>ONH89481 pep supercontig:Prunus_persica_NCBIv2:scaffold_68:6054:8703:-1 gene:PRUPE_I005600 transcript:ONH89481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKTRLMKEYLYRKSLEGKERLLYENKRKIREALQEGKTIPTELQNEEAELRREIDLEDENTAEMRLNRLDRYGSCRRHSVKNCIPNPRTHIDDEYAKAAERDPKILITTSRNPSAPLVQFAKELKLVFPNAQQMNRGGQVAPSLFSKLKPPVTVADRTGAKRTGSAPPSRPGLFPTSAVTPAAEKREF >ONH91305 pep chromosome:Prunus_persica_NCBIv2:G8:13658102:13660508:-1 gene:PRUPE_8G105500 transcript:ONH91305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRRVEESAVSGQLQNDHRIADFDPPQKPKTNKFAIACAILACTTSILLGYDIGVMSGANIFIQNDLKLSDTEIAVLAGTLNIYSLIGSALAGRTSDWIGRRYTVVLSGVIFFVGALLMGLAPGYAFLMFGRFVAGIGVGYGLMIAPVYTVEISPTLDRGFLTSFPEVFVNLGILLGYVSNYAFSKLPTNLGWRYMLALGALPAVVLAVGVLAMPESPRWLVMQGRLGDAKRVLDKTSTSKEEAQLRLEDIKEAAGIPMHLNDDVVPVTKQSHGEGVWRQLIHPTPAVLHILIAALGIHFFQQVTGIDSVVLYSPRIFAKAGITSYEHTLLATVAVGFVKTIFIFIATFLLDRVGRRPLLLTSMGGMIFSLVCLGAALTIIDNHDSKIPWAVALCIIMVLFNVAFFSIGLGPITWVYSSEIFPLKLRAQGVSLGVAVNRVISGIVSMTFLSLYKAITIGGAFFLYAGIGVLAWVFFYTMLPETQGRTLEEMEVLFGKYHKWRQANAMLKTKKVDHGDGDENKGQVN >ONH91898 pep chromosome:Prunus_persica_NCBIv2:G8:15980337:15989280:-1 gene:PRUPE_8G142600 transcript:ONH91898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTALAASLSLSFKPVCMLRSTGASEMTSKGKRARQGRPLGDFGHLGQVFRKDVEFLKRGIGSGIQWANKAFRIPEVSKTLDDIVWLRNLEDPNAPPLPAPSWPQPSYPELSGVDLFMADLKAFEAYALYFYYLSKVWSKPLPEVYDPESVGDYFRCRPHVVAFRLLEVFSSFASAAIRIRTSGIKKLLRPSLDEGINENVSQYNFGMVLKETMLNLGPTFIKVGQSLSTRPDIIGAEISKALSELHDQIPPFPRDVAMKIIEEELGSPVESLFSYISGEPEAAASFGQLGILQKIAKRKGDLRLYADELGKGLVGELDYTLEASNSSKFMEAHSSFPFMFVPKIFQQLSRKRVLTMEWIVGESPTDLLSVSAGSSIDNGSTYSERQRLDAKRRLLDLVKKGVEACLVQLLETGLLHADPHPGNLRYTSSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVNSLTEMDVIRPGTNIRRVTMDLEYELGEVEFRDGIPDVKFSRVLGKIWSIAFKYHFRMPPYYSLVLRSLASFEGLAVAADKKFKTFEAAYPYVVRKLLTENSAATRKILHSVVFNKKKEFQWQRLALFLKVGAARKGLIASKADSSLGYLPLRDSGAVDVANLVLRLLPSKEGVVLRRLLMTADGASLVQAMVSKKAKFFRQQFCSVIADILYQWMFTAFGRGIATTRYSSDLRLASAHDNRDLEPSSRTPIYDYRTIFRDRRLKVIFSNVLNSARKNPILMLRFYWTSFVMFTTALALACHRALVSFSEAYLSPISFARKQYAIP >ONH91902 pep chromosome:Prunus_persica_NCBIv2:G8:15980970:15986897:-1 gene:PRUPE_8G142600 transcript:ONH91902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLADAVGQSLSTRPDIIGAEISKALSELHDQIPPFPRDVAMKIIEEELGSPVESLFSYISGEPEAAASFGQVYRGHTLDGFNVAIKVQRPNLRHIVVRDIYILRLGLGILQKIAKRKGDLRLYADELGKGLVGELDYTLEASNSSKFMEAHSSFPFMFVPKIFQQLSRKRVLTMEWIVGESPTDLLSVSAGSSIDNGSTYSERQRLDAKRRLLDLVKKGVEACLVQLLETGLLHADPHPGNLRYTSSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVNSLTEMDVIRPGTNIRRVTMDLEYELGEVEFRDGIPDVKFSRVLGKIWSIAFKYHFRMPPYYSLVLRSLASFEGLAVAADKKFKTFEAAYPYVVRKLLTENSAATRKILHSVVFNKKKEFQWQRLALFLKVGAARYVKLKGLIASKADSSLGYLPLRDSGAVDVANLVLRLLPSKEGVVLRRLLMTADGASLVQAMVSKKAKFFRQQFCSVIADILYQWMFTAFGRGIATTRYSSDLRLASAHDNRDLEPSSRTPIYDYRTIFRDRRLKVIFSNVLNSARKNPILMLRFYWTSFVMFTTALALACHRALVSFSEAYLSPISFARKQYAIP >ONH91900 pep chromosome:Prunus_persica_NCBIv2:G8:15980346:15989280:-1 gene:PRUPE_8G142600 transcript:ONH91900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCGFATSKTPMRLHCQLLLGLNLPILNSLVLICSWLISRLSRCRPHVVAFRLLEVFSSFASAAIRIRTSGIKKLLRPSLDEGINENVSQYNFGMVLKETMLNLGPTFIKVGQSLSTRPDIIGAEISKALSELHDQIPPFPRDVAMKIIEEELGSPVESLFSYISGEPEAAASFGQVYRGHTLDGFNVAIKVQRPNLRHIVVRDIYILRLGLGILQKIAKRKGDLRLYADELGKGLVGELDYTLEASNSSKFMEAHSSFPFMFVPKIFQQLSRKRVLTMEWIVGESPTDLLSVSAGSSIDNGSTYSERQRLDAKRRLLDLVKKGVEACLVQLLETGLLHADPHPGNLRYTSSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVNSLTEMDVIRPGTNIRRVTMDLEYELGEVEFRDGIPDVKFSRVLGKIWSIAFKYHFRMPPYYSLVLRSLASFEGLAVAADKKFKTFEAAYPYVVRKLLTENSAATRKILHSVVFNKKKEFQWQRLALFLKVGAARKGLIASKADSSLGYLPLRDSGAVDVANLVLRLLPSKEGVVLRRLLMTADGASLVQAMVSKKAKFFRQQFCSVIADILYQWMFTAFGRGIATTRYSSDLRLASAHDNRDLEPSSRTPIYDYRTIFRDRRLKVIFSNVLNSARKNPILMLRFYWTSFVMFTTALALACHRALVSFSEAYLSPISFARKQYAIP >ONH91899 pep chromosome:Prunus_persica_NCBIv2:G8:15980970:15989083:-1 gene:PRUPE_8G142600 transcript:ONH91899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTALAASLSLSFKPVCMLRSTGASEMTSKGKRARQGRPLGDFGHLGQVFRKDVEFLKRGIGSGIQWANKAFRIPEVSKTLDDIVWLRNLEDPNAPPLPAPSWPQPSYPELSGVDLFMADLKAFEAYALYFYYLSKVWSKPLPEVYDPESVGDYFRCRPHVVAFRLLEVFSSFASAAIRIRTSGIKKLLRPSLDEGINENVSQYNFGMVLKETMLNLGPTFIKVGQSLSTRPDIIGAEISKALSELHDQIPPFPRDVAMKIIEEELGSPVESLFSYISGEPEAAASFGQVYRGHTLDGFNVAIKVQRPNLRHIVVRDIYILRLGLGILQKIAKRKGDLRLYADELGKGLVGELDYTLEASNSSKFMEAHSSFPFMFVPKIFQQLSRKRVLTMEWIVGESPTDLLSVSAGSSIDNGSTYSERQRLDAKRRLLDLVKKGVEACLVQLLETGLLHADPHPGNLRYTSSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVNSLTEMDVIRPGTNIRRVTMDLEYELGEVEFRDGIPDVKFSRVLGKIWSIAFKYHFRMPPYYSLVLRSLASFEGLAVAADKKFKTFEAAYPYVVRKLLTENSAATRKILHSVVFNKKKEFQWQRLALFLKVGAARKGLIASKADSSLGYLPLRDSGAVDVANLVLRLLPSKEGVVLRRLLMTADGASLVQAMVSKKAKFFRQQFCSVIADILYQWMFTAFGRGIATTRYSSDLRLASAHDNRDLEPSSRTPIYDYRTIFRDRRLKVIFSNVLNSARKNPILMLRFYWTSFVMFTTALALACHRALVSFSEAYLSPISFARKQYAIP >ONH91901 pep chromosome:Prunus_persica_NCBIv2:G8:15980170:15988187:-1 gene:PRUPE_8G142600 transcript:ONH91901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIYPLAELSGVDLFMADLKAFEAYALYFYYLSKVWSKPLPEVYDPESVGDYFRCRPHVVAFRLLEVFSSFASAAIRIRTSGIKKLLRPSLDEGINENVSQYNFGMVLKETMLNLGPTFIKVGQSLSTRPDIIGAEISKALSELHDQIPPFPRDVAMKIIEEELGSPVESLFSYISGEPEAAASFGQVYRGHTLDGFNVAIKVQRPNLRHIVVRDIYILRLGLGILQKIAKRKGDLRLYADELGKGLVGELDYTLEASNSSKFMEAHSSFPFMFVPKIFQQLSRKRVLTMEWIVGESPTDLLSVSAGSSIDNGSTYSERQRLDAKRRLLDLVKKGVEACLVQLLETGLLHADPHPGNLRYTSSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVNSLTEMDVIRPGTNIRRVTMDLEYELGEVEFRDGIPDVKFSRVLGKIWSIAFKYHFRMPPYYSLVLRSLASFEGLAVAADKKFKTFEAAYPYVVRKLLTENSAATRKILHSVVFNKKKEFQWQRLALFLKVGAARYVKLKGLIASKADSSLGYLPLRDSGAVDVANLVLRLLPSKEGVVLRRLLMTADGASLVQAMVSKKAKFFRQQFCSVIADILYQWMFTAFGRGIATTRYSSDLRLASAHDNRDLEPSSRTPIYDYRTIFRDRRLKVIFSNVLNSARKNPILMLRFYWTSFVMFTTALALACHRALVSFSEAYLSPISFARKQYAIP >ONH92539 pep chromosome:Prunus_persica_NCBIv2:G8:18064952:18070503:1 gene:PRUPE_8G179800 transcript:ONH92539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILCDFRFLLFLAAAAFIYIQMRLFATQSQYADRLADAIESEDQCTSQLRTLIDQISLQQGQIVSLEEEKQRLEQECGQLKVLVQELERKGLGRLIDKEQVPVAAVVIMACNRADYLERTVKSVLKYQSSVASKFPLFVSQDGSHPAVKEKALSYDQLTYMQHLDYEPVHTERPGELIAYYKIARHYKWALDGLFYKHNFSRVIILEDDMEIAPDFFDYFESTAALLDKDKSIMAVSSWNDNGQKQFVHDPKVLYRSDFFPGLGWMLARSTWDELSPKWPKAYWDDWLRLKENHKDRQFIRPEVCRTYNFGEHVDWKSMDLNYLMEDKYIKHFADIVKKAKPIRGTDLVLKARNIGGDVRIQYNDQPDFERIARQFGIFEEWKDGVPRTAYKGIVVFRYQITQRIFLVGPGSLVQLGVSDS >ONH92542 pep chromosome:Prunus_persica_NCBIv2:G8:18064952:18070496:1 gene:PRUPE_8G179800 transcript:ONH92542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILCDFRFLLFLAAAAFIYIQIESEDQCTSQLRTLIDQISLQQGQIVSLEGKGLGRLIDKEQVPVAAVVIMACNRADYLERTVKSVLKYQSSVASKFPLFVSQDGSHPAVKEKALSYDQLTYMQHLDYEPVHTERPGELIAYYKIARHYKWALDGLFYKHNFSRVIILEDDMEIAPDFFDYFESTAALLDKDKSIMAVSSWNDNGQKQFVHDPKVLYRSDFFPGLGWMLARSTWDELSPKWPKAYWDDWLRLKENHKDRQFIRPEVCRTYNFGEHGSSLGQFFKQYLEPIKLNDVLVDWKSMDLNYLMEDKYIKHFADIVKKAKPIRGTDLVLKARNIGGDVRIQYNDQPDFERIARQFGIFEEWKDGVPRTAYKGIVVFRYQITQRIFLVGPGSLVQLGVSDS >ONH92537 pep chromosome:Prunus_persica_NCBIv2:G8:18064871:18070517:1 gene:PRUPE_8G179800 transcript:ONH92537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESPFLLLVQKKEKAKKLDSVSEQEQPAQIGSCRKFVGTKKETKMKKILCDFRFLLFLAAAAFIYIQMRLFATQSQYADRLADAIESEDQCTSQLRTLIDQISLQQGQIVSLEEEKQRLEQECGQLKVLVQELERKGLGRLIDKEQVPVAAVVIMACNRADYLERTVKSVLKYQSSVASKFPLFVSQDGSHPAVKEKALSYDQLTYMQHLDYEPVHTERPGELIAYYKIARHYKWALDGLFYKHNFSRVIILEDDMEIAPDFFDYFESTAALLDKDKSIMAVSSWNDNGQKQFVHDPKVLYRSDFFPGLGWMLARSTWDELSPKWPKAYWDDWLRLKENHKDRQFIRPEVCRTYNFGEHGSSLGQFFKQYLEPIKLNDVLVDWKSMDLNYLMEDKYIKHFADIVKKAKPIRGTDLVLKARNIGGDVRIQYNDQPDFERIARQFGIFEEWKDGVPRTAYKGIVVFRYQITQRIFLVGPGSLVQLGVSDS >ONH92540 pep chromosome:Prunus_persica_NCBIv2:G8:18064952:18070496:1 gene:PRUPE_8G179800 transcript:ONH92540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILCDFRFLLFLAAAAFIYIQMRLFATQSQYADRLADAIESEDQCTSQLRTLIDQISLQQGQIVSLEGKGLGRLIDKEQVPVAAVVIMACNRADYLERTVKSVLKYQSSVASKFPLFVSQDGSHPAVKEKALSYDQLTYMQHLDYEPVHTERPGELIAYYKIARHYKWALDGLFYKHNFSRVIILEDDMEIAPDFFDYFESTAALLDKDKSIMAVSSWNDNGQKQFVHDPKVLYRSDFFPGLGWMLARSTWDELSPKWPKAYWDDWLRLKENHKDRQFIRPEVCRTYNFGEHGSSLGQFFKQYLEPIKLNDVLVDWKSMDLNYLMEDKYIKHFADIVKKAKPIRGTDLVLKARNIGGDVRIQYNDQPDFERIARQFGIFEEWKDGVPRTAYKGIVVFRYQITQRIFLVGPGSLVQLGVSDS >ONH92541 pep chromosome:Prunus_persica_NCBIv2:G8:18064952:18070496:1 gene:PRUPE_8G179800 transcript:ONH92541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILCDFRFLLFLAAAAFIYIQIESEDQCTSQLRTLIDQISLQQGQIVSLEEEKQRLEQECGQLKVLVQELERKGLGRLIDKEQVPVAAVVIMACNRADYLERTVKSVLKYQSSVASKFPLFVSQDGSHPAVKEKALSYDQLTYMQHLDYEPVHTERPGELIAYYKIARHYKWALDGLFYKHNFSRVIILEDDMEIAPDFFDYFESTAALLDKDKSIMAVSSWNDNGQKQFVHDPKVLYRSDFFPGLGWMLARSTWDELSPKWPKAYWDDWLRLKENHKDRQFIRPEVCRTYNFGEHGSSLGQFFKQYLEPIKLNDVLVDWKSMDLNYLMEDKYIKHFADIVKKAKPIRGTDLVLKARNIGGDVRIQYNDQPDFERIARQFGIFEEWKDGVPRTAYKGIVVFRYQITQRIFLVGPGSLVQLGVSDS >ONH92538 pep chromosome:Prunus_persica_NCBIv2:G8:18064952:18070528:1 gene:PRUPE_8G179800 transcript:ONH92538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILCDFRFLLFLAAAAFIYIQMRLFATQSQYADRLADAIESEDQCTSQLRTLIDQISLQQGQIVSLEEEKQRLEQECGQLKVLVQELERKGLGRLIDKEQVPVAAVVIMACNRADYLERTVKSVLKYQSSVASKFPLFVSQDGSHPAVKEKALSYDQLTYMQHLDYEPVHTERPGELIAYYKIARHYKWALDGLFYKHNFSRVIILEDDMEIAPDFFDYFESTAALLDKDKSIMAVSSWNDNGQKQFVHDPKVLYRSDFFPGLGWMLARSTWDELSPKWPKAYWDDWLRLKENHKDRQFIRPEVCRTYNFGEHGSSLGQFFKQYLEPIKLNDVLVDWKSMDLNYLMEDKYIKHFADIVKKAKPIRGTDLVLKARNIGGDVRIQYNDQPDFERIARQFGIFEEWKDGVPRTAYKGIVVFRYQITQRIFLVGPGSLVQLGVSDS >ONH92543 pep chromosome:Prunus_persica_NCBIv2:G8:18064952:18070496:1 gene:PRUPE_8G179800 transcript:ONH92543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFATQSQYADRLADAIESEDQCTSQLRTLIDQISLQQGQIVSLEEEKQRLEQECGQLKVLVQELERKGLGRLIDKEQVPVAAVVIMACNRADYLERTVKSVLKYQSSVASKFPLFVSQDGSHPAVKEKALSYDQLTYMQHLDYEPVHTERPGELIAYYKIARHYKWALDGLFYKHNFSRVIILEDDMEIAPDFFDYFESTAALLDKDKSIMAVSSWNDNGQKQFVHDPKVLYRSDFFPGLGWMLARSTWDELSPKWPKAYWDDWLRLKENHKDRQFIRPEVCRTYNFGEHGSSLGQFFKQYLEPIKLNDVLVDWKSMDLNYLMEDKYIKHFADIVKKAKPIRGTDLVLKARNIGGDVRIQYNDQPDFERIARQFGIFEEWKDGVPRTAYKGIVVFRYQITQRIFLVGPGSLVQLGVSDS >ONH90624 pep chromosome:Prunus_persica_NCBIv2:G8:9580361:9582733:-1 gene:PRUPE_8G064900 transcript:ONH90624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPKSQLENKPSPAAATPPITSCRRKKKDDANFLEDLKDHIDEFVNASMDEHKTCFKKTMQKMFGMSKIVAERSSGTKEVESSLPLRTTVAD >ONH90623 pep chromosome:Prunus_persica_NCBIv2:G8:9580364:9582723:-1 gene:PRUPE_8G064900 transcript:ONH90623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPKSQLENKPSPAAATPPITSCRRKKKDDANFLEDLKDHIDEFVNASMDEHKTCFKKTMQKMFGMSKIVAERSSGTKEVESSLPLRTTVAD >ONH92190 pep chromosome:Prunus_persica_NCBIv2:G8:16963454:16965285:1 gene:PRUPE_8G161400 transcript:ONH92190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDWLEKFILAALLILAAAIDGAQADTMVSGTVFCDQCKDGERSLFDYPINGVKVQVACSDSNGQITMSREETTNWFGNYAVKFDGTPDLSGCFAQVSSTGQGSNGCGVSAGPAQSLRLMFRMFNMAMYAVDSLLTQPAQPMSFCPRSANPVAPAPPTPARPLPNPVTPASPPPFWLPPLPKLPPLPPLPPLPPLPSLPPMPPVPFLEATACPHQQWTLPEHKCYWRAVSPDTKVAVVFGLAAARRYGTDLTLLQGLQGRGEPYRTLLREGITAFLNSYNSLQFPYNSIAVVQHLNYGLIGSNRNVLFTALRFIRANSGYGRVPCKFTACK >ONH92191 pep chromosome:Prunus_persica_NCBIv2:G8:16963408:16965112:1 gene:PRUPE_8G161400 transcript:ONH92191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDWLEKFILAALLILAAAIDGAQADTMVSGTVFCDQCKDGERSLFDYPINGVKVQVACSDSNGQITMSREETTNWFGNYAVKFDGTPDLSGCFAQVSSTGQGSNGCGVSAGPAQSLRLMFRMFNMAMYAVDSLLTQPAQPMSFCPRSANPVAPAPPTPARPLPNPVTPASPPPFWLPPLPKLPPLPPLPPLPPLPSLPPMPPVPFLEATACPHQQWTLPEHKCYWRAVSPDTKVAVVFGLAAARRYGTDLTLLQGLQGRGEPYRTLLREGITAFLNSYNSLQFPYNSIAVVQHLNYGLIGSNRNVLFTALRFIRANSGYGRVPCKFTACK >ONH90945 pep chromosome:Prunus_persica_NCBIv2:G8:11781162:11786930:-1 gene:PRUPE_8G084800 transcript:ONH90945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKNIRLLKEYLYRKSLEGKERLLYENKRKIREALQEGKPIPTELRNEEAELRREIDLEDENTAVPRTHIDDEYAKAAERDPKILITTSRNPSAPLVQFAKELKLVFPNAQQMNRGGQVISEIIETCRAHDFTDVVLVHENRGVPDGLFIIHLPFGPTAFFELRNVVTRHDIKDKKAMGTMPQVYPHVILDNFTTKLGERTANILKHLFPVPKPDTKRIITFANQSDYISFRHHTYGKHGGPKSIVLEEIGPRFEMRLFKIKLGTLDQTEAQDEWVFKPYMNTAKKQKFIGI >ONH90944 pep chromosome:Prunus_persica_NCBIv2:G8:11781161:11786930:-1 gene:PRUPE_8G084800 transcript:ONH90944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKNIRLLKEYLYRKSLEGKERLLYENKRKIREALQEGKPIPTELRNEEAELRREIDLEDENTAVPRTHIDDEYAKAAERDPKILITTSRNPSAPLVQFAKVISEIIETCRAHDFTDVVLVHENRGVPDGLFIIHLPFGPTAFFELRNVVTRHDIKDKKAMGTMPQVYPHVILDNFTTKLGERTANILKHLFPVPKPDTKRIITFANQSDYISFRHHTYGKHGGPKSIVLEEIGPRFEMRLFKIKLGTLDQTEAQDEWVFKPYMNTAKKQKFIGI >ONH91029 pep chromosome:Prunus_persica_NCBIv2:G8:12269092:12273220:-1 gene:PRUPE_8G089400 transcript:ONH91029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKAARRTLDSYTVKHINKTVRAGDCVLMRPSDSGKPSYVAKIERIEADSRGSNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHHDVQSADTIEAKCTVHTFKSYTKLDAVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIDMNAEEAKRLDHFFCEGCSSEGQKKLQNSHTASKHPDTKVDTKRRRR >ONH91030 pep chromosome:Prunus_persica_NCBIv2:G8:12269716:12273326:-1 gene:PRUPE_8G089400 transcript:ONH91030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKAARRTLDSYTVKHINKTVRAGDCVLMRPSDSGKPSYVAKIERIEADSRGSNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHHDVQSADTIEAKCTVHTFKSYTKLDAVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIDMNAEEAKRLDHFFCEGCSSEGQKKLQNSHTASKHPDTKVLLFSWET >ONH91031 pep chromosome:Prunus_persica_NCBIv2:G8:12268450:12273326:-1 gene:PRUPE_8G089400 transcript:ONH91031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKAARRTLDSYTVKHINKTVRAGDCVLMRPSDSGKPSYVAKIERIEADSRGSNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHHDVQSADTIEAKCTVHTFKSYTKLDAVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSD >ONH93131 pep chromosome:Prunus_persica_NCBIv2:G8:19750489:19756825:1 gene:PRUPE_8G214900 transcript:ONH93131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRSPTSVPSEQMEKGTGKSQNTRICFLASLSVFFWMLLLYFHFVVLGGSTVDQSVKLQLQAGPVYTELKPTRVTSDPQKENQNTESKPIHVTSDHQMDNQNTVSAPIRLTDDHQVDNQNTESTPTVVAPAAHQTESPAKAIQIHEAEKYPFMKALRTAENKNDPCGGRYIYVHDLPPRFNEDMLKECRSLSLWTNMCKFTTNAGLGPPLENAEGVFGDTGWYATNQFAVDRPEWGIMGGKDHFLVAGRITWDFRRLSEEDSDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDADVFIWQERMRRLKRDWLFSFAGAPRPGNPKSIRGQIIDQCRNSKVGKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKDYTKYSVFIPENDIRKRNVSIEETLRRIPPEQVKKMREEVIKLIPSLVYADPRSKLGVFKDAFDVSVQAIINKVTKLRMDILEGRTDDNFIEENSWKYALLEEGQREVGPHEWDPFFSKPKDGEGDSADTKLRMFNIDKQPNPSSLSEFIFLCSILCMQTPSSPSPKNFFEFLTYIVQLHPQGVTSSSFATKPSWHLSFLQQTMSSEEDASSRLLYSYHSAMEGFAAQLSESELASLQMLPDVIAIRPDHRLQIHTTYSYKFLGLNTASSDGAWHKSAFGRGTIIGVLDTGVWPESPSFNDRGMPPVPRKWRGICQEGQNFNSSNCNKKLIGARFFTEGHRVASVSSYSPDAGHEYVSPRDSHGHGTHTSSTAGGASVGMASVFGNAAGVARGMAPGAHVAVYKVCWLNGCYSSDILAAMDVAIRDGVDILSLSLGGFPIPLFDDSIAIGSFRAVEHGISVVCAAGNGGPIQSSVANEAPWIATIGASTLDRRFPGIVQMGNGKYLYGESMYPGNHLMRAGKALELVYVTGENSGSEYCFRGSLPRAIVRGKIVVCDRGVNGRAEKGEVVKEAGGAAMILANTEINLEENSVDVHLLPATLIGFKESVHLKAYINSTRRPTARIVFGGTVIGKSRAPAVAQFSARGPSYSNPSILKPDVIAPGVNIIAAWPQNLGPTGLPEDSRRVNFTIMSGTSMACPHASGIAALLRSAHPKWSPAAIKSAVMTTAEVTDRSGKPIMDGDKPAGVFAIGAGHVNPERAIDPGLIYDIRPEEYVTHLCSLGYTKSEILTITHRNVSCREILQMNRGFNLNYPSISVTFKHGMRSKMIKRRVTNVGSPNSIYSLELMAPKEVKVKVKPQRLIFTDINQSLSYRVWFISRKRAGTAKMSFAQGQLTWVNSKNSLNRVKSPFSVTWK >ONH94029 pep chromosome:Prunus_persica_NCBIv2:G8:22301206:22304380:1 gene:PRUPE_8G266900 transcript:ONH94029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDNEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMERGLKIPQNERTKTTNSLLISLMKQLEKDKKSLQLGPEDNLYLEGFALNVFGKADKQDRAGRADLNTAKTFYAASIFFEIINQFGVLQPDLEQKQKYAAWKAADIRKALKEGRKPEAGPPAGDDDLSIPSSGMSGSYDLGPSEAAVISPGPPSDPSPQFYDEVNKQHSTDTLPPQFHDNAKIQRSVSLQSSPKFHDEVNGQHSSNNAPPPQSYPTAGYSSHDFHPPPPTNRPETSDYSQPYQQQPYPHEPQQHLPHNYPSHENNFSYPNFQSYPSFTESNIPAVTSHYPSYYQGSDPSYSAQSAPSFSPQSAPSFSPQSAPSPAQYLSSNRNGTVPEPAPTPTPAKTYQYDSSYQPSPEKIAEAHKAARFAVGALAFDDVSVAVDHLIKSLELLTNPSAGQ >ONH90413 pep chromosome:Prunus_persica_NCBIv2:G8:5798875:5806523:1 gene:PRUPE_8G052600 transcript:ONH90413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIRTTVKPALKTGPKVKYVIIDYPRDSNEFDGLSPRAISSTTTCPAIPKQAAKVSEVSSLLGKAGITGFGKAIQFLDSLGSSMTNLTSIVGTSGMSRKENTISILAFEVANTIVKGANLMQSLSEDNVRHLKEVVLPSKGVQTLISRDMDELLRIAAADKREELKTFSSDVVRFGNYSKDLMWHNLDLYFKKIGSKVTQQKHFKRQAQEDMEQLMTLVQSTAELCYELHMLDRLEQDYQHRLKGDGSNAARRGDSLAILRADVKMQEKFVSSLKKKSLWSKTFEEVVQKLVDIVHFLHLEIHEAFGSADDEPVESSRRNHKKLGPSGISLHYASIITQINTLVSLSRSSSVPQHMRDTLYQGLPSSVKSALRSKLQLVELTIPQIKTEMKKTLEWLVPIASNTTKALHSFGWVGEWANSEFGERWKHGGQTGILRIETLHHADKAETEACIFKLVVWLQHLISQSGASDNGMPSTPVKTLNQKTNLLSRHTPNGSSPVLTDEDKEMLQDVSKSNLRLRMSRSQNYASRNAMLSKQHRLSKSSRDFLSSKTRKDPFPVWSLLSRPIDFDNDRIKLLDVIDGVDTIKGSN >ONH90417 pep chromosome:Prunus_persica_NCBIv2:G8:5798652:5806492:1 gene:PRUPE_8G052600 transcript:ONH90417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIRTTVKPALKTGPKVKYVIIDYPRDSNEFDGLSPRAISSTTTCPAIPKQAAKVSEVSSLLGKAGITGFGKAIQFLDSLGSSMTNLTSIVGTSGMSRKENTISILAFEVANTIVKGANLMQSLSEDNVRHLKEVVLPSKGVQTLISRDMDELLRIAAADKRIGSKVTQQKHFKRQAQEDMEQLMTLVQSTAELCYELHMLDRLEQDYQHRLKGDGSNAARRGDSLAILRADVKMQEKFVSSLKKKSLWSKTFEEVVQKLVDIVHFLHLEIHEAFGSADDEPVESSRRNHKKLGPSGISLHYASIITQINTLVSLSRSSSVPQHMRDTLYQGLPSSVKSALRSKLQLVELTIPQIKTEMKKTLEWLVPIASNTTKALHSFGWVGEWANSEFGERWKHGGQTGILRIETLHHADKAETEACIFKLVVWLQHLISQSGASDNGMPSTPVKTLNQKTNLLSRHTPNGSSPVLTDEDKEMLQDVSKSNLRLRMSRSQNYASRNAMLSKQHRLSKSSRDFLSSKTRKDPFPVWSLLSRPIDFDNDRIKLLDVIDGVDTIKGSN >ONH90419 pep chromosome:Prunus_persica_NCBIv2:G8:5798875:5806523:1 gene:PRUPE_8G052600 transcript:ONH90419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIRTTVKPALKTGPKVKYVIIDYPRDSNEFDGLSPRAISSTTTCPAIPKQAAKVSEVSSLLGKAGITGFGKAIQFLDSLGSSMTNLTSIVGTSGMSRKENTISILAFEVANTIVKGANLMQSLSEDNVRHLKEVVLPSKGVQTLISRDMDELLRIAAADKRIGSKVTQQKHFKRQAQEDMEQLMTLVQSTAELCYELHMLDRLEQDYQHRLKGDGSNAARRGDSLAILRADVKMQEKFVSSLKKKSLWSKTFEEVVQKLVDIVHFLHLEIHEAFGSADDEPVESSRRNHKKLGPSGISLHYASIITQINTLVSLSRSSSVPQHMRDTLYQGLPSSVKSALRSKLQLVELTIPQIKTEMKKTLEWLVPIASNTTKALHSFGWVGEWANSEFGERWKHGGQTGILRIETLHHADKAETEACIFKLVVWLQHLISQSGASDNGMPSTPVKTLNQKTNLLSRHTPNGSSPVLTDEDKEMLQDVSKSNLRLRMSRSQNYASRNAMLSKQHRLSKSSRDFLSSKTRKDPFPVWSLLSRPIDFDNDRIKLLDVIDGVDTIKGSN >ONH90418 pep chromosome:Prunus_persica_NCBIv2:G8:5797486:5806519:1 gene:PRUPE_8G052600 transcript:ONH90418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIRTTVKPALKTGPKVKYVIIDYPRDSNEFDGLSPRAISSTTTCPAIPKQAAKVSEVSSLLGKAGITGFGKAIQFLDSLGSSMTNLTSIVGTSGMSRKENTISILAFEVANTIVKGANLMQSLSEDNVRHLKEVVLPSKGVQTLISRDMDELLRIAAADKRIGSKVTQQKHFKRQAQEDMEQLMTLVQSTAELCYELHMLDRLEQDYQHRLKGDGSNAARRGDSLAILRADVKMQEKFVSSLKKKSLWSKTFEEVVQKLVDIVHFLHLEIHEAFGSADDEPVESSRRNHKKLGPSGISLHYASIITQINTLVSLSRSSSVPQHMRDTLYQGLPSSVKSALRSKLQLVELTIPQIKTEMKKTLEWLVPIASNTTKALHSFGWVGEWANSEFGERWKHGGQTGILRIETLHHADKAETEACIFKLVVWLQHLISQSGASDNGMPSTPVKTLNQKTNLLSRHTPNGSSPVLTDEDKEMLQDVSKSNLRLRMSRSQNYASRNAMLSKQHRLSKSSRDFLSSKTRKDPFPVWSLLSRPIDFDNDRIKLLDVIDGVDTIKGSN >ONH90416 pep chromosome:Prunus_persica_NCBIv2:G8:5798875:5806066:1 gene:PRUPE_8G052600 transcript:ONH90416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIRTTVKPALKTGPKVKYVIIDYPRDSNEFDGLSPRAISSTTTCPAIPKQAAKVSEVSSLLGKAGITGFGKAIQFLDSLGSSMTNLTSIVGTSGMSRKENTISILAFEVANTIVKGANLMQSLSEDNVRHLKEVVLPSKGVQTLISRDMDELLRIAAADKREELKTFSSDVVRFGNYSKDLMWHNLDLYFKKIGSKVTQQKHFKRQAQEDMEQLMTLVQSTAELCYELHMLDRLEQDYQHRLKGDGSNAARRGDSLAILRADVKMQEKFVSSLKKKSLWSKTFEEVVQKLVDIVHFLHLEIHEAFGSADDEPVESSRRNHKKLGPSGISLHYASIITQINTLVSLSRSSSVPQHMRDTLYQGLPSSVKSALRSKLQLVELTIPQIKTEMKKTLEWLVPIASNTTKALHSFGWVGEWANSEFGERWKHGGQTGILRIETLHHADKAETEACIFKLVVWLQHLISQSGASDNGMPSTPTNLLSRHTPNGSSPVLTDEDKEMLQDVSKSNLRLRMSRSQNYASRNAMLSKQHRLSKSSRDFLSSKTRKDPFPVWSLLSRPIDFDNDRIKLLDVIDGVDTIKGSN >ONH90415 pep chromosome:Prunus_persica_NCBIv2:G8:5797486:5806522:1 gene:PRUPE_8G052600 transcript:ONH90415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIRTTVKPALKTGPKVKYVIIDYPRDSNEFDGLSPRAISSTTTCPAIPKQAAKVSEVSSLLGKAGITGFGKAIQFLDSLGSSMTNLTSIVGTSGMSRKENTISILAFEVANTIVKGANLMQSLSEDNVRHLKEVVLPSKGVQTLISRDMDELLRIAAADKREELKTFSSDVVRFGNYSKDLMWHNLDLYFKKIGSKVTQQKHFKRQAQEDMEQLMTLVQSTAELCYELHMLDRLEQDYQHRLKGDGSNAARRGDSLAILRADVKMQEKFVSSLKKKSLWSKTFEEVVQKLVDIVHFLHLEIHEAFGSADDEPVESSRRNHKKLGPSGISLHYASIITQINTLVSLSRSSSVPQHMRDTLYQGLPSSVKSALRSKLQLVELTIPQIKTEMKKTLEWLVPIASNTTKALHSFGWVGEWANSEFGERWKHGGQTGILRIETLHHADKAETEACIFKLVVWLQHLISQSGASDNGMPSTPVKTLNQKTNLLSRHTPNGSSPVLTDEDKEMLQDVSKSNLRLRMSRSQNYASRNAMLSKQHRLSKSSRDFLSSKTRKDPFPVWSLLSRPIDFDNDRIKLLDVIDGVDTIKGSN >ONH90414 pep chromosome:Prunus_persica_NCBIv2:G8:5798652:5806524:1 gene:PRUPE_8G052600 transcript:ONH90414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIRTTVKPALKTGPKVKYVIIDYPRDSNEFDGLSPRAISSTTTCPAIPKQAAKVSEVSSLLGKAGITGFGKAIQFLDSLGSSMTNLTSIVGTSGMSRKENTISILAFEVANTIVKGANLMQSLSEDNVRHLKEVVLPSKGVQTLISRDMDELLRIAAADKREELKTFSSDVVRFGNYSKDLMWHNLDLYFKKIGSKVTQQKHFKRQAQEDMEQLMTLVQSTAELCYELHMLDRLEQDYQHRLKGDGSNAARRGDSLAILRADVKMQEKFVSSLKKKSLWSKTFEEVVQKLVDIVHFLHLEIHEAFGSADDEPVESSRRNHKKLGPSGISLHYASIITQINTLVSLSRSSSVPQHMRDTLYQGLPSSVKSALRSKLQLVELTIPQIKTEMKKTLEWLVPIASNTTKALHSFGWVGEWANSEFGERWKHGGQTGILRIETLHHADKAETEACIFKLVVWLQHLISQSGASDNGMPSTPVKTLNQKTNLLSRHTPNGSSPVLTDEDKEMLQDVSKSNLRLRMSRSQNYASRNAMLSKQHRLSKSSRDFLSSKTRKDPFPVWSLLSRPIDFDNDRIKLLDVIDGVDTIKGSN >ONH93081 pep chromosome:Prunus_persica_NCBIv2:G8:19576199:19576521:1 gene:PRUPE_8G211900 transcript:ONH93081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHCRGEIEDNFTADLSVGLASGQVYITGAPWPSTIPNTTHFSKFSLLSQICLQLLLIEVELDNVCYAGEAFRSP >ONH93805 pep chromosome:Prunus_persica_NCBIv2:G8:21727202:21730697:-1 gene:PRUPE_8G253900 transcript:ONH93805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSSRNEEAEAPQPEREHQKRLCDYCGSSMALLYCRADSAKLCFLCDREVHSANQLFSKHTRSLLCDACDGSPASIFCTTESSVLCQNCDWESHNLSSSSVHDRRPLEGFSGCPCLNELLTVVGFENMDKKALLLSDESGGGGGGGDGFLGCGVDGSFDLDDGFSDFLVWDTPSVVSLDDLIVSNPAYKFQAMGVPPLPKNRNAACGRHKEEIFRQLRVLVKSEPNLFSENVDVKPLKSLASEQNMQRGSLFTGFEHDAEPTVFPAYEAHDFQYNDCGAAEKRESSPKTFIRSYLQECCVVPDKHSYNDGSASHANDGHGHGGQMNSEASSAFPKVAAHELSSQNRETALSRYKEKKKTRRYEKHIRYESRKVRAESRTRIKGRFAKMDH >ONH93806 pep chromosome:Prunus_persica_NCBIv2:G8:21727330:21730435:-1 gene:PRUPE_8G253900 transcript:ONH93806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSSRNEEAEAPQPEREHQKRLCDYCGSSMALLYCRADSAKLCFLCDREVHSANQLFSKHTRSLLCDACDGSPASIFCTTESSVLCQNCDWESHNLSSSSVHDRRPLEGFSGCPCLNELLTVVGFENMDKKALLLSDESGGGGGGGDGFLGCGVDGSFDLDDGFSDFLVWDTPSVVSLDDLIVSNPAYKFQAMGVPPLPKNRNAACGRHKEEIFRQLRVLVKSEPNLFSENVDVKPLKSLASEQNMQRGSLFTGFEHDAEPTVFPAYEAHDFQYNDCGAAEKRESSPKTFIRSYLQECCVVPDKHSYNDGSASHANDGHGHGGQMNSEASSAFPKVAAHELSSQNRETALSRYKEKKKTRRYEKHIRYESRKVRAESRTRIKGRFAKMDH >ONH90409 pep chromosome:Prunus_persica_NCBIv2:G8:5743196:5754040:1 gene:PRUPE_8G052300 transcript:ONH90409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYTLWLINTMGGMCSKSRRSTVDDVTVNNAPSGSIPTANGHSSNGSRGLPLKVNTNSTPSPVSDGMDKKLRDPFMLPETNSMVPYGLITDDVNDGIPHLSRALSQKNRSTKSKQAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNPSSGFTSGVTTKGIKISILAFEVANTVVKGSNLMQSLSKDNIKHLKEVVLPSEGVQNLVSRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSEITPQRQLKDDAETVMQQLMTLVQNTAELYHELHALDRFEQDYRRKLQEEDNSNTTQRDSLAILRAELKSQRKHVRSLKKKSLWSRILEEVMEKLVDVVHFLHLEIHEAFGNADTDKPVKGVQNNHKKLGSAGLALHYANIISQIDTLVSRSSSVPPNTRDNLYQGLPPGVKSALRSKLQSFQVKEEHTVPEIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEMNRKPAGQTDLLRIETLHHADKSKTEFYILELVVWLHHLVSQVRVGNSGIRSPVKSPLCSPNQKAIQLSTNKPNCPSPILTVEDQEMLRYVSKRKLTPGISKSQEFDTAKNRFSKYNRLSKSSNHSPTSERRKDPFPIRRPSSVPVIDFDIDRIKALDVIDRVDTIRSI >ONH90410 pep chromosome:Prunus_persica_NCBIv2:G8:5746404:5754040:1 gene:PRUPE_8G052300 transcript:ONH90410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLNPSSGFTSGVTTKGIKISILAFEVANTVVKGSNLMQSLSKDNIKHLKEVVLPSEGVQNLVSRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSEITPQRQLKDDAETVMQQLMTLVQNTAELYHELHALDRFEQDYRRKLQEEDNSNTTQRGDSLAILRAELKSQRKHVRSLKKKSLWSRILEEVMEKLVDVVHFLHLEIHEAFGNADTDKPVKGVQNNHKKLGSAGLALHYANIISQIDTLVSRSSSVPPNTRDNLYQGLPPGVKSALRSKLQSFQVKEEHTVPEIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEMNRKPAGQTDLLRIETLHHADKSKTEFYILELVVWLHHLVSQVRVGNSGIRSPVKSPLCSPNQKAIQLSTNKPNCPSPILTVEDQEMLRYVSKRKLTPGISKSQEFDTAKNRFSKYNRLSKSSNHSPTSERRKDPFPIRRPSSVPVIDFDIDRIKALDVIDRVDTIRSI >ONH90406 pep chromosome:Prunus_persica_NCBIv2:G8:5742999:5754062:1 gene:PRUPE_8G052300 transcript:ONH90406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYTLWLINTMGGMCSKSRRSTVDDVTVNNAPSGSIPTANGHSSNGSRGLPLKVNTNSTPSPVSDGMDKKLRDPFMLPETNSMVPYGLITDDVNDGIPHLSRALSQKNRSTKSKQAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNPSSGFTSGVTTKGIKISILAFEVANTVVKGSNLMQSLSKDNIKHLKEVVLPSEGVQNLVSRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSEITPQRQLKDDAETVMQQLMTLVQNTAELYHELHALDRFEQDYRRKLQEEDNSNTTQRGDSLAILRAELKSQRKHVRSLKKKSLWSRILEEVMEKLVDVVHFLHLEIHEAFGNADTDKPVKGVQNNHKKLGSAGLALHYANIISQIDTLVSRSSSVPPNTRDNLYQGLPPGVKSALRSKLQSFQVKEEHTVPEIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEMNRKPAGQTDLLRIETLHHADKSKTEFYILELVVWLHHLVSQVRVGNSGIRSPVKSPLCSPNQKAIQLSTNKPNCPSPILTVEDQEMLRYVSKRKLTPGISKSQEFDTAKNRFSKYNRLSKSSNHSPTSERRKDPFPIRRPSSVPVIDFDIDRIKALDVIDRVDTIRSI >ONH90408 pep chromosome:Prunus_persica_NCBIv2:G8:5742926:5754040:1 gene:PRUPE_8G052300 transcript:ONH90408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYTLWLINTMGGMCSKSRRSTVDDVTVNNAPSGSIPTANGHSSNGSRGLPLKVNTNSTPSPVSDGMDKKLRDPFMLPETNSMVPYGLITDDVNDGIPHLSRALSQKNRSTKSKQAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNPSSGFTSGVTTKGIKISILAFEVANTVVKGSNLMQSLSKDNIKHLKEVVLPSEGVQNLVSRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSEITPQRQLKDDAETVMQQLMTLVQNTAELYHELHALDRFEQDYRRKLQEEDNSNTTQRGDSLAILRAELKSQRKHVRSLKKKSLWSRILEEVMEKLVDVVHFLHLEIHEAFGNADTDKPVKGVQNNHKKLGSAGLALHYANIISQIDTLVSRSSSVPPNTRDNLYQGLPPGVKSALRSKLQSFQVKEEHTVPEIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEMNRKPAGQTDLLRIETLHHADKSKTEFYILELVVWLHHLVSQVRVGNSGIRSPVKSPLCSPNQKAIQLSTNKPNCPSPILTVEDQEMLRYVSKRKLTPGISKSQEFDTAKNRFSKYNRLSKSSNHSPTSERRKDPFPIRRPSSVPVIDFDIDRIKALDVIDRVDTIRSI >ONH90407 pep chromosome:Prunus_persica_NCBIv2:G8:5743207:5754040:1 gene:PRUPE_8G052300 transcript:ONH90407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYTLWLINTMGGMCSKSRRSTVDDVTVNNAPSGSIPTANGHSSNGSRGLPLKVNTNSTPSPVSDGMDKKLRDPFMLPETNSMVPYGLITDDVNDGIPHLSRALSQKNRSTKSKQAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNPSSGFTSGVTTKGIKISILAFEVANTVVKGSNLMQSLSKDNIKHLKEVVLPSEGVQNLVSRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSEITPQRQLKDDAETVMQQLMTLVQNTAELYHELHALDRFEQDYRRKLQEEDNSNTTQRGDSLAILRAELKSQRKHVRSLKKKSLWSRILEEVMEKLVDVVHFLHLEIHEAFGNADTDKPVKGVQNNHKKLGSAGLALHYANIISQIDTLVSRSSSVPPNTRDNLYQGLPPGVKSALRSKLQSFQVKEEHTVPEIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEMNRKPAGQTDLLRIETLHHADKSKTEFYILELVVWLHHLVSQVRVGNSGIRSPVKSPLCSPNQKAIQLSTNKPNCPSPILTVEDQEMLRYVSKRKLTPGISKSQEFDTAKNRFSKYNRLSKSSNHSPTSERRKDPFPIRRPSSVPVIDFDIDRIKALDVIDRVDTIRSI >ONH90405 pep chromosome:Prunus_persica_NCBIv2:G8:5743207:5754040:1 gene:PRUPE_8G052300 transcript:ONH90405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYTLWLINTMGGMCSKSRRSTVDDVTVNNAPSGSIPTANGHSSNGSRGLPLKVNTNSTPSPVSDGMDKKLRDPFMLPETNSMVPYGLITDDVNDGIPHLSRALSQKNRSTKSKQAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNPSSGFTSGVTTKGIKISILAFEVANTVVKGSNLMQSLSKDNIKHLKEVVLPSEGVQNLVSRDMDELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSEITPQRQLKDDAETVMQQLMTLVQNTAELYHELHALDRFEQDYRRKLQEEDNSNTTQRGDSLAILRAELKSQRKHVRSLKKKSLWSRILEEVMEKLVDVVHFLHLEIHEAFGNADTDKPVKGVQNNHKKLGSAGLALHYANIISQIDTLVSRSSSVPPNTRDNLYQGLPPGVKSALRSKLQSFQVKEEHTVPEIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEMNRKPAGQTDLLRIETLHHADKSKTEFYILELVVWLHHLVSQVRVGNSGIRSPVKSPLCSPNQKAIQLSTNKPNCPSPILTVEDQEMLRYVSKRKLTPGISKSQEFDTAKNRFSKYNRLSKSSNHSPTSERRKDPFPIRRPSSVPVIDFDIDRIKALDVIDRVDTIRSI >ONH92858 pep chromosome:Prunus_persica_NCBIv2:G8:18975168:18977953:-1 gene:PRUPE_8G200600 transcript:ONH92858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPEVDKKMLGELEAMGFPRPRATRALHYSDWIIDHENDADIDEMPLVTVDISIGSPEPFYFTEAMKIKAQELRDQARKKKEEEEKKLEREREKGRIQSGKQLIEAKRSLEENERKRNIEFRKAEKEEEKRARERIRWKLKQDKLERRVNVGLPPEQLVAEERTPAVRIEQNPFPVRSVAKSERMRECLRSLRRNHKDDDARVRQAFQTLLIYVGNVARNPNEEKFRKIRLSNPLFLDRVGSLTGGIEFLELCGFETTEGEEFLYLPHNKVDMATLNSAASELKSALTNPFFGLL >ONH92860 pep chromosome:Prunus_persica_NCBIv2:G8:18974278:18977618:-1 gene:PRUPE_8G200600 transcript:ONH92860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVTVDISIGSPEPFYFTEAMKIKAQELRDQARKKKEEEEKKLEREREKGRIQSGKQLIEAKRSLEENERKRNIEFRKAEKEEEKRARERIRWKLKQDKLERRVNVGLPPEQLVAEERTPAVRIEQNPFPVRSVAKSERMRECLRSLRRNHKDDDARVRQAFQTLLIYVGNVARNPNEEKFRKIRLSNPLFLDRVGSLTGGIEFLELCGFETTEGEEFLYLPHNKVDMATLNSAASELKSALTNPFFGLL >ONH92859 pep chromosome:Prunus_persica_NCBIv2:G8:18975168:18977953:-1 gene:PRUPE_8G200600 transcript:ONH92859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPEVDKKMLGELEAMGFPRPRATRALHYSGNASLGAAIDWIIDHENDADIDEMPLVTVDISIGSPEPFYFTEAMKIKAQELRDQARKKKEEEEKKLEREREKGRIQSGKQLIEAKRSLEENERKRNIEFRKAEKEEEKRARERIRWKLKQDKLERRVNVGLPPEQLVAEERTPAVRIEQNPFPVRSVAKSERMRECLRSLRRNHKDDDARVRQAFQTLLIYVGNVARNPNEEKFRKIRLSNPLFLDRVGSLTGGIEFLELCGFETTEGEEFLYLPHNKVDMATLNSAASELKSALTNPFFGLL >ONH92861 pep chromosome:Prunus_persica_NCBIv2:G8:18974278:18978072:-1 gene:PRUPE_8G200600 transcript:ONH92861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKAQELRDQARKKKEEEEKKLEREREKGRIQSGKQLIEAKRSLEENERKRNIEFRKAEKEEEKRARERIRWKLKQDKLERRVNVGLPPEQLVAEERTPAVRIEQNPFPVRSVAKSERMRECLRSLRRNHKDDDARVRQAFQTLLIYVGNVARNPNEEKFRKIRLSNPLFLDRVGSLTGGIEFLELCGFETTEGEEFLYLPHNKVDMATLNSAASELKSALTNPFFGLL >ONH92862 pep chromosome:Prunus_persica_NCBIv2:G8:18974278:18978072:-1 gene:PRUPE_8G200600 transcript:ONH92862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPEVDKKMLGELEAMGFPRPRATRALHYSGNASLGAAIDWIIDHENDADIDEMPLVTVDISIGSPEPFYFTEAMKIKAQELRDQARKKKEEEEKKLEREREKGRIQSGKQLIEAKRSLEENERKRNIEFRKAEKEEEKRARERIRWKLKQDKLERRVNVGLPPEQLVAEERTPAVRIEQNPFPVRSVAKSERMRECLRSLRRNHKES >ONH91561 pep chromosome:Prunus_persica_NCBIv2:G8:14902920:14909429:-1 gene:PRUPE_8G123200 transcript:ONH91561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALSLALGAKGIFVTVVDLSEEKGKEVASLVQKENANFHTSLGFPSALFVKCDVTDTGNIAAAFEKHLATFGGLDICINSAGIINPIPFHKDQTDGTRSWRLTVNLNLIAVIDCTRLAIKTMQAVKKPGVIINMGSAAGLYPLDGDPIYSGSKGGVVQFTRSLVPYKHKGIRINVLCPEFVERTDMGLKAGSKFVSIMGGFVRMEMVVKGAFELITDESKAGSCLWITNRRGMEYWPTPAEEAKYLISQTKRAVTNAPIFQAPLNLQLPQSFEKLVVHTLSHNFRNATGVVRTPLRLPIKPDHVLVKVIYAGVNASDVNYSSGRYFSGSNKDLESQLPFDCGFEAVGIIAAVGESVTNLKVGTPAAIMTFGSYAEFTMVPSKHILPVERPDPEVVAMLTSGLTASIALEKVKCMRMYSRKIMCSPKTVSAHICICVSELHIISFAEFLVVTFR >ONH91558 pep chromosome:Prunus_persica_NCBIv2:G8:14900716:14908963:-1 gene:PRUPE_8G123200 transcript:ONH91558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALSLALGAKGIFVTVVDLSEEKGKEVASLVQKENANFHTSLGFPSALFVKCDVTDTGNIAAAFEKHLATFGGLDICINSAGIINPIPFHKDQTDGTRSWRLTVNLNLIAVIDCTRLAIKTMQAVKKPGVIINMGSAAGLYPLDGDPIYSGSKGGVVQFTRSLVPYKHKGIRINVLCPEFVERTDMGLKAGSKFVSIMGGFVRMEMVVKGAFELITDESKAGSCLWITNRRGMEYWPTPAEEAKYLISQTKRAVTNAPIFQAPLNLQLPQSFEKLVVHTLSHNFRNATGVVRTPLRLPIKPDHVLVKVIYAGVNASDVNYSSGRYFSGSNKDLESQLPFDCGFEAVGIIAAVGESVTNLKVGTPAAIMTFGSYAEFTMVPSKHILPVERPDPEVVAMLTSGLTASIALEKAGAGQLESGKVVLVTAAAGGTGQFAVQLAKLARNTVVATCRGEEKAKLLKELGVDRVIDYKAEDIKTVLKKEFPKGVDIIYESVGGDMFDLCLNALAVYGRLIVIGMISQYQGEHGWKPSNYPGLCEKLLAKSQTVAGFFLLQYSHFWQEHLDKLFHLFSMGKLKVSLDPKQFLGVRSVADAVEYLHSGKSVGKVVVCIDPTFSQQTARL >ONH91560 pep chromosome:Prunus_persica_NCBIv2:G8:14900334:14909429:-1 gene:PRUPE_8G123200 transcript:ONH91560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALSLALGAKGIFVTVVDLSEEKGKEVASLVQKENANFHTSLGFPSALFVKCDVTDTGNIAAAFEKHLATFGGLDICINSAGIINPIPFHKDQTDGTRSWRLTVNLNLIAVIDCTRLAIKTMQAVKKPGVIINMGSAAGLYPLDGDPIYSGSKGGVVQFTRSLVPYKHKGIRINVLCPEFVERTDMGLKAGSKFVSIMGGFVRMEMVVKGAFELITDESKAGSCLWITNRRGMEYWPTPAEEAKYLISQTKRAVTNAPIFQAPLNLQLPQSFEKLVVHTLSHNFRNATGVVRTPLRLPIKPDHVLVKVIYAGVNASDVNYSSGRYFSGSNKDLESQLPFDCGFEAVGIIAAVGESVTNLKVGTPAAIMTFGSYAEFTMVPSKHILPVERPDPEVVAMLTSGLTASIALEKAGAGQLESGKVVLVTAAAGGTGQFAVQLAKLARNTVVATCRGEEKAKLLKELGVDRVIDYKAEDIKTVLKKEFPKGVDIIYESVGGDMFDLCLNALAVYGRLIVIGMISQYQGEHGWKPSNYPGLCEKLLAKSQTVSLLARTSG >ONH91559 pep chromosome:Prunus_persica_NCBIv2:G8:14900334:14907155:-1 gene:PRUPE_8G123200 transcript:ONH91559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVKKPGVIINMGSAAGLYPLDGDPIYSGSKGGVVQFTRSLVPYKHKGIRINVLCPEFVERTDMGLKAGSKFVSIMGGFVRMEMVVKGAFELITDESKAGSCLWITNRRGMEYWPTPAEEAKYLISQTKRAVTNAPIFQAPLNLQLPQSFEKLVVHTLSHNFRNATGVVRTPLRLPIKPDHVLVKVIYAGVNASDVNYSSGRYFSGSNKDLESQLPFDCGFEAVGIIAAVGESVTNLKVGTPAAIMTFGSYAEFTMVPSKHILPVERPDPEVVAMLTSGLTASIALEKAGAGQLESGKVVLVTAAAGGTGQFAVQLAKLARNTVVATCRGEEKAKLLKELGVDRVIDYKAEDIKTVLKKEFPKGVDIIYESVGGDMFDLCLNALAVYGRLIVIGMISQYQGEHGWKPSNYPGLCEKLLAKSQTVAGFFLLQYSHFWQEHLDKLFHLFSMGKLKVSLDPKQFLGVRSVADAVEYLHSGKSVGKVVVCIDPTFSQQTARL >ONH90037 pep chromosome:Prunus_persica_NCBIv2:G8:2973122:2979459:-1 gene:PRUPE_8G031500 transcript:ONH90037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLVSSSSRSRNSDLSRRPSSNSPATKPKPSATPSSSSAHPQTLNNHSNGISDYHSTNTTSSMPIGSMTIDGLLYDPNPTPMTDATLLDAQITLINTDAAAEMNSSSAAVPQKTVDEVWREIVSGEARKGCKEEVPDDLMTLEDFLARAGAVEEDDIKDMPLAMPPPETERLSGGVFSFDPMPQLSPFSSIDKVEGSIIGFGNGVEMVGSGGVGRGKRGRAVLEPMDKVAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKTERTEERRKQLMEKVIPVVEKRRPARALRRVHSLQW >ONH90034 pep chromosome:Prunus_persica_NCBIv2:G8:2974750:2979251:-1 gene:PRUPE_8G031500 transcript:ONH90034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLVSSSSRSRNSDLSRRPSSNSPATKPKPSATPSSSSAHPQTLNNHSNGISDYHSTNTTSSMPIGSMTIDGLLYDPNPTPMTDATLLDAQITLINTDAAAEMNSSSAAVPQKTVDEVWREIVSGEARKGCKEEVPDDLMTLEDFLARAGAVEEDDIKDMPLAMPPPETERLSGGVFSFDPMPQLSPFSSIDKVEGSIIGFGNGVEMVGSGGVGRGKRGRAVLEPMDKVAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKTERTEERRKQLMEKVIPVVEKRRPARALRRVHSLQW >ONH90033 pep chromosome:Prunus_persica_NCBIv2:G8:2974750:2979251:-1 gene:PRUPE_8G031500 transcript:ONH90033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLVSSSSRSRNSDLSRRPSSNSPATKPKPSATPSSSSAHPQTLNNHSNGISDYHSTNTTSSMPIGSMTIDGLLYDPNPTPMTDATLLDAQITLINTDAAAEMNSSSAAVPQKTVDEVWREIVSGEARKGCKEEVPDDLMTLEDFLARAGAVEEDDIKDMPLAMPPPETERLSGGVFSFDPMPQLSPFSSIDKVEGSIIGFGNGVEMVGSGGVGRGKRGRAVLEPMDKVAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKTERTEERRKQLMEKVIPVVEKRRPARALRRVHSLQW >ONH90036 pep chromosome:Prunus_persica_NCBIv2:G8:2974750:2979251:-1 gene:PRUPE_8G031500 transcript:ONH90036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLVSSSSRSRNSDLSRRPSSNSPATKPKPSATPSSSSAHPQTLNNHSNGISDYHSTNTTSSMPIGSMTIDGLLYDPNPTPMTDATLLDAQITLINTDAAAEMNSSSAAVPQKTVDEVWREIVSGEARKGCKEEVPDDLMTLEDFLARAGAVEEDDIKDMPLAMPPPETERLSGGVFSFDPMPQLSPFSSIDKVEGSIIGFGNGVEMVGSGGVGRGKRGRAVLEPMDKVAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKTERTEERRKQLMEKVIPVVEKRRPARALRRVHSLQW >ONH90035 pep chromosome:Prunus_persica_NCBIv2:G8:2973122:2979418:-1 gene:PRUPE_8G031500 transcript:ONH90035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLVSSSSRSRNSDLSRRPSSNSPATKPKPSATPSSSSAHPQTLNNHSNGISDYHSTNTTSSMPIGSMTIDGLLYDPNPTPMTDATLLDAQITLINTDAAAEMNSSSAAVPQKTVDEVWREIVSGEARKGCKEEVPDDLMTLEDFLARAGAVEEDDIKDMPLAMPPPETERLSGGVFSFDPMPQLSPFSSIDKVEGSIIGFGNGVEMVGSGGVGRGKRGRAVLEPMDKVAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKTERTEERRKQLMEKVIPVVEKRRPARALRRVHSLQW >ONH93890 pep chromosome:Prunus_persica_NCBIv2:G8:21975230:21977462:1 gene:PRUPE_8G259100 transcript:ONH93890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMSHSSTFLLPTITPSPTYALVVLNQSLPRFAPLLWKHAQLRLCADGGANRVYDDMPLLLPHENAFDVRKRYKPDVIKGDMDSIRKEVLEFYANMGTKIIDESDDQDTTDLHKCVAYICDWAPDLDKSNLCILVAGALGGRFDHEIGNINVLCRFSTIRIILISDDCLIHLLPRTHRHEIHIQPTIEGPHCGLIPIGMPSGSTTTTGLQWDLAETEMKFGGLISTSNIVKGEKITVQSDSDLLWTINIKKL >ONH93493 pep chromosome:Prunus_persica_NCBIv2:G8:20813636:20817622:-1 gene:PRUPE_8G234900 transcript:ONH93493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLYPVKEEFPGSSASQSGPGDPVVMIPPQPMEGLNDTGPPPFLTKTFDMVDDPSTNRIVSWSRGGGSFVVWDPHTFVMNLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFVRGQKHLLKNIKRRKTPSQPLPAQQALGPCVEVGRFGLDGEIDRLRRDKQVLMMELVKLRQQQQNTRAYLQAMEQRLQGTERKQQQMMAFLARAMQNPAFMQQLVQQKDKRKELEEAMTKKRRRPIDQGPSGVGGGKSSLKGKGTNLIKCCRHCLDRNCASFYDDLSQDLLRSC >ONH93490 pep chromosome:Prunus_persica_NCBIv2:G8:20813716:20817621:-1 gene:PRUPE_8G234900 transcript:ONH93490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLYPVKEEFPGSSASQSGPGDPVVMIPPQPMEGLNDTGPPPFLTKTFDMVDDPSTNRIVSWSRGGGSFVVWDPHTFVMNLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFVRGQKHLLKNIKRRKTPSQPLPAQQALGPCVEVGRFGLDGEIDRLRRDKQVLMMELVKLRQQQQNTRAYLQAMEQRLQGTERKQQQMMAFLARAMQNPAFMQQLVQQKDKRKELEEAMTKKRRRPIDQGPSGVGGGKSSLKGKGTNLIKCCRHCLDRNCASFYDDLSQDLLRSC >ONH93492 pep chromosome:Prunus_persica_NCBIv2:G8:20813716:20817539:-1 gene:PRUPE_8G234900 transcript:ONH93492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLYPVKEEFPGSSASQSGPGDPVVMIPPQPMEGLNDTGPPPFLTKTFDMVDDPSTNRIVSWSRGGGSFVVWDPHTFVMNLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFVRGQKHLLKNIKRRKTPSQPLPAQQALGPCVEVGRFGLDGEIDRLRRDKQVLMMELVKLRQQQQNTRAYLQAMEQRLQGTERKQQQMMAFLARAMQNPAFMQQLVQQKDKRKELEEAMTKKRRRPIDQGPSGVGGGKSSLKGKGTNLIKCCRHCLDRNCASFYDDLSQDLLRSC >ONH93497 pep chromosome:Prunus_persica_NCBIv2:G8:20815012:20817210:-1 gene:PRUPE_8G234900 transcript:ONH93497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLYPVKEEFPGSSASQSGPGDPVVMIPPQPMEGLNDTGPPPFLTKTFDMVDDPSTNRIVSWSRGGGSFVVWDPHTFVMNLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFVRGQKHLLKNIKRRKTPSQPLPAQQALGPCVEVGRFGLDGEIDRLRRDKQVLMMELVKLRQQQQNTRAYLQAMEQRLQGTERKQQQMMAFLARAMQNPAFMQQLVQQKDKRKELEEAMTKKRRRPIDQGPSGVGGGKSSLKGKGTNLIKCEPLEFGDCDYEMSELEALALEMQGFGKARKEQDEELEPLESGKEFDEGFWEELFSERLEEDFSIPSAIVGEDEDVIILADRLGYLGSCPK >ONH93495 pep chromosome:Prunus_persica_NCBIv2:G8:20815012:20817210:-1 gene:PRUPE_8G234900 transcript:ONH93495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLYPVKEEFPGSSASQSGPGDPVVMIPPQPMEGLNDTGPPPFLTKTFDMVDDPSTNRIVSWSRGGGSFVVWDPHTFVMNLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFVRGQKHLLKNIKRRKTPSQPLPAQQALGPCVEVGRFGLDGEIDRLRRDKQVLMMELVKLRQQQQNTRAYLQAMEQRLQGTERKQQQMMAFLARAMQNPAFMQQLVQQKDKRKELEEAMTKKRRRPIDQGPSGVGGGKSSLKGKGTNLIKCEPLEFGDCDYEMSELEALALEMQGFGKARKEQDEELEPLESGKEFDEGFWEELFSERLEEDFSIPSAIVGEDEDVIILADRLGYLGSCPK >ONH93496 pep chromosome:Prunus_persica_NCBIv2:G8:20815012:20817210:-1 gene:PRUPE_8G234900 transcript:ONH93496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLYPVKEEFPGSSASQSGPGDPVVMIPPQPMEGLNDTGPPPFLTKTFDMVDDPSTNRIVSWSRGGGSFVVWDPHTFVMNLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFVRGQKHLLKNIKRRKTPSQPLPAQQALGPCVEVGRFGLDGEIDRLRRDKQVLMMELVKLRQQQQNTRAYLQAMEQRLQGTERKQQQMMAFLARAMQNPAFMQQLVQQKDKRKELEEAMTKKRRRPIDQGPSGVGGGKSSLKGKGTNLIKCEPLEFGDCDYEMSELEALALEMQGFGKARKEQDEELEPLESGKEFDEGFWEELFSERLEEDFSIPSAIVGEDEDVIILADRLGYLGSCPK >ONH93494 pep chromosome:Prunus_persica_NCBIv2:G8:20815012:20817210:-1 gene:PRUPE_8G234900 transcript:ONH93494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLYPVKEEFPGSSASQSGPGDPVVMIPPQPMEGLNDTGPPPFLTKTFDMVDDPSTNRIVSWSRGGGSFVVWDPHTFVMNLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFVRGQKHLLKNIKRRKTPSQPLPAQQALGPCVEVGRFGLDGEIDRLRRDKQVLMMELVKLRQQQQNTRAYLQAMEQRLQGTERKQQQMMAFLARAMQNPAFMQQLVQQKDKRKELEEAMTKKRRRPIDQGPSGVGGGKSSLKGKGTNLIKCEPLEFGDCDYEMSELEALALEMQGFGKARKEQDEELEPLESGKEFDEGFWEELFSERLEEDFSIPSAIVGEDEDVIILADRLGYLGSCPK >ONH93491 pep chromosome:Prunus_persica_NCBIv2:G8:20813042:20817657:-1 gene:PRUPE_8G234900 transcript:ONH93491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLYPVKEEFPGSSASQSGPGDPVVMIPPQPMEGLNDTGPPPFLTKTFDMVDDPSTNRIVSWSRGGGSFVVWDPHTFVMNLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFVRGQKHLLKNIKRRKTPSQPLPAQQALGPCVEVGRFGLDGEIDRLRRDKQVLMMELVKLRQQQQNTRAYLQAMEQRLQGTERKQQQMMAFLARAMQNPAFMQQLVQQKDKRKELEEAMTKKRRRPIDQGPSGVGGGKSSLKGKGTNLIKCCRHCLDRNCASFYDDLSQDLLRSC >ONH89874 pep chromosome:Prunus_persica_NCBIv2:G8:1923235:1925271:1 gene:PRUPE_8G021300 transcript:ONH89874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGVKGDSYRCYLHGEGEKNTKWRYGAPPNYGAVNKLFEEGRTKIWPPGSLEEQVQNLVKTWEMEMFHKTRDEDFKASDPKKYTFSLNGRKAVSLEEKRKLGGGYNSLLQTSLPDEFRCYNPAEETVDSAHRAFTTAFPRGFALEILHVYSGPPLIVYKFRHWGYMDGPFKGHAPTGEKVELFGMANFELDEHGKIVKVEFFFDRGELLGGLLKGASFDSSSKEAALACPFLRNTG >ONH90967 pep chromosome:Prunus_persica_NCBIv2:G8:11910555:11912599:-1 gene:PRUPE_8G086100 transcript:ONH90967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTKALKSSLRRELTLKSNQQALIDEFWCATGISGVPSEDFSVDDLLDLSNGEFEDGSVEEEEEEEKDSVSVDDESSNSSNFVSADSESALASQLLVPDDDLAGLEWVSHFADDSLLDLSLLHPVGTQKPEALALTPSEPEAKPVQSRPTWFPKQVPVKPRSKRCRPASRVWSYPSSSSPSSSSSCSSGFSFSTPCLIFNNPVHSADVFVGEPATKKQKKKPAVQTGVDGSVGVQFQRRCSHCHVQKTPQWRTGPLGAKTLCNACGVRFKSGRLFPEYRPACSPTFSGDVHSNSHRKVLEMRKRKEAGGPEPGLNRVIPSF >ONH93142 pep chromosome:Prunus_persica_NCBIv2:G8:19782162:19784544:-1 gene:PRUPE_8G215500 transcript:ONH93142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARRLVSLFNKSSSASQISSSAKTVDEGKSSFGRKAVSFLLITTTGGVALSALNDLVIYQSCSSKAMEKASKNPAIIEAIGEPIVKGSWYNASLAVAHKRHSVSCTFPVSGPRGGGVLEVKAVRNGDDTWLSYLIPRDWDILIMDALVHVPENEVKQQTMRIGISDTPAPACTACTGCSTQESVNPEKK >ONH93136 pep chromosome:Prunus_persica_NCBIv2:G8:19761608:19764250:1 gene:PRUPE_8G215100 transcript:ONH93136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDEGGLARRLWFKFRDDSVFSLYTPFFVGLASATLHSETTFRHFISQDLHFLKAFVLAYELAEDCADDEDDKNGLRDLRKRAVGRLQMHDTFVREWGFEFPNEDISKDIATTKYTDFLLATASGKIEGERSVLDKIATPFEKTKVAAYTLAALAPCMRLYAFISTEIQGIINPDQDSTHIYKSWIENYSSQVFEEIALQNEDMLDKLSVSLTGEELEIIEKLYHQAMKLQVDFIAAQPISDQQSVVPLSRVHDFSKRHLTILCDFDLACTAFDSAAILAEIAIITAPKADMDGSDQTQLARMPSADLRSTWDVLSTQYTEQFEQCVESIVASERVEEFDYERLCSALEQLAEFERKANERVVQSGVLKGLNAEDIKRAGQSLILQDGCRSFFQKIVKNKNLKTDVHVLSYCWCNDLIVSAFSSGDLNVLNVHSNELVYQESVTTGEIVKKMESPMEKLQVFNDVLIDRRGEGNKHLTVYIGGSVGDLLCLLEADIGIVVGSSSSLRRLGDHFGVSFVPLFSGLVKRQKELADQDCASNWWKPLSGVLYTVSSWAEIQAFILGT >ONH93135 pep chromosome:Prunus_persica_NCBIv2:G8:19761084:19764832:1 gene:PRUPE_8G215100 transcript:ONH93135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVKKKRKTSTIKQQRNNPIPPNFPLFLSLSPSLSYLFILIYFCCSVQIVRRRRRSLELIENMAIDEGGLARRLWFKFRDDSVFSLYTPFFVGLASATLHSETTFRHFISQDLHFLKAFVLAYELAEDCADDEDDKNGLRDLRKRAVGRLQMHDTFVREWGFEFPNEDISKDIATTKYTDFLLATASGKIEGERSVLDKIATPFEKTKVAAYTLAALAPCMRLYAFISTEIQGIINPDQDSTHIYKSWIENYSSQVFEEIALQNEDMLDKLSVSLTGEELEIIEKLYHQAMKLQVDFIAAQPISDQQSVVPLSRVHDFSKRHLTILCDFDLACTAFDSAAILAEIAIITAPKADMDGSDQTQLARMPSADLRSTWDVLSTQYTEQFEQCVESIVASERVEEFDYERLCSALEQLAEFERKANERVVQSGVLKGLNAEDIKRAGQSLILQDGCRSFFQKIVKNKNLKTDVHVLSYCWCNDLIVSAFSSGDLNVLNVHSNELVYQESVTTGEIVKKMESPMEKLQVFNDVLIDRRGEGNKHLTVYIGGSVGDLLCLLEADIGIVVGSSSSLRRLGDHFGVSFVPLFSGLVKRQKELADQDCASNWWKPLSGVLYTVSSWAEIQAFILGSSKLVLRKISMATFWQERQREEDVI >ONH93304 pep chromosome:Prunus_persica_NCBIv2:G8:20224228:20227743:-1 gene:PRUPE_8G224500 transcript:ONH93304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVENAEKPGILPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICSVASGLSFGQNPKSVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIFAIISSAAFEAKFDAPAYEVDPVKSTVPQADYLWRIIVMVGAIPAAMTYYWRMKMPETARYTALVAKNAKQAASDMSRVLQVDIEAEPQKMEESVSAFKLFSKEFMHRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNAIQEVYRIARAQTLIALCSTVPGYWFTVLFIDRIGRFAIQLMGFFFMTVFMFALAIPYDHWTHKDNRIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISAASGKLGAIVGAFGFLYLAQNKDKSKADAGYPAGIGVKNSLLVLGVINFLGILFTFLVPESNGKSLEEMSGENEDENENGAVELEPSGLNNRTVPLA >ONH93303 pep chromosome:Prunus_persica_NCBIv2:G8:20224112:20227876:-1 gene:PRUPE_8G224500 transcript:ONH93303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVENAEKPGILPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICSVASGLSFGQNPKSVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIFAIISSAAFEAKFDAPAYEVDPVKSTVPQADYLWRIIVMVGAIPAAMTYYWRMKMPETARYTALVAKNAKQAASDMSRVLQVDIEAEPQKMEESVSAFKLFSKEFMHRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNAIQEVYRIARAQTLIALCSTVPGYWFTVLFIDRIGRFAIQLMGFFFMTVFMFALAIPYDHWTHKDNRIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISAASGKLGAIVGAFGFLYLAQNKDKSKADAGYPAGIGVKNSLLVLGVINFLGILFTFLVPESNGKSLEEMSGENEDENENGAVELEPSGLNNRTVPLA >ONH93305 pep chromosome:Prunus_persica_NCBIv2:G8:20224592:20226208:-1 gene:PRUPE_8G224500 transcript:ONH93305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVENAEKPGILPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICSVASGLSFGQNPKSVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIFAIISSAAFEAKFDAPAYEVDPVKSTVPQADYLWRIIVMVGAIPAAMTYYWRMKMPETARYTALVAKNAKQAASDMSRVLQVDIEAEPQKMEESVSAFKLFSKEFMHRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNAIQEVYRIARAQTLIALCSTVPGYWFTVLFIDRIGRFAIQLMGFFFMTVFMFALAIPYDHWTHKDNRIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISAASGKLGAIVGAFGFLYLAQNKDKSKADAGYPAGIGVKNSLLVLGVINFLGILFTFLVPESNGKSLEEMSGENEDENENGAVELEPSGLNNRTVPLA >ONH91310 pep chromosome:Prunus_persica_NCBIv2:G8:13719091:13721812:-1 gene:PRUPE_8G105800 transcript:ONH91310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLHLCRLPYRTDLFFSESLAGKVSLSRRKLISVRCSGDSSSSAAVESDFDAKVFRKNLTRSKNYNRRGFGHKEATLELMNREYTSDIIKTLKENGFEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDERIWITNEIIHNPTVNKRLEEMKVENIPIEEGEKQFEVVNKGDVVILPAFGAGVDEMLNLSNRDVQIVDTTCPWVSKVWNTVEKHKKGDYTSIIHGKYAHEETVATASFAGKYVIVKNMDEATYVCDYILGGELNGSSSTREAFLEKFKKALSPGFDPDRDLVKVGIANQTTMLKGETEEIGKLVEKTMMRKHGVENINDHFVSFNTICDATQVTTRCNVQAC >ONH91308 pep chromosome:Prunus_persica_NCBIv2:G8:13719091:13725136:-1 gene:PRUPE_8G105800 transcript:ONH91308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKTHLKVCVAGKASLHRRKLLSVRCSCDSSSTAAVEPEFDAKVFRKNLTGKASATKKHPQLMNRDYTGDIIKTLKENGFEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDERIWITNEIIHNPTVNKRLEEMKVENIPIEEGEKQFEVVNKGDVVILPAFGAGVDEMLNLSNRDVQIVDTTCPWVSKVWNTVEKHKKGDYTSIIHGKYAHEETVATASFAGKYVIVKNMDEATYVCDYILGGELNGSSSTREAFLEKFKKALSPGFDPDRDLVKVGIANQTTMLKGETEEIGKLVEKTMMRKHGVENINDHFVSFNTICDATQERQDAMYKLVEEKLDLLLVIGGWNSSNTSHLQEIAEERGIPSYWVDSEKRIGPGNRIAYKLNHGELVEKENWLPQGPITIGVTSGASTPDKVVEDTLIRVFDLKREEALQLA >ONH91309 pep chromosome:Prunus_persica_NCBIv2:G8:13718968:13721812:-1 gene:PRUPE_8G105800 transcript:ONH91309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLHLCRLPYRTDLFFSESLAGKVSLSRRKLISVRCSGDSSSSAAVESDFDAKVFRKNLTRSKNYNRRGFGHKEATLELMNREYTSDIIKTLKENGFEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDERIWITNEIIHNPTVNKRLEEMKVENIPIEEGEKQFEVVNKGDVVILPAFGAGVDEMLNLSNRDVQIVDTTCPWVSKVWNTVEKHKKGDYTSIIHGKYAHEETVATASFAGKYVIVKNMDEATYVCDYILGGELNGSSSTREAFLEKFKKALSPGFDPDRDLVKVGIANQTTMLKGETEEIGKLVEKTMMRKHGVENINDHFVSFNTICDATQERQDAMYKLVEEKLDLLLVIGGWNSSNTSHLQEIAEERGIPSYWVDSEKRIGPGNRIAYKLNHGELVEKENWLPQGPITIGVTSGASTPDKVVEDTLIRVFDLKREEALQLA >ONH93729 pep chromosome:Prunus_persica_NCBIv2:G8:21526211:21531222:-1 gene:PRUPE_8G249600 transcript:ONH93729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCTCTVKESPLQFKSLSSQQFDEFDEMGYSLSRLTLESDHFDEVGSSQTSGKLLHNLEHEVAQLTKLRSAPHERLNQIGPGKRDLPVSVVKMLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLVYASMSPIVHMVNVGSSQIESLANVTEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEGITFIDSKGDGRYFISNGKDQAIKLWDIRRMTSKATCIPGQRSSEWDYRWMDYPPQAKDIKHPSDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSGVYIYDLVSGARVATLKYHKAPVRDCSWHPYYPMLVSSSWDGAVVRWEFPGKGEAPAPAPAPAPASFSRNRIWRRHFS >ONH93731 pep chromosome:Prunus_persica_NCBIv2:G8:21526225:21531203:-1 gene:PRUPE_8G249600 transcript:ONH93731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSERKPPPIQVSFFTTVGSSQTSGKLLHNLEHEVAQLTKLRSAPHERLNQIGPGKRDLPVSVVKMLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEGITFIDSKGDGRYFISNGKDQAIKLWDIRRMTSKATCIPGQRSSEWDYRWMDYPPQAKDIKHPSDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSGVYIYDLVSGARVATLKYHKAPVRDCSWHPYYPMLVSSSWDGAVVRWEFPGKGEAPAPAPAPAPASFSRNRIWRRHFS >ONH93732 pep chromosome:Prunus_persica_NCBIv2:G8:21526211:21531221:-1 gene:PRUPE_8G249600 transcript:ONH93732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSERKPPPIQVSFFTTVGSSQTSGKLLHNLEHEVAQLTKLRSAPHERLNQIGPGKRDLPVSVVKMLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLVYASMSPIVHMVNVGSSQIESLANVTEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEGITFIDSKGDGRYFISNGKDQAIKLWDIRRMTSKATCIPGQRSSEWDYRWMDYPPQAKDIKHPSDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSGVYIYDLVSGARVATLKYHKAPVRDCSWHPYYPMLVSSSWDGAVVRWEFPGKGEAPAPAPAPAPASFSRNRIWRRHFS >ONH93735 pep chromosome:Prunus_persica_NCBIv2:G8:21526252:21531204:-1 gene:PRUPE_8G249600 transcript:ONH93735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSLSRLTLESDHFDEVGSSQTSGKLLHNLEHEVAQLTKLRSAPHERLNQIGPGKRDLPVSVVKMLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLVYASMSPIVHMVNVGSSQIESLANVTEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEGITFIDSKGDGRYFISNGKDQAIKLWDIRRMTSKATCIPGQRSSEWDYRWMDYPPQAKDIKHPSDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSGVYIYDLVSGARVATLKYHKAPVRDCSWHPYYPMLVSSSWDGAVVRWEFPGKGEAPAPAPAPAPASFSRNRIWRRHFS >ONH93738 pep chromosome:Prunus_persica_NCBIv2:G8:21526258:21531202:-1 gene:PRUPE_8G249600 transcript:ONH93738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLVYASMSPIVHMVNVGSSQIESLANVTEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEGITFIDSKGDGRYFISNGKDQAIKLWDIRRMTSKATCIPGQRSSEWDYRWMDYPPQAKDIKHPSDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSGVYIYDLVSGARVATLKYHKAPVRDCSWHPYYPMLVSSSWDGAVVRWEFPGKGEAPAPAPAPAPASFSRNRIWRRHFS >ONH93737 pep chromosome:Prunus_persica_NCBIv2:G8:21526234:21531208:-1 gene:PRUPE_8G249600 transcript:ONH93737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSLSRLTLESDHFDEVGSSQTSGKLLHNLEHEVAQLTKLRSAPHERLNQIGPGKRDLPVSVVKMLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLVYASMSPIVHMVNVGSSQIESLANVTEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEGITFIDSKGDGRYFISNGKDQAIKLWDIRRMTSKATCIPGQRSSEWDYRWMDYPPQAKDIKHPSDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSGVYIYDLVSGARVATLKYHKAPVRDCSWHPYYPMLVSSSWDGAVVRWEFPGKGEAPAPAPAPAPASFSRNRIWRRHFS >ONH93734 pep chromosome:Prunus_persica_NCBIv2:G8:21526258:21531201:-1 gene:PRUPE_8G249600 transcript:ONH93734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSLSRLTLESDHFDEVGSSQTSGKLLHNLEHEVAQLTKLRSAPHERLNQIGPGKRDLPVSVVKMLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLVYASMSPIVHMVNVGSSQIESLANVTEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEGITFIDSKGDGRYFISNGKDQAIKLWDIRRMTSKATCIPGQRSSEWDYRWMDYPPQAKDIKHPSDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSGVYIYDLVSGARVATLKYHKAPVRDCSWHPYYPMLVSSSWDGAVVRWEFPGKGEAPAPAPAPAPASFSRNRIWRRHFS >ONH93739 pep chromosome:Prunus_persica_NCBIv2:G8:21526225:21531203:-1 gene:PRUPE_8G249600 transcript:ONH93739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSLSRLTLESDHFDEVGSSQTSGKLLHNLEHEVAQLTKLRSAPHERLNQIGPGKRDLPVSVVKMLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLVYASMSPIVHMVNVGSSQIESLANVTEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEAFQGKGVLNGITDGWTTHPRPKISNIHLINQ >ONH93736 pep chromosome:Prunus_persica_NCBIv2:G8:21526455:21530528:-1 gene:PRUPE_8G249600 transcript:ONH93736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSLSRLTLESDHFDEVGSSQTSGKLLHNLEHEVAQLTKLRSAPHERLNQIGPGKRDLPVSVVKMLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLVYASMSPIVHMVNVGSSQIESLANVTEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEGITFIDSKGDGRYFISNGKDQAIKLWDIRRMTSKATCIPGQRSSEWDYRWMDYPPQAKDIKHPSDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSGVYIYDLVSGARVATLKYHKAPVRDCSWHPYYPMLVSSSWDGAVVRWEFPGKGEAPAPAPAPAPASFSRNRIWRRHFS >ONH93733 pep chromosome:Prunus_persica_NCBIv2:G8:21526224:21531201:-1 gene:PRUPE_8G249600 transcript:ONH93733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSLSRLTLESDHFDEVGSSQTSGKLLHNLEHEVAQLTKLRSAPHERLNQIGPGKRDLPVSVVKMLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEGITFIDSKGDGRYFISNGKDQAIKLWDIRRMTSKATCIPGQRSSEWDYRWMDYPPQAKDIKHPSDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSGVYIYDLVSGARVATLKYHKAPVRDCSWHPYYPMLVSSSWDGAVVRWEFPGKGEAPAPAPAPAPASFSRNRIWRRHFS >ONH93728 pep chromosome:Prunus_persica_NCBIv2:G8:21526224:21531203:-1 gene:PRUPE_8G249600 transcript:ONH93728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCTCTVKESPLQFKSLSSQQFDEFDEMGYSLSRLTLESDHFDEVGSSQTSGKLLHNLEHEVAQLTKLRSAPHERLNQIGPGKRDLPVSVVKMLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEGITFIDSKGDGRYFISNGKDQAIKLWDIRRMTSKATCIPGQRSSEWDYRWMDYPPQAKDIKHPSDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSGVYIYDLVSGARVATLKYHKAPVRDCSWHPYYPMLVSSSWDGAVVRWEFPGKGEAPAPAPAPAPASFSRNRIWRRHFS >ONH93730 pep chromosome:Prunus_persica_NCBIv2:G8:21526203:21531201:-1 gene:PRUPE_8G249600 transcript:ONH93730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCTCTVKESPLQFKSLSSQQPLRLQFDEFDEMGYSLSRLTLESDHFDEVGSSQTSGKLLHNLEHEVAQLTKLRSAPHERLNQIGPGKRDLPVSVVKMLAGREGNYSGRGRFSSADRCHVLSRYLPVKGPGLVDHMPSRAYVSQFSADGSLFIAAFQDSQIRIYNVDKGWKIQKNIHAKTMRWTVTDTSLSPDQHHLVYASMSPIVHMVNVGSSQIESLANVTEIHEGLDFSSGDDGGYSFGIFSIKFSTDGHELVAGSSDDSIYVYDLEANKPSLRILAHTSDVNTVCFADESGHLIYSGSDDTLCKVWDRRCFKATGRPAGVLTGHLEGITFIDSKGDGRYFISNGKDQAIKLWDIRRMTSKATCIPGQRSSEWDYRWMDYPPQAKDIKHPSDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSHDSGVYIYDLVSGARVATLKYHKAPVRDCSWHPYYPMLVSSSWDGAVVRWEFPGKGEAPAPAPAPAPASFSRNRIWRRHFS >ONH92847 pep chromosome:Prunus_persica_NCBIv2:G8:18943814:18945619:-1 gene:PRUPE_8G199700 transcript:ONH92847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREKTVESFYTRLRESATAASQSQSPLLIFPSTSDVDSLCALKIIFHVLESDSVRYACYPVSSFQEIHKYAGPDLCSSSENPVSILLINWGCHRDLRKLLNLGPRARVFVVDSHRPIHLHNLSDQNDRVVVLYTLDDERQTDLAYDIEDVSALANASDLNSDDELDPESDSDSDDDENDDSQSEEEEENGEGSRKRRRVSQENDPVKVFRELKRKYYHLGTFHGKPSGCLMYDLSHSLRKNTNELLWLACVSITDQFLHERLTDERYQAGVMELEQYINSSGNLEAVTTVTLKDGTKIRAPQSSRITYEDEPRLMLLQEWNLFDSMLCSSYIATKLKTWSDNGMKKLKLLLARMGFSLVDCQQKYKYMDKEVKQLMKEEFERFLPEYGLTDFYYRSFLRLHGYRSKVSAADVVYGVTALLESYVTSNGSCASKEFGMAYDSLSLNNLDKLKAGMQQAIKIQRAILRQGSMAITKRGCIRSGRKFRWVKLEDSLDAKLLGYPQALTKFCYFLMDGLREKGARMKPLVCACLVQEPKKVLIVGVCGKPRLGAVQGNAFGMAFRNAAQEIGAEFFHELFESSWIVLEAAKMNSFMVRLTEAVM >ONH92846 pep chromosome:Prunus_persica_NCBIv2:G8:18943607:18946056:-1 gene:PRUPE_8G199700 transcript:ONH92846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREKTVESFYTRLRESATAASQSQSPLLIFPSTSDVDSLCALKIIFHVLESDSVRYACYPVSSFQEIHKYAGPDLCSSSENPVSILLINWGCHRDLRKLLNLGPRARVFVVDSHRPIHLHNLSDQNDRVVVLYTLDDERQTDLAYDIEDVSALANASDLNSDDELDPESDSDSDDDENDDSQSEEEEENGEGSRKRRRVSQENDPVKVFRELKRKYYHLGTFHGKPSGCLMYDLSHSLRKNTNELLWLACVSITDQFLHERLTDERYQAGVMELEQYINSSGNLEAVTTVTLKDGTKIRAPQSSRITYEDEPRLMLLQEWNLFDSMLCSSYIATKLKTWSDNGMKKLKLLLARMGFSLVDCQQKYKYMDKEVKQLMKEEFERFLPEYGLTDFYYRSFLRLHGYRSKVSAADVVYGVTALLESYVTSNGSCASKEFGMAYDSLSLNNLDKLKAGMQQAIKIQRAILRQGSMAITKRGCIRSGRKFRWVKLEDSLDAKLLGYPQALTKFCYFLMDGLREKGARMKPLVCACLVQEPKKVLIVGVCGKPRLGAVQGNAFGMAFRNAAQEIGAEFFHELFESSWIVLEAAKMNSFMVRLTEAVM >ONH90883 pep chromosome:Prunus_persica_NCBIv2:G8:11439488:11439769:-1 gene:PRUPE_8G080500 transcript:ONH90883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVNSKKGLNKAATSNTVDIPKGYFAVYVGGSQKKRFVVPISYLNEPLFLDLLSQAEEEFGYDHPMGGITIPCSDETFIHLTCSLSV >ONH93928 pep chromosome:Prunus_persica_NCBIv2:G8:22059313:22062363:-1 gene:PRUPE_8G261200 transcript:ONH93928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFRNKKKVFVKPVSKKQQATVDHVTGDKIPRSFVFSRYRLPGPLKQLQADLRKLMLPYTALKLKENRRNKLKDFLNVAGPMGVTHFLMLSKTPTAPYLRVARTPQGPTLTFKIQEYSLATDVVRSQLRPRCPQDLFKNAPLIVLSGFGTGEQHLKLTTILFQNIFPSIDVNTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRLRRFVQNHQVPDLRNLQDGWLWIRK >ONH93926 pep chromosome:Prunus_persica_NCBIv2:G8:22059314:22062363:-1 gene:PRUPE_8G261200 transcript:ONH93926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFRNKKKVFVKPVSKKQQATVDHVTGDKIPRSFVFSRYRLPGPLKQLQADLRKLMLPYTALKLKENRRNKLKDFLNVAGPMGVTHFLMLSKTPTAPYLRVARTPQGPTLTFKIQEYSLATDVVRSQLRPRCPQDLFKNAPLVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRLRRFVQNHQVPDLRNLQDVSDFVTKAGYGSESEADDEAATVTLASDLGRVNRASTKSAVKLQEIGPRMTLQLMKIEEGLCSGGVIFDEFGNGDGKKTKDNHEDMENDEDEHDEEDEENDEEDGDDIEED >ONH93927 pep chromosome:Prunus_persica_NCBIv2:G8:22059578:22061957:-1 gene:PRUPE_8G261200 transcript:ONH93927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFRNKKKVFVKPVSKKQQATVDHVTGDKIPRSFVFSRYRLPGPLKQLQADLRKLMLPYTALKLKENRRNKLKDFLNVAGPMGVTHFLMLSKTPTAPYLRVARTPQGPTLTFKIQEYSLATDVVRSQLRPRCPQDLFKNAPLIVLSGFGTGEQHLKLTTILFQNIFPSIDVNTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRLRRFVQNHQVPDLRNLQDVSDFVTKAGYGSESEADDEAATVTLASDLGRVNRASTKSAVKLQEIGPRMTLQLMKIEEGLCSGGVIFDEFGNGDGKKTKDNHEDMENDEDEHDEEDEENDEEDGDDIEED >ONH93692 pep chromosome:Prunus_persica_NCBIv2:G8:21415077:21417619:1 gene:PRUPE_8G247600 transcript:ONH93692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELQLSLNQTQKVRLQRALEKLESLSSKANSNASVTVADSIPVNYEDGLLKGHGTSEFNGEVVATVCGIVERVNKLVYVRALRARYKPEVGDIIVGRVIEVAPKRWRVEINYSHDAVLMLSSMNLPDGIQRRRTALDELNMRSIFEENDVICAEVRGFQHDGLHLQARSQKYGKLGRGQLLTVPPYLVKRRKQHFHHLENYGIDLIIGCNGFIWVGEHVQVTDDMVVDQVNKSEQDDAKPDENSISPEDQGKNYTRQETRENICRAANAIRVLSTLGFNITVEVITETVDLSTSINVAIHEMLGSEFYVLVAEKESVRRSMTKKK >ONH91681 pep chromosome:Prunus_persica_NCBIv2:G8:15274550:15279941:-1 gene:PRUPE_8G129400 transcript:ONH91681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVQVQAQNANAAAAAAAAANGGAANQFVTTSLYVGDLDQNVTDSQLYDLFNQLGQVVSVRVCRDLSTRRSLGYGYVNYSNPQDAARALDVLNFSPVNGRPIRIMYSHRDPSIRKSGAGNIFIKNLDKAIDHKALHDTFSAFGNILSCKVATDPSGQSKGYGFVQFDNDEAAQKAIEKLNGMLLNDKQVYVGPFLRKQERDGVSDKSRFNNVFVKNLSESTTEEDLDKIFAKFGKITSVVVMRDADGKSKCFGFVNFENTDDAARAVEDLNGKKFDDKEWYVGKAQKKSERENELKQRFEQSMKEAADKYQGANLYVKNLDDTIGDEKLKELFAPFGTITSCKVMRDPSGISRGSGFVAFSTPEEANRALLEMNGKMIVSKPLYVALAQRKEDRRARLQAQFSQMRPVAMAPSVAPRMPMYPPGGPGLGQQIFYGQGPPAIIPSQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRGGSVQQNQQPVPIMQQQMLPRGRVYRYPSGRGLPDVPMPGVAGGMFSVPYDMGGMPMRDAALSQPIPIGALATALANATPEQQRTMLGENLYPLVEQLEPENAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNVAQQQQAGNAADQLASLSLNENLVS >ONH91680 pep chromosome:Prunus_persica_NCBIv2:G8:15274576:15279941:-1 gene:PRUPE_8G129400 transcript:ONH91680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVQVQAQNANAAAAAAAAANGGAANQFVTTSLYVGDLDQNVTDSQLYDLFNQLGQVVSVRVCRDLSTRRSLGYGYVNYSNPQDAARALDVLNFSPVNGRPIRIMYSHRDPSIRKSGAGNIFIKNLDKAIDHKALHDTFSAFGNILSCKVATDPSGQSKGYGFVQFDNDEAAQKAIEKLNESTTEEDLDKIFAKFGKITSVVVMRDADGKSKCFGFVNFENTDDAARAVEDLNGKKFDDKEWYVGKAQKKSERENELKQRFEQSMKEAADKYQGANLYVKNLDDTIGDEKLKELFAPFGTITSCKVMRDPSGISRGSGFVAFSTPEEANRALLEMNGKMIVSKPLYVALAQRKEDRRARLQAQFSQMRPVAMAPSVAPRMPMYPPGGPGLGQQIFYGQGPPAIIPSQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRGGSVQQNQQPVPIMQQQMLPRGRVYRYPSGRGLPDVPMPGVAGGMFSVPYDMGGMPMRDAALSQPIPIGALATALANATPEQQRTMLGENLYPLVEQLEPENAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNVAQQQQAGNAADQLASLSLNENLVS >ONH93566 pep chromosome:Prunus_persica_NCBIv2:G8:21015211:21022933:-1 gene:PRUPE_8G239000 transcript:ONH93566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGLRSGKLKVDKDKLGVEKRGDELKQGGSDSGGENSPHLVSSCPEEPEREILGDKAKKSDELGSEECGEDGDTGESKNVGAAEIGKKQIDDDGLEKKKVKEKEVNGKVQIVRRVLRSQSAVNGGCDKAVSGGALVSKSRESGGFHNQCTDVKNEGVHQLGSEECGEDGDTGGIKNVGAAEIGKKQIDDDGLEKKKVKEKEVDGKVQIVRRVLRSQSVVNEGCDKAVSDGALVTKNREGGGSHNQSTAVKNEGVHQLGESENVGVGEIRKKRRRVDDGQDIDDDGIERKKMKEERIGGRALRSRFVEYRGCDKAESVGKSRASYVSHEKCTEVKNEEGGQLVGGFTKKLKGKRGRPPKVPKVEKEESDRSAGGLKKQKKLKRKRGRPRKAEKEESGLVVGRLRKKLKSEQGRPLKVQGSNVALKGRLDKGKKMKAKITTNGSNLERRIIGKVLDVKTFSPDKRDKKEKDLENEDGEGNKECEQKRKGNKEQKNEQKDQDGELARSRQKQLVRDKMVELILRAGWTIDYRPRNGKEYKDAVYVSPAGRTHWSVTKAYKALKNHCENGEGNSEGCKAGFKFTPIPPEEVNKLQRIVVKKREGKKKAKQKGKDGREGGINEKKKGRGGTRGDGLIEEKKGKKKGKSLKGKRLLIGQDDSASRACKGRLSLVKDHEQHKTKNRKRCALLVRNSENADSENDGYIPYDGKRTTQVLLEGRITGDGVHCGCCRETISTFEIGNSCQSDYSEPFKHVYLDSGSSLLQCLLDSWNKQDEYDRRGFHFVDVNREDPNDDTCGICGDGGDLICCDGCPSTFHQSCLEIKKFPSGEWHCVYCSCKFCGMFCGNTCQRDGDENIAASALITCHLCEEKYHRSCIQAKDAVNDDSRGLSFCGRNCQELFESLQKLLGVRREIEGGFSLTLIRRSDIGSDVSICDTPQEEGCDSKLIECNSKLAVAFLIMDECFLPMVDHRSGVNLIHNILYNRGSNFSRLNYGGFVTAILERGDEIISAASIRIHGNYLAEMPFIGTRYMYRRQGMCRRLLTAIESALCSLNVEKLVIPAISELRGTWTSVFGFKPLEESGKQKMKNMKILVFPGVDILQKPLLKQLSEASMIPLEGLGSTELEHQQTGQEVLFYNNEKLLAGSGSEATAPCGNEFQSSASVPDVRAVEVDTQQNHNIAPEMESKSCTLHHVDSEAPDAGIKILSASGEGTENIDCEVRIEDVTIKNLSSRDEGSICHSTESNATELQASQDAKDLKHHGSQHLQVAGSTFCLNGKMFETCEAEYNHPATEHTPTVTVEETRKVSCDAFHLTSILAHQKADDFEGYCTLNSHHSCGATLQSNGITKSPDVIDVDLTPNGSPTDTKSLKHSEFDSWVDNTSAVANLQNNGITKLPDVTDVDLTPNGSPMDTKSLKQSEFDSRIDNTSAGANLHSNGITKSPDVTDVDLIPNGSPTDTKSLKQSEFDSQVDNISAGANLQSNGITKSPDVTDVDLTPNGSPSLKPSEFDTWVDNTSDAQCDPNNTSVVALHCGSSGGNSAGTSEVIIVSNPAS >ONH90943 pep chromosome:Prunus_persica_NCBIv2:G8:11777681:11780423:1 gene:PRUPE_8G084700 transcript:ONH90943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPTETESPIYLMVRADETIFWYVIERKSGAAMAENDNNNEGRNFVVLRASERDRVRQLNLPSFGGEGLDWIDFSRLVALNRRLYLIGSTIGDINEVGTVGLRYIDLDATPTPIWHIGGGVNSDRQGGAIAREDGWIFTSGDNLYRVNHPSGDSTKLPKKESLRGGVHMLGVTMSNILVYNTMLGYNTMYSIDLRSNRWEVICHRFWGVWSPGVILFDDSLLFSLGTQNPSSDTESLGFQARPGVYAFDIRARRWLSSPLEGLKNEVGHENFGPDLGLETVLPSEGSYDRGVVRGPTDEPVNPFKPWWVPLSLVKVGVENGHHKLGFVWDRMIIYDNDVKSCQIHWCKFKILTPNGGDILEAELLSSGICNLDESTYMVINCTAGLVIAPDEGNEEEKVDKRRREGVTAPDGGNEEESDSKRRRGVNAPDDSNEEEKGNERSGSCFPTNQTGETR >ONH91352 pep chromosome:Prunus_persica_NCBIv2:G8:13900229:13901879:1 gene:PRUPE_8G108700 transcript:ONH91352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYDVFLSFRGEDTRFNFTDHLYSNLTRKGIRTFIDDGLKRGEEISRALLRAIEESKTSIIVFSENYASSKWCLDELVKILESKETREQMVWPVFYKVNPSDVRHQRGSFGQALADYECEFKDDMEKVQRWRRSLTKAANLSGWCFINGVEANLKDH >ONH89949 pep chromosome:Prunus_persica_NCBIv2:G8:2365138:2367937:1 gene:PRUPE_8G026000 transcript:ONH89949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKNEAVAMDLLLEDFDPTAVVAEPLPTVVTSDVNCDLIGKLAEDLKGSLEKPMVLGRNVHTTCLAVTEPEANDEFTGDKDAYMASVLARYRKTLVERTKHHLGYPYNLDFDYGALTQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKSDYWGYITNCGTEGNLHGVLVGREVFPDGILYASRESHYSIFKAARMYRMECVKVDCLISGEIDCKDFRAKLVLNKDKPAIINVNIGTTVKGAVDDLDLVIQTLEECGFKQDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINALSRNVEYLASRDATIMGSRNGHAPIFLWYTLNKKGYKGFQKEVQKCLRNAHYLKDRLRDAGISAMLNELSSTVVFERPKDEEFVRRWQLACQGNIAHVVVMPSVNIDKLDDFLNELVEKRSTWYNDEKVQPPCIAADVGTENCACGQHK >ONH93534 pep chromosome:Prunus_persica_NCBIv2:G8:20890099:20897951:-1 gene:PRUPE_8G236300 transcript:ONH93534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASQADSRRKYSWWWDSHISPKNSRWLQENLTDMDAKVKHMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAHRTMAEAFPNQVPFALGDESPAGSSASEADPRTPEMPPPIRALLDLEELQKDALGLSSHFHAVKRNGAFTEESDSVPSRKGLKQLNDLFGSGEGRAKKGLNFHDTEEREHRLHNNGIHDLKARSLSESDQLGKAETEISNLKNALAKLEAEKEAGLLQYQQCLERLSILESEVSRAHEDSRGLSERASKAEAEVQTSKEALTKLEAERDASLLQYQQCLDNISNLENSISCAQKDAGELNDRASKAETEAGALKHDLTRVADEKEAALAQFKQCLEMISNLEDKILHVEEDARRINERAVKAEHEVETLKQAIATLNEEKEAAALQYDQCLETISSLEHKLSCAQEEAQRLHSEIDDGVAKLKGSEEKCLLLEKSNQTLQSELESLVQKMESQGEELTEKQKELGRLWTCIQEERLRFMEAETAFQTLQHLHSQSQEELRSLVSELQNGALILKDMETRNQGLVDEVQQVKEENKSLSELNLSSSMSIKNLQDEILILRETVRKLEEEVEIRVDQRNALQQEIYCLKEELNDLNKKHQVMLEQVESVGLDPECLGSSVKELQDEKLQLKQTCEADRSEKVALLEKLEIMQKLLEKNVLLENSLSDLNVELDGVRGKVKELEESCQSLLEEKSTLLAEHAALISQLQIMTENLKKSSEKNNFLENSLCDANAELEGWRVKSKSLEESCLLLDNEKSGLMTERESLASELDTTRQRLEDLEKGYAENLEKLSVLEKERESALHKVEELHVCLGSEKQKHVSFVQLSETQMADMESQISQLQAEGMCRKKEYEEEQDKAVNAEIEIFVLQKCVEDVEEKNLSLMFERQNLLEASKMSKKLISDLEHGNLEQQTEIKSFLLQMEVLRMGLYQVLKAVDVDANLGYGEKVEQDEMLLNHILVKLQDTQNSLSVIRDENQQLVIEKSVLIEMLDQLKLDAGNLMRERNTLDGKFRTQSEKFLVLQSGAQRLQEMNEELKLKVVEGDHREEVLRTEIDNLHEKFLDLQSAYKSLLEENSKILEDKGALTKMVLDLGEEKHNLEEEKCVMFGETIYHSNLSLVFKDFISRKLLELEELSDYLDKLHLGNTDLEDKVRILEGKLEVIRMESLHLKESLIRSENELEVVKSVNDQLNGEIANTKDALSHKENELREAEQIFNALQSEKQELHTLVEDLNGKYDEANVVLEDQEKQIVRLYADNDHYAKETGCLREANQELESELQKIHEEAEKTKIKEEGLINELQKGREEIEMWLTQAATFFGELQISTIRETLFEGKIRELIEACQILEDRSNSRGMESKIMKERISTLEYENGGLQAQLAAYIPAVISLKESTTALEKHVLADATSHKLDTEESEDDFLHAESSHLDGDQVPTVSDGVSDLQDLHRRIKAIERAMVEKERHFSANQVEKKFGDGVGNTMKKREISGSGNEILTKDIILDQISECSSYGISRRDTIEADGQMLELWETTDQDASIDLMVGKGQKVDAVPTDHSQTEAVKAHKNKYSSSESLVEKELGVDKLELSKRFTEPSQEGNKRRILERLDSDVQKLTNLQITVEDLKRKVEITEKSKKGKGIEFENVKGQLEEADEAITKLFDVNQKLMKNVEDGPQFSDGASGVVSDESGSVRRRRLSEQAKRGSEKIGRLQLEVQKLQFLLLKLDGEKESRGSTRITERKTRVLLRDYIYGGNRTNQKRKKAPFCACIQPPTKGD >ONH93535 pep chromosome:Prunus_persica_NCBIv2:G8:20890412:20896984:-1 gene:PRUPE_8G236300 transcript:ONH93535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASQADSRRKYSWWWDSHISPKNSRWLQENLTDMDAKVKHMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAHRTMAEAFPNQVPFALGDESPAGSSASEADPRTPEMPPPIRALLDLEELQKDALGLSSHFHAVKRNGAFTEESDSVPSRKGLKQLNDLFGSGEGRAKKGLNFHDTEEREHRLHNNGIHDLKARSLSESDQLGKAETEISNLKNALAKLEAEKEAGLLQYQQCLERLSILESEVSRAHEDSRGLSERASKAEAEVQTSKEALTKLEAERDASLLQYQQCLDNISNLENSISCAQKDAGELNDRASKAETEAGALKHDLTRVADEKEAALAQFKQCLEMISNLEDKILHVEEDARRINERAVKAEHEVETLKQAIATLNEEKEAAALQYDQCLETISSLEHKLSCAQEEAQRLHSEIDDGVAKLKGSEEKCLLLEKSNQTLQSELESLVQKMESQGEELTEKQKELGRLWTCIQEERLRFMEAETAFQTLQHLHSQSQEELRSLVSELQNGALILKDMETRNQGLVDEVQQVKEENKSLSELNLSSSMSIKNLQDEILILRETVRKLEEEVEIRVDQRNALQQEIYCLKEELNDLNKKHQVMLEQVESVGLDPECLGSSVKELQDEKLQLKQTCEADRSEKVALLEKLEIMQKLLEKNVLLENSLSDLNVELDGVRGKVKELEESCQSLLEEKSTLLAEHAALISQLQIMTENLKKSSEKNNFLENSLCDANAELEGWRVKSKSLEESCLLLDNEKSGLMTERESLASELDTTRQRLEDLEKGYAENLEKLSVLEKERESALHKVEELHVCLGSEKQKHVSFVQLSETQMADMESQISQLQAEGMCRKKEYEEEQDKAVNAEIEIFVLQKCVEDVEEKNLSLMFERQNLLEASKMSKKLISDLEHGNLEQQTEIKSFLLQMEVLRMGLYQVLKAVDVDANLGYGEKVEQDEMLLNHILVKLQDTQNSLSVIRDENQQLVIEKSVLIEMLDQLKLDAGNLMRERNTLDGKFRTQSEKFLVLQSGAQRLQEMNEELKLKVVEGDHREEVLRTEIDNLHEKFLDLQSAYKSLLEENSKILEDKGALTKMVLDLGEEKHNLEEEKCVMFGETIYHSNLSLVFKDFISRKLLELEELSDYLDKLHLGNTDLEDKVRILEGKLEVIRMESLHLKESLIRSENELEVVKSVNDQLNGEIANTKDALSHKENELREAEQIFNALQSEKQELHTLVEDLNGKYDEANVVLEDQEKQIVRLYADNDHYAKETGCLREANQELESELQKIHEEAEKTKIKEEGLINELQKGREEIEMWLTQAATFFGELQISTIRETLFEGKIRELIEACQILEDRSNSRGMESKIMKERISTLEYENGGLQAQLAAYIPAVISLKESTTALEKHVLADATSHKLDTEESEDDFLHAESSHLDGDQVPTVSDGVSDLQDLHRRIKAIERAMVEKERHFSANQVEKKFGDGVGNTMKKREISGSGNEILTKDIILDQISECSSYGISRRDTIEADGQMLELWETTDQDASIDLMVGKGQKVDAVPTDHSQTEAVKAHKNKYSSSESLVEKELGVDKLELSKRFTEPSQEGNKRRILERLDSDVQKLTNLQITVEDLKRKVEITEKSKKGKGIEFENVKGQLEEADEAITKLFDVNQKLMKNVEDGPQFSDGASGVVSDESGSVRRRRLSEQAKRGSEKIGRLQLEVQKLQFLLLKLDGEKESRGSTRITERKTRVLLRDYIYGGNRTNQKRKKAPFCACIQPPTKGD >ONH93533 pep chromosome:Prunus_persica_NCBIv2:G8:20890099:20897951:-1 gene:PRUPE_8G236300 transcript:ONH93533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASQADSRRKYSWWWDSHISPKNSRWLQENLTDMDAKVKHMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAHRTMAEAFPNQVPFALGDESPAGSSASEADPRTPEMPPPIRALLDLEELQKDALGLSSHFHAVKRNGAFTEESDSVPSRKGLKQLNDLFGSGEGRAKKGLNFHDTEEREHRLHNNGIHDLKARSLSESDQLGKAETEISNLKNALAKLEAEKEAGLLQYQQCLERLSILESEVSRAHEDSRGLSERASKAEAEVQTSKEALTKLEAERDASLLQYQQCLDNISNLENSISCAQKDAGELNDRASKAETEAGALKHDLTRVADEKEAALAQFKQCLEMISNLEDKILHVEEDARRINERAVKAEHEVETLKQAIATLNEEKEAAALQYDQCLETISSLEHKLSCAQEEAQRLHSEIDDGVAKLKGSEEKCLLLEKSNQTLQSELESLVQKMESQGEELTEKQKELGRLWTCIQEERLRFMEAETAFQTLQHLHSQSQEELRSLVSELQNGALILKDMETRNQGLVDEVQQVKEENKSLSELNLSSSMSIKNLQDEILILRETVRKLEEEVEIRVDQRNALQQEIYCLKEELNDLNKKHQVMLEQVESVGLDPECLGSSVKELQDEKLQLKQTCEADRSEKVALLEKLEIMQKLLEKNVLLENSLSDLNVELDGVRGKVKELEESCQSLLEEKSTLLAEHAALISQLQIMTENLKKSSEKNNFLENSLCDANAELEGWRVKSKSLEESCLLLDNEKSGLMTERESLASELDTTRQRLEDLEKGYAENLEKLSVLEKERESALHKVEELHVCLGSEKQKHVSFVQLSETQMADMESQISQLQAEGMCRKKEYEEEQDKAVNAEIEIFVLQKCVEDVEEKNLSLMFERQNLLEASKMSKKLISDLEHGNLEQQTEIKSFLLQMEVLRMGLYQVLKAVDVDANLGYGEKVEQDEMLLNHILVKLQDTQNSLSVIRDENQQLVIEKSVLIEMLDQLKLDAGNLMRERNTLDGKFRTQSEKFLVLQSGAQRLQEMNEELKLKVVEGDHREEVLRTEIDNLHEKFLDLQSAYKSLLEENSKILEDKGALTKMVLDLGEEKHNLEEEKCVMFGETIYHSNLSLVFKDFISRKLLELEELSDYLDKLHLGNTDLEDKVRILEGKLEVIRMESLHLKESLIRSENELEVVKSVNDQLNGEIANTKDALSHKENELREAEQIFNALQSEKQELHTLVEDLNGKYDEANVVLEDQEKQIVRLYADNDHYAKETGCLREANQELESELQKIHEEAEKTKIKEEGLINELQKGREEIEMWLTQAATFFGELQISTIRETLFEGKIRELIEACQILEDRSNSRGMESKIMKERISTLEYENGGLQAQLAAYIPAVISLKESTTALEKHVLADATSHKLDTEESEDDFLHAESSHLDGDQVPTVSDGVSDLQDLHRRIKAIERAMVEKERHFSANQISECSSYGISRRDTIEADGQMLELWETTDQDASIDLMVGKGQKVDAVPTDHSQTEAVKAHKNKYSSSESLVEKELGVDKLELSKRFTEPSQEGNKRRILERLDSDVQKLTNLQITVEDLKRKVEITEKSKKGKGIEFENVKGQLEEADEAITKLFDVNQKLMKNVEDGPQFSDGASGVVSDESGSVRRRRLSEQAKRGSEKIGRLQLEVQKLQFLLLKLDGEKESRGSTRITERKTRVLLRDYIYGGNRTNQKRKKAPFCACIQPPTKGD >ONH89886 pep chromosome:Prunus_persica_NCBIv2:G8:2001263:2003413:1 gene:PRUPE_8G022100 transcript:ONH89886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTQYSVGACLTPNKSFNLNTLFSVFPQALNATSHIRNQKTQLSLSGKKLQQKLISNIVGKNCKYEKWRVFGSDDGSCGIAPLPLPPSVLEAVQDFYKAINAKDIQALEQLLADDCHYQDLVFYVPFVGKEAIVHFLTKVMDAMGSNIHFVIDAATEGGNLTASVIWHLEWKDKEIPFATGCTFFEYEQVEGELFLRKATGMEELPFKPGDLVLKLLKSASTFFDLYPMAAEALLLKSHGSGPHEGLDTLLDKLRGRH >ONH92045 pep chromosome:Prunus_persica_NCBIv2:G8:16424629:16428424:-1 gene:PRUPE_8G151100 transcript:ONH92045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMAVAGHSIHTCFHIKSKNPRVNLEKQVFGVPVLHHGVSCIGKKLKGKVGAGVQAVQVGLEESERVRNLRSLERTLELDAVSERELREKGFLGLRKTKLVCTIGPACSSLEDLEKLVLGGMNVARLNMCHNTREWHRDVIRKIKKLNEEKGFSVSVMIDTEGSQIHVVDHGAPSSLKVQEDSIWLFTNEKFDGSVPFTVQAKYEGFSEGIKVGDELVIDGGMARFEVIEKLRSDLRCKCTDPGLFLPRAKFSFWRDGKLVERNYELPTLSTKDWSDIEFGISEGVNFIAMSFVNDAESVRHLKNYLSTKSATSIRVLAKIESLESLQKLEEIIEASDGIMVARVDLGVEIPLEQIPTVQEEITKVCRQLNKPVIVASQFLESMIEYPTPTRAEVADISEAVRQYADALMLAGESAIGLFGQKALSVLQMTSSRMESSSREENRQGSLLPRQLGVSLPDRIAEQICNSAVELANNLAVDAIFVYTKHGHMASLLSRNRPNPPIFAFTNDESTRMSLNLQWGVIPLLVDLSDDMESNISSTIHLIKSKGLVKEGDSVLVVSDVTPSRATPMAFQSIQVINISQFRQIRNRKAPFLDCHSSHLAVKCGDFFTKITDRLSGKKKLEKELKSSENCAGQFLFWVLDVLTGFTP >ONH92046 pep chromosome:Prunus_persica_NCBIv2:G8:16424030:16428720:-1 gene:PRUPE_8G151100 transcript:ONH92046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMAVAGHSIHTCFHIKSKNPRVNLEKQVFGVPVLHHGVSCIGKKLKGKVGAGVQAVQVGLEESERVRNLRSLERTLELDAVSERELREKGFLGLRKTKLVCTIGPACSSLEDLEKLVLGGMNVARLNMCHNTREWHRDVIRKIKKLNEEKGFSVSVMIDTEGSQIHVVDHGAPSSLKVQEDSIWLFTNEKFDGSVPFTVQAKYEGFSEGIKVGDELVIDGGMARFEVIEKLRSDLRCKCTDPGLFLPRAKFSFWRDGKLVERNYELPTLSTKDWSDIEFGISEGVNFIAMSFVNDAESVRHLKNYLSTKSATSIRVLAKIESLESLQKLEEIIEASDGIMVARVDLGVEIPLEQIPTVQEEITKVCRQLNKPVIVASQFLESMIEYPTPTRAEYADALMLAGESAIGLFGQKALSVLQMTSSRMESSSREENRQGSLLPRQLGVSLPDRIAEQICNSAVELANNLAVDAIFVYTKHGHMASLLSRNRPNPPIFAFTNDESTRMSLNLQWGVIPLLVDLSDDMESNISSTIHLIKSKGLVKEGDSVLVVSDVTPSRATPMAFQSIQVKTIA >ONH92049 pep chromosome:Prunus_persica_NCBIv2:G8:16424924:16428720:-1 gene:PRUPE_8G151100 transcript:ONH92049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMAVAGHSIHTCFHIKSKNPRVNLEKQVFGVPVLHHGVSCIGKKLKGKVGAGVQAVQVGLEESERVRNLRSLERTLELDAVSERELREKGFLGLRKTKLVCTIGPACSSLEDLEKLVLGGMNVARLNMCHNTREWHRDVIRKIKKLNEEKGFSVSVMIDTEGSQIHVVDHGAPSSLKVQEDSIWLFTNEKFDGSVPFTVQAKYEGFSEGIKVGDELVIDGGMARFEVIEKLRSDLRCKCTDPGLFLPRAKFSFWRDGKLVERNYELPTLSTKDWSDIEFGISEGVNFIAMSFVNDAESVRHLKNYLSTKSATSIRVLAKIESLESLQKLEEIIEASDGIMVARVDLGVEIPLEQIPTVQEEITKVCRQLNKPVIVASQFLESMIEYPTPTRAEIFLKLFDSMQMP >ONH92048 pep chromosome:Prunus_persica_NCBIv2:G8:16424905:16428842:-1 gene:PRUPE_8G151100 transcript:ONH92048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMAVAGHSIHTCFHIKSKNPRVNLEKQVFGVPVLHHGVSCIGKKLKGKVGAGVQAVQVGLEESERVRNLRSLERTLELDAVSERELREKGFLGLRKTKLVCTIGPACSSLEDLEKLVLGGMNVARLNMCHNTREWHRDVIRKIKKLNEEKGFSVSVMIDTEGSQIHVVDHGAPSSLKVQEDSIWLFTNEKFDGSVPFTVQAKYEGFSEGIKVGDELVIDGGMARFEVIEKLRSDLRCKCTDPGLFLPRAKFSFWRDGKLVERNYELPTLSTKDWSDIEFGISEGVNFIAMSFVNDAESVRHLKNYLSTKSATSIRVLAKIESLESLQKLEEIIEASDGIMVARVDLGVEIPLEQIPTVQEEITKVCRQLNKPVIVASQFLESMIEYPTPTRAEVADISEAVRQYADALMLAGESAIGLFGQKALSVLQMTSSRMESSSREENRQGSLLPRQLGVSLPDRIAEQICNSAVELANNLAVDAIFVYTKHGHMASLLSRNRPNPPIFAFTNDESTRMSLNLQWGVIPLLVDLSDDMESNISSTIHLIKSKGLVKEGDSVLVVSDVTPSRATPMAFQSIQVKTIA >ONH92050 pep chromosome:Prunus_persica_NCBIv2:G8:16426063:16428424:-1 gene:PRUPE_8G151100 transcript:ONH92050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMAVAGHSIHTCFHIKSKNPRVNLEKQVFGVPVLHHGVSCIGKKLKGKVGAGVQAVQVGLEESERVRNLRSLERTLELDAVSERELREKGFLGLRKTKLVCTIGPACSSLEDLEKLVLGGMNVARLNMCHNTREWHRDVIRKIKKLNEEKGFSVSVMIDTEGSQIHVVDHGAPSSLKVQEDSIWLFTNEKFDGSVPFTVQAKYEGFSEGIKVGDELVIDGGMARFEVIEKLRSDLRCKCTDPGLFLPRAKFSFWRDGKLVERNYELPTLSTKDWSDIEFGISEGVNFIAMSFVNDAESVRHLKNYLSTKSATSIRVLAKIESLESLQKLEEIIEASDGIMVARVDLGVEIPLEQIPTVQEEITKVCRQLNKPVIVASQFLESMIEYPTPTRAEIFLKLFDSMQMP >ONH92044 pep chromosome:Prunus_persica_NCBIv2:G8:16424030:16428842:-1 gene:PRUPE_8G151100 transcript:ONH92044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMAVAGHSIHTCFHIKSKNPRVNLEKQVFGVPVLHHGVSCIGKKLKGKVGAGVQAVQVGLEESERVRNLRSLERTLELDAVSERELREKGFLGLRKTKLVCTIGPACSSLEDLEKLVLGGMNVARLNMCHNTREWHRDVIRKIKKLNEEKGFSVSVMIDTEGSQIHVVDHGAPSSLKVQEDSIWLFTNEKFDGSVPFTVQAKYEGFSEGIKVGDELVIDGGMARFEVIEKLRSDLRCKCTDPGLFLPRAKFSFWRDGKLVERNYELPTLSTKDWSDIEFGISEGVNFIAMSFVNDAESVRHLKNYLSTKSATSIRVLAKIESLESLQKLEEIIEASDGIMVARVDLGVEIPLEQIPTVQEEITKVCRQLNKPVIVASQFLESMIEYPTPTRAEVADISEAVRQYADALMLAGESAIGLFGQKALSVLQMTSSRMESSSREENRQGSLLPRQLGVSLPDRIAEQICNSAVELANNLAVDAIFVYTKHGHMASLLSRNRPNPPIFAFTNDESTRMSLNLQWGVIPLLVDLSDDMESNISSTIHLIKSKGLVKEGDSVLVVSDVTPSRATPMAFQSIQVINISQFRQIRNRKAPFLDCHSSHLAVKCGDFFTKITDRLSGKKKLEKELKSSENCAGQFLFWVLDVLTGFTP >ONH92047 pep chromosome:Prunus_persica_NCBIv2:G8:16425450:16428424:-1 gene:PRUPE_8G151100 transcript:ONH92047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMAVAGHSIHTCFHIKSKNPRVNLEKQVFGVPVLHHGVSCIGKKLKGKVGAGVQAVQVGLEESERVRNLRSLERTLELDAVSERELREKGFLGLRKTKLVCTIGPACSSLEDLEKLVLGGMNVARLNMCHNTREWHRDVIRKIKKLNEEKGFSVSVMIDTEGSQIHVVDHGAPSSLKVQEDSIWLFTNEKFDGSVPFTVQAKYEGFSEGIKVGDELVIDGGMARFEVIEKLRSDLRCKCTDPGLFLPRAKFSFWRDGKLVERNYELPTLSTKDWSDIEFGISEGVNFIAMSFVNDAESVRHLKNYLSTKSATSIRVLAKIESLESLQKLEEIIEASDGIMVARVDLGVEIPLEQIPTVQEEITKVCRQLNKPVIVASQFLESMIEYPTPTRAEVADISEAVRQYADALMLAGESAIGLFGQKALSVLQMTSSRMESSSREENRQGSLLPRQLGVSLPDRIAEQICNSAVELANNLAVDAIFVYTKHGHMASLLSRNRPNPPIFAFTNDESTRMSLNLQWGVIPLLVDLSDDMESNISSTIHLIKSKGLVKEGDSVLVVSDVTPSRATPMAFQSIQVKTIA >ONH93655 pep chromosome:Prunus_persica_NCBIv2:G8:21281529:21286406:-1 gene:PRUPE_8G244400 transcript:ONH93655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKEALTEEPAATEMVTETPRPVTCSSRKRSRHSRPQKEEAPARRSRSSSRMESRRLRNLIMPCDDDAKDARDVSGNLVRDRCLRRNKRIRKSPDNSECDDVNSAAFVSNGFIEDNGSEVVTVDSDTFSLDEGGAIDSGCKGEHSETVVECMDGDAQLIKGLDLGGKVVIKKKRKPNRKRVTNDVSEPISMLDKETVLEVGLQSSSQTLQTDCGKMNGTCSKENGTSSKEDGDEHLPLVKRARVRMGKPSSANEEADSFAHNEGSLKEVMVNSSEPISTSSNCDENFPAARDSFVVNEALDNITPSRGCTRILGNRPHLWNTKKDQSFGSSADGEAVLPPSKRLHRALEAMSANAADEDDRCHYESSILKMSTIGCHISSTSRCLPIAVESGTGNGLGLQSDDSLGNKASGVDASRFSTSSNPVTLEENTKSVVEVDVDQRTESPNIQIHECSINDFPDSGDLADDKNLSGGSSGCHTIGTAVQTESPVHLLPNVDIREAGTGANQASMGELPLKGDAKNELSNCDAENPDIECDTSEPALKSTDPVSGTIHGMVEVSPRNDASPRHYGGEGASENIEFLEPRSEDNREVNDMFDVVREVENRQTEKDPSSVSYPNEYLGEKTVSGIRSSPSVTDGGDSLAQASPPNTSGCRMSTSDSSNILQNNGSCSPDVDLQDKRTSSTPVDEDGKSESVVSQRPKSVGRYEEALAALTSFETTLGTLTRTKESIGRATRVAIDCGKIGVAAKALEILARHLETESRLHRRVDLFFLVDSITQYSRGLKGDGGGMYLSAIQAVLPRLLSAAAPPGSAAHENRRQCLKVLRLWSERRIFPESIIHRHMRELNSLTGPSSAGAYGRRSSRTERSLDDPLREMEGMLVDEYGSNSSFQLPGFCMPRMLKDEHDGSDSDGESFEAVTPEHNPRGHEEYETTPATERHRHILEDVDGELEMEDVAPSCDVDTSSSCGVAIANTVQASHNQFEQNCPLPLAPPLPQDVPPSSPPLPSSPPPPPPPPPLPPPVVIHPPCSNLDAHLQNVQENRVQPPPQQLNAPRINQTISDAVHFRAPECRDLQRQMPDSTSCSYSSFPTYSGRNVPQTDGATFHNKGYPLRPPHAPPSNQFSYVQGDQQVKPRREAPPPYHNRFDFGPNGDRENYYNNHERMKPPYEPRESWGFPPHSFSGRYPDKGKTSYGTAPFRGPPCEPTRLPGQGWRYPPRSMNHRESMPFRPPFEGPIPVNGRDYGGSGPSFWRPR >ONH93654 pep chromosome:Prunus_persica_NCBIv2:G8:21280859:21287385:-1 gene:PRUPE_8G244400 transcript:ONH93654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKEALTEEPAATEMVTETPRPVTCSSRKRSRHSRPQKEEAPARRSRSSSRMESRRLRNLIMPCDDDAKDARDVSGNLVRDRCLRRNKRIRKSPDNSECDDVNSAAFVSNGFIEDNGSEVVTVDSDTFSLDEGGAIDSGCKGEHSETVVECMDGDAQLIKGLDLGGKVVIKKKRKPNRKRVTNDVSEPISMLDKETVLEVGLQSSSQTLQTDCGKMNGTCSKENGTSSKEDGDEHLPLVKRARVRMGKPSSANEEADSFAHNEGSLKEVMVNSSEPISTSSNCDENFPAARDSFVVNEALDNITPSRGCTRILGNRPHLWNTKKDQSFGSSADGEAVLPPSKRLHRALEAMSANAADEDDRCHYESSILKMSTIGCHISSTSRCLPIAVESGTGNGLGLQSDDSLGNKASGVDASRFSTSSNPVTLEENTKSVVEVDVDQRTESPNIQIHECSINDFPDSGDLADDKNLSGGSSGCHTIGTAVQTESPVHLLPNVDIREAGTGANQASMGELPLKGDAKNELSNCDAENPDIECDTSEPALKSTDPVSGTIHGMVEVSPRNDASPRHYGGEGASENIEFLEPRSEDNREVNDMFDVVREVENRQTEKDPSSVSYPNEYLGEKTVSGIRSSPSVTDGGDSLAQASPPNTSGCRMSTSDSSNILQNNGSCSPDVDLQDKRTSSTPVDEDGKSESVVSQRPKSVGRYEEALAALTSFETTLGTLTRTKESIGRATRVAIDCGKIGVAAKALEILARHLETESRLHRRVDLFFLVDSITQYSRGLKGDGGGMYLSAIQAVLPRLLSAAAPPGSAAHENRRQCLKVLRLWSERRIFPESIIHRHMRELNSLTGPSSAGAYGRRSSRTERSLDDPLREMEGMLVDEYGSNSSFQLPGFCMPRMLKDEHDGSDSDGESFEAVTPEHNPRGHEEYETTPATERHRHILEDVDGELEMEDVAPSCDVDTSSSCGVAIANTVQASHNQFEQNCPLPLAPPLPQDVPPSSPPLPSSPPPPPPPPPLPPPVVIHPPCSNLDAHLQNVQENRVQPPPQQLNAPRINQTISDAVHFRAPECRDLQRQMPDSTSCSYSSFPTYSGRNVPQTDGATFHNKGYPLRPPHAPPSNQFSYVQGDQQVKPRREAPPPYHNRFDFGPNGDRENYYNNHERMKPPYEPRESWGFPPHSFSGRYPDKGKTSYGTAPFRGPPCEPTRLPGQGWRYPPRSMNHRESMPFRPPFEGPIPVNGRDYGGSGPSFWRPR >ONH93652 pep chromosome:Prunus_persica_NCBIv2:G8:21280859:21290558:-1 gene:PRUPE_8G244400 transcript:ONH93652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRKGASKAAQAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSADWKKVLVYFFGTQQIAFCNPADVEAFTEEKKQSLLGKRHGKGSDFVRAVQEIIDSYDKLKKEDQVDDFNSTANGGNSVDSSSNFGSKDQSEAPEAILDSRSKSSHSTIDRNEPSLSVEDASATAQIDAMVDKEALTEEPAATEMVTETPRPVTCSSRKRSRHSRPQKEEAPARRSRSSSRMESRRLRNLIMPCDDDAKDARDVSGNLVRDRCLRRNKRIRKSPDNSECDDVNSAAFVSNGFIEDNGSEVVTVDSDTFSLDEGGAIDSGCKGEHSETVVECMDGDAQLIKGLDLGGKVVIKKKRKPNRKRVTNDVSEPISMLDKETVLEVGLQSSSQTLQTDCGKMNGTCSKENGTSSKEDGDEHLPLVKRARVRMGKPSSANEEADSFAHNEGSLKEVMVNSSEPISTSSNCDENFPAARDSFVVNEALDNITPSRGCTRILGNRPHLWNTKKDQSFGSSADGEAVLPPSKRLHRALEAMSANAADEDDRCHYESSILKMSTIGCHISSTSRCLPIAVESGTGNGLGLQSDDSLGNKASGVDASRFSTSSNPVTLEENTKSVVEVDVDQRTESPNIQIHECSINDFPDSGDLADDKNLSGGSSGCHTIGTAVQTESPVHLLPNVDIREAGTGANQASMGELPLKGDAKNELSNCDAENPDIECDTSEPALKSTDPVSGTIHGMVEVSPRNDASPRHYGGEGASENIEFLEPRSEDNREVNDMFDVVREVENRQTEKDPSSVSYPNEYLGEKTVSGIRSSPSVTDGGDSLAQASPPNTSGCRMSTSDSSNILQNNGSCSPDVDLQDKRTSSTPVDEDGKSESVVSQRPKSVGRYEEALAALTSFETTLGTLTRTKESIGRATRVAIDCGKIGVAAKALEILARHLETESRLHRRVDLFFLVDSITQYSRGLKGDGGGMYLSAIQAVLPRLLSAAAPPGSAAHENRRQCLKVLRLWSERRIFPESIIHRHMRELNSLTGPSSAGAYGRRSSRTERSLDDPLREMEGMLVDEYGSNSSFQLPGFCMPRMLKDEHDGSDSDGESFEAVTPEHNPRGHEEYETTPATERHRHILEDVDGELEMEDVAPSCDVDTSSSCGVAIANTVQASHNQFEQNCPLPLAPPLPQDVPPSSPPLPSSPPPPPPPPPLPPPVVIHPPCSNLDAHLQNVQENRVQPPPQQLNAPRINQTISDAVHFRAPECRDLQRQMPDSTSCSYSSFPTYSGRNVPQTDGATFHNKGYPLRPPHAPPSNQFSYVQGDQQVKPRREAPPPYHNRFDFGPNGDRENYYNNHERMKPPYEPRESWGFPPHSFSGRYPDKGKTSYGTAPFRGPPCEPTRLPGQGWRYPPRSMNHRESMPFRPPFEGPIPVNGRDYGGSGPSFWRPR >ONH93653 pep chromosome:Prunus_persica_NCBIv2:G8:21281529:21290051:-1 gene:PRUPE_8G244400 transcript:ONH93653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRKGASKAAQAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSADWKKVLVYFFGTQQIAFCNPADVEAFTEEKKQSLLGKRHGKGSDFVRAVQEIIDSYDKLKKEDQVDDFNSTANGGNSVDSSSNFGSKDQSEAPEAILDSRSKSSHSTIDRNEPSLSVEDASATAQIDAMVDKEALTEEPAATEMVTETPRPVTCSSRKRSRHSRPQKEEAPARRSRSSSRMESRRLRNLIMPCDDDAKDARDVSGNLVRDRCLRRNKRIRKSPDNSECDDVNSAAFVSNGFIEDNGSEVVTVDSDTFSLDEGGAIDSGCKGEHSETVVECMDGDAQLIKGLDLGGKVVIKKKRKPNRKRVTNDVSEPISMLDKETVLEVGLQSSSQTLQTDCGKMNGTCSKENGTSSKEDGDEHLPLVKRARVRMGKPSSANEEADSFAHNEGSLKEVMVNSSEPISTSSNCDENFPAARDSFVVNEALDNITPSRGCTRILGNRPHLWNTKKDQSFGSSADGEAVLPPSKRLHRALEAMSANAADEDDRCHYESSILKMSTIGCHISSTSRCLPIAVESGTGNGLGLQSDDSLGNKASGVDASRFSTSSNPVTLEENTKSVVEVDVDQRTESPNIQIHECSINDFPDSGDLADDKNLSGGSSGCHTIGTAVQTESPVHLLPNVDIREAGTGANQASMGELPLKGDAKNELSNCDAENPDIECDTSEPALKSTDPVSGTIHGMVEVSPRNDASPRHYGGEGASENIEFLEPRSEDNREVNDMFDVVREVENRQTEKDPSSVSYPNEYLGEKTVSGIRSSPSVTDGGDSLAQASPPNTSGCRMSTSDSSNILQNNGSCSPDVDLQDKRTSSTPVDEDGKSESVVSQRPKSVGRYEEALAALTSFETTLGTLTRTKESIGRATRVAIDCGKIGVAAKALEILARHLETESRLHRRVDLFFLVDSITQYSRGLKGDGGGMYLSAIQAVLPRLLSAAAPPGSAAHENRRQCLKVLRLWSERRIFPESIIHRHMRELNSLTGPSSAGAYGRRSSRTERSLDDPLREMEGMLVDEYGSNSSFQLPGFCMPRMLKDEHDGSDSDGESFEAVTPEHNPRGHEEYETTPATERHRHILEDVDGELEMEDVAPSCDVDTSSSCGVAIANTVQASHNQFEQNCPLPLAPPLPQDVPPSSPPLPSSPPPPPPPPPLPPPVVIHPPCSNLDAHLQNVQENRVQPPPQQLNAPRINQTISDAVHFRAPECRDLQRQMPDSTSCSYSSFPTYSGRNVPQTDGATFHNKGYPLRPPHAPPSNQFSYVQGDQQVKPRREAPPPYHNRFDFGPNGDRENYYNNHERMKPPYEPRESWGFPPHSFSGRYPDKGKTSYGTAPFRGPPCEPTRLPGQGWRYPPRSMNHRESMPFRPPFEGPIPVNGRDYGGSGPSFWRPR >ONH90560 pep chromosome:Prunus_persica_NCBIv2:G8:8818027:8827762:-1 gene:PRUPE_8G061500 transcript:ONH90560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPSRSNLKRPFFEDDGSDKPQAQKRVRFPKGKKARPGDEAVNRGRPEEAVGVGIGPTPLTDPRSAAKERAKRRSQITTELFSEETAGILNDVSAAEVTYKDYDDFVEDGIPIEPFNLNKEREEGYFDADGNFVEYANKNEVEDAWLDSVEVDTKYAGKGFAVTKDDDDVQDLSSDDVGKIKRRIADVLEPGETVLQALRRLKGTSNNKKEKMSAETKRVFDQLTEDATNLMDNGESNVYHEKKEMFEREAEGYESLARARREGTSTSAYQGKSVLSMEWGTSSDVTSPGVPSSILPETAVGSSNSNAPAAETTSNGADAFDIFAEDDEHVIAKPSSEGSDVICGPNSDGVSSLSSNNLNAYSENGVLQNDYVFDESSGYYYSSSLGYYYDPSTGFYCSAASGLWYSFNEETGAYDEIHQAATSVN >ONH90561 pep chromosome:Prunus_persica_NCBIv2:G8:8818485:8827138:-1 gene:PRUPE_8G061500 transcript:ONH90561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLKLLTSIFVSRDDCQILLLIGFGSVERIANDWGIFWQDYDDFVEDGIPIEPFNLNKEREEGYFDADGNFVEYANKNEVEDAWLDSVEVDTKYAGKGFAVTKDDDDVQDLSSDDVGKIKRRIADVLEPGETVLQALRRLKGTSNNKKEKMSAETKRVFDQLTEDATNLMDNGESNVYHEKKEMFEREAEGYESLARARREGTSTSAYQGKSVLSMEWGTSSDVTSPGVPSSILPETAVGSSNSNAPAAETTSNGADAFDIFAEDDEHVIAKPSSEGSDVICGPNSDGVSSLSSNNLNAYSENGVLQNDYVFDESSGYYYSSSLGYYYDPSTGFYCSAASGLWYSFNEETGAYDEIHQAATSVN >ONH90563 pep chromosome:Prunus_persica_NCBIv2:G8:8818590:8827762:-1 gene:PRUPE_8G061500 transcript:ONH90563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPSRSNLKRPFFEDDGSDKPQAQKRVRFPKGKKARPGDEAVNRGRPEEAVGVGIGPTPLTDPRSAAKERAKRRSQITTELFSEETAGILNDVSAAEVTYKDYDDFVEDGIPIEPFNLNKEREEGYFDADGNFVEYANKNEVEDAWLDSVEVDTKYAGKGFAVTKDDDDVQDLSSDDVGKIKRRIADVLEPGETVLQALRRLKGTSNNKKEKMSAETKRVFDQLTEDATNLMDNGESNVYHEKKEMFEREAEGYESLARARREGTSTSAYQGKSVLSMEWGTSSDVTSPGVPSSILPETAVGSSNSNAPAAETTSNGADAFDIFAEDDEHVIAKPSSEGSDVICGPNSDGVSSLSSNNLNAYSENGVLQNDYVFDESSGYYYSSSLGYYYDPSTGFYCSAASGLWNMAFQLQVMTTQGIATENFLDLLIMLGTIIER >ONH90567 pep chromosome:Prunus_persica_NCBIv2:G8:8822901:8827762:-1 gene:PRUPE_8G061500 transcript:ONH90567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPSRSNLKRPFFEDDGSDKPQAQKRVRFPKGKKARPGDEAVNRGRPEEAVGVGIGPTPLTDPRSAAKERAKRRSQITTELFSEETAGILNDVSAAEVTYKDYDDFVEDGIPIEPFNLNKEREEGYFDADGNFVEYANKNEVEDAWLDSVEVDTKYAGKGFAVTKDDDDVQDLSSDDVGKIKRRIADVLEPGETVLQALRRLKGTSNNKKEKMSAETKRVFDQLTEDATNLMDNGESNVYHEKKEMFEREAGCKGSNCKACIWEKLKVMDAKSVNAQLPKEFVGKI >ONH90569 pep chromosome:Prunus_persica_NCBIv2:G8:8823109:8827138:-1 gene:PRUPE_8G061500 transcript:ONH90569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLKLLTSIFVSRDDCQILLLIGFGSVERIANDWGIFWQDYDDFVEDGIPIEPFNLNKEREEGYFDADGNFVEYANKNEVEDAWLDSVEVDTKYAGKGFAVTKDDDDVQDLSSDDVGKIKRRIADVLEPGETVLQALRRLKGTSNNKKEKMSAETKRVFDQLTEDATNLMDNGESNVYHEKKEMFEREAGKLCYSEIINQGSCDQYRLQGLELQGLYLGEVEGYGCQKCECSTSQRICW >ONH90559 pep chromosome:Prunus_persica_NCBIv2:G8:8818027:8827762:-1 gene:PRUPE_8G061500 transcript:ONH90559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPSRSNLKRPFFEDDGSDKPQAQKRVRFPKGKKARPGDEAVNRGRPEEAVGVGIGPTPLTDPRSAAKERAKRRSQITTELFSEETAGILNDVSAAEVTYKDAWLDSVEVDTKYAGKGFAVTKDDDDVQDLSSDDVGKIKRRIADVLEPGETVLQALRRLKGTSNNKKEKMSAETKRVFDQLTEDATNLMDNGESNVYHEKKEMFEREAEGYESLARARREGTSTSAYQGKSVLSMEWGTSSDVTSPGVPSSILPETAVGSSNSNAPAAETTSNGADAFDIFAEDDEHVIAKPSSEGSDVICGPNSDGVSSLSSNNLNAYSENGVLQNDYVFDESSGYYYSSSLGYYYDPSTGFYCSAASGLWYSFNEETGAYDEIHQAATSVN >ONH90565 pep chromosome:Prunus_persica_NCBIv2:G8:8820727:8827534:-1 gene:PRUPE_8G061500 transcript:ONH90565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPSRSNLKRPFFEDDGSDKPQAQKRVRFPKGKKARPGDEAVNRGRPEEAVGVGIGPTPLTDPRSAAKERAKRRSQITTELFSEETAGILNDVSAAEVTYKDYDDFVEDGIPIEPFNLNKEREEGYFDADGNFVEYANKNEVEDAWLDSVEVDTKYAGKGFAVTKDDDDVQDLSSDDVGKIKRRIADVLEPGETVLQALRRLKGTSNNKKEKMSAETKRVFDQLTEDATNLMDNGESNVYHEKKEMFEREAEGYESLARARREGTSTSAYQGKSVLSMEWGTSSDVTSPGVPSSILPETAVGSSNSNAPAAETTSNGADAFDIFAEDDEHVIAKPSSEGSDVICGPNSDGVSSLSSNNLNAYSENGVLQNDYVFDESSGVDYLRC >ONH90566 pep chromosome:Prunus_persica_NCBIv2:G8:8820727:8827138:-1 gene:PRUPE_8G061500 transcript:ONH90566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLKLLTSIFVSRDDCQILLLIGFGSVERIANDWGIFWQDYDDFVEDGIPIEPFNLNKEREEGYFDADGNFVEYANKNEVEDAWLDSVEVDTKYAGKGFAVTKDDDDVQDLSSDDVGKIKRRIADVLEPGETVLQALRRLKGTSNNKKEKMSAETKRVFDQLTEDATNLMDNGESNVYHEKKEMFEREAEGYESLARARREGTSTSAYQGKSVLSMEWGTSSDVTSPGVPSSILPETAVGSSNSNAPAAETTSNGADAFDIFAEDDEHVIAKPSSEGSDVICGPNSDGVSSLSSNNLNAYSENGVLQNDYVFDESSGVDYLRC >ONH90564 pep chromosome:Prunus_persica_NCBIv2:G8:8818729:8827138:-1 gene:PRUPE_8G061500 transcript:ONH90564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLKLLTSIFVSRDDCQILLLIGFGSVERIANDWGIFWQDYDDFVEDGIPIEPFNLNKEREEGYFDADGNFVEYANKNEVEDAWLDSVEVDTKYAGKGFAVTKDDDDVQDLSSDDVGKIKRRIADVLEPGETVLQALRRLKGTSNNKKEKMSAETKRVFDQLTEDATNLMDNGESNVYHEKKEMFEREAEGYESLARARREGTSTSAYQGKSVLSMEWGTSSDVTSPGVPSSILPETAVGSSNSNAPAAETTSNGADAFDIFAEDDEHVIAKPSSEGSDVICGPNSDGVSSLSSNNLNAYSENGVLQNDYVFDESSGYYYSSSLGYYYDPSTGFYCSAASGLWNMAFQLQVMTTQGIATENFLDLLIMLGTIIER >ONH90568 pep chromosome:Prunus_persica_NCBIv2:G8:8822970:8827762:-1 gene:PRUPE_8G061500 transcript:ONH90568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPSRSNLKRPFFEDDGSDKPQAQKRVRFPKGKKARPGDEAVNRGRPEEAVGVGIGPTPLTDPRSAAKERAKRRSQITTELFSEETAGILNDVSAAEVTYKDYDDFVEDGIPIEPFNLNKEREEGYFDADGNFVEYANKNEVEDAWLDSVEVDTKYAGKGFAVTKDDDDVQDLSSDDVGKIKRRIADVLEPGETVLQALRRLKGTSNNKKEKMSAETKRVFDQLTEDATNLMDNGESNVYHEKKEMFEREAGKLCYSEIINQGSCDQYRLQGLELQGLYLGEVEGYGCQKCECSTSQRICW >ONH90562 pep chromosome:Prunus_persica_NCBIv2:G8:8818027:8827762:-1 gene:PRUPE_8G061500 transcript:ONH90562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPSRSNLKRPFFEDDGSDKPQAQKRVRFPKGKKARPGDEAVNRGRPEEAVGVGIGPTPLTDPRSAAKERAKRRSQITTELFSEETAGILNDVSAAEVTYKDYDDFVEDGIPIEPFNLNKEREEGYFDADGNFVEYANKNEVEDAWLDSVEVDTKYAGKGFAVTKDDDDVQDLSSDDVGKIKRRIADVLEPGETVLQALRRLKGTSNNKKEKMSAETKRVFDQLTEDATNLMDNGESNVYHEKKEMFEREAEGYESLARARREGTSTSAYQGKSVLSMEWGTSSDVTSPGVPSSILPETAVGSSNSNAPAAETTSNGADAFDIFAEDDEHVIAKPSSEGSDVICGPNSDGVSSLSSNNLNAYSESTIIAAVWDIIMIRPQDSIALLRRGCGTRSMRRRAHMMKFTRLQPV >ONH93804 pep chromosome:Prunus_persica_NCBIv2:G8:21717491:21718910:1 gene:PRUPE_8G253800 transcript:ONH93804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIHVDSPASPLYPGNISYEEEIQRLTDRSIARAQHLHYTLASLGNNNVSQTIINPLDIRPKLEFYPYGSYLVQVGIGTFDATFPARSFNTYYLYTDTGSILTWVLCEDCLKPGNQCFQTKEPPFPNSKSKSYVALCCNQNPFCKTGQCTGPYCSQHDEYMDGTVVNSILSAENFNFLSSSGQPLMIPGVVFGCAYDVRKISFGRREEFKVAGLLGLGYASISFPLQQSYQTGKVFSMCLTRQREIQTYLRFGKDVPTPPGGLRVTKLVFFKDVPYYYVNLLAISVHGQKLLIDPNVFAVRNQGTSGGCFMDNGTSFTFLIRPAFNAVVQFLEMYFMRFPHLIKGGRPLGPPFELCYKWMTPLPPLPTLTFHFENADLVINPEDLFIKVNADQQGNDLFCLAFIANDARTILGSMHQSNYLFIYDLNQKLLKFAPEDCSKNS >ONH90316 pep chromosome:Prunus_persica_NCBIv2:G8:4858689:4861282:-1 gene:PRUPE_8G046000 transcript:ONH90316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQGARDICLNSGKQREIPLGGGETRCLKRMDLITALAESLPRGTIRLGCQALSVRLDSSTSSPSLHLQNGSSIKAKVLIGCDGTNSVVADFLDLKPSKLFSLSEVRGFTMYPSGHNFGNQFVQVKGDKCTVGRIPIHNKLVYWFVTQKVMYGRGGLEVPKDPELIRQLTLEAIKDFPSEMIDMISKSDTKSLSNTRLRYRSPWDILVRNFRKGSVTVAGDAMHTMGPFLGQGGSAGIEDSIVIARCLAQELAENYDKKSRARNIMMMKVEEALDKYVKERRMRLVLLSTQTYLAGLLQQDSGLIVKFVCIFLMTALFSDMTRHTRYDCGCL >ONH90317 pep chromosome:Prunus_persica_NCBIv2:G8:4858884:4860435:-1 gene:PRUPE_8G046000 transcript:ONH90317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLITALAESLPRGTIRLGCQALSVRLDSSTSSPSLHLQNGSSIKAKVLIGCDGTNSVVADFLDLKPSKLFSLSEVRGFTMYPSGHNFGNQFVQVKGDKCTVGRIPIHNKLVYWFVTQKVMYGRGGLEVPKDPELIRQLTLEAIKDFPSEMIDMISKSDTKSLSNTRLRYRSPWDILVRNFRKGSVTVAGDAMHTMGPFLGQGGSAGIEDSIVIARCLAQELAENYDKKSRARNIMMMKVEEALDKYVKERRMRLVLLSTQTYLAGLLQQDSGLIVKFVCIFLMTALFSDMTRHTRYDCGCL >ONH90314 pep chromosome:Prunus_persica_NCBIv2:G8:4858884:4861608:-1 gene:PRUPE_8G046000 transcript:ONH90314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEETEIAIVGGGICGLATALALHRKGLRSVVLERSESLRATGAGITIRTNGWRALDELGVASKLRQTAMPLQGARDICLNSGKQREIPLGGGETRCLKRMDLITALAESLPRGTIRLGCQALSVRLDSSTSSPSLHLQNGSSIKAKVLIGCDGTNSVVADFLDLKPSKLFSLSEVRGFTMYPSGHNFGNQFVQVKGDKCTVGRIPIHNKLVYWFVTQKVMYGRGGLEVPKDPELIRQLTLEAIKDFPSEMIDMISKSDTKSLSNTRLRYRSPWDILVRNFRKGSVTVAGDAMHTMGPFLGQGGSAGIEDSIVIARCLAQELAENYDKKSRARNIMMMKVEEALDKYVKERRMRLVLLSTQTYLAGLLQQDSGLIVKFVCIFLMTALFSDMTRHTRYDCGCL >ONH90313 pep chromosome:Prunus_persica_NCBIv2:G8:4858689:4861676:-1 gene:PRUPE_8G046000 transcript:ONH90313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEETEIAIVGGGICGLATALALHRKGLRSVVLERSESLRATGAGITIRTNGWRALDELGVASKLRQTAMPLQGGGETRCLKRMDLITALAESLPRGTIRLGCQALSVRLDSSTSSPSLHLQNGSSIKAKVLIGCDGTNSVVADFLDLKPSKLFSLSEVRGFTMYPSGHNFGNQFVQVKGDKCTVGRIPIHNKLVYWFVTQKVMYGRGGLEVPKDPELIRQLTLEAIKDFPSEMIDMISKSDTKSLSNTRLRYRSPWDILVRNFRKGSVTVAGDAMHTMGPFLGQGGSAGIEDSIVIARCLAQELAENYDKKSRARNIMMMKVEEALDKYVKERRMRLVLLSTQTYLAGLLQQDSGLIVKFVCIFLMTALFSDMTRHTRYDCGCL >ONH90315 pep chromosome:Prunus_persica_NCBIv2:G8:4858689:4861648:-1 gene:PRUPE_8G046000 transcript:ONH90315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGELWMNLVWPQSSDRLPCRFKGLETYASIVGSNEKYRLGLEVPKDPELIRQLTLEAIKDFPSEMIDMISKSDTKSLSNTRLRYRSPWDILVRNFRKGSVTVAGDAMHTMGPFLGQGGSAGIEDSIVIARCLAQELAENYDKKSRARNIMMMKVEEALDKYVKERRMRLVLLSTQTYLAGLLQQDSGLIVKFVCIFLMTALFSDMTRHTRYDCGCL >ONH89958 pep chromosome:Prunus_persica_NCBIv2:G8:2404525:2410325:1 gene:PRUPE_8G026500 transcript:ONH89958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSQRAFTSATAVCPWKYQVFLSFRGEDTRRGFTDYLYKQLDWRGIRTFRDDPDLERGTDINPELLTAIEQSRFAIIVLSTNYASSSWCLRELTHIVESMKEKERIFPIFYDVDPSDVRHQRGSFGTAVINHERNCGEDREEVLEWRNALKIVANLAGWNSKDYRYDTELIKKIVDAVWDKVHPSFSLLDSSEILVGLDIKLKEIDLLLDTNANDVRFVGIWGMGGMGKTTLARLVYETISQNFEGSSFLANVREVYATYGLVPLQKQLLSNILREKNIQVYDAYSGLTMIKRCLCNKKVLLVLDDVDQSDQLEMLIREKDCFGLGSRIIITTRDERLFVEHGIEKVYKVMPLTQDEALYLFSRKAFRKDDLEEDYFELSKNFINYAGGLPLALKTLGSFLYKRSRDEWKSALDKLKQAPDRKFFQMLKISYDGLEEMQKKIFLDVACFHKFYGKEKVIEMLDSCGFVGTRIVIHVLIEKSLLSISNTRLSIHDLIQEMAWEIVRQESFDEPGGRSRLWLHSDIIHVLTNNTGTETIEGIVLCLREFEAAHWNPEAFTKMCKLKLLKINNLRLSLGPKYLPNSLRILEWSWYPSKCLPPSFQPVELAELRMQHSKIDYLWNGTKYMVKLKSIDLSYSENLTRTPDFTGTQNLERLVFEGCTNLVKIHPSIASLKRLRVLNFKYCKSIKSLPSEVELESLETFDLSGCSKVKKIPEFVGEMKNFSKLSLNFTAVEQMPSSNIHSMASLKELDMSGISMRDPPSSLVPVKDIELPRSWHSFFTFGLFPSKNPHPVSLVFASLKDLRFLKHLNLKDCNLCEGAIPEDIGLLSSLEELNLDGNHFVSLPASISGLSKLSSFSLKNCKRLQKLPSLPSTRQIFFSLKTGNCTSLKIFPDPTPMCSGSTIFIYSFNCFSLVDHQGSSSRIYLMLKKFLQEIPHSLKDFRIVIPGSEIPEWFSNQSVGDSVIETLPSDSNSKWVGFAFCSLFVPVEEISATERNTIVIFDLNAHVTMASFSDVTDVASDHLWLILLSRESLFTGRLGTYWREKCHDRKIRFQFEVQTTYQEENRRVKRKTCLKVKKCGVRILYEQDGEELSRTMKQYSNRKNSFYEDVTDCDIDKSDNVQGAITKRTCEQYCNEAGPSGIGSFGKESSASKEELKDPNFIGEESSVIRKPNRAFYRLKHLNMVGRHLFILKCYFS >ONH89959 pep chromosome:Prunus_persica_NCBIv2:G8:2404806:2409191:1 gene:PRUPE_8G026500 transcript:ONH89959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSQRAFTSATAVCPWKYQVFLSFRGEDTRRGFTDYLYKQLDWRGIRTFRDDPDLERGTDINPELLTAIEQSRFAIIVLSTNYASSSWCLRELTHIVESMKEKERIFPIFYDVDPSDVRHQRGSFGTAVINHERNCGEDREEVLEWRNALKIVANLAGWNSKDYRYDTELIKKIVDAVWDKVHPSFSLLDSSEILVGLDIKLKEIDLLLDTNANDVRFVGIWGMGGMGKTTLARLVYETISQNFEGSSFLANVREVYATYGLVPLQKQLLSNILREKNIQVYDAYSGLTMIKRCLCNKKVLLVLDDVDQSDQLEMLIREKDCFGLGSRIIITTRDERLFVEHGIEKVYKVMPLTQDEALYLFSRKAFRKDDLEEDYFELSKNFINYAGGLPLALKTLGSFLYKRSRDEWKSALDKLKQAPDRKFFQMLKISYDGLEEMQKKIFLDVACFHKFYGKEKVIEMLDSCGFVGTRIVIHVLIEKSLLSISNTRLSIHDLIQEMAWEIVRQESFDEPGGRSRLWLHSDIIHVLTNNTGTETIEGIVLCLREFEAAHWNPEAFTKMCKLKLLKINNLRLSLGPKYLPNSLRILEWSWYPSKCLPPSFQPVELAELRMQHSKIDYLWNGTKYMVKLKSIDLSYSENLTRTPDFTGTQNLERLVFEGCTNLVKIHPSIASLKRLRVLNFKYCKSIKSLPSEVELESLETFDLSGCSKVKKIPEFVGEMKNFSKLSLNFTAVEQMPSSNIHSMASLKELDMSGISMRDPPSSLVPVKDIELPRSWHSFFTFGLFPSKNPHPVSLVFASLKDLRFLKHLNLKDCNLCEGAIPEDIGLLSSLEELNLDGNHFVSLPASISGLSKLSSFSLKNCKRLQKLPSLPSTRQIFFSLKTGNCTSLKIFPDPTPMCSGSTIFIYSFNCFSLVDHQGSSSRIYLMLKKFLQEIPHSLKDFRIVIPGSEIPEWFSNQSVGDSVIETLPSDSNSKWVGFAFCSLFVPVEEISATERNTIVIFDLNAHVTMASFSDVTDVASDHLWLILLSRESLFTGRLGTYWREKCHDRKIRFQFEVQTTYQEENRRVKRKTCLKVKKCGVRILYEQDGEELSRTMKQYSNRKNSFYEDVTDCDIDKSDNVQGAITKRTCEQYCNEAGPSGIGSFGKESSASKEELKGTDGLQDSKIRPLIHKLKIRYEHVHRQI >ONH91169 pep chromosome:Prunus_persica_NCBIv2:G8:12882742:12886933:1 gene:PRUPE_8G096600 transcript:ONH91169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSPLTPLPFHHRHYHPHIHLHQNAHSITKFNTFSCLHETPQPHHHDPQDLSLRNHNSKSTALLLQRLSHLPNPNPSHLRQHPDPQPSGHKAKLLERSLLRKRTPQFPGSISLDSSSLTSLDDEDDEHRMIMRALDIRRKVTAEIFKEFMRTKGKFGITYATNLVETLTEFLDYVMVQAAAMKLSPEFSSSTYNFRAKTVIEDSEVVPCIRWLKHNSLSYPQIGKLICLSKGDIGSIRRLALWLKSIHVKGRFIGVALVKAGDHFLERSNEELDEIVEYLESNGVRRDWMGCVMSRCPQLLSYSLEEVKTRAGFYLDMGINDKDFGTMVFDYPRVLGYYTLDEMNQKVDYLKEFGLSAEDVGKLLAFRPQLMGCSIEERWKPLVKYLYYHGITRDGMRRMLIIKPMVFCVDLDKTIVPKVKFFQDIGIHDDAIGKMLVKFPPLLTYSLYKKIRPVVIFLMTKAGVSERDIGKSFG >ONH91167 pep chromosome:Prunus_persica_NCBIv2:G8:12882693:12887019:1 gene:PRUPE_8G096600 transcript:ONH91167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSPLTPLPFHHRHYHPHIHLHQNAHSITKFNTFSCLHETPQPHHHDPQDLSLRNHNSKSTALLLQRLSHLPNPNPSHLRQHPDPQPSGHKAKLLERSLLRKRTPQFPGSISLDSSSLTSLDDEDDEHRMIMRALDIRRKVTAEIFKEFMRTKGKFGITYATNLVETLTEFLDYVMVQAAAMKLSPEFSSSTYNFRAKTVIEDSEVVPCIRWLKHNSLSYPQIGKLICLSKGDIGSIRRLALWLKSIHVKGRFIGVALVKAGDHFLERSNEELDEIVEYLESNGVRRDWMGCVMSRCPQLLSYSLEEVKTRAGFYLDMGINDKDFGTMVFDYPRVLGYYTLDEMNQKVDYLKEFGLSAEDVGKLLAFRPQLMGCSIEERWKPLVKYLYYHGITRDGMRRMLIIKPMVFCVDLDKTIVPKVKFFQDIGIHDDAIGKMLVKFPPLLTYSLYKKIRPVVIFLMTKAGVSERDIGKVIALGPELLGCSIVNKLEVNVKYFLSLGIHLRVLGEMIADFPMLLRYNIDVLRPKYRYLRRTMVRPLQDLIEFPRFFSYSLEGRIIPRYKVLIENCINLKLRYMLASTDEEFEERVKVIVERRKRFESGVTSEDVSNSQTGDDDEFPVKGAMLDESESEVELTMFDDGESEAESTMLDDSDSEAEL >ONH91168 pep chromosome:Prunus_persica_NCBIv2:G8:12882742:12886834:1 gene:PRUPE_8G096600 transcript:ONH91168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSPLTPLPFHHRHYHPHIHLHQNAHSITKFNTFSCLHETPQPHHHDPQDLSLRNHNSKSTALLLQRLSHLPNPNPSHLRQHPDPQPSGHKAKLLERSLLRKRTPQFPGSISLDSSSLTSLDDEDDEHRMIMRALDIRRKVTAEIFKEFMRTKGKFGITYATNLVETLTEFLDYVMVQAAAMKLSPEFSSSTYNFRAKTVIEDSEVVPCIRWLKHNSLSYPQIGKLICLSKGDIGSIRRLALWLKSIHVKGRFIGVALVKAGDHFLERSNEELDEIVEYLESNGVRRDWMGCVMSRCPQLLSYSLEEVKTRAGFYLDMGINDKDFGTMVFDYPRVLGYYTLDEMNQKVDYLKEFGLSAEDVGKLLAFRPQLMGCSIEERWKPLVKYLYYHGITRDGMRRMLIIKPMVFCVDLDKTIVPKVIFLMTKAGVSERDIGKVIALGPELLGCSIVNKLEVNVKYFLSLGIHLRVLGEMIADFPMLLRYNIDVLRPKYRYLRRTMVRPLQDLIEFPRFFSYSLEGRIIPRYKVLIENCINLKLRYMLASTDEEFEERVKVIVERRKRFESGVTSEDVSNSQTGDDDEFPVKGAMLDESESEVELTMFDDGESEAESTMLDDSDSEAEL >ONH93641 pep chromosome:Prunus_persica_NCBIv2:G8:21238629:21242129:1 gene:PRUPE_8G243500 transcript:ONH93641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQSSLTDPMQLVPLDFCGDAAIDVSQATGDDPSQQVIMAAEGTAYQTDDLFSPWWTEKPKPSLDSLNYVEFQAESSTFLPKDRSEDILESIPLSVSGIDDVGGVSEFQREVLYSSLRNACGSKLTDFSMDMDEWKVRCLRPVNDELVNAQHQLHAKTRRMRIAERLDALRELLPISTEGGQAFVVDDVIDHIKFLQLQIKDLCRSRLGGETITEPIIFREGYGHYFCHQNMLNEPLEEMMGKLLETNPMAASQLLENKDLLLLPMDYSEPLA >ONH93642 pep chromosome:Prunus_persica_NCBIv2:G8:21238629:21241515:1 gene:PRUPE_8G243500 transcript:ONH93642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQSSLTDPMQLVPLDFCGDAAIDVSQATGDDPSQQVIMAAEGTAYQTDDLFSPWWTEKPKPSLDSLNYVEFQAESSTFLPKDRSEDILESIPLSVSGIDDVGGVSEFQREVLYSSLRNACGSKLTDFSMDMDEWKVRCLRPVNDELVNAQHQLHAKTRRMRIAERLDALRELLPISTEGGQAFVVDDVIDHIKFLQLQIKDLCRSRLGGETITEPIIFREVYIIHLC >ONH93637 pep chromosome:Prunus_persica_NCBIv2:G8:21237946:21242169:1 gene:PRUPE_8G243500 transcript:ONH93637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQSSLTDPMQLVPLDFCGDAAIDVSQATGDDPSQQVIMAAEGTAYQTDDLFSPWWTEKPKPSLDSLNYVEFQAESSTFLPKDRSEDILESIPLSVSGIDDVGGVSEFQREVLYSSLRNACGSKLTDFSMDMDEWKQVRCLRPVNDELVNAQHQLHAKTRRMRIAERLDALRELLPISTEGGQAFVVDDVIDHIKFLQLQIKDLCRSRLGGETITEPIIFREGYGHYFCHQNMLNEPLEEMMGKLLETNPMAASQLLENKDLLLLPMDYSEPLA >ONH93639 pep chromosome:Prunus_persica_NCBIv2:G8:21238629:21242129:1 gene:PRUPE_8G243500 transcript:ONH93639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQSSLTDPMQLVPLDFCGDAAIDVSQATGDDPSQQVIMAAEGTAYQTDDLFSPWWTEKPKPSLDSLNYVEFQAESSTFLPKDRSEDILESIPLSVSGIDDVGGVSEFQREVLYSSLRNACGSKLTDFSMDMDEWKVRCLRPVNDELVNAQHQLHAKYHTSRTDNLCGDKKDSVSQVDPRARSALPDFHSQPHCHISTQRAAVTDRTRRMRIAERLDALRELLPISTEGGQAFVVDDVIDHIKFLQLQIKDLCRSRLGGETITEPIIFREGYGHYFCHQNMLNEPLEEMMGKLLETNPMAASQLLENKDLLLLPMDYSEPLA >ONH93638 pep chromosome:Prunus_persica_NCBIv2:G8:21237946:21242129:1 gene:PRUPE_8G243500 transcript:ONH93638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQSSLTDPMQLVPLDFCGDAAIDVSQATGDDPSQQVIMAAEGTAYQTDDLFSPWWTEKPKPSLDSLNYVEFQAESSTFLPKDRSEDILESIPLSVSGIDDVGGVSEFQREVLYSSLRNACGSKLTDFSMDMDEWKQVRCLRPVNDELVNAQHQLHAKTRRMRIAERLDALRELLPISTEDLCRSRLGGETITEPIIFREGYGHYFCHQNMLNEPLEEMMGKLLETNPMAASQLLENKDLLLLPMDYSEPLA >ONH93640 pep chromosome:Prunus_persica_NCBIv2:G8:21238629:21242129:1 gene:PRUPE_8G243500 transcript:ONH93640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQSSLTDPMQLVPLDFCGDAAIDVSQATGDDPSQQVIMAAEGTAYQTDDLFSPWWTEKPKPSLDSLNYVEFQAESSTFLPKDRSEDILESIPLSVSGIDDVGGVSEFQREVLYSSLRNACGSKLTDFSMDMDEWKVRCLRPVNDELVNAQHQLHAKYHTSRTDNLCGDKKDSVSQVDPRARSALPDFHSQPHCHISTQRAAVTDRTRRMRIAERLDALRELLPISTEDLCRSRLGGETITEPIIFREGYGHYFCHQNMLNEPLEEMMGKLLETNPMAASQLLENKDLLLLPMDYSEPLA >ONH93635 pep chromosome:Prunus_persica_NCBIv2:G8:21237946:21242169:1 gene:PRUPE_8G243500 transcript:ONH93635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQSSLTDPMQLVPLDFCGDAAIDVSQATGDDPSQQVIMAAEGTAYQTDDLFSPWWTEKPKPSLDSLNYVEFQAESSTFLPKDRSEDILESIPLSVSGIDDVGGVSEFQREVLYSSLRNACGSKLTDFSMDMDEWKQVRCLRPVNDELVNAQHQLHAKYHTSRTDNLCGDKKDSVSQVDPRARSALPDFHSQPHCHISTQRAAVTDRTRRMRIAERLDALRELLPISTEGGQAFVVDDVIDHIKFLQLQIKDLCRSRLGGETITEPIIFREGYGHYFCHQNMLNEPLEEMMGKLLETNPMAASQLLENKDLLLLPMDYSEPLA >ONH93636 pep chromosome:Prunus_persica_NCBIv2:G8:21237946:21242129:1 gene:PRUPE_8G243500 transcript:ONH93636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQSSLTDPMQLVPLDFCGDAAIDVSQATGDDPSQQVIMAAEGTAYQTDDLFSPWWTEKPKPSLDSLNYVEFQAESSTFLPKDRSEDILESIPLSVSGIDDVGGVSEFQREVLYSSLRNACGSKLTDFSMDMDEWKQVRCLRPVNDELVNAQHQLHAKYHTSRTDNLCGDKKDSVSQVDPRARSALPDFHSQPHCHISTQRAAVTDRTRRMRIAERLDALRELLPISTEDLCRSRLGGETITEPIIFREGYGHYFCHQNMLNEPLEEMMGKLLETNPMAASQLLENKDLLLLPMDYSEPLA >ONH93261 pep chromosome:Prunus_persica_NCBIv2:G8:20102793:20105302:1 gene:PRUPE_8G221800 transcript:ONH93261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDDYLCQMERVVKRLEEKKDSDILEVFKWFKSHVLDNKLEPSIEHQELCTLLALGGKVKDEHISLLINSGLLTRQLIDSNIYWFAIPNIGSVLKGLSQGRKEVLSLLNRRRYKEMMLAPLEKKLLRYSPLDMRFHLRDLIGSGHLKTAKTPTGLVVRVSKD >ONH93260 pep chromosome:Prunus_persica_NCBIv2:G8:20102600:20105302:1 gene:PRUPE_8G221800 transcript:ONH93260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDDYLCQMERVVKRLEEKKDSDILEVFKWFKSHVLDNKLEPSIEHQELCTLLALGGKVKDEHISLLINSGLLTRQLIDSNIYWFAIPNIGSVLKGLSQGRKEVLSLLNRRRYKEMMLAPLEKKLLRYSPLDMRFHLRDLIGSGHLKTAKTPTGLVVRVSKD >ONH93259 pep chromosome:Prunus_persica_NCBIv2:G8:20102228:20104206:1 gene:PRUPE_8G221800 transcript:ONH93259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNISESLKGKKRARETDTEAEASDFDQILSLEENLTFSDTLVALRIMRAQFPQSDKVSVQPFILRSQLYSSVEDRTQVDRDLENLRREKVLRIFKLNTGQDDHAIMFFDDYLCQMERVVKRLEEKKDSDILEVFKWFKSHVLDNKLEPSIEHQELCTLLALGGKVKDEHISLLINSGLLLSNYGIYF >ONH93258 pep chromosome:Prunus_persica_NCBIv2:G8:20101945:20105302:1 gene:PRUPE_8G221800 transcript:ONH93258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNISESLKGKKRARETDTEAEASDFDQILSLEENLTFSDTLVALRIMRAQFPQSDKCTLLALGGKVKDEHISLLINSGLLTRQLIDSNIYWFAIPNIGSVLKGLSQGRKEVLSLLNRRRYKEMMLAPLEKKLLRYSPLDMRFHLRDLIGSGHLKTAKTPTGLVVRVSKD >ONH93254 pep chromosome:Prunus_persica_NCBIv2:G8:20101945:20105288:1 gene:PRUPE_8G221800 transcript:ONH93254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNISESLKGKKRARETDTEAEASDFDQILSLEENLTFSDTLVALRIMRAQFPQSDKVSVQPFILRSQLYSSVEDRTQVDRDLENLRREKVLRIFKLNTGQDDHAIMFFDDYLCQMERVVKRLEEKKDSDILEVFKWFKSHVLDNKLEPSIEHQELCTLLALGGKVKDEHISLLINSGLLTRQLIDSNIYWFAIPNIGSVLKGLSQGRKEVLSLLNRRRYKEMMLAPLEKKLLRYSPLDMRFHLRDLIGSGHLKTAKTPTGLVVRVSKD >ONH93256 pep chromosome:Prunus_persica_NCBIv2:G8:20101945:20105302:1 gene:PRUPE_8G221800 transcript:ONH93256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNISESLKGKKRARETDTEAEASDFDQILSLEENLTFSDTLVALRIMRAQFPQSDKNLRREKVLRIFKLNTGQDDHAIMFFDDYLCQMERVVKRLEEKKDSDILEVFKWFKSHVLDNKLEPSIEHQELCTLLALGGKVKDEHISLLINSGLLTRQLIDSNIYWFAIPNIGSVLKGLSQGRKEVLSLLNRRRYKEMMLAPLEKKLLRYSPLDMRFHLRDLIGSGHLKTAKTPTGLVVRVSKD >ONH93257 pep chromosome:Prunus_persica_NCBIv2:G8:20101945:20105302:1 gene:PRUPE_8G221800 transcript:ONH93257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNISESLKGKKRARETDTEAEASDFDQILSLEENLTFSDTLVALRIMRAQFPQSDKNLRREKVLRIFKLNTGQDDHAIMFFDDYLCQMERVVKRLEEKKDSDILEVFKWFKSHVLDNKLEPSIEHQELCTLLALGGKVKDEHISLLINSGLLGRKEVLSLLNRRRYKEMMLAPLEKKLLRYSPLDMRFHLRDLIGSGHLKTAKTPTGLVVRVSKD >ONH93255 pep chromosome:Prunus_persica_NCBIv2:G8:20101945:20105288:1 gene:PRUPE_8G221800 transcript:ONH93255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNISESLKGKKRARETDTEAEASDFDQILSLEENLTFSDTLVALRIMRAQFPQSDKVSVQPFILRSQLYSSVEDRTQVDRDLENLRREKVLRIFKLNTGQDDHAIMFFDDYLCQMERVVKRLEEKKDSDILEVFKWFKSHVLDNKLEPSIEHQELCTLLALGGKVKDEHISLLINSGLLGRKEVLSLLNRRRYKEMMLAPLEKKLLRYSPLDMRFHLRDLIGSGHLKTAKTPTGLVVRVSKD >ONH93524 pep chromosome:Prunus_persica_NCBIv2:G8:20850707:20852452:-1 gene:PRUPE_8G235600 transcript:ONH93524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVEKSESAAQPLVATSVFDKGNFPFKCITEETPCSSCFDSSAPPKPLLIVTPTVTGTYPVIVLLHGFYLRNYFYQDILQHIASHGYIAVAPQLYGYVPSTGPEEIDSGAKVINWLAKGLQSLLPENVVPDFTKFALSGHSRGGKAAFALALGHAKTALSLKFSVLIGIDPVAGANQHCRTRPHILTYEPQSFNLSIPVTVIGTGLGPEKKNACMSQPCAPNGVNHKEFFHECKPPCAHFVVKDYGHMDMLDDDPPGLVGALSGCMCKNGTGPKELMRKTVGGIVVAFLKAYLNGEDEDLVAIVEDPAVSPAKLEPVEFIRA >ONH91025 pep chromosome:Prunus_persica_NCBIv2:G8:12247850:12252445:1 gene:PRUPE_8G089000 transcript:ONH91025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMGLVSLASASTSSRVAAAIVVVLGLVLSLAVVCNGGKTSSFVRKVEKSGVMPLDSDVFKVPPGYNAPQQVHITQGDQLGKAVIVSWVTVDEPGSNTVVYWSAKGVKEMAEGEVTTYKFYNYTSGYIHHTIISNLNFDTKYHYEVGIDHTKRQFWFITPPEVGPDVPYTFGLIGDLGQTYDSNATLTHYELNPQKGQAVLYVGDLSYADLFPNHDNVRWDTWGRFTERSVAYQPWIWTAGNHDIDFAPEIGEPEPFKPYTHRYPVPQNASGSTSPLWYSIKRASAYIIVLSSYSAYGKYTPQNIWIEEELPKVNRSETSWLIVLMHSPWYNSYTNHYMEGETMRVMYESLFVKYKVDVVFNGHVHAYERSHRISNVAYNIVNGNCIPVKDQSAPVYLTIGDGGNSEGLATNMTEPQPDYSSVREASFGHATFGIKNRTHAYFGWHRNQDGYPVTADSMWFLNRYYNPDTEFASAQHY >ONH92679 pep chromosome:Prunus_persica_NCBIv2:G8:18482767:18486653:1 gene:PRUPE_8G189000 transcript:ONH92679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRNKELISLRGDGGGERKEWDRIYDYDYYNDLGNPDKGPEHERPVLGGSELHPYPRRGRTGRPPSNADPLTESRPHTTNLDIYVPLDERFSPKKQSEFTSNSIQAALWFLIHEVKFVMQKDSNHFESFDEIHDMFSDNQNQVIGGSLEEKLKAMVPNELFKDITHAIKTPLKFPVPQMIAENEFAWKDDEEFGRQMLAGINPARIRSLEVFPPQSKNGMVSSIEPSHIEHNLEGMTLAQAMNNWRIFILDHHDYLIPFLRKINTKDVRTYASRTLLFLKSDATLKPIAIELSFPGSAEGQEISWVFTPARQGEAAALWHYAKAHVAVNDTVYHQLVSHWLHTHAVVEPFIIATRRQLSGMHPIHWLLDPHFKDTMHVNALARSMLINSGGILEKTLFSAELSMELSAELYKEWRFDEQALPADLLKRGMAIEDPDPDNPTGVQLLFQDYPYGSDGLEIWSAIQAWVTDFCMLFYTDDESVRSDEEIQAWWSEIQNVGHGDKSSETWWYHMTSREDLIKALTTLIWIASALHASVNFGQYAYAGYPLNRPTLCQRFIPKEGTFEYAEFLKDPDKYYLNMLPERVEMTLGIALAEAVSRHTSDEVYLGQRPSSLWINNEEVSHKFEKFNQELRNIEKRIEEKNTNPELNNRRGRAEIPYMLLYPDTSNVESRGGITGKGIPNSISI >ONH92678 pep chromosome:Prunus_persica_NCBIv2:G8:18482750:18486653:1 gene:PRUPE_8G189000 transcript:ONH92678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQQQQRAQSRQSTINGEIVIVQSHKQPGSGKSASLRLYSCAEVDPKTGKGKMSSQANLKCGKTKTSNGEKTRTYHVKFYVESSFGIPGAFVIRNQGKHRFFLQSASFETHSNQIIQFDCHSWVYPSQKTKKFDRIFFSNTKYLPNQTPQGLMKLRNKELISLRGDGGGERKEWDRIYDYDYYNDLGNPDKGPEHERPVLGGSELHPYPRRGRTGRPPSNADPLTESRPHTTNLDIYVPLDERFSPKKQSEFTSNSIQAALWFLIHEVKFVMQKDSNHFESFDEIHDMFSDNQNQVIGGSLEEKLKAMVPNELFKDITHAIKTPLKFPVPQMIAENEFAWKDDEEFGRQMLAGINPARIRSLEVFPPQSKNGMVSSIEPSHIEHNLEGMTLAQAMNNWRIFILDHHDYLIPFLRKINTKDVRTYASRTLLFLKSDATLKPIAIELSFPGSAEGQEISWVFTPARQGEAAALWHYAKAHVAVNDTVYHQLVSHWLHTHAVVEPFIIATRRQLSGMHPIHWLLDPHFKDTMHVNALARSMLINSGGILEKTLFSAELSMELSAELYKEWRFDEQALPADLLKRGMAIEDPDPDNPTGVQLLFQDYPYGSDGLEIWSAIQAWVTDFCMLFYTDDESVRSDEEIQAWWSEIQNVGHGDKSSETWWYHMTSREDLIKALTTLIWIASALHASVNFGQYAYAGYPLNRPTLCQRFIPKEGTFEYAEFLKDPDKYYLNMLPERVEMTLGIALAEAVSRHTSDEVYLGQRPSSLWINNEEVSHKFEKFNQELRNIEKRIEEKNTNPELNNRRGRAEIPYMLLYPDTSNVESRGGITGKGIPNSISI >ONH92770 pep chromosome:Prunus_persica_NCBIv2:G8:18752142:18752363:-1 gene:PRUPE_8G194800 transcript:ONH92770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFILCFLIVSMVALHEGVEAAGTRINPGVLDPCLKPGGPHPGCSGPSGSNGERKTANPYDRGCSKIKQCRG >ONH90373 pep chromosome:Prunus_persica_NCBIv2:G8:5501308:5501630:1 gene:PRUPE_8G050500 transcript:ONH90373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRIYLTPRGQLPRHTVPTPQSLTATTKHLLQNTAPHLITLCHVDLCVEYYHGRFVIEFCPQERWAPLGACWD >ONH91593 pep chromosome:Prunus_persica_NCBIv2:G8:15025219:15027160:-1 gene:PRUPE_8G125500 transcript:ONH91593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTTLFCVLLCINLLPNFAASQILFQGFNWESWKTEGGWYNSLRKSVPELASSGITHVWLPPPSQAASSEGYLPGRLYDLSASKYGSQDELKSLISAFHDSGIQAVADIVINHRTAEKQDERGIWCIFEGGTPDNRLDWGPSLICSDDTQYSDGKGNPDTGAGYDAAPDIDHKNTRVQKELSDWMNWLKTEIGFSGWRFDFVRGYSPEITKLYMTNTSPNFAVGELWNSLAIGSDGKPEYNQDAHRQELAGWVEGAGGAVTAFDFTTKGILQAAVQGELSRLKDPNGRAPGMIGLLPGKSVTFIDNHDTGSTQKIWPFPSDKVIQGYAYILTHPGIPSIFYDHFFDWGLKDEITKLIAIRSRNGIKPDSALRILASDADLYVAAIDEKIIAKIGSRYDVGNLVPPTYQVATSGKDYAVWEKKA >ONH92832 pep chromosome:Prunus_persica_NCBIv2:G8:18909397:18911422:1 gene:PRUPE_8G198700 transcript:ONH92832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRRLLGLCSGVSPVLDPLPRACDNGTVPQNFSRTNPVSVHPMPSNDANQPDGNASVKVEARSPTASGSSLSKEQHDQQVAGPPIKRRKRHRRKHFQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRYNCIFANMNNLYGMSTIRNSKNV >ONH92829 pep chromosome:Prunus_persica_NCBIv2:G8:18908037:18912677:1 gene:PRUPE_8G198700 transcript:ONH92829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRRLLGLCSGVSPVLDPLPRACDNGTVPQNFSRTNPVSVHPMPSNDANQPDGNASVKVEARSPTASGSSLSKEQHDQQVAGPPIKRRKRHRRKHFQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKFKWDEFLAMTRHAITNKKHRRRPGAESHKRSETPLENGDWDDKEEVDSLSASEDMEQDMLGS >ONH92831 pep chromosome:Prunus_persica_NCBIv2:G8:18909397:18911422:1 gene:PRUPE_8G198700 transcript:ONH92831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRRLLGLCSGVSPVLDPLPRACDNGTVPQNFSRTNPVSVHPMPSNDANQPDGNASVKVEARSPTASGSSLSKEQHDQQVAGPPIKRRKRHRRKHFQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRYNCIFANMNNLYGMSTIRNSKNV >ONH92830 pep chromosome:Prunus_persica_NCBIv2:G8:18907926:18912830:1 gene:PRUPE_8G198700 transcript:ONH92830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRRLLGLCSGVSPVLDPLPRACDNGTVPQNFSRTNPVSVHPMPSNDANQPDGNASVKVEARSPTASGSSLSKEQHDQQVAGPPIKRRKRHRRKHFQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKFKWDEFLAMTRHAITNKKHRRRPGAESHKRSETPLENGDWDDKEEVDSLSASEDMEQDMLGS >ONH92828 pep chromosome:Prunus_persica_NCBIv2:G8:18908254:18912677:1 gene:PRUPE_8G198700 transcript:ONH92828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRRLLGLCSGVSPVLDPLPRACDNGTVPQNFSRTNPVSVHPMPSNDANQPDGNASVKVEARSPTASGSSLSKEQHDQQVAGPPIKRRKRHRRKHFQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKFKWDEFLAMTRHAITNKKHRRRPGAESHKRSETPLENGDWDDKEEVDSLSASEDMEQDMLGS >ONH89778 pep chromosome:Prunus_persica_NCBIv2:G8:1400164:1402810:-1 gene:PRUPE_8G015800 transcript:ONH89778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELDRIEISTKEVIESKFGSDVENHLGMLCSLIPEFIHEEKFGVDSVMLITPFGDSERMLEERHGHTIASSLSSLLYYMIHLILMNKCCYMSCRIQKGNMGKRLISTKIKDMHIWIAKLGRSEMSTDELKRDIIFGDLYADDRSAFVQQLELLVFLVPQWILKDPEENPNNFSICRKNTKDAKIKLYQEIDERTLGESPSFNLSKDDFLLNLRRSIVKDFGECSTCWDDLYNDEGLSVDTLPCGHAFHCKCVREWCVESGRNTTCPLCRGPIIPRTINMA >ONH89776 pep chromosome:Prunus_persica_NCBIv2:G8:1400164:1402810:-1 gene:PRUPE_8G015800 transcript:ONH89776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELDRIEISTKEVIESKFGSDVENHLGMLCSLIPEFIHEEKFGVDSVMLITPFGDSERMLEERIQKGNMGKRLISTKIKDMHIWIAKLGRSEMSTDELKRDIIFGDLYADDRSAFVQQLELLVFLVPQWILKDPEENPNNFSICRKNTKDAKIKLYQEIDERTLGESPSFNLSKDDFLLNLRRSIVKDFGECSTCWDDLYNDEGLSVDTLPCGHAFHCKCVREWCVESGRNTTCPLCRGPIIPRTINMA >ONH89775 pep chromosome:Prunus_persica_NCBIv2:G8:1399614:1403341:-1 gene:PRUPE_8G015800 transcript:ONH89775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELDRIEISTKEVIESKFGSDVENHLGMLCSLIPEFIHEEKFGVDSVMLITPFGDSERMLEERIQKGNMGKRLISTKIKDMHIWIAKLGRSEMSTDELKRDIIFGAFVQQLELLVFLVPQWILKDPEENPNNFSICRKNTKDAKIKLYQEIDERTLGESPSFNLSKDDFLLNLRRSIVKDFGECSTCWDDLYNDEGLSVDTLPCGHAFHCKCVREWCVESGRNTTCPLCRGPIIPRTINMA >ONH89777 pep chromosome:Prunus_persica_NCBIv2:G8:1399614:1403341:-1 gene:PRUPE_8G015800 transcript:ONH89777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELDRIEISTKEVIESKFGSDVENHLGMLCSLIPEFIHEEKFGVDSVMLITPFGDSERMLEERHGHTIASSLSSLLYYMIHLILMNKCCYMSCRIQKGNMGKRLISTKIKDMHIWIAKLGRSEMSTDELKRDIIFGAFVQQLELLVFLVPQWILKDPEENPNNFSICRKNTKDAKIKLYQEIDERTLGESPSFNLSKDDFLLNLRRSIVKDFGECSTCWDDLYNDEGLSVDTLPCGHAFHCKCVREWCVESGRNTTCPLCRGPIIPRTINMA >ONH92033 pep chromosome:Prunus_persica_NCBIv2:G8:16378344:16381058:1 gene:PRUPE_8G150400 transcript:ONH92033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSVASRYSVSSWPPKTNNSRAVVSSFTKIPFGKKTQYFSLSPSSNPTAKRRRLSFSMKNDQENEPSSSSSAVVISEKPSDDSDTQKSKLPAEEVETGKESGSESEEKEKQQEMDWKTDEEFKKFMGNPSIEAAIKLEKKRADRKLKDLDRESSGNPLVGLFNKILRDSLTREKERLEKAEEAFKAIDLNKLKSCFGFDSFFPTDVRRFGDGGIFIGNLRRPIEEVMPKLEQKLSDAAGREVVLWFMEENTNDIRKQVCMVQPKAEIDLEFESTKLSTPLGYVSAVALCVATFGTIALMSGFFLKPDATWDDYLADVVPLFGGFISILGVSEIATRVTAARHGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLVLTVSAFVADGSFNGGDNAL >ONH92559 pep chromosome:Prunus_persica_NCBIv2:G8:18125296:18129530:1 gene:PRUPE_8G180800 transcript:ONH92559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSFRGKYFQGYNQPEDQSASISKPNTSSDHSTSDHSPSSLKSQHNVPQEFSKISPKTKNNHNNSNPPIISPRKDNTMRRCIDNQSYYVLGHKTANIRDLYTLGHKLGQGQFGTTYLCTEIATGNHYACKSISKRKLISKEDVDDVRREIQIMHHLAGHKNIVTIKGAYEDALYVHIVMELCAGGELFDRIIQRGHYSERRAAELTRIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFESEPWPLISDSAKDLIRKMLCSRPSDRLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRRYGSTMKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHHMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNVKGNMPIGRRTMRNSLNLSMRDAPGAL >ONH92560 pep chromosome:Prunus_persica_NCBIv2:G8:18124933:18129600:1 gene:PRUPE_8G180800 transcript:ONH92560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSFRGKYFQGYNQPEDQSASISKPNTSSDHSTSDHSPSSLKSQHNVPQEFSKISPKTKNNHNNSNPPIISPRKDNTMRRCIDNQSYYVLGHKTANIRDLYTLGHKLGQGQFGTTYLCTEIATGNHYACKSISKRKLISKEDVDDVRREIQIMHHLAGHKNIVTIKGAYEDALYVHIVMELCAGGELFDRIIQRGHYSERRAAELTRIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFESEPWPLISDSAKDLIRKMLCSRPSDRLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRRYGSTMKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHHMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNVKGNMPIGRRTMRNSLNLSMRDAPGAL >ONH92406 pep chromosome:Prunus_persica_NCBIv2:G8:17656904:17659071:-1 gene:PRUPE_8G173600 transcript:ONH92406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQLRSLHACVSNYRPLTFSLDLPNSLKYLSWKAYHLKYLPSKFSAQNLVGLDLSYSQVVGQFWNEDQSPWNLKWINLSGCKHITEVPNLSRSLKIECIILHNCASLVEIPSYFQHLGKLTNLCLGHCTNLKNLPEMPCNLEILYLSLTAIEELPKSVWSHEKISHLDIAFCKHLKSLPSNTCKLKVSSSFSLVGCESLCEFWELPRDTTVLEFSSTRIKELRNESIESVVGLTAIKLTNCKSLVSLPMNIWKLKYLESLNLSEISEAMEHLEFLNLSGTMVKEVPKSIGNLVALRKLHMVECSIQEIPDDLFCLTSLQELNLSLTEIKSIRASVKQAAQLSRLCLNGCESLESLPELPPLLQCLEAKDCVSLKTVSSSSTALAQGWEKYIFSRGLHEKHIFSDCRKLDENARSNIFGDAQLRIMRMATASSEFKEGKIEQPSYDSDSYGSYDDFVEELLRQRSFVGNICCGNEIPNWFSHKNFLGFAVSLVVAYKRGYALSFVRWFRVGCKYNFKTSNGKSREVNHPWCNLLTNTGYRDSPEVFLWCYNNVFEEVVEGAHSPTAFYKFVTEVNVDFTVQSYDGYEEEEELEVKKCGICLLYGKGAEMIKQRALYAQDF >ONH93124 pep chromosome:Prunus_persica_NCBIv2:G8:19732801:19741453:1 gene:PRUPE_8G214600 transcript:ONH93124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLLLNGTFASSPAQQSSITFLRALQGLPVLELSSIFINLVLFLAFLFIISARRIFVCLGRIRILKDDLASNASSIRHNTVVDAETREVRVGTDFKFSVFCCFYVLFVQVVLLGFDGVGLIRATSNGKVVDWSVLCLPAAQGLGWFVLSFAALHCKFKVSEKFPLLLRVWWSVSFLICLCTLYVDGRGFAIEGSKHLRSHVVANLAVTPALAFLCFVAFRGVTGIHVSGHSDLQEPLLLEEEAGCLKVTPYHEAGLFSLATLSWLNPLLSIGAKRPLEIKDIPLLAPQDRAKTNYKILNSNWEKLKVENPSKQPSLAWAILKSFWKEAACNAIFAGLNTLVSYVGPFMISYFVDYLGGIETFPHEGYILAGTFFAAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSTAKQSHTSGEIVNYMAVDVQRIGDYSWYLHDMWMLPMQIILALAILYKNVGIASVATLIATIISIVLTVPVAKIQEDYQDKLMTAKDERMRKTSECLRNMRILKLQAWEDRYRLKLEEMRGVEFKWLRKALYSQAFITFMFWSSPIFVSAVTFGTSIFLGHHLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRGITKTSVEIKDGAFSWDPSSPRPTLSGIQMKVERGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVKLCGTAAYVPQSAWIQSGNIEENILFGSPMDKPKYKKVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALEDKTVIFVTHQVEFLPAADLILVLKGGRIMQAGKYDDLLQAGTDFKSLVSAHHEAIEAMDIPNYSSGDSDQSLCPDGSIELRKNRDTPSSSVDCLAKEVQEGASASEQKAIKEKKKAKRSRKKQLVQEEERVRGRVSMKVYLSYMAAAYKGWLIPPIIIAQAIFQFLQIASSWWMAWANPQTEGDQPKVSSMVLLVVYMALAFGSSWFIFVRAILVATFGLAAAQKLFVKMLGSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMTTVTWQVLLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPPVIEDSHPPCTWPENGTIEMVDLKVRYKENLPVVLHGVTCTFPGGKNIGIVGRTGSGKSTLIQALFRLIEPAGGRILIDNVDISMIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHLDHEIWQALDKSQLGDIIREKEQKLDTPVLENGDNWSVGQRQLVSLGRALLKQAKILVLDEATASVDTATDNLIQKIIRTEFKNCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDTPTRLLEDKSSMFLKLVTEYSSRSSGIPDF >ONH93126 pep chromosome:Prunus_persica_NCBIv2:G8:19733646:19740484:1 gene:PRUPE_8G214600 transcript:ONH93126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLLLNGTFASSPAQQSSITFLRALQGLPVLELSSIFINLVLFLAFLFIISARRIFVCLGRIRILKDDLASNASSIRHNTVVDAETREVRVGTDFKFSVFCCFYVLFVQVVLLGFDGVGLIRATSNGKVVDWSVLCLPAAQGLGWFVLSFAALHCKFKVSEKFPLLLRVWWSVSFLICLCTLYVDGRGFAIEGSKHLRSHVVANLAVTPALAFLCFVAFRGVTGIHVSGHSDLQEPLLLEEEAGCLKVTPYHEAGLFSLATLSWLNPLLSIGAKRPLEIKDIPLLAPQDRAKTNYKILNSNWEKLKVENPSKQPSLAWAILKSFWKEAACNAIFAGLNTLVSYVGPFMISYFVDYLGGIETFPHEGYILAGTFFAAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSTAKQSHTSGEIVNYMAVDVQRIGDYSWYLHDMWMLPMQIILALAILYKNVGIASVATLIATIISIVLTVPVAKIQEDYQDKLMTAKDERMRKTSECLRNMRILKLQAWEDRYRLKLEEMRGVEFKWLRKALYSQAFITFMFWSSPIFVSAVTFGTSIFLGHHLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRGITKTSVEIKDGAFSWDPSSPRPTLSGIQMKVERGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVKLCGTAAYVPQSAWIQSGNIEENILFGSPMDKPKYKKVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALEDKTVIFVTHQVEFLPAADLILVLKGGRIMQAGKYDDLLQAGTDFKSLVSAHHEAIEAMDIPNYSSGDSDQSLCPDGSIELRKNRDTPSSSVDCLAKEVQEGASASEQKAIKEKKKAKRSRKKQLVQEEERVRGRVSMKVYLSYMAAAYKGWLIPPIIIAQAIFQFLQIASSWWMAWANPQTEGDQPKVSSMVLLVVYMALAFGSSWFIFVRAILVATFGLAAAQKLFVKMLGSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMTTVTWQVLLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPPVIEDSHPPCTWPENGTIEMVDLKVRYKENLPVVLHGVTCTFPGGKNIGIVGRTGSGKSTLIQALFRLIEPAGGRILIDNVDISMIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHLDHEIWQALDKSQLGDIIREKEQKLDTPVLENGDNWSVGQRQLVSLGRALLKQAKILVLDEATASVDTATDNLIQKIIRTEFKNCTVCTIAHRIPTVIDSDLVLVLSDGTYSCIF >ONH93125 pep chromosome:Prunus_persica_NCBIv2:G8:19732801:19741453:1 gene:PRUPE_8G214600 transcript:ONH93125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLLLNGTFASSPAQQSSITFLRALQGLPVLELSSIFINLVLFLAFLFIISARRIFVCLGRIRILKDDLASNASSIRHNTVVDAETREVRVGTDFKFSVFCCFYVLFVQVVLLGFDGVGLIRATSNGKVVDWSVLCLPAAQGLGWFVLSFAALHCKFKVSEKFPLLLRVWWSVSFLICLCTLYVDGRGFAIEGSKHLRSHVVANLAVTPALAFLCFVAFRGVTGIHVSGHSDLQEPLLLEEEAGCLKVTPYHEAGLFSLATLSWLNPLLSIGAKRPLEIKDIPLLAPQDRAKTNYKILNSNWEKLKVENPSKQPSLAWAILKSFWKEAACNAIFAGLNTLVSYVGPFMISYFVDYLGGIETFPHEGYILAGTFFAAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSTAKQSHTSGEIVNYMAVDVQRIGDYSWYLHDMWMLPMQIILALAILYKNVGIASVATLIATIISIVLTVPVAKIQEDYQDKLMTAKDERMRKTSECLRNMRILKLQAWEDRYRLKLEEMRGVEFKWLRKALYSQAFITFMFWSSPIFVSAVTFGTSIFLGHHLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRGITKTSVEIKDGAFSWDPSSPRPTLSGIQMKVERGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVKLCGTAAYVPQSAWIQSGNIEENILFGSPMDKPKYKKVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALEDKTVIFVTHQVEFLPAADLILVLKGGRIMQAGKYDDLLQAGTDFKSLVSAHHEAIEAMDIPNYSSGDSDQSLCPDGSIELRKNRDTPSSSVDCLAKEVQEGASASEQKAIKEKKKAKRSRKKQLVQEEERVRGRVSMKVYLSYMAAAYKGWLIPPIIIAQAIFQFLQIASSWWMAWANPQTEGDQPKVSSMVLLVVYMALAFGSSWFIFVRAILVATFGLAAAQKLFVKMLGSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMTTVTWQVLLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPPVIEDSHPPCTWPENGTIEMVDLKVRYKENLPVVLHGVTCTFPGGKNIGIVGRTGSGKSTLIQALFRLIEPAGGRILIDNVDISMIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHLDHEIWQALDKSQLGDIIREKEQKLDTPVLENGDNWSVGQRQLVSLGRALLKQAKILVLDEATASVDTATDNLIQKIIRTEFKNCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDTPTRLLEDKSSMFLKLVTEYSSRSSGIPDF >ONH90840 pep chromosome:Prunus_persica_NCBIv2:G8:11100620:11104186:-1 gene:PRUPE_8G076700 transcript:ONH90840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTIKDAFERVVKKQKLCSSRSQEVIDLVGREIEQALTRLLSAHDPTSPVDYRSILAELKLKLNGIGPIHLLEGSHKELNGNLSKYTKFLEKSLNPDISKAYRDVDFDHHIENQLIANHFYRQGSFDLGDGIIDEAGEPESTILKSQFVVMHQILEAMKVKNLEPALNWVSANREKLNQSGSNLELKLHSLQFLEILKKGTQADALKYARTCLAPFASPASPHKEEVLKLMGCLLYPGRLDSSPYSEFTSPTHWEKSMEDLTRQFCSLLGQSYNSPLSMTIAAGLEGLPTLLKLANVMAAKKQEWQAMKQLPVPVELGKEFQFHSIFVCPVSRDQSSEENPPMLMPCLHVLCKQSILKLTKSSTRTFKCPYCPAEASAAQCRQLFF >ONH90841 pep chromosome:Prunus_persica_NCBIv2:G8:11100613:11104186:-1 gene:PRUPE_8G076700 transcript:ONH90841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTIKDAFERVVKKQKLCSSRSQEVIDLVGREIEQALTRLLSAHDPTSPVDYRSILAELKLKLNGIGPIHLLEGSHKELNGNLSKYTKFLEKSLNPDISKAYRDVDFDHHIENQLIANHFYRQGSFDLGDGIIDEAGEPESTILKSQFVVMHQILEAMKVKNLEPALNWVSANREKLNQSGSNLELKLHSLQFLEILKKGTQADALKYARTCLAPFASPASPHKEEVLKLMGCLLYPGRLDSSPYSEFTSPTHWEKSMEDLTRQFCSLLGQSYNSPLSMTIAAGLEGLPTLLKLANVMAAKKQEWQAMKQLPVPVELGKEFQFHSIFVCPVSRDQSSEENPPMLMPCLHVLCKQSILKLTKSSTRTFKCPYCPAEASAAQCRQLFF >ONH90838 pep chromosome:Prunus_persica_NCBIv2:G8:11100536:11104237:-1 gene:PRUPE_8G076700 transcript:ONH90838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTIKDAFERVVKKQKLCSSRSQEVIDLVGREIEQALTRLLSAHDPTSPVDYRSILAELKLKLNGIGPIHLLEGSHKELNGNLSKYTKFLEKSLNPDISKAYRDVDFDHHIENQLIANHFYRQGSFDLGDGIIDEAGEPESTILKSQFVVMHQILEAMKVKNLEPALNWVSANREKLNQSGSNLELKLHSLQFLEILKKGTQADALKYARTCLAPFASPASPHKEEVLKLMGCLLYPGRLDSSPYSEFTSPTHWEKSMEDLTRQFCSLLGQSYNSPLSMTIAAGLEGLPTLLKLANVMAAKKQEWQAMKQLPVPVELGKEFQFHSIFVCPVSRDQSSEENPPMLMPCLHVLCKQSILKLTKSSTRTFKCPYCPAEASAAQCRQLFF >ONH90842 pep chromosome:Prunus_persica_NCBIv2:G8:11100438:11104222:-1 gene:PRUPE_8G076700 transcript:ONH90842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTIKDAFERVVKKQKLCSSRSQEVIDLVGREIEQALTRLLSAHDPTSPVDYRSILAELKLKLNGIGPIHLLEGSHKELNGNLSKYTKFLEKSLNPDISKAYRDVDFDHHIENQLIANHFYRQGSFDLGDGIIDEAGEPESTILKSQFVVMHQILEAMKVKNLEPALNWVSANREKLNQSGSNLELKLHSLQFLEILKKGTQADALKYARTCLAPFASPASPHKEEVLKLMGCLLYPGRLDSSPYSEFTSPTHWEKSMEDLTRQFCSLLGQSYNSPLSMTIAAGLEGLPTLLKLANVMAAKKQEWQAMKQLPVPVELGKEFQFHSIFVCPVSRDQSSEENPPMLMPCLHVLCKQSILKLTKSSTRTFKCPYCPAEASAAQCRQLFF >ONH90839 pep chromosome:Prunus_persica_NCBIv2:G8:11100818:11101984:-1 gene:PRUPE_8G076700 transcript:ONH90839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTIKDAFERVVKKQKLCSSRSQEVIDLVGREIEQALTRLLSAHDPTSPVDYRSILAELKLKLNGIGPIHLLEGSHKELNGNLSKYTKFLEKSLNPDISKAYRDVDFDHHIENQLIANHFYRQGSFDLGDGIIDEAGEPESTILKSQFVVMHQILEAMKVKNLEPALNWVSANREKLNQSGSNLELKLHSLQFLEILKKGTQADALKYARTCLAPFASPASPHKEEVLKLMGCLLYPGRLDSSPYSEFTSPTHWEKSMEDLTRQFCSLLGQSYNSPLSMTIAAGLEGLPTLLKLANVMAAKKQEWQAMKQLPVPVELGKEFQFHSIFVCPVSRDQSSEENPPMLMPCLHVLCKQSILKLTKSSTRTFKCPYCPAEASAAQCRQLFF >ONH92342 pep chromosome:Prunus_persica_NCBIv2:G8:17427256:17428512:1 gene:PRUPE_8G169400 transcript:ONH92342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISAIKNPNPNPNSNHHSHSPSFLLPNGFGEIEISDIEMITIQTVTYTSLKDLLPASPPTIMSPTQNSSWHEIPIKNPLVKHAALAYLQPMSTPPEVGDKGLLRMLREKCLCEGTNGVGCFSWLGDVVLSGVRDVFGGVCRNGCEIGDEEDEDDEEDDDEYVKVD >ONH91071 pep chromosome:Prunus_persica_NCBIv2:G8:12408431:12412272:-1 gene:PRUPE_8G091300 transcript:ONH91071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTTYYDVLGVNVEASYAEIKKAYYVKARIVHPDKNPGDPKAAENFQALGEAYQVLSDPDKREAYDKHGKAGIQQDSMVDPAAVFGMLFGSEYFEDYVGQLALASLSSVEIEEETQDPEVHRQRVQEKMRALQKEREVKLITILKDRLQPFVDGRTDEFVKWANSEARRLSTAAFGEAMLHTIGYIYTRKAAREIGKDKRYMKVPFLAEWVRDKGHQIKSQVSAASGAVALIQLQEDLKKLNQGENKEETLMKAIEDKKDQVLNSLWQINVVDIESTLSRVCQAVMVFTWPYASV >ONH91068 pep chromosome:Prunus_persica_NCBIv2:G8:12407369:12412295:-1 gene:PRUPE_8G091300 transcript:ONH91068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTTYYDVLGVNVEASYAEIKKAYYVKARIVHPDKNPGDPKAAENFQALGEAYQVLSDPDKREAYDKHGKAGIQQDSMVDPAAVFGMLFGSEYFEDYVGQLALASLSSVEIEEETQDPEVHRQRVQEKMRALQKEREVKLITILKDRLQPFVDGRTDEFVKWANSEARRLSTAAFGEAMLHTIGYIYTRKAAREIGKDKRYMKVPFLAEWVRDKGHQIKSQVSAASGAVALIQLQEDLKKLNQGENKEETLMKAIEDKKDQVLNSLWQINVVDIESTLSRVCQAVLKDPTVSRDVLRGRAKALKKLGTVFQGAKAAYSRENSLRHENDPKIDSASSS >ONH91070 pep chromosome:Prunus_persica_NCBIv2:G8:12407100:12413091:-1 gene:PRUPE_8G091300 transcript:ONH91070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTTYYDVLGVNVEASYAEIKKAYYVKARIVHPDKNPGDPKAAENFQAQDASLKKQKPRLREALGEAYQVLSDPDKREAYDKHGKAGIQQDSMVDPAAVFGMLFGSEYFEDYVGQLALASLSSVEIEEETQDPEVHRQRVQEKMRALQKEREVKLITILKDRLQPFVDGRTDEFVKWANSEARRLSTAAFGEAMLHTIGYIYTRKAAREIGKDKRYMKVPFLAEWVRDKGHQIKSQVSAASGAVALIQLQEDLKKLNQGENKEETLMKAIEDKKDQVLNSLWQINVVDIESTLSRVCQAVLKDPTVSRDVLRGRAKALKKLGTVFQGAKAAYSRENSLRHENDPKIDSASSS >ONH91069 pep chromosome:Prunus_persica_NCBIv2:G8:12407100:12413091:-1 gene:PRUPE_8G091300 transcript:ONH91069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTTYYDVLGVNVEASYAEIKKAYYVKARIVHPDKNPGDPKAAENFQALGEAYQVLSDPDKREAYDKHGKAGIQQDSMVDPAAVFGMLFGSEYFEDYVGQLALASLSSVEIEEETQDPEVHRQRVQEKMRALQKEREVKLITILKDRLQPFVDGRTDEFVKWANSEARRLSTAAFGEAMLHTIGYIYTRKAAREIGKDKRYMKVPFLAEWVRDKGHQIKSQVSAASGAVALIQLQEDLKKLNQGENKEETLMKAIEDKKDQVLNSLWQINVVDIESTLSRVCQAVLKDPTVSRDVLRGRAKALKKLGTVFQGAKAAYSRENSLRHENDPKIDSASSS >ONH90460 pep chromosome:Prunus_persica_NCBIv2:G8:6462738:6467490:1 gene:PRUPE_8G055400 transcript:ONH90460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMRKKVQKKCKIRGYTLELDAIDEILSFESEFSNDSALDDPVDILLDLLATQPIKDKETVHCIATRILGADAAINETPDGDGDDVIRASDLLIIDAFKVPKFRYDPIKKVFYKHTGSLPMHGDAFSKAALYKDRFLLLSQMLSRHKDFSKPAFDSELSDFGRCEISPIQSLIGQTGRRWVMGLISQLEDGHFYLEDLSASVEINLSNAKITAGFFVENTIVVAEGEMLLEGVFQVFNCGFPPLEGRDKSLQFLAGHDSFGSGTLTEQETLRLAKLEREAVNGNVVILSDIWLDNEEAMGKLERVLDAFENEDFVPCLFVLMGNFCSHPCNLGFHSFSNLRSQFGKLGQMIAAHPRLKEGSCFLFIPGPDDAGPSTVLPRPSLPKYLREELQNHIPNAIFSSNPCRMKFGSQEVVFFRQDLLYRMRRSCLMPPSTEETTDDFEHLVATITHQCHLCPLPLIVQPIIWNYDHSLYLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFSSDGAFVVYRPDNQEVELSSL >ONH90464 pep chromosome:Prunus_persica_NCBIv2:G8:6461755:6467490:1 gene:PRUPE_8G055400 transcript:ONH90464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMRKKVQKKCKIRGYTLELDAIDEILSFESEFSNDSALDDPVDILLDLLATQPIKDKETVHCIATRILGADAAINETPDGDGDDVIRASDLLIIDAFKVPKFRYDPIKKVFYKHTGSLPMHGDAFSKAALYKDRFLLLSQMLSRHKDFSKPAFDSELSDFGRCEISPIQSLIGQTGRRWVMGLISQLEDGHFYLEDLSASVEINLSNAKITAGFFVENTIVVAEGEMLLEGVFQVFNCGFPPLEGRDKSLQFLAGHDSFGSGTLTEQETLRLAKLEREAVNGNVVILSDIWLDNEEAMGKLERVLDAFENEDFVPCLFVLMGNFCSHPCNLGFHSFSNLRSQFGKLGQMIAAHPRLKEGSCFLFIPGPDDAGPSTVLPRPSLPKYLREELQNHIPNAIFSSNPCRMKFGSQEVVFFRQDLLYRMRRSCLMPPSTEETTDDFEHLVATITHQCHLCPLPLIVQPIIWNYDHSLYLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFSSDGAFVVYRPDNQEVELSSL >ONH90463 pep chromosome:Prunus_persica_NCBIv2:G8:6462632:6467490:1 gene:PRUPE_8G055400 transcript:ONH90463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMRKKVQKKCKIRGYTLELDAIDEILSFESEFSNDSALDDPVDILLDLLATQPIKDKETVHCIATRILGADAAINETPDGDGDDVIRASDLLIIDAFKVPKFRYDPIKKVFYKHTGSLPMHGDAFSKAALYKDRFLLLSQMLSRHKDFSKPAFDSELSDFGRCEISPIQSLIGQTGRRWVMGLISQLEDGHFYLEDLSASVEINLSNAKITAGFFVENTIVVAEGEMLLEGVFQVFNCGFPPLEGRDKSLQFLAGHDSFGSGTLTEQETLRLAKLEREAVNGNVVILSDIWLDNEEAMGKLERVLDAFENEDFVPCLFVLMGNFCSHPCNLGFHSFSNLRSQFGKLGQMIAAHPRLKEGSCFLFIPGPDDAGPSTVLPRPSLPKYLREELQNHIPNAIFSSNPCRMKFGSQEVVFFRQDLLYRMRRSCLMPPSTEETTDDFEHLVATITHQCHLCPLPLIVQPIIWNYDHSLYLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFSSDGAFVVYRPDNQEVELSSL >ONH90465 pep chromosome:Prunus_persica_NCBIv2:G8:6462738:6467438:1 gene:PRUPE_8G055400 transcript:ONH90465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMRKKVQKKCKIRGYTLELDAIDEILSFESEFSNDSALDDPVDILLDLLATQPIKDKETVHCIATRILGADAAINETPDGDGDDVIRASDLLIIDAFKVPKFRYDPIKKVFYKHTGSLPMHGDAFSKAALYKDRFLLLSQMLSRHKDFSKPAFDSELSDFGRCEITAGFFVENTIVVAEGEMLLEGVFQVFNCGFPPLEGRDKSLQFLAGHDSFGSGTLTEQETLRLAKLEREAVNGNVVILSDIWLDNEEAMGKLERVLDAFENEDFVPCLFVLMGNFCSHPCNLGFHSFSNLRSQFGKLGQMIAAHPRLKEGSCFLFIPGPDDAGPSTVLPRPSLPKYLREELQNHIPNAIFSSNPCRMKFGSQEVVFFRQDLLYRMRRSCLMPPSTEETTDDFEHLVATITHQCHLCPLPLIVQPIIWNYDHSLYLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFSSDGAFVVYRPDNQEVELSSL >ONH90459 pep chromosome:Prunus_persica_NCBIv2:G8:6461809:6467490:1 gene:PRUPE_8G055400 transcript:ONH90459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSHPSLSLSLSQSLLHFGLSFSAKFSPSSPPSLSKIWYWVFGFIIFQLTQYPEPHPAYKGNKSQSCHRAWLGGFTGELYLGQEEGHRSFSLILTKATAKCLGFSKRNTEREMSSSMRKKVQKKCKIRGYTLELDAIDEILSFESEFSNDSALDDPVDILLDLLATQPIKDKETVHCIATRILGADAAINETPDGDGDDVIRASDLLIIDAFKVPKFRYDPIKKVFYKHTGSLPMHGDAFSKAALYKDRFLLLSQMLSRHKDFSKPAFDSELSDFGRCEISPIQSLIGQTGRRWVMGLISQLEDGHFYLEDLSASVEINLSNAKITAGFFVENTIVVAEGEMLLEGVFQVFNCGFPPLEGRDKSLQFLAGHDSFGSGTLTEQETLRLAKLEREAVNGNVVILSDIWLDNEEAMGKLERVLDAFENEDFVPCLFVLMGNFCSHPCNLGFHSFSNLRSQFGKLGQMIAAHPRLKEGSCFLFIPGPDDAGPSTVLPRPSLPKYLREELQNHIPNAIFSSNPCRMKFGSQEVVFFRQDLLYRMRRSCLMPPSTEETTDDFEHLVATITHQCHLCPLPLIVQPIIWNYDHSLYLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFSSDGAFVVYRPDNQEVELSSL >ONH90462 pep chromosome:Prunus_persica_NCBIv2:G8:6462585:6467490:1 gene:PRUPE_8G055400 transcript:ONH90462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMRKKVQKKCKIRGYTLELDAIDEILSFESEFSNDSALDDPVDILLDLLATQPIKDKETVHCIATRILGADAAINETPDGDGDDVIRASDLLIIDAFKVPKFRYDPIKKVFYKHTGSLPMHGDAFSKAALYKDRFLLLSQMLSRHKDFSKPAFDSELSDFGRCEISPIQSLIGQTGRRWVMGLISQLEDGHFYLEDLSASVEINLSNAKITAGFFVENTIVVAEGEMLLEGVFQVFNCGFPPLEGRDKSLQFLAGHDSFGSGTLTEQETLRLAKLEREAVNGNVVILSDIWLDNEEAMGKLERVLDAFENEDFVPCLFVLMGNFCSHPCNLGFHSFSNLRSQFGKLGQMIAAHPRLKEGSCFLFIPGPDDAGPSTVLPRPSLPKYLREELQNHIPNAIFSSNPCRMKFGSQEVVFFRQDLLYRMRRSCLMPPSTEETTDDFEHLVATITHQCHLCPLPLIVQPIIWNYDHSLYLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFSSDGAFVVYRPDNQEVELSSL >ONH90461 pep chromosome:Prunus_persica_NCBIv2:G8:6461809:6467490:1 gene:PRUPE_8G055400 transcript:ONH90461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMRKKVQKKCKIRGYTLELDAIDEILSFESEFSNDSALDDPVDILLDLLATQPIKDKETVHCIATRILGADAAINETPDGDGDDVIRASDLLIIDAFKVPKFRYDPIKKVFYKHTGSLPMHGDAFSKAALYKDRFLLLSQMLSRHKDFSKPAFDSELSDFGRCEISPIQSLIGQTGRRWVMGLISQLEDGHFYLEDLSASVEINLSNAKITAGFFVENTIVVAEGEMLLEGVFQVFNCGFPPLEGRDKSLQFLAGHDSFGSGTLTEQETLRLAKLEREAVNGNVVILSDIWLDNEEAMGKLERVLDAFENEDFVPCLFVLMGNFCSHPCNLGFHSFSNLRSQFGKLGQMIAAHPRLKEGSCFLFIPGPDDAGPSTVLPRPSLPKYLREELQNHIPNAIFSSNPCRMKFGSQEVVFFRQDLLYRMRRSCLMPPSTEETTDDFEHLVATITHQCHLCPLPLIVQPIIWNYDHSLYLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFSSDGAFVVYRPDNQEVELSSL >ONH91041 pep chromosome:Prunus_persica_NCBIv2:G8:12316064:12321574:-1 gene:PRUPE_8G089900 transcript:ONH91041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVESKDQTEKLETSSEKVDIEIAEDAAENMDHAEGKAQTEELETLSRKIDTGIDKEINVGAAYLMVIGKGNQRSSEKEECNWKTNYQETNRGCIAQSTEVGVLAGNMDVGTDKPDHVIGEYEHDKVVGEGNHDTFQIKEQYQKNDIDEDDLAEIWKEMAMATECSKDIAVDPSADETSGVCGEECDHFFIQKDDLGLVCRICGVVQQGIETMFEFLYSKAKRTKRTFKYESRNANTTESTQRFSVGLKSTKDYLIATEIYAHPRHRNQMKPHQVEGFNFLSSNLLTDNPGGCILAHAPGSGKTFLIISFVQSFLARFPHARPLIVLPKGILATWKKEFKIWQVEDIPLHDFYTSTADNRSQQLDILKQWVEQKSILFLGYQQFSTIMCDNGTSRTSVDCQEILLKVPRILILDEGHTPRNEDTNMLQSLARVQTPLKVVLSGTLYQNHVKEVFNILNLVRPKFLKLDTSRAVVNRIMSRVQISGVRKQSKTGTNLALFDLIEHTLQKDEDFRRKVAVIQDLREMTRKVLHYYKGDSLDELPGLVDFTVVLNLSSRQKLEVKKLNKLDYFKKASVGSLVYMHPELKSLTDKYSSTGGKGSEIDNKEMDKLIENINLRDGVKAKFFLSILGLCESTGEKLLVFSQYITPLKLMERLAAKVKDWSLNKEIFMITGDSNSKHREQSVERFNISADAKIFLGSIKACGEGISLVGASRILIMDVQLNPSVTRQAVGRAFRPGQKKKVYVYRLVAADSPEEEDHFICCRKELISRMWFEWNESCGDQDLYMKTVDVNECGDMFLESRLLQEDIKVLQKR >ONH91039 pep chromosome:Prunus_persica_NCBIv2:G8:12316064:12321644:-1 gene:PRUPE_8G089900 transcript:ONH91039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHQHIIDIEDESPNNSTAVGPPVVVLSSDDEDNGSRRPLHIYQKLVLEHPPDHDQHVINFEDENQNNITARAPPVIVLSSDDEDDGSGRPVHLNQKIVLEPVGRLLKKSMTDSVEGKHQSSELETLLRDFDIWIDKDVNAGAADNMDCVESKDQTEKLETSSEKVDIEIAEDAAENMDHAEGKAQTEELETLSRKIDTGIDKEINVGAAYLMVIGKGNQRSSEKEECNWKTNYQETNRGCIAQSTEVGVLAGNMDVGTDKPDHVIGEYEHDKVVGEGNHDTFQIKEQYQKNDIDEDDLAEIWKEMAMATECSKDIAVDPSADETSGVCGEECDHFFIQKDDLGLVCRICGVVQQGIETMFEFLYSKAKRTKRTFKYESRNANTTESTQRFSVGLKSTKDYLIATEIYAHPRHRNQMKPHQVEGFNFLSSNLLTDNPGGCILAHAPGSGKTFLIISFVQSFLARFPHARPLIVLPKGILATWKKEFKIWQVEDIPLHDFYTSTADNRSQQLDILKQWVEQKSILFLGYQQFSTIMCDNGTSRTSVDCQEILLKVPRILILDEGHTPRNEDTNMLQSLARVQTPLKVVLSGTLYQNHVKEVFNILNLVRPKFLKLDTSRAVVNRIMSRVQISGVRKQSKTGTNLALFDLIEHTLQKDEDFRRKVAVIQDLREMTRKVLHYYKGDSLDELPGLVDFTVVLNLSSRQKLEVKKLNKLDYFKKASVGSLVYMHPELKSLTDKYSSTGGKGSEIDNKEMDKLIENINLRDGVKAKFFLSILGLCESTGEKLLVFSQYITPLKLMERLAAKVKDWSLNKEIFMITGDSNSKHREQSVERFNISADAKIFLGSIKACGEGISLVGASRILIMDVQLNPSVTRQAVGRAFRPGQKKKVYVYRLVAADSPEEEDHFICCRKELISRMWFEWNESCGDQDLYMKTVDVNECGDMFLESRLLQEDIKVLQKR >ONH91040 pep chromosome:Prunus_persica_NCBIv2:G8:12316064:12321624:-1 gene:PRUPE_8G089900 transcript:ONH91040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHQHIIDIEDESPNNSTAVGPPVVVLSSDDEDNGSRRPLHIYQKLVLEHPPDHDQHVINFEDENQNNITARAPPVIVLSSDDEDDGSGRPVHLNQKIVLEPVGRLLKKSMTDSVEGKHQSSELETLLRDFDIWIDKDVNAGAADNMDCVESKDQTEKLETSSEKVDIEIAEDAAENMDHAEGKAQTEELETLSRKIDTGIDKEINVGAAYLMVIGKGNQRSSEKEECNWKTNYQETNRGCIAQSTEVGVLAGNMDVGTDKPDHVIGEYEHDKVVGEGNHDTFQIKEQYQKNDIDEDDLAEIWKEMAMATECSKDIAVDPSADETSGVCGEECDHFFIQKDDLGLVCRICGVVQQGIETMFEFLYSKAKRTKRTFKYESRNANTTESTQRFSVGLKSTKDYLIATEIYAHPRHRNQMKPHQVEGFNFLSSNLLTDNPGGCILAHAPGSGKTFLIISFVQSFLARFPHARPLIVLPKGILATWKKEFKIWQVEDIPLHDFYTSTADNRSQQLDILKQWVEQKSILFLGYQQFSTIMCDNGTSRTSVDCQEILLKVPRILILDEGHTPRNEDTNMLQSLARVQTPLKVVLSGTLYQNHVKEVFNILNLVRPKFLKLDTSRAVVNRIMSRVQISGVRKQSKTGTNLALFDLIEHTLQKDEDFRRKVAVIQDLREMTRKVLHYYKGDSLDELPGLVDFTVVLNLSSRQKLEVKKLNKLDYFKKASVGSLVYMHPELKSLTDKYSSTGGKGSEIDNKEMDKLIENINLRDGVKAKFFLSILGLCESTGEKLLVFSQYITPLKLMERLAAKVKDWSLNKEIFMITGDSNSKHREQSVERFNISADAKIFLGSIKACGEGISLVGASRILIMDVQLNPSVTRQAVGRAFRPGQKKKVYVYRLVAADSPEEEDHFICCRKELISRMWFEWNESCGDQDLYMKTVDVNECGDMFLESRLLQEDIKVLQKR >ONH90748 pep chromosome:Prunus_persica_NCBIv2:G8:10633071:10635400:-1 gene:PRUPE_8G072700 transcript:ONH90748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLRFISPHFSCIHKTLNPQSPNLLSCLQSKPRICSHFVCNYTTDAPEQRPPPSETVSAIADEISGLTLLEVSDLTEVLREKLGIKEMPVMAMMMPGMGFGGLKGAGKGGPAAAKGEEKVEKTAFDVKLDSFDAAAKIKVIKEVRTFTSLGLKEAKDLVEKAPTLLKKGVTKEEADSIVAKMKEVGAKVSME >ONH90750 pep chromosome:Prunus_persica_NCBIv2:G8:10633069:10635400:-1 gene:PRUPE_8G072700 transcript:ONH90750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLRFISPHFSCIHKTLNPQSPNLLSCLQSKPRICSHFVCNYTTDAPEQRPPPSETVSAIADEISGLTLLEVSDLTEVLREKLGIKEMPVMAMMMPGMGFGGLKGAGKGGPAAAKGEEKVEKTAFDVKLDSFDAAAKIKVIKEVRTFTSLGLKEAKDLVEKAPTLLKKGVTKEEADSIVAKMKEVGAKVSME >ONH90751 pep chromosome:Prunus_persica_NCBIv2:G8:10634567:10635148:-1 gene:PRUPE_8G072700 transcript:ONH90751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLRFISPHFSCIHKTLNPQSPNLLSCLQSKPRICSHFVCNYTTDAPEQRPPPSETVSAIADEISGLTLLEVSDLTEVLREKLGIKEMPVMAMMMPGMGFGGLKGAGKGGPAAAKGEEKVEKTAFDVKLDSFDAAAKIKVIKEVRTFTSLGLKEAKDLVEKAPTLLKKGVTKEEADSIVAKMKEVGAKVSME >ONH90752 pep chromosome:Prunus_persica_NCBIv2:G8:10633071:10635400:-1 gene:PRUPE_8G072700 transcript:ONH90752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLRFISPHFSCIHKTLNPQSPNLLSCLQSKPRICSHFVCNYTTDAPEQRPPPSETVSAIADEISGLTLLEVSDLTEVLREKLGIKEMPVMAMMMPGMGFGGLKGAGKGGPAAAKGEEKVEKTAFDVKLDSFDAAAKIKVIKEVRTFTSLGLKEAKDLVEKAPTLLKKGVTKEEADSIVAKMKEVGAKVSME >ONH90749 pep chromosome:Prunus_persica_NCBIv2:G8:10634567:10635148:-1 gene:PRUPE_8G072700 transcript:ONH90749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLRFISPHFSCIHKTLNPQSPNLLSCLQSKPRICSHFVCNYTTDAPEQRPPPSETVSAIADEISGLTLLEVSDLTEVLREKLGIKEMPVMAMMMPGMGFGGLKGAGKGGPAAAKGEEKVEKTAFDVKLDSFDAAAKIKVIKEVRTFTSLGLKEAKDLVEKAPTLLKKGVTKEEADSIVAKMKEVGAKVSME >ONH92196 pep chromosome:Prunus_persica_NCBIv2:G8:16981871:16984265:1 gene:PRUPE_8G161800 transcript:ONH92196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGGGSPLMNRGQGFSIREATIDDLQLAFKHNQLTSRQLVQFYLGEITRLNPFLKGVIEVNLDALDLAEKADYERKTKPPSVPLSKLHGIPILIKDNTATKDKLNTTAGSYALLGSVVPRDAGVVTKLREAGAIILGKASLSEWSNWRSNSAPSGWNARGGQGVNPYNFEETPSGSSSGSAISVAASLVAVALGTETDGSILSPCSYNSVVGIKPTVGLTSRAGVVPISPRQDTVGYVALILDTFNSQMTSFFSLFFGVGLSRPICRTVSDAAYVLDVIAGADNIDIATIETSKYIPEGGYAQFLKCDGLGGKRLGILRAFYDLEDDPFLTQIFEKHLNTLRNGGAILVDHLEIANLDKIVFDIGSDEQTALSAEFKISLNTYLKDLVASPVRSLADVIAYNNKNSKLEKINEYGQDLLEESEKTNGIGPKELEVLSNLERLSRDGFEKLMTDKSLDALVTYSSTASSILAIGGFPGIIVPAGFHDTEKYPFGICFGGLKGSEPKLIEIAYAFEQATKIRKPPPVGS >ONH91351 pep chromosome:Prunus_persica_NCBIv2:G8:13896422:13898346:1 gene:PRUPE_8G108600 transcript:ONH91351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRRQVTETKVGPYVWLTYQEVHDAALRMGSAIRSRGVNPGDRCGIFGSNCPQWLTAMEACNSHTITYVPLYDTLGFTESCGGCLTSIGNVFPMMGTVGVPMTTIETRLESVPEMGYDALSSVPGGEICLRGKSLFSGYHKRQDLTEEVLVDGVWIAGDIGELQPIGATEIIDRTKNIFKLSQGDYVAVENIESKYLRCPLITSIWVYGNSFESFLVAVVVPDRKALEDWAAEHNLTDDFKSLCQNLKARKYILDELN >ONH94132 pep chromosome:Prunus_persica_NCBIv2:G8:22524529:22524957:1 gene:PRUPE_8G271900 transcript:ONH94132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPSHTRRLCSKSCLITLLHQHINKKIKRKKKLNKLITIHSQEVGEFSYHLEFGNIDGKERRSTKKRGS >ONH92806 pep chromosome:Prunus_persica_NCBIv2:G8:18816217:18819943:-1 gene:PRUPE_8G196700 transcript:ONH92806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHYKQLFDQEDVDVVFPLDKEKGITLEDFKLIKMHMASYISKLAQHVKVRQRVVATAVTYMRRVYTRKSMSEYDPRLVAPTCLYLASKAEESTVQAKLLVFYIRKIYSDEKYRYEIKDILEMEMKILEALNYYLVVYHPYRSLSQLLQDASLNDISMTQLTWGVVNDTYKMDLALVHPPHLIALACIYIASVLREKDTTAWFEELRVDMNVVKNISMEILDFYENHRMIPEERFNAALNKLAFKP >ONH90745 pep chromosome:Prunus_persica_NCBIv2:G8:10626992:10631811:1 gene:PRUPE_8G072600 transcript:ONH90745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVLLEFALQCFTLLAWPLLAWVYPLCCSVRAIENNSISDSQMLNTYWLVLSLILLFEHALLKLLEWLLLWQYIRIMIVYWLVIPHFDGAVYVYKHLICPCLTMDPQIVINWFNKRRNEPSCIRESFLAELERYVQENGPEALERIVACKVIQTKHNLTGTGNSKFAAMEIKEKAIEVVADRNIMKTPPLNEVHKGWTFNSHLDSKILKNAIEAPKARNQAEPRLTQIGNGTLAVVEASVNPVEIATGREIIESSQNVPKEWTRALEVTIPSERTLNSNLIRIHKASHEALNAKRQAYVPKMALAKSDKHEEPGKSIPSIASKPKVIINREVQAEEPENSIPSSGSKAKWIINRKVQVEEPENSTPSSGVKPKVIINRKVQAGEPEQSIPSSGSKPKIIINRTVQTEEPENSIQSSGLKPKIIINRNVQAEEPENSIQSSGLKPKAIIIRKAQAEEPENSILSSGSRPRWIIKRKVHADEPESSIPSSGSKSKWIIGRKVHADEPGNTVPGSRSKPR >ONH90747 pep chromosome:Prunus_persica_NCBIv2:G8:10628715:10631811:1 gene:PRUPE_8G072600 transcript:ONH90747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVYWLVIPHFDGAVYVYKHLICPCLTMDPQIVINWFNKRRNEPSCIRESFLAELERYVQENGPEALERIVACKVIQTKHNLTGTGNSKFAAMEIKEKAIEVVADRNIMKTPPLNEVHKGWTFNSHLDSKILKNAIEAPKARNQAEPRLTQIGNGTLAVVEASVNPVEIATGREIIESSQNVPKEWTRALEVTIPSERTLNSNLIRIHKASHEALNAKRQAYVPKMALAKSDKHEEPGKSIPSIASKPKVIINREVQAEEPENSIPSSGSKAKWIINRKVQVEEPENSTPSSGVKPKVIINRKVQAGEPEQSIPSSGSKPKIIINRTVQTEEPENSIQSSGLKPKIIINRNVQAEEPENSIQSSGLKPKAIIIRKAQAEEPENSILSSGSRPRWIIKRKVHADEPESSIPSSGSKSKWIIGRKVHADEPGNTVPGSRSKPR >ONH90746 pep chromosome:Prunus_persica_NCBIv2:G8:10627933:10631811:1 gene:PRUPE_8G072600 transcript:ONH90746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMIIFSFDRCCSVRAIENNSISDSQMLNTYWLVLSLILLFEHALLKLLEWLLLWQYIRIMIVYWLVIPHFDGAVYVYKHLICPCLTMDPQIVINWFNKRRNEPSCIRESFLAELERYVQENGPEALERIVACKVIQTKHNLTGTGNSKFAAMEIKEKAIEVVADRNIMKTPPLNEVHKGWTFNSHLDSKILKNAIEAPKARNQAEPRLTQIGNGTLAVVEASVNPVEIATGREIIESSQNVPKEWTRALEVTIPSERTLNSNLIRIHKASHEALNAKRQAYVPKMALAKSDKHEEPGKSIPSIASKPKVIINREVQAEEPENSIPSSGSKAKWIINRKVQVEEPENSTPSSGVKPKVIINRKVQAGEPEQSIPSSGSKPKIIINRTVQTEEPENSIQSSGLKPKVIIIQKVQAEEPENSIPSSGVKPKVIINRKVQAGEPEQSIPSNGSKPKIIINRNVQAEEPENSIQSSGLKPKAIIIRKAQAEEPENSILSSGSRPRWIIKRKVHADEPESSIPSSGSKSKWIIGRKVHADEPGNTVPGSRSKPR >ONH90744 pep chromosome:Prunus_persica_NCBIv2:G8:10626948:10631811:1 gene:PRUPE_8G072600 transcript:ONH90744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVLLEFALQCFTLLAWPLLAWVYPLCCSVRAIENNSISDSQMLNTYWLVLSLILLFEHALLKLLEWLLLWQYIRIMIVYWLVIPHFDGAVYVYKHLICPCLTMDPQIVINWFNKRRNEPSCIRESFLAELERYVQENGPEALERIVACKVIQTKHNLTGTGNSKFAAMEIKEKAIEVVADRNIMKTPPLNEVHKGWTFNSHLDSKILKNAIEAPKARNQAEPRLTQIGNGTLAVVEASVNPVEIATGREIIESSQNVPKEWTRALEVTIPSERTLNSNLIRIHKASHEALNAKRQAYVPKMALAKSDKHEEPGKSIPSIASKPKVIINREVQAEEPENSIPSSGSKAKWIINRKVQVEEPENSTPSSGVKPKVIINRKVQAGEPEQSIPSSGSKPKIIINRTVQTEEPENSIQSSGLKPKVIIIQKVQAEEPENSIPSSGVKPKVIINRKVQAGEPEQSIPSNGSKPKIIINRNVQAEEPENSIQSSGLKPKAIIIRKAQAEEPENSILSSGSRPRWIIKRKVHADEPESSIPSSGSKSKWIIGRKVHADEPGNTVPGSRSKPR >ONH92205 pep chromosome:Prunus_persica_NCBIv2:G8:17009580:17010024:1 gene:PRUPE_8G162600 transcript:ONH92205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGEQNTFRESFGLLDQAFLAGINFFDSAEMYPVVQRAQTQGRSEERRFGNQACLICACQFLDEVDLC >ONH92597 pep chromosome:Prunus_persica_NCBIv2:G8:18245124:18245606:1 gene:PRUPE_8G183300 transcript:ONH92597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLMVSQSHLSMATIFFYTCFWIPLLQMKRALARLVGFVFFFTCQVEQEEDQMGCNQMCLPVARFQDLQDHGSLRCGQEALEETCSICLVEFEKEDVVSQLSKCGHVFHMDCIERWIESSHFTCPICRSLFFSLKTSHAKWDEGVNSSSSYLNGFSWYF >ONH93677 pep chromosome:Prunus_persica_NCBIv2:G8:21362904:21365407:1 gene:PRUPE_8G246400 transcript:ONH93677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMDLPFLNPYLDSVGAPSFQTGCNFATGGSTVLPANAALISPFFFGIQVAQFGRFKAKALELLSKDKKLQNVLPFEDYFKQGLYTFDVGQNDLDGAFSLKSEDQVVALIPSIMTEFETGIQKLYNQGARNFWIHNTGPLGCLPRTIATFGKNPSQLDQFGCVASHNRAATAFNAQLRDLCFEFQRKFPEANVTYINIYKIKLDLITNYAQYGFKQGIAACCGYGGLPLNFDNRINCGETKNLNGTLVTATPCTNPEEYVNWDGIHYTRSANQYVSTQILTGNFSETLDLFQY >ONH93679 pep chromosome:Prunus_persica_NCBIv2:G8:21363398:21365407:1 gene:PRUPE_8G246400 transcript:ONH93679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVIALQLIASLAAFLPTTIPANLSYPALFNFGDSNSDTGGLNAGIAFPIKRFCNGYLIIDYLMNEMDLPFLNPYLDSVGAPSFQTGCNFATGGSTVLPANAALISPFFFGIQVAQFGRFKAKALELLSKDKKLQNVLPFEDYFKQGLYTFDVGQNDLDGAFSLKSEDQVVALIPSIMTEFETGIQKLYNQGARNFWIHNTGPLGCLPRTIATFGKNPSQLDQFGCVASHNRAATAFNAQLRDLCFEFQRKFPEANVTYINIYKIKLDLITNYAQYGFKQGIAACCGYGGLPLNFDNRINCGETKNLNGTLVTATPCTNPEEYVNWDGIHYTRSANQYVSTQILTGNFSETLDLFQY >ONH93678 pep chromosome:Prunus_persica_NCBIv2:G8:21363742:21365171:1 gene:PRUPE_8G246400 transcript:ONH93678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVIALQLIASLAAFLPTTIPANLSYPALFNFGDSNSDTGGLNAGIAFPIKRFCNGYLIIDYLMNEMDLPFLNPYLDSVGAPSFQTGCNFATGGSTVLPANAALISPFFFGIQVAQFGRFKAKALELLSKDKKLQNVLPFEDYFKQGLYTFDVGQNDLDGAFSLKSEDQVVALIPSIMTEFETGIQKLYNQGARNFWIHNTGPLGCLPRTIATFGKNPSQLDQFGCVASHNRAATAFNAQLRDLCFEFQRKFPEANVTYINIYKIKLDLITNYAQYGFKQGIAACCGYGGLPLNFDNRINCGETKNLNGTLVTATPCTNPEEYVNWDGIHYTRSANQYVSTQILTGNFSETLDLFQY >ONH90324 pep chromosome:Prunus_persica_NCBIv2:G8:4895041:4896910:1 gene:PRUPE_8G046400 transcript:ONH90324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIPTPIDPISWWMFSIEALAHLGTENLLNGFFFLVILASFLSLTLLTWAFSRGGIAWKNGRNQMGRVSIPGPRGLPLFGSLFSLSHGLPHRTLACMASSHAATKLMAFSLGSTPAVITSDPNIAKEILTSSHFADRPIKQSAKCLMFSRAIGFAPNGSYWRLLRKIASVHLFTPKRIAAHEDGRQFDCATMLKSIHNEQTLCGVVGLRKHLQAAALNNIMGTVFGKRYDVACNNAEARELHEIVREGFELLGAFNWSDYLPWLSSSYDPFRIKNRCASLVPRVRKVVVEIIEEHRLDKFERVCDNSDFVDVLLSLDGEEKLELDDMVAVLWEMIFRGTDTTALLTEWVMAELVLNSDVQAKLRHELDLVVGKKVLNEADVANLPYLRAVVNETLRLHPPGPLLSWARLSTSDVQLSNGMVVPANTTVMVNMWAITHDPNIWEEPLVFKPERFLKSEGGADMDVRGGDLRLAPFGAGRRVCPGKTLGLVTVSLWVAKLVHHFNWVEDVVSNPVDLSEVLKLSCEMKNPLTAVAVRNEGVTFK >ONH92573 pep chromosome:Prunus_persica_NCBIv2:G8:18161460:18162980:-1 gene:PRUPE_8G181600 transcript:ONH92573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRIKIVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKIEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >ONH92740 pep chromosome:Prunus_persica_NCBIv2:G8:18651621:18652697:-1 gene:PRUPE_8G192700 transcript:ONH92740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHPVLLVSVSWISLLALLISPAQAATCTSQTFKKNKLYAHCSDLPFLSSYLHWTYNASNSSLSVAFVAKPAKSDGWVAWAINPTETKMAGAQTLLAYKTENGAPAVNTLNISSYSSIVPGKLSFEVWDVSAEFSNDTFTIFATVKVPKDAASVNQVWQVGSAVNKTSGFPEKHDVAPPNLKSFGTLSLGANSTTTTNSTTGTPAGTNTTTNSTGGGGSGALRIGSGGNMGLFSVSLLVLGALIAL >ONH93470 pep chromosome:Prunus_persica_NCBIv2:G8:20743862:20745427:1 gene:PRUPE_8G234100 transcript:ONH93470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSESNYIDEHSAASTTITFDRPIPLLRGPVRAGPPDDPSSGPYVLAFRDPRTWANAYRACESKIIEQCEAGARIGCAISASDKCKPPWWRALIGPKAPDLKQREQCEEREMEGCLSAAKDKCVGFAKEKCLKPFRDARIAGLHVKQAESSNGILKV >ONH93471 pep chromosome:Prunus_persica_NCBIv2:G8:20743918:20746185:1 gene:PRUPE_8G234100 transcript:ONH93471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSESNYIDEHSAASTTITFDRPIPLLRGPVRAGPPDDPSSGPYVLAFRDPRTWANAYRACESKIIEQCEAGARIGCAISASDKCKPPWWRALIGPKAPDLKQREQCEEREMEGCLSAAKDKCVGFAKEKCLKPFRDARIAGLHVKQAERLVCWATVMDRSTWLSLIGLDKLGYLGSATGY >ONH93468 pep chromosome:Prunus_persica_NCBIv2:G8:20743918:20747263:1 gene:PRUPE_8G234100 transcript:ONH93468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSESNYIDEHSAASTTITFDRPIPLLRGPVRAGPPDDPSSGPYVLAFRDPRTWANAYRACESKIIEQCEAGARIGCAISASDKCKPPWWRALIGPKAPDLKQREQCEEREMEGCLSAAKDKCVGFAKEKCLKPFRDARIAGLHVKQAERLVCWATVMDRSTWLSLIGLDKLGYLGSGNYGFGATNYRAGELFRSDCDFDLVLGSSNGILKV >ONH93469 pep chromosome:Prunus_persica_NCBIv2:G8:20743862:20747641:1 gene:PRUPE_8G234100 transcript:ONH93469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSESNYIDEHSAASTTITFDRPIPLLRGPVRAGPPDDPSSGPYVLAFRDPRTWANAYRACESKIIEQCEAGARIGCAISASDKCKPPWWRALIGPKAPDLKQREQCEEREMEGCLSAAKDKCVGFAKEKCLKPFRDARIAGLHVKQAESSNGILKV >ONH93467 pep chromosome:Prunus_persica_NCBIv2:G8:20743858:20747641:1 gene:PRUPE_8G234100 transcript:ONH93467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSESNYIDEHSAASTTITFDRPIPLLRGPVRAGPPDDPSSGPYVLAFRDPRTWANAYRACESKIIEQCEAGARIGCAISASDKCKPPWWRALIGPKAPDLKQREQCEEREMEGCLSAAKDKCVGFAKEKCLKPFRDARIAGLHVKQAERLVCWATVMDRSTWLSLIGLDKLGYLGSGNYGFGATNYRAGELFRSDCDFDLVLGSSNGILKV >ONH93476 pep chromosome:Prunus_persica_NCBIv2:G8:20767929:20786335:-1 gene:PRUPE_8G234500 transcript:ONH93476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGSKGKTMKWGTLLKDLREKVGLTQSPSSSFSASATASSSSSSAAALSSNNNANSALHGSYSPSRDKHELELDFKRFWEEFRSSSSEKEKEAALNLTVDVFCRLVKQHANVTQLVTMLVETHIFSFVLGRAFVTDIEKLKISSRTRYLDVEKVLKFFSEVTKDDISPGSNLLTALQVLASGPIDKQSLLDSGILCCLIHILNALLNPDEANQNQKATDCEEPTLAEKKYDGDASQVRRLEVEGSVVHIMKALANHPLAAQSLIEDDSLQLLFQMVANGSLTVFSRYKEGLVLVHIIQLHRHAMQILGLLLVNDNGSTAKYIRKHHLIKVLLMAVKDFNPDCGDSTYTMGIVDLLLECVELSYRPEAGGVRLREDIHNAHGYQFLVQFALKLSSIAKSQGFHSVQFRSSDQNSASAGSHALDAVDMQDAMGEKDPLTQQLSPTLSRLLDVLVNLAQTGPTESPGYSGKGSKSSHTRSGGHSRSRTPSSDRLADEVWEKDNHKVKDLEAVQMLQDIFLKADNRELQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMAGFPPSLQDILLKILEYAVTVVNCVPEQELLSLCCLLQQPISSELKHTILSFFVKLLSFDQQYKKVLREVGVLEVLLDELKQHKLLLGSDHQSGNSNQLERKSSSSSFKKHLDNKDVIISSPRVMESGSGKLPIFEVDGTVAIAWDCMVSLLKKAETNQSSFRLANGVTAVLPFLVSDIHRSGVLRVLSCLIIEDGTQAHPEELGVIVEILKSEMVTSVSGSQYRLQSDAKCDTMGALWRILGVNHSAQRVFGEATGFSLLLTTLHSFQSDGEHSDQSSLVVYIKVFTYLLRVVTAGVCDNAVNRTKLHTIISSQTFYDLLLESGLLSVDCEKQVIQLLFELALEIVLPPFLTSESVTSPDVLDNESSSFSIMTTSGSFHPDKERVFNAGAVRVLIRSLLLFTPKMQLEVLSLIERLARSGPFNQENLTSVGCIELLLETIQPFLLSSSPILKYALEIVEVLGAYRLSASELRMLIRYVLQMRLMKSGRILVDMMERLILMEDSENISLAPFVAMDMSKIGHASIQVSLGERSWPPAAGYSFVCWFQFRNLLKLPVKEPESKAGPSKRRSSSAGQHHERHVLRIFSVGAANDENTFYAELYLQEDGVLTLATSNSCSLSFSGLELEEGRWHHLAVVHSKPNALAGLFQASVAYVYLDGKLRHTGKLGYSPSPVGKPLQVTVGTPVTCARVSDLTWKVRSCYLFEEVLTSGCICFMYILGRGYRGLFQDTDLLRFVPNTACGGGSMAILDTLDADLTLASHTQKLDIASKQGDSKADGSGIVWDLERLGNLSLQLSGKKLIFAFDGTCAEAIRASGDLSMLNLVDPMSAAASPIGGIPRFGRLHGDIYLCRQCVIGDTICPVGGMTVILALVEAAETRDMLHMALTLLACALHQNPQNVRDMQKCRGYHLLALFLRRRMTLFDMQSLEIFFQIAACEASFSEPRKLKYNRTNLSPATTMQETSFEELHLSRFREEFSSAGSQGDMDDFSAQKDSFSHISELESADMPAETSNCIVLSNEDMVEHVLLDWTLWVTAPVSIQIALLGFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLSSELEHVVRFVIMTFDPPELTPRHPITREAMGKHVIVRNMLLEMLIDLQVTIKSEDLLEQWHKIVSSKLITYFLDESVHPTSMRWIMTLLGVCLTSSPTFALKFRTSGGYQGLARVLPSFYDSPDIYYILFCLIFGRSVYPRLPEVRMLDFHALMPNDGSYVELKFVELLESVITMAKSTFDRLSIQSMLAHQSGNLSQVGAGLVAELVNGNADMAGELQGEALMHKTYAARLMGGEASAPFAATSVLRFMVDLAKMCPPFTSVCKRAEFLETCIDLYFSCVRAAHAVKMTKELSVKTEEKNLNDCDDTCSSQNTFSSLPHEQDQSAKTSISVGSFPPGQVSTSSEDTAVPLNSGADDRADTKVTTAQEELHKTVQDDAQAVQSLDGDNADQVSATSSTNEFSFRNMKITLEPIKPTESQSSASFTMLDSPNLSEKSNYRLPLTPSPSPVLALTSWLGSASPNDFKSPIVASPSIDSSATTTEFDPSSEMKSPSQGPSTATTFFAASPKLLLEMDDAGYGGGPCSAGATAVLDFIAEVLSEFVTEQMKVSQIIEGILESVPLYVDADSMLVFQGLCLSRLMNFLERRLLRDDEENEKKLDKSRWSSNLDSLCWMIVDRAYMGAFPQPSGVLKTLEFLLSMLQLANKDGRIEEATPSGKSLLSIGRGSRQLDAYVHSILKNTNRMILYCFLPSFLSIIGEDDLLSCLGLLIEPKKRLSSNSSYDNSGIDIYTVLQLLVAHRRILFCPINMDTDINCCLCVNLISLLRDQRQNVQNMAVDIVKYLLVHRRVALEDLLVSKPNQGHQLDVLHGGFDKLLTENLSAFFEWLQSSELMVNKVLEQCAAIMWVQYITGSSKFPGVRIKAMEGRRKREMGRKSKDTSKSDLKHWEQVNERRYALELVRDAMSTELRVVRQDKYGWVLHAESEWQTHLQQLVHERGIFPMRKSSVTEDPEWQLCPIEGPYRMRKKLERCKLKIDTIQNVLDGQFEVGAAEPSKEKNENDLDASDNDSESFFQLLTDSAKQNGLDGELYDGSFFKEPDNVKGVASVTNEWNDDRASSINEASLHSALEFGVKSSAASVPLDDSVQERSDLGSPRQSSSARIDDVKVTDDKSDKELHDNGEYLIRPYLEPFEKIRFRYNCERVVGLDKHDGIFLIGELSLYVIENFYIDDSGCICEKECEDELSIIDQALGVKKDATGCMDFQSKSTSSWGATVKSGVGGRAWAYNGGAWGKEKVCTSGNLPHPWNMWKLNSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSAKQESNEGSRLFKTMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSDPKTFRRLEKPMGCQTLEGEEEFRKRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNSVRDTWFSAAGKGNTSDVKELIPEFFYMPEFLENRFDLDLGEKQSGEKVGDVGLPPWAKGSTREFIRKHREALESDYVSEHLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFPKPHVKRQVDRRLPHPLKYSYLLAPHEIRKTPSSITQIVTVNEKILVVGTNCLLKPRTYTKYVAWGFPDRSLRFMSYDQDRLLSTHENLHGGNQILCTGVSHDGQILVTGGDDGLVSVWRISNYGPRVLRRLQLEKALCAHTSKITCLHVSQPYMLIVSGSDDCTVVIWDLSSLVFVRQLPEFPAPISAVYVNDLTGDIVTAAGILLAVWSVNGDCLAMVNTSQLPSDSILSVTSSSFSDWLDTNWFVTGHQSGAVKVWQMVHHSNHESSQQKSTSNGIGGLNLSDKAPEYRLVLHKVLKSHKHPVTSLHLTNDLKQLLSGDSGGHLLSWTVPDESLRASMNQG >ONH93477 pep chromosome:Prunus_persica_NCBIv2:G8:20767982:20786335:-1 gene:PRUPE_8G234500 transcript:ONH93477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGSKGKTMKWGTLLKDLREKVGLTQSPSSSFSASATASSSSSSAAALSSNNNANSALHGSYSPSRDKHELELDFKRFWEEFRSSSSEKEKEAALNLTVDVFCRLVKQHANVTQLVTMLVETHIFSFVLGRAFVTDIEKLKISSRTRYLDVEKVLKFFSEVTKDDISPGSNLLTALQVLASGPIDKQSLLDSGILCCLIHILNALLNPDEANQNQKATDCEEPTLAEKKYDGDASQVRRLEVEGSVVHIMKALANHPLAAQSLIEDDSLQLLFQMVANGSLTVFSRYKEGLVLVHIIQLHRHAMQILGLLLVNDNGSTAKYIRKHHLIKVLLMAVKDFNPDCGDSTYTMGIVDLLLECVELSYRPEAGGVRLREDIHNAHGYQFLVQFALKLSSIAKSQGFHSVQFRSSDQNSASAGSHALDAVDMQDAMGEKDPLTQQLSPTLSRLLDVLVNLAQTGPTESPGYSGKGSKSSHTRSGGHSRSRTPSSDRLADEVWEKDNHKVKDLEAVQMLQDIFLKADNRELQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMAGFPPSLQDILLKILEYAVTVVNCVPEQELLSLCCLLQQPISSELKHTILSFFVKLLSFDQQYKKVLREVGVLEVLLDELKQHKLLLGSDHQSGNSNQLERKSSSSSFKKHLDNKDVIISSPRVMESGSGKLPIFEVDGTVAIAWDCMVSLLKKAETNQSSFRLANGVTAVLPFLVSDIHRSGVLRVLSCLIIEDGTQAHPEELGVIVEILKSEMVTSVSGSQYRLQSDAKCDTMGALWRILGVNHSAQRVFGEATGFSLLLTTLHSFQSDGEHSDQSSLVVYIKVFTYLLRVVTAGVCDNAVNRTKLHTIISSQTFYDLLLESGLLSVDCEKQVIQLLFELALEIVLPPFLTSESVTSPDVLDNESSSFSIMTTSGSFHPDKERVFNAGAVRVLIRSLLLFTPKMQLEVLSLIERLARSGPFNQENLTSVGCIELLLETIQPFLLSSSPILKYALEIVEVLGAYRLSASELRMLIRYVLQMRLMKSGRILVDMMERLILMEDSENISLAPFVAMDMSKIGHASIQVSLGERSWPPAAGYSFVCWFQFRNLLKLPVKEPESKAGPSKRRSSSAGQHHERHVLRIFSVGAANDENTFYAELYLQEDGVLTLATSNSCSLSFSGLELEEGRWHHLAVVHSKPNALAGLFQASVAYVYLDGKLRHTGKLGYSPSPVGKPLQVTVGTPVTCARVSDLTWKVRSCYLFEEVLTSGCICFMYILGRGYRGLFQDTDLLRFVPNTACGGGSMAILDTLDADLTLASHTQKLDIASKQGDSKADGSGIVWDLERLGNLSLQLSGKKLIFAFDGTCAEAIRASGDLSMLNLVDPMSAAASPIGGIPRFGRLHGDIYLCRQCVIGDTICPVGGMTVILALVEAAETRDMLHMALTLLACALHQNPQNVRDMQKCRGYHLLALFLRRRMTLFDMQSLEIFFQIAACEASFSEPRKLKYNRTNLSPATTMQETSFEELHLSRFREEFSSAGSQGDMDDFSAQKDSFSHISELESADMPAETSNCIVLSNEDMVEHVLLDWTLWVTAPVSIQIALLGFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLSSELEHVVRFVIMTFDPPELTPRHPITREAMGKHVIVRNMLLEMLIDLQVTIKSEDLLEQWHKIVSSKLITYFLDESVHPTSMRWIMTLLGVCLTSSPTFALKFRTSGGYQGLARVLPSFYDSPDIYYILFCLIFGRSVYPRLPEVRMLDFHALMPNDGSYVELKFVELLESVITMAKSTFDRLSIQSMLAHQSGNLSQVGAGLVAELVNGNADMAGELQGEALMHKTYAARLMGGEASAPFAATSVLRFMVDLAKMCPPFTSVCKRAEFLETCIDLYFSCVRAAHAVKMTKELSVKTEEKNLNDCDDTCSSQNTFSSLPHEQDQSAKTSISVGSFPPGQVSTSSEDTAVPLNSGADDRADTKVTTAQEELHKTVQDDAQAVQSLDGDNADQVSATSSTNEFSFRNMKITLEPIKPTESQSSASFTMLDSPNLSEKSNYRLPLTPSPSPVLALTSWLGSASPNDFKSPIVASPSIDSSATTTEFDPSSEMKSPSQGPSTATTFFAASPKLLLEMDDAGYGGGPCSAGATAVLDFIAEVLSEFVTEQMKVSQIIEGILESVPLYVDADSMLVFQGLCLSRLMNFLERRLLRDDEENEKKLDKSRWSSNLDSLCWMIVDRAYMGAFPQPSGVLKTLEFLLSMLQLANKDGRIEEATPSGKSLLSIGRGSRQLDAYVHSILKNTNRMILYCFLPSFLSIIGEDDLLSCLGLLIEPKKRLSSNSSYDNSGIDIYTVLQLLVAHRRILFCPINMDTDINCCLCVNLISLLRDQRQNVQNMAVDIVKYLLVHRRVALEDLLVSKPNQGHQLDVLHGGFDKLLTENLSAFFEWLQSSELMVNKVLEQCAAIMWVQYITGSSKFPGVRIKAMEGRRKREMGRKSKDTSKSDLKHWEQVNERRYALELVRDAMSTELRVVRQDKYGWVLHAESEWQTHLQQLVHERGIFPMRKSSVTEDPEWQLCPIEGPYRMRKKLERCKLKIDTIQNVLDGQFEVGAAEPSKEKNENDLDASDNDSESFFQLLTDSAKQNGLDGELYDGSFFKEPDNVKGVASVTNEWNDDRASSINEASLHSALEFGVKSSAASVPLDDSVQERSDLGSPRQSSSARIDDVKVTDDKSDKELHDNGEYLIRPYLEPFEKIRFRYNCERVVGLDKHDGIFLIGELSLYVIENFYIDDSGCICEKECEDELSIIDQALGVKKDATGCMDFQSKSTSSWGATVKSGVGGRAWAYNGGAWGKEKVCTSGNLPHPWNMWKLNSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSAKQESNEGSRLFKTMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSDPKTFRRLEKPMGCQTLEGEEEFRKRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNSVRDTWFSAAGKGNTSDVKELIPEFFYMPEFLENRFDLDLGEKQSGEKVGDVGLPPWAKGSTREFIRKHREALESDYVSEHLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFPKPHVKRQVDRRLPHPLKYSYLLAPHEIRKTPSSITQIVTVNEKILVVGTNCLLKPRTYTKYVAWGFPDRSLRFMSYDQDRLLSTHENLHGGNQILCTGVSHDGQILVTGGDDGLVSVWRISNYGPRVLRRLQLEKALCAHTSKITCLHVSQPYMLIVSGSDDCTVVIWDLSSLVFVRQLPEFPAPISAVYVNDLTGDIVTAAGILLAVWSVNGDCLAMVNTSQLPSDSILSVTSSSFSDWLDTNWFVTGHQSGAVKVWQMVHHSNHESSQQKSTSNGIGGLNLSDKAPEYRLVLHKVLKSHKHPVTSLHLTNDLKQLLSGDSGGHLLSWTVPDESLRASMNQG >ONH93189 pep chromosome:Prunus_persica_NCBIv2:G8:19921005:19923309:1 gene:PRUPE_8G218300 transcript:ONH93189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHGISANLVVYLTRKLHEGTVQSSNNVTNWSGTLWLTPILGACIADTYLGRYRTFVLAVSIYLMGMSLLTLAVSVPALKPPACNHGIQAEDCTKASPFQVGIFYCALYMIAVGTGGTKPNISTMGADQFDDFEPKEKAHKLSFFNWWMFAIFFGNLFSSTFLVYIQDNVGWTLGYGIPTVGLVISVVVFLVGTPFYRHKLPSGSPITSIAQVLVAAVRKWKVPVPNDPKELYELSLEYYADKSKLKIDHTSSLRSLDRAAVKSGPNTPWTLCPVTQVEETKQMMKMIPVLLTTFIPAIIIAETHTLFIKQGTTLDASMGPHFKIPPASLGSFITLSMLVSLPIYDRLFVPAIRRYTNNPRGITLLKRMGIGFVMQIIIMVIACLAERKRLSVARENGIFGKGEKVPLTIFVLLPQFALMGVADTFVEVAKIEFFYDQAPQGMKSMGSSYFTTSFAVGNFLSSFLLKTVSDVTKRHGHKGGWVLDNLNASHLDYYYALLAGLVFLNFLFFLVVAKFFVYNADISQAKVAVES >ONH93188 pep chromosome:Prunus_persica_NCBIv2:G8:19920677:19923309:1 gene:PRUPE_8G218300 transcript:ONH93188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEEKGPAYGGQDFTQDGTVDLKGRPILRSKTGRWRACSFIVGYEIFERMANHGISANLVVYLTRKLHEGTVQSSNNVTNWSGTLWLTPILGACIADTYLGRYRTFVLAVSIYLMGMSLLTLAVSVPALKPPACNHGIQAEDCTKASPFQVGIFYCALYMIAVGTGGTKPNISTMGADQFDDFEPKEKAHKLSFFNWWMFAIFFGNLFSSTFLVYIQDNVGWTLGYGIPTVGLVISVVVFLVGTPFYRHKLPSGSPITSIAQVLVAAVRKWKVPVPNDPKELYELSLEYYADKSKLKIDHTSSLRSLDRAAVKSGPNTPWTLCPVTQVEETKQMMKMIPVLLTTFIPAIIIAETHTLFIKQGTTLDASMGPHFKIPPASLGSFITLSMLVSLPIYDRLFVPAIRRYTNNPRGITLLKRMGIGFVMQIIIMVIACLAERKRLSVARENGIFGKGEKVPLTIFVLLPQFALMGVADTFVEVAKIEFFYDQAPQGMKSMGSSYFTTSFAVGNFLSSFLLKTVSDVTKRHGHKGGWVLDNLNASHLDYYYALLAGLVFLNFLFFLVVAKFFVYNADISQAKVAVES >ONH92704 pep chromosome:Prunus_persica_NCBIv2:G8:18566658:18568366:1 gene:PRUPE_8G190900 transcript:ONH92704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNRKRKTLMGADWSDLPQELVISIANRIAFMEDFAAFGAVCKPWRSVATKENFTGRLSHPFLMLPGEDGAANAIRELYSFTKDKVQKVNLPEAEGKLLCYCSGWLITLEGLKFTLLHPFNRAQIKLPDFRDSDGRLSPTNMSYFHTVKKFVLSSNPSWTSDFAIMVLYDHKHLAFCKPREHKYWTSMVLEEHPLDITCYKGRFYATCYNGVSVFDIENPKQAKTRVVVQAWLRLRRHVHGSSGTKTYMVESAGDLLVVFSYGDIYRQAPRFRVSKVLRDGKRPSKSEVKDLGNRTLFLGDNNYSFSVMASDYGCKPNCIYFFSCIYRQYMYPKWGDWLVRSKENINMGIFHMEDGRFEQHFGSPSFALKEAKFPTFGTPCFWIQPSS >ONH91085 pep chromosome:Prunus_persica_NCBIv2:G8:12473660:12480138:1 gene:PRUPE_8G091800 transcript:ONH91085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLDDIFLDPSNAPARPGGRFRPKAKPRPSKVASTAATSALPNDRTEKPATLSPTVSDTVPSVKSIDVGDVKVTDPVGSSLATSEILGNIEPAKNNENLCTNVASSDGNKDTKPCESAATAAPQPEVAASVGTAAGENADIFSGLECLDGFLSQTTRGTESAASKPHVSAESSAFMVCDVAEAQTFSDCCTTQDPVSCREVSVSNKPDEVQLETKVDGAFSEFEVLDVVSDATILSGQRVGKFQPKLKVKKGKEHLHIPPAEVECMLSQAVLVPSETDMNESSLPAFPPGHVLDHPSPRFGDSSTPHPTSDPLVNTEYIAETTHLDGAIFGDAVRSEDVGGTLGKEGHKSRNRKGSTASNHSQKHKSFAASEEAEGGTSSRKLRKRLPRQEVEELVHEANEDSFTAEPSSGSNVNEDENNDNEYREHKTSQRKRAPRKSKEPESGKEEPVKKRKRAKEAPDKSTKDPPKRFSHSTRRTKRHVDKSLLEIPEDEIDHQSLSLKNLIRLAEHRELLAIKEARKMTTPVPDESTNNDSHKEANHDEENEEETFPSEQDRNSEENQASYRVNASSFLLNYQSYMDKTPTVRWSKQDTELFYGAIQQCGSDFTMIQELYFPGRTRHQIKLKFKKEERQNPLRINEAVLSRSTCSTDHSQFTSYIERLQQVAQANKEEEAEPTHDTDEGVKKTELEEPEDQEADVAEVQEEAVDAEVENPLKSDEIDDEEFTWSE >ONH91086 pep chromosome:Prunus_persica_NCBIv2:G8:12472380:12480076:1 gene:PRUPE_8G091800 transcript:ONH91086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLDDIFLDPSNAPARPGGRFRPKAKPRPSKVASTAATSALPNDRTEKPATLSPTVSDTVPSVKSIDVGDVKVTDPVGSSLATSEILGNIEPAKNNENLCTNVASSDGNKDTKPCESAATAAPQPEVAASVGTAAGENADIFSGLECLDGFLSQTTRGTESAASKPHVSAESSAFMVCDVAEAQTFSDCCTTQDPVSCREVSVSNKPDEVQLETKVDGAFSEFEVLDVVSDATILSGQRVGKFQPKLKVKKGKEHLHIPPAEVECMLSQAVLVPSETDMNESSLPAFPPGHVLDHPSPRFGDSSTPHPTSDPLVNTEYIAETTHLDGAIFGDAVRSEDVGGTLGKEGHKSRNRKGSTASNHSQKHKSFAASEEAEGGTSSRKLRKRLPRQEVEELVHEANEDSFTAEPSSGSNVNEDENNDNEYREHKTSQRKRAPRKSKEPESGKEEPVKKRKRAKEAPDKSTKDPPKRFSHSTRRTKRHVDKSLLEIPEDEIDHQSLSLKNLIRLAEHRELLAIKEARKMTTPVPDESTNNDSHKEANHDEENEEETFPSEQDRNSEENQASYRVNASSFLLNYQSYMDKTPTVRWSKQDTELFYGAIQQCGSDFTMIQELYFPGRTRHQIKLKFKKEERQNPLRINEAVLSRSTCSTDHSQFTSYIERLQQVAQANKEEEAEPTHDTDEGVKKTELEEPEDQEADVAEVQEEAVDAEVENPLKSDEIDDEEFTWSE >ONH91082 pep chromosome:Prunus_persica_NCBIv2:G8:12473162:12480413:1 gene:PRUPE_8G091800 transcript:ONH91082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLDDIFLDPSNAPARPGGRFRPKAKPRPSKVASTAATSALPNDRTEKPATLSPTVSDTVPSVKSIDVGDVKVTDPVGSSLATSEILGNIEPAKNNENLCTNVASSDGNKDTKPCESAATAAPQPEVAASVGTAAGENADIFSGLECLDGFLSQTTRGTESAASKPHVSAESSAFMVCDVAEAQTFSDCCTTQDPVSCREVSVSNKPDEVQLETKVDGAFSEFEVLDVVSDATILSGQRVGKFQPKLKVKKGKEHLHIPPAEVECMLSQAVLVPSETDMNESSLPAFPPGHVLDHPSPRFGDSSTPHPTSDPLVNTEYIAETTHLDGAIFGDAVRSEDVGGTLGKEGHKSRNRKGSTASNHSQKHKSFAASEEAEGGTSSRKLRKRLPRQEVEELVHEANEDSFTAEPSSGSNVNEDENNDNEYREHKTSQRKRAPRKSKEPESGKEEPVKKRKRAKEAPDKSTKDPPKRFSHSTRRTKRHVDKSLLEIPEDEIDHQSLSLKNLIRLAEHRELLAIKEARKMTTPVPDESTNNDSHKEANHDEENEEETFPSEQDRNSEENQASYRVNASSFLLNYQSYMDKTPTVRWSKQDTELFYGAIQQCGSDFTMIQELYFPGRTRHQIKLKFKKEERQNPLRINEAVLSRSTCSTDHSQFTSYIERLQQVAQANKEEEAEPTHDTDEGVKKTELEEPEDQEADVAEVQEEAVDAEVENPLKSDEIDDEEFTWSE >ONH91083 pep chromosome:Prunus_persica_NCBIv2:G8:12473096:12480146:1 gene:PRUPE_8G091800 transcript:ONH91083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLDDIFLDPSNAPARPGGRFRPKAKPRPSKVASTAATSALPNDRTEKPATLSPTVSDTVPSVKSIDVGDVKVTDPVGSSLATSEILGNIEPAKNNENLCTNVASSDGNKDTKPCESAATAAPQPEVAASVGTAAGENADIFSGLECLDGFLSQTTRGTESAASKPHVSAESSAFMVCDVAEAQTFSDCCTTQDPVSCREVSVSNKPDEVQLETKVDGAFSEFEVLDVVSDATILSGQRVGKFQPKLKVKKGKEHLHIPPAEVECMLSQAVLVPSETDMNESSLPAFPPGHVLDHPSPRFGDSSTPHPTSDPLVNTEYIAETTHLDGAIFGDAVRSEDVGGTLGKEGHKSRNRKGSTASNHSQKHKSFAASEEAEGGTSSRKLRKRLPRQEVEELVHEANEDSFTAEPSSGSNVNEDENNDNEYREHKTSQRKRAPRKSKEPESGKEEPVKKRKRAKEAPDKSTKDPPKRFSHSTRRTKRHVDKSLLEIPEDEIDHQSLSLKNLIRLAEHRELLAIKEARKMTTPVPDESTNNDSHKEANHDEENEEETFPSEQDRNSEENQASYRVNASSFLLNYQSYMDKTPTVRWSKQDTELFYGAIQQCGSDFTMIQELYFPGRTRHQIKLKFKKEERQNPLRINEAVLSRSTCSTDHSQFTSYIERLQQVAQANKEEEAEPTHDTDEGVKKTELEEPEDQEADVAEVQEEAVDAEVENPLKSDEIDDEEFTWSE >ONH91081 pep chromosome:Prunus_persica_NCBIv2:G8:12472853:12480076:1 gene:PRUPE_8G091800 transcript:ONH91081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLDDIFLDPSNAPARPGGRFRPKAKPRPSKVASTAATSALPNDRTEKPATLSPTVSDTVPSVKSIDVGDVKVTDPVGSSLATSEILGNIEPAKNNENLCTNVASSDGNKDTKPCESAATAAPQPEVAASVGTAAGENADIFSGLECLDGFLSQTTRGTAESAASKPHVSAESSAFMVCDVAEAQTFSDCCTTQDPVSCREVSVSNKPDEVQLETKVDGAFSEFEVLDVVSDATILSGQRVGKFQPKLKVKKGKEHLHIPPAEVECMLSQAVLVPSETDMNESSLPAFPPGHVLDHPSPRFGDSSTPHPTSDPLVNTEYIAETTHLDGAIFGDAVRSEDVGGTLGKEGHKSRNRKGSTASNHSQKHKSFAASEEAEGGTSSRKLRKRLPRQEVEELVHEANEDSFTAEPSSGSNVNEDENNDNEYREHKTSQRKRAPRKSKEPESGKEEPVKKRKRAKEAPDKSTKDPPKRFSHSTRRTKRHVDKSLLEIPEDEIDHQSLSLKNLIRLAEHRELLAIKEARKMTTPVPDESTNNDSHKEANHDEENEEETFPSEQDRNSEENQASYRVNASSFLLNYQSYMDKTPTVRWSKQDTELFYGAIQQCGSDFTMIQELYFPGRTRHQIKLKFKKEERQNPLRINEAVLSRSTCSTDHSQFTSYIERLQQVAQANKEEEAEPTHDTDEGVKKTELEEPEDQEADVAEVQEEAVDAEVENPLKSDEIDDEEFTWSE >ONH91079 pep chromosome:Prunus_persica_NCBIv2:G8:12473130:12480147:1 gene:PRUPE_8G091800 transcript:ONH91079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLDDIFLDPSNAPARPGGRFRPKAKPRPSKVASTAATSALPNDRTEKPATLSPTVSDTVPSVKSIDVGDVKVTDPVGSSLATSEILGNIEPAKNNENLCTNVASSDGNKDTKPCESAATAAPQPEVAASVGTAAGENADIFSGLECLDGFLSQTTRGTAESAASKPHVSAESSAFMVCDVAEAQTFSDCCTTQDPVSCREVSVSNKPDEVQLETKVDGAFSEFEVLDVVSDATILSGQRVGKFQPKLKVKKGKEHLHIPPAEVECMLSQAVLVPSETDMNESSLPAFPPGHVLDHPSPRFGDSSTPHPTSDPLVNTEYIAETTHLDGAIFGDAVRSEDVGGTLGKEGHKSRNRKGSTASNHSQKHKSFAASEEAEGGTSSRKLRKRLPRQEVEELVHEANEDSFTAEPSSGSNVNEDENNDNEYREHKTSQRKRAPRKSKEPESGKEEPVKKRKRAKEAPDKSTKDPPKRFSHSTRRTKRHVDKSLLEIPEDEIDHQSLSLKNLIRLAEHRELLAIKEARKMTTPVPDESTNNDSHKEANHDEENEEETFPSEQDRNSEENQASYRVNASSFLLNYQSYMDKTPTVRWSKQDTELFYGAIQQCGSDFTMIQELYFPGRTRHQIKLKFKKEERQNPLRINEAVLSRSTCSTDHSQFTSYIERLQQVAQANKEEEAEPTHDTDEGVKKTELEEPEDQEADVAEVQEEAVDAEVENPLKSDEIDDEEFTWSE >ONH91084 pep chromosome:Prunus_persica_NCBIv2:G8:12472365:12480413:1 gene:PRUPE_8G091800 transcript:ONH91084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLDDIFLDPSNAPARPGGRFRPKAKPRPSKVASTAATSALPNDRTEKPATLSPTVSDTVPSVKSIDVGDVKVTDPVGSSLATSEILGNIEPAKNNENLCTNVASSDGNKDTKPCESAATAAPQPEVAASVGTAAGENADIFSGLECLDGFLSQTTRGTESAASKPHVSAESSAFMVCDVAEAQTFSDCCTTQDPVSCREVSVSNKPDEVQLETKVDGAFSEFEVLDVVSDATILSGQRVGKFQPKLKVKKGKEHLHIPPAEVECMLSQAVLVPSETDMNESSLPAFPPGHVLDHPSPRFGDSSTPHPTSDPLVNTEYIAETTHLDGAIFGDAVRSEDVGGTLGKEGHKSRNRKGSTASNHSQKHKSFAASEEAEGGTSSRKLRKRLPRQEVEELVHEANEDSFTAEPSSGSNVNEDENNDNEYREHKTSQRKRAPRKSKEPESGKEEPVKKRKRAKEAPDKSTKDPPKRFSHSTRRTKRHVDKSLLEIPEDEIDHQSLSLKNLIRLAEHRELLAIKEARKMTTPVPDESTNNDSHKEANHDEENEEETFPSEQDRNSEENQASYRVNASSFLLNYQSYMDKTPTVRWSKQDTELFYGAIQQCGSDFTMIQELYFPGRTRHQIKLKFKKEERQNPLRINEAVLSRSTCSTDHSQFTSYIERLQQVAQANKEEEAEPTHDTDEGVKKTELEEPEDQEADVAEVQEEAVDAEVENPLKSDEIDDEEFTWSE >ONH91080 pep chromosome:Prunus_persica_NCBIv2:G8:12473189:12480413:1 gene:PRUPE_8G091800 transcript:ONH91080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLDDIFLDPSNAPARPGGRFRPKAKPRPSKVASTAATSALPNDRTEKPATLSPTVSDTVPSVKSIDVGDVKVTDPVGSSLATSEILGNIEPAKNNENLCTNVASSDGNKDTKPCESAATAAPQPEVAASVGTAAGENADIFSGLECLDGFLSQTTRGTAESAASKPHVSAESSAFMVCDVAEAQTFSDCCTTQDPVSCREVSVSNKPDEVQLETKVDGAFSEFEVLDVVSDATILSGQRVGKFQPKLKVKKGKEHLHIPPAEVECMLSQAVLVPSETDMNESSLPAFPPGHVLDHPSPRFGDSSTPHPTSDPLVNTEYIAETTHLDGAIFGDAVRSEDVGGTLGKEGHKSRNRKGSTASNHSQKHKSFAASEEAEGGTSSRKLRKRLPRQEVEELVHEANEDSFTAEPSSGSNVNEDENNDNEYREHKTSQRKRAPRKSKEPESGKEEPVKKRKRAKEAPDKSTKDPPKRFSHSTRRTKRHVDKSLLEIPEDEIDHQSLSLKNLIRLAEHRELLAIKEARKMTTPVPDESTNNDSHKEANHDEENEEETFPSEQDRNSEENQASYRVNASSFLLNYQSYMDKTPTVRWSKQDTELFYGAIQQCGSDFTMIQELYFPGRTRHQIKLKFKKEERQNPLRINEAVLSRSTCSTDHSQFTSYIERLQQVAQANKEEEAEPTHDTDEGVKKTELEEPEDQEADVAEVQEEAVDAEVENPLKSDEIDDEEFTWSE >ONH91078 pep chromosome:Prunus_persica_NCBIv2:G8:12472658:12480413:1 gene:PRUPE_8G091800 transcript:ONH91078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GELVRWGSDPVLHTLLQEPLKNTTLYSFIETVVEVKINMEDLDDIFLDPSNAPARPGGRFRPKAKPRPSKVASTAATSALPNDRTEKPATLSPTVSDTVPSVKSIDVGDVKVTDPVGSSLATSEILGNIEPAKNNENLCTNVASSDGNKDTKPCESAATAAPQPEVAASVGTAAGENADIFSGLECLDGFLSQTTRGTAESAASKPHVSAESSAFMVCDVAEAQTFSDCCTTQDPVSCREVSVSNKPDEVQLETKVDGAFSEFEVLDVVSDATILSGQRVGKFQPKLKVKKGKEHLHIPPAEVECMLSQAVLVPSETDMNESSLPAFPPGHVLDHPSPRFGDSSTPHPTSDPLVNTEYIAETTHLDGAIFGDAVRSEDVGGTLGKEGHKSRNRKGSTASNHSQKHKSFAASEEAEGGTSSRKLRKRLPRQEVEELVHEANEDSFTAEPSSGSNVNEDENNDNEYREHKTSQRKRAPRKSKEPESGKEEPVKKRKRAKEAPDKSTKDPPKRFSHSTRRTKRHVDKSLLEIPEDEIDHQSLSLKNLIRLAEHRELLAIKEARKMTTPVPDESTNNDSHKEANHDEENEEETFPSEQDRNSEENQASYRVNASSFLLNYQSYMDKTPTVRWSKQDTELFYGAIQQCGSDFTMIQELYFPGRTRHQIKLKFKKEERQNPLRINEAVLSRSTCSTDHSQFTSYIERLQQVAQANKEEEAEPTHDTDEGVKKTELEEPEDQEADVAEVQEEAVDAEVENPLKSDEIDDEEFTWSE >ONH92777 pep chromosome:Prunus_persica_NCBIv2:G8:18770342:18771133:1 gene:PRUPE_8G195400 transcript:ONH92777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFLLKLIFFALSFISNLVSWLIFSGTAHILVLLIHGFRGPGQAIHGTLQQVTEVIKSCSENFVGLVMEAISALISTIFDYLKEIVTGSAGVTTSAIGDLMEKTKTSLDGLLTDVLEVSEGLSEMVFIIVADLWNNCKDAFGYVAENA >ONH89695 pep chromosome:Prunus_persica_NCBIv2:G8:819846:826737:-1 gene:PRUPE_8G010300 transcript:ONH89695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKYSRVDGRRSLNCCSTTSLVVFVAFCLVGVWMLMSTVVPIQNQDSSSEETVNEVKWQTTTDKDSKQFEDSSGELPETVIKRDDNGNDLQSESHLDIKNAQNVAEDVSVNTTEEKQEELVSKEESEVKTEPESENGVEGNQQEKLVEEKSDEKLETEEQTKTEAENDGDGKTNDHEASSGDGESNSEAGNTSDFQEGEKKLEGDETSDGIKQEDEVTIQTEKENVEKYQDIHSEESDSNNIESQETTAENEQGSTEVVESQNEKETQQSSLSEHKSGHEWKWKLCDVTAGPDYIPCLDNWGSIRKLPSTMHYEHRERHCPDEAPTCLVPLPEGYKRSIQWPTSREKIWYHNVPHTKLAVVKGHQNWVKVTGEYLTFPGGGTQFKNGALHYIDFIQKSLPDIAWGKRSRVILDVGCGVASFGGFLFDRDVLAMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSSVYDLVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYQKLPEDVGIWKAMSKLTKSMCWDLLVIKKDRLNGVAAAIYRKPSTNECYNERARNDPPLCSESDDPNTSWNVSLEACMHKVPDDVLNRGSQWPPKWPLRLEKPPYWLKSQLDVDGKSAPEDFISDYKHWKKVVSETYLNGMGINWSSVRNVMDMRAVYGGFAAALKDLKVWVMNVVPVDSRDTLPIIFERGLTGIYHDWCESFSTYPRTYDLLHADHLFSVLKKRCNLVAVIAEVDRILRPEGKLIIWDNAETLNEVESMAKSLQWDIRFTYSKDNEGLLCIQKTFWRPAEKETILSAIA >ONH93876 pep chromosome:Prunus_persica_NCBIv2:G8:21941186:21942607:-1 gene:PRUPE_8G258200 transcript:ONH93876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSKAYVTFLAGNKDYVKGVVGLAKGLRKAKSQYPLVVAVLPDVPQDHRDILESQGCIVQEIEPVYPSENHQTQFAMAYYVINYSKLRIFKFVEYSKMIYLDGDIQVFENIDHLFELPDGFLYAVMDCFCEKTWSHTPQNKIGYCQQCPEKVQWPAAELGSPPPPYFNAGMFVYEPKISTYNDLLAAVQATPPTPFAEQDLLNMFFRDIYKPIPSEYNFVLAMLWRHPENAKLDALKVVHYCAAGSKPWRYTGEEENMEREDIKMLVKKWWDIYEDKTLDLKAAPAVATLVDPEPLSDIVQGRSAPSAA >ONH91476 pep chromosome:Prunus_persica_NCBIv2:G8:14393410:14397239:-1 gene:PRUPE_8G117100 transcript:ONH91476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRGRGGSGGGFRGRGDGGRGGRGGGRFGGGGRGGDRGGSAFKSRGGGRGGDRGGRGGRGRGGGRGGMKGGNKVVVEPHRHEGVFIAKGKEDALVTKNMVPGEAVYNEKRISVQNEDGTKVEYRIWNPFRSKLAAAILGGVDDIWIKPGARVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARIVALNASYFLKAGGHFVISIKANCIDSTSPAEAVFQQEVKRLQADQFKPMEQVTLEPFERDHACVVGGYRVPKKSKTAA >ONH91737 pep chromosome:Prunus_persica_NCBIv2:G8:15522855:15527699:1 gene:PRUPE_8G134200 transcript:ONH91737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFGIGYVGIIFEESLAFNKSGVGLLMAVSLWVVRSIGAPSTDIAVSELQHASAEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNITTRKPRTLLWVVGIVTFCLSSVLDNLTSTIVMVSLLRKLVPPSEFRKILGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLETMKTLFIPSAVSLAVPLALMSLTSEVSGKAPDTSNVMASEQMAPRGKLVFSVGIGALVFVPVFKALTGLPPYMGILLGLGVLWVLTDAIHYGESERQKLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILRELANYLDAHIPNVELIASSIGVVSAIIDNVPLVAATMGMYDLTSFPKDSEFWQLIAYCAGTGGSMLVIGSAAGVAFMGMEKVDFFWYLRKVSGFAFAGYAAGIAAYLAVQNLHISLPTTVAQVPFLSGS >ONH91735 pep chromosome:Prunus_persica_NCBIv2:G8:15522523:15527699:1 gene:PRUPE_8G134200 transcript:ONH91735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSIGVRLPPSYHHLKKRSLPFLSQTQSLSPSQPCFGSSLRGIRGSRLPRNGILARAEDKARGSNPSSSSAQQQQVQPSSEKEFQDLSPSSGSCDPLCSLDETSSHDFEANYRPKTDLLKAIAVLAAAATGAVAINHSWVAANQDVAMALLFGIGYVGIIFEESLAFNKSGVGLLMAVSLWVVRSIGAPSTDIAVSELQHASAEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNITTRKPRTLLWVVGIVTFCLSSVLDNLTSTIVMVSLLRKLVPPSEFRKILGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLETMKTLFIPSAVSLAVPLALMSLTSEVSGKAPDTSNVMASEQMAPRGKLVFSVGIGALVFVPVFKALTGLPPYMGILLGLGVLWVLTDAIHYGESERQKLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILRELANYLDAHIPNVELIASSIGVVSAIIDNVPLVAATMGMYDLTSFPKDSEFWQLIAYCAGTGGSMLVIGSAAGVAFMGMEKVDFFWYLRKVSGFAFAGYAAGIAAYLAVQNLHISLPTTVAQVPFLSGS >ONH91738 pep chromosome:Prunus_persica_NCBIv2:G8:15523597:15527699:1 gene:PRUPE_8G134200 transcript:ONH91738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFGIGYVGIIFEESLAFNKSGVGLLMAVSLWVVRSIGAPSTDIAVSELQHASAEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNITTRKPRTLLWVVGIVTFCLSSVLDNLTSTIVMVSLLRKLVPPSEFRKILGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLETMKTLFIPSAVSLAVPLALMSLTSEVSGKAPDTSNVMASEQMAPRGKLVFSVGIGALVFVPVFKALTGLPPYMGILLGLGVLWVLTDAIHYGESERQKLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILRELANYLDAHIPNVELIASSIGVVSAIIDNVPLVAATMGMYDLTSFPKDSEFWQLIAYCAGTGGSMLVIGSAAGVAFMGMEKVDFFWYLRKVSGFAFAGYAAGIAAYLAVQNLHISLPTTVAQVPFLSGS >ONH91736 pep chromosome:Prunus_persica_NCBIv2:G8:15522648:15527805:1 gene:PRUPE_8G134200 transcript:ONH91736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFGIGYVGIIFEESLAFNKSGVGLLMAVSLWVVRSIGAPSTDIAVSELQHASAEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNITTRKPRTLLWVVGIVTFCLSSVLDNLTSTIVMVSLLRKLVPPSEFRKILGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLETMKTLFIPSAVSLAVPLALMSLTSEVSGKAPDTSNVMASEQMAPRGKLVFSVGIGALVFVPVFKALTGLPPYMGILLGLGVLWVLTDAIHYGESERQKLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILRELANYLDAHIPNVELIASSIGVVSAIIDNVPLVAATMGMYDLTSFPKDSEFWQLIAYCAGTGGSMLVIGSAAGVAFMGMEKVDFFWYLRKVSGFAFAGYAAGIAAYLAVQNLHISLPTTVAQVPFLSGS >ONH92149 pep chromosome:Prunus_persica_NCBIv2:G8:16815123:16816250:-1 gene:PRUPE_8G158400 transcript:ONH92149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENETNLQVTFSKRRAGLFKKASELSTLCGTELGLIVFSPGKKAFCFGHPSIEEVLDKYEGRPSRQPLNHDMQQIVEACSHTTLNELNAELTQVMERIEGERKRGEELTQLLKASQAECGLQGPVEELDLDTMEILRASLMQLRSKVADQAKQKLAAAKTANPPHNPNVYVGSSSSSAAAQSANPAQNNYFVGSSSSSAAAQSANPAHNNYFVGRSSSSAAAQSANPAHNNFFVGSSSSSAAAGPSGLQGLANPEINNVGFNFNGNDFVMQAPDQPNNFGFNFNGAEPVLQAPDQPNNLGFNFNGAGPVMQAPPDQPNNLGFNFNGPDHPNNLGFNFNGAESIMQAPPDQPNNVGFNGDPMFLSDWNPNLGGGFL >ONH92277 pep chromosome:Prunus_persica_NCBIv2:G8:17263326:17265938:-1 gene:PRUPE_8G166600 transcript:ONH92277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGRVHPDCRNASNPYHECSEYCFTVIAEAKARMDQNQSDVQASGGSGKSNSEAAEQEEYVHNEKPDSEEHADDGDDHPEENMEGDFTKFTGRKKKLWELRMKMNKARKDNQTDITAEKKRLEPPQESRGISKQKWLEERKKRIGKLLDANNLDMKSAYMLDTQEMAEAKYKKWEKDPAPAGWDVFNQKTLYDAYKKRTKNIEIDLEEYNRMKEADPEFYREASSLQYGKAPKISEDKIDKMVKELKDREEKKKSFSRRRRFHDEKDIDSINDRNEHFNKKIERAFGRYTLEIKNNLERGTALPD >ONH92280 pep chromosome:Prunus_persica_NCBIv2:G8:17263357:17265473:-1 gene:PRUPE_8G166600 transcript:ONH92280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGRVHPDCRNASNPYHECSEYCFTVIAEAKARMDQNQSEDVQASGGSGKSNSEAAEQEEYVHNEKPDSEEHADDGDDHPEENMEGDFTKFTGRKKKLWELRMKMNKARKDNQTDITAEKKRLEPPQESRGISKQKWLEERKKRIGKLLDANNLDMKSAYMLDTQEMAEAKYKKWEKDPAPAGWDVFNQKTLYDAYKKRTKNIEIDLEEYNRMKEADPEFYREASSLQYGKAPKISEDKIDKMVKELKDREEKKKSFSRRRRFHDEKDIDSINDRNEHFNKKIERAFGRYTLEIKNNLERGTALPD >ONH92278 pep chromosome:Prunus_persica_NCBIv2:G8:17263326:17265938:-1 gene:PRUPE_8G166600 transcript:ONH92278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGRVHPDCRNASNPYHECSEYCFTVIAEAKARMDQNQSDVQASGGSGKSNSEAAEQEEYVHNEKPDSEEHADDGDDHPEENMEGDFTKFTGRKKKLWELRMKMNKARKDNQTDITAEKKRLEPPQESRGISKQKWLEERKKRIGKLLDANNLDMKSAYMLDTQEMAEAKYKKWEKDPAPAGWDVFNQKTLYDAYKKRTKNIEIDLEEYNRMKEADPEFYREASSLQYGKAPKISEDKIDKMVKELKDREEKKKSFSRRRRFHDEKDIDSINDRNEHFNKKIERAFGRYTLEIKNNLERGTALPD >ONH92279 pep chromosome:Prunus_persica_NCBIv2:G8:17263357:17265473:-1 gene:PRUPE_8G166600 transcript:ONH92279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGRVHPDCRNASNPYHECSEYCFTVIAEAKARMDQNQSEDVQASGGSGKSNSEAAEQEEYVHNEKPDSEEHADDGDDHPEENMEGDFTKFTGRKKKLWELRMKMNKARKDNQTDITAEKKRLEPPQESRGISKQKWLEERKKRIGKLLDANNLDMKSAYMLDTQEMAEAKYKKWEKDPAPAGWDVFNQKTLYDAYKKRTKNIEIDLEEYNRMKEADPEFYREASSLQYGKAPKISEDKIDKMVKELKDREEKKKSFSRRRRFHDEKDIDSINDRNEHFNKKIERAFGRYTLEIKNNLERGTALPD >ONH93362 pep chromosome:Prunus_persica_NCBIv2:G8:20420416:20428774:-1 gene:PRUPE_8G228100 transcript:ONH93362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPAGGVDDMTKLSYLHEPGVLCNLATRYESNEIYTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNSVFQDDILHVKPSFGTENNLIYRIQIKFNNCRTFC >ONH93354 pep chromosome:Prunus_persica_NCBIv2:G8:20419085:20430543:-1 gene:PRUPE_8G228100 transcript:ONH93354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVTIVVGSQIWVENPELVWIDGEVINIKGEDAEIQISNGNKVVAKVSKIYPKDMDMPAGGVDDMTKLSYLHEPGVLCNLATRYESNEIYTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNRMIYCMSNQVSELKTILSTASKSSSTTAGPFVRHEGSDSISSNSECSSTDSDFTFPAPTPTSANFSPPCTNSLKLIVQDISAGDVSGSESEKEGGAFDDFF >ONH93356 pep chromosome:Prunus_persica_NCBIv2:G8:20419085:20430543:-1 gene:PRUPE_8G228100 transcript:ONH93356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPAGGVDDMTKLSYLHEPGVLCNLATRYESNEIYTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNRMIYCMSNQVSELKTILSTASKSSSTTAGPFVRHEGSDSISSNSECSSTDSDFTFPAPTPTSANFSPPCTNSLKLIVQDISAGDVSGSESEKEGGAFDDFF >ONH93361 pep chromosome:Prunus_persica_NCBIv2:G8:20420416:20430209:-1 gene:PRUPE_8G228100 transcript:ONH93361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVTIVVGSQIWVENPELVWIDGEVINIKGEDAEIQISNGNKVVAKVSKIYPKDMDMPAGGVDDMTKLSYLHEPGVLCNLATRYESNEIYTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNSVFQDDILHVKPSFGTENNLIYRIQIKFNNCRTFC >ONH93360 pep chromosome:Prunus_persica_NCBIv2:G8:20420416:20430209:-1 gene:PRUPE_8G228100 transcript:ONH93360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVTIVVGSQIWVENPELVWIDGEVINIKGEDAEIQISNGNKVVAKVSKIYPKDMDMPAGGVDDMTKLSYLHEPGVLCNLATRYESNEIYTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNSVFQDDILHVKPSFGTENNLIYRIQIKFNNCRTFC >ONH93353 pep chromosome:Prunus_persica_NCBIv2:G8:20419085:20430555:-1 gene:PRUPE_8G228100 transcript:ONH93353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPAGGVDDMTKLSYLHEPGVLCNLATRYESNEIYTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNRMIYCMSNQVSELKTILSTASKSSSTTAGPFVRHEGSDSISSNSECSSTDSDFTFPAPTPTSANFSPPCTNSLKLIVQDISAGDVSDMGLS >ONH93359 pep chromosome:Prunus_persica_NCBIv2:G8:20419085:20430543:-1 gene:PRUPE_8G228100 transcript:ONH93359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVTIVVGSQIWVENPELVWIDGEVINIKGEDAEIQISNGNKVVAKVSKIYPKDMDMPAGGVDDMTKLSYLHEPGVLCNLATRYESNEIYTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNSVFQDDILHVKPSFGTENNLIYRIQIKFNNCRTFC >ONH93364 pep chromosome:Prunus_persica_NCBIv2:G8:20420416:20428722:-1 gene:PRUPE_8G228100 transcript:ONH93364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEFFVIWQLDMRAMKFIFGRLCYNTLTSWQTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNSVFQDDILHVKPSFGTENNLIYRIQIKFNNCRTFC >ONH93357 pep chromosome:Prunus_persica_NCBIv2:G8:20419085:20430546:-1 gene:PRUPE_8G228100 transcript:ONH93357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPAGGVDDMTKLSYLHEPGVLCNLATRYESNEIYTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNRMIYCMSNQVSELKTILSTASKSSSTTAGPFVRHEGSDSISSNSECSSTDSDFTFPAPTPTSANFSPPCTNSLKLIVQDISAGDVSGSESEKEGGAFDDFF >ONH93363 pep chromosome:Prunus_persica_NCBIv2:G8:20420416:20428774:-1 gene:PRUPE_8G228100 transcript:ONH93363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPAGGVDDMTKLSYLHEPGVLCNLATRYESNEIYTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNSVFQDDILHVKPSFGTENNLIYRIQIKFNNCRTFC >ONH93355 pep chromosome:Prunus_persica_NCBIv2:G8:20419814:20430209:-1 gene:PRUPE_8G228100 transcript:ONH93355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVTIVVGSQIWVENPELVWIDGEVINIKGEDAEIQISNGNKVVAKVSKIYPKDMDMPAGGVDDMTKLSYLHEPGVLCNLATRYESNEIYTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNRMIYCMSNQVSELKTILSTASKSSSTTAGPFVRHEGSDSISSNSECSSTDSDFTFPAPTPTSANFSPPCTNSLKLIVQDISAGDVSGSESEKEGGAFDDFF >ONH93358 pep chromosome:Prunus_persica_NCBIv2:G8:20419814:20428722:-1 gene:PRUPE_8G228100 transcript:ONH93358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEFFVIWQLDMRAMKFIFGRLCYNTLTSWQTYTGNILIAINPFQSLSHLYDTVMMERYNGVPFGELGPHVFAIADAAYREMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGNAAAEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKRGRISGAAIRTYLLERSRVCQISDLERNYHCFYLLCAAPEQEKEKYKLGDPKSYHYLNQSNCYQLDGISDEHNYLSTRRAMDVVGISVVEQEAIFSVVAAILHLGNIDFANGEDNDSSVLKNDESLFHLQMTAELLMCNPRALEDALCKRVMVTPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVDKINVSIGQDPSSKCLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNKHVFKSEQEEYTREEIDWSYIEFVDNKDVLDLIEQKKGGIIALLDEACMFPKSTHDTFSQKLYQTFKDNKRFIKPKLTRSDFTIVHYAGEVQYQSDQFLDKNKDYIVPEHRDLLSASKCSFVAGLFPSLTEEAAKSSKFSSISSRFKQQLQHLMETLNATEPHYIRCIKPNNVLKPAIFENINVVQQLHSGGVLEAVRIKCAGYPTYRNFFEFLTRFRILAPEVLKMDFPENEACEKILEKMGLKDYQIGKTMVFLRAGQMAELDAKRTLMLGDSANVIQRRGRTRITRRKYVSIREASICVQSFCRGELARKLYKLKKRVNAVVKIQKTARKRLARKDYVKILFSSVVLQTSLRAMVARDELRYRVKVAIIIQTGLRAMAARDAFRYRVNAAVIIQTGLRAMAARDAFRCRMQSKPRVIVQTDLEKAEGEEATKLESSVEANTELEKAKGVEATKLESSVQSNVIKVGETDVSSKILVSQIEIEDITKEIPSPEKNAEKIKILTAEVENLKAMLQAEKQRANECERRYVEARVSSQEGRKKLEETERIVYQLQDSLNRMIYCMSNQVSELKTILSTASKSSSTTAGPFVRHEGSDSISSNSECSSTDSDFTFPAPTPTSANFSPPCTNSLKLIVQDISAGDVSGSESEKEGGAFDDFF >ONH93012 pep chromosome:Prunus_persica_NCBIv2:G8:19394000:19395630:1 gene:PRUPE_8G207900 transcript:ONH93012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSITRIGQEARDNHVTKTAELATNLFIDPATNQPNVKGLILAGSADFKTKLSESGRFDPHLQAKILKVVDISYGGEEGFNQAIKMSQEILFNVKFIQEQHLLGKYFEEVNQDTGKYVVGILIVYENLEINRYVLKNSKTDEIFVKQLNEEEENNESNFRDPVTSDKLEIQEKMSLLEWLADEYKSFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEFADDEVEEDAEVYDVGEAEDDSE >ONH92169 pep chromosome:Prunus_persica_NCBIv2:G8:16872814:16878303:-1 gene:PRUPE_8G159800 transcript:ONH92169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPNSDMSQNGNIRHVDSLCSVAEIEEIDFSKLLDRPSLLNMERKRSFDERSLSELSVALSPRHSSRNADNSFKFFDHPEYVFSPSRRSLIGTPRSLTGFEPHPMVAEAWETLRRSLVFFRGQPVGTIAATDTSEEKLNYDQVFVRDFVPSGLAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNSETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKHDDEGKEFVERIVKRLHALSYHMRSYFWLDFKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWVFDFMPTRGGYFIGNISPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIESRWEELAGEMPLKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDNWPEYYDGKLGRYIGKQARKFQTWSVAGYLVAKMLLEDPSHLGMIALEEDKQMKPAMKRSNSWTC >ONH92168 pep chromosome:Prunus_persica_NCBIv2:G8:16873294:16877134:-1 gene:PRUPE_8G159800 transcript:ONH92168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPNSDMSQNGNIRHVDSLCSVAEIEEIDFSKLLDRPSLLNMERKRSFDERSLSELSVALSPRHSSRNADNSFKFFDHPEYVFSPSRRSLIGTPRSLTGFEPHPMVAEAWETLRRSLVFFRGQPVGTIAATDTSEEKLNYDQVFVRDFVPSGLAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNSETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKHDDEGKEFVERIVKRLHALSYHMRSYFWLDFKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWVFDFMPTRGGYFIGNISPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIESRWEELAGEMPLKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDNWPEYYDGKLGRYIGKQARKFQTWSVAGYLVAKMLLEDPSHLGMIALEEDKQMKPAMKRSNSWTC >ONH92170 pep chromosome:Prunus_persica_NCBIv2:G8:16872924:16877804:-1 gene:PRUPE_8G159800 transcript:ONH92170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPNSDMSQNGNIRHVDSLCSVAEIEEIDFSKLLDRPSLLNMERKRSFDERSLSELSVALSPRHSSRNADNSFKFFDHPEYVFSPSRRSLIGTPRSLTGFEPHPMVAEAWETLRRSLVFFRGQPVGTIAATDTSEEKLNYDQVFVRDFVPSGLAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNSETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKHDDEGKEFVERIVKRLHALSYHMRSYFWLDFKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWVFDFMPTRGGYFIGNISPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIESRWEELAGEMPLKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDNWPEYYDGKLGRYIGKQARKFQTWSVAGYLVAKMLLEDPSHLGMIALEEDKQMKPAMKRSNSWTC >ONH92787 pep chromosome:Prunus_persica_NCBIv2:G8:18783481:18785497:1 gene:PRUPE_8G195900 transcript:ONH92787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVDWRIQKGKMRPFFPPKFPHIPATDVAGEVVEVGKGVQKFKPGDKVVAYLTLANGGGLAEFVTASESLTVARPPEVSAAQGAGLPIAGLTALQALTQAAGLNLDGSGQKKNILITAASGGVGQYAVQLAKLGNTHVTATCGARNIEFIKSLGADEVLDYKTPEGAALISPSGRKYDIAVHCAITGIPWSTFKPNLSANGKVINITPGPSTWAAIAQNTLTFSKKQLVPLLLWAKSENLDYLVKLVKEGKLKTVIDSKHPLSKAEDAWAKSIDGHATGKIIVEP >ONH92786 pep chromosome:Prunus_persica_NCBIv2:G8:18782901:18785497:1 gene:PRUPE_8G195900 transcript:ONH92786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLMRAVQYNSYGGGASGLKHVEVPVPTPKKGEILLKLEAATMNPVDWRIQKGKMRPFFPPKFPHIPATDVAGEVVEVGKGVQKFKPGDKVVAYLTLANGGGLAEFVTASESLTVARPPEVSAAQGAGLPIAGLTALQALTQAAGLNLDGSGQKKNILITAASGGVGQYAVQLAKLGNTHVTATCGARNIEFIKSLGADEVLDYKTPEGAALISPSGRKYDIAVHCAITGIPWSTFKPNLSANGKVINITPGPSTWAAIAQNTLTFSKKQLVPLLLWAKSENLDYLVKLVKEGKLKTVIDSKHPLSKAEDAWAKSIDGHATGKIIVEP >ONH92785 pep chromosome:Prunus_persica_NCBIv2:G8:18782896:18785497:1 gene:PRUPE_8G195900 transcript:ONH92785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLMRAVQYNSYGGGASGLKHVEVPVPTPKKGEILLKLEAATMNPVDWRIQKGKMRPFFPPKFPHIPATDVAGEVVEVGKGVQKFKPGDKVVAYLTLANGGGLAEFVTASESLTVARPPEVSAAQGAGLPIAGLTALQALTQAAGLNLDGSGQKKNILITAASGGVGQYAVQLAKLGNTHVTATCGARNIEFIKSLGADEVLDYKTPEGAALISPSGRKYDIAVHCAITGIPWSTFKPNLSANGKVINITPGPSTWAAIAQNTLTFSKKQLVPLLLWAKSENLDYLVKLVKEGKLKTVIDSKHPLSKAEDAWAKSIDGHATGKIIVEP >ONH92784 pep chromosome:Prunus_persica_NCBIv2:G8:18782901:18785596:1 gene:PRUPE_8G195900 transcript:ONH92784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAAMATKLMRAVQYNSYGGGASGLKHVEVPVPTPKKGEILLKLEAATMNPVDWRIQKGKMRPFFPPKFPHIPATDVAGEVVEVGKGVQKFKPGDKVVAYLTLANGGGLAEFVTASESLTVARPPEVSAAQGAGLPIAGLTALQALTQAAGLNLDGSGQKKNILITAASGGVGQYAVQLAKLGNTHVTATCGARNIEFIKSLGADEVLDYKTPEGAALISPSGRKYDIAVHCAITGIPWSTFKPNLSANGKVINITPGPSTWAAIAQNTLTFSKKQLVPLLLWAKSENLDYLVKLVKEGKLKTVIDSKHPLSKAEDAWAKSIDGHATGKIIVEP >ONH89562 pep chromosome:Prunus_persica_NCBIv2:G8:177365:180369:-1 gene:PRUPE_8G002200 transcript:ONH89562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSSSAIFYDFLDRMRNPASLDLVRSIKSFIVSFSFYAANPENDGKKVQDFYTTMEDAIRDHPLWAGATDQEVDCAMEGLEKYVMTKLFSRTFSSTPEDVKIDLETSQKIHLLQTFLKPEHLDIPAVLRNEASWLLAEKELQKINAFKAPREKLLCVMNCCKVINNLLLNASMSENHVLAGADDFLPVLIYVMIKASSPWPILHSCIPTSNSFSYIEGRQNLCLKQPITSPILSQPKHLFLS >ONH89560 pep chromosome:Prunus_persica_NCBIv2:G8:176339:180653:-1 gene:PRUPE_8G002200 transcript:ONH89560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSSSAIFYDFLDRMRNPASLDLVRSIKSFIVSFSFYAANPENDGKKVQDFYTTMEDAIRDHPLWAGATDQEVDCAMEGLEKYVMTKLFSRTFSSTPEDVKIDLETSQKIHLLQTFLKPEHLDIPAVLRNEASWLLAEKELQKINAFKAPREKLLCVMNCCKVINNLLLNASMSENHVLAGADDFLPVLIYVMIKANPPQLHSNLKFIQLYRRQTKLVSEAAYYLTNLVSAKTFIFELNAKSLSIDEIEFEESMQAARMTNKETEKEATPTLEERTTSQGQTDPGPSARSHDKETSGASNYPYMDKEAGDLTIGDVERLLSVYKQVVTKYTGLCTAVKHLSLSRTEPHVTNLEARNVFLRQPEKTGKNSDQRVGQ >ONH89561 pep chromosome:Prunus_persica_NCBIv2:G8:176339:180643:-1 gene:PRUPE_8G002200 transcript:ONH89561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAIRDHPLWAGATDQEVDCAMEGLEKYVMTKLFSRTFSSTPEDVKIDLETSQKIHLLQTFLKPEHLDIPAVLRNEASWLLAEKELQKINAFKAPREKLLCVMNCCKVINNLLLNASMSENHVLAGADDFLPVLIYVMIKANPPQLHSNLKFIQLYRRQTKLVSEAAYYLTNLVSAKTFIFELNAKSLSIDEIEFEESMQAARMTNKETEKEATPTLEERTTSQGQTDPGPSARSHDKETSGASNYPYMDKEAGDLTIGDVERLLSVYKQVVTKYTGLCTAVKHLSLSRTEPHVTNLEARNVFLRQPEKTGKNSDQRVGQ >ONH89559 pep chromosome:Prunus_persica_NCBIv2:G8:176339:180643:-1 gene:PRUPE_8G002200 transcript:ONH89559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSSSAIFYDFLDRMRNPASLDLVRSIKSFIVSFSFYAANPENDGKKVQDFYTTMEDAIRDHPLWAGATDQEVDCAMEKIHLLQTFLKPEHLDIPAVLRNEASWLLAEKELQKINAFKAPREKLLCVMNCCKVINNLLLNASMSENHVLAGADDFLPVLIYVMIKANPPQLHSNLKFIQLYRRQTKLVSEAAYYLTNLVSAKTFIFELNAKSLSIDEIEFEESMQAARMTNKETEKEATPTLEERTTSQGQTDPGPSARSHDKETSGASNYPYMDKEAGDLTIGDVERLLSVYKQVVTKYTGLCTAVKHLSLSRTEPHVTNLEARNVFLRQPEKTGKNSDQRVGQ >ONH93523 pep chromosome:Prunus_persica_NCBIv2:G8:20847811:20850162:-1 gene:PRUPE_8G235500 transcript:ONH93523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVRMCECIAKHHPLLVYAFNKENETPLFLAALHGKKGAFLCLHYICSLHDDQQRYKYCRRKDGNTILHCAIARDYFDLAFQIIDLYEELSFYVNEEGNSPLHLLASKPYAFASGSRLGPWDQIIYYYINVDQLKRAERPGHWDDDKRTIKTFKDEKYPKYLENYQTCINFIRLLRHMAQVLVTKHDKGESPKNSADAENPAGTGPNTLDTGNLGSQSNDGTRRHRSLPGHYITCFEFVKLFLKAILLILFGWGPKEINKIRAMKERHKWSIQIMNELLKRGLMYAYENNGMHPQTAPSHKNDNDDQETWPYEIVDQYGDQVVTLGSINVYNQPIMNPPPQLQDDNNPKNEVGEKKKTLALAKRETPFLIAAKHGVTEMVEKILELFPVAIRDINAERKNVVLVAVENRQLHVYRLLLSKNIPNKDHMFSKVDNKGNSVLHLAARLGDHQPWLIHGPAFQMQWEIKWYRVRTLLNP >ONH93521 pep chromosome:Prunus_persica_NCBIv2:G8:20847014:20850162:-1 gene:PRUPE_8G235500 transcript:ONH93521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVRMCECIAKHHPLLVYAFNKENETPLFLAALHGKKGAFLCLHYICSLHDDQQRYKYCRRKDGNTILHCAIARDYFDLAFQIIDLYEELSFYVNEEGNSPLHLLASKPYAFASGSRLGPWDQIIYYYINVDQLKRAERPGHWDDDKRTIKTFKDEKYPKYLENYQTCINFIRLLRHMAQVLVTKHDKGESPKNSADAENPAGTGPNTLDTGNLGSQSNDGTRRHRSLPGHYITCFEFVKLFLKAILLILFGWGPKEINKIRAMKERHKWSIQIMNELLKRGLMYAYENNGMHPQTAPSHKNDNDDQETWPYEIVDQYGDQVVTLGSINVYNQPIMNPPPQLQDDNNPKNEVGEKKKTLALAKRETPFLIAAKHGVTEMVEKILELFPVAIRDINAERKNVVLVAVENRQLHVYRLLLSKNIPNKDHMFSKVDNKGNSVLHLAARLGDHQPWLIHGPAFQMQWEIKWYRIVKTSMPPRFFPRFNKENKTAKDIFKETHKELVKAGAAWLTKASESCTVMGALIATVAFATATTVPGGIKEITGRPTLENLPAFDIFAIASLIALCSSVTSMVIFLSILMSQYKEKEFGKQLPSKLLLGLTLLCVSMVSMLISFCAGHFFMLKEKLKHAAFPVYAITCMPLAIFAVGHFPLYFNMICANFNQVPFERGVTRVAPL >ONH93522 pep chromosome:Prunus_persica_NCBIv2:G8:20846985:20849192:-1 gene:PRUPE_8G235500 transcript:ONH93522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYTSIMQYPLPSILCVVTKHDKGESPKNSADAENPAGTGPNTLDTGNLGSQSNDGTRRHRSLPGHYITCFEFVKLFLKAILLILFGWGPKEINKIRAMKERHKWSIQIMNELLKRGLMYAYENNGMHPQTAPSHKNDNDDQETWPYEIVDQYGDQVVTLGSINVYNQPIMNPPPQLQDDNNPKNEVGEKKKTLALAKRETPFLIAAKHGVTEMVEKILELFPVAIRDINAERKNVVLVAVENRQLHVYRLLLSKNIPNKDHMFSKVDNKGNSVLHLAARLGDHQPWLIHGPAFQMQWEIKWYRIVKTSMPPRFFPRFNKENKTAKDIFKETHKELVKAGAAWLTKASESCTVMGALIATVAFATATTVPGGIKEITGRPTLENLPAFDIFAIASLIALCSSVTSMVIFLSILMSQYKEKEFGKQLPSKLLLGLTLLCVSMVSMLISFCAGHFFMLKEKLKHAAFPVYAITCMPLAIFAVGHFPLYFNMICANFNQVPFERGVTRVAPL >ONH92569 pep chromosome:Prunus_persica_NCBIv2:G8:18156857:18158589:-1 gene:PRUPE_8G181400 transcript:ONH92569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRKIPSSFEGRPIQSPGMMRHGPLPGLGLPPGHRPFEALPRPELLENKIAYQAAETKQLTGDNHRLADILAAEEEVQRFKAHMRSIQTESDIQPGGYTIL >ONH92570 pep chromosome:Prunus_persica_NCBIv2:G8:18157038:18157271:-1 gene:PRUPE_8G181400 transcript:ONH92570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRKIPSSFEGRPIQSPGMMRHGPLPGLGLPPGHRPFEALPRPELLENKIAYQAAETKQLTGDNHRLAVAATLLI >ONH92571 pep chromosome:Prunus_persica_NCBIv2:G8:18157038:18157271:-1 gene:PRUPE_8G181400 transcript:ONH92571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRKIPSSFEGRPIQSPGMMRHGPLPGLGLPPGHRPFEALPRPELLENKIAYQAAETKQLTGDNHRLAVAATLLI >ONH92199 pep chromosome:Prunus_persica_NCBIv2:G8:16989592:16991339:1 gene:PRUPE_8G162100 transcript:ONH92199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSATPLILLMLISLLAAIEGAQNSTELKWAKKLKTRKKTTSSETVTNLQFYFHDTLSGKTPSAVRVAQATDTEKSPTLFGALLMADDPLTETPDPNSKLVGRAQGLYGSSCQQELGLIMAMNFGFTDGMYNGSSISILGKNSAMNPVREMPVVAGTGVFRLGRGYAIAKTHWIDFTTGDAIVGYNVTVIH >ONH90145 pep chromosome:Prunus_persica_NCBIv2:G8:3392502:3400164:-1 gene:PRUPE_8G037100 transcript:ONH90145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKESTSSPLAQADSEDVPKSPPSSPNSSTREACYAVLQSWVSKKFMTGCMVLFPVAVTFFITWWFVQFVDGFFSPIYARLGVSIFGLGFVTSLAFIFLVGIFASSWMGATVFWIGEWFIKRMPFMKHIYSASKQISSAISPDQNTTAFKEVAIIRHPRLGEYAFGFITSSVTLQRDDGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSVREGIEIIISVGMTMPQVISPIERIPPRQNDRIPLNRIVSL >ONH90146 pep chromosome:Prunus_persica_NCBIv2:G8:3393362:3398792:-1 gene:PRUPE_8G037100 transcript:ONH90146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFPVAVTFFITWWFVQFVDGFFSPIYARLGVSIFGLGFVTSLAFIFLVGIFASSWMGATVFWIGEWFIKRMPFMKHIYSASKQISSAISPDQNTTAFKEVAIIRHPRLGEYAFGFITSSVTLQRDDGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSVREGIEIIISVGMTMPQVISPIERIPPRQNDRIPLNRIVSL >ONH91116 pep chromosome:Prunus_persica_NCBIv2:G8:12702117:12706305:-1 gene:PRUPE_8G094200 transcript:ONH91116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRVTRSYDAGEGTSERASYPCESVWMGHWMSTTCKSETKACGHVSIHYEDAQQNIHDFKKHALFRGFGEVTEPERVRKINEGCSLTESSKETRKERWEGQSFPMFSLPPKISLAKQDQQNSTVHAGVLSESNSPAMPAWALQATPTLPGGQVKYHNFLENNSPAVSESFPDELMRSDSEIVPHQFKGGSTSLPSFMCREEEINHSNSLLAPRQNVRNTINHSSSTLLAHERNINDNSISRRSEGSLSRQNDAVLVQHDPSRSSKQKPDFVGKNFWEMQNQSGVGLLPSSSSPQEVTKLENVYQGYCSRPSLQRSVHNMDTMRICATVNAEEELSGGPPKFSNTIHHFLFSKNIGVNLSEGAQVFGQSTVSKKLKGKASSELRGFSPEYVLPVQPGFKLLPYRSSTDSEGEEDVRDVKAPAVESSSETDIMGMDAFQDNLLPGVVASSPSDKHVERGEKSPTSQSAFTSGREEIGARLTYTKLFDINQVAPFASSAEERETSTSKTQSLDVEHLLSHAEQPTNSKSIASPEGPRGPEPGSRFLGKEQMALDQTATLLRNGESSSIDSGAKIQNITLSHPWIRRWSNKIASQKKSEAVVVFKSQRLKATVAEFQKKQFPSIAAMALMGKAMSGFHPCEFANKGSFVVWNTKGF >ONH91117 pep chromosome:Prunus_persica_NCBIv2:G8:12703215:12705736:-1 gene:PRUPE_8G094200 transcript:ONH91117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRVTRSYDAGEGTSERASYPCESVWMGHWMSTTCKSETKACGHVSIHYEDAQQNIHDFKKHALFRGFGEVTEPERVRKINEGCSLTESSKETRKERWEGQSFPMFSLPPKISLAKQDQQNSTVHAGVLSESNSPAMPAWALQATPTLPGGQVKYHNFLENNSPAVSESFPDELMRSDSEIVPHQFKGGSTSLPSFMCREEEINHSNSLLAPRQNVRNTINHSSSTLLAHERNINDNSISRRSEGSLSRQNDAVLVQHDPSRSSKQKPDFVGKNFWEMQNQSGVGLLPSSSSPQEVTKLENVYQGYCSRPSLQRSVHNMDTMRICATVNAEEELSGGPPKFSNTIHHFLFSKNIGVNLSEGAQVFGQSTVSKKLKGKASSELRGFSPEYVLPVQPGFKLLPYRSSTDSEGEEDVRDVKAPAVESSSETDIMGMDAFQDNLLPGVVASSPSDKHVERGEKSPTSQSAFTSGREEIGARLTYTKLFDINQVAPFASSAEERETSTSKTQSLDVEHLLSHAEQPTNSKSIASPEGPRGPEPGSRWVKRLKLSASQSAHGTKSSKMGEASSHEKVNKFSNRIMKCGITSSQPSMGRFLGKEQMALDQTATLLRNGESSSIDSGAKIQNITLSHPWIRRWSNKIASQKKSEAVVVFKSQRLKATVAEFQKKQFPSIAAMALMGKAMSGFHPCEFANKGSFVVWNTKGF >ONH91115 pep chromosome:Prunus_persica_NCBIv2:G8:12702116:12706305:-1 gene:PRUPE_8G094200 transcript:ONH91115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRVTRSYDAGEGTSERASYPCESVWMGHWMSTTCKSETKACGHVSIHYEDAQQNIHDFKKHALFRGFGEVTEPERVRKINEGCSLTESSKETRKERWEGQSFPMFSLPPKISLAKQDQQNSTVHAGVLSESNSPAMPAWALQATPTLPGGQVKYHNFLENNSPAVSESFPDELMRSDSEIVPHQFKGGSTSLPSFMCREEEINHSNSLLAPRQNVRNTINHSSSTLLAHERNINDNSISRRSEGSLSRQNDAVLVQHDPSRSSKQKPDFVGKNFWEMQNQSGVGLLPSSSSPQEVTKLENVYQGYCSRPSLQRSVHNMDTMRICATVNAEEELSGGPPKFSNTIHHFLFSKNIGVNLSEGAQVFGQSTVSKKLKGKASSELRGFSPEYVLPVQPGFKLLPYRSSTDSEGEEDVRDVKAPAVESSSETDIMGMDAFQDNLLPGVVASSPSDKVAPFASSAEERETSTSKTQSLDVEHLLSHAEQPTNSKSIASPEGPRGPEPGSRWVKRLKLSASQSAHGTKSSKMGEASSHEKVNKFSNRIMKCGITSSQPSMGRFLGKEQMALDQTATLLRNGESSSIDSGAKIQNITLSHPWIRRWSNKIASQKKSEAVVVFKSQRLKATVAEFQKKQFPSIAAMALMGKAMSGFHPCEFANKGSFVVWNTKGF >ONH92056 pep chromosome:Prunus_persica_NCBIv2:G8:16450118:16474209:-1 gene:PRUPE_8G151300 transcript:ONH92056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGQSIRFCGPASAGPSGGSFDALNRVLADLCTRGNPKEGASLALKKHLEEEARDLSGEAFSRFMDQLYDRISSLLESSDVAENLGALRAIDELIDVAFGENSSKVSKFANYIRTVFEVKRDPDILVLASRVLGHLARAGGAMTADEVERQIKIALGWLRGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPMLPIRERAVEALRACLGVIEKRETRWRVQWYYRMFEATQEGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRIPAERSSGFVALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMEPHVCGLLDVMFSAGLSPTLVEALEQITTSIPSLLPTIQDRLLDCISVVLSKSHHPQGRSAVGMGRGNLINMPQQVSDLSGSALVQLALQTLARFNFKGHDLLEFARESVVVYLDDDDGAVRKDAALCCCRLVANSFSGVQYASGRSNRGKRRRLVEEIVEKLLIEAVADADVIVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREFAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADTKCREESAKLLGCLIRNCERLILPYIAPIHKALVARLKDGTGVNANNGIISGVLVTVGDLARVGGFAMRRYIPELMPLIVDALLDGAAVTKREVAVATLGQVVQSTGYVITPYNEYPLLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHAHKRNQQCLPGPHGDVTRNASESGQHIQSVDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLATYHLKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHIVRTCDDALKDFITWKLGTLVSIVRQHVRKYLHELLILISELWSTFSFPAAGRPQLGYPVLHLVEQLCLALNDEFRTYLPDILPCCIQVLSDAERYNDYTYVLDILRTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTKLIPRVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRLQRREPLILGSTAAQRLSQRPPVEVITDRLSDLEIDPYDDGSDVQKQLRSHQVNDSRLRNAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNETSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTAKASQASSSHLVLDATLGRMRCLAALARWEELNNLFKEFWTPAEPAARLEMAPMAARAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYINMVRVQQLSELEEVIDYCTLPLGNAVAEGRRALIRNMWNERIQGAKRNVEVWQALLAVRALVLPPTEDVDTWLKFASLCRKSGRISQARSTLVKLLQYDPESSHESVRYHGPPQVMLAYLEYQWSLGEDLKRKEAFARLQNLAIELSSAPSMQPDTPTGLMSCSSPSVPLLARVYLRLGSWKWTLSSGLDDDSIQEILAAFRNATQYANKWARAWHTWALFNTAVMSLYTVRGYASVASQFVVAAVTGYFHSIACSANTKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFAHVNINTWLVVLPQIIARIHSNNRAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMNNNTTIKERAFIEAYRHELLEAYECCMKYKRTGKDAELTQAWDLYYHVFRRIDKQLQSLTTLDLESVSPELLECRNLELAVPGTYRAESPVVTIASFARQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRNTAEKDLSIQRYDVVPLSPNSGLIGWVPNCDTLHQLIREYRDARKITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQHTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKECVMAMMEAFVHDPLINWRLFNFNEVPQMSMLGNSHVPPVVDAEEPSQNRELPQPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSACSSVASSSIQHVVDHSTLISGDSREVDHGLSFKLQVQKLIIQATSHENLCQNYVG >ONH92059 pep chromosome:Prunus_persica_NCBIv2:G8:16459382:16474578:-1 gene:PRUPE_8G151300 transcript:ONH92059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGQSIRFCGPASAGPSGGSFDALNRVLADLCTRGNPKEGASLALKKHLEEEARDLSGEAFSRFMDQLYDRISSLLESSDVAENLGALRAIDELIDVAFGENSSKVSKFANYIRTVFEVKRDPDILVLASRVLGHLARAGGAMTADEVERQIKIALGWLRGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPMLPIRERAVEALRACLGVIEKRETRWRVQWYYRMFEATQEGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRIPAERSSGFVALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMEPHVCGLLDVMFSAGLSPTLVEALEQITTSIPSLLPTIQDRLLDCISVVLSKSHHPQGRSAVGMGRGNLINMPQQVSDLSGSALVQLALQTLARFNFKGHDLLEFARESVVVYLDDDDGAVRKDAALCCCRLVANSFSGVQYASGRSNRGKRRRLVEEIVEKLLIEAVADADVIVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREFAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADTKCREESAKLLGCLIRNCERLILPYIAPIHKALVARLKDGTGVNANNGIISGVLVTVGDLARVGGFAMRRYIPELMPLIVDALLDGAAVTKREVAVATLGQVVQSTGYVITPYNEYPLLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHAHKRNQQCLPGPHGDVTRNASESGQHIQSVDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLATYHLKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHIVRTCDDALKDFITWKLGTLVSIVRQHVRKYLHELLILISELWSTFSFPAAGRPQLGYPVLHLVEQLCLALNDEFRTYLPDILPCCIQVLSDAERYNDYTYVLDILRTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTKLIPRVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRLQRREPLILGSTAAQRLSQRPPVEVITDRLSDLEIDPYDDGSDVQKQLRSHQVNDSRLRNAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNETSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTAKASQASSSHLVLDATLGRMRCLAALARWEELNNLFKEFWTPAEPAARLEMAPMAARAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALQGLY >ONH92055 pep chromosome:Prunus_persica_NCBIv2:G8:16450092:16474572:-1 gene:PRUPE_8G151300 transcript:ONH92055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGQSIRFCGPASAGPSGGSFDALNRVLADLCTRGNPKEGASLALKKHLEEEARDLSGEAFSRFMDQLYDRISSLLESSDVAENLGALRAIDELIDVAFGENSSKVSKFANYIRTVFEVKRDPDILVLASRVLGHLARAGGAMTADEVERQIKIALGWLRGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPMLPIRERAVEALRACLGVIEKRETRWRVQWYYRMFEATQEGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRIPAERSSGFVALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMEPHVCGLLDVMFSAGLSPTLVEALEQITTSIPSLLPTIQDRLLDCISVVLSKSHHPQGRSAVGMGRGNLINMPQQVSDLSGSALVQLALQTLARFNFKGHDLLEFARESVVVYLDDDDGAVRKDAALCCCRLVANSFSGVQYASGRSNRGKRRRLVEEIVEKLLIEAVADADVIVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREFAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADTKCREESAKLLGCLIRNCERLILPYIAPIHKALVARLKDGTGVNANNGIISGVLVTVGDLARVGGFAMRRYIPELMPLIVDALLDGAAVTKREVAVATLGQVVQSTGYVITPYNEYPLLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHAHKRNQQCLPGPHGDVTRNASESGQHIQSVDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLATYHLKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHIVRTCDDALKDFITWKLGTLVSIVRQHVRKYLHELLILISELWSTFSFPAAGRPQLGYPVLHLVEQLCLALNDEFRTYLPDILPCCIQVLSDAERYNDYTYVLDILRTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTKLIPRVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRLQRREPLILGSTAAQRLSQRPPVEVITDRLSDLEIDPYDDGSDVQKQLRSHQVNDSRLRNAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNETSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTAKASQASSSHLVLDATLGRMRCLAALARWEELNNLFKEFWTPAEPAARLEMAPMAARAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYINMVRVQQLSELEEVIDYCTLPLGNAVAEGRRALIRNMWNERIQGAKRNVEVWQALLAVRALVLPPTEDVDTWLKFASLCRKSGRISQARSTLVKLLQYDPESSHESVRYHGPPQVMLAYLEYQWSLGEDLKRKEAFARLQNLAIELSSAPSMQPDTPTGLMSCSSPSVPLLARVYLRLGSWKWTLSSGLDDDSIQEILAAFRNATQYANKWARAWHTWALFNTAVMSLYTVRGYASVASQFVVAAVTGYFHSIACSANTKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFAHVNINTWLVVLPQIIARIHSNNRAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMNNNTTIKERAFIEAYRHELLEAYECCMKYKRTGKDAELTQAWDLYYHVFRRIDKQLQSLTTLDLESVSPELLECRNLELAVPGTYRAESPVVTIASFARQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRNTAEKDLSIQRYDVVPLSPNSGLIGWVPNCDTLHQLIREYRDARKITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQHTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKECVMAMMEAFVHDPLINWRLFNFNEVPQMSMLGNSHVPPVVDAEEPSQNRELPQPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSACSSVASSSIQHVVDHSTLISGDSREVDHGLSFKLQVQKLIIQATSHENLCQNYVGWCPFW >ONH92054 pep chromosome:Prunus_persica_NCBIv2:G8:16450094:16474573:-1 gene:PRUPE_8G151300 transcript:ONH92054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGQSIRFCGPASAGPSGGSFDALNRVLADLCTRGNPKEGASLALKKHLEEEARDLSGEAFSRFMDQLYDRISSLLESSDVAENLGALRAIDELIDVAFGENSSKVSKFANYIRTVFEVKRDPDILVLASRVLGHLARAGGAMTADEVERQIKIALGWLRGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPMLPIRERAVEALRACLGVIEKRETRWRVQWYYRMFEATQEGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRIPAERSSGFVALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMEPHVCGLLDVMFSAGLSPTLVEALEQITTSIPSLLPTIQDRLLDCISVVLSKSHHPQGRSAVGMGRGNLINMPQQVSDLSGSALVQLALQTLARFNFKGHDLLEFARESVVVYLDDDDGAVRKDAALCCCRLVANSFSGVQYASGRSNRGKRRRLVEEIVEKLLIEAVADADVIVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREFAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADTKCREESAKLLGCLIRNCERLILPYIAPIHKALVARLKDGTGVNANNGIISGVLVTVGDLARVGGFAMRRYIPELMPLIVDALLDGAAVTKREVAVATLGQVVQSTGYVITPYNEYPLLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHAHKRNQQCLPGPHGDVTRNASESGQHIQSVDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLATYHLKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHIVRTCDDALKDFITWKLGTLVSIVRQHVRKYLHELLILISELWSTFSFPAAGRPQLGYPVLHLVEQLCLALNDEFRTYLPDILPCCIQVLSDAERYNDYTYVLDILRTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTKLIPRVQVTGHISSLVHHLKKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRLQRREPLILGSTAAQRLSQRPPVEVITDRLSDLEIDPYDDGSDVQKQLRSHQVNDSRLRNAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNETSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTAKASQASSSHLVLDATLGRMRCLAALARWEELNNLFKEFWTPAEPAARLEMAPMAARAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYINMVRVQQLSELEEVIDYCTLPLGNAVAEGRRALIRNMWNERIQGAKRNVEVWQALLAVRALVLPPTEDVDTWLKFASLCRKSGRISQARSTLVKLLQYDPESSHESVRYHGPPQVMLAYLEYQWSLGEDLKRKEAFARLQNLAIELSSAPSMQPDTPTGLMSCSSPSVPLLARVYLRLGSWKWTLSSGLDDDSIQEILAAFRNATQYANKWARAWHTWALFNTAVMSLYTVRGYASVASQFVVAAVTGYFHSIACSANTKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFAHVNINTWLVVLPQIIARIHSNNRAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMNNNTTIKERAFIEAYRHELLEAYECCMKYKRTGKDAELTQAWDLYYHVFRRIDKQLQSLTTLDLESVSPELLECRNLELAVPGTYRAESPVVTIASFARQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRNTAEKDLSIQRYDVVPLSPNSGLIGWVPNCDTLHQLIREYRDARKITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQHTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKECVMAMMEAFVHDPLINWRLFNFNEVPQMSMLGNSHVPPVVDAEEPSQNRELPQPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSACSSVASSSIQHVVDHSTLISGDSREVDHGLSFKLQVQKLIIQATSHENLCQNYVGWCPFW >ONH92057 pep chromosome:Prunus_persica_NCBIv2:G8:16450781:16474209:-1 gene:PRUPE_8G151300 transcript:ONH92057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGQSIRFCGPASAGPSGGSFDALNRVLADLCTRGNPKEGASLALKKHLEEEARDLSGEAFSRFMDQLYDRISSLLESSDVAENLGALRAIDELIDVAFGENSSKVSKFANYIRTVFEVKRDPDILVLASRVLGHLARAGGAMTADEVERQIKIALGWLRGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPMLPIRERAVEALRACLGVIEKRETRWRVQWYYRMFEATQEGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRIPAERSSGFVALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMEPHVCGLLDVMFSAGLSPTLVEALEQITTSIPSLLPTIQDRLLDCISVVLSKSHHPQGRSAVGMGRGNLINMPQQVSDLSGSALVQLALQTLARFNFKGHDLLEFARESVVVYLDDDDGAVRKDAALCCCRLVANSFSGVQYASGRSNRGKRRRLVEEIVEKLLIEAVADADVIVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREFAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADTKCREESAKLLGCLIRNCERLILPYIAPIHKALVARLKDGTGVNANNGIISGVLVTVGDLARVGGFAMRRYIPELMPLIVDALLDGAAVTKREVAVATLGQVVQSTGYVITPYNEYPLLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHAHKRNQQCLPGPHGDVTRNASESGQHIQSVDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLATYHLKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHIVRTCDDALKDFITWKLGTLVSIVRQHVRKYLHELLILISELWSTFSFPAAGRPQLGYPVLHLVEQLCLALNDEFRTYLPDILPCCIQVLSDAERYNDYTYVLDILRTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTKLIPRVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRLQRREPLILGSTAAQRLSQRPPVEVITDRLSDLEIDPYDDGSDVQKQLRSHQVNDSRLRNAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNETSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTAKASQASSSHLVLDATLGRMRCLAALARWEELNNLFKEFWTPAEPAARLEMAPMAARAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYINMVRVQQLSELEEVIDYCTLPLGNAVAEGRRALIRNMWNERIQGAKRNVEVWQALLAVRALVLPPTEDVDTWLKFASLCRKSGRISQARSTLVKLLQYDPESSHESVRYHGPPQVMLAYLEYQWSLGEDLKRKEAFARLQNLAIELSSAPSMQPDTPTGLMSCSSPSVPLLARVYLRLGSWKWTLSSGLDDDSIQEILAAFRNATQYANKWARAWHTWALFNTAVMSLYTVRGYASVASQFVVAAVTGYFHSIACSANTKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFAHVNINTWLVVLPQIIARIHSNNRAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHELWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMNNNTTIKERAFIEAYRHELLEAYECCMKYKRTGKDAELTQAWDLYYHVFRRIDKQLQSLTTLDLESVSPELLECRNLELAVPGTYRAESPVVTIASFARQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRNTAEKDLSIQRYDVVPLSPNSGLIGWVPNCDTLHQLIREYRDARKITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQHTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKECVMAMMEVPLFMILSSIGVSSTSMKFHKCQCLEIAMFLLLWMLKNLLKIESFPNHNEVLVKGNSFRLLINWVMLMRS >ONH92058 pep chromosome:Prunus_persica_NCBIv2:G8:16455551:16474573:-1 gene:PRUPE_8G151300 transcript:ONH92058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGQSIRFCGPASAGPSGGSFDALNRVLADLCTRGNPKEGASLALKKHLEEEARDLSGEAFSRFMDQLYDRISSLLESSDVAENLGALRAIDELIDVAFGENSSKVSKFANYIRTVFEVKRDPDILVLASRVLGHLARAGGAMTADEVERQIKIALGWLRGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPMLPIRERAVEALRACLGVIEKRETRWRVQWYYRMFEATQEGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRIPAERSSGFVALGEMAGALDGELVHYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPAMEPHVCGLLDVMFSAGLSPTLVEALEQITTSIPSLLPTIQDRLLDCISVVLSKSHHPQGRSAVGMGRGNLINMPQQVSDLSGSALVQLALQTLARFNFKGHDLLEFARESVVVYLDDDDGAVRKDAALCCCRLVANSFSGVQYASGRSNRGKRRRLVEEIVEKLLIEAVADADVIVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREFAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADTKCREESAKLLGCLIRNCERLILPYIAPIHKALVARLKDGTGVNANNGIISGVLVTVGDLARVGGFAMRRYIPELMPLIVDALLDGAAVTKREVAVATLGQVVQSTGYVITPYNEYPLLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHAHKRNQQCLPGPHGDVTRNASESGQHIQSVDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLATYHLKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHIVRTCDDALKDFITWKLGTLVSIVRQHVRKYLHELLILISELWSTFSFPAAGRPQLGYPVLHLVEQLCLALNDEFRTYLPDILPCCIQVLSDAERYNDYTYVLDILRTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTKLIPRVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRLQRREPLILGSTAAQRLSQRPPVEVITDRLSDLEIDPYDDGSDVQKQLRSHQVNDSRLRNAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNETSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGARSKKMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTAKASQASSSHLVLDATLGRMRCLAALARWEELNNLFKEFWTPAEPAARLEMAPMAARAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYINMVRVQQLSELEEVIDYCTLPLGNAVAEGRRALIRNMWNERIQGAKRNVEVWQALLAVRALVLPPTEDVDTWLKFASLCRKSGRISQARSTLVKLLQYDPESSHESVRYHGPPQVMLAYLEYQWSLGEDLKRKEAFARLQNLAIELSSAPSMQPDTPTGLMSCSSPSVPLLARVYLRLGSWKWTLSSGLDDDSIQEILAAFRNATQYANKWARAWHTWALFNTAVMSLYTVRGYASVASQFVVAAVTGYFHSIACSANTKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFAHVNINTWLVVLPQIIARIHSNNRAVRELIQSLLVRIGQSHPQLNYDVLQKRPILVYLHNGLLCARAGYSSRHSLTPSLHNQ >ONH90233 pep chromosome:Prunus_persica_NCBIv2:G8:4333667:4339080:1 gene:PRUPE_8G041800 transcript:ONH90233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEVYQISWPTRDGDFSRNVLVRVYGEGVEVFFNRDDEIQTFECMSKHGQGPRLLGRFADGRVEEFIHARTLSAADLRDPEISAIIAAKLREFHNLDMPGPKNVVLWDRMRNWISEAKTLCSIKDTKEFGLDTLEEEISMLEKELSRDYQEIGFCHNDLQYGNIMMDEETRSITLIDYEYASYNPVAYDLANHFCEMVANYHCETPHILDYSNYPGLEERQRFVRIYLSSAGYQPSDADVDELVDKSEKYTLANHLFWGLWGIISGYVNKIDFDYVEYARQRFQQYWLRKPALLGSSGIYL >ONH90232 pep chromosome:Prunus_persica_NCBIv2:G8:4334357:4339068:1 gene:PRUPE_8G041800 transcript:ONH90232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEVYQISWPTRDGDFSRNVLVRVYGEGVEVFFNRDDEIQTFECMSKHGQGPRLLGRFADGRVEEFIHARTLSAADLRDPEISAIIAAKLREFHNLDMPGPKNVVLWDRMRNWISEAKTLCSIKDTKEFGLDTLEEEISMLEKELSRDYQEIGFCHNDLQYGNIMMDEETRSITLIDYEYASYNPVAYDLANHFCEMVANYHCETPHILDYSNYPGLEERQRFVRIYLSSAGYQPSDADVDELVDKSEKYTLANHLFWGLWGIISGYVNKIDFDYVEYARQRFQQYWLRKPALLGSSGIYL >ONH90231 pep chromosome:Prunus_persica_NCBIv2:G8:4334357:4339067:1 gene:PRUPE_8G041800 transcript:ONH90231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRVGLIKGCLPEGLKKVLVSVASEWGDVVDDLNALQVIPLKGAMTNEVYQISWPTRDGDFSRNVLVRVYGEGVEVFFNRDDEIQTFECMSKHGQGPRLLGRFADGRVEEFIHARTLSAADLRDPEISAIIAAKLREFHNLDMPGPKNVVLWDRMRNWISEAKTLCSIKDTKEFGLDTLEEEISMLEKELSRDYQEIGFCHNDLQYGNIMMDEETRSITLIDYEYASYNPVAYDLANHFCEMVANYHCETPHILDYSNYPGLEERQRFVRIYLSSAGYQPSDADVDELVDKSEKYTLANHLFWGLWGIISGYVNKIDFDYVEYARQRFQQYWLRKPALLGSSGIYL >ONH90230 pep chromosome:Prunus_persica_NCBIv2:G8:4333717:4339946:1 gene:PRUPE_8G041800 transcript:ONH90230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRVGLIKGCLPEGLKKVLVSVASEWGDVVDDLNALQVIPLKGAMTNEVYQISWPTRDGDFSRNVLVRVYGEGVEVFFNRDDEIQTFECMSKHGQGPRLLGRFADGRVEEFIHARTLSAADLRDPEISAIIAAKLREFHNLDMPGPKNVVLWDRMRNWISEAKTLCSIKDTKEFGLDTLEEEISMLEKELSRDYQEIGFCHNDLQYGNIMMDEETRSITLIDYEYASYNPVAYDLANHFCEMVANYHCETPHILDYSNYPGLEERQRFVRIYLSSAGYQPSDADVDELVDKSEKYTLANHLFWGLWGIISGYVNKIDFDYVEYARQRFQQYWLRKPALLGSSGIYL >ONH90161 pep chromosome:Prunus_persica_NCBIv2:G8:3743059:3744308:-1 gene:PRUPE_8G038200 transcript:ONH90161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLACYLPHEILVTILSLLPLKEAASTSILSRQWQYLWTSTMNLNFDDEDTLCRTARPPGGKAQELANLRYVNWVNSVVEQHRGPKVEQFRVSFHLDKRSSSSIDKWIRFAMKKGVQMLDIDFLEYGFHQSYTLFPHQVFGFKQGSAFEVPNLDPCMYTGFKSLKDLCFKNVDVAEEVLQYFLSNCPVLERLSVYNSRYVSRLRVVGTSIALKYLEIRECFMMESIEIRDANLVSFTYAGHTIINLLIKNVPRLVDVSICEPNNLFFEVVFTQLSYCLSQLETLKLTYNVLFRCDRIFPTMPNVRHLGLIFEGDDAFALVNLTYFLQACPYLHKLVLQVCVYS >ONH90826 pep chromosome:Prunus_persica_NCBIv2:G8:11061421:11065018:-1 gene:PRUPE_8G076400 transcript:ONH90826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSSPSLFPLHRCKTIHLVRHGQGIHNVEGAKNYKKLMKPEYFDAHLTPLGWQQVDNLRKHVHESGLSKRIELVITSPLLRALQTAVGVFGGEGYTDRMDILPLMVANAGYSERPSISSLNCPPIIAIELCREHLGVHPCDNRRSISDYQFLFPAVDFSLIESDEDILWKANVRELKEEVAARGLKFLNWLSTRKEKEIAIVTHGGFLFHTLSALLNDCDPLEKKEMCKHFTNCELRSMVIVDRSMTGSDSSTTNYPGKIPDGLDLPSDVASENLEKEKSNLV >ONH90828 pep chromosome:Prunus_persica_NCBIv2:G8:11062078:11066273:-1 gene:PRUPE_8G076400 transcript:ONH90828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSSPSLFPLHRCKTIHLVDNLRKHVHESGLSKRIELVITSPLLRALQTAVGVFGGEGYTDRMDILPLMVANAGYSERPSISSLNCPPIIAIELCREHLGVHPCDNRRSISDYQFLFPAVDFSLIESDEDILWKANVRELKEEVAARGLKFLNCFMTDQVYQGLQGALIKLILKPSEARSLLYGSHAPLLVLCLILHKFLGF >ONH90822 pep chromosome:Prunus_persica_NCBIv2:G8:11060499:11066275:-1 gene:PRUPE_8G076400 transcript:ONH90822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSSPSLFPLHRCKTIHLVDNLRKHVHESGLSKRIELVITSPLLRALQTAVGVFGGEGYTDRMDILPLMVANAGYSERPSISSLNCPPIIAIELCREHLGVHPCDNRRSISDYQFLFPAVDFSLIESDEDILWKANVRELKEEVAARGLKFLNWLSTRKEKEIAIVTHGGFLFHTLSALLNDCDPLEKKEMCKHFTNCELRSMVIVDRSMTGSDSSTTNYPGKIPDGLDLPSDVASENLEKEKSNLV >ONH90825 pep chromosome:Prunus_persica_NCBIv2:G8:11061421:11065018:-1 gene:PRUPE_8G076400 transcript:ONH90825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSSPSLFPLHRCKTIHLVRHGQGIHNVEGAKNYKKLMKPEYFDAHLTPLGWQQVDNLRKHVHESGLSKRIELVITSPLLRALQTAVGVFGGEGYTDRMDILPLMVANAGYSERPSISSLNCPPIIAIELCREHLGVHPCDNRRSISDYQFLFPAVDFSLIESDEDILWKANVRELKEEVAARGLKFLNWLSTRKEKEIAIVTHGGFLFHTLSALLNDCDPLEKKEMCKHFTNCELRSMVIVDRSMTGSDSSTTNYPGKIPDGLDLPSDVASENLEKEKSNLV >ONH90824 pep chromosome:Prunus_persica_NCBIv2:G8:11060499:11066298:-1 gene:PRUPE_8G076400 transcript:ONH90824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSSPSLFPLHRCKTIHLVRHGQGIHNVEGAKNYKKLMKPEYFDAHLTPLGWQQVDNLRKHVHESGLSKRIELVITSPLLRALQTAVGVFGGEGYTDRMDILPLMVANAGYSERPSISSLNCPPIIAIELCREHLGVHPCDNRRSISDYQFLFPAVDFSLIESDEDILWKANVRELKEEVAARGLKFLNWLSTRKEKEIAIVTHGGFLFHTLSALLNDCDPLEKKEMCKHFTNCELRSMVIVDRSMTGSDSSTTNYPGKIPDGLDLPSDVASENLEKEKSNLV >ONH90829 pep chromosome:Prunus_persica_NCBIv2:G8:11062078:11066275:-1 gene:PRUPE_8G076400 transcript:ONH90829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSSPSLFPLHRCKTIHLVRHGQGIHNVEGAKNYKKLMKPEYFDAHLTPLGWQQVDNLRKHVHESGLSKRIELVITSPLLRALQTAVGVFGGEGYTDRMDILPLMVANAGYSERPSISSLNCPPIIAIELCREHLGVHPCDNRRSISDYQFLFPAVDFSLIESDEDILWKANVRELKEEVAARGLKFLNCFMTDQVYQGLQGALIKLILKPSEARSLLYGSHAPLLVLCLILHKFLGF >ONH90821 pep chromosome:Prunus_persica_NCBIv2:G8:11060499:11066273:-1 gene:PRUPE_8G076400 transcript:ONH90821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSSPSLFPLHRCKTIHLVDNLRKHVHESGLSKRIELVITSPLLRALQTAVGVFGGEGYTDRMDILPLMVANAGYSERPSISSLNCPPIIAIELCREHLIESDEDILWKANVRELKEEVAARGLKFLNWLSTRKEKEIAIVTHGGFLFHTLSALLNDCDPLEKKEMCKHFTNCELRSMVIVDRSMTGSDSSTTNYPGKIPDGLDLPSDVASENLEKEKSNLV >ONH90823 pep chromosome:Prunus_persica_NCBIv2:G8:11061421:11065018:-1 gene:PRUPE_8G076400 transcript:ONH90823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSSPSLFPLHRCKTIHLVRHGQGIHNVEGAKNYKKLMKPEYFDAHLTPLGWQQVDNLRKHVHESGLSKRIELVITSPLLRALQTAVGVFGGEGYTDRMDILPLMVANAGYSERPSISSLNCPPIIAIELCREHLIESDEDILWKANVRELKEEVAARGLKFLNWLSTRKEKEIAIVTHGGFLFHTLSALLNDCDPLEKKEMCKHFTNCELRSMVIVDRSMTGSDSSTTNYPGKIPDGLDLPSDVASENLEKEKSNLV >ONH90827 pep chromosome:Prunus_persica_NCBIv2:G8:11060499:11066315:-1 gene:PRUPE_8G076400 transcript:ONH90827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSSPSLFPLHRCKTIHLVRHGQGIHNVEGAKNYKKLMKPEYFDAHLTPLGWQQVDNLRKHVHESGLSKRIELVITSPLLRALQTAVGVFGGEGYTDRMDILPLMVANAGYSERPSISSLNCPPIIAIELCREHLGVHPCDNRRSISDYQFLFPAVDFSLIESDEDILWKANVRELKEEVAARGLKFLNWLSTRKEKEIAIVTHGGFLFHTLSALLNDCDPLEKKEMCKHFTNCELRSMVIVDRSMTGSDSSTTNYPGKIPDGLDLPSDVASENLEKEKSNLV >ONH90830 pep chromosome:Prunus_persica_NCBIv2:G8:11062160:11065018:-1 gene:PRUPE_8G076400 transcript:ONH90830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSSPSLFPLHRCKTIHLVRHGQGIHNVEGAKNYKKLMKPEYFDAHLTPLGWQQVDNLRKHVHESGLSKRIELVITSPLLRALQTAVGVFGGEGYTDRMDILPLMVANAGYSERPSISSLNCPPIIAIELCREHLGVHPCDNRRSISDYQFLFPAVDFSLIESDEDILWKANVRELKEEVAARGLKFLNCFMTDQVYQGLQGALIKLILKPSEARSLLYGSHAPLLVLCLILHKFLGF >ONH90031 pep chromosome:Prunus_persica_NCBIv2:G8:2963581:2966545:-1 gene:PRUPE_8G031300 transcript:ONH90031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLELRLKITHNIDDHVSSANFQIAKDNSDPLFVSKETETTFVLTGHLKGYRRQHIEIDINEDGTQIAISGKKPVQEKVMIRWIMYKKEVEIRSFRKVFRIPDGVVLDRIKAKFKEHESTLAIVMPKSEKGIRGVGIEEVKDDGVDKGLETQQMAQPAAEEVPEKNGSRGKLEVEFVEAEEKKMEENRQIKGKEVDEEVSKKETVADGVLGKDMSGGKNQEEARASKIQSMQETEKSVSRNREEPKMARIAKIEEADGVEKETVARKELGTEQIVTDYKVPKTEDAKETMQEDTGTRVSQEVAKPTQETQATIHHTEQKGSELPKLEEQVQKQRSPEADLSKKRNAGLEDDIRSRRESSDSSKPAGDTLTTQQEAQAQQIETTDGISTEADVAQLQKQEPIKECPASNQLPVKAEGSHGNEIQEAGTNEEHMKEKEVEKSGDDLEKTPPRLEKSNLLCSPFIIAGSALIVSLVMVAINRIRTRKR >ONH92109 pep chromosome:Prunus_persica_NCBIv2:G8:16704906:16709354:1 gene:PRUPE_8G156000 transcript:ONH92109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLLQASISSSSSAFFANTKINFNNRAQNVSLPAKPVGVCTCVATPQAEKTAFKTQVSRNVNIAKLQAGYLFPEIARRRAAHLQKYPDAQVISLGIGDTTEPIPEVITSAMAKRSHALSTLEGYSGYGAEQGEKPLRAAIASTFYDNLDIEEDDIFVSDGAKCDISRLQVVFGSNVTMAVQDPSYPAYVDSSVIMGQTGEYQKAVEKFGNIEYMRCTPENGFFPDLRTVSRTDIIFFCSPNNPTGSAATREQLTQLVQFAKDNGSIIVYDSAYAMYMSDDNPRSIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFNRIVCTCFNGASNISQAGGLACLSPEGLKAMHEVIGFYKENTNIIVETFESLGFKVYGGKNAPYVWVHFPGRSSWDVFSEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRSNVLEACKRFKQLYK >ONH90831 pep chromosome:Prunus_persica_NCBIv2:G8:11095500:11098682:1 gene:PRUPE_8G076500 transcript:ONH90831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLLSPLFPWLILLTLLLLFIYSSLLPFHTPSPPFPQHKKIPTLPSCNLFNGHWVQDPNRRPMYDETCPFHRNAWNCLRNKRDNMGTINSWKWVPQDCVLHRIDPVRFLGLMRDRNIGFVGDSLNENFLVSFLCTLRVADLGAKKWKRKGAWRGAYFPKFNVTVGYHRAVLLARYEWQPKQPAHGDQDGVKGIYRVDVDIPADDWADIADFYDVLVFNTGHWWSSDKFPKETPLSFYRAGKPILPPLDILDGFKVVLENMVSYIQNKLPQKTLKLWRLQSPRHFYGGEWNQNGSCLFNKPLEEQQLDLWFDPSNNGPNKEERLLNHLIEDTLQRTDIQLLNLTYLSEFRVDAHPAIWLGQKDAVAIWGQDCMHWCLPGVPDTWVDILTELIRIGLEIG >ONH90833 pep chromosome:Prunus_persica_NCBIv2:G8:11095500:11098682:1 gene:PRUPE_8G076500 transcript:ONH90833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLLSPLFPWLILLTLLLLFIYSSLLPFHTPSPPFPQHKKIPTLPSCNLFNGHWVQDPNRRPMYDETCPFHRNAWNCLRNKRDNMGTINSWKWVPQDCVLHRIDPVRFLGLMRDRNIGFVGDSLNENFLVSFLCTLRVADLGAKKWKRKGAWRGAYFPKFNVTVGYHRAVLLARYEWQPKQPAHGDQDGVKGIYRVDVDIPADDWADIADFYDVLVFNTGHWWSSDKFPKETPLSFYRAGKPILPPLDILDGFKVVLENMVSYIQNKLPQKTLKLWRLQSPRHFYGGEWNQNGSCLFNKPLEEQQTMPCAPPVFMRKSYSNTSTA >ONH90834 pep chromosome:Prunus_persica_NCBIv2:G8:11095500:11098682:1 gene:PRUPE_8G076500 transcript:ONH90834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLLSPLFPWLILLTLLLLFIYSSLLPFHTPSPPFPQHKKIPTLPSCNLFNGHWVQDPNRRPMYDETCPFHRNAWNCLRNKRDNMGTINSWKWVPQDCVLHRIDPVRFLGLMRDRNIGFVGDSLNENFLVSFLCTLRVADLGAKKWKRKGAWRGAYFPKFNVTVGYHRAVLLARYEWSSDKFPKETPLSFYRAGKPILPPLDILDGFKVVLENMVSYIQNKLPQKTLKLWRLQSPRHFYGGEWNQNGSCLFNKPLEEQQTMPCAPPVFMRKSYSNTSTA >ONH90832 pep chromosome:Prunus_persica_NCBIv2:G8:11095500:11098682:1 gene:PRUPE_8G076500 transcript:ONH90832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLLSPLFPWLILLTLLLLFIYSSLLPFHTPSPPFPQHKKIPTLPSCNLFNGHWVQDPNRRPMYDETCPFHRNAWNCLRNKRDNMGTINSWKWVPQDCVLHRIDPVRFLGLMRDRNIGFVGDSLNENFLVSFLCTLRVADLGAKKWKRKGAWRGAYFPKFNVTVGYHRAVLLARYEWSSDKFPKETPLSFYRAGKPILPPLDILDGFKVVLENMVSYIQNKLPQKTLKLWRLQSPRHFYGGEWNQNGSCLFNKPLEEQQLDLWFDPSNNGPNKEERLLNHLIEDTLQRTDIQLLNLTYLSEFRVDAHPAIWLGQKDAVAIWGQDCMHWCLPGVPDTWVDILTELIRIGLEIG >ONH90835 pep chromosome:Prunus_persica_NCBIv2:G8:11095605:11096830:1 gene:PRUPE_8G076500 transcript:ONH90835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLLSPLFPWLILLTLLLLFIYSSLLPFHTPSPPFPQHKKIPTLPSCNLFNGHWVQDPNRRPMYDETCPFHRNAWNCLRNKRDNMGTINSWKWVPQDCVLHRIDPVRFLGLMRDRNIGFVGDSLNENFLVSFLCTLRVADLGAKKWKRKGAWRGAYFPKFNVTVGYHRAVLLARYEWQPKQPAHGDQDGVKGIYRVDVDIPADDWADIADFYDVLVFNTGHW >ONH90836 pep chromosome:Prunus_persica_NCBIv2:G8:11095605:11096830:1 gene:PRUPE_8G076500 transcript:ONH90836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLLSPLFPWLILLTLLLLFIYSSLLPFHTPSPPFPQHKKIPTLPSCNLFNGHWVQDPNRRPMYDETCPFHRNAWNCLRNKRDNMGTINSWKWVPQDCVLHRIDPVRFLGLMRDRNIGFVGDSLNENFLVSFLCTLRVADLGAKKWKRKGAWRGAYFPKFNVTVGYHRAVLLARYEWQPKQPAHGDQDGVKGIYRVDVDIPADDWADIADFYDVLVFNTGHW >ONH91790 pep chromosome:Prunus_persica_NCBIv2:G8:15663690:15675408:-1 gene:PRUPE_8G136700 transcript:ONH91790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVAFTVDHLTDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEVYNEFKIFLTSIQQCLSLSSGICH >ONH91783 pep chromosome:Prunus_persica_NCBIv2:G8:15663690:15675408:-1 gene:PRUPE_8G136700 transcript:ONH91783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRFTMNSKFFLQVYNNVFPYLQASVTESYGGNLHI >ONH91777 pep chromosome:Prunus_persica_NCBIv2:G8:15663690:15675408:-1 gene:PRUPE_8G136700 transcript:ONH91777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVAFTVDHLTDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRHLSLRAMAETCTFS >ONH91778 pep chromosome:Prunus_persica_NCBIv2:G8:15663690:15675408:-1 gene:PRUPE_8G136700 transcript:ONH91778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVAFTVDHLTDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRHLSLRAMAETCTFS >ONH91784 pep chromosome:Prunus_persica_NCBIv2:G8:15663690:15675408:-1 gene:PRUPE_8G136700 transcript:ONH91784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVAFTVDHLTDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRFTMNSKFFLQVYNNVFPYLQASVTESYGGNLHI >ONH91786 pep chromosome:Prunus_persica_NCBIv2:G8:15664902:15675200:-1 gene:PRUPE_8G136700 transcript:ONH91786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVAFTVDHLTDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRFTMNSKFFLQVYNNVFPYLQASVTESYGGNLHI >ONH91782 pep chromosome:Prunus_persica_NCBIv2:G8:15664902:15675200:-1 gene:PRUPE_8G136700 transcript:ONH91782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRFTMNSKFFLQVYNNVFPYLQASVTESYGGNLHI >ONH91785 pep chromosome:Prunus_persica_NCBIv2:G8:15664902:15675200:-1 gene:PRUPE_8G136700 transcript:ONH91785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVAFTVDHLTDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRFTMNSKFFLQVYNNVFPYLQASVTESYGGNLHI >ONH91787 pep chromosome:Prunus_persica_NCBIv2:G8:15664902:15675200:-1 gene:PRUPE_8G136700 transcript:ONH91787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVAFTVDHLTDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRFTMNSKFFLQVYNNVFPYLQASVTESYGGNLHI >ONH91789 pep chromosome:Prunus_persica_NCBIv2:G8:15664930:15675200:-1 gene:PRUPE_8G136700 transcript:ONH91789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVAFTVDHLTDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEVYNEFKIFLTSIQQCLSLSSGICH >ONH91780 pep chromosome:Prunus_persica_NCBIv2:G8:15664902:15675200:-1 gene:PRUPE_8G136700 transcript:ONH91780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRFTMNSKFFLQVYNNVFPYLQASVTESYGGNLHI >ONH91779 pep chromosome:Prunus_persica_NCBIv2:G8:15663657:15675408:-1 gene:PRUPE_8G136700 transcript:ONH91779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVAFTVDHLTDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRHLSLRAMAETCTFS >ONH91781 pep chromosome:Prunus_persica_NCBIv2:G8:15663690:15675408:-1 gene:PRUPE_8G136700 transcript:ONH91781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRFTMNSKFFLQVYNNVFPYLQASVTESYGGNLHI >ONH91788 pep chromosome:Prunus_persica_NCBIv2:G8:15664902:15675200:-1 gene:PRUPE_8G136700 transcript:ONH91788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSSRSAGSSGQRSKRSFNSNSSNHSANSNSKKKKTTNQKTLGASWGANSHSSSRSSFKKSPFSDFGSYMVEKNRKLHNQFDSEASSSSHNGLNTGKNIFRGVSIFVDGYTVPSSQELRGYMLNYGGRYENYFSRHRVTHIICSNLPDSKVKNLRSFSGGLPVVKPSWVLDSISANKLLSWVPYQLDQLACNQPRLSAFFAPKIIPDSGDALRDAANQVKYENEDTSLVEARLEDADESEVCRSTEHRWQISGESDNVMFEKNNEESGQELHISSVKDCEMRIVEMTTSAAEDDGSVKDELQYSTHQTSVSASSCRLPTSSNAGSNQSHATLGDPNFVENYFKSSRLHFIGTWRNRYRKRFPRSSKGFKRTEPNLSASASPTAIIHIDMDCFFVSVVIRKRSELKDRPVAVCHSDSPKGTAEISSANYPARDYGVKAGMFVRNAKALCPHLVIIPYDFEAYEEVADQFYDILHKHSNKVQAVSCDEAFLDVTDAEGLDPEVLASTVRKEIFEATGCTASAGISRNMLMARLATRTAKPDGQCYISPEKVDDYLHQLPIKELPGIGYTLEEKLKKQNVQTCGQLRMISKDSLQKDFGMKTGEMLWNHSRGIDNRLVGVIQESKSIGAEVNWGVRFKDLKDSQYFLSNLCKEVSLRLQGCGVLGRTFTLKIKKRRKDAGEPVKYMGHGDCENLSHSVTVPVATDDVEVLQRIAKQLFGSFSIDVKEIRGIGLQVSKLENADTSKQGFGKNSLKSWLMPASRSREEQSNFHSVAGERVNVAFTVDHLTDCEDRRTDGTSGQLCDDSLGVQTPVGNHQSSGEPTLNQVSAPPPLCHLDLGVIECLPPEIFTELNGIYGGVLVDFVAKNKRENTSATVSHKQANGARNGGGRPLFNDVVPGNEIAVENEQSVVEKQAIPSFVGESSHVAVSTSGPGNTDIMPSSLSQVDTSVLQQLPEELRVDILEQLPAHRRHDVSSSAALGPLVEKPIESLDVSNGDHSGPSDPALNHTLWIGNPPGWVDEFKSSKCMVLNVLAEMYYKSGSSGNLSAILRNTILESHYPLDSSSDSWIEAVYSFSDLLRQYIKSKIDSDIEEIYVCFRLLKRFTMNSKFFLQVYNNVFPYLQASVTESYGGNLHI >ONH91206 pep chromosome:Prunus_persica_NCBIv2:G8:13024101:13025252:-1 gene:PRUPE_8G098600 transcript:ONH91206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCAILALFSLLLFVKTTYSRRDVGKYWKNVMKEQPMPQAIEGLLVDISDSTPKEKADCHEKVKKPFVEVDVEVEEFEPKPNALVYNAVAAKEDKQPFVKDFEPRPNALVYNAFAAKEDKQPFVKDIEPRPNALVYNAFAAKEDKQPFVKDFEPRPNALVYNAFAAKEDKQPFVKDFEPRPNAKFAAKEDQQPFVKDFEPRPNALVYND >ONH91204 pep chromosome:Prunus_persica_NCBIv2:G8:13023889:13025499:-1 gene:PRUPE_8G098600 transcript:ONH91204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCAILALFSLLLFVKTTYSRRDVGKYWKNVMKEQPMPQAIEGLLVDISDSTPKEKADCHEKVKKPFVEVDVEVEEFEPKPNALVYNAVAAKEDKQPFVKDFEPRPNALVYNAFAAKEDKQPFVKDFEPRPNAKFAAKEDQQPFVKDFEPRPNALVYND >ONH91205 pep chromosome:Prunus_persica_NCBIv2:G8:13024101:13025252:-1 gene:PRUPE_8G098600 transcript:ONH91205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCAILALFSLLLFVKTTYSRRDVGKYWKNVMKEQPMPQAIEGLLVDISDSTPKEKADCHEKVKKPFVEVDVEVEEFEPKPNALVYNAVAAKEDKQPFVKDFEPRPNALVYNAFAAKEDKQPFVKDFEPRPNALVYNAFAAKEDKQPFVKDFEPRPNAKFAAKEDQQPFVKDFEPRPNALVYND >ONH91207 pep chromosome:Prunus_persica_NCBIv2:G8:13024101:13025252:-1 gene:PRUPE_8G098600 transcript:ONH91207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCAILALFSLLLFVKTTYSRRDVGKYWKNVMKEQPMPQAIEGLLVDISDSTPKEKADCHEKVKKPFVEVDVEVEEFEPKPNALVYNAVAAKEDKQPFVKDFEPRPNALVYNAFAAKEDKQPFVKDIEPRPNALVYNAFAAKEDKQPFVKDFEPRPNALVYNAFAAKEDKQPFVKDFEPRPNALVYNAFAAKEDKQPFVKDFEPRPNAKFAAKEDQQPFVKDFEPRPNALVYND >ONH93157 pep chromosome:Prunus_persica_NCBIv2:G8:19820228:19827168:1 gene:PRUPE_8G216300 transcript:ONH93157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKPKVQAALKAMAELGINEKQVKPVLKRLFKLFDKNWELIEAENYRVLIDAIFDEEENEVVEEKKNCRNYDEEDMEEEPQVRHEASRTSKRLHSSGHESSSQKKKSTNADLESDMEEELPLPHQRERPLKRLRKSHEGQVSPFPNTCNPMLGDTSSVRPKVEKDELLGTRSPQQPRDITRSPESRAELQQPISPHIGNKNKGKQPVMSKPLAPHGVRFKELVVAEPGIILLPKQNINTHQLLKPKDEPFTDDMAQDEVPIAAILPDPSSEENPILQDGATVEQNGQEHVASQEKESTTNGIQASYNEGNTNSELATIEEESPSNLEIASSPLGEDGSISVAPNLDALRKTTAWDAGGGTKELLCMQSFSLNGSVSIEHPTVVTAPQVPRLPLSLNGFGECREACGRTASNGFSEVNKEGGLEDSRDLVVVQQSDLTTDDLRAYHDINDITKGAERVTIPWVNEMNSECPLSFFYISRSLVFQDADVNFCLSGIGDGDCCSTCLGDCLSVPVRCACACQTGGEFAYTPEGLVKDDFLEECISMTRSPQQHHPLYCKSCPLERVKNDDCLEPCKGHSRRKFIKECWSKCGCVMQCGNRVVQRGLNCKLQVFFTSDGKGWGLRTLEDLPKGAFVCEYVGEVLTSKELQERNIQSARSGKRPYPVLLDANWGLKADLRNEEALCLDATKYGNVARFINHRCLDANLVEIPVEVETPDHCYYHIAFFTTRKVDALEELNWDYGIDFDDHDHPVKVFQCRCGSKFCRNMKRSNRSRSASIAA >ONH93160 pep chromosome:Prunus_persica_NCBIv2:G8:19820200:19827172:1 gene:PRUPE_8G216300 transcript:ONH93160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKPKVQAALKAMAELGINEKQVKPVLKRLFKLFDKNWELIEAENYRVLIDAIFDEEENEVVEEKKNCRNYDEEDMEEEPQVRHEASRTSKRLHSSGHESSSQKKKSTNADLESDMEEELPLPHQRERPLKRLRKSHEGQVSPFPNTCNPMLGDTSSVRPKVEKDELLGTRSPQQPRDITRSPESRAELQQPISPHIGNKNKGKQPVMSKPLAPHGVRFKELVVAEPGIILLPKQNINTHQLLKPKDEPFTDDMAQDEVPIAAILPDPSSEENPILQDGATVEQNGQEHVASQEKESTTNGIQASYNEGNTNSELATIEEESPSNLEIASSPLGEDGSISVAPNLDALRKTTAWDAGGGTKELLCMQSFSLNGSVSIEHPTVVTAPQVPRLPLSLNGFGECREACGRTASNGFSEVNKEGGLEDSRDLVVVQQSDLTTDDLRAYHDINDITKGAERVTIPWVNEMNSECPLSFFYISRSLVFQDADVNFCLSGIGDGDCCSTCLGDCLSVPVRCACACQTGGEFAYTPEGLVKDDFLEECISMTRSPQQHHPLYCKSCPLERVKNDDCLEPCKGHSRRKFIKECWSKCGCVMQCGNRVVQRGLNCKLQVFFTSDGKGWGLRTLEDLPKGAFVCEYVGEVLTSKELQERNIQSARSGKRPYPVLLDANWGLKADLRNEEALCLDATKYGNVARFINHRCLDANLVEIPVEVETPDHCYYHIAFFTTRKVDALEELNWDYGIDFDDHDHPVKVFQCRCGSKFCRNMKRSNRSRSASIAA >ONH93158 pep chromosome:Prunus_persica_NCBIv2:G8:19820948:19827173:1 gene:PRUPE_8G216300 transcript:ONH93158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKPKVQAALKAMAELGINEKQVKPVLKRLFKLFDKNWELIEAENYRVLIDAIFDEEENEVVEEKKNCRNYDEEDMEEEPQVRHEASRTSKRLHSSGHESSSQKKKSTNADLESDMEEELPLPHQRERPLKRLRKSHEGQVSPFPNTCNPMLGDTSSVRPKVEKDELLGTRSPQQPRDITRSPESRAELQQPISPHIGNKNKGKQPVMSKPLAPHGVRFKELVVAEPGIILLPKQNINTHQLLKPKDEPFTDDMAQDEVPIAAILPDPSSEENPILQDGATVEQNGQEHVASQEKESTTNGIQASYNEGNTNSELATIEEESPSNLEIASSPLGEDGSISVAPNLDALRKTTAWDAGGGTKELLCMQSFSLNGSVSIEHPTVVTAPQVPRLPLSLNGFGECREACGRTASNGFSEVNKEGGLEDSRDLVVVQQSDLTTDDLRAYHDINDITKGAERVTIPWVNEMNSECPLSFFYISRSLVFQDADVNFCLSGIGDGDCCSTCLGDCLSVPVRCACACQTGGEFAYTPEGLVKDDFLEECISMTRSPQQHHPLYCKSCPLERVKNDDCLEPCKGHSRRKFIKECWSKCGCVMQCGNRVVQRGLNCKLQVFFTSDGKGWGLRTLEDLPKGAFVCEYVGEVLTSKELQERNIQSARSGKRPYPVLLDANWGLKADLRNEEALCLDATKYGNVARFINHRCLDANLVEIPVEVETPDHCYYHIAFFTTRKVDALEELNWDYGIDFDDHDHPVKVFQCRCGSKFCRNMKRSNRSRSASIAA >ONH93155 pep chromosome:Prunus_persica_NCBIv2:G8:19820228:19827168:1 gene:PRUPE_8G216300 transcript:ONH93155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKPKVQAALKAMAELGINEKQVKPVLKRLFKLFDKNWELIEAENYRVLIDAIFDEEENEVVEEKKNCRNYDEEDMEEEPQVRHEASRTSKRLHSSGHESSSQKKKSTNADLESDMEEELPLPHQRERPLKRLRKSHEGQVSPFPNTCNPMLGDTSSVRPKVEKDELLGTRSPQQPRDITRSPESRAELQQPISPHIGNKNKGKQPVMSKPLAPHGVRFKELVVAEPGIILLPKQNINTHQLLKPKDEPFTDDMAQDEVPIAAILPDPSSEENPILQDGATVEQNGQEHVASQEKESTTNGIQASYNEGNTNSELATIEEESPSNLEIASSPLGEVKLSFSCNSAIGRPDFHMPNLDAVIKLTEEKCLHSYKIIDPNFSLKNLLAHMCESFLELGSNSNSESQDGSISVAPNLDALRKTTAWDAGGGTKELLCMQSFSLNGSVSIEHPTVVTAPQVPRLPLSLNGFGECREACGRTASNGFSEVNKEGGLEDSRDLVVVQQSDLTTDDLRAYHDINDITKGAERVTIPWVNEMNSECPLSFFYISRSLVFQDADVNFCLSGIGDGDCCSTCLGDCLSVPVRCACACQTGGEFAYTPEGLVKDDFLEECISMTRSPQQHHPLYCKSCPLERVKNDDCLEPCKGHSRRKFIKECWSKCGCVMQCGNRVVQRGLNCKLQVFFTSDGKGWGLRTLEDLPKGAFVCEYVGEVLTSKELQERNIQSARSGKRPYPVLLDANWGLKADLRNEEALCLDATKYGNVARFINHRCLDANLVEIPVEVETPDHCYYHIAFFTTRKVDALEELNWDYGIDFDDHDHPVKVFQCRCGSKFCRNMKRSNRSRSASIAA >ONH93156 pep chromosome:Prunus_persica_NCBIv2:G8:19820102:19827181:1 gene:PRUPE_8G216300 transcript:ONH93156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKPKVQAALKAMAELGINEKQVKPVLKRLFKLFDKNWELIEAENYRVLIDAIFDEEENEVVEEKKNCRNYDEEDMEEEPQVRHEASRTSKRLHSSGHESSSQKKKSTNADLESDMEEELPLPHQRERPLKRLRKSHEGQVSPFPNTCNPMLGDTSSVRPKVEKDELLGTRSPQQPRDITRSPESRAELQQPISPHIGNKNKGKQPVMSKPLAPHGVRFKELVVAEPGIILLPKQNINTHQLLKPKDEPFTDDMAQDEVPIAAILPDPSSEENPILQDGATVEQNGQEHVASQEKESTTNGIQASYNEGNTNSELATIEEESPSNLEIASSPLGEVKLSFSCNSAIGRPDFHMPNLDAVIKLTEEKCLHSYKIIDPNFSLKNLLAHMCESFLELGSNSNSESQDGSISVAPNLDALRKTTAWDAGGGTKELLCMQSFSLNGSVSIEHPTVVTAPQVPRLPLSLNGFGECREACGRTASNGFSEVNKEGGLEDSRDLVVVQQSDLTTDDLRAYHDINDITKGAERVTIPWVNEMNSECPLSFFYISRSLVFQDADVNFCLSGIGDGDCCSTCLGDCLSVPVRCACACQTGGEFAYTPEGLVKDDFLEECISMTRSPQQHHPLYCKSCPLERVKNDDCLEPCKGHSRRKFIKECWSKCGCVMQCGNRVVQRGLNCKLQVFFTSDGKGWGLRTLEDLPKGAFVCEYVGEVLTSKELQERNIQSARSGKRPYPVLLDANWGLKADLRNEEALCLDATKYGNVARFINHRCLDANLVEIPVEVETPDHCYYHIAFFTTRKVDALEELNWDYGIDFDDHDHPVKVFQCRCGSKFCRNMKRSNRSRSASIAA >ONH93159 pep chromosome:Prunus_persica_NCBIv2:G8:19820228:19827173:1 gene:PRUPE_8G216300 transcript:ONH93159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKPKVQAALKAMAELGINEKQVKPVLKRLFKLFDKNWELIEAENYRVLIDAIFDEEENEVVEEKKNCRNYDEEDMEEEPQVRHEASRTSKRLHSSGHESSSQKKKSTNADLESDMEEELPLPHQRERPLKRLRKSHEGQVSPFPNTCNPMLGDTSSVRPKVEKDELLGTRSPQQPRDITRSPESRAELQQPISPHIGNKNKGKQPVMSKPLAPHGVRFKELVVAEPGIILLPKQNINTHQLLKPKDEPFTDDMAQDEVPIAAILPDPSSEENPILQDGATVEQNGQEHVASQEKESTTNGIQASYNEGNTNSELATIEEESPSNLEIASSPLGEDGSISVAPNLDALRKTTAWDAGGGTKELLCMQSFSLNGSVSIEHPTVVTAPQVPRLPLSLNGFGECREACGRTASNGFSEVNKEGGLEDSRDLVVVQQSDLTTDDLRAYHDINDITKGAERVTIPWVNEMNSECPLSFFYISRSLVFQDADVNFCLSGIGDGDCCSTCLGDCLSVPVRCACACQTGGEFAYTPEGLVKDDFLEECISMTRSPQQHHPLYCKSCPLERVKNDDCLEPCKGHSRRKFIKECWSKCGCVMQCGNRVVQRGLNCKLQVFFTSDGKGWGLRTLEDLPKGAFVCEYVGEVLTSKELQERNIQSARSGKRPYPVLLDANWGLKADLRNEEALCLDATKYGNVARFINHRCLDANLVEIPVEVETPDHCYYHIAFFTTRKVDALEELNWDYGIDFDDHDHPVKVFQCRCGSKFCRNMKRSNRSRSASIAA >ONH93154 pep chromosome:Prunus_persica_NCBIv2:G8:19820228:19827168:1 gene:PRUPE_8G216300 transcript:ONH93154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKPKVQAALKAMAELGINEKQVKPVLKRLFKLFDKNWELIEAENYRVLIDAIFDEEENEVVEEKKNCRNYDEEDMEEEPQVRHEASRTSKRLHSSGHESSSQKKKSTNADLESDMEEELPLPHQRERPLKRLRKSHEGQVSPFPNTCNPMLGDTSSVRPKVEKDELLGTRSPQQPRDITRSPESRAELQQPISPHIGNKNKGKQPVMSKPLAPHGVRFKELVVAEPGIILLPKQNINTHQLLKPKDEPFTDDMAQDEVPIAAILPDPSSEENPILQDGATVEQNGQEHVASQEKESTTNGIQASYNEGNTNSELATIEEESPSNLEIASSPLGEVKLSFSCNSAIGRPDFHMPNLDAVIKLTEEKCLHSYKIIDPNFSLKNLLAHMCESFLELGSNSNSESQDGSISVAPNLDALRKTTAWDAGGGTKELLCMQSFSLNGSVSIEHPTVVTAPQVPRLPLSLNGFGECREACGRTASNGFSEVNKEGGLEDSRDLVVVQQSDLTTDDLRAYHDINDITKGAERVTIPWVNEMNSECPLSFFYISRSLVFQDADVNFCLSGIGDGDCCSTCLGDCLSVPVRCACACQTGGEFAYTPEGLVKDDFLEECISMTRSPQQHHPLYCKSCPLERVKNDDCLEPCKGHSRRKFIKECWSKCGCVMQCGNRVVQRGLNCKLQVFFTSDGKGWGLRTLEDLPKGAFVCEYVGEVLTSKELQERNIQSARSGKRPYPVLLDANWGLKADLRNEEALCLDATKYGNVARFINHRCLDANLVEIPVEVETPDHCYYHIAFFTTRKVDALEELNWDYGIDFDDHDHPVKVFQCRCGSKFCRNMKRSNRSRSASIAA >ONH92188 pep chromosome:Prunus_persica_NCBIv2:G8:16953945:16958587:1 gene:PRUPE_8G161200 transcript:ONH92188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSENTKGLILAMASSAFIGASFILKKKGLKRAGAAGTRAGVGGYTYLLEPLWWAGMITMIGGEVANFVAYVYAPAVLVTPLGALSIIVSAVLAHFLLKERIQKMGIVGCVTCIVGSVVIVIHAPQEHILNSVQEIWVLATQPAFLVYVAATLSLVLTLVLHFEPHYGQTNILVYLGICSLMGSLTVVSIKAIGIAIKLTLEGVSQIAYPQTWFFLTVAVICVVTQLNYLNKALDTFNAAIVAPVYYVMFTTLTIIASVIMFKDWSGQDVSSIASEICGFITVLSGTIILHATKNEETSTQGTVTWYIRDSMKGSEDERLLTLHNSDYPEP >ONH92187 pep chromosome:Prunus_persica_NCBIv2:G8:16953945:16958587:1 gene:PRUPE_8G161200 transcript:ONH92187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSENTKGLILAMASSAFIGASFILKKKGLKRAGAAGTRAGVGGYTYLLEPLWWAGMITMIGGEVANFVAYVYAPAVLVTPLGALSIIVSAVLAHFLLKERIQKMGIVGCVTCIVGSVVIVIHAPQEHILNSVQEIWVLATQPAFLVYVAATLSLVLTLVLHFEPHYGQTNILVYLGICSLMGSLTVVSIKAIGIAIKLTLEGVSQIAYPQTWFFLTVAVICVVTQLNYLNKALDTFNAAIVAPVYYVMFTTLTIIASVIMFKDWSGQDVSSIASEICGFITVLSGTIILHATKNEETSTQGTVTWYIRDSMKGSEDERLLTLHNSDYPEP >ONH92366 pep chromosome:Prunus_persica_NCBIv2:G8:17498313:17505122:-1 gene:PRUPE_8G170600 transcript:ONH92366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEDNSESCGSKAYDTSSPVQSRQQRQKLEVYNEVLRRLKNSNNDEAIRPGFDDELWAHFNRLPTRYALDVNVERAEDVLMHKRLLHLAHDPAHKPAIEVRLVQVHPIPDGNTADSIHSDSVGEDAAQSSKLSSRHSIHPPPAFGSSPNLEALALEANTANDEDDEHSVHGSTELTRPMHEITFSTDDKPKLLSQLTSLLAEIGLNIQEAHAFSTLDGYSLDVFVVDGWPYEETEQLKTALEKEVLKIESPWPPTHRSSSSSSEHDQIRVKSEPPTHLTIPNDGTDVWEIDPRQLTFGNKVASGSCGDLYKGTYCTQEVAIKVLKPECVNSDMHKDFAQEVYIMRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDYLHKQKGVFKLPALLKVAIDVSKGMTYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKSQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKNTHPKLVELLEKCWQQDPALRPDFSEIIEMLQPLAKEISDEGEEKRKSSGGFLSALRRGHH >ONH92367 pep chromosome:Prunus_persica_NCBIv2:G8:17498313:17504044:-1 gene:PRUPE_8G170600 transcript:ONH92367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRLLHLAHDPAHKPAIEVRLVQVHPIPDGNTADSIHSDSVGEDAAQSSKLSSRHSIHPPPAFGSSPNLEALALEANTANDEDDEHSVHGSTELTRPMHEITFSTDDKPKLLSQLTSLLAEIGLNIQEAHAFSTLDGYSLDVFVVDGWPYEETEQLKTALEKEVLKIESPWPPTHRSSSSSSEHDQIRVKSEPPTHLTIPNDGTDVWEIDPRQLTFGNKVASGSCGDLYKGTYCTQEVAIKVLKPECVNSDMHKDFAQEVYIMRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDYLHKQKGVFKLPALLKVAIDVSKGMTYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKSQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKNTHPKLVELLEKCWQQDPALRPDFSEIIEMLQPLAKEISDEGEEKRKSSGGFLSALRRGHH >ONH92368 pep chromosome:Prunus_persica_NCBIv2:G8:17498753:17503839:-1 gene:PRUPE_8G170600 transcript:ONH92368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRLLHLAHDPAHKPAIEVRLVQVHPIPDGNTADSIHSDSVGEDAAQSSKLSSRHSIHPPPAFGSSPNLEALALEANTANDEDDEHSVHGSTELTRPMHEITFSTDDKPKLLSQLTSLLAEIGLNIQEAHAFSTLDGYSLDVFVVDGWPYEETEQLKTALEKEVLKIESPWPPTHRSSSSSSEHDQIRVKSEPPTHLTIPNDGTDVWEIDPRQLTFGNKVASGSCGDLYKGTYCTQEVAIKVLKPECVNSDMHKDFAQEVYIMRKVRHKNVVQFIGACTKPPSLCIVTEFMSGGSVYDYLHKQKGVFKLPALLKVAIDVSKGMTYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKSQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKNTHPKLVELLEKCWQQDPALRPDFSEIIEMLQPLAKEISDEGEEKRKSSGGFLSALRRGHH >ONH90185 pep chromosome:Prunus_persica_NCBIv2:G8:3924350:3928728:-1 gene:PRUPE_8G039300 transcript:ONH90185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQAKPLTNSTPGGLEKLKLENGYVGNGGVNAHRRSTGQPQRDSLKQHRHEPIISNAEPGSRNEKAGDEWVVLTGSDGDKDDGHVSHKVSVDEEELVDGWPKWLTDNISREILAGLVPKSADSYDKLDKVGEGTYSNVYKARDRDTGKIVALKKVRFDTSEPESVKFMAREIMFLRKLDHPNVVKLEGLATSRMQYSLYLVFDFMRSDLARIISSPEGLTEPQVKCYMHQLLSGLQHCHERGIIHRDIKGSNLLIDKHGMLKIADFGLANYYVQDPKRPLTNRVVTLWYRAPELLLGSTDYGVGIDLWSAGCVLAEMFTGRPLLPGRTEVEQLHRIFRLCGTPSEEYWKRLKLSTTFRPPRSYKPSLQEAFKDFPFSSLSLLSTLLALDPAYRGSASSALRNEFFFTSPLACDLSGLPAIYNEDDELKLANEHRKSRNSKVRRSRTRRERRRQDLSAEKLKEISASSNQGLQEMEKTADSNFESEEPGSTTTSNSSSVHHAGRKESPILSPSPVQGHPDTTKNMKNLPPLPKSKARTTKYNKDGNMYSSNQISRSASSREFRKLNQRDQLEVYAVDN >ONH90186 pep chromosome:Prunus_persica_NCBIv2:G8:3926020:3928379:-1 gene:PRUPE_8G039300 transcript:ONH90186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQAKPLTNSTPGGLEKLKLENGYVGNGGVNAHRRSTGQPQRDSLKQHRHEPIISNAEPGSRNEKAGDEWVVLTGSDGDKDDGHVSHKVSVDEEELVDGWPKWLTDNISREILAGLVPKSADSYDKLDKVGEGTYSNVYKARDRDTGKIVALKKVRFDTSEPESVKFMAREIMFLRKLDHPNVVKLEGLATSRMQYSLYLVFDFMRSDLARIISSPEGLTEPQVKCYMHQLLSGLQHCHERGIIHRDIKGSNLLIDKHGMLKIADFGLANYYVQDPKRPLTNRVVTLWYRAPELLLGSTDYGVGIDLWSAGCVLAEMFTGRPLLPGRTEVEQLHRIFRLCGTPSEEYWKRLKLSTTFRPPRSYKPSLQEAFKDFPFSSLSLLSTLLALDPAYRGSASSALRNECSCDARIKW >ONH90187 pep chromosome:Prunus_persica_NCBIv2:G8:3926515:3928728:-1 gene:PRUPE_8G039300 transcript:ONH90187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQAKPLTNSTPGGLEKLKLENGYVGNGGVNAHRRSTGQPQRDSLKQHRHEPIISNAEPGSRNEKAGDEWVVLTGSDGDKDDGHVSHKVSVDEEELVDGWPKWLTDNISREILAGLVPKSADSYDKLDKVGEGTYSNVYKARDRDTGKIVALKKVRFDTSEPESVKFMAREIMFLRKLDHPNVVKLEGLATSRMQYSLYLVFDFMRSDLARIISSPEGLTEPQVKCYMHQLLSGLQHCHERGIIHRDIKGSNLLIDKHGMLKIADFGLANYYVQDPKRPLTNRVVTLWYRAPELLLGSTDYGVGIDLWSAGCVLAEMFTGRPLLPGRTEVEQLHRIFRLCGTPSEEYWKRLKLSTTFRPPRSYKPSLQEAFKDFPFSSLSLLSTLLALDPAYRGSASSALRNEVSTD >ONH92977 pep chromosome:Prunus_persica_NCBIv2:G8:19209892:19212150:1 gene:PRUPE_8G205100 transcript:ONH92977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITPEGRRITKLDQILLNGNNIAILVPGGSPEPE >ONH90457 pep chromosome:Prunus_persica_NCBIv2:G8:6456755:6461528:1 gene:PRUPE_8G055300 transcript:ONH90457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALPLRLGAHWPAVQKPLISTSTRWFVFPYPRNVSLNGNSPRDHFDRNVSRIPANSTSITGKTNDFVSVSLPKDSPLFGLEDLLVSFILGKKRATEVSHLVWKSVVQKGDTVIDATCGNGHDTLAMLKMVADESCKGSVYGLDVQEAALQKTSSLLEESVGPSEKELIKLFSKCHSKMDEVLPKDTSVRLVAFNLGYLPGGDKTIITQSETTLKALEVAKSIMVPGGLISIVVYVGHPGG >ONH90455 pep chromosome:Prunus_persica_NCBIv2:G8:6456754:6461528:1 gene:PRUPE_8G055300 transcript:ONH90455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALPLRLGAHWPAVQKPLISTSTRWFVFPYPRNVSLNGNSPRDHFDRNVSRIPANSTSITGKTNDFVSVSLPKDSPLFGLEDLLVSFILGKKRATEVSHLVWKSVVQKGDTVIDATCGNGHDTLAMLKMVADESCKGSVYGLDVQEAALQKTSSLLEESVGPSEKELIKLFSKCHSKMDEVLPKDTSVRLVAFNLGYLPGGDKTIITQSETTLKALEVAKSIMVPGGLISIVVYVGHPGG >ONH90458 pep chromosome:Prunus_persica_NCBIv2:G8:6456755:6459380:1 gene:PRUPE_8G055300 transcript:ONH90458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALPLRLGAHWPAVQKPLISTSTRWFVFPYPRNVSLNGNSPRDHFDRNVSRIPANSTSITGKTNDFVSVSLPKDSPLFGLEDLLVSFILGKKRATEVSHLVWKSVVQKGDTVIDATCGNGHDTLAMLKMVADESCKGSVYGLDVQEAALQKTSSLLEESVGPSEKELIKLFSKCHSKMDEVLPKDTSVRLVAFNLGYLPGGDKTIITQSETTLKALEVAKSIMVPGGLISIVVYVGHPGGW >ONH90454 pep chromosome:Prunus_persica_NCBIv2:G8:6456752:6461528:1 gene:PRUPE_8G055300 transcript:ONH90454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALPLRLGAHWPAVQKPLISTSTRWFVFPYPRNVSLNGNSPRDHFDRNVSRIPANSTSITGKTNDFVSVSLPKDSPLFGLEDLLVSFILGKKRATEVSHLVWKSVVQKGDTVIDATCGNGHDTLAMLKMVADESCKGSVYGLDVQEAALQKTSSLLEESVGPSEKELIKLFSKCHSKMDEVLPKDTSVRLVAFNLGYLPGGDKTIITQSETTLKALEVAKSIMVPGGLISIVVYVGHPGGWEELKTIRDFISKLSVEKWICYEFQTVDRSWSPILIFLFKKC >ONH90456 pep chromosome:Prunus_persica_NCBIv2:G8:6456805:6460105:1 gene:PRUPE_8G055300 transcript:ONH90456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALPLRLGAHWPAVQKPLISTSTRWFVFPYPRNVSLNGNSPRDHFDRNVSRIPANSTSITGKTNDFVSVSLPKDSPLFGLEDLLVSFILGKKRATEVSHLVWKSVVQKGDTVIDATCGNGHDTLAMLKMVADESCKGSVYGLDVQEAALQKTSSLLEESVGPSEKELIKLFSKCHSKMDEVLPKDTSVRLVAFNLGYLPGGDKTIITQSETTLKALEVAKSIMVPGGLISIVVYVGHPGG >ONH91951 pep chromosome:Prunus_persica_NCBIv2:G8:16162656:16164806:1 gene:PRUPE_8G146000 transcript:ONH91951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAGTTQKCTACEKTVYLVDRLAADSRVYHKACFRCHHCTGTLKLSNYCSFEGVLYCRPHYDQLFKRTGSLDKSFEGTPKILKPEKSSTDKENGNSVSNLFAGTREKCVGCQKTVYPIEKVSVNGTPYHRSCFKCTHGGCTISPSNYIAHEGKLYCKHHHIQLFKEKGNYSQLENEGEKHSMTMEIAAES >ONH90879 pep chromosome:Prunus_persica_NCBIv2:G8:11365948:11367159:-1 gene:PRUPE_8G080100 transcript:ONH90879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTMGFRLPAIVNAKRSLIRSLSSSSQTADSKTLDIPKGYFAVYVGESQKKRFVIPISYLNEPLFLDLLSQAEEEFGYDHSMGGITIPCSENAFLYLTSHLSV >ONH90926 pep chromosome:Prunus_persica_NCBIv2:G8:11671702:11675488:-1 gene:PRUPE_8G083500 transcript:ONH90926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLVGLLRTAWIAAILPLLIASVPSSRLSSFHGAVLEFAKRGKIMKSSSQKFTVPQKFFCHFYLVAVVWTALLLVTTGMYAYKTVPLVYPTFPSQLTGGSHIFSWHKSHSIPISYRYGVWRSVFLLLLMEVQVLRRLFETIYVFNYSSSARMHIFGYLTGLFFYTAAPLSLCCNNALEVYKFSLNAVAEFIVKGKTTMQHMEFDWLEFVSSLLRLRWLQWTGAVIFFWGWIHQRNCHAILGSLREHSGQNDEYVIPHGDWFEVVSSPHYLAEIVIYTGLVVASGGTDPTIWLLFGFVVSNLVFAAAETHRWYLKKFKNYPSNRLAIIPFVY >ONH90925 pep chromosome:Prunus_persica_NCBIv2:G8:11671702:11675442:-1 gene:PRUPE_8G083500 transcript:ONH90925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLVGLLRTAWIAAILPLLIASVPSSRLSSFHGAVLEFAKRGKIMKSSSQKFTVPQKFFCHFYLVAVVWTALLLVTTGMYAYKTVPLVYPTFPSQLTGGSHIFSWHKSHSIPISYRYGVWRSVFLLLLMEVQVLRRLFETIYVFNYSSSARMHIFGYLTGLFFYTAAPLSLCCNNALEVYKFSLNAVAEFIVKGKTTMQHMEFDWLEFVSSLLRLRWLQWTGAVIFFWGWIHQRNCHAILGSLREHSGQNDEYVIPHGDWFEVVSSPHYLAEIVSNLVFAAAETHRWYLKKFKNYPSNRLAIIPFVY >ONH90923 pep chromosome:Prunus_persica_NCBIv2:G8:11671702:11675489:-1 gene:PRUPE_8G083500 transcript:ONH90923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLVGLLRTAWIAAILPLLIASVPSSRLSSFHGAVLEFAKRGKIMKSSSQFTVPQKFFCHFYLVAVVWTALLLVTTGMYAYKTVPLVYPTFPSQLTGGSHIFSWHKSHSIPISYRYGVWRSVFLLLLMEVQVLRRLFETIYVFNYSSSARMHIFGYLTGLFFYTAAPLSLCCNNALEVYKFSLNAVAEFIVKGKTTMQHMEFDWLEFVSSLLRLRWLQWTGAVIFFWGWIHQRNCHAILGSLREHSGQNDEYVIPHGDWFEVVSSPHYLAEIVIYTGLVVASGGTDPTIWLLFGFVVSNLVFAAAETHRWYLKKFKNYPSNRLAIIPFVY >ONH90927 pep chromosome:Prunus_persica_NCBIv2:G8:11671702:11675459:-1 gene:PRUPE_8G083500 transcript:ONH90927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLVGLLRTAWIAAILPLLIASVPSSRLSSFHGAVLEFAKRGKIMKSSSQKFTVPQKFFCHFYLVAVVWTALLLVTTGMYAYKTVPLVYPTFPSQLTGGSHIFSWHKSHSIPISYRYGVWRSVFLLLLMEVQVLRRLFETIYVFNYSSSARMHIFGYLTGLFFYTAAPLSLCCNNALEVYKFSLNAVAEFIVKGKTTMQHMEFDWLEFVSSLLRLRWLQWTGAVIFFWGWIHQRNCHAILGSLREHSGQNDEYVIPHGDWFEVVSSPHYLAEIVIYTGLVVASGGTDPTIWLLFGFVVSNLVFAAAETHRWYLKKFKNYPSNRLAIIPFVY >ONH90922 pep chromosome:Prunus_persica_NCBIv2:G8:11671702:11675442:-1 gene:PRUPE_8G083500 transcript:ONH90922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLVGLLRTAWIAAILPLLIASVPSSRLSSFHGAVLEFAKRGKIMKSSSQFTVPQKFFCHFYLVAVVWTALLLVTTGMYAYKTVPLVYPTFPSQLTGGSHIFSWHKSHSIPISYRYGVWRSVFLLLLMEVQVLRRLFETIYVFNYSSSARMHIFGYLTGLFFYTAAPLSLCCNNALEVYKFSLNAVAEFIVKGKTTMQHMEFDWLEFVSSLLRLRWLQWTGAVIFFWGWIHQRNCHAILGSLREHSGQNDEYVIPHGDWFEVVSSPHYLAEIVIYTGLVVASGGTDPTIWLLFGFVVSNLVFAAAETHRWYLKKFKNYPSNRLAIIPFVY >ONH90924 pep chromosome:Prunus_persica_NCBIv2:G8:11671702:11675442:-1 gene:PRUPE_8G083500 transcript:ONH90924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLVGLLRTAWIAAILPLLIASVPSSRLSSFHGAVLEFAKRGKIMKSSSQKFTVPQKFFCHFYLVAVVWTALLLVTTGMYAYKTVPLVYPTFPSQLTGGSHIFSWHKSHSIPISYRYGVWRSVFLLLLMEVQVLRRLFETIYVFNYSSSARMHIFGYLTGLFFYTAAPLSLCCNNALEVYKFSLNAVAEFIVKGKTTMQHMEFDWLEFGSLREHSGQNDEYVIPHGDWFEVVSSPHYLAEIVIYTGLVVASGGTDPTIWLLFGFVVSNLVFAAAETHRWYLKKFKNYPSNRLAIIPFVY >ONH92111 pep chromosome:Prunus_persica_NCBIv2:G8:16709894:16716043:1 gene:PRUPE_8G156100 transcript:ONH92111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPFKQKEKTAYKTQVARNANMAKFQAAYIFPEIARKRDAHLQKYPDAQEISLGHGDTTEPIPEVITSAMKKRSQALSTLEGYSGYGPAQGEKPLRAAIASTFYDNLGIEEDDIFVSDCAKCDISRLQIARRRDAHLQKYPDAQVISLGHGDTTEPIPEVITSAMEKRSQALSTLEGYSGYGPAQGEKPLRAAIASTFYDNLGIEEDDIFVSDGAKCDISRLQLVFGSSVTMAVQSPSYPAYVQSSVVMGQTAQYQKDVEKFGNIEYMTCTPENGSAATREQLTQLVQFAKDNGSIIVYDSAYAMYMSDDNPRSIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFNRIVCTCFSGASNIAQAGGLACLSPEGIKAMHDVISFYKENAGILAYTFESLGFKVYGGKNAPYVWIHFPGRSSWDVFNEILEKIHVVTIPGIGFGPGGEGFIRVSAFGHRRNVLEASERFKQLYK >ONH92113 pep chromosome:Prunus_persica_NCBIv2:G8:16713123:16716043:1 gene:PRUPE_8G156100 transcript:ONH92113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFKQKEKTAYKTQVARNANMAKFQAAYIFPEIARRRDAHLQKYPDAQVISLGHGDTTEPIPEVITSAMEKRSQALSTLEGYSGYGPAQGEKPLRAAIASTFYDNLGIEEDDIFVSDGAKCDISRLQLVFGSSVTMAVQSPSYPAYVQSSVVMGQTAQYQKDVEKFGNIEYMTCTPENGSAATREQLTQLVQFAKDNGSIIVYDSAYAMYMSDDNPRSIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFNRIVCTCFSGASNIAQAGGLACLSPEGIKAMHDVISFYKENAGILAYTFESLGFKVYGGKNAPYVWIHFPGRSSWDVFNEILEKIHVVTIPGIGFGPGGEGFIRVSAFGHRRNVLEASERFKQLYK >ONH92112 pep chromosome:Prunus_persica_NCBIv2:G8:16713114:16716043:1 gene:PRUPE_8G156100 transcript:ONH92112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFKQKEKTAYKTQVARNANMAKFQAAYIFPEIARRRDAHLQKYPDAQVISLGHGDTTEPIPEVITSAMEKRSQALSTLEGYSGYGPAQGEKPLRAAIASTFYDNLGIEEDDIFVSDGAKCDISRLQLVFGSSVTMAVQSPSYPAYVQSSVVMGQTAQYQKDVEKFGNIEYMTCTPENGFFPDLRKVARTDTIFFCSPNNPTGSAATREQLTQLVQFAKDNGSIIVYDSAYAMYMSDDNPRSIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFNRIVCTCFSGASNIAQAGGLACLSPEGIKAMHDVISFYKENAGILAYTFESLGFKVYGGKNAPYVWIHFPGRSSWDVFNEILEKIHVVTIPGIGFGPGGEGFIRVSAFGHRRNVLEASERFKQLYK >ONH92110 pep chromosome:Prunus_persica_NCBIv2:G8:16709894:16716043:1 gene:PRUPE_8G156100 transcript:ONH92110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPFKQKEKTAYKTQVARNANMAKFQAAYIFPEIARKRDAHLQKYPDAQEISLGHGDTTEPIPEVITSAMKKRSQALSTLEGYSGYGPAQGEKPLRAAIASTFYDNLGIEEDDIFVSDCAKCDISRLQIARRRDAHLQKYPDAQVISLGHGDTTEPIPEVITSAMEKRSQALSTLEGYSGYGPAQGEKPLRAAIASTFYDNLGIEEDDIFVSDGAKCDISRLQLVFGSSVTMAVQSPSYPAYVQSSVVMGQTAQYQKDVEKFGNIEYMTCTPENGFFPDLRKVARTDTIFFCSPNNPTGSAATREQLTQLVQFAKDNGSIIVYDSAYAMYMSDDNPRSIFEIPGAKEVAIETSSFSKYAGFTGVRLGWTVVPKQLLFSDGFPVAKDFNRIVCTCFSGASNIAQAGGLACLSPEGIKAMHDVISFYKENAGILAYTFESLGFKVYGGKNAPYVWIHFPGRSSWDVFNEILEKIHVVTIPGIGFGPGGEGFIRVSAFGHRRNVLEASERFKQLYK >ONH93662 pep chromosome:Prunus_persica_NCBIv2:G8:21310320:21318203:-1 gene:PRUPE_8G245000 transcript:ONH93662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVSVQQPLSHLWDTVLQLTKSAQDKNSDPLLWAVQLSNSLNSAGVALPSVELAHLLVSHICWANHVPITWKFLEKALTVKIVPPMLVLALLSTKVVPNRQLHPAAYRLYMELLKRHTFLFASQLNGPNCQKIIKSIDDVLHLSQLYGLQVCEPGVVIVEFVFSIIWQLLDASLDDEGLLELTPDKKSRWSTRPEDMEIDGHDCFNEKRSEQNEGLQKANTAMAIEIIVEFLQNKVTSRILCLTRRNLPSHWGGFIQKMQVLAANSSVLRTLKHITPESLLQLTSDTRRLLTRECKIISRQGFHAVLSSGSLRSSASQSHGVSSSAFWLPIDLFLEDAMDGSEVAIISAVETLTGLVKALQAVNSTTWHNAFLGLWIAALRLVQRERDPREGPVPRLDTCLCMLLSITTLAVTNIIEEEEAQLMEEIEGDRTNQRKEQAPGKRREGLIMCLQRLGDYETLLTPPQSVCSVVNQAAAKAIMYRSGLTVSNGYYESVSVNDVPINCMGNLRHLIVEACIARNLLDTSAYFWPGYVSARSSQVLRNVPGQVPGQVPGWSSIMKGSPLTPSLVNALVATPASSLAEIEKIYEIAVNGSDEEKISAVTILCGASLIRGWNIQEHICLFIINLLSPPVPADYSGSDSHLISYASFFNVLLVGVSSIDTVQIFSLLGLVPLLAAALMPICEVFGSSVPNISWTPTTGEELSCHAVFSNAFTLLLRLWRFDHPPLEHVMGDLPTVGNQVGPEYLLVLRNSRLASLGNSPMDRIKSRRVSKFITFPSETITMDRFPKLKLWYQQHQKCICSTLSGLVPGTPVHQIVDALLTMMFRKINRSSQPLTPATSGSSNSSASGTDESSIRLKVPAWDILEATPFVLDAALTACAHGRLSPRELATGLKELADYLPATLATMVSYFSAEVTRGIWKPACMNGTDWPSPAANLSIVEQQIKKILAATGVDVPSLAVGGSAPAMLPLPFAALVSLTITYKLDRASERALTLIGPALNSLAAGCPWPCMPILASLWAQKVKRWSDYLVFTASQTVFHHNGDAVVQLLKSCFTSTLGLSSSRAYSNGSVGALLGHGFGSHFSGGISPVAPGILYLRVHRSVRDVMFMTEEVLSLLMFSVRDIASCGLPRDRVDRLKKIKHGMRYGQVSLAAAMARVRIAATLGATLVWISGGSNLVQSLIKETLPSWFISTHGLDQEGRESGGMVAMLGGYALAYFAVLCGTFAWGVDSVTPASKRRPKVLGAHLEFLASALDGKISLGCDWAMWRAYVSGFVSLMVACTQKWVLEVDVEVLKRLSKELRRLNEEELALALLGLGGVGAMGAAAELAIECEV >ONH90729 pep chromosome:Prunus_persica_NCBIv2:G8:10502726:10503556:-1 gene:PRUPE_8G071700 transcript:ONH90729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVTVSSSSAPIVTVHTESSTNLPMGFKLNGSNYEIWAFMIELYATIQGKLGYLTGYTDAPDSQDPKFEKWKIADAVVKSWMLRTIEPNLLNMFHTLPTAKEIWDAAIWLELDKRCPFQMKCADDMKTYHVYDFLAGLDDTYDKSDKVPSIENVFFMVRREAQCQITMLGSGTKIGEPAVVFASKNIALVSRPTGSGSSAVLPHRLTSAKNKKNKKKKQTEV >ONH91372 pep chromosome:Prunus_persica_NCBIv2:G8:14029522:14035419:1 gene:PRUPE_8G110500 transcript:ONH91372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIMRKLSHAMASSAVPAVHGDRDDHEDSSTELEYSFAIEYRGPPLAYDIPNAVPVDLDQIPTAAPVSSASLLHNLSLPVIQPIAKSNPSNKKPREEAKLESEAKPEKAIFSSNSVAPVALEYKNGEKGVSTLSDKLGSSGKLGFSCRLSGSLGDVLELPDDGQEAQGFQSYMSPGNWGSSESGSSSRSLSSEVFSGREEAGGDETPHHVKRPSTVTFRDPDSNDIVQEEEFELSDRDENVQVRPRVERNGTKGSCSRCGKGNRLTDKEVCIVCGAKYCFNCVLRAMGSMPEGRKCVTCIGFGIDESRRKKLGKCSRMLKRLLTKLEVELIMEAEISCQPNQLPGNLIFVNDKRLSPEELVRLQGCRNPPKKLKPGRYWYDNVSGFWGKEGHKPCQIISPQLNVGGHINRDASKGDTNILINGREITKLEAFVLQLAGVPCEGNLHYWVNADGSYQEEGMNKVKGKIWDKTSIKLVCNVLSLPIPSDCANVSADEVNTQNSVEEKMPSKLVLVGYHKSGTSTIFKQAKLLYNVPFSEDERQNIKFMIQSKLYSYLGILLEGREWFEEECLLEKSKGKGQLLDEPGPSDKKHTFVLNIKRKMRHPLQDLRNASRLNNKTKYSIGPRLKSFADWLIKAMVSGNLEAIFPAATREYAPFVEELWKDPAIQATYDRRNEIEMLPRSATYFLNRAVEISRTDYELSDIDILYAEGITSSNSLASMDFSFPMSAGNSNLDPPYQHDPSLIYQLIRVHHSSLGGNCKFVEMFEDVDMVLFCVALTDYDEFSVDSNGVLTNKMMASKQLFEHIITHPALDHKDFLLILNKFDLLEEKIDEVPLSRCEWFDDFNPVTSQNPNSSNNNSNNPPLAHRTFQYVAMKFKRLFRSLTDRKLFVSLVTALEPDTIDEALRYAREIQKWEEEVPRLVNELSSASIDASSSA >ONH91374 pep chromosome:Prunus_persica_NCBIv2:G8:14029522:14035419:1 gene:PRUPE_8G110500 transcript:ONH91374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIMRKLSHAMASSAVPAVHGDRDDHEDSSTELEYSFAIEYRGPPLAYDIPNAVPVDLDQIPTAAPVSSASLLHNLSLPVIQPIAKSNPSNKKPREEAKLESEAKPEKAIFSSNSVAPVALEYKNGEKGVSTLSDKLGSSGKLGFSCRLSGSLGDVLELPDDGQEAQGFQSYMSPGNWGSSESGSSSRSLSSEVFSGREEAGGDETPHHVKRPSTVTFRDPDSNDIVQEEEFELSDRDENVQVRPRVERNGTKGSCSRCGKGNRLTDKEVCIVCGAKYCFNCVLRAMGSMPEGRKCVTCIGFGIDESRRKKLGKCSRMLKRLLTKLEVELIMEAEISCQPNQLPGNLIFVNDKRLSPEELVRLQGCRNPPKKLKPGRYWYDNVSGFWGKEGHKPCQIISPQLNVGGHINRDASKGDTNILINGREITKLEAFVLQLAGVPCEGNLHYWVNADGSYQEEGMNKVKGKIWDKTSIKLVCNVLSLPIPSDCANVSADEVNTQNSVEEKMPSKLVLVGYHKSGTSTIFKQAKLLYNVPFSEDERQNIKFMIQSKLYSYLGILLEGREWFEEECLLEKSKGKGQLLDEPGPSGNASRLNNKTKYSIGPRLKSFADWLIKAMVSGNLEAIFPAATREYAPFVEELWKDPAIQATYDRRNEIEMLPRSATYFLNRIPTH >ONH91375 pep chromosome:Prunus_persica_NCBIv2:G8:14029522:14035419:1 gene:PRUPE_8G110500 transcript:ONH91375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIMRKLSHAMASSAVPAVHGDRDDHEDSSTELEYSFAIEYRGPPLAYDIPNAVPVDLDQIPTAAPVSSASLLHNLSLPVIQPIAKSNPSNKKPREEAKLESEAKPEKAIFSSNSVAPVALEYKNGEKGVSTLSDKLGSSGKLGFSCRLSGSLGDVLELPDDGQEAQGFQSYMSPGNWGSSESGSSSRSLSSEVFSGREEAGGDETPHHVKRPSTVTFRDPDSNDIVQEEEFELSDRDENVQVRPRVERNGTKGSCSRCGKGNRLTDKEVCIVCGAKYCFNCVLRAMGSMPEGRKCVTCIGFGIDESRRKKLGKCSRMLKRLLTKLEVELIMEAEISCQPNQLPGNLIFVNDKRLSPEELVRLQGCRNPPKKLKPGRYWYDNVSGFWGKEGHKPCQIISPQLNVGGHINRDASKGDTNILINGREITKLEAFVLQLAGVPCEGNLHYWVNADGSYQEEGMNKVKGKIWDKTSIKLVCNVLSLPIPSDCANVSADEVNTQNSVEEKMPSKLVLVGYHKSGTSTIFKQAKLLYNVPFSEDERQNIKFMIQSKLYSYLGILLEGREWFEEECLLEKSKGKGQLLDEPGPSGNASRLNNKTKYSIGPRLKSFADWLIKAMVSGNLEAIFPAATREYAPFVEELWKDPAIQATYDRRNEIEMLPRSATYFLNRVRSRSVVPVLSPLVFSQFTFSKCLCSYFLFV >ONH91373 pep chromosome:Prunus_persica_NCBIv2:G8:14029438:14035447:1 gene:PRUPE_8G110500 transcript:ONH91373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIMRKLSHAMASSAVPAVHGDRDDHEDSSTELEYSFAIEYRGPPLAYDIPNAVPVDLDQIPTAAPVSSASLLHNLSLPVIQPIAKSNPSNKKPREEAKLESEAKPEKAIFSSNSVAPVALEYKNGEKGVSTLSDKLGSSGKLGFSCRLSGSLGDVLELPDDGQEAQGFQSYMSPGNWGSSESGSSSRSLSSEVFSGREEAGGDETPHHVKRPSTVTFRDPDSNDIVQEEEFELSDRDENVQVRPRVERNGTKGSCSRCGKGNRLTDKEVCIVCGAKYCFNCVLRAMGSMPEGRKCVTCIGFGIDESRRKKLGKCSRMLKRLLTKLEVELIMEAEISCQPNQLPGNLIFVNDKRLSPEELVRLQGCRNPPKKLKPGRYWYDNVSGFWGKEGHKPCQIISPQLNVGGHINRDASKGDTNILINGREITKLEAFVLQLAGVPCEGNLHYWVNADGSYQEEGMNKVKGKIWDKTSIKLVCNVLSLPIPSDCANVSADEVNTQNSVEEKMPSKLVLVGYHKSGTSTIFKQAKLLYNVPFSEDERQNIKFMIQSKLYSYLGILLEGREWFEEECLLEKSKGKGQLLDEPGPSGNASRLNNKTKYSIGPRLKSFADWLIKAMVSGNLEAIFPAATREYAPFVEELWKDPAIQATYDRRNEIEMLPRSATYFLNRAVEISRTDYELSDIDILYAEGITSSNSLASMDFSFPMSAGNSNLDPPYQHDPSLIYQLIRVHHSSLGGNCKFVEMFEDVDMVLFCVALTDYDEFSVDSNGVLTNKMMASKQLFEHIITHPALDHKDFLLILNKFDLLEEKIDEVPLSRCEWFDDFNPVTSQNPNSSNNNSNNPPLAHRTFQYVAMKFKRLFRSLTDRKLFVSLVTALEPDTIDEALRYAREIQKWEEEVPRLVNELSSASIDASSSA >ONH91376 pep chromosome:Prunus_persica_NCBIv2:G8:14029522:14032982:1 gene:PRUPE_8G110500 transcript:ONH91376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIMRKLSHAMASSAVPAVHGDRDDHEDSSTELEYSFAIEYRGPPLAYDIPNAVPVDLDQIPTAAPVSSASLLHNLSLPVIQPIAKSNPSNKKPREEAKLESEAKPEKAIFSSNSVAPVALEYKNGEKGVSTLSDKLGSSGKLGFSCRLSGSLGDVLELPDDGQEAQGFQSYMSPGNWGSSESGSSSRSLSSEVFSGREEAGGDETPHHVKRPSTVTFRDPDSNDIVQEEEFELSDRDENVQVRPRVERNGTKGSCSRCGKGNRLTDKEVCIVCGAKYCFNCVLRAMGSMPEGRKCVTCIGFGIDESRRKKLGKCSRMLKRLLTKLEVELIMEAEISCQPNQLPGNLIFVNDKRLSPEELVRLQGCRNPPKKLKPGRYWYDNVSGFWGKEGHKPCQIISPQLNVGGHINRDASKGDTNILINGREITKLEAFVLQLAGVPCEGNLHYWVNADGSYQEEGMNKVKGKIWDKTSIKLVCNVLSLPIPSDCANVSADEVNTQNSVEEKMPSKLVLVGYHKSGTSTIFKQAKLLYNVPFSEDERQNIKFMIQSKLYSYLGILLEGREWFEEECLLEKSKGKGQLLDEPGPSDKKHTFVLNIKRKMRHPLQDLSKLTFPKCVEIYQACGKKG >ONH93247 pep chromosome:Prunus_persica_NCBIv2:G8:20076205:20082654:1 gene:PRUPE_8G221300 transcript:ONH93247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEDRILLDSLGVTSANPEDIERDILSGAQNNGNASEVGGSTEEEPLERSESIDPLAASQAKLYNKLRAVEFEIDAVASTVEPEQAGNEGAACDGDDDGVEPGDKEDLDQASATGLNLQHALATDRLRSLKETKAKLEKELSDLDKQRPSKGKQRDKVLSDIVKEKPAPKRKLKQVKKSGKNLEKRLKTVSFDEDDDFDAVLDAASAGFVETERDELVRKGILTPFHKLNGFERRLQELGPSQRRNIPAEQHRSNDFASASVARAVQSISEAAQARPSTKLLDPEALPKLNPPTYPFKRLKKPLKIPQSLENDTHKNKSSRLRRKRPLPDKRWRKLSNLEEKHVHENEDTASCEEENQEDVGDVDDNEYTYVTLEGGLKIPEHIFNQLFDYQKVGVQWLWELHCQKAGGIIGDEMGLGKTIQVLSFLGALHFSGMYKPSIVVCPVTLLRQWKREAQKWYPSFHVELLHDSAQDPVGRKKRSKSNESDSDSEGSLDSDYEKPALSKSTKKWDSLINRVLRSESGLLITTYEQLRIVGESLLDIDWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLTELWSLFDFVFPGKLGVLPIFEAEFSVPISVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAQLPKKTEHVIFCSLTAEQRSAYRAFLASSDVEQIMDGNRNSLYGIDVMRKICNHPDLLEREHSGQNPDYGNLKRSGKLKVVSQVLKVWKDQGHRVLLFTQTQQMLDIIESFLVSGGYGYRRMDGLTPIRQRMALIDEFNNSSDVFVFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQKRFFKARDMKDLFTLNDEGESGATETANLFGQLSEAANVVGTQNDKHNKQESQKVSVPLANGAGADKGKNSEVGPSRRNGKEKADQSNDEVDEETNILRCLFDAQGIHSAMNHDMIMNAHDEEKMKLDEQASRVAQRAAEALRQSRMLRSRDSVSVPTWTGKSGMAGAPSSVRGKFGSTVNSQLINNTKRSDEVSNNGTNGVAGASAGKALSSAELLARIRGKEEKAVEAGIEHQFGLASGSNRAKSLDVGPSRSSHNLGGVQPEVLIRQICTFIQQSGGSTSSSSIVQHFKDRIPSNDLPLFKNLLKEIAKLEKTPNGSVWVLKPEFHQQ >ONH93128 pep chromosome:Prunus_persica_NCBIv2:G8:19742096:19746101:1 gene:PRUPE_8G214700 transcript:ONH93128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAISKRVGHQYLTPSSSISLLKSIYPVSDHYYGADRPKYGSTLATKGVGHLVRKGTGGRSSVSGIVAAVFGSTGFLGRYLVQQLAKMGSQVLVPFRGSEDSHRHLKLMGDLGQIVPMKYNPRDEDSIKAVMAKANVVINLIGRDYETRNFSFEEVNHSMAEQLATISKEHGGIMRFIQVSCLGASSSSPSRFLRAKAAAEEAVLRELPEATVLRPAVLIGTEDRILNRWAFFAKKYGFLPLIGDGSTKIQPVYVVDVAGAIVAALKDDGTSMGKVYELGGPEVFTVHQLAELMFETIREWPHYVKVPLPIAKAIAAPREILLNKVPFPLPNPEIFNRDQILAQATDTVVSENSLTFSDLGLVPHKLKGYPVEFLIQYRKGGPNYGSTVSERVSPDAWP >ONH93129 pep chromosome:Prunus_persica_NCBIv2:G8:19742175:19746090:1 gene:PRUPE_8G214700 transcript:ONH93129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAISKRVGHQYLTPSSSISLLKSIYPVSDHYYGADRPKYGSTLATKGVGHLVRKGTGGRSSVSGIVAAVFGSTGFLGRYLVQQLAKMGSQVLVPFRGSEDSHRHLKLMGDLGQIVPMKYNPRDEDSIKAVMAKANVVINLIGRDYETRNFSFEEVNHSMAEQLATISKEHGGIMRFIQVSCLGASSSSPSRFLRAKAAAEEAVLRELPEATVLRPAVLIGTEDRILNRWAFFAKKYGFLPLIGDGSTKIQPVYVVDVAGAIVAALKDDGTSMGKVYELGGPEVFTVHQLAELMFETIREWPHYVKVPLPIAKAIAAPREILLNKVPFPLPNPEIFNRDQILAQATDTVVSENSLTFSDLGLVPHKLKGYPVEFLIQYRKGGPNYGSTVSERVSPDAWP >ONH93127 pep chromosome:Prunus_persica_NCBIv2:G8:19742175:19745924:1 gene:PRUPE_8G214700 transcript:ONH93127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAISKRVGHQYLTPSSSISLLKSIYPVSDHYYGADRPKYGSTLATKGVGHLVRKGTGGRSSVSGIVAAVFGSTGFLGRYLVQQLAKMGSQVLVPFRGSEDSHRHLKLMGDLGQIVPMKYNPRDEDSIKAVMAKANVVINLIGRDYETRNFSFEEVNHSMAEQLATISKEHGGIMRFIQVSCLGASSSSPSRFLRAKAAAEEAVLRELPEATVLRPAVLIGTEDRILNRWAFFAKKYGFLPLIGDGSTKIQPVYVVDVAGAIVAALKDDGTSMGKVYELGGPEVFTVHQLAELMFETIREWPHYVKVPLPIAKAIAAPREILLNKVPFPLPNPEIFNRDQILAQATDTVVSENSLTFSDLGLVPHKLKGYPVEFLIQYRKGGPNYGSTVSERVSPDAWP >ONH91473 pep chromosome:Prunus_persica_NCBIv2:G8:14377553:14378635:1 gene:PRUPE_8G116800 transcript:ONH91473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHYFAQALAMVLVASMLAVGSANKDWQHGNYTGWGFNHGLNETKGPNKITVGGSENWHYGFDYKQWAWKNGPFYINDTLVFKYDPPNDTTRPHSVYLFQNPWSFMKCDLSQAKMVGKPTQGGGKGFEFVLKSWQPYYFACGEYDGLHCKDGLMRFAVFPMFRGWHY >ONH89787 pep chromosome:Prunus_persica_NCBIv2:G8:1523181:1531953:-1 gene:PRUPE_8G016300 transcript:ONH89787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLNKCEALVSEEEVSSSSNKNDSKRSTFFDVYGPQSKAEVVFKTPEANSTLNLQEVQELVTWVLGEGFMPSWVFIKNKPLIPKVVMLYVPGLDAALYLSQHRLLKSLKEFCGKPRPVLALSCMSDGMQTIDALLTCKVKRKREENSTATEKSNLASQQDDSSSVDLMKELPFPITFYTLTTKQLEDNGYCFNQPGFLSTLPAPSGSSHYEMLALDCEMCVTSEGLELTRATLVTIKGKVVLDKLVKPTNTIVDYNTRFSGITSEMLDGVTTSLKDIQDEFLKLVYKETILVGHSLENDLLALKISHNLVIDTAVLYRYSRGGSYKSKLRDLARKFLSKEIQQSGDGHDSIEDARAAMELALLKIRHGPDFGTRPSLIRKRLLTVLSESGKTSSIIDDVSIVKRYASELSHAVPVSSDDEASSKAQKEARNDRVHFVWTQFSELNAYFKKQAEDPEKLNGKLAEMISLLTCDKNSGNKKGIKCNLASELKEILKRVDTRIRTLYAALPTNAMIIVCTGHGDLATVYRLRKLLLDRSETTMCRESIVKVLEELQAQAEVALCFVGVKS >ONH89788 pep chromosome:Prunus_persica_NCBIv2:G8:1523181:1531859:-1 gene:PRUPE_8G016300 transcript:ONH89788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLNKCEALVSEEEVSSSSNKNDSKRSTFFDVYGPQSKAEVVFKTPEANSTLNLQEVQELVTWVLGEGFMPSWVFIKNKPLIPKVVMLYVPGLDAALYLSQHRLLKSLKEFCGKPRPVLALSCMSDGMQTIDALLTCKVKRKREENSTATEKSNLASQQDDSSSVDLMKELPFPITFYTLTTKQLEDNGYCFNQPGFLSTLPAPSGSSHYEMLALDCEMCVTSEGLELTRATLVTIKGKVVLDKLVKPTNTIVDYNTRFSGITSEMLDGVTTSLKDIQDEFLKLVYKETILVGHSLENDLLALKISHNLVIDTAVLYRYSRGGSYKSKLRDLARKFLSKEIQQSGDGHDSIEDARAAMELALLKIRHGPDFGTRPSLIRKRLLTVLSESGKTSSIIDDVSIVKRYASELSHAVPVSSDDEASSKAQKEARNDRVHFVWTQFSELNAYFKKQAEDPEKLNGKLAEMISLLTCDKNSGNKKGIKCNLASELKEILKRVDTRIRTLYAALPTNAMIIVCTGHGDLATVYRLRKLLLDRSETTMCRESIVKVLEELQAQAEVALCFVGVKS >ONH89786 pep chromosome:Prunus_persica_NCBIv2:G8:1523181:1531859:-1 gene:PRUPE_8G016300 transcript:ONH89786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLNKCEALVSEEEVSSSSNKNDSKRSTFFDVYGPQSKAEVVFKTPEANSTLNLQEVQELVTWVLGEGFMPSWVFIKNKPLIPKVVMLYVPGLDAALYLSQHRLLKSLKEFCGKPRPVLALSCMSDGMQTIDALLTCKVKRKREENSTATEKSNLASQQDDSSSVDLMKELPFPITFYTLTTKQLEDNGYCFNQPGFLSTLPAPSGSSHYEMLALDCEMCVTSEGLELTRATLVTIKGKVVLDKLVKPTNTIVDYNTRFSGITSEMLDGVTTSLKDIQDEFLKLVYKETILVGHSLENDLLALKISHNLVIDTAVLYRYSRGGSYKSKLRDLARKFLSKEIQQSGDGHDSIEDARAAMELALLKIRHGPDFGTRPSLIRKRLLTVLSESGKTSSIIDDVSIVKRYASELSHAVPVSSDDEASSKAQKEARNDRVHFVWTQFSELNAYFKKQAEDPEKLNGKLAEMISLLTCDKNSGNKKGIKCNLASELKEILKRVDTRIRTLYAALPTNAMIIVCTGHGDLATVYSETTMCRESIVKVLEELQAQAEVALCFVGVKS >ONH92849 pep chromosome:Prunus_persica_NCBIv2:G8:18953579:18956139:1 gene:PRUPE_8G199900 transcript:ONH92849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFLVLFAEGVVAFLLLVKIGPLRELVIKSLDQLKMGKGPATVKTIACTMSVILLSSFISIVKIQNKGVKLGTMSPMDQVLWRTHLLEASLMGFTLFLGFIIDRMHHYLSKLIGLRSNVGASKEEVDRLQKETMHLKEKEDKSSQEIKQLQEKISTLSEDLKKLKLECKEKDKNIETAEAHVAALQKQAADLLLEYDRLLEDNQNLQTQSLGYRS >ONH91889 pep chromosome:Prunus_persica_NCBIv2:G8:15926481:15927650:1 gene:PRUPE_8G141900 transcript:ONH91889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >ONH91993 pep chromosome:Prunus_persica_NCBIv2:G8:16304001:16307937:-1 gene:PRUPE_8G148500 transcript:ONH91993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKLFIFITGPISFSFTSITKHPVELVLNPYSWTKLANIIFLDAPAGTGFSYSTTTDGYNTSDTIHAKRASEFLQRWLLTHPKFLANPLYISGDSYSGKIVPIIVQEITNGIEAGIGPSLNLEGYIIGNPVTNRKAELNSQIEYAHRMALISTRMFESTKRNCKGDYVDVDPNNELCLINLQAFEECISRLEVSHILAPACAPGIDDDNFLSFPFPEQLCRVERQRYSEVWANDINVPKALNIREGTKAEWARCNSSIPYIKDVRSSVDYHRNLMQKSLRAFVYSGDHDLKVPHISTEAWIESLSLPIVDDWKPWFSNNQVAGYRVGYSNGEYHLTYATIKGGGHTGPEFNPRESFDMIERWLEHSPL >ONH91992 pep chromosome:Prunus_persica_NCBIv2:G8:16303981:16309356:-1 gene:PRUPE_8G148500 transcript:ONH91992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTNRSLTICIRLLWLWKKSLLLLLLLPNFCSTQTIIKNLPGFSGDLPFKLETGYVGVGNKDELQLFYYFIESERSPENDPLLLWITGGPRCSSFSGLVYENGPISFSFTSITKHPVELVLNPYSWTKLANIIFLDAPAGTGFSYSTTTDGYNTSDTIHAKRASEFLQRWLLTHPKFLANPLYISGDSYSGKIVPIIVQEITNGIEAGIGPSLNLEGYIIGNPVTNRKAELNSQIEYAHRMALISTRMFESTKRNCKGDYVDVDPNNELCLINLQAFEECISRLEVSHILAPACAPGIDDDNFLSFPFPEQLCRVERQRYSEVWANDINVPKALNIREGTKAEWARCNSSIPYIKDVRSSVDYHRNLMQKSLRAFVYSGDHDLKVPHISTEAWIESLSLPIVDDWKPWFSNNQVAGYRVGYSNGEYHLTYATIKGGGHTGPEFNPRESFDMIERWLEHSPL >ONH91991 pep chromosome:Prunus_persica_NCBIv2:G8:16304001:16309314:-1 gene:PRUPE_8G148500 transcript:ONH91991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTNRSLTICIRLLWLWKKSLLLLLLLPNFCSTQTIIKNLPGFSGDLPFKLETGYVGVGNKDELQLFYYFIESERSPENDPLLLWITGGPRCSSFSGLVYENGPISFSFTSITKHPVELVLNPYSWTKLANIIFLDAPAGTGFSYSTTTDGYNTSDTIHAKRASEFLQRWLLTHPKFLANPLYISGDSYSGKIVPIIVQEITNGIEAGIGPSLNLEGYIIGNPVTNRKAELNSQIEYAHRMALISTRMFESTKRNCKGDYVDVDPNNELCLINLQAFEECISRLEVERQRYSEVWANDINVPKALNIREGTKAEWARCNSSIPYIKDVRSSVDYHRNLMQKSLRAFVYSGDHDLKVPHISTEAWIESLSLPIVDDWKPWFSNNQVAGYRVGYSNGEYHLTYATIKGGGHTGPEFNPRESFDMIERWLEHSPL >ONH89627 pep chromosome:Prunus_persica_NCBIv2:G8:410985:415732:1 gene:PRUPE_8G005300 transcript:ONH89627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHRTFTSFPSSSSQWKYVVFLSFRGDDTRKGFTDHLYTALEHQGITTFRENLELQKGMAISPQLLTAIEESRFTLIVLSRNYASSTWCLDELVKILECMEETKTVLPIFYDVDPSDVRKQTGTVAEAFIKHEERFVDDAKKVRKWRAALKKVANLSGWNSKDWYESKLVKDIAEVLRRELLFSSVENQLVGIDSRLKPINLLLGAGVDDVRFVGIWGVGGIGKTTIAKVVRERISNEFEFSIFIGNVRNIVEKGGLVSLQKQLLSGIWMEKDDISNLHEGAMIIRRVLSQKKVLLILDDVNHLEQLESVAGNHEWFGFGSRVLITTRNEYLLIKHGVKRRFQVKGLKSEDALQLFTWKAFKKDYPEKYYLILSNRIVSYVKGLPLALEVLGSFLHGRVLSEWNSALGKLGVCNLEIFEALKISYDGLDDKEKKMFLDIACFFNGKDKDRVIEACDVSAVVILEVLTERSLVKILGGRLWMHDSLQEMGRQIILWEFPDEPGRCSRLWFREDANRVLSKNTGTEAIEGIVLHPADPGVQVHANAKSFSKMVKLRYLKISNVSIYNGLEDLPNSLRILKWTGYPLTYFPSHFNPEKLLELKMCHSYIKHFRMGTKPLHNLKTIKLSHSPNLVSVPNFNGMPNLEVLILEGCTRLFEVDPSIQVLERLTLLNLKDCKNLAHLPSSVGCLKSLKVLNLFGCSRLNILPEELGYIECLEELDVSRTSIRELPSSIGRLKGLTLMNLKDCKYFMHLPTSVNGLKCLKFLNLSGCTRLHELREELGHVECLEKLDVSGTTILLELLASLVREVSNLFLLGKSLT >ONH90088 pep chromosome:Prunus_persica_NCBIv2:G8:3180238:3180897:-1 gene:PRUPE_8G034400 transcript:ONH90088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVIPTPFDYQFEIVTPRRKIPEWFSNQSLGDSLIVELPLDSCTTWMGIALCAVVEFQADLSDFSLFRISCFAEGTGNVVSYEVLPKLFKTGDVVSDHLWVIYLPCKPLQKICSQIKVFFETEYFCQDKNRYVYDKNVKKCGFRLVHKQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQRHNDKDAGPSGSGSSHQKSLFCNTYALSEEADQDELK >ONH90024 pep chromosome:Prunus_persica_NCBIv2:G8:2905761:2906908:1 gene:PRUPE_8G030600 transcript:ONH90024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFQPLCVKNPPIDGWLRTCSCGHQPATKPLPLVSATNSGGKIADSPTNDQVSLPRRRETCYLQVPNKTQ >ONH93137 pep chromosome:Prunus_persica_NCBIv2:G8:19766063:19771337:1 gene:PRUPE_8G215200 transcript:ONH93137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLQGGFDGDGKEEEGYDAVVVGSGYGGSVVACRLSMAAAGIRVCLLEKGRRWESKDFPTDSFKLLSSLRMESRNLGLSFGSKDALIQVYEQNDSVAVVGCGLGGGSLVNAGVMMPTPVRARRHPKWPNDWEKNWDHCEASAAAMLKIQSIPVKFSVGQALEDIAIKEEVGETSETSVKLTVNFDFEDQRPGSTETSKLQEMGSCLACGNCVSGCPYNAKASNDKTYLHSAIQAGCIVKTQCQVQYVIRNTYDNEGKSGSRKRRWRVYLNEIDYVTSDFVILSGGVFGTTEILFQSQMRGLRVSEALGSGFSCNGNNVAYLTGSSTPLNGYGLDKKELFKIPFQARPGPSISTSYTSSMGFTIQNAILPTAYPHLLFKGILTYGWPGGYWVFHGILDKIKLAMGLKATQAVALLALGHDESDGKIMLEKGTNKISFIPPRDPLLPRKIKVFQKLTQKLGGVLFMSKYRSTAVHLLGGCNASSSGPSHGVCNPKGQIFDPQATVHPGLYVCDASLIPCSIGINPSFTIATAAEHISRHLVQDVLEYKIRREGTNNLGGVEDPDSFIEKTKTIDNGRRSVVTFKETMRGHVGGMPCIAYLKMKMNPHGEDQKDSDIEWNLGTNIHGKSHPLLRGKVGGHVEFRGFEKDNLHIIDGDVNLCEVDSRTPYTHYMRYHLHLVASTGSRYILEGRKIMNPYLLASYAWREATTLHVTFEKVADKSSKNDDHDKVILKGELSISMMELLKSLVSFEGNKKVKFLSLLSGTLFRTYFLQIPRGSQEHFNLSDCEHKYSYPSSTLHDIKTEDGVVISCRQWKCQQSLSKLRGSDEQRNPILLVNGYAVESYWLPTEPNDLVRTLIEEGHETWLLQSRLHVLNPSNTFTLEDVGRFDIPAAINKMLELLGPNVKVHVVAHCVGGLAIHIALMGGHVSASHIASLSCTNSSMFFKLNALSTVKMRLPLLPISMLILGNNKTLPLVETSTSPPVSSRHRLLKLIALLIPRYERCRCSECKVVSGIFGNAFWHENISPTVHQWLNKESSTRLPMAAFPHLRKICNSGFIVDSNGSNSYLIHPQRMALPTLYISGGRPLLVTPQTSFLAHKYMKLHQPGFRHERVVVEGFGHSDLLIGEESCEKVFPHILSHIRLADGDEQQGRNVHINVAEGKKVFSDSEANQYQYEEGFGITWFSPFVVLLLAFLLVSLLARLFL >ONH93138 pep chromosome:Prunus_persica_NCBIv2:G8:19766063:19771337:1 gene:PRUPE_8G215200 transcript:ONH93138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLRVSEALGSGFSCNGNNVAYLTGSSTPLNGYGLDKKELFKIPFQARPGPSISTSYTSSMGFTIQNAILPTAYPHLLFKGILTYGWPGGYWVFHGILDKIKLAMGLKATQAVALLALGHDESDGKIMLEKGTNKISFIPPRDPLLPRKIKVFQKLTQKLGGVLFMSKYRSTAVHLLGGCNASSSGPSHGVCNPKGQIFDPQATVHPGLYVCDASLIPCSIGINPSFTIATAAEHISRHLVQDVLEYKIRREGTNNLGGVEDPDSFIEKTKTIDNGRRSVVTFKETMRGHVGGMPCIAYLKMKMNPHGEDQKDSDIEWNLGTNIHGKSHPLLRGKVGGHVEFRGFEKDNLHIIDGDVNLCEVDSRTPYTHYMRYHLHLVASTGSRYILEGRKIMNPYLLASYAWREATTLHVTFEKVADKSSKNDDHDKVILKGELSISMMELLKSLVSFEGNKKVKFLSLLSGTLFRTYFLQIPRGSQEHFNLSDCEHKYSYPSSTLHDIKTEDGVVISCRQWKCQQSLSKLRGSDEQRNPILLVNGYAVESYWLPTEPNDLVRTLIEEGHETWLLQSRLHVLNPSNTFTLEDVGRFDIPAAINKMLELLGPNVKVHVVAHCVGGLAIHIALMGGHVSASHIASLSCTNSSMFFKLNALSTVKMRLPLLPISMLILGNNKTLPLVETSTSPPVSSRHRLLKLIALLIPRYERCRCSECKVVSGIFGNAFWHENISPTVHQWLNKESSTRLPMAAFPHLRKICNSGFIVDSNGSNSYLIHPQRMALPTLYISGGRPLLVTPQTSFLAHKYMKLHQPGFRHERVVVEGFGHSDLLIGEESCEKVFPHILSHIRLADGDEQQGRNVHINVAEGKKVFSDSEANQYQYEEGFGITWFSPFVVLLLAFLLVSLLARLFL >ONH93332 pep chromosome:Prunus_persica_NCBIv2:G8:20302080:20302922:1 gene:PRUPE_8G226300 transcript:ONH93332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSYAFRHVLHYSKWNSMVNYLKETPRRTCISNGIDHLYSPVIALVKPFEIDDRDFNSISAVGLRS >ONH90733 pep chromosome:Prunus_persica_NCBIv2:G8:10536282:10539809:-1 gene:PRUPE_8G072000 transcript:ONH90733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKKFAVLLCAEDSEYVKKMYGGYFGVFLKMLAEEGEAWDLYRVACGEFPLDEEIDGYDGFVISGSSRDAHGNDAWICRLLALLKKLDDMKKKVLGICFGHQILSRALGGKSGRAITGWDIGIRTVHLSASSKAFSSLKIPALLSIYEIHRDEVWELPPKAELIAWSEKTGVEMFKYGDHIMGIQGHPEYTKDILLNLIDRLVKLEYIVDTETEELKAKLQASEPDQEAWKSVCRSFLKGEL >ONH90734 pep chromosome:Prunus_persica_NCBIv2:G8:10536120:10539990:-1 gene:PRUPE_8G072000 transcript:ONH90734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKKFAVLLCAEDSEYVKKMYGGYFGVFLKMLAEEGEAWDLYRVACGEFPLDEEIDGYDGFVISGSSRDAHGNDAWICRLLALLKKLDDMKKKVLGICFGHQILSRALGGKSGRAITGWDIGIRTVHLSASSKAFSSLKIPALLSIYEIHRDEVWELPPKAELIAWSEKTGVEMFKYGDHIMGIQGHPEYTKDILLNLIDRLVKLEYIVDTETEELKAKLQASEPDQEAWKSVCRSFLKGEL >ONH90732 pep chromosome:Prunus_persica_NCBIv2:G8:10536231:10539990:-1 gene:PRUPE_8G072000 transcript:ONH90732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKKFAVLLCAEDSEYVKKMYGGYFGVFLKMLAEEGEAWDLYRVACGEFPLDEEIDGYDGFVISGSSRDAHGNDAWICRLLALLKKLDDMKKKVLGICFGHQILSRALGGKSGRAITGWDIGIRTVHLSASSKAFSSLKIPALLSIYEIHRDEVWELPPKAELIAWSEKTGVEMFKYGDHIMGIQGHPEYTKDILLNLIDRLVKLEYIDTETEELKAKLQASEPDQEAWKSVCRSFLKGEL >ONH92942 pep chromosome:Prunus_persica_NCBIv2:G8:19140185:19143371:-1 gene:PRUPE_8G203700 transcript:ONH92942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEVWKWVLGLIYIFAVASIWIAASFVVQSVVDAGVSPFLITYMCNSLFVIYIPLVEIGRYLEDSCGGLWFWKSKKSSPLQRLGESEQTTLLEESDAIVKMDAEDGGVNFGTETEPKVVSYELVGTSTTRDNVNETADKQVDEKGRWTRTRVAKVSLLISPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLGEKFTLVKFISVLLCMGGTIIVSLGDSQTALSTIASNPLLGDILALVSAALYSVYVTLIRKKLPDEDDEKSGRASMAQFLGFLGLCNILIFLPVALILHFSKLEPFYMLTWEQVGLIVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDSMTGHAPHLADYLGAVAVMIGFAGINIPTDAFNKSNESMHVLEKENIGLTDEAHNSSGTPDSAAPS >ONH92940 pep chromosome:Prunus_persica_NCBIv2:G8:19140174:19143371:-1 gene:PRUPE_8G203700 transcript:ONH92940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEVWKWVLGLIYIFAVASIWIAASFVVQSVVDAGVSPFLITYMCNSLFVIYIPLVEIGRYLEDSCGGLWFWKSKKSSPLQRLGESEQTTLLEESDAIVKMDAEDGGVNFGTETEPKVVSYELVGTSTTRDNVNETADKQVDEKGRWTRTRVAKVSLLISPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLALYSVYVTLIRKKLPDEDDEKSGRASMAQFLGFLGLCNILIFLPVALILHFSKLEPFYMLTWEQVGLIVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDSMTGHAPHLADYLGAVAVMIGFAGINIPTDAFNKSNESMHVLEKENIGLTDEAHNSSGTPDSAAPS >ONH92941 pep chromosome:Prunus_persica_NCBIv2:G8:19140174:19143324:-1 gene:PRUPE_8G203700 transcript:ONH92941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEVWKWVLGLIYIFAVASIWIAASFVVQSVVDAGVSPFLITYMCNSLFVIYIPLVEIGRYLEDSCGGLWFWKSKKSSPLQRLGESEQTTLLEESDAIVKMDAEDGGVNFGTETEPKVVSYELVGTSTTRDNVNETADKQVDEKGRWTRTRVAKVSLLISPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLDILALVSAALYSVYVTLIRKKLPDEDDEKSGRASMAQFLGFLGLCNILIFLPVALILHFSKLEPFYMLTWEQVGLIVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDSMTGHAPHLADYLGAVAVMIGFAGINIPTDAFNKSNESMHVLEKENIGLTDEAHNSSGTPDSAAPS >ONH93151 pep chromosome:Prunus_persica_NCBIv2:G8:19808876:19810469:-1 gene:PRUPE_8G216000 transcript:ONH93151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKVKVRLQEQDDYFAPQNHHRTLLLTNASDESVPGKETHSCSPPSIAITKKAYVSKLQGHSISSSKAENSRNPGKATKPADARSCSILRPRAVLSSPENDGMIGSRNKVVDKNSLAVKVHNTKEKVAAAQKPQQPKIVQSQVKKAARPVNMTKRLQQSVDKKNGVVQSRIQKPMMEKQQASLGMRKPSFTST >ONH92871 pep chromosome:Prunus_persica_NCBIv2:G8:19002657:19005777:-1 gene:PRUPE_8G201200 transcript:ONH92871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGISLKTQELYAVVFATRYLDIFTDFISVYNTIMKLIFLGSSFSIVWYIRQHKIVRRSYDKDQDTFRYVFIVLPCTFLALIINEKFTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEPHYVHWIPWIAGIVQTLLYGDFFYYYFHSWKNNKKLQLPA >ONH92872 pep chromosome:Prunus_persica_NCBIv2:G8:19003409:19005619:-1 gene:PRUPE_8G201200 transcript:ONH92872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGISLKTQELYAVVFATRYLDIFTDFISVYNTIMKLIFLGSSFSIVWYIRQHKIVRRSYDKDQDTFRYVFIVLPCTFLALIINEKFTFKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEPHYVHWIPWIAGIVQTLLYGDFFYYYFHR >ONH92398 pep chromosome:Prunus_persica_NCBIv2:G8:17621127:17624915:-1 gene:PRUPE_8G172900 transcript:ONH92398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQFLAAFLLVLGFAAAEDPYRFFDWNITYGDIYPLGVRQQGILINGQFPGPEIYSVTNDNLIINVHNSLPEPFLISWNGVQHRRNSYQDGVYGTTCPIPPGKNFTYTLQVKDQIGSFYYFPSLAFHKAAGGFGAIKILSRPRIPVPFPDPAGDYSILIGDWYKTDHKILKGILDRGHRLPFPDGIIINGRGPNGTYFTFDQGKTYRLRISNVGLQNSLNFRIQGHKLKLVEVEGTHTIQTTYDSLDIHVGQSYSVLVTADQAPQDYYIAVSTRFTSKVLTSTAVFHYSNSGRQVSGPIPAGPTTQTGWSLSQALSIRTNLTASGPRPNPQGSYHYGLVNVSRTIKLESSAAQVSGKQRYAVNSVSFIPADTPLKLADYFKIGGVFKVGSISDNPTGQKMYLDTSVMGADFRAFVEIVFQNHENIVQSWHLDGYSFWVVGMDGGKWTPASRNEYNLRDAVSRSTTQVYPKSWTAIYIALDNVGMWNLRTEFWARQYLGQQFYLRVYSPVESARDEYPIPRNALLCGRAAGRSTRP >ONH92855 pep chromosome:Prunus_persica_NCBIv2:G8:18968410:18972282:-1 gene:PRUPE_8G200300 transcript:ONH92855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADREEADTKRSIKDRLNGSFRDNSGRRRQIAGKRQRQDDKWEHDLYEDNDPQVSNRKVDARDLRLKLQRKSFKQSSQSGTRSLSGVRDLREKLSGTMVPQPVNADPPKTKLEAAKPVRRSTAVGASAQETKKVTNPASRKKTSQKAGASVDEFLTSLDLEKYSITFQAEEVDMTALVHMTDEDLKALGIPMGPRKKILLALETRV >ONH89526 pep chromosome:Prunus_persica_NCBIv2:G8:9930:11684:-1 gene:PRUPE_8G000100 transcript:ONH89526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAAGLVQFMMAVGEMARGARVPSIQPVWKRELLKARDPPRVTCTHHEYDEVADTKGTIIPLDDMAHRSFFFRPIEMSAIRRFVPQHLRKCSTFEVLTACLWRCRTIALQPDPEEEVRVLCIVNARAKFNPALPVGYYGNAFAFPVALTTAGRLCQNPLGYALELVKQAKADVTEEYMRSLADLMVNRGRPHFTVVGTYLVSDVTRAGFGEVDFGWGKAAYGGPAKGGVGAIPGVASFYIPFKDHNGQDGIVVPICLPAPAMERFIKELDTMLNANDQPNRVQKSTTFITSAL >ONH90206 pep chromosome:Prunus_persica_NCBIv2:G8:4034631:4046036:-1 gene:PRUPE_8G040600 transcript:ONH90206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSERWIDRLQFSSLFGPPPQDALRRKAQVTAYVDYFGQFTSEQFPEDIAELIRNRYPSELKRLFDDVLAMFVLHHPEHGHAVILPIISCIIDGTLAYERTSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKVEQQNSETERSSSGSHATTSDSVDGESSHIPLVQQERKPIRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAVAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYKKQIEVPEAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSREQNRKTRYLFGIGSTSKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQSNGSKKARVEESYPADESVEESQKMSDKQRNRTKKTKKQGPVAAFDSYVLAAVCALACELQLFPLISKGINHAHSKDAKNVAKPAKENVCTNEFRSSVDSAVCHTRRILAILEALFLLKPSSVGTSWSYSSNEIIAAAMVAAHVSELFRWSKACMHALSVLMRCKWDSEICSRASSLYNLIDFHSKAVASIVNKAEPLEAHLRQVPIWRDSFVCFEGRKLSRGGNSRCLNVGQPSASQCEDSAHSETKQKSESASHSFEGSGNTFGKGVASFPLDASDLANFLIMDRHIGFNCSAQVLLRSVLTEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSATPAKAATAVVLQAERELQPWIAKDDDQGQKMWRINQRIVKLIVELMRIHDSPESLVILSSASDLLLRATDGMLVDGEACTLPQLELLEATARAIQPVLEWGESGLAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDILQTSSIRPNPNPVEINGIHGPSYKYFNLDVIDWQADVEKCLTWEAHSRLATGMPIKFLDTAAKELGCSISI >ONH90208 pep chromosome:Prunus_persica_NCBIv2:G8:4034635:4046014:-1 gene:PRUPE_8G040600 transcript:ONH90208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSERWIDRLQFSSLFGPPPQDALRRKAQVTAYVDYFGQFTSEQFPEDIAELIRNRYPSELKRLFDDVLAMFVLHHPEHGHAVILPIISCIIDGTLAYERTSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKVEQQNSETERSSSGSHATTSDSVDGESSHIPLVQQERKPIRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAVAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYKKQIEVPEAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSREQNRKTRYLFGIGSTSKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQSNGSKKARVEESYPADESVEESQKMSDKQRNRTKKTKKQGPVAAFDSYVLAAVCALACELQLFPLISKGINHAHSKDAKNVAKPAKENVCTNEFRSSVDSAVCHTRRILAILEALFLLKPSSVGTSWSYSSNEIIAAAMVAAHVSELFRWSKACMHALSVLMRCKWDSEICSRASSLYNLIDFHSKAVASIVNKAEPLEAHLRQVPIWRDSFVCFEGRKLSRGGNSRCLNVGQPSASQCEDSAHSETKQKSESASHSFEGSGNTFGKGVASFPLDASDLANFLIMDRHIGFNCSAQVLLRSVLTEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSATPAKAATAVVLQAERELQPWIAKDDDQGQKMWRINQRIVKLIVELMRIHDSPESLVILSSASDLLLRATDGMLVDGEACTLPQLELLEATARAIQPVLEWGESGLAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDILQTSSIRPNPNPVEINGIHGPSYKYFNLDVIDWQADVEKCLTWEAHSRLATGMPIKFLDTAAKELGCSISI >ONH90204 pep chromosome:Prunus_persica_NCBIv2:G8:4034660:4045993:-1 gene:PRUPE_8G040600 transcript:ONH90204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSERWIDRLQFSSLFGPPPQDALRRKAQVTAYVDYFGQFTSEQFPEDIAELIRNRYPSELKRLFDDVLAMFVLHHPEHGHAVILPIISCIIDGTLAYERTSPPFASFISLVCPSSEWALACGEILRILTHYNRPIYKVEQQNSETERSSSGSHATTSDSVDGESSHIPLVQQERKPIRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAVAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYKKQIEVPEAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSREQNRKTRYLFGIGSTSKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQSNGSKKARVEESYPADESVEESQKMSDKQRNRTKKTKKQGPVAAFDSYVLAAVCALACELQLFPLISKGINHAHSKDAKNVAKPAKENVCTNEFRSSVDSAVCHTRRILAILEALFLLKPSSVGTSWSYSSNEIIAAAMVAAHVSELFRWSKACMHALSVLMRCKWDSEICSRASSLYNLIDFHSKAVASIVNKAEPLEAHLRQVPIWRDSFVCFEGRKLSRGGNSRCLNVGQPSASQCEDSAHSETKQKSESASHSFEGSGNTFGKGVASFPLDASDLANFLIMDRHIGFNCSAQVLLRSVLTEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSATPAKAATAVVLQAERELQPWIAKDDDQGQKMWRINQRIVKLIVELMRIHDSPESLVILSSASDLLLRATDGMLVDGEACTLPQLELLEATARAIQPVLEWGESGLAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDILQTSSIRPNPNPVEINGIHGPSYKYFNLDVIDWQADVEKCLTWEAHSRLATGMPIKFLDTAAKELGCSISI >ONH90205 pep chromosome:Prunus_persica_NCBIv2:G8:4034660:4045993:-1 gene:PRUPE_8G040600 transcript:ONH90205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSERWIDRLQFSSLFGPPPQDALRRKAQVTAYVDYFGQFTSEQFPEDIAELIRNRYPSELKRLFDDVLAMFVLHHPEHGHAVILPIISCIIDGTLAYERTSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKVEQQNSETERSSSGSHATTSDSVDGESSHIPLVQQERKPIRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAVAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYKKQIEVPEAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSREQNRKTRYLFGIGSTSKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQSNGSKKARVEESYPADESVEESQKMSDKQRNRTKKTKKQGPVAAFDSYVLAAVCALACELQLFPLISKGINHAHSKDAKNVAKPAKENVCTNEFRSSVDSAVCHTRRILAILEALFLLKPSSVGTSWSYSSNEIIAAAMVAAHVSELFRWSKACMHALSVLMRCKWDSEICSRASSLYNLIDFHSKAVASIVNKAEPLEAHLRQVPIWRDSFVCFEGRKLSRGGNSRCLNVGQPSASQCEDSAHSETKQKSESASHSFEGSGNTFGKGVASFPLDASDLANFLIMDRHIGFNCSAQVLLRSVLTEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSATPAKAATAVVLQAERELQPWIAKDDDQGQKMWRINQRIVKLIVELMRIHDSPESLVILSSASDLLLRATDGMLVDGEACTLPQLELLEATARAIQPVLEWGESGLAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDILQTSSIRPNPNPVEINGIHGPSYKYFNLDVIDWQADVEKCLTWEAHSRLATGMPIKFLDTAAKELGCSISI >ONH90207 pep chromosome:Prunus_persica_NCBIv2:G8:4034638:4045560:-1 gene:PRUPE_8G040600 transcript:ONH90207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSERWIDRLQFSSLFGPPPQDALRRKAQVTAYVDYFGQFTSEQFPEDIAELIRNRYPSELKRLFDDVLAMFVLHHPEHGHAVILPIISCIIDGTLAYERTSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKVEQQNSETERSSSGSHATTSDSVDGESSHIPLVQQERKPIRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAVAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYKKQIEVPEAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSREQNRKTRYLFGIGSTSKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQSNGSKKARVEESYPADESVEESQKMSDKQRNRTKKTKKQGPVAAFDSYVLAAVCALACELQLFPLISKGINHAHSKDAKNVAKPAKENVCTNEFRSSVDSAVCHTRRILAILEALFLLKPSSVGTSWSYSSNEIIAAAMVAAHVSELFRWSKACMHALSVLMRCKWDSEICSRASSLYNLIDFHSKAVASIVNKAEPLEAHLRQVPIWRDSFVCFEGRKLSRGGNSRCLNVGQPSASQCEDSAHSETKQKSESASHSFEGSGNTFGKGVASFPLDASDLANFLIMDRHIGFNCSAQVLLRSVLTEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSATPAKAATAVVLQAERELQPWIAKDDDQGQKMWRINQRIVKLIVELMRIHDSPESLVILSSASDLLLRATDGMLVDGEACTLPQLELLEATARAIQPVLEWGESGLAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDILQTSSIRPNPNPVEINGIHGPSYKYFNLDVIDWQADVEKCLTWEAHSRLATGMPIKFLDTAAKELGCSISI >ONH90203 pep chromosome:Prunus_persica_NCBIv2:G8:4034630:4045993:-1 gene:PRUPE_8G040600 transcript:ONH90203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSERWIDRLQFSSLFGPPPQDALRRKAQVTAYVDYFGQFTSEQFPEDIAELIRNRYPSELKRLFDDVLAMFVLHHPEHGHAVILPIISCIIDGTLAYERTSPPFASFISLVCPSSEWALACGEILRILTHYNRPIYKVEQQNSETERSSSGSHATTSDSVDGESSHIPLVQQERKPIRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPSTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAVAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVDGVEVQHEPLGGYISSYKKQIEVPEAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSREQNRKTRYLFGIGSTSKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQSNGSKKARVEESYPADESVEESQKMSDKQRNRTKKTKKQGPVAAFDSYVLAAVCALACELQLFPLISKGINHAHSKDAKNVAKPAKENVCTNEFRSSVDSAVCHTRRILAILEALFLLKPSSVGTSWSYSSNEIIAAAMVAAHVSELFRWSKACMHALSVLMRCKWDSEICSRASSLYNLIDFHSKAVASIVNKAEPLEAHLRQVPIWRDSFVCFEGRKLSRGGNSRCLNVGQPSASQCEDSAHSETKQKSESASHSFEGSGNTFGKGVASFPLDASDLANFLIMDRHIGFNCSAQVLLRSVLTEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVSATPAKAATAVVLQAERELQPWIAKDDDQGQKMWRINQRIVKLIVELMRIHDSPESLVILSSASDLLLRATDGMLVDGEACTLPQLELLEATARAIQPVLEWGESGLAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDILQTSSIRPNPNPVEINGIHGPSYKYFNLDVIDWQADVEKCLTWEAHSRLATGMPIKFLDTAAKELGCSISI >ONH93113 pep chromosome:Prunus_persica_NCBIv2:G8:19677313:19684961:1 gene:PRUPE_8G214000 transcript:ONH93113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMMSGDLEKAGHSFKSGSSYWVDNGIAVFSTSPQVEDDEEALKWAALQRLPTYRRLKKGLLTTPEGHANEVDVKRLGLQERKGLVERLVGVAEEGQESFLLRLKSRIDRVGISFPTIEVRFEHLNISAEAYVGSRALPTVLNYCVNLVEGFLNCIHILPTKKKHLSILKDVSGIINPSRMTLLLGPPSSGKTTLLLALAGKLGQDLKSSGSVTYNGHDMHEFVPQRRAAYISQHDVHIGELTVKETLAFSARCQGVGPRYEMIAELTRREKEANIKPDPDVDVYMKAISTEGQKETLVTDYILKILGLDTCADTLVGDELLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSVKNYVHILHGTAVISLLQPAPETYELFDDIILLSDGQIVYQGPREQVLDFFESMGFQCPERKGVADFLQEVTSRKDQEQYWKNRDEPYRFITVQEFVEAFQSFPVGGKIREELAAPLDKTKSHPAALTTKKYGVRKMELLKACFSRELLLMKRNSFVYIFKIIQLAIMALITMTVFLRTEMHRDSVAEGGIFAGALFFSFVTVMFNGMSELSMTIAKLPVFYKQRDLLFFPAWAYALPTSILKIPVTFLEVSVWVFITYYVIGFDPSVERLFRQYLLFLLINLMASALNRFLAGVGRSLTVANTFGSFALLMIFSLSGFVLSREDIKVWWIWGYWISPLMYGMNAILVNEFLGKSWRHVLPNSTEPLGVAVLRSRGFFTQSYWYWIGVGALIGYIFMFNICFSLSLTYLNPLGKTQAVKSEESQSNEHDEKSGKVGRSQTRGNSLIPQVSTDSEDGSTSSKPSSVRTEATTDTNHKKRGMVLPFEPHSITFDEITYSVDMPQAMKNQGVLEDKLVLLKCVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISVSGYPKKQESFARISGYCEQNDIHSPYVTVYESLMYSAWLRLSTEINSGTRKMFVEEVMRLVELNPLRQALVGLPGESGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTIVCTIHQPSIDIFEAFDELFLLKKGGQEIYVGPLGRHSCHLIKYFEGIEGVSKIKNGYNPATWMLEVTTSAKETELGIDFADVYKSSELYRRNKSLIQELSNPEPGSKDLYFPTHYPQSFFTQCMACVWKQHWSYWRNPPYNAIRLIYTTIVALMFGTMFWNLGSKVTKPQDLFNAIGSMYASVLFLGIKNAMTVQPIVAIERTVFYRERAAGMYSALAYAFAQLTIEIPYVFAQALIYGVIVYAMIGFEWTVAKFFWYLFFMFFTCVYFTFYGMMGVALTPNQHVAGISSNAFFALWNLFSGFMIPRTRIPIWWRWYYWASPMAWTLYGLTVSQFGDIQDKLNTGETVEEFLKNYFGFKQEFIGVVAAAVVGFSLLFAFIYALGIKMLNFQRR >ONH93114 pep chromosome:Prunus_persica_NCBIv2:G8:19677523:19684915:1 gene:PRUPE_8G214000 transcript:ONH93114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMMSGDLEKAGHSFKSGSSYWVDNGIAVFSTSPQVEDDEEALKWAALQRLPTYRRLKKGLLTTPEGHANEVDVKRLGLQERKGLVERLVGVAEEGQESFLLRLKSRIDRVGISFPTIEVRFEHLNISAEAYVGSRALPTVLNYCVNLVEGFLNCIHILPTKKKHLSILKDVSGIINPSRMTLLLGPPSSGKTTLLLALAGKLGQDLKSSGSVTYNGHDMHEFVPQRRAAYISQHDVHIGELTVKETLAFSARCQGVGPRYEMIAELTRREKEANIKPDPDVDVYMKAISTEGQKETLVTDYILKILGLDTCADTLVGDELLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSVKNYVHILHGTAVISLLQPAPETYELFDDIILLSDGQIVYQGPREQVLDFFESMGFQCPERKGVADFLQEVTSRKDQEQYWKNRDEPYRFITVQEFVEAFQSFPVGGKIREELAAPLDKTKSHPAALTTKKYGVRKMELLKACFSRELLLMKRNSFVYIFKIIQLAIMALITMTVFLRTEMHRDSVAEGGIFAGALFFSFVTVMFNGMSELSMTIAKLPVFYKQRDLLFFPAWAYALPTSILKIPVTFLEVSVWVFITYYVIGFDPSVERLFRQYLLFLLINLMASALNRFLAGVGRSLTVANTFGSFALLMIFSLSGFVLSREDIKVWWIWGYWISPLMYGMNAILVNEFLGKSWRHVLPNSTEPLGVAVLRSRGFFTQSYWYWIGVGALIGYIFMFNICFSLSLTYLNPLGKTQAVKSEESQSNEHDEKSGKVGRSQTRGNSLIPQVSTDSEDGSTSSKPSSVRTEATTDTNHKKRGMVLPFEPHSITFDEITYSVDMPQAMKNQGVLEDKLVLLKCVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISVSGYPKKQESFARISGYCEQNDIHSPYVTVYESLMYSAWLRLSTEINSGTRKMFVEEVMRLVELNPLRQALVGLPGESGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTIVCTIHQPSIDIFEAFDELFLLKKGGQEIYVGPLGRHSCHLIKYFEGIEGVSKIKNGYNPATWMLEVTTSAKETELGIDFADVYKSSELYRRNKSLIQELSNPEPGSKDLYFPTHYPQSFFTQCMACVWKQHWSYWRNPPYNAIRLIYTTIVALMFGTMFWNLGSKVTKPQDLFNAIGSMYASVLFLGIKNAMTVQPIVAIERTVFYRERAAGMYSALAYAFAQLTIEIPYVFAQALIYGVIVYAMIGFEWTVAKFFWYLFFMFFTCVYFTFYGMMGVALTPNQHVAGISSNAFFALWNLFSGFMIPRTRIPIWWRWYYWASPMAWTLYGLTVSQFGDIQDKLNTAAVVGFSLLFAFIYALGIKMLNFQRR >ONH90331 pep chromosome:Prunus_persica_NCBIv2:G8:4943559:4946396:-1 gene:PRUPE_8G046900 transcript:ONH90331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVGKTNSALQILSLLLVFLIHSQASTHYNWVKVKEATYTRLCSTKKILTVNGKFPGPVLQAHKGDTIYVNVHNKGSHNITIHWHGVKQPRNPWSDGPEYITQCPIQPGDQFKQTIIFSNEEGTIWWHAHNDWARATVHGAIFVYPKRGAGYPFPKPHEQVQIILGQWWRRDVREVLEEFIRTGGPPNVSDAHTINGQPGDLYPCSKSETFKLLVDQNKTYLLRIVNAAMNTIFFYSIANHNLTVVGVDGSYTKPVTTDYMTISPGQTVDAVLITNQQVGQYYMAARAYSSTLLIPFDNTTTTAIVEYKKIGNNFTPFSSTPPLPHLPYYNDTNAAFTFYDSLKSLANEHHPIDVPIKITNRLVFAVSINTFPCSNNSCAESNGTRLAASMNNISFVSPKTIDILEAYYYHIHGIYKKGFPDFPPLVFNFTGENLPLFLRVPKRGTKVRVFKYGSIVELVFQGTNLGAPIDHPIHLHGFSFYIVGWGFGNFDKDKDPLNYNLIDPPLRNTVAVPISGWAAIRFHANNPGVWFLHCHLEHHLTWGMNTVFIVKDGKNKKERLLPPPPRMPPC >ONH90329 pep chromosome:Prunus_persica_NCBIv2:G8:4943559:4946396:-1 gene:PRUPE_8G046900 transcript:ONH90329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVGKTNSALQILSLLLVFLIHSQASTHYNWVVKEATYTRLCSTKKILTVNGKFPGPVLQAHKGDTIYVNVHNKGSHNITIHWHGVKQPRNPWSDGPEYITQCPIQPGDQFKQTIIFSNEEGTIWWHAHNDWARATVHGAIFVYPKRGAGYPFPKPHEQVQIILGQWWRRDVREVLEEFIRTGGPPNVSDAHTINGQPGDLYPCSKSETFKLLVDQNKTYLLRIVNAAMNTIFFYSIANHNLTVVGVDGSYTKPVTTDYMTISPGQTVDAVLITNQQVGQYYMAARAYSSTLLIPFDNTTTTAIVEYKKIGNNFTPFSSTPPLPHLPYYNDTNAAFTFYDSLKSLANEHHPIDVPIKITNRLVFAVSINTFPCSNNSCAESNGTRLAASMNNISFVSPKTIDILEAYYYHIHGIYKKGFPDFPPLVFNFTGENLPLFLRVPKRGTKVRVFKYGSIVELVFQGTNLGAPIDHPIHLHGFSFYIVGWGFGNFDKDKDPLNYNLIDPPLRNTVAVPISGWAAIRFHANNPGVWFLHCHLEHHLTWGMNTVFIVKDGKNKKERLLPPPPRMPPC >ONH90330 pep chromosome:Prunus_persica_NCBIv2:G8:4943680:4946368:-1 gene:PRUPE_8G046900 transcript:ONH90330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVGKTNSALQILSLLLVFLIHSQASTHYNWVVKEATYTRLCSTKKILTVNGKFPGPVLQAHKGDTIYVNVHNKGSHNITIHCFCNFVARHGVKQPRNPWSDGPEYITQCPIQPGDQFKQTIIFSNEEGTIWWHAHNDWARATVHGAIFVYPKRGAGYPFPKPHEQVQIILGQWWRRDVREVLEEFIRTGGPPNVSDAHTINGQPGDLYPCSKSETFKLLVDQNKTYLLRIVNAAMNTIFFYSIANHNLTVVGVDGSYTKPVTTDYMTISPGQTVDAVLITNQQVGQYYMAARAYSSTLLIPFDNTTTTAIVEYKKIGNNFTPFSSTPPLPHLPYYNDTNAAFTFYDSLKSLANEHHPIDVPIKITNRLVFAVSINTFPCSNNSCAESNGTRLAASMNNISFVSPKTIDILEAYYYHIHGIYKKGFPDFPPLVFNFTGENLPLFLRVPKRGTKVRVFKYGSIVELVFQGTNLGAPIDHPIHLHGFSFYIVGWGFGNFDKDKDPLNYNLIDPPLRNTVAVPISGWAAIRFHANNPGVWFLHCHLEHHLTWGMNTVFIVKDGKNKKERLLPPPPRMPPC >ONH93320 pep chromosome:Prunus_persica_NCBIv2:G8:20275936:20277889:-1 gene:PRUPE_8G225600 transcript:ONH93320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSSRNLTKNMILSTCRHNQNRIPFNALIINRQRVAKKYVAEDQAMAPVVCPYMVYMRFKPHLLMVLIQIGYTFLYFITAASFDHGMNPHVFVTYRHIVGGLVMFPFAYFLERKVRPKLTLALFLEIFVLSLLGLEAVDVMSPHGIAKVFGTLLSLAGVMTMTLYRGPTVQSFPGASVHIRSDSVHKNWTKGSILIVASCISWSIWFIMQGITLKKYPAQLSLTTWINCVGAAQSAVFTVIIEHKQAAWSISYNNEFWSILYAGIVCSGIAIFVQLWCIKQKGPVFVTMFSPLATSLVAVMAYFILGETLHVGRILGAVIIIIGLYLVLWGKDIDENHSRPQDQAILTSDELKKPKIQMEPLAESQVLETDLEKKGAIVG >ONH93319 pep chromosome:Prunus_persica_NCBIv2:G8:20275728:20277911:-1 gene:PRUPE_8G225600 transcript:ONH93319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSSRNLTKNMILSTCRHNQNRIPFNALIINRQRVAKKYVAEDQAMAPVVCPYMVYMRFKPHLLMVLIQIGYTFLYFITAASFDHGMNPHVFVTYRHIVGGLVMFPFAYFLERLEAVDVMSPHGIAKVFGTLLSLAGVMTMTLYRGPTVQSFPGASVHIRSDSVHKNWTKGSILIVASCISWSIWFIMQGITLKKYPAQLSLTTWINCVGAAQSAVFTVIIEHKQAAWSISYNNEFWSILYAGIVCSGIAIFVQLWCIKQKGPVFVTMFSPLATSLVAVMAYFILGETLHVGRILGAVIIIIGLYLVLWGKDIDENHSRPQDQAILTSDELKKPKIQMEPLAESQVLETDLEKKGAIVG >ONH93321 pep chromosome:Prunus_persica_NCBIv2:G8:20275936:20277889:-1 gene:PRUPE_8G225600 transcript:ONH93321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSSRNLTKNMILSTCRHNQNRIPFNALIINRQRVAKKYVAEDQAMAPVVCPYMVYMRFKPHLLMVLIQIGYTFLYFITAASFDHGMNPHVFVTYRHIVGGLVMFPFAYFLERKVRPKLTLALFLEIFVLSLLGVSLTLNIYFASLKYTSPTFVTSIANTIPSLTFLIAVILRLEAVDVMSPHGIAKVFGTLLSLAGVMTMTLYRGPTVQSFPGASVHIRSDSVHKNWTKGSILIVASCISWSIWFIMQGITLKKYPAQLSLTTWINCVGAAQSAVFTVIIEHKQAAWSISYNNEFWSILYAGIVCSGIAIFVQLWCIKQKGPVFVTMFSPLATSLVAVMAYFILGETLHVGRILGAVIIIIGLYLVLWGKDIDENHSRPQDQAILTSDELKKPKIQMEPLAESQVLETDLEKKGAIVG >ONH92245 pep chromosome:Prunus_persica_NCBIv2:G8:17185698:17189928:1 gene:PRUPE_8G165200 transcript:ONH92245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEEVFKDLIKKKKKKKKNVVLVGIPIGSQSRELLGWALVKVAEPGDCVIAVHVCRSSDFASKAKTILDGYLEGYEGLCDMKKVDLTTQVLTGRSIQKALVREAKNHATLAIVVGTSKPNTLGGWDSTAKFCAKKLPPTTDVVAIHNGKIVFRRCTSNQLPGFTGDPRPSLSQIENLTSKGSSSEFGDFEEDTETVKSFSDMAQSSQDGSKHSSEDLKNEHKRVPCRSTSLGAGEHVGKSLGWPLLRRATSANPQFPAPRDMSVVQWVMSLPDRSPQQSPQCSTIKENPFERGISDIVDEVIKDSCSDLDELPEKLKRLLETNSSGCRWFSHEVLKNSTSQFSSENLIGKGGCNLVFKGTLADGKQVAVKLMKSSKEAWTDFAHEVDIVSSLKHEHILPLLGFCIEDNVLISVYDFLPKGSLEGNLHGKNIGKSVLPWEVRFNVAVGIAESLNYLHNECSPPVIHRDVKSSNILLTKEFVPQLSDFGLAIWGPTTTSFLTECDVVGTFGYLAPEYFMYGKISDKIDVYAFGVVLLELLSGRKPIGSETPKEQESLVMWAKPKIDRGDVKDILDPSLGGKFDEVQVLRMVHAAKLCITRSARLRPKMSAILKLLKGDSDVEKQVNSQSFDLEDSEIHDDNDDDEVYPNSCAASHLGLALLDVDDDTTSFSSAERSNSVSWEEYLKGRWSRSSSFD >ONH92244 pep chromosome:Prunus_persica_NCBIv2:G8:17186409:17189928:1 gene:PRUPE_8G165200 transcript:ONH92244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEEVFKDLIKKKKKKKKNVVLVGIPIGSQSRELLGWALVKVAEPGDCVIAVHVCRSSDFASKAKTILDGYLEGYEGLCDMKKVDLTTQVLTGRSIQKALVREAKNHATLAIVVGTSKPNTLGGWDSTAKFCAKKLPPTTDVVAIHNGKIVFRRCTSNQLPGFTGDPRPSLSQIENLTSKGSSSEFGDFEEDTETVKSFSDMAQSSQDGSKHSSEDLKNEHKRVPCRSTSLGAGEHVGKSLGWPLLRRATSANPQFPAPRDMSVVQWVMSLPDRSPQQSPQCSTIKENPFERGISDIVDEVIKDSCSDLDELPEKLKRLLETNSSGCRWFSHEVLKNSTSQFSSENLIGKGGCNLVFKGTLADGKQVAVKLMKSSKEAWTDFAHEVDIVSSLKHEHILPLLGFCIEDNVLISVYDFLPKGSLEGNLHGKNIGKSVLPWEVRFNVAVGIAESLNYLHNECSPPVIHRDVKSSNILLTKEFVPQLSDFGLAIWGPTTTSFLTECDVVGTFGYLAPEYFMYGKISDKIDVYAFGVVLLELLSGRKPIGSETPKEQESLVMWAKPKIDRGDVKDILDPSLGGKFDEVQVLRMVHAAKLCITRSARLRPKMSAILKLLKGDSDVEKQVNSQSFDLEDSEIHDDNDDDEVYPNSCAASHLGLALLDVDDDTTSFSSAERSNSVSWEEYLKGRWSRSSSFD >ONH90101 pep chromosome:Prunus_persica_NCBIv2:G8:3229994:3240346:-1 gene:PRUPE_8G035200 transcript:ONH90101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQGVFTVQNAQNVMEPVTNVMENVMVDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSEGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDREGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90099 pep chromosome:Prunus_persica_NCBIv2:G8:3229971:3240329:-1 gene:PRUPE_8G035200 transcript:ONH90099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGDLSGLNEIEGKKNNAEEKEMTYEDREGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDRGTVSLLEGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90097 pep chromosome:Prunus_persica_NCBIv2:G8:3230286:3239928:-1 gene:PRUPE_8G035200 transcript:ONH90097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGDLSGLNEIEGKKNNAEEKEMTYEDREGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQGEEATAETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90111 pep chromosome:Prunus_persica_NCBIv2:G8:3230286:3239928:-1 gene:PRUPE_8G035200 transcript:ONH90111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQGVFTVQNAQNVMEPVTNVMENVMVDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGGLPLYHVFNDSSSLGDLSGLNEIEGKKNNAEEKEMTYEDREGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDRGTVSLLEGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQGEEATAETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90096 pep chromosome:Prunus_persica_NCBIv2:G8:3229971:3240329:-1 gene:PRUPE_8G035200 transcript:ONH90096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGDLSGLNEIEGKKNNAEEKEMTYEDREGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90108 pep chromosome:Prunus_persica_NCBIv2:G8:3229932:3240329:-1 gene:PRUPE_8G035200 transcript:ONH90108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQGVFTVQNAQNVMEPVTNVMENVMVDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGGLPLYHVFNDSSSLGDLSGLNEIEGKKNNAEEKEMTYEDREGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDREGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90102 pep chromosome:Prunus_persica_NCBIv2:G8:3230286:3239928:-1 gene:PRUPE_8G035200 transcript:ONH90102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQGVFTVQNAQNVMEPVTNVMENVMVDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSEGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDREGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQGEEATAETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90106 pep chromosome:Prunus_persica_NCBIv2:G8:3229995:3240329:-1 gene:PRUPE_8G035200 transcript:ONH90106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQGVFTVQNAQNVMEPVTNVMENVMVDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGDLSGLNEIEGKKNNAEEKEMTYEDREGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDRGTVSLLEGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90109 pep chromosome:Prunus_persica_NCBIv2:G8:3230286:3239928:-1 gene:PRUPE_8G035200 transcript:ONH90109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQGVFTVQNAQNVMEPVTNVMENVMVDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGGLPLYHVFNDSSSLGDLSGLNEIEGKKNNAEEKEMTYEDREGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDREGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQGEEATAETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90105 pep chromosome:Prunus_persica_NCBIv2:G8:3229933:3240347:-1 gene:PRUPE_8G035200 transcript:ONH90105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQGVFTVQNAQNVMEPVTNVMENVMVDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGDLSGLNEIEGKKNNAEEKEMTYEDREGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDREGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQGEEATAETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90104 pep chromosome:Prunus_persica_NCBIv2:G8:3229933:3240348:-1 gene:PRUPE_8G035200 transcript:ONH90104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQGVFTVQNAQNVMEPVTNVMENVMVDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGDLSGLNEIEGKKNNAEEKEMTYEDREGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDREGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90098 pep chromosome:Prunus_persica_NCBIv2:G8:3229938:3240329:-1 gene:PRUPE_8G035200 transcript:ONH90098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGDLSGLNEIEGKKNNAEEKEMTYEDREGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDREGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQGEEATAETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90100 pep chromosome:Prunus_persica_NCBIv2:G8:3230286:3239928:-1 gene:PRUPE_8G035200 transcript:ONH90100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGDLSGLNEIEGKKNNAEEKEMTYEDREGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDRGTVSLLEGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQGEEATAETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90110 pep chromosome:Prunus_persica_NCBIv2:G8:3230286:3239928:-1 gene:PRUPE_8G035200 transcript:ONH90110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQGVFTVQNAQNVMEPVTNVMENVMVDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGGLPLYHVFNDSSSLGDLSGLNEIEGKKNNAEEKEMTYEDREGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDRGTVSLLEGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90103 pep chromosome:Prunus_persica_NCBIv2:G8:3230286:3239928:-1 gene:PRUPE_8G035200 transcript:ONH90103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQGVFTVQNAQNVMEPVTNVMENVMVDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSEGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDRGTVSLLEGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQGEEATAETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90107 pep chromosome:Prunus_persica_NCBIv2:G8:3229938:3240347:-1 gene:PRUPE_8G035200 transcript:ONH90107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYAMKRKQLQSLCKQHGIPANLRNTEMAHKLTLLLKEDEKLSEPVCKNLEENEGGIDSEVETKKVKKVKFSPENQTFYFVGTDNDSNSDCDYNPKKKQGRKRTSMVVKKVQVFENSRGLEHSHKIIDSPGRVTRSRAQKMDEGNAEAVFSPLPGKKKLTNRVKKVDSCDKPPPEVELIERVDSNAEHANLNGGLIQRQLRNRVVVSDDGGNSLVLRKDLVPRGSKKPKQNKGSDGDLLPTETYEENVPVGKGIKPEKVQKQCKGNVTKSGKTDLGGSRITRSRSQVGGNSSGVESKTDILIVQEKGQEVLQLKETCKGLDREHLRRKSAVPLKRSLEGDNLANEAVVPGKTLRRSKRKVARDRDSACGPLAETKLVGRSKKSKTKFVGKASGQEECELEEPSKDGQKIPVFQKGKELAKGDTRKRPRNIDLERASKVESSVERMEENVAVLPNGPLRRSRRKTTLFSSAAHDDREFRICEAAGTVKQSTAAGTVKQPVPDKGANVSQSLRRSSRNACEKILTEATGDMCGIANGVKKDGLVKQIQEPTYEKESSLIVHDSLNKSPQRRSSRILSKSGLVAPTGRTGIAAGKNKKSESRMPIIKEKVSFAKINSPLEENLSTDIRLNIPEASGNENNTDSCCSKGLERSSKQRKGSSKQRKGSSKQRKGSSKKRESAGRGQPVYDEVSTAYSIVEKAMDISGHLKDNQISESMKFQGISTVQKPQNVTEPLTNEMENSIPDNTTACDMDYHSYLSLNKCHTGHAIAVEQNPVECPDKFSNVVSSKFTCLSDGHSPTYQPDCEGECSNLLDLNKGLETDESLTVKTSDQRIDVVDDQLEGGSKVSVPEDQNLVQLDASGIDQPVLVEQVLEDHLTELGENKESDSNNAKSSALVEEICAFSSCDREEMVQVDVGSTAETSAETDGDIHLGRIVLSDTAVTLSKSSLVKEATDSCCSKEAVEKSSNKWESAAMGQPVCFEVSAVHSSIEKTMDISAHLKENQLSKYNNLQGVFTVQNAQNVMEPVTNVMENVMVDSGLNVPENATVRDMEDNYYPSLDRGDTGHIIAVEQTSEECMDKLSNVSFSRLTCLGDGHSPTCQPHCEGECTNLLDLKGLETDESWTVKTSHQKSDVVDDQLEDGSKASVPEDHNLVQLDARGINQPVLVEPVVEDHLTECDENNESDRNTAKSSEVVEETSEETVADIHLDRIVPSDTAVTLPKSSLLKQEGDQLENQFKSPVEGRSICEIDEALLYGSKNQNLSFDEVDYPEDDTVAASKIDSAIVVETESTSLSDCALAKMQHRNENILKEVTSEMKRHPSIASDDSMHMDGNADMDDNVGTLDGGMNSLNVSSKTEISSDNIGDFLVGDELADLSCCDHGIILGNAGASNSMAQTIPGLTDIDGIVGTESITQVKLCADNSCAKPQMYSGDLSGLNEIEGKKNNAEEKEMTYEDREGDQRKDQFKSPVEGRNIHERNQALLHGSKNQNLSFEEVDILEKHTVAANNTESSMDAVVNETESRSLSDFSLAKMRRGNENKSPDPSTMVVSVDSAVQERALNNVMQSVLLLDQGKYGDPGIHKEVSLEMKRHPSIASVDSMHTDGNADMDDNIGTLDGGINSVNVSSKTAINSDNTGDFLVRDELGDLCCCDHGIILGNAGVNNRVSQNEPGLADTDRIVDTESITQVNLSADNFCAKRQMHSGDLSGLNESEGRNNAEEKEMTYEDRGTVSLLEGDQLKDQFESPVEGGIICERVEALLHGSQNQNLSFDEVDILEKHTVAANDIGSSMDALVDETQSGSLSDFALAKMQLGNENKSPGPSNMVVPVDSEVQERASNEVIQSVLQPDPAKCDDLELQGNEFGVLERVSGVKVVESLNVGINRTRTSQETEEVIDLLNLNDRDIISGDSKESPGFENLHPISMVKPETGVDCSADSPATDSSHGQCLLHQGEEATAETKALELNAASPLFSSYEIKQLFSDDKMDGFSKSYPDMSKVDSVIEDSEAVKKGKESAVGIAQVAAGQLTDHEHKSEDVMELKSTLEMGIHYPVGSPVTNFSSCGDIKRDEFQKLDAGLSKANLMNEVGEHVKEGLENDLGIAQVVAKKHSDHHGQRMADELLKSTHPPVSDGNTCVNERPKEKGIRPINQVFVDHEDIDEAGDIEYSNVWARTGKSVDDSEMSGSNPGAFDVPIDVPAANSYPNYLMQARSNVNPDVEDAKFSVESEIVSEQNVTADQCPISADSGLRKDNLESPRVRSWEADSDKSISISFAPRENKTDSLVPSPPKSFVNTADMKENFRNTKNDKVSNSAVKTLQPRPALKDLQKK >ONH90738 pep chromosome:Prunus_persica_NCBIv2:G8:10619587:10619877:1 gene:PRUPE_8G072400 transcript:ONH90738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPASLVQEKIRLFIEKLGKSLSKKHGVVPKGYIDVYLGKERKSYRVPLKYLLYPTFEKLIKKSQTDVLDPNIEGPFMLTCNTNTFDKLLKIFKEY >ONH92228 pep chromosome:Prunus_persica_NCBIv2:G8:17116084:17120089:-1 gene:PRUPE_8G164200 transcript:ONH92228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRSRTLPSRIHHGAVEERHDIRHYLQVEVQPKVTESEAINPQSSYSKCFDDDGRLKRTGNFWTSASHIITAVIGSGVLSLAWAIAQLGWVAGPSVLLLFALVNLYTSNLLAMCYRSGDPVTGQRNYTYMDAIKANLGGRKVMLCGLVQYFNLFGVAIGYTIASSVSMMAIKRSNCYHKSGGKDPCHMSSNGYMITFGIIEVIFSQIPDFNQVWWLSIVAAIMSFTYSSVGLGLGIGKVVGNGGFKGSLLGISIGTVTHAGTVTPTQKMWRSMQALGAIAFAYSYSLVLIEIQDTIRSPPAEHKTMKKATVFSIALTTVFYLFCGCFGYAAFGDLAPGNLLTGFGFYKPYWLLDIANVAIVVHLVGAFQVYCQPLFAFVEKWSAQRWPKRDFVTAEYDIPIPFYGVYQLNLFRLVWRTIFVMITTLISMLLPFFNDVVGILGAFGFWPLTVYFPVEMYIAQQKIGKWSSRWVGLKMLSISCLLVTIAAAVGSVAGVVLDLKTYKPFKTSY >ONH92229 pep chromosome:Prunus_persica_NCBIv2:G8:17117806:17119942:-1 gene:PRUPE_8G164200 transcript:ONH92229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRSRTLPSRIHHGAVEERHDIRHYLQVEVQPKVTESEAINPQSSYSKCFDDDGRLKRTGNFWTSASHIITAVIGSGVLSLAWAIAQLGWVAGPSVLLLFALVNLYTSNLLAMCYRSGDPVTGQRNYTYMDAIKANLGGRKVMLCGLVQYFNLFGVAIGYTIASSVSMMAIKRSNCYHKSGGKDPCHMSSNGYMITFGIIEVIFSQIPDFNQVWWLSIVAAIMSFTYSSVGLGLGIGKVVGNGGFKGSLLGISIGTVTHAGTVTPTQKMWRSMQALGAIAFAYSYSLVLIEIQDTIRSPPAEHKTMKKATVFSIALTTVFYLFCGCFGYAAFGDLAPGNLLTGFGFYKPYWLLDIANVAIVVHLVGAFQVCLRAAC >ONH93516 pep chromosome:Prunus_persica_NCBIv2:G8:20837855:20840467:-1 gene:PRUPE_8G235300 transcript:ONH93516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVADDMIKNMDTEKIKKYLFQIAMKSQWKEVVRTYRLNPEAHKAKITRTGDTALHIAVSDRQEDHVEELVKLISPDKLERQNERGNTPLHFAASMGNLRMCACIAERHPLLVGAPNDDNETPLFLAALHGKKNAFLCLHYIYIKSPDREQKRFNYCRRKDGDTILHCAISGDYFDLAFQIIKLYTDLANSVNEQGISPLHLLAAKPSAFKSGSHLSPTDRIIYHCIYVDELRLERPDSYNQGIIKTFKEEKDPNYPENYQTCINFIRLFWNLIRLVSSSCQKADPETPVEPKSHQSFDSFPANYRICFEYVKLLSKAMLIVLGLGSTQIRKIREKKEKHKWSVQIMNELLTRTPMYLYEDEDSGMNPAPRKDKEETLPYEIVDGGDVIMGRESIIELPKDDDNKEIGEGEATEKKTVKLLAKKETPILIAAKSGVTEMVEKILELFPVAIHDTNTEKKNVVLLAVENRQPHVYQLLLKRNVLKDSVFGKVDDQGNSALHLAAKLEEHKPWLIPGAALQMQWEIKWYEHYAVREKLHATALLCPPQ >ONH93514 pep chromosome:Prunus_persica_NCBIv2:G8:20836796:20840506:-1 gene:PRUPE_8G235300 transcript:ONH93514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVADDMIKNMDTEKIKKYLFQIAMKSQWKEVVRTYRLNPEAHKAKITRTGDTALHIAVSDRQEDHVEELVKLISPDKLERQNERGNTPLHFAASMGNLRMCACIAERHPLLVGAPNDDNETPLFLAALHGKKNAFLCLHYIYIKSPDREQKRFNYCRRKDGDTILHCAISGDYFDLANSVNEQGISPLHLLAAKPSAFKSGSHLSPTDRIIYHCIYVDELRLERPDSYNQGIIKTFKEEKDPNYPENYQTCINFIRLFWNLIRLVSSSCQKADPETPVEPKSHQSFDSFPANYRICFEYVKLLSKAMLIVLGLGSTQIRKIREKKEKHKWSVQIMNELLTRTPMYLYEDEDSGMNPAPRKDKEETLPYEIVDGGDVIMGRESIIELPKDDDNKEIGEGEATEKKTVKLLAKKETPILIAAKSGVTEMVEKILELFPVAIHDTNTEKKNVVLLAVENRQPHVYQLLLKRNVLKDSVFGKVDDQGNSALHLAAKLEEHKPWLIPGAALQMQWEIKWYEHYAVREKLHATALLCPPQ >ONH93518 pep chromosome:Prunus_persica_NCBIv2:G8:20838000:20840506:-1 gene:PRUPE_8G235300 transcript:ONH93518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVADDMIKNMDTEKIKKYLFQIAMKSQWKEVVRTYRLNPEAHKAKITRTGDTALHIAVSDRQEDHVEELVKLISPDKLERQNERGNTPLHFAASMGNLRMCACIAERHPLLVGAPNDDNETPLFLAALHGKKNAFLCLHYIYIKSPDREQKRFNYCRRKDGDTILHCAISGDYFDLAFQIIKLYTDLANSVNEQGISPLHLLAAKPSAFKSGSHLSPTDRIIYHCIYVDELRLERPDSYNQGIIKTFKEEKDPNYPENYQTCINFIRLFWNLIRLVSSSCQKADPETPVEPKSHQSFDSFPANYRICFEYVKLLSKAMLIVLGLGSTQIRKIREKKEKHKWSVQIMNELLTRTPMYLYEDEDSGMNPAPRKDKEETLPYEIVDGGDVIMGRESIIELPKDDDNKEIGEGEATEKKTVKLLAKKETPILIAAKSGVTEMVEKILELFPVAIHDTNTEKKNVVLLAVENRQPHVYQLLLKRNVLKDSVFGKVDDQGNSALHLAAKLEEHKPWLIPGAALQMQWEIKWYEVIN >ONH93513 pep chromosome:Prunus_persica_NCBIv2:G8:20836796:20840506:-1 gene:PRUPE_8G235300 transcript:ONH93513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVADDMIKNMDTEKIKKYLFQIAMKSQWKEVVRTYRLNPEAHKAKITRTGDTALHIAVSDRQEDHVEELVKLISPDKLERQNERGNTPLHFAASMGNLRMCACIAERHPLLVGAPNDDNETPLFLAALHGKKNAFLCLHYIYIKSPDREQKRFNYCRRKDGDTILHCAISGDYFDLAFQIIKLYTDLANSVNEQGISPLHLLAAKPSAFKSGSHLSPTDRIIYHCIYVDELRLERPDSYNQGIIKTFKEEKDPNYPENYQTCINFIRLFWNLIRLVSSSCQKADPETPVEPKSHQSFDSFPANYRICFEYVKLLSKAMLIVLGLGSTQIRKIREKKEKHKWSVQIMNELLTRTPMYLYEDEDSGMNPAPRKDKEETLPYEIVDGGDVIMGRESIIELPKDDDNKEIGEGSSGEATEKKTVKLLAKKETPILIAAKSGVTEMVEKILELFPVAIHDTNTEKKNVVLLAVENRQPHVYQLLLKRNVLKDSVFGKVDDQGNSALHLAAKLEEHKPWLIPGAALQMQWEIKWYEYVKNSMPLHFFARHNRIGQTARDLFTESHKNIVKDGGQWLTNTSESCSVVAALIATVAFATATTVPGGIKEDSGKPTLENQPAFDVFAIASLVALCFSVTAMVMFLSILTSRYQEKDFGKGLPRKLLVGLTSLFVSIASMLVSFCAGHFFVLKDKLKYAVFPVYALTCLPVTFFAMVQFPLYVDLIWATFKKVPRRSYKAASL >ONH93515 pep chromosome:Prunus_persica_NCBIv2:G8:20837855:20840467:-1 gene:PRUPE_8G235300 transcript:ONH93515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVADDMIKNMDTEKIKKYLFQIAMKSQWKEVVRTYRLNPEAHKAKITRTGDTALHIAVSDRQEDHVEELVKLISPDKLERQNERGNTPLHFAASMGNLRMCACIAERHPLLVGAPNDDNETPLFLAALHGKKNAFLCLHYIYIKSPDREQKRFNYCRRKDGDTILHCAISGDYFDLANSVNEQGISPLHLLAAKPSAFKSGSHLSPTDRIIYHCIYVDELRLERPDSYNQGIIKTFKEEKDPNYPENYQTCINFIRLFWNLIRLVSSSCQKADPETPVEPKSHQSFDSFPANYRICFEYVKLLSKAMLIVLGLGSTQIRKIREKKEKHKWSVQIMNELLTRTPMYLYEDEDSGMNPAPRKDKEETLPYEIVDGGDVIMGRESIIELPKDDDNKEIGEGSSGEATEKKTVKLLAKKETPILIAAKSGVTEMVEKILELFPVAIHDTNTEKKNVVLLAVENRQPHVYQLLLKRNVLKDSVFGKVDDQGNSALHLAAKLEEHKPWLIPGAALQMQWEIKWYEHYAVREKLHATALLCPPQ >ONH93510 pep chromosome:Prunus_persica_NCBIv2:G8:20836794:20840506:-1 gene:PRUPE_8G235300 transcript:ONH93510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVADDMIKNMDTEKIKKYLFQIAMKSQWKEVVRTYRLNPEAHKAKITRTGDTALHIAVSDRQEDHVEELVKLISPDKLERQNERGNTPLHFAASMGNLRMCACIAERHPLLVGAPNDDNETPLFLAALHGKKNAFLCLHYIYIKSPDREQKRFNYCRRKDGDTILHCAISGDYFDLANSVNEQGISPLHLLAAKPSAFKSGSHLSPTDRIIYHCIYVDELRLERPDSYNQGIIKTFKEEKDPNYPENYQTCINFIRLFWNLIRLVSSSCQKADPETPVEPKSHQSFDSFPANYRICFEYVKLLSKAMLIVLGLGSTQIRKIREKKEKHKWSVQIMNELLTRTPMYLYEDEDSGMNPAPRKDKEETLPYEIVDGGDVIMGRESIIELPKDDDNKEIGEGEATEKKTVKLLAKKETPILIAAKSGVTEMVEKILELFPVAIHDTNTEKKNVVLLAVENRQPHVYQLLLKRNVLKDSVFGKVDDQGNSALHLAAKLEEHKPWLIPGAALQMQWEIKWYEYVKNSMPLHFFARHNRIGQTARDLFTESHKNIVKDGGQWLTNTSESCSVVAALIATVAFATATTVPGGIKEDSGKPTLENQPAFDVFAIASLVALCFSVTAMVMFLSILTSRYQEKDFGKGLPRKLLVGLTSLFVSIASMLVSFCAGHFFVLKDKLKYAVFPVYALTCLPVTFFAMVQFPLYVDLIWATFKKVPRRSYKAASL >ONH93519 pep chromosome:Prunus_persica_NCBIv2:G8:20838049:20840467:-1 gene:PRUPE_8G235300 transcript:ONH93519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVADDMIKNMDTEKIKKYLFQIAMKSQWKEVVRTYRLNPEAHKAKITRTGDTALHIAVSDRQEDHVEELVKLISPDKLERQNERGNTPLHFAASMGNLRMCACIAERHPLLVGAPNDDNETPLFLAALHGKKNAFLCLHYIYIKSPDREQKRFNYCRRKDGDTILHCAISGDYFDLAFQIIKLYTDLANSVNEQGISPLHLLAAKPSAFKSGSHLSPTDRIIYHCIYVDELRLERPDSYNQGIIKTFKEEKDPNYPENYQTCINFIRLFWNLIRLVSSSCQKADPETPVEPKSHQSFDSFPANYRICFEYVKLLSKAMLIVLGLGSTQIRKIREKKEKHKWSVQIMNELLTRTPMYLYEDEDSGMNPAPRKDKEETLPYEIVDGGDVIMGRESIIELPKDDDNKEIGEGSSGEATEKKTVKLLAKKETPILIAAKSGVTEMVEKILELFPVAIHDTNTEKKNVVLLAVENRQPHVYQLLLKRNVLKDSVFGKVDDQGNSALHLAAKLEEHKPWLIPGAALQMQWEIKWYEVIN >ONH93511 pep chromosome:Prunus_persica_NCBIv2:G8:20836793:20840506:-1 gene:PRUPE_8G235300 transcript:ONH93511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVADDMIKNMDTEKIKKYLFQIAMKSQWKEVVRTYRLNPEAHKAKITRTGDTALHIAVSDRQEDHVEELVKLISPDKLERQNERGNTPLHFAASMGNLRMCACIAERHPLLVGAPNDDNETPLFLAALHGKKNAFLCLHYIYIKSPDREQKRFNYCRRKDGDTILHCAISGDYFDLANSVNEQGISPLHLLAAKPSAFKSGSHLSPTDRIIYHCIYVDELRLERPDSYNQGIIKTFKEEKDPNYPENYQTCINFIRLFWNLIRLVSSSCQKADPETPVEPKSHQSFDSFPANYRICFEYVKLLSKAMLIVLGLGSTQIRKIREKKEKHKWSVQIMNELLTRTPMYLYEDEDSGMNPAPRKDKEETLPYEIVDGGDVIMGRESIIELPKDDDNKEIGEGSSGEATEKKTVKLLAKKETPILIAAKSGVTEMVEKILELFPVAIHDTNTEKKNVVLLAVENRQPHVYQLLLKRNVLKDSVFGKVDDQGNSALHLAAKLEEHKPWLIPGAALQMQWEIKWYEYVKNSMPLHFFARHNRIGQTARDLFTESHKNIVKDGGQWLTNTSESCSVVAALIATVAFATATTVPGGIKEDSGKPTLENQPAFDVFAIASLVALCFSVTAMVMFLSILTSRYQEKDFGKGLPRKLLVGLTSLFVSIASMLVSFCAGHFFVLKDKLKYAVFPVYALTCLPVTFFAMVQFPLYVDLIWATFKKVPRRSYKAASL >ONH93512 pep chromosome:Prunus_persica_NCBIv2:G8:20836796:20840506:-1 gene:PRUPE_8G235300 transcript:ONH93512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVADDMIKNMDTEKIKKYLFQIAMKSQWKEVVRTYRLNPEAHKAKITRTGDTALHIAVSDRQEDHVEELVKLISPDKLERQNERGNTPLHFAASMGNLRMCACIAERHPLLVGAPNDDNETPLFLAALHGKKNAFLCLHYIYIKSPDREQKRFNYCRRKDGDTILHCAISGDYFDLAFQIIKLYTDLANSVNEQGISPLHLLAAKPSAFKSGSHLSPTDRIIYHCIYVDELRLERPDSYNQGIIKTFKEEKDPNYPENYQTCINFIRLFWNLIRLVSSSCQKADPETPVEPKSHQSFDSFPANYRICFEYVKLLSKAMLIVLGLGSTQIRKIREKKEKHKWSVQIMNELLTRTPMYLYEDEDSGMNPAPRKDKEETLPYEIVDGGDVIMGRESIIELPKDDDNKEIGEGEATEKKTVKLLAKKETPILIAAKSGVTEMVEKILELFPVAIHDTNTEKKNVVLLAVENRQPHVYQLLLKRNVLKDSVFGKVDDQGNSALHLAAKLEEHKPWLIPGAALQMQWEIKWYEYVKNSMPLHFFARHNRIGQTARDLFTESHKNIVKDGGQWLTNTSESCSVVAALIATVAFATATTVPGGIKEDSGKPTLENQPAFDVFAIASLVALCFSVTAMVMFLSILTSRYQEKDFGKGLPRKLLVGLTSLFVSIASMLVSFCAGHFFVLKDKLKYAVFPVYALTCLPVTFFAMVQFPLYVDLIWATFKKVPRRSYKAASL >ONH93517 pep chromosome:Prunus_persica_NCBIv2:G8:20837855:20840467:-1 gene:PRUPE_8G235300 transcript:ONH93517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVADDMIKNMDTEKIKKYLFQIAMKSQWKEVVRTYRLNPEAHKAKITRTGDTALHIAVSDRQEDHVEELVKLISPDKLERQNERGNTPLHFAASMGNLRMCACIAERHPLLVGAPNDDNETPLFLAALHGKKNAFLCLHYIYIKSPDREQKRFNYCRRKDGDTILHCAISGDYFDLAFQIIKLYTDLANSVNEQGISPLHLLAAKPSAFKSGSHLSPTDRIIYHCIYVDELRLERPDSYNQGIIKTFKEEKDPNYPENYQTCINFIRLFWNLIRLVSSSCQKADPETPVEPKSHQSFDSFPANYRICFEYVKLLSKAMLIVLGLGSTQIRKIREKKEKHKWSVQIMNELLTRTPMYLYEDEDSGMNPAPRKDKEETLPYEIVDGGDVIMGRESIIELPKDDDNKEIGEGSSGEATEKKTVKLLAKKETPILIAAKSGVTEMVEKILELFPVAIHDTNTEKKNVVLLAVENRQPHVYQLLLKRNVLKDSVFGKVDDQGNSALHLAAKLEEHKPWLIPGAALQMQWEIKWYEHYAVREKLHATALLCPPQ >ONH92146 pep chromosome:Prunus_persica_NCBIv2:G8:16805122:16813179:-1 gene:PRUPE_8G158300 transcript:ONH92146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSAKPQTLTLISCTPLSSSSSSSFSSSLPSIRRHFLGCGGHSLRPLSGDLRSLRKRRSLAGDHRSPPSKFLIKASLDPHSLLVVVAIVTFSAVSVVYFNRPFKSKKNLDARVRELREVRDAKEVSSQLPIRENQILGFDALNGKIEEIEAPVLQFHNSAQESLAPLVFESTAVLQPLRFPTELTQLQQPERSEDVDYDPISEEFSKLMGERSEDGGRDPISDEFSKLMSDSNFGVASPSVPVDDEESVEVGESDEVGEATSFHVLNRESVREELHMFYESNKSETKSVASLNGKKPSSFLRNITVTGADLIPQASHHTTESIEGHTRSRKDLGKGSGYSSDKEVRHLPKKNSGTMTQFPHPHGIHTNDRDLLSEQLSAYHRLLKDGRLGDSLKLLEDLERRGLLDMNKVYHARFFEICKSQKAVDKAFRFIKLIPNPTLSTYNMLMTVCASSQDSEAFHVLRLVREAGMKPDCKLYTTLISTCGKSGKVYTMFDVFHEMVNAGVEPNVHTYGALIDGCGRAGEVAKAFGAYGIMRSKKVKPDRVVFNALITACGQSGAVDRAFDVLGEMMAETQPIEPDHTTVGALIKACANAGQVDRAREVYKMVHKYKIKGSSEVYTIAVNCCSQTGDWEFACNVYSDMTRKGVVPDEMFLSALIDVAGHVGKLDAAFEILQEARNQGIQVGTVSYSSLMGACSNAKNWHKALELYEYLKSTKIEKTVSTVNALITALCDGDQLQKAMEVLSEMKGFGLHPNSITYSILLVASEKKDDLEAGHMLLSQAEKDGVAPNLVMCRCIIGMCLRRSEKACSLGEPVLSRDRPQVDSKWASLALMVYRKTIVAGIMPTVEVISQVLGCLQLPYDASFKNRLIENLGVTAETSRPSNLCSLIDGFGEYDPRAFSLLEEAASLGIVPCVSFKASPVVVDARKLQLHTAEVFILTVLKGLKHRLAAGAKLPNMTILLPVEKTQIMSPKGKTINIAGRVGQSVAALLRRLGIPYQGNESRGKIKISGLAMKRWLQPKLASFTGKPGEFGSSQLQLGKGITHQQRNIRTGNLSLD >ONH92148 pep chromosome:Prunus_persica_NCBIv2:G8:16805123:16813178:-1 gene:PRUPE_8G158300 transcript:ONH92148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSAKPQTLTLISCTPLSSSSSSSFSSSLPSIRRHFLGCGGHSLRPLSGDLRSLRKRRSLAGDHRSPPSKFLIKASLDPHSLLVVVAIVTFSAVSVVYFNRPFKSKKNLDARVRELREVRDAKEVSSQLPIRENQILGFDALNGKIEEIEAPVLQFHNSAQESLAPLVFESTAVLQPLRFPTELTQLQQPERSEDVDYDPISEEFSKLMGERSEDGGRDPISDEFSKLMSDSNFGVASPSVPVDDEESVEVGESDEVGEATSFHVLNRESVREELHMFYESNKSETKSVASLNGKKPSSFLRNITVTGADLIPQASHHTTESIEGHTRSRKDLGKGSGYSSDKEVRHLPKKNSGTMTQFPHPHGIHTNDRDLLSEQLSAYHRLLKDGRLGDSLKLLEDLERRGLLDMNKVYHARFFEICKSQKAVDKAFRFIKLIPNPTLSTYNMLMTVCASSQDSEEAFHVLRLVREAGMKPDCKLYTTLISTCGKSGKVYTMFDVFHEMVNAGVEPNVHTYGALIDGCGRAGEVAKAFGAYGIMRSKKVKPDRVVFNALITACGQSGAVDRAFDVLGEMMAETQPIEPDHTTVGALIKACANAGQVDRAREVYKMVHKYKIKGSSEVYTIAVNCCSQTGDWEFACNVYSDMTRKGVVPDEMFLSALIDVAGHVGKLDAAFEILQEARNQGIQVGTVSYSSLMGACSNAKNWHKALELYEYLKSTKIEKTVSTVNALITALCDGDQLQKAMEVLSEMKGFGLHPNSITYSILLVASEKKDDLEAGHMLLSQAEKDGVAPNLVMCRCIIGMCLRRSEKACSLGEPVLSRDRPQVDSKWASLALMVYRKTIVAGIMPTVEVISQVLGCLQLPYDASFKNRLIENLGVTAETSRPSNLCSLIDGFGEYDPRAFSLLEEAASLGIVPCVSFKASPVVVDARKLQLHTAEVQSYPT >ONH92147 pep chromosome:Prunus_persica_NCBIv2:G8:16805713:16813071:-1 gene:PRUPE_8G158300 transcript:ONH92147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSAKPQTLTLISCTPLSSSSSSSFSSSLPSIRRHFLGCGGHSLRPLSGDLRSLRKRRSLAGDHRSPPSKFLIKASLDPHSLLVVVAIVTFSAVSVVYFNRPFKSKKNLDARVRELREVRDAKEVSSQLPIRENQILGFDALNGKIEEIEAPVLQFHNSAQESLAPLVFESTAVLQPLRFPTELTQLQQPERSEDVDYDPISEEFSKLMGERSEDGGRDPISDEFSKLMSDSNFGVASPSVPVDDEESVEVGESDEVGEATSFHVLNRESVREELHMFYESNKSETKSVASLNGKKPSSFLRNITVTGADLIPQASHHTTESIEGHTRSRKDLGKGSGYSSDKEVRHLPKKNSGTMTQFPHPHGIHTNDRDLLSEQLSAYHRLLKDGRLGDSLKLLEDLERRGLLDMNKVYHARFFEICKSQKAVDKAFRFIKLIPNPTLSTYNMLMTVCASSQDSEEAFHVLRLVREAGMKPDCKLYTTLISTCGKSGKVYTMFDVFHEMVNAGVEPNVHTYGALIDGCGRAGEVAKAFGAYGIMRSKKVKPDRVVFNALITACGQSGAVDRAFDVLGEMMAETQPIEPDHTTVGALIKACANAGQVDRAREVYKMVHKYKIKGSSEVYTIAVNCCSQTGDWEFACNVYSDMTRKGVVPDEMFLSALIDVAGHVGKLDAAFEILQEARNQGIQVGTVSYSSLMGACSNAKNWHKALELYEYLKSTKIEKTVSTVNALITALCDGDQLQKAMEVLSEMKGFGLHPNSITYSILLVASEKKDDLEAGHMLLSQAEKDGVAPNLVMCRCIIGMCLRRSEKACSLGEPVLSRDRPQVDSKWASLALMVYRKTIVAGIMPTVEVISQVLGCLQLPYDASFKNRLIENLGVTAETSRPSNLCSLIDGFGEYDPRAFSLLEEAASLGIVPCVSFKASPVVVDARKLQLHTAEVFILTVLKGLKHRLAAGAKLPNMTILLPVEKTQIMSPKGKTINIAGRVGQSVAALLRRLGIPYQGNESRGKIKISGLAMKRWLQPKLASFTGKPGEFGSSQLQLGKGITHQQRNIRTGNLSLD >ONH91722 pep chromosome:Prunus_persica_NCBIv2:G8:15485803:15486090:-1 gene:PRUPE_8G133100 transcript:ONH91722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLKNLVAYTNITIHSIRLLTLPSRFWITKKKHEFVSNNVYPLRLYLRKLLMHRKRYSNGDTESLEMIQIDRFSTYHGIKLLKVRTQRKTCNLR >ONH92893 pep chromosome:Prunus_persica_NCBIv2:G8:19052515:19060633:1 gene:PRUPE_8G202000 transcript:ONH92893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIDGNAREPVFRLKFHQKIKEGIIFTLLHKNSNYTFFKASSFPNMEHSHPEMGLTALDHSPEKTQSPPNPNHFIPRSYQVKVFEVAKRKNTIAVLETGAGKTMIAVMLIKHISKDMIKSNGKRKLMIFLAPTVNLVTQQSKVIKDSTTLEVGDYYGAKGVDEWSRSCWEMEVQTHDILVMTPQILLDALRNAFLSLEMFCLLIFDESHRATGNHPYTKIMKEFYHTSGNRPKIFGMTASPVIRKGVSSTMDCENQISALESILDSQVYAIEDRAEIEAYIPSTVQTCRFYEKTWLLMNLGLKGEMEALWSKYASMLELQREVPSQYQYYQDIDDKIKMLRKRMSNDYMKILYCLNDLGLICAYEAVKVCLENAFDVEEESQFYRGSSLKLKYFLDEVLHIIEGFLQPSMQLGNNICTDFEFDYIKAHDLGYISPKLHELLQIFQSFGSGSQVPCLIFVERIITANVIERYVKKVPCLSHFTVSYLTGSNKSVGAVAPKLQKETLESFRSGKVNLLFATDVVEEGIHVPSCSCVIRFDLPKTVRSYVQSRGRCRTSDSQFILMLERGNKKQIDQVFDIIRSEYSMTETSRNRDPEVCILRNCNFEETKVYYVNATGASVTADSSVSLIHRYCEKLPGDKYFIPKPTFDFEMSEGLYQCKITLPPNAVFQTTVGPLSSNTHLSKQLVCLEACKMLHEMGALDDHLLPLIKEPLENDLITKSKESSAGAGTTKRKELHGTTCIRALCGTWGEKLDGEIFEAYKFDYFCNINETYSGFVLLIESKLDNDVQNIEVDLQLISRMVKSSVSSCGKMHLSAKEVMQAKCFQEFFFNGLFGRLFLGSESEGTKREFLLQTETKSLWSSSYMYLLLPIETLDTSNTNPWRVNWIGISSCANVVEFLKKNYSVGPEHFNGDQGNLSLSMTGSSMTECMASDLIHFANTSIDFHNLQNLLVLATHTGRIYSIVEVISSESAESPFEETVDAASLEYSYAEYFKTKYGIVLVYPGQPLLRLKQSHNPHNLLGAEGAASKDGHSAEIKPQAHVHMPPEVLVSIDFRVDVLRSFYLLPSLMYRLESLMLASQLREEINGKSGNIKISSSLILQALTTLRCCENFSLERLEFLGDSVLKYVVSSHLFLKYPEKHEGQLTARRTRAICNANLHKLGTERNIQGYIRDGAFEPRRWAAPGQHSRFPDPCKCGVNTLEVPLDSRFQTKAPVKVGKFCDRGHRWMNSKTIADCVEALIGAYYVGEGLFAALHVMKWLGIDSELEFSLTIEAITRASLRSYIPKNDQIAALESKLGYKFSVKGLLQEAITHASQQKLGASYCYQRLEFLGDAVLDVLITQHLYHSHTDVDPGELTDLRSAAVSNESFARAAVRRNLHPHLQHCSGLLLSHITEYEKLCTEALNNTSLLEEIKGPKALGDMVESIAGAILIDSKLDLDEVWRVFKPLLSPIVTPSSLQLDPLRKLKERCDSLGYFVKETLTKEDAIVNAELSLQLEDVILVGKGYERKAKAAKQRAARQLLKKFEGYLQRSRDISYVQFDSKRRKLEREHLDDLSSKDVNIDTSSNLTDDGSSKPIMHTNENMTQMLSSAESRVDSTPANGCSNKVFGDNIGNSGLPG >ONH92892 pep chromosome:Prunus_persica_NCBIv2:G8:19052450:19061495:1 gene:PRUPE_8G202000 transcript:ONH92892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIDGNAREPVFRLKFHQKIKEGIIFTLLHKNSNYTFFKASSFPNMEHSHPEMGLTALDHSPEKTQSPPNPNHFIPRSYQVKVFEVAKRKNTIAVLETGAGKTMIAVMLIKHISKDMIKSNGKRKLMIFLAPTVNLVTQQSKVIKDSTTLEVGDYYGAKGVDEWSRSCWEMEVQTHDILVMTPQILLDALRNAFLSLEMFCLLIFDESHRATGNHPYTKIMKEFYHTSGNRPKIFGMTASPVIRKGVSSTMDCENQISALESILDSQVYAIEDRAEIEAYIPSTVQTCRFYEKTWLLMNLGLKGEMEALWSKYASMLELQREVPSQYQYYQDIDDKIKMLRKRMSNDYMKILYCLNDLGLICAYEAVKVCLENAFDVEEESQFYRGSSLKLKYFLDEVLHIIEGFLQPSMQLGNNICTDFEFDYIKAHDLGYISPKLHELLQIFQSFGSGSQVPCLIFVERIITANVIERYVKKVPCLSHFTVSYLTGSNKSVGAVAPKLQKETLESFRSGKVNLLFATDVVEEGIHVPSCSCVIRFDLPKTVRSYVQSRGRCRTSDSQFILMLERGNKKQIDQVFDIIRSEYSMTETSRNRDPEVCILRNCNFEETKVYYVNATGASVTADSSVSLIHRYCEKLPGDKYFIPKPTFDFEMSEGLYQCKITLPPNAVFQTTVGPLSSNTHLSKQLVCLEACKMLHEMGALDDHLLPLIKEPLENDLITKSKESSAGAGTTKRKELHGTTCIRALCGTWGEKLDGEIFEAYKFDYFCNINETYSGFVLLIESKLDNDVQNIEVDLQLISRMVKSSVSSCGKMHLSAKEVMQAKCFQEFFFNGLFGRLFLGSESEGTKREFLLQTETKSLWSSSYMYLLLPIETLDTSNTNPWRVNWIGISSCANVVEFLKKNYSVGPEHFNGDQGNLSLSMTGSSMTECMASDLIHFANTSIDFHNLQNLLVLATHTGRIYSIVEVISSESAESPFEETVDAASLEYSYAEYFKTKYGIVLVYPGQPLLRLKQSHNPHNLLGAEGAASKDGHSAEIKPQAHVHMPPEVLVSIDFRVDVLRSFYLLPSLMYRLESLMLASQLREEINGKSGNIKISSSLILQALTTLRCCENFSLERLEFLGDSVLKYVVSSHLFLKYPEKHEGQLTARRTRAICNANLHKLGTERNIQGYIRDGAFEPRRWAAPGQHSRFPDPCKCGVNTLEVPLDSRFQTKAPVKVGKFCDRGHRWMNSKTIADCVEALIGAYYVGEGLFAALHVMKWLGIDSELEFSLTIEAITRASLRSYIPKNDQIAALESKLGYKFSVKGLLQEAITHASQQKLGASYCYQRLEFLGDAVLDVLITQHLYHSHTDVDPGELTDLRSAAVSNESFARAAVRRNLHPHLQHCSGLLLSHITEYEKLCTEALNNTSLLEEIKGPKALGDMVESIAGAILIDSKLDLDEVWRVFKPLLSPIVTPSSLQLDPLRKLKERCDSLGYFVKETLTKEDAIVNAELSLQLEDVILVGKGYERKAKAAKQRAARQLLKKFEGYLQRSRDISYVQFDSKRRKLEREHLDDLSSKDVNIDTSSNLTDDGSSKPIMHTNENMTQMLSSAESRVDSTPANGCSNKVFGDNIGNSGLPVIDSIDTRKGEPRKMLYELCQKLQWRRPEFTPTEDKSRTPIEFGEGSEKRKGFSSFVSDISLQIPNFGTIVCRGEPRADKNSSFDSAVVAMLYELERRGRLTIGCS >ONH92351 pep chromosome:Prunus_persica_NCBIv2:G8:17457461:17460474:-1 gene:PRUPE_8G169900 transcript:ONH92351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRPRTSIGKAVVNVWQREVGELSARNFTHRVGGSEDLVLRLELYRKLEKHRGCVNTISFNAAGDILVSGSDDRRVILWNWETGKVQLSFHSGHNNNIFQAKIMPYTDDRSIITCAADGQVRHAQISDKGQVKTSLLGKHLGRSHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGAATELVTCQPLDGRRSHMPAIHLNAIAIDPRNPNFFAVAGSDEYTRLFDIRKYKWDGSSDFGQPANFFCPSHLIGDGRVGITGLAFSEQSELLVSYNDENIYLFERDMGLGPNPVTTSPVSMGSDASEMSTDHQSEASPSTMPIDESSAPQVYKGHRNCETVKGVNFFGPKCEYVVSGSDCARIFIWKKKGGELIRVMEADKHVVNCIESHPHTTVLASSGIDSDIKIWTPKANERATLPQNIEKVLKPGRILWYASEDEEEDEEDDDEYFSDDIFYDDDDDDDDEDDDDSDDSEGGSD >ONH92350 pep chromosome:Prunus_persica_NCBIv2:G8:17456892:17460833:-1 gene:PRUPE_8G169900 transcript:ONH92350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRPRTSIGKAVVNVWQREVGELSARNFTHRVGGSEDLVLRLELYRKLEKHRGCVNTISFNAAGDILVSGSDDRRVILWNWETGKVQLSFHSGHNNNIFQAKIMPYTDDRSIITCAADGQVRHAQISDKGQVKTSLLGKHLGRSHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGAATELVTCQPLDGRRSHMPAIHLNAIAIDPRNPNFFAVAGSDEYTRLFDIRKYKWDGSSDFGQPANFFCPSHLIGDGRVGITGLAFSEQSELLVSYNDENIYLFERDMGLGPNPVTTSPVSMGSDASEMSTDHQSEASPSTMPIDESSAPQVYKGHRNCETVKGVNFFGPKCEYVVSGSDCARIFIWKKKGGELIRVMEADKHVVNCIESHPHTTVLASSGIDSDIKIWTPKANERATLPQNIEKKKPRARGWMYRLSSPEDLMLQLFSLQRQRISPERDGENSNDGQDLLELIMAFQRNSDDSSEDGGDTSSQDDLFC >ONH92349 pep chromosome:Prunus_persica_NCBIv2:G8:17456897:17460833:-1 gene:PRUPE_8G169900 transcript:ONH92349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRPRTSIGKAVVNVWQREVGELSARNFTHRVGGSEDLVLRLELYRKLEKHRGCVNTISFNAAGDILVSGSDDRRVILWNWETGKVQLSFHSGHNNNIFQAKIMPYTDDRSIITCAADGQVRHAQISDKGQVKTSLLGKHLGRSHKLAIEPGSPHIFYTCGEDGLVQHFDLRTGAATELVTCQPLDGRRSHMPAIHLNAIAIDPRNPNFFAVAGSDEYTRLFDIRKYKWDGSSDFGQPANFFCPSHLIGDGRVGITGLAFSEQSELLVSYNDENIYLFERDMGLGPNPVTTSPVSMGSDASEMSTDHQSEASPSTMPIDESSAPQVYKGHRNCETVKGVNFFGPKCEYVVSGSDCARIFIWKKKGGELIRVMEADKHVVNCIESHPHTTVLASSGIDSDIKIWTPKANERATLPQNIEKKPRARGWMYRLSSPEDLMLQLFSLQRQRISPERDGENSNDGQDLLELIMAFQRNSDDSSEDGGDTSSQDDLFC >ONH90661 pep chromosome:Prunus_persica_NCBIv2:G8:9933340:9937193:1 gene:PRUPE_8G067500 transcript:ONH90661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDSETESVGGRDYGNGVLSSSKHSVKTDGFELKGSSWYVATDIPSDLLVQVGDVNFHLHKYPLLSRSGKINRVMYELRDPDLSKIALDDLPGGPEAFELAAKFCYGIAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPLKVSSPSWNDMKDSSPSKNQQVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAAIMHYASKWLPGLINDGAAAADEGSNSSNNNTSNSGSSWKGGLHLIVAGNKDEPPSVQAKDQRMIIESLISIIPPQKDSVSCSFLLRLLRMANMLKVALALVTELEKRVGMQFEQATLADLLIPSYNKSETMYDVDLVQRLLEHFLVQEQTDISSPSQQSFPGKHYDDIQRGTGPNAKMRVARLVDSYLTEVSRDRSLSLTKFQVLAEALPDSARICDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALATSSLKEAGESQYQPMVSNRKTLLEGTPQSFQEGWAAAKKDINTVKFELESVKAKYLELQNDMDNLQRQFDKMSKQKQTSAWSSGWKKLSKLTKTTNLENQHNIGTEHQAAVDQQNRKTPRRWRNSIS >ONH90660 pep chromosome:Prunus_persica_NCBIv2:G8:9933348:9937193:1 gene:PRUPE_8G067500 transcript:ONH90660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDSETESVGGRDYGNGVLSSSKHSVKTDGFELKGSSWYVATDIPSDLLVQVGDVNFHLHKYPLLSRSGKINRVMYELRDPDLSKIALDDLPGGPEAFELAAKFCYGIAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPLKVSSPSWNDMKDSSPSKNQQVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAAIMHYASKWLPGLINDGAAAADEGSNSSNNNTSNSGSSWKGGLHLIVAGNKDEPPSVQAKDQRMIIESLISIIPPQKDSVSCSFLLRLLRMANMLKVALALVTELEKRVGMQFEQATLADLLIPSYNKSETMYDVDLVQRLLEHFLVQEQTDISSPSQQSFPGKHYDDIQRGTGPNAKMRVARLVDSYLTEVSRDRSLSLTKFQVLAEALPDSARICDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALATSSLKEAGESQYQPMVSNRKTLLEGTPQSFQEGWAAAKKDINTVKFELESVKAKYLELQNDMDNLQRQFDKMSKQKQTSAWSSGWKKLSKLTKTTNLENQHNIGTEHQAAVDQQNRKTPRRWRNSIS >ONH90970 pep chromosome:Prunus_persica_NCBIv2:G8:11918162:11923111:-1 gene:PRUPE_8G086300 transcript:ONH90970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGIIATLLLVLALHSSWVLLSFASRNVYIVYMGERQHEEPDLVSESHHEILSNVFGSKEAAKQSILYSYKHGFSGFAAVLTQSQAKLIADIPGVVHVIPNRVLNLHTTRSWNFLQVNSHISNGILSRSQSGAGSIIGIIDTGIWPESVSFRDDGMGDVPSRWRGICQEGERFNRSHCNRKIIGARWYIKGYEAEFGNLSTSDGFEFRSPRDAEGHGTHTASTAAGASIENASFMGLAAGLARGGAPSARLAVYKVCWGTGSCSSADLLAAFDDAIFDGVDVLSASLGSPPPLPSYVKDVVSIGSFHAVAKGISVICSAGNSGPYPQTVINSAPWIFTVAASTIDRAFPTAITLGNNQTVVGQALYTGMDTNKFYPLVYGGDIASIDADEDSAGNCDSGTLNDTLASGKMILCFQSRTQRLAITAIRTVMKVKGAGLIFAQFPSKDVSLSSGRLPCVQVDFAIGTYLLTYIGATRNPLVKFNPTKTQVGQQISPEVAFFSSRGPNSLSPSILKPDITAPGVNILASWSPARSPSKSPDTFKIESGTSMSCPHISGIVALLKAIHPTWSPAAIKSALVTTASLEDEYAQSIVAEGAPHKQADPFDYGGGHVDPNKAISPGLIYDIESSDYIHFLCSMGYNNTAISLVAGTKITCQKSTKFIVNLNLPSITIHELKQSMTVSRRVTNVGPVNSVYFARVQAPAGVSVKVKPSVLSFNSTVKKLEFKVIFCSLLRVQGRFTFGNLFWEDGLHVVRIPLVVRTVLDDLYADA >ONH90969 pep chromosome:Prunus_persica_NCBIv2:G8:11917950:11923550:-1 gene:PRUPE_8G086300 transcript:ONH90969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRKTEYHFHLIHQCPPTTVTTTRQFIKSRSFWLCHFQASLTTIAWLLLLGLLPPFYLFLLYTVLGSFSLLLPEMFTLCIWEKDSMKSLTWFLSLTMRFCQMCLEDIPGVVHVIPNRVLNLHTTRSWNFLQVNSHISNGILSRSQSGAGSIIGIIDTGIWPESVSFRDDGMGDVPSRWRGICQEGERFNRSHCNRKIIGARWYIKGYEAEFGNLSTSDGFEFRSPRDAEGHGTHTASTAAGASIENASFMGLAAGLARGGAPSARLAVYKVCWGTGSCSSADLLAAFDDAIFDGVDVLSASLGSPPPLPSYVKDVVSIGSFHAVAKGISVICSAGNSGPYPQTVINSAPWIFTVAASTIDRAFPTAITLGNNQTVVGQALYTGMDTNKFYPLVYGGDIASIDADEDSAGNCDSGTLNDTLASGKMILCFQSRTQRLAITAIRTVMKVKGAGLIFAQFPSKDVSLSSGRLPCVQVDFAIGTYLLTYIGATRNPLVKFNPTKTQVGQQISPEVAFFSSRGPNSLSPSILKPDITAPGVNILASWSPARSPSKSPDTFKIESGTSMSCPHISGIVALLKAIHPTWSPAAIKSALVTTASLEDEYAQSIVAEGAPHKQADPFDYGGGHVDPNKAISPGLIYDIESSDYIHFLCSMGYNNTAISLVAGTKITCQKSTKFIVNLNLPSITIHELKQSMTVSRRVTNVGPVNSVYFARVQAPAGVSVKVKPSVLSFNSTVKKLEFKVIFCSLLRVQGRFTFGNLFWEDGLHVVRIPLVVRTVLDDLYADA >ONH91893 pep chromosome:Prunus_persica_NCBIv2:G8:15952530:15956540:-1 gene:PRUPE_8G142300 transcript:ONH91893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGNNVRYVATDLATDIIVNVGDSKFYLHKFPLLSKSARLQKLVANTNESNTGEIYISDIPGGPAAFEICAKFCYGMTVTLNAYNVVVSRCAAEYLGMHESIEKGNLIYKIDVFLSSSIFRSWKDSIIVLQTTKSLLPISEELKLVSQCIDSIATKACVDVSQVDWSYTYNRKKIPEENGNDPNWNGVRNRPVPKDWWVEELCELEIDLYKRVLTNIKTKAVLSAEVIGEALKAYAYRRFPGFSKGMIQGGDMAKHRSTVDTIVWLLPEEKGGASCSFLLKMLKAAIFLDSGDMTKGELVRRIGQQLEEASVNDLLIRAAEGEPTIYDVTVVQKIVEEFLRQDQSAVEERHELLEVRRPGILSDASKLMVAKLIDGYLAEISKDPHLPLLKFVDLAEMVRGFSQPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRAAASSGSSTPDLPKGMKDLNNGSHGSSRSVTTNTEEDWDAVASAEELKALKGELASLRLSSGVGGGEKNGDGKGSVDKAAVSKMRGLLKSKKKVFAKLWSSKGVQGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >ONH91895 pep chromosome:Prunus_persica_NCBIv2:G8:15952101:15958548:-1 gene:PRUPE_8G142300 transcript:ONH91895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTLNAYNVVVSRCAAEYLGMHESIEKGNLIYKIDVFLSSSIFRSWKDSIIVLQTTKSLLPISEELKLVSQCIDSIATKACVDVSQVDWSYTYNRKKIPEENGNDPNWNGVRNRPVPKDWWVEELCELEIDLYKRVLTNIKTKAVLSAEVIGEALKAYAYRRFPGFSKGMIQGGDMAKHRSTVDTIVWLLPEEKGGASCSFLLKMLKAAIFLDSGDMTKGELVRRIGQQLEEASVNDLLIRAAEGEPTIYDVTVVQKIVEEFLRQDQSAVEERHELLEVRRPGILSDASKLMVAKLIDGYLAEISKDPHLPLLKFVDLAEMVRGFSQPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRAAASSGSSTPDLPKGMKDLNNGSHGSSRSVTTNTEEDWDAVASAEELKALKGELASLRLSSGVGGGEKNGDGKGSVDKAAVSKMRGLLKSKKKVFAKLWSSKGVQGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >ONH91894 pep chromosome:Prunus_persica_NCBIv2:G8:15952530:15956540:-1 gene:PRUPE_8G142300 transcript:ONH91894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGNNVRYVATDLATDIIVNVGDSKFYLHKFPLLSKSARLQKLVANTNESNTGEIYISDIPGGPAAFEICAKFCYGMTVTLNAYNVVVSRCAAEYLGMHESIEKGNLIYKIDVFLSSSIFRSWKDSIIVLQTTKSLLPISEELKLVSQCIDSIATKACVDVSQVDWSYTYNRKKIPEENGNDPNWNGVRNRPVPKDWWVEELCELEIDLYKRVLTNIKTKAVLSAEVIGEALKAYAYRRFPGFSKGMIQGGDMAKHRSTVDTIVWLLPEEKGGASCSFLLKMLKAAIFLDSGDMTKGELVRRIGQQLEEASVNDLLIRAAEGEPTIYDVTVVQKIVEEFLRQDQSAVEERHELLEVRRPGILSDASKLMVAKLIDGYLAEISKDPHLPLLKFVDLAEMVRGFSQPSHDGLYRAIDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRAAASSGSSTPDLPKGMKDLNNGSHGSSRSVTTNTEEDWDAVASAEELKALKGELASLRLSSGVGGGEKNGDGKGSVDKAAVSKMRGLLKSKKKVFAKLWSSKGVQGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >ONH90880 pep chromosome:Prunus_persica_NCBIv2:G8:11368586:11368888:-1 gene:PRUPE_8G080200 transcript:ONH90880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVNAKRSLIRSLSSSSQTADSKTLDIPKGYFAVYVGGSQKTQFLIPISYLNEPLFLDLLSQAEEEFGYDHPMGGITIPCSEDTFLHLTSRLSV >ONH91211 pep chromosome:Prunus_persica_NCBIv2:G8:13056298:13057518:1 gene:PRUPE_8G098900 transcript:ONH91211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMARTFNKGRNMASGLALWSRLLHLLVLVMCVQGTGGRKMSETQDGDGHETTHTEHARAHHPSSHIHHHMDPSFMIFFTLEDLKEGKTMAIYFPKRNPSKSPHLLPREEADQIPFSSKHLLHLLHFFSFSQDSPQAKAMEDTLRQCEIEPIKGEIKSCSTSLESMLDFTRGVFRLDTPFSVVATTHLTNSTTNFQNYTILEEPKEILAPKMVACHTMPYPYAVFYCHSQKSVNKVFKVLLGGEDGDRVEAVAVCHLDTSQWSPNHASSVCLGLSQGLLLCVISFLLIILCGFQHPLQCSNFNAGPV >ONH89791 pep chromosome:Prunus_persica_NCBIv2:G8:1538260:1540645:-1 gene:PRUPE_8G016600 transcript:ONH89791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLNDILSPTRPRWRKVAYGGMQPGFDDNHTDDSFLEDMVMNANVVKRDILKVMLDSVSISQYLCIVALVGLVWTYTLRSILNENSLLLLDVTLLGLGFLVLLLTKEMLSFNLFFRYLLNISFFISGLYVLAPIYQTLTTSISSNSIWAVTVSLLVLHLFLHDYYGSTVRAPGALHNPTLTSCISLNASVVASVFIASCLRSRLLVFAIMLFSLQVFLFAPLVTYCIKKYSFRLHLWFSFGLMIATLAFVYTLHLLLFVMLLGLLIFVSVVCPYWLIRMQEYKFEINGPWDEAKLCFDITD >ONH93854 pep chromosome:Prunus_persica_NCBIv2:G8:21872907:21876442:1 gene:PRUPE_8G256400 transcript:ONH93854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAGAHVDACNLKDDVSSGDEKDDVHSIGKEDGSYTWSAASKEVEELMRSNEKKSCSSSHSSYLKLNKSSKGVKGKGKPKFSFRFPTHKEGHSWLSVYKDDNDVSFKVQELPERLDYRTEENSDAELLEDIQVEEENQLEIVPFEVNEHGHGCIEQSMAELLDGLQDKTTMARGSSKMYSRKRCKRGQPVVKRVPPLGDRLIDSESFLAHLSPESPSDSEADDQILKLDKPEVKRQTLVDRFQEALSDRALVTVPKTLRIGLFGQLQQVVQSEKESDMEFLKNIENGANQKEPSCIDVKICSRHLDAKLTVCHCSFGNKLKVMSLPRSESPKHMLNEETTQIVIFNPRVCNDVDLDAGKWIRIHAPWKEIHVGNDKSIILSTHFSEI >ONH93848 pep chromosome:Prunus_persica_NCBIv2:G8:21872884:21876442:1 gene:PRUPE_8G256400 transcript:ONH93848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQTKHMRCFETIPDSDQSISDEEESDQDWPNKRLTLDGSAKKKEELPLSSRLEMLKAGAHVDACNLKDDVSSGDELQKDDVHSIGKEDGSYTWSAASKEVEELMRSNEKKSCSSSHSSYLKLNKSSKGVKGKGKPKFSFRFPTHKEGHSWLSVYKDDNDVSFKVQELPERLDYRTEENSDAELLEDIQVEEENQLEIVPFEVNEHGHGCIEQSMAELLDGLQDKTTMARGSSKMYSRKRCKRGQPVVKRVPPLGDRLIDSESFLAHLSPESPSDSEADDQILKLDKPEVKRQTLVDRFQEALSDRALVTVPKTLRIGLFGQLQQVVQSEKESDMEFLKNIENGANQKEPSCIDVKICSRHLDAKLTVCHCSFGNKLKVMSLPRSESPKHMLNEETTQIVIFNPRVCNDVDLDAGKWIRIHAPWKEIHVGNDKSIILSTHFSEI >ONH93850 pep chromosome:Prunus_persica_NCBIv2:G8:21872907:21876442:1 gene:PRUPE_8G256400 transcript:ONH93850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQTKHMRCFETIPDSDQSISDEEESDQDWPNKRLTLDGSAKKKEELPLSSRLEMLKAGAHVDACNLKDDVSSGDELQKDDVHSIGKEDGSYTWSAASKEVEELMRSNEKKSCSSSHSSYLKLNKSSKGVKGKGKPKFSFRFPTHKEGHSWLSVYKDDNDVSFKVQELPERLDYRTEENSDAELLEDIQVEEENQLEIVPFEVNEHGHGCIEQSMAELLDGLQDKTTMARGSSKMYSRKRCKRGQPVVKRVPPLGDRLIDSESFLAHLSPESPSDSEADDQILKLDKPEVKRQTLVDRFQEALSDRALVTVPKTLRIGLFGQLQQVVQSEKESDMEFLKNIENGANQKEPSCIDVKICSRHLDAKLTVCHCSFGNKLKVMSLPRSESPKHMLNEETTQIVIFNPRVCNDVDLDAGKWIRIHAPWYETEI >ONH93852 pep chromosome:Prunus_persica_NCBIv2:G8:21872907:21876442:1 gene:PRUPE_8G256400 transcript:ONH93852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQTKHMRCFETIPDSDQSISDEEESDQDWPNKRLTLDGSAKKKEELPLSSRLEMLKAGAHVDACNLKDDVSSGDELQKDDVHSIGKEDGSYTWSAASKEVEELMRSNEKKSCSSSHSSYLKLNKSSKGVKGKGKPKFSFRFPTHKEGHSWLSVYKDDNDVSFKVQELPERLDYRTEENSDAELLEDIQVEEENQLEIVPFEVNEHGHGCIEQSMAELLDGLQDKTTMARGSSKMYSRKRCKRGQPVVKRVPPLGDRLIDSESFLAHLSPESPSDSEADDQILKLDKPEVKRQTLVDRFQEALSDRALVTVPKTLRGTKLHRCENLFETPGCKADSLPLLLWQ >ONH93851 pep chromosome:Prunus_persica_NCBIv2:G8:21872907:21876442:1 gene:PRUPE_8G256400 transcript:ONH93851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQTKHMRCFETIPDSDQSISDEEESDQDWPNKRLTLDGSAKKKEELPLSSRLEMLKAGAHVDACNLKDDVSSGDEKDDVHSIGKEDGSYTWSAASKEVEELMRSNEKKSCSSSHSSYLKLNKSSKGVKGKGKPKFSFRFPTHKEGHSWLSVYKDDNDVSFKVQELPERLDYRTEENSDAELLEDIQVEEENQLEIVPFEVNEHGHGCIEQSMAELLDGLQDKTTMARGSSKMYSRKRCKRGQPVVKRVPPLGDRLIDSESFLAHLSPESPSDSEADDQILKLDKPEVKRQTLVDRFQEALSDRALVTVPKTLRIGLFGQLQQVVQSEKESDMEFLKNIENGANQKEPSCIDVKICSRHLDAKLTVCHCSFGNKLKVMSLPRSESPKHMLNEETTQIVIFNPRVCNDVDLDAGKWIRIHAPWYETEI >ONH93849 pep chromosome:Prunus_persica_NCBIv2:G8:21872884:21876442:1 gene:PRUPE_8G256400 transcript:ONH93849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQTKHMRCFETIPDSDQSISDEEESDQDWPNKRLTLDGSAKKKEELPLSSRLEMLKAGAHVDACNLKDDVSSGDEKDDVHSIGKEDGSYTWSAASKEVEELMRSNEKKSCSSSHSSYLKLNKSSKGVKGKGKPKFSFRFPTHKEGHSWLSVYKDDNDVSFKVQELPERLDYRTEENSDAELLEDIQVEEENQLEIVPFEVNEHGHGCIEQSMAELLDGLQDKTTMARGSSKMYSRKRCKRGQPVVKRVPPLGDRLIDSESFLAHLSPESPSDSEADDQILKLDKPEVKRQTLVDRFQEALSDRALVTVPKTLRIGLFGQLQQVVQSEKESDMEFLKNIENGANQKEPSCIDVKICSRHLDAKLTVCHCSFGNKLKVMSLPRSESPKHMLNEETTQIVIFNPRVCNDVDLDAGKWIRIHAPWKEIHVGNDKSIILSTHFSEI >ONH93853 pep chromosome:Prunus_persica_NCBIv2:G8:21873394:21875604:1 gene:PRUPE_8G256400 transcript:ONH93853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAGAHVDACNLKDDVSSGDELQKDDVHSIGKEDGSYTWSAASKEVEELMRSNEKKSCSSSHSSYLKLNKSSKGVKGKGKPKFSFRFPTHKEGHSWLSVYKDDNDVSFKVQELPERLDYRTEENSDAELLEDIQVEEENQLEIVPFEVNEHGHGCIEQSMAELLDGLQDKTTMARGSSKMYSRKRCKRGQPVVKRVPPLGDRLIDSESFLAHLSPESPSDSEADDQILKLDKPEVKRQTLVDRFQEALSDRALVTVPKTLRIGLFGQLQQVVQSEKESDMEFLKNIENGANQKEPSCIDVKICSRHLDAKLTVCHCSFGNKLKVMSLPRSESPKHMLNEETTQIVIFNPRVCNDVDLDAGKWIRIHAPWKEIHVGNDKSIILSTHFSEI >ONH94118 pep chromosome:Prunus_persica_NCBIv2:G8:22486527:22496106:-1 gene:PRUPE_8G271300 transcript:ONH94118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRSNGHSPLINQQRQITSFFSKTTSSPSPISSKSKQTQNPNPCPGPSPSHTTPSPLQSKPKPKKSHGQEVVGKRIRVYWPLDNIWYEGYVKLFSKDNGKHLVQYDDAEEELLDLGEEKIEWVQETVKTLKRLRRGPLSTSNEVVVDGHVVMEDEDKEGSNDVADDDSSDEDWGKSGDKDLVAEEEEELMELEDEEDDEVPTTSTNKGKRGLRSKRKLKGGGNLGSAKKTKCDKDVMEPTPNVESMKVANGMNTVVSGDASARFIVREAEKLHFLGEVRRDAKKRFPGDANYDPRTLYLPPDFLKSLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGAKELGLQYMKGEQPHCGFPEKNFSMNVEKLARKGYRVLVIEQTETPEQMELRRKEDGSKDKVVKREICAVVTKGTLTEGEMLSANPDASYLMAVTENSQNVANQNTERIFGVCVVDVATSRVILGQFGDDLECSALSCLLSELRPVEIIKPVKLLGPETEKVLLRHTRSPLVNELVPLLEFWDAERTAQEIRRIYRCTVDQLVSGSPKTSNLHSDDSHLEEDDLGCLPDVLSELMRTGENGICALSALGGVLFYLKQAFLDETLLRFAKFELLPSSGFGDIVSKPYMVLDSAALENLEIFENSRNGDSSGTIYAQLNHCVTGFGKRLLKTWLARPLYHVELIKERQDAVASLQGVNLPYALEFRKAMTRLPDMERLLARVFSSSKACGRNANKVVLYEDAAKKQLQEFISALHGCELMVQICCSLGVILEHVESRQLHHLLTPGQGLPDVNSILKHFKDAFDWVQANSSGRIIPHEGVDIEYDSSCEKVKEIESHLTKYLQEQRRLLGNKSITYATVGKDSYLLEVPESLRGSIPRDYELCSSKKGIFRYWTPNIKKSLTELSEAETGKESSLKSILHRLIGQFCEHHLKWRQLVSVTAELDVLISLAIASDYFEGPSCRPVIMSSSCTNEVPHFSAKSLGHPVLKSDSLGKGTFVSNDITIGGSGHASFILLTGPNMGGKSTLLRQVCLAAILAQLGADVPAESFELSPVDRIFVRMGARDHIMVGQSTFLTELSETATMLSYSTRNSLVALDELGRGTSTSDGQAIAESVLEHFVYKVQCRGMFSTHYHRLAVDYQNNPEVSLCHMACQVGNGDGGVEEVTFLYRLTPGACPKSYGVNIARLAGLPISVLQKAAAKSREFEATYGKHRKADSFFFQSPVDNMVSCIQGLISAVEKWTSHESAKSIDIDSLTEVWHRARILEQQS >ONH94116 pep chromosome:Prunus_persica_NCBIv2:G8:22486085:22496111:-1 gene:PRUPE_8G271300 transcript:ONH94116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRSNGHSPLINQQRQITSFFSKTTSSPSPISSKSKQTQNPNPCPGPSPSHTTPSPLQSKPKPKKSHGQEVVGKRIRVYWPLDNIWYEGYVKLFSKDNGKHLVQYDDAEEELLDLGEEKIEWVQETVKTLKRLRRGPLSTSNEVVVDGHVVMEDEDKEGSNDVADDDSSDEDWGKSGDKDLVAEEEEELMELEDEEDDEVPTTSTNKGKRGLRSKRKLKGGGNLGSAKKTKCDKDVMEPTPNVESMKVANGMNTVVSGDASARFIVREAEKLHFLGEVRRDAKKRFPGDANYDPRTLYLPPDFLKSLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGAKELGLQYMKGEQPHCGFPEKNFSMNVEKLARKGYRVLVIEQTETPEQMELRRKEDGSKDKVVKREICAVVTKGTLTEGEMLSANPDASYLMAVTENSQNVANQNTERIFGVCVVDVATSRVILGQFGDDLECSALSCLLSELRPVEIIKPVKLLGPETEKVLLRHTRSPLVNELVPLLEFWDAERTAQEIRRIYRCTVDQLVSGSPKTSNLHSDDSHLEEDDLGCLPDVLSELMRTGENGICALSALGGVLFYLKQAFLDETLLRFAKFELLPSSGFGDIVSKPYMVLDSAALENLEIFENSRNGDSSGTIYAQLNHCVTGFGKRLLKTWLARPLYHVELIKERQDAVASLQGVNLPYALEFRKAMTRLPDMERLLARVFSSSKACGRNANKVVLYEDAAKKQLQEFISALHGCELMVQICCSLGVILEHVESRQLHHLLTPGQGLPDVNSILKHFKDAFDWVQANSSGRIIPHEGVDIEYDSSCEKVKEIESHLTKYLQEQRRLLGNKSITYATVGKDSYLLEVPESLRGSIPRDYELCSSKKGIFRYWTPNIKKSLTELSEAETGKESSLKSILHRLIGQFCEHHLKWRQLVSVTAELDVLISLAIASDYFEGPSCRPVIMSSSCTNEVPHFSAKSLGHPVLKSDSLGKGTFVSNDITIGGSGHASFILLTGPNMGGKSTLLRQVCLAAILAQLGADVPAESFELSPVDRIFVRMGARDHIMVGQSTFLTELSETATMLSYSTRNSLVALDELGRGTSTSDGQAIAESVLEHFVYKVQCRGMFSTHYHRLAVDYQNNPEVSLCHMACQVGNGDGGVEEVTFLYRLTPGACPKSYGVNIARLAGLRSHHQSPAMYIPNKTRKQKRRNLKCATLQLCYVTVTHSCFIIFFHHIST >ONH94117 pep chromosome:Prunus_persica_NCBIv2:G8:22486639:22496100:-1 gene:PRUPE_8G271300 transcript:ONH94117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRSNGHSPLINQQRQITSFFSKTTSSPSPISSKSKQTQNPNPCPGPSPSHTTPSPLQSKPKPKKSHGQEVVGKRIRVYWPLDNIWYEGYVKLFSKDNGKHLVQYDDAEEELLDLGEEKIEWVQETVKTLKRLRRGPLSTSNEVVVDGHVVMEDEDKEGSNDVADDDSSDEDWGKSGDKDLVAEEEEELMELEDEEDDEVPTTSTNKGKRGLRSKRKLKGGGNLGSAKKTKCDKDVMEPTPNVESMKVANGMNTVVSGDASARFIVREAEKLHFLGEVRRDAKKRFPGDANYDPRTLYLPPDFLKSLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGAKELGLQYMKGEQPHCGFPEKNFSMNVEKLARKGYRVLVIEQTETPEQMELRRKEDGSKDKVVKREICAVVTKGTLTEGEMLSANPDASYLMAVTENSQNVANQNTERIFGVCVVDVATSRVILGQFGDDLECSALSCLLSELRPVEIIKPVKLLGPETEKVLLRHTRSPLVNELVPLLEFWDAERTAQEIRRIYRCTVDQLVSGSPKTSNLHSDDSHLEEDDLGCLPDVLSELMRTAALENLEIFENSRNGDSSGTIYAQLNHCVTGFGKRLLKTWLARPLYHVELIKERQDAVASLQGVNLPYALEFRKAMTRLPDMERLLARVFSSSKACGRNANKVVLYEDAAKKQLQEFISALHGCELMVQICCSLGVILEHVESRQLHHLLTPGQGLPDVNSILKHFKDAFDWVQANSSGRIIPHEGVDIEYDSSCEKVKEIESHLTKYLQEQRRLLGNKSITYATVGKDSYLLEVPESLRGSIPRDYELCSSKKGIFRYWTPNIKKSLTELSEAETGKESSLKSILHRLIGQFCEHHLKWRQLVSVTAELDVLISLAIASDYFEGPSCRPVIMSSSCTNEVPHFSAKSLGHPVLKSDSLGKGTFVSNDITIGGSGHASFILLTGPNMGGKSTLLRQVCLAAILAQLGADVPAESFELSPVDRIFVRMGARDHIMVGQSTFLTELSETATMLSYSTRNSLVALDELGRGTSTSDGQAIAESVLEHFVYKVQCRGMFSTHYHRLAVDYQNNPEVSLCHMACQVGNGDGGVEEVTFLYRLTPGACPKSYGVNIARLAGLPISVLQKAAAKSREFEATYGKHRKADSFFFQSPVDNMVSCIQGLISAVEKWTSHESAKSIDIDSLTEVWHRARILEQQS >ONH94119 pep chromosome:Prunus_persica_NCBIv2:G8:22487201:22494017:-1 gene:PRUPE_8G271300 transcript:ONH94119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYPLVCSFLFLSINFVSIQKIYNSMLTTLVSHCISVLPMTIDERRTFTTLVCADLNLLLFFGRHLENVYVESQFHKCFAQLLWSGNRVRRDAKKRFPGDANYDPRTLYLPPDFLKSLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHIGAKELGLQYMKGEQPHCGFPEKNFSMNVEKLARKGYRVLVIEQTETPEQMELRRKEDGSKDKVVKREICAVVTKGTLTEGEMLSANPDASYLMAVTENSQNVANQNTERIFGVCVVDVATSRVILGQFGDDLECSALSCLLSELRPVEIIKPVKLLGPETEKVLLRHTRSPLVNELVPLLEFWDAERTAQEIRRIYRCTVDQLVSGSPKTSNLHSDDSHLEEDDLGCLPDVLSELMRTGENGICALSALGGVLFYLKQAFLDETLLRFAKFELLPSSGFGDIVSKPYMVLDSAALENLEIFENSRNGDSSGTIYAQLNHCVTGFGKRLLKTWLARPLYHVELIKERQDAVASLQGVNLPYALEFRKAMTRLPDMERLLARVFSSSKACGRNANKVVLYEDAAKKQLQEFISALHGCELMVQICCSLGVILEHVESRQLHHLLTPGQGLPDVNSILKHFKDAFDWVQANSSGRIIPHEGVDIEYDSSCEKVKEIESHLTKYLQEQRRLLGNKSITYATVGKDSYLLEVPESLRGSIPRDYELCSSKKGIFRYWTPNIKKSLTELSEAETGKESSLKSILHRLIGQFCEHHLKWRQLVSVTAELDVLISLAIASDYFEGPSCRPVIMSSSCTNEVPHFSAKSLGHPVLKSDSLGKGTFVSNDITIGGSGHASFILLTGPNMGGKSTLLRQVCLAAILAQLGADVPAESFELSPVDRIFVRMGARDHIMVGQSTFLTELSETATMLSYSTRNSLVALDELGRGTSTSDGQAIAESVLEHFVYKVQCRGMFSTHYHRLAVDYQNNPEVSLCHMACQVGNGDGGVEEVTFLYRLTPGACPKSYGVNIARLAGLPISVLQKAAAKSREFEATYGKHRKADSFFFQSPVDNMVSCIQGLISAVEKWTSHESAKSIDIDSLTEVWHRARILEQQS >ONH90139 pep chromosome:Prunus_persica_NCBIv2:G8:3353748:3362291:1 gene:PRUPE_8G036700 transcript:ONH90139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVWTETSRQNDLLQLFQPFGVITKLVMLRAKNQALIQMQDTPAAVSALQFYGNVQPAIRGRNVYVQFSSHQELTTMYQNAQGRGDEPNRILLVTIHHMLYPITVEVLHQVFFPHGFVEKIVTFQKSAGFQALIQYQSRQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNERSRDFTNPNLPSEQKGRSPQPGYGDAGGVYGLQGTGARAVGFPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQSELAVHFLKGAILFGKRLEVNFSKHPNITQGADTHEYMNSNLNRFNRNAAKNYRYCCSPTKMIHLSSLPQEVTEEEIVNHLEEIGTIVNTKLFEMNGKKQALVLFETEEQATEALVCKHATSLGGSIIRISFSQLQGI >ONH90135 pep chromosome:Prunus_persica_NCBIv2:G8:3351573:3362291:1 gene:PRUPE_8G036700 transcript:ONH90135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPCCAHWNLIVCTSQITCQALDPCSTDLSSTPSLLLRSLSLSGFSTMTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALIQMQDTPAAVSALQFYGNVQPAIRGRNVYVQFSSHQELTTMYQNAQGRGDEPNRILLVTIHHMLYPITVEVLHQVFFPHGFVEKIVTFQKSAGFQALIQYQSRQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNERSRDFTNPNLPSEQKGRSPQPGYGDAGGVYGLQGTGARAVGFPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQSELAVHFLKGAILFGKRLEVNFSKHPNITQGADTHEYMNSNLNRFNRNAAKNYRYCCSPTKMIHLSSLPQEVTEEEIVNHLEEIGTIVNTKLFEMNGKKQALVLFETEEQATEALVCKHATSLGGSIIRISFSQLQGI >ONH90138 pep chromosome:Prunus_persica_NCBIv2:G8:3352586:3362291:1 gene:PRUPE_8G036700 transcript:ONH90138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDSQCMLICLLMNDLLQLFQPFGVITKLVMLRAKNQALIQMQDTPAAVSALQFYGNVQPAIRGRNVYVQFSSHQELTTMYQNAQGRGDEPNRILLVTIHHMLYPITVEVLHQVFFPHGFVEKIVTFQKSAGFQALIQYQSRQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNERSRDFTNPNLPSEQKGRSPQPGYGDAGGVYGLQGTGARAVGFPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQSELAVHFLKGAILFGKRLEVNFSKHPNITQGADTHEYMNSNLNRFNRNAAKNYRYCCSPTKMIHLSSLPQEVTEEEIVNHLEEIGTIVNTKLFEMNGKKQALVLFETEEQATEALVCKHATSLGGSIIRISFSQLQGI >ONH90140 pep chromosome:Prunus_persica_NCBIv2:G8:3353667:3362291:1 gene:PRUPE_8G036700 transcript:ONH90140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKNQALIQMQDTPAAVSALQFYGNVQPAIRGRNVYVQFSSHQELTTMYQNAQGRGDEPNRILLVTIHHMLYPITVEVLHQVFFPHGFVEKIVTFQKSAGFQALIQYQSRQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNERSRDFTNPNLPSEQKGRSPQPGYGDAGGVYGLQGTGARAVGFPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQSELAVHFLKGAILFGKRLEVNFSKHPNITQGADTHEYMNSNLNRFNRNAAKNYRYCCSPTKMIHLSSLPQEVTEEEIVNHLEEIGTIVNTKLFEMNGKKQALVLFETEEQATEALVCKHATSLGGSIIRISFSQLQGI >ONH90136 pep chromosome:Prunus_persica_NCBIv2:G8:3351616:3362291:1 gene:PRUPE_8G036700 transcript:ONH90136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALIQMQDTPAAVSALQFYGNVQPAIRGRNVYVQFSSHQELTTMYQNAQGRGDEPNRILLVTIHHMLYPITVEVLHQVFFPHGFVEKIVTFQKSAGFQALIQYQSRQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNERSRDFTNPNLPSEQKGRSPQPGYGDAGGVYGLQGTGARAVGFPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQSELAVHFLKGAILFGKRLEVNFSKHPNITQGADTHEYMNSNLNRFNRNAAKNYRYCCSPTKMIHLSSLPQEVTEEEIVNHLEEIGTIVNTKLFEMNGKKQALVLFETEEQATEALVCKHATSLGGSIIRISFSQLQGI >ONH90137 pep chromosome:Prunus_persica_NCBIv2:G8:3351616:3362291:1 gene:PRUPE_8G036700 transcript:ONH90137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALIQMQDTPAAVSALQFYGNVQPAIRGRNVYVQFSSHQELTTMYQNAQGRGDEPNRILLVTIHHMLYPITVEVLHQVFFPHGFVEKIVTFQKSAGFQALIQYQSRQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNERSRDFTNPNLPSEQKGRSPQPGYGDAGGVYGLQGTGARAVGFPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDKIDEDKLFNLFSIYGNIVRIKLLRNKPDHALVQMGDGFQSELAVHFLKGAILFGKRLEVNFSKHPNITQGADTHEYMNSNLNRFNRNAAKNYRYCCSPTKMIHLSSLPQEVTEEEIVNHLEEIGTIVNTKLFEMNGKKQALVLFETEEQATEALVCKHATSLGGSIIRISFSQLQGI >ONH90965 pep chromosome:Prunus_persica_NCBIv2:G8:11896193:11898438:-1 gene:PRUPE_8G085900 transcript:ONH90965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLLLHQALESLQSSPMLFILLFLLIISWFVLFMSRRKLPYPPGPRGWPIIGNMLMMDQLTHRGLAQLAKQYGGLLHLQMGVLHIMVVSSPKVAREILQVQDSSFANRPANAAISYLTYDRADMAFANYGPFWRRMRKICVINLFSRKRAESWASVREEVEEMVRHVATKTSSPVNIGQLVFTLTKNITYRAAFGSSSHEGQGEFVKILQEFSKLFGAFNMQDFLPWLGWVHAQAFKDRMAKARRSLDVFIDKIIDDHMAKRNTNKAKKDDNEAETDMVDELIAFFSDDAAKESDDPNSTFRLTRDNIKAIIMDVMFGGTETVASVIEWTMAELMKSPEDLQKVQQELINVVGLNRRVQETDLENLTYLKCAVKESLRLHPPIPLLLHETAEETSVAGYSFPVGSRVYINAWAIARDPTAWDEPETFKPSRFLKDGSPDFKGSDFEFLPFGSGRRSCPGMQLGLYGLEMAVAHLLHCFAWELPEGMKPNELDMNDVFGLTAPKAVQLVAVPSYRLNCPL >ONH90376 pep chromosome:Prunus_persica_NCBIv2:G8:5522514:5525254:-1 gene:PRUPE_8G050800 transcript:ONH90376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSTATATASSSSSSLCSVPTLFFAFTLLCTLSFSLFFLFNPLSSPSSSSSIYRNAFHSPQNSIQVFVADLPRSLNYGLLDKYWASGPDSRLGSGADHEIPKTQLPKSLEFPPYPENPLIKQYSAEYWILGDLMTPQAQRTASFAQRVFSAAEAEVVFVPFFATLSAELQLATAKGAFRKKAGNGDYERQRQVVDFVKNTEAWKRSGGRDHVFVLTDPVAMWHVRAEIAPAVLLVVDFGGWYRLESKSSNGNSSDVIQHAQVSLLKDVIVPYTHLLPRLQLTENKKRQTLLYFKGAKHRHRGGLVREKLWDLLVNEPDVIMEEGFPNATGREQSIKGMRTSKFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGIVDYSEFSVFVAVDDALKPNWVVSHLRTFPKEQRDRFRRKMAQFQPLFEYDNGHPGGIGPIPPDGAVNHVWKKVYQKLPMIKEAIIRERRKPPGVSVPPRCHCT >ONH89772 pep chromosome:Prunus_persica_NCBIv2:G8:1339678:1340645:-1 gene:PRUPE_8G015500 transcript:ONH89772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELDRIEISTKEVIESKFGSDVENHLGMLCSLIPEFIHEEKFGVDSVMLITPSGDSERMLEERHGHTIASSLSSLLYYMIHLILMNKCCYMSCRIQKGNMGKRLISTKIKDMHIWIAKLGRSEMSTDELKRDIIFGDLYADDRSAFVQQLELLVFLVPQWILKDPEENPNNFSICRENTEDAKIKLYQEIYERTLGESPSFNLSKDDFLLNLRRSIVKEFGVWFLLGSSILFSY >ONH92644 pep chromosome:Prunus_persica_NCBIv2:G8:18390621:18391868:-1 gene:PRUPE_8G186500 transcript:ONH92644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYIGSVLLLLLLSNCSFSFGVESDINCLKSIKASLEDTLGYINSSWDFSNNTDGFICNFLGIECWHPQESKVLNIKLSDLGLKGQFPRGVENCTSLTGLDLSSNMLSGHLPNDIDEVLLFVTSLDLSSNSFSGLIPATLSNCSYMNVLKLDNNQFSGNIPAEVNQLTRLKTFSVANNALSGEVPSFNTTSITADSYANNPGLCGHPLKSCQKKSKSVVRVFMSRNGVVIVAAAGFGVGFALYFEINSNSSIAQ >ONH94017 pep chromosome:Prunus_persica_NCBIv2:G8:22270144:22276951:1 gene:PRUPE_8G266200 transcript:ONH94017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDMKFTNLYALSDLRGQKSHGEEPSDGVGNLEHKMHQLKKQIQAERMVSVKEKVEKNREKLGGYISQIISATSRANSTLPEKNGSFKLFPSRIEQPLCKFSGFGHGYGDKDYINNQEVVFSSSTKLPSAENLPPYTTWIFLDRNQRMADDQSVVGRRRIYYDKDGTDGSEALVCSDTDEEIAEPEEVKHEFTAGEDRIMSMAFQEHGIGEEVVKVVSQFIGATTLEILVRYNTIKDREKHEPKGSGDSGSNWCISLDKSLSAALDSFDNLFCRRCLLFDCRLHGCSQPLIYPSEKQVHWSEQDEERTPCSDQCYLKLRVVENVPDDPDIGALHRMNTIISERESAPASSFNAEKPSSHGSTDIINIERCIPGKAVSFTSEAICSSDVIAGGLELDTHIMRMHNENLGKRKVVKHKDKVTNDVTIVPDDFQGSSKKQKRLDALDLVTATSEPITVQDHISVSKTRNTDVGLPNETELQMTKKGLLNESAGHTSTELVCYGSASCDEYTDNDRDVKQDVTEVPELRQPSNSTQGQVKGVCSSSEWKPVEKELYMKGLEIFGRNSCLIARNLLSGLKTCMEVSSYMHNAGASMPNRSVVGPFSFMEDNGKANMDQTDQELPTKPRLLRRRGRARRLKYSWKSAGHPSMWKRIADGKNQSCKLYTPCGCQTMCGKHCPCQHSGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHQEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDSGEEIFYDYRYGPDQAPPWAQKPEGSKRDDSSVSQGRAKKHQSH >ONH94015 pep chromosome:Prunus_persica_NCBIv2:G8:22269906:22276951:1 gene:PRUPE_8G266200 transcript:ONH94015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTGMVSKATDSATKLRKSHGEEPSDGVGNLEHKMHQLKKQIQAERMVSVKEKVEKNREKLGGYISQIISATSRANSTLPEKNGSFKLFPSRIEQPLCKFSGFGHGYGDKDYINNQEVVFSSSTKLPSAENLPPYTTWIFLDRNQRMADDQSVVGRRRIYYDKDGTDGSEALVCSDTDEEIAEPEEVKHEFTAGEDRIMSMAFQEHGIGEEVVKVVSQFIGATTLEILVRYNTIKDREKHEPKGSGDSGSNWCISLDKSLSAALDSFDNLFCRRCLLFDCRLHGCSQPLIYPSEKQVHWSEQDEERTPCSDQCYLKLRVVENVPDDPDIGALHRMNTIISERESAPASSFNAEKPSSHGSTDIINIERCIPGKAVSFTSEAICSSDVIAGGLELDTHIMRMHNENLGKRKVVKHKDKVTNDVTIVPDDFQGSSKKQKRLDALDLVTATSEPITVQDHISVSKTRNTDVGLPNETELQMTKKGLLNESAGHTSTELVCYGSASCDEYTDNDRDVKQDVTEVPELRQPSNSTQGQVKGVCSSSEWKPVEKELYMKGLEIFGRNSCLIARNLLSGLKTCMEVSSYMHNAGASMPNRSVVGPFSFMEDNGKANMDQTDQELPTKPRLLRRRGRARRLKYSWKSAGHPSMWKRIADGKNQSCKLYTPCGCQTMCGKHCPCQHSGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHQEADKRGKIYDRANSSFLFDLNDQVMLVAGDHRVGIFAKEHIDSGEEIFYDYRYGPDQAPPWAQKPEGSKRDDSSVSQGRAKKHQSH >ONH94016 pep chromosome:Prunus_persica_NCBIv2:G8:22269906:22276951:1 gene:PRUPE_8G266200 transcript:ONH94016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTGMVSKATDSATKLRKSHGEEPSDGVGNLEHKMHQLKKQIQAERMVSVKEKVEKNREKLGGYISQIISATSRANSTLPEKNGSFKLFPSRIEQPLCKFSGFGHGYGDKDYINNQEVVFSSSTKLPSAENLPPYTTWIFLDRNQRMADDQSVVGRRRIYYDKDGTDGSEALVCSDTDEEIAEPEEVKHEFTAGEDRIMSMAFQEHGIGEEVVKVVSQFIGATTLEILVRYNTIKDREKHEPKGSGDSGSNWCISLDKSLSAALDSFDNLFCRRCLLFDCRLHGCSQPLIYPVHWSEQDEERTPCSDQCYLKLRVVENVPDDPDIGALHRMNTIISERESAPASSFNAEKPSSHGSTDIINIERCIPGKAVSFTSEAICSSDVIAGGLELDTHIMRMHNENLGKRKVVKHKDKVTNDVTIVPDDFQGSSKKQKRLDALDLVTATSEPITVQDHISVSKTRNTDVGLPNETELQMTKKGLLNESAGHTSTELVCYGSASCDEYTDNDRDVKQDVTEVPELRQPSNSTQGQVKGVCSSSEWKPVEKELYMKGLEIFGRNSCLIARNLLSGLKTCMEVSSYMHNAGASMPNRSVVGPFSFMEDNGKANMDQTDQELPTKPRLLRRRGRARRLKYSWKSAGHPSMWKRIADGKNQSCKLYTPCGCQTMCGKHCPCQHSGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHQEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDSGEEIFYDYRYGPDQAPPWAQKPEGSKRDDSSVSQGRAKKHQSH >ONH94018 pep chromosome:Prunus_persica_NCBIv2:G8:22270361:22276951:1 gene:PRUPE_8G266200 transcript:ONH94018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDQSVVGRRRIYYDKDGTDGSEALVCSDTDEEIAEPEEVKHEFTAGEDRIMSMAFQEHGIGEEVVKVVSQFIGATTLEILVRYNTIKDREKHEPKGSGDSGSNWCISLDKSLSAALDSFDNLFCRRCLLFDCRLHGCSQPLIYPSEKQVHWSEQDEERTPCSDQCYLKLRVVENVPDDPDIGALHRMNTIISERESAPASSFNAEKPSSHGSTDIINIERCIPGKAVSFTSEAICSSDVIAGGLELDTHIMRMHNENLGKRKVVKHKDKVTNDVTIVPDDFQGSSKKQKRLDALDLVTATSEPITVQDHISVSKTRNTDVGLPNETELQMTKKGLLNESAGHTSTELVCYGSASCDEYTDNDRDVKQDVTEVPELRQPSNSTQGQVKGVCSSSEWKPVEKELYMKGLEIFGRNSCLIARNLLSGLKTCMEVSSYMHNAGASMPNRSVVGPFSFMEDNGKANMDQTDQELPTKPRLLRRRGRARRLKYSWKSAGHPSMWKRIADGKNQSCKLYTPCGCQTMCGKHCPCQHSGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHQEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDSGEEIFYDYRYGPDQAPPWAQKPEGSKRDDSSVSQGRAKKHQSH >ONH94014 pep chromosome:Prunus_persica_NCBIv2:G8:22269906:22276951:1 gene:PRUPE_8G266200 transcript:ONH94014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTGMVSKATDSATKLRKSHGEEPSDGVGNLEHKMHQLKKQIQAERMVSVKEKVEKNREKLGGYISQIISATSRANSTLPEKNGSFKLFPSRIEQPLCKFSGFGHGYGDKDYINNQEVVFSSSTKLPSAENLPPYTTWIFLDRNQRMADDQSVVGRRRIYYDKDGTDGSEALVCSDTDEEIAEPEEVKHEFTAGEDRIMSMAFQEHGIGEEVVKVVSQFIGATTLEILVRYNTIKDREKHEPKGSGDSGSNWCISLDKSLSAALDSFDNLFCRRCLLFDCRLHGCSQPLIYPSEKQVHWSEQDEERTPCSDQCYLKLRVVENVPDDPDIGALHRMNTIISERESAPASSFNAEKPSSHGSTDIINIERCIPGKAVSFTSEAICSSDVIAGGLELDTHIMRMHNENLGKRKVVKHKDKVTNDVTIVPDDFQGSSKKQKRLDALDLVTATSEPITVQDHISVSKTRNTDVGLPNETELQMTKKGLLNESAGHTSTELVCYGSASCDEYTDNDRDVKQDVTEVPELRQPSNSTQGQVKGVCSSSEWKPVEKELYMKGLEIFGRNSCLIARNLLSGLKTCMEVSSYMHNAGASMPNRSVVGPFSFMEDNGKANMDQTDQELPTKPRLLRRRGRARRLKYSWKSAGHPSMWKRIADGKNQSCKLYTPCGCQTMCGKHCPCQHSGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRQGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHQEADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDSGEEIFYDYRYGPDQAPPWAQKPEGSKRDDSSVSQGRAKKHQSH >ONH92810 pep chromosome:Prunus_persica_NCBIv2:G8:18842492:18845147:1 gene:PRUPE_8G197100 transcript:ONH92810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSHPLAIAGLLVLVLYLWRLRIQSHKIKGMLAPQPSGALPIIGHLHKLRGPIFKTLAAMADKYGPIFTIWLGKHPVLVISNYDAVKQCFTKNDTVFATRSRSTVGKYLGYNYAGFGFSPYGTYWRDMRKMVMVELLSSRRLETLKRVQISEVDAFIKGLFLLCKTEGHNGLNPTKVVISDWIEHLTLNVITRMIAGKRYFDSSDEGDEQRFGKILKEFMYVSGNPVAADVIGFPSWIDFKGQVKAMKRIMKELDSVMGSWVEEHYAKKETNSRDEHDFIDVMISVIEDNSTLGYTRETIIKATSLNLILAGSETTAINLIWILSLLLNNRHVLKLAQEELDLKVGRDRWIEDTDIENLPYLQAIVKETLRLYPPAPLSVPHEAMQDCQVCGFHIQKGTLLFVNLWKLHRDPSLWPDPEVFCPERFLTTHVGIDASGQHFEFIPFGSGRRSCPGATFALQVTHLTLGRLLQGFELATPMDMPVDLTEGVGITMPKSTSLEVILTPRLPLVFYEDR >ONH90073 pep chromosome:Prunus_persica_NCBIv2:G8:3108419:3109459:1 gene:PRUPE_8G033300 transcript:ONH90073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITCYMTRVVILQLQLLCFLEAQHFCGADPTHGFTRVQLTEHDRSPTGPRTEIRNSGHGYTSGVRQFEGHFLMPQRSSGVTIMQIFGAAHQATTLQLRVCNGDLKYYIHNVVAANIYNKWFRLNVIHNVGARKVTIFIDGEKKFVVKDHSRATFYFKYGVYAAPSESRWRGIKLFKK >ONH93707 pep chromosome:Prunus_persica_NCBIv2:G8:21470122:21473584:-1 gene:PRUPE_8G248500 transcript:ONH93707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIKEYAKNDAQEEIREPLVRVDNNLDVGDGSGHGSTKGDQWMVYLSTFVAVCGSYEFGCGVGYSSPTQSAIRKDLNLSLAEYSMFGSILTFGAMIGAITIGPITDFLGRKGALRMSCGFCVAGWLAIYFSKVPVFIAEIAPKNLRGRLTAINQLMIVTGVSVSFIIGWRRLICVVIAGIVPCAVIIFGLFFIPESPRWLAKTGRQKDFEVALQKLRGKDADISHEAAEIQEYIASLELLPQAKLLDLFQRRYLRSVIIAVGLMVCQQLGGINGVCFYVSNIFEQAGFSPRLGTITYAILQVVVTGLGAAVMDKAGRKPLILVSASGLVLGCVLIATSFFLKVYPTKDKKRALVKQVHGLALKASPIFAVAGILLTIHFCIQIFIGSFSIGMGAVPWVLMSEIFPINIKGQAGSLTTLVNWLGAWLCSYTFNFLMSWSSYGTFILYAVVNVLSVLFVIVIVPETKGKTLEQIQGAINK >ONH93708 pep chromosome:Prunus_persica_NCBIv2:G8:21469674:21473719:-1 gene:PRUPE_8G248500 transcript:ONH93708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIKEYAKNDAQEEIREPLVRVDNNLDVGDGSGHGSTKGDQWMVYLSTFVAVCGSYEFGCGVGYSSPTQSAIRKDLNLSLAEYSMFGSILTFGAMIGAITIGPITDFLGRKGALRMSCGFCVAGWLAIYFSKGALSLDIGRLATGYGSGAFSYIVPVFIAEIAPKNLRGRLTAINQLMIVTGVSVSFIIGVVVSWRALAIIGIVPCAVIIFGLFFIPESPRWLAKTGRQKDFEVALQKLRGKDADISHEAAEIQEYIASLELLPQAKLLDLFQRRYLRSVIIAVGLMVCQQLGGINGVCFYVSNIFEQAGFSPRLGTITYAILQVVVTGLGAAVMDKAGRKPLILVSASGLVLGCVLIATSFFLKVHGLALKASPIFAVAGILIFIGSFSIGMGAVPWVLMSEIFPINIKGQAGSLTTLVNWLGAWLCSYTFNFLMSWSSYGTFILYAVVNVLSVLFVIVIVPETKGKTLEQIQGAINK >ONH93916 pep chromosome:Prunus_persica_NCBIv2:G8:22021551:22027665:-1 gene:PRUPE_8G260200 transcript:ONH93916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPPHQTAARGRKKTHLTAASESPSLSLPPRGSTTPRVIDHHLQGSTSTSPSLSSSHHRLQGSSSASSSLSSSLSLPQRSSTITGQIIVFEFHDVDEVGCEASKHLAMDDNQFMVVMSKTSVTQSNTMIPKTSVEKIQLDKLCTSCEPFFPPPPNVKKKLSTVRFGMFTLRISRKICLGEWGSKKWRVEIKNELAHRCRTTIGREWLTFVRENQLLVGDHVVFRVLPSTMGLVLVGVYRHNPATPPRASSSVRPHEDQDLSEVVQKLNDVIKLRNEELEAKEETIRKLRNEELEAKEETIRKLSERLLSELGERLLLEQRISGLVKSQADKRKKFSKNSLLLIQLLPS >ONH93908 pep chromosome:Prunus_persica_NCBIv2:G8:22021551:22027657:-1 gene:PRUPE_8G260200 transcript:ONH93908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPPHQTAARGRKKTHLTAASESPSLSLPPRGSTTPRVIDHHLQGSTSTSPSLSSSHHRLQGSSSASSSLSSSLSLPQRSSTITGQIIVFEFHDVDEVGCEASKHLAMDDNQFMVVMSKTSVTQSNTMIPKTSVEKIQLDKLCTSCEPFFPPPPNVKKKLSTVRFGMFTLRISRKICLGEWGSKKWRVEIKNELAHRCRTTIGREWLTFVRENQLLVGDHVVFRVLPSTMGLVLVGVYRHNPATPPRASSSVRPHEDQDLSEVVQKLNDVIKLRNEELEAKEETIRKLRNEELEAKEETIRKLSERLLSELGERLLLEQRISGLVKSQADKNDFLEKKIQQEFAVAHSTLAIMNSEIASLESNLKDIDRNHQLILRTQWDQLAELEVVVKEEQLMRERLFNTIEGSHQLIANDPTENVASRGEDQEPATLPSRPDTL >ONH93915 pep chromosome:Prunus_persica_NCBIv2:G8:22021551:22027665:-1 gene:PRUPE_8G260200 transcript:ONH93915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPPHQTAARGRKKTHLTAASESPSLSLPPRGSTTPRVIDHHLQGSTSTSPSLSSSHHRLQGSSSASSSLSSSLSLPQRSSTITGQIIVFEFHDVDEVGCEASKHLAMDDNQFMVVMSKTSVTQSNTMIPKTSVEKIQLDKLCTSCEPFFPPPPNVKKKLSTVRFGMFTLRISRKICLGEWGSKKWRVEIKNELAHRCRTTIGREWLTFVRENQLLVGDHVVFRVLPSTMGLVLVGVYRHNPATPPRASSSVRPHEDQDLSEVVQKLNDVIKLRNEELEAKEETIRKLRNEELEAKEETIRKLSERLLSELGERLLLEQRISGLVKSQADKRKKFSKNSLLLIQLLPS >ONH93911 pep chromosome:Prunus_persica_NCBIv2:G8:22021460:22027659:-1 gene:PRUPE_8G260200 transcript:ONH93911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPPHQTAARGRKKTHLTAASESPSLSLPPRGSTTPRVIDHHLQGSTSTSPSLSSSHHRLQGSSSASSSLSSSLSLPQRSSTITGQIIVFEFHDVDEVGCEASKHLAMDDNQFMVVMSKTSVTQSNTMIPKTSVEKIQLDKLCTSCEPFFPPPPNVKKKLSTVRFGMFTLRISRKICLGEWGSKKWRVEIKNELAHRCRTTIGREWLTFVRENQLLVGDHVVFRVLPSTMGLVLVGVYRHNPATPPRASSSVRPHEDQDLSEVVQKLNDVIKLRNEELEAKEETIRKLRNEELEAKEETIRKLSERLLSELGERLLLEQRISGLVKSQADKNDFLEKKIQQEFAVAHSTLAIMNSEIASLESNLKDIDRNHQLILRTQWDQLAELEVVVKEEQLMRERLFNTIEGSHQLIANDPTENVASRGEDQEPATLPSRPDTL >ONH93913 pep chromosome:Prunus_persica_NCBIv2:G8:22021459:22027678:-1 gene:PRUPE_8G260200 transcript:ONH93913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPPHQTAARGRKKTHLTAASESPSLSLPPRGSTTPRVIDHHLQGSTSTSPSLSSSHHRLQGSSSASSSLSSSLSLPQRSSTITGQIIVFEFHDVDEVGCEASKHLAMDDNQFMVVMSKTSVTQSNTMIPKTSVEKIQLDKLCTSCEPFFPPPPNVKKKLSTVRFGMFTLRISRKICLGEWGSKKWRVEIKNELAHRCRTTIGREWLTFVRENQLLVGDHVVFRVLPSTMGLVLVGVYRHNPATPPRASSSVRPHEDQDLSEVVQKLNDVIKLRNEELEAKEETIRKLRNEELEAKEETIRKLSERLLSELGERLLLEQRISGLVKSQADKNDFLEKKIQQEFAVAHSTLAIMNSEIASLESNLKDIDRNHQLILRTQWDQLAELEVVVKEEQLMRERLFNTIEGSHQLIANDPTENVASRGEDQEPATLPSRPDTL >ONH93914 pep chromosome:Prunus_persica_NCBIv2:G8:22021461:22027657:-1 gene:PRUPE_8G260200 transcript:ONH93914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPPHQTAARGRKKTHLTAASESPSLSLPPRGSTTPRVIDHHLQGSTSTSPSLSSSHHRLQGSSSASSSLSSSLSLPQRSSTITGQIIVFEFHDVDEVGCEASKHLAMDDNQFMVVMSKTSVTQSNTMIPKTSVEKIQLDKLCTSCEPFFPPPPNVKKKLSTVRFGMFTLRISRKICLGEWGSKKWRVEIKNELAHRCRTTIGREWLTFVRENQLLVGDHVVFRVLPSTMGLVLVGVYRHNPATPPRASSSVRPHEDQDLSEVVQKLNDVIKLRNEELEAKEETIRKLRNEELEAKEETIRKLSERLLSELGERLLLEQRISGLVKSQADKNDFLEKKIQQEFAVAHSTLAIMNSEIASLESNLKDIDRNHQLILRTQWDQLAELEVVVKEEQLMRERLFNTIEGSHQLIANDPTENVASRGEDQEPATLPSRPDTL >ONH93907 pep chromosome:Prunus_persica_NCBIv2:G8:22021881:22024071:-1 gene:PRUPE_8G260200 transcript:ONH93907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPPHQTAARGRKKTHLTAASESPSLSLPPRGSTTPRVIDHHLQGSTSTSPSLSSSHHRLQGSSSASSSLSSSLSLPQRSSTITGQIIVFEFHDVDEVGCEASKHLAMDDNQFMVVMSKTSVTQSNTMIPKTSVEKIQLDKLCTSCEPFFPPPPNVKKKLSTVRFGMFTLRISRKICLGEWGSKKWRVEIKNELAHRCRTTIGREWLTFVRENQLLVGDHVVFRVLPSTMGLVLVGVYRHNPATPPRASSSVRPHEDQDLSEVVQKLNDVIKLRNEELEAKEETIRKLRNEELEAKEETIRKLSERLLSELGERLLLEQRISGLVKSQADKNDFLEKKIQQEFAVAHSTLAIMNSEIASLESNLKDIDRNHQLILRTQWDQLAELEVVVKEEQLMRERLFNTIEGSHQLIANDPTENVASRGEDQEPATLPSRPDTL >ONH93912 pep chromosome:Prunus_persica_NCBIv2:G8:22021461:22027678:-1 gene:PRUPE_8G260200 transcript:ONH93912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPPHQTAARGRKKTHLTAASESPSLSLPPRGSTTPRVIDHHLQGSTSTSPSLSSSHHRLQGSSSASSSLSSSLSLPQRSSTITGQIIVFEFHDVDEVGCEASKHLAMDDNQFMVVMSKTSVTQSNTMIPKTSVEKIQLDKLCTSCEPFFPPPPNVKKKLSTVRFGMFTLRISRKICLGEWGSKKWRVEIKNELAHRCRTTIGREWLTFVRENQLLVGDHVVFRVLPSTMGLVLVGVYRHNPATPPRASSSVRPHEDQDLSEVVQKLNDVIKLRNEELEAKEETIRKLRNEELEAKEETIRKLSERLLSELGERLLLEQRISGLVKSQADKNDFLEKKIQQEFAVAHSTLAIMNSEIASLESNLKDIDRNHQLILRTQWDQLAELEVVVKEEQLMRERLFNTIEGSHQLIANDPTENVASRGEDQEPATLPSRPDTL >ONH93909 pep chromosome:Prunus_persica_NCBIv2:G8:22021551:22024277:-1 gene:PRUPE_8G260200 transcript:ONH93909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPPHQTAARGRKKTHLTAASESPSLSLPPRGSTTPRVIDHHLQGSTSTSPSLSSSHHRLQGSSSASSSLSSSLSLPQRSSTITGQIIVFEFHDVDEVGCEASKHLAMDDNQFMVVMSKTSVTQSNTMIPKTSVEKIQLDKLCTSCEPFFPPPPNVKKKLSTVRFGMFTLRISRKICLGEWGSKKWRVEIKNELAHRCRTTIGREWLTFVRENQLLVGDHVVFRVLPSTMGLVLVGVYRHNPATPPRASSSVRPHEDQDLSEVVQKLNDVIKLRNEELEAKEETIRKLRNEELEAKEETIRKLSERLLSELGERLLLEQRISGLVKSQADKNDFLEKKIQQEFAVAHSTLAIMNSEIASLESNLKDIDRNHQLILRTQWDQLAELEVVVKEEQLMRERLFNTIEGSHQLIANDPTENVASRGEDQEPATLPSRPDTL >ONH93910 pep chromosome:Prunus_persica_NCBIv2:G8:22021881:22024071:-1 gene:PRUPE_8G260200 transcript:ONH93910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPPHQTAARGRKKTHLTAASESPSLSLPPRGSTTPRVIDHHLQGSTSTSPSLSSSHHRLQGSSSASSSLSSSLSLPQRSSTITGQIIVFEFHDVDEVGCEASKHLAMDDNQFMVVMSKTSVTQSNTMIPKTSVEKIQLDKLCTSCEPFFPPPPNVKKKLSTVRFGMFTLRISRKICLGEWGSKKWRVEIKNELAHRCRTTIGREWLTFVRENQLLVGDHVVFRVLPSTMGLVLVGVYRHNPATPPRASSSVRPHEDQDLSEVVQKLNDVIKLRNEELEAKEETIRKLRNEELEAKEETIRKLSERLLSELGERLLLEQRISGLVKSQADKNDFLEKKIQQEFAVAHSTLAIMNSEIASLESNLKDIDRNHQLILRTQWDQLAELEVVVKEEQLMRERLFNTIEGSHQLIANDPTENVASRGEDQEPATLPSRPDTL >ONH89609 pep chromosome:Prunus_persica_NCBIv2:G8:319124:320537:1 gene:PRUPE_8G004100 transcript:ONH89609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNQKQLASPHLSWSLRLFMSSFSFAVDASRRSNGTLNRCFINLLDFKSPPLTKPHKNLKSSDVIVDSSRNLWFRLYTPTASQSATTLPVIVFFHGGGFAFMAANSKPYDDFCQKLARELPAVVVSVNYRPTPEHRYPCQYDDALDVLKFMENDGHYFEGANLKQCFLAGDSAGGNIAHHVALRYSGHVFQNLKVVGILSIQPFFGGEERTEPERRLVGVPIVNLERTDWMWRAFLPEGSDRDHPAANVFGPKSEDISGSDYPATIVFVGGFDPLQEWQKRYYEGLKKSGKEAELVYYPNAIHTFYGHPELEERAAFFNKVKDFVQLQIVSRQNIK >ONH91854 pep chromosome:Prunus_persica_NCBIv2:G8:15834507:15837352:-1 gene:PRUPE_8G139700 transcript:ONH91854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSCCRPLERCFGLRCGAGGGGDGLWHMDLKPHASGDYSIAVVQANSNLEDQGQVFTSPSATYVGIYDGHGGPEASRFVNNHLFPYLHKFATEQGGLSPDVIKKAFSATEDEFLRLVKRSLPVMPQIASVGSCCLVGAISDDVLYVANLGDSRAVLGRRVSESKRSAVVAERLSTDHNVGDEQVRKEVEALHPDDAHVVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFNRDPIYQQFLNPVPMKRPVMTAEPSIITRNLKPQDSFLIFASDGLWEQLSDEAAVEIVFKNPRAGIAKRLVRAALHEAAKKREMRYDDIKKIEKGVRRHFHDDITVIVVYLDHHKSSSKHKIKHSTLGSTRAPVDIFSVSADDAEQGLHHIV >ONH93000 pep chromosome:Prunus_persica_NCBIv2:G8:19366845:19369089:1 gene:PRUPE_8G207300 transcript:ONH93000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQLGFTHQMADFSNTRQFSDGKPRFSIKGTPINHFLGTSTFSEHTVVHSGCLAKINRLAPLDKVCILCCGISTDLGATLNVAKPKKGSSVAVFGLGAVGLAAAEGARISGASRIIVIDRNPKRFEEAKKFGVNEFVNPKDHDKPVVAEMTNGGVDRSIECTGNINAMISAFECVHDDAVFMTKLINVLNERTLKGTFFGNYKPRTHLPSVVDMYMNKERDVEKFITHRVPFSEINKAFDYMVKGEGLRCIISMEE >ONH92882 pep chromosome:Prunus_persica_NCBIv2:G8:19021654:19022432:1 gene:PRUPE_8G201600 transcript:ONH92882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLMREGEAAGVGRKGTTEESGRKTAASREFRRLFGSRQPVLLSHQLSSDATSKQGFFSVSLSLSSEHRSGIFKISISLIFLVSKSLVLI >ONH92880 pep chromosome:Prunus_persica_NCBIv2:G8:19021654:19023366:1 gene:PRUPE_8G201600 transcript:ONH92880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLMREGEAAGVGRKGTTEESGRKTAASREFRRLFGSRQPVLLSHQLSSDATSKQGFFSVSLSLSSEHRSGVYCVCDFVWLFQLHSHNSIMSRGSQRERDRERAQARSGGKSKQPKNDGLTPEQRRQRDAKALQEKAAKKAAQAGGVSGGKN >ONH92883 pep chromosome:Prunus_persica_NCBIv2:G8:19021654:19022432:1 gene:PRUPE_8G201600 transcript:ONH92883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLMREGEAAGVGRKGTTEESGRKTAASREFRRLFGSRQPVLLSHQLSSDATSKQGFFSVSLSLSSEHRSGIFKISISLIFLVSKSLVLI >ONH92879 pep chromosome:Prunus_persica_NCBIv2:G8:19021654:19023366:1 gene:PRUPE_8G201600 transcript:ONH92879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLMREGEAAGVGRKGTTEESGRKTAASREFRRLFGSRQPVLLSHQLSSDATSKQGFFSVSLSLSSEHRSVAGVYCVCDFVWLFQLHSHNSIIERGSQRERDRERAQARSGGKSKQPKNDGLTPEQRRQRDAKALQEKAAKKAAQAGGVSGGKN >ONH92881 pep chromosome:Prunus_persica_NCBIv2:G8:19021654:19023366:1 gene:PRUPE_8G201600 transcript:ONH92881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLMREGEAAGVGRKGTTEESGRKTAASREFRRLFGSRQPVLLSHQLSSDATSKQGFFSVSLSLSSEHRSGVYCVCDFVWLFQLHSHNSIMSHILAIWICFFPLLIFQSLNYFALFGCRETR >ONH92878 pep chromosome:Prunus_persica_NCBIv2:G8:19021654:19023369:1 gene:PRUPE_8G201600 transcript:ONH92878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLMREGEAAGVGRKGTTEESGRKTAASREFRRLFGSRQPVLLSHQLSSDATSKQGFFSVSLSLSSEHRSVAGVYCVCDFVWLFQLHSHNSIMSRGSQRERDRERAQARSGGKSKQPKNDGLTPEQRRQRDAKALQEKAAKKAAQAGGVSGGKN >ONH91743 pep chromosome:Prunus_persica_NCBIv2:G8:15535984:15540361:-1 gene:PRUPE_8G134500 transcript:ONH91743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAQKLQFCWRVTSIFVLLLSSALALNSDGVLLLSFKYSILSDPLSVLESWNYNDETPCSWNGVTCTQMGNPGTPDMFRVTSLVLPNSQLLGSIASELGSIEHLHSLDLSNNFFNGSLPLTLFNASELQVLSLSNNVISGDLPDELVSGLKSLQFLNVSDNALAGKVPENLTSLQNLTVVSLRSNYFSGNLPTGFNSVEVLDLSSNLLNGTLPLDFGGDNLRYLNLSYNKISGKIPVDFVKRVSENSTIDLSFNNLSGPIPDSQALLNQKTELFAGNSELCGKPLKSLCAIPSTLSTPPNTTSSSPAIAAIPRTFDTTPVSNTPGGPNGTQNQPQSGLKPSTIAAIAVGDLAGIAILGLVILYVYQVRKRKLLNTTSSSATELEKKPEIFSKEEIDQKVKSVACSCMTIKGEETSTSSDSDQDDKNGQVGGGVQSQKGEQSQKGGVLVTIDGDQAELELETLLKASAYVLGASGPSIVYKAVLEDKTELAVRRIGESGVVKMRDFESQVRAIAKLKHPNLVRVRGFYWGDDEKLVIYDYVSNGSLASTTNRRAGSSPCHLPLETRLKIASGVARGLAYIHEKKHVHGNIKPSNILLNSDMEPIISDFGLDKLVLGNLGSHKASGSSRGYFDSLKSTATREGMHDVLPIGGSPAAAMSSSGGASSPYQAPECLKNLKPNPKWDVYSFGIVLLELLTGRILSERELGAQWTPGSLTEEKIRALIMVDVAIRTEVDCREDALLACLKLGFNCASFAPQKRPTMKEALQILDKSTSPPSTSSN >ONH92986 pep chromosome:Prunus_persica_NCBIv2:G8:19311063:19311329:-1 gene:PRUPE_8G206000 transcript:ONH92986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLSSSQDQSKAEPCLFKPKAGSVFPVKKRLVKRMIFDQIVQCFCSVSDDTNSPSASAGVSETTTSSNANKVIISSHVYPCPPALP >ONH89551 pep chromosome:Prunus_persica_NCBIv2:G8:142735:143270:1 gene:PRUPE_8G001700 transcript:ONH89551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGPSHCLHASFFDSRLALVWFAAFSLLPSLSLSLCHIFRSTRQRRFTTTPISSTSRRSSHLQVDPTKLEI >ONH93613 pep chromosome:Prunus_persica_NCBIv2:G8:21194075:21195470:1 gene:PRUPE_8G242500 transcript:ONH93613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHLMKIAATIVVVFIGFFGVATSTPDTNITSVLCNSGVYTAGDPFAVSLAYVLKELETATSTHKNYDFYDISPYPNAFAYGHASCNQNITTPDCTTCLGAAKTDMLGTCQNAIGGRAVLRDCAIRYEQYPFTN >ONH91289 pep chromosome:Prunus_persica_NCBIv2:G8:13497350:13497835:1 gene:PRUPE_8G104200 transcript:ONH91289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVSANISKEEIDGFVEEHNKARKEVGNKPLKWNTTLAQYAQEYADKRVGDCAMEHSMGRWGENLASGDGMSGAAATKYWVTEKEFYDEKSNKCVKDECGHYLAVVWGKTTEVGCGISKCNNGQNYVVCSYDPMYQPEDERPY >ONH93011 pep chromosome:Prunus_persica_NCBIv2:G8:19392191:19392957:-1 gene:PRUPE_8G207800 transcript:ONH93011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGKVSPFVGFLCIALLLASVAGPAGALRITEICQGPCSDFPDCRGTCISKKFPKGGLCMGYGTQPPACCCFV >ONH90676 pep chromosome:Prunus_persica_NCBIv2:G8:10111058:10114752:-1 gene:PRUPE_8G068900 transcript:ONH90676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILAPTSVSKDPLSLRTSSNSSSSDLQRISLNPPRIFGSKMKSGKIEQTPSRLLTASNNCSDLTAKASRKPLGLTEELKNNKLESVVETDDGSCLFEDMKRRFLSFKKHKYMENLEHYQNLAEGQAPKFMVISCADSRVCPSTILGFQPGEAFIVRNIANLVPSFKVENILVVGHSCCGGIRALMSMDDEVEKSFIQNWVVVGRDARLWTKAAASKLSFDQQCKHCEKESINRSLLNLLTYPWIEEKVKNGILSVHGGYYDFVDCTFEKWTLDYKEDNLKEKHGRISVKNHLFWS >ONH90680 pep chromosome:Prunus_persica_NCBIv2:G8:10111251:10113412:-1 gene:PRUPE_8G068900 transcript:ONH90680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRFLSFKKHKYMENLEHYQNLAEGQAPKFMVISCADSRVCPSTILGFQPGEAFIVRNIANLVPSFKSGPSETNAALEFSVNALEVENILVVGHSCCGGIRALMSMDDEVEKSSFIQNWVVVGRDARLWTKAAASKLSFDQQCKHCEKESINRSLLNLLTYPWIEEKVKNGILSVHGGYYDFVDCTFEKWTLDYKEDNLKEKHGRISVKNHLFWS >ONH90678 pep chromosome:Prunus_persica_NCBIv2:G8:10111058:10114752:-1 gene:PRUPE_8G068900 transcript:ONH90678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILAPTSVSKDPLSLRTSSNSSSSDLQRISLNPPRIFGSKMKSGKIEQTPSRLLTASNNCSDLTAKASRKPLGLTEELKNNKLESVVETDDGSCLFEDMKRRFLSFKKHKYMENLEHYQNLAEGQAPKFMVISCADSRVCPSTILGFQPGEAFIVRNIANLVPSFKSGPSETNAALEFSVNALEVENILVVGHSCCGGIRALMSMDDEVEKSFIQNWVVVGRDARLWTKAAASKLSFDQQCKHCEKESINRSLLNLLTYPWIEEKVKNGILSVHGGYYDFVDCTFEKWTLDYKEDNLKEKHGRISVKNHLFWS >ONH90679 pep chromosome:Prunus_persica_NCBIv2:G8:10111055:10114752:-1 gene:PRUPE_8G068900 transcript:ONH90679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILAPTSVSKDPLSLRTSSNSSSSDLQRISLNPPRIFGSKMKSGKIEQTPSRLLTASNNCSDLTAKASRKPLGLTEELKNNKLESVVETDDGSCLFEDMKRRFLSFKKHKYMENLEHYQNLAEGQAPKFMVISCADSRVCPSTILGFQPGEAFIVRNIANLVPSFKSGPSETNAALEFSVNALEVENILVVGHSCCGGIRALMSMDDEVEKSSFIQNWVVVGRDARLWTKAAASKLSFDQQCKHCEKESINRSLLNLLTYPWIEEKVKNGILSVHGGYYDFVDCTFEKWTLDYKEDNLKEKHGRISVKNHLFWS >ONH90677 pep chromosome:Prunus_persica_NCBIv2:G8:10111251:10114470:-1 gene:PRUPE_8G068900 transcript:ONH90677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILAPTSVSKDPLSLRTSSNSSSSDLQRISLNPPRIFGSKMKSGKIEQTPSRLLTASNNCSDLTAKASRKPLGLTEELKNNKLESVVETDDGSCLFEDMKRRFLSFKKHKYMENLEHYQNLAEGQAPKFMVISCADSRVCPSTILGFQPGEAFIVRNIANLVPSFKVENILVVGHSCCGGIRALMSMDDEVEKSSFIQNWVVVGRDARLWTKAAASKLSFDQQCKHCEKESINRSLLNLLTYPWIEEKVKNGILSVHGGYYDFVDCTFEKWTLDYKEDNLKEKHGRISVKNHLFWS >ONH93374 pep chromosome:Prunus_persica_NCBIv2:G8:20469441:20471776:-1 gene:PRUPE_8G228700 transcript:ONH93374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLVKGSNWNYAIFWQVVGSKSGGSALIWGDGHCRDTKDGGVAGENSSLDGSFVGVQKKEEVKKWVLEKLHTCFGGLDEGYARRLDGVSDVEMFYLTSMYYAFQLDSHSGPGESYKSGKSIWVSDVGGCLHHYQSRSYLARLAGFQTVVFVPMKSGVVELGSVKANPEEQNLVNMVRNLFGESSSVQAKAFPMIFGRELSLGGPKSQSINIAFSPKIEEDSTFPPESFELQSVGTSNGCQSEDSEVKLFPQLNQMMVGGFSAPTIVSSLELPKDESSAQIDERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQMKIRVMETENQMGNNNQKQFPVPEIDFQERHEDAVVRMNCPLDSHPVSEVIKTLREHKIVAQESNVSITDNDKVIHTFSIPTQGGDAEQLKEKLVVSLSK >ONH93373 pep chromosome:Prunus_persica_NCBIv2:G8:20469437:20471779:-1 gene:PRUPE_8G228700 transcript:ONH93373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLVKGSNWNYAIFWQVVGSKSGGSALIWGDGHCRDTKDGGVAGENSSLDGSFVGVQKKEEVKKWVLEKLHTCFGGLDEGYARRLDGVSDVEMFYLTSMYYAFQLDSHSGPGESYKSGKSIWVSDVGGCLHHYQSRSYLARLAGFQTVVFVPMKSGVVELGSVKANPEEQNLVNMVRNLFGESSSVQAKAFPMIFGRELSLGGPKSQSINIAFSPKIEEDSTFPPESFELQSVGTSNGCQSEDSEVKLFPQLNQMMVGGFSAPTIVSSLELPKDESSAQIDERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQMKIRVMETENQMGNNNQKQFPVPEIDFQERHEDAVVRMNCPLDSHPVSEVIKTLREHKIVAQESNVSITDNDKVIHTFSIPTQGGDAEQLKEKLVVSLSK >ONH93372 pep chromosome:Prunus_persica_NCBIv2:G8:20469441:20471776:-1 gene:PRUPE_8G228700 transcript:ONH93372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKFWVNEEDRVMVESVLGTEACQFLTSLVSENVLTDLVRPPGKLGVQQGMSQLVKGSNWNYAIFWQVVGSKSGGSALIWGDGHCRDTKDGGVAGENSSLDGSFVGVQKKEEVKKWVLEKLHTCFGGLDEGYARRLDGVSDVEMFYLTSMYYAFQLDSHSGPGESYKSGKSIWVSDVGGCLHHYQSRSYLARLAGFQTVVFVPMKSGVVELGSVKANPEEQNLVNMVRNLFGESSSVQAKAFPMIFGRELSLGGPKSQSINIAFSPKIEEDSTFPPESFELQSVGTSNGCQSEDSEVKLFPQLNQMMVGGFSAPTIVSSLELPKDESSAQIDERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQMKIRVMETENQMGNNNQKQFPVPEIDFQERHEDAVVRMNCPLDSHPVSEVIKTLREHKIVAQESNVSITDNDKVIHTFSIPTQGGDAEQLKEKLVVSLSK >ONH93375 pep chromosome:Prunus_persica_NCBIv2:G8:20469416:20471778:-1 gene:PRUPE_8G228700 transcript:ONH93375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLVKGSNWNYAIFWQVVGSKSGGSALIWGDGHCRDTKDGGVAGENSSLDGSFVGVQKKEEVKKWVLEKLHTCFGGLDEGYARRLDGVSDVEMFYLTSMYYAFQLDSHSGPGESYKSGKSIWVSDVGGCLHHYQSRSYLARLAGFQTVVFVPMKSGVVELGSVKANPEEQNLVNMVRNLFGESSSVQAKAFPMIFGRELSLGGPKSQSINIAFSPKIEEDSTFPPESFELQSVGTSNGCQSEDSEVKLFPQLNQMMVGGFSAPTIVSSLELPKDESSAQIDERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQMKIRVMETENQMGNNNQKQFPVPEIDFQERHEDAVVRMNCPLDSHPVSEVIKTLREHKIVAQESNVSITDNDKVIHTFSIPTQGGDAEQLKEKLVVSLSK >ONH91591 pep chromosome:Prunus_persica_NCBIv2:G8:15016070:15016736:-1 gene:PRUPE_8G125300 transcript:ONH91591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFRGADDQGFNWESSKKGGWYNSLRHSVPQLATSGITHVWLPPPSDAISLEATTHNILMARGTMTLELTTMLPLILTTKTQKSRKSFRIG >ONH91921 pep chromosome:Prunus_persica_NCBIv2:G8:16068149:16071623:1 gene:PRUPE_8G143900 transcript:ONH91921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQIQILLFLSLSFLLIVPIFAQQFACDKKDSTTSKFAFCNRTLSYENRAKDLVSRLTLQEKVQQLVDNSAGIARLGVPAYKWWSEALHGVSDLGPGTKFNGTVPGATSFPAVILSAASFNSSLWLKMGQVVSTEARAMYNVGLAGLTYWSPNVNVFRDPRWGRGQETPGEDPLVVSNYGVNYVRGLQEVSEGKNAGGDRLKVSSCCKHYTAYDVDNWKGVDRFHFDAQVTKQDLEDTYQPPFKSCVEEGHVSSVMCSYNRVNGIPTCADPNLLQGVIRGQWALDGYIVSDCDSIEVYYDAIHYTATPEDAVALALEAGLNLNCGNFLGQYTENAVNSKKVDVSVVDQSLIYNYIVLMRLGFFDGDPKLLQFGKLGPSDVCSNDHKNLALDAAKQGIVLLDNKGALPLSSKKIKNLAIVGPNANATDVMISNYHGIPCSYTSPLQGLQKYVSALKYEPGCNGVKCTDESLIGAAALATATADAVVVVVGLDQSIEAEGLDRETLTLPGSQEKLVNQVVNATKGTVILVIMSAGPIDVSFAKNVTKIGGIIWVGYPGQAGGDAIAQVIFGDYNPAGRSPFTWYPKEYADQVQMTDMNMRANKSRNFPGRTYRFYTGKTIYEFGHGLSYSTFTKFIKSAPSTVRIHSTPISSPHASLLVSNSTTQPISNPASRSPFIDISRVQCQKLKFDLVVGVRNNGPRDGSHVVLVFWKPPSSGMLFGAPNLQLVDFQRVEVKNWQAKLVTMRVDVCKRLSFVDREGKRKLATGKHTILVGSPSEYQVKHILNFRLARKGEVREAF >ONH90872 pep chromosome:Prunus_persica_NCBIv2:G8:11317660:11318049:1 gene:PRUPE_8G079400 transcript:ONH90872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFTSSKYLLAKHQNSLCFLTPLNSFSSSNSNLKTMGFRFPGIVNAKKSLKHSASSKTLEIPKGHFAVYVGKNQKKRFVIPVSYLNEPLFLDLLNQAEEEFGYDHPMVGITIPCSEDSFVHLTSCLSV >ONH92576 pep chromosome:Prunus_persica_NCBIv2:G8:18170341:18173643:-1 gene:PRUPE_8G181800 transcript:ONH92576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSFHLCIISLLLLSSKSAAKESEIISRFQRYLQINTAQPKPLYREAADFILSEAASLSLESQTLEFVPGKPLVLLKWPGSDPSLSSVLLNSHTDVVPAEHDKWTHPPFSAHLDSNGDIYARGSQDMKCVGIQYLEAIRRLKASGFEPKRSVYLSFVPDEEIGGHAGAEKFAESDVFKGLNVGIVLDEGLASPTENYRTFYAERCPMWLVIKATGAPGHGAKLYDNTATENLFKSIESVRRFRASQFDLVKAGLKAEGEVVSVNMVFLKAGTPTPTGFVMNLQPSEAEAGFDIRVPPTADQESLERRIAEEWAPSSRNMTFMRGQFKQKVSVLDDSGRPILTATDSSNPWWGLLEEAVKKADGKLGKPEIFPASTDARYFRQLGLPAIGFSPMANTPILLHDHNEFLNKDEYLKGIEIYESIIKAYASYVDHGRSAGSRDEL >ONH92575 pep chromosome:Prunus_persica_NCBIv2:G8:18170316:18173654:-1 gene:PRUPE_8G181800 transcript:ONH92575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSFHLCIISLLLLSSKSAAKESEIISRFQRYLQINTAQPKPLYREAADFILSEAASLSLESQTLEFVPGKPLVLLKWPGSDPSLSSVLLNSHTDVVPAEHDKWTHPPFSAHLDSNGDIYARGSQDMKCVGIQYLEAIRRLKASGFEPKRSVYLSFVPDEEIGGHAGAEKFAESDVFKGLNVGIVLDEGLASPTENYRTFYAERCPMWLVIKATGAPGHGAKLYDNTATENLFKSIESVRRFRASQFDLVKAGLKAEGEVVSVNMVFLKAGTPTPTGFVMNLQPSEAEAGFDIRVPPTADQESLERRIAEEWAPSSRNMTFMFKQKVSVLDDSGRPILTATDSSNPWWGLLEEAVKKADGKLGKPEIFPASTDARYFRQLGLPAIGFSPMANTPILLHDHNEFLNKDEYLKGIEIYESIIKAYASYVDHGRSAGSRDEL >ONH90195 pep chromosome:Prunus_persica_NCBIv2:G8:3989717:3992052:1 gene:PRUPE_8G040100 transcript:ONH90195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSKDHIAQVVIRGNNKQVFAKYNQEQNDCSESLLLVFQFFFLEVECWLFLAYLKSKVSEAGNGTNLILFSYYGKRVLLSDKVEQDVHKSSKLKKPETQEEIY >ONH92091 pep chromosome:Prunus_persica_NCBIv2:G8:16630246:16630731:-1 gene:PRUPE_8G154500 transcript:ONH92091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVSANISQAEIDGFLEEHNKARKEVGNKPLKWNTTLAQYAQEYANKRVDDCAMEHSRGRWGENLTSGDGMTGATGTKYWVTEKEFYDPKSNKCLKEECGHYLAVIWGKTTEVGCGISKCKDGKNYIVCSYDPMYQPEDERPY >ONH89657 pep chromosome:Prunus_persica_NCBIv2:G8:552427:554203:-1 gene:PRUPE_8G007100 transcript:ONH89657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMANTKATSQIPSRAISSGGGGGVCMMSNTWRDEQHPSFINFISTFLTANAFRLNFVPIAPDFIFNCGGSSVAFIFVTSLDPTSISQIFGRVQKLKLQFANLYVVITLPTKEKNDLFVCSYFKFGMELGKPTFVLVKDLEMGFEKMVKIAHSRGVCKREDATAKLKAEVGTISCFVV >ONH89653 pep chromosome:Prunus_persica_NCBIv2:G8:551315:554292:-1 gene:PRUPE_8G007100 transcript:ONH89653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMANTKATSQIPSRAISSGGGGGVCMMSNTWRDEQHPSFINFISTFLTANAFRLNFVPIAPDFIFNCGGSSVAFIFVTSLDPTSISQIFGRVQKLKLQFANLYVVITLPTKEKNDLFVCSYFKFGMELGKPTFVLVKDLEMGFEKMVKIAHSRGVCKREDATAKLKAERKQTVQAVNVFQRVVTSIPGIDNHDANALNQAIGSIEAISKASKEQILENTDLSADKAEVVSRFFRDPKFYLSPKIN >ONH89654 pep chromosome:Prunus_persica_NCBIv2:G8:551314:553916:-1 gene:PRUPE_8G007100 transcript:ONH89654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNTWRDEQHPSFINFISTFLTANAFRLNFVPIAPDFIFNCGGSSVAFIFVTSLDPTSISQIFGRFGMELGKPTFVLVKDLEMGFEKMVKIAHSRGVCKREDATAKLKAERKQTVQAVNVFQRVVTSIPGIDNHDANALNQAIGSIEAISKASKEQILENTDLSADKAEVVSRFFRDPKFYLSPKIN >ONH89655 pep chromosome:Prunus_persica_NCBIv2:G8:551555:553320:-1 gene:PRUPE_8G007100 transcript:ONH89655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNTWRDEQHPSFINFISTFLTANAFRLNFVPIAPDFIFNCGGSSVAFIFVTSLDPTSISQIFGRVQKLKLQFANLYVVITLPTKEKNDLFVCSYFKFGMELGKPTFVLVKDLEMGFEKMVKIAHSRGVCKREDATAKLKAERKQTVQAVNVFQRVVTSIPGIDNHDANALNQAIGSIEAISKASKEQILENTDLSADKAEVVSRFFRDPKFYLSPKIN >ONH89652 pep chromosome:Prunus_persica_NCBIv2:G8:551314:554257:-1 gene:PRUPE_8G007100 transcript:ONH89652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMANTKATSQIPSRAISSGGGGGVCMMSNTWRDEQHPSFINFISTFLTANAFRLNFVPIAPDFIFNCGGSSVAFIFVTSLDPTSISQIFGRFGMELGKPTFVLVKDLEMGFEKMVKIAHSRGVCKREDATAKLKAERKQTVQAVNVFQRVVTSIPGIDNHDANALNQAIGSIEAISKASKEQILENTDLSADKAEVVSRFFRDPKFYLSPKIN >ONH89656 pep chromosome:Prunus_persica_NCBIv2:G8:552427:554203:-1 gene:PRUPE_8G007100 transcript:ONH89656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMANTKATSQIPSRAISSGGGGGVCMMSNTWRDEQHPSFINFISTFLTANAFRLNFVPIAPDFIFNCGGSSVAFIFVTSLDPTSISQIFGRFGMELGKPTFVLVKDLEMGFEKMVKIAHSRGVCKREDATAKLKAEVGTISCFVV >ONH93562 pep chromosome:Prunus_persica_NCBIv2:G8:21005805:21007137:1 gene:PRUPE_8G238700 transcript:ONH93562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPRRRPDQSPGQEPLKYGDVFNVSGELASRPVAPRDAATAQAAENLVLGETQRGGPAAVMQSAATYNERARLVGHRDATKVAREEGVSVTEGINPDGNRVVTEHVGGQVVAKYVEPPLPMGSPGGALDRDAITIGEALEATALSAGDKPIDKSDAAAIQAAEMKATGRTEIDPGGVAAMAQYAASVNPRDVNKKKLGDVLEDATQKLPADKVVTREDAKAVIGAEIRNSPTMSTTRGGVAESLAAAARLNQNTGK >ONH91916 pep chromosome:Prunus_persica_NCBIv2:G8:16047743:16049205:1 gene:PRUPE_8G143600 transcript:ONH91916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTAEEVDFMAQLLGNFSSSNHPSGTSSMRVPEAFWPGDESLTMNMSGNHEGSHYSSETSISDCSNRILFPTSSNESYYLSSDSHPMLVTSNSSMSIDFGSGDGIRNLNSYLIQVNDQCLNQEPSEGNAEEYGGNQPEAVALEKRRYDMAVQEPAMDDKSNTSKASMKRTQSAENNVNVLSLIINSMWVQMNKRNIKSKKSLQIITSNNEEDGNAGPNRQSSSSCCSGDDSNIASHFHENSQELSPGCTSTSSLSPKEAKALNLSGKSRARRGSAIDPQSLYARKRREKINERLRVLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMYAPICYNGMDLGLDLKLTSPKQS >ONH92553 pep chromosome:Prunus_persica_NCBIv2:G8:18110291:18114067:-1 gene:PRUPE_8G180600 transcript:ONH92553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVAVVAAIGNLLQGWDNATIAASVLYIKKEFKLESEPAVEGLIVAMSLIGATLITTCSGAIADWLGRRPVLIISSVLYFFSGIVMLWAPNVYILLLARLLDGFGIGLVVTLVPLYISETAPPEIRGSLNTLPQFTGSGGMFLSYCMVFGMSLTKSPSWRLMLGVLSIPSLVYFALTVFFLPESPRWLVSKGRMLEAKHVLQRLRGREDVSGEMALLVEGLGVGGETSFEEYIIGPADDIADDHDLSAEKDKIKLYGPERGQSWVARPVTDQSTIGLVSRHASMVNQSGLVDPLVSLFGSVHEKLPDTGSMRSMLFPHFGSMFSVGGNQARQEEWDEESLAREGDDYASDAVGGDSDDNLHSPLISRQTTSLEKDLGPPPHGSLASMRNNSLIGGEGAGSTGIGGGWQLAWKWSEREGQDGQKEGGFKRIYLHQEGVPASRRGSIVSVPGGDAATDGEFIQAAALVSQPALYSKELMNQHPVGPAMIHPSAATAKGPIWSDLFEPGVKHALVVGVGMQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASSSLLISAVTTLLMLPSIAVAMRLMDISGRRSLLLTTIPILIASLVILVLGSLVNMGSVVNASVSTVSVVLYFCFFVMGFGPVPNILCAEIFPTRVRGLCIAICALAFWIGDIIVTYSLPVMLKSVGLGGVFGMYAVVCVIAWVFVFLKVPETKGMPLEVIIEFFSVGAKQAAAAKNN >ONH92554 pep chromosome:Prunus_persica_NCBIv2:G8:18110291:18114880:-1 gene:PRUPE_8G180600 transcript:ONH92554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVAVVAAIGNLLQGWDNATIAASVLYIKKEFKLESEPAVEGLIVAMSLIGATLITTCSGAIADWLGRRPVLIISSVLYFFSGIVMLWAPNVYILLLARLLDGFGIGLVVTLVPLYISETAPPEIRGSLNTLPQFTGSGGMFLSYCMVFGMSLTKSPSWRLMLGVLSIPSLVYFALTVFFLPESPRWLVSKGRMLEAKHVLQRLRGREDVSGEMALLVEGLGVGGETSFEEYIIGPADDIADDHDLSAEKDKIKLYGPERGQSWVARPVTDQSTIGLVSRHASMVNQSGLVDPLVSLFGSVHEKLPDTGSMRSMLFPHFGSMFSVGGNQARQEEWDEESLAREGDDYASDAVGGDSDDNLHSPLISRQTTSLEKDLGPPPHGSLASMRNNSLIGGEGAGSTGIGGGWQLAWKWSEREGQDGQKEGGFKRIYLHQEGVPASRRGSIVSVPGGDAATDGEFIQAAALVSQPALYSKELMNQHPVGPAMIHPSAATAKGPIWSDLFEPGVKHALVVGVGMQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASSSLLISAVTTLLMLPSIAVAMRLMDISGRRSLLLTTIPILIASLVILVLGSLVNMGSVVNASVSTVSVVLYFCFFVMGFGPVPNILCAEIFPTRVRGLCIAICALAFWIGDIIVTYSLPVMLKSVGLGGVFGMYAVVCVIAWVFVFLKVPETKGMPLEVIIEFFSVGAKQAAAAKNN >ONH92555 pep chromosome:Prunus_persica_NCBIv2:G8:18110565:18113786:-1 gene:PRUPE_8G180600 transcript:ONH92555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVAVVAAIGNLLQGWDNATIAASVLYIKKEFKLESEPAVEGLIVAMSLIGATLITTCSGAIADWLGRRPVLIISSVLYFFSGIVMLWAPNVYILLLARLLDGFGIGLVVTLVPLYISETAPPEIRGSLNTLPQFTGSGGMFLSYCMVFGMSLTKSPSWRLMLGVLSIPSLVYFALTVFFLPESPRWLVSKGRMLEAKHVLQRLRGREDVSGEMALLVEGLGVGGETSFEEYIIGPADDIADDHDLSAEKDKIKLYGPERGQSWVARPVTDQSTIGLVSRHASMVNQSGLVDPLVSLFGSVHEKLPDTGSMRSMLFPHFGSMFSVGGNQARQEEWDEESLAREGDDYASDAVGGDSDDNLHSPLISRQTTSLEKDLGPPPHGSLASMRNNSLIGGEGAGSTGIGGGWQLAWKWSEREGQDGQKEGGFKRIYLHQEGVPASRRGSIVSVPGGDAATDGEFIQAAALVSQPALYSKELMNQHPVGPAMIHPSAATAKGPIWSDLFEPGVKHALVVGVGMQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASSSLLISAVTTLLMLPSIAVAMRLMDISGRRSLLLTTIPILIASLVILVLGSLVNMGSVVNASVSTVSVVLYFCFFVMGFGPVPNILCAEIFPTRVRGLCIAICALAFWIGDIIVTYSLPVMLKSVGLGGVFGMYAVVCVIAWVFVFLKVPETKGMPLEVIIEFFSVGAKQAAAAKNN >ONH92556 pep chromosome:Prunus_persica_NCBIv2:G8:18110291:18114093:-1 gene:PRUPE_8G180600 transcript:ONH92556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVAVVAAIGNLLQGWDNATIAASVLYIKKEFKLESEPAVEGLIVAMSLIGATLITTCSGAIADWLGRRPVLIISSVLYFFSGIVMLWAPNVYILLLARLLDGFGIGLVVTLVPLYISETAPPEIRGSLNTLPQFTGSGGMFLSYCMVFGMSLTKSPSWRLMLGVLSIPSLVYFALTVFFLPESPRWLVSKGRMLEAKHVLQRLRGREDVSGEMALLVEGLGVGGETSFEEYIIGPADDIADDHDLSAEKDKIKLYGPERGQSWVARPVTDQSTIGLVSRHASMVNQSGLVDPLVSLFGSVHEKLPDTGSMRSMLFPHFGSMFSVGGNQARQEEWDEESLAREGDDYASDAVGGDSDDNLHSPLISRQTTSLEKDLGPPPHGSLASMRNNSLIGGEGAGSTGIGGGWQLAWKWSEREGQDGQKEGGFKRIYLHQEGVPASRRGSIVSVPGGDAATDGEFIQAAALVSQPALYSKELMNQHPVGPAMIHPSAATAKGPIWSDLFEPGVKHALVVGVGMQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASSSLLISAVTTLLMLPSIAVAMRLMDISGRRSLLLTTIPILIASLVILVLGSLVNMGSVVNASVSTVSVVLYFCFFVMGFGPVPNILCAEIFPTRVRGLCIAICALAFWIGDIIVTYSLPVMLKSVGLGGVFGMYAVVCVIAWVFVFLKVPETKGMPLEVIIEFFSVGAKQAAAAKNN >ONH90279 pep chromosome:Prunus_persica_NCBIv2:G8:4566542:4571888:1 gene:PRUPE_8G044300 transcript:ONH90279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRTSAFLSSADQSAPRQWNHDVFLSFRGVDTRNSFVSHLYHELQHMGIKTFKDDPKLERGTTISSELFNAIQESRLAIVVLSQNYASSSWCLEELTKILQCMKSKGTVLPVFYNVDPSDVRKQSGSFAGAFIEHEKRFREDIEKVMRWRDALTEVANLSGLDSKNECERKLIEKIVEWVWSKVHRTYKLSDSTELVGIKFTPEQIDLLLAPSDDVRFIGIWGMGGIGKTSIAKLVYESISIHFEVSCFLANVREVSERGHLVDLQRQLLFPILKEQIIRVWDEQWGTYFIKNCLCNKKVLLILDDVNESSQLEKLVGEKDWFGKGSRIIITTRDERLLVKHDMQVSYKVEGLGDDEALELFSRNAFKKIEPEEGFQELSKCFVNYARGLPLALKILGCSMYKRDRDEWKSELDKLQKIPKSTIFDLLKLSYDGLDEMNKNIFLDIAFFYKGKGKEEVIEILDSYGVCGRIGINALIHKSLLTIVRNNIVEMHDLIQEMALKIVRRENPEEPGERSRLCHHNDISHVFLNNTATNKIQGIALRMAELEEVGWNCEAFSKMLYLKFLEFDNVIISSNPTFLPNSLRIMKWNWYPSKIFPSDFQPIFLVRVEMRHNKLVRLWDGRKDLPNLKYMDLGYSKNLATTPNFTRIPKLEELYLEGCEKLVEIHPSIADLKWLKRLDFGGCSKVKKIPEFSGEMKNLLMLNLGGTSIENLPSSVGCLVGLSALHLSNCKNLLSLPSAICNLKSLAWLLANGCSNIEELPENLGDMECLKWLRLDGTAIRQLPPSIVRLKNLEYLVLSRCGSEANKSRFWWGLPCLSQRKDFVMGSLHGLWSLTELDLSDCGLCEGDLPGDIGCLSSLQELKLSGNNFVTLPASIRYLSKLKSFYVDRCQRLQQFPHLTSNYLVYIDIDDCTSLIMFPGLPTWNGNIRNYDVKLSCVNCFGLVENEGGCDSLILGVIWRFLQVQVGQLNIVTPGRRIPEWFKNQSVGDSLIVELPPDSCSTWMGFALCAVFEEDHPNPARDLSEFDYFTIDCSSGEKELAYVWLEIGHVVSDHLCVFYVSSEDWKRQCSQMKILFQTYYESRSRPSDRRNCSSIKKCGLRLVHEQDMEQLNQIMMMNQAINISITASLRNSADASSSGSSQQKSLRCKKSYALAKGFLTKLVKIFSLLLTTAVFMKSFNNGEKWGCVGLLIWRVTTLMSYLGLAPSYFSLLLKSLIKSVILKRGAKFLLTLLKTPPPQVSVHKYLKGQ >ONH90276 pep chromosome:Prunus_persica_NCBIv2:G8:4566542:4571888:1 gene:PRUPE_8G044300 transcript:ONH90276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRTSAFLSSADQSAPRQWNHDVFLSFRGVDTRNSFVSHLYHELQHMGIKTFKDDPKLERGTTISSELFNAIQESRLAIVVLSQNYASSSWCLEELTKILQCMKSKGTVLPVFYNVDPSDVRKQSGSFAGAFIEHEKRFREDIEKVMRWRDALTEVANLSGLDSKNECERKLIEKIVEWVWSKVHRTYKLSDSTELVGIKFTPEQIDLLLAPSDDVRFIGIWGMGGIGKTSIAKLVYESISIHFEVSCFLANVREVSERGHLVDLQRQLLFPILKEQIIRVWDEQWGTYFIKNCLCNKKVLLILDDVNESSQLEKLVGEKDWFGKGSRIIITTRDERLLVKHDMQVSYKVEGLGDDEALELFSRNAFKKIEPEEGFQELSKCFVNYARGLPLALKILGCSMYKRDRDEWKSELDKLQKIPKSTIFDLLKLSYDGLDEMNKNIFLDIAFFYKGKGKEEVIEILDSYGVCGRIGINALIHKSLLTIVRNNIVEMHDLIQEMALKIVRRENPEEPGERSRLCHHNDISHVFLNNTATNKIQGIALRMAELEEVGWNCEAFSKMLYLKFLEFDNVIISSNPTFLPNSLRIMKWNWYPSKIFPSDFQPIFLVRVEMRHNKLVRLWDGRKDLPNLKYMDLGYSKNLATTPNFTRIPKLEELYLEGCEKLVEIHPSIADLKWLKRLDFGGCSKVKKIPEFSGEMKNLLMLNLGGTSIENLPSSVGCLVGLSALHLSNCKNLLSLPSAICNLKSLAWLLANGCSNIEELPENLGDMECLKWLRLDGTAIRQLPPSIVRLKNLEYLVLSRCGSEANKSRFWWGLPCLSQRKDFVMGSLHGLWSLTELDLSDCGLCEGDLPGDIGCLSSLQELKLSGNNFVTLPASIRYLSKLKSFYVDRCQRLQQFPHLTSNYLVYIDIDDCTSLIMFPGLPTWNGNIRNYDVKLSCVNCFGLVENEGGCDSLILGVIWRFLQVQVGQLNIVTPGRRIPEWFKNQSVGDSLIVELPPDSCSTWMGFALCAVFEEDHPNPARDLSEFDYFTIDCSSGEKELAYVWLEIGHVVSDHLCVFYVSSEDWKRQCSQMKILFQTYYESRSRPSDRRNCSSIKKCGLRLVHEQDMEQLNQIMMMNQAINISITASLRNSADASSSGSSQQKSLRCKKSYALAKGFLTKLVKIFSLLLTTAVFMKSFNNGEKWGCVGLLIWRVTTLMSYLGLAPSYFSLLLKSLIKSVILKRGAKFLLTLLKTPPPQVSVHKYLKGQ >ONH90278 pep chromosome:Prunus_persica_NCBIv2:G8:4566542:4571888:1 gene:PRUPE_8G044300 transcript:ONH90278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRTSAFLSSADQSAPRQWNHDVFLSFRGVDTRNSFVSHLYHELQHMGIKTFKDDPKLERGTTISSELFNAIQESRLAIVVLSQNYASSSWCLEELTKILQCMKSKGTVLPVFYNVDPSDVRKQSGSFAGAFIEHEKRFREDIEKVMRWRDALTEVANLSGLDSKNECERKLIEKIVEWVWSKVHRTYKLSDSTELVGIKFTPEQIDLLLAPSDDVRFIGIWGMGGIGKTSIAKLVYESISIHFEVSCFLANVREVSERGHLVDLQRQLLFPILKEQIIRVWDEQWGTYFIKNCLCNKKVLLILDDVNESSQLEKLVGEKDWFGKGSRIIITTRDERLLVKHDMQVSYKVEGLGDDEALELFSRNAFKKIEPEEGFQELSKCFVNYARGLPLALKILGCSMYKRDRDEWKSELDKLQKIPKSTIFDLLKLSYDGLDEMNKNIFLDIAFFYKGKGKEEVIEILDSYGVCGRIGINALIHKSLLTIVRNNIVEMHDLIQEMALKIVRRENPEEPGERSRLCHHNDISHVFLNNTATNKIQGIALRMAELEEVGWNCEAFSKMLYLKFLEFDNVIISSNPTFLPNSLRIMKWNWYPSKIFPSDFQPIFLVRVEMRHNKLVRLWDGRKDLPNLKYMDLGYSKNLATTPNFTRIPKLEELYLEGCEKLVEIHPSIADLKWLKRLDFGGCSKVKKIPEFSGEMKNLLMLNLGGTSIENLPSSVGCLVGLSALHLSNCKNLLSLPSAICNLKSLAWLLANGCSNIEELPENLGDMECLKWLRLDGTAIRQLPPSIVRLKNLEYLVLSRCGSEANKSRFWWGLPCLSQRKDFVMGSLHGLWSLTELDLSDCGLCEGDLPGDIGCLSSLQELKLSGNNFVTLPASIRYLSKLKSFYVDRCQRLQQFPHLTSNYLVYIDIDDCTSLIMFPGLPTWNGNIRNYDVKLSCVNCFGLVENEGGCDSLILGVIWRFLQVQVGQLNIVTPGRRIPEWFKNQSVGDSLIVELPPDSCSTWMGFALCAVFEEDHPNPARDLSEFDYFTIDCSSGEKELAYVWLEIGHVVSDHLCVFYVSSEDWKRQCSQMKILFQTYYESRSRPSDRRNCSSIKKCGLRLVHEQDMEQLNQIMMMNQAINISITASLRNSADASSSGSSQQKSLRCKKSYALAKGFLTKLVKIFSLLLTTAVFMKSFNNGEKWGCVGLLIWRVTTLMSYLGLAPSYFSLLLKSLIKSVILKRGAKFLLTLLKTPPPQVSVHKYLKGQ >ONH90277 pep chromosome:Prunus_persica_NCBIv2:G8:4566929:4571888:1 gene:PRUPE_8G044300 transcript:ONH90277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRTSAFLSSADQSAPRQWNHDVFLSFRGVDTRNSFVSHLYHELQHMGIKTFKDDPKLERGTTISSELFNAIQESRLAIVVLSQNYASSSWCLEELTKILQCMKSKGTVLPVFYNVDPSDVRKQSGSFAGAFIEHEKRFREDIEKVMRWRDALTEVANLSGLDSKNECERKLIEKIVEWVWSKVHRTYKLSDSTELVGIKFTPEQIDLLLAPSDDVRFIGIWGMGGIGKTSIAKLVYESISIHFEVSCFLANVREVSERGHLVDLQRQLLFPILKEQIIRVWDEQWGTYFIKNCLCNKKVLLILDDVNESSQLEKLVGEKDWFGKGSRIIITTRDERLLVKHDMQVSYKVEGLGDDEALELFSRNAFKKIEPEEGFQELSKCFVNYARGLPLALKILGCSMYKRDRDEWKSELDKLQKIPKSTIFDLLKLSYDGLDEMNKNIFLDIAFFYKGKGKEEVIEILDSYGVCGRIGINALIHKSLLTIVRNNIVEMHDLIQEMALKIVRRENPEEPGERSRLCHHNDISHVFLNNTATNKIQGIALRMAELEEVGWNCEAFSKMLYLKFLEFDNVIISSNPTFLPNSLRIMKWNWYPSKIFPSDFQPIFLVRVEMRHNKLVRLWDGRKDLPNLKYMDLGYSKNLATTPNFTRIPKLEELYLEGCEKLVEIHPSIADLKWLKRLDFGGCSKVKKIPEFSGEMKNLLMLNLGGTSIENLPSSVGCLVGLSALHLSNCKNLLSLPSAICNLKSLAWLLANGCSNIEELPENLGDMECLKWLRLDGTAIRQLPPSIVRLKNLEYLVLSRCGSEANKSRFWWGLPCLSQRKDFVMGSLHGLWSLTELDLSDCGLCEGDLPGDIGCLSSLQELKLSGNNFVTLPASIRYLSKLKSFYVDRCQRLQQFPHLTSNYLVYIDIDDCTSLIMFPGLPTWNGNIRNYDVKLSCVNCFGLVENEGGCDSLILGVIWRFLQVQVGQLNIVTPGRRIPEWFKNQSVGDSLIVELPPDSCSTWMGFALCAVFEEDHPNPARDLSEFDYFTIDCSSGEKELAYVWLEIGHVVSDHLCVFYVSSEDWKRQCSQMKILFQTYYESRSRPSDRRNCSSIKKCGLRLVHEQDMEQLNQIMMMNQAINISITASLRNSADASSSGSSQQKSLRCKKSYALAKGFLTKLVKIFSLLLTTAVFMKSFNNGEKWGCVGLLIWRVTTLMSYLGLAPSYFSLLLKSLIKSVILKRGAKFLLTLLKTPPPQVSVHKYLKGQ >ONH92516 pep chromosome:Prunus_persica_NCBIv2:G8:18007142:18011033:-1 gene:PRUPE_8G178900 transcript:ONH92516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWGSPAENPTPVTTGDLTTGVSNISQTTSNTTSSGGSALSRNSQFSAASGDEASPHGQILPTPNLRIFSYLELKAATRNFRSDTVLGEGGFGMVFKGWLDEKAPTKSGKTTVIAVKKLSSESLQGFEEWQSEVNFLGRLSHPNLVKLLGYCLEDTELLLVYEFMQKGSLENHLFGRGSAVQPLPWDIRLKIAIGAARGLAFLHTSEKQVIYRDFKASNILLDGSYIAKISDFGLAKMGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDTNRPSGKHNLVDWIKPFLSDKRKLKGIMDPRLEGKYPAKAAFRISQLALKCIESEQKNRPSMKDVVETLERIESANEHSREPRPRSTHPMAHRQGQKPLHHRSPLHPRLDGNQTYQQSPRVR >ONH89746 pep chromosome:Prunus_persica_NCBIv2:G8:1119827:1122286:1 gene:PRUPE_8G013400 transcript:ONH89746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGALRSIVRPLSRTLISRTSTCSLTSFAASSVCPKPETRFLSGGQAPWSFLISNHFHSLTDTRFPKRRPSLKSRRKRASIRPPGPYAGIQCAPGEPIVPSRPNEGSVKRRNEKKRMRLRRAFILSEKKKRKALVQEAKRKKNIKRIENKMAAVARERAWAERLTELQRLEEEKRKSMA >ONH90919 pep chromosome:Prunus_persica_NCBIv2:G8:11661657:11663952:1 gene:PRUPE_8G083300 transcript:ONH90919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDGRMMLEIAEVLEPIVASRICPSTGKKSNKANKISFSTHKTKKFQFVNLQYKRVWWEARKRFLKLRLSTKALKTIEKNEIDAVAKKAGIDLRKL >ONH91798 pep chromosome:Prunus_persica_NCBIv2:G8:15686286:15686546:-1 gene:PRUPE_8G137100 transcript:ONH91798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTLILAMEANFDRMDALLAQILKRVKAFDFKISLALKQHSMFSAILAPCDAVLDDLVPIFGGCHHVLESCYSISLSEKAKLAAI >ONH91797 pep chromosome:Prunus_persica_NCBIv2:G8:15685112:15686654:-1 gene:PRUPE_8G137100 transcript:ONH91797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTLILAMEANFDRMDALLAQILKRVKAFDFKISLALKQHSMFSAILAPCDAVLDDLVPIFGGCHHVLESCYSISLSEKAKLAAI >ONH89797 pep chromosome:Prunus_persica_NCBIv2:G8:1567194:1572608:1 gene:PRUPE_8G016800 transcript:ONH89797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVAMPRIRMKITVFPDAFPPFLCRSYRPKKVSVFHRRRSFSVSASSTKETQDQIRVRFAPSPTGNLHVGGARTALFNYLFARANGGKFVLRIEDTDLERSTKESEEAVLNDLSWLGLDWDEGPGVDGDYGPYRQSERNSLYKQYAEKLLESGYVYRCFCSSEELEKMKEIAKLKQLPPVYTGKWANATDKEVQEELQRGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPHFAHVSLILAPDRSKLSKRHGATSVGQYREMGYLPQAMVNYLALLGWGDGTENEFFTLDHLVEKFSIGRVNKSGAIFDSTKLRWMNGQHLRALPSEELIKLIGEQWKSTGILTESEGSFVEDAVQLLKDGIDLTPDSDKALSNLLSYPLHETLSSPEAKPVLEDKLSEFAGSFIAAYDSGELLAALEEGHAGWQKWVKSFGKSLKRKGKSLFMPLRVLLTGKLHGPDIGASVLLLHNGGKSGIIAPQAEFVTLDERFRVLRKVDWEALTKNQSQLETAATVST >ONH89796 pep chromosome:Prunus_persica_NCBIv2:G8:1567163:1572608:1 gene:PRUPE_8G016800 transcript:ONH89796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVAMPRIRMKITVFPDAFPPFLCRSYRPKKVSVFHRRRSFSVSASSTKETQDQIRVRFAPSPTGNLHVGGARTALFNYLFARANGGKFVLRIEDTDLERSTKESEEAVLNDLSWLGLDWDEGPGVDGDYGPYRQSERNSLYKQYAEKLLESGYVYRCFCSSEELEKMKEIAKLKQLPPVYTGKWANATDKEVQEELQRGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPHFAHVSLILAPDRSKLSKRHGATSVGQYREMGYLPQAMVNYLALLGWGDGTENEFFTLDHLVEKFSIGRVNKSGAIFDSTKLRWMNGQHLRALPSEELIKLIGEQWKSTGILTESEGSFVEDAVQLLKDGIDLTPDSDKALSNLLSYPLHETLSSPEAKPVLEDKLSEFAGSFIAAYDSGELLAALEEGHAGWQKWVKSFGKSLKRKGKSLFMPLRVLLTGKLHGPDIGASVLLLHNGGKSGIIAPQAEFVTLDERFRVLRKVDWEALTKNQSQLETAATVST >ONH91942 pep chromosome:Prunus_persica_NCBIv2:G8:16119603:16121689:1 gene:PRUPE_8G145200 transcript:ONH91942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLATPLKPQYDGGIIVNPELNHSLEGWSSFGEAKMQHRESSEGNKFVVAHSRNQSHDSISQKIILESDKLYTFSAWIQVSNGSAPVTAIFKTSSEFIHAGAVVAEPKCWSLLKGGLTVNASGPAELYFESNDTSVEIWVDSISLQPFTEEEWKSHQDQSIAKIRMGNVRIQAVDAQGNPLKNATISIQQKASSFPFGCAINKNILTNNAYQNWFTSRFRVTTFEDEMKWYSNEPSPGREDYSAADAMLQFARSHGIAVRGHNVFWDDPHFQPGWVNSLTKRQLFSATFKRLNSIMHRYKGQVIGWDVVNENLHWNFFESKLGSKASALMYNWAVKADGANTLFMNEFNTIEESRDGASTPARYLQKLREIQTFPGNRNARMAIGLESHFVTPNLPYIRSSIDILAATRLPIWITELDVQSGPNQAWYLEQVLREVHAHPQIQGIVIWAAWNPQGCYRMCLTDNNFRNLATGNVVDKLLYEWGLKASTSSGATDSNGSFKANLSHGDYELEISHPSATNSSLVFKFNVSSTTASLQPLRLQLSA >ONH90328 pep chromosome:Prunus_persica_NCBIv2:G8:4939604:4942413:-1 gene:PRUPE_8G046800 transcript:ONH90328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGKRNSALQILSLLLVFLHCQASSHNYHFVVKEATYTRLCSTKEILTVNGKFPGPVLQAHKGDTIYVNVHNNGRYNITIHWHGVKQPRYPWSDGPEYITQCPIQPGHKFKQNIIFSEEEGTIWWHAHSDWSRATVYGAIIVYPKRGASYPFPQPHEEVPIILGQWWKRDIMEVFEEFVQTGGEPNVSDAHTINGQPGDLYPCSKSETFKLFVDENKAYLLRIINAAMNSILFFSIANHNLTVVGADGSYTKPVTRDYITISPGQTLDALLLTNQQVGQYYMAARAYSSSPAVAFDNTTTTAIVQYNNRNSTPFSSPPILPYLPYYNDTNAAFNFFDSLRSLANEDHPIDVPKNITTRLISTVSVNTFPCPNSSCEGPNGTRLAASMNNISFVDPTTIDILEAYYYHINGVFREGFPDFPPLVYNFTGEDLPLILQTPKRGTKVKVFDYGSIVECVFQGTNLVAGIDHPMHLHGFSFYIVGRGFGNFDQDKDPLNYNLVDPPHRNTVIVPINGWTAIRFKTNNPGVWFLHCHLERHLTWGMNTVFIVKNGKHKKEKLLPAPQEMPPC >ONH93555 pep chromosome:Prunus_persica_NCBIv2:G8:20980895:20984025:1 gene:PRUPE_8G238100 transcript:ONH93555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQLIEGLPDAVSLRCLAWVPFYLHPKLELVSRSWQAAIRSPELFRARQEVGSCEDLLCVSAFDPDNLWQLYDPHRDLWITLPVLPSKIRNLSHFGAVSAAGKLFVLGGGSGAVDPQTGDQDGSFATNEVWSYDPVIRRWTPRASMLVPRAMFACCVLGGKIVVAGGFTSCRKSISQAEMYDPEKDAWDPIPDLHRTHNSACSGIVIGGKVHVLHKGLSTVQVLDNVGHEWTVEDYGWQQGPMTVVKGALYVMSHGVISKQDRESVKVLVSASEFGRRIGFAMTGLADEIYVIGGVIVPDQWNLEIKPMSDVDVLTIGSERPTWRQAAPMTRCRGTILGCTQLRI >ONH93556 pep chromosome:Prunus_persica_NCBIv2:G8:20979445:20984025:1 gene:PRUPE_8G238100 transcript:ONH93556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQLIEGLPDAVSLRCLAWVPFYLHPKLELVSRSWQAAIRSPELFRARQEVGSCEDLLCVSAFDPDNLWQLYDPHRDLWITLPVLPSKIRNLSHFGAVSAAGKLFVLGGGSGAVDPQTGDQDGSFATNEVWSYDPVIRRWTPRASMLVPRAMFACCVLGGKIVVAGGFTSCRKSISQAEMYDPEKDAWDPIPDLHRTHNSACSGIVIGGKVHVLHKGLSTVQVLDNVGHEWTVEDYGWQQGPMTVVKGALYVMSHGVISKQDRESVKVLVSASEFGRRIGFAMTGLADEIYVIGGVIVPDQWNLEIKPMSDVDVLTIGSERPTWRQAAPMTRCRGTILGCTQLRI >ONH93554 pep chromosome:Prunus_persica_NCBIv2:G8:20979445:20984025:1 gene:PRUPE_8G238100 transcript:ONH93554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQLIEGLPDAVSLRCLAWVPFYLHPKLELVSRSWQAAIRSPELFRARQEVGSCEDLLCVSAFDPDNLWQLYDPHRDLWITLPVLPSKIRNLSHFGAVSAAGKLFVLGGGSGAVDPQTGDQDGSFATNEVWSYDPVIRRWTPRASMLVPRAMFACCVLGGKIVVAGGFTSCRKSISQAEMYDPEKDAWDPIPDLHRTHNSACSGIVIGGKVHVLHKGLSTVQVLDNVGHEWTVEDYGWQQGPMTVVKGALYVMSHGVISKQDRESVKVLVSASEFGRRIGFAMTGLADEIYVIGGVIVPDQWNLEIKPMSDVDVLTIGSERPTWRQAAPMTRCRGTILGCTQLRI >ONH90244 pep chromosome:Prunus_persica_NCBIv2:G8:4357604:4365213:-1 gene:PRUPE_8G042000 transcript:ONH90244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSESQMKPQSKNQQQLFWPRVVMRKWLNISGKESDYSADTDADSDCDADSDTQEFPQRGRESRFKDIKEDESESDDASEALPRVRRRKSETFRAQYINTKELRVCATTWNVGGEIPPDDLDIDGWLDINEPADFYVIGLQEIVPLNAGNIFGAEDRRPITKWETIIREALDRVRPAASKVKSFSDPPSPSKYKPSGDVPDIEEEMLLQSDSDVGEEVHPLDDEPKDFGDSNDTSITSEIVNVDSRVPDFSDSSKPRMPIGQDLQRQSSSPKRLDRLYCLRTDDCSLDEETSSIQQNRKLTKMLSGSERIGLSWPEPPLNLLSQHLLDRRNSFRTMKSFKSSKSFRTHNSFKSATNDVTPEIALLAEIDLESLMKRKRRSSYVRIVSKQMVGIFLTVWVRRSLRKHIQNVKVSTVGVGVMGYIGNKGSISVSMSVYQTFFCFVCTHLTAGEKDGDEIKRNADVHEIHRRTHFHSFSDIGLPRSIYDHEKIIWLGDLNYRLNLSYEKTRELISKKEWSKLFENDQLARQFKKGRPFDGWSEGVLKFPPTYKYEANSEKYYGDDPKTGRRTPAWCDRILSYGRGMRLLSYRRAELKLSDHRPVTATYMAEVEVFSSRKLQRALTFTDAEIENEEISMDMGIDVGMNCLKLEQVSTLLLGM >ONH90241 pep chromosome:Prunus_persica_NCBIv2:G8:4356143:4364496:-1 gene:PRUPE_8G042000 transcript:ONH90241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSESQMKPQSKNQQQRSWAEMCCLDWSCLQLFWPRVVMRKWLNISGKESDYSADTDADSDCDADSDTQEFPQRGRESRFKDIKEDESESDDASEALPRVRRRKSETFRAQYINTKELRVCATTWNVGGEIPPDDLDIDGWLDINEPADFYVIGLQEIVPLNAGNIFGAEDRRPITKWETIIREALDRVRPAASKVKSFSDPPSPSKYKPSGDVPDIEEEMLLQSDSDVGEEVHPLDDEPKDFGDSNDTSITSEIVNVDSRVPDFSDSSKPRMPIGQDLQRQSSSPKRLDRLYCLRTDDCSLDEETSSIQQNRKLTKMLSGSERIGLSWPEPPLNLLSQHLLDRRNSFRTMKSFKSSKSFRTHNSFKSATNDVTPEIALLAEIDLESLMKRKRRSSYVRIVSKQMVGIFLTVWVRRSLRKHIQNVKVSTVGVGVMGYIGNKGSISVSMSVYQTFFCFVCTHLTAGEKDGDEIKRNADVHEIHRRTHFHSFSDIGLPRSIYDHEKIIWLGDLNYRLNLSYEKTRELISKKEWSKLFENDQLARQFKKGRPFDGWSEGVLKFPPTYKYEANSEKYYGDDPKTGRRTPAWCDRILSYGRGMRLLSYRRAELKLSDHRPVTATYMAEVEVFSSRKLQRALTFTDAEIENEEISMDMGIDVGMNCLKLEQDISDWER >ONH90238 pep chromosome:Prunus_persica_NCBIv2:G8:4356655:4364684:-1 gene:PRUPE_8G042000 transcript:ONH90238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSESQMKPQSKNQQQLFWPRVVMRKWLNISGKESDYSADTDADSDCDADSDTQEFPQRGRESRFKDIKEDESESDDASEALPRVRRRKSETFRAQYINTKELRVCATTWNVGGEIPPDDLDIDGWLDINEPADFYVIGLQEIVPLNAGNIFGAEDRRPITKWETIIREALDRVRPAASKVKSFSDPPSPSKYKPSGDVPDIEEEMLLQSDSDVGEEVHPLDDEPKDFGDSNDTSITSEIVNVDSRVPDFSDSSKPRMPIGQDLQRQSSSPKRLDRLYCLRTDDCSLDEETSSIQQNRKLTKMLSGSERIGLSWPEPPLNLLSQHLLDRRNSFRTMKSFKSSKSFRTHNSFKSATNDVTPEIALLAEIDLESLMKRKRRSSYVRIVSKQMVGIFLTVWVRRSLRKHIQNVKVSTVGVGVMGYIGNKGSISVSMSVYQTFFCFVCTHLTAGEKDGDEIKRNADVHEIHRRTHFHSFSDIGLPRSIYDHEKIIWLGDLNYRLNLSYEKTRELISKKEWSKLFENDQLARQFKKGRPFDGWSEGVLKFPPTYKYEANSEKYYGDDPKTGRRTPAWCDRILSYGRGMRLLSYRRAELKLSDHRPVTATYMAEVEVFSSRKLQRALTFTDAEIENEEISMDMGIDVGMNCLKLEQDISDWER >ONH90240 pep chromosome:Prunus_persica_NCBIv2:G8:4356130:4366574:-1 gene:PRUPE_8G042000 transcript:ONH90240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSESQMKPQSKNQQQLFWPRVVMRKWLNISGKESDYSADTDADSDCDADSDTQEFPQRGRESRFKDIKEDESESDDASEALPRVRRRKSETFRAQYINTKELRVCATTWNVGGEIPPDDLDIDGWLDINEPADFYVIGLQEIVPLNAGNIFGAEDRRPITKWETIIREALDRVRPAASKVKSFSDPPSPSKYKPSGDVPDIEEEMLLQSDSDVGEEVHPLDDEPKDFGDSNDTSITSEIVNVDSRVPDFSDSSKPRMPIGQDLQRQSSSPKRLDRLYCLRTDDCSLDEETSSIQQNRKLTKMLSGSERIGLSWPEPPLNLLSQHLLDRRNSFRTMKSFKSSKSFRTHNSFKSATNDVTPEIALLAEIDLESLMKRKRRSSYVRIVSKQMVGIFLTVWVRRSLRKHIQNVKVSTVGVGVMGYIGNKGSISVSMSVYQTFFCFVCTHLTAGEKDGDEIKRNADVHEIHRRTHFHSFSDIGLPRSIYDHEKIIWLGDLNYRLNLSYEKTRELISKKEWSKLFENDQLARQFKKGRPFDGWSEGVLKFPPTYKYEANSEKYYGDDPKTGRRTPAWCDRILSYGRGMRLLSYRRAELKLSDHRPVTATYMAEVEVFSSRKLQRALTFTDAEIENEEISMDMGIDVGMNCLKLEQDISDWER >ONH90242 pep chromosome:Prunus_persica_NCBIv2:G8:4357967:4364340:-1 gene:PRUPE_8G042000 transcript:ONH90242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSESQMKPQSKNQQQRSWAEMCCLDWSCLQLFWPRVVMRKWLNISGKESDYSADTDADSDCDADSDTQEFPQRGRESRFKDIKEDESESDDASEALPRVRRRKSETFRAQYINTKELRVCATTWNVGGEIPPDDLDIDGWLDINEPADFYVIGLQEIVPLNAGNIFGAEDRRPITKWETIIREALDRVRPAASKVKSFSDPPSPSKYKPSGDVPDIEEEMLLQSDSDVGEEVHPLDDEPKDFGDSNDTSITSEIVNVDSRVPDFSDSSKPRMPIGQDLQRQSSSPKRLDRLYCLRTDDCSLDEETSSIQQNRKLTKMLSGSERIGLSWPEPPLNLLSQHLLDRRNSFRTMKSFKSSKSFRTHNSFKSATNDVTPEIALLAEIDLESLMKRKRRSSYVRIVSKQMVGIFLTVWVRRSLRKHIQNVKVSTVGVGVMGYIGNKGSISVSMSVYQTFFCFVCTHLTAGEKDGDEIKRNADVHEIHRRTHFHSFSDIGLPRSIYDHEKIIWLGDLNYRLNLSYEKTRELISKKEWSKLFENDQLARQFKKGRPFDGWSEGVLKFPPTYKYEANSEKYYGDDPKTGRRTPAWCDRILSYGRGMRLLSYRRAELKLSDHRPVTATYMAEVEVFSSRKLQRALTFTDAEIENEEISMDMGIDVGMNCLKLEQDISDWER >ONH90243 pep chromosome:Prunus_persica_NCBIv2:G8:4356130:4366393:-1 gene:PRUPE_8G042000 transcript:ONH90243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSESQMKPQSKNQQQRSWAEMCCLDWSCLQLFWPRVVMRKWLNISGKESDYSADTDADSDCDADSDTQEFPQRGRESRFKDIKEDESESDDASEALPRVRRRKSETFRAQYINTKELRVCATTWNVGGEIPPDDLDIDGWLDINEPADFYVIGLQEIVPLNAGNIFGAEDRRPITKWETIIREALDRVRPAASKVKSFSDPPSPSKYKPSGDVPDIEEEMLLQSDSDVGEEVHPLDDEPKDFGDSNDTSITSEIVNVDSRVPDFSDSSKPRMPIGQDLQRQSSSPKRLDRLYCLRTDDCSLDEETSSIQQNRKLTKMLSGSERIGLSWPEPPLNLLSQHLLDRRNSFRTMKSFKSSKSFRTHNSFKSATNDVTPEIALLAEIDLESLMKRKRRSSYVRIVSKQMVGIFLTVWVRRSLRKHIQNVKVSTVGVGVMGYIGNKGSISVSMSVYQTFFCFVCTHLTAGEKDGDEIKRNADVHEIHRRTHFHSFSDIGLPRSIYDHEKIIWLGDLNYRLNLSYEKTRELISKKEWSKLFENDQLARQFKKGRPFDGWSEGVLKFPPTYKYEANSEKYYGDDPKTGRRTPAWCDRILSYGRGMRLLSYRRAELKLSDHRPVTATYMAEVEVFSSRKLQRALTFTDAEIENEEISMDMGIDVGMNCLKLEQDISDWER >ONH90239 pep chromosome:Prunus_persica_NCBIv2:G8:4356143:4366574:-1 gene:PRUPE_8G042000 transcript:ONH90239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSESQMKPQSKNQQQLFWPRVVMRKWLNISGKESDYSADTDADSDCDADSDTQEFPQRGRESRFKDIKEDESESDDASEALPRVRRRKSETFRAQYINTKELRVCATTWNVGGEIPPDDLDIDGWLDINEPADFYVIGLQEIVPLNAGNIFGAEDRRPITKWETIIREALDRVRPAASKVKSFSDPPSPSKYKPSGDVPDIEEEMLLQSDSDVGEEVHPLDDEPKDFGDSNDTSITSEIVNVDSRVPDFSDSSKPRMPIGQDLQRQSSSPKRLDRLYCLRTDDCSLDEETSSIQQNRKLTKMLSGSERIGLSWPEPPLNLLSQHLLDRRNSFRTMKSFKSSKSFRTHNSFKSATNDVTPEIALLAEIDLESLMKRKRRSSYVRIVSKQMVGIFLTVWVRRSLRKHIQNVKVSTVGVGVMGYIGNKGSISVSMSVYQTFFCFVCTHLTAGEKDGDEIKRNADVHEIHRRTHFHSFSDIGLPRSIYDHEKIIWLGDLNYRLNLSYEKTRELISKKEWSKLFENDQLARQFKKGRPFDGWSEGVLKFPPTYKYEANSEKYYGDDPKTGRRTPAWCDRILSYGRGMRLLSYRRAELKLSDHRPVTATYMAEVEVFSSRKLQRALTFTDAEIENEEISMDMGIDVGMNCLKLEQDISDWER >ONH89633 pep chromosome:Prunus_persica_NCBIv2:G8:464537:470557:1 gene:PRUPE_8G005800 transcript:ONH89633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHSKSSVYIGNLDERVSERVLYDILIQAGRLVDLHIPRDKETDRPKGYAFAEYESEDIAEYAVRLFSGLVTLYNRTLKFAISGRDKPSHNTPSTVASASSFPHKLRSAPSPINSMEISPNSIGLSTPRISDFPLNYPPGSP >ONH89637 pep chromosome:Prunus_persica_NCBIv2:G8:464537:470557:1 gene:PRUPE_8G005800 transcript:ONH89637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHSKSSVYIEYESEDIAEYAVRLFSGLVTLYNRTLKFAISGRDKPSHNTPSTVASASSFPHKLRSAPSPINSMEISPNSIGLSTPRISDFPLNYPPVPTPPGVNKQSNGYGLPNNGNNYEYSRRVIGATLDTISRSRSRRHDMSNPISYPSY >ONH89636 pep chromosome:Prunus_persica_NCBIv2:G8:464537:470557:1 gene:PRUPE_8G005800 transcript:ONH89636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHSKSSVYIGNLDERVSERVLYDILIQAGRLVDLHIPRDKETDRPKGYAFAEYESEDIAEYAVRLFSGLVTLYNRTLKFAISGRDKPSHNTPSTVASASSFPHKLRSAPSPINSMEISPNSIGLSTPRISDFPLNYPPVPTPPGVNKQSNGYGLPNNGNNYEYSRRVIGATLDTISRSRSRRHDMSNPISYPSY >ONH89635 pep chromosome:Prunus_persica_NCBIv2:G8:464537:470557:1 gene:PRUPE_8G005800 transcript:ONH89635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHSKSSVYIGNLDERVSERVLYDILIQAGRLVDLHIPRDKETDRPKGYAFAEYESEDIAEYAVRLFSGLVTLYNRTLKFAISGRDKPSHNTPSTVASASSFPHKLRSAPSPINSMEISPNSIGLSTPRISDFPLNYPPVPTPPGVNKQSNGYGLPNNGNNYEYSRRVIGATLDTISRSRSRRHDMSNPISYPSY >ONH89634 pep chromosome:Prunus_persica_NCBIv2:G8:464537:470557:1 gene:PRUPE_8G005800 transcript:ONH89634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHSKSSVYIEYESEDIAEYAVRLFSGLVTLYNRTLKFAISGRDKPSHNTPSTVASASSFPHKLRSAPSPINSMEISPNSIGLSTPRISDFPLNYPPGSP >ONH92634 pep chromosome:Prunus_persica_NCBIv2:G8:18352769:18354871:-1 gene:PRUPE_8G185500 transcript:ONH92634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNSSPPNSNSNTAIPVVSTFSSPFENPASVQDGPNGSTRKPISLWPGMYQSPVTNALWEARSKIFERFFLDPPKDAPPQSELLNKTPAQSRTTILYNFSSDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDCTTRPLLLVTASVDKIVLKKPISVDIDLNIVGAVIWVGRSSIEIQLEVTQSSKEGSDNSDSVALSANFIFVARDSKTGKAAPVNRISPETEREKLLFEEAEARNNLRKRKRGGGDKREFENGEVNRLETLLAEGRIFCDMPALADRDSILLRDTRLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPYFLEVDHVDFLRPVSTPLTSSLIASITHSH >ONH92633 pep chromosome:Prunus_persica_NCBIv2:G8:18351762:18355111:-1 gene:PRUPE_8G185500 transcript:ONH92633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNSSPPNSNSNTAIPVVSTFSSPFENPASVQDGPNGSTRKPISLWPGMYQSPVTNALWEARSKIFERFFLDPPKDAPPQSELLNKTPAQSRTTILYNFSSDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDCTTRPLLLVTASVDKIVLKKPISVDIDLNIVGAVIWVGRSSIEIQLEVTQSSKEGSDNSDSVALSANFIFVARDSKTGKAAPVNRISPETEREKLLFEEAEARNNLRKRKRGGGDKREFENGEVNRLETLLAEGRIFCDMPALADRDSILLRDTRLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPYFLEVDHVDFLRPVDVGDFLRFKSCVLYTEVNPDQPLINIEVVAHVTRPELRSSEVSNTFYFTFTVRPEAKAKKNGFRILNVVPATEEEARHIVDRMDSQALLSTRGFGK >ONH92632 pep chromosome:Prunus_persica_NCBIv2:G8:18352170:18354891:-1 gene:PRUPE_8G185500 transcript:ONH92632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNSSPPNSNSNTAIPVVSTFSSPFENPASVQDGPNGSTRKPISLWPGMYQSPVTNALWEARSKIFERFFLDPPKDAPPQSELLNKTPAQSRTTILYNFSSDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDCTTRPLLLVTASVDKIVLKKPISVDIDLNIVGAVIWVGRSSIEIQLEVTQSSKGSDNSDSVALSANFIFVARDSKTGKAAPVNRISPETEREKLLFEEAEARNNLRKRKRGGGDKREFENGEVNRLETLLAEGRIFCDMPALADRDSILLRDTRLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPYFLEVDHVDFLRPVDVGDFLRFKSCVLYTEVNPDQPLINIEVVAHVTRPELRSSEVSNTFYFTFTVRPEAKAKKNGFRILNVVPATEEEARHIVDRMDSQALLSTRGFGK >ONH93760 pep chromosome:Prunus_persica_NCBIv2:G8:21588714:21596689:-1 gene:PRUPE_8G250900 transcript:ONH93760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPASATATEPSTVLRQKRTRAQTHSTENHERTSAGSDQADRESSPEDFEETRPKAKRGRPAAAGTSAAPQKLATLTLIEVIKGNGKLIPQAVKLWVERYEKDPKPAMVELLMMLFEACGAKYHIEGELLDETDVDDVVVALVELARSGNVEDYQSSKKKEFKNFKDNLQLFWDNLVRECQHGPLVDQILFEKCMDYIIALSCTPPRVYRQAATLVGLQLVTSFITVANTLGSQRETTRRQLDAEKKKRTEGPRVESLNKRFSTTHDHITILEQMMRKIFQGLFVHRYRDIDPNIRMSCIESLGVWIFSYPSMFLQDLYLKYLGWTLNDKSAGVRKASVLALQNLYEVDDNVPTLGLFTERFSSRMIDLADDIDTSVAVCAIGLVKQLLRHQLLPDDDLGPLYDLLIDDPAEIRHAIGALVYEHLISQKFNSSQSGAKGDGNNSSEVHLGRMLQILREFSADPILSVYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLVRLLCASVKKAVGERIVPPTDNRKPYYSKAQKEVFEHNRRDITLAMMKNYPLLLRKFMADKAKVPSLIEIIVHMNLELYSLKRQEQNFKSVLQLIKEAFFKHSEREALRSCVNAVNLCSTESQGELKDFARNTSKELQDELIAKLKSAMKEVADGGDDYPLLVNLKRLYELQLSRAVPDESLYENFVSTIQSYTNRDDEVVSFLLLNMSLHLEWSLHSIINSETVSEASLSSLLAKRKSLSEQLEYFLNSPPELEGNRGNLLACRVCILQAELWFLFRKTNFSSTKLERLGYYPDASILQKFWNLCVQQLSISDEAEDDDANKEYIEEANRDAVMIAAAKLVANDKVSKEYLGPEIISRFVMHGTTVAEIIKNLITFLKKKDDDLPKLFFESLKKAYQRYMVELSGSDDESSASKRFQECKELAARLSGMFVGAARNKHRSDILKIVNNGIEYAFLDAPKQLSFLEGAVLHFVSKLPTPDILDIVKDVQSRTDNVNTDEDPSGWRPYHTFVDSLLEKYAKNEGIQDEKDGTSVKRRGRPRKRRRGKGLFDEHSSSEEDDSISASDHENAQDEENKQDEDDDEDAPLIHSIRSSSKLRSLRVTREETKAR >ONH93759 pep chromosome:Prunus_persica_NCBIv2:G8:21588098:21596750:-1 gene:PRUPE_8G250900 transcript:ONH93759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPASATATEPSTVLRQKRTRAQTHSTENHERTSAGSDQADRESSPEDFEETRPKAKRGRPAAAGTSAAPQKLATLTLIEVIKGNGKLIPQAVKLWVERYEKDPKPAMVELLMMLFEACGAKYHIEGELLDETDVDDVVVALVELARSGNVEDYQSSKKKEFKNFKDNLQLFWDNLVRECQHGPLVDQILFEKCMDYIIALSCTPPRVYRQAATLVGLQLVTSFITVANTLGSQRETTRRQLDAEKKKRTEGPRVESLNKRFSTTHDHITILEQMMRKIFQGLFVHRYRDIDPNIRMSCIESLGVWIFSYPSMFLQDLYLKYLGWTLNDKSAGVRKASVLALQNLYEVDDNVPTLGLFTERFSSRMIDLADDIDTSVAVCAIGLVKQLLRHQLLPDDDLGPLYDLLIDDPAEIRHAIGALVYEHLISQKFNSSQSGAKGDGNNSSEVHLGRMLQILREFSADPILSVYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLVRLLCASVKKAVGERIVPPTDNRKPYYSKAQKEVFEHNRRDITLAMMKNYPLLLRKFMADKAKVPSLIEIIVHMNLELYSLKRQEQNFKSVLQLIKEAFFKHSEREALRSCVNAVNLCSTESQGELKDFARNTSKELQDELIAKLKSAMKEVADGGDDYPLLVNLKRLYELQLSRAVPDESLYENFVSTIQSYTNRDDEVVSFLLLNMSLHLEWSLHSIINSETVSEASLSSLLAKRKSLSEQLEYFLNSPPELEGNRGNLLACRVCILQAELWFLFRKTNFSSTKLERLGYYPDASILQKFWNLCVQQLSISDEAEDDDANKEYIEEANRDAVMIAAAKLVANDKVSKEYLGPEIISRFVMHGTTVAEIIKNLITFLKKKDDDLPKLFFESLKKAYQRYMVELSGSDDESSASKRFQECKELAARLSGMFVGAARNKHRSDILKIVNNGIEYAFLDAPKQLSFLEGAVLHFVSKLPTPDILDIVKDVQSRTDNVNTDEDPSGWRPYHTFVDSLLEKYAKNEDEKDGTSVKRRGRPRKRRRGKGLFDEHSSSEEDDSISASDHENAQDEENKQDEDDDEDAPLIHSIRSSSKLRSLRVTREETKAR >ONH93758 pep chromosome:Prunus_persica_NCBIv2:G8:21587117:21596881:-1 gene:PRUPE_8G250900 transcript:ONH93758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPASATATEPSTVLRQKRTRAQTHSTENHERTSAGSDQADRESSPEDFEETRPKAKRGRPAAAGTSAAPQKLATLTLIEVIKGNGKLIPQAVKLWVERYEKDPKPAMVELLMMLFEACGAKYHIEGELLDETDVDDVVVALVELARSGNVEDYQSSKKKEFKNFKDNLQLFWDNLVRECQHGPLVDQILFEKCMDYIIALSCTPPRVYRQAATLVGLQLVTSFITVANTLGSQRETTRRQLDAEKKKRTEGPRVESLNKRFSTTHDHITILEQMMRKIFQGLFVHRYRDIDPNIRMSCIESLGVWIFSYPSMFLQDLYLKYLGWTLNDKSAGVRKASVLALQNLYEVDDNVPTLGLFTERFSSRMIDLADDIDTSVAVCAIGLVKQLLRHQLLPDDDLGPLYDLLIDDPAEIRHAIGALVYEHLISQKFNSSQSGAKGDGNNSSEVHLGRMLQILREFSADPILSVYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLVRLLCASVKKAVGERIVPPTDNRKPYYSKAQKEVFEHNRRDITLAMMKNYPLLLRKFMADKAKVPSLIEIIVHMNLELYSLKRQEQNFKSVLQLIKEAFFKHSEREALRSCVNAVNLCSTESQGELKDFARNTSKELQDELIAKLKSAMKEVADGGDDYPLLVNLKRLYELQLSRAVPDESLYENFVSTIQSYTNRDDEVVSFLLLNMSLHLEWSLHSIINSETVSEASLSSLLAKRKSLSEQLEYFLNSPPELEGNRGNLLACRVCILQAELWFLFRKTNFSSTKLERLGYYPDASILQKFWNLCVQQLSISDEAEDDDANKEYIEEANRDAVMIAAAKLVANDKVSKEYLGPEIISRFVMHGTTVAEIIKNLITFLKKKDDDLPKLFFESLKKAYQRYMVELSGSDDESSASKRFQECKELAARLSGMFVGAARNKHRSDILKIVNNGIEYAFLDAPKQLSFLEGAVLHFVSKLPTPDILDIVKDVQSRTDNVNTDEDPSGWRPYHTFVDSLLEKYAKNEDEKDGTSVKRRGRPRKRRRGKGLFDEHSSSEEDDSISASDHENAQDEENKQDEDDDEDAPLIHSIRSSSKLRSLRVTREETKAR >ONH93756 pep chromosome:Prunus_persica_NCBIv2:G8:21587117:21596826:-1 gene:PRUPE_8G250900 transcript:ONH93756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPASATATEPSTVLRKRTRAQTHSTENHERTSAGSDQADRESSPEDFEETRPKAKRGRPAAAGTSAAPQKLATLTLIEVIKGNGKLIPQAVKLWVERYEKDPKPAMVELLMMLFEACGAKYHIEGELLDETDVDDVVVALVELARSGNVEDYQSSKKKEFKNFKDNLQLFWDNLVRECQHGPLVDQILFEKCMDYIIALSCTPPRVYRQAATLVGLQLVTSFITVANTLGSQRETTRRQLDAEKKKRTEGPRVESLNKRFSTTHDHITILEQMMRKIFQGLFVHRYRDIDPNIRMSCIESLGVWIFSYPSMFLQDLYLKYLGWTLNDKSAGVRKASVLALQNLYEVDDNVPTLGLFTERFSSRMIDLADDIDTSVAVCAIGLVKQLLRHQLLPDDDLGPLYDLLIDDPAEIRHAIGALVYEHLISQKFNSSQSGAKGDGNNSSEVHLGRMLQILREFSADPILSVYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLVRLLCASVKKAVGERIVPPTDNRKPYYSKAQKEVFEHNRRDITLAMMKNYPLLLRKFMADKAKVPSLIEIIVHMNLELYSLKRQEQNFKSVLQLIKEAFFKHSEREALRSCVNAVNLCSTESQGELKDFARNTSKELQDELIAKLKSAMKEVADGGDDYPLLVNLKRLYELQLSRAVPDESLYENFVSTIQSYTNRDDEVVSFLLLNMSLHLEWSLHSIINSETVSEASLSSLLAKRKSLSEQLEYFLNSPPELEGNRGNLLACRVCILQAELWFLFRKTNFSSTKLERLGYYPDASILQKFWNLCVQQLSISDEAEDDDANKEYIEEANRDAVMIAAAKLVANDKVSKEYLGPEIISRFVMHGTTVAEIIKNLITFLKKKDDDLPKLFFESLKKAYQRYMVELSGSDDESSASKRFQECKELAARLSGMFVGAARNKHRSDILKIVNNGIEYAFLDAPKQLSFLEGAVLHFVSKLPTPDILDIVKDVQSRTDNVNTDEDPSGWRPYHTFVDSLLEKYAKNEDEKDGTSVKRRGRPRKRRRGKGLFDEHSSSEEDDSISASDHENAQDEENKQDEDDDEDAPLIHSIRSSSKLRSLRVTREETKAR >ONH93761 pep chromosome:Prunus_persica_NCBIv2:G8:21588714:21596689:-1 gene:PRUPE_8G250900 transcript:ONH93761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPASATATEPSTVLRQKRTRAQTHSTENHERTSAGSDQADRESSPEDFEETRPKAKRGRPAAAGTSAAPQKLATLTLIEVIKGNGKLIPQAVKLWVERYEKDPKPAMVELLMMLFEACGAKYHIEGELLDETDVDDVVVALVELARSGNVEDYQSSKKKEFKNFKDNLQLFWDNLVRECQHGPLVDQILFEKCMDYIIALSCTPPRVYRQAATLVGLQLVTSFITVANTLGSQRETTRRQLDAEKKKRTEGPRVESLNKRFSTTHDHITILEQMMRKIFQGLFVHRYRDIDPNIRMSCIESLGVWIFSYPSMFLQDLYLKYLGWTLNDKSAGVRKASVLALQNLYEVDDNVPTLGLFTERFSSRMIDLADDIDTSVAVCAIGLVKQLLRHQLLPDDDLGPLYDLLIDDPAEIRHAIGALVYEHLISQKFNSSQSGAKGDGNNSSEVHLGRMLQILREFSADPILSVYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLVRLLCASVKKAVGERIVPPTDNRKPYYSKAQKEVFEHNRRDITLAMMKNYPLLLRKFMADKAKVPSLIEIIVHMNLELYSLKRQEQNFKSVLQLIKEAFFKHSEREALRSCVNAVNLCSTESQGELKDFARNTSKELQDELIAKLKSAMKEVADGGDDYPLLVNLKRLYELQLSRAVPDESLYENFVSTIQSYTNRDDEVVSFLLLNMSLHLEWSLHSIINSETVSEASLSSLLAKRKSLSEQLEYFLNSPPELEGNRGNLLACRVCILQAELWFLFRKTNFSSTKLERLGYYPDASILQKFWNLCVQQLSISDEAEDDDANKEYIEEANRDAVMIAAAKLVANDKVSKEYLGPEIISRFVMHGTTVAEIIKNLITFLKKKDDDLPKLFFESLKKAYQRYMVELSGSDDESSASKRFQECKELAARLSGMFVGAARNKHRSDILKIVNNGIEYAFLDAPKQLSFLEGAVLHFVSKLPTPDILDIVKDVQSRTDNVNTDEDPSGWRPYHTFVDSLLEKYAKNEGIQDEKDGTSVKRRGRPRKRRRGKGLFDEHSSSEEDDSISASDHENAQDEENKQDEDDDEDAPLIHSIRSSSKLRSLRVTREETKAR >ONH93757 pep chromosome:Prunus_persica_NCBIv2:G8:21588714:21596689:-1 gene:PRUPE_8G250900 transcript:ONH93757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPASATATEPSTVLRKRTRAQTHSTENHERTSAGSDQADRESSPEDFEETRPKAKRGRPAAAGTSAAPQKLATLTLIEVIKGNGKLIPQAVKLWVERYEKDPKPAMVELLMMLFEACGAKYHIEGELLDETDVDDVVVALVELARSGNVEDYQSSKKKEFKNFKDNLQLFWDNLVRECQHGPLVDQILFEKCMDYIIALSCTPPRVYRQAATLVGLQLVTSFITVANTLGSQRETTRRQLDAEKKKRTEGPRVESLNKRFSTTHDHITILEQMMRKIFQGLFVHRYRDIDPNIRMSCIESLGVWIFSYPSMFLQDLYLKYLGWTLNDKSAGVRKASVLALQNLYEVDDNVPTLGLFTERFSSRMIDLADDIDTSVAVCAIGLVKQLLRHQLLPDDDLGPLYDLLIDDPAEIRHAIGALVYEHLISQKFNSSQSGAKGDGNNSSEVHLGRMLQILREFSADPILSVYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLVRLLCASVKKAVGERIVPPTDNRKPYYSKAQKEVFEHNRRDITLAMMKNYPLLLRKFMADKAKVPSLIEIIVHMNLELYSLKRQEQNFKSVLQLIKEAFFKHSEREALRSCVNAVNLCSTESQGELKDFARNTSKELQDELIAKLKSAMKEVADGGDDYPLLVNLKRLYELQLSRAVPDESLYENFVSTIQSYTNRDDEVVSFLLLNMSLHLEWSLHSIINSETVSEASLSSLLAKRKSLSEQLEYFLNSPPELEGNRGNLLACRVCILQAELWFLFRKTNFSSTKLERLGYYPDASILQKFWNLCVQQLSISDEAEDDDANKEYIEEANRDAVMIAAAKLVANDKVSKEYLGPEIISRFVMHGTTVAEIIKNLITFLKKKDDDLPKLFFESLKKAYQRYMVELSGSDDESSASKRFQECKELAARLSGMFVGAARNKHRSDILKIVNNGIEYAFLDAPKQLSFLEGAVLHFVSKLPTPDILDIVKDVQSRTDNVNTDEDPSGWRPYHTFVDSLLEKYAKNEGIQDEKDGTSVKRRGRPRKRRRGKGLFDEHSSSEEDDSISASDHENAQDEENKQDEDDDEDAPLIHSIRSSSKLRSLRVTREETKAR >ONH90497 pep chromosome:Prunus_persica_NCBIv2:G8:6996235:7002006:-1 gene:PRUPE_8G057200 transcript:ONH90497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPWASVASSSEGSRELQCIGKLEIERPNPVGFLCGSIPVPTDKAFHSFDSALIPSRQTVSAPRYRMLPTETDLNSPPLLSNFPDKVLPIAAMHSKAAGDIAWDGGTVTSNLARKCEALAVSGLVEYGDEIDVIAPADILKQIFKMPYSKARLSIEVHRIGQTLVLNTGPDIEEGEKLIRRRKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHVPSAGQSNSSVLPGANTQFVGQHENGVGDEESNHCPEYTEVKRDDFFWDSKKGKKNKGRNPVKKASQIGEKSRCAIQESEKHRRVGNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVSRQVTPLTWLEAWLDNVMASVPEMAICYHENGVVQGYELLKTDDIFLLKGISEDGAPAFHPYVVQQNGLSVLRFLQENCKQDPGAYWLYKSAGEDVIQLFDLSVIPKSRSSNDCDDSPSSLPSVLHQGRSDSLYSLGTLLYRSAHRLSLSVAPNNMAKCARFFQKCLELLDEPDHLVVRASAHEQFARLILNHDEELELTSDALPVECELIVTDAEEDSSDFLSSISELSVHEPVPSLVGEENSCEDGQSFQDSVRDASVKMTLEANAYSPRKLLAAGGTDIGGSTEAVLSSSGDESSEVGKLPATTTHVVQTVAEPISSKLAAIHHVSQAIKSVRWMRQLQTTESKLMGQDNETHDRPPSCVNLSVCACGDADCIEVCDIREWLPTSKLDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEDTKFISSMSSCFSSQTKFSYTNKKTRSSNSDLEDLSSNSNDDCLSFEQFSSIYLFWAKAWTLVGDVYVEFHIAKDSMIPALEKRKYSTRELKVSSEVVKEVKRLKKKLGQYTQNCSSCSLVNCSCQSDRASSGSSASSSRHDMRSVTCGRKYSKRSYTKSNAYPLLRDPEDDNLCLKMENRNVSDCEYLHQNSNGETTVQSSNNLEGILEMHDMGSTLASQSNAALREPTKVKNGGIFKYLGGPAVGDAESNLSEALCCYEEARKALGGLPSNSAELQSIMKKKGWVCNELGRNRLGRKELNKAEFAFADAIKAFREVSDHTNIILINCNLGHGRRALAEEMVSKIDSLKTHAIFRTAYNHALETAKLKYSESLKYYGAAKVELNAFVEEAAGPELNNLRTEVYTQFAHTYLRLGMLLAREDISVEVYEAGVLGDVHVDSTSPSGRKSRKESRKHEISANAAIREALSLYESLGELRKQEAAYAYFQLACYQRDCCLKFLEPDHKKSSLSKGENTIVQRVKQYAALAERNLQKAMDFYGPKTHPTMYLTILIERSALSLSLSSPLHSNAMLESALSYMLEGRYVSETDSDSSKTDHSEVLAKFWSQLQMLLKKMLAVALAARVNKSPVSQPPSISNRCGDAEKLRELYKISLKSTKLSQLDDMHSLWTS >ONH90498 pep chromosome:Prunus_persica_NCBIv2:G8:6996235:7000972:-1 gene:PRUPE_8G057200 transcript:ONH90498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVRMEACDCPPTHHVPSAGQSNSSVLPGANTQFVGQHENGVGDEESNHCPEYTEVKRDDFFWDSKKGKKNKGRNPVKKASQIGEKSRCAIQESEKHRRVGNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVSRQVTPLTWLEAWLDNVMASVPEMAICYHENGVVQGYELLKTDDIFLLKGISEDGAPAFHPYVVQQNGLSVLRFLQENCKQDPGAYWLYKSAGEDVIQLFDLSVIPKSRSSNDCDDSPSSLPSVLHQGRSDSLYSLGTLLYRSAHRLSLSVAPNNMAKCARFFQKCLELLDEPDHLVVRASAHEQFARLILNHDEELELTSDALPVECELIVTDAEEDSSDFLSSISELSVHEPVPSLVGEENSCEDGQSFQDSVRDASVKMTLEANAYSPRKLLAAGGTDIGGSTEAVLSSSGDESSEVGKLPATTTHVVQTVAEPISSKLAAIHHVSQAIKSVRWMRQLQTTESKLMGQDNETHDRPPSCVNLSVCACGDADCIEVCDIREWLPTSKLDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEDTKFISSMSSCFSSQTKFSYTNKKTRSSNSDLEDLSSNSNDDCLSFEQFSSIYLFWAKAWTLVGDVYVEFHIAKDSMIPALEKRKYSTRELKVSSEVVKEVKRLKKKLGQYTQNCSSCSLVNCSCQSDRASSGSSASSSRHDMRSVTCGRKYSKRSYTKSNAYPLLRDPEDDNLCLKMENRNVSDCEYLHQNSNGETTVQSSNNLEGILEMHDMGSTLASQSNAALREPTKVKNGGIFKYLGGPAVGDAESNLSEALCCYEEARKALGGLPSNSAELQSIMKKKGWVCNELGRNRLGRKELNKAEFAFADAIKAFREVSDHTNIILINCNLGHGRRALAEEMVSKIDSLKTHAIFRTAYNHALETAKLKYSESLKYYGAAKVELNAFVEEAAGPELNNLRTEVYTQFAHTYLRLGMLLAREDISVEVYEAGVLGDVHVDSTSPSGRKSRKESRKHEISANAAIREALSLYESLGELRKQEAAYAYFQLACYQRDCCLKFLEPDHKKSSLSKGENTIVQRVKQYAALAERNLQKAMDFYGPKTHPTMYLTILIERSALSLSLSSPLHSNAMLESALSYMLEGRYVSETDSDSSKTDHSEVLAKFWSQLQMLLKKMLAVALAARVNKSPVSQPPSISNRCGDAEKLRELYKISLKSTKLSQLDDMHSLWTS >ONH90496 pep chromosome:Prunus_persica_NCBIv2:G8:6995991:7003251:-1 gene:PRUPE_8G057200 transcript:ONH90496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPWASVASSSEGSRELQCIGKLEIERPNPVGFLCGSIPVPTDKAFHSFDSALIPSRQTVSAPRYRMLPTETDLNSPPLLSNFPDKVLPIAAMHSKAAGDIAWDGGTVTSNLARKCEALAVSGLVEYGDEIDVIAPADILKQIFKMPYSKARLSIEVHRIGQTLVLNTGPDIEEGEKLIRRRKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHVPSAGQSNSSVLPGANTQFVGQHENGVGDEESNHCPEYTEVKRDDFFWDSKKGKKNKGRNPVKKASQIGEKSRCAIQESEKHRRVGNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVSRQVTPLTWLEAWLDNVMASVPEMAICYHENGVVQGYELLKTDDIFLLKGISEDGAPAFHPYVVQQNGLSVLRFLQENCKQDPGAYWLYKSAGEDVIQLFDLSVIPKSRSSNDCDDSPSSLPSVLHQGRSDSLYSLGTLLYRSAHRLSLSAPNNMAKCARFFQKCLELLDEPDHLVVRASAHEQFARLILNHDEELELTSDALPVECELIVTDAEEDSSDFLSSISELSVHEPVPSLVGEENSCEDGQSFQDSVRDASVKMTLEANAYSPRKLLAAGGTDIGGSTEAVLSSSGDESSEVGKLPATTTHVVQTVAEPISSKLAAIHHVSQAIKSVRWMRQLQTTESKLMGQDNETHDRPPSCVNLSVCACGDADCIEVCDIREWLPTSKLDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEDTKFISSMSSCFSSQTKFSYTNKKTRSSNSDLEDLSSNSNDDCLSFEQFSSIYLFWAKAWTLVGDVYVEFHIAKDSMIPALEKRKYSTRELKVSSEVVKEVKRLKKKLGQYTQNCSSCSLVNCSCQSDRASSGSSASSSRHDMRSVTCGRKYSKRSYTKSNAYPLLRDPEDDNLCLKMENRNVSDCEYLHQNSNGETTVQSSNNLEGILEMHDMGSTLASQSNAALREPTKVKNGGIFKYLGGPAVGDAESNLSEALCCYEEARKALGGLPSNSAELQSIMKKKGWVCNELGRNRLGRKELNKAEFAFADAIKAFREVSDHTNIILINCNLGHGRRALAEEMVSKIDSLKTHAIFRTAYNHALETAKLKYSESLKYYGAAKVELNAFVEEAAGPELNNLRTEVYTQFAHTYLRLGMLLAREDISVEVYEAGVLGDVHVDSTSPSGRKSRKESRKHEISANAAIREALSLYESLGELRKQEAAYAYFQLACYQRDCCLKFLEPDHKKSSLSKGENTIVQRVKQYAALAERNLQKAMDFYGPKTHPTMYLTILIERSALSLSLSSPLHSNAMLESALSYMLEGRYVSETDSDSSKTDHSEVLAKFWSQLQMLLKKMLAVALAARVNKSPVSQPPSISNRCGDAEKLRELYKISLKSTKLSQLDDMHSLWTS >ONH92016 pep chromosome:Prunus_persica_NCBIv2:G8:16355929:16356410:-1 gene:PRUPE_8G150000 transcript:ONH92016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKQYWLLKTEPGEWSWENQAANGGVTKWDGVKNKQAQKHLKSMKLGDLCFFYHSGAKARRVVGVVTVVREWYSDSGDDGTVAVKAVGEMRRPVDLKEMKGEKGLKGFALFKQPRLSVVPVPEDVWIRVCDLGDHDSDGESGEEEDD >ONH93627 pep chromosome:Prunus_persica_NCBIv2:G8:21228646:21231667:-1 gene:PRUPE_8G243200 transcript:ONH93627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPVKYSVVDAFTESAFKGNPAAVCLLEEDKDDQWLQAVASEFNLSETCYLTRVTDSTPTSAPRFGLRWFTPSNEVELCGHATLAAAYTLFKSGLINSNFIEFATLSGILTAKKVPDVKTANGSNNIQNGEAQKSYFIELNFPAAPSYEFNSSEVSLISEALDGASMIDIRKTHVTDDLLVVLPSAKAVVDLQPKFDAIQKCPGSRGVIVTGIAPPESEYDFYSRFFCPKYGIDEDPVCGSAHCALASYWCKKLGKSDVFAYAASPRGGAVSMHLDEQNQRVLLRGKAVTVMEGTVLV >ONH93626 pep chromosome:Prunus_persica_NCBIv2:G8:21227420:21231667:-1 gene:PRUPE_8G243200 transcript:ONH93626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPVKYSVVDAFTESAFKGNPAAVCLLEEDKDDQWLQAVASEFNLSETCYLTRVTDSTPTSAPRFGLRWFTPSNEVELCGHATLAAAYTLFKSGLINSNFIEFATLSGILTAKKVPDVKTANGSNNIQNGEAQKSYFIELNFPAAPSYEFNSSEVSLISEALDGASMIDIRKTHVTDDLLVVLPSAKAVVDLQPKFDAIQKCPGSRGVIVTGIAPPESEYDFYSRFFCPKYGIDEDPVCGSAHCALASYWCKKLGKSDVFAYAASPRGGAVSMHLDEQNQRVLLRGKAVTVMEGTVLV >ONH93625 pep chromosome:Prunus_persica_NCBIv2:G8:21229219:21231301:-1 gene:PRUPE_8G243200 transcript:ONH93625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPVKYSVVDAFTESAFKGNPAAVCLLEEDKDDQWLQAVASEFNLSETCYLTRVTDSTPTSAPRFGLRWFTPSNEVELCGHATLAAAYTLFKSGLINSNFIEFATLSGILTAKKVPDVKTANGSNNIQNGEAQKSYFIELNFPAAPSYEFNSSEVSLISEALDGASMIDIRKTHVTDDLLVVLPSAKAVVDLQPKFDAIQKCPGSRGVIVTGIAPPESEYDFYSRFFCPKYGIDEDPVCGSAHCALASYWCKKLGKSDVFAYAASPRGGAVSMHLDEQNQRVLLRGKAVTVMEGTVLV >ONH93628 pep chromosome:Prunus_persica_NCBIv2:G8:21229219:21231301:-1 gene:PRUPE_8G243200 transcript:ONH93628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPVKYSVVDAFTESAFKGNPAAVCLLEEDKDDQWLQAVASEFNLSETCYLTRVTDSTPTSAPRFGLRWFTPSNEVELCGHATLAAAYTLFKSGLINSNFIEFATLSGILTAKKVPDVKTANGSNNIQNGEAQKSYFIELNFPAAPSYEFNSSEVSLISEALDGASMIDIRKTHVTDDLLVVLPSAKAVVDLQPKFDAIQKCPGSRGVIVTGIAPPESEYDFYSRFFCPKYGIDEDPVCGSAHCALASYWCKKLGKSDVFAYAASPRGGAVSMHLDEQNQRVLLRGKAVTVMEGTVLV >ONH90134 pep chromosome:Prunus_persica_NCBIv2:G8:3323309:3329092:-1 gene:PRUPE_8G036600 transcript:ONH90134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRIEKPREETPINENEIRITTQGRIRNYITYATTLLQEKGSDEIALKAMGRAINKTVMIAELIKRRIADLHQNTYIGSTDITDLYEPLEEGLDPVETTRHVSVITITLSKKELDGSSTGYQAPIPADQVRPWTEYGYEGEGSPSMRGRGRGRGRGRGRGNVSNGVVEYNGDGGFDGGRGYGGFDGGRGYGGRGRGRGRIRGYRGRGRGYGGGSMQLESGGYNAYNDYGGGAPPTQGRGRGRGRGRARGRGRGQGFRVDGPPTPTQAAA >ONH90133 pep chromosome:Prunus_persica_NCBIv2:G8:3322996:3329451:-1 gene:PRUPE_8G036600 transcript:ONH90133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRIEKPREETPINENEIRITTQGRIRNYITYATTLLQEKGSDEIALKAMGRAINKTVMIAELIKRRIADLHQNTYIGSTDITDLYEPLEEGLDPVETTRHVSVITITLSKKELDGSSTGYQAPIPADQVRPWTEYGYEGEGSPSMRGRGRGRGRGRGRGNVSNGVVEYNGDGGFDGGRGYGGFDGGRGYGGRGRGRGRIRGYRGRGRGYGGGSMQLESGGYNAYNDYGGGAPPTQGRGRGRGRARGRGRGQGFRVDGPPTPTQAAA >ONH91463 pep chromosome:Prunus_persica_NCBIv2:G8:14336424:14339740:1 gene:PRUPE_8G116200 transcript:ONH91463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPPELSLDFRPTFVPKTISDFLKEVSMIGSVSERLSKLDDFVKRLEEEMRKIDAFKRELPLCMFLLNDAILALKEDAVQCAAPNVQPVLEEFIPLKKDCEKNNGGTNNNKKEKDCRDKKNWMSSVQLWNTDNYQHPSSDFPYDRKQVSEIDSKRNEAENGLANEDPFQTCRNRTAGRAFMPFKTYPAFSVTAARKEDKEELPVHGLSLLTPGIKNPKEESASSGSRSTCGRAVSFSTANAQSNMRTPPQQPTSRKQRRCWSPELHRRFVNALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRVPAATAAPDNQSVVVLGGLWMSQDQYADSSKASSSQSGSPQGPLHLTGTGGDDEDDEDAKSESYSWKGHIQKSGKNDV >ONH91464 pep chromosome:Prunus_persica_NCBIv2:G8:14336742:14338102:1 gene:PRUPE_8G116200 transcript:ONH91464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPPELSLDFRPTFVPKTISDFLKEVSMIGSVSERLSKLDDFVKRLEEEMRKIDAFKRELPLCMFLLNDAILALKEDAVQCAAPNVQPVLEEFIPLKKDCEKNNGGTNNNKKEKDCRDKKNWMSSVQLWNTDNYQHPSSDFPYDRKQVSEIDSKRNEAENGLANEDPFQTCRNRTAGRAFMPFKTYPAFSVTAARKEDKEELPVHGLSLLTPGIKNPKEESASSGSRSTCGRAVSFSTANAQSNMRTPPQQPTSRKQRRCWSPELHRRFVNALQQLGGSQG >ONH92230 pep chromosome:Prunus_persica_NCBIv2:G8:17130914:17132558:-1 gene:PRUPE_8G164300 transcript:ONH92230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLTRGIDPTTHRALNEAAQDSATTTISFAASANIKEEDQKSSIINGLLGKDSKKPVQERCPDLNLELQISPPCQPQQPSEPLKSGGRGVCFSCSLGLQDAKNCSCGIDTIGSSTTSGTTNVGYDFLGLKSGVLDYRSLEMK >ONH93810 pep chromosome:Prunus_persica_NCBIv2:G8:21735165:21737024:-1 gene:PRUPE_8G254100 transcript:ONH93810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQREEIELKFRIYDGTDIGHHSYAPSMTVANLKKRLLAEWPQGNIATAKLDFDEPKTVTPKSANEVKLIHSGRILENNKTLADSRITSANQPGGGAVIMHAVVQPLVPKQKKTDKNQKDMQKMNSCSCTLL >ONH93808 pep chromosome:Prunus_persica_NCBIv2:G8:21735132:21737027:-1 gene:PRUPE_8G254100 transcript:ONH93808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQREEIELKFRIYDGTDIGHHSYAPSMTVANLKKRLLAEWPQAKTVTPKSANEVKLIHSGRILENNKTLADSRITSANQPGGGAVIMHAVVQPLVPKQKKTDKNQKDMQKMNSCSCTLL >ONH93809 pep chromosome:Prunus_persica_NCBIv2:G8:21735229:21737024:-1 gene:PRUPE_8G254100 transcript:ONH93809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQREEIELKFRIYDGTDIGHHSYAPSMTVANLKKRLLAEWPQAKTVTPKSANEVKLIHSGRILENNKTLADSRITSANQPGGGAVIMHAVVQPLVPKQKKTDKNQKDMQKMNSCSCTLL >ONH93405 pep chromosome:Prunus_persica_NCBIv2:G8:20543771:20546098:1 gene:PRUPE_8G230000 transcript:ONH93405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSRQKLRSCRFSIEESRAASLPVAEIGNQSKHHQWDRPPGY >ONH91265 pep chromosome:Prunus_persica_NCBIv2:G8:13358803:13361555:-1 gene:PRUPE_8G102200 transcript:ONH91265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDLPNIKRWVVIYPVYINSKKTVAEGRRISVEKACESPTCAEIGDCCSHFKLPFAIEIDKAYPRDFMQIGRVRVLLKREDGTPFNPAITTRKQLMLKVAELVPRHPGRTKKQEPAASASTAGPSKSGKHGKKKR >ONH89890 pep chromosome:Prunus_persica_NCBIv2:G8:2014497:2019002:-1 gene:PRUPE_8G022400 transcript:ONH89890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRDCELDRGSGFDQSSFEAATTALRTGNKELCVSQEIFGCCNTTCITQHGEKKNEAGILRKLRRSFEKKMESPSGKMIQKTQSFGSQLLINMDEFIPSFTGSFDKKGSILSRASSNGLGYSFSLAAGDISDIALRVQSPKHKDNTSTAKSTSTEEGGPDADKGRKLHVDSTLPIYLKFEDVKYKVAAKSVKSSNAEYILHGISGSVLPGEVLALMGPSGGGKTTLLNLLSGRVKLNSGTITYNDQPYAKSLKRRIGYVMQDDLLFPHLTVKETLTYAALLRLPITLTRNQKEERAMDVINELGLERCQDTLIGGTFVRGVSGGERKRVCIGNEILLNPSLLFLDEPTSGLDSTAALRTLQMLHIIAKAGKTVVTTIHQPSSRIFNKFDKLILLGRGSSLYFGKASEAMMYFSSIGCAPLIAMNPAEFLIDLANGNTNEKSLPTELEDRGPSAVDIHEFLVEANQIRLGKMEKAKLLSSVLTEGESKMQRMSYSRESKATWCEQFLILCRRGLKERRHEYLSCLRVVQVISTAIIIGLLWWQSDASSPRRVQDQAGLLFFISVFWAFFPMFTAVFTFPQERAIVAKERSVEMYKLSAYFLARNISDLPLDLLLPIVFLVIVYLMAGLKLRFSSFFQTMLTIFLSIVASQGLGLSIGAAFIDVKKATTLASIIVMTFMLSGGFFIQKVPSMVSWIRYISFNYHTYRLLLKIQFGCSSGVMEVGAMMVMIIGYRLLAYLLLRTMKFKTMM >ONH89891 pep chromosome:Prunus_persica_NCBIv2:G8:2014717:2018360:-1 gene:PRUPE_8G022400 transcript:ONH89891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRDCELDRGSGFDQSSFEAATTALRTGNKELCVSQEIFGCCNTTCITQHGEKKNEAGILRKLRRSFEKKMESPSGKMIQKTQSFGSQLLINMDEFIPSFTGSFDKKGSILSRASSNGLGYSFSLAAGDISDIALRVQSPKHKDNTSTAKSTSTEEGGPDADKGRKLHVDSTLPIYLKFEDVKYKVAAKSVKSSNAEYILHGISGSVLPGEVLALMGPSGGGKTTLLNLLSGRVKLNSGTITYNDQPYAKSLKRRIGYVMQDDLLFPHLTVKETLTYAALLRLPITLTRNQKEERAMDVINELGLERCQDTLIGGTFVRGVSGGERKRVCIGNEILLNPSLLFLDEPTSGLDSTAALRTLQMLHIIAKAGKTVVTTIHQPSSRIFNKFDKLILLGRGSSLYFGKASEAMMYFSSIGCAPLIAMNPAEFLIDLANGNTNEKSLPTELEDRGPSAVDIHEFLVEANQIRLGKMEKAKLLSSVLTEGESKMQRMSYSRESKATWCEQFLILCRRGLKERRHEYLSCLRVVQVISTAIIIGLLWWQSDASSPRRVQDQAGLLFFISVFWAFFPMFTAVFTFPQERAIVAKERSVEMYKLSAYFLARNISDLPLDLLLPIVFLVIVYLMAGLKLRFSSFFQTMLTIFLSIVASQGLGLSIGAAFIDVKKATTLASIIVMTFMLSGGFFIQKVPSMVSWIRYISFNYHTYRLLLKIQFGCSSGNSGTSGYEACQSPFINELRLDSGVMEVGAMMVMIIGYRLLAYLLLRTMKFKTMM >ONH89892 pep chromosome:Prunus_persica_NCBIv2:G8:2014497:2017577:-1 gene:PRUPE_8G022400 transcript:ONH89892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFMVEDNTSTAKSTSTEEGGPDADKGRKLHVDSTLPIYLKFEDVKYKVAAKSVKSSNAEYILHGISGSVLPGEVLALMGPSGGGKTTLLNLLSGRVKLNSGTITYNDQPYAKSLKRRIGYVMQDDLLFPHLTVKETLTYAALLRLPITLTRNQKEERAMDVINELGLERCQDTLIGGTFVRGVSGGERKRVCIGNEILLNPSLLFLDEPTSGLDSTAALRTLQMLHIIAKAGKTVVTTIHQPSSRIFNKFDKLILLGRGSSLYFGKASEAMMYFSSIGCAPLIAMNPAEFLIDLANGNTNEKSLPTELEDRGPSAVDIHEFLVEANQIRLGKMEKAKLLSSVLTEGESKMQRMSYSRESKATWCEQFLILCRRGLKERRHEYLSCLRVVQVISTAIIIGLLWWQSDASSPRRVQDQAGLLFFISVFWAFFPMFTAVFTFPQERAIVAKERSVEMYKLSAYFLARNISDLPLDLLLPIVFLVIVYLMAGLKLRFSSFFQTMLTIFLSIVASQGLGLSIGAAFIDVKKATTLASIIVMTFMLSGGFFIQKVPSMVSWIRYISFNYHTYRLLLKIQFGCSSGNSGTSGYEACQSPFINELRLDSGVMEVGAMMVMIIGYRLLAYLLLRTMKFKTMM >ONH89889 pep chromosome:Prunus_persica_NCBIv2:G8:2014497:2019002:-1 gene:PRUPE_8G022400 transcript:ONH89889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRDCELDRGSGFDQSSFEAATTALRTGNKELCVSQEIFGCCNTTCITQHGEKKNEAGILRKLRRSFEKKMESPSGKMIQKTQSFGSQLLINMDEFIPSFTGSFDKKGSILSRASSNGLGYSFSLAAGDISDIALRVQSPKHNNTSTAKSTSTEEGGPDADKGRKLHVDSTLPIYLKFEDVKYKVAAKSVKSSNAEYILHGISGSVLPGEVLALMGPSGGGKTTLLNLLSGRVKLNSGTITYNDQPYAKSLKRRIGYVMQDDLLFPHLTVKETLTYAALLRLPITLTRNQKEERAMDVINELGLERCQDTLIGGTFVRGVSGGERKRVCIGNEILLNPSLLFLDEPTSGLDSTAALRTLQMLHIIAKAGKTVVTTIHQPSSRIFNKFDKLILLGRGSSLYFGKASEAMMYFSSIGCAPLIAMNPAEFLIDLANGNTNEKSLPTELEDRGPSAVDIHEFLVEANQIRLGKMEKAKLLSSVLTEGESKMQRMSYSRESKATWCEQFLILCRRGLKERRHEYLSCLRVVQVISTAIIIGLLWWQSDASSPRRVQDQAGLLFFISVFWAFFPMFTAVFTFPQERAIVAKERSVEMYKLSAYFLARNISDLPLDLLLPIVFLVIVYLMAGLKLRFSSFFQTMLTIFLSIVASQGLGLSIGAAFIDVKKATTLASIIVMTFMLSGGFFIQKVPSMVSWIRYISFNYHTYRLLLKIQFGCSSGNSGTSGYEACQSPFINELRLDSGVMEVGAMMVMIIGYRLLAYLLLRTMKFKTMM >ONH91125 pep chromosome:Prunus_persica_NCBIv2:G8:12743272:12745403:-1 gene:PRUPE_8G094800 transcript:ONH91125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHWHGLKQYRNGRADGPAYITQCPIKTGHSYTYNITITGQRGTLWWHAHIFWLRATVYGAIVILPKQGTGFPFPQPYKEANIFLALNDELFFVIAGHNLIVVEIDAVYTKSFTSQAILIVPGQTTNVLVQANQAPSRYFMAARPFMDAPVSIDNKTATRILQYKGISNTAQPVLPQLPALINTAFALSFNAKLRSLNTAQSRPVLGISQCTTSRNGTQLTASLNNITFLMPQIGLLQAHYFYTKGVFTTDFPDCPPTPFNYTGALLTANLGTKLGTRLSKIAFNSSVELVLQDTNLLTVDGTGNFNLKKDPAKYNLVDPPERNTVGVPTGGWGAIRFRADNPVFILCAKAPLSSLNPGPRPKIPS >ONH91971 pep chromosome:Prunus_persica_NCBIv2:G8:16229255:16233583:-1 gene:PRUPE_8G147300 transcript:ONH91971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPNPIHHPSKSGAAEDDPDPTRPTMPGMGMSVAAGMRKKGLGVRPWLVLDASGQTQVVEAGKHAIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNSRDPSVTPFIDELQRRLLRHHQATTKPAKTQDKEGNGEESNWYDMEEAEADAQLRRVVGGNDEDEATGKQGLEENRDALKVLPFEFVALEACLEAACSCLENEARTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKLVQQHFENSTTSSLSEREDMDNEAPRRDMDDRIPTEISLEASGGSSNFEGDLQNPDNPQHQFLSRDSHGNTTSSTHSAMGKDLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVIAGIFGMNIKIELFDSEKAGMREFMWTVGGSTGGTIFLYVIAIGWCKHKRLLE >ONH91970 pep chromosome:Prunus_persica_NCBIv2:G8:16229754:16233485:-1 gene:PRUPE_8G147300 transcript:ONH91970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPNPIHHPSKSGAAEDDPDPTRPTMPGMGMSVAAGMRKKGLGVRPWLVLDASGQTQVVEAGKHAIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNSRDPSVTPFIDELQRRLLRHHQATTKPAKTQEGNGEESNWYDMEEAEADAQLRRVVGGNDEDEATGKQGLEENRDALKVLPFEFVALEACLEAACSCLENEARTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKLVQQHFENSTTSSLSEREDMDNEAPRRDMDDRIPTEISLEASGGSSNFEGDLQNPDNPQHQFLSRDSHGNTTSSTHSAMGKDLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVIAGIFGMNIKIELFDSEKAGMREFMWTVGGSTGGTIFLYVIAIGWCKHKRLLE >ONH92905 pep chromosome:Prunus_persica_NCBIv2:G8:19078219:19083907:-1 gene:PRUPE_8G202400 transcript:ONH92905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHCFWVGFFLFSGFWAVAFCDQDGFLSLTCGGTTNYTDSSSISWIPDSAYISIGNTTTVVYIDGTSSSGAPVRYFPVSQDRKCYGLPVTNVSSLVLLRAQFVYKNYDGLGKPPSFSVSLGTAIVSTIDLRKNDPWTEEFLWPTGKDTVSFCLHAIADRGTPVISTIEVRPLPQGAYTSGMEDFPNKSLRKSYRINCGYTNGSLRYPLDPYDRIWDVDQSFAPFHVSAGFKTQLSFNFSALKEAPPAAILQTARVLARRDVLTYNFPLDTLADYYIVLYFAGILPVFPSFDVLINGDVVQSNYTVRSSQVGTLYFILRGTKSLNITLKSTSFYPQVNAIEVYEILDIPEEASSTTVSALQVIQQSTGLDLGWQDDPCSPVSWDQIGCEGNIVTSLELPDIYLRSVSAAIGDLLDLKTLDLHNTSLAGEIQNLGSLTRLEKLNLSFNRLTSFGTDLENLVSLQILDLQNNTLQGIVPESLGELEDLHLLNLENNKLQGALPLSLNRESLEIRASGNLCLSFSTLRCNDFSANSSIEIPQVTIFPGKKHTGHNQLTIILGAIGGALLALVIFFSVLVFLYMRKKRTEITSTEMSDMRNWNAARVFTHKEIKAATNNFKEVIGRGSFGSVYFGRLSDGKMVAVKVRFDRSQLGADSFINEVNLLSRIRHQNLVCLEGFCHEAKQQILVYEYLPGGSLADHLYGPNSKKVSLSWVRRLKIAVDAAKGLDYLHNGNEPRIIHRDVKCSNILLDKEMNAKVCDFGLSKQVMQADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLSHSGTPDSFNLVLWAKPYLQAGAYEIVDESLEERFDVQSMRKAALVAIRSVERDASQRPTIAEVLAELKEAYSIQLSYLASHEM >ONH92907 pep chromosome:Prunus_persica_NCBIv2:G8:19078966:19083907:-1 gene:PRUPE_8G202400 transcript:ONH92907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHCFWVGFFLFSGFWAVAFCDQDGFLSLTCGGTTNYTDSSSISWIPDSAYISIGNTTTVVYIDGTSSSGAPVRYFPVSQDRKCYGLPVTNVSSLVLLRAQFVYKNYDGLGKPPSFSVSLGTAIVSTIDLRKNDPWTEEFLWPTGKDTVSFCLHAIADRGTPVISTIEVRPLPQGAYTSGMEDFPNKSLRKSYRINCGYTNGSLRYPLDPYDRIWDVDQSFAPFHVSAGFKTQLSFNFSALKEAPPAAILQTARVLARRDVLTYNFPLDTLADYYIVLYFAGILPVFPSFDVLINGDVVQSNYTVRSSQVGTLYFILRGTKSLNITLKSTSFYPQVNAIEVYEILDIPEEASSTTVSALQVIQQSTGLDLGWQDDPCSPVSWDQIGCEGNIVTSLELPDIYLRSVSAAIGDLLDLKTLDLHNTSLAGEIQNLGSLTRLEKLNLSFNRLTSFGTDLENLVSLQILDLQNNTLQGIVPESLGELEDLHLLNLENNKLQGALPLSLNRESLEIRASGNLCLSFSTLRCNDFSANSSIEIPQVTIFPGKKHTGHNQLTIILGAIGGALLALVIFFSVLVFLYMRKKRTEITSTERAVSDMRNWNAARVFTHKEIKAATNNFKEVIGRGSFGSVYFGRLSDGKMVAVKVRFDRSQLGADSFINEVNLLSRIRHQNLVCLEGFCHEAKQQILVYEYLPGGSLADHLYGPNSKKVSLSWVRRLKIAVDAAKGLDYLHNGNEPRIIHRDVKCSNILLDKEMNAKVCDFGLSKQVMQADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLSHSGTPDSFNLVLWAKPYLQAGAYEIVDESLEERFDVQSMRKAALVAIRSVERDASQRPTIAEVLAELKEAYSIQLSYLASHEM >ONH92906 pep chromosome:Prunus_persica_NCBIv2:G8:19079270:19083472:-1 gene:PRUPE_8G202400 transcript:ONH92906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHCFWVGFFLFSGFWAVAFCDQDGFLSLTCGGTTNYTDSSSISWIPDSAYISIGNTTTVVYIDGTSSSGAPVRYFPVSQDRKCYGLPVTNVSSLVLLRAQFVYKNYDGLGKPPSFSVSLGTAIVSTIDLRKNDPWTEEFLWPTGKDTVSFCLHAIADRGTPVISTIEVRPLPQGAYTSGMEDFPNKSLRKSYRINCGYTNGSLRYPLDPYDRIWDVDQSFAPFHVSAGFKTQLSFNFSALKEAPPAAILQTARVLARRDVLTYNFPLDTLADYYIVLYFAGILPVFPSFDVLINGDVVQSNYTVRSSQVGTLYFILRGTKSLNITLKSTSFYPQVNAIEVYEILDIPEEASSTTVSALQVIQQSTGLDLGWQDDPCSPVSWDQIGCEGNIVTSLELPDIYLRSVSAAIGDLLDLKTLDLHNTSLAGEIQNLGSLTRLEKLNLSFNRLTSFGTDLENLVSLQILDLQNNTLQGIVPESLGELEDLHLLNLENNKLQGALPLSLNRESLEIRASGNLCLSFSTLRCNDFSANSSIEIPQVTIFPGKKHTGHNQLTIILGAIGGALLALVIFFSVLVFLYMRKKRTEITSTERAVSDMRNWNAARVFTHKEIKAATNNFKEVIGRGSFGSVYFGRLSDGKMVAVKVRFDRSQLGADSFINEVNLLSRIRHQNLVCLEGFCHEAKQQILVYEYLPGGSLADHLYGPNSKKVSLSWVRRLKIAVDAAKGLDYLHNGNEPRIIHRDVKCSNILLDKEMNAKVCDFGLSKQVMQADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLSHSGTPDSFNLVLWAKPYLQAGAYEIVDESLEERFDVQSMRKAALVAIRSVERDASQRPTIAEVLAELKEAYSIQLSYLASHEM >ONH93882 pep chromosome:Prunus_persica_NCBIv2:G8:21955709:21957067:1 gene:PRUPE_8G258700 transcript:ONH93882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEAKQTTETVQVNEKNLKYMEFVQVAAIYVVVCFSSLYEYAKENSGPLKPGVQTVEGTVKTVIGPVYEKFHDLPFQLLKFVDRKVDESFSELDRRVPCLVKQASSQALSVAQKAPEQAGLVDTARNLTVSVYAKYEPVAEQYAVSAWRSLNGLPLFPQVAQIMVPTVVYWSEKYNEAVGYTADRGYSGAAFLPLIPTERIAKVFDEAENGPAVSTSGGAVAMEQ >ONH93881 pep chromosome:Prunus_persica_NCBIv2:G8:21955705:21957155:1 gene:PRUPE_8G258700 transcript:ONH93881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEAKQTTETVQVNEKNLKYMEFVQVAAIYVVVCFSSLYEYAKENSGPLKPGVQTVEGTVKTVIGPVYEKFHDLPFQLLKFVDRKVDESFSELDRRVPCLVKQASSQALSVAQKAPEVARTVASEVQQAGLVDTARNLTVSVYAKYEPVAEQYAVSAWRSLNGLPLFPQVAQIMVPTVVYWSEKYNEAVGYTADRGYSGAAFLPLIPTERIAKVFDEAENGPAVSTSGGAVAMEQ >ONH91851 pep chromosome:Prunus_persica_NCBIv2:G8:15828331:15830421:-1 gene:PRUPE_8G139500 transcript:ONH91851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSLVAEAVWKAIESTGSVTDDQLSILHFLFGKNLERATRIVDQRGVKRVLGEPSGRSIFQVVGESRRKEEYFCFAEHYCACYSFFYDIVNRGEQLCCKHQLAARLASSLGACVEVKVSDEQLALLLSKL >ONH91850 pep chromosome:Prunus_persica_NCBIv2:G8:15828331:15830421:-1 gene:PRUPE_8G139500 transcript:ONH91850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSLVAEAVWKAIESTGSVTDDQLSILHFLFGKNLERATRIVDQRGVKRVLGEPSGRSIFQCKHQLAARLASSLGACVEVKVSDEQLALLLSKL >ONH91849 pep chromosome:Prunus_persica_NCBIv2:G8:15829027:15830151:-1 gene:PRUPE_8G139500 transcript:ONH91849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSLVAEAVWKAIESTGSVTDDQLSILHFLFGKNLERATRIVDQRGVKRVLGEPSGRSIFQCKHQLAARLASSLGACVEVKVSDEQLALLLSKL >ONH91852 pep chromosome:Prunus_persica_NCBIv2:G8:15829027:15830151:-1 gene:PRUPE_8G139500 transcript:ONH91852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSLVAEAVWKAIESTGSVTDDQLSILHFLFGKNLERATRIVDQRGVKRVLGEPSGRSIFQVVGESRRKEEYFCFAEHYCACYSFFYDIVNRGEQLCCKHQLAARLASSLGACVEVKVSDEQLALLLSKL >ONH91175 pep chromosome:Prunus_persica_NCBIv2:G8:12898950:12903539:-1 gene:PRUPE_8G096900 transcript:ONH91175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILARKSLQALRARQLAVPGQALQGSYYYGPRSSSHYFSTIKEDEEREQLAKEISKDWSSVFERSINTLFLTEMVRGLMLTLKYFFEKKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFSTETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >ONH91174 pep chromosome:Prunus_persica_NCBIv2:G8:12899113:12903415:-1 gene:PRUPE_8G096900 transcript:ONH91174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILARKSLQALRARQLAVPGQALQGSYYYGPRSSSHYFSTIKEDEEREQLAKEISKDWSSVFERSINTLFLTEMVRGLMLTLKYFFEKKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFSTETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >ONH91176 pep chromosome:Prunus_persica_NCBIv2:G8:12905241:12907900:1 gene:PRUPE_8G097000 transcript:ONH91176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTNSFCWGSSALLFLCLVGFFSTPAKAALKTYQFDVQVKNVSRLCHSKPIVTVNGMFPGPTVYAREGDTLLVNVTNHAQYNMSIHWHGLKQYRNGWADGPAYITQCPIKTGHSYTYNITITGQRGTLWWHAHIFWLRATVYGAIVILPKQGTGFPFLQPYKEANIVLGEWWNNDVEEVVKQGNKLGLPPNMSDAHTINGKPGPLFPCSEKHTYALEVEQGKTYLLRIINAALNDELFFAIAGHNLTVVEIDAVYTKPFTSQAILIAPGQTTNVLVQANQVPGRYFMAARPFMDAPVSIDNKTATGILQYKGIPNTVQPVLPQLPALNNTAFALSFNAKLRSLNTAQFPASVPLKVDRHLFYTIGLGINQCTTCLNGTQLTASLNNITFVMPQIGLLQAHYFNTKGVFTTDFPDRPPTPFNYTGSPLTANLGTKLGTRLSKLAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGVGNFDPKKDPAKYNLVDPPERNTIGVPTGGWVALRFRADNPGVWFMHCHLELHTSWGLKTAFVVENGKDSDHSVLPPPTDLPPC >ONH93817 pep chromosome:Prunus_persica_NCBIv2:G8:21753951:21754722:1 gene:PRUPE_8G254600 transcript:ONH93817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTITSMVAERPVVIFSRTSSCMSHTIKSLIRSYGANPTVYELDEVANGQAIERALVQHFQCQPSVPAVFIGQQFIGGADQVMSLQVQNQLVPRLIRANAIWVWNRT >ONH90632 pep chromosome:Prunus_persica_NCBIv2:G8:9695654:9701087:-1 gene:PRUPE_8G065300 transcript:ONH90632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINVSCPSTTPLSRPQACKNFKLFNGLHTLNLSLSNGNTSSIASIQMGSKFSFSNKGVSRIRATMVSEEGDLVYTNGNGAVTNSTLIDDQSVGIEIQPDAVAFGTLSADTVPTTSGFPVDNNEFDLDCPTKGFASIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHSTGIVCVSMDGKDLERLQLPLMVTQKDNDEKLRTAFTVTVDAKNGTTTGVSASDRATTVLALASRDSKPDDFNRPGHIFPLKYREGGVLKRAGHTEASVDLTVLAGLDPVAVLCEVVDDDGSMARLPKLRQFAQRENLKIVSIADLIRYRRKRDKLVDRSGAARIPTMWGPFTALCYRSILDGIEHIAMVKGDIGDGQDVLVRVHSECLTGDIFGSARCDCGKQLALAMRQIEANGKGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEDLGLPVDSREYGIGAQILRDLGVRTMKLMTNNPSKYVGLKGYGLTVSGRIPLVTPITKENKRYLETKRAKMGHIYGSEFSGPLSSLIIGNGKQNVDSTTDVISSS >ONH92273 pep chromosome:Prunus_persica_NCBIv2:G8:17249059:17255174:1 gene:PRUPE_8G166300 transcript:ONH92273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYTPLLNGVDHPATICAKPPRIASLDVFRGLCVFLMMLVDYGGSIFPIIAHSPWNGLHLADFVMPFFLFIAGVSLALVYKKVTNRAEATWKAVFKALKLFLLGVLLQGGYFHGVTSLTFGVDIERIRWFGILQRIALGYIVAALCEIWLSRQTWDEVGFFKSYYWHWCVIFSLSAIYAGLLYGLYVPDWEFKALTPTSMRPSSDSFVYLVKCSVRGDLGPACNSAGMIDRFILGVDHLYLKPVYRNLKECNLSADGEVPESSPSWCHAPFDPEGILSSLTAAVTCIIGLQYGHILAHIEAFL >ONH92274 pep chromosome:Prunus_persica_NCBIv2:G8:17249720:17254558:1 gene:PRUPE_8G166300 transcript:ONH92274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVDYGGSIFPIIAHSPWNGLHLADFVMPFFLFIAGVSLALVYKKVTNRAEATWKAVFKALKLFLLGVLLQGGYFHGVTSLTFGVDIERIRWFGILQRIALGYIVAALCEIWLSRQTWDEVGFFKSYYWHWCVIFSLSAIYAGLLYGLYVPDWEFKALTPTSMRPSSDSFVYLVKCSVRGDLGPACNSAGMIDRFILGVDHLYLKPVYRNLKECNLSADGEVPESSPSWCHAPFDPEGILSSLTAAVTCIIGLQYGHILAHIEDHKGRLNAWSLFSVSIFVLGSFLAFIGIPVNKSLYTISYMLITSASAGITFCALYLLIDVYGYRCITSVLEWMGIHSLSIFVLVTSNLAIIAIQGLYWSDPENNIVHWVITRFLHK >ONH92272 pep chromosome:Prunus_persica_NCBIv2:G8:17249059:17255174:1 gene:PRUPE_8G166300 transcript:ONH92272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYTPLLNGVDHPATICAKPPRIASLDVFRGLCVFLMMLVDYGGSIFPIIAHSPWNGLHLADFVMPFFLFIAGVSLALVYKKVTNRAEATWKAVFKALKLFLLGVLLQGGYFHGVTSLTFGVDIERIRWFGILQRIALGYIVAALCEIWLSRQTWDEVGFFKSYYWHWCVIFSLSAIYAGLLYGLYVPDWEFKALTPTSMRPSSDSFVYLVKCSVRGDLGPACNSAGMIDRFILGVDHLYLKPVYRNLKECNLSADGEVPESSPSWCHAPFDPEGILSSLTAAVTCIIGLQYGHILAHIEDHKGRLNAWSLFSVSIFVLGSFLAFIGIPVNKSLYTISYMLITSASAGITFCALYLLIDVYGYRCITSVLEWMGIHSLSIFVLVTSNLAIIAIQGLYWSDPENNIVHWVITRFLHK >ONH92442 pep chromosome:Prunus_persica_NCBIv2:G8:17815630:17816484:1 gene:PRUPE_8G175900 transcript:ONH92442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHTRSNSFPSRPHPIVQEVDECLCRLRSSEATSTSSSSISHKLSGLQDLHDCVDRLLQLPLTQQALAQEQNEKWANELLDSSLRLLDVCSSAKDAILQTKECVQDLQSIIRRTRGGESAALTSEVRKYLTSRKTVKKAIQKAMKNLKGSNFSSLNKDNESIDIVNKLREVEAVTLAVFESLLSFICGPKSQPSSWSLVSKMMPSKKVACEEETEANEFAQVDAALNSLIGHKTSKSQNKIVDNAQNQLEQLESCFQGQEEGVECVFRQIIKTRVSLLNILNH >ONH93078 pep chromosome:Prunus_persica_NCBIv2:G8:19562261:19563592:-1 gene:PRUPE_8G211600 transcript:ONH93078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVYLASNILLFPDEILHEILLRSPGKSVVRCSAVCKSWNSLVNSFIRHPHTRFPSNHRHNDDVQLLFLQVYEPYKIHVKRRVHYVLYLDDSARDEHTKLTSPFVAYNNTRTCPEKKKVLDGDVDVVGTCNGLVCMVPHDDDDGGIDFAAIIWNPYIRKLVVLPKTGLAVAKNIKGIRYAFGYDSCTNDYKVLRCVNYKSLHNGDKTLKFRNYVSFRKPPTFHVEIWSLATGSWKSLIAADDDDIIPVNFYPELFTRSRVVCVNGALHWLQTRRHLNGVSELSEYLVAWFDMAKEIFGETMVPEALRKKLHFQSGREWFISRYRETIALVKIRNYRFPRGDCVQIWVMEEYGVAASWAKLFTVQLQNVFVLAPLGLKNSGVLGFRKNGEVVLRDVGDGVRLLDPKSEQVSDFLIDGSCCCLNFVDSFVGSFALYCQPNAISY >ONH90048 pep chromosome:Prunus_persica_NCBIv2:G8:3041763:3048005:-1 gene:PRUPE_8G032400 transcript:ONH90048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90051 pep chromosome:Prunus_persica_NCBIv2:G8:3041585:3048005:-1 gene:PRUPE_8G032400 transcript:ONH90051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90058 pep chromosome:Prunus_persica_NCBIv2:G8:3041131:3047980:-1 gene:PRUPE_8G032400 transcript:ONH90058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKDLPNLKKMKLFGSKNLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIANLKCLKSLDLGYCSKLKKIPEFSRQMKNLSTLNLSGMSIEKLSSSIGCLVGLTDLSLQNCKNLAGLPSEICNLKSLTELEVSGCSKIDKFPENMGEMECLHMLHLNGTAIRQLPRCIVGLKKLRDLSLDGRSGSQPNKSRFWWGLPRLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPGDIGCLSSLEKLSLSGNNFVSLPASIGCLSKLKLFWVNGCQSLEQLPDLSKLTSLVDINIANCTSLKMLPHLSSNCSLNNRIHFNCANCFVLIDNEGCDSIILKMLQRYLQPRVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90062 pep chromosome:Prunus_persica_NCBIv2:G8:3041805:3048002:-1 gene:PRUPE_8G032400 transcript:ONH90062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKDLPNLKKMKLFGSKNLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIANLKCLKSLDLGYCSKLKKIPEFSRQMKNLSTLNLSGMSIEKLSSSIGCLVGLTDLSLQNCKNLAGLPSEICNLKSLTELEVSGCSKIDKFPENMGEMECLHMLHLNGTAIRQLPRCIVGLKKLRDLSLDGRSGSQPNKSRFWWGLPRLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPGDIGCLSSLEKLSLSGNNFVSLPASIGCLSKLKLFWVNGCQSLEQLPDLSKLTSLVDINIANCTSLKMLPHLSSNCSLNNRIHFNCANCFVLIDNEGCDSIILKMLQRYLQPRVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90061 pep chromosome:Prunus_persica_NCBIv2:G8:3041269:3047980:-1 gene:PRUPE_8G032400 transcript:ONH90061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKDLPNLKKMKLFGSKNLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIANLKCLKSLDLGYCSKLKKIPEFSRQMKNLSTLNLSGMSIEKLSSSIGCLVGLTDLSLQNCKNLAGLPSEICNLKSLTELEVSGCSKIDKFPENMGEMECLHMLHLNGTAIRQLPRCIVGLKKLRDLSLDGRSGSQPNKSRFWWGLPRLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPGDIGCLSSLEKLSLSGNNFVSLPASIGCLSKLKLFWVNGCQSLEQLPDLSKLTSLVDINIANCTSLKMLPHLSSNCSLNNRIHFNCANCFVLIDNEGCDSIILKMLQRYLQPRVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90054 pep chromosome:Prunus_persica_NCBIv2:G8:3041823:3047970:-1 gene:PRUPE_8G032400 transcript:ONH90054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKDLPNLKKMKLFGSKNLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIANLKCLKSLDLGYCSKLKKIPEFSRQMKNLSTLNLSGMSIEKLSSSIGCLVGLTDLSLQNCKNLAGLPSEICNLKSLTELEVSGCSKIDKFPENMGEMECLHMLHLNGTAIRQLPRCIVGLKKLRDLSLDGRSGSQPNKSRFWWGLPRLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPGDIGCLSSLEKLSLSGNNFVSLPASIGCLSKLKLFWVNGCQSLEQLPDLSKLTSLVDINIANCTSLKMLPHLSSNCSLNNRIHFNCANCFVLIDNEGCDSIILKMLQRYLQPRVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90059 pep chromosome:Prunus_persica_NCBIv2:G8:3041339:3048025:-1 gene:PRUPE_8G032400 transcript:ONH90059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKDLPNLKKMKLFGSKNLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIANLKCLKSLDLGYCSKLKKIPEFSRQMKNLSTLNLSGMSIEKLSSSIGCLVGLTDLSLQNCKNLAGLPSEICNLKSLTELEVSGCSKIDKFPENMGEMECLHMLHLNGTAIRQLPRCIVGLKKLRDLSLDGRSGSQPNKSRFWWGLPRLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPGDIGCLSSLEKLSLSGNNFVSLPASIGCLSKLKLFWVNGCQSLEQLPDLSKLTSLVDINIANCTSLKMLPHLSSNCSLNNRIHFNCANCFVLIDNEGCDSIILKMLQRYLQPRVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90052 pep chromosome:Prunus_persica_NCBIv2:G8:3041369:3047982:-1 gene:PRUPE_8G032400 transcript:ONH90052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90055 pep chromosome:Prunus_persica_NCBIv2:G8:3041131:3048024:-1 gene:PRUPE_8G032400 transcript:ONH90055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKDLPNLKKMKLFGSKNLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIANLKCLKSLDLGYCSKLKKIPEFSRQMKNLSTLNLSGMSIEKLSSSIGCLVGLTDLSLQNCKNLAGLPSEICNLKSLTELEVSGCSKIDKFPENMGEMECLHMLHLNGTAIRQLPRCIVGLKKLRDLSLDGRSGSQPNKSRFWWGLPRLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPGDIGCLSSLEKLSLSGNNFVSLPASIGCLSKLKLFWVNGCQSLEQLPDLSKLTSLVDINIANCTSLKMLPHLSSNCSLNNRIHFNCANCFVLIDNEGCDSIILKMLQRYLQPRVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90050 pep chromosome:Prunus_persica_NCBIv2:G8:3041551:3048025:-1 gene:PRUPE_8G032400 transcript:ONH90050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90057 pep chromosome:Prunus_persica_NCBIv2:G8:3043427:3047438:-1 gene:PRUPE_8G032400 transcript:ONH90057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKDLPNLKKMKLFGSKNLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIANLKCLKSLDLGYCSKLKKIPEFSRQMKNLSTLNLSGMSIEKLSSSIGCLVGLTDLSLQNCKNLAGLPSEICNLKSLTELEVSGCSKIDKFPENMGEMECLHMLHLNGTAIRQLPRCIVGLKKLRDLSLDGRSGSQPNKSRFWWGLPRLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPGDIGCLSSLEKLSLSGNNFVSLPASIGCLSKLKLFWVNGCQSLEQLPDLSKLTSLVDINIANCTSLKMLPHLSSNCSLNNRIHFNCANCFVLIDNEGCDSIILKMLQRYLQPRVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90049 pep chromosome:Prunus_persica_NCBIv2:G8:3041585:3047982:-1 gene:PRUPE_8G032400 transcript:ONH90049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90056 pep chromosome:Prunus_persica_NCBIv2:G8:3041712:3048005:-1 gene:PRUPE_8G032400 transcript:ONH90056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKDLPNLKKMKLFGSKNLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIANLKCLKSLDLGYCSKLKKIPEFSRQMKNLSTLNLSGMSIEKLSSSIGCLVGLTDLSLQNCKNLAGLPSEICNLKSLTELEVSGCSKIDKFPENMGEMECLHMLHLNGTAIRQLPRCIVGLKKLRDLSLDGRSGSQPNKSRFWWGLPRLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPGDIGCLSSLEKLSLSGNNFVSLPASIGCLSKLKLFWVNGCQSLEQLPDLSKLTSLVDINIANCTSLKMLPHLSSNCSLNNRIHFNCANCFVLIDNEGCDSIILKMLQRYLQPRVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90060 pep chromosome:Prunus_persica_NCBIv2:G8:3041823:3048003:-1 gene:PRUPE_8G032400 transcript:ONH90060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKDLPNLKKMKLFGSKNLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIANLKCLKSLDLGYCSKLKKIPEFSRQMKNLSTLNLSGMSIEKLSSSIGCLVGLTDLSLQNCKNLAGLPSEICNLKSLTELEVSGCSKIDKFPENMGEMECLHMLHLNGTAIRQLPRCIVGLKKLRDLSLDGRSGSQPNKSRFWWGLPRLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPGDIGCLSSLEKLSLSGNNFVSLPASIGCLSKLKLFWVNGCQSLEQLPDLSKLTSLVDINIANCTSLKMLPHLSSNCSLNNRIHFNCANCFVLIDNEGCDSIILKMLQRYLQPRVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90047 pep chromosome:Prunus_persica_NCBIv2:G8:3041369:3048024:-1 gene:PRUPE_8G032400 transcript:ONH90047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH90053 pep chromosome:Prunus_persica_NCBIv2:G8:3041279:3048005:-1 gene:PRUPE_8G032400 transcript:ONH90053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFPSPDQSPPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESMLAIVVLSPKYASSTWCLDELTEILQCMKSKGTILPVFYNVDPSHVRKQSGTFADAFAEHEKRFRDDIDKVKSWRDALTEVANLSGIDSKNECERKLIEYIVEWVWEKAHHRFKLLDSTELVGMKFIREQVDFLLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVFQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECSEEPGRRSRLCNRDDISHVFINNIATYKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPRILPNSLRIIKWSRYPSKFLPSSFQPNFLIALKMRESKLVRLWDGRKDLPNLKKMKLFGSKNLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIANLKCLKSLDLGYCSKLKKIPEFSRQMKNLSTLNLSGMSIEKLSSSIGCLVGLTDLSLQNCKNLAGLPSEICNLKSLTELEVSGCSKIDKFPENMGEMECLHMLHLNGTAIRQLPRCIVGLKKLRDLSLDGRSGSQPNKSRFWWGLPRLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPGDIGCLSSLEKLSLSGNNFVSLPASIGCLSKLKLFWVNGCQSLEQLPDLSKLTSLVDINIANCTSLKMLPHLSSNCSLNNRIHFNCANCFVLIDNEGCDSIILKMLQRYLQPRVLRFMRPLYGFTILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIAFCAVFEVQADLSDVHYFQINCSPQGMRTHGVFPKEFTMGDVVSDHLWVLYASRIQSEKICGQIKFLFTTYYSHQGIMLEHKKSCVKKCGFCLVQEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQHHDDEEASPSGSGSSHQKSLFCNTYALSKEADQDELNDVVDEARPRKRKKIDVIGTNL >ONH91983 pep chromosome:Prunus_persica_NCBIv2:G8:16279904:16284203:-1 gene:PRUPE_8G148000 transcript:ONH91983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKGYVLGNPWTEPTYDVNSRIQYAHRMALISNELYESTKTNCKGEYVQVDPSNAPCVKNLQEVNECIQKINLAQILEPKCSTLSPKPKRFKWDQNFAEEDLLDVLHYSTKSWCRSYNYIFCFIWANDKTVQNALNVREGTIKGWERCNQSLFSNYVYDVRTSIDYHRNLTKKNLRALVYSGDHDMIIPYVGTREWIKSLNLSVDYQWRPWFVNGQVAGYTNAYTLKAYSLTFVTVKGGGHSAPEYKPMECLAMVDRWFAYYYV >ONH91982 pep chromosome:Prunus_persica_NCBIv2:G8:16279904:16284215:-1 gene:PRUPE_8G148000 transcript:ONH91982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCYSSNLTMFPQALLLLLLLHCCHIVASSSIINTLPGFSGDLPFKLETGYVGVGNLDDIQLFYFFIESERSPKEDPLLLWLSGGPGCSSFTAMVYDSIGPLIFNYANSSGNEPTFLLNPYAWTKIANVIFLDQPVGTGYSYAKSWEEYRTGDTLSCTQTYEFLRKWLKDHPQFLKNPLYIAGDSYSGITVPIVAQQVSNGNEAGHEPPMNLKGYVLGNPWTEPTYDVNSRIQYAHRMALISNELYESTKTNCKGEYVQVDPSNAPCVKNLQEVNECIQKINLAQILEPKCSTLSPKPKRFKWDQNFAEEDLLDVLHYSTKSWCRSYNYIFCFIWANDKTVQNALNVREGTIKGWERCNQSLFSNYVYDVRTSIDYHRNLTKKNLRALVYSGDHDMIIPYVGTREWIKSLNLSVDYQWRPWFVNGQVAGYTNAYTLKAYSLTFVTVKGGGHSAPEYKPMECLAMVDRWFAYYYV >ONH91984 pep chromosome:Prunus_persica_NCBIv2:G8:16280395:16284215:-1 gene:PRUPE_8G148000 transcript:ONH91984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCYSSNLTMFPQALLLLLLLHCCHIVASSSIINTLPGFSGDLPFKLETGYVGVGNLDDIQLFYFFIESERSPKEDPLLLWLSGGPGCSSFTAMVYDSIGPLIFNYANSSGNEPTFLLNPYAWTKIANVIFLDQPVGTGYSYAKSWEEYRTGDTLSCTQTYEFLRKWLKDHPQFLKNPLYIAGDSYSGITVPIVAQQVSNGNEAGHEPPMNLKGYVLGNPWTEPTYDVNSRIQYAHRMALISNELYESTKTNCKGEYVQVDPSNAPCVKNLQEVNECIQKINLAQILEPKCSTLSPKPKRFKWDQNFAEEDLLDVLHYSTKSWCRSYNYIFCFIWANDKTVQNALNVREGTIKGWERCNQSLFSNYVYDVRTSIDYHRNLTKKNLRALVYSGDHDMIIPYVGTREWIKSLNLSVDYQWRPWFVNGQVAGLVACYYQFS >ONH91644 pep chromosome:Prunus_persica_NCBIv2:G8:15128639:15130546:1 gene:PRUPE_8G127200 transcript:ONH91644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYFSLLFRLYGYLLFQLNLPQNRQRSRLPLDTSNGCLILKGRLLSTKRKTAEEWRSVFQNITWRLIDEDRVSAVLALSYNDLSFYLKSCFLHLGLFPEDSSISKTKMIHLWVVERFLPQQGEETAEGVAENCLNELINRCMVQVGTLTSLGRVKTIRMHDLLRDLSISKGKEESFLEINSGQEIESPTSQHTKCRRLAIHGEHDNPYVFLNPYAPYLRSLQFFNIGYSKFGFIFKDFKLLMVLDGVPMPSQALSAVGNLIQLRYLGVLIRTKKFKKVTLPESIGKLKNLHTLKVDYHVSFSVRFVCWCCIPDVIWKLKNLRHLLLVHGAGVMNFRLNNTLNNLRTLTNVGAGRWIEDGRLASMTGLRRLKIVLLEKGHLNSVLSSIERLHCLESLSLEFLVNQVFPTPISLSHFEHLHKLHLDGVIKRLPEPHEFPPNLIKFSLLNSDLEEDSIVKLQWLPNLKMLLLGYNSYKWTKLVCYSQGFPQLHILHLLSLEYLEELIVEEGAMMKLKNLKISRCPSLGKIPERFKLLTTYS >ONH91826 pep chromosome:Prunus_persica_NCBIv2:G8:15725868:15733242:-1 gene:PRUPE_8G137800 transcript:ONH91826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAQLDIPPECVSSQTAKCQEESTSGQIHEIGSESQCSEKTKENIGCKVVQNELLEICKASNNPDEQSQSFSENLTENSHVENLGLPAEDVDKSSQNGAQNVTKNSLTEQLEMPREDPDVNNQSDKTSCSGQMSLEQTNDSGFGTSSSEPAEERHPSGSFCVQNELLQTIMPLPICGGSEQVQPISENVNMASLNDQAGLPPEDVSKTCQTQKISCPHQITSHQINEFGSGSVPSEPAKQKDQLDSVPAQNDEAKTSKAVSSSTVFEQPGPSIEAMTEDSPIGHSEPPLEDLSKSLSDKEMEPLPEDVTQNSSLQQLETASKNALKISSCLGPKDKKNPKSRKRKYMSRSFVRSDRVLRSKTGEKEKPKDLKLSNNVATLESSNSIANVSNGEEKKRKKRKNRRDNRAIADEFSRIRTHLRYLLNRIGYEKSLIDAYSGEGWKGSSLEKLKPEKELQRATSEILRRKLKIRDLFQRLESLCAEGMFPESLFDSEGQIDSEDIFCGKCGSKDVSLDNDIILCDGACDRGFHQFCLEPPLLSEDIPPDDEGWLCPGCDCKVDCIDLLNDSQGTDLSVTDSWEKVFPEAAAAASAGENQDNHGLPSDDSDDNDYDPDGPETDNKVQGEESSSDESEYASASDGLETPKSNDEQYLGLPSEDSEDDDYNPYAPDVNEDVKQESSSSDFTSDSEDLGAALDDNIMSSEDVEGPKSTSLDDSKPHRGSGEQSSISGQKKHSLKDELISLLESGPGQGESAPLSGKRHIERLDYKRLHDEAYGNVPTDSSDDEDWNDIATQRKRKKGTGQVANRSPNGKTSNIKNGVITKDIKPDVDENENTPRRMPHRKSNVEDTSNLSNKSPKGSTKSGSTSGRAGSSRSTYSRLGEAATQRLCKSFKENHYPDRSMKESLARELGLMAKQVSKWFENARHCLKVGVDKSASENCAPPPQTNRRQLEQGDAIVGDSDHNGAQNKELHGTDDPMIGCCSRDVMDSELATLGSSRSKLSTPNNRKRKRRSDDPDPKTETPTPPAEPETNRKPSRVMTRRRKSVS >ONH91820 pep chromosome:Prunus_persica_NCBIv2:G8:15725868:15733526:-1 gene:PRUPE_8G137800 transcript:ONH91820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAQLDIPPECVSSQTAKCQEESTSGQIHEIGSESQCSEKTKENIGCKVVQNELLEICKASNNPDEQSQSFSENLTENSHVENLGLPAEDVDKSSQNGAQNVTKNSLTEQLEMPREDPDVNNQSDKTSCSGQMSLEQTNDSGFGTSSSEPAEERHPSGSFCVQNELLQTIMPLPICGGSEQVQPISENVNMASLNDQAGLPPEDVSKTCQTQKISCPHQITSHQINEFGSGSVPSEPAKQKDQLDSVPAQNDEAKTSKAVSSSTVFEQPGPSIEAMTEDSPIGHSEPPLEDLSKSLSDKEMEPLPEDVTQNSSLQQLETASKNALKISSCLGPKDKKNPKSRKRKYMSRSFVRSDRVLRSKTGEKEKPKDLKLSNNVATLESSNSIANVSNGEEKKRKKRKNRRDNRAIADEFSRIRTHLRYLLNRIGYEKSLIDAYSGEGWKGSSLEKLKPEKELQRATSEILRRKLKIRDLFQRLESLCAEGMFPESLFDSEGQIDSEDIFCGKCGSKDVSLDNDIILCDGACDRGFHQFCLEPPLLSEDIPPDDEGWLCPGCDCKVDCIDLLNDSQGTDLSVTDSWEKVFPEAAAAASAGENQDNHGLPSDDSDDNDYDPDGPETDNKVQGEESSSDESEYASASDGLETPKSNDEQYLGLPSEDSEDDDYNPYAPDVNEDVKQESSSSDFTSDSEDLGAALDDNIMSSEDVEGPKSTSLDDSKPHRGSGEQSSISGQKKHSLKDELISLLESGPGQGESAPLSGKRHIERLDYKRLHDAYGNVPTDSSDDEDWNDIATQRKRKKGTGQVANRSPNGKTSNIKNGVITKDIKPDVDENENTPRRMPHRKSNVEDTSNLSNKSPKGSTKSGSTSGRAGSSRSTYSRLGEAATQRLCKSFKENHYPDRSMKESLARELGLMAKQVSKWFENARHCLKVGVDKSASENCAPPPQTNRRQLEQGDAIVGDSDHNGAQNKELHGTDDPMIGCCSRDVMDSELATLGSSRSKLSTPNNRKRKRRSDDPDPKTETPTPPAEPETNRKPSRVMTRRRKSVS >ONH91822 pep chromosome:Prunus_persica_NCBIv2:G8:15725808:15733536:-1 gene:PRUPE_8G137800 transcript:ONH91822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAQLDIPPECVSSQTAKCQEESTSGQIHEIGSESQCSEKTKENIGCKVVQNELLEICKASNNPDEQSQSFSENLTENSHVENLGLPAEDVDKSSQNGAQNVTKNSLTEQLEMPREDPDVNNQSDKTSCSGQMSLEQTNDSGFGTSSSEPAEERHPSGSFCVQNELLQTIMPLPICGGSEQVQPISENVNMASLNDQAGLPPEDVSKTCQTQKISCPHQITSHQINEFGSGSVPSEPAKQKDQLDSVPAQNDEAKTSKAVSSSTVFEQPGPSIEAMTEDSPIGHSEPPLEDLSKSLSDKEMEPLPEDVTQNSSLQQLETASKNALKISSCLGPKDKKNPKSRKRKYMSRSFVRSDRVLRSKTGEKEKPKDLKLSNNVATLESSNSIANVSNGEEKKRKKRKNRRDNRAIADEFSRIRTHLRYLLNRIGYEKSLIDAYSGEGWKGSSLEKLKPEKELQRATSEILRRKLKIRDLFQRLESLCAEGMFPESLFDSEGQIDSEDIFCGKCGSKDVSLDNDIILCDGACDRGFHQFCLEPPLLSEDIPPDDEGWLCPGCDCKVDCIDLLNDSQGTDLSVTDSWEKVFPEAAAAASAGENQDNHGLPSDDSDDNDYDPDGPETDNKVQGEESSSDESEYASASDGLETPKSNDEQYLGLPSEDSEDDDYNPYAPDVNEDVKQESSSSDFTSDSEDLGAALDDNIMSSEDVEGPKSTSLDDSKPHRGSGEQSSISGQKKHSLKDELISLLESGPGQGESAPLSGKRHIERLDYKRLHDEAYGNVPTDSSDDEDWNDIATQRKRKKGTGQVANRSPNGKTSNIKNGVITKDIKPDVDENENTPRRMPHRKSNVEDTSNLSNKSPKGSTKSGSTSGRAGSSRSTYSRLGEAATQRLCKSFKENHYPDRSMKESLARELGLMAKQVSKWFENARHCLKVGVDKSASENCAPPPQTNRRQLEQGDAIVGDSDHNGAQNKELHGTDDPMIGCCSRDVMDSELATLGSSRSKLSTPNNRKRKRRSDDPDPKTETPTPPAEPETNRKPSRVMTRRRKSVS >ONH91824 pep chromosome:Prunus_persica_NCBIv2:G8:15725808:15733526:-1 gene:PRUPE_8G137800 transcript:ONH91824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAQLDIPPECVSSQTAKCQEESTSGQIHEIGSESQCSEKTKENIGCKVVQNELLEICKASNNPDEQSQSFSENLTENSHVENLGLPAEDVDKSSQNGAQNVTKNSLTEQLEMPREDPDVNNQSDKTSCSGQMSLEQTNDSGFGTSSSEPAEERHPSGSFCVQNELLQTIMPLPICGGSEQVQPISENVNMASLNDQAGLPPEDVSKTCQTQKISCPHQITSHQINEFGSGSVPSEPAKQKDQLDSVPAQNDEAKTSKAVSSSTVFEQPGPSIEAMTEDSPIGHSEPPLEDLSKSLSDKEMEPLPEDVTQNSSLQQLETASKNALKISSCLGPKDKKNPKSRKRKYMSRSFVRSDRVLRSKTGEKEKPKDLKLSNNVATLESSNSIANVSNGEEKKRKKRKNRRDNRAIADEFSRIRTHLRYLLNRIGYEKSLIDAYSGEGWKGSSLEKLKPEKELQRATSEILRRKLKIRDLFQRLESLCAEGMFPESLFDSEGQIDSEDIFCGKCGSKDVSLDNDIILCDGACDRGFHQFCLEPPLLSEDIPPDDEGWLCPGCDCKVDCIDLLNDSQGTDLSVTDSWEKVFPEAAAAASAGENQDNHGLPSDDSDDNDYDPDGPETDNKVQGEESSSDESEYASASDGLETPKSNDEQYLGLPSEDSEDDDYNPYAPDVNEDVKQESSSSDFTSDSEDLGAALDDNIMSSEDVEGPKSTSLDDSKPHRGSGEQSSISGQKKHSLKDELISLLESGPGQGESAPLSGKRHIERLDYKRLHDEAYGNVPTDSSDDEDWNDIATQRKRKKGTGQVANRSPNGKTSNIKNGVITKDIKPDVDENENTPRRMPHRKSNVEDTSNLSNKSPKGSTKSGSTSGRAGSSRSTYSRLGEAATQRLCKSFKENHYPDRSMKESLARELGLMAKQVSKWFENARHCLKVGVDKSASENCAPPPQTNRRQLEQGDAIVGDSDHNGAQNKELHGTDDPMIGCCSRDVMDSELATLGSSRSKLSTPNNRKRKRRSDDPDPKTETPTPPAEPETNRKPSRVMTRRRKSVS >ONH91819 pep chromosome:Prunus_persica_NCBIv2:G8:15725868:15733526:-1 gene:PRUPE_8G137800 transcript:ONH91819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAQLDIPPECVSSQTAKCQEESTSGQIHEIGSESQCSEKTKENIGCKVVQNELLEICKASNNPDEQSQSFSENLTENSHVENLGLPAEDVDKSSQNGAQNVTKNSLTEQLEMPREDPDVNNQSDKTSCSGQMSLEQTNDSGFGTSSSEPAEERHPSGSFCVQNELLQTIMPLPICGGSEQVQPISENVNMASLNDQAGLPPEDVSKTCQTQKISCPHQITSHQINEFGSGSVPSEPAKQKDQLDSVPAQNDEAKTSKAVSSSTVFEQPGPSIEAMTEDSPIGHSEPPLEDLSKSLSDKEMEPLPEDVTQNSSLQQLETASKNALKISSCLGPKDKKNPKSRKRKYMSRSFVRSDRVLRSKTGEKEKPKDLKLSNNVATLESSNSIANVSNGEEKKRKKRKNRRDNRAIADEFSRIRTHLRYLLNRIGYEKSLIDAYSGEGWKGSSLEKLKPEKELQRATSEILRRKLKIRDLFQRLESLCAEGMFPESLFDSEGQIDSEDIFCGKCGSKDVSLDNDIILCDGACDRGFHQFCLEPPLLSEDIPPDDEGWLCPGCDCKVDCIDLLNDSQGTDLSVTDSWEKVFPEAAAAASAGENQDNHGLPSDDSDDNDYDPDGPETDNKVQGEESSSDESEYASASDGLETPKSNDEQYLGLPSEDSEDDDYNPYAPDVNEDVKQESSSSDFTSDSEDLGAALDDNIMSSEDVEGPKSTSLDDSKPHRGSGEQSSISGQKKHSLKDELISLLESGPGQGESAPLSGKRHIERLDYKRLHDAYGNVPTDSSDDEDWNDIATQRKRKKGTGQVANRSPNGKTSNIKNGVITKDIKPDVDENENTPRRMPHRKSNVEDTSNLSNKSPKGSTKSGSTSGRAGSSRSTYSRLGEAATQRLCKSFKENHYPDRSMKESLARELGLMAKQVSKWFENARHCLKVGVDKSASENCAPPPQTNRRQLEQGDAIVGDSDHNGAQNKELHGTDDPMIGCCSRDVMDSELATLGSSRSKLSTPNNRKRKRRSDDPDPKTETPTPPAEPETNRKPSRVMTRRRKSVS >ONH91818 pep chromosome:Prunus_persica_NCBIv2:G8:15725868:15733526:-1 gene:PRUPE_8G137800 transcript:ONH91818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAQLDIPPECVSSQTAKCQEESTSGQIHEIGSESQCSEKTKENIGCKVVQNELLEICKASNNPDEQSQSFSENLTENSHVENLGLPAEDVDKSSQNGAQNVTKNSLTEQLEMPREDPDVNNQSDKTSCSGQMSLEQTNDSGFGTSSSEPAEERHPSGSFCVQNELLQTIMPLPICGGSEQVQPISENVNMASLNDQAGLPPEDVSKTCQTQKISCPHQITSHQINEFGSGSVPSEPAKQKDQLDSVPAQNDEAKTSKAVSSSTVFEQPGPSIEAMTEDSPIGHSEPPLEDLSKSLSDKEMEPLPEDVTQNSSLQQLETASKNALKISSCLGPKDKKNPKSRKRKYMSRSFVRSDRVLRSKTGEKEKPKDLKLSNNVATLESSNSIANVSNGEEKKRKKRKNRRDNRAIADEFSRIRTHLRYLLNRIGYEKSLIDAYSGEGWKGSSLEKLKPEKELQRATSEILRRKLKIRDLFQRLESLCAEGMFPESLFDSEGQIDSEDIFCGKCGSKDVSLDNDIILCDGACDRGFHQFCLEPPLLSEDIPPDDEGWLCPGCDCKVDCIDLLNDSQGTDLSVTDSWEVFPEAAAAASAGENQDNHGLPSDDSDDNDYDPDGPETDNKVQGEESSSDESEYASASDGLETPKSNDEQYLGLPSEDSEDDDYNPYAPDVNEDVKQESSSSDFTSDSEDLGAALDDNIMSSEDVEGPKSTSLDDSKPHRGSGEQSSISGQKKHSLKDELISLLESGPGQGESAPLSGKRHIERLDYKRLHDEAYGNVPTDSSDDEDWNDIATQRKRKKGTGQVANRSPNGKTSNIKNGVITKDIKPDVDENENTPRRMPHRKSNVEDTSNLSNKSPKGSTKSGSTSGRAGSSRSTYSRLGEAATQRLCKSFKENHYPDRSMKESLARELGLMAKQVSKWFENARHCLKVGVDKSASENCAPPPQTNRRQLEQGDAIVGDSDHNGAQNKELHGTDDPMIGCCSRDVMDSELATLGSSRSKLSTPNNRKRKRRSDDPDPKTETPTPPAEPETNRKPSRVMTRRRKSVS >ONH91825 pep chromosome:Prunus_persica_NCBIv2:G8:15726219:15731694:-1 gene:PRUPE_8G137800 transcript:ONH91825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAQLDIPPECVSSQTAKCQEESTSGQIHEIGSESQCSEKTKENIGCKVVQNELLEICKASNNPDEQSQSFSENLTENSHVENLGLPAEDVDKSSQNGAQNVTKNSLTEQLEMPREDPDVNNQSDKTSCSGQMSLEQTNDSGFGTSSSEPAEERHPSGSFCVQNELLQTIMPLPICGGSEQVQPISENVNMASLNDQAGLPPEDVSKTCQTQKISCPHQITSHQINEFGSGSVPSEPAKQKDQLDSVPAQNDEAKTSKAVSSSTVFEQPGPSIEAMTEDSPIGHSEPPLEDLSKSLSDKEMEPLPEDVTQNSSLQQLETASKNALKISSCLGPKDKKNPKSRKRKYMSRSFVRSDRVLRSKTGEKEKPKDLKLSNNVATLESSNSIANVSNGEEKKRKKRKNRRDNRAIADEFSRIRTHLRYLLNRIGYEKSLIDAYSGEGWKGSSLEKLKPEKELQRATSEILRRKLKIRDLFQRLESLCAEGMFPESLFDSEGQIDSEDIFCGKCGSKDVSLDNDIILCDGACDRGFHQFCLEPPLLSEDIPPDDEGWLCPGCDCKVDCIDLLNDSQGTDLSVTDSWEKVFPEAAAAASAGENQDNHGLPSDDSDDNDYDPDGPETDNKVQGEESSSDESEYASASDGLETPKSNDEQYLGLPSEDSEDDDYNPYAPDVNEDVKQESSSSDFTSDSEDLGAALDDNIMSSEDVEGPKSTSLDDSKPHRGSGEQSSISGQKKHSLKDELISLLESGPGQGESAPLSGKRHIERLDYKRLHDEAYGNVPTDSSDDEDWNDIATQRKRKKGTGQVANRSPNGKTSNIKNGVITKDIKPDVDENENTPRRMPHRKSNVEDTSNLSNKSPKGSTKSGSTSGRAGSSRSTYSRLGEAATQRLCKSFKENHYPDRSMKESLARELGLMAKQVSKWFENARHCLKVGVDKSASENCAPPPQTNRRQLEQGDAIVGDSDHNGAQNKELHGTDDPMIGCCSRDVMDSELATLGSSRSKLSTPNNRKRKRRSDDPDPKTETPTPPAEPETNRKPSRVMTRRRKSVS >ONH91821 pep chromosome:Prunus_persica_NCBIv2:G8:15726219:15731694:-1 gene:PRUPE_8G137800 transcript:ONH91821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAQLDIPPECVSSQTAKCQEESTSGQIHEIGSESQCSEKTKENIGCKVVQNELLEICKASNNPDEQSQSFSENLTENSHVENLGLPAEDVDKSSQNGAQNVTKNSLTEQLEMPREDPDVNNQSDKTSCSGQMSLEQTNDSGFGTSSSEPAEERHPSGSFCVQNELLQTIMPLPICGGSEQVQPISENVNMASLNDQAGLPPEDVSKTCQTQKISCPHQITSHQINEFGSGSVPSEPAKQKDQLDSVPAQNDEAKTSKAVSSSTVFEQPGPSIEAMTEDSPIGHSEPPLEDLSKSLSDKEMEPLPEDVTQNSSLQQLETASKNALKISSCLGPKDKKNPKSRKRKYMSRSFVRSDRVLRSKTGEKEKPKDLKLSNNVATLESSNSIANVSNGEEKKRKKRKNRRDNRAIADEFSRIRTHLRYLLNRIGYEKSLIDAYSGEGWKGSSLEKLKPEKELQRATSEILRRKLKIRDLFQRLESLCAEGMFPESLFDSEGQIDSEDIFCGKCGSKDVSLDNDIILCDGACDRGFHQFCLEPPLLSEDIPPDDEGWLCPGCDCKVDCIDLLNDSQGTDLSVTDSWEKVFPEAAAAASAGENQDNHGLPSDDSDDNDYDPDGPETDNKVQGEESSSDESEYASASDGLETPKSNDEQYLGLPSEDSEDDDYNPYAPDVNEDVKQESSSSDFTSDSEDLGAALDDNIMSSEDVEGPKSTSLDDSKPHRGSGEQSSISGQKKHSLKDELISLLESGPGQGESAPLSGKRHIERLDYKRLHDAYGNVPTDSSDDEDWNDIATQRKRKKGTGQVANRSPNGKTSNIKNGVITKDIKPDVDENENTPRRMPHRKSNVEDTSNLSNKSPKGSTKSGSTSGRAGSSRSTYSRLGEAATQRLCKSFKENHYPDRSMKESLARELGLMAKQVSKWFENARHCLKVGVDKSASENCAPPPQTNRRQLEQGDAIVGDSDHNGAQNKELHGTDDPMIGCCSRDVMDSELATLGSSRSKLSTPNNRKRKRRSDDPDPKTETPTPPAEPETNRKPSRVMTRRRKSVS >ONH91823 pep chromosome:Prunus_persica_NCBIv2:G8:15725868:15733250:-1 gene:PRUPE_8G137800 transcript:ONH91823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAQLDIPPECVSSQTAKCQEESTSGQIHEIGSESQCSEKTKENIGCKVVQNELLEICKASNNPDEQSQSFSENLTENSHVENLGLPAEDVDKSSQNGAQNVTKNSLTEQLEMPREDPDVNNQSDKTSCSGQMSLEQTNDSGFGTSSSEPAEERHPSGSFCVQNELLQTIMPLPICGGSEQVQPISENVNMASLNDQAGLPPEDVSKTCQTQKISCPHQITSHQINEFGSGSVPSEPAKQKDQLDSVPAQNDEAKTSKAVSSSTVFEQPGPSIEAMTEDSPIGHSEPPLEDLSKSLSDKEMEPLPEDVTQNSSLQQLETASKNALKISSCLGPKDKKNPKSRKRKYMSRSFVRSDRVLRSKTGEKEKPKDLKLSNNVATLESSNSIANVSNGEEKKRKKRKNRRDNRAIADEFSRIRTHLRYLLNRIGYEKSLIDAYSGEGWKGSSLEKLKPEKELQRATSEILRRKLKIRDLFQRLESLCAEGMFPESLFDSEGQIDSEDIFCGKCGSKDVSLDNDIILCDGACDRGFHQFCLEPPLLSEDIPPDDEGWLCPGCDCKVDCIDLLNDSQGTDLSVTDSWEKVFPEAAAAASAGENQDNHGLPSDDSDDNDYDPDGPETDNKVQGEESSSDESEYASASDGLETPKSNDEQYLGLPSEDSEDDDYNPYAPDVNEDVKQESSSSDFTSDSEDLGAALDDNIMSSEDVEGPKSTSLDDSKPHRGSGEQSSISGQKKHSLKDELISLLESGPGQGESAPLSGKRHIERLDYKRLHDEAYGNVPTDSSDDEDWNDIATQRKRKKGTGQVANRSPNGKTSNIKNGVITKDIKPDVDENENTPRRMPHRKSNVEDTSNLSNKSPKGSTKSGSTSGRAGSSRSTYSRLGEAATQRLCKSFKENHYPDRSMKESLARELGLMAKQVSKWFENARHCLKVGVDKSASENCAPPPQTNRRQLEQGDAIVGDSDHNGAQNKELHGTDDPMIGCCSRDVMDSELATLGSSRSKLSTPNNRKRKRRSDDPDPKTETPTPPAEPETNRKPSRVMTRRRKSVS >ONH90235 pep chromosome:Prunus_persica_NCBIv2:G8:4338572:4342907:-1 gene:PRUPE_8G041900 transcript:ONH90235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPMEEFPPQKTQKLQETSVSVRLELHLSVGALTMFLLKAWRQTAFGIYGYLNFTKSGFIEHSKQFKPEDTQTRIEGKNCIVTGANSGIGFATAEGLASRGANVYMVCRNKERGEAAQSKIQSNTGNQNVHLEVCDLSSVSDIKSFASQFSSKDVPVHILVNNAGLLEHNRITTSEGFELNFAVNVLGTYAMTELMLPLLEKAAPDARVITVSSGGMYTTPLTKDLQFSEENFNGVQQYSRNKRVQVALTEKWAEINKNKGIGFYSMHPGWAETPGVATSLPSFSKALSGKLRTNEEGADTIIWLALQPKEKVVSGSFYFDRAEAPKHLMFAATSGSHALIDSIFESLKSLSSISA >ONH90236 pep chromosome:Prunus_persica_NCBIv2:G8:4339739:4342535:-1 gene:PRUPE_8G041900 transcript:ONH90236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSFWDLWVSEFHQVWFHYIHCREHSKQFKPEDTQTRIEGKNCIVTGANSGIGFATAEGLASRGANVYMVCRNKERGEAAQSKIQSNTGNQNVHLEVCDLSSVSDIKSFASQFSSKDVPVHILVNNAGLLEHNRITTSEGFELNFAVNVLGTYAMTELMLPLLEKAAPDARVITVSSGGMYTTPLTKDLQFSEENFNGVQQYSRNKRVQVALTEKWAEINKNKGIGFYSMHPGWAETPGVATSLPSFSKALSGKLRTNEEGADTIIWLALQPKEKVVSGSFYFDRAEAPKHLMFAATSGSHALIDSIFESLKSLSSISA >ONH90234 pep chromosome:Prunus_persica_NCBIv2:G8:4338572:4342907:-1 gene:PRUPE_8G041900 transcript:ONH90234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPMEEFPPQKTQKLQETSVSVRLELHLSVGALTMFLLKAWRQTAFGIYGYLNFTKSGFIEHSKQFKPEDTQTRIEGKNCIVTGANSGIGFATAEGLASRGANVYMVCRNKERGEAAQSKIQSNTGNQNVHLEFSSKDVPVHILVNNAGLLEHNRITTSEGFELNFAVNVLGTYAMTELMLPLLEKAAPDARVITVSSGGMYTTPLTKDLQFSEENFNGVQQYSRNKRVQVALTEKWAEINKNKGIGFYSMHPGWAETPGVATSLPSFSKALSGKLRTNEEGADTIIWLALQPKEKVVSGSFYFDRAEAPKHLMFAATSGSHALIDSIFESLKSLSSISA >ONH90237 pep chromosome:Prunus_persica_NCBIv2:G8:4340937:4342792:-1 gene:PRUPE_8G041900 transcript:ONH90237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPMEEFPPQKTQKLQETSVSVRLELHLSVGALTMFLLKAWRQTAFGIYGYLNFTKSGFIEHSKQFKPEDTQTRIEGKNCIVTGANSGIGFATAEGLASRGANVYMVCRNKERGEAAQSKIQSNTGNQNVHLEVCDLSSVSDIKSFASQFSSKDVPVHILVNNAGLLEHNRITTSEGFELNFAVNVLGTYAMTELMLPLLEKAAPDARVITVSSGGMYTTPLTKDLQFSEENFNGVQQYSRNKRVQV >ONH92488 pep chromosome:Prunus_persica_NCBIv2:G8:17965367:17972556:-1 gene:PRUPE_8G178500 transcript:ONH92488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTTSLTKALFKNTNNPKLAWHLFKRILSSPTSSSSSDLCLRSLPIVTRILIDSKMHHEIDSLRQLLLVSQPSETLRPCLVSLVRFLAKSSLSDMAVSCFKDLRSRFPDEPPSVYLYNLLVESSLREKHVDFVLWLYKDMIVSGMKPETYTFNLLICSLCESDRLDDAREVFDKMREKGCQPNEYSVGILVRGYCRAGLAVRGLEVLDQMRSCNLLPNRVVYNTLISSFCKQSKTDDAEKLVERMREDGMLPDAVTFNSRISALCSAGKILEASRIFRDMHIDQEMGLPQPNVVTYNLMLQGFCREDMLEEAENLFKSMEKAGNFINLESYNIWLLGLVKNGKLLEARLVLKEMVDKGIEPNIYSYNIVINGLCKNGMLRDARMVMTLMVRNNISPDTVTYSTLLHGFCNKGKVFEASNILHEMMMNNCFPNTHTCNILLHSLWKEGRTSEAEELLQKMNERGYGLDTVTCNIVIDGLCNDGKLDKAIEIVSGMWTHGSAALGNLGNSFIGLVDDSNNGKKCIPDLITYSTIISGLCKAGRLDEAKKKFMEMMGKNLHPDSVIYDMFINSFCKQGRISSAFRVLKDMEKKGCNKSIQTYNSLVLGLGSKKQIFEIYGLMDEMRERGVTPDVCTYNYMMNCLCEGERVKDATSLLDEMLQKGISPNISTFRILIKAFCKACDFGVTHEVFDIALSVCGHKEVLYSLMFNELLAGGEILKAKALFEVALDRYFYLGNFLYKDLIDRLCKDEKLEDASSILHTMKNKGYGFDPASFLPVIDGLSKRGNKQEADELAEAMMDMESEGRVGDKVYRIEREIIGGKPSNNGGSDWQTIVHRDDGSGIALKTLKRVQKGWGRGSLTSLQSQKNEFIDY >ONH92487 pep chromosome:Prunus_persica_NCBIv2:G8:17968076:17972617:-1 gene:PRUPE_8G178500 transcript:ONH92487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLTHSMDPTTSLTKALFKNTNNPKLAWHLFKRILSSPTSSSSSDLCLRSLPIVTRILIDSKMHHEIDSLRQLLLVSQPSETLRPCLVSLVRFLAKSSLSDMAVSCFKDLRSRFPDEPPSVYLYNLLVESSLREKHVDFVLWLYKDMIVSGMKPETYTFNLLICSLCESDRLDDAREVFDKMREKGCQPNEYSVGILVRGYCRAGLAVRGLEVLDQMRSCNLLPNRVVYNTLISSFCKQSKTDDAEKLVERMREDGMLPDAVTFNSRISALCSAGKILEASRIFRDMHIDQEMGLPQPNVVTYNLMLQGFCREDMLEEAENLFKSMEKAGNFINLESYNIWLLGLVKNGKLLEARLVLKEMVDKGIEPNIYSYNIVINGLCKNGMLRDARMVMTLMVRNNISPDTVTYSTLLHGFCNKGKVFEASNILHEMMMNNCFPNTHTCNILLHSLWKEGRTSEAEELLQKMNERGYGLDTVTCNIVIDGLCNDGKLDKAIEIVSGMWTHGSAALGNLGNSFIGLVDDSNNGKKCIPDLITYSTIISGLCKAGRLDEAKKKFMEMMGKNLHPDSVIYDMFINSFCKQGRISSAFRVLKDMEKKGCNKSIQTYNSLVLGLGSKKQIFEIYGLMDEMRERGVTPDVCTYNYMMNCLCEGERVKDATSLLDEMLQKGISPNISTFRILIKAFCKACDFGVTHEVFDIALSVCGHKEVLYSLMFNELLAGGEILKAKALFEVALDRYFYLGNFLYKDLIDRLCKDEKLEDASSILHTMKNKGYGFDPASFLPVIDGLSKRGNKQEADELAEAMMDMESEGRVGDKVYRIEREIIGGKPSNNGGSDWQTIVHRDDGSGIALKTLKRVQKGWGRGSLTSLQSQKNEFIDY >ONH91964 pep chromosome:Prunus_persica_NCBIv2:G8:16211413:16212837:-1 gene:PRUPE_8G146800 transcript:ONH91964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALSKLVNLNNPDLVLFVGEALVGNDVVDQLSKFDQLLDEMIDNGFPLTTEPNIMREMIALPNIVNKMLSVVTGNSSNISDTLPEVSCYY >ONH91965 pep chromosome:Prunus_persica_NCBIv2:G8:16211511:16212837:-1 gene:PRUPE_8G146800 transcript:ONH91965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALSKLVNLNNPDLVLFVGEALVGNDVVDQLSKFDQLLDEMIDNGFPLTTEPNIMREMIALPNIVNKMLSVVTGNSSNISDTLPGATSSCIP >ONH91489 pep chromosome:Prunus_persica_NCBIv2:G8:14502842:14503382:-1 gene:PRUPE_8G118300 transcript:ONH91489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSQRLGVTTEMLNELPLYNREHDVAYRADYQSMEMEHDRVEKVLKASDVERLGVTTTMLEMLPPEDPDRDIPIRVFDNQKWKVYGFKLSSRQRGRYKNPVFQSRGWRVFVNDRGIAAGDVLYFWEEEDPFHGTQYRIALYKPKLFPCL >ONH92601 pep chromosome:Prunus_persica_NCBIv2:G8:18249627:18261042:-1 gene:PRUPE_8G183500 transcript:ONH92601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPKATRQPPKPSSVVSSIAKDQVGSGEKQTRKPMEVFHLRENTKKDNHADAAGIPEAESGSGSTSFTSAAARRDSINKWMAFEPGPSDEPNKVKATDSNGGFGSNSNSHIITEKASIAARTAEWGLVMKSDIGEGSFKGTGPRTSGGGGDKSKNSSGRFESTRTSEDSNFGGEFGVPRVSNELKAALSTLQQTFVVSDATKPDCPIMYASSGFFGMTGYSSKEVIGRNCRFLQGPETDQDEVAKIRDAVKNGTSYCGRLFNYKKDGTPFWNLLTITPIKDEQGKTIKFIGMQVEVSKYTEGVNEKELRPNGLPKSLIRYDARQKEKALGSIKEVVETVKHPRSHTQDVSHETASNHGEQDSLNLDYVLPKSAAIANMNTPGRKIPQSDVKDDAFRMRSSYDAGKISRKSGFASSMGFKTRSLSSASMHEKEPIVEPEVLMTTDIESSDSWDRTERERDMRQGIDLATTLERIEKNFVISDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSERAELESSKLVKATAVNVDEAVRELPDANLRPEDLWAIHSRPVFPRPHKRDTPSWLAIQEITARGEKIGLHHFKPIKPLGCGDTGSVHLVELQGTGELYAMKAMEKSIMLNRNKVHRACIEREIISLLDHPFLPTLYTSFQTSTHVCLISDFCCGGELFALLDKQPMKLFKEDSARFYAAEVVIALEYLHCLGIVYRDLKPENILLQKDGHVVLTDFDLSFMTSCKPQIIRHQLPNKRRKSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQRTFTNVLYKDLTFPGSIPASLAARQLINALLQRDPDTRLGSSTGANEIKQHPFFRGINWPLIRCMSPPPLQMPLQPIAKDPKAKDISWEDDGVLVNSMDLDIF >ONH92602 pep chromosome:Prunus_persica_NCBIv2:G8:18250086:18261341:-1 gene:PRUPE_8G183500 transcript:ONH92602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPKATRQPPKPSSVVSSIAKDQVGSGEKQTRKPMEVFHLRENTKKDNHADAAGIPEAESGSGSTSFTSAAARRDSINKWMAFEPGPSDEPNKVKATDSNGGFGSNSNSHIITEKASIAARTAEWGLVMKSDIGEGSFKGTGPRTSGGGGDKSKNSSGRFESTRTSEDSNFGGEFGVPRVSNELKAALSTLQQTFVVSDATKPDCPIMYASSGFFGMTGYSSKEVIGRNCRFLQGPETDQDEVAKIRDAVKNGTSYCGRLFNYKKDGTPFWNLLTITPIKDEQGKTIKFIGMQVEVSKYTEGVNEKELRPNGLPKSLIRYDARQKEKALGSIKEVVETVKHPRSHTQDVSHETASNHGEQDSLNLDYVLPKSAAIANMNTPGRKIPQSDVKDDAFRMRSSYDAGKISRKSGFASSMGFKTRSLSSASMHEKEPIVEPEVLMTTDIESSDSWDRTERERDMRQGIDLATTLERIEKNFVISDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSERAELESSKLVKATAVNVDEAVRELPDANLRPEDLWAIHSRPVFPRPHKRDTPSWLAIQEITARGEKIGLHHFKPIKPLGCGDTGSVHLVELQGTGELYAMKAMEKSIMLNRNKVHRACIEREIISLLDHPFLPTLYTSFQTSTHVCLISDFCCGGELFALLDKQPMKLFKEDSARFYAAEVVIALEYLHCLGIVYRDLKPENILLQKDGHVVLTDFDLSFMTSCKPQIIRHQLPNKRRKSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQRTFTNVLYKDLTFPGSIPASLAARQLINALLQRDPDTRLGSSTGANEIKQHPFFRGINWPLIRCMSPPPLQMPLQPIAKDPKAKDISWEDDGVLVNSMDLDIF >ONH92600 pep chromosome:Prunus_persica_NCBIv2:G8:18249627:18260488:-1 gene:PRUPE_8G183500 transcript:ONH92600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPKATRQPPKPSSVVSSIAKDQVGSGEKQTRKPMEVFHLRENTKKDNHADAAGIPEAESGSGSTSFTSAAARRDSINKWMAFEPGPSDEPNKVKATDSNGGFGSNSNSHIITEKASIAARTAEWGLVMKSDIGEGSFKGTGPRTSGGGGDKSKNSSGRFESTRTSEDSNFGGEFGVPRVSNELKAALSTLQQTFVVSDATKPDCPIMYASSGFFGMTGYSSKEVIGRNCRFLQGPETDQDEVAKIRDAVKNGTSYCGRLFNYKKDGTPFWNLLTITPIKDEQGKTIKFIGMQVEVSKYTEGVNEKELRPNGLPKSLIRYDARQKEKALGSIKEVVETVKHPRSHTQDVSHETASNHGEQDSLNLDYVLPKSAAIANMNTPGRKIPQSDVKDDAFRMRSSYDAGKISRKSGFASSMGFKTRSLSSASMHEKEPIVEPEVLMTTDIESSDSWDRTERERDMRQGIDLATTLERIEKNFVISDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSERAELESSKLVKATAVNVDEAVRELPDANLRPEDLWAIHSRPVFPRPHKRDTPSWLAIQEITARGEKIGLHHFKPIKPLGCGDTGSVHLVELQGTGELYAMKAMEKSIMLNRNKVHRACIEREIISLLDHPFLPTLYTSFQTSTHVCLISDFCCGGELFALLDKQPMKLFKEDSARFYAAEVVIALEYLHCLGIVYRDLKPENILLQKDGHVVLTDFDLSFMTSCKPQIIRHQLPNKRRKSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQRTFTNVLYKDLTFPGSIPASLAARQLINALLQRDPDTRLGSSTGANEIKQHPFFRGINWPLIRCMSPPPLQMPLQPIAKDPKAKDISWEDDGVLVNSMDLDIF >ONH92603 pep chromosome:Prunus_persica_NCBIv2:G8:18250714:18258854:-1 gene:PRUPE_8G183500 transcript:ONH92603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVEVSKYTEGVNEKELRPNGLPKSLIRYDARQKEKALGSIKEVVETVKHPRSHTQDVSHETASNHGEQDSLNLDYVLPKSAAIANMNTPGRKIPQSDVKDDAFRMRSSYDAGKISRKSGFASSMGFKTRSLSSASMHEKEPIVEPEVLMTTDIESSDSWDRTERERDMRQGIDLATTLERIEKNFVISDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSERAELESSKLVKATAVNVDEAVRELPDANLRPEDLWAIHSRPVFPRPHKRDTPSWLAIQEITARGEKIGLHHFKPIKPLGCGDTGSVHLVELQGTGELYAMKAMEKSIMLNRNKVHRACIEREIISLLDHPFLPTLYTSFQTSTHVCLISDFCCGGELFALLDKQPMKLFKEDSARFYAAEVVIALEYLHCLGIVYRDLKPENILLQKDGHVVLTDFDLSFMTSCKPQIIRHQLPNKRRKSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQRTFTNVLYKDLTFPGSIPASLAARQLINALLQRDPDTRLGSSTGANEIKQHPFFRGINWPLIRCMSPPPLQMPLQPIAKDPKAKDISWEDDGVLVNSMDLDIF >ONH92599 pep chromosome:Prunus_persica_NCBIv2:G8:18249627:18260616:-1 gene:PRUPE_8G183500 transcript:ONH92599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPKATRQPPKPSSVVSSIAKDQVGSGEKQTRKPMEVFHLRENTKKDNHADAAGIPEAESGSGSTSFTSAAARRDSINKWMAFEPGPSDEPNKVKATDSNGGFGSNSNSHIITEKASIAARTAEWGLVMKSDIGEGSFKGTGPRTSGGGGDKSKNSSGRFESTRTSEDSNFGGEFGVPRVSNELKAALSTLQQTFVVSDATKPDCPIMYASSGFFGMTGYSSKEVIGRNCRFLQGPETDQDEVAKIRDAVKNGTSYCGRLFNYKKDGTPFWNLLTITPIKDEQGKTIKFIGMQVEVSKYTEGVNEKELRPNGLPKSLIRYDARQKEKALGSIKEVVETVKHPRSHTQDVSHETASNHGEQDSLNLDYVLPKSAAIANMNTPGRKIPQSDVKDDAFRMRSSYDAGKISRKSGFASSMGFKTRSLSSASMHEKEPIVEPEVLMTTDIESSDSWDRTERERDMRQGIDLATTLERIEKNFVISDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSERAELESSKLVKATAVNVDEAVRELPDANLRPEDLWAIHSRPVFPRPHKRDTPSWLAIQEITARGEKIGLHHFKPIKPLGCGDTGSVHLVELQGTGELYAMKAMEKSIMLNRNKVHRACIEREIISLLDHPFLPTLYTSFQTSTHVCLISDFCCGGELFALLDKQPMKLFKEDSARFYAAEVVIALEYLHCLGIVYRDLKPENILLQKDGHVVLTDFDLSFMTSCKPQIIRHQLPNKRRKSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQRTFTNVLYKDLTFPGSIPASLAARQLINALLQRDPDTRLGSSTGANEIKQHPFFRGINWPLIRCMSPPPLQMPLQPIAKDPKAKDISWEDDGVLVNSMDLDIF >ONH92604 pep chromosome:Prunus_persica_NCBIv2:G8:18249627:18259400:-1 gene:PRUPE_8G183500 transcript:ONH92604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPGRKIPQSDVKDDAFRMRSSYDAGKISRKSGFASSMGFKTRSLSSASMHEKEPIVEPEVLMTTDIESSDSWDRTERERDMRQGIDLATTLERIEKNFVISDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSERAELESSKLVKATAVNVDEAVRELPDANLRPEDLWAIHSRPVFPRPHKRDTPSWLAIQEITARGEKIGLHHFKPIKPLGCGDTGSVHLVELQGTGELYAMKAMEKSIMLNRNKVHRACIEREIISLLDHPFLPTLYTSFQTSTHVCLISDFCCGGELFALLDKQPMKLFKEDSARFYAAEVVIALEYLHCLGIVYRDLKPENILLQKDGHVVLTDFDLSFMTSCKPQIIRHQLPNKRRKSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQRTFTNVLYKDLTFPGSIPASLAARQLINALLQRDPDTRLGSSTGANEIKQHPFFRGINWPLIRCMSPPPLQMPLQPIAKDPKAKDISWEDDGVLVNSMDLDIF >ONH93898 pep chromosome:Prunus_persica_NCBIv2:G8:21994239:21996043:-1 gene:PRUPE_8G259700 transcript:ONH93898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHPEVKWAQRVDKVFITVLLPDAKDAKVKLEPDGVFSFSASAGAENHHYELKLDLFDKVNVEESKINVGVRSIFCILEKAEKVWWKKLLRGDGKTPHYVKVDWDKWVDEDEDTGSGPSDLDLGGMDFSKFGGMGGDDAMGDFDDSDEGKKTN >ONH93897 pep chromosome:Prunus_persica_NCBIv2:G8:21993519:21995728:-1 gene:PRUPE_8G259700 transcript:ONH93897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQRVDKVFITVLLPDAKDAKVKLEPDGVFSFSASAGAENHHYELKLDLFDKVNVEESKINVGVRSIFCILEKAEKVWWKKLLRGDGKTPHYVKVDWDKWVDEDEDTGSGPSDLDLGGMDFSKFGGMGGDDAMGDFDDSDEDLELPKPGEQDYDKNEAEEIGDDPSEEKKEEKKEAAGTT >ONH93051 pep chromosome:Prunus_persica_NCBIv2:G8:19474716:19478705:-1 gene:PRUPE_8G209800 transcript:ONH93051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMQISKAHNTDKPFPGCLGRMVNLFDLSTGVSGNKLLTEKPHHDGSSLSRSQSDVATMLGPPPFGDHIDDKLIVPELRRSSSNNKVCGTPIKMLLDQEMSKEVESKKNPPNVVAKLMGLDSLPREQPDSASQRCCSQCTNHSSTPLGCWQQDGFLDKGMLREFHQCSKQNDYKDVYEVWQQPQKANYGRNKSPQKGRCNEKVNEKKMALVRQKFMEAKRLATDERLRQSKEFQDALEVLSSNRDLFLKFLQEPNSLFSQHLNELQSIPPQPTETKRITVLRPSKMVSNDKLSGSGDKSNEPTKKSAQVSQAAAWDKSHHGYSPISDQKVDDYPVQPTRIVVLRPSPGKTPDVKAVVSSPISSPTILHSENFYEEHEDDEERESREVAKEITQKMRDNLMGHRRDETLISSVFSNGYTGDESSFNKSENEYANENLSDSEVMSPSSRHSWDYINRFGSPFSSSSFSRVSCSPESSVCREAKKRLSERWAMMALNGNPQEQRHARRSSSTLGEMLALSEIKKPARCEDESSQKEQEPRESVSCLNGTSKEEGVDDSPRNLLRSKSVPVSSTVYGARVNVQVSDPEDGKTDVPKELTKAKSMKSSFKGKVSSLFFSRNKKSNKGKSDISRCNNENESALAEPPNSLVPPGIISDDASQCANDGGLEGCLSPALFGYSGKESPDVTNMGQRQGTVPPEAGLCVTRPVVPGNVVENPDQPSPISVLEPPFEEDDNIIQESSLYLKPDHLGRHLKSNLIDKSPPIGSIARTLSWDDSCAETATPYLLKSPSVSAEEEEQDWHAIVQTLLSAAGLNGEVQCDSFFTRWHSLESPLDPSLRDKYANLNDKEPLHEAKRRQWRSSRKLVFDCVNAALVDITGYGSDSGTRTMSCSGARDRFSEGDSSLLADRVWGQVREWFASEVRKEVVGKGWSEHMRLEIDNLGKEIEGKLLEELVEEAVVDLTVRT >ONH93054 pep chromosome:Prunus_persica_NCBIv2:G8:19474716:19478705:-1 gene:PRUPE_8G209800 transcript:ONH93054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMQISKAHNTDKPFPGCLGRMVNLFDLSTGVSGNKLLTEKPHHDGSSLSRSQSDVATMLGPPPFGDHIDDKLIVPELRRSSSNNKVCGTPIKMLLDQEMSKEVESKKNPPNVVAKLMGLDSLPREQPDSASQRCCSQCTNHSSTPLGCWQQDGFLDKGMLREFHQCSKQNDYKDVYEVWQQPQKANYGRNKSPQKGRCNEKVNEKKMALVRQKFMEAKRLATDERLRQSKEFQDALEVLSSNRDLFLKFLQEPNSLFSQHLNELQSIPPQPTETKRITVLRPSKMVSNDKLSGSGDKSNEPTKKSAQVSQAAAWDKSHHGYSPISDQKVDDYPVQPTRIVVLRPSPGKTPDVKAVVSSPISSPTILHSENFYEEHEDDEERESREVAKEITQKMRDNLMGHRRDETLISSVFSNGYTGDESSFNKSENEYANENLSDSEVMSPSSRHSWDYINRFGSPFSSSSFSRVSCSPESSVCREAKKRLSERWAMMALNGNPQEQRHARRSSSTLGEMLALSEIKKPARCEDESSQKEQEPRESVSCLNGTSKEEGVDDSPRNLLRSKSVPVSSTVYGARVNVQVSDPEDGKTDVPKELTKAKSMKSSFKGKVSSLFFSRNKKSNKGKSDISRCNNENESALAEPPNSLVPPGIISDDASQCANDGGLEGCLSPALFGYSGKESPDVTNMGQRQGTVPPEAGLCVTRPVVPGNVVENPDQPSPISVLEPPFEEDDNIIQESSLYLKPDHLGRHLKSNLIDKSPPIGSIARTLSWDDSCAETATPYLLKSPSVSAEEEEQDWHAIVQTLLSAAGLNGEVQCDSFFTRWHSLESPLDPSLRDKYANLNDKEPLHEAKRRQWRSSRKLVFDCVNAALVDITGYGSDSGTRTMSCSGARDRFSEGDSSLLADRVWGQVREWFASEVRCASGEAGDSNSLVVERVVRKEVVGKGWSEHMRLEIDNLGKEIEGKLLEELVEEAVVDLTVRT >ONH93053 pep chromosome:Prunus_persica_NCBIv2:G8:19474716:19478705:-1 gene:PRUPE_8G209800 transcript:ONH93053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMQISKAHNTDKPFPGCLGRMVNLFDLSTGVSGNKLLTEKPHHDGSSLSRSQSDVATMLGPPPFGDHIDDKLIVPELRRSSSNNKVCGTPIKMLLDQEMSKEVESKKNPPNVVAKLMGLDSLPREQPDSASQRCCSQCTNHSSTPLGCWQQDGFLDKGMLREFHQCSKQNDYKDVYEVWQQPQKANYGRNKSPQKGRCNEKVNEKKMALVRQKFMEAKRLATDERLRQSKEFQDALEVLSSNRDLFLKFLQEPNSLFSQHLNELQSIPPQPTETKRITVLRPSKMVSNDKLSGSGDKSNEPTKKSAQVSQAAAWDKSHHGYSPISDQKVDDYPVQPTRIVVLRPSPGKTPDVKAVVSSPISSPTILHSENFYEEHEDDEERESREVAKEITQKMRDNLMGHRRDETLISSVFSNGYTGDESSFNKSENEYANENLSDSEVMSPSSRHSWDYINRFGSPFSSSSFSRVSCSPESSVCREAKKRLSERWAMMALNGNPQEQRHARRSSSTLGEMLALSEIKKPARCEDESSQKEQEPRESVSCLNGTSKEEGVDDSPRNLLRSKSVPVSSTVYGARVNVQVSDPEDGKTDVPKELTKAKSMKSSFKGKVSSLFFSRNKKSNKGKSDISRCNNENESALAEPPNSLVPPGIISDDASQCANDGGLEGCLSPALFGYSGKESPDVTNMGQRQGTVPPEAGLCVTRPVVPGNVVENPDQPSPISVLEPPFEEDDNIIQESSLYLKPDHLGRHLKSNLIDKSPPIGSIARTLSWDDSCAETATPYLLKSPSVSAEEEEQDWHAIVQTLLSAAGLNGEVQCDSFFTRWHSLESPLDPSLRDKYANLNDKEPLHEAKRRQWRSSRKLVFDCVNAALVDITGYGSDSGTRTMSCSGARDRFSEGDSSLLADRVWGQVREWFASEVRCASGEAGDSNSLVVERVVRKEVVGKGWSEHMRLEIDNLGKEIEGKLLEELVEEAVVDLTVRT >ONH93052 pep chromosome:Prunus_persica_NCBIv2:G8:19474422:19480407:-1 gene:PRUPE_8G209800 transcript:ONH93052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMQISKAHNTDKPFPGCLGRMVNLFDLSTGVSGNKLLTEKPHHDGSSLSRSQSDVATMLGPPPFGDHIDDKLIVPELRRSSSNNKVCGTPIKMLLDQEMSKEVESKKNPPNVVAKLMGLDSLPREQPDSASQRCCSQCTNHSSTPLGCWQQDGFLDKGMLREFHQCSKQNDYKDVYEVWQQPQKANYGRNKSPQKGRCNEKVNEKKMALVRQKFMEAKRLATDERLRQSKEFQDALEVLSSNRDLFLKFLQEPNSLFSQHLNELQSIPPQPTETKRITVLRPSKMVSNDKLSGSGDKSNEPTKKSAQVSQAAAWDKSHHGYSPISDQKVDDYPVQPTRIVVLRPSPGKTPDVKAVVSSPISSPTILHSENFYEEHEDDEERESREVAKEITQKMRDNLMGHRRDETLISSVFSNGYTGDESSFNKSENEYANENLSDSEVMSPSSRHSWDYINRFGSPFSSSSFSRVSCSPESSVCREAKKRLSERWAMMALNGNPQEQRHARRSSSTLGEMLALSEIKKPARCEDESSQKEQEPRESVSCLNGTSKEEGVDDSPRNLLRSKSVPVSSTVYGARVNVQVSDPEDGKTDVPKELTKAKSMKSSFKGKVSSLFFSRNKKSNKGKSDISRCNNENESALAEPPNSLVPPGIISDDASQCANDGGLEGCLSPALFGYSGKESPDVTNMGQRQGTVPPEAGLCVTRPVVPGNVVENPDQPSPISVLEPPFEEDDNIIQESSLYLKPDHLGRHLKSNLIDKSPPIGSIARTLSWDDSCAETATPYLLKSPSVSAEEEEQDWHAIVQTLLSAAGLNGEVQCDSFFTRWHSLESPLDPSLRDKYANLNDKEPLHEAKRRQWRSSRKLVFDCVNAALVDITGYGSDSGTRTMSCSGARDRFSEGDSSLLADRVWGQVREWFASEVRKEVVGKGWSEHMRLEIDNLGKEIEGKLLEELVEEAVVDLTVRT >ONH93050 pep chromosome:Prunus_persica_NCBIv2:G8:19474422:19480407:-1 gene:PRUPE_8G209800 transcript:ONH93050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMQISKAHNTDKPFPGCLGRMVNLFDLSTGVSGNKLLTEKPHHDGSSLSRSQSDVATMLGPPPFGDHIDDKLIVPELRRSSSNNKVCGTPIKMLLDQEMSKEVESKKNPPNVVAKLMGLDSLPREQPDSASQRCCSQCTNHSSTPLGCWQQDGFLDKGMLREFHQCSKQNDYKDVYEVWQQPQKANYGRNKSPQKGRCNEKVNEKKMALVRQKFMEAKRLATDERLRQSKEFQDALEVLSSNRDLFLKFLQEPNSLFSQHLNELQSIPPQPTETKRITVLRPSKMVSNDKLSGSGDKSNEPTKKSAQVSQAAAWDKSHHGYSPISDQKVDDYPVQPTRIVVLRPSPGKTPDVKAVVSSPISSPTILHSENFYEEHEDDEERESREVAKEITQKMRDNLMGHRRDETLISSVFSNGYTGDESSFNKSENEYANENLSDSEVMSPSSRHSWDYINRFGSPFSSSSFSRVSCSPESSVCREAKKRLSERWAMMALNGNPQEQRHARRSSSTLGEMLALSEIKKPARCEDESSQKEQEPRESVSCLNGTSKEEGVDDSPRNLLRSKSVPVSSTVYGARVNVQVSDPEDGKTDVPKELTKAKSMKSSFKGKVSSLFFSRNKKSNKGKSDISRCNNENESALAEPPNSLVPPGIISDDASQCANDGGLEGCLSPALFGYSGKESPDVTNMGQRQGTVPPEAGLCVTRPVVPGNVVENPDQPSPISVLEPPFEEDDNIIQESSLYLKPDHLGSIARTLSWDDSCAETATPYLLKSPSVSAEEEEQDWHAIVQTLLSAAGLNGEVQCDSFFTRWHSLESPLDPSLRDKYANLNDKEPLHEAKRRQWRSSRKLVFDCVNAALVDITGYGSDSGTRTMSCSGARDRFSEGDSSLLADRVWGQVREWFASEVRCASGEAGDSNSLVVERVVRKEVVGKGWSEHMRLEIDNLGKEIEGKLLEELVEEAVVDLTVRT >ONH90556 pep chromosome:Prunus_persica_NCBIv2:G8:8794512:8795274:1 gene:PRUPE_8G061200 transcript:ONH90556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENPIADRSLDLGSMIADLATCRRCCGERERERDRSDKLSQVHYNMHVTSSIM >ONH93975 pep chromosome:Prunus_persica_NCBIv2:G8:22185544:22189248:-1 gene:PRUPE_8G264400 transcript:ONH93975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEALEFVMSVEFWRMAVLWTFCLLLSYWKLIASNSCPSKPPPSPSAFGHRPLCIITGATSGLGAAAAHALSAHGFFVVLVGRSSHLLAKTMMDIKTQNKNAHLKAFQVDLASFDSILQFKASLQKWLSDSEMHSSIQLLINNAGILATSSRLTCQGYDQMMATNYLGAFSLTKLLLPLLRNSPIPSRIVNVTSFTHRSVLNVQVNKDIVSGKCFGRSKRYPYAHVYEYSKLFLLLFSYELHRQLGLMDISRQVSVIAVDPGFVETNIMREVPSCLSSLASIVLKLLGLLQSPEIGVSSILDAALAPPETSGVYYFGGKGGTVNSSVLSYDAKLAKELWNASSDLFLESELASKETISASNGIS >ONH93978 pep chromosome:Prunus_persica_NCBIv2:G8:22186525:22188885:-1 gene:PRUPE_8G264400 transcript:ONH93978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEALEFVMSVEFWRMAVLWTFCLLLSYWKLIASNSCPSKPPPSPSAFGHRPLCIITGATSGLGAAAAHALSAHGFFVVLVGRSSHLLAKTMMDIKTQNKNAHLKAFQVDLASFDSILQFKASLQKWLSDSEMHSSIQLLINNAGILATSSRLTCQGYDQMMATNYLGAFSLTKLLLPLLRNSPIPSRIVNVTSFTHRSVLNVQVNKDIVSGKCFGRSKRYPYAHVYEYSKLFLLLFSYELHRQLGLMDISRQVSVIAVDPGFVETNIMREVPSCLSSLASIVLKLLGLLQSPEIGVSSILDAALAPPCSTTASNCVLLSYAMNIKICGILLLNSSAPKKNFKVNKAMFCSSS >ONH93974 pep chromosome:Prunus_persica_NCBIv2:G8:22185401:22189297:-1 gene:PRUPE_8G264400 transcript:ONH93974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEALEFVMSVEFWRMAVLWTFCLLLSYWKLIASNSCPSKPPPSPSAFGHRPLCIITGATSGLGAAAAHALSAHGFFVVLVGRSSHLLAKTMMDIKTQNKNAHLKAFQVDLASFDSILQFKASLQKWLSDSEMHSSIQLLINNAGILATSSRLTCQGYDQMMATNYLGAFSLTKLLLPLLRNSPIPSRIVNVTSFTHRSVLNVQVNKDIVSGKCFGRSKRYPYAHVYEYSKLFLLLFSYELHRQLGLMDISRQVSVIAVDPGFVETNIMREVPSCLSSLASIVLKLLGLLQSPEIGVSSILDAALAPPETSGVYYFGGKGGTVNSSVLSYDAKLAKELWNASSDLFLESELASKETISASNGIS >ONH93976 pep chromosome:Prunus_persica_NCBIv2:G8:22185852:22188885:-1 gene:PRUPE_8G264400 transcript:ONH93976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEALEFVMSVEFWRMAVLWTFCLLLSYWKLIASNSCPSKPPPSPSAFGHRPLCIITGATSGLGAAAAHALSAHGFFVVLVGRSSHLLAKTMMDIKTQNKNAHLKAFQVDLASFDSILQFKASLQKWLSDSEMHSSIQLLINNAGILATSSRLTCQGYDQMMATNYLGAFSLTKLLLPLLRNSPIPSRIVNVTSFTHRSVLNVQVNKDIVSGKCFGRSKRYPYAHVYEYSKLFLLLFSYELHRQLGLMDISRQVSVIAVDPGFVETNIMREVPSCLSSLASIVLKLLGLLQSPEIGVSSILDAALAPPETSGVYYFGGKGGTVNSSVLSYDAKLAKELWNASSDLFLESELASKETISASNGIS >ONH93977 pep chromosome:Prunus_persica_NCBIv2:G8:22186431:22189248:-1 gene:PRUPE_8G264400 transcript:ONH93977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEALEFVMSVEFWRMAVLWTFCLLLSYWKLIASNSCPSKPPPSPSAFGHRPLCIITGATSGLGAAAAHALSAHGFFVVLVGRSSHLLAKTMMDIKTQNKNAHLKAFQVDLASFDSILQFKASLQKWLSDSEMHSSIQLLINNAGILATSSRLTCQGYDQMMATNYLGAFSLTKLLLPLLRNSPIPSRIVNVTSFTHRSVLNVQVNKDIVSGKCFGRSKRYPYAHVYEYSKLFLLLFSYELHRQLGLMDISRQVSVIAVDPGFVETNIMREVPSCLSSLASIVLKLLGLLQSPEIGVSSILDAALAPPCSTTASNCVLLSYAMNIKICGILLLNSSAPKKNFKVNKAMFCSSS >ONH91214 pep chromosome:Prunus_persica_NCBIv2:G8:13063161:13063713:1 gene:PRUPE_8G099200 transcript:ONH91214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITAVVTSSETLTHIGDGNLTLSLSAALLHLHLSLFVTSFDCRSSPPIQQISQFFSAFLKSMASQVLSSFALLSYPYVIWVFFYLFQFHIWVGIFGA >ONH93003 pep chromosome:Prunus_persica_NCBIv2:G8:19373271:19375431:-1 gene:PRUPE_8G207500 transcript:ONH93003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVMLQSLCCIIPHSPRCYKNQTLAFSHSQFFSSNSFLRLKKQSLVSALQINKRQRTRRYRSVPVVFAAQSNFLKVVQTVWKVGRDGIEAGTNLVPDSVPRSIARVSVTVVALALSLFVLKSLLSTVFFVLATMGLVYFTFIALNKDEGPKGGGGTTSTPKEEGMEDSLEEARRIMEKYK >ONH93002 pep chromosome:Prunus_persica_NCBIv2:G8:19372751:19375550:-1 gene:PRUPE_8G207500 transcript:ONH93002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVMLQSLCCIIPHSPRCYKNQTLAFSHSQFFSSNSFLRLKKQSLVSALQINKRQRTRRYRSVPVVFAAQSNFLKVVQTVWKVGRDGIEAGTNLVPATMGLVYFTFIALNKDEGPKGGGGTTSTPKEEGMEDSLEEARRIMEKYK >ONH90094 pep chromosome:Prunus_persica_NCBIv2:G8:3216585:3217501:-1 gene:PRUPE_8G035000 transcript:ONH90094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVHSFFFKRGPEAVTPYACFAPKKMKEQGKLSLDASATDLLGLCSQHCF >ONH90043 pep chromosome:Prunus_persica_NCBIv2:G8:3032498:3033010:1 gene:PRUPE_8G032000 transcript:ONH90043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFLTSPDQSAPQPNHDVFLSFRGEDTRNSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIDESRLAIIVLSPNYTSSSWCLDELTEILQCMKSKSTVLPVFYHVDPSDVRKQTGSFACAFTEHEKRFREDMEKVKSWRAALTEVANLSGFDSKNE >ONH92823 pep chromosome:Prunus_persica_NCBIv2:G8:18889593:18893192:1 gene:PRUPE_8G198200 transcript:ONH92823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAMVSIRRSKRLRLRAERSSVLAPLPRFCDKGTAPQNSTQTKPDSVHPMPSNDNATRTRASPEIISMLREPAAAERVLLGLLGPDDTRIMQEYDDGGLRQNLAHHALGACLHFAMWLKENGESSLASRERNEARARVAGLEERVRHVEEILRHLVQEYESKLNGLQNNVDQLRPKAEFCKRKWKEQEALHQEKATRLEEVLKQLEEANSDLAKVTQSHDMARTRIEKLTSALTRSRKLYEQAEVEVKKVQQDCEMDRILGEIEKEERGKKRIALTTELELVEGFQNQNQKMEGGIMPTPELNKDVSKQVEEAEDIDELVDVD >ONH92822 pep chromosome:Prunus_persica_NCBIv2:G8:18889646:18893191:1 gene:PRUPE_8G198200 transcript:ONH92822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAMVSIRRSKRLRLRAERSSVLAPLPRFCDKGTAPQNSTQTKPDSVHPMPSNDVSLPEGNATRTRASPEIISMLREPAAAERVLLGLLGPDDTRIMQEYDDGGLRQNLAHHALGACLHFAMWLKENGESSLASRERNEARARVAGLEERVRHVEEILRHLVQEYESKLNGLQNNVDQLRPKAEFCKRKWKEQEALHQEKATRLEEVLKQLEEANSDLAKVTQSHDMARTRIEKLTSALTRSRKLYEQAEVEVKKVQQDCEMDRILGEIEKEERGKKRIALTTELELVEGFQNQNQKMEGGIMPTPELNKDVSKQVEEAEDIDELVDVD >ONH93543 pep chromosome:Prunus_persica_NCBIv2:G8:20944615:20945667:1 gene:PRUPE_8G237100 transcript:ONH93543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLDSNRLSWISIIEEGAVKDFYELCPQKFQCKTNGVTQRRWIVVSNPSLCALISKWLGTEAWIRDVDLLTGLRAYAADPDLQQEWMMVLSRLHICTLNQ >ONH89592 pep chromosome:Prunus_persica_NCBIv2:G8:288285:290685:-1 gene:PRUPE_8G003400 transcript:ONH89592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLIVPFLRFGEIISGGAHFPLTSDAFKKVLTGQASREVLLSIAHALLGWLVAAPLIFAALYILFLPCFKVLVPKFSTVPSSPKKALSPTEVRLKVRDA >ONH89588 pep chromosome:Prunus_persica_NCBIv2:G8:288281:290920:-1 gene:PRUPE_8G003400 transcript:ONH89588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNGLSIWFKKKIVDPLLEILRRGAEPKLLAFSAALGITLGVFPICGTTVILCGMAIASLGSHCHAPTVMLTNVLATPIELSLIVPFLRFGEIISGGAHFPLTSDAFKKVLTGQASREVLLSIAHALLGWLVAAPLIFAALYILFLPCFKVLVPKFSTVPSSPKKALSPTEVRLKEN >ONH89587 pep chromosome:Prunus_persica_NCBIv2:G8:287988:290920:-1 gene:PRUPE_8G003400 transcript:ONH89587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLIVPFLRFGEIISGGAHFPLTSDAFKKVLTGQASREVLLSIAHALLGWLVAAPLIFAALYILFLPCFKVLVPKFSTVPSSPKKALSPTEVRLKCCLQGGG >ONH89589 pep chromosome:Prunus_persica_NCBIv2:G8:288008:290685:-1 gene:PRUPE_8G003400 transcript:ONH89589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLIVPFLRFGEIISGGAHFPLTSDAFKKVLTGQASREVLLSIAHALLGWLVAAPLIFAALYILFLPCFKVLVPKFSTVPSSPKKALSPTEVRLKEN >ONH89591 pep chromosome:Prunus_persica_NCBIv2:G8:288650:290660:-1 gene:PRUPE_8G003400 transcript:ONH89591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNGLSIWFKKKIVDPLLEILRRGAEPKLLAFSAALGITLGVFPICGTTVILCGMAIASLGSHCHAPTVMLTNVLATPIELSLIVPFLRFGEIISGGAHFPLTSDAFKKVLTGQASREVLLSIAHAVGWLVAAPLIFAALYILFLPCFKVLVPKFSTVPSSPKKALSPTEVRLKVRDA >ONH89586 pep chromosome:Prunus_persica_NCBIv2:G8:287841:290920:-1 gene:PRUPE_8G003400 transcript:ONH89586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNGLSIWFKKKIVDPLLEILRRGAEPKLLAFSAALGITLGVFPICGTTVILCGMAIASLGSHCHAPTVMLTNVLATPIELSLIVPFLRFGEIISGGAHFPLTSDAFKKVLTGQASREVLLSIAHALLGWLVAAPLIFAALYILFLPCFKVLVPKFSTVPSSPKKALSPTEVRLKCCLQGGG >ONH89590 pep chromosome:Prunus_persica_NCBIv2:G8:288869:290361:-1 gene:PRUPE_8G003400 transcript:ONH89590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNGLSIWFKKKIVDPLLEILRRGAEPKLLAFSAALGITLGVFPICGTTVILCGMAIASLGSHCHAPTVMLTNVLATPIELSLIVPFLRFGEIISGGAHFPLTSDAFKKVLTGQASREVLLSIAHALLGWLVAAPLIFAALYILFLPCFKVLVPKFSTVPSSPKKALSPTEVRLKVRDA >ONH90112 pep chromosome:Prunus_persica_NCBIv2:G8:3249694:3253129:1 gene:PRUPE_8G035300 transcript:ONH90112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPPLPPGFRFSPTDVELVRYYLKRKVMGKRLHSNFIAEVDIHKYAPWDLPEKSGWQSGDLKWYFFCPTARKYPTGVRVQRGTECGYWKSTGKDRSVLYNGEVSGWKKILIFHKGRSPKGERTDWVMHEYRLEAKDLADSGVPHDSYVICMIFHKDGWGPKNGAQYGAPFKEEDWTDDEAEICSEAVPHENMPEPNLVVQSNCNSSVTTSGHSPKDIHLGPSESCISDVLPPSCNALQLVSSNHVTMEKLRGSGDDILPMLNCFTEGSTSLMKVNDKNEELGNVIHSGNASATPNVNGDDIYEDLGDLGKMAGVSEDGFYFSNVHNSICAPAQMQLGDNDQFLELDDLSW >ONH91844 pep chromosome:Prunus_persica_NCBIv2:G8:15815628:15818370:1 gene:PRUPE_8G139100 transcript:ONH91844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTGLISSTFLSGETSSFPNTSFSSSSSSLRFPHPNFPANLRMVRIPTLATASKPATTPRQPRGIMKPRKVSPEMQALVGTPEISRTQALKLIWAHIKQNNLQDPQNKRIIVCDEKLKKIFAGKDQVGFLEVAGLITPHFL >ONH92423 pep chromosome:Prunus_persica_NCBIv2:G8:17745818:17746003:-1 gene:PRUPE_8G174700 transcript:ONH92423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH92424 pep chromosome:Prunus_persica_NCBIv2:G8:17745697:17747685:-1 gene:PRUPE_8G174700 transcript:ONH92424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH92428 pep chromosome:Prunus_persica_NCBIv2:G8:17745689:17747685:-1 gene:PRUPE_8G174700 transcript:ONH92428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH92426 pep chromosome:Prunus_persica_NCBIv2:G8:17745818:17746003:-1 gene:PRUPE_8G174700 transcript:ONH92426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH92420 pep chromosome:Prunus_persica_NCBIv2:G8:17745690:17747685:-1 gene:PRUPE_8G174700 transcript:ONH92420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH92421 pep chromosome:Prunus_persica_NCBIv2:G8:17745818:17746003:-1 gene:PRUPE_8G174700 transcript:ONH92421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH92425 pep chromosome:Prunus_persica_NCBIv2:G8:17745697:17747685:-1 gene:PRUPE_8G174700 transcript:ONH92425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH92429 pep chromosome:Prunus_persica_NCBIv2:G8:17745641:17747685:-1 gene:PRUPE_8G174700 transcript:ONH92429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH92419 pep chromosome:Prunus_persica_NCBIv2:G8:17745818:17746003:-1 gene:PRUPE_8G174700 transcript:ONH92419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH92430 pep chromosome:Prunus_persica_NCBIv2:G8:17745818:17746003:-1 gene:PRUPE_8G174700 transcript:ONH92430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH92427 pep chromosome:Prunus_persica_NCBIv2:G8:17745640:17747685:-1 gene:PRUPE_8G174700 transcript:ONH92427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH92422 pep chromosome:Prunus_persica_NCBIv2:G8:17745818:17746003:-1 gene:PRUPE_8G174700 transcript:ONH92422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMTWSHLMVHNMKLFLTDMKLDFANEESEEQRTSGFRIIYCSCFYIEMRTKLRGAEYMC >ONH91925 pep chromosome:Prunus_persica_NCBIv2:G8:16076692:16079536:1 gene:PRUPE_8G144200 transcript:ONH91925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGKNTMSFRSIFDAGELKSELEKSGVKPSFMPYIWKQVIQNPDAQLDQIPSLPSAAYPLLTSKFKTLTSCVHSAMDSSDQLTTKLLIKLQNGALVEAVIMRYDTRLGKYNGKPRPGGMRSTLCVSSQVGCKMGCTFCATGSMGFKSNLTSGEIVEQLVHASRISPIRNVVFMGMGEPLNNYGALVEAIHVMTGPPFHLSPKKITVSTVVNLIPFNPIGDLSKFSTSNEEKVSSFQKILRGTYGIRTTVRKEMGQDISGACGQLVVNKSSIENTVLLTDIEDLQVR >ONH91924 pep chromosome:Prunus_persica_NCBIv2:G8:16076681:16079536:1 gene:PRUPE_8G144200 transcript:ONH91924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGKNTMSFRSIFDAGELKSELEKSGVKPSFMPYIWKQVIQNPDAQLDQIPSLPSAAYPLLTSKFKTLTSCVHSAMDSSDQLTTKLLIKLQNGALVEAVIMRYDTRLGKYNGKPRPGGMRSTLCVSSQVGCKMGCTFCATGSMGFKSNLTSGEIVEQLVHASRISPIRNVVFMGMGEPLNNYGALVEAIHVMTGPPFHLSPKKITVSTVGIIHAIKKLHIDLPGLNLAVSLHAPVQEIRCQIMPAARAFPLVKLMDTLQEYQKNTQQKIFIEYIMLDGVNDEEQNAHQLGKLLETFQVVVNLIPFNPIGDLSKFSTSNEEKVSSFQKILRGTYGIRTTVRKEMGQDISGACGQLVVNKSSIENTVLLTDIEDLQVR >ONH91927 pep chromosome:Prunus_persica_NCBIv2:G8:16076691:16079536:1 gene:PRUPE_8G144200 transcript:ONH91927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGKNTMSFRSIFDAGELKSELEKSGVKPSFMPYIWKQVIQNPDAQLDQIPSLPSAAYPLLTSKFKTLTSCVHSAMDSSDQLTTKLLIKLQVGCKMGCTFCATGSMGFKSNLTSGEIVEQLVHASRISPIRNVVFMGMGEPLNNYGALVEAIHVMTGPPFHLSPKKITVSTVVNLIPFNPIGDLSKFSTSNEEKVSSFQKILRGTYGIRTTVRKEMGQDISGACGQLVVNKSSIENTVLLTDIEDLQVR >ONH91929 pep chromosome:Prunus_persica_NCBIv2:G8:16077342:16079227:1 gene:PRUPE_8G144200 transcript:ONH91929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTFCATGSMGFKSNLTSGEIVEQLVHASRISPIRNVVFMGMGEPLNNYGALVEAIHVMTGPPFHLSPKKITVSTVGIIHAIKKLHIDLPGLNLAVSLHAPVQEIRCQIMPAARAFPLVKLMDTLQEYQKNTQQKIFIEYIMLDGVNDEEQNAHQLGKLLETFQVVVNLIPFNPIGDLSKFSTSNEEKVSSFQKILRGTYGIRTTVRKEMGQDISGACGQLVVNKSSIENTVLLTDIEDLQVR >ONH91928 pep chromosome:Prunus_persica_NCBIv2:G8:16076692:16078116:1 gene:PRUPE_8G144200 transcript:ONH91928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGKNTMSFRSIFDAGELKSELEKSGVKPSFMPYIWKQVIQNPDAQLDQIPSLPSAAYPLLTSKFKTLTSCVHSAMDSSDQLTTKLLIKLQNGALVEAVIMRYDTRLGKYNGKPRPGGMRSTLCVSSQVGCKMGCTFCATGSMGFKSNLTSGEIVEQLVHASRISPIRNVVFMGMGEPLNNYGALVEAIHVMTGPPFHLSPKKITVSTYSKGS >ONH91926 pep chromosome:Prunus_persica_NCBIv2:G8:16076691:16079536:1 gene:PRUPE_8G144200 transcript:ONH91926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGKNTMSFRSIFDAGELKSELEKSGVKPSFMPYIWKQVIQNPDAQLDQIPSLPSAAYPLLTSKFKTLTSCVHSAMDSSDQLTTKLLIKLQVGCKMGCTFCATGSMGFKSNLTSGEIVEQLVHASRISPIRNVVFMGMGEPLNNYGALVEAIHVMTGPPFHLSPKKITVSTVGIIHAIKKLHIDLPGLNLAVSLHAPVQEIRCQIMPAARAFPLVKLMDTLQEYQKNTQQKIFIEYIMLDGVNDEEQNAHQLGKLLETFQVVVNLIPFNPIGDLSKFSTSNEEKVSSFQKILRGTYGIRTTVRKEMGQDISGACGQLVVNKSSIENTVLLTDIEDLQVR >ONH89903 pep chromosome:Prunus_persica_NCBIv2:G8:2061566:2065031:-1 gene:PRUPE_8G022900 transcript:ONH89903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEMLDIDIQTATEAPTIFKEAIRPVTLKFEDVVYKIKQNNSTKNEEKVILNGVSGLVQPGEILAMLGPSGSGKTTLITALGGRLGGRLSGAITYNKKPFSNAMKRNTGFVTQDDFLYPHLTVIETLFYTALLRLPNTLSKAKKAMQAEAVIIQLELTKCKNSVVGGEFLRGVSGGERRRVSIGQELLVNPSLLFLDEPTSGLDSTTAQQIVSALWDLASGGRTIVMTIHQPSSRIFYMFHKVVLLSEGNCLYFGKVSEVMDYFSGIGYIPLVAMNPADFLLDLANGLAPDGSHENKSKVKQSLTLAYKHNRLYNLKAQLQGEQLQETNSSHFQDGSEDKNFAKWPTTWWQQFSVLLRRGLKERRHESFSGSQINQVVAVALLAGLLWWQSNISHLQDRTGLLFFMSEFWGFFPLLQAIFTFPQERKMLEKERSSGTYMLSSYFISRIVADLPMELALPTLFVTITYWMAGLKPTAGHFFHTLLVLLLSVLVAQGMGLALGALVMDQKKAAVLASVLMVSFQLAGGFFVQHVPAFIAWIKYISIINYSYKLLLGSQYNENDTYLCDSGVCLVRDFPSIKHVGLSGQIGAAVALAIMLVGCRLIAYLALMRTGL >ONH91689 pep chromosome:Prunus_persica_NCBIv2:G8:15334338:15336563:1 gene:PRUPE_8G130200 transcript:ONH91689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNRELHVFLFPFMAHGHMIPVSDMAKLFAAQGVKTTIITNTLNAPTFSKAIRSRKTNSCGCGIEIEIKTIKFPSQEAGLPEGCENLDSLPTPELAGNFFKAMGLLQAPLEQLLLEDQPTCLVADMFFPWATDAAAKFGIPRLVFHGTSFFALAASDCVWRYEPFKNTSSDSEPFVIPNLPGLIRMTRAQVPDFIKDNIENDLSRLLKQSKEAEVRSYGIVVNSFYELEPVYADYYRKVLGKKAWHIGPLSLCNRDNEEKAYRGKEASIDEHECLKWLDSKKPNSVVYVCFGSVVKFNNSQLKDIALGLEASGLEFIWVVRKGKDDDDVGKEDWLPEGFEERMEGKGLIIRGWAPQVLILDHGAVGGFVTHCGWNSTLEGIAAGLPMVTWPVAAEQFYNEKLVTQVLKIGVAVGAQKWVRVVGDSVKKEAIEKAVTQMMVGEEAEEMRNRARVLAEQARRANEKGGSSHSDLNALIEELSSQS >ONH92751 pep chromosome:Prunus_persica_NCBIv2:G8:18681775:18684556:-1 gene:PRUPE_8G193500 transcript:ONH92751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGVFDSDPAMVAKATELKKELQRLVRAIVDDEDFSTQTIDQAKDTLSALKELKFKKRSLSLKLNDVLSCPEEFRCPLSKELMRDPVIVSTGETYDRPFIQKGLKAGNRICPRTQQVLSHTILTPNHLVREMISQWCKNRGIELSDPVLLVNEDGITESERDHFLSLLQKMSSGLPEQKEAAKELRSLTKRMPSFRALFGESIHAIPQLLSPLSEGKFEDGIHPELQEDVITTLLNLSIHDNNKKHVAESPMVIPLLIEALQSGTIQTRSNAAAALFTLSALDSNKALIGKSGALKPLIALLDEGHPLAMKDVASAIFNLCITHENKARAVRDGAVRTILKKIRNRMHVDELLAILAMLSSHPKAVEEMGELGAVPCLLRVIRETNCGRNKENCIAVLHTICLNDRTKWKEIREEENTYGTISELAQNGTSRSKRKASGILDRLNRTVNLTHTA >ONH92755 pep chromosome:Prunus_persica_NCBIv2:G8:18681986:18683859:-1 gene:PRUPE_8G193500 transcript:ONH92755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFQGVDERPCDCFYRRATKRSSEDLICVVRKRKTYDRPFIQKGLKAGNRICPRTQQVLSHTILTPNHLVREMISQWCKNRGIELSDPVLLVNEDGITESERDHFLSLLQKMSSGLPEQKEAAKELRSLTKRMPSFRALFGESIHAIPQLLSPLSEGKFEDGIHPELQEDVITTLLNLSIHDNNKKHVAESPMVIPLLIEALQSGTIQTRSNAAAALFTLSALDSNKALIGKSGALKPLIALLDEGHPLAMKDVASAIFNLCITHENKARAVRDGAVRTILKKIRNRMHVDELLAILAMLSSHPKAVEEMGELGAVPCLLRVIRETNCGRNKENCIAVLHTICLNDRTKWKEIREEENTYGTISELAQNGTSRSKRKASGILDRLNRTVNLTHTA >ONH92754 pep chromosome:Prunus_persica_NCBIv2:G8:18681986:18683859:-1 gene:PRUPE_8G193500 transcript:ONH92754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFQGVDERPCDCFYRRATKRSSEDLICVTYDRPFIQKGLKAGNRICPRTQQVLSHTILTPNHLVREMISQWCKNRGIELSDPVLLVNEDGITESERDHFLSLLQKMSSGLPEQKEAAKELRSLTKRMPSFRALFGESIHAIPQLLSPLSEGKFEDGIHPELQEDVITTLLNLSIHDNNKKHVAESPMVIPLLIEALQSGTIQTRSNAAAALFTLSALDSNKALIGKSGALKPLIALLDEGHPLAMKDVASAIFNLCITHENKARAVRDGAVRTILKKIRNRMHVDELLAILAMLSSHPKAVEEMGELGAVPCLLRVIRETNCGRNKENCIAVLHTICLNDRTKWKEIREEENTYGTISELAQNGTSRSKRKASGILDRLNRTVNLTHTA >ONH92752 pep chromosome:Prunus_persica_NCBIv2:G8:18681775:18684557:-1 gene:PRUPE_8G193500 transcript:ONH92752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGVFDSDPAMVAKATELKKELQRLVRAIVDDEDFSTQTIDQAKDTLSALKELKFKKRSLSLKLNDVLSCPEEFRCPLSKELMRDPVIVSTGEFLQSPFIFCFVGLRKCRQGKDLRFFMHLKFLFCFPFTFSATKRSSEDLICVTYDRPFIQKGLKAGNRICPRTQQVLSHTILTPNHLVREMISQWCKNRGIELSDPVLLVNEDGITESERDHFLSLLQKMSSGLPEQKEAAKELRSLTKRMPSFRALFGESIHAIPQLLSPLSEGKFEDGIHPELQEDVITTLLNLSIHDNNKKHVAESPMVIPLLIEALQSGTIQTRSNAAAALFTLSALDSNKALIGKSGALKPLIALLDEGHPLAMKDVASAIFNLCITHENKARAVRDGAVRTILKKIRNRMHVDELLAILAMLSSHPKAVEEMGELGAVPCLLRVIRETNCGRNKENCIAVLHTICLNDRTKWKEIREEENTYGTISELAQNGTSRSKRKASGILDRLNRTVNLTHTA >ONH92753 pep chromosome:Prunus_persica_NCBIv2:G8:18681986:18684089:-1 gene:PRUPE_8G193500 transcript:ONH92753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTGVFDSDPAMVAKATELKKELQRLVRAIVDDEDFSTQTIDQAKDTLSALKELKFKKRSLSLKLNDVLSCPEEFRCPLSKELMRDPVIVSTGEFLQSPFIFCFVGLRKCRQGKDLRFFMHLKFLFCFPFTFSATKRSSEDLICVVRKRKTYDRPFIQKGLKAGNRICPRTQQVLSHTILTPNHLVREMISQWCKNRGIELSDPVLLVNEDGITESERDHFLSLLQKMSSGLPEQKEAAKELRSLTKRMPSFRALFGESIHAIPQLLSPLSEGKFEDGIHPELQEDVITTLLNLSIHDNNKKHVAESPMVIPLLIEALQSGTIQTRSNAAAALFTLSALDSNKALIGKSGALKPLIALLDEGHPLAMKDVASAIFNLCITHENKARAVRDGAVRTILKKIRNRMHVDELLAILAMLSSHPKAVEEMGELGAVPCLLRVIRETNCGRNKENCIAVLHTICLNDRTKWKEIREEENTYGTISELAQNGTSRSKRKASGILDRLNRTVNLTHTA >ONH91693 pep chromosome:Prunus_persica_NCBIv2:G8:15346738:15348692:1 gene:PRUPE_8G130600 transcript:ONH91693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSHEQLHIFFFPYMAQGHSIPLIDIAKLFASRGVKSTLITTPLNAPLLSKAIQSSKNLGFDIEILVIIFPTEEVGLPPGCEIASMTTTHEMKEKFFKATFLLEPQIEQILDQHRPHCLVADSLFPWATYVAAKFGIPRLIFHGPGFFPLCALLGVKLYEPHWKVSSDSEVFTIPNLPVEIKMKRNQVPMVFEQNANLILSKLLKESRECEERSYGIIVNTFYELEPAFADHYRKVLGRKAWHIGPVSLCNKAAGYETEIRGMETSLDEHECLNWLNTKIHNSVVYICFGSMTNFSDPQLLEIALGLEASGMEFIWVVRREKKEKEEWLPEGFEQRMEGKGLIIRGWAPQVLILEHEAIGGFVSHCGWNSILEGVSCGVPMITWPVSAEQFYNEKLATEILRIGVAVGSQKWASLVDVKKEASVKRDAIEKAVARVMVGDEAEEMRSRARALGEMARRSVEEGGSSFSDLTALIEELRSL >ONH90728 pep chromosome:Prunus_persica_NCBIv2:G8:10496155:10497001:1 gene:PRUPE_8G071600 transcript:ONH90728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSVAGIRPTVAILIAFLLIVSLVVPTAEGVICPLESKRSKTWSGVCVKSKNCDKQCKTWERAKHGACHATYRNVLGVKVFKGFACFCYFCKKH >ONH91697 pep chromosome:Prunus_persica_NCBIv2:G8:15358146:15360389:1 gene:PRUPE_8G131000 transcript:ONH91697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKNHQLRIALFPFMAQGHIIPFIDMAKLFVSRGLKATIITTPLDAPFIHKKIENSTSPVHIFTIDLPTLEVGLPENCQSLHLATSPEMQRKFFKAAGLLEPQLEQFLQQNRPNCLVADMFFPWATDVASKFGIPTLIFHGTSGFSLCATLCMFLYKPHLQVSSDSETIFIPNFPDKIELTRKKLPDFIRDGVENEFSRLYDEGKKAEERSYGVLVNSFYELEPAYADHYTNVLGIKAWHIGPLWICNEGAKEDKVSIDEQHECIKWLDSKKPNSVVYVCFGSLSNFVDAQLLEIAKALESSGQQFIWVVKKQNNDQENQQDWLPEGFEKRIEGKGLIIRGWAPQVKILSHEAVGGFVTHCGWNSTLEAVCAGVPMATWPVFAEQFYNEKLITQVLRIGVGVGAKEWSRVAVESVKSDAIEKAVTRTMVGEEAEEMRNRARGYAEMARRAVEVGGSSHANLNALIEELRSHDIAHE >ONH91698 pep chromosome:Prunus_persica_NCBIv2:G8:15358146:15360291:1 gene:PRUPE_8G131000 transcript:ONH91698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKNHQLRIALFPFMAQGHIIPFIDMAKLFVSRGLKATIITTPLDAPFIHKKIENSTSPVHIFTIDLPTLEVGLPENCQSLHLATSPEMQRKFFKAAGLLEPQLEQFLQQNRPNCLVADMFFPWATDVASKFGIPTLIFHGTSGFSLCATLCMFLYKPHLQVSSDSETIFIPNFPDKIELTRKKLPDFIRDGVENEFSRLYDEGKKAEERSYGVLVNSFYELEPAYADHYTNVLGIKAWHIGPLWICNEGAKEDKVSIDEQHECIKWLDSKKPNSVVYVCFGSLSNFVDAQLLEIAKALESSGQQFIWVVKKQNNDQENQQDWLPEGFEKRIEGKGLIIRGWAPQVKILSHEAVGGFVTHCGWNSTLEAVCAGVPMATWPVFAEQFYNEKLITQVLRIGVGVGAKEWSRVAVESVKSDAIEKAVTRTMVGEEAEEMRNRARGYAEMARRAVEVGGSSHANLNALIEELRSHDIAHE >ONH91719 pep chromosome:Prunus_persica_NCBIv2:G8:15476771:15479161:-1 gene:PRUPE_8G132800 transcript:ONH91719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTMNHHQPFLPSILLTLSCFFLASSSVYSSGGGVNTPKPVRREVYGNGRIFDITHRYTPDLPFYGSKNGIGHFLWLQLTMKNASLTNNSEFDLPVHTGTHVDAPGHVFDDYFDAGFDVDQLDLDVLNGPALVVDVPRDSNITAEVMKSLNIPKGTRRVLFKTLNTDRRLMHRKEFDSSFVGFTEDGAKWVVDNTDIKLVGTDYFSIATYDEAIPAHVAFLRGREIIPVEGLKLDDILPGNYSVHCLPLRLLGAEGAPTRCILIK >ONH91718 pep chromosome:Prunus_persica_NCBIv2:G8:15477564:15479126:-1 gene:PRUPE_8G132800 transcript:ONH91718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTMNHHQPFLPSILLTLSCFFLASSSVYSSGGGVNTPKPVRREVYGNGRIFDITHRYTPDLPFYGSKNGIGHFLWLQLTMKNASLTNNSEFDLPVHTGTHVDAPGHVFDDYFDAGFDVDQLDLDVLNGPALVVDVPRDSNITAEVMKSLNIPKGTRRVLFKTLNTDRRLMHRKEFDSSFVGFTEDGAKWVVDNTDIKLVGTDYFSIATYDEAIPAHVAFLRGREIIPVEGLKLDDILPGNYSVHCLPLRLLGAEGAPTRCILIK >ONH91872 pep chromosome:Prunus_persica_NCBIv2:G8:15900868:15904175:-1 gene:PRUPE_8G141100 transcript:ONH91872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNQSYTRIDTLELKGLIIQRIGHQRAEKYFDLIRRLFSSKISKCEFDKFCNRTIGRENVHLHNRLIKSIIKNACLAKVPPLTGIKKGGSTLNVKVANGCQKNGFQSVNADAFPQSPRKGRSPVNRERKFRDRPSPLGPNGKPQNLASEEFISKALEQQSASELLSLGSRPPVEVASVEDGEEVEQDAGSPSIQSRSPVTAPLGINLGGSRKALPNVSLCSTYHPETCQNCGELPDTRSLRSRLERKLELEGVSVSVDCVNLLNNGLDAYLKRLIEPCIQLAGTRHGNEHLKQLSGSYPYKDGLNGMSLPRNMQRERKSTYVTVSDFSTSVELNPQILGADWAIQREKIVLCASEE >ONH91976 pep chromosome:Prunus_persica_NCBIv2:G8:16244809:16249659:-1 gene:PRUPE_8G147500 transcript:ONH91976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVGSGFSYAKSWQGYETGDISSASHTYEFLRKWLKDHPEFLKNPLYIAGDSYSGITVPIVVQEVSNGNEVGHEPPLNLKGYVLGNPFTEPTYDVNSRIKFAHRMALISDTLYESTKTNCKGEYVQVDPSNAPCVENLQEVTECTQKIYYNQILEPKCSTMSPNPKIFKWDQNFDDENFLDMLHHSTKPWCRPYNYLFSFIWANDKTVQNALHVREGTIKGWEKCNQSLSSNYAHDVRTSIDYHRNLTKKNLRALVYSGDHDMLIPYVGTQEWIKSLNLSVDYQWRPWFVNGQVAGYTNAYTRKTYSLTFVTVKGGGHTAPEFKPMECHAMIDRWFAYYYV >ONH91975 pep chromosome:Prunus_persica_NCBIv2:G8:16245128:16249602:-1 gene:PRUPE_8G147500 transcript:ONH91975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLALLLVFLNSHIIVASKSTIDTLPGFSGELPFKLETGYVGVGDLDDVQLFYYFIESERSPKEDPLVLWLTGGPGCSGFSGLAYQIGPIMFNYANSSGNEPTLMLNPYSWTKVANIIFLDQPVGSGFSYAKSWQGYETGDISSASHTYEFLRKWLKDHPEFLKNPLYIAGDSYSGITVPIVVQEVSNGNEVGHEPPLNLKGYVLGNPFTEPTYDVNSRIKFAHRMALISDTLYESTKTNCKGEYVQVDPSNAPCVENLQEVTECTQKIYYNQILEPKCSTMSPNPKIFKWDQNFDDENFLDMLHHSTKPWCRPYNYLFSFIWANDKTVQNALHVREGTIKGWEKCNQSLSSNYAHDVRTSIDYHRNLTKKNLRALVYSGDHDMLIPYVGTQEWIKSLNLSVDYQWRPWFVNGQVAGYTNAYTRKTYSLTFVTVKGGGHTAPEFKPMECHAMIDRWFAYYYV >ONH92800 pep chromosome:Prunus_persica_NCBIv2:G8:18800842:18806497:1 gene:PRUPE_8G196300 transcript:ONH92800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKVGINDIDLLAKEKHKEKKHKKDKKDKEKRDGKEKKDKDRSKEKHGERKDRKEKHKDKKERGGDNGITWTSEEKRIEGQPESYNGQNHVPNGFHNVDIKDYKYVQELDRRCRNDDRATGSQVVQKILVADQRSLLSNQSREKERIKDKKEVDRTVNAQRNHIEGKIVQNVPSIDQRRLEGITKPIEKQLEVYRNSERATGNPVVQKIMVSDKKPLLSTQSREKERIKDKKEDDRTANAQRNHVEGKCSAEAFFENFPSIEQRRLEGIAKPIEKDVEKQMEGRDRKKNTDNDSKGHKPKERDKEKKVKSKDKDREKKKEKKEKVKVINKPSDEQPKLEGNGKESLDAFSNKALNLLQMNSKNSAAGGILGKRKELEMNGYLHENGFLPHKLPRSVSSHPVVENGRKSEPSQTVLQFLSEGQGAASDCKADIKEHRINGLRGPEQLNAFSTKPSSSRVKVNENGGASAKPPHPDSKYLSEILSIPKMADESNLDDQEWLLGSNGSGSKKPKVGSPEIEMTPQVWAEAMQIESVDVYALPYVIPY >ONH92798 pep chromosome:Prunus_persica_NCBIv2:G8:18800843:18806307:1 gene:PRUPE_8G196300 transcript:ONH92798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKVGINDIDLLAKEKHKEKKHKKDKKDKEKRDGKEKKDKDRSKEKHGERKDRKEKHKDKKERGGDNGITWTSEEKRIEGQPESYNGQNHVPNGFHNVDIKDYKYVQELDRRCRNDDRATGSQVVQKILVADQRSLLSNQSREKERIKDKKEVDRTVNAQRNHIEGKIVQNVPSIDQRRLEGITKPIEKQLEVYRNSERATGNPVVQKIMVSDKKPLLSTQSREKERIKDKKEDDRTANAQRNHVEGKCSAEAFFENFPSIEQRRLEGIAKPIEKDVEKQMEGRDRKKNTDNDSKGHKPKERDKEKKVKSKDKDREKKKEKKEKVKVINKPSDEQPKLEGNGKESLDAFSNKALNLLQMNSKNSAAGGILGKRKELEMNGYLHENGFLPHKLPRSVSSHPVVENGRKSEPSQTVLQFLSEGQGAASDCKADIKEHRINGLRGPEQLNAFSTKPSSSRVKVNENGGASAKPPHPDSKYLSEILSIPKMADESNLDDQEWLLGSNGSGSKKPKVGSPEIEMTPQVWAEAMQIESVDVYALPYVIPY >ONH92796 pep chromosome:Prunus_persica_NCBIv2:G8:18800843:18806307:1 gene:PRUPE_8G196300 transcript:ONH92796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKVGINDIDLLAKEKHKEKKHKKDKKDKEKRDGKEKKDKDRSKEKHGERKDRKEKHKDKKERGGDNGITWTSEEKRIEGQPESYNGQNHVPNGFHNVDIKDYKYVQELDRRCRNDDRATGSQVVQKILVADQRSLLSNQSREKERIKDKKEVDRTVNAQRNHIEGKIVQNVPSIDQRRLEGITKPIEKQLEVYRNSERATGNPVVQKIMVSDKKPLLSTQSREKERIKDKKEDDRTANAQRNHVEGKCSAEAFFENFPSIEQRRLEGIAKPIEKDVEKQMEGRDRKKNTDNDSKGHKPKERDKEKKVKSKDKDREKKKEKKEKVKVINKPSDEQPKLEGNGKESLDAFSNKALNLLQMNSKNSAAGGILGKRKELEMNGYLHENGFLPHKLPRSVSSHPVVENGRKSEPSQTVLQFLSEGQGAASDCKADIKEHRINGLRGPEQLNAFSTKPSSSRVKVNENGGASAKPPHPDSKYLSEILSIPKMADESNLDDQEWLLGSNGSGSKKPKVGSPEIEMTPQVWAEAMQIESVDVYALPYVIPY >ONH92799 pep chromosome:Prunus_persica_NCBIv2:G8:18800841:18806497:1 gene:PRUPE_8G196300 transcript:ONH92799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKVGINDIDLLAKEKHKEKKHKKDKKDKEKRDGKEKKDKDRSKEKHGERKDRKEKHKDKKERGGDNGITWTSEEKRIEGQPESYNGQNHVPNGFHNVDIKDYKYVQELDRRCRNDDRATGSQVVQKILVADQRSLLSNQSREKERIKDKKEVDRTVNAQRNHIEGKIVQNVPSIDQRRLEGITKPIEKQLEVYRNSERATGNPVVQKIMVSDKKPLLSTQSREKERIKDKKEDDRTANAQRNHVEGKCSAEAFFENFPSIEQRRLEGIAKPIEKDVEKQMEGRDRKKNTDNDSKGHKPKERDKEKKVKSKDKDREKKKEKKEKVKVINKPSDEQPKLEGNGKESLDAFSNKALNLLQMNSKNSAAGGILGKRKELEMNGYLHENGFLPHKLPRSVSSHPVVENGRKSEPSQTVLQFLSEGQGAASDCKADIKEHRINGLRGPEQLNAFSTKPSSSRVKVNENGGASAKPPHPDSKYLSEILSIPKMADESNLDDQEWLLGSNGSGSKKPKVGSPEIEMTPQVWAEAMQIESVDVYALPYVIPY >ONH92795 pep chromosome:Prunus_persica_NCBIv2:G8:18800837:18806389:1 gene:PRUPE_8G196300 transcript:ONH92795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKVGINDIDLLAKEKHKEKKHKKDKKDKEKRDGKEKKDKDRSKEKHGERKDRKEKHKDKKERGGDNGITWTSEEKRIEGQPESYNGQNHVPNGFHNVDIKDYKYVQELDRRCRNDDRATGSQVVQKILVADQRSLLSNQSREKERIKDKKEVDRTVNAQRNHIEGKIVQNVPSIDQRRLEGITKPIEKQLEVYRNSERATGNPVVQKIMVSDKKPLLSTQSREKERIKDKKEDDRTANAQRNHVEGKCSAEAFFENFPSIEQRRLEGIAKPIEKDVEKQMEGRDRKKNTDNDSKGHKPKERDKEKKVKSKDKDREKKKEKKEKVKVINKPSDEQPKLEGNGKESLDAFSNKALNLLQMNSKNSAAGGILGKRKELEMNGYLHENGFLPHKLPRSVSSHPVVENGRKSEPSQTVLQFLSEGQGAASDCKADIKEHRINGLRGPEQLNAFSTKPSSSRVKVNENGGASAKPPHPDSKYLSEILSIPKMADESNLDDQEWLLGSNGSGSKKPKVGSPEIEMTPQVWAEAMQIESVDVYALPYVIPY >ONH92797 pep chromosome:Prunus_persica_NCBIv2:G8:18800838:18806365:1 gene:PRUPE_8G196300 transcript:ONH92797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKVGINDIDLLAKEKHKEKKHKKDKKDKEKRDGKEKKDKDRSKEKHGERKDRKEKHKDKKERGGDNGITWTSEEKRIEGQPESYNGQNHVPNGFHNVDIKDYKYVQELDRRCRNDDRATGSQVVQKILVADQRSLLSNQSREKERIKDKKEVDRTVNAQRNHIEGKIVQNVPSIDQRRLEGITKPIEKQLEVYRNSERATGNPVVQKIMVSDKKPLLSTQSREKERIKDKKEDDRTANAQRNHVEGKCSAEAFFENFPSIEQRRLEGIAKPIEKDVEKQMEGRDRKKNTDNDSKGHKPKERDKEKKVKSKDKDREKKKEKKEKVKVINKPSDEQPKLEGNGKESLDAFSNKALNLLQMNSKNSAAGGILGKRKELEMNGYLHENGFLPHKLPRSVSSHPVVENGRKSEPSQTVLQFLSEGQGAASDCKADIKEHRINGLRGPEQLNAFSTKPSSSRVKVNENGGASAKPPHPDSKYLSEILSIPKMADESNLDDQEWLLGSNGSGSKKPKVGSPEIEMTPQVWAEAMQIESVDVYALPYVIPY >ONH90305 pep chromosome:Prunus_persica_NCBIv2:G8:4831587:4837042:1 gene:PRUPE_8G045700 transcript:ONH90305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMFYETVKSSVDTAFGACDIEGGNRVFQDDMKLLDAPYISGALSSCLDDLTNKGLYLFAVILRGRSVKFEKTRWKMKRVIRESLPNVFGRQDNHNDKMEISKQISQLLNDPQYFQDNFITFSSSRLQSHHAAVRKVLDGLRDLPYETLLAMHRKLKGGRQSLPQLRPKKSGWNRDSLINQVRKTIEKMLSELSIGDELQEPLTKAMAVVGLSLKLIPGFHNSTITEFHQFTPEIKILQDEIARAIWLVKTKIRIPELKNLKTLLDPNAKVSNRSLRTAIRKMLTEYLFDCGDMDTIPKALLETLVVINRNSQSKPHRRITKDEIEAEVECILNVSAQVKQIVWDLSPDHDLDLDFDDAYMEEMEECDDDDHKSNGDDNENNGRLEKDGLCGSDRSHSNDLFYEAESTAESTPFVCKPPTAETTRNDCYPPLTPNKSVLVELQEPMFSTQGDSLDSSSIKRGLDGNYIERHEPECNTGMNTEKLLHLKPEDTYEKQTTCKNKYLAVQEGCDETSIIAYNLIGHVLEEFAKTEGLNLDWDDTLYLRGDCATQEVVEEKQTLSHENDDGSIIVRVVEELVPSFPKSALEALRKLVGLL >ONH90301 pep chromosome:Prunus_persica_NCBIv2:G8:4830587:4837042:1 gene:PRUPE_8G045700 transcript:ONH90301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSQLMENLGFYQTDCESLWSQIKRQEKQIQLKRRWLLGLPTSKSEQKKLERCEFLNNTCLPESFLREDDMFYETVKSSVDTAFGACDIEGGNRVFQDDMKLLDAPYISGALSSCLDDLTNKGLYLFAVILRGRSVKFEKTRWKMKRVIRESLPNVFGRQDNHNDKMEISKQISQLLNDPQYFQDNFITFSSSRLQSHHAAVRKVLDGLRDLPYETLLAMHRKLKGGRQSLPQLRPKKSGWNRDSLINQVRKTIEKMLSELSIGDELQEPLTKAMAVVGLSLKLIPGFHNSTITEFHQFTPEIKILQDEIARAIWLVKTKIRIPELKNLKTLLDPNAKVSNRSLRTAIRKMLTEYLFDCGDMDTIPKALLETLVVINRNSQSKPHRRITKDEIEAEVECILNVSAQVKQIVWDLSPDHDLDLDFDDAYMEEMEECDDDDHKSNGDDNENNGRLEKDGLCGSDRSHSNDLFYEAESTAESTPFVCKPPTAETTRNDCYPPLTPNKSVLVELQEPMFSTQGDSLDSSSIKRGLDGNYIERHEPECNTGMNTEKLLHLKPEDTYEKQTTCKNKYLAVQEGCDETSIIAYNLIGHVLEEFAKTEGLNLDWDDTLYLRGDCATQEVVEEKQTLSHENDDGSIIVRVVEELVPSFPKSALEALRKLVGLL >ONH90304 pep chromosome:Prunus_persica_NCBIv2:G8:4830627:4837042:1 gene:PRUPE_8G045700 transcript:ONH90304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMFYETVKSSVDTAFGACDIEGGNRVFQDDMKLLDAPYISGALSSCLDDLTNKGLYLFAVILRGRSVKFEKTRWKMKRVIRESLPNVFGRQDNHNDKMEISKQISQLLNDPQYFQDNFITFSSSRLQSHHAAVRKVLDGLRDLPYETLLAMHRKLKGGRQSLPQLRPKKSGWNRDSLINQVRKTIEKMLSELSIGDELQEPLTKAMAVVGLSLKLIPGFHNSTITEFHQFTPEIKILQDEIARAIWLVKTKIRIPELKNLKTLLDPNAKVSNRSLRTAIRKMLTEYLFDCGDMDTIPKALLETLVVINRNSQSKPHRRITKDEIEAEVECILNVSAQVKQIVWDLSPDHDLDLDFDDAYMEEMEECDDDDHKSNGDDNENNGRLEKDGLCGSDRSHSNDLFYEAESTAESTPFVCKPPTAETTRNDCYPPLTPNKSVLVELQEPMFSTQGDSLDSSSIKRGLDGNYIERHEPECNTGMNTEKLLHLKPEDTYEKQTTCKNKYLAVQEGCDETSIIAYNLIGHVLEEFAKTEGLNLDWDDTLYLRGDCATQEVVEEKQTLSHENDDGSIIVRVVEELVPSFPKSALEALRKLVGLL >ONH90302 pep chromosome:Prunus_persica_NCBIv2:G8:4830608:4837042:1 gene:PRUPE_8G045700 transcript:ONH90302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSQLMENLGFYQTDCESLWSQIKRQEKQIQLKRRWLLGLPTSKSEQKKLERCEFLNNTCLPESFLREDDMFYETVKSSVDTAFGACDIEGGNRVFQDDMKLLDAPYISGALSSCLDDLTNKGLYLFAVILRGRSVKFEKTRWKMKRVIRESLPNVFGRQDNHNDKMEISKQISQLLNDPQYFQDNFITFSSSRLQSHHAAVRKVLDGLRDLPYETLLAMHRKLKGGRQSLPQLRPKKSGWNRDSLINQVRKTIEKMLSELSIGDELQEPLTKAMAVVGLSLKLIPGFHNSTITEFHQFTPEIKILQDEIARAIWLVKTKIRIPELKNLKTLLDPNAKVSNRSLRTAIRKMLTEYLFDCGDMDTIPKALLETLVVINRNSQSKPHRRITKDEIEAEVECILNVSAQVKQIVWDLSPDHDLDLDFDDAYMEEMEECDDDDHKSNGDDNENNGRLEKDGLCGSDRSHSNDLFYEAESTAESTPFVCKPPTAETTRNDCYPPLTPNKSVLVELQEPMFSTQGDSLDSSSIKRGLDGNYIERHEPECNTGMNTEKLLHLKPEDTYEKQTTCKNKYLAVQEGCDETSIIAYNLIGHVLEEFAKTEGLNLDWDDTLYLRGDCATQEVVEEKQTLSHENDDGSIIVRVVEELVPSFPKSALEALRKLVGLL >ONH90303 pep chromosome:Prunus_persica_NCBIv2:G8:4830564:4837042:1 gene:PRUPE_8G045700 transcript:ONH90303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSQLMENLGFYQTDCESLWSQIKRQEKQIQLKRRWLLGLPTSKSEQKKLERCEFLNNTCLPESFLREDDMFYETVKSSVDTAFGACDIEGGNRVFQDDMKLLDAPYISGALSSCLDDLTNKGLYLFAVILRGRSVKFEKTRWKMKRVIRESLPNVFGRQDNHNDKMEISKQISQLLNDPQYFQDNFITFSSSRLQSHHAAVRKVLDGLRDLPYETLLAMHRKLKGGRQSLPQLRPKKSGWNRDSLINQVRKTIEKMLSELSIGDELQEPLTKAMAVVGLSLKLIPGFHNSTITEFHQFTPEIKILQDEIARAIWLVKTKIRIPELKNLKTLLDPNAKVSNRSLRTAIRKMLTEYLFDCGDMDTIPKALLETLVVINRNSQSKPHRRITKDEIEAEVECILNVSAQVKQIVWDLSPDHDLDLDFDDAYMEEMEECDDDDHKSNGDDNENNGRLEKDGLCGSDRSHSNDLFYEAESTAESTPFVCKPPTAETTRNDCYPPLTPNKSVLVELQEPMFSTQGDSLDSSSIKRGLDGNYIERHEPECNTGMNTEKLLHLKPEDTYEKQTTCKNKYLAVQEGCDETSIIAYNLIGHVLEEFAKTEGLNLDWDDTLYLRGDCATQEVVEEKQTLSHENDDGSIIVRVVEELVPSFPKSALEALRKLVGLL >ONH90307 pep chromosome:Prunus_persica_NCBIv2:G8:4831728:4837042:1 gene:PRUPE_8G045700 transcript:ONH90307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMFYETVKSSVDTAFGACDIEGGNRVFQDDMKLLDAPYISGALSSCLDDLTNKGLYLFAVILRGRSVKFEKTRWKMKRVIRESLPNVFGRQDNHNDKMEISKQISQLLNDPQYFQDNFITFSSSRLQSHHAAVRKVLDGLRDLPYETLLAMHRKLKGGRQSLPQLRPKKSGWNRDSLINQVRKTIEKMLSELSIGDELQEPLTKAMAVVGLSLKLIPGFHNSTITEFHQFTPEIKILQDEIARAIWLVKTKIRIPELKNLKTLLDPNAKVSNRSLRTAIRKMLTEYLFDCGDMDTIPKALLETLVVINRNSQSKPHRRITKDEIEAEVECILNVSAQVKQIVWDLSPDHDLDLDFDDAYMEEMEECDDDDHKSNGDDNENNGRLEKDGLCGSDRSHSNDLFYEAESTAESTPFVCKPPTAETTRNDCYPPLTPNKSVLVELQEPMFSTQGDSLDSSSIKRGLDGNYIERHEPECNTGMNTEKLLHLKPEDTYEKQTTCKNKYLAVQEGCDETSIIAYNLIGHVLEEFAKTEGLNLDWDDTLYLRGDCATQEVVEEKQTLSHENDDGSIIVRVVEELVPSFPKSALEALRKLVGLL >ONH90308 pep chromosome:Prunus_persica_NCBIv2:G8:4831587:4837042:1 gene:PRUPE_8G045700 transcript:ONH90308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMFYETVKSSVDTAFGACDIEGGNRVFQDDMKLLDAPYISGALSSCLDDLTNKGLYLFAVILRGRSVKFEKTRWKMKRVIRESLPNVFGRQDNHNDKMEISKQISQLLNDPQYFQDNFITFSSSRLQSHHAAVRKVLDGLRDLPYETLLAMHRKLKGGRQSLPQLRPKKSGWNRDSLINQVRKTIEKMLSELSIGDELQEPLTKAMAVVGLSLKLIPGFHNSTITEFHQFTPEIKILQDEIARAIWLVKTKIRIPELKNLKTLLDPNAKVSNRSLRTAIRKMLTEYLFDCGDMDTIPKALLETLVVINRNSQSKPHRRITKDEIEAEVECILNVSAQVKQIVWDLSPDHDLDLDFDDAYMEEMEECDDDDHKSNGDDNENNGRLEKDGLCGSDRSHSNDLFYEAESTAESTPFVCKPPTAETTRNDCYPPLTPNKSVLVELQEPMFSTQGDSLDSSSIKRGLDGNYIERHEPECNTGMNTEKLLHLKPEDTYEKQTTCKNKYLAVQEGCDETSIIAYNLIGHVLEEFAKTEGLNLDWDDTLYLRGDCATQEVVEEKQTLSHENDDGSIIVRVVEELVPSFPKSALEALRKLVGLL >ONH90309 pep chromosome:Prunus_persica_NCBIv2:G8:4831914:4835809:1 gene:PRUPE_8G045700 transcript:ONH90309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMFYETVKSSVDTAFGACDIEGGNRVFQDDMKLLDAPYISGALSSCLDDLTNKGLYLFAVILRGRSVKFEKTRWKMKRVIRESLPNVFGRQDNHNDKMEISKQISQLLNDPQYFQDNFITFSSSRLQSHHAAVRKVLDGLRDLPYETLLAMHRKLKGGRQSLPQLRPKKSGWNRDSLINQVRKTIEKMLSELSIGDELQEPLTKAMAVVGLSLKLIPGFHNSTITEFHQFTPEIKILQDEIARAIWLVKTKIRIPELKNLKTLLDPNAKVSNRSLRTAIRKMLTEYLFDCGDMDTIPKALLETLVVINRNSQSKPHRRITKDEIEAEVECILNVSAQVKQIVWDLSPDHDLDLDFDDAYMEEMEECDDDDHKSNGDDNENNGRLEKDGLCGSDRSHSNDLFYEAESTAESTPFVCKPPTAETTRNDCYPPLTPNKSVLVELQEPMFSTQGDSLDSSSIKRGLDGNYIERHEPECNTGMNTEKLLHLKPEDTYEKQTTCKNKYLAVQEGCDETSIIAYNLIGHVLEEFAKTEGLNLDWDDTLYLRGDCATQEVVEEKQTLSHENDDGSIIVRVVEELVPSFPKSALEALRKLVGLL >ONH90306 pep chromosome:Prunus_persica_NCBIv2:G8:4831914:4835809:1 gene:PRUPE_8G045700 transcript:ONH90306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMFYETVKSSVDTAFGACDIEGGNRVFQDDMKLLDAPYISGALSSCLDDLTNKGLYLFAVILRGRSVKFEKTRWKMKRVIRESLPNVFGRQDNHNDKMEISKQISQLLNDPQYFQDNFITFSSSRLQSHHAAVRKVLDGLRDLPYETLLAMHRKLKGGRQSLPQLRPKKSGWNRDSLINQVRKTIEKMLSELSIGDELQEPLTKAMAVVGLSLKLIPGFHNSTITEFHQFTPEIKILQDEIARAIWLVKTKIRIPELKNLKTLLDPNAKVSNRSLRTAIRKMLTEYLFDCGDMDTIPKALLETLVVINRNSQSKPHRRITKDEIEAEVECILNVSAQVKQIVWDLSPDHDLDLDFDDAYMEEMEECDDDDHKSNGDDNENNGRLEKDGLCGSDRSHSNDLFYEAESTAESTPFVCKPPTAETTRNDCYPPLTPNKSVLVELQEPMFSTQGDSLDSSSIKRGLDGNYIERHEPECNTGMNTEKLLHLKPEDTYEKQTTCKNKYLAVQEGCDETSIIAYNLIGHVLEEFAKTEGLNLDWDDTLYLRGDCATQEVVEEKQTLSHENDDGSIIVRVVEELVPSFPKSALEALRKLVGLL >ONH90609 pep chromosome:Prunus_persica_NCBIv2:G8:9301257:9306077:1 gene:PRUPE_8G063700 transcript:ONH90609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVGVEVSKRPHAVCLPFPAQGHISPMMKLAKLLHHRGFHITFVNSEDNHKRILKSRGPSPLHVSQDFSFEAIPDGLPPTDANATQDIPSLCDSTSKTCLGPFRNLLANLSLHKALPTVTCIVSNGVMSFAMKADEDLGIPVALFWTASSCGFMGYTQYRQLIDKGLTPLRGEASLTNGYLDTTIDWIPGMKDSSERSPKLYAEDMMLSFAMEEVERASTVIVNTYDTLEYKVLEALSSMFPPICTIGPNHLLVNKIVPQNAISSSIGSSLWKEEPECLQWLDSKEPESVDYVNFGSITVMTPQQLVEPDLVKGDAAILPSEFAQETKQRGLLVSWAPQEEVLNHPSIGGFLTHGGWNSTIESLSAGVPMVIWPFFADRQTNCWFSCTQWGVGLEIDSNVKRSEVEKLVRELMSGEKGKEMRKNAMEWKRKAEEATGPRGSSLLNVEKLVKDVLLQPLKP >ONH91957 pep chromosome:Prunus_persica_NCBIv2:G8:16185650:16187130:-1 gene:PRUPE_8G146400 transcript:ONH91957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPAGYPPQGYPQQGYPPPQGYPQQYPPPYAPQYAQPPPQQQSSSSGCLEGCLAALCCCCLLDACF >ONH91958 pep chromosome:Prunus_persica_NCBIv2:G8:16184991:16187130:-1 gene:PRUPE_8G146400 transcript:ONH91958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPAGYPPQGYPQQGYPPPQGYPQQYPPPYAPQYAQPPPQQQSSSSGCLEGCLAALCCCCLLDACF >ONH90630 pep chromosome:Prunus_persica_NCBIv2:G8:9622853:9634726:1 gene:PRUPE_8G065100 transcript:ONH90630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAKDEQPAHVKHLAAFLIRHHSDQLHSMALSQDPKLHFPLYVNFAELMDDDPPLSHLVFSQPTEYLRLFDEAAVWAHKVILGDLNGSNKGIKKEFIHVRINISGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAIKMYEGERKYMCQKCKHEFLVYPELESRNSIKLPSYCPSQRSKPCEGTKFGHLEGSITCHDYQEIKIQESTHVLGVGAIPRSIPVILKDDLVDIVKAGDDVIVTGILTAKWSPDLKDVRCDLDPILIANYVRRTNELKSEIDIPDDAIMKFKQFWSDFKDTPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTKTIVFGATNPKGQYDPDQSLSVNTALSGPLLSRFDIVLVLLDTKNPEWDGVVSSHILSEEEPDNGKQDEDLANIWPFPMLRRYIHFVKGYFRPVLTKEAEIVISSYYQLQRRSATGNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTTSAIVDSVGNALHSNFTENPDLE >ONH90627 pep chromosome:Prunus_persica_NCBIv2:G8:9622797:9634757:1 gene:PRUPE_8G065100 transcript:ONH90627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAKDEQPAHVKHLAAFLIRHHSDQLHSMALSQDPKLHFPLYVNFAELMDDDPPLSHLVFSQPTEYLRLFDEAAVWAHKVILGDLNGSNKGIKKEFIHVRINISGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAIKMYEGERKYMCQKCKHEFLVYPELESRNSIKLPSYCPSQRSKPCEGTKFGHLEGSITCHDYQEIKIQESTHVLGVGAIPRSIPVILKDDLVDIVKAGDDVIVTGILTAKWSPDLKDVRCDLDPILIANYVRRTNELKSEIDIPDDAIMKFKQFWSDFKDTPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTKTIVFGATNPKGQYDPDQSLSVNTALSGPLLSRFDIVLVLLDTKNPEWDGVVSSHILSEEEPDNGKQDEDLANIWPFPMLRRYIHFVKGYFRPVLTKEAEIVISSYYQLQRRSATGNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTTSAIVDSVGNALHSNFTENPDLEYATQERLILEKLRSFDDFPDANSTR >ONH90629 pep chromosome:Prunus_persica_NCBIv2:G8:9622853:9634726:1 gene:PRUPE_8G065100 transcript:ONH90629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAKDEQPAHVKHLAAFLIRHHSDQLHSMALSQDPKLHFPLYVNFAELMDDDPPLSHLVFSQPTEYLRLFDEAAVWAHKVILGDLNGSNKGIKKEFIHVRINISGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAIKMYEGERKYMCQKCKHEFLVYPELESRNSIKLPSYCPSQRSKPCEGTKFGHLEGSITCHDYQEIKIQESTHVLGVGAIPRSIPVILKDDLVDIVKAGDDVIVTGILTAKWSPDLKDVRCDLDPILIANYVRRTNELKSEIDIPDDAIMKFKQFWSDFKDTPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTKTIVFGATNPKGQYDPDQSLSVNTALSGPLLSRFDIVLVLLDTKNPEWDGVVSSHILSEEEPDNGKQDEDLANIWPFPMLRRYIHFVKGYFRPVLTKEAEIVISSYYQLQRRSATGNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTTSAIVDSVGNALHSNFTENPDLE >ONH90628 pep chromosome:Prunus_persica_NCBIv2:G8:9622797:9634757:1 gene:PRUPE_8G065100 transcript:ONH90628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAKDEQPAHVKHLAAFLIRHHSDQLHSMALSQDPKLHFPLYVNFAELMDDDPPLSHLVFSQPTEYLRLFDEAAVWAHKVILGDLNGSNKGIKKEFIHVRINISGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAIKMYEGERKYMCQKCKHEFLVYPELESRNSIKLPSYCPSQRSKPCEGTKFGHLEGSITCHDYQEIKIQESTHVLGVGAIPRSIPVILKDDLVDIVKAGDDVIVTGILTAKWSPDLKDVRCDLDPILIANYVRRTNELKSEIDIPDDAIMKFKQFWSDFKDTPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTKTIVFGATNPKGQYDPDQSLSVNTALSGPLLSRFDIVLVLLDTKNPEWDGVVSSHILSEEEPDNGKQDEDLANIWPFPMLRRYIHFVKGYFRPVLTKEAEIVISSYYQLQRRSATGNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTTSAIVDSVGNALHSNFTENPDLEYATQERLILEKLRSFDDFPDANSTR >ONH90955 pep chromosome:Prunus_persica_NCBIv2:G8:11815243:11818449:-1 gene:PRUPE_8G085200 transcript:ONH90955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDFVQKGEVLSPEDSAWVDSCLISESDILDDDWSSLKGALLEILNSQPEPLSYYALPSGEGADTERFQRRTQRSLLPINEDAESGDDDMPTDEDANNLQSSTFTGNPFLPGYRDDDLGVTGNLELGANVVSTVSEMEPSTEDIFRVWDLDVPAEEDDLVRQLEKALEESSLQPLPPTFDDSDVWKNLKVDSVDALIAGIADLSLVQISR >ONH90957 pep chromosome:Prunus_persica_NCBIv2:G8:11815700:11818044:-1 gene:PRUPE_8G085200 transcript:ONH90957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMDVGGEVMESTIPSLIVDFVQKGEVLSPEDSAWVDSCLISESDILDDDWSSLKGALLEILNSQPEPLSYYALPSGEGADTERFQRRTQRSLLPINEDAESGDDDMPTDEDANNLQSSTFTGNPFLPGYRDDDLGVTGNLELGANVVSTVSEMEPSTEDIFRVWDLDVPAEEDDLVRQLEKALEESSLQPLPPTFDDSDVWKNLKVDSVDALIAGIADLSLVQISR >ONH90956 pep chromosome:Prunus_persica_NCBIv2:G8:11815700:11818044:-1 gene:PRUPE_8G085200 transcript:ONH90956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMDVGGEVMESTIPSLIVDFVQKGEVLSPEDSAWVDSCLISESDILDDDWSSLKGALLEILNSQPEPLSYYALPSGEGADTERFQRRTQRSLLPINEDAESGDDDMPTDEDANNLQSSTFTGNPFLPGYRDDDLGVTGNLELGANVVSTVSEMEPSTEDIFRVWDLDVPAEEDDLVRQLEKALEESSLQPLPPTFDDSDVWKNLKVDSVDALIAGIADLSLVQISR >ONH90954 pep chromosome:Prunus_persica_NCBIv2:G8:11815243:11818543:-1 gene:PRUPE_8G085200 transcript:ONH90954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDFVQKGEVLSPEDSAWVDSCLISESDILDDDWSSLKGALLEILNSQPEPLSYYALPSGEGADTERFQRRTQRSLLPINEDAESGDDDMPTDEDANNLQSSTFTGNPFLPGYRDDDLGVTGNLELGANVVSTVSEMEPSTEDIFRVWDLDVPAEEDDLVRQLEKALEESSLQPLPPTFDDSDVWKNLKVDSVDALIAGIADLSLVQISR >ONH93590 pep chromosome:Prunus_persica_NCBIv2:G8:21110532:21113091:-1 gene:PRUPE_8G240800 transcript:ONH93590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSNMGTLALDNENVGSDVYCQLGLRESAKGVMKIPRVLSPLSSLLERCVQKNEMLLEATDIKEVMTIFHGLRAPTLSIRQYIDRIFKYSGCSPSCFVVAQIYVDRFIQCTEVRLTSFNIHRLLITGVMLAAKFIDDAFFNNAYYAKVGGVSTSELNRLEMKFLFTIDFRLQVSIETFKRYCSQLEKEAAGLQIERLIQACGIKENWSNKDDSTCAPTVAR >ONH93591 pep chromosome:Prunus_persica_NCBIv2:G8:21110789:21111566:-1 gene:PRUPE_8G240800 transcript:ONH93591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLALDNENVGSDVYCQLGLRESAKGVMKIPRVLSPLSSLLERCVQKNEMLLEATDIKEVMTIFHGLRAPTLSIRQYIDRIFKYSGCSPSCFVVAQIYVDRFIQCTEVRLTSFNIHRLLITGVMLAAKFIDDAFFNNAYYAKVGGVSTSELNRLEMKFLFTIDFRLQVSIETFKRYCSQLEKEAAGLQIERLIQACGIKENWSNKDDSTCAPTVAR >ONH92060 pep chromosome:Prunus_persica_NCBIv2:G8:16474905:16478534:1 gene:PRUPE_8G151400 transcript:ONH92060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFICEPDLVLGSERRQPWLTSSNSRTRSLLRSIAERIGRESSLLVSHFSSKLSLLMLAFTFASDLFNCRQ >ONH90815 pep chromosome:Prunus_persica_NCBIv2:G8:11039224:11042262:-1 gene:PRUPE_8G076200 transcript:ONH90815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSYSSYPESGDSSPRSREIDFENPPPWDVEQANSQSQNYKVKFMCSYGGKILPRPHDNQLCYVGGETKILAVDRSTKFAALVSKLSSLSETDVSFKYQLPGEDLDALISVTNDDDLEHMMHEYDRVYRTSARPVRMRLFLFNASPDGGFGSDGGRSDRDRFVEALNSSPAQGPDSNSKPPVQNNVDFLFGLEKGGVAAVVPPPPPAVPAVPEHVAPPPEFQVRSSHGDRVIGSDPVEFQRHLQRLQIAEQDHQQAMYRRQVDENLVGGYGGGAGDYYVQKLPEKAPPVTAPPPPGYWAEKQVPSVGFPGTAAPEQPMYMITATTGGGAMYHAPPPMVRPVTGPPGQGYYHMQPRMGSEVYRDQPVYNVVPQQQQQQQQQSTLPPQPQPSTLPPQPQPSTLPPQPPKYVATYAEASPYTQMAYDSVTGRQVYYTAPGGGVMQPPQQQQAYQAVGPPAVGGELRVAGGLSQEGKVVGSKLPQTSV >ONH90816 pep chromosome:Prunus_persica_NCBIv2:G8:11040218:11041910:-1 gene:PRUPE_8G076200 transcript:ONH90816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSYSSYPESGDSSPRSREIDFENPPPWDVEQANSQSQNYKVKFMCSYGGKILPRPHDNQLCYVGGETKILAVDRSTKFAALVSKLSSLSETDVSFKYQLPGEDLDALISVTNDDDLEHMMHEYDRVYRTSARPVRMRLFLFNASPDGGFGSDGGRSDRDRFVEALNSSPAQGPDSNSKPPVQNNVDFLFGLEKGGVAAVVPPPPPAVPAVPEHVAPPPEFQVRSSHGDRVIGSDPVEFQRHLQRLQIAEQDHQQAMYRRQVDENLVGGYGGGAGDYYVQKLPEKAPPVTAPPPPGYWAEKQVPSVGFPGTAAPEQPMYMITATTGGGAMYHAPPPMVRPVTGPPGQGYYHMQPRMGSEVYRDQPVYNVVPQQQQQQQQQSTLPPQPPQNMWRRTPRRRRILRWRTIA >ONH91402 pep chromosome:Prunus_persica_NCBIv2:G8:14108822:14109267:-1 gene:PRUPE_8G112200 transcript:ONH91402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVEVWMSEFAKLKDKVGAKKRMVFSSKGKQGEGDDEVEEEQVLKEARKESSRMAQIQRDLDSSTLSEATVCLLMDRFVPW >ONH91595 pep chromosome:Prunus_persica_NCBIv2:G8:15034419:15039153:1 gene:PRUPE_8G125700 transcript:ONH91595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKEKRKKPNPNKKEEKVFCQNGCRGASLPRFVRRRSERVSVYSYPTNTLSLSRLFYFLAAWTLEMLRKPLDDISGEIGSMQKLSLKVKDILVGIF >ONH92649 pep chromosome:Prunus_persica_NCBIv2:G8:18406277:18409919:1 gene:PRUPE_8G186900 transcript:ONH92649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAELSTPELRQGHADSQSHLQSHQHLLSQIESSIKQTENLSPDKLPPDTISADLRRFSTQLSQLAPFPNSLKILIWKLSYRLWNSCVDLSNASSLRSLPPSKAEDHAKLRHVASDLLFLASDVSGVPSPAIKSASFYLKTGLIWHDLRSFDLASLCFERATDIVSKIDIDKLSDAGERKLLLDLNIARSKTAWEIRDRNLAIALLNRAKGLLFGTPDHHKALANQYLAFGKTALAKSEESQDLNDALKLMNEALDLYEKGLREARTRQETVDLKDLRSKTLRFISALHLQKNEFESVIKCVRVLREECESGDHHPSLSVLAMKAWLGLGKYGEAEKELRGMVVNKGIPEGVWVSAVEAYFQAAGTAGAETAKGVFLGLLGRCHVSASSAVRVAHRVIGDVSEGSRVRAKVVGELVSDNRVVALFNGEGAAKQRTAMHAVLWNCGAEHFRSKDYETSAEMFEKAMLYIPFDIESRILRAKGFRVLCLCHLGLSKLDQAHEYINEAEKLDPNIASAFLKFKIYLQKKDQNGAIDQIQAMATCLDFTPDFLSLAAHEAVACRALAVAVASLSNLLNFYSPGKSMPATEVVVLRTLVTILTQEPGNELEALKFVKRVHNRASELGSDCFFGTGEVGRRERNWFAVTLWNLGTKTGKEKNYELCGEFLRLASEFYGLLADGQAEENMVCKSLILSVSAIIASENQRKTTLSESEVKQALELLDRAGKILKSILPGTQLNGDQLTTTEPDLYFIYTICAYDIHGRLNDSGSQLKLVQKFTSSKAWNPKHLLQIGISASQGPRTNHEVATFALNECLSAFLSSSSPDYQNVALIVRRLIGVTSIHKGDTDDEAVYGMYKQAYRVMVGLKDSEYPTEEGKWLAMTAWNRASLAVRFGQIDVARKWMDVGLQLAKHVPGMETYRACMEDFINDFEKRFCAPNDGERKIDSARSII >ONH90593 pep chromosome:Prunus_persica_NCBIv2:G8:9103673:9107220:-1 gene:PRUPE_8G062700 transcript:ONH90593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTPISCSDSYDHQDTQESCIRGHIIACGGGADHKDKGGERGLRKKVKRFFHSKASSNSSGVNSSSVAMASFEPSCREKLTSSPSVVIPAAPAAACGGSNKRALLCGVTYSHQHRYMLKGTANDVSNMKNLLTNTFGYPAECIRILSDDPGAQDLIPTKKNIENSLKWLVEGCKWGDSLVFYFSGHGLRQPDFQNDEIDGYDETICPVDFLKEGMILDNDINKTIVWPLKAGVKLHAIVDACHSGTILDLEHVYDRKQRTWINNLPPSGARKSTSGGLAISISACEDDKMAADTTVFTKKKMNGAMTYILTETVKENPQMTYGALLDTMANHIENINNERWIKSRIIRKVLRHKTIQEPMLSSSTKFEVYDTKFIL >ONH90594 pep chromosome:Prunus_persica_NCBIv2:G8:9103322:9107466:-1 gene:PRUPE_8G062700 transcript:ONH90594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTPISCSDSYDHQDTQESCIRGHIIACGGGADHKDKGGERGLRKKVKRFFHSKASSNSSGVNSSSVAMASFEPSCREKLTSSPSVVIPAAPAAACGGSNKRALLCGVTYSHQHRYMLKGTANDVSNMKNLLTNTFGYPAECIRILSEDDPGAQDLIPTKKNIENSLKWLVEGCKWGDSLVFYFSGHGLRQPDFQNDEIDGYDETICPVDFLKEGMILDNDINKTIVWPLKAGVKLHAIVDACHSGTILDLEHVYDRKQKSTSGGLAISISACEDDKMAADTTVFTKKKMNGAMTYILTETVKENPQMTYGALLDTMANHIENINNERWIKSRIIRKVLRHKTIQEPMLSSSTKFEVYDTKFIL >ONH90596 pep chromosome:Prunus_persica_NCBIv2:G8:9103673:9107220:-1 gene:PRUPE_8G062700 transcript:ONH90596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTPISCSDSYDHQDTQESCIRGHIIACGGGADHKDKGGERGLRKKVKRFFHSKASSNSSGVNSSSVAMASFEPSCREKLTSSPSVVIPAAPAAACGGSNKRALLCGVTYSHQHRYMLKGTANDVSNMKNLLTNTFGYPAECIRILSEDDPGAQDLIPTKKNIENSLKWLVEGCKWGDSLVFYFSGHGLRQPDFQNDEIDGYDETICPVDFLKEGMILDNDINKTIVWPLKAGVKLHAIVDACHSGTILDLEHVYDRKQRTWINNLPPSGARKSTSGGLAISISACEDDKMAADTTVFTKKKMNGAMTYILTETVKENPQMTYGALLDTMANHIENINNERWIKSRIIRKVLRHKTIQEPMLSSSTKFEVYDTKFIL >ONH90595 pep chromosome:Prunus_persica_NCBIv2:G8:9103322:9107466:-1 gene:PRUPE_8G062700 transcript:ONH90595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTPISCSDSYDHQDTQESCIRGHIIACGGGADHKDKGGERGLRKKVKRFFHSKASSNSSGVNSSSVAMASFEPSCREKLTSSPSVVIPAAPAAACGGSNKRALLCGVTYSHQHRYMLKGTANDVSNMKNLLTNTFGYPAECIRILSEDDPGAQDLIPTKKNIENSLKWLVEGCKWGDSLVFYFSGHGLRQPDFQNDEIDGYDETICPVDFLKEGMILDNDINKTIVWPLKAGVKLHAIVDACHSGTILDLEHVYDRKQRTWINNLPPSGARKSTSGGLAISISACEDDKMAADTTEPMLSSSTKFEVYDTKFIL >ONH90592 pep chromosome:Prunus_persica_NCBIv2:G8:9103322:9107466:-1 gene:PRUPE_8G062700 transcript:ONH90592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTPISCSDSYDHQDTQESCIRGHIIACGGGADHKDKGGERGLRKKVKRFFHSKASSNSSGVNSSSVAMASFEPSCREKLTSSPSVVIPAAPAAACGGSNKRALLCGVTYSHQHRYMLKGTANDVSNMKNLLTNTFGYPAECIRILSDDPGAQDLIPTKKNIENSLKWLVEGCKWGDSLVFYFSGHGLRQPDFQNDEIDGYDETICPVDFLKEGMILDNDINKTIVWPLKAGVKLHAIVDACHSGTILDLEHVYDRKQKSTSGGLAISISACEDDKMAADTTVFTKKKMNGAMTYILTETVKENPQMTYGALLDTMANHIENINNERWIKSRIIRKVLRHKTIQEPMLSSSTKFEVYDTKFIL >ONH92261 pep chromosome:Prunus_persica_NCBIv2:G8:17205287:17209070:1 gene:PRUPE_8G165700 transcript:ONH92261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCTLLLLGLLLALGCALTSSKSIKAKHLDAESETLASLNRSSFPKGFVFGTASSAYQYEGAAKEGGRGPCIWDTYMHKHPERITDHSTGDVAVDEYHRYKEDVQIMKNIGLDAYRFSISWSRVIPKGKLSGGVNYEGINYYKNLINELLANGLTPFVTIFHWDLPQGLEDDYGGFLSPNIVDDFQDYAELCFREFGGKVKHWITLNEPLSYSKNGYATGKYAPGRCSDWLKLNCLGGDSGTEPYLVTHYQLLAHAAAVKLYKDKYQVSQKGSIGITLNTDWFVPVSDEKKDKDAASRALDFSYGWFMDPLTKGHYPQTMQSLVKNRLPEFTKEQSEMLKGSFDFIGLNYYSGTYAADVPRQNDAKPSYKTDALVNQSVERNGVPIGPLGTMTLMIPKFRWRNPLPIRKESTILIAIFIIFIKRSRMVLT >ONH92257 pep chromosome:Prunus_persica_NCBIv2:G8:17204708:17209035:1 gene:PRUPE_8G165700 transcript:ONH92257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCTLLLLGLLLALGCALTSSKSIKAKHLDAESETLASLNRSSFPKGFVFGTASSAYQYEGAAKEGGRGPCIWDTYMHKHPERITDHSTGDVAVDEYHRYKEDVQIMKNIGLDAYRFSISWSRVIPKGKLSGGVNYEGINYYKNLINELLANGLTPFVTIFHWDLPQGLEDDYGGFLSPNIVDDFQDYAELCFREFGGKVKHWITLNEPLSYSKNGYATGKYAPGRCSDWLKLNCLGGDSGTEPYLVTHYQLLAHAAAVKLYKDKYQVSQKGSIGITLNTDWFVPVSDEKKDKDAASRALDFSYGWFMDPLTKGHYPQTMQSLVKNRLPEFTKEQSEMLKGSFDFIGLNYYSGTYAADVPRQNDAKPSYKTDALVNQSVERNGVPIGPLGASDWLHVYPRGFRDILLYTKRNYQNPLIYITENGYDDPNDPKIPMEESLADKKRIDYLDRHLYYLHKAIQDGVNVMGYFIWSLFDNIEWNTGYTVRFGINYIDFKDGLKRHPKQSANWLKNFLKTEKHVEL >ONH92262 pep chromosome:Prunus_persica_NCBIv2:G8:17205425:17209035:1 gene:PRUPE_8G165700 transcript:ONH92262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCTLLLLGLLLALGCALTSSKSIKAKHLDAESETLASLNRSSFPKGFVFGTASSAYQYEGAAKEGGRGPCIWDTYMHKHPEGKLSGGVNYEGINYYKNLINELLANGLTPFVTIFHWDLPQGLEDDYGGFLSPNIVDDFQDYAELCFREFGGKVKHWITLNEPLSYSKNGYATGKYAPGRCSDWLKLNCLGGDSGTEPYLVTHYQLLAHAAAVKLYKDKYQVSQKGSIGITLNTDWFVPVSDEKKDKDAASRALDFSYGWFMDPLTKGHYPQTMQSLVKNRLPEFTKEQSEMLKGSFDFIGLNYYSGTYAADVPRQNDAKPSYKTDALVNQSVERNGVPIGPLGTMTLMIPKFRWRNPLPIRKESTILIAIFIIFIKRSRMVLT >ONH92258 pep chromosome:Prunus_persica_NCBIv2:G8:17205297:17209070:1 gene:PRUPE_8G165700 transcript:ONH92258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCTLLLLGLLLALGCALTSSKSIKAKHLDAESETLASLNRSSFPKGFVFGTASSAYQYEGAAKEGGRGPCIWDTYMHKHPERITDHSTGDVAVDEYHRYKEDVQIMKNIGLDAYRFSISWSRVIPKGKLSGGVNYEGINYYKNLINELLANGLTPFVTIFHWDLPQGLEDDYGGFLSPNIVDDFQDYAELCFREFGGKVKHWITLNEPLSYSKNGYATGKYAPGRCSDWLKLNCLGGDSGTEPYLVTHYQLLAHAAAVKLYKDKYQVSQKGSIGITLNTDWFVPVSDEKKDKDAASRALDFSYGWFMDPLTKGHYPQTMQSLVKNRLPEFTKEQSEMLKGSFDFIGLNYYSGTYAADVPRQNDAKPSYKTDALVNQSVERNGVPIGPLGASDWLHVYPRGFRDILLYTKRNYQNPLIYITENGYDDPNDPKIPMEESLADKKRIDYLDRHLYYLHKAIQDGVNVMGYFIWSLFDNIEWNTGYTVRFGINYIDFKDGLKRHPKQSANWLKNFLKTEKHVEL >ONH92259 pep chromosome:Prunus_persica_NCBIv2:G8:17205314:17209035:1 gene:PRUPE_8G165700 transcript:ONH92259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCTLLLLGLLLALGCALTSSKSIKAKHLDAESETLASLNRSSFPKGFVFGTASSAYQYEGAAKEGGRGPCIWDTYMHKHPEGKLSGGVNYEGINYYKNLINELLANGLTPFVTIFHWDLPQGLEDDYGGFLSPNIVDDFQDYAELCFREFGGKVKHWITLNEPLSYSKNGYATGKYAPGRCSDWLKLNCLGGDSGTEPYLVTHYQLLAHAAAVKLYKDKYQVSQKGSIGITLNTDWFVPVSDEKKDKDAASRALDFSYGWFMDPLTKGHYPQTMQSLVKNRLPEFTKEQSEMLKGSFDFIGLNYYSGTYAADVPRQNDAKPSYKTDALVNQSVERNGVPIGPLGASDWLHVYPRGFRDILLYTKRNYQNPLIYITENGYDDPNDPKIPMEESLADKKRIDYLDRHLYYLHKAIQDGVNVMGYFIWSLFDNIEWNTGYTVRFGINYIDFKDGLKRHPKQSANWLKNFLKTEKHVEL >ONH92260 pep chromosome:Prunus_persica_NCBIv2:G8:17204708:17209035:1 gene:PRUPE_8G165700 transcript:ONH92260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCTLLLLGLLLALGCALTSSKSIKAKHLDAESETLASLNRSSFPKGFVFGTASSAYQYEGAAKEGGRGPCIWDTYMHKHPERITDHSTGDVAVDEYHRYKEDVQIMKNIGLDAYRFSISWSRVIPKGKLSGGVNYEGINYYKNLINELLANGLTPFVTIFHWDLPQGLEDDYGGFLSPNIVDDFQDYAELCFREFGGKVKHWITLNEPLSYSKNGYATGKYAPGRCSDWLKLNCLGGDSGTEPYLVTHYQLLAHAAAVKLYKDKYQVSQKGSIGITLNTDWFVPVSDEKKDKDAASRALDFSYGWFMDPLTKGHYPQTMQSLVKNRLPEFTKEQSEMLKGSFDFIGLNYYSGTYAADVPRQNDAKPSYKTDALVNQSVERNGVPIGPLGTMTLMIPKFRWRNPLPIRKESTILIAIFIIFIKRSRMVLT >ONH92264 pep chromosome:Prunus_persica_NCBIv2:G8:17205540:17207474:1 gene:PRUPE_8G165700 transcript:ONH92264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCTLLLLGLLLALGCALTSSKSIKAKHLDAESETLASLNRSSFPKGFVFGTASSAYQYEGAAKEGGRGPCIWDTYMHKHPERITDHSTGDVAVDEYHRYKEDVQIMKNIGLDAYRFSISWSRVIPKGKLSGGVNYEGINYYKNLINELLANGLTPFVTIFHWDLPQGLEDDYGGFLSPNIVDDFQDYAELCFREFGGKVKHWITLNEPLSYSKNGYATGKYAPGRCSDWLKLNCLGGDSGTEPYLVTHYQLLAHAAAVKLYKDKYQVSQKGSIGITLNTDWFVPVSDEKKDKDAASRALDFSYGWYALIK >ONH92263 pep chromosome:Prunus_persica_NCBIv2:G8:17205314:17208227:1 gene:PRUPE_8G165700 transcript:ONH92263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCTLLLLGLLLALGCALTSSKSIKAKHLDAESETLASLNRSSFPKGFVFGTASSAYQYEGAAKEGGRGPCIWDTYMHKHPERITDHSTGDVAVDEYHRYKEDVQIMKNIGLDAYRFSISWSRVIPKGKLSGGVNYEGINYYKNLINELLANGLTPFVTIFHWDLPQGLEDDYGGFLSPNIVDDFQDYAELCFREFGGKVKHWITLNEPLSYSKNGYATGKYAPGRCSDWLKLNCLGGDSGTEPYLVTHYQLLAHAAAVKLYKDKYQVSQKGSIGITLNTDWFVPVSDEKKDKDAASRALDFSYGWFMDPLTKGHYPQTMQSLVKNRLPEFTKEQSEMLKGSFDFIGLNYYSGTYAADVPRQNDAKPSYKTDALVNQSVERNGVPIGPLVRTCYLPC >ONH92265 pep chromosome:Prunus_persica_NCBIv2:G8:17204708:17209035:1 gene:PRUPE_8G165700 transcript:ONH92265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKHPERITDHSTGDVAVDEYHRYKEDVQIMKNIGLDAYRFSISWSRVIPKGKLSGGVNYEGINYYKNLINELLANGLTPFVTIFHWDLPQGLEDDYGGFLSPNIVDDFQDYAELCFREFGGKVKHWITLNEPLSYSKNGYATGKYAPGRCSDWLKLNCLGGDSGTEPYLVTHYQLLAHAAAVKLYKDKYQVSQKGSIGITLNTDWFVPVSDEKKDKDAASRALDFSYGWFMDPLTKGHYPQTMQSLVKNRLPEFTKEQSEMLKGSFDFIGLNYYSGTYAADVPRQNDAKPSYKTDALVNQSVERNGVPIGPLGASDWLHVYPRGFRDILLYTKRNYQNPLIYITENGYDDPNDPKIPMEESLADKKRIDYLDRHLYYLHKAIQDGVNVMGYFIWSLFDNIEWNTGYTVRFGINYIDFKDGLKRHPKQSANWLKNFLKTEKHVEL >ONH92275 pep chromosome:Prunus_persica_NCBIv2:G8:17259552:17261130:1 gene:PRUPE_8G166400 transcript:ONH92275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVSEEGQAHHHGKDYVDPPPAPLIDSDELKRWSFYRALIAEFIATLLFLYITVSTVIGNKVQSGPCDGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVAYIVAQSLGAIVGVGLVKAFQKHNYNSQGGGANTVAPGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFIVHLATIPITGTGINPARSFGPAVIFNNEKAWDDQWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPTN >ONH91553 pep chromosome:Prunus_persica_NCBIv2:G8:14866530:14867362:1 gene:PRUPE_8G122900 transcript:ONH91553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLGKTTFAKKVFDNLRIYINEKRYAVVFDDVWSINFWECVKLALPDNNDGRKIIITKLARVRLLPLAEKLFLIKCSSFNHYPKISFGNSQEDIQNSVGSSTRARAICYYNSKDVSQWRKLLDSYYDLPYQLRPFFIYFGSYPENRTITCGGLIRQWINEGFIKEQRRKTLEVVALRKNT >ONH90994 pep chromosome:Prunus_persica_NCBIv2:G8:12029800:12036701:1 gene:PRUPE_8G087300 transcript:ONH90994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSRLFKLSSFLFLSREEIFLPNFPAKQTEKMQAKTLIAHPPHSCLLQIPTHSSRSKPSLFFSNPSLSFTSRVTHTLRLPKLLFSAPVRRRNGFATGCVSENLDDSAGNRIIEDGEDDASVSGEVVEVKKEELENPSLWNQMKEIAMFTGPATGLWICGPLMSLIDTVVIGQGSSIELAALGPGTVMCDYMSYVFMFLSIATSNMVATSLARQDKNEVQHQISNLLFVGLTCGFLMLLFTRFFGSWALTAFSGSKNVELISAANTYVQIRGLAWPALLVGWVTQSASLGMKDSWGPLKALAVASAINAVGDVLLCSFLGYGIAGAAWATMVSQVVAGYMMIEALNNKGYNGYAISVPSSKELLTVLGLAAPVFVTMMSKVAFYSLLVYFATSMGTNTMAAHQVMIQTFCMCTVWGEPLSQTAQSFMPEFIYGVNRSLAKARMLLKSLVIIGAILGSVLGIIGTCVPWLFPNIFTPDQKIIQEMHKVLIPYFLALAVTPPTHSLEGTLLAGRDLKFISLSMSGCFSLGGLLLLLLSSRGYGLAGCWWALVAFQWTRFFLSLQRLISPDGMLFSEDMSRYKLEKLRAV >ONH90993 pep chromosome:Prunus_persica_NCBIv2:G8:12029800:12036701:1 gene:PRUPE_8G087300 transcript:ONH90993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSRLFKLSSFLFLSREEIFLPNFPAKQTEKMQAKTLIAHPPHSCLLQIPTHSSRSKPSLFFSNPSLSFTSRVTHTLRLPKLLFSAPVRRRNGFATGCVSENLDDSAGNRIIEDGEDDASVSGEVVEVKKEELENPSLWNQMKEIAMFTGPATGLWICGPLMSLIDTVVIGQGSSIELAALGPGTVMCDYMSYVFMFLSIATSNMVATSLARQDKNEVQHQISNLLFVGLTCGFLMLLFTRFFGSWALTAFSGSKNVELISAANTYVQIRGLAWPALLVGWVTQSASLGMKDSWGPLKALAVASAINAVGDVLLCSFLGYGIAGAAWATMVSQVVAGYMMIEALNNKGYNGYAISVPSSKELLTVLGLAAPVFVTMMSKVAFYSLLVYFATSMGTNTMAAHQVMIQTFCMCTVWGEPLSQTAQSFMPEFIYGVNRSLAKARMLLKSLVIIGAILGSVLGIIGTCVPWLFPNIFTPDQKIIQEMHKVLIPYFLALAVTPPTHSLEGTLLAGRDLKFISLSMSGCFSLGGLLLLLLSSRGYGLAGCWWALVAFQWTRFFLSLQRLISPDGMLFSEDMSRYKLEKLRAV >ONH90995 pep chromosome:Prunus_persica_NCBIv2:G8:12029800:12036701:1 gene:PRUPE_8G087300 transcript:ONH90995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSRLFKLSSFLFLSREEIFLPNFPAKQTEKMQAKTLIAHPPHSCLLQIPTHSSRSKPSLFFSNPSLSFTSRVTHTLRLPKLLFSAPVRRRNGFATGCVSENLDDSAGNRIIEDGEDDASVSGEVVEVKKEELENPSLWNQMKEIAMFTGPATGLWICGPLMSLIDTVVIGQGSSIELAALGPGTVMCDYMSYVFMFLSIATSNMVATSLARQDKNEVQHQISNLLFVGLTCGFLMLLFTRFFGSWALTAFSGSKNVELISAANTYVQIRGLAWPALLVGWVTQSASLGMKDSWGPLKALAVASAINAVGDVLLCSFLGYGIAGAAWATMVSQVVAGYMMIEALNNKGYNGYAISVPSSKELLTVLGLAAPVFVTMMSKVAFYSLLVYFATSMGTNTMAAHQVMIQTFCMCTVWGEPLSQTAQSFMPEFIYGVNRSLAKARMLLKSLVIIGAILGSVLGIIGTCVPWLFPNIFTPDQKIIQEMHKVLIPYFLALAVTPPTHSLEGTLLAGRDLKFISLSMSGCFSLGGLLLLLLSSRGYGLAGCWWALVAFQWTRFFLSLQRLISPDGMLFSEDMSRYKLEKLRAV >ONH89567 pep chromosome:Prunus_persica_NCBIv2:G8:231471:234315:1 gene:PRUPE_8G002600 transcript:ONH89567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVLRLLSSSRNQFPTRGALSMHAYTHSSSFSTASKSFSVKGTSAHNVFASFNIFKGKAALSLNPVLPTFTKLESGNLVVSRRGVVMLKFTPAIGERKYDWEKNQVFALSATEVGSLISLGPKDSCELFHDPSMKSSNAGQVRKSLSIKCHADGSGYLVSLAVVNNLLKTKENFIVPVTAAEFAVMKTACSFVLPHIMGWDRLMSNMPTVVGGQQSKVVPQLLDEWDR >ONH89569 pep chromosome:Prunus_persica_NCBIv2:G8:231471:234315:1 gene:PRUPE_8G002600 transcript:ONH89569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYTHSSSFSTASKSFSVKGTSAHNVFASFNIFKGKAALSLNPVLPTFTKLESGNLVVSRRGVVMLKFTPAIGERKYDWEKNQVFALSATEVGSLISLGPKDSCELFHDPSMKSSNAGQVRKSLSIKCHADGSGYLVSLAVVNNLLKTKENFIVPVTAAEFAVMKTACSFVLPHIMGWDRLMSNMPTVVGGQQSKVVPQLLDEWDR >ONH89570 pep chromosome:Prunus_persica_NCBIv2:G8:231471:234315:1 gene:PRUPE_8G002600 transcript:ONH89570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYTHSSSFSTASKSFSVKGTSAHNVFASFNIFKGKAALSLNPVLPTFTKLESGNLVVSRRGVVMLKFTPAIGERKYDWEKNQVFALSATEVGSLISLGPKDSCELFHDPSMKSSNAGQVRKSLSIKCHADGSGYLVSLAVVNNLLKTKENFIVPVTAAEFAVMKTACSFVLPHIMGWDRLMSNMPTVVGGQQSKVVPQLLDEWDR >ONH89568 pep chromosome:Prunus_persica_NCBIv2:G8:231471:234315:1 gene:PRUPE_8G002600 transcript:ONH89568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYTHSSSFSTASKSFSVKGTSAHNVFASFNIFKGKAALSLNPVLPTFTKLESGNLVVSRRGVVMLKFTPAIGERKYDWEKNQVFALSATEVGSLISLGPKDSCELFHDPSMKSSNAGQVRKSLSIKCHADGSGYLVSLAVVNNLLKTKENFIVPVTAAEFAVMKTACSFVLPHIMGWDRLMSNMPTVVGGQQSKVVPQLLDEWDR >ONH90553 pep chromosome:Prunus_persica_NCBIv2:G8:8702422:8704664:-1 gene:PRUPE_8G060900 transcript:ONH90553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSTSAKVCFLAYCLILLYVSSPNWVAFASATSTSDTEAKALLKWKASLFQNKALNILICNPIPKTTPCTWTGISCSRSKKGVRAVPSSTLRLVAPLGHFIEQLIGNLKSLVDIELSSNNLSGPITPNLGNLKKLNSLYLDDNQLFGSIPKEIGNLKYLVELCLSKNQLKGSIPRSLADLTSLTYLSLFDNKLYGTIPNEIVNVKSIVKLELSSNALSGLIPPNIGNLKKLNILHLEGNQFSGSISRSLGDLKSLTHIYLYGNQLSGAIPNEIGNLKSLVYLKLGFNTLRATPPMSLAQGCGGKKNKITFQR >ONH91406 pep chromosome:Prunus_persica_NCBIv2:G8:14139245:14141944:1 gene:PRUPE_8G112600 transcript:ONH91406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDDVEIEDMEWNNELQAYTYPCPCGDLFQITKEDLKLGEEIARCPSCSLYITVVYNVEDFLDKKSNKPIEPSKQQPITVA >ONH91408 pep chromosome:Prunus_persica_NCBIv2:G8:14139276:14141987:1 gene:PRUPE_8G112600 transcript:ONH91408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDDVEIEDMEWNNELQAYTYPCPCGDLFQITKEDLKLGEEIARCPSCSLYITVVYNVEDFLDKKSNKPIEPSKQQPITVA >ONH91407 pep chromosome:Prunus_persica_NCBIv2:G8:14139355:14141933:1 gene:PRUPE_8G112600 transcript:ONH91407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDDVEIEDMEWNNELQAYTYPCPCGDLFQITKEDLKLGEEIARCPSCSLYITVVYNVEDFLDKKSNKPIEPSKQQPITVA >ONH91409 pep chromosome:Prunus_persica_NCBIv2:G8:14139357:14140764:1 gene:PRUPE_8G112600 transcript:ONH91409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDDVEIEDMEWNNELQAYTYPCPCGDLFQITKEDLKLGEEIARCPSCSLYITVVYNVEDFLDKKSNKPIEPSKQQPITVA >ONH92283 pep chromosome:Prunus_persica_NCBIv2:G8:17266402:17270997:-1 gene:PRUPE_8G166700 transcript:ONH92283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIGRVHPDCVNVSNPYHECTENCLRKIQEGKGRKTKKKSDNGSTFKDGEFKKKAADDRRASNPYHDPEYYLQQTGGAKPRGDIKEPGSILDVPILGRKKERSRPKPPQELDNVPGQGAVYHSYALSSKEKVNLENGSILDDPIFSRRKQGSQPKTSEELEDVPVEDSVLDDPIFGRRKHESKPKHPKEIENVPDEGVLYPSDLPSSPSKDKVKLQNGEHKSYSPPISEVKDSFNKGQVQSSLLVPPSGIITMPEYPKDPPENGATDVTSELPGHGEDNENLHHSVPNLTVNNAGEGAAGSASDSRSFSFSGTLQPFEESDEEETRSVNSDSSVSVGKYRVKGSFSSILQSIVDKYGDIAASCQLESIVIRSYYLECVCYVVQELKRTSIKQLTKPKVKEMLSMLKDVESSGMDVGWLRLIINKCAEATELVSQHRAFEAAKANCDRDIESTKKELESQMEVLAQKEKEVAETRAHLRELELKSSALSETVSNMKSKVDNLQTKPLLDKVL >ONH92281 pep chromosome:Prunus_persica_NCBIv2:G8:17266402:17270997:-1 gene:PRUPE_8G166700 transcript:ONH92281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIGRVHPDCVNVSNPYHECTENCLRKIQEGKGRKTKKKSGSILDVPILGRKKERSRPKPPQELDNVPGQGAVYHSYALSSKEKVNLENGSILDDPIFSRRKQGSQPKTSEELEDVPVEDSVLDDPIFGRRKHESKPKHPKEIENVPDEGVLYPSDLPSSPSKDKVKLQNGEHKSYSPPISEVKDSFNKGQVQSSLLVPPSGIITMPEYPKDPPENGATDVTSELPGHGEDNENLHHSVPNLTVNNAGEGAAGSASDSRSFSFSGTLQPFEESDEEETRSVNSDSSVSVGKYRVKGSFSSILQSIVDKYGDIAASCQLESIVIRSYYLECVCYVVQELKRTSIKQLTKPKVKEMLSMLKDVESSGMDVGWLRLIINKCAEATELVSQHRAFEAAKANCDRDIESTKKELESQMEVLAQKEKEVAETRAHLRELELKSSALSETVSNMKSKVDNLQTKPLLDKVL >ONH92285 pep chromosome:Prunus_persica_NCBIv2:G8:17266785:17269855:-1 gene:PRUPE_8G166700 transcript:ONH92285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIGRVHPDCVNVSNPYHECTENCLRKIQEGKGRKTKKKSDNGSTFKDGEFKKKAADDRRASNPYHDPEYYLQQTGGAKPRGDIKEPGSILDVPILGRKKERSRPKPPQELDNVPGQGAVYHSYALSSKEKVNLENGKGSILDDPIFSRRKQGSQPKTSEELEDVPVEDSVLDDPIFGRRKHESKPKHPKEIENVPDEGVLYPSDLPSSPSKDKVKLQNGEHKSYSPPISEVKDSFNKGQVQSSLLVPPSGIITMPEYPKDPPENGATDVTSELPGHGEDNENLHHSVPNLTVNNAGEGAAGSASDSRSFSFSGTLQPFEESDEEETRSVNSDSSVSVGKYRVKGSFSSILQSIVDKYGDIAASCQLESIVIRSYYLECVCYVVQELKRTSIKQLTKPKVKEMLSMLKDVESSGMDVGWLRLIINKCAEATELVSQHRAFEAAKANCDRDIESTKKELESQMEVLAQKEKEVAETRAHLRELELKSSALSETVSNMKSKVDNLQTKPLLDKVL >ONH92284 pep chromosome:Prunus_persica_NCBIv2:G8:17266402:17269882:-1 gene:PRUPE_8G166700 transcript:ONH92284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIGRVHPDCVNVSNPYHECTENCLRKIQEGKGRKTKKKSDNGSTFKDGEFKKKAADDRRASNPYHDPEYYLQQTGGAKPRGDIKEPGSILDVPILGRKKERSRPKPPQELDNVPGQGAVYHSYALSSKEKVNLENGSILDDPIFSRRKQGSQPKTSEELEDVPVEGIAYPSSSSSSSSKEIVKLGNDSVLDDPIFGRRKHESKPKHPKEIENVPDEGVLYPSDLPSSPSKDKVKLQNGEHKSYSPPISEVKDSFNKGQVQSSLLVPPSGIITMPEYPKDPPENGATDVTSELPGHGEDNENLHHSVPNLTVNNAGEGAAGSASDSRSFSFSGTLQPFEESDEEETRSVNSDSSVSVGKYRVKGSFSSILQSIVDKYGDIAASCQLESIVIRSYYLECVCYVVQELKRTSIKQLTKPKVKEMLSMLKDVESSGMDVGWLRLIINKCAEATELVSQHRAFEAAKANCDRDIESTKKELESQMEVLAQKEKEVAETRAHLRELELKSSALSETVSNMKSKVDNLQTKPLLDKVL >ONH92282 pep chromosome:Prunus_persica_NCBIv2:G8:17266785:17269855:-1 gene:PRUPE_8G166700 transcript:ONH92282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIGRVHPDCVNVSNPYHECTENCLRKIQEGKGRKTKKKSGSILDVPILGRKKERSRPKPPQELDNVPGQGAVYHSYALSSKEKVNLENGKGSILDDPIFSRRKQGSQPKTSEELEDVPVEDSVLDDPIFGRRKHESKPKHPKEIENVPDEGVLYPSDLPSSPSKDKVKLQNGEHKSYSPPISEVKDSFNKGQVQSSLLVPPSGIITMPEYPKDPPENGATDVTSELPGHGEDNENLHHSVPNLTVNNAGEGAAGSASDSRSFSFSGTLQPFEESDEEETRSVNSDSSVSVGKYRVKGSFSSILQSIVDKYGDIAASCQLESIVIRSYYLECVCYVVQELKRTSIKQLTKPKVKEMLSMLKDVESSGMDVGWLRLIINKCAEATELVSQHRAFEAAKANCDRDIESTKKELESQMEVLAQKEKEVAETRAHLRELELKSSALSETVSNMKSKVDNLQTKPLLDKVL >ONH90432 pep chromosome:Prunus_persica_NCBIv2:G8:5956155:5961386:1 gene:PRUPE_8G053300 transcript:ONH90432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFLPSPHQSAPQPNHDVFLSFRGEDTRHSFVSHLYHELQLRGIKTFKDDPKLERGTPISSELFNAIEESRLAIVVLSPNYASSSWCLNELTKILQCMKSIGTILPVFYNVDPSVVRKQSGSFADAFAEHEKRFREDIDKVKRWRDALTEVANLSGIDSKKECERKLIEKIVEWVWSKMHRTFKLLDSTELVGIKFTLEHKDWLLAPTDDVRFIGIWGMGGIGKTTIAKLVYESISIHFEVSCFLANVREVSEHGNLVNLQRQLLFPILKEQITQVWDELWGTYFIKNCLSNKKVLLILDDVSESSQLEKLAGEKDWFGKGSIIIITTRDERLLVKHDMQVSYKVEGLGDDDALELFSRNAFKKNEPEEGFLELSKGFANYAKGLPLALKLLGCLVYKRDQDEWKSELDKLRKIPKSEIFDLLKLSYDGLDEMNKNIFLDVAFFHKWKGKEEVIEILDSCGLCGRIGINALVQKSLLTISNRNVEMHDLIQEMALEIVRRECPEEPGRRSRLCNHDDISYVFINNTATDKIKGIRLHMATLEKAYWNCEALSKMLNLEFLEFDNVIISSSPRILPNSLRSIKWSQYPSKFLPSGFQPNFLIALEMRYSKLIRLWGGRKDLPNLKIMNLFGSENLTTTPDLSGIPNLQVLDFQLCKNLVEIHPSIADLKCLKRLYLGFCSKLKKTPEFSEQMKNMLSLSLTKTSIEKLSSSIGCLVGLTDFFLVDCKNLASLPNEICNLKSLKELNVDGCSKIDKLPENMGEMESLTKLQLCGTSIRQLPSSVCGLKKLYRLSLRGSGSQPNKSRFWWGLPRLYQRNAIVLGSLDGLCSLGKLDLSDCGLCEGDLPNDIGCLSYLEQLKLSGNNFVSLPASIGCLSKLKLFWVNGCQKLQQLPDLSKLISLVDIDMTGCTSLKMLPQLLSNCSLVDINNNIHFPSFSCANCFVLVDNEGCDSILMKMLQRYLQVLSLSLSCCLL >ONH90431 pep chromosome:Prunus_persica_NCBIv2:G8:5955340:5962495:1 gene:PRUPE_8G053300 transcript:ONH90431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFLPSPHQSAPQPNHDVFLSFRGEDTRHSFVSHLYHELQLRGIKTFKDDPKLERGTPISSELFNAIEESRLAIVVLSPNYASSSWCLNELTKILQCMKSIGTILPVFYNVDPSVVRKQSGSFADAFAEHEKRFREDIDKVKRWRDALTEVANLSGIDSKKECERKLIEKIVEWVWSKMHRTFKLLDSTELVGIKFTLEHKDWLLAPTDDVRFIGIWGMGGIGKTTIAKLVYESISIHFEVSCFLANVREVSEHGNLVNLQRQLLFPILKEQITQVWDELWGTYFIKNCLSNKKVLLILDDVSESSQLEKLAGEKDWFGKGSIIIITTRDERLLVKHDMQVSYKVEGLGDDDALELFSRNAFKKNEPEEGFLELSKGFANYAKGLPLALKLLGCLVYKRDQDEWKSELDKLRKIPKSEIFDLLKLSYDGLDEMNKNIFLDVAFFHKWKGKEEVIEILDSCGLCGRIGINALVQKSLLTISNRNVEMHDLIQEMALEIVRRECPEEPGRRSRLCNHDDISYVFINNTATDKIKGIRLHMATLEKAYWNCEALSKMLNLEFLEFDNVIISSSPRILPNSLRSIKWSQYPSKFLPSGFQPNFLIALEMRYSKLIRLWGGRKDLPNLKIMNLFGSENLTTTPDLSGIPNLQVLDFQLCKNLVEIHPSIADLKCLKRLYLGFCSKLKKTPEFSEQMKNMLSLSLTKTSIEKLSSSIGCLVGLTDFFLVDCKNLASLPNEICNLKSLKELNVDGCSKIDKLPENMGEMESLTKLQLCGTSIRQLPSSVCGLKKLYRLSLRGSGSQPNKSRFWWGLPRLYQRNAIVLGSLDGLCSLGKLDLSDCGLCEGDLPNDIGCLSYLEQLKLSGNNFVSLPASIGCLSKLKLFWVNGCQKLQQLPDLSKLISLVDIDMTGCTSLKMLPQLLSNCSLVDINNNIHFPSFSCANCFVLVDNEGCDSILMKMLQRYLQLIPRPCFEYPFEIVTPGREIPEWFSNQSLGDSLTVELPLDSCTTWMGIALCAVFEVQDDLSEFHYFQISCSLQGMQPFGFSRCFKIRDVVSDHLWVIYISREKFVKKCGQIKVLSTTYYSKEEMWRPEKSCMSVKKCAFRLVHEQDVEQLNQIMRNKSIIKSTTSCPTKSADAQGQQCHDDEEASPSGSGSSHQKISLLQSYALSEEADQDELNDDDDDVVDEARPRKRKKIDVFGTDL >ONH90427 pep chromosome:Prunus_persica_NCBIv2:G8:5953543:5962155:1 gene:PRUPE_8G053300 transcript:ONH90427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAPASGTGPINLCNERILLLILRRSIYCEFLVGLLVVWWLSYKFSGSVIFSAFRGEDTRHSFVSHLYHELQLRGIKTFKDDPKLERGTPISSELFNAIEESRLAIVVLSPNYASSSWCLNELTKILQCMKSIGTILPVFYNVDPSVVRKQSGSFADAFAEHEKRFREDIDKVKRWRDALTEVANLSGIDSKKECERKLIEKIVEWVWSKMHRTFKLLDSTELVGIKFTLEHKDWLLAPTDDVRFIGIWGMGGIGKTTIAKLVYESISIHFEVSCFLANVREVSEHGNLVNLQRQLLFPILKEQITQVWDELWGTYFIKNCLSNKKVLLILDDVSESSQLEKLAGEKDWFGKGSIIIITTRDERLLVKHDMQVSYKVEGLGDDDALELFSRNAFKKNEPEEGFLELSKGFANYAKGLPLALKLLGCLVYKRDQDEWKSELDKLRKIPKSEIFDLLKLSYDGLDEMNKNIFLDVAFFHKWKGKEEVIEILDSCGLCGRIGINALVQKSLLTISNRNVEMHDLIQEMALEIVRRECPEEPGRRSRLCNHDDISYVFINNTATDKIKGIRLHMATLEKAYWNCEALSKMLNLEFLEFDNVIISSSPRILPNSLRSIKWSQYPSKFLPSGFQPNFLIALEMRYSKLIRLWGGRKDLPNLKIMNLFGSENLTTTPDLSGIPNLQVLDFQLCKNLVEIHPSIADLKCLKRLYLGFCSKLKKTPEFSEQMKNMLSLSLTKTSIEKLSSSIGCLVGLTDFFLVDCKNLASLPNEICNLKSLKELNVDGCSKIDKLPENMGEMESLTKLQLCGTSIRQLPSSVCGLKKLYRLSLRGSGSQPNKSRFWWGLPRLYQRNAIVLGSLDGLCSLGKLDLSDCGLCEGDLPNDIGCLSYLEQLKLSGNNFVSLPASIGCLSKLKLFWVNGCQKLQQLPDLSKLISLVDIDMTGCTSLKMLPQLLSNCSLVDINNNIHFPSFSCANCFVLVDNEGCDSILMKMLQRYLQLIPRPCFEYPFEIVTPGREIPEWFSNQSLGDSLTVELPLDSCTTWMGIALCAVFEVQDDLSEFHYFQISCSLQGMQPFGFSRCFKIRDVVSDHLWVIYISREKFVKKCGQIKVLSTTYYSKEEMWRPEKSCMSVKKCAFRLVHEQDVEQLNQIMRNKSIIKSTTSCPTKSADAQGQQCHDDEEASPSGSGSSHQKISLLQSYALSEEADQDELNDDDDDVVDEARPRKRKKIDVFGTDL >ONH90433 pep chromosome:Prunus_persica_NCBIv2:G8:5956155:5961386:1 gene:PRUPE_8G053300 transcript:ONH90433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFLPSPHQSAPQPNHDVFLSFRGEDTRHSFVSHLYHELQLRGIKTFKDDPKLERGTPISSELFNAIEESRLAIVVLSPNYASSSWCLNELTKILQCMKSIGTILPVFYNVDPSVVRKQSGSFADAFAEHEKRFREDIDKVKRWRDALTEVANLSGIDSKKECERKLIEKIVEWVWSKMHRTFKLLDSTELVGIKFTLEHKDWLLAPTDDVRFIGIWGMGGIGKTTIAKLVYESISIHFEVSCFLANVREVSEHGNLVNLQRQLLFPILKEQITQVWDELWGTYFIKNCLSNKKVLLILDDVSESSQLEKLAGEKDWFGKGSIIIITTRDERLLVKHDMQVSYKVEGLGDDDALELFSRNAFKKNEPEEGFLELSKGFANYAKGLPLALKLLGCLVYKRDQDEWKSELDKLRKIPKSEIFDLLKLSYDGLDEMNKNIFLDVAFFHKWKGKEEVIEILDSCGLCGRIGINALVQKSLLTISNRNVEMHDLIQEMALEIVRRECPEEPGRRSRLCNHDDISYVFINNTATDKIKGIRLHMATLEKAYWNCEALSKMLNLEFLEFDNVIISSSPRILPNSLRSIKWSQYPSKFLPSGFQPNFLIALEMRYSKLIRLWGGRKDLPNLKIMNLFGSENLTTTPDLSGIPNLQVLDFQLCKNLVEIHPSIADLKCLKRLYLGFCSKLKKTPEFSEQMKNMLSLSLTKTSIEKLSSSIGCLVGLTDFFLVDCKNLASLPNEICNLKSLKELNVDGCSKIDKLPENMGEMESLTKLQLCGTSIRQLPSSVCGLKKLYRLSLRGSGSQPNKSRFWWGLPRLYQRNAIVLGSLDGLCSLGKLDLSDCGLCEGDLPNDIGCLSYLEQLKLSGNNFVSLPASIGCLSKLKLFWVNGCQKLQQLPDLSKLISLVDIDMTGCTSLKMLPQLLSNCSLVDINNNIHFPSFSCANCFVLVDNEGCDSILMKMLQRYLQVLSLSLSCCLL >ONH90430 pep chromosome:Prunus_persica_NCBIv2:G8:5955432:5962452:1 gene:PRUPE_8G053300 transcript:ONH90430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFLPSPHQSAPQPNHDVFLSFRGEDTRHSFVSHLYHELQLRGIKTFKDDPKLERGTPISSELFNAIEESRLAIVVLSPNYASSSWCLNELTKILQCMKSIGTILPVFYNVDPSVVRKQSGSFADAFAEHEKRFREDIDKVKRWRDALTEVANLSGIDSKKECERKLIEKIVEWVWSKMHRTFKLLDSTELVGIKFTLEHKDWLLAPTDDVRFIGIWGMGGIGKTTIAKLVYESISIHFEVSCFLANVREVSEHGNLVNLQRQLLFPILKEQITQVWDELWGTYFIKNCLSNKKVLLILDDVSESSQLEKLAGEKDWFGKGSIIIITTRDERLLVKHDMQVSYKVEGLGDDDALELFSRNAFKKNEPEEGFLELSKGFANYAKGLPLALKLLGCLVYKRDQDEWKSELDKLRKIPKSEIFDLLKLSYDGLDEMNKNIFLDVAFFHKWKGKEEVIEILDSCGLCGRIGINALVQKSLLTISNRNVEMHDLIQEMALEIVRRECPEEPGRRSRLCNHDDISYVFINNTATDKIKGIRLHMATLEKAYWNCEALSKMLNLEFLEFDNVIISSSPRILPNSLRSIKWSQYPSKFLPSGFQPNFLIALEMRYSKLIRLWGGRKDLPNLKIMNLFGSENLTTTPDLSGIPNLQVLDFQLCKNLVEIHPSIADLKCLKRLYLGFCSKLKKTPEFSEQMKNMLSLSLTKTSIEKLSSSIGCLVGLTDFFLVDCKNLASLPNEICNLKSLKELNVDGCSKIDKLPENMGEMESLTKLQLCGTSIRQLPSSVCGLKKLYRLSLRGSGSQPNKSRFWWGLPRLYQRNAIVLGSLDGLCSLGKLDLSDCGLCEGDLPNDIGCLSYLEQLKLSGNNFVSLPASIGCLSKLKLFWVNGCQKLQQLPDLSKLISLVDIDMTGCTSLKMLPQLLSNCSLVDINNNIHFPSFSCANCFVLVDNEGCDSILMKMLQRYLQLIPRPCFEYPFEIVTPGREIPEWFSNQSLGDSLTVELPLDSCTTWMGIALCAVFEVQDDLSEFHYFQISCSLQGMQPFGFSRCFKIRDVVSDHLWVIYISREKFVKKCGQIKVLSTTYYSKEEMWRPEKSCMSVKKCAFRLVHEQDVEQLNQIMRNKSIIKSTTSCPTKSADAQGQQCHDDEEASPSGSGSSHQKISLLQSYALSEEADQDELNDDDDDVVDEARPRKRKKIDVFGTDL >ONH90428 pep chromosome:Prunus_persica_NCBIv2:G8:5955425:5962479:1 gene:PRUPE_8G053300 transcript:ONH90428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFLPSPHQSAPQPNHDVFLSFRGEDTRHSFVSHLYHELQLRGIKTFKDDPKLERGTPISSELFNAIEESRLAIVVLSPNYASSSWCLNELTKILQCMKSIGTILPVFYNVDPSVVRKQSGSFADAFAEHEKRFREDIDKVKRWRDALTEVANLSGIDSKKECERKLIEKIVEWVWSKMHRTFKLLDSTELVGIKFTLEHKDWLLAPTDDVRFIGIWGMGGIGKTTIAKLVYESISIHFEVSCFLANVREVSEHGNLVNLQRQLLFPILKEQITQVWDELWGTYFIKNCLSNKKVLLILDDVSESSQLEKLAGEKDWFGKGSIIIITTRDERLLVKHDMQVSYKVEGLGDDDALELFSRNAFKKNEPEEGFLELSKGFANYAKGLPLALKLLGCLVYKRDQDEWKSELDKLRKIPKSEIFDLLKLSYDGLDEMNKNIFLDVAFFHKWKGKEEVIEILDSCGLCGRIGINALVQKSLLTISNRNVEMHDLIQEMALEIVRRECPEEPGRRSRLCNHDDISYVFINNTATDKIKGIRLHMATLEKAYWNCEALSKMLNLEFLEFDNVIISSSPRILPNSLRSIKWSQYPSKFLPSGFQPNFLIALEMRYSKLIRLWGGRKDLPNLKIMNLFGSENLTTTPDLSGIPNLQVLDFQLCKNLVEIHPSIADLKCLKRLYLGFCSKLKKTPEFSEQMKNMLSLSLTKTSIEKLSSSIGCLVGLTDFFLVDCKNLASLPNEICNLKSLKELNVDGCSKIDKLPENMGEMESLTKLQLCGTSIRQLPSSVCGLKKLYRLSLRGSGSQPNKSRFWWGLPRLYQRNAIVLGSLDGLCSLGKLDLSDCGLCEGDLPNDIGCLSYLEQLKLSGNNFVSLPASIGCLSKLKLFWVNGCQKLQQLPDLSKLISLVDIDMTGCTSLKMLPQLLSNCSLVDINNNIHFPSFSCANCFVLVDNEGCDSILMKMLQRYLQLIPRPCFEYPFEIVTPGREIPEWFSNQSLGDSLTVELPLDSCTTWMGIALCAVFEVQDDLSEFHYFQISCSLQGMQPFGFSRCFKIRDVVSDHLWVIYISREKFVKKCGQIKVLSTTYYSKEEMWRPEKSCMSVKKCAFRLVHEQDVEQLNQIMRNKSIIKSTTSCPTKSADAQGQQCHDDEEASPSGSGSSHQKISLLQSYALSEEADQDELNDDDDDVVDEARPRKRKKIDVFGTDL >ONH90429 pep chromosome:Prunus_persica_NCBIv2:G8:5955425:5962954:1 gene:PRUPE_8G053300 transcript:ONH90429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRDSAFLPSPHQSAPQPNHDVFLSFRGEDTRHSFVSHLYHELQLRGIKTFKDDPKLERGTPISSELFNAIEESRLAIVVLSPNYASSSWCLNELTKILQCMKSIGTILPVFYNVDPSVVRKQSGSFADAFAEHEKRFREDIDKVKRWRDALTEVANLSGIDSKKECERKLIEKIVEWVWSKMHRTFKLLDSTELVGIKFTLEHKDWLLAPTDDVRFIGIWGMGGIGKTTIAKLVYESISIHFEVSCFLANVREVSEHGNLVNLQRQLLFPILKEQITQVWDELWGTYFIKNCLSNKKVLLILDDVSESSQLEKLAGEKDWFGKGSIIIITTRDERLLVKHDMQVSYKVEGLGDDDALELFSRNAFKKNEPEEGFLELSKGFANYAKGLPLALKLLGCLVYKRDQDEWKSELDKLRKIPKSEIFDLLKLSYDGLDEMNKNIFLDVAFFHKWKGKEEVIEILDSCGLCGRIGINALVQKSLLTISNRNVEMHDLIQEMALEIVRRECPEEPGRRSRLCNHDDISYVFINNTATDKIKGIRLHMATLEKAYWNCEALSKMLNLEFLEFDNVIISSSPRILPNSLRSIKWSQYPSKFLPSGFQPNFLIALEMRYSKLIRLWGGRKDLPNLKIMNLFGSENLTTTPDLSGIPNLQVLDFQLCKNLVEIHPSIADLKCLKRLYLGFCSKLKKTPEFSEQMKNMLSLSLTKTSIEKLSSSIGCLVGLTDFFLVDCKNLASLPNEICNLKSLKELNVDGCSKIDKLPENMGEMESLTKLQLCGTSIRQLPSSVCGLKKLYRLSLRGSGSQPNKSRFWWGLPRLYQRNAIVLGSLDGLCSLGKLDLSDCGLCEGDLPNDIGCLSYLEQLKLSGNNFVSLPASIGCLSKLKLFWVNGCQKLQQLPDLSKLISLVDIDMTGCTSLKMLPQLLSNCSLVDINNNIHFPSFSCANCFVLVDNEGCDSILMKMLQRYLQLIPRPCFEYPFEIVTPGREIPEWFSNQSLGDSLTVELPLDSCTTWMGIALCAVFEVQDDLSEFHYFQISCSLQGMQPFGFSRCFKIRDVVSDHLWVIYISREKFVKKCGQIKVLSTTYYSKEEMWRPEKSCMSVKKCAFRLVHEQDVEQLNQIMRNKSIIKSTTSCPTKSADAQGQQCHDDEEASPSGSGSSHQKISLLQSYALSEEADQDELNDDDDDVVDEARPRKRKKIDVFGTDL >ONH90439 pep chromosome:Prunus_persica_NCBIv2:G8:6123456:6124218:1 gene:PRUPE_8G053900 transcript:ONH90439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALIVLPARTATQQGPGSLSRFATFTLSRALGTATSANETRTQKLERIADELLDLTKIERHDYSILFRLKMGLNRYGPAVSGIGSASSESGPASADSKVVEKTAFDIKIEKFDAAAKIKIIKEVRTFTDLGLKEAKELVEKAPVVVKKGVTKEEAGPIVDKLKELGATVVLE >ONH90909 pep chromosome:Prunus_persica_NCBIv2:G8:11616796:11620804:-1 gene:PRUPE_8G082900 transcript:ONH90909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSADSRRGGQTLAVNNSKMDDGRQHENGRHKMDYYRGAASPWNMATQQQAKEPNALVMNKKIMSIIAERDAAIRERNAALTEKNEALAARDEALRQRDEALAQRDTAMMERDNAFAALHMRDNAVNFPLGGGVQRGAKRLHHPSNHSVTLAEAHYSTKDMHITDAFPISVISAEAVKSRQTKRAKENKASRASKPSRKKVGEDLNRQASSDGIKYKSEWDTHDLGLNLVSFDESTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTHMSMYPLPQMPNKRHARMGGRKMSGSVFTRLLSRLAADGHDLSIPLDLKEYWARHGTNRYITIK >ONH90907 pep chromosome:Prunus_persica_NCBIv2:G8:11615310:11620783:-1 gene:PRUPE_8G082900 transcript:ONH90907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSADSRRGGQTLAVNNSKMDDGRQHENGRHKMDYYRGAASPWNMATQQQAKEPNALVMNKKIMSIIAERDAAIRERNAALTEKNEALAARDEALRQRDEALAQRDTAMMERDNAFAALHMRDNAVNFPLGGGVQRGAKRLHHPSNHSVTLAEAHYSTKDMHITDAFPISVISAEAVKSRQTKRAKENKASRASKPSRKKVGEDLNRQASSDGIKYKSEWDTHDLGLNLVSFDESTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTHMSMYPLPQMPNKRHARMGGRKMSGSVFTRLLSRLAADGHDLSIPLDLKEYWARHGTNRYITIK >ONH90913 pep chromosome:Prunus_persica_NCBIv2:G8:11617017:11619702:-1 gene:PRUPE_8G082900 transcript:ONH90913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGRQHENGRHKMDYYRGAASPWNMATQQQAKEPNALVMNKKIMSIIAERDAAIRERNAALTEKNEALAARDEALRQRDEALAQRDTAMMERDNAFAALHMRDNAVNFPLGGGVQRGAKRLHHPSNHSVTLAEAHYSTKDMHITDAFPISVISAEAVKSRQTKRAKENKASRASKPSRKKVGEDLNRQASSDGIKYKSEWDTHDLGLNLVSFDESTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTHMSMYPLPQMPNKRHARMGGRKMSGSVFTRLLSRLAADGHDLSIPLDLKEYWARHGTNRYITIK >ONH90908 pep chromosome:Prunus_persica_NCBIv2:G8:11617017:11619780:-1 gene:PRUPE_8G082900 transcript:ONH90908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSADSRRGGQTLAVNNSKMDDGRQHENGRHKMDYYRGAASPWNMATQQQAKEPNALVMNKKIMSIIAERDAAIRERNAALTEKNEALAARDEALRQRDEALAQRDTAMMERDNAFAALHMRDNAVNFPLGGGVQRGAKRLHHPSNHSVTLAEAHYSTKDMHITDAFPISVISAEAVKSRQTKRAKENKASRASKPSRKKVGEDLNRQASSDGIKYKSEWDTHDLGLNLVSFDESTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTHMSMYPLPQMPNKRHARMGGRKMSGSVFTRLLSRLAADGHDLSIPLDLKEYWARHGTNRYITIK >ONH90910 pep chromosome:Prunus_persica_NCBIv2:G8:11615310:11620762:-1 gene:PRUPE_8G082900 transcript:ONH90910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSADSRRGGQTLAVNNSKMDDGRQHENGRHKMDYYRGAASPWNMATQQQAKEPNALVMNKKIMSIIAERDAAIRERNAALTEKNEALAARDEALRQRDEALAQRDTAMMERDNAFAALHMRDNAVNFPLGGGVQRGAKRLHHPSNHSVTLAEAHYSTKDMHITDAFPISVISAEAVKSRQTKRAKENKASRASKPSRKKVGEDLNRQASSDGIKYKSEWDTHDLGLNLVSFDESTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTHMSMYPLPQMPNKRHARMGGRKMSGSVFTRLLSRLAADGHDLSIPLDLKEYWARHGTNRYITIK >ONH90914 pep chromosome:Prunus_persica_NCBIv2:G8:11617223:11618250:-1 gene:PRUPE_8G082900 transcript:ONH90914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGRQHENGRHKMDYYRGAASPWNMATQQQAKEPNALVMNKKIMSIIAERDAAIRERNAALTEKNEALAARDEALRQRDEALAQRDTAMMERDNAFAALHMRDNAVNFPLGGGVQRGAKRLHHPSNHSVTLAEAHYSTKDMHITDAFPISVISAEAVKSRQTKRAKENKASRASKPSRKKVGEDLNRQASSDGIKYKSEWDTHDLGLNLVSFDESTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTHMSMYPLPQMPNKRHARMGGRKMSGSVFTRLLSRLAADGHDLSIPLDLKEYWARHGTNRYITIK >ONH90912 pep chromosome:Prunus_persica_NCBIv2:G8:11617223:11618250:-1 gene:PRUPE_8G082900 transcript:ONH90912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGRQHENGRHKMDYYRGAASPWNMATQQQAKEPNALVMNKKIMSIIAERDAAIRERNAALTEKNEALAARDEALRQRDEALAQRDTAMMERDNAFAALHMRDNAVNFPLGGGVQRGAKRLHHPSNHSVTLAEAHYSTKDMHITDAFPISVISAEAVKSRQTKRAKENKASRASKPSRKKVGEDLNRQASSDGIKYKSEWDTHDLGLNLVSFDESTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTHMSMYPLPQMPNKRHARMGGRKMSGSVFTRLLSRLAADGHDLSIPLDLKEYWARHGTNRYITIK >ONH90911 pep chromosome:Prunus_persica_NCBIv2:G8:11617223:11618250:-1 gene:PRUPE_8G082900 transcript:ONH90911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGRQHENGRHKMDYYRGAASPWNMATQQQAKEPNALVMNKKIMSIIAERDAAIRERNAALTEKNEALAARDEALRQRDEALAQRDTAMMERDNAFAALHMRDNAVNFPLGGGVQRGAKRLHHPSNHSVTLAEAHYSTKDMHITDAFPISVISAEAVKSRQTKRAKENKASRASKPSRKKVGEDLNRQASSDGIKYKSEWDTHDLGLNLVSFDESTMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTHMSMYPLPQMPNKRHARMGGRKMSGSVFTRLLSRLAADGHDLSIPLDLKEYWARHGTNRYITIK >ONH90179 pep chromosome:Prunus_persica_NCBIv2:G8:3851876:3853883:-1 gene:PRUPE_8G038800 transcript:ONH90179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAEDAHLPLEEKPRLNHAYKKRKLLEYELLKKKKLMRLGNKTIDEDDEAVHLPNPMVGFGIPSEPCLKTHRNLPEAALGPPYFYYENVALTPKGVWSTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPIENRFPLIPLPPHTIHEAFPLIRKWWPSWDTRTKLNCVLTSIASAKSTERIRKALEDYDSEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQIDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEIALHRLGIRLKNVVSVEISAVNRNVVRSWWEQTNQRGNLYDLDDVQQLNGDRLEHYMTSFGGFDLVVGGSPCNNLTGSNRLHRDGLEGKESSLFYHYYRILDLVRSIKT >ONH90172 pep chromosome:Prunus_persica_NCBIv2:G8:3851402:3856030:-1 gene:PRUPE_8G038800 transcript:ONH90172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTSGEDGNDIDWSTEDELEIESFTLSSSSSLTVPGREAIVGSGEASSSQGPSNSKVVDHFVGMGFSGKMVAKAIEEHGEENTDSILETLLTYSALESSPQEQQQVDCDDQFSSDSKASFLDDFSDIDSYSDNEDILNPTSEKNKKLLSLVNMGYTVEEASIAIERCGLDSTVVELTDFICAAQMARAEDAHLPLEEKPRLNHAYKKRKLLEYELLKKKKLMRLGNKTIDEDDEAVHLPNPMVGFGIPSEPCLKTHRNLPEAALGPPYFYYENVALTPKGVWSTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPIENRFPLIPLPPHTIHEAFPLIRKWWPSWDTRTKLNCVLTSIASAKSTERIRKALEDYDSEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQIDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEIALHRLGIRLKNVVSVEISAVNRNVVRSWWEQTNQRGNLYDLDDVQQLNGDRLEHYMTSFGGFDLVVGGSPCNNLTGSNRLHRDGLEE >ONH90170 pep chromosome:Prunus_persica_NCBIv2:G8:3851402:3856030:-1 gene:PRUPE_8G038800 transcript:ONH90170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTSGEDGNDIDWSTEDELEIESFTLSSSSSLTVPGREAIVGSGEASSSQGPSNSKVVDHFVGMGFSGKMVAKAIEEHGEENTDSILETLLTYSALESSPQEQQQVDCDDQFSSDSKASFLDDFSDIDSYSDNEDILNPTSEKNKKLLSLVNMGYTVEEASIAIERCGLDSTVVELTDFICAAQMARAEDAHLPLEEKPRLNHAYKKRKLLEYELLKKKKLMRLGNKTIDEDDEAVHLPNPMVGFGIPSEPCLKTHRNLPEAALGPPYFYYENVALTPKGVWSTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPIENRFPLIPLPPHTIHEAFPLIRKWWPSWDTRTKLNCVLTSIASAKSTERIRKALEDYDSEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQIDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEIALHRLGIRLKNVVSVEISAVNRNVVRSWWEQTNQRGNLYDLDDVQQLNGDRLEHYMTSFGGFDLVVGGSPCNNLTGSNRLHRDGLEE >ONH90176 pep chromosome:Prunus_persica_NCBIv2:G8:3852108:3855439:-1 gene:PRUPE_8G038800 transcript:ONH90176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSGKMVAKAIEEHGEENTDSILETLLTYSALESSPQEQQQVDCDDQFSSDSKASFLDDFSDIDSYSDNEDILNPTSEKNKKLLSLVNMGYTVEEASIAIERCGLDSTVVELTDFICAAQMARAEDAHLPLEEKPRLNHAYKKRKLLEYELLKKKKLMRLGNKTIDEDDEAVHLPNPMVGFGIPSEPCLKTHRNLPEAALGPPYFYYENVALTPKGVWSTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPIENRFPLIPLPPHTIHEAFPLIRKWWPSWDTRTKLNCVLTSIASAKSTERIRKALEDYDSEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQIDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEIALHRLGIRLKNVVSVEISAVNRNVVRSWWEQTNQRGNLYDLDDVQQLNGDRLEHYMTSFGGFDLVVGGSPCNNLTGSNRLHRDGLEGKESSLFYHYYRILDLVRSIKT >ONH90171 pep chromosome:Prunus_persica_NCBIv2:G8:3851179:3857794:-1 gene:PRUPE_8G038800 transcript:ONH90171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTSGEDGNDIDWSTEDELEIESFTLSSSSSLTVPGREAIVGSGEASSSQGPSNSKVVDHFVGMGFSGKMVAKAIEEHGEENTDSILETLLTYSALESSPQEQQQVDCDDQFSSDSKASFLDDFSDIDSYSDNEDILNPTSEKNKKLLSLVNMGYTVEEASIAIERCGLDSTVVELTDFICAAQMARAEDAHLPLEEKPRLNHAYKKRKLLEYELLKKKKLMRLGNKTIDEDDEAVHLPNPMVGFGIPSEPCLKTHRNLPEAALGPPYFYYENVALTPKGVWSTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPIENRFPLIPLPPHTIHEAFPLIRKWWPSWDTRTKLNCVLTSIASAKSTERIRKALEDYDSEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQIDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEIALHRLGIRLKNVVSVEISAVNRNVVRSWWEQTNQRGNLYDLDDVQQLNGDRLEHYMTSFGGFDLVVGGSPCNNLTGSNRLHRDGLEE >ONH90175 pep chromosome:Prunus_persica_NCBIv2:G8:3851845:3857794:-1 gene:PRUPE_8G038800 transcript:ONH90175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTSGEDGNDIDWSTEDELEIESFTLSSSSSLTVPGREAIVGSGEASSSQGPSNSKVVDHFVGMGFSGKMVAKAIEEHGEENTDSILETLLTYSALESSPQEQQQVDCDDQFSSDSKASFLDDFSDIDSYSDNEDILNPTSEKNKKLLSLVNMGYTVEEASIAIERCGLDSTVVELTDFICAAQMARAEDAHLPLEEKPRLNHAYKKRKLLEYELLKKKKLMRLGNKTIDEDDEAVHLPNPMVGFGIPSEPCLKTHRNLPEAALGPPYFYYENVALTPKGVWSTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPIENRFPLIPLPPHTIHEAFPLIRKWWPSWDTRTKLNCVLTSIASAKSTERIRKALEDYDSEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQIDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEIALHRLGIRLKNVVSVEISAVNRNVVRSWWEQTNQRGNLYDLDDVQQLNGDRLEHYMTSFGGFDLVVGGSPCNNLTGSNRLHRDGLEGKESSLFYHYYRILDLVRSIKT >ONH90178 pep chromosome:Prunus_persica_NCBIv2:G8:3851845:3854894:-1 gene:PRUPE_8G038800 transcript:ONH90178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPFPLSNTAGLDSTVVELTDFICAAQMARAEDAHLPLEEKPRLNHAYKKRKLLEYELLKKKKLMRLGNKTIDEDDEAVHLPNPMVGFGIPSEPCLKTHRNLPEAALGPPYFYYENVALTPKGVWSTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPIENRFPLIPLPPHTIHEAFPLIRKWWPSWDTRTKLNCVLTSIASAKSTERIRKALEDYDSEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQIDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEIALHRLGIRLKNVVSVEISAVNRNVVRSWWEQTNQRGNLYDLDDVQQLNGDRLEHYMTSFGGFDLVVGGSPCNNLTGSNRLHRDGLEGKESSLFYHYYRILDLVRSIKT >ONH90173 pep chromosome:Prunus_persica_NCBIv2:G8:3851845:3856857:-1 gene:PRUPE_8G038800 transcript:ONH90173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTSGEDGNDIDWSTEDELEIESFTLSSSSSLTVPGREAIVGSGEASSSQGPSNSKVVDHFVGMGFSGKMVAKAIEEHGEENTDSILETLLTYSALESSPQEQQQVDCDDQFSSDSKASFLDDFSDIDSYSDNEDILNPTSEKNKKLLSLVNMGYTVEEASIAIERCGLDSTVVELTDFICAAQMARAEDAHLPLEEKPRLNHAYKKRKLLEYELLKKKKLMRLGNKTIDEDDEAVHLPNPMVGFGIPSEPCLKTHRNLPEAALGPPYFYYENVALTPKGVWSTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPIENRFPLIPLPPHTIHEAFPLIRKWWPSWDTRTKLNCVLTSIASAKSTERIRKALEDYDSEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQIDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEIALHRLGIRLKNVVSVEISAVNRNVVRSWWEQTNQRGNLYDLDDVQQLNGDRLEHYMTSFGGFDLVVGGSPCNNLTGSNRLHRDGLEGKESSLFYHYYRILDLVRSIKT >ONH90174 pep chromosome:Prunus_persica_NCBIv2:G8:3851845:3857938:-1 gene:PRUPE_8G038800 transcript:ONH90174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTSGEDGNDIDWSTEDELEIESFTLSSSSSLTVPGREAIVGSGEASSSQGPSNSKVVDHFVGMGFSGKMVAKAIEEHGEENTDSILETLLTYSALESSPQEQQQVDCDDQFSSDSKASFLDDFSDIDSYSDNEDILNPTSEKNKKLLSLVNMGYTVEEASIAIERCGLDSTVVELTDFICAAQMARAEDAHLPLEEKPRLNHAYKKRKLLEYELLKKKKLMRLGNKTIDEDDEAVHLPNPMVGFGIPSEPCLKTHRNLPEAALGPPYFYYENVALTPKGVWSTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPIENRFPLIPLPPHTIHEAFPLIRKWWPSWDTRTKLNCVLTSIASAKSTERIRKALEDYDSEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQIDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEIALHRLGIRLKNVVSVEISAVNRNVVRSWWEQTNQRGNLYDLDDVQQLNGDRLEHYMTSFGGFDLVVGGSPCNNLTGSNRLHRDGLEGKESSLFYHYYRILDLVRSIKT >ONH90177 pep chromosome:Prunus_persica_NCBIv2:G8:3851845:3854907:-1 gene:PRUPE_8G038800 transcript:ONH90177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTVEEASIAIERCGLDSTVVELTDFICAAQMARAEDAHLPLEEKPRLNHAYKKRKLLEYELLKKKKLMRLGNKTIDEDDEAVHLPNPMVGFGIPSEPCLKTHRNLPEAALGPPYFYYENVALTPKGVWSTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPIENRFPLIPLPPHTIHEAFPLIRKWWPSWDTRTKLNCVLTSIASAKSTERIRKALEDYDSEPPLRVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQIDTVAYHLSVLKDMFPNGINLLSLFSGIGGAEIALHRLGIRLKNVVSVEISAVNRNVVRSWWEQTNQRGNLYDLDDVQQLNGDRLEHYMTSFGGFDLVVGGSPCNNLTGSNRLHRDGLEGKESSLFYHYYRILDLVRSIKT >ONH92887 pep chromosome:Prunus_persica_NCBIv2:G8:19034950:19048910:1 gene:PRUPE_8G201800 transcript:ONH92887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDSMGGVVDGGIGIGLKTSPRRAAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKLGNGASVSVQSTSLTDQHPEQFVTSEAKGSFALTASPRGDSVESSGRPEVPTLCEPNSADNLLLFDGDNEVPDGERNSMHLSRRNNIGPSEQSSQMDGTQNAKESEDSAIFRPYARRNRSRPNRDGTRSNSMDIQGRGGQGSSLPARGLSKDPKRLISETNNQKDQPPVASLKSASSNGDIAPKIVSCDNQFDMELEGVQALEIVTGPTKDSSESKLDVTTPKSLRESEHSQPCQVDSQEEPIDVCGRPDVVEEREPLVSSVLEGPCAATTKTENEISSAQVNGFSNSNRESKIEPNEVHVSSAALGTKGLDSESSCTQTSVGLDVNNDSDICTTTRNTDNGNIIESSDVDGAQNLAAGEMVQEGNETKAVDSGCIVNDHQASVCQNHSGNGEVKVEEDMSESRPELHNEAKLHSNIEGEQPSDHTISGTDKKVDDVLDNSSKINKENSCTGISQGPQDLSMCEVPETVLSGRDTAAGSDCQTPGVHLKVIDKAHEDSILEEARIIEAKHKRIAELAVRSLPSENRRKSQWDFVLEEMAWLANDFAQERLWKLTAASQICHRVASTSGLRMEKQHQHWVLKKVAHDLARAVKQFWHSAETLLNGDDSSSCKKNCNSDSVGSMSIDSHEASKAKDGESNMEPNKDLEPQYSRKDLALSMQGYAVRFLKYNNSRVPLLQAHAPATPERMSDLGITEMSWEDHLTEENLFYAVPSGAMETYRKSIESHLVQFERSGSSMQEEVDTSMYDAGAEFSFQEPAYDEDEGETSTYYLPGAFEGSKSSISNQKKRQKLKLYASRSYEAGADLPFAQCTSATQQMGKRPASLNVGSIPTKRTRTASRQRVVGPFGGGATGSNVQAQMKTDASSGDTNSFQDDQSTLHGGSQFQKSVEVESAGDFEKQLPYDYAETSMKPKKKKKAKHLGSTYDQGWQLDSAILNEQRDHSKKRLESHHFESNGTIGLYGQHIAKKPKILKQSLDNTYDSITPMAGSIPSPVASQMSNMSNTSKFIKLIGGRDRGRKTKSLKMSVGQAGSAGPWSLFEDQALVVLVHDMGPNWEFISDAINSTLQLKFIFRQPKECKERHKILMDMNAGDGADSAEDSGSSQPYPSTIPGIPKGSARQLFERLKTPMEEETLKSHFEKIIKIGQKHHYRRSQNDNQDPKQITTVHNSHVIALSQICPNNLNGGLLTPLDLCDAPSSSSDVLGYQGSHASGLAMSNQSAIGSLLPSGANASLQGSSGVVLGSNLSSPSGPPSANVREGRYSGPRASSLPVDEQQRMQHYNQMLSSRNIQQSSLSVPGALAGTDRGVRMVPGANGMGMMCGMNRGMPMSRPGFQGMASSSMLNSGSMLSSSMVGIPSPVNMHSGAGSGQGNLMLRPRDALHMMRPGHNPEHQRQLMVPELPMQVTQGNGQGIAPFNGLSSGFPNQTTPPSVQTYPGHAQQQHQVSQQQSHALSSPHHSHLQGPNHGTGQQQQQAYAIRIAKERQLQQQRYLQQQQQQQQQQQHQQQFAASNSLVSHVQTQPQLPISSTLQNNSQIQSQTSPHPVSLSPMTPSSPMTPISSQHQQKHHLPLHGLSRNPGAVGMTNQLGKQRQRQPQQHHLQQSGRHHPQQRQLAQSQQQAKLSKGMGRGNSMLHQNLSIDPANLSIDPSHLNGLPMPPGSQALDKGDQIMQLMQGQGAYSGSGLNPVTSKPLVPQSPNHSQLPQKLLSSPPTPSSKQLQQMPSHSDNSTQGQVPPVPSGNTISASHQAVSPSIKGSNQQQLQSQQQAQQQKQANQTQPYVQRVLQQNRQVNLEIPNKSQNDLAQVDEQPVNGTSQVGVSMAIPQSSIDSSNIVPVPSAITPQWKSSEPVYDSNMSNSTTQVGPIGSPQLTNSSGNEPVPPISQGLGPRQLSGSLPSHGHNVGAQWQQQQQQILQQSPSLPSPSQQHHQQQEQQQQEQQSPQHQLPLQQQSQQQMQHLQAGQGSLYMMTGNSKPE >ONH92890 pep chromosome:Prunus_persica_NCBIv2:G8:19034964:19048909:1 gene:PRUPE_8G201800 transcript:ONH92890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDSMGGVVDGGIGIGLKTSPRRAAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKLGNGASVSVQSTSLTDQHPEQFVTSEAKGSFALTASPRGDSVESSGRPEVPTLCEPNSADNLLLFDGDNEVPDGERNSMHLSRRNNIGPSEQSSQMDGTQNAKESEDSAIFRPYARRNRSRPNRDGTRSNSMDIQGRGGQGSSLPARGLSKDPKRLISETNNQKDQPPVASLKSASSNGDIAPKIVSCDNQFDMELEGVQALEIVTGPTKDSSESKLDVTTPKSLRESEHSQPCQVDSQEEPIDVCGRPDVVEEREPLVSSVLEGPCAATTKTENEISSAQVNGFSNSNRESKIEPNEVHVSSAALGTKGLDSESSCTQTSVGLDVNNDSDICTTTRNTDNGNIIESSDVDGAQNLAAGEMVQEGNETKAVDSGCIVNDHQASVCQNHSGNGEVKVEEDMSESRPELHNEAKLHSNIEGEQPSDHTISGTDKKVDDVLDNSSKINKENSCTGISQGPQDLSMCEVPETVLSGRDTAAGSDCQTPGVHLKVIDKAHEDSILEEARIIEERLWKLTAASQICHRVASTSGLRMEKQHQHWVLKKVAHDLARAVKQFWHSAETLLNGDDSSSCKKNCNSDSVGSMSIDSHEASKAKDGESNMEPNKDLEPQYSRKDLALSMQGYAVRFLKYNNSRVPLLQAHAPATPERMSDLGITEMSWEDHLTEENLFYAVPSGAMETYRKSIESHLVQFERSGSSMQEEVDTSMYDAGAEFSFQEPAYDEDEGETSTYYLPGAFEGSKSSISNQKKRQKLKLYASRSYEAGADLPFAQCTSATQQMGKRPASLNVGSIPTKRTRTASRQRVVGPFGGGATGSNVQAQMKTDASSGDTNSFQDDQSTLHGGSQFQKSVEVESAGDFEKQLPYDYAETSMKPKKKKKAKHLGSTYDQGWQLDSAILNEQRDHSKKRLESHHFESNGTIGLYGQHIAKKPKILKQSLDNTYDSITPMAGSIPSPVASQMSNMSNTSKFIKLIGGRDRGRKTKSLKMSVGQAGSAGPWSLFEDQALVVLVHDMGPNWEFISDAINSTLQLKFIFRQPKECKERHKILMDMNAGDGADSAEDSGSSQPYPSTIPGIPKGSARQLFERLKTPMEEETLKSHFEKIIKIGQKHHYRRSQNDNQDPKQITTVHNSHVIALSQICPNNLNGGLLTPLDLCDAPSSSSDVLGYQGSHASGLAMSNQSAIGSLLPSGANASLQGSSGVVLGSNLSSPSGPPSANVREGRYSGPRASSLPVDEQQRMQHYNQMLSSRNIQQSSLSVPGALAGTDRGVRMVPGANGMGMMCGMNRGMPMSRPGFQGMASSSMLNSGSMLSSSMVGIPSPVNMHSGAGSGQGNLMLRPRDALHMMRPGHNPEHQRQLMVPELPMQVTQGNGQGIAPFNGLSSGFPNQTTPPSVQTYPGHAQQQHQVSQQQSHALSSPHHSHLQGPNHGTGQQQQQAYAIRIAKERQLQQQRYLQQQQQQQQQQQHQQQFAASNSLVSHVQTQPQLPISSTLQNNSQIQSQTSPHPVSLSPMTPSSPMTPISSQHQQKHHLPLHGLSRNPGAVGMTNQLGKQRQRQPQQHHLQQSGRHHPQQRQLAQSQQQAKLSKGMGRGNSMLHQNLSIDPANLSIDPSHLNGLPMPPGSQALDKGDQIMQLMQGQGAYSGSGLNPVTSKPLVPQSPNHSQLPQKLLSSPPTPSSKQLQQMPSHSDNSTQGQVPPVPSGNTISASHQAVSPSIKGSNQQQLQSQQQAQQQKQANQTQPYVQRVLQQNRQVNLEIPNKSQNDLAQVDEQPVNGTSQVGVSMAIPQSSIDSSNIVPVPSAITPQWKSSEPVYDSNMSNSTTQVGPIGSPQLTNSSGNEPVPPISQGLGPRQLSGSLPSHGHNVGAQWQQQQQQILQQSPSLPSPSQQHHQQQEQQQQEQQSPQHQLPLQQQSQQQMQHLQAGQGSLYMMTGNSKPE >ONH92888 pep chromosome:Prunus_persica_NCBIv2:G8:19034964:19048896:1 gene:PRUPE_8G201800 transcript:ONH92888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDSMGGVVDGGIGIGLKTSPRRAAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKLGNGASVSVQSTSLTDQHPEQFVTSEAKGSFALTASPRGDSVESSGRPEVPTLCEPNSADNLLLFDGDNEVPDGERNSMHLSRRNNIGPSEQSSQMDGTQNAKESEDSAIFRPYARRNRSRPNRDGTRSNSMDIQGRGGQGSSLPARGLSKDPKRLISETNNQKDQPPVASLKSASSNGDIAPKIVSCDNQFDMELEGVQALEIVTGPTKDSSESKLDVTTPKSLRESEHSQPCQVDSQEEPIDVCGRPDVVEEREPLVSSVLEGPCAATTKTENEISSAQVNGFSNSNRESKIEPNEVHVSSAALGTKGLDSESSCTQTSVGLDVNNDSDICTTTRNTDNGNIIESSDVDGAQNLAAGEMVQEGNETKAVDSGCIVNDHQASVCQNHSGNGEVKVEEDMSESRPELHNEAKLHSNIEGEQPSDHTISGTDKKVDDVLDNSSKINKENSCTGISQGPQDLSMCEVPETVLSGRDTAAGSDCQTPGVHLKVIDKAHEDSILEEARIIEAKHKRIAELAVRSLPSENRRKSQWDFVLEEMAWLANDFAQERLWKLTAASQICHRVASTSGLRMEKQHQHWVLKKVAHDLARAVKQFWHSAETLLNGDDSSSCKKNCNSDSVGSMSIDSHEASKAKDGESNMEPNKDLEPQYSRKDLALSMQGYAVRFLKYNNSRVPLLQAHAPATPERMSDLGITEMSWEDHLTEENLFYAVPSGAMETYRKSIESHLVQFERSGSSMQEEVDTSMYDAGAEFSFQEPAYDEDEGETSTYYLPGAFEGSKSSISNQKKRQKLKLYASRSYEAGADLPFAQCTSATQQMGKRPASLNVGSIPTKRTRTASRQRVVGPFGGGATGSNVQAQMKTDASSGDTNSFQDDQSTLHGGSQFQKSVEVESAGDFEKQLPYDYAETSMKPKKKKKAKHLGSTYDQGWQLDSAILNEQRDHSKKRLESHHFESNGTIGLYGQHIAKKPKILKQSLDNTYDSITPMAGSIPSPVASQMSNMSNTSKFIKLIGGRDRGRKTKSLKMSVGQAGSAGPWSLFEDQALVVLVHDMGPNWEFISDAINSTLQLKFIFRQPKECKERHKILMDMNAGDGADSAEDSGSSQPYPSTIPGIPKGSARQLFERLKTPMEEETLKSHFEKIIKIGQKHHYRRSQNDNQDPKQITTVHNSHVIALSQICPNNLNGGLLTPLDLCDAPSSSSDVLGYQGSHASGLAMSNQSAIGSLLPSGANASLQGSSGVVLGSNLSSPSGPPSANVREGRYSGPRASSLPVDEQQRMQHYNQMLSSRNIQQSSLSVPGALAGTDRGVRMVPGANGMGMMCGMNRGMPMSRPGFQGMASSSMLNSGSMLSSSMVGIPSPVNMHSGAGSGQGNLMLRPRDALHMMRPGHNPEHQRQLMVPELPMQVTQGNGQGHAQQQHQVSQQQSHALSSPHHSHLQGPNHGTGQQQQQAYAIRIAKERQLQQQRYLQQQQQQQQQQQHQQQFAASNSLVSHVQTQPQLPISSTLQNNSQIQSQTSPHPVSLSPMTPSSPMTPISSQHQQKHHLPLHGLSRNPGAVGMTNQLGKQRQRQPQQHHLQQSGRHHPQQRQLAQSQQQAKLSKGMGRGNSMLHQNLSIDPANLSIDPSHLNGLPMPPGSQALDKGDQIMQLMQGQGAYSGSGLNPVTSKPLVPQSPNHSQLPQKLLSSPPTPSSKQLQQMPSHSDNSTQGQVPPVPSGNTISASHQAVSPSIKGSNQQQLQSQQQAQQQKQANQTQPYVQRVLQQNRQVNLEIPNKSQNDLAQVDEQPVNGTSQVGVSMAIPQSSIDSSNIVPVPSAITPQWKSSEPVYDSNMSNSTTQVGPIGSPQLTNSSGNEPVPPISQGLGPRQLSGSLPSHGHNVGAQWQQQQQQILQQSPSLPSPSQQHHQQQEQQQQEQQSPQHQLPLQQQSQQQMQHLQAGQGSLYMMTGNSKPE >ONH92889 pep chromosome:Prunus_persica_NCBIv2:G8:19034964:19048902:1 gene:PRUPE_8G201800 transcript:ONH92889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCSSGSALLVNAEVDSMGGVVDGGIGIGLKTSPRRAAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKLGNGASVSVQSTSLTDQHPEQFVTSEAKGSFALTASPRGDSVESSGRPEVPTLCEPNSADNLLLFDGDNEVPDGERNSMHLSRRNNIGPSEQSSQMDGTQNAKESEDSAIFRPYARRNRSRPNRDGTRSNSMDIQGRGGQGSSLPARGLSKDPKRLISETNNQKDQPPVASLKSASSNGDIAPKIVSCDNQFDMELEGVQALEIVTGPTKDSSESKLDVTTPKSLRESEHSQPCQVDSQEEPIDVCGRPDVVEEREPLVSSVLEGPCAATTKTENEISSAQVNGFSNSNRESKIEPNEVHVSSAALGTKGLDSESSCTQTSVGLDVNNDSDICTTTRNTDNGNIIESSDVDGAQNLAAGEMVQEGNETKAVDSGCIVNDHQASVCQNHSGNGEVKVEEDMSESRPELHNEAKLHSNIEGEQPSDHTISGTDKKVDDVLDNSSKINKENSCTGISQGPQDLSMCEVPETVLSGRDTAAGSDCQTPGVHLKVIDKAHEDSILEEARIIEAKHKRIAELAVRSLPSENRRKSQWDFVLEEMAWLANDFAQERLWKLTAASQICHRVASTSGLRMEKQHQHWVLKKVAHDLARAVKQFWHSAETLLNGDDSSSCKKNCNSDSVGSMSIDSHEASKAKDGESNMEPNKDLEPQYSRKDLALSMQGYAVRFLKYNNSRVPLLQAHAPATPERMSDLGITEMSWEDHLTEENLFYAVPSGAMETYRKSIESHLVQFERSGSSMQEEVDTSMYDAGAEFSFQEPAYDEDEGETSTYYLPGAFEGSKSSISNQKKRQKLKLYASRSYEAGADLPFAQCTSATQQMGKRPASLNVGSIPTKRTRTASRQRVVGPFGGGATGSNVQAQMKTDASSGDTNSFQDDQSTLHGGSQFQKSVEVESAGDFEKQLPYDYAETSMKPKKKKKAKHLGSTYDQGWQLDSAILNEQMSVGQAGSAGPWSLFEDQALVVLVHDMGPNWEFISDAINSTLQLKFIFRQPKECKERHKILMDMNAGDGADSAEDSGSSQPYPSTIPGIPKGSARQLFERLKTPMEEETLKSHFEKIIKIGQKHHYRRSQNDNQDPKQITTVHNSHVIALSQICPNNLNGGLLTPLDLCDAPSSSSDVLGYQGSHASGLAMSNQSAIGSLLPSGANASLQGSSGVVLGSNLSSPSGPPSANVREGRYSGPRASSLPVDEQQRMQHYNQMLSSRNIQQSSLSVPGALAGTDRGVRMVPGANGMGMMCGMNRGMPMSRPGFQGMASSSMLNSGSMLSSSMVGIPSPVNMHSGAGSGQGNLMLRPRDALHMMRPGHNPEHQRQLMVPELPMQVTQGNGQGHAQQQHQVSQQQSHALSSPHHSHLQGPNHGTGQQQQQAYAIRIAKERQLQQQRYLQQQQQQQQQQQHQQQFAASNSLVSHVQTQPQLPISSTLQNNSQIQSQTSPHPVSLSPMTPSSPMTPISSQHQQKHHLPLHGLSRNPGAVGMTNQLGKQRQRQPQQHHLQQSGRHHPQQRQLAQSQQQAKLSKGMGRGNSMLHQNLSIDPANLSIDPSHLNGLPMPPGSQALDKGDQIMQLMQGQGAYSGSGLNPVTSKPLVPQSPNHSQLPQKLLSSPPTPSSKQLQQMPSHSDNSTQGQVPPVPSGNTISASHQAVSPSIKGSNQQQLQSQQQAQQQKQANQTQPYVQRVLQQNRQVNLEIPNKSQNDLAQVDEQPVNGTSQVGVSMAIPQSSIDSSNIVPVPSAITPQWKSSEPVYDSNMSNSTTQVGPIGSPQLTNSSGNEPVPPISQGLGPRQLSGSLPSHGHNVGAQWQQQQQQILQQSPSLPSPSQQHHQQQEQQQQEQQSPQHQLPLQQQSQQQMQHLQAGQGSLYMMTGNSKPE >ONH90531 pep chromosome:Prunus_persica_NCBIv2:G8:8441518:8443523:-1 gene:PRUPE_8G059800 transcript:ONH90531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAEIHYDQCHPHHLHWFYRHSFANAGLRSVLTNLGDGTIMNCWVPKSHKPYKPTLLLLHGFGANAMWQYGEHLRHFTPHFNVYVPDLLFFGHSYTSCLERSESFQAQCVIRLMEAHGVGKMSMVGISYGGFVAYNVALQCPGMVERVALCCAGVCLEAKDMEEGLFRVSNLDEAASILLPQTPEKLKELMRFSFFKPAKTVPSFFLTDFIHVMCTDYVEEKRDLIRAILTERKMFNLPKITQPTLIIWGQQDQIFPVELGYRLKRHVGKSAQLVIIKNAGHAVNLEKPKEFVKHLKAFFYDSPSSPSSPTTWKDHFQFHINKVSS >ONH90534 pep chromosome:Prunus_persica_NCBIv2:G8:8441807:8443230:-1 gene:PRUPE_8G059800 transcript:ONH90534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLKLPKFISLTASIDWFYRHSFANAGLRSVLTNLGDGTIMNCWVPKSHKPYKPTLLLLHGFGANAMWQYGEHLRHFTPHFNVYVPDLLFFGHSYTSCLERSESFQAQCVIRLMEAHGVGKMSMVGISYGGFVAYNVALQCPGMVERVALCCAGVCLEAKDMEEGLFRVSNLDEAASILLPQTPEKLKELMRFSFFKPAKTVPSFFLTDFIHVMCTDYVEEKRDLIRAILTERKMFNLPKITQPTLIIWGQQDQIFPVELGYRLKRHVGKSAQLVIIKNAGHAVNLEKPKEFVKHLKAFFYDSPSSPSSPTTWKDHFQFHINKVSS >ONH90533 pep chromosome:Prunus_persica_NCBIv2:G8:8441807:8443230:-1 gene:PRUPE_8G059800 transcript:ONH90533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLKLPKFISLTASIDWFYRHSFANAGLRSVLTNLGDGTIMNCWVPKSHKPYKPTLLLLHGFGANAMWQYGEHLRHFTPHFNVYVPDLLFFGHSYTSCLERSESFQAQCVIRLMEAHGVGKMSMVGISYGGFVAYNVALQCPGMVERVALCCAGVCLEAKDMEEGLFRVSNLDEAASILLPQTPEKLKELMRFSFFKPAKTVPSFFLTDFIHVMCTDYVEEKRDLIRAILTERKMFNLPKITQPTLIIWGQQDQIFPVELGYRLKRHVGKSAQLVIIKNAGHAVNLEKPKEFVKHLKAFFYDSPSSPSSPTTWKDHFQFHINKVSS >ONH90532 pep chromosome:Prunus_persica_NCBIv2:G8:8440601:8443523:-1 gene:PRUPE_8G059800 transcript:ONH90532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAEIHYDQCHPHHLHWFYRHSFANAGLRSVLTNLGDGTIMNCWVPKSHKPYKPTLLLLHGFGANAMWQYGEHLRHFTPHFNVYVPDLLFFGHSYTSCLERSESFQAQCVIRLMEAHGVGKMSMVGISYGGFVAYNVALQCPGMVERVALCCAGVCLEAKDMEEGLFRVSNLDEAASILLPQTPEKLKELMRFSFFKPAKTVPSFFLTDFIHVMCTDYVEEKRDLIRAILTERKMFNLPKITQPTLIIWGQQDQIFPVELGYRLKRHVGKSAQLVIIKNAGHAVNLEKPKEFVKHLKAFFYDSPSSPSSPTTWKDHFQFHINKVSS >ONH93780 pep chromosome:Prunus_persica_NCBIv2:G8:21636053:21637317:1 gene:PRUPE_8G252000 transcript:ONH93780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCFRKMSVGDEMQMQMQMKQWPPWSDLPPKLASNIAERLGPIELLSFRSVCKAWNSASSTASAKIESTPDFEPWFLLYAEDSDHKCQLVTETGKKFTMRLPELDGTTCLASNQGWLLLFKKQGLEGEAVGNCGGASSMFFFRPFSKTKIDLPGCPFLELSDHVAAFSCAPTSRDCTVSVINRVNDYELKLHLLRRGAEEWFQYDIPCSGIGTINCAVYHTEKQELYFFDHTDRVLIIVSTIHKPISWRFSRRSSEYSVGLKSDFIVYASYFKNTDMKKKLGLPDDVDVSFSTCGTQRKSGDQDSFVYCESISGDRVEESKSHQLKGIWIYPKFCQVPPKEETW >ONH90470 pep chromosome:Prunus_persica_NCBIv2:G8:6473262:6476983:-1 gene:PRUPE_8G055700 transcript:ONH90470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVAVPTRVHITPFLSVSSYLPICRKIVVTKVARKISGVVASSSSTFSTSSGFGSKQKVDDGQVLLPKKTSKKRVFFLDVNPLCYEGSKPSLQSFAHWVSLFFNQVSLSDPVIAVVDGERGSEHRRQLLPSYKAHRWKFLRQFSKGHVGRSHGVITNVLRKCNVPVIKIEGHEADDVIATLVGQVLQSGYRVVIASPDKDFKQLLSEDVQLVIPLEELERWSFYTLKHYMAQYNCDPCCDLSLRCIVGDQADGVPGIQHLAPGFGQKTALKLIKKHGSLENLLKTAAVRTVGRQYAQDALTKHADYLRRNYEILSLRRDVDIRLREEWLVKRDTSNDSRTLSNFFKFLEETQKFSHYNVSVSNG >ONH90471 pep chromosome:Prunus_persica_NCBIv2:G8:6473315:6476975:-1 gene:PRUPE_8G055700 transcript:ONH90471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVAVPTRVHITPFLSVSSYLPICRKIVVTKVARKISGVVASSSSTFSTSSGFGSKQKVDDGQVLLPKKTSKKRVFFLDVNPLCYEGSKPSLQSFAHWVSLFFNQVSLSDPVIAVVDGERGSEHRRQLLPSYKAHRWKFLRQFSKGHVGRSHGVITNVLRKCNVPEIWEGVDTLKQVIKIEGHEADDVIATLVGQVLQSGYRVVIASPDKDFKQLLSEDVQLVIPLEELERWSFYTLKHYMAQYNCDPCCDLSLRCIVGDQADGVPGIQHLAPGFGQKTALKLIKKHGSLENLLKTAAVRTVGRQYAQDALTKHADYLRRNYEILSLRRDVDIRLREEWLVKRDTSNDSRTLSNFFKFLEETQKFSHYNVSVSNG >ONH90468 pep chromosome:Prunus_persica_NCBIv2:G8:6473301:6476975:-1 gene:PRUPE_8G055700 transcript:ONH90468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVAVPTRVHITPFLSVSSYLPICRKIVVTKVARKISGVVASSSSTFSTSSGFGSKQKVDDGQVLLPKKTSKKRVFFLDVNPLCYEGSKPSLQSFAHWVSLFFNQVVDGERGSEHRRQLLPSYKAHRWKFLRQFSKGHVGRSHGVITNVLRKCNVPVIKIEGHEADDVIATLVGQVLQSGYRVVIASPDKDFKQLLSEDVQLVIPLEELERWSFYTLKHYMAQYNCDPCCDLSLRCIVGDQADGVPGIQHLAPGFGQKTALKLIKKHGSLENLLKTAAVRTVGRQYAQDALTKHADYLRRNYEILSLRRDVDIRLREEWLVKRDTSNDSRTLSNFFKFLEETQKFSHYNVSVSNG >ONH90472 pep chromosome:Prunus_persica_NCBIv2:G8:6473294:6476975:-1 gene:PRUPE_8G055700 transcript:ONH90472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVAVPTRVHITPFLSVSSYLPICRKIVVTKVARKISGVVASSSSTFSTSSGFGSKQKVDDGQVLLPKKTSKKRVFFLDVNPLCYEGSKPSLQSFAHWVSLFFNQVSLSDPVIAVVDGERGSEHRRQLLPSYKAHRWKFLRQFSKGHVGRSHGVITNVLRKCNVPEIWEGVDTLKQVIKIEGHEADDVIATLVGQVLQSGYRVVIASPDKDFKQLLSEDVQLVIPLEELERWSFYTLKHYMAQYNCDPCCDLSLS >ONH90469 pep chromosome:Prunus_persica_NCBIv2:G8:6473294:6476975:-1 gene:PRUPE_8G055700 transcript:ONH90469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVAVPTRVHITPFLSVSSYLPICRKIVVTKVARKISGVVASSSSTFSTSSGFGSKQKVDDGQVLLPKKTSKKRVFFLDVNPLCYEGSKPSLQSFAHWVSLFFNQVSLSDPVIVVDGERGSEHRRQLLPSYKAHRWKFLRQFSKGHVGRSHGVITNVLRKCNVPVIKIEGHEADDVIATLVGQVLQSGYRVVIASPDKDFKQLLSEDVQLVIPLEELERWSFYTLKHYMAQYNCDPCCDLSLRCIVGDQADGVPGIQHLAPGFGQKTALKLIKKHGSLENLLKTAAVRTVGRQYAQDALTKHADYLRRNYEILSLRRDVDIRLREEWLVKRDTSNDSRTLSNFFKFLEETQKFSHYNVSVSNG >ONH92464 pep chromosome:Prunus_persica_NCBIv2:G8:17886297:17888935:-1 gene:PRUPE_8G177100 transcript:ONH92464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVAIIGAGTSGLLACKYTLSKGFQPIVFESASTIGGVWTKTIETTKLQTPREAYRFSDFPWPSSVTEDFPNQRQVLDYIQSYAHHFDLLKHIKFNTKVCGIEYEGSSEDEMQAWSLWGGSGEAFSSKGKWKFVVEDKQSLSTEIHVVDFVILCIGRFSDVPNIPEFPSNKGPEVFDGEVIHSMDYAAMDYESARRFVRGKQVTVVGFQKSALDIAMECSNTNGLEHPCTVLYKTEHWNVPDYLPWGVPLAYLYLNRFSELLVHKPGEGILLSLLATILSPLRWAFSKFVESYITKKLGLAKYGMVPKHSFLQAISSCLISTVPEKFYDHVQEGSIILKKSPSSFSFCREGILVEGESSPVKTDLIILATGYRGDKKLKDIFVSPTFQDYIAGSPNATLPLYRECIHGRIPQLAVIGFSESVSNLYTSEMRCRWLAELLGGTFKLPSIKEMEKDVEKWDEYAKRYSGKYYRRSCIGALHIWYNDQLCKDMGWNPKRKKGLLAELFEPYGPTDYVSLKMGSSDIKDD >ONH94050 pep chromosome:Prunus_persica_NCBIv2:G8:22327800:22332292:1 gene:PRUPE_8G267600 transcript:ONH94050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGAHITYHLSQECTHVLVDQLMPVKEDMLDAIVAKKPFVLGSWVEFLAEKNIRTELPSCESFAPTLTFEGVSVKLADPRTRENCLEGYTFLLDSKPVYKFMNRLRSLLEVSGAKILTIDGFNLNSQSSDCRENDRVVCVIPEQSSDDWFNKLGSLLRVNETGLISGILNGRLDPAMLKSPCVVVSSSCSTDETVVADSDTEEIATSVLATASLGTKGVVENVPHEISTNYAAPKSEDNYVMSCRRSSDISTVRGKLDESESGNVDIIYSQDLIVRDASIPYTVATTANHRVVNFKRFRKPNIQSGNSFNNIIPFLKYPYKDSDYGGEEVLESVKEEKRRKQMEAVSEELFNNEGRSRRGVAGSIRGILSHG >ONH94048 pep chromosome:Prunus_persica_NCBIv2:G8:22326110:22331569:1 gene:PRUPE_8G267600 transcript:ONH94048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGFFPDDPLSGCDVNITKDKGVSRVHAEIVVDAMISAPLQTKSSILSSKVRIRDCSKYGTFINKNLTSMEKIHELPNKETSLREGDLVSFGTGNAIYRFSFVPLIFFACCSDPTQVNHALEDKLSSFGAHITYHLSQECTHVLVDQLMPVKEDMLDAIVAKKPFVLGSWVEFLAEKNIRTELPSCESFAPTLTFEGVSVKLADPRTRENCLEGYTFLLDSKPVYKFMNRLRSLLEVSGAKILTIDGFNLNSQSSDCRENDRVVCVIPEQSSDDWFNKLGSLLRVNETGLISGILNGRLDPAMLKSPCVVVSSSCSTDETVVADSDTEEIATSVLATASLGTKGVVENVPHEISTNYAAPKSEDNYVMSCRRSSDISTVRGKLDESESGNVDIIYSQDLIVRDASIPYTVATTANHRVVNFKRFRKPNIQSGNSFNNIIPFLKYPYKDSDYGGEEVLESVKEEKRRKQMEAVSEELFNNEGRSRRGVAGSIRGILSHG >ONH94046 pep chromosome:Prunus_persica_NCBIv2:G8:22326110:22331608:1 gene:PRUPE_8G267600 transcript:ONH94046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGFFPDDPLSGEDKFYIFARGTYKVGRKGCDVNITKDKGVSRVHAEIVVDAMISAPLQTKSSILSSKVRIRDCSKYGTFINKNLTSMEKIHELPNKETSLREGDLVSFGTGNAIYRFSFVPLIFFACCSDPTQVNHALEDKLSSFGAHITYHLSQECTHVLVDQLMPVKEDMLDAIVAKKPFVLGSWVEFLAEKNIRTELPSCESFAPTLTFEGVSVKLADPRTRENCLEGYTFLLDSKPVYKFMNRLRSLLEVSGAKILTIDGFNLNSQSSDCRENDRVVCVIPEQSSDDWFNKLGSLLRVNETGLISGILNGRLDPAMLKSPCVVVSSSCSTDETVVADSDTEEIATSVLATASLGTKGVVENVPHEISTNYAAPKSEDNYVMSCRRSSDISTVRGKLDESESGNVDIIYSQDLIVRDASIPYTVATTANHRVVNFKRFRKPNIQSGNSFNNIIPFLKYPYKDSDYGGEEVLESVKEEKRRKQMEAVSEELFNNEGRSRRGVAGSIRGILSHG >ONH94047 pep chromosome:Prunus_persica_NCBIv2:G8:22326110:22332292:1 gene:PRUPE_8G267600 transcript:ONH94047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGFFPDDPLSGEDKFYIFARGTYKVGRKGCDVNITKDKGVSRVHAEIVVDAMISAPLQTKSSILSSKVRIRDCSKYGTFINKNLTSMEKIHELPNKETSLREGDLVSFGTGNAIYRFSFVPLIFFACCSDPTQVNHALEDKLSSFGAHITYHLSQECTHVLVDQLMPVKEDMLDAIVAKKPFVLGSWVEFLAEKNIRTELPSCESFAPTLTFEGVSVKLADPRTRENCLEGYTFLLDSKPVYKFMNRLRSLLEVSGAKILTIDGFNLNSQSSDCRENDRVVCVIPEQSSDDWFNKLGSLLRVNETGLISGILNGRLDPAMLKSPCVVVSSSCSTDETVVADSDTEEIATSVLATASLGTKGVVENVPHEISTNYAAPKSEDNYVMSCRRSSDISTVRGKLDESESGNVDIIYSQDLIVRDASIPYTVATTANHRVVNFKRFRKPNIQSGNSFNNIIPFLKYPYKDSDYGGEEVLESVKEEKRRKQMEAVSEELFNNEGRSRRGVAGSIRGILSHG >ONH94049 pep chromosome:Prunus_persica_NCBIv2:G8:22326110:22332292:1 gene:PRUPE_8G267600 transcript:ONH94049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGFFPDDPLSGCDVNITKDKGVSRVHAEIVVDAMISAPLQTKSSILSSKVRIRDCSKYGTFINKNLTSMEKIHELPNKETSLREGDLVSFGTGNAIYRFSFVPLIFFACCSDPTQVNHALEDKLSSFGAHITYHLSQECTHVLVDQLMPVKEDMLDAIVAKKPFVLGSWVEFLAEKNIRTELPSCESFAPTLTFEGVSVKLADPRTRENCLEGYTFLLDSKPVYKFMNRLRSLLEVSGAKILTIDGFNLNSQSSDCRENDRVVCVIPEQSSDDWFNKLGSLLRVNETGLISGILNGRLDPAMLKSPCVVVSSSCSTDETVVADSDTEEIATSVLATASLGTKGVVENVPHEISTNYAAPKSEDNYVMSCRRSSDISTVRGKLDESESGNVDIIYSQDLIVRDASIPYTVATTANHRVVNFKRFRKPNIQSGNSFNNIIPFLKYPYKDSDYGGEEVLESVKEEKRRKQMEAVSEELFNNEGRSRRGVAGSIRGILSHG >ONH92731 pep chromosome:Prunus_persica_NCBIv2:G8:18635055:18640193:-1 gene:PRUPE_8G192400 transcript:ONH92731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEQKSAISKAQMETIQSRVEAWIKEQRAKLLKVSWGPLQWRMKWPWVGGDGYREHRRRIHQEYERRRKQLHDLCGAVKADSVSDLQDILCCMVLSECVYKRPASDLVRAVNKFKADFGGQIVSLERVQPSSDHVPHSYLLAEAGDTLFASFIGTKQYKDVMTDANIFQGAIFHEDAVEVTNGTENNKSNRPQNGNGNVENLWNPLESKSKQVNDKAKPAAHRGFLARAKGIPALELYRLAQKKKRNLVLCGHSLGGALYLLENSSVKSLSDAEYSKLTSVGSVIAELRERFRSHSMKSYRFRFQRIYDLCMRDDTSPFSGIEQLQQFPHLQQWLGLAVAGTVELGHIVESPVIRTATSVAPLGWNGIPGEKNGDPLKVDITGFGLHLCTLVHAQVNGNWCSTAVESFPATPTYSSNYGEKVDLQKMRVLVGAPLKQPPKQQMVADSFMHVFPIDSNTANLNREHTSGPSPEEKSIRPEGLSEFFIFCTSDFTTVSKEVHVRTRRVRLLGLEGAGKTSLFKAILSQGRITNISNIENLLPETDVQEGISRGLCFCDSAGVNLQELNMEATRFRDELWAGIRDLNRKTDLIVLVHNLSHRIPRSNNSNGSPPKPALSLLLDEAKSLGIPWVLAVTNKFSVSAHQQKEAIGAVIQSYQASPRTTCVINSCPYVMPSAGARTGDADERMSAQKLIYAPINLVRRPFQKKEIILPVEGVNSLRQVVHHALQTHEEAAFQELARDRLLVEMAREHAMAMDASRDSQAKANSLTSAAVGASLGAGLGLVLAVVMGAASALRKP >ONH92733 pep chromosome:Prunus_persica_NCBIv2:G8:18634697:18639017:-1 gene:PRUPE_8G192400 transcript:ONH92733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSEEAVGKRKENEGEQLVLGLGPVQTSIWRLSRLVPLEGVRRQFNKFRGKKVNSVETSSLSDSVATTVVDDDIVEAQSLEIQEGSDGISLKPISETDKEPPYVSPNEKSAKTSTAKNGDGRTWRRVPYLPSYVPFGELYLLENSSVKSLSDAEYSKLTSVGSVIAELRERFRSHSMKSYRFRFQRIYDLCMRDDTSPFSGIEQLQQFPHLQQWLGLAVAGTVELGHIVESPVIRTATSVAPLGWNGIPGEKNGDPLKVDITGFGLHLCTLVHAQVNGNWCSTAVESFPATPTYSSNYGEKVDLQKMRVLVGAPLKQPPKQQMVADSFMHVFPIDSNTANLNREHTSGPSPEEKSIRPEGLSEFFIFCTSDFTTVSKEVHVRTRRVRLLGLEGAGKTSLFKAILSQGRITNISNIENLLPETDVQEGISRGLCFCDSAGVNLQELNMEATRFRDELWAGIRDLNRKTDLIVLVHNLSHRIPRSNNSNGSPPKPALSLLLDEAKSLGIPWVLAVTNKFSVSAHQQKEAIGAVIQSYQASPRTTCVINSCPYVMPSAGARTGDADERMSAQKLIYAPINLVRRPFQKKEIILPVEGVNSLRQVVHHALQTHEEAAFQELARDRLLVEMAREHAMAMDASRDSQAKANSLTSAAVGASLGAGLGLVLAVVMGAASALRKP >ONH92736 pep chromosome:Prunus_persica_NCBIv2:G8:18634697:18640349:-1 gene:PRUPE_8G192400 transcript:ONH92736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEQKSAISKAQMETIQSRVEAWIKEQRAKLLKVSWGPLQWRMKWPWVGGDGYREHRRRIHQEYERRRKQLHDLCGAVKADSVSDLQDILCCMVLSECVYKRPASDLVRAVNKFKADFGGQIVSLERVQPSSDHVPHSYLLAEAGDTLFASFIGTKQYKDVMTDANIFQGAIFHEDAVEVTNGTENNKSNRPQNGNGNVENLWNPLESKSKQVNDKAKPAAHRGFLARAKGIPALELYRLAQKKKRNLVLCGHSLGGAVAVLATLAILRVVAASSSSLKENENVKVKCITFSQPPVGNAALRDYVNREGWQHYFKSYCIPEDLVPRILSPAYFHHYNAQPPLVPAETESTSISMLKSEEAVGKRKENEGEQLVLGLGPVQTSIWRLSRLVPLEGVRRQFNKFRGKKVNSVETSSLSDSVATTVVDDDIVEAQSLEIQEGSDGISLKPISETDKEPPYVSPNEKSAKTSTAKNGDGRTWRRVPYLPSYVPFGELYLLENSSVKSLSDAEYSKLTSVGSVIAELRERFRSHSMKSYRFRFQRIYDLCMRDDTSPFSGIEQLQQFPHLQQWLGLAVAGTVELGHIVESPVIRTATSVAPLGWNGIPGEKNGDPLKVDITGFGLHLCTLVHAQVNGNWCSTAVESFPATPTYSSNYGEKVDLQKMRVLVGAPLKQPPKQQMVADSFMHVFPIDSNTANLNREHTSGPSPEEKSIRPEGLSEFFIFCTSDFTTVSKEVHVRTRRVRLLGLEGAGKTSLFKAILSQGRITNISNIENLLPETDVQEGAEYGGHSFQR >ONH92734 pep chromosome:Prunus_persica_NCBIv2:G8:18634697:18640349:-1 gene:PRUPE_8G192400 transcript:ONH92734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEQKSAISKAQMETIQSRVEAWIKEQRAKLLKVSWGPLQWRMKWPWVGGDGYREHRRRIHQEYERRRKQLHDLCGAVKADSVSDLQDILCCMVLSECVYKRPASDLVRAVNKFKADFGGQIVSLERVQPSSDHVPHSYLLAEAGDTLFASFIGTKQYKDVMTDANIFQGAIFHEDAVEVTNGTENNKSNRPQNGNGNVENLWNPLESKSKQVNDKAKPAAHRGFLARAKGIPALELYRLAQKKKRNLVLCGHSLGGALYLLENSSVKSLSDAEYSKLTSVGSVIAELRERFRSHSMKSYRFRFQRIYDLCMRDDTSPFSGIEQLQQFPHLQQWLGLAVAGTVELGHIVESPVIRTATSVAPLGWNGIPGEKNGDPLKVDITGFGLHLCTLVHAQVNGNWCSTAVESFPATPTYSSNYGEKVDLQKMRVLVGAPLKQPPKQQMVADSFMHVFPIDSNTANLNREHTSGPSPEEKSIRPEGLSEFFIFCTSDFTTVSKEVHVRTRRVRLLGLEGAGKTSLFKAILSQGRITNISNIENLLPETDVQEGISRGLCFCDSAGVNLQELNMEATRFRDELWAGIRDLNRKTDLIVLVHNLSHRIPRSNNSNGSPPKPALSLLLDEAKSLGIPWVLAVTNKFSVSAHQQKEAIGAVIQSYQASPRTTCVINSCPYVMPSAGARTGDADERMSAQKLIYAPINLVRRPFQKKEIILPVEGVNSLRQVVHHALQTHEEAAFQRSAFGGNGTRACNGYGCKPRFSS >ONH92735 pep chromosome:Prunus_persica_NCBIv2:G8:18634697:18640349:-1 gene:PRUPE_8G192400 transcript:ONH92735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEQKSAISKAQMETIQSRVEAWIKEQRAKLLKVSWGPLQWRMKWPWVGGDGYREHRRRIHQEYERRRKQLHDLCGAVKADSVSDLQDILCCMVLSECVYKRPASDLVRAVNKFKADFGGQIVSLERVQPSSDHVPHSYLLAEAGDTLFASFIGTKQYKDVMTDANIFQGAIFHEDAVEVTNGTENNKSNRPQNGNGNVENLWNPLESKSKQVNDKAKPAAHRGFLARAKGIPALELYRLAQKKKRNLVLCGHSLGGAVAVLATLAILRVVAASSSSLKENENVKVKCITFSQPPVGNAALRDYVNREGWQHYFKSYCIPEDLVPRILSPAYFHHYNAQPPLVPAETESTSISMLKSEEAVGKRKENEGEQLVLGLGPVQTSIWRLSRLVPLEGVRRQFNKFRGKKVNSVETSSLSDSVATTVVDDDIVEAQSLEIQEGSDGISLKPISETDKEPPYVSPNEKSAKTSTAKNGDGRTWRRVPYLPSYVPFGELYLLENSSVKSLSDAEYSKLTSVGSVIAELRERFRSHSMKSYRFRFQRIYDLCMRDDTSPFSGIEQLQQFPHLQQWLGLAVAGTVELGHIVESPVIRTATSVAPLGWNGIPGEKNGDPLKVDITGFGLHLCTLVHAQVNGNWCSTAVESFPATPTYSSNYGEKVDLQKMRVLVGAPLKQPPKQQMVADSFMHVFPIDSNTANLNREHTSGPSPEEKSIRPEGLSEFFIFCTSDFTTVSKEVHVRTRRVRLLGLEGAGKTSLFKAILSQGRITNISNIENLLPETDVQEGISRGLCFCDSAGVNLQELNMEATRFRDELWAGIRDLNRKTDLIVLVHNLSHRIPRSNNSNGSPPKPALSLLLDEAKSLGIPWVLAVTNKFSVSAHQQKEAIGAVIQSYQASPRTTCVINSCPYVMPSAGARTGDADERMSAQKLIYAPINLVRRPFQKKEIILPVEGVNSLRQVVHHALQTHEEAAFQRSAFGGNGTRACNGYGCKPRFSS >ONH92732 pep chromosome:Prunus_persica_NCBIv2:G8:18635055:18640193:-1 gene:PRUPE_8G192400 transcript:ONH92732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEQKSAISKAQMETIQSRVEAWIKEQRAKLLKVSWGPLQWRMKWPWVGGDGYREHRRRIHQEYERRRKQLHDLCGAVKADSVSDLQDILCCMVLSECVYKRPASDLVRAVNKFKADFGGQIVSLERVQPSSDHVPHSYLLAEAGDTLFASFIGTKQYKDVMTDANIFQGAIFHEDAVEVTNGTENNKSNRPQNGNGNVENLWNPLESKSKQVNDKAKPAAHRGFLARAKGIPALELYRLAQKKKRNLVLCGHSLGGAVAVLATLAILRVVAASSSSLKENENVKVKCITFSQPPVGNAALRDYVNREGWQHYFKSYCIPEDLVPRILSPAYFHHYNAQPPLVPAETESTSISMLKSEEAVGKRKENEGEQLVLGLGPVQTSIWRLSRLVPLEGVRRQFNKFRGKKVNSVETSSLSDSVATTVVDDDIVEAQSLEIQEGSDGISLKPISETDKEPPYVSPNEKSAKTSTAKNGDGRTWRRVPYLPSYVPFGELYLLENSSVKSLSDAEYSKLTSVGSVIAELRERFRSHSMKSYRFRFQRIYDLCMRDDTSPFSGIEQLQQFPHLQQWLGLAVAGTVELGHIVESPVIRTATSVAPLGWNGIPGEKNGDPLKVDITGFGLHLCTLVHAQVNGNWCSTAVESFPATPTYSSNYGEKVDLQKMRVLVGAPLKQPPKQQMVADSFMHVFPIDSNTANLNREHTSGPSPEEKSIRPEGLSEFFIFCTSDFTTVSKEVHVRTRRVRLLGLEGAGKTSLFKAILSQGRITNISNIENLLPETDVQEGISRGLCFCDSAGVNLQELNMEATRFRDELWAGIRDLNRKTDLIVLVHNLSHRIPRSNNSNGSPPKPALSLLLDEAKSLGIPWVLAVTNKFSVSAHQQKEAIGAVIQSYQASPRTTCVINSCPYVMPSAGARTGDADERMSAQKLIYAPINLVRRPFQKKEIILPVEGVNSLRQVVHHALQTHEEAAFQELARDRLLVEMAREHAMAMDASRDSQAKANSLTSAAVGASLGAGLGLVLAVVMGAASALRKP >ONH89990 pep chromosome:Prunus_persica_NCBIv2:G8:2566771:2570494:1 gene:PRUPE_8G028300 transcript:ONH89990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAHRTDLMTITRFVLNEQSKHPESRGDFTILLNHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTSILVSEEDEEAIFVEPSKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYVVKDGIEPTLHDVLQPGKNMVAAGYCMYGSSCTFVLSTGTGVHGFTLDPSLGEFILTHPDIQIPKKGKIYSVNEGNAKNWDGPTAKYVEKCKYPKDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQSFTGKERALDLVPNKIHERSPIFLGSYDDVEEIKTLYAAEGKK >ONH89991 pep chromosome:Prunus_persica_NCBIv2:G8:2566771:2569968:1 gene:PRUPE_8G028300 transcript:ONH89991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAADAHRTDLMTITRFVLNEQSKHPESRGDFTILLNHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTSILVSEEDEEAIFVEPSKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYVVKDGIEPTLHDVLQPGKNMVAAGYCMYGSSCTFVLSTGTGVHGFTLDPSLGEFILTHPDIQIPKKGKIYSVNEGNAKNWDGPTAKYVEKCKYPKDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQSFTGKERVTFLIRY >ONH90095 pep chromosome:Prunus_persica_NCBIv2:G8:3222361:3229655:1 gene:PRUPE_8G035100 transcript:ONH90095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKGRLVAGSHNRNEFVLINADEVSRVTSVKELSGQICQICGDEIEITVDGEPFVACNECAFPVCRSCYEYERREGNQACPQCKTRYKRLKGSPRVEGDEEEDDIDDLENEFDISSNDRRDPHHIAEAVLAARLNIGRGSHVHGSGISTPAEFDSASIASEIPLLTYGQEDVGIASDKHALIIPPFMSRGKRVHPMPTTDSSMSFPPRPMDPKKDLAVYGYGTVAWKERMEDWKKKQNEKLQVVKHQGGNDGGNNNGNEPDDPDLPKMDEGRQPLSRKLPIPSSKINPYRMIILLRLAILGLFFHYRILHPVNNAYGLWLTSIICEIWFGLSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELADLDVFVSTVDPLKEPPLITANTVLSILSVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFAQKVDYLRDKVDPTFVRERRAIKREYEEFKVRINGLVATAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQNGVRDVEGNELPRLVYVSREKRPGFDHHKKAGAMNSLVRVSAIISNAPYILNVDCDHYINNSRALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPTKKKPPGKTCNCLPKWCCWCCGSRKKNKKAKSNDKKKKNKDASKQIHALENIQEGIEGIDNEKSSLIPQIKFEKKFGQSPVFIASTLMEDGGVPKGTSSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKWLERFSYINSVVYPLTSIPLLAYCSLPAVCLLTGKFIVPEISNYASILFMALFLSIAATSILEMQWGHVGIHDWWRNEQFWVIGGASSHFFALIQGLLKVLGGVNTNFTVTSKAADDGEFSDLYLFKWTSLLIPPMTLLIINIIGVVVGISDAINNGYDSWGPLFGRLFFAIWVIVHLYPFLKGLVGRQERLPTIIVVWSILLASIFSLLWVRINPFVSKGGIVLEVCGLDCD >ONH89733 pep chromosome:Prunus_persica_NCBIv2:G8:1060082:1064462:1 gene:PRUPE_8G012800 transcript:ONH89733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCHVAPYTSSILCLDASPLFLLYLLNVLSLSLSVFLFLCFTKSSNRDQNKTSPHTVLIKNLIQLVTETRSFSFILFKAVSFGLRLDSEMVGKENFKTEDLNLCFEKLMMFGAGSAGAIALGGGQVKMEGRAITDWKDIPMELLLQILGLVDDQTVIMASGVCRGWRDAVCLGLTYLSLSWCTKNMNNLVLSLAPKFTRLQTLILRQDKPQLEDNAVESIANFCHDLQVLDLSKSFKLSDRSLYALAHGCPNLTKLNISGCTAFSDSALEYLASFCQKMKVLNLCGCVRTASDRALQAIGRYCSQLQCLNLGWCEDVSDVGVMSLAYGCPDLRTVDLCGCLQITDDSVIALANKCPHLRSLGLYYCQNITDRAMYSLAQSLVRNKPAAVWESRKPKNDEEGLKTLNISQCTALTPSAVQAVCDSFPALHTCSGRHSLIMSGCLNLTSVHCACAVQAHRTASAFPHSAH >ONH89735 pep chromosome:Prunus_persica_NCBIv2:G8:1060082:1064462:1 gene:PRUPE_8G012800 transcript:ONH89735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRAITDWKDIPMELLLQILGLVDDQTVIMASGVCRGWRDAVCLGLTYLSLSWCTKNMNNLVLSLAPKFTRLQTLILRQDKPQLEDNAVESIANFCHDLQVLDLSKSFKLSDRSLYALAHGCPNLTKLNISGCTAFSDSALEYLASFCQKMKVLNLCGCVRTASDRALQAIGRYCSQLQCLNLGWCEDVSDVGVMSLAYGCPDLRTVDLCGCLQITDDSVIALANKCPHLRSLGLYYCQNITDRAMYSLAQSLVRNKPAAVWESRKPKNDEEGLKTLNISQCTALTPSAVQAVCDSFPALHTCSGRHSLIMSGCLNLTSVHCACAVQAHRTASAFPHSAH >ONH89734 pep chromosome:Prunus_persica_NCBIv2:G8:1061024:1064462:1 gene:PRUPE_8G012800 transcript:ONH89734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKENFKTEDLNLCFEKLMMFGAGSAGAIALGGGQVKMEGRAITDWKDIPMELLLQILGLVDDQTVIMASGVCRGWRDAVCLGLTYLSLSWCTKNMNNLVLSLAPKFTRLQTLILRQDKPQLEDNAVESIANFCHDLQVLDLSKSFKLSDRSLYALAHGCPNLTKLNISGCTAFSDSALEYLASFCQKMKVLNLCGCVRTASDRALQAIGRYCSQLQCLNLGWCEDVSDVGVMSLAYGCPDLRTVDLCGCLQITDDSVIALANKCPHLRSLGLYYCQNITDRAMYSLAQSLVRNKPAAVWESRKPKNDEEGLKTLNISQCTALTPSAVQAVCDSFPALHTCSGRHSLIMSGCLNLTSVHCACAVQAHRTASAFPHSAH >ONH89835 pep chromosome:Prunus_persica_NCBIv2:G8:1775130:1779004:-1 gene:PRUPE_8G019200 transcript:ONH89835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCWFSGVLIGLGCVWWVVVGVVEGYPAEDLVVRLPGQPNVGFKQYAGYVDVDVKAGRSLFYYFVEADREPDKKPLTLWLNGGPGCSSIGGGAFTELGPFYPTGDGRGLRRNLMSWNRASNLLFVESPAGVGWSYSNTSSDYNSGDASTARDMHTFLLKWYEKFPAFRSRELFLTGESYAGHYIPQLAIAILDHNEHSTGFKFNLKGIAIGNPLLRLDRDIPATYEYFWSHGMISDEIGLTIMNECDFDDYVFANPHNVTVKCNTAVSQVNQIIGDYINNYDVILDVCYPSIVQQELRLRKMATKISLGVDVCMSSERHFYFNLPEVQKALHANRTNLPYSWSMCSNVLNYSDTDGNINILPLLARIVHNRIPVWVFSGDQDSVVPLLGSRTLIRELAHDLKFQITVPYGAWFHKGQVGGWATEYGDLLTFATVRGAAHMVPYAQPSRALHLFSSFIRGRRLPNTTHPSISD >ONH89834 pep chromosome:Prunus_persica_NCBIv2:G8:1775021:1779004:-1 gene:PRUPE_8G019200 transcript:ONH89834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCWFSGVLIGLGCVWWVVVGVVEGYPAEDLVVRLPGQPNVGFKQYAGYVDVDVKAGRSLFYYFVEADREPDKKPLTLWLNGGPGCSSIGGGAFTELGPFYPTGDGRGLRRNLMSWNRASNLLFVESPAGVGWSYSNTSSDYNSGDASTARDMHTFLLKWYEKFPAFRSRELFLTGESYAGHYIPQLAIAILDHNEHSTGFKFNLKGIAIGNPLLRLDRDIPATYEYFWSHGMISDEIGLTIMNECDFDDYVFANPHNVTVKCNTAVSQVNQIIGDYINNYDVILDVCYPSIVQQELRLRKMISLGVDVCMSSERHFYFNLPEVQKALHANRTNLPYSWSMCSNVLNYSDTDGNINILPLLARIVHNRIPVWVFSGDQDSVVPLLGSRTLIRELAHDLKFQITVPYGAWFHKGQVGGWATEYGDLLTFATVRGAAHMVPYAQPSRALHLFSSFIRGRRLPNTTHPSISD >ONH89833 pep chromosome:Prunus_persica_NCBIv2:G8:1774995:1779004:-1 gene:PRUPE_8G019200 transcript:ONH89833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCNFCKAEMGRCWFSGVLIGLGCVWWVVVGVVEGYPAEDLVVRLPGQPNVGFKQYAGYVDVDVKAGRSLFYYFVEADREPDKKPLTLWLNGGPGCSSIGGGAFTELGPFYPTGDGRGLRRNLMSWNRASNLLFVESPAGVGWSYSNTSSDYNSGDASTARDMHTFLLKWYEKFPAFRSRELFLTGESYAGHYIPQLAIAILDHNEHSTGFKFNLKGIAIGNPLLRLDRDIPATYEYFWSHGMISDEIGLTIMNECDFDDYVFANPHNVTVKCNTAVSQVNQIIGDYINNYDVILDVCYPSIVQQELRLRKMATKISLGVDVCMSSERHFYFNLPEVQKALHANRTNLPYSWSMCSNVLNYSDTDGNINILPLLARIVHNRIPVWVFSGDQDSVVPLLGSRTLIRELAHDLKFQITVPYGAWFHKGQVGGWATEYGDLLTFATVRGAAHMVPYAQPSRALHLFSSFIRGRRLPNTTHPSISD >ONH89961 pep chromosome:Prunus_persica_NCBIv2:G8:2410885:2412474:1 gene:PRUPE_8G026600 transcript:ONH89961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTRGQPPLKKLQKTFTSLFVEPDKNEDNYGDCDKLAKTFLQELSTFKIPLLKSKAVVDANLREKHNFDELREEINRHIVQEQTELNC >ONH89960 pep chromosome:Prunus_persica_NCBIv2:G8:2410670:2412126:1 gene:PRUPE_8G026600 transcript:ONH89960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESECRGVWVRGRGVAAHYAFGPLEDDVIIKHRLLTRMTTTRGQPPLKKLQKTFTSLFVEPDKNEDNYGDCDKLAKTFLQELSTFKIPLLKSKAVVDANLREKHNFDELREEINRHIKDIATLDAENTASSRMLELRKKQFALLLHVVDELQNTIEEEQKSLIEEKEHKHGM >ONH92159 pep chromosome:Prunus_persica_NCBIv2:G8:16845107:16845952:1 gene:PRUPE_8G159100 transcript:ONH92159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLREMINQSTESDADLVKERTWRRMRGKRVHTTWWFDMLEIRIL >ONH92669 pep chromosome:Prunus_persica_NCBIv2:G8:18460848:18462216:1 gene:PRUPE_8G188200 transcript:ONH92669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDGYPKECYTQDGRRVMSSSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQRSLEGKTACDAGTGVAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHINLKVLRFGMMGSAFGSVMGCVFLVLSMVDVIQIRLGMLSCGSKSAVHSVAALVVLVSTALLVYISTAVYAFLH >ONH91688 pep chromosome:Prunus_persica_NCBIv2:G8:15324953:15326413:1 gene:PRUPE_8G130100 transcript:ONH91688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQNRPFHVFLFPFMAHGHMIPVSDMAKLLAAQGVKTTIITTPLNAPIFSKATRSRKTNSGGIEIEIKSWNSFFKAAGLLQEPLERLLLEYQPTCLVADMFFSWATDAAAKFGIPRLIFHGTSFFSLAASDCVKRYEPFKNTSSDSEPFVIPNFPGEIKMTRAQVPDFVKYNIENDLTPLIEQAKEAEVRSYGIVVNSFYELEPVYADYFRKVLGRKAWHIGPLSPCNRDNEEKAYIGKEASIDEHECLKWLDSKKPNSVVYVCFGSVAKFNNSQLKEIAIGLEASGVEFIWVVRKGKDDCDMGKEDWLPEGFEEMMEGKGLIIRGWAPQVLILDHVAVGGFVTHCGWNSTLEGIAAGLPLVTWPVSAEQFYNEKLVTQVLKIGVGVGAQKWWIKVVGDIVKREAIEKAVTRIMVGEEAEEMRSRAKGLAKQARRAIEKGGSSHSDLNALIEELSSQR >ONH91628 pep chromosome:Prunus_persica_NCBIv2:G8:15086478:15089475:-1 gene:PRUPE_8G126500 transcript:ONH91628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLVPTCKGRHVSKFLPSLRLSCQLFSAATNTLQFSSDGFANENKNDDIDLLFQSCTNVHHAKQLHAFLVVSGKVQNIFLSARLVNRYAYLGDVSLSRITFDLIPRKDVYTWNSMVSAYVRSGHFREAIDCFSQFLLTSGLRPDFYTFPPVLKACQNLVDGKRIHCQILKLGFEWDVFVAASLVHLYSRFGFVGIACRLFDEMPIRDVGSWNAMISGFCQNGNAADALDVLIEMRSDGVKMDRVTATSLLTACAQSGDILSGMLIHLYVIKHGLDFDLLICNALINMYSKFGSLGHARRIFDQMDIRDLVSWNSIIAAYEQNDDPMTALGLFYSMQLLGIQPDFLTLVSLASILAQLSDAAKSRSVHGFILRRDFFVQDVVIGNAVVDMYAKLGAIYSARTVFEGLPIKDVISWNTLITGYAQNGLASEAIEVYRMMQEYKEIIPNHGTWVSILPAYTSVGALQQGMKIHGRVIKNCLDLDVFVGTCLIDMYAKCGRLDDALLLFSQVPRKSAIPWNAVISSHGVHGHGEKALKLFKDMLDEGVKPDHVTFVSLLSACSHSGLVDEGQSYFHMMQEQYRIKPNLKHYGCMVDLLGRAGHLNKAYSFIDNMPVRPDASVWGALLGACRIHGNVDLGRIASERLFEVDSENVGYYVLLSNIYANSGKWEGVEKVRSLARNRGLSKTPGWSSIEVNNNVDVFYTANQSHPKCQEIYQKLSDLTAKMKSLGYVPDFSFVLQDVEDDEKEHILNSHSERLAIAFGLISTPPKTPIRIFKNLRVCGDCHNATKFISVITEREIIVRDSNRFHHFKDGACSCGDYW >ONH91631 pep chromosome:Prunus_persica_NCBIv2:G8:15084445:15089674:-1 gene:PRUPE_8G126500 transcript:ONH91631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAYVRSGHFREAIDCFSQFLLTSGLRPDFYTFPPVLKACQNLVDGKRIHCQILKLGFEWDVFVAASLVHLYSRFGFVGIACRLFDEMPIRDVGSWNAMISGFCQNGNAADALDVLIEMRSDGVKMDRVTATSLLTACAQSGDILSGMLIHLYVIKHGLDFDLLICNALINMYSKFGSLGHARRIFDQMDIRDLVSWNSIIAAYEQNDDPMTALGLFYSMQLLGIQPDFLTLVSLASILAQLSDAAKSRSVHGFILRRDFFVQDVVIGNAVVDMYAKLGAIYSARTVFEGLPIKDVISWNTLITGYAQNGLASEAIEVYRMMQEYKEIIPNHGTWVSILPAYTSVGALQQGMKIHGRVIKNCLDLDVFVGTCLIDMYAKCGRLDDALLLFSQVPRKSAIPWNAVISSHGVHGHGEKALKLFKDMLDEGVKPDHVTFVSLLSACSHSGLVDEGQSYFHMMQEQYRIKPNLKHYGCMVDLLGRAGHLNKAYSFIDNMPVRPDASVWGALLGACRIHGNVDLGRIASERLFEVDSENVGYYVLLSNIYANSGKWEGVEKVRSLARNRGLSKTPGWSSIEVNNNVDVFYTANQSHPKCQEIYQKLSDLTAKMKSLGYVPDFSFVLQDVEDDEKEHILNSHSERLAIAFGLISTPPKTPIRIFKNLRVCGDCHNATKFISVITEREIIVRDSNRFHHFKDGACSCGDYW >ONH91626 pep chromosome:Prunus_persica_NCBIv2:G8:15084445:15089675:-1 gene:PRUPE_8G126500 transcript:ONH91626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLVPTCKGRHVSKFLPSLRLSCQLFSAATNTLQFSSDGFANENKNDDIDLLFQSCTNVHHAKQLHAFLVVSGKVQNIFLSARLVNRYAYLGDVSLSRITFDLIPRKDVYTWNSMVSAYVRSGHFREAIDCFSQFLLTSGLRPDFYTFPPVLKACQNLVDGKRIHCQILKLGFEWDVFVAASLVHLYSRFGFVGIACRLFDEMPIRDVGSWNAMISGFCQNGNAADALDVLIEMRSDGVKMDRVTATSLLTACAQSGDILSGMLIHLYVIKHGLDFDLLICNALINMYSKFGSLGHARRIFDQMDIRDLVSWNSIIAAYEQNDDPMTALGLFYSMQLLGIQPDFLTLVSLASILAQLSDAAKSRSVHGFILRRDFFVQDVVIGNAVVDMYAKLGAIYSARTVFEGLPIKDVISWNTLITGYAQNGLASEAIEVYRMMQEYKEIIPNHGTWVSILPAYTSVGALQQGMKIHGRVIKNCLDLDVFVGTCLIDMYAKCGRLDDALLLFSQVPRKSAIPWNAVISSHGVHGHGEKALKLFKDMLDEGVKPDHVTFVSLLSACSHSGLVDEGQSYFHMMQEQYRIKPNLKHYGCMVDLLGRAGHLNKAYSFIDNMPVRPDASVWGALLGACRIHGNVDLGRIASERLFEVDSENVGYYVLLSNIYANSGKWEGVEKVRSLARNRGLSKTPGWSSIEVNNNVDVFYTANQSHPKCQEIYQKLSDLTAKMKSLGYVPDFSFVLQDVEDDEKEHILNSHSERLAIAFGLISTPPKTPIRIFKNLRDGACSCGDYW >ONH91629 pep chromosome:Prunus_persica_NCBIv2:G8:15084427:15089699:-1 gene:PRUPE_8G126500 transcript:ONH91629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLVPTCKGRHVSKFLPSLRLSCQLFSAATNTLQFSSDGFANENKNDDIDLLFQSCTNVHHAKQLHAFLVVSGKVQNIFLSARLVNRYAYLGDVSLSRITFDLIPRKDVYTWNSMVSAYVRSGHFREAIDCFSQFLLTSGLRPDFYTFPPVLKACQNLVDGKRIHCQILKLGFEWDVFVAASLVHLYSRFGFVGIACRLFDEMPIRDVGSWNAMISGFCQNGNAADALDVLIEMRSDGVKMDRVTATSLLTACAQSGDILSGMLIHLYVIKHGLDFDLLICNALINMYSKFGSLGHARRIFDQMDIRDLVSWNSIIAAYEQNDDPMTALGLFYSMQLLGIQPDFLTLVSLASILAQLSDAAKSRSVHGFILRRDFFVQDVVIGNAVVDMYAKLGAIYSARTVFEGLPIKDVISWNTLITGYAQNGLASEAIEVYRMMQEYKEIIPNHGTWVSILPAYTSVGALQQGMKIHGRVIKNCLDLDVFVGTCLIDMYAKCGRLDDALLLFSQVPRKSAIPWNAVISSHGVHGHGEKALKLFKDMLDEGVKPDHVTFVSLLSACSHSGLVDEGQSYFHMMQEQYRIKPNLKHYGCMVDLLGRAGHLNKAYSFIDNMPVRPDASVWGALLGACRIHGNVDLGRIASERLFEVDSENVGYYVLLSNIYANSGKWEGVEKVRSLARNRGLSKTPGWSSIEVNNNVDVFYTANQSHPKCQEIYQKLSDLTAKMKSLGYVPDFSFVLQDVEDDEKEHILNSHSERLAIAFGLISTPPKTPIRIFKNLRVCGDCHNATKFISVITEREIIVRDSNRFHHFKDGACSCGDYW >ONH91627 pep chromosome:Prunus_persica_NCBIv2:G8:15086025:15089674:-1 gene:PRUPE_8G126500 transcript:ONH91627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLVPTCKGRHVSKFLPSLRLSCQLFSAATNTLQFSSDGFANENKNDDIDLLFQSCTNVHHAKQLHAFLVVSGKVQNIFLSARLVNRYAYLGDVSLSRITFDLIPRKDVYTWNSMVSAYVRSGHFREAIDCFSQFLLTSGLRPDFYTFPPVLKACQNLVDGKRIHCQILKLGFEWDVFVAASLVHLYSRFGFVGIACRLFDEMPIRDVGSWNAMISGFCQNGNAADALDVLIEMRSDGVKMDRVTATSLLTACAQSGDILSGMLIHLYVIKHGLDFDLLICNALINMYSKFGSLGHARRIFDQMDIRDLVSWNSIIAAYEQNDDPMTALGLFYSMQLLGIQPDFLTLVSLASILAQLSDAAKSRSVHGFILRRDFFVQDVVIGNAVVDMYAKLGAIYSARTVFEGLPIKDVISWNTLITGYAQNGLASEAIEVYRMMQEYKEIIPNHGTWVSILPAYTSVGALQQGMKIHGRVIKNCLDLDVFVGTCLIDMYAKCGRLDDALLLFSQVPRKSAIPWNAVISSHGVHGHGEKALKLFKDMLDEGVKPDHVTFVSLLSACSHSGLVDEGQSYFHMMQEQYRIKPNLKHYGCMVDLLGRAGHLNKAYSFIDNMPVRPDASVWGALLGACRIHGNVDLGRIASERLFEVDSENVGYYVLLSNIYANSGKWEGVEKVRSLARNRGLSKTPGWSSIEVNNNVDVFYTANQSHPKCQEIYQKLSDLTAKMKSLGYVPDFSFVLQDVEDDEKEHILNSHSERLAIAFGLISTPPKTPIRIFKNLRVCGDCHNATKFISVITEREIIVRDSNRFHHFKDGACSCGDYW >ONH91630 pep chromosome:Prunus_persica_NCBIv2:G8:15084427:15089674:-1 gene:PRUPE_8G126500 transcript:ONH91630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAYVRSGHFREAIDCFSQFLLTSGLRPDFYTFPPVLKACQNLVDGKRIHCQILKLGFEWDVFVAASLVHLYSRFGFVGIACRLFDEMPIRDVGSWNAMISGFCQNGNAADALDVLIEMRSDGVKMDRVTATSLLTACAQSGDILSGMLIHLYVIKHGLDFDLLICNALINMYSKFGSLGHARRIFDQMDIRDLVSWNSIIAAYEQNDDPMTALGLFYSMQLLGIQPDFLTLVSLASILAQLSDAAKSRSVHGFILRRDFFVQDVVIGNAVVDMYAKLGAIYSARTVFEGLPIKDVISWNTLITGYAQNGLASEAIEVYRMMQEYKEIIPNHGTWVSILPAYTSVGALQQGMKIHGRVIKNCLDLDVFVGTCLIDMYAKCGRLDDALLLFSQVPRKSAIPWNAVISSHGVHGHGEKALKLFKDMLDEGVKPDHVTFVSLLSACSHSGLVDEGQSYFHMMQEQYRIKPNLKHYGCMVDLLGRAGHLNKAYSFIDNMPVRPDASVWGALLGACRIHGNVDLGRIASERLFEVDSENVGYYVLLSNIYANSGKWEGVEKVRSLARNRGLSKTPGWSSIEVNNNVDVFYTANQSHPKCQEIYQKLSDLTAKMKSLGYVPDFSFVLQDVEDDEKEHILNSHSERLAIAFGLISTPPKTPIRIFKNLRVCGDCHNATKFISVITEREIIVRDSNRFHHFKDGACSCGDYW >ONH91625 pep chromosome:Prunus_persica_NCBIv2:G8:15084445:15089674:-1 gene:PRUPE_8G126500 transcript:ONH91625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLVPTCKGRHVSKFLPSLRLSCQLFSAATNTLQFSSDGFANENKNDDIDLLFQSCTNVHHAKQLHAFLVVSGKVQNIFLSARLVNRYAYLGDVSLSRITFDLIPRKDVYTWNSMVSAYVRSGHFREAIDCFSQFLLTSGLRPDFYTFPPVLKACQNLVDGKRIHCQILKLGFEWDVFVAASLVHLYSRFGFVGIACRLFDEMPIRDVGSWNAMISGFCQNGNAADALDVLIEMRSDGVKMDRVTATSLLTACAQSGDILSGMLIHLYVIKHGLDFDLLICNALINMYSKFGSLGHARRIFDQMDIRDLVSWNSIIAAYEQNDDPMTALGLFYSMQLLGIQPDFLTLVSLASILAQLSDAAKSRSVHGFILRRDFFVQDVVIGNAVVDMYAKLGAIYSARTVFEGLPIKDVISWNTLITGYAQNGLASEAIEVYRMMQEYKEIIPNHGTWVSILPAYTSVGALQQGMKIHGRVIKNCLDLDVFVGTCLIDMYAKCGRLDDALLLFSQVPRKSAIPWNAVISSHGVHGHGEKALKLFKDMLDEGVKPDHVTFVSLLSACSHSGLVDEGQSYFHMMQEQYRIKPNLKHYGCMVDLLGRAGHLNKAYSFIDNMPVRPDASVWGALLGACRIHGNVDLGRIASERLFEVDSENVGYYVLLSNIYANSGKWEGVEKVRSLARNRGLSKTPGWSSIEVNNNVDVFYTANQSHPKCQEIYQKLSDLTAKMKSLGYVPDFSFVLQDVEDDEKEHILNSHSERLAIAFGLISTPPKTPIRIFKNLRDGACSCGDYW >ONH90952 pep chromosome:Prunus_persica_NCBIv2:G8:11812456:11815003:-1 gene:PRUPE_8G085100 transcript:ONH90952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLLKSSPVLDKSEWVKGQTLRQPSASSVVRCLPTTPSGLTIRASSYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPALGQYVSGAILFEETLYQSTIDGKKIVDVLVEQGIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYASIAQDNGLVPIVEPEILLDGEHTIDRTFEVALKVWAEVFFYLAENNVLFEGILLKPSMVTPGAEAKERATPQQVADYTLKLLHRRIPPAVPGIMFLSGGQSELEATLNLNAMNQSTNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRAKANSLAQLGKYTGEGESEEAKKELFVKGYVY >ONH90953 pep chromosome:Prunus_persica_NCBIv2:G8:11812460:11814833:-1 gene:PRUPE_8G085100 transcript:ONH90953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESNATCGKRLASIGLENTEANRQAYRTLLVSAPALGQYVSGAILFEETLYQSTIDGKKIVDVLVEQGIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYASIAQDNGLVPIVEPEILLDGEHTIDRTFEVALKVWAEVFFYLAENNVLFEGILLKPSMVTPGAEAKERATPQQVADYTLKLLHRRIPPAVPGIMFLSGGQSELEATLNLNAMNQSTNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRAKANSLAQLGKYTGEGESEEAKKELFVKGYVY >ONH90844 pep chromosome:Prunus_persica_NCBIv2:G8:11119105:11122004:1 gene:PRUPE_8G076900 transcript:ONH90844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHVLKSSPLSSSSKTIIFNPTITLTITKLLHPPKPAPTSLFRTHFRALPPRPISSVIPAIGVGGSLFQSKRSFRGGVVVAMAAPGSVQKSEEEWRAVLSSEQFRILRQKGTEYPGTGEYDKFFEEGVYGCAGCGTPLYRSTTKFNSGCGWPAFYEGLPGAINYNPDPDGMRTEITCAACGGHLGHVFKGEGFRTPTDERHCVNSISLKFSPANSNPSQ >ONH89538 pep chromosome:Prunus_persica_NCBIv2:G8:107682:111764:-1 gene:PRUPE_8G001000 transcript:ONH89538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHCHSSLWANPNPVAVARVTSLMRLNLKNNLYYKNKKNNISYSYSYSYSLSLCCVGLRAPMGFGYSSSCFDDQHQQQQQQQQQQHDVVVQDDEDDLRSLLQILPHDLHENLLNEPKRARLLEVILDLGRMPEARYLGELGGQYLRRTVVSLEELEHAQNAIGDFGGDNRAGIEGTLHRISAIKSRKGLIIGLTCRVGRAVSGQIDMVYDMLQYEKSILFVGRPGVGKTTVLREIARVLSDEFHKRVVIIDTSNEIGGDGNIPHAAIGGARRMQVTEPSMQHKVMIEAVENHMPEVIIVDEIGTAAEALACRSIAQRGVMLIATAHGQQLENILKNPTLSDLVGGVETVTLGDGEAKARRSQKTILERQAPPTFEFLIEMRQRHYWVTHQTEKSVDILLRGKSPQVEVRTRDKKFKVVIERSKSYDECEA >ONH89537 pep chromosome:Prunus_persica_NCBIv2:G8:107682:111764:-1 gene:PRUPE_8G001000 transcript:ONH89537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHCHSSLWANPNPVAVARVTSLMRLNLKNNLYYKNKKNNISYSYSYSYSLSLCCVGLRAPMGFGYSSSCFDDQHQQQQQQQQQQHDVVVQDDEDDLRSLLQILPHDLHENLLNEPKRARLLEVILDLGRMPEARYLGELGGQYLRRTVVSLEELEHAQNAIGDFGGDNRAGIEGTLHRISAIKSRKGLIIGLTCRVGRAVSGQIDMVYDMLQYEKSILFVGRPGVGKTTVLREIARVLSDEFHKRVVIIDTSNEIGGDGNIPHAAIGGARRMQVTEPSMQHKVMIEAVENHMPEVIIVDEIGTAAEALACRSIAQRGVMLIATAHGQQLENILKNPTLSDLVGGVETVTLGDGEAKARRSQKTILERQAPPTFEFLIEMRQRHYWVTHQVRTRDKKFKVVIERSKSYDECEA >ONH89536 pep chromosome:Prunus_persica_NCBIv2:G8:106800:111996:-1 gene:PRUPE_8G001000 transcript:ONH89536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHCHSSLWANPNPVAVARVTSLMRLNLKNNLYYKNKKNNISYSYSYSYSLSLCCVGLRAPMGFGYSSSCFDDQHQQQQQQQQQQHDVVVQDDEDDLRSLLQILPHDLHENLLNEPKRARLLEVSLEELEHAQNAIGDFGGDNRAGIEGTLHRISAIKSRKGLIIGLTCRVGRAVSGQIDMVYDMLQYEKSILFVGRPGVGKTTVLREIARVLSDEFHKRVVIIDTSNEIGGDGNIPHAAIGGARRMQVTEPSMQHKVMIEAVENHMPEVIIVDEIGTAAEALACRSIAQRGVMLIATAHGQQLENILKNPTLSDLVGGVETVTLGDGEAKARRSQKTILERQAPPTFEFLIEMRQRHYWVTHQTEKSVDILLRGKSPQVEVRTRDKKFKVVIERSKSYDECEA >ONH89539 pep chromosome:Prunus_persica_NCBIv2:G8:107682:110713:-1 gene:PRUPE_8G001000 transcript:ONH89539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLEELEHAQNAIGDFGGDNRAGIEGTLHRISAIKSRKGLIIGLTCRVGRAVSGQIDMVYDMLQYEKSILFVGRPGVGKTTVLREIARVLSDEFHKRVVIIDTSNEIGGDGNIPHAAIGGARRMQVTEPSMQHKVMIEAVENHMPEVIIVDEIGTAAEALACRSIAQRGVMLIATAHGQQLENILKNPTLSDLVGGVETVTLGDGEAKARRSQKTILERQAPPTFEFLIEMRQRHYWVTHQTEKSVDILLRGKSPQVEVRTRDKKFKVVIERSKSYDECEA >ONH89535 pep chromosome:Prunus_persica_NCBIv2:G8:106800:111917:-1 gene:PRUPE_8G001000 transcript:ONH89535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHCHSSLWANPNPVAVARVTSLMRLNLKNNLYYKNKKNNISYSYSYSYSLSLCCVGLRAPMGFGYSSSCFDDQHQQQQQQQQQQHDVVVQDDEDDLRSLLQILPHDLHENLLNEPKRARLLEVSLEELEHAQNAIGDFGGDNRAGIEGTLHRISAIKSRKGLIIGLTCRVGRAVSGQIDMVYDMLQYEKSILFVGRPGVGKTTVLREIARVLSDEFHKRVVIIDTSNEIGGDGNIPHAAIGGARRMQVTEPSMQHKVMIEAVENHMPEVIIVDEIGTAAEALACRSIAQRGVMLIATAHGQQLENILKNPTLSDLVGGVETVTLGDGEAKARRSQKTILERQAPPTFEFLIEMRQRHYWVTHQVRTRDKKFKVVIERSKSYDECEA >ONH89809 pep chromosome:Prunus_persica_NCBIv2:G8:1649156:1650502:1 gene:PRUPE_8G017700 transcript:ONH89809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCDVLVGTSLVDMYAKCGDVFESRKVFDYMPEKNVVAWNAIIGGYLRSGDTASAVFLFEKMSMRTSVTWIEMIAGFARSGDTVTARRFFDQVPPELKNVVTWTVMVEGYCSNGKMEAAREVFEAMPQRNFFVWSSMISGYCKKGDVREAKFIFDRIPVRNLVNWNSLISGYAQNGFSEEALKAFGKMQAEGFEPDEVTVVSVLSACAQSGLLDVGKNIHDILGHKRIKLSQIVLNALVDMYAKCGDLVNARLIFEGMTERNSACWNAMISGLAIHGQCKEALELFHRMEDSNERPDDITFISVLSACAHGGLVDEGIETFSKMEKYGLATGIKHYGCLVDLLGRAGRLREAYALIKRMPIKPNGMVWGAMLGACRIYMDMEMTEQVVKDIGTLDSNIGSGDNLHYVLLSNIYAASDRWETAERTRIAMVNEGFQKTPAHSAFVTSGT >ONH93065 pep chromosome:Prunus_persica_NCBIv2:G8:19504935:19506022:1 gene:PRUPE_8G210600 transcript:ONH93065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKVLGFWASPYPYRVMWALKLKGVEYEYVEEDIFNKSDLLLQSNPVHKMVPVFFHGGKIIAESTVILEYIEETWPQNPLLPNDPYARAMARFWMKFLDDKKPNLLAFFRKIGDEQAKAVKDAQEIMTILEQHSLEENKFFNGDEIGMTDLAFGLITFWLEVMEEGAGVQVLEVNRFPRLQAWIKNFKEVPVIKENHPDKSRQLAYMKERREVHVKTATS >ONH93691 pep chromosome:Prunus_persica_NCBIv2:G8:21408905:21410865:-1 gene:PRUPE_8G247500 transcript:ONH93691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKTRGLLSLLLILPLITLATATAGIRLGIILKPSPDVAIFREAPAFRNGDACQPAEKIHIAMTLDSNYLRGTMGAVLSILQHSTCPEFIEFHFLWARFEPEVLSNIKSAFPYLKFNVYRFDSNRVRGKISKSIRQALDQPLNYARIYLADIIPVDVKRVLYLDSDLVVVDDVAKLWKVDLQGKVLAAPEYCHANFSKYFTESFWSDQMFSKTFEGRKPCYFNTGVMVVDVEKWRQGEYTKQMEEWMVVQKQKRIYHLGSLPPFLLVLAGDIRAVDHRWNQHGLGGDNLEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCTVDHLWAPYDLYRSSAHYLEE >ONH93172 pep chromosome:Prunus_persica_NCBIv2:G8:19855757:19859950:-1 gene:PRUPE_8G217100 transcript:ONH93172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPNFMSQVDKLKSDPTLKQMQVQTMPMIQKPLSLLFHIFLFSSLPLITTASSSPTPRAQAEALIKWKRSFSSSSSSSSSSSSSSSSSSSSSSSSPPPSLLHSWSLTNINNLCNWTGVACGHHTTKTRTVSKIDLSNMNITGKLTRFDFIRFPNLTHFNLFSNNFSGQIPSAIGNLTSLTFLDLGNNVFDQEIPSEIGRLAELQYLSFHNNSLYGAIPYQLSHLQKVWYLDLASNIVESPDWSKFSGMPSLTYLDIHDNIHLNSDFPDFISHCWNLTFLDLSQTNMTGQIPEAVCNNLAKLEYLNLTNNLFQGPFPKNISKLSKLKHLHAQVNKFSGPIPEDIGSISGLQSIDLLQNSLEGKIPSSIGQLRELRYLDLRNNSLNSSIPSELGFCTNLTYLALASNKLNGELPLSLSNLNNINKLGLSENNLTGPILPSLISNWTEVESLQLQNNKFSGNIPAEIGLLTKLNYLFLYNNNFSGSIPSEIGNLKDLTGLALSQNQLSGPIPMTLWNLTNIKTVNLYFNNLTGMIPPEIENMVSLEEFDADTNHLYGELPGTISRLTKLKSFSVFANNFSGSIPRDFGRYSPNLSILRLSDNSFTGELPPELCSGSALEELSVAGNNFSGSLPKCLRNCSKLQTVAVGHNQFTGSITNSFGIHPNLTSVSLSNNQFVGEISPELGECESLNRLLMDRNKISGQIPPELGKLSKLAELILDSNDLTGYIPAQLGNLGLLYKLNLSKNHLTGDIPKSLSDLTKLELLDLSENDLIGNIPIELGKFEKLSTLSLSHNNLFGQIPPELGNLPLQYLLDLSSNSLSEPLPADLAKLIRLEILNVSHNHLSGSIPETFSRMVSLVDIDFSYNNLTGPIPTGAMFRKVPVNAILGNDGLCGDTKGLTPCNTNPGKSNKISKVLLALLVSSCVILVVATTSTAAVLKFSRKSKLKDTESPRMSESFDLGIWGRYGKFTFGAIVNATENFDEKYLIGKGGFGSVYKAMLGRGKVVAVKKLNISDSSDIPEINRQSFENEIRTLTEVRHRNIINLYGFCSWRDCLYLVYEYAERGSLRKVLYGTEEREEELGWSTRVKIVQGLAHAIAYLHNDCSPPIVHRDITLNNILLEKGFVPRLSDFGTARLLSTDSSNWTTVAGSYGYMAPELAFTLRVTDKCDVYSFGVVALEIMMGRHPGELLTSLSVSLPENAELLLKDLLDQRLRPPPSQSAAAVASVVTLALACTHTNAESRPTMDFVAKELSSARTQANLSVPFGMITINKLANFQNQKNF >ONH93173 pep chromosome:Prunus_persica_NCBIv2:G8:19855757:19859910:-1 gene:PRUPE_8G217100 transcript:ONH93173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDKLKSDPTLKQMQVQTMPMIQKPLSLLFHIFLFSSLPLITTASSSPTPRAQAEALIKWKRSFSSSSSSSSSSSSSSSSSSSSSSSSPPPSLLHSWSLTNINNLCNWTGVACGHHTTKTRTVSKIDLSNMNITGKLTRFDFIRFPNLTHFNLFSNNFSGQIPSAIGNLTSLTFLDLGNNVFDQEIPSEIGRLAELQYLSFHNNSLYGAIPYQLSHLQKTNMTGQIPEAVCNNLAKLEYLNLTNNLFQGPFPKNISKLSKLKHLHAQVNKFSGPIPEDIGSISGLQSIDLLQNSLEGKIPSSIGQLRELRYLDLRNNSLNSSIPSELGFCTNLTYLALASNKLNGELPLSLSNLNNINKLGLSENNLTGPILPSLISNWTEVESLQLQNNKFSGNIPAEIGLLTKLNYLFLYNNNFSGSIPSEIGNLKDLTGLALSQNQLSGPIPMTLWNLTNIKTVNLYFNNLTGMIPPEIENMVSLEEFDADTNHLYGELPGTISRLTKLKSFSVFANNFSGSIPRDFGRYSPNLSILRLSDNSFTGELPPELCSGSALEELSVAGNNFSGSLPKCLRNCSKLQTVAVGHNQFTGSITNSFGIHPNLTSVSLSNNQFVGEISPELGECESLNRLLMDRNKISGQIPPELGKLSKLAELILDSNDLTGYIPAQLGNLGLLYKLNLSKNHLTGDIPKSLSDLTKLELLDLSENDLIGNIPIELGKFEKLSTLSLSHNNLFGQIPPELGNLPLQYLLDLSSNSLSEPLPADLAKLIRLEILNVSHNHLSGSIPETFSRMVSLVDIDFSYNNLTGPIPTGAMFRKVPVNAILGNDGLCGDTKGLTPCNTNPGKSNKISKVLLALLVSSCVILVVATTSTAAVLKFSRKSKLKDTESPRMSESFDLGIWGRYGKFTFGAIVNATENFDEKYLIGKGGFGSVYKAMLGRGKVVAVKKLNISDSSDIPEINRQSFENEIRTLTEVRHRNIINLYGFCSWRDCLYLVYEYAERGSLRKVLYGTEEREEELGWSTRVKIVQGLAHAIAYLHNDCSPPIVHRDITLNNILLEKGFVPRLSDFGTARLLSTDSSNWTTVAGSYGYMAPELAFTLRVTDKCDVYSFGVVALEIMMGRHPGELLTSLSVSLPENAELLLKDLLDQRLRPPPSQSAAAVASVVTLALACTHTNAESRPTMDFVAKELSSARTQANLSVPFGMITINKLANFQNQKNF >ONH91024 pep chromosome:Prunus_persica_NCBIv2:G8:12226458:12230536:1 gene:PRUPE_8G088900 transcript:ONH91024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSWVTVDEKGSSKVLFWSEGQKKRMAEGKAKTYTYHDYTSGYIHHSTIRNLEYNTKYYYMVGIGHTERLFWFVTPPEVGPDVTYTFGLMGDLGQTFDSNLTLIHYEENPLKGQTVLNLGDLSYADNHPNHDNVKWDTFGRFIERSAAYQPWIWTAGNHEIDYAPEIGEYKPFKPYTHRYNVPHKASGSPAPFFYSIKRASAYIIVLSSYTAYGQYTPQYQWLEQELPKVNRSETPWLIVLLHSPWYNSYNYHYMEGESMRVMFESWFVQHKVDVVFAGHVHAYERSERVSNIAYNIENGKCSPVVKDQSAPVYITIGDGGNIEGLATNMREPQPDYSAYREASFGHAIFDIKNRTHAFYSWHRNDDGVAVKADSMWFFNRYHHPVDDSTSSQS >ONH91019 pep chromosome:Prunus_persica_NCBIv2:G8:12226607:12230536:1 gene:PRUPE_8G088900 transcript:ONH91019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGVVSLASVSTSSRVARLVVLVLNLAVVCNGGITSPFVRKAEKTVDMPYESDAFQVPHGYNAPQQVHITQGDHKGKAMIVSWVTVDEKGSSKVLFWSEGQKKRMAEGKAKTYTYHDYTSGYIHHSTIRNLEYNTKYYYMVGIGHTERLFWFVTPPEVGPDVTYTFGLMGDLGQTFDSNLTLIHYEENPLKGQTVLNLGDLSYADNHPNHDNVKWDTFGRFIERSAAYQPWIWTAGNHEIDYAPEIGEYKPFKPYTHRYNVPHKASGSPAPFFYSIKRASAYIIVLSSYTAYGQYTPQYQWLEQELPKVNRSETPWLIVLLHSPWYNSYNYHYMEGESMRVMFESWFVQHKVDVVFAGHVHAYERSERVSNIAYNIENGKCSPVVKDQSAPVYITIGDGGNIEGLATNMREPQPDYSAYREASFGHAIFDIKNRTHAFYSWHRNDDGVAVKADSMWFFNRYHHPVDDSTSSQS >ONH91023 pep chromosome:Prunus_persica_NCBIv2:G8:12226455:12230536:1 gene:PRUPE_8G088900 transcript:ONH91023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYESDAFQVPHGYNAPQQVHITQGDHKGKAMIVSWVTVDEKGSSKVLFWSEGQKKRMAEGKAKTYTYHDYTSGYIHHSTIRNLEYNTKYYYMVGIGHTERLFWFVTPPEVGPDVTYTFGLMGDLGQTFDSNLTLIHYEENPLKGQTVLNLGDLSYADNHPNHDNVKWDTFGRFIERSAAYQPWIWTAGNHEIDYAPEIGEYKPFKPYTHRYNVPHKASGSPAPFFYSIKRASAYIIVLSSYTAYGQYTPQYQWLEQELPKVNRSETPWLIVLLHSPWYNSYNYHYMEGESMRVMFESWFVQHKVDVVFAGHVHAYERSERVSNIAYNIENGKCSPVVKDQSAPVYITIGDGGNIEGLATNMREPQPDYSAYREASFGHAIFDIKNRTHAFYSWHRNDDGVAVKADSMWFFNRYHHPVDDSTSSQS >ONH91021 pep chromosome:Prunus_persica_NCBIv2:G8:12226438:12230536:1 gene:PRUPE_8G088900 transcript:ONH91021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGVVSLASVSTSSRVARLVVLVLNLAVVCNGGITSPFVRKAEKTVDMPYESDAFQVPHGYNAPQQVHITQGDHKGKAMIVSWVTVDEKGSSKVLFWSEGQKKRMAEGKAKTYTYHDYTSGYIHHSTIRNLEYNTKYYYMVGIGHTERLFWFVTPPEVGPDVTYTFGLMGDLGQTFDSNLTLIHYEENPLKGQTVLNLGDLSYADNHPNHDNVKWDTFGRFIERSAAYQPWIWTAGNHEIDYAPEIGEYKPFKPYTHRYNVPHKASGSPAPFFYSIKRASAYIIVLSSYTAYGQYTPQYQWLEQELPKVNRSETPWLIVLLHSPWYNSYNYHYMEGESMRVMFESWFVQHKVDVVFAGHVHAYERSERVSNIAYNIENGKCSPVVKDQSAPVYITIGDGGNIEGLATNMREPQPDYSAYREASFGHAIFDIKNRTHAFYSWHRNDDGVAVKADSMWFFNRYHHPVDDSTSSQS >ONH91022 pep chromosome:Prunus_persica_NCBIv2:G8:12226386:12230536:1 gene:PRUPE_8G088900 transcript:ONH91022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGVVSLASVSTSSRVARLVVLVLNLAVVCNGGITSPFVRKAEKTVDMPYESDAFQVPHGYNAPQQVHITQGDHKGKAMIVSWVTVDEKGSSKVLFWSEGQKKRMAEGKAKTYTYHDYTSGYIHHSTIRNLEYNTKYYYMVGIGHTERLFWFVTPPEVGPDVTYTFGLMGDLGQTFDSNLTLIHYEENPLKGQTVLNLGDLSYADNHPNHDNVKWDTFGRFIERSAAYQPWIWTAGNHEIDYAPEIGEYKPFKPYTHRYNVPHKASGSPAPFFYSIKRASAYIIVLSSYTAYGQYTPQYQWLEQELPKVNRSETPWLIVLLHSPWYNSYNYHYMEGESMRVMFESWFVQHKVDVVFAGHVHAYERSERVSNIAYNIENGKCSPVVKDQSAPVYITIGDGGNIEGLATNMREPQPDYSAYREASFGHAIFDIKNRTHAFYSWHRNDDGVAVKADSMWFFNRYHHPVDDSTSSQS >ONH91020 pep chromosome:Prunus_persica_NCBIv2:G8:12226386:12230536:1 gene:PRUPE_8G088900 transcript:ONH91020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGVVSLASVSTSSRVARLVVLVLNLAVVCNGGITSPFVRKAEKTVDMPYESDAFQVPHGYNAPQQVHITQGDHKGKAMIVSWVTVDEKGSSKVLFWSEGQKKRMAEGKAKTYTYHDYTSGYIHHSTIRNLEYNTKYYYMVGIGHTERLFWFVTPPEVGPDVTYTFGLMGDLGQTFDSNLTLIHYEENPLKGQTVLNLGDLSYADNHPNHDNVKWDTFGRFIERSAAYQPWIWTAGNHEIDYAPEIGEYKPFKPYTHRYNVPHKASGSPAPFFYSIKRASAYIIVLSSYTAYGQYTPQYQWLEQELPKVNRSETPWLIVLLHSPWYNSYNYHYMEGESMRVMFESWFVQHKVDVVFAGHVHAYERSERVSNIAYNIENGKCSPVVKDQSAPVYITIGDGGNIEGLATNMREPQPDYSAYREASFGHAIFDIKNRTHAFYSWHRNDDGVAVKADSMWFFNRYHHPVDDSTSSQS >ONH91018 pep chromosome:Prunus_persica_NCBIv2:G8:12226446:12230536:1 gene:PRUPE_8G088900 transcript:ONH91018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGVVSLASVSTSSRVARLVVLVLNLAVVCNGGITSPFVRKAEKTVDMPYESDAFQVPHGYNAPQQVHITQGDHKGKAMIVSWVTVDEKGSSKVLFWSEGQKKRMAEGKAKTYTYHDYTSGYIHHSTIRNLEYNTKYYYMVGIGHTERLFWFVTPPEVGPDVTYTFGLMGDLGQTFDSNLTLIHYEENPLKGQTVLNLGDLSYADNHPNHDNVKWDTFGRFIERSAAYQPWIWTAGNHEIDYAPEIGEYKPFKPYTHRYNVPHKASGSPAPFFYSIKRASAYIIVLSSYTAYGQYTPQYQWLEQELPKVNRSETPWLIVLLHSPWYNSYNYHYMEGESMRVMFESWFVQHKVDVVFAGHVHAYERSERVSNIAYNIENGKCSPVVKDQSAPVYITIGDGGNIEGLATNMREPQPDYSAYREASFGHAIFDIKNRTHAFYSWHRNDDGVAVKADSMWFFNRYHHPVDDSTSSQS >ONH92519 pep chromosome:Prunus_persica_NCBIv2:G8:18021436:18022357:-1 gene:PRUPE_8G179200 transcript:ONH92519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTTGGGIKASCNDSCGCPNPCPGGVTCRCTNTAEATSGGGDHMTCSCGEHCGCNPCTCAKSVVSTKTGKAYCKCGEGCACVSCAA >ONH92695 pep chromosome:Prunus_persica_NCBIv2:G8:18533353:18534564:-1 gene:PRUPE_8G190100 transcript:ONH92695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPNPNSLKYRFTKGLLKALARINKHQPRSSSSSSREIQRRYRRIKNAAYASMACAVGTRRAWSRELLWKIRNQARNGGVLRRIGTTTTSTHYPMKKRSQKKPVVAGLGRVDELRKVIPGGEGMDTWSLLEETAHYMKCLTTQVKVMRTIVAIYSTT >ONH91114 pep chromosome:Prunus_persica_NCBIv2:G8:12699612:12700773:1 gene:PRUPE_8G094100 transcript:ONH91114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSINFYDIKAEKANAILRYRQLRKIANLFWLIEVFVVLLLLSRFSLQLPHAVKNSGFYFQDISGFVVSPRFVFVVGNIIVIILLVKSGRFSGKDSSTGADLYDEFVHNSEKNQKIRSDAIEYHAIQNRAEDSTASEQPHTISREIKNFTRSQSENLEREACKNSRRLLRRSETEKFKKRVEYGERLAESAYPEDSMSNEEFRSKIEAFIARQQKFRLQEEFSVN >ONH93748 pep chromosome:Prunus_persica_NCBIv2:G8:21555810:21556466:1 gene:PRUPE_8G250100 transcript:ONH93748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTSKGGRGKPKASKSVSRSHKAGLQFPVGRIARFLKSGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHQTLLPKKIGRGKGDIGSASQEF >ONH92617 pep chromosome:Prunus_persica_NCBIv2:G8:18295298:18299531:-1 gene:PRUPE_8G184200 transcript:ONH92617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQKDLPAAGEKPVSTENKSSKSETEVKSPKSETSSGDSQSGQTRAAPFQLPEAGFAAPNPFDFSAMTGLLNDPSIKELAEQIAKDPSFNQMADQLQKTFQGATIDEGVPQFDSQQYYSTMQQVMQNPQFMTMAERLGNALMQDPSMNTMLESFANPTNKDQLEERMSRIKEDPSLKPILEEIETGGPAAMMRYWNDKEVLQKLGEAMGLAVPGDAATSADNSGPEEVEDAGNEDESIVHHTASVGDVEGLKSALASGADKDEEDSEGRTALHFACGYGEVKCAQVLLEAGARVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNMDGKTPIDVAKLNSQNDVLKLLEKDAFL >ONH91996 pep chromosome:Prunus_persica_NCBIv2:G8:16313862:16315125:1 gene:PRUPE_8G148700 transcript:ONH91996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSQNQTEPPKLRSKVEIKTDKISNLPSDVIEKILLLLPIRDAVRTSVLSRKWRYKSATLPNLKFDSLSVSTVKQTTFANIVDQVLLLHIGPICSFLLSRPDDFLANSDIERWILHLSRNSIKEFTLENWKSHLYEMPLCFFSCQDMTHIDLYRCLLQPPSTFKGFRSLKSLCMENVTLAPDVFKNLIVCCPLLKTLRLLNCDGLRHLKMDAPKLELLEVEVDEGVFEMVNLQNTLNLADVSITLAINDDLRQVPDSNLVQFFVHFPHIRRLTFGRNFLKVVGIVFLWFHTLNLKTIYTAMGIWSLFIQSAVLCIFASPVFGCSWLARKATSTMPMSEVSFY >ONH91995 pep chromosome:Prunus_persica_NCBIv2:G8:16313764:16315768:1 gene:PRUPE_8G148700 transcript:ONH91995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSQNQTEPPKLRSKVEIKTDKISNLPSDVIEKILLLLPIRDAVRTSVLSRKWRYKSATLPNLKFDSLSVSTVKQTTFANIVDQVLLLHIGPICSFLLSRPDDFLANSDIERWILHLSRNSIKEFTLENWKSHLYEMPLCFFSCQDMTHIDLYRCLLQPPSTFKGFRSLKSLCMENVTLAPDVFKNLIVCCPLLKTLRLLNCDGLRHLKMDAPKLELLEVEVDEGVFEMVNLQNTLNLADVSITLAINDDLRQVPDSNLVQFFVHFPHIRRLTFGRNFLKYLAVRGLPGKLPQPCLCLKFLSISIRFKALKEILAALCLLRSSPALQELEIFAHHEDRDVVGEVNSWLDDNQNCQFTELQRVKMISMSGGKHELDFIRFLLLSSPVLERMTVKPASYNGYSELLKKLLLFRRASLCAEIIYLDP >ONH93249 pep chromosome:Prunus_persica_NCBIv2:G8:20086306:20088190:1 gene:PRUPE_8G221500 transcript:ONH93249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQYYINENGDKVYTTKKESPLGLPTQSAHPARFSPDDMYSRQRFLLKKRFGLLPIQQSPLKY >ONH92987 pep chromosome:Prunus_persica_NCBIv2:G8:19311986:19312703:-1 gene:PRUPE_8G206100 transcript:ONH92987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKKSSDERKALKYLKPDGEEDLLCPKTEHNPKEPMKLNLSPSQDQTKAEPWLFKPKPGSVFPVKKRLVKRMMFDQIVQSFCSVSDCPSASAAGASETTTSSNANKVISNHVYPSPPP >ONH93624 pep chromosome:Prunus_persica_NCBIv2:G8:21223894:21225488:-1 gene:PRUPE_8G243100 transcript:ONH93624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYMHTQLHLFMPTSTTINRPFPQLNHPTITMASSTQYCLFFSISTLLLLFFNASPVLAQQSFRPKALVIPVSKDASTLQYTTHITQRTPLVPINLVLDLGGQFLWVDCEQNYVSSTYRPARCRSAQCSLARASGCGDCFSSPKPGCNNNTCGLTPDNTVTGTATGGELAYDVVSVPSTNGFNPGRNVSVSQFLFTCAPTFLLEGLAAGVSGMAGLGRTRISLPSQFASAFSFDRKFAICLSSSVSSNGVVFFGDGPYVMLPNVDVSESLIYTPLFINPVSTASAFSQGEASSEYFIKVNSIKVNEKPVAVNTTLLSIDRDGVGGTKISTVNPYTVLEASIFKAVTEAFISESKSMNITRVSSVGPFEVCFSRDNVLSTRVGPAVPSIDLVLQNESTFWRVFGANSMVQVNDDVLCLAVVNGGESPRTSIVIGGYQLENNLLQFDLATSRLGFSSSLLFRQTTCSNFNFTSTN >ONH90714 pep chromosome:Prunus_persica_NCBIv2:G8:10378372:10380732:-1 gene:PRUPE_8G071000 transcript:ONH90714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEEEVERLKEEIQRLGKVQDDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKFLTYEGELLLQGVHDNVEIILKPTLAVAAPKATDAVGTS >ONH93953 pep chromosome:Prunus_persica_NCBIv2:G8:22114786:22120866:-1 gene:PRUPE_8G262600 transcript:ONH93953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLKVEELRNQLAQRGLTTTGNKPTLLQRLEVALRQENKQPPDASDVSASIVSRKRGRQSNEDGESTGSEKIKATDEFQDMSVKQLREQATLRGISATGSKKELLERLSEDSDDIPLGGANEEGNGSKEKIVTATKKGAAVLDQWLPEHIKAHYHVLQLGDDVYDAMLNQTNVGYNNNKFYVIQVLESDAGGTFMVYYRWGRVGVKGQNKIQGPYTSRDSAINEFKQKFYDKTKNDWSNRKMFESFPHHYMWIEMDYNEEEKQLSQVQEKNDSALRGQPLETQLEPRIAKFISLICNISMMKQHMMEIGYNADKLPLGKLSKSTISKGYNILKRIADVIGGSNRRTIEQLSGEFYTVIPHDFGFKKMSNFVIDTPQKLKQKLEMVEALDEIVVATKLLKDDTGMQEDPLYSSYQRLRCELTPLGADSDEFNMIAKYLHNTHAKTHSNYAVDIIQIFRASKEGEVERFRKFSSMKNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGIYFADMFSKSANYCYATDGCTAGVLLLCEVALGDMAELLTAKYDADKLPEGKLSTKGVGGTEPDLSQARLLDDGVVVPLGKPKENSEPKGALLYNEYIVYNVEQIRMRYVVQVNFHF >ONH93956 pep chromosome:Prunus_persica_NCBIv2:G8:22115988:22120762:-1 gene:PRUPE_8G262600 transcript:ONH93956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLKVEELRNQLAQRGLTTTGNKPTLLQRLEVALRQENKQPPDASDVSASIVSRKRGRQSNEDGESTGSEKIKATDEFQDMSVKQLREQATLRGISATGSKKELLERLSEDSDDIPLGGANEEGNGSKEKIVTATKKGAAVLDQWLPEHIKAHYHVLQLGDDVYDAMLNQTNVGYNNNKFYVIQVLESDAGGTFMVYYRWGRVGVKGQNKIQGPYTSRDSAINEFKQKFYDKTKNDWSNRKMFESFPHHYMWIEMDYNEEEKQLSVQEKNDSALRGQPLETQLEPRIAKFISLICNISMMKQHMMEIGYNADKLPLGKLSKSTISKGYNILKRIADVIGGSNRRTIEQLSGEFYTVIPHDFGFKKMSNFVIDTPQKLKQKLEMVEALDEIVVATKLLKDDTGMQEDPLYSSYQRLRCELTPLGADSDEFNMIAKYLHNTHAKTHSNYAVDIIQIFRASKEGEVERFRKFSSMKNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGIYFADMFSKSANYCYATDGCTAGVLLLCEVVFQVSASKKHKFTTKMTWC >ONH93954 pep chromosome:Prunus_persica_NCBIv2:G8:22114974:22120430:-1 gene:PRUPE_8G262600 transcript:ONH93954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKQLREQATLRGISATGSKKELLERLSEDSDDIPLGGANEEGNGSKEKIVTATKKGAAVLDQWLPEHIKAHYHVLQLGDDVYDAMLNQTNVGYNNNKFYVIQVLESDAGGTFMVYYRWGRVGVKGQNKIQGPYTSRDSAINEFKQKFYDKTKNDWSNRKMFESFPHHYMWIEMDYNEEEKQLSVQEKNDSALRGQPLETQLEPRIAKFISLICNISMMKQHMMEIGYNADKLPLGKLSKSTISKGYNILKRIADVIGGSNRRTIEQLSGEFYTVIPHDFGFKKMSNFVIDTPQKLKQKLEMVEALDEIVVATKLLKDDTGMQEDPLYSSYQRLRCELTPLGADSDEFNMIAKYLHNTHAKTHSNYAVDIIQIFRASKEGEVERFRKFSSMKNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGIYFADMFSKSANYCYATDGCTAGVLLLCEVALGDMAELLTAKYDADKLPEGKLSTKGVGGTEPDLSQARLLDDGVVVPLGKPKENSEPKGALLYNEYIVYNVEQIRMRYVVQVNFHF >ONH93955 pep chromosome:Prunus_persica_NCBIv2:G8:22114786:22120865:-1 gene:PRUPE_8G262600 transcript:ONH93955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLKVEELRNQLAQRGLTTTGNKPTLLQRLEVALRQENKQPPDASDVSASIVSRKRGRQSNEDGESTGSEKIKATDEFQDMSVKQLREQATLRGISATGSKKELLERLSEDSDDIPLGGANEEGNGSKEKIVTATKKGAAVLDQWLPEHIKAHYHVLQLGDDVYDAMLNQTNVGYNNNKFYVIQVLESDAGGTFMVYYRWGRVGVKGQNKIQGPYTSRDSAINEFKQKFYDKTKNDWSNRKMFESFPHHYMWIEMDYNEEEKQLSVQEKNDSALRGQPLETQLEPRIAKFISLICNISMMKQHMMEIGYNADKLPLGKLSKSTISKGYNILKRIADVIGGSNRRTIEQLSGEFYTVIPHDFGFKKMSNFVIDTPQKLKQKLEMVEALDEIVVATKLLKDDTGMQEDPLYSSYQRLRCELTPLGADSDEFNMIAKYLHNTHAKTHSNYAVDIIQIFRASKEGEVERFRKFSSMKNRMLLWHGSRLTNWAGILSQGCTR >ONH93952 pep chromosome:Prunus_persica_NCBIv2:G8:22114974:22120762:-1 gene:PRUPE_8G262600 transcript:ONH93952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLKVEELRNQLAQRGLTTTGNKPTLLQRLEVALRQENKQPPDASDVSASIVSRKRGRQSNEDGESTGSEKIKATDEFQDMSVKQLREQATLRGISATGSKKELLERLSEDSDDIPLGGANEEGNGSKEKIVTATKKGAAVLDQWLPEHIKAHYHVLQLGDDVYDAMLNQTNVGYNNNKFYVIQVLESDAGGTFMVYYRWGRVGVKGQNKIQGPYTSRDSAINEFKQKFYDKTKNDWSNRKMFESFPHHYMWIEMDYNEEEKQLSVQEKNDSALRGQPLETQLEPRIAKFISLICNISMMKQHMMEIGYNADKLPLGKLSKSTISKGYNILKRIADVIGGSNRRTIEQLSGEFYTVIPHDFGFKKMSNFVIDTPQKLKQKLEMVEALDEIVVATKLLKDDTGMQEDPLYSSYQRLRCELTPLGADSDEFNMIAKYLHNTHAKTHSNYAVDIIQIFRASKEGEVERFRKFSSMKNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGIYFADMFSKSANYCYATDGCTAGVLLLCEVALGDMAELLTAKYDADKLPEGKLSTKGVGGTEPDLSQARLLDDGVVVPLGKPKENSEPKGALLYNEYIVYNVEQIRMRYVVQVNFHF >ONH90513 pep chromosome:Prunus_persica_NCBIv2:G8:7337682:7339942:-1 gene:PRUPE_8G058300 transcript:ONH90513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDARSLHFEDDTAKNGKYQEEKKNEGNQDEEKNEEKQDEKEKEEKKDEEKKEEKQDEKEKEDEEKHDDQVIKVVDYSNMEAPSSLKSLCRYAETTLVPQDKTLHFTIDKEVFGLERNTFVLPEDITQFAGMEEIGATVVAVYMRYLHDLLKQANMCSMVGFIDPATVSANSGTIADRSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETAKNIVNSAIKIYNSHIARSGRKAVIWKTLSGTLKQPSSVECGYYVMRFMRDIIMDPSLAFEKKVRRNYLHTFYVVFCIINDGHVKLPSLNRYTTSVMKNIVVCN >ONH90219 pep chromosome:Prunus_persica_NCBIv2:G8:4279300:4279772:-1 gene:PRUPE_8G041400 transcript:ONH90219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYIELSSLGVSREAQLRASKLLNVVLETGGKEIFEFGYNKLKYRWEKLSNTLALYNVLNHSYSKVGRGYVWLKCEREEDKDCYAVPQDEANVYGTRGSLFGADDRHVRLTLLRS >ONH92348 pep chromosome:Prunus_persica_NCBIv2:G8:17454106:17455987:-1 gene:PRUPE_8G169800 transcript:ONH92348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALISSSSATITSSSSPFSIFSPRKTLPLRPVQLPLASKGNENESSPSSDSKDIKNVPVLSNRHLSLSPLSKDAAMGLVMSAATGRGWTTGSGMEGPPAPAGIHTHSSTENVSTFPWSLFTKSPRRRMLVAFTCNICGQRTTRAINPHAYTDGTVFVQCCGCNAFHKLVDNLNLFHEMKCYVSPGFNYKGNGWDAVNFKYLEMDDDDEDGMFPIQ >ONH92660 pep chromosome:Prunus_persica_NCBIv2:G8:18427346:18428808:1 gene:PRUPE_8G187500 transcript:ONH92660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCKICCGLQPAIVVPKFAPVWSDIKHCKCQPRAILYCLQIPLSGSDQYRTRPGASLVDPIGQKKMHCEMHTRSLYDHELLMHALTDPLKVQ >ONH93788 pep chromosome:Prunus_persica_NCBIv2:G8:21659053:21660924:-1 gene:PRUPE_8G252600 transcript:ONH93788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLPPHLSYFKPVPFLFSSHISLSALDKIRFEIIIDKSKLDGQPELFIPDKNNNTLNIIDSGIGITKAGISFCLCDCFW >ONH90725 pep chromosome:Prunus_persica_NCBIv2:G8:10443401:10451816:-1 gene:PRUPE_8G071400 transcript:ONH90725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPSVAPPQHGSSSPLPSASPLGNSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSEICTRRPLVLQLLQTKRNPDGTDEEYGEFLHVPGRRFHDFSEIRREIQLETEREAGGNKGVTDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKVPSCLILAVTAANSDLANSDALQIAGNADPDGLRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDITMNRSIKDALVAEEKFFRSRPVYNGLADRCGIPQLAKKLNQILVQHIKAVLPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYSEAFSTMVEGKNEEMSTSELSGGARIHYIFQNIFVKSLEEVDPCEDLTDDDIRTAIQNATGPKSALFVPEVPFENLVRRQIARLLDPSLQCARFIYDELMKISHRCLVNELQRFPVLRKRMDEVIGNFLREGLEPSETMIGHIIEMEMDYINTSHANFIGGSKAVENALQQVKSSRIPLPLSRQKDGVDSDKAPPSERSLKSRAILARQVNGIVPPDQGVRPVVDSEKNASSGNILGGATGSSWGISSIFGGSDNRTPAKENLINKSYNEPIHNVDQAVSMIHLREPPTVLRPTENHSEQETIEIAVTKLLLRSYYDIVRKNIEDSVPKAIMHFLDL >ONH90724 pep chromosome:Prunus_persica_NCBIv2:G8:10441811:10451869:-1 gene:PRUPE_8G071400 transcript:ONH90724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPSVAPPQHGSSSPLPSASPLGNSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSEICTRRPLVLQLLQTKRNPDGTDEEYGEFLHVPGRRFHDFSEIRREIQLETEREAGGNKGVTDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKVPSCLILAVTAANSDLANSDALQIAGNADPDGLRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDITMNRSIKDALVAEEKFFRSRPVYNGLADRCGIPQLAKKLNQILVQHIKAVLPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYSEAFSTMVEGKNEEMSTSELSGGARIHYIFQNIFVKSLEEVDPCEDLTDDDIRTAIQNATGPKSALFVPEVPFENLVRRQIARLLDPSLQCARFIYDELMKISHRCLVNELQRFPVLRKRMDEVIGNFLREGLEPSETMIGHIIEMEMDYINTSHANFIGGSKAVENALQQVKSSRIPLPLSRQKDGVDSDKAPPSERSLKSRAILARQVNGIVPPDQGVRPVVDSEKNASSGNILGGATGSSWGISSIFGGSDNRTPAKENLINKSYNEPIHNVDQAVSMIHLREPPTVLRPTENHSEQETIEIAVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPDEVAMKRKRTRETLRVLQQAFRTLDELPLEAETVEKGYSLGADPTGLPKIHGLPTSSMYNTSSSNDSYSASPKNPKPRKSSHSGELHSPFYANADSNGSGRMYMPGLYPSVD >ONH91369 pep chromosome:Prunus_persica_NCBIv2:G8:13990967:13993497:-1 gene:PRUPE_8G110200 transcript:ONH91369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVPEQGKRVNGDSSTLILPALSIGNVGQLAVDLLVASTRAERIGYLDTPYVLPCVGNDAYGPVPNGELALPLEAYDSSANAMTLIQQRSPVVKGRMIEFAKKLADYVAASGKKHVVVLSSLDFGRWQRVDMSSGLQTLYLSSTNSDGTDDYCEQLGWKKLQEYKPTQKAWKYLSALAEGNAIPESILPFEGELEEEEYYYPSLPFAALFSCLKAKGLKVTCLFCYCSEGDNIPDAFHLAEATCKLLDLSPSNFQGWRRTKIKP >ONH91368 pep chromosome:Prunus_persica_NCBIv2:G8:13990386:13993554:-1 gene:PRUPE_8G110200 transcript:ONH91368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVPEQGKRVNGDSSTLILPALSIGNVGQLAVDLLVASTRAERIGYLDTPYVLPCVGNDAYGPVPNGELALPLEAYDSSANAMTLIQQRSPVVKGRMIEFAKKLADYVAASGKKHVVVLSSLDFGRWQRVDMSSGLQTLYLSSTNSDGTDDYCEQLGWKKLQEYKPTQKAWKYLSALAEGNAIPESILPFEGELEEEEYYYPSLPFAALFSCLKAKGLKVTCLFCYCSEGDNIPDAFHLAEATCKLLDLSPSNFQGNSNDKWVIPLSWKTLYGPPADMSLF >ONH91028 pep chromosome:Prunus_persica_NCBIv2:G8:12263147:12267152:1 gene:PRUPE_8G089300 transcript:ONH91028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKACNGDGGDDCAPEEVEKKIGLANGDGGAPPEKEKKKKNADPQLLICLLQPAPADSDPDYIGIRRLLLSRKPQSPFQRRLDWRCNGKGYVAFRNYIRRPRNWENMQTPSLQTTPGNSGRWIPPPSPRSLLYDVESWSPGRDVQSGSQPSPRRSFGSSTSDNDRPRNRRAEPAYSFVGMHCIFDQCKASVTVLKFGHMSSDLLAYGASDGTLTVCTVSDPPSVLKHLDGHSKDVTDFDFSSNNQYIASSSMDKTVRVWEISKGLCIRVIYGVSSQLCIRFHPVNNNFLSVGNADKEVTVFNFSTGRIIHKIFFDSEVTSMDYAHTGQLMFCGDATGCIYSVSMNSHTGVLSRSHRHRSSIRRKSAVTTVQYRSFSMLARGPVLLTCTQDGSLSFFSVALEIQGYLTLRCSLKLNPRIYSIRASFCPLLSLEKGEYIVAGSEDSNVYFYDLTRPKHTCVNKLQGHRFPVIGVAWNHGENLLASSDFYGTVIVWKRSKTG >ONH90450 pep chromosome:Prunus_persica_NCBIv2:G8:6390693:6395738:-1 gene:PRUPE_8G055000 transcript:ONH90450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQVGTIFKQFLLSEGFFEIHTPKLTAGSSEGGAAVFRLDYNGQPDCLAQSPQLYKQMAICGDFGRVFEIGGSYRAENSHTHRHLCEFTGLDVEMEIDWHYSEVMDIVDRLFVAIFDTLNKTCQMDLEAVGRQYPFEPLKYLPETLRLTFEEGVQMLKDDGVEVDPMGDLNTEAKRKLAQLVLEKYGTEFYILHRYPLDVRPFYTMPCHDNPAYSNSFDVFIRGEEIISGAQRVHVLELLEERAQACGIELKTISSYIDAFRYGSPPHGGFGVGLECVVMLFCGLNNIRKTSLFPRDPDRLAP >ONH91835 pep chromosome:Prunus_persica_NCBIv2:G8:15773970:15775074:-1 gene:PRUPE_8G138400 transcript:ONH91835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHFPLLLLLLCFILLSSSLMDPAMAQAKSGYCSDECSTRCAVAGVQDRCIEYCGICSEECKCVPSGNYGNKHECPCNKNKKGYCTSAQRGVQ >ONH91836 pep chromosome:Prunus_persica_NCBIv2:G8:15774264:15775019:-1 gene:PRUPE_8G138400 transcript:ONH91836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHFPLLLLLLCFILLSSSLMDPAMAQAKSGYCSDECSTRCAVAGVQDRCIEYCGICSEECKCVPSGNYGNKHECPCNKNKKGKHKCP >ONH94123 pep chromosome:Prunus_persica_NCBIv2:G8:22504128:22506122:1 gene:PRUPE_8G271600 transcript:ONH94123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQIQESVPCRPQVKVKFQLGSETYSVNRNTGILSEQVVSVKEESMNILKDFITRHNVPNDVTDELVENSSEDDGEIPENPPVKLKKTKFT >ONH93895 pep chromosome:Prunus_persica_NCBIv2:G8:21989412:21991364:-1 gene:PRUPE_8G259500 transcript:ONH93895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNLTSAFSFALILCVSALSLIPHTTSSASPFQFPPTQSTILPDPSSFFSANLLTTPLPTNSFFQNFALNNGDKPEYFHPYSINSSSSSLSLSYPSLSYPSLSSTSAFISQTFVSDLTISASQTSANLATTNNSSRVISAFTDLSVTLDFPSSNLRFFLARGSPYVTCNVSSPTAVSISTIHAILESYSSNSKTKFTVQLDNNQTWVMYTSSPTNLTRSSPSTLTFDGYSGNIRIALVPSSDPKYAAILDRFSSAYPVSGEAVFTKPFTLEYKWEKYGRGDLFMLAHPLHLQLLSNATVLEDFKYKSIDGDLVGIVGDMWDLKSHNVSVTWHSIGGVKQALSSTPIKTASSYFYGKLVARAARLALIAEEVNCLDVVPAIRKYLADAIEPWLDGTFSGNGFLYDPKWGGLVTQQGSTDRGADFGFGVYNDHHYHLGYFVYGISVLAKIDRAWGSKYKPQAYSLAADFINMGNQSNSNFPRLRCFDLYKLHSWAGGLAEFGDGRDQESTSEAVNAYYSAALMGLAYGDTNLFNSGSMLTALEIQAAQMWWHVRERDTLYEEEFTKENRIVGILWANKRDSGLWFAPQEAKEMRLGIQLLPISPITEILFSDDGFAKEIVEWALPALSREGVEEG >ONH92075 pep chromosome:Prunus_persica_NCBIv2:G8:16561929:16562416:-1 gene:PRUPE_8G152900 transcript:ONH92075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCKMSFALICLVGFALLQPTLAQDSPQDYLNAHNAARAAVGVRALTWDPNLAAYAQRYANSRKAKSSGDMSGTAAVNLFVSEKANYNYNSNTCAPNKVCGHYTQVVWRNSARVGCAKVRCNNGGTFIGCNYDPPGNYVGQKPY >ONH92087 pep chromosome:Prunus_persica_NCBIv2:G8:16610547:16611029:-1 gene:PRUPE_8G154100 transcript:ONH92087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVSANISQAEIDGFLEEHNKARKEYAQEYANKRVDDCAMEHSRGRWGENLTSDDGMTGAAGTKYWVTEKEFYDPKSNKCVKEECGHYLAVIWGKTTEVGCGISKCKDGKNYIVCSYDPMYQPEDERP >ONH90896 pep chromosome:Prunus_persica_NCBIv2:G8:11485961:11486206:1 gene:PRUPE_8G081800 transcript:ONH90896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLFANRATSTSAIGVPKGFLAVYVGENEKKRYMVPISFLSQPSFQELLSKAEEEFGFDHPMGGLTIPCREEIFINPRLNDM >ONH93262 pep chromosome:Prunus_persica_NCBIv2:G8:20115448:20119485:1 gene:PRUPE_8G221900 transcript:ONH93262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIGATLPPGFRFYPSDEELVCHYLFKKITNEEALKGTLVEIDLHICEPWQLPEVAKLNANEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRMVMEPGTGEIVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKGKGEENTKLSPHDFMLETTSCTIPLSMEKYSSPPTQDQTMPRGYNQMPSFSTPPPRHSHNQSNSLLNLLQFPQEKYSNNSVPELGAKNDDEYGFLWDMSLEETSFENGVAPDMDEMRFEMDHNSMVLL >ONH92325 pep chromosome:Prunus_persica_NCBIv2:G8:17388960:17393176:-1 gene:PRUPE_8G168700 transcript:ONH92325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKESPRSVSFRTHRRGERSNLTLHTKDVEAGLPLGRVSQDNPMKIVWKKGFIRLVLVGGILWMLLILLASLLHVWSCQSSISFFSGCPIPLADDPDKIVIPKGRTPDEIVKNLTYVMEDEILPDGTQSSPLFGGHQNWSQREESFRLKSNMKVHCGFIRNGGAEMAPADIKYAKKCRFVVASGIFDGYDVPHQPSNLSPRSKKLFCFLMAVDETSLKFIKENVTVTEDSDGGKWVGIWRLVLLKHPPYDEPRRNGKVPKILTHRLFPKAQYSIWIDGKMELIVDPLLILERYLWCGKHTFAISQHKHHRNIYEEADSNKRRKRYARPLIDLHMKIYRYEGLEPWNSTKKTISDVPEGAIIIREHTAMSNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDLFRFFMFPNCEYNSLFVLHPHIREHSSRIEWVTNWNQLKGNGTISNKGKDWDQANANNGNLIESRGGLGLWTPYPANLDSVVLPPVDRTSKAG >ONH92324 pep chromosome:Prunus_persica_NCBIv2:G8:17389471:17392577:-1 gene:PRUPE_8G168700 transcript:ONH92324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLCCSNEKVYWLIMDKESPRSVSFRTHRRGERSNLTLHTKDVEAGLPLGRVSQDNPMKIVWKKGFIRLVLVGGILWMLLILLASLLHVWSCQSSISFFSAMCNKDSKVFSMLDSMGFVPKQQSQHRCPIPLADDPDKIVIPKGRTPDEIVKNLTYVMEDEILPDGTQSSPLFGGHQNWSQREESFRLKSNMKVHCGFIRNGGAEMAPADIKYAKKCRFVVASGIFDGYDVPHQPSNLSPRSKKLFCFLMAVDETSLKFIKENVTVTEDSDGGKWVGIWRLVLLKHPPYDEPRRNGKVPKILTHRLFPKAQYSIWIDGKMELIVDPLLILERYLWCGKHTFAISQHKHHRNIYEEADSNKRRKRYARPLIDLHMKIYRYEGLEPWNSTKKTISDVPEGAIIIREHTAMSNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDLFRFFMFPNCEYNSLFVLHPHIREHSSRIEWVTNWNQLKGNGTISNKGKDWDQANANNGNLIESRGGLGLWTPYPANLDSVVLPPVDRTSKAG >ONH92326 pep chromosome:Prunus_persica_NCBIv2:G8:17388950:17393184:-1 gene:PRUPE_8G168700 transcript:ONH92326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKESPRSVSFRTHRRGERSNLTLHTKDVEAGLPLGRVSQDNPMKIVWKKGFIRLVLVGGILWMLLILLASLLHVWSCQSSISFFSAMCNKDSKVFSMLDSMGFVPKQQSQHRCPIPLADDPDKIVIPKGRTPDEIVKNLTYVMEDEILPDGTQSSPLFGGHQNWSQREESFRLKSNMKVHCGFIRNGGAEMAPADIKYAKKCRFVVASGIFDGYDVPHQPSNLSPRSKKLFCFLMAVDETSLKFIKENVTVTEDSDGGKWVGIWRLVLLKHPPYDEPRRNGKVPKILTHRLFPKAQYSIWIDGKMELIVDPLLILERYLWCGKHTFAISQHKHHRNIYEEADSNKRRKRYARPLIDLHMKIYRYEGLEPWNSTKKTISDVPEGAIIIREHTAMSNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDLFRFFMFPNCEYNSLFVLHPHIREHSSRIEWVTNWNQLKGNGTISNKGKDWDQANANNGNLIESRGGLGLWTPYPANLDSVVLPPVDRTSKAG >ONH92323 pep chromosome:Prunus_persica_NCBIv2:G8:17388960:17392869:-1 gene:PRUPE_8G168700 transcript:ONH92323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPDLGFLWTRFVSTFSPQFSLKIFIKSHNEKVYWLIMDKESPRSVSFRTHRRGERSNLTLHTKDVEAGLPLGRVSQDNPMKIVWKKGFIRLVLVGGILWMLLILLASLLHVWSCQSSISFFSAMCNKDSKVFSMLDSMGFVPKQQSQHRCPIPLADDPDKIVIPKGRTPDEIVKNLTYVMEDEILPDGTQSSPLFGGHQNWSQREESFRLKSNMKVHCGFIRNGGAEMAPADIKYAKKCRFVVASGIFDGYDVPHQPSNLSPRSKKLFCFLMAVDETSLKFIKENVTVTEDSDGGKWVGIWRLVLLKHPPYDEPRRNGKVPKILTHRLFPKAQYSIWIDGKMELIVDPLLILERYLWCGKHTFAISQHKHHRNIYEEADSNKRRKRYARPLIDLHMKIYRYEGLEPWNSTKKTISDVPEGAIIIREHTAMSNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDLFRFFMFPNCEYNSLFVLHPHIREHSSRIEWVTNWNQLKGNGTISNKGKDWDQANANNGNLIESRGGLGLWTPYPANLDSVVLPPVDRTSKAG >ONH93464 pep chromosome:Prunus_persica_NCBIv2:G8:20735174:20739779:-1 gene:PRUPE_8G233900 transcript:ONH93464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKKNPCVFLDVSTDGDPMERVVIELFADVVPKTAENFRALCTGDKGIGKSTGKPLHYKGSQFHRIIKGFMAQGGDFSNGNGTGGESIYGGKFADENFKLKHDGPGVLSMANAGPNTNGSQFFIIFRRQPHLDGKHVVFGKVVKGMDVVEKIERVGSADGKPFQTVKIVDCGEVSESKVHGATEKERVKKRKSGKVSSSEDGSDGEARGRRKKSLKGKTKRRRYSSSESSSDMSESNSSDSDSDSDSDSSLSDSSPSSGGRRRRRSVKKGKHQRSRKRSDGKKERKRGRHAKRSRRKTKRRLESSSDTESESTRSSTSSSDDDKDGPHVASRKTSNLKRAEKNLSTNLDVGKEPPPLVKKTVVEQRNNHDREKSEDNSSQEEGELSPKNDARINNGHNAEAKTANRNSYSDDSSRSPTRKRRSRSSPSTSPKRISSGSSPRNSGEQNRGRPSRSPLGSPVYKGPEPSTHDRGLSRSTTPNGTPKRVRKGRGFTERYAFARRYRTPSPEQPLHNSYRYGGRDVYRNNRDRYSNYRNYSEHSPRRRFRSPPRGGSPPRYRSRRSRSRSNSRSPGGYRGRNRDRSRSRSRSRSPSLADRPPVSERLKSRLGPRIGDQHSPDRGRPKSRSRSRGPSHSRSPDATPKRRNRTPRSPSRSTSSSPSGQRGLVSYEDISP >ONH93462 pep chromosome:Prunus_persica_NCBIv2:G8:20734748:20740154:-1 gene:PRUPE_8G233900 transcript:ONH93462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKKNPCVFLDVSTDGDPMERVVIELFADVVPKTAENFRALCTGDKGIGKSTGKPLHYKGSQFHRIIKGFMAQGGDFSNGNGTGGESIYGGKFADENFKLKHDGPGVLSMANAGPNTNGSQFFIIFRRQPHLDGKHVVFGKVVKGMDVVEKIERVGSADGKPFQTVKIVDCGEVSESKVHGATEKERVKKRKSGKVSSSEDGSDGEARGRRKKSLKGKTKRRRYSSSESSSDMSESNSSDSDSDSDSDSSLSDSSPSSGGRRRRRSVKKGKHQRSRKRSDGKKERKRGRHAKRSRRKTKRRLESSSDTESESTRSSTSSSDDDKDGPHVASRKTSNLKRAEKNLSTNLDVGKEPPPLVKKTVVEQRNNHDREKSEDNSSQEEGELSPKNDARINNGHNAEAKTANRNSYSDDSRSPTRKRRSRSSPSTSPKRISSGSSPRNSGEQNRGRPSRSPLGSPVYKGPEPSTHDRGLSRSTTPNGTPKRVRKGRGFTERYAFARRYRTPSPEQPLHNSYRYGGRDVYRNNRDRYSNYRNYSEHSPRRRFRSPPRGGSPPRYRSRRSRSRSNSRSPGGYRGRNRDRSRSRSRSRSPSLADRPPVSERLKSRLGPRIGDQHSPDRGRPKSRSRSRGPSHSRSPDATPKRRNRTPRSPSRSTSSSPSGQRGLVSYEDISP >ONH93465 pep chromosome:Prunus_persica_NCBIv2:G8:20735174:20737920:-1 gene:PRUPE_8G233900 transcript:ONH93465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQRKREVMFKKRKSGKVSSSEDGSDGEARGRRKKSLKGKTKRRRYSSSESSSDMSESNSSDSDSDSDSDSSLSDSSPSSGGRRRRRSVKKGKHQRSRKRSDGKKERKRGRHAKRSRRKTKRRLESSSDTESESTRSSTSSSDDDKDGPHVASRKTSNLKRAEKNLSTNLDVGKEPPPLVKKTVVEQRNNHDREKSEDNSSQEEGELSPKNDARINNGHNAEAKTANRNSYSDDSSRSPTRKRRSRSSPSTSPKRISSGSSPRNSGEQNRGRPSRSPLGSPVYKGPEPSTHDRGLSRSTTPNGTPKRVRKGRGFTERYAFARRYRTPSPEQPLHNSYRYGGRDVYRNNRDRYSNYRNYSEHSPRRRFRSPPRGGSPPRYRSRRSRSRSNSRSPGGYRGRNRDRSRSRSRSRSPSLADRPPVSERLKSRLGPRIGDQHSPDRGRPKSRSRSRGPSHSRSPDATPKRRNRTPRSPSRSTSSSPSGQRGLVSYEDISP >ONH93461 pep chromosome:Prunus_persica_NCBIv2:G8:20734748:20741073:-1 gene:PRUPE_8G233900 transcript:ONH93461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKKNPCVFLDVSTDGDPMERVVIELFADVVPKTAENFRALCTGDKGIGKSTGKPLHYKGSQFHRIIKGFMAQGGDFSNGNGTGGESIYGGKFADENFKLKHDGPGVLSMANAGPNTNGSQFFIIFRRQPHLDGKHVVFGKVVKGMDVVEKIERVGSADGKPFQTVKIVDCGEVSESKVHGATEKERVKKRKSGKVSSSEDGSDGEARGRRKKSLKGKTKRRRYSSSESSSDMSESNSSDSDSDSDSDSSLSDSSPSSGGRRRRRSVKKGKHQRSRKRSDGKKERKRGRHAKRSRRKTKRRLESSSDTESESTRSSTSSSDDDKDGPHVASRKTSNLKRAEKNLSTNLDVGKEPPPLVKKTVVEQRNNHDREKSEDNSSQEEGELSPKNDARINNGHNAEAKTANRNSYSDDSRSPTRKRRSRSSPSTSPKRISSGSSPRNSGEQNRGRPSRSPLGSPVYKGPEPSTHDRGLSRSTTPNGTPKRVRKGRGFTERYAFARRYRTPSPEQPLHNSYRYGGRDVYRNNRDRYSNYRNYSEHSPRRRFRSPPRGGSPPRYRSRRSRSRSNSRSPGGYRGRNRDRSRSRSRSRSPSLADRPPVSERLKSRLGPRIGDQHSPDRGRPKSRSRSRGPSHSRSPDATPKRRNRTPRSPSRSTSSSPSGQRGLVSYEDISP >ONH93463 pep chromosome:Prunus_persica_NCBIv2:G8:20735145:20739795:-1 gene:PRUPE_8G233900 transcript:ONH93463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKKNPCVFLDVSTDGDPMERVVIELFADVVPKTAENFRALCTGDKGIGKSTGKPLHYKGSQFHRIIKGFMAQGGDFSNGNGTGGESIYGGKFADENFKLKHDGPGVLSMANAGPNTNGSQFFIIFRRQPHLDGKHVVFGKVVKGMDVVEKIERVGSADGKPFQTVKIVDCGEVSESKVHGATEKERVKKRKSGKVSSSEDGSDGEARGRRKKSLKGKTKRRRYSSSESSSDMSESNSSDSDSDSDSDSSLSDSSPSSGGRRRRRSVKKGKHQRSRKRSDGKKERKRGRHAKRSRRKTKRRLESSSDTESESTRSSTSSSDDDKDGPHVASRKTSNLKRAEKNLSTNLDVGKEPPPLVKKTVVEQRNNHDREKSEDNSSQEEGELSPKNDARINNGHNAEAKTANRNSYSDDSSRSPTRKRRSRSSPSTSPKRISSGSSPRNSGEQNRGRPSRSPLGSPVYKGPEPSTHDRGLSRSTTPNGTPKRVRKGRGFTERYAFARRYRTPSPEQPLHNSYRYGGRDVYRNNRDRYSNYRNYSEHSPRRRFRSPPRGGSPPRYRSRRSRSRSNSRSPGGYRGRNRDRSRSRSRSRSPSLADRPPVSERLKSRLGPRIGDQHSPDRGRPKSRSRSRGPSHSRSPDATPKRRNRTPRSPSRSTSSSPSGQRGLVSYEDISP >ONH90259 pep chromosome:Prunus_persica_NCBIv2:G8:4466579:4472054:1 gene:PRUPE_8G043000 transcript:ONH90259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNRTHFLFSFTLLIYITMAKLLLVTSLSSDGQALLSLLPAKQSSSSSSVLSSWDPSSQTPCSWQGITCSPQNRVISLSLPNIFLNLSSLPPQLSSLSYLQLLNLSSTNISGTIPPSFGQLTHLRLLDLSANSLTGSIPPELGNLSALQFLFLNSNRLSDKMPQQLANLTSLQVLCLQDNLINGSIPSQLGSLVSLQQFRVGGNPYISGEIPSQLGLLTNLTTFGAAATGLSGTIPSTFGNLVNLQTLALYDTEIVGSIPPELGLCLELRNLYLHMNKLTGSIPPQLGKLQKLTSLLLWGNALSGPIPAEISNCSSLVILDASANDLSGAIPRDIGKLVVLEQLHLSDNSLTGTIPSQLSNCTSLTALQLDKNQFSGTIPWQVGNLKSLQSFFLWGNLVSGTIPSSFGNCTELYALDLSRNKLTGSIPEEIFSLKKLSKLLLLGNSLSGGLLPSVAHCQSLVRLRLGENQLSGQIPKEIGQLQNLVFLDLYMNHFSGGLPVEIANITVLELLDVHNNYISGEIPSELGELVNMEQLDLSRNSFTGEIPWSFGNLSYLNKLIINNNLLTGSIPKSIRNLQKLTLLDLSFNSLSGPIPPEIGHVTSLTISLDLSSNSFTGEIPETMEGLTQLQSLDLSHNMLFGNIKVLGSLTSLTSLNISCNNFSGPIPVTPFFRTLSSSSYLKNPHLCESADGTTCSSSLMRKNGLKSAKTVALISVILASVTIAVIASWIVVMRNHRYMVKKSLGALALSSGAEDFSYPWTFIPFQKLNFTIDNILDCLKDENVIGKGCSGIVYKAEMQNGDLIAVKKLWKTKQEEEPIDSFAAEIQILGHIRHRNIVKLLGYCSNRSVKLLLYNFIPNGNLQQLLQGNRNLDWETRYKIAIGSAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPTYHHAMSRVAGSYGYIAPEYGYTMNITEKSDVYSYGVVLLEILSGRSAVQPQIGDGLHIVEWVKKKMGSFEPAVSILDAKLQGLPDQMVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKSQPEEWGKTSQPLIKQSSAQS >ONH94127 pep chromosome:Prunus_persica_NCBIv2:G8:22509961:22524072:-1 gene:PRUPE_8G271800 transcript:ONH94127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSICFDASGCTQTMDPWKCTLSGGANSLSMAPSSILNKVNEKAQPEKEERDTGHVVEAGVDIDIREVYFLIMHFLSVGPCQRTFEQFGNDLLEHQLLPRRYHAWFSRSGVGSGNNNDDAISFPLSYNKLVERYPHIERDHLVKLLKQLILSIATPLHGKVGRSAPNAADVPTLLGTGSFSLLDCDRTTENKRVKPLPAHLRWPYMQADQVHGLSLREIGGGFTKHHRAPSIRSACYAIAKPSTMVQKMNNKKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETALCLASCRGHEGDITDLAVSSNNALVASASNDFAIRVWRLPDGFPISVLQGHTGAVTAIAFSPRLSAVYQLLSSSDDGTCRIWDARSSQYPPRIYMPQPSDTLTGRSNAISSTGPSSSNGLQSHQILCCAYNANGTVFVTGSSDTFARVWNALKSNTDDSEQPMHELDVLSGHENDVNYVQFSGCAISSKSSFSDSVKEESNMKFKNSWFCHNNIVTCSRDGSAIIWVPRSHRSHGKVGRWTRAYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGQTIVWDIWEGMPIKIYEIGNVKLVDGKFSADGTSIVLSDDVGQVYLINTGEGESQKDAKYDQFFLGDYRPLARDDSGYPIDQETQLPAYRRNLQDPLCDSSMMPYPEPYQSTYQQRRLGALGMEWHPSSMKFSIGMHTGLDYQMPPLPDLERMIEPLPDFFDAMLWEPENEVASEDTDSEYHGTEENSSEDEKGNITTSSSSDPDCSTEYSEAECSHKDGLRRSRRKRQKVESITCSERRGKKRKVDEHDGIISGIEKIKNSKGGRKVSKRKPSAKTLRPQRVAARNARNVLSQIPGTSTDGEKDEDDSSNSDSLEQQFHIQSYGGNQMMQQKHTKEEPSVHEFEDIAKPLAVSSSQSNVRSKPKLVFKIPLRDSKKQEAPQDVKIKYKNQADLVSASSGYQDVTQDKRINKGLGSSLPDVIDVELPGNLIDNEFTDPGKTAKAGNSLKASPCDKDNRVGWGEVKIRTPKHTRSGNPIPTEAATGSLASFDVHMKERNYVNWDEEHNGTDALEDLDGLKSKELSHTLISSSFESSALGERKPKGIEDSLDIEEAAGTMHSDELKYNAPLKFSAEHLTGYGDLMPEDPSCMDQNLNLEMPKVSGGAGRPGSLKFFFKGRTNSEGVDGNMEENTSNVNDRHDSGIDLPEAAVGAIRGTRTLKTKATSGGVGSVSRSLKLRWGHQTARKSKDAEDSSVKVYDQIYQRPRSTRNRQGSYNDYDQSSSTRSMLDTPVGKLSWLMLSKHEPGYRYIPQLGDEVVYLRQGHQEYLEVVMKSEVGPWGPWGSIKENIKAVEICKVESLDYASQPGSGESCSRMELKFVDPSSAMFGKPWNLTLPEIDFSDFIVEKIWYDAAIRRNWTTRDKCEVWWRDSDGGGDWWEGQIVRCQAKSHEFPDSPWLRYEIRYKNDDGITHCHCPWELRDPSILLEHPHINSESRDKLLHYFSKLEQKDSQTIQQMNQAVWKADFCNSFPVQLYPELIQSRLRNDYYRSLEAVEHDIMVMLSNARQYFKRNELQARIRHLSKWFKKKLSRLQRF >ONH94125 pep chromosome:Prunus_persica_NCBIv2:G8:22509524:22526857:-1 gene:PRUPE_8G271800 transcript:ONH94125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATSSVSVNCCTQTMDPWKCTLSGGANSLSMAPSSILNKVNEKAQPEKEERDTGHVVEAGVDIDIREVYFLIMHFLSVGPCQRTFEQFGNDLLEHQLLPRRYHAWFSRSGVGSGNNNDDAISFPLSYNKLVERYPHIERDHLVKLLKQLILSIATPLHGKVGRSAPNAADVPTLLGTGSFSLLDCDRTTENKRVKPLPAHLRWPYMQADQVHGLSLREIGGGFTKHHRAPSIRSACYAIAKPSTMVQKMNNKKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETALCLASCRGHEGDITDLAVSSNNALVASASNDFAIRVWRLPDGFPISVLQGHTGAVTAIAFSPRLSAVYQLLSSSDDGTCRIWDARSSQYPPRIYMPQPSDTLTGRSNAISSTGPSSSNGLQSHQILCCAYNANGTVFVTGSSDTFARVWNALKSNTDDSEQPMHELDVLSGHENDVNYVQFSGCAISSKSSFSDSVKEESNMKFKNSWFCHNNIVTCSRDGSAIIWVPRSHRSHGKVGRWTRAYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGQTIVWDIWEGMPIKIYEIGNVKLVDGKFSADGTSIVLSDDVGQVYLINTGEGESQKDAKYDQFFLGDYRPLARDDSGYPIDQETQLPAYRRNLQDPLCDSSMMPYPEPYQSTYQQRRLGALGMEWHPSSMKFSIGMHTGLDYQMPPLPDLERMIEPLPDFFDAMLWEPENEVASEDTDSEYHGTEENSSEDEKGNITTSSSSDPDCSTEYSEAECSHKDGLRRSRRKRQKVESITCSERRGKKRKVDEHDGIISGIEKIKNSKGGRKVSKRKPSAKTLRPQRVAARNARNVLSQIPGTSTDGEKDEDDSSNSDSLEQQFHIQSYGGNQMMQQKHTKEEPSVHEFEDIAKPLAVSSSQSNVRSKPKLVFKIPLRDSKKQEAPQDVKIKYKNQADLVSASSGYQDVTQDKRINKGLGSSLPDVIDVELPGNLIDNEFTDPGKTAKAGNSLKASPCDKDNRVGWGEVKIRTPKHTRSGNPIPTEAATGSLASFDVHMKERNYVNWDEEHNGTDALEDLDGLKSKELSHTLISSSFESSALGERKPKGIEDSLDIEEAAGTMHSDELKYNAPLKFSAEHLTGYGDLMPEDPSCMDQNLNLEMPKVSGGAGRPGSLKFFFKGRTNSEGVDGNMEENTSNVNDRHDSGIDLPEAAVGAIRGTRTLKTKATSGGVGSVSRSLKLRWGHQTARKSKDAEDSSVKVYDQIYQRPRSTRNRQGSYNDYDQSSSTRSMLDTPVGKLSWLMLSKHEPGYRYIPQLGDEVVYLRQGHQEYLEVVMKSEVGPWGPWGSIKENIKAVEICKVESLDYASQPGSGESCSRMELKFVDPSSAMFGKPWNLTLPEIDFSDFIVEKIWYDAAIRRNWTTRDKCEVWWRDSDGGGDWWEGQIVRCQAKSHEFPDSPWLRYEIRYKNDDGITHCHCPWELRDPSILLEHPHINSESRDKLLHYFSKLEQKDSQTIQQMNQAVWKADFCNSFPVQLYPELIQSRLRNDYYRSLEAVEHDIMVMLSNARQYFKRNELQARIRHLSKWFKKKLSRLQRF >ONH94126 pep chromosome:Prunus_persica_NCBIv2:G8:22509524:22524457:-1 gene:PRUPE_8G271800 transcript:ONH94126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSICFDASGCTQTMDPWKCTLSGGANSLSMAPSSILNKVNEKAQPEKEERDTGHVVEAGVDIDIREVYFLIMHFLSVGPCQRTFEQFGNDLLEHQLLPRRYHAWFSRSGVGSGNNNDDAISFPLSYNKLVERYPHIERDHLVKLLKQLILSIATPLHGKVGRSAPNAADVPTLLGTGSFSLLDCDRTTENKRVKPLPAHLRWPYMQADQVHGLSLREIGGGFTKHHRAPSIRSACYAIAKPSTMVQKMNNKKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETALCLASCRGHEGDITDLAVSSNNALVASASNDFAIRVWRLPDGFPISVLQGHTGAVTAIAFSPRLSAVYQLLSSSDDGTCRIWDARSSQYPPRIYMPQPSDTLTGRSNAISSTGPSSSNGLQSHQILCCAYNANGTVFVTGSSDTFARVWNALKSNTDDSEQPMHELDVLSGHENDVNYVQFSGCAISSKSSFSDSVKEESNMKFKNSWFCHNNIVTCSRDGSAIIWVPRSHRSHGKVGRWTRAYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGQTIVWDIWEGMPIKIYEIGNVKLVDGKFSADGTSIVLSDDVGQVYLINTGEGESQKDAKYDQETQLPAYRRNLQDPLCDSSMMPYPEPYQSTYQQRRLGALGMEWHPSSMKFSIGMHTGLDYQMPPLPDLERMIEPLPDFFDAMLWEPENEVASEDTDSEYHGTEENSSEDEKGNITTSSSSDPDCSTEYSEAECSHKDGLRRSRRKRQKVESITCSERRGKKRKVDEHDGIISGIEKIKNSKGGRKVSKRKPSAKTLRPQRVAARNARNVLSQIPGTSTDGEKDEDDSSNSDSLEQQFHIQSYGGNQMMQQKHTKEEPSVHEFEDIAKPLAVSSSQSNVRSKPKLVFKIPLRDSKKQEAPQDVKIKYKNQADLVSASSGYQDVTQDKRINKGLGSSLPDVIDVELPGNLIDNEFTDPGKTAKAGNSLKASPCDKDNRVGWGEVKIRTPKHTRSGNPIPTEAATGSLASFDVHMKERNYVNWDEEHNGTDALEDLDGLKSKELSHTLISSSFESSALGERKPKGIEDSLDIEEAAGTMHSDELKYNAPLKFSAEHLTGYGDLMPEDPSCMDQNLNLEMPKVSGGAGRPGSLKFFFKGRTNSEGVDGNMEENTSNVNDRHDSGIDLPEAAVGAIRGTRTLKTKATSGGVGSVSRSLKLRWGHQTARKSKDAEDSSVKVYDQIYQRPRSTRNRQGSYNDYDQSSSTRSMLDTPVGKLSWLMLSKHEPGYRYIPQLGDEVVYLRQGHQEYLEVVMKSEVGPWGPWGSIKENIKAVEICKVESLDYASQPGSGESCSRMELKFVDPSSAMFGKPWNLTLPEIDFSDFIVEKIWYDAAIRRNWTTRDKCEVWWRDSDGGGDWWEGQIVRCQAKSHEFPDSPWLRYEIRYKNDDGITHCHCPWELRDPSILLEHPHINSESRDKLLHYFSKLEQKDSQTIQQMNQAVWKADFCNSFPVQLYPELIQSRLRNDYYRSLEAVEHDIMVMLSNARQYFKRNELQARIRHLSKWFKKKLSRLQRF >ONH94130 pep chromosome:Prunus_persica_NCBIv2:G8:22509524:22526848:-1 gene:PRUPE_8G271800 transcript:ONH94130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWKCTLSGGANSLSMAPSSILNKVNEKAQPEKEERDTGHVVEAGVDIDIREVYFLIMHFLSVGPCQRTFEQFGNDLLEHQLLPRRYHAWFSRSGVGSGNNNDDAISFPLSYNKLVERYPHIERDHLVKLLKQLILSIATPLHGKVGRSAPNAADVPTLLGTGSFSLLDCDRTTENKRVKPLPAHLRWPYMQADQVHGLSLREIGGGFTKHHRAPSIRSACYAIAKPSTMVQKMNNKKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETALCLASCRGHEGDITDLAVSSNNALVASASNDFAIRVWRLPDGFPISVLQGHTGAVTAIAFSPRLSAVYQLLSSSDDGTCRIWDARSSQYPPRIYMPQPSDTLTGRSNAISSTGPSSSNGLQSHQILCCAYNANGTVFVTGSSDTFARVWNALKSNTDDSEQPMHELDVLSGHENDVNYVQFSGCAISSKSSFSDSVKEESNMKFKNSWFCHNNIVTCSRDGSAIIWVPRSHRSHGKVGRWTRAYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGQTIVWDIWEGMPIKIYEIGNVKLVDGKFSADGTSIVLSDDVGQVYLINTGEGESQKDAKYDQFFLGDYRPLARDDSGYPIDQETQLPAYRRNLQDPLCDSSMMPYPEPYQSTYQQRRLGALGMEWHPSSMKFSIGMHTGLDYQMPPLPDLERMIEPLPDFFDAMLWEPENEVASEDTDSEYHGTEENSSEDEKGNITTSSSSDPDCSTEYSEAECSHKDGLRRSRRKRQKVESITCSERRGKKRKVDEHDGIISGIEKIKNSKGGRKVSKRKPSAKTLRPQRVAARNARNVLSQIPGTSTDGEKDEDDSSNSDSLEQQFHIQSYGGNQMMQQKHTKEEPSVHEFEDIAKPLAVSSSQSNVRSKPKLVFKIPLRDSKKQEAPQDVKIKYKNQADLVSASSGYQDVTQDKRINKGLGSSLPDVIDVELPGNLIDNEFTDPGKTAKAGNSLKASPCDKDNRVGWGEVKIRTPKHTRSGNPIPTEAATGSLASFDVHMKERNYVNWDEEHNGTDALEDLDGLKSKELSHTLISSSFESSALGERKPKGIEDSLDIEEAAGTMHSDELKYNAPLKFSAEHLTGYGDLMPEDPSCMDQNLNLEMPKVSGGAGRPGSLKFFFKGRTNSEGVDGNMEENTSNVNDRHDSGIDLPEAAVGAIRGTRTLKTKATSGGVGSVSRSLKLRWGHQTARKSKDAEDSSVKVYDQIYQRPRSTRNRQGSYNDYDQSSSTRSMLDTPVGKLSWLMLSKHEPGYRYIPQLGDEVVYLRQGHQEYLEVVMKSEVGPWGPWGSIKENIKAVEICKVESLDYASQPGSGESCSRMELKFVDPSSAMFGKPWNLTLPEIDFSDFIVEKIWYDAAIRRNWTTRDKCEVWWRDSDGGGDWWEGQIVRCQAKSHEFPDSPWLRYEIRYKNDDGITHCHCPWELRDPSILLEHPHINSESRDKLLHYFSKLEQKDSQTIQQMNQAVWKADFCNSFPVQLYPELIQSRLRNDYYRSLEAVEHDIMVMLSNARQYFKRNELQARIRHLSKWFKKKLSRLQRF >ONH94131 pep chromosome:Prunus_persica_NCBIv2:G8:22509524:22526846:-1 gene:PRUPE_8G271800 transcript:ONH94131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWKCTLSGGANSLSMAPSSILNKVNEKAQPEKEERDTGHVVEAGVDIDIREVYFLIMHFLSVGPCQRTFEQFGNDLLEHQLLPRRYHAWFSRSGVGSGNNNDDAISFPLSYNKLVERYPHIERDHLVKLLKQLILSIATPLHGKVGRSAPNAADVPTLLGTGSFSLLDCDRTTENKRVKPLPAHLRWPYMQADQVHGLSLREIGGGFTKHHRAPSIRSACYAIAKPSTMVQKMNNKKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETALCLASCRGHEGDITDLAVSSNNALVASASNDFAIRVWRLPDGFPISVLQGHTGAVTAIAFSPRLSAVYQLLSSSDDGTCRIWDARSSQYPPRIYMPQPSDTLTGRSNAISSTGPSSSNGLQSHQILCCAYNANGTVFVTGSSDTFARVWNALKSNTDDSEQPMHELDVLSGHENDVNYVQFSGCAISSKSSFSDSVKEESNMKFKNSWFCHNNIVTCSRDGSAIIWVPRSHRSHGKVGRWTRAYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGQTIVWDIWEGMPIKIYEIGNVKLVDGKFSADGTSIVLSDDVGQVYLINTGEGESQKDAKYDQFFLGDYRPLARDDSGYPIDQETQLPAYRRNLQDPLCDSSMMPYPEPYQSTYQQRRLGALGMEWHPSSMKFSIGMHTGLDYQMPPLPDLERMIEPLPDFFDAMLWEPENEVASEDTDSEYHGTEENSSEDEKGNITTSSSSDPDCSTEYSEAECSHKDGLRRSRRKRQKVESITCSERRGKKRKVDEHDGIISGIEKIKNSKGGRKVSKRKPSAKTLRPQRVAARNARNVLSQIPGTSTDGEKDEDDSSNSDSLEQQFHIQSYGGNQMMQQKHTKEEPSVHEFEDIAKPLAVSSSQSNVRSKPKLVFKIPLRDSKKQEAPQDVKIKYKNQADLVSASSGYQDVTQDKRINKGLGSSLPDVIDVELPGNLIDNEFTDPGKTAKAGNSLKASPCDKDNRVGWGEVKIRTPKHTRSGNPIPTEAATGSLASFDVHMKERNYVNWDEEHNGTDALEDLDGLKSKELSHTLISSSFESSALGERKPKGIEDSLDIEEAAGTMHSDELKYNAPLKFSAEHLTGYGDLMPEDPSCMDQNLNLEMPKVSGGAGRPGSLKFFFKGRTNSEGVDGNMEENTSNVNDRHDSGIDLPEAAVGAIRGTRTLKTKATSGGVGSVSRSLKLRWGHQTARKSKDAEDSSVKVYDQIYQRPRSTRNRQGSYNDYDQSSSTRSMLDTPVGKLSWLMLSKHEPGYRYIPQLGDEVVYLRQGHQEYLEVVMKSEVGPWGPWGSIKENIKAVEICKVESLDYASQPGSGESCSRMELKFVDPSSAMFGKPWNLTLPEIDFSDFIVEKIWYDAAIRRNWTTRDKCEVWWRDSDGGGDWWEGQIVRCQAKSHEFPDSPWLRYEIRYKNDDGITHCHCPWELRDPSILLEHPHINSESRDKLLHYFSKLEQKDSQTIQQMNQAVWKADFCNSFPVQLYPELIQSRLRNDYYRSLEAVEHDIMVMLSNARQYFKRNELQARIRHLSKWFKKKLSRLQRF >ONH94128 pep chromosome:Prunus_persica_NCBIv2:G8:22509524:22526706:-1 gene:PRUPE_8G271800 transcript:ONH94128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWKCTLSGGANSLSMAPSSILNKVNEKAQPEKEERDTGHVVEAGVDIDIREVYFLIMHFLSVGPCQRTFEQFGNDLLEHQLLPRRYHAWFSRSGVGSGNNNDDAISFPLSYNKLVERYPHIERDHLVKLLKQLILSIATPLHGKVGRSAPNAADVPTLLGTGSFSLLDCDRTTENKRVKPLPAHLRWPYMQADQVHGLSLREIGGGFTKHHRAPSIRSACYAIAKPSTMVQKMNNKKKLRGHRNAVYCDDRLVKIWSMETALCLASCRGHEGDITDLAVSSNNALVASASNDFAIRVWRLPDGFPISVLQGHTGAVTAIAFSPRLSAVYQLLSSSDDGTCRIWDARSSQYPPRIYMPQPSDTLTGRSNAISSTGPSSSNGLQSHQILCCAYNANGTVFVTGSSDTFARVWNALKSNTDDSEQPMHELDVLSGHENDVNYVQFSGCAISSKSSFSDSVKEESNMKFKNSWFCHNNIVTCSRDGSAIIWVPRSHRSHGKVGRWTRAYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGQTIVWDIWEGMPIKIYEIGNVKLVDGKFSADGTSIVLSDDVGQVYLINTGEGESQKDAKYDQFFLGDYRPLARDDSGYPIDQETQLPAYRRNLQDPLCDSSMMPYPEPYQSTYQQRRLGALGMEWHPSSMKFSIGMHTGLDYQMPPLPDLERMIEPLPDFFDAMLWEPENEVASEDTDSEYHGTEENSSEDEKGNITTSSSSDPDCSTEYSEAECSHKDGLRRSRRKRQKVESITCSERRGKKRKVDEHDGIISGIEKIKNSKGGRKVSKRKPSAKTLRPQRVAARNARNVLSQIPGTSTDGEKDEDDSSNSDSLEQQFHIQSYGGNQMMQQKHTKEEPSVHEFEDIAKPLAVSSSQSNVRSKPKLVFKIPLRDSKKQEAPQDVKIKYKNQADLVSASSGYQDVTQDKRINKGLGSSLPDVIDVELPGNLIDNEFTDPGKTAKAGNSLKASPCDKDNRVGWGEVKIRTPKHTRSGNPIPTEAATGSLASFDVHMKERNYVNWDEEHNGTDALEDLDGLKSKELSHTLISSSFESSALGERKPKGIEDSLDIEEAAGTMHSDELKYNAPLKFSAEHLTGYGDLMPEDPSCMDQNLNLEMPKVSGGAGRPGSLKFFFKGRTNSEGVDGNMEENTSNVNDRHDSGIDLPEAAVGAIRGTRTLKTKATSGGVGSVSRSLKLRWGHQTARKSKDAEDSSVKVYDQIYQRPRSTRNRQGSYNDYDQSSSTRSMLDTPVGKLSWLMLSKHEPGYRYIPQLGDEVVYLRQGHQEYLEVVMKSEVGPWGPWGSIKENIKAVEICKVESLDYASQPGSGESCSRMELKFVDPSSAMFGKPWNLTLPEIDFSDFIVEKIWYDAAIRRNWTTRDKCEVWWRDSDGGGDWWEGQIVRCQAKSHEFPDSPWLRYEIRYKNDDGITHCHCPWELRDPSILLEHPHINSESRDKLLHYFSKLEQKDSQTIQQMNQAVWKADFCNSFPVQLYPELIQSRLRNDYYRSLEAVEHDIMVMLSNARQYFKRNELQARIRHLSKWFKKKLSRLQRF >ONH94129 pep chromosome:Prunus_persica_NCBIv2:G8:22509524:22526727:-1 gene:PRUPE_8G271800 transcript:ONH94129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWKCTLSGGANSLSMAPSSILNKVNEKAQPEKEERDTGHVVEAGVDIDIREVYFLIMHFLSVGPCQRTFEQFGNDLLEHQLLPRRYHAWFSRSGVGSGNNNDDAISFPLSYNKLVERYPHIERDHLVKLLKQLILSIATPLHGKVGRSAPNAADVPTLLGTGSFSLLDCDRTTENKRVKPLPAHLRWPYMQADQVHGLSLREIGGGFTKHHRAPSIRSACYAIAKPSTMVQKMNNKKKLRGHRNAVYCDDRLVKIWSMETALCLASCRGHEGDITDLAVSSNNALVASASNDFAIRVWRLPDGFPISVLQGHTGAVTAIAFSPRLSAVYQLLSSSDDGTCRIWDARSSQYPPRIYMPQPSDTLTGRSNAISSTGPSSSNGLQSHQILCCAYNANGTVFVTGSSDTFARVWNALKSNTDDSEQPMHELDVLSGHENDVNYVQFSGCAISSKSSFSDSVKEESNMKFKNSWFCHNNIVTCSRDGSAIIWVPRSHRSHGKVGRWTRAYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGQTIVWDIWEGMPIKIYEIGNVKLVDGKFSADGTSIVLSDDVGQVYLINTGEGESQKDAKYDQFFLGDYRPLARDDSGYPIDQETQLPAYRRNLQDPLCDSSMMPYPEPYQSTYQQRRLGALGMEWHPSSMKFSIGMHTGLDYQMPPLPDLERMIEPLPDFFDAMLWEPENEVASEDTDSEYHGTEENSSEDEKGNITTSSSSDPDCSTEYSEAECSHKDGLRRSRRKRQKVESITCSERRGKKRKVDEHDGIISGIEKIKNSKGGRKVSKRKPSAKTLRPQRVAARNARNVLSQIPGTSTDGEKDEDDSSNSDSLEQQFHIQSYGGNQMMQQKHTKEEPSVHEFEDIAKPLAVSSSQSNVRSKPKLVFKIPLRDSKKQEAPQDVKIKYKNQADLVSASSGYQDVTQDKRINKGLGSSLPDVIDVELPGNLIDNEFTDPGKTAKAGNSLKASPCDKDNRVGWGEVKIRTPKHTRSGNPIPTEAATGSLASFDVHMKERNYVNWDEEHNGTDALEDLDGLKSKELSHTLISSSFESSALGERKPKGIEDSLDIEEAAGTMHSDELKYNAPLKFSAEHLTGYGDLMPEDPSCMDQNLNLEMPKVSGGAGRPGSLKFFFKGRTNSEGVDGNMEENTSNVNDRHDSGIDLPEAAVGAIRGTRTLKTKATSGGVGSVSRSLKLRWGHQTARKSKDAEDSSVKVYDQIYQRPRSTRNRQGSYNDYDQSSSTRSMLDTPVGKLSWLMLSKHEPGYRYIPQLGDEVVYLRQGHQEYLEVVMKSEVGPWGPWGSIKENIKAVEICKVESLDYASQPGSGESCSRMELKFVDPSSAMFGKPWNLTLPEIDFSDFIVEKIWYDAAIRRNWTTRDKCEVWWRDSDGGGDWWEGQIVRCQAKSHEFPDSPWLRYEIRYKNDDGITHCHCPWELRDPSILLEHPHINSESRDKLLHYFSKLEQKDSQTIQQMNQAVWKADFCNSFPVQLYPELIQSRLRNDYYRSLEAVEHDIMVMLSNARQYFKRNELQARIRHLSKWFKKKLSRLQRF >ONH90507 pep chromosome:Prunus_persica_NCBIv2:G8:7274273:7286850:1 gene:PRUPE_8G058000 transcript:ONH90507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSKRKTHFDDTSDDDSSLSSSSSSEESERSSKRHRRHRHRKDDSSRREKERRRERREKRRSKRETRRRKSKKEDNKKRAYGVDDDSGSGGSLSGDDSDPGRSRAEPQAILQGLFNEFPNVGNDLKQLLEMIDGGQAVDIKGISERTLIKHLRKLFLALNLKQNDGVFLLPSNVRPTLEVVGLMIQTSLEPKSGLNEMHPKQLDEEHRQVAGESNRTVPCMEDDDTGPKRRVIGPAMPSAELLAAAAKLTDAQAELREAELEEDSEFFIGPPPPAMVAETASANEAERFEEVTRIMDAADDSLYDILGANQNMSAENIKKRYWKMSLLVHPDKCSHPQAQQAFVKLNKAFKELQDPDKRKVLDDKIKLKEEHERFKVELKAMREAAQWRKLQGISMEGDDELLADMDVKVAPKRDEWMTTLPPERKHGMPPTQSTRFNKSTKEGRGNTSAWTDTPSDRAQKAKMGYLEAYNEAAALASNEEEKKKQSSDAELVDKYNKEKRSKSLVQKHKEETAKRSKRKFKQQTEEDWVGKHPWKPWDREKDLSGGRQSVKLDSENMNQALTSRFSSGSFERNFL >ONH90509 pep chromosome:Prunus_persica_NCBIv2:G8:7274045:7286921:1 gene:PRUPE_8G058000 transcript:ONH90509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSKRKTHFDDTSDDDSSLSSSSSSEESERSSKRHRRHRHRKDDSSRREKERRRERREKRRSKRETRRRKSKKEDNKKRAYGVDDDSGSGGSLSGDDSDPGRSRAEPQAILQGLFNEFPNVGNDLKQLLEMIDGGQAVDIKGISERTLIKHLRKLFLALNLKQNDGVFLLPSNVRPTLEVVGLMIQTSLEPKSGLNEMHPKQLDEEHRQVAGESNRTVPCMEDDDTGPKRRVIGPAMPSAELLAAAAKLTDAQAELREAELEEDSEFFIGPPPPAMVAETASANEAERFEEVTRIMDAADDSLYDILGANQNMSAENIKKRYWKMSLLVHPDKCSHPQAQQAFVKLNKAFKELQDPDKRKVLDDKIKLKEEHERFKVELKAMREAAQWRKLQGISMEGDDELLADMDVKVAPKRDEWMTTLPPERKHGMPPTQSTRFNKSTKEGRGNTSAWTDTPSDRAQKAKMGYLEAYNEAAALASNEEEKKKQSSDAELVDKYNKEKRSKSLVQKHKEETAKRSKRKFKQQTEEDWVGKHPWKPWDREKDLSGGRQSVKLDSENMNQALTSRFSSGSFERNFL >ONH90508 pep chromosome:Prunus_persica_NCBIv2:G8:7274202:7286870:1 gene:PRUPE_8G058000 transcript:ONH90508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSKRKTHFDDTSDDDSSLSSSSSSEESERSSKRHRRHRHRKDDSSRREKERRRERREKRRSKRETRRRKSKKEDNKKRAYGVDDDSGSGGSLSGDDSDPGRSRAEPQAILQGLFNEFPNVGNDLKQLLEMIDGGQAVDIKGISERTLIKHLRKLFLALNLKQNDGVFLLPSNVRPTLEVVGLMIQTSLEPKSGLNEMHPKQLDEEHRQVAGESNRTVPCMEDDDTGPKRRVIGPAMPSAELLAAAAKLTDAQAELREAELEEDSEFFIGPPPPAMVAETASANEAERFEEVTRIMDAADDSLYDILGANQNMSAENIKKRYWKMSLLVHPDKCSHPQAQQAFVKLNKAFKELQDPDKRKVLDDKIKLKEEHERFKVELKAMREAAQWRKLQGISMEGDDELLADMDVKVAPKRDEWMTTLPPERKHGMPPTQSTRFNKSTKEGRGNTSAWTDTPSDRAQKAKMGYLEAYNEAAALASNEEEKKKQSSDAELVDKYNKEKRSKSLVQKHKEETAKRSKRKFKQQTEEDWVGKHPWKPWDREKDLSGGRQSVKLDSENMNQALTSRFSSGSFERNFL >ONH90510 pep chromosome:Prunus_persica_NCBIv2:G8:7274273:7286856:1 gene:PRUPE_8G058000 transcript:ONH90510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSKRKTHFDDTSDDDSSLSSSSSSEESERSSKRHRRHRHRKDDSSRREKERRRERREKRRSKRETRRRKSKKEDNKKRAYGVDDDSGSGGSLSGDDSDPGRSRAEPQAILQGLFNEFPNVGNDLKQLLEMIDGGQAVDIKGISERTLIKHLRKLFLALNLKQNDGVFLLPSNVRPTLEVVGLMIQTSLEPKSGLNEMHPKQLDEEHRQVAGESNRTVPCMEDDDTGPKRRVIGPAMPSAELLAAAAKLTDAQAELREAELEEDSEFFIGPPPPAMVAETASANEAERFEEVTRIMDAADDSLYDILGANQNMSAENIKKRYWKMSLLVHPDKCSHPQAQQAFVKLNKAFKELQDPDKRKVLDDKIKLKEEHERFKVELKAMREAAQWRKLQGISMEGDDELLADMDVKVAPKRDEWMTTLPPERKLLGGV >ONH91131 pep chromosome:Prunus_persica_NCBIv2:G8:12760743:12761563:1 gene:PRUPE_8G095300 transcript:ONH91131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLESEPDLEMGKLGPTDEQNLTQFFKQVTAIKADMEQNLTNLLLDLQDPNEETKFTHTAKYKEGSPVDRMRIWVTTGLRIKLRDMMNDFQSLREQIVQEHKEVFEGKAELAMENPERHEALKDLQRSLTELHQVFLDMVVMVVKQGERMDDSEKNVADAGAYIHGGTNALYSAQQMKQRRGRWVCWIGALVLIVLLVCLISILAS >ONH89720 pep chromosome:Prunus_persica_NCBIv2:G8:959439:962762:-1 gene:PRUPE_8G012000 transcript:ONH89720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKRLKPATYLVYRCQPIGRLKRLERNFELLIQITECLYSMRDTINEEVTKELMWGKAPTLKCKKWLEKVGEIEDQVNDMLKIQETSSDLVIHSHVGLHQHLMSMLNKITDHLEKSPGAHGSMAYVPPGQSKTVTSPILHGEAYGLVPPDNKNVNLQRRAEAKGEANVEIEMSPTQGKEAVKGKEIQEGNPQTENKRLQAGAILKKDFKKSSKTINQIEVDNNIPLQNDGREALLEWGVHSISIERMLHEILGCMNSVTIGRIAIYGMGGIGKTTVLKALANHVERKSTFDKVILVTVSKHWSTRKIQNEILRQLSMCVPDSETDSRVAEKLLQVLNSRKFLLLLDDVWECLDLKAVGIPDLSSENGFKMILATRIRAVCIEMVVNRVIEMETLSREEAWELFCEQVGAVVHFPSVQPYARAIVEECGGLPLLIIVTGRALTGVNDALVWKHALSELLLPSTNAVYDTEAVMQRMKFSYDRLRDCDIKSCFLYCAFLSEDQEVNIYELVKYYIQEGLISGNWDDACKRGHEIVDILVGASLLQSTKGGLSIKMHAMVRDLASMIILSKAERCQFLSKSGAGLRESLPVEKWEQAKMIALMDNELSSLPENPCCPDLLILFLQRNRCLRVIPAAFFDRMPSLEVLNLSNTRIKFLPQSISNLKRLKILILRSCERLVVLPSEVGSLGDLEVLDLRGTEVDKLPDEIGSLTSLRHLEVSFYGSISPSEYAKLPHQLISPGIISKLISLETLSIDVYPGDQRWKKSLESITREVCSLTKLTSLCFSFPEVELLQLFIQTCTRWKNQLLTMFKFVVGDDVKRIVSRVPNFVVHDYNQQGQCLRFVNGEKVPDVVVEVLARAVAFYLDHHLSIRSLSEFGVSNMSRLKLCILSECPQIHSIIDCTEPTNHAFPSLEYLSVHYLPNLENIWEGVRPLPFGSFTKLRILLVYACPKLKNVFTTSMLSCVSNLEELLVEDCPAIEVITLEDESMDSGTVRLLRLKRLTLNHLPRLANISEGAWPSLEYISLYGCPNWKTIAMNSKVEVNCKED >ONH90931 pep chromosome:Prunus_persica_NCBIv2:G8:11697736:11698259:1 gene:PRUPE_8G083700 transcript:ONH90931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMQWCGAVARRVLMTEQRALFTTTSSPSSSAVAAAAIMSSIGAPIVCGRGDKKTKKGKRFKGSYGNARPKKEKKIERIKDKVEVPRSTPWPLPFKLI >ONH92224 pep chromosome:Prunus_persica_NCBIv2:G8:17094165:17098548:1 gene:PRUPE_8G163800 transcript:ONH92224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICVDNSEWMRNGDYSPSRLQAQADAINLICGAKTQANPENTVGVLTMAGKGVRVLATPTSDLGRILACMHGLEMGGEMNITAAIQVAQLALKHRQNKNQQQRIIVFAGSPVKYEKKVLETIGKKLKKNSVALDIVDFGEEDDGKPEKLEALLSAVNNNDSSHIVHVPPGPNALSDVLISTPVFTGDGEGGSGFAVAAAAATAAAIGGGSGYDFGVDPNIDPELALALRVSMEEERARQEAAAKRAAEEAGRQEKGEEPSSKSEDVTMTDQANVSSSNEDKKLTDDAVDENDLLKEALAMSMNISGTGHSAGDTEISEATSGDQELALALQMSMQESAGEPSSQSDVSKVLEDQSFLSSILESLPGVDPNDPSVKDLLASLKNQSESQQKDEEEPSNKDK >ONH92223 pep chromosome:Prunus_persica_NCBIv2:G8:17094165:17098548:1 gene:PRUPE_8G163800 transcript:ONH92223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICVDNSEWMRNGDYSPSRLQAQADAINLICGAKTQANPENTVGVLTMAGKGVRVLATPTSDLGRILACMHGLEMGGEMNITAAIQVAQLALKHRQNKNQQQRIIVFAGSPVKYEKKVLETIGKKLKKNSVALDIVDFGEEDDGKPEKLEALLSAVNNNDSSHIVHVPPGPNALSDVLISTPVFTGDGEGGSGFAVAAAAATAAAIGGGSGYDFGVDPNIDPELALALRVSMEEERARQEAAAKRAAEEAGRQEKGEEPSSKSEDVTMTDQANVSSSNEDKKLTDDAVDENDLLKEALAMSMNISGTGHSAGDTEISEATSGDQELALALQMSMQESAGEPSSQSDVSKVLEDQSFLSSILESLPGVDPNDPSVKDLLASLKNQSESQQKDEEEPSNKDK >ONH90766 pep chromosome:Prunus_persica_NCBIv2:G8:10682216:10693567:-1 gene:PRUPE_8G073300 transcript:ONH90766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQICTELDDPQQMLPPPPGTFVDRDELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRQKHMDESSSEHSRRKKTGSRLTNCPFEAVGKKDDGLWVLTIKNGTHNHEPLKDISEHPSARRFSEREVLLIKEMTESGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNVAVRNFKSLSTQKSFVRNNYSAVTEPSWRQCNPQRVPNLIGGRFVDSQSFTSIDVLNPATQQVVSQVPLTTNEEFKAAVFSAKRAFPLWRNTPITTRQRIMFKFQELIRRDIDKLAMCITSEHGKALKDAYSDVLRGLEVVEHACGLATLQMGEFVSNVTNGVDSFSIREPLGVCAGICPFDFPAMIPLWMFPIAVTCGNTFILKPSEKDPGASVMLAELATEAGLPNGVLNIVHGTDDILNAISDDDDIKAISFVGPNAAGAYIYSRALGTGKRIQSNVGAKNHAVVLPDASMDATLNALGAAGFGAAGQKCMALSTVVFVGGISLWEDKLVERAKALKVNAGTEPDVDLGPVISKQAKEQICRLIQTGVESGAKLVLDGRNIVVPGYERGNFIGPTILSDVTADMECYKEEIFGPVLLCMQADSIEEAINIVNKNKYGNGASIFTTSGVAARKFQTEIEVGQVGINVPISVPLPFSLFTSSRPSFAGDLSFDGKVGLQFYTQIKTVTQQWKDLLE >ONH90764 pep chromosome:Prunus_persica_NCBIv2:G8:10682304:10693517:-1 gene:PRUPE_8G073300 transcript:ONH90764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQICTELDDPQQMLPPPPGTFVDRDELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRQKHMDESSSEHSRRKKTGSRLTNCPFEAVGKKDDGLWVLTIKNGTHNHEPLKDISEHPSARRFSEREVLLIKEMTESGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNVAVRNFKSLSTQKSFVRNNYSAVTEPSWRQCNPQRVPNLIGGRFVDSQSFTSIDVLNPATQQVVSQVPLTTNEEFKAAVFSAKRAFPLWRNTPITTRQRIMFKFQELIRRDIDKLAMCITSEHGKALKDAYSDVLRGLEVVEHACGLATLQMGEFVSNVTNGVDSFSIREPLGVCAGICPFDFPAMIPLWMFPIAVTCGNTFILKPSEKDPGASVMLAELATEAGLPNGVLNIVHGTDDILNAISDDDDIKAISFVGPNAAGAYIYSRALGTGKRIQSNVGAKNHAVVLPDASMDATLNALGAAGFGAAGQKCMALSTVVFVGGISLWEDKLVERAKALKVNAGTEPDVDLGPVISKQAKEQICRLIQTGVESGAKLVLDGRNIVVPGYERGNFIGPTILSDVTADMECYKEEIFGPVLLCMQADSIEEAINIVNKNKYGNGASIFTTSGVAARKFQTEIEVGQVGINVPISVPLPFSLFTSSRPSFAGDLSFDGKVGLQFYTQIKTVTQQWKDLLE >ONH90773 pep chromosome:Prunus_persica_NCBIv2:G8:10686393:10692001:-1 gene:PRUPE_8G073300 transcript:ONH90773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQICTELDDPQQMLPPPPGTFVDRDELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRQKHMDESSSEHSRRKKTGSRLTNCPFEAVGKKDDGLWVLTIKNGTHNHEPLKDISEHPSARRFSEREVLLIKEMTESGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNVAVRNFKSLSTQKSFVRNNYSAVTEPSWRQCNPQRVPNLIGGRFVDSQSFTSIDVLNPATQQVVSQVPLTTNEEFKAAVFSAKRAFPLWRNTPITTRQRIMFKFQELIRRDIDKLAMCITSEHGKALKDAYSDVLRGLEVVEHACGLATLQMGEFVSNVTNGVDSFSIREPLGVCAGICPFDFPAMIPLWMFPIAVTCGNTFILKPSEKDPGASVMLAELATEAGLPNGVLNIVHGTDDILNAISDDDDIKAISFVGPNAAGAYIYSRALGTGKRIQ >ONH90772 pep chromosome:Prunus_persica_NCBIv2:G8:10686393:10692001:-1 gene:PRUPE_8G073300 transcript:ONH90772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQICTELDDPQQMLPPPPGTFVDRDELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRQKHMDESSSEHSRRKKTGSRLTNCPFEAVGKKDDGLWVLTIKNGTHNHEPLKDISEHPSARRFSEREVLLIKEMTESGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNVAVRNFKSLSTQKSFVRNNYSAVTEPSWRQCNPQRVPNLIGGRFVDSQSFTSIDVLNPATQQVVSQVPLTTNEEFKAAVFSAKRAFPLWRNTPITTRQRIMFKFQELIRRDIDKLAMCITSEHGKALKDAYSDVLRGLEVVEHACGLATLQMGEFVSNVTNGVDSFSIREPLGVCAGICPFDFPAMIPLWMFPIAVTCGNTFILKPSEKDPGASVMLAELATEAGLPNGVLNIVHGTDDILNAISDDDDIKAISFVGPNAAGAYIYSRALGTGKRIQ >ONH90767 pep chromosome:Prunus_persica_NCBIv2:G8:10682120:10693689:-1 gene:PRUPE_8G073300 transcript:ONH90767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQICTELDDPQQMLPPPPGTFVDRDELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRQKHMDESSSEHSRRKKTGSRLTNCPFEAVGKKDDGLWVLTIKNGTHNHEPLKDISEHPSARRFSEREVLLIKEMTESGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNVAVRNFKSLSTQKSFVRNNYSAVTEPSWRQCNPQRVPNLIGGRFVDSQSFTSIDVLNPATQQVVSQVPLTTNEEFKAAVFSAKRAFPLWRNTPITTRQRIMFKFQELIRRDIDKLAMCITSEHGKALKDAYSDVLRGLEVVEHACGLATLQMGEFVSNVTNGVDSFSIREPLGVCAGICPFDFPAMIPLWMFPIAVTCGNTFILKPSEKDPGASVMLAELATEAGLPNGVLNIVHGTDDILNAISDDDDIKAISFVGPNAAGAYIYSRALGTGKRIQSNVGAKNHAVVLPDASMDATLNALGAAGFGAAGQKCMALSTVVFVGGISLWEDKLVERAKALKVNAGTEPDVDLGPVISKQAKEQICRLIQTGVESGAKLVLDGRNIVVPGYERGNFIGPTILSDVTADMECYKEEIFGPVLLCMQADSIEEAINIVNKNKYGNGASIFTTSGVAARKFQTEIEVGQVGINVPISVPLPFSLFTSSRPSFAGDLSFDGKVGLQFYTQIKTVTQQWKDLLE >ONH90769 pep chromosome:Prunus_persica_NCBIv2:G8:10682501:10692001:-1 gene:PRUPE_8G073300 transcript:ONH90769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQICTELDDPQQMLPPPPGTFVDRDELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRQKHMDESSSEHSRRKKTGSRLTNCPFEAVGKKDDGLWVLTIKNGTHNHEPLKDISEHPSARRFSEREVLLIKEMTESGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNVAVRNFKSLSTQKSFVRNNYSAVTEPSWRQCNPQRVPNLIGGRFVDSQSFTSIDVLNPATQQVVSQVPLTTNEEFKAAVFSAKRAFPLWRNTPITTRQRIMFKFQELIRRDIDKLAMCITSEHGKALKDAYSDVLRGLEVVEHACGLATLQMGEFVSNVTNGVDSFSIREPLGVCAGICPFDFPAMIPLWMFPIAVTCGNTFILKPSEKDPGASVMLAELATEAGLPNGVLNIVHGTDDILNAISDDDDIKAISFVGPNAAGAYIYSRALGTGKRIQSNVGAKNHAVVLPDASMDATLNALGAAGFGAAGQKCMALSTVVFVGGISLWEDKLVERAKALKVNAGTEPDVDLGPVISKQAKEQICRLIQTGVESGAKLVLDGRNIVVPGYERGNFIGPTILSDVTADMECYKEEIFGPVLLCMQADSIEEAINIVNKNKYGNGASIFTTSGVAARKFQTEIEVGQVGINVPISVPLPFSLFTSSRPSFAGDLSFDGKVGLQFYTQIKTVTQQWKDLLE >ONH90768 pep chromosome:Prunus_persica_NCBIv2:G8:10682187:10693567:-1 gene:PRUPE_8G073300 transcript:ONH90768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQICTELDDPQQMLPPPPGTFVDRDELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRQKHMDESSSEHSRRKKTGSRLTNCPFEAVGKKDDGLWVLTIKNGTHNHEPLKDISEHPSARRFSEREVLLIKEMTESGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNVAVRNFKSLSTQKSFVRNNYSAVTEPSWRQCNPQRVPNLIGGRFVDSQSFTSIDVLNPATQQVVSQVPLTTNEEFKAAVFSAKRAFPLWRNTPITTRQRIMFKFQELIRRDIDKLAMCITSEHGKALKDAYSDVLRGLEVVEHACGLATLQMGEFVSNVTNGVDSFSIREPLGVCAGICPFDFPAMIPLWMFPIAVTCGNTFILKPSEKDPGASVMLAELATEAGLPNGVLNIVHGTDDILNAISDDDDIKAISFVGPNAAGAYIYSRALGTGKRIQSNVGAKNHAVVLPDASMDATLNALGAAGFGAAGQKCMALSTVVFVGGISLWEDKLVERAKALKVNAGTEPDVDLGPVISKQAKEQICRLIQTGVESGAKLVLDGRNIVVPGYERGNFIGPTILSDVTADMECYKEEIFGPVLLCMQADSIEEAINIVNKNKYGNGASIFTTSGVAARKFQTEIEVGQVGINVPISVPLPFSLFTSSRPSFAGDLSFDGKVGLQFYTQIKTVTQQWKDLLE >ONH90770 pep chromosome:Prunus_persica_NCBIv2:G8:10684811:10693517:-1 gene:PRUPE_8G073300 transcript:ONH90770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQICTELDDPQQMLPPPPGTFVDRDELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRQKHMDESSSEHSRRKKTGSRLTNCPFEAVGKKDDGLWVLTIKNGTHNHEPLKDISEHPSARRFSEREVLLIKEMTESGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNVAVRNFKSLSTQKSFVRNNYSAVTEPSWRQCNPQRVPNLIGGRFVDSQSFTSIDVLNPATQQVVSQVPLTTNEEFKAAVFSAKRAFPLWRNTPITTRQRIMFKFQELIRRDIDKLAMCITSEHGKALKDAYSDVLRGLEVVEHACGLATLQMGEFVSNVTNGVDSFSIREPLGVCAGICPFDFPAMIPLWMFPIAVTCGNTFILKPSEKDPGASVMLAELATEAGLPNGVLNIVHGTDDILNAISDDDDIKAISFVGPNAAGAYIYSRALGTGKRIQSNVGAKNHAVVLPDASMDATLNALGAAGFGAAGQKCMALSTVVFVGGISLWGC >ONH90771 pep chromosome:Prunus_persica_NCBIv2:G8:10684811:10693517:-1 gene:PRUPE_8G073300 transcript:ONH90771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQICTELDDPQQMLPPPPGTFVDRDELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRQKHMDESSSEHSRRKKTGSRLTNCPFEAVGKKDDGLWVLTIKNGTHNHEPLKDISEHPSARRFSEREVLLIKEMTESGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNVAVRNFKSLSTQKSFVRNNYSAVTEPSWRQCNPQRVPNLIGGRFVDSQSFTSIDVLNPATQQVVSQVPLTTNEEFKAAVFSAKRAFPLWRNTPITTRQRIMFKFQELIRRDIDKLAMCITSEHGKALKDAYSDVLRGLEVVEHACGLATLQMGEFVSNVTNGVDSFSIREPLGVCAGICPFDFPAMIPLWMFPIAVTCGNTFILKPSEKDPGASVMLAELATEAGLPNGVLNIVHGTDDILNAISDDDDIKAISFVGPNAAGAYIYSRALGTGKRIQSNVGAKNHAVVLPDASMDATLNALGAAGFGAAGQKCMALSTVVFVGGISLWGC >ONH90765 pep chromosome:Prunus_persica_NCBIv2:G8:10682120:10693839:-1 gene:PRUPE_8G073300 transcript:ONH90765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQICTELDDPQQMLPPPPGTFVDRDELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRQKHMDESSSEHSRRKKTGSRLTNCPFEAVGKKDDGLWVLTIKNGTHNHEPLKDISEHPSARRFSEREVLLIKEMTESGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNVAVRNFKSLSTQKSFVRNNYSAVTEPSWRQCNPQRVPNLIGGRFVDSQSFTSIDVLNPATQQVVSQVPLTTNEEFKAAVFSAKRAFPLWRNTPITTRQRIMFKFQELIRRDIDKLAMCITSEHGKALKDAYSDVLRGLEVVEHACGLATLQMGEFVSNVTNGVDSFSIREPLGVCAGICPFDFPAMIPLWMFPIAVTCGNTFILKPSEKDPGASVMLAELATEAGLPNGVLNIVHGTDDILNAISDDDDIKAISFVGPNAAGAYIYSRALGTGKRIQSNVGAKNHAVVLPDASMDATLNALGAAGFGAAGQKCMALSTVVFVGGISLWEDKLVERAKALKVNAGTEPDVDLGPVISKQAKEQICRLIQTGVESGAKLVLDGRNIVVPGYERGNFIGPTILSDVTADMECYKEEIFGPVLLCMQADSIEEAINIVNKNKYGNGASIFTTSGVAARKFQTEIEVGQVGINVPISVPLPFSLFTSSRPSFAGDLSFDGKVGLQFYTQIKTVTQQWKDLLE >ONH91569 pep chromosome:Prunus_persica_NCBIv2:G8:14936704:14945380:-1 gene:PRUPE_8G123600 transcript:ONH91569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEYEVLSDSDISDASDSSDEYTADQEELELEREESDDVLGNNRKSMDPPRPSGEDQKSKNVDALVRGNLVVKRQSLLPRVLSVTEGATVCRKPFKPPCSNGYDDGNDQLARRLYARKRFVPWGSSSTAFVPNIDRLLSIPNEAEKDIVEESVTLPPGIDPLVLWQPEEFEDGTANMMQIVVDPVLVRFLRPHQREGVQFMFECVSGLNSAANIYGCILADDMGLGKTLQSITLLYTLLCQGFDGKPMVKKAIIVTPTSLVSNWEAEIKKWVGERVHLIALCESTRDDVVSGIDRFTGPRSPIQVLIISYETFRMHSTKFSQSESCDLLICDEAHRLKNDQTMTNQALAALACKRRILLSGTPMQNDLEEFFAMVNFTNPGILGEAAHFRRYYEAPIICGREPNASEEEKKLGGERSAELSGKVNQFILRRTNALLSNHLPPKIIEVVCCKLTPLQLDLYNHFIHSKNVKRAISEETKKSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEDCIRFFPPEMFSGRSGSWTGGDGAWVELSGKMHVLARLLAHLRKRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNQFNDPSKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQTDSSMAQGNLLSTEDLRDLFTFHENVRSEVHEKMNCIRCQNSNDTNRSIAEGDANQPTNESGQSGHEISDIGGFAEMSGCLHELKSSEKQVGTPLEEDLSNWGHHFFPNSVPDAILQASAGAEVTFVFTNQVDGKLVPVESKVNPKMQGEEGKENHPKLRQDLNQKPLLLSWQRKPLESVSSSENSTRSTMSAPFKPSEKTTVESVKTSLKGSVHVALKPKLSLKIRLPLKRSSPDTDNHDDDFV >ONH91571 pep chromosome:Prunus_persica_NCBIv2:G8:14936364:14945505:-1 gene:PRUPE_8G123600 transcript:ONH91571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGKTLQSITLLYTLLCQGFDGKPMVKKAIIVTPTSLVSNWEAEIKKWVGERVHLIALCESTRDDVVSGIDRFTGPRSPIQVLIISYETFRMHSTKFSQSESCDLLICDEAHRLKNDQTMTNQALAALACKRRILLSGTPMQNDLEEFFAMVNFTNPGILGEAAHFRRYYEAPIICGREPNASEEEKKLGGERSAELSGKVNQFILRRTNALLSNHLPPKIIEVVCCKLTPLQLDLYNHFIHSKNVKRAISEETKKSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEDCIRFFPPEMFSGRSGSWTGGDGAWVELSGKMHVLARLLAHLRKRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNQFNDPSKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQTDSSMAQGNLLSTEDLRDLFTFHENVRSEVHEKMNCIRCQNSNDTNRSIAEGDANQPTNESGQSGHEISDIGGFAEMSGCLHELKSSEKQVGTPLEEDLSNWGHHFFPNSVPDAILQASAGAEVTFVFTNQVDGKLVPVESKVNPKMQGEEGKENHPKLRQDLNQKPLLLSWQRKPLESVSSSENSTRSTMSAPFKPSEKTTVESVKTSLKGSVHVALKPKLSLKIRLPLKRSSPDTDNHDDDFV >ONH91570 pep chromosome:Prunus_persica_NCBIv2:G8:14936704:14944020:-1 gene:PRUPE_8G123600 transcript:ONH91570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFECVSGLNSAANIYGCILADDMGLGKTLQSITLLYTLLCQGFDGKPMVKKAIIVTPTSLVSNWEAEIKKWVGERVHLIALCESTRDDVVSGIDRFTGPRSPIQVLIISYETFRMHSTKFSQSESCDLLICDEAHRLKNDQTMTNQALAALACKRRILLSGTPMQNDLEEFFAMVNFTNPGILGEAAHFRRYYEAPIICGREPNASEEEKKLGGERSAELSGKVNQFILRRTNALLSNHLPPKIIEVVCCKLTPLQLDLYNHFIHSKNVKRAISEETKKSKILAYITALKKLCNHPKLIYDTIKSGSPGTSGFEDCIRFFPPEMFSGRSGSWTGGDGAWVELSGKMHVLARLLAHLRKRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNQFNDPSKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQTDSSMAQGNLLSTEDLRDLFTFHENVRSEVHEKMNCIRCQNSNDTNRSIAEGDANQPTNESGQSGHEISDIGGFAEMSGCLHELKSSEKQVGTPLEEDLSNWGHHFFPNSVPDAILQASAGAEVTFVFTNQVDGKLVPVESKVNPKMQGEEGKENHPKLRQDLNQKPLLLSWQRKPLESVSSSENSTRSTMSAPFKPSEKTTVESVKTSLKGSVHVALKPKLSLKIRLPLKRSSPDTDNHDDDFV >ONH90856 pep chromosome:Prunus_persica_NCBIv2:G8:11223130:11224898:1 gene:PRUPE_8G077900 transcript:ONH90856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRRKLTWNERFLVLKEASRLYAASWVRDIGPELRPNDFKDEESEDKPGRPQGPAKEKEPSTLEDLAVAARGGMETLKPALQRVYMTRASAYKDALQSFIHGYQEGIQQVMEKKEDSKSEQESDKPDKST >ONH90403 pep chromosome:Prunus_persica_NCBIv2:G8:5730644:5736282:1 gene:PRUPE_8G052200 transcript:ONH90403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASSAAAKPLPSLDFSADSPPLRLVLTPDQYKYCSQALKFFKDKLQTSDHIHQEFAQLQAKRITSSDMKRSCTVALDSVNLSKNRYTDVLPFDTNRVVLNSCKDYRPSARGYINASLISTGSSESISRFIATQGPLPHTYEDFWEMVLEQRCPVVIMLTRLVDNYKMVKCGDYFQAENGPREFGNICIATKWLRTTETSLELRLLEVNYKESEEPPMSVLHIQYPEWPDHGVPEDRIAVREILKRLYEVPPNLGPIVVHCSAGIGRTGTYCTIHNTVQRILAGDMSALDLVDTVTTFRSQRIGMVQTREQYFFCYSAIVDELEDLVSNELNRA >ONH90404 pep chromosome:Prunus_persica_NCBIv2:G8:5730428:5736309:1 gene:PRUPE_8G052200 transcript:ONH90404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASSAAAKPLPSLDFSADSPPLRLVLTPDQYKYCSQALKFFKDKLQTSDHIHQEFAQLQAKRITSSDMKRSCTVALDSVNLSKNRYTDVLPFDTNRVVLNSCKDYRPSARGYINASLISTGSSESISRFIATQGPLPHTYEDFWEMVLEQRCPVVIMLTRLVDNYKMVKCGDYFQAENGPREFGNICIATKWLRTTETSLELRLLEVNYKESEEPPMSVLHIQYPEWPDHGVPEDRIAVREILKRLYEVPPNLGPIVVHCSAGIGRTGTYCTIHNTVQRILAGDMSALDLVDTVTTFRSQRIGMVQTREQYFFCYSAIVDELEDLVSNELNRA >ONH91349 pep chromosome:Prunus_persica_NCBIv2:G8:13882361:13889847:1 gene:PRUPE_8G108400 transcript:ONH91349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIVKVEESRPAADGRPSAGPVYRSIYAKDGLMELPEGLESPWQFLSDSAKKYPNNPMLGRRQVKETKVGPYVWLTYQEVHDAALRMGSAIRSRGVNPGDRCGIFGSNCPQWLTAMEACNSHAITYVPLYDTLGANAVEFIINHAEVSIAFVQENKISAILSCLPNCSTHLKTIVSFANISSTQKEEAEGLGVSCFSWEEFSQLGNLVCELPPKQRTDICTIMYTSGTTGEPKGVIITNGAIMAEVLSVEQILFLTDKVCTEGDSYFSFLPLAHVYDQIMESYCIYKGSSIGFWRGDIRFLMEDLQELRPTMFCGVPRVYDRIYTGIANKVSSSGALRKTLFQYAYNYKLANLEKGLPQENAAPLLDKLVFDKMKQALGGRVRILLSGAAPLPRHVEEFFRVTACSTLSQGYGLTESCGGSLTSIGNVFPMMGTVGVPMTTIETRLESVPEMGYDALSSVPRGEICLRGKSLFSGYHKRQDLTEEVLIDGWFHTGDIGELQPNGAMKIIDRKKNIFKLSQGEYVAVENIESKYLQCPLITSIWVYGNSFESFLVAVVVPDRKALEDWAAEHHLTEGFKSLCQNLKARKYILDELNSVGQKQQLRGFELLKAVHLEPNPFDMERDLITPTFKLKRTHLLKYYKDRIDKLYSEAKEARV >ONH92507 pep chromosome:Prunus_persica_NCBIv2:G8:17976051:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEDVGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVSLLEFLPVTATTLTPKEDGFASHICTTGQPSFAG >ONH92492 pep chromosome:Prunus_persica_NCBIv2:G8:17975444:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92503 pep chromosome:Prunus_persica_NCBIv2:G8:17975830:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGKRHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEDVGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92491 pep chromosome:Prunus_persica_NCBIv2:G8:17975830:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEDVGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92508 pep chromosome:Prunus_persica_NCBIv2:G8:17976051:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGKRHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVSLLEFLPVTATTLTPKEDGFASHICTTGQPSFAG >ONH92498 pep chromosome:Prunus_persica_NCBIv2:G8:17975444:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGKRHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEDVGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92496 pep chromosome:Prunus_persica_NCBIv2:G8:17975444:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGKRHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92489 pep chromosome:Prunus_persica_NCBIv2:G8:17975444:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92490 pep chromosome:Prunus_persica_NCBIv2:G8:17975444:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEDVGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92506 pep chromosome:Prunus_persica_NCBIv2:G8:17976051:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVSLLEFLPVTATTLTPKEDGFASHICTTGQPSFAG >ONH92493 pep chromosome:Prunus_persica_NCBIv2:G8:17975830:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92501 pep chromosome:Prunus_persica_NCBIv2:G8:17975830:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGKRHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92509 pep chromosome:Prunus_persica_NCBIv2:G8:17976051:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGKRHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEDVGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVSLLEFLPVTATTLTPKEDGFASHICTTGQPSFAG >ONH92499 pep chromosome:Prunus_persica_NCBIv2:G8:17975830:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGKRHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEDVGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92495 pep chromosome:Prunus_persica_NCBIv2:G8:17975830:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEDVGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92504 pep chromosome:Prunus_persica_NCBIv2:G8:17975444:17990142:-1 gene:PRUPE_8G178600 transcript:ONH92504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILRSCNGSICISTEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92502 pep chromosome:Prunus_persica_NCBIv2:G8:17975830:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGKRHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEDVGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92497 pep chromosome:Prunus_persica_NCBIv2:G8:17975830:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGKRHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92494 pep chromosome:Prunus_persica_NCBIv2:G8:17975830:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEDVGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92505 pep chromosome:Prunus_persica_NCBIv2:G8:17975830:17990131:-1 gene:PRUPE_8G178600 transcript:ONH92505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILRSCNGSICISTEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEDVGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQPPCCSPDDGPCGVGGVCKDCTTCFRHSDLVNDRPSTTQFRDKLPWFLNALPSADCAKGGHGAYTNSVDLNGYGSGVIRASEFRTYHTPLNKQGDYVNSLRAARDFSSRISDSLKMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH92500 pep chromosome:Prunus_persica_NCBIv2:G8:17975444:17993980:-1 gene:PRUPE_8G178600 transcript:ONH92500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHYVACRTPINLQKKKICKKDVTLILSPQSTNLTFSFDSCPTSKKNQEPLSAAIDHSRTMGWCLGFVAVFSLFQVVIFASLTSTEKTDSRALLISDVTSGKRHSEEYCAMYDICGERSDGKVLNCPYGSPSVKPDELFSAKIQSLCPTISGNVCCTELQFETLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPSQSLFINVTSVSEVNGNTTVDAIDFYIADTFGEGLYNSCKDVKFGTMNTRAIEFIGAGAKNFEEWFDFIGEKAALGFPGSPYAIDFKLTVPESSRMELMNVSVYSCADTSLGCSCGDCPSSQECSNPEPPPQKKEPCSIRILSIEVKCIDFSVAILYILLISAFFGWGLFHRTSERRRVESSKEPLLNVIHDDGIDSVNLQRDESVVTKGPEIDPQVTKRIQLAPLQGYMSNFYRSYGSWVSRNPTFVLFSSVAIVLVLCVGLVRFKVETRPEKLWVGRGSKAAEEKQFFDSHLAPFYRIEQLIIATVPDPKHGKSPSIVTDDNIQLLFDIQNKVDGVRANYSGSMVALTEICLKPVGQDCATQSILQYFKMDPENYDSYGGVVHAEYCFQHYTSADTCLSAFQAPLDPSTALGGFSGNNYTEASAFIVTYPVNNAVDDVGNENAKALAWEKAFIQLAKEELLPMVLSRNLTLSFSAESSIEEELKRESTADVITIVVSYVVMFVYISLTLGDAPHLSSFYLSSKVLLGLSGVMLVVLSVLGSVGFFSAVGIKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEMRISNALAEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQVTAFVVLIYFDFLRAEDNRVDCFPCIKVSSSSVETSEGIHHRRTGLLTRYMKEVHARILGFWVVKMVVIAVFLAFTLASIALCTRIQPGLEQEIALPRDSYLQGYFNNVTEHLRIGPPLYFVVKDYNYSSESRHTDQLCSISQCDSNSLLNEISRASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKYLNGSYCPPDDQMDIFPYSVFYIFFEQYLDIWRTALINIAIALGAIFIVCLLITSSLWSSAIIILVLAMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHITHAYLVSHGNRNQRAKEALSTMGASVFSGITLTKLVGVIVLGFSRSELFVVYYFQMYLALVVIGFLHGLVFLPVVLSIFGPPNQHLSIDIHQVESSSDLS >ONH91547 pep chromosome:Prunus_persica_NCBIv2:G8:14823514:14831809:1 gene:PRUPE_8G122700 transcript:ONH91547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESRKLKRATVESLYDTSQAQSSVLQRAKEVEASLDPKFPTLLKVMLPSHVTGCFWLGLPKKFCCDHLLKQDTMIVLEDENGEEFETKYLAEKVGLSGGWRGFSMSHKLLEEDIVILHVVTPSKFKVYIIRSNGLDEADCAVGLTRLDAGIKQMDTGHIISCVREESGNLEPIPEDYAIAFNTKSRPLSDQSENDSEDLGFEVLDGLRLSESVVPFKEMYRMDNFNVLVNGLNINSEFSKYVLNKYYELCCSQNSFLHEHLLEGLNCKLIVGVTSETINIADAIRACKITTSEGYFSTWNKTLIAFEGLGMNVGFLRARLDQLAILASKSKGFKEARLEELRGKAFGSKRDHK >ONH91546 pep chromosome:Prunus_persica_NCBIv2:G8:14826947:14831440:1 gene:PRUPE_8G122700 transcript:ONH91546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQSNRGRLPKDKEKLFSKKYSCLTQSQITKSIVVSANPKMPESRKLKRATVESLYDTSQAQSSVLQRAKEVEASLDPKFPTLLKVMLPSHVTGCFWLGLPKKFCCDHLLKQDTMIVLEDENGEEFETKYLAEKVGLSGGWRGFSMSHKLLEEDIVILHVVTPSKFKVYIIRSNGLDEADCAVGLTRLDAGIKQMDTGHIISCVREESGNLEPIPEDYAIAFNTKSRPLSDQSENDSEDLGFEVLDGLRLSESVVPFKEMYRMDNFNVLVNGLNINSEFSKYVLNKYYELCCSQNSFLHEHLLEGLNCKLIVGVTSETINIADAIRACKITTSEGYFSTWNKTLIAFEGLGMNVGFLRARLDQLAILASKSKGFKEARLEELRGKAFGSKRDHK >ONH91548 pep chromosome:Prunus_persica_NCBIv2:G8:14825348:14831809:1 gene:PRUPE_8G122700 transcript:ONH91548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLEDENGEEFETKYLAEKVGLSGGWRGFSMSHKLLEEDIVILHVVTPSKFKVYIIRSNGLDEADCAVGLTRLDAGIKQMDTGHIISCVREESGNLEPIPEDYAIAFNTKSRPLSDQSENDSEDLGFEVLDGLRLSESVVPFKEMYRMDNFNVLVNGLNINSEFSKYVLNKYYELCCSQNSFLHEHLLEGLNCKLIVGVTSETINIADAIRACKITTSEGYFSTWNKTLIAFEGLGMNVGFLRARLDQLAILASKSKGFKEARLEELRGKAFGSKRDHK >ONH91545 pep chromosome:Prunus_persica_NCBIv2:G8:14825348:14831809:1 gene:PRUPE_8G122700 transcript:ONH91545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQNQKHSYSSDTPLPSKSNRGRLPKDKEKLFSKKYSCLTQSQITKSIVVSANPKMPESRKLKRATVESLYDTSQAQSSVLQRAKEVEASLDPKFPTLLKVMLPSHVTGCFWLGLPKKFCCDHLLKQDTMIVLEDENGEEFETKYLAEKVGLSGGWRGFSMSHKLLEEDIVILHVVTPSKFKVYIIRSNGLDEADCAVGLTRLDAGIKQMDTGHIISCVREESGNLEPIPEDYAIAFNTKSRPLSDQSENDSEDLGFEVLDGLRLSESVVPFKEMYRMDNFNVLVNGLNINSEFSKYVLNKYYELCCSQNSFLHEHLLEGLNCKLIVGVTSETINIADAIRACKITTSEGYFSTWNKTLIAFEGLGMNVGFLRARLDQLAILASKSKGFKEARLEELRGKAFGSKRDHK >ONH91751 pep chromosome:Prunus_persica_NCBIv2:G8:15561773:15567753:1 gene:PRUPE_8G135000 transcript:ONH91751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTTSRGRSSSSTSRTNPMFIQYLRRIIKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATMAYCAAYDHSAAHAVFVVISVLLFQFLFIGMLLATFCWFLTNSYLREEAPNSHVVEQRVECTALSGEDDFLPISNQCCHCPLSDLDFEWLQSFKILYEYVL >ONH91750 pep chromosome:Prunus_persica_NCBIv2:G8:15561773:15567753:1 gene:PRUPE_8G135000 transcript:ONH91750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTTSRGRSSSSTSRTNPMFIQYLRRIIKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATMAYCAAYDHSAAHAVFVVISVLLFQFLFIGMLLATFCWFLTNSYLREEAPNSHVVEQRVEWMYAFDVHCNSFFPMFVMLYVIHYFLSPILVAHGFIPVLLSNLLFMVAISYYHYLNFLGYDVLPFLERTTFFLYPISVVIVLSPILILSGFNPSRYFMNMYFSQRVQI >ONH89878 pep chromosome:Prunus_persica_NCBIv2:G8:1953226:1957825:1 gene:PRUPE_8G021600 transcript:ONH89878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSSSLSVYSSDSSSSSSASRRRHRRHQRSRRGKDKDKDRDALKIRKKTIRSHTKRRRRHRHHSSSDSHSSSSPSGYSSDSSSDSERETSSQSKKRKKSDRQKKSKEKDQSKSHRRKHHRSKLKEKQQNERNSSPVQLSKFLGRDKDDGVRRSAVSGKKILLKLEKTKEDKAAENKRNELLKFLNASFD >ONH89879 pep chromosome:Prunus_persica_NCBIv2:G8:1953331:1954956:1 gene:PRUPE_8G021600 transcript:ONH89879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSSSLSVYSSDSSSSSSASRRRHRRHQRSRRGKDKDKDRDALKIRKKTIRSHTKRRRRHRHHSSSDSHSSSSPSGYSSDSSSDSERETSSQSKKRKKSDRQKKSKEKDQSKSHRRKHHRSKLKEYFLPVLWIGSSKLELGSVA >ONH89881 pep chromosome:Prunus_persica_NCBIv2:G8:1953226:1954747:1 gene:PRUPE_8G021600 transcript:ONH89881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSSSLSVYSSDSSSSSSASRRRHRRHQRSRRGKDKDKDRDALKIRKKTIRSHTKRRRRHRHHSSSDSHSSSSPSGYSSDSSSDSERETSSQSKKRKKSDRQKKSKEKDQSKSHRRKHHRSKLKEVGQYAFCSSLGLI >ONH89880 pep chromosome:Prunus_persica_NCBIv2:G8:1953226:1957825:1 gene:PRUPE_8G021600 transcript:ONH89880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSSSLSVYSSDSSSSSSASRRRHRRHQRSRRGKDKDKDRDALKIRKKTIRSHTKRRRRHRHHSSSDSHSSSSPSGYSSDSSSDSERETSSQSKKRKKSDRQKKSKEKDQSKSHRRKHHRSKLKELGSVA >ONH92764 pep chromosome:Prunus_persica_NCBIv2:G8:18728823:18732708:-1 gene:PRUPE_8G194200 transcript:ONH92764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVAAMKAVAVLFFLSLSLFVWADKVKEVKELQIGVKYKPESCELQARKGDKVRVHYRGKLTDGTVFDSSFERGDPIFFELGTGQVIKGWDQGILGMCVGEKRKLKIPAKLGYGEQGSPPTIPGGATLIFDTELVEILGRGSKPNDDEL >ONH89955 pep chromosome:Prunus_persica_NCBIv2:G8:2377807:2380782:-1 gene:PRUPE_8G026200 transcript:ONH89955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLLRSSSNPWRGYAISRVFGALFYSTEVLPSSSSSPPFRLLHSRIWQIGNPRDSILPVLHQWRVEGGDMKQPKLQGFIRLLRRYRRYGHALQISEWMSDEMKHDLHPGDIAVRLDLTSKVHGLEEAERYFDSIPESLKVLPVYGALLSCYAKHNCLEKAESVFEKMEELGFVKGSSCYNTMLNLYSQMGKHGKVDILVKEMAEKGIDYNVNTLNIRLYSYAATSDVDQMEKLLMKMETDPLVSLDWHGYAAAADAFLKAGQLEKTAALLRKSEQFINRETRKIGYEHLMTSYAAIGSKHEVYRIWELYKNVVGFYNNGYRCMLSSLLKMDDIDGAEKIVEEWESGNKFFNIQIPHLLINAYCRKGLLEKAKSYVKKLSEGGKECCRTWALLATTYHMNDRMEEAVETLKMAASSASRPGWKFDNSTLAACFEYLKQKGDVEKAHELLILFRERGHFTTDLCDKIRNYIGGCAPPGSGVR >ONH89954 pep chromosome:Prunus_persica_NCBIv2:G8:2377847:2380789:-1 gene:PRUPE_8G026200 transcript:ONH89954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLLRSSSNPWRGYAISRVFGALFYSTEVLPSSSSSPPFRLLHSRIWQIGNPRDSILPVLHQWRVEGGDMKQPKLQGFIRLLRRYRRYGHALQISEWMSDEMKHDLHPGDIAVRLDLTSKVHGLEEAERYFDSIPESLKVLPVYGALLSCYAKHNCLEKAESVFEKMEELGFVKGSSCYNTMLNLYSQMGKHGKVDILVKEMAEKGIDYNVNTLNIRLYSYAATSDVDQMEKLLMKMETDPLVSLDWHGYAAAADAFLKAGQLEKTAALLRKSEQFINRETRKIGYEHLMTSYAAIGSKHEVYRIWELYKNVVGFYNNGYRCMLSSLLKMDDIDGAEKIVEEWESGNKFFNIQIPHLLINAYCRKGLLEKAKSYVKKLSEGGKECCRTWALLATTYHMNDRMEEAVETLKMAASSASRPGWKFDNSTLAACFEYLKQKGDVEKAHELLILFRERGHFTTDLCDKIRNYIGGCAPPGSGVR >ONH89951 pep chromosome:Prunus_persica_NCBIv2:G8:2379293:2380738:-1 gene:PRUPE_8G026200 transcript:ONH89951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLLRSSSNPWRGYAISRVFGALFYSTEVLPSSSSSPPFRLLHSRIWQIGNPRDSILPVLHQWRVEGGDMKQPKLQGFIRLLRRYRRYGHALQISEWMSDEMKHDLHPGDIAVRLDLTSKVHGLEEAERYFDSIPESLKVLPVYGALLSCYAKHNCLEKAESVFEKMEELGFVKGSSCYNTMLNLYSQMGKHGKVDILVKEMAEKGIDYNVNTLNIRLYSYAATSDVDQMEKLLMKMETDPLVSLDWHGYAAAADAFLKAGQLEKTAALLRKSEQFINRETRKIGYEHLMTSYAAIGSKHEVYRIWELYKNVVGFYNNGYRCMLSSLLKMDDIDGAEKIVEEWESGNKFFNIQIPHLLINAYCRKGLLEKAKSYVKKLSEGGKECCRTWALLATTYHMNDRMEEAVETLKMAASSASRPGWKFDNSTLAACFEYLKQKGDVEKAHELLILFRERGHFTTDLCDKIRNYIGGCAPPGSGVR >ONH89952 pep chromosome:Prunus_persica_NCBIv2:G8:2377800:2380908:-1 gene:PRUPE_8G026200 transcript:ONH89952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLLRSSSNPWRGYAISRVFGALFYSTEVLPSSSSSPPFRLLHSRIWQIGNPRDSILPVLHQWRVEGGDMKQPKLQGFIRLLRRYRRYGHALQISEWMSDEMKHDLHPGDIAVRLDLTSKVHGLEEAERYFDSIPESLKVLPVYGALLSCYAKHNCLEKAESVFEKMEELGFVKGSSCYNTMLNLYSQMGKHGKVDILVKEMAEKGIDYNVNTLNIRLYSYAATSDVDQMEKLLMKMETDPLVSLDWHGYAAAADAFLKAGQLEKTAALLRKSEQFINRETRKIGYEHLMTSYAAIGSKHEVYRIWELYKNVVGFYNNGYRCMLSSLLKMDDIDGAEKIVEEWESGNKFFNIQIPHLLINAYCRKGLLEKAKSYVKKLSEGGKECCRTWALLATTYHMNDRMEEAVETLKMAASSASRPGWKFDNSTLAACFEYLKQKGDVEKAHELLILFRERGHFTTDLCDKIRNYIGGCAPPGSGVR >ONH89953 pep chromosome:Prunus_persica_NCBIv2:G8:2377847:2380776:-1 gene:PRUPE_8G026200 transcript:ONH89953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLLRSSSNPWRGYAISRVFGALFYSTEVLPSSSSSPPFRLLHSRIWQIGNPRDSILPVLHQWRVEGGDMKQPKLQGFIRLLRRYRRYGHALQISEWMSDEMKHDLHPGDIAVRLDLTSKVHGLEEAERYFDSIPESLKVLPVYGALLSCYAKHNCLEKAESVFEKMEELGFVKGSSCYNTMLNLYSQMGKHGKVDILVKEMAEKGIDYNVNTLNIRLYSYAATSDVDQMEKLLMKMETDPLVSLDWHGYAAAADAFLKAGQLEKTAALLRKSEQFINRETRKIGYEHLMTSYAAIGSKHEVYRIWELYKNVVGFYNNGYRCMLSSLLKMDDIDGAEKIVEEWESGNKFFNIQIPHLLINAYCRKGLLEKAKSYVKKLSEGGKECCRTWALLATTYHMNDRMEEAVETLKMAASSASRPGWKFDNSTLAACFEYLKQKGDVEKAHELLILFRERGHFTTDLCDKIRNYIGGCAPPGSGVR >ONH90284 pep chromosome:Prunus_persica_NCBIv2:G8:4605968:4608804:-1 gene:PRUPE_8G044500 transcript:ONH90284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKEDTNRVGEIEESDPKSDGSSRNPNPNPKTARTKVPEVEVYLYRRGKGPIDTFRTSLGGWDQNQLEVRDILDKYGFKSLYAFNTGSGRGVPIRFNPRNGRSMLAYKDGSTVHIDGEPKEIQRYWREEIRGEVEQA >ONH90282 pep chromosome:Prunus_persica_NCBIv2:G8:4605456:4608805:-1 gene:PRUPE_8G044500 transcript:ONH90282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKEDTNRVGEIEESDPKSDGSSRNPNPNPKTARTKVPEVEVYLYRRGKGPIDTFRTSLGGWDQNQLEVRDILDKYGFKSLYAFNTGSGRGVPIRFNPRNGRSMLAYKDGSTVHIDGEPKDSLIQPVTKILLGVAVITVLITLVLRDPPQWVQKLNISGLNFPPWIIALVVIVFTRMRKRTGDLLKKYGW >ONH90283 pep chromosome:Prunus_persica_NCBIv2:G8:4606398:4608723:-1 gene:PRUPE_8G044500 transcript:ONH90283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKEDTNRVGEIEESDPKSDGSSRNPNPNPKTARTKVPEVEVYLYRRGKGPIDTFRTSLGGWDQNQLEVRDILDKYGFKSLYAFNTGSGRGVPIRFNPRNGRSMLAYKDGSTVHIDGEPKEIQRYWREEIRGEVEQA >ONH90281 pep chromosome:Prunus_persica_NCBIv2:G8:4603526:4608723:-1 gene:PRUPE_8G044500 transcript:ONH90281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKEDTNRVGEIEESDPKSDGSSRNPNPNPKTARTKVPEVEVYLYRRGKGPIDTFRTSLGGWDQNQLEVRDILDKYGFKSLYAFNTGSGRGVPIRFNPRNGRSMLAYKDGSTVHIDGEPKDSLIQPVTKILLGVAVITVLITLVLRDPPQWVQKLNISGLNFPPWIIALVVIVFTRMRKRTGDLLKKYGW >ONH90663 pep chromosome:Prunus_persica_NCBIv2:G8:9952588:9952975:-1 gene:PRUPE_8G067700 transcript:ONH90663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQGASQTRFRALKHENGIAGRPTIIVRVIACFQPLQDCQAEYFRHLLKPVT >ONH93307 pep chromosome:Prunus_persica_NCBIv2:G8:20247329:20248189:1 gene:PRUPE_8G224700 transcript:ONH93307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYISCMSQAQPIFLPPNLNKDLFLLNMEGKGVENQQKEQTKVRDQTRYRGIRRRPWGKFAAEIRDPSRNGARLWLGTFETAEEAARAYDRAAFGFRGHLAILNFPNDYQYHNPSSSLISTSSSSSSSPFSAADIGKSTNFGRGQEEEEVIEFEYLDNMVLEELLDTKEDHHRQGKPTH >ONH90652 pep chromosome:Prunus_persica_NCBIv2:G8:9853662:9856415:1 gene:PRUPE_8G066800 transcript:ONH90652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYWCSIALFSCPYYSLFPYSISESIKTNNFQFYIATYVHSLLKKRQHKVQRERERERERERELLLNHINPKIHSFPVDLMAMRKEAPELAERSSSNPNKPHRHTLSFYSPKLSFYIFSACVTLFVVLHIKTLQTDQNPTSSLWFHKHQRQRVTTNTTSTMAEKLRQAVTFLPLKDLRYAGPAALQGHTWFMSSMYDKQDEEGGAQYQQFPSPSSHGRLLCLKGRDNHDGSWNSYALAFPEALPHNTTLMKGLTFVSYNHYNYDNIWHGLTAVVPFVSWHIKNSCAVPDRWILYHWGEIRARMGVWLGSLMEATFSGAPRVEVFDDVEEGRAVCFEKAVVMRHNEGGMSREKRLEVFDLMRCKARLFCNVSLDEQNYKSTRSVTKRIGVTLFMRTGPRSFKNDTAVIGIFERECAKVDGCRLMVAYSNNLTFCDQVKVMSLTDILVSPHGAQLTNMFLMNRNSSVMEFFPKGWLKLAGVGQYVFHWIASWSGMRHKGAWRDPDGDTCQYGEDDRRCMSIYKHGKIGHNETYFAGWTRNVIDEVKTRKMEEAKMAIPNSNGCSCI >ONH91860 pep chromosome:Prunus_persica_NCBIv2:G8:15869524:15871473:-1 gene:PRUPE_8G140300 transcript:ONH91860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASELFYNRRSRYNSRTATHDLGFDSLPPPLPDRNHNHNRRHHNHHHESDGCDPLLRRTPRHLRHRASLPERAAIGAEQGGAHSGSGNGVGASSPGLSGNERLPGSVLLARERLLERLRGMPPSQTRHRRALNVYGSGLVHGDESSPLDVRDWGNEILTGRSAGAYPFTNLGSQIERQQLLQEANKKPPGLTQEVLDCLSPELFSSSERDVDRLVLRASRDCSICLESFLDGDELINLPCAHRFHAVCLGPWVRIRGDCPYCRRVIVVNSHTDKRTT >ONH91861 pep chromosome:Prunus_persica_NCBIv2:G8:15869524:15871472:-1 gene:PRUPE_8G140300 transcript:ONH91861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMYKIMRTLHQLPIGCGMHTNYQLTIVSPSKPSIMIYCLACNSASINWHRRALNVYGSGLVHGDESSPLDVRDWGNEILTGRSAGAYPFTNLGSQIERQQLLQEANKKPPGLTQEVLDCLSPELFSSSERDVDRLVLRASRDCSICLESFLDGDELINLPCAHRFHAVCLGPWVRIRGDCPYCRRVIVVNSHTDKRTT >ONH91862 pep chromosome:Prunus_persica_NCBIv2:G8:15869843:15870394:-1 gene:PRUPE_8G140300 transcript:ONH91862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRALNVYGSGLVHGDESSPLDVRDWGNEILTGRSAGAYPFTNLGSQIERQQLLQEANKKPPGLTQEVLDCLSPELFSSSERDVDRLVLRASRDCSICLESFLDGDELINLPCAHRFHAVCLGPWVRIRGDCPYCRRVIVVNSHTDKRTT >ONH91863 pep chromosome:Prunus_persica_NCBIv2:G8:15869843:15870394:-1 gene:PRUPE_8G140300 transcript:ONH91863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRALNVYGSGLVHGDESSPLDVRDWGNEILTGRSAGAYPFTNLGSQIERQQLLQEANKKPPGLTQEVLDCLSPELFSSSERDVDRLVLRASRDCSICLESFLDGDELINLPCAHRFHAVCLGPWVRIRGDCPYCRRVIVVNSHTDKRTT >ONH92577 pep chromosome:Prunus_persica_NCBIv2:G8:18174631:18176863:1 gene:PRUPE_8G181900 transcript:ONH92577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYMRGCTRKLALWYTRTFKPIMTHDELEPIMATFGFVGLPPAASAKEYVFSAAVGRRNKWVRAVEPPFPRPRLPYPRIDGLHIYTYRAFIDAVNFYLEMCNISDLFHVRGMPLHDLSRISDRNRKWRKMEEDDSVFVYREGTLDQATFNLYHPASKSISNNPNSSGSGGHNSILIRDKGSNTPASCIVPLKDIIV >ONH92640 pep chromosome:Prunus_persica_NCBIv2:G8:18378195:18380240:1 gene:PRUPE_8G186100 transcript:ONH92640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTEKAHCLVFFCPIQGHINPMLQFSKRLEHKGLKVTLITTRSVHKAMHEGGGEQSTTSFSSIALETISDGFDGEGGSAQAESIQAYRDRVREIGSQTLAELIDKLSASGHPADCLVYDPVFPWALDVAKRVGIAAAAFFTVSCAVTNIYSLVHNGLLKLPLNPDSEILLPGLPPLQPSDTPSFIYVPESYPDFLKLSVDLFSNLCKADWVFCNTFYELEQEVIEYWTTKFWTFRTIGPTIPSMYLDKRHEDNKEYGLSLLKLKSDACMKWLNAKPKGSVAYMSFGSMAEQGEEQMEELGLGLKRSKRYFLWVVRTSESVKLPKGFAEETSEKGLVVSWCPQLEVLAHEAVGCFVTHCGWNSTLEALSLGVPMVAVPQWADQSTNAKFIMDVWKIGLKAQADEKGIVRGEEIANCVREILDGERGKEIRKNASNWKALAKSAVDEGGSSDKNIDEFIAKLVQN >ONH91112 pep chromosome:Prunus_persica_NCBIv2:G8:12662374:12667895:-1 gene:PRUPE_8G093900 transcript:ONH91112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCCVTLKPNTHLRKPSGLCNGDAGFWGERVRGSLNNKLWDNQLTKALRAEKRAKKVKSGVVLSVLTSSNTEAVAVQMPPIYRRRVDPKTVASIILGGGAGTQLFPLTIRSATPAVPVGGCYRLIDIPMSNCINSNINKIFVLTQFNSASLNRHIARTYFGNGINFGEGFVEVLAATQTPGEAGMNWFQGTADAVRQFIWVFEDAKNRDVENVLILCGDHLYRMDYTDFVQSHVDRNADITISCAAVGDSRASDYGLVKIDSRGKVIQFTEKPRGADLKAMQTDTTLLGLSPQDAMKSPYVASMGVYVFKTEILLNLLRWRYPTSNDFGSEIIPAAVREHKVQAYMFREYWEDIGTIKSFYDANLALTEEFSKFQFYDPKTPIFTSPRFLPPTKIDKCRIVDAIISHGCFLRECSVQHSIVGERSRLDYGVELKDAIMMGADYYQTETEIASLLAEGKVPVGIGRNTKIRNCIIDKNAKIGKDAVIVNKDGVREADRPEEGFYIREGITIILEKATIQDGMVI >ONH92593 pep chromosome:Prunus_persica_NCBIv2:G8:18234518:18235902:1 gene:PRUPE_8G182900 transcript:ONH92593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDKEISHPIHLQHKLKIEYTEIPFYCDGCKEPGIGLKYKCQKCEFDLHKACAVAAPNIHHPFYNKCEFHFLHNPPGAARRVCDACRKDVLGFVYHCWKCDFDLHPCCANLPQVLDDGEHNLYLCLKLSSPCHRCGGKGPGWSYRSDCKTYNLHVSCVKELLVESWQAMYLNVDKNKVREMQTRIPSLKGTLKNHHGGRGGGGKVRKCCQIAGGAVRVIVSAILGDPTALIGAVVGGFISK >ONH93325 pep chromosome:Prunus_persica_NCBIv2:G8:20287258:20292220:1 gene:PRUPE_8G225900 transcript:ONH93325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSDLHHVLRSLCFNTEWNYAIFWKLKYRARMVLTWEDAYYDNCEQHDSSENRCFNKTLDRLHDSHYSHDPLGLAVAKMSYHVYTLGEGIVGQVAVTRKHQWIFADNLFKNNCSPFQYCDGWQSQFSAGIRTIVVVAVPHGVVQLGSLNKVIENVKLVSEIRDVFSTLQDSPVEQIRNPLQSGINSSACLTSISPKGLASGVITDCLHNLDKAANREESPDVWSSIFPHIGKDSDSSYVFPLPENCLKKAVELANKHGGLESSNLGCLESAKLHQSKSSILNSEHCKLVGVELLDRTKCKGESSGCKDTRMASMIYSNPLSHGSVQENVNLCDSADLSATFLNSAAHGRVNVDRVDFYQNEVLQVSEPSDVKFQKDLENLDFQTESGHMDTSSTSMAFPAGCELHEALGPAFLNKGNYFDWEAEKNGDGITIEMPEGMKTGQLTSDSCQEHLLEAVVANVCHSGTDVKSEKSFCKSMQSLLTTEKYPEPSSHTTHTIDSENYSIDQPSLIAEDTQQCLSSSGVCGVISPKWFSSPCPSACSEQLERSSGPSKNNKKRARPGENSRPRPRDRQLIQDRIKELRELIPNGAKCSIDSLLERTIKHMLFLQSITKHADKLNKCADAKLCHKEASMLGSSNYERGSSWAVEVGGNLKVCSIMVENLNKNGQMVVEMMCEECSHFLEIAEAIRSLGLTILKGVTEARSDKTWICFVVEGQNNRSIHRMDILWSLVQILQPKNPMQQL >ONH93324 pep chromosome:Prunus_persica_NCBIv2:G8:20286020:20292755:1 gene:PRUPE_8G225900 transcript:ONH93324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDRLLLATVGPPIKRRAGKKLWTLEEMGTSDLHHVLRSLCFNTEWNYAIFWKLKYRARMVLTWEDAYYDNCEQHDSSENRCFNKTLDRLHDSHYSHDPLGLAVAKMSYHVYTLGEGIVGQVAVTRKHQWIFADNLFKNNCSPFQYCDGWQSQFSAGIRTIVVVAVPHGVVQLGSLNKVIENVKLVSEIRDVFSTLQDSPVEQIRNPLQSGINSSACLTSISPKGLASGVITDCLHNLDKAANREESPDVWSSIFPHIGKDSDSSYVFPLPENCLKKAVELANKHGGLESSNLGCLESAKLHQSKSSILNSEHCKLVGVELLDRTKCKGESSGCKDTRMASMIYSNPLSHGSVQENVNLCDSADLSATFLNSAAHGRVNVDRVDFYQNEVLQVSEPSDVKFQKDLENLDFQTESGHMDTSSTSMAFPAGCELHEALGPAFLNKGNYFDWEAEKNGDGITIEMPEGMKTGQLTSDSCQEHLLEAVVANVCHSGTDVKSEKSFCKSMQSLLTTEKYPEPSSHTTHTIDSENYSIDQPSLIAEDTQQCLSSSGVCGVISPKWFSSPCPSACSEQLERSSGPSKNNKKRARPGENSRPRPRDRQLIQDRIKELRELIPNGAKCSIDSLLERTIKHMLFLQSITKHADKLNKCADAKEASMLGSSNYERGSSWAVEVGGNLKVCSIMVENLNKNGQMVVEMMCEECSHFLEIAEAIRSLGLTILKGVTEARSDKTWICFVVEGQNNRSIHRMDILWSLVQILQPKNPMQQL >ONH93327 pep chromosome:Prunus_persica_NCBIv2:G8:20289157:20292509:1 gene:PRUPE_8G225900 transcript:ONH93327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMIYSNPLSHGSVQENVNLCDSADLSATFLNSAAHGRVNVDRVDFYQNEVLQVSEPSDVKFQKDLENLDFQTESGHMDTSSTSMAFPAGCELHEALGPAFLNKGNYFDWEAEKNGDGITIEMPEGMKTGQLTSDSCQEHLLEAVVANVCHSGTDVKSEKSFCKSMQSLLTTEKYPEPSSHTTHTIDSENYSIDQPSLIAEDTQQCLSSSGVCGVISPKWFSSPCPSACSEQLERSSGPSKNNKKRARPGENSRPRPRDRQLIQDRIKELRELIPNGAKCSIDSLLERTIKHMLFLQSITKHADKLNKCADAKLCHKEASMLGSSNYERGSSWAVEVGGNLKVCSIMVENLNKNGQMVVEMMCEECSHFLEIAEAIRSLGLTILKGVTEARSDKTWICFVVEGQNNRSIHRMDILWSLVQILQPKNPMQQL >ONH93326 pep chromosome:Prunus_persica_NCBIv2:G8:20286020:20292509:1 gene:PRUPE_8G225900 transcript:ONH93326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSDLHHVLRSLCFNTEWNYAIFWKLKYRARMVLTWEDAYYDNCEQHDSSENRCFNKTLDRLHDSHYSHDPLGLAVAKMSYHVYTLGEGIVGQVAVTRKHQWIFADNLFKNNCSPFQYCDGWQSQFSAGIRTIVVVAVPHGVVQLGSLNKVIENVKLVSEIRDVFSTLQDSPVEQIRNPLQSGINSSACLTSISPKGLASGVITDCLHNLDKAANREESPDVWSSIFPHIGKDSDSSYVFPLPENCLKKAVELANKHGGLESSNLGCLESAKLHQSKSSILNSEHCKLVGVELLDRTKCKGESSGCKDTRMASMIYSNPLSHGSVQENVNLCDSADLSATFLNSAAHGRVNVDRVDFYQNEVLQVSEPSDVKFQKDLENLDFQTESGHMDTSSTSMAFPAGCELHEALGPAFLNKGNYFDWEAEKNGDGITIEMPEGMKTGQLTSDSCQEHLLEAVVANVCHSGTDVKSEKSFCKSMQSLLTTEKYPEPSSHTTHTIDSENYSIDQPSLIAEDTQQCLSSSGVCGVISPKWFSSPCPSACSEQLERSSGPSKNNKKRARPGENSRPRPRDRQLIQDRIKELRELIPNGAKCSIDSLLERTIKHMLFLQSITKHADKLNKCADAKLCHKEASMLGSSNYERGSSWAVEVGGNLKVCSIMVENLNKNGQMVVEMMCEECSHFLEIAEAIRSLGLTILKGVTEARSDKTWICFVVEGQNNRSIHRMDILWSLVQILQPKNPMQQL >ONH91487 pep chromosome:Prunus_persica_NCBIv2:G8:14484029:14484355:-1 gene:PRUPE_8G118100 transcript:ONH91487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCEKELSPSDVNQRLAVTKGMLEFLPPIDPEHDVPVRVLDEMGKVYVFYLSCRQGKHRKPVFQSKQWRVFVKERGIAAGDVIYLWAEENAFHQTQYRIALLKMLFS >ONH91103 pep chromosome:Prunus_persica_NCBIv2:G8:12577508:12579410:-1 gene:PRUPE_8G093100 transcript:ONH91103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQVLHMNGGVGKTSYANNSLLQRAVISTVKPIVDASIEELCCTLFPECLKVADLGCSSGPNTLLVVSDIIDNIRNTFQKLNRPPPSLQAFLNDLPRNDFNTVFRSLPGFYKKLDEEPEKKLGPCFIAGMPGSFYGRLFPDNSLHFVHSSYALMWISEVPKGMVTKEGEALNKGNIYIAKTSPPAVFKQYLEQFKRDFTVFLRSRAEELVPGGSMVLTTMGSIKSDDPLCIWEFVGMKLNDMVFEGLIEEEKLDTFNMPYYAPTTMEIEEVIEAEGSFILQNLEVFKNDWDSYVKQANNGLDKKTRAAIFATDIRAVGEPILASQFGEAPMDDLFRRFEADVLDHMERENCQFINLVISLTKKR >ONH91538 pep chromosome:Prunus_persica_NCBIv2:G8:14803249:14805541:1 gene:PRUPE_8G122300 transcript:ONH91538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCNCKRMAAMDDSATGVSKRRKFRASSSPHKQRFSHSEAPLPPSPPMLHLRHSHSPQLLNSSDSCSNFCSDHSPPSSCCSSNELNDVVVAHSSPLLDLEAKSFETVHSNSPSANNKFSRETTPSSELCLGSEEMESPAPAVYLRRRQLPVSKAPPSDEIEEFFAMAEKYEQKRFAEKYNYDIVKDMPLEGRYQWVRLKP >ONH91539 pep chromosome:Prunus_persica_NCBIv2:G8:14803249:14805542:1 gene:PRUPE_8G122300 transcript:ONH91539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCNCKRMAAMDDSATGVSKRRKFRASSSPHKQRFSHSEAPLPPSPPMLHLRHSHSPQLLNSSDSCSNFCSDHSPPSSCCSSNELNDVVVAHSSPLLDLEAKSFETVHSNSPSANNKFRETTPSSELCLGSEEMESPAPAVYLRRRQLPVSKAPPSDEIEEFFAMAEKYEQKRFAEKYNYDIVKDMPLEGRYQWVRLKP >ONH89658 pep chromosome:Prunus_persica_NCBIv2:G8:554305:557082:1 gene:PRUPE_8G007200 transcript:ONH89658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTSSISMTASSNPRSKRLLFDRRYGWVIDEWKDPSEEALAGGRGMFCVLPLAKYLIKMASQSINLAANSAVKVLERPDLFSPQLLQAGLNDQVYKIKSSLPKPEFNYFLLKGNLSSKAASSSSCEQTESSKS >ONH89857 pep chromosome:Prunus_persica_NCBIv2:G8:1844358:1848839:-1 gene:PRUPE_8G020300 transcript:ONH89857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPSNLIHPSKPFVSPLYSTPRVRTNFTENIAIEGRNLSFSVGTRQGKTIPILKDCSLHIPSGQFWMLLGPNGCGKSTLLKILAGLLNPTDGTVHVKRPRSFVFQNPDHQVVMPTVEADVAFGLGKFNLTPDVVKYRVSKALDAVSMLNYMQRSVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDENDQIGVIRAVRNSVDSSREVTALWVTHRLEELEYADGAVYMEDGRVVMHGNATDIMNFITTRQSAYIKEINY >ONH89853 pep chromosome:Prunus_persica_NCBIv2:G8:1845219:1848294:-1 gene:PRUPE_8G020300 transcript:ONH89853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFTENIAIEGRNLSFSVGTRQGKTIPILKDCSLHIPSGQFWMLLGPNGCGKSTLLKILAGLLNPTDGTVHVKRPRSFVFQNPDHQVVMPTVEADVAFGLGKFNLTPDVVKYRVSKALDAVSMLNYMQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDENDQIGVIRAVRNSVDSSREVTALWVTHRLEELEYADGAVYMEDGRVVMHGNATDIMNFITTRQSAYIKEINY >ONH89858 pep chromosome:Prunus_persica_NCBIv2:G8:1845219:1847904:-1 gene:PRUPE_8G020300 transcript:ONH89858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPSNLIHPSKPFVSPLYSTPRVRTNFTENIAIEGRNLSFSVGTRQGKTIPILKDCSLHIPSGQFWMLLGPNGCGKSTLLKILAGLLNPTDGTVHVKRPRSFVFQNPDHQVVMPTVEADVAFGLGKFNLTPDVVKYRVSKALDAVSMLNYMQRSVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDENDQIGVIRAVRNSVDSSREVTALWVTHRLEELEYADGAVYMEDGRVVMHGNATDIMNFITTRQSAYIKEINY >ONH89852 pep chromosome:Prunus_persica_NCBIv2:G8:1844358:1848839:-1 gene:PRUPE_8G020300 transcript:ONH89852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFTENIAIEGRNLSFSVGTRQGKTIPILKDCSLHIPSGQFWMLLGPNGCGKSTLLKILAGLLNPTDGTVHVKRPRSFVFQNPDHQVVMPTVEADVAFGLGKFNLTPDVVKYRVSKALDAVSMLNYMQIGVIRAVRNSVDSSREVTALWVTHRLEELEYADGAVYMEDGRVVMHGNATDIMNFITTRQSAYIKEINY >ONH89854 pep chromosome:Prunus_persica_NCBIv2:G8:1844358:1848864:-1 gene:PRUPE_8G020300 transcript:ONH89854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFTENIAIEGRNLSFSVGTRQGKTIPILKDCSLHIPSGQFWMLLGPNGCGKSTLLKILAGLLNPTDGTVHVKRPRSFVFQNPDHQVVMPTVEADVAFGLGKFNLTPDVVKYRVSKALDAVSMLNYMQRSVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDENDQIGVIRAVRNSVDSSREVTALWVTHRLEELEYADGAVYMEDGRVVMHGNATDIMNFITTRQSAYIKEINY >ONH89859 pep chromosome:Prunus_persica_NCBIv2:G8:1845219:1847904:-1 gene:PRUPE_8G020300 transcript:ONH89859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPSNLIHPSKPFVSPLYSTPRVRTNFTENIAIEGRNLSFSVGTRQGKTIPILKDCSLHIPSGQFWMLLGPNGCGKSTLLKILAGLLNPTDGTVHVKRPRSFVFQNPDHQVVMPTVEADVAFGLGKFNLTPDVVKYRVSKALDAVSMLNYMQRSVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDENDQIGVIRAVRNSVDSSREVTALWVTHRLEELEYADGAVYMEDGRVVMHGNATDIMNFITTRQSAYIKEINY >ONH89856 pep chromosome:Prunus_persica_NCBIv2:G8:1845219:1847904:-1 gene:PRUPE_8G020300 transcript:ONH89856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPSNLIHPSKPFVSPLYSTPRVRTNFTENIAIEGRNLSFSVGTRQGKTIPILKDCSLHIPSGQFWMLLGPNGCGKSTLLKILAGLLNPTDGTVHVKRPRSFVFQNPDHQVVMPTVEADVAFGLGKFNLTPDVVKYRVSKALDAVSMLNYMQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDENDQIGVIRAVRNSVDSSREVTALWVTHRLEELEYADGAVYMEDGRVVMHGNATDIMNFITTRQSAYIKEINY >ONH89861 pep chromosome:Prunus_persica_NCBIv2:G8:1846318:1848839:-1 gene:PRUPE_8G020300 transcript:ONH89861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPSNLIHPSKPFVSPLYSTPRVRTNFTENIAIEGRNLSFSVGTRQGKTIPILKDCSLHIPSGQFWMLLGPNGCGKSTLLKILAGLLNPTDGTVHVKRPRSFVFQNPDHQVVMPTVEADVAFGLGKFNLTPDVVKYRVSKALDAVSMLNYMQVM >ONH89855 pep chromosome:Prunus_persica_NCBIv2:G8:1844358:1848839:-1 gene:PRUPE_8G020300 transcript:ONH89855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPSNLIHPSKPFVSPLYSTPRVRTNFTENIAIEGRNLSFSVGTRQGKTIPILKDCSLHIPSGQFWMLLGPNGCGKSTLLKILAGLLNPTDGTVHVKRPRSFVFQNPDHQVVMPTVEADVAFGLGKFNLTPDVVKYRVSKALDAVSMLNYMQIGVIRAVRNSVDSSREVTALWVTHRLEELEYADGAVYMEDGRVVMHGNATDIMNFITTRQSAYIKEINY >ONH89860 pep chromosome:Prunus_persica_NCBIv2:G8:1844358:1847999:-1 gene:PRUPE_8G020300 transcript:ONH89860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPSNLIHPSKPFVSPLYSTPRVRTNFTENIAIEGRNLSFSVGTRQGKTIPILKDCSLHIPSGQFWMLLGPNGCGKSTLLKILAGLLNPTDGTVHVKRPRSFVFQNPDHQVVMPTVEADVAFGLGKFNLTPDVVKYRVSKALDAVSMLNYMQRSVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDENDQIGVIRAVRNSVDSSREVTALWVTHRLEELEYADGAVYMEDGRVVMHGNATDIMNFITTRQSAYIKEINY >ONH93008 pep chromosome:Prunus_persica_NCBIv2:G8:19382721:19385722:-1 gene:PRUPE_8G207700 transcript:ONH93008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKQVQVHHHQDLHAGDLHHHHMKDANIPISDGFGGGGAMEELEDQEDNLGVDPSEMWLDDNDQETALLADVNDPSIFYNDHFPPLPDFPCMSSSSSSSSTPAPVKSATSSSTSSSVSSSSSAASWAILRSDAEEDGERRHHHNSYNHLHQYSQGDDQAVDAHALSSTASMEISQPSDLSREGGAMDCMGAMETFGYMDLFESNEFFDPSSIFQSDSLLMEQFQQDDDHQQLLAPHQLQDPNEATAIIPQQQQEQEVAVRDEENNKEDDQNENKEPDDMAMVFLEWLRSNRETVSAEDLRSVKIKKSTIECAARRLGGGKEAMKQLLKLVLEWVQTNHLQKRRSNSLTTKDADIVAQDPFQNPNPNTSPRVLESNPSCSFTQTPWMAPPPHAAYDQAGGILVPTPPPAAYPSMMGYIAPDQYVNGPGPYQPSPEYHHMIDSGQPTWPSSPFGMGTAHYGSFPDNNIHLAPPPQHHPQAFAGYGSQYQPYQYFPLNGEHQLMRLGSSATKEARKKRMARQRRLVSHHRHGHQQQQHLNAQMPDHLLHQQHTRLVGNAADLNCTNTVPLQANPGNWFYWPTATAAPSQSPAMMPSITQEAAPPPPLQQMDRPASTQAQNYNQGRSSAAQERQERRQGWKSEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISIPMEDIGTSHVWNMRYSFRYWPNNKSRMYLLENTGDFVRANGLQEGDFIVIYSDVKCNKYMIRGVKVRHAGAKSEGNKRPGKSQRNQHASTPAGTNGSSPSSASASATHKKQ >ONH93005 pep chromosome:Prunus_persica_NCBIv2:G8:19382215:19386377:-1 gene:PRUPE_8G207700 transcript:ONH93005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKQVQVHHHQDLHAGDLHHHHMKDANIPISDGFGGGGAMEELEDQEDNLGVDPSEMWLDDNDQETALLADVNDPSIFYNDHFPPLPDFPCMSSSSSSSSTPAPVKSATSSSTSSSVSSSSSAASWAILRSDAEEDGERRHHHNSYNHLHQYSQGDDQAVDAHALSSTASMEISQPSDLSREGGAMDCMGAMETFGYMDLFESNEFFDPSSIFQSDSLLMEQFQQDDDHQQLLAPHQLQDPNEATAIIPQQQQEQEVAVRDEENNKEDDQNENKEPDDMAMVFLEWLRSNRETVSAEDLRSVKIKKSTIECAARRLGGGKEAMKQLLKLVLEWVQTNHLQKRRSNSLTTKDADIVAQDPFQNPNPNTSPRVLESNPSCSFTQTPWMAPPPHAAYDQAGGILVPTPPPAAYPSMMGYIAPDQYVNGPGPYQPSPEYHHMIDSGQPTWPSSPFGMGTAHYGSFPDNNIHLAPPPQHHPQAFAGYGSQYQPYQYFPLNGEHQLMRLGSSATKEARKKRMARQRRLVSHHRHGHQQQQHLNAQMPDHLLHQQHTRLVGNAADLNCTNTVPLQANPGNWFYWPTATAAPSQSPAMMPSITQEAAPPPPLQQMDRPASTQAQNYNQGRSSAAQERQERRQGWKSEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISIPMEDIGTSHVWNMRYRYWPNNKSRMYLLENTGDFVRANGLQEGDFIVIYSDVKCNKYMIRGVKVRHAGAKSEGNKRPGKSQRNQHASTPAGTNGSSPSSASASATHKKQ >ONH93009 pep chromosome:Prunus_persica_NCBIv2:G8:19382215:19386377:-1 gene:PRUPE_8G207700 transcript:ONH93009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDANIPISDGFGGGGAMEELEDQEDNLGVDPSEMWLDDNDQETALLADVNDPSIFYNDHFPPLPDFPCMSSSSSSSSTPAPVKSATSSSTSSSVSSSSSAASWAILRSDAEEDGERRHHHNSYNHLHQYSQGDDQAVDAHALSSTASMEISQPSDLSREGGAMDCMGAMETFGYMDLFESNEFFDPSSIFQSDSLLMEQFQQDDDHQQLLAPHQLQDPNEATAIIPQQQQEQEVAVRDEENNKEDDQNENKEPDDMAMVFLEWLRSNRETVSAEDLRSVKIKKSTIECAARRLGGGKEAMKQLLKLVLEWVQTNHLQKRRSNSLTTKDADIVAQDPFQNPNPNTSPRVLESNPSCSFTQTPWMAPPPHAAYDQAGGILVPTPPPAAYPSMMGYIAPDQYVNGPGPYQPSPEYHHMIDSGQPTWPSSPFGMGTAHYGSFPDNNIHLAPPPQHHPQAFAGYGSQYQPYQYFPLNGEHQLMRLGSSATKEARKKRMARQRRLVSHHRHGHQQQQHLNAQMPDHLLHQQHTRLVGNAADLNCTNTVPLQANPGNWFYWPTATAAPSQSPAMMPSITQEAAPPPPLQQMDRPASTQAQNYNQGRSSAAQERQERRQGWKSEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISIPMEDIGTSHVWNMRYRYWPNNKSRMYLLENTGDFVRANGLQEGDFIVIYSDVKCNKYMIRGVKVRHAGAKSEGNKRPGKSQRNQHASTPAGTNGSSPSSASASATHKKQ >ONH93006 pep chromosome:Prunus_persica_NCBIv2:G8:19382215:19386047:-1 gene:PRUPE_8G207700 transcript:ONH93006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKQVQVHHHQDLHAGDLHHHHMKDANIPISDGFGGGGAMEELEDQEDNLGVDPSEMWLDDNDQETALLADVNDPSIFYNDHFPPLPDFPCMSSSSSSSSTPAPVKSATSSSTSSSVSSSSSAASWAILRSDAEEDGERRHHHNSYNHLHQYSQGDDQAVDAHALSSTASMEISQPSDLSREGGAMDCMGAMETFGYMDLFESNEFFDPSSIFQSDSLLMEQFQQDDDHQQLLAPHQLQDPNEATAIIPQQQQEQEVAVRDEENNKEDDQNENKEPDDMAMVFLEWLRSNRETVSAEDLRSVKIKKSTIECAARRLGGGKEAMKQLLKLVLEWVQTNHLQKRRSNSLTTKDADIVAQDPFQNPNPNTSPRVLESNPSCSFTQTPWMAPPPHAAYDQAGGILVPTPPPAAYPSMMGYIAPDQYVNGPGPYQPSPEYHHMIDSGQPTWPSSPFGMGTAHYGSFPDNNIHLAPPPQHHPQAFAGYGSQYQPYQYFPLNGEHQLMRLGSSATKEARKKRMARQRRLVSHHRHGHQQQQHLNAQMPDHLLHQQHTRLVGNAADLNCTNTVPLQANPGNWFYWPTATAAPSQSPAMMPSITQEAAPPPPLQQMDRPASTQAQNYNQGRSSAAQERQERRQGWKSEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISIPMEDIGTSHVWNMRYRYWPNNKSRMYLLENTGDFVRANGLQEGDFIVIYSDVKCNKYMIRGVKVRHAGAKSEGNKRPGKSQRNQHASTPAGTNGSSPSSASASATHKKQ >ONH93010 pep chromosome:Prunus_persica_NCBIv2:G8:19382721:19385653:-1 gene:PRUPE_8G207700 transcript:ONH93010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDANIPISDGFGGGGAMEELEDQEDNLGVDPSEMWLDDNDQETALLADVNDPSIFYNDHFPPLPDFPCMSSSSSSSSTPAPVKSATSSSTSSSVSSSSSAASWAILRSDAEEDGERRHHHNSYNHLHQYSQGDDQAVDAHALSSTASMEISQPSDLSREGGAMDCMGAMETFGYMDLFESNEFFDPSSIFQSDSLLMEQFQQDDDHQQLLAPHQLQDPNEATAIIPQQQQEQEVAVRDEENNKEDDQNENKEPDDMAMVFLEWLRSNRETVSAEDLRSVKIKKSTIECAARRLGGGKEAMKQLLKLVLEWVQTNHLQKRRSNSLTTKDADIVAQDPFQNPNPNTSPRVLESNPSCSFTQTPWMAPPPHAAYDQAGGILVPTPPPAAYPSMMGYIAPDQYVNGPGPYQPSPEYHHMIDSGQPTWPSSPFGMGTAHYGSFPDNNIHLAPPPQHHPQAFAGYGSQYQPYQYFPLNGEHQLMRLGSSATKEARKKRMARQRRLVSHHRHGHQQQQHLNAQMPDHLLHQQHTRLVGNAADLNCTNTVPLQANPGNWFYWPTATAAPSQSPAMMPSITQEAAPPPPLQQMDRPASTQAQNYNQGRSSAAQERQERRQGWKSEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISIPMEDIGTSHVWNMRYSFRYWPNNKSRMYLLENTGDFVRANGLQEGDFIVIYSDVKCNKYMIRGVKVRHAGAKSEGNKRPGKSQRNQHASTPAGTNGSSPSSASASATHKKQ >ONH93007 pep chromosome:Prunus_persica_NCBIv2:G8:19382721:19385722:-1 gene:PRUPE_8G207700 transcript:ONH93007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKQVQVHHHQDLHAGDLHHHHMKDANIPISDGFGGGGAMEELEDQEDNLGVDPSEMWLDDNDQETALLADVNDPSIFYNDHFPPLPDFPCMSSSSSSSSTPAPVKSATSSSTSSSVSSSSSAASWAILRSDAEEDGERRHHHNSYNHLHQYSQGDDQAVDAHALSSTASMEISQPSDLSREGGAMDCMGAMETFGYMDLFESNEFFDPSSIFQSDSLLMEQFQQDDDHQQLLAPHQLQDPNEATAIIPQQQQEQEVAVRDEENNKEDDQNENKEPDDMAMVFLEWLRSNRETVSAEDLRSVKIKKSTIECAARRLGGGKEAMKQLLKLVLEWVQTNHLQKRRSNSLTTKDADIVAQDPFQNPNPNTSPRVLESNPSCSFTQTPWMAPPPHAAYDQAGGILVPTPPPAAYPSMMGYIAPDQYVNGPGPYQPSPEYHHMIDSGQPTWPSSPFGMGTAHYGSFPDNNIHLAPPPQHHPQAFAGYGSQYQPYQYFPLNGEHQLMRLGSSATKEARKKRMARQRRLVSHHRHGHQQQQHLNAQMPDHLLHQQHTRLVGNAADLNCTNTVPLQANPGNWFYWPTATAAPSQSPAMMPSITQEAAPPPPLQQMDRPASTQAQNYNQGRSSAAQERQERRQGWKSEKNLKFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISIPMEDIGTSHVWNMRYSFRYWPNNKSRMYLLENTGDFVRANGLQEGDFIVIYSDVKCNKYMIRGVKVRHAGAKSEGNKRPGKSQRNQHASTPAGTNGSSPSSASASATHKKQ >ONH93645 pep chromosome:Prunus_persica_NCBIv2:G8:21255468:21257964:-1 gene:PRUPE_8G243800 transcript:ONH93645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKTRTISSYLHNHTTIFTSHTNPETHLQVRFISSLKVVWRKDRKLDQAIKNDKQYKLCARVVKEVLNEPGKAIPLRYLEKRRERLRLNIKVKTFLSRNPGLFDIYYDRIKPKTEPVKFLRISDRLQQILEEGNGIVMENEPLIVSKLCKLLMMSKDKVLSADKLVHVKREFGFPNDFMVNLVPKYPQYFRILGFPGEEKSFLELVSWNPEFAKSVIERRAEEESNLTGIRVRPNFYYKLPSGFFLKREMREWVRDWMELDYISPYKDVSQLDQASQEMEKRTVGVFHELLSLSIFKRIPVPILGKFSDEYRFSNAFSNVFTRHSGIFYLSLKGGIKTAVLREAYKDDKMIDCDPLLEIKDKFVELLEEGWRERKEQLQLQMEEVKKDKERMAMGNKE >ONH91733 pep chromosome:Prunus_persica_NCBIv2:G8:15517832:15519229:-1 gene:PRUPE_8G134000 transcript:ONH91733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAISRLAKRFFPKNGVRILMVGLDASGKTTILYKLKLGEIVTTIPTIGFNVETIEYKNITFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSSDRERIAEARSELHHILSESELSNATLLVLANKQDLPNAMCTSEIADKLALHLLGLRYWYIQSTSATSGQGLYEGLDWLSNNIITDKT >ONH91732 pep chromosome:Prunus_persica_NCBIv2:G8:15517541:15519376:-1 gene:PRUPE_8G134000 transcript:ONH91732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAISRLAKRFFPKNGVRILMVGLDASGKTTILYKLKLGEIVTTIPTIGFNVETIEYKNITFTVWDVGGQDKNTQGLIFVVDSSDRERIAEARSELHHILSESELSNATLLVLANKQDLPNAMCTSEIADKLALHLLGLRYWYIQSTSATSGQGLYEGLDWLSNNIITDKT >ONH93779 pep chromosome:Prunus_persica_NCBIv2:G8:21632170:21635092:-1 gene:PRUPE_8G251900 transcript:ONH93779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSHTEAQTSDFPSHPSGNKLKCVDEDEEQQLQFQDTVPFEDTFVLDSQSMEETQLLDHSDFGDETGTGMHEYEEEVVLDSEDEEVNGSRILTVKAHVLSNQKLSLHHLQCGPGGKDEGSPPLTRLNYIGSQEPGGESSQANALDFVDHFVSLNDDLGGSPGIDHRKTIKEKSPPVSRTKGSQRLARMVKLESQIERAKTFEWVDPPEEHGGVYLFNKKIQSSIDFVDCNQRSIVGHQKFRLVDDNICISSGGESKERKIIQSLHKEITESEEKIENEIVNDLEEQLDTKLTGLQSEASAIGTDIVDMFDVGFNTQIAAEAMQALAYGDHLDCNSADAYQGMENTADVVSGGATKNTALLEHPSLPKSDFPKIKAINMNAKRRKRSTRTVKRRFASSQKQSQSRELDPDLAAQTTVKRSRFFSEYSSNSADANGNLCRQSPKPTKNRKLERATGESNLRVLENNLSSSISAGRSLLGKGQSQGHCKNYSSVSNQTRQSKSGGKLLGTEDGPNNPGERMNNVMEDGIIKYRRKKRCLNAHPSKTIRGVEGGSNEPVEGTNNVKKDDIITYRRKKRISAKENCPKLCVSSEGDRDINASSLILDLWSHPKGKRTRLNARGNSRRTTVFFTPFSIVDGKDSCSPCDEMPESCNDIKGKAQSLLLYCCPPQHSSNKDSDRTLPALQSGKLDSTDPTSLVDVKSQISVVLSGSEHTAPSNSTTGINVGSSKYLSHDYHRKPFNKNLPKSSLLKELIGLGIPESIMDCTWKDLRRRRNMAYVRVLFSQHLDNDIIKQQKKVDFDFA >ONH93778 pep chromosome:Prunus_persica_NCBIv2:G8:21630381:21635092:-1 gene:PRUPE_8G251900 transcript:ONH93778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSHTEAQTSDFPSHPSGNKLKCVDEDEEQQLQFQDTVPFEDTFVLDSQSMEETQLLDHSDFGDETGTGMHEYEEEVVLDSEDEEVNGSRILTVKAHVLSNQKLSLHHLQCGPGGKDEGSPPLTRLNYIGSQEPGGESSQANALDFVDHFVSLNDDLGGSPGIDHRKTIKEKSPPVSRTKGSQRLARMVKLESQIERAKTFEWVDPPEEHGGVYLFNKKIQSSIDFVDCNQRSIVGHQKFRLVDDNICISSGGESKERKIIQSLHKEITESEEKIENEIVNDLEEQLDTKLTGLQSEASAIGTDIVDMFDVGFNTQIAAEAMQALAYGDHLDCNSADAYQGMENTADVVSGGATKNTALLEHPSLPKSDFPKIKAINMNAKRRKRSTRTVKRRFASSQKQSQSRELDPDLAAQTTVKRSRFFSEYSSNSADANGNLCRQSPKPTKNRKLERATGESNLRVLENNLSSSISAGRSLLGKGQSQGHCKNYSSVSNQTRQSKSGGKLLGTEDGPNNPGERMNNVMEDGIIKYRRKKRCLNAHPSKTIRGVEGGSNEPVEGTNNVKKDDIITYRRKKRISAKENCPKLCVSSEGDRDINASSLILDLWSHPKGKRTRLNARGNSRRTTVFFTPFSIVDGKDSCSPCDEMPESCNDIKGKAQSLLLYCCPPQHSSNKDSDRTLPALQSGKLDSTDPTSLVDVKSQISVVLSGSEHTAPSNSTTGINVGSSKYLSHDYHRKPFNKNLPKSSLLKELIGLGIPESIMDCTWKDLRRRRNMAYVRVLFSQHLDNDIIKQQKKVIARLGLSIASCSIDATHFVADQFARTRNMLEFIALGKPVVTHLWLESCGQANCLISEKSYILRDAKKEKEIGFSMLVSLDFAKRCPLLKGEKVFITPNIKPDREMMISLVKAVQGQPVEKVQIPAAKDKKSLEDLLILSCEEDRAICLPLLEKGAAVYSSELVLNGIVIQRLEYKRHRLFTALGKRNRP >ONH93610 pep chromosome:Prunus_persica_NCBIv2:G8:21179608:21180094:1 gene:PRUPE_8G242200 transcript:ONH93610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKTKLPRFLTCLRKSRSSCTFKSGFVFFQTKLHNPKNVIGVWVCVYTESIGALKTGGFDILAWAKWDANIRC >ONH93401 pep chromosome:Prunus_persica_NCBIv2:G8:20529423:20532213:1 gene:PRUPE_8G229700 transcript:ONH93401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIESYGVKCMSMGLLVAKDASLVWRGPMVSSALEQMTRGVDWGNLDVLVVDMPPGTGDAHITVSQRLQLSGALIVSTPQDVALMDARRGINMFSKVEVPILGIVENMSCFKCPNCAERWFIFGEGGSRKTAAEMGVDFAGEIPLEVGIRQGSDDGVPIVISAPDSDVSKAYVDMAQKVVDRLEELSKEEQSRPQFNL >ONH93400 pep chromosome:Prunus_persica_NCBIv2:G8:20527683:20532213:1 gene:PRUPE_8G229700 transcript:ONH93400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSSALEQMTRGVDWGNLDVLVVDMPPGTGDAHITVSQRLQLSGALIVSTPQDVALMDARRGINMFSKVEVPILGIVENMSCFKCPNCAERWFIFGEGGSRKTAAEMGVDFAGEIPLEVGIRQGSDDGVPIVISAPDSDVSKAYVDMAQKVVDRLEELSKEEQSRPQFNL >ONH93399 pep chromosome:Prunus_persica_NCBIv2:G8:20527683:20532213:1 gene:PRUPE_8G229700 transcript:ONH93399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLLDADVYGPNVPIMMKLDKMPEVNEDKKMVPIESYGVKCMSMGLLVAKDASLVWRGPMVSSALEQMTRGVDWGNLDVLVVDMPPGTGDAHITVSQRLQLSGALIVSTPQDVALMDARRGINMFSKVEVPILGIVENMSCFKCPNCAERWFIFGEGGSRKTAAEMGVDFAGEIPLEVGIRQGSDDGVPIVISAPDSDVSKAYVDMAQKVVDRLEELSKEEQSRPQFNL >ONH93398 pep chromosome:Prunus_persica_NCBIv2:G8:20527683:20532213:1 gene:PRUPE_8G229700 transcript:ONH93398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELLRSFTKLGGVRGYSAGRKDLRIKGVKHTIAVASGKGGVGKSTTAVNLAVALANKCQMKVGLLDADVYGPNVPIMMKLDKMPEVNEDKKMVPIESYGVKCMSMGLLVAKDASLVWRGPMVSSALEQMTRGVDWGNLDVLVVDMPPGTGDAHITVSQRLQLSGALIVSTPQDVALMDARRGINMFSKVEVPILGIVENMSCFKCPNCAERWFIFGEGGSRKTAAEMGVDFAGEIPLEVGIRQGSDDGVPIVISAPDSDVSKAYVDMAQKVVDRLEELSKEEQSRPQFNL >ONH91338 pep chromosome:Prunus_persica_NCBIv2:G8:13830924:13831361:-1 gene:PRUPE_8G107400 transcript:ONH91338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNICASSQFISEGAKLIRPSTTKIVHLDGGLQELRRPVVSSHILSQNPNCFLCTSESMYVGSCAPQVPKDEELQLGQIYFVMPLSQSKSPLSLQDLCSLAIKASAALANHSEAAHLVSSKRPPTGVDMVGMSSKEGRGNQRIEL >ONH90653 pep chromosome:Prunus_persica_NCBIv2:G8:9880648:9883376:1 gene:PRUPE_8G066900 transcript:ONH90653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDPKFNIHYSSQVPHDQPAKLMTSIKKLVESPGITFIPPTYTYTNNPHDDLAALIDTESTIPTVDFSLLTSGTPEQRSQAIHDLGKACQDWGFFLVINHGVPESLMKAILEGVQGFFDLTEEEKHEFEGKHVLDPIRCGTSFNASVEKVMFWRDFLKVFVYPEFHFPTKPAGFSELALEYCKRTRQVTRELLKGISESLGLEASDLEKFLNVESGLQIFIANLYPPCPQPELALGMPPHSDHGLLTLLIQNGINGLQVQHKGKWVNVNAMPNSFLVNTGDHLEIFSNGKYRSNIHRAVLTNKATRISLATPTGPSLETVVTPAPELINNENRKPAYIGMKYKDYLELQQSSMLDGKSILDRVRVSNSE >ONH91274 pep chromosome:Prunus_persica_NCBIv2:G8:13420700:13421002:-1 gene:PRUPE_8G103000 transcript:ONH91274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYKKLVVIVALFLALAIGPEANEQVQVTFCRMTKGGLNACAPSVSGLNPLPPSALCCSALSIADFQCLCFFKNYSNLLTSYGIDPNLAMQLPAKCNLR >ONH90323 pep chromosome:Prunus_persica_NCBIv2:G8:4883883:4888950:1 gene:PRUPE_8G046300 transcript:ONH90323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAETPIRFGILGCAEIARKVSRAITVAQNATLYAVGSRSIDKATAFAKANNFPANAKIYGSYDAVLDDPDVDAVYVPLPTSLHVKWAVLAAQKKKHILLEKPVALNVAEFDKIAEACESSGVQIMDGTMWMHHPRTAKMSEFLSDPNRFGQLRSIHTVFTFASDPDFLKNDIRVNPDLDAHGALGDAGWYCMRGILWVSNFELPKTVIALRGPIFNEVGVILSCGASLHWEDGKVATFHCSFLSSLTMEITAVGTKGTLHVDGFVIPHQEKEACFSFASETGFDEFVTSWVPPPSQHIVTTDLPQEVLMVREFSRLVGTIKNGSKPEKKWPTLSRKTQIVLDAVKASIDKGFEPIDVKY >ONH91669 pep chromosome:Prunus_persica_NCBIv2:G8:15225430:15226962:-1 gene:PRUPE_8G128700 transcript:ONH91669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQLLTLASLVLLPLLFFFVFRASSQPNPKTSNNTKVLKSYPIIGSFITLYKNHERRLPWFTDLLRSSPSNTLTIHHSLGKHFVVTGNPTVVQHILKTHFPIYEKGNTFRTTLTDLLGDGIFNADGDNWKFQRQVSSHEFNTKSLRKFVEQVVDTELSDRLIPILSAAATNNLILDFQDILQRFAFDNICRIAFGYDPAYLLPTLPEAKFAVAFDDAVQISGDRFSSFSQVWKLKRFLGIGSEKRLRAAVSEVREFANTIVREKKRELSEKKALESVDLLSRFLGSGHSDEKFVTDIVISFTLAGRDTTSAALTWFFWLLSQNPHVEDEILKEISRTTELESATGGYDEVMEMVYTHAALCESMRLYPPVPGNSKKAMKDDVLPDGTKVKKGMVVTYNVYSMGRMEEIWGEDWAEYRPERWLEEREENGAHKWKFVGRDSFSYPVFQAGPRICLGKEMAFLQMKRVVSAVLKSFKLVPVEREGGAQPEFVAYLTGKMKGGFPVTIVERA >ONH92568 pep chromosome:Prunus_persica_NCBIv2:G8:18154229:18155951:-1 gene:PRUPE_8G181300 transcript:ONH92568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEGRSLHHRAKNSAVFDVMSFGARADGRTDDSNAFMAAWKEACQSTGRAHITIPKGTYIIGPLKFSGPCLNVSSLTIRGYLKATTDLRKYGFGGSWLEFGWMEGLTLTGGGTFDGRGARAWPHNKCPTDSNCKLLPTSLKFVAMNKTVIRGLTSVNSKFFHIALVECHNFKGSRLKISAPETSPNTDGIHIERSSSVYFSLSHIATGDDCISVGQGNSQITITSITCGPGHGISVGSLGKYPDERDVSGLVVKDCTMTGTTNGIRIKTWANSPGNSAATNMTFENIVMNNVTNPIIIDQLYCPFTSCTSKAPSRVKLSDIYFKNIRGTSSSEVAVALECSKGIPCQNIYLEDVHLDLSSGEKQATSSCSNVKAKFSGTQIPPPCT >ONH90708 pep chromosome:Prunus_persica_NCBIv2:G8:10338123:10338837:1 gene:PRUPE_8G070400 transcript:ONH90708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRCISGLHPYQFCRYLLDIYLMGYISNAHSTDWCTCKHVDSKAITQALECNRIDKALLLTCFQKMVFCQCMYLTSTIPSMFYFSYYKLKLLL >ONH92937 pep chromosome:Prunus_persica_NCBIv2:G8:19137559:19140101:1 gene:PRUPE_8G203600 transcript:ONH92937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGHKVTLNVYDLSQGLARQMSMAFLGKAIEGIWHTGVVVYGNEYYFGGGIQHASAGSTPYGTPIRVVELGVTHVPKDVFEMYLQEISPRYTAETYSLLNHNCNNFSNEVAQFLVGATVPDYILELPNEVMGSPMGALILPMIQNLEATLKSGAAPQAPQFRPPVVQPTTNVMKPLSSSSNTEVGAKSKSGGQQLTKSEERGTSQSAVKPANGVVDPLGDARSKVQEEISAEFAAIMASGTLRASEAAALATRRVMQRYGHESSTVSQS >ONH92938 pep chromosome:Prunus_persica_NCBIv2:G8:19137824:19140101:1 gene:PRUPE_8G203600 transcript:ONH92938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGHKVTLNVYDLSQGLARQMSMAFLGKAIEGIWHTGVVVYGNEYYFGGGIQHASAGSTPYGTPIRVVELGVTHVPKDVFEMYLQEISPRYTAETYSLLNHNCNNFSNEVAQFLVGATVPDYILELPNEVMGSPMGALILPMIQNLEATLKSGAAPQAPQFRPPVVQPTTNVMKPLSSSSNTEVGAKSKSGGQQLTKSEERGTSQSAVKPANGVVDPLGDARSKVQEEISAEFAAIMASGTLRASEAAALATRRVMQRYGHESSTVSQS >ONH92939 pep chromosome:Prunus_persica_NCBIv2:G8:19137706:19140101:1 gene:PRUPE_8G203600 transcript:ONH92939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGHKVTLNVYDLSQGLARQMSMAFLGKAIEGIWHTGVVVYGNEYYFGGGIQHASAGSTPYGTPIRVVELGVTHVPKDVFEMYLQEISPRYTAETYSLLNHNCNNFSNEVAQFLVGATVPDYILELPNEVMGSPMGALILPMIQNLEATLKSGAAPQAPQFRPPVVQPTTNVMKPLSSSSNTEVGAKSKSGGQQLTKSEERGTSQSAVKPANGVVDPLGDARSKVQEEISAEFAAIMASGTLRASEAAALATRRVMQRYGHESSTVSQS >ONH89896 pep chromosome:Prunus_persica_NCBIv2:G8:2027591:2031563:-1 gene:PRUPE_8G022600 transcript:ONH89896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMVIVCQQCGDRGFEDALIYCEQCQNYAIHLYCLDVPAENIFDEDITWLCVDCEPKIIKPSTIDKSVRSTKNHHNKKLKKRRRKKNRLTFPKSVAKKAQICEGDKMILQIREGSPCEHEAEGSNNCDSGQNVGNPCREVLEYQFNSFHDVLEFVKTSQIITSDALQIIEHNSYVAAQPIIDPIWRGSLSIFNKDFNIVSGLVAHLSSLACPKVREEAELLPLLIFPELVRRTDVWPKAFEKLGPSDRSIALYFFPDNERDEKDFDSLVASMIQDDLAMKAILDNAELLVFTSTILPEQYQRFQTKIYLWGVFRAKKFPELTNGNAPGGEKDVALPLTLTCYGQSPVSTLSNNVDISCDPEPLNSYCS >ONH89894 pep chromosome:Prunus_persica_NCBIv2:G8:2027453:2031920:-1 gene:PRUPE_8G022600 transcript:ONH89894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMVIVCQQCGDRGFEDALIYCEQCQNYAIHLYCLDVPAENIFDEDITWLCVDCEPKIIKPSTIDKSVRSTKNHHNKKLKKRRRKKNRLTFPKSVAKKAQICEGDKMILQIREGSPCEHEAEGSNNCDSGQNVGNPCREVLEYQFNSFHDVLEFVKTSQIITSDALQIIEHNSYVAAQPIIDPIWRGSLSIFNKDFNIVSGLVAHLSSLACPKVREEAELLPLLIFPELVRRTDVWPKAFEKLGPSDRSIALYFFPDNERDEKDFDSLVASMIQDDLAMKAILDNAELLVFTSTILPEQYQRFQTKIYLWGVFRAKKFPELTNGNAPGGEKDVALPLTLTCYGQSPVSTLSNNVDISCDPEPLNSYCS >ONH89900 pep chromosome:Prunus_persica_NCBIv2:G8:2027317:2031947:-1 gene:PRUPE_8G022600 transcript:ONH89900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQIREGSPCEHEAEGSNNCDSGQNVGNPCREVLEYQFNSFHDVLEFVKTSQIITSDALQIIEHNSYVAAQPIIDPIWRGSLSIFNKDFNIVSGLVAHLSSLACPKVREEAELLPLLIFPELVRRTDVWPKAFEKLGPSDRSIALYFFPDNERDEKDFDSLVASMIQDDLAMKAILDNAELLVFTSTILPEQYQRFQTKIYLWGVFRAKKFPELTNGNAPGGEKDVALPLTLTCYGQSPVSTLSNNVDISCDPEPLNSYCS >ONH89895 pep chromosome:Prunus_persica_NCBIv2:G8:2027591:2031563:-1 gene:PRUPE_8G022600 transcript:ONH89895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMVIVCQQCGDRGFEDALIYCEQCQNYAIHLYCLDVPAENIFDEDITWLCVDCEPKIIKPSTIDKSVRSTKNHHNKKLKKRRRKKNRLTFPKSVAKKAQICEGDKMILQIREGSPCEHEAEGSNNCDSGQNVGNPCREVLEYQFNSFHDVLEFVKTSQIITSDALQIIEHNSYVAAQPIIDPIWRGSLSIFNKDFNIVSGLVAHLSSLACPKVREEAELLPLLIFPELVRRTDVWPKAFEKLGPSDRSIALYFFPDNERDEKDFDSLVASMIQDDLAMKAILDNAELLVFTSTILPEQYQRFQTKIYLWGVFRAKKFPELTNGNAPGGEKDVALPLTLTCYGQSPVSTLSNNVDISCDPEPLNSYCS >ONH89897 pep chromosome:Prunus_persica_NCBIv2:G8:2027423:2031932:-1 gene:PRUPE_8G022600 transcript:ONH89897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMVIVCQQCGDRGFEDALIYCEQCQNYAIHLYCLDVPAENIFDEDITWLCVDCEPKIIKPSTIDKSVRSTKNHHNKKLKKRRRKKNRLTFPKSVAKKAQICEGDKMILQIREGSPCEHEAEGSNNCDSGQNVGNPCREVLEYQFNSFHDVLEFVKTSQIITSDALQIIEHNSYVAAQPIIDPIWRGSLSIFNKDFNIVSGLVAHLSSLACPKVREEAELLPLLIFPELVRRTDVWPKAFEKLGPSDRSIALYFFPDNERDEKDFDSLVASMIQDDLAMKAILDNAELLVFTSTILPEQYQRFQTKIYLWGVFRAKKFPELTNGNAPGGEKDVALPLTLTCYGQSPVSTLSNNVDISCDPEPLNSYCS >ONH89899 pep chromosome:Prunus_persica_NCBIv2:G8:2027453:2032008:-1 gene:PRUPE_8G022600 transcript:ONH89899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQIREGSPCEHEAEGSNNCDSGQNVGNPCREVLEYQFNSFHDVLEFVKTSQIITSDALQIIEHNSYVAAQPIIDPIWRGSLSIFNKDFNIVSGLVAHLSSLACPKVREEAELLPLLIFPELVRRTDVWPKAFEKLGPSDRSIALYFFPDNERDEKDFDSLVASMIQDDLAMKAILDNAELLVFTSTILPEQYQRFQTKIYLWGVFRAKKFPELTNGNAPGGEKDVALPLTLTCYGQSPVSTLSNNVDISCDPEPLNSYCS >ONH89898 pep chromosome:Prunus_persica_NCBIv2:G8:2027453:2029516:-1 gene:PRUPE_8G022600 transcript:ONH89898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFWVIVCQQCGDRGFEDALIYCEQCQNYAIHLYCLDVPAENIFDEDITWLCVDCEPKIIKPSTIDKSVRSTKNHHNKKLKKRRRKKNRLTFPKSVAKKAQICEGDKMILQIREGSPCEHEAEGSNNCDSGQNVGNPCREVLEYQFNSFHDVLEFVKTSQIITSDALQIIEHNSYVAAQPIIDPIWRGSLSIFNKDFNIVSGLVAHLSSLACPKVREEAELLPLLIFPELVRRTDVWPKAFEKLGPSDRSIALYFFPDNERDEKDFDSLVASMIQDDLAMKAILDNAELLVFTSTILPEQYQRFQTKIYLWGVFRAKKFPELTNGNAPGGEKDVALPLTLTCYGQSPVSTLSNNVDISCDPEPLNSYCS >ONH90181 pep chromosome:Prunus_persica_NCBIv2:G8:3892002:3896563:1 gene:PRUPE_8G039000 transcript:ONH90181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIEDEERERHSDVNPSKPSSSTNKDASDGFETASDGELGLNGSDNDDETQQQHQVQEEQQSLSQTDDLKQKAFEQANDVKLEGNRLFGSGQYEEALSQYVLALHLAPDMPLSVELRSICHANSAICFSKLGKYEDAIKECTKALELNPSYMKALLRRAEAHEKLEHFDEAIADMKKILELDPSNDQAKKTIHRLGPLAEEKREKMKEEMIGKLKDMGNSLLGRFGMSVDNFKAVKDPNTGSYSLSFQR >ONH90182 pep chromosome:Prunus_persica_NCBIv2:G8:3892002:3896563:1 gene:PRUPE_8G039000 transcript:ONH90182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIEDEERERHSDVNPSKPSSSTNKDASDGFETASDGELGLNGSDNDDETQQQHQVQEEQQSLSQTDDLKQKAFEQANDVKLEGNRLFGSGQYEEALSQYVLALHLAPDMPLSVELRSICHANSAICFSKLGKYEDAIKECTKALELNPSYMKALLRRAEAHEKLEHFDEAIADMKKILELDPSNDQAKKTIHRLGPLAEEKREKMKEEMIGKLKDMGNSLLGRFGMSVDNFKAVKDPNTGSYSLSFQR >ONH92079 pep chromosome:Prunus_persica_NCBIv2:G8:16577313:16577787:-1 gene:PRUPE_8G153300 transcript:ONH92079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLAIRCVALVFTVVSANISKAEINGFDEEHNKARKEVGNKPLKWNTTLAQYAQEYAEKRVDDCAMDPLIGRWGGAAATKYWVTKKEFYDEKSNKCVKDECGHYLAVVWGKITKVGCGISKCKNGQNYVVCSYDPMYQPEDERPH >ONH93100 pep chromosome:Prunus_persica_NCBIv2:G8:19644948:19653777:1 gene:PRUPE_8G213500 transcript:ONH93100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKEDGEAYEEQASSPIQVLQEISEEAFKMAGETLQNMYSGNSSMPPLAPGHRRSQSEVVTTRHRRSNSLQKLKCQMQKAFKWGSNSRGDLRLAFNPEVLANQKRQWYQLHSRSKDRIKLSEPDSLFEHFIIAGLHPDVNLEAVEGAFVKRRKWEMDMINSGIVDLTLLQQRGPPIPTLEPQILFKYPPGKRLAMRLKDLAAFCFPGGVKARLMEKTPSLSDLNQVVYGQEHLGKDDLAFIFSLKVADNATLYGICLHVSEIVQRPPAILGISSPHPHSSGGLFRFLVSAPRCYCVLSRVPSFELHYEMLNSIIQEERLNRITQFASEISLHDYVPTLPKVHDEMNDHDDSPHKESFYDWMDSAIPVDSALAFTAAGAGIRSDDETPPSSLKIWEPQSPESVTASESSDFSQVRYLDKDGRKDSQCSDDYGFEAIETHSETSERIYGSYGNGHTSPEVGPSFSSRNRTLESLGSSEYLFRNGHTSPEVGTSFSSRSRTLERLGSSESLFSPARSMVSEDDDDDLFSNCEKEFGDELIMEWARENKNDLLQIVCGFHALPLPQPGSELAFQPLEHLQAIEYRRPPVTALGFDEKSFDSFEDPGVNAKLAAAEEAFALSLWTTATICRVLSLESILALVAGVLLEKQVVVVCPNLGVLSATVLSLIPMIRPFQWQSLMLPVLPGKMLDFLDAPVPFIIGIQQIPADLKRKAWDPVQVNVQKDQVKMCHLPTLPRHKDLASELGPIHARLSREGSFAKKHPVYRCNEVQVEAAGQFLDVMKNYLESLCSELRSYTITSVQSNNDRVSLLLKDSFIDSFPSKDRSFIKLFVDTQMFTVLSDSRLSSFENGDP >ONH93105 pep chromosome:Prunus_persica_NCBIv2:G8:19644952:19653777:1 gene:PRUPE_8G213500 transcript:ONH93105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKEDGEAYEEQASSPIQVLQEISEEAFKMAGETLQNMYSGNSSMPPLAPGHRRSQSEVVTTRHRRSNSLQKLKCQMQKAFKWGSNSRGDLRLAFNPEVLANQKRQWYQLHSRSKDRIKLSEPDSLFEHFIIAGLHPDVNLEAVEGAFVKRRKWEMDMINSGIVDLTLLQQRGPPIPTLEPQILFKYPPGKRLAMRLKDLAAFCFPGGVKARLMEKTPSLSDLNQVVYGQVADNATLYGICLHVSEIVQRPPAILGISSPHPHSSGGLFRFLVSAPRCYCVLSRVPSFELHYEMLNSIIQEERLNRITQFASEISLHDYVPTLPKVHDEMNDHDDSPHKESFYDWMDSAIPVDSALAFTAAGAGIRSDDETPPSSLKIWEPQSPESVTASESSDFSQVRYLDKDGRKDSQCSDDYGFEAIETHSETSERIYGSYGNGHTSPEVGPSFSSRNRTLESLGSSEYLFRNGHTSPEVGTSFSSRSRTLERLGSSESLFSPARSMVSEDDDDDLFSNCEKEFGDELIMEWARENKNDLLQIVCGFHALPLPQPGSELAFQPLEHLQAIEYRRPPVTALGFDEKSFDSFEDPGVNAKLAAAEEAFALSLWTTATICRVLSLESILALVAGVLLEKQVVVVCPNLGVLSATVLSLIPMIRPFQWQSLMLPVLPGKMLDFLDAPVPFIIGIQQIPADLKRKAWDPVQVNVQKDQVKMCHLPTLPRHKDLASELGPIHARLSREGSFAKKHPVYRCNEVQVEAAGQFLDVMKNYLESLCSELRSYTITSVQSNNDRVSLLLKDSFIDSFPSKDRSFIKLFVDTQMFTVLSDSRLSSFENGDP >ONH93107 pep chromosome:Prunus_persica_NCBIv2:G8:19645610:19652731:1 gene:PRUPE_8G213500 transcript:ONH93107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKEDGEAYEEQASSPIQVLQEISEEAFKMAGETLQNMYSGNSSMPPLAPGHRRSQSEVVTTRHRRSNSLQKLKCQMQKAFKWGSNSRGDLRLAFNPEVLANQKRQWYQLHSRSKDRIKLSEPDSLFEHFIIAGLHPDVNLEAVEGAFVKRRKWEMDMINSGIVDLTLLQQRGPPIPTLEPQILFKYPPGKRLAMRLKDLAAFCFPGGVKARLMEKTPSLSDLNQVVYGQEHLGKDDLAFIFSLKVADNATLYGICLHVSEIVQRPPAILGISSPHPHSSGGLFRFLVSAPRCYCVLSRVPSFELHYEMLNSIIQEERLNRITQFASEISLHDYVPTLPKVHDEMNDHDDSPHKESFYDWMDSAIPVDSALAFTAAGAGIRSDDETPPSSLKIWEPQSPESVTASESSDFSQVRYLDKDGRKDSQCSDDYGFEAIETHSETSERIYGSYGNGHTSPEVGPSFSSRNRTLESLGSSEYLFRNGHTSPEVGTSFSSRSRTLERLGSSESLFSPARSMVSEDDDDDLFSNCEKEFGDELIMEWARENKNDLLQIVCGFHALPLPQPGSELAFQPLEHLQAIEYRRPPVTALGFDEKSFDSFEDPGVNAKLAAAEEAFALSLWTTATICRVLSLESILALVAGVLLEKQVVVVCPNLGVLSATVLSLIPMIRPFQWQSLMLPVLPGKMLDFLDAPVPFIIGIQQIPADLKRKAWDPVQVNVQKDQVKMCHLPTLPRHKDLASELGPIHARLSREGSFAKKHPVYRSKLQVSF >ONH93108 pep chromosome:Prunus_persica_NCBIv2:G8:19644978:19652055:1 gene:PRUPE_8G213500 transcript:ONH93108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKEDGEAYEEQASSPIQVLQEISEEAFKMAGETLQNMYSGNSSMPPLAPGHRRSQSEVVTTRHRRSNSLQKLKCQMQKAFKWGSNSRGDLRLAFNPEVLANQKRQWYQLHSRSKDRIKLSEPDSLFEHFIIAGLHPDVNLEAVEGAFVKRRKWEMDMINSGIVDLTLLQQRGPPIPTLEPQILFKYPPGKRLAMRLKDLAAFCFPGGVKARLMEKTPSLSDLNQVVYGQVADNATLYGICLHVSEIVQRPPAILGISSPHPHSSGGLFRFLVSAPRCYCVLSRVPSFELHYEMLNSIIQEERLNRITQFASEISLHDYVPTLPKVHDEMNDHDDSPHKESFYDWMDSAIPVDSALAFTAAGAGIRSDDETPPSSLKIWEPQSPESVTASESSDFSQVRYLDKDGRKDSQCSDDYGFEAIETHSETSERIYGSYGNGHTSPEVGPSFSSRNRTLESLGSSEYLFRNGHTSPEVGTSFSSRSRTLERLGSSESLFSPARSMVSEDDDDDLFSNCEKEFGDELIMEWARENKNDLLQIVCGFHALPLPQPGSELAFQPLEHLQAIEYRRPPVTALGFDEKSFDSFEDPGVNAKLAAAEEAFALSLWTTATICRVLSLESILALVAGVLLEKQVVVVCPNLGVLSATVLSLIPMIRPFQWQSLMLPVLPGKMLDFLDAPVPFIIGIQQIPADLKRKAWDPVQVNVQKDQVSYFNFFPLTFFLCQI >ONH93101 pep chromosome:Prunus_persica_NCBIv2:G8:19645085:19653777:1 gene:PRUPE_8G213500 transcript:ONH93101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKEDGEAYEEQASSPIQVLQEISEEAFKMAGETLQNMYSGNSSMPPLAPGHRRSQSEVVTTRHRRSNSLQKLKCQMQKAFKWGSNSRGDLRLAFNPEVLANQKRQWYQLHSRSKDRIKLSEPDSLFEHFIIAGLHPDVNLEAVEGAFVKRRKWEMDMINSGIVDLTLLQQRGPPIPTLEPQILFKYPPGKRLAMRLKDLAAFCFPGGVKARLMEKTPSLSDLNQVVYGQEHLGKDDLAFIFSLKVADNATLYGICLHVSEIVQRPPAILGISSPHPHSSGGLFRFLVSAPRCYCVLSRVPSFELHYEMLNSIIQEERLNRITQFASEISLHDYVPTLPKVHDEMNDHDDSPHKESFYDWMDSAIPVDSALAFTAAGAGIRSDDETPPSSLKIWEPQSPESVTASESSDFSQVRYLDKDGRKDSQCSDDYGFEAIETHSETSERIYGSYGNGHTSPEVGPSFSSRNRTLESLGSSEYLFRNGHTSPEVGTSFSSRSRTLERLGSSESLFSPARSMVSEDDDDDLFSNCEKEFGDELIMEWARENKNDLLQIVCGFHALPLPQPGSELAFQPLEHLQAIEYRRPPVTALGFDEKSFDSFEDPGVNAKLAAAEEAFALSLWTTATICRVLSLESILALVAGVLLEKQVVVVCPNLGVLSATVLSLIPMIRPFQWQSLMLPVLPGKMLDFLDAPVPFIIGIQQIPADLKRKAWDPVQVNVQKDQVKMCHLPTLPRHKDLASELGPIHARLSREGSFAKKHPVYRCNEVQVEAAGQFLDVMKNYLESLCSELRSYTITSVQSNNDRVSLLLKDSFIDSFPSKDRSFIKLFVDTQMFTVLSDSRLSSFENGDP >ONH93102 pep chromosome:Prunus_persica_NCBIv2:G8:19645038:19653777:1 gene:PRUPE_8G213500 transcript:ONH93102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKEDGEAYEEQASSPIQVLQEISEEAFKMAGETLQNMYSGNSSMPPLAPGHRRSQSEVVTTRHRRSNSLQKLKCQMQKAFKWGSNSRGDLRLAFNPEVLANQKRQWYQLHSRSKDRIKLSEPDSLFEHFIIAGLHPDVNLEAVEGAFVKRRKWEMDMINSGIVDLTLLQQRGPPIPTLEPQILFKYPPGKRLAMRLKDLAAFCFPGGVKARLMEKTPSLSDLNQVVYGQEHLGKDDLAFIFSLKVADNATLYGICLHVSEIVQRPPAILGISSPHPHSSGGLFRFLVSAPRCYCVLSRVPSFELHYEMLNSIIQEERLNRITQFASEISLHDYVPTLPKVHDEMNDHDDSPHKESFYDWMDSAIPVDSALAFTAAGAGIRSDDETPPSSLKIWEPQSPESVTASESSDFSQVRYLDKDGRKDSQCSDDYGFEAIETHSETSERIYGSYGNGHTSPEVGPSFSSRNRTLESLGSSEYLFRNGHTSPEVGTSFSSRSRTLERLGSSESLFSPARSMVSEDDDDDLFSNCEKEFGDELIMEWARENKNDLLQIVCGFHALPLPQPGSELAFQPLEHLQAIEYRRPPVTALGFDEKSFDSFEDPGVNAKLAAAEEAFALSLWTTATICRVLSLESILALVAGVLLEKQVVVVCPNLGVLSATVLSLIPMIRPFQWQSLMLPVLPGKMLDFLDAPVPFIIGIQQIPADLKRKAWDPVQVNVQKDQVKMCHLPTLPRHKDLASELGPIHARLSREGSFAKKHPVYRCNEVQVEAAGQFLDVMKNYLESLCSELRSYTITSVQSNNDRVSLLLKDSFIDSFPSKDRSFIKLFVDTQMFTVLSDSRLSSFENGDP >ONH93106 pep chromosome:Prunus_persica_NCBIv2:G8:19644978:19653777:1 gene:PRUPE_8G213500 transcript:ONH93106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKEDGEAYEEQASSPIQVLQEISEEAFKMAGETLQNMYSGNSSMPPLAPGHRRSQSEVVTTRHRRSNSLQKLKCQMQKAFKWGSNSRGDLRLAFNPEVLANQKRQWYQLHSRSKDRIKLSEPDSLFEHFIIAGLHPDVNLEAVEGAFVKRRKWEMDMINSGIVDLTLLQQRGPPIPTLEPQILFKYPPGKRLAMRLKDLAAFCFPGGVKARLMEKTPSLSDLNQVVYGQVADNATLYGICLHVSEIVQRPPAILGISSPHPHSSGGLFRFLVSAPRCYCVLSRVPSFELHYEMLNSIIQEERLNRITQFASEISLHDYVPTLPKVHDEMNDHDDSPHKESFYDWMDSAIPVDSALAFTAAGAGIRSDDETPPSSLKIWEPQSPESVTASESSDFSQVRYLDKDGRKDSQCSDDYGFEAIETHSETSERIYGSYGNGHTSPEVGPSFSSRNRTLESLGSSEYLFRNGHTSPEVGTSFSSRSRTLERLGSSESLFSPARSMVSEDDDDDLFSNCEKEFGDELIMEWARENKNDLLQIVCGFHALPLPQPGSELAFQPLEHLQAIEYRRPPVTALGFDEKSFDSFEDPGVNAKLAAAEEAFALSLWTTATICRVLSLESILALVAGVLLEKQVVVVCPNLGVLSATVLSLIPMIRPFQWQSLMLPVLPGKMLDFLDAPVPFIIGIQQIPADLKRKAWDPVQVNVQKDQVEAAGQFLDVMKNYLESLCSELRSYTITSVQSNNDRVSLLLKDSFIDSFPSKDRSFIKLFVDTQMFTVLSDSRLSSFENGDP >ONH93103 pep chromosome:Prunus_persica_NCBIv2:G8:19644947:19653777:1 gene:PRUPE_8G213500 transcript:ONH93103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKEDGEAYEEQASSPIQVLQEISEEAFKMAGETLQNMYSGNSSMPPLAPGHRRSQSEVVTTRHRRSNSLQKLKCQMQKAFKWGSNSRGDLRLAFNPEVLANQKRQWYQLHSRSKDRIKLSEPDSLFEHFIIAGLHPDVNLEAVEGAFVKRRKWEMDMINSGIVDLTLLQQRGPPIPTLEPQILFKYPPGKRLAMRLKDLAAFCFPGGVKARLMEKTPSLSDLNQVVYGQEHLGKDDLAFIFSLKVADNATLYGICLHVSEIVQRPPAILGISSPHPHSSGGLFRFLVSAPRCYCVLSRVPSFELHYEMLNSIIQEERLNRITQFASEISLHDYVPTLPKVHDEMNDHDDSPHKESFYDWMDSAIPVDSALAFTAAGAGIRSDDETPPSSLKIWEPQSPESVTASESSDFSQVRYLDKDGRKDSQCSDDYGFEAIETHSETSERIYGSYGNGHTSPEVGPSFSSRNRTLESLGSSEYLFRNGHTSPEVGTSFSSRSRTLERLGSSESLFSPARSMVSEDDDDDLFSNCEKEFGDELIMEWARENKNDLLQIVCGFHALPLPQPGSELAFQPLEHLQAIEYRRPPVTALGFDEKSFDSFEDPGVNAKLAAAEEAFALSLWTTATICRVLSLESILALVAGVLLEKQVVVVCPNLGVLSATVLSLIPMIRPFQWQSLMLPVLPGKMLDFLDAPVPFIIGIQQIPADLKRKAWDPVQVNVQKDQVEAAGQFLDVMKNYLESLCSELRSYTITSVQSNNDRVSLLLKDSFIDSFPSKDRSFIKLFVDTQMFTVLSDSRLSSFENGDP >ONH93104 pep chromosome:Prunus_persica_NCBIv2:G8:19645038:19653777:1 gene:PRUPE_8G213500 transcript:ONH93104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKEDGEAYEEQASSPIQVLQEISEEAFKMAGETLQNMYSGNSSMPPLAPGHRRSQSEVVTTRHRRSNSLQKLKCQMQKAFKWGSNSRGDLRLAFNPEVLANQKRQWYQLHSRSKDRIKLSEPDSLFEHFIIAGLHPDVNLEAVEGAFVKRRKWEMDMINSGIVDLTLLQQRGPPIPTLEPQILFKYPPGKRLAMRLKDLAAFCFPGGVKARLMEKTPSLSDLNQVVYGQEHLGKDDLAFIFSLKVADNATLYGICLHVSEIVQRPPAILGISSPHPHSSGGLFRFLVSAPRCYCVLSRVPSFELHYEMLNSIIQEERLNRITQFASEISLHDYVPTLPKVHDEMNDHDDSPHKESFYDWMDSAIPVDSALAFTAAGAGIRSDDETPPSSLKIWEPQSPESVTASESSDFSQVRYLDKDGRKDSQCSDDYGFEAIETHSETSERIYGSYGNGHTSPEVGPSFSSRNRTLESLGSSEYLFRNGHTSPEVGTSFSSRSRTLERLGSSESLFSPARSMVSEDDDDDLFSNCEKEFGDELIMEWARENKNDLLQIVCGFHALPLPQPGSELAFQPLEHLQAIEYRRPPVTALGFDEKSFDSFEDPGVNAKLAAAEEAFALSLWTTATICRVLSLESILALVAGVLLEKQVVVVCPNLGVLSATVLSLIPMIRPFQWQSLMLPVLPGKMLDFLDAPVPFIIGIQQIPADLKRKAWDPVQVNVQKDQVEAAGQFLDVMKNYLESLCSELRSYTITSVQSNNDRVSLLLKDSFIDSFPSKDRSFIKLFVDTQMFTVLSDSRLSSFENGDP >ONH93630 pep chromosome:Prunus_persica_NCBIv2:G8:21235224:21238019:-1 gene:PRUPE_8G243400 transcript:ONH93630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDILNREDGLLLSSPLLEPRNGESVKSRGMSIEKKIEFLESLAGTVSNRRSRRWINDRLLMELVPRLNAEEIRGLFAPPPWGDDVPLSPFCMTNVREWDTFRNIDMDKQANIIGSSISPCAKNKGPVDADKMAVLNAWRRIDSRTRQALRRSVVSELIECYEERIRAFVRETEDGDGLILQVQDPFRRLLLHGVCEFYNLVSVTVIESENGEAIKVTKITKKMGRSIELPNITLSHFLKMSKQGMW >ONH93633 pep chromosome:Prunus_persica_NCBIv2:G8:21234832:21238586:-1 gene:PRUPE_8G243400 transcript:ONH93633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKLEACLLHHLGANIIGSSISPCAKNKGPVDADKMAVLNAWRRIDSRTRQALRRSVVSELIECYEERIRAFVRETEDGDGLILQVQDPFRRLLLHGVCEFYNLVSVTVIESENGEAIKVTKITKKMGRSIELPNITLSHFLKMSKQGMW >ONH93634 pep chromosome:Prunus_persica_NCBIv2:G8:21234832:21238586:-1 gene:PRUPE_8G243400 transcript:ONH93634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKLEACLLHHLGANIIGSSISPCAKNKGPVDADKMAVLNAWRRIDSRTRQALRRSVVSELIECYEERIRAFVRETEDGDGLILQVQDPFRRLLLHGVCEFYNLVSVTVIESENGEAIKVTKITKKMGRSIELPNITLSHFLKMSKQGMW >ONH93632 pep chromosome:Prunus_persica_NCBIv2:G8:21235224:21237949:-1 gene:PRUPE_8G243400 transcript:ONH93632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNRRSRRWINDRLLMELVPRLNAEEIRGLFAPPPWGDDVPLSPFCMTNVREWDTFRNIDMDKQANIIGSSISPCAKNKGPVDADKMAVLNAWRRIDSRTRQALRRSVVSELIECYEERIRAFVRETEDGDGLILQVQDPFRRLLLHGVCEFYNLVSVTVIESENGEAIKVTKITKKMGRSIELPNITLSHFLKMSKQGMW >ONH93631 pep chromosome:Prunus_persica_NCBIv2:G8:21235224:21238019:-1 gene:PRUPE_8G243400 transcript:ONH93631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDILNREDGLLLSSPLLEPRNGESVKSRGMSIEKKIEFLESLAGTVSNRRSRRWINDRLLMELVPRLNAEEIRGLFAPPPWGDDVPLSPFCMTNVREWDTFRNIDMDKQVLRPLSFTENLFQSFNSLQSGDLRCYEANIIGSSISPCAKNKGPVDADKMAVLNAWRRIDSRTRQALRRSVVSELIECYEERIRAFVRETEDGDGLILQVQDPFRRLLLHGVCEFYNLVSVTVIESENGEAIKVTKITKKMGRSIELPNITLSHFLKMSKQGMW >ONH92361 pep chromosome:Prunus_persica_NCBIv2:G8:17469403:17481361:-1 gene:PRUPE_8G170300 transcript:ONH92361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTKSQELKVALCNAYMRIAKMCPPHIWRPESLIYVLSCPEPCFSLIDCLQVALSILGPDLVGSRIANSNCQSISALTDKSIENSRVGEKRPIQDVDTFKIKRQKVDKEIMSSDSNFQVERKRTHTVACEREDYADYMHQSLLSFVEILKSPGVNPDSLRPEVALTALSMLCIAFCRYPETNLSQSIFNQMYAWIPWVCQQAKRENSVALDISIYLEGIHSMLLSQSPLAMGSMENKDVDADLMYVVKLPWTHSLVNNTGPHHPWKTKCTSVQVACKLGHRTGTETQLEVLDLSLNDEFEQVNIEAIISMPVIVIWSGFGGLSRIFRRLEFLRGERPEKVRNIIPFSLGLLSCLYGSCNDGDGTNRSQCKLVLNMKNEKHGRTADSLLQGFWCSKCDRSVRQNTAVYSKVIRPPDMNSREMSLDCNFSHLQSLFLELLYDESEEVQVACVKNIYRILIHGGRDNLIKSRFEWIKCVEYLLLNGKNAVRDAFCTQISSFLDDSVMSYLFLDEDTSNKSKEAEFCNIIEHAFSETKDPQIFETLLESTAEIMIAVDIHSQLFLFSLFLLVDQLDNPHMTVRMNASRLIHKACYFHLKGGFEQILLKVVHVRNELFDYLSARLSSRPIMVKEFTESILGIETEDLVKKMIPVVLPKLVVSQQDDKQALDTLYELAKCLNTDLVPLVVNWLPKVLAFVLHRADVRELAVALQFYHTQTGSDKQEIFAAALPALLDELICFLDGGDSDEINRRLARVPEMIKEVARVLTGGEDLPSFLRNHFVGLLNSIDRKMLHAEDFSLQKQALQRIEMLIKMMGSHLNTYVPKLMVLLMHAIGKEVLQSEGLSVLYFFIVQLVKVSPASTKHVISQVFAALIPFLERNEENPIHLDKVVKILEELVLNNRVILKQNVREFPPLPGIPSLIEVNKAIQEARGSMTLKDRLRDVVDGLNHESLNVRYMVVCELSKLLSLKQEEVTALITAESGTDMDILSSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVQGFSCQRFKIECSDDDLIYELIHKHLARAFRAAPDTIIQDSAALAIQELLKIAGCEASLDENAAASTSGTPKDKEPLNVDASGIMGIDGSSKMNRRGQILWDRFSNYVKEIIAPCLTSRFQLPNVADSSFAGPIYRPSMSFRRWIFYWIRKLTAHATGSRGSIFNACRGIVRHDMQTAIYLLPYLVLNAVCHGTEEARHGITEEILYVLDIAASDNSGDAVHGVNGGKNEVCIQAVFTLLDNLGQWVDDIKQELALSQSFQSMASKQQASKTKDKIHNSLKNQDHLLVQCTYVSKLLSAIPRVSLARASFRCQAYARSLMYYESYVRGKSGSFNPASERSGIFDDDDVSYLMEIYSSLDEPDGLSGFACLRKSMRLQLQDQLLINKKAGNWAEALTSCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLSGADEEGLLCSSSESNASFDMDVAKILQALMKKDQFSVDERIALSKQALIAPLAAAGMDSYVRAYPFVVKLHLLRELEDFHTLLADDSFLEKSFQLGDHGFSQVMENWENRLRFTQPSIWAREPLLAFRRLVFASSALGAQVGNCWLQYAKLCRMAGHYETANQAILEAQATDAPNVHMEKAKLLWSTRRSDGAIAQLQQSLLNMPVEIVGSSAISSITSLSLVPLNLPPLVCDTQSLNENRDIAKTLLLYSRWIHYTGQKQKEDVLSLYSRVRELQPKWEKGYFYMAKYCEEVLADARKRQEENIELGPGKMPTSSDIVGSSNLKTEKLWWSYVPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSMYLTSGTSSDKDLKSVHMKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEEVVRLVKNIITSVLRKYPQQALWIMAAVSKSTVPSRREAAAEILHAARKEFSQGNTGSNLFVQFASLIDHLIKLCFHAGQPRAKTINISTEFSALKRMMPLGIIMPIQQSLTVSLPTYDGKLTDSLRSDIFSATDLPTISGISDEAEILSSLQRPKKIVLMGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYVRTFAVIPLTEDCGMVEWVSHTRGLRHILQDIYINCGKFDRQKTNPQIKRIYDQCHGKIPEDEMLKDKILPMFPPVFHKWFLTTFSEPAAWFRARIAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRMHKETLMSVLETFIHDPLVEWTKSHKLSGVEVQNPHAQRAISNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGRMYIWWMPWF >ONH92359 pep chromosome:Prunus_persica_NCBIv2:G8:17470642:17483618:-1 gene:PRUPE_8G170300 transcript:ONH92359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSSLLHELRERIAATSATPPNHADDDVLDARFRAVLPNLLHAYVVPSPSANEREVTAVLKLIAHTARNFPGVFYHGKASAILPLLGRILPFFAEPAFRCNVYCFSSRHGVIFETVGSLLSLLRTGARDAYRQFFIDSMIVVEDLLYVASVCADVSNITESTKLTLKCFCESFNAILDDPDHLGDLPASNKPNDGIGILINLTGKIRWQPFAKWMIKLLGKCLTEGTLYVEGLINVSFVTAACSLLCYGDADVHMACFEFACVVGSAINYDIIPHQKIIQSISTMLREDKEGLPVFRNIIYDSSIGGCLDALHCSCPEDVVKLTAADLVDVLPHSMRSTKSQELKVALCNAYMRIAKMCPPHIWRPESLIYVLSCPEPCFSLIDCLQVALSILGPDLVGSRIANSNCQSISALTDKSIENSRVGEKRPIQDVDTFKIKRQKVDKEIMSSDSNFQVERKRTHTVACEREDYADYMHQSLLSFVEILKSPGVNPDSLRPEVALTALSMLCIAFCRYPETNLSQSIFNQMYAWIPWVCQQAKRENSVALDISIYLEGIHSMLLSQSPLAMGSMENKDVDADLMYVVKLPWTHSLVNNTGPHHPWKTKCTSVQVACKLGHRTGTETQLEVLDLSLNDEFEQVNIEAIISMPVIVIWSGFGGLSRIFRRLEFLRGERPEKVRNIIPFSLGLLSCLYGSCNDGDGTNRSQCKLVLNMKNEKHGRTADSLLQGFWCSKCDRSVRQNTAVYSKVIRPPDMNSREMSLDCNFSHLQSLFLELLYDESEEVQVACVKNIYRILIHGGRDNLIKSRFEWIKCVEYLLLNGKNAVRDAFCTQISSFLDDSVMSYLFLDEDTSNKSKEAEFCNIIEHAFSETKDPQIFETLLESTAEIMIAVDIHSQLFLFSLFLLVDQLDNPHMTVRMNASRLIHKACYFHLKGGFEQILLKVVHVRNELFDYLSARLSSRPIMVKEFTESILGIETEDLVKKMIPVVLPKLVVSQQDDKQALDTLYELAKCLNTDLVPLVVNWLPKVLAFVLHRADVRELAVALQFYHTQTGSDKQEIFAAALPALLDELICFLDGGDSDEINRRLARVPEMIKEVARVLTGGEDLPSFLRNHFVGLLNSIDRKMLHAEDFSLQKQALQRIEMLIKMMGSHLNTYVPKLMVLLMHAIGKEVLQSEGLSVLYFFIVQLVKVSPASTKHVISQVFAALIPFLERNEENPIHLDKVVKILEELVLNNRVILKQNVREFPPLPGIPSLIEVNKAIQEARGSMTLKDRLRDVVDGLNHESLNVRYMVVCELSKLLSLKQEEVTALITAESGTDMDILSSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVQGFSCQRFKIECSDDDLIYELIHKHLARAFRAAPDTIIQDSAALAIQELLKIAGCEASLDENAAASTSGTPKDKEPLNVDASGIMGIDGSSKMNRRGQILWDRFSNYVKEIIAPCLTSRFQLPNVADSSFAGPIYRPSMSFRRWIFYWIRKLTAHATGSRGSIFNACRGIVRHDMQTAIYLLPYLVLNAVCHGTEEARHGITEEILYVLDIAASDNSGDAVHGVNGGKNEVCIQAVFTLLDNLGQWVDDIKQELALSQSFQSMASKQQASKTKDKIHNSLKNQDHLLVQCTYVSKLLSAIPRVSLARASFRCQAYARSLMYYESYVRGKSGSFNPASERSGIFDDDDVSYLMEIYSSLDEPDGLSGFACLRKSMRLQLQDQLLINKKAGNWAEALTSCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLSGADEEGLLCSSSESNASFDMDVAKILQALMKKDQFSVDERIALSKQALIAPLAAAGMDSYVRAYPFVVKLHLLRELEDFHTLLADDSFLEKSFQLGDHGFSQVMENWENRLRFTQPSIWAREPLLAFRRLVFASSALGAQVGNCWLQYAKLCRMAGHYETANQAILEAQATDAPNVHMEKAKLLWSTRRSDGAIAQLQQSLLNMPVEIVGSSAISSITSLSLVPLNLPPLVCDTQSLNENRDIAKTLLLYSRWIHYTGQKQKEDVLSLYSRVRELQPKWEKGYFYMAKYCEEVLADARKRQEENIELGPGKMPTSSDIVGSSNLKTEKLWWSYVPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSMYLTSGTSSDKDLKSVHMKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEEVVRLVKNIITSVLRKYPQQALWIMAAVSKSTVPSRREAAAEILHAARKEFSQGNTGSNLFVQFASLIDHLIKLCFHAGQPRAKTINISTEFSALKRMMPLGIIMPIQQSLTVSLPTYDGKLTDSLRSDIFSATDLPTISGISDEAEILSSLQRPKKIVLMGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYVRTFAVIPLTEDCGMVEWVSHTRGLRHILQDIYINCGKFDRQKTNPQIKRIYDQCHGKIPEDEMLKDKILPMFPPVFHKWFLTTFSEPAAWFRARIAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRMHKETLMSVLETFIHDPLVEWTKSHKLSGVEVQNPHAQRAISNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGRMYIWWMPWF >ONH92356 pep chromosome:Prunus_persica_NCBIv2:G8:17470642:17483618:-1 gene:PRUPE_8G170300 transcript:ONH92356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSSLLHELRERIAATSATPPNHADDDVLDARFRAVLPNLLHAYVVPSPSANEREVTAVLKLIAHTARNFPGVFYHGKASAILPLLGRILPFFAEPAFRSRHGVIFETVGSLLSLLRTGARDAYRQFFIDSMIVVEDLLYVASVCADVSNITESTKLTLKCFCESFNAILDDPDHLGDLPASNKPNDGIGILINLTGKIRWQPFAKWMIKLLGKCLTEGTLYVEGLINVSFVTAACSLLCYGDADVHMACFEFACVVGSAINYDIIPHQKIIQSISTMLREDKEGLPVFRNIIYDSSIGGCLDALHCSCPEDVVKLTAADLVDVLPHSMRSTKSQELKVALCNAYMRIAKMCPPHIWRPESLIYVLSCPEPCFSLIDCLQVALSILGPDLVGSRIANSNCQSISALTDKSIENSRVGEKRPIQDVDTFKIKRQKVDKEIMSSDSNFQVERKRTHTVACEREDYADYMHQSLLSFVEILKSPGVNPDSLRPEVALTALSMLCIAFCRYPETNLSQSIFNQMYAWIPWVCQQAKRENSVALDISIYLEGIHSMLLSQSPLAMGSMENKDVDADLMYVVKLPWTHSLVNNTGPHHPWKTKCTSVQVACKLGHRTGTETQLEVLDLSLNDEFEQVNIEAIISMPVIVIWSGFGGLSRIFRRLEFLRGERPEKVRNIIPFSLGLLSCLYGSCNDGDGTNRSQCKLVLNMKNEKHGRTADSLLQGFWCSKCDRSVRQNTAVYSKVIRPPDMNSREMSLDCNFSHLQSLFLELLYDESEEVQVACVKNIYRILIHGGRDNLIKSRFEWIKCVEYLLLNGKNAVRDAFCTQISSFLDDSVMSYLFLDEDTSNKSKEAEFCNIIEHAFSETKDPQIFETLLESTAEIMIAVDIHSQLFLFSLFLLVDQLDNPHMTVRMNASRLIHKACYFHLKGGFEQILLKVVHVRNELFDYLSARLSSRPIMVKEFTESILGIETEDLVKKMIPVVLPKLVVSQQDDKQALDTLYELAKCLNTDLVPLVVNWLPKVLAFVLHRADVRELAVALQFYHTQTGSDKQEIFAAALPALLDELICFLDGGDSDEINRRLARVPEMIKEVARVLTGGEDLPSFLRNHFVGLLNSIDRKMLHAEDFSLQKQALQRIEMLIKMMGSHLNTYVPKLMVLLMHAIGKEVLQSEGLSVLYFFIVQLVKVSPASTKHVISQVFAALIPFLERNEENPIHLDKVVKILEELVLNNRVILKQNVREFPPLPGIPSLIEVNKAIQEARGSMTLKDRLRDVVDGLNHESLNVRYMVVCELSKLLSLKQEEVTALITAESGTDMDILSSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVQGFSCQRFKIECSDDDLIYELIHKHLARAFRAAPDTIIQDSAALAIQELLKIAGCEASLDENAAASTSGTPKDKEPLNVDASGIMGIDGSSKMNRRGQILWDRFSNYVKEIIAPCLTSRFQLPNVADSSFAGPIYRPSMSFRRWIFYWIRKLTAHATGSRGSIFNACRGIVRHDMQTAIYLLPYLVLNAVCHGTEEARHGITEEILYVLDIAASDNSGDAVHGVNGGKNEVCIQAVFTLLDNLGQWVDDIKQELALSQSFQSMASKQQASKTKDKIHNSLKNQDHLLVQCTYVSKLLSAIPRVSLARASFRCQAYARSLMYYESYVRGKSGSFNPASERSGIFDDDDVSYLMEIYSSLDEPDGLSGFACLRKSMRLQLQDQLLINKKAGNWAEALTSCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLSGADEEGLLCSSSESNASFDMDVAKILQALMKKDQFSVDERIALSKQALIAPLAAAGMDSYVRAYPFVVKLHLLRELEDFHTLLADDSFLEKSFQLGDHGFSQVMENWENRLRFTQPSIWAREPLLAFRRLVFASSALGAQVGNCWLQYAKLCRMAGHYETANQAILEAQATDAPNVHMEKAKLLWSTRRSDGAIAQLQQSLLNMPVEIVGSSAISSITSLSLVPLNLPPLVCDTQSLNENRDIAKTLLLYSRWIHYTGQKQKEDVLSLYSRVRELQPKWEKGYFYMAKYCEEVLADARKRQEENIELGPGKMPTSSDIVGSSNLKTEKLWWSYVPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSMYLTSGTSSDKDLKSVHMKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEEVVRLVKNIITSVLRKYPQQALWIMAAVSKSTVPSRREAAAEILHAARKEFSQGNTGSNLFVQFASLIDHLIKLCFHAGQPRAKTINISTEFSALKRMMPLGIIMPIQQSLTVSLPTYDGKLTDSLRSDIFSATDLPTISGISDEAEILSSLQRPKKIVLMGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYVRTFAVIPLTEDCGMVEWVSHTRGLRHILQDIYINCGKFDRQKTNPQIKRIYDQCHGKIPEDEMLKDKILPMFPPVFHKWFLTTFSEPAAWFRARIAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRMHKETLMSVLETFIHDPLVEWTKSHKLSGVEVQNPHAQRAISNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGRMYIWWMPWF >ONH92363 pep chromosome:Prunus_persica_NCBIv2:G8:17469403:17483747:-1 gene:PRUPE_8G170300 transcript:ONH92363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQAQFFLCSAASCLSSQNRRFGKRSRHGVIFETVGSLLSLLRTGARDAYRQFFIDSMIVVEDLLYVASVCADVSNITESTKLTLKCFCESFNAILDDPDHLGDLPASNKPNDGIGILINLTGKIRWQPFAKWMIKLLGKCLTEGTLYVEGLINVSFVTAACSLLCYGDADVHMACFEFACVVGSAINYDIIPHQKIIQSISTMLREDKEGLPVFRNIIYDSSIGGCLDALHCSCPEDVVKLTAADLVDVLPHSMRSTKSQELKVALCNAYMRIAKMCPPHIWRPESLIYVLSCPEPCFSLIDCLQVALSILGPDLVGSRIANSNCQSISALTDKSIENSRVGEKRPIQDVDTFKIKRQKVDKEIMSSDSNFQVERKRTHTVACEREDYADYMHQSLLSFVEILKSPGVNPDSLRPEVALTALSMLCIAFCRYPETNLSQSIFNQMYAWIPWVCQQAKRENSVALDISIYLEGIHSMLLSQSPLAMGSMENKDVDADLMYVVKLPWTHSLVNNTGPHHPWKTKCTSVQVACKLGHRTGTETQLEVLDLSLNDEFEQVNIEAIISMPVIVIWSGFGGLSRIFRRLEFLRGERPEKVRNIIPFSLGLLSCLYGSCNDGDGTNRSQCKLVLNMKNEKHGRTADSLLQGFWCSKCDRSVRQNTAVYSKVIRPPDMNSREMSLDCNFSHLQSLFLELLYDESEEVQVACVKNIYRILIHGGRDNLIKSRFEWIKCVEYLLLNGKNAVRDAFCTQISSFLDDSVMSYLFLDEDTSNKSKEAEFCNIIEHAFSETKDPQIFETLLESTAEIMIAVDIHSQLFLFSLFLLVDQLDNPHMTVRMNASRLIHKACYFHLKGGFEQILLKVVHVRNELFDYLSARLSSRPIMVKEFTESILGIETEDLVKKMIPVVLPKLVVSQQDDKQALDTLYELAKCLNTDLVPLVVNWLPKVLAFVLHRADVRELAVALQFYHTQTGSDKQEIFAAALPALLDELICFLDGGDSDEINRRLARVPEMIKEVARVLTGGEDLPSFLRNHFVGLLNSIDRKMLHAEDFSLQKQALQRIEMLIKMMGSHLNTYVPKLMVLLMHAIGKEVLQSEGLSVLYFFIVQLVKVSPASTKHVISQVFAALIPFLERNEENPIHLDKVVKILEELVLNNRVILKQNVREFPPLPGIPSLIEVNKAIQEARGSMTLKDRLRDVVDGLNHESLNVRYMVVCELSKLLSLKQEEVTALITAESGTDMDILSSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVQGFSCQRFKIECSDDDLIYELIHKHLARAFRAAPDTIIQDSAALAIQELLKIAGCEASLDENAAASTSGTPKDKEPLNVDASGIMGIDGSSKMNRRGQILWDRFSNYVKEIIAPCLTSRFQLPNVADSSFAGPIYRPSMSFRRWIFYWIRKLTAHATGSRGSIFNACRGIVRHDMQTAIYLLPYLVLNAVCHGTEEARHGITEEILYVLDIAASDNSGDAVHGVNGGKNEVCIQAVFTLLDNLGQWVDDIKQELALSQSFQSMASKQQASKTKDKIHNSLKNQDHLLVQCTYVSKLLSAIPRVSLARASFRCQAYARSLMYYESYVRGKSGSFNPASERSGIFDDDDVSYLMEIYSSLDEPDGLSGFACLRKSMRLQLQDQLLINKKAGNWAEALTSCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLSGADEEGLLCSSSESNASFDMDVAKILQALMKKDQFSVDERIALSKQALIAPLAAAGMDSYVRAYPFVVKLHLLRELEDFHTLLADDSFLEKSFQLGDHGFSQVMENWENRLRFTQPSIWAREPLLAFRRLVFASSALGAQVGNCWLQYAKLCRMAGHYETANQAILEAQATDAPNVHMEKAKLLWSTRRSDGAIAQLQQSLLNMPVEIVGSSAISSITSLSLVPLNLPPLVCDTQSLNENRDIAKTLLLYSRWIHYTGQKQKEDVLSLYSRVRELQPKWEKGYFYMAKYCEEVLADARKRQEENIELGPGKMPTSSDIVGSSNLKTEKLWWSYVPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSMYLTSGTSSDKDLKSVHMKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEEVVRLVKNIITSVLRKYPQQALWIMAAVSKSTVPSRREAAAEILHAARKEFSQGNTGSNLFVQFASLIDHLIKLCFHAGQPRAKTINISTEFSALKRMMPLGIIMPIQQSLTVSLPTYDGKLTDSLRSDIFSATDLPTISGISDEAEILSSLQRPKKIVLMGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYVRTFAVIPLTEDCGMVEWVSHTRGLRHILQDIYINCGKFDRQKTNPQIKRIYDQCHGKIPEDEMLKDKILPMFPPVFHKWFLTTFSEPAAWFRARIAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRMHKETLMSVLETFIHDPLVEWTKSHNEQSVTLRQGCKE >ONH92362 pep chromosome:Prunus_persica_NCBIv2:G8:17469403:17483747:-1 gene:PRUPE_8G170300 transcript:ONH92362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSSLLHELRERIAATSATPPNHADDDVLDARFRAVLPNLLHAYVVPSPSANEREVTAVLKLIAHTARNFPGVFYHGKASAILPLLGRILPFFAEPAFRSRHGVIFETVGSLLSLLRTGARDAYRQFFIDSMIVVEDLLYVASVCADVSNITESTKLTLKCFCESFNAILDDPDHLGDLPASNKPNDGIGILINLTGKIRWQPFAKWMIKLLGKCLTEGTLYVEGLINVSFVTAACSLLCYGDADVHMACFEFACVVGSAINYDIIPHQKIIQSISTMLREDKEGLPVFRNIIYDSSIGGCLDALHCSCPEDVVKLTAADLVDVLPHSMRSTKSQELKVALCNAYMRIAKMCPPHIWRPESLIYVLSCPEPCFSLIDCLQVALSILGPDLVGSRIANSNCQSISALTDKSIENSRVGEKRPIQDVDTFKIKRQKVDKEIMSSDSNFQVERKRTHTVACEREDYADYMHQSLLSFVEILKSPGVNPDSLRPEVALTALSMLCIAFCRYPETNLSQSIFNQMYAWIPWVCQQAKRENSVALDISIYLEGIHSMLLSQSPLAMGSMENKDVDADLMYVVKLPWTHSLVNNTGPHHPWKTKCTSVQVACKLGHRTGTETQLEVLDLSLNDEFEQVNIEAIISMPVIVIWSGFGGLSRIFRRLEFLRGERPEKVRNIIPFSLGLLSCLYGSCNDGDGTNRSQCKLVLNMKNEKHGRTADSLLQGFWCSKCDRSVRQNTAVYSKVIRPPDMNSREMSLDCNFSHLQSLFLELLYDESEEVQVACVKNIYRILIHGGRDNLIKSRFEWIKCVEYLLLNGKNAVRDAFCTQISSFLDDSVMSYLFLDEDTSNKSKEAEFCNIIEHAFSETKDPQIFETLLESTAEIMIAVDIHSQLFLFSLFLLVDQLDNPHMTVRMNASRLIHKACYFHLKGGFEQILLKVVHVRNELFDYLSARLSSRPIMVKEFTESILGIETEDLVKKMIPVVLPKLVVSQQDDKQALDTLYELAKCLNTDLVPLVVNWLPKVLAFVLHRADVRELAVALQFYHTQTGSDKQEIFAAALPALLDELICFLDGGDSDEINRRLARVPEMIKEVARVLTGGEDLPSFLRNHFVGLLNSIDRKMLHAEDFSLQKQALQRIEMLIKMMGSHLNTYVPKLMVLLMHAIGKEVLQSEGLSVLYFFIVQLVKVSPASTKHVISQVFAALIPFLERNEENPIHLDKVVKILEELVLNNRVILKQNVREFPPLPGIPSLIEVNKAIQEARGSMTLKDRLRDVVDGLNHESLNVRYMVVCELSKLLSLKQEEVTALITAESGTDMDILSSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVQGFSCQRFKIECSDDDLIYELIHKHLARAFRAAPDTIIQDSAALAIQELLKIAGCEASLDENAAASTSGTPKDKEPLNVDASGIMGIDGSSKMNRRGQILWDRFSNYVKEIIAPCLTSRFQLPNVADSSFAGPIYRPSMSFRRWIFYWIRKLTAHATGSRGSIFNACRGIVRHDMQTAIYLLPYLVLNAVCHGTEEARHGITEEILYVLDIAASDNSGDAVHGVNGGKNEVCIQAVFTLLDNLGQWVDDIKQELALSQSFQSMASKQQASKTKDKIHNSLKNQDHLLVQCTYVSKLLSAIPRVSLARASFRCQAYARSLMYYESYVRGKSGSFNPASERSGIFDDDDVSYLMEIYSSLDEPDGLSGFACLRKSMRLQLQDQLLINKKAGNWAEALTSCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLSGADEEGLLCSSSESNASFDMDVAKILQALMKKDQFSVDERIALSKQALIAPLAAAGMDSYVRAYPFVVKLHLLRELEDFHTLLADDSFLEKSFQLGDHGFSQVMENWENRLRFTQPSIWAREPLLAFRRLVFASSALGAQVGNCWLQYAKLCRMAGHYETANQAILEAQATDAPNVHMEKAKLLWSTRRSDGAIAQLQQSLLNMPVEIVGSSAISSITSLSLVPLNLPPLVCDTQSLNENRDIAKTLLLYSRWIHYTGQKQKEDVLSLYSRVRELQPKWEKGYFYMAKYCEEVLADARKRQEENIELGPGKMPTSSDIVGSSNLKTEKLWWSYVPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSMYLTSGTSSDKDLKSVHMKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEEVVRLVKNIITSVLRKYPQQALWIMAAVSKSTVPSRREAAAEILHAARKEFSQGNTGSNLFVQFASLIDHLIKLCFHAGQPRAKTINISTEFSALKRMMPLGIIMPIQQSLTVSLPTYDGKLTDSLRSDIFSATDLPTISGISDEAEILSSLQRPKKIVLMGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYVRTFAVIPLTEDCGMVEWVSHTRGLRHILQDIYINCGKFDRQKTNPQIKRIYDQCHGKIPEDEMLKDKILPMFPPVFHKWFLTTFSEPAAWFRARIAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRMHKETLMSVLETFIHDPLVEWTKSHNEQSVTLRQGCKE >ONH92357 pep chromosome:Prunus_persica_NCBIv2:G8:17469403:17483747:-1 gene:PRUPE_8G170300 transcript:ONH92357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSSLLHELRERIAATSATPPNHADDDVLDARFRAVLPNLLHAYVVPSPSANEREVTAVLKLIAHTARNFPGVFYHGKASAILPLLGRILPFFAEPAFRCNVYCFSSRHGVIFETVGSLLSLLRTGARDAYRQFFIDSMIVVEDLLYVASVCADVSNITESTKLTLKCFCESFNAILDDPDHLGDLPASNKPNDGIGILINLTGKIRWQPFAKWMIKLLGKCLTEGTLYVEGLINVSFVTAACSLLCYGDADVHMACFEFACVVGSAINYDIIPHQKIIQSISTMLREDKEGLPVFRNIIYDSSIGGCLDALHCSCPEDVVKLTAADLVDVLPHSMRSTKSQELKVALCNAYMRIAKMCPPHIWRPESLIYVLSCPEPCFSLIDCLQVALSILGPDLVGSRIANSNCQSISALTDKSIENSRVGEKRPIQDVDTFKIKRQKVDKEIMSSDSNFQVERKRTHTVACEREDYADYMHQSLLSFVEILKSPGVNPDSLRPEVALTALSMLCIAFCRYPETNLSQSIFNQMYAWIPWVCQQAKRENSVALDISIYLEGIHSMLLSQSPLAMGSMENKDVDADLMYVVKLPWTHSLVNNTGPHHPWKTKCTSVQVACKLGHRTGTETQLEVLDLSLNDEFEQVNIEAIISMPVIVIWSGFGGLSRIFRRLEFLRGERPEKVRNIIPFSLGLLSCLYGSCNDGDGTNRSQCKLVLNMKNEKHGRTADSLLQGFWCSKCDRSVRQNTAVYSKVIRPPDMNSREMSLDCNFSHLQSLFLELLYDESEEVQVACVKNIYRILIHGGRDNLIKSRFEWIKCVEYLLLNGKNAVRDAFCTQISSFLDDSVMSYLFLDEDTSNKSKEAEFCNIIEHAFSETKDPQIFETLLESTAEIMIAVDIHSQLFLFSLFLLVDQLDNPHMTVRMNASRLIHKACYFHLKGGFEQILLKVVHVRNELFDYLSARLSSRPIMVKEFTESILGIETEDLVKKMIPVVLPKLVVSQQDDKQALDTLYELAKCLNTDLVPLVVNWLPKVLAFVLHRADVRELAVALQFYHTQTGSDKQEIFAAALPALLDELICFLDGGDSDEINRRLARVPEMIKEVARVLTGGEDLPSFLRNHFVGLLNSIDRKMLHAEDFSLQKQALQRIEMLIKMMGSHLNTYVPKLMVLLMHAIGKEVLQSEGLSVLYFFIVQLVKVSPASTKHVISQVFAALIPFLERNEENPIHLDKVVKILEELVLNNRVILKQNVREFPPLPGIPSLIEVNKAIQEARGSMTLKDRLRDVVDGLNHESLNVRYMVVCELSKLLSLKQEEVTALITAESGTDMDILSSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVQGFSCQRFKIECSDDDLIYELIHKHLARAFRAAPDTIIQDSAALAIQELLKIAGCEASLDENAAASTSGTPKDKEPLNVDASGIMGIDGSSKMNRRGQILWDRFSNYVKEIIAPCLTSRFQLPNVADSSFAGPIYRPSMSFRRWIFYWIRKLTAHATGSRGSIFNACRASDNSGDAVHGVNGGKNEVCIQAVFTLLDNLGQWVDDIKQELALSQSFQSMASKQQASKTKDKIHNSLKNQDHLLVQCTYVSKLLSAIPRVSLARASFRCQAYARSLMYYESYVRGKSGSFNPASERSGIFDDDDVSYLMEIYSSLDEPDGLSGFACLRKSMRLQLQDQLLINKKAGNWAEALTSCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLSGADEEGLLCSSSESNASFDMDVAKILQALMKKDQFSVDERIALSKQALIAPLAAAGMDSYVRAYPFVVKLHLLRELEDFHTLLADDSFLEKSFQLGDHGFSQVMENWENRLRFTQPSIWAREPLLAFRRLVFASSALGAQVGNCWLQYAKLCRMAGHYETANQAILEAQATDAPNVHMEKAKLLWSTRRSDGAIAQLQQSLLNMPVEIVGSSAISSITSLSLVPLNLPPLVCDTQSLNENRDIAKTLLLYSRWIHYTGQKQKEDVLSLYSRVRELQPKWEKGYFYMAKYCEEVLADARKRQEENIELGPGKMPTSSDIVGSSNLKTEKLWWSYVPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSMYLTSGTSSDKDLKSVHMKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEEVVRLVKNIITSVLRKYPQQALWIMAAVSKSTVPSRREAAAEILHAARKEFSQGNTGSNLFVQFASLIDHLIKLCFHAGQPRAKTINISTEFSALKRMMPLGIIMPIQQSLTVSLPTYDGKLTDSLRSDIFSATDLPTISGISDEAEILSSLQRPKKIVLMGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYVRTFAVIPLTEDCGMVEWVSHTRGLRHILQDIYINCGKFDRQKTNPQIKRIYDQCHGKIPEDEMLKDKILPMFPPVFHKWFLTTFSEPAAWFRARIAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRMHKETLMSVLETFIHDPLVEWTKSHKLSGVEVQNPHAQRAISNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGRMYIWWMPWF >ONH92358 pep chromosome:Prunus_persica_NCBIv2:G8:17469403:17483747:-1 gene:PRUPE_8G170300 transcript:ONH92358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSSLLHELRERIAATSATPPNHADDDVLDARFRAVLPNLLHAYVVPSPSANEREVTAVLKLIAHTARNFPGVFYHGKASAILPLLGRILPFFAEPAFRCNVYCFSSRHGVIFETVGSLLSLLRTGARDAYRQFFIDSMIVVEDLLYVASVCADVSNITESTKLTLKCFCESFNAILDDPDHLGDLPASNKPNDGIGILINLTGKIRWQPFAKWMIKLLGKCLTEGTLYVEGLINVSFVTAACSLLCYGDADVHMACFEFACVVGSAINYDIIPHQKIIQSISTMLREDKEGLPVFRNIIYDSSIGGCLDALHCSCPEDVVKLTAADLVDVLPHSMRSTKSQELKVALCNAYMRIAKMCPPHIWRPESLIYVLSCPEPCFSLIDCLQVALSILGPDLVGSRIANSNCQSISALTDKSIENSRVGEKRPIQDVDTFKIKRQKVDKEIMSSDSNFQVERKRTHTVACEREDYADYMHQSLLSFVEILKSPGVNPDSLRPEVALTALSMLCIAFCRYPETNLSQSIFNQMYAWIPWVCQQAKRENSVALDISIYLEGIHSMLLSQSPLAMGSMENKDVDADLMYVVKLPWTHSLVNNTGPHHPWKTKCTSVQVACKLGHRTGTETQLEVLDLSLNDEFEQVNIEAIISMPVIVIWSGFGGLSRIFRRLEFLRGERPEKVRNIIPFSLGLLSCLYGSCNDGDGTNRSQCKLVLNMKNEKHGRTADSLLQGFWCSKCDRSVRQNTAVYSKVIRPPDMNSREMSLDCNFSHLQSLFLELLYDESEEVQVACVKNIYRILIHGGRDNLIKSRFEWIKCVEYLLLNGKNAVRDAFCTQISSFLDDSVMSYLFLDEDTSNKSKEAEFCNIIEHAFSETKDPQIFETLLESTAEIMIAVDIHSQLFLFSLFLLVDQLDNPHMTVRMNASRLIHKACYFHLKGGFEQILLKVVHVRNELFDYLSARLSSRPIMVKEFTESILGIETEDLVKKMIPVVLPKLVVSQQDDKQALDTLYELAKCLNTDLVPLVVNWLPKVLAFVLHRADVRELAVALQFYHTQTGSDKQEIFAAALPALLDELICFLDGGDSDEINRRLARVPEMIKEVARVLTGGEDLPSFLRNHFVGLLNSIDRKMLHAEDFSLQKQALQRIEMLIKMMGSHLNTYVPKLMVLLMHAIGKEVLQSEGLSVLYFFIVQLVKVSPASTKHVISQVFAALIPFLERNEENPIHLDKVVKILEELVLNNRVILKQNVREFPPLPGIPSLIEVNKAIQEARGSMTLKDRLRDVVDGLNHESLNVRYMVVCELSKLLSLKQEEVTALITAESGTDMDILSSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVQGFSCQRFKIECSDDDLIYELIHKHLARAFRAAPDTIIQDSAALAIQELLKIAGCEASLDENAAASTSGTPKDKEPLNVDASGIMGIDGSSKMNRRGQILWDRFSNYVKEIIAPCLTSRFQLPNVADSSFAGPIYRPSMSFRRWIFYWIRKLTAHATGSRGSIFNACRGIVRHDMQTAIYLLPYLVLNAVCHGTEEARHGITEEILYVLDIAASDNSGDAVHGVNGGKNEVCIQAVFTLLDNLGQWVDDIKQELALSQSFQSMASKQQASKTKDKIHNSLKNQDHLLVQCTYVSKLLSAIPRVSLARASFRCQAYARSLMYYESYVRGKSGSFNPASERSGIFDDDDVSYLMEIYSSLDEPDGLSGFACLRKSMRLQLQDQLLINKKAGNWAEALTSCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLSGADEEGLLCSSSESNASFDMDVAKILQALMKKDQFSVDERIALSKQALIAPLAAAGMDSYVRAYPFVVKLHLLRELEDFHTLLADDSFLEKSFQLGDHGFSQVMENWENRLRFTQPSIWAREPLLAFRRLVFASSALGAQVGNCWLQYAKLCRMAGHYETANQAILEAQATDAPNVHMEKAKLLWSTRRSDGAIAQLQQSLLNMPVEIVGSSAISSITSLSLVPLNLPPLVCDTQSLNENRDIAKTLLLYSRWIHYTGQKQKEDVLSLYSRVRELQPKWEKGYFYMAKYCEEVLADARKRQEENIELGPGKMPTSSDIVGSSNLKTEKLWWSYVPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSMYLTSGTSSDKDLKSVHMKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEEVVRLVKNIITSVLRKYPQQALWIMAAVSKSTVPSRREAAAEILHAARKEFSQGNTGSNLFVQFASLIDHLIKLCFHAGQPRAKTINISTEFSALKRMMPLGIIMPIQQSLTVSLPTYDGKLTDSLRSDIFSATDLPTISGISDEAEILSSLQRPKKIVLMGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYVRTFAVIPLTEDCGMVEWVSHTRGLRHILQDIYINCGKFDRQKTNPQIKRIYDQCHGKIPEDEMLKDKILPMFPPVFHKWFLTTFSEPAAWFRARIAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQRAISNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGRMYIWWMPWF >ONH92360 pep chromosome:Prunus_persica_NCBIv2:G8:17470642:17483290:-1 gene:PRUPE_8G170300 transcript:ONH92360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQAQFFLCSAASCLSSQNRRFGKRSRHGVIFETVGSLLSLLRTGARDAYRQFFIDSMIVVEDLLYVASVCADVSNITESTKLTLKCFCESFNAILDDPDHLGDLPASNKPNDGIGILINLTGKIRWQPFAKWMIKLLGKCLTEGTLYVEGLINVSFVTAACSLLCYGDADVHMACFEFACVVGSAINYDIIPHQKIIQSISTMLREDKEGLPVFRNIIYDSSIGGCLDALHCSCPEDVVKLTAADLVDVLPHSMRSTKSQELKVALCNAYMRIAKMCPPHIWRPESLIYVLSCPEPCFSLIDCLQVALSILGPDLVGSRIANSNCQSISALTDKSIENSRVGEKRPIQDVDTFKIKRQKVDKEIMSSDSNFQVERKRTHTVACEREDYADYMHQSLLSFVEILKSPGVNPDSLRPEVALTALSMLCIAFCRYPETNLSQSIFNQMYAWIPWVCQQAKRENSVALDISIYLEGIHSMLLSQSPLAMGSMENKDVDADLMYVVKLPWTHSLVNNTGPHHPWKTKCTSVQVACKLGHRTGTETQLEVLDLSLNDEFEQVNIEAIISMPVIVIWSGFGGLSRIFRRLEFLRGERPEKVRNIIPFSLGLLSCLYGSCNDGDGTNRSQCKLVLNMKNEKHGRTADSLLQGFWCSKCDRSVRQNTAVYSKVIRPPDMNSREMSLDCNFSHLQSLFLELLYDESEEVQVACVKNIYRILIHGGRDNLIKSRFEWIKCVEYLLLNGKNAVRDAFCTQISSFLDDSVMSYLFLDEDTSNKSKEAEFCNIIEHAFSETKDPQIFETLLESTAEIMIAVDIHSQLFLFSLFLLVDQLDNPHMTVRMNASRLIHKACYFHLKGGFEQILLKVVHVRNELFDYLSARLSSRPIMVKEFTESILGIETEDLVKKMIPVVLPKLVVSQQDDKQALDTLYELAKCLNTDLVPLVVNWLPKVLAFVLHRADVRELAVALQFYHTQTGSDKQEIFAAALPALLDELICFLDGGDSDEINRRLARVPEMIKEVARVLTGGEDLPSFLRNHFVGLLNSIDRKMLHAEDFSLQKQALQRIEMLIKMMGSHLNTYVPKLMVLLMHAIGKEVLQSEGLSVLYFFIVQLVKVSPASTKHVISQVFAALIPFLERNEENPIHLDKVVKILEELVLNNRVILKQNVREFPPLPGIPSLIEVNKAIQEARGSMTLKDRLRDVVDGLNHESLNVRYMVVCELSKLLSLKQEEVTALITAESGTDMDILSSLITSLLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVQGFSCQRFKIECSDDDLIYELIHKHLARAFRAAPDTIIQDSAALAIQELLKIAGCEASLDENAAASTSGTPKDKEPLNVDASGIMGIDGSSKMNRRGQILWDRFSNYVKEIIAPCLTSRFQLPNVADSSFAGPIYRPSMSFRRWIFYWIRKLTAHATGSRGSIFNACRGIVRHDMQTAIYLLPYLVLNAVCHGTEEARHGITEEILYVLDIAASDNSGDAVHGVNGGKNEVCIQAVFTLLDNLGQWVDDIKQELALSQSFQSMASKQQASKTKDKIHNSLKNQDHLLVQCTYVSKLLSAIPRVSLARASFRCQAYARSLMYYESYVRGKSGSFNPASERSGIFDDDDVSYLMEIYSSLDEPDGLSGFACLRKSMRLQLQDQLLINKKAGNWAEALTSCEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPQYKKTWCMQGVQAAWRLGRWDLMDEYLSGADEEGLLCSSSESNASFDMDVAKILQALMKKDQFSVDERIALSKQALIAPLAAAGMDSYVRAYPFVVKLHLLRELEDFHTLLADDSFLEKSFQLGDHGFSQVMENWENRLRFTQPSIWAREPLLAFRRLVFASSALGAQVGNCWLQYAKLCRMAGHYETANQAILEAQATDAPNVHMEKAKLLWSTRRSDGAIAQLQQSLLNMPVEIVGSSAISSITSLSLVPLNLPPLVCDTQSLNENRDIAKTLLLYSRWIHYTGQKQKEDVLSLYSRVRELQPKWEKGYFYMAKYCEEVLADARKRQEENIELGPGKMPTSSDIVGSSNLKTEKLWWSYVPDVLLFYAKGLHRGHKNLFQALPRLLTLWFDFGSMYLTSGTSSDKDLKSVHMKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEEVVRLVKNIITSVLRKYPQQALWIMAAVSKSTVPSRREAAAEILHAARKEFSQGNTGSNLFVQFASLIDHLIKLCFHAGQPRAKTINISTEFSALKRMMPLGIIMPIQQSLTVSLPTYDGKLTDSLRSDIFSATDLPTISGISDEAEILSSLQRPKKIVLMGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYVRTFAVIPLTEDCGMVEWVSHTRGLRHILQDIYINCGKFDRQKTNPQIKRIYDQCHGKIPEDEMLKDKILPMFPPVFHKWFLTTFSEPAAWFRARIAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRMHKETLMSVLETFIHDPLVEWTKSHKLSGVEVQNPHAQRAISNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGRMYIWWMPWF >ONH91691 pep chromosome:Prunus_persica_NCBIv2:G8:15340293:15342767:1 gene:PRUPE_8G130400 transcript:ONH91691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESHQQLHMFFFPFMAQGHNIPFIDIAKLFASHGVKSTLITTPVNAPLFSKAIQSSKNLGFEIELLVIKFPNEEVGLPQGCESTTFLLEPQIEQILDQHRPHCLVADSFFPWATDVAAKFGISRLIFHGTGFFPSCASLTVILHQPHKKVESDSELFTIPNFEIKMTRTQILRFFEKNSESIMTKLFKESRESEERSYGIIVNSFYELEPDFADHYRNVFGRKAWHIGPIRGMEASLDEHECLNWLNSKKPNSVVYICFGSQTNFADVELLEIALRLEASGQEFIWVVKKEKKEKDEWLPEGFESRVEGKGLIIRGWGPQVLILEHQAIGAFVTHCGWNSILEGVSSGVPMITWPVSAEQFYNEKLVTDIHSTGVAVGSKQWASFVDVKKEASVKREAIEKAVTLVMVGDAAEEMRGRARTLGEMAKRAVEEGGSSFSDLTALIEELRSLGA >ONH93164 pep chromosome:Prunus_persica_NCBIv2:G8:19834248:19835849:1 gene:PRUPE_8G216700 transcript:ONH93164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLTGFLIFSGLFTGLVVAADWNILKNQKNKNNGVGDGLKKYCESWRINVEVNNIRGFDVVPQECVQYIQKYMTSSQYKADSEKALEEVTFYLSSCCTLEGDGKDAWIFDVDDTLLSTIPYFKTHGFGGEKINATSMEARMRESKAPALGHTLKLFHEIKNRGLKIFLISSRRENLRSSSVDNLIKVGYHGWTGLILRGLEDEFMEVQKYKSKARHRLVDEGYLIWGIIGDQWSSFEGLPIAKRTFKLPNSMYYN >ONH93844 pep chromosome:Prunus_persica_NCBIv2:G8:21857607:21860590:-1 gene:PRUPE_8G256200 transcript:ONH93844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGTFALYSLLCRYAKVGLTPSQQAEDRDVSNFELELPSKRLKRASRLKSKLENSPFAKVFLLFATMLGTSMVIGDGVLTPCISVLSAVGGIKEATSAMTEDRIVWISIAILICLFMVQRFGTDKVGYTFAPIICVWFTLIGGIGVYNFIKFDPTVVKALNPQYIVDYFRRNKKDAWISLGGIVLAITGTEALFADVGHFTVRSIQISMCAVTYPALILAYTGQASFLRNHHHLVYETFFKSIPGPLYWPMFVVAVLASIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSARYAGQVYIPEVNYLLMLACVGVTLGFRTTAKIGNAYGIAVVFVMTLTSSFLVLIMIMIWKTNIFLVISYVLVIGSVELMYLSSVLYKFDQGGYLPLAFAMVLMIIMFVWNDVHRRKYYYELDHKISPVQLKEIAVSANFCRMPGLAMFYSELVQGIPPIFNHYAANVPALHSVLVFVSIKSLPISKVPLEERFLFRRVEPKELNVFRCVARYGYTDVRNEHEPFEGLLVEKLKEFIKDSFWISQRNMDDNNGEKFDIKEEEFDDGLANGENGNEDVKQVDDQEKQQDLLDEDIEAIDKAWRWGVVHLIGENEVTAAKGAGIVKRILIDYAYNFLKRNLRQSDKVFDIPHKRMLKVGMTYEL >ONH93843 pep chromosome:Prunus_persica_NCBIv2:G8:21857607:21861929:-1 gene:PRUPE_8G256200 transcript:ONH93843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDEEVILENATDHQEVPDHHQNQELKSKKLSWQKLRRYDSLDLESRSFTAHHGHASKGAEWSVILHLAFQSIGIVYGDIGTSPLYVYSSTFTKGINHDDDILGVLSLILYTLTLIPLIKYVFVVLRANDNGDGGTFALYSLLCRYAKVGLTPSQQAEDRDVSNFELELPSKRLKRASRLKSKLENSPFAKVFLLFATMLGTSMVIGDGVLTPCISVLSAVGGIKEATSAMTEDRIVWISIAILICLFMVQRFGTDKVGYTFAPIICVWFTLIGGIGVYNFIKFDPTVVKALNPQYIVDYFRRNKKDAWISLGGIVLAITGTEALFADVGHFTVRSIQISMCAVTYPALILAYTGQASFLRNHHHLVYETFFKSIPGPLYWPMFVVAVLASIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSARYAGQVYIPEVNYLLMLACVGVTLGFRTTAKIGNAYGIAVVFVMTLTSSFLVLIMIMIWKTNIFLVISYVLVIGSVELMYLSSVLYKFDQGGYLPLAFAMVLMIIMFVWNDVHRRKYYYELDHKISPVQLKEIAVSANFCRMPGLAMFYSELVQGIPPIFNHYAANVPALHSVLVFVSIKSLPISKVPLEERFLFRRVEPKELNVFRCVARYGYTDVRNEHEPFEGLLVEKLKEFIKDSFWISQRNMDDNNGEKFDIKEEEFDDGLANGENGNEDVKQVDDQEKQQDLLDEDIEAIDKAWRWGVVHLIGENEVTAAKGAGIVKRILIDYAYNFLKRNLRQSDKVFDIPHKRMLKVGMTYEL >ONH93845 pep chromosome:Prunus_persica_NCBIv2:G8:21857607:21860224:-1 gene:PRUPE_8G256200 transcript:ONH93845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSAVGGIKEATSAMTEDRIVWISIAILICLFMVQRFGTDKVGYTFAPIICVWFTLIGGIGVYNFIKFDPTVVKALNPQYIVDYFRRNKKDAWISLGGIVLAITGTEALFADVGHFTVRSIQISMCAVTYPALILAYTGQASFLRNHHHLVYETFFKSIPGPLYWPMFVVAVLASIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSARYAGQVYIPEVNYLLMLACVGVTLGFRTTAKIGNAYGIAVVFVMTLTSSFLVLIMIMIWKTNIFLVISYVLVIGSVELMYLSSVLYKFDQGGYLPLAFAMVLMIIMFVWNDVHRRKYYYELDHKISPVQLKEIAVSANFCRMPGLAMFYSELVQGIPPIFNHYAANVPALHSVLVFVSIKSLPISKVPLEERFLFRRVEPKELNVFRCVARYGYTDVRNEHEPFEGLLVEKLKEFIKDSFWISQRNMDDNNGEKFDIKEEEFDDGLANGENGNEDVKQVDDQEKQQDLLDEDIEAIDKAWRWGVVHLIGENEVTAAKGAGIVKRILIDYAYNFLKRNLRQSDKVFDIPHKRMLKVGMTYEL >ONH93846 pep chromosome:Prunus_persica_NCBIv2:G8:21857607:21860029:-1 gene:PRUPE_8G256200 transcript:ONH93846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHEITIKFDLLILQNAGTEALFADVGHFTVRSIQISMCAVTYPALILAYTGQASFLRNHHHLVYETFFKSIPGPLYWPMFVVAVLASIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSARYAGQVYIPEVNYLLMLACVGVTLGFRTTAKIGNAYGIAVVFVMTLTSSFLVLIMIMIWKTNIFLVISYVLVIGSVELMYLSSVLYKFDQGGYLPLAFAMVLMIIMFVWNDVHRRKYYYELDHKISPVQLKEIAVSANFCRMPGLAMFYSELVQGIPPIFNHYAANVPALHSVLVFVSIKSLPISKVPLEERFLFRRVEPKELNVFRCVARYGYTDVRNEHEPFEGLLVEKLKEFIKDSFWISQRNMDDNNGEKFDIKEEEFDDGLANGENGNEDVKQVDDQEKQQDLLDEDIEAIDKAWRWGVVHLIGENEVTAAKGAGIVKRILIDYAYNFLKRNLRQSDKVFDIPHKRMLKVGMTYEL >ONH91301 pep chromosome:Prunus_persica_NCBIv2:G8:13593677:13594803:1 gene:PRUPE_8G105100 transcript:ONH91301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGENSFSSSDDARDDHTCGFKCNICLELADQDPIVTPCGHLYCWPCLYRWLRVCSSQSNKLQCPACTTVIKEKKLIPIYGIESDRRSPSVPPGLKIPNRPSPSSSSSNRRSVRQIRNAVASAFSAPFSSSSIGRAVRQTLNAIASALNANHFSKVFEFMPMVLGGIGVVVLVGGYIWWIWELCDRTVDSVNQVLDSVKQVLDAVQQVCDAVERIHSESIRKPSSPSCLLGDDDWDFDCNICFHLPTYIPH >ONH93551 pep chromosome:Prunus_persica_NCBIv2:G8:20969540:20970755:-1 gene:PRUPE_8G237800 transcript:ONH93551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFKAFRLITIPITLLIISLTSINGQISTPCTASMISNFTPCINYITGSSSNGTSPPTQSCCSSVKSLLGTGTDCACLLITASVPVQLPINRTLALSLPRACNMGGIPLQCKASASPLAAPGPALLGPTASSPLSPRASKAVAESPTPQSGTADDLQPTSPPVESEAPTQSTPGSGRLTPSPSASTLSYVSPPSLLLIILGLVVYKSY >ONH91741 pep chromosome:Prunus_persica_NCBIv2:G8:15532993:15535669:-1 gene:PRUPE_8G134400 transcript:ONH91741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQRRRYLIQGKEQEQEKEEVSIPATKQPPATESLGILEAREEELANNRRRKRRRLARWVITRPIGNTGPTHTGSPSPLISDACTPFKPNKIKNNNPISPQPNTRAHPPKMESQSLQPKQIFILSGQSNMAGRGGVFRDHHHHQHWDRVVPNECGPHPSIHRLSAHLQWEPAHEPLHADIDAKVCGVGPGMAFANGVRERVGVVGLVPCAVGGTAIKEWARGEHLYESMVKRARASVKGGGEMKGLLWYQGESDTSTQHDADAYHGNMVKLIENVREDLGLPSLPIIQVAIGSGDAKYIEKVREAQLGMNVPNVVCVDAKGLELKDDHLHLTTKAQVQLGHMLADAYIKHFVSSVANAHPAL >ONH91742 pep chromosome:Prunus_persica_NCBIv2:G8:15533280:15534645:-1 gene:PRUPE_8G134400 transcript:ONH91742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFSSSDSDSDDFMLFVFPILLLEAREEELANNRRRKRRRLARWVITRPIGNTGPTHTGSPSPLISDACTPFKPNKIKNNNPISPQPNTRAHPPKMESQSLQPKQIFILSGQSNMAGRGGVFRDHHHHQHWDRVVPNECGPHPSIHRLSAHLQWEPAHEPLHADIDAKVCGVGPGMAFANGVRERVGVVGLVPCAVGGTAIKEWARGEHLYESMVKRARASVKGGGEMKGLLWYQGESDTSTQHDADAYHGNMVKLIENVREDLGLPSLPIIQVAIGSGDAKYIEKVREAQLGMNVPNVVCVDAKGLELKDDHLHLTTKAQVQLGHMLADAYIKHFVSSVANAHPAL >ONH91833 pep chromosome:Prunus_persica_NCBIv2:G8:15766111:15770155:1 gene:PRUPE_8G138200 transcript:ONH91833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQGGEEVGLQYQWFNTTAASNNSNPIVPSSYTLPENHLRRESASENHHIYWDPTSGNPIVFQNAMLPNNPYQNLTPSQNTNPNDHLFFPANSLPNLSCTNNLNINGTDFADQAQHHQLTAALNRLSISNHHQSGGATLNPRVNSSSGGNGFTAQYQSSHYIREEEEYLERLKNQYILLMAEMDQYMRLHGSPVANHVTTSNHALPHRANFPLTDVGSTYTGASRVGSITSDQFGYGYNPMRPNNPVRNGVISSRGSRYANGSGRQRLSPSYSSSSLEQRGQVMTSNSVAKDGSRFSNGSLRQQHPTAFNLSLEELRGKIALVAKDHVGCRFLQKKVEEGKPEEVEMIFKEVMDADLRDLMVDQFGNEFIQKLVEATNKEGQSKMLDCVMSDEKKLKDICADQHGSRAMQKLLERVETREQQSSFMRLLKRITIPLSKTQPGYYVIQVCLKHFSPESTKGILELVLENCLALAKDKFGCCLVQSSVHYAYTEAKERLVADITEHARVLSEDPYGNYVVQYIIGLKIPRVTADILAQLRGSFVNLSMNKYGSNVIEKSLKEAGEEHVNRIINEMINSPNLLTLLQDPYGNYVAQSALGVSKGAAHNALVQLVRSHYPYLHSHLYGKRVLDKTRGHRNRC >ONH91832 pep chromosome:Prunus_persica_NCBIv2:G8:15766111:15770155:1 gene:PRUPE_8G138200 transcript:ONH91832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQGGEEVGLQYQWFNTTAASNNSNPIVPSSYTLPENHLRRESASENHHIYWDPTSGNPIVFQNAMLPNNPYQNLTPSQNTNPNDHLFFPANSLPNLSCTNNLNINGTDFADQAQHHQLTAALNRLSISNHHQSGGATLNPRVNSSSGGNGFTAQYQSSHYIREEEEYLERLKNQYILLMAEMDQYMRLHGSPVANHVTTSNHALPHRANFPLTDVGSTYTGASRVGSITSDQFGYGYNPMRPNNPVRNGVISSRGSRYANGSGRQRLSPSYSSSSLEQRGQVMTSNSVAKDGSRFSNGSLRQQHPTAFNLSLEELRGKIALVAKDHVGCRFLQKKVEEGKPEEVEMIFKEVMDADLRDLMVDQFGNEFIQKLVEATNKEGQSKMLDCVMSDEKKLKDICADQHGSRAMQKLLERVETREQQSSFMRLLKRITIPLSKTQPGYYVIQVCLKHFSPESTKGILELVLENCLALAKDKFGCCLVQSSVHYAYTEAKERLVADITEHARVLSEDPYGNYVVQYIIGLKIPRVTADILAQLRGSFVNLSMNKYGSNVIEKSLKEAGEEHVNRIINEMINSPNLLTLLQDPYGNYVAQSALGVSKGAAHNALVQLVRSHYPYLHSHLYGKRVLDKTRGHRNRC >ONH91294 pep chromosome:Prunus_persica_NCBIv2:G8:13547404:13560247:1 gene:PRUPE_8G104600 transcript:ONH91294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDFKEYQLRCQLRGHEDDVRGICVCGNAGIATSSRDRTVRLWSLDPSDERRYSESKILLGHTSFVGPLVWISPNEEYPDGGIVSGGMDTMVLVWDLKTGEKVQTLRGHQLQVTGLALDNGDIVSSSVDCTLRRWRKGQSLESWEAHKAPIQAVVKLPSGELVTGSSDTTLKLWRGSTCTHTFVGHTDTVRGLAVMSGLGILSASHDGSIRLWALSGEVLMEMVGHTSIVYSVDSHVSGLIVSSSEDCFAKIWKDGVCVQSIEHPGCVWDAKFLENGDIATACSDGVVRIWTVNQDKIADALEVELYFSQIAQHKISRKRVGGLKLEELPGLEALQIPGTSDGQTKVVREGDNGVAYAWNIREQKWDKIGEVVDGPDGGMKRPVLDGNEYDHVFDVDIGDGEPIRKLPYNRSDNPYDAADKWLLKENLPLSYREQVVAFILQNTGQKDFTLDPSFRDPYTGSSAYVPGQSSNKSASAKPTFKHVPKKGMLVFDVAQFDGILKKITEFNNNLLSDQEKKNLSLNEVEVSRLVAIVKILKDTSHYHSSKFAEVDIAMLLRLLKSWPVAMLFPDFLLEMIKRVATNPSLPANLLTSVRVVTNLFKNSCYYNWLQKHRSEILDVYSSCSSSPNKNLQLSYATLILNYAVLLIEKKDQEGQSQVLSAALQIAEEENLEVDAKFRVLVAIGSLMLEGLVKKIAIDFDVENIAKISKASKETKIAEVGVDIELLTRQS >ONH91293 pep chromosome:Prunus_persica_NCBIv2:G8:13547404:13560247:1 gene:PRUPE_8G104600 transcript:ONH91293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDFKEYQLRCQLRGHEDDVRGICVCGNAGIATSSRDRTVRLWSLDPSDERRYSESKILLGHTSFVGPLVWISPNEEYPDGGIVSGGMDTMVLVWDLKTGEKVQTLRGHQLQVTGLALDNGDIVSSSVDCTLRRWRKGQSLESWEAHKAPIQAVVKLPSGELVTGSSDTTLKLWRGSTCTHTFVGHTDTVRGLAVMSGLGILSASHDGSIRLWALSGEVLMEMVGHTSIVYSVDSHVSGLIVSSSEDCFAKIWKDGVCVQSIEHPGCVWDAKFLENGDIATACSDGVVRIWTVNQDKIADALEVELYFSQIAQHKISRKRVGGLKLEELPGLEALQIPGTSDGQTKVVREGDNGVAYAWNIREQKWDKIGEVVDGPDGGMKRPVLDGNEYDHVFDVDIGDGEPIRKLPYNRSDNPYDAADKWLLKENLPLSYREQVVAFILQNTGQKDFTLDPSFRDPYTGSSAYVPGQSSNKSASAKPTFKHVPKKGMLVFDVAQFDGILKKITEFNNNLLSDQEKKNLSLNEVEVSRLVAIVKILKDTSHYHSSKFAEVDIAMLLRLLKSWPVAMLFPVIDTLRMIVLHPDGATVLLQHAEAQNDFLLEMIKRVATNPSLPANLLTSVRVVTNLFKNSCYYNWLQKHRSEILDVYSSCSSSPNKNLQLSYATLILNYAVLLIEKKDQEGQSQVLSAALQIAEEENLEVDAKFRVLVAIGSLMLEGLVKKIAIDFDVENIAKISKASKETKIAEVGVDIELLTRQS >ONH93741 pep chromosome:Prunus_persica_NCBIv2:G8:21532208:21536280:1 gene:PRUPE_8G249700 transcript:ONH93741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVWKLSDAAQSELLCRHRCSSKTKTLPPYNLTLTNSPNYRFTRDVNAQNHSSSSPSSSSPTSFPSIIGLFIDKPSPQDLRAREDLVHKVTQLRDELVQNSGDSDEFVRVLEEKGSSFFSSYGNGYAVVELMNQLRSWPHLAVEVFYWRRKQVAGGTPMTPEEYAKAITLAGNLVKMEETYELVKHHVNDKEIPLIRAMICAYCKSSAADRVKKIHSLMKLIPENEYRPWLNVLLIRVYAQEDWFEAMEKSIDEAFEHKISVTTTGVMRSIISSYFRCNEVDRLENFVKRAAWARWRTFRSLYHCKMVMYASQKRLEEMESVLNEMENFNLGCTKRTFWILYKAYSRCGQRYKVAKIIGLMWKHGYDVPLDELLS >ONH93740 pep chromosome:Prunus_persica_NCBIv2:G8:21532208:21536280:1 gene:PRUPE_8G249700 transcript:ONH93740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVWKLSDAAQSELLCRHRCSSKTKTLPPYNLTLTNSPNYRFTRDVNAQNHSSSSPSSSSPTSFPSIIGLFIDKPSPQDLRAREDLVHKVTQLRDELVQNSGDSDEFVRVLEEKGSSFFSSYGNGYAVVELMNQLRSWPHLAVEVFYWRRKQVAGGTPMTPEEYAKAITLAGKTKNVELAVELFTEALNKRIKTTSIYNALMSAYMFNGLAAKCQSLFRDLKREPDCSPTIVTYNILISVFGRLMLVDHMEATLQGLNDLNLSPNLSTYNNLIAGYITAWMWDSMEETFQNMKVGPVSPDTSTHLLMLRGYAHAGNLVKMEETYELVKHHVNDKEIPLIRAMICAYCKSSAADRVKKIHSLMKLIPENEYRPWLNVLLIRVYAQEDWFEAMEKSIDEAFEHKISVTTTGVMRSIISSYFRCNEVDRLENFVKRAAWARWRTFRSLYHCKMVMYASQKRLEEMESVLNEMENFNLGCTKRTFWILYKAYSRCGQRYKVAKIIGLMWKHGYDVPLDELLS >ONH89528 pep chromosome:Prunus_persica_NCBIv2:G8:55302:55659:-1 gene:PRUPE_8G000300 transcript:ONH89528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVFNKIKEKLGGRVYFMGSGALPLYPDVMDFLRVCFGCQVIEGYGTTETSCAMSMMDEGDNLSSHVGSSNPARCEFTRLIIQKCI >ONH89703 pep chromosome:Prunus_persica_NCBIv2:G8:834054:837507:-1 gene:PRUPE_8G010600 transcript:ONH89703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVIDLSIGKLASFLESEASLLAGVHDELEEIKLELLTMKAFLADAERKGALSEVEKTWVENVRDVSMDVQDIIDEFQYQVNKQRSWGPYRRAFRQTICFPKGLWERHWIATKLQRIIKTIKAIPERNRRYGVDRIEGLRNSSDHYDPNRVKIYGESSLFFKDDELFGIEDAKAKLVGWLLSGEPQRTVISVVGMGGSGKTTLVANTFKTQTAKFHCYAWLTVSKTYNIEDLLRVLITELSKSAMEDVSQDLSNMSYMHLVEMVANYLQPKRYMIVLDDVWNIYLWSQIHAALPDGAYGSRVMLTTRNEDIASFPFEAGSHVHHVQPLNEKAGWALFSKKAFSSWPNNCCPPELESIAWDLLVKCQGLPLGIAALGALMSTRRLPSDWMKFSSTLNWELSNNPKLDVVKSILLLSFNDLSYRLKHCFLYLCIFPEDYVIDSARLFRLWMAEGFVERVEGPKPEDIAKSYVAELTCRCMVQVVRRDPFGMAKTFKVHDLLREIALSISKAEKFCTIFDEQKTNEDSKAPHRLSMQANYGELQTYRDMSKVRTFFIFAPKISDSSSLEKLPSGFKLLRVLDLKHVPISQLPYEIVHFFNMKYLNLKGTKVKELPRDIGKLHNLETLDIRHSKIRSLPAGIVKLKHLRHLLMYHCNFEALFRSYYFFDGTQVPHDICKLKHLQVLDAIELRDGLIKQLGHLTQLTRTSLTNLREADEKDLCKSIERLRLLEHLFVHTSTEDEVLRLHALPSAPPMLKALGLIGKLESVPLWFHSLYSLTALRLHWSRLTEDFVPHIKALPNLTILRLNNSYLGNQLVFQTGFPRLAELYLMDFSQLNVIIIEKGAMPALQTLVITECMKLEQLPNGIEHLTCLHTFDLVNVPNEIVERIRGEGSLDHDKVQHISEISYHYKSESGWSGERLRSCNEWIVRPSSSQLDILSRDGLPYLPGH >ONH91522 pep chromosome:Prunus_persica_NCBIv2:G8:14732371:14735529:1 gene:PRUPE_8G120700 transcript:ONH91522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLEALLLLHYVAKPESLSLHCCLHVQVQGMVSSCRHSCWSWWDWDWISLSQLLQVLVKRATRGRSDQSWSVPAEAYQSGSET >ONH90549 pep chromosome:Prunus_persica_NCBIv2:G8:8545887:8555581:-1 gene:PRUPE_8G060500 transcript:ONH90549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEGLERGEINGGLASMDSIDSRWVFQDEDDSEVDDEEAEDDVRHRTVVDSEDDEDDDNAEQRLIRTGPRIDSFDVEALEVPGALRNEYEDFSLGRKIIIAFQTLGVVFGDVGTSPLYAFSVMFKKAPINGNEDVIGAMSLVLYTLILIPLLKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSPELERSLKIKERLEASLTLKKLLLTLVLAGTAMVIADGVVTPAMSVVSAVSGLKIGVDAIKQDQVVMISVTFLVILFSVQKFGTSKVGLAVGPALFIWFCSLGGIGIYNLVKYDSSVLKAFNPVHIYYFFKRNSTKAWYSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVLLVLPCLMLGYLGQAAYLMENPDGAEQAFFSSIPNAVFWPVFLIANIAALIASRAMTTATFSCIKQSMALGCFPRLKIIHTSRKFMGQIYIPVVNWFLLVVCLVSICTISSIDEIGNAYDKHYHRAEFHSNFPGVGIDLFLVSFVECGRWKLDNFGLCHNYVFYNVYLELWKQA >ONH90548 pep chromosome:Prunus_persica_NCBIv2:G8:8546328:8555186:-1 gene:PRUPE_8G060500 transcript:ONH90548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEGLERGEINGGLASMDSIDSRWVFQDEDDSEVDDEEAEDDVRHRTVVDSEDDEDDDNAEQRLIRTGPRIDSFDVEALEVPGALRNEYEDFSLGRKIIIAFQTLGVVFGDVGTSPLYAFSVMFKKAPINGNEDVIGAMSLVLYTLILIPLLKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSPELERSLKIKERLEASLTLKKLLLTLVLAGTAMVIADGVVTPAMSVVSAVSGLKIGVDAIKQDQVVMISVTFLVILFSVQKFGTSKVGLAVGPALFIWFCSLGGIGIYNLVKYDSSVLKAFNPVHIYYFFKRNSTKAWYSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVLLVLPCLMLGYLGQAAYLMENPDGAEQAFFSSIPNAVFWPVFLIANIAALIASRAMTTATFSCIKQSMALGCFPRLKIIHTSRKFMGQIYIPVVNWFLLVVCLVSICTISSIDEIGNAYGIAELGVMMMTTILVTIVMLLIWQINIIIVLSFIVIFLGLELTFFSSVLWSVGDGSWIILVFAIIMFFIMFIWNYGSKLKYETEVKQKLSMDLMRELGCNLGTIRAPGIGLLYNELVKGIPAIFGHFLTTLPAIHSMIIFVCIKYVPVPVVPQSERFLFRRVCPKNYHIFRCIARYGYKDVRKESHQTFEQLLIESLEKFIRREAQERSLESDGDDGDIDSEDVTSCSRVLIAPNGSVYSLGVPLLAEYKESSEPISEASTSEEVKPGPPADQTAYDAEQSIERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLIINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >ONH90546 pep chromosome:Prunus_persica_NCBIv2:G8:8545887:8555581:-1 gene:PRUPE_8G060500 transcript:ONH90546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEGLERGEINGGLASMDSIDSRWVFQDEDDSEVDDEEAEDDVRHRTVVDSEDDEDDDNAEQRLIRTGPRIDSFDVEALEVPGALRNEYEDFSLGRKIIIAFQTLGVVFGDVGTSPLYAFSVMFKKAPINGNEDVIGAMSLVLYTLILIPLLKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSPELERSLKIKERLEASLTLKKLLLTLVLAGTAMVIADGVVTPAMSVSAVSGLKIGVDAIKQDQVVMISVTFLVILFSVQKFGTSKVGLAVGPALFIWFCSLGGIGIYNLVKYDSSVLKAFNPVHIYYFFKRNSTKAWYSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVLLVLPCLMLGYLGQAAYLMENPDGAEQAFFSSIPNAVFWPVFLIANIAALIASRAMTTATFSCIKQSMALGCFPRLKIIHTSRKFMGQIYIPVVNWFLLVVCLVSICTISSIDEIGNAYGIAELGVMMMTTILVTIVMLLIWQINIIIVLSFIVIFLGLELTFFSSVLWSVGDGSWIILVFAIIMFFIMFIWNYGSKLKYETEVKQKLSMDLMRELGCNLGTIRAPGIGLLYNELVKGIPAIFGHFLTTLPAIHSMIIFVCIKYVPVPVVPQSERFLFRRVCPKNYHIFRCIARYGYKDVRKESHQTFEQLLIESLEKFIRREAQERSLESDGDDGDIDSEDVTSCSRVLIAPNGSVYSLGVPLLAEYKESSEPISEASTSEEVKPGPPADQTAYDAEQSIERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLIINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >ONH90547 pep chromosome:Prunus_persica_NCBIv2:G8:8545887:8555581:-1 gene:PRUPE_8G060500 transcript:ONH90547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEGLERGEINGGLASMDSIDSRWVFQDEDDSEVDDEEAEDDVRHRTVVDSEDDEDDDNAEQRLIRTGPRIDSFDVEALEVPGALRNEYEDFSLGRKIIIAFQTLGVVFGDVGTSPLYAFSVMFKKAPINGNEDVIGAMSLVLYTLILIPLLKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSPELERSLKIKERLEASLTLKKLLLTLVLAGTAMVIADGVVTPAMSVVSAVSGLKIGVDAIKQDQVVMISVTFLVILFSVQKFGTSKVGLAVGPALFIWFCSLGGIGIYNLVKYDSSVLKAFNPVHIYYFFKRNSTKAWYSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVLLVLPCLMLGYLGQAAYLMENPDGAEQAFFSSIPSIAELGVMMMTTILVTIVMLLIWQINIIIVLSFIVIFLGLELTFFSSVLWSVGDGSWIILVFAIIMFFIMFIWNYGSKLKYETEVKQKLSMDLMRELGCNLGTIRAPGIGLLYNELVKGIPAIFGHFLTTLPAIHSMIIFVCIKYVPVPVVPQSERFLFRRVCPKNYHIFRCIARYGYKDVRKESHQTFEQLLIESLEKFIRREAQERSLESDGDDGDIDSEDVTSCSRVLIAPNGSVYSLGVPLLAEYKESSEPISEASTSEEVKPGPPADQTAYDAEQSIERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLIINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >ONH91556 pep chromosome:Prunus_persica_NCBIv2:G8:14888477:14896493:-1 gene:PRUPE_8G123100 transcript:ONH91556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALSLALGAKGIFVTVVDFSEERGKEVASLVQKENAKFHTNLGFPSAMFVKCDVTDTGNIAAAFEKHLATFGGLDICINSAGISNPIPFNKDETDGTRSWRLTIDVNLIAIIDCTRLAIKTMQAVQKPGVIINMGSASGLYPLYSDPIYSGSKGGVVQFTRSLVPYKRQGIRINVLCPEFVETDMGSKVGSKFVSLMDGFVPMEMVVKGAFELITDESKAGSCLWITIRRGMEYWPTPAEEAKYLVSQRKRAGAKAPFQAPLNLQLPQSFEKLVVHTLSHNFRNATGTVRAPLRLPIIPHHVLVKVIYAGVNASDVNYSSGRYFGGSNKDLESRLPFDCGFEAVGIIAAVGESVTNLKVGTPAAIMTFGSYAEFTMVPSKHILPVERPDPEVVAMLTSGLTASIALEKAGAGQLESGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGEEKAKHLKEFGVDRVIDYKAEDIKTVLKKEFPKGVDIIYESVGGDMFDLCLNALAVYGRLIVIGMISQYQGEHGWKPSNYPGLCEKLLAKSQTVAGFFLVQYSHLWQEHLDKLFHLFSVGKLKVSLDPKQFLGVHSVANAVEYLHSGCCLH >ONH91557 pep chromosome:Prunus_persica_NCBIv2:G8:14890318:14896493:-1 gene:PRUPE_8G123100 transcript:ONH91557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALSLALGAKGIFVTVVDFSEERGKEVASLVQKENAKFHTNLGFPSAMFVKCDVTDTGNIAAAFEKHLATFGGLDICINSAGISNPIPFNKDETDGTRSWRLTIDVNLIAIIDCTRLAIKTMQAVQKPGVIINMGSASGLYPLYSDPIYSGSKGGVVQFTRSLVPYKRQGIRINVLCPEFVETDMGSKVGSKFVSLMDGFVPMEMVVKGAFELITDESKAGSCLWITIRRGMEYWPTPAEEAKYLVSQRKRAGAKAPFQAPLNLQLPQSFEKLVVHTLSHNFRNATGTVRAPLRLPIIPHHVLVKVIYAGVNASDVNYSSGRYFGGSNKDLESRLPFDCGFEAVGIIAAVGESVTNLKVGTPAAIMTFGSYAEFTMVPSKHILPVERPDPEVVAMLTSGLTASIALEKAGAGQLESGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGEEKAKHLKEFGVDRVIDYKAEDIKTVFNLSKIHCLIVNLNLALINNESSFCILLK >ONH91555 pep chromosome:Prunus_persica_NCBIv2:G8:14888758:14896231:-1 gene:PRUPE_8G123100 transcript:ONH91555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGLSALVTGGASGIGKALSLALGAKGIFVTVVDFSEERGKEVASLVQKENAKFHTNLGFPSAMFVKCDVTDTGNIAAAFEKHLATFGGLDICINSAGISNPIPFNKDETDGTRSWRLTIDVNLIAIIDCTRLAIKTMQAVQKPGVIINMGSASGLYPLYSDPIYSGSKGGVVQFTRSLVPYKRQGIRINVLCPEFVETDMGSKVGSKFVSLMDGFVPMEMVVKGAFELITDESKAGSCLWITIRRGMEYWPTPAEEAKYLVSQRKRAGAKAPFQAPLNLQLPQSFEKLVVHTLSHNFRNATGTVRAPLRLPIIPHHVLVKVIYAGVNASDVNYSSGRYFGGSNKDLESRLPFDCGFEAVGIIAAVGESVTNLKVGTPAAIMTFGSYAEFTMVPSKHILPVERPDPEVVAMLTSGLTASIALEKAGAGQLESGKVVLVTAAAGGTGQFAVQLAKLAGNTVVATCGGEEKAKHLKEFGVDRVIDYKAEDIKTVLKKEFPKGVDIIYESVGGDMFDLCLNALAVYGRLIVIGMISQYQGEHGWKPSNYPGLCEKLLAKSQTVAGFFLVQYSHLWQEHLDKLFHLFSVGKLKVSLDPKQFLGVHSVANAVEYLHSGKSVGKVVVCIDPTFNQQTARL >ONH91706 pep chromosome:Prunus_persica_NCBIv2:G8:15415364:15418979:1 gene:PRUPE_8G131800 transcript:ONH91706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYRFSSHYSSAPPHYSFPNYSSMSISGIQGQLLEVTVVACNKLKDTEWFSRQDPYVCLEYANTKHRTRTCTDGGKNPTFQEKFVFPLVEGLRELNVLVWNSNTVTLDDFIGEGKVQLQKVLSQGYDDSAWTLQTKTGRYAGEVRLIMHYAKTNKPTASYAPSAPPYAAPHVPQSHLYSTPPPPAPYGPPASGPYPPPPGGYPAPSPYPSYSPSSAAYPPSTYGPPPSAYPPPPYPPTSAYPPSTYPPPPQPSPYYPPGPFPGLYPPPPPPY >ONH91707 pep chromosome:Prunus_persica_NCBIv2:G8:15415364:15418979:1 gene:PRUPE_8G131800 transcript:ONH91707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYRFSSHYSSAPPHYSFPNYSSMSISGIQGQLLEVTVVACNKLKDTEWFSRQDPYVCLEYANTKHRTRTCTDGGKNPTFQEKFVFPLVEGLRELNVLVWNSNTVTLDDFIGEGKVQLQKVLSQGYDDSAWTLQTKTGRYAGEVRLIMHYAKTNKPTASYAPSAPPYAAPHVPQSHLYSTPPPPAPYGPPASGPYPPPPGGYPAPSPYPSYSPSSAAYPPSTYGPPPSAYPPPPYPPTSAYPPSTYPPPPQPSPYYPPGNFISSYVSYFLLPI >ONH92018 pep chromosome:Prunus_persica_NCBIv2:G8:16361784:16362457:-1 gene:PRUPE_8G150200 transcript:ONH92018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLTSLCFIALILFLSLSSSAARPLPSAFDANAMKAQDELKDVEVEKAMVEESCEGVGEEECLMRRTLAAHIDYIYTQKNNP >ONH93329 pep chromosome:Prunus_persica_NCBIv2:G8:20295651:20297935:-1 gene:PRUPE_8G226100 transcript:ONH93329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSFLGRVLFASVFILSAYQEFNEYESDGGPAAKAFGPKFDVFTKHVQSHIGKQVPEIEIAHLVAASIALKGIGGILFIFGTSLGAYLLNMALFGALLFFIGMKNSISWRQPKKKVQKTKTV >ONH93330 pep chromosome:Prunus_persica_NCBIv2:G8:20295877:20297750:-1 gene:PRUPE_8G226100 transcript:ONH93330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSFLGRVLFASVFILSAYQEFNEYESDGGPAAKAFGPKFDVFTKHVQSHIGKQVPEIEIAHLVAASIALKGIGGILFIFGTSLGAYLLLLHQMIATPILYDFYNYDNEEKEFTQLFIKFTQNMALFGALLFFIGMKNSISWRQPKKKVQKTKTV >ONH90761 pep chromosome:Prunus_persica_NCBIv2:G8:10655961:10664355:-1 gene:PRUPE_8G073100 transcript:ONH90761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLSIQRVRNLKALRPAIFALRSSHFSTAAEPSSKQGSPPSVPNLIGGSFVKSQSSASIDVINPATQEVVSQVPLTTNEEFKAAVSAAKQAFQSWRHTPVTARQRVMFKLQELIRRDIDKLALNITTEQGKTLKDAHGDVFRGLEVVEHACGTATLQMGEYVSNVSNGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPLAVTCGNTFVLKPSEKDPGASVILAELAMEAGLPDGVLNIVHGTNDIVNAICDDEDIKAVSFVGSNTAGMHIYSRASAKGKRVQSNMGAKNHAIVMPDASADATMNALVAAGFGAAGQRCMALSTVVFVGGSKSWENKLVEAAKALKVSAGTEPDADLGPVISKQAKERICKLIQSGVESGAKLLLDGRNIAVPGYEHGNFIGPTILSNVTADMECHKEEIFGPVLLCLEADSLEEAISFVNRNKYGNGASIFTTSGVAARKFQTEIEAGQVGINVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVNFFTQIKTVTQQWKNLPGSGVVNLAMPTSQKA >ONH90762 pep chromosome:Prunus_persica_NCBIv2:G8:10656199:10662966:-1 gene:PRUPE_8G073100 transcript:ONH90762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYVSNVSNGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPLAVTCGNTFVLKPSEKDPGASVILAELAMEAGLPDGVLNIVHGTNDIVNAICDDEDIKAVSFVGSNTAGMHIYSRASAKGKRVQSNMGAKNHAIVMPDASADATMNALVAAGFGAAGQRCMALSTVVFVGGSKSWENKLVEAAKALKVSAGTEPDADLGPVISKQAKERICKLIQSGVESGAKLLLDGRNIAVPGYEHGNFIGPTILSNVTADMECHKEEIFGPVLLCLEADSLEEAISFVNRNKYGNGASIFTTSGVAARKFQTEIEAGQVGINVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVNFFTQIKTVTQQWKNLPGSGVVNLAMPTSQKA >ONH92703 pep chromosome:Prunus_persica_NCBIv2:G8:18564288:18565553:1 gene:PRUPE_8G190800 transcript:ONH92703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNLKTTVADWSGLPQELVVSIAKQIAFLEDFIAFGAVCKSWRSAAAKENFTSRLKHQGPFLMLPQEHGAAIQAEGKVCCSCSGWLITLQGLQFNLLHPLNRSQIKLPRFTDSSAKLSPGRFYAVSHNGRVSVFDIENPTKEAKTEVVVPRLPRELLVHISPGRVKSLVESAGDLLVVSAHEEGYERAPKFRVFKVPLRDGNWQLDSEVKDLGNRTLFLGDNNTSFSVLASDYSGCEPNCIYFFSYISQQYIYSRQPHNYLLPSRENIDIGIFHMKNGRIQEHFYWEQRRFPTFGTPSLGFSPNGE >ONH91385 pep chromosome:Prunus_persica_NCBIv2:G8:14063335:14064820:-1 gene:PRUPE_8G111300 transcript:ONH91385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVKNPSAIESFGVPKTSPLRGSVGGGTGLMIECSSRPQKKATAHHRKTRPRKTQPWDVKRKPTVYIGLLCR >ONH91390 pep chromosome:Prunus_persica_NCBIv2:G8:14063085:14064858:-1 gene:PRUPE_8G111300 transcript:ONH91390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVKNPSAIESFGVPKTSPLRGSVGGGTGLMIECSSRPQKKATAHHRKTRPRKTQPWDVKRKPTVYIGLLCR >ONH91392 pep chromosome:Prunus_persica_NCBIv2:G8:14063242:14064850:-1 gene:PRUPE_8G111300 transcript:ONH91392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVKNPSAIESFGVPKTSPLRGSVGGGTGLMIECSSRPQKKATAHHRKTRPRKTQPWDVKRKPTVYIGLLCR >ONH91386 pep chromosome:Prunus_persica_NCBIv2:G8:14063084:14064858:-1 gene:PRUPE_8G111300 transcript:ONH91386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVKNPSAIESFGVPKTSPLRGSVGGGTGLMIECSSRPQKKATAHHRKTRPRKTQPWDVKRKPTVYIGLLCR >ONH91388 pep chromosome:Prunus_persica_NCBIv2:G8:14064527:14064751:-1 gene:PRUPE_8G111300 transcript:ONH91388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVKNPSAIESFGVPKTSPLRGSVGGGTGLMIECSSRPQKKATAHHRKTRPRKTQPWDVKRKPTVYIGLLCR >ONH91387 pep chromosome:Prunus_persica_NCBIv2:G8:14064527:14064751:-1 gene:PRUPE_8G111300 transcript:ONH91387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVKNPSAIESFGVPKTSPLRGSVGGGTGLMIECSSRPQKKATAHHRKTRPRKTQPWDVKRKPTVYIGLLCR >ONH91393 pep chromosome:Prunus_persica_NCBIv2:G8:14064527:14064751:-1 gene:PRUPE_8G111300 transcript:ONH91393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVKNPSAIESFGVPKTSPLRGSVGGGTGLMIECSSRPQKKATAHHRKTRPRKTQPWDVKRKPTVYIGLLCR >ONH91391 pep chromosome:Prunus_persica_NCBIv2:G8:14063241:14064851:-1 gene:PRUPE_8G111300 transcript:ONH91391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVKNPSAIESFGVPKTSPLRGSVGGGTGLMIECSSRPQKKATAHHRKTRPRKTQPWDVKRKPTVYIGLLCR >ONH91389 pep chromosome:Prunus_persica_NCBIv2:G8:14063244:14064827:-1 gene:PRUPE_8G111300 transcript:ONH91389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVKNPSAIESFGVPKTSPLRGSVGGGTGLMIECSSRPQKKATAHHRKTRPRKTQPWDVKRKPTVYIGLLCR >ONH93832 pep chromosome:Prunus_persica_NCBIv2:G8:21810054:21815662:-1 gene:PRUPE_8G255500 transcript:ONH93832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKIIELDQGWDYMQKGITKLKKILEGIPEPQFNSEEYMMLYTTIYNMCTQKPPNDYSQQLYDKYREAFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYREVNANARVAVIGLIDKEREGEQIDRALLKNVIDIFVEIGMGHMDAYEGDFEAYMLGDSGEYYSRKASNWILEDSCPDYMLKVSECLKREKERVSHYLHSSSEQKLVEKVQHELLVVYATQLLDKEHSGCRALLRDDKVEDLSRIYRLYNKIPKGLEPVSSVFKQHVTAEGTALVQQAEDVASNQTSSGAGTQEQVLVRKIIELHDKYMAYVTDCFLNHTLFHKALKEAFEVFCNKAVSGSSSAELLAGFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANEDHEKSILTKLKQQCGGQFTSKMEGMVTDLTLARDNQANFEEYLHNNPDVNPGMDLTVTVLTTGYWPSYKSFDLNLPEEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCNVNGKFEPKNIELVVSTYQAALLLLFNTADKLNYSEILTQLNLTHDDLVRLLHSLSCAKYKILIKEPNTKTISPTDSFEFNSKFTDRMRRIKIPLPPVDERKKVIEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDIKAIKKRIEDLITRDYLERDKENPNMFKYLA >ONH93830 pep chromosome:Prunus_persica_NCBIv2:G8:21809578:21816575:-1 gene:PRUPE_8G255500 transcript:ONH93830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKIIELDQGWDYMQKGITKLKKILEGIPEPQFNSEEYMMLYTTIYNMCTQKPPNDYSQQLYDKYREAFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYREVNANARVAVIGLIDKEREGEQIDRALLKNVIDIFVEIGMGHMDAYEGDFEAYMLGDSGEYYSRKASNWILEDSCPDYMLKAEECLKREKERVSHYLHSSSEQKLVEKVQHELLVVYATQLLDKEHSGCRALLRDDKVEDLSRIYRLYNKIPKGLEPVSSVFKQHVTAEGTALVQQAEDVASNQTSSGAGTQEQVLVRKIIELHDKYMAYVTDCFLNHTLFHKALKEAFEVFCNKAVSGSSSAELLAGFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANEDHEKSILTKLKQQCGGQFTSKMEGMVTDLTLARDNQANFEEYLHNNPDVNPGMDLTVTVLTTGYWPSYKSFDLNLPEEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCNVNGKFEPKNIELVVSTYQAALLLLFNTADKLNYSEILTQLNLTHDDLVRLLHSLSCAKYKILIKEPNTKTISPTDSFEFNSKFTDRMRRIKIPLPPVDERKKVIEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDIKAIKKRIEDLITRDYLERDKENPNMFKYLA >ONH93831 pep chromosome:Prunus_persica_NCBIv2:G8:21810054:21815662:-1 gene:PRUPE_8G255500 transcript:ONH93831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKIIELDQGWDYMQKGITKLKKILEGIPEPQFNSEEYMMLYTTIYNMCTQKPPNDYSQQLYDKYREAFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYREVNANARVAVIGLIDKEREGEQIDRALLKNVIDIFVEIGMGHMDAYEGDFEAYMLGDSGEYYSRKASNWILEDSCPDYMLKVKECLKREKERVSHYLHSSSEQKLVEKVQHELLVVYATQLLDKEHSGCRALLRDDKVEDLSRIYRLYNKIPKGLEPVSSVFKQHVTAEGTALVQQAEDVASNQTSSGAGTQEQVLVRKIIELHDKYMAYVTDCFLNHTLFHKALKEAFEVFCNKAVSGSSSAELLAGFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANEDHEKSILTKLKQQCGGQFTSKMEGMVTDLTLARDNQANFEEYLHNNPDVNPGMDLTVTVLTTGYWPSYKSFDLNLPEEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCNVNGKFEPKNIELVVSTYQAALLLLFNTADKLNYSEILTQLNLTHDDLVRLLHSLSCAKYKILIKEPNTKTISPTDSFEFNSKFTDRMRRIKIPLPPVDERKKVIEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDIKAIKKRIEDLITRDYLERDKENPNMFKYLA >ONH92596 pep chromosome:Prunus_persica_NCBIv2:G8:18241438:18242583:1 gene:PRUPE_8G183200 transcript:ONH92596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFHGRVSKHILSTISNPLRHSSEPPISTSLSIKLRRLSSTADRSGEMEAPEQQPPELIPNRPLRGQRPSNPPTSPLQFLNKNSPISEKRRENPSPPLQDSSFLEKLKLGLDKSKREKPQEVDEPPQPPEEADEIFKKMKETGLIPNAVAMLDGLCKDGLVQDAMKLFGSMREKGTIPEVVIYTAVVDGFCKAQKLEDAKRIFRKMQSNGIIPNAFSYTVLIQGLYRSNKLEDAVEFCAEMLEAGHSPNVATFVGLVDTICKEKDLEEAESVIGKLKQKGYLVNEKAVREFLDKKAPFSPTVWEAIFGKNKSRNFF >ONH92201 pep chromosome:Prunus_persica_NCBIv2:G8:16995501:17000763:-1 gene:PRUPE_8G162300 transcript:ONH92201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDKIAGGSNVGENKSVELEVFEERVAEGSNGLKDDLEDDVFEEAIEIQEHLQEQGTKRDLEDAAAVDGERKAETVGGLGLAVLVKSPSIENFEEAIGVPDDDEDEEEEEAIVNGEEKKGSFVGGNSVDEAAVAGAIDDGQTVKEAVTDETNGLTDDGLVGSREDGVKEVSQIGAGEGIAGLTGGDEVHVKSVVPENVKSETDNVESNGLTDDGLVGSQEVGVKEVSDIGAGTAVLTDGDDVDVKPDVVVENKKPEKDNFDNSISETVPTDEKLDNEAADLDSPQVTEFNKEISKEAGNGQELEENSSSLKIQLEKDVGLLSALDGHPLKVQDDNVAESQNTVHKEGDSAESKDAMPCIEARQEDNKIEELRETLTCTDAEYQDYRNGEVKDSSTLLGPEHHGEKSELKGISSVKQMSGEDGKERAVTSESSAFPETSATGQTEKIQDGDADLRVESNKGDQPLQADEIAREVCNNVAAPEEPEKKESIQAEKGINKVNREQEIQPASVHSSSSGNSTNPTTPPTRPAGLGRAAPLLEPAPRVVQHPRVNGTVSHVQNQQIEDPANGEAEESDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEASGNEPLDFACTIMVLGKTGVGKSATINSIFDEVKFNTDAFQMGTKKVQDVVGTVQGIRVRVIDTPGLLPSWSDQRQNEKILLAVNRFIKKTPPDIVLYLDRLDMQSRDFSDMPLLRTITDIFGASIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANALLKLQDSPPGKPFATRSRAPPLPFLLSSLLQSRPQLKLPEEQFGDDDSLDDELDESSDSDDESEYDELPPFKRLTKAQVEKLSKAQKKAYFDELEYREKLFMKKQLKEEKKRRKLMKKLAASAMELPNDYGENVEEESSGAASVPVPMPDLALPASFDSDNPSHRYRYLDSSNQWIVRPVLETHGWDHDVGYEGINAERLFVVKDKIPLSFSGQVTKDKKDANVQMEVASSIKYGEGKATSLGFDMQTVGKDLAYTLRSDTRFSNFKKNKATAGLSVTLLGDALSAGMKVEDKFIANKRCQMVMTGGAMTARGDIAYGCTLEAQLRDKDYPLGRSLSTLSLSVMDWHGDLAIGGNIQSQIPVGRHTNLIARANVNNRGAGQISVRLNSSEQLQIALFGLIPLLRKFFTYPQQLQYGQ >ONH92202 pep chromosome:Prunus_persica_NCBIv2:G8:16996284:17000243:-1 gene:PRUPE_8G162300 transcript:ONH92202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDKIAGGSNVGENKSVELEVFEERVAEGSNGLKDDLEDDVFEEAIEIQEHLQEQGTKRDLEDAAAVDGERKAETVGGLGLAVLVKSPSIENFEEAIGVPDDDEDEEEEEAIVNGEEKKGSFVGGNSVDEAAVAGAIDDGQTVKEAVTDETNGLTDDGLVGSREDGVKEVSQIGAGEGIAGLTGGDEVHVKSVVPENVKSETDNVESNGLTDDGLVGSQEVGVKEVSEIGAGGEKGVLTDADEVDLKPDGLVGSQEVGVEEVSDIGAGTAVLTDGDDVDVKPDVVVENKKPEKDNFDNSISETVPTDEKLDNEAADLDSPQVTEFNKEISKEAGNGQELEENSSSLKIQLEKDVGLLSALDGHPLKVQDDNVAESQNTVHKEGDSAESKDAMPCIEARQEDNKIEELRETLTCTDAEYQDYRNGEVKDSSTLLGPEHHGEKSELKGISSVKQMSGEDGKERAVTSESSAFPETSATGQTEKIQDGDADLRVESNKGDQPLQADEIAREVCNNVAAPEEPEKKESIQAEKGINKVNREQEIQPASVHSSSSGNSTNPTTPPTRPAGLGRAAPLLEPAPRVVQHPRVNGTVSHVQNQQIEDPANGEAEESDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEASGNEPLDFACTIMVLGKTGVGKSATINSIFDEVKFNTDAFQMGTKKVQDVVGTVQGIRVRVIDTPGLLPSWSDQRQNEKILLAVNRFIKKTPPDIVLYLDRLDMQSRDFSDMPLLRTITDIFGASIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANALLKLQDSPPGKPFATRSRAPPLPFLLSSLLQSRPQLKLPEEQFGDDDSLDDELDESSDSDDESEYDELPPFKRLTKAQVEKLSKAQKKAYFDELEYREKLFMKKQLKEEKKRRKLMKKLAASAMELPNDYGENVEEESSGAASVPVPMPDLALPASFDSDNPSHRYRYLDSSNQWIVRPVLETHGWDHDVGYEGINAERLFVVKDKIPLSFSGQVTKDKKDANVQMEVASSIKYGEGKATSLGFDMQTVGKDLAYTLRSDTRFSNFKKNKATAGLSVTLLGDALSAGMKVEDKFIANKRCQMVMTGGAMTARGDIAYGCTLEAQLRDKDYPLGRSLSTLSLSVMDWHGDLAIGGNIQSQIPVGRHTNLIARANVNNRGAGQISVRLNSSEQLQIALFGLIPLLRKFFTYPQQLQYGQ >ONH91808 pep chromosome:Prunus_persica_NCBIv2:G8:15696889:15698496:1 gene:PRUPE_8G137400 transcript:ONH91808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSTVKMIDAHQLSCTCAAPSPTKQNPHKALAREATLLATVTQKMAWRSAGSLSRSLVSTARVSSLRSAPPLRRLRPPTVSTPRRLAFASPRNLGELGCIQSLLPMHSVTAATCLTSHLAVNARGCCELSHGTFCRTCQDR >ONH91809 pep chromosome:Prunus_persica_NCBIv2:G8:15696889:15698938:1 gene:PRUPE_8G137400 transcript:ONH91809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSTVKMIDAHQLSCTCAAPSPTKQNPHKALAREATLLATVTQKMAWRSAGSLSRSLVSTARVSSLRSAPPLRRLRPPTVSTPRRLAFASPRNLGELGCIQSLLPMHSVTAATCLTSHLAVNARGCCELSHGTFCRTCQDR >ONH91806 pep chromosome:Prunus_persica_NCBIv2:G8:15696788:15699366:1 gene:PRUPE_8G137400 transcript:ONH91806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSTVKMIDAHQLSCTCAAPSPTKQNPHKALAREATLLATVTQKMAWRSAGSLSRSLVSTARVSSLRSAPPLRRLRPPTVSTPRRLAFASPRNLGELGCIQSLLPMHSVTAATCLTSHLAVNARGCCELSHGRNRKDG >ONH91805 pep chromosome:Prunus_persica_NCBIv2:G8:15696788:15699366:1 gene:PRUPE_8G137400 transcript:ONH91805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSTVKMIDAHQLSCTCAAPSPTKQNPHKALAREATLLATVTQKMAWRSAGSLSRSLVSTARVSSLRSAPPLRRLRPPTVSTPRRLAFASPRNLGELGCIQSLLPMHSVTAATCLTSHLAVNARGCCELSHGT >ONH91810 pep chromosome:Prunus_persica_NCBIv2:G8:15696755:15699366:1 gene:PRUPE_8G137400 transcript:ONH91810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSTVKMIDAHQLSCTCAAPSPTKQNPHKALAREATLLATVTQKMAWRSAGSLSRSLVSTARVSSLRSAPPLRRLRPPTVSTPRRLAFASPRNLGELGCIQSLLPMHSVTAATCLTSHLAVNARGCCELSHGTFCRTCQDR >ONH91811 pep chromosome:Prunus_persica_NCBIv2:G8:15696755:15699365:1 gene:PRUPE_8G137400 transcript:ONH91811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSTVKMIDAHQLSCTCAAPSPTKQNPHKALAREATLLATVTQKMAWRSAGSLSRSLVSTARVSSLRSAPPLRRLRPPTVSTPRRLAFASPRNLGELGCIQSLLPMHSVTAATCLTSHLAVNARGCCELSHGTFCRTCQDR >ONH91807 pep chromosome:Prunus_persica_NCBIv2:G8:15696889:15699338:1 gene:PRUPE_8G137400 transcript:ONH91807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSTVKMIDAHQLSCTCAAPSPTKQNPHKALAREATLLATVTQKMAWRSAGSLSRSLVSTARVSSLRSAPPLRRLRPPTVSTPRRLAFASPRNLGELGCIQSLLPMHSVTAATCLTSHLAVNARGCCELSHGTFCRTCQDR >ONH92562 pep chromosome:Prunus_persica_NCBIv2:G8:18130180:18133147:-1 gene:PRUPE_8G180900 transcript:ONH92562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALNSIIPHTRALSLSTSLPSKKPSSPQFQQPHNRRQSTQIVQSTASSSTNTTLSDVKLQIQEDSNFNPTSTSATAWSEFARNVSGEWDGYGADFTKEGNPIELPENVVPGAYREWEVKVFDWQTQCPTLANPEEPVLVYKNIELLPTVGCEADAATRYTVIEKNIGGVNNEVSAFAYQSSGCYVAVWPVEEKGNKLLELEYCLINPQDKESRVRIIQVIRIDNMKMMLQNTRVFCEQWYGPFRNGDQLGGCAIRDSAFASTAALNASEVVGTWQGPRALANFDGYGLENDKQNFFQELLDNSEQKSVRDESGLILLPKQLWCSLKECKDGDTYSEVGWLLDHGRAITSKCTFSSTALLKDISVSYETSTLKAA >ONH92564 pep chromosome:Prunus_persica_NCBIv2:G8:18131431:18133147:-1 gene:PRUPE_8G180900 transcript:ONH92564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALNSIIPHTRALSLSTSLPSKKPSSPQFQQPHNRRQSTQIVQSTASSSTNTTLSDVKLQIQEDSNFNPTSTSATAWSEFARNVSGEWDGYGADFTKEGNPIELPENVVPGAYREWEVKVFDWQTQCPTLANPEEPVLVYKNIELLPTVGCEADAATRYTVIEKNIGGVNNEVSAFAYQSSGCYVAVWPVEEKGNKLLELEYCLINPQDKESRVRIIQVIRIDNMKMMLQNTRVFCEQWYGPFRNGDQLGGCAIRDSAFASTAALNASEVVGTWQGPRALANFDGYGLENVSYENPSLVGLVCIF >ONH92561 pep chromosome:Prunus_persica_NCBIv2:G8:18130534:18135987:-1 gene:PRUPE_8G180900 transcript:ONH92561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASQSLPVSQGLQDPLTEQPGLRRRLSSLSLKLQPISSPATSWALSRSKSVSAMGEYAGGSIRKWWLWGWTWILSRKPIFAQDLEMNEEETKVLGSDNRGSWRHVFYKVRSELKRLVGSDVDSLPQTYSIIPHTRALSLSTSLPSKKPSSPQFQQPHNRRQSTQIVQSTASSSTNTTLSDVKLQIQEDSNFNPTSTSATAWSEFARNVSGEWDGYGADFTKEGNPIELPENVVPGAYREWEVKVFDWQTQCPTLANPEEPVLVYKNIELLPTVGCEADAATRYTVIEKNIGGVNNEVSAFAYQSSGCYVAVWPVEEKGNKLLELEYCLINPQDKESRVRIIQVIRIDNMKMMLQNTRVFCEQWYGPFRNGDQLGGCAIRDSAFASTAALNASEVVGTWQGPRALANFDGYGLENDKQNFFQELLDNSEQKSVRDESGLILLPKQLWCSLKECKDGDTYSEVGWLLDHGRAITSKCTFSSTALLKAISYETSTLKAA >ONH92563 pep chromosome:Prunus_persica_NCBIv2:G8:18131257:18133147:-1 gene:PRUPE_8G180900 transcript:ONH92563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALNSIIPHTRALSLSTSLPSKKPSSPQFQQPHNRRQSTQIVQSTASSSTNTTLSDVKLQIQEDSNFNPTSTSATAWSEFARNVSGEWDGYGADFTKEGNPIELPENVVPGAYREWEVKVFDWQTQCPTLANPEEPVLVYKNIELLPTVGCEADAATRYTVIEKNIGGVNNEVSAFAYQSSGCYVAVWPVEEKGNKLLELEYCLINPQDKESRVRIIQVIRIDNMKMMLQNTRVFCEQWYGPFRNGDQLGGCAIRDSAFASTAALNASEVVGTWQGPRALANFDGYGLENEETSTYSGVEFS >ONH89947 pep chromosome:Prunus_persica_NCBIv2:G8:2350998:2352255:-1 gene:PRUPE_8G025800 transcript:ONH89947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDHFLIITLAILAFATFLASAYDPSPLQDFCVAVKDIKSGVFVNGKFCKDPKLANANDFFFSGLQNPRNTKNPVGSNVTAVNVDNLEGLNTLGISLARIDFAPNGLNPPHTHPRATEILVVLEGTLYVGFVTSNGDGNRLFTKVLNKGDVFVFPIGLIHFQLNVGHVNAVAFAGLSSQNPGVITIANAVFGSKPPINPDVLTKAFQVDNKVVDYLQKQFWYDNN >ONH92676 pep chromosome:Prunus_persica_NCBIv2:G8:18480983:18483146:-1 gene:PRUPE_8G188900 transcript:ONH92676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIHCFHRGSVTSFFKSSQSTAKSVPKPETSKMAMASLQRLRHSQIHHRLPSLSFISRSSASSASPSPSSPTHSAKVSDRIVKLFAIDVDGRKREVVGLSGHTLLRALAQGGLIDPASHRLEDIDACSAECEVNIAQEWFEKLPPRSYDEEYVLKRNSRARVLNKHSRLGCQVVLTPELQGMVVAVPEPKPWDIP >ONH92677 pep chromosome:Prunus_persica_NCBIv2:G8:18481359:18483146:-1 gene:PRUPE_8G188900 transcript:ONH92677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGDSVPSSYTMHKLQKIHASTQSSQSTAKSVPKPETSKMAMASLQRLRHSQIHHRLPSLSFISRSSASSASPSPSSPTHSAKVSDRIVKLFAIDVDGRKREVVGLSGHTLLRALAQGGLIDPASHRLEDIDACSAECEVNIAQEWFEKLPPRSYDEEYVLKRNSRARVLNKHSRLGCQVVLTPELQGMVVAVPEPKPWDIP >ONH91945 pep chromosome:Prunus_persica_NCBIv2:G8:16131186:16133499:1 gene:PRUPE_8G145500 transcript:ONH91945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTCPSQNRGMARSPSSDDDYDMARCFRIRPALLGGDSYEQVNTRPMHKRARLNPRPQASILMDEEEVEQEEESGQSEEEEEEVGGESEEEVGGESEEERDDNDHIKEEEQDGSHSHVVITLTDPEVFDCPICFEPLTIPVYQCDQNGHIACSSCCTKINNKCPSCSGSVGFNRCRAIEKALESITISCQNIHYGCKASVAFHKKGEHQKACVYSPCSCPHLSCNFVSSAEQLYQHFSSSHVNSATQFRYYDSIAVSLNASDKFLVLQEKNNGTLFILKNHRVENLGSAMTITCVQPGFMEGFFFELYAKTEKNYLILQSFTMNTPSLHLIDDSPPRTGFLLIPCGFISPGGHLKMELCIWPKGILPIKILCK >ONH89925 pep chromosome:Prunus_persica_NCBIv2:G8:2205671:2213109:1 gene:PRUPE_8G024300 transcript:ONH89925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVSSGNANRDIDQAIIALKKGAQLLKYGRKGKPKFCPFRLSTDESSLIWISSSGERSLKLASVSRIVPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGRGGRSKIDGWSDGGLYLDDGRDLTSNSPSDSSVSGPRDSGSPEISVSFKPNISPKRFPPENSPVSERSHAASDQINMQVKGSGSDAFRVSVSSAPSTSSHGSAPDDCEALGDVYVWGEDICDSVVKVGADKNTNYLSPRSDVLVPRPLESNVVLDVHHIACGVRHAALVTRQGEVFTWGEESGGRLGHGAGKDVVQPRLVESLAATSVDFAACGQFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVTCGPWHTALVTSTGKLFTFGDGTFGVLGHGDRENVAYPREVESLSGLRTISVACGVWHTAAVVEVIATQSSASISSGKLFTWGDGDKNRLGHGDKEARLKPTCVPALIDYNFHKIACGHSLTVGLTTSGHVFTMGSTVYGQLGNPNSDGKLPCLVEDKLSGDCIEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDVEDRKTPTLVEALKDRHVKYIGCGSNYTAAICLHKWVSGAEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKATRAALAPNPGKPYRVCDPCYVKLNKVSEIGGNNRRNSIPRLSGENKDRLDKADIRLYKSSVSSNMDLIKQLDTKAAKQGKKAETFSLVRSAQAPSLLQLKDVVMSTAVDLRRTVPKQVLTPSGVSSRSVSPFSRRPSPPRFATPVPTTSGLSFSKSIADSLKKTNELLNQEVLKLRSQVDSLKKKCELQELELQSSSKKAQEAMALAAEEAAKCKAAKEVIKALTAQLKDLAERLPNGLEPNGIHYPDANGGQHSRSNSISSSYLISSLGIDSATTNGSPGPTHSLKDPVGTNETNLQQNRELLTSNGMVNPLDKLPNGGAFQAVSGSVSDIVDGKESGPFQDGENDMRSRNSPSAANGNTVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAEIWWSENREKVYEKYNVRGSDKSSVSGSAARRSDGALSPASQQS >ONH91370 pep chromosome:Prunus_persica_NCBIv2:G8:13996825:13999789:1 gene:PRUPE_8G110300 transcript:ONH91370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSTEDTEMAVAMEEIAREHDAGDIESAKHSENWNSTNEDEISIDKCMDPEIYSYTTSKEELQMELLQVSPLGNTLVHFAASVGDAARVERLRYQSPELILTKNNDGNLPLHLAASVGNRSTVLSLVEFPQTDMLREKNNRGNTALHVAMENGHIEVAKLLILIDGSMWYSPNMHNKTPISIAAEAGNHELVNLMLYRYQDQPVGTEPDGSSYYLFKNRWEGNSVLRAAILGRNKGVFDAILLDTDPSLINSSDEEGMTAHTLAAHIGDTEAADKLLSLCPDGPYKQDKNGFTPAHLASRKGHVRIVEMYLNRCPPSRYLLDGNRWNILHVAVWHRRDNMVKYLLKRRELEGLINQKDRFGNTPLHLAVRQGYPKTVSILASDKRVNLSIRNHERHTAMEIARMSLMGEKILFLRRPLTVMALTLADASRSQERIIAKVKPARVNYFKNTKKTGEFHSGATEDADSHRQTVNNLLLVSTLVATVTFAAGFTVPGGYKNSGRDEGMATLVTDWPFKTFLICNTIAMYSSITGAISLLWAQTGDMSYASARFGLPILGVALSMMFMAFMAGVALVTSNLIWLSIFVAITGSIFLVIISALLVPLIVPISSKNRITRFILYCIFRLLLSVTRYDDDDDDDGVHIVS >ONH93323 pep chromosome:Prunus_persica_NCBIv2:G8:20280873:20282529:1 gene:PRUPE_8G225800 transcript:ONH93323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLVTSVTIARRGLQLESMTLQDKAAHKLKHGCWPWLVRPCKKVSNSCLTYCPPGTRPGNVQVSISENIPVIDCHQLGTDKAQLIKQITEAAKEFGFFQLINHGVPDNLLHNVMNVGKEFFELPSEDKASFYSEDARQSCRLYTSIDYVREQVHYWRDTLRHPCHPLEEHIDFWPQKPASYREVFGSYSVEVRKLSLCLLDLICKLSQVQSTATNFYPPCPDPSLTLGLPKHSDVNLITLLLQEQVHGLQVLKDEQWLAVEPVPNAFVVNIGHMLQIMSNGKLSSADHRVVTNNKVSRTAVTSFKHPSSTCHIEPAKALVMDFNPLYQAFIYKDFVSTYITDTEERVSPLERYKFQT >ONH92333 pep chromosome:Prunus_persica_NCBIv2:G8:17416070:17418048:-1 gene:PRUPE_8G169100 transcript:ONH92333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVSQSVANCSGLIHNSGHAKLPFGIRKYNGAKIDNYDSISMASRRTVKGGIQYLPARRIGTICAASVGRDRRQLSFDDDLPQDPYFLSLVKEAVWGLRSLFIFLVEQPSQLKYIEWPGFRSTLKTATLTLVLVALLIVALSSTDSALSYLLALILRRTP >ONH92334 pep chromosome:Prunus_persica_NCBIv2:G8:17416287:17417100:-1 gene:PRUPE_8G169100 transcript:ONH92334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCHLELGNIMGPKLIITIPFQWHLEGVLLLQTVKGGIQYLPARRIGTICAASVGRDRRQLSFDDDLPQDPYFLSLVKEAVWGLRSLFIFLVEQPSQLKYIEWPGFRSTLKTATLTLVLVALLIVALSSTDSALSYLLALILRRTP >ONH92335 pep chromosome:Prunus_persica_NCBIv2:G8:17416287:17417100:-1 gene:PRUPE_8G169100 transcript:ONH92335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCHLELGNIMGPKLIITIPFQWHLEGVLLLQTVKGGIQYLPARRIGTICAASVGRDRRQLSFDDDLPQDPYFLSLVKEAVWGLRSLFIFLVEQPSQLKYIEWPGFRSTLKTATLTLVLVALLIVALSSTDSALSYLLALILRRTP >ONH92332 pep chromosome:Prunus_persica_NCBIv2:G8:17416070:17418048:-1 gene:PRUPE_8G169100 transcript:ONH92332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVSQSVANCSGLIHNSGHAKLPFGIRKYNGAKIDNYDSISMASRRTVKGGIQYLPARRIGTICAASVGRDRRQLSFDDDLPQDPYFLSLVKEAVWGLRSLFIFLVEQPSQLKYIEWPGFRSTLKTATLTLVLVALLIVALSSTDSALSYLLALILRRTP >ONH89869 pep chromosome:Prunus_persica_NCBIv2:G8:1891489:1894288:1 gene:PRUPE_8G020900 transcript:ONH89869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRSSDVEGDKYRSYLSGEGEKNTTWRFGAPPSYDVVNKLFEEGRTKIWPPGSLGEEVQNLVKTWEMEANLDDFKTLDPNNHTFSLNGRKGITLEEMGKLGGGYNPLLQTSLPEHLRGYNPEKETSESSHKAFTTTFPRGFALEILQVYSGPPEIVYKFRHWGYVEGPFKGHAPTGELVEVYGMSIFTVDEHNKIVKIEFFYDPGQLLGGLLKGEKLGTSSEGTTSSCPVLRTG >ONH89870 pep chromosome:Prunus_persica_NCBIv2:G8:1893120:1894183:1 gene:PRUPE_8G020900 transcript:ONH89870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGGGYNPLLQTSLPEHLRGYNPEKETSESSHKAFTTTFPRGFALEILQVYSGPPEIVYKFRHWGYVEGPFKGHAPTGELVEVYGMSIFTVDEHNKIVKIEFFYDPGQLLGGLLKGEKLGTSSEGTTSSCPVLRTG >ONH90780 pep chromosome:Prunus_persica_NCBIv2:G8:10745548:10749666:-1 gene:PRUPE_8G073800 transcript:ONH90780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMIACLQTHTQMCTCKDWSNSQNSLAYGHDLKLNLHASVHNMNCFGRHSGASHISSYGLLYLTLECF >ONH92551 pep chromosome:Prunus_persica_NCBIv2:G8:18102685:18107174:1 gene:PRUPE_8G180400 transcript:ONH92551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVWVVSILIICIPGSTEGASRPAAVNVGAMCTVGTINGRVSKIAIEAAVNDVNSDPTILGGTKLSITFHDSNFSGFLGIIGALKFMESDTVAIIGPQTAVMAHVLSHLANELHVPLLSFTALDPTLSSLQYPYFVQTAPNDLFQMAAIADMVSYFGWTEVAAIFTDDDSGRNGVAALGDKLAEKRHKICYKAALPPEPKATRDDVKNQLVMIRMMESRVIVLHTFAKSGLVVFDVAQELGMMESGYVWIATAWLSTVLDSTSPLSSKTANSIQGALTLRPHTPDSERKRAFISRWNKLSNGSIGLNPYGLYAYDTVWMLAHAINLLLDQGGTISFSNITSLGGPKGGGTVNLGALSIFHGGKQLLDNILQTNTTGLTGPLAFHPDRSPLNPAYDLINIIENGYQRIGYWSNYSGISVVPPETSSNRSTLNQHLHTVVWPGGTTVKPRGWVFPNNGKQLRIGVPNRVSYRDFVSQRNGTDIVEGYCIDIFLAAIKLLPYAVPYEFVLFGDGLKNPSYYDFVKMIASGKFDAAVGDIAIVTNRTKIADFTQPYIESGLVVVAPVRRLNSRAWAFLKPFSPLMWGVTAAFFLIIGLVMWILEHRINDEFRGPPRKQIVTILWFSFSTMFFAHRENTVSTLGRMVLIIWLFIVLIINSSYTASLTSMLTVQQLESPITGIDTLVTSTEPIGYQIGSFAQNYLVEELNIPRSRLVPLGSPEAYADALKKRTVAAVVDEKAYIELFLSENCMFSIRGQEFTKSGWGFAFPRDSPLAIDMSTAILTLSENGDLQKIHDKWLSRKSCAQTSDLISDQLQPQSFWGLYLICGIACLIALFIHFLLALRQFSRHSPEAEDQTEPSSHSRRTSRSARLHTFLSFIDEKADESKNNNKTKRKRKEMMVSNGKENCENDSRNISKRIQINNSQEIHNNADNDTWLTR >ONH90687 pep chromosome:Prunus_persica_NCBIv2:G8:10173458:10180572:1 gene:PRUPE_8G069300 transcript:ONH90687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRKIQIKKIDNTTARQVTFSKRRRGLFKKAQELSTLCDAEIALVVFSATGKLFEYTSSSVQQVIERHGLLSSNYDQLNQPSLELQLESSTSAALSKEIAESTHELRKLMGEELQELNMKELQELEKLLGSGLRRVRDAKGEFFLKEITSLKWKGSQMMQENKRLKQVMMANRQVQTLELEQGQSSEPIGDFIHSYPSQDHDSSDTSLKLGQAFPNGI >ONH90690 pep chromosome:Prunus_persica_NCBIv2:G8:10173458:10180572:1 gene:PRUPE_8G069300 transcript:ONH90690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRKIQIKKIDNTTARQVTFSKRRRGLFKKAQELSTLCDAEIALVVFSATGKLFEYTSSSVQQVIERHGLLSSNYDQLNQPSLELQLESSTSAALSKEIAESTHELRKLMGEELQELNMKELQELEKLLGSGLRRVRDAKGEFFLKEITSLKWKGSQMMQENKRLKQMANRQVQTLELEQGQSSEPIGDFIHSYPSQDHDSSDTSLKLGQAFPNGI >ONH90691 pep chromosome:Prunus_persica_NCBIv2:G8:10173178:10180572:1 gene:PRUPE_8G069300 transcript:ONH90691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRKIQIKKIDNTTARQVTFSKRRRGLFKKAQELSTLCDAEIALVVFSATGKLFEYTSSSVQQVIERHGLLSSNYDQLNQPSLELQLESSTSAALSKEIAESTHELRKLMGEELQELNMKELQELEKLLGSGLRRVRDAKGEFFLKEITSLKWKGSQMMQENKRLKQMANRQVQTLELEQGQSSEPIGDFIHSYPSQDHDSSDTSLKLGQAFPNGI >ONH90685 pep chromosome:Prunus_persica_NCBIv2:G8:10173458:10180572:1 gene:PRUPE_8G069300 transcript:ONH90685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRKIQIKKIDNTTARQVTFSKRRRGLFKKAQELSTLCDAEIALVVFSATGKLFEYTSSSVQQVIERHGLLSSNYDQLNQPSLELQSFGMSQLESSTSAALSKEIAESTHELRKLMGEELQELNMKELQELEKLLGSGLRRVRDAKGEFFLKEITSLKWKGSQMMQENKRLKQMANRQVQTLELEQGQSSEPIGDFIHSYPSQDHDSSDTSLKLGQAFPNGI >ONH90686 pep chromosome:Prunus_persica_NCBIv2:G8:10173167:10180572:1 gene:PRUPE_8G069300 transcript:ONH90686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRKIQIKKIDNTTARQVTFSKRRRGLFKKAQELSTLCDAEIALVVFSATGKLFEYTSSSVQQVIERHGLLSSNYDQLNQPSLELQSFGMSQLESSTSAALSKEIAESTHELRKLMGEELQELNMKELQELEKLLGSGLRRVRDAKGEFFLKEITSLKWKGSQMMQENKRLKQMANRQVQTLELEQGQSSEPIGDFIHSYPSQDHDSSDTSLKLGQAFPNGI >ONH90689 pep chromosome:Prunus_persica_NCBIv2:G8:10173458:10180572:1 gene:PRUPE_8G069300 transcript:ONH90689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRKIQIKKIDNTTARQVTFSKRRRGLFKKAQELSTLCDAEIALVVFSATGKLFEYTSSSVQQVIERHGLLSSNYDQLNQPSLELQLESSTSAALSKEIAESTHELRKLMGEELQELNMKELQELEKLLGSGLRRVRDAKGEFFLKEITSLKWKGSQMMQENKRLKQMANRQVQTLELEQGQSSEPIGDFIHSYPSQDHDSSDTSLKLGQAFPNGI >ONH90688 pep chromosome:Prunus_persica_NCBIv2:G8:10173458:10180572:1 gene:PRUPE_8G069300 transcript:ONH90688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRKIQIKKIDNTTARQVTFSKRRRGLFKKAQELSTLCDAEIALVVFSATGKLFEYTSSSVQQVIERHGLLSSNYDQLNQPSLELQLESSTSAALSKEIAESTHELRKLMGEELQELNMKELQELEKLLGSGLRRVRDAKGEFFLKEITSLKWKGSQMMQENKRLKQMANRQVQTLELEQGQSSEPIGDFIHSYPSQDHDSSDTSLKLGQAFPNGI >ONH91234 pep chromosome:Prunus_persica_NCBIv2:G8:13264239:13266782:-1 gene:PRUPE_8G101000 transcript:ONH91234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMTDRKVEDNAVSGEPQNKRIADFDPPEKPNASKFAIACATLACMTSILLGYDIGVMSGANIFIKDDLKITDVQVEVLAGTLNIYSLIGSGLAGVTSDWIGRRYTIVLSGTIFFIGAILMGVAPGYGLLMFGRFVAGIGVGYGLMIAPVYTVEISPALSRGFLTSFPEVFVNVGILLGYVSNYAFSKLPVHMGWRFMLGLGAVPSIVLVVGVLAMPESPRWLVMKGRLGDAKQVLNKTSASKEEAQLRLEDIKEAAGIPMHLNDDIVEVPKQSHGESVWKQLILHPTPAVRHILIAALGIHFFEQASGIDSVVLYSPRIFEKAGIQSYNHKLLATIAVGVVKTLFILVATFLLDRIGRRPLLLISMGGMVFSLGFLGASLTVIDQSQGTVTWAVGLCITMVLFNVAFFSIGLGPVTWVYTSEIFPLKLRAQGCSMGVAINRVTSGIISMTFISLYKAITIGGAFFLYAGVGVVAWIFFYTMLPETQGRTLEEMEVLFGKYHKWKEANAMLKKRKQGDRVDGDEIKSQVN >ONH93942 pep chromosome:Prunus_persica_NCBIv2:G8:22093326:22096092:-1 gene:PRUPE_8G262000 transcript:ONH93942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLQVSENPESKSSEEPNSLAIVPSSSLPPFATASLSLSLSTILPTHFFQQPKVSTLFSSQPNKVKVPTQASSLAHLSLSTANVTPPKLSFKSTISANPLQNPLSLGPRRPLDPSNGAAIRRASIVWFRNDLRVHDNECLNSANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRASFLVESVSDLRKNLQARGSDLVVRIGKPETVLVELAKAIGADAIYAHREVSHDEVKAEDKIEAAMKEENVEVKYFWGSTLYHMDDLPFKLEEMPTNYGGFREKVKGLEVRKTIEALEQMKGLPSRGDVEPGDIPSLMDLGLNPSATMSQDGRPAANASMVGGEAEALERLKKFAAECQAQPPKSGKDGSHDSIYGANFSCKISPWLAMGCLSPRSMFDELKKTANRTISASSKRDDGGSGMNWLMFELLWRDFFRNTVLQRNSLTLLQPQHVRVPLLRE >ONH93944 pep chromosome:Prunus_persica_NCBIv2:G8:22094030:22095879:-1 gene:PRUPE_8G262000 transcript:ONH93944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLQVSENPESKSSEEPNSLAIVPSSSLPPFATASLSLSLSTILPTHFFQQPKVSTLFSSQPNKVKVPTQASSLAHLSLSTANVTPPKLSFKSTISANPLQNPLSLGPRRPLDPSNGAAIRRASIVWFRNDLRVHDNECLNSANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRASFLVESVSDLRKNLQARGSDLVVRIGKPETVLVELAKAIGADAIYAHREVSHDEVKAEDKIEAAMKEENVEVKYFWGSTLYHMDDLPFKLEEMPTNYGGFREKVKGLEVRKTIEALEQMKGLPSRGDVEPGDIPSLMDLGLNPSATMSQDGRPAANASMVGGEAEALERLKKFAAECQAQPPKSGKDGSHDSIYGANFSCKISPWLAMGCLSPRSMFDELKKTANRCAYAFLLNDAIVSKIYI >ONH93943 pep chromosome:Prunus_persica_NCBIv2:G8:22093369:22095957:-1 gene:PRUPE_8G262000 transcript:ONH93943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLQVSENPESKSSEEPNSLAIVPSSSLPPFATASLSLSLSTILPTHFFQQPKVSTLFSSQPNKVKVPTQASSLAHLSLSTANVTPPKLSFKSTISANPLQNPLSLGPRRPLDPSNGAAIRRASIVWFRNDLRVHDNECLNSANNESMSVLPVYCFDPRDYGKSSSGFDKTGPYRASFLVESVSDLRKNLQARGSDLVVRIGKPETVLVELAKAIGADAIYAHREVSHDEVKAEDKIEAAMKEENVEVKYFWGSTLYHMDDLPFKLEEMPTNYGGFREKVKGLEVRKTIEALEQMKGLPSRGDVEPGDIPSLMDLGLNPSATMSQDGRPAANASMVGGEAEALERLKKFAAECQAQPPKSGKDGSHDSIYGANFSCKISPWLAMGCLSPRSMFDELKKTANRTISASSKRDDGGSGMNWLMFELLWRDFFRFVTKKYSSAKKQLDAAPATACTGALA >ONH93965 pep chromosome:Prunus_persica_NCBIv2:G8:22143979:22146710:-1 gene:PRUPE_8G263500 transcript:ONH93965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTKSRRKVAPSAAESADSAEKLDQLLLSSAICNGEDVGPFVRKVFTSGKPDTLLQHLRHFARSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSSLYDSNTKLQSVGLPLLSSLDAFVEARNVSRNVNLALESVRNCIRLMELCSRSNYHLSSSNFYMALKCVDTIESEFLDKTPSSTLKRMLEKKIPEIRWHIERKVSKEFGDWLVEIRVVSRNLGQLAIGQASSARQREEDLRIKQRQAEEQSRLSLRDCVYALEEEDEDGLGGGVGDDINGGSGFPGVDLTPLYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRIVRTGGGLISKLEVENLWETAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYLVDPLLDVLSKHRDKYHELLLSDCRKQIAEALSADKFDQMLMKKEYEYSMNVLSFQIQTSDIIPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLDFFEVVKKYLDRLLSEALDGALLKLINVSIHGVSPAMQVAANMAVMERACDFFFRHAAQLSGIPLRMVERGRRHFPLCKARDAAEEILSGLLKQKVDGFMMLIENVNWMADEPLPNGNEYVNEVVIYLETLVSTAQQILPPHVLKRVLQDVLSHISEKIVGALLGDAVKRFTVHAIMSIDVDVRLLESFADNQAPLLSDEEANQLKTALAELRQLINLLLSNHPENFLNPVIRERSYNTLDYRKVVAISEKLRDPSERLFGTFGSRGGRQNPKKKSLDALIKRLKDVN >ONH90120 pep chromosome:Prunus_persica_NCBIv2:G8:3291703:3294527:-1 gene:PRUPE_8G036000 transcript:ONH90120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVASVSHLFSHSKSTKFNQGASTFKIWETFTSESEIPTPSKGSPFITFVLGGPGSGKGTQCAKIVEAFGFTHVSAGDLLRREIASGSAYGSVILSTIREGKIVPSQVTVELIQKEMESNDNYKFLIDGFPRSEENRKAFEQTIGAEPDVVLFFDCPEQEMVKRVLNRNQGRVDDNIDTIKKRLEIFDELNWPVINYYSQRGKLHKINAVGTVDEIFEKVRPIFAPLSK >ONH90121 pep chromosome:Prunus_persica_NCBIv2:G8:3291695:3294527:-1 gene:PRUPE_8G036000 transcript:ONH90121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVASVSHLFSHSKSTKFNQGASTFKIWETFTSESEIPTPSKGSPFITFVLGGPGSGKGTQCAKIVEAFGFTHVSAGDLLRREIASGSAYGSVILSTIREGKIVPSQVTVELIQKEMESNDNYKFLIDGFPRSEENRKAFEQTIGAEPDVVLFFDCPEQEMVKRVLNRNQGRVDDNIDTIKKRLEIFDELNWPVINYYSQRGKLHKINAVGTVDEIFEKVRPIFAPLSK >ONH90125 pep chromosome:Prunus_persica_NCBIv2:G8:3292174:3293974:-1 gene:PRUPE_8G036000 transcript:ONH90125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVASVSHLFSHSKSTKFNQGASTFKIWETFTSESEIPTPSKGSPFITFVLGGPGSGKGTQCAKIVEAFGFTHVSAGDLLRREIASGSAYGSVILSTIREGKIVPSQVTVELIQKEMESNDNYKFLIDGFPRSEENRKAFEQTIGAEPDVVLFFDCPEQEMVKRVLNRNQGRVDDNIDTIKKRLEIFDELNWPVINYYSQRGKLHKINAVGTVDEIFEKVRPIFAPLSTNFYMK >ONH90123 pep chromosome:Prunus_persica_NCBIv2:G8:3292174:3293974:-1 gene:PRUPE_8G036000 transcript:ONH90123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVASVSHLFSHSKSTKFNQGASTFKIWETFTSESEIPTPSKGSPFITFVLGGPGSGKGTQCAKIVEAFGFTHVSAGDLLRREIASGSAYGSVILSTIREGKIVPSQVTVELIQKEMESNDNYKFLIDGFPRSEENRKAFEQTIGAEPDVVLFFDCPEQEMVKRVLNRNQGRVDDNIDTIKKRLEIFDELNWPVINYYSQRGKLHKINAVGTVDEIFEKVRPIFAPLSTNFYMK >ONH90119 pep chromosome:Prunus_persica_NCBIv2:G8:3291692:3294527:-1 gene:PRUPE_8G036000 transcript:ONH90119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVASVSHLFSHSKSTKFNQGASTFKIWETFTSESEIPTPSKGSPFITFVLGGPGSGKGTQCAKIVEAFGFTHVSAGDLLRREIASGSAYGSVILSTIREGKIVPSQVTVELIQKEMESNDNYKFLIDGFPRSEENRKAFEQTIGAEPDVVLFFDCPEQEMVKRVLNRNQGRVDDNIDTIKKRLEIFDELNWPVINYYSQRGKLHKINAVGTVDEIFEKVRPIFAPLSK >ONH90124 pep chromosome:Prunus_persica_NCBIv2:G8:3292174:3293974:-1 gene:PRUPE_8G036000 transcript:ONH90124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVASVSHLFSHSKSTKFNQGASTFKIWETFTSESEIPTPSKGSPFITFVLGGPGSGKGTQCAKIVEAFGFTHVSAGDLLRREIASGSAYGSVILSTIREGKIVPSQVTVELIQKEMESNDNYKFLIDGFPRSEENRKAFEQTIGAEPDVVLFFDCPEQEMVKRVLNRNQGRVDDNIDTIKKRLEIFDELNWPVINYYSQRGKLHKINAVGTVDEIFEKVRPIFAPLSTNFYMK >ONH90122 pep chromosome:Prunus_persica_NCBIv2:G8:3292174:3293974:-1 gene:PRUPE_8G036000 transcript:ONH90122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVASVSHLFSHSKSTKFNQGASTFKIWETFTSESEIPTPSKGSPFITFVLGGPGSGKGTQCAKIVEAFGFTHVSAGDLLRREIASGSAYGSVILSTIREGKIVPSQVTVELIQKEMESNDNYKFLIDGFPRSEENRKAFEQTIGAEPDVVLFFDCPEQEMVKRVLNRNQGRVDDNIDTIKKRLEIFDELNWPVINYYSQRGKLHKINAVGTVDEIFEKVRPIFAPLSTNFYMK >ONH90126 pep chromosome:Prunus_persica_NCBIv2:G8:3292223:3293974:-1 gene:PRUPE_8G036000 transcript:ONH90126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVASVSHLFSHSKSTKFNQGASTFKIWETFTSESEIPTPSKGSPFITFVLGGPGSGKGTQCAKIVEAFGFTHVSAGDLLRREIASGSAYGSVILSTIREGKIVPSQVTVELIQKEMESNDNYKFLIDGFPRSEENRKAFEQTIGAEPDVVLFFDCPEQEMVKRVLNRNQGRVDDNIDTIKKRLEIFDELNWPVINYYSQRGKLHKINAVGTVDEIFEKVRPIFAPLRYILLFCYPVCSICCH >ONH94063 pep chromosome:Prunus_persica_NCBIv2:G8:22352375:22353640:1 gene:PRUPE_8G268000 transcript:ONH94063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKVADLSSASLLETQLNSSIESISEKQSKDTYREMVALKDIVPAAQNNIDTRFILLDKASMNTSSSQGQNKTCLALVADETAAVHFQLWGEECDAFEPGDIIHLSNGIFSYSRNSLLLRAGKRGKIEKVGEFTMAYVETPNMSEIRWVPDPNSSHKYIQEAVISPHSRIFPPKY >ONH92255 pep chromosome:Prunus_persica_NCBIv2:G8:17196454:17199558:-1 gene:PRUPE_8G165500 transcript:ONH92255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDQDQDAALLEEEYAVWKKNTPFLYDLIISHPLEWPSLTVHWAPSAPQPKAETSFAVHKLVLGTHTSDGYPNFLMVADALLPTSQPNFGAISEEDPEMPKVEITQKIPVDGEVNRARWMPQKPTIVGAKTSGAEVYVFDSAKHGLKPQEGGCDPDLRLTGHDKEGYGLSWSPFKEGYLLSGSYDCKICLWDVSASPQEKVLAPIHVYERHESVVGDVSWHPKNENLFGSVGDDCQLMIWDLRTNQTQHSVKAHEKEVNYLSFNPYNEWILATASSDTTIGLLDMRKLSVPLHVLSSHTEEVFQVEWDPNHETVLASYADDRRLMVWDLNRIGDEQLEGDGDDGPPELLFSHGGHKAKISDFSWNKYEPWVISSVAEDNTLQVWQIADSIYGDDYDVQASDV >ONH91380 pep chromosome:Prunus_persica_NCBIv2:G8:14046171:14050182:-1 gene:PRUPE_8G110800 transcript:ONH91380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTTELLGAPSPPSSSSSTNSWTHDVFLSFRDHLHKNLVQKGIRTFIDDELPRGEEISQNIVNEISLQVLNDTHINVAKYQVGIEARVQDLHKVLDVDGNDVRMVGIWGNGGIGKTTLAKAVYNSLAHMFEGSCFLENVRERSIPYGGLVDLQNLLLYEILRGKEIRVTSADKGINVIKERLSRKKVLLIVDDVDHLDQSNNLVGGCDWFGLGSRIIITTREHLLTCHRVSIIYEAKKLNYHESLDLFFSWNGFTRTRNLDDDYVELAEFVVDYAGGLPLALKVLGSHPCGRSIDVWHDALDGALHSDIQKTLKISYDALEDLVKEVFLDIACFFKGKDMNNVISILEGCDLKPKHAIEVLVEKALIYIEQNKIWMHDLLEELGRGIVHQESPNELGERSRVWFHEDVHRVLTEGTGTNNVKGIIAKCLTPDDICLSDDSFSKIKNLRLFINVNARFSGDHVDYLSNELRFLHWPECPLQVFPFTFNPRKLVELNMPCSRLSQLGEGLKVANLKSINLETCQFLTKTSNFYGIPNLEFLNLDGCTSLVHVDPSVGFLKKLIRLSLKGCCKLTILLKSIDLKSLESFYLSGCQKLENFPEIVGKMESLTWMDISHTAIKELPSSIGYLTGLKTLLLLGCENLTNLPGSIYDMKQLKVFYLRGCPKLVAFPNQSTSEVSKSAESVPSMLPANINISPDSCGSLLLPNLEELDVKGGNLSEINFLGTLHSALRILDLHGCNKLVEIPELPPKIGWLDACDCVSLERFLKLSNILEFVFPGNEVPKRFICHKDLEEVERYCEFYMEIPENFKWQNRGLALYAAVKKTQDAPYPCYINNNGSPYLIVEFFVNEKRIHLIRSDFGSTILESDHVWLYYIPFCEMEFDESAYPKPPFKCRLRAHLVMPEYENVSTSMEGLIHEGTSKREIIGEDIGSPVEDDEDFEDDYLSCEDDEELD >ONH91219 pep chromosome:Prunus_persica_NCBIv2:G8:13113621:13115021:1 gene:PRUPE_8G099700 transcript:ONH91219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVARTFNKGRNMPSGLALWSPLLHLLVLVVCVQGTGGRKMSETLDGDGHETTHTEHAHAHHPSSHMHHHMDPSVMIFFTLEDLKEGKTMAIYFPKRNPSKSPHLLPREEADQIPFSSKQLLHLLHFFSFSQDSPQAKAMEDTLRECEIEPIKGEIKSCATSLESMLDFTRGVFGLESPFSVVATTHLTNSTTNFQNYTILEEPKEILAPKMVACHTMPYPYAVFYCHSQQSVNKVFKVLLGGEDGDRVEAVAVCHLDTSQWSPNHASFSVLRTKPGASAVCHFFPADNLVWVQASTSM >ONH90987 pep chromosome:Prunus_persica_NCBIv2:G8:12013392:12018090:-1 gene:PRUPE_8G087200 transcript:ONH90987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGGVALHKYKYSDVDHSYTAKYVLQPFWCRFVKIFPLWMPAGLGLFFLPFTNFFFFFGLCARLGVCVLFQAQHGIMTFTPNYITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTDSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYLSHFFTAIVGSEWWAQQFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTVTFNVCNVYKVVQARKASMLLALAMEFWHGMGYLSPADIMGNYPHLVIVGTGLAFGYLVGSMILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPSVDESLVLLGYCAFTGTLYLHFATSVVHEITTALGIFCFRITRKEA >ONH90988 pep chromosome:Prunus_persica_NCBIv2:G8:12012268:12017344:-1 gene:PRUPE_8G087200 transcript:ONH90988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTDSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYLSHFFTAIVGSEWWAQQFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTVTFNVCNVYKVVQARKASMLLALAMGSMILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPSVDESLVLLGYCAFTGTLYLHFATSVVHEITTALGIFCFRITRKEA >ONH90990 pep chromosome:Prunus_persica_NCBIv2:G8:12013392:12017272:-1 gene:PRUPE_8G087200 transcript:ONH90990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTDSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYLSHFFTAIVGSEWWAQQFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTVTFNVCNVYKVVQARKASMLLALAMVMGYLSPADIMGNYPHLVIVGTGLAFGYLVVGYPCFFIFGYLVGSMILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPSVDESLVLLGYCAFTGTLYLHFATSVVHEITTALGIFCFRITRKEA >ONH90992 pep chromosome:Prunus_persica_NCBIv2:G8:12014732:12018303:-1 gene:PRUPE_8G087200 transcript:ONH90992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCYFSYIRRLMLLMGSKQDGQIPQVRWGNFLTMFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYLSHFFTAIVGSEWWAQQFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTVTFNVCNVYKVVQARKASMLLALAMVMLLSFGFLYVNSRYLIGLMGVQYCFIPPLPFCCSFGRSFGMVCLYSLCPHLPFVFFMKVKKSNYLYAGDTCHQLTLWGTIHI >ONH90991 pep chromosome:Prunus_persica_NCBIv2:G8:12014810:12017272:-1 gene:PRUPE_8G087200 transcript:ONH90991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTDSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYLSHFFTAIVGSEWWAQQFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTVTFNVCNVYKVVQARKASMLLALAMVMLLSFGFLYVNSRYLIGLMGVQYCFIPPLPFCCSFGRSFGMVCLYSLCPHLPFVFFMKVKKSNYLYAGDTCHQLTLWGTIHI >ONH90989 pep chromosome:Prunus_persica_NCBIv2:G8:12013392:12017272:-1 gene:PRUPE_8G087200 transcript:ONH90989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTDSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYLSHFFTAIVGSEWWAQQFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTVTFNVCNVYKVVQARKASMLLALAMVMGYLSPADIMGNYPHLVIVGTGLAFGYLVGSMILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPSVDESLVLLGYCAFTGTLYLHFATSVVHEITTALGIFCFRITRKEA >ONH89851 pep chromosome:Prunus_persica_NCBIv2:G8:1844178:1846260:1 gene:PRUPE_8G020200 transcript:ONH89851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCIQVIRVTSLLAMHSCISIKPNKPRKLNINICLAIYVWEENITPIQMTLSSLDQQNARICYEGENHECFYKVLGTNCLFLFNAVQLVTIVSHQTTL >ONH91890 pep chromosome:Prunus_persica_NCBIv2:G8:15933301:15938864:1 gene:PRUPE_8G142000 transcript:ONH91890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVAAISEAASNDPPNPKTSADPRSRTHLQNPSRPPLLPSEKDNGFVQRRPRGRQVSSKYMSPSPSSSSTSTSTSTVSSSASSRRCPSPLLSRSINSSSNSTPVPSLGPKRAQSVDRRRPITPRTSTGLPEARLSNAGAEVSAATRLLVTSTRSLSVSFQGEAFSLPISKTKAAASPSGAVARKATPERRRSTPVRGDQAENSKPSDQYRWPARTRQLSSGSNNSLSRSLDCSSETRKLNGIGSGVAARALQQSMIDDSRRASFDRRLSLDLGNAEPLKAAEQNPDANSANDSSVPSDLTASDTDSVSSGSTSGVHDAGGVAKSRTAPRGIVVSARFWQETNSRLRRLQDPGSPLSTSPVSRAGSKFIQSQSKKFNGDIPLSSSPRTIASPTRGPTRPASPGKLWTSSSMSPSRGYSPSRVRSSVNGSLNISYSGPAPSILSFSVDTRRGKMGEDRIVDAHMLRLLYNRYLQWRFVNARADATFMVHRLNAEKNLWNAWVSISELRHSVTLKKIKLLLLRQKLKLTSILKGQITYLEEWAILDRDHTSSLLGATEALQASTLRLPVVGKAIVDFQKLKDAVGSAADVMQAMASSICSLSLKVEEMNSLVSELMRVTATERFVLEQCKDFLSTLSAMQVKDCSLRTHIIQLRRVPTTRSLTTRV >ONH91416 pep chromosome:Prunus_persica_NCBIv2:G8:14150578:14152659:-1 gene:PRUPE_8G113000 transcript:ONH91416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPENFVKKFRDDLSTVATLSVPDGNVWRVGIKKADNKFWFHDGWQEFIERYSIRVGYFLTFRYEGHSSFTVHIFNLTTAEINYQPNALSSTGVSVYRHQVFEEMEDDDSVEILGSSPKSIVTDSLRDKLFGDCANQLIPGKDYNPPALQNLFNGSKPKNCTNWPDTGNPHPSKGSGLQAGEDIRSMKKTMRKKRKLDPTVQDSSSQHEKEVEIRFRFYESASARKRTVTAEERERAINAAKTFEPVNPFCRVVLRPSYLYRGCIMYLPSCFAEKNLNGVSGFIKLQSSDGRQWPVRCLYRGGRAKLSQGWYEFTLENNLGEGDVCVFELLKMKEVVLKVTVFCVVEAAGFVNQPPQQNASQAKMIRN >ONH91417 pep chromosome:Prunus_persica_NCBIv2:G8:14150561:14153926:-1 gene:PRUPE_8G113000 transcript:ONH91417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDSVEILGSSPKSIVTDSLRDKLFGDCANQLIPGKDYNPPALQNLFNGSKPKNCTNWPDTGNPHPSKGSGLQAGEDIRSMKKTMRKKRKLDPTVQDSSSQHEKEVEIRFRFYESASARKRTVTAEERERAINAAKTFEPVNPFCRVVLRPSYLYRGCIMYLPSCFAEKNLNGVSGFIKLQSSDGRQWPVRCLYRGGRAKLSQGWYEFTLENNLGEGDVCVFELLKMKEVVLKVTVFCVVEAAGFVNQPPQQNASQAKMIRN >ONH91413 pep chromosome:Prunus_persica_NCBIv2:G8:14150631:14153926:-1 gene:PRUPE_8G113000 transcript:ONH91413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMIRPCFHKLILSSTLQAKQLEFIERYSIRVGYFLTFRYEGHSSFTVHIFNLTTAEINYQPNALSSTGVSVYRHQVFEEMEDDDSVEILGSSPKSIVTDSLRDKLFGDCANQLIPGKDYNPPALQNLFNGSKPKNCTNWPDTGNPHPSKGSGLQAGEDIRSMKKTMRKKRKLDPTVQDSSSQHEKEVEIRFRFYESASARKRTVTAEERERAINAAKTFEPVNPFCRVVLRPSYLYRGCIMYLPSCFAEKNLNGVSGFIKLQSSDGRQWPVRCLYRGGRAKLSQGWYEFTLENNLGEGDVCVFELLKMKEVVLKVTVFCVVEAAGFVNQPPQQNASQAKMIRN >ONH91415 pep chromosome:Prunus_persica_NCBIv2:G8:14150579:14153963:-1 gene:PRUPE_8G113000 transcript:ONH91415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMIRPCFHKLILSSTLQAKQLMIPENFVKKFRDDLSTVATLSVPDGNVWRVGIKKADNKFWFHDGWQEFIERYSIRVGYFLTFRYEGHSSFTVHIFNLTTAEINYQPNALSSTGVSVYRHQVFEEMEDDDSVEILGSSPKSIVTDSLRDKLFGDCANQLIPGKDYNPPALQNLFNGSKPKNCTNWPDTGNPHPSKGSGLQAGNQSSREIGVQFNVTELKKTVDEVKLRSSGEDIRSMKKTMRKKRKLDPTVQDSSSQHEKEVEIRFRFYESASARKRTVTAEERERAINAAKTFEPVNPFCRVVLRPSYLYRGCIMYLPSCFAEKNLNGVSGFIKLQSSDGRQWPVRCLYRGGRAKLSQGWYEFTLENNLGEGDVCVFELLKMKEVVLKVTVFCVVEAAGFVNQPPQQNASQAKMIRN >ONH91414 pep chromosome:Prunus_persica_NCBIv2:G8:14150578:14153963:-1 gene:PRUPE_8G113000 transcript:ONH91414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMIRPCFHKLILSSTLQAKQLMIPENFVKKFRDDLSTVATLSVPDGNVWRVGIKKADNKFWFHDGWQEFIERYSIRVGYFLTFRYEGHSSFTVHIFNLTTAEINYQPNALSSTGVSVYRHQVFEEMEDDDSVEILGSSPKSIVTDSLRDKLFGDCANQLIPGKDYNPPALQNLFNGSKPKNCTNWPDTGNPHPSKGSGLQAGEDIRSMKKTMRKKRKLDPTVQDSSSQHEKEVEIRFRFYESASARKRTVTAEERERAINAAKTFEPVNPFCRVVLRPSYLYRGCIMYLPSCFAEKNLNGVSGFIKLQSSDGRQWPVRCLYRGGRAKLSQGWYEFTLENNLGEGDVCVFELLKMKEVVLKVTVFCVVEAAGFVNQPPQQNASQAKMIRN >ONH92012 pep chromosome:Prunus_persica_NCBIv2:G8:16341955:16344482:-1 gene:PRUPE_8G149500 transcript:ONH92012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEDLIASCDGKSNPIRCYSAAELMRATNNFDPSCIIMEDVSPCYQMFRGILDDRTIIIKKYITQEYINKDEARSYAIRDIIISMQMSTHKYALKLLGCCLEFSLPALVHENAAK >ONH93402 pep chromosome:Prunus_persica_NCBIv2:G8:20532425:20537087:1 gene:PRUPE_8G229800 transcript:ONH93402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQCTITLKSPIPYHAPSSQSHPIFPHNPRLTQSQSHPILAPICTRAHSVFNSTRGLDISAPKHTSNSNSNAINGSVHDLKSKSVGVKDIDVATLGNLCVDIVLNVPKLPPPDVHDRKAYMDRLSASPPDKQYWEAGGNCNMAIAAARLGLHCIAIGHVGNEVYGQFLIDVLHDEGIGMVGMCENTNVDSSSASYETLLCWVLVDSLQRHGFCSRADFSKDPAFSWMSKLSEQVKTAIKQSKILFCNGYGFDELPPGVIVSAVEYAVEVGTALFFDPGPRGKSLSAGTTEERGALSQLLRMSDVLLLTSDEAESLTGIENPISAGQELLKQGVHTKWVIVKMGPRGSILITRSSISCAPAFKINVIDTVGCGDSFVAAIAYGFIHNMPAVNTLAIANAVGGATAMGCGAGRNVATLEKVIELMKASNLNEDDEFFDELLNENLDVQAIKSLSKLVIKGSENQPNCVSLQKVVSELLPKLKLTQLQKKVPC >ONH92958 pep chromosome:Prunus_persica_NCBIv2:G8:19166861:19170137:-1 gene:PRUPE_8G204200 transcript:ONH92958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMCELTAPGFTNMKVNPFPEEASLKCTCLRSLVGPDGAIASDWLPVVDQVLLTASVFLTYMAGVIPRHNSSPTFRKEISNDNVDPGTSTASGSGTKNDDPENSGYALDAVKRKLLDSLQAFELGNNMGNRVLQHEGYTAKRPLSLNAVADGPRLRLLWASFLRIEEEVINISKYGISNLDDCLSDFSDVIRKSCQPVCTSWLETELDLLNSKPNKALALLMDGKLKGDNIVLQNIRNAGKEDLYAELMCFLSFGSLREGCCYDGNLFMKHGVSILEDLVISLADGIVSIYLELISVDSNFSDELDSLSLALCTLSTRALQRLRNEVALYQWLYQNMEAIASMYEDRFDLWTFQSQPIKELDNSWSENYSWWKWLKQKKSGTARSRLHQVMISQFSMPVKRTKELRALTGWKYYFSLFLELSDISMPLIRAVIDKVSKALSFFLVSLIGRSLGLIYTGIRQSLRWK >ONH92956 pep chromosome:Prunus_persica_NCBIv2:G8:19166861:19170704:-1 gene:PRUPE_8G204200 transcript:ONH92956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYLASPLCIKVHIQRSFIREKGFTTRNSRFKIVQSRKYSYGSGKTVLFPMRKMCELTAPGFTNMKVNPFPEEASLKCTCLRSLVGPDGAIASDWLPVVDQVLLTASVFLTYMAGVIPRHNSSPTFRKEISNDNVDPGTSTASGSGTKNDDPENSGYALDAVKRKLLDSLQAFELGNNMGNRVLQHEGYTAKRPLSLNAVADGPRLRLLWASFLRIEEEVINISKYGISNLDDCLSDFSDVIRKSCQPVCTSWLETELDLLNSKPNKALALLMDGKLKGDNIVLQNIRNAGKEDLYAELMCFLSFGSLREGCCYDGNLFMKHGVSILEDLVISLADGIVSIYLELISVDSNFSDELDSLSLALCTLSTRALQRLRNEVALYQWLYQNMEAIASMYEDRFDLWTFQSQPIKELDNSWSENYSWWKWLKQKKSGTARSRLHQVMISQFSMPVKRTKELRALTGWKYYFSLFLELSDISMPLIRAVIDKVSKALSFFLVSLIGRSLGLIYTGIRQSLRWK >ONH92959 pep chromosome:Prunus_persica_NCBIv2:G8:19166861:19169474:-1 gene:PRUPE_8G204200 transcript:ONH92959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVLQHEGYTAKRPLSLNAVADGPRLRLLWASFLRIEEEVINISKYGISNLDDCLSDFSDVIRKSCQPVCTSWLETELDLLNSKPNKALALLMDGKLKGDNIVLQNIRNAGKEDLYAELMCFLSFGSLREGCCYDGNLFMKHGVSILEDLVISLADGIVSIYLELISVDSNFSDELDSLSLALCTLSTRALQRLRNEVALYQWLYQNMEAIASMYEDRFDLWTFQSQPIKELDNSWSENYSWWKWLKQKKSGTARSRLHQVMISQFSMPVKRTKELRALTGWKYYFSLFLELSDISMPLIRAVIDKVSKALSFFLVSLIGRSLGLIYTGIRQSLRWK >ONH92957 pep chromosome:Prunus_persica_NCBIv2:G8:19166861:19170704:-1 gene:PRUPE_8G204200 transcript:ONH92957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYLASPLCIKVHIQRSFIREKGFTTRNSRFKIVQSRKYSYGSGKTVLFPMRKMCELTAPGFTNMKVNPFPEEASLKCTCLRSLVGPDGAIASDWLPVVDQVLLTASVFLTYMAGVIPRHNSSPTFRKEISNDNVDPGTSTASGSGTKNDDPENSGYALDAVKRKLLDSLQAFELGNNMGNRVLQHEGYTAKRPLSLNAVADGPRLRLLWASFLRIEEEVINISKYGISNLDDCLSDFSDVIRKSCQPVCTSWLETELDLLNSKPNKALALLMDGKLKGDNIVLQNIRNAGKEDLYAELMCFLSFGSLREGCCYDGNLFMKHGVSILEDLVISLADGIVSIYLELISVDSNFSDELDSLSLALCTLSTRALQRLRNEVALYQWLYQNMEAIASMYEDRFDLWTFQSQPIKELDNSWSENYSWWKWLKQKKSGTARSRLHQVMISQFSMPVKRTKELRALTGWKYYFSLFLELSDISMPLIRAVIDKVSKALSFFLVSLIGRSLGLIYTGIRQSLRWK >ONH92951 pep chromosome:Prunus_persica_NCBIv2:G8:19166627:19171548:-1 gene:PRUPE_8G204200 transcript:ONH92951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYLASPLCIKVHIQRSFIREKGFTTRNSRFKIVQRKYSYGSGKTVLFPMRKMCELTAPGFTNMKVNPFPEEASLKCTCLRSLVGPDGAIASDWLPVVDQVLLTASVFLTYMAGVIPRHNSSPTFRKEISNDNVDPGTSTASGSGTKNDDPENSGYALDAVKRKLLDSLQAFELGNNMGNRVLQHEGYTAKRPLSLNAVADGPRLRLLWASFLRIEEEVINISKYGISNLDDCLSDFSDVIRKSCQPVCTSWLETELDLLNSKPNKALALLMDGKLKGDNIVLQNIRNAGKEDLYAELMCFLSFGSLREGCCYDGNLFMKHGVSILEDLVISLADGIVSIYLELISVDSNFSDELDSLSLALCTLSTRALQRLRNEVALYQWLYQNMEAIASMYEDRFDLWTFQSQPIKELDNSWSENYSWWKWLKQKKSGTARSRLHQVMISQFSMPVKRTKELRALTGWKYYFSLFLELSDISMPLIRAVIDKVSKALSFFLVSLIGRSLGLIYTGIRQSLRWK >ONH92954 pep chromosome:Prunus_persica_NCBIv2:G8:19166861:19170704:-1 gene:PRUPE_8G204200 transcript:ONH92954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYLASPLCIKVHIQRSFIREKGFTTRNSRFKIVQSRKYSYGSGKTVLFPMRKMCELTAPGFTNMKVNPFPEEASLKCTCLRSLVGPDGAIASDWLPVVDQVLLTASVFLTYMAGVIPRHNSSPTFRKEISNDNVDPGTSTASGSGTKNDDPENSGYALDAVKRKLLDSLQAFELGNNMGNRVLQHEGYTAKRPLSLNAVADGPRLRLLWASFLRIEEEVINISKYGISNLDDCLSDFSDVIRKSCQPVCTSWLETELDLLNSKPNKALALLMDGKLKGDNIVLQNIRNAGKEDLYAELMCFLSFGSLREGCCYDGNLFMKHGVSILEDLVISLADGIVSIYLELISVDSNFSDELDSLSLALCTLSTRALQRLRNEVALYQWLYQNMEAIASMYEDRFDLWTFQSQPIKELDNSWSENYSWWKWLKQKKSGTARSRLHQVMISQFSMPVKRTKELRALTGWKYYFSLFLELSDISMPLIRAVIDKVSKALSFFLVSLIGRSLGLIYTGIRQSLRWK >ONH92960 pep chromosome:Prunus_persica_NCBIv2:G8:19166627:19170366:-1 gene:PRUPE_8G204200 transcript:ONH92960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVLQHEGYTAKRPLSLNAVADGPRLRLLWASFLRIEEEVINISKYGISNLDDCLSDFSDVIRKSCQPVCTSWLETELDLLNSKPNKALALLMDGKLKGDNIVLQNIRNAGKEDLYAELMCFLSFGSLREGCCYDGNLFMKHGVSILEDLVISLADGIVSIYLELISVDSNFSDELDSLSLALCTLSTRALQRLRNEVALYQWLYQNMEAIASMYEDRFDLWTFQSQPIKELDNSWSENYSWWKWLKQKKSGTARSRLHQVMISQFSMPVKRTKELRALTGWKYYFSLFLELSDISMPLIRAVIDKVSKALSFFLVSLIGRSLGLIYTGIRQSLRWK >ONH92950 pep chromosome:Prunus_persica_NCBIv2:G8:19166624:19171567:-1 gene:PRUPE_8G204200 transcript:ONH92950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYLASPLCIKVHIQRSFIREKGFTTRNSRFKIVQRKYSYGSGKTVLFPMRKMCELTAPGFTNMKVNPFPEEASLKCTCLRSLVGPDGAIASDWLPVVDQVLLTASVFLTYMAGVIPRHNSSPTFRKEISNDNVDPGTSTASGSGTKNDDPENSGYALDAVKRKLLDSLQAFELGNNMGNRVLQHEGYTAKRPLSLNAVADGPRLRLLWASFLRIEEEVINISKYGISNLDDCLSDFSDVIRKSCQPVCTSWLETELDLLNSKPNKALALLMDGKLKGDNIVLQNIRNAGKEDLYAELMCFLSFGSLREGCCYDGNLFMKHGVSILEDLVISLADGIVSIYLELISVDSNFSDELDSLSLALCTLSTRALQRLRNEVALYQWLYQNMEAIASMYEDRFDLWTFQSQPIKELDNSWSENYSWWKWLKQKKSGTARSRLHQVMISQFSMPVKRTKELRALTGWKYYFSLFLELSDISMPLIRAVIDKVSKALSFFLVSLIGRSLGLIYTGIRQSLRWK >ONH92953 pep chromosome:Prunus_persica_NCBIv2:G8:19166624:19171567:-1 gene:PRUPE_8G204200 transcript:ONH92953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYLASPLCIKVHIQRSFIREKGFTTRNSRFKIVQRKYSYGSGKTVLFPMRKMCELTAPGFTNMKVNPFPEEASLKCTCLRSLVGPDGAIASDWLPVVDQVLLTASVFLTYMAGVIPRHNSSPTFRKEISNDNVDPGTSTASGSGTKNDDPENSGYALDAVKRKLLDSLQAFELGNNMGNRVLQHEGYTAKRPLSLNAVADGPRLRLLWASFLRIEEEVINISKYGISNLDDCLSDFSDVIRKSCQPVCTSWLETELDLLNSKPNKALALLMDGKLKGDNIVLQNIRNAGKEDLYAELMCFLSFGSLREGCCYDGNLFMKHGVSILEDLVISLADGIVSIYLELISVDSNFSDELDSLSLALCTLSTRALQRLRNEVALYQWLYQNMEAIASMYEDRFDLWTFQSQPIKELDNSWSENYSWWKWLKQKKSGTARSRLHQVMISQFSMPVKRTKELRALTGWKYYFSLFLELSDISMPLIRAVIDKVSKALSFFLVSLIGRSLGLIYTGIRQSLRWK >ONH92952 pep chromosome:Prunus_persica_NCBIv2:G8:19166627:19171548:-1 gene:PRUPE_8G204200 transcript:ONH92952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYLASPLCIKVHIQRSFIREKGFTTRNSRFKIVQRKYSYGSGKTVLFPMRKMCELTAPGFTNMKVNPFPEEASLKCTCLRSLVGPDGAIASDWLPVVDQVLLTASVFLTYMAGVIPRHNSSPTFRKEISNDNVDPGTSTASGSGTKNDDPENSGYALDAVKRKLLDSLQAFELGNNMGNRVLQHEGYTAKRPLSLNAVADGPRLRLLWASFLRIEEEVINISKYGISNLDDCLSDFSDVIRKSCQPVCTSWLETELDLLNSKPNKALALLMDGKLKGDNIVLQNIRNAGKEDLYAELMCFLSFGSLREGCCYDGNLFMKHGVSILEDLVISLADGIVSIYLELISVDSNFSDELDSLSLALCTLSTRALQRLRNEVALYQWLYQNMEAIASMYEDRFDLWTFQSQPIKELDNSWSENYSWWKWLKQKKSGTARSRLHQVMISQFSMPVKRTKELRALTGWKYYFSLFLELSDISMPLIRAVIDKVSKALSFFLVSLIGRSLGLIYTGIRQSLRWK >ONH92955 pep chromosome:Prunus_persica_NCBIv2:G8:19166861:19170704:-1 gene:PRUPE_8G204200 transcript:ONH92955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYLASPLCIKVHIQRSFIREKGFTTRNSRFKIVQSRKYSYGSGKTVLFPMRKMCELTAPGFTNMKVNPFPEEASLKCTCLRSLVGPDGAIASDWLPVVDQVLLTASVFLTYMAGVIPRHNSSPTFRKEISNDNVDPGTSTASGSGTKNDDPENSGYALDAVKRKLLDSLQAFELGNNMGNRVLQHEGYTAKRPLSLNAVADGPRLRLLWASFLRIEEEVINISKYGISNLDDCLSDFSDVIRKSCQPVCTSWLETELDLLNSKPNKALALLMDGKLKGDNIVLQNIRNAGKEDLYAELMCFLSFGSLREGCCYDGNLFMKHGVSILEDLVISLADGIVSIYLELISVDSNFSDELDSLSLALCTLSTRALQRLRNEVALYQWLYQNMEAIASMYEDRFDLWTFQSQPIKELDNSWSENYSWWKWLKQKKSGTARSRLHQVMISQFSMPVKRTKELRALTGWKYYFSLFLELSDISMPLIRAVIDKVSKALSFFLVSLIGRSLGLIYTGIRQSLRWK >ONH92936 pep chromosome:Prunus_persica_NCBIv2:G8:19130999:19135687:-1 gene:PRUPE_8G203500 transcript:ONH92936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEVQQIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKIKMAQMQHSSSQQHEQLQQQQLQQNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKLSLLFWIGRYCTSEISTKNWTTAGSSIR >ONH92927 pep chromosome:Prunus_persica_NCBIv2:G8:19129998:19136468:-1 gene:PRUPE_8G203500 transcript:ONH92927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEQIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKIKMAQMQHSSSQQHEQLQQQQLQQNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKGGTAQVRFQPRTGQLLAAASDKMVSIFDVETDRQTHSLQGHSEMVNYICWDANGDYLASVSQNLVKIWSLASSECIQELGSDGNQFHSCVFHPSYLTLLAIGGISSLELWNMTENKRMTISAHENIISALAQSPDTGMVASASYDSSVKLWK >ONH92928 pep chromosome:Prunus_persica_NCBIv2:G8:19129998:19136468:-1 gene:PRUPE_8G203500 transcript:ONH92928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEVQQIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKGGTAQVRFQPRTGQLLAAASDKMVSIFDVETDRQTHSLQGHSEMVNYICWDANGDYLASVSQNLVKIWSLASSECIQELGSDGNQFHSCVFHPSYLTLLAIGGISSLELWNMTENKRMTISAHENIISALAQSPDTGMVASASYDSSVKLWK >ONH92930 pep chromosome:Prunus_persica_NCBIv2:G8:19130386:19135687:-1 gene:PRUPE_8G203500 transcript:ONH92930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEVQQIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKIKMAQMQHSSSQQHEQLQQQQLQQNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKGGTAQVRFQPRTGQLLAAASDKMVSIFDVETDRQTHSLQGHSEMVNYICWDANGDYLASVSQNLVKIWSLASSECIQELGSDGNQFHSCVFHPSYLTLLAIGGISSLELWNMTENKRMTISAHENIISALAQSPDTGMVASASYDSSVKLWK >ONH92935 pep chromosome:Prunus_persica_NCBIv2:G8:19130999:19135687:-1 gene:PRUPE_8G203500 transcript:ONH92935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEVQQIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKIKMAQMQHSSSQQHEQLQQQQLQQNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKLSLLFWIGRYCTSEISTKNWTTAGSSIR >ONH92925 pep chromosome:Prunus_persica_NCBIv2:G8:19129981:19136468:-1 gene:PRUPE_8G203500 transcript:ONH92925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKIKMAQMQHSSSQQHEQLQQQQLQQNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKGGTAQVRFQPRTGQLLAAASDKMVSIFDVETDRQTHSLQGHSEMVNYICWDANGDYLASVSQNLVKIWSLASSECIQELGSDGNQFHSCVFHPSYLTLLAIGGISSLELWNMTENKRMTISAHENIISALAQSPDTGMVASASYDSSVKLWK >ONH92924 pep chromosome:Prunus_persica_NCBIv2:G8:19129998:19136468:-1 gene:PRUPE_8G203500 transcript:ONH92924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKGGTAQVRFQPRTGQLLAAASDKMVSIFDVETDRQTHSLQGHSEMVNYICWDANGDYLASVSQNLVKIWSLASSECIQELGSDGNQFHSCVFHPSYLTLLAIGGISSLELWNMTENKRMTISAHENIISALAQSPDTGMVASASYDSSVKLWK >ONH92929 pep chromosome:Prunus_persica_NCBIv2:G8:19129998:19136468:-1 gene:PRUPE_8G203500 transcript:ONH92929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEVQQIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKGGTAQVRFQPRTGQLLAAASDKMVSIFDVETDRQTHSLQGHSEMVNYICWDANGDYLASVSQNLVKIWSLASSECIQELGSDGNQFHSCVFHPSYLTLLAIGGISSLELWNMTENKRMTISAHENIISALAQSPDTGMVASASYDSSVKLWK >ONH92933 pep chromosome:Prunus_persica_NCBIv2:G8:19130999:19135687:-1 gene:PRUPE_8G203500 transcript:ONH92933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEQIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKIKMAQMQHSSSQQHEQLQQQQLQQNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKLSLLFWIGRYCTSEISTKNWTTAGSSIR >ONH92934 pep chromosome:Prunus_persica_NCBIv2:G8:19130999:19135687:-1 gene:PRUPE_8G203500 transcript:ONH92934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEVQQIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKLSLLFWIGRYCTSEISTKNWTTAGSSIR >ONH92926 pep chromosome:Prunus_persica_NCBIv2:G8:19130386:19135687:-1 gene:PRUPE_8G203500 transcript:ONH92926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEQIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKGGTAQVRFQPRTGQLLAAASDKMVSIFDVETDRQTHSLQGHSEMVNYICWDANGDYLASVSQNLVKIWSLASSECIQELGSDGNQFHSCVFHPSYLTLLAIGGISSLELWNMTENKRMTISAHENIISALAQSPDTGMVASASYDSSVKLWK >ONH92931 pep chromosome:Prunus_persica_NCBIv2:G8:19130386:19135687:-1 gene:PRUPE_8G203500 transcript:ONH92931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEVQQIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKIKMAQMQHSSSQQHEQLQQQQLQQNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKGGTAQVRFQPRTGQLLAAASDKMVSIFDVETDRQTHSLQGHSEMVNYICWDANGDYLASVSQNLVKIWSLASSECIQELGSDGNQFHSCVFHPSYLTLLAIGGISSLELWNMTENKRMTISAHENIISALAQSPDTGMVASASYDSSVKLWK >ONH92932 pep chromosome:Prunus_persica_NCBIv2:G8:19130999:19135687:-1 gene:PRUPE_8G203500 transcript:ONH92932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIHDYLLKRKLIASANAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEQIKAREQQQLQMQQLQLLQQRNAQLQRRDPNHPALGGSINAINSEGVMGQPSASVLAMKMYEERMKHPHSMDSETSPTLIDANRMALLKSAANPQGQLVQSNSGNMPAALQQIQGRTPLTTDIKSEVNLGATQKSLPMDPSSIYGQAILQSKSGLGGAGLSQGITGLPLKGWPLTGIDQLRQNLGVQVQKPNLHTQNQFLLASQQQQILAQAQAQSNLGNSTNYGDMDPRRFLPRGSLNAKDGQATRNDGSICSPVLSSSPKNNRKRKQHSSSGLANSTGTGNTVGPSPSSPASTHTPGDGINTASSLQHVNSIPKSLMMYGPEGTGGLPSSSNLLDDIERFGDVGSLEDNVESFLSHDGENMRDLYGTLKQSPAGHHKECSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVTLWNMDTLQTESTPEEHKLVITDVRFRPNSSQLATASVDKSVRLWDAANPNYCLQAYTGHNSPIMSLDFHPKKTDLFCFCDHDNEIRYWNINPFSCTHNSKLSLLFWIGRYCTSEISTKNWTTAGSSIR >ONH90147 pep chromosome:Prunus_persica_NCBIv2:G8:3413948:3419532:-1 gene:PRUPE_8G037200 transcript:ONH90147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRVLGTRDDNRLVRDAMALSVQSVASIGSVGHRPIANSHEVQVLRAQLTAEQDLVNEYQRDIKRLKKDRARKAEEYRHQLQILQEENEKLSKMVSVYSKDMQKQLEALENPGKHKRDHKRSSRVNDVIIRGSSDEPQVAMSEDPREGIKRAKLKSPAVEK >ONH91875 pep chromosome:Prunus_persica_NCBIv2:G8:15908473:15910232:-1 gene:PRUPE_8G141300 transcript:ONH91875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGIVSDDTKNTAAKPNPKLTLLPLIALIFYEVSGGPFGVEDSVRAGGGPLLSLLGFLVFPLFWSIPEALVTAELATSFPENGGYVLWISAAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPIFNRLIARIPALLGITFSLTYLNYRGLHIVGFSAVSLAIFSLCPFLVMAILSIPRIRVKQWLVVDFKKVDWRGYFNSMFWNLNYWDKASTLAGEVENPSKTFPKALLGAVVVVVSSYLIPLLAGTGALNSASSDWTDGYFAEVGMLIGGFWLKWWIQAAAAMSNMGLFEAEMSSDAFQLLGMSEMGMLPAIFASRSKYGTPTVSILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRVKKPDLHRPYKVPFQTFGATMLCLPPALLLVLVMCLASLRTFFVSGCVIIVGVLLYPLLVHAKDRKWAHFDAEQPAEPPLNCPAGHSSALQLHPEDVDEASVGLLTDLSLDTEQEPSKLRSEEVLKLE >ONH91877 pep chromosome:Prunus_persica_NCBIv2:G8:15908473:15910232:-1 gene:PRUPE_8G141300 transcript:ONH91877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGIVSDDTKNTAAKPNPKLTLLPLIALIFYEVSGGPFGVEDSVRAGGGPLLSLLGFLVFPLFWSIPEALVTAELATSFPENGGYVLWISAAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPIFNRLIARIPALLGITFSLTYLNYRGLHIVGFSAVSLAIFSLCPFLVMAILSIPRIRVKQWLVVDFKKVDWRGYFNSMFWNLNYWDKASTLAGEVENPSKTFPKALLGAVVVVVSSYLIPLLAGTGALNSASSDWTDGYFAEVGMLIGGFWLKWWIQAAAAMSNMGLFEAEMSSDAFQLLGMSEMGMLPAIFASRSKYGTPTVSILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRVKKPDLHRPYKVPFQTFGATMLCLPPALLLVLVMCLASLRTFFVSGCVIIVGVLLYPLLVHAKDRKWAHFDAEQPAEPPLNCPAGHSSALQLHPEDVDEASVGLLTDLSLDTEQEPSKLRSEEVLKLE >ONH91876 pep chromosome:Prunus_persica_NCBIv2:G8:15908215:15910602:-1 gene:PRUPE_8G141300 transcript:ONH91876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGIVSDDTKNTAAKPNPKLTLLPLIALIFYEVSGGPFGVEDSVRAGGGPLLSLLGFLVFPLFWSIPEALVTAELATSFPENGGYVLWISAAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPIFNRLIARIPALLGITFSLTYLNYRGLHIVGFSAVSLAIFSLCPFLVMAILSIPRIRVKQWLVVDFKKVDWRGYFNSMFWNLNYWDKASTLAGEVENPSKTFPKALLGAVVVVVSSYLIPLLAGTGALNSASSDWTDGYFAEVGMLIGGFWLKWWIQAAAAMSNMGLFEAEMSSDAFQLLGMSEMGMLPAIFASRSKYGTPTVSILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRVKKPDLHRPYKVPFQTFGATMLCLPPALLLVLVMCLASLRTFFVSGCVIIVGVLLYPLLVHAKDRKWAHFDAEQPAEPPLNCPAGHSSALQLHPEDVDEASVGLLTDLSLDTEQEPSKLRSEEVLKLE >ONH92595 pep chromosome:Prunus_persica_NCBIv2:G8:18239478:18241110:-1 gene:PRUPE_8G183100 transcript:ONH92595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSTTQNGSTSIMWFFRDKGFDDKSIQDMLKKCKRLENVHGETASENWAYLKSIGIQERKLPSVVSKCPKILTLALHEKLVPTVECLTTLGTKPRDVASAIAKFPHILSHSVEEKLCPLLAFFEALGIPQKQLGKMILLNPRLISYSIEAKLSEIVNFLANLGLSREGMIGKVLVKNPFIMGYSVEKRLRPTAEFLKSVGLTEQGLQTVAMSFPEVLCRDVDKILRPNFDYLKRSGFEDGQIAALVSGYPPILIKSIHNSLEPRIRFLVEVMGRQIDEVADYPDFFRHGLKKRVERRHKLLKQRTTHCSLSEMLDCNQKKFATKFGLV >ONH93902 pep chromosome:Prunus_persica_NCBIv2:G8:21998944:22007026:1 gene:PRUPE_8G259800 transcript:ONH93902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSWITSSSCSPSVVQSSEDASVPAIFQWLRFIFLSPCPQRALLSSVDLLFLLSLLAFSIQKLYSKFVSNGHQSSDLNKPLIRNSRAHLRTTICFKLSLTVSALLTLCYTVVCILAFTRNTELPWTLVDGLFWLVQAITHAVITILIAHERRFEAVKHPLSLRVYWVANFIVISLFTVSGILRLVYVQQNQDPSFRLDDVVSMVSFPLSIVLLVIALRGSTGIAVNREFEQGMNGESNLYEPLLSKSNVTGFASASIISKTFWIWMNPLLRKGYKSPLKVDEVPLLSPEHRAEKMSALFESNWPKPQEKLDHPVRTTLLRCFWKEVAFTAFLAVVRLCVMYVGPVLIQSFVDFTAGKRSSPYEGYYLVLILLCAKFVEVLSTHQFNFNSQKLGMLIRSTLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMIQLHAIWMMPVQLAIALFLLYNSLGATVLTSVVGIMCVLVFVVLGTRRNNRFQFNVMKNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRILAFRESEFSWLTKFMYSISANIVVMWCTPVVISTLTFATALLLGVRLDAGTVFTTTTIFKILQEPIRTFPQSMISISQAMISLGRLDRYMMSRELVEDAVERDEGCDSRTAVEVKNGAFSWDDESKEEDLKHINLNVNKGELTAIVGTVGSGKSSLLASILGEMHKLSGKVRVCGTTAYVAQTSWIQNGTIEENVLFGLPMDRERYQEVVRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSEIFKECVRGVLKNKTVLLVTHQVDFLHNVDLILVMRDGMIVQGGKYNELLSSGLDFKELVAAHETSMELVEMSPTIPSKSSPSPQISPQPSSNHREANGANNSLGQPKSDNGTSKLIKEEEKETGKVSLHVYKVYCTEAYGWWGVVLVLSLSLLWQATLMAGDYWLSYETSADRAVAFNPSVFITVYAIIAAISFLVVSVRAFSVTIVGLSTAQIFFKQILHSILHAPMSFFDTTPSGRILSRASTDQTNIDLFLPFMLGITVAMYISVLGIFIIVCQNSWPTIFLLIPLIWLNIWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVVTIRSFRRQNMFSKENVKRVNANLRMDFHNYGSNEWLGFRLEMLGSLILCISTLFMILLPSSIIRPENVGLTLSYGLSLNGVLFWAIYMSCFVENRMVSVERIKQFTNIPSEAEWEIKDRVPPSNWPSHGNVELKDLQSLERCQLKDVVAAKPDKLNSLVADDGGNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAVIQRIIREDFATCTIISIAHRIPTVMDCNRVLVIDAGLAKEFDKPSHLLERQSLFGALVQEYANRSSGL >ONH93899 pep chromosome:Prunus_persica_NCBIv2:G8:21999649:22007026:1 gene:PRUPE_8G259800 transcript:ONH93899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSWITSSSCSPSVVQSSEDASVPAIFQWLRFIFLSPCPQRALLSSVDLLFLLSLLAFSIQKLYSKFVSNGHQSSDLNKPLIRNSRAHLRTTICFKLSLTVSALLTLCYTVVCILAFTRNTELPWTLVDGLFWLVQAITHAVITILIAHERRFEAVKHPLSLRVYWVANFIVISLFTVSGILRLVYVQQNQDPSFRLDDVVSMVSFPLSIVLLVIALRGSTGIAVNREFEQGMNGESNLYEPLLSKSNVTGFASASIISKTFWIWMNPLLRKGYKSPLKVDEVPLLSPEHRAEKMSALFESNWPKPQEKLDHPVRTTLLRCFWKEVAFTAFLAVVRLCVMYVGPVLIQSFVDFTAGKRSSPYEGYYLVLILLCAKFVEVLSTHQFNFNSQKLGMLIRSTLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMIQLHAIWMMPVQLAIALFLLYNSLGATVLTSVVGIMCVLVFVVLGTRRNNRFQFNVMKNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRILAFRESEFSWLTKFMYSISANIVVMWCTPVVISTLTFATALLLGVRLDAGTVFTTTTIFKILQEPIRTFPQSMISISQAMISLGRLDRYMMSRELVEDAVERDEGCDSRTAVEVKNGAFSWDDESKEEDLKHINLNVNKGELTAIVGTVGSGKSSLLASILGEMHKLSGKVRVCGTTAYVAQTSWIQNGTIEENVLFGLPMDRERYQEVVRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSEIFKECVRGVLKNKTVLLVTHQVDFLHNVDLILVMRDGMIVQGGKYNELLSSGLDFKELVAAHETSMELVEMSPTIPSKSSPSPQISPQPSSNHREANGANNSLGQPKSDNGTSKLIKEEEKETGKVSLHVYKVYCTEAYGWWGVVLVLSLSLLWQATLMAGDYWLSYETSADRAVAFNPSVFITVYAIIAAISFLVVSVRAFSVTIVGLSTAQIFFKQILHSILHAPMSFFDTTPSGRILSRASTDQTNIDLFLPFMLGITVAMYISVLGIFIIVCQNSWPTIFLLIPLIWLNIWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVVTIRSFRRQNMFSKENVKRVNANLRMDFHNYGSNEWLGFRLEMLGSLILCISTLFMILLPSSIIRPENVGLTLSYGLSLNGVLFWAIYMSCFVENRMVSVERIKQFTNIPSEAEWEIKDRVPPSNWPSHGNVELKDLQVRYRPNTPLVLKGISLSIHGGEKIGVVGRTGGGKSTLVQVFFRLVEPSGGKIIIDGIDITILGLHDLRSRFGIIPQEPVLFEGTVRSNIDPVGIYSDEEIWKSLERCQLKDVVAAKPDKLNSLVADDGGNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAVIQRIIREDFATCTIISIAHRIPTVMDCNRVLVIDAGLAKEFDKPSHLLERQSLFGALVQEYANRSSGL >ONH93901 pep chromosome:Prunus_persica_NCBIv2:G8:21999564:22007054:1 gene:PRUPE_8G259800 transcript:ONH93901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSWITSSSCSPSVVQSSEDASVPAIFQWLRFIFLSPCPQRALLSSVDLLFLLSLLAFSIQKLYSKFVSNGHQSSDLNKPLIRNSRAHLRTTICFKLSLTVSALLTLCYTVVCILAFTRNTELPWTLVDGLFWLVQAITHAVITILIAHERRFEAVKHPLSLRVYWVANFIVISLFTVSGILRLVYVQQNQDPSFRLDDVVSMVSFPLSIVLLVIALRGSTGIAVNREFEQGMNGESNLYEPLLSKSNVTGFASASIISKTFWIWMNPLLRKGYKSPLKVDEVPLLSPEHRAEKMSALFESNWPKPQEKLDHPVRTTLLRCFWKEVAFTAFLAVVRLCVMYVGPVLIQSFVDFTAGKRSSPYEGYYLVLILLCAKFVEVLSTHQFNFNSQKLGMLIRSTLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMIQLHAIWMMPVQLAIALFLLYNSLGATVLTSVVGIMCVLVFVVLGTRRNNRFQFNVMKNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRILAFRESEFSWLTKFMYSISANIVVMWCTPVVISTLTFATALLLGVRLDAGTVFTTTTIFKILQEPIRTFPQSMISISQAMISLGRLDRYMMSRELVEDAVERDEGCDSRTAVEVKNGAFSWDDESKEEDLKHINLNVNKGELTAIVGTVGSGKSSLLASILGEMHKLSGKVRVCGTTAYVAQTSWIQNGTIEENVLFGLPMDRERYQEVVRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSEIFKECVRGVLKNKTVLLVTHQVDFLHNVDLILVMRDGMIVQGGKYNELLSSGLDFKELVAAHETSMELVEMSPTIPSKSSPSPQISPQPSSNHREANGANNSLGQPKSDNGTSKLIKEEEKETGKVSLHVYKVYCTEAYGWWGVVLVLSLSLLWQATLMAGDYWLSYETSADRAVAFNPSVFITVYAIIAAISFLVVSVRAFSVTIVGLSTAQIFFKQILHSILHAPMSFFDTTPSGRILSRASTDQTNIDLFLPFMLGITVAMYISVLGIFIIVCQNSWPTIFLLIPLIWLNIWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVVTIRSFRRQNMFSKENVKRVNANLRMDFHNYGSNEWLGFRLEMLGSLILCISTLFMILLPSSIIRPENVGLTLSYGLSLNGVLFWAIYMSCFVENRMVSVERIKQFTNIPSEAEWEIKDRVPPSNWPSHGNVELKDLQVRYRPNTPLVLKGISLSIHGGEKIGVVGRTGGGKSTLVQVFFRLVEPSGGKIIIDGIDITILGLHDLRSRFGIIPQEPVLFEGTVRSNIDPVGIYSDEEIWKSLERCQLKDVVAAKPDKLNSLVADDGGNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAVIQRIIREDFATCTIISIAHRIPTVMDCNRVLVIDAGLAKEFDKPSHLLERQSLFGALVQEYANRSSGL >ONH93900 pep chromosome:Prunus_persica_NCBIv2:G8:21998521:22007097:1 gene:PRUPE_8G259800 transcript:ONH93900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSWITSSSCSPSVVQSSEDASVPAIFQWLRFIFLSPCPQRALLSSVDLLFLLSLLAFSIQKLYSKFVSNGHQSSDLNKPLIRNSRAHLRTTICFKLSLTVSALLTLCYTVVCILAFTRNTELPWTLVDGLFWLVQAITHAVITILIAHERRFEAVKHPLSLRVYWVANFIVISLFTVSGILRLVYVQQNQDPSFRLDDVVSMVSFPLSIVLLVIALRGSTGIAVNREFEQGMNGESNLYEPLLSKSNVTGFASASIISKTFWIWMNPLLRKGYKSPLKVDEVPLLSPEHRAEKMSALFESNWPKPQEKLDHPVRTTLLRCFWKEVAFTAFLAVVRLCVMYVGPVLIQSFVDFTAGKRSSPYEGYYLVLILLCAKFVEVLSTHQFNFNSQKLGMLIRSTLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMIQLHAIWMMPVQLAIALFLLYNSLGATVLTSVVGIMCVLVFVVLGTRRNNRFQFNVMKNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRILAFRESEFSWLTKFMYSISANIVVMWCTPVVISTLTFATALLLGVRLDAGTVFTTTTIFKILQEPIRTFPQSMISISQAMISLGRLDRYMMSRELVEDAVERDEGCDSRTAVEVKNGAFSWDDESKEEDLKHINLNVNKGELTAIVGTVGSGKSSLLASILGEMHKLSGKVRVCGTTAYVAQTSWIQNGTIEENVLFGLPMDRERYQEVVRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSEIFKECVRGVLKNKTVLLVTHQVDFLHNVDLILVMRDGMIVQGGKYNELLSSGLDFKELVAAHETSMELVEMSPTIPSKSSPSPQISPQPSSNHREANGANNSLGQPKSDNGTSKLIKEEEKETGKVSLHVYKVYCTEAYGWWGVVLVLSLSLLWQATLMAGDYWLSYETSADRAVAFNPSVFITVYAIIAAISFLVVSVRAFSVTIVGLSTAQIFFKQILHSILHAPMSFFDTTPSGRILSRASTDQTNIDLFLPFMLGITVAMYISVLGIFIIVCQNSWPTIFLLIPLIWLNIWYRGYYLASSRELTRLDSITKAPVIHHFSESISGVVTIRSFRRQNMFSKENVKRVNANLRMDFHNYGSNEWLGFRLEMLGSLILCISTLFMILLPSSIIRPENVGLTLSYGLSLNGVLFWAIYMSCFVENRMVSVERIKQFTNIPSEAEWEIKDRVPPSNWPSHGNVELKDLQVRYRPNTPLVLKGISLSIHGGEKIGVVGRTGGGKSTLVQVFFRLVEPSGGKIIIDGIDITILGLHDLRSRFGIIPQEPVLFEGTVRSNIDPVGIYSDEEIWKSLERCQLKDVVAAKPDKLNSLVADDGGNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAVIQRIIREDFATCTIISIAHRIPTVMDCNRVLVIDAGLAKEFDKPSHLLERQSLFGALVQEYANRSSGL >ONH93963 pep chromosome:Prunus_persica_NCBIv2:G8:22140825:22141382:-1 gene:PRUPE_8G263300 transcript:ONH93963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKETLLWLSLFLLVSFSIPSASVNDELTVYEVLEDYDFPVGILPKGALGYELDNSTGKFTVYLNGTCSFSIDSYKLKYKSTITGVITDDKISSLSGIKVKVLFLWLSIVKVIRDDDKLEFSVGIASADFPVSNFVESPTCGCGFDCVNGKGRKIKINNLVSSA >ONH91904 pep chromosome:Prunus_persica_NCBIv2:G8:15990280:15991806:1 gene:PRUPE_8G142700 transcript:ONH91904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTLMSCGIATTAFPSVLSSSKSKFATSAVQLPSVGANASSRFSMSADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERFKESELIHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILVIEFLSIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKKFEEYKVKEIKNGQHTYT >ONH91903 pep chromosome:Prunus_persica_NCBIv2:G8:15990280:15992121:1 gene:PRUPE_8G142700 transcript:ONH91903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTLMSCGIATTAFPSVLSSSKSKFATSAVQLPSVGANASSRFSMSADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERFKESELIHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILVIEFLSIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKKFEEYKVKEIKNGRLALLAFVGFVVQQSAYPGTGPLENLATHLADPWHNNIGDVIIPKGILP >ONH92124 pep chromosome:Prunus_persica_NCBIv2:G8:16724846:16733471:-1 gene:PRUPE_8G156400 transcript:ONH92124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTQQLLWKSDLSEPSESSSQAMMSVTLGRAMTALLSARPRKLNDAISRLSPHPLNSIGHISISASLDDSLRFLHTYLNDAAEKNEPLHEILIPMLENSLRYKDTKNGGQSMVLLNWLFQDDFLFRAIATDLAKVISTKDDRFIALGWCTLVRALLDHETAMTQFPMNGIMERYSDLLKMLSSCIPYLSHIVEKGSTLQEGHELPSRLAISAADCFLALTEALTKKAKVASNKPKLSDSNAPKRQLTLVAIDSGDKKAKPVSESLVTSHMEMEYILWDHLEELICLVQKLLAWSRKSRSLHAKGLEQVLQWLREIKGHYRHFEVETGSKVIKSGALLLSSCWKHYGKLMHLEDQKFSHHYQELLDQYLAGIQLYAGGHPENKDGGAETRKFFLNCLCLLLGRFDRKKFETIVSEYGIRISHALLPQLHSSDDDVVDGIVCILKAVIFKPQSSGSSLTDTREVDAMLPLLIHLLDERDGTARAVVMLIAEYCLMSKDGHCFKEVLERLTSGNVQQRTNALDVISELICMSSDSKDKLSQLSWQDIANHLLERLEDEEIAIRKQTSTLLPMIDPSLVLPSLVHLIYSSDERLQSSASDACVGMLKYHSQNAEVICMLLDCLSTLSQSIDLQNTAGVVGSKFDSDRVLRLIPEWSKSVQSWDVLIGLLIEKMFAEPSNATIVKFLSYISEHLAEAADAVLSCVLLHAKRREEIDENSFSGRECQTYRSDDSEKMQQTLFEHLCPLLIIRMLPLRVFNDLNSSIVYGQLFNQGIFHDCGDINAISEDCVTILLLKRTFCEFEFNDVRKLAAELCGRLHPKVLIPVVSSQLEIATGSRDILKIKASLFSVCTSLVVRGRESLSHPLMLKIRKTLETMLLWPSVDGDEVSKAQHGCIDSLALMICAELQDPESFSIVGKKGDASSGNSVLTCVINKLIQDNHQPVLLSNLDDVKCSSEVPVPLSFYMCMANVLISACQKILDSGKKPFVRKTLPCLIHSVKVMTNSEIRAACIQVLFSSVYHLKSTVLPYSADLLEVSLKALRKGSEKERMAGAKLLGSLMASDDAILETISGGLVEARSILSSISSTDPSVELRQVCGKLLACLIS >ONH92123 pep chromosome:Prunus_persica_NCBIv2:G8:16724579:16733571:-1 gene:PRUPE_8G156400 transcript:ONH92123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTQQLLWKSDLSEPSESSSQAMMSVTLGRAMTALLSARPRKLNDAISRLSPHPLNSIGHISISASLDDSLRFLHTYLNDAAEKNEPLHEILIPMLENSLRYKDTKNGGQSMVLLNWLFQDDFLFRAIATDLAKVISTKDDRFIALGWCTLVRALLDHETAMTQFPMNGIMERYSDLLKMLSSCIPYLSHIVEKGSTLQEGHELPSRLAISAADCFLALTEALTKKAKVASNKPKLSDSNAPKRQLTLVAIDSGDKKAKPVSESLVTSHMEMEYILWDHLEELICLVQKLLAWSRKSRSLHAKGLEQVLQWLREIKGHYRHFEVETGSKVIKSGALLLSSCWKHYGKLMHLEDQKFSHHYQELLDQYLAGIQLYAGGHPENKDGGAETRKFFLNCLCLLLGRFDRKKFETIVSEYGIRISHALLPQLHSSDDDVVDGIVCILKAVIFKPQSSGSSLTDTREVDAMLPLLIHLLDERDGTARAVVMLIAEYCLMSKDGHCFKEVLERLTSGNVQQRTNALDVISELICMSSDSKDKLSQLSWQDIANHLLERLEDEEIAIRKQTSTLLPMIDPSLVLPSLVHLIYSSDERLQSSASDACVGMLKYHSQNAEVICMLLDCLSTLSQSIDLQNTAGVVGSKFDSDRVLRLIPEWSKSVQSWDVLIGLLIEKMFAEPSNATIVKFLSYISEHLAEAADAVLSCVLLHAKRREEIDENSFSGRECQTYRSDDSEKMQQTLFEHLCPLLIIRMLPLRVFNDLNSSIVYGQLFNQGIFHDCGDINAISEDCVTILLLKRTFCEFEFNDVRKLAAELCGRLHPKVLIPVVSSQLEIATGSRDILKIKASLFSVCTSLVVRGRESLSHPLMLKIRKTLETMLLWPSVDGDEVSKAQHGCIDSLALMICAELQDPESFSIVGKKDNHQPVLLSNLDDVKCSSEVPVPLSFYMCMANVLISACQKILDSGKKPFVRKTLPCLIHSVKVMTNSEIRAACIQVLFSSVYHLKSTVLPYSADLLEVSLKALRKGSEKERMAGAKLLGSLMASDDAILETISGGLVEARSILSSISSTDPSVELRQVCGKLLACLIS >ONH92310 pep chromosome:Prunus_persica_NCBIv2:G8:17340125:17345718:-1 gene:PRUPE_8G167900 transcript:ONH92310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGRISQSKLRQLSHHSKTIPYAALLNPTVSHSKACVSENPYLISHRGPTDFGSKVRFFAAPFKGSRKKIEKAASVPRLNEKIEAEFVRLVMDEGHCIVSRSEALERARKLELDLVEVECSSNPPVVKIMDFHKEKYKRVVREKERKIKSDKTLRSESKEVKFSPKTEAKDLRMKADMVKRFMEKGYRVKCTATDAEDKNLEALFAPLTVLIEDVALVDWEPTRGKGKEAFIIVRHRKFGPSKGAGKKTKTEEKTTTDVQMKGSDDANATTSQRDIRINTVPQKASSQSDISDSGLLHATPTRAHTVPLPPRESLDGTQNRYRNDPRNPFPPRGMDNRRPGMREPRQAQTNAPVFRNSTPPPNDIPKQEPSSPSAPRTTGPGYGIFSNQKGNAPPRHGVTDRVPANSNSPSSRPDSSQRPGAGIDKVGGFGIFSRESSGESPNRTFKPN >ONH92309 pep chromosome:Prunus_persica_NCBIv2:G8:17339602:17345789:-1 gene:PRUPE_8G167900 transcript:ONH92309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGRISQSKLRQLSHHSKTIPYAALLNPTVSHSKACVSENPYLISHRGPTDFGSKVRFFAAPFKGSRKKIEKAASVPRLNEKIEAEFVRLVMDEGHCIVSRSEALERARKLELDLVEVECSSNPPVVKIMDFHKEKYKRVVREKERKIKSDKTLRSESKEVKFSPKTEAKDLRMKADMVKRFMEKGYRVKIEDVALVDWEPTRGKGKEAFIIVRHRKFGPSKGAGKKTKTEEKTTTDVQMKGSDDANATTSQRDIRINTVPQKASSQSDISDSGLLHATPTRAHTVPLPPRESLDGTQNRYRNDPRNPFPPRGMDNRRPGMREPRQAQTNAPVFRNSTPPPNDIPKQEPSSPSAPRTTGPGYGIFSNQKGNAPPRHGVTDRVPANSNSPSSRPDSSQRPGAGIDKVGGFGIFSRESSGESPNRTFKPN >ONH92308 pep chromosome:Prunus_persica_NCBIv2:G8:17339602:17345791:-1 gene:PRUPE_8G167900 transcript:ONH92308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGRISQSKLRQLSHHSKTIPYAALLNPTVSHSKACVSENPYLISHRGPTDFGSKVRFFAAPFKGSRKKIEKAASVPRLNEKIEAEFVRLVMDEGHCIVSRSEALERARKLELDLVEVECSSNPPVVKIMDFHKEKYKRVVREKERKIKEAKDLRMKADMVKRFMEKGYRVKCTATDAEDKNLEALFAPLTVLIEDVALVDWEPTRGKGKEAFIIVRHRKFGPSKGAGKKTKTEEKTTTDVQMKGSDDANATTSQRDIRINTVPQKASSQSDISDSGLLHATPTRAHTVPLPPRESLDGTQNRYRNDPRNPFPPRGMDNRRPGMREPRQAQTNAPVFRNSTPPPNDIPKQEPSSPSAPRTTGPGYGIFSNQKGNAPPRHGVTDRVPANSNSPSSRPDSSQRPGAGIDKVGGFGIFSRESSGESPNRTFKPN >ONH92311 pep chromosome:Prunus_persica_NCBIv2:G8:17339602:17345789:-1 gene:PRUPE_8G167900 transcript:ONH92311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGHCIVSRSEALERARKLELDLVEVECSSNPPVVKIMDFHKEKYKRVVREKERKIKSDKTLRSESKEVKFSPKTEAKDLRMKADMVKRFMEKGYRVKIEDVALVDWEPTRGKGKEAFIIVRHRKFGPSKGAGKKTKTEEKTTTDVQMKGSDDANATTSQRDIRINTVPQKASSQSDISDSGLLHATPTRAHTVPLPPRESLDGTQNRYRNDPRNPFPPRGMDNRRPGMREPRQAQTNAPVFRNSTPPPNDIPKQEPSSPSAPRTTGPGYGIFSNQKGNAPPRHGVTDRVPANSNSPSSRPDSSQRPGAGIDKVGGFGIFSRESSGESPNRTFKPN >ONH92312 pep chromosome:Prunus_persica_NCBIv2:G8:17340125:17345156:-1 gene:PRUPE_8G167900 transcript:ONH92312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGHCIVSRSEALERARKLELDLVEVECSSNPPVVKIMDFHKEKYKRVVREKERKIKSDKTLRSESKEVKFSPKTEAKDLRMKADMVKRFMEKGYRVKCTATDAEDKNLEALFAPLTVLIEDVALVDWEPTRGKGKEAFIIVRHRKFGPSKGAGKKTKTEEKTTTDVQMKGSDDANATTSQRDIRINTVPQKASSQSDISDSGLLHATPTRAHTVPLPPRESLDGTQNRYRNDPRNPFPPRGMDNRRPGMREPRQAQTNAPVFRNSTPPPNDIPKQEPSSPSAPRTTGPGYGIFSNQKGNAPPRHGVTDRVPANSNSPSSRPDSSQRPGAGIDKVGGFGIFSRESSGESPNRTFKPN >ONH90247 pep chromosome:Prunus_persica_NCBIv2:G8:4406647:4408141:1 gene:PRUPE_8G042300 transcript:ONH90247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEHYHLHPLNTLSGKFNASVIKEFYSNFPVDPKGSNYQIVVHIYYAYCSSTICCQSGFRFSVLLWLRL >ONH93947 pep chromosome:Prunus_persica_NCBIv2:G8:22099808:22104130:-1 gene:PRUPE_8G262200 transcript:ONH93947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSEHRLLWHAHQVLYNQLAAWMVYGILQDQHGEFFIRRQEDKDVEHGSSHRDISEKLARMSTDDTSLTDWHLGFHIYLDMLPEYIHMRVAESILFSGKAIRVLRNPSHAFRFQDIVYHQQVPRGSHKVQGFSGRFPFLKDPFADKELIGEELLPQSEADKIETMLLELKESSEFHKRSFECAIDSIRATAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAAIKTISEEDKYFSRVSLRMPSFGMTVKSSQVDLPKTDGNAGTALSSASSEISLDGWDGIALEYSVDWPLQLFFTPDVLSKYCRVFQYLLRLKRTQMELEKSWASVMHQDHTDFAKHRNDHVKGSVSQQGRQRSRPMWRIREHMAFLIRNLQFYIQVDVIESQWNVLQAHIQDSNDFTGLVGVHQEYLSALISQSFLDIGSLSRILDSIMKLCLQFCWNIENQESSANTSELEHIIEEFNKKSNSLYTILRSSRLVGSQRAPFLRRFLMRLNFNSFFEATAKGVLNVVRPRPTLSVLNQQ >ONH93946 pep chromosome:Prunus_persica_NCBIv2:G8:22099279:22105164:-1 gene:PRUPE_8G262200 transcript:ONH93946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHELLLALLGYTGDLIIDEREHSKSLGVDAPISDEPTFKLAPDISFIQPSERDLIEKITTLGFYYRELDRFSVRSRNLSWIRSANASSLASDLSKPKAEKPSVYRRAIANGIVEILSVYRSAVLHIEQKLLSETVPILATVTQGLNKFFVLLPPLYELVLEIERDDIRGGQLLNLLHKRCHCGVPELQTCIQRLLWHAHQVLYNQLAAWMVYGILQDQHGEFFIRRQEDKDVEHGSSHRDISEKLARMSTDDTSLTDWHLGFHIYLDMLPEYIHMRVAESILFSGKAIRVLRNPSHAFRFQDIVYHQQVPRGSHKVQGFSGRFPFLKDPFADKELIGEELLPQSEADKIETMLLELKESSEFHKRSFECAIDSIRATAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAAIKTISEEDKYFSRVSLRMPSFGMTVKSSQVDLPKTDGNAGTALSSASSEISLDGWDGIALEYSVDWPLQLFFTPDVLSKYCRVFQYLLRLKRTQMELEKSWASVMHQDHTDFAKHRNDHVKGSVSQQGRQRSRPMWRIREHMAFLIRNLQFYIQVDVIESQWNVLQAHIQDSNDFTGLVGVHQEYLSALISQSFLDIGSLSRILDSIMKLCLQFCWNIENQESSANTSELEHIIEEFNKKSNSLYTILRSSRLVGSQRAPFLRRFLMRLNFNSFFEATAKGVLNVVRPRPTLSVLNQQ >ONH94065 pep chromosome:Prunus_persica_NCBIv2:G8:22362626:22363309:-1 gene:PRUPE_8G268200 transcript:ONH94065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGIGPAGHSTDDLYVLDLTNDKFKWHRVVVHGQGPGPRYGHVMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYAWARLNPEGDRPSARMYATASARSDGMFLLLNVIHLYKLLK >ONH92517 pep chromosome:Prunus_persica_NCBIv2:G8:18012136:18017913:-1 gene:PRUPE_8G179000 transcript:ONH92517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVVERKKKKKGRPSLLDLQKRTLREQEEQLQQQQQQQQKRKNNSARHSNPNYKTAPADSAKAPVRRSTRRNPNPDDNEDEDDGEDEDDDVLAGKRREKKLKLVLKLPPNQKSSANSASLNSGGSESNADGDNAAPEVDKKRKINAIGDGSGLAHPQKGEKTISATNPSSALQGGTALDSEPSTPLPDKKLLLFVLDRLQKKDTYGVFSEPVDPKELPDYHEVIEHPMDFGTVKKKLTSGVYTSLERFESDIFLICSNAMQYNAPDTIYFRQARSIHELAKKNFDNLRQDSDDNEPGPKVVRRGRPPTKNLKKPLGRPSLERAGSEFSDATLATGAENANYDLRKGPHFSDKSGLADSSGRFHGSRNNDVYTSWLADNKFERNDDFTGSMLRGNSKLGRKQFVFDENRRNTYNQSHPSAGGRESSVLTTFDRERKQLMAVGLHSDYGYARSLTRFAANIGPVAWNIAAKKIERSLPPGVKFGPGWVGENDVVPHRPLLLDSTSLGQPYSSELIPIRANSSSAATSCSVEPKGDKSSDKPSGHNSSEKRVPSVPSALEGHISRPPPLAAATSSPHVAANKSPEPLTGKAETVEGSNSRTGFNMSSNLGVIRPRPPFQIHQNSVIQPGINGFNGAYGLNLPAQMGKLVGLARPGGFNFQSSQMLDTVSRTNSGFIQPSASTSLNSEETKFSENSGTINSSGSYPNSVKEALAARTLGIPPRPSLLGLSQQQKPDSGLSPQQKPDSVPPDLNVRFQSPGSPSSSRTDSAQPDLALQL >ONH92775 pep chromosome:Prunus_persica_NCBIv2:G8:18764986:18766124:1 gene:PRUPE_8G195200 transcript:ONH92775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFLFKLIFFALSFVSSLVTGLIFSATARVLVLLIHGFRAPGLAIHGTLQQVTEVIRSCSEYFVGLVLEAISALVSAFFDYLKQSVTGSAGVTTSAIGDLMEKTKTSLELLDALRTDFPEVSDMIFTMVADLWNNCRDAFGYVAENA >ONH89948 pep chromosome:Prunus_persica_NCBIv2:G8:2355345:2356560:-1 gene:PRUPE_8G025900 transcript:ONH89948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDHFLISTLAFLAFATFLASAYDPSPLQDFCVALKDIKDGVFVNGKFCKDPKLANANDFFFSGLQNPRNTQNPVGSNVTAVNVDNLAGLNTLGISLARIDFAPNGLNPPHTHPRATEILVVLEGTLYVGFVTSNGDGNRLFTKVLNKGDVFVFPIGLIHFQLNVGHVNAVAFAGLSSQNPGVITIANAVFGSKPPINPDVLTKAFQVDNKVVDYLQKQFWYDNN >ONH91009 pep chromosome:Prunus_persica_NCBIv2:G8:12116391:12116922:1 gene:PRUPE_8G088100 transcript:ONH91009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRCRVLYSLTLLMLLLVTFQTIMVHYLRSDTLILLVWVVRTCVESLIGYVLGLSTLYLSKALFLTNPLGLLHSL >ONH91092 pep chromosome:Prunus_persica_NCBIv2:G8:12521279:12525989:1 gene:PRUPE_8G092300 transcript:ONH91092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQLPFQTSSSSFSKPLRHSLTRNPFSQNPILPLRPASLKPLVLRAVVSQNPSKSLTQDPQTTPFKHCFSKSSDGFLYCEGLKVQEVIDSVERRPFYLYSKPQITRNVEAYKEALEGLSSVIGYAIKANNNYKILEHLRQLGCGAVLVSGNELRLALRAGFDPTKCIFNGNGKLLEDLVLAAQEGVFINVDSEFDLENIVAAARIAGKRVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVKYIDEIRSQGFQVDYLNIGGGLGIDYYHAGAVLPTPRDLIDTVRDVVQSQGLNLIIEPGRSLIANSCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPASPDAEISTFDVVGPVCESADFLGKDRELPTPPKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVAKIRHAETFEDHMRFFDGL >ONH91093 pep chromosome:Prunus_persica_NCBIv2:G8:12521335:12525774:1 gene:PRUPE_8G092300 transcript:ONH91093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQLPFQTSSSSFSKPLRHSLTRNPFSQNPILPLRPASLKPLVLRAVVSQNPSKSLTQDPQTTPFKHCFSKSSDGFLYCEGLKVQEVIDSVERRPFYLYSKPQITRNVEAYKEALEGLSSVIGYAIKANNNYKILEHLRQLGCGAVLVSGNELRLALRAGFDPTKCIFNGNGKLLEDLVLAAQEGVFINVDSEFDLENIVAAARIAGKRVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVKYIDEIRSQGFQVDYLNIGGGLGIDYYHAGAVLPTPRDLIDTVRDVVQSQGLNLIIEPGRSLIANSCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQSWFLLLHLMLRFLLLMWLALFVSLRIS >ONH89685 pep chromosome:Prunus_persica_NCBIv2:G8:692009:695560:-1 gene:PRUPE_8G009400 transcript:ONH89685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTDLLIGKIVAIFENEASSIAGVRDEIDDIKQELVSMKIFLNDFEGKKALTEGGETWVASVRRMAYDVEDIIDEFMYHMYERGCHDGRFARWFHQTIRIPPNVWFRRQMSKKLRKISRRIKAIPERNQRYGVGGLEGTTSTCDVGKWMRNQAESSLFIKEDELVGIERKKQLLMNWLMNGEQQQTVISVVGMGGSGKTTLVAKTFNDERVKKKFHCCAWLTVSQTYVIDDLFRSLIKEFHEARMEKVPADMNSMTYRELLQVLVNYLESKRYMVVLDDVWDIKLWKEMRIALPNTQFGSRIMLTTRREDVASYCFGVQSHIHYIQPLEKNDAWELFSSKAFSAYQNKCCPLDLQSLAEELVEKCEGLPLAVVALGGLMSSKKPFEWKQVYNSLNLHLTNHPLLEPVKSILLLSFDDLPYPLKHCFLYCSLFPEDYSIRRKRLIRLWIAEGFVQDGKGATPEEVAESYLMQLIFRSMLQVVQRNESGRPKACKMHDLMLELALSKSEKEKFGAVYDGKEVMDEGQVRRLSTQTTGGEIKLGTGMAQLRSFLMFVSDVSSSSSSNTLPSGCKLLRVLDLQYVPIDILPKELAYLFNLRYLNLRGTPVKKLPESIGKLRNLQTLDIRDSKIEVLPSGIAKLQNLRHLIMYRRTEEHRGFRYVNGTRSPSNICMLKKLQVLACVELEGKIVRLVGNMTQLRRIGITNVKERDEMDLCASIRKMKQLHYLFLMTSDEEEVLQTNTLCSPPPHLRTVILNGKLEKVPRWVSSLQSLTHLNLIWSGIEEDLLPYIEALPNLGRLTLVNAYAGRELCFSRGFAKLTKLELSICHLLNKGLEYLTELKVLTLVVVSKELKDSIQEGGVDHEKVQHIPEIYHYYKSSLGMMCRESLS >ONH89686 pep chromosome:Prunus_persica_NCBIv2:G8:692540:695293:-1 gene:PRUPE_8G009400 transcript:ONH89686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTDLLIGKIVAIFENEASSIAGVRDEIDDIKQELVSMKIFLNDFEGKKALTEGGETWVASVRRMAYDVEDIIDEFMYHMYERGCHDGRFARWFHQTIRIPPNVWFRRQMSKKLRKISRRIKAIPERNQRYGVGGLEGTTSTCDVGKWMRNQAESSLFIKEDELVGIERKKQLLMNWLMNGEQQQTVISVVGMGGSGKTTLVAKTFNDERVKKKFHCCAWLTVSQTYVIDDLFRSLIKEFHEARMEKVPADMNSMTYRELLQVLVNYLESKRYMVVLDDVWDIKLWKEMRIALPNTQFGSRIMLTTRREDVASYCFGVQSHIHYIQPLEKNDAWELFSSKAFSAYQNKCCPLDLQSLAEELVEKCEGLPLAVVALGGLMSSKKPFEWKQVYNSLNLHLTNHPLLEPVKSILLLSFDDLPYPLKHCFLYCSLFPEDYSIRRKRLIRLWIAEGFVQDGKGATPEEVAESYLMQLIFRSMLQVVQRNESGRPKACKMHDLMLELALSKSEKEKFGAVYDGKEVMDEGQVRRLSTQTTGGEIKLGTGMAQLRSFLMFVSDVSSSSSSNTLPSGCKLLRVLDLQYVPIDILPKELAYLFNLRYLNLRGTPVKKLPESIGKLRNLQTLDIRDSKIEVLPSGIAKLQNLRHLIMYRRTEEHRGFRYVNGTRSPSNICMLKKLQVLACVELEGKIVRLVGNMTQLRRIGITNVKERDEMDLCASIRKMKQLHYLFLMTSDEEEVLQTNTLCSPPPHLRTVILNGKLEKVPRWVSSLQSLTHLNLIWSGIEEDLLPYIEALPNLGRLTLVNAYAGRELCFSRGFAKLTKLELSICHLLNKVTIEKGVMSNLQFLCLENCPELNTMPQGLEYLTELKVLTLVVVSKELKDSIQEGGVDHEKVQHIPEIYHYYKSSLGMMCRESLS >ONH89684 pep chromosome:Prunus_persica_NCBIv2:G8:692008:695560:-1 gene:PRUPE_8G009400 transcript:ONH89684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTDLLIGKIVAIFENEASSIAGVRDEIDDIKQELVSMKIFLNDFEGKKALTEGGETWVASVRRMAYDVEDIIDEFMYHMYERGCHDGRFARWFHQTIRIPPNVWFRRQMSKKLRKISRRIKAIPERNQRYGVGGLEGTTSTCDVGKWMRNQAESSLFIKEDELVGIERKKQLLMNWLMNGEQQQTVISVVGMGGSGKTTLVAKTFNDERVKKKFHCCAWLTVSQTYVIDDLFRSLIKEFHEARMEKVPADMNSMTYRELLQVLVNYLESKRYMVVLDDVWDIKLWKEMRIALPNTQFGSRIMLTTRREDVASYCFGVQSHIHYIQPLEKNDAWELFSSKAFSAYQNKCCPLDLQSLAEELVEKCEGLPLAVVALGGLMSSKKPFEWKQVYNSLNLHLTNHPLLEPVKSILLLSFDDLPYPLKHCFLYCSLFPEDYSIRRKRLIRLWIAEGFVQDGKGATPEEVAESYLMQLIFRSMLQVVQRNESGRPKACKMHDLMLELALSKSEKEKFGAVYDGKEVMDEGQVRRLSTQTTGGEIKLGTGMAQLRSFLMFVSDVSSSSSSNTLPSGCKLLRVLDLQYVPIDILPKELAYLFNLRYLNLRGTPVKKLPESIGKLRNLQTLDIRDSKIEVLPSGIAKLQNLRHLIMYRRTEEHRGFRYVNGTRSPSNICMLKKLQVLACVELEGKIVRLVGNMTQLRRIGITNVKERDEMDLCASIRKMKQLHYLFLMTSDEEEVLQTNTLCSPPPHLRTVILNGKLEKVPRWVSSLQSLTHLNLIWSGIEEDLLPYIEALPNLGRLTLVNAYAGRELCFSRGFAKLTKLELSICHLLNKELKDSIQEGGVDHEKVQHIPEIYHYYKSSLGMMCRESLS >ONH93604 pep chromosome:Prunus_persica_NCBIv2:G8:21160640:21165251:1 gene:PRUPE_8G241800 transcript:ONH93604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALLSSQRFHPQLFPSKFRGAISGCDIQICCLPLARTSHVSLKTNPLQSCTRNFVSPNRPFPSKANKRVSAKFSNQFLNDYTNVEATPPESLNLEVPPLSPEDGTEISKQNDIDKAHTEQARPLTFRNRFLDFVRLSSVLNSAAESFFKSEIRRRLFVTAVLIVISRVGYFIPLPGFDRRLIPQDYLSFVSGSVDELGDFTAELKMSFFQLGISPQIIASIIMQVLCHLVPSLVKLRKEGLDGHEKIKSYIWWMSLGFAIVEAIILACYSLPYSIYAASYRVKHVMVTSMLLVCGAMTMTWICDTISESGFGQGSSLIICVQILTGYTETLYKMLSQLSGGSVSWGPYLLAVLGVFTVVTMWAVVVTEGCRKIKLQYYGFKLASSARDDSPITEVEPYIPFTINPSGMQPVLTTTYLLSFPSILASLLGSPFWEHVKEILNPDNSVGAGPWVYYSIYAFFVFLFNIFDIANLPKEIADYLNKMGARIPNIKPGKATIEYLTKIQASTRFWGGLLLSILATTSSILDHYLRNINAGFAIGLTSVLIIVGSIIELRRSYQAYNVMPSLSKALSRYGV >ONH90707 pep chromosome:Prunus_persica_NCBIv2:G8:10332289:10337373:1 gene:PRUPE_8G070300 transcript:ONH90707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISAPTPSPHKLHFSNPRPYPSSVGKRPFYPSSSPSSQTRFRFPDSSLCRCQNPSSSSSSESIQWRWDSALQGLIQNAIERFDSYFNFKPQGDSQNAPFESGNRDEPDWDWDRWRQHFLEVEEQERLLSLLKSQLGRAVYTEDFEDAARLKVAIAAAATNDVVGRVIFHLNRAVQEERYQDAAFFRDNAGAGLVGWWAGLSKDKKDPHGLIIRVTAEHGRYVARSYSPRQLATAAAGVPLFEIFVTANKNGEYRQQAVFLKRGGVFEDSSPVSSKALDATSSLNSLDSTEEKSDLLVAGSEDAEDGDDGDDDSDLSEGLSGFQSILRDMIPNVKVKVLKVTSPGKVDRDLISKVIEQIIEEDDEDKDSEIESLEAEDDEQVEDDEETDVIELDADPGIIQSAERREIAVKVVVGGPGQKISSRPPTKNLLRVPAKIEKKGRLSFSFTVEKDIDQQDSQEKERSSVDKKAKLGGQRSIDNVMFDLAKFIGKEKIPLKVLKDVGELINLALSQVNNHQPLSGSTTFNRIEMPDSPDPLNGLYIGAHGLYTSEVIHLRRKFGQWKEDGGTQEPSNLEFYEYVEALKLTGDPYVPAGQVAFRAKIGKRYQLPHKGIIPEEFGVVARYKGQGRLAEPGFRNPRWVDGELVILDGKV >ONH90706 pep chromosome:Prunus_persica_NCBIv2:G8:10332289:10337602:1 gene:PRUPE_8G070300 transcript:ONH90706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISAPTPSPHKLHFSNPRPYPSSVGKRPFYPSSSPSSQTRFRFPDSSLCRCQNPSSSSSSESIQWRWDSALQGLIQNAIERFDSYFNFKPQGDSQNAPFESGNRDEPDWDWDRWRQHFLEVEEQERLLSLLKSQLGRAVYTEDFEDAARLKVAIAAAATNDVVGRVIFHLNRAVQEERYQDAAFFRDNAGAGLVGWWAGLSKDKKDPHGLIIRVTAEHGRYVARSYSPRQLATAAAGVPLFEIFVTANKNGEYRQQAVFLKRGGVFEDSSPVSSKALDATSSLNSLDSTEEKSDLLVAGSEDAEDGDDGDDDSDLSEGLSGFQSILRDMIPNVKVKVLKVTSPGKVDRDLISKVIEQIIEEDDEDKDSEIESLEAEDDEQVEDDEETDVIELDADPGIIQSAERREIAVKVVVGGPGQKISSRPPTKNLLRVPAKIEKKGRLSFSFTVEKDIDQQDSQEKERSSVDKKAKLGGQRSIDNVMFDLAKFIGKEKIPLKVLKDVGELINLALSQVNNHQPLSGSTTFNRIEMPDSPDPLNGLYIGAHGLYTSEVIHLRRKFGQWKEDGGTQEPSNLEFYEYVEALKLTGDPYVPAGQVAFRAKIGKRYQLPHKGIIPEEFGVVARYKGQGRLAEPGFRNPRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLVFFNRLRLQQ >ONH92968 pep chromosome:Prunus_persica_NCBIv2:G8:19192033:19195522:-1 gene:PRUPE_8G204800 transcript:ONH92968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFQRVEKVKTAVPINVNEIRITTQGAIRNYISYATTLLQDKQVIEIVLKAMGQAISKTVAIAEIIKKRIPSLHQDTAISSVSITDVWEPIEEGLESVETTRHVSMISITLSIKELNTSSPGYQAPYVAEQSKSQPNYQQQQQPKQARASYNAVNEDSYGRGRGRGRGRGRNWGRGGGYGNYQGGYGNYQGGYGNHQGGYVNYQDNGGYSNRGRGGGRVRGWGNRGSGGYERGGAGGYERGAGGYERGGAGGYERGGAGVYERGTGGYEKGRGGGGRGYGRGRGRMGGRTRGGANQA >ONH92969 pep chromosome:Prunus_persica_NCBIv2:G8:19191783:19195684:-1 gene:PRUPE_8G204800 transcript:ONH92969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFQRVEKVKTAVPINVNEIRITTQGAIRNYISYATTLLQDKQVIEIVLKAMGQAISKTVAIAEIIKKRIPSLHQDTAISSVSITDVWEPIEEGLESVETTRHVSMISITLSIKELNTSSPGYQAPYVAEQSKSQPNYQQQQQPKQARASYNAVNEDSYGRGRGRGRGRGRNWGRGGGYGNYQGGYGNYQGGYGNHQGGYVNYQDNGGYSNRGRGGGRVRGWGNRGAGGYERGAGGYERGAGGYERGGAGGYERGGAGGYERGGAGGNERGGAGGYERGNGAGGYERGGGSGGYERGGAGGYERGAGGYERGGAGGYERGGAGVYERGTGGYEKGRGGGGRGYGRGRGRMGGRTRGGANQA >ONH90864 pep chromosome:Prunus_persica_NCBIv2:G8:11268588:11269189:1 gene:PRUPE_8G078600 transcript:ONH90864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCLPAVIPAKKLLRRSFSNTNRGASINLADIPKGYFAVYVGESEKQRFVVPISFLNQSVFQELLSEAEEEFGYDHPMGDLTIPCIQDAFLDLISHLNSLWGRWRAIT >ONH93391 pep chromosome:Prunus_persica_NCBIv2:G8:20522549:20527338:-1 gene:PRUPE_8G229600 transcript:ONH93391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKENSSVQVQKNLEGFVAILTSAACEWFFIFLLLVNGLLSYLLTKFANYCNLQKPCIFCSRFEHVIGNEKPQLYQDLLCSNHISELSSWIARQVYGKHDGNETCEDSNPETHILLDGKSGLDLRSSGSKRSNQKRNFVHSSVGASPCSYCSRHRRPRENAQGLRRKSPSPKPNIPLPRFSTRSRLPRRDGSKKMRDKFPASVTVRGSGRVGWDPMSHVGGYTELRVCSNTDSARFPGKIGWDPLSHVGYTELKISSDTDSEFPFSDDDDGSSFISGIHEPKRERFVVQCASENPFKAPVEGLNPAKQSNVSYKDKPSPSDPCVQPDGSKASEVKVLGANVAVENGFDEPNWQQASWTSNPSALAELISPDDAPPSSNVVEKNGTGTSDIGHASTNKNDELLKSIRATDGASAKTDPIIDDPALVKPNHISVNVVSESAATGKEADLSGIVKEPTLKGVNGELKPLASQISSAQQSNLSSNNTMSGVNGCADEKQVTDASKSNPKPMIHTSASVESALESLDEGYVSEIEGESLDDRLKRQVEYYRKCIKELYKELEEERSASTIAANQAMAMITKLQEEKAAIHMEALQYLRMMEEQAEFDVDALEKANDLLAEKEKEIQDLEAELEFSKFDVPDETMENMPLKSSNLKGEIDTTESTDVPIIKNDVEVTCNSSTVVPGIEKDVEVACNSMDTEVPEASNDRLFSETTLLEFEDEKLYISNCLKSLERKLSEISCNGVPFNMPNGGQARKYMDDEPIQEETPIKERVLLNGQVEENVVHGQKDLHVSNGSSAAQEGPAHSESLDHEEKNDSDFHGQNDFMDHRETELVVLENEISDLNDRLEALETDHDFLEHMLYSLQNGNEGLRFVQDIAHQLRELRKIGTAFRCQSVS >ONH93396 pep chromosome:Prunus_persica_NCBIv2:G8:20523439:20526302:-1 gene:PRUPE_8G229600 transcript:ONH93396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKENSSVQVQKNLEGFVAILTSAACEWFFIFLLLVNGLLSYLLTKFANYCNLQKPCIFCSRFEHVIGNEKPQLYQDLLCSNHISELSSWIARQVYGKHDGNETCEDSNPETHILLDGKSGLDLRSSGSKRSNQKRNFVHSSVGASPCSYCSRHRRPRENAQGLRRKSPSPKPNIPLPRFSTRSRLPRRDGSKKMRDKFPASVTVRGSGRVGWDPMSHVGGYTELRVCSNTDSARFPGKIGWDPLSHVGYTELKISSDTDSEFPFSDDDDGSSFISGIHEPKRERFVVQCASENPFKAPVEGLNPAKQSNVSYKDKPSPSDPCVQPDGSKASEVKVLGANVAVENGFDEPNWQQASWTSNPSALAELISPDDAPPSSNVVEKTDGTGTSDIGHASTNKNDELLKSIRATDGASAKTDPIIDDPALVKPNHISVNVVSESAATGKEADLSGIVKEPTLKGVNGELKPLASQISSAQQSNLSSNNTMSGVNGCADEKQVTDASKSNPKPMIHTSASVESALESLDEGYVSEIEGESLDDRLKRQVEYYRKCIKELYKELEEERSASTIAANQAMAMITKLQEEKAAIHMEALQYLRMMEEQAEFDVDALEKANDLLAEKEKEIQDLEAELEFSKFDVPDETMENMPLKSSNLKGEIDTTESTDVPIIKNDVEVTCNSSTVVPGIEKDVEVACNSMDTEVPEASNDRLFSETTLLEFEDEKLYISNCLKSLERKLSEISCNGVPFNMPNGGQARKYMDDEPIQEETPIKERVLLNGQVEENVVHGQKDLHVSNGSSAAQEGPAHSESLDHEEKNDSDFHGQNDFMDHRETELVVLENEISDLNDRLEALETDHDFLEHMLYSLQNGNEGLRFVQDIAHQLRELRKIGTAFRCQSVS >ONH93393 pep chromosome:Prunus_persica_NCBIv2:G8:20523150:20527338:-1 gene:PRUPE_8G229600 transcript:ONH93393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKENSSVQVQKNLEGFVAILTSAACEWFFIFLLLVNGLLSYLLTKFANYCNLQKPCIFCSRFEHVIGNEKPQLYQDLLCSNHISELSSWIARQVYGKHDGNETCEDSNPETHILLDGKSGLDLRSSGSKRSNQKRNFVHSSVGASPCSYCSRHRRPRENAQGLRRKSPSPKPNIPLPRFSTRSRLPRRDGSKKMRDKFPASVTVRGSGRVGWDPMSHVGGYTELRVCSNTDSARFPGKIGWDPLSHVGYTELKISSDTDSEFPFSDDDDGSSFISGIHEPKRERFVVQCASENPFKAPVEGLNPAKQSNVSYKDKPSPSDPCVQPDGSKASEVKVLGANVAVENGFDEPNWQQASWTSNPSALAELISPDDAPPSSNVVEKNGTGTSDIGHASTNKNDELLKSIRATDGASAKTDPIIDDPALVKPNHISVNVVSESAATGKEADLSGIVKEPTLKGVNGELKPLASQISSAQQSNLSSNNTMSGVNGCADEKQVTDASKSNPKPMIHTSASVESALESLDEGYVSEIEGESLDDRLKRQVEYYRKCIKELYKELEEERSASTIAANQAMAMITKLQEEKAAIHMEALQYLRMMEEQAEFDVDALEKANDLLAEKEKEIQDLEAELEFSKFDVPDETMENMPLKSSNLKGEIDTTESTDVPIIKNDVEVTCNSSTVVPGIEKDVEVACNSMDTEVPEASNDRLFSETTLLEFEDEKLYISNCLKSLERKLSEISCNGVPFNMPNGGQARKYMDDEPIQEETPIKERVLLNGQVEENVVHGQKDLHVSNGSSAAQEGPAHSESLDHEEKNDSDFHGQNDFMDHRETELVVLENEISDLNDRLEALETDHDFLEHMLYSLQNGNEGLRFVQDIAHQLRELRKIGTAFRCQSVS >ONH93394 pep chromosome:Prunus_persica_NCBIv2:G8:20523439:20526302:-1 gene:PRUPE_8G229600 transcript:ONH93394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKENSSVQVQKNLEGFVAILTSAACEWFFIFLLLVNGLLSYLLTKFANYCNLQKPCIFCSRFEHVIGNEKPQLYQDLLCSNHISELSSWIARQVYGKHDGNETCEDSNPETHILLDGKSGLDLRSSGSKRSNQKRNFVHSSVGASPCSYCSRHRRPRENAQGLRRKSPSPKPNIPLPRFSTRSRLPRRDGSKKMRDKFPASVTVRGSGRVGWDPMSHVGGYTELRVCSNTDSARFPGKIGWDPLSHVGYTELKISSDTDSEFPFSDDDDGSSFISGIHEPKRERFVVQCASENPFKAPVEGLNPAKQSNVSYKDKPSPSDPCVQPDGSKASEVKVLGANVAVENGFDEPNWQQASWTSNPSALAELISPDDAPPSSNVVEKTDGTGTSDIGHASTNKNDELLKSIRATDGASAKTDPIIDDPALVKPNHISVNVVSESAATGKEADLSGIVKEPTLKGVNGELKPLASQISSAQQSNLSSNNTMSGVNGCADEKQVTDASKSNPKPMIHTSASVESALESLDEGYVSEIEGESLDDRLKRQVEYYRKCIKELYKELEEERSASTIAANQAMAMITKLQEEKAAIHMEALQYLRMMEEQAEFDVDALEKANDLLAEKEKEIQDLEAELEFSKFDVPDETMENMPLKSSNLKGEIDTTESTDVPIIKNDVEVTCNSSTVVPGIEKDVEVACNSMDTEVPEASNDRLFSETTLLEFEDEKLYISNCLKSLERKLSEISCNGVPFNMPNGGQARKYMDDEPIQEETPIKERVLLNGQVEENVVHGQKDLHVSNGSSAAQEGPAHSESLDHEEKNDSDFHGQNDFMDHRETELVVLENEISDLNDRLEALETDHDFLEHMLYSLQNGNEGLRFVQDIAHQLRELRKIGTAFRCQSVS >ONH93397 pep chromosome:Prunus_persica_NCBIv2:G8:20523150:20527338:-1 gene:PRUPE_8G229600 transcript:ONH93397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKENSSVQVQKNLEGFVAILTSAACEWFFIFLLLVNGLLSYLLTKFANYCNLQKPCIFCSRFEHVIGNEKPQLYQDLLCSNHISELSSWIARQVYGKHDGNETCEDSNPETHILLDGKSGLDLRSSGSKRSNQKRNFVHSSVGASPCSYCSRHRRPRENAQGLRRKSPSPKPNIPLPRFSTRSRLPRRDGSKKMRDKFPASVTVRGSGRVGWDPMSHVGGYTELRVCSNTDSARFPGKIGWDPLSHVGYTELKISSDTDSEFPFSDDDDGSSFISGIHEPKRERFVVQCASENPFKAPVEGLNPAKQSNVSYKDKPSPSDPCVQPDGSKASEVKVLGANVAVENGFDEPNWQQASWTSNPSALAELISPDDAPPSSNVVEKTDGTGTSDIGHASTNKNDELLKSIRATDGASAKTDPIIDDPALVKPNHISVNVVSESAATGKEADLSGIVKEPTLKGVNGELKPLASQISSAQQSNLSSNNTMSGVNGCADEKQVTDASKSNPKPMIHTSASVESALESLDEGYVSEIEGESLDDRLKRQVEYYRKCIKELYKELEEERSASTIAANQAMAMITKLQEEKAAIHMEALQYLRMMEEQAEFDVDALEKANDLLAEKEKEIQDLEAELEFSKFDVPDETMENMPLKSSNLKGEIDTTESTDVPIIKNDVEVTCNSSTVVPGIEKDVEVACNSMDTEVPEASNDRLFSETTLLEFEDEKLYISNCLKSLERKLSEISCNGVPFNMPNGGQARKYMDDEPIQEETPIKERVLLNGQVEENVVHGQKDLHVSNGSSAAQEGPAHSESLDHEEKNDSDFHGQNDFMDHRETELVVLENEISDLNDRLEALETDHDFLEHMLYSLQNGNEGLRFVQDIAHQLRELRKIGTAFRCQSVS >ONH93392 pep chromosome:Prunus_persica_NCBIv2:G8:20523439:20526302:-1 gene:PRUPE_8G229600 transcript:ONH93392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKENSSVQVQKNLEGFVAILTSAACEWFFIFLLLVNGLLSYLLTKFANYCNLQKPCIFCSRFEHVIGNEKPQLYQDLLCSNHISELSSWIARQVYGKHDGNETCEDSNPETHILLDGKSGLDLRSSGSKRSNQKRNFVHSSVGASPCSYCSRHRRPRENAQGLRRKSPSPKPNIPLPRFSTRSRLPRRDGSKKMRDKFPASVTVRGSGRVGWDPMSHVGGYTELRVCSNTDSARFPGKIGWDPLSHVGYTELKISSDTDSEFPFSDDDDGSSFISGIHEPKRERFVVQCASENPFKAPVEGLNPAKQSNVSYKDKPSPSDPCVQPDGSKASEVKVLGANVAVENGFDEPNWQQASWTSNPSALAELISPDDAPPSSNVVEKNGTGTSDIGHASTNKNDELLKSIRATDGASAKTDPIIDDPALVKPNHISVNVVSESAATGKEADLSGIVKEPTLKGVNGELKPLASQISSAQQSNLSSNNTMSGVNGCADEKQVTDASKSNPKPMIHTSASVESALESLDEGYVSEIEGESLDDRLKRQVEYYRKCIKELYKELEEERSASTIAANQAMAMITKLQEEKAAIHMEALQYLRMMEEQAEFDVDALEKANDLLAEKEKEIQDLEAELEFSKFDVPDETMENMPLKSSNLKGEIDTTESTDVPIIKNDVEVTCNSSTVVPGIEKDVEVACNSMDTEVPEASNDRLFSETTLLEFEDEKLYISNCLKSLERKLSEISCNGVPFNMPNGGQARKYMDDEPIQEETPIKERVLLNGQVEENVVHGQKDLHVSNGSSAAQEGPAHSESLDHEEKNDSDFHGQNDFMDHRETELVVLENEISDLNDRLEALETDHDFLEHMLYSLQNGNEGLRFVQDIAHQLRELRKIGTAFRCQSVS >ONH93395 pep chromosome:Prunus_persica_NCBIv2:G8:20523439:20526302:-1 gene:PRUPE_8G229600 transcript:ONH93395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKENSSVQVQKNLEGFVAILTSAACEWFFIFLLLVNGLLSYLLTKFANYCNLQKPCIFCSRFEHVIGNEKPQLYQDLLCSNHISELSSWIARQVYGKHDGNETCEDSNPETHILLDGKSGLDLRSSGSKRSNQKRNFVHSSVGASPCSYCSRHRRPRENAQGLRRKSPSPKPNIPLPRFSTRSRLPRRDGSKKMRDKFPASVTVRGSGRVGWDPMSHVGGYTELRVCSNTDSARFPGKIGWDPLSHVGYTELKISSDTDSEFPFSDDDDGSSFISGIHEPKRERFVVQCASENPFKAPVEGLNPAKQSNVSYKDKPSPSDPCVQPDGSKASEVKVLGANVAVENGFDEPNWQQASWTSNPSALAELISPDDAPPSSNVVEKTDGTGTSDIGHASTNKNDELLKSIRATDGASAKTDPIIDDPALVKPNHISVNVVSESAATGKEADLSGIVKEPTLKGVNGELKPLASQISSAQQSNLSSNNTMSGVNGCADEKQVTDASKSNPKPMIHTSASVESALESLDEGYVSEIEGESLDDRLKRQVEYYRKCIKELYKELEEERSASTIAANQAMAMITKLQEEKAAIHMEALQYLRMMEEQAEFDVDALEKANDLLAEKEKEIQDLEAELEFSKFDVPDETMENMPLKSSNLKGEIDTTESTDVPIIKNDVEVTCNSSTVVPGIEKDVEVACNSMDTEVPEASNDRLFSETTLLEFEDEKLYISNCLKSLERKLSEISCNGVPFNMPNGGQARKYMDDEPIQEETPIKERVLLNGQVEENVVHGQKDLHVSNGSSAAQEGPAHSESLDHEEKNDSDFHGQNDFMDHRETELVVLENEISDLNDRLEALETDHDFLEHMLYSLQNGNEGLRFVQDIAHQLRELRKIGTAFRCQSVS >ONH93390 pep chromosome:Prunus_persica_NCBIv2:G8:20522549:20527338:-1 gene:PRUPE_8G229600 transcript:ONH93390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKENSSVQVQKNLEGFVAILTSAACEWFFIFLLLVNGLLSYLLTKFANYCNLQKPCIFCSRFEHVIGNEKPQLYQDLLCSNHISELSSWIARQVYGKHDGNETCEDSNPETHILLDGKSGLDLRSSGSKRSNQKRNFVHSSVGASPCSYCSRHRRPRENAQGLRRKSPSPKPNIPLPRFSTRSRLPRRDGSKKMRDKFPASVTVRGSGRVGWDPMSHVGGYTELRVCSNTDSARFPGKIGWDPLSHVGYTELKISSDTDSEFPFSDDDDGSSFISGIHEPKRERFVVQCASENPFKAPVEGLNPAKQSNVSYKDKPSPSDPCVQPDGSKASEVKVLGANVAVENGFDEPNWQQASWTSNPSALAELISPDDAPPSSNVVEKNGTGTSDIGHASTNKNDELLKSIRATDGASAKTDPIIDDPALVKPNHISVNVVSESAATGKEADLSGIVKEPTLKGVNGELKPLASQISSAQQSNLSSNNTMSGVNGCADEKQVTDASKSNPKPMIHTSASVESALESLDEGYVSEIEGESLDDRLKRQVEYYRKCIKELYKELEEERSASTIAANQAMAMITKLQEEKAAIHMEALQYLRMMEEQAEFDVDALEKANDLLAEKEKEIQDLEAELEFSKFDVPDETMENMPLKSSNLKGEIDTTESTDVPIIKNDVEVTCNSSTVVPGIEKDVEVACNSMDTEVPEASNDRLFSETTLLEFEDEKLYISNCLKSLERKLSEISCNGVPFNMPNGGQARKYMDDEPIQEETPIKERVLLNGQVEENVVHGQKDLHVSNGSSAAQEGPAHSESLDHEEKNDSDFHGQNDFMDHRETELVVLENEISDLNDRLEALETDHDFLEHMLYSLQNGNEGLRFVQDIAHQLRELRKIGTAFRCQSVS >ONH90221 pep chromosome:Prunus_persica_NCBIv2:G8:4290783:4294482:-1 gene:PRUPE_8G041600 transcript:ONH90221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAQSSSYFVILALSIAVNLLLVFQFCVDGEWRLTWSKRAAEEAERVAAIPCSGHGTAYLDGLVLDGKEPVCECNSCYEGPDCSEFVTECAANADSGDPYFLQPFWMQHAAQSAVLVAGWHRMGYSYPDGSYISAELEGHIRELHSTVGNAVTQGRYIVFGAGSTQLLNAAVHALSSDNSSNSSSPASVVATIPYYRLYKTQTEFFRSLDYRFEGDTSSFLNISEAGKVIEFVTSPNNPDGKLNKAVLHGPNASAIYDRVYYWPHFTAIPNPADDDLMLFSLSKLTGHAGSRFGWAVIKNESVYQKMTEYMSSSSMGVSRDAQLRALKLINVVLETRGKQIFEFGYHTMRNRWESLSKILSLSNRFSLQKLGPRYCTYFKKIRGPSPAYAWVKCEREEDTDCYEVLKAANITGRSGSIFSAADRYVRLSLIRSQDDFDILIQRLTQLVSEERQQQNHANNFLQLKKPLMSTLRRGLVY >ONH90222 pep chromosome:Prunus_persica_NCBIv2:G8:4291898:4294482:-1 gene:PRUPE_8G041600 transcript:ONH90222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAQSSSYFVILALSIAVNLLLVFQFCVDGEWRLTWSKRAAEEAERVAAIPCSGHGTAYLDGLVLDGKEPVCECNSCYEGPDCSEFVTECAANADSGDPYFLQPFWMQHAAQSAVLVAGWHRMGYSYPDGSYISAELEGHIRELHSTVGNAVTQGRYIVFGAGSTQLLNAAVHALSSDNSSNSSSPASVVATIPYYRLYKTQTEFFRSLDYRFEGDTSSFLNISEAGKVIEFVTSPNNPDGKLNKAVLHGPNASAIYDRVYYWPHFTAIPNPADDDLMLFSLSKLTGHAGSRFGWAVIKNESVYQKMTEYMSSSSMGVSRDAQLRALKLINVVLETRGKQIFEFGYHTMRNRWESLSKILSLSNRFSLQKLGPRYCTYFKKIRGPSPGNVSTNSRIFSLSIIEYKMSIIPPLS >ONH90116 pep chromosome:Prunus_persica_NCBIv2:G8:3272521:3273725:1 gene:PRUPE_8G035700 transcript:ONH90116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVYFLISTLAILASATFLVSASDPSPLQDFCVALNDTKSAEAVFVNGKFCKDPKLANANDFFFSGLQNPRNTQNPLGSNVTAVNVDNLLGLNTLGISLARIDFAPNGLNPPHTHPRATEILVVLEGTLYVGFVTSNGDGNRLFTKVLNKGDVFVFPIGLIHFQLNVGHVNAVAFAGLSSQNPGVITIAKAVFGSQPPINPDVLAKAFQVDDNVVDNLQKQFWYDNN >ONH91442 pep chromosome:Prunus_persica_NCBIv2:G8:14242238:14244417:-1 gene:PRUPE_8G114900 transcript:ONH91442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMDSQTQNTSLQRLQNVEKRIVKVLELAGGVMDELANPSGPRKEFINNHCREFMQLIKDIQVALRDEIKSACDYRPFEKCDYSSRVANEICCKKLEYVMSQLDAMKETMDEYRNAV >ONH91444 pep chromosome:Prunus_persica_NCBIv2:G8:14242238:14244364:-1 gene:PRUPE_8G114900 transcript:ONH91444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMDSQTQNTSLQRLQNVEKRIVKVLELAGGVMDELANPSGPRKEFINNHCREFMQLIKDIQVALRDEIKSACDYRPFEKCDYSSRVANEICCKKLEYVMSQLDAMKETMDEYRNAV >ONH91443 pep chromosome:Prunus_persica_NCBIv2:G8:14242238:14244414:-1 gene:PRUPE_8G114900 transcript:ONH91443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMDSQTQNTSLQRLQNVEKRIVKVLELAGGVMDELANPSGPRKEFINNHCREFMQLIKDIQVALRDEIKSACDYRPFEKCDYSSRVANEICCKKLEYVMSQLDAMKETMDEYRNAV >ONH92738 pep chromosome:Prunus_persica_NCBIv2:G8:18644499:18646172:-1 gene:PRUPE_8G192500 transcript:ONH92738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSPPSLAFLGLTLALVLLISPAHSLTCTTQTFTNNKLYSNCVDLPTLKSYLHWTYDSSNSTLSIAFVATPAKSDGWIAWAINPTSTGMKGAQTLLTYKKSDGSMDVKTFNISSYNNIVESKLAFDVWDTSAESSGGVFRLFAKLKVEEMESVNQVWQVGPSVSNGFPAKHDFNTDNLQAKGSLSLTGGTTTPTTTTGDSKLKKRNIHGILNAVSWGLLFPIGIIIARYLRTFQSADPAWFYLHIFCQVSGYAIGVAGWATGIKLGSESEGVVYSAHRKIGITLFSLATVQVNSHPILPTVTSRNNLIQK >ONH92737 pep chromosome:Prunus_persica_NCBIv2:G8:18643902:18646172:-1 gene:PRUPE_8G192500 transcript:ONH92737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSPPSLAFLGLTLALVLLISPAHSLTCTTQTFTNNKLYSNCVDLPTLKSYLHWTYDSSNSTLSIAFVATPAKSDGWIAWAINPTSTGMKGAQTLLTYKKSDGSMDVKTFNISSYNNIVESKLAFDVWDTSAESSGGVFRLFAKLKVEEMESVNQVWQVGPSVSNGFPAKHDFNTDNLQAKGSLSLTGGTTTPTTTTGDSKLKKRNIHGILNAVSWGLLFPIGIIIARYLRTFQSADPAWFYLHIFCQVSGYAIGVAGWATGIKLGSESEGVVYSAHRKIGITLFSLATVQIFALFLRPKKEHKYRFYWNIYHHTLGYAILILSILNVFKGLDILNPAKHWRSTYIIVIIALGAIALLLEAITWVVVLKRRSTKSTKPYDGFNNGQG >ONH89544 pep chromosome:Prunus_persica_NCBIv2:G8:117618:120231:1 gene:PRUPE_8G001300 transcript:ONH89544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAPSSSSLSNPSPLPSSANSQNNTSRFTRPFSPIPQKPTLYRPLHISNSLSPKPKPKATSTSITTTPITTTSEPQTFISRYAPDEPRKGADVLVEALERQGVTDVFAYPGGASMEIHQALTRSSTIRNVLPRHEQGGVFAAEGYARASGLPGVCIATSGPGATNLVSGLADALLDSVPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEEIPRIVREAFFLATSGRPGPVLIDIPKDIQQQLLVPNWNQPMSLPGYMSRLPKSPSESHLDQIVRLVSESKKPVLYVGGGCLNSSDQLRRFVDLTGIPVASTLMGLGAYPCSDELSLQMLGMHGTVCANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCADVKLALAGINRILEGKGSKLKLDFSAWREELKEQKVKFPLSYKTFGEAIPPQYAIQVLDELTDGNAIISTGVGQHQMWAAQFYKYRRPRQWLTSGGLGAMGFGLPAAIGAAVANPDAIVVDIDGDGSFMMNVQELATIRVENLPIKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGNPSNESEIFPNMLKFAAACGIPAARVTKKEHLKEAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRSSY >ONH89545 pep chromosome:Prunus_persica_NCBIv2:G8:117618:120038:1 gene:PRUPE_8G001300 transcript:ONH89545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAPSSSSLSNPSPLPSSANSQNNTSRFTRPFSPIPQKPTLYRPLHISNSLSPKPKPKATSTSITTTPITTTSEPQTFISRYAPDEPRKGADVLVEALERQGVTDVFAYPGGASMEIHQALTRSSTIRNVLPRHEQGGVFAAEGYARASGLPGVCIATSGPGATNLVSGLADALLDSVPLVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEEIPRIVREAFFLATSGRPGPVLIDIPKDIQQQLLVPNWNQPMSLPGYMSRLPKSPSESHLDQIVRLVSESKKPVLYVGGGCLNSSDQLRRFVDLTGIPVASTLMGLGAYPCSDELSLQMLGMHGTVCANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCADVKLALAGINRILEGKGSKLKLDFSAWREELKEQKVKFPLSYKTFGEAIPPQYAIQVLDELTDGNAIISTGVGQHQMWAAQFYKYRRPRQWLTSGGLGAMGFGLPAAIGAAVANPDAIVVDIDGDGSFMMNVQELATIRVENLPIKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGNPSNESEIFPNMLKFAAACGIPAARVTKKEHLKEAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRSSY >ONH93596 pep chromosome:Prunus_persica_NCBIv2:G8:21128091:21133934:1 gene:PRUPE_8G241300 transcript:ONH93596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLSFHTSATQPLLLTPSSTPFLHTHLSRIKSQPSRRTRFLISSISQNSNQFTNQNPQTPPKKPPRTQFPGGFKRPEIKVPNIVLQLDPDDVLVGDDALDLIDKAVSKWVGILVLNGREASGGRLYEAACKLKSVVRDRAYLLISERVDIAAAANASGVLLSDQGLPTIVARGTMMASKSESVILPLVARNVQDIDGAISASSSEGADFLIYGIGGQEEVHVALNPLFKNVKIPIFVMFPSYDSLYSEVPTLLKSGASGLVTSLKDFRLLNDEALSELFDIIYMKNGKTQDEVESFDNLTVLNVLNGLNDDKNVAGFLKLEDREKQFIETERSVLLKAINVIQKAAPLMEEVSLLIDAVSQIDEPFLLVIVGEFNSGKSTVINALLGSRYLKEGVVPTTNEITFLRYSEMDSGEEQRCERHPDGQYICYLPAPILKEMHVVDTPGTNVILQRQQRLTEEFVPRADLLLFVISADRPLTESEVAFLRYTQQWKKKVVFVLNKSDIYQNAHELEEAMSFIKENTQKLLNTENVTLFPVSARSALEAKLSASALGKDYAKLLGSDSQWKTSSFYELENFLYSFLDGSTSTGMERMKLKLETPIAIAEKLLSACETLVTQDCRYAKQDLASINDIVGSIKNYAVKMENESIAWRRRILSVIDTTKSRVVELIEATLQLSNLDLVAYYVFKGEKSASIPATSRVQNDIMGPAFSDVQKLLGEYAIWLQSDNAREGRMYAETFEKRWSSFVYPHRQVHLETSLEKVNELSLKVIEGFSTNAASKLFEQEIREVSLATFGGLGAAGLSASLLTSVLPTTLEDLLALGLCSAGGLLAVSKFPARRQEMIDKVKRTADVLAREVEEAMQKDLSEAIGNMESFVKNISQPYQDTAQQRLEKLLELQDEISNVDKQLQTLRIEIQNLHVS >ONH90356 pep chromosome:Prunus_persica_NCBIv2:G8:5372506:5374567:-1 gene:PRUPE_8G049200 transcript:ONH90356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNQNREAINRNMRIRKSSSRNMLRCKAANIWNDTVPCSRWGCKIFLVLCSYQACHSTLPLYNSLHLQR >ONH92848 pep chromosome:Prunus_persica_NCBIv2:G8:18947027:18950422:-1 gene:PRUPE_8G199800 transcript:ONH92848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQRSHVPKFGNWEGEENVPYTAYFDKARKGRTGPGGKMINPNDPQQNQDMLSDISSSASSPPKVRAEPERPVHERRRSREDNDLRQFANSPAHRENLGRRTSGEAANQPNRGRGVSSGETHRKPARPSSGSEYSVERSPLHRNARVSGRDSPSWEGKPSYESSHGTPGRSRLKPRDESPEKGAAVPKFGEWDENDPASADGFTHIFNKVREEKAGKVPGTPSQSSYHDARKQAANDTAKSCCFPWSRK >ONH92088 pep chromosome:Prunus_persica_NCBIv2:G8:16614313:16615555:-1 gene:PRUPE_8G154200 transcript:ONH92088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVSANISQAEIDGFLEEHNKARKEVGNKPLKWNTTLAQYAQEYANKRVDDCAMEHSRGRWGENLTSGDGMTGAAGTKYWVTEKEFYDPKSNNTNLIVGCIITRDSP >ONH92160 pep chromosome:Prunus_persica_NCBIv2:G8:16846156:16850002:-1 gene:PRUPE_8G159200 transcript:ONH92160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYERNLGKRHRRSEGKYLGKNEREKGVCNSVKYNRNLGKQCKRSEGKNLEKNEGEKGVYNLGKFECNLGNQRRCCEGNNEGKKGVYNLLDRISALPDEILVSILALLPLKEAAATSILSRRWQYVWMSTMVLNFDANFDVGSNICHFGALKRKLRDLESGRYVNWVNRVVEQHRGPNIEEFRACFQLNDRFTNGFSLQLKRELQHSTVLHKFLCLYSYIPSLRPCGYKVGFQFLKVLHFQWVDVTDEVLEYFFSNCAVLERVTVYGTKSLVNLRVVRPSAALKHLAIGACLGLQGIEICEANLVSFKYNGNVINLLLTNVPLLVEVSISYISNPHAFIELFSSKLSCCLSQLEILMLKITRAVSICNYGVLVFFSSFMDYVAHCSLFGFQDYNPKHVFPMLANLKHLELIVHADYRLALHHLTSLLKASPFLQRLVLKLDFIVSLEGIEKIKKAAKCPHHYLKVVEIVGYRVRAFAVDHILFLIKSATALEKIVIDPLRRWAYPYEPGSEELADEAEAREHAVQLIKTKLPSTVEFVRL >ONH93380 pep chromosome:Prunus_persica_NCBIv2:G8:20505699:20507228:-1 gene:PRUPE_8G229200 transcript:ONH93380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQLLTLASLVLLPLLFFFVFKASSQPNPKTSNNTKLPKSYPIIGSFITLSKNHERRLPWFTDLLRSSPSNTFTLHHSLGKHFVVTANPTVVQHILKTHFPIYEKGNTIRTTLTDLLGHGIFNADGDNWKFQRQVSSHEFNTKSLRKFVEQVVDTELSDRLIPILSSSATSNSILDFQDILQRFAFDNISRIAFGYDPAYLLPSLPEAKFAVAFDDAVQISSDRFRSLSPVWKLKRVLGIGSEKHLRAAVSEVREFANTIVREKKRELSETKALESVDLLSRFLCSGHSDEKFVTDIVISFTLAGRDTTSAALTWFFWLLSQNQHVEDEILKEISGTVSSESAAGGYDEVKEMVYTHAALCESMRLYPPVAADSKQAMKDDVLPDGTKVKKGMTVTYHVYAMGRMEEIWGEDWAEYRPERWLEREENGAHKWKFVGKDSFTYPVFQAGPRICLGKEMAFLQMKRVVSAVLKRFKVVPVEREGGAQPEFVAYLTGKMKGGFPVTIVERA >ONH89901 pep chromosome:Prunus_persica_NCBIv2:G8:2052276:2054744:1 gene:PRUPE_8G022700 transcript:ONH89901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSHPFLIWVLFVLFVNLTCPSISVPFSPATNNVTLSGDAYINNNAISLTHSLTTCPSSSSSSSTTSGIGRAFYAYPIRFLDSKTNATASFLCRFSFSITPSSQLCSCGDGIAFVITPDVDSLSSSRGYMGLPEPTSTSKESFFAVEFDTRFDPMVGDINGNHIGIDVNTVVSVASVDVVSKGIDLTSGREITAWIEYRDAIKMIRVWVGYSSTRPPTPLLVAQIDLSKQFKEFMRVGFSASNGQGSSIHIVDRWKFKTFGSLPPGIPMNAVEEGDCFMCSSPEDSSINSGLVDLSHERKTKMVEMALGLGGLAAFLFSIFAFLFVICFMCVKRRKVIARRSREGQTCRVEAKRVPTSLSLAEIRSATMGFNRNRVVGEGASAKVYKGSLPSGGEVAVKRFERADKIGCLRSPFTTEFATMVGCLRHKNLVQLHGWCCEGNELVLVYELMPNGSLNKILHKSFNSSVVLSWKQRLNIVLGVASALAYLHEECERQIIHRDVKTCNIMLDADFNAKLGDFGLAEVYEHSSIARDATIPAGTMGYLAPEYVYSGVPTVKTDVYSFGVVVLEVATGRKPVEDDGTVVVDWVWGMWENGKLIEAADRRLMGKFNMVEMERTLMTGLACVHPNHVRRPTMKEAARILNGEAPLPVLPASKPRVNLLPVFPDDSEEIPNFCGLRPSLELEDVQYLTPKSHFGKD >ONH91153 pep chromosome:Prunus_persica_NCBIv2:G8:12836057:12837593:1 gene:PRUPE_8G096200 transcript:ONH91153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQKSQHEQQPSPAAATPSTTSCRKKKSEQASFLEDVKDHIDDFIHASMDEHKTCFKKTMQKMFGMSKIVAERSSNTKEVESSLPLQTTVAK >ONH91152 pep chromosome:Prunus_persica_NCBIv2:G8:12835547:12837593:1 gene:PRUPE_8G096200 transcript:ONH91152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQKSQHEQQPSPAAATPSTTSCRKKKSEQASFLEDVKDHIDDFIHASMDEHKTCFKKTMQKMFGMSKIVAERSSNTKEVESSLPLQTTVAK >ONH91151 pep chromosome:Prunus_persica_NCBIv2:G8:12836423:12837594:1 gene:PRUPE_8G096200 transcript:ONH91151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQKSQHEQQPSPAAATPSTTSCRKKKSEQASFLEDVKDHIDDFIHASMDEHKTCFKKTMQKMFGMSKIVAERSSNTKEVESSLPLQTTVAK >ONH91154 pep chromosome:Prunus_persica_NCBIv2:G8:12835547:12837593:1 gene:PRUPE_8G096200 transcript:ONH91154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQKSQHEQQPSPAAATPSTTSCRKKKSEQASFLEDVKDHIDDFIHASMDEHKTCFKKTMQKMFGMSKIVAERSSNTKEVESSLPLQTTVAK >ONH90352 pep chromosome:Prunus_persica_NCBIv2:G8:5339548:5340435:1 gene:PRUPE_8G048800 transcript:ONH90352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVRYFDTLVWQRTKPDINQSHLPPDVLHIVFKLYKSTTRAWCPREDDHDVPIVVPYSRRNLVFITQETIKVPRTMLKSSSTTSLVVDILSSMLVPEHEHPALIEKIFRVLVEKYSSDKTNTMLPIVVGINDATLHITTIGDDGQTSDEVLDRVTRESTQTYEPKPIPADKLIIEQLEKLRLDDLEEITGQMACTICMEDFEGGVEVSRLPCLHLYHGDCIVQWLETSHLCPLCRYPMPPAH >ONH90951 pep chromosome:Prunus_persica_NCBIv2:G8:11808191:11809816:1 gene:PRUPE_8G085000 transcript:ONH90951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCDVCEGAAAIVFCAADEAALCRSCDEKVHMCNKLASRHIRVGLASPSDVPCCDICENAPAFFYCEVDGSSLCLQCDMIVHVGGKRTHRRYLLFRQRVEFPGDKPGRSEELGLQPLDQKEVRKDHIQPPSLSIRENQQNCSASPVAVLDNNIVGDYKMDNRLIDLNTRPQRMNGQASTSPEQGLDVQNGVNDESASVVPVGSVKR >ONH93041 pep chromosome:Prunus_persica_NCBIv2:G8:19454044:19460590:-1 gene:PRUPE_8G209500 transcript:ONH93041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEIPSLVSLCIEAVKNELVRGDDLLPIIKELPGNLVDILASRLPPLALEKLQTAVPFDDRDDHDFADEGLRNGRKRGRSWNFSTAWKNLVKSRWPNLGNQIEPIDWQQMYWETHLQNCLDEVAEIASLPSFDGRLGEIGISDSILKLIGFEGCMDTSTCDYSKLYHHCQQFGYYARCLRLQNVLCSAEISHLLRNSLLQSLELRWIRSKEHIDGLCKLLNQNSESLTSLEFIHCKLSSDSINAICSSLPTKNVQTHGIKNFSINTSNFLETNPVSLPLGLVSFLSSGRSLYSLKLSDNHLGRNFAKLVFGTLLNASSSLSILDLSDNNISGWLSELYWRSPSGPPTPLGIGKSFQSLRVLNLRGNNLNKDDADGLRYALVHIPNLEVLDMSDNPIEDAGIRSLIPYFVEASEKCFPFADLMLENCELSCHGVTYLIDTLSTLQRPLKSLSVADNDLGSQVAAALGKLLSTSIQILNVEGIGLGSSGFQELQDGITKELKLVKVNISKNRGGIETANFLSKLISMAPELVTVDAAYNLMPLKSLTTICSALKAAKGNIERLDLRGNIWDYQPGYASMHADIQCNGRPVLILSSSPTPDAPYDDDP >ONH93046 pep chromosome:Prunus_persica_NCBIv2:G8:19454962:19460272:-1 gene:PRUPE_8G209500 transcript:ONH93046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEIPSLVSLCIEAVKNELVRGDDLLPIIKELPGNLVDILASRLPPLALEKLQTAVPFDDRDDHDFADEGLRNGRKRGRSGRIEFFVSWNFSTAWKNLVKSRWPNLGNQIEPIDWQQMYWETHLQNCLDEVAEIASLPSFDGRLGEIGISDSILKLIGFEGCMDTSTCDYSKLYHHCQQFGYYARCLRLQNVLCSAEISHLLRNSLLQSLELRWIRSKEHIDGLCKLLNQNSESLTSLEFIHCKLSSDSINAICSSLPTKNVQTHGIKNFSINTSNFLETNPVSLPLGLVSFLSSGRSLYSLKLSDNHLGRNFAKLVFGTLLNASSSLSILDLSDNNISGWLSELYWRSPSGPPTPLGIGKSFQSLRVLNLRGNNLNKDDADGLRYALVHIPNLEVLDMSDNPIEDAGIRSLIPYFVEASEKCFPFADLMLENCELSCHGVTYLIDTLSTLQRPLKSLSVADNDLGSSLLCSQVAAALGKLLSTSIQILNVEGIGLGSSGFQELQDGITKELKLVKVNISKNRGGIETANFLSKLISMAPELVTVDAAYNLMPLKSLTTICSALKAAKGNIERLDLRGNIWDYQPGYASMHADIQCNGRPVLILSSSPTPDAPYDDDP >ONH93039 pep chromosome:Prunus_persica_NCBIv2:G8:19453969:19460677:-1 gene:PRUPE_8G209500 transcript:ONH93039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEIPSLVSLCIEAVKNELVRGDDLLPIIKELPGNLVDILASRLPPLALEKLQTAVPFDDRDDHDFADEGLRNGRKRGRSWNFSTAWKNLVKSRWPNLGNQIEPIDWQQMYWETHLQNCLDEVAEIASLPSFDGRLGEIGISDSILKLIGFEGCMDTSTCDYSKLYHHCQQFGYYARCLRLQNVLCSAEISHLLRNSLLQSLELRWIRSKEHIDGLCKLLNQNSESLTSLEFIHCKLSSDSINAICSSLPTKNVQTHGIKNFSINTSNFLETNPVSLPLGLVSFLSSGRSLYSLKLSDNHLGRNFAKLVFGTLLNASSSLSILDLSDNNISGWLSELYWRSPSGPPTPLGIGKSFQSLRVLNLRGNNLNKDDADGLRYALVHIPNLEVLDMSDNPIEDAGIRSLIPYFVEASEKCFPFADLMLENCELSCHGVTYLIDTLSTLQRPLKSLSVADNDLGSQVAAALGKLLSTSIQILNVEGIGLGSSGFQELQDGITKELKLVKVNISKNRGGIETANFLSKLISMAPELVTVDAAYNLMPLKSLTTICSALKAAKGNIERLDLRGNIWDYQPGYASMHADIQCNGRPVLILSSSPTPDAPYDDDP >ONH93043 pep chromosome:Prunus_persica_NCBIv2:G8:19454044:19460592:-1 gene:PRUPE_8G209500 transcript:ONH93043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEIPSLVSLCIEAVKNELVRGDDLLPIIKELPGNLVDILASRLPPLALEKLQTAVPFDDRDDHDFADEGLRNGRKRGRSWNFSTAWKNLVKSRWPNLGNQIEPIDWQQMYWETHLQNCLDEVAEIASLPSFDGRLGEIGISDSILKLIGFEGCMDTSTCDYSKLYHHCQQFGYYARCLRLQNVLCSAEISHLLRNSLLQSLELRWIRSKEHIDGLCKLLNQNSESLTSLEFIHCKLSSDSINAICSSLPTKNVQTHGIKNFSINTSNFLETNPVSLPLGLVSFLSSGRSLYSLKLSDNHLGRNFAKLVFGTLLNASSSLSILDLSDNNISGWLSELYWRSPSGPPTPLGIGKSFQSLRVLNLRGNNLNKDDADGLRYALVHIPNLEVLDMSDNPIEDAGIRSLIPYFVEASEKCFPFADLMLENCELSCHGVTYLIDTLSTLQRPLKSLSVADNDLGSSLLCSQVAAALGKLLSTSIQILNVEGIGLGSSGFQELQDGITKELKLVKVNISKNRGGIETANFLSKLISMAPELVTVDAAYNLMPLKSLTTICSALKAAKGNIERLDLRGNIWDYQPGYASMHADIQCNGRPVLILSSSPTPDAPYDDDP >ONH93038 pep chromosome:Prunus_persica_NCBIv2:G8:19453971:19460677:-1 gene:PRUPE_8G209500 transcript:ONH93038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEIPSLVSLCIEAVKNELVRGDDLLPIIKELPGNLVDILASRLPPLALEKLQTAVPFDDRDDHDFADEGLRNGRKRGRSWNFSTAWKNLVKSRWPNLGNQIEPIDWQQMYWETHLQNCLDEVAEIASLPSFDGRLGEIGISDSILKLIGFEGCMDTSTCDYSKLYHHCQQFGYYARCLRLQNVLCSAEISHLLRNSLLQSLELRWIRSKEHIDGLCKLLNQNSESLTSLEFIHCKLSSDSINAICSSLPTKNVQTHGIKNFSINTSNFLETNPVSLPLGLVSFLSSGRSLYSLKLSDNHLGRNFAKLVFGTLLNASSSLSILDLSDNNISGWLSELYWRSPSGPPTPLGIGKSFQSLRVLNLRGNNLNKDDADGLRYALVHIPNLEVLDMSDNPIEDAGIRSLIPYFVEASEKCFPFADLMLENCELSCHGVTYLIDTLSTLQRPLKSLSVADNDLGSQVAAALGKLLSTSIQILNVEGIGLGSSGFQELQDGITKELKLVKVNISKNRGGIETANFLSKLISMAPELVTVDAAYNLMPLKSLTTICSALKAAKGNIERLDLRGNIWDYQPGYASMHADIQCNGRPVLILSSSPTPDAPYDDDP >ONH93044 pep chromosome:Prunus_persica_NCBIv2:G8:19454962:19460272:-1 gene:PRUPE_8G209500 transcript:ONH93044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEIPSLVSLCIEAVKNELVRGDDLLPIIKELPGNLVDILASRLPPLALEKLQTAVPFDDRDDHDFADEGLRNGRKRGRSWNFSTAWKNLVKSRWPNLGNQIEPIDWQQMYWETHLQNCLDEVAEIASLPSFDGRLGEIGISDSILKLIGFEGCMDTSTCDYSKLYHHCQQFGYYARCLRLQNVLCSAEISHLLRNSLLQSLELRWIRSKEHIDGLCKLLNQNSESLTSLEFIHCKLSSDSINAICSSLPTKNVQTHGIKNFSINTSNFLETNPVSLPLGLVSFLSSGRSLYSLKLSDNHLGRNFAKLVFGTLLNASSSLSILDLSDNNISGWLSELYWRSPSGPPTPLGIGKSFQSLRVLNLRGNNLNKDDADGLRYALVHIPNLEVLDMSDNPIEDAGIRSLIPYFVEASEKCFPFADLMLENCELSCHGVTYLIDTLSTLQRPLKSLSVADNDLGSSLLCSQVAAALGKLLSTSIQILNVEGIGLGSSGFQELQDGITKELKLVKVNISKNRGGIETANFLSKLISMAPELVTVDAAYNLMPLKSLTTICSALKAAKGNIERLDLRGNIWDYQPGYASMHADIQCNGRPVLILSSSPTPDAPYDDDP >ONH93047 pep chromosome:Prunus_persica_NCBIv2:G8:19453984:19460678:-1 gene:PRUPE_8G209500 transcript:ONH93047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEIPSLVSLCIEAVKNELVRGDDLLPIIKELPGNLVDILASRLPPLALEKLQTAVPFDDRDDHDFADEGLRNGRKRGRSWNFSTAWKNLVKSRWPNLGNQIEPIDWQQMYWETHLQNCLDEVAEIASLPSFDGRLGEIGISDSILKLIGFEGCMDTSTCDYSKLYHHCQQFGYYARCLRLQNVLCSAEISHLLRNSLLQSLELRWIRSKEHIDGLCKLLNQNSESLTSLEFIHCKLSSDSINAICSSLPTKNVQTHGIKNFSINTSNFLETNPVSLPLGLVSFLSSGRSLYSLKLSDNHLGRNFAKLVFGTLLNASSSLSILDLSDNNISGWLSELYWRSPSGPPTPLGIGKSFQSLRVLNLRGNNLNKDDADGLRYALVHIPNLEVLDMSDNPIEDAGIRSLIPYFVEASEKCFPFADLMLENCELSCHGVTYLIDTLSTLQRPLKSLSVADNDLGRNYKMA >ONH93045 pep chromosome:Prunus_persica_NCBIv2:G8:19453969:19460594:-1 gene:PRUPE_8G209500 transcript:ONH93045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEIPSLVSLCIEAVKNELVRGDDLLPIIKELPGNLVDILASRLPPLALEKLQTAVPFDDRDDHDFADEGLRNGRKRGRSGRIEFFVSWNFSTAWKNLVKSRWPNLGNQIEPIDWQQMYWETHLQNCLDEVAEIASLPSFDGRLGEIGISDSILKLIGFEGCMDTSTCDYSKLYHHCQQFGYYARCLRLQNVLCSAEISHLLRNSLLQSLELRWIRSKEHIDGLCKLLNQNSESLTSLEFIHCKLSSDSINAICSSLPTKNVQTHGIKNFSINTSNFLETNPVSLPLGLVSFLSSGRSLYSLKLSDNHLGRNFAKLVFGTLLNASSSLSILDLSDNNISGWLSELYWRSPSGPPTPLGIGKSFQSLRVLNLRGNNLNKDDADGLRYALVHIPNLEVLDMSDNPIEDAGIRSLIPYFVEASEKCFPFADLMLENCELSCHGVTYLIDTLSTLQRPLKSLSVADNDLGSQVAAALGKLLSTSIQILNVEGIGLGSSGFQELQDGITKELKLVKVNISKNRGGIETANFLSKLISMAPELVTVDAAYNLMPLKSLTTICSALKAAKGNIERLDLRGNIWDYQPGYASMHADIQCNGRPVLILSSSPTPDAPYDDDP >ONH93040 pep chromosome:Prunus_persica_NCBIv2:G8:19454025:19460678:-1 gene:PRUPE_8G209500 transcript:ONH93040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEIPSLVSLCIEAVKNELVRGDDLLPIIKELPGNLVDILASRLPPLALEKLQTAVPFDDRDDHDFADEGLRNGRKRGRSWNFSTAWKNLVKSRWPNLGNQIEPIDWQQMYWETHLQNCLDEVAEIASLPSFDGRLGEIGISDSILKLIGFEGCMDTSTCDYSKLYHHCQQFGYYARCLRLQNVLCSAEISHLLRNSLLQSLELRWIRSKEHIDGLCKLLNQNSESLTSLEFIHCKLSSDSINAICSSLPTKNVQTHGIKNFSINTSNFLETNPVSLPLGLVSFLSSGRSLYSLKLSDNHLGRNFAKLVFGTLLNASSSLSILDLSDNNISGWLSELYWRSPSGPPTPLGIGKSFQSLRVLNLRGNNLNKDDADGLRYALVHIPNLEVLDMSDNPIEDAGIRSLIPYFVEASEKCFPFADLMLENCELSCHGVTYLIDTLSTLQRPLKSLSVADNDLGSQVAAALGKLLSTSIQILNVEGIGLGSSGFQELQDGITKELKLVKVNISKNRGGIETANFLSKLISMAPELVTVDAAYNLMPLKSLTTICSALKAAKGNIERLDLRGNIWDYQPGYASMHADIQCNGRPVLILSSSPTPDAPYDDDP >ONH93042 pep chromosome:Prunus_persica_NCBIv2:G8:19454044:19460594:-1 gene:PRUPE_8G209500 transcript:ONH93042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESEIPSLVSLCIEAVKNELVRGDDLLPIIKELPGNLVDILASRLPPLALEKLQTAVPFDDRDDHDFADEGLRNGRKRGRSWNFSTAWKNLVKSRWPNLGNQIEPIDWQQMYWETHLQNCLDEVAEIASLPSFDGRLGEIGISDSILKLIGFEGCMDTSTCDYSKLYHHCQQFGYYARCLRLQNVLCSAEISHLLRNSLLQSLELRWIRSKEHIDGLCKLLNQNSESLTSLEFIHCKLSSDSINAICSSLPTKNVQTHGIKNFSINTSNFLETNPVSLPLGLVSFLSSGRSLYSLKLSDNHLGRNFAKLVFGTLLNASSSLSILDLSDNNISGWLSELYWRSPSGPPTPLGIGKSFQSLRVLNLRGNNLNKDDADGLRYALVHIPNLEVLDMSDNPIEDAGIRSLIPYFVEASEKCFPFADLMLENCELSCHGVTYLIDTLSTLQRPLKSLSVADNDLGSQVAAALGKLLSTSIQILNVEGIGLGSSGFQELQDGITKELKLVKVNISKNRGGIETANFLSKLISMAPELVTVDAAYNLMPLKSLTTICSALKAAKGNIERLDLRGNIWDYQPGYASMHADIQCNGRPVLILSSSPTPDAPYDDDP >ONH90759 pep chromosome:Prunus_persica_NCBIv2:G8:10651789:10655490:1 gene:PRUPE_8G073000 transcript:ONH90759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVGFVAFLLRCFDVLAWPLLALVYPLCCSIRAIEANSVSDSVKLNTYWVVFSLILLLERASMKLLKWLPLWPYIRLMIVFCMVIPHFDGAFYVYKHLICPCLSMDLQIVINWFNKRKKSSFSRDNFLAEVERYVKENGTEALEKIVASKVSQAEPNLTGTENSRFPSMDIEEKAIGVASERGVLNTPPSKNVEEWTSAFSQVTTQSGTTFNSHPDGKIHKAVYEKLKIENQAEAKLTQTENGTFAVIETKEKSVEVATGREVLESSQNVQKEWTCALCQLTTQSEATLNSHLQGRKHKVACEALKAKSWPFAPKIPPESKESHQRKEEEPGKRPTSSASKQKVIIDEKAQSQKNSIPASGTKTSYKSKEEPAEVASINGSQQGNPIEVPSMKDLTLSCNVCNVHCPNEIVMASHLNGRKHQKKMQQRQQNPN >ONH90760 pep chromosome:Prunus_persica_NCBIv2:G8:10652688:10655218:1 gene:PRUPE_8G073000 transcript:ONH90760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLWPYIRLMIVFCMVIPHFDGAFYVYKHLICPCLSMDLQIVINWFNKRKKSSFSRDNFLAEVERYVKENGTEALEKIVASKSERTKSNPDEKELKAVSSLEKKEVSQAEPNLTGTENSRFPSMDIEEKAIGVASERGVLNTPPSKNVEEWTSAFSQVTTQSGTTFNSHPDGKIHKAVYEKLKIENQAEAKLTQTENGTFAVIETKEKSVEVATGREVLESSQNVQKEWTCALCQLTTQSEATLNSHLQGRKHKVACEALKAKSWPFAPKIPPESKESHQRKEEEPGKRPTSSASKQKVIIDEKAQSQKNSIPASGTKTSYKSKEEPAEVASINGSQQGNPIEVPSMKDLTLSCNVCNVHCPNEIVMASHLNGRKHQKKMQQRQQNPN >ONH90758 pep chromosome:Prunus_persica_NCBIv2:G8:10651789:10655491:1 gene:PRUPE_8G073000 transcript:ONH90758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVGFVAFLLRCFDVLAWPLLALVYPLCCSIRAIEANSVSDSVKLNTYWVVFSLILLLERASMKLLKWLPLWPYIRLMIVFCMVIPHFDGAFYVYKHLICPCLSMDLQIVINWFNKRKKSSFSRDNFLAEVERYVKENGTEALEKIVASKSERTKSNPDEKELKAVSSLEKKEVSQAEPNLTGTENSRFPSMDIEEKAIGVASERGVLNTPPSKNVEEWTSAFSQVTTQSGTTFNSHPDGKIHKAVYEKLKIENQAEAKLTQTENGTFAVIETKEKSVEVATGREVLESSQNVQKEWTCALCQLTTQSEATLNSHLQGRKHKVACEALKAKSWPFAPKIPPESKESHQRKEEEPGKRPTSSASKQKVIIDEKAQSQKNSIPASGTKTSYKSKEEPAEVASINGSQQGNPIEVPSMKDLTLSCNVCNVHCPNEIVMASHLNGRKHQKKMQQRQQNPN >ONH90021 pep chromosome:Prunus_persica_NCBIv2:G8:2862687:2864191:1 gene:PRUPE_8G030400 transcript:ONH90021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKDGNYKPTVIACFIQAIYFLELNRQENRDEANALAPKWWIPFKYKLSKTLIDERDGSIFGAILEWDRSAALADFVLIRPSGAPKAVLGTLLKGPTMRRDIEDDLRFLAWESSKGSVRFKAAMEALKSVAERYGSTNVCIAGHSLGAGFALQRERAGFAWKRLKSMLPLRSGARISSAEGDRTSESLRKWVPHFYVNNNDYICCSDTKHDGGEVNNADKENVRPTNGQASAKLFVMSKGNQKFSEAHGLEQWWSDDLELQLAMHNSSLAGS >ONH91648 pep chromosome:Prunus_persica_NCBIv2:G8:15136322:15141405:-1 gene:PRUPE_8G127400 transcript:ONH91648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYGPGFLLGFLVFLGTQLLPLIMAECPLKLSGSNVTLAASLCSNNDDRGKCCRYINAFIAVSIAQYANSTSNLGVPSNLSDVCLHSISQTLELYGVPRNATAFCGFGTKIPVNYECKGRTTVTQMLRSPKFIDVMENCKVPLSEESSCKKCLNAGIVYLHHLLGTEDNITLSTCRDATFAALASQVDDASAIGIASCFFQVQGLIILPVSEPSPSSTPKASPSPLVASSPSQHSLGLPLNEKHHSYHLTMVPGVGIAVTAVAVMMLLVLIVLIRRKSRELLDSENIDKKSSKSFPSTPPMRKFQEGAPSMFRKFSYKEIKKATETFNTIIGRGGFGTVYKAHFSDGLVAAVKRMNKVSEQGEHEFCREIVLLARLHHRHLVSLRGFCSEKHERFLIYEYMANGSLKDHLHSSNRTPLTWRTRVQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDESFRAKVADFGLAHASKDGSICFEAVNTDIRGTPGYMDPEYVVTQELTEKSDVYSYGVLLLEIVTARRAIQDNRNLVEWSQKYMASELRLPDLVDPSIRDSVDLDQLQTIMSVVRWCTQKEGRDRPSIKQVLRLLYESSDPMYSGFLAAVDNEEYEGTEGRGRNSKGKMHRNDMIFHSGDGRYLASSSSTSRSYCSRSFLLENGSPQSPPNIPSV >ONH91649 pep chromosome:Prunus_persica_NCBIv2:G8:15135967:15140020:-1 gene:PRUPE_8G127400 transcript:ONH91649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIIDTPKMGRKSYFMLPIISTFAECPLKLSGSNVTLAASLCSNNDDRGKCCRYINAFIAVSIAQYANSTSNLGVPSNLSDVCLHSISQTLELYGVPRNATAFCGFGTKIPVNYECKGRTTVTQMLRSPKFIDVMENCKVPLSEESSCKKCLNAGIVYLHHLLGTEDNITLSTCRDATFAALASQVDDASAIGIASCFFQVQGLIILPEPSPSSTPKASPSPLVASSPSQHSLGLPLNEKHHSYHLTMVPGVGIAVTAVAVMMLLVLIVLIRRKSRELLDSENIDKKSSKSFPSTPPMRKFQEGAPSMFRKFSYKEIKKATETFNTIIGRGGFGTVYKAHFSDGLVAAVKRMNKVSEQGEHEFCREIVLLARLHHRHLVSLRGFCSEKHERFLIYEYMANGSLKDHLHSSNRTPLTWRTRVQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDESFRAKVADFGLAHASKDGSICFEAVNTDIRGTPGYMDPEYVVTQELTEKSDVYSYGVLLLEIVTARRAIQDNRNLVEWSQKYMASELRLPDLVDPSIRDSVDLDQLQTIMSVVRWCTQKEGRDRPSIKQVLRLLYESSDPMYSGFLAAVDNEEYEGTEGRGRNSKGKMHRNDMIFHSGDGRYLASSSSTSRSYCSRSFLLENGSPQSPPNIPSV >ONH91650 pep chromosome:Prunus_persica_NCBIv2:G8:15135967:15140021:-1 gene:PRUPE_8G127400 transcript:ONH91650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIIDTPKMGRKSYFMLPIISTFAECPLKLSGSNVTLAASLCSNNDDRGKCCRYINAFIAVSIAQYANSTSNLGVPSNLSDVCLHSISQTLELYGVPRNATAFCGFGTKIPVNYECKGRTTVTQMLRSPKFIDVMENCKVPLSEESSCKKCLNAGIVYLHHLLGTEDNITLSTCRDATFAALASQVDDASAIGIASCFFQVQGLIILPVSEPSPSSTPKASPSPLVASSPSQHSLGLPLNEKHHSYHLTMVPGVGIAVTAVAVMMLLVLIVLIRRKSRELLDSENIDKKSSKSFPSTPPMRKFQEGAPSMFRKFSYKEIKKATETFNTIIGRGGFGTVYKAHFSDGLVAAVKRMNKVSEQGEHEFCREIVLLARLHHRHLVSLRGFCSEKHERFLIYEYMANGSLKDHLHSSNRTPLTWRTRVQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDESFRAKVADFGLAHASKDGSICFEAVNTDIRGTPGYMDPEYVVTQELTEKSDVYSYGVLLLEIVTARRAIQDNRNLVEWSQKYMASELRLPDLVDPSIRDSVDLDQLQTIMSVVRWCTQKEGRDRPSIKQVLRLLYESSDPMYSGFLAAVDNEEYEGTEGRGRNSKGKMHRNDMIFHSGDGRYLASSSSTSRSYCSRSFLLENGSPQSPPNIPSV >ONH91646 pep chromosome:Prunus_persica_NCBIv2:G8:15135967:15141667:-1 gene:PRUPE_8G127400 transcript:ONH91646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYGPGFLLGFLVFLGTQLLPLIMAECPLKLSGSNVTLAASLCSNNDDRGKCCRYINAFIAVSIAQYANSTSNLGVPSNLSDVCLHSISQTLELYGVPRNATAFCGFGTKIPVNYECKGRTTVTQMLRSPKFIDVMENCKVPLSEESSCKKCLNAGIVYLHHLLGTEDNITLSTCRDATFAALASQVDDASAIGIASCFFQVQGLIILPEPSPSSTPKASPSPLVASSPSQHSLGLPLNEKHHSYHLTMVPGVGIAVTAVAVMMLLVLIVLIRRKSRELLDSENIDKKSSKSFPSTPPMRKFQEGAPSMFRKFSYKEIKKATETFNTIIGRGGFGTVYKAHFSDGLVAAVKRMNKVSEQGEHEFCREIVLLARLHHRHLVSLRGFCSEKHERFLIYEYMANGSLKDHLHSSNRTPLTWRTRVQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDESFRAKVADFGLAHASKDGSICFEAVNTDIRGTPGYMDPEYVVTQELTEKSDVYSYGVLLLEIVTARRAIQDNRNLVEWSQKYMASELRLPDLVDPSIRDSVDLDQLQTIMSVVRWCTQKEGRDRPSIKQVLRLLYESSDPMYSGFLAAVDNEEYEGTEGRGRNSKGKMHRNDMIFHSGDGRYLASSSSTSRSYCSRSFLLENGSPQSPPNIPSV >ONH91647 pep chromosome:Prunus_persica_NCBIv2:G8:15135967:15141667:-1 gene:PRUPE_8G127400 transcript:ONH91647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYGPGFLLGFLVFLGTQLLPLIMAECPLKLSGSNVTLAASLCSNNDDRGKCCRYINAFIAVSIAQYANSTSNLGVPSNLSDVCLHSISQTLELYGVPRNATAFCGFGTKIPVNYECKGRTTVTQMLRSPKFIDVMENCKVPLSEESSCKKCLNAGIVYLHHLLGTEDNITLSTCRDATFAALASQVDDASAIGIASCFFQVQGLIILPVSEPSPSSTPKASPSPLVASSPSQHSLGLPLNEKHHSYHLTMVPGVGIAVTAVAVMMLLVLIVLIRRKSRELLDSENIDKKSSKSFPSTPPMRKFQEGAPSMFRKFSYKEIKKATETFNTIIGRGGFGTVYKAHFSDGLVAAVKRMNKVSEQGEHEFCREIVLLARLHHRHLVSLRGFCSEKHERFLIYEYMANGSLKDHLHSSNRTPLTWRTRVQIAIDVANALVADFGLAHASKDGSICFEAVNTDIRGTPGYMDPEYVVTQELTEKSDVYSYGVLLLEIVTARRAIQDNRNLVEWSQKYMASELRLPDLVDPSIRDSVDLDQLQTIMSVVRWCTQKEGRDRPSIKQVLRLLYESSDPMYSGFLAAVDNEEYEGTEGRGRNSKGKMHRNDMIFHSGDGRYLASSSSTSRSYCSRSFLLENGSPQSPPNIPSV >ONH92623 pep chromosome:Prunus_persica_NCBIv2:G8:18306419:18308048:-1 gene:PRUPE_8G184600 transcript:ONH92623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTQFIVFILIITTIFGLSAKVNSETDSCSSSLNLQNANLPFDAASLHCLSVWDAHNYILRYSQTSSNIWTFVLSTPAVNSFIAIGFSSNGQMVGSSAIVGWVSSTERTIKQYFLGGTSANLVEPDKGNLQVASNFSLITSQSSRLYLGFQLETSQPQTRLLYSVGPDGFLPVAPNYRLIEHSDKFSTSINYITGQSTSSSQSPYSKLRKSHGVLNMLGWGILLIIGVLYVDLS >ONH92621 pep chromosome:Prunus_persica_NCBIv2:G8:18305817:18308342:-1 gene:PRUPE_8G184600 transcript:ONH92621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTQFIVFILIITTIFGLSAKVNSETDSCSSSLNLQNANLPFDAASLHCLSVWDAHNYILRYSQTSSNIWTFVLSTPAVNSFIAIGFSSNGQMVGSSAIVGWVSSTERTIKQYFLGGTSANLVEPDKGNLQVASNFSLITSQSSRLYLGFQLETSQPQTRLLYSVGPDGFLPVAPNYRLIEHSDKFSTSINYITGQSTSSSQSPYSKLRKSHGVLNMLGWGILLIIGLNADVSTHKALGIIILVLGCLQVMALLVRPEKESKARKYWNWYHQGVGRILIIFAIANVFYGIHLGEKGKAWNAGYGAVLAILVVTAVIFELRLWFKK >ONH92622 pep chromosome:Prunus_persica_NCBIv2:G8:18305792:18308345:-1 gene:PRUPE_8G184600 transcript:ONH92622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTQFIVFILIITTIFGLSAKVNSETDSCSSSLNLQNANLPFDAASLHCLSVWDAHNYILRYSQTSSNIWTFVLSTPAVNSFIAIGFSSNGQMVGSSAIVGWVSSTERTIKQYFLGGTSANLVEPDKGNLQVASNFSLITSQSSRLYLGFQLETSQPQTRLLYSVGPDGFLPVAPNYRLIEHSDKFSTSINYITGQSTSSSQSPYSKLRKSHGVLNMLGWGILLIIGVIVARYLKPYDPLWFYLHTCIQSFGFILGIIGVVCGFVLNNKLNADVSTHKALGIIILVLGCLQVMALLVRPEKESKARKYWNWYHQGVGRILIIFAIANVFYGIHLGEKGKAWNAGYGAVLAILVVTAVIFELRLWFKK >ONH93064 pep chromosome:Prunus_persica_NCBIv2:G8:19498160:19499470:1 gene:PRUPE_8G210500 transcript:ONH93064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKVLGFWTSPYPHRVIWALKLKGVKYEYIEEDIYNKSDLLLQSNPVHKKVPVFFHGGKIIAESTVILEYIEETWPQNPLLPNDPYARAVARFWMKFLDDKKPNLLAFFRKIGDEQAKAVKDAQEIMTILEQHSLGENKFFNGDEIGMTDLVFGLITFWLEVMEEAAGVQVLEVNRFPRLQAWIKNFKEVPVIKENHPDKSRLLAYMKERREVHVKTATS >ONH93076 pep chromosome:Prunus_persica_NCBIv2:G8:19554161:19555100:-1 gene:PRUPE_8G211400 transcript:ONH93076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAISRLIRIHEQQEEEEREQKKRRRTIWGCNNHIIPATRFSIASLESKESQNNGECSICLDEFSEGEQVTQTPCKHKFHEDCILKWLNGKHFCPMCRFQLPTKVTKDAMNKLILGS >ONH91311 pep chromosome:Prunus_persica_NCBIv2:G8:13721906:13724170:-1 gene:PRUPE_8G105900 transcript:ONH91311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKGDVVILPAFGAGGVDEMLTLTNKGVQIVDTTCPWVSRVWNTVGKHKKGDYTSIIHGVYGHEETVAHASLAGATRCNVQAGEGKDIDLFLVVGGWNSRNTSHLQQIAESRGIPSYYVGIEKRTFCLKNRNDDPQIRSSP >ONH89621 pep chromosome:Prunus_persica_NCBIv2:G8:371948:378160:-1 gene:PRUPE_8G004700 transcript:ONH89621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSWRWIQSLFFVLDGSTVSPVGISTWDKAKLDRDEHSDSVDIQKETDLVYYSGGACANGVETSGLNGEFFASEGSRHCASAEGNIFFDSAVSEQPEVVSSGSGVKLENDAPKNGRLPRAVPLGLDEFKSKTFNSKTKSGNGEAGGIKHRVEPGGAEYNYASAAKGAKVLAFNKEAKGASNILGRDKDKYLRNPCSAEGKFVDIELSEETLVDTIQIANHEHYSSNLKAFELLGSLVYPTDEWVLLGNFTAANNKLAQRFDLQEPKWVRYIKLNLLSHHGSEFYCTLSVVEIYGVDAVERMLEDLISVENSPFVSEGATVDQKPTSSNPDSPEVDEFYHNIVKELEPEYAVGHSDLNNEIMKSEVPDPIKEVRHLQVNRMPGDTVLKILMQKVRSLDFSLSVLERYLEESNSRYGSIFREFDKDLGEKDLDVQKIREDIRNLLESQEIIAKDVRNLISWQSLVSMQLGNLVRDNAILRSEVEKVREKQQSVDNKGIIIFLVCLIFSLLALVKLFIDMAVSVYMAFSVHRTDQSRKFCRLSPSWLFLLVSCILVLFISSL >ONH89618 pep chromosome:Prunus_persica_NCBIv2:G8:371948:378482:-1 gene:PRUPE_8G004700 transcript:ONH89618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSRRALLQRRALGFGGRSRLYKVSLSLVFVLWGLVFLFSLWFSRGDGYRDGSTVSPVGISTWDKAKLDRDEHSDSVDIQKETDLVYYSGGACANGVETSGLNGEFFASEGSRHCASAEGNIFFDSAVSEQPEVVSSGSGVKLENDAPKNGRLPRAVPLGLDEFKSKTFNSKTKSGNGEAGGIKHRVEPGGAEYNYASAAKGAKVLAFNKEAKGASNILGRDKDKYLRNPCSAEGKFVDIELSEETLVDTIQIANHEHYSSNLKAFELLGSLVYPTDEWVLLGNFTAANNKLAQRFDLQEPKWVRYIKLNLLSHHGSEFYCTLSVVEIYGVDAVERMLEDLISVENSPFVSEGATVDQKPTSSNPDSPEVDEFYHNIVKELEPEYAVGHSDLNNEIMKSEVPDPIKEVRHLQVNRMPGDTVLKILMQKVRSLDFSLSVLERYLEESNSRYGSIFREFDKDLGEKDLDVQKIREDIRNLLESQEIIAKDVRNLISWQSLVSMQLGNLVRDNAILRSEVEKVREKQQSVDNKGIIIFLVCLIFSLLALVKLFIDMAVSVYMAFSVHRTDQSRKFCRLSPSWLFLLVSCILVLFISSL >ONH89619 pep chromosome:Prunus_persica_NCBIv2:G8:371947:377656:-1 gene:PRUPE_8G004700 transcript:ONH89619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSRRALLQRRALGFGGRSRLYKVSLSLVFVLWGLVFLFSLWFSRGDGYRDGSTVSPVGISTWDKAKLDRDEHSDSVDIQKETDLVYYSGGACANGVETSGLNGEFFASEGSRHCASAEGNIFFDSAVSEQPEVVSSGSGVKLENDAPKNGRLPRAVPLGLDEFKSKTFNSKTKSGNGEAGGIKHRVEPGGAEYNYASAAKGAKVLAFNKEAKGASNILGRDKDKYLRNPCSAEGKFVDIELSEETLVDTIQIANHEHYSSNLKAFELLGSLVYPTDEWVLLGNFTAANNKLAQRFDLQEPKWVRYIKLNLLSHHGSEFYCTLSVVEIYGVDAVERMLEDLISVENSPFVSEGATVDQKPTSSNPDSPEVDEFYHNIVKELEPEYAVGHSDLNNEIMKSEVPDPIKEVRHLQVNRMPGDTVLKILMQKVRSLDFSLSVLERYLEESNSRYGSIFREFDKDLGEKDLDVQKIREDIRNLLESQEIIAKDVRNLISWQSLVSMQLGNLVRDNAILRSEVEKVREKQQSVDNKGIIIFLVCLIFSLLALVKLFIDMAVSVYMAFSVHRTDQSRKFCRLSPSWLFLLVSCILVLFISSL >ONH89620 pep chromosome:Prunus_persica_NCBIv2:G8:372015:376331:-1 gene:PRUPE_8G004700 transcript:ONH89620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSWRWIQSLFFVLDGSTVSPVGISTWDKAKLDRDEHSDSVDIQKETDLVYYSGGACANGVETSGLNGEFFASEGSRHCASAEGNIFFDSAVSEQPEVVSSGSGVKLENDAPKNGRLPRAVPLGLDEFKSKTFNSKTKSGNGEAGGIKHRVEPGGAEYNYASAAKGAKVLAFNKEAKGASNILGRDKDKYLRNPCSAEGKFVDIELSEETLVDTIQIANHEHYSSNLKAFELLGSLVYPTDEWVLLGNFTAANNKLAQRFDLQEPKWVRYIKLNLLSHHGSEFYCTLSVVEIYGVDAVERMLEDLISVENSPFVSEGATVDQKPTSSNPDSPEVDEFYHNIVKELEPEYAVGHSDLNNEIMKSEVPDPIKEVRHLQVNRMPGDTVLKILMQKVRSLDFSLSVLERYLEESNSRYGSIFREFDKDLGEKDLDVQKIREDIRNLLESQEIIAKDVRNLISWQSLVSMQLGNLVRDNAILRSEVEKVREKQQSVDNKGIIIFLVCLIFSLLALVKLFIDMAVSVYMAFSVHRTDQSRKFCRLSPSWLFLLVSCILVLFISSL >ONH93294 pep chromosome:Prunus_persica_NCBIv2:G8:20209396:20213267:-1 gene:PRUPE_8G224000 transcript:ONH93294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLEKIKMQKKEPDKVPTHEVPVFWIETSESVSYRCEFDPKGQLTVKLLDDSRPVFNKMADSFVSKFFPSGYPYSVNEGYLRYTQFRALQHFTSAALSVLSTQSLLFAAGLRPTPAQATVVSWVLKDGMQHMGKLICTNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPQLFLQVAGLGNFAKMVVGPLLSMVHVYSVIEEMRATPVNTLNPQRTALIVADFLKTGKISSPADLRYREDLLFPGRLIEDAGNVKVGRSFHKVIKPSKLDELKQRFPEEKFILSHGNKEVDMILEHSATGEDALRGWLVAGYAAHIEKSFGVPSSCALQEAYENMNDVYGPFVSELQAKGWHTDRFLDGTGSRFAWWSNVASDSDNSSSRF >ONH93295 pep chromosome:Prunus_persica_NCBIv2:G8:20209626:20212943:-1 gene:PRUPE_8G224000 transcript:ONH93295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLEKIKMQKKEPDKVPTHEVPVFWIETSESVSYRCEFDPKGQLTVKLLDDSRPVFNKMADSFVSKFFPSGYPYSVNEGYLRYTQFRALQHFTSAALSVLSTQSLLFAAGLRPTPAQATVVSWVLKDGMQHMGKLICTNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPQLFLQVAGLGNFAKGMAVVAARATRLPIYSAFAKEGNLSDLFAKGEAISTLFNVIGLGAGIQLASTVCSSMQGKMVVGPLLSMVHVYSVIEEMRATPVNTLNPQRTALIVADFLKTGKISSPADLRYREDLLFPGRLIEDAGNVKVGRSFHKVIKPSKLDELKQRFPEEKFILSHGNKEVDMILEHSATGEDALRGWLVAGYAAHIEKSFGVPSSCALQEAYENMNDVYGPFVSELQAKGWHTDRFLDGTGSRFAWWSNVASDSDNSSSRF >ONH93293 pep chromosome:Prunus_persica_NCBIv2:G8:20209396:20213267:-1 gene:PRUPE_8G224000 transcript:ONH93293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLEKIKMQKKEPDKVPTHEVPVFWIETSESVSYRCEFDPKGQLTVKLLDDSRPVFNKMADSFVSKFFPSGYPYRYTQFRALQHFTSAALSVLSTQSLLFAAGLRPTPAQATVVSWVLKDGMQHMGKLICTNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPQLFLQVAGLGNFAKGMAVVAARATRLPIYSAFAKEGNLSDLFAKGEAISTLFNVIGLGAGIQLASTVCSSMQGKMVVGPLLSMVHVYSVIEEMRATPVNTLNPQRTALIVADFLKTGKISSPADLRYREDLLFPGRLIEDAGNVKVGRSFHKVIKPSKLDELKQRFPEEKFILSHGNKEVDMILEHSATGEDALRGWLVAGYAAHIEKSFGVPSSCALQEAYENMNDVYGPFVSELQAKGWHTDRFLDGTGSRFAWWSNVASDSDNSSSRF >ONH90755 pep chromosome:Prunus_persica_NCBIv2:G8:10640308:10644372:1 gene:PRUPE_8G072900 transcript:ONH90755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVGLVQFSLQCFDVLAWPLLSLVYPLCCSIRAIEANSVSDSQKLNTYWVVFSLILLFEHAFMKLLKWLPLWPYFRLIIVFWLVIPHFGGAFYVYKHLICPCLSTDLKIVVNWFNKQKKSSFNSDNVFTEVERYAQEKGPEALEKVAASKSERTKSNPDAKEFKAVSSLENREVSQAEPNLTGTENSRFPSTDIKEKAIGIASEGGILNIPPSEKVQEWTSAVSQVTTQSDTTFNSYPDGKIHEAVYEKLKIENKAEAKLTQMKNGTFATMETQEKVVEVVTSREVIESALQVQKEWTCALCQLTTQSEATLNSHLQGRKHKAACVALKAKSQPFAPKIAPASTAKESNQPNKERGNRTTSSASKQKVIVDEKVQSQKNSIPTSRTKTSDKSRKEPAEGASINGGQQRNRNEVPSKKDYRIWCKICNVHCPGEIDLASHISGRKHEENVLQQQQKANSVPRMNGPPLWCKICNVGCYTELDMVSHRNGRRHLDLESTGM >ONH90757 pep chromosome:Prunus_persica_NCBIv2:G8:10640308:10644372:1 gene:PRUPE_8G072900 transcript:ONH90757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLKWLPLWPYFRLIIVFWLVIPHFGGAFYVYKHLICPCLSTDLKIVVNWFNKQKKSSFNSDNVFTEVERYAQEKGPEALEKVAASKSERTKSNPDAKEFKAVSSLENREVSQAEPNLTGTENSRFPSTDIKEKAIGIASEGGILNIPPSEKVQEWTSAVSQVTTQSDTTFNSYPDGKIHEAVYEKLKIENKAEAKLTQMKNGTFATMETQEKVVEVVTSREVIESALQVQKEWTCALCQLTTQSEATLNSHLQGRKHKAACVALKAKSQPFAPKIAPASTAKESNQPNKERGNRTTSSASKQKVIVDEKVQSQKNSIPTSRTKTSDKSRKEPAEGASINGGQQRNRNEVPSKKDYRIWCKICNVHCPGEIDLASHISGRKHEENVLQQQQKANSVPRMNGPPLWCKICNVGCYTELDMVSHRNGRRHLDLESTGM >ONH90756 pep chromosome:Prunus_persica_NCBIv2:G8:10640308:10644372:1 gene:PRUPE_8G072900 transcript:ONH90756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLKWLPLWPYFRLIIVFWLVIPHFGGAFYVYKHLICPCLSTDLKIVVNWFNKQKKSSFNSDNVFTEVERYAQEKGPEALEKVAASKSERTKSNPDAKEFKAVSSLENREVSQAEPNLTGTENSRFPSTDIKEKAIGIASEGGILNIPPSEKVQEWTSAVSQVTTQSDTTFNSYPDGKIHEAVYEKLKIENKAEAKLTQMKNGTFATMETQEKVVEVVTSREVIESALQVQKEWTCALCQLTTQSEATLNSHLQGRKHKAACVALKAKSQPFAPKIAPASTAKESNQPNKERGNRTTSSASKQKVIVDEKVQSQKNSIPTSRTKTSDKSRKEPAEGASINGGQQRNRNEVPSKKDYRIWCKICNVHCPGEIDLASHISGRKHEENVLQQQQKANSVPRMNGPPLWCKICNVGCYTELDMVSHRNGRRHLDLESTGM >ONH90602 pep chromosome:Prunus_persica_NCBIv2:G8:9167730:9168155:-1 gene:PRUPE_8G063100 transcript:ONH90602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNRGFRLRHKLVRIFKWIILPRKDLNRCQRLEPPTQNYNPISKFFTLARFLRRGAKQICSANSGYIRIREAPVETKVAKPVSVPKGHLAVYLLKEAEKVYGFNHPGRIVIPCEVSEFEKVHMKIAAWDQCPRRRRKSRR >ONH91273 pep chromosome:Prunus_persica_NCBIv2:G8:13415023:13419811:1 gene:PRUPE_8G102900 transcript:ONH91273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYGRIHGSFLKHEETFEDKKEKMQSWRDALIAVANLAGWLVEDIVGMSFTRLNQTILSESKDLVAIDSRIEELLSFLEIGYLAIVREATEKQDLEHLQEQLLSDLLKSNVKIQNIDMEDIIGHRQRNKKALIIVDDVDEVEQMEALCDRTWFGPGSRIIITSTDEHVLRRYADKIYQVKLLTNDEALQLFETFLKLSKDFLTYAKGLPLAIKVLGSFLCNRPQNAWSSALDRLKENPEEKFIDVLKVSFDGLSLETEKKVFLDIASFFKGENKDRVIRILETSCGYLPEIDLDILMEKSLLTLFGRNLWMHDLIQELGWKIVRRECRKRPGERSRLWLYKDIIPVLENNKQLILGCSLLGNQRNRKHIPQIAKKRGEVNLNVNAFSKMENLRLLKIWNGNFHGNIEYLSNELQFLEWHERPLNSLPSDFESDKLVELNLYSSRIEQLWEGEKFFPLTKRKEKEMTLYCKSVESLPPFISLESLKSLTLSACSSLKKFPDIEGNMESLLELNLDGTAIEDLPPSFGLLTGRSCLNLGDCKNLSCLPSSIKYLTSLKSLILAGCSKLDGIPENLNCFEFLEMLDLSGTALSQTSSFAGFYFWWWGGRGSFISLKNLNLSDCNLIEGALLNGLASLYSEILNLRGNKFVQLPESLNIWTYYSRMVHHVVSGNFIKLQLSQDLKDDRNWMGVVLCVEFSVKGTHESEVELELMNQIDSNSETFHLYHCTLGTEEFAMEPDLLTSAQMFRKFITFKPRVQKCGIRLLYKQDVPGLIETFMQGRSVPTSEESSVNLLSSEL >ONH91658 pep chromosome:Prunus_persica_NCBIv2:G8:15177684:15178938:1 gene:PRUPE_8G127900 transcript:ONH91658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNLLAQMRCRIIKLYRGKSPQEQECNIKCYYSPQSNVHSLTFSKDKRTEKNYKIINRATMQAGLNICNSNASHAQPTTHDMGRGVNDKLIKRNKKKNENAKLGKNHPSQFLALGLILKIPN >ONH94078 pep chromosome:Prunus_persica_NCBIv2:G8:22386021:22387291:-1 gene:PRUPE_8G268900 transcript:ONH94078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRQKGSEAEHMNQSSIAPPSKSCTDCHTTTTPLWRGGPAGPRTLCNACGIKYRKRKRALVGLNKGATGTERRKHKTTGAPLKLGLKSMEREMVEMVFHFHKRKLKEEEEAAILLMALSCSSGTGGAVYA >ONH91506 pep chromosome:Prunus_persica_NCBIv2:G8:14645599:14649445:1 gene:PRUPE_8G119400 transcript:ONH91506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLCSFKDIFLIKAPKKSPLVLRMIVLLFAMVCGVYICSICLKQVSNRTNVAGFLNVQVIQKPCPRPDVEPEEVPYVHYPKPVTYSRAECACNPVRYFAILSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNASTIVETLDRLYNLDWFSSASKNECTAAVGLKWMLNQGLMQQHEEIVEYFKTRGVAAIFLFRRNLLRRMISVLANSYDRDAKPLNGTHKSHVHSPHEAEILAKYKPTINATLLIPNLKQVEDTTTKALEYFKSTRHIILYYEDIVKNRTKLLDVQDFLKVPQRDLKSRQVKIHKGTLSNQIENWGDVEKTLTGTQYENFLHADYRRR >ONH91507 pep chromosome:Prunus_persica_NCBIv2:G8:14645599:14649445:1 gene:PRUPE_8G119400 transcript:ONH91507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLCSFKDIFLIKAPKKSPLVLRMIVLLFAMVIQKPCPRPDVEPEEVPYVHYPKPVTYSRAECACNPVRYFAILSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNASTIVETLDRLYNLDWFSSASKNECTAAVGLKWMLNQGLMQQHEEIVEYFKTRGVAAIFLFRRNLLRRMISVLANSYDRDAKPLNGTHKSHVHSPHEAEILAKYKPTINATLLIPNLKQVEDTTTKALEYFKSTRHIILYYEDIVKNRTKLLDVQDFLKVPQRDLKSRQVKIHKGTLSNQIENWGDVEKTLTGTQYENFLHADYRRR >ONH94069 pep chromosome:Prunus_persica_NCBIv2:G8:22363702:22366714:1 gene:PRUPE_8G268300 transcript:ONH94069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRGRTDGDRLRTVWTPEMDRYFIDLMLEQVCKGNKFDDHLFSKRAWKHMTSLFDAKFKFPYEKDVLKNRHKTLRNLYKAVRNLLDERGFSWDEMRQMVTADNNVWDEYIKVHPDARSFRIKTIPYYHDLCSIYGDTAIEERSDNIPEESSHSGENGTTAATQPRRVSQGTAETLQDIMVGEDYGVTVPAKSFDDVEHAMTSVPGITTNSRSRTYWQPPMDRYFIELMQEQVRKGSRIDGVFRKQAWMEMIASFNAKFGFNYDMDVLKNRHKTLKRQYNVIKNLLELDGFIWDDARQMVTADDYVWQDYIKEHTDARQFMTRPVPYYKHLCMICDPSVDDRDSYSGQDVEQENQVEGAKLCGALTSFLSPSTSVSTEDEDGDVQESTPMCQKKRRRLEDCSNEAYPKRSREEDGGMASALREMASAVSSLSEKKRNDEKLNSGSIESVVEAVQALPDMDEDLVLDACDLLEDEKKAKTFMALDVKLRKKWLMRKLRPQ >ONH94066 pep chromosome:Prunus_persica_NCBIv2:G8:22364215:22366707:1 gene:PRUPE_8G268300 transcript:ONH94066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASAKWLDAFTLQYIFVLITLCSLSCCSMGIRGRTDGDRLRTVWTPEMDRYFIDLMLEQVCKGNKFDDHLFSKRAWKHMTSLFDAKFKFPYEKDVLKNRHKTLRNLYKAVRNLLDERGFSWDEMRQMVTADNNVWDEYIKVHPDARSFRIKTIPYYHDLCSIYGDTAIEERSDNIPEESSHSGENGTTAATQPRRVSQGTAETLQDIMVGEDYGVTVPAKSFDDVEHAMTSVPGITTNSRSRTYWQPPMDRYFIELMQEQVRKGSRIDGVFRKQAWMEMIASFNAKFGFNYDMDVLKNRHKTLKRQYNVIKNLLELDGFIWDDARQMVTADDYVWQDYIKEHTDARQFMTRPVPYYKHLCMICDPSVDDRDSYSGQDVEQENQVEGAKLCGALTSFLSPSTSVSTEDEDGDVQESTPMCQKKRRRLEDCSNEAYPKRSREEDGGMASALREMASAVSSLSEKKRNDEKLNSGSIESVVEAVQALPDMDEDLVLDACDLLEDEKKAKTFMALDVKLRKKWLMRKLRPQ >ONH94068 pep chromosome:Prunus_persica_NCBIv2:G8:22363702:22366714:1 gene:PRUPE_8G268300 transcript:ONH94068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRGRTDGDRLRTVWTPEMDRYFIDLMLEQVCKGNKFDDHLFSKRAWKHMTSLFDAKFKFPYEKDVLKNRHKTLRNLYKAVRNLLDERGFSWDEMRQMVTADNNVWDEYIKVHPDARSFRIKTIPYYHDLCSIYGDTAIEERSDNIPEESSHSGENGTTAATQPRRVSQGTAETLQDIMVGEDYGVTVPAKSFDDVEHAMTSVPGITTNSRSRTYWQPPMDRYFIELMQEQVRKGSRIDGVFRKQAWMEMIASFNAKFGFNYDMDVLKNRHKTLKRQYNVIKNLLELDGFIWDDARQMVTADDYVWQDYIKEHTDARQFMTRPVPYYKHLCMICDPSVDDRDSYSGQDVEQENQVEGAKLCGALTSFLSPSTSVSTEDEDGDVQESTPMCQKKRRRLEDCSNEAYPKRSREEDGGMASALREMASAVSSLSEKKRNDEKLNSGSIESVVEAVQALPDMDEDLVLDACDLLEDEKKAKTFMALDVKLRKKWLMRKLRPQ >ONH94067 pep chromosome:Prunus_persica_NCBIv2:G8:22363797:22366707:1 gene:PRUPE_8G268300 transcript:ONH94067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASAKWLDAFTLQYIFVLITLCSLSCCSMGIRGRTDGDRLRTVWTPEMDRYFIDLMLEQVCKGNKFDDHLFSKRAWKHMTSLFDAKFKFPYEKDVLKNRHKTLRNLYKAVRNLLDERGFSWDEMRQMVTADNNVWDEYIKVHPDARSFRIKTIPYYHDLCSIYGDTAIEERSDNIPEESSHSGENGTTAATQPRRVSQGTAETLQDIMVGEDYGVTVPAKSFDDVEHAMTSVPGITTNSRSRTYWQPPMDRYFIELMQEQVRKGSRIDGVFRKQAWMEMIASFNAKFGFNYDMDVLKNRHKTLKRQYNVIKNLLELDGFIWDDARQMVTADDYVWQDYIKEHTDARQFMTRPVPYYKHLCMICDPSVDDRDSYSGQDVEQENQVEGAKLCGALTSFLSPSTSVSTEDEDGDVQESTPMCQKKRRRLEDCSNEAYPKRSREEDGGMASALREMASAVSSLSEKKRNDEKLNSGSIESVVEAVQALPDMDEDLVLDACDLLEDEKKAKTFMALDVKLRKKWLMRKLRPQ >ONH94070 pep chromosome:Prunus_persica_NCBIv2:G8:22363788:22366714:1 gene:PRUPE_8G268300 transcript:ONH94070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRGRTDGDRLRTVWTPEMDRYFIDLMLEQVCKGNKFDDHLFSKRAWKHMTSLFDAKFKFPYEKDVLKNRHKTLRNLYKAVRNLLDERGFSWDEMRQMVTADNNVWDEYIKVHPDARSFRIKTIPYYHDLCSIYGDTAIEERSDNIPEESSHSGENGTTAATQPRRVSQGTAETLQDIMVGEDYGVTVPAKSFDDVEHAMTSVPGITTNSRSRTYWQPPMDRYFIELMQEQVRKGSRIDGVFRKQAWMEMIASFNAKFGFNYDMDVLKNRHKTLKRQYNVIKNLLELDGFIWDDARQMVTADDYVWQDYIKEHTDARQFMTRPVPYYKHLCMICDPSVDDRDSYSGQDVEQENQVEGAKLCGALTSFLSPSTSVSTEDEDGDVQESTPMCQKKRRRLEDCSNEAYPKRSREEDGGMASALREMASAVSSLSEKKRNDEKLNSGSIESVVEAVQALPDMDEDLVLDACDLLEDEKKAKTFMALDVKLRKKWLMRKLRPQ >ONH94071 pep chromosome:Prunus_persica_NCBIv2:G8:22365204:22366486:1 gene:PRUPE_8G268300 transcript:ONH94071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDNIPEESSHSGENGTTAATQPRRVSQGTAETLQDIMVGEDYGVTVPAKSFDDVEHAMTSVPGITTNSRSRTYWQPPMDRYFIELMQEQVRKGSRIDGVFRKQAWMEMIASFNAKFGFNYDMDVLKNRHKTLKRQYNVIKNLLELDGFIWDDARQMVTADDYVWQDYIKEHTDARQFMTRPVPYYKHLCMICDPSVDDRDSYSGQDVEQENQVEGAKLCGALTSFLSPSTSVSTEDEDGDVQESTPMCQKKRRRLEDCSNEAYPKRSREEDGGMASALREMASAVSSLSEKKRNDEKLNSGSIESVVEAVQALPDMDEDLVLDACDLLEDEKKAKTFMALDVKLRKKWLMRKLRPQ >ONH90474 pep chromosome:Prunus_persica_NCBIv2:G8:6495724:6496102:-1 gene:PRUPE_8G055900 transcript:ONH90474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLPETTDSIAHALEKIKQRIDFPEKRTFLRQRVEARLASLLMESKEYSEALSVLSGLIKEVRRLVDKLLLVDIYLMRVSSISL >ONH93184 pep chromosome:Prunus_persica_NCBIv2:G8:19902823:19905303:-1 gene:PRUPE_8G218000 transcript:ONH93184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLACFGSSKDKKRRIQRYRVQHRDHRYTSFEPVQSAVSFVSEVQETPISPVLEEVRDKPVEQLSLNARKKVTFDSNVKTYEHVPSNETSDPLLDTEESRKKEEGKILEKPCQSKSSSDDSSITSSSGSYPPNHRYQNCRDSDDEDEPLDSEDSDLDDEDEDDYYDDDGELEYEDEIVESNKGVSIPQISTEDFDSPMPIKPTGLNHNARDRSGYVHSVLNPVENLTQWKAVKAKGTSLMKPQKENFTLDQEPRISFSSEPSLSFKSKADQHKKHSKNPHQEVAVDASLSNWLVSSEHTPVNKTSTIALDTGTPEKSMSHGSNSARSQEDRPILGALTVEELRQFSASSSPRKSPTRSPNEMPIIGTVGTYWNHSAPPAKGSSGASSYKGIPNTTSKYREDKRVNWHSTPFETRLERALNRGGAAEA >ONH93185 pep chromosome:Prunus_persica_NCBIv2:G8:19903179:19904910:-1 gene:PRUPE_8G218000 transcript:ONH93185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLACFGSSKDKKRRIQRYRVQHRDHRYTSFEPVQSAVSFVSEVQETPISPVLEEVRDKPVEQLSLNARKKVTFDSNVKTYEHVPSNETSDPLLDTEESRKKEEGKILEKPCQSKSSSDDSSITSSSGSYPPNHRYQNCRDSDDEDEPLDSEDSDLDDEDEDDYYDDDGELEYEDEIVESNKGVSIPQISTEDFDSPMPIKPTGLNHNARDRSGYVHSVLNPVENLTQWKAVKAKGTSLMKPQKENFTLDQEPRISFSSEPSLSFKSKADQHKKHSKNPHQEVAVDASLSNWLVSSEHTPVNKTSTIALDTGTPEKSMSHGSNSARSQEDRPILGALTVEELRQFSASSSPRKSPTRSPNEMPIIGTVGTYWNHSAPPAKGSSGASSYKGIPNTTSKYREVHWK >ONH89745 pep chromosome:Prunus_persica_NCBIv2:G8:1104553:1111350:-1 gene:PRUPE_8G013300 transcript:ONH89745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKAVVGSAFSLGAVMQGSAMDFPVSRVKGLRWRIILRQIYLTSMARWLHFLVFLMVQYKFLSPIPCSMFSHQAQSVRNYRLIVFYFCFFTCSLCLGHGGSRTAEYLKKNLFKNLSSHPNFIKDTKAAIVEVFKQTDVDYLNEEKGYQRDAGSTASTALLLGDRLFVANVGDSRVVGCRAGSAIPLSIDHKPDRSDERQRIEEAGGFIIWAGTWRVGGVLAVSRAFGDKVLKPFVVAEPEIQEEEIEGVDFIIIASDGLWNVISNKDAVALVQDIADAEEASRKLIQEAFARGSSDNITCVVVQFGISE >ONH93475 pep chromosome:Prunus_persica_NCBIv2:G8:20763700:20767449:-1 gene:PRUPE_8G234400 transcript:ONH93475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQYCPAQRLRFPCTSSVMAASAQHCYFTSSAVQVHPVPMLKKAQATRALQFFKVSQNPIKLPSLKEVCDQGSLKEAFQSLGSLLKLQNSSLKLSLDGAYSPVLELCANKKALSEGQQVHAHMIKSCDLYDSVFLSTKLVFMYGKCCSLLNAEKVFGRMCHRTIFTWNAMIGAYASNGKPLKALELYRDMRVLEVPLDSCTFPCILKACVALNNVCSGTEIHGVAIKYGYNKVTFVDNSLASMYASCNDLDGARKLFDGMKEKEDIVSWNSIISAYSANGQSVEALELFREMQRMCLTPNTYTFVAALQACEDSFSDKLGMEIHAAVMKSGHCLDIYVANSLLAMYLRCGKTDEAAIIFNDLDAKDIVSWNTMLSGFAQNGLYNETLQLFYDMQSTDEKPDLVSLINILAASGRLGYLLSGMEVHAYAIKNGFDSDLQLGNTLIDMYARCGCVNFMGHAFEKMPNIDFISWTTIIAGYAQNNCHTRALELCRKVQAVGLDVDAMMVESILLACGALKCVSLVKEIHGYTMRRGLFDLVLQNAVVNVYGECGYIEYANRMFELIESKDVVSWTSMISCNVHSGLANEALELCHLMKETNVEPDSIALVSILSAVAGLSALKKGKEIHGFLLRKGFILEGSLGSSLVDMYARSGTLENAYKVYNCIRNKSLILWTTMINAYGMHGNGKAAIDLFKKMEGERIVPDHITFLALLYGCSHSGLIDEGKRIYEIMRSEYQLLPWAEHSACMVDLLSRANRLEEAYHFVNGMQSEPTAEVWCALLGACRVHSNKELGEIAAKKILELGTENPGNYVLVSNMFAASRRWKDVEEVRMRMKGIGLKKNPGCSWIEIGNKVHIFTARDKSHPQSNEIYQKLAQMTEKLEREVDYVAQTKYVLHNVEEEEKVQMLYGHSERLAIAYGLLKPPEGTPIRITKNLRVCGDCHHFIKLVSKVFRQVLVVRDANRFHHFEDGICSCGDFW >ONH91475 pep chromosome:Prunus_persica_NCBIv2:G8:14392127:14393155:1 gene:PRUPE_8G117000 transcript:ONH91475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSHYFAQALAMVLIASMLAVGLANKDWQQGNYTGWGFNHGLNETKGPNKITVGGSENWHYGFNYKQWALQNGPFYIKDTLVFKYDPPNDTTRPHSVYLFQNPWSFMNCDLSQARMVGNQTQGGGEGFEFVLKKWKPYYFACGEHDGHHCNEGLMRFIVFPMYRGWHY >ONH91584 pep chromosome:Prunus_persica_NCBIv2:G8:14997506:14999092:-1 gene:PRUPE_8G124700 transcript:ONH91584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKIPNHPNTNKNNLKGKQNSMATATIPHLPVLVIHHVLQFLPTKHAIRMSSLCKQWAGLWCSVPVLDFEEEEDMPHAKFINFVDRCLEIHEKYEHIDKFRLHMKFCLFADRYKFNKWLAFAVERRVKELDISFSYTHPFLDFALLSPLHNAKSITSLNLENATIENGGEPISLPSLKTLSLTRVRLQVSSVISSLISGCPCIQYLSLTSSSSSDYYVISMQTLLDAKYLTSLNLEFVLITDDSNNDPLIGRLPCLKTVSLTTVNMHYGTFAQLISSSPSLEHLSVSIFFPHYNISPHILPSSSLKSFEVGIFNSDVVQVSGAESLESCTLVLESPRQIKCIRLDGCKNLKHLSIRAPPLPNFTLQLHGCKHLVNVSLDIPKLYFTRISSNVEWRNFYSLAYYLEKFQSFENISLYIDDAEALIFPKNMRKFYDPPLRTVNTLTVFISSPRGAKDSKLRHSLFWLGPKSLSIIYSDL >ONH91585 pep chromosome:Prunus_persica_NCBIv2:G8:14997042:14999220:-1 gene:PRUPE_8G124700 transcript:ONH91585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKIPNHPNTNKNNLKGKQNSMATATIPHLPVLVIHHVLQFLPTKHAIRMSSLCKQWAGLWCSVPVLDFEEEEDMPHAKFINFVDRCLEIHEKYEHIDKFRLHMKFCLFADRYKFNKWLAFAVERRVKELDISFSYTHPFLDFALLSPLHNAKSITSLNLENATIENGGEPISLPSLKTLSLTRVRLQVSSVISSLISGCPCIQYLSLTSSSSSDYYVISMQTLLDAKYLTSLNLEFVLITDDSNNDPLIGRLPCLKTVSLTTVNMHYGTFAQLISSSPSLEHLSVSIFFPHYNISPHILPSSSLKSFEVGIFNSDVVQVSGAESLESCTLVLESPRQIKCIRLDGCKNLKHLSIRAPPLPNFTLQLHGCKHLVNVSLDIPKLYFTRISSNVEWRNFYSLAYYLEKFQSFENISLYIDDAEALIFPKNMRKFYDPPLRTVNTLTVFISSPRGAKDSKLRHSLFWLGPKSLSIIYSDL >ONH94011 pep chromosome:Prunus_persica_NCBIv2:G8:22261546:22264468:-1 gene:PRUPE_8G265900 transcript:ONH94011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSRQNQTQKFQKNSGQKVRHPKEGKLKNEGPPSDSWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERSKTDASVLIITYTSSHNHPGPDVSTTNLTQLQPSTEDHNLPALLPKQEEQEKEQEEKQGKLEDHPAMKTDHEDHFHYIQSPIRSSQNIMIDQEEDPFLEKTHVSSTLGFLLDEEPLSYSQLMSFSTPKSEENDFFDELEELPTFSSFPSFMRSNLSLERIPSVPS >ONH94010 pep chromosome:Prunus_persica_NCBIv2:G8:22262093:22263241:-1 gene:PRUPE_8G265900 transcript:ONH94010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETLSPEPDSEVPKELRPEGQASKRRKVVHEKTVVTVKIGTNVGKLKNEGPPSDSWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERSKTDASVLIITYTSSHNHPGPDVSTTNLTQLQPSTEDHNLPALLPKQEEQEKEQEEKQGKLEDHPAMKTDHEDHFHYIQSPIRSSQNIMIDQEEDPFLEKTHVSSTLGFLLDEEPLSYSQLMSFSTPKSEENDFFDELEELPTFSSFPSFMRSNLSLERIPSVPS >ONH89801 pep chromosome:Prunus_persica_NCBIv2:G8:1576457:1583524:-1 gene:PRUPE_8G017000 transcript:ONH89801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGPPTPIGGAQSVSPSLLRTNSGMLGGQGGSLPSQSGFPPLVSPRNQYGNMNMLGNVTNVSSLLNQSYGNGIPNSGLSGPGSSQRGGMDTGAESDPLSNVGNGMGFSAPSSSYVASNMANPGTSGQGQGQQFSNPSGNQLLTDQQQQQLETHNFQHGQQPMQQFSAPHNTQQQQHQFQAIRGGLAGVGPVKLEPQLTNDQHGQQQQQQQLQSLRSLGPVKLEPQQLQTMRSLPPVKLEPQNSDQSLFLHQQQQQQQQQQQQQQQQQFLHMSRPSSQAAAAQINILHQQRFLQLQQQHQQQQLLKAMPQQRPQLQQQFPQQNLPMRSPAKPVYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFVPHAKKKWCVSMYGTGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSLPEIQNNCNMFVSSARQLAKTLEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTSASSGFHGQTQQSEEQMQQQQQQQQQQQPMGQNPNSDPSSVQATTMQLAASNGMASVNNVLNAASTSTSASTIVGLLHQNSMNSRQQSSMNNANSPYGGNSVQIPSPGSSSTIPQTQPNPSPFQSPTPSSNNPSQTSHCALTAANHMSATNSPANISMQQPTISGEADPSDSQSSVQKIIHEMMMSNQLNGAGSMVGVGSLGNDVKNVNGILSTSNNTGMNGGNCLSGNGMTNSSNSGIGGAGFGSMGGLGQPSMGNGIRSAMGNNSVMNGRVGMASMAREQSMHHQQQDMGNQLLSGLGAVNGFNNLQFDWKHSP >ONH89799 pep chromosome:Prunus_persica_NCBIv2:G8:1575215:1584769:-1 gene:PRUPE_8G017000 transcript:ONH89799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGPPTPIGGAQSVSPSLLRTNSGMLGGQGGSLPSQSGFPPLVSPRNQYGNMNMLGNVTNVSSLLNQSYGNGIPNSGLSGPGSSQRGGMDTGAESDPLSNVGNGMGFSAPSSSYVASNMANPGTSGQGQGQQFSNPSGNQLLTDQQQQQLETHNFQHGQQPMQQFSAPHNTQQQQHQFQAIRGGLAGVGPVKLEPQLTNDQHGQQQQQQQLQSLRSLGPVKLEPQQLQTMRSLPPVKLEPQNSDQSLFLHQQQQQQQQQQQQQQQQQFLHMSRPSSQAAAAQINILHQQRFLQLQQQHQQQQLLKAMPQQRPQLQQQFPQQNLPMRSPAKPVYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFVPHAKKKWCVSMYGTGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSLPEIQNNCNMFVSSARQLAKTLEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTSASSGFHGQTQQSEEQMQQQQQQQQQQQPMGQNPNSDPSSVQATTMQLAASNGMASVNNVLNAASTSTSASTIVGLLHQNSMNSRQQSSMNNANSPYGGNSVQIPSPGSSSTIPQTQPNPSPFQSPTPSSNNPSQTSHCALTAANHMSATNSPANISMQQPTISGEADPSDSQSSVQKIIHEMMMSNQLNGAGSMVGVGSLGNDVKNVNGILSTSNNTGMNGGNCLSGNGMTNSSNSGIGGAGFGSMGGLGQPSMGNGIRSAMGNNSVMNGRVGMASMAREQSMHHQQQDMGNQLLSGLGAVNGFNNLQFDWKHSP >ONH89800 pep chromosome:Prunus_persica_NCBIv2:G8:1575215:1584747:-1 gene:PRUPE_8G017000 transcript:ONH89800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSGPPTPIGGAQSVSPSLLRTNSGMLGGQGGSLPSQSGFPPLVSPRNQYGNMNMLGNVTNVSSLLNQSYGNGIPNSGLSGPGSSQRGGMDTGAESDPLSNVGNGMGFSAPSSSYVASNMANPGTSGQGQGQQFSNPSGNQLLTDQQQQQLETHNFQHGQQPMQQFSAPHNTQQQQHQFQAIRGGLAGVGPVKLEPQLTNDQHGQQQQQQQLQSLRSLGPVKLEPQQLQTMRSLPPVKLEPQNSDQSLFLHQQQQQQQQQQQQQQQQQFLHMSRPSSQAAAAQINILHQQRFLQLQQQHQQQQLLKAMPQQRPQLQQQFPQQNLPMRSPAKPVYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFVPHAKKKWCVSMYGTGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYHNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSLPEIQNNCNMFVSSARQLAKTLEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTSASSGFHGQTQQSEEQMQQQQQQQQQQQPMGQNPNSDPSSVQATTMQLAASNGMASVNNVLNAASTSTSASTIVGLLHQNSMNSRQQSSMNNANSPYGGNSVQIPSPGSSSTIPQTQPNPSPFQSPTPSSNNPSQTSHCALTAANHMSATNSPANISMQQPTISGEADPSDSQSSVQKIIHEMMMSNQLNGAGSMVGVGSLGNDVKNVNGILSTSNNTGMNGGNCLSGNGMTNSSNSGIGGAGFGSMGGLGQPSMGNGIRSAMGNNSVMNGRVGMASMAREQSMHHQQQDMGNQLLSGLGAVNGFNNLQFDWKHSP >ONH90473 pep chromosome:Prunus_persica_NCBIv2:G8:6490676:6491896:-1 gene:PRUPE_8G055800 transcript:ONH90473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFAETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQLFMMPYNPGCHWILLIVRAKKETIYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIGRAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKVRRNYLHTFHVVFCIINDGHVKLTLLNGYTTSVMKNIVVCD >ONH89773 pep chromosome:Prunus_persica_NCBIv2:G8:1377285:1393260:-1 gene:PRUPE_8G015600 transcript:ONH89773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEILIKHFPEAKTVIFEDDFPFSEYILSAKKSFKFMDELDRIEISTKEVIESKFGSDVENHLGMLCSLIPEFIHEEKFGVDSVMLITPFGDSERMLEERHGHTIASSLSSLLYYMIHLILMNKCCYMSCRIQKGNMGKRLISTKIKDMHIWIAKLGRSEMSTDELKRDIIFGDLYADDRSAFVQQLELLVFLVPQWILKDPEENPNNFSICRKNTKDAKIKLYQEIDERTLGESPSFNLSKDDFLLNLRRSIVKDFGECSTCWDDLYNDEGLSVDTLPCGHAFHCKCTAEQPLWMGLLGLEGVVVSGVDCRAARRTDVLLARGLLGDYFILADSTCCTTEMLFQHPFVEGDRNGSVVLHFRVAQLSVSAAASLLPNPSCFEIQKRSRHISVLLISEAIDADQSLKDARPGISLLECHLTTFESSLVDLHRLGVQCCHGSSSMLARSCQTYAESYIQQITRGKIYDLGTNSDYFLWYIVFVQYADLIAASTAYINESQHIFDESCEDYILSVFGAIWRARHGGNLGSPKTLKDASSKEYDFGAVVKKKCIALKFQKFYTPETFRPGRETRQSIVAQQLAMSVLYGRLSLSTNELARPGFRKLDYIQSAAKLLRFIKCLGRKEIPQEELLVKIFSDDIENLIELLHILLPELICEVKVGGKLVKRFTEMVCVGPALERRIEEDQLNARDAFRIRKLRDWRLDHFCWEISKEEFKDAILFSRLYDDERAMVDKQLELLVFLFPHWISKAQMNDKWILRVKKEETEEVETQLQLAMRSIKPPQSRGSDEVYEFLFALRRSFVKNAGNCFCCRDELYNDTFKEVIEYPCNHRFHKRCTEESNTVHCPLCADTQKNRKKHKKTRMKT >ONH90543 pep chromosome:Prunus_persica_NCBIv2:G8:8488870:8491219:1 gene:PRUPE_8G060200 transcript:ONH90543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFIFAELSQVLMRKSFLFSYSEGADDWWNEIGGSKQWQSGPTYYILSASYALVSLVALVQLVRIQLRVPEYGWTIQKVFHLMNFLVNGLRAVLFGLYKSVLLIKPKALEMLLMDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYYIINGFIYFTQVCIWIFIRVSRSPVAVEAARIFFSVISFGTALGFLIYGGRLFIMLRHFPIESRGRQKKLEEVCAYV >ONH90541 pep chromosome:Prunus_persica_NCBIv2:G8:8488870:8492234:1 gene:PRUPE_8G060200 transcript:ONH90541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFIFAELSQVLMRKSFLFSYSEGADDWWNEIGGSKQWQSGPTYYILSASYALVSLVALVQLVRIQLRVPEYGWTIQKVFHLMNFLVNGLRAVLFGLYKSVLLIKPKALEMLLMDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYYIINGFIYFTQVCIWIFIRVSRSPVAVEAARIFFSVISFGTALGFLIYGGRLFIMLRHFPIESRGRQKKLEEVGWVTGICCTCFLIRCLMLAVSAFDKDADVDVVNHPILNLIYYMLAEIVPSALVLFILRKVPPRRVSDQYQPIK >ONH90542 pep chromosome:Prunus_persica_NCBIv2:G8:8488870:8492234:1 gene:PRUPE_8G060200 transcript:ONH90542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFIFAELSQVLMRKSFLFSYSEGADDWWNEIGGSKQWQSGPTYYILSASYALVSLVALVQLVRIQLRVPEYGWTIQKVFHLMNFLVNGLRAVLFGLYKSVLLIKPKALEMLLMDLPGLLFFSTYTLLVLFWAEIYHQVCIWIFIRVSRSPVAVEAARIFFSVISFGTALGFLIYGGRLFIMLRHFPIESRGRQKKLEEVGWVTGICCTCFLIRCLMLAVSAFDKDADVDVVNHPILNLIYYMLAEIVPSALVLFILRKVPPRRVSDQYQPIK >ONH92003 pep chromosome:Prunus_persica_NCBIv2:G8:16319654:16322487:1 gene:PRUPE_8G148900 transcript:ONH92003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDRFSNLPSDVVEKILSRLPIREAVRTSVLSTKWRYRSAMLPHLVFDDQCVSTRKNITFVNIVDHVLLGHIGPIHKFELSGGDCLDNWDIDRWILHLSRNSIKEFILVIRQGFNHRIPSCLFSCQDMIHLELLGCVLKPPSTFKGFRNLKSLVFQRVTLAQDVFQNLIACCPLLEKLTLSIPTFHHLKIDAPNLQFLDVEGDFEDVTFENTLNLADVCIRIYPRGRTWVCDNSNLVKLLVQLPLIQRLKIGRYFLQILVVGSLSPKLPKPCLYLKFLSVVVNLNDPAEVLTVLCLLRSSPAVQELEIALYPALEEEQDFYAPQEVQAALSEVNSLYGNWIFPLTQLRLVKIYYVSDVKTELDFIRFLLLNSPVLEKMIVTPDDASDSLKLIKQFLRLGRASVHSEIIFLDPYSSGNFSS >ONH92001 pep chromosome:Prunus_persica_NCBIv2:G8:16319837:16321676:1 gene:PRUPE_8G148900 transcript:ONH92001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRKPPKSRLKLEMEVDRFSNLPSDVVEKILSRLPIREAVRTSVLSTKWRYRSAMLPHLVFDDQCVSTRKNITFVNIVDHVLLGHIGPIHKFELSGGDCLDNWDIDRWILHLSRNSIKEFILVIRQGFNHRIPSCLFSCQDMIHLELLGCVLKPPSTFKGFRNLKSLVFQRVTLAQDVFQNLIACCPLLEKLTLSIPTFHHLKIDAPNLQFLDVEGDFEDVTFENTLNLADVCIRIYPRGRTWVCDNSNLVKLLVQLPLIQRLKIGRYFLQILVVGSLSPKLPKPCLYLKFLSVVVNLNDPAEVLTVLCLLRSSPAVQELEIAFREVFYSW >ONH91999 pep chromosome:Prunus_persica_NCBIv2:G8:16319654:16322487:1 gene:PRUPE_8G148900 transcript:ONH91999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRKPPKSRLKLEMEVDRFSNLPSDVVEKILSRLPIREAVRTSVLSTKWRYRSAMLPHLVFDDQCVSTRKNITFVNIVDHVLLGHIGPIHKFELSGGDCLDNWDIDRWILHLSRNSIKEFILVIRQGFNHRIPSCLFSCQDMIHLELLGCVLKPPSTFKGFRNLKSLVFQRVTLAQDVFQNLIACCPLLEKLTLSIPTFHHLKIDAPNLQFLDVEGDFEDVTFENTLNLADVCIRIYPRGRTWVCDNSNLVKLLVQLPLIQRLKIGRYFLQILVVGSLSPKLPKPCLYLKFLSVVVNLNDPAEVLTVLCLLRSSPAVQELEIAAPQEVQAALSEVNSLYGNWIFPLTQLRLVKIYYVSDVKTELDFIRFLLLNSPVLEKMIVTPDDASDSLKLIKQFLRLGRASVHSEIIFLDPYSSGNFSS >ONH92000 pep chromosome:Prunus_persica_NCBIv2:G8:16319652:16322487:1 gene:PRUPE_8G148900 transcript:ONH92000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRKPPKSRLKLEMEVDRFSNLPSDVVEKILSRLPIREAVRTSVLSTKWRYRSAMLPHLVFDDQCVSTRKNITFVNIVDHVLLGHIGPIHKFELSGGDCLDNWDIDRWILHLSRNSIKEFILVIRQGFNHRIPSCLFSCQDMIHLELLGCVLKPPSTFKGFRNLKSLVFQRVTLAQDVFQNLIACCPLLEKLTLSIPTFHHLKIDAPNLQFLDVEGDFEDVTFENTLNLADVCIRIYPRGRTWVCDNSNLVKLLVQLPLIQRLKIGRYFLQAPQEVQAALSEVNSLYGNWIFPLTQLRLVKIYYVSDVKTELDFIRFLLLNSPVLEKMIVTPDDASDSLKLIKQFLRLGRASVHSEIIFLDPYSSGNFSS >ONH92002 pep chromosome:Prunus_persica_NCBIv2:G8:16319837:16321610:1 gene:PRUPE_8G148900 transcript:ONH92002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRKPPKSRLKLEMEVDRFSNLPSDVVEKILSRLPIREAVRTSVLSTKWRYRSAMLPHLVFDDQCVSTRKNITFVNIVDHVLLGHIGPIHKFELSGGDCLDNWDIDRWILHLSRNSIKEFILVIRQGFNHRIPSCLFSCQDMIHLELLGCVLKPPSTFKGFRNLKSLVFQRVTLAQDVFQNLIACCPLLEKLTLSIPTFHHLKIDAPNLQFLDVEGDFEDVTFENTLNLADVCIRIYPRGRTWVCDNSNLVKLLVQLPLIQRLKIGRYFLQILVVGSLSPKLPKPCLYLKFLSVVVNLNDPAEVLTVLCLLRSSPAVQELEIAVSFILLMQANFFC >ONH91998 pep chromosome:Prunus_persica_NCBIv2:G8:16319654:16322487:1 gene:PRUPE_8G148900 transcript:ONH91998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRKPPKSRLKLEMEVDRFSNLPSDVVEKILSRLPIREAVRTSVLSTKWRYRSAMLPHLVFDDQCVSTRKNITFVNIVDHVLLGHIGPIHKFELSGGDCLDNWDIDRWILHLSRNSIKEFILVIRQGFNHRIPSCLFSCQDMIHLELLGCVLKPPSTFKGFRNLKSLVFQRVTLAQDVFQNLIACCPLLEKLTLSIPTFHHLKIDAPNLQFLDVEGDFEDVTFENTLNLADVCIRIYPRGRTWVCDNSNLVKLLVQLPLIQRLKIGRYFLQILVVGSLSPKLPKPCLYLKFLSVVVNLNDPAEVLTVLCLLRSSPAVQELEIALYPALEEEQDFYAPQEVQAALSEVNSLYGNWIFPLTQLRLVKIYYVSDVKTELDFIRFLLLNSPVLEKMIVTPDDASDSLKLIKQFLRLGRASVHSEIIFLDPYSSGNFSS >ONH92794 pep chromosome:Prunus_persica_NCBIv2:G8:18793285:18799251:1 gene:PRUPE_8G196200 transcript:ONH92794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVYKARDWRTNEIVAVKKMKRKFFFWEEYWRLREIKVLRKLNHPNIIKLKEVVRENNEVFLIFEYMNYNLYQVMKEQRRPFSEDEIRSFMSQLLHGLNHLHRSGYFHRDLKPENLLVTNDVLKIADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSKLYTPAVDMWAAGAILAELFNLSPIFPGESEIDQLFKICCVLGTPDLTIFDEGTNASRLYGIINYEKILPANLSDIIPSASPEAIDLIQQLCSWDPSRRPAADESLQHPFFHVGWVPRSLRDPLDLKLSIMGAKPTLELKLSDFGAEPEDCFLGLTLAVKPSVPEFDVVHDVSQHIKEDSLFCSGLEDHSGRSVFWSLMPPDQGGICAPVEPSFSLSFSSIQHPSVRVPQSAGFSIPSLQPNILDGPLLAMSSSFPQSRCL >ONH92793 pep chromosome:Prunus_persica_NCBIv2:G8:18793270:18799251:1 gene:PRUPE_8G196200 transcript:ONH92793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVYKARDWRTNEIVAVKKMKRKFFFWEEYWRLREIKVLRKLNHPNIIKLKEVVRENNEVFLIFEYMNYNLYQVMKEQRRPFSEDEIRSFMSQLLHGLNHLHRSGYFHRDLKPENLLVTNDVLKIADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSKLYTPAVDMWAAGAILAELFNLSPIFPGESEIDQLFKICCVLGTPDLTIFDEGTNASRLYGIINYEKILPANLSDIIPSASPEAIDLIQQLCSWDPSRRPAADESLQHPFFHVGWVPRSLRDPLDLKLSIMGAKPTLELKLSDFGAEPEDCFLGLTLAVKPSVPEFDVVHDVSQHIKEDSLFCSGLEDHSGRSVFWSLMPPDQGGICAPVEPSFSLSFSSIQHPSVRVPQSAGFSIPSLQPNILDGPLLAMSSSFPQSRCL >ONH92792 pep chromosome:Prunus_persica_NCBIv2:G8:18793285:18799251:1 gene:PRUPE_8G196200 transcript:ONH92792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKILEELGDGTCGSVYKARDWRTNEIVAVKKMKRKFFFWEEYWRLREIKVLRKLNHPNIIKLKEVVRENNEVFLIFEYMNYNLYQVMKEQRRPFSEDEIRSFMSQLLHGLNHLHRSGYFHRDLKPENLLVTNDVLKIADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSKLYTPAVDMWAAGAILAELFNLSPIFPGESEIDQLFKICCVLGTPDLTIFDEGTNASRLYGIINYEKILPANLSDIIPSASPEAIDLIQQLCSWDPSRRPAADESLQHPFFHVGWVPRSLRDPLDLKLSIMGAKPTLELKLSDFGAEPEDCFLGLTLAVKPSVPEFDVVHDVSQHIKEDSLFCSGLEDHSGRSGKIQDLFTWLLIIFPFLLQMNLTIVFWSLMPPDQGGICAPVEPSFSLSFSSIQHPSVRVPQSAGFSIPSLQPNILDGPLLAMSSSFPQSRCL >ONH91837 pep chromosome:Prunus_persica_NCBIv2:G8:15775656:15776950:-1 gene:PRUPE_8G138500 transcript:ONH91837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISAAYMRPRSRSESFKVNMIASIDYAKEHLDGQQSKEFYRNTTTLAFHLTCLILASSA >ONH92897 pep chromosome:Prunus_persica_NCBIv2:G8:19061720:19065878:-1 gene:PRUPE_8G202100 transcript:ONH92897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEVVAFQEDEKSRTFDFETSEDERRWSRGRSLKKKTTNASLRLTNSLRKRRKSVANCRYALISIEDVRDAEEEEAVITFREALVARDMLPAQYDDYHTMLRFLKARKFDIDKAVHMWAEMLNWRQEFGVESIVKDFVYSEYEEVQRFYPHGYHGVDKEGRPVYIERVGKLEPSKLMNVTTVDRFLKYHVQGFEKAFAEKFPACSIAAKRHIDSTTTILDVQGLNWVSFGKVAHDIVMRMQKIDGDNYPETLHQMFVVNAGNGFKLLWNTAKGFLDPRTTGKIHVLGNKFRSKLLEVIDSSQLPDFLGGTCSCPNEGGCLRSGKGPWNDPEIAKYLQLIHIGETMDLRKINGSSDGNDLGVKLIASKSKVTENEIISAPESGSEMMTSVSGFLQMPTSHSDKRRASDSASICSPVELGSAAGRTEVVNSSSTSNSLVNFTPGRPLKSFIPRGASLIIQFTLKILACIFLVFPRLWRIFAARHEENRLKSSCEPLLLANSSSQEQHISQGIEVDPLWQRLQQLEGLVTELINKPTKIPPEKEDMLHESLSRIKSIEYDLQKTKKALLATASKQVELAESLESLKEDSSTGSNSCWPRSCSYNHNPGR >ONH92895 pep chromosome:Prunus_persica_NCBIv2:G8:19061720:19065878:-1 gene:PRUPE_8G202100 transcript:ONH92895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEVVAFQEDEKSRTFDFETSEDERRWSRGRSLKKKTTNASLRLTNSLRKRRKSVANCRYALISIEDVRDAEEEEAVITFREALVARDMLPAQYDDYHTMLRFLKARKFDIDKAVHMWAEMLNWRQEFGVESIVKDFVYSEYEEVQRFYPHGYHGVDKEGRPVYIERVGKLEPSKLMNVTTVDRFLKYHVQGFEKAFAEKFPACSIAAKRHIDSTTTILDVQGLNWVSFGKVAHDIVMRMQKIDGDNYPEMFVVNAGNGFKLLWNTAKGFLDPRTTGKIHVLGNKFRSKLLEVIDSSQLPDFLGGTCSCPNEGGCLRSGKGPWNDPEIAKYLQLIHIGETMDLRKINGSSDGNDLGVKLIASKSKVTENEIISAPESGSEMMTSVSGFLQMPTSHSDKRRASDSASICSPVELGSAAGRTEVVNSSSTSNSLVNFTPGRPLKSFIPRGASLIIQFTLKILACIFLVFPRLWRIFAARHEENRLKSSCEPLLLANSSSQEQHISQGIEVDPLWQRLQQLEGLVTELINKPTKIPPEKEDMLHESLSRIKSIEYDLQKTKKALLATASKQVELAESLESLKEDSSTGSNSCWPRSCSYNHNPGR >ONH92896 pep chromosome:Prunus_persica_NCBIv2:G8:19060625:19066580:-1 gene:PRUPE_8G202100 transcript:ONH92896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEVVAFQEDEKSRTFDFETSEDERRWSRGRSLKKKTTNASLRLTNSLRKRRKSVANCRYALISIEDVRDAEEEEAVITFREALVARDMLPAQYDDYHTMLRFLKARKFDIDKAVHMWAEMLNWRQEFGVESIVKDFVYSEYEEVQRFYPHGYHGVDKEGRPVYIERVGKLEPSKLMNVTTVDRFLKYHVQGFEKAFAEKFPACSIAAKRHIDSTTTILDVQGLNWVSFGKVAHDIVMRMQKIDGDNYPETLHQMFVVNAGNGFKLLWNTAKGFLDPRTTGKIHVLGNKFRSKLLEVIDSSQLPDFLGGTCSCPNEGGCLRSGKGPWNDPEIAKLIHIGETMDLRKINGSSDGNDLGVKLIASKSKVTENEIISAPESGSEMMTSVSGFLQMPTSHSDKRRASDSASICSPVELGSAAGRTEVVNSSSTSNSLVNFTPGRPLKSFIPRGASLIIQFTLKILACIFLVFPRLWRIFAARHEENRLKSSCEPLLLANSSSQEQHISQGIEVDPLWQRLQQLEGLVTELINKPTKIPPEKEDMLHESLSRIKSIEYDLQKTKKALLATASKQVELAESLESLKEDSSTGSNSCWPRSCSYNHNPGR >ONH92899 pep chromosome:Prunus_persica_NCBIv2:G8:19061720:19065878:-1 gene:PRUPE_8G202100 transcript:ONH92899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIVGEVVAFQEDEKSRTFDFETSEDERRWSRGRSLKKKTTNASLRLTNSLRKRRKSVANCRYALISIEDVRDAEEEEAVITFREALVARDMLPAQYDDYHTMLRFLKARKFDIDKAVHMWAEMLNWRQEFGVESIVKDFVYSEYEEVQRFYPHGYHGVDKEGRPVYIERVGKLEPSKLMNVTTVDRFLKYHVQGFEKAFAEKFPACSIAAKRHIDSTTTILDVQGLNWVSFGKVAHDIVMRMQKIDGDNYPETLHQMFVVNAGNGFKLLWNTAKGFLDPRTTGKIHVLGNKFRSKLLEVIDSSQLPDFLGGTCSCPNEGGCLRSGKGPWNDPEIAKYLQLIHIGETMDLRKINGSSDGNDLGVKLIASKSKVTENEIISAPESGSEMMTSVSGFLQMPTSHSDKRRASDSASICSPVELGSAAGRTEVVNSSSTSNSLVNFTPGRPLKSFIPRGASLIIQFTLKILACIFLVFPRLWRIFAARHEENRLKSSCEPLLLANSSSQEQHISQGIEVDPLWQRLQQLEGLVTELINKPTKIPPEKEDMLHESLSRIKSIEYDLQKTKKALLATASKQVELAESLESLKEDSSTGSNSCWPRSCSYNHNPGR >ONH92898 pep chromosome:Prunus_persica_NCBIv2:G8:19060625:19066526:-1 gene:PRUPE_8G202100 transcript:ONH92898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIVGEVVAFQEDEKSRTFDFETSEDERRWSRGRSLKKKTTNASLRLTNSLRKRRKSVANCRYALISIEDVRDAEEEEAVITFREALVARDMLPAQYDDYHTMLRFLKARKFDIDKAVHMWAEMLNWRQEFGVESIVKDFVYSEYEEVQRFYPHGYHGVDKEGRPVYIERVGKLEPSKLMNVTTVDRFLKYHVQGFEKAFAEKFPACSIAAKRHIDSTTTILDVQGLNWVSFGKVAHDIVMRMQKIDGDNYPETLHQMFVVNAGNGFKLLWNTAKGFLDPRTTGKIHVLGNKFRSKLLEVIDSSQLPDFLGGTCSCPNEGGCLRSGKGPWNDPEIAKLIHIGETMDLRKINGSSDGNDLGVKLIASKSKVTENEIISAPESGSEMMTSVSGFLQMPTSHSDKRRASDSASICSPVELGSAAGRTEVVNSSSTSNSLVNFTPGRPLKSFIPRGASLIIQFTLKILACIFLVFPRLWRIFAARHEENRLKSSCEPLLLANSSSQEQHISQGIEVDPLWQRLQQLEGLVTELINKPTKIPPEKEDMLHESLSRIKSIEYDLQKTKKALLATASKQVELAESLESLKEDSSTGSNSCWPRSCSYNHNPGR >ONH92894 pep chromosome:Prunus_persica_NCBIv2:G8:19060625:19066526:-1 gene:PRUPE_8G202100 transcript:ONH92894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEVVAFQEDEKSRTFDFETSEDERRWSRGRSLKKKTTNASLRLTNSLRKRRKSVANCRYALISIEDVRDAEEEEAVITFREALVARDMLPAQYDDYHTMLRFLKARKFDIDKAVHMWAEMLNWRQEFGVESIVKDFVYSEYEEVQRFYPHGYHGVDKEGRPVYIERVGKLEPSKLMNVTTVDRFLKYHVQGFEKAFAEKFPACSIAAKRHIDSTTTILDVQGLNWVSFGKVAHDIVMRMQKIDGDNYPEMFVVNAGNGFKLLWNTAKGFLDPRTTGKIHVLGNKFRSKLLEVIDSSQLPDFLGGTCSCPNEGGCLRSGKGPWNDPEIAKLIHIGETMDLRKINGSSDGNDLGVKLIASKSKVTENEIISAPESGSEMMTSVSGFLQMPTSHSDKRRASDSASICSPVELGSAAGRTEVVNSSSTSNSLVNFTPGRPLKSFIPRGASLIIQFTLKILACIFLVFPRLWRIFAARHEENRLKSSCEPLLLANSSSQEQHISQGIEVDPLWQRLQQLEGLVTELINKPTKIPPEKEDMLHESLSRIKSIEYDLQKTKKALLATASKQVELAESLESLKEDSSTGSNSCWPRSCSYNHNPGR >ONH90397 pep chromosome:Prunus_persica_NCBIv2:G8:5671231:5674225:1 gene:PRUPE_8G051900 transcript:ONH90397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYPPSHLTVKVFLPSIFQTTPISSLSPSSLKLRNPAIKSETATRITNMTPFSFLLLIPCLFSAAAVTTISAANTISPGSTLSASNQNQFWSSPNATFSFGFLPSDPPTSPPSFIAAIFYSGGVPVWSAGDGAAVDSGGTLQFLSSGTLRLVNGSGTTLWDSNTASRGVSSAQLDDSGDLVLRNGTVSVWSSFENPTDSIVPSQNFTVGKVLRSGLYSFKLVKNGNLTLVWNNSITYWNEGLNSSVNTNLTSPSLGLQSIGILSISDLRLATAVIVAYSSDYAEAGDILRFLKLGSDGNLRIYSSTRGSGTIIERWAAVTDQCEVFGYCGDMGVCSYNNSNPVCGCMSQNFELVDSKDSRKGCKRKMEIEDCPQSVTMLDLVHTRFLTYPPETESQIFFVGISACRLNCLVNSACDASTSLSDGTGLCYYKTPGFLSGYHSPAMSSSSYIKVCGPVIPNPLSSLESAGKKKDWKLHAWIVVVAVVATLLGLMALEGGLWWWFCRNSPNFGGLSAQYALLEYASGAPVQFVFKELQRSTKGFKEKLGEGGFGAVYKGILANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDNFLFATAEQSGKLLNWESRFNIALGTARGITYLHEECRDCIVHCDIKPENILIDENFNAKVSDFGLAKLVNPKDHRYRTLTSVRGTRGYLAPEWLANLPITSKSDIYSYGMVLLEIVSGRRNFEVSEETNRKKFSLWAFEEFEKGNIKGIVDKRLVDQDVDMDQVTRAIQVTFWCIHEQPSHRPMMGKVVQMLEGITDIEKPPAPRAAIDVPTSGTDMNMSSNVSALSTAAASAPAPSSFSSFQISGVSSLTSGRNIEKATASLIHSDPN >ONH91347 pep chromosome:Prunus_persica_NCBIv2:G8:13870629:13871409:1 gene:PRUPE_8G108200 transcript:ONH91347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLWLGLTAMNFTSHVAVNSAAEVLRRETVADWRRNSGSRGLITTCLKRIKAAKTTIRMAPTERMAIAPHHLAIIFNHLAIIPRQLIRRGGLLAWA >ONH91121 pep chromosome:Prunus_persica_NCBIv2:G8:12725551:12727578:-1 gene:PRUPE_8G094500 transcript:ONH91121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSATRLALLSLATLSLALTLQLLPFPFPNPMAATKSKILFIGGTGYIGKFIVEASAKAGHPTYALVREPTLSNPAKAKVIENFKSLGVNFVLGDLYDHESLVKAIKQVDVVISTVGHAQLADQGTIIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKTAFATKAKIRRTIEAEGIPHTYVASNFFAGYFLPTLNQPGATAPPREKVVILGDGNPKAIFNKEEDIGAYTIKAVDDPRTLNKILYIRPPANTISFNDLVSLWEKKIGKTLERIYVPEEQLLKNIEEAAVPINVILSIGHSVFVKGDHTNFEVEPSFGVEASALYPDVKYTTVDEYLDQFV >ONH90799 pep chromosome:Prunus_persica_NCBIv2:G8:10931284:10936054:1 gene:PRUPE_8G074900 transcript:ONH90799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLADEIPVNNREAEEMDREASAEAPLREPHLYRNQFGGMVRKKAYIFDGFGKFYNKEWDLTEGRGNEFCWYHVELPKGNQKLSQSAQYLIGVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPPSSDFTFRIAARITENAVITVSLGRVPRLGFSPVGQSLLSEIPSVESPSYHRGEHKERSGIVIGEHVLEFLLTMNHSEEADNPVPKSVSNLVVHIVDTHVDHLQDVVTKLEIELDSVELQLDKGGFALKKQMLDDRRFPKIHLDLQRLLQVIAHGEQVFPRVKEKCSSKHWFSSEDIISLEELIGRLRRLKDNVGFIANRVTAIQAGLDSWQAEQINRKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTGQKDPTLKNGFRNVMLLCVAMLLLALLCFSFPSLYTRIATWHRTRAMKRSWSLNRKSFLRRTHGIGVQERRGYLRI >ONH90798 pep chromosome:Prunus_persica_NCBIv2:G8:10931332:10935970:1 gene:PRUPE_8G074900 transcript:ONH90798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLADEIPVNNREAEEMDREASAEAPLREPHLYRNQFGGMVRKKAYIFDGFGKFYNKEWDLTEGRGNEFCWYHVELPKGNQKLSQSAQYLIGVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPPSSDFTFRIAARITENAVITVSLGRVPRLGFSPVGQSLLSEIPSVESPSYHRGEHKERSGIVIGEHVLEFLLTMNHSEEADNPVPKSVSNLVVHIVDTHVDHLQDVVTKLEIELDSVELQLDKGGFALKKQMLDDRRFPKIHLDLQRLLQVIAHGEQVFPRVKEKCSSKHWFSSEDIISLEELIGRLRRLKDNVGFIANRVTAIQAGLDSWQAEQINRKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTGQKDPTLKNGFRNVMLLCVAMLLLALLCFSFPSLYTRIATWHRTRAMKRSWSLNRKSFLRRTHGIGVQERRGYLRI >ONH92451 pep chromosome:Prunus_persica_NCBIv2:G8:17865450:17869495:1 gene:PRUPE_8G176600 transcript:ONH92451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNFINLYTFAGVICSTYRLPLPRLLEPLSMVLVLTIGWIIFLSSFIPVHFLLKGHDKLRKNLERCLVELICSFFVASWTGVVKYHGPRPSMRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVTKKLRDHVLGVDNNPLLIFPEGTCVNNHYTVMFKKGAFELGCSVCPVAIKYNKIFVDAFWNSKKQSFTMHLLQLMTSWAVVCDVWYLEPQNIRPGESPIEFAERVRDIISTRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESMLRRLEEK >ONH92452 pep chromosome:Prunus_persica_NCBIv2:G8:17865193:17869495:1 gene:PRUPE_8G176600 transcript:ONH92452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLTIGWIIFLSSFIPVHFLLKGHDKLRKNLERCLVELICSFFVASWTGVVKYHGPRPSMRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVTKKLRDHVLGVDNNPLLIFPEGTCVNNHYTVMFKKGAFELGCSVCPVAIKYNKIFVDAFWNSKKQSFTMHLLQLMTSWAVVCDVWYLEPQNIRPGESPIEFAERVRDIISTRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESMLRRLEEK >ONH92450 pep chromosome:Prunus_persica_NCBIv2:G8:17865193:17869495:1 gene:PRUPE_8G176600 transcript:ONH92450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGKLNSSSSELDLDRPNIEDYLPSGASIQQEPHGKLRLRDLLDISPTLTEAAGAIIDDSFTRCFKSNPPEPWNWNVYLFPLWCFGVVVRYFILFPARVLVLTIGWIIFLSSFIPVHFLLKGHDKLRKNLERCLVELICSFFVASWTGVVKYHGPRPSMRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVTKKLRDHVLGVDNNPLLIFPEGTCVNNHYTVMFKKGAFELGCSVCPVAIKYNKIFVDAFWNSKKQSFTMHLLQLMTSWAVVCDVWYLEPQNIRPGESPIEFAERVRDIISTRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESMLRRLEEK >ONH90622 pep chromosome:Prunus_persica_NCBIv2:G8:9568903:9571289:-1 gene:PRUPE_8G064800 transcript:ONH90622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGGGGFRGSRGGGGGFRGGRGGGGRGGGGRGYRDEGPPSEVVEVSTFLHACEGDAVTKLTNAKKVPYFNAPIYLQNMTQIGKVDEIFGPINESLFSIKVMEGIVATSYSQGDKFYIDPAKLLPLERFLPQPKGQKPAFSRGGGRGGGRGGAFRGGGRGGGAFRGRGAPRGGRGPPRGGSRGGGFRGRGRF >ONH92276 pep chromosome:Prunus_persica_NCBIv2:G8:17262945:17263295:-1 gene:PRUPE_8G166500 transcript:ONH92276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYDSMDLLLADWCWGIVIIHVRIWGESVLLYSDFVRVLSGITSFRVETDNSCPINTGIYTFFHMSIYASFEKCMKMPDNMWNCYYQFYVHSEVPKGRCGPHADRSHVIGKWKLI >ONH93545 pep chromosome:Prunus_persica_NCBIv2:G8:20955842:20957155:-1 gene:PRUPE_8G237300 transcript:ONH93545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKRSRIVHSSSSGDTGLLPPRSAESSDSWLRVRTAFGNGAKALKPSPEAVQSPPSVLTMSSPEIVTMGREAQVDKFLDHCYFCRRRLPHNEDVFMCGPFRAFCSPECRDQQVDLDKIAEQPKQVPVQPVRTMYSMTSNVNGSKPTS >ONH90082 pep chromosome:Prunus_persica_NCBIv2:G8:3126747:3131639:1 gene:PRUPE_8G033800 transcript:ONH90082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIASPNHKTLTLNLNPNTTTLQALKFQIEQISNVPISHQRLFISQSLQLLTQRDSTLLADLGIKPYSTLTLHVPFFGGTLPHKNPKPRLDFLNSKPPEGYVAGLGRGASGFTTRSDIGPARSAPELPDRAIGQLEEAGEEKGYDENQQFDDFEGNDVGLLAPDAKLDEDDEKADAMWKAVDERMDSRRKDRREALLREEIEKYRASNPKITEQFASLKRNLHTLSAQEWESIPEIGDYSMRNKKRRFESFVPVLDTLLEKATQEKELVTAIDPTSTDLTAVRQGRDIVLSLKLDRISDSVLGLTVVDPKGYLTDLKSMSITSNTDVHDKNKARLLMTSLMKSNPKNPRVWISAARFEEVAGKMKAARKLIQEGCDECPKSEDVWLEACRLASPKDAKAVITKGVKFIPNSVNLWMEAAKLERDDLNKSRVLRKGLEHIPDSVRLWKAVVDLANEEDARSLLKRAVECCPLHIEFWLALTRLETYENAKKVLNKARENLSEEPAIWITAAKLEEANGNVSMVGKIIERGIRALQKTAVIIDREAWMKEAEAAERAGSIMTCQAIIRSAIGIGVEEEDRKRTWVSDAEDFMKKGSIETARAIYAHALTVFLTKKSIWRKAAQLEKSHGSRESLVALLRKAVTYCPQAENLWLFGAKEKWLSGDVPAARAILQEAYAAVPNSQEIWLAAFKLEFENHESERAKMLLAKARERGGNERVWMKSAIVERELGNIDEERKFLAEGLKRFPSFFKLWLMLGQLEERLDHLEKAKKAYHSGLKHCPNSLQLWLSLANLEEKMIGLSKARAVLTIARKKNPQNPELWLASVRAELRHGKKKESDILMAKALQECPNSGILWAAALEMVARPQRKAKSKDALEKCRHDPHVVAAVAKLLWHDRKLDKARNWLNMAGQSMEKNGNLFQRPWRTPINQLKLS >ONH90079 pep chromosome:Prunus_persica_NCBIv2:G8:3126747:3130997:1 gene:PRUPE_8G033800 transcript:ONH90079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIASPNHKTLTLNLNPNTTTLQALKFQIEQISNVPISHQRLFISQSLQLLTQRDSTLLADLGIKPYSTLTLHVPFFGGTLPHKNPKPRLDFLNSKPPEGYVAGLGRGASGFTTRSDIGPARSAPELPDRAIGQLEEAGEEKGYDENQQFDDFEGNDVGLLAPDAKLDEDDEKADAMWKAVDERMDSRRKDRREALLREEIEKYRASNPKITEQFASLKRNLHTLSAQEWESIPEIGDYSMRNKKRRFESFVPVLDTLLEKATQEKELVTAIDPTSTDLTAVRQGRDIVLSLKLDRISDSVLGLTVVDPKGYLTDLKSMSITSNTDVHDKNKARLLMTSLMKSNPKNPRVWISAARFEEVAGKMKAARKLIQEGCDECPKSEDVWLEACRLASPKDAKAVITKGVKFIPNSVNLWMEAAKLERDDLNKSRVLRKGLEHIPDSVRLWKAVVDLANEEDARSLLKRAVECCPLHIEFWLALTRLETYENAKKVLNKARENLSEEPAIWITAAKLEEANGNVSMVGKIIERGIRALQKTAVIIDREAWMKEAEAAERAGSIMTCQAIIRSAIGIGVEEEDRKRTWVSDAEDFMKKGSIETARAIYAHALTVFLTKKSIWRKAAQLEKSHGSRESLVALLRKAVTYCPQAENLWLFGAKEKWLSGDVPAARAILQEAYAAVPNSQEIWLAAFKLEFENHESERAKMLLAKARERGGNERVWMKSAIVERELGNIDEERKFLAEGLKRFPSFFKLWLMLGQLEERLDHLEKAKKAYHSGLKHCPNSLQLWLSLANLEEKMIGLSKARAVLTIARKKNPQNPELWLASVRAELRHGKKKESDILMAKALQECPNSGILWAAALEMVARPQRKAKSKDALEKCRHDPHVVAAVAKLLWHDRKLDKARNWLNMAVMFAPDIGDFWALYYKFELQHGTEENQKDVLNRCIAAGPKHGEKWQPISKAVENSHQPIEAILKKVVAAAENNKQ >ONH90080 pep chromosome:Prunus_persica_NCBIv2:G8:3126679:3131708:1 gene:PRUPE_8G033800 transcript:ONH90080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIASPNHKTLTLNLNPNTTTLQALKFQIEQISNVPISHQRLFISQSLQLLTQRDSTLLADLGIKPYSTLTLHVPFFGGTLPHKNPKPRLDFLNSKPPEGYVAGLGRGASGFTTRSDIGPARSAPELPDRAIGQLEEAGEEKGYDENQQFDDFEGNDVGLLAPDAKLDEDDEKADAMWKAVDERMDSRRKDRREALLREEIEKYRASNPKITEQFASLKRNLHTLSAQEWESIPEIGDYSMRNKKRRFESFVPVLDTLLEKATQEKELVTAIDPTSTDLTAVRQGRDIVLSLKLDRISDSVLGLTVVDPKGYLTDLKSMSITSNTDVHDKNKARLLMTSLMKSNPKNPRVWISAARFEEVAGKMKAARKLIQEGCDECPKSEDVWLEACRLASPKDAKAVITKGVKFIPNSVNLWMEAAKLERDDLNKSRVLRKGLEHIPDSVRLWKAVVDLANEEDARSLLKRAVECCPLHIEFWLALTRLETYENAKKVLNKARENLSEEPAIWITAAKLEEANGNVSMVGKIIERGIRALQKTAVIIDREAWMKEAEAAERAGSIMTCQAIIRSAIGIGVEEEDRKRTWVSDAEDFMKKGSIETARAIYAHALTVFLTKKSIWRKAAQLEKSHGSRESLVALLRKAVTYCPQAENLWLFGAKEKWLSGDVPAARAILQEAYAAVPNSQEIWLAAFKLEFENHESERAKMLLAKARERGGNERVWMKSAIVERELGNIDEERKFLAEGLKRFPSFFKLWLMLGQLEERLDHLEKAKKAYHSGLKHCPNSLQLWLSLANLEEKMIGLSKARAVLTIARKKNPQNPELWLASVRAELRHGKKKESDILMAKALQECPNSGILWAAALEMVARPQRKAKSKDALEKCRHDPHVVAAVAKLLWHDRKLDKARNWLNMAVMFAPDIGDFWALYYKFELQHGTEENQKDVLNRCIAAGPKHGEKWQPISKAVENSHQPIEAILKKVVAAAENNKQ >ONH90081 pep chromosome:Prunus_persica_NCBIv2:G8:3127156:3131705:1 gene:PRUPE_8G033800 transcript:ONH90081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIASPNHKTLTLNLNPNTTTLQALKFQIEQISNVPISHQRLFISQSLQLLTQRDSTLLADLGIKPYSTLTLHVPFFGGTLPHKNPKPRLDFLNSKPPEGYVAGLGRGASGFTTRSDIGPARSAPELPDRAIGQLEEAGEEKGYDENQQFDDFEGNDVGLLAPDAKLDEDDEKADAMWKAVDERMDSRRKDRREALLREEIEKYRASNPKITEQFASLKRNLHTLSAQEWESIPEIGDYSMRNKKRRFESFVPVLDTLLEKATQEKELVTAIDPTSTDLTAVRQGRDIVLSLKLDRISDSVLGLTVVDPKGYLTDLKSMSITSNTDVHDKNKARLLMTSLMKSNPKNPRVWISAARFEEVAGKMKAARKLIQEGCDECPKSEDVWLEACRLASPKDAKAVITKGVKFIPNSVNLWMEAAKLERDDLNKSRVLRKGLEHIPDSVRLWKAVVDLANEEDARSLLKRAVECCPLHIEFWLALTRLETYENAKKVLNKARENLSEEPAIWITAAKLEEANGNVSMVGKIIERGIRALQKTAVIIDREAWMKEAEAAERAGSIMTCQAIIRSAIGIGVEEEDRKRTWVSDAEDFMKKGSIETARAIYAHALTVFLTKKSIWRKAAQLEKSHGSRESLVALLRKAVTYCPQAENLWLFGAKEKWLSGDVPAARAILQEAYAAVPNSQEIWLAAFKLEFENHESERAKMLLAKARERGGNERVWMKSAIVERELGNIDEERKFLAEGLKRFPSFFKLWLMLGQLEERLDHLEKAKKAYHSGLKHCPNSLQLWLSLANLEEKMIGLSKARAVLTIARKKNPQNPELWLASVRAELRHGKKKESDILMAKALQECPNSGILWAAALEMVARPQRKAKSKDALEKCRHDPHVVAAVAKLLWHDRKLDKARNWLNMAVMFAPDIGDFWALYYKFELQHGTEENQKDVLNRCIAAGPKHGEKWQPISKAVENSHQPIEAILKKVVAAAENNKQ >ONH91705 pep chromosome:Prunus_persica_NCBIv2:G8:15407398:15414400:1 gene:PRUPE_8G131700 transcript:ONH91705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESADSSTAPQTKAPQAQPPAATDSGSDSQDVPNKPFSSGLSSWAKNLKIPQPLAPTQDSSTTGNAGQSPFARFTSGLGLRLSPKAPSADEGSSGSPTTQQPGFIGTITKGIADSSKSVVKAVQVKARHVVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFLGYVEGFYRNHMEEVIKFFETHHQGKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLITLFCRSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCIDGKGLVLPSQIRYVKYFERILTYFNGENPPGRRCMLRGFRLHRCPYWIRPSITVFDHNGVLFSTKEHPRTKGLSPEDYWFSAPKKGVMVFALPGELGLTELVGDFKIHFHDRQGDFYCWLNTTMTENRKVLTNNDLDGFDKRKLPSPGFQVEVVLVDYNGNVPTAPNTETSTKKPDESSGTNPSSDDGGTAAPQESKSPGNQDKDDVFSDSEAEESSSSKSRQGEATSAAAGGTVASTTTSSESNTKPDQIASLTHATKQVSIGNVDSKQVPAASEPKGSVGGGNVPGLEAPNSESEFKAMAADASVFTFGDDDDYESE >ONH92017 pep chromosome:Prunus_persica_NCBIv2:G8:16357198:16359790:1 gene:PRUPE_8G150100 transcript:ONH92017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFINLNSTELKLEASGTNEPAVSELDAAATMIQKFYRSYSTRRGLADCAVVAHELWWLDFAALPRSAWARTRLLPRSLFCLQTQKCFSKDQEAQKLALQYWLEAKDQEGYEVTVENGKLVHRQSGMLVDTVKGSKWMFLLSAPRALCVGQKGKGSFHDSSFLSGGAAVTTGRLVAHNGVLKAIWPYSGYYLATEDNFKEFISFLQEQHVDLNHVEMFATDDEKASIQIPDKLCLEPKMNKSMESNGEAPINDLSKCLSCKWATGVGPRIGWVREYPSELRLQALEQVRLSARPQPKPTVRVSKGYFGRVGLLTHWPLPRDFVTCF >ONH89694 pep chromosome:Prunus_persica_NCBIv2:G8:813732:817121:1 gene:PRUPE_8G010200 transcript:ONH89694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAPTALLVGKIMDILENEAYSIAAIRDEVDEIKQELVSMTSFLEDVEGQKTQTETQKAWVTSVRDLTSDVEDIIDEFMYHTYEQQSRGRFARWLHRTIHIPKNLFYRRKIANKLHKITKMIKAIPERNKRYALDDVVGTSWDDISKWVKNQAVSSLFINEDELVGIDGKKQTLTAWLLHEEQHLTVVSVVGMGGSGKTTLVAKTFTNETINRHFDSYAWITVSQTYVIEDLFRSLIKELHRTRKEDVPADLISMSYRDLLQLLVNYLESKRYLVVLDDVWDIKLWREIRIALPDRQLGSRIMLTTRKEDIASHCFGVESHVHCMQPLEKNDAWELFSRKSFSTFDGKCCPPELEKLAWELMEKCKGLPLAIIALGGLMSSKKSAAEWSKVYNGLNWHLTSHHLLEPVKSILLLSFNDLPYRLKHCFLYCSLFPEDYLIRRKRLIRLWIAEGFVEHARGVTPEQVAESYLMELIFRNMLQVVERNETGRPKSCKMHDLMRELALSTSEKEKFSVVYDGKEVLEDIGAHRLSIQTTQGGIKSCIGMSRPRSCLVFVTGIFSFSFSKSLPSGFKLLRVFDLEDVQIDKLPHNLVYLFNLRYLSLKGTQIKELPKAIGLLRNLLTLNILNTKIEVLPRGISKLLNLRLLIMHRRTGEYMAFKTAHGTRVPFNISKLKKLEVLSCVESEGNIIRLIGNMTQLTRIGITNVKERDAMDLCDSIQKLKLLQCLALRVSGEEEFLDVNALSSPPPHLRKLIFGSKLQKVPPWFSSLQNLTYLYLHWTRLDEDLLPHIEALPCLGRLLLVNAYVGNELCFNRGFPKLTILELFNFPLLNKITIAEGVMRNLRLLTLARCMELKALPQGFEYLSKLETLELLSVSMQLIESIQEGGVDHPTVKHITVITNYSLKCLIRAHHSSST >ONH90858 pep chromosome:Prunus_persica_NCBIv2:G8:11236303:11237013:1 gene:PRUPE_8G078100 transcript:ONH90858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLETHLYGDPSVVPGPVWTGPPPALVKLNCDGAWVAQTGRARGGLWGCWLRDGIGCFISAGGTKDMHCVSALMVAAKAVREALTRCLECGYDKAAVESDSLSLINMLNHEVAMDLEIEGILFDIQCLTKHYHKVEFMYALRKFNQAAHLMAAHVSRIGGSHSWDLVCLEWLFKCLAQDVNCSVRI >ONH89969 pep chromosome:Prunus_persica_NCBIv2:G8:2448174:2448973:1 gene:PRUPE_8G026900 transcript:ONH89969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKGRKVSRRGETVAANYAFGPLEDDLAKAFLQELSTFEIPLLKSKAVVDANLREKHNFDELREEINRQIVQAQTDIELLKKQLEESKIERRHKEECEAIRKMIATQPPRSETLKIISDLEKEIVALDAENTASSRMLELRKKQFALLLHVVDELQNTIEEEQKSLIEEKEHKHGIRAC >ONH89968 pep chromosome:Prunus_persica_NCBIv2:G8:2448184:2448973:1 gene:PRUPE_8G026900 transcript:ONH89968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKGRKVSRRGETVAANYAFGPLEDDVIIKHRLLTRNHNHKRRTPIEEAPEEVHIPLDKNEDNYGDCDKLAKAFLQELSTFEIPLLKSKAVVDANLREKHNFDELREEINRQIVQAQTDIELLKKQLEESKIERRHKEECEAIRKMIATQPPRSETLKIISDLEKEIVALDAENTASSRMLELRKKQFALLLHVVDELQNTIEEEQKSLIEEKEHKHGIRAC >ONH90981 pep chromosome:Prunus_persica_NCBIv2:G8:11963589:11974663:-1 gene:PRUPE_8G086800 transcript:ONH90981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPALVKMEDTNPKVLASKNSNSESRDSDPIQARFNDLCKNGLSLDDNAYTQAMKLFKETKHLLTSNALAIGNGTPEEAEQFWFAFVLYSVKTLSENNSQMSSDDNGFSLFQILRAAKMNIVDFFKELPQLVVKAGPVLSDLYGIDWENKLEAKELQANFEYLSLLCKYYKRVYREVFLTSDVNADKQSAVARGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVCFRKFNIHDSTHFVKGSKGVDLLASLCNIYDTSEDELRKTMEMANALIADILKKKPCSASECRNKNLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSGSFSGGSMNLSGVKRKFDSIASPTKPIASPLSPHHTPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSAKLEGFLALCDRDVTNDVVRRAQVILEVIFPSSALGERFVTGSLQSADLMDNIWAEQRRLEALKLYYRVLEAICRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLELLAEPMPSLDAIAMRITFSCGGLPLAPSLQKHENSPGQNGDIRSPKMEQNSFTSPVKDRFQALSNLKSNLPAPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIIKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLNLTFRQILYNYTKQPQYKPQVFRSVFVNWPSARRNGRPAQEHIEIIPFYNEIFIPSVKSLLVEVGPGPTTTRDSIVPEANNDNDAQCPGSPKVSSFPSLPDMSPKKVSAAHNVYVSPLRSFKMDALISHSTKSYYACVGECTVAYQSPSKDLTAINNRLNGTRKLRGTLNFDNVDVGLVSDSMVVNSLYLQNQNGSCASSSGASVKTEQQDS >ONH90978 pep chromosome:Prunus_persica_NCBIv2:G8:11963649:11974007:-1 gene:PRUPE_8G086800 transcript:ONH90978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPALVKMEDTNPKVLASKNSNSESRDSDPIQARFNDLCKNGLSLDDNAYTQAMKLFKETKHLLTSNALAIGNGTPEEAEQFWFAFVLYSVKTLSENNSQMSSDDNGFSLFQILRAAKMNIVDFFKELPQLVVKAGPVLSDLYGIDWENKLEAKELQANFEYLSLLCKYYKRVYREVFLTSDVNADKQSAVARGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVCFRKFNIHDSTHFVKGSKGVDLLASLCNIYDTSEDELRKTMEMANALIADILKKKPCSASECRNKNLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSGSFSGGSMNLSGVKRKFDSIASPTKPIASPLSPHHTPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSAKLEGFLALCDRDVTNDVVRRAQVILEVIFPSSALGERFVTGSLQSADLMDNIWAEQRRLEALKLYYRVLEAICRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLELLAEPMPSLDAIAMRITFSCGGLPLAPSLQKHENSPGQNGDIRSPKMEQNSFTSPVKDRFQALSNLKSNLPAPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIIKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLNLTFRQILYNYTKQPQYKPQVFRSVFVNWPSARRNGRPAQEHIEIIPFYNEIFIPSVKSLLVEVGPGPTTTRDSIVPEANNDNDAQCPGSPKVSSFPSLPDMSPKKVSAAHNVYVSPLRSFKMDALISHSTKSYYACVGECTVAYQSPSKDLTAINNRLNGTRKLRGTLNFDNVDVGLVSDSMVVNSLYLQNQNGSCASSSGASVKTEQQDS >ONH90980 pep chromosome:Prunus_persica_NCBIv2:G8:11963535:11974663:-1 gene:PRUPE_8G086800 transcript:ONH90980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPALVKMEDTNPKVLASKNSNSESRDSDPIQARFNDLCKNGLSLDDNAYTQAMKLFKETKHLLTSNALAIGNGTPEEAEQFWFAFVLYSVKTLSENNSQMSSDDNGFSLFQILRAAKMNIVDFFKELPQLVVKAGPVLSDLYGIDWENKLEAKELQANFEYLSLLCKYYKRVYREVFLTSDVNADKQSAVARGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVCFRKFNIHDSTHFVKGSKGVDLLASLCNIYDTSEDELRKTMEMANALIADILKKKPCSASECRNKNLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSGSFSGGSMNLSGVKRKFDSIASPTKPIASPLSPHHTPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSAKLEGFLALCDRDVTNDVVRRAQVILEVIFPSSALGERFVTGSLQSADLMDNIWAEQRRLEALKLYYRVLEAICRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLELLAEPMPSLDAIAMRITFSCGGLPLAPSLQKHENSPGQNGDIRSPKMEQNSFTSPVKDRFQALSNLKSNLPAPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIIKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLNLTFRQILYNYTKQPQYKPQVFRSVFVNWPSARRNGRPAQEHIEIIPFYNEIFIPSVKSLLVEVGPGPTTTRDSIVPEANNDNDAQCPGSPKVSSFPSLPDMSPKKVSAAHNVYVSPLRSFKMDALISHSTKSYYACVGECTVAYQSPSKDLTAINNRLNGTRKLRGTLNFDNVDVGLVSDSMVVNSLYLQNQNGSCASSSGASVKTEQQDS >ONH90979 pep chromosome:Prunus_persica_NCBIv2:G8:11964046:11973619:-1 gene:PRUPE_8G086800 transcript:ONH90979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPALVKMEDTNPKVLASKNSNSESRDSDPIQARFNDLCKNGLSLDDNAYTQAMKLFKETKHLLTSNALAIGNGTPEEAEQFWFAFVLYSVKTLSENNSQMSSDDNGFSLFQILRAAKMNIVDFFKELPQLVVKAGPVLSDLYGIDWENKLEAKELQANFEYLSLLCKYYKRVYREVFLTSDVNADKQSAVARGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVCFRKFNIHDSTHFVKGSKGVDLLASLCNIYDTSEDELRKTMEMANALIADILKKKPCSASECRNKNLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSGSFSGGSMNLSGVKRKFDSIASPTKPIASPLSPHHTPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSAKLEGFLALCDRDVTNDVVRRAQVILEVIFPSSALGERFVTGSLQSADLMDNIWAEQRRLEALKLYYRVLEAICRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLELLAEPMPSLDAIAMRITFSCGGLPLAPSLQKHENSPGQNGDIRSPKMEQNSFTSPVKDRFQALSNLKSNLPAPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIIKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLNLTFRQILYNYTKQPQYKPQVFRSVFVNWPSARRNGRPAQEHIEIIPFYNEIFIPSVKSLLVEVGPGPTTTRDSIVPEANNDNDAQCPGSPKVSSFPSLPDMSPKKVSAAHNVYVSPLRSFKMDALISHSTKSYYACVGECTVAYQSPSKDLTAINNRLNGTRKLRGTLNFDNVDVGLVSDSMVVNSLYLQNQNGSCASSSGASVKTEQQDS >ONH91161 pep chromosome:Prunus_persica_NCBIv2:G8:12860412:12861565:-1 gene:PRUPE_8G096400 transcript:ONH91161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCYYMILIITHSQNRIINDRETGRSRGFGFVTFSNEKAMRDAIEGMNGQNLDGRNITVNEAQSRGSGGGGGGGGYSRGGGGGGYGSGGGGYGGGGRREGGYGGGEGGGARYSRGSGGSEGGSWRS >ONH91158 pep chromosome:Prunus_persica_NCBIv2:G8:12860412:12861565:-1 gene:PRUPE_8G096400 transcript:ONH91158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEIEFRCFVGGLAWATDNDALERAFSPFGEIIESKIINDRETGRSRGFGFVTFSNEKAMRDAIEGMNGQNLDGRNITVNEAQSRGSGGGGGGGGRREGGGGGYSRGGGGGYGGGGRREGGYGGGEGGGARYSRGSGGSEGGSWRS >ONH91157 pep chromosome:Prunus_persica_NCBIv2:G8:12860694:12861511:-1 gene:PRUPE_8G096400 transcript:ONH91157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEIEFRCFVGGLAWATDNDALERAFSPFGEIIESKIINDRETGRSRGFGFVTFSNEKAMRDAIEGMNGQNLDGRNITVNEAQSRGSGGGGGGGGGGYSRGGGGGGYGSGGGGYGGGGRREGGYGGGEGGGARYSRGSGGSEGGSWRS >ONH91160 pep chromosome:Prunus_persica_NCBIv2:G8:12860412:12861587:-1 gene:PRUPE_8G096400 transcript:ONH91160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEIEFRCFVGGLAWATDNDALERAFSPFGEIIESKIINDRETGRSRGFGFVTFSNEKAMRDAIEGMNGQNLDGRNITVNEAQSRGSGGGGGGNGGGYSRGGGGGGYGGGYGGGGRREGGGGGYSRGGGGGGYGSGGGGYGGGGRREGGYGGGEGGGARYSRGSGGSEGGSWRS >ONH91156 pep chromosome:Prunus_persica_NCBIv2:G8:12860412:12861565:-1 gene:PRUPE_8G096400 transcript:ONH91156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEIEFRCFVGGLAWATDNDALERAFSPFGEIIESKIINDRETGRSRGFGFVTFSNEKAMRDAIEGMNGQNLDGRNITVNEAQSRGSGGGGGGGGYSRGGGGGGYGSGGGGYGGGGRREGGYGGGEGGGARYSRGSGGSEGGSWRS >ONH91162 pep chromosome:Prunus_persica_NCBIv2:G8:12860412:12861566:-1 gene:PRUPE_8G096400 transcript:ONH91162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCYYMILIITHSQNRIINDRETGRSRGFGFVTFSNEKAMRDAIEGMNGQNLDGRNITVNEAQSRGSGGGGGGNGGGYSRGGGGGGYGGGYGGGGRREGGGGGYSRGGGGGGYGSGGGGYGGGGRREGGYGGGEGGGARYSRGSGGSEGGSWRS >ONH91159 pep chromosome:Prunus_persica_NCBIv2:G8:12860412:12861565:-1 gene:PRUPE_8G096400 transcript:ONH91159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEIEFRCFVGGLAWATDNDALERAFSPFGEIIESKIINDRETGRSRGFGFVTFSNEKAMRDAIEGMNGQNLDGRNITVNEAQSRGSGGGGGGNGGGYSRGGGGGGYGSGGGGYGGGGRREGGYGGGEGGGARYSRGSGGSEGGSWRS >ONH92791 pep chromosome:Prunus_persica_NCBIv2:G8:18789649:18792886:1 gene:PRUPE_8G196100 transcript:ONH92791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDNMRKIGFWLSYPCYSSLLMSLASLDLGFLSFLVYKRMVDNGFLLGVIDYRTIINALCKNGFVQSAEMFLCMVLKLGFQLDTHICTSLVLGNCRECNLREASRVFDIMSKGGSCGPNSVTYSILIHGYCQIGKLDEAFHLKKEMSEKGCQPTTRTYTVLIKALCDIGSTDKALGLLDEMVSKGCKPNVHTYTILIDRLCREGKIEEANAMFRKMLKGGLFPGTVTYNALINGYCKEGRVIPAFELLGVMEKRQCKPNIRTYNELMEGLCKVYKTYKAMFLLKRVVDNGLLPNRVTYNILIDGFCREGQLGLAFETFKSMSSCGLEPDCFSFTALIDGFCKQGRPGHAISILGSMVKKGISPDEVTMTALIDGYCKIGEIGNASMLFGNLVEKRTLTTAHTFNCFLDVLSKDDKVLATQAMLGKMLKYGSVPSVVTYTILVNALCQTGEITCALKMLDLMRQTSCPPNVYTYTVVINGLCQNGRVEEAEILLFSMSDFGIPPNHITYTVLIKALVNVGRLDHAYEILRVMVQKGYQPSTRIYSALLAGSVLSSEAKEEARSVSSSNFVDAGTLPSRDTNDNCISRHVFRNMEIEHAFRLEEKITRCGGSATDLYNFVVMGLCREARVAEADQITKDLLKRGLLPEKAVCALINSYCKERQYDHCLDFMKTILNHGFVPSVSSYCSVIQGLDSEGRAEQGEELFSDLLRHNDIKEKAAVLPYLEILVKKEEPEHCLDILKLIEQMGCRERPII >ONH92790 pep chromosome:Prunus_persica_NCBIv2:G8:18789649:18792886:1 gene:PRUPE_8G196100 transcript:ONH92790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRTNKVLNVLLRAPYLFELPPSLSSVSYQSSRSQAIPESLDDTTSRVSAFLKQPNWERNNLLKSLVSHMAPYAATKVLQLHGNDIELGVRFFKWVCKHSTYCYDFDNRILLLNLMVSSSNLYVIAQKAIILLIKEFSNSEPEILKLMEALDNMRKIGFWLSYPCYSSLLMSLASLDLGFLSFLVYKRMVDNGFLLGVIDYRTIINALCKNGFVQSAEMFLCMVLKLGFQLDTHICTSLVLGNCRECNLREASRVFDIMSKGGSCGPNSVTYSILIHGYCQIGKLDEAFHLKKEMSEKGCQPTTRTYTVLIKALCDIGSTDKALGLLDEMVSKGCKPNVHTYTILIDRLCREGKIEEANAMFRKMLKGGLFPGTVTYNALINGYCKEGRVIPAFELLGVMEKRQCKPNIRTYNELMEGLCKVYKTYKAMFLLKRVVDNGLLPNRVTYNILIDGFCREGQLGLAFETFKSMSSCGLEPDCFSFTALIDGFCKQGRPGHAISILGSMVKKGISPDEVTMTALIDGYCKIGEIGNASMLFGNLVEKRTLTTAHTFNCFLDVLSKDDKVLATQAMLGKMLKYGSVPSVVTYTILVNALCQTGEITCALKMLDLMRQTSCPPNVYTYTVVINGLCQNGRVEEAEILLFSMSDFGIPPNHITYTVLIKALVNVGRLDHAYEILRVMVQKGYQPSTRIYSALLAGSVLSSEAKEEARSVSSSNFVDAGTLPSRDTNDNCISRHVFRNMEIEHAFRLEEKITRCGGSATDLYNFVVMGLCREARVAEADQITKDLLKRGLLPEKAVCALINSYCKERQYDHCLDFMKTILNHGFVPSVSSYCSVIQGLDSEGRAEQGEELFSDLLRHNDIKEKAAVLPYLEILVKKEEPEHCLDILKLIEQMGCRERPII >ONH89668 pep chromosome:Prunus_persica_NCBIv2:G8:620930:623325:1 gene:PRUPE_8G008100 transcript:ONH89668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLQCTSISSAVSTYLFSFPFYIIIALATSIGAYFFIHLCDPKNWKDSPPGPVGWPILGSLPHLLNNRLHEDLFHLSRIHGPFFSLKLGLKPRVLILSPKMARKTLKQQEYVFSSRTIMEAIQCKLESIRRILMEQIFSAKAFEAFEPVHKQQVHGLLKQLYLALMMKNSVNIAELGFVASGKIVSNTVCSKNLFDNTKNKGRELKHTFWELMQILGSVNVTDLIPVFKPFDLQGLKRRILKIFWRLYAFYENIIKERLEERKIRIGNIGKEKLDLLDVLLDYRSDRDDELKSLSRKNIKGMLAEMFTGTETTSSTFEWGMAEIQRKPDAYKKIVMELDQVVGKDRFVEESDISNLPYLQAAVKEVFWLHPAVPLLVPHSTNEACEVSGCIVLGLARDPSVWEDPCESKPERFLGSSIDVKGHEFNLIPFGSGKRSCIGLPLVHRSGHVYLAALLHAFEWEFPADILDIVEERMGLVIQKTYSQLIVGILCWPSLHDQIQLNLKAGCSNQFALRLRTTRKTTAFADSTMECCSSSFLRYKSKSSSTISSPICRLKSPSRIALI >ONH90983 pep chromosome:Prunus_persica_NCBIv2:G8:11991804:11999314:-1 gene:PRUPE_8G087000 transcript:ONH90983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGTLMKHPDDIYPLLKLKMAAKNLEKQIPPEPHWAFCYSMLHKVSRSFALVIQQLGTELRNAVCIFYLVLRALDTVEDDTSIAADVKVPILLAFHRHIYDPDWHFSCGTKDYKVLMDQFHHVSTAFLELGKGYQEAIEDITKRMGAGMAKFICKEVETIDDYDEYCHYVAGLVGLGLSKLFHAADKEDLASDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVNKLEDLKYEENSDKAVQCLNDMVTNALIHMEDCLKYMAALRDPAIFKFCAIPQIMAIGTLALCYNNIELFRGVVKMRRGLTAKVIDRTKSMDDVYGAFFDFSSILKSKVDKNDPNATKTLSRLEAVQKTCRASGALSKRKSYIVRGEPSHNSTLIVALFIILAIVYAYLSASPRI >ONH90984 pep chromosome:Prunus_persica_NCBIv2:G8:11991950:11999203:-1 gene:PRUPE_8G087000 transcript:ONH90984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGTLMKHPDDIYPLLKLKMAAKNLEKQIPPEPHWAFCYSMLHKVSRSFALVIQQLGTELRNAVCIFYLVLRALDTVEDDTSIAADVKVPILLAFHRHIYDPDWHFSCGTKDYKVLMDQFHHVSTAFLELGKGYQEAIEDITKRMGAGMAKFICKEVETIDDYDEYCHYVAGLVGLGLSKLFHAADKEDLASDSLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVNKLEDLKYEENSDKAVQCLNDMVTNALIHMEDCLKYMAALRDPAIFKFCAIPQIMAIGTLALCYNNIELFRGVVKMRRGLTAKVIDRTKSMDDVYGAFFDFSSILKSKVDKNDPNATKTLSRLEAVQKTCRASGALSKRKSYIVRGEPSHNSTLIVALFIILAIVYAYLSASPRI >ONH89872 pep chromosome:Prunus_persica_NCBIv2:G8:1909420:1910903:-1 gene:PRUPE_8G021100 transcript:ONH89872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKTAEQLFNSEHAKLLQSAQTWIKETAQSCLTVAALVATVVYAAAYTAPRGNDSNGVPVLRHSSFFFTFAVADTVSLISSLASLVTFLSILTSPLEYQDFYRSLPFKLHLGFTLLFFSLITTMLTFIATTLLLIHPQKKWTTPLVALGETVALRTRHFQVYQAVETLQQILEGTNIWSTMTYQRRHG >ONH89974 pep chromosome:Prunus_persica_NCBIv2:G8:2477578:2479135:1 gene:PRUPE_8G027200 transcript:ONH89974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKGRKVSGRGEAVAANYAFGPLEDDVIIKHRLLTRTTTTRGEPPLKKLQKKFTSLFVELDKNEDNYGDCDKLAKAFLQELSTFEIPLLKSKAVVDANLREKHNFDELRERR >ONH89972 pep chromosome:Prunus_persica_NCBIv2:G8:2477578:2479135:1 gene:PRUPE_8G027200 transcript:ONH89972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKGRKVSGRGEAVAANYAFGPLEDDVIIKHRLLTRTTTTRGEPPLKKLQKKFTSLFVELDKNEDNYGDCDKLAKAFLQELSTFEIPLLKSKALRNCLETLKIISDLEKEIAALDAENTASSRMLELRKKQFALLLYVVDELQNTIEEEQKSLVD >ONH89973 pep chromosome:Prunus_persica_NCBIv2:G8:2477956:2478297:1 gene:PRUPE_8G027200 transcript:ONH89973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKGRKVSGRGEAVAANYAFGPLEDDVIIKHRLLTRTTTTRGEPPLKKLQKKFTSLFVELDKNEDNYGDCDKLAKAFLQELSTFEIPLLKSKAVVDANLREKHNFDELRERR >ONH89534 pep chromosome:Prunus_persica_NCBIv2:G8:103577:105107:-1 gene:PRUPE_8G000900 transcript:ONH89534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQEWKIIREKLVVKQVFARGGFGTVHKGIYDGQEVAVKMLEWREDGRTKAEIASLKKDFRQEVSIWHKLDHPNITKCIGATTDNDSASVITEYLSGGTLKAFIIQHQKKKLPLKTVKQLAFDLAKGLSYLHSKNIVHRDVKTENVLLDKDGRVKIADFGVARLEASNLVEMTGYTGTPGYMAPEVLESKPYNRKCDVYSFGICLWEMYCCDMPYPNITFSELTSAVVYKNLRPEIPKHCPSSLAKVMKQCWDVEPKRRPEMEEVVSMLEAINTSNSEASIHSHAPWGCFSFFGSRL >ONH89769 pep chromosome:Prunus_persica_NCBIv2:G8:1323611:1324557:1 gene:PRUPE_8G015300 transcript:ONH89769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEILIKHFPEAKTVIFEDDFPFSEYILSAKKSFKFMDELDRIEISTKEVIESKFGSDVENHLGMLCSLIPEFIHEEKFGVDSVMLIQKGNMGKRL >ONH89770 pep chromosome:Prunus_persica_NCBIv2:G8:1323611:1324319:1 gene:PRUPE_8G015300 transcript:ONH89770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEILIKHFPEAKTVIFEDDFPFSEYILSAKKSFKFMDELDRIEISTKEVIESKFGSDVENHLGMLCSLIPEFIHEEKFGVDSVML >ONH92667 pep chromosome:Prunus_persica_NCBIv2:G8:18455688:18458506:-1 gene:PRUPE_8G188100 transcript:ONH92667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLNVSDVNLQVQLHPSRSNNVRKAVLRELSSLLLRFNEKFQGVVLAYEFNIDKVPDILPSMHPYLYVRLKAKLLLFSPKPDMLLEGKVVKVRQESIHVIILGFSSAIITDKDIREEFQYKTKHGKDLFVSRSHKRHVIKAGTMIRLLVKSVDEETLCISGSLLPAHTGSILWLDKHVENVSLTDGSNKRRRGNEEESVMQEPSRTSAEAYSIVDHYIKKSKKHRESATQKPSRSEAYSAISDHSIKKSKKHRESAMQEPSRSEAYSAISDNSIKKSKKHKTREES >ONH92668 pep chromosome:Prunus_persica_NCBIv2:G8:18455822:18458470:-1 gene:PRUPE_8G188100 transcript:ONH92668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLNVSDVNLQVQLHPSRSNNVRKAVLRELSSLLLRFNEKFQGVVLAYEFNIDKVPDILPSMHPYLYVRLKAKLLLFSPKPDMLLEGKVVKVRQESIHVIILGFSSAIITDKDIREEFQYKTKHGKDLFVSRSHKRHVIKAGTMIRLLVKSVDEETLCISGSLLPAHTGSILWLDKHVENVSLTDGICRSNKRRRGNEEESVMQEPSRTSAEAYSIVDHYIKKSKKHRESATQKPSRSEAYSAISDHSIKKSKKHRESAMQEPSRSEAYSAISDNSIKKSKKHKTREES >ONH92666 pep chromosome:Prunus_persica_NCBIv2:G8:18455681:18458488:-1 gene:PRUPE_8G188100 transcript:ONH92666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLNVSDVNLQVQLHPSRSNNVRKAVLRELSSLLLRFNEKFQGVVLAYEFNIDKVPDILPKGKVVKVRQESIHVIILGFSSAIITDKDIREEFQYKTKHGKDLFVSRSHKRHVIKAGTMIRLLVKSVDEETLCISGSLLPAHTGSILWLDKHVENVSLTDGSNKRRRGNEEESVMQEPSRTSAEAYSIVDHYIKKSKKHRESATQKPSRSEAYSAISDHSIKKSKKHRESAMQEPSRSEAYSAISDNSIKKSKKHKTREES >ONH93595 pep chromosome:Prunus_persica_NCBIv2:G8:21122853:21125569:-1 gene:PRUPE_8G241200 transcript:ONH93595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPNASRKDGTVHTPKATQPLSETEEPQPEGPNPSSEQMDQQHENASPLLEKTNSMHMMQEKSTKSSRSKKRPKQLNSVVRRSLRIRNCDMPVQNQNIDPVIENISDSEMEEGQPLLEEQPTLGEKNMEEKIDYLIQLVETQNSKAKKRSPVFSKSPEIGCKSLYINSQMKIEALKNENHELSLKLEVALGKLEGYEKGTCAFSGVMDKMKDVILVSALSKATERVVNVSSQAIGDDALSPQEVQDRKSIAKRKK >ONH89723 pep chromosome:Prunus_persica_NCBIv2:G8:1004750:1007526:1 gene:PRUPE_8G012300 transcript:ONH89723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMTPSHVVIAYDATRDRGELELKLPVDSLRMRGDILHSGDTIIFLGVLHRVVHPMGYQSKPSPEFFGTSIRAVEEEVTKKVDVYVNMLLQSAEKCEDQGVSVEVKVTAGFPIKQVILQEIMACNAAWVVLDRHLRRDLRFFLKQIPCKVAIIQDSLAVDVVRPRTADDTDTIEHKLFYSLSKPVPPFTCPGNGNNEHSSIACRSYSVSVGALESSDMLNNNLMPSSTFKLRDYSPLLDLASSSKQENSGPKLVIVLYAYYNALQTQDIHYAYYNALQTQDIYCPF >ONH93798 pep chromosome:Prunus_persica_NCBIv2:G8:21678562:21680346:-1 gene:PRUPE_8G253200 transcript:ONH93798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGPGGPGGPGGGGGGPGGGGWGGPGPGGPGGWGGPGPGGPGGWGGPGPGGPGGWGPGPGGPWGGGGFWGGPGFFGGFGDGLCNLVSSCFYCLCCCGLLQECFGGPRGGYGPPGPPPF >ONH93797 pep chromosome:Prunus_persica_NCBIv2:G8:21678521:21680346:-1 gene:PRUPE_8G253200 transcript:ONH93797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGPGGPGGPGGGGGGPGGGGWGGPGPGGPGGWGGPGPGGPGGWGGPGPGGPGGWGPGPGGPWGGGGFWGGPGFFGGFGDGLCNLVSSCFYCLCCCGLLQECFGGPRGGYGPPGPPPF >ONH89736 pep chromosome:Prunus_persica_NCBIv2:G8:1069459:1071703:-1 gene:PRUPE_8G012900 transcript:ONH89736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGNNLQTAKAIALECGILADDKDATEPTLIEANVFRELSDREREDYAEKILVMGRSSPNDKLLLVQALRRRGHSVAVTGDGTNDAPALLEADIGLAMGIQGTEVAKESSDIVILDDDFASVVKKFIQFQLTVNVTALIINAVAASFSNDIPLNAVQLLWVNLIMDTLGAQALATEPPNDHLMDRPPVGRKDPLITNIMWRNLLLQAFYQVSILLVLNFQGINILHLNHDPNIDYAHKLKNTLTFNTFVLCQFIIVEFLGRFTSTVKLEWNHWLISFVVAFISWPLAVVGKLIFLIKALAKPIFVSL >ONH89783 pep chromosome:Prunus_persica_NCBIv2:G8:1519723:1522318:1 gene:PRUPE_8G016200 transcript:ONH89783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRPAELSATSYRNPKLYSPKGSNDSSTLSTQTFSSDKSKTLYVADPYSAESYEYFLDSPVEGIANPSSSGISGNSTNPQDYFESQSPDADSFDEAKMRLKLQELERALLEDNDDENDEEINCSSQSMEVDGEWTDPIQNELLHDSPKESSSSESNVSSISSNKEISQASPRTPKQLLYNCAGALSEGNIKGASTMISELRQMVSIQGDPAQRIAAYMVEGLAARVASSGKFLYRSLKCKEPPSSYRLAAMQVLFEVCPCFKFGFMAANGAIIEACKDEKKVHIIDFDINQGNQYITLIQTLAKRLGKPPHLRLTGVDDPESVQRPVGGLNIIGQRLEKLAEALKVPFEFQAVASRTSIVNTSMLDCRPGEALLVNFAFQLHHMPDESVSTVNQRDQLLRMVKSLRPKLVTVVEQDVNTNTTPFFPRFIEAYSYYSAVFDSLDAALPRESQDRINVERQCLARDIVNIVACEGEERIERYEVAGKWRARMTMAGFTSCPMSTSVTDSIRELIRQYCDRYKVKEEAGALHFGWENKSLIVASAWR >ONH89785 pep chromosome:Prunus_persica_NCBIv2:G8:1519082:1522349:1 gene:PRUPE_8G016200 transcript:ONH89785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRPAELSATSYRNPKLYSPKGSNDSSTLSTQTFSSDKSKTLYVADPYSAESYEYFLDSPVEGIANPSSSGISGNSTNPQDYFESQSPDADSFDEAKMRLKLQELERALLEDNDDENDEEINCSSQSMEVDGEWTDPIQNELLHDSPKESSSSESNVSSISSNKEISQASPRTPKQLLYNCAGALSEGNIKGASTMISELRQMVSIQGDPAQRIAAYMVEGLAARVASSGKFLYRSLKCKEPPSSYRLAAMQVLFEVCPCFKFGFMAANGAIIEACKDEKKVHIIDFDINQGNQYITLIQTLAKRLGKPPHLRLTGVDDPESVQRPVGGLNIIGQRLEKLAEALKVPFEFQAVASRTSIVNTSMLDCRPGEALLVNFAFQLHHMPDESVSTVNQRDQLLRMVKSLRPKLVTVVEQDVNTNTTPFFPRFIEAYSYYSAVFDSLDAALPRESQDRINVERQCLARDIVNIVACEGEERIERYEVAGKWRARMTMAGFTSCPMSTSVTDSIRELIRQYCDRYKVKEEAGALHFGWENKSLIVASAWR >ONH89782 pep chromosome:Prunus_persica_NCBIv2:G8:1519578:1522426:1 gene:PRUPE_8G016200 transcript:ONH89782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRPAELSATSYRNPKLYSPKGSNDSSTLSTQTFSSDKSKTLYVADPYSAESYEYFLDSPVEGIANPSSSGISGNSTNPQDYFESQSPDADSFDEAKMRLKLQELERALLEDNDDENDEEINCSSQSMEVDGEWTDPIQNELLHDSPKESSSSESNVSSISSNKEISQASPRTPKQLLYNCAGALSEGNIKGASTMISELRQMVSIQGDPAQRIAAYMVEGLAARVASSGKFLYRSLKCKEPPSSYRLAAMQVLFEVCPCFKFGFMAANGAIIEACKDEKKVHIIDFDINQGNQYITLIQTLAKRLGKPPHLRLTGVDDPESVQRPVGGLNIIGQRLEKLAEALKVPFEFQAVASRTSIVNTSMLDCRPGEALLVNFAFQLHHMPDESVSTVNQRDQLLRMVKSLRPKLVTVVEQDVNTNTTPFFPRFIEAYSYYSAVFDSLDAALPRESQDRINVERQCLARDIVNIVACEGEERIERYEVAGKWRARMTMAGFTSCPMSTSVTDSIRELIRQYCDRYKVKEEAGALHFGWENKSLIVASAWR >ONH89784 pep chromosome:Prunus_persica_NCBIv2:G8:1518507:1522318:1 gene:PRUPE_8G016200 transcript:ONH89784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRPAELSATSYRNPKLYSPKGSNDSSTLSTQTFSSDKSKTLYVADPYSAESYEYFLDSPVEGIANPSSSGISGNSTNPQDYFESQSPDADSFDEAKMRLKLQELERALLEDNDDENDEEINCSSQSMEVDGEWTDPIQNELLHDSPKESSSSESNVSSISSNKEISQASPRTPKQLLYNCAGALSEGNIKGASTMISELRQMVSIQGDPAQRIAAYMVEGLAARVASSGKFLYRSLKCKEPPSSYRLAAMQVLFEVCPCFKFGFMAANGAIIEACKDEKKVHIIDFDINQGNQYITLIQTLAKRLGKPPHLRLTGVDDPESVQRPVGGLNIIGQRLEKLAEALKVPFEFQAVASRTSIVNTSMLDCRPGEALLVNFAFQLHHMPDESVSTVNQRDQLLRMVKSLRPKLVTVVEQDVNTNTTPFFPRFIEAYSYYSAVFDSLDAALPRESQDRINVERQCLARDIVNIVACEGEERIERYEVAGKWRARMTMAGFTSCPMSTSVTDSIRELIRQYCDRYKVKEEAGALHFGWENKSLIVASAWR >ONH90644 pep chromosome:Prunus_persica_NCBIv2:G8:9821254:9824282:1 gene:PRUPE_8G066400 transcript:ONH90644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHHRKLLTEPKNFSTVCQSFCDSEKNDSGFCLPSCLTLCPNICHHSIISGYDETKKSNNSYTFVAVGSSLIVATFLLVGLYVFYVKYYSTRRRSQPQQQPLSEETHDDYIDEDHGPVLDHPIWYINTIGLQQSIISSITVCQYKRGNGLVEGTECSVCLSEFQEDETLRLLPKCNHAFHVPCIDTWLRSHTNCPMCRAPIVKPLATPAPEPNLAVSSIPEEETQVEISENIGDSSEEMGEEICEIRIETEENREVIGKERDEIPIEEVNGLVEPRRSVSMDCLSASKISLELSNLPAVESERNSNTKLVAVNKLNREIVPRKTGGNQKLQRQKGSSSKGRSLQNVPLTMKRSSSCNGKVLFCRDVQNSESVSQLRSF >ONH90643 pep chromosome:Prunus_persica_NCBIv2:G8:9821247:9824355:1 gene:PRUPE_8G066400 transcript:ONH90643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHHRKLLTEPKNFSTVCQSFCDSEKNDSGFCLPSCLTLCPNICHHSIISGYDETKKSNNSYTFVAVGSSLIVATFLLVGLYVFYVKYYSTRRRSQPQQQPLSEETHDDYIDEDHGPVLDHPIWYINTIGLQQSIISSITVCQYKRGNGLVEGTECSVCLSEFQEDETLRLLPKCNHAFHVPCIDTWLRSHTNCPMCRAPIVKPLATPAPEPNLAVSSIPEEETQVEISENIGDSSEEMGEEICEIRIETEENREVIGKERDEIPIEEVNGLVEPRRSVSMDCLSASKISLELSNLPAVESERNSNTKLVAVNKLNREIVPRKTGGNQKLQRQKGSSSKGRSLQNVPLTMKRSSSCNGKVLFCRDVQNSESVSQLRSF >ONH93606 pep chromosome:Prunus_persica_NCBIv2:G8:21172879:21177984:1 gene:PRUPE_8G242000 transcript:ONH93606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQVEDVTVYFPYDHIYPEQYAYMLELKRALDAKGHCLLEMPTGTGKTIALLSLITSYTLSKPQNPVKLIYCTRTVHEMEKTLAELKVLHNYQVKHLGPQAQILAVGLSSRKNLCVNPTVLAAENRDSVDAACRKLTASWVRALAAENPNVPTCEFLEQYEKAGSGAVLPPGVYTLQDLRAYGKQRGWCPYFLARHMVQFSNVVVYSYQYMLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTLEGARRNLSKMQHEIERFKATDADRLRKEYNRLVEGLAQGGNLPLTDTWLQNPALPEDILKEAVPGNIRKADHFVHVLRRLVQYLEGRLETENVEKEGPVGFVASISTHAGIDQKTLKFCYDRLHSLMMTLEITDTDEFLHIQTICDFATLVGTYTRGFSIIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDLGVVRNYGRLLLEMVSVVPDGVVCFFVSYSYMDGIVNSWNENGILKEIMQHKLVFIETQDVVETTLALDNYRKACDCGRGAVFLSVARGKVAEGIDFDRHYGRLVIMFGIPFQYTLSKILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMALHIAREFLRKMAQPYDKAGSVSGRKTLLSQEDLENMGESIADEMLR >ONH93607 pep chromosome:Prunus_persica_NCBIv2:G8:21173009:21176804:1 gene:PRUPE_8G242000 transcript:ONH93607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQVEDVTVYFPYDHIYPEQYAYMLELKRALDAKGHCLLEMPTGTGKTIALLSLITSYTLSKPQNPVKLIYCTRTVHEMEKTLAELKVLHNYQVKHLGPQAQILAVGLSSRKNLCVNPTVLAAENRDSVDAACRKLTASWVRALAAENPNVPTCEFLEQYEKAGSGAVLPPGVYTLQDLRAYGKQRGWCPYFLARHMVQFSNVVVYSYQYMLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTLEGARRNLSKMQHEIERFKATDADRLRKEYNRLVEGLAQGGNLPLTDTWLQNPALPEDILKEAVPGNIRKADHFVHVLRRLVQYLEGRLETENVEKEGPVGFVASISTHAGIDQKTLKFCYDRLHSLMMTLEITDTDEFLHIQTICDFATLVGTYTRGFSIIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDLGVVRNYGRLLLEMVSVVPDGVVCFFVSYSYMDGIVNSWNENGILKEIMQHKLVFIETQDVVETTLALDNYRKACDCGRGAVFLSVARGKVAEGIDFDRHYGRLVIMFGIPFQYTLSKILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRFVLICSFFINRVPNS >ONH92724 pep chromosome:Prunus_persica_NCBIv2:G8:18606224:18608614:-1 gene:PRUPE_8G191700 transcript:ONH92724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNLPSSFTFALILCVSALSLIPHTTSLSSSFQFPPTQSTILPDPSSFFSANLLSTPLPTNSFFQNFALNNGDKPEYFHPYSINSSSYSLSISYPSLSSTSAFISQTFVPDLTISASQTSANLATANNSGRVISAFTDLSVTLDFPSSNLRFFLARGSPYVTCNVSSPTAVSVSTIHAILESYSSNSKTKFTFQLNNNQTWVMYTSSPANLIRSSPSTLTFDGYSGNIRIALVPGSDPKYVAILDRFSSAYPISGEAVFTKPFTLEYKWEKYGLGNLLMLAHPLHLHLLSNATVLEDFKYKGIDGDLVGIVGDLWELKSHNISVTWHSIGGVKQASHPEIVYALRRDVKALSSTPITTESSYFYGKLVARAARLAVIAEEVNCLDVVPAIRKYLADAIEPWLDGTLSGNGFLYDPKWGGLVTQQGSTDRGADFGFGVYNDHHYHLGYFVYGISVLAKIDRAWGSKYKPQAYSLAADFINIGNRSNSNYLRLRCFDLYKLHSWAGGLTEFGDGRDQESTSEAVNAYYSAALMGLAYGDTNLFNSGSMLTSLEIQAAQMWWHVREGDTLYEEKFTKENRIVGILWANKRDSGLWFAPPEAKEIRLGIQLLPISPITEILFSDDGFAKEIVEWALPALSREGVEEGWKGFVYALQGIYDKDGASEKIKSLKGFDDGNSLTNLLWWIHSRNLGSQ >ONH93647 pep chromosome:Prunus_persica_NCBIv2:G8:21264466:21268460:1 gene:PRUPE_8G244000 transcript:ONH93647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLTLGLMSLNLVDLEILQRSGSATEKKQAAKILPVVQKQHQLLVTLLLCNACAMEALPLYLDKIFHPFVAVVLSVTFVLLFGEIIPQSICSRYGLSVGANFVWLVRILMCICYPIAYPIAKVLDAVLGHSDDLFRRAQLKALVSIHGKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSNLDWEAIGKILARGHSRVPVYYGNPRNIIGLLLVKNLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHLAAVVKIKGKNKNLLPTADKEKFEEDKLSHEKSQLVASLLSKHDDKSGSVVVNIDKSPKSLTNKPTEDIEDGDVIGIITLEDVFEELLQEEIVDETDVYIDVHRRIRVAAVAAASSMARGPSSRKLLAGLTEHGQTPKKSAEDDAHSVRYSGNPREPLLGNKR >ONH90526 pep chromosome:Prunus_persica_NCBIv2:G8:8388290:8391954:-1 gene:PRUPE_8G059500 transcript:ONH90526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMEGSSIPPLLIRNLISSIFIFADKSLLNLSQKSKLLQLIRCVLVNSFLFFLRFLPPLDPSDDDVPYRKGHNKKSSNIHEYEFQRPSSVAVANTGSGGSTGSAIGRALSQLLSLMNDIPVSSRKYQVVRSLAETLIEDNHKEGVEALRQVNRTVLSAAFSRTLGQLEAAAALEQQVPRGVGDGGDLVGPARPAEYRLSRVLRAVRSIGDVAWLGAARASGSRSSAEKLAAEVLWLAEKLAACGYGDEAVVRWASASNLAWLSVSVEPSLQASLVKVSALLFKHAKDMGTDAADDDESKEQQKQRKMQMLMSWLPLLCRGSNGTDVPVLSIRERAELERVLEDTIEMLEKEEDQEKVLSLWLHHFTYCSSSDWPNLHASYARWCNASRKLLLPHHDI >ONH90528 pep chromosome:Prunus_persica_NCBIv2:G8:8389995:8391744:-1 gene:PRUPE_8G059500 transcript:ONH90528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMEGSSIPPLLIRNLISSIFIFADKSLLNLSQKSKLLQLIRCVLVNSFLFFLRFLPPLDPSDDDVPYRKGHNKKSSNIHEYEFQRPSSVAVANTGSGGSTGSAIGRALSQLLSLMNDIPVSSRKYQVVRSLAETLIEDNHKEGVEALRQVNRTVLSAAFSRTLGQLEAAAALEQQVPRGVGDGGDLVGPARPAEYRLSRVLRAVRSIGDVAWLGAARASGSRSSAEKLAAEVLWLAEKLAACGYGDEAVVRWASASNLAWLSVSVEPSLQASLVKVSEQEI >ONH90527 pep chromosome:Prunus_persica_NCBIv2:G8:8389182:8391954:-1 gene:PRUPE_8G059500 transcript:ONH90527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMEGSSIPPLLIRNLISSIFIFADKSLLNLSQKSKLLQLIRCVLVNSFLFFLRFLPPLDPSDDDVPYRKGHNKKSSNIHEYEFQRPSSVAVANTGSGGSTGSAIGRALSQLLSLMNDIPVSSRKYQVVRSLAETLIEDNHKEGVEALRQVNRTVLSAAFSRTLGQLEAAAALEQQVPRGVGDGGDLVGPARPAEYRLSRVLRAVRSIGDVAWLGAARASGSRSSAEKLAAEVLWLAEKLAACGYGDEAVVRWASASNLAWLSVSVEPSLQASLVKVSDE >ONH91516 pep chromosome:Prunus_persica_NCBIv2:G8:14684654:14690097:-1 gene:PRUPE_8G120100 transcript:ONH91516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNPKFCPPLKCQEIMLLANRVGLTFRRRRAEDTRNGFTEHLYSELLQKEIITYIDDEVRSGDEEISQDFLQAIERSRISIIVFSENYASSVWCLEGLVKILECKESKQQIVVPIFYKVMPSHVRNQEGSFGEAFASHETKFKDDMEKVLRWRRALVKAANLCWWSFLRGDEPKFVHKMAEEVSVQLSRTYLRENRETEEIEDIIRQPDATSFSKATTNTWKYDVFLSSRPADTYYSFTNRLCSNLQQMGIKTLMDDDLRTGDGTSPTFLKEIEKSKISIIIFSENYASSPWCLDELVKILECKKTQQQIVLPIFYKVDPSDVRKQTDNFGDALFNHERKFNDDTEKVLRWRAALREAVVLPGFHLFGHVSQLIHRVYEHISQLLNRTYLKYEANYPIEIESRVQDMHDLLGIGDPDVRMVGISGDGGMGKTTIAKAVYNSVAHKFEACCFLENVRENSKTSEGLLQLQHNLLRSILGDVRLGVNSIVGRIRVMKNMMRRKRVLVVLDDVSQNNQLEKLVGEFNWFASGSRIIITTRFEHVLVNYRVDLAYKVKKLEFGEALEVFSSNAFPRSRLPDDPDYRKLATSFLDYARGNPLALTAMGLLVCGKSIAQWQSVLNSYRTASASTIHEVLEITKNALKQENGLEDIEEDKDAWVYSFLNEMDKDILGNDFHDNINYFLTETTGHNETESTESLLATPEVGRHQRKILETDNVAILNELESMENSIGRHETSSSTQEIESDRRIISKTYDTVLNEAERTENSTRRNETLSSTQEVERDPRIISKTYDTVLNEAGSNENATGQHEAVSTTQEEEKDQRIISKTEDDT >ONH91554 pep chromosome:Prunus_persica_NCBIv2:G8:14887592:14888228:1 gene:PRUPE_8G123000 transcript:ONH91554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQVEAHHYCAHQNEEMRQCLIYDGPEADARLIGLEYVVSENLFLTLPDEEKPLWHSHEYEVKSGVLFMPRVPGVIERRDMEKVCKTYGKVWHFWEVDKGDNLPLGIPRVMMALTRDGQLDEVLKKGVEERYGVSFDKERVNRAYMSGPEHGVHPLANGAGKGLKTELRETGCVKPPADSVSPVLRASV >ONH93984 pep chromosome:Prunus_persica_NCBIv2:G8:22211634:22212206:-1 gene:PRUPE_8G265000 transcript:ONH93984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARIIFCSAVLLATLAVILLALFSPLPKRKPSPPWLDLSLYIQQPQSPNIIDATSSNNNNDHVAQSSQSDGGGAFIFHRMLTEGPENTSLVVGKAQGFIIPVEQFANSGFNIIYLTFHTPQYSGSLSVEAKHVSPKDTEELTVMGGTGSFAFARGLAVFAQSIHGDATYHVKLQLRFPKNQSRTTTILG >ONH93600 pep chromosome:Prunus_persica_NCBIv2:G8:21151241:21154018:1 gene:PRUPE_8G241600 transcript:ONH93600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRTLRSSIAAVRHFTAEAHVETKAATASVEKSSSGGRDTLGRRLMSLVFPKRSAVIAIRKWKEEGHKVRKYELNRIVRELRKLKRYKHALEICEWMTLQQDMKLLPGDYAVHLDLIAKVRGLNSAEKFFEDLPDQMTGHPTCTALLHTYVQNKLSDKAEALMAKMSQCGYMKHPLAYNHMLSLYVSNGQFDKVPEVIQELKSNTSPDVVTYNLWLTVCASQSDVETAEKVFLELKKAKLNPDWVTFSTLTNLYIKSLLTEKAAVTLKEMEKIASRKNRAAYSSLLSLHTNIGDEDGVWRIWKKMKSCFRKMNDAEYTCMLSSLVKLKEFEEAEKLYTEWESVSETHDARVSNILLAAYINKDQMEMAETFHNRMVQNGITPCYSTWELLTWGFLKQKHTEKVLDNFKKAVGSVKRWDPDKRLIGEVFNRLKEEGNIKGAEELLLFLRNAGHVSTEIYNSVLRTYAEAGKMPLIVAERMEKDNVQLDEETRRLIKLTSTMCVSEVPNIRS >ONH93602 pep chromosome:Prunus_persica_NCBIv2:G8:21151241:21154018:1 gene:PRUPE_8G241600 transcript:ONH93602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRTLRSSIAAVRHFTAEAHVETKAATASVEKSSSGGRDTLGRRLMSLVFPKRSAVIAIRKWKEEGHKVRKYELNRIVRELRKLKRYKHALEICEWMTLQQDMKLLPALLHTYVQNKLSDKAEALMAKMSQCGYMKHPLAYNHMLSLYVSNGQFDKVPEVIQELKSNTSPDVVTYNLWLTVCASQSDVETAEKVFLELKKAKLNPDWVTFSTLTNLYIKSLLTEKAAVTLKEMEKIASRKNRAAYSSLLSLHTNIGDEDGVWRIWKKMKSCFRKMNDAEYTCMLSSLVKLKEFEEAEKLYTEWESVSETHDARVSNILLAAYINKDQMEMAETFHNRMVQNGITPCYSTWELLTWGFLKQKHTEKVLDNFKKAVGSVKRWDPDKRLIGEVFNRLKEEGNIKGAEELLLFLRNAGHVSTEIYNSVLRTYAEAGKMPLIVAERMEKDNVQLDEETRRLIKLTSTMCVSEVPNIRS >ONH93601 pep chromosome:Prunus_persica_NCBIv2:G8:21151241:21154018:1 gene:PRUPE_8G241600 transcript:ONH93601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRTLRSSIAAVRHFTAEAHVETKAATASVEKSSSGGRDTLGRRLMSLVFPKRSAVIAIRKWKEEGHKVRKYELNRIVRELRKLKRYKHALEICEWMTLQQDMKLLPGHPTCTALLHTYVQNKLSDKAEALMAKMSQCGYMKHPLAYNHMLSLYVSNGQFDKVPEVIQELKSNTSPDVVTYNLWLTVCASQSDVETAEKVFLELKKAKLNPDWVTFSTLTNLYIKSLLTEKAAVTLKEMEKIASRKNRAAYSSLLSLHTNIGDEDGVWRIWKKMKSCFRKMNDAEYTCMLSSLVKLKEFEEAEKLYTEWESVSETHDARVSNILLAAYINKDQMEMAETFHNRMVQNGITPCYSTWELLTWGFLKQKHTEKVLDNFKKAVGSVKRWDPDKRLIGEVFNRLKEEGNIKGAEELLLFLRNAGHVSTEIYNSVLRTYAEAGKMPLIVAERMEKDNVQLDEETRRLIKLTSTMCVSEVPNIRS >ONH91377 pep chromosome:Prunus_persica_NCBIv2:G8:14035476:14040638:-1 gene:PRUPE_8G110600 transcript:ONH91377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTTQGASFSHVTHSWTYDVFLSFRGEDTRNNFTGHLYRNLIQRGIKTFIDYELRRGEEISPALLKAIEESRISIIVFSENYATSTWCLDELVKILECKELKQQMVWPIFYKVDPSDVRNQRGSFGKALAKHERKFKDNKEKVKMWRAALTKAANFSGWSLLDGHESNFIVAIVEEISVQVSTQNILNVAKYPVGIESRLRDIHKLLGVGASDVRMVGVWGIGGIGKTTIAKAVFNSISSKFEASCFLANVKDYPMPYGGLVQLQKSLLLEILGEKELNLNSVDRGVNVIKERLKHKRVLLILDDVNHLDQLNKLAGGLDWFGLGSRIIITTRDKHLLIAHQVNLIYKVKELDSSEALKLFISWNGFTRNSNLEDDYMKLTKTVVDYAQGLPLALMVLGSHLCGRSLNQWKIMLESQPRFPIEEIHEVLKISYNALEYPVKEVFLDIACFFKGKGKNYVIKMLEGCDLNPIYGIEVLIEKALLYVDRRNRICMHDLVEEMGREIVRHESPNEPGKRSRLWFHKDVYRVLTENTGTDTIQKIMVNLPEPYEIRLSAKSFTKMKNLQLFINCNAHFSGEVGYLSNDLRFLDWPECPLKALPSSFNPKKLVELKLRDSRIEQLGNGFKSLATLEHISFQSCEFLTKIPDFSGLSSLVELDLNFCTSLVEVHSSVGFLDKLAILRLVDCFNLTRFPRGVKLKSLTLMILNDCKKLEYFPEILAKMECITRINLSGTAIKELPSSIRYLVNLQDLELYQCENLSHLPSSIYELQHLQRFHLMDCPKLVTFPNKVKPENESEGNLALPELQFLDMGGCNLSESAFLGNLDCLPTLGILDLSGGNFVSLPECISKFFNLWRLSLYDCKRLREIPELPQKLRHVGLGGCISLEGDFLRTPGCLSTLESIDLSGGNFVSLPESISKFVKLKHLSLAGCKRLEEIPELPPKVKHVRASGCISLERFSKLSNILERKESKMIKSLNLSNCRRLCDNLAYMVENKYTLVNDQAALFSLCLSSQQSKFGVIFPGSEVPRWFSSRTDLSEPSGKCEVCVEIPEMLENNGLALWATFHQNTQNKSYDESIFFKAELCINEERIGKAKTTFYGSLEIEAAHVWLYYIPDLSRIETWGHWIDDESLPCMCRITFTCESSLAFKSAGVHRILRDEDSIRSD >ONH91378 pep chromosome:Prunus_persica_NCBIv2:G8:14036242:14039337:-1 gene:PRUPE_8G110600 transcript:ONH91378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVWGIGGIGKTTIAKAVFNSISSKFEASCFLANVKDYPMPYGGLVQLQKSLLLEILGEKELNLNSVDRGVNVIKERLKHKRVLLILDDVNHLDQLNKLAGGLDWFGLGSRIIITTRDKHLLIAHQVNLIYKVKELDSSEALKLFISWNGFTRNSNLEDDYMKLTKTVVDYAQGLPLALMVLGSHLCGRSLNQWKIMLESQPRFPIEEIHEVLKISYNALEYPVKEVFLDIACFFKGKGKNYVIKMLEGCDLNPIYGIEVLIEKALLYVDRRNRICMHDLVEEMGREIVRHESPNEPGKRSRLWFHKDVYRVLTENTGTDTIQKIMVNLPEPYEIRLSAKSFTKMKNLQLFINCNAHFSGEVGYLSNDLRFLDWPECPLKALPSSFNPKKLVELKLRDSRIEQLGNGFKSLATLEHISFQSCEFLTKIPDFSGLSSLVELDLNFCTSLVEVHSSVGFLDKLAILRLVDCFNLTRFPRGVKLKSLTLMILNDCKKLEYFPEILAKMECITRINLSGTAIKELPSSIRYLVNLQDLELYQCENLSHLPSSIYELQHLQRFHLMDCPKLVTFPNKVKPENESEGNLALPELQFLDMGGCNLSESAFLGNLDCLPTLGILDLSGGNFVSLPECISKFFNLWRLSLYDCKRLREIPELPQKLRHVGLGGCISLEGDFLRTPGCLSTLESIDLSGGNFVSLPESISKFVKLKHLSLAGCKRLEEIPELPPKVKHVRASGCISLERFSKLSNILERKESKMIKSLNLSNCRRLCDNLAYMVENKYTLVNDQAALFSLCLSSQQSKFGVIFPGSEVPRWFSSRTDLSEPSGKCEVCVEIPEMLENNGLALWATFHQNTQNKSYDESIFFKAELCINEERIGKAKTTFYGSLEIEAAHVWLYYIPDLSRIETWGHWIDDESLPCMCRITFTCESSLAFKSAGVHRILRDEDSIRSD >ONH91549 pep chromosome:Prunus_persica_NCBIv2:G8:14835235:14839214:1 gene:PRUPE_8G122800 transcript:ONH91549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQDRKQSSSDLPPPKSNRRRPPRNKENMFRKKSSSLTQSQTTKSIVVSANPMMPESHKRKRATVESIYDTSQAQSSVLERAQEVEVSLDPKFPSLLKVMLPSHVTGGFWLGLPKKFCCEHLPKQDIMIALEDENGEVFETKYLADKVGLSGGWRGFSIAHKLLEGDVIVFHLVTPSKFKVYIVRSNGLDELDGALGLMRLDACKKMDTVLNHAGDTAACEREESETLEPISEDNAIAFNTKSCPILDHSESESEDDVGFEVLDGLRLSESVVTFEEVKCMDDFNVLVNGLIINSEFSKYILNKYYELCCSQNSFLHEHLLDGLNCKLVAGVISETVNIADAIRACNITTPEGNFSTWDQTLKAFQGLGMNIGFLLARLDQLVSLASKSKRFKEARLEKDQAEEEMRCLEAKLFEVKEAVNRLESEIEMLNTSSENPELVFQEVAKSSW >ONH91550 pep chromosome:Prunus_persica_NCBIv2:G8:14835235:14839214:1 gene:PRUPE_8G122800 transcript:ONH91550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQDRKQSSSDLPPPKSNRRRPPRNKENMFRKKSSSLTQSQTTKSIVVSANPMMPESHKRKRATVESIYDTSQAQSSVLERAQEVEVSLDPKFPSLLKVMLPSHVTGGFWLGLPKKFCCEHLPKQDIMIALEDENGEVFETKYLADKVGLSGGWRGFSIAHKLLEGDVIVFHLVTPSKFKVYIVRSNGLDELDGALGLMRLDACKKMDTGDTAACEREESETLEPISEDNAIAFNTKSCPILDHSESESEDDVGFEVLDGLRLSESVVTFEEVKCMDDFNVLVNGLIINSEFSKYILNKYYELCCSQNSFLHEHLLDGLNCKLVAGVISETVNIADAIRACNITTPEGNFSTWDQTLKAFQGLGMNIGFLLARLDQLVSLASKSKRFKEARLEKDQAEEEMRCLEAKLFEVKEAVNRLESEIEMLNTSSENPELVFQEVAKSSW >ONH91551 pep chromosome:Prunus_persica_NCBIv2:G8:14835419:14839214:1 gene:PRUPE_8G122800 transcript:ONH91551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQSNRRRPPRNKENMFRKKSSSLTQSQTTKSIVVSANPMMPESHKRKRATVESIYDTSQAQSSVLERAQEVEVSLDPKFPSLLKVMLPSHVTGGFWLGLPKKFCCEHLPKQDIMIALEDENGEVFETKYLADKVGLSGGWRGFSIAHKLLEGDVIVFHLVTPSKFKVYIVRSNGLDELDGALGLMRLDACKKMDTGDTAACEREESETLEPISEDNAIAFNTKSCPILDHSESESEDDVGFEVLDGLRLSESVVTFEEVKCMDDFNVLVNGLIINSEFSKYILNKYYELCCSQNSFLHEHLLDGLNCKLVAGVISETVNIADAIRACNITTPEGNFSTWDQTLKAFQGLGMNIGFLLARLDQLVSLASKSKRFKEARLEKDQAEEEMRCLEAKLFEVKEAVNRLESEIEMLNTSSENPELVFQEVAKSSW >ONH91552 pep chromosome:Prunus_persica_NCBIv2:G8:14836241:14839215:1 gene:PRUPE_8G122800 transcript:ONH91552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDASEVVSANPMMPESHKRKRATVESIYDTSQAQSSVLERAQEVEVSLDPKFPSLLKVMLPSHVTGGFWLGLPKKFCCEHLPKQDIMIALEDENGEVFETKYLADKVGLSGGWRGFSIAHKLLEGDVIVFHLVTPSKFKVYIVRSNGLDELDGALGLMRLDACKKMDTGDTAACEREESETLEPISEDNAIAFNTKSCPILDHSESESEDDVGFEVLDGLRLSESVVTFEEVKCMDDFNVLVNGLIINSEFSKYILNKYYELCCSQNSFLHEHLLDGLNCKLVAGVISETVNIADAIRACNITTPEGNFSTWDQTLKAFQGLGMNIGFLLARLDQLVSLASKSKRFKEARLEKDQAEEEMRCLEAKLFEVKEAVNRLESEIEMLNTSSENPELVFQEVAKSSW >ONH91920 pep chromosome:Prunus_persica_NCBIv2:G8:16061222:16063965:-1 gene:PRUPE_8G143800 transcript:ONH91920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGSGVLVKLVEEMGSSGKALNDRKPVLLQIRSIIPVLAEDDLWPNQGFYLKVSDSSHAMFVSLLQEQDDMVLCNQLQLGQLIFVEKLEAAHPVPVLKGIRPLPGRFPCVGNPADLFAIDKLVNSKGASDLVMEKDRGVEKKPRGRYRSLSASKSCPSEKKRASRPGNCDAGEREMRVVTRGFRKISSSFVEKDSDSDSTLSSSSSVLTVKRKSWNGAEQVADSLVVKHGMKPTGRRSCSAHASPVRSAKCHSSDDKSSPKTRRISDDIAKKSVKNSNSRISVPAKNCEQSLDPPLMFNQANDNKWSGSKIVWNSLPPTLVKLGKEVRRHRDVAVLAAVEALQEASAAESLLKCLSTYSELQSAKREEQLPSVDKFFDLQDDLARTRLIVQSLTNISPLKANEKNPSSPASTGEALVLALDRKKNATSWIKTALAADLITPPSGPGSVSMEVKHKSHKSSKTHGDGKPKGTCIVKIKHKSDAHFGLAIEKENSQDWVKGSALSAAADLENCLHDECRKWFLAAFESYLDEVKSITVSMESDNHVAEMMCQIKKVGDWLDVIVNKDDSELEACVRIKNKIYGVLLKHVERTAMVLEHMNAMIEHQQQ >ONH91919 pep chromosome:Prunus_persica_NCBIv2:G8:16061005:16064385:-1 gene:PRUPE_8G143800 transcript:ONH91919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGSGVLVKLVEEMGSSGKALNDRKPVLLQIRSIIPVLAEDDLWPNQGFYLKVSDSSHAMFVSLLQEQDDMVLCNQLQLGQLIFVEKLEAAHPVPVLKGIRPLPGRFPCVGNPADLFAIDKLVNSKGASDLVMEKDRGVEKKPRGRYRSLSASKSCPSEKKRASRPGNCDAGEREMRVVTRGFRKISSSFVEKDSDSDSTLSSSSSVLTVKRKSWNGAEQVADSLVVKHGMKPTGRRSCSAHEVRRHRDVAVLAAVEALQEASAAESLLKCLSTYSELQSAKREEQLPSVDKFFDLQDDLARTRLIVQSLTNISPLKANEKNPSSPASTGEALVLALDRKKNATSWIKTALAADLITPPSGPGSVSMEVKHKSHKSSKTHGDGKPKGTCIVKIKHKSDAHFGLAIEKENSQDWVKGSALSAAADLENCLHDECRKWFLAAFESYLDEVKSITVSMESDNHVAEMMCQIKKVGDWLDVIVNKDDSELEACVRIKNKIYGVLLKHVERTAMVLEHMNAMIEHQQQ >ONH89999 pep chromosome:Prunus_persica_NCBIv2:G8:2645500:2647904:1 gene:PRUPE_8G029000 transcript:ONH89999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLADSVPVAPSSSSLPPKKPVFLTKAQREQLALQRRQEETEDQKRRQSHVLSNLSHSRPSSDAAPPTATANSDRDRDRDRDRDRDRDRDRDRDHRDRDRDRYSRDRDRERDSERRNRDRDRDREREEEAKVRERARLDKLAERERDKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNALYQNPHEAQLLFGRGFRAGMDRREQKKLAVKYERELREEIRKKEGVEEKPEEAMAQKLKEEAAELYDTFDMRVDRHWTDKKLEEMTERDWRIFREDFNISYKGSRIPRPMRSWAESRLSEELLKAVERAGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYISRLPPISEENEAEGPYAVVMAPTRELAQQIEDETVKFAHFLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNFKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKTTDLITQNVIMSKESEKFERLKRLLDELGDKTAIVFVNTKKNADYVAKSLDKNGYRVTTLHGGKSQEQREISLDGFRAKKYNVLVATDVAGRGIDIPDVAYVINYDMPGNIEQYTHRIGRTGRAGKTGVATTFLTMHDTEVFYDLKQMLIQSNSHVPPELAKHEASKFKPGSVPDRPPRRNDTIFTH >ONH90000 pep chromosome:Prunus_persica_NCBIv2:G8:2645500:2648266:1 gene:PRUPE_8G029000 transcript:ONH90000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLADSVPVAPSSSSLPPKKPVFLTKAQREQLALQRRQEETEDQKRRQSHVLSNLSHSRPSSDAAPPTATANSDRDRDRDRDRDRDRDRDRDRDHRDRDRDRYSRDRDRERDSERRNRDRDRDREREEEAKVRERARLDKLAERERDKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNALYQNPHEAQLLFGRGFRAGMDRREQKKLAVKYERELREEIRKKEGVEEKPEEAMAQKLKEEAAELYDTFDMRVDRHWTDKKLEEMTERDWRIFREDFNISYKGSRIPRPMRSWAESRLSEELLKAVERAGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYISRLPPISEENEAEGPYAVVMAPTRELAQQIEDETVKFAHFLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNFKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKTTDLITQNVIMSKESEKFERLKRLLDELGDKTAIVFVNTKKNADYVAKSLDKNGYRVTTLHGGKSQEQREISLDGFRAKKYNVLVATDVAGRGIDIPDVAYVINYDMPGNIEQYTHRIGRTGRAGKTGVATTFLTMHDTEVFYDLKQMLIQSNSHVPPELAKHEASKFKPGSVPDRPPRRNDTIFTH >ONH90928 pep chromosome:Prunus_persica_NCBIv2:G8:11690795:11697592:-1 gene:PRUPE_8G083600 transcript:ONH90928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWFQIKHIAIRLSVTKIGIQLSSFFVSLPTQKKKSRKQLVLSQRVSFATMELCRATAFSWTHTVSTALHVSLPLSKFETKRLSVWCASDQTLKANTMTVSITGATGFIGRRLVQRLHADNHSVHVLTRSKSKAELIFPVKEFPGIVIAEEPEWKDSIRGSNGVVNLAGVPISTRWSPEIKKEIKDSRIRVTSKVVDLINDLPDSVRPTVLVSATAVGYYGTSETQVFDEQSPSGNDYLAEVCREWEATALKVNKDVRLALIRIGVVLGKDGGALAKMIPLFMVFAGGPLGSGKQWFSWIHLDDIVNLIYEALSNPSYKGVINGTAPNPVRFAEMCEHLGNVLGRPSWLPVPDFALKVVLGEGASVVLDGQRVLPVKAKELGFTFKYSSVKDALRSIISQ >ONH90930 pep chromosome:Prunus_persica_NCBIv2:G8:11691382:11697592:-1 gene:PRUPE_8G083600 transcript:ONH90930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWFQIKHIAIRLSVTKIGIQLSSFFVSLPTQKKKSRKQLVLSQRVSFATMELCRATAFSWTHTVSTALHVSLPLSKFETKRLSVWCASDQTLKANTMTVSITGATGFIGRRLVQRLHADNHSVHVLTRSKSKAELIFPGKKEFPGIVIAEEPEWKDSIRGSNGVVNLAGVPISTRWSPEIKKEIKDSRIRVTSKVVDLINDLPDSVRPTVLVSATAVGYYGTSETQVFDEQSPSGNDYLAEVCREWEATALKVNKDVRLALIRIGVVLGKDGGALAKMIPLFMVFAGGPLGSGKQWFSWIHLDDIVNLIYEALSNPSYKGVINGTAPNPVRFAEMCEHLGNVLGRPSWLPVPDFALKVVLGEGASVVLDGQRVLPVKAKELGFTFKYSSVKDALRSIISQ >ONH90929 pep chromosome:Prunus_persica_NCBIv2:G8:11691133:11697592:-1 gene:PRUPE_8G083600 transcript:ONH90929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWFQIKHIAIRLSVTKIGIQLSSFFVSLPTQKKKSRKQLVLSQRVSFATMELCRATAFSWTHTVSTALHVSLPLSKFETKRLSVWCASDQTLKANTMTVSITGATGFIGRRLVQRLHADNHSVHVLTRSKSKAELIFPVKEFPGIVIAEEPEWKDSIRGSNGVVNLAGVPISTRWSPEIKKEIKDSRIRVTSKVVDLINDLPDSVRPTVLVSATAVGYYGTSETQVFDEQSPSGNDYLAEVCREWEATALKVNKDVRLALIRIGVVLGKDGGALAKMIPLFMVFAGGPLGSGKQWFSWIHLDDIVNLIYEALSNPSYKGVINGTAPNPVRFAEMCEHLGNVLGRPSWLPVPDFALKVVLGEGASVVLDGQRVLPVKAKELGFTFKYSSVKDALRSIISQ >ONH89922 pep chromosome:Prunus_persica_NCBIv2:G8:2180492:2186573:-1 gene:PRUPE_8G024000 transcript:ONH89922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVREITAAAEFLQGTNRQSLFLHRNSFKGRSHVLWGTVQGRSSELGFANRRGVSLRCRAQEKPRAVVSGGVSSLVDEQSSLVEKPAAEVIHFYRVPLMQESASSELLKTVQTKISNQIVGLKTEQCFNIGLDSQLSSDKLPVLKWLLQETFEPENLGTESFLEKKRQEGLNTVIVEVGPRLSFTTAWSSNAVSICRACGLIEVTRLERSRRYLLFSKGTLQDHQISEFAAMVHDRMTECVYTQKLVSFETSVVLDEVRHVPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFRDEIKRNPTTVELFDIAQSNSEHSRHWFFTGKILIDGQPMDRTLMQIVKSTLQANPNNSVIGFKDNSSAIKGFLVKQMRPVQPGSTCPLNIAIRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNMEGSYAPWEDPSFTYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGDRREWLKPIMFSGGIGQIDHTHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACIEMGEDNPIISIHDQGAGGNCNVVKEIIYPKGGQIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRSLLQSICERERVSMAVIGTINGEGRVVLIDSVAIQKCQSSGLPPPPPAVDLELEKVLGDMPQKSFEFHRMADAREPLDIAPGVTVMDSLKRVLRLPSVCSKRFLTSKVDRCVTGLVAQQQTVGPLQIPLSDVAVIAQTFTDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAAIALSDAMIELGIAIDGGKDSLSMAAHVAGEVIKAPGNLVMSVYCTCPDITKTVTPDLKLGDDGVLLHIDLAKGKRRLGGSALAQVFDQIGNECPDIEDVRYLKRVFEGIQVLLADQLISAGHDISDGGLLVCALEMAFSGNRGITLDLTSHGKGLFQTLFAEELGLIIEVSRENLDLVMEKLSSESISAEILGQVSATPSIELKVDGVTHLNGSTSSLRDLWEETSFQLEKFQRLASCVDLEKEGLKDRHEPLWELSFTPSFTDEKYMSIACKPKVAVIREEGSNGDREMAAAFYAAGFEPWDVTMSDLLNGSISLHEFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQVGGVLGGGGDPSQPRFIHNESGRFECRFTSVTIKDSPAIMFRGMEGSTLGVWAAHGEGRAYFPDDGVLDRVLHSKLAPVRYCDDDGNETELYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPQQWDVDKKGPSPWLRMFQNAREWCS >ONH89921 pep chromosome:Prunus_persica_NCBIv2:G8:2180492:2186513:-1 gene:PRUPE_8G024000 transcript:ONH89921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVREITAAAEFLQGTNRQSLFLHRNSFKGRSHVLWGTVQGRSSELGFANRRGVSLRCRAQEKPRAVVSGGVSSLVDEQSSLVEKPAAEVIHFYRVPLMQESASSELLKTVQTKISNQIVGLKTEQCFNIGLDSQLSSDKLPVLKWLLQETFEPENLGTESFLEKKRQEGLNTVIVEVGPRLSFTTAWSSNAVSICRACGLIEVTRLERSRRYLLFSKGTLQDHQISEFAAMVHDRMTECVYTQKLVSFETSVVLDEVRHVPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFRDEIKRNPTTVELFDIAQSNSEHSRHWFFTGKILIDGQPMDRTLMQIVKSTLQANPNNSVIGFKDNSSAIKGFLVKQMRPVQPGSTCPLNIAIRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNMEGSYAPWEDPSFTYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGDRREWLKPIMFSGGIGQIDHTHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACIEMGEDNPIISIHDQGAGGNCNVVKEIIYPKGGQIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRSLLQSICERERVSMAVIGTINGEGRVVLIDSVAIQKCQSSGLPPPPPAVDLELEKVLGDMPQKSFEFHRMADAREPLDIAPGVTVMDSLKRVLRLPSVCSKRFLTSKVDRCVTGLVAQQQTVGPLQIPLSDVAVIAQTFTDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAAIALSDAMIELGIAIDGGKDSLSMAAHVAGEVIKAPGNLVMSVYCTCPDITKTVTPDLKLGDDGVLLHIDLAKGKRRLGGSALAQVFDQIGNECPDIEDVRYLKRVFEGIQVLLADQLISAGHDISDGGLLVCALEMAFSGNRGITLDLTSHGKGLFQTLFAEELGLIIEVSRENLDLVMEKLSSESISAEILGQVSATPSIELKVDGVTHLNGSTSSLRDLWEETSFQLEKFQRLASCVDLEKEGLKDRHEPLWELSFTPSFTDEKYMSIACKPKVAVIREEGSNGDREMAAAFYAAGFEPWDVTMSDLLNGSISLHEFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQVGGVLGGGGDPSQPRFIHNESGRFECRFTSVTIKDSPAIMFRGMEGSTLGVWAAHGEGRAYFPDDGVLDRVLHSKLAPVRYCDDDGNETELYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPQQWDVDKKGPSPWLRMFQNAREWCS >ONH89920 pep chromosome:Prunus_persica_NCBIv2:G8:2180492:2186538:-1 gene:PRUPE_8G024000 transcript:ONH89920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVREITAAAEFLQGTNRQSLFLHRNSFKGRSHVLWGTVQGRSSELGFANRRGVSLRCRAQEKPRAVVSGGVSSLVDEQSSLVEKPAAEVIHFYRVPLMQESASSELLKTVQTKISNQIVGLKTEQCFNIGLDSQLSSDKLPVLKWLLQETFEPENLGTESFLEKKRQEGLNTVIVEVGPRLSFTTAWSSNAVSICRACGLIEVTRLERSRRYLLFSKGTLQDHQISEFAAMVHDRMTECVYTQKLVSFETSVVLDEVRHVPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFRDEIKRNPTTVELFDIAQSNSEHSRHWFFTGKILIDGQPMDRTLMQIVKSTLQANPNNSVIGFKDNSSAIKGFLVKQMRPVQPGSTCPLNIAIRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNMEGSYAPWEDPSFTYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGDRREWLKPIMFSGGIGQIDHTHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACIEMGEDNPIISIHDQGAGGNCNVVKEIIYPKGGQIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRSLLQSICERERVSMAVIGTINGEGRVVLIDSVAIQKCQSSGLPPPPPAVDLELEKVLGDMPQKSFEFHRMADAREPLDIAPGVTVMDSLKRVLRLPSVCSKRFLTSKVDRCVTGLVAQQQTVGPLQIPLSDVAVIAQTFTDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAAIALSDAMIELGIAIDGGKDSLSMAAHVAGEVIKAPGNLVMSVYCTCPDITKTVTPDLKLGDDGVLLHIDLAKGKRRLGGSALAQVFDQIGNECPDIEDVRYLKRVFEGIQVLLADQLISAGHDISDGGLLVCALEMAFSGNRGITLDLTSHGKGLFQTLFAEELGLIIEVSRENLDLVMEKLSSESISAEILGQVSATPSIELKVDGVTHLNGSTSSLRDLWEETSFQLEKFQRLASCVDLEKEGLKDRHEPLWELSFTPSFTDEKYMSIACKPKVAVIREEGSNGDREMAAAFYAAGFEPWDVTMSDLLNGSISLHEFRGIVFVGGFSYADVLDSAKGPQVGGVLGGGGDPSQPRFIHNESGRFECRFTSVTIKDSPAIMFRGMEGSTLGVWAAHGEGRAYFPDDGVLDRVLHSKLAPVRYCDDDGNETELYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPQQWDVDKKGPSPWLRMFQNAREWCS >ONH91586 pep chromosome:Prunus_persica_NCBIv2:G8:15000029:15000784:-1 gene:PRUPE_8G124800 transcript:ONH91586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRKTPIENTNSNKKLQTLEYYESREDDHDRDREDVTIPSFPGLVIDQILQHLPTTLAVSVSIFSKQWKGVWSSVPVLDFDEGEPYDNDEKLENLRRKKFIKFLRGCLKFREKDKCLDKFRLRMRYRGKSRNIEKWLSFAIERSVKVLEISLPRKPKFKYYCLSKTILNAKSITTLNLESLRITDDSTSHPISLPSVKTLSLKTVHFGRRAFSNLISRCPSIECLTLISCNFAILNGTVKVSFCSLESF >ONH92369 pep chromosome:Prunus_persica_NCBIv2:G8:17512096:17514704:-1 gene:PRUPE_8G170700 transcript:ONH92369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKSESSSSSMESLDHLPSALLATIMTNLDVASICSVASTCTAFKACASQILSFIPSFHLLEIAPSMDLLRPLLPPNPWLKSMKVNCGRLDDSAIQILLQPSLHELCMLNCDDFTGKLLSEIGGRCKDLRSLCLGSVAENRGLDIQFSDLEELLRGCHELEALNLMFDVSFSAGHNNSRVWALASEKLTSLEIGYIPSVMVAELFSPNFGFHQSLNCIQPSILPNIHKLCLSVDYITDAMVGKISKGLNSLTHLDLRDAPMSEPGVTSDLTNSGLQQINQHGKLKHLSLVRTQEFLSTYFRRVNDLGLLLMADKCKTMESIFLGGFCRVTDTGFKTILHSCSKLYKLRVSHGTQLTDLVFHDISATSLSLTHVSLRRCTLLTNHAVTSLASNKDLKVLDLRDCRNLGDEALQAISTLPKLKALLLDGSDISDVGLSYLRPGVISSLISLSVRGCKKLTDKCISALFDGSSNLELQELDLSNLPNLSDNAVLLLAKSRVPILELRMRQCPLIGDTSVMALASMVVDEERWHGNSLRLLDLFNCGGITPLSFRWLKKPYFPRLRWLGVTGSVNRDMVDALARSRPFLRVSRRGEELGVEEWDNSDDFYMHDYDEMDEFERWLLDGEDENADEEMVNAVDNAEAME >ONH92371 pep chromosome:Prunus_persica_NCBIv2:G8:17512096:17513532:-1 gene:PRUPE_8G170700 transcript:ONH92371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVSFSAGHNNSRVWALASEKLTSLEIGYIPSVMVAELFSPNFGFHQSLNCIQPSILPNIHKLCLSVDYITDAMVGKISKGLNSLTHLDLRDAPMSEPGVTSDLTNSGLQQINQHGKLKHLSLVRTQEFLSTYFRRVNDLGLLLMADKCKTMESIFLGGFCRVTDTGFKTILHSCSKLYKLRVSHGTQLTDLVFHDISATSLSLTHVSLRRCTLLTNHAVTSLASNKDLKVLDLRDCRNLGDEALQAISTLPKLKALLLDGSDISDVGLSYLRPGVISSLISLSVRGCKKLTDKCISALFDGSSNLELQELDLSNLPNLSDNAVLLLAKSRVPILELRMRQCPLIGDTSVMALASMVVDEERWHGNSLRLLDLFNCGGITPLSFRWLKKPYFPRLRWLGVTGSVNRDMVDALARSRPFLRVSRRGEELGVEEWDNSDDFYMHDYDEMDEFERWLLDGEDENADEEMVNAVDNAEAME >ONH92372 pep chromosome:Prunus_persica_NCBIv2:G8:17511860:17514870:-1 gene:PRUPE_8G170700 transcript:ONH92372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPGVTSDLTNSGLQQINQHGKLKHLSLVRTQEFLSTYFRRVNDLGLLLMADKCKTMESIFLGGFCRVTDTGFKTILHSCSKLYKLRVSHGTQLTDLVFHDISATSLSLTHVSLRRCTLLTNHAVTSLASNKDLKVLDLRDCRNLGDEALQAISTLPKLKALLLDGSDISDVGLSYLRPGVISSLISLSVRGCKKLTDKCISALFDGSSNLELQELDLSNLPNLSDNAVLLLAKSRVPILELRMRQCPLIGDTSVMALASMVVDEERWHGNSLRLLDLFNCGGITPLSFRWLKKPYFPRLRWLGVTGSVNRDMVDALARSRPFLRVSRRGEELGVEEWDNSDDFYMHDYDEMDEFERWLLDGEDENADEEMVNAVDNAEAME >ONH92370 pep chromosome:Prunus_persica_NCBIv2:G8:17512096:17514218:-1 gene:PRUPE_8G170700 transcript:ONH92370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPKALNLMFDVSFSAGHNNSRVWALASEKLTSLEIGYIPSVMVAELFSPNFGFHQSLNCIQPSILPNIHKLCLSVDYITDAMVGKISKGLNSLTHLDLRDAPMSEPGVTSDLTNSGLQQINQHGKLKHLSLVRTQEFLSTYFRRVNDLGLLLMADKCKTMESIFLGGFCRVTDTGFKTILHSCSKLYKLRVSHGTQLTDLVFHDISATSLSLTHVSLRRCTLLTNHAVTSLASNKDLKVLDLRDCRNLGDEALQAISTLPKLKALLLDGSDISDVGLSYLRPGVISSLISLSVRGCKKLTDKCISALFDGSSNLELQELDLSNLPNLSDNAVLLLAKSRVPILELRMRQCPLIGDTSVMALASMVVDEERWHGNSLRLLDLFNCGGITPLSFRWLKKPYFPRLRWLGVTGSVNRDMVDALARSRPFLRVSRRGEELGVEEWDNSDDFYMHDYDEMDEFERWLLDGEDENADEEMVNAVDNAEAME >ONH93284 pep chromosome:Prunus_persica_NCBIv2:G8:20178831:20181212:1 gene:PRUPE_8G223200 transcript:ONH93284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKKNEQNPKEEGDHVREKEESQSSQEDDQEESKKSPREQNPNNSGNESTQEDEESDIEFLGPAIYQEAETTPCVELKQSDNKKKNKALLELRCRVEDAIVGNYLLGKPNKMISPAESARQREELREISLWGVPLLPSKGHQGTDIVLLKFLRAKDFKVLDAFEMLRRTLKWRKEYRTDEILEEELGSDLENLVFWSSVDKEGHPLCFTVYGPFKERELYKRTFGSKEKRQQFLRWRVQFMEKGIKKLSFKKGGVDSMVHITDLKNSPGPDMKELRSLSSKTLVLLQENYPELIQKNIVINAPLWYYVAHVLRSRLLSQRTKKKFVFARPSNVTKTLLKFVDPEKLPVQYGGLKREQDPEFTPEDKASRRSVKANTTACIEIPVAEGGLTMVWELTVVGWDVSYKEEFVPDDECSYKILLQNKKRLGQCVRNSFYANEPGKIVITIENWTFKNKRVLYRSKSKLTVPVYRFLFNK >ONH90902 pep chromosome:Prunus_persica_NCBIv2:G8:11540394:11542817:-1 gene:PRUPE_8G082400 transcript:ONH90902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGPYSGTSTLALVARASAFSFGLVYGSIKLKYLKAKAKSAAKAHH >ONH93593 pep chromosome:Prunus_persica_NCBIv2:G8:21117658:21119808:-1 gene:PRUPE_8G241000 transcript:ONH93593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTSSSSSVLFSSTHSNLVPPSLSHLPRLSLSSSSHFSTLSSSLSISHSSVAYPAISRRFNRCSFSIKASAGEKKKVLIVNTNGGGHAVIGFYFAKELLGSGHQVTVLTVGEESSDKMKKPPFSRFSEIVSAGGKTVWGEPADIGKILEGTAFDVVLENNGKDLDTVRPVADWAKSSGVKQFLYISSAGIYKPTEEPPHVEGDVVKADASHVAVEKYIAEIFGSWAIFRPQYMLGSGNNKDCEEWFFDRILRDRPVPIPGSGLQLTNISHVRDLSSMLTLAVENPDAASSNIFNCVSDRAVTLDGLAKLCAQAAGRPVNIVHYDPKAAGVDAKKAFPFRNMHFYAEPRAAKEVLGWKSTTNLTEDLKERFEEYLKIGRDKKTIKFELDDKIIESLKVPVAV >ONH93209 pep chromosome:Prunus_persica_NCBIv2:G8:19974149:19975877:-1 gene:PRUPE_8G219300 transcript:ONH93209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGYDPISKAYKVVNIIFYGSQRHAHTLYSVVIYPLRVQVYTLGSSEESSSWREIKTYSLETETTFLWPERFQIHLKGMCYWLGSEQQKEFVDVEEMRYEEKIRQVMVSFDMSDEVALPNELLDHDTTFFGLFVRLTVWNESSIALCVWHYSYDVSPYFGMWLMDDDFGACVWTKHAGFELASIPIMDLREGGWALALWKSDELLVVDKDGCTICYNLRTENRMSLPTIQICMSNLDPPIVYVNSIVSIGLGRQQT >ONH93228 pep chromosome:Prunus_persica_NCBIv2:G8:20021332:20027543:1 gene:PRUPE_8G220400 transcript:ONH93228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIAGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLEPGKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNIMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMSTPIEVLCRSHPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGGSSRGWHANGKAGLSAGPSMERTERVSVGKEIRERFSGAVEAFSRRNTSGSSPRQDHLKHRSFEDAPSSKDVHHDSERGRSSSRYGSTSRKAIINSKPSSSAGDQSHSDGRTSRLVSSSSRPSTTQRGHLGYEPKRSAAARGTRDDPLRSFELLSIRK >ONH93227 pep chromosome:Prunus_persica_NCBIv2:G8:20021332:20027543:1 gene:PRUPE_8G220400 transcript:ONH93227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIAGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLEPGKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNIMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMSTPIEVLCRSHPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGGSSRGWHANGKAGLSAGPSMERTERVSVGKEIRERFSGAVEAFSRRNTSGSSPRQDHLKHRSFEDAPSSKDVHHDSERGRSSSRYGSTSRKAIINSKPSSSAGDQSHSDGRTSRLVSSSSRPSTTQRGHLGYEPKRSAAARGTRDDPLRSFELLSIRK >ONH93226 pep chromosome:Prunus_persica_NCBIv2:G8:20021149:20027545:1 gene:PRUPE_8G220400 transcript:ONH93226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIAGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLEPGKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNIMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMSTPIEVLCRSHPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGGSSRGWHANGKAGLSAGPSMERTERVSVGKEIRERFSGAVEAFSRRNTSGSSPRQDHLKHRSFEDAPSSKDVHHDSERGRSSSRYGSTSRKAIINSKPSSSAGDQSHSDGRTSRLVSSSSRPSTTQRGHLGYEPKRSAAARGTRDDPLRSFELLSIRK >ONH93229 pep chromosome:Prunus_persica_NCBIv2:G8:20021241:20027561:1 gene:PRUPE_8G220400 transcript:ONH93229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIAGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLEPGKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNIMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMSTPIEVLCRSHPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGGSSRGWHANGKAGLSAGPSMERTERVSVGKEIRERFSGAVEAFSRRNTSGSSPRQDHLKHRSFEDAPSSKDVHHDSERGRSSSRYGSTSRKAIINSKPSSSAGDQSHSDGRTSRLVSSSSRPSTTQRGHLGYEPKRSAAARGTRDDPLRSFELLSIRK >ONH93225 pep chromosome:Prunus_persica_NCBIv2:G8:20021553:20027564:1 gene:PRUPE_8G220400 transcript:ONH93225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIAGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLEPGKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNIMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMSTPIEVLCRSHPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGGSSRGWHANGKAGLSAGPSMERTERVSVGKEIRERFSGAVEAFSRRNTSGSSPRQDHLKHRSFEDAPSSKDVHHDSERGRSSSRYGSTSRKAIINSKPSSSAGDQSHSDGRTSRLVSSSSRPSTTQRGHLGYEPKRSAAARGTRDDPLRSFELLSIRK >ONH93230 pep chromosome:Prunus_persica_NCBIv2:G8:20021332:20027543:1 gene:PRUPE_8G220400 transcript:ONH93230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIAGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLEPGKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDYNIMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMSTPIEVLCRSHPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGGSSRGWHANGKAGLSAGPSMERTERVSGKEIRERFSGAVEAFSRRNTSGSSPRQDHLKHRSFEDAPSSKDVHHDSERGRSSSRYGSTSRKAIINSKPSSSAGDQSHSDGRTSRLVSSSSRPSTTQRGHLGYEPKRSAAARGTRDDPLRSFELLSIRK >ONH93536 pep chromosome:Prunus_persica_NCBIv2:G8:20902805:20909092:1 gene:PRUPE_8G236400 transcript:ONH93536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVATVLRRKLSHKPFHFQLLTVRAFSASEPQRIEKILIANRGEIACRIMRTAKRLGIQTVAVYSDADRHSLHVKSADEAVHIGPPPARLSYLKASSIIDAAIRTGAQAIHPGYGFLSESAEFAQLCEDKGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGKDQDIDLMKLEADKIGYPILIKPTHGGGGKGMRIVQSPDEFVESFLGAQREAAASFGVSTILLEKYITQPRHIEVQIFGDKHGKVLHLYERDCSVQRRHQKIIEEAPAPNVSNDFRTHLGQAAVSAAKAVGYHNAGTVEFIVDTVSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVASGEHLPISQSQVPLSGHAFEARIYAENVPKGFLPATGVLHHYHHVPVSPQVRVETGVEQGDTVSMHYDPMIAKLVVWGENRAAALVKLKDCLSKFQVAGLPTNINFLLKLANHRAFQNGDVETHFIEHFKDDLFVDTSNSLLVDKVLGAARFSATLAAACLIEKENSLFRENLPGGDSIISIWYSSPPFRVHHCARHTVELEWDNEYDSSGSKSLKLSTTYKPDGSYLVETEEESFPGLEVKVTCIGNHDFRVEADGVNMDVSLAVYSKDQTKHIHIWYGSHHHHFRQKTDLELSDEDETEHKPRFDKSSYPQGTVAAPMAGLVVKVVVKDGTKVEEGQPILVLEAMKMEHVVKAPSAGYVRGLHLAAGQQVSDGGILFSIKEE >ONH91047 pep chromosome:Prunus_persica_NCBIv2:G8:12322180:12329362:-1 gene:PRUPE_8G090000 transcript:ONH91047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCFDTNDYDSMDFSPSNHDEAVHKRPKSTSEVVDYSDPFAIPDLLERIDSGKYGSVTKDIEAILARKRQTLCPYFEKYPALSNLSLEEKRQSKRAPKSANQQASPLSQNNVIDLEDDSVENNAPAALLPVVIIDSDEEQSEHPRPPYPFKEVVLPEPSYSFQEVFLGQPSEQLVVRDFVENKVPGETKIKNDPGVYVGVEDDDNHQTDTEEDDGLGDIWNEMSMALESNKDVVVDPSSEGMSDGGEDCDCDHSFVLKDDLGYVCRICGVIDRGIETIFEFQFNKVKRSTRTYMPDSRNAKDREAAEISGVKLSEDGLIITEISAHPRHMKQMKPHQVEGFNFLVSNLVGDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPNARPLIVLPKGILDTWKKEFKIWQVEDIPLYDFYESKADNRSQQLEVLKQWVEQKSILFLGYKQFSSIVCDRETSKISAMCQEILLKAPSILILDEGHTPRNDNTDVFQSLTKLQTPRKVVLSGTIFQNHVNEVFNLLNLVRPKFLRSETSRPIIKRIMSRVHISGVRKQFKAGSESAFYELVEHTLQKDNDFRRKVTVIHELREMTSKVLHYYRGDSLDELPGLVDFTVLLNLTTRQKHETEKLKKFARKFKQSSVGSAVYLHPKLYSFSWKPTDPDDKVDELLDKMDVKDGVKARFFLNLLNLCESAGEKLLVFSQYLLPLKFLERLVAKMKGWSPGREMFMISGESSSEQREWSMDQFNNSSTAKVFFGSIKACGEGISLVGASRVILLDVHLNPSVSRQAIGRAFRPGQKKKVFVYRLVAASSPEEEDHSTCFQKELIAKMWFDWNEYCGYRDFGVETIDVNECGDLFLESPVFREDIKVLYKR >ONH91046 pep chromosome:Prunus_persica_NCBIv2:G8:12322582:12328750:-1 gene:PRUPE_8G090000 transcript:ONH91046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCFDTNDYDSMDFSPSNHDEAVHKRPKSTSEVVDYSDPFAIPDLLERIDSGKYGSVTKDIEAILARKRQTLCPYFEKYPALSNLSLEEKRQSKRAPKSANQQASPLSQNNVIDLEDDSVENNAPAALLPVVIIDSDEEQSEHPRPPYPFKEVVLPEPSYSFQEVFLGQPSEQLVVRDFVENKVPGETKIKNDPGVYVGVEDDDNHQTDTEEDDGLGDIWNEMSMALESNKDVVVDPSSEGMSDGGEDCDCDHSFVLKDDLGYVCRICGVIDRGIETIFEFQFNKVKRSTRTYMPDSRNAKDREAAEISGVKLSEDGLIITEISAHPRHMKQMKPHQVEGFNFLVSNLVGDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPNARPLIVLPKGILDTWKKEFKIWQVEDIPLYDFYESKADNRSQQLEVLKQWVEQKSILFLGYKQFSSIVCDRETSKISAMCQEILLKAPSILILDEGHTPRNDNTDVFQSLTKLQTPRKVVLSGTIFQNHVNEVFNLLNLVRPKFLRSETSRPIIKRIMSRVHISGVRKQFKAGSESAFYELVEHTLQKDNDFRRKVTVIHELREMTSKVLHYYRGDSLDELPGLVDFTVLLNLTTRQKHETEKLKKFARKFKQSSVGSAVYLHPKLYSFSWKPTDPDDKVDELLDKMDVKDGVKARFFLNLLNLCESAGEKLLVFSQYLLPLKFLERLVAKMKGWSPGREMFMISGESSSEQREWSMDQFNNSSTAKVFFGSIKACGEGISLVGASRVILLDVHLNPSVSRQAIGRAFRPGQKKKVFVYRLVAASSPEEEDHSTCFQKELIAKMWFDWNEYCGYRDFGVETIDVNECGDLFLESPVFREDIKVLYKR >ONH91044 pep chromosome:Prunus_persica_NCBIv2:G8:12322180:12330428:-1 gene:PRUPE_8G090000 transcript:ONH91044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCFDTNDYDSMDFSPSNHDEAVHKRPKSTSEVVDYSDPFAIPDLLERIDSGKYGSVTKDIEAILARKRQTLCPYFEKYPALSNLSLEEKRQSKRAPKSANQQASPLSQNNVIDLEDDSVENNAPAALLPVVIIDSDEEQSEHPRPPYPFKEVVLPEPSYSFQEVFLGQPSEQLVVRDFVENKVPGETKIKNDPGVYVGVEDDDNHQTDTEEDDGLGDIWNEMSMALESNKDVVVDPSSEGMSDGGEDCDCDHSFVLKDDLGYVCRICGVIDRGIETIFEFQFNKVKRSTRTYMPDSRNAKDREAAEISGVKLSEDGLIITEISAHPRHMKQMKPHQVEGFNFLVSNLVGDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPNARPLIVLPKGILDTWKKEFKIWQVEDIPLYDFYESKADNRSQQLEVLKQWVEQKSILFLGYKQFSSIVCDRETSKISAMCQEILLKAPSILILDEGHTPRNDNTDVFQSLTKLQTPRKVVLSGTIFQNHVNEVFNLLNLVRPKFLRSETSRPIIKRIMSRVHISGVRKQFKAGSESAFYELVEHTLQKDNDFRRKVTVIHELREMTSKVLHYYRGDSLDELPGLVDFTVLLNLTTRQKHETEKLKKFARKFKQSSVGSAVYLHPKLYSFSWKPTDPDDKVDELLDKMDVKDGVKARFFLNLLNLCESAGEKLLVFSQYLLPLKFLERLVAKMKGWSPGREMFMISGESSSEQREWSMDQFNNSSTAKVFFGSIKACGEGISLVGASRVILLDVHLNPSVSRQAIGRAFRPGQKKKVFVYRLVAASSPEEEDHSTCFQKELIAKMWFDWNEYCGYRDFGVETIDVNECGDLFLESPVFREDIKVLYKR >ONH91042 pep chromosome:Prunus_persica_NCBIv2:G8:12322582:12329969:-1 gene:PRUPE_8G090000 transcript:ONH91042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPLDLTPIKTSYDGLYSKGYKRMKVCFDTNDYDSMDFSPSNHDEAVHKRPKSTSEVVDYSDPFAIPDLLERIDSGKYGSVTKDIEAILARKRQTLCPYFEKYPALSNLSLEEKRQSKRAPKSANQQASPLSQNNVIDLEDDSVENNAPAALLPVVIIDSDEEQSEHPRPPYPFKEVVLPEPSYSFQEVFLGQPSEQLVVRDFVENKVPGETKIKNDPGVYVGVEDDDNHQTDTEEDDGLGDIWNEMSMALESNKDVVVDPSSEGMSDGGEDCDCDHSFVLKDDLGYVCRICGVIDRGIETIFEFQFNKVKRSTRTYMPDSRNAKDREAAEISGVKLSEDGLIITEISAHPRHMKQMKPHQVEGFNFLVSNLVGDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPNARPLIVLPKGILDTWKKEFKIWQVEDIPLYDFYESKADNRSQQLEVLKQWVEQKSILFLGYKQFSSIVCDRETSKISAMCQEILLKAPSILILDEGHTPRNDNTDVFQSLTKLQTPRKVVLSGTIFQNHVNEVFNLLNLVRPKFLRSETSRPIIKRIMSRVHISGVRKQFKAGSESAFYELVEHTLQKDNDFRRKVTVIHELREMTSKVLHYYRGDSLDELPGLVDFTVLLNLTTRQKHETEKLKKFARKFKQSSVGSAVYLHPKLYSFSWKPTDPDDKVDELLDKMDVKDGVKARFFLNLLNLCESAGEKLLVFSQYLLPLKFLERLVAKMKGWSPGREMFMISGESSSEQREWSMDQFNNSSTAKVFFGSIKACGEGISLVGASRVILLDVHLNPSVSRQAIGRAFRPGQKKKVFVYRLVAASSPEEEDHSTCFQKELIAKMWFDWNEYCGYRDFGVETIDVNECGDLFLESPVFREDIKVLYKR >ONH91043 pep chromosome:Prunus_persica_NCBIv2:G8:12322180:12330428:-1 gene:PRUPE_8G090000 transcript:ONH91043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPLDLTPIKTSYDGLYSKGYKRMKVCFDTNDYDSMDFSPSNHDEAVHKRPKSTSEVVDYSDPFAIPDLLERIDSGKYGSVTKDIEAILARKRQTLCPYFEKYPALSNLSLEEKRQSKRAPKSANQQASPLSQNNVIDLEDDSVENNAPAALLPVVIIDSDEEQSEHPRPPYPFKEVVLPEPSYSFQEVFLGQPSEQLVVRDFVENKVPGETKIKNDPGVYVGVEDDDNHQTDTEEDDGLGDIWNEMSMALESNKDVVVDPSSEGMSDGGEDCDCDHSFVLKDDLGYVCRICGVIDRGIETIFEFQFNKVKRSTRTYMPDSRNAKDREAAEISGVKLSEDGLIITEISAHPRHMKQMKPHQVEGFNFLVSNLVGDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPNARPLIVLPKGILDTWKKEFKIWQVEDIPLYDFYESKADNRSQQLEVLKQWVEQKSILFLGYKQFSSIVCDRETSKISAMCQEILLKAPSILILDEGHTPRNDNTDVFQSLTKLQTPRKVVLSGTIFQNHVNEVFNLLNLVRPKFLRSETSRPIIKRIMSRVHISGVRKQFKAGSESAFYELVEHTLQKDNDFRRKVTVIHELREMTSKVLHYYRGDSLDELPGLVDFTVLLNLTTRQKHETEKLKKFARKFKQSSVGSAVYLHPKLYSFSWKPTDPDDKVDELLDKMDVKDGVKARFFLNLLNLCESAGEKLLVFSQYLLPLKFLERLVAKMKGWSPGREMFMISGESSSEQREWSMDQFNNSSTAKVFFGSIKACGEGISLVGASRVILLDVHLNPSVSRQAIGRAFRPGQKKKVFVYRLVAASSPEEEDHSTCFQKELIAKMWFDWNEYCGYRDFGVETIDVNECGDLFLESPVFREDIKVLYKR >ONH91045 pep chromosome:Prunus_persica_NCBIv2:G8:12322582:12328750:-1 gene:PRUPE_8G090000 transcript:ONH91045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCFDTNDYDSMDFSPSNHDEAVHKRPKSTSEVVDYSDPFAIPDLLERIDSGKYGSVTKDIEAILARKRQTLCPYFEKYPALSNLSLEEKRQSKRAPKSANQQASPLSQNNVIDLEDDSVENNAPAALLPVVIIDSDEEQSEHPRPPYPFKEVVLPEPSYSFQEVFLGQPSEQLVVRDFVENKVPGETKIKNDPGVYVGVEDDDNHQTDTEEDDGLGDIWNEMSMALESNKDVVVDPSSEGMSDGGEDCDCDHSFVLKDDLGYVCRICGVIDRGIETIFEFQFNKVKRSTRTYMPDSRNAKDREAAEISGVKLSEDGLIITEISAHPRHMKQMKPHQVEGFNFLVSNLVGDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPNARPLIVLPKGILDTWKKEFKIWQVEDIPLYDFYESKADNRSQQLEVLKQWVEQKSILFLGYKQFSSIVCDRETSKISAMCQEILLKAPSILILDEGHTPRNDNTDVFQSLTKLQTPRKVVLSGTIFQNHVNEVFNLLNLVRPKFLRSETSRPIIKRIMSRVHISGVRKQFKAGSESAFYELVEHTLQKDNDFRRKVTVIHELREMTSKVLHYYRGDSLDELPGLVDFTVLLNLTTRQKHETEKLKKFARKFKQSSVGSAVYLHPKLYSFSWKPTDPDDKVDELLDKMDVKDGVKARFFLNLLNLCESAGEKLLVFSQYLLPLKFLERLVAKMKGWSPGREMFMISGESSSEQREWSMDQFNNSSTAKVFFGSIKACGEGISLVGASRVILLDVHLNPSVSRQAIGRAFRPGQKKKVFVYRLVAASSPEEEDHSTCFQKELIAKMWFDWNEYCGYRDFGVETIDVNECGDLFLESPVFREDIKVLYKR >ONH90220 pep chromosome:Prunus_persica_NCBIv2:G8:4284699:4289255:-1 gene:PRUPE_8G041500 transcript:ONH90220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTALSSQLLVQQMLIVTQGRYITFGAGSTQLLNAAVHALSSDNSSSSSSPASVVASIPYYNLHQLQTELFRSTNYVFQGDAFVCRTSQMLLLLLLSLLPLQTILMGS >ONH92970 pep chromosome:Prunus_persica_NCBIv2:G8:19196721:19199537:-1 gene:PRUPE_8G204900 transcript:ONH92970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMSNLSSDVTELIFSYLPIPTLIRASTVCKLWHSIISSSTFSQLSTQSQKYPWFFLYGIHNTSSNFNQSFAFDPVSNLWFRLPSPTFPSQSSSFLGVDGFFLITAPFFTFSPILKRHSHSTSPLRFSRINPLVGVFDSGSSSPPSFIVVGGVRFIGNLVDIEDRLAVEIYNPNSDSWLLCPPLPADFRSGNSSQSLSSALFKRRFYVFGIYSCFISSFDLHTHLWSEVQTLRPPGVVFSFLISCMDRLVLAGICNGPLGSSFNLWKIEEATMEFSEIAIMPHDLLYGLFDGDEEDKFASLKCVGLGNLIYVFNEEYHKKYPACICEISSEDGKCRWRKVPQLPSPVNKFHKVVSFSSTVSLHSILQSEGGGDVVVPLQPMFD >ONH92971 pep chromosome:Prunus_persica_NCBIv2:G8:19196888:19199442:-1 gene:PRUPE_8G204900 transcript:ONH92971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMSNLSSDVTELIFSYLPIPTLIRASTVCKLWHSIISSSTFSQLSTQSQKYPWFFLYGIHNTSSNFNQSFAFDPVSNLWFRLPSPTFPSQSSSFLGVDGFFLITAPFFTFSPILKRHSHSTSPLRFSRINPLVGVFDSGSSSPPSFIVVGGVRFIGNLVDIEDRLAVEIYNPNSDSWLLCPPLPADFRSGNSSQSLSSALFKRRFYVFGIYSCFISSFDLHTHLWSEVQTLRPPGVVFSFLISCMDRLVLAGICNGPLGSSFNLWKIEEATMEFSEIAIMPHDLLYGLFDGDEEDKFASLKCVGLGNLIYVFNEEYHKKYPACICEISSEDGKCRWRKVPQLPSPVNKFHKVVSFSSTVSLHSILQSEGGGDVVVPLQPMFD >ONH92774 pep chromosome:Prunus_persica_NCBIv2:G8:18760780:18763184:1 gene:PRUPE_8G195100 transcript:ONH92774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFVNGGGLAEFSIASETFITSARPPEVSAAEGAGLPSSGLTAHQALTKAAGIKLDGTGQLKNILITGASGGVGHYVVQLAKLGNTHVTATCGARNVDIVKSLGADEVLDYKTPDGAALKSPSGRKYDVVIHCASAGIPWSTFEPNLSATGKVIDLTSRPSTWITIVLQRLSFSRKQLVPLIINPEGENLDYLVELMKDGKLRTLVDSKHHLSKAEDAWARKTDGYAVGKIIVET >ONH92773 pep chromosome:Prunus_persica_NCBIv2:G8:18760709:18763184:1 gene:PRUPE_8G195100 transcript:ONH92773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLMDAVAYESCGGGPNGLKHVKVPIPSPKKDEVLLKLEAASLNASDWKIQKGVFRPLLPRKFPFIPAVDVAGEVVEVGQGVEKFKEGDKVVAMLNFVNGGGLAEFSIASETFITSARPPEVSAAEGAGLPSSGLTAHQALTKAAGIKLDGTGQLKNILITGASGGVGHYVVQLAKLGNTHVTATCGARNVDIVKSLGADEVLDYKTPDGAALKSPSGRKYDVVIHCASAGIPWSTFEPNLSATGKVIDLTSRPSTWITIVLQRLSFSRKQLVPLIINPEGENLDYLVELMKDGKLRTLVDSKHHLSKAEDAWARKTDGYAVGKIIVET >ONH89743 pep chromosome:Prunus_persica_NCBIv2:G8:1098549:1103756:-1 gene:PRUPE_8G013200 transcript:ONH89743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEFYDVLSVSPSASEEEIRKAYYIKARQVHPDKNPNDPQAAERFQVLGEAYQVLSDPVQRDAYDRNGKYCISRETMLDPTAVFAFLFGSELFEDYIGHLAVASMASSQLAGEGDSPEKVHDKLKAVQKEREEKLAKSLKDFLKQYVQGDKEGFLQHAESEAGRLSDAAFGIEMLHTIGYVYSRQAAQELGKKTIFLGVPFLAEWVRNKGHFWKSQMTAAKGAFQLLQLQEEIRRQFKMDGSGPENDVESHIRLNKDTLLNSLWKLNVVDIEVTLMHVCQMVLRENNVRKDELKARALALKHLGRIFQEEKQTRNGGTSRRKSSADTDDDGSSSDSSSEEDSPRPLSYRTPFLTQGIGRLFRCLCNPAFDVDDEEIVYKSK >ONH89744 pep chromosome:Prunus_persica_NCBIv2:G8:1098549:1104366:-1 gene:PRUPE_8G013200 transcript:ONH89744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEFYDVLSVSPSASEEEIRKAYYIKARQVHPDKNPNDPQAAERFQVLGEAYQVLSDPVQRDAYDRNGKYCISRETMLDPTAVFAFLFGSELFEDYIGHLAVASMASSQLAGEGDSPEKVHDKLKAVQKEREEKLAKSLKDFLKQYVQGDKEGFLQHAESEAGRLSDAAFGIEMLHTIGYVYSRQAAQELGKKTIFLGVPFLAEWVRNKGHFWKSQMTAAKGAFQLLQLQEEIRRQFKMDGSGPENDVESHIRLNKDTLLNSLWKLNVVDIEVTLMHVCQMVLRENNVRKDELKARALALKHLGRIFQEEKQTRNGGTSRRKSSADTDDDGSSSDSSSEEDSPRPLSYRTPFLTQGIGRLFRCLCNPAFDVDDEEIVYKSK >ONH93205 pep chromosome:Prunus_persica_NCBIv2:G8:19963347:19964445:1 gene:PRUPE_8G219100 transcript:ONH93205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKTMRLPPRRISTTNASNNNKRKEKESGFDALHQSTTTKMLKLAPPPQAGSNSPPEPASSDQLLAGYLAHEFLTKGTLLGQSWDTYKAEPAPGPPGDEAEPSSGAEPNLENLERYVEVADLLKTDGAHLAGIVNPTQLARVLQL >ONH92584 pep chromosome:Prunus_persica_NCBIv2:G8:18201097:18203683:1 gene:PRUPE_8G182300 transcript:ONH92584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVARRSTMDTAAGKGRRPGPMKVKVVDENDVVVVGAKASDALPLPLYLTNAVFFTLFFSVVYFLLTRWREKIRTSTPLHVVNLSEIVAILAFVASFIYLLGFFGIDFVQSLILRPSNDVWAADDDLEEHERMILKDDARKVPCGAGLDCSIPQIAPIASPKAVAQKVFDKEVVISTPTDFSTQPLSEEDEDVIKAVVSGTIPSYSLESKLGDCKRAAAIRREALQRITGKSMGGLPLEGFDYESILGQCCEMPVGYIQIPVGIAGPLMLDGREFSVPMATTEGCLVASTNRGCKAINLSGGASSVLLRDGMTRAPCVRFNSAKRAAELKFFLEDPNNYDTLATVFNRSSRFGRLQTIKCAIAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDFLQNDFADMDVIGISGNYCSDKKPAAVNWIEGRGKSVVCEAVIKGDVVRKVLKTNVEALCELNMLKNLTGSAMAGALGGFNAHASNIVSAIYIATGQDPAQNVESSHCITMMEPINDGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANREAPGANARLLATVVAGSVLAGELSLMSAISAGQLVRSHMKYNRSSKDVSAVASA >ONH93719 pep chromosome:Prunus_persica_NCBIv2:G8:21491182:21492093:-1 gene:PRUPE_8G248900 transcript:ONH93719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIFEENDVICAEVRGFQHDGLHLQARSQKYGKLGRGQLLTVPPYLVKRRKQHFHHLENYGIDLITGCNGFIWVGEHVEVTDDMVVDQVNKSEQDDAKPDENFISPEDQEKNYTWQETRENICRAANAIHVLSTLGFNIAVEVIMETVDLSTSINVAIHEMLGSEFYVLVAEKESVRRSMTKKKSDDSVRL >ONH93718 pep chromosome:Prunus_persica_NCBIv2:G8:21489914:21493092:-1 gene:PRUPE_8G248900 transcript:ONH93718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIFEENDVICAEVRGFQHDGLHLQARSQKYGKLGRGQLLTVPPYLVKRRKQHFHHLENYGIDLITGCNGFIWVGEHVEVTDDMVVDQVNKSEQDDAKPDENFISPEDQEKNYTWQETRENICRAANAIHVLSTLGFNIAVEVIMETVDLSTSINVAIHEMLGSEFYVLVAEKESVRRSMTKKKSDDSVRL >ONH93720 pep chromosome:Prunus_persica_NCBIv2:G8:21490996:21493092:-1 gene:PRUPE_8G248900 transcript:ONH93720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIFEENDVICAEVRGFQHDGLHLQARSQKYGKLGRGQLLTVPPYLVKRRKQHFHHLENYGIDLITGCNGFIWVGEHVEVTDDMVVDQVNKSEQDDAKPDENFISPEDQEKNYTWQETRENICRAANAIHVLSTLGFNIAVEVIMETVDLSTSINVAIHEMLGSEFYVLVAEKESVRRSMTKKKSDDSVRL >ONH91032 pep chromosome:Prunus_persica_NCBIv2:G8:12276463:12283477:-1 gene:PRUPE_8G089500 transcript:ONH91032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASSAWSWVLLCIVWWSLSLELAQCNVVYDRKALIIDGQRRILFSGSIHYPRSTPEMWEGLIQKAKDGGLDAIDTYVFWNLHEPSPGNYNFEGRYDLARFIKTVHKAGLYVHLRIGPYICSEWNFGGFPVWLKYVPGISFRTDNEPFKSAMQKFTQKIVQLMKDEKLFESQGGPIILSQIENEYEPESKAFGASGYAYMSWAAKMAVGMGTGVPWVMCKEQDAPDPVINTCNGFYCDYFSPNRVYKPTLWTEAWTGWFTEFGGPVYQRPVEDLAFAVAGFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAVKLCEPALLNADPTVTSLGSYGQAHVFSFKSGVCAAFLSNYNTKSAATVTFNNMNFHLPPWSISILPDCKNVVFNTARVGVQTSQTQLLHTNSELRSWEIFNEDISSVAGDTTITVIGLLDQLNITRDSSDYLWYTTSVDIGPSESFLRGGQHPSLTVQSTGDAMHVFINDQLSGSAYGTREYRRFTFTGNVNLHAGLNKISLLSIAVGLANNGPHFEMRSTGVLGPVVLHGLDQGKRDLSWQKWSYKVGLKGEDMNLGALHSISAVDWMKGSLVAQKQQPLTWYKASFDAPKGDDPLALDMGSMGKGQVWINGQSIGRYWTTYATGNCSECAYSGTFRPKKCQFGCQHPTQQWYHVPRSFLKPSNNLLVVFEEIGGDVSRIGLVKKSVTSVCAEVSENHPHFRNWQTESHGQLEEQNKPEISLHCTEGHSISAIKFSSFGTPSGSCGTFQHGACHAPNSNAVLEKECIGKQKCSVTISNTNFGKDPCPSKLKKLSVEAVCAPI >ONH91033 pep chromosome:Prunus_persica_NCBIv2:G8:12277876:12283116:-1 gene:PRUPE_8G089500 transcript:ONH91033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASSAWSWVLLCIVWWSLSLELAQCNVVYDRKALIIDGQRRILFSGSIHYPRSTPEMWEGLIQKAKDGGLDAIDTYVFWNLHEPSPGNYNFEGRYDLARFIKTVHKAGLYVHLRIGPYICSEWNFGGFPVWLKYVPGISFRTDNEPFKSAMQKFTQKIVQLMKDEKLFESQGGPIILSQIENEYEPESKAFGASGYAYMSWAAKMAVGMGTGVPWVMCKEQDAPDPVINTCNGFYCDYFSPNRVYKPTLWTEAWTGWFTEFGGPVYQRPVEDLAFAVAGFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAVKLCEPALLNADPTVTSLGSYGQAHVFSFKSGVCAAFLSNYNTKSAATVTFNNMNFHLPPWSISILPDCKNVVFNTARVGVQTSQTQLLHTNSELRSWEIFNEDISSVAGDTTITVIGLLDQLNITRDSSDYLWYTTSVDIGPSESFLRGGQHPSLTVQSTGDAMHVFINDQLSGSAYGTREYRRFTFTGNVNLHAGLNKISLLSIAVGLANNGPHFEMRSTGVLGPVVLHGLDQGKRDLSWQKWSYKVGLKGEDMNLGALHSISAVDWMKGSLVAQKQQPLTWYKNSTEGWRVNWSWIGLQQQLRFISKFL >ONH91329 pep chromosome:Prunus_persica_NCBIv2:G8:13785638:13790501:1 gene:PRUPE_8G106700 transcript:ONH91329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMGRLNCYVEAMMKRQSTDSDVQSSLNQEILQLQKQLQDQFLVRHALQKALSYRPLSPDSTIETSLPKSTKEVVKEIAVLELEVVYLERYLLSLYRKTFDQQISSELSVAPRQDATPENSNTVTYSDDLMSPRNSIVKPLKECNDIVEQQKLLDSSIHRTYSSLSQRSTCSTRTSPRTKSRAKAVDSYHSLPFSMLEQAQSATTNVYPTEQLETYFSDQVPDTPNCISEEMIKCIATIFCELADPPVISHDYSSSPITSSSTYNLSSHSQGEKWSSKRTKVPFFNSHFDKPFHIEGPDEMSGPYCRMLKVHSIRRDAEKLGDVEHALKKFRSLIYRLEEVDLRTMKHEEKLAFWINVHNALVMHAFLVYGIPQNNLKRVSLLLKAAYNVGGHAISVDMIQRSILGCRLPRPGQWLRLLFSMKTKFKVGDARKAYSIEHPEPLLHFALCSGSHSDPAVRVYTSKRVFEELETAKHEYIQSTFLVHKEQKILLPKIVESFAKDTGLCSADLMGMIEHFMPDFQRKSNKPFQHKRTWKGIEWIPHNFTFRYLLSKEVAW >ONH91330 pep chromosome:Prunus_persica_NCBIv2:G8:13785034:13790501:1 gene:PRUPE_8G106700 transcript:ONH91330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLNCYVEAMMKRQSTDSDVQSSLNQEILQLQKQLQDQFLVRHALQKALSYRPLSPDSTIETSLPKSTKEVVKEIAVLELEVVYLERYLLSLYRKTFDQQISSELSVAPRQDATPENSNTVTYSDDLMSPRNSIVKPLKECNDIVEQQKLLDSSIHRTYSSLSQRSTCSTRTSPRTKSRAKAVDSYHSLPFSMLEQAQSATTNVYPTEQLETYFSDQVPDTPNCISEEMIKCIATIFCELADPPVISHDYSSSPITSSSTYNLSSHSQGEKWSSKRTKVPFFNSHFDKPFHIEGPDEMSGPYCRMLKVHSIRRDAEKLGDVEHALKKFRSLIYRLEEVDLRTMKHEEKLAFWINVHNALVMHAFLVYGIPQNNLKRVSLLLKAAYNVGGHAISVDMIQRSILGCRLPRPGQWLRLLFSMKTKFKVGDARKAYSIEHPEPLLHFALCSGSHSDPAVRVYTSKRVFEELETAKHEYIQSTFLVHKEQKILLPKIVESFAKDTGLCSADLMGMIEHFMPDFQRKSNKPFQHKRTWKGIEWIPHNFTFRYLLSKEVAW >ONH91325 pep chromosome:Prunus_persica_NCBIv2:G8:13785349:13790501:1 gene:PRUPE_8G106700 transcript:ONH91325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEIKWQGNSLMPFKFMEGISKHKRSNSEPVNRKFEKDKLNSILEAPYSLKMKEMGRLNCYVEAMMKRQSTDSDVQSSLNQEILQLQKQLQDQFLVRHALQKALSYRPLSPDSTIETSLPKSTKEVVKEIAVLELEVVYLERYLLSLYRKTFDQQISSELSVAPRQDATPENSNTVTYSDDLMSPRNSIVKPLKECNDIVEQQKLLDSSIHRTYSSLSQRSTCSTRTSPRTKSRAKAVDSYHSLPFSMLEQAQSATTNVYPTEQLETYFSDQVPDTPNCISEEMIKCIATIFCELADPPVISHDYSSSPITSSSTYNLSSHSQGEKWSSKRTKVPFFNSHFDKPFHIEGPDEMSGPYCRMLKVHSIRRDAEKLGDVEHALKKFRSLIYRLEEVDLRTMKHEEKLAFWINVHNALVMHAFLVYGIPQNNLKRVSLLLKAAYNVGGHAISVDMIQRSILGCRLPRPGQWLRLLFSMKTKFKVGDARKAYSIEHPEPLLHFALCSGSHSDPAVRVYTSKRVFEELETAKHEYIQSTFLVHKEQKILLPKIVESFAKDTGLCSADLMGMIEHFMPDFQRKSNKPFQHKRTWKGIEWIPHNFTFRYLLSKEVAW >ONH91326 pep chromosome:Prunus_persica_NCBIv2:G8:13785034:13790501:1 gene:PRUPE_8G106700 transcript:ONH91326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEIKWQGNSLMPFKFMEGISKHKRSNSEPVNRKFEKDKLNSILEAPYSLKMKEMGRLNCYVEAMMKRQSTDSDVQSSLNQEILQLQKQLQDQFLVRHALQKALSYRPLSPDSTIETSLPKSTKEVVKEIAVLELEVVYLERYLLSLYRKTFDQQISSELSVAPRQDATPENSNTVTYSDDLMSPRNSIVKPLKECNDIVEQQKLLDSSIHRTYSSLSQRSTCSTRTSPRTKSRAKAVDSYHSLPFSMLEQAQSATTNVYPTEQLETYFSDQVPDTPNCISEEMIKCIATIFCELADPPVISHDYSSSPITSSSTYNLSSHSQGEKWSSKRTKVPFFNSHFDKPFHIEGPDEMSGPYCRMLKVHSIRRDAEKLGDVEHALKKFRSLIYRLEEVDLRTMKHEEKLAFWINVHNALVMHAFLVYGIPQNNLKRVSLLLKAAYNVGGHAISVDMIQRSILGCRLPRPGQWLRLLFSMKTKFKVGDARKAYSIEHPEPLLHFALCSGSHSDPAVRVYTSKRVFEELETAKHEYIQSTFLVHKEQKILLPKIVESFAKDTGLCSADLMGMIEHFMPDFQRKSNKPFQHKRTWKGIEWIPHNFTFRYLLSKEVAW >ONH91327 pep chromosome:Prunus_persica_NCBIv2:G8:13785033:13790501:1 gene:PRUPE_8G106700 transcript:ONH91327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEIKWQGNSLMPFKFMEGISKHKRSNSEPVNRKFEKDKLNSILEAPYSLKMKEMGRLNCYVEAMMKRQSTDSDVQSSLNQEILQLQKQLQDQFLVRHALQKALSYRPLSPDSTIETSLPKQAQSATTNVYPTEQLETYFSDQVPDTPNCISEEMIKCIATIFCELADPPVISHDYSSSPITSSSTYNLSSHSQGEKWSSKRTKVPFFNSHFDKPFHIEGPDEMSGPYCRMLKVHSIRRDAEKLGDVEHALKKFRSLIYRLEEVDLRTMKHEEKLAFWINVHNALVMHAFLVYGIPQNNLKRVSLLLKAAYNVGGHAISVDMIQRSILGCRLPRPGQWLRLLFSMKTKFKVGDARKAYSIEHPEPLLHFALCSGSHSDPAVRVYTSKRVFEELETAKHEYIQSTFLVHKEQKILLPKIVESFAKDTGLCSADLMGMIEHFMPDFQRKSNKPFQHKRTWKGIEWIPHNFTFRYLLSKEVAW >ONH91328 pep chromosome:Prunus_persica_NCBIv2:G8:13785034:13790501:1 gene:PRUPE_8G106700 transcript:ONH91328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEIKWQGNSLMPFKFMEGISKHKRSNSEPVNRKFEKDKLNSILEAPYSLKMEMGRLNCYVEAMMKRQSTDSDVQSSLNQEILQLQKQLQDQFLVRHALQKALSYRPLSPDSTIETSLPKSTKEVVKEIAVLELEVVYLERYLLSLYRKTFDQQISSELSVAPRQDATPENSNTVTYSDDLMSPRNSIVKPLKECNDIVEQQKLLDSSIHRTYSSLSQRSTCSTRTSPRTKSRAKAVDSYHSLPFSMLEQAQSATTNVYPTEQLETYFSDQVPDTPNCISEEMIKCIATIFCELADPPVISHDYSSSPITSSSTYNLSSHSQGEKWSSKRTKVPFFNSHFDKPFHIEGPDEMSGPYCRMLKVHSIRRDAEKLGDVEHALKKFRSLIYRLEEVDLRTMKHEEKLAFWINVHNALVMHAFLVYGIPQNNLKRVSLLLKAAYNVGGHAISVDMIQRSILGCRLPRPGQWLRLLFSMKTKFKVGDARKAYSIEHPEPLLHFALCSGSHSDPAVRVYTSKRVFEELETAKHEYIQSTFLVHKEQKILLPKIVESFAKDTGLCSADLMGMIEHFMPDFQRKSNKPFQHKRTWKGIEWIPHNFTFRYLLSKEVAW >ONH90148 pep chromosome:Prunus_persica_NCBIv2:G8:3468591:3468899:-1 gene:PRUPE_8G037300 transcript:ONH90148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNTEVENQVDGIEEDNNKMNDEVGVILIVSMNLEPQVGKEFNSLDDVYEFYKTYARNSEFGIRKHSSKRIRFTNEIIRKEFVCCRQGCYEPPKDPKHKRM >ONH92531 pep chromosome:Prunus_persica_NCBIv2:G8:18036686:18041642:-1 gene:PRUPE_8G179500 transcript:ONH92531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYENSRELMRLKIVHLSAAGMRWPTGGLLWRKQPICLGFIIPAKQEADFVEKVVQDVLTKLNRESSSDLKGLVGIEKKIEKIESLLCLDSRGVCCVGIWGMGGIGKTTLAGAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKQKDVNIDTPSIPLNILIRLRRTKALIVLDDVNARKQLEYLVGDHDWFCQGSRIIITARDKGILEQKVDHEKIYNVEGLGSDEALELFHSHAFGNKSLTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEAQWKKVKRVPIGEIQEVLGVSYDGLDDNGKEIFLDIACFHKGCRRNDVERMLDGCDFFGEAGINDLIDRSLVSISKNGYWNGMQLEIHDLVQEMGRAIAREQRSRLFIGKDVYQVLTNNQKDGHVQAISFDWYEIKKLHLELEHANFEKMYELRFLRVSRFLPSMVSLDLPNSLRFLQWDGYPLKSLPSKFSAQNLVVLDMSSNEVEVQLWNENQSPVNLKRISLRFCEYLTEVPNLSRSLNIEHINLPGCKSLVEIPSYFQHLNKLTYLNLVMCNKLKNLAEMPCNLEYLNLSWTAIEELPSSIWSHEKISHLDIKHCRHLKSLPSNSCKLKLSNSFSLEGCESLCEFWELPWNTTVLELSGTTIKELRNKSIKFVGLTAIKLINCKSLVSLPTNIWKLKYLESLDLSRCSNLEHFPEISEAMEHLEFLNLSFTAVKELPPSIGNLVELRKLDLGDCNNLVSLPTNIWKLKSLESLDLSRCSNLEHFPEISEAMEHLEFLNLWSTAVKEVTSSIGNLVALRKLDLGDCSIQEIPDDLFCLTSLQELTLSFTEIKSIPASVKQAAQLSRLFLNGCKSLESLPEFPPLLQHLEADGCTSLKTVSSSSTAITQGWEEYIFYLGLSEKHNFSNCPKLDENARSNIMGDAQLRIMRMAIASSEFKEDKIEQPSYHSDNSYYDFEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVNHPLCNLRRDTMGFESIDSYEVFVLWYNNVFEEVVEGAQSPTAFFKLVTEVNVDFIIRSPYDSESYSEGEEVEEEMEVKVEKCGICLLYGKDAEMIKQRAL >ONH92524 pep chromosome:Prunus_persica_NCBIv2:G8:18036686:18043619:-1 gene:PRUPE_8G179500 transcript:ONH92524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSSLPFPASLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLGKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGENSEQTNGLHQLRNKLVGEILKQKDVNIDTPSIPLNILIRLRRTKALIVLDDVNARKQLEYLVGDHDWFCQGSRIIITARDKGILEQKVDHEKIYNVEGLGSDEALELFHSHAFGNKSLTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEAQWKKVKRVPIGEIQEVLGVSYDGLDDNGKEIFLDIACFHKGCRRNDVERMLDGCDFFGEAGINDLIDRSLVSISKNGYWNGMQLEIHDLVQEMGRAIAREQRSRLFIGKDVYQVLTNNQKDGHVQAISFDWYEIKKLHLELEHANFEKMYELRFLRVSRFLPSMVSLDLPNSLRFLQWDGYPLKSLPSKFSAQNLVVLDMSSNEVEVQLWNENQSPVNLKRISLRFCEYLTEVPNLSRSLNIEHINLPGCKSLVEIPSYFQHLNKLTYLNLVMCNKLKNLAEMPCNLEYLNLSWTAIEELPSSIWSHEKISHLDIKHCRHLKSLPSNSCKLKLSNSFSLEGCESLCEFWELPWNTTVLELSGTTIKELRNKSIKFVGLTAIKLINCKSLVSLPTNIWKLKYLESLDLSRCSNLEHFPEISEAMEHLEFLNLSFTAVKELPPSIGNLVELRKLDLGDCNNLVSLPTNIWKLKSLESLDLSRCSNLEHFPEISEAMEHLEFLNLWSTAVKEVTSSIGNLVALRKLDLGDCSIQEIPDDLFCLTSLQELTLSFTEIKSIPASVKQAAQLSRLFLNGCKSLESLPEFPPLLQHLEADGCTSLKTVSSSSTAITQGWEEYIFYLGLSEKHNFSNCPKLDENARSNIMGDAQLRIMRMAIASSEFKEDKIEQPSYHSDNSYYDFEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVNHPLCNLRRDTMGFESIDSYEVFVLWYNNVFEEVVEGAQSPTAFFKLVTEVNVDFIIRSPYDSESYSEGEEVEEEMEVKVEKCGICLLYGKDAEMIKQRAL >ONH92526 pep chromosome:Prunus_persica_NCBIv2:G8:18036686:18042836:-1 gene:PRUPE_8G179500 transcript:ONH92526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSSLPFPASLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLGKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGTEADFVEKVVQDVLTKLNRESSSDLKGLVGIEKKIEKIESLLCLDSRGVCCVGIWGMGGIGKTTLAGAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKQKDVNIDTPSIPLNILIRLRRTKALIVLDDVNARKQLEYLVGDHDWFCQGSRIIITARDKGILEQKVDHEKIYNVEGLGSDEALELFHSHAFGNKSLTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEAQWKKVKRVPIGEIQEVLGVSYDGLDDNGKEIFLDIACFHKGCRRNDVERMLDGCDFFGEAGINDLIDRSLVSISKNGYWNGMQLEIHDLVQEMGRAIAREQRSRLFIGKDVYQVLTNNQKDGHVQAISFDWYEIKKLHLELEHANFEKMYELRFLRVSRFLPSMVSLDLPNSLRFLQWDGYPLKSLPSKFSAQNLVVLDMSSNEVEVQLWNENQSPVNLKRISLRFCEYLTEVPNLSRSLNIEHINLPGCKSLVEIPSYFQHLNKLTYLNLVMCNKLKNLAEMPCNLEYLNLSWTAIEELPSSIWSHEKISHLDIKHCRHLKSLPSNSCKLKLSNSFSLEGCESLCEFWELPWNTTVLELSGTTIKELRNKSIKFVGLTAIKLINCKSLVSLPTNIWKLKYLESLDLSRCSNLEHFPEISEAMEHLEFLNLSFTAVKELPPSIGNLVELRKLDLGDCNNLVSLPTNIWKLKSLESLDLSRCSNLEHFPEISEAMEHLEFLNLWSTAVKEVTSSIGNLVALRKLDLGDCSIQEIPDDLFCLTSLQELTLSFTEIKSIPASVKQAAQLSRLFLNGCKSLESLPEFPPLLQHLEADGCTSLKTVSSSSTAITQGWEEYIFYLGLSEKHNFSNCPKLDENARSNIMGDAQLRIMRMAIASSEFKEDKIEQPSYHSDNSYYDFEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVNHPLCNLRRDTMGFESIDSYEVFVLWYNNVFEEVVEGAQSPTAFFKLVTEVNVDFIIRSPYDSESYSEGEEVEEEMEVKVEKCGICLLYGKDAEMIKQRAL >ONH92532 pep chromosome:Prunus_persica_NCBIv2:G8:18036686:18041642:-1 gene:PRUPE_8G179500 transcript:ONH92532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYENSRELMRLKIVHLSAAGMRWPTGGLLWRKQPICLGFIIPAKQEADFVEKVVQDVLTKLNRESSSDLKGLVGIEKKIEKIESLLCLDSRGVCCVGIWGMGGIGKTTLAGAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKQKDVNIDTPSIPLNILIRLRRTKALIVLDDVNARKQLEYLVGDHDWFCQGSRIIITARDKGILEQKVDHEKIYNVEGLGSDEALELFHSHAFGNKSLTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEAQWKKVKRVPIGEIQEVLGVSYDGLDDNGKEIFLDIACFHKGCRRNDVERMLDGCDFFGEAGINDLIDRSLVSISKNGYWNGMQLEIHDLVQEMGRAIAREQRSRLFIGKDVYQVLTNNQKDGHVQAISFDWYEIKKLHLELEHANFEKMYELRFLRVSRFLPSMVSLDLPNSLRFLQWDGYPLKSLPSKFSAQNLVVLDMSSNEVEVQLWNENQSPVNLKRISLRFCEYLTEVPNLSRSLNIEHINLPGCKSLVEIPSYFQHLNKLTYLNLVMCNKLKNLAEMPCNLEYLNLSWTAIEELPSSIWSHEKISHLDIKHCRHLKSLPSNSCKLKLSNSFSLEGCESLCEFWELPWNTTVLELSGTTIKELRNKSIKFVGLTAIKLINCKSLVSLPTNIWKLKYLESLDLSRCSNLEHFPEISEAMEHLEFLNLSFTAVKELPPSIGNLVELRKLDLGDCNNLVSLPTNIWKLKSLESLDLSRCSNLEHFPEISEAMEHLEFLNLWSTAVKEVTSSIGNLVALRKLDLGDCSIQEIPDDLFCLTSLQELTLSFTEIKSIPASVKQAAQLSRLFLNGCKSLESLPEFPPLLQHLEADGCTSLKTVSSSSTAITQGWEEYIFYLGLSEKHNFSNCPKLDENARSNIMGDAQLRIMRMAIASSEFKEDKIEQPSYHSDNSYYDFEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVNHPLCNLRRDTMGFESIDSYEVFVLWYNNVFEEVVEGAQSPTAFFKLVTEVNVDFIIRSPYDSESYSEGEEVEEEMEVKVEKCGICLLYGKDAEMIKQRAL >ONH92527 pep chromosome:Prunus_persica_NCBIv2:G8:18036927:18041174:-1 gene:PRUPE_8G179500 transcript:ONH92527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSSLPFPASLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLGKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGTEADFVEKVVQDVLTKLNRESSSDLKGLVGIEKKIEKIESLLCLDSRGVCCVGIWGMGGIGKTTLAGAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKQKDVNIDTPSIPLNILIRLRRTKALIVLDDVNARKQLEYLVGDHDWFCQGSRIIITARDKGILEQKVDHEKIYNVEGLGSDEALELFHSHAFGNKSLTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEAQWKKVKRVPIGEIQEVLGVSYDGLDDNGKEIFLDIACFHKGCRRNDVERMLDGCDFFGEAGINDLIDRSLVSISKNGYWNGMQLEIHDLVQEMGRAIAREQRSRLFIGKDVYQVLTNNQKDGHVQAISFDWYEIKKLHLELEHANFEKMYELRFLRVSRFLPSMVSLDLPNSLRFLQWDGYPLKSLPSKFSAQNLVVLDMSSNEVEVQLWNENQSPVNLKRISLRFCEYLTEVPNLSRSLNIEHINLPGCKSLVEIPSYFQHLNKLTYLNLVMCNKLKNLAEMPCNLEYLNLSWTAIEELPSSIWSHEKISHLDIKHCRHLKSLPSNSCKLKLSNSFSLEGCESLCEFWELPWNTTVLELSGTTIKELRNKSIKFVGLTAIKLINCKSLVSLPTNIWKLKYLESLDLSRCSNLEHFPEISEAMEHLEFLNLSFTAVKELPPSIGNLVELRKLDLGDCNNLVSLPTNIWKLKSLESLDLSRCSNLEHFPEISEAMEHLEFLNLWSTAVKEVTSSIGNLVALRKLDLGDCSIQEIPDDLFCLTSLQELTLSFTEIKSIPASVKQAAQLSRLFLNGCKSLESLPEFPPLLQHLEADGCTSLKTVSSSSTAITQGWEEYIFYLGLSEKHNFSNCPKLDENARSNIMGDAQLRIMRMAIASSEFKEDKIEQPSYHSDNSYYDFEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVNHPLCNLRRDTMGFESIDSYEVFVLWYNNVFEEVVEGAQSPTAFFKLVTEVNVDFIIRSPYDSESYSEGEEVEEEMEVKVEKCGICLLYGKDAEMIKQRAL >ONH92530 pep chromosome:Prunus_persica_NCBIv2:G8:18036686:18043658:-1 gene:PRUPE_8G179500 transcript:ONH92530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSSLPFPASLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLGKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGTEADFVEKVVQDVLTKLNRESSSDLKGLVGIEKKIEKIESLLCLDSRGVCCVGIWGMGGIGKTTLAGAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKQKDVNIDTPSIPLNILIRLRRTKALIVLDDVNARKQLEYLVGDHDWFCQGSRIIITARDKGILEQKVDHEKIYNVEGLGSDEALELFHSHAFGNKSLTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEAQWKKVKRVPIGEIQEVLGVSYDGLDDNGKEIFLDIACFHKGCRRNDVERMLDGCDFFGEAGINDLIDRSLVSISKNGYWNGMQLEIHDLVQEMGRAIAREQRSRLFIGKDVYQVLTNNQKDGHVQAISFDWYEIKKLHLELEHANFEKMYELRFLRVSRFLPSMVSLDLPNSLRFLQWDGYPLKSLPSKFSAQNLVVLDMSSNEVEVQLWNENQSPVNLKRISLRFCEYLTEVPNLSRSLNIEHINLPGCKSLVEIPSYFQHLNKLTYLNLVMCNKLKNLAEMPCNLEYLNLSWTAIEELPSSIWSHEKISHLDIKHCRHLKSLPSNSCKLKLSNSFSLEGCESLCEFWELPWNTTVLELSGTTIKELRNKSIKFVGLTAIKLINCKSLVSLPTNIWKLKYLESLDLSRCSNLEHFPEISEAMEHLEFLNLSFTAVKELPPSIGNLVELRKLDLGDCNNLVSLPTNIWKLKSLESLDLSRCSNLEHFPEISEAMEHLEFLNLWSTAVKEVTSSIGNLVALRKLDLGDCSIQEIPDDLFCLTSLQELTLSFTEIKSIPASVKQAAQLSRLFLNGCKSLESLPEFPPLLQHLEADGCTSLKTVSSSSTAITQGWEEYIFYLGLSEKHNFSNCPKLDENARSNIMGDAQLRIMRMAIASSEFKEDKIEQPSYHSDNSYYDFEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVNHPLCNLRRDTMGFESIDSYEVFVLWYNNVFEEVVEGAQSPTAFFKLVTEVNVDFIIRSPYDSESYSEGEEVEEEMEVKVEKCGICLLYGKDAEMIKQRAL >ONH92529 pep chromosome:Prunus_persica_NCBIv2:G8:18036686:18041552:-1 gene:PRUPE_8G179500 transcript:ONH92529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSSLPFPASLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLGKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGTEADFVEKVVQDVLTKLNRESSSDLKGLVGIEKKIEKIESLLCLDSRGVCCVGIWGMGGIGKTTLAGAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKQKDVNIDTPSIPLNILIRLRRTKALIVLDDVNARKQLEYLVGDHDWFCQGSRIIITARDKGILEQKVDHEKIYNVEGLGSDEALELFHSHAFGNKSLTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEAQWKKVKRVPIGEIQEVLGVSYDGLDDNGKEIFLDIACFHKGCRRNDVERMLDGCDFFGEAGINDLIDRSLVSISKNGYWNGMQLEIHDLVQEMGRAIAREQRSRLFIGKDVYQVLTNNQKDGHVQAISFDWYEIKKLHLELEHANFEKMYELRFLRVSRFLPSMVSLDLPNSLRFLQWDGYPLKSLPSKFSAQNLVVLDMSSNEVEVQLWNENQSPVNLKRISLRFCEYLTEVPNLSRSLNIEHINLPGCKSLVEIPSYFQHLNKLTYLNLVMCNKLKNLAEMPCNLEYLNLSWTAIEELPSSIWSHEKISHLDIKHCRHLKSLPSNSCKLKLSNSFSLEGCESLCEFWELPWNTTVLELSGTTIKELRNKSIKFVGLTAIKLINCKSLVSLPTNIWKLKYLESLDLSRCSNLEHFPEISEAMEHLEFLNLSFTAVKELPPSIGNLVELRKLDLGDCNNLVSLPTNIWKLKSLESLDLSRCSNLEHFPEISEAMEHLEFLNLWSTAVKEVTSSIGNLVALRKLDLGDCSIQEIPDDLFCLTSLQELTLSFTEIKSIPASVKQAAQLSRLFLNGCKSLESLPEFPPLLQHLEADGCTSLKTVSSSSTAITQGWEEYIFYLGLSEKHNFSNCPKLDENARSNIMGDAQLRIMRMAIASSEFKEDKIEQPSYHSDNSYYDFEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVNHPLCNLRRDTMGFESIDSYEVFVLWYNNVFEEVVEGAQSPTAFFKLVTEVNVDFIIRSPYDSESYSEGEEVEEEMEVKVEKCGICLLYGKDAEMIKQRAL >ONH92528 pep chromosome:Prunus_persica_NCBIv2:G8:18036927:18041174:-1 gene:PRUPE_8G179500 transcript:ONH92528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSSLPFPASLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLGKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGTEADFVEKVVQDVLTKLNRESSSDLKGLVGIEKKIEKIESLLCLDSRGVCCVGIWGMGGIGKTTLAGAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKQKDVNIDTPSIPLNILIRLRRTKALIVLDDVNARKQLEYLVGDHDWFCQGSRIIITARDKGILEQKVDHEKIYNVEGLGSDEALELFHSHAFGNKSLTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEAQWKKVKRVPIGEIQEVLGVSYDGLDDNGKEIFLDIACFHKGCRRNDVERMLDGCDFFGEAGINDLIDRSLVSISKNGYWNGMQLEIHDLVQEMGRAIAREQRSRLFIGKDVYQVLTNNQKDGHVQAISFDWYEIKKLHLELEHANFEKMYELRFLRVSRFLPSMVSLDLPNSLRFLQWDGYPLKSLPSKFSAQNLVVLDMSSNEVEVQLWNENQSPVNLKRISLRFCEYLTEVPNLSRSLNIEHINLPGCKSLVEIPSYFQHLNKLTYLNLVMCNKLKNLAEMPCNLEYLNLSWTAIEELPSSIWSHEKISHLDIKHCRHLKSLPSNSCKLKLSNSFSLEGCESLCEFWELPWNTTVLELSGTTIKELRNKSIKFVGLTAIKLINCKSLVSLPTNIWKLKYLESLDLSRCSNLEHFPEISEAMEHLEFLNLSFTAVKELPPSIGNLVELRKLDLGDCNNLVSLPTNIWKLKSLESLDLSRCSNLEHFPEISEAMEHLEFLNLWSTAVKEVTSSIGNLVALRKLDLGDCSIQEIPDDLFCLTSLQELTLSFTEIKSIPASVKQAAQLSRLFLNGCKSLESLPEFPPLLQHLEADGCTSLKTVSSSSTAITQGWEEYIFYLGLSEKHNFSNCPKLDENARSNIMGDAQLRIMRMAIASSEFKEDKIEQPSYHSDNSYYDFEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVNHPLCNLRRDTMGFESIDSYEVFVLWYNNVFEEVVEGAQSPTAFFKLVTEVNVDFIIRSPYDSESYSEGEEVEEEMEVKVEKCGICLLYGKDAEMIKQRAL >ONH92525 pep chromosome:Prunus_persica_NCBIv2:G8:18036927:18041174:-1 gene:PRUPE_8G179500 transcript:ONH92525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSSLPFPASLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLGKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGTEADFVEKVVQDVLTKLNRESSSDLKGLVGIEKKIEKIESLLCLDSRGVCCVGIWGMGGIGKTTLAGAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKQKDVNIDTPSIPLNILIRLRRTKALIVLDDVNARKQLEYLVGDHDWFCQGSRIIITARDKGILEQKVDHEKIYNVEGLGSDEALELFHSHAFGNKSLTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEAQWKKVKRVPIGEIQEVLGVSYDGLDDNGKEIFLDIACFHKGCRRNDVERMLDGCDFFGEAGINDLIDRSLVSISKNGYWNGMQLEIHDLVQEMGRAIAREQRSRLFIGKDVYQVLTNNQKDGHVQAISFDWYEIKKLHLELEHANFEKMYELRFLRVSRFLPSMVSLDLPNSLRFLQWDGYPLKSLPSKFSAQNLVVLDMSSNEVEVQLWNENQSPVNLKRISLRFCEYLTEVPNLSRSLNIEHINLPGCKSLVEIPSYFQHLNKLTYLNLVMCNKLKNLAEMPCNLEYLNLSWTAIEELPSSIWSHEKISHLDIKHCRHLKSLPSNSCKLKLSNSFSLEGCESLCEFWELPWNTTVLELSGTTIKELRNKSIKFVGLTAIKLINCKSLVSLPTNIWKLKYLESLDLSRCSNLEHFPEISEAMEHLEFLNLSFTAVKELPPSIGNLVELRKLDLGDCNNLVSLPTNIWKLKSLESLDLSRCSNLEHFPEISEAMEHLEFLNLWSTAVKEVTSSIGNLVALRKLDLGDCSIQEIPDDLFCLTSLQELTLSFTEIKSIPASVKQAAQLSRLFLNGCKSLESLPEFPPLLQHLEADGCTSLKTVSSSSTAITQGWEEYIFYLGLSEKHNFSNCPKLDENARSNIMGDAQLRIMRMAIASSEFKEDKIEQPSYHSDNSYYDFEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVNHPLCNLRRDTMGFESIDSYEVFVLWYNNVFEEVVEGAQSPTAFFKLVTEVNVDFIIRSPYDSESYSEGEEVEEEMEVKVEKCGICLLYGKDAEMIKQRAL >ONH92522 pep chromosome:Prunus_persica_NCBIv2:G8:18036686:18043619:-1 gene:PRUPE_8G179500 transcript:ONH92522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLGKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGTEADFVEKVVQDVLTKLNRESSSDLKGLVGIEKKIEKIESLLCLDSRGVCCVGIWGMGGIGKTTLAGAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKQKDVNIDTPSIPLNILIRLRRTKALIVLDDVNARKQLEYLVGDHDWFCQGSRIIITARDKGILEQKVDHEKIYNVEGLGSDEALELFHSHAFGNKSLTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEAQWKKVKRVPIGEIQEVLGVSYDGLDDNGKEIFLDIACFHKGCRRNDVERMLDGCDFFGEAGINDLIDRSLVSISKNGYWNGMQLEIHDLVQEMGRAIAREQRSRLFIGKDVYQVLTNNQKDGHVQAISFDWYEIKKLHLELEHANFEKMYELRFLRVSRFLPSMVSLDLPNSLRFLQWDGYPLKSLPSKFSAQNLVVLDMSSNEVEVQLWNENQSPVNLKRISLRFCEYLTEVPNLSRSLNIEHINLPGCKSLVEIPSYFQHLNKLTYLNLVMCNKLKNLAEMPCNLEYLNLSWTAIEELPSSIWSHEKISHLDIKHCRHLKSLPSNSCKLKLSNSFSLEGCESLCEFWELPWNTTVLELSGTTIKELRNKSIKFVGLTAIKLINCKSLVSLPTNIWKLKYLESLDLSRCSNLEHFPEISEAMEHLEFLNLSFTAVKELPPSIGNLVELRKLDLGDCNNLVSLPTNIWKLKSLESLDLSRCSNLEHFPEISEAMEHLEFLNLWSTAVKEVTSSIGNLVALRKLDLGDCSIQEIPDDLFCLTSLQELTLSFTEIKSIPASVKQAAQLSRLFLNGCKSLESLPEFPPLLQHLEADGCTSLKTVSSSSTAITQGWEEYIFYLGLSEKHNFSNCPKLDENARSNIMGDAQLRIMRMAIASSEFKEDKIEQPSYHSDNSYYDFEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVNHPLCNLRRDTMGFESIDSYEVFVLWYNNVFEEVVEGAQSPTAFFKLVTEVNVDFIIRSPYDSESYSEGEEVEEEMEVKVEKCGICLLYGKDAEMIKQRAL >ONH92523 pep chromosome:Prunus_persica_NCBIv2:G8:18036686:18042660:-1 gene:PRUPE_8G179500 transcript:ONH92523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSSLPFPASLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLGKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGENSEQTNGLHQLRNKLVGEILKQKDVNIDTPSIPLNILIRLRRTKALIVLDDVNARKQLEYLVGDHDWFCQGSRIIITARDKGILEQKVDHEKIYNVEGLGSDEALELFHSHAFGNKSLTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEAQWKKVKRVPIGEIQEVLGVSYDGLDDNGKEIFLDIACFHKGCRRNDVERMLDGCDFFGEAGINDLIDRSLVSISKNGYWNGMQLEIHDLVQEMGRAIAREQRSRLFIGKDVYQVLTNNQKDGHVQAISFDWYEIKKLHLELEHANFEKMYELRFLRVSRFLPSMVSLDLPNSLRFLQWDGYPLKSLPSKFSAQNLVVLDMSSNEVEVQLWNENQSPVNLKRISLRFCEYLTEVPNLSRSLNIEHINLPGCKSLVEIPSYFQHLNKLTYLNLVMCNKLKNLAEMPCNLEYLNLSWTAIEELPSSIWSHEKISHLDIKHCRHLKSLPSNSCKLKLSNSFSLEGCESLCEFWELPWNTTVLELSGTTIKELRNKSIKFVGLTAIKLINCKSLVSLPTNIWKLKYLESLDLSRCSNLEHFPEISEAMEHLEFLNLSFTAVKELPPSIGNLVELRKLDLGDCNNLVSLPTNIWKLKSLESLDLSRCSNLEHFPEISEAMEHLEFLNLWSTAVKEVTSSIGNLVALRKLDLGDCSIQEIPDDLFCLTSLQELTLSFTEIKSIPASVKQAAQLSRLFLNGCKSLESLPEFPPLLQHLEADGCTSLKTVSSSSTAITQGWEEYIFYLGLSEKHNFSNCPKLDENARSNIMGDAQLRIMRMAIASSEFKEDKIEQPSYHSDNSYYDFEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVNHPLCNLRRDTMGFESIDSYEVFVLWYNNVFEEVVEGAQSPTAFFKLVTEVNVDFIIRSPYDSESYSEGEEVEEEMEVKVEKCGICLLYGKDAEMIKQRAL >ONH94081 pep chromosome:Prunus_persica_NCBIv2:G8:22392556:22395841:-1 gene:PRUPE_8G269200 transcript:ONH94081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDDKWGGAPVEIEAEPATTSDEVKQGWLLKPENKENGGHDHERNIDGKKKKRRMMSKILGSLVSIRSLVVVGVAILVALIIVLVKIAQRNHHHLNSSSPHNYTTALHQALLFFNAQRSGKLPKDNNVSWRGDSCLTDGNSNTKTLVGGYYDAGDASKLSFPASFAMTMLSWSVIEYSAKYEALGELDHVKGIIKWGTDYLLNTFNSSAHSIANVVADVRGGDHDAYSHDCWIRPEDIDHQRTGQECYNCPALAAEMASALASASIVFKDNIKYSQKLVHGADLLFKFATKQQGAKYADGADPSSPLYNSTGFWDEFLWGGSWLYLATGNLSYLQLVTNPDLVGHERALWTDSDNRVLSWDNKHAGAFLLLSRLRLFLSYGYPYEEMLKKFHSQTEETMCSYLPVFSSFRRTKGGLIQLNHGRPKPLQYVVYAAFLAQLYSDYIDAISAPGWYCGPTFYSNVELRRFARTQIDYILGKNPRGISYVVGFSEHFPQHVHHRGASIPHNKIKYGCKGGLKWKNSRTPNPNTIVGAMVAGPDKHDNFQDVRFNYNYTEPSLVGNAGLVAALVALSSGTPAGIDRNSMFYAVPPLTSPPPPPPSPWIP >ONH91252 pep chromosome:Prunus_persica_NCBIv2:G8:13353663:13357606:-1 gene:PRUPE_8G102100 transcript:ONH91252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91262 pep chromosome:Prunus_persica_NCBIv2:G8:13353357:13358417:-1 gene:PRUPE_8G102100 transcript:ONH91262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDGDAENNSTDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQECEKLRATAETLQSEISEIPQKLWRLSEK >ONH91260 pep chromosome:Prunus_persica_NCBIv2:G8:13353663:13357606:-1 gene:PRUPE_8G102100 transcript:ONH91260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQGAPFEIQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDGDAENNSTDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91258 pep chromosome:Prunus_persica_NCBIv2:G8:13353663:13357606:-1 gene:PRUPE_8G102100 transcript:ONH91258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQGAPFEIQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDGDAENNSTDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91253 pep chromosome:Prunus_persica_NCBIv2:G8:13353663:13357606:-1 gene:PRUPE_8G102100 transcript:ONH91253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDGDAENNSTDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91249 pep chromosome:Prunus_persica_NCBIv2:G8:13353357:13358417:-1 gene:PRUPE_8G102100 transcript:ONH91249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDGDAENNSTDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91255 pep chromosome:Prunus_persica_NCBIv2:G8:13353281:13357925:-1 gene:PRUPE_8G102100 transcript:ONH91255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQGAPFEIQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91259 pep chromosome:Prunus_persica_NCBIv2:G8:13353663:13357606:-1 gene:PRUPE_8G102100 transcript:ONH91259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQGAPFEIQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDGDAENNSTDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91254 pep chromosome:Prunus_persica_NCBIv2:G8:13353663:13357606:-1 gene:PRUPE_8G102100 transcript:ONH91254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDGDAENNSTDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91256 pep chromosome:Prunus_persica_NCBIv2:G8:13353281:13358417:-1 gene:PRUPE_8G102100 transcript:ONH91256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQGAPFEIQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91251 pep chromosome:Prunus_persica_NCBIv2:G8:13353281:13358417:-1 gene:PRUPE_8G102100 transcript:ONH91251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91261 pep chromosome:Prunus_persica_NCBIv2:G8:13353357:13358417:-1 gene:PRUPE_8G102100 transcript:ONH91261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQECEKLRATAETLQSEISEIPQKLWRLSEK >ONH91264 pep chromosome:Prunus_persica_NCBIv2:G8:13353847:13357606:-1 gene:PRUPE_8G102100 transcript:ONH91264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQGAPFEIQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDGDAENNSTDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQECEKLRATAETLQSEISEIPQKLWRLSEK >ONH91250 pep chromosome:Prunus_persica_NCBIv2:G8:13353663:13357606:-1 gene:PRUPE_8G102100 transcript:ONH91250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDGDAENNSTDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91257 pep chromosome:Prunus_persica_NCBIv2:G8:13353663:13357606:-1 gene:PRUPE_8G102100 transcript:ONH91257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQGAPFEIQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQQECEKLRATAETLQSEISEIPQKLWRLSEKCEKLNEENNDIIDELEKTYGPDAVSELKAAKVESFDGKSNSPEPFDGENNSAEPKSPSRDNSSPDPLMLSLGL >ONH91263 pep chromosome:Prunus_persica_NCBIv2:G8:13353357:13358417:-1 gene:PRUPE_8G102100 transcript:ONH91263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRESSEPSTQGAPFEIQKKEETFFDEYTESLTIYEEGSIGTEFVGNGLAESEKTISFSGNNGYGASQSAASRVEDSSDESGDANRDFSATQNQMLDLMHQDDQYSGTNKDLAFQDFGVNVPETHLDLGMGLNVSSGQAIRTKEDYEQRTEKRKQSNRESAKRSRLRRQECEKLRATAETLQSEISEIPQKLWRLSEK >ONH91814 pep chromosome:Prunus_persica_NCBIv2:G8:15713194:15715822:-1 gene:PRUPE_8G137600 transcript:ONH91814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVDEKELKAAGAEPLTDGRHGLRIHGWEIESRKRSILKSSTLELWEKKLETYHMPEMVFGDSCLALKHVKSGFTIHFNAFDALVGWKKEALPPVEVPAAAQWKFRSKPSQQIILDYDYTFTTPYCGSETVKFDADKLEGGEISKDTCNLHWEDCNEKIDVVALASKEPILFYDEVVLYEDELADSGVSLLTVKVRVMPSCWFLLLRFWIFLQLRVDGALMRLRDTRMHCAFNDNASPTILREICWREATFQALSAKGYPSEDAAYNDPSIISQRLPVIMHKTQKLKVPDNV >ONH91815 pep chromosome:Prunus_persica_NCBIv2:G8:15713349:15715968:-1 gene:PRUPE_8G137600 transcript:ONH91815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVDEKELKAAGAEPLTDGRHGLRIHGWEIESRKRSILKSSTLELWEKKLETYHMPEMVFGDSCLALKHVKSGFTIHFNAFDALVGWKKEALPPVEVPAAAQWKFRSKPSQQIILDYDYTFTTPYCGSETVKFDADKLEGGEISKDTCNLHWEDCNEKIDVVALASKEPILFYDEVVLYEDELADSGVSLLTVKVRVMPSCWFLLLRFWLRVDGALMRLRDTRMHCAFNDNASPTILREICWREATFQALSAAFSVHQI >ONH91813 pep chromosome:Prunus_persica_NCBIv2:G8:15712940:15715968:-1 gene:PRUPE_8G137600 transcript:ONH91813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVDEKELKAAGAEPLTDGRHGLRIHGWEIESRKRSILKSSTLELWEKKLETYHMPEMVFGDSCLALKHVKSGFTIHFNAFDALVGWKKEALPPVEVPAAAQWKFRSKPSQQIILDYDYTFTTPYCGSETVKFDADKLEGGEISKDTCNLHWEDCNEKIDVVALASKEPILFYDEVVLYEDELADSGVSLLTVKVRVMPSCWFLLLRFWLRVDGALMRLRDTRMHCAFNDNASPTILREICWREATFQALSAKGYPSEDAAYNDPSIISQRLPVIMHKTQKLKVPDNV >ONH91358 pep chromosome:Prunus_persica_NCBIv2:G8:13929399:13929829:1 gene:PRUPE_8G109300 transcript:ONH91358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRERQKLMKLLFQKKLKARPSFDVDTSGLKERGCSHQPQQCCPSSSVLFASLRGTFTNATTANEAVKLQSWPLTTQLRLVL >ONH91653 pep chromosome:Prunus_persica_NCBIv2:G8:15166666:15169132:-1 gene:PRUPE_8G127700 transcript:ONH91653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGETGGFSEGFLWENQSWANLSINSDNSGGAGDEDKNFGTKEETAVMGISTEGHNQVPPAPGKKRRGGGGVGKNGIIKGSTSPADKGKGTTGARDGGGGGESDDHEMHIWTERERRKKMRNMFANLHALLPQLPPKADKSTIVDEAVNYIKTLQQTLQKLQKQKLERLQGATTINFDPSILNPQNVAFGSREEFLANHGSAATINPSNSLLTSHCGPVNFQTWTTPNVVLNICGDEAQISVCCPKKAGLLSAICFVLEKYKLGVMSAHVSSDCNRSMYMIQAHARGAPDQFLDTFPADEIFKQAAAEIILMLS >ONH89790 pep chromosome:Prunus_persica_NCBIv2:G8:1536068:1537970:-1 gene:PRUPE_8G016500 transcript:ONH89790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTQMGRKNNKMNCVEKVGSRSLAATIWNDLSVAIFCDLCIKEVEAGHPPDTHFSKVGWKNLVAHLSKETGKDYDKPQLKNKWDSLKAEWKMWKELIGKETGLGWNPSKNTIDASDEWWHSRVQVDSNYAKFRNKGIKPEMVEKLDRMFLKTRTTREHAKAPLFGVPSESEEASKDDAVKLKGRGDSEQFIPILTTPATKRSSGRGKRRTVQEADTQVRKEKKGKRVAIGGQKVGGTVKLSEKIDHLLESVDSSSSVTFKLEEGVHGSSIPEVMQAVQSLPGAEPGSKLWLFATRLFLSEDKREMFTYMKDPDIKLQWLNYEFAEK >ONH92316 pep chromosome:Prunus_persica_NCBIv2:G8:17369415:17371625:-1 gene:PRUPE_8G168200 transcript:ONH92316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAPYRHARFAPNPASHFSVHMAATLSVRPNRLSAGSSFPRPPVRRPGPARLRKSDVQFDPWRGSIVPSNRTLAWAATLVHAGSRADDSAPFEMSVESALKLLGVSDGASFDEILRAKNSIVDACKDDQEAIAQVEAAYDMLLMRSLTQRRAGKVVNSSVRYADVKPVSTPGIGSVPQWLQANVKNPPIAVETPSTSDLGVQAGVYGALMALTYVNGASSSSLGPYGGADVPGLILAGSFGASLYFMTKKNIKLGKATIITIGGLVAGAVVGAAVENFLQVDIVPFLGIHSPAAVVSEFIIFLQLVVSLYLR >ONH92315 pep chromosome:Prunus_persica_NCBIv2:G8:17367914:17371625:-1 gene:PRUPE_8G168200 transcript:ONH92315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAPYRHARFAPNPASHFSVHMAATLSVRPNRLSAGSSFPRPPVRRPGPARLRKSDVQFDPWRGSIVPSNRTLAWAATLVHAGSRADDSAPFEMSVESALKLLGVSDGASFDEILRAKNSIVDACKDDQEAIAQVEAAYDMLLMRSLTQRRAGKVVNSSVRYADVKPVSTPGIGSVPQWLQANVKNPPIAVETPSTSDLGVQAGVYGALMALTYVNGASSSSLGPYGGADVPGLILAGSFGASLYFMTKKNIKLGKATIITIGGLVAGAVVGAAVENFLQVDIVPFLGIHSPAAVLSSEHV >ONH90366 pep chromosome:Prunus_persica_NCBIv2:G8:5421038:5422291:1 gene:PRUPE_8G049800 transcript:ONH90366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVPYIHTLTRPRNKKNDYTHPLSTSTGSSQVSDKWASIPLLFLILDKLWEPCDHIRVAGVCKQWRVAAKEYNRITQHWRELPLPMFLISTASKGELELYSFPGGKTSDIELSVPCNTISMCHGHGWLATMDVLSVPKLKFRWHKQEPWTITLVNPFRKAAVPIRLPHLSFSYLHMYHHLGYFNLNVLRKYSTKVLFPKVILSEDPTLNPDSYMVAVLYKHKPELAFTKGGRKHWIYSGKITRDLLRDVIFHKNQVFAVAGWGNIFSIDISRKRIKAKILTPQERPFASSAYKAYLVESTKGDLLHVRRLHKDRYCAGEERFMVYKLVFNGRDGSVQHVKLRSLGDEVMFLSNHCGISVLASNFPRCQPNSIYYTDYYAPTKIGHFNLEDETITQYDYSLFMPNAIWIAHLFTGLC >ONH90400 pep chromosome:Prunus_persica_NCBIv2:G8:5703140:5706861:1 gene:PRUPE_8G052000 transcript:ONH90400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKDPDICSHKFPSAFQVLEELRELWGMAFPITAMNCLVFVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGNKNWELLTLSLQRMVLILLLAIIPISLLWLNLETIMVFMGQDKAITSMAATYCLYSIPDLLTNTVLQPLRVFLRSQKVTKPMMYCSMIAVAFHVPLNWVLVEVMGLGVPGVAMASVLTNLNMVVLMAAYVWWGWRQGEMRWKAGIGDLCGGIGSLLKLAVPSCLGICLEWWWYEIVIVMAGYLPNPTVAVAATGVLIQTTSMMYTVPMALAGCVSARVGNELGSGKPYKAKLAAMVALGCAFVIGIVNVTWTVILKERWAGLFTKDELVKILVASVMPIMGLCELGNCPQTTGCGILRATARPAVGARINLVSFYFVGTPVAVGLAFWLKVGFGGLWFGLLSAQVACAVSILYVVLVKTDWEAEALKAKMLTGLEMGVCNGVKEKGHQNDEENRLLMHGDDNNNNNIDDEIF >ONH90398 pep chromosome:Prunus_persica_NCBIv2:G8:5702612:5706861:1 gene:PRUPE_8G052000 transcript:ONH90398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKDPDICSHKFPSAFQVLEELRELWGMAFPITAMNCLVFVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGNKNWELLTLSLQRMVLILLLAIIPISLLWLNLETIMVFMGQDKAITSMAATYCLYSIPDLLTNTVLQPLRVFLRSQKVTKPMMYCSMIAVAFHVPLNWVLVEVMGLGVPGVAMASVLTNLNMVVLMAAYVWWGWRQGEMRWKAGIGDLCGGIGSLLKLAVPSCLGICLEWWWYEIVIVMAGYLPNPTVAVAATGVLIQTTSMMYTVPMALAGCVSARVGNELGSGKPYKAKLAAMVALGCAFVIGIVNVTWTVILKERWAGLFTKDELVKILVASVMPIMGLCELGNCPQTTGCGILRATARPAVGARINLVSFYFVGTPVAVGLAFWLKVGFGGLWFGLLSAQVACAVSILYVVLVKTDWEAEALKAKMLTGLEMGVCNGVKEKGHQNDEENRLLMHGDDNNNNNIDDEIF >ONH90401 pep chromosome:Prunus_persica_NCBIv2:G8:5702612:5706861:1 gene:PRUPE_8G052000 transcript:ONH90401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPITAMNCLVFVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGNKNWELLTLSLQRMVLILLLAIIPISLLWLNLETIMVFMGQDKAITSMAATYCLYSIPDLLTNTVLQPLRVFLRSQKVTKPMMYCSMIAVAFHVPLNWVLVEVMGLGVPGVAMASVLTNLNMVVLMAAYVWWGWRQGEMRWKAGIGDLCGGIGSLLKLAVPSCLGICLEWWWYEIVIVMAGYLPNPTVAVAATGVLIQTTSMMYTVPMALAGCVSARVGNELGSGKPYKAKLAAMVALGCAFVIGIVNVTWTVILKERWAGLFTKDELVKILVASVMPIMGLCELGNCPQTTGCGILRATARPAVGARINLVSFYFVGTPVAVGLAFWLKVGFGGLWFGLLSAQVACAVSILYVVLVKTDWEAEALKAKMLTGLEMGVCNGVKEKGHQNDEENRLLMHGDDNNNNNIDDEIF >ONH90399 pep chromosome:Prunus_persica_NCBIv2:G8:5702804:5706861:1 gene:PRUPE_8G052000 transcript:ONH90399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKDPDICSHKFPSAFQVLEELRELWGMAFPITAMNCLVFVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGNKNWELLTLSLQRMVLILLLAIIPISLLWLNLETIMVFMGQDKAITSMAATYCLYSIPDLLTNTVLQPLRVFLRSQKVTKPMMYCSMIAVAFHVPLNWVLVEVMGLGVPGVAMASVLTNLNMVVLMAAYVWWGWRQGEMRWKAGIGDLCGGIGSLLKLAVPSCLGICLEWWWYEIVIVMAGYLPNPTVAVAATGVLIQTTSMMYTVPMALAGCVSARVGNELGSGKPYKAKLAAMVALGCAFVIGIVNVTWTVILKERWAGLFTKDELVKILVASVMPIMGLCELGNCPQTTGCGILRATARPAVGARINLVSFYFVGTPVAVGLAFWLKVGFGGLWFGLLSAQVACAVSILYVVLVKTDWEAEALKAKMLTGLEMGVCNGVKEKGHQNDEENRLLMHGDDNNNNNIDDEIF >ONH93574 pep chromosome:Prunus_persica_NCBIv2:G8:21054415:21057307:1 gene:PRUPE_8G239800 transcript:ONH93574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIRRFCCNDLLRFTSVNLDHLTETFNMSFYMTYLARWPDYFHVAEAPGHRIMGYIMGKVEGQGESWHGHVTAVTVAPEYRRQQLAKKLMNLLEDFSDKIDKGYFVDLFVRASNTPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVDKKSIIPLKRPVTPDELEYD >ONH89913 pep chromosome:Prunus_persica_NCBIv2:G8:2128340:2131976:-1 gene:PRUPE_8G023700 transcript:ONH89913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKVYPTFVLSGSLDKLVGIDSALEQLHLQLAPKDNDVRFIGIWGMGGAKQPLAKLVFERISHHFELSWFLSNVREVSGKQDGAGTFFTKKHLCNKKVLLILDDVHQLNQLKTLAGKKDWFGVGSRIIITTRDERLLVEHGIAIRYKVEVLKDDEALELFSQNAFKKNQPEEGFLELSRCFVHYAKGLPLALTTLGSFLYGRDQDKWKSAFDNLRKIRNPTIFHSLRVSYDGQEEIDKKIFLDVACFHTGKDEEQVIEILDSIYNISSRIRIDILIEKSLLIIEKFHDHKSVQMHDLIQEMAWEIVHLESPEEPCQRSRLWHGDDISHVFMHNSGTGAIEAIGLRLPKLEEVHWDCSEAFSKMHQLRLHEFDNVIVSSGPKDLPNSLRIIRWSWYPSKSLPSSFEPRFLVKLNMKHSKLSHLWDGAKVLSNLKVIDLCYSDQLTSTPDFTGIPNLEFLLLGFCTSLVEVHSSFAVLKKLTFLDLMDTNIKSLPSEVELDSLKRFIFYYNAKNIRRFVEQLNNLSHLYLNGIVFEQIRPSIEHLVGLKELKLLRCTKINKMVLSSLNRFTSLETLNLRFCNIGEGAILDDIGCLSSLKYLHLCGNDFVSLPSSIRFLSKLEFLGLKWCKRLERLPDLPLNIRSVNVDNCISLFSIGKPLCMRFRACVRFF >ONH89912 pep chromosome:Prunus_persica_NCBIv2:G8:2128340:2131976:-1 gene:PRUPE_8G023700 transcript:ONH89912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASTSRTSAEWAPPHWKHDVFLSFRAEDTRSGFLSHLYHELQYWQAIKTFKDDRDLEIGASISPELLIAIEQSHLAIIVLPPNYTSSTWCLDELSKILECMQDTKRILPIFYHVDPSDVRNQRRSFAEAFTKHEEKFRVVNWWRATLRKVANLFGWDSKHEEFSGKVEMVNRAPLGCYIYELMVILIFFLLVGIDSALEQLHLQLAPKDNDVRFIGIWGMGGAKQPLAKLVFERISHHFELSWFLSNVREVSGKQDGAGTFFTKKHLCNKKVLLILDDVHQLNQLKTLAGKKDWFGVGSRIIITTRDERLLVEHGIAIRYKVEVLKDDEALELFSQNAFKKNQPEEGFLELSRCFVHYAKGLPLALTTLGSFLYGRDQDKWKSAFDNLRKIRNPTIFHSLRVSYDGQEEIDKKIFLDVACFHTGKDEEQVIEILDSIYNISSRIRIDILIEKSLLIIEKFHDHKSVQMHDLIQEMAWEIVHLESPEEPCQRSRLWHGDDISHVFMHNSGTGAIEAIGLRLPKLEEVHWDCSEAFSKMHQLRLHEFDNVIVSSGPKDLPNSLRIIRWSWYPSKSLPSSFEPRFLVKLNMKHSKLSHLWDGAKVLSNLKVIDLCYSDQLTSTPDFTGIPNLEFLLLGFCTSLVEVHSSFAVLKKLTFLDLMDTNIKSLPSEVELDSLKRFIFYYNAKNIRRFVEQLNNLSHLYLNGIVFEQIRPSIEHLVGLKELKLLRCTKINKMVLSSLNRFTSLETLNLRFCNIGEGAILDDIGCLSSLKYLHLCGNDFVSLPSSIRFLSKLEFLGLKWCKRLERLPDLPLNIRSVNVDNCISLFSIGKPLCMRFRACVRFF >ONH89914 pep chromosome:Prunus_persica_NCBIv2:G8:2128340:2130891:-1 gene:PRUPE_8G023700 transcript:ONH89914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKVYPTFVLSGSLDKLVGIDSALEQLHLQLAPKDNDVRFIGIWGMGGAKQPLAKLVFERISHHFELSWFLSNVREVSGKQDGAGTFFTKKHLCNKKVLLILDDVHQLNQLKTLAGKKDWFGVGSRIIITTRDERLLVEHGIAIRYKVEVLKDDEALELFSQNAFKKNQPEEGFLELSRCFVHYAKGLPLALTTLGSFLYGRDQDKWKSAFDNLRKIRNPTIFHSLRVSYDGQEEIDKKIFLDVACFHTGKDEEQVIEILDSIYNISSRIRIDILIEKSLLIIEKFHDHKSVQMHDLIQEMAWEIVHLESPEEPCQRSRLWHGDDISHVFMHNSGTGAIEAIGLRLPKLEEVHWDCSEAFSKMHQLRLHEFDNVIVSSGPKDLPNSLRIIRWSWYPSKSLPSSFEPRFLVKLNMKHSKLSHLWDGAKVLSNLKVIDLCYSDQLTSTPDFTGIPNLEFLLLGFCTSLVEVHSSFAVLKKLTFLDLMDTNIKSLPSEVELDSLKRFIFYYNAKNIRRFVEQLNNLSHLYLNGIVFEQIRPSIEHLVGLKELKLLRCTKINKMVLSSLNRFTSLETLNLRFCNIGEGAILDDIGCLSSLKYLHLCGNDFVSLPSSIRFLSKLEFLGLKWCKRLERLPDLPLNIRSVNVDNCISLFSIGKPLCMRFRACVRFF >ONH90022 pep chromosome:Prunus_persica_NCBIv2:G8:2881621:2883405:-1 gene:PRUPE_8G030500 transcript:ONH90022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWHLLGRQAIDASLYLIYACCLLDYFQKDISNISPLQSFLVDVALAKPLRTDSWHCLIRMKRTQSSTGKPYAFCMDPLPHCLHEVGDVEKADNPTFYGRFCYYFILRGLTDSMRYLLAELHASIQAKLFIMLL >ONH90023 pep chromosome:Prunus_persica_NCBIv2:G8:2882032:2882843:-1 gene:PRUPE_8G030500 transcript:ONH90023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWHLLGRQAIDASLYLIYACCLLDYFQKDISNISPLQSFLVDVALAKPLRTDSWHCLIRMKRTQSSTGKPYAFCMDPLPHCLHEVGDVEKADNPTFYGRFCYYFILRGKEFHPCLYFNFFSSLCAQIHSNFFYTPVIISFPYFLCIN >ONH91055 pep chromosome:Prunus_persica_NCBIv2:G8:12364179:12367479:1 gene:PRUPE_8G090700 transcript:ONH91055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEAEDAVRRRTAVADYRKRLLQHKELDSRVRAVRDNLRAAKKEFGKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKVTLYEN >ONH91056 pep chromosome:Prunus_persica_NCBIv2:G8:12364179:12367479:1 gene:PRUPE_8G090700 transcript:ONH91056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEAEDAVRRRTAVADYRKRLLQHKELDSRVRAVRDNLRAAKKEFGKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVCIFSFRSSRVYLV >ONH91057 pep chromosome:Prunus_persica_NCBIv2:G8:12364179:12367479:1 gene:PRUPE_8G090700 transcript:ONH91057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVMKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKE >ONH91054 pep chromosome:Prunus_persica_NCBIv2:G8:12364094:12367646:1 gene:PRUPE_8G090700 transcript:ONH91054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEAEDAVRRRTAVADYRKRLLQHKELDSRVRAVRDNLRAAKKEFGKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKE >ONH90672 pep chromosome:Prunus_persica_NCBIv2:G8:10088898:10089471:-1 gene:PRUPE_8G068500 transcript:ONH90672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVAAARLRSERYHHRNPISIIAIRSLDLRPTSTPNFKSELFDGKTEDSDGFTSSIGISISILSLLIHVPNYLKFDVYDLAFYYSL >ONH92992 pep chromosome:Prunus_persica_NCBIv2:G8:19334972:19340609:1 gene:PRUPE_8G206600 transcript:ONH92992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTDNRRSSSGISGEGPVRIEEETGGGSSGNSVELESTVARGHGGSSSTGISSESLSKGNTLPVEISSKTEKVESNSGPPKLERSKTDSTRHQNILPKDAARIFNDKISVHQKLKLLNRIATVKDDGTVEFEVPGDVEPQSLGGGYKAAPTEAADDEPLDEANLEYIPPMQIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQIKEIIYSLLPACKEPDMDSGIPFKADAIIANPPAYGHTHVAEALKIPLHIFFTMPWTPTSEFPHPLSRVKQSTGYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKVDVVGFCFLDLASNYEPPELLVKWLEAGDRPIYIGFGSLPVQEPEKMTQIIVEALEKTGQRGIINKGWGGLGNLAEPKDFIYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPAPIAVDEFSLPKLVDAIKFMLDPKVKERAVELAKDMENEDGVTGAVKAFFKHLPCRKPDPEPEPGPSSLFSVSRCFGCS >ONH90249 pep chromosome:Prunus_persica_NCBIv2:G8:4419981:4422259:-1 gene:PRUPE_8G042400 transcript:ONH90249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIQEGITKRMQELKFEAMTHCFPREIIHEILFRLSVKSVINCTSVCKSWRSMIMNQSFIRAHLSPINNLNNSHLFLIHRVVGREGCTTLHRAIVHDVIEECIMITRLSRSTPR >ONH90248 pep chromosome:Prunus_persica_NCBIv2:G8:4419937:4422259:-1 gene:PRUPE_8G042400 transcript:ONH90248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIQEGITKRMQELKFEAMTHCFPREIIHEILFRLSVKSVINCTSVCKSWRSMIMNQSFIRAHLSPINNLNNSHLFLIHRVVGREGCTTLHRAIVHDVIEECIMITRLSRSTPR >ONH90250 pep chromosome:Prunus_persica_NCBIv2:G8:4419654:4422259:-1 gene:PRUPE_8G042400 transcript:ONH90250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIQEGITKRMQELKFEAMTHCFPREIIHEILFRLSVKSVINCTSVCKSWRSMIMNQSFIRAHLSPINNLNNSHLFLIHRVVGREGCTTLHRAIVHDVIEECIMITRLSRSTPR >ONH90252 pep chromosome:Prunus_persica_NCBIv2:G8:4420669:4422269:-1 gene:PRUPE_8G042400 transcript:ONH90252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIQEGITKRMQELKFEAMTHCFPREIIHEILFRLSVKSVINCTSVCKSWRSMIMNQSFIRAHLSPINNLNNSHLFLIHRVVGREGCTTLHRAIVHDVIEECIMITRLSRSTPR >ONH90251 pep chromosome:Prunus_persica_NCBIv2:G8:4421263:4421610:-1 gene:PRUPE_8G042400 transcript:ONH90251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIQEGITKRMQELKFEAMTHCFPREIIHEILFRLSVKSVINCTSVCKSWRSMIMNQSFIRAHLSPINNLNNSHLFLIHRVVGREGCTTLHRAIVHDVIEECIMITRLSRSTPR >ONH91614 pep chromosome:Prunus_persica_NCBIv2:G8:15075515:15077683:-1 gene:PRUPE_8G126300 transcript:ONH91614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQNMVKGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITEVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91609 pep chromosome:Prunus_persica_NCBIv2:G8:15075515:15078227:-1 gene:PRUPE_8G126300 transcript:ONH91609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKKQKGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91618 pep chromosome:Prunus_persica_NCBIv2:G8:15075515:15076979:-1 gene:PRUPE_8G126300 transcript:ONH91618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITEVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91613 pep chromosome:Prunus_persica_NCBIv2:G8:15075515:15077683:-1 gene:PRUPE_8G126300 transcript:ONH91613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQNMVKGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91616 pep chromosome:Prunus_persica_NCBIv2:G8:15075515:15076979:-1 gene:PRUPE_8G126300 transcript:ONH91616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91615 pep chromosome:Prunus_persica_NCBIv2:G8:15075515:15077683:-1 gene:PRUPE_8G126300 transcript:ONH91615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQNMVKGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITEVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91617 pep chromosome:Prunus_persica_NCBIv2:G8:15075515:15076979:-1 gene:PRUPE_8G126300 transcript:ONH91617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITEVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91619 pep chromosome:Prunus_persica_NCBIv2:G8:15074899:15079830:-1 gene:PRUPE_8G126300 transcript:ONH91619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENIRENIPLPNSDPERRPELTRTISNSSSSSSFSFTTLDASEELLLCCSHATLGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITDCAIRKF >ONH91607 pep chromosome:Prunus_persica_NCBIv2:G8:15074899:15079830:-1 gene:PRUPE_8G126300 transcript:ONH91607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENIRENIPLPNSDPERRPELTRTISNSSSSSSFSFTTLDASEELLLCCSHATLGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91620 pep chromosome:Prunus_persica_NCBIv2:G8:15074899:15079830:-1 gene:PRUPE_8G126300 transcript:ONH91620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKKQKGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITDCAIRKF >ONH91621 pep chromosome:Prunus_persica_NCBIv2:G8:15074899:15079830:-1 gene:PRUPE_8G126300 transcript:ONH91621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQNMVKGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITDCAIRKF >ONH91611 pep chromosome:Prunus_persica_NCBIv2:G8:15075515:15078227:-1 gene:PRUPE_8G126300 transcript:ONH91611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKKQKGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITEVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91610 pep chromosome:Prunus_persica_NCBIv2:G8:15075515:15078227:-1 gene:PRUPE_8G126300 transcript:ONH91610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKKQKGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITEVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91612 pep chromosome:Prunus_persica_NCBIv2:G8:15075515:15078227:-1 gene:PRUPE_8G126300 transcript:ONH91612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKKQKGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITEVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91608 pep chromosome:Prunus_persica_NCBIv2:G8:15074899:15079830:-1 gene:PRUPE_8G126300 transcript:ONH91608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENIRENIPLPNSDPERRPELTRTISNSSSSSSFSFTTLDASEELLLCCSHATLGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITEVSGQNFVDEDHGGKLSNASKPKRLKRVAADASSNDQIVPSGNFDTKEVSMAHNVLSEQGHNLRYFLGGS >ONH91622 pep chromosome:Prunus_persica_NCBIv2:G8:15074899:15079830:-1 gene:PRUPE_8G126300 transcript:ONH91622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQNMVKGASTEWTNEKHNLYLDSLETSFVNELYHSMRLRDWHQQKNARGTRSLQEVSFKTQNSSDQFMVVQDGCLQKINLRRNESLMESTANSHVTMRSPCRCHSTLAGKSCTVSSPKTLTLKKLHASARSSEQNLVCHQDLVGSITDCAIRKF >ONH93802 pep chromosome:Prunus_persica_NCBIv2:G8:21704584:21706003:1 gene:PRUPE_8G253600 transcript:ONH93802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIHVDSPASPLYPGNISYEEEIQRLTDRSIARAQHLHYTLASLGNNNVSQTIINPLDIRPRLEFHPYGSYLVQVGIGTFDATFPARSFNTYYLYTDTGSILTWALCEDCLKPGNQCFQTKEPPFPNSKSKSYVALCCNQNPFCKTGQCTGPYCSQHDVYMGGTVVNSILSAENFNFLSISGQPLMLPGVVFGCAYDTRKIGFGRLEEFKIAGILGLGYAPISFPLQQSYQTGKVFSMCLTRQREIQTYLRFGKDVPTPPGGLRVTKLVFFKDIPYYYVNLLDISVHGQKLLIDPNVFAVRNQGTSGGCIMDNGSSFTFLIRPAFNAVVQFLEMYFMRFPRLFKGGRPLGPPFELCYKWMTPLPPLPTLTFHFENADLVINPEDLFIKVNAEQQGNYLLCLAFIADDARTILGSVHQSNYLFIYDLNQKLLKFAPEDCSKNS >ONH90089 pep chromosome:Prunus_persica_NCBIv2:G8:3180980:3184182:-1 gene:PRUPE_8G034500 transcript:ONH90089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTQRDSAFLPSPNYDVFLSFRGEDTRLSFVSHLYHELQLRGIKTFKDDPKLERGTAISSGLFNAIQESRLAIVVLSPKYASSTWCLDELTEILQCMKSKSAVLPVFYHVDPSDVRKQTGSFACAFAEHEERFREDRERVKSWRTALTEVANLSGFDSKNECERKLIEYIVEWVWEKVHHRFKLLDSTELVGMKFIREQVDLVLAHPTDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVSQRGSITQVWDEQRGTSVIKNCLYNKKVLLILDDVSESTQLEKLAGEKDWFGKGSLIIITTRDKRLLVNHDISCKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYAKGLPLALKLLGCLLYQRDPDEWKSELDKLRKISKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGKFKEHVIEILDICGQCGRIGIDALVQKSLLTIDISNNNVEMHDLIQEMALEIVRRECFEEPGRRSRLCNREDISHVFINNTATNKIKGIALRMARLEMADWNCEAFSKMCNLKVLEFDNVIISSSPKILPNSLRIIKWSQYPSKFLPSSFQLNFLVALVMRESKLLRLWDGRKDLPNLKTMDLSYSKNLTTTPNFSGVQNLEELDFGSCKNLVEIHPSIANLKCLKRLDLGFCLKLKKIPEFSGQMKNLSWLCLSRTSILKLSASIGCLVGLTSLRLLNCKNLAGLPSEICNLKSLELLWMRGCSKMDKLPENVGEMESLIKLQLCETSIRQLPHSIVGLKKLRDLTLGGKSGSQPSRFWWGLPRLNGRKAFVLASLDGLFSLRELDLSNCDVCEGDLPNDIGYLPALEELKLSGNNFVSLPASIGCLSKLKLFWMNGCQSLEQLPDLSKLISLVDINIANCTSLKMLPHLSSNWSLVDNKRGYLQFNCANCFVLVDNEGCDSIILKMLQRYL >ONH92711 pep chromosome:Prunus_persica_NCBIv2:G8:18568945:18575472:-1 gene:PRUPE_8G191000 transcript:ONH92711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDALLAGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRDLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92714 pep chromosome:Prunus_persica_NCBIv2:G8:18569061:18575223:-1 gene:PRUPE_8G191000 transcript:ONH92714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDALLAGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92712 pep chromosome:Prunus_persica_NCBIv2:G8:18568994:18575555:-1 gene:PRUPE_8G191000 transcript:ONH92712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDALLAGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRDLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLSIHSNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92707 pep chromosome:Prunus_persica_NCBIv2:G8:18568617:18575554:-1 gene:PRUPE_8G191000 transcript:ONH92707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRDLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLSIHSNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92708 pep chromosome:Prunus_persica_NCBIv2:G8:18569061:18575223:-1 gene:PRUPE_8G191000 transcript:ONH92708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92709 pep chromosome:Prunus_persica_NCBIv2:G8:18569061:18575223:-1 gene:PRUPE_8G191000 transcript:ONH92709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLSIHSNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92705 pep chromosome:Prunus_persica_NCBIv2:G8:18568945:18575472:-1 gene:PRUPE_8G191000 transcript:ONH92705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRDLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92715 pep chromosome:Prunus_persica_NCBIv2:G8:18569061:18575223:-1 gene:PRUPE_8G191000 transcript:ONH92715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDALLAGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLSIHSNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92710 pep chromosome:Prunus_persica_NCBIv2:G8:18569061:18575223:-1 gene:PRUPE_8G191000 transcript:ONH92710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLSIHSNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92706 pep chromosome:Prunus_persica_NCBIv2:G8:18568994:18575555:-1 gene:PRUPE_8G191000 transcript:ONH92706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRDLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLSIHSNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92716 pep chromosome:Prunus_persica_NCBIv2:G8:18569061:18575223:-1 gene:PRUPE_8G191000 transcript:ONH92716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDALLAGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRADLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLSIHSNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92713 pep chromosome:Prunus_persica_NCBIv2:G8:18568617:18575554:-1 gene:PRUPE_8G191000 transcript:ONH92713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATLCALLGELGYEGADALDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSQYEQFLQEGKLLEGEDLDFAYDSISAFASRPDNQEAVFAAEEGLKDIRDATQAYKAEALQLQRQLRHLHSQFDMLTGQASALIQGRRARVAATSTVNGHLATIDDSLSARNLQMNAVLGRMASTAQELAHYHSGDALLAGDGIYLAYSDFHPYLIGDSSCIKELNQWFAKQLDTGPFRLVAEEGKSKCSWVSLEDISNIIVRDLEKSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVSSDEAHIHLDLHSLRRKHSELVGELSNSYHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYINRQKAFINHLVNQLARHQFLKIACQLEKKNMLGAYSLLKVIESEVQAYLSATKGRVGRCLALIQAASDVQEQGGVDDQDHFLHGVRDLLSIHSNAQVGLSTYVSAPGIVQQISSLHSDLMTLQSDLENSLPEDRNRCVNELCTLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHRKKNEIVKHHAKEIGLQRGVFVDFFCNPERLRSQVRELTARVRALQVS >ONH92850 pep chromosome:Prunus_persica_NCBIv2:G8:18956218:18958266:-1 gene:PRUPE_8G200000 transcript:ONH92850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLPCPSRLRSLLLSCKDKASTAKIHALMILTGTITYGNSNARLIATYARIGDIVSARKVFDKLSQRGIHAWNAMIIAYSRQYCPSEVVSLYHQMILDRVRPDSSTFTVALKACASTLDLKTGEEIWSKAVNCGYEYDVFVGSSVLNLYAKCGKMDEAVVVFNKMPRRDLVCWTTMVTGFVQSGRPMEAVDMYKRMQNEGMEFDGVVLMGLVQACASLGDLRLGLSVHGFMIRTGLPMDVMVQTSLVHMYAKSGHLELASCVFNKMPYKNAISWGALISGFAQNGFAGHALEMLVEMQGSGFEPDSVSLVSALLACSQVGFLKLDCFQRLDLLECHDCKLWDSWAWRGSSSTLPPDDGDEHKT >ONH90551 pep chromosome:Prunus_persica_NCBIv2:G8:8668451:8670554:1 gene:PRUPE_8G060700 transcript:ONH90551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRDYIDFGEHYDNNFEHLNPDDMPNNENNLTSNDVEQPMHANMPLESNTQEENIDQTEVKKRKKTSEVWKHFKVCDQKLDDGTILPKAVCIYCKHALTCKTTNGTGHLHRHFNACLKKNGHNHARQSQLSANTGISSFKYSQAKMRVELARFIACAELPFRFAENRCFERFVQVALQPEFKKVSRNTNRSDVVKLYDEEKKKLINVFSNLKGSIAVTSDMWDGGNNLPFICVTAHYIDENWLLQKRIIAFRLLEFPHTGSSIFHAMMNVFKEYNITHKIFSITFDNASNNSSAIEQFKHVLHPPYGGKFFHMRCVCHIINLMVQDGLKVIQTQLQLIRDAIGYISSSSSRQQDFAHLCMSHGLKPIKLKKDIRIRWNSTYHMLKSCKGYTNVINFYYNNKMNDNLLRDEEWNVCFALVDFFKVFYDATIKVSGLEVILTEISRNLSISLPLTISNIQKTFNDTYLLYKKKYSVGTIATQSAPTVHLFGSSSSSSAAIFGMLASKGKQKSVISSRTEVFKYLDTEFVEFMTEEERNNFNILDWWKAHEKNFPVLSIMARDVLTTPVSTVASESAFSAGGRVLDEKRTRLTPQICEALMCLKDWEDADFRTQSFVDEDLSYFEDDSTSSLNEVC >ONH93207 pep chromosome:Prunus_persica_NCBIv2:G8:19965102:19967886:1 gene:PRUPE_8G219200 transcript:ONH93207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFQTCLPPILPPASVHRTRALNSLSCLAISKKSDSEPHPKPSSNAKPKPRRRKIETSEDEDEEQGETFPTTIPRKPRRGRRSEAAAVEDYVRESLDRTFASIREQNPEIVEKKEEVMKRKVDDDEEDEGFGREKSMVVEEESRDWPLDADVGWGIRASEYFENHPIRNVVGDNGVELDWEGEVEDNWVKEINCLEWESFAFHPSPLLVLVFERYNRASDNWKALKELEKAVKVYWDAKDRLPPRSIKIDINIERDLAYALKVKECPQILFLRGNRILYREKEIRTAEELVPMIAHFYYNAKRPSWIDVKELSPPY >ONH93206 pep chromosome:Prunus_persica_NCBIv2:G8:19965029:19967895:1 gene:PRUPE_8G219200 transcript:ONH93206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFQTCLPPILPPASVHRTRALNSLSCLAISKKSDSEPHPKPSSNAKPKPRRRKIETSEDEDEEQGETFPTTIPRKPRRGRRSEAAAVEDYVRESLDRTFASIREQNPEIVEKKEEVMKRKVDDDEEDEGFGREKSMVVEEESRDWPLDADVGWGIRASEYFENHPIRNVVGDNGVELDWEGEVEDNWVKEINCLEWESFAFHPSPLLVLVFERYNRASDNWKALKELEKAVKVYWDAKDRLPPRSIKIDINIERDLAYALKVKECPQILFLRGNRILYREKEIRTAEELVPMIAHFYYNAKRPSWIDVKELSPPY >ONH93208 pep chromosome:Prunus_persica_NCBIv2:G8:19965029:19967904:1 gene:PRUPE_8G219200 transcript:ONH93208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFQTCLPPILPPASVHRTRALNSLSCLAISKKSDSEPHPKPSSNAKPKPRRRKIETSEDEDEEQGETFPTTIPRKPRRGRRSEAAAVEDYVRESLDRTFASIREQNPEIVEKKEEVMKRKVDDDEEDEGFGREKSMVVEEESRDWPLDADVGWGIRASEYFENHPIRNVVGDNGVELDWEGEVEDNWVKEINCLEWESFAFHPSPLLVLVFERYNRASDNWKALKELEKAVKVYWDAKDRLPPRSIKIDINIERDLAYALKVKECPQILFLRGNRILYREKEIRTAEELVPMIAHFYYNAKRPSWIDVKELSPPY >ONH90142 pep chromosome:Prunus_persica_NCBIv2:G8:3363621:3369853:-1 gene:PRUPE_8G036800 transcript:ONH90142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSVVTKRKGAKAQKASPKKKQKKESFTAKTPKQADLYDHSDSPDEQEVEEHVVFDEDDEEGSGSGSDILFGDDPLAGDDDSLQASDDEEKSSGSNSGSDLDSDSDDSDIEAKSRAIDEERAREEEEAGAEMQLNIKEESDEFRLPTKEELEEEAHRPPDLPNLQRRIKEVVRVLSNFKALRQDGAPRKDYVEQLKRDIISYYGYNNFLIGALVEMFPVVELVELIEAFEKPRPICLRTNTLKTRRRDLADVLINRGVNLDPLSKWSKVGLVVYESQVPVGATPEYMAGYYMLQSASSFLPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNSGIIFANEMKEPRLKSLTANLHRMGVTNTVVSNYDGKELPKVLGVNAVDRVLLDAPCSGTGVISKDESVKTSKSSDEIHKCAHLQKQLILAAIDMVDANSKSGGYIVYSTCSIMVAENEAVIDYALKKRNVKLVPCGLDFGCPGFVRFREQRFHPSLEKTRRFYPHVHNMDGFFVAKLKKMSNLKPTTAHSELDETVERGHSMTEDSIEENDEKDDQEHLTEDDTKIKNKVLKEGVAENGKLKSPGITDQKKESSGKRRKEKNFPSFFSHLKGRTPLEQKQENKAKFPKLKFPPREEIAKAREEKRRAVREKKSASNNVGKGE >ONH90141 pep chromosome:Prunus_persica_NCBIv2:G8:3363563:3369913:-1 gene:PRUPE_8G036800 transcript:ONH90141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSVVTKRKGAKAQKASPKKKQKKESFTAKTPKQADLYDHSDSPDEQEVEEHVVFDEDDEEGSGSGSDILFGDDPLAGDDDSLQASDDEEKSSGSNSGSDLDSDSDDSDIEAKSRAIDEERAREEEEAGAEMQLNIKEESDEFRLPTKEELEEEAHRPPDLPNLQRRIKEVVRVLSNFKALRQDGAPRKDYVEQLKRDIISYYGYNNFLIGALVEMFPVVELVELIEAFEKPRPICLRTNTLKTRRRDLADVLINRGVNLDPLSKWSKVGLVVYESQVPVGATPEYMAGYYMLQSASSFLPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNSGIIFANEMKEPRLKSLTANLHRMGVTNTVVSNYDGKELPKVLGVNAVDRVLLDAPCSGTGVISKDESVKTSKSSDEIHKCAHLQKQLILAAIDMVDANSKSGGYIVYSTCSIMVAENEAVIDYALKKRNVKLVPCGLDFGCPGFVRFREQRFHPSLEKTRRFYPHVHNMDGFFVAKLKKMSNLKPTTAHSELDETVERGHSMTEDSIEENDEKDDQEHLTEDDTKIKNKVLKEGVAENGKLKSPGITDQKKESSGKRRKEKNFPSFFSHLKGRTPLEQKQENKAKFPKLKFPPREEIAKAREEKRRAVREKKSASNNVGKGE >ONH91713 pep chromosome:Prunus_persica_NCBIv2:G8:15453436:15457254:-1 gene:PRUPE_8G132300 transcript:ONH91713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHIEDVIDEYLHHVADRRNKRGFTGFLHKTSNFLGGLFARHDIASEIQLIKKRVLQIQQTSEVYRFNSTEQTSFSFSRRDDMLFDPRMASIYTEEAELVGIQTLRDKLIGWSIGGEVASRRSVSSLVGIGGLGKTTLASKVYDNPRFTEWFDWRAWITVSQSYKNEDILRNMIAEFHRVRKESVPEGIETMDLKLLIDTLREYLKEKRYAVVFDDVWSTNLWQCVKLALPDNNNGSRIIITTRKNEVAAACREAFSDQVYDLEPLSPDKAWELFCNKTFRVSGGYCPPELKKFATTIVSRCGGLPLAIVAISGLLQTKGGDVSQWRKLLDSLTSELESNPHLTNITKILSFSYYDLPYQLRPCFLYFGTYPENCTVRCSRLIRQWIAAGFIKEQRGKTLEDVAEEYLTELIQRSLVQVSLVDDFSGKLRECQVHDVMREAVILLKTKDISISEFLEEDSSFNENSRHLCVYSIAYNIFGSIENSRAHSLCFFKGIGEPQNPLTACSNLYKRFKLLRVLDFEDSLLDNLPEEVGYIYHLRYLSLRNTRVKILPKSMGKLVNLETLDLKHSLVHQIPLEINKLPKLRSLLAYTEEKNKEFSFTSRRAVGIQDGIECWGNLQKLYAVEASNSLVKGVGNSTQLRTLGIHKLTRKQGKDLCASIGKMPQLQSLEVKAINSDEIVDIQHISNPPQRLQGFYLMGRLEKLPDWIAGLCLLTRLSLCWSGLAGDQDPLKVLQVLPNLMQLVIHEAFSCEELHFERGFLKLKDLRLRHLKGLKLMTIHNGALPLLETLYVGPSPQLQQVPSGIRHLENLKSLLLVDMPSRLMDGIHVQETQHRVGPKVFFIQSIIGTNTFRTVKVIDSAIFV >ONH90705 pep chromosome:Prunus_persica_NCBIv2:G8:10293931:10297726:1 gene:PRUPE_8G070200 transcript:ONH90705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPAQNTDAQNGQVQEATKASSDGSVCGYDSLHHLLSENLKPHLFQEVNRILLGLNRGKALETISLPESAKALSSGHNFDLQAFCFLADKELLREPRIVRVGLIQNSIALPTTAHFLDQKRAIFEKLRPIIDAAGAAGVNVLCLQEAWTMPFAFCTREKRWCEFAEPVDGESTRFLQDFARMYNMVIISPILERDVNHGETLWNTAVIIGNNGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHSLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPEHADFGHFYGSSHFSAPDASCTPSLSRHRDGLLISDVDLNLCRQIKDKWGFRMTARYELYADLLAQYLKPDFEPQVISDPLLHKKSP >ONH92534 pep chromosome:Prunus_persica_NCBIv2:G8:18045509:18056048:1 gene:PRUPE_8G179600 transcript:ONH92534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQNQSANVDLFDAYFRRADLDRDGRISGSEAVAFFQASGLPKPVLAQIWAIADQRQTSFLGRAEFYNALRLVTVAQSKRELTPDIVKAALYGPAAAKIPAPQINLAATAAPQFNSAPAAPVTQGGAVTPTSSQTLGLRSPQVPPQYNSAAAATATQGGAVTPTSSQNLGFRGPQVQSQFNPAAQAPATQGGAVTPSSSQTLGFRGPQVPPSVNVNQQNFLSQDAKSTRPPVPPSTSDSQPPQGVATQGFPRGGSVVQPHPPNSSMSNDWIGGRTGGAPTGIPSTSGPTASLPPRPQAGFGIRPSGPPAKDSKSLNISGNGFTPDSSFGDDVFSATASQPKQNPSAHAFPPGSVPVSSAFVPAAGTQSSASPSTVGSLQSSHMMQQVGGQPHQAQSFPKPNQQVSAQTSPSGVSLGAGNSASSQSHIQWPRMTQNDAQKYSNIFVKVDTDRDGKITGEQARDLFLKWGLPREVLKQVWDLSDQDNDSMLSLREFCVALYLMERYREGRPLPAALPNSVMFDLSNIFQPTNHYNHAGNVAWRPASGVQQQQPIPGPGARHMAPPVGGRPPKPVAPSHSDERPQTNQQKPRVPELEKHLLNQLSKEEINSLELKFKEATEADKKVEELEKEILDAKEKIEYFRVKMQELVLYKSRCDNRLNEITERASADKREAESLAKKYEEKYKQTGDVASKLTIEEATFRDLQEKKMELYRAIVKMEQGGDADGTLQDRVDRIQLDLDELVKTLNERCKKYGLRGKPTTLTELPFGWQPGIQEGAADWDEDWDKFEDEGFTVVKELTLDVPNVLAPPKQKSSPAQKEKAPTVESPTAASSPQVNENSEKPQSADGRVVENGAAYDKNENDSAKSAPNSPFASSTVGSPSREFSDSNFGKTTGADASPREKEFQSDHGGPGSVFGDKNFDDPAWGTFDTNDDVDSVWGFNAVSTTKDIDHESNRDHYFSGPGEFGLNPIRTGSSAGGFSQNNRPFTFDDSVPSTPLSVFNSGYSPPRYKDSSEPSFDTFSRFDSFRSTQDSGFFPQQETLGRFDSMRSSRDFDQGHGFPTLDDIPDPFGSSAPFRTSLDSQTPRRDSDPFGSSGPFRTSWDSQTPRRDSDPFGSSAPFRTSLDSQTPRRDSDTFGSSPFSTSLESQTPRRDSDPFGSSGPFKLSMESQTPRRDSDHWSAF >ONH92533 pep chromosome:Prunus_persica_NCBIv2:G8:18045603:18056048:1 gene:PRUPE_8G179600 transcript:ONH92533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQNQSANVDLFDAYFRRADLDRDGRISGSEAVAFFQASGLPKPVLAQIWAIADQRQTSFLGRAEFYNALRLVTVAQSKRELTPDIVKAALYGPAAAKIPAPQINLAATAAPQFNSAPAAPVTQGGAVTPTSSQTLGLRSPQVPPQYNSAAAATATQGGAVTPTSSQNLGFRGPQVQSQFNPAAQAPATQGGAVTPSSSQTLGFRGPQVPPSVNVNQQNFLSQDAKSTRPPVPPSTSDSQPPQGVATQGFPRGGSVVQPHPPNSSMSNDWIGGRTGGAPTGIPSTSGPTASLPPRPQAGFGIRPSGPPAKDSKSLNISGNGFTPDSSFGDDVFSATASQPKQNPSAHAFPPGSVPVSSAFVPAAGTQSSASPSTVGSLQSSHMMQQVGGQPHQAQSFPKPNQQVSAQTSPSGVSLGAGNSASSQSHIQWPRMTQNDAQKYSNIFVKVDTDRDGKITGEQARDLFLKWGLPREVLKQVWDLSDQDNDSMLSLREFCVALYLMERYREGRPLPAALPNSVMFDLSNIFQPTNHYNHAGNVAWRPASGVQQQQPIPGPGARHMAPPVGGRPPKPVAPSHSDERPQTNQQKPRVPELEKHLLNQLSKEEINSLELKFKEATEADKKVEELEKEILDAKEKIEYFRVKMQELVLYKSRCDNRLNEITERASADKREAESLAKKYEEKYKQTGDVASKLTIEEATFRDLQEKKMELYRAIVKMEQGGDADGTLQDRVDRIQLDLDELVKTLNERCKKYGLRGKPTTLTELPFGWQPGIQEGAADWDEDWDKFEDEGFTVVKELTLDVPNVLAPPKQKSSPAQKEKAPTVESPTAASSPQVNENSEKPQSADGRVVENGAAYDKNENDSAKSAPNSPFASSTVGSPSREFSDSNFGKTTGADASPREKEFQSDHGGPGSVFGDKNFDDPAWGTFDTNDDVDSVWGFNAVSTTKDIDHESNRDHYFSGPGEFGLNPIRTGSSAGGFSQNNRPFTFDDSVPSTPLSVFNSGYSPPRYKDSSEPSFDTFSRFDSFRSTQDSGFFPQQETLGRFDSMRSSRDFDQGHGFPTLDDIPDPFGSSAPFRTSLDSQTPRRDSDPFGSSGPFRTSWDSQTPRRDSDPFGSSAPFRTSLDSQTPRRDSDTFGSSPFSTSLESQTPRRDSDPFGSSGPFKLSMESQTPRRDSDHWSAF >ONH92535 pep chromosome:Prunus_persica_NCBIv2:G8:18045643:18055682:1 gene:PRUPE_8G179600 transcript:ONH92535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQNQSANVDLFDAYFRRADLDRDGRISGSEAVAFFQASGLPKPVLAQIWAIADQRQTSFLGRAEFYNALRLVTVAQSKRELTPDIVKAALYGPAAAKIPAPQINLAATAAPQFNSAPAAPVTQGGAVTPTSSQTLGLRSPQVPPQYNSAAAATATQGGAVTPTSSQNLGFRGPQVQSQFNPAAQAPATQGGAVTPSSSQTLGFRGPQVPPSVNVNQQNFLSQDAKSTRPPVPPSTSDSQPPQGVATQGFPRGGSVVQPHPPNSSMSNDWIGGRTGGAPTGIPSTSGPTASLPPRPQAGFGIRPSGPPAKDSKSLNISGNGFTPDSSFGDDVFSATASQPKQNPSAHAFPPGSVPVSSAFVPAAGTQSSASPSTVGSLQSSHMMQQVGGQPHQAQSFPKPNQQVSAQTSPSGVSLGAGNSASSQSHIQWPRMTQNDAQKYSNIFVKVDTDRDGKITGEQARDLFLKWGLPREVLKQVWDLSDQDNDSMLSLREFCVALYLMERYREGRPLPAALPNSVMFDLSNIFQPTNHYNHAGNVAWRPASGVQQQQPIPGPGARHMAPPVGGRPPKPVAPSHSDERPQTNQQKPRVPELEKHLLNQLSKEEINSLELKFKEATEADKKVEELEKEILDAKEKIEYFRVKMQELVLYKSRCDNRLNEITERASADKREAESLAKKYEEKYKQTGDVASKLTIEEATFRDLQEKKMELYRAIVKMEQGGDADGTLQDRVDRIQLDLDELVKTLNERCKKYGLRGKPTTLTELPFGWQPGIQEGAADWDEDWDKFEDEGFTVVKELTLDVPNVLAPPKQKSSPAQKEKAPTVESPTAASSPQVNENSEKPQSADGRVVENGAAYDKNENDSAKSAPNSPFASSTVGSPSREFSDSNFGKTTGADASPREKEFQSDHGGPGSVFGDKNFDDPAWGTFDTNDDVDSVWGFNAVSTTKDIDHESNRDHYFSGPGEFGLNPIRTGSSAGGFSQNNRPFTFDDSVPSTPLSVFNSGYSPPRYKDSSEPSFDTFSRFDSFRSTQDSGFFPQQETLGRFDSMRSSRDFDQGHGFPTLDDIPDPFGSSAPFRTSLDSQTPRRDSDPFGSSGAI >ONH92305 pep chromosome:Prunus_persica_NCBIv2:G8:17327750:17337911:-1 gene:PRUPE_8G167800 transcript:ONH92305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVENPEPQKAKETANGGEETLKSGEKGRSRSSKHKSDEKGHDEERHSKRSKSGDDSREHDHDRHRERGSSRHRSRSREVEKDRHRSSREHRGREDREREERNGRERDRDRDKERDPDRARDRRERDREGDKDDKDREKEKERSRRSRSHSERHRSDRDERERSRDVEHKERDKEKDLREREKESRRHKDKKEEVTEPEADPERDQRTVFAYQICLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTSVVSGPGGMIGPYSGGARRLYVGNLHTNIKEDDLRQFSRLEDARNALSLNGQLEIAGRLIKVSAVTDQAGMQDLGANAGDFDDDEGGGLSLNARSRAILMQKLDRSGSGSGIAGPLGTPAVNSTGVSLPMALPTAPLLGAAPVVSPLVPPITAVPGIAGLGVGGLQIPTAILPSIDTIGVPSECLLLKNMFDPAVETEPNFDLDIKEDVQEECSKYGNLRHIFVDKNTAGHVYLRFENTQAAINARHVLHGRWFAGKMIEATFMLPQNYEAKFPESR >ONH92307 pep chromosome:Prunus_persica_NCBIv2:G8:17328103:17337019:-1 gene:PRUPE_8G167800 transcript:ONH92307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVENPEPQKAKETANGGEETLKSGEKGRSRSSKHKSDEKGHDEERHSKRSKSGDDSREHDHDRHRERGSSRHRSRSREVEKDRHRSSREHRGREDREREERNGRERDRDRDKERDPDRARDRRERDREGDKDDKDREKEKERSRRSRSHSERHRSDRDERERSRDVEHKERDKEKDLREREKESRTMIHGFSLYSLLEKICCHFGNTLLFLSVYHLRRHKDKKEEVTEPEADPERDQRTVFAYQICLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTSVVSGPGGMIGPYSGGARRLYVGNLHTNIKEDDLRQVFGAFGPVELVQLPVDETNNCKGFGFVQFSRLEDARNALSLNGQLEIAGRLIKVSAVTDQAGMQDLGANAGDFDDDEGGGLSLNARSRAILMQKLDRSGSGSGIAGPLGTPAVNSTGVSLPMALPTAPLLGAAPVVSPLVPPITAVPGIAGLGVGGLQIPTAILPSIDTIGVPSECLLLKNMFDPAVETEPNFDLDIKEDVQEECSKYGNLRHIFVDKNTAGHVYLRFENTQAAINARHVLHGRWFAGKMIEATFMLPQNYEAKFPESR >ONH92306 pep chromosome:Prunus_persica_NCBIv2:G8:17328103:17337019:-1 gene:PRUPE_8G167800 transcript:ONH92306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVENPEPQKAKETANGGEETLKSGEKGRSRSSKHKSDEKGHDEERHSKRSKSGDDSREHDHDRHRERGSSRHRSRSREVEKDRHRSSREHRGREDREREERNGRERDRDRDKERDPDRARDRRERDREGDKDDKDREKEKERSRRSRSHSERHRSDRDERERSRDVEHKERDKEKDLREREKESRRHKDKKEEVTEPEADPERDQRTVFAYQICLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTSVVSGPGGMIGPYSGGARRLYVGNLHTNIKEDDLRQVFGAFGPVELVQLPVDETNNCKGFGFVQFSRLEDARNALSLNGQLEIAGRLIKVSAVTDQAGMQDLGANAGDFDDDEGGGLSLNARSRAILMQKLDRSGSGSGIAGPLGTPAVNSTGVSLPMALPTAPLLGAAPVVSPLVPPITAVPGIAGLGVGGLQIPTAILPSIDTIGVPSECLLLKNMFDPAVETEPNFDLDIKEDVQEECSKYGNLRHIFVDKNTAGHVYLRFENTQAAINARHVLHGRWFAGKMIEATFMLPQNYEAKFPESR >ONH93315 pep chromosome:Prunus_persica_NCBIv2:G8:20266431:20272406:-1 gene:PRUPE_8G225400 transcript:ONH93315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRWLKGVKSLGIFKLNGESSLTAALLRDVPPEVELSEYGRAPSPGSESPSGLLNGESVNAEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSGFFLLYVDWNGLRNAKCGMDAFESGIKPCDLAKEALHQHPLTPLTLSKAIIVGYLGIFSIYWVFCFLRFFAQLRDTLGVRHFYHNSLHVTDNEIQTMPWASILEKVVQLQRSQQLCVVRDLSAHDVVMRLMRKENYLIGMLNKGVLAFPISQWVPGAGPTVKFGSDGKQERLILTKTLEWTLNWCILQSMFDRNFCVRRDFISNPRTLKKRLMVVGLVMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWMFREFNEVDHLFKHRINSSIVHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAITDELLVLDPEGAMSMVVQYTHYMPKTWRGKENTERVRIEFETLFQRVDDILEFIAEFTADVEGVGHVCSFSAFDFQRHGNSNYGSLYNVTRSQRSSQGKMEKSFLSFQSNYPSWDPDTQGNQFLKKLRTFREQKLQGHGTRHGYSPPRGFGDRNNFLLRERPHHTLGTGCQLGSLWLIDADQKNHPYLLDWYYTSRPHHTTSYTGDIPEEPIEVTEQHSADWMPPSFTDHQVRFEELWGHHYEDRTQSNLGASTSAPFHRGSVLQHHDGGNSAHPTGSHWWARTGQHHGTQPQSSFLEPPEFGQHITQPQSSFIEPPDFIRQPSDNYYENFSDRSLEEQEQEHLDWKNYHKLSRTTYVDDLDLEAGNVNLHFDDVYSRPPETPKI >ONH93316 pep chromosome:Prunus_persica_NCBIv2:G8:20266681:20271206:-1 gene:PRUPE_8G225400 transcript:ONH93316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRWLKGVKSLGIFKLNGESSLTAALLRDVPPEVELSEYGRAPSPGSESPSGLLNGESVNAEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSGFFLLYVDWNGLRNAKCGMDAFESGIKPCDLAKEALHQHPLTPLTLSKAIIVGYLGIFSIYWVFCFLRFFAQLRDTLGVRHFYHNSLHVTDNEIQTMPWASILEKVVQLQRSQQLCVVRDLSAHDVVMRLMRKENYLIGMLNKGVLAFPISQWVPGAGPTVKFGSDGKQERLILTKTLEWTLNWCILQSMFDRNFCVRRDFISNPRTLKKRLMVVGLVMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWMFREFNEVDHLFKHRINSSIVHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAITDELLVLDPEGAMSMVVQYTHYMPKTWRGKENTERVRIEFETLFQYTGMMLLEEMASIFLTPYLLLFVVPKRVDDILEFIAEFTADVEGVGHVCSFSAFDFQRHGNSNYGSLYNVTRSQRSSQGKMEKSFLSFQSNYPSWDPDTQGNQFLKKLRTFREQKLQGHGTRHGYSPPRGFGDRNNFLLRERPHHTLGTGCQLGSLWLIDADQKNHPYLLDWYYTSRPHHTTSYTGDIPEEPIEVTEQHSADWMPPSFTDHQVRFEELWGHHYEDRTQSNLGASTSAPFHRGSVLQHHDGGNSAHPTGSHWWARTGQHHGTQPQSSFLEPPEFGQHITQPQSSFIEPPDFIRQPSDNYYENFSDRSLEEQEQEHLDWKNYHKLSRTTYVDDLDLEAGNVNLHFDDVYSRPPETPKI >ONH93423 pep chromosome:Prunus_persica_NCBIv2:G8:20608070:20610795:-1 gene:PRUPE_8G231400 transcript:ONH93423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALEMARDGLLVPVESTQTAAQSSAAKKKTAALRSWISLDQDGEGTVLDLDKYAIIRRVQIHARDLRLLDPLLSYPSTILGREKVIVLNLEHIKAIITADEVLLRDPFDDNVLPIVEELQRRLPLVSANFQGQGEDEETSGVQKDVETDELKFPFEFRALEVALEGICSFLDARTRQLETDAYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLVGTSPLSDLGAQNWSLASPTITIGSRVSKTSRASAATTAEENDVEELEMLLEAYFMQIESTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSVYSLVAAIFGMNIPYTWKEDHGYVFKWVIIVTGVVCASLFLFIISYARHKGLVGS >ONH93425 pep chromosome:Prunus_persica_NCBIv2:G8:20608070:20610795:-1 gene:PRUPE_8G231400 transcript:ONH93425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALEMARDGLLVPVESTQTAAQSSAAKKKTAALRSWISLDQDGEGTVLDLDKYAIIRRVQIHARDLRLLDPLLSYPSTILGREKVIVLNLEHIKAIITADEVLLRDPFDDNVLPIVEELQRRLPLVSANFQGQGEDEETSGVQKDVETDELKFPFEFRALEVALEGICSFLDARTRQLETDAYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKQLMGLHVNLDCSVHLEPPYSIHIWSDAGDLFHVRDELEQLLDDDDDMADLYLSRKLVGTSPLSDLGAQNWSLASPTITIGSRVSKTSRASAATTAEENDVEELEMLLEAYFMQIESTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSVYSLVAAIFGMNIPYTWKEDHGYVFKWVIIVTGVVCASLFLFIISYARHKGLVGS >ONH93422 pep chromosome:Prunus_persica_NCBIv2:G8:20607593:20611750:-1 gene:PRUPE_8G231400 transcript:ONH93422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALEMARDGLLVPVESTQTAAQSSAAKKKTAALRSWISLDQDGEGTVLDLDKYAIIRRVQIHARDLRLLDPLLSYPSTILGREKVIVLNLEHIKAIITADEVLLRDPFDDNVLPIVEELQRRLPLVSANFQGQGEDEETSGVQKDVETDELKFPFEFRALEVALEGICSFLDARTRQLETDAYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLVGTSPLSDLGAQNWSLASPTITIGSRVSKTSRASAATTAEENDVEELEMLLEAYFMQIESTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQEDHGYVFKWVIIVTGVVCASLFLFIISYARHKGLVGS >ONH93426 pep chromosome:Prunus_persica_NCBIv2:G8:20608070:20609811:-1 gene:PRUPE_8G231400 transcript:ONH93426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLFGLCHDLEFPFEFRALEVALEGICSFLDARTRQLETDAYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLVGTSPLSDLGAQNWSLASPTITIGSRVSKTSRASAATTAEENDVEELEMLLEAYFMQIESTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSVYSLVAAIFGMNIPYTWKEDHGYVFKWVIIVTGVVCASLFLFIISYARHKGLVGS >ONH93424 pep chromosome:Prunus_persica_NCBIv2:G8:20607592:20611750:-1 gene:PRUPE_8G231400 transcript:ONH93424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALEMARDGLLVPVESTQTAAQSSAAKKKTAALRSWISLDQDGEGTVLDLDKYAIIRRVQIHARDLRLLDPLLSYPSTILGREKVIVLNLEHIKAIITADEVLLRDPFDDNVLPIVEELQRRLPLVSANFQGQGEDEETSGVQKDVETDELKFPFEFRALEVALEGICSFLDARTRQLETDAYPALDELTSKISSRNLDRVRKLKSAMTRLTNRVQKLMGLHVNLDCSVHLEPPYSIHIWSDAGDLFHVRDELEQLLDDDDDMADLYLSRKLVGTSPLSDLGAQNWSLASPTITIGSRVSKTSRASAATTAEENDVEELEMLLEAYFMQIESTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSVYSLVAAIFGMNIPYTWKEDHGYVFKWVIIVTGVVCASLFLFIISYARHKGLVGS >ONH94087 pep chromosome:Prunus_persica_NCBIv2:G8:22408996:22414076:-1 gene:PRUPE_8G269700 transcript:ONH94087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLDKERRGDYLGKTVQVVPHITDAIKTWIESVSLIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGQENFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLENTKEKLSQFCHVAAGNILNIHDVPNIWHVPLLLRNQNAHHSILQQLNLLSIAMPPDLRDWTKMAETYDNLKNSVRIAMVGKYVGLTDSYLSVVKALLHACVACSLKPSIDWIAASDLEDDSAKLTPEAHAAAWETLKNAACVLVPGGFGDRGVKGMILAAKYARENNVPYLGICLGMQISVIEFARSVLSLEGADSTEFDEHTTNPVVVFMPEGSRTHMGSTMRLGSRRTLFQTPDCITSKLYHNSEYVDERHRHRYEVNPDVIGILEEAGLKFVGKDDSGRRMEILELPSHPFYVGVQFHPEFKSRPGKPSALFLGLILAATGQLETYLDQHPNTS >ONH94088 pep chromosome:Prunus_persica_NCBIv2:G8:22408433:22414668:-1 gene:PRUPE_8G269700 transcript:ONH94088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLDKERRGDYLGKTVQVVPHITDAIKTWIESVSLIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGQENFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLENTKEKLSQFCHVAAGNILNIHDVPNIWHVPLLLRNQNAHHSILQQLNLLSIAMPPDLRDWTKMAETYDNLKNSVRIAMVGKYVGLTDSYLSVVKALLHACVACSLKPSIDWIAASDLEDDSAKLTPEAHAAAWETLKNAACVLVPGGFGDRGVKGMILAAKYARENNVPYLGICLGMQISVIEFARSVLSLEGADSTEFDEHTTNPVVVFMPEGSRTHMGSTMRLGSRRTLFQTPDCITSKLYHNSEYVDERHRHRYEVNPDVIGILEEAGLKFVGKDDSGRRMEILELPSHPFYVGVQFHPEFKSRPGKPSALFLGLILAATGQLETYLDQHPNTS >ONH91285 pep chromosome:Prunus_persica_NCBIv2:G8:13451625:13458381:1 gene:PRUPE_8G103800 transcript:ONH91285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPEGESNRDKSSSSSSPISVVSNFWKEFDLEGKKREPDEKGLRIAENQENSQKNRPKLAESTRDFKEYQLRCQLRGHEDDEQDLKLSELESENGKMKVEHEEFRTEATQSQLFELRAQSDEERATKQSEVNLLMEIPDPIFISYGGSRHTILRLGSTPTEIEVHIPCRFKVPLMLLGCCNGIICLGNYHKMVLWNPCNGTFITIKDTPSLGSYGFGYTEDDYYLIKVLPTILTKPRGGFGHTKVAKVEFFAVQLKSWTTIHLSDDTFEIFGNEWGRFVDGKLYWKGLGSSHEKSGVVICFDLKKKRFQNFGLPKEAITMENVSLAVLSNQICVSLFNPVENKVILWLLKDGGVWETLSIIEEATEYSFTASFIPLCMSKDERAVLMVESGVEMIGFPIHKKEKPTKTILHSSKSSPLGSQFILSECAFEATCFKESAVCLASGRDRQKEIVESADEERATKQSEVNLLMDEVERAQTWLLRLEREKGLLRFKLDTANEDTENKKSDTSDSYSILENSLIAKEKIIAELNMELHNIETTLSNEREEHLKEIKKLNTLLIEKEAALEEMKKELQGRPTTKLVDDLRKKVKILQGCSSKDKKGILFDDSDLSEARSTEVSENADQKHVSLDQDQKSMLKVICKQRDRFRIGHT >ONH92453 pep chromosome:Prunus_persica_NCBIv2:G8:17870863:17873406:1 gene:PRUPE_8G176700 transcript:ONH92453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFSLSTPTIPTLLFTLSLLLSFLTTPASSATFNCSATTTCQSLIDYVPENTTTLNAIKTLFNISHLRTLLGANNLPLSTAPTQNVTALQKILIPFTCLCSNGTGVSNKRPQYIVKPGDDLDHIATVVFSRLVKYQEIAAVNNIPDPSLIGIGKKLWIPLPCSCDEVNGERVVHYGHVVEAGSSVEAIATKYGTDQETLLRINGIADPKTLQANQVLDVPLKACSSSVSNNSLDAPLLVSNNTYVFTANNCVKCQCSPANNWTLQCEPSTVNSTGCPAMQCQGSSQLFLGNTTTSGCDQTTCTYAGYTNKTNILTTLATQSTCAAPPPDNNNNASRMGLQGSSWSFLFAAIHLALLLLHVLQ >ONH92454 pep chromosome:Prunus_persica_NCBIv2:G8:17871054:17873345:1 gene:PRUPE_8G176700 transcript:ONH92454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFSLSTPTIPTLLFTLSLLLSFLTTPASSATFNCSATTTCQSLIDYVPENTTTLNAIKTLFNISHLRTLLGANNLPLSTAPTQNVTALQKILIPFTCLCSNGTGVSNKRPQYIVKPGDDLDHIATVVFSRLVKYQEIAAVNNIPDPSLIGIGKKLWIPLPCSCDEVNGERVVHYGHVVEAGSSVEAIATKYGTDQETLLRINGIADPKTLQANQVLDVPLKACSSSVSNNSLDAPLLVSNNTYVFTANNCVKCQCSPANNWTLQCEPSTVNSTGCPAMQCQGSSQLFLGNTTTSGCDQTTCTYAGYTNKTNILTTLATQSTSPPPDNNNNASRMGLQGSSWSFLFAAIHLALLLLHVLQ >ONH91739 pep chromosome:Prunus_persica_NCBIv2:G8:15528118:15530637:-1 gene:PRUPE_8G134300 transcript:ONH91739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAPHALRTPFLRNPTLSLSSKPTLSSVFPVSLSSRRRAAPRLVVVLSAAGLDAKPTVLVAEKLGEAGLDLLKEFANVDCSYNLSPEELCTKISLCDALIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLGAATEFGCLVVNAPTANTVAAAEHGIALLTAMARNVAQADASVKAGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEALASADFISLHMPLTPATSKVLNDETFAKMKKGVRIVNVARGGVIDEDALVRALDGGIVAQEGVAVEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPYVVLAEKLGRLAVQLVAGGSGVKTVKVSYASARAPDDLDTRLLRAMITKGLIEPISDVFVNLVNADFTAKQRGLRITEERTVLDGSPESPLDSIQVQIANVESKFASAISESGEITVEGRVKDGVPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGTVGSILGEENVNVSFMSVGRIAPRKQAVMAIGVDDQPSKPALKRIGDVPAIEEFVFLKL >ONH91740 pep chromosome:Prunus_persica_NCBIv2:G8:15528016:15530885:-1 gene:PRUPE_8G134300 transcript:ONH91740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAPHALRTPFLRNPTLSLSSKPTLSSVFPVSLSSRRRAAPRLVVVLSAAGLDAKPTVLVAEKLGEAGLDLLKEFANVDCSYNLSPEELCTKISLCDALIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLGAATEFGCLVVNAPTANTVAAAEHGIALLTAMARNVAQADASVKAGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEALASADFISLHMPLTPATSKVLNDETFAKMKKGVRIVNVARGGVIDEDALVRALDGGIVAQAALDVFTVEPPPQGNTLVLHERVTATPHLGASTMEAQEGVAVEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPYVVLAEKLGRLAVQLVAGGSGVKTVKVSYASARAPDDLDTRLLRAMITKGLIEPISDVFVNLVNADFTAKQRGLRITEERTVLDGSPESPLDSIQVQIANVESKFASAISESGEITVEGRVKDGVPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGTVGSILGEENVNVSFMSVGRIAPRKQAVMAIGVDDQPSKPALKRIGDVPAIEEFVFLKL >ONH90083 pep chromosome:Prunus_persica_NCBIv2:G8:3137217:3138034:1 gene:PRUPE_8G033900 transcript:ONH90083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVLREKFAHLNLTFSIGGQISFDVFPPGWDKTYCLRYLEDFQEIHFFGDKTYKGGNDHEIYESERTVAHTVISPEDTAKQCKAVFLSPRYRSNFSVLCYTPFSNKNKLYAICNSVFENKC >ONH92098 pep chromosome:Prunus_persica_NCBIv2:G8:16659712:16660180:1 gene:PRUPE_8G155200 transcript:ONH92098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKMFFDFNCYCTQRYSIVIASTKSCFLFCHNIDFHFHPPKHKSEPVRRKHSSRQALKKLHALLSRSRMGAIAFAPTAASYFLLFSTKILCRNGRLSMISYISVLPHTFLFAKDKPQQNN >ONH91235 pep chromosome:Prunus_persica_NCBIv2:G8:13272736:13273278:1 gene:PRUPE_8G101100 transcript:ONH91235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIEENNKKGWANCSFLRLGYMDCSHFGSSWALVPHGPNNAFNWIYACVILECFNYISFDLLQRVLKH >ONH93799 pep chromosome:Prunus_persica_NCBIv2:G8:21689015:21692960:1 gene:PRUPE_8G253300 transcript:ONH93799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMANSFPEEVLEHVFSFIQSDSDRNSISMVCKSWYEIERWCRRRIFIGNCYAVSPRMMIRRFPDIRSIELKGKPHFADFNLVPEGWGGYVHPWIAAMADAYPWLEEIKLKRMVVTDETLELIAKSFKNFKLLVLSSCEGFSTDGLAAIAANCRNLRELDLHESDVEDLSGHWLSHFPDTYTSLVSLNIACLGSEFSFSSLERLVGRCPNLKSLRLNRAVPLDRLANLLHRAPQLVELGTGAYSAELRPDLFSNLAGSLSGCKELESLSGFWDVVPAYLPAIYSICPGLTSLNLSYATIPSPDLIKLVSQCPNLQRLWVLDYIEDVGLDALAVSCKDLRELRVFPSDPFVVEPNVLLTEQGLISVSEGCPKLQSVLYFCRQMSNDALITIARNQPNFTCFRLCIIEPRTPDYLTLEPLDVGFGAIVEHCKDLRRLSVSGLLTDRAFEYIGTHGKKLEMLSLAFAGDSDLGLHHVLSGCENLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCSVSYGACKLLGQKLPRLNVEVIDERGHPESRPESCPVEKLYIYRSVAGPRFDMPGFVWTMDEDSAVRVT >ONH92758 pep chromosome:Prunus_persica_NCBIv2:G8:18696754:18698306:-1 gene:PRUPE_8G193700 transcript:ONH92758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQFDGAANANYNSSSSNNPYVQYSPVPTTNSATKRPMDNMLESLSQCGKRVEVATKRAEAIADSVWHHLRMSHSLTEAAMARLNQGTKVLTGGGKEKVFQQEFENLAGEKLLHSYACYLSTSHGPVIGMLYISNKRLAFCSDFCHYLSPGNPNFMHYKVVVQLDQLGTVNPSANRWKPSEKYIHIVTRDGYEFWFMGFISYDKALKNLSQVLQISQN >ONH89908 pep chromosome:Prunus_persica_NCBIv2:G8:2094003:2094766:-1 gene:PRUPE_8G023300 transcript:ONH89908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSTQRASEQSTPCWKHYMFLSFGGEDTRKGFISHLYHELDYWQAIKTFKDNRDLEIGTSISPELLHAIEESQLAIIVLSPNYASSTWCLDELTKVVECMEARDTILPIFYGVDPSQVRNQTGSFAEAFTKHKEKLITKKNVEQWKADLTKVANLCGWDSRNFKCERELIEDIVKCVWRKVHPSLTLSNYPHKLVGMNSGLVKSSIALVAFTKFQI >ONH93793 pep chromosome:Prunus_persica_NCBIv2:G8:21665463:21666704:-1 gene:PRUPE_8G252800 transcript:ONH93793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYKKRPQLISMVEEFYRAHRSLAERYDQLKSDPGTRLLTTLGSPFSSSKCQSEKSMSVVDLNFDSHSETFEPEEYSESEVDDPEPDDETQVDEEMKEKASGGVSDDEVRKLREVIEKLEEENRIQKDQIKQKDEEKREVIRQLSLAVDMLKEENVELRKKCLARESPKNSSPSECNKFTGTFLRKLFNRSPKFHPTIVAL >ONH93792 pep chromosome:Prunus_persica_NCBIv2:G8:21665463:21667959:-1 gene:PRUPE_8G252800 transcript:ONH93792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQQTTHWWLYDSHSNSRRSPWLQTTLADLEHKTEDILKLIQEDADSFAQRAEMYYKKRPQLISMVEEFYRAHRSLAERYDQLKSDPGTRLLTTLGSPFSSSKCQSEKSMSVVDLNFDSHSETFEPEEYSESEVDDPEPDDETQVDEEMKEKASGGVSDDEVRKLREVIEKLEEENRIQKDQIKQKDEEKREVIRQLSLAVDMLKEENVELRKKCLARESPKNSSPSECNKFTGTFLRKLFNRSPKFHPTIVAL >ONH93791 pep chromosome:Prunus_persica_NCBIv2:G8:21665389:21668208:-1 gene:PRUPE_8G252800 transcript:ONH93791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQQTTHWWLYDSHSNSRRSPWLQTTLADLEHKTEDILKLIQEDADSFAQRAEMYYKKRPQLISMVEEFYRAHRSLAERYDQLKSDPGTRLLTTLGSPFSSSKCQSEKSMSVVDLNFDSHSETFEPEEYSESEVDDPEPDDETQVDEEMKEKASGGVSDDEVRKLREVIEKLEEENRIQKDQIKQKDEEKREVIRQLSLAVDMLKEENVELRKKCLARESPKNSSPSECNKFTGTFLRKLFNRSPKFHPTIVAL >ONH93790 pep chromosome:Prunus_persica_NCBIv2:G8:21665463:21668186:-1 gene:PRUPE_8G252800 transcript:ONH93790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQQTTHWWLYDSHSNSRRSPWLQTTLADLEHKTEDILKLIQEDADSFAQRAEMYYKKRPQLISMVEEFYRAHRSLAERYDQLKSDPGTRLLTTLGSPFSSSKCQSEKSMSVVDLNFDSHSETFEPEEYSESEVDDPEPDDETQVDEEMKEKASGGVSDDEVRKLREVIEKLEEENRIQKDQIKQKDEEKREVIRQLSLAVDMLKEENVELRKKCLARESPKNSSPSECNKFTGTFLRKLFNRSPKFHPTIVAL >ONH91171 pep chromosome:Prunus_persica_NCBIv2:G8:12889100:12897174:-1 gene:PRUPE_8G096800 transcript:ONH91171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAILGYLEKNDHISDSGQFAAERRIDHNEIVNVIKSLHGFKYVDAQDIKRETWVLTDEGKAYTATGSPEVQLFLAIPPEGIPKEELQKKLDLSVFKIGCAQAAKNKWVEMGKQLVTRKIQHVDDKVKDLLLQIQEGQAINQDDIKALKARKLIVPQTWKGYSVKKGPNYAPKRKKVATDLTRENLQRGDWKEIEFKEYNFTAKGLPTEGGHLHPLLKVRQQLKDIFRQMGFEEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLQAPSTTKELPEDYVQRVKQIHESGGYQSRGYGYDWKREEADKNLLRTHTTAVSSRMLYLLAQKPFAPKKYYSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLGDLLGVLHEFFARLGMSKLRFKPAYNPYTEPSMEIFSYHEGFGKWVEVGNSGMFRPEMLLPMGLPEDVRVIAWGLSLERPTMILYGIDNIRDLFGHKVDLGLIKRNPICRLGL >ONH91172 pep chromosome:Prunus_persica_NCBIv2:G8:12889084:12897196:-1 gene:PRUPE_8G096800 transcript:ONH91172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAILGYLEKNDHISDSGQFAAERRIDHNEIVNVIKSLHGFKYVDAQDIKRETWVLTDEGKAYTATGSPEVQLFLAIPPEGIPKEELQKKLDLSVFKIGCAQAAKNKWVEMGKQLVTRKIQHVDDKVKDLLLQIQEGQAINQDDIKALKARKLIVPQTWKGYSVKKGPNYAPKRKKVATDLTRENLQRGDWKEIEFKEYNFTAKGLPTEGGHLHPLLKVRQQLKDIFRQMGFEEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLQAPSTTKELPEDYVQRVKQIHESGGYQSRGYGYDWKREEADKNLLRTHTTAVSSRMLYLLAQKPFAPKKYYSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLGDLLGVLHEFFARLGMSKLRFKPAYNPYTEPSMEIFSYHEGFGKWVEVGNSGMFRPEMLLPMGLPEDVRVIAWGLSLERPTMILYGIDNIRDLFGHKVDLGLIKRNPICRLGL >ONH91173 pep chromosome:Prunus_persica_NCBIv2:G8:12889084:12897241:-1 gene:PRUPE_8G096800 transcript:ONH91173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAILGYLEKNDHISDSGQFAAERRIDHNEIVNVIKSLHGFKYVDAQDIKRETWVLTDEGKAYTATGSPEVQLFLAIPPEGIPKEELQKKLDLSVFKIGCAQAAKNKWVEMGKQLVTRKIQHVDDKVKDLLLQIQEGQAINQDDIKALKARKLIVPQTWKGYSVKKGPNYAPKRKKVATDLTRENLQRGDWKEIEFKEYNFTAKGLPTEGGHLHPLLKVRQQLKDIFRQMGFEEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLQAPSTTKELPEDYVQRVKQIHESGGYQSRGYGYDWKREEADKNLLRTHTTAVSSRMLYLLAQKPFAPKKYYSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLGDLLGVLHEFFARLGMSKLRFKPAYNPYTEPSMEIFSYHEGFGKWVEVGNSGMFRPEMLLPMGLPEDVRVIAWGLSLERPTMILYGIDNIRDLFGHKVDLGLIKRNPICRLGL >ONH92353 pep chromosome:Prunus_persica_NCBIv2:G8:17463639:17466828:-1 gene:PRUPE_8G170100 transcript:ONH92353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGNRNGVVFRLMSMPLGRLCLRPHASVQLSRFTSTSTDKSSTQALGGDFFALNKRISHLIRTGQIAQAREDFDRMEQRNVVTWNSMITGYVKRREMAKARKLFDEMPERDVVSWNLMISGYISCRGDRYIEEGRSLFDQMPVRDCVSWNTMISGYAKNQRMTEALQLFNRMPNQSVVSWNAMITGFLQNGDVVHAIEFFERIPERDRASLSALVSGLIQNGELDEAARILLECGNRDDGREGLVHAYNTLIAGYGQRGRVEEARKLFDQIPFLHQKGKEGNRRFERNVVSWNTMIMCYVKTGNIVSARELFDQMRERDTFSWNTMISGYVHASDMEQASSLFSKMPNPDALSWNSLILGYSQVGCLELAHDFFEKMPQKNLVSWNSMIAGYEKNEDFVGAVKLFARMQLEGEKPDRHTLSSLLSVSTGLVDLHLGMQVHQMVTKTVIADVPLNNSLITMYSRCGAIKEAQTIFDEMKLQKDVVSWNAMIGGYASHGFAAEALELFALMKRLKVRPTYITFIAVLNACAHAGLVDEGRSQFKSMISEFGIEPRVEHYASLVDIIGRHGQLEEATGLIKSMPFEPDKAVWGALLGACRVHNNVALARVAAEALMRLEPESSAPYVLLYNMYADAELWDDAAEVRLMMDKNNIRKHAAYSRVDSSHF >ONH92354 pep chromosome:Prunus_persica_NCBIv2:G8:17463586:17466851:-1 gene:PRUPE_8G170100 transcript:ONH92354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGNRNGVVFRLMSMPLGRLCLRPHASVQLSRFTSTSTDKSSTQALGGDFFALNKRISHLIRTGQIAQAREDFDRMEQRNVVTWNSMITGYVKRREMAKARKLFDEMPERDVVSWNLMISGYISCRGDRYIEEGRSLFDQMPVRDCVSWNTMISGYAKNQRMTEALQLFNRMPNQSVVSWNAMITGFLQNGDVVHAIEFFERIPERDRASLSALVSGLIQNGELDEAARILLECGNRDDGREGLVHAYNTLIAGYGQRGRVEEARKLFDQIPFLHQKGKEGNRRFERNVVSWNTMIMCYVKTGNIVSARELFDQMRERDTFSWNTMISGYVHASDMEQASSLFSKMPNPDALSWNSLILGYSQVGCLELAHDFFEKMPQKNLVSWNSMIAGYEKNEDFVGAVKLFARMQLEGEKPDRHTLSSLLSVSTGLVDLHLGMQVHQMVTKTVIADVPLNNSLITMYSRCGAIKEAQTIFDEMKLQKDVVSWNAMIGGYASHGFAAEALELFALMKRLKVRPTYITFIAVLNACAHAGLVDEGRSQFKSMISEFGIEPRVEHYASLVDIIGRHGQLEEATGLIKSMPFEPDKAVWGALLGACRVHNNVALARVAAEALMRLEPESSAPYVLLYNMYADAELWDDAAEVRLMMDKNNIRKHAAYSRVDSSHF >ONH92616 pep chromosome:Prunus_persica_NCBIv2:G8:18289184:18291962:-1 gene:PRUPE_8G184100 transcript:ONH92616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKKSPVSDVGAWGMNIVSSVGIIMANKQLMSPHGFAFGFATTLTGFHFSVTALVGLVSNATGYSASKHVPLWELVWFSLVANASITGMNLSLMLNSVGFYQISKLSMIPVVCMMEWIIHGKHFSREVKMAVAVVVVGVGICTVTDVKVNAKGFLCACVAILCTSLQQISIGSLQKKYSIGSFELLSKTAPIQALSLLVLGPFVDYFLTGKLLSEYKFSSGAFFFILLSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSQLTFKNLLGMALAVLGMIVYSWAVEAEKQPKILPSTRDNLSEEDLKPLKEGIAESPLKDTELGESKV >ONH90246 pep chromosome:Prunus_persica_NCBIv2:G8:4384709:4390713:1 gene:PRUPE_8G042200 transcript:ONH90246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMSNFKFLQAFFGTLLLTLTFLFVERTSNPVQSSPIRNGVLIESLINPLLHIIYTHPTFLLSCIWCLFLSILYSYTSSPNPVFLLDFSCFKPNPNQKCSYKASELFVLRTNRFSEESQEFMRKIYLKSGLGDETYAPKFVFQSNPKADLESAFDEAQEGMFSSINSVLSKTNIDPSRIDCLIVTCGSFSPMPSLTSLIVNHFKLKSDVKTYNFSGMGCSSGVMSIDLAANVLKQSNKIGYALVVIIETINLNWYYGDSRPMLVTNCIFRVGCVAAMITNDPSCRRVAKMELVHSLRTHHGANDRAYKAAFQEEDDKGCTGFSLTKDLIPVAGMFLREHIKILGPRVLPLSQLGMYVYSVIRSTMTRGASKPIVPDFTKAFDHFCIHTGGKAVIEQVGRVLRLGEELTEPARMSLHRFGNTSSSLVFYELAYLEAKGRVKKGDRVWMLGFGTGFKVGSLVWKALLDFGNERDNPWSDCIDRYPLKPW >ONH93026 pep chromosome:Prunus_persica_NCBIv2:G8:19425028:19429856:-1 gene:PRUPE_8G209100 transcript:ONH93026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCVPDFEMEDDYSIPTSSAPNRLRKSSLPDDEIMELLWQNGQVVMQSQNQRPSPNNKKSSQAPSKYAAVLPEDRDIPRPQPHPTPQNHQLFMHEDEMASWLQYPLVDDPFCADLLYPDSSTVHQTNTNTAAAAELRPNPISAPPSKPPIHPPRRTELQNFLPFAKTNNNTARVSETAPSSSKSVVRESTTVVDSCDTPLVGHSSRALDSGLDGGGGGGGVTAGAATSFATVATANAATSFTGKEIMTCEMSLTSSPGGSSASASVSAEPISSQKPRAGEDRKRKGREAEDDGEFQSEDVEFESANGKKQARGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGVQQYMPMGMGMGMGMGIGMEMAGMTRPMMPFPNVLAGSSMPTAAAHLGPRFPVPPFHMQPIPANDPARVQATNQSDQMLNALAAQNPNQSRMPNFADPYQQFFNPQQMQLPLQQNQAMAQPSSKPSSSKGLETHENPQSG >ONH93025 pep chromosome:Prunus_persica_NCBIv2:G8:19425477:19429856:-1 gene:PRUPE_8G209100 transcript:ONH93025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCVPDFEMEDDYSIPTSSAPNRLRKSSLPDDEIMELLWQNGQVVMQSQNQRPSPNNKKSSQAPSKYAAVLPEDRDIPRPQPHPTPQNHQLFMHEDEMASWLQYPLVDDPFCADLLYPDSSTVHQTNTNTAAAAELRPNPISAPPSKPPIHPPRRTELQNFLPFAKTNNNTARVSETAPSSSKSVVRESTTVVDSCDTPLVGHSSRALDSGLDGGGGGGGVTAGAATSFATVATANAATSFTGKEIMTCEMSLTSSPGGSSASASVSAEPISSQKPRAGEDRKRKGREAEDDGEFQSEDVEFESANGKKQARGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGVQQYMPMGMGMGMGMGIGMEMAGMTRPMMPFPNVLAGSSMPTAAAHLGPRFPVPPFHMQPIPANDPARVQATNQSDQMLNALAAQNPNQSRMPNFADPYQQFFNPQQMQLPLQQNQAMAQPSSKPSSSKGLETHENPQSG >ONH93029 pep chromosome:Prunus_persica_NCBIv2:G8:19426737:19429283:-1 gene:PRUPE_8G209100 transcript:ONH93029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLWQNGQVVMQSQNQRPSPNNKKSSQAPSKYAAVLPEDRDIPRPQPHPTPQNHQLFMHEDEMASWLQYPLVDDPFCADLLYPDSSTVHQTNTNTAAAAELRPNPISAPPSKPPIHPPRRTELQNFLPFAKTNNNTARVSETAPSSSKSVVRESTTVVDSCDTPLVGHSSRALDSGLDGGGGGGGVTAGAATSFATVATANAATSFTGKEIMTCEMSLTSSPGGSSASASVSAEPISSQKPRAGEDRKRKGREAEDDGEFQSEDVEFESANGKKQARGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQIVTNFCR >ONH93027 pep chromosome:Prunus_persica_NCBIv2:G8:19425028:19429856:-1 gene:PRUPE_8G209100 transcript:ONH93027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLWQNGQVVMQSQNQRPSPNNKKSSQAPSKYAAVLPEDRDIPRPQPHPTPQNHQLFMHEDEMASWLQYPLVDDPFCADLLYPDSSTVHQTNTNTAAAAELRPNPISAPPSKPPIHPPRRTELQNFLPFAKTNNNTARVSETAPSSSKSVVRESTTVVDSCDTPLVGHSSRALDSGLDGGGGGGGVTAGAATSFATVATANAATSFTGKEIMTCEMSLTSSPGGSSASASVSAEPISSQKPRAGEDRKRKGREAEDDGEFQSEDVEFESANGKKQARGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGVQQYMPMGMGMGMGMGIGMEMAGMTRPMMPFPNVLAGSSMPTAAAHLGPRFPVPPFHMQPIPANDPARVQATNQSDQMLNALAAQNPNQSRMPNFADPYQQFFNPQQMQLPLQQNQAMAQPSSKPSSSKGLETHENPQSG >ONH93024 pep chromosome:Prunus_persica_NCBIv2:G8:19425476:19429856:-1 gene:PRUPE_8G209100 transcript:ONH93024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCVPDFEMEDDYSIPTSSAPNRLRKSSLPDDEIMELLWQNGQVVMQSQNQRPSPNNKKSSQAPSKYAAVLPEDRDIPRPQPHPTPQNHQLFMHEDEMASWLQYPLVDDPFCADLLYPDSSTVHQTNTNTAAAAELRPNPISAPPSKPPIHPPRRTELQNFLPFAKTNNNTARVSETAPSSSKSVVRESTTVVDSCDTPLVGHSSRALDSGLDGGGGGGGVTAGAATSFATVATANAATSFTGKEIMTCEMSLTSSPGGSSASASVSAEPISSQKPRAGEDRKRKGREAEDDGEFQSEDVEFESANGKKQARGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQYMPMGMGMGMGMGIGMEMAGMTRPMMPFPNVLAGSSMPTAAAHLGPRFPVPPFHMQPIPANDPARVQATNQSDQMLNALAAQNPNQSRMPNFADPYQQFFNPQQMQLPLQQNQAMAQPSSKPSSSKGLETHENPQSG >ONH93028 pep chromosome:Prunus_persica_NCBIv2:G8:19426737:19429467:-1 gene:PRUPE_8G209100 transcript:ONH93028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCVPDFEMEDDYSIPTSSAPNRLRKSSLPDDEIMELLWQNGQVVMQSQNQRPSPNNKKSSQAPSKYAAVLPEDRDIPRPQPHPTPQNHQLFMHEDEMASWLQYPLVDDPFCADLLYPDSSTVHQTNTNTAAAAELRPNPISAPPSKPPIHPPRRTELQNFLPFAKTNNNTARVSETAPSSSKSVVRESTTVVDSCDTPLVGHSSRALDSGLDGGGGGGGVTAGAATSFATVATANAATSFTGKEIMTCEMSLTSSPGGSSASASVSAEPISSQKPRAGEDRKRKGREAEDDGEFQSEDVEFESANGKKQARGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQIVTNFCR >ONH93283 pep chromosome:Prunus_persica_NCBIv2:G8:20175816:20178606:1 gene:PRUPE_8G223100 transcript:ONH93283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCGLTNKTTFLQKSIKDKLANLAREKQNIKEEIDRSSPELLKLKQAVDKRSKEINKLEKRINEIVDRIYKDFSKSVGVANIREYEENQLKASQYMADERLSLSSQLSKLKYQLEYEQNRDMESRIKELQHSISNLQKDLERVQKKEAEAKSAAEKASGEILRWKEEVQEWKSKSEGCEKEIQEWNKRGSTATTSVSKLNRQINSKEAQIEQLMSRKQEIVEKCELEQISLPIISDPMETESSTMGPVFDFSQLNRSQLQDRRPSEREKLEVEFKQKMDALTSEIERTAPNMKALDQYEALKEKERGVTEEFEVARKEEKEKADLFNSVKQKRYELFMDAFNHISSNIDKIYKQLTKSNTHPLGGTAYLNLENEDDPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSREGARENQDDDGGSGFQSIVISLKDSFYDKADALVGVYRDCERSCSETLTFDLTKYRES >ONH91231 pep chromosome:Prunus_persica_NCBIv2:G8:13186354:13188881:-1 gene:PRUPE_8G100700 transcript:ONH91231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPIAEDKAISGQPKNTVIADFDPPQKPKRNKFAIACSILACMTSILLGYDIGVMSGANLFIQDDLKINDVQIQILTGTLNIYSLVGSGLAGRTSDWIGRRYTVVLSGAIFFVGAILMGVAPGYGFLMFGRFVAGIGVGYGLMISPVYTAEIAPTLSRGFLTSFPEVFVNIGILLGYVSNYAFSKLPAHLAWRFMLGIGALPAIILALGVLAMPESPRWLVMQGRLGDAKRVLDKTSASKEEAQLRLDDIKEAAGIAKELDDDVVPVTKKSHGEGVWKDLIVHPTPAVRHILIAALGIHFFEQASGIDSVVLYSPRIFQKAGIKSYDHKLLATVGVGFVKTIAILVATFFLDRFGRRKLLLSSVAGMIFSLACLGVGLTIIDNHKETVPWAIALCITMVLLNVAFFSIGLGPITWVYSSEIFPLKLRAQGVSMGVAVNRITSGVISMTFLSLYKAITIGGSFFLYAGIAALSWVFFYMLLPETRGRTLEDIEVLFGKYHRWKKANAVLKQTKQTKQGDGDENKSQVN >ONH92076 pep chromosome:Prunus_persica_NCBIv2:G8:16565389:16565877:-1 gene:PRUPE_8G153000 transcript:ONH92076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLCKNKISLALLLICLIGLARAQNAPDDYVKAHNAARAAVGLDTLDWDEGLADSAKNYANQRAGDCDLVHSNSGPGENLAMSPDGDLTAKLAVDQWVAEKADYDYKTNTCAPGKQCGHYTQVVWRDTGLVGCAKVQCAYGGSYVVCHYDPAGNSVGVKPY >ONH92072 pep chromosome:Prunus_persica_NCBIv2:G8:16549405:16551933:1 gene:PRUPE_8G152600 transcript:ONH92072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSKDLLQLEHKKALTSPIESTLLVCKNDSQACQTTKAQPEGKPIGVPVIKSQVLGKIRDFLGVISEANERLEKDAKDNSKNYDIEALNGNESQVIEMDLMLGVADLQTPEAVAAAESAISGYQPVIPLAVSSSEEESQDSSDNDSSSDEDDDDSSSEEDESDDEDKKTSSPVKLKTPKSDKDNSSDVRNGRSKKRPKIVELP >ONH93473 pep chromosome:Prunus_persica_NCBIv2:G8:20749743:20755244:1 gene:PRUPE_8G234200 transcript:ONH93473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSNDCSTSLLDELDELDINLRAKRRWRMAYLALRVVRVMLELPKEIISRASNYEGDDEIVHYSFVPNIEDDEDAGLKEMAKEKDSATALSEHGGVFTAGLAKSDYTKSHYDYGKFLHDITTITFTRAKKRWRMAFAAIYAVRAMLSLPKEIVAKRNNYKHHSEIFHSFSHTAPDIELSTALDLKPRTKSQTDAYGFVPNPDFDHAGLTTMVKERDLGAVNESGGVIGIAASLRTNPENGIYGNDLHVNKRREVYGSNTYHKQPPKGLLYFVMDALKDTTILILCVCAALSLGFGIKEHGAKEGWYEGGSIFVAVFIVIVVSALSNFRQELQFDKLSKISSNIKIEVLRDRQRQQVSIFDIVVGDVVFLKLGDQIPADGLFLDGRSLQVDESSMTGESDHVEVDSAKNPFLLSGAKVVDGYAQMLVTSVGMNTAWGEMMSSISQDTNERTPLQARLDKLTSTIGKVGLIVAFLVLVVLLIRYFTGNTKDEYGNKEYSGSNKNIDNVLNGVVRIVSAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMKDQAMVRKLQACETMGSATVICTDKTDKKRSGVLIKKKEDKSIHVHWKGAAEMIVAMCSSYYETDGAIKSLDEESRSNIEKIIQGMAASSLRCIAFAHTQILEEEIEYSNDEKTHPRLKEDELILLGVVGLKDPCRPGVLNAVKICRSAGVQIKMITGDNVFTAKAIATECGILQIGDEAIYGEQVIEGVEFRNYTHQERMEKVDNILVMARSSPFDKLLMVQCLKQKNHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIIILDDNFNSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSAGDVPLTAVQLLWVNLIMDTLGALALATERPTNELMQKQPVGRTAPLITNIMWRNLLFQALYQIAVLLILQFRGESIFNVTGGVNDTLIFNTFVLCQVFNEFNSRSMEKQNVFKGIHRNRLFIGIVGVTILLQVVMVEFLKKFADTEKLNLFQWVTCILIAAVSWPIGWIVKCIPVPEEPVFEIIRRSIVTFKRNMRA >ONH93472 pep chromosome:Prunus_persica_NCBIv2:G8:20749743:20755244:1 gene:PRUPE_8G234200 transcript:ONH93472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSNDCSTSLLDELDELDINLRAKRRWRMAYLALRVVRVMLELPKEIISRASNYEGDDEIVHYSFVPNIEDDEDAGLKEMAKEKDSATALSEHGGVFTAGLAKSDYTKSHYDYGKFLHDITTITFTRAKKRWRMAFAAIYAVRAMLSLPKEIVAKRNNYKHHSEIFHSFSHTAPDIELSTALDLKPRTKSQTDAYGFVPNPDFDHAGLTTMVKERDLGAVNESGGVIGIAASLRTNPENGIYGNDLHVNKRREVYGSNTYHKQPPKGLLYFVMDALKDTTILILCVCAALSLGFGIKEHGAKEGWYEGGSIFVAVFIVIVVSALSNFRQELQFDKLSKISSNIKIEVLRDRQRQQVSIFDIVVGDVVFLKLGDQIPADGLFLDGRSLQVDESSMTGESDHVEVDSAKNPFLLSGAKVVDGYAQMLVTSVGMNTAWGEMMSSISQDTNERTPLQARLDKLTSTIGKVGLIVAFLVLVVLLIRYFTGNTKDEYGNKEYSGSNKNIDNVLNGVVRIVSAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMKDQAMVRKLQACETMGSATVICTDKTGTLTKNEMEVTKFWLGQEPIEKHNSIKQNVSELFHQGVGLNTTGSVYIPLSGSKPNISGSPTEKAILYWAVSDLGMDMEKMKLSYDILHVETFNSDKKRSGVLIKKKEDKSIHVHWKGAAEMIVAMCSSYYETDGAIKSLDEESRSNIEKIIQGMAASSLRCIAFAHTQILEEEIEYSNDEKTHPRLKEDELILLGVVGLKDPCRPGVLNAVKICRSAGVQIKMITGDNVFTAKAIATECGILQIGDEAIYGEQVIEGVEFRNYTHQERMEKVDNILVMARSSPFDKLLMVQCLKQKNHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIIILDDNFNSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSAGDVPLTAVQLLWVNLIMDTLGALALATERPTNELMQKQPVGRTAPLITNIMWRNLLFQALYQIAVLLILQFRGESIFNVTGGVNDTLIFNTFVLCQVFNEFNSRSMEKQNVFKGIHRNRLFIGIVGVTILLQVVMVEFLKKFADTEKLNLFQWVTCILIAAVSWPIGWIVKCIPVPEEPVFEIIRRSIVTFKRNMRA >ONH90228 pep chromosome:Prunus_persica_NCBIv2:G8:4300527:4306932:-1 gene:PRUPE_8G041700 transcript:ONH90228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHKDPNDCLATVSSGADTPFDFLCDFYDGVIAGGAAGVFVEAALYPIDTIKTRLQVAHAGGKIVLKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKALPENLSALAHLTAGAIAGAASSVVRVPTEVVKQRMQTAQFSSAPDAVRLILAKEGFKGLYAGYGSFLLRDLPFDAVQFCIYEQLRIGFKLAARRDLNDPEVAMIGAFAGAVTGAITTPLDVIKTRLMVQGSENQYRGIYDCVRTIMRDEGSPALWKGIGPRVLWIGIGGSIFFGVLERTKQILEERRRDLELNSSKRN >ONH90224 pep chromosome:Prunus_persica_NCBIv2:G8:4300170:4307591:-1 gene:PRUPE_8G041700 transcript:ONH90224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKTSQAHSPVEKKSGLDVLTLKMSSSHKDPNDCLATVSSGADTPFDFLCDFYDGVIAGGAAGVFVEAALYPIDTIKTRLQVAHAGGKIVLKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKALPENLSALAHLTAGAIAGAASSVVRVPTEVVKQRMQTAQFSSAPDAVRLILAKEGFKGLYAGYGSFLLRDLPFDAVQFCIYEQLRIGFKLAARRDLNDPEVAMIGAFAGAVTGAITTPLDVIKTRLMVQGSENQYRGIYDCVRTIMRDEGSPALWKGIGPRVLWIGIGGSIFFGVLERTKQILEERRRDLELNSSKRN >ONH90229 pep chromosome:Prunus_persica_NCBIv2:G8:4300171:4307591:-1 gene:PRUPE_8G041700 transcript:ONH90229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKTSQAHSPDVLTLKMSSSHKDPNDCLATVSSGADTPFDFLCDFYDGVIAGGAAGVFVEAALYPIDTIKTRLQVAHAGGKIVLKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKALPENLSALAHLTAGAIAGAASSVVRVPTEVVKQRMQTAQFSSAPDAVRLILAKEGFKGLYAGYGSFLLRDLPFDAVQFCIYEQLRIGFKLAVQ >ONH90225 pep chromosome:Prunus_persica_NCBIv2:G8:4300527:4307058:-1 gene:PRUPE_8G041700 transcript:ONH90225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYVLTLKMSSSHKDPNDCLATVSSGADTPFDFLCDFYDGVIAGGAAGVFVEAALYPIDTIKTRLQVAHAGGKIVLKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKALPENLSALAHLTAGAIAGAASSVVRVPTEVVKQRMQTAQFSSAPDAVRLILAKEGFKGLYAGYGSFLLRDLPFDAVQFCIYEQLRIGFKLAARRDLNDPEVAMIGAFAGAVTGAITTPLDVIKTRLMVQGSENQYRGIYDCVRTIMRDEGSPALWKGIGPRVLWIGIGGSIFFGVLERTKQILEERRRDLELNSSKRN >ONH90226 pep chromosome:Prunus_persica_NCBIv2:G8:4300171:4307372:-1 gene:PRUPE_8G041700 transcript:ONH90226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHKDPNDCLATVSSGADTPFDFLCDFYDGVIAGGAAGVFVEAALYPIDTIKTRLQVAHAGGKIVLKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKALPENLSALAHLTAGAIAGAASSVVRVPTEVVKQRMQTAQFSSAPDAVRLILAKEGFKGLYAGYGSFLLRDLPFDAVQFCIYEQLRIGFKLAARRDLNDPEVAMIGAFAGAVTGAITTPLDVIKTRLMVQGSENQYRGIYDCVRTIMRDEGSPALWKGIGPRVLWIGIGGSIFFGVLERTKQILEERRRDLELNSSKRN >ONH90227 pep chromosome:Prunus_persica_NCBIv2:G8:4300527:4306932:-1 gene:PRUPE_8G041700 transcript:ONH90227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHKDPNDCLATVSSGADTPFDFLCDFYDGVIAGGAAGVFVEAALYPIDTIKTRLQVAHAGGKIVLKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKALPENLSALAHLTAGAIAGAASSVVRVPTEVVKQRMQTAQFSSAPDAVRLILAKEGFKGLYAGYGSFLLRDLPFDAVQFCIYEQLRIGFKLAARRDLNDPEVAMIGAFAGAVTGAITTPLDVIKTRLMVQGSENQYRGIYDCVRTIMRDEGSPALWKGIGPRVLWIGIGGSIFFGVLERTKQILEERRRDLELNSSKRN >ONH90223 pep chromosome:Prunus_persica_NCBIv2:G8:4300527:4307421:-1 gene:PRUPE_8G041700 transcript:ONH90223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKTSQAHSPDVLTLKMSSSHKDPNDCLATVSSGADTPFDFLCDFYDGVIAGGAAGVFVEAALYPIDTIKTRLQVAHAGGKIVLKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKALPENLSALAHLTAGAIAGAASSVVRVPTEVVKQRMQTAQFSSAPDAVRLILAKEGFKGLYAGYGSFLLRDLPFDAVQFCIYEQLRIGFKLAARRDLNDPEVAMIGAFAGAVTGAITTPLDVIKTRLMVQGSENQYRGIYDCVRTIMRDEGSPALWKGIGPRVLWIGIGGSIFFGVLERTKQILEERRRDLELNSSKRN >ONH89644 pep chromosome:Prunus_persica_NCBIv2:G8:515310:516237:-1 gene:PRUPE_8G006500 transcript:ONH89644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPLVFEILEKPATSCFIGICSAIWFYIQKKNIGYSHVGLSYETAIAGHHWRIITSAFSHISILHLVFNMSALWSLGVVEKLGPIGLGMEYYLQYTLVLVVLSGALVLGMYHILIQKFKIEYFRRVTAVGYSCVVFGWMTILSVKQPTSKLHLFGFLSLPISFAPFESLIFTSIIVPQASFIGHLSGIVVGYAIAWGLIHGMNNYWAVSMLGWIVLVSVFSLKRSGAYDFSFLEIESVTDPSLPSVRFVGNGRTLQMSALPATGVELV >ONH91323 pep chromosome:Prunus_persica_NCBIv2:G8:13775970:13778299:1 gene:PRUPE_8G106600 transcript:ONH91323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNILRMQLHRDENVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQAINDLDKELDHLKSCFEAEVAKHSVEY >ONH91324 pep chromosome:Prunus_persica_NCBIv2:G8:13776305:13778287:1 gene:PRUPE_8G106600 transcript:ONH91324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNILRMQLHRDENVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQAINDLDKELDHLKSCFEAEVAKHSVEY >ONH91594 pep chromosome:Prunus_persica_NCBIv2:G8:15028581:15033005:-1 gene:PRUPE_8G125600 transcript:ONH91594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFWSHNGAPDAWHFLVVIYFAFGFLAARLFFDRFIFCKIAIWLLSKGSAPLKLDMATRAKVVKCSESLWKLAYYATVEACILKISYQEPWFRDTKEYFKGWPNQELGLPLKLLYMGQCGFYTYSIVALLTWETRRKDFSVMMGHHVITVLLIGFSYITSFFRIGSIILALHDASDVFLEAAKVFKYSEKELAASVLFGFFAVSWLILRLIFFPFWVIRSSSYDLIDCLDLSKPASRALYYVFNTMLLMLFVFHLYWWVMICAMIRRQLKNRGKVGEDIRSDSEDDD >ONH90604 pep chromosome:Prunus_persica_NCBIv2:G8:9172659:9176111:1 gene:PRUPE_8G063200 transcript:ONH90604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVKDLTAGTVGGAAQLVCGHPFDTIKVKLQSQPNPLPGQPPKFSGAIDAVKQTLAAEGAGGLYKGMGAPLATVAAFNAVLFAVRGQMETLLRSQPGAPLTVGQQVICGAGAGVAVSFLACPTELIKCRLQAQSAMGDSGSVGATVKYGGPLDVAKQVLRSEGGTRGLFKGLVPTMAREIPGNAALFGAYEALKQFFAGGQDTSGLGRGSLIVAGGLAGAAFWASVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGVKGLYRGFGPAMARSVPANAACFLAYEVTRSSLG >ONH90603 pep chromosome:Prunus_persica_NCBIv2:G8:9169924:9176111:1 gene:PRUPE_8G063200 transcript:ONH90603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVKDLTAGTVGGAAQLVCGHPFDTIKVKLQSQPNPLPGQPPKFSGAIDAVKQTLAAEGAGGLYKGMGAPLATVAAFNAVLFAVRGQMETLLRSQPGAPLTVGQQVICGAGAGVAVSFLACPTELIKCRLQAQSAMGDSGSVGATVKYGGPLDVAKQVLRSEGGTRGLFKGLVPTMAREIPGNAALFGAYEALKQFFAGGQDTSGLGRGSLIVAGGLAGAAFWASVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGVKGLYRGFGPAMARSVPANAACFLAYEVTRSSLG >ONH92401 pep chromosome:Prunus_persica_NCBIv2:G8:17635436:17638171:1 gene:PRUPE_8G173100 transcript:ONH92401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKSDSEVTSVEQSTPPRSPRRPIYYVQSPSNHDVEKMSYGSSPAGSPGHHFYHCSPIHHSRESSTSRFSASLKNPRSLSAWRKLQRGEEVEESDDDDDENDTGFWKGGPGRSVRLYFCFALLFVVLFTAFSLILWGASKAYEPQVIVKSIVFESFDIQAGSDRTGVPTDMLSLNSTVRIHYRNPATFFGVHVTSTPLELHYYQLKVASGQIENFYQARKTHRTIRTVVLGSQVALYGGVSVVQDVSENLRRQRVAVPLNLTFVVRSRAYILGKLVKSKFYERIRCSVTLRGSNLGKHSNLTNSCVYE >ONH92400 pep chromosome:Prunus_persica_NCBIv2:G8:17635839:17637910:1 gene:PRUPE_8G173100 transcript:ONH92400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKSDSEVTSVEQSTPPRSPRRPIYYVQSPSNHDVEKMSYGSSPAGSPGHHFYHCSPIHHSRESSTSRFSASLKNPRSLSAWRKLQRGEEVEESDDDDDENDTGFWKGGPGRSVRLYFCFALLFVVLFTAFSLILWGASKAYEPQVIVKSIVFESFDIQAGSDRTGVPTDMLSLNSTVRIHYRNPATFFGVHVTSTPLELHYYQLKVASGQQIENFYQARKTHRTIRTVVLGSQVALYGGVSVVQDVSENLRRQRVAVPLNLTFVVRSRAYILGKLVKSKFYERIRCSVTLRGSNLGKHSNLTNSCVYE >ONH93176 pep chromosome:Prunus_persica_NCBIv2:G8:19868627:19878279:1 gene:PRUPE_8G217400 transcript:ONH93176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVFSAQGQKPTYLLLLLHIFLLALLPFKAISSPKTQAEALLTWKNTFASAPPSLTSWSLTNLNNLCNWTAIVCDHSTKQVSQIDLSNFNISATLTHFNFTPFLNLTQFNLYGNNFTGPVPSAIGNLSKLTTLDLGDNSFDKIPVEIGKLTELKYLSFFNNFLRGTIPYQLSNLQKVQFLILGANYLFETPDWSKFSGMPSLTYLDFSLNSLDSEFPEFISKCLNLTFLDLSGNSFTGQIPPQVFTILGKLEFLNLTNNYFEGPLPSNFPKLKHLHLAQNHFGGPIPEDIGLISGLERIDLRTNSLEGPIPSQLGLCTNLTYLALASNHLEGKIPSSIGQLRELKYLGLRNNSLNSSIPSELGLCTNLTYLDLASNFLSGELPVSLSKLTNIVVLDLYGNSFTGPLLPSLVSNWTEMFSLQLQNNTFGGNIPAEIGLLTKLKVLYLFQNKFTASIPSEIGNLEDLIDLDLSGNQLSGPIPSSIGQLRELIYLNLGENSLNSSIPSELGLCTNLTNLYLTSNHLEGKIPPSIGQLRELQYLDLHMNSLDSSIPSELGLCTSLTYLDLTSNHLEGKIPSSIGQLRELQYLDLHMNSLDSSIPSELGLCTSLTYLDLTSNHLEGKIPSSIGQLKELQYLDLYKNSLDSSIPSELGFCTSLTYLDLTSNHLEGKIPPSIGRLRNIQHLDLSNNSLSSSIPSELGFCTSLTYLDLSRNQLSGSIPLTLSNLANIQTLNLSNNNLNGPFPPEICFPFLENHDFSNNNLTQDVQKAPEDTFVGNSGLCGDARGLTRARNSEKKNNKVIIGVLVPVCSISVVAITIALILMFHKKTKCALKKINSTAQNFENFESMILQEEVKFTFGEVVKAIEDFHEKYCIGKGGFGRVYKAELLSGQVVAVKRLNMSDSNDIPAINLQSFENEILTLTNVRHRNIIRLYGFCSRRGCIFLLYEYLERGSLGKALYGVEGVTELGWATRVKVVKGLAHALSYLHHDCSPPIVHRDVTVNNVLLESDFEARLSDFGTARLISANSSNWTHIVGSFGYMAPELALTMRVTAKCDVYSFGVVALEVMMGRHPGDLLESQLSESSQSMKEDNAELFLKDLLDERLEAPSNELAKAVVLVMSLALGCIRTRPGSRPTMLYVAQKLSAQSLPSLPEPFGMLTLNKLMGI >ONH93177 pep chromosome:Prunus_persica_NCBIv2:G8:19868520:19872667:1 gene:PRUPE_8G217400 transcript:ONH93177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVFSAQGQKPTYLLLLLHIFLLALLPFKAISSPKTQAEALLTWKNTFASAPPSLTSWSLTNLNNLCNWTAIVCDHSTKQVSQIDLSNFNISATLTHFNFTPFLNLTQFNLYGNNFTGPVPSAIGNLSKLTTLDLGDNSFDKIPVEIGKLTELKYLSFFNNFLRGTIPYQLSNLQKVQFLILGANYLFETPDWSKFSGMPSLTYLDFSLNSLDSEFPEFISKCLNLTFLDLSGNSFTGQIPPQVFTILGKLEFLNLTNNYFEGPLPSNFPKLKHLHLAQNHFGGPIPEDIGLISGLERIDLRTNSLEGPIPSQLGLCTNLTYLALASNHLEGKIPSSIGQLRELKYLGLRNNSLNSSIPSELGLCTNLTYLDLASNFLSGELPVSLSKLTNIVVLDLYGNSFTGPLLPSLVSNWTEMFSLQLQNNTFGGNIPAEIGLLTKLKVLYLFQNKFTASIPSEIGNLEDLIDLDLSGNQLSGPIPSSIGQLRELIYLNLGENSLNSSIPSELGLCTNLTNLYLTSNHLEGKIPPSIGQLRELQYLDLHMNSLDSSIPSELGLCTSLTYLDLTSNHLEGKIPSSIGQLRELQYLDLHMNSLDSSIPSELGLCTSLTYLDLTSNHLEGKIPSSIGQLKELQYLDLYKNSLDSSIPSELGFCTSLTYLDLTSNHLEGKIPPSIGRLRNIQHLDLSNNSLSSSIPSELGFCTSLTYLDLSRNQLSGSIPLTLSNLANIQTLNLSNNNLNGPFPPEICFPFLENHDFSNNNLTQDVQKAPEDTFVGNSGLCGDARGLTRARNSEKKNNKVIIGVLVPVCSISVVAITIALILMFHKKTKCALKKINSTAQNFENFESMILQEEVKFTFGEVVKAIEDFHEKYCIGKGGFGRVYKAELLSGQVVAVKRLNMSDSNDIPAINLQSFENEILTLTNVRHRNIIRLYGFCSRRGCIFLLYEYLERGSLGKALYGVEGVTELGWATRVKVVKGLAHALSYLHHDCSPPIVHRDVTVNNVLLESDFEARLSDFGTARLISANSSNWTHIVGSFGYMAPELALTMRVTDKCDVYSFGVVALEVMMGRHPGDLLESQLSESSQSMKEDNAELFLKDLLDERLEAPSNELAKAVVLVMSLALACIRMRPGSRPTMLYVAQKLSAQSLPSLPLPFGMLTINKLMGI >ONH90320 pep chromosome:Prunus_persica_NCBIv2:G8:4866701:4878622:-1 gene:PRUPE_8G046100 transcript:ONH90320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIQQTPPFKFQFPYSHFSFTSSLPLQKTMLLRLPSPPLSSSFLSTTTPKHLRLFSSSSSPRPRPRRRRSLFRCAAGNDLVEVDAFTNKSGYLFELSNSDANSIEDYDISKIGAIYRRRPLILLRRLFQTGLTFGKWFAFRYIDNLMERSDQMFEVRAAELRQVLLQLGPAYIKIAQAISSRPDLIPPSYLDELSLLQDRISPFSTEVAINTIEQELGLPIEELFSEISLEPVAAASLGQVYQARLRRTGQVVAVKVQRPGVQAAISLDILILRFLAGLLRRIRKLNTDLQAVVDEWASSLFREMDYRTEANNGLKFRKLYGGIPDVLVPEMYLDYTTRRVLVMEWVEGQKLSEVNDLYMVEVGTYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQELRNGFIEACLHLVNRDFGALAKDFVTLGLIPPTADKEAVTKALTGVFQNAVAKGVRNISFGDLLGDLGTTMYKFKFRIPSYFSLVIRSLAVLEGVAIGANPDYKVLGSTYPWIARKVLTDSSPELKSSLHALLYEEGIFKIDRLESLLSEALRARTEKALLRKQEDERVVIKQILSFMLAEKGAFVRDILLQEFAKGLDALGLATLDSITTLATASIPFTSTFSFSTMTNEDKINLRTLHRLLLLLSGPQGIENSKAVIKEDIPYNNQQMNLEEASLVFNQLASIQDILPILSVIPELTLMHRRTGEFCGNLWSL >ONH90321 pep chromosome:Prunus_persica_NCBIv2:G8:4868815:4878666:-1 gene:PRUPE_8G046100 transcript:ONH90321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIQQTPPFKFQFPYSHFSFTSSLPLQKTMLLRLPSPPLSSSFLSTTTPKHLRLFSSSSSPRPRPRRRRSLFRCAAGNDLVEVDAFTNKSGYLFELSNSDANSIEDYDISKIGAIYRRRPLILLRRLFQTGLTFGKWFAFRYIDNLMERSDQMFEVRAAELRQVLLQLGPAYIKIAQAISSRPDLIPPSYLDELSLLQDRISPFSTEVAINTIEQELGLPIEELFSEISLEPVAAASLGQVYQARLRRTGQVVAVKVQRPGVQAAISLDILILRFLAGLLRRIRKLNTDLQAVVDEWASSLFREMDYRTEANNGLKFRKLYGGIPDVLVPEMYLDYTTRRVLVMEWVEGQKLSEVNDLYMVEVGTYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQELRNGFIEACLHLVNRDFGALAKDFVTLGLIPPTADKEAVTKALTGVFQNAVAKGVRNISFGDLLGDLGTTMYKFKFRIPSYFSLVIRSLAVLEGVAIGANPDYKVLGSTYPWIARKVLTDSSPELKSSLHALLYEEGIFKIDRLESLLSEALRARTEKALLRKQEDERVVIKQILSFMLAEKGAFVRDILLQEFAKSTQTKTFLLPIL >ONH90319 pep chromosome:Prunus_persica_NCBIv2:G8:4865984:4878622:-1 gene:PRUPE_8G046100 transcript:ONH90319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIQQTPPFKFQFPYSHFSFTSSLPLQKTMLLRLPSPPLSSSFLSTTTPKHLRLFSSSSSPRPRPRRRRSLFRCAAGNDLVEVDAFTNKSGYLFELSNSDANSIEDYDISKIGAIYRRRPLILLRRLFQTGLTFGKWFAFRYIDNLMERSDQMFEVRAAELRQVLLQLGPAYIKIAQAISSRPDLIPPSYLDELSLLQDRISPFSTEVAINTIEQELGLPIEELFSEISLEPVAAASLGQVYQARLRRTGQVVAVKVQRPGVQAAISLDILILRFLAGLLRRIRKLNTDLQAVVDEWASSLFREMDYRTEANNGLKFRKLYGGIPDVLVPEMYLDYTTRRVLVMEWVEGQKLSEVNDLYMVEVGTYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQELRNGFIEACLHLVNRDFGALAKDFVTLGLIPPTADKEAVTKALTGVFQNAVAKGVRNISFGDLLGDLGTTMYKFKFRIPSYFSLVIRSLAVLEGVAIGANPDYKVLGSTYPWIARKVLTDSSPELKSSLHALLYEMMSYQEGIFKIDRLESLLSEALRARTEKALLRKQEDERVVIKQILSFMLAEKGAFVRDILLQEFAKGLDALGLATLDSITTLATASIPFTSTFSFSTMTNEDKINLRTLHRLLLLLSGPQGIENSKAVIKEDIPYNNQQMNLEEASLVFNQLASIQDILPILSVIPELPPESQQQLLNLPADLTGRLISRAAARTIRRIIL >ONH90318 pep chromosome:Prunus_persica_NCBIv2:G8:4865935:4878700:-1 gene:PRUPE_8G046100 transcript:ONH90318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIQQTPPFKFQFPYSHFSFTSSLPLQKTMLLRLPSPPLSSSFLSTTTPKHLRLFSSSSSPRPRPRRRRSLFRCAAGNDLVEVDAFTNKSGYLFELSNSDANSIEDYDISKIGAIYRRRPLILLRRLFQTGLTFGKWFAFRYIDNLMERSDQMFEVRAAELRQVLLQLGPAYIKIAQAISSRPDLIPPSYLDELSLLQDRISPFSTEVAINTIEQELGLPIEELFSEISLEPVAAASLGQVYQARLRRTGQVVAVKVQRPGVQAAISLDILILRFLAGLLRRIRKLNTDLQAVVDEWASSLFREMDYRTEANNGLKFRKLYGGIPDVLVPEMYLDYTTRRVLVMEWVEGQKLSEVNDLYMVEVGTYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQELRNGFIEACLHLVNRDFGALAKDFVTLGLIPPTADKEAVTKALTGVFQNAVAKGVRNISFGDLLGDLGTTMYKFKFRIPSYFSLVIRSLAVLEGVAIGANPDYKVLGSTYPWIARKVLTDSSPELKSSLHALLYEEGIFKIDRLESLLSEALRARTEKALLRKQEDERVVIKQILSFMLAEKGAFVRDILLQEFAKGLDALGLATLDSITTLATASIPFTSTFSFSTMTNEDKINLRTLHRLLLLLSGPQGIENSKAVIKEDIPYNNQQMNLEEASLVFNQLASIQDILPILSVIPELPPESQQQLLNLPADLTGRLISRAAARTIRRIIL >ONH90654 pep chromosome:Prunus_persica_NCBIv2:G8:9889895:9890170:-1 gene:PRUPE_8G067000 transcript:ONH90654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASAEKREQQFMEFSNHIFNFNKSSDIDPANPNFSQGSKKLCAVPTFNDIMSPAKFDNMYFRNSQRGLGLLSTDQALMTDWRMKPLVDL >ONH94122 pep chromosome:Prunus_persica_NCBIv2:G8:22498656:22501799:1 gene:PRUPE_8G271500 transcript:ONH94122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPQSLLTGLVHKNCKVALILLIVMIIIMVVFIFSYIFLMLSAGRREMHLCVTLIKQMEATSRERLIEISYDEVAPSSELETNLRQMDSCTRDLLGILNSILDTNVVDLYHPVGVRKSIDVALGPYDGSVIKFSRVKGDMGRLKQILCNLISNAVKFTSEGHVAVHAWVENPSFKNSIVASDRNIGVMRQLLCFFNKNMKAKADRETMNVVQNGSNYLEFVFEVDDTGKGIPKEKQISVFENYVQVKETALGEGGTSLGLGIVVRLMHGEIRIVDKEIGERGTCFRFNVLLAVSTASTRTPSTSGLSIHAPSPRLNIRIPSPRIEGSGSFVVLLIKNKERGRISQKFFENLEIKKTNLRGTSVFALLVIDATAGPFKMSLTRNRGDIFYQDDVVKHKARHGSRLYEVVRLLPEFRLGATVELCENGRQALDLVRNALVNQGKHGCNYIQMDCEMPEMDGFEATMQIRKEEEPYNVHIPIIPLTGHAPGEERKKRIEARMTTI >ONH92657 pep chromosome:Prunus_persica_NCBIv2:G8:18421293:18424976:-1 gene:PRUPE_8G187400 transcript:ONH92657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSTSIYHKDPTRWRFFSCSFLCTIAMLSAVFFFGSHCVLTDYKEKFLAWGLVDDMQLTISKKCETQCRPDGTEALPKGIVSKTSDLLMQPLWGPRNKKNSKSSTNLLAIAVGIKQKESVNKIVKKFLLSDFIVMLFHYDGLVNEWRDLEWSGRAIHVSAMNQTKWWYAKRFLHPDIVSEYAFIFLWDEDLGIENFNVGRYLSIIRKEGLEISQPALDPENSEVHHDLTARDNRTEVHRFVEMMAPVFSRASWRCVWHMIQNDLVHAWGLDFQLGYCAQGDRTKNIGIVDSEYIVHYGLPTLGGLAPNKTNAEAPDQSAKTISLPSSEQPAPTSSSPLDPRTEVRKLSFVELEIFKNRWKKAVREDNCWDPYQKTPQQSKN >ONH92659 pep chromosome:Prunus_persica_NCBIv2:G8:18421777:18424599:-1 gene:PRUPE_8G187400 transcript:ONH92659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTYPLKLFGSHACSPLPTCIQIYHKDPTRWRFFSCSFLCTIAMLSAVFFFGSHCVLTDYKEKFLAWGLVDDMQLTISKKCETQCRPDGTEALPKGIVSKTSDLLMQPLWGPRNKKNSKSSTNLLAIAVGIKQKESVNKIVKKFLLSDFIVMLFHYDGLVNEWRDLEWSGRAIHVSAMNQTKWWYAKRFLHPDIVSEYAFIFLWDEDLGIENFNVGRYLSIIRKEGLEISQPALDPENSEVHHDLTARDNRTEVHRKINKLIGGGRRCDQNSTDPPCTGFVEMMAPVFSRASWRCVWHMIQNDLVHAWGLDFQLGYCAQGDRTKNIGIVDSEYIVHYGLPTLGGLAPNKTNAEAPDQSAKTISLPSSEQPAPTSSSPLDPRTEVRKLSFVELEIFKNRWKKAVREDNCWDPYQKTPQQSKN >ONH92658 pep chromosome:Prunus_persica_NCBIv2:G8:18421777:18424769:-1 gene:PRUPE_8G187400 transcript:ONH92658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSTSIYHKDPTRWRFFSCSFLCTIAMLSAVFFFGSHCVLTDYKEKFLAWGLVDDMQLTISKKCETQCRPDGTEALPKGIVSKTSDLLMQPLWGPRNKKNSKSSTNLLAIAVGIKQKESVNKIVKKFLLSDFIVMLFHYDGLVNEWRDLEWSGRAIHVSAMNQTKWWYAKRFLHPDIVSEYAFIFLWDEDLGIENFNVGRYLSIIRKEGLEISQPALDPENSEVHHDLTARDNRTEVHRKINKLIGGGRRCDQNSTDPPCTGFVEMMAPVFSRASWRCVWHMIQNDLVHAWGLDFQLGYCAQGDRTKNIGIVDSEYIVHYGLPTLGGLAPNKTNAEAPDQSAKTISLPSSEQPAPTSSSPLDPRTEVRKLSFVELEIFKNRWKKAVREDNCWDPYQKTPQQSKN >ONH89888 pep chromosome:Prunus_persica_NCBIv2:G8:2012991:2014482:1 gene:PRUPE_8G022300 transcript:ONH89888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFEAFENLFGVNMGMNGWCSSKAKDSPSLSHNFSPSSADGLTNFYRNLIFQRNFKKSIVKMLASMKFLQNVNI >ONH91141 pep chromosome:Prunus_persica_NCBIv2:G8:12796854:12797957:1 gene:PRUPE_8G095800 transcript:ONH91141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQKHNSSWTPKQNKLFERALALYDKDTPERWQNVAKAVGGNKTVEEVKRHYDILLEDLRQIESGHVPIPNYKSSYGGSAGGRGSSSNINFDEEERLLKYLKLQ >ONH91804 pep chromosome:Prunus_persica_NCBIv2:G8:15692080:15696115:1 gene:PRUPE_8G137300 transcript:ONH91804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYQLLGDINLEFDDSHLEYAEESYSRELDLDTATAKIKYSVGDVEYTREHFSSNPDQVIVTKISGSKSGSLTFTVSLDSKLHHNSQANGKNQIIIEGSCPGKRISPKFLSLNDNPKGIQFSAVLDLQISGGSGVIHVLDDKKLRVEGCDWAVLLLVASSSFEGPFSKPSDSKRNPTSESLNALNSIRNLSYSDLYAHHLDDYQNLFHRVSLQLSKSSKKILGDKTLEPKKLNPISSLNLRGSDDALVSTADRVKSFKTDEDPSFVELLFQYGRYLLISCSRVGTQVANLQGIWNKDIEPPWDGAQHLNINLQMNYWPSLPCNLRECQEPLFDYTSSLSINGSKTAKVNYEASGWVVHQVSDIWAKTSPDRGQAVWALWPMGGAWLCTHLWEHYTYTMDKDFLKNKAYPLLEGCTLFLLDWLIEGRGGYLETNPSTSPEHMFIAPDGKQASVSYSSTMDISIIKEVFSAILSAAEVLGRTQDAVVQKVREAQPRLLPTKIARDGSIMEWAQDFEDPEVHHRHVSHLFGLFPGHTITIEKTPDLCKAVENSLYKRGEEGPGWSTMWKTALWARLHNSEHAYRMVKHLIDLVDPDHEADFEGGLYSNLFTAHPPFQIDANFGFSAAVAEMLVQSTIKDLYLLPALPRDTWANGCVKGLKARGGVTVNICWKEGDLHEVGLWSKDHSSIKRLHYRGSTVTTNISSGRIYTFNRQLKRVRTTCL >ONH91802 pep chromosome:Prunus_persica_NCBIv2:G8:15690728:15696426:1 gene:PRUPE_8G137300 transcript:ONH91802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAEWVLVRKPAEKDMWAPSLVKKESSKPLKVTFSGPAKHWTDAIPIGNGRLGAMVWGGVASEKLQLNEDTLWTGTPGNYTNPKAPEALTEVRKLVDSGKYVEATEAAVKLSGDPSDVYQLLGDINLEFDDSHLEYAEESYSRELDLDTATAKIKYSVGDVEYTREHFSSNPDQVIVTKISGSKSGSLTFTVSLDSKLHHNSQANGKNQIIIEGSCPGKRISPKFLSLNDNPKGIQFSAVLDLQISGGSGVIHVLDDKKLRVEGCDWAVLLLVASSSFEGPFSKPSDSKRNPTSESLNALNSIRNLSYSDLYAHHLDDYQNLFHRVSLQLSKSSKKILGDKTLEPKKLNPISSLNLRGSDDALVSTADRVKSFKTDEDPSFVELLFQYGRYLLISCSRVGTQVANLQGIWNKDIEPPWDGAQHLNINLQMNYWPSLPCNLRECQEPLFDYTSSLSINGSKTAKVNYEASGWVVHQVSDIWAKTSPDRGQAVWALWPMGGAWLCTHLWEHYTYTMDKDFLKNKAYPLLEGCTLFLLDWLIEGRGGYLETNPSTSPEHMFIAPDGKQASVSYSSTMDISIIKEVFSAILSAAEVLGRTQDAVVQKVREAQPRLLPTKIARDGSIMEWAQDFEDPEVHHRHVSHLFGLFPGHTITIEKTPDLCKAVENSLYKRGEEGPGWSTMWKTALWARLHNSEHAYRMVKHLIDLVDPDHEADFEGGLYSNLFTAHPPFQIDANFGFSAAVAEMLVQSTIKDLYLLPALPRDTWANGCVKGLKARGGVTVNICWKEGDLHEVGLWSKDHSSIKRLHYRGSTVTTNISSGRIYTFNRQLKRVRTTCL >ONH91803 pep chromosome:Prunus_persica_NCBIv2:G8:15690728:15696426:1 gene:PRUPE_8G137300 transcript:ONH91803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQSGMGGLEPWCGVVWHQKNSNSMVYQLLGDINLEFDDSHLEYAEESYSRELDLDTATAKIKYSVGDVEYTREHFSSNPDQVIVTKISGSKSGSLTFTVSLDSKLHHNSQANGKNQIIIEGSCPGKRISPKFLSLNDNPKGIQFSAVLDLQISGGSGVIHVLDDKKLRVEGCDWAVLLLVASSSFEGPFSKPSDSKRNPTSESLNALNSIRNLSYSDLYAHHLDDYQNLFHRVSLQLSKSSKKILGDKTLEPKKLNPISSLNLRGSDDALVSTADRVKSFKTDEDPSFVELLFQYGRYLLISCSRVGTQVANLQGIWNKDIEPPWDGAQHLNINLQMNYWPSLPCNLRECQEPLFDYTSSLSINGSKTAKVNYEASGWVVHQVSDIWAKTSPDRGQAVWALWPMGGAWLCTHLWEHYTYTMDKDFLKNKAYPLLEGCTLFLLDWLIEGRGGYLETNPSTSPEHMFIAPDGKQASVSYSSTMDISIIKEVFSAILSAAEVLGRTQDAVVQKVREAQPRLLPTKIARDGSIMEWAQDFEDPEVHHRHVSHLFGLFPGHTITIEKTPDLCKAVENSLYKRGEEGPGWSTMWKTALWARLHNSEHAYRMVKHLIDLVDPDHEADFEGGLYSNLFTAHPPFQIDANFGFSAAVAEMLVQSTIKDLYLLPALPRDTWANGCVKGLKARGGVTVNICWKEGDLHEVGLWSKDHSSIKRLHYRGSTVTTNISSGRIYTFNRQLKRVRTTCL >ONH90885 pep chromosome:Prunus_persica_NCBIv2:G8:11447103:11448991:-1 gene:PRUPE_8G080700 transcript:ONH90885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVNAKRSLIRSLSSSSQTDDSKTLDIPKGYFAVYVGESQKKRFLIPISYLNKPLFRDLLSQAEEEFGYDHPMGGITIPCSEDIFLHLTSRLSV >ONH90636 pep chromosome:Prunus_persica_NCBIv2:G8:9722491:9723136:1 gene:PRUPE_8G065700 transcript:ONH90636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSAVVLVLMAALLVASTRAQSPASSPAKSPASAPSPLSTPPAASPPLGTPSSAAPSTAPVADSPPSPPSSSPKSPATSTSTSPSLSTSVSGSPSEAPAPNGAVLNRFSIAGSVAVGVFAAALLV >ONH90800 pep chromosome:Prunus_persica_NCBIv2:G8:10938039:10941755:1 gene:PRUPE_8G075000 transcript:ONH90800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAALLRSLRRRDIASAPLCAYRSLNSTSNSSHLVQKWGSLARTFSSKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRFEDPQTQKEMKMVPYKIVKAPNGDAWVEVNGQQYSPSQIGAFVLTKMKETAEAYLGKSVTKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKRTEGIDLAKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTKAPCKNCLKDANTSIKDVDEVLLVGGMTRVPKVQEVVTQIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKMLGEFELVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATSKEQQITIRSSGGLSEDEIEKMVREAELHAQKDQERKALIDIRNSADTTIYSIEKSLNEYRDKIPSEVAKEIEDAVAELRTAIGGDNAEEIKAKLEAANKAVSKIGEHMSRGSGGDSSSGGSGTEGGDQAPEADYEEVKK >ONH90801 pep chromosome:Prunus_persica_NCBIv2:G8:10938251:10941755:1 gene:PRUPE_8G075000 transcript:ONH90801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRRFEDPQTQKEMKMVPYKIVKAPNGDAWVEVNGQQYSPSQIGAFVLTKMKETAEAYLGKSVTKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKRTEGIDLAKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTKAPCKNCLKDANTSIKDVDEVLLVGGMTRVPKVQEVVTQIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKMLGEFELVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATSKEQQITIRSSGGLSEDEIEKMVREAELHAQKDQERKALIDIRNSADTTIYSIEKSLNEYRDKIPSEVAKEIEDAVAELRTAIGGDNAEEIKAKLEAANKAVSKIGEHMSRGSGGDSSSGGSGTEGGDQAPEADYEEVKK >ONH92996 pep chromosome:Prunus_persica_NCBIv2:G8:19356964:19358871:-1 gene:PRUPE_8G207000 transcript:ONH92996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTIFKPTTPPPSHPSSLFPQITACKTIRDLHQVHALFIKTRQIHDPLAAAEILRFYALSAHRNIEWARAVFNHMQRPNCFSWNTIIRALAESSVDEHPLEALLLFSQMVSYGFVGPNRFTFPSVLKACAKMGNLGVGKCVHGMVVKFGLDTDEFVVSNLVRMYVMCKVMEDAHLLFSRSVVVCGHLNERKQEGNVVLWNVIVDGYVRVGDVRAARVLFDKMPQRSVVSWNVMISGYAQNGFFREAIDLFRDMQIENVYPNYVTLVSVLPAISRLGALELGKWIHLYAGKNRIEIDDVLGSALVDMYSKCGSIEKALLVFEKLPKRNVITWNAIISGLAMHGRVEDALDYFKKMEPAGVVPSDVTYIGILSACSHAGLVEQGRSFFNRMVNVISLEPRIEHYGCMVDLLGRAGLLEEAEELILNMPIQPDDVTWKALLGACKKQGNIDMGKRVAEVLMDLAPHDSGSYVALSNMYASLGNWEAVAKVRLQMKDMDIRKDPGGSSIELDGVIHEFVVEDESHPRAREIHSMLEEISNQLSLEGHRPDTTQVLLNMDEEEKQSVLHYHSEKIATAFGLISTAPQTPLRIVKNLRICEDCHSSLKLISKIYERMIIVRDRKRFHHFEQGLCSCMDYW >ONH90763 pep chromosome:Prunus_persica_NCBIv2:G8:10677876:10678884:1 gene:PRUPE_8G073200 transcript:ONH90763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHTMQTPFPCPNETPTLCTSTTDQNRNCNPQTETVELFQPKLALNSGCLGLLFWGDDKESDGEQLS >ONH90550 pep chromosome:Prunus_persica_NCBIv2:G8:8614031:8614540:-1 gene:PRUPE_8G060600 transcript:ONH90550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMMKLFGSGFKSSKCKETVETVMVKARFLQKKKQDEVAKMNSDIASPLRAGEDPIAGPTHILIKRVIRVQNVSVAYEFIEAFCDLVVDRLSSIKEVRECPENLKEGISSLVFAAKKCSHEIPELVTVRNIFRKKYGKTFVSAATNVRPNCGVDTMVMKKLEDTNPQG >ONH89727 pep chromosome:Prunus_persica_NCBIv2:G8:1040571:1047962:1 gene:PRUPE_8G012600 transcript:ONH89727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVCWPYFDPEYENLSTRINPPRVSIDNSSCSDCTLVKVDSVNKPGILLEVVQILTDLDLIITKAYISSDGGWFMDVFHVTDQQGKKLADSKTIDYIEKALGPKGHITDVLKAWPGKRVGVHSVGDHTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNRRIACVVYVNDNSTCQPVDDPNRLSTMEEQLKNILRGCEDDEKVGRTSFSMGFTHVDRRLHQMLFADRDYEGGGLANEVDDYPPCFQPKITIERCEEKGYSVVSVRCKDRAKLMFDIVCTLTDMQYVVFHATISSDGPYASQEYFIRHMDGCTLDTEGEKERVIKCIDAAIRRRVSEDLRLELCAKDRVGLLSEVTRILRENGLSVTRAGVTTIGEQAMNVFYVRDSSGNPVDIKTIEALRKEIGHTTMFNVKKVPTSSKAPETKGWGKTSFFFGNLLERFLA >ONH89728 pep chromosome:Prunus_persica_NCBIv2:G8:1040605:1047771:1 gene:PRUPE_8G012600 transcript:ONH89728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVCWPYFDPEYENLSTRINPPRVSIDNSSCSDCTLVKVDSVNKPGILLEVVQILTDLDLIITKAYISSDGGWFMDVFHVTDQQGKKLADSKTIDYIEKALGPKGHITDVLKAWPGKRVGVHSVGDHTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNRRIACVVYVNDNSTCQPVDDPNRLSTMEEQLKNILRGCEDDEKVGRTSFSMGFTHVDRRLHQMLFADRDYEGGGLANEVDDYPPCFQPKITIERCEEKGYSVVSVRCKDRAKLMFDIVCTLTDMQYVVFHATISSDGPYASQEYFIRHMDGCTLDTEGEKERVIKCIDAAIRRRVSEDLRLELCAKDRVGLLSEVTRILRENGLSVTRAGVTTIGEQAMNVFYVRDSSGNPVDIKTIEALRKEIGHTTMFNVKKVPTSSKAPETKGWGKTSFFFGNLLERFLA >ONH89730 pep chromosome:Prunus_persica_NCBIv2:G8:1043197:1047771:1 gene:PRUPE_8G012600 transcript:ONH89730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFHVTDQQGKKLADSKTIDYIEKALGPKGHITDVLKAWPGKRVGVHSVGDHTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNRRIACVVYVNDNSTCQPVDDPNRLSTMEEQLKNILRGCEDDEKVGRTSFSMGFTHVDRRLHQMLFADRDYEGGGLANEVDDYPPCFQPKITIERCEEKGYSVVSVRCKDRAKLMFDIVCTLTDMQYVVFHATISSDGPYASQEYFIRHMDGCTLDTEGEKERVIKCIDAAIRRRVSEDLRLELCAKDRVGLLSEVTRILRENGLSVTRAGVTTIGEQAMNVFYVRDSSGNPVDIKTIEALRKEIGHTTMFNVKKVPTSSKAPETKGWGKTSFFFGNLLERFLA >ONH89731 pep chromosome:Prunus_persica_NCBIv2:G8:1044953:1047808:1 gene:PRUPE_8G012600 transcript:ONH89731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFHVTDQQGKKLADSKTIDYIEKALGPKGHITDVLKAWPGKRVGVHSVGDHTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNRRIACVVYVNDNSTCQPVDDPNRLSTMEEQLKNILRGCEDDEKVGRTSFSMGFTHVDRRLHQMLFADRDYEGGGLANEVDDYPPCFQPKITIERCEEKGYSVVSVRCKDRAKLMFDIVCTLTDMQYVVFHATISSDGPYASQEYFIRHMDGCTLDTEGEKERVIKCIDAAIRRRVSEDLRLELCAKDRVGLLSEVTRILRENGLSVTRAGVTTIGEQAMNVFYVRDSSGNPVDIKTIEALRKEIGHTTMFNVKKVPTSSKAPETKGWGKTSFFFGNLLERFLA >ONH89729 pep chromosome:Prunus_persica_NCBIv2:G8:1044775:1047808:1 gene:PRUPE_8G012600 transcript:ONH89729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGMVDSVNKPGILLEVVQILTDLDLIITKAYISSDGGWFMDVFHVTDQQGKKLADSKTIDYIEKALGPKGHITDVLKAWPGKRVGVHSVGDHTAIELIGRDRPGLLSEISAVLANLHFNVVAAEVWTHNRRIACVVYVNDNSTCQPVDDPNRLSTMEEQLKNILRGCEDDEKVGRTSFSMGFTHVDRRLHQMLFADRDYEGGGLANEVDDYPPCFQPKITIERCEEKGYSVVSVRCKDRAKLMFDIVCTLTDMQYVVFHATISSDGPYASQEYFIRHMDGCTLDTEGEKERVIKCIDAAIRRRVSEDLRLELCAKDRVGLLSEVTRILRENGLSVTRAGVTTIGEQAMNVFYVRDSSGNPVDIKTIEALRKEIGHTTMFNVKKVPTSSKAPETKGWGKTSFFFGNLLERFLA >ONH90267 pep chromosome:Prunus_persica_NCBIv2:G8:4522122:4526510:1 gene:PRUPE_8G043700 transcript:ONH90267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVSAPAAQPVSPAAQQPPVAAPAPTPAGFGNVSLYVGDLDPTVNEAQLIDLFGQVGGVVSVRVCRDQSRMQSLGYAYVNYSNTQEAANALEILNFAPINGKPIRIMYSHRDPSIRKSGRANVFIKNLDKSIDNKALLDTFASFGTVLSCKVAVDGHGQSKGYGFVQYDKDESAQQAIKRLNSMLINGKQVYVGLFQRGQERNRPNGSPHFTNVYVKNLSETTTEDDLKKLFAEHGNITSAIVMRDAAGKSRCFGFVNFEKPDAAAAAIDKLNGTTFSGDKVLFVGRAQRKSEREAELRAKFEQERLSRFEKLQGANLYLKNLDDTITDEKLKELFSEFGTITSCKVMLDHQGTSKGSGFVAFSTPEEANKALTEMNGKMLGRKPLYVAVAQRKEERKARLQARFAQIRAPGGMTPLPSGIPGYHPGAPRLAPQQLYFGQGTPGLLPPQPAGYGFQQQLLPGMRPGVAPNFIMPYHLQRQGQHGQRMGVRRGGNFQQVQQQQQQLLHRNSNQGLRYMGNARNGVDPSVTPQGIVGPIMPLPFDGSGMPVTPNDSQRSGPLPMSTLASALASATPENQRLMLGEQLYPLVERIEPEHTAKVTGMLLEMDQTEVLHLIESPDALKDKVAEAMDVLRKAATKSEVADQLNSLDLNE >ONH91495 pep chromosome:Prunus_persica_NCBIv2:G8:14604662:14609696:1 gene:PRUPE_8G118900 transcript:ONH91495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAIPPQEKYDVFLSFRGEDTRYTFTSHLYAALCSKKIKTYIDDIIERGEQIAPALFEAIETSKLSMIIFSKNYASSTWCLDELFVLPIFYCIDPSHVRNQQGSYAYAFAQLETRFKDSTEGVMKVRKWRDALKATANMAGFDNSNKRGTEANFIENIVEDFLTKLNFKSIEQIEGLLCINSPDVFTTTLADAVYHRLSSKFEASCFLANVREELEKHGLKHLQNVLQRLSHTKVLIALDDVNDSKQLELLVVDYVRFGPGSRIIITTRYRRLLKKLVDADKIYEVEGLYYFEALELFHLHALKHNCTETCYTEVFRMVVDYDGGMPLALKILSSIFLHCDNNEDWKDEKLKKFPNQDIENMLRLTCFYKGMTIDFAKEMIDISGLFAGGIKVLIDKSLVSISRWNNLEMHDLVQEMGRAIGTETVRAIFFNRSKIGEPHLDCADFKKMSNLCLLNLGDSSFGNYCQLKVSLPNYLCYLSWQEYPLKSMPSIFFPENLVELRMHSSKVEQLWNKDQNLENLKVMDLSFSTHLIKVPDLSQSRKLVRINLFGCISSYFQCLDKLTHLDLGECSNLKYLPQMTSNSEFLNLPKTAIKELPSSVWSHDKISYLDIRFCNDLRNLPSSSYFPEILEPMGHLNILSLKGTAVKELPSSIKCLFGLMTIELTNCKRLAHLPPTICKLKSLPELDLTGCSEFQDFPEILEPMKDMKFLSLKGTAVKELPSSIECLFGLIKIELKNCKRFASLPTSISPIKSLKAHGCTSLNTVSSPSTALTHGLDGYNLFPGFNQELIFSNCLKLDQNTWNHIMADAQLRIMHLAFASSKFDKFEVASYAELSKEIEVAADVELEAEFEDASDVEFSEEIENYYTRRPSVTIVCPGHEIPNWFSYQNEGSSINIKLPPDWFDSNFLGFALSLVVGFDNYNVKGSLGFGCKFNFKANNGESCEFESY >ONH90617 pep chromosome:Prunus_persica_NCBIv2:G8:9487742:9490543:-1 gene:PRUPE_8G064300 transcript:ONH90617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAEKKERAEITIREMGLQDAMNTRIGGWGSKGLSGGQKRRVSICIELLTRPNLLFLDEPTSGLDSAASYYVMSRIANLDKTDGTPRTVITSIHQPSSEVFQLFDNLCLLSAGRTVYFGPASAANQFFSLCGFPCPTLQNPSDHFLKTINKDFEQDIEQGMVGRTPTEVAIDTLIKSYKESESYQQVQRQVAELCKQDFGEELEKRSHAGFLTQSFVLTRRSFMNMYRDRGYYWLRLAVYITIALGLGTIYQDLGHSYESIQARGSLIMFVSSFLTFMAIGGFPSFVEDMKVFERERLNGHYGVSAFVFANTFSSLPFLILISVIPGAITYYVAGLHKGFEHFVYFACVLFACMMLVESLMMIVASIVPNFLMGIIAGAGIQGLMIMCGGFFQLPNNIPKPLWKFPLYYIAFHKYAYQGLFKNEFMGTTFPSSDQGGKTSSLSGEHILRDKWQVEMGYSKWVDLAILVGMILLYRVLFLVIIKAIEKVKTIGTTLLAMPLNKRSMQILVNPSSTPVHGENP >ONH90616 pep chromosome:Prunus_persica_NCBIv2:G8:9487742:9492470:-1 gene:PRUPE_8G064300 transcript:ONH90616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSLHEYSYSNESADIRTAVLEVETVGHNDPLPRTTTVTRSGTGAARNRNQNENYPKLEEGVFLTWEDLWVTVVSKAKNENGSRSRSILQGVTGYARPGELLAIMGPSGCGKSTLLDALGGRLSSNTRKTGNILINGQKQALAYGTSAYVTQDDTLITTLTVSEAVYYSAQLQLPDSMSKAEKKERAEITIREMGLQDAMNTRIGGWGSKGLSGGQKRRVSICIELLTRPNLLFLDEPTSGLDSAASYYVMSRIANLDKTDGTPRTVITSIHQPSSEVFQLFDNLCLLSAGRTVYFGPASAANQFFSLCGFPCPTLQNPSDHFLKTINKDFEQDIEQGMVGRTPTEVAIDTLIKSYKESESYQQVQRQVAELCKQDFGEELEKRSHAGFLTQSFVLTRRSFMNMYRDRGYYWLRLAVYITIALGLGTIYQDLGHSYESIQARGSLIMFVSSFLTFMAIGGFPSFVEDMKVFERERLNGHYGVSAFVFANTFSSLPFLILISVIPGAITYYVAGLHKGFEHFVYFACVLFACMMLVESLMMIVASIVPNFLMGIIAGAGIQGLMIMCGGFFQLPNNIPKPLWKFPLYYIAFHKYAYQGLFKNEFMGTTFPSSDQGGKTSSLSGEHILRDKWQVEMGYSKWVDLAILVGMILLYRVLFLVIIKAIEKVKTIGTTLLAMPLNKRSMQILVNPSSTPVHGENP >ONH93538 pep chromosome:Prunus_persica_NCBIv2:G8:20913643:20916859:1 gene:PRUPE_8G236600 transcript:ONH93538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVETSSLMRDLLKQAGGVAVVDGGLATELERHGADLNDPLWSAKCILTSPHLIRTVHLDYLEAGADIIITASYQATIQGFEAKGFSTEESEDLLRKSVEIAREARDIYYDRCTEGASGDSGNGRILKRRKILVAASVGSYGAYLADGSEYSGDYGEAMTLGTLKDFHRRRVRVLAEAGPDLLAFETVPNKLEAQAYAELLEEENMELPAWFSFNSKDGVNVVSGDSLLECAAIAESCKNVVAVGINCTPPRFIHGLLTSITKVATKPIIVYPNSGESYDADRKMWVQNTGVSDEDFVSYVNKWCEVGASLVGGCCRTTPQTIRAIYRTLPNRSTTPPEQ >ONH93365 pep chromosome:Prunus_persica_NCBIv2:G8:20436228:20440444:1 gene:PRUPE_8G228200 transcript:ONH93365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPTPSSPSSSSSSSSPSSPQLMQIYESVTLIPGLPNDVAALILSFIPYSHQARLKPTCKSWKLFLSSKTLIALRQTHRNLSHLLCIFPQDPSVASPYLFDPQNLAWCPLPPMPCNPHVYGLCNFTSLSIGPHLYVIGGSLFDTRSFPIDRPSPSSAVFRFDFNTSSWDFLAPMLTPRGSFACAAVPSSGEILVAGGGSRHTLFSAAGSRMTSVERYDIGRNEWVAMDGLPGFRAGCAGFFVGEGEEREFWVMGGYGESRTISGVFPIDEYYRDAVVMELKNGNSGGRWRELGDMWEEGERVRLGKVVVIEDGDDRGRPAVFMLDGNDIFRYNMASNRWFKESRIPRKAPCNSLFGFVVLDGELHAITLLKAVETTETRRSRLHKRAGTLYIQIYNPKKKTWRSLITKSPFHYSLDFNTAVMSTIRL >ONH93367 pep chromosome:Prunus_persica_NCBIv2:G8:20436330:20438511:1 gene:PRUPE_8G228200 transcript:ONH93367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPTPSSPSSSSSSSSPSSPQLMQIYESVTLIPGLPNDVAALILSFIPYSHQARLKPTCKSWKLFLSSKTLIALRQTHRNLSHLLCIFPQDPSVASPYLFDPQNLAWCPLPPMPCNPHVYGLCNFTSLSIGPHLYVIGGSLFDTRSFPIDRPSPSSAVFRFDFNTSSWDFLAPMLTPRGSFACAAVPSSGEILVAGGGSRHTLFSAAGSRMTSVERYDIGRNEWVAMDGLPGFRAGCAGFFVGEGEEREFWVMGGYGESRTISGVFPIDEYYRDAVVMELKNGNSGGRWRELGDMWEEGERVRLGKVVVIEDGDDRGRPAVFMLDGNDIFRFSQLSI >ONH93366 pep chromosome:Prunus_persica_NCBIv2:G8:20436228:20439617:1 gene:PRUPE_8G228200 transcript:ONH93366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPTPSSPSSSSSSSSPSSPQLMQIYESVTLIPGLPNDVAALILSFIPYSHQARLKPTCKSWKLFLSSKTLIALRQTHRNLSHLLCIFPQDPSVASPYLFDPQNLAWCPLPPMPCNPHVYGLCNFTSLSIGPHLYVIGGSLFDTRSFPIDRPSPSSAVFRFDFNTSSWDFLAPMLTPRGSFACAAVPSSGEILVAGGGSRHTLFSAAGSRMTSVERYDIGRNEWVAMDGLPGFRAGCAGFFVGEGEEREFWVMGGYGESRTISGVFPIDEYYRDAVVMELKNGNSGGRWRELGDMWEEGERVRLGKVVVIEDGDDRGRPAVFMLDGNDIFRLLNARSGFCPFNLFIFSAGFLGSVSFQYRGRPRKSKWGSEKTYTGEMNWYIRVYRWYFW >ONH89566 pep chromosome:Prunus_persica_NCBIv2:G8:228506:230784:1 gene:PRUPE_8G002500 transcript:ONH89566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSTGGVPPGFRFHPTDEELLHYYLKKKVSFQKFDMEVIREVDLNKMEPWELQERCRIGSTPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNTFKKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDGDDPQGNLSSEDGWVICRVFKKKNLFKVGNEGGSSSMNSSDHQQLNNTSSTNQARSFMHTHRDNEYLLRQQHSQAFELNNPGLHYAHLQPPPQYSLFQPQALIPTHKPIAGYDYTQLPSDDSPGHGMVKQLMTNPRDCESGSESLRYQACEPGLEVGTCEPNQAPMVAGGGGRDHHDQGGMNEWGMLDRLVTSHLGNDQDSSSKGARYDQNANAAASSVTQINNHLSLRGEMDFWGYGK >ONH93300 pep chromosome:Prunus_persica_NCBIv2:G8:20218589:20220786:1 gene:PRUPE_8G224300 transcript:ONH93300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGLSRPNASCAMGVSDDSKNTFMELQRKKVHRYVIFKVDEKKREVVVEKIGGPAESYDDFAAALPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPSTSRIRAKMLYATSKDRFRRELDGIHYEIQATDPSEMDLEVLRDRAH >ONH93301 pep chromosome:Prunus_persica_NCBIv2:G8:20219467:20220786:1 gene:PRUPE_8G224300 transcript:ONH93301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSDDSKNTFMELQRKKVHRYVIFKVDEKKREVVVEKIGGPAESYDDFAAALPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPSTSRIRAKMLYATSKDRFRRELDGIHYEIQATDPSEMDLEVLRDRAH >ONH93444 pep chromosome:Prunus_persica_NCBIv2:G8:20667746:20670606:1 gene:PRUPE_8G232800 transcript:ONH93444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVFSVDDVSDTAWALSSPAMNRSASEWAFEQFLDEFSTPAATPRRSVAVADQLTASSVASCSVASQSSTSKREDGDEEVVEIKKPDHHNHHHQPQQQLNHPPPPPLDPTSTVQVNSDQYREFLKNQLDLACAAVALSRASSLKPVDLGNMAAESQSQLSKPSQLAHSQSLDKDAGHGFPVQQNEADTSPLGIPALPAVQKITGLQSKQTTSGSSKEDSDDDDLEGDIEINENMDPSDVKRARRMLSNRESARRSRRRKQAQMSELETQVGQLRVEHSTLLKRLTDVNQKYDNAAVDNRILRADIETLRAKVKMAEDSVKRVTGINPLLLAMSNLPSVSIPSVNPMDGSTNAAVPMQPNSNQLFHPVVPNLATSTLPHQRLDTGFPRDPPISLVRNQQSNVGQPTVSGSNMAEISSIQHTASVDHMQQQPQQQQLRPGVGPREPLPGWDPQLSHAVPKNKKQS >ONH91213 pep chromosome:Prunus_persica_NCBIv2:G8:13060159:13062038:1 gene:PRUPE_8G099100 transcript:ONH91213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSSLFCMRRGSSIFVWGGALQIQPPFWSLSHRFSSQMLRSFVAVLAALHSSSHRTGLAQRISRFRKIKGGRSKEKKLE >ONH92007 pep chromosome:Prunus_persica_NCBIv2:G8:16334338:16337423:-1 gene:PRUPE_8G149200 transcript:ONH92007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLTPIRPRFARLWSLNPSSLSIRTLSPRAFSSSSSSSDYSNQSRGGLPRFFSELLPTSKGGIVRVQGDEFWHMTKVLRLRTNDRVELFNGKGGLIQGLIQSVDRSGLDFVALEDPKSVLPQSTQWHVFAAFGTLKGGRADWLVEKCTELGASSLTPLLTERSPTVSENRLDRLQRVNVAAAKQCQRLHEMILNPPKKINGLLPLVAESKLAFLAVAEGTPLVSALTLSGTESGGLIVVGPEGDFTEKEVNELMQAGAISVGLGPHRLRVETATVALLATLMLWSDSQKTCDT >ONH92008 pep chromosome:Prunus_persica_NCBIv2:G8:16334775:16337434:-1 gene:PRUPE_8G149200 transcript:ONH92008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLTPIRPRFARLWSLNPSSLSIRTLSPRAFSSSSSSSDYSNQSRGGLPRFFSELLPTSKGGIVRVQGDEFWHMTKVLRLRTNDRVELFNGKGGLIQGLIQSVDRSGLDFVALEDPKSVLPQSTQWHVFAAFGTLKGGRADWLVEKCTELGASSLTPLLTERSPTVSENRLDRLQRVNVAAAKQCQRLHEMILNPPKKINGLLPLVAESKLAFLAVAEGTPLVSALTLSGTESGGLIVVGPEGDFTEKEVNELMQAGAISVGLGPHRLRVETATVALLATLMLWSDSQKTCDT >ONH92006 pep chromosome:Prunus_persica_NCBIv2:G8:16334811:16337423:-1 gene:PRUPE_8G149200 transcript:ONH92006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLTPIRPRFARLWSLNPSSLSIRTLSPRAFSSSSSSSDYSNQSRGGLPRFFSELLPTSKGGIVRVQGDEFWHMTKVLRLRTNDRVELFNGKGGLIQGLIQSVDRSGLDFVALEDPKSVLPQSTQWHVFAAFGTLKGGRADWLVEKCTELGASSLTPLLTERSPTVSENRLDRLQRVNVAAAKQCQRLHEMILNPPKKINGLLPLVAESKLAFLAVAEGTPLVSALTLSGTESGGLIVVGPEDFTEKEVNELMQAGAISVGLGPHRLRVETATVALLATLMLWSDSQKTCDT >ONH92682 pep chromosome:Prunus_persica_NCBIv2:G8:18486654:18489773:-1 gene:PRUPE_8G189100 transcript:ONH92682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASTFLSHPNPQANDVVSSAICQFKSCSFASQSTLFGSKFASKSLSLHTATTRPACASDSEPISASHSHQTFDVLIIGAGIIGLTIARQFLIGSDLSVAVIDKSVPCSGATGAGQGYIWMAHKTPGSDLWDFGLRSQRLWEDLTESLSEQGLDPLQLLGWKKTGSLLVGRTPEESDMLKRRVKLLCEAGLRAEYLSAIDLLVKEPELMVDKDTGAAFLPDDCQLDARRAVEFLEKGNRHYSSKGRYAEFYNDPVISLLRSGGSGEVEAMKTSRNILYTKKAIVVAAGCWSGSLMCDLLRESEVVLDVPVKPRKGHLLVLENFNSFQLNHGLMEVGYVDHQTAIPLPNISTSGLLDHDGQTLSVSMTATMDTAGNIVLELCMIILFYGIFPPFPLSLSLSLGSSRQFAGFCSELEESIISRIWDRAGEFFPKLREKSLSDFSKSREVRVGLRPYMPDGKPVIGPVPGVANVFLATGHEGGGLSMALGTAEMLADMVIGNPEKVNSAPFAVHGRC >ONH92681 pep chromosome:Prunus_persica_NCBIv2:G8:18486654:18489828:-1 gene:PRUPE_8G189100 transcript:ONH92681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASTFLSHPNPQANDVVSSAICQFKSCSFASQSTLFGSKFASKSLSLHTATTRPACASDSEPISASHSHQTFDVLIIGAGIIGLTIARQFLIGSDLSVAVIDKSVPCSGATGAGQGYIWMAHKTPGSDLWDFGLRSQRLWEDLTESLSEQGLDPLQLLGWKKTGSLLVGRTPEESDMLKRRVKLLCEAGLRAEYLSAIDLLVKEPELMVDKDTGAAFLPDDCQLDARRAVEFLEKGNRHYSSKGRYAEFYNDPVISLLRSGGSGEVEAMKTSRNILYTKKAIVVAAGCWSGSLMCDLLRESEVVLDVPVKPRKGHLLVLENFNSFQLNHGLMEVGYVDHQTAIPLPNISTSGLLDHDGQTLSVSMTATMDTAGNIVLGSSRQFAGFCSELEESIISRIWDRAGEFFPKLREKSLSDFSKSREVRVGLRPYMPDGKPVIGPVPGVANVFLATGHEGGGLSMALGTAEMLADMVIGNPEKVNSAPFAVHGRC >ONH92680 pep chromosome:Prunus_persica_NCBIv2:G8:18486654:18489771:-1 gene:PRUPE_8G189100 transcript:ONH92680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASTFLSHPNPQANDVVSSAICQFKSCSFASQSTLFGSKFASKSLSLHTATTRPACASDSEPISASHSHQTFDVLIIGAGIIGLTIARQFLIGSDLSVAVIDKSVPCSGATGAGQGYIWMAHKTPGSDLWDFGLRSQRLWEDLTESLSEQGLDPLQLLGWKKTGSLLVGRTPEESDMLKRRVKLLCEAGLRAEYLSAIDLLVKEPELMVDKDTGAAFLPDDCQLDARRAVEFLEKGNRHYSSKGRYAEFYNDPVISLLRSGGSGEVEAMKTSRNILYTKKAIVVAAGCWSGSLMCDLLRESEVVLDVPVKPRKGHLLVLENFNSFQLNHGLMEVGYVDHQTAIPLPNISTSGLLDHDGQTLSVSMTATMDTAGNIVLVPDGKPVIGPVPGVANVFLATGHEGGGLSMALGTAEMLADMVIGNPEKVNSAPFAVHGRC >ONH92683 pep chromosome:Prunus_persica_NCBIv2:G8:18486654:18489765:-1 gene:PRUPE_8G189100 transcript:ONH92683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASTFLSHPNPQANDVVSSAICQFKSCSFASQSTLFGSKFASKSLSLHTATTRPACASDSEPISASHSHQTFDVLIIGAGIIGLTIARQFLIGSDLSVAVIDKSVPCSGATGAGQGYIWMAHKTPGSDLWDFGLRSQRLWEDLTESLSEQGLDPLQLLGWKKTGSLLVGRTPEESDMLKRRVKLLCEAGLRAEYLSAIDLLVKEPELMVDKDTGAAFLPDDCQLDARRAVEFLEKGNRHYSSKGRYAEFYNDPVISLLRSGGSGEVEAMKTSRNILYTKKAIVVAAGCWSGSLMCDLLRESEVVLDVPVKPRKGHLLVLENFNSFQLNHGLMEVGYVDHQTAIPLPNISTSGLLDHDGQTLSVSMTATMDTAGNIVLAANLLGSARNWKNPSLVVYGTELGNSFPN >ONH92183 pep chromosome:Prunus_persica_NCBIv2:G8:16920683:16924768:1 gene:PRUPE_8G160800 transcript:ONH92183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKAIRVFLFALLLIAAPFLQVARGQSDSEVDTSETVEESSDLGIVGEDVQDFGDGNFSPAPGVDTICVFPKNSAKSVNAGEETELLVGLKNDGESSLNVIAIKASVHLPFDHNLLVQNLTAQTFNNGSVPASAQATFPYIFAVSKFLQPGTFDLVGTVYYEIDQQPYQSTFYNGTIEVVEAGAFLSIESVFLVTLGFALLVLLGLWIHGQIQHLSKKTKRAPKVEVGTRATDASMDEWLQGTAYTQSLNKSSKKKK >ONH89986 pep chromosome:Prunus_persica_NCBIv2:G8:2541499:2544942:-1 gene:PRUPE_8G028100 transcript:ONH89986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIMHFRNPRLVQYFVRNFSLWSMKKDPYLESALSKNRRWIVNNQIKNIILRCPDQVATVRFIQKKFKTLDLQGKALNWLKKYPCCFQVYLQGDEYYCQLTKRMMGLVEEEESVKDMQEYVFVERLTKLLMMSSNKRLNVVKLNELKRNLGFPDDYLIRLVPKYSDMFQIVNYSGRRSSMEIELVSWNPDLAVSAIEASAHKQGTEPCFTCSLPSTWVKSWERFHEFNATPYISPYLDSKGLVEGSKEMEKRTVGLVHELLSLTLWKKASIAKLGHFQREFFLPEKLNVLLLKHPGIFYVSNKYQIYTVLLREGYNEQGLVDKDPLVVVKEKFGELMQEGLHEYNRRRHLVNLEKKKKKGMVLGRPNEGKDRSSEMSNPDDQGDRGGLFDPEERKRFYKVLFDDGA >ONH89987 pep chromosome:Prunus_persica_NCBIv2:G8:2541516:2544942:-1 gene:PRUPE_8G028100 transcript:ONH89987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIMHFRNPRLVQYFVRNFSLWSMKKDPYLESALSKNRRWIVNNQIKNIILRCPDQVATVRFIQKKFKTLDLQGKALNWLKKYPCCFQVYLQGDEYYCQLTKRMMGLVEEEESVKDMQEYVFVERLTKLLMMSSNKRLNVVKLNELKRNLGFPDDYLIRLVPKYSDMFQIVNYSGRRSSMEIELVSWNPDLAVSAIEASAHKQGTEPCFTCSLPSTWVKSWERFHEFNATPYISPYLDSKGLVEGSKEMEKRTVGLVHELLSLTLWKKASIAKLGHFQREFFLPEKLNVLLLKHPGIFYVSNKYQIYTVLLREGYNEQGLVDKDPLVVVKEKFGELMQEGLHEYNRRRHLVNLEKKKKKGMVLGRPNEGKDRSSEMSNPDDQGDRGGLFDPEERKRFYKVLFDDGA >ONH92364 pep chromosome:Prunus_persica_NCBIv2:G8:17484542:17492702:-1 gene:PRUPE_8G170400 transcript:ONH92364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSIKKSFKSHGSAKHMRKISAGGDDPSLEQLPILLDHDSRHRQPMSPVDSSDRREVIVKIDDGESSSSATTRDAMAADPAKNGGKIWRESSVDFWNEDGVKNGQGFDFAQRRKTAEDPPSKLIGQFLHKQRASGDMSLDMDLEMEELRQNERDLPPVAESPRNSRVSKELKVSFQAPAPDSVETPNESVRRRYRDSPDDERRRSGKLSDGQDDVVRCTSNASFRREPSFANKNRSDLLRIKTRSRLMDPPEEPDFRSGRIPRSGQIPKSGQIPKSGHIPKSGPMKSGMLGRGGDDDDDDPFLEEDVPYEYKRAKFNALTLLQWVSLVLIVGALICTLTIPVLRLKSLWKLKLWKWEVLILVLICGRLVSGWGIRIIVYFVERNFLLRKRVLYFVYGVRRAVQNCLWLGLVLIAWHFMFDKKVERETKSEALAYVTKVLFCLLIGVLLWLVKTLIVKVLASSFHVRSYFDRIQDSLFNQYVIETLSGRPLIEMQIEDEEEERLADEVRKLQNAGATMPPDLKANAFPSARIGKVIGSGSLRSGRVIASGGLIGKSTKFSRPLSKKSEETGITIDHLHKLNPKNVSAWNMKRLINMVRKGHLTTLDEQIVDTTNEDETDTQIRSEVEAKAAAKKIFQNVARRGSKYIYLEDLMCFMEEDEAVKTMSLFEGAAENRRISKSSLKNWVVSAFRERRALALTLNDTKTAVNTLHRMVNIIVAIAIVVIWLIVMGIATTKFLLFVSSQLVVVAFVFGNTCKTIFEAMIFLFVMHPFDVGDRCEINGVQMVVEEMNILTTVFLRYDNTKITYPNSILATLPIFNFYRSPDTGDAIEFSIHISTPPDKIVMMRQRIVSFIEDKKEHWYPGPMIIMKDVEELNRIKFAVWPTHRMNFQDMGERWVRRAYVVEEMVRIFQELDIQYRLLPLDINVRAMPPMTGGQLPSNFTATTS >ONH93710 pep chromosome:Prunus_persica_NCBIv2:G8:21474564:21479245:-1 gene:PRUPE_8G248600 transcript:ONH93710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKGDVESDLQEGIREPLMRVEKNLADGDGSADGGSSRGGNPWMVYLSTFVAVCGSYEFGCCAGYSSPTQSAIREDLSLSLAEYSVFGSILTFGAMIGAITIGPITDLLGRKGALRVSSAFCVAGWLAIYFSKEAWSLDIGRLANGYGMGAFSYVVPVFIAEIAPKNLRGRLTAINQLMICCGVSVSFIIGVLVSWRALALIGLIPCAVNIFGLFFIPESPRWLAKKGRHKEFEVALQKLRGKDADVSHEAAEIQDYIETLDRLPKAKLLDLFQRRYSRSVIIGVGLMVCQQFGGINGVCFYVSDIFDQAGFSSSIGTITYAILQVVVTGIGAAVMDKAGRKPLILVSASGVVLGCLLTAVSFFLKVYIGSFSIGMGAVPWVVMSEIFPINIKGQAGSLATLVNWFGAWLCSYTFNYLMSWSSYGTFILYAAINALAILFVILMVPETKGRTLEQIQGAINK >ONH93714 pep chromosome:Prunus_persica_NCBIv2:G8:21474466:21479119:-1 gene:PRUPE_8G248600 transcript:ONH93714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRLFVAYSVCHQGRSQFIISRGAITIGPITDLLGRKGALRVSSAFCVAGWLAIYFSKEAWSLDIGRLANGYGMGAFSYVVPVFIAEIAPKNLRGRLTAINQLMICCGVSVSFIIGVLVSWRALALIGLIPCAVNIFGLFFIPESPRWLAKKGRHKEFEVALQKLRGKDADVSHEAAEIQDYIETLDRLPKAKLLDLFQRRYSRSVIIGVGLMVCQQFGGINGVCFYVSDIFDQAGFSSSIGTITYAILQVVVTGIGAAVMDKAGRKPLILVSASGVVLGCLLTAVSFFLKVHELALTATPILAVTGILVYIGSFSIGMGAVPWVVMSEIFPINIKGQAGSLATLVNWFGAWLCSYTFNYLMSWSSYGTFILYAAINALAILFVILMVPETKGRTLEQIQGAINK >ONH93712 pep chromosome:Prunus_persica_NCBIv2:G8:21474403:21479226:-1 gene:PRUPE_8G248600 transcript:ONH93712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKGDVESDLQEGIREPLMRVEKNLADGDGSADGGSSRGGNPWMVYLSTFVAVCGSYEFGCCAGYSSPTQSAIREDLSLSLAEYSVFGSILTFGAMIGAITIGPITDLLGRKGALRVSSAFCVAGWLAIYFSKEAWSLDIGRLANGYGMGAFSYVVPVFIAEIAPKNLRGRLTAINQLMICCGVSVSFIIGVLVSWRALALIGLIPCAVNIFGLFFIPESPRWLAKKGRHKEFEVALQKLRGKDADVSHEAAEIQDYIETLDRLPKAKLLDLFQRRYSRSVIIGVGLMVCQQFGGINGVCFYVSDIFDQAGFSSSIGTITYAILQVVVTGIGAAVMDKAGRKPLILVSASGVVLGCLLTAVSFFLKVHELALTATPILAVTGILVYIGSFSIGMGAVPWVVMSEIFPINIKGQAGSLATLVNWFGAWLCSYTFNYLMSWSSYGTFILYAAINALAILFVILMVPETKGRTLEQIQGAINK >ONH93713 pep chromosome:Prunus_persica_NCBIv2:G8:21474564:21479112:-1 gene:PRUPE_8G248600 transcript:ONH93713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRLFVAYSVCHQGRSQFIISRGAITIGPITDLLGRKGALRVSSAFCVAGWLAIYFSKEAWSLDIGRLANGYGMGAFSYVVPVFIAEIAPKNLRGRLTAINQLMICCGVSVSFIIGAKKGRHKEFEVALQKLRGKDADVSHEAAEIQDYIETLDRLPKAKLLDLFQRRYSRSVIIGVGLMVCQQFGGINGVCFYVSDIFDQAGFSSSIGTITYAILQVVVTGIGAAVMDKAGRKPLILVSASGVVLGCLLTAVSFFLKVHELALTATPILAVTGILVYIGSFSIGMGAVPWVVMSEIFPINIKGQAGSLATLVNWFGAWLCSYTFNYLMSWSSYGTFILYAAINALAILFVILMVPETKGRTLEQIQGAINK >ONH93711 pep chromosome:Prunus_persica_NCBIv2:G8:21474564:21479226:-1 gene:PRUPE_8G248600 transcript:ONH93711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKGDVESDLQEGIREPLMRVEKNLADGDGSADGGSSRGGNPWMVYLSTFVAVCGSYEFGCCAGYSSPTQSAIREDLSLSLAEYSVFGSILTFGAMIGAITIGPITDLLGRKGALRVSSAFCVAGWLAIYFSKEAWSLDIGRLANGYGMGAFSYVVPVFIAEIAPKNLRGRLTAINQLMICCGVSVSFIIGVLVSWRALALIGLIPCAVNIFGLFFIPESPRWLAKKGRHKEFEVALQKLRGKDADVSHEAAEIQDYIETLDRLPKAKLLDLFQRRYSRSVIIGVGLMVCQQFGGINGVCFYVSDIFDQAGFSSSIGTITYAILQVVVTGIGAAVMDKAGRKPLILVSASGVVLGCLLTAVSFFLKVHELALTATPILAVTGILVYIGSFSIGMGAVPWVVMSEIFPINIKGQAGSLATLVNWFGAWLCSYTFNYLMSWSSYGTFILYAAINALAILFVILMVPETKGRTLEQIQGAINK >ONH93709 pep chromosome:Prunus_persica_NCBIv2:G8:21475581:21478964:-1 gene:PRUPE_8G248600 transcript:ONH93709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKGDVESDLQEGIREPLMRVEKNLADGDGSADGGSSRGGNPWMVYLSTFVAVCGSYEFGCCAGYSSPTQSAIREDLSLSLAEYSVFGSILTFGAMIGAITIGPITDLLGRKGALRVSSAFCVAGWLAIYFSKEAWSLDIGRLANGYGMGAFSYVVPVFIAEIAPKNLRGRLTAINQLMICCGVSVSFIIGAKKGRHKEFEVALQKLRGKDADVSHEAAEIQDYIETLDRLPKAKLLDLFQRRYSRSVIIGVGLMVCQQFGGINGVCFYVSDIFDQAGFSSSIGTITYAILQVVVTGIGAAVMDKAGRKPLILVSASGVVLGCLLTAVSFFLKVHELALTATPILAVTGILVYIGSFSIGMGAVPWVVMSEIFPINIKGQAGSLATLVNWFGAWLCSYTFNYLMSWSSYGTFILYAAINALAILFVILMVPETKGRTLEQIQGAINK >ONH92817 pep chromosome:Prunus_persica_NCBIv2:G8:18870263:18873112:-1 gene:PRUPE_8G197700 transcript:ONH92817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRVSAHSRGGAMRSSTASFRVLAMTIAVAVVFFISLSFVFTSQTDTSDLTDLGFNSGSYGLGSTRRTVLALKSDPLKPRLDQIRKQADDHRTLALAYASYARKLKLENSKLVRVFADLSRNYSDLMNKPAYRALFDSDALNIDESVLRQFEKEVKERIKVTRQVIAEAKESFDNQLKIQKLKDTIFQVNEQLTKAKKQGAFSSLIAAKSIPKSLHCVAMRLMEERIAHPEKYTDEGKPTPPEIEDPNLYHYAIFSDNVIAASVVVNSAVKNAKEPWKHVFHVVTDKMNLGAMQVMFKSKDYNGSHVEVKAVEDYKFLNSSYVPVLKQLENAKLQQFYFENKLENATKDATNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQKDLTGLWNIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKEKFNPNACAWAYGMNFFDLDAWRRQNCTAEYHYWQNLNENRSLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIAMSQFRPLWEKHVDYDMEFVQACNFGI >ONH92816 pep chromosome:Prunus_persica_NCBIv2:G8:18870480:18873007:-1 gene:PRUPE_8G197700 transcript:ONH92816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRVSAHSRGGAMRSSTASFRVLAMTIAVAVVFFISLSFVFTSQTDTSDLTDLGFNSGSYGLGSTRRTVLALKSDPLKPRLDQIRKQADDHRTLALAYASYARKLKLENSKLVRVFADLSRNYSDLMNKPAYRALFDSDALNIDESVLRQFEKEVKERIKVTRQVIAEAKESFDNQLKIQKLKDTIFQVNEQLTKAKKQGAFSSLIAAKSIPKSLHCVAMRLMEERIAHPEKYTDEGKPTPPEIEDPNLYHYAIFSDNVIAASVVVNSAVKNAKEPWKHVFHVVTDKMNLGAMQVMFKSKDYNGSHVEVKAVEDYKFLNSSYVPVLKQLENAKLQQFYFENKLENATKDATNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQKDLTGLWNIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKEKFNPNACAWAYGMNFFDLDAWRRQNCTAEYHYWQNLNENRSLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIAMSQFRPLWEKHVDYDMEFVQACNFGI >ONH90692 pep chromosome:Prunus_persica_NCBIv2:G8:10196009:10201246:1 gene:PRUPE_8G069400 transcript:ONH90692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGASTSLNLTQLWKRFRGDDKPPESLGSSREYNVDMIPKFMMANGGLVRVLIHTDVTKYLNFKAVDGSFVYNKKKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDFEENDPKSHEGLDLNKVTAREVITKYGLEDDTIDFIGHALALHIDDSYLDEPAMEFVKKMKLYAESLARFEGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECQVKFDDNGNAIGVTSEGETAKCKKVVCDPSYLPDKVRKVGKVARAICIMSHPIPDTNDSHSVQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKFIAFVSTEAETDDPQVELKSGIDLLGPLDEIFYDTYDRYVPTNDHQVDSCYISSSYDATTHFESTVQDVLAMYTKITGKALDLSVDLSAASATAEE >ONH90693 pep chromosome:Prunus_persica_NCBIv2:G8:10196804:10201246:1 gene:PRUPE_8G069400 transcript:ONH90693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNDYYGGASTSLNLTQLWKRFRGDDKPPESLGSSREYNVDMIPKFMMANGGLVRVLIHTDVTKYLNFKAVDGSFVYNKKKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDFEENDPKSHEGLDLNKVTAREVITKYGLEDDTIDFIGHALALHIDDSYLDEPAMEFVKKMKLYAESLARFEGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECQVKFDDNGNAIGVTSEGETAKCKKVVCDPSYLPDKVRKVGKVARAICIMSHPIPDTNDSHSVQVILPQKQLGRKSDMYLFCCSYAHNVAPKGKFIAFVSTEAETDDPQVELKSGIDLLGPLDEIFYDTYDRYVPTNDHQVDSCYISSSYDATTHFESTVQDVLAMYTKITGKALDLSVDLSAASATAEE >ONH90266 pep chromosome:Prunus_persica_NCBIv2:G8:4513554:4515350:1 gene:PRUPE_8G043600 transcript:ONH90266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTPTIPTSKAIVVGPPTLLTRRLMASNSNGDMAKKISISPISSSFSSYGDPCHDLFFQVTRPETRSDEETTQQQQQQNQILCCLLEQDQDAAERLHSDPDYQLLHDRAMDVFVERLKSDIDQMKQHKLDLKPSDYITNGDDDDDDEDDKDGTLDADPYADLFVSEAAGCCITKQPQDSCAARTIFLCESIARRLCPPKSNQPNQSYESEEWEWLRNEVLAPLNKYWKRQGMFIGRQRSEVKMYLEEVKKGGRGGNLSGHGGIIKPDAMLPNEIIRYVVEDGDVREGAELQWKAMVEDMYLKQQQQQKQGEGLGKFKNCLAVCHISDYNGLTRLAVSLGLLVFELSEEPAWKGKVISSGHLLDQLMLHSIQGDDLKCELMMSTCNRNFVSFADNWQIWDFILEVAAKENLKADEMVKKVFVFADYYGYVGGTSWKTLYEAKQREFKEKGYEDDAVSHILHWNISYQNMPRIEEHHPGVTLLSGVSDNLVKSFLDNYGEIGPHHLMEAAIADKAYQALSVVD >ONH90184 pep chromosome:Prunus_persica_NCBIv2:G8:3920122:3922697:-1 gene:PRUPE_8G039200 transcript:ONH90184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVSFPTEAAYSGLNCPTLAGNSTSTFKSNLNQLLSTLSSNSNRNDTAGFYNATVGTAYGLFLCRRDVSARICEECVANATSEALLRCPDNQQAVVWYDHCTLRYSNQPFYSEAATSPSMIGWSERNLTRYVEEPAELNDVLVTTLDNLVPKAANATDKFATKEANFTADLISFTVYSLGQCTQDLSAADCNACLRKGAAQLVLGKQGGRVLYPSCNVRYEMYPFYQEVTSTTKQSLAPEPSLPPPSLPPPASAPLSTRPGPSKVTFIIIASTLGPVAFCVFVVIVAGCFFPKRLRRVREKYQAKKQQKKVGNDMKTVESLQFDLGTIETATNKFSDNNKLGEGGFGAVFKGTLGNGQEIAVKRLSKSSTQGVQEFQNEVVLVAKLQHRNLVRLLGFCLEGEETLLVYEYVSNKSLDHFLFEPTKREQLNWLRRCIIIGGIARGILYLHEDSRLRVIHRDLKASNILLDGDMNPKISDFGMAKMFGLDGQTQGNTKRIVGTLGYMAPEYAMEGLYSIKSDVFSFGILLLEIITGKRNFLGFDECVPTLLAYVSM >ONH91672 pep chromosome:Prunus_persica_NCBIv2:G8:15244641:15247378:1 gene:PRUPE_8G129000 transcript:ONH91672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQNHKASKDHRNMESHMGESKETRANDPSSSSSSSSLRLCFLGSSAADKSSAEPITPIGGFFFQPQMDQIIHCAMGFKNPIDIAAIKSHLKTSLLLSHPRFSSLMVRGPRGLKQWHRSSHVDLDHHVIVVHNPVTAASNFDHEAAVNAYLADLSTSSGLSADKPLWEFHLLMAHNCGVFRIHHALGDGTSLMSLFSASFKGAEEEEKLPVLGSAGKKRNRVNGEKGWWVLLIGFVGMVWFSLIFAVEFALRSLWVCDRKTEISGGDGVELWPRKLATARFKLQDMKLVKKAVPNATINDVLVGVVSAGLSRYLDHRTPNALPEGLRITGIAMANLREQPRLQELTDLMKSNSRSSWGNKFSTFLLPIYYKKSSGTEPLEYLRRAKVIMDRKKQSLEALFSYKIGCFLMTYLGAEIATWLLYRIVCNTSFAISNILGPQEEIAVGGNPALTMHMVSYVERADMQILVAKDIIPDPDFLAKCFEEALIDMKEAAH >ONH92155 pep chromosome:Prunus_persica_NCBIv2:G8:16830732:16834878:1 gene:PRUPE_8G158800 transcript:ONH92155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPRKKSGAGQVLDGSNIMELVGNKEVFSSFVEHKFKELDRDRDGELSVKELQPAVADIGAALGLPAQGSSPDSDHIYSEVLNEFTHGKQQKVSKTEFKEVLSDILLGMAAGLKRDPIVILRIDGEDLEEFINGPTFEPEMVASYSEMKSADGSGSLRDYIAKALAKLTVEQGMPPSSDPWVISNIVEPALQSCTGHDFDKPVSQETFIEEFKKVAETVAQHLKEQHVIVAHSENDFDGSGIKRLLSNKFELDKTLNTAIENVSKDRSGKLSKEYLRVALDAVGPTAGLPPLGAVEQMDKVVQDAFNLVNADDGKLLKEDEFKKILTEILGSIMLQLEGNPISVYSNSVVHEPLASSSTLLQPSSEL >ONH92156 pep chromosome:Prunus_persica_NCBIv2:G8:16832755:16834827:1 gene:PRUPE_8G158800 transcript:ONH92156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLKRDPIVILRIDGEDLEEFINGPTFEPEMVASYSEMKSADGSGSLRDYIAKALAKLTVEQGMPPSSDPWVISNIVEPALQSCTGHDFDKPVSQETFIEEFKKVAETVAQHLKEQHVIVAHSENDFDGSGIKRLLSNKFELDKTLNTAIENVSKDRSGKLSKEYLRVALDAVGPTAGLPPLGAVEQMDKVVQDAFNLVNADDGKLLKEDEFKKILTEILGSIMLQLEGNPISVYSNSVVHEPLASSSTLLQPSSEL >ONH92344 pep chromosome:Prunus_persica_NCBIv2:G8:17435439:17435687:-1 gene:PRUPE_8G169600 transcript:ONH92344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQEDGVVRMKILVRRQDLKQVLELMNGGINSQASVVRPSSSLCVEQRLSLLRKKHLLRASLAAKHSRQGSWCPALQSIPEEI >ONH93224 pep chromosome:Prunus_persica_NCBIv2:G8:20018339:20020242:-1 gene:PRUPE_8G220300 transcript:ONH93224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGTVFTSALEGTNHVKSETGEMLTKPFLDVCKHLLPVLDKFGAAFAPVKSDIGNNISRLDSKYSSNPSEFNLLYSLVQVEIEAKNAKSSSSCTNALLWLTRAMDYLVELFRNLHDNPDWPMSKACSEAYGKTLKKWHNWLASSSFSVGIKLAPDRKKFMDILGGETPDLNSDIGKFCTNFSPLLQENHKFLDSVGMDNVKA >ONH93223 pep chromosome:Prunus_persica_NCBIv2:G8:20018339:20020401:-1 gene:PRUPE_8G220300 transcript:ONH93223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGTVFTSALEGTNHVKSETGEMLTKPFLDVCKHLLPVLDKFGAAFAPVKSDIGNNISRLDSKYSSNPSEFNLLYSLVQVEIEAKNAKSSSSCTNALLWLTRAMDYLVELFRNLHDNPDWPMSKACSEAYGKTLKKWHNWLASSSFSVGIKLAPDRKKFMDILGGETPDLNSDIGKFCTNFSPLLQENHKFLDSVGMDNVKA >ONH90900 pep chromosome:Prunus_persica_NCBIv2:G8:11528634:11529256:-1 gene:PRUPE_8G082200 transcript:ONH90900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAISKCNKIRRIVRIRQMLQSWHKKARLAAARAPSDVPAGHVAVCVGSSCRRFIVRATYLNHPIFQKLLVQAEEEYGFANQGPLTIPCDESFFEEVLRVVARSESSNSGRFLSIDDLQRRCHVDVLSQLEYLGESRPLLHGLADKSVC >ONH91856 pep chromosome:Prunus_persica_NCBIv2:G8:15844070:15846079:-1 gene:PRUPE_8G139900 transcript:ONH91856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSVCLRGPPELQLYHPTTTIQICQGQSSSSNPCLEFFKATTYSDKPQQNPPVYQQQKDLTEYEAEAWKHDPLTTLKLIFCLRMVGRPNKEAFYKSLLWIHKNHPLTLALNLKVFAQLGWFKDVLEILYRVLQKSIDEAKEKEEEESQKEYWKKRTNYCQKPSKRNYWSDSEEGESDEEEDDESNEEEDSDEEEEEGEKEESKTVTDVTDVHIARAKSAVDRYQNDLEYRYLHDRVSEVFVEMLTSDLLSLKCCEIEKISSISRFCPSIDSSYDRKTLICENIARRMFPRHNFGEYKDVEEAHYAYRVRDRLRKQVLSPLRKALECLPAVKQNNQSSSLSTKCKALIALEIYSKIIGCGDGGGRDESKLKFKCYMKLVNMLLPKKYIFNMCFGDGLKLPHHVVASLEKDQYGANEIAVVQWRRLVQQLWNKGKLRNCIAVCDVPESRRGSLKEMVCISMGLLASDLSEKPWQGSVFPFSDFPRLHKIKGDNLKSRCEFMRNIVCAEKVDFSKIYNGVLHIATTEKLSNAKMPKSIFVFTYREFDKASKNDWVLDYREAVKNYSKRGYAYVPQLVFWNLRGSIAEPEVIGSHVVKNHNAGMIITGFSNTLLSLFCNGESNPGTHAARVAQGHAVYGIDLVSLQRFAPKVEDVMEWALSNEELTSLFIFD >ONH92107 pep chromosome:Prunus_persica_NCBIv2:G8:16702188:16704596:-1 gene:PRUPE_8G155900 transcript:ONH92107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRIAAILMKEAAELRRQAEKEGVHAYLRQPQTRFRPNSRFLSATVLGVQQANRAVEVNEMWRLRQKEIELDNRLKGKMKDESRNDRSRGDSNCSRSSSKGHDVTDDNASPSCSSRKREYESCHSREENGLRDEELEEFLHSRVKRGRGAVGSRMDEAGPYLPRDSDSRDELLVSPGVQERRVYGPEKPSRKLYDSSKEELDYDRKKSKKVKAASSKKHKSKDKSKEKKKKRKEERSKYLT >ONH92108 pep chromosome:Prunus_persica_NCBIv2:G8:16702140:16704878:-1 gene:PRUPE_8G155900 transcript:ONH92108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRIAAILMKEAAELRRQAEKEGVHAYLRQPQTRFRPNSRFLSATVLGVQQANRAVEVNEMWRLRQKEIELDNRLKGKMKDESRNDRSRGDSNCSRSSSKGHDVTDDNASPSCSSRKREYESCHSREENGLRDEELEEFLHSRVKRGRGAVGSRMDEAGPYLPRDSDSRDELLVSPGVQERRVYGPEKPSRKLYDSSKEELDYDRKKSKKVKAASSKKHKSKDKSKEKKKKRKEERSKYLT >ONH93210 pep chromosome:Prunus_persica_NCBIv2:G8:19977459:19982190:-1 gene:PRUPE_8G219400 transcript:ONH93210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGLLKQLTSRSLSIAGKWQQQQLRRLNIHEYQGADLMSKYGVNVPKGAAVASVDEVKRAIQDVFPKESELVVKSQVLAGGRGLGTFKNGLKGGVHIVPADQVEDIAGKMLGQILVTKQTGPQGKIVSKVYLCQKLSLVNEMYFAITLDRKTAGPLIIACAKGGTSIEDLAEKFPDQIIKVPVDVFTGITDEDAAKVVDGLAPKVADRSSSIDQVKKLYKLFSETDCTLLEINPLAETSDNQLVAADAKLNFDDNAAFRQKEIFALRDPTQEDPREVAAAKVDLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASENQVVEAFKILTSDEKVKAILVNIFGGIMKCDVIASGIVNAAKQVKLEVPVVVRLEGTNVDQGKRILKESGMALITAEDLDDAAEKAVKALKK >ONH93211 pep chromosome:Prunus_persica_NCBIv2:G8:19977459:19981892:-1 gene:PRUPE_8G219400 transcript:ONH93211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYGVNVPKGAAVASVDEVKRAIQDVFPKESELVVKSQVLAGGRGLGTFKNGLKGGVHIVPADQVEDIAGKMLGQILVTKQTGPQGKIVSKVYLCQKLSLVNEMYFAITLDRKTAGPLIIACAKGGTSIEDLAEKFPDQIIKVPVDVFTGITDEDAAKVVDGLAPKVADRSSSIDQVKKLYKLFSETDCTLLEINPLAETSDNQLVAADAKLNFDDNAAFRQKEIFALRDPTQEDPREVAAAKVDLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASENQVVEAFKILTSDEKVKAILVNIFGGIMKCDVIASGIVNAAKQVKLEVPVVVRLEGTNVDQGKRILKESGMALITAEDLDDAAEKAVKALKK >ONH90346 pep chromosome:Prunus_persica_NCBIv2:G8:5232436:5233973:1 gene:PRUPE_8G048200 transcript:ONH90346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWSHCLPNVQLFFAVKCNHEPAFIAALATLGASFDCASKAEIEAVFAHGVSPTRIVYANPCKGEYHLRYAAGVGVDLATFDSMDEVDKIKRCHPKCSLLLRIAVPNDKSSWRSFGTKFGALPEEVASLLRHAHKLGLRVVGISFHMGSKASESQVYCRAIAAARAAFDVADELKMPKMHVLDIGGGFKANQLFDEIAKTINVAIKHYFSDHQSDSDLKVMAEPGRFFAETAFTMVANVMGKRVRGEKREYWISDGIYGSFNLPAYDKSSMQISPLQILSPHQNQGTYSSTGFGPTCDSLDTVVADCKLPELKLNDYLVFHNMGAYTTSAGTNFNGFCISAIPTYLAFTSANG >ONH92610 pep chromosome:Prunus_persica_NCBIv2:G8:18278113:18281782:-1 gene:PRUPE_8G183800 transcript:ONH92610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLDCIWRFPNTQFHCHLCPLASPSPSSPPLRKTFLLFSPPSNIKISSNSRNAGTVAYTANTRTRRRSKNGRALTLRPPLSLPQNQQSQQQQQQQDDEDEEEDEDEDEEEMIAQGRGRGNWTIVLSSSLVGLLTGIGVVLFNYAVHEIRDVFWDGIPQRGASWLREEPMGDMWKRVILVPASGGLIVAMLNVLRGALDDGSEDNNNGNEQEEEEKESLLVKMGMPISVFHSLKAASRPFLKAVAAGVTLGTGNSLGPEGPSVEIGTSIAKGVGAVFGKSSQRSLSLVAAGSAAGIASGFNAAVSGCFFAVESVLWPSPSDSSSISLTNTTSMVILSAVIASVVTEVGLGSEPAFKVPYYDFRSPTELPLYLLLGVLCGLVSLTLSKCTSYMLVIVDNLHKTIGVPRFLFPILGGLAVGLIALAYPEILYWGFENVDILLESRPLVKGLSADLLLQLVVVKIGATSLCRASGLVGGYYAPSLFIGAATGMAYGKLISSAVVQSNPILHLSILEVASPQAYGLVGMAATLSGVCQVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWITSGQTRRKKDSWKSKKLNQGKTSSFQQPEVPSPSASGLSSSNAFTERTSNASDLCEIESSLCIDDSNIDTEKLEKRIFVSEAMRTRYVTVLKDTLLTEAVTLMLAEKQPCAMIVDNDHILIGLLTLKDIQEYSNKYAQSRRQRPKELIVSEMCSSDGEVCQIPWTATPSMNLLSAQNIMNRYGMNQIPVVTEHVQDHRGHLVGLLDRECISLTCRALATRESLGW >ONH92611 pep chromosome:Prunus_persica_NCBIv2:G8:18277233:18281830:-1 gene:PRUPE_8G183800 transcript:ONH92611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLDCIWRFPNTQFHCHLCPLASPSPSSPPLRKTFLLFSPPSNIKISSNSRNAGTVAYTANTRTRRRSKNGRALTLRPPLSLPQNQQSQQQQQQQDDEDEEEDEDEDEEEMIAQGRGRGNWTIVLSSSLVGLLTGIGVVLFNYAVHEIRDVFWDGIPQRGASWLREEPMGDMWKRVILVPASGGLIVAMLNVLRGALDDGSEDNNNGNEQEEEEKESLLVKMGMPISVFHSLKAASRPFLKAVAAGVTLGTGNSLGPEGPSVEIGTSIAKGVGAVFGKSSQRSLSLVAAGSAAGIASGFNAAVSGCFFAVESVLWPSPSDSSSISLTNTTSMVILSAVIASVVTEVGLGSEPAFKVPYYDFRSPTELPLYLLLGVLCGLVSLTLSKCTSYMLVIVDNLHKTIGVPRFLFPILGGLAVGLIALAYPEILYWGFENVDILLESRPLVKGLSADLLLQLVVVKIGATSLCRASGLVGGYYAPSLFIGAATGMAYGKLISSAVVQSNPILHLSILEVASPQAYGLVGMAATLSGVCQVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWITSGQTRRKKDSWKSKKLNQGKTSSFQQPEVPSPSASGLSSSNAFTERTSNASDLCEIESSLCIDDSNIDTEKLEKRIFVSEAMRTRYVTVLKDTLLTEAVTLMLAEKQPCAMIVDNDHILIGLLTLKDIQEYSNKYAQSRRQRPKELIVSEMCSSDGEVCQIPWTATPSMNLLSAQNIMNRYGMNQIPVVTEHVQDHRGHLVGLLDRECISLTCRALATRESLGW >ONH92612 pep chromosome:Prunus_persica_NCBIv2:G8:18277217:18281830:-1 gene:PRUPE_8G183800 transcript:ONH92612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLDCIWRFPNTQFHCHLCPLASPSPSSPPLRKTFLLFSPPSNIKISSNSRNAGTVAYTANTRTRRRSKNGRALTLRPPLSLPQNQQSQQQQQQQDDEDEEEDEDEDEEEMIAQGRGRGNWTIVLSSSLVGLLTGIGVVLFNYAVHEIRDVFWDGIPQRGASWLREEPMGDMWKRVILVPASGGLIVAMLNVLRGALDDGSEDNNNGNEQEEEEKESLLVKMGMPISVFHSLKAASRPFLKAVAAGVTLGTGNSLGPEGPSVEIGTSIAKGVGAVFGKSSQRSLSLVAAGSAAGIASGFNAAVSGCFFAVESVLWPSPSDSSSISLTNTTSMVILSAVIASVVTEVGLGSEPAFKVPYYDFRSPTELPLYLLLGVLCGLVSLTLSKCTSYMLVIVDNLHKTIGVPRFLFPILGGLAVGLIALAYPEILYWGFENVDILLESRPLVKGLSADLLLQLVVVKIGATSLCRASGLVGGYYAPSLFIGAATGMAYGKLISSAVVQSNPILHLSILEVASPQAYGLVGMAATLSGVCQVPLTSVLLLFELTQDYRIVLPLLGAVGLSSWITSGQTRRKKDSWKSKKLNQGKTSSFQQPEVPSPSASGLSSSNAFTERTSNASDLCEIESSLCIDDSNIDTEKLEKRIFVSEAMRTRYVTVLKDTLLTEAVTLMLAEKQPCAMIVDNDHILIGLLTLKDIQEYSNKYAQSRRQRPKMGKYAKYHGLLHPV >ONH90849 pep chromosome:Prunus_persica_NCBIv2:G8:11148447:11150611:-1 gene:PRUPE_8G077300 transcript:ONH90849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLIICGNDGDYTLFTRFRECLAYLNCDLKPLQRTRKNRSHLYSRANKRWIPFQ >ONH93508 pep chromosome:Prunus_persica_NCBIv2:G8:20832102:20834657:-1 gene:PRUPE_8G235100 transcript:ONH93508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFPSESGKESQLNALNPQSWLQVERGKLPKLPSNSSSSSIESLIKVPEPPVLPFFKPVDYVEVLAQIHEELELCPPEEQSNLYLLQFQVFRGLGEVKLMRRSLRAAWQKASSIHEKLIFGAWLKYEKQGEEHISDLLVTCDKCAHEFGPVDILTELPIDATVSSTHENISMNGNQISRNVSFRIEDEKIDCDRQKISSLSAPFHAMLNGCFSESLREDIDLSQNNITASGMRTINEFSMTGSLNEVPTHLLLEILVFANKFCCEKLKDACDRKLASLVSSREDAVELMEYALEENCPVLAASCLQVFLNDLPDCLNDSRVVEIFRGADKQQRLIMVGLASFSLYCLLSEVCMNLDPQSDKTACFLERLVDFSENDRQRMLAFHQLGCLRLFRKEYDEAKRLFEAALNAGHIYSVAGLARLSYIKGHKLWSYEKMSSVICSLTPLGWMYQERSLYCEGAKRWENLEKASELDPTLTYPYMYRAATLMRKQNVQAALAEINRVLGFKLALECLELRFCFYLALEDYQSAICDVQAILTLSPDYRMFEGRVAASQLRTLVREHVENWTTADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHEKLVYEGWILYDTGHCEEGLSKAEESIEIKRSFEAFFLKAYALADSSQDPSCSSTVVSLLEDALKCPSDRLRKGQVCIL >ONH93504 pep chromosome:Prunus_persica_NCBIv2:G8:20830776:20836156:-1 gene:PRUPE_8G235100 transcript:ONH93504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFPSESGKESQLNALNPQSWLQVERGKLPKLPSNSSSSSIESLIKVPEPPVLPFFKPVDYVEVLAQIHEELELCPPEEQSNLYLLQFQVFRGLGEVKLMRRSLRAAWQKASSIHEKLIFGAWLKYEKQGEEHISDLLVTCDKCAHEFGPVDILTELPIDATVSSTHENISMNGNQISRNVSFRIEDEKIDCDRQKISSLSAPFHAMLNGCFSESLREDIDLSQNNITASGMRTINEFSMTGSLNEVPTHLLLEILVFANKFCCEKLKDACDRKLASLVSSREDAVELMEYALEENCPVLAASCLQVFLNDLPDCLNDSRVVEIFRGADKQQRLIMVGLASFSLYCLLSEVCMNLDPQSDKTACFLERLVDFSENDRQRMLAFHQLGCLRLFRKEYDEAKRLFEAALNAGHIYSVAGLARLSYIKGHKLWSYEKMSSVICSLTPLGWMYQERSLYCEGAKRWENLEKASELDPTLTYPYMYRAATLMRKQNVQAALAEINRVLGFKLALECLELRFCFYLALEDYQSAICDVQAILTLSPDYRMFEGRVAASQLRTLVREHVENWTTADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHEKLVYEGWILYDTGHCEEGLSKAEESIEIKRSFEAFFLKAYALADSSQDPSCSSTVVSLLEDALKCPSDRLRKGQALNNLGSVYVDCAKLDLAADCYINALKIRHTRAHQGLARVHFLRNDKAAAYDEMTKLIENARNNASAYEKRSEYCDRELTKTDLEMVTRLDPLRVYPYRYRAAVLMDSHKEQEAIAELSRAIAFKADLHLLHLRAAFHEHTGDVMGALRDCRAALSVDPNHQEMLELHSRVNSHEP >ONH93506 pep chromosome:Prunus_persica_NCBIv2:G8:20830776:20834458:-1 gene:PRUPE_8G235100 transcript:ONH93506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNYCRESLIKVPEPPVLPFFKPVDYVEVLAQIHEELELCPPEEQSNLYLLQFQVFRGLGEVKLMRRSLRAAWQKASSIHEKLIFGAWLKYEKQGEEHISDLLVTCDKCAHEFGPVDILTELPIDATVSSTHENISMNGNQISRNVSFRIEDEKIDCDRQKISSLSAPFHAMLNGCFSESLREDIDLSQNNITASGMRTINEFSMTGSLNEVPTHLLLEILVFANKFCCEKLKDACDRKLASLVSSREDAVELMEYALEENCPVLAASCLQVFLNDLPDCLNDSRVVEIFRGADKQQRLIMVGLASFSLYCLLSEVCMNLDPQSDKTACFLERLVDFSENDRQRMLAFHQLGCLRLFRKEYDEAKRLFEAALNAGHIYSVAGLARLSYIKGHKLWSYEKMSSVICSLTPLGWMYQERSLYCEGAKRWENLEKASELDPTLTYPYMYRAATLMRKQNVQAALAEINRVLGFKLALECLELRFCFYLALEDYQSAICDVQAILTLSPDYRMFEGRVAASQLRTLVREHVENWTTADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHEKLVYEGWILYDTGHCEEGLSKAEESIEIKRSFEAFFLKAYALADSSQDPSCSSTVVSLLEDALKCPSDRLRKGQALNNLGSVYVDCAKLDLAADCYINALKIRHTRAHQGLARVHFLRNDKAAAYDEMTKLIENARNNASAYEKRSEYCDRELTKTDLEMVTRLDPLRVYPYRYRAAVLMDSHKEQEAIAELSRAIAFKADLHLLHLRAAFHEHTGDVMGALRDCRAALSVDPNHQEMLELHSRVNSHEP >ONH93507 pep chromosome:Prunus_persica_NCBIv2:G8:20832102:20834657:-1 gene:PRUPE_8G235100 transcript:ONH93507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFPSESGKESQLNALNPQSWLQVERGKLPKLPSNSSSSSIESLIKVPEPPVLPFFKPVDYVEVLAQIHEELELCPPEEQSNLYLLQFQVFRGLGEVKLMRRSLRAAWQKASSIHEKLIFGAWLKYEKQGEEHISDLLVTCDKCAHEFGPVDILTELPIDATVSSTHENISMNGNQISRNVSFRIEDEKIDCDRQKISSLSAPFHAMLNGCFSESLREDIDLSQNNITASGMRTINEFSMTGSLNEVPTHLLLEILVFANKFCCEKLKDACDRKLASLVSSREDAVELMEYALEENCPVLAASCLQVFLNDLPDCLNDSRVVEIFRGADKQQRLIMVGLASFSLYCLLSEVCMNLDPQSDKTACFLERLVDFSENDRQRMLAFHQLGCLRLFRKEYDEAKRLFEAALNAGHIYSVAGLARLSYIKGHKLWSYEKMSSVICSLTPLGWMYQERSLYCEGAKRWENLEKASELDPTLTYPYMYRAATLMRKQNVQAALAEINRVLGFKLALECLELRFCFYLALEDYQSAICDVQAILTLSPDYRMFEGRVAASQLRTLVREHVENWTTADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHEKLVYEGWILYDTGHCEEGLSKAEESIEIKRSFEAFFLKAYALADSSQDPSCSSTVVSLLEDALKCPSDRLRKGQVCIL >ONH93505 pep chromosome:Prunus_persica_NCBIv2:G8:20830776:20836156:-1 gene:PRUPE_8G235100 transcript:ONH93505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFFPSESGKESQLNALNPQSWLQVERGKLPKLPSNSSSSSIESLIKVPEPPVLPFFKPVDYVEVLAQIHEELELCPPEEQSNLYLLQFQVFRGLGEVKLMRRSLRAAWQKASSIHEKLIFGAWLKYEKQGEEHISDLLVTCDKCAHEFGPVDILTELPIDATVSSTHENISMNGNQISRNVSFRIEDEKIDCDRQKISSLSAPFHAMLNGCFSESLREDIDLSQNNITASGMRTINEFSMTGSLNEVPTHLLLEILVFANKFCCEKLKDACDRKLASLVSSREDAVELMEYALEENCPVLAASCLQVFLNDLPDCLNDSRVVEIFRGADKQQRLIMVGLASFSLYCLLSEVCMNLDPQSDKTACFLERLVDFSENDRQRMLAFHQLGCLRLFRKEYDEAKRLFEAALNAGHIYSVAGLARLSYIKGHKLWSYEKMSSVICSLTPLGWMYQERSLYCEGAKRWENLEKASELDPTLTYPYMYRAATLMRKQNVQAALAEINRVLGFKLALECLELRFCFYLALEDYQSAICDVQAILTLSPDYRMFEGRVAASQLRTLVREHVENWTTADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASSEHEKLVYEGWILYDTGHCEEGLSKAEESIEIKRSFEAFFLKAYALADSSQDPSCSSTVVSLLEDALKCPSDRLRKGQALNNLGSVYVDCAKLDLAADCYINALKIRHTRAHQGLARVHFLRNDKAAAYDEMTKLIENARNNASAYEKRSEYCDRELTKTDLEMVTRLDPLRVYPYRYRAAVLMDSHKEQEAIAELSRAIAFKADLHLLHLRAAFHEHTGDVMGALRDCRAALSVDPNHQEMLELHSRVNSHEP >ONH90625 pep chromosome:Prunus_persica_NCBIv2:G8:9591246:9597904:-1 gene:PRUPE_8G065000 transcript:ONH90625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIDDDKSDIEKTQEERKRMEKQLAALTSVTFDTDLYGGTDKNSYVSSIPVNEDEENMEAMGNEAARMPSYTAPKSITKEMPRGGDEEEDLGFKKTQRIYDREDEYRRRRLNQVLSPDRHDAFAAGEKTPDPSVRTYSDIMREEALKREKEDTLRLIAKKKKEEEEAAKAAPEKGDKAAAAVPQKRRNRWDQSQDEGGAKKAKTSDWDLPDSAPGKWDATPTPGRVADSTPSLGRRNRWDETPTPGRLVDSDATPSGGATPGATPAGMAWDATPKLPGMATPTPKRQRSRWDETPATMGSATPMAGATPAAAYTPGVTPVGGVELATPTPGAINLRGAITPEQYNLLRWEKDIEDRNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPMGTPGYSIPEENRGQQFDVPKELPGGLPFMKPEDYQYFGALLNEDEEEELSPDEQKERKIMKLLLKVKNGTPQQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIENGLSDENQKVRTITALSLAALAEASAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAMYASYYTKEVMVILIREFQSPDEEMKKIVLKVVKQCVSTEGVEPEYIRSDILPEFFRNFWVRRMALDRRNYRQLVETTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVVNLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPLLEDEDHNVYTRPELMMFV >ONH90626 pep chromosome:Prunus_persica_NCBIv2:G8:9593471:9597904:-1 gene:PRUPE_8G065000 transcript:ONH90626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIDDDKSDIEKTQEERKRMEKQLAALTSVTFDTDLYGGTDKNSYVSSIPVNEDEENMEAMGNEAARMPSYTAPKSITKEMPRGGDEEEDLGFKKTQRIYDREDEYRRRRLNQVLSPDRHDAFAAGEKTPDPSVRTYSDIMREEALKREKEDTLRLIAKKKKEEEEAAKAAPEKGDKAAAAVPQKRRNRWDQSQDEGGAKKAKTSDWDLPDSAPGKWDATPTPGRVADSTPSLGRRNRWDETPTPGRLVDSDATPSGGATPGATPAGMAWDATPKLPGMATPTPKRQRSRWDETPATMGSATPMAGATPAAAYTPGVTPVGGVELATPTPGAINLRGAITPEQYNLLRWEKDIEDRNRPLTDEELDAMFPQEGYKVLDPPASYVPIRTPARKLLATPTPMGTPGYSIPEENRGQQFDVPKELPGGLPFMKPEDYQYFGALLNEDEEEELSPDEQKERKIMKLLLKVKNGTPQQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIENGLSDENQKVRTITALSLAALAEASAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAMYASYYTKEVMVILIREFQSPDEEMKKIVLKVVKQCVSTEGVEPEYIRSDILPEFFRNFWVRRMALDRRNYRQLVETTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVVNLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVASYPLLEDEDHNVYTRPELMMFV >ONH90649 pep chromosome:Prunus_persica_NCBIv2:G8:9847684:9851326:1 gene:PRUPE_8G066700 transcript:ONH90649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSLFLTLRRKCSASSVVSNTLRPNLSRLFSSGALSTECVQDSQENDDLKSRIFRVRLPKRSVTNVLQNWVSEGNQITLSELRHISKDLRKSQRYKHALEISEWMVSHGEYEVSDSDYAVRIVLMTKVFGIDSAERYFEGLPLTAKTTETYTALLHSYAGAKLTEKAEDLYEKIKESKLSLSALTYNEMMTMYMSVGQVEKVSLVVEELKRQKVAPDIFTYNLWISSCAATLKIDQVQQILDEMRYDLGFGEDWERYINLTNIYVSVGHLVNAESNSLVEAEKGITQREWITYDFLIILHAGLGNKDRIDQIWKSLIMTRQKMTSRNYICILSSYLMLGQLKEVGEVIDQWKQSTTTDSVVSACKRLLNAFTDVGFTEKAHDFKMLLIQKNCDPTNSLE >ONH90650 pep chromosome:Prunus_persica_NCBIv2:G8:9847684:9851326:1 gene:PRUPE_8G066700 transcript:ONH90650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHGEYEVSDSDYAVRIVLMTKVFGIDSAERYFEGLPLTAKTTETYTALLHSYAGAKLTEKAEDLYEKIKESKLSLSALTYNEMMTMYMSVGQVEKVSLVVEELKRQKVAPDIFTYNLWISSCAATLKIDQVQQILDEMRYDLGFGEDWERYINLTNIYVSVGHLVNAESNSLVEAEKGITQREWITYDFLIILHAGLGNKDRIDQIWKSLIMTRQKMTSRNYICILSSYLMLGQLKEVGEVIDQWKQSTTTDSVVSACKRLLNAFTDVGFTEKAHDFKMLLIQKNCDPTNSLE >ONH90651 pep chromosome:Prunus_persica_NCBIv2:G8:9847684:9851326:1 gene:PRUPE_8G066700 transcript:ONH90651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHGEYEVSDSDYAVRIVLMTKVFGIDSAERYFEGLPLTAKTTETYTALLHSYAGAKLTEKAEDLYEKIKESKLSLSALTYNEMMTMYMSVGQVEKVSLVVEELKRQKVAPDIFTYNLWISSCAATLKIDQVQQILDEMRYDLGFGEDWERYINLTNIYVSVGHLVNAESNSLVEAEKGITQREWITYDFLIILHAGLGNKDRIDQIWKSLIMTRQKMTSRNYICILSSYLMLGQLKEVGEVIDQWKQSTTTDSVVSACKRLLNAFTDVGFTEKAHDFKMLLIQKNCDPTNSLE >ONH93263 pep chromosome:Prunus_persica_NCBIv2:G8:20116229:20116877:1 gene:PRUPE_8G222000 transcript:ONH93263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISCYIFVLFSPILFTDLVLQSFAFKFVIELARNIRRIQAHFEVQSSHIMFNLNVYIKTYNSHLRHLHLHTQWVGSHDNCNLIN >ONH93285 pep chromosome:Prunus_persica_NCBIv2:G8:20182111:20184540:1 gene:PRUPE_8G223300 transcript:ONH93285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPLALASLCLLLLFNGCLASRQHIFGQNKEWQLNQLEAREPDNHIQSEAGVTESWNPSDPQFQLAGVAVVRRTIEPNGLHLPSYVNAPQLIYIVRGRGVLGAVFPGCAETFEDSQPQQFQQQQQQQQFRPSRQEGGQGQQPFQGEDQQDRHQKIRHIREGDIVALPAGVAYWSYNNGEQPLVAVSLLDLSNDQNQLDQVPRRFYLAGNPQDEFNPQQQGRQQQQQQQGNGNNIFSGFDTQLLAQALNVNPETARNLQGQNDNRNEIVRVQGQLDFVSPFSRSAGGRGGQERQQEEQQSQREREEKQREQEQQGRGGQDNGVEETFCSARLSQNIGDPSRADFYNPQGGRISVVNRNHLPILRYLRLSAEKGVLYNNAIYTPHWHTNANALVYAIRGNARVQVVNENGDPILNDEVREGQLFLIPQNHAVITQASNEGFEYISFRTDENGLTNTLAGRTSVLRALPDEVLQNAFRISRQDARNLKYNRQESRLLSATSPPRGRLMSILGY >ONH91855 pep chromosome:Prunus_persica_NCBIv2:G8:15839971:15842915:-1 gene:PRUPE_8G139800 transcript:ONH91855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPACLLGPPELHLDHPISTIIKPHEENPPLVKGRSFSSNAPLNPCLEFFFQATIYSDKSRENPDEAMAWDHDPLTTLKLIFCLRMAGRPNKEAFYKSLVWLHKNHPLTLALNLKVFVELVWLKDLLEILYRVLQIPIDEAKKKEEEERQKLRKSYCYWPRYWSCDWCIDEAKEKEEKEKEEITPVTDVREVRIARAKSAVDRYQNDPEYRLLHDIVSEVFVEILTSDLLSLESGEIEKISSVSKLCPSIDSSYDRETLICENIAKKMFPRHNFEEYKDVEEAHYSYRVRDRLRKQVLSPLRKALECSTAAKQNKKSSSSFKNRKALIALEIYRKIIGCGVGGGYDESKLSFNICMKLVDMLLRKKTIFNMCFGDQLNLPHQRLVHELQNTGKLRNCIAVCDLPESKRGTLKEMVCISMGLLVSELSEKPWQGSVFSFSDFPRLHKIEGDNLKSKCEFMRQIECAEMVDFSNIYIQFLQIAIAEGNAKVPKRIFVFTYRDFEKASRNNWVRDFKEALDNYRKRGYFNVPHLMFWNLNNPIAKPEEIGRPVKNHNVGTKLTGFFNNLLSLFFEGEIDSRTYAGQVHGASGGIDVLDFKKAFKNNWVLDYKEAWKNYRKRGYATVPPLVFWNLKNPIAEPEVIGSPVKNHNAGIIITGFSNNLISLFFKGETDSRTYATRRARVRAVFGIDLQSELRFYSKG >ONH92094 pep chromosome:Prunus_persica_NCBIv2:G8:16650522:16651283:-1 gene:PRUPE_8G154800 transcript:ONH92094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVFANISKEEIDGFVEEHNKARKEVGNKPLNWNTTLAQYAQEYADKRVGDCAMEHSMGRWGENLASGDGMSGAAATKYWVTEKEFYDEKSNKCVKDECGHYLAVVWGKTTEVGCGISKCNNGQNYVVCSYDPMYQPEDERPY >ONH90580 pep chromosome:Prunus_persica_NCBIv2:G8:8944819:8958675:1 gene:PRUPE_8G062200 transcript:ONH90580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLCLYELEDNAWYEFGESDDHIVPHPGIEHDDQFPVEGDSRKKPRRDLIGIPSSANCATISELQRKEKTLTKKSTMLEKVSLSNTPDGAYASSCNGDSIKEVTSIASDDTGMSNHCLKSGNPDSSGSDAKDNIMGDGCTVVDNNLYDYPLNHISETDNDLSFLDNDREDRDSSDLLYYGWSDIGNFEDVDRMFRSCDSTFGLGSLNNEDELCWFLSSNSAEGSEDALKSGIKFSCSEATAKSLSENGEASKLENVDPLTNGLNKKGTSMGDNISSPGMDAGVRDTLGHLSVVKGSDAKSEIGDDLTLKEQPKHHKQPEGERIDRYVEKGGSFPHYSDLNQFQNANHPYADSSCQVYSTPAIHQHKQKTVTESVRYMPTNIPYMHLDYSHPSDQTSVCPTVSGTQSDNNIRPCPSLKETSYASNQVLSMESSCGPFNAPSVVKKEKQLLSGDYEPPFSKCFNILAIENPETYCDPVSVQKKVQQSANETEGHSDVEGGASIGMQAEFDSSDLQESSCLSSVLDEISLEATSFRQLQQVTEQLDIRTKLCIRDSLYRLAKSAEQRHNCANKKSGNIDSREANGVLLPQETDKCIAFMDVETDTNPIDRSIAHLLFHRPKDPSARPANDPLSLRSSALIHGSVNSTPGMPEKQVFPEGTAASDREKDGDE >ONH90583 pep chromosome:Prunus_persica_NCBIv2:G8:8948437:8957931:1 gene:PRUPE_8G062200 transcript:ONH90583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRSSPSPWPLLEDNAWYEFGESDDHIVPHPGIEHDDQFPVEGDSRKKPRRDLIGIPSSANCATISELQRKEKTLTKKSTMLEKVSLSNTPDGAYASSCNGDSIKEVTSIASDDTGMSNHCLKSGNPDSSGSDAKDNIMGDGCTVVDNNLYDYPLNHISETDNDLSFLDNDREDRDSSDLLYYGWSDIGNFEDVDRMFRSCDSTFGLGSLNNEDELCWFLSSNSAEGSEDALKSGIKFSCSEATAKSLSENGEASKLENVDPLTNGLNKKGTSMGDNISSPGMDAGVRDTLGHLSVVKGSDAKSEIGDDLTLKEQPKHHKQPEGERIDRYVEKGGSFPHYSDLNQFQNANHPYADSSCQVYSTPAIHQHKQKTVTESVRYMPTNIPYMHLDYSHPSDQTSVCPTVSGTQSDNNIRPCPSLKETSYASNQVLSMESSCGPFNAPSVVKKEKQLLSGDYEPPFSKCFNILAIENPETYCDPVSVQKKVQQSANETEGHSDVEGGASIGMQAEFDSSDLQESSCLSSVLDEISLEATSFRQLQQVTEQLDIRTKLCIRDSLYRLAKSAEQRHNCANKKSGNIDSREANGVLLPQETDKCIAFMDVETDTNPIDRSIAHLLFHRPKDPSARPANDPLSLRSSALIHGSVNSTPGMPEKQVFPEGTAASDREKDGDE >ONH90581 pep chromosome:Prunus_persica_NCBIv2:G8:8944795:8956873:1 gene:PRUPE_8G062200 transcript:ONH90581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLCLYELEDNAWYEFGESDDHIVPHPGIEHDDQFPVEGDSRKKPRRDLIGIPSSANCATISELQRKEKTLTKKSTMLEKVSLSNTPDGAYASSCNGDSIKEVTSIASDDTGMSNHCLKSGNPDSSGSDAKDNIMGDGCTVVDNNLYDYPLNHISETDNDLSFLDNDREDRDSSDLLYYGWSDIGNFEDVDRMFRSCDSTFGLGSLNNEDELCWFLSSNSAEGSEDALKSGIKFSCSEATAKSLSENGEASKLENVDPLTNGLNKKGTSMGDNISSPGMDAGVRDTLGHLSVVKGSDAKSEIGDDLTLKEQPKHHKQPEGERIDRYVEKGGSFPHYSDLNQFQNANHPYADSSCQVYSTPAIHQHKQKTVTESVRYMPTNIPYMHLDYSHPSDQTSVCPTVSGTQSDNNIRPCPSLKETSYASNQVLSMESSCGPFNAPSVVKKEKQLLSGDYEPPFSKCFNILAIENPETYCDPVSVQKKVQQSANETEGHSDVEGGASIGMQAEFDSSDLQESSCLSSVLDEISLEATSFRQLQQVTEQLDIRTKLCIRDSLYRLAKSAEQRHNCANKKSGNIDSREANGVLLPQETDKWLSFIRYQLSTMCDKGKERGLCE >ONH90582 pep chromosome:Prunus_persica_NCBIv2:G8:8947241:8957917:1 gene:PRUPE_8G062200 transcript:ONH90582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMELKCSYIQYLKNIASRKYSKEANVCHRGKLEDNAWYEFGESDDHIVPHPGIEHDDQFPVEGDSRKKPRRDLIGIPSSANCATISELQRKEKTLTKKSTMLEKVSLSNTPDGAYASSCNGDSIKEVTSIASDDTGMSNHCLKSGNPDSSGSDAKDNIMGDGCTVVDNNLYDYPLNHISETDNDLSFLDNDREDRDSSDLLYYGWSDIGNFEDVDRMFRSCDSTFGLGSLNNEDELCWFLSSNSAEGSEDALKSGIKFSCSEATAKSLSENGEASKLENVDPLTNGLNKKGTSMGDNISSPGMDAGVRDTLGHLSVVKGSDAKSEIGDDLTLKEQPKHHKQPEGERIDRYVEKGGSFPHYSDLNQFQNANHPYADSSCQVYSTPAIHQHKQKTVTESVRYMPTNIPYMHLDYSHPSDQTSVCPTVSGTQSDNNIRPCPSLKETSYASNQVLSMESSCGPFNAPSVVKKEKQLLSGDYEPPFSKCFNILAIENPETYCDPVSVQKKVQQSANETEGHSDVEGGASIGMQAEFDSSDLQESSCLSSVLDEISLEATSFRQLQQVTEQLDIRTKLCIRDSLYRLAKSAEQRHNCANKKSGNIDSREANGVLLPQETDKCIAFMDVETDTNPIDRSIAHLLFHRPKDPSARPANDPLSLRSSALIHGSVNSTPGMPEKQVFPEGTAASDREKDGDE >ONH90579 pep chromosome:Prunus_persica_NCBIv2:G8:8944786:8958675:1 gene:PRUPE_8G062200 transcript:ONH90579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLCLYELEDNAWYEFGESDDHIVPHPGIEHDDQFPVEGDSRKKPRRDLIGIPSSANCATISELQRKEKTLTKKSTMLEKVSLSNTPDGAYASSCNGDSIKEVTSIASDDTGMSNHCLKSGNPDSSGSDAKDNIMGDGCTVVDNNLYDYPLNHISETDNDLSFLDNDREDRDSSDLLYYGWSDIGNFEDVDRMFRSCDSTFGLGSLNNEDELCWFLSSNSAEGSEDALKSGIKFSCSEATAKSLSENGEASKLENVDPLTNGLNKKGTSMGDNISSPGMDAGVRDTLGHLSVVKGSDAKSEIGDDLTLKEQPKHHKQPEGERIDRYVEKGGSFPHYSDLNQFQNANHPYADSSCQVYSTPAIHQHKQKTVTESVRYMPTNIPYMHLDYSHPSDQTSVCPTVSGTQSDNNIRPCPSLKETSYASNQVLSMESSCGPFNAPSVVKKEKQLLSGDYEPPFSKCFNILAIENPETYCDPVSVQKKVQQSANETEGHSDVEGGASIGMQAEFDSSDLQESSCLSSVLDEISLEATSFRQLQQVTEQLDIRTKLCIRDSLYRLAKSAEQRHNCANKKSGNIDSREANGVLLPQETDKCIAFMDVETDTNPIDRSIAHLLFHRPKDPSARPANDPLSLRSSALIHGSVNSTPGMPEKQVFPEGTAASDREKDGDE >ONH92386 pep chromosome:Prunus_persica_NCBIv2:G8:17572581:17574451:-1 gene:PRUPE_8G172000 transcript:ONH92386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKQRELGGEEKEEEHAKVEIWKYVFGFVEIGVVKCAIELGIADAIESHGSPMTLLELSSALRCDPSPLYRIMRVLVHLKIFKEKPATQLGPKVYAQTPLSKQLLKSGENSMAALILLGNSPVVLAPWHGLSARIQGISNPAFEAERGEDIWSYAAANPDHSKLFNEAMACDARVAVPAVIESCSEVFKGIEKIVDVGGGDGTTLRLLIEACPWIRGINFDLPHVVSVAQECDRIENVGGDMFDFVPKADAVIMKWVLHNWGDDECIRILKKCREAIPADKGKVIIVEAVIDEKDEKEDIKLTNVRLMLDMAMMAATQIGKERTLKEWGYVLADAGFSQHTITPIHAVQSVIQAFP >ONH90898 pep chromosome:Prunus_persica_NCBIv2:G8:11501767:11505303:1 gene:PRUPE_8G082000 transcript:ONH90898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSSSSKSHGLDQPELSGPKSLRTKMGKPEDSEKKIFHKKLKDVEISVPIVYGNISFWLGKKANEYQSHKWTVYVRGATNEDLGVVIKRAVFQLHSSFNNPTRVIESPPFELSECGWGEFEIAITLFFHSDVCDKPLNLFHHLKLYPEDESGPMSTKKPVVVESYDEIVFPEPSEAFLARVQNQPALIVPRLPAGSVLPPPVPVEDPSKRKRGDTKDHPMSQWFMNFSEADELLQLAAARQQVQAHIAKFRREISLLDGQQQQQVNSVSDQ >ONH90339 pep chromosome:Prunus_persica_NCBIv2:G8:5068225:5072848:-1 gene:PRUPE_8G047500 transcript:ONH90339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVLACVGFMFLGLCKANGNTYYYDFVLTESNFTRLCETKTILTANGTLPGPTITVRKGDTAFVNVHNQGSYGLTIHWHGVKQPRNPWYDGPENVTQCPIQAGSNFTYEIIFSTEEGTLWWHAHSDWSRATVYGAIIILPALNTTYPFATPDGEETLVLGSWYKGGVNEIIQNALSTGGDPNVSDAFTINGEPGHLYKCSNATTYRWVVDYGKTYLLRLVNAVLNEEQFFAIANHNLTVVAQDAAYIKPITTSYLMITPGQTMDILVVANQTPSHYDVASTPFVDGDVAYNNSTTTAILQYNGSTTPSTIPRAILPDFDDGTAASNFTTQVRALASKDHPISVPLNITHTLFISVSVNERICPNSSCDGPDGNALAASLNNISFVTPSTDILQAYSGKRPKRHNISLLWDEGEDD >ONH90338 pep chromosome:Prunus_persica_NCBIv2:G8:5068225:5072847:-1 gene:PRUPE_8G047500 transcript:ONH90338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVLACVGFMFLGLCKANGNTYYYDFVLTESNFTRLCETKTILTANGTLPGPTITVRKGDTAFVNVHNQGSYGLTIHWHGVKQPRNPWYDGPENVTQCPIQAGSNFTYEIIFSTEEGTLWWHAHSDWSRATVYGAIIILPALNTTYPFATPDGEETLVLGSWYKGGVNEIIQNALSTGGDPNVSDAFTINGEPGHLYKCSNATTYRWVVDYGKTYLLRLVNAVLNEEQFFAIANHNLTVVAQDAAYIKPITTSYLMITPGQTMDILVVANQTPSHYDVASTPFVDGDVAYNNSTTTAILQYNGSTTPSTIPRAILPDFDDGTAASNFTTQVRALASKDHPISVPLNITHTLFISVSVNERICPNSSCDGPDGNALAASLNNISFVTPSTDILQAYSGAINGVYSANFPHRPYIFNFTGNVRNDTIYPYFGTKVRMIKYGEEVEIIYQGTNMIAAENHPMHLHGFSFYLVGTGSGNFDPNQAPKTYNLVDPPEVNTIGVPKNGWATVRFKADNPGVWFMHCHLERHASWGMATVLIVTNGNTTETSMRPAPAYMPPCT >ONH92173 pep chromosome:Prunus_persica_NCBIv2:G8:16882216:16892894:1 gene:PRUPE_8G159900 transcript:ONH92173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPDRCSLSLKITDIGCQLVILYSQLRQVNNTIFALCKAIRLLNSRNGAGELKYTRFVISLHGEAYARSVEMLLCTQEFKIAIQQAIKSIPEGQASGCIGQLTLDISESLEWLKISCLKADEKEFGKRDGRSSLQNFNLEAELLGRGLSEGYALVLDSLFVTPGNCNLLGVSVKDLIAVICACMSSLVGLQPDAVNEFLFTVTGKGFDNETDENKNNLQIFGLSTHWVFVFFFRLYMSCRSLYRSATSLMPPDLSRKMSAAMGDSFTSYSGSDWIDMTDWINGEYFSWIVQPSASLPVVIQSISNIYCKDSAADSSPLTYVMHAMAVRRLVDLNRHIKSFEYLMQNNENLVQVRLLEDAGLSRCRKRSKKLERHISVLREEASGLAGFMMEHLSLVPEDQQPMSISGDTTCNKMISHESDEWDFSVCALNKKSLPTAIWWILCQNIDTWCTHATKKNLKKFLSLLIHTSLSRVRSSFGVVREYNNHAADRLKKVTLHQISSQCFIDSILYEQRFFCRYFASTFCRALEKSTLPLISDFSSGNFDFKSSPDWPKVLNSLENSSVVVSCKNHYIFDCSSAASPVTHSSDELRKGSFKEQKDLQSTIMKFIACQSLLNLLCCMPKSHFNSRAFSLYVTSILNLERLVVGGLLDYQNALYSHHYHELFRLFVSCRKALKYIILACEGKTADSQTSHTLVFFEDSFPILWLYKSVYAVVGLEESLPKDNCRPVSDMILSLMDHTFYVFLTLSKYQSNHAVHFSKVAELNAGLVHEHSSLSESDMCLDSSDYIEAWKSVTIIAKSLKEQMQSLLVNLKDALCNGKVGIGVDGLNLNKFSSLISCISGFLWGLACFVNHTDSRSSDHKVNSSRQKLEPISELHLCIDVFAEFCSLLLPMLVCDSSQQSRTLCDSQNLQKSDFNADLLGVPEGTDVETDIAGVELHDESGAAMTASSDIHAYSGSGSVRRRRLHLEGANCAASALNDIDSFILQSLNRPLLRRLLNGDYPGAAFLLRQLLIASSAILRLSLHMNSPPLSSSLVHTFTSITQVLLLESTDMNHVPCFFYFVCLDGVLKYLEEIANHFPLTNPTLSRSLYDKMVQLQLRALGKCITLQGKRATLVSHETESSTKMLHSPMEFSEASLSGRPYLLDELKARLRSSFTVFIKKPSELHLLSAVQAIERALVGVRDGCTMSYDIHTGSVDGGKVSSVVAAGIDCLDLILEHVSGRKRLNVVKRHIQSFISSLFNVILNLQSPVIFYERSIQNKGDTDPDPGTIILMCVDVLARISGKHALYQMEAWHVAQSLRIPSALFQDFHLLKLSEAPVPDDSSTVPNNQISNSVASKHFSGVDRQYSIDLFAACCRLLHNVLKHHKTECERCIAVLQASVGVLLHCLETVDANAVVRKGFFSWEVEEGVKCAGCLRRIYEEIRHQKDVFGPHCSQFLSNYIWVYSGHGPRKTGIKREIDEALRPGVYALIDTCSADDLQRLHTLFGEGPCRNTLATLKHDYELNFQYQGKV >ONH92171 pep chromosome:Prunus_persica_NCBIv2:G8:16882216:16892894:1 gene:PRUPE_8G159900 transcript:ONH92171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSEATPKEKRTKKNKKRKQRSLGESERPSKTHRLRVSEKESEPQEVEVKRAEKPQLREPNQELEQGGPWRNLELVLSIQNKELDLQKKVELAYGFVILRVKEEGSKSDQDNQAVNMSRLIIFVNDWIQSLLISSGKKIQSGGEMHQAEVIETYLDFRCWEIFKFCLEESLKLNVSLSFSRNLLRSICLIARNALSLLNKTSSHQTDLFSIGEGLPLYNTMLDCISLVFSSHGGLSNENLDLWVSTVGAVLDLVHTFYMENLVSGNEGDFVFRFLCLVLEPFAKFFRAHPARKNGFRDFIDKLLEPLLHLLGLLHLQIDVSNPGRARNLLKLVEEVLSHGLYHPVHIDGFLNLCSSERYSTFNYGKSKDSKTMLKSYHRHLFDKLEKILAAKNALAVESMGELFHLLIDQVQKLKRASVPAENTKMMGKTEASKQIEHSLMGHTSKMSSGSSTALVENNYCSTSFSAETRKSLLDFFVLIMEPLLLEINGYLESKLEVGPMLSDVHCTLKSINNLLSGFMHEKVYVRTEDTSEGACLNFLKKVYNMIISLSSNLIQSSKYGVVNRTHMDTLTLIANEVLSAVGYLLEIEYEVIENDLVTLWLLMLSYLAIGLSLMEVPDRCSLSLKITDIGCQLVILYSQLRQVNNTIFALCKAIRLLNSRNGAGELKYTRFVISLHGEAYARSVEMLLCTQEFKIAIQQAIKSIPEGQASGCIGQLTLDISESLEWLKISCLKADEKEFGKRDGRSSLQNFNLEAELLGRGLSEGYALVLDSLFVTPGNCNLLGVSVKDLIAVICACMSSLVGLQPDAVNEFLFTVTGKGFDNETDENKNNLQIFGLSTHWVFVFFFRLYMSCRSLYRSATSLMPPDLSRKMSAAMGDSFTSYSGSDWIDMTDWINGEYFSWIVQPSASLPVVIQSISNIYCKDSAADSSPLTYVMHAMAVRRLVDLNRHIKSFEYLMQNNENLVQVRLLEDAGLSRCRKRSKKLERHISVLREEASGLAGFMMEHLSLVPEDQQPMSISGDTTCNKMISHESDEWDFSVCALNKKSLPTAIWWILCQNIDTWCTHATKKNLKKFLSLLIHTSLSRVRSSFGVVREYNNHAADRLKKVTLHQISSQCFIDSILYEQRFFCRYFASTFCRALEKSTLPLISDFSSGNFDFKSSPDWPKVLNSLENSSVVVSCKNHYIFDCSSAASPVTHSSDELRKGSFKEQKDLQSTIMKFIACQSLLNLLCCMPKSHFNSRAFSLYVTSILNLERLVVGGLLDYQNALYSHHYHELFRLFVSCRKALKYIILACEGKTADSQTSHTLVFFEDSFPILWLYKSVYAVVGLEESLPKDNCRPVSDMILSLMDHTFYVFLTLSKYQSNHAVHFSKVAELNAGLVHEHSSLSESDMCLDSSDYIEAWKSVTIIAKSLKEQMQSLLVNLKDALCNGKVGIGVDGLNLNKFSSLISCISGFLWGLACFVNHTDSRSSDHKVNSSRQKLEPISELHLCIDVFAEFCSLLLPMLVCDSSQQSRTLCDSQNLQKSDFNADLLGVPEGTDVETDIAGVELHDESGAAMTASSDIHAYSGSGSVRRRRLHLEGANCAASALNDIDSFILQSLNRPLLRRLLNGDYPGAAFLLRQLLIASSAILRLSLHMNSPPLSSSLVHTFTSITQVLLLESTDMNHVPCFFYFVCLDGVLKYLEEIANHFPLTNPTLSRSLYDKMVQLQLRALGKCITLQGKRATLVSHETESSTKMLHSPMEFSEASLSGRPYLLDELKARLRSSFTVFIKKPSELHLLSAVQAIERALVGVRDGCTMSYDIHTGSVDGGKVSSVVAAGIDCLDLILEHVSGRKRLNVVKRHIQSFISSLFNVILNLQSPVIFYERSIQNKGDTDPDPGTIILMCVDVLARISGKHALYQMEAWHVAQSLRIPSALFQDFHLLKLSEAPVPDDSSTVPNNQISNSVASKHFSGVDRQYSIDLFAACCRLLHNVLKHHKTECERCIAVLQASVGVLLHCLETVDANAVVRKGFFSWEVEEGVKCAGCLRRIYEEIRHQKDVFGPHCSQFLSNYIWVYSGHGPRKTGIKREIDEALRPGVYALIDTCSADDLQRLHTLFGEGPCRNTLATLKHDYELNFQYQGKV >ONH92172 pep chromosome:Prunus_persica_NCBIv2:G8:16882216:16892894:1 gene:PRUPE_8G159900 transcript:ONH92172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSEATPKEKRTKKNKKRKQRSLGESERPSKTHRLRVSEKESEPQEVEVKRAEKPQLREPNQELEQGGPWRNLELVLSIQNKELDLQKKVELAYGFVILRVKEEGSKSDQDNQAVNMSRLIIFVNDWIQSLLISSGKKIQSGGEMHQAEVIETYLDFRCWEIFKFCLEESLKLNVSLSFSRNLLRSICLIARNALSLLNKTSSHQTDLFSIGEGLPLYNTMLDCISLVFSSHGGLSNENLDLWVSTVGAVLDLVHTFYMENLVSGNEGDFVFRFLCLVLEPFAKFFRAHPARKNGFRDFIDKLLEPLLHLLGLLHLQIDVSNPGRARNLLKLVEEVLSHGLYHPVHIDGFLNLCSSERYSTFNYGKSKDSKTMLKSYHRHLFDKLEKILAAKNALAVESMGELFHLLIDQVQKLKRASVPAENTKMMGKTEASKQIEHSLMGHTSKMSSGSSTALVENNYCSTSFSAETRKSLLDFFVLIMEPLLLEINGYLESKLEVGPMLSDVHCTLKSINNLLSGFMHEKVYVRTEDTSEGACLNFLKKVYNMIISLSSNLIQSSKYGVVNRTHMDTLTLIANEVLSAVGYLLEIEYEVIENDLVTLWLLMLSYLAIGLSLMEVPDRCSLSLKITDIGCQLVILYSQLRQVNNTIFALCKAIRLLNSRNGAGELKYTRFVISLHGEAYARSVEMLLCTQEFKIAIQQAIKSIPEGQASGCIGQLTLDISESLEWLKISCLKADEKEFGKRDGRSSLQNFNLEAELLGRGLSEGYALVLDSLFVTPGNCNLLGVSVKDLIAVICACMSSLVGLQPDAVNEFLFTVTGKGFDNETDENKNNLQIFGLSTHWVFVFFFRLYMSCRSLYRSATSLMPPDLSRKMSAAMGDSFTSYSGSDWIDMTDWINGEYFSWIVQPSASLPVVIQSISNIYCKDSAADSSPLTYVMHAMAVRRLVDLNRHIKSFEYLMQNNENLVQVRLLEDAGLSRCRKRSKKLERHISVLREEASGLAGFMMEHLSLVPEDQQPMSISGDTTCNKMISHESDEWDFSVCALNKKSLPTAIWWILCQNIDTWCTHATKKNLKKFLSLLIHTSLSRVRSSFGVVREYNNHAADRLKKVTLHQISSQCFIDSILYEQRFFCRYFASTFCRALEKSTLPLISDFSSGNFDFKSSPDWPKVLNSLENSSVVVSCKNHYIFDCSSAASPVTHSSDELRKGSFKEQKDLQSTIMKFIACQSLLNLLCCMPKSHFNSRAFSLYVTSILNLERLVVGGLLDYQNALYSHHYHELFRLFVSCRKALKYIILACEGKTADSQTSHTLVFFEDSFPILWLYKSVYAVVGLEESLPKDNCRPVSDMILSLMDHTFYVFLTLSKYQSNHAVHFSKVAELNAGLVHEHSSLSESDMCLDSSDYIEAWKSVTIIAKSLKEQMQSLLVNLKDALCNGKVGIGVDGLNLNKFSSLISCISGFLWGLACFVNHTDSRSSDHKVNSSRQKLEPISELHLCIDVFAEFCSLLLPMLVCDSSQQSRTLCDSQNLQKSDFNADLLGVPEGTDVETDIAGVELHDESGAAMTASSDIHAYSGSGSVRRRRLHLEGANCAASALNDIDSFILQSLNRPLLRRLLNGDYPGAAFLLRQLLIASSAILRLSLHMNSPPLSSSLVHTFTSITQVLLLESTDMNHVPCFFYFVCLDGVLKYLEEIANHFPLTNPTLSRSLYDKMVQLQLRALGKCITLQGKRATLVSHETESSTKMLHSPMEFSEASLSGRPYLLDELKARLRSSFTVFIKKPSELHLLSAVQAIERALVGVRDGCTMSYDIHTGSVDGGKVSSVVAAGIDCLDLILEHVSGRKRLNVVKRHIQSFISSLFNVILNLQSPVIFYERSIQNKGDTDPDPGTIILMCVDVLARISGKHALYQMEAWHVAQSLRIPSALFQDFHLLKLSEAPVPDDSSTVPNNQISNSVASKHFSGVDRQYSIDLFAACCRLLHNVLKHHKTECERCIAVLQASVGVLLHCLETVDANAVVRKGFFSWEVEEGVKCAGCLRRIYEEIRHQKDVFGPHCSQFLSNYIWVYSGHGPRKTGIKREIDEALRPGVYALIDTCSADDLQRLHTLFGEGPCRNTLATLKHDYELNFQYQGKV >ONH91581 pep chromosome:Prunus_persica_NCBIv2:G8:14979780:14981296:1 gene:PRUPE_8G124400 transcript:ONH91581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQTSTLIFSSSFSSSCCSSSRRSNTNAAIHVPKLPRVRFTVPKTATKLVLEGLQLREPKHILDNDSYNNHSAIPATPTAATTQLYAILEAVADRVEMHNNIREWRDNWNTLLLNSINMITLSASLMAGIAGTAAGAGVSVSALNISSTILFSAASGMLLVMNKLQPSQLAEEQRNATRLFKQLQIQIQTMLALHEPTEQDVKDTMEKVLALDKAYPLPLLGAMLEKFPKKFEPAVWWPKNIQSQKPSKPNSQMGKINGWSAELEEEMREIIEVVKTKDIEDYERLGSLVLKINKILAISGPLLTGAAAIGSAFVGQGSASAIVAVAAGSLASAVNAFQHGGQIGMVFEMYRNCAGFFNKLEDTIQATLEEQDLEKRENGELFEMKVAMQLGRSSDQLRELASKSASSRLEGISVDEFGCKLF >ONH93578 pep chromosome:Prunus_persica_NCBIv2:G8:21071971:21074414:1 gene:PRUPE_8G240100 transcript:ONH93578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFRFPFSFPQPPKHTPPTYSSSSSATSRPFSATAAAVAIAAGAAAVAGVAAATHNSTNQAHPFLQNALNLFFANRSLPLWGSLSLNYTSSSVVDSKTGASFPSVLDDSRLLLGIGLRRKRVFGLKNIDVYAFGIYADDRDIKELLSEKYGKLSVSELQDNKEYSDDLLETDIGMTVRLQIVYGRLSISSVRSAFEESVGSRLRKFGGSDNQELLQT >ONH93577 pep chromosome:Prunus_persica_NCBIv2:G8:21071971:21074414:1 gene:PRUPE_8G240100 transcript:ONH93577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFRFPFSFPQPPKHTPPTYSSSSSATSRPFSATAAAVAIAAGAAAVAGVAAATHNSTNQAHPFLQNALNLFFANRSLPLWGSLSLNYTSSSVVDSKTGASFPSVLDDSRLLLGIGLRRKRVFGLKNIDVYAFGIYADDRDIKELLSEKYGKLSVSELQDNKEYSDDLLETDIGMTVRLQIVYGRLSISSVRSAFEESVGSRLRKFGGSDNQELLQTFTSQFKDEYKIPKGSVIDLSRERGYVLRTTIDGKEVGSIESKLLCKSLLDLYIGEEPFDKEAKEDVKLNLASLLHK >ONH94008 pep chromosome:Prunus_persica_NCBIv2:G8:22252702:22257118:1 gene:PRUPE_8G265700 transcript:ONH94008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENISNSHRLDSISNAVRRKRSQTFRRPRPDSQPYNELHDQSSLSSATPSDDQSKVSSDENAGCDANSKRKECSLNECMARVSSAVGNGEKPHKNDSKDGEFNSFYNNEPGRNGINNKRSSEGVLAPANWKSTSIMKDGLISESRSADAFDGMNGESPSTRLSGLDGFGNENKVKKVKLKVGGVTRTIQANSAFNGTAEGGSSTKTSRLSDVSRQRQKPNLPENSDDNHSPSDKKSGLKGIPWKDFSRSGFSLGRDNCSMGRISGKNTSGKEGDRSEPVRKSKRVPKRRVLDGEFGDDEEDDEIRYLEKLKTSKVTAGYRENDEESSKKHRKLSAVSNSDNAGASRLGKDLKKKSRTDRASGDTDYEEDEDSLSDGELEGKKKQKKESVDSLMDGKKEMTLTTRQRALQSSKDVSSSPGSSLIEFPNGLPPAPSRKQKGKLSDVEQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREDKIKKRQEELAQEKAANMLTLPSNSIRYVMGPTGTVVIFSNDMGLPSLFDSKPCSYPPPRENCAGPSCTNPYKYRDSKSKLPLCSLKCYKAIQEKMQA >ONH89766 pep chromosome:Prunus_persica_NCBIv2:G8:1308354:1311247:1 gene:PRUPE_8G015100 transcript:ONH89766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELDRIEISTKEVIESKFGSDVENHLGMLCSLIPEFIHEEKFGVDSVMLITPFGDSERMLEERIQKGNMGKRLISTKIKDMHIWIAKLGRSEMSTDELKRDIIFGDLYADDRSAFVQQLELLVFLVPQWILKDPEENPNNFSICRKNTKDAKIKLYQEIDERTLGESPSFNLSKDDFLLNLRRSIVKDFGECSTCWDDLYNDEGLSVDTLPCGHAFHCKCVREWCVESGRNTTCPLCRGPIIPRTINMA >ONH89765 pep chromosome:Prunus_persica_NCBIv2:G8:1308354:1311247:1 gene:PRUPE_8G015100 transcript:ONH89765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELDRIEISTKEVIESKFGSDVENHLGMLCSLIPEFIHEEKFGVDSVMLITPFGDSERMLEERHGHTIASSLSSLLYYMIHLILMNKCCYMSCRIQKGNMGKRLISTKIKDMHIWIAKLGRSEMSTDELKRDIIFGDLYADDRSAFVQQLELLVFLVPQWILKDPEENPNNFSICRKNTKDAKIKLYQEIDERTLGESPSFNLSKDDFLLNLRRSIVKDFGECSTCWDDLYNDEGLSVDTLPCGHAFHCKCVREWCVESGRNTTCPLCRGPIIPRTINMA >ONH89767 pep chromosome:Prunus_persica_NCBIv2:G8:1308354:1311247:1 gene:PRUPE_8G015100 transcript:ONH89767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELDRIEISTKEVIESKFGSDVENHLGMLCSLIPEFIHEEKFGVDSVMLITPFGDSERMLEERIQKGNMGKRLISTKIKDMHIWIAKLGRSEMSTDELKRDIIFGAFVQQLELLVFLVPQWILKDPEENPNNFSICRKNTKDAKIKLYQEIDERTLGESPSFNLSKDDFLLNLRRSIVKDFGECSTCWDDLYNDEGLSVDTLPCGHAFHCKCVREWCVESGRNTTCPLCRGPIIPRTINMA >ONH92582 pep chromosome:Prunus_persica_NCBIv2:G8:18182934:18192813:1 gene:PRUPE_8G182200 transcript:ONH92582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQTLGGPSRAGRLLGPSLDKIVKNAAWRKHSHLVAACKSALDKLDSISDSSIVDPKSPISGLSLADSDFVLGPILLALDSAYPKVVEPAVDCAFKLFSVGLFRGEIHGSDQKFVLFKLVESLCKCAGLGEEPIELGVLRTLLAAVRSPRVLIRGDCLVNIVRTCYNVYLGGLNGTNQICAKSVLAQIMVIVFTRVEEDSLNVSISRVSVNELLEFTDKNLNEGSSIFFCQNFINEVMDANYVGPDGNKTVPSPKPKLQNGNAGGRGESGGDGDSNADGAESGDGGSKIRDDGYLLFKNLCKLSMKFSSQEHSDDQILLRGKVLSLELLKVVLDNGGPFWRNNERFLNAIKQFLCLSLLKNSALSVMAIFQLQCSIFTSLLSKFRSGLKAEIGIFFPMLVLRVLENVLQPSFLQKMTVLNLLEKISQDSQIIIDIFVNYDCDVDAPNIFERIVNGLLKTALGPPSGSTTTLSPVQDITFRHESVKCLVSIINSMGAWMDQQLSLGDSYLPKTNESDTSAEKMENQLTSNGEEGAAFDNELHPEGNPEVSDAATLEQRRAYKIELQKGISLFNRKPSKGIEFLISTKKIGSSAEDVASFLRNNTAGLNETMIGDYLGEREEFPLKVMHAYVDSFNFKGMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCSPNSFTSADTAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDDGKDLPEEYLGVLYDQIVKNEIKMSADTTVPQSKQENSFNKLLGLDGILNLVTGKQTEEKALGANGLLIKHIQEQFKAKSGKSESVYHAVTDVAILRFMVEVCWGPMLAAFSVTLDQSDDRLATSQCLQGFRHAIHVTSLMGMQTQRDAFVTSVAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPTDASYLTGSSVETEEKTSKPIGFSSLKKKGTLQNPAVMAVVRGGSYDSTSVGVNTSGLVTPEQINNFISNLNLLDQIGNFELNHVFAHSQRLNSEAIVAFVKALCKVSMSELQSQTDPRVFSLTKLVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSGSTEIKELIVRCISQMVLSRVNHVKSGWKSVFLVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETLTFTDCVKCLLTFTNSRFNSDVSLNAIAFLRFCAVKLAEGGLVYNKRSEVNVSSIPNVNEDGSDLVTFNEKDEHASFWVPLLTGLSKLTSDPRSAIRKGSLEVLFNILKDHGHLFSHSFWTAIFNSVVYPIFRDTNMKNDLSSPVSVSPRPEGSTWDSETSAVAADCLIDLFVSFFDIVRAQLPGLVSILTGLIRSPVQGPASTGVASLVRLAGEVGNRLSEDEWRQIFLALKEAATSAVPGFMKVLKTMDDVNVPGLSRSYSDIDLASEEGFTNDDLEDDNLQTAAYLVSRMKSHIAMQLLIIQVAADLYKINHESLSAANIGILLEIFSLIASHAHQLNSETILQKKLQKVCSVLELTAPPLVHFENDSYKNYLSFLQNALMDNPSMSEEMNIEVQLVEVCEKILQIYLKCTEPRSFEHKPTDQPILHWFLPLGTAKKEELATRTYLAVSALQVLSGLERVSFRRHACRLFPLLVDLVGSEHTSGEVQLVLSNIFQSCIGPIVME >ONH92583 pep chromosome:Prunus_persica_NCBIv2:G8:18184893:18192570:1 gene:PRUPE_8G182200 transcript:ONH92583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMWMPRTSLKDICRIVNGLLKTALGPPSGSTTTLSPVQDITFRHESVKCLVSIINSMGAWMDQQLSLGDSYLPKTNESDTSAEKMENQLTSNGEEGAAFDNELHPEGNPEVSDAATLEQRRAYKIELQKGISLFNRKPSKGIEFLISTKKIGSSAEDVASFLRNNTAGLNETMIGDYLGEREEFPLKVMHAYVDSFNFKGMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCSPNSFTSADTAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDDGKDLPEEYLGVLYDQIVKNEIKMSADTTVPQSKQENSFNKLLGLDGILNLVTGKQTEEKALGANGLLIKHIQEQFKAKSGKSESVYHAVTDVAILRFMVEVCWGPMLAAFSVTLDQSDDRLATSQCLQGFRHAIHVTSLMGMQTQRDAFVTSVAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPTDASYLTGSSVETEEKTSKPIGFSSLKKKGTLQNPAVMAVVRGGSYDSTSVGVNTSGLVTPEQINNFISNLNLLDQIGNFELNHVFAHSQRLNSEAIVAFVKALCKVSMSELQSQTDPRVFSLTKLVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSGSTEIKELIVRCISQMVLSRVNHVKSGWKSVFLVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETLTFTDCVKCLLTFTNSRFNSDVSLNAIAFLRFCAVKLAEGGLVYNKRSEVNVSSIPNVNEDGSDLVTFNEKDEHASFWVPLLTGLSKLTSDPRSAIRKGSLEVLFNILKDHGHLFSHSFWTAIFNSVVYPIFRDTNMKNDLSSPVSVSPRPEGSTWDSETSAVAADCLIDLFVSFFDIVRAQLPGLVSILTGLIRSPVQGPASTGVASLVRLAGEVGNRLSEDEWRQIFLALKEAATSAVPGFMKVLKTMDDVNVPGLSRSYSDIDLASEEGFTNDDLEDDNLQTAAYLVSRMKSHIAMQLLIIQVAADLYKINHESLSAANIGILLEIFSLIASHAHQLNSETILQKKLQKVCSVLELTAPPLVHFENDSYKNYLSFLQNALMDNPSMSEEMNIEVQLVEVCEKILQIYLKCTEPRSFEHKPTDQPILHWFLPLGTAKKEELATRTYLAVSALQVLSGLERVSFRRHACRLFPLLVDLVGSEHTSGEVQLVLSNIFQSCIGPIVME >ONH92581 pep chromosome:Prunus_persica_NCBIv2:G8:18182934:18192814:1 gene:PRUPE_8G182200 transcript:ONH92581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQTLGGPSRAGRLLGPSLDKIVKNAAWRKHSHLVAACKSALDKLDSISDSSIVDPKSPISGLSLADSDFVLGPILLALDSAYPKVVEPAVDCAFKLFSVGLFRGEIHGSDQKFVLFKLVESLCKCAGLGEEPIELGVLRTLLAAVRSPRVLIRGDCLVNIVRTCYNVYLGGLNGTNQICAKSVLAQIMVIVFTRVEEDSLNVSISRVSVNELLEFTDKNLNEGSSIFFCQNFINEVMDANYVGPDGNKTVPSPKPKLQNGNAGGRGESGGDGDSNADGAESGDGGSKIRDDGYLLFKNLCKLSMKFSSQEHSDDQILLRGKVLSLELLKVVLDNGGPFWRNNERFLNAIKQFLCLSLLKNSALSVMAIFQLQCSIFTSLLSKFRSGLKAEIGIFFPMLVLRVLENVLQPSFLQKMTVLNLLEKISQDSQIIIDIFVNYDCDVDAPNIFERIVNGLLKTALGPPSGSTTTLSPVQDITFRHESVKCLVSIINSMGAWMDQQLSLGDSYLPKTNESDTSAEKMENQLTSNGEEGAAFDNELHPEGNPEVSDAATLEQRRAYKIELQKGISLFNRKPSKGIEFLISTKKIGSSAEDVASFLRNNTAGLNETMIGDYLGEREEFPLKVMHAYVDSFNFKGMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCSPNSFTSADTAYVLAYSVIMLNTDAHNNMVKDKMTKADFIRNNRGIDDGKDLPEEYLGVLYDQIVKNEIKMSADTTVPQSKQENSFNKLLGLDGILNLVTGKQTEEKALGANGLLIKHIQEQFKAKSGKSESVYHAVTDVAILRFMVEVCWGPMLAAFSVTLDQSDDRLATSQCLQGFRHAIHVTSLMGMQTQRDAFVTSVAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPTDASYLTGSSVETEEKTSKPIGFSSLKKKGTLQNPAVMAVVRGGSYDSTSVGVNTSGLVTPEQINNFISNLNLLDQIGNFELNHVFAHSQRLNSEAIVAFVKALCKVSMSELQSQTDPRVFSLTKLVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSGSTEIKELIVRCISQMVLSRVNHVKSGWKSVFLVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETLTFTDCVKCLLTFTNSRFNSDVSLNAIAFLRFCAVKLAEGGLVYNKRSEVNVSSIPNVNEDGSDLVTFNEKDEHASFWVPLLTGLSKLTSDPRSAIRKGSLEVLFNILKDHGHLFSHSFWTAIFNSVVYPIFRDTNMKNDLSSPVSVSPRPEGSTWDSETSAVAADCLIDLFVSFFDIVRAQLPGLVSILTGLIRSPVQGPASTGVASLVRLAGEVGNRLSEDEWRQIFLALKEAATSAVPGFMKVLKTMDDVNVPGLSRSYSDIDLASEEGFTNDDLEDDNLQTAAYLVSRMKSHIAMQLLIIQVAADLYKINHESLSAANIGILLEIFSLIASHAHQLNSETILQKKLQKVCSVLELTAPPLVHFENDSYKNYLSFLQNALMDNPSMSEEMNIEVQLVEVCEKILQIYLKCTEPRSFEHKPTDQPILHWFLPLGTAKKEELATRTYLAVSALQVLSGLERVSFRRHACRLFPLLVDLVGSEHTSDWRAQSHKYHHFL >ONH93880 pep chromosome:Prunus_persica_NCBIv2:G8:21953032:21955963:-1 gene:PRUPE_8G258600 transcript:ONH93880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAANEEILRQVFGDSSDGEDLELGDGSDPSHSWEPIKEIKGLWLCRDFLPPQSQSSLLSTIQNEGWFTQASHNQAMRFGDLPSWAAELSHSIRKVVLASDFVLEPIVLGSADNVKENACSFPSDLFCREPLFDQLILNSYQPGEGICAHIDLMRFEDGIAILSLESSCVMHFSLVDGTSRGFLMDGEKDPPMAKIPVYLTPGSLIFMSGEARYLWKHEINRMPGFQKWEGKELNQKRRISITLRKLCQVE >ONH91059 pep chromosome:Prunus_persica_NCBIv2:G8:12377914:12381580:-1 gene:PRUPE_8G090900 transcript:ONH91059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQVPKPLSFFQNPSPSIPIPNPTTPTLRFSTPKPSLSLLRLPLSPRRLTLAMASDPGRPDSTVPLPPKSFELDQFAEVANKVADASGEVIRKFFRQKFDILDKKDSSPVTIADQTAEETMVSILLENFPSHAVYGEENGWKCKEKAADYVWVLDPIDGTKSFITGKPVFGTLIALLQRGKPILGIIDQPILRERWVGMSGRKTTLNGQVVSTRNCANLAQAYLYTTSPHLFSAEAEEAFIRVRNKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLIPVIEGAGGVITDWKGHQLYWEASPNSHVTSFNVVAAGDKHIHQQALDSLQWQ >ONH93214 pep chromosome:Prunus_persica_NCBIv2:G8:19990196:19993614:-1 gene:PRUPE_8G219700 transcript:ONH93214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRESSCHLLLRGGRKESKFSHGFSPAQIQSLAAICEALVPPLSLEDTINNNNNNKEESPDDQALHSIYRASGSQPPIPDEVAELMLKRALPEVMEGMKLFLKMLSFRLGTLLLCGHRCLSWKWPFIHSFSEMSLEKREEVLKKWSKEKLVLTLRLMFLVVKIFCFYTFFSRLDENSRNPAWKAVGYDLNTNKKLIKTPKERPLEQGIIETLNESDSTFVQSLTKRGLQVSDDTTHNIHKIKCDVVIVGSGCGGGVAAAVLAQSGQKVMVVEKGNYFLPKDYSSLEGPSMNELYESGGIMSSVDGMIMILAGSTVGGGSAINWSASIRTPDTVLHEWSVDHKVPLYASSDYKYAMDVVCKRISVTDSCTEENFQNQILRKGCDTLGLKVDLVPRNSPGDHYCGSCNYGCPTGEKQGTDSTWLVDAVKCGAVILTGCKAEKFILENDSQGGGTRKRCLGVEATSLSKNMKKKLQIEAKVTISACGSLLTPPLMISSGLKNPNIGRNLHLHPVLLSWGYFPEHESEFRGRTYEGGIITSLHKVVSDTTNVRAIIETPALGPASFATLSPWISRLDMKDRMEKYARTAHLFALVRDKGSGVVKREGRIHYTLDQLDKENLQVGLRQALRILIAAGAVEVGTYRNDGQRIKCKGTKHEDLEEFLDSVVAAAGPSSREELWTTYSSAHQMGSCRMSASEEEGGVDENGESWEAKGLFVCDGSLLPTAIGVNPMITIQSTAYCISKKIAESLEKEKYIC >ONH91827 pep chromosome:Prunus_persica_NCBIv2:G8:15738382:15740876:1 gene:PRUPE_8G137900 transcript:ONH91827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSSLSPLGPPACEKDAKALKFIEETTRNADPVQERVLAEILARNAETEYLKRYKLGGATDRETFKSKLPIISYEDLQPEIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIQEELDRRQLLYSLLMPVMNLCVPGLDKGKGLYFLFVKSETKTPGGLLARPVLTSYYKSEHFKTRPYDPYNVYTSPNEAILCPDSFQSMYAQMLCGLLERNQVLRLGAVFASGLLRAIRFLQLNWQQLVNDIRTGTLNPKITEPNLKSCMDGILKPDPELADFVSKQCGDENWDGILTRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLNPMCKPSEVSYTIMPNMAYFEFLPYDPNSASRGDSMTTRLVDLVNVEVGREYELVITTYAGLYRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDEAELQLAVENASKLLRSFNASVVEYTSYADTTTIPGHYVIYWELLVKDSANLETESVDSVMSQCCLAMEESLNSVYRQGRVADNSIGPLEIRVVKSGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSKHFSPSLPHWTPERRR >ONH89700 pep chromosome:Prunus_persica_NCBIv2:G8:828739:831383:1 gene:PRUPE_8G010500 transcript:ONH89700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHCAYYVFPPSPLRKNLYKTTTLLSSKRPKSLLLSAISNNRDSYNTLVSEAVSLLGPATFDASKLKVEFIGEEFNNYVGIIPRTYILSHCDFTANLTLTISNVINLDQLKGWYSKDDVVAEWKRVNGEMCLHIHCYVSGPNLMLDLAAEFRYHIFSKEMPLVLKAVLHGDSLLFREHPELVDAMVRVYFHSNLKKYNRIECWGPLKDAVEGRQGDHTKGITAHMDSSHPQVKQRTPKSIFQVLFAFLL >ONH89702 pep chromosome:Prunus_persica_NCBIv2:G8:828740:831581:1 gene:PRUPE_8G010500 transcript:ONH89702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHCAYYVFPPSPLRKNLYKTTTLLSSKRPKSLLLSAISNNRDSYNTLVSEAVSLLGPATFDASKLKVEFIGEEFNNYVGIIPRTYILSHCDFTANLTLTISNVINLDQLKGWYSKDDVVAEWKRVNGEMCLHIHCYVSGPNLMLDLAAEFRYHIFSKEMPLVLKAVLHGDSLLFREHPELVDAMVRVYFHSNLKKYNRIECWGPLKDAVEVKLNMCMRNHT >ONH89701 pep chromosome:Prunus_persica_NCBIv2:G8:829006:830755:1 gene:PRUPE_8G010500 transcript:ONH89701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHCAYYVFPPSPLRKNLYKTTTLLSSKRPKSLLLSAISNNRDSYNTLVSEAVSLLGPATFDASKLKVEFIGEEFNNYVGIIPRTYILSHCDFTANLTLTISNVINLDQLKGWYSKDDVVAEWKRVNGEMCLHIHCYVSGPNLMLDLAAEFRYHIFSKEMPLVLKAVLHGDSLLFREHPELVDAMVRVYFHSNLKKYNRIECWGPLKDAVEVKLNMCMRNHT >ONH89699 pep chromosome:Prunus_persica_NCBIv2:G8:828723:831383:1 gene:PRUPE_8G010500 transcript:ONH89699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHCAYYVFPPSPLRKNLYKTTTLLSSKRPKSLLLSAISNNRDSYNTLVSEAVSLLGPATFDASKLKVEFIGEEFNNYVGIIPRTYILSHCDFTANLTLTISNVINLDQLKGWYSKDDVVAEWKRVNGEMCLHIHCYVSGPNLMLDLAAEFRYHIFSKEMPLVLKAVLHGDSLLFREHPELVDAMVRVYFHSNLKKYNRIECWGPLKDAVEGRQGDHTKGITAHMDSSHPQVKQRTPKSIFQVLFAFLL >ONH92251 pep chromosome:Prunus_persica_NCBIv2:G8:17192514:17196343:1 gene:PRUPE_8G165400 transcript:ONH92251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELDFSNQEMFSGPNMGGEHPSSCSMDSFFDDLLKDTHACTHTHTCNPPGPDYSHTHTCFHVHTKIVSSATGSEDKAGTDDTAESGEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSVNPNLPNPNIPSAYVMNPCNLQRDDQLYCLHQGADGKCGDGAVMNGQGFSGCDFENLQCLVNQDGGYKELSGCGLGNGVSNGNSSATNKRKGGARAAAAN >ONH92250 pep chromosome:Prunus_persica_NCBIv2:G8:17192514:17196373:1 gene:PRUPE_8G165400 transcript:ONH92250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELDFSNQEMFSGPNMGGEHPSSCSMDSFFDDLLKDTHACTHTHTCNPPGPDYSHTHTCFHVHTKIVSSATGSEDKAGTDDTAESGEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSVNPNLPNPNIPSAYVMNPCNLQRDDQLYCLHQGADGKCGDGAVMNGQGFSGCDFENLQCLVNQDGGYKELSGCGLGNGVSNGNSSATNKRKGGARAAAAN >ONH92253 pep chromosome:Prunus_persica_NCBIv2:G8:17192514:17196343:1 gene:PRUPE_8G165400 transcript:ONH92253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELDFSNQEMFSGPNMGGEHPSSCSMDSFFDDLLKDTHACTHTHTCNPPGPDYSHTHTCFHVHTKIVSSATGSEDKAGTDDTAESGEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSVNPNLPNPNIPSAYVMNPCNLQRDDQLYCLHQGADGKCGDGAVMNGQGFSGCDFENLQCLVNQDGGYKELSGCGLGNGVSNGNSSATNKRKGGARAAAAN >ONH92247 pep chromosome:Prunus_persica_NCBIv2:G8:17192514:17196343:1 gene:PRUPE_8G165400 transcript:ONH92247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELDFSNQEMFSGPNMGGEHPSSCSMDSFFDDLLKDTHACTHTHTCNPPGPDYSHTHTCFHVHTKIVSSATGSEDKAGTDDTAESGEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSVNPNLPNPNIPSAYVMNPCNLQRDDQLYCLHQGADGKCGDGAVMNGQGFSGCDFENLQCLVNQDGGYKELSGCGLGNGVSNGNSSATNKRKGGARAAAAN >ONH92249 pep chromosome:Prunus_persica_NCBIv2:G8:17192514:17196343:1 gene:PRUPE_8G165400 transcript:ONH92249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELDFSNQEMFSGPNMGGEHPSSCSMDSFFDDLLKDTHACTHTHTCNPPGPDYSHTHTCFHVHTKIVSSATGSEDKAGTDDTAESGEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSVNPNLPNPNIPSAYVMNPCNLQRDDQLYCLHQGADGKCGDGAVMNGQGFSGCDFENLQCLVNQDGGYKELSGCGLGNGVSNGNSSATNKRKGGARAAAAN >ONH92254 pep chromosome:Prunus_persica_NCBIv2:G8:17192514:17196343:1 gene:PRUPE_8G165400 transcript:ONH92254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELDFSNQEMFSGPNMGGEHPSSCSMDSFFDDLLKDTHACTHTHTCNPPGPDYSHTHTCFHVHTKIVSSATGSEDKAGTDDTAESGEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSVNPNLPNPNIPSAYVMNPCNLQRDDQLYCLHQGADGKCGDGAVMNGQGFSGCDFENLQCLVNQDGGYKELSGCGLGNGVSNGGARAAAAN >ONH92248 pep chromosome:Prunus_persica_NCBIv2:G8:17192514:17196343:1 gene:PRUPE_8G165400 transcript:ONH92248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELDFSNQEMFSGPNMGGEHPSSCSMDSFFDDLLKDTHACTHTHTCNPPGPDYSHTHTCFHVHTKIVSSATGSEDKAGTDDTAESGEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSVNPNLPNPNIPSAYVMNPCNLQRDDQLYCLHQGADGKCGDGAVMNGQGFSGCDFENLQCLVNQDGGYKELSGCGLGNGVSNGNSSATNKRKGGARAAAAN >ONH92252 pep chromosome:Prunus_persica_NCBIv2:G8:17192514:17196343:1 gene:PRUPE_8G165400 transcript:ONH92252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGELDFSNQEMFSGPNMGGEHPSSCSMDSFFDDLLKDTHACTHTHTCNPPGPDYSHTHTCFHVHTKIVSSATGSEDKAGTDDTAESGEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEIARLKCLLVDIRGRIEGEIGSFPYQKSVNPNLPNPNIPSAYVMNPCNLQRDDQLYCLHQGADGKCGDGAVMNGQGFSGCDFENLQCLVNQDGGYKELSGCGLGNGVSNGNSSATNKRKGGARAAAAN >ONH91184 pep chromosome:Prunus_persica_NCBIv2:G8:12912117:12913041:1 gene:PRUPE_8G097200 transcript:ONH91184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCRSALMAGSRSIARSRTLSQSSLNSLNPAAMSSPFASATRTIPCATRFVSVLGSMESMMPLHSAIASARLKSNIAVDSTCWSCLSQGLASPL >ONH91183 pep chromosome:Prunus_persica_NCBIv2:G8:12912007:12915520:1 gene:PRUPE_8G097200 transcript:ONH91183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCRSALMAGSRSIARSRTLSQSSLNSLNPAAMSSPFASATRTIPCATRFVSVLGSMESMMPLHSAIASARLKSNIAVDSTCWSCLSQGLASPL >ONH91182 pep chromosome:Prunus_persica_NCBIv2:G8:12912015:12913558:1 gene:PRUPE_8G097200 transcript:ONH91182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCRSALMAGSRSIARSRTLSQSSLNSLNPAAMSSPFASATRTIPCATRFVSVLGSMESMMPLHSAIASARLKSNIAVDSTCWSCLSQGLASPL >ONH91181 pep chromosome:Prunus_persica_NCBIv2:G8:12912016:12915520:1 gene:PRUPE_8G097200 transcript:ONH91181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCRSALMAGSRSIARSRTLSQSSLNSLNPAAMSSPFASATRTIPCATRFVSVLGSMESMMPLHSAIASARLKSNIAVDSTCWSCLSQAAVPR >ONH91179 pep chromosome:Prunus_persica_NCBIv2:G8:12912016:12915520:1 gene:PRUPE_8G097200 transcript:ONH91179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCRSALMAGSRSIARSRTLSQSSLNSLNPAAMSSPFASATRTIPCATRFVSVLGSMESMMPLHSAIASARLKSNIAVDSTCWSCLSQEAAVPR >ONH91180 pep chromosome:Prunus_persica_NCBIv2:G8:12912016:12915520:1 gene:PRUPE_8G097200 transcript:ONH91180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCRSALMAGSRSIARSRTLSQSSLNSLNPAAMSSPFASATRTIPCATRFVSVLGSMESMMPLHSAIASARLKSNIAVDSTCWSCLSQEAAVPR >ONH91185 pep chromosome:Prunus_persica_NCBIv2:G8:12912117:12913041:1 gene:PRUPE_8G097200 transcript:ONH91185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCRSALMAGSRSIARSRTLSQSSLNSLNPAAMSSPFASATRTIPCATRFVSVLGSMESMMPLHSAIASARLKSNIAVDSTCWSCLSQGLASPL >ONH91178 pep chromosome:Prunus_persica_NCBIv2:G8:12912016:12915520:1 gene:PRUPE_8G097200 transcript:ONH91178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCRSALMAGSRSIARSRTLSQSSLNSLNPAAMSSPFASATRTIPCATRFVSVLGSMESMMPLHSAIASARLKSNIAVDSTCWSCLSQGLLDSS >ONH93991 pep chromosome:Prunus_persica_NCBIv2:G8:22215092:22218769:-1 gene:PRUPE_8G265200 transcript:ONH93991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDCIDAQWPPDELLVKYQYISDVFIALAYFSIPLELIYFVQKSSFFPYRWVLMQFGAFIVLCGATHFINVWTFYMHSKTVAVVMTVAKVSCAVVSCATALMLVHIIPDLLSVKTRELFLKNRAEELDREMGRMLTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLQECALWMPSRTGMNLQLSHTLNYQIQVGSTVPINLPIINEVFTSSRAIRIPYTCPLARIRPLVGRYVPPEVIALRVPLLNLSNFQINDWPDLSAKSYAIMVLILPTDSTRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELISEQRVMIETVLKSSNLLETLINDVLDLSRLEDGSLELDIRKFNLHGVFREVINLIQPITSVKKLSMSLILSPDLPLCAVGDENRLMQTILNVAGNAVKFTKEGYISIVASVAKPESSRDWRPPEFYPASTDGHFYLRVQVKDSGCGILPQDIPHLFTKFSQPRSGSNRINDGAGLGLAICRRFINTMGGHIWIESEGIDKGSIVAFIVKLGICDNPCDTTAAHQMAPQALPQPQPQPNYGSGDRIAHRPTVSFRDGGYTSSNPRYQRSI >ONH93995 pep chromosome:Prunus_persica_NCBIv2:G8:22215285:22217888:-1 gene:PRUPE_8G265200 transcript:ONH93995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDCIDAQWPPDELLVKYQYISDVFIALAYFSIPLELIYFVQKSSFFPYRWVLMQFGAFIVLCGATHFINVWTFYMHSKTVAVVMTVAKVSCAVVSCATALMLVHIIPDLLSVKTRELFLKNRAEELDREMGRMLTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLQECALWMPSRTGMNLQLSHTLNYQIQVGSTVPINLPIINEVFTSSRAIRIPYTCPLARIRPLVGRYVPPEVIALRVPLLNLSNFQINDWPDLSAKSYAIMVLILPTDSTRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELISEQRVMIETVLKSSNLLETLINDVLDLSRLEDGSLELDIRKFNLHGVFREVINLIQPITSVKKLSMSLILSPDLPLCAVGDENRLMQTILNVAGNAVKFTKEGYISIVASVAKPESSRDWRPPEFYPASTDGHFYLRVQVKDSGCGILPQDIPHLFTKFSQPRSGSNRINDGAGLGLAICRRFINTMGGHIWIESEGIDKGSIVAFIVKLGICDNPCDTTAAHQMAPQALPQPQPQPNYGSGDRIAHRPTVSFRDGGYTSSNPRYQRSI >ONH93986 pep chromosome:Prunus_persica_NCBIv2:G8:22215285:22217888:-1 gene:PRUPE_8G265200 transcript:ONH93986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDCIDAQWPPDELLVKYQWVLMQFGAFIVLCGATHFINVWTFYMHSKTVAVVMTVAKVSCAVVSCATALMLVHIIPDLLSVKTRELFLKNRAEELDREMGRMLTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLQECALWMPSRTGMNLQLSHTLNYQIQVGSTVPINLPIINEVFTSSRAIRIPYTCPLARIRPLVGRYVPPEVIALRVPLLNLSNFQINDWPDLSAKSYAIMVLILPTDSTRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELISEQRVMIETVLKSSNLLETLINDVLDLSRLEDGSLELDIRKFNLHGVFREVINLIQPITSVKKLSMSLILSPDLPLCAVGDENRLMQTILNVAGNAVKFTKEGYISIVASVAKPESSRDWRPPEFYPASTDGHFYLRVQVKDSGCGILPQDIPHLFTKFSQPRSGSNRINDGAGLGLAICRRFINTMGGHIWIESEGIDKGSIVAFIVKLGICDNPCDTTAAHQMAPQALPQPQPQPNYGSGDRIAHRPTVSFRDGGYTSSNPRYQRSI >ONH93992 pep chromosome:Prunus_persica_NCBIv2:G8:22215092:22218688:-1 gene:PRUPE_8G265200 transcript:ONH93992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDCIDAQWPPDELLVKYQYISDVFIALAYFSIPLELIYFVQKSSFFPYRWVLMQFGAFIVLCGATHFINVWTFYMHSKTVAVVMTVAKVSCAVVSCATALMLVHIIPDLLSVKTRELFLKNRAEELDREMGRMLTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLQECALWMPSRTGMNLQLSHTLNYQIQVGSTVPINLPIINEVFTSSRAIRIPYTCPLARIRPLVGRYVPPEVIALRVPLLNLSNFQINDWPDLSAKSYAIMVLILPTDSTRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELISEQRVMIETVLKSSNLLETLINDVLDLSRLEDGSLELDIRKFNLHGVFREVINLIQPITSVKKLSMSLILSPDLPLCAVGDENRLMQTILNVAGNAVKFTKEGYISIVASVAKPESSRDWRPPEFYPASTDGHFYLRVQVKDSGCGILPQDIPHLFTKFSQPRSGSNRINDGAGLGLAICRRFINTMGGHIWIESEGIDKGSIVAFIVKLGICDNPCDTTAAHQMAPQALPQPQPQPNYGSGDRIAHRPTVSFRDGGYTSSNPRYQRSI >ONH93993 pep chromosome:Prunus_persica_NCBIv2:G8:22215092:22218483:-1 gene:PRUPE_8G265200 transcript:ONH93993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDCIDAQWPPDELLVKYQYISDVFIALAYFSIPLELIYFVQKSSFFPYRWVLMQFGAFIVLCGATHFINVWTFYMHSKTVAVVMTVAKVSCAVVSCATALMLVHIIPDLLSVKTRELFLKNRAEELDREMGRMLTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLQECALWMPSRTGMNLQLSHTLNYQIQVGSTVPINLPIINEVFTSSRAIRIPYTCPLARIRPLVGRYVPPEVIALRVPLLNLSNFQINDWPDLSAKSYAIMVLILPTDSTRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELISEQRVMIETVLKSSNLLETLINDVLDLSRLEDGSLELDIRKFNLHGVFREVINLIQPITSVKKLSMSLILSPDLPLCAVGDENRLMQTILNVAGNAVKFTKEGYISIVASVAKPESSRDWRPPEFYPASTDGHFYLRVQVKDSGCGILPQDIPHLFTKFSQPRSGSNRINDGAGLGLAICRRFINTMGGHIWIESEGIDKGSIVAFIVKLGICDNPCDTTAAHQMAPQALPQPQPQPNYGSGDRIAHRPTVSFRDGGYTSSNPRYQRSI >ONH93990 pep chromosome:Prunus_persica_NCBIv2:G8:22215092:22218769:-1 gene:PRUPE_8G265200 transcript:ONH93990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDCIDAQWPPDELLVKYQYISDVFIALAYFSIPLELIYFVQKSSFFPYRWVLMQFGAFIVLCGATHFINVWTFYMHSKTVAVVMTVAKVSCAVVSCATALMLVHIIPDLLSVKTRELFLKNRAEELDREMGRMLTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLQECALWMPSRTGMNLQLSHTLNYQIQVGSTVPINLPIINEVFTSSRAIRIPYTCPLARIRPLVGRYVPPEVIALRVPLLNLSNFQINDWPDLSAKSYAIMVLILPTDSTRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELISEQRVMIETVLKSSNLLETLINDVLDLSRLEDGSLELDIRKFNLHGVFREVINLIQPITSVKKLSMSLILSPDLPLCAVGDENRLMQTILNVAGNAVKFTKEGYISIVASVAKPESSRDWRPPEFYPASTDGHFYLRVQVKDSGCGILPQDIPHLFTKFSQPRSGSNRINDGAGLGLAICRRFINTMGGHIWIESEGIDKGSIVAFIVKLGICDNPCDTTAAHQMAPQALPQPQPQPNYGSGDRIAHRPTVSFRDGGYTSSNPRYQRSI >ONH93994 pep chromosome:Prunus_persica_NCBIv2:G8:22215092:22218664:-1 gene:PRUPE_8G265200 transcript:ONH93994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDCIDAQWPPDELLVKYQYISDVFIALAYFSIPLELIYFVQKSSFFPYRWVLMQFGAFIVLCGATHFINVWTFYMHSKTVAVVMTVAKVSCAVVSCATALMLVHIIPDLLSVKTRELFLKNRAEELDREMGRMLTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLQECALWMPSRTGMNLQLSHTLNYQIQVGSTVPINLPIINEVFTSSRAIRIPYTCPLARIRPLVGRYVPPEVIALRVPLLNLSNFQINDWPDLSAKSYAIMVLILPTDSTRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELISEQRVMIETVLKSSNLLETLINDVLDLSRLEDGSLELDIRKFNLHGVFREVINLIQPITSVKKLSMSLILSPDLPLCAVGDENRLMQTILNVAGNAVKFTKEGYISIVASVAKPESSRDWRPPEFYPASTDGHFYLRVQVKDSGCGILPQDIPHLFTKFSQPRSGSNRINDGAGLGLAICRRFINTMGGHIWIESEGIDKGSIVAFIVKLGICDNPCDTTAAHQMAPQALPQPQPQPNYGSGDRIAHRPTVSFRDGGYTSSNPRYQRSI >ONH93988 pep chromosome:Prunus_persica_NCBIv2:G8:22215285:22217888:-1 gene:PRUPE_8G265200 transcript:ONH93988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDCIDAQWPPDELLVKYQYISDVFIALAYFSIPLELIYFVQKSSFFPYRWVLMQFGAFIVLCGATHFINVWTFYMHSKTVAVVMTVAKVSCAVVSCATALMLVHIIPDLLSVKTRELFLKNRAEELDREMGRMLTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLQECALWMPSRTGMNLQLSHTLNYQIQVGSTVPINLPIINEVFTSSRAIRIPYTCPLARIRPLVGRYVPPEVIALRVPLLNLSNFQINDWPDLSAKSYAIMVLILPTDSTRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELISEQRVMIETVLKSSNLLETLINDVLDLSRLEDGSLELDIRKFNLHGVFREVINLIQPITSVKKLSMSLILSPDLPLCAVGDENRLMQTILNVAGNAVKFTKEGYISIVASVAKPESSRDWRPPEFYPASTDGHFYLRVQVKDSGCGILPQDIPHLFTKFSQPRSGSNRINDGAGLGLAICRRFINTMGGHIWIESEGIDKGSIVAFIVKLGICDNPCDTTAAHQMAPQALPQPQPQPNYGSGDRIAHRPTVSFRDGGYTSSNPRYQRSI >ONH93989 pep chromosome:Prunus_persica_NCBIv2:G8:22215078:22218189:-1 gene:PRUPE_8G265200 transcript:ONH93989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDCIDAQWPPDELLVKYQYISDVFIALAYFSIPLELIYFVQKSSFFPYRWVLMQFGAFIVLCGATHFINVWTFYMHSKTVAVVMTVAKVSCAVVSCATALMLVHIIPDLLSVKTRELFLKNRAEELDREMGRMLTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLQECALWMPSRTGMNLQLSHTLNYQIQVGSTVPINLPIINEVFTSSRAIRIPYTCPLARIRPLVGRYVPPEVIALRVPLLNLSNFQINDWPDLSAKSYAIMVLILPTDSTRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELISEQRVMIETVLKSSNLLETLINDVLDLSRLEDGSLELDIRKFNLHGVFREVINLIQPITSVKKLSMSLILSPDLPLCAVGDENRLMQTILNVAGNAVKFTKEGYISIVASVAKPESSRDWRPPEFYPASTDGHFYLRVQVKDSGCGILPQDIPHLFTKFSQPRSGSNRINDGAGLGLAICRRFINTMGGHIWIESEGIDKGSIVAFIVKLGICDNPCDTTAAHQMAPQALPQPQPQPNYGSGDRIAHRPTVSFRDGGYTSSNPRYQRSI >ONH93987 pep chromosome:Prunus_persica_NCBIv2:G8:22215092:22218568:-1 gene:PRUPE_8G265200 transcript:ONH93987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDCIDAQWPPDELLVKYQWVLMQFGAFIVLCGATHFINVWTFYMHSKTVAVVMTVAKVSCAVVSCATALMLVHIIPDLLSVKTRELFLKNRAEELDREMGRMLTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLQECALWMPSRTGMNLQLSHTLNYQIQVGSTVPINLPIINEVFTSSRAIRIPYTCPLARIRPLVGRYVPPEVIALRVPLLNLSNFQINDWPDLSAKSYAIMVLILPTDSTRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELISEQRVMIETVLKSSNLLETLINDVLDLSRLEDGSLELDIRKFNLHGVFREVINLIQPITSVKKLSMSLILSPDLPLCAVGDENRLMQTILNVAGNAVKFTKEGYISIVASVAKPESSRDWRPPEFYPASTDGHFYLRVQVKDSGCGILPQDIPHLFTKFSQPRSGSNRINDGAGLGLAICRRFINTMGGHIWIESEGIDKGSIVAFIVKLGICDNPCDTTAAHQMAPQALPQPQPQPNYGSGDRIAHRPTVSFRDGGYTSSNPRYQRSI >ONH93996 pep chromosome:Prunus_persica_NCBIv2:G8:22215690:22218460:-1 gene:PRUPE_8G265200 transcript:ONH93996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCDCIDAQWPPDELLVKYQYISDVFIALAYFSIPLELIYFVQKSSFFPYRWVLMQFGAFIVLCGATHFINVWTFYMHSKTVAVVMTVAKVSCAVVSCATALMLVHIIPDLLSVKTRELFLKNRAEELDREMGRMLTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLQECALWMPSRTGMNLQLSHTLNYQIQVGSTVPINLPIINEVFTSSRAIRIPYTCPLARIRPLVGRYVPPEVIALRVPLLNLSNFQINDWPDLSAKSYAIMVLILPTDSTRKWRDHELELVDVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETELISEQRVMIETVLKSSNLLETLINDVLDLSRLEDGSLELDIRKFNLHGVFREVINLIQPITSVKKLSMSLILSPDLPLCAVGDENRLMQTILNVAGNAVKFTKEGYISIVASVAKPESSRDWRPPEFYPASTDGHFYLRVQVKDSGCGILPQDIPHLFTKFSQPRSGSNRINDGAGLGLAICRR >ONH93414 pep chromosome:Prunus_persica_NCBIv2:G8:20580715:20583450:-1 gene:PRUPE_8G230800 transcript:ONH93414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYITSWDEFVDRSVQLFRADPESTRYVMKYRHCDGKLVLKVTDNKECLKFKTDQAQDAKKMEKLNNVFFTLMSRGPEADPAEVTGKDQMDAQPTKKGRGRKQ >ONH89838 pep chromosome:Prunus_persica_NCBIv2:G8:1798644:1803975:-1 gene:PRUPE_8G019500 transcript:ONH89838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSPSPVEPRHRLSGSANEDANKRRSQRNKVLKDIEKALHVHATDRILNCKPTLKLVLIILVFGTFVTLFLSSAVYNTDHLSTSVSQLTYQHIWTKKSAAADLRYVSSLNINWNEISGFIENLTDRKDNLGIGLLNFDHNEIDHWKELLPDCEHVVLNLNYAANNITWESLYPEWIDEEQESEVPTCPSLPKLQIPGKPRLDLVAVKLPCNKSGSWSRDVARLHLQLEAARLAASSKGYHPVRVLLVTDCFPIPNLFTCKDLVRREGNTWLYEPNPNTLRDKLQLPVGSCELAVPLKAKEHVNSQRAHREAYATILHSAHVYVCGAIAAAQSIRMAGSTRDLVILVDETITEYHRGGLEAAGWKIHTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADMLILRNIDFLFQMPEISATGNNASLFNSGVMVVEPSNGTFQLLMDHVNEIVSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDELEIKQMKTRLFEADPPILYVLHYLGNKPWLCFRDYDCNWNVDFMQEFASDVAHKRWWKVHDAMPQNLQNFCLLRTEQKAGLEWDRRQAEKANYTDGHWKIKIKDNRLKTCSEEFCSWKNMLRHWGEKNWTDNAIITPSLPALTTASVSSL >ONH89839 pep chromosome:Prunus_persica_NCBIv2:G8:1798644:1804248:-1 gene:PRUPE_8G019500 transcript:ONH89839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSPSPVEPRHRLSGSANEDANKRRSQRNKVLKDIEKALHVHATDRILNCKPTLKLVLIILVFGTFVTLFLSSAVYNTDHLSTSVSQLTYQHIWTKKSAAADLRYVSSLNINWNEISGFIENLTDRKDNLGIGLLNFDHNEIDHWKELLPDCEHVVLNLNYAANNITWESLYPEWIDEEQESEVPTCPSLPKLQIPGKPRLDLVAVKLPCNKSGSWSRDVARLHLQLEAARLAASSKGYHPVRVLLVTDCFPIPNLFTCKDLVRREGNTWLYEPNPNTLRDKLQLPVGSCELAVPLKAKEHVNSQRAHREAYATILHSAHVYVCGAIAAAQSIRMAGSTRDLVILVDETITEYHRGGLEAAGWKIHTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADMLILRNIDFLFQMPEISATGNNASLFNSGVMVVEPSNGTFQLLMDHVNEIVSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDELEIKQMKTRLFEADPPILYVLHYLGNKPWLCFRDYDCNWNVDFMQEFASDVAHKRWWKVHDAMPQNLQNFCLLRTEQKAGLEWDRRQAEKANYTDGHWKIKIKDNRLKTCSEEFCSWKNMLRHWGEKNWTDNAIITPSLPALTTASVSSL >ONH92672 pep chromosome:Prunus_persica_NCBIv2:G8:18469200:18470403:-1 gene:PRUPE_8G188500 transcript:ONH92672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAAGAPETSAERDLNAVAEPYVGMEFDSEDAAKDFYSEYARHVGFIMRIDQCRRSDVDKRILSRRLSCNKQGRYVKPRDQVRPVRRPRPSTREGCKAMMLVKVNKSGKWVVTRVVKDHTHPLIVSSGISMDERDMKIEQLTMELEREDQLCELYHGLLLTLLDNVEEQTELISMKVSGVVNDVREFETEVERLPQNELDDN >ONH93388 pep chromosome:Prunus_persica_NCBIv2:G8:20516665:20517269:-1 gene:PRUPE_8G229400 transcript:ONH93388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQTEKTETDESVSEGERRMASAYCPGKSSWPEIVGEDGEVAAAKIEQEKPDVHAIVIPEGTIITQELRCNRVRVWVDENGVVTTVPRVG >ONH92233 pep chromosome:Prunus_persica_NCBIv2:G8:17136032:17136697:1 gene:PRUPE_8G164500 transcript:ONH92233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCITSQVTKFNQFNQTPNWGFGINCKWFLPQDPSQMSKLAKLASASKAPQSSEEVLWYCIVEQEPDLTQYQLNQF >ONH93066 pep chromosome:Prunus_persica_NCBIv2:G8:19506345:19507500:1 gene:PRUPE_8G210700 transcript:ONH93066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKLLGAWPSPYVYRVIWALKLKGVEYEYVEEDVLFNKSDQLLKYNPVHKKVPVLVHDGKPIAESIVILEYIEEAWPQNPLLPKDHHQRAEARFWAKFGEEKNRAFLGFFFVTGEEQVKAIKEAQESLGILEEHGLGNKKFFGGDEIGLADLAFGWIAWWLEVLEEAAGVKVLEDDSFPQLKAWMQSFKEFPTIKESLPDRSAMLTYFKGRRATFVASAADA >ONH92347 pep chromosome:Prunus_persica_NCBIv2:G8:17447466:17454005:1 gene:PRUPE_8G169700 transcript:ONH92347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEGSINCLTLAYVADTVSERERVPAFGILAGFLSASFVCGTLAARFLSTASTFQVAAFASMLATIYMRVFLKESLPGRDGTLRQPILKGGSDAIEEDGYSPETIEVFKKVPSAGDLICLLRSSKTLSQAAIVSFFQSLAEGGLQASLMYFLKARFHFNKNQFADLMLIVGISGTVSQLLFMPMLAPVIGEEKLLSIGLLVGSFNMFLNSISWAVWVPYAATLFSAFGFLVQPSIRSIASKQVGPNEQGKAQGCISGISSFANIVSPLIFSPLTALFLSEEAPFYFPGFSIMCIGLAMIIAFVQSTMIRASPISSHKISSIISVDST >ONH92346 pep chromosome:Prunus_persica_NCBIv2:G8:17447453:17453698:1 gene:PRUPE_8G169700 transcript:ONH92346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGIGGLRHLYMTVFLSGFASLMVIPAITDITMLALCPGQDECSLAIYLSGFQQAIIGLGTVVMTPLIGNLSDVYGRKSLLTVPLALSIIPLVILAYSRETNFFYAYFALRTVTAMVCEGSINCLTLAYVADTVSERERVPAFGILAGFLSASFVCGTLAARFLSTASTFQVAAFASMLATIYMRVFLKESLPGRDGTLRQPILKGGSDAIEEDGYSPETIEVFKKVPSAGDLICLLRSSKTLSQAAIVSFFQSLAEGGLQASLMYFLKARFHFNKNQFADLMLIVGISGTVSQLLFMPMLAPVIGEEKLLSIGLLVGSFNMFLNSISWAVWVPYAATLFSAFGFLVQPSIRSIASKQVGPNEQGKAQGCISGISSFANIVSPLIFSPLTALFLSEEAPFYFPGFSIMCIGLAMIIAFVQSTMIRASPISSHKISSIISVDST >ONH92345 pep chromosome:Prunus_persica_NCBIv2:G8:17447466:17454005:1 gene:PRUPE_8G169700 transcript:ONH92345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGIGGLRHLYMTVFLSGFASLMVIPAITDITMLALCPGQDECSLAIYLSGFQQAIIGLGTVVMTPLIGNLSDVYGRKSLLTVPLALSIIPLVILAYSRETNFFYAYFALRTVTAMVCEGSINCLTLAYVADTVSERERVPAFGILAGFLSASFVCGTLAARFLSTASTFQVAAFASMLATIYMRVFLKESLPGRDGTLRQPILKGGSDAIEEDGYSPETIEVFKKVPSAGDLICLLRSSKTLSQAAIVSFFQSLAEGGLQASLMYFLKARFHFNKNQFADLMLIVGISGTVSQLLFMPMLAPVIGEEKLLSIGLLVGSFNMFLNSISWAVWVPYAATLFSAFGFLVQPSIRSIASKQVGPNEQGKAQGCISGISSFANIVSPLIFSPLTALFLSEEAPFYFPGFSIMCIGLAMIIAFVQSTMIRASPISSHKISSIISVDST >ONH91749 pep chromosome:Prunus_persica_NCBIv2:G8:15559337:15560657:1 gene:PRUPE_8G134900 transcript:ONH91749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRESAMDSSAQAQRELDRVKGPWSPDEDEKLRQLVQHHGARNWSLISKSVPGRSGKSCRLRWCNQLSPEVEHRAFTPEEDEIIAGAHAKYGNKWATIARLLNGRTDNAIKNHWNSTLKRKFSAISGNDDGPTIDDNHFRPEKKTATAAVSFSGLCYSPGSPSGSDVSDSGAPAISSSHVFRPVARTGGVLTRESPPSQNDRKNEAHEPSTLLTLSLPGVDDTPSHELPVLESAARRVEGTASLQPETSNAGNTLSFGTEFLSVMQEMIRTEVRSYMEGMCLHSEGVRNAGVKRIAISKLD >ONH93216 pep chromosome:Prunus_persica_NCBIv2:G8:20000118:20006012:-1 gene:PRUPE_8G219900 transcript:ONH93216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTWCTLSTDWKSPNTFVSCCLPLKLCSHLKELNFSSSVFFLSTCDFPSKFSQAKHSHRNTMVEQDQKPKKVSMSAGFYKRPLPSPPAIEFASSHGKQLFLEAIHNGTMENFYRLISNFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLETVKARGISFGKLVCLAHCAGAKVEAFRTNHSTIEEFRKYVMRCSSSDDCHVISSYDRSVLKQTGTGHFSPIGGYHAGNDMALILDVARFKYPPHWVPLKILWDAMNNVDLSTGQRRGFMLISRPHREPGQLYTLSCKHESWVGIAKYLMDDVPLLLKSEEVEDIQEVLSVVFMSLPSNFGQFIKWVAEVRRREDGDQSLSPEEKARLALKEEVLRQVQETGLFKHVAELLSLANSCCRNLISGHEENLPNIAASVCCQGAQILSGKSASSEMYCCQETCVKCLKANGEKPVTVVSGTVVNGETEERMDMLVPSSRKNSGCSCGGSINHIGMHPASSDVLTALLLSLPPETWSGIKEEKLLKEINNLVSTNNLPTLLQEEVLHLRRQLQLLKKCQEDRVDEDLGAPFP >ONH93218 pep chromosome:Prunus_persica_NCBIv2:G8:20000118:20004004:-1 gene:PRUPE_8G219900 transcript:ONH93218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFYRLISNFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLETVKARGISFGKLVCLAHCAGAKVEAFRTNHSTIEEFRKYVMRCSSSDDCHVISSYDRSVLKQTGTGHFSPIGGYHAGNDMALILDVARFKYPPHWVPLKILWDAMNNVDLSTGQRRGFMLISRPHREPGQLYTLSCKHESWVGIAKYLMDDVPLLLKSEEVEDIQEVLSVVFMSLPSNFGQFIKWVAEVRRREDGDQSLSPEEKARLALKEEVLRQVQETGLFKHVAELLSLANSCCRNLISGHEENLPNIAASVCCQGAQILSGKSASSEMYCCQETCVKCLKANGEKPVTVVSGTVVNGETEERMDMLVPSSRKNSGCSCGGSINHIGMHPASSDVLTALLLSLPPETWSGIKEEKLLKEINNLVSTNNLPTLLQEEVLHLRRQLQLLKKCQEDRVDEDLGAPFP >ONH93217 pep chromosome:Prunus_persica_NCBIv2:G8:20000099:20004890:-1 gene:PRUPE_8G219900 transcript:ONH93217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGLYRRILPSPPAVEFASLQGKQLFLEAIHNGTMENFYRLISNFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLETVKARGISFGKLVCLAHCAGAKVEAFRTNHSTIEEFRKYVMRCSSSDDCHVISSYDRSVLKQTGTGHFSPIGGYHAGNDMALILDVARFKYPPHWVPLKILWDAMNNVDLSTGQRRGFMLISRPHREPGQLYTLSCKHESWVGIAKYLMDDVPLLLKSEEVEDIQEVLSVVFMSLPSNFGQFIKWVAEVRRREDGDQSLSPEEKARLALKEEVLRQVQETGLFKHVAELLSLANSCCRNLISGHEENLPNIAASVCCQGAQILSGKSASSEMYCCQETCVKCLKANGEKPVTVVSGTVVNGETEERMDMLVPSSRKNSGCSCGGSINHIGMHPASSDVLTALLLSLPPETWSGIKEEKLLKEINNLVSTNNLPTLLQEEVLHLRRQLQLLKKCQEDRVDEDLGAPFP >ONH90515 pep chromosome:Prunus_persica_NCBIv2:G8:7391083:7392489:1 gene:PRUPE_8G058500 transcript:ONH90515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDETMNAGSQNWYIFTGFLQRPYMPGCIFAWRGTWTVAYEIKPFLPFLFLKKISALLSHFLLRATQSERKQSKEKHSSTTAGREPKPNSSSAVPRTHLRLLEGIPSARTSLPKFPISTFFVRLKPAHETRDCPLPSLFFNLLRDSEL >ONH89824 pep chromosome:Prunus_persica_NCBIv2:G8:1708691:1711130:1 gene:PRUPE_8G018400 transcript:ONH89824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDLLRINTDRGTMLSDGKSRFSKDGKPIYHFLGTSTFSEYTVCHVGSVAKINPAAPLEKVCVLSCGICTGFGATVNVAKPKKGSSVAIFGLGAVGLAAAEGARVSGAARIIGVDLNSNRFEEAKKFGVNEFVNPKDHNKPVQEVIAEMTDGGVDRSVECTGSVQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNFLNERTLKGTFYGNYKPRSDLPGVVEMYMNKELEVDKFITHSVPFAEINKAFDLMLSGQSIRCIIRMED >ONH89822 pep chromosome:Prunus_persica_NCBIv2:G8:1708052:1711130:1 gene:PRUPE_8G018400 transcript:ONH89822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIKCRAAVAWEAGKPLSIEEVEVAPPQKEEVRLKILFTSLCHTDVYFWEAKGQHPLFPRIYGHEAGGIVESVGEGVTDLQPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGTMLSDGKSRFSKDGKPIYHFLGTSTFSEYTVCHVGSVAKINPAAPLEKVCVLSCGICTGFGATVNVAKPKKGSSVAIFGLGAVGLAAAEGARVSGAARIIGVDLNSNRFEEAKKFGVNEFVNPKDHNKPVQEVIAEMTDGGVDRSVECTGSVQAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNFLNERTLKGTFYGNYKPRSDLPGVVEMYMNKELEVDKFITHSVPFAEINKAFDLMLSGQSIRCIIRMED >ONH89823 pep chromosome:Prunus_persica_NCBIv2:G8:1708052:1710160:1 gene:PRUPE_8G018400 transcript:ONH89823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIKCRAAVAWEAGKPLSIEEVEVAPPQKEEVRLKILFTSLCHTDVYFWEAKGQHPLFPRIYGHEAGGIVESVGEGVTDLQPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGTMLSDGKSRFSKDGKPIYHFLGTSTFSEYTVCHVGSVAKINPAAPLEKVCVLSCGICTGFGATVNVAKPKKGSSVAIFGLGAVGLAAAEGARVSGAARIIGVDLNSNRFEEAKKFGVNEFVNPKDHNKPVQEVSYRLFYPFTC >ONH93525 pep chromosome:Prunus_persica_NCBIv2:G8:20853465:20855472:1 gene:PRUPE_8G235700 transcript:ONH93525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNQAYRKTDVEAGVGPSPLYPMMLESPELRWSFIRKIYSIIAIQLLVTIAVAATVVSYRPVAHFFVSTSAGLALYIVLIILPFIVLCPLYYYHQKHPVNYLLLGVFTISLAFIVGLTCAFTSGKVILESVILTAVVVLGLTLYTFWAAKRGHDFNFLGPFLSGAILVLIVFALIQVFFPLGKISVMIYGCLASIIFCGYIVYDTDNLIKRYSYDEYIWAAVSLYLDIINLFLALLTVFRAADS >ONH90167 pep chromosome:Prunus_persica_NCBIv2:G8:3817868:3819401:1 gene:PRUPE_8G038500 transcript:ONH90167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMINVNVDSGHQLMSTALVVQSLDSVPMEGVVMGVKTEVSEERKLYSQENQPPFSRKNAMISRIRSNTACRNYHIGSGSMPNKRSKQYSNGKVKHGAQKQVDAKSFASLLASREAQEEILKYECMKNDAATHLESLYNEIRPAIEEHERDSQDSVATSVAEKWIEACCLKLKAEFDLYSSIVKSIACTPRRGQAEPYDGNNENEIKYLQN >ONH90166 pep chromosome:Prunus_persica_NCBIv2:G8:3816839:3819401:1 gene:PRUPE_8G038500 transcript:ONH90166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVNGSLRIQSYGWLLMDLHTKYSVEKIPLYDSAEDEPSSRLFKTVNSSSSEFDVDGMMINVNVDSGHQLMSTALVVQSLDSVPMEGVVMGVKTEVSEERKLYSQENQPPFSRKNAMISRIRSNTACRNYHIGSGSMPNKRSKQYSNGKVKHGAQKQVDAKSFASLLASREAQEEILKYECMKNDAATHLESLYNEIRPAIEEHERDSQDSVATSVAEKWIEACCLKLKAEFDLYSSIVKSIACTPRRGQAEPYDGNNENEIKYLQN >ONH89995 pep chromosome:Prunus_persica_NCBIv2:G8:2596373:2599746:1 gene:PRUPE_8G028700 transcript:ONH89995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDSSVLGSAISRDATTTAAVAVPEGGGGGGGSNSGEDTFRGGGGGDDLLGSGERSFGGNRWPRQETLALLQIRSDMDVAFRDASVKGPLWDEVSRKLAALGYHRSAKKCKEKFENVYKYHRRTKEGRTGKSEGKTYRFFDQLEALENQPQTPGTTHHHQAKPHHQSTMAAAAATMATMASTIPSSAAPHPIISNVSSQAIAAPTLNLAAPNSFPPTNPIVLPPPPPTTTNPTNNPHHHHHNTFSSSFPNISADLSTSSSTSSDEDLEGRAKRKRKWKDFFQRLMKEVIQKQEDLQKRFLEAIEKREHEKMVREEAWRMQEMARVNREREILAQERSIAAAKDAAVMSFLQKISEQQQNPNQTPPPGQSQINNNLLQLQPPPLVPPPPRQPAPQPQPQPQQPVTNFDLVTKPNNNGENNNLSSPASSSRWPKVEVQALIKLRTSLDSKYQENGPKGPLWEEISGAMRKLGYNRSSKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDSLYRERNKFDHNNVNPKPENSVPLMVRPEQQWPPPTPQQQQQQDHQNMDIVHHHHDHQNQEDDHHDHQDKENIIGGDEDEEEEDDDDEEDEEEDEGDGNYEIVANKPAPVGAAAAE >ONH89970 pep chromosome:Prunus_persica_NCBIv2:G8:2458122:2460940:1 gene:PRUPE_8G027000 transcript:ONH89970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRCLCNKKVLLILDDVDQSDQLEMLIREKDYFGLGSRIIITTRDERLLVEHGIEKVYKVMPLTQDEALYLFSKKAFQKDDLEEDYLELSKSFINYAGGLPLALKTLGSFLYKRSRDEWKSALDKLKQAPDRKNFQMLKISYDGLEEMQKKIFLDVACFHKSYDKETVIKILDSCGFVGTRIVIHKWHGRLFVKSFDEPGGRSRLWLHSDIIHVLTYNTGTEAIEGIVLCLQKFEAAHWNPEAFTKTCKLKLLKINNLRLSLGPKYLPNSLRFLEWSWYPSKCLPPRFQPDELAELSLQHSKIDHLWNGIKFMIKLKSIDLSYSENLTRTPDFTGTQNLERLVFEGCTNIVKIHPSIAPLKRLRVLNFKNCKRIKSLPSEVELESLETFDLSGCSKVKKIPEFVGEMKNFSKLSLSFTAVEQMPSSIVHLIPSLKEIDVSGISMRDASSPLVPVKNIELPKSWHSFFTFSLLPRMNLHPVGLVLASLKDLHFLKCLNLNDCNLCEGAIPEDIGLLSLLEILNLGGNHFVSLPASISGLSKLRWFILKNCKRLQKLPSLPSNRRLEFIVQMDNCTYLKIFPYQPWMCSAKDVFITSFNCFSLVDHEGSSFIIYLTLKKFLQESPRSLSLFSIIIPGSEIPEWFSNQTVGDPLIETLPSDSNSKWVGFAFCALVGPVQEISPTAEELIGLERHSIYFRCLFDLNTPACPGCCIGVDDVASDHLWLLLMSRQDVFLEPNGRPGTYWREKCDNKIRFLFYARSNLGEKSVVKVKKCGVRALYEQDAEELNRTMKQYSNKKNSFYEDVTDYDFDKSEWYKVP >ONH93696 pep chromosome:Prunus_persica_NCBIv2:G8:21430709:21444463:1 gene:PRUPE_8G248000 transcript:ONH93696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFLGGFVLPLLLLTAAFINWSLLSLVDLVAFLLILFNAPKIGFHFGRRLLLLWLIVIFSLFVIFSQVIYLVIWAIEGNKWIGAGAWWANLIGFMILQSWKSPSVLYFLLLQLSVVAVALVDLYGNRFGLVSSCDSCWGRFSSAVERLICSHLRVASCLLLPAIQLVVGISHPSWVSLPFFIGSCVGLVDWSLTSNFLGLFRWWKPFHLYAGFNIVLLYVYQLPVEFSDMLQWIADFIGLFKITLHSDWTEVCSSLSLLLFYIMLSCVKCDLEEMDFILSMKENNLTEQLLPSKHSFFIRESRSGVRHTNVLLTGAVFRTFSINFFTYGFPVSLFALSFWSFHFASVCAFGLLAYVGYIIYAFPSLFRLHRLNGLLLVFILLWAVSTYIFNVAFAFLNWKIGKNTDIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLCLSDEDARFSNDNSTVEGEGETKVLIVATIAWGLRKSSRAIMLLLIFLIAMKPGFIHAVYVIFFLIYLLSHNISRKIRQSLILLCEVHFALLYIIQINPISDTLERKGSLSAEVLSQLGLLQHESSWDFLKIALLACFCAIHNHGFEMLFSFSAIVQHTSSRPVGFSILKAGLNKSVLLSVYASSAIKYSHDNPSYERRIALFLSAIGQKFLSVYRSCGTYIAFLTILLTVYLVRPNYVSFGYIFLLLTWIIGRQLVERTKKRLWFPLKAYAIVVFIFIYSLSSFRSIEVWLSRLIDLYFYLGYDSEASSLENVWESLAVLIVMQLYSYERRQSRYNKSDDADVLEFGVLGFIKRFVVWHSNKILFIAVFYASLSPISTFGFLYLLGLVICSTFPKASRIPSKLFLVYTGFLVTAEYLFQMWGRQAAMFPGQKHSNISLLLGFRVFKPGFWGLEFGLRGKVLVIAACTLQYNVFRWLEKMPSTILNKGKWEEPCPLFVSAEDANINSSIPSEENKQSTDSEALSVKREGARSHSWPFFSPGLSESHNPMSPRAGGSEGSSSNKYSFGYIWGSTKESHKWNKKRILTLRKERFETQKLISKIYLKFWMENMFNLFGLEINMIALLLASFALLNAISLVYIALLATCIILNRHIIRKIWPILVFLFASILILEYFAIWKSMWPSNHPDETNARCHDCWKISTMYFSYCKYCWLGLIVDDPRMLISYFAVFMFACFKLRADHLSGFSVSSTYRQMISQRKNIFVWRDLSFETKSMWTFFDYLRLYCYCHLLDLVLALVLITGTIEYDILHLGYLAFALVFFRVRLEILKKRNKIFKFLRIYNFALIVLSLAYQSPFVGEFCAGKCETVDYIFEMIGLYKYDYGFRITARSALVEIVIFMVVSLQSYMFSSQEFDNVSRYLEAEQIGAIVREQEKKAAWKTAQLKHIRESEEKKHQRNLQVEKMKSEMLNLQIQLHSMNSVTNCGDSPPVSEGLRRRRSTSLNSNNDAGTPDKEGLPMKKEQILKEDSLYPYELHQSPATVNMENPTVVESMKDSMESFHCEITEVEDVTDGVLFYSSEKKEKVKGQAKESPLISAVHLIGDGVSQVQSIGNQAVNNLVSFLNIEQESDINEHSSVEDGVYDEMESQNTKYMCFNRSSSLQSDTSSDPTSLQLGRIFRHIWSQMRSNNDIVCYCCFVIVFLWNFSLLSMVYLAALFLYALCVNSGPSYIFWVIMLIYTEVYILLQYLYQIIIQHWGLSVASDLLREWGFPAHKITSSFVVSSLPLFLVYLFTLIQSSITAKDGEWMSSTDFDFYRRSAFHGKEVPVSYSWSEKTKELLHIMGNAIKLIIRSFFRYWESLTQGADSPPYFIQVSMDVRSWPDDGIQPERIESGVNQLLRIIHDERCKQKTPTPCPFASRVHVQSIERSQENANVALVVFEVVYASPITECASVEWYNSLTPAADVAKEILQAQNAGFVEEIGFPYPILSVIGGGKRDVDLYAYVFGADLTVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEFVFILMIIFFLIVLDRIIYLCSFATGKVIFYLFNLILFTYSVTEYAWHMEPSHQHAGGLALRAIFLAKAVSLALQAIQLRHGIPHKSTLYRQFLTSEISRINYLGYRLYRALPFLYELRCALDWSCTTTSLTMYDWLKLEDIHASLYLVKCDAVLNRAKHKQGEKQTKMTKCCNGICLFFILICVIWAPMLMYSSGNPTNIENPIKDASVQVDIKTASGRLSLYQTTLCKKLQWDKLNSDVNLDPKGYLDTYNQKDVQLICCEADASTLWLIPNVVQTRFIQSLDWDTHMDISFTWVLSRGRPKGKEVVKYERSVDPQDLPKQSDVQKVLNGSINSFRIYNVYSRYFRVTGSGDVRPLELEDNFVSADLVINRANYIWWSFHDINSSDVNGCGGLRGPMAIIVSEETPPEGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >ONH93697 pep chromosome:Prunus_persica_NCBIv2:G8:21434234:21444445:1 gene:PRUPE_8G248000 transcript:ONH93697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFILSMKENNLTEQLLPSKHSFFIRESRSGVRHTNVLLTGAVFRTFSINFFTYGFPVSLFALSFWSFHFASVCAFGLLAYVGYIIYAFPSLFRLHRLNGLLLVFILLWAVSTYIFNVAFAFLNWKIGKNTDIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLCLSDEDARFSNDNSTVEGEGETKVLIVATIAWGLRKSSRAIMLLLIFLIAMKPGFIHAVYVIFFLIYLLSHNISRKIRQSLILLCEVHFALLYIIQINPISDTLERKGSLSAEVLSQLGLLQHESSWDFLKIALLACFCAIHNHGFEMLFSFSAIVQHTSSRPVGFSILKAGLNKSVLLSVYASSAIKYSHDNPSYERRIALFLSAIGQKFLSVYRSCGTYIAFLTILLTVYLVRPNYVSFGYIFLLLTWIIGRQLVERTKKRLWFPLKAYAIVVFIFIYSLSSFRSIEVWLSRLIDLYFYLGYDSEASSLENVWESLAVLIVMQLYSYERRQSRYNKSDDADVLEFGVLGFIKRFVVWHSNKILFIAVFYASLSPISTFGFLYLLGLVICSTFPKASRIPSKLFLVYTGFLVTAEYLFQMWGRQAAMFPGQKHSNISLLLGFRVFKPGFWGLEFGLRGKVLVIAACTLQYNVFRWLEKMPSTILNKGKWEEPCPLFVSAEDANINSSIPSEENKQSTDSEALSVKREGARSHSWPFFSPGLSESHNPMSPRAGGSEGSSSNKYSFGYIWGSTKESHKWNKKRILTLRKERFETQKLISKIYLKFWMENMFNLFGLEINMIALLLASFALLNAISLVYIALLATCIILNRHIIRKIWPILVFLFASILILEYFAIWKSMWPSNHPDETNARCHDCWKISTMYFSYCKYCWLGLIVDDPRMLISYFAVFMFACFKLRADHLSGFSVSSTYRQMISQRKNIFVWRDLSFETKSMWTFFDYLRLYCYCHLLDLVLALVLITGTIEYDILHLGYLAFALVFFRVRLEILKKRNKIFKFLRIYNFALIVLSLAYQSPFVGEFCAGKCETVDYIFEMIGLYKYDYGFRITARSALVEIVIFMVVSLQSYMFSSQEFDNVSRYLEAEQIGAIVREQEKKAAWKTAQLKHIRESEEKKHQRNLQVEKMKSEMLNLQIQLHSMNSVTNCGDSPPVSEGLRRRRSTSLNSNNDAGTPDKEGLPMKKEQILKEDSLYPYELHQSPATVNMENPTVVESMKDSMESFHCEITEVEDVTDGVLFYSSEKKEKVKGQAKESPLISAVHLIGDGVSQVQSIGNQAVNNLVSFLNIEQESDINEHSSVEDGVYDEMESQNTKYMCFNRSSSLQSDTSSDPTSLQLGRIFRHIWSQMRSNNDIVCYCCFVIVFLWNFSLLSMVYLAALFLYALCVNSGPSYIFWVIMLIYTEVYILLQYLYQIIIQHWGLSVASDLLREWGFPAHKITSSFVVSSLPLFLVYLFTLIQSSITAKDGEWMSSTDFDFYRRSAFHGKEVPVSYSWSEKTKELLHIMGNAIKLIIRSFFRYWESLTQGADSPPYFIQVSMDVRSWPDDGIQPERIESGVNQLLRIIHDERCKQKTPTPCPFASRVHVQSIERSQENANVALVVFEVVYASPITECASVEWYNSLTPAADVAKEILQAQNAGFVEEIGFPYPILSVIGGGKRDVDLYAYVFGADLTVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEFVFILMIIFFLIVLDRIIYLCSFATGKVIFYLFNLILFTYSVTEYAWHMEPSHQHAGGLALRAIFLAKAVSLALQAIQLRHGIPHKSTLYRQFLTSEISRINYLGYRLYRALPFLYELRCALDWSCTTTSLTMYDWLKLEDIHASLYLVKCDAVLNRAKHKQGEKQTKMTKCCNGICLFFILICVIWAPMLMYSSGNPTNIENPIKDASVQVDIKTASGRLSLYQTTLCKKLQWDKLNSDVNLDPKGYLDTYNQKDVQLICCEADASTLWLIPNVVQTRFIQSLDWDTHMDISFTWVLSRGRPKGKEVVKYERSVDPQDLPKQSDVQKVLNGSINSFRIYNVYSRYFRVTGSGDVRPLELEDNFVSADLVINRANYIWWSFHDINSSDVNGCGGLRGPMAIIVSEETPPEGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >ONH89883 pep chromosome:Prunus_persica_NCBIv2:G8:1975851:1978752:1 gene:PRUPE_8G021800 transcript:ONH89883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLGGMVLTLSSFLCLLLVLVLIKTLHKLWWTPTRIQKQMGLQGIQGPPYRLIHGNNKEISQMMKEAMARPKSISHDTLPLVQPHIHYWTKIYGKNYLQWHGSQAQLVITEPELCKQILNNKDGAYPKKEVQNFVKKLLGDGLVSTTKAEKWEKLRKLATLSFHGESLKSMIPAMVASTETMLGRWKNYEGKEIDVLQEFRLLTSEVISRTAFGSSYIEGKHIFEMLTKLGFIIYKNYLAIRVPVISKFFKTSDEIESEKLEKGIRDSIIEIIKKREEKAMTGVEDRFGSDYLGLLVKAQHDANDSQRISVDEVVDDCKTFYMSGQETTTTLLSWTVLLLAIHTDWQEKARKEVIQLFGKETPHPDGISKLKTISMIINESLRLYPPPVSIIRKVEKEVRLGKLTLPPNLDLVISTIAIHHDPEIWGQDVHLFKPERFLEGVAKATNNNIGAFIPFGLGPRTCVGLNFGSTEAKIALSMILQRYSFTLSPGYVHFPLHYLTVRPQLGVQVILHSL >ONH89631 pep chromosome:Prunus_persica_NCBIv2:G8:455000:460822:1 gene:PRUPE_8G005600 transcript:ONH89631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQADSSSSSSSSSPTPLWTYDVFLSFRGTDTRTGFTDHLYAALNRKGIITFRDDEKLKKGKSISELFNAIEESRYVVAVISSNYADSTWCLEELAKAVECKELMGQTLIPIFYHVHPSEVGNQTGSFEIAFSKHEQGFKGNLEKVKRWRAALSQVAGLSRYHLHNGYESELIQTVVRNISTELYQTMPSAFTGLVGVDSRVKEMLSYLEIGLNKVRTIGIWGMGGMGKTTIAHVVSERIRTQFEAYSFLSNVREVTEKQGVVHLQKKLLSDILLESSVSIHNTYTGISIIRRRLCTKKVLIILDDVDRLEQLRALSGHNWFGPGSRIIITSRDKRVLIEHGVDKICQVKPLTNNEALQLFNWKSFRSDQVGEEFLELSKSFVKYANGLPLAIENLGTSLFQRSLEEWPGALFRLKERPDDITFDVLKVSFDGLQEIEKKIFLDIAFFFKGEDKYRVTRILESCYGHCPVIHIKVLMDKCLLTPFGRKLWMHDLIQKLGWEIVRQEHSEAGKHSRLWLPNDINPVLVNNTGMTVVQGVFLNFQKNEDINLSVNDPFSEMKNLRLLKIWNGDFFGKAKYLSNQLALLEWHECPLNCLPSEFESDKLVELKMHSSRIKQLWTGVKHWSRLTFIDMSDSEYLIKTPDFTGVPNLEILVLQGCTRLVEVHPSIGDLKKLILLNMRNCKCVESLPPFKSLESLESFALSSCSRLKKFPEIEGNMKFLLEVYLDETAIKELPTSIQHFTSLTSLNLRDCKNLLSLPSTIQYLTSLKSLILAGCSKFDEIPENLSCVECLEELDISGTAIRESSFVVGMINLKYLSFRGCKDIPSESWHSLFNCLWCRKSHVPTSLLLPTSFSSITCLTELDISYCNLMDGAIPNDFGRLLSLRKLNLGGNNFVRLPESISQLSKLEYLNLSNCRRLQSLPKLPLSVRHVNAEDCISLMDCQNQFKLCTSAVSGMTTVNSLNSSENQEYCTSVPRCVSRTYTSAGFGITTFSISSEHQQWKPSVDSSMPTQLFQNDLELLDCRSYSMSSVCALNEIPEWFSNVVTGDSIEISIPSDLKDNKKWMGVAAVFLVKGHPAVSDSESDSETSDYLYRFTLRTHEFQLEPYLLDWKESCTFVRFNSDRFLCLFYVSHMRFPRMLNESSSMWALSETNSLCMEIQKCGIRLVYEQDVAGFIQTSMRCFDGGQHQIVLQEVDKATFESLDGLM >ONH92521 pep chromosome:Prunus_persica_NCBIv2:G8:18028702:18033650:-1 gene:PRUPE_8G179400 transcript:ONH92521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSVPFSAPLQEKYDVFLSFRGEDTRDTFTSHLHKDLLRKNIDTYIDRRLERGDEIAPALLKAIERSKIALVIFSKDYASSTWCLKELVHILGCKKSHGQIVIPIFYRIDPSHVRKQRGTCALEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGRTEADFVEEVVQDVLTKLNRESSSDLRGLFGIQRKIEKIESLLCLDSPGVCCVGIWGMGGIGKTTLADAVFHRQWQSSKFEAACFLANVREKSEKTDGLNELRNTLVRELLKDKDVNINTPSIPPHIQDRLRRTKAFIVLDDVNAREHLDVLVGDDDRFCQGSRIMVTARDKGLLEQKIDHEKIYNVEGLGSDEALELFHSHAFGNKSPTTDYTELSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEVQWKKVKRVPIGEIQKVLRVSYDGLDDNEKEIFLDIACFHKGCERNDVERMLDGCDFFGEAGINDLIDRSLISISKERWSKGQIEMHDLVQEMGRAIAREQHSRLFIAEDVYQVLINDQGDGHVQAISFDLYEIEKLHLELEHANFKKMYQLRFLHVSPFLQSIVSLDLPNSLRFLAWNGYPLKSLPSKFSAQNLIVLDMSFNKVRGQLWNEDQSPMNLRWMNLSLSRHLTEVPNISRSLNIEHIYLFGCERLVEIPSYFQYLSKLTYLHLSMCYKLKNLPEMPCSLEFLDLSRTAIEELPSSVWSNEKISHLDIRYCGHLKSLPSNNCKLKLSNSFSLKGCKSLCEMWELPRNTTVLELSGTTIKELRNTSIESVVGLTAIKLINCKSLVSLPTNIWKLKSLESLDLSGCSKFQHFPEISEAMKHLEFLNLSGTMVKEVPPSIGNLVALRKLDLGDCKYLEVVQDYLFRLTSLQKLDLSSTKIKSLPASIKQASQLSRLCLNDCNSLEYLPELPPLLQCLKANGCTSLKTVSSSSTALAQGWEKYIFSQGHEKHIFSDCRRLDENARSNIMGDAHLRIMRMATASSKFKEDEIEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPGDWFSTDFLGFALSIVVAKDGFSPHAISSIECKYNFKTSNGESHEVSHHLCDLRTNVRRRDSHEVFVWWYSNVFEEVVEGAQSPTAFYKLVTEVNVDFIVRCEYEDESNLRWENAVQLDLEMEGEVKKCGICLLYGKDAEMIMQRAL >ONH92381 pep chromosome:Prunus_persica_NCBIv2:G8:17548087:17550609:-1 gene:PRUPE_8G171600 transcript:ONH92381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKQRELGGEKEEEEHSKVEIWKYMFGFVELASGRKVYAQTPLCKRLLKSGQNNMAAFILPESSPVMLAPWHGLSARIQGNIRNPVFEEVYGEDLWSFGAANPDHSKLFNEAMACDARVVVPAVIESCINSDLPHVVSVAQEYDRIENVGGDMFDYVPKADVVIIKWVLHDWEDDECIRILKKCREAIPEDKGKVIIIEAVIDEEDEKEDSKLTNVRLMLDMVMMAHTNTGKERTLKEWVYVLDDGP >ONH91985 pep chromosome:Prunus_persica_NCBIv2:G8:16284672:16285625:-1 gene:PRUPE_8G148100 transcript:ONH91985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPKFYNNPLYIAGDSYSGIIVPIVVKEISDGNHNEHVPPMNLNVQLLPLHFECVLLCCS >ONH91470 pep chromosome:Prunus_persica_NCBIv2:G8:14366072:14370642:1 gene:PRUPE_8G116600 transcript:ONH91470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRCFLDISIGEELEGRIVVELYNDAVPKTAENFRALCTGEKGIGPNTGAPLHYKGVRFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGQDTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHITTADSDCPNVDVIIADCGEIAEGEDDGVSNLFKDGDIYPDWPADIDESPIDLSWWMTSVESIKAFGNEYYKKQDYKMALKKYRKSLRYLDICWEKDGIDEERSSSLRKTKSQIFTNSSACKLKLGDLKGALLDTDFAMRDGEDNVKALFRQGQAYMALNDIDAAVESFKRALDLEPTDAGIKKELAAAKKKISDRRDKEKKAYSKMFQK >ONH91471 pep chromosome:Prunus_persica_NCBIv2:G8:14366072:14370642:1 gene:PRUPE_8G116600 transcript:ONH91471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRCFLDISIGEELEGRIVVELYNDAVPKTAENFRALCTGEKGIGPNTGAPLHYKGVRFHRVIKGFMIQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGQDTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHITTADSDCPNVDVIIADCGEIAEGEDDGVSNLFKDGDIYPDWPADIDESPIDLSWWMTSVESIKAFGNEYYKKQDYKMALKKYRKSLRYLDICWEKDGIDEERSSSLRKTKSQIFTNSSACKLKLGDLKGALLDTDFAMRDGEDNVKALFRQGQAYMALNDIDAAVESFKRALDLEPTDAGIKKELAAAKKKISDRRDKEKKAYSKMFQK >ONH92434 pep chromosome:Prunus_persica_NCBIv2:G8:17780837:17781289:-1 gene:PRUPE_8G175100 transcript:ONH92434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKAIQKALGNLKGASPSSKEIEAVAMVSMLKEGEAVTRTVFKTMFSFISGPKTQSKQSGWSLVSNLMHRKRVPAYKEEETYANEFANVDGALSSFVDLEESLEHLSRPLIKTRVSFLNILNH >ONH91343 pep chromosome:Prunus_persica_NCBIv2:G8:13842687:13844902:-1 gene:PRUPE_8G107800 transcript:ONH91343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVPGSVGTSASFSLRLGQAIFSSASLLFMSLGVEFYSYTAFCYLVTIMGLVIPWSFTLAMVDGYSVLVKCPLRQPGILLIIVVGDWVLSILTLAAACSTASVVDVLLHANGPYCPPKFCSRYKISAAMAFMSWFLSLASSLFNLWLLPSL >ONH91342 pep chromosome:Prunus_persica_NCBIv2:G8:13842687:13844916:-1 gene:PRUPE_8G107800 transcript:ONH91342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVPGSVGTSASFSLRLGQAIFSSASLLFMSLGVEFYSYTAFCYLVTIMGLVIPWSFTLAMVDGYSVLVKCPLRQPGILLIIVVGDWVLSILTLAAACSTASVVDVLLHANGPYCPPKFCSRNL >ONH89826 pep chromosome:Prunus_persica_NCBIv2:G8:1729009:1732149:1 gene:PRUPE_8G018600 transcript:ONH89826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIKCRAAVAWEAGKPLSIEEVEVAPPQKEEVRLKILFTSLCHTDVYFWEAKGQHPLFPRIYGHEAGGIVESVGEGVTDLQPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGTMLSDGKSRFSKDGKPIYHFLGTSTFSEYTVCHVGSVAKINPAAPLEKVCVLSCGICTGFGATVNVAKPKKGSSVAIFGLGAVGLAAAEGARVSGAARIIGVDLNSNRFEEAKKFGVNEFVNPKDHNKPVQEVIAEMTDGGVDRSVECTGSVQAMISAFECVHDGWGIAVLVGVPNKDDAFKTHPVNFLNERTLKGTFYGNYKPRSDLPGVVEMYMNKELEVDKFITHSVPFAEINKAFDLMLSGQSIRCIIRMED >ONH94019 pep chromosome:Prunus_persica_NCBIv2:G8:22277084:22280606:-1 gene:PRUPE_8G266300 transcript:ONH94019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRSFSFKPTRSLVIYFTISSSIIFLISIIIWVNKTNIPSVPQETAVQTLTSNCNPNISVLNGPEDSILKLNATPSIQPDENASGFSGINSIISSGLQTKENQSQVAQHDEEDRGGGELDNANFTAVTQSAPAAILFDKIEIRLEEQNAPLMNMTEEQNAASGFSGVNSLISGLQGKENESQVAQNGLHHEEEDRISGELDSNFTDTAQSAPAILFDKTEVKTEEQNASLMNKSKEKNATSDLSGINSLTSRLQRLDNESQVGQNRLHHDEEDRVGGELDGNFTTVAQSAPAAILFDKIEMRTEEQNAPLMNMTEEQNAASGFSEINSLVSGLQRKENESQVAQHDLHHDVDRGIGELDGNFTAVAQRAPAILFDKIEIKTEEQNAPFLNKAEEQNAALSKNIEGKSRKRRGKKKKTRATSFENTQTSSSRFVEEKRIIKGGCDFTRGRWVYDKSYPLYANGSCPFIEKSFNCRGNGRLDENFMKWRWQPEDCDIPRFDATKMLELMRGKRLVFVGDSLNRNQWESMVCMLMGAKRGRRIIQGKGKYNYNFVDYKCTVEFYTSPFLVYQGMSRAGKKQVKTLQIDSMDRASSKWTGADILVFNTAHWWNDHKTNAGINFFQERGQIHPHLDVSTALRKALMTWASWVDNHVNPRKTQVFFRSSSPTHFRGGQWNTGGSCTEANEPLIQPSGIPEEKDIIAEEVIKQMKTPVTLLNITSLSEYRIDGHPSIYGNQRSGIQDCSHWCLPGIPDVWNELLYLHLQ >ONH93233 pep chromosome:Prunus_persica_NCBIv2:G8:20032075:20038861:1 gene:PRUPE_8G220600 transcript:ONH93233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDAVVGDVGSFDPELLQLPELSPFALKASPQIAEDLYAQWLSLPQTGRLVKSLIDDATAGIPINALGNSSSANAAGSNSLPSMFQAGSTPPLSPRSSSGSPRFSKLKTSPSSLRSPLKVVSEPVREAIPQFYFQNGRPPPKELQEHCLSRINDLFGGHMDGLQLHEFKLVTKELCKLPTFFSSAIFKKIDTSCSGIVTRDAFVRYWVDGNMLTMDTATQIFKILKQSDSNYLTQVDFKPILLELVATHPGLEFLHGTPEFQERYAETVIYRIFYYINRSGNGRLTLRELKRGNLIAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRVFSQIPRKFTSKVEGKMGYEDFVYFMLSEEDKSCEPSLEYWFKCIDLDGNGVLTPNELQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIAPEREDYLTLADLKGCKLSGNVFNILFNLNKFIAFESRDPFLIRQEREDPTLTEWDRFAHREYIRLSMEEDGENASNGSVEVWDESLEAPF >ONH93232 pep chromosome:Prunus_persica_NCBIv2:G8:20032142:20038848:1 gene:PRUPE_8G220600 transcript:ONH93232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDAVVGDVGSFDPELLQLPELSPFALKASPQIAEDLYAQWLSLPQTGRLVKSLIDDATAGIPINALGNSSSANAAGSNSLPSMFQAGSTPPLSPRSSSGSPRFSKLKTSPSSLRSPLKVVSEPVREAIPQFYFQNGRPPPKELQEHCLSRINDLFGGHMDGLQLHEFKLVTKELCKLPTFFSSAIFKKIDTSCSGIVTRDAFVRYWVDGNMLTMDTATQIFKILKQSDSNYLTQVDFKPILLELVATHPGLEFLHGTPEFQERYAETVIYRIFYYINRSGNGRLTLRELKRGNLIAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRVFSQIPRKFTSKVEGKMGYEDFVYFMLSEEDKSCEPSLEYWFKCIDLDGNGVLTPNELQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIAPEREDYLTLADLKGCKLSGNVFNILFNLNKFIAFESRDPFLIRQEREDPTLTEWDRFAHREYIRLSMEEDGENASNGSVEVWDESLEAPF >ONH93234 pep chromosome:Prunus_persica_NCBIv2:G8:20032142:20038857:1 gene:PRUPE_8G220600 transcript:ONH93234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDAVVGDVGSFDPELLQLPELSPFALKASPQIAEDLYAQWLSLPQTGRLVKSLIDDATAGIPINALGNSSSANAAGSNSLPSMFQAGSTPPLSPRSSSGSPRFSKLKTSPSSLRSPLKVVSEPVREAIPQFYFQNGRPPPKELQEHCLSRINDLFGGHMDGLQLHEFKLVTKELCKLPTFFSSAIFKKIDTSCSGIVTRDAFVRYWVDGNMLTMDTATQIFKILKQSDSNYLTQVDFKPILLELVATHPGLEFLHGTPEFQERYAETVIYRIFYYINRSGNGRLTLRELKRGNLIAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRVFSQIPRKFTSKVEGKMGYEDFVYFMLSEEDKSCEPSLEYWFKCIDLDGNGVLTPNELQFFYEEQLHRMECMAQEPVLFEDILCQIVDMIAPEREDYLTLADLKGCKLSGNVFNILFNLNKFIAFESRDPFLIRQEREDPTLTEWDRFAHREYIRLSMEEDGENASNGSVEVWDESLEAPF >ONH89988 pep chromosome:Prunus_persica_NCBIv2:G8:2558425:2565418:1 gene:PRUPE_8G028200 transcript:ONH89988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHEEEDLRTALRMSMQNSPPEPKRSKPRDGPAGAPTGLPEESSDVKTRRLQRELMATAAEKRMLDARKASPSPSSVSGSAGSSGSALAAKVVRKDKELSLEEVNLGKGLSEEDAKQLFSMVFGAEVTKGILAQWSNQGIRFSPDPETSMGLVQHEGGPCGVLAAIQAFVLKYLIFCPPELGKVVPNMHHNMGSRTLSNSPCVASNNFASLTEDAKARALIRSMGEILFLCGSNKRAVIATLSVIGDDTERSKDNLDDEVSELKLVDNALFSDRNNVITKSLEGLSIESAADLHKVLRVNTYTTRESAFKRLQAVIPVFQSRMGALLFLISALLSRGLDLVQADRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGKMDLGGGMSLKGVSRPVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYTVLFSLDTTVQDENELEGRESQIRKAFDAQDQSGGGGFISVEGFHQVLKETNVELPTEKVDLLCSTGFIVWSEFWQVILELDKSLGGLKDSTGLMGKKVFDLYHFNGIAKSDLNGSQLSSGGETPVQRPRLTKLKVSVPPRWTPEEYMTDVAVSSGSGGNESGGKVTEIAKPEPSQHAPLVDCIRTRWPRAVCNWIGYPPSIV >ONH89989 pep chromosome:Prunus_persica_NCBIv2:G8:2558425:2565418:1 gene:PRUPE_8G028200 transcript:ONH89989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHEEEDLRTALRMSMQNSPPEPKRSKPRDGPAGAPTGLPEESSDVKTRRLQRELMATAAEKRMLDARKASPSPSSVSGSAGSSGSALAAKVVRKDKELSLEEVNLGKGLSEEDAKQLFSMVFGAEVTKGILAQWSNQGIRFSPDPETSMGLVQHEGGPCGVLAAIQAFVLKYLIFCPPELGKVVPNMHHNMGSRTLSNSPCVASNNFASLTEDAKARALIRSMGEILFLCGSNKRAVIATLSVIGDDTERSKDNLDDEVITKSLEGLSIESAADLHKVLRVNTYTTRESAFKRLQAVIPVFQSRMGALLFLISALLSRGLDLVQADRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGKMDLGGGMSLKGVSRPVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYTVLFSLDTTVQDENELEGRESQIRKAFDAQDQSGGGGFISVEGFHQVLKETNVELPTEKVDLLCSTGFIVWSEFWQVILELDKSLGGLKDSTGLMGKKVFDLYHFNGIAKSDLNGSQLSSGGETPVQRPRLTKLKVSVPPRWTPEEYMTDVAVSSGSGGNESGGKVTEIAKPEPSQHAPLVDCIRTRWPRAVCNWIGYPPSIV >ONH91238 pep chromosome:Prunus_persica_NCBIv2:G8:13295775:13296806:1 gene:PRUPE_8G101400 transcript:ONH91238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSHIQPSSLLLSPDRSRRRLTFSPHGSPRVTGFVSSFSTRAHRSCSSTSPLGFHWMGGLVRRLGVGGVLCVPREGLSGDLCILRKTGLQVLLLSSSSGHIDAWVTFPSSFVTRITSGPRLRSVCQIEDFQWVIDDCNVLSFDFVGYPFTWTNNRKDDNNVQVQLDRGFGNLALV >ONH93084 pep chromosome:Prunus_persica_NCBIv2:G8:19580901:19584966:-1 gene:PRUPE_8G212100 transcript:ONH93084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLYPTANATSLSSPTTFSPRSTRFRMSHGSLPPLPENRIVLSVGTVVVDFLAAVASYPNPDDKIRTTSLKVQGGGNAGNALICAARLGLSPRLISKVANDTQGRGILEELQADGVDTSSVVVSEEGNSPFTYIIVDNETKTRTCIHTPGYPPMIPSDLSQTSLSSALDGARVLYLDGRIHETALLVAQEAARKYVPILVEGERIREGLDDLLKLADYAICSAKFPQAWTEAASVPSALVSILVRLPKIKFVIVTLGEDGCIMLERSVDEAPQTEEMDVDSLLELLKQRKDDNIAIPTCVSSPLTKLRANGVGTVCGRLFVGTAEKVPPSELQDTTGAGDSFIGAVLYAICSNMPPEKMLPFAAQVAASCCRAFGARTGLPHRTDARLASFLS >ONH93083 pep chromosome:Prunus_persica_NCBIv2:G8:19580643:19585236:-1 gene:PRUPE_8G212100 transcript:ONH93083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLYPTANATSLSSPTTFSPRSTRFRMSHGSLPPLPENRIVLSVGTVVVDFLAAVASYPNPDDKIRTTSLKVQGGGNAGNALICAARLGLSPRLISKVANDTQGRGILEELQADGVDTSSVVVSEEGNSPFTYIIVDNETKTRTCIHTPGYPPMIPSDLSQTSLSSALDGARVLYLDGRIHETALLVAQEAARKYVPILVEGERIREGLDDLLKLADYAICSAKFPQTEEMDVDSLLELLKQRKDDNIAIPTCVSSPLTKLRANGVGTVCGRLFVGTAEKVPPSELQDTTGAGDSFIGAVLYAICSNMPPEKMLPFAAQVAASCCRAFGARTGLPHRTDARLASFLS >ONH93085 pep chromosome:Prunus_persica_NCBIv2:G8:19580643:19584859:-1 gene:PRUPE_8G212100 transcript:ONH93085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGSLPPLPENRIVLSVGTVVVDFLAAVASYPNPDDKIRTTSLKVQGGGNAGNALICAARLGLSPRLISKVANDTQGRGILEELQADGVDTSSVVVSEEGNSPFTYIIVDNETKTRTCIHTPGYPPMIPSDLSQTSLSSALDGARVLYLDGRIHETALLVAQEAARKYVPILVEGERIREGLDDLLKLADYAICSAKFPQAWTEAASVPSALVSILVRLPKIKFVIVTLGEDGCIMLERSVDEAPQTEEMDVDSLLELLKQRKDDNIAIPTCVSSPLTKLRANGVGTVCGRLFVGTAEKVPPSELQDTTGAGDSFIGAVLYAICSNMPPEKMLPFAAQVAASCCRAFGARTGLPHRTDARLASFLS >ONH90118 pep chromosome:Prunus_persica_NCBIv2:G8:3290063:3291320:1 gene:PRUPE_8G035900 transcript:ONH90118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVHFLISTLAILASATFLVSASDPSPLQDFCVALNDTKSAGGYFGAGAVFVNGKFCKDPKLVNANDFFFSGLQNPRNTQNPVGSNVTAVNVDNLAGLNTLGISLARIDFAPNGLNPPHTHPRATEILVVLEGTLYVGFVTSNGDGNRLFTKVLNEGDVFVFPIGLIHFQLNVGHVNAVAFAGLSSQNPGVITIANAVFGSKPPINPDVLAKAFQVDNNLVDYLQKQFWYDNN >ONH93786 pep chromosome:Prunus_persica_NCBIv2:G8:21655932:21658120:-1 gene:PRUPE_8G252500 transcript:ONH93786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEKAVIIVGAGPSGLAMAGCLSRLAIPYIVLEREDCFASMWKKYSYDRLHLHLQKQFCELPHMPFPPSCPTYVPKNQFIQYLDDYVSRFKISPILYHRNVESANYDEASERWVVKAVTDSNTGGSGEMEEYFGRFLVVATGEATNPYTPEVQGLNTFAGDVLHSTQFKSGKGFRNKNVLVVGSGNSGMEIALDLANHGAKTSIIVRSPVHVLSRGMVYLALVLLKHFSLNMVDSLMVLLSKLVFGDLTKYGIERPTEGPFYMKVKYGKYPAIDVGTFKKIKSSEIQVLPAEISSIRGSDVELKNGKSYQFDAIVFCTGFKRSTNLWLKGDDYLLKDDGLPRQSFPNHWKGKNGLYCVGLSRRGIYGSSEDAQNIANDIKSFL >ONH93787 pep chromosome:Prunus_persica_NCBIv2:G8:21656800:21657944:-1 gene:PRUPE_8G252500 transcript:ONH93787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEKAVIIVGAGPSGLAMAGCLSRLAIPYIVLEREDCFASMWKKYSYDRLHLHLQKQFCELPHMPFPPSCPTYVPKNQFIQYLDDYVSRFKISPILYHRNVESANYDEASERWVVKAVTDSNTGGSGEMEEYFGRFLVVATGEATNPYTPEVQGLNTFAGDVLHSTQFKSGKGFRNKNVLVVGSGNSGMEIALDLANHGAKTSIIVRSPVHVLSRGMVYLALVLLKHFSLNMVDSLMVLLSKLVFGDLTKYGIERPTEGPFYMKVKYGKYPAIDVGTFKKIKSSEIQVLPAEISSIRGSDVELKNGKSYQFDAIVFCTGFKRSTNLWLKVMIK >ONH91745 pep chromosome:Prunus_persica_NCBIv2:G8:15544731:15546843:1 gene:PRUPE_8G134600 transcript:ONH91745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLSPAARAGYFTAAPPPAVTARPLAYHLPLRSISDRHVPSHTLSSSSSSSLSLPSFAAPNHRGSKLSFTTVRASSTPISQTFTSPNDESEKAKLLQAPPRADVIRSLKNGIILNLLGMGASVLGMQATVGLLVAKALTSSANPYYQGLSPGYSPVLALDVFLVQAAANTILSHFLGLVFSLELLRSVTLPPSEGIPIPRIA >ONH91744 pep chromosome:Prunus_persica_NCBIv2:G8:15544725:15547690:1 gene:PRUPE_8G134600 transcript:ONH91744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLSPAARAGYFTAAPPPAVTARPLAYHLPLRSISDRHVPSHTLSSSSSSSLSLPSFAAPNHRGSKLSFTTVRASSTPISQTFTSPNDESEKAKLLQVAKRLESTARYFKRLGGLGFWGQLVCTVVAAVILSFSVVITGKISSPPTFYATAGGIVAAFISVFWSFGYIRLSDKLRRTASDPAKAPPRADVIRSLKNGIILNLLGMGASVLGMQATVGLLVAKALTSSANPYYQGLSPGYSPVLALDVFLVQAAANTILSHFLGLVFSLELLRSVTLPPSEGIPIPRIA >ONH93266 pep chromosome:Prunus_persica_NCBIv2:G8:20120771:20126201:1 gene:PRUPE_8G222100 transcript:ONH93266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSVPPGFASRTSFVLKRMEKVEETNGVNVSKQEPIQMDSTSDLTDMDKLKRSLQHRPWILFDQSDYNSEEPESEQFDMDPPAKTCLPKGVTHGCPDCSDCLKVTGRWRPEDARIDVLEEAPVFHPTEEEFKDMLKYIATIRARAEQYGICRIVPPPSWKPPCLINEYPIWKRSTFSTHIQQIDGLRNQSSPSKMVGFYESTKKKRRRILGVGLDSGSTSSPGETGHSYVKGFEPEPGREFTLENFKRYAADFKSQYFRISEVRGRQEKWVPSLENIEAEYKRITENPTEEIEVLCGDNLETKAVGSGFPTVSKDSNPLATSDHPEFLASGWNLNNLPRLPGSLLSFESHDTCHILLPRARVGMCFSSFHWKVEEHHLYSLSYTHLGAPKIWYGVPGKYSVNFEAAMRSSFSESSSEQPELRNRLVKQLPPSTLKSQGIPVFRCIQSPGEFVLVLPGAYHSGFDCGFNFSETACVAPLDWLPHGQEAVELYCEQGRKTSISHDKLLLGAAREAVRAQWDSLFRKNTSDHFLWKDAYGKDGILTHVFKSRLSSEAICRKYLCKSKQSRRMKSNFDATSKKECSICLRDLHFSAAACPCSADRYSCLLHAKQLCSCAWSDKVFLYRHQIDHLYLLLEALEGKLDAVFKWGKDDLGLALHVHCPKNIGHVDGPTTNAEKTKGKESMSQDAFRAELKARMLQSIISSKLKANDHPSGTLDAATANVNDTNSVSSIQAKVKAHVLQSTILNEQQAKEIITSTTGNNAPPLPTEAMKGTNNTPLPPTEAIAETSDVSSVSTSETSSSDSQDLIPDLDFLFRGKEQAACPLEKGSVPGKLSKGGHPADNGASSNPLVSENQTSRKAGSESGIVLLSDDSDG >ONH93267 pep chromosome:Prunus_persica_NCBIv2:G8:20120865:20126201:1 gene:PRUPE_8G222100 transcript:ONH93267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSVPPGFASRTSFVLKRMEKVEETNGVNVSKQEPIQMDSTSDLTDMDKLKRSLQHRPWILFDQSDYNSEEPESEQFDMDPPAKTCLPKGVTHGCPDCSDCLKVTGRWRPEDARIDVLEEAPVFHPTEEEFKDMLKYIATIRARAEQYGICRIVPPPSWKPPCLINEYPIWKRSTFSTHIQQIDGLRNQSSPSKMVGFYESTKKKRRRILGVGLDSGSTSSPGETGHSYVKGFEPEPGREFTLENFKRYAADFKSQYFRISEVRGRQEKWVPSLENIEAEYKRITENPTEEIEVLCGDNLETKAVGSGFPTVSKDSNPLATSDHPEFLASGWNLNNLPRLPGSLLSFESHDTCHILLPRARVGMCFSSFHWKVEEHHLYSLSYTHLGAPKIWYGVPGKYSVNFEAAMRSSFSESSSEQPELRNRLVKQLPPSTLKSQGIPVFRCIQSPGEFVLVLPGAYHSGFDCGFNFSETACVAPLDWLPHGQEAVELYCEQGRKTSISHDKLLLGAAREAVRAQWDSLFRKNTSDHFLWKDAYGKDGILTHVFKSRLSSEAICRKYLCKSKQSRRMKSNFDATSKKECSICLRDLHFSAAACPCSADRYSCLLHAKQLCSCAWSDKVFLYRHQIDHLYLLLEALEGKLDAVFKWGKDDLGLALHVHCPKNIGHVDGPTTNAEKTKGKESMSQDAFRAELKARMLQSIISTSRQR >ONH93268 pep chromosome:Prunus_persica_NCBIv2:G8:20120869:20126201:1 gene:PRUPE_8G222100 transcript:ONH93268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSVPPGFASRTSFVLKRMEKVEETNGVNVSKQEPIQMDSTSDLTDMDKLKRSLQHRPWILFDQSDYNSEEPESEQFDMDPPAKTCLPKGVTHGCPDCSDCLKVTGRWRPEDARIDVLEEAPVFHPTEEEFKDMLKYIATIRARAEQYGICRIVPPPSWKPPCLINEYPIWKRSTFSTHIQQIDGLRNQSSPSKMVGFYESTKKKRRRILGVGLDSGSTSSPGETGHSYVKGFEPEPGREFTLENFKRYAADFKSQYFRISEVRGRQEKWVPSLENIEAEYKRITENPTEEIEVLCGDNLETKAVGSGFPTVSKDSNPLATSDHPEFLASGWNLNNLPRLPGSLLSFESHDTCHILLPRARVGMCFSSFHWKVEEHHLYSLSYTHLGAPKIWYGVPGKYSVNFEAAMRSSFSESSSEQPELRNRLVKQLPPSTLKSQGIPVFRCIQSPGEFVLVLPGAYHSGFDCGFNFSETACVAPLDWLPHGQEAVELYCEQGRKTSISHDKLLLGAAREAVRAQWDSLFRKNTSDHFLWKDAYGKDGILTHVFKSRLSSEAICRKYLCKSKQSRRMKSNFDATSKKECSICLRDLHFSAAACPCSADRYSCLLHAKQLCSCAWSDKVFLYRHQIDHLYLLLEALEGKLDAVFKWGKDDLGLALHVHCPKNIGHVDGPTTNAEKTKGKESMSQDAFRAELKARMLQSIISTSRQR >ONH93265 pep chromosome:Prunus_persica_NCBIv2:G8:20121160:20126201:1 gene:PRUPE_8G222100 transcript:ONH93265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSVPPGFASRTSFVLKRMEKVEETNGVNVSKQEPIQMDSTSDLTDMDKLKRSLQHRPWILFDQSDYNSEEPESEQFDMDPPAKTCLPKGVTHGCPDCSDCLKVTGRWRPEDARIDVLEEAPVFHPTEEEFKDMLKYIATIRARAEQYGICRIVPPPSWKPPCLINEYPIWKRSTFSTHIQQIDGLRNQSSPSKMVGFYESTKKKRRRILGVGLDSGSTSSPGETGHSYVKGFEPEPGREFTLENFKRYAADFKSQYFRISEVRGRQEKWVPSLENIEAEYKRITENPTEEIEVLCGDNLETKAVGSGFPTVSKDSNPLATSDHPEFLASGWNLNNLPRLPGSLLSFESHDTCHILLPRARVGMCFSSFHWKVEEHHLYSLSYTHLGAPKIWYGVPGKYSVNFEAAMRSSFSESSSEQPELRNRLVKQLPPSTLKSQGIPVFRCIQSPGEFVLVLPGAYHSGFDCGFNFSETACVAPLDWLPHGQEAVELYCEQGRKTSISHDKLLLGAAREAVRAQWDSLFRKNTSDHFLWKDAYGKDGILTHVFKSRLSSEAICRKYLCKSKQSRRMKSNFDATSKKECSICLRDLHFSAAACPCSADRYSCLLHAKQLCSCAWSDKVFLYRHQIDHLYLLLEALEGKLDAVFKWGKDDLGLALHVHCPKNIGHVDGPTTNAEKTKGKESMSQDAFRAELKARMLQSIISSKLKANDHPSGTLDAATANVNDTNSVSSIQAKVKAHVLQSTILNEQQAKEIITSTTGNNAPPLPTEAMKGTNNTPLPPTEAIAETSDVSSVSTSETSSSDSQDLIPDLDFLFRGKEQAACPLEKGSVPGKLSKGGHPADNGASSNPLVSENQTSRKAGSESGIVLLSDDSDG >ONH93264 pep chromosome:Prunus_persica_NCBIv2:G8:20120865:20126201:1 gene:PRUPE_8G222100 transcript:ONH93264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNSVPPGFASRTSFVLKRMEKVEETNGVNVSKQEPIQMDSTSDLTDMDKLKRSLQHRPWILFDQSDYNSEEPESEQFDMDPPAKTCLPKGVTHGCPDCSDCLKVTGRWRPEDARIDVLEEAPVFHPTEEEFKDMLKYIATIRARAEQYGICRIVPPPSWKPPCLINEYPIWKRSTFSTHIQQIDGLRNQSSPSKMVGFYESTKKKRRRILGVGLDSGSTSSPGETGHSYVKGFEPEPGREFTLENFKRYAADFKSQYFRISEVRGRQEKWVPSLENIEAEYKRITENPTEEIEVLCGDNLETKAVGSGFPTVSKDSNPLATSDHPEFLASGWNLNNLPRLPGSLLSFESHDTCHILLPRARVGMCFSSFHWKVEEHHLYSLSYTHLGAPKIWYGVPGKYSVNFEAAMRSSFSESSSEQPELRNRLVKQLPPSTLKSQGIPVFRCIQSPGEFVLVLPGAYHSGFDCGFNFSETACVAPLDWLPHGQEAVELYCEQGRKTSISHDKLLLGAAREAVRAQWDSLFRKNTSDHFLWKDAYGKDGILTHVFKSRLSSEAICRKYLCKSKQSRRMKSNFDATSKKECSICLRDLHFSAAACPCSADRYSCLLHAKQLCSCAWSDKVFLYRHQIDHLYLLLEALEGKLDAVFKWGKDDLGLALHVHCPKNIGHVDGPTTNAEKTKGKESMSQDAFRAELKARMLQSIISSKLKANDHPSGTLDAATANVNDTNSVSSIQAKVKAHVLQSTILNEQQAKEIITSTTGNNAPPLPTEAMKGTNNTPLPPTEAIAETSDVSSNKQPVLSRKAVFLGSYRKVVIQPTMGHLRTLWFQRIKLQEKQALRVELFFLVMTVMVSCYVNPI >ONH93236 pep chromosome:Prunus_persica_NCBIv2:G8:20039562:20041130:-1 gene:PRUPE_8G220700 transcript:ONH93236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGRLVVIVDVLDQNRALVDAPDMVRTQLNFKRLTLTDITIDIKRVPKKKTLLAAMEAADVKNKWENSSWGRKLIVQKRRAALNDFDRFKLMLAKIKRAGIIRQELTKLKKESAA >ONH93235 pep chromosome:Prunus_persica_NCBIv2:G8:20039320:20041241:-1 gene:PRUPE_8G220700 transcript:ONH93235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGKDYGRLVVIVDVLDQNRALVDAPDMVRTQLNFKRLTLTDITIDIKRVPKKKTLLAAMEAADVKNKWENSSWGRKLIVQKRRAALNDFDRFKLMLAKIKGGNHQAGANETQKGECSLSLESVLF >ONH93057 pep chromosome:Prunus_persica_NCBIv2:G8:19483885:19485998:-1 gene:PRUPE_8G209900 transcript:ONH93057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERACATLEGVHRKQNDMVEEYENSLRNKGKRFTRFEETETENRHADVFYRKRQKLCQWVAETSFPEIDELCSKGYDFVSVLLSRLVPKCNENNKLKDPKLMQAETNSEAMSLPSYESDIDFKELPWTPTRNFMELDCGPCLHDMMPSCWSKRSSERIFCSDSPTSYVYKNHLQYRVWEPESELLGGNAISCTESDSHSVLPFKEYGLVTSGHVKEMDAFCQPNEYLIKRELSVPMLGWDFGSTKEDRNSSDLSRYGAEHRYASLASYQNHEVLRHCLQGNDDTRSGFPQIPLTLSCITNFINPTEDCIEDTACEVGSTIFSVQNDQWFRSKIIHEGHYLPNTESLLPFSGSWREYYSSTFHALQLPEQEDISSRLLTYDNDKYERILDDSSQARSLAHFVEDNVSIHESSAFCPQVPLDTEKAWPWLLDNSGWDRSEREVNFDDSDVDIFLQ >ONH93056 pep chromosome:Prunus_persica_NCBIv2:G8:19484087:19486239:-1 gene:PRUPE_8G209900 transcript:ONH93056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNKRVDVRSSFLNENATPELNMERACATLEGVHRKQNDMVEEYENSLRNKGKRFTRFEETETENRHADVFYRKRQKLCQWVAETSFPEIDELCSKGYDFVSVLLSRLVPKCNENNKLKDPKLMQAETNSEAMSLPSYESDIDFKELPWTPTRNFMELDCGPCLHDMMPSCWSKRSSERIFCSDSPTSYVYKNHLQYRVWEPESELLGGNAISCTESDSHSVLPFKEYGLVTSGHVKEMDAFCQPNEYLIKRELSVPMLGWDFGSTKEDRNSSDLSRYGAEHRYASLASYQNHEVLRHCLQGNDDTRSGFPQIPLTLSCITNFINPTEDCIEDTACEVGSTIFSVQNDQWFRSKIIHEGHYLPNTESLLPFSGSWREYYSSTFHALQLPEQEDISSRLLTYDNDKYERILDDSSQARSLAHFVEDNVSIHESSAFCPQVPLDTEKAWPWLLDNSGWDRSEREVNFDDSDVDIFLQ >ONH93055 pep chromosome:Prunus_persica_NCBIv2:G8:19483885:19487031:-1 gene:PRUPE_8G209900 transcript:ONH93055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKTSEPNPKDPLQIRNPSHRGFHGIRSSDSRFPVTTTPRTADISCKKRVKFTNLDNEDQRPPICEFADNAKTSEYAFFKKLKEGASHKAHSYSLHKEAYQTNNSKSRDCSTERVNMVQNKRVDVRSSFLNENATPELNMERACATLEGVHRKQNDMVEEYENSLRNKGKRFTRFEETETENRHADVFYRKRQKLCQWVAETSFPEIDELCSKGYDFVSVLLSRLVPKCNENNKLKDPKLMQAETNSEAMSLPSYESDIDFKELPWTPTRNFMELDCGPCLHDMMPSCWSKRSSERIFCSDSPTSYVYKNHLQYRVWEPESELLGGNAISCTESDSHSVLPFKEYGLVTSGHVKEMDAFCQPNEYLIKRELSVPMLGWDFGSTKEDRNSSDLSRYGAEHRYASLASYQNHEVLRHCLQGNDDTRSGFPQIPLTLSCITNFINPTEDCIEDTACEVGSTIFSVQNDQWFRSKIIHEGHYLPNTESLLPFSGSWREYYSSTFHALQLPEQEDISSRLLTYDNDKYERILDDSSQARSLAHFVEDNVSIHESSAFCPQVPLDTEKAWPWLLDNSGWDRSEREVNFDDSDVDIFLQ >ONH90168 pep chromosome:Prunus_persica_NCBIv2:G8:3822800:3826209:-1 gene:PRUPE_8G038600 transcript:ONH90168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVGGFAYLDGMVSFSKSACWGQQLVAELGYALFGLLEVEKQSKGLLVFLFFLFGLVSSCNLLQTNNLLSSMRKTEIYSSFWILVSLFCLA >ONH90336 pep chromosome:Prunus_persica_NCBIv2:G8:5067261:5067758:1 gene:PRUPE_8G047400 transcript:ONH90336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEVDLNPQVNNQVPEKTTIQVNQKKQRRTKKSGLAGNHRKSEVWNYFKKVLDEKTGKYKVIAVVSQEGLSRE >ONH90337 pep chromosome:Prunus_persica_NCBIv2:G8:5067217:5067905:1 gene:PRUPE_8G047400 transcript:ONH90337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEVDLNPQVNNQVPEKTTIQVNQKKQRRTKKSGLAGNHRKSEVWNYFKKVLDEKTGKYKVIAVVSQEGLSRE >ONH90557 pep chromosome:Prunus_persica_NCBIv2:G8:8811408:8812019:1 gene:PRUPE_8G061300 transcript:ONH90557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIMKLFCCGFDSSECKETVETVVVKARFLQKKKQDEVAKMKSDIASRLRAGEDPIASPAHVLIKRVIREQNVSVAYEFIEAFCDMVVDRLSTIKEVRECPENLKEGISSLVFAAKKCSHEIPELVTLKNIFKKKYGKTFVSAATNIRPNCGVDIMMMKKLADTNPQSDEKMKTVQEIAEKYGINGSWVEIAGNNCNALVKV >ONH89662 pep chromosome:Prunus_persica_NCBIv2:G8:573092:576427:1 gene:PRUPE_8G007600 transcript:ONH89662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATTDLLIGKIVGILENEASAIAGVGDQVDEIKQELLYMKSFLQDAEGKEPHTEGEKTWVTMVRNLTFKAEVIVDKFMYDMYEQQSQGRFAGWLQKPIHIPKILWYRRQVAIELQKITRTIKAIPERNQRYGVGSLSSSSNDNHKWVKNQVDQSSLFIKEDELVGIERKKQTLMERLMSEERHQMVVSVVGMGGSGKTTLVAKTFTDETIKRYFDCYAWITVSQTYVIEDLFRSLIKEFHRSRKEEVPPSMSSLEHTELVEMLRNYLDAKRYLVVLDDVWDIKLWERVRISLPDSRALGNRILLTTRNQEIALYPFGVESHVYRIELLEKDEAWELFNKKAFSTYHEHCCPPEFESLASELVEKCEGLPLAIVALSGVLSSKESPTEWSKVYSSLNWQLTNNPLLKPMTTILLLSFDDLPYQLKHCFMYCSLFPEDYLIDGERLIRLWLAEGFVEQVDGLTPEEVAENYLIELIRRSMLKVEDRTDMGKALAYKMHDILRELALSMSQKENFSANYVGREMRKVTARRLSIQTTEGEISSIKGLSELRSFLVFVTSTFSLPSRSKLLKVLDLEKVSMDKLPSGLVYLFNLRYLNLRGTSIKELPKFIGRLGNLETLDISHTKIEVLPRGISKLLNLRHLLIYHHTWDDVGFKYLKGTRVPSNISELKKLQVLEKVESDGNIAGLIGSMTQLRLLGITNVKGSDEMDLCDSIQKMKQLRNLSLTATNADELLKVDKLSSPPPHLEIVSLAGKLHKVPVWFCSLQSLTHLHLHWAKLENDELLPQIEALPCLASLDLFNAYNGKELYFGGGFPKLTRLVLSNLLFLSKITIEKGVMPNLKFLFLNSCIELKTLPLGIEYLLNLNTLELVYLPTQLIDSIREGGVDRPKVQHIPKIHNYYTTSSGSTSYESLSCI >ONH91010 pep chromosome:Prunus_persica_NCBIv2:G8:12124567:12125724:1 gene:PRUPE_8G088200 transcript:ONH91010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGKFQGQNSMDEEFEFLQIGEEDYVGLSHWEFVDASDADSEEKHHHNHHSDSEQREDKDEEANGSDVLSIGPSSFSSSISSTSKPMAVPVGAHSHRRPHLVRFLDVGLNLKPRHDFDGGDEDEGHGHDGDDEDDDGYGLDDELVPWSVGDKFGRQRMRKLGKRTFPKMNNSKRSPYLLVRPGCVRGKHGLGLKHIN >ONH93182 pep chromosome:Prunus_persica_NCBIv2:G8:19892159:19895832:1 gene:PRUPE_8G217800 transcript:ONH93182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGTFKSQTPRSREKKALNPKPQILGNETLSTKTPQKSEKFSGRARNGNVALSIGDIRRAATKSLSESSQKRRADQIDSWPDESPKKKLVGRSEKLPEKYEILSEFLNGLDTSIRLLRLRGLKPSFTNICPQIECLTDRRFTCGHLAQLKFVLPEVIEIKKVLVKDERTSCLKPDLHVTINVDALESDGKAKSEGGGSMHLRKTFRKRLADISKSHPEDYEIPEETLPQPFDIRKQDMQSDTGKLPFSSSPGDILTDVHTVEQPAVSTSCLQGDEVPESNLNTVCSVGERSTCASLYGQVPVTPTKGIDPIENDDRLPTQSDSIQSTPAKLASTPARLMADTPALHRPKRCYMSPDDNSTSSPNKLVRRPPRSRSLKFDTPVKNKNVEDEIPDMGGASIDTDSHDILPEDLLQSIREKERKAIEEQNPAISEAKRRRQMISSLPKLFNMIHFLLQSMNRSVITKEELVHKLIWTNFDIVDRKEVQEQLKLLLELVPDWISEKQLSSEADLIMIHINKMSDPESIRARLEEAK >ONH90257 pep chromosome:Prunus_persica_NCBIv2:G8:4452822:4458108:1 gene:PRUPE_8G042900 transcript:ONH90257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLVHPCKSFSFPDSSLQLNNLNIPNRALASIPKYSIAKRPSYSSFSTIGLSKRLKISRNLPIWGSKRIAFCSRSDVYDVFSSTHLANKSEGDVVEENEELELLDKPSPMPINNGSVSEVDKDSEKLDNDSEKLHKDEALAPFLKFFTPRDSADGDGVEEKGGEIGVFEEKSELDDENEEDEKVNVEYYEPKPGDFVIGVVVSGNENKLDVNVGADLLGTMLTKEVLPLYDKEMDYLLCDTDYDAEKFMVRGKMGIVKTEAVDGGAIPGRPVVETGTVLFAEVLGRTLSGRPLLSTRRLFRRIAWHRVRQIKQLNEPIEVTITEWNTGGLLTRIEGLRAFLPKAELLSKVNNFTELKENVGCQMHVQITRMDEAKNDLVLSEKEAWEMLHLKEGTLLEGTIKKLFPYGAQVRIGETNRSGLLHISNMTRGRITSVSDILKVNEKIKVLVVKSMFPDKISLSTAELESEPGLFLLNREQVLSEAEMMAKKYRQKLPAVPGNRKSESPQSDALPFDKLSMYANWKWFKFEKE >ONH90258 pep chromosome:Prunus_persica_NCBIv2:G8:4452822:4457158:1 gene:PRUPE_8G042900 transcript:ONH90258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLVHPCKSFSFPDSSLQLNNLNIPNRALASIPKYSIAKRPSYSSFSTIGLSKRLKISRNLPIWGSKRIAFCSRSDVYDVFSSTHLANKSEGDVVEENEELELLDKPSPMPINNGSVSEVDKDSEKLDNDSEKLHKDEALAPFLKFFTPRDSADGDGVEEKGGEIGVFEEKSELDDENEEDEKVNVEYYEPKPGDFVIGVVVSGNENKLDVNVGADLLGTMLTKEVLPLYDKEMDYLLCDTDYDAEKFMVRGKMGIVKTEAVDGGAIPGRPVVETGTVLFAEVLGRTLSGRPLLSTRRLFRRIAWHRVRQIKQLNEPIEVTITEWNTGGLLTRIEGLRAFLPKAELLSKVNNFTELKENVGCQMHVQITRMDEAKNDLVLSEKEAWEMLHLKEGTLLEGTIKKLFPYGAQVRIGETNRSGLLHISNMTRGRITSVSDILKVNEKIKVLVVKSMFPDKISLRP >ONH92834 pep chromosome:Prunus_persica_NCBIv2:G8:18915629:18918068:-1 gene:PRUPE_8G198900 transcript:ONH92834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSGDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNAPVKLDSQDLLQIGDKEFYFLLPVRSILGGPVGPRHYAASVAVPSPVGPAHYGYHSGSGTGPIVKKGRGREFYEEEYEEEEDVGGGGGGGGSGSGKKMRREGYEGFAYGGGSGSGSGKAGALEKKGDGRSRVDRDTDNQQLLHLEEKDVVSSVATVLSDLCGPGEWMPMEKLHTELVEQYSSIWHHTRVRRYLTSEDWPGPESKGKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLS >ONH92835 pep chromosome:Prunus_persica_NCBIv2:G8:18916124:18918068:-1 gene:PRUPE_8G198900 transcript:ONH92835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSGDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNAPVKLDSQDLLQIGDKEFYFLLPVRSILGGPVGPRHYAASVAVPSPVGPAHYGYHSGSGTGPIVKKGRGREFYEEEYEEEEDVGGGGGGGGSGSGKKMRREGYEGFAYGGGSGSGSGKAGALEKKGDGRSRVDRDTDNQQLLHLEEKDVVSSVATVLSDLCGPGEWMPMEKLHTENASEYKLHLFPNGH >ONH92549 pep chromosome:Prunus_persica_NCBIv2:G8:18092392:18094489:1 gene:PRUPE_8G180200 transcript:ONH92549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGYVWIATAWLSTVLDSTSPLSSKTANSIQGALTLIPHTPDPERKRAFISRWNKLSNGSIGLNPYGLYAYDTVWMLAHAINLLLDQGGTISFSNITSLGDPKGGGTVNLVALSILHAFHPDRSPLNPAYDLINIIESGYQRIGYWSNYSGISVVPPETSSNRSTLKQQLTHCCTPWRDNSQASNGTDIVEGYCIDIFLAAIKLLPYAVPYEFVLFSDGLKNPSYYDFVNMIASGIRRLNSRAWEFLKPFGPLMWGVTAAFFLIIGLVVWILEHRINDEYSGPPRKQIVTILWFSFSTMFFAHGENTVSTLGRTVLIIWLYIVLIINSSYTASLTSMLTVQLLQSPITGIDTLETSTEPIGYQIGSFAQNYLVEELNIPRSRLVPLGLPEAHADALKKRSVAAVVDEKAYIELFLSENCMFSIRGQEFTKSRQSDMLHYCGSKLS >ONH94091 pep chromosome:Prunus_persica_NCBIv2:G8:22417888:22420616:-1 gene:PRUPE_8G269900 transcript:ONH94091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKPEPNRKTNRKKKSSGKPSKSSISSKDVSSERESSRRASLDLEVNTKGFDLPIHPPDDQEEVTIRITNINSETSDSAVHSMCKSCGSLEGVVRTKADAVDALFSVKDNMGIQSLIRKLNQTVVNDHKWSANLHSRDSASAVTSKQINANCNLGLDISHHLGDLRSQISMKTVCIEDLEYLHNALLHLEAQPDRSSSIPNSDS >ONH94092 pep chromosome:Prunus_persica_NCBIv2:G8:22418107:22419635:-1 gene:PRUPE_8G269900 transcript:ONH94092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKPEPNRKTNRKKKSSGKPSKSSISSKDVSSERESSRRASLDLEVNTKGFDLPIHPPDDQEEVTIRITNINSETSDSAVHSMCKSCGSLEGVVRTKADAVDALFSVKDNMGIQSLIRKLNQTVVNDHKWSANLHSRDSASAVTSKQINANCNLGLDISHHLGDLRSQISMKTVCIEDLEYLHNALLHLEAQPDRSSSIPNSDS >ONH94093 pep chromosome:Prunus_persica_NCBIv2:G8:22418107:22419635:-1 gene:PRUPE_8G269900 transcript:ONH94093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKPEPNRKTNRKKKSSGKPSKSSISSKDVSSERESSRRASLDLEVNTKGFDLPIHPPDDQEEVTIRITNINSETSDSAVHSMCKSCGSLEGVVRTKADAVDALFSVKDNMGIQSLIRKLNQTVVNDHKWSANLHSRDSASAVTSKQINANCNLGLDISHHLGDLRSQISMKTVCIEDLEYLHNALLHLEAQPDRSSSIPNSDS >ONH94090 pep chromosome:Prunus_persica_NCBIv2:G8:22417888:22420616:-1 gene:PRUPE_8G269900 transcript:ONH94090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKYIGLRAFTIIGEQHSFISSAIKLRCNARIDNPLSARALSCITTFPRSFNSSSSEDDDYSALGSPVPKAPSNPRKLMTEKPEPNRKTNRKKKSSGKPSKSSISSKDVSSERESSRRASLDLEVNTKGFDLPIHPPDDQEEVTIRITNINSETSDSAVHSMCKSCGSLEGVVRTKADAVDALFSVKDNMGIQSLIRKLNQTVVNDHKWSANLHSRDSASAVTSKQINANCNLGLDISHHLGDLRSQISMKTVCIEDLEYLHNALLHLEAQPDRSSSIPNSDS >ONH93629 pep chromosome:Prunus_persica_NCBIv2:G8:21231807:21234801:-1 gene:PRUPE_8G243300 transcript:ONH93629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPVKYSVVDAFTESAFKGNPAAVCLLEEDKDDKWLQAVASEFNLSETCYLTRVTDSTPTSAPRFGLRWFTPSNEVELCGHATLAAAYTLFKSGLINSNFIEFATLSGILTAKKVPDVKTANDSNNIQNGEAQKSYFIELNFPAAPSYEFNSSEVSLISEALDGASMIDIRKTYVTDDLLVVLPSAKAVVDLQPKFDAIQKCPGSGGVIVTGIAPPESEYDFYSRYFCPKNGIGEDPVCGSAHCALAPYWCKKLGKSDVFAYAASPRGGAVSMHLDEQNQRVLLRGKAVTVMEGTVLV >ONH92203 pep chromosome:Prunus_persica_NCBIv2:G8:17004706:17007180:1 gene:PRUPE_8G162400 transcript:ONH92203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFSSPTLTLTKPNKRLTAKPPCVVQSLLKLCSQGHLSQALSSLDLLVQKGIRLPTKTLAFLIQQCGATGSLREGKWVHIHLRLTGFKHPPPFLANHLINMYFKCADDVEARRVFDKMSVRNLYSWNNMLSGYAKMRNLKEARSLFDKMPEKDVVSWNTMVIGYAQSGVCDEALRYYRELRRLSIGYNEFSFAGVLTVCVKLKELELTRQVHGQVLVAGFLSNVVLSSSLVDAYTKCGEMGDARRLFDNMPVRDVLAWTTLVSGYAKWGDMESGSELFSQMPEKNPVSWTALISGYARNGLGYEALALFTEMMLYQVRPDQFTFSSCLCASASIASLKHGKQVHASLIRSNFRPNTIVVSSLIDMYSKCGDLGAGKRVFKLMGDKQDTVLWNTLISALAQHGNGIEAMQMFEDMIRSGVKPDRTTVVVILNACSHSGLVQEGLSFFKSMIGDHGIVPDQEHYACLIDLLGRAGCFDELINQLKNMPCKAGDQVWNALLGVCRIHGNTELGRKVAEHLIELEPQSSAAYVLLSSIYAEHGKWELVEKVRQLMDERHVRKERALSWIEVENRVNAFTVSDRLHPLKEEIYSVLEQLAGQMEEDASVPNSVRVQILWGNICTW >ONH89645 pep chromosome:Prunus_persica_NCBIv2:G8:521660:523174:1 gene:PRUPE_8G006600 transcript:ONH89645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCIQEEIKTVTLDSTSKGIEGSSVKIKVMFFARARDLTGLSEMPLEVSTGSSADDCLNKLIAMFPGLTELRGCMVLALNEEYTTESAIVKDKDELAIIPPISGG >ONH92388 pep chromosome:Prunus_persica_NCBIv2:G8:17590675:17590914:-1 gene:PRUPE_8G172200 transcript:ONH92388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKEEKRISIPFSAFWLRSSVVSVLISLISDTWANGPHDIKLISLGGGSITVACYWDSRVSPKRCTIAWAWRSPPNPVQN >ONH91440 pep chromosome:Prunus_persica_NCBIv2:G8:14237641:14239207:1 gene:PRUPE_8G114700 transcript:ONH91440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIVAIVKAFGISNLDKPTNPSKKHFVLVHGSCFGAWSWYKLVTLMKSSGHNVTAIDLAASGVDPQQAKDLQSISDYCKPLTDFMAALDPPHDKVILVGQSLDSKYTNDQGPNNPPITLIFGPLFLATNVYQLSPTEDVALGTMLMRPQRLFSEEDMCKELKLTHENYESVNRVYVLSGGDLVEKKDLQRWMIKRNRPNSVVEITGSDHMVMISKPLELWVHIQRISEKYS >ONH92619 pep chromosome:Prunus_persica_NCBIv2:G8:18302274:18303660:1 gene:PRUPE_8G184400 transcript:ONH92619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTCSSSTNALTSIMDLPDDCLVFIFQCLDSSSDRESFGLTCHRCLRIQNLKRRSLHFQCSFRELDISSLSHSNNHVNISTYHLHRLLTRFQHLHSLSLSGCTELPDSSLDHLVNYGSKLHTLILECCFRITNHGLSVVATGCPSLQVISLYRCQISDFGLESLANSCSILKDVNLSYCYFITDHGLRALSQGCRQLQAVKISHCKGVTGIGFKGCSSTLAYVEAESCKLEPEGISGIVSGGGIEYLNMSGLILFNGGNGLATIGRGFAFSLKILNLRLCRTVGDESIVAIAEGCPLLEEWNLALCHGVRIVGWSSIGMNCHKLEKLHVNRCRSLCDGGLLALQNGCKQLSVLYMNGCSRITSTAIELFKRYRSDVTIKEEEKMCIGAYVGI >ONH91003 pep chromosome:Prunus_persica_NCBIv2:G8:12095186:12105865:1 gene:PRUPE_8G087800 transcript:ONH91003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRARSSSPFSYRKPSSPYSSTSSSSSLMNGRIIPRSCSTSATSFYNSGGGLGSRSMTPSRGRSDSMQYGSGGYSTRSPVGFASEELLAEMLEAPRGGDSISVTIRFRPLSEREFQRGDEITWYADGDKIVRNEYNPATAYAFDRVFGQHANSQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDSQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLGGHGHVSLICTVTPASSSMEETHNTLKFASRAKRVEIYASRNKQIIDEKSLIKKYQREISVLKEELDQLRKGMLVGISHEEIITLKQKLEEGQFKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNTIPGCLGDIPSHQRSYSVGEDDKVEVVRDGPLLLESENQKESPSSASAVPSDLANDFRHKRSSSRWNDDLSPASSTITESTQAGELISGSRHPVGGMTMSDHIDLLVEQVKMLAGEIALGTSSLKRLVEQSVNDPDSAKTQIENLERDIHEKRRQMRVLEQRINESGEASIANASFVEMQQTVKRLTTQCNEKGFELEIKSADNRILQEQLQNKCAENVELHEKVNQLERRLASVSGETSSEHCVSEEYVEELKKKIQSQEIENEKLKLEHVQFSEENSGLHVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSLQSAKLEKELLAARELANSRSSVMQPVNGANRKYNDGARSGRKGRLSGRANEISGMSDDFESWNLDADDLKMELQARKQREAALEAALAEKEFVEEEYRKKVEDAKKREEALENDLANMWVLVAKLKKEGGSIPETHTEERHNDVMRNSNGLKTSDSNTVPKERQVLDVSKPADDESPTEEPLVLRLKARMQEMKDKELKHQGNGDANSHLCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFTS >ONH91005 pep chromosome:Prunus_persica_NCBIv2:G8:12096904:12105865:1 gene:PRUPE_8G087800 transcript:ONH91005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQNSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDSQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLGGHGHVSLICTVTPASSSMEETHNTLKFASRAKRVEIYASRNKQIIDEKSLIKKYQREISVLKEELDQLRKGMLVGISHEEIITLKQKLEEGQFKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNTIPGCLGDIPSHQRSYSVGEDDKVEVVRDGPLLLESENQKESPSSASAVPSDLANDFRHKRSSSRWNDDLSPASSTITESTQAGELISGSRHPVGGMTMSDHIDLLVEQVKMLAGEIALGTSSLKRLVEQSVNDPDSAKTQIENLERDIHEKRRQMRVLEQRINESGEASIANASFVEMQQTVKRLTTQCNEKGFELEIKSADNRILQEQLQNKCAENVELHEKVNQLERRLASVSGETSSEHCVSEEYVEELKKKIQSQEIENEKLKLEHVQFSEENSGLHVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSLQSAKLEKELLAARELANSRSSVMQPVNGANRKYNDGARSGRKGRLSGRANEISGMSDDFESWNLDADDLKMELQARKQREAALEAALAEKEFVEEEYRKKVEDAKKREEALENDLANMWVLVAKLKKEGGSIPETHTEERHNDVMRNSNGLKTSDSNTVPKERQVLDVSKPADDESPTEEPLVLRLKARMQEMKDKELKHQGNGDANSHLCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFTS >ONH91004 pep chromosome:Prunus_persica_NCBIv2:G8:12095165:12105865:1 gene:PRUPE_8G087800 transcript:ONH91004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRARSSSPFSYRKPSSPYSSTSSSSSLMNGRIIPRSCSTSATSFYNSGGGLGSRSMTPSRGRSDSMQYGSGGYSTRSPVGFASEELLAEMLEAPRGGDSISVTIRFRPLSEREFQRGDEITWYADGDKIVRNEYNPATAYAFDRVFGQHANSQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDSQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLGGHGHVSLICTVTPASSSMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKEELDQLRKGMLVGISHEEIITLKQKLEEGQFKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNTIPGCLGDIPSHQRSYSVGEDDKVEVVRDGPLLLESENQKESPSSASAVPSDLANDFRHKRSSSRWNDDLSPASSTITESTQAGELISGSRHPVGGMTMSDHIDLLVEQVKMLAGEIALGTSSLKRLVEQSVNDPDSAKTQIENLERDIHEKRRQMRVLEQRINESGEASIANASFVEMQQTVKRLTTQCNEKGFELEIKSADNRILQEQLQNKCAENVELHEKVNQLERRLASVSGETSSEHCVSEEYVEELKKKIQSQEIENEKLKLEHVQFSEENSGLHVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSLQSAKLEKELLAARELANSRSSVMQPVNGANRKYNDGARSGRKGRLSGRANEISGMSDDFESWNLDADDLKMELQARKQREAALEAALAEKEFVEEEYRKKVEDAKKREEALENDLANMWVLVAKLKKEGGSIPETHTEERHNDVMRNSNGLKTSDSNTVPKERQVLDVSKPADDESPTEEPLVLRLKARMQEMKDKELKHQGNGDANSHLCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFTS >ONH90638 pep chromosome:Prunus_persica_NCBIv2:G8:9771979:9772662:1 gene:PRUPE_8G065900 transcript:ONH90638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLASTTEKSHASSTTKSHAPSQSSAPSKASPSPSPSSTPPKAAPTAPEADSPSSPPSSSPVSSAEISPSWSFGSSSEAPTTAPTLAVSRKFAWPTGSPLQNWFNKHFGGGERIH >ONH92227 pep chromosome:Prunus_persica_NCBIv2:G8:17113291:17117682:1 gene:PRUPE_8G164100 transcript:ONH92227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKPISPSSSSSPSSLYPSADMKDLAENLFPEDDSVSNRNTQSSEELMVKIPGAIVHLIEKDSSIELATGELTIVSLRQNGNIVAVLARVGDQIQWPLARDEAAVKLDQAHYFFTLRVPANGSPKRDEIDDPTHEKGQFVLLNYGLTFASSKGQEGLLNELDQILGIYSCFSEERVEGLGNWEVLDGSVGRDTSQEERAAAYWTTLAPNVEDYSGKCARLIAAGSGQVIRGILWCGDVTVDRLKWGNEFLKMRMGPTSESEISPETLKRIQRVKKLTKMSEDVATGILSGVVKVSGFFTSSIVNSKVGQKFFSLLPGEIVLASLDGFNKVCDAVEVAGRNVMSTTSVVTTGLVSQRYGEPAAKVTNEGLDAAGHAIGTAWAVFKVRKAINPKSVLKPTTLVKAAAESKSSKLKSQTK >ONH91233 pep chromosome:Prunus_persica_NCBIv2:G8:13225762:13228930:-1 gene:PRUPE_8G100900 transcript:ONH91233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPIAEDFDPPQKPKRNKFAIACSLMACTTSILLGYDIGVMSGANLFIQDDLKINDVQIQILTGTLNIYSVLGSALAGRTSDWIGRRYTAFLSGAIFSVGAILMGVAQGYTFLMFGRFVAGIGVGYGLMISPVYTAEIAPTLSRGFLTSFPEVFVNIGILLGYVSNYAFSKLPAHLYWRFMLGIGALPAIILAIGVLAVPESPRWLVMQGRLGDAKRVLDKTSASKEEAQLRLDDIKEAAGIAKELDDDVVPVSKKSHGEGVWKDLILHPTPAVRHILIAALGIHFFEQASGIDSVVLYSPRIFEKAGLKSYDQRLLATVGVGFVKTIAILVATFFLDRFGRRWLLLSSVAGMIFSLVCLGVGLTIIDHHRDTVPWAIALCITMVVGAHNMGL >ONH91858 pep chromosome:Prunus_persica_NCBIv2:G8:15853925:15855588:-1 gene:PRUPE_8G140100 transcript:ONH91858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFAELGWFQDLLEVLYRVLQKSIDEAKKKSRDEAKKREEEGEGRRKEYGKNRSNEWQKPRNRYWSNYDESDVEEEKEEIKTVTDVRIARAKSAVDRYQNDLEYRFLHDRVSEVFVEMLTSDVLSLESGEIEHISTASKFCPSIDSSYDRATLICENIARRMFPRHNFGEYKDVEEAHYAYRVRNRLRKQVLSPLRKALERLPAAKQDKKSLSLFKNRKRLIALEIYSKIIGCGGGGRDERRLQIKGYMKLVDILLPKKNIVDICFGDGLKPPHHVVALLEKEHGGDDEIAEVQWRRLVQEFSNKGRLRNCIAVCDVPESMRGTLKEMVCISMGLLVSELSEKPWQGFVIPLSDFPRLHKVEGDNLQSKLLGEYISNLFFNFAIKQIDLTILKSISNEMKPAIDVSSFVVMNWIL >ONH93925 pep chromosome:Prunus_persica_NCBIv2:G8:22057662:22058465:1 gene:PRUPE_8G261100 transcript:ONH93925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAEALNAYRALLRATRKSFAGDTPMLKGSAAEVRKKFEENRHVTSDVEIQRLLEEAREAALFITQMIVQAKLNSRGGYEVKADKDHAGATLEVPSEELLPKS >ONH93482 pep chromosome:Prunus_persica_NCBIv2:G8:20797272:20804509:1 gene:PRUPE_8G234700 transcript:ONH93482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNRSSVRTAMEESLQIQRLEEAPSARDFESRIESTNVPAVFNGCVKDWKAFSKWNPSNGGLDYLQVPLPFSAFIGLCKQRMRHSEDGSGACFESEQRGLLEPVSEYDREPYEDAPQQIYLAQVPIINTENEDRVQLESLREDIQTPVFLEDKVLASTNLWMNNAQARSSTHYDPHHNLLCIVSGCKQVVLWPPSASAILYPMPIYGEASNHSSVPLENPDFSNYPRAQCLMEYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIVSNMSEHMDAYYLRIILRRLTDKEMNRVLPKSSLAEMENKKKHTCVVLNNGGVDQNDNDLDQACERKDLKGKELEQRTMSHELEPLAIHALHELVSLVHHRVNAAELRQPVQSTTTTDSAASVKDEFTKVVRANSFSLEDDPVAKIIWALQPCTFRNVFLAMVKNFPRTLEALILHLLSPVGAEVLTCKFEEMDQQITEEDRNRFYQVFYGAFDDQFAAMDAILNGKELFALQAYKNVLDKYLGVDWGGPKPGV >ONH93487 pep chromosome:Prunus_persica_NCBIv2:G8:20797907:20804509:1 gene:PRUPE_8G234700 transcript:ONH93487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSAPVFYGDLRSHERVPLPFSAFIGLCKQRMRHSEDGSGACFESEQRGLLEPVSEYDREPYEDAPQQIYLAQVPIINTENEDRVQLESLREDIQTPVFLEDKVLASTNLWMNNAQARSSTHYDPHHNLLCIVSGCKQVVLWPPSASAILYPMPIYGEASNHSSVPLENPDFSNYPRAQCLMEYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIVSNMSEHMDAYYLRIILRRLTDKEMNRVLPKSSLAEMENKKKHTCVVLNNGGVDQNDNDLDQACERKDLKGKELEQRTMSHELEPLAIHALHELVSLVHHRVNAAELRQPVQSTTTTDSAASVKDEFTKVVRANSFSLEDDPVAKIIWALQPCTFRNVFLAMVKNFPRTLEALILHLLSPVGAEVLTCKFEEMDQQITEEDRNRFYQVFYGAFDDQFAAMDAILNGKELFALQAYKNVLDKYLGVDWGGPKPGV >ONH93486 pep chromosome:Prunus_persica_NCBIv2:G8:20797272:20802230:1 gene:PRUPE_8G234700 transcript:ONH93486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNRSSVRTAMEESLQIQRLEEAPSARDFESRIESTNVPAVFNGCVKDWKAFSKWNPSNGGLDYLQERVGLCVVEAMLSSSAPVFYGDLRSHERVPLPFSAFIGLCKQRMRHSEDGSGACFESEQRGLLEPVSEYDREPYEDAPQQIYLAQVPIINTENEDRVQLESLREDIQTPVFLEDKVLASTNLWMNNAQARSSTHYDPHHNLLCIVSGCKQVVLWPPSASAILYPMPIYGEASNHSSVPLENPDFSNYPRAQCLMEYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIVSNMSEHMDAYYLRIILRRLTDKEMKNFPRTLEALILHLLSPVGAEVLTCKFEEMDQQITEEDRNRFYQVFYGAFDDQFAAMDAILNGKELFALQVGTQ >ONH93483 pep chromosome:Prunus_persica_NCBIv2:G8:20797272:20804509:1 gene:PRUPE_8G234700 transcript:ONH93483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNRSSVRTAMEESLQIQRLEEAPSARDFESRIESTNVPAVFNGCVKDWKAFSKWNPSNGGLDYLQVPLPFSAFIGLCKQRMRHSEDGSGACFESEQRGLLEPVSEYDREPYEDAPQQIYLAQVPIINTENEDRVQLESLREDIQTPVFLEDKVLASTNLWMNNAQARSSTHYDPHHNLLCIVSGCKQVVLWPPSASAILYPMPIYGEASNHSSVPLENPDFSNYPRAQCLMEYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIVSNMSEHMDAYYLRIILRRLTDKEMKNFPRTLEALILHLLSPVGAEVLTCKFEEMDQQITEEDRNRFYQVFYGAFDDQFAAMDAILNGKELFALQAYKNVLDKYLGVDWGGPKPGV >ONH93488 pep chromosome:Prunus_persica_NCBIv2:G8:20798506:20804509:1 gene:PRUPE_8G234700 transcript:ONH93488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNAQARSSTHYDPHHNLLCIVSGCKQVVLWPPSASAILYPMPIYGEASNHSSVPLENPDFSNYPRAQCLMEYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIVSNMSEHMDAYYLRIILRRLTDKEMNRVLPKSSLAEMENKKKHTCVVLNNGGVDQNDNDLDQACERKDLKGKELEQRTMSHELEPLAIHALHELVSLVHHRVNAAELRQPVQSTTTTDSAASVKDEFTKVVRANSFSLEDDPVAKIIWALQPCTFRNVFLAMVKNFPRTLEALILHLLSPVGAEVLTCKFEEMDQQITEEDRNRFYQVFYGAFDDQFAAMDAILNGKELFALQAYKNVLDKYLGVDWGGPKPGV >ONH93484 pep chromosome:Prunus_persica_NCBIv2:G8:20797276:20802064:1 gene:PRUPE_8G234700 transcript:ONH93484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNRSSVRTAMEESLQIQRLEEAPSARDFESRIESTNVPAVFNGCVKDWKAFSKWNPSNGGLDYLQERVGLCVVEAMLSSSAPVFYGDLRSHERVPLPFSAFIGLCKQRMRHSEDGSGACFESEQRGLLEPVSEYDREPYEDAPQQIYLAQVPIINTENEDRVQLESLREDIQTPVFLEDKVLASTNLWMNNAQARSSTHYDPHHNLLCIVSGCKQVVLWPPSASAILYPMPIYGEASNHSSVPLENPDFSNYPRAQCLMEYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIVSNMSEHMDAYYLRIILRRLTDKEMNRVLPKSSLAEMENKKKHTCVVLNNGGVDQNDNDLDQACERKDLKGKELEQRTMSHELEPLAIHALHELVSLVHHRVNAAELRQPVQSTTTTDSAASVKDEFTKVVRANSFSLEDDPVAKIIWALQPCTFRNVFLAMVKNFPRTLEALILHLLSPVGAEVLTCKFEEMDQQITEEDRNRFYQVFYGAFDDQFAAMDAILNGKELFALQVGTQ >ONH93479 pep chromosome:Prunus_persica_NCBIv2:G8:20797272:20804509:1 gene:PRUPE_8G234700 transcript:ONH93479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNRSSVRTAMEESLQIQRLEEAPSARDFESRIESTNVPAVFNGCVKDWKAFSKWNPSNGGLDYLQERVGLCVVEAMLSSSAPVFYGDLRSHERVPLPFSAFIGLCKQRMRHSEDGSGACFESEQRGLLEPVSEYDREPYEDAPQQIYLAQVPIINTENEDRVQLESLREDIQTPVFLEDKVLASTNLWMNNAQARSSTHYDPHHNLLCIVSGCKQVVLWPPSASAILYPMPIYGEASNHSSVPLENPDFSNYPRAQCLMEYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIVSNMSEHMDAYYLRIILRRLTDKEMNRVLPKSSLAEMENKKKHTCVVLNNGGVDQNDNDLDQACERKDLKGKELEQRTMSHELEPLAIHALHELVSLVHHRVNAAELRQPVQSTTTTDSAASVKDEFTKVVRANSFSLEDDPVAKIIWALQPCTFRNVFLAMVKNFPRTLEALILHLLSPVGAEVLTCKFEEMDQQITEEDRNRFYQVFYGAFDDQFAAMDAILNGKELFALQAYKNVLDKYLGVDWGGPKPGV >ONH93480 pep chromosome:Prunus_persica_NCBIv2:G8:20797272:20804509:1 gene:PRUPE_8G234700 transcript:ONH93480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNRSSVRTAMEESLQIQRLEEAPSARDFESRIESTNVPAVFNGCVKDWKAFSKWNPSNGGLDYLQERVGLCVVEAMLSSSAPVFYGDLRSHERVPLPFSAFIGLCKQRMRHSEDGSGACFESEQRGLLEPVSEYDREPYEDAPQQIYLAQVPIINTENEDRVQLESLREDIQTPVFLEDKVLASTNLWMNNAQARSSTHYDPHHNLLCIVSGCKQVVLWPPSASAILYPMPIYGEASNHSSVPLENPDFSNYPRAQCLMEYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIVSNMSEHMDAYYLRIILRRLTDKEMNDNDLDQACERKDLKGKELEQRTMSHELEPLAIHALHELVSLVHHRVNAAELRQPVQSTTTTDSAASVKDEFTKVVRANSFSLEDDPVAKIIWALQPCTFRNVFLAMVKNFPRTLEALILHLLSPVGAEVLTCKFEEMDQQITEEDRNRFYQVFYGAFDDQFAAMDAILNGKELFALQAYKNVLDKYLGVDWGGPKPGV >ONH93481 pep chromosome:Prunus_persica_NCBIv2:G8:20797272:20804509:1 gene:PRUPE_8G234700 transcript:ONH93481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNRSSVRTAMEESLQIQRLEEAPSARDFESRIESTNVPAVFNGCVKDWKAFSKWNPSNGGLDYLQERVGLCVVEAMLSSSAPVFYGDLRSHERVPLPFSAFIGLCKQRMRHSEDGSGACFESEQRGLLEPVSEYDREPYEDAPQQIYLAQVPIINTENEDRVQLESLREDIQTPVFLEDKVLASTNLWMNNAQARSSTHYDPHHNLLCIVSGCKQVVLWPPSASAILYPMPIYGEASNHSSVPLENPDFSNYPRAQCLMEYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIVSNMSEHMDAYYLRIILRRLTDKEMKNFPRTLEALILHLLSPVGAEVLTCKFEEMDQQITEEDRNRFYQVFYGAFDDQFAAMDAILNGKELFALQAYKNVLDKYLGVDWGGPKPGV >ONH93485 pep chromosome:Prunus_persica_NCBIv2:G8:20797272:20802230:1 gene:PRUPE_8G234700 transcript:ONH93485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNRSSVRTAMEESLQIQRLEEAPSARDFESRIESTNVPAVFNGCVKDWKAFSKWNPSNGGLDYLQERVGLCVVEAMLSSSAPVFYGDLRSHERVPLPFSAFIGLCKQRMRHSEDGSGACFESEQRGLLEPVSEYDREPYEDAPQQIYLAQVPIINTENEDRVQLESLREDIQTPVFLEDKVLASTNLWMNNAQARSSTHYDPHHNLLCIVSGCKQVVLWPPSASAILYPMPIYGEASNHSSVPLENPDFSNYPRAQCLMEYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIVSNMSEHMDAYYLRIILRRLTDKEMNRVLPKSSLAEMENKKKHTCVVLNNGGVDQNDNDLDQACERKDLKGKELEQRTMSHELEPLAIHALHELVSLVHHRVNAAELRQPVQSTTTTDSAASVKDEFTKVVRANSFSLEDDPVAKIIWALQPCTFRNVFLAMVKNFPRTLEALILHLLSPVGAEVLTCKFEEMDQQITEEDRNRFYQVFYGAFDDQFAAMDAILNGKELFALQVGTQ >ONH93343 pep chromosome:Prunus_persica_NCBIv2:G8:20384712:20386007:1 gene:PRUPE_8G227400 transcript:ONH93343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSPCGACKFLRRKCVRGCIFAPYFCHEQGATHFSAIHKVFGASNVSKLLAHLPLSDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVVNLQAQLASLKDQAAQSFVNGSAVANPNEKFYGKLPSHTEEAQSWFHELENSSNSMPQFNSTHIKNPGTTSYYENEIMNSNNSVNGSYENSVNIPEENVSYGSFEEGTSHSMSSFGMQTNYNRQWGFQDADELQSVAFSYIHQHS >ONH89675 pep chromosome:Prunus_persica_NCBIv2:G8:655501:656707:1 gene:PRUPE_8G008800 transcript:ONH89675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRGPRFSGMQKQVLSLYRGFLRAARAKSAEDRQQIESLVSSEFRRNAKEVDRKNFLYIEYLLRRAKKQLDQLGAPMLLDYQP >ONH93194 pep chromosome:Prunus_persica_NCBIv2:G8:19936302:19940837:-1 gene:PRUPE_8G218600 transcript:ONH93194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSRFRFRDLPEMENCTQSDSDSASDLSSVSSDRDDESDLQSMTGKGIKHLCSELLELKAASSEEFHKHIFSNYSAFVRIFEEVGHVESELMQLKNHVVTQKRLVSDLVDGIYFKVLSKETIDLVIEESGCEELLPISKLEAHANDVLETLDTLLSENRIDEALSLIELEDENLQRLRFEEDCLVDELRLFSSAVSERKAMLILQLTMVAENPRIAAPELQKALVGLCRLGDSHLATQLLIKYYHSRIASGIHNFQASKSFLHKVYIRELSKFVFSMISQAARSFVMLYGETSPYALELIQWARQETKVFGACFDKYVKTISEISGGLSTAVEAVQFAMSFCSLLETQRLVLRPYLIKRIRPCMEEVLDKHLDHFKKVIDIFTATDAWVLGRYLVSGIMNEGCSSMVVGQQPEYCLLTNSGRKFVTLLQSITVDVTPLFSLQIEGSIVSGLMNLFKKYIDILERAINCEIAVAETNNSRLYLANSVQQQVSILANLSALEQLFSSMVRSIFKGVSDVNSELMKIHPVEVQVKELDSCISFIQEASCRLRALFCEQFILKIMSVKTSYKLTPASSVDGPGESSMFHGVMPSLAFQVLFLELRKLEKLSEDNIFEVDWLLELLRELIEAVFVWVSNNKENWDIDGENMTVELPLNFKQFVLDVQFLVEISKYGGYFSNNPLFLLNLMKSALLSTELDPRRDVNDDIWAIDFAAETIQKLLESEKTLLPLDQEPVSEQESEDQSKYAADSFPDDASSSEEDAVATDEPEVASNAESESLNAKSCVNQSKNSAGSLEENVRSSLEDYVEPEEDAVATHDPKVAYNAKTASVDAGPCMDRSKISADSFQEDVRNSLEDYVEPEVDAAATYDPEVAYNAKSASLNAEPCMDQSKNAADSFREDVRNSLEDAVATYDPELALNAEPCNGESKYATDSFEDDVVSPSDDYTQSKEDVKAVDNAEVALNEETASLITESCPQGGSCGVLELDWNSSDRGAIDLTDTSIESQTTGSENLSNKAVDISHIIEETNVPST >ONH93196 pep chromosome:Prunus_persica_NCBIv2:G8:19937639:19940736:-1 gene:PRUPE_8G218600 transcript:ONH93196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSRFRFRDLPEMENCTQSDSDSASDLSSVSSDRDDESDLQSMTGKGIKHLCSELLELKAASSEEFHKHIFSNYSAFVRIFEEVGHVESELMQLKNHVVTQKRLVSDLVDGIYFKVLSKETIDLVIEESGCEELLPISKLEAHANDVLETLDTLLSENRIDEALSLIELEDENLQRLRFEEDCLVDELRLFSSAVSERKAMLILQLTMVAENPRIAAPELQKALVGLCRLGDSHLATQLLIKYYHSRIASGIHNFQASKSFLHKVYIRELSKFVFSMISQAARSFVMLYGETSPYALELIQWARQETKVFGACFDKYVKTISEISGGLSTAVEAVQFAMSFCSLLETQRLVLRPYLIKRIRPCMEEVLDKHLDHFKKVIDIFTATDAWVLGRYLVSGIMNEGCSSMVVGQQPEYCLLTNSGRKFVTLLQSITVDVTPLFSLQIEGSIVSGLMNLFKKYIDILERAINCEIAVAETNNSRLYLANSVQQQVSILANLSALEQLFSSMVRSIFKGVSDVNSELMKIHPVEVQVKELDSCISFIQEASCRLRALFCEQFILKIMSVKTSYKLTPASSVDGPGESSMFHGVMPSLAFQVLFLELRKLEKLSEDNIFEVDWLLELLRELIEAVFVWVSNNKENWDIDGENMTVELPLNFKQFVLDVQFLVEISKYGGYFSNNPLFLLNLMKSALLSTELDPRRYLSLSRARTPSFFLKEMSMMIYGL >ONH93195 pep chromosome:Prunus_persica_NCBIv2:G8:19936302:19940837:-1 gene:PRUPE_8G218600 transcript:ONH93195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKNHVVTQKRLVSDLVDGIYFKVLSKETIDLVIEESGCEELLPISKLEAHANDVLETLDTLLSENRIDEALSLIELEDENLQRLRFEEDCLVDELRLFSSAVSERKAMLILQLTMVAENPRIAAPELQKALVGLCRLGDSHLATQLLIKYYHSRIASGIHNFQASKSFLHKVYIRELSKFVFSMISQAARSFVMLYGETSPYALELIQWARQETKVFGACFDKYVKTISEISGGLSTAVEAVQFAMSFCSLLETQRLVLRPYLIKRIRPCMEEVLDKHLDHFKKVIDIFTATDAWVLGRYLVSGIMNEGCSSMVVGQQPEYCLLTNSGRKFVTLLQSITVDVTPLFSLQIEGSIVSGLMNLFKKYIDILERAINCEIAVAETNNSRLYLANSVQQQVSILANLSALEQLFSSMVRSIFKGVSDVNSELMKIHPVEVQVKELDSCISFIQEASCRLRALFCEQFILKIMSVKTSYKLTPASSVDGPGESSMFHGVMPSLAFQVLFLELRKLEKLSEDNIFEVDWLLELLRELIEAVFVWVSNNKENWDIDGENMTVELPLNFKQFVLDVQFLVEISKYGGYFSNNPLFLLNLMKSALLSTELDPRRDVNDDIWAIDFAAETIQKLLESEKTLLPLDQEPVSEQESEDQSKYAADSFPDDASSSEEDAVATDEPEVASNAESESLNAKSCVNQSKNSAGSLEENVRSSLEDYVEPEEDAVATHDPKVAYNAKTASVDAGPCMDRSKISADSFQEDVRNSLEDYVEPEVDAAATYDPEVAYNAKSASLNAEPCMDQSKNAADSFREDVRNSLEDAVATYDPELALNAEPCNGESKYATDSFEDDVVSPSDDYTQSKEDVKAVDNAEVALNEETASLITESCPQGGSCGVLELDWNSSDRGAIDLTDTSIESQTTGSENLSNKAVDISHIIEETNVPST >ONH93856 pep chromosome:Prunus_persica_NCBIv2:G8:21880955:21882133:1 gene:PRUPE_8G256600 transcript:ONH93856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKLHGFPVSPSTARVEACLHEKALDFEFVPVNILGLENKQPSFLAKNPFGQIPAFEDGDVTLFESRAITAYVAEKFKETGYDLIRHENLKEAALVKVWIEVESQQYHPAICPIVYEFFGKPVVGCKPDQTVIDASLEKLKKVLDVYETRLSSNKYLAGDFYSLADLHHFAYTFYFMKTPWSSLINDRPHVKAWWEEISARPASVKVAEGMNFGEVEIK >ONH92436 pep chromosome:Prunus_persica_NCBIv2:G8:17795480:17796193:1 gene:PRUPE_8G175300 transcript:ONH92436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHTRSNSFPSRPHPVVQEIDEHLCRLRSSEATSTSSSSISHKLSGLQNLHDSLAQEQNEKWTNELLDGSLRLLDICSAAKDALLQTKECVQDLQSIMRRTQRGECGALTTEVRKYLTSRKMVKKAIHKAMGNVKGSSFSSLNKDNKTIAIVSTLRDVEAVTFTAFESHLSFISGPKSQPSSWSLVSKMMQSKKVACVEENEANEFAKVDGGQLLNFSFRLPF >ONH90425 pep chromosome:Prunus_persica_NCBIv2:G8:5919394:5920531:1 gene:PRUPE_8G053200 transcript:ONH90425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRTQRDSAFLPSPDQSAPQPNHDVFLSFRGEDTRLSFVSHLYHELQLRGIKTFKDDPKLERGTPISSELCNAIEESRFAIVVL >ONH90426 pep chromosome:Prunus_persica_NCBIv2:G8:5919212:5920531:1 gene:PRUPE_8G053200 transcript:ONH90426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRTQRDSAFLPSPDQSAPQPNHDVFLSFRGEDTRLSFVSHLYHELQLRGIKTFKDDPKLERGTPISSELCNAIEESRFAIVVL >ONH92414 pep chromosome:Prunus_persica_NCBIv2:G8:17722579:17729336:1 gene:PRUPE_8G174300 transcript:ONH92414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSKDLPVSGDSIRRRFIDFYASRGHKVLPSASLVPDDPTVLLTIAGMLQFKPIFLGQVPRQVPRATTAQRCIRTNDVENVGRTSRHHTFFEMLGNFSFGDYFKKEAIRWAWELSTVEFGLPADRLWISVFEDDNEAFEIWHDEMGVPVERIKKMGEDDNFWTSGVTGPCGPCSEIYYDFHPERGYSDTDLNDDTRFIEFYNLVFMEFNKKDDGSLEPLKQKNIDTGLGLERMARILQKVSNNYETDLIYPIMEKTSELAKVPYGLADDHSKLNLKIIGDHLRAIVYLISDGVVPSNIGRGYVVRRLIRRAVRTGRMLGIKGDGQGNLEGAFLPVIAGKVIELSTHINPDVKDRTPRILEELKREELKFVQTLERGEKYLDQMLVEALLSAKASGTVPRLSGKDAFLLYDTYGFPVEITAEVAEERGVSIDMTGFDIEMENQRHQSQAAHSAVKLAMGNSAELTKDVPDTKFLGYETLSATAIVESLILNGNPVLQVSEGSEVEVLLNRTPFYAESGGQIGDHGFLYVPQGENQHKSVMEIIDVQKSMGNIFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQSALKKVIGQETSQAGSLVAFERLRFDFNFHRPLIDDELAEIERLVNKWVGDATPLQTKVMPLADAKGAGAIAMFGEKYSEEVRVVEVPGVSMELCGGTHVSNTSEIRGFKIISEQGIASGIRRIEAVAGDAFIEYVNARDYHMKQLCSTLKVKAEEVTTRVENLLEELRITRNEASTLREKAAVYKASIMATKAISVGTSEKFRVLVESMEDTDADSLKKATEYLIETLEDPAAVILGSCPGADKVSLVAAFTPGVVQLGIQAGKFIGPIAKLCGGGGGGRPNFAQAGGRKPENLSNALEKARSEIISVLSEKAK >ONH92415 pep chromosome:Prunus_persica_NCBIv2:G8:17725234:17729336:1 gene:PRUPE_8G174300 transcript:ONH92415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNISWLLLQMGVPVERIKKMGEDDNFWTSGVTGPCGPCSEIYYDFHPERGYSDTDLNDDTRFIEFYNLVFMEFNKKDDGSLEPLKQKNIDTGLGLERMARILQKVSNNYETDLIYPIMEKTSELAKVPYGLADDHSKLNLKIIGDHLRAIVYLISDGVVPSNIGRGYVVRRLIRRAVRTGRMLGIKGDGQGNLEGAFLPVIAGKVIELSTHINPDVKDRTPRILEELKREELKFVQTLERGEKYLDQMLVEALLSAKASGTVPRLSGKDAFLLYDTYGFPVEITAEVAEERGVSIDMTGFDIEMENQRHQSQAAHSAVKLAMGNSAELTKDVPDTKFLGYETLSATAIVESLILNGNPVLQVSEGSEVEVLLNRTPFYAESGGQIGDHGFLYVPQGENQHKSVMEIIDVQKSMGNIFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQSALKKVIGQETSQAGSLVAFERLRFDFNFHRPLIDDELAEIERLVNKWVGDATPLQTKVMPLADAKGAGAIAMFGEKYSEEVRVVEVPGVSMELCGGTHVSNTSEIRGFKIISEQGIASGIRRIEAVAGDAFIEYVNARDYHMKQLCSTLKVKAEEVTTRVENLLEELRITRNEASTLREKAAVYKASIMATKAISVGTSEKFRVLVESMEDTDADSLKKATEYLIETLEDPAAVILGSCPGADKVSLVAAFTPGVVQLGIQAGKFIGPIAKLCGGGGGGRPNFAQAGGRKPENLSNALEKARSEIISVLSEKAK >ONH92413 pep chromosome:Prunus_persica_NCBIv2:G8:17722579:17729336:1 gene:PRUPE_8G174300 transcript:ONH92413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKLPQSLFSSHGGKAIVPLPTSSLLPKSTFLIYPNRKLALSTDFVTTATVALLPANMFPCRHSVVKQIRSMQFTTRSTSASVQSTADELMEGKSKDLPVSGDSIRRRFIDFYASRGHKVLPSASLVPDDPTVLLTIAGMLQFKPIFLGQVPRQVPRATTAQRCIRTNDVENVGRTSRHHTFFEMLGNFSFGDYFKKEAIRWAWELSTVEFGLPADRLWISVFEDDNEAFEIWHDEMGVPVERIKKMGEDDNFWTSGVTGPCGPCSEIYYDFHPERGYSDTDLNDDTRFIEFYNLVFMEFNKKDDGSLEPLKQKNIDTGLGLERMARILQKVSNNYETDLIYPIMEKTSELAKVPYGLADDHSKLNLKIIGDHLRAIVYLISDGVVPSNIGRGYVVRRLIRRAVRTGRMLGIKGDGQGNLEGAFLPVIAGKVIELSTHINPDVKDRTPRILEELKREELKFVQTLERGEKYLDQMLVEALLSAKASGTVPRLSGKDAFLLYDTYGFPVEITAEVAEERGVSIDMTGFDIEMENQRHQSQAAHSAVKLAMGNSAELTKDVPDTKFLGYETLSATAIVESLILNGNPVLQVSEGSEVEVLLNRTPFYAESGGQIGDHGFLYVPQGENQHKSVMEIIDVQKSMGNIFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQSALKKVIGQETSQAGSLVAFERLRFDFNFHRPLIDDELAEIERLVNKWVGDATPLQTKVMPLADAKGAGAIAMFGEKYSEEVRVVEVPGVSMELCGGTHVSNTSEIRGFKIISEQGIASGIRRIEAVAGDAFIEYVNARDYHMKQLCSTLKVKAEEVTTRVENLLEELRITRNEASTLREKAAVYKASIMATKAISVGTSEKFRVLVESMEDTDADSLKKATEYLIETLEDPAAVILGSCPGADKVSLVAAFTPGVVQLGIQAGKFIGPIAKLCGGGGGGRPNFAQAGGRKPENLSNALEKARSEIISVLSEKAK >ONH93796 pep chromosome:Prunus_persica_NCBIv2:G8:21674857:21678473:1 gene:PRUPE_8G253100 transcript:ONH93796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPLVSALMRLGVKSTTTDEAPINSITLFVVLLCFCIVIGHLLEKSRWMTESVTALLIGLCTGIVILLNTEWKSSRVLVFNEDIFFIYLLPPIIFNAGFQVKKKRFFQNFMTIMLLGAVGSFISFVIVWLGSLHLFEKMDIGFLEMNDYLALGAILSATDTVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQRFDLSHINSTIVMEFIGNFLYLFLTSTLLGIAVGLLSAYIMKKLYLGRHSTDREVALMILMAYLSYMVAELFDLSGILTVFFCGIVMSHYTWHNVTERSRVTTKHAFATLSFVSEIFIFLYVGMDALDIEKWKFVSESPGTSIGVSSILLGLVLIGRAAFVFPLCFASNLTRKHQSDKIGFKQQVTVWWAGLMRGAVSVALAYNWFTMSGHTQRRGNAVMITSTITVVLFTNVVCGLLTKPLVRWLLPQHKHSGSIMSSELLSSEMSLAMPLLTNGDDPKWDMAGDRIPRRTVLRMLLMTPVRSVHYYWRMFDDSFMRPVFGGRGFVPFAPGSPNEDIVHQSVLGEQQIVE >ONH93962 pep chromosome:Prunus_persica_NCBIv2:G8:22139137:22139987:-1 gene:PRUPE_8G263200 transcript:ONH93962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSPRPLPSQQRDYLKEYKLLHTSPFLKNYYCRIRTVNVVSTAVSQSQTHSPPTTIPGNTKSNKKIGEREARENPKAQTMSSLAAILALFVILSPFATSSTAAAGYDDSRSAYDIIQDFDFPMGLLPKGVTGYELDRSNGQFRAYLNGSCSFALEGSYQLKYKSTISGSISKNKLSGLTGVSVKVLFLWLNIVEVTRSGDNLEFSVGIASAAFPIDNFYECPQCGCGLDCVNGQVRKIKMNPLVSSI >ONH91521 pep chromosome:Prunus_persica_NCBIv2:G8:14718336:14725012:-1 gene:PRUPE_8G120600 transcript:ONH91521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRSALTEAANLAGMVLNREGYESKFIHEIIEEISRQLNRLYLTHETNYLVGIDSRMREMNDLLGVGVADVRMVGIGGLGGMGKTTLAKAVYNSVAHKFEGSCFLGNVRENSEAPGGLVKLQKTLLHQIPGVKISSLTNADSGVDIIRRRLRDKRVLLIIDDVDDLDQLKCLAGSSDWFGAGSRIIITTRYEHLLLAHKVDFTYQLKELEPDEAMELFHSKAFPTSRIPNDYLEPASRIVGYAQGNPLALIDVGSFLCGKSIYEWHPILERYERAPASNIKEILKIIKKEHDLQRTVRTTCIVFSIFDWRNLFYSFIC >ONH92141 pep chromosome:Prunus_persica_NCBIv2:G8:16794545:16795015:1 gene:PRUPE_8G157800 transcript:ONH92141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLISIIRRSLAMSKQLSMATTNVPKGHFAVYVGEEQKKRLVVPISYLNHQWFKDLLSCSEEKFGFTHPMGGITIPCTEEAFIGLSSCLHSF >ONH93725 pep chromosome:Prunus_persica_NCBIv2:G8:21517836:21520443:1 gene:PRUPE_8G249400 transcript:ONH93725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVTQWQKQWFDYTQSLRREGFLDDQFAQLKKLQDESSPDFVVEVVSLFFQDSEKLLNNMGRALEQNVVNFKQVDAYVHQFKGSSAWIGASRLKNVCINFRNSCEAQNLEGCLRCLQQVQQESSALKSKLEYLFMLEQQIVAAGGSIPIME >ONH93726 pep chromosome:Prunus_persica_NCBIv2:G8:21517807:21520488:1 gene:PRUPE_8G249400 transcript:ONH93726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVTQWQKQWFDYTQSLRREGFLDDQFAQLKKLQDESSPDFVVEVVSLFFQDSEKLLNNMGRALEQNVVNFKQVDAYVHQFKGSSAWIGASRLKNVCINFRNSCEAQNLEGCLRCLQQVQQESSALKSKLEYLFMLEQQIVAAGGSIPIME >ONH90659 pep chromosome:Prunus_persica_NCBIv2:G8:9928369:9929401:1 gene:PRUPE_8G067400 transcript:ONH90659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVGTKVVDVKGFFGSMDLLRSRISSFDPHFLGVRQGFQRLGLLGAIFLPISMGSFGYSVMRIADPGGDRVLNDLGQLFWRHLVLTDFSSDSRICIKDCADKAKKTSLEGSPRAP >ONH92114 pep chromosome:Prunus_persica_NCBIv2:G8:16716104:16719071:-1 gene:PRUPE_8G156200 transcript:ONH92114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQTFVVGQEFPDVKAFRNAIKEAAIAQHFELRIIKSDLIRYFAKCATDGCPWRIRAVKLPNAPTFTIRSLEGTHTCGRNAHNGHHQASVDWIVSFIEERLRDNINYKPKDILHDIHKQYGITIPYKQAWRAKERGLAAIYGSSEEGYCLLPSYCEQIKKTNPGSIAEVFTTGVDHRFQRLFVSFYASICGFLNGCLPIIGLGGIQLKSKYLGTLLSATSFDADGGLFPLAFGVVDVETDESWMWFLSELHKALEMNTENMPELTFLSDGHKGIADAVRRKFPNSLHAFCMRHLSESIGKEFKNSRLVHLLWNAAYATTMFGFKEKMAEIEEVSPEAAKWLQQFHPSLWALVYFEGTRYGHLSSNIEEFNTWILEARELPIIQVIATIHIRLMAEFEERRFKSNSWFSVLAPSAEKHILEAIGHASTYQVLRSDQVEFEVLSADRSDIVNIGTRCCSCRDWQLYGIPCSHAVAAFISCRKDVYAFTEKCFTVASYREAYAEQIYPIPGKVEWRNADEACVDDDTRVVRPPKFRRPPGRPEKKRICVEDLNREKHTVHCSRCNQTGHYKTTCKAETCKAEIMDSIEQF >ONH92117 pep chromosome:Prunus_persica_NCBIv2:G8:16716096:16719229:-1 gene:PRUPE_8G156200 transcript:ONH92117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQTFVVGQEFPDVKAFRNAIKEAAIAQHFELRIIKSDLIRYFAKCATDGCPWRIRAVKLPNAPTFTIRSLEGTHTCGRNAHNGHHQASVDWIVSFIEERLRDNINYKPKDILHDIHKQYGITIPYKQAWRAKERGLAAIYGSSEEGYCLLPSYCEQIKKTNPGSIAEVFTTGVDHRFQRLFVSFYASICGFLNGCLPIIGLGGIQLKSKYLGTLLSATSFDADGGLFPLAFGVVDVETDESWMWFLSELHKALEMNTENMPELTFLSDGHKGIADAVRRKFPNSLHAFCMRHLSESIGKEFKNSRLVHLLWNAAYATTMFGFKEKMAEIEEVSPEAAKWLQQFHPSLWALVYFEGTRYGHLSSNIEEFNTWILEARELPIIQVIATIHIRLMAEFEERRFKSNSWFSVLAPSAEKHILEAIGHASTYQVLRSDQVEFEVLSADRSDIVNIGTRCCSCRDWQLYGIPCSHAVAAFISCRKDVYAFTEKCFTVASYREAYAEQIYPIPGKVEWRNADEACVDDDTRVVRPPKFRRPPGRPEKKRICVEDLNREKHTVHCSRCNQTGHYKTTCKAETCKAEIMDSIEQF >ONH92115 pep chromosome:Prunus_persica_NCBIv2:G8:16716104:16718806:-1 gene:PRUPE_8G156200 transcript:ONH92115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQTFVVGQEFPDVKAFRNAIKEAAIAQHFELRIIKSDLIRYFAKCATDGCPWRIRAVKLPNAPTFTIRSLEGTHTCGRNAHNGHHQASVDWIVSFIEERLRDNINYKPKDILHDIHKQYGITIPYKQAWRAKERGLAAIYGSSEEGYCLLPSYCEQIKKTNPGSIAEVFTTGVDHRFQRLFVSFYASICGFLNGCLPIIGLGGIQLKSKYLGTLLSATSFDADGGLFPLAFGVVDVETDESWMWFLSELHKALEMNTENMPELTFLSDGHKGIADAVRRKFPNSLHAFCMRHLSESIGKEFKNSRLVHLLWNAAYATTMFGFKEKMAEIEEVSPEAAKWLQQFHPSLWALVYFEGTRYGHLSSNIEEFNTWILEARELPIIQVIATIHIRLMAEFEERRFKSNSWFSVLAPSAEKHILEAIGHASTYQVLRSDQVEFEVLSADRSDIVNIGTRCCSCRDWQLYGIPCSHAVAAFISCRKDVYAFTEKCFTVASYREAYAEQIYPIPGKVEWRNADEACVDDDTRVVRPPKFRRPPGRPEKKRICVEDLNREKHTVHCSRCNQTGHYKTTCKAETCKAEIMDSIEQF >ONH92118 pep chromosome:Prunus_persica_NCBIv2:G8:16716102:16719062:-1 gene:PRUPE_8G156200 transcript:ONH92118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQTFVVGQEFPDVKAFRNAIKEAAIAQHFELRIIKSDLIRYFAKCATDGCPWRIRAVKLPNAPTFTIRSLEGTHTCGRNAHNGHHQASVDWIVSFIEERLRDNINYKPKDILHDIHKQYGITIPYKQAWRAKERGLAAIYGSSEEGYCLLPSYCEQIKKTNPGSIAEVFTTGVDHRFQRLFVSFYASICGFLNGCLPIIGLGGIQLKSKYLGTLLSATSFDADGGLFPLAFGVVDVETDESWMWFLSELHKALEMNTENMPELTFLSDGHKGIADAVRRKFPNSLHAFCMRHLSESIGKEFKNSRLVHLLWNAAYATTMFGFKEKMAEIEEVSPEAAKWLQQFHPSLWALVYFEGTRYGHLSSNIEEFNTWILEARELPIIQVIATIHIRLMAEFEERRFKSNSWFSVLAPSAEKHILEAIGHASTYQVLRSDQVEFEVLSADRSDIVNIGTRCCSCRDWQLYGIPCSHAVAAFISCRKDVYAFTEKCFTVASYREAYAEQIYPIPGKVEWRNADEACVDDDTRVVRPPKFRRPPGRPEKKRICVEDLNREKHTVHCSRCNQTGHYKTTCKAETCKAEIMDSIEQF >ONH92116 pep chromosome:Prunus_persica_NCBIv2:G8:16716097:16719229:-1 gene:PRUPE_8G156200 transcript:ONH92116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQTFVVGQEFPDVKAFRNAIKEAAIAQHFELRIIKSDLIRYFAKCATDGCPWRIRAVKLPNAPTFTIRSLEGTHTCGRNAHNGHHQASVDWIVSFIEERLRDNINYKPKDILHDIHKQYGITIPYKQAWRAKERGLAAIYGSSEEGYCLLPSYCEQIKKTNPGSIAEVFTTGVDHRFQRLFVSFYASICGFLNGCLPIIGLGGIQLKSKYLGTLLSATSFDADGGLFPLAFGVVDVETDESWMWFLSELHKALEMNTENMPELTFLSDGHKGIADAVRRKFPNSLHAFCMRHLSESIGKEFKNSRLVHLLWNAAYATTMFGFKEKMAEIEEVSPEAAKWLQQFHPSLWALVYFEGTRYGHLSSNIEEFNTWILEARELPIIQVIATIHIRLMAEFEERRFKSNSWFSVLAPSAEKHILEAIGHASTYQVLRSDQVEFEVLSADRSDIVNIGTRCCSCRDWQLYGIPCSHAVAAFISCRKDVYAFTEKCFTVASYREAYAEQIYPIPGKVEWRNADEACVDDDTRVVRPPKFRRPPGRPEKKRICVEDLNREKHTVHCSRCNQTGHYKTTCKAETCKAEIMDSIEQF >ONH92119 pep chromosome:Prunus_persica_NCBIv2:G8:16716104:16719062:-1 gene:PRUPE_8G156200 transcript:ONH92119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQTFVVGQEFPDVKAFRNAIKEAAIAQHFELRIIKSDLIRYFAKCATDGCPWRIRAVKLPNAPTFTIRSLEGTHTCGRNAHNGHHQASVDWIVSFIEERLRDNINYKPKDILHDIHKQYGITIPYKQAWRAKERGLAAIYGSSEEGYCLLPSYCEQIKKTNPGSIAEVFTTGVDHRFQRLFVSFYASICGFLNGCLPIIGLGGIQLKSKYLGTLLSATSFDADGGLFPLAFGVVDVETDESWMWFLSELHKALEMNTENMPELTFLSDGHKGIADAVRRKFPNSLHAFCMRHLSESIGKEFKNSRLVHLLWNAAYATTMFGFKEKMAEIEEVSPEAAKWLQQFHPSLWALVYFEGTRYGHLSSNIEEFNTWILEARELPIIQVIATIHIRLMAEFEERRFKSNSWFSVLAPSAEKHILEAIGHASTYQVLRSDQVEFEVLSADRSDIVNIGTRCCSCRDWQLYGIPCSHAVAAFISCRKDVYAFTEKCFTVASYREAYAEQIYPIPGKVEWRNADEACVDDDTRVVRPPKFRRPPGRPEKKRICVEDLNREKHTVHCSRCNQTGHYKTTCKAETCKAEIMDSIEQF >ONH91795 pep chromosome:Prunus_persica_NCBIv2:G8:15682254:15684343:1 gene:PRUPE_8G137000 transcript:ONH91795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIWSWISDLPNSAEWAESDSPHTFELASSGASYDSNPTRSIQLRAERTTGSNIDTLVTFSVCLHGFNNQYHPKKTIWVSDTCSLSSDKPYLHLLLQLLREIISRSPTSHDSTCPRSQLQTLKPDPFSWIMDSHSPESFSTFFDLVFVTRLFWLCACDSPTEVGSLYFKSLLAPNLEALLCKQAPALRTFLITVGVDAELCFMRTVGYMLAKWCILREVGVGLQTLTSSPSQNLGFSYATEACGFWVLKAYAPVMGMRTTRSVNLNQKNQFPGLETRDSVLKYALAHQQLEAVVQVEYSVGFYDGFIQVTAHVDNLRFHVVNLGFNKNDDVDYAEEKYFPSRVRVWVGPEVGANYVNGLSLGRSTDNGEREVKTQRITKGSFVKSKSPKVKTAGRVSTRMRKRNWRWDQDAEGNAAVFDAVLCDNMTGHEVATWNPAIGEHSDGLRKRYTGANRPFTKTGSLVLSGDEYGDGVGWRLNREMEGSVLKWRIGGKVWLSYWPNEVNSSYFETRCVEWCDEVDLPLIIGKLSV >ONH91796 pep chromosome:Prunus_persica_NCBIv2:G8:15682432:15684051:1 gene:PRUPE_8G137000 transcript:ONH91796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHSPESFSTFFDLVFVTRLFWLCACDSPTEVGSLYFKSLLAPNLEALLCKQAPALRTFLITVGVDAELCFMRTVGYMLAKWCILREVGVGLQTLTSSPSQNLGFSYATEACGFWVLKAYAPVMGMRTTRSVNLNQKNQFPGLETRDSVLKYALAHQQLEAVVQVEYSVGFYDGFIQVTAHVDNLRFHVVNLGFNKNDDVDYAEEKYFPSRVRVWVGPEVGANYVNGLSLGRSTDNGEREVKTQRITKGSFVKSKSPKVKTAGRVSTRMRKRNWRWDQDAEGNAAVFDAVLCDNMTGHEVATWNPAIGEHSDGLRKRYTGANRPFTKTGSLVLSGDEYGDGVGWRLNREMEGSVLKWRIGGKVWLSYWPNEVNSSYFETRCVEWCDEVDLPLIIGKLSV >ONH94058 pep chromosome:Prunus_persica_NCBIv2:G8:22339948:22343002:1 gene:PRUPE_8G267900 transcript:ONH94058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSAFQDVDQVDANSEHMDQGNDVMREDKGISGGSASGRFGAIDLDAHNVETSGSDTSSTRGKNAVDQMENSEFPKPSAHIKESGYGGTAEDEKVETVQCEEKQRRKRKRTIMNDTQVELIERALLDEPDMQRNAASIQSWAEKLSFHGSEVTCPQLKNWLNNRKARLARTAKDVRPAPEADNALQDKQGGRGLRSNNSPDTPGGDASSQLNVRRDHQIMLRTGIREISETNVAEAAAPRGPAEFDLCKQGDSIGLMGANGEEIGRGKVFQVRGQWYGRNLEELRAYVVDVKDLKARRATRLPHPSVATGVSFEEAETKIGVMRVLWDSNMTFTLRPKRAM >ONH94057 pep chromosome:Prunus_persica_NCBIv2:G8:22339947:22343308:1 gene:PRUPE_8G267900 transcript:ONH94057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSAFQDVDQVDANSEHMDQGNDVMREDKGISGGSASGRFGAIDLDAHNVETSGSDTSSTRGKNAVDQMENSEFPKPSAHIKESGYGGTAEDEKVETVQCEEKQRRKRKRTIMNDTQVELIERALLDEPDMQRNAASIQSWAEKLSFHGSEVTCPQLKNWLNNRKARLARTAKDVRPAPEADNALQDKQGGRGLRSNNSPDTPGGDASSQLNVRRDHQIMLRTGIREISETNVAEAAAPRGPAEFDLCKQGDSIGLMGANGEEIGRGKVFQVRGQWYGRNLEELRAYVVDVKDLKARRATRLPHPSVATGVSFEEAETKIGVMRVLWDSNMTFTLRPKRAM >ONH94056 pep chromosome:Prunus_persica_NCBIv2:G8:22339948:22343002:1 gene:PRUPE_8G267900 transcript:ONH94056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSAFQDVDQVDANSEHMDQGNDVMREDKGISGGSASGRFGAIDLDAHNVETSGSDTSSTRGKNAVDQMENSEFPKPSAHIKESGYGGTAEDEKVETVQCEEKQRRKRKRTIMNDTQVELIERALLDEPDMQRNAASIQSWAEKLSFHGSEVTCPQLKNCTMFMCKIFSHRTNHYPTAVNIWMVACYENIMFLCLRRLNNRKARLARTAKDVRPAPEADNALQDKQGGRGLRSNNSPDTPGGDASSQLNVRRDHQIMLRTGIREISETNVAEAAAPRGPAEFDLCKQGDSIGLMGANGEEIGRGKVFQVRGQWYGRNLEELRAYVVDVKDLKARRATRLPHPSVATGVSFEEAETKIGVMRVLWDSNMTFTLRPKRAM >ONH94061 pep chromosome:Prunus_persica_NCBIv2:G8:22339939:22343004:1 gene:PRUPE_8G267900 transcript:ONH94061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSAFQDVDQVDANSEHMDQGNDVMREDKGISGGSASGRFGAIDLDAHNVETSGSDTSSTRGKNAVDQMENSEFPKPSAHIKESGYGGTAEDEKVETVQCEEKQRRKRKRTIMNDTQVELIERALLDEPDMQRNAASIQSWAEKLSFHGSEVTCPQLKNWLNNRKARLARTAKDVRPAPEADNALQDKQGGRGLRSNNSPDTPGGDASSQLNVRRDHQIMLRTGIREISETNVAEAAAPRGPAEFDLCKQGDSIGLMGANGEEIGRGKVFQVRGQWYGRNLEELRAYVVDVKDLKARRATRLPHPSVATGVSFEEAETKIGVMRVLWDSNMTFTLRPKRAM >ONH94060 pep chromosome:Prunus_persica_NCBIv2:G8:22339948:22343002:1 gene:PRUPE_8G267900 transcript:ONH94060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSAFQDVDQVDANSEHMDQGNDVMREDKGISGGSASGRFGAIDLDAHNVETSGSDTSSTRGKNAVDQMENSEFPKPSAHIKESGYGGTAEDEKVETVQCEEKQRRKRKRTIMNDTQVELIERALLDEPDMQRNAASIQSWAEKLSFHGSEVTCPQLKNWLNNRKARLARTAKDVRPAPEADNALQDKQGGRGLRSNNSPDTPGGDASSQLNVRRDHQIMLRTGIREISETNVAEAAAPRGPAEFDLCKQGDSIGLMGANGEEIGRGKVFQVRGQWYGRNLEELRAYVVDVKDLKARRATRLPHPSVATGVSFEEAETKIGVMRVLWDSNMTFTLRPKRAM >ONH94062 pep chromosome:Prunus_persica_NCBIv2:G8:22340544:22343002:1 gene:PRUPE_8G267900 transcript:ONH94062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSAFQDVDQVDANSEHMDQGNDVMREDKGISGGSASGRFGAIDLDAHNVETSGSDTSSTRGKNAVDQMENSEFPKPSAHIKESGYGGTAEDEKVETVQCEEKQRRKRKRTIMNDTQVELIERALLDEPDMQRNAASIQSWAEKLSFHGSEVTCPQLKNWLNNRKARLARTAKDVRPAPEADNALQDKQGGRGLRSNNSPDTPGGDASSQLNVRRDHQIMLRTGIREISETNVAEAAAPRGPAEFDLCKQGDSIGLMGANGEEIGRGKVFQVRGQWYGRNLEELRAYVVDVKDLKARRATRLPHPSVATGVSFEEAETKIGVMRVLWDSNMTFTLRPKRAM >ONH94055 pep chromosome:Prunus_persica_NCBIv2:G8:22340609:22343002:1 gene:PRUPE_8G267900 transcript:ONH94055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSAFQDVDQVDANSEHMDQGNDVMREDKGISGGSASGRFGAIDLDAHNVETSGSDTSSTRGKNAVDQMENSEFPKPSAHIKESGYGGTAEDEKVETVQCEEKQRRKRKRTIMNDTQVELIERALLDEPDMQRNAASIQSWAEKLSFHGSEVTCPQLKNCTMFMCKIFSHRTNHYPTAVNIWMVACYENIMFLCLRRLNNRKARLARTAKDVRPAPEADNALQDKQGGRGLRSNNSPDTPGGDASSQLNVRRDHQIMLRTGIREISETNVAEAAAPRGPAEFDLCKQGDSIGLMGANGEEIGRGKVFQVRGQWYGRNLEELRAYVVDVKDLKARRATRLPHPSVATGVSFEEAETKIGVMRVLWDSNMTFTLRPKRAM >ONH94059 pep chromosome:Prunus_persica_NCBIv2:G8:22339939:22343009:1 gene:PRUPE_8G267900 transcript:ONH94059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSAFQDVDQVDANSEHMDQGNDVMREDKGISGGSASGRFGAIDLDAHNVETSGSDTSSTRGKNAVDQMENSEFPKPSAHIKESGYGGTAEDEKVETVQCEEKQRRKRKRTIMNDTQVELIERALLDEPDMQRNAASIQSWAEKLSFHGSEVTCPQLKNWLNNRKARLARTAKDVRPAPEADNALQDKQGGRGLRSNNSPDTPGGDASSQLNVRRDHQIMLRTGIREISETNVAEAAAPRGPAEFDLCKQGDSIGLMGANGEEIGRGKVFQVRGQWYGRNLEELRAYVVDVKDLKARRATRLPHPSVATGVSFEEAETKIGVMRVLWDSNMTFTLRPKRAM >ONH92286 pep chromosome:Prunus_persica_NCBIv2:G8:17274692:17277900:1 gene:PRUPE_8G166800 transcript:ONH92286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIMQNLTERLRPLVGLKGWDYCVIWKLSENQRFIELMDCCCSGADENTQTNGGQELLFPVYPVLPCRDTMQHSRTNSCDVLAQLPSSLSLDSGIYAHPLISNQPIWLNSSSNRDSSAMAERDGTRVLVPSAGGLIELFVSKDVSEDQQVIDYITAQCNISKEQDTLLGAGCNTSFPVNIQDMSTEIQPHAFPGNENEGNDNLNSNHFQQPPVVSPSVDHDSNVPYDISVDRIRLCSASPMNFLQHVTYNSENSMKNCNSNVYYEQRSHESLGEMGLQADADAPNMHNSMHVMEALENMEQQGVEDQDSVQHEAQGGRTADNSGSDCSDQIDDEDDTKYRRRTGKGPQSKNLFAERKRRKKLNDRLYCLRSLVPNISKMDKAAILGDAIDFVKDLLRQVKELQDELEQHSNDEGPNKKTSANICGNHNNFQPEILNQNGTSITNKPENGDKPPNGFHVGTAGDIGNISKQKQDSDSTNDRGQQMEPQVGVTQLDGNEFFVTVFCEHKPGGFVRLMEALDTLGLEVTNANVTSFRSLVSNVFKVEKKDSEVVQADDVRDSLLEITRNPSSKVWPEMAKAKASENGSGLDHFHHDDHNHYQQHHLHNYHASSYNLQHLYNY >ONH92288 pep chromosome:Prunus_persica_NCBIv2:G8:17274292:17277900:1 gene:PRUPE_8G166800 transcript:ONH92288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIMQNLTERLRPLVGLKGWDYCVIWKLSENQRFIELMDCCCSGADENTQTNGGQELLFPVYPVLPCRDTMQHSRTNSCDVLAQLPSSLSLDSGIYAHPLISNQPIWLNSSSNRDSSAMAERDGTRVLVPSAGGLIELFVSKDVSEDQQVIDYITAQCNISKEQDTLLGAGCNTSFPVNIQDMSTEIQPHAFPGNENEGNDNLNSNHFQQPPVVSPSVDHDSNVPYDISVDRIRLCSASPMNFLQHVTYNSENSMKNCNSNVYYEQRSHESLGEMGLQADADAPNMHNSMHVMEALENMEQQGVEDQDSVQHEAQGGRTADNSGSDCSDQIDDEDDTKYRRRTGKGPQSKNLFAERKRRKKLNDRLYCLRSLVPNISKMDKAAILGDAIDFVKDLLRQVKELQDELEQHSNDEGPNKKTSANICGNHNNFQPEILNQNGTSITNKPENGDKPPNGFHVGTAGDIGNISKQKQDSDSTNDRGQQMEPQVGVTQLDGNEFFVTVFCEHKPGGFVRLMEALDTLGLEVTNANVTSFRSLVSNVFKVEKKDSEVVQADDVRDSLLEITRNPSSKVWPEMAKAKASENGSGLDHFHHDDHNHYQQHHLHNYHASSYNLQHLYNY >ONH92287 pep chromosome:Prunus_persica_NCBIv2:G8:17274299:17277900:1 gene:PRUPE_8G166800 transcript:ONH92287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIMQNLTERLRPLVGLKGWDYCVIWKLSENQRFIELMDCCCSGADENTQTNGGQELLFPVYPVLPCRDTMQHSRTNSCDVLAQLPSSLSLDSGIYAHPLISNQPIWLNSSSNRDSSAMAERDGTRVLVPSAGGLIELFVSKDVSEDQQVIDYITAQCNISKEQDTLLGAGCNTSFPVNIQDMSTEIQPHAFPGNENEGNDNLNSNHFQQPPVVSPSVDHDSNVPYDISVDRIRLCSASPMNFLQHVTYNSENSMKNCNSNVYYEQRSHESLGEMGLQADADAPNMHNSMHVMEALENMEQQGVEDQDSVQHEAQGGRTADNSGSDCSDQIDDEDDTKYRRRTGKGPQSKNLFAERKRRKKLNDRLYCLRSLVPNISKMDKAAILGDAIDFVKDLLRQVKELQDELEQHSNDEGPNKKTSANICGNHNNFQPEILNQNGTSITNKPENGDKPPNGFHVGTAGDIGNISKQKQDSDSTNDRGQQMEPQVGVTQLDGNEFFVTVFCEHKPGGFVRLMEALDTLGLEVTNANVTSFRSLVSNVFKVEKKDSEVVQADDVRDSLLEITRNPSSKVWPEMAKAKASENGSGLDHFHHDDHNHYQQHHLHNYHASSYNLQHLYNY >ONH92290 pep chromosome:Prunus_persica_NCBIv2:G8:17274302:17277900:1 gene:PRUPE_8G166800 transcript:ONH92290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIMQNLTERLRPLVGLKGWDYCVIWKLSENQRFIELMDCCCSGADENTQTNGGQELLFPVYPVLPCRDTMQHSRTNSCDVLAQLPSSLSLDSGIYAHPLISNQPIWLNSSSNRDSSAMAERDGTRVLVPSAGGLIELFVSKDVSEDQQVIDYITAQCNISKEQDTLLGAGCNTSFPVNIQDMSTEIQPHAFPGNENEGNDNLNSNHFQQPPVVSPSVDHDSNVPYDISVDRIRLCSASPMNFLQHVTYNSENSMKNCNSNVYYEQRSHESLGEMGLQADADAPNMHNSMHVMEALENMEQQGVEDQDSVQHEAQGGRTADNSGSDCSDQIDDEDDTKYRRRTGKGPQSKNLFAERKRRKKLNDRLYCLRSLVPNISKMDKAAILGDAIDFVKDLLRQVKELQDELEQHSNDEGPNKKTSANICGNHNNFQPEILNQNGTSITNKPENGDKPPNGFHVGTAGDIGNISKQKQDSDSTNDRGQQMEPQVGVTQLDGNEFFVTVFCEHKPGGFVRLMEALDTLGLEVTNANVTSFRSLVSNVFKVEKKDSEVVQADDVRDSLLEITRNPSSKVWPEMAKAKASENGSGLDHFHHDDHNHYQQHHLHNYHASSYNLQHLYNY >ONH92289 pep chromosome:Prunus_persica_NCBIv2:G8:17274260:17277900:1 gene:PRUPE_8G166800 transcript:ONH92289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIMQNLTERLRPLVGLKGWDYCVIWKLSENQRFIELMDCCCSGADENTQTNGGQELLFPVYPVLPCRDTMQHSRTNSCDVLAQLPSSLSLDSGIYAHPLISNQPIWLNSSSNRDSSAMAERDGTRVLVPSAGGLIELFVSKDVSEDQQVIDYITAQCNISKEQDTLLGAGCNTSFPVNIQDMSTEIQPHAFPGNENEGNDNLNSNHFQQPPVVSPSVDHDSNVPYDISVDRIRLCSASPMNFLQHVTYNSENSMKNCNSNVYYEQRSHESLGEMGLQADADAPNMHNSMHVMEALENMEQQGVEDQDSVQHEAQGGRTADNSGSDCSDQIDDEDDTKYRRRTGKGPQSKNLFAERKRRKKLNDRLYCLRSLVPNISKMDKAAILGDAIDFVKDLLRQVKELQDELEQHSNDEGPNKKTSANICGNHNNFQPEILNQNGTSITNKPENGDKPPNGFHVGTAGDIGNISKQKQDSDSTNDRGQQMEPQVGVTQLDGNEFFVTVFCEHKPGGFVRLMEALDTLGLEVTNANVTSFRSLVSNVFKVEKKDSEVVQADDVRDSLLEITRNPSSKVWPEMAKAKASENGSGLDHFHHDDHNHYQQHHLHNYHASSYNLQHLYNY >ONH92069 pep chromosome:Prunus_persica_NCBIv2:G8:16525232:16527058:-1 gene:PRUPE_8G152300 transcript:ONH92069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRTHSRNQKTKGVRVKHVLQICLLLGVCFWLIYQVKHSHDKKAALAEKNAKSSIKNLSDGELLKLGRKDLPHQEVIKNEKQQEEEEEETAGEEEEKHEVEVREEEDQKHEVDEREEEDQKHEVGEREEEDQKHEVDEREEEDQKHEVGEQEEEDPKHEAEEQEEEEAKNEDIEDEGRGAGDDEIDENEQEKKEGEADHDEDIVDEENEREEEGNEKDGDKNESEEREHQAENENSLDDQDNDTHDNNAHEAREENYKGDDASSAVTHDTQVSAENSNENSEASALEKDIKSNDNSRMVDGVMAENGTLNVTASEEKVNDAMSNPVESSLLNAAVKTESNDLPEARNNSTEINGATDLYQQNVTETVSESVHSQNETLDGMTTGEGMTIQTLVVEQANNTASQNNQSDSNSTISTKIESADGVSGESSNSSNKVETNVSENILRSDGTAETENGSSSPTIKEITDTSHNEESNGTSESGRTDKGSDSTNVTEDVVQHDPIDSSDSHIAEAEKEARTDLDTLPEIKTEGDENGEAAAE >ONH90467 pep chromosome:Prunus_persica_NCBIv2:G8:6470962:6472337:1 gene:PRUPE_8G055600 transcript:ONH90467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSVMTLITSTTMFKAYLMDLRQALIHVILLTFKIGKAAYGMLKDMAENTKKWEGRKILFIHTGGLLGLFDKVEQMATSSGNWRRMDVQESVPRSDGIGKMF >ONH91437 pep chromosome:Prunus_persica_NCBIv2:G8:14234321:14234827:1 gene:PRUPE_8G114400 transcript:ONH91437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDPAFIQDPEHRPKLSITEAEGIPLIDLSPINSILTPDSISELKAIEGLVREIGSACKNWGFFQVINHGVALDKREKIETAARKFFAQPLEEKRKIRRDEKIVVGYYDTEHTKNVRDWKEVFDFVVEEPTLVPASLDPEDKEETEWINQWPENPPELRYLNLRNC >ONH89808 pep chromosome:Prunus_persica_NCBIv2:G8:1642116:1645989:-1 gene:PRUPE_8G017600 transcript:ONH89808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHKLLKILQECRSLKELKQTHLQIFVHGLQDSSFLLPKFLTLSSELGFLHYAYSVFRNSCCPNVVAYNTLIKCSVGKARIDALSVYDRMKALRIGPNSFTFTFLLRCCESFEALEDGTMVHGDIVKMGFGSSVFVQNTLLDFYAKCGGGLDLAFQVFGEMPERDVVSWNSMIAAYMAHGEIEPAMRLFYSMADRSTVTWNCVVSGLSKAGNMELAHSVFERMPERNEVSWNSLITAYIRLGDVKSAQCLFQQMPKKTVVSWTAMVSGYSMIGDLESAWNIFNQMPSKNVVSWNAMISGYVHNHMFDQALCVFRKMLIDGKCRPDQSTLISLLSACTHLGSLEHGKWIESYIERNKFDLSVPLGNALIDMFAKCGHVENAKAVFKKMTKRCIITWTTIVSGLAVNGLCREAIALFDTMCSEGTKPDDVIFIAVLSACTHGGFVEEGKRVFDQMEQEFGIKPRIEHYGCMVDLLGRAGKLEEAVMFLENMHLEPNAVIWASLLGSCKIHGNGDLLESLTRRIMEKEPANPSYLTLISNLSASMGQWKDVLTYRQVMRQQGIEKVPGCSSIQMGNKIHEFLAHDTRHEQRKELYVVLNSLNDHLAAVCNGL >ONH92946 pep chromosome:Prunus_persica_NCBIv2:G8:19148293:19154528:-1 gene:PRUPE_8G204000 transcript:ONH92946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKELVQGFYRVGAMLGVSFRLSTTSQVSYESAQELDLSSFIKSTLDELEGPCHCWLNRSKGGKDFSKRDGTFLVLTGRFLETSLTTSCEAAIMLEKVKSIQPRFPQLHIMGFLSDSLIRSADDRSHLIQFILKEYVTFPILFSNKNFTEMDNGACYILFKDFMNPVVYHEKDMDLEVLKKAVEELYVQDDGNIKSLNNLKITWLKQSEIIKEPYFCSLRNLLLYFPGCISADENGNRLFLSDSNHHRIIVFDGNGKILDCIGSSPGFEDGNFESAKLARPAASFYIADEDCLYFVDSENHAIRKADMGRRVLETLYPVGDTIKKSNQFWTWIMLSLGLRSNADTRSEEFDLQSLMFPWHLIKSIDDSLFIINRSFETLWILNLASGDIKEVVKGFPEILEVCAPQIKEKVTMLKRMPHDWLQQQTNTVWSPERLPYAGLLSSLTTLQNHVILCDMVGQRILKLSEESGVCSSFKFSNFGILGIPYWLSSSFEKVYAVAGGHQGEIDHFQCFSLLPGRVSIQLNVDIPVDTELVEQLQEGCIWCQARGSATEVSGIEDVRGSSEKVGVAQQWYDELDNLASLISPPESELNAEDDSTTSEVGFQNGKVFIDCVVNTSPGTSEVIVYAALYLKLRRKKELLEDNQEIYAAKIADILHSEKSGKIGRDSCIQFLLKSNRDLRDLIFMKPLQVRIKLDCLDHEKAENAKDIILRDSKVEVNVSLNS >ONH92945 pep chromosome:Prunus_persica_NCBIv2:G8:19148293:19154832:-1 gene:PRUPE_8G204000 transcript:ONH92945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRYRRLREISRFLPRIHSGDHHLQCRPATKLSSLSKIPHSNAWERIGTRILPSGCHARRLSTTSQVSYESAQELDLSSFIKSTLDELEGPCHCWLNRSKGGKDFSKRDGTFLVLTGRFLETSLTTSCEAAIMLEKVKSIQPRFPQLHIMGFLSDSLIRSADDRSHLIQFILKEYVTFPILFSNKNFTEMDNGACYILFKDFMNPVVYHEKDMDLEVLKKAVEELYVQDDGNIKSLNNLKITWLKQSEIIKEPYFCSLRNLLLYFPGCISADENGNRLFLSDSNHHRIIVFDGNGKILDCIGSSPGFEDGNFESAKLARPAASFYIADEDCLYFVDSENHAIRKADMGRRVLETLYPVGDTIKKSNQFWTWIMLSLGLRSNADTRSEEFDLQSLMFPWHLIKSIDDSLFIINRSFETLWILNLASGDIKEVVKGFPEILEVCAPQIKEKVTMLKRMPHDWLQQQTNTVWSPERLPYAGLLSSLTTLQNHVILCDMVGQRILKLSEESGVCSSFKFSNFGILGIPYWLSSSFEKVYAVAGGHQGEIDHFQCFSLLPGRVSIQLNVDIPVDTELVEQLQEGCIWCQARGSATEVSGIEDVRGSSEKVGVAQQWYDELDNLASLISPPESELNAEDDSTTSEVGFQNGKVFIDCVVNTSPGTSEVIVYAALYLKLRRKKELLEDNQEIYAAKIADILHSEKSGKIGRDSCIQFLLKSNRDLRDLIFMKPLQVRIKLDCLDHEKAENAKDIILRDSKVEVNVSLNS >ONH92947 pep chromosome:Prunus_persica_NCBIv2:G8:19148030:19154906:-1 gene:PRUPE_8G204000 transcript:ONH92947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRYRRLREISRFLPRIHSGDHHLQCRPATKLSSLSKIPHSNAWERIGTRILPSGCHARRLSTTSQVSYESAQELDLSSFIKSTLDELEGPCHCWLNRSKGGKDFSKRDGTFLVLTGRFLETSLTTSCEAAIMLEKVKSIQPRFPQLHIMGFLSDSLIRSADDRSHLIQFILKEYVTFPILFSNKNFTEMDNGACYILFKDFMNPVVYHEKDMDLEVLKKAVEELYVQDDGNIKSLNNLKITWLKQSEIIKEPYFCSLRNLLLYFPGCISADENGNRLFLSDSNHHRIIVFDGNGKILDCIGSSPGFEDGNFESAKLARPAASFYIADEDCLYFVDSENHAIRKADMGRRVLETLYPVGDTIKKSNQFWTWIMLSLGLRSNADTRSEEFDLQSLMFPWHLIKSIDDSLFIINRSFETLWILNLASGDIKEVVKGFPEILEVCAPQIKEKVTMLKRMPHDWLQQQTNTVWSPERLPYAGLLSSLTTLQNHVILCDMVGQRILKLSEESGVCSSFKFSNFGILGIPYWLSSSFEKVYAVAGGHQGEIDHFQCFSLLPGKRIRYRSVRHRGCTRIFREGWCCSTMV >ONH92948 pep chromosome:Prunus_persica_NCBIv2:G8:19148030:19154906:-1 gene:PRUPE_8G204000 transcript:ONH92948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKELVQGFYRVGAMLGVSFRLSTTSQVSYESAQELDLSSFIKSTLDELEGPCHCWLNRSKGGKDFSKRDGTFLVLTGRFLETSLTTSCEAAIMLEKVKSIQPRFPQLHIMGFLSDSLIRSADDRSHLIQFILKEYVTFPILFSNKNFTEMDNGACYILFKDFMNPVVYHEKDMDLEVLKKAVEELYVQDDGNIKSLNNLKITWLKQSEIIKEPYFCSLRNLLLYFPGCISADENGNRLFLSDSNHHRIIVFDGNGKILDCIGSSPGFEDGNFESAKLARPAASFYIADEDCLYFVDSENHAIRKADMGRRVLETLYPVGDTIKKSNQFWTWIMLSLGLRSNADTRSEEFDLQSLMFPWHLIKSIDDSLFIINRSFETLWILNLASGDIKEVVKGFPEILEVCAPQIKEKVTMLKRMPHDWLQQQTNTVWSPERLPYAGLLSSLTTLQNHVILCDMVGQRILKLSEESGVCSSFKFSNFGILGIPYWLSSSFEKVYAVAGGHQGEIDHFQCFSLLPGKRIRYRSVRHRGCTRIFREGWCCSTMV >ONH94042 pep chromosome:Prunus_persica_NCBIv2:G8:22322949:22325983:-1 gene:PRUPE_8G267500 transcript:ONH94042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVFRGVKGTWFLHVEARFLSDCNRPCLPKYGASKPKFSITHPLHPENIVTSTAKSNTKGHCKRMLREKLFRCHNPLLGKLRRPFFFTKIRKRRKKISSAYEVNRVQIDKEKFPDCASKSMIDEPTHTSSEVVSVSGIIHRYFSNLSEDGGPSSPTRSVTSRVVQSWPEEHLKTQADDICSSIQVSPLTQFSAKRTAKPVCLPSPTGYGQRRYKLNKPEVGKRLVVASYSLGISPSKEHPAISLQLRRLDSFTQSSKNTTFFPLPPNLLFLNCLSSCTSSCKEKRRDNGAHQQPGLPPRPPRPPHIHLFRSSLITPNPTGGCGS >ONH94045 pep chromosome:Prunus_persica_NCBIv2:G8:22324181:22324930:-1 gene:PRUPE_8G267500 transcript:ONH94045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVFRGVKGTWFLHVEARFLSDCNRPCLPKYGASKPKFSITHPLHPENIVTSTAKSNTKGHCKRMLREKLFRCHNPLLGKLRRPFFFTKIRKRRKKISSAYEVNRVQIDKEKFPDCASKSMIDEPTHTSSEVVSVSGIIHRYFSNLSEDGGPSSPTRSVTSRVVQSWPEEHLKTQADDICSSIQVSPLTQFSAKRTAKPVCLPSPTGYGQRRYKLNKPEVGKRLVVASYSLGISPSKEHPAISLQLRR >ONH94044 pep chromosome:Prunus_persica_NCBIv2:G8:22324181:22324930:-1 gene:PRUPE_8G267500 transcript:ONH94044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVFRGVKGTWFLHVEARFLSDCNRPCLPKYGASKPKFSITHPLHPENIVTSTAKSNTKGHCKRMLREKLFRCHNPLLGKLRRPFFFTKIRKRRKKISSAYEVNRVQIDKEKFPDCASKSMIDEPTHTSSEVVSVSGIIHRYFSNLSEDGGPSSPTRSVTSRVVQSWPEEHLKTQADDICSSIQVSPLTQFSAKRTAKPVCLPSPTGYGQRRYKLNKPEVGKRLVVASYSLGISPSKEHPAISLQLRR >ONH94039 pep chromosome:Prunus_persica_NCBIv2:G8:22322926:22325983:-1 gene:PRUPE_8G267500 transcript:ONH94039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVEVAVFVNTNLGTRMAMDVSPDITARDLKRELETQHSNCFWNSGEIRVSRLMVKRKSCLYHLPDSVPMKSVFRGVKGTWFLHVEARFLSDCNRPCLPKYGASKPKFSITHPLHPENIVTSTAKSNTKGHCKRMLREKLFRCHNPLLGKLRRPFFFTKIRKRRKKISSAYEVNRVQIDKEKFPDCASKSMIDEPTHTSSEVVSVSGIIHRYFSNLSEDGGPSSPTRSVTSRVVQSWPEEHLKTQADDICSSIQVSPLTQFSAKRTAKPVCLPSPTGYGQRRYKLNKPEVGKRLVVASYSLGISPSKEHPAISLQLRSTVLPNLRGNADPTTVLQIEMNACLDLTKGALGQLKKTASNPSTSPADVSSIKVCQEVYEYAIDDINGASEAIAARDVGTLQTRLSAVITYFGTCDDAVAESPGFKLPLKEDDVVTLNKLASNCMAISTLLK >ONH94043 pep chromosome:Prunus_persica_NCBIv2:G8:22324181:22325856:-1 gene:PRUPE_8G267500 transcript:ONH94043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVEVAVFVNTNLGTRMAMDVSPDITARDLKRELETQHSNCFWNSGEIRVSRLMVKRKSCLYHLPDSVPMKSVFRGVKGTWFLHVEARFLSDCNRPCLPKYGASKPKFSITHPLHPENIVTSTAKSNTKGHCKRMLREKLFRCHNPLLGKLRRPFFFTKIRKRRKKISSAYEVNRVQIDKEKFPDCASKSMIDEPTHTSSEVVSVSGIIHRYFSNLSEDGGPSSPTRSVTSRVVQSWPEEHLKTQADDICSSIQVSPLTQFSAKRTAKPVCLPSPTGYGQRRYKLNKPEVGKRLVVASYSLGISPSKEHPAISLQLRR >ONH94041 pep chromosome:Prunus_persica_NCBIv2:G8:22323525:22325856:-1 gene:PRUPE_8G267500 transcript:ONH94041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVEVAVFVNTNLGTRMAMDVSPDITARDLKRELETQHSNCFWNSGEIRVSRLMVKRKSCLYHLPDSVPMKSVFRGVKGTWFLHVEARFLSDCNRPCLPKYGASKPKFSITHPLHPENIVTSTAKSNTKGHCKRMLREKLFRCHNPLLGKLRRPFFFTKIRKRRKKISSAYEVNRVQIDKEKFPDCASKSMIDEPTHTSSEVVSVSGIIHRYFSNLSEDGGPSSPTRSVTSRVVQSWPEEHLKTQADDICSSIQVSPLTQFSAKRTAKPVCLPSPTGYGQRRYKLNKPEVGKRLVVASYSLGISPSKEHPAISLQLRRLDSFTQSSKNTTFFPLPPNLLFLNCLSSCTSSCKEKRRDNGAHQQPGLPPRPPRPPHIHLFRSSLITPNPTGGCGS >ONH94040 pep chromosome:Prunus_persica_NCBIv2:G8:22322947:22325983:-1 gene:PRUPE_8G267500 transcript:ONH94040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVFRGVKGTWFLHVEARFLSDCNRPCLPKYGASKPKFSITHPLHPENIVTSTAKSNTKGHCKRMLREKLFRCHNPLLGKLRRPFFFTKIRKRRKKISSAYEVNRVQIDKEKFPDCASKSMIDEPTHTSSEVVSVSGIIHRYFSNLSEDGGPSSPTRSVTSRVVQSWPEEHLKTQADDICSSIQVSPLTQFSAKRTAKPVCLPSPTGYGQRRYKLNKPEVGKRLVVASYSLGISPSKEHPAISLQLRSTVLPNLRGNADPTTVLQIEMNACLDLTKGALGQLKKTASNPSTSPADVSSIKVCQEVYEYAIDDINGASEAIAARDVGTLQTRLSAVITYFGTCDDAVAESPGFKLPLKEDDVVTLNKLASNCMAISTLLK >ONH93502 pep chromosome:Prunus_persica_NCBIv2:G8:20819850:20830013:1 gene:PRUPE_8G235000 transcript:ONH93502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYRGLLFPLGFLIIIAVATLSHGESSTCLTVYKEGGAPAVFQSPKCPRWTHSDYASYSRSTSRCQTAMLQGHRKSLEDRTLCALDLRIPFPGKAGVKEVTVGIVAVFDGHNGAEASEMASKLLLEYFVLHTYFLIDASYSAILKKGSGSLQTSADHHSIFQRYNWDGLLDRHELDLGRFKHSFQANFDDSFHFEILKEALLRAIHDIDAKFSMEASRKNLVSGSTATIILLADGQILVANIGDSKALLCSEKFQSPAEAKATYLRLYRQERRNGAISRLRNYKNLDLASSTGLVHFSVKELTRDHHPDRDDEKLRVETAGGYVLEWGGVPRVNGELAISRAIGDVSFKSYGVISAPELTDWQPLTVNDTYLVAASDGVFEKLNVQDVCDLLWEVRSYDSGRSELSSSCSYSLADCIVNTAFEKGSMDNVAAVVVPLVSTGFSESLLKERSVGEGDVKYTASGLQSTHEGSANDCSYAIKQLEYAHPVVSKFDRLLVQGKHGYIGCFILSENLDEHAEYMLQAKDEHEGYVYDLRQALPEAVGQQFGFASFLALLESIPFHDAGSNNGSFEYSMPDRRYVLKKRFGRGSYGEVWLAFNWNYHQGTNMSNSSLPDENLLILKRIMVEKGAAVYLSGLREKYFGEIFMNASNRLGGSLSAGISTSVLNESQFDFYGLVETTDPTAYATGNSWTSENLFEEKFHGGFYEEGLNHIARYVESFESQANEIWLVFRYEGVSLSKLMYTVEEETNADEERAEKVNHVQMLCPSKWWHWLKTTKAGQEQMRSLIWQLLMALKSCHDRNITHRDIKPENMVLCFEEEDTGRCLKGIPNGENFTTKMRIIDFGSAMDEFTLKHLYGSTGPSRVEQTNEYTPPEALLHSNWYLRPTNTTLKLRSFMELCILIPGSFLRHHRTASQAGASPASWKCSEEFFSHQIKSRDPLKLGFPNVWALRLVRQLLLWDPEERLSVDDALQHPYFQPPPRE >ONH93500 pep chromosome:Prunus_persica_NCBIv2:G8:20819850:20830012:1 gene:PRUPE_8G235000 transcript:ONH93500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYRGLLFPLGFLIIIAVATLSHGESSTCLTVYKEGGAPAVFQSPKCPRWTHSDYASYSRSTSRCQTAMLQGHRKSLEDRTLCALDLRIPFPGKAGVKEVTVGIVAVFDGHNGAEASEMASKLLLEYFVLHTYFLIDASYSAILKKGSGSLQTSADHHSIFQRYNWDGLLDRHELDLGRFKHSFQANFDDSFHFEILKEALLRAIHDIDAKFSMEASRKNLVSGSTATIILLADGQILVANIGDSKALLCSEKFQSPAEAKATYLRLYRQERRNGAISRLRNYKNLDLASSTGLVHFSVKELTRDHHPDRDDEKLRVETAGGYVLEWGGVPRVNGELAISRAIGDVSFKSYGVISAPELTDWQPLTVNDTYLVAASDGVFEKLNVQDVCDLLWEVRSYDSGRSELSSSCSYSLADCIVNTAFEKGSMDNVAAVVVPLVSTGFSESLLKERSVGEGDVKYTASGLQSTHEGSANDCSYAIKQLEYAHPVVSKFDRLLVQGKHGYIGCFILSENLDEHAEYMLQAKDEHEGYVYDLRQALPEAVGQQFGGPLNLYHDQNFCLHLGITVDAKDQCLNPEGFASFLALLESIPFHDAGSNNGSFEYSMPDRRYVLKKRFGRGSYGEVWLAFNWNYHQGTNMSNSSLPDENLLILKRIMVEKGAAVYLSGLREKYFGEIFMNASNRLGGNSWTSENLFEEKFHGGFYEEGLNHIARYVESFESQANEIWLVFRYEGVSLSKLMYTVEEETNADEERAEKVNHVQMLCPSKWWHWLKTTKAGQEQMRSLIWQLLMALKSCHDRNITHRDIKPENMVLCFEEEDTGRCLKGIPNGENFTTKMRIIDFGSAMDEFTLKHLYGSTGPSRVEQTNEYTPPEALLHSNWYLRPTNTTLKYDMWSVGVVMLELILGSPNVFQISSHTRILLDRHIKGWNEGLKELAYKLRSFMELCILIPGSFLRHHRTASQAGASPASWKCSEEFFSHQIKSRDPLKLGFPNVWALRLVRQLLLWDPEERLSVDDALQHPYFQPPPRE >ONH93503 pep chromosome:Prunus_persica_NCBIv2:G8:20819850:20830012:1 gene:PRUPE_8G235000 transcript:ONH93503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYRGLLFPLGFLIIIAVATLSHGESSTCLTVYKEGGAPAVFQSPKCPRWTHSDYASYSRSTSRCQTAMLQGHRKSLEDRTLCALDLRIPFPGKAGVKEVTVGIVAVFDGHNGAEASEMASKLLLEYFVLHTYFLIDASYSAILKKGSGSLQTSADHHSIFQRYNWDGLLDRHELDLGRFKHSFQANFDDSFHFEILKEALLRAIHDIDAKFSMEASRKNLVSGSTATIILLADGQILVANIGDSKALLCSEKFQSPAEAKATYLRLYRQERRNGAISRLRNYKNLDLASSTGLVHFSVKELTRDHHPDRDDEKLRVETAGGYVLEWGGVPRVNGELAISRAIGDVSFKSYGVISAPELTDWQPLTVNDTYLVAASDGVFEKLNVQDVCDLLWEVRSYDSGRSELSSSCSYSLADCIVNTAFEKGSMDNVAAVVVPLVSTGFSESLLKERSVGEGDVKYTASGLQSTHEGSANDCSYAIKQLEYAHPVVSKFDRLLVQGKHGYIGCFILSENLDEHAEYMLQAKDEHEGYVYDLRQALPEAVGQQFGFASFLALLESIPFHDAGSNNGSFEYSMPDRRYVLKKRFGRGSYGEVWLAFNWNYHQGTNMSNSSLPDENLLILKRIMVEKGAAVYLSGLREKYFGEIFMNASNRLGGNSWTSENLFEEKFHGGFYEEGLNHIARYVESFESQANEIWLVFRYEGVSLSKLMYTVEEETNADEERAEKVNHVQMLCPSKWWHWLKTTKAGQEQMRSLIWQLLMALKSCHDRNITHRDIKPENMVLCFEEEDTGRCLKGIPNGENFTTKMRIIDFGSAMDEFTLKHLYGSTGPSRVEQTNEYTPPEALLHSNWYLRPTNTTLKYDMWSVGVVMLELILGSPNVFQISSHTRILLDRHIKGWNEGLKELAYKLRSFMELCILIPGSFLRHHRTASQAGASPASWKCSEEFFSHQIKSRDPLKLGFPNVWALRLVRQLLLWDPEERLSVDDALQHPYFQPPPRE >ONH93499 pep chromosome:Prunus_persica_NCBIv2:G8:20819850:20830013:1 gene:PRUPE_8G235000 transcript:ONH93499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYRGLLFPLGFLIIIAVATLSHGESSTCLTVYKEGGAPAVFQSPKCPRWTHSDYASYSRSTSRCQTAMLQGHRKSLEDRTLCALDLRIPFPGKAGVKEVTVGIVAVFDGHNGAEASEMASKLLLEYFVLHTYFLIDASYSAILKKGSGSLQTSADHHSIFQRYNWDGLLDRHELDLGRFKHSFQANFDDSFHFEILKEALLRAIHDIDAKFSMEASRKNLVSGSTATIILLADGQILVANIGDSKALLCSEKFQSPAEAKATYLRLYRQERRNGAISRLRNYKNLDLASSTGLVHFSVKELTRDHHPDRDDEKLRVETAGGYVLEWGGVPRVNGELAISRAIGDVSFKSYGVISAPELTDWQPLTVNDTYLVAASDGVFEKLNVQDVCDLLWEVRSYDSGRSELSSSCSYSLADCIVNTAFEKGSMDNVAAVVVPLVSTGFSESLLKERSVGEGDVKYTASGLQSTHEGSANDCSYAIKQLEYAHPVVSKFDRLLVQGKHGYIGCFILSENLDEHAEYMLQAKDEHEGYVYDLRQALPEAVGQQFGGPLNLYHDQNFCLHLGITVDAKDQCLNPEGFASFLALLESIPFHDAGSNNGSFEYSMPDRRYVLKKRFGRGSYGEVWLAFNWNYHQGTNMSNSSLPDENLLILKRIMVEKGAAVYLSGLREKYFGEIFMNASNRLGGSLSAGISTSVLNESQFDFYGLVETTDPTAYATGNSWTSENLFEEKFHGGFYEEGLNHIARYVESFESQANEIWLVFRYEGVSLSKLMYTVEEETNADEERAEKVNHVQMLCPSKWWHWLKTTKAGQEQMRSLIWQLLMALKSCHDRNITHRDIKPENMVLCFEEEDTGRCLKGIPNGENFTTKMRIIDFGSAMDEFTLKHLYGSTGPSRVEQTNEYTPPEALLHSNWYLRPTNTTLKLRSFMELCILIPGSFLRHHRTASQAGASPASWKCSEEFFSHQIKSRDPLKLGFPNVWALRLVRQLLLWDPEERLSVDDALQHPYFQPPPRE >ONH93501 pep chromosome:Prunus_persica_NCBIv2:G8:20819850:20830012:1 gene:PRUPE_8G235000 transcript:ONH93501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYRGLLFPLGFLIIIAVATLSHGESSTCLTVYKEGGAPAVFQSPKCPRWTHSDYASYSRSTSRCQTAMLQGHRKSLEDRTLCALDLRIPFPGKAGVKEVTVGIVAVFDGHNGAEASEMASKLLLEYFVLHTYFLIDASYSAILKKGSGSLQTSADHHSIFQRYNWDGLLDRHELDLGRFKHSFQANFDDSFHFEILKEALLRAIHDIDAKFSMEASRKNLVSGSTATIILLADGQILVANIGDSKALLCSEKFQSPAEAKATYLRLYRQERRNGAISRLRNYKNLDLASSTGLVHFSVKELTRDHHPDRDDEKLRVETAGGYVLEWGGVPRVNGELAISRAIGDVSFKSYGVISAPELTDWQPLTVNDTYLVAASDGVFEKLNVQDVCDLLWEVRSYDSGRSELSSSCSYSLADCIVNTAFEKGSMDNVAAVVVPLVSTGFSESLLKERSVGEGDVKYTASGLQSTHEGSANDCSYAIKQLEYAHPVVSKFDRLLVQGKHGYIGCFILSENLDEHAEYMLQAKDEHEGYVYDLRQALPEAVGQQFGFASFLALLESIPFHDAGSNNGSFEYSMPDRRYVLKKRFGRGSYGEVWLAFNWNYHQGTNMSNSSLPDENLLILKRIMVEKGAAVYLSGLREKYFGEIFMNASNRLGGSLSAGISTSVLNESQFDFYGLVETTDPTAYATGNSWTSENLFEEKFHGGFYEEGLNHIARYVESFESQANEIWLVFRYEGVSLSKLMYTVEEETNADEERAEKVNHVQMLCPSKWWHWLKTTKAGQEQMRSLIWQLLMALKSCHDRNITHRDIKPENMVLCFEEEDTGRCLKGIPNGENFTTKMRIIDFGSAMDEFTLKHLYGSTGPSRVEQTNEYTPPEALLHSNWYLRPTNTTLKYDMWSVGVVMLELILGSPNVFQISSHTRILLDRHIKGWNEGLKELAYKLRSFMELCILIPGSFLRHHRTASQAGASPASWKCSEEFFSHQIKSRDPLKLGFPNVWALRLVRQLLLWDPEERLSVDDALQHPYFQPPPRE >ONH93498 pep chromosome:Prunus_persica_NCBIv2:G8:20819850:20830012:1 gene:PRUPE_8G235000 transcript:ONH93498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYRGLLFPLGFLIIIAVATLSHGESSTCLTVYKEGGAPAVFQSPKCPRWTHSDYASYSRSTSRCQTAMLQGHRKSLEDRTLCALDLRIPFPGKAGVKEVTVGIVAVFDGHNGAEASEMASKLLLEYFVLHTYFLIDASYSAILKKGSGSLQTSADHHSIFQRYNWDGLLDRHELDLGRFKHSFQANFDDSFHFEILKEALLRAIHDIDAKFSMEASRKNLVSGSTATIILLADGQILVANIGDSKALLCSEKFQSPAEAKATYLRLYRQERRNGAISRLRNYKNLDLASSTGLVHFSVKELTRDHHPDRDDEKLRVETAGGYVLEWGGVPRVNGELAISRAIGDVSFKSYGVISAPELTDWQPLTVNDTYLVAASDGVFEKLNVQDVCDLLWEVRSYDSGRSELSSSCSYSLADCIVNTAFEKGSMDNVAAVVVPLVSTGFSESLLKERSVGEGDVKYTASGLQSTHEGSANDCSYAIKQLEYAHPVVSKFDRLLVQGKHGYIGCFILSENLDEHAEYMLQAKDEHEGYVYDLRQALPEAVGQQFGGPLNLYHDQNFCLHLGITVDAKDQCLNPEGFASFLALLESIPFHDAGSNNGSFEYSMPDRRYVLKKRFGRGSYGEVWLAFNWNYHQGTNMSNSSLPDENLLILKRIMVEKGAAVYLSGLREKYFGEIFMNASNRLGGSLSAGISTSVLNESQFDFYGLVETTDPTAYATGNSWTSENLFEEKFHGGFYEEGLNHIARYVESFESQANEIWLVFRYEGVSLSKLMYTVEEETNADEERAEKVNHVQMLCPSKWWHWLKTTKAGQEQMRSLIWQLLMALKSCHDRNITHRDIKPENMVLCFEEEDTGRCLKGIPNGENFTTKMRIIDFGSAMDEFTLKHLYGSTGPSRVEQTNEYTPPEALLHSNWYLRPTNTTLKYDMWSVGVVMLELILGSPNVFQISSHTRILLDRHIKGWNEGLKELAYKLRSFMELCILIPGSFLRHHRTASQAGASPASWKCSEEFFSHQIKSRDPLKLGFPNVWALRLVRQLLLWDPEERLSVDDALQHPYFQPPPRE >ONH91272 pep chromosome:Prunus_persica_NCBIv2:G8:13414154:13414642:1 gene:PRUPE_8G102800 transcript:ONH91272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCRIISLSLVCILSSALLQSTHAQDTPQDYLLAHNNARAAVNVGPLSWDDDLAAYAQDYANQHIGENFAGSTGDLSGTSAVNLWMAEEADYDYDSNSCADGNVCGHYTQVVWSNSARLGCAKVTCNSGGTFIGCNYDPPGNVVGQKPY >ONH90077 pep chromosome:Prunus_persica_NCBIv2:G8:3119734:3123592:1 gene:PRUPE_8G033600 transcript:ONH90077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMEMEMRAEEDIEIGEDITPPLTPLGFALHDSLLSSHCSSCFSLLPPHPFPPLHFTPPFPHNPHHVLSSSSYCSPLCSTSDSPLHVSSAELHLLHLLQSHPSTYPHGDSSDLRAALRLLHSLPATGPSARIAGLLTNHHKFLHHDDHHRIRDGARAMFLARKMRDEAPNDKTGRTLGISVYGPSFCWINHSCSPNACYRFLVSPPPPPPCSAERTPLRIAPLGQGTQIESGVCSNNVFIKECVSYGPRVIVRSIKRIKKGEEVTVTYTDLLQPKAMRQSELWSRYRFICSCTRCSASPLTYVDQVLEEISAANFNSSSLSSDINFNRDKATQRLTNYIDDAIDDYLSIGDPESSSVRLEHVLTQGLSDKQSECKEETSQLTYWLHPLHHLSLNAYTTLASAYKIRATDLSALYSKMDDHLLNALDLSRTSTAYSLLLAGATHHLFRSESSLIVSVANFWSSAGESLLTLARSSVWSQFVQRDLPVSNPSSTGKYRCPNCSLADKFETDSFHGQVRYADFDYVSNEFVDCVTNFTQNVWNFLGLGCQYLRLVKNPIDFSWLGTVRYSSVGEDIVRSSGTEVASKCGAGRRISGSEAEGYNNQVRICLFKLGVHCLLYGGYLASICYGNSHLTRNVGKILDLEESIESLS >ONH90076 pep chromosome:Prunus_persica_NCBIv2:G8:3119734:3123592:1 gene:PRUPE_8G033600 transcript:ONH90076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMEMEMRAEEDIEIGEDITPPLTPLGFALHDSLLSSHCSSCFSLLPPHPFPPLHFTPPFPHNPHHVLSSSSYCSPLCSTSDSPLHVSSAELHLLHLLQSHPSTYPHGDSSDLRAALRLLHSLPATGPSARIAGLLTNHHKFLHHDDHHRIRDGARAMFLARKMRDEAPNVCSDNSSSVSPDDAVLEEAALCLVLTNAVEVQDKTGRTLGISVYGPSFCWINHSCSPNACYRFLVSPPPPPPCSAERTPLRIAPLGQGTQIESGVCSNNVFIKECVSYGPRVIVRSIKRIKKGEEVTVTYTDLLQPKAMRQSELWSRYRFICSCTRCSASPLTYVDQVLEEISAANFNSSSLSSDINFNRDKATQRLTNYIDDAIDDYLSIGDPESSSVRLEHVLTQGLSDKQSECKEETSQLTYWLHPLHHLSLNAYTTLASAYKIRATDLSALYSKMDDHLLNALDLSRTSTAYSLLLAGATHHLFRSESSLIVSVANFWSSAGESLLTLARSSVWSQFVQRDLPVSNPSSTGKYRCPNCSLADKFETDSFHGQVRYADFDYVSNEFVDCVTNFTQNVWNFLGLGCQYLRLVKNPIDFSWLGTVRYSSVGEDIVRSSGTEVASKCGAGRRISGSEAEGYNNQVRICLFKLGVHCLLYGGYLASICYGNSHLTRNVGKILDLEESIESLS >ONH90371 pep chromosome:Prunus_persica_NCBIv2:G8:5474000:5476942:-1 gene:PRUPE_8G050300 transcript:ONH90371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPHLQLQVDARDGWAGHQGWLPFNVHHVFPHSSQPETVVLTPKTSNCDPYQWSMRPNYNHCDTNPTTTFLFLSLPLLKNN >ONH90384 pep chromosome:Prunus_persica_NCBIv2:G8:5571349:5578996:-1 gene:PRUPE_8G051100 transcript:ONH90384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFQFQTQKRIRSSRVSETQRNVAVEEKSTVGLPLRKGLASSATKNANPESEDLGFDEICQTQKRKRSSRVSETQRNVAVEENSTVGLPLCMGLASSATKNANPESEEGFDKICQTQKRKRSSGVSETQRNVAVEEKSTVGLPLRKGLASSAKKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVGLPLRRIKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSAADFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPERRPNGKSSSACTVLMQSQGVVGVSDSHSVKSTKDDDLGTLVHQAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRETARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQEGDWEEGEIRS >ONH90387 pep chromosome:Prunus_persica_NCBIv2:G8:5571523:5578528:-1 gene:PRUPE_8G051100 transcript:ONH90387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFQFQTQKRIRSSRVSETQRNVAVEEKSTVGLPLRKGLASSATKNANPESEDLGFDEICQTQKRKRSSRVSETQRNVAVEENSTVGLPLCMGLASSATKNANPESEEGFDKICQTQKRKRSSGVSETQRNVAVEEKSTVGLPLRKGLASSAKKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVGLPLRRIKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSAADFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPERRPNGKSSSACTVLMQSQGVVGVSDSHSVKSTKDDDLGTLVHQAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRETARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQEGDWEEGEIRS >ONH90386 pep chromosome:Prunus_persica_NCBIv2:G8:5571408:5578996:-1 gene:PRUPE_8G051100 transcript:ONH90386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFQFQTQKRIRSSRVSETQRNVAVEEKSTVGLPLRKGLASSATKNANPESEDLGFDEICQTQKRKRSSRVSETQRNVAVEENSTVGLPLCMGLASSATKNANPESEEGFDKICQTQKRKRSSGVSETQRNVAVEEKSTVGLPLRKGLASSAKKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVGLPLRRIKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSAADFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPERRPNGKSSSACTVLMQSQGVVGVSDSHSVKSTKDDDLGTLVHQAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRETARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQEGDWEEGEIRS >ONH90385 pep chromosome:Prunus_persica_NCBIv2:G8:5576942:5578528:-1 gene:PRUPE_8G051100 transcript:ONH90385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFQFQTQKRIRSSRVSETQRNVAVEEKSTVGLPLRKGLASSATKNANPESEDLGFDEICQTQKRKRSSRVSETQRNVAVEENSTVGLPLCMGLASSATKNANPESEEGFDKICQTQKRKRSSGVSETQRNVAVEEKSTVGLPLRKGLASSAKKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVGLPLRRIKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSAADFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPERRPNGKSSSACTVLMQSQGVVGVSDSHSVKSTKDDDLGTLVHQAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRETARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQEGDWEEGEIRS >ONH91344 pep chromosome:Prunus_persica_NCBIv2:G8:13847280:13849783:-1 gene:PRUPE_8G107900 transcript:ONH91344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGMFMKKPDKAEALKQLRSHVAMFGAWVVAIRAAPYVLHFLCGEKDELKLEF >ONH91401 pep chromosome:Prunus_persica_NCBIv2:G8:14102422:14108567:-1 gene:PRUPE_8G112100 transcript:ONH91401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTTQLLGAPSPSSSSSFTNSWTHDVFLSFRGEDTRYNFTDHLHKNLVQRGIRTFIDDELPRGEEISQALLDAIEGSRCSIIVFSENYASSKWCLDELVHIIQCRKSKQQMVWPVFYKVDPSDVRNQRGSYGEALNNHERKFKEQRLTNHDESKFEDNMKKVLRWKETLTEAANLSGSHYLEGRETKFIQNIVNEISLQVLYDTHINVAKYQVGIEARVQDLHKVLDVDGNDVRMVGIWGNGGIGKTTLAKAVYNSLAHVYEGSCFLENVRERSIPYGGLVDLQNLLLYEILRGKEIKVTSADKGISVIKERLSCKKVLVIVDDVDHLDQLNNLVGGCDWFGLGSRIIITTRDKHLLTSHQVSIIYKAKKLNFGESLDLFISWNGGRNKNLDDDYVKAAETVLKYAQGLPLALKVLGSHLCGRSIDEWHDALDGNLHSDIKKTLKISYDALEYSVQEVFLDIACFFKGRKVYDVIPILEGCDLKPKYAIKVLVDKALINIEQGTIGMHDLLEELGRGIVYQESPNEPGERSRLWFHEDVYRVLTEGTGTNNIKGIIAKFPTPDDICLSDDSFSKMKNLRLFINVNARFYGDHVDYLSNELRFLHWPGCPLQTLPSTFNPRKLVELYMPCSRLSQLGEGFKRLQNLTSMDFRSCEFLTKTPNISGIPNLQSLNLDDCTSLVEVHPSVGFHDKLVDLRLESCHNLTRFPIIKSKSLEVLNLEDCTRLETFPEIGGKMDSLRRMFLRGSGIKELPASIAYLISLEYLDLRSCENLTNLPPSIYELEHLNQICLQGSRKLVTFPNKVKSEVLGSAVSHPLALPSLEEFILEGSNLSEFNFLWTLDCVSTLSMLDLTRSDFLVSIPECITKFVNLRDLYLHGCKRLRDIPELPPKIVKLEASDCVSLERFSSLSNILKGKKDSQMIEFVDLCNCQRLCGNLARDLTKKQNILAKEQITLFFDHLLSSQKHGFQVVFPASFVALSTLFSCHKYVKERDESCEFLIEIPPNFKCQNQGLALYAAVENPQNKRRRYHGFLTKISVNQPGVLPHFIRFDYHFRKIGSGHVWLCYIRFREMFYRADRITWPQSPFACRVNFQNLTQDSLHFKSFGVHLVMTQDDEDLSIFTEDGESESDNLEDANERFDGDNCVDLCEDEQDSEPDYFSCEDNEDGYFY >ONH91297 pep chromosome:Prunus_persica_NCBIv2:G8:13575662:13580954:1 gene:PRUPE_8G104900 transcript:ONH91297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGHLLHLLIPSCNSVVILALFFAYLAIAGSILPGKVVPGATLPDGYRLHYRCNGLLSLLLLIGLLGFGAKMDFVSLTAISDRGFELLSTTFLFSVLVTLVLYAAGCKSSNRGSSLKPHVTGNLLHDWWFGIQLNPQFMGIDLKFFFVRAGMMGWLFINLSVLVRSIQDGTLSQSMILFQLFCVLYILDYFFHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLSNNVELGTAAVVANCLTFLIGYMVFRGANKQKHVFKKNPKAPIWGRPARVIGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGISSPVPYFYPIYLLILLIRRERRDEARCAEKYKEIWAEYRRLVPWRILPYVY >ONH91299 pep chromosome:Prunus_persica_NCBIv2:G8:13575989:13580515:1 gene:PRUPE_8G104900 transcript:ONH91299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVFIIAAMAISDRGFELLSTTFLFSVLVTLVLYAAGCKSSNRGSSLKPHVTGNLLHDWWFGIQLNPQFMGIDLKFFFVRAGMMGWLFINLSVLVRSIQDGTLSQSMILFQLFCVLYILDYFFHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLSNNVELGTAAVVANCLTFLIGYMVFRGANKQKHVFKKNPKAPIWGRPARVIGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGISSPVPYFYPIYLLILLIRRERRDEARCAEKYKEIWAEYRRLVPWRILPYVY >ONH91298 pep chromosome:Prunus_persica_NCBIv2:G8:13575662:13580954:1 gene:PRUPE_8G104900 transcript:ONH91298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGHLLHLLIPSCNSAISDRGFELLSTTFLFSVLVTLVLYAAGCKSSNRGSSLKPHVTGNLLHDWWFGIQLNPQFMGIDLKFFFVRAGMMGWLFINLSVLVRSIQDGTLSQSMILFQLFCVLYILDYFFHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLSNNVELGTAAVVANCLTFLIGYMVFRGANKQKHVFKKNPKAPIWGRPARVIGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGISSPVPYFYPIYLLILLIRRERRDEARCAEKYKEIWAEYRRLVPWRILPYVY >ONH89821 pep chromosome:Prunus_persica_NCBIv2:G8:1697767:1704518:1 gene:PRUPE_8G018300 transcript:ONH89821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDLLRINTDRGTMLSDGKSRFSKDGKPIYHFLGTSTFSEYTVCHVGSVAKINPAAPLEKVCVLSCGICTGFGATVNVAKPKKGSSVAIFGLGAVGLAAAEGARVSGAARIIGVDLNSNRFEEAKKFGVNEFVNPKDHNKPVQEVIAEMTDGGVDRSVECTGSVQAMISAFECVHDGWGVAVLVGVPSKDDAFKTHPVNFLNERTLKGTFYGNYKPRSDLPGVVEMYMNKELEVDKFITHSVPFAEINKAFDLMLSGQSIRCIIRMED >ONH89820 pep chromosome:Prunus_persica_NCBIv2:G8:1697767:1704518:1 gene:PRUPE_8G018300 transcript:ONH89820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGQVIKCRAAVAWEAGKPLSIEEVEVAPPQKEEVRLKILFTSLCHTDVYFWEAKGQHPLFPRIYGHEAGGIVESVGEGVTDLQPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGTMLSDGKSRFSKDGKPIYHFLGTSTFSEYTVCHVGSVAKINPAAPLEKVCVLSCGICTGFGATVNVAKPKKGSSVAIFGLGAVGLAAAEGARVSGAARIIGVDLNSNRFEEAKKFGVNEFVNPKDHNKPVQEVIAEMTDGGVDRSVECTGSVQAMISAFECVHDGWGVAVLVGVPSKDDAFKTHPVNFLNERTLKGTFYGNYKPRSDLPGVVEMYMNKELEVDKFITHSVPFAEINKAFDLMLSGQSIRCIIRMED >ONH91677 pep chromosome:Prunus_persica_NCBIv2:G8:15261071:15266005:-1 gene:PRUPE_8G129200 transcript:ONH91677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTAREYEKAQKKLEEKLRETSQQLSNLALENTNLNKALRAKEKLIEDLNRHKSRADAEFSALMARLDSTEKENAFLRYEFHMLEKELEIRSEEMEYNRRSAEESHKQLLESVRKITKLEQECQRLHLLMRKRLPGPTALLNMKSEVQMLGRDQTEMRRRKLNPTRDMIVRDANKGNSPEIPNKKMRLMIEQLHDLEEENKTLKEILIRKNSELLSSRTTHSQTASRLSQAGTQLGQLSKGQKSMELVACSPIPNDISRSSRFDIGSDDGISSSESWASALISELEHFKNERLKSPKECREVEVSDISLMDDFVEMEKMAIVSAVTPPNKGHHRCFTGRELVPVEVDSSFSDRRKYSQSKDARPENSFDWLQVVLKAMLEQKNVSNRSLDELFEDIKIALGYINQPNNHEAHRTSVSGHRAECDPIDSFSGALSIDTSVEDNGSQRSQSSLSKSISKLIKLFQGINQTSLVYDCTTDVLSYRDQSSQIFNSAASTDYLIRIFQWKRSELNAVVEKCVLTCHNLLGGKANFENFVEELTSTLDWLLNEYRTPTDASTMRNKIKKHFGWQEDQGDIAVEGAIGESIIGHSSEEQSLCLPLVASSNDQDASFQLNKVQDKLQEENGRLKDELKSMEAQLKESQQIVESLQTELESLKQSEGIMEDQIENQKSVNEDLDTQLNVTKAKLNEVFQKFSSLEAELEHKHSCCEDLEATCLELQLQLQSAEKKETPEFGINQEEKQSQSGWEITTASVKLAECQETILNLGKQLKALATPREAELFDKVFSTTTSTAANASDNNLNRRSSLRDQMLAEDNTRVGDVKSPKEKETPRDADAEKPSLLHSDSHNALSTPTALMREGHLGSRHKAGNSAVGSLAIVPSKKQGGFGLLRRLLLRRKKGSNKKPQSLAKA >ONH91678 pep chromosome:Prunus_persica_NCBIv2:G8:15261408:15264523:-1 gene:PRUPE_8G129200 transcript:ONH91678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTAREYEKAQKKLEEKLRETSQQLSNLALENTNLNKALRAKEKLIEDLNRHKSRADAEFSALMARLDSTEKENAFLRYEFHMLEKELEIRSEEMEYNRRSAEESHKQLLESVRKITKLEQECQRLHLLMRKRLPGPTALLNMKSEVQMLGRDQTEMRRRKLNPTRDMIVRDANKGNSPEIPNKKMRLMIEQLHDLEEENKTLKEILIRKNSELLSSRTTHSQTASRLSQAGTQLGQLSKGQKSMELVACSPIPNDISRSSRFDIGSDDGISSSESWASALISELEHFKNERLKSPKECREVEVSDISLMDDFVEMEKMAIVSAVTPPNKGHHRCFTGRELVPVEVDSSFSDRRKYSQSKDARPENSFDWLQVVLKAMLEQKNVSNRSLDELFEDIKIALGYINQPNNHEAHRTSVSGHRAECDPIDSFSGALSIDTSVEDNGSQRSQSSLSKSISKLIKLFQGINQTSLVYDCTTDVLSYRDQSSQIFNSAASTDYLIRIFQWKRSELNAVVEKCVLTCHNLLGGKANFENFVEELTSTLDWLLNEYRTPTDASTMRNKIKKHFGWQEDQGDIAVEGAIGESIIGHSSEEQSLCLPLVASSNDQDASFQLNKVQDKLQEENGRLKDELKSMEAQLKESQQIVESLQTELESLKQSEGIMEDQIENQKSVNEDLDTQLNVTKAKLNEVFQKFSSLEAELEHKHSCCEDLEATCLELQLQLQSAEKKETPEFGINQEEKQSQSCDCSSNFQGWEITTASVKLAECQETILNLGKQLKALATPREAELFDKVFSTTTSTAANASDNNLNRRSSLRDQMLAEDNTRVGDVKSPKEKETPRDADAEKPSLLHSDSHNALSTPTALMREGHLGSRHKAGNSAVGSLAIVPSKKQGGFGLLRRLLLRRKKGSNKKPQSLAKA >ONH91674 pep chromosome:Prunus_persica_NCBIv2:G8:15260859:15266500:-1 gene:PRUPE_8G129200 transcript:ONH91674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKAWLWRKRSSEKTILATNAIPLGRIEEEIQTYPTEKGNETERSGKNLNEKLASVLLDCHVKEDLVTEHAKTAEAIAGGKKAGEPVLKQELDQALRQGISANERLTHSDDALAEYKQQLNFVREEQEQRINDAVMMTAREYEKAQKKLEEKLRETSQQLSNLALENTNLNKALRAKEKLIEDLNRHKSRADAEFSALMARLDSTEKENAFLRYEFHMLEKELEIRSEEMEYNRRSAEESHKQLLESVRKITKLEQECQRLHLLMRKRLPGPTALLNMKSEVQMLGRDQTEMRRRKLNPTRDMIVRDANKGNSPEIPNKKMRLMIEQLHDLEEENKTLKEILIRKNSELLSSRTTHSQTASRLSQAGTQLGQLSKGQKSMELVACSPIPNDISRSSRFDIGSDDGISSSESWASALISELEHFKNERLKSPKECREVEVSDISLMDDFVEMEKMAIVSAVTPPNKGHHRCFTGRELVPVEVDSSFSDRRKYSQSKDARPENSFDWLQVVLKAMLEQKNVSNRSLDELFEDIKIALGYINQPNNHEAHRTSVSGHRAECDPIDSFSGALSIDTSVEDNGSQRSQSSLSKSISKLIKLFQGINQTSLVYDCTTDVLSYRDQSSQIFNSAASTDYLIRIFQWKRSELNAVVEKCVLTCHNLLGGKANFENFVEELTSTLDWLLNEYRTPTDASTMRNKIKKHFGWQEDQGDIAVEGAIGESIIGHSSEEQSLCLPLVASSNDQDASFQLNKVQDKLQEENGRLKDELKSMEAQLKESQQIVESLQTELESLKQSEGIMEDQIENQKSVNEDLDTQLNVTKAKLNEVFQKFSSLEAELEHKHSCCEDLEATCLELQLQLQSAEKKETPEFGINQEEKQSQSGWEITTASVKLAECQETILNLGKQLKALATPREAELFDKVFSTTTSTAANASDNNLNRRSSLRDQMLAEDNTRVGDVKSPKEKETPRDADAEKPSLLHSDSHNALSTPTALMREGHLGSRHKAGNSAVGSLAIVPSKKQGGFGLLRRLLLRRKKGSNKKPQSLAKA >ONH91676 pep chromosome:Prunus_persica_NCBIv2:G8:15261408:15265167:-1 gene:PRUPE_8G129200 transcript:ONH91676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKAWLWRKRSSEKTILATNAIPLGRIEEEIQTYPTEKGNETERSGKNLNEKLASVLLDCHVKEDLVTEHAKTAEAIAGGKKAGEPVLKQELDQALRQGISANERLTHSDDALAEYKQQLNFVREEQEQRINDAVMMTAREYEKAQKKLEEKLRETSQQLSNLALENTNLNKALRAKEKLIEDLNRHKSRADAEFSALMARLDSTEKENAFLRYEFHMLEKELEIRSEEMEYNRRSAEESHKQLLESVRKITKLEQECQRLHLLMRKRLPGPTALLNMKSEVQMLGRDQTEMRRRKLNPTRDMIVRDANKGNSPEIPNKKMRLMIEQLHDLEEENKTLKEILIRKNSELLSSRTTHSQTASRLSQAGTQLGQLSKGQKSMELVACSPIPNDISRSSRFDIGSDDGISSSESWASALISELEHFKNERLKSPKECREVEVSDISLMDDFVEMEKMAIVSAVTPPNKGHHRCFTGRELVPVEVDSSFSDRRKYSQSKDARPENSFDWLQVVLKAMLEQKNVSNRSLDELFEDIKIALGYINQPNNHEAHRTSVSGHRAECDPIDSFSGALSIDTSVEDNGSQRSQSSLSKSISKLIKLFQGINQTSLVYDCTTDVLSYRDQSSQIFNSAASTDYLIRIFQWKRSELNAVVEKCVLTCHNLLGGKANFENFVEELTSTLDWLLNEYRTPTDASTMRNKIKKHFGWQEDQGDIAVEGAIGESIIGHSSEEQSLCLPLVASSNDQDASFQLNKVQDKLQEENGRLKDELKSMEAQLKESQQIVESLQTELESLKQSEGIMEDQIENQKSVNEDLDTQLNVTKAKLNEVFQKFSSLEAELEHKHSCCEDLEATCLELQLQLQSAEKKETPEFGINQEEKQSQSCDCSSNFQGWEITTASVKLAECQETILNLGKQLKALATPREAELFDKVFSTTTSTAANASDNNLNRRSSLRDQMLAEDNTRVGDVKSPKEKETPRDADAEKPSLLHSDSHNALSTPTALMREGHLGSRHKAGNSAVGSLAIVPSKKQGGFGLLRRLLLRRKKGSNKKPQSLAKA >ONH91675 pep chromosome:Prunus_persica_NCBIv2:G8:15260855:15266499:-1 gene:PRUPE_8G129200 transcript:ONH91675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKAWLWRKRSSEKTILATNAIPLGRIEEEIQTYPTEKGNETERSGKNLNEKLASVLLDCHVKEDLVTEHAKTAEAIAGGKKAGEPVLKQELDQALRQGISANERLTHSDDALAEYKQQLNFVREEQEQRINDAVMMTAREYEKAQKKLEEKLRETSQQLSNLALENTNLNKALRAKEKLIEDLNRHKSRADAEFSALMARLDSTEKENAFLRYEFHMLEKELEIRSEEMEYNRRSAEESHKQLLESVRKITKLEQECQRLHLLMRKRLPGPTALLNMKSEVQMLGRDQTEMRRRKLNPTRDMIVRDANKGNSPEIPNKKMRLMIEQLHDLEEENKTLKEILIRKNSELLSSRTTHSQTASRLSQAGTQLGQLSKGQKSMELVACSPIPNDISRSSRFDIGSDDGISSSESWASALISELEHFKNERLKSPKECREVEVSDISLMDDFVEMEKMAIVSAVTPPNKGHHRCFTGRELVPVEVDSSFSDRRKYSQSKDARPENSFDWLQVVLKAMLEQKNVSNRSLDELFEDIKIALGYINQPNNHEAHRTSVSGHRAECDPIDSFSGALSIDTSVEDNGSQRSQSSLSKSISKLIKLFQGINQTSLVYDCTTDVLSYRDQSSQIFNSAASTDYLIRIFQWKRSELNAVVEKCVLTCHNLLGGKANFENFVEELTSTLDWLLNEYRTPTDASTMRNKIKKHFGWQEDQGDIAVEGAIGESIIGHSSEEQSLCLPLVASSNDQDASFQLNKVQDKLQEENGRLKDELKSMEAQLKESQQIVESLQTELESLKQSEGIMEDQIENQKSVNEDLDTQLNVTKAKLNEVFQKFSSLEAELEHKHSCCEDLEATCLELQLQLQSAEKKETPEFGINQEEKQSQSGWEITTASVKLAECQETILNLGKQLKALATPREAELFDKVFSTTTSTAANASDNNLNRRSSLRDQMLAEDNTRVGDVKSPKEKETPRDADAEKPSLLHSDSHNALSTPTALMREGHLGSRHKAGNSAVGSLAIVPSKKQGGFGLLRRLLLRRKKGSNKKPQSLAKA >ONH89582 pep chromosome:Prunus_persica_NCBIv2:G8:276204:285359:1 gene:PRUPE_8G003200 transcript:ONH89582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRISTKPNLCDDEDEKPLVFKRSNAKSKQNQLDGQLKQLPVQNKSTTIKEESNSIEHPAEENSDSEDAKPIKARLGKPCEDSDDDKPLSSRITPKKVGTSGSQPCDSKERKPVASKVQKNCSSTTDKGKTSYVISTKRPLDKANISEKSSAKRPKVSDSSTSIKNMQVSAKKEPKGEDDDDFIPISQRIKKATSDNKSPVTKQKLTKVRPSSIKKKIKKGQKASQFSKYSKSTTVPPSSNDGQKKWTSLVHNGVIFPPPYKPHGVKMLYSGKPVTLSPEQEEVATMYAVMKDTDYVQKQTFRNNFWNDWHKLLGKNHIIQKLDACDFTPIYDWYQNEKEKKKQMSTEEKKALKEDKLKEEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKSGKLKRRIRPSDVTINIGKDAPIPECPIPGESWKEVRHDNTVTWLAFWNDPINQREFKYVFLAPSSSLKGQSDKEKYEKARMLKDYIGNIRAAYTRDFTSKDGTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVKAIAPNSLEFNFLGKDSIRYENTVEVEIPVYKAIIQFQTGKRGCDDLFDRLDTSKLNAHLKELMPGLTAKVFRTYNASITLDNILNKETKEGDLSEKVVVYQHANKEVAIICNHQRTISKTHSAQMSRLLEKIGNLQSILKELKTDLDRAKKGRPPLKDADGKQKRNLTPEALERKIAQTTVKIEKMELDMRTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWSMDVDPDFRF >ONH89584 pep chromosome:Prunus_persica_NCBIv2:G8:277711:285119:1 gene:PRUPE_8G003200 transcript:ONH89584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRISTKPNLCDDEDEKPLVFKRSNAKSKQNQLDGQLKQLPVQNKSTTIKEESNSIEHPAEENSDSEDAKPIKARLGKPCEDSDDDKPLSSRITPKKVGTSGSQPCDSKERKPVASKVQKNCSSTTDKGKTSYVISTKRPLDKANISEKSSAKRPKVSDSSTSIKNMQVSAKKEPKGEDDDDFIPISQRIKKATSDNKSPVTKQKLTKVRPSSIKKKIKKGQKASQFSKYSKSTTVPPSSNDGQKKWTSLVHNGVIFPPPYKPHGVKMLYSGKPVTLSPEQEEVATMYAVMKDTDYVQKQTFRNNFWNDWHKLLGKNHIIQKLDACDFTPIYDWYQNEKEKKKQMSTEEKKALKEDKLKEEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKSGKLKRRIRPSDVTINIGKDAPIPECPIPGESWKEVRHDNTVTWLAFWNDPINQREFKYVFLAPSSSLKGQSDKEKYEKARMLKDYIGNIRAAYTRDFTSKDGTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVKAIAPNSLEFNFLGKDSIRYENTVEVEIPVYKAIIQFQTGKRGCDDLFDRLDTSKLNAHLKELMPGLTAKVFRTYNASITLDNILNKETKEGDLSEKVVVYQHANKEVAIICNHQRTISKTHSAQMSRLLEKIGNLQSILKELKTDLDRAKKGRPPLKDADGKQKRNLTPEALERKIAQTTVKIEKMELDMRTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWSMDVDPDFRF >ONH89583 pep chromosome:Prunus_persica_NCBIv2:G8:276216:285113:1 gene:PRUPE_8G003200 transcript:ONH89583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRISTKPNLCDDEDEKPLVFKRSNAKSKQNQLDGQLKQLPVQNKSTTIKEESNSIEHPAEENSDSEDAKPIKARLGKPCEDSDDDKPLSSRITPKKVGTSGSQPCDSKERKPVASKVQKNCSSTTDKGKTSYVISTKRPLDKANISEKSSAKRPKVSDSSTSIKNMQVSAKKEPKGEDDDDFIPISQRIKKATSDNKSPVTKQKLTKVRPSSIKKKIKKGQKASQFSKYSKSTTVPPSSNDGQKKWTSLVHNGVIFPPPYKPHGVKMLYSGKPVTLSPEQEEVATMYAVMKDTDYVQKQTFRNNFWNDWHKLLGKNHIIQKLDACDFTPIYDWYQNEKEKKKQMSTEEKKALKEDKLKEEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKSGKLKRRIRPSDVTINIGKDAPIPECPIPGESWKEVRHDNTVTWLAFWNDPINQREFKYVFLAPSSSLKGQSDKEKYEKARMLKDYIGNIRAAYTRDFTSKDGTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVKAIAPNSLEFNFLGKDSIRYENTVEVEIPVYKAIIQFQTGKRGCDDLFDRLDTSKLNAHLKELMPGLTAKVFRTYNASITLDNILNKETKEGDLSEKVVVYQHANKEVAIICNHQRTISKTHSAQMSRLLEKIGNLQSILKELKTDLDRAKKGRPPLKDADGKQKRNLTPEALERKIAQTTVKIEKMELDMRTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWSMDVDPDFRF >ONH89580 pep chromosome:Prunus_persica_NCBIv2:G8:277709:285124:1 gene:PRUPE_8G003200 transcript:ONH89580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRISTKPNLCDDEDEKPLVFKRSNAKSKQNQLDGQLKQLPVQNKSTTIKEESNSIEHPAEENSDSEDAKPIKARLGKPCEDSDDDKPLSSRITPKKVGTSGSQPCDSKERKPVASKVQKNCSSTTDKGKTSYVISTKRPLDKANISEKSSAKRPKVSDSSTSIKNMQVSAKKEPKGEDDDDFIPISQRIKKATSDNKSPVTKQKLTKVRPSSIKKKIKKGQKASQFSKYSKSTTVPPSSNDGQKKWTSLVHNGVIFPPPYKPHGVKMLYSGKPVTLSPEQEEVATMYAVMKDTDYVQKQTFRNNFWNDWHKLLGKNHIIQKLDACDFTPIYDWYQNEKEKKKQMSTEEKKALKEDKLKEEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKSGKLKRRIRPSDVTINIGKDAPIPECPIPGESWKEVRHDNTVTWLAFWNDPINQREFKYVFLAPSSSLKGQSDKEKYEKARMLKDYIGNIRAAYTRDFTSKDGTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVKAIAPNSLEFNFLGKDSIRYENTVEVEIPVYKAIIQFQTGKRGCDDLFDRLDTSKLNAHLKELMPGLTAKVFRTYNASITLDNILNKETKEGDLSEKVVVYQHANKEVAIICNHQRTISKTHSAQMSRLLEKIGNLQSILKELKTDLDRAKKGRPPLKDADGKQKRNLTPEALERKIAQTTVKIEKMELDMRTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWSMDVDPDFRF >ONH89581 pep chromosome:Prunus_persica_NCBIv2:G8:276223:285102:1 gene:PRUPE_8G003200 transcript:ONH89581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRISTKPNLCDDEDEKPLVFKRSNAKSKQNQLDGQLKQLPVQNKSTTIKEESNSIEHPAEENSDSEDAKPIKARLGKPCEDSDDDKPLSSRITPKKVGTSGSQPCDSKERKPVASKVQKNCSSTTDKGKTSYVISTKRPLDKANISEKSSAKRPKVSDSSTSIKNMQVSAKKEPKGEDDDDFIPISQRIKKATSDNKSPVTKQKLTKVRPSSIKKKIKKGQKASQFSKYSKSTTVPPSSNDGQKKWTSLVHNGVIFPPPYKPHGVKMLYSGKPVTLSPEQEEVATMYAVMKDTDYVQKQTFRNNFWNDWHKLLGKNHIIQKLDACDFTPIYDWYQNEKEKKKQMSTEEKKALKEDKLKEEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKSGKLKRRIRPSDVTINIGKDAPIPECPIPGESWKEVRHDNTVTWLAFWNDPINQREFKYVFLAPSSSLKGQSDKEKYEKARMLKDYIGNIRAAYTRDFTSKDGTKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVKAIAPNSLEFNFLGKDSIRYENTVEVEIPVYKAIIQFQTGKRGCDDLFDRLDTSKLNAHLKELMPGLTAKVFRTYNASITLDNILNKETKEGDLSEKVVVYQHANKEVAIICNHQRTISKTHSAQMSRLLEKIGNLQSILKELKTDLDRAKKGRPPLKDADGKQKRNLTPEALERKIAQTTVKIEKMELDMRTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWSMDVDPDFRF >ONH93313 pep chromosome:Prunus_persica_NCBIv2:G8:20261958:20263167:1 gene:PRUPE_8G225200 transcript:ONH93313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPHTDHLNMAPKQKHLYKFDQALPPIKNQVNSNYQPPLPSPDSSFPILAIVVLSIMATAFLLVSYYVIVVKFCSNWYQIDLLSRFPIFRARETEDLLTAFSPSIWNRGLDESVIRGIPTVQFKGGEVEDRSVCGCAVCLKEFQEQDTLKVLPNCSHEFHLDCIDIWLQSNANCPLCRTSISGTIRYPTDHVIAPSTSPQDSELFSHSFMGSEEDFVVIELGGEDGVIFHQGSNKEMIIESHRTA >ONH91639 pep chromosome:Prunus_persica_NCBIv2:G8:15110384:15112089:1 gene:PRUPE_8G126900 transcript:ONH91639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTFHCSTSLRSRALQNPMLSPFLSHSHLNPSPNLSFPFRLQPKRGLIGLPNAPPRSLTIFSLRESKAAAVTGDSWEKSVINSETPVLVEFYASWCGPCRMVHRVIDEIAVEYAGKLKCFVLNTDVDLQVAEDNEIKAVPVVLLFKNGKKCDTVVGTMPKEFYVAAIERVLKS >ONH92886 pep chromosome:Prunus_persica_NCBIv2:G8:19026284:19029815:1 gene:PRUPE_8G201700 transcript:ONH92886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKISSFVHTNGLISVRYRLPPKRRLVGCRFSLLNDIIARPHSLSPSPNPTHRETHKFMASLSSPTQPPPPPFKRPPVTKPVTARSQTTLSPQPPPPSSSTTNSNSNKTHSLTLTQRSRSKILDIQKLKDKEAKERKEETNKKIASRKAISVILRREATKDLIEKKKGSKRLLPRTVLEAIHERITALRWESALKVFELLHEQQWYRPNAALYVKLIVMLGKCKQPEKAHELFQAMIDEGCAVNHESYTALVSAYGRSGLFDKAFSLLEQMKNTPDCQPDVHTYSILIKSCLQVFAYDKVQALLSDMESQGIRPNTITYNTLIDAYGKSKKFAEMESTLVEMLGEQDCEPDVWTMNSILRAFGNSGQIETMEKCFEKFQSAGIQANIMTFNILLDSYGKAGNYEKMSAVMEYMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFRLMRSERMKPSCVTLCSLLMLMGGWDASQK >ONH92884 pep chromosome:Prunus_persica_NCBIv2:G8:19026284:19029815:1 gene:PRUPE_8G201700 transcript:ONH92884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKISSFVHTNGLISVRYRLPPKRRLVGCRFSLLNDIIARPHSLSPSPNPTHRETHKFMASLSSPTQPPPPPFKRPPVTKPVTARSQTTLSPQPPPPSSSTTNSNSNKTHSLTLTQRSRSKILDIQKLKDKEAKERKEETNKKIASRKAISVILRREATKDLIEKKKGSKRLLPRTVLEAIHERITALRWESALKVFELLHEQQWYRPNAALYVKLIVMLGKCKQPEKAHELFQAMIDEGCAVNHESYTALVSAYGRSGLFDKAFSLLEQMKNTPDCQPDVHTYSILIKSCLQVFAYDKVQALLSDMESQGIRPNTITYNTLIDAYGKSKKFAEMESTLVEMLGEQDCEPDVWTMNSILRAFGNSGQIETMEKCFEKFQSAGIQANIMTFNILLDSYGKAGNYEKMSAVMEYMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFRLMRSERMKPSCVTLCSLVRAYGLAGLPEKIDGVLRFVENSDVMLDIVFFNCLVDAYGRMGCFAEMKGVLEIMEQKGCRPDKVTYRTMIKAYSANKMIGHVKELRELMESTEGSQMTWLHREKPDF >ONH92885 pep chromosome:Prunus_persica_NCBIv2:G8:19026284:19029815:1 gene:PRUPE_8G201700 transcript:ONH92885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKISSFVHTNGLISVRYRLPPKRRLVGCRFSLLNDIIARPHSLSPSPNPTHRETHKFMASLSSPTQPPPPPFKRPPVTKPVTARSQTTLSPQPPPPSSSTTNSNSNKTHSLTLTQRSRSKILDIQKLKDKEAKERKEETNKKIASRKAISVILRREATKDLIEKKKGSKRLLPRTVLEAIHERITALRWESALKVFELLHEQQWYRPNAALYVKLIVMLGKCKQPEKAHELFQAMIDEGCAVNHESYTALVSAYGRSGLFDKAFSLLEQMKNTPDCQPDVHTYSILIKSCLQVFAYDKVQALLSDMESQGIRPNTITYNTLIDAYGKSKKFAEMESTLVEMLGEQDCEPDVWTMNSILRAFGNSGQIETMEKCFEKFQSAGIQANIMTFNILLDSYGKAGNYEKMSAVMEYMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFRLMRSERMKPSCVTLCSLVRAYGLAVDAYGRMGCFAEMKGVLEIMEQKGCRPDKVTYRTMIKAYSANKMIGHVKELRELMESTEGSQMTWLHREKPDF >ONH90710 pep chromosome:Prunus_persica_NCBIv2:G8:10344973:10345437:1 gene:PRUPE_8G070600 transcript:ONH90710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELGLEVTTLLGQDLFYSNRTSVSLISKETGIPTWWMNHDHPCDQSVIRIVLSQFSLSGVVTRLSDRFPLFCKKFLSFCFQCFNL >ONH91679 pep chromosome:Prunus_persica_NCBIv2:G8:15267731:15274365:1 gene:PRUPE_8G129300 transcript:ONH91679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPAPPPPTDRDVPDDAASADAVKTSSSSKEKEPNAVAMTSSSSVAVKYDDEEEEEDVCRICRNPGDADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMAMKTCHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGGAQRLFLSHLSTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDADREDEGERNGARAARRAPGQANRNFVGDANGEDAAGAQGIAGAGLIIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILYHLSWIFSTASGPVLSTVVPLTESALSMANVTLKNAVTAVTNASSESQQSGMIDEVAEILKVNMSGLNEVSNNVSSPLSADFLKGATLGTSRLSDVTTLAIGYMFIFSLVFFYLGIVALIRYTRGEPLTMGRFYGIASMAETIPSLFRQLLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMSHRVQFFSASPLASSLVHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDFLLPRPEDNAAQENGNAEPGRQDRLQVQQGVQDQALVALPGGGDPNGSILASGDSNVVEEYDTDEQSDSERYSFVLRIVLLLVVAWMTLLVFNSALIVVPTSLGRAIFNVIPFLPITHGIKCNDLYAFIIGSYIIWTAVAGVRYSIEHIRTKRVAVLLGQIWKWCAIVIKSSVLLSIWIFIIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGLFPVLGYPLVVNSAVYRFAWLGCLCFSLLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEAIVEKQNESGTSSEMQDSNFEASGLIRHDREADVGLRLRRANRLEA >ONH91497 pep chromosome:Prunus_persica_NCBIv2:G8:14617812:14618870:-1 gene:PRUPE_8G119100 transcript:ONH91497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSAFRALATEHIWSLEAPLRDAQWRSLYGLSYPVFTTVVEKLRPHIALSNLSLPSDYAVAMVLSRLSHGFSAQTLASRYSLDPYLVSKITNMVTRLLATKLYPEFIKIPVSRRRLIETTQAFEELTSLPNMCGAIDGSPIKLHKHNLPGNYKCKYGYPSVLLEVVADHKKIFWDVCVKAPGGTDDATHFRDSLLYNRLTSGDIVWDKVINVRGHHVRPYIVGDWCYPLLSFLLTPFSPNGMGTPAQNLFDGMLMKGRSVVVEAIGLLKGRWKILQDLNVGLNHVPQTIVACCVLHNLCQIAREPEPELWKDPDESGAPPRVLDSEKSFYYFGESLRQALADDLHQRLSSR >ONH91102 pep chromosome:Prunus_persica_NCBIv2:G8:12572965:12574783:-1 gene:PRUPE_8G093000 transcript:ONH91102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEQVLHMNGGVGKTSYANNSLLQRAVISMVKPIVGASIEELCCTLFPECLKVADLGCSSGPNTLLVVSDIIDSIHTTYQKLNRPPPSLQAFLNDLPGNDFNTVFRSLPGFYKKLDEEHEKKFGSCFIAGMPGSFYGRLFPNNSLHFVHSSYALHWISEIPKGLVTKEGKALNKGNIYIAKTSPPAVFNQYLEQFKRDFTVCLRSRAEELVPGGSMVLTTMGSIKSDDPLCIWEFVGLKLNDMVLEGLIEEEKLDTFNMPYYAPTTKEIEEVIEAEGSFILQNLEVFKQANSGLDKKTRAAIFATDIRAVGEPILASQFGEAPMDDLFRRFEADVLDHKERENCQFINLVISLTKKR >ONH90575 pep chromosome:Prunus_persica_NCBIv2:G8:8911755:8912101:1 gene:PRUPE_8G061900 transcript:ONH90575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEPFLVSGPEFNSRFPLLCWFAGCTLGLHLYIFVSLLLLVCLSTCRMSVHVREGVIVIYIVGPFSNSLSFSG >ONH92874 pep chromosome:Prunus_persica_NCBIv2:G8:19008014:19011647:1 gene:PRUPE_8G201300 transcript:ONH92874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEYLWNGVPQNEEDLSYMLDDETTPVKACGDLAYHVNHRDSRTKEPEEPRESFSQVKRRRMLQFDTLAIDPSLSCDELSSSFLKSNERADSIEEALSEASQWVSGFSENASASGYECLEQSPDEWIAECFNDTEMHFSPDDLNFSGASDVQIDIAKLCDVRPEHEANVIQQRVTRTPRNVVFKGRKSYIRTPTKLATSVAYPFAFIKPSGAHGDVTLKDINQRIRTPPPSKSKQKYEDPAAYPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >ONH92873 pep chromosome:Prunus_persica_NCBIv2:G8:19007555:19011647:1 gene:PRUPE_8G201300 transcript:ONH92873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNNNNEHWDWQRNNHSTPKNSNYDMPEYLWNGVPQNEEDLSYMLDDETTPVKACGDLAYHVNHRDSRTKEPEEPRESFSQVKRRRMLQFDTLAIDPSLSCDELSSSFLKSNERADSIEEALSEASQWVSGFSENASASGYECLEQSPDEWIAECFNDTEMHFSPDDLNFSGASDVQIDIAKLCDVRPEHEANVIQQRVTRTPRNVVFKGRKSYIRTPTKLATSVAYPFAFIKPSGAHGDVTLKDINQRIRTPPPSKSKQKYEDPAAYPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >ONH89629 pep chromosome:Prunus_persica_NCBIv2:G8:429494:434409:1 gene:PRUPE_8G005500 transcript:ONH89629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQIDIPSSSSSSSPPTPRWTYDVFLSFRGEDTRTNFTDFLYTSLIQKGIFTFRDDEELERGKPIAPKLSKAIEASRYVIVILSPNYVNSTWCLDELVKAVECMNLMGQTILPVFYHVDPSEVRKQKADFGEAFPKHEEAFKDNERNVQRWRDALNQVSNLSGWHLHDGYESKVIQDIVGKIFTELNQTISSVSTDLVGMDSRVKEMLSCLDMGLHKVCAIGILGIGGIGKTTVARVVYERICAQFEACSFLANVREVTEKQGLVDLQKQLLSDILLESNVNVHNIYKGISLIRQRLHAITVLIILDDVDTLEQLEALCHHSWFGSGSRIIITSRDEHLLSTFGVNKMYKVKELNDSEALKLFSRKAFKKEQVGEGYLKLSKNVVEYASGLPLALTVTGSFLFGKSVKEWSSALDRLKENPEKGIIDVLKVSFDALQVTEKKVFLDIACFFKGEDKDCVAKILESGCGYSPDIDIKVLIDKSLVTLFGKKLCMHDLIQELGWEIVRQECRGDPGKRSRLWLLKDIIPVLAKNKGTDTIEGIFLNLPKQEKIHLNADSFSKMSNLRLLRICNVASPGSVEYLSNELQLLEWHACPLNYLPSNFQSDKLVELKMHLSRVKQLWNGNESWSMLKCIDLSDSQYLIKTPNFTKAPNIEMLVLQGCSRLVDVHPSMGILKQLILLNMRNCKSVKILPSFVSMESLESLNLSACSRLKKFPEIEGNMQSLLELHLDGTAIEELPPSIEHLTSLKLLNLGDCKNLFHLPSTIQHLTSLKTLILTGCSELNDIHENLNCVECLEELDISGTAIRESSFIVGLKNLKSLSFRGCKNRPSRSWHSFFNYWWRGRNGHVPGSLLLPTSLSGLSSLTNLNLSDCNLMDGEIPNDLGSLFSLKTLDLRQNNFVGLPETISQLSKLEFINVSKCSRLQLLPKELPLSLQRVNMEDCASLIDFPNQVKIFALPLLGKNSRISSKFQDFNSEKQEWRPVDDLQIQLLQRQLEELNYRFTPLQAVSYQTEIPEWFSHMVTECSIAIPPSPDLSDYRKLMGVALCAVFSVKGHPAVSCIGSDSGTSYFYQCKLPIHKFFGSKPFILHGKLHRKFGTDDFLWVFYLPRRFFPNVLSDSGVMGALFETNNPCMEVKKCGVRLVYEQDVAGFIQTFLQCVTTGPQPHQIVPYDDYKIIEEFDGDGFKTGWFSIVDNVWRWEKLIPAYEGGVRLPFWCNVWIRCRTGLAFLA >ONH89630 pep chromosome:Prunus_persica_NCBIv2:G8:430431:434409:1 gene:PRUPE_8G005500 transcript:ONH89630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLLFCSYESKVIQDIVGKIFTELNQTISSVSTDLVGMDSRVKEMLSCLDMGLHKVCAIGILGIGGIGKTTVARVVYERICAQFEACSFLANVREVTEKQGLVDLQKQLLSDILLESNVNVHNIYKGISLIRQRLHAITVLIILDDVDTLEQLEALCHHSWFGSGSRIIITSRDEHLLSTFGVNKMYKVKELNDSEALKLFSRKAFKKEQVGEGYLKLSKNVVEYASGLPLALTVTGSFLFGKSVKEWSSALDRLKENPEKGIIDVLKVSFDALQVTEKKVFLDIACFFKGEDKDCVAKILESGCGYSPDIDIKVLIDKSLVTLFGKKLCMHDLIQELGWEIVRQECRGDPGKRSRLWLLKDIIPVLAKNKGTDTIEGIFLNLPKQEKIHLNADSFSKMSNLRLLRICNVASPGSVEYLSNELQLLEWHACPLNYLPSNFQSDKLVELKMHLSRVKQLWNGNESWSMLKCIDLSDSQYLIKTPNFTKAPNIEMLVLQGCSRLVDVHPSMGILKQLILLNMRNCKSVKILPSFVSMESLESLNLSACSRLKKFPEIEGNMQSLLELHLDGTAIEELPPSIEHLTSLKLLNLGDCKNLFHLPSTIQHLTSLKTLILTGCSELNDIHENLNCVECLEELDISGTAIRESSFIVGLKNLKSLSFRGCKNRPSRSWHSFFNYWWRGRNGHVPGSLLLPTSLSGLSSLTNLNLSDCNLMDGEIPNDLGSLFSLKTLDLRQNNFVGLPETISQLSKLEFINVSKCSRLQLLPKELPLSLQRVNMEDCASLIDFPNQVKIFALPLLGKNSRISSKFQDFNSEKQEWRPVDDLQIQLLQRQLEELNYRFTPLQAVSYQTEIPEWFSHMVTECSIAIPPSPDLSDYRKLMGVALCAVFSVKGHPAVSCIGSDSGTSYFYQCKLPIHKFFGSKPFILHGKLHRKFGTDDFLWVFYLPRRFFPNVLSDSGVMGALFETNNPCMEVKKCGVRLVYEQDVAGFIQTFLQCVTTGPQPHQIVPYDDYKIIEEFDGDGFKTGWFSIVDNVWRWEKLIPAYEGGVRLPFWCNVWIRCRTGLAFLA >ONH91287 pep chromosome:Prunus_persica_NCBIv2:G8:13470448:13471246:1 gene:PRUPE_8G104000 transcript:ONH91287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVSANISKEEIDGFVEEHNKARKEVGNKPLKWNTTLAQYAQEYADKRVGDCAMEHSMGRWGENLASGDGMSGAAATKYWVTEKEFYDEKSNKCVKDECGHYLAVVWGKTTEVGCGISKCNNGQNYVVCSYDPMYQPEDERPY >ONH89572 pep chromosome:Prunus_persica_NCBIv2:G8:239200:244544:1 gene:PRUPE_8G002700 transcript:ONH89572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTWQILHIPDNPPIAPDQQPTVDAIASLIDPKFANTLVRRLNQISPLENLRHVKRVKKKFVEGGKTQLSVILCLASENGNQLESMQNDVQELMNSYKLSPFITKVCKYAALSKEEWEEQCKLWPTSYHPPTYNIDGITGFSEEDSQSVFRFMKYAIQLAKSSDNLVVNAAVIVDPSVKQVITTACDQTCSSYSPTNKITLETSCCEKLEAFISHSDSDGVLNHQTVHSNSFPDKSKQLCTGVSCLYPWQWADQQSDMSSCYWHPLRHAAIVAIESSAARDRYLFPSLGKIQDKSFEMDNMQSSSAGSPAKRQKTNSTNVHSDDNLTNGEGSSSLSARPYLCTGFDMYLVWEPCIMCAMALVHQRIRRIFFAFPNPDAGALGSVHRLQGETSLNHHYAVFRVLVPQQILSREG >ONH89571 pep chromosome:Prunus_persica_NCBIv2:G8:239215:244480:1 gene:PRUPE_8G002700 transcript:ONH89571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTWQILHIPDNPPIAPDQQPTVDAIASLIDPKFANTLVRRLNQISPLENLRHVKRVKKKFVEGVYLTGKTQLSVILCLASENGNQLESMQNDVQELMNSYKLSPFITKVCKYAALSKEEWEEQCKLWPTSYHPPTYNIDGITGFSEEDSQSVFRFMKYAIQLAKSSDNLVVNAAVIVDPSVKQVITTACDQTCSSYSPTNKITLETSCCEKLEAFISHSDSDGVLNHQTVHSNSFPDKSKQLCTGVSCLYPWQWADQQSDMSSCYWHPLRHAAIVAIESSAARDRYLFPSLGKIQDKSFEMDNMQSSSAGSPAKRQKTNSTNVHSDDNLTNGEGSSSLSARPYLCTGFDMYLVWEPCIMCAMALVHQRIRRIFFAFPNPDAGALGSVHRLQGETSLNHHYAVFRVLVPQQILSREG >ONH89574 pep chromosome:Prunus_persica_NCBIv2:G8:239573:244555:1 gene:PRUPE_8G002700 transcript:ONH89574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDVQELMNSYKLSPFITKVCKYAALSKEEWEEQCKLWPTSYHPPTYNIDGITGFSEEDSQSVFRFMKYAIQLAKSSDNLVVNAAVIVDPSVKQVITTACDQTCSSYSPTNKITLETSCCEKLEAFISHSDSDGVLNHQTVHSNSFPDKSKQLCTGVSCLYPWQWADQQSDMSSCYWHPLRHAAIVAIESSAARDRYLFPSLGKIQDKSFEMDNMQSSSAGSPAKRQKTNSTNVHSDDNLTNGEGSSSLSARPYLCTGFDMYLVWEPCIMCAMALVHQRIRRIFFAFPNPDAGALGSVHRLQGETSLNHHYAVFRVLVPQQILSREG >ONH89575 pep chromosome:Prunus_persica_NCBIv2:G8:241194:244555:1 gene:PRUPE_8G002700 transcript:ONH89575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYAIQLAKSSDNLVVNAAVIVDPSVKQVITTACDQTCSSYSPTNKITLETSCCEKLEAFISHSDSDGVLNHQTVHSNSFPDKSKQLCTGVSCLYPWQWADQQSDMSSCYWHPLRHAAIVAIESSAARDRYLFPSLGKIQDKSFEMDNMQSSSAGSPAKRQKTNSTNVHSDDNLTNGEGSSSLSARPYLCTGFDMYLVWEPCIMCAMALVHQRIRRIFFAFPNPDAGALGSVHRLQGETSLNHHYAVFRVLVPQQILSREG >ONH89573 pep chromosome:Prunus_persica_NCBIv2:G8:239215:244480:1 gene:PRUPE_8G002700 transcript:ONH89573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRHSSTQNLLTLLRLNQISPLENLRHVKRVKKKFVEGGKTQLSVILCLASENGNQLESMQNDVQELMNSYKLSPFITKVCKYAALSKEEWEEQCKLWPTSYHPPTYNIDGITGFSEEDSQSVFRFMKYAIQLAKSSDNLVVNAAVIVDPSVKQVITTACDQTCSSYSPTNKITLETSCCEKLEAFISHSDSDGVLNHQTVHSNSFPDKSKQLCTGVSCLYPWQWADQQSDMSSCYWHPLRHAAIVAIESSAARDRYLFPSLGKIQDKSFEMDNMQSSSAGSPAKRQKTNSTNVHSDDNLTNGEGSSSLSARPYLCTGFDMYLVWEPCIMCAMALVHQRIRRIFFAFPNPDAGALGSVHRLQGETSLNHHYAVFRVLVPQQILSREG >ONH93278 pep chromosome:Prunus_persica_NCBIv2:G8:20160344:20164335:-1 gene:PRUPE_8G222700 transcript:ONH93278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLPTWFRYIAHKLEYSATISWKNYQRGQITDRELGDAVWKNLFQGKLTYLHWNKGEEMAPSIGAQGGTLLVRKIPAADPRRVFVGDVVVLKDPEKADNYLVRRLAAIEGYEMLSTDEKDEPFVLEQDECWVLADNESLKPKEANDSRKFGPVSMTDIVGRVIYCLRTAVDHGPVQNSRSSMRKDTPVLQVELDVDEMAKNHKA >ONH93279 pep chromosome:Prunus_persica_NCBIv2:G8:20160970:20164155:-1 gene:PRUPE_8G222700 transcript:ONH93279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLPTWFRYIAHKLEYSATISWKNYQRGQITDRELGDAVWKNLFQGKLTYLHWNKGEEMAPSIGAQGGTLLVRKIPAADPRRVFVGDVVVLKDPEKADNYLVRRLAAIEGYEMLSTDEKDEPFVLEQDECWVLADNESLKPKEANDSRKFGPVSMTDIVGRVIYCLRTAVDHGPVQNSRSSMRKDTPVLQVELDVDEMAKNHKA >ONH91703 pep chromosome:Prunus_persica_NCBIv2:G8:15389311:15391902:-1 gene:PRUPE_8G131500 transcript:ONH91703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSFFASLLISIFLVHLCLYHGVESVDDQLISTHREALEIIIGGGGVNSPPAPPPEYEECPPPPPPPCPPSPSPSPPTPPPSLPPSPPPSPPPSPPTPPPSLPPSPPPLPPSPPPSPPPLPPSPPPLPPPKRKSPPRPPPKRKSRPPPTPPAPYPFESQRIELAYHVFQNFRPLITSDPKHIAKSWQGPHVCKYKGVVCAMHPTYKQKAVAGLDINGALFGGDNAKLPLDGFLDKLEDLAFFHANSNNFTGSVPKDVSKLKFFYELDLSNNKLIGGFPNEVLAATNLTFLDLRFNYFAGSVTPEVFKLDVDVLFLNNNNFNQKIPDNLGSSPAHYFTFANNKFTGPIPRSIGQACKTLYEVLFLGNKLTGCLPYEIGYLNQATVFDVSSNLLTGPIPHSFSCLAKIEYLNLASNRFYGPVPEQVCTLSNLGNFSLANNYFTEVGPECRKLMKKKLLDVRQNCILGEPNQKTKAQCNAFFSKPRKCPNEKEMTYVPCKRYLRSNEKKPEHQKQASAPLSYGSLVRHRL >ONH93664 pep chromosome:Prunus_persica_NCBIv2:G8:21318904:21322386:-1 gene:PRUPE_8G245200 transcript:ONH93664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEPELPKTTNTRYKCAACYKQYKKKEHLVDHINVSFHSVHQPRCGVCKKHFKSFESQREHVTGPLAKENCKTVFSEQGCDLCLKIFDSPNSLSAHKYMCRLSAPVPLVTMMERCEEFKHDINSSNEYHVGDGTEAIALDCEMVAGGSDGSLDLCARVCLIDEDETILFHTYVQPQYPVVNYRYEVTGLTEEHLRDGMPLQKVQDKVLQILYNGKTKLLVGHSVENDLDCLRMNYPDYLLRDTANYHPLMKTNLVSHPLKYLTRTYLGYDIQSGFHDPYEDCVSAMRLYKRFCALDHQKEGNVASLAMLCAKDIPGSFDSWETDKLEKMTLDELYEMSRPNYKCWCLDSVQAMQPQH >ONH93419 pep chromosome:Prunus_persica_NCBIv2:G8:20600062:20602391:1 gene:PRUPE_8G231200 transcript:ONH93419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSNAYYGTLPSTTATSTAAPSTFFRARETTQSFFAKRRPWLELVQPFYNFTRPYTFSDATIRIKRNLSYFRVNYTMVVLFILFLSLLWHPVSLIVFLLVFVAWFFLYFFRDNPLVIFNHIVDDRIVLGVLGVVTIVALIFTHVWLNVLVSVLIGVAIVALHAAFRGTEDLYNDEQEAAEGGLLSVVGSPTRPR >ONH93420 pep chromosome:Prunus_persica_NCBIv2:G8:20600062:20602391:1 gene:PRUPE_8G231200 transcript:ONH93420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSNAYYGTLPSTTATSTAAPSTFFRARETTQSFFAKRRPWLELVQPFYNFTRPYTFSDATIRIKRNLSYFRVNYTMVVLFILFLSLLWHPVSLIVFLLVFVAWFFLYFFRDNPLVIFNHIVDDRIVLGVLGVVTIVALIFTHVWLNVLVSVLIGVAIVALHAAFRGTEDLYNDEQEAAEGGLLSVVGSPTRPRYSRA >ONH93197 pep chromosome:Prunus_persica_NCBIv2:G8:19940973:19947200:1 gene:PRUPE_8G218700 transcript:ONH93197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSYNHHVTAILLLLLLLPLFHGHGVGGDWSGDYSKLSGIIIPGLASTQLRAWSILDCPYSPLDFNPLDLVWLDSTKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCIEFGIEANAIIAVPYDWRLSPSMLEERDLYFHKLKLTFETALKLRGGPSVVFAHSLGNHVFRYFLEWLKQEIAPKHYIQWLDEHIHAYFAVGAPLLGAIETVKGTLSGLTFGLPISEGTARLMVNSFASSLWMMPFSKYCRAENTYWKHFSGGNMDKRGHNMYHCDEREFQLNFSGWPTNIINIEIPSIRGFGAYPSVTEIAEANLSSIECGLPTQLSFSAREISDGTFFKAIEDYDPDIKRILHQLKTLYHDDPVLNPLSPWDRPPLKNIFCIYGTDLKTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLFSRSGNLVEGNPGASSGDETVPYHSLSWCKNWLGPKVNITRAPQSEHDGSDVQVELNVEHHHEEDILPNMTRLPRVKYITYYEDSESIPGKRTAVWEIDKANHRNIVRSPVLMRELWLQMWHDIHPDATSKFVTKAKRGPLRDEDCYWDYGKARCAWAEYCEYRYLFGDVHLGQSCRLKNSSANLLSHYL >ONH93198 pep chromosome:Prunus_persica_NCBIv2:G8:19941106:19945435:1 gene:PRUPE_8G218700 transcript:ONH93198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSYNHHVTAILLLLLLLPLFHGHGVGGDWSGDYSKLSGIIIPGLASTQLRAWSILDCPYSPLDFNPLDLVWLDSTKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCIEFGIEANAIIAVPYDWRLSPSMLEERDLYFHKLKLTFETALKLRGGPSVVFAHSLGNHVFRYFLEWLKQEIAPKHYIQWLDEHIHAYFAVGAPLLGAIETVKGTLSGLTFGLPISEGTARLMVNSFASSLWMMPFSKYCRAENTYWKHFSGGNMDKRGHNMYHCDEREFQLNFSGWPTNIINIEIPSIRGFGAYPSVTEIAEANLSSIECGLPTQLSFSAREISDGTFFKAIEDYDPDIKRILHQLKTLYHDDPVLNPLSPWDRPPLKNIFCIYGTDLKTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLFSRSGNLVEGNPGASSGDETVPYHSLSWCKNWLGPKVNITRAPQSEHDGSDVQVELNVEHHHEEDILPNMTRLPRVKYITYYEDSESIPGKRTAVWEIDKGVGLNQCSQTHNFSAWK >ONH93451 pep chromosome:Prunus_persica_NCBIv2:G8:20690126:20693065:-1 gene:PRUPE_8G233100 transcript:ONH93451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDFRTSPEDATRFEAVKPVAKSRLKRLFERPFTNVLRSSAAAEKGGAGIGIEEPHFNKDGFGGGGGSGEFEPSSVCLAKMVRSFMEESNEKQSAVARCSRNRCNCFNGNCNDSSEDELEAFGGFGDSNLASSGEACELLKGLVSCQSVNERNLLADTAKIVEKNKVCKRKDDICRTVVTDLLFALGYDASVCKSRWEKTPSYPAGEYDYIDVVVKGERLLIDIDFRSEFEIARPTKSYKAILQTLPYIFVGKPDRLWRIIKIVSEAAKQSLKKKGMHIPPWRKAEYIKAKWLSPHTRASSSPSDSMTEEKTEPLIETGEFELSQGGTNSVVETELDESVFELSESSGEEEKAMVAKEWKPPELKPRRSQTGVKMVTGLASVMENEP >ONH93452 pep chromosome:Prunus_persica_NCBIv2:G8:20690126:20693066:-1 gene:PRUPE_8G233100 transcript:ONH93452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDFRTSPEDATRFEAVKPVAKSRLKRLFERPFTNVLRSSAAAEKGGAGIGIEEPHFNKDGFGGGGGSGEFEPSSVCLAKMVRSFMEESNEKQSAVARCSRNRCNCFNGNCNDSSEDELEAFGGFGDSNLASSGEACELLKGLVSCQSVNERNLLADTAKIVEKNKVCKRKDDICRTVVTDLLFALGYDASVCKSRWEKTPSYPAGEYDYIDVVVKGERLLIDIDFRSEFEIARPTKSYKAILQTLPYIFVGKPDRLWRIIKIVSEAAKQSLKKKGMHIPPWRKAEYIKAKWLSPHTRASSSPSDSMTEEKTEPLIETGEFELSQGGTNSVVETELDESVFELSESSGEEEKAMVAKEWKPPELKPRRSQTGVKMVTGLASVMENEP >ONH93450 pep chromosome:Prunus_persica_NCBIv2:G8:20690126:20693065:-1 gene:PRUPE_8G233100 transcript:ONH93450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDFRTSPEDATRFEAVKPVAKSRLKRLFERPFTNVLRSSAAAEKGGAGIGIEEPHFNKDGFGGGGGSGEFEPSSVCLAKMVRSFMEESNEKQSAVARCSRNRCNCFNGNCNDSSEDELEAFGGFGDSNLASSGEACELLKGLVSCQSVNERNLLADTAKIVEKNKVCKRKDDICRTVVTDLLFALGYDASVCKSRWEKTPSYPAGEYDYIDVVVKGERLLIDIDFRSEFEIARPTKSYKAILQTLPYIFVGKPDRLWRIIKIVSEAAKQSLKKKGMHIPPWRKAEYIKAKWLSPHTRASSSPSDSMTEEKTEPLIETGEFELSQGGTNSVVETELDESVFELSESSGEEEKAMVAKEWKPPELKPRRSQTGVKMVTGLASVMENEP >ONH90436 pep chromosome:Prunus_persica_NCBIv2:G8:6049845:6052306:1 gene:PRUPE_8G053600 transcript:ONH90436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLCERMVLFTLNNNNNSELDLKTSNHFVSLPPKKSGGCFSGKKNDELLQLHLRTRHTWNFLPPCHLPSSTHCHS >ONH91869 pep chromosome:Prunus_persica_NCBIv2:G8:15889902:15891946:1 gene:PRUPE_8G140800 transcript:ONH91869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKAKTARERNMEKQKAAKGSQLEANKKAMNIQCKVCMQTFICTTSEVKCREHAEAKHPKADVYSCFPHLKK >ONH93623 pep chromosome:Prunus_persica_NCBIv2:G8:21215208:21220521:1 gene:PRUPE_8G243000 transcript:ONH93623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEGWVGCPAYGEEISCIIPSKVPLGESFKDHIRGETYTPKQVIHQQRLLGRELGLVIDLTNTTRYYPVSDWTKEGIRHVKIQCRGRDSVPDNVAVDKFLYEVSQFFSHRADPTECILVHCTHGHNRTGFMIVHFLVRNESISVTEAINKFAKARHPGIYKQDYIDELYMFYHERKPESVVCPQTPEWKRCSDLDDKAGAVMYQDNENHVRNEAMTIDDVLGEPIPFDQQKTMREACYHALKLGAGGRGNLQFPGSHPVSLNRDNQQLLRQRYYYATWKADGTRYMMLITWDGCYLIDRKFCFRRVQMRFPCKFSNKVIPEKTHHFTLLDGEMIIDTDPNTHKQERRYLIYDVMAINQLSLTELPFHERWKMLEKEVIEPRNMERDTLSKSPEPYYRYDLEQFSVRRKGFWLLSTVTKLLRKFIPGLSHASDGLIFQGWDDPYVPRTHEGLLKWKFPEMNSVDFLFELGVDGRELLFLNERGKKKLMGGYRVVFKDELDPALCSGKIIECSWDAEGNVWVCMRTRPDKSTPNEFNTYKKVMRSIKDNITEEVLLKEIDQIVRLPMYADRIRNDIKAHEHNSSSRRR >ONH93622 pep chromosome:Prunus_persica_NCBIv2:G8:21215208:21220521:1 gene:PRUPE_8G243000 transcript:ONH93622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEERSLGLKRKNPYQDSGFQSRNHQAFDRHMLPEGWVGCPAYGEEISCIIPSKVPLGESFKDHIRGETYTPKQVIHQQRLLGRELGLVIDLTNTTRYYPVSDWTKEGIRHVKIQCRGRDSVPDNVAVDKFLYEVSQFFSHRADPTECILVHCTHGHNRTGFMIVHFLVRNESISVTEAINKFAKARHPGIYKQDYIDELYMFYHERKPESVVCPQTPEWKRCSDLDDKAGAVMYQDNENHVRNEAMTIDDVLGEPIPFDQQKTMREACYHALKLGAGGRGNLQFPGSHPVSLNRDNQQLLRQRYYYATWKADGTRYMMLITWDGCYLIDRKFCFRRVQMRFPCKFSNKVIPEKTHHFTLLDGEMIIDTDPNTHKQERRYLIYDVMAINQLSLTELPFHERWKMLEKEVIEPRNMERDTLSKSPEPYYRYDLEQFSVRRKGFWLLSTVTKLLRKFIPGLSHASDGLIFQGWDDPYVPRTHEGLLKWKFPEMNSVDFLFELGVDGRELLFLNERGKKKLMGGYRVVFKDELDPALCSGKIIECSWDAEGNVWVCMRTRPDKSTPNEFNTYKKVMRSIKDNITEEVLLKEIDQIVRLPMYADRIRNDIKAHEHNSSSRRR >ONH93833 pep chromosome:Prunus_persica_NCBIv2:G8:21823657:21831413:1 gene:PRUPE_8G255600 transcript:ONH93833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSEMEIGSSVESFQKFLDSQRQLFHSQIDQLQKVVVTQCNLTGVNPLSQEMAAGALSVKIDGPFAGKRPRDLLNPKAIKYMQSVFSIKDAISKKESRELSALFGVTGTQVRDFFNSQRSRVRKLVQLSREKATRSSEHKELQDGVSTSSDPLTPIDPVPLNSVGPSSVEDAPSCSTQDDALSGLDDLDKHFVDNIFNLMRKEETFSGQEKLMEWILQIQNSSVLCWFLNTGGVMILATWLSQAAIEEQTSVLLVILKVLCHLPLHKALPVHMSAILQSVNRLRFYRTADVSNRARVLLSRWSKLLARIQNMKKPNGMKTSSDSQHELVMLKQSIDEVMGDESWKSNIDIPEDIFATPFENAENSRRSEASEPLKLLTASSDESNKKQILGVSSSQFRARRKVQLVEQPGQKSAGRSVQVTRATPVSKGRPMSADDIQKAKMRAQFMQSKYGKSGSSNENKELKTEGGNKLSTSQDSILPVVPKVPVRPNIEEPKKPVTLLLKERETPNRLETSLAPKLRMDLKESILEKCQRIRVPWKTPPEIKLDPEWRVGGGENGKEIEVQRNRNHREKETIYQRVQDIPSNPKEPWDIEMDYDDSLTPEIPIEQPPDADGTETHSLSREGNNAQTWVASSQGVNSAASLAPALSQMNGASAAAEPDLELLAVLLKNPELVFALTSGQAANLSSEDTVKLLDMIKSGGAGNLNGLGRKMEQRVEVSLPSPTPSSNPGTSGWRADAGRNAFPQQMATTNNSSVSSSVHMIPSQRLSTSQPAVPSYSPDYFPPSMQTPAASEMVLTMKNTHLNNLSNSYNVAERQPNSFPTPLVSTPARQQRQPQPLQQPRFSEPRLPTHMYPSKPQMGKPGPPPPSDSWRARQDVPSNYHYLENQNQYNASHGGPLQQPQLLPGPSWERNEHVGGNQDFESWSPDNSPTRNPGYMYGREPRMNPARDYMPDRSRQMNPSGYGGQNRLGNRWPDRGRH >ONH93834 pep chromosome:Prunus_persica_NCBIv2:G8:21823646:21831444:1 gene:PRUPE_8G255600 transcript:ONH93834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSEMEIGSSVESFQKFLDSQRQLFHSQIDQLQKVVVTQCNLTGVNPLSQEMAAGALSVKIGKRPRDLLNPKAIKYMQSVFSIKDAISKKESRELSALFGVTGTQVRDFFNSQRSRVRKLVQLSREKATRSSEHKELQDGVSTSSDPLTPIDPVPLNSVGPSSVEDAPSCSTQDDALSGLDDLDKHFVDNIFNLMRKEETFSGQEKLMEWILQIQNSSVLCWFLNTGGVMILATWLSQAAIEEQTSVLLVILKVLCHLPLHKALPVHMSAILQSVNRLRFYRTADVSNRARVLLSRWSKLLARIQNMKKPNGMKTSSDSQHELVMLKQSIDEVMGDESWKSNIDIPEDIFATPFENAENSRRSEASEPLKLLTASSDESNKKQILGVSSSQFRARRKVQLVEQPGQKSAGRSVQVTRATPVSKGRPMSADDIQKAKMRAQFMQSKYGKSGSSNENKELKTEGGNKLSTSQDSILPVVPKVPVRPNIEEPKKPVTLLLKERETPNRLETSLAPKLRMDLKESILEKCQRIRVPWKTPPEIKLDPEWRVGGGENGKEIEVQRNRNHREKETIYQRVQDIPSNPKEPWDIEMDYDDSLTPEIPIEQPPDADGTETHSLSREGNNAQTWVASSQGVNSAASLAPALSQMNGASAAAEPDLELLAVLLKNPELVFALTSGQAANLSSEDTVKLLDMIKSGGAGNLNGLGRKMEQRVEVSLPSPTPSSNPGTSGWRADAGRNAFPQQMATTNNSSVSSSVHMIPSQRLSTSQPAVPSYSPDYFPPSMQTPAASEMVLTMKNTHLNNLSNSYNVAERQPNSFPTPLVSTPARQQRQPQPLQQPRFSEPRLPTHMYPSKPQMGKPGPPPPSDSWRARQDVPSNYHYLENQNQYNASHGGPLQQPQLLPGPSWERNEHVGGNQDFESWSPDNSPTRNPGYMYGREPRMNPARDYMPDRSRQMNPSGYGGQNRLGNRWPDRGRH >ONH90291 pep chromosome:Prunus_persica_NCBIv2:G8:4687108:4696966:1 gene:PRUPE_8G045000 transcript:ONH90291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEIFKALEKTRLLVGDKKESHYSRCGRTDKGVSAVGQVIALYLRSNLKETCEKNEDSRKMVFKEQYEGEIDYVRVLNRALPDDIRVFGWCPVPLGFNARFSCLGREYYYLFWGENLNLLAMESAGRKFVGEHDFRNFCKMDALNVHNYRRKVTSFAISPCDVRFDENQLWAIKIKGSAFLWHQVRCMVAVLFLIGQGHESPDVIDALLDTDRIPRKPQYSMAPEIPLVLQSCEFEGLNFTCSSDSGQALRMHLANECQNYHLEAAIFHEALQSCSPIEKDQRSLDNGTVKKKSSHVPLMSRPTEPSYEERRAKLNTRRKD >ONH90290 pep chromosome:Prunus_persica_NCBIv2:G8:4682798:4696966:1 gene:PRUPE_8G045000 transcript:ONH90290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEVTNTNLITDLQSLVDSLRHRVQELEAENAKFSSQLANCRCHEKEDKRDSSAVSCDSSLETSRKSNSGSKKTTKEIVKEKVPGYDTRIMNHHCKRYVALKVMYFGRRFYGFAAEAQMDPTIESEIFKALEKTRLLVGDKKESHYSRCGRTDKGVSAVGQVIALYLRSNLKETCEKNEDSRKMVFKEQYEGEIDYVRVLNRALPDDIRVFGWCPVPLGFNARFSCLGREYYYLFWGENLNLLAMESAGRKFVGEHDFRNFCKMDALNVHNYRRKVTSFAISPCDVRFDENQLWAIKIKGSAFLWHQVRCMVAVLFLIGQGHESPDVIDALLDTDRIPRKPQYSMAPEIPLVLQSCEFEGLNFTCSSDSGQALRMHLANECQNYHLEAAIFHEALQSCSPIEKDQRSLDNGTVKKKSSHVPLMSRPTEPSYEERRAKLNTRRKD >ONH92162 pep chromosome:Prunus_persica_NCBIv2:G8:16859301:16862361:1 gene:PRUPE_8G159400 transcript:ONH92162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHGDKSDAAEEALVAETEKRPISTILIVIAMQTEALPVVNKFNLTEDPDSVFPKGVPWVRYHGFYKDLHINLVWPGKDSSLGVDCVGTVSASLVAYAAIQALQPDLIINAGTAGGFKAKGAGIGDVYLACDVAYHDRRIPIPVFDLYGVGLRQACVSPNLQKELNLKVGKLSTGNSLDMSPQDEASIIANDATIKDMEGAAVAYVADLLKVPIIFVKAVTDVVDGEKPTAEEFLQNLSAVTAALEEKITQVIEFINGKCLSDL >ONH92469 pep chromosome:Prunus_persica_NCBIv2:G8:17902929:17905316:-1 gene:PRUPE_8G177400 transcript:ONH92469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTLPILKNLTHRPTPGPFLPKPDPNTRSVTYMPRPGDGAPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFERYDVHGDLKRVPTEVIESIRKNKVCLKGGLKTPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREIATKYPSIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGVVR >ONH92467 pep chromosome:Prunus_persica_NCBIv2:G8:17902001:17905491:-1 gene:PRUPE_8G177400 transcript:ONH92467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTLPILKNLTHRPTPGPFLPKPDPNTRSVTYMPRPGDGAPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFERYDVHGDLKRVPTEVIESIRKNKVCLKGGLKTPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREIATKYPSIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGNEKLWEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGKYRTKDLGGVSTTQEVVDAVIANLD >ONH92468 pep chromosome:Prunus_persica_NCBIv2:G8:17902648:17905316:-1 gene:PRUPE_8G177400 transcript:ONH92468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTLPILKNLTHRPTPGPFLPKPDPNTRSVTYMPRPGDGAPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFERYDVHGDLKRVPTEVIESIRKNKVCLKGGLKTPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREIATKYPSIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGNEKLWEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGKYRTKDLGGVSTTQEVVDAVIANLD >ONH90536 pep chromosome:Prunus_persica_NCBIv2:G8:8444294:8451136:-1 gene:PRUPE_8G059900 transcript:ONH90536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQPLDVVKANVSSNPRTWIVIGLSVAGIVIVAETQRRRRRSRAQIMLREPFLEPRFDLLPFPQPPPPAARQPLASLTFAISDIFDVKGYVTGFGSPDWAGTHEAATKTALVVTALLKNGATCVGKTVMDELNFGITGENVHYGTPVNPQLQSHIPGGSSSGSAVAVAAGLVDFALGIDTTGCVRIPASFCGVIGFRPSHGAVSMIGVSPNSQSLDTVGIFTRDPSILHHVGHVLLQLKSVETKRPRRLIFADDLFQLSKVPTEKTVQVVTKAIEHLSGFASFIVSADQPPKHMNFSQYVASNVPGLKGFREESVKHQNEIFTLKALSSVMLLLQRCEFKTNHEEWVKSAKPRLGPDASDHVRAAIDSTHENMKTLYKVRTEMRAALQSLLKDDGILVIPTVADPPLKLNTKKRQSSEFNDRTLALSSIASMSGCCQVTIPVGKHKESPISISFIAFHSVDKFLLDTVLDMYSSIQEKVSIASHSSPLPDTNDNLDVSELLKEKGNAAFKGKQWNKAVKYYTEAIKLNMTSATYYCNRAAAYLELGCFQQAEEDCSKAISLDKKNVKAYMRRGTARESLLCYKDAAQDFKHALVLEPQNKVANLAEKRLRELMS >ONH90535 pep chromosome:Prunus_persica_NCBIv2:G8:8443972:8451310:-1 gene:PRUPE_8G059900 transcript:ONH90535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQPLDVVKANVSSNPRTWIVIGLSVAGIVIVAETQRRRRRSRAQIMLREPFLEPRFDLLPFPQPPPPAARQPLASLTFAISDIFDVKGYVTGFGSPDWAGTHEAATKTALVVTALLKNGATCVGKTVMDELNFGITGENVHYGTPVNPQLQSHIPGGSSSGSAVAVAAGLVDFALGIDTTGCVRIPASFCGVIGFRPSHGAVSMIGVSPNSQSLDTVGIFTRDPSILHHVGHVLLQLKSVETKRPRRLIFADDLFQLSKVPTEKTVQVVTKAIEHLSGYQPPKHMNFSQYVASNVPGLKGFREESVKHQNEIFTLKALSSVMLLLQRCEFKTNHEEWVKSAKPRLGPDASDHVRAAIDSTHENMKTLYKVRTEMRAALQSLLKDDGILVIPTVADPPLKLNTKKRQSSEFNDRTLALSSIASMSGCCQVTIPVGKHKESPISISFIAFHSVDKFLLDTVLDMYSSIQEKVSIASHSSPLPDTNDNLDVSELLKEKGNAAFKGKQWNKAVKYYTEAIKLNMTSATYYCNRAAAYLELGCFQQAEEDCSKAISLDKKNVKAYMRRGTARESLLCYKDAAQDFKHALVLEPQNKVANLAEKRLRELMS >ONH91400 pep chromosome:Prunus_persica_NCBIv2:G8:14101476:14102421:-1 gene:PRUPE_8G112000 transcript:ONH91400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVEVWMSELAKLKDKVGAKKRMVFSSKAKQGEGDDEVEEQRQVLKEARKESSRMAQIQRDLDSSTLSDAKVRLLMDRFVPW >ONH93694 pep chromosome:Prunus_persica_NCBIv2:G8:21425950:21428639:1 gene:PRUPE_8G247800 transcript:ONH93694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRSYAGITKPSFLLVHPELPALTSRNPQFTSLFTFRIPCSREKLTGMITRSQYSVADRRSATRYGDSSVSNKNTNRLGRRQGGSSSLYSRPSLLDMKNDRMENRARVYEFLRGIGIVPDELDGLELPVTVEVMRERVDFLHKLGLTIEDLNNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVIDLSPVVKYLQGMDIKPDDIPRVLERYPEVLGFKLEGTMSTSVAYLVGIGVARREIGGVLTRYPEILGMRVGRVIKPFVEYLEDLGIPRLGVARLIEKRPHILGFGLEERVKPNVQSLLEFCVRKESLASVVAQYPEIIGIDLKPKLLGQQSSLKSVIDLSPEEFGGVVEKMPQVVSLSDRPMMKHVDFLKNCGFSLEQVRKMVAGCPQLLALNLDIMKLSFDFFQTEMQRPLDDLVDFPAFFTYGLESTIKPRHKMVSKKGLKCSLGWLLNCSDDKFAQRMDYDTIEMEEMELLPSFDMNTLTEPRSDDSGSDYDDSDDDYV >ONH92407 pep chromosome:Prunus_persica_NCBIv2:G8:17659255:17661628:-1 gene:PRUPE_8G173700 transcript:ONH92407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIDFFQVFIIIIILIMTLFYIYFRSLTSSSSSSSISSVAAVDTDIPPLQEKYDVFLSFRGEDTRDTFTSHLHKDLLRKNIDTYIDRRLERGDEIAPALLKAIERSKIALVIFSKDYASSTWCLKELVHILGCKKSHGQIVIPIFYRIDPSHVRKQQGTCALEDRPLKRSRDEVANRRAALEEAANMSGFHYSSKTGRTEADFVEEVVQDVLTKLNRESSSDLRGLFGIQRKIEKIESLLCLDSPGVCCVGIWGMGGIGKTTLADAVFHRQWQSSKFEAACFLANVREKSEKTDGLNELRNTLVRELLKDKDVNINTPSIPPHIQDRLRRTKAFIVLDDVNAREHLEVLVGDDDRFCQGSRIMVTARDKGLLEQKIDHEKIYNVEGLGSDEALELFHSHAFGNKSPTTDYTEFSREVVDYIKGIPLALKVMGSSFRRCKSKKEWEVQWKKVKRVPIGEIQKVLRVSYDGLDDNEKEIFLDIACFCKGYLRKSVEKMLDSCYFFLEAGINDLIDRSLISISQDMRLKKLREASSGGRIVDMQSVRRTDRDA >ONH92080 pep chromosome:Prunus_persica_NCBIv2:G8:16577599:16580476:-1 gene:PRUPE_8G153400 transcript:ONH92080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAIYCVALVFTLVSANISQAEIDGFLEEHNKARKEVGNKPLKWNTTLAQYAQEYANKRVDDCAMEHSRGRWGENLTSGDGMTGAAGTKYWVTEKEFYDPKSNKCVKEECGHYLAVIWGKTTEVGCGISKCKDGKNYIVCSYDPMYQPEDERPY >ONH93675 pep chromosome:Prunus_persica_NCBIv2:G8:21355901:21357830:1 gene:PRUPE_8G246200 transcript:ONH93675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDGILSCLGGGALYLLGWFCDRLVVAIRGKVFSETPINCELSGLQGVVVEQREEQYFLKRIKDDEWMKDYKFTPSTTKEVPWYLDDGTFRVNVVGARRAGGFEYPAENAVFEGSKRSWPQIETLAFLKNLPLITKGKKDHKILGVKRIERVLPVGTSLSVVGEAVKDGNGTISIREPYRRPFYVSPKTIDQLTEESRMWARLLYVASFGFIVYGGVQIAKHSI >ONH90961 pep chromosome:Prunus_persica_NCBIv2:G8:11862032:11862790:-1 gene:PRUPE_8G085600 transcript:ONH90961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDSLKESVARIENFFGTMSGDEIECVVTQIEDLNAKMTKIENVFRELKASMERKVTDVLCDMNGLVEAIGGKLNKVEIGLGIVKLSLSGRTSSQEGSMMTKIKVPELKAYVGEWSAKELENFLWDMEPWAQLKLRRHDVKGLNSLIATAEKQMNYRATVTSSEKKKQGGKRHSGNNTVEKRKEIRAASNEAKGEEHKDKKKFSGSFFTEEPTM >ONH91404 pep chromosome:Prunus_persica_NCBIv2:G8:14116440:14117151:-1 gene:PRUPE_8G112400 transcript:ONH91404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVASIWSSEMNKRTRKDQTLASNASGSTDAGSSQEVQANGGINSTVLAPFFGRVVGANSLLTRHSEASISMLVDWFSA >ONH90275 pep chromosome:Prunus_persica_NCBIv2:G8:4560523:4564841:1 gene:PRUPE_8G044200 transcript:ONH90275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDTLENWHEEFLKQANPPDPKTFPFILLGNKIDIDGGNSRVVSEKKAKDWCTSKGNIPYFETSAKEDYNVDAAFLCIAKTALANEHEQDIYFQGIPEAVSESDQRGGCAC >ONH90294 pep chromosome:Prunus_persica_NCBIv2:G8:4703772:4705890:-1 gene:PRUPE_8G045200 transcript:ONH90294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPNVKWAQRSDTVYITINLPDAHDVKLNLEPEGKFLFSATTGPEKTPYEVDLDLYDKIDVNESKASVGLRNICYLVKKAEDKWWSRLIKQQGKAPVFLKVDWDKWVDEDEEPEVKPDEGAGNNMDFGDFDFSKLNMGGGGGFNPEALGMNMGGAGGFNPNALGMNMGGASGFNPDALGNMGGPGGFNPDALGNFDEEIGDSDTEDEIVDQPPSAAAQSDAKPPASGEHDAKASA >ONH90293 pep chromosome:Prunus_persica_NCBIv2:G8:4703338:4706125:-1 gene:PRUPE_8G045200 transcript:ONH90293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPNVKWAQRSDTVYITINLPDAHDVKLNLEPEGKFLFSATTGPEKTPYEVDLDLYDKIDVNESKASVGLRNICYLVKKAEDKWWSRLIKQQGKAPVFLKVDWDKWVDEDEEPEVKPDEGAGNNMDFGDFDFSKLNMGGGGGFNPEALGMNMGGAGGFNPNALGMNMGGASGFNPDALGNMGGPGGFNPDALGNFDEIGDSDTEDEIVDQPPSAAAQSDAKPPASGEHDAKASA >ONH91839 pep chromosome:Prunus_persica_NCBIv2:G8:15780028:15787380:-1 gene:PRUPE_8G138700 transcript:ONH91839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDIRTLEERYISSCRRHHVLPNPAILAVLFKAKIKKTCHELCSLEISLDHLKETDFPPLLDLCIDLDASEIEAVDIHNESLYALDGNYALLLMHAINQKLRVVDLHDLSFGKDFLRDLSQRGLTCQVLTLRSSHFRKLNMMGEFMRIHTLNLDFSSSLTSFQEDCFTCMPNLMCLSLCDTRISNLWTTFAALSKLPSLVELRFQNWSCCNDVGSYSASSSGKSDDKTDSNQPNSVDYGGRLSVNVGDVTNQNSSTEQGLRNLFLINNVVINDDVQGMAEDSSDDSDLDFSNPLQEHGSVELLSNVFAGGNRQVNPPNEASFDNLQNQNEAEPFEGVFTKHIGDVTLTYISYHASPICFEKHYREYMIASLPHLRLLDNLPIRKIDQERAMIKFSEYFENLPYRRKHKENVVHMLQKREIRASQTHAQSHGQKTSNLYGKSQYFYTRSLCAAKMGSSAWPFLHPLSVSGSNLGGESRSFRPRQFEYHPSDSSLMVFGTLDGEVIVVNHENGKIVSYIPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDIHQMQSTIAGIYSGAGSVTFDDFDQLTSVHVNFTDELFLASGYSRDVALYDISSGRRLQVFTDMHQEHINVVKFANHSPSLFATSSFDQDVKMWDLRQKPIRPCYTSSSSRGNVMVCFSPDDHYLLVSAVDNEVRQLLAVDGRLHLNFEIASTGSSQNYTRSYYMNGRDYIISGSCDEHVVRICCAQTGRRLRDISLEGGGGSRSSMFVQSLRGDPFREFNMSILAAYMRPRSRSEIFKVNMLASSDYAKEHLDGQQAFPTNSMGG >ONH91840 pep chromosome:Prunus_persica_NCBIv2:G8:15779231:15787651:-1 gene:PRUPE_8G138700 transcript:ONH91840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAINQKLRVVDLHDLSFGKDFLRDLSQRGLTCQVLTLRSSHFRKLNMMGEFMRIHTLNLDFSSSLTSFQEDCFTCMPNLMCLSLCDTRISNLWTTFAALSKLPSLVELRFQNWSCCNDVGSYSASSSGKSDDKTDSNQPNSVDYGGRLSVNVGDVTNQNSSTEQGLRNLFLINNVVINDDVQGMAEDSSDDSDLDFSNPLQEHGSVELLSNVFAGGNRQVNPPNEASFDNLQNQNEAEPFEGVFTKHIGDVTLTYISYHASPICFEKHYREYMIASLPHLRLLDNLPIRKIDQERAMIKFSEYFENLPYRRKHKENVVHMLQKREIRASQTHAQSHGQKTSNLYGKSQYFYTRSLCAAKMGSSAWPFLHPLSVSGSNLGGESRSFRPRQFEYHPSDSSLMVFGTLDGEVIVVNHENGKIVSYIPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDIHQMQSTIAGIYSGAGSVTFDDFDQLTSVHVNFTDELFLASGYSRDVALYDISSGRRLQVFTDMHQEHINVVKFANHSPSLFATSSFDQDVKMWDLRQKPIRPCYTSSSSRGNVMVCFSPDDHYLLVSAVDNEVRQLLAVDGRLHLNFEIASTGSSQNYTRSYYMNGRDYIISGSCDEHVVRICCAQTGRRLRDISLEGGGGSRSSMFVQSLRGDPFREFNMSILAAYMRPRSRSEIFKVNMLASSDYAKEHLDGQQAFPTNSMGG >ONH92392 pep chromosome:Prunus_persica_NCBIv2:G8:17601154:17605802:-1 gene:PRUPE_8G172500 transcript:ONH92392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSSEDVSDQQSEERCGSYSLSADVSESESCSSFSCRRFDAEGASSSMTSSPRQVPGNFCFQAPVMLPVIGGKDVVAWDEKPEKRDADLSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQRKAMWRREMEWLLCVSDSIVELVPSIQQFPGGGTYEVMEMRPRSDLYVNLPAIKKLDAMLLSMLDGFCETEFCYVDRGIIVDDSNDGKGFMPGGRPSIRQEEKWWLPYPKVPPNGLSSEARKKLQQCRDCCNQILKAAMAINSSVLAEMEIPRAYMESLPKSGKACLGDIIYRYITADQFSPEHLLDCLDLSSEHQTLEIANRIEAAVHVWKQKDQKKHNNHQKAKRASWGGKVKGLVSDTEKNHFLAQRAETLLHSLRHRFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLFVDDATKRCAAAESMSIFSRGGLGGLPIQKRMSPSPFSIQHTPYGSPLATPTFCSSTPVVGSPGRTPLCVKRSIRKEALDEKTEKLLAADFERVWSYAGSLSSRRTTGDAPERD >ONH91128 pep chromosome:Prunus_persica_NCBIv2:G8:12752718:12755028:-1 gene:PRUPE_8G095000 transcript:ONH91128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTNSFCWGSSAFLFLCLIGFFCTPAKATLKTYRFDVQVKNVRRLCHSKPIVTVNGMFPGPTVYAREGDTLLVNVTNHAQYNMSIHWHGLKQHRNGWADGPAYITQCPIKTGHSYTYNITITGQRGTLWWHAHIFWLRATVYGAIVILPKQGTGFPFLQPYKEANIVLGEWWNNDVKEVVKQGNKLGLPPNMSDAHTINGKPGPLFPCSEKHTYALEVEQGKMYLLRIINAALNDELFFAIAEIDAVYTKPFASQAILIAPGQTTNVLVQANQAPGRYFMAARPFMDAPVSVDNKLPLEYCMYLFKVDRHLFYTIGLGINQCTTCLNGTQLTAFLNNITFVMPQIGLLQAHYFNTKGVFTTDFPDRPPTPFNYTGTPLTASLGTKLGTRLSKLAFNSTVELVLQDINLLTVESHPFHLHGYNFFVVGTGVGNFDPKQDPAKYNLVDPPERNTIGVPTGGWVAIRFRADNPGVWFMHCHLELHTSWGLKTAFVVESGKDSDHSILPPPTDLPPC >ONH89917 pep chromosome:Prunus_persica_NCBIv2:G8:2141889:2145872:-1 gene:PRUPE_8G023800 transcript:ONH89917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASASLSAESAPPQWKHDVFQSFRGADTRRVFMSHLDHELRYRQTIKTFKDDRDLEIGATISPELLTAIEESHLAIIVLSPNYASSPWCLDELSKILECMEDTNRILPIFYDVDPSDVRNQKGRFAEAFTKHEERFSEEAEKVKRWRAALRKVANLSGLDSKNYKWEAELIKDTVKRVWKKVNPTLTLLDSQERLVGIDFALDQLRLQLDLEANEVRFIGIWGMGGIGKTTLANLVFQKISHHFELKCFLSNVRKREVSDLQRQLLSQILDQSINHVCDEREGTVFINKVLRNKKVLLVLDDVDQLHQLEVLARDKILFGVGSRIIITTRDKRLLVQHGTTIHKIEVLKNNEALELFSRHAFKKDQPEEGFQELSQHFLYYANGLPLALKILGRALYGRDQDAWKSALYNLNKIPDSDIFDSLKISYYGLKEMEKKIFLHVACLHRGRVKEKVIEILDCTLDISSHIEIDILIEKSLLTIDKHFHSNIVEMHDLIQEMAWTIVREESPEPGKRSILCCREDIFHVLMNNMGTGAIEGIVLCLPKLEIVPWNCTEAFNEMHGLRLLDFYNNVMFSSGPKFLPNSLRIIRWSWYPSKSLPSSFEPHFLSKLEMRDSKLVQLWDGAKDFPNLKYMDLSNSDKLTSIPDFTRIPNLEELNLNGCKKLGEVHPSIAVHKKLKVLSFYECESIKSLPSELEMDSLEFFCLWGCSKVKKIPEFGEHMKNLSKLFLDGTAIEEIPSSSIERLVGLVHLSISDCKSLFGLPSAICNLKSLEALYVKGCSKVDKLPGEMECLEELDLSGSAMREPLVAMKNLKILNLSGSVASRDRIWCGLDWLFGISKSVDPDPWGLVLSSLNRLGSLTKLDLSDCNIGEGAIPDDIGCLASLEELYLSGNNFVSLPSSIRFLSELLSLQLERCKRLLQLPDLPSSKYLFVNVNDCTSLKRLSDPSKLSEGANVYDFVLSCLNCFRLVEEEGWIWINRIFAMILKLATKVRYPDDRIVCPGSEIPDWFDNRSVGDSIIVELPPSPQTCSDWVGISLCVVFEDSEYLEDPAYCYLHIEYLQVPYNIFKVGHLESQHLWVFYLPRDVPRLRDASSSHRFSFEWHYSNRYLNKRPKISSIIKKCGARLVYQRDLEEFSRILKIPKPTALHASGDEEAGLIGSSRSGSSDDHDEP >ONH89915 pep chromosome:Prunus_persica_NCBIv2:G8:2141649:2146486:-1 gene:PRUPE_8G023800 transcript:ONH89915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASASLSAESAPPQWKHDVFQSFRGADTRRVFMSHLDHELRYRQTIKTFKDDRDLEIGATISPELLTAIEESHLAIIVLSPNYASSPWCLDELSKILECMEDTNRILPIFYDVDPSDVRNQKGRFAEAFTKHEERFSEEAEKVKRWRAALRKVANLSGLDSKNYKWEAELIKDTVKRVWKKVNPTLTLLDSQERLVGIDFALDQLRLQLDLEANEVRFIGIWGMGGIGKTTLANLVFQKISHHFELKCFLSNVRKREVSDLQRQLLSQILDQSINHVCDEREGTVFINKVLRNKKVLLVLDDVDQLHQLEVLARDKILFGVGSRIIITTRDKRLLVQHGTTIHKIEVLKNNEALELFSRHAFKKDQPEEGFQELSQHFLYYANGLPLALKILGRALYGRDQDAWKSALYNLNKIPDSDIFDSLKISYYGLKEMEKKIFLHVACLHRGRVKEKVIEILDCTLDISSHIEIDILIEKSLLTIDKHFHSNIVEMHDLIQEMAWTIVREESPEPGKRSILCCREDIFHVLMNNMGTGAIEGIVLCLPKLEIVPWNCTEAFNEMHGLRLLDFYNNVMFSSGPKFLPNSLRIIRWSWYPSKSLPSSFEPHFLSKLEMRDSKLVQLWDGAKDFPNLKYMDLSNSDKLTSIPDFTRIPNLEELNLNGCKKLGEVHPSIAVHKKLKVLSFYECESIKSLPSELEMDSLEFFCLWGCSKVKKIPEFGEHMKNLSKLFLDGTAIEEIPSSSIERLVGLVHLSISDCKSLFGLPSAICNLKSLEALYVKGCSKVDKLPGEMECLEELDLSGSAMREPLVAMKNLKILNLSGSVASRDRIWCGLDWLFGISKSVDPDPWGLVLSSLNRLGSLTKLDLSDCNIGEGAIPDDIGCLASLEELYLSGNNFVSLPSSIRFLSELLSLQLERCKRLLQLPDLPSSKYLFVNVNDCTSLKRLSDPSKLSEGANVYDFVLSCLNCFRLVEEEGWIWINRIFAMILKLATKVRYPDDRIVCPGSEIPDWFDNRSVGDSIIVELPPSPQTCSDWVGISLCVVFEDSEYLEDPAYCYLHIEYLQVPYNIFKVGHLESQHLWVFYLPRDVPRLRDASSSHRFSFEWHYSNRYLNKRPKISSIIKKCGARLVYQRDLEEFSRILKIPKPTALHASGDEEAGLIGSSRSGSSDDHDEP >ONH89916 pep chromosome:Prunus_persica_NCBIv2:G8:2141649:2146485:-1 gene:PRUPE_8G023800 transcript:ONH89916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASASLSAESAPPQWKHDVFQSFRGADTRRVFMSHLDHELRYRQTIKTFKDDRDLEIGATISPELLTAIEESHLAIIVLSPNYASSPWCLDELSKILECMEDTNRILPIFYDVDPSDVRNQKGRFAEAFTKHEERFSEEAEKVKRWRAALRKVANLSGLDSKNYKWEAELIKDTVKRVWKKVNPTLTLLDSQERLVGIDFALDQLRLQLDLEANEVRFIGIWGMGGIGKTTLANLVFQKISHHFELKCFLSNVRKREVSDLQRQLLSQILDQSINHVCDEREGTVFINKVLRNKKVLLVLDDVDQLHQLEVLARDKILFGVGSRIIITTRDKRLLVQHGTTIHKIEVLKNNEALELFSRHAFKKDQPEEGFQELSQHFLYYANGLPLALKILGRALYGRDQDAWKSALYNLNKIPDSDIFDSLKISYYGLKEMEKKIFLHVACLHRGRVKEKVIEILDCTLDISSHIEIDILIEKSLLTIDKHFHSNIVEMHDLIQEMAWTIVREESPEPGKRSILCCREDIFHVLMNNMGTGAIEGIVLCLPKLEIVPWNCTEAFNEMHGLRLLDFYNNVMFSSGPKFLPNSLRIIRWSWYPSKSLPSSFEPHFLSKLEMRDSKLVQLWDGAKDFPNLKYMDLSNSDKLTSIPDFTRIPNLEELNLNGCKKLGEVHPSIAVHKKLKVLSFYECESIKSLPSELEMDSLEFFCLWGCSKVKKIPEFGEHMKNLSKLFLDGTAIEEIPSSSIERLVGLVHLSISDCKSLFGLPSAICNLKSLEALYVKGCSKVDKLPGEMECLEELDLSGSAMREPLVAMKNLKILNLSGSVASRDRIWCGLDWLFGISKSVDPDPWGLVLSSLNRLGSLTKLDLSDCNIGEGAIPDDIGCLASLEELYLSGNNFVSLPSSIRFLSELLSLQLERCKRLLQLPDLPSSKYLFVNVNDCTSLKRLSDPSKLSEGANVYDFVLSCLNCFRLVEEEGWIWINRIFAMILKLATKVRYPDDRIVCPGSEIPDWFDNRSVGDSIIVELPPSPQTCSDWVGISLCVVFEDSEYLEDPAYCYLHIEYLQVPYNIFKVGHLESQHLWVFYLPRDVPRLRDASSSHRFSFEWHYSNRYLNKRPKISSIIKKCGARLVYQRDLEEFSRILKIPKPTALHASGDEEAGLIGSSRSGSSDDHDEP >ONH93958 pep chromosome:Prunus_persica_NCBIv2:G8:22125726:22129277:1 gene:PRUPE_8G262800 transcript:ONH93958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERNLLDTSGEHDSHLHAFQRDGDQEIEQEPEPNEDGEGCYSTLSKCAFSKLKPTRQSRFSWTEEADRQLVIQYARRCATLGAKYYHQISWASLPDLPAPPSTCKRRMSYLKNSNGKFRKSLMMLCNMLSKRYAKLLEKTKNRSLNNDECRQLLQGSTGEDYNMNFPNISGHNQGRSLQEEPWDNFDDNDIKKSLDEILHNQRMTRLDATKRYGSTYEEGSDLNTNAEEDDPKESELIVSTVPYEDIQNHRGVSAQRLSCQQLHNNFFKLLHEGVVSTPAYKSLAVELFKLVFLSTTTAPEEQNLVAEIRQRYSKCDLYAALNYLRDNKILIGGTDSQPLSLSPQFFPNIFKSPFPIDSGKRAAKLSHWLHERDKDLMEGGIDLSEDLECGDLFHLFALVSSGQLSISPCLPDEGVGEAEDLRSSKLKIESNECLNTKKLKSLVSESEMISRREKGFPGIMVSVYRAESSASNCVDFFKDDDTSSGEKHFGGNDRLDSTSVIPRAGDCDSGGAHSQRETNMNVNDVHKVTILSSPEEVAERSYEKQTCSVPEGCMEVVSARGHSDNESSKFTCGKLCVPILPWINGDGTINKIIYEGLRRRLLGIVMQNPGILEDEIMGKMDVLNAQTRRKLLELMVLDKHVLVRKMRQNVYSGLPAILRTLPEISFPPQKLVFREHFFANSMSSSLL >ONH93322 pep chromosome:Prunus_persica_NCBIv2:G8:20279645:20280377:1 gene:PRUPE_8G225700 transcript:ONH93322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRDLLEFRTPQHPSSLGLAANNWGGASPLLARNIPKESLEQKYLRLNTIRTRDEIFPADDEFNNLPKSPASKQQCPPTPSGSRKQFTLKLLFTPKRKTAAATTTTLGSNSKKKSWFPRLDPQKRWPQGW >ONH90485 pep chromosome:Prunus_persica_NCBIv2:G8:6717464:6720847:1 gene:PRUPE_8G056800 transcript:ONH90485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIPVQQQVKEGQQRLIGDSFIRPHLKNLSPYQSILSFEVLQSKRSIFSPVKSTKSQFWSFGGYFIISDEILLKVLELPILVVLDEAYIEFSGLESRLQWVKKYENLIVLRTFSKRAAFPLTIIEYLWRAKQPYNVSVAAEVSACAALENPTYLEALYNLVKELPFLNPYPSYSNFILCEVTSGMDAKKLKEDLAKMGVMIRHYNNKELSGYVRISVGKPEHTDVLIDCLRRLS >ONH91360 pep chromosome:Prunus_persica_NCBIv2:G8:13932833:13937361:1 gene:PRUPE_8G109500 transcript:ONH91360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYDVFLSFRGEDTRFNFTDHLHSHLTRKGIRTFIDDGLKRGEEISPALLRAIKESKISIIVFSENYASSKWCLDELVKILESKETREQIVWPVFYKVNPSDVRHQRGSFGQALADYECEFKDDMKKVQRWRRSLTKAANLSGWCFMNGHESKFIDNIVEAISLQVLNHACLNVAKYPVGIESRVREINKLLGVGGNDVRMVGIWGTGGIGKTTIAKAVYNSIAHMFEGSCFLDDVRERSMPYGGLVKLQSILLSEILGVKEVKVTNVDKGINVIKKILNGKKLLLVLDDVNQLDQLNKLVGRSDWFGSGSRIVLTTRDKHLLIAHQVNLIYEVEKLDHYESLKLFASWNSFSRNGHLIDDYAKLANEVVDYADGLPLALMVLGSHLCGRSIDQWKYALDGYRRVPNREIQEILKISYNALEDAVKEIFLDIAFFYKGLGEDYVIQILEGCDLNPKYNLEVLVEKALINITKDGCIWMHDLIEEMGKEVVRQESPTEPGKRSRLWFHEDVYHVLTENTGTDKIKGIMVKLPAGLESDEVCLNAESFSKMKNLRLFINHNVRLSGEVDYLPNELRLLIWPEYPSQSLPANFNPKKLVRLTMPRSRILRLDLEFKHSKFLRKTPDFSGVPNLEKLNLKYCTSLVELHPSAGFLHKLVKLSLTGCRSLTLFPRIVNLKSLLVLNLDGCISLENFPEIKGKMDSLKYLDLSKTSIKELPSSSIRHFTRLKELNLTGCENLTNLPCSIYELKHLKAISVHKCSKLVSFPKMAKSEDSRSAESLVTLHGGNLAFPKLSTFYVGGSNLSDIANFLLTLDCMTTLTRLDLSGSNFVSLPVCINNFVNLGELRLVSCKRLREIPDLPQALQVLDVSDCLSLERVSKLSNLLERIESQMFMEMSLLNCWRLRNNLVRIAKKKNMFINQVNLFSLFLLSLTSDLVVEFPGRGIPKWFSYRKDLKDLCECQFSIKTSQNFNWENKGISLCAFLEKTKNRLILDIKPSIREFAIDIYVNEEYNDNIRSTGKESSFVWLFYVPFHDLMSTMACTKRNVKRTWVMPPYLPTIRVHFVRISEVVKCCGVHLVMPPG >ONH93092 pep chromosome:Prunus_persica_NCBIv2:G8:19608778:19612448:1 gene:PRUPE_8G212700 transcript:ONH93092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQGQVITCKAAVAWEPNKPLVIEDVQVAPPQAGEVRVKILYTALCHTDAYTWGGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECGECKFCKSGKTNLCGKVRAATGVGVMMSDRKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKIDPKAPLEKVCLLGCGVPTGLGAVWNTAKVESGSIVAIFGLGTVGLAVAEGAKTAGASRIIGIDIDSKKFDTAKNFGVTEFVNPKDHEKPIQQVLVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYLKKEIKVDEYITHKLTLGEINKAFDLMHEGGCLRCVLSTDA >ONH93281 pep chromosome:Prunus_persica_NCBIv2:G8:20169997:20174309:1 gene:PRUPE_8G222900 transcript:ONH93281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANTQRSVHKRLMKRSENVNVHFNFHNQALIFHIFEVSPNFHIFEVNPNLVRPAMPSLVSQGKILRLELENFKSYKGFQTIGPFYDFTAIIGPNGAGKSNLMDAISFVLGVRTGHLRGAQLKDLIYAFDDKEKDQKGRRAYVRLVYQLANGSELQFTRAITGSAGSEYRVDGASVSWEEYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDDLKRDYEKYEEEKAIAEEKSALVYQRKRTIVLERKQKKEQKEEAEKNLRLQDQLKSLKREHSLWQLFNIEKDITKMTEELEAEKRSREEVMQELVEFQQEASKKKKEQAKYLKEIAQCEKKISERSNKLDKSQPELLKLKEEMSRINAKIKKSEKELARKEQERRRHKEDVKELQKGIQDLTAKLEDLHEKARDSGDKLKLDDTELREYFRIKEDAGMKTAKLRDEKEVLDRQQHADLEAQKNLEENLQQLRSREGELESQEEQMLTRQRKIKENSTKHRDEVKSLNNELHAMQEKHLHARQKHENLKSKIDEIEKQLRELKADRYENERDSRLSQAVETLKRLFHGVHGRMTDLCRPTQKKYNLAVTVAMGKFMDAVVVEDEQTGKECIKYLKEQRLPPQTFIPLQSVRVKPVMERLRNLGGTAKLIFDVVQYPLSMFDCIEFLIAKLHNPVLWL >ONH92544 pep chromosome:Prunus_persica_NCBIv2:G8:18071139:18073620:1 gene:PRUPE_8G179900 transcript:ONH92544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFPLQVCSICFNSLFSFRFLLNLLEFLLDFSFACTNPDGLPISTDDIFQNGQIRPVFLIFNPDLLFANADDDDFSIAKEATALDDLIDDDIQMAIQMLLVPFEFLVRRQTARLLDPSLQCLRFVYDELMKISHACEVTELQRFPVLRKHLDEVMVKFLRHGVEPAKRMIGNLIEMEVFPFAFAIVSGETMDNWRWQQRISNLLLGFFV >ONH93202 pep chromosome:Prunus_persica_NCBIv2:G8:19953927:19959169:-1 gene:PRUPE_8G219000 transcript:ONH93202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRTEKGRRGKSTRNFGKVMKCLCSGEQLRAADEMVPSENESLATKDRTTSESSSRAVEVTKKPHTGNIEEAESSLRESGCLNYEEARALLGRYEYQKGNIEAALHVFEGIDIAALTPKIKNTLARVGERRRRRSQSFSTPPMSINAVTLLLEAILLKAKSLQDLGRFKEGAQSCQVILDVVESSLPDGLPQNFVADCKLQEIVSKSVELLPELWKLADCPHEAILSYRRALLHHWNLEVETTAKIQKEFAVFLLYSGAEASPPNFRSQMDSSFVPRNSLEEAILLLMILLRKVCLKRIQWDPSILDHLSFALSVSGDTRALASQVEELLPGFMDRKEIFYKLALCYYGAGEHSVALNLLRRLLSKSEDPMCFPALLLALKICGENPSHAEEGVGFALRAMQSVDGKCDHLKSTAACLLGISLSVHSKSAVADYERVKRQAEALQALETAGRMTTMSDPIILYHLSLEYAEQRKLDAALDCAKQMLKLEGGSNIKSWLLLSRILSAQKRFVDAETIIEAALDQSGKWEQGELLRTKAKLQTAQGQFKNAIATYTQLLAFLHVQSKSYGYGNKLLKSNGNFSGRLELEIWHDLAYVYINLSQWSDAEICLSKAKAINAYSANRCHATGVLYETKGLYKEALRAFSEALDIDPSHVPSLISTAVVLRRLGDRSNHLYTVVRSFLMNALSLDRTNHSAWYNLGLLYESQGTPSSSLEAAECFEAAVFLEESAPVEPFR >ONH93204 pep chromosome:Prunus_persica_NCBIv2:G8:19954229:19957651:-1 gene:PRUPE_8G219000 transcript:ONH93204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHEARALLGRYEYQKGNIEAALHVFEGIDIAALTPKIKNTLARVGERRRRRSQSFSTPPMSINAVTLLLEAILLKAKSLQDLGRFKEGAQSCQVILDVVESSLPDGLPQNFVADCKLQEIVSKSVELLPELWKLADCPHEAILSYRRALLHHWNLEVETTAKIQKEFAVFLLYSGAEASPPNFRSQMDSSFVPRNSLEEAILLLMILLRKVCLKRIQWDPSILDHLSFALSVSGDTRALASQVEELLPGFMDRKEIFYKLALCYYGAGEHSVALNLLRRLLSKSEDPMCFPALLLALKICGENPSHAEEGVGFALRAMQSVDGKCDHLKSTAACLLGISLSVHSKSAVADYERVKRQAEALQALETAGRMTTMSDPIILYHLSLEYAEQRKLDAALDCAKQMLKLEGGSNIKSWLLLSRILSAQKRFVDAETIIEAALDQSGKWEQGELLRTKAKLQTAQGQFKNAIATYTQLLAFLHVQSKSYGYGNKLLKSNGNFSGRLELEIWHDLAYVYINLSQWSDAEICLSKAKAINAYSANRCHATGVLYETKGLYKEALRAFSEALDIDPSHVPSLISTAVVLRRLGDRSNHLYTVVRSFLMNALSLDRTNHSAWYNLGLLYESQGTPSSSLEAAECFEAAVFLEESAPVEPFR >ONH93203 pep chromosome:Prunus_persica_NCBIv2:G8:19954258:19958827:-1 gene:PRUPE_8G219000 transcript:ONH93203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRTEKGRRGKSTRNFGKVMKCLCSGEQLRAADEMVPSENESLATKDRTTSESSSRAVEVTKKPHTGNIEEAESSLRESGCLNYEEARALLGRYEYQKGNIEAALHVFEGIDIAALTPKIKNTLARVGERRRRRSQSFSTPPMSINAVTLLLEAILLKAKSLQDLGRFKEGAQSCQVILDVVESSLPDGLPQNFVADCKLQEIVSKSVELLPELWKLADCPHEAILSYRRALLHHWNLEVETTAKIQKEFAVFLLYSGAEASPPNFRSQMDSSFVPRNSLEEAILLLMILLRKVCLKRIQWDPSILDHLSFALSVSGDTRALASQVEELLPGFMDRKEIFYKLALCYYGAGEHSVALNLLRRLLSKSEDPMCFPALLLALKICGENPSHAEEGVGFALRAMQSVDGKCDHLKSTAACLLGISLSVHSKSAVADYERVKRQAEALQALETAGRMTTMSDPIILYHLSLEYAEQRKLDAALDCAKQMLKLEGGSNIKSWLLLSRILSAQKRFVDAETIIEAALDQSGKWEQGELLRTKAKLQTAQGQFKNAIATYTQLLAFLHVQSKSYGYGNKLLKSNGNFSGRLELEIWHDLAYVYINLSQWSDAEICLSKAKAINAYSANRCHATGVLYETKGLYKEALRAFSEALDIDPSHVPSLISTAVVLRRLGDRSNHLYTVVRSFLMNALSLDRTNHSAWYNLGLLYESQGTPSSSLEAAECFEAAVFLEESAPVEPFR >ONH93924 pep chromosome:Prunus_persica_NCBIv2:G8:22055341:22057371:1 gene:PRUPE_8G261000 transcript:ONH93924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKALEHRHPIDSCAFQLHSWRPFHLHQQTTPTSKTLDSDPSLPNPKPYNSSSNGLVVHTKRPCLSNRATSFSIDAIDMSRLTLVDDDRTISGGHHNRHGSFRFIAKKRRRHGSRSVSGRSSDRSGTRRCCSVGASAAYGTCSDFPVAVGTDSSGELFGNGDANWASDVSEARNSRKERDGGGSGEKENLGIGFGPIGGFDVQGNESGYGSEPGYRGDAEFGYGDELDEEEEDTRLLFWGDQFGDADSMMEIVGENTFVDQKSHHRCRRKKHDCRMVDTLR >ONH92478 pep chromosome:Prunus_persica_NCBIv2:G8:17947630:17952123:1 gene:PRUPE_8G178100 transcript:ONH92478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEGDSSEPKVSGDGGEQINIRCSNGSKFSVRASLDSTVGDFKAILAQNCDIPAEQQRLIYKGRILKDDQTLTSYGLQADHTVHMVRAFAAAASTPAAPAANATSASPNTAPGVTRGVGSTERDGLQSPDLGASLLFGQGLNPLGGGGGAPGLFGAGLPDFEQVQQQLTQNPDMMRDVMNMPAIQSLMNNPDLMRGLIMNNPQMRDIIDRNPELAHILNDPGILRQTLEAARSPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMTGNAGNDLGSNPFSALLGNQGGAQVRDGSNNPSTTGSETTAGSVAPNTNPLPNPWGNTVGGNQPNTTRPPPAGDGRAPGIAGLGGLGLPGMEQMLGGMPDANVMNQLLQNPAISQMMQSMLSNPQYMNQIMSLNPQLRGMVDLNPQLREMMQNPELLRQLTNPETMQQMLALQQSLFSQTNRQQSTQDPAQTGGVTGGPNNAAGLDMLMNMFGGLGAGSLAAANNPNVPPEELYATQLTQLQEMGFFDTQENIRALQATSGNVHAAVERLLGNPGV >ONH93587 pep chromosome:Prunus_persica_NCBIv2:G8:21089902:21090841:1 gene:PRUPE_8G240500 transcript:ONH93587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAKSSMVFFFMAMALVGVCFGAVYKVGDSHGWTDQGHFNYKTWSSNKHFTVGDTLVFEYDAKKENLVQVDHKGYKECMAKDPLFTFASGNDNVKITMPGDFFYISSLHDHCKAGQKLHIQVHASSSTPSPSQTPSFSSPSPSPVHLSPSPTPSASPSISPNGYPMPSPSGSPSYNPNRSPSAITRPPPNPSPMVRPNPPTVRPNPPTVRPNPPPSVPIVVSNPPPSAPTVVSNPPPSPGGTPPRRRKAVIKKSQASPSNGLPILQVLMAVAVVVYIA >ONH91283 pep chromosome:Prunus_persica_NCBIv2:G8:13445080:13447032:-1 gene:PRUPE_8G103600 transcript:ONH91283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSLSNLSAGDFAMDTGHEEAYEIPLNTGIQAELVLERLRGKRIRIGKDFFAKVRIFFATFFWVPVDCYKDFSIHAILHRN >ONH94053 pep chromosome:Prunus_persica_NCBIv2:G8:22334840:22337355:1 gene:PRUPE_8G267800 transcript:ONH94053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLCSTSVSAFSCGGCYYQFFYNYHPPASVDITWPPRGCRVNSSIKKRNNAPIKALSPLPYCSSTCLAISPCNGKPPNNNCNYKSLHKFIANCTPSTDTGTESIDTGLQDSSSFPAVDCVGTGQDVECAVSSSSSEEIQQQRTVGVELIQQQLQEWTVLVSPFFFWGTSMVAMKEVLPKAGPFFVSSFRLIPAGFLLIAFAASRGRPLPSGLTAWLSIALFGLVDAACFQGFLAEGLQRTSAGLGSVIIDSQPLTVAILAALFLGESIAFLGAAGLVLGVIGLLLLEAPSLSVDGSNFSLWQSGEWWMLLAAQSMAVGTIMVRWVSKYSDPIMATGWHMVIGGLPLVMISILNHENAVSGSLMSFTENDALALLYTSIFGSAISYGVYFYSATKGSLTKLSSLTFLTPMFASIFG >ONH94052 pep chromosome:Prunus_persica_NCBIv2:G8:22334771:22338301:1 gene:PRUPE_8G267800 transcript:ONH94052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLCSTSVSAFSCGGCYYQFFYNYHPPASVDITWPPRGCRVNSSIKKRNNAPIKALSPLPYCSSTCLAISPCNGKPPNNNCNYKSLHKFIANCTPSTDTGTESIDTGLQDSSSFPAVDCVGTGQDVECAVSSSSSEEIQQQRTVGVELIQQQLQEWTVLVSPFFFWGTSMVAMKEVLPKAGPFFVSSFRLIPAGFLLIAFAASRGRPLPSGLTAWLSIALFGLVDAACFQGFLAEGLQRTSAGLGSVIIDSQPLTVAILAALFLGESIAFLGAAGLVLGVIGLLLLEAPSLSVDGSNFSLWQSGEWWMLLAAQSMAVGTIMVRWVSKYSDPIMATGWHMVIGGLPLVMISILNHENAVSGSLMSFTENDALALLYTSIFGSAISYGVYFYSATKGSLTKLSSLTFLTPMFASIFGYLYLGETFSPLQLAGAIITIVAIYMVNYKTTVE >ONH94054 pep chromosome:Prunus_persica_NCBIv2:G8:22334771:22338301:1 gene:PRUPE_8G267800 transcript:ONH94054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLCSTSVSAFSCGGCYYQFFYNYHPPASVDITWPPRGCRVNSSIKKRNNAPIKALSPLPYCSSTCLAISPCNGKPPNNNCNYKSLHKFIANCTPSTDTGTESIDTGLQDSSSFPAVDCVGTGQDVECAVSSSSSEEIQQQRTVGVELIQQQLQEWTVLVSPFFFWGTSMVAMKEVLPKAGPFFVSSFRLIPAGFLLIAFAASRGRPLPSGLTAWLSIALFGLVDAACFQGFLAEGLQRTSAGLGSVIIDSQPLTVAILAALFLGESIAFLGAAGLVLGVIGLLLLEAPSLSVDGSNFSLWQSGEWWMLLAAQSMAVGTIMVRWVSKYSDPIMATGWVA >ONH93573 pep chromosome:Prunus_persica_NCBIv2:G8:21052165:21054232:-1 gene:PRUPE_8G239700 transcript:ONH93573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKQEILKKRQRLAEETGGKRVFKRSEIEQKQIQKLREQEKRELEAKAQRQATASNTTATDGSSAANSNPNASATTASATTSSNATTSKSLTDEQNIDKLNLPKQEVVRRLRLLKQPITLFGEDDDARLDRFKYVLKAGLFEVDSDMTEGQTNDFLRDIAELRKRQKSGIVSERKRQKTEVDGGVEDGDGGVREEDLSADGCSSGVDADKDLKRMKTNFEELCDEDKILVFFKKLLNEWNQELREMPDAERRTAKGKSMVATFKQCARYLHPLFKFCRKKILQDDIRRALMVVVRCCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPAMPSKAVEFNSLANGSDLQSLLASERASSGGNQASEEKLLIMPAP >ONH91955 pep chromosome:Prunus_persica_NCBIv2:G8:16176080:16183274:-1 gene:PRUPE_8G146200 transcript:ONH91955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILKDPEYSGVLYSRSNVDLKDKWRNMSVMANGWGSREKAKTAVRRMHPVPKQEENSMALSTVVQSDEDVMDAKPIAFSSDTQQTSGPKRSIVRLDNLITEAITSLKEPGGSNKTTIAAYIEVKRRYRIAPTPVFSEKRRNSSMYPFEGRQMVSPKFDKDDATMLMKAQIDLELAKMRTMTPREAAAAAARAVEEAEAAIAEAEEAAREAEAAEADADAAQAFAEAAMKTLKGRNSAKMMNRP >ONH91956 pep chromosome:Prunus_persica_NCBIv2:G8:16176608:16182171:-1 gene:PRUPE_8G146200 transcript:ONH91956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVVKHGAGKWRTILKDPEYSGVLYSRSNVDLKDKWRNMSVMANGWGSREKAKTAVRRMHPVPKQEENSMALSTVVQSDEDVMDAKPIAFSSDTQQTSGPKRSIVRLDNLITEAITSLKEPGGSNKTTIAAYIEEQYWAPPDFKRLLSAKLKYLTSSRKLIKVKRRYRIAPTPVFSEKRRNSSMYPFEGRQMVSPKFDKDDATMLMKAQIDLELAKMRTMTPREAAAAAARAVEEAEAAIAEAEEAAREAEAAEADADAAQAFAEAAMKTLKGRNSAKMMNRP >ONH90411 pep chromosome:Prunus_persica_NCBIv2:G8:5750801:5751179:1 gene:PRUPE_8G052400 transcript:ONH90411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIQVQFSRTCSCGTMQPLILKSEDLSVLPFPLLPLKFLMSSAMPCGIVCFRWLLPLLITIECVHKFSFLPNKRPIYFEQCHL >ONH93867 pep chromosome:Prunus_persica_NCBIv2:G8:21923641:21925225:1 gene:PRUPE_8G257700 transcript:ONH93867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCSISYCFSSVFVFLVALDILSFQVMTASGQAATDTDQVQFALNLEFLEAEFFLHGALGKGLDDISPNLAQGGPPPAGARKANLDILVARIIEEFAYQEVGHIRAIVGTVGGFPRPLLNLSRQNFAKFFDEAVGFTLIPAFDPYANTVNYLLASYLIPYVGLTGYVGTLPNLTAPASRRLVASLLGVEAGQDAVIRTLLYERGSQTVLPYPLTVAEFTNRISALRNKLGKSGNKDEGIRVPITLGAENKTTSNVLSADVNSLSYARTPPEILRIVYGTGNESRRGGFYPQGANGKIARTYVTVAGGGRGG >ONH92222 pep chromosome:Prunus_persica_NCBIv2:G8:17090602:17093637:1 gene:PRUPE_8G163700 transcript:ONH92222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEEPNRPISFYDSSSPSAPLLSKPPNPIDDPPPPPPSSPPSYTDQPDSESDPTQYLQISYNHGPRPFKDLPFLIIFVLFVLATFAFGIFSIFHRNTSYSNLSSFVYDSDSTSCVENDTLTHHPNWILLTFSSSSSSFLKDLTWTLVITLILSLPICISLLLLLKHYAKQVVYVFLPFFIVFPIFFNVYWFVACTLSSTCSDALPLLYRILILIFVFLVIGVLVWILVVNWHRIELTVSIIGVASDALSRNLGLFGVLPCLTLALVVYYAPIVVFLVFARLNGKIVPRESNDVYTCVWKQDSWVPAYYALAILTMLWSLTTMVEAQVYVISGTVAQWYFTKEDSTPRRIIRSSLRNAFGPSFGTVCVSGLLLAVVRVVRAVVDGARREGAPGMQ >ONH92221 pep chromosome:Prunus_persica_NCBIv2:G8:17090597:17093708:1 gene:PRUPE_8G163700 transcript:ONH92221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEEPNRPISFYDSSSPSAPLLSKPPNPIDDPPPPPPSSPPSYTDQPDSESDPTQYLQISYNHGPRPFKDLPFLIIFVLFVLATFAFGIFSIFHRNTSYSNLSSFVYDSDSTSCVENDTLTHHPNWILLTFSSSSSSFLKDLTWTLVITLILSLPICISLLLLLKHYAKQVVYVFLPFFIVFPIFFNVYWFVACTLSSTCSDALPLLYRILILIFVFLVIGVLVWILVVNWHRIELTVSIIGVASDALSRNLGLFGVLPCLTLALVVYYAPIVVFLVFARLNGKIVPRESNDVYTCVWKQDSWVPAYYALAILTMLWSLTTMVEAQVYVISGTVAQWYFTKEDSTPRRIIRSSLRNAFGPSFGTVCVSGLLLAVVRVVRAVVDGARREGAPGMVNFVLRCCVNALFSAIDFLNKFTINFAAITGEAYCSSARMTYELLKRNLLSAVFVETISTRLLAGIVFVLSAIYAIAVCAILKGVSNLGVDSYFVALLAWVLLILVLGFFVHVLDNVIDTVYVCYAIDRDRGEVCKQEVHEVYVHLPISRNHRPSSIISRTLGV >ONH92131 pep chromosome:Prunus_persica_NCBIv2:G8:16766111:16770305:-1 gene:PRUPE_8G157100 transcript:ONH92131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLSILGIALSSNSYYCASLSVIPLIPTSSSSTSSLSFSKKFTPSASGLSLSNPNLTGKFVTGKRNLVLRGQSGGFESELKPGDLMESEKEFPEFNRNLYPSIEPYSSGFLKVSDIHTIYWEQSGNPNGHPVVFLHGGPGGGTAPSNRKFFDPDFYRIILFDQRGAGKSTPHACLVENTTWDLISDIEKLREHLEIPEWQVFGGSWGSTLALAYSQLHPDKVTGMVLRGIFLLRKKEIDWFYEGGAASIFPDAWEPFRDLIPENERGSFVDAYGKRLNSDDQEVQYAAARAWTMWEMMTAHLFPNNDNIKKGEDDKFSLAFARIENHYFVNRGFFPSDGFLLDNIDKIRHIKTTIVQGRYDVCCPMMSAWDLHKAWPEADLKVIQDAGHSANEPGIAAELVAANENLKHVKKGG >ONH92132 pep chromosome:Prunus_persica_NCBIv2:G8:16766478:16770305:-1 gene:PRUPE_8G157100 transcript:ONH92132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLSILGIALSSNSYYCASLSVIPLIPTSSSSTSSLSFSKKFTPSASGKRNLVLRGQSGGFESELKPGDLMESEKEFPEFNRNLYPSIEPYSSGFLKVSDIHTIYWEQSGNPNGHPVVFLHGGPGGGTAPSNRKFFDPDFYRIILFDQRGAGKSTPHACLVENTTWDLISDIEKLREHLEIPEWQVFGGSWGSTLALAYSQLHPDKVTGMVLRGIFLLRKKEIDWFYEGGAASIFPDAWEPFRDLIPENERGSFVDAYGKRLNSDDQEVQYAAARAWTMWEMMTAHLFPNNDNIKKGEDDKFSLAFARIENHYFVNRGFFPSDGFLLDNIDKIRHIKTTIVQGRYDVCCPMMSAWDLHKAWPEADLKVSYSRCRAFC >ONH91134 pep chromosome:Prunus_persica_NCBIv2:G8:12772685:12775817:1 gene:PRUPE_8G095600 transcript:ONH91134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVVKEDEEVYSWREVKLASIAELERETAERRRGRDIVIAVDHGPNSKHAFDWALIHFCRLADTIHLIHAVSSVTNEIVYDASQVLMQKLAIEAFEVAMVRTIARIVQGDPGKVICKEAERVKPAAVILGTRGRGFIQSVLQGSVSEYCMHNCKSAPVVIVPGKEAGDESLI >ONH92455 pep chromosome:Prunus_persica_NCBIv2:G8:17873588:17874061:-1 gene:PRUPE_8G176800 transcript:ONH92455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVSSPISFKRRRLIGNLHHTVAEEAKRNPTVEGADGFYEFTAEDYYRLRKTKKEDKFLKTQKMREAEQAKCRSRLTKAVIRVRFPDNHTLEATFHPSDKIQSLVDHLKKVAARPELPFYLFTAPPKEVIRDMSMDFYSAGFVPGANVHLSYGIPN >ONH94114 pep chromosome:Prunus_persica_NCBIv2:G8:22482318:22485436:1 gene:PRUPE_8G271200 transcript:ONH94114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVILYVEDLDLKSCSAILVCRICHEEEFGSCESMEAPCACSGTVKFAHRNCIQRWCNEKGNTTCEICLQSYEPGYTAPPKKSQVDDTAVTIRDSVQVPRRDQESRSPRLDLERVQPIENVYSSQSQCSSAGDRSASCCRSLALLFTVVLLVRHLFVVLTGSNEDNPFTLLTAILPGQEAHFLGFGPPAHEKQPGQAQGQILPGF >ONH94113 pep chromosome:Prunus_persica_NCBIv2:G8:22482402:22485890:1 gene:PRUPE_8G271200 transcript:ONH94113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVILYVEDLDLKSCSAILVCRICHEEEFGSCESMEAPCACSGTVKFAHRNCIQRWCNEKGNTTCEICLQSYEPGYTAPPKKSQVDDTAVTIRDSVQVPRRDQESRSPRLDLERVQPIENVYSSQSQCSSAGDRSASCCRSLALLFTVVLLVRHLFVVLTGSNEDNPFTLLTVLILRASGIILPMYILIRTITAIQNNVRQQYGYHYQVTFVFLISPHIH >ONH94115 pep chromosome:Prunus_persica_NCBIv2:G8:22482740:22487444:1 gene:PRUPE_8G271200 transcript:ONH94115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDLVKYYSLVTWLYLSYEPGYTAPPKKSQVDDTAVTIRDSVQVPRRDQESRSPRLDLERVQPIENVYSSQSQCSSAGDRSASCCRSLALLFTVVLLVRHLFVVLTGSNEDNPFTLLTVLILRASGIILPMYILIRTITAIQNNVRQQYGYHYQDSDDETSDLGDNQEEVEEDQHHSV >ONH94112 pep chromosome:Prunus_persica_NCBIv2:G8:22482318:22487444:1 gene:PRUPE_8G271200 transcript:ONH94112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVILYVEDLDLKSCSAILVCRICHEEEFGSCESMEAPCACSGTVKFAHRNCIQRWCNEKGNTTCEICLQSYEPGYTAPPKKSQVDDTAVTIRDSVQVPRRDQESRSPRLDLERVQPIENVYSSQSQCSSAGDRSASCCRSLALLVLILRASGIILPMYILIRTITAIQNNVRQQYGYHYQDSDDETSDLGDNQEEVEEDQHHSV >ONH94111 pep chromosome:Prunus_persica_NCBIv2:G8:22482318:22487444:1 gene:PRUPE_8G271200 transcript:ONH94111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVILYVEDLDLKSCSAILVCRICHEEEFGSCESMEAPCACSGTVKFAHRNCIQRWCNEKGNTTCEICLQSYEPGYTAPPKKSQVDDTAVTIRDSVQVPRRDQESRSPRLDLERVQPIENVYSSQSQCSSAGDRSASCCRSLALLFTVVLLVRHLFVVLTGSNEDNPFTLLTVLILRASGIILPMYILIRTITAIQNNVRQQYGYHYQDSDDETSDLGDNQEEVEEDQHHSV >ONH90855 pep chromosome:Prunus_persica_NCBIv2:G8:11193703:11199479:-1 gene:PRUPE_8G077800 transcript:ONH90855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARVLTVANTSCLLSKTTSFLAKQGPYSTSLCFTRRRAASSLSSSCRRSFACTAIYNPEVQIKEEGQPETLDYRVFFVDRVGQKVSPWHDIPLQVGNGVFNFVVEIPKESSAKMEVATDEPHTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANSEVEGAFGDNDPVDVVEIGESRRKIGEVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDIDDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAANKDFALKVITETNESWAKLVKRSIPAGDLSLA >ONH90854 pep chromosome:Prunus_persica_NCBIv2:G8:11193703:11199479:-1 gene:PRUPE_8G077800 transcript:ONH90854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARVLTVANTSCLLSKTTSFLAKQGPYSTSLCFTRRRAASSLSSSCRRSFACTAIYNPEVQIKEEGQPETLDYRVFFVDRVGQKVSPWHDIPLQVGNGVFNFVVEIPKESSAKMEVATDEPHTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANSEVEGAFGDNDPGESRRKIGEVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDIDDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAANKDFALKVITETNESWAKLVKRSIPAGDLSLA >ONH92548 pep chromosome:Prunus_persica_NCBIv2:G8:18079904:18081991:-1 gene:PRUPE_8G180100 transcript:ONH92548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTDYPSPLSMLDGSIRKENVTVTKEEKSLTFESLKQPNMGKPPRHLSAMRYCVSSAQLAPATEVESDVGIVSSKSSSDSNSAFVPVFRSGSCSEIGPKPYMEDEHICIDNIFEHLGATANFPSPGAFYGVFDGHGGTDAASFIRENILRFIIEDSHFPFCAKKAIKSAFLNADHAFADTGSLDSSSGTTALTALISGRTMLIANAGDCRAVLGRRGRAVELSKDHKPNCASERVRIEKLGGVVYDGYLNGQLSVARALGDWHMKGSKGSSCPLSAEPELEETTLSEEDEFLILGCDGLWDVMSSQCAVTIARKELMLHNDPERCSRELVREALKRNTCDNLTVVVVCFSPDPPPLLEIPKLKFKRSISAEGLNLLQEVLDSKS >ONH92547 pep chromosome:Prunus_persica_NCBIv2:G8:18079677:18082966:-1 gene:PRUPE_8G180100 transcript:ONH92547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTDYPSPLSMLDGSIRKENVTVTKEEKSLTFESLKQPNMGKPPRHLSAMRYCVSSAQLAPATEVESDVGIVSSKSSSDSNSAFVPVFRSGSCSEIGPKPYMEDEHICIDNIFEHLGATANFPSPGAFYGVFDGHGGTDAASFIRENILRFIIEDSHFPFCAKKAIKSAFLNADHAFADTGSLDSSSGTTALTALISGRTMLIANAGDCRAVLGRRGRAVELSKDHKPNCASERVRIEKLGGVVYDGYLNGQLSVARALGDWHMKGSKGSSCPLSAEPELEETTLSEEDEFLILGCDGLWDVMSSQCAVTIARKELMLHNDPERCSRELVREALKRNTCDNLTVVVVCFSPDPPPLLEIPKLKFKRSISAEGLNLLQEVLDSKS >ONH92546 pep chromosome:Prunus_persica_NCBIv2:G8:18079680:18082966:-1 gene:PRUPE_8G180100 transcript:ONH92546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTDYPSPLSMLDGSIRKENVTVTKEEKSLTFESLKQPNMGKPPRHLSAMRYCVSSAQLAPATEVESDVGIVSSKSSSDSNSAFVPVFRSGSCSEIGPKPYMEDEHICIDNIFEHLGATANFPSPGAFYGVFDGHGGTDAASFIRENILRFIIEDSHFPFCAKKAIKSAFLNADHAFADTGSLDSSSGTTALTALISGRTMLIANAGDCRAVLGRRGRAVELSKDHKPNCASERVRIEKLGGVVYDGYLNGQLSVARALGDWHMKGSKGSSCPLSAEPELEETTLSEEDEFLILGCDGLWDVMSSQCAVTIARKELMLHNDPERCSRELVREALKRNTCDNLTVVVVCFSPDPPPLLEIPKLKFKRSISAEGLNLLQEVLDSKS >ONH89771 pep chromosome:Prunus_persica_NCBIv2:G8:1327827:1329378:-1 gene:PRUPE_8G015400 transcript:ONH89771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVDACYHTQTYFKEYENLILPMNGMELWDRTNMPPCVPPSYSKQPGRPRKARRKEAGECSNKAKVVTKVQDSLRCGQCGRKGHSKRTCNRNLPPKTKIKKRKAQQTTQTNKAGPSTKTRRAKPARSLQIGKLKRKVTNAVGSSNAPSTDSAAPSSTLATGFASLAIGSAPLASTKASTSNSYLLRKWPMVVGASDLSKKKIATSIHDTTNAAQRTFSLRLAFASEFSNLSHDFSNPGIITLDLSHISTSYQTQKSQPPLPCKKPTL >ONH93665 pep chromosome:Prunus_persica_NCBIv2:G8:21322702:21323880:-1 gene:PRUPE_8G245300 transcript:ONH93665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHRAPVPICTIKMNLHCCAKCPIKLKEKLQKLNGVEDINIDPEQGLLKVSGDIDPMVLTNIVKNMDKKAELWSFQKEPYKNNVNIGASTKYNIQIGEDCSCDGDEAESSSDDESKRSIAPKKQHGVLTWAKNDKKKKNGKGFSGMGMGMGMPMPPQHRPPMRPSMGIPAHRSFHGMPGLGYWPPNPFYQPMAYHRSSPSPYGYYGQIQPPPYSYFQSRSPPKVNPIVHYTNYADNYRYPM >ONH89994 pep chromosome:Prunus_persica_NCBIv2:G8:2587053:2588453:1 gene:PRUPE_8G028600 transcript:ONH89994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKRCSNVASETDEMFGFRSGSDFTFDEFQRYAYTFKESYFGIQDAKEGSNAGETKKKTWKPSVEDIEGEYWRIVEQPTDEVEVYYGADLETGVFGSGFPKALSVVTGNDSDQYAMSGWNLNHFPRLPGSVLSFKINSSPMVYVEMCFSSFCWLVEDHHLYSLFPNWGDPKVCYGVSGSHAPALERAMRKHLPNLFEEQPHLLNELVTQLSPSVLKSEGVPLHRAVQHSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLEHGQNAVELYSKQCRKTSTSHGKFLLGSAREAVQALWELSVLGKKTTRNMSWQSVCGKDGMLTRAVKTRVRMLEERLDSLPICLKPQKMERDFDLNNGRECFSCFYDLHLLAARCKCSSDRFSCLKHAKLFCSCDINHRYVLQLHTINELNMLVEALEGRSEAIIWASEDTTDMHTTRLDHESGMFHKRIKSCDPRET >ONH89845 pep chromosome:Prunus_persica_NCBIv2:G8:1831175:1832501:-1 gene:PRUPE_8G020000 transcript:ONH89845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTEEDLMQMVRDFHESDSSAPPPNSLSSSQPLSPPHQSKYLTLQEIIWRATDCEIEILEKVLMYLRNTETLMEPNNLKNKWVVMKLKKDGYEASLCKTSWVSSFRLSEGDYEYVDVMMKDKNNVKVTRLIVDMDFRSQFELARPTQNYKQLKDTLPTIFVGTEEKLDKIISLLCPAAKQSLKENGLRVPPWRKAKYMQSKWLSKDCKKVSINMETGIMDHKSEPQFTTTH >ONH89846 pep chromosome:Prunus_persica_NCBIv2:G8:1831170:1832501:-1 gene:PRUPE_8G020000 transcript:ONH89846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTEEDLMQMVRDFHESDSSAPPPNSLSSSQPLSPPHQSKYLTLQEIIWRATDCEIEILEKVLMYLRNTETLMEPNNLKNKWVVMKLKKDGYEASLCKTSWVSSFRLSEVCQITGDYEYVDVMMKDKNNVKVTRLIVDMDFRSQFELARPTQNYKQLKDTLPTIFVGTEEKLDKIISLLCPAAKQSLKENGLRVPPWRKAKYMQSKWLSKDCKKVSINMETGIMDHKSEPQFTTTH >ONH89847 pep chromosome:Prunus_persica_NCBIv2:G8:1831514:1832136:-1 gene:PRUPE_8G020000 transcript:ONH89847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRNTETLMEPNNLKNKWVVMKLKKDGYEASLCKTSWVSSFRLSEVCQITGDYEYVDVMMKDKNNVKVTRLIVDMDFRSQFELARPTQNYKQLKDTLPTIFVGTEEKLDKIISLLCPAAKQSLKENGLRVPPWRKAKYMQSKWLSKDCKKVSINMETGIMDHKSEPQFTTTH >ONH92624 pep chromosome:Prunus_persica_NCBIv2:G8:18308798:18312269:-1 gene:PRUPE_8G184700 transcript:ONH92624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKLNKFLISLKMAIFSAQFASAVALIVVASLCLIEANQTGIGIEIGSRKQREFDYFNLALQWPGTFCQHTRHCCSSNACCRGANSPTVFTIHGLWPDYNDGTWPACCTQKSFDEKEISTLHDALEKYWPSLSCGRPSSCHGGKGSFWGHEWEKHGTCSSPVARDEYSYFLTTLNVYFKYNVTKVLNEAGYVPSNTEKYPLGGIVSAIQNAFRTTPKLLCKKGALEELHLCFYKDFKPRDCAIGSTSPNGRLYSSSSCPDYVSIPAYASMGLGSGETEISSA >ONH92841 pep chromosome:Prunus_persica_NCBIv2:G8:18926689:18931733:-1 gene:PRUPE_8G199300 transcript:ONH92841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMKQWEETEEVGEALRGRLPHRQQSNTISTTVSSDFVFSSETSSEAEEGEEVEERPRNDTFISGKNGGTETPYGISGFNGENVDFLTSKKNPGDETVEVKEESLSYQKSVVVSVTNQQDVDDMKGEELPSFDSSPSKQAIEQNGHYTSVNNGTTERGGVNLREIREQEVSELYLERVYEKPAAHDFYCPNCNSCITKVIIRGREWENVPPTAPPPVIDDPIKCTSCFSFLIPADNWFFPNSVPKDEGNLNEQGTDIENSVEGGVSAPSHDLDGAPQDQNSKTASVSKGPVPNEAVGASVGATAKPSGNNLQGSVGFEVPVPSHDLNETFHDEKPPMPTQSVGVSKATTTDKAPVPGEAVEVSFGVSAKDKPSVTSSESSTAGVEASIPSHDLKGTVHNLKPSVLAQSIGVSVVVTTKPPAPEIDVVPSSEDAQELIENDAGLAKTEIHDSTIVTIVAEPTIITEPADTKTFEIIKSIVYGGLTEAITSLGIVTSAASADTATLNILALALANLIGGLFVIGHNLWELKNDESRVPSSQTDELVDRYQKVLGKKDNFLLHASVAILSFIVFGLVPPVVYSFSFRQSNNRDLKMAAVAVASLLCIIILAIGKAYTQKPPKYIKTLLYYVAIGIGVGGVSYLAGDLIDKLIEKLGWFSSNVSGTLPLPQMAIVKPALESY >ONH93143 pep chromosome:Prunus_persica_NCBIv2:G8:19785090:19789316:-1 gene:PRUPE_8G215600 transcript:ONH93143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCKCFYWKKLSDLFPPEPEPFSLPDPIPQWPPGEGFASGKVSLGEIEVFKINRFEFIWTCSLPEDKKKCVTFYKPAGIPDGFHSIGHYCQSNDKPLHGFVLVVREADMPETADVLERVKSPALSKPLDYTLVWSPDDGNEEIYGACGYFWLPQPPEGYKAMGFLVTNKPDKPGLDEVRCVRADLTDRCETYTLILNAITTSLNLPFQVWTTRPHHRGMMGKGVSVGTFFCSNDLGIVKDLHIRCLKNLNPKLSGMPNLDQIHSLINHYGPTVFFHPEEVYLPSSVSWFFKSGALLYKSGTSVGEAIDGSGSNLPSGGANDGQFWIDLPNDDRREIITHGNLESAKLYVHVKPALGGIFSDIAMWVFCPFNGPATIKVGPLDIPLSKIGQHVGDWEHFTLRICNFSGELWSIYFSQHSGGKWVDAYDLEYIEGNRAIVYSSKSGHASYPHPGTYLQGSDKLGVGIRNDAACSNLSVDSSVHYELVSAEYLGDGVVTEPCWLNFMREWGPTIVYNSRTELDKVISLLPVMFKYSVENIFSKFPVELYGEEGPTGPKEKNNWVGDERS >ONH91841 pep chromosome:Prunus_persica_NCBIv2:G8:15789135:15791433:1 gene:PRUPE_8G138800 transcript:ONH91841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGAKKSNSNTKSGGKKKDVKKETGLGLSKKKDENFGEWYSEAMDDVNMGDNANILRCRNKEKEGKNTYFPLFVSPSVLEKEKDHIEGFAPEVAWVTKCGKSELEVPIAIRPTSETIIYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATQAEADEEVLEILELYRRIYEEYLAIPVVKGKKSELEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFGIEFENEKGGKAMVWQNSWAYSTPTPAKKWSYWGRSY >ONH92182 pep chromosome:Prunus_persica_NCBIv2:G8:16915641:16919129:-1 gene:PRUPE_8G160700 transcript:ONH92182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQFKHEGFIQSAPPPFPSAIEFPQPPPDSRAPSGRSQPNSSQRPEGETKDCLTARKIQKADREKLRRDRLNEQFLELGNVLDPDRPKNDKATILADTIQVLKDLTSEVDKLKADCASLTEESRELTHEKNDLREEKASLKSDIENLNAQYQQRLRAMFPWGAMDHSVVMAPPSYPYPMPMPMPPGPIPMHPHMQPYHYFPNQNPGVIPNPCSTFVPYVTPNTLVEQQSTQYVSPVVHPGSRSHVSGKQDSRNKLSGESKIDRSEDSNDVTTELELKTPGSMTDQDLSSVRRKSQKSARKEIKVTEGSSSSRCSSSRSVQDSSSNSVVGGTKADD >ONH92175 pep chromosome:Prunus_persica_NCBIv2:G8:16895417:16896512:1 gene:PRUPE_8G160100 transcript:ONH92175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGRSPTSSFIEGFSLSPLPYPVLLILAVISIFFGISWYSSHDSVVEEAETQFSWLLLFTAIALLLLVKLLSFLDPDWFFSLFPWGSRRIAYHEPSEGSSPWGVAAFIVLLLVLLQYQSIFRDSWLIKK >ONH92329 pep chromosome:Prunus_persica_NCBIv2:G8:17402931:17415287:1 gene:PRUPE_8G169000 transcript:ONH92329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASWQPKEEGFAEICGLLEQQISHSSSSADKSQIWQQLQHYSQFPDFNNYLAFILARAEGKSVEIRQAAGLLLKNNLRNAYKSMAPAYQQYIKSELLPCLGAADRHIRSTVGTIISVVVQLGGILGWPELLQALVNCLDSNDLNHMEGAMDALSKICEDIPQLLDSDVPGLPERPINIFLPRLLKFFESPHSSLRKLSLGSVNQYIMLMPGALYASMDQYLQGLFVLSNDPSSEVRKLVSAAFVQLIEVRPSFLEPHLRNVIEYMLRVNKDTDEEVALEACEFWSAYCDAQLPPENLREFLPRLIPVLLSNMVYADDDESLIDAEEDGSVPDRDQDIKPRFHSSRAHGSESVEDDDDDIVNVWNLRKCSAAALDILSNVFGDEILPTLMLFVQTKLATSEDETWKEREAAVLALGAIAEGCITGLYPHLTEIVAFLIPLLDDKFPLIRSISCWTLSRFSKFIVQGVEHQVGYEQFDKVLVGLLRRILDNNKRVQEAACSAFATLEEEAAEELAPRLEMILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGGELNKPAYLEILMPPLIAKWQQLLNSDKDLFPLLECFTSISQALGAGFSQFAEPVFQRCISIIQSQLLAKADPVSSGVPYDKEFIVCSLDLLSGLAEGLGSGIESLVSQSNLRDLLLQCCTDDAPDVRQSGFALLGDLARVCAVHLRPRLPEFIDVAAKQLNTPKLKETVSVANNACWAIGELAVKVRQEISPIVLTVISCLVPILQHAEELNNKSLIENSAITLGRLAWVCPELVAPHMEHFMQSWCIALSMIRDDFEKEDAFRGLCALVRANPSGALSSLIYLCNAIASWHEIRSEELHNEVCQVLHGYKQMLVNGAWDQCMSALEPPVKDKLSKYRV >ONH92331 pep chromosome:Prunus_persica_NCBIv2:G8:17405499:17415287:1 gene:PRUPE_8G169000 transcript:ONH92331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVNKDTDEEVALEACEFWSAYCDAQLPPENLREFLPRLIPVLLSNMVYADDDESLIDAEEDGSVPDRDQDIKPRFHSSRAHGSESVEDDDDDIVNVWNLRKCSAAALDILSNVFGDEILPTLMLFVQTKLATSEDETWKEREAAVLALGAIAEGCITGLYPHLTEIVAFLIPLLDDKFPLIRSISCWTLSRFSKFIVQGVEHQVGYEQFDKVLVGLLRRILDNNKRVQEAACSAFATLEEEAAEELAPRLEMILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGGELNKPAYLEILMPPLIAKWQQLLNSDKDLFPLLECFTSISQALGAGFSQFAEPVFQRCISIIQSQLLAKADPVSSGVPYDKEFIVCSLDLLSGLAEGLGSGIESLVSQSNLRDLLLQCCTDDAPDVRQSGFALLGDLARVCAVHLRPRLPEFIDVAAKQLNTPKLKETVSVANNACWAIGELAVKVRQEISPIVLTVISCLVPILQHAEELNNKSLIENSAITLGRLAWVCPELVAPHMEHFMQSWCIALSMIRDDFEKEDAFRGLCALVRANPSGALSSLIYLCNAIASWHEIRSEELHNEVCQVLHGYKQMLVNGAWDQCMSALEPPVKDKLSKYRV >ONH92330 pep chromosome:Prunus_persica_NCBIv2:G8:17405484:17415287:1 gene:PRUPE_8G169000 transcript:ONH92330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTPHLRNVIEYMLRVNKDTDEEVALEACEFWSAYCDAQLPPENLREFLPRLIPVLLSNMVYADDDESLIDAEEDGSVPDRDQDIKPRFHSSRAHGSESVEDDDDDIVNVWNLRKCSAAALDILSNVFGDEILPTLMLFVQTKLATSEDETWKEREAAVLALGAIAEGCITGLYPHLTEIVAFLIPLLDDKFPLIRSISCWTLSRFSKFIVQGVEHQVGYEQFDKVLVGLLRRILDNNKRVQEAACSAFATLEEEAAEELAPRLEMILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGGELNKPAYLEILMPPLIAKWQQLLNSDKDLFPLLECFTSISQALGAGFSQFAEPVFQRCISIIQSQLLAKADPVSSGVPYDKEFIVCSLDLLSGLAEGLGSGIESLVSQSNLRDLLLQCCTDDAPDVRQSGFALLGDLARVCAVHLRPRLPEFIDVAAKQLNTPKLKETVSVANNACWAIGELAVKVRQEISPIVLTVISCLVPILQHAEELNNKSLIENSAITLGRLAWVCPELVAPHMEHFMQSWCIALSMIRDDFEKEDAFRGLCALVRANPSGALSSLIYLCNAIASWHEIRSEELHNEVCQVLHGYKQMLVNGAWDQCMSALEPPVKDKLSKYRV >ONH92991 pep chromosome:Prunus_persica_NCBIv2:G8:19325247:19332651:-1 gene:PRUPE_8G206500 transcript:ONH92991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSRSEHFFDTMTNELPGPSGQWIQKEATLVVPNKVKNVHNHVSVQTGEEFSMEFLQDRFAARRVPAVTDRVENCENKVGLNYNQNYQLGYQDLTGILGLRRMDSECASDTSDFVSVKGSCKEIENDICVDKLSRCNREEVDSRQGSRKAFGELNFDRAGFGPTTLPIYMSESPHSNNLNGSGVLDGSQSGKMKFLCSFGGKILPRPSDGKLRYVGGETRIISFRKNISWEELVEKTSGFCNQPHTIKYQLPSEDLDALISVSSDEDLQNMIEEYHGLERHEGSQRPRIFLIPLGESENTSSFEADSIQQSNPDYQYVAAVNGMIDPSPRKNGGGQNLTEASQQGTKTSLFPMEIKSDSKVLHPNQILSESQNMARSAIQSPSFSPITHQRGDSKSVHLQSRGVNSCQGSNESSSSFVSSQPPPENSSISTAGYKNHPLGTVTFMEPGQHYGGHSHNRNPSKDAASALAFGQNEGDFDGFSHERPVYKETLTPPDRPISHPEHPKVMLSGSNDSIDCHHGIPHAFSDSKLQENGGRSIYCSQEGMSPSSPLNFPKAQLSLLLNSGASQEKPTQLHDNIESFNPQLQNQLHGKESIGLQRRLDLPNSSPCLESLGRNEHASKGNGDIPDKYWTSKKKDSLPSELTKKFNEKDPFLHQDETLYGTRSPATGVEYRNGLPNINPNPTSSFASEVVIPAAISLKPLVDNKMEEPKNFQHDKTPVNILVTSPRTANDQGCALTGTANGEQGQDVSGARNSEVAGLFPSTRQHSRNENSLADLISGLSDGPNYHESARPQLVASQNDIGFQEPLLIHSAKMYPLTVLDDPELQDSDHRVLQNPIQDAAFKRGVSLIDDDFVNCPDENAEKLSSNVVENVALRQPKPLTLSNDKKQLESVIIVEDVTDTITPGIQFSSVVSPYSVDEPIGDLMSPTATEVESIIPESEYEDDRAGEGDKNESFSDAMIAEMEASIYGLQIIKNADLEELRELGSGTYGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQDRLTKDFWREAQILSNLHHPNVVAFYGVVPDGAGGTLATVAEFMVNGSLRHALLKKDRSLDRRRKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDSQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGISMWEILTGEEPYANMHCGAIIGGIVKNTLRPPIPERCDSEWRNLMEQCWSPDPEIRPSFTEITNRLRAMSNALQAKVPQNQTRHMKPNA >ONH91701 pep chromosome:Prunus_persica_NCBIv2:G8:15371899:15373555:-1 gene:PRUPE_8G131300 transcript:ONH91701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFFLLINRFLHALLIIILPCFCTSVICTNFESPAQLSSLLPPLPPLPLIPALPPIIPELLNFTDQRLALVYPIINAFKNTITSDPLGITQTWVGSDICTYKGFYCDRPPDNQSAFALASIDFNGFQLSAPSIDGFIDQLPDLALFHANSNNFSGIISPKIANLKYLYELDISNNNFFGPFPTAVLTMNNLSFLDIRFNSFTGSVPPQIFKKTLDVLFINNNKFIQKLPDNLGATPARYLTLANNKFTGPIPKSIGSASSTLIEALLMNNLITGCIPYELGFLKDATLFDAGNNQLTGPLPCSLGCLEKIEQLNFAGNFLYGKVPEVVCELGNLANLSLSNNYFTMVGPICRKLIKSGVLDLRKNCIRDLADQRSVEECASFSSHPRSCLHPESFSFMPCKVPPKAKEHLDSYAAPSRHRLM >ONH92772 pep chromosome:Prunus_persica_NCBIv2:G8:18757889:18760379:1 gene:PRUPE_8G195000 transcript:ONH92772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHCISPLSTLKPRITPRFAPGPALQSVTRRFRPLTVVVGAGASHCEFSSLNSPLDPRTRPGKDLSTVLQNHPQLFHLAVAQELKKLADDRELALSRMSLSAASHEACLHRRIAQLKEQECQIVVEDVMYLLIFYKFSEIKVHLVPKLSRCIYNGRLEIWPSKDWELESLYSFEILEMIREHVSTVIGLRVNSSVTDNWAMTKITRQMLGRVYVASILYGYFLKSASLRHRLDRILALESQDLHLSHRTSLHYQEMCPNGMKNLLFGRIGNIQAKYKGSSRLEKTQGKLSCYVMGFDPDTLQRCAKLRSEVAVNLVKNHCCALFGDDGTMSSPETDEVISTSYSSVKRLVLEAVAFGSFLWDTEECIETVYKLKEN >ONH93446 pep chromosome:Prunus_persica_NCBIv2:G8:20679977:20686826:-1 gene:PRUPE_8G233000 transcript:ONH93446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYWVCVVSIVLCFFASGSLSIENFHQAFPIVEPDPGHTKLRLSREGLEAIERITTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPVEMDINGVKTSVFYLDTEGFESVGKSNVYDDRIFALATVMSSVLVYNLAETIREADIARLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVEDMVHEALLHVPNSDGNKNIDMVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMKDGELDPMYVKKKEQLKQLVASIIRPKIVQGRPLNGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYNERMAKLSLPLIEQSLQEYHERSMEEVMKLFEEQHFGRHHAKKSVEQLEEEIEKVHKNVIMTNEYRSSKLCEALYTRCEDKMDQLQVLRLPSMAKFNAGFLQCNQSFEQECVGPAKTNYGHRMMKMLGKSRSLFIKEYNHRLFNWLVAFSLVMVVVGRFIIKFILIEIGAWVLFIFLETYTRMFWSAESLYYNPVWHVIVATWETLVYSPVLDLDRWAIPAGVIVALFVLYWRCNGRRKHGSSWLLPLYNNNKGGPNRPRSD >ONH93447 pep chromosome:Prunus_persica_NCBIv2:G8:20679963:20683605:-1 gene:PRUPE_8G233000 transcript:ONH93447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLRISCGKNRVKGQDVAFEPAKLLWLIQRDFLQGKSVEDMVHEALLHVPNSDGNKNIDMVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMKDGELDPMYVKKKEQLKQLVASIIRPKIVQGRPLNGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYNERMAKLSLPLIEQSLQEYHERSMEEVMKLFEEQHFGRHHAKKSVEQLEEEIEKVHKNVIMTNEYRSSKLCEALYTRCEDKMDQLQVLRLPSMAKFNAGFLQCNQSFEQECVGPAKTNYGHRMMKMLGKSRSLFIKEYNHRLFNWLVAFSLVMVVVGRFIIKFILIEIGAWVLFIFLETYTRMFWSAESLYYNPVWHVIVATWETLVYSPVLDLDRWAIPAGVIVALFVLYWRCNGRRKHGSSWLLPLYNNNKGGPNRPRSD >ONH93448 pep chromosome:Prunus_persica_NCBIv2:G8:20680403:20683181:-1 gene:PRUPE_8G233000 transcript:ONH93448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHEALLHVPNSDGNKNIDMVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMKDGELDPMYVKKKEQLKQLVASIIRPKIVQGRPLNGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYNERMAKLSLPLIEQSLQEYHERSMEEVMKLFEEQHFGRHHAKKSVEQLEEEIEKVHKNVIMTNEYRSSKLCEALYTRCEDKMDQLQVLRLPSMAKFNAGFLQCNQSFEQECVGPAKTNYGHRMMKMLGKSRSLFIKEYNHRLFNWLVAFSLVMVVVGRFIIKFILIEIGAWVLFIFLETYTRMFWSAESLYYNPVWHVIVATWETLVYSPVLDLDRWAIPAGVIVALFVLYWRCNGRRKHGSSWLLPLYNNNKGGPNRPRSD >ONH93449 pep chromosome:Prunus_persica_NCBIv2:G8:20679963:20683605:-1 gene:PRUPE_8G233000 transcript:ONH93449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHEALLHVPNSDGNKNIDMVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMKDGELDPMYVKKKEQLKQLVASIIRPKIVQGRPLNGKEFVSFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYNERMAKLSLPLIEQSLQEYHERSMEEVMKLFEEQHFGRHHAKKSVEQLEEEIEKVHKNVIMTNEYRSSKLCEALYTRCEDKMDQLQVLRLPSMAKFNAGFLQCNQSFEQECVGPAKTNYGHRMMKMLGKSRSLFIKEYNHRLFNWLVAFSLVMVVVGRFIIKFILIEIGAWVLFIFLETYTRMFWSAESLYYNPVWHVIVATWETLVYSPVLDLDRWAIPAGVIVALFVLYWRCNGRRKHGSSWLLPLYNNNKGGPNRPRSD >ONH92313 pep chromosome:Prunus_persica_NCBIv2:G8:17355659:17360530:1 gene:PRUPE_8G168000 transcript:ONH92313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELMNFLRSCFRPRSNRYVHTGADSGGRQDGLLWYKDTGQHINGDFSMAVVQANNLLEDQSQLESGSLSTQESGPYGTFIGVYDGHGGPETSRYINEHLFQHLKRFSSEQQSMSVDVIRKAFQATEEGFLSVVTRQWPMKPQIAAVGSCCLVGVICGGTLYIANLGDSRAVLGRVVKATGEVLSIQLSQEHNACIESVRQELQSLHPDDPHIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYSKFRLRDPIKRPILSADPAISAIQLQPHDQFIIFASDGLWEHLSNQEAVDIVQNHPRSGSAKRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSKATSGKCPNISVRGGGINLPPNSLAPYATATEAGNT >ONH89965 pep chromosome:Prunus_persica_NCBIv2:G8:2442815:2447718:1 gene:PRUPE_8G026800 transcript:ONH89965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSQRAFTSATAVCPWKYQVFLSFRGEDTRRGFTDYLYRQLDWRGIRTFRDDPDLERGTDINPELLTAIEQSRFAIIVLSTNYATSSWCLRELTHIVQSMKEKERIFPIFYDVDPSDVRHQRGSYGAALVIHERNCGEEREEVLEWRNALKKVANLAGWNSKDYRYDTELITKIVDAVWDKVHPTFSLLDSTEILVGLDTKLKEIDMHLDTSANDVRFVGIWGMGGMGKTTLARLVYERISHSFEGSSFLANVREVCASASATHGLVPLQKQLLSDILRKENIQVYNAHIGFTMIKRCLYNKKVLLILDDVDQSNQLEMLIREKDCFGLGSRIIITTRDERLLVEHGIEKIYEVMPLTQDEAVYLFSMKAFRKDDLEEDYLELSKNFINYARGLPLALKTLGSFLYKRSRDEWMSALDKLKQAPDREIFQILKISYDGLEEMQKQIFLDVACFHKSYLKEEVIEILDNCGFVGTRIVIHVLIEKSLLSISVLDNCVYMHDLIQEMAWEIVRQESFDKPGGRSRLWLHNDIDHVLTNNTGTEAIEGIVLRLHEFEAAHWNPEAFTKMCKLRLLKINNLRLSLGPKYLPNSLRILEWSWYPSKYLPPSFQPVELAELRMQHSKIDHLWNGIKYMVKLKCIDLSYSENLTRTPDFTGTQNLERLIFEGCTNLVKIHPSIASLKRLRVLNFKNCKSIKNLPSEVELESLETFDLSGCSKLKKIPEFVGEMKNFSKLSLSFTAVEQMPSSNIHSMASLKELDMSGISMRDPSSSLVPMKNIELPRSWHSFFSFGLLPRKNPHPVSLVLASLKDLRFLKRLNLKDCNLCEGAIPEDIGLLSSLKELNLDGNHFVSLPASISGLSKLETFTLMNCKRLQKLPSLPSTGRNFFSLKTGNCTSLKVFPDPTPTCGGSTILIDFFNCFSLVDHQGSSSIIYLMLKKFLQEIPRSWKNFRIVIPGSEIPEWFSNQSVGDSVIETLPSDSNSKWVGFAFCALFVPVEEISATGHGRILFKMTEYGSFFIDVVNDVASDHLWLILLSRESSFDKEPTGRPETYWWNECYDRKIRFHFKVKASASYPGEKTLVKVKKCGVRILYEQDAEELNRTMKQYSNRKNSFYEDVTDCDFDKSDKVQGAITKRTREQYCIETGPSGIVTLGKESLCKRMKLSTASKEELKDSNLVGSREFHHPEA >ONH89964 pep chromosome:Prunus_persica_NCBIv2:G8:2442901:2447718:1 gene:PRUPE_8G026800 transcript:ONH89964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSQRAFTSATAVCPWKYQVFLSFRGEDTRRGFTDYLYRQLDWRGIRTFRDDPDLERGTDINPELLTAIEQSRFAIIVLSTNYATSSWCLRELTHIVQSMKEKERIFPIFYDVDPSDVRHQRGSYGAALVIHERNCGEEREEVLEWRNALKKVANLAGWNSKDYRYDTELITKIVDAVWDKVHPTFSLLDSTEILVGLDTKLKEIDMHLDTSANDVRFVGIWGMGGMGKTTLARLVYERISHSFEGSSFLANVREVCASASATHGLVPLQKQLLSDILRKENIQVYNAHIGFTMIKRCLYNKKVLLILDDVDQSNQLEMLIREKDCFGLGSRIIITTRDERLLVEHGIEKIYEVMPLTQDEAVYLFSMKAFRKDDLEEDYLELSKNFINYARGLPLALKTLGSFLYKRSRDEWMSALDKLKQAPDREIFQILKISYDGLEEMQKQIFLDVACFHKSYLKEEVIEILDNCGFVGTRIVIHVLIEKSLLSISVLDNCVYMHDLIQEMAWEIVRQESFDKPGGRSRLWLHNDIDHVLTNNTGTEAIEGIVLRLHEFEAAHWNPEAFTKMCKLRLLKINNLRLSLGPKYLPNSLRILEWSWYPSKYLPPSFQPVELAELRMQHSKIDHLWNGIKYMVKLKCIDLSYSENLTRTPDFTGTQNLERLIFEGCTNLVKIHPSIASLKRLRVLNFKNCKSIKNLPSEVELESLETFDLSGCSKLKKIPEFVGEMKNFSKLSLSFTAVEQMPSSNIHSMASLKELDMSGISMRDPSSSLVPMKNIELPRSWHSFFSFGLLPRKNPHPVSLVLASLKDLRFLKRLNLKDCNLCEGAIPEDIGLLSSLKELNLDGNHFVSLPASISGLSKLETFTLMNCKRLQKLPSLPSTGRNFFSLKTGNCTSLKVFPDPTPTCGGSTILIDFFNCFSLVDHQGSSSIIYLMLKKFLQVLPSVPPSLLSCVRSTIYILPLVQEIPRSWKNFRIVIPGSEIPEWFSNQSVGDSVIETLPSDSNSKWVGFAFCALFVPVEEISATGHGRILFKMTEYGSFFIDVVNDVASDHLWLILLSRESSFDKEPTGRPETYWWNECYDRKIRFHFKVKASASYPGEKTLVKVKKCGVRILYEQDAEELNRTMKQYSNRKNSFYEDVTDCDFDKSDKVQGAITKRTREQYCIETGPSGIVTLGKESLCKRMKLSTASKEELKDSNLVGSREFHHPEA >ONH89967 pep chromosome:Prunus_persica_NCBIv2:G8:2442815:2447718:1 gene:PRUPE_8G026800 transcript:ONH89967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSQRAFTSATAVCPWKYQVFLSFRGEDTRRGFTDYLYRQLDWRGIRTFRDDPDLERGTDINPELLTAIEQSRFAIIVLSTNYATSSWCLRELTHIVQSMKEKERIFPIFYDVDPSDVRHQRGSYGAALVIHERNCGEEREEVLEWRNALKKVANLAGWNSKDYRYDTELITKIVDAVWDKVHPTFSLLDSTEILVGLDTKLKEIDMHLDTSANDVRFVGIWGMGGMGKTTLARLVYERISHSFEGSSFLANVREVCASASATHGLVPLQKQLLSDILRKENIQVYNAHIGFTMIKRCLYNKKVLLILDDVDQSNQLEMLIREKDCFGLGSRIIITTRDERLLVEHGIEKIYEVMPLTQDEAVYLFSMKAFRKDDLEEDYLELSKNFINYARGLPLALKTLGSFLYKRSRDEWMSALDKLKQAPDREIFQILKISYDGLEEMQKQIFLDVACFHKSYLKEEVIEILDNCGFVGTRIVIHVLIEKSLLSISVLDNCVYMHDLIQEMAWEIVRQESFDKPGGRSRLWLHNDIDHVLTNNTGTEAIEGIVLRLHEFEAAHWNPEAFTKMCKLRLLKINNLRLSLGPKYLPNSLRILEWSWYPSKYLPPSFQPVELAELRMQHSKIDHLWNGIKYMVKLKCIDLSYSENLTRTPDFTVWLIIKVPVP >ONH89966 pep chromosome:Prunus_persica_NCBIv2:G8:2442815:2447718:1 gene:PRUPE_8G026800 transcript:ONH89966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSQRAFTSATAVCPWKYQVFLSFRGEDTRRGFTDYLYRQLDWRGIRTFRDDPDLERGTDINPELLTAIEQSRFAIIVLSTNYATSSWCLRELTHIVQSMKEKERIFPIFYDVDPSDVRHQRGSYGAALVIHERNCGEEREEVLEWRNALKKVANLAGWNSKDYRYDTELITKIVDAVWDKVHPTFSLLDSTEILVGLDTKLKEIDMHLDTSANDVRFVGIWGMGGMGKTTLARLVYERISHSFEGSSFLANVREVCASASATHGLVPLQKQLLSDILRKENIQVYNAHIGFTMIKRCLYNKKVLLILDDVDQSNQLEMLIREKDCFGLGSRIIITTRDERLLVEHGIEKIYEVMPLTQDEAVYLFSMKAFRKDDLEEDYLELSKNFINYARGLPLALKTLGSFLYKRSRDEWMSALDKLKQAPDREIFQILKISYDGLEEMQKQIFLDVACFHKSYLKEEVIEILDNCGFVGTRIVIHVLIEKSLLSISVLDNCVYMHDLIQEMAWEIVRQESFDKPGGRSRLWLHNDIDHVLTNNTGTEAIEGIVLRLHEFEAAHWNPEAFTKMCKLRLLKINNLRLSLGPKYLPNSLRILEWSWYPSKYLPPSFQPVELAELRMQHSKIDHLWNGIKYMVKLKCIDLSYSENLTRTPDFTGTQNLERLIFEGCTNLVKIHPSIASLKRLRVLNFKNCKSIKNLPSEVELESLETFDLSGCSKLKKIPEFVGEMKNFSKLSLSFTAVEQMPSSNIHSMASLKELDMSGISMRDPSSSLVPMKNIELPRSWHSFFSFGLLPRKNPHPVSLVLASLKDLRFLKRLNLKDCNLCEGAIPEDIGLLSSLKELNLDGNHFVSLPASISGLSKLETFTLMNCKRLQKLPSLPSTGRNFFSLKTVWLIIKVPVP >ONH90530 pep chromosome:Prunus_persica_NCBIv2:G8:8423243:8426227:1 gene:PRUPE_8G059700 transcript:ONH90530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRGRGGSGGGFRGRGDGGRGGRGGGRFGGGRGGDRGGSAFKSRGGGRGGDRGGRGGRGRGGGMKGGNKVVVEPHRHEGVFIAKGKEDALVTKNMVPGEAVYNEKRISVQNEDGTKVEYRIWNPFRSKLAAAILGGVDDIWIKPGARVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARIVALNASYFLKAGGHFVISIKANCIDSTSPAEAVFQQEVKRLQADQFKPMEQVTLEPFERDHACVVGGYRVPKKSKTAA >ONH93951 pep chromosome:Prunus_persica_NCBIv2:G8:22113324:22114482:1 gene:PRUPE_8G262500 transcript:ONH93951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSLGIAYRNINSGVCIVHLKRKGWHWHTDMYAACRGFELNHASSFPQVQFPPHLMDMFDFPPTQNLKRTATIQKVLVAWRG >ONH89935 pep chromosome:Prunus_persica_NCBIv2:G8:2263379:2276921:-1 gene:PRUPE_8G024900 transcript:ONH89935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRHLTESFPPRQDIPHVPIHEVIFSTIDKPKLLSQLSALLSDLGLNIREAHVFSTTDGYSLDVFVVDGWPLEDTDGLHEAMEKAVARSEGSWSRSSHSHSAVEKALAAQEKPGNWEIDRRLLKIGDRIASGSCGDLYRGIYLGQDVAVKILRSEHLNDALEDEFAQEVEILRQVHHRNVVRFIGACTKSPHLCIVTEYMPGGSLYDYLHKNHNVLKLSELLKFAIDVCKGMEYLHHNNIIHRDLKTANLLMDTNNVVKVADFGVARFQNQEGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTAKVPYDTMTPLQAALGVRQGLRPDLPSTGHPKLLELMQRCWDADPSNRPSFSDIIAQLECLLQEVQEISEIIETSLFATFRPALF >ONH89937 pep chromosome:Prunus_persica_NCBIv2:G8:2263969:2274625:-1 gene:PRUPE_8G024900 transcript:ONH89937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRHLTESFPPRQDIPHVPIHEVIFSTIDKPKLLSQLSALLSDLGLNIREAHVFSTTDGYSLDVFVVDGWPLEDTDGLHEAMEKAVARSEGSWSRSSHSHSAVEKALAAQEKPGNWEIDRRLLKIGDRIASGSCGDLYRGIYLGQDVAVKILRSEHLNDALEDEFAQEVEILRQVHHRNVVRFIGACTKSPHLCIVTEYMPGGSLYDYLHKNHNVLKLSELLKFAIDVCKGMEYLHHNNIIHRDLKTANLLMDTNNVVKVADFGVARFQNQEGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTAKVPYDTMTPLQAALGVRQGLRPDLPSTGHPKLLELMQRCWDADPSNRPSFSDIIAQLECLLQEVQEISEATNGA >ONH89934 pep chromosome:Prunus_persica_NCBIv2:G8:2263379:2276921:-1 gene:PRUPE_8G024900 transcript:ONH89934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGVGESSSPPRSFVSFSNYDVYNRLLESGNEDALTQPDFREQLDAHFNRLPASYGLDVNLDRVEDVLLHQKLLALAKDPEKRPVYHIRFMENTSTKTDDDDDDDNDGQQVTSIISTSRPSCREAKEGAAQSHDRARNCAIDSKLGDLNLDVRTNATDMDGRHLTESFPPRQDIPHVPIHEVIFSTIDKPKLLSQLSALLSDLGLNIREAHVFSTTDGYSLDVFVVDGWPLEDTDGLHEAMEKAVARSEGSWSRSSHSHSAVEKALAAQEKPGNWEIDRRLLKIGDRIASGSCGDLYRGIYLGQDVAVKILRSEHLNDALEDEFAQEVEILRQVHHRNVVRFIGACTKSPHLCIVTEYMPGGSLYDYLHKNHNVLKLSELLKFAIDVCKGMEYLHHNNIIHRDLKTANLLMDTNNVVKVADFGVARFQNQEGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTAKVPYDTMTPLQAALGVRQGLRPDLPSTGHPKLLELMQRCWDADPSNRPSFSDIIAQLECLLQEVQEISEIIETSLFATFRPALF >ONH89936 pep chromosome:Prunus_persica_NCBIv2:G8:2263969:2276632:-1 gene:PRUPE_8G024900 transcript:ONH89936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGVGESSSPPRSFVSFSNYDVYNRLLESGNEDALTQPDFREQLDAHFNRLPASYGLDVNLDRVEDVLLHQKLLALAKDPEKRPVYHIRFMENTSTKTDDDDDDDNDGQQVTSIISTSRPSCREAKEGAAQSHDRARNCAIDSKLGDLNLDVRTNATDMDGRHLTESFPPRQDIPHVPIHEVIFSTIDKPKLLSQLSALLSDLGLNIREAHVFSTTDGYSLDVFVVDGWPLEDTDGLHEAMEKAVARSEGSWSRSSHSHSAVEKALAAQEKPGNWEIDRRLLKIGDRIASGSCGDLYRGIYLGQDVAVKILRSEHLNDALEDEFAQEVEILRQVHHRNVVRFIGACTKSPHLCIVTEYMPGGSLYDYLHKNHNVLKLSELLKFAIDVCKGMEYLHHNNIIHRDLKTANLLMDTNNVVKVADFGVARFQNQEGVMTAETGTYRWMAPEVINHQPYDQKADVFSFAIVLWELVTAKVPYDTMTPLQAALGVRQGLRPDLPSTGHPKLLELMQRCWDADPSNRPSFSDIIAQLECLLQEVQEISEATNGA >ONH91834 pep chromosome:Prunus_persica_NCBIv2:G8:15770781:15772873:-1 gene:PRUPE_8G138300 transcript:ONH91834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKGREYKLTQRPKFNFRPKAPKRDVLILASSNTVQNKRKNRPALFSPSLPLKSHFSSERKLLQNLFNSTMENRAVQEILEKQLLTVAKAVEDKLDEEISALDRVDDDDLEALRERRLQQMKKMAEKRSRWISLGHGEYTEIPAEKDFFSAVKASDRVVCHFYRENWPCKVVDKHLSILAKQHMETRFVKINAEKSPFLAEKLKIVVLPTIALIKNAKVDDYVVGFDELGGKDDFSTEELEERLAKAQVIFFEGESSLKSSAKTRSVRQSSNADSSDSE >ONH93466 pep chromosome:Prunus_persica_NCBIv2:G8:20741871:20743397:1 gene:PRUPE_8G234000 transcript:ONH93466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALTEAQISEFQEAFCLIDKDSDGLISLEELAAVIQSLDEHPTKEEIQDMINEVGAEGNGTIDCEEFLNIMARKMKENVAEELKEAFKVFDRDQDGYISANELRQVMINLGEKLSDEEAEQMIREADVDGDGLVSYEEFARMMMLS >ONH91847 pep chromosome:Prunus_persica_NCBIv2:G8:15825871:15829371:1 gene:PRUPE_8G139400 transcript:ONH91847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAIGAAKKKFDPNDAPPKYQSVALVAGVTGIVGNSLADILPLPDTPGGPWKVYGVARRARPDWNADHPIEYIQCDLSDPHQTHSELSQLTDVTHIFYVTWASKPTEAENCEANGNMFRNLLDAVIPNAPNLQHICLQTGRKHYVGSFEMLGKVEPHEPPFHEDLPRLNVPNFYYTLEDILFEEVKKEGLTWSVHRPTVIFGFSPYCLMNIVGSLCVYAAICKHVGKKLRFSGSRGVWNGYWDASDADLIAEHQIWAAVDPYAKNEAFNCSNGDVYKWKHLWRVLAEQFDMEFEDFDDDDEGELRPSMEEMMKDKGPVWDEIVRENDLVPTKLEEIGNWWFVDVMFGVESSVDSMNKSKEHGFVGFRNSRTSFVTWIDKMKSYRLVP >ONH91848 pep chromosome:Prunus_persica_NCBIv2:G8:15825871:15827394:1 gene:PRUPE_8G139400 transcript:ONH91848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAIGAAKKKFDPNDAPPKYQSVALVAGVTGIVGNSLADILPLPDTPGGPWKVYGVARRARPDWNADHPIEYIQCDLSDPHQTHSELSQLTDVTHIFYVTWASKPTEAENCEANGNMFRNLLDAVIPNAPNLQHICLQTGRKHYVGSFEMLGKVEPHEPPFHEDLPRLNVPNFYYTLEDILFEEVKKEGLTWSVHRPTVIFGFSPYCLMNIVGSLCVYAAICKHVGKKLRFSGSRGVWNGYWDASDADLIAEHQIWAAVDPYAKNEAFNCSNGDVYKWKHLWRVLAEQFDMEFEDFDDDDEGELRPSMEEMMKDKGPVWDEIVRENDLVPTKLEEIGNWWFVDVMFGVESSVDSMNKSKEHGFVGFRNSRTSFVTWIDKMKSYRLVP >ONH89596 pep chromosome:Prunus_persica_NCBIv2:G8:291756:295020:-1 gene:PRUPE_8G003500 transcript:ONH89596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCLRSMTGRDLQRLTGNNASKVGYLSMKEIKYLGSVHQSARKFPDENVLTIEEALEVILSSVRRVILDIKVGPPSYEKGLASDVLSVVKRMQCKNCLVWAKSDNLARDVIKLSSDVTVGYIVMIDPSTRARTNLLRMKGAGVVGVYHPLIDETLVRILHGRKKRVYAWTVDDMDSMQEMLYERVDAIVTSNPTMLQGLMQDIRTQCLEHGFSLSE >ONH89594 pep chromosome:Prunus_persica_NCBIv2:G8:291407:296402:-1 gene:PRUPE_8G003500 transcript:ONH89594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMAISTRLGRRLSLHQRQQRFGFGAFLFRFSSKRVFRAILISLVFIAFVPPIFFFFKLKRFHQTQLRKCGWLNEPPLVCAHGGDSSKAFPNTMAAYHFALHSQVDCIEIDVSRSLDGVLLALHDRDLQRLTGNNASKVGYLSMKEIKYLGSVHQSARKFPDENVLTIEEALEVILSSVRRVILDIKVGPPSYEKGLASDVLSVVKRMQCKNCLVWAKSDNLARDVIKLSSDVTVGYIVMIDPSTRARTNLLRMKGAGVVGVYHPLIDETLVRILHGRKKRVYAWTVDDMDSMQEMLYERVDAIVTSNPTMLQGLMQDIRTQCLEHGFSLSE >ONH89595 pep chromosome:Prunus_persica_NCBIv2:G8:291410:296403:-1 gene:PRUPE_8G003500 transcript:ONH89595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCLRSMTGRDLQRLTGNNASKVGYLSMKEIKYLGSVHQSARKFPDENVLTIEEALEVILSSVRRVILDIKVGPPSYEKGLASDVLSVVKRMQCKNCLVWAKSDNLARDVIKLSSDVTVGYIVMIDPSTRARTNLLRMKGAGVVGVYHPLIDETLVRILHGRKKRVYAWTVDDMDSMQEMLYERVDAIVTSNPTMLQGLMQDIRTQCLEHGFSLSE >ONH89597 pep chromosome:Prunus_persica_NCBIv2:G8:293162:296353:-1 gene:PRUPE_8G003500 transcript:ONH89597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMAISTRLGRRLSLHQRQQRFGFGAFLFRFSSKRVFRAILISLVFIAFVPPIFFFFKLKRFHQTQLRKCGWLNEPPLVCAHGGDSSKAFPNTMAAYHFALHSQVDCIEIDVSRSLDGVLLALHDRDLQRLTGNNASKVGYLSMKEIKYLGSVHQSARKFPDENVLTIEEALEVILSSVRRVILDIKVGPPSYEKGLASDVLSVVKRMQCKNCLVWAKSDNLARDVIKLSSDVTVRHLVHVAL >ONH89593 pep chromosome:Prunus_persica_NCBIv2:G8:291408:296373:-1 gene:PRUPE_8G003500 transcript:ONH89593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMAISTRLGRRLSLHQRQQRFGFGAFLFRFSSKRVFRAILISLVFIAFVPPIFFFFKLKRFHQMAAYHFALHSQVDCIEIDVSRSLDGVLLALHDRDLQRLTGNNASKVGYLSMKEIKYLGSVHQSARKFPDENVLTIEEALEVILSSVRRVILDIKVGPPSYEKGLASDVLSVVKRMQCKNCLVWAKSDNLARDVIKLSSDVTVGYIVMIDPSTRARTNLLRMKGAGVVGVYHPLIDETLVRILHGRKKRVYAWTVDDMDSMQEMLYERVDAIVTSNPTMLQGLMQDIRTQCLEHGFSLSE >ONH89598 pep chromosome:Prunus_persica_NCBIv2:G8:291410:296373:-1 gene:PRUPE_8G003500 transcript:ONH89598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMAISTRLGRRLSLHQRQQRFGFGAFLFRFSSKRVFRAILISLVFIAFVPPIFFFFKLKRFHQTQLRKCGWLNEPPLVCAHGGDSSKAFPNTMAAYHFALHSQVDCIEIDVSRSLDGVLLALHDRDLQRLTGNNASKVGYLSMKEIKYLGSVHQSARKFPDENVLTIEEALEVILSSVRRVILDIKVGPPSYEKGLASDVLSVVKRMQCKNCLVWAKSDNLARDVIKLSSDVTVTSNIQFPFFWSLA >ONH91188 pep chromosome:Prunus_persica_NCBIv2:G8:12918149:12919041:1 gene:PRUPE_8G097300 transcript:ONH91188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFYEASNGHGPTDNGQLVVRQSNYQPCVKVSLPWLDVRVFYVRISKCEIDDSAPEFLTVNHIPLDPDTLLEVNGVRTSINSDGATTLLRRDRLDKKSEEATFVSTDSIRMTGSVKFEVFDKDVVVLSGVLELCNSNGFNAETDHHGQRWSMNCESDISASSGFLKGKQFTGAESASPLIEVYIAGSFSGTPIILTKTLQLGLWKKHVRKGLLDSIPEYEATENQRNNPSRFDFQHKKINKTD >ONH91186 pep chromosome:Prunus_persica_NCBIv2:G8:12916526:12919946:1 gene:PRUPE_8G097300 transcript:ONH91186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFYEASNGHGPTDNGQLVVRQSNYQPCVKVSLPWLDVRVFYVRISKCEIDDSAPEFLTVNHIPLDPDTLLEVNGVRTSINSDGATTLLRRDRLDKKSEEATFVSTDSIRMTGSVKFEVFDKDVVVLSGVLELCNSNGFNAETDHHGQRWSMNCESDISASSGFLKGKQFTGAESASPLIEVYIAGSFSGTPIILTKTLQLGLWKKHVRKGLLDSIPEYEATENQRNNPSRFDFQESEYSYYKPENGENMYWGTAYVEGEDGELSWFNAGVRVGVGIGLSICVGVGIGVGLLIRTYQGTTRNFRRQLL >ONH91187 pep chromosome:Prunus_persica_NCBIv2:G8:12916465:12919952:1 gene:PRUPE_8G097300 transcript:ONH91187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFYEASNGHGPTDNGQLVVRQSNYQPCVKVSLPWLDVRVFYVRISKCEIDDSAPEFLTVNHIPLDPDTLLEVNGVRTSINSDGATTLLRRDRLDKKSEEATFVSTDSIRMTGSVKFEVFDKDVVVLSGVLELCNSNGFNAETDHHGQRWSMNCESDISASSGFLKGKQFTGAESASPLIEVYIAGSFSGTPIILTKTLQLGLWKKHVRKGLLDSIPEYEATENQRNNPSRFDFQESEYSYYKPENGENMYWGTAYVEGEDGELSWFNAGVRVGVGIGLSICVGVGIGVGLLIRTYQGTTRNFRRQLL >ONH91189 pep chromosome:Prunus_persica_NCBIv2:G8:12916526:12919945:1 gene:PRUPE_8G097300 transcript:ONH91189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFYEASNGHGPTDNGQLVVRQSNYQPCVKVSLPWLDVRVFYVRISKCEIDDSAPEFLTVNHIPLDPDTLLEVNGVRTSINSDGATTLLRRDRLDKKSEEATFVSTDSIRMTGSVKFEVFDKDVVVLSGVLELCNSNGFNAETDHHGQRWSMNCESDISASSGFLKGKQFTGAESASPLIEVYIAGSFSGTPIILTKTLQLGLWKKHVRKGLLDSIPEYEATENQRNNPSRFDFQHKKINKTD >ONH90865 pep chromosome:Prunus_persica_NCBIv2:G8:11272704:11273458:-1 gene:PRUPE_8G078700 transcript:ONH90865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRRPSKQLLQRSFSNTKRRASPNLSDVPKGYLAVYVGDGEWQRVVIPMSYVNQPLFQELLSEAEDEFGFDHPMGGLTIPCRQDDFMDLLSRLNSL >ONH89751 pep chromosome:Prunus_persica_NCBIv2:G8:1140191:1141034:1 gene:PRUPE_8G013800 transcript:ONH89751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQPQNNCIGLSHCPLHRPNSASLALAKLVSFSFCLPILSVSRALATPAPFSFCLQNLQVSRAFAIPVSNCQVPATQVPLSFGFPNFWVSQVQARLMSFFL >ONH92626 pep chromosome:Prunus_persica_NCBIv2:G8:18318458:18325174:1 gene:PRUPE_8G184900 transcript:ONH92626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEASSSNTCPPASSGYSYHVFLSFRGKDTRKTFTDHLYTAFVNAGFQTFRDDDELERGKGIKPELEKAIQQSQSCVIVFSKDYAFSEWCLDELVMILERKKRSSSQEHVVLPIFYDVDPSQVRRQTGSLAEAFATHQKNQSLNRVSRWRAALTEIADVAGMVLQNQADGHESKFIKKVVKVIEERLSRTPISVARHLIGIHSQVKKINLWLRDGSTDVGILMIYGMRGIGKTTIAKYVYNSDFKRFEGSSFLENIREVSEQSNGLVKIQRQLLSDILHGRKVNIHSVSEGIIKIQDTISSKRVLLVLDDVDHLDQLDAILRMQDLFYPGSKIIVTTCCAGLLQAHHKVIKVHNVATLGYTESLELFSWHAFGQDHPIEAYMAHSHRVVSQSGGLPLALKVLGSSLSGKSIAVWESALNKLEAIPNSEILKKIRISFDSLQDDHDRSLFLHIACFFIGMDTYVISRILDDCGFYTTVAIQNLIDRCLVTIDENNKVEMHNMIRDMGRGIVRLESEDPGKRSRLWHHKDSFKVLTENTGTKTIEGLALNMYTHPEVDIPSRSSNALASLETNAFARMHKLRLLQLGPVQLNGCYEEFPKGLRWLCWLEFPLDSLPCNFPLERLVVVEICYGSLRQVWKGTKYLPSLKILNLSHSNALIETPDFSHIPNLERLILKDCESLVDVHESIGNLERLIYWNMEDCKNIRKLPKNMCMLKALETLIISGCSNLNELPMEMRKMESLKMFQADRVPIHRLLTTNEVKLWPRKTPEICWVSYLPRTIVDLSLSDCNLSDGDFPRDFGQLSSLRRLDLSWNPISGLPECIRGVSRLDQLSFYSCWRLKSLVRLPRVVKRLILSCCSSLEKVSFQSIYLPESIRISGNRSLVEVEYRYKLELLEKVDAEMINLLGLSNLESTKTIMMATIYDANPHGMEEKMCPSPILGLYQYGIFSTFLPGNEVPGQFSHKTAGSSISFTLPLLPNLMIRGLNIFAVYSESNNDSPNKINVNYRIFPYPIITEVSNKSKGVKWIYGPTFFGVPGDGQDAIWLSHWKFGNQLESGNEVTVSLFTISELQVKECGVQLVYEQEQEQEENMMNSQHNNNKKTDTFYPHVIGGDLSSFEVRPGTYFLCRLPIGLTSTIIDMDVRASVLSNYLIRGSLQITDREEEQQGDCTLAAQRSSNSNNRGGRGWKVLNLIMATVFFLSVPLVARSSLLRPKKRHATRSP >ONH90492 pep chromosome:Prunus_persica_NCBIv2:G8:6883751:6888267:1 gene:PRUPE_8G057100 transcript:ONH90492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKSLASPLLTHDCATRFCFSTPDVINAAPNIRLPNVSQNLNSRAYSPSFSPKKWVLHSTAEIENTALTDEERKTWEACQEAISAFSITIEEGDKILGKAFGLVHSPYWGEIRKKEVPTIEIVKEKLDYLRSLSLSDDDLCKLLKKFPEVLGCNLENELKTNVQVLEKEWGIKGKSLRNLLLRNPRVLGYNVDCKGDCMAQCTRCWVRF >ONH90491 pep chromosome:Prunus_persica_NCBIv2:G8:6884052:6888267:1 gene:PRUPE_8G057100 transcript:ONH90491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKSLASPLLTHDCATRFCFSTPDVINAAPNIRLPNVSQNLNSRAYSPSFSPKKWVLHSTAEIENTALTDEERKTWEACQEAISAFSITIEEGDKILGKAFGLVHSPYWGEIRKKEVPTIEIVKEKLDYLRSLSLSDDDLCKLLKKFPEVLGCNLENELKTNVQVLEKEWGIKGKSLRNLLLRNPRVLGYNVDCKGDCMAQCTRCWVRF >ONH90493 pep chromosome:Prunus_persica_NCBIv2:G8:6883751:6888271:1 gene:PRUPE_8G057100 transcript:ONH90493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKSLASPLLTHDCATRFCFSTPDVINAAPNIRLPNVSQNLNSRAYSPSFSPKKWVLHSTAEIENTALTDEERKTWEACQEAISAFSITIEEGDKILGKAFGLVHSPYWGEIRKKEVPTIEIVKEKLDYLRSLSLSDDDLCLGEGMGYKREISA >ONH90490 pep chromosome:Prunus_persica_NCBIv2:G8:6883751:6888267:1 gene:PRUPE_8G057100 transcript:ONH90490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKSLASPLLTHDCATRFCFSTPDVINAAPNIRLPNVSQNLNSRAYSPSFSPKKWVLHSTAEIENTALTDEERKTWEACQEAISAFSITIEEGDKILGKAFGLVHSPYWGEIRKKEVPTIEIVKEKLDYLRSLSLSDDDLCKLLKKFPEVLGCNLENELKTNVQVLEKEWGIKGKSLRNLLLRNPRVLGYNVDCKGDCMAQCTRCWVRF >ONH90495 pep chromosome:Prunus_persica_NCBIv2:G8:6883751:6888271:1 gene:PRUPE_8G057100 transcript:ONH90495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKSLASPLLTHDCATRFCFSTPDVINAAPNIRLPNVSQNLNSRAYSPSFSPKKWVLHSTAEIENTALTDEERKTWEACQEAISAFSITIEEGDKILGKAFGLVHSPYWGEIRKKEVPTIEIVKEKLDYLRSLSLSDDDLCLGEGMGYKREISA >ONH90494 pep chromosome:Prunus_persica_NCBIv2:G8:6884052:6888267:1 gene:PRUPE_8G057100 transcript:ONH90494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKSLASPLLTHDCATRFCFSTPDVINAAPNIRLPNVSQNLNSRAYSPSFSPKKWVLHSTAEIENTALTDEERKTWEACQEAISAFSITIEEGDKILGKAFGLVHSPYWGEIRKKEVPTIEIVKEKLDYLRSLSLSDDDLCLGEGMGYKREISA >ONH90716 pep chromosome:Prunus_persica_NCBIv2:G8:10385398:10388280:-1 gene:PRUPE_8G071100 transcript:ONH90716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVQVAGLWLPTSSTAHTHFLHCRSNLKPPSSTALSATASLSSPPPTIQIVGGGRSPSWYENGNGNLDGFQGEDDDWCDLDTELYHWTKQLRPVQWYPGHIAKTEKELKNQLKLMDVVIEVRDARIPMSTSHPQMDLWLGNRKRVLVLNREDMISTADRNAWATYFAREGTKVVFSNGKLGMGAMKLGRLARALAADVNVKRRAKGLLPRAVRAGIVGYPNVGKSSLINRLLKRRMCAAAPRPGVTRELKWVHFGKDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYGVADIAAVLVQMLTRLPSVGVKALNSRYKMDVDGHCGKTFVQKLAVHMFNGDSHQAAFRVLSDFRKGKFGWIALERPPR >ONH90715 pep chromosome:Prunus_persica_NCBIv2:G8:10384842:10388320:-1 gene:PRUPE_8G071100 transcript:ONH90715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVQVAGLWLPTSSTAHTHFLHCRSNLKPPSSTALSATASLSSPPPTIQIVGGGRSPSWYENGNGNLDGFQGEDDDWCDLDTELYHWTKQLRPVQWYPGHIAKTEKELKNQLKLMDVVIEVRDARIPMSTSHPQMDLWLGNRKRVLVLNREDMISTADRNAWATYFAREGTKVVFSNGKLGMGAMKLGRLARALAADVNVKRRAKGLLPRAVRAGIVGYPNVGKSSLINRLLKRRMCAAAPRPGVTRELKWVHFGKDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYGVADIAAVLVQMLTRLPSVGVKALNSRYKMDVDGHCGKTSLRFTCLMGIVIKQHFECCRIFGKGSLVGLHWRGLPDSTIGTWW >ONH89677 pep chromosome:Prunus_persica_NCBIv2:G8:661490:665104:-1 gene:PRUPE_8G009000 transcript:ONH89677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTNILIGKIVTIFENEASSIAGVRDEIDDIKQELVSMTAFLNDTEGKNVRSEGGRTWVASVRGMAYDVEDIIDEFMYHMYKRGCHDGRFARWFHQTIRIPQNVWFRRQMSKKLRKISIMIKAIPDRNQRYGVGGLEGTSSACDDVSKLMRNQAESSLFIKEDELVGIERKKQLLINWLMNGEQQQTLLSVVGMGGSGKTTLVAKTFNDERVKKQFHCCAWVTISQTYVIDDLFRSLIKEFHEANKEKIPADMNSMTYRELLQVLVNYLESKRYMVVLDDVWDIKLWKEIRIALPNTQLGSRIMLTTRKEDVASSSFGAQSHIHHIQLLEMNDAWELFSSKAFSAYQNNCCPPELQSLAEEVVEKCEGLPLAIVALAGLMSSKKPFEWKQVYNCLNLHLTIPLLERVENILFLSFDDLPYPLKHCFLYCSLFPEDYLIRRKRLIRMWIAEGFIQDEKGATPEEVAESYLMQLIFRSMLHVVQRNESGRPKACKMHDLMRKLALSKSEKEKFGAVYDGKEVMEEVQVRRLSTQTTGGGEIKLGTGVAQLRSFLVFVTDVSSSSSSNTLPSGCKLLRVLDLQYVPIDILPKELEYLFNLRYLNLRGTPVKKLPESIGKLSNLQTLDIRDSKIEVLPSGIAKLQNLRHLIMYRRTEEHRGFRYLNGTRSPSNICMLKKLQVLACVELEGNIVKLVGNMTQLRRIGISNVKERDEMDLCASIQKMKLLHQLVLKTSDEEEVLQTNALCSPPPHLQTLVLVGKLEIVPRWFFSLQSLIQLQLHWSRIEEDLLPYIEALPNLGYLVLINGYAGRELCFSRGFVKLTRLELCTCPLLNKVTIEKGVMSNLQSLRIDNCPELSTTPQGLQYLTKLKEMSLVVVPKELKDSIREGGVDREKVQHIPEIYHIYKTSLGMCRESLS >ONH93982 pep chromosome:Prunus_persica_NCBIv2:G8:22206956:22207965:-1 gene:PRUPE_8G264800 transcript:ONH93982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRFLFATSMLLLTFLVIASASDYGSAPKPKVDDHDTPKLPKVEKPKPQEVYAKQLPHIIGIQGLVLCKSGLKSFPIKGAVARITCLAEDEYGYETAPFSILSGATDAKGYFFATLSPSELQDKWKLTECKAFLDYSPFQYCQVPTDVNHGITGHLLASYRIINTKKIKLYSVGPFFYTSETEPKSTPNNGY >ONH90280 pep chromosome:Prunus_persica_NCBIv2:G8:4596858:4606434:1 gene:PRUPE_8G044400 transcript:ONH90280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASAFHPSADQSAPPQWNYDVFLSFRGVDTRNNFVSHLFHELQHRGIKTFKDDPKLERGTTISSELFKAIQESRLAIVVLSPNYASSSWCLDELTKILQCMKSNGTLLPVFYNVDPSDVRKQSGSFADAFAEHEKRFREDIDKVKRWRDALTEVANLAGIDSKNQCERKLIEKIVEWVWRKVHRTFKLLDTTELVGIKFTREQMNLLVAPTDDVRFVGIWGMGGIGKTTIAALVHDSICMQFEVSCFLGNVREVSQRGNLVDLQRKLLSPILKEQITDVRDEQSGTYFIKSCLCNKKVLLILDDVNESSQLEKFAKEKDWFGKGSIIIITTRDERLVKKHDMEISYKVEVLGDDEALELFSLNAFKKFEPEEGFLELSKCFVNYAGGLPLALKILGCSMYKRDRDEWKNELDKLRKIPETEIFDLLKISFDRLDEMNKNIFLDVAFFHKGKGKNEVIGILDSCDRYGGINALVEKSLLTIDISYNVNIVGMHDLIQEMAFEIIRQESPEEPGGRSRLCHRNDIIHVMINNTATNKIQGIALSMTELEKADWNCEAFSKMINLKFLEVDNVIISPMSIPKILPNSLRIMKWNRYSSKFLPSNFQPTKLVSLEMQDSKLVGLWDDKIDLPNLKYMDLSGSQNLETTPNFTGIPKLEVLNLERCENLVEIHPSIAFLKWLTDLILNRCKSVKGLPREVEMDSLVHFEVEDCSKLKKIPEFSRQMEKLSILNLRGTPIEKLPSSIGRLVGLTLLDVSNCENLWGLSSEICNLKSLQELTVNGCSYSDKRPENSWGYLKIGMPNKSKRNKSRFWWGLQRKAFVLGSLYGLWSLKYLNVSDCGLCEGDIPVDIDCLSSLEILDLSRNNFVSLPASIGCLTKLWSFSVRGCQRLQQLPHFRFGLVDNEGFSSIYMHTDDCTSLKTLPNLSIKGGRGFVSLSCVNCSGLVENDGYDDSIILGMLWTALDWGLLQVRPSPIPTTSAFQIVTPGSRIPEWFNNQTVGDSLIVELPPCTTSIWIAFCAVFEEGAPVDHPNPPHDLSTYFRIECRPGEGRPVHSFGINKGHLVSPHLWVSCVYHFVVDKECSQMKISFHKFSEDGINRIYWSGIKKCGFRLVHKQDGKKLNQIMMMNHSINISTKATSPHNSHNSVDASGSSHQKSLCRKSYALSKWFLTKLVKIFSLFLTTAVFIKSFNNSEQWGCIGLLIWRVTTLISYLGLAPSYFSLLLKSLIKTVILKRAAKFLQPLLKTPPPQTSAHEYLKG >ONH91424 pep chromosome:Prunus_persica_NCBIv2:G8:14181961:14183834:-1 gene:PRUPE_8G113500 transcript:ONH91424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEIYLKNRKSLYNAKLIQKKVHQVLTQLCDKVVRGSLHAHGQLKVSEKRTVHRAVQCTGDLSDIIYTNSINVAIRELLDYSSKMESGRAIYESCYIRYELYHFYKYALV >ONH92866 pep chromosome:Prunus_persica_NCBIv2:G8:18991364:18994471:-1 gene:PRUPE_8G200900 transcript:ONH92866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSVLVLAVFLQLVGGMFARDVHFDENYGVVWGKPDHVETWYRGRELVLFLDQASGASFVSKQWFGSGFFHMKIKLPRGNSAGVVTTFYLTSGDGDRVNDKAHDELDFEFLGNIKGEPILLQTNVFANGTAGREQRITLWFDPTTEYHDYKILWNQNQIVFYVDDIPIRVFKNLTESGVPFPSQPLQIQASLWHAKWASQNETDWSYAPFKAHYQGFDISGCPCATKQCMNECYGQNYWWNDIRELNSDQRRAYEDVRRRHMHYDYCASHTPAPECSFNS >ONH92868 pep chromosome:Prunus_persica_NCBIv2:G8:18991364:18992596:-1 gene:PRUPE_8G200900 transcript:ONH92868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKLPRGNSAGVVTTFYLTSGDGDRVNDKAHDELDFEFLGNIKGEPILLQTNVFANGTAGREQRITLWFDPTTEYHDYKILWNQNQIVFYVDDIPIRVFKNLTESGVPFPSQPLQIQASLWHAKWASQNETDWSYAPFKAHYQGFDISGCPCATKQCMNECYGQNYWWNDIRELNSDQRRAYEDVRRRHMHYDYCASHTPAPECSFNS >ONH92867 pep chromosome:Prunus_persica_NCBIv2:G8:18991587:18993347:-1 gene:PRUPE_8G200900 transcript:ONH92867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSVVRLFLFLLLIGGGVLARETNLLDGNFYHNYRTLTTDSSHFRSSNNGREVRLSMDNSSGASFVSKQWFGSGFFHMKIKLPRGNSAGVVTTFYLTSGDGDRVNDKAHDELDFEFLGNIKGEPILLQTNVFANGTAGREQRITLWFDPTTEYHDYKILWNQNQIVFYVDDIPIRVFKNLTESGVPFPSQPLQIQASLWHAKWASQNETDWSYAPFKAHYQGFDISGCPCATKQCMNECYGQNYWWNDIRELNSDQRRAYEDVRRRHMHYDYCASHTPAPECSFNS >ONH92176 pep chromosome:Prunus_persica_NCBIv2:G8:16901173:16901564:1 gene:PRUPE_8G160200 transcript:ONH92176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGRSQTSSFLEGFSLSPLPYPVLLILAVISIFLGISWYSSYDSVVEEAETQFNWLLFVTPIALLLLVKLLSSMDPDWLFSMSPLGNRRRTYYPPSEGSSPWGVAAFIVLLLVLLQYQSIFRDSWLI >ONH93384 pep chromosome:Prunus_persica_NCBIv2:G8:20513853:20516482:1 gene:PRUPE_8G229300 transcript:ONH93384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYPLLALLYPLCCSIRAIEANSISDSQRLNAYWVVFSLILLFEHAFMKFLEWLPLWPHIRLMIVFWLLIPQYGGAFYVYNHLIRPCLSVDLQIVINWFNKRKKSSFDRDNFLAEVERYVKENGPEALENIVASTSEKTKSSPDAKEFKAVSLDNKEKTPFEGAYGLKPQNVLDLVPLPEEMKMSDDGEGLADQVKRVHEEVRAVIKASSADNQHRRVKDFEEGDMVWVHLKKERFPKDTYHKLKSKMFGPYKVLKKMSSIAYMIELPPDLQISPYFNVFDLYLFEGFDEETISKEAQPYIVEDVLDVKEVTSKKGNQYRRFLVKWLGKNATENTWIAEYDLKRIVAERYAEVVKAFSPESTSSQPGGVDAGSSVR >ONH93381 pep chromosome:Prunus_persica_NCBIv2:G8:20513526:20516664:1 gene:PRUPE_8G229300 transcript:ONH93381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKFLAVRTGLASSQGLVQSLSSSDFRAEDNDKENDTKKLKLKMGFVGLVEFAFQCFDVLACPLLALLYPLCCSIRAIEANSISDSQRLNAYWVVFSLILLFEHAFMKFLEWLPLWPHIRLMIVFWLLIPQYGGAFYVYNHLIRPCLSVDLQIVINWFNKRKKSSFDRDNFLAEVERYVKENGPEALENIVASTSEKTKSSPDAKEFKAVSLDNKEKTPFEGAYGLKPQNVLDLVPLPEEMKMSDDGEGLADQVKRVHEEVRAVIKASSADNQHRRVKDFEEGDMVWVHLKKERFPKDTYHKLKSKMFGPYKVLKKMSSIAYMIELPPDLQISPYFNVFDLYLFEGFDEETISKEAQPYIVEDVLDVKEVTSKKGNQYRRFLVKWLGKNATENTWIAEYDLKRIVAERYAEVVKAFSPESTSSQPGGVDAGSSVR >ONH93383 pep chromosome:Prunus_persica_NCBIv2:G8:20513530:20516664:1 gene:PRUPE_8G229300 transcript:ONH93383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKFLAVRTGLASSQGLVQSLSSSDFRAEDNDKENDTKKLKLKMGFVGLVEFAFQCFDVLAWLPLWPHIRLMIVFWLLIPQYGGAFYVYNHLIRPCLSVDLQIVINWFNKRKKSSFDRDNFLAEVERYVKENGPEALENIVASTSEKTKSSPDAKEFKAVSLDNKEKTPFEGAYGLKPQNVLDLVPLPEEMKMSDDGEGLADQVKRVHEEVRAVIKASSADNQHRRVKDFEEGDMVWVHLKKERFPKDTYHKLKSKMFGPYKVLKKMSSIAYMIELPPDLQISPYFNVFDLYLFEGFDEETISKEAQPYIVEDVLDVKEVTSKKGNQYRRFLVKWLGKNATENTWIAEYDLKRIVAERYAEVVKAFSPESTSSQPGGVDAGSSVR >ONH93385 pep chromosome:Prunus_persica_NCBIv2:G8:20513853:20516482:1 gene:PRUPE_8G229300 transcript:ONH93385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYPLLALLYPLLPLWPHIRLMIVFWLLIPQYGGAFYVYNHLIRPCLSVDLQIVINWFNKRKKSSFDRDNFLAEVERYVKENGPEALENIVASTSEKTKSSPDAKEFKAVSLDNKEKTPFEGAYGLKPQNVLDLVPLPEEMKMSDDGEGLADQVKRVHEEVRAVIKASSADNQHRRVKDFEEGDMVWVHLKKERFPKDTYHKLKSKMFGPYKVLKKMSSIAYMIELPPDLQISPYFNVFDLYLFEGFDEETISKEAQPYIVEDVLDVKEVTSKKGNQYRRFLVKWLGKNATENTWIAEYDLKRIVAERYAEVVKAFSPESTSSQPGGVDAGSSVR >ONH93382 pep chromosome:Prunus_persica_NCBIv2:G8:20513530:20516664:1 gene:PRUPE_8G229300 transcript:ONH93382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKFLAVRTGLASSQGLVQSLSSSDFRAEDNDKENDTKKLKLKMGFVGLVEFAFQCFDVLACPLLALLYPLLPLWPHIRLMIVFWLLIPQYGGAFYVYNHLIRPCLSVDLQIVINWFNKRKKSSFDRDNFLAEVERYVKENGPEALENIVASTSEKTKSSPDAKEFKAVSLDNKEKTPFEGAYGLKPQNVLDLVPLPEEMKMSDDGEGLADQVKRVHEEVRAVIKASSADNQHRRVKDFEEGDMVWVHLKKERFPKDTYHKLKSKMFGPYKVLKKMSSIAYMIELPPDLQISPYFNVFDLYLFEGFDEETISKEAQPYIVEDVLDVKEVTSKKGNQYRRFLVKWLGKNATENTWIAEYDLKRIVAERYAEVVKAFSPESTSSQPGGVDAGSSVR >ONH93386 pep chromosome:Prunus_persica_NCBIv2:G8:20513530:20516664:1 gene:PRUPE_8G229300 transcript:ONH93386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLEWLPLWPHIRLMIVFWLLIPQYGGAFYVYNHLIRPCLSVDLQIVINWFNKRKKSSFDRDNFLAEVERYVKENGPEALENIVASTSEKTKSSPDAKEFKAVSLDNKEKTPFEGAYGLKPQNVLDLVPLPEEMKMSDDGEGLADQVKRVHEEVRAVIKASSADNQHRRVKDFEEGDMVWVHLKKERFPKDTYHKLKSKMFGPYKVLKKMSSIAYMIELPPDLQISPYFNVFDLYLFEGFDEETISKEAQPYIVEDVLDVKEVTSKKGNQYRRFLVKWLGKNATENTWIAEYDLKRIVAERYAEVVKAFSPESTSSQPGGVDAGSSVR >ONH93387 pep chromosome:Prunus_persica_NCBIv2:G8:20513530:20516664:1 gene:PRUPE_8G229300 transcript:ONH93387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLEWLPLWPHIRLMIVFWLLIPQYGGAFYVYNHLIRPCLSVDLQIVINWFNKRKKSSFDRDNFLAEVERYVKENGPEALENIVASTSEKTKSSPDAKEFKAVSLDNKEKTPFEGAYGLKPQNVLDLVPLPEEMKMSDDGEGLADQVKRVHEEVRAVIKASSADNQHRRVKDFEEGDMVWVHLKKERFPKDTYHKLKSKMFGPYKVLKKMSSIAYMIELPPDLQISPYFNVFDLYLFEGFDEETISKEAQPYIVEDVLDVKEVTSKKGNQYRRFLVKWLGKNATENTWIAEYDLKRIVAERYAEVVKAFSPESTSSQPGGVDAGSSVR >ONH91515 pep chromosome:Prunus_persica_NCBIv2:G8:14681455:14682961:-1 gene:PRUPE_8G120000 transcript:ONH91515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLSAETWWGTTTKSLFLFVKTAKNFLSKAVSVQWIFLIISFVLEISSAICDQLSSRRKPQYALIGMSLASVALVTCVCELILKFKIEKIVESESPGESSGDIFPHVFGLICAFSQWLSSIARFVCIVMNKQNPFKVNILPVLFLGCWGAVKLTAPTKPAFSSSSP >ONH89933 pep chromosome:Prunus_persica_NCBIv2:G8:2253080:2255073:1 gene:PRUPE_8G024800 transcript:ONH89933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNPELNMLNLHHHHHLHHLSTLTTTSLHHHHHHHHLSTPTTTSLPHHHHLHHLSTHTTTSLHHHHHLHHLSTHTTTSLPHHHHHPRSLTITSLHHHHLLLLLQSTHHPRSLTTTSLPHHPHQSTSHQFTHHPHHLRNHTSHQLLQFTPHHHTLTSTHHPHLLTTTR >ONH89932 pep chromosome:Prunus_persica_NCBIv2:G8:2253080:2255075:1 gene:PRUPE_8G024800 transcript:ONH89932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNPELNMLNLHHHHHLHHLSTLTTTSLHHHHHHHHLSTPTTTSLPHHHHLHHLSTHTTTSLHHHHHLHHLSTHTTTSLPHHHHHPRSLTITSLHHHHLLLLLQSTHHPRSLTTTSLPHHPHQSTSHQFTHHPHHLRNHTSHQLLQFTPHHHTLTSTHHPHLLTTTR >ONH92650 pep chromosome:Prunus_persica_NCBIv2:G8:18410128:18412562:1 gene:PRUPE_8G187000 transcript:ONH92650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSMILSCSPPLQNHLKTQKPVTSGPISLPQNSTSISIVASQPFNSFPSTKNNLQSQSSKSVQNPSLILKTSPFSQGLTRNRLYFLLTELITTTAFTLPGFASEAAVSSTEQVSDRINLEAILVSIDDFFNRNPFFVAGCTFVWLVVIPLVQEYLRKYKFVLVIDAFRKLRDDPNVQLLDIRDEKSLKYLKSPNLKILNKATVQVPFSEDDEDGFVKKVLERFGNPADTALCVLGNFDGISIKVAELLFKNGFKEAYAIKGGVGGTKGWLESQETLLPPSMHIYPKKKVETSQKTGMNGGVVRGNEDSNGAASAGSFSIGESQRTDNGRTAKSTDSVSHVKNGFRSSSPYPSYPDLKPPSSPTPSKP >ONH89755 pep chromosome:Prunus_persica_NCBIv2:G8:1158206:1159549:-1 gene:PRUPE_8G014200 transcript:ONH89755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREQDELQFLGIFGICKEAYKIVFSWRKIFSQITLTLILPLSFIFLAHIELSNILLLKIIHNERVLDRTNTNSPRYQRVSDVISSEWATFWLFKAVYFTFLLIFSLLSTSAVVYTIACIYTGREITYRKVMSVVPKVWKRVMVTFFCTFMAFFAYNIVAFIVLIIWLVSVALTDAGGIIGFFLLIFYFIGFVYLSLIWLLASVVSVLEEARGIKAMTKSKALLKGNMWVAAIIFFMLNVLGGLLQFAFQKLVVHGYSFGVVGRVAYGFICLLLLFKLILFWLVIQTVLYFVCKSYHHENIDKSALSDHLEVYLGDYVPLTAKDVQLEQVNV >ONH90016 pep chromosome:Prunus_persica_NCBIv2:G8:2807215:2809814:1 gene:PRUPE_8G030100 transcript:ONH90016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAQEKIYSGQTTSNNASEKPTEGGPSSTAAPSDNQKPVQTYGDGKSKWCMVYAKALDITWSGDTRYWRWAKETSGDNFEAAELLNVCWLEVRGKLATTDLSPGTLYEVVFVVKMKTEADGWDAPVNFKFTSPDGALTRNTTFKLREILKDSKDQWKDIPFGEFKAPENPRNIEFSLYEYSRIWKSGLVIKGVAVRPKS >ONH90017 pep chromosome:Prunus_persica_NCBIv2:G8:2807437:2809572:1 gene:PRUPE_8G030100 transcript:ONH90017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRKYIVDKQLVTMHRRNQPKEGLLLLQLPLIIRRYVEVKNASDNEKTETQSPVQTYGDGKSKWCMVYAKALDITWSGDTRYWRWAKETSGDNFEAAELLNVCWLEVRGKLATTDLSPGTLYEVVFVVKMKTEADGWDAPVNFKFTSPDGALTRNTTFKLREILKDSKDQWKDIPFGEFKAPENPRNIEFSLYEYSRIWKSGLVIKGVAVRPKS >ONH90018 pep chromosome:Prunus_persica_NCBIv2:G8:2807215:2809814:1 gene:PRUPE_8G030100 transcript:ONH90018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYAKALDITWSGDTRYWRWAKETSGDNFEAAELLNVCWLEVRGKLATTDLSPGTLYEVVFVVKMKTEADGWDAPVNFKFTSPDGALTRNTTFKLREILKDSKDQWKDIPFGEFKAPENPRNIEFSLYEYSRIWKSGLVIKGVAVRPKS >ONH93122 pep chromosome:Prunus_persica_NCBIv2:G8:19722714:19727410:1 gene:PRUPE_8G214500 transcript:ONH93122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLKPMDAEQLRENAHKMVDFIADYYKTIENFPVLSQVQPGYLRELLPDSAPTHPEPLQHIFDDIQAKILPGVTHWQSPNFFGYYPSNSSIAGFLGEMMSAGLNIVGFSWITSPAATELEMIVLDWFGKMLKLPEEFLSAGKGGGVIQGTASEAVLVVLLAARDKILRRVGKNSLEKLVVYASDQTHSALQKACQIGGIHPENCRLLRTDSSTNYALSPNVLNEAISNDVTSGLIPFFLCATVGTTSSTAVDPLLELGKIAKSNDMWFHVDAAYAGSACICPEYRHYIDGVEEADSFNTNAHKWFLTNFDCSVLWIKDRNALIQALSTNPEFLKNKASQANLVVDYKDWQIPLGRRFRSLKLWMVLRLYGLENLQSYIRNHINLAKHFKELVAQDPRFEIVTPRLFSLVCFRLLPPHNDETCATKLNHGLLDAVNATGKIFISHTVLSGKYLLRLAVGAPLTEERHVNAAWKLLQDEASALLATL >ONH93123 pep chromosome:Prunus_persica_NCBIv2:G8:19722714:19727410:1 gene:PRUPE_8G214500 transcript:ONH93123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLKPMDAEQLRENAHKMVDFIADYYKTIENFPVLSQVQPGYLRELLPDSAPTHPEPLQHIFDDIQAKILPGVTHWQSPNFFGYYPSNSSIAGFLGEMMSAGLNIVGFSWITSPAATELEMIVLDWFGKMLKLPEEFLSAGKGGGVIQGTASEAVLVVLLAARDKILRRVGKNSLEKLVVYASDQTHSALQKACQIGGIHPENCRLLRTDSSTNYALSPNVLNEAISNDVTSGLIPFFLCATVGTTSSTAVDPLLELGKIAKSNDMWFHVDAAYAGSACICPEYRHYIDGVEEADSFNTNAHKWFLTNFDCSVLWIKDRNALIQALSTNPEFLKNKASQANLVVDYKDWQIPLGRRFRLLLRGYFH >ONH93702 pep chromosome:Prunus_persica_NCBIv2:G8:21453000:21457059:1 gene:PRUPE_8G248200 transcript:ONH93702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSGTASKQGESLRKGSKNKRKLADPSLENTTSPLTVFPQCELSPEMCPKLSDNVSVDAGVVQPKEEVEAGAFECTDWDDPIVCQLEELLLSYLQAIFQSAVKQVAECGYSEEVAEKAVSRGGLYIGSKDPLSNIVNDTLEFLNKGKGFDASRDNEFGNLQHLVEYTMLEMISVLREVRPSLSVAEAMWWLLICDLNILQACTSEGDPLSAFEESSRASSSDSSSTQLRSEATSFETIHPNPNPNQANSSMPPLSHAQERAPQNNSTEALKFGSFPNVPNSKHSHAPGGLTPEKDSLSSMLDSLEKCLGFTEEYMQNKSQTCTSEEKSGAVRKWRTKKELAALRRKSFHMERNYRAYGSKGGFKSGKVTVGGFFVEKRMKPPPELPGARTTVGSSKSSAQGGATIPSADGRHHASTSSPSASPATGCSSTVPEKGAISSLPSTDTKFSQKSGLEEISGPKTPVCTSESPKILDYCAGIPYDKSLGKYVPQDEKDELILKLVPRLEELQNELQGWTKWANEKVMQVSRRLSKERPELKTLRQEKEEAEQFKKEKQMLEENTMKRLSELEHALNNATGQVERANSNICRLEVENSTLKKKLLDAKKIALEKARRHLDALDQEQRSLKRAQAWEGEISSLQEELETEKKKVASLQQDLGKAKSVHHQIEARWKRENTENEKLLAHANSMRNEREQREASAKVEEDKIKQKAENDMQKYMEDIKELESKLSEFQLKSDSSRIAALRRGAAGSFGGCLLDRKTLMATKGTQNFTSIKRVMNSEDYFGTGSLRQDRECVMCLSEEMSVVFLPCAHQVVCAKCNELHKKQGMEDCPSCRTPIQRRINVQYAHP >ONH93699 pep chromosome:Prunus_persica_NCBIv2:G8:21453000:21457059:1 gene:PRUPE_8G248200 transcript:ONH93699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSGTASKQGESLRKGSKNKRKLADPSLENTTSPLTVFPQCELSPEMCPKLSDNVSVDAGVVQPKEEVEAGAFECTDWDDPIVCQLEELLLSYLQAIFQSAVKQVAECGYSEEVAEKAVSRGGLYIGSKDPLSNIVNDTLEFLNKGKGFDASRDNEFGNLQHLVEYTMLEMISVLREVRPSLSVAEAMWWLLICDLNILQACTSEGDPLSAFEESSRASSSDSSSTQLRSEATSFETIHPNPNPNQANSSMPPLSHAQERAPQNNSTEALKFGSFPNVPNSKHSHAPGGLTPEKDSLSSMLDSLEKCLGFTEEYMQNKSQTCTSEEKSGAVRKWRTKKELAALRRKSFHMERNYRAYGSKGGFKSGKVTVGGFFVEKRMKPPPELPGARTTVGSSKSSAQGGATIPSADGRHHASTSSPSASPATGCSSTVPEKGAISSLPSTDTKFSQKSGLEEISGPKTPVCTSESPKILDYCAGIPYDKSLGKYVPQDEKDELILKLVPRLEELQNELQGWTKWANEKVMQVSRRLSKERPELKTLRQEKEEAEQFKKEKQMLEENTMKRLSELEHALNNATGQVERANSNICRLEVENSTLKKKLLDAKKIALEKARRHLDALDQEQRSLKRAQAWEGEISSLQEELETEKKKVASLQQDLGKAKSVHHQIEARWKRENTENEKLLAHANSMRNEREQREASAKVEEDKIKQKAENDMQKYMEDIKELESKLSEFQLKSDSSRIAALRRGAAGSFGGCLLDRKTLMATKGTQNFTSIKRVMNSEDYFGTGSLRQDRECVMCLSEEMSVVFLPCAHQVVCAKCNELHKKQGMEDCPSCRTPIQRRINVQYAHP >ONH93700 pep chromosome:Prunus_persica_NCBIv2:G8:21452975:21457059:1 gene:PRUPE_8G248200 transcript:ONH93700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSGTASKQGESLRKGSKNKRKLADPSLENTTSPLTVFPQCELSPEMCPKLSDNVSVDAGVVQPKEEVEAGAFECTDWDDPIVCQLEELLLSYLQAIFQSAVKQVAECGYSEEVAEKAVSRGGLYIGSKDPLSNIVNDTLEFLNKGKGFDASRDNEFGNLQHLVEYTMLEMISVLREVRPSLSVAEAMWWLLICDLNILQACTSEGDPLSAFEESSRASSSDSSSTQLRSEATSFETIHPNPNPNQANSSMPPLSHAQERAPQNNSTEALKFGSFPNVPNSKHSHAPGGLTPEKDSLSSMLDSLEKCLGFTEEYMQNKSQTCTSEEKSGAVRKWRTKKELAALRRKSFHMERNYRAYGSKGGFKSGKVTVGGFFVEKRMKPPPELPGARTTVGSSKSSAQGGATIPSADGRHHASTSSPSASPATGCSSTVPEKGAISSLPSTDTKFSQKSGLEEISGPKTPVCTSESPKILDYCAGIPYDKSLGKYVPQDEKDELILKLVPRLEELQNELQGWTKWANEKVMQVSRRLSKERPELKTLRQEKEEAEQFKKEKQMLEENTMKRLSELEHALNNATGQVERANSNICRLEVENSTLKKKLLDAKKIALEKARRHLDALDQEQRSLKRAQAWEGEISSLQEELETEKKKVASLQQDLGKAKSVHHQIEARWKRENTENEKLLAHANSMRNEREQREASAKVEEDKIKQKAENDMQKYMEDIKELESKLSEFQLKSDSSRIAALRRGAAGSFGGCLLDRKTLMATKGTQNFTSIKRVMNSEDYFGTGSLRQDRECVMCLSEEMSVVFLPCAHQVVCAKCNELHKKQGMEDCPSCRTPIQRRINVQYAHP >ONH93704 pep chromosome:Prunus_persica_NCBIv2:G8:21452955:21457059:1 gene:PRUPE_8G248200 transcript:ONH93704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSGTASKQGESLRKGSKNKRKLADPSLENTTSPLTVFPQCELSPEMCPKLSDNVSVDAGVVQPKEEVEAGAFECTDWDDPIVCQLEELLLSYLQAIFQSAVKQVAECGYSEEVAEKAVSRGGLYIGSKDPLSNIVNDTLEFLNKGKGFDASRDNEFGNLQHLVEYTMLEMISVLREVRPSLSVAEAMWWLLICDLNILQACTSEGDPLSAFEESSRASSSDSSSTQLRSEATSFETIHPNPNPNQANSSMPPLSHAQERAPQNNSTEALKFGSFPNVPNSKHSHAPGGLTPEKDSLSSMLDSLEKCLGFTEEYMQNKSQTCTSEEKSGAVRKWRTKKELAALRRKSFHMERNYRAYGSKGGFKSGKVTVGGFFVEKRMKPPPELPGARTTVGSSKSSAQGGATIPSADGRHHASTSSPSASPATGCSSTVPEKGAISSLPSTDTKFSQKSGLEEISGPKTPVCTSESPKILDYCAGIPYDKSLGKYVPQDEKDELILKLVPRLEELQNELQGWTKWANEKVMQVSRRLSKERPELKTLRQEKEEAEQFKKEKQMLEENTMKRLSELEHALNNATGQVERANSNICRLEVENSTLKKKLLDAKKIALEKARRHLDALDQEQRSLKRAQAWEGEISSLQEELETEKKKVASLQQDLGKAKSVHHQIEARWKRENTENEKLLAHANSMRNEREQREASAKVEEDKIKQKAENDMQKYMEDIKELESKLSEFQLKSDSSRIAALRRGAAGSFGGCLLDRKTLMATKGTQNFTSIKRVMNSEDYFGTGSLRQDRECVMCLSEEMSVVFLPCAHQVVCAKCNELHKKQGMEDCPSCRTPIQRRINVQYAHP >ONH93701 pep chromosome:Prunus_persica_NCBIv2:G8:21452960:21457059:1 gene:PRUPE_8G248200 transcript:ONH93701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSGTASKQGESLRKGSKNKRKLADPSLENTTSPLTVFPQCELSPEMCPKLSDNVSVDAGVVQPKEEVEAGAFECTDWDDPIVCQLEELLLSYLQAIFQSAVKQVAECGYSEEVAEKAVSRGGLYIGSKDPLSNIVNDTLEFLNKGKGFDASRDNEFGNLQHLVEYTMLEMISVLREVRPSLSVAEAMWWLLICDLNILQACTSEGDPLSAFEESSRASSSDSSSTQLRSEATSFETIHPNPNPNQANSSMPPLSHAQERAPQNNSTEALKFGSFPNVPNSKHSHAPGGLTPEKDSLSSMLDSLEKCLGFTEEYMQNKSQTCTSEEKSGAVRKWRTKKELAALRRKSFHMERNYRAYGSKGGFKSGKVTVGGFFVEKRMKPPPELPGARTTVGSSKSSAQGGATIPSADGRHHASTSSPSASPATGCSSTVPEKGAISSLPSTDTKFSQKSGLEEISGPKTPVCTSESPKILDYCAGIPYDKSLGKYVPQDEKDELILKLVPRLEELQNELQGWTKWANEKVMQVSRRLSKERPELKTLRQEKEEAEQFKKEKQMLEENTMKRLSELEHALNNATGQVERANSNICRLEVENSTLKKKLLDAKKIALEKARRHLDALDQEQRSLKRAQAWEGEISSLQEELETEKKKVASLQQDLGKAKSVHHQIEARWKRENTENEKLLAHANSMRNEREQREASAKVEEDKIKQKAENDMQKYMEDIKELESKLSEFQLKSDSSRIAALRRGAAGSFGGCLLDRKTLMATKGTQNFTSIKRVMNSEDYFGTGSLRQDRECVMCLSEEMSVVFLPCAHQVVCAKCNELHKKQGMEDCPSCRTPIQRRINVQYAHP >ONH93703 pep chromosome:Prunus_persica_NCBIv2:G8:21452958:21457059:1 gene:PRUPE_8G248200 transcript:ONH93703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSGTASKQGESLRKGSKNKRKLADPSLENTTSPLTVFPQCELSPEMCPKLSDNVSVDAGVVQPKEEVEAGAFECTDWDDPIVCQLEELLLSYLQAIFQSAVKQVAECGYSEEVAEKAVSRGGLYIGSKDPLSNIVNDTLEFLNKGKGFDASRDNEFGNLQHLVEYTMLEMISVLREVRPSLSVAEAMWWLLICDLNILQACTSEGDPLSAFEESSRASSSDSSSTQLRSEATSFETIHPNPNPNQANSSMPPLSHAQERAPQNNSTEALKFGSFPNVPNSKHSHAPGGLTPEKDSLSSMLDSLEKCLGFTEEYMQNKSQTCTSEEKSGAVRKWRTKKELAALRRKSFHMERNYRAYGSKGGFKSGKVTVGGFFVEKRMKPPPELPGARTTVGSSKSSAQGGATIPSADGRHHASTSSPSASPATGCSSTVPEKGAISSLPSTDTKFSQKSGLEEISGPKTPVCTSESPKILDYCAGIPYDKSLGKYVPQDEKDELILKLVPRLEELQNELQGWTKWANEKVMQVSRRLSKERPELKTLRQEKEEAEQFKKEKQMLEENTMKRLSELEHALNNATGQVERANSNICRLEVENSTLKKKLLDAKKIALEKARRHLDALDQEQRSLKRAQAWEGEISSLQEELETEKKKVASLQQDLGKAKSVHHQIEARWKRENTENEKLLAHANSMRNEREQREASAKVEEDKIKQKAENDMQKYMEDIKELESKLSEFQLKSDSSRIAALRRGAAGSFGGCLLDRKTLMATKGTQNFTSIKRVMNSEDYFGTGSLRQDRECVMCLSEEMSVVFLPCAHQVVCAKCNELHKKQGMEDCPSCRTPIQRRINVQYAHP >ONH92482 pep chromosome:Prunus_persica_NCBIv2:G8:17953872:17955081:1 gene:PRUPE_8G178200 transcript:ONH92482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTIDSKFSEYGMGATESELPVHDKQLSVPVKKTALRDLQNDIRVAMPNSFGNSPLLKDGGHISNAPRVSGTKRPLPEPECPMSLPQHQLPSSSAANGHLVYVRRKSEADVVRSSTCDNASINADCQVSRTLSHHEASTRPSHHETSTRPSHQEASARPIFQTKESKVYCIPAFAPFPMSASTIQPGKPSVSAPHCKSGIRIAPSGSNPLASATQTLAGSKGLRNLHWEARCHQLQLLLRKLDQSEQEDYLHMLRSLSSVDLSRHAVELEKRSIQLSLEEAPKIHRLFCWK >ONH92483 pep chromosome:Prunus_persica_NCBIv2:G8:17953677:17956481:1 gene:PRUPE_8G178200 transcript:ONH92483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTIDSKFSEYGMGATESELPVHDKQLSVPVKKTALRDLQNDIRVAMPNSFGNSPLLKDGGHISNAPRVSGTKRPLPEPECPMSLPQHQLPSSSAANGHLVYVRRKSEADVVRSSTCDNASINADCQVSRTLSHHEASTRPSHHETSTRPSHQEASARPIFQTKESKVYCIPAFAPFPMSASTIQPGKPSVSAPHCKSGIRIAPSGSNPLASATQTLAGSKGLRNLHWEARCHQLQLLLRKLDQSEQEDYLHMLRSLSSVDLSRHAVELEKRSIQLSLEEAPKIHRLFCWK >ONH92480 pep chromosome:Prunus_persica_NCBIv2:G8:17952976:17956481:1 gene:PRUPE_8G178200 transcript:ONH92480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTIDSKFSEYGMGATESELPVHDKQLSVPVKKTALRDLQNDIRVAMPNSFGNSPLLKDGGHISNAPRVSGTKRPLPEPECPMSLPQHQLPSSSAANGHLVYVRRKSEADVVRSSTCDNASINADCQVSRTLSHHEASTRPSHHETSTRPSHQEASARPIFQTKESKVYCIPAFAPFPMSASTIQPGKPSVSAPHCKSGIRIAPSGSNPLASATQTLAGSKGLRNLHWEARCHQLQLLLRKLDQSEQEDYLHMLRSLSSVDLSRHAVELEKRSIQLSLEEAKELQRVGFLNVLGKPAKQFKVPSTHQDRSDK >ONH92479 pep chromosome:Prunus_persica_NCBIv2:G8:17952976:17956480:1 gene:PRUPE_8G178200 transcript:ONH92479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTIDSKFSEYGMGATESELPVHDKQLSVPVKKTALRDLQNDIRVAMPNSFGNSPLLKDGGHISNAPRVSGTKRPLPEPECPMSLPQHQLPSSSAANGHLVYVRRKSEADVVRSSTCDNASINADCQVSRTLSHHEASTRPSHHETSTRPSHQEASARPIFQTKESKVYCIPAFAPFPMSASTIQPGKPSVSAPHCKSGIRIAPSGSNPLASATQTLAGSKGLRNLHWEARCHQLQLLLRKLDQSEQEDYLHMLRSLSSVDLSRHAVELEKRSIQLSLEEAKELQRVGFLNVLGKPAKQFKVPSTHQDRSDK >ONH92481 pep chromosome:Prunus_persica_NCBIv2:G8:17952976:17956476:1 gene:PRUPE_8G178200 transcript:ONH92481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTIDSKFSEYGMGATESELPVHDKQLSVPVKKTALRDLQNDIRVAMPNSFGNSPLLKDGGHISNAPRVSGTKRPLPEPECPMSLPQHQLPSSSAANGHLVYVRRKSEADVVRSSTCDNASINADCQVSRTLSHHEASTRPSHHETSTRPSHQEASARPIFQTKESKVYCIPAFAPFPMSASTIQPGKPSVSAPHCKSGIRIAPSGSNPLASATQTLAGSKGLRNLHWEARCHQLQLLLRKLDQSEQEDYLHMLRSLSSVDLSRHAVELEKRSIQLSLEEAKELQRVGFLNVLGKPAKQFKVPSTHQDRSDK >ONH92484 pep chromosome:Prunus_persica_NCBIv2:G8:17953872:17955081:1 gene:PRUPE_8G178200 transcript:ONH92484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTIDSKFSEYGMGATESELPVHDKQLSVPVKKTALRDLQNDIRVAMPNSFGNSPLLKDGGHISNAPRVSGTKRPLPEPECPMSLPQHQLPSSSAANGHLVYVRRKSEADVVRSSTCDNASINADCQVSRTLSHHEASTRPSHHETSTRPSHQEASARPIFQTKESKVYCIPAFAPFPMSASTIQPGKPSVSAPHCKSGIRIAPSGSNPLASATQTLAGSKGLRNLHWEARCHQLQLLLRKLDQSEQEDYLHMLRSLSSVDLSRHAVELEKRSIQLSLEEAPKIHRLFCWK >ONH91696 pep chromosome:Prunus_persica_NCBIv2:G8:15355919:15357328:-1 gene:PRUPE_8G130900 transcript:ONH91696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGHMIPTIDMAKLFASKGIKTTIISTPHNLSLFSKAIERSKLSGFEIGVIALKFPVVEVGLPEGCESAHMVETQEELQKFLKATTLLDQQLEQLLKDHQPNCLVADIFFPWATDVAAKFGIPRLVFHGTNFISLCVSHHLMKMDLSIVSDSEPLVIPNLPHEHKLAGNQIPDFMKQESELGSFAKAAAESEWKSHGVLVNSFYELEPAYADHYRDFLGIKAWHIGPTFLCNKEIEDKANRGPKASLDEHECLKWLSSKEPNSVIYVSFGSVVKFDDAQLLEIALGLEASGQQFIWVVKKEKSDQENKEDWLPEGFEDRVEGRGLVIRGWAPQVPILEHQATGGFVTHCGWNSTMEAVTAGVPMATWPAFADQFYNEKLVTEILGIGVRVVEGAKKWARFGGDRVKKVNIEKAVTEVMVGEEAEEMRSRAKLLGEMARKSVEEGGSSYKDLNALIQELVLHRIAPPQS >ONH92327 pep chromosome:Prunus_persica_NCBIv2:G8:17393840:17396704:1 gene:PRUPE_8G168800 transcript:ONH92327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNETGDICDVGPFRGLILSSPHVKELSQTSAGGFLSSITSRANEIASSVLATIRSQSNKSNHGNETSVDTGNSGSTGDMSAESTADTHHAVNGSYKIEENHNGIVNVDLEHQDGDHQDEDGDVDRELDSKPSFKRSSSSNKKDESQVLGMKQKYELIDLSPDARPLLVFINKRSLAQRGNSLRQRLNILLNPVQL >ONH92130 pep chromosome:Prunus_persica_NCBIv2:G8:16764806:16765980:1 gene:PRUPE_8G157000 transcript:ONH92130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNCLGQSDNENDTQGGSEKRFDRRTRLDENGKKGAKKTSKRNFERNLSLITPQNEPGLDRIQKPDKERTKSPMVQKKIVRLRGVDSTGRKALVSPKTPCQLSVIKETSWTNIRPKKAANPCSASPATNTPSRSLSRGRRPATIPKEPHFHSIHVPKNCMGLHKENELMDAQQN >ONH93417 pep chromosome:Prunus_persica_NCBIv2:G8:20592255:20592718:-1 gene:PRUPE_8G231000 transcript:ONH93417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YRRPEPQRVPCIVVYSAAWCLIRAPLFDGRFVCAVEAIENFSNRIEKSWLILRHSGLVDWTVPVFQCMKTLNLQ >ONH93416 pep chromosome:Prunus_persica_NCBIv2:G8:20591167:20592718:-1 gene:PRUPE_8G231000 transcript:ONH93416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YRRPEPQRVPCIVVYSAAWCLIRAPLFDGRFVCAVEAIENFSNRIEKSWLILSGLVDWTVPVFQCMKTLNLQ >ONH92296 pep chromosome:Prunus_persica_NCBIv2:G8:17295126:17297498:1 gene:PRUPE_8G167200 transcript:ONH92296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCVAVVGHQNNPLYIQSFTDADDALKLHHIVHCSLDVVDERVNNPKKAGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVKDADVRNFFRRFHAAYVDAISNPFHEPGKKITSKTFAERVSTIVKSFGLSSTG >ONH89603 pep chromosome:Prunus_persica_NCBIv2:G8:296993:302505:1 gene:PRUPE_8G003600 transcript:ONH89603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEHMKNAKGQICSLILEKQRKIASLESDSSTLIKTLELIEQERTNLSSNLIEKSTYYMKVREDINAKLQQHQDWVYSHHTHMELGEHGMVKERSDEQRGKACFDNHLSMGNQGNDARKNLMATLDSAKAKLDEILKMKSELAIENRKMKQAVEQANCRENDFKPELRAMDVNTLEEEYDALLSDKAGVTEYLKSLQDQIEKLKEISHVVKCACGEEYKVVVDFCV >ONH89601 pep chromosome:Prunus_persica_NCBIv2:G8:297541:302121:1 gene:PRUPE_8G003600 transcript:ONH89601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIDVEDQAAKVSVEEQMQLTTIQTLESDLNSAISETKRFMEDIEHMKNAKGQICSLILEKQRKIASLESDSSTLIKTLELIEQERTNLSSNLIEKSTYYMKVREDINAKLQQHQDWVYSHHTHMELGEHGMVKERSDEQRGKACFDNHLSMGNQGNDARKNLMATLDSAKAKLDEILKMKSELAIENRKMKQAVEQANCRENDFKPELRAMDVNTLEEEYDALLSDKAGVTEYLKSLQDQIEKLKEISHVVKCACGEEYKVVVDFCV >ONH89599 pep chromosome:Prunus_persica_NCBIv2:G8:296993:302505:1 gene:PRUPE_8G003600 transcript:ONH89599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYLQYMKTLRSQMNDVEDQAAKVSVEEQMQLTTIQTLESDLNSAISETKRFMEDIEHMKNAKGQICSLILEKQRKIASLESDSSTLIKTLELIEQERTNLSSNLIEKSTYYMKVREDINAKLQQHQDWVYSHHTHMELGEHGMVKERSDEQRGKACFDNHLSMGNQGNDARKNLMATLDSAKAKLDEILKMKSELAIENRKMKQAVEQANCRENDFKPELRAMDVNTLEEEYDALLSDKAGVTEYLKSLQDQIEKLKEISHVVKCACGEEYKVVVDFCV >ONH89604 pep chromosome:Prunus_persica_NCBIv2:G8:298121:302505:1 gene:PRUPE_8G003600 transcript:ONH89604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEHMKNAKGQICSLILEKQRKIASLESDSSTLIKTLELIEQERTNLSSNLIEKSTYYMKVREDINAKLQQHQDWVYSHHTHMELGEHGMVKERSDEQRGKACFDNHLSMGNQGNDARKNLMATLDSAKAKLDEILKMKSELAIENRKMKQAVEQANCRENDFKPELRAMDVNTLEEEYDALLSDKAGVTEYLKSLQDQIEKLKVTVYQVSPWCLKITESNNHVII >ONH89600 pep chromosome:Prunus_persica_NCBIv2:G8:296993:302505:1 gene:PRUPE_8G003600 transcript:ONH89600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYLQYMKTLRSQMNDVEDQAAKVSVEEQMQLTTIQTLESDLNSAISETKRFMEDIEHMKNAKGQICSLILEKQRKIASLESDSSTLIKTLELIEQERTNLSSNLIEKSTYYMKVREDINAKLQQHQDWVYSHHTHMELGEHGMVKERSDEQRGKACFDNHLSMGNQGNDARKNLMATLDSAKAKLDEILKMKSELAIENRKMKQAVEQANCRENDFKPELRAMDVNTLEEEYDALLSDKAGVTEYLKSLQDQIEKLKVTVYQVSPWCLKITESNNHVII >ONH89602 pep chromosome:Prunus_persica_NCBIv2:G8:298121:302505:1 gene:PRUPE_8G003600 transcript:ONH89602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEHMKNAKGQICSLILEKQRKIASLESDSSTLIKTLELIEQERTNLSSNLIEKSTYYMKVREDINAKLQQHQDWVYSHHTHMELGEHGMVKERSDEQRGKACFDNHLSMGNQGNDARKNLMATLDSAKAKLDEILKMKSELAIENRKMKQAVEQANCRENDFKPELRAMDVNTLEEEYDALLSDKAGVTEYLKSLQDQIEKLKEISHVVKCACGEEYKVVVDFCV >ONH91013 pep chromosome:Prunus_persica_NCBIv2:G8:12158677:12161381:-1 gene:PRUPE_8G088500 transcript:ONH91013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATFLHLRCLLFLFCLLSHPKAGAVDERQLLLKFKSTFENPSTNIILNTWNSSNPVCSFSGVVCNGKKYVREIDLTDQNLSGFLVLNDICQLKFLEKLVLRNNAFHPRLFPSEVFNLKNLTLLDLANCSLQGPVPKSIGNLSELTILELSYNNMVGEIPSEVGKLTKLWQLELYGNHLNGTLPFGLRNLTNLENFDLYENNLSGEVPAEFGEFKKLVDLSLYKNMLTGPLPQKLGPWSKVDCIDLSENFLTGTIPPDMCKMGTMNNLLFVQNKLSSEITQNYAKCTTLKRFRVHNNLLYGVVPPGIWGLPHAEKSLTLDRIDLKVRLLLISEMLGRLLCYEISKATSLVSIVLDNNRFSGRIPRSLDDLKHLGVLYLQSNMFSASIPKSLGRCFLLSDVNMAHNLLSGEIPSSLGSLPSLNSLNLSHNQLSGQIPEKLASLMLRILDLTHNRLTGVIPKTLSIAAYKSSFSGNPGLCSMYMSSFPRCSPGSGLSKDVRIVIICLSVGSAILLVLLICTLFLKKRKDEERTLKEESWELNSFHVLSFTQDEILDSIRQENLIGRGGSGNVYRVLLADGKELAVKRIRNTDPSGGKSKEFDAEVATLSSIRHNNVVKLYCSITSEGSSFLVYEYLPNGNLWDRLHTSEDMKLAWEPRYEIAVGAARGLEYLHHCLERPMMHRDVKSSNILLDELLKPRITDFGLAKIVEASAGRESTHVVAGTHGYIAPEYGYTYRVNEKSDVYSFGVVLMELVTGKKPMEPEFGETNNIVSWACSMHSSRESIPSMVDSYLPEACKEEAIKVLRIAMLCTDRLPERRPSMRTVVRMLEEAHELGLQD >ONH93980 pep chromosome:Prunus_persica_NCBIv2:G8:22196634:22203873:1 gene:PRUPE_8G264600 transcript:ONH93980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSMSVSLECVNVCKLSKGDGSGRYDCSVLSCAWKAPRVLTGFLASTAHPPQCSWLPYARNGRRNRINNRCEPCNIGGWYSAEASDFVVLGRLFKSGLLNVACKRWHLQCSSSLSSDALNEVSPEKLWEDLKPTISYLSPKELELVHNALKLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIASGLLHDTVEDTNVVTFERIEEEFGATVRHIVEGETKVSKLGKLKCKSEQDSVQDVKADDLRQMLLAMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSSIARETLQVFAPLAKLLGMYQIKLELENLSFMYTNAEDYAKIKRRVADLYKEHGRELVEANKILMKKIEDDEFLELMTVETEVRVVCKEPYSIYKAVLKSKGSINEVNQIAQLRIVIKPKPSLGVGPLCTPQQICYHVLGLVHGIWTPIPRTMKDYIATPKPNGYQSLHTTVIPFLYESMLRLEVQIRTEEMDLIAQRGIASHYSGRGFVTGFVGRTIPYGRSSRGKTVCLNNANIALRIGWLNAIREWQEEFVGNMSSREFVETITRDLLGSRVFVFTPRGEIKNLPKGATVIDYAYMIHTEIGNKMVAAKVNGNLVSPMHVLANAEVVEIITYNSLTGKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSAAEITADKVNDFIADSEEESEEEELQKASKGYKPIWEKMMVNVVELSLPERSSEDPFQIRNGSAGVSKVNGKHNKNVHHVSLKAEGEMLSQGNGVARMLQANIPMCKEALPSLESWQASKVASWHSIEGHSIQWFCVVSVDRKGMMAEVTTALSAVGITICSCVAEIDKERGMAVMLFHVEGSAESLVRACSSIDVILGVLGWSTGCSWPSSVDNPRYLEC >ONH93478 pep chromosome:Prunus_persica_NCBIv2:G8:20795590:20797054:-1 gene:PRUPE_8G234600 transcript:ONH93478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRKRFSYGKIYVAYGFLMSLIGNGNFATALSITVTDLECVSEQVLYEGDTVSGNFVAIDHDIFWSSDHPGIDFTAMTPRGIIVFSLKGTSGDKFEFKAPQSGIYKFCFRNPVSTPETVSFYIHVGHIPNEHDLARDEHMDPLNIKIAELREALESITTEQKYLKARDARHRYTNVSTKKRVIYFTLAEYIVFAAASALQVVYIRNLFSKTVGYNRV >ONH92186 pep chromosome:Prunus_persica_NCBIv2:G8:16950817:16951566:1 gene:PRUPE_8G161100 transcript:ONH92186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPKVFFDMTIGGQPAGRIVMELFADTTPRTAENFRALCTGEKGAGRSGKPLHYKGSSFHRVIPGFMCQGGDFTAGNGTGGESIYGAKFADENFIKKHTGPGILSMANAGPGTNGSQFFVCTAKTEWLDGKHVVFGQVTEGLDVVKNIEKVGSSSGRTSRPVVVADCGQLS >ONH93192 pep chromosome:Prunus_persica_NCBIv2:G8:19931312:19936202:-1 gene:PRUPE_8G218500 transcript:ONH93192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKCSDSSTRSMMNPWVLHFQKLGLELKCSLCLSLLHQPTLLPCNHIFCNSCIPNSTHFGKECPLCKVQVADRDLRPAPFMENIVAIYKSLDASFCANLLQPISSDVRTVSPNISFAGKMAKESFDNGQGGNSNSGQSIYSSGANERVWVPCSLNRSVADVIDTNCKVDKCVMPIDGFNGVGNPNLPPSSQIRAGGLEECMTIERDMNQVAQSLPDSPPSFGDTKCSDNDSSDRHREQISESSLVRKSISEIDDSRTGLKRHDSSATENDGHLRDLKRQKNLNFEPVSTTNSELEHGFRTSSNTICAFCQSSTISEVTGPILHYANEKLVVGDEAAVSNAIPVHRICIDWAPQVYFVGESVKKLKAEVARGAKLKCSKCGLKGAALGCFVKSCRRSYHVTCAIGISKCRWDRENFLLLCPAHCSVKFPSEKSNSEIPKICPLSNSWVASNGAKEWILCPSGLSSEEKLLLIKFAKMNGGTVSKIWRPEVTHVIAAVDEDGAYVRTLKTCMAILAGRWILKIDWVKACMEAMHHVDEEPYEVSLDNYGCHDGPKTGRLRALNKDPKLFNGLSFYFAGDFVLDRQEDLEDLIIAAGGTVFDSTEEALEQSSLGQAASRTLVVYNLDPQEGCKLGEEVSILWQRSNDAQDIAAKIGSQIVGHTWLLESIARCELQPLVC >ONH93193 pep chromosome:Prunus_persica_NCBIv2:G8:19931312:19935719:-1 gene:PRUPE_8G218500 transcript:ONH93193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIVAIYKSLDASFCANLLQPISSDVRTVSPNISFAGKMAKESFDNGQGGNSNSGQSIYSSGANERVWVPCSLNRSVADVIDTNCKVDKCVMPIDGFNGVGNPNLPPSSQIRAGGLEECMTIERDMNQVAQSLPDSPPSFGDTKCSDNDSSDRHREQISESSLVRKSISEIDDSRTGLKRHDSSATENDGHLRDLKRQKNLNFEPVSTTNSELEHGFRTSSNTICAFCQSSTISEVTGPILHYANEKLVVGDEAAVSNAIPVHRICIDWAPQVYFVGESVKKLKAEVARGAKLKCSKCGLKGAALGCFVKSCRRSYHVTCAIGISKCRWDRENFLLLCPAHCSVKFPSEKSNSEIPKICPLSNSWVASNGAKEWILCPSGLSSEEKLLLIKFAKMNGGTVSKIWRPEVTHVIAAVDEDGAYVRTLKTCMAILAGRWILKIDWVKACMEAMHHVDEEPYEVSLDNYGCHDGPKTGRLRALNKDPKLFNGLSFYFAGDFVLDRQEDLEDLIIAAGGTVFDSTEEALEQSSLGQAASRTLVVYNLDPQEGCKLGEEVSILWQRSNDAQDIAAKIGSQIVGHTWLLESIARCELQPLVC >ONH90156 pep chromosome:Prunus_persica_NCBIv2:G8:3578744:3584747:1 gene:PRUPE_8G037800 transcript:ONH90156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQEPLQLDKIAARLQRFSYGLNAAHCDPVLVAHKVCAGVYNGVTTTQLDELAAETTASMATNHPDYALLAARISVSNLHKSTKESFSETIRVMYNFFDQRSGKKAPLVADDVHEIIMKNASRLDSEIIYERDFDYDYFGFKTLERSYLLKVNEKIVERPQHMLMRVSVGIHKDDIESALQTYHLMSQRWFIHATPTLFNGGTPQPQLSSCFLMCMKDDSIQGIFDTLKECAIISKSAGGLGISIHNIRATGSYIRGTNGTSNGIVPMLRVFNSTARYVDQGGGRRKGAFSIYLEPWHADIFDLLDLKKNHGKEEHRARDLFYALWIPDLFMERVQGDGQWSLFCPNEAPGLADVWGEKFEKLYTQYEDEGKAKKVIPARKLWFEMLNSQIETGTPFMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRYVKEKASIDTNSCKMIGSRDSKNRYFDFDKLAKVTKIVTANLNKIIDVNYYPIETAKRSNLQHRPVGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALRASTDLAALDGPYETYHGSPVSRGILQVDMWGVTPSDRWDWGALRQMISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSHAVKSKIIYENGSISNIPEIPEELKAIYKSVWEIKQKTLVDMAADRGCYIDQSQSLNIHMDQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAADAIKFTVDISALNNDNNNKVDGDVNADMPEVCFLQNREECMACGS >ONH90157 pep chromosome:Prunus_persica_NCBIv2:G8:3578744:3584747:1 gene:PRUPE_8G037800 transcript:ONH90157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQEPLQLDKIAARLQRFSYGLNAAHCDPVLVAHKVCAGVYNGVTTTQLDELAAETTASMATNHPDYALNASRLDSEIIYERDFDYDYFGFKTLERSYLLKVNEKIVERPQHMLMRVSVGIHKDDIESALQTYHLMSQRWFIHATPTLFNGGTPQPQLSSCFLMCMKDDSIQGIFDTLKECAIISKSAGGLGISIHNIRATGSYIRGTNGTSNGIVPMLRVFNSTARYVDQGGGRRKGAFSIYLEPWHADIFDLLDLKKNHGKEEHRARDLFYALWIPDLFMERVQGDGQWSLFCPNEAPGLADVWGEKFEKLYTQYEDEGKAKKVIPARKLWFEMLNSQIETGTPFMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRYVKEKASIDTNSCKMIGSRDSKNRYFDFDKLAKVTKIVTANLNKIIDVNYYPIETAKRSNLQHRPVGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALRASTDLAALDGPYETYHGSPVSRGILQVDMWGVTPSDRWDWGALRQMISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSHAVKSKIIYENGSISNIPEIPEELKAIYKSVWEIKQKTLVDMAADRGCYIDQSQSLNIHMDQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAADAIKFTVDISALNNDNNNKVDGDVNADMPEVCFLQNREECMACGS >ONH90155 pep chromosome:Prunus_persica_NCBIv2:G8:3578744:3584747:1 gene:PRUPE_8G037800 transcript:ONH90155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQEPLQLDKIAARLQRFSYGLNAAHCDPVLVAHKVCAGVYNGVTTTQLDELAAETTASMATNHPDYALLAARISVSNLHKSTKESFSETIRVMYNFFDQRSGKKAPLVADDVHEIIMKNASRLDSEIIYERDFDYDYFGFKTLERSYLLKVNEKIVERPQHMLMRVSVGIHKDDIESALQTYHLMSQRWFIHATPTLFNGGTPQPQLSSCFLMCMKDDSIQGIFDTLKECAIISKSAGGLGISIHNIRATGSYIRGTNGTSNGIVPMLRVFNSTARYVDQGGGRRKGAFSIYLEPWHADIFDLLDLKKNHGKEEHRARDLFYALWIPDLFMERVQGDGQWSLFCPNEAPGLADVWGEKFEKLYTQYEDEGKAKKVIPARKLWFEMLNSQIETGTPFMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRYVKEKQASIDTNSCKMIGSRDSKNRYFDFDKLAKVTKIVTANLNKIIDVNYYPIETAKRSNLQHRPVGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALRASTDLAALDGPYETYHGSPVSRGILQVDMWGVTPSDRWDWGALRQMISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSHAVKSKIIYENGSISNIPEIPEELKAIYKSVWEIKQKTLVDMAADRGCYIDQSQSLNIHMDQPDLGKLTSLHFYAWSKDNNNKVDGDVNADMPEVCFLQNREECMACGS >ONH90154 pep chromosome:Prunus_persica_NCBIv2:G8:3578744:3584786:1 gene:PRUPE_8G037800 transcript:ONH90154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQEPLQLDKIAARLQRFSYGLNAAHCDPVLVAHKVCAGVYNGVTTTQLDELAAETTASMATNHPDYALLAARISVSNLHKSTKESFSETIRVMYNFFDQRSGKKAPLVADDVHEIIMKNASRLDSEIIYERDFDYDYFGFKTLERSYLLKVNEKIVERPQHMLMRVSVGIHKDDIESALQTYHLMSQRWFIHATPTLFNGGTPQPQLSSCFLMCMKDDSIQGIFDTLKECAIISKSAGGLGISIHNIRATGSYIRGTNGTSNGIVPMLRVFNSTARYVDQGGGRRKGAFSIYLEPWHADIFDLLDLKKNHGKEEHRARDLFYALWIPDLFMERVQGDGQWSLFCPNEAPGLADVWGEKFEKLYTQYEDEGKAKKVIPARKLWFEMLNSQIETGTPFMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRYVKEKQASIDTNSCKMIGSRDSKNRYFDFDKLAKVTKIVTANLNKIIDVNYYPIETAKRSNLQHRPVGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALRASTDLAALDGPYETYHGSPVSRGILQVDMWGVTPSDRWDWGALRQMISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSHAVKSKIIYENGSISNIPEIPEELKAIYKSVWEIKQKTLVDMAADRGCYIDQSQSLNIHMDQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAADAIKFTVDISALNNDNNNKVDGDVNADMPEVCFLQNREECMACGS >ONH91864 pep chromosome:Prunus_persica_NCBIv2:G8:15872090:15873058:-1 gene:PRUPE_8G140400 transcript:ONH91864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGSGVAKSKMFLVREAKRVDHQRQFSKMKGAAEKISSRNESDSEETGSGSPSWMCNWVPHPRTGIYVPKGHEGVMDDVPKEAASLNQTFWLRNVDGVLEKPDPDTSPDHYYRYMHM >ONH93239 pep chromosome:Prunus_persica_NCBIv2:G8:20048389:20050058:-1 gene:PRUPE_8G220900 transcript:ONH93239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKFEMAHGTMNMIQSHEPWTNYPSPVVGFQNNPTSNPFPIPKPIENRFVTLERSELSQWVEHITKQLIDDLPEPAAAATTTTDTLPTSEDYFVPSSLSTDLITPSKISQRSYGDHDHELQWGNELQLHADNNIRARGMSKLDEHGLSLITLLFECAVAISVDNLPEAHRMLLELSQMASPYGPSCAERVVAYFAKAMTSRVINSWLGICSPLVNYKSIHSAFQVFNTISPFIKFAHFTSNQAILEAFHRRDRVHILDLDIMQGLQWPALFHILATRMEGPPQVRMTGVGTSSENLMETGKQLSNFAKRLGLSFEFHPIVRKIGDIDASMVQVRRGETLAVHWLQHSLYDATGPDWKTMRLIEELAPRIVTLVEQDMSQSGSFLDRFVGSLHYYSTMFDSLESYLPSDNPSRHNVEHCLFYREINNILAIGGPARSGEDKFRQWRSELGGRNGFMQVGMSANSMAQAQLILNMFPPTHGYSLVQGDGTIRLGWKDTSLYVASAWTCSHASR >ONH90214 pep chromosome:Prunus_persica_NCBIv2:G8:4114904:4115870:1 gene:PRUPE_8G040900 transcript:ONH90214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGADAPPCALIDPPLPGYKSKTTGIWLDKAGTNVDFVLDPNVNRGGIPLRSACECRWGTRSVIWDTYLEVYLLLIVIVGFLLFLCKRTYSRLKQRQLAGPKRPVVV >ONH91885 pep chromosome:Prunus_persica_NCBIv2:G8:15921478:15923325:-1 gene:PRUPE_8G141600 transcript:ONH91885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEQEQEARGLKSVAEAKCKDSNFKSALKYAKRAERLCPNLDGISSMVTAFKILRTASKTPDPNWYKILQVEPFAHTNTIKKNYKKLAFLLHPDKNPHAGSEEAFKLVSEAFRFLSDKLKRKEYDMRLRIRIQDEKIKEGGVGGLGSSVVVERETFWTSCSTCRLFHQFERRYLGHNLVCPSCRKSFKALEVESDENGGGENVKVRTSERLRNATDLASKGKIISNEGLGRRVSDSGEINGGSGGRGKSVSGGNGGEPFGWRLRRRMSSVGEVMERSKPKKAKTSEDMMTLAEMQSEMKKKAQEEKMKMKLKLQDKKDEREKEDKRERLRHNDLKKGKNFEVERRTISKKIKDLGSDKTRGLAVDRSSRVSKSGDLEIMAVEDSDFYDFDKDREERSFKKGQVWAIYDDDDGMPRHYGLIDEVVSVNPFEVKMSWLDLQNNGNEWLASWEKMGFHVSCGRFKVARKTPIYSVNIFSHVVNCERAAREIYRIYPKKGSVWALYNEAALDAEGSNMSVKDKRCYDIVVFLTTYSEMHGLSMGYLEKVDGFKTVFKRREIGSHAIRWLEKNDVRLVSHQIPARKLSGDEAPNLLKDCWELDPASLPPDLLTFGWRR >ONH91886 pep chromosome:Prunus_persica_NCBIv2:G8:15921213:15923892:-1 gene:PRUPE_8G141600 transcript:ONH91886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEQEQEARGLKSVAEAKCKDSNFKSALKYAKRAERLCPNLDGISSMVTAFKILRTASKTPDPNWYKILQVEPFAHTNTIKKNYKKLAFLLHPDKNPHAGSEEAFKLVSEAFRFLSDKLKRKEYDMRLRIRIQDEKIKEGGVGGLGSSVVVERETFWTSCSTCRLFHQFERRYLGHNLVCPSCRKSFKALEVESDENGGGENVKVRTSERLRNATDLASKGKIISNEGLGRRVSDSGEINGGSGGRGKSVSGGNGGEPFGWRLRRRMSSVGEVMERSKPKKAKTSEDMMTLAEMQSEMKKKAQEEKMKMKLKLQDKKDEREKEDKRERLRHNDLKKGKNFEVERRTISKKIKDLGSDKTRGLAVDRSSRVSKSGDLEIMAVEDSDFYDFDKDREERSFKKGQVWAIYDDDDGMPRHYGLIDEVVSVNPFEVKMSWLDLQNNGNEWLASWEKMGFHVSCGRFKVARKTPIYSVNIFSHVVNCERAAREIYRIYPKKGSVWALYNEAALDAEGSNMSVKDKRCYDIVVFLTTYSEMHGLSMGYLEKVDGFKTVFKRREIGSHAIRWLEKNDVRLVSHQIPARKLSGDEAPNLLKDCWELDPASLPPDLLTFGWRR >ONH91884 pep chromosome:Prunus_persica_NCBIv2:G8:15921207:15924040:-1 gene:PRUPE_8G141600 transcript:ONH91884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEQEQEARGLKSVAEAKCKDSNFKSALKYAKRAERLCPNLDGISSMVTAFKILRTASKTPDPNWYKILQVEPFAHTNTIKKNYKKLAFLLHPDKNPHAGSEEAFKLVSEAFRFLSDKLKRKEYDMRLRIRIQDEKIKEGGVGGLGSSVVVERETFWTSCSTCRLFHQFERRYLGHNLVCPSCRKSFKALEVESDENGGGENVKVRTSERLRNATDLASKGKIISNEGLGRRVSDSGEINGGSGGRGKSVSGGNGGEPFGWRLRRRMSSVGEVMERSKPKKAKTSEDMMTLAEMQSEMKKKAQEEKMKMKLKLQDKKDEREKEDKRERLRHNDLKKGKNFEVERRTISKKIKDLGSDKTRGLAVDRSSRVSKSGDLEIMAVEDSDFYDFDKDREERSFKKGQVWAIYDDDDGMPRHYGLIDEVVSVNPFEVKMSWLDLQNNGNEWLASWEKMGFHVSCGRFKVARKTPIYSVNIFSHVVNCERAAREIYRIYPKKGSVWALYNEAALDAEGSNMSVKDKRCYDIVVFLTTYSEMHGLSMGYLEKVDGFKTVFKRREIGSHAIRWLEKNDVRLVSHQIPARKLSGDEAPNLLKDCWELDPASLPPDLLTFGWRR >ONH91882 pep chromosome:Prunus_persica_NCBIv2:G8:15921206:15923955:-1 gene:PRUPE_8G141600 transcript:ONH91882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEQEQEARGLKSVAEAKCKDSNFKSALKYAKRAERLCPNLDGISSMVTAFKILRTASKTPDPNWYKILQVEPFAHTNTIKKNYKKLAFLLHPDKNPHAGSEEAFKLVSEAFRFLSDKLKRKEYDMRLRIRIQDEKIKEGGVGGLGSSVVVERETFWTSCSTCRLFHQFERRYLGHNLVCPSCRKSFKALEVESDENGGGENVKVRTSERLRNATDLASKGKIISNEGLGRRVSDSGEINGGSGGRGKSVSGGNGGEPFGWRLRRRMSSVGEVMERSKPKKAKTSEDMMTLAEMQSEMKKKAQEEKMKMKLKLQDKKDEREKEDKRERLRHNDLKKGKNFEVERRTISKKIKDLGSDKTRGLAVDRSSRVSKSGDLEIMAVEDSDFYDFDKDREERSFKKGQVWAIYDDDDGMPRHYGLIDEVVSVNPFEVKMSWLDLQNNGNEWLASWEKMGFHVSCGRFKVARKTPIYSVNIFSHVVNCERAAREIYRIYPKKGSVWALYNEAALDAEGSNMSVKDKRCYDIVVFLTTYSEMHGLSMGYLEKVDGFKTVFKRREIGSHAIRWLEKNDVRLVSHQIPARKLSGDEAPNLLKDCWELDPASLPPDLLTFGWRR >ONH91881 pep chromosome:Prunus_persica_NCBIv2:G8:15921213:15923850:-1 gene:PRUPE_8G141600 transcript:ONH91881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEQEQEARGLKSVAEAKCKDSNFKSALKYAKRAERLCPNLDGISSMVTAFKILRTASKTPDPNWYKILQVEPFAHTNTIKKNYKKLAFLLHPDKNPHAGSEEAFKLVSEAFRFLSDKLKRKEYDMRLRIRIQDEKIKEGGVGGLGSSVVVERETFWTSCSTCRLFHQFERRYLGHNLVCPSCRKSFKALEVESDENGGGENVKVRTSERLRNATDLASKGKIISNEGLGRRVSDSGEINGGSGGRGKSVSGGNGGEPFGWRLRRRMSSVGEVMERSKPKKAKTSEDMMTLAEMQSEMKKKAQEEKMKMKLKLQDKKDEREKEDKRERLRHNDLKKGKNFEVERRTISKKIKDLGSDKTRGLAVDRSSRVSKSGDLEIMAVEDSDFYDFDKDREERSFKKGQVWAIYDDDDGMPRHYGLIDEVVSVNPFEVKMSWLDLQNNGNEWLASWEKMGFHVSCGRFKVARKTPIYSVNIFSHVVNCERAAREIYRIYPKKGSVWALYNEAALDAEGSNMSVKDKRCYDIVVFLTTYSEMHGLSMGYLEKVDGFKTVFKRREIGSHAIRWLEKNDVRLVSHQIPARKLSGDEAPNLLKDCWELDPASLPPDLLTFGWRR >ONH91883 pep chromosome:Prunus_persica_NCBIv2:G8:15921023:15924060:-1 gene:PRUPE_8G141600 transcript:ONH91883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEQEQEARGLKSVAEAKCKDSNFKSALKYAKRAERLCPNLDGISSMVTAFKILRTASKTPDPNWYKILQVEPFAHTNTIKKNYKKLAFLLHPDKNPHAGSEEAFKLVSEAFRFLSDKLKRKEYDMRLRIRIQDEKIKEGGVGGLGSSVVVERETFWTSCSTCRLFHQFERRYLGHNLVCPSCRKSFKALEVESDENGGGENVKVRTSERLRNATDLASKGKIISNEGLGRRVSDSGEINGGSGGRGKSVSGGNGGEPFGWRLRRRMSSVGEVMERSKPKKAKTSEDMMTLAEMQSEMKKKAQEEKMKMKLKLQDKKDEREKEDKRERLRHNDLKKGKNFEVERRTISKKIKDLGSDKTRGLAVDRSSRVSKSGDLEIMAVEDSDFYDFDKDREERSFKKGQVWAIYDDDDGMPRHYGLIDEVVSVNPFEVKMSWLDLQNNGNEWLASWEKMGFHVSCGRFKVARKTPIYSVNIFSHVVNCERAAREIYRIYPKKGSVWALYNEAALDAEGSNMSVKDKRCYDIVVFLTTYSEMHGLSMGYLEKVDGFKTVFKRREIGSHAIRWLEKNDVRLVSHQIPARKLSGDEAPNLLKDCWELDPASLPPDLLTFGWRR >ONH92150 pep chromosome:Prunus_persica_NCBIv2:G8:16820611:16821438:-1 gene:PRUPE_8G158500 transcript:ONH92150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKTRGRQKVDMVKMENDSHLQVTFSKRRSGLFKKASELCTLCGAEIAIIVFSPGKKVFCFGHPGIETLLDRFEGTVPRQILNSETQKLIDGYRIAGLCEITDELTRVLEVLEEERKWGDVLTQSMKANKEGKWWQGPVEEMELDQLEALKASLLELKSKVADEAKLRLSAAESANLEHNPNFFVGSSSSSAAAQNANPAHNNFFVGSSSSLAAAGPSGLQGLVNPDFNNVGFNFNGIDHVMQAPHQPNNVGFNGNPNAMPLSGWNPNLGGGFL >ONH91794 pep chromosome:Prunus_persica_NCBIv2:G8:15680242:15681087:-1 gene:PRUPE_8G136900 transcript:ONH91794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALRIYAEVLRLVRRLPEDTRPYYAKYARENFVNYREVEAGDDQALHELFHRAYKHSLWVLNKYSVDESAADKLRKICSA >ONH90786 pep chromosome:Prunus_persica_NCBIv2:G8:10822195:10828327:-1 gene:PRUPE_8G074200 transcript:ONH90786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLELEPPNSNTTPKPLDSDAEDDDVSPIEQVRLTVTNTDDPTLPVWTFRMWFLGLLSCALLSFLNQFFSYRTEPLIITQITVQVSTLPIGNFMAKVLPTNKFHIPGFGSRSFSLNPGPFNIKEHVLITIFANAGSAFGLGSAYAVGIVNIIKAFYRRKISLLASWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPNTLVQVSLFRALHEKDEQRMSRAKFFLIALVCSFTWYAFPGYLFTTLTNISWVCWLFSKSVTAQQIGSGMRGLGVGALTLDWSAVASFLFSPLISPFFVIVNVFAGYALILYFAIPIAYWGLDLYTAQREILDRYRASYKGKEDIHTRLMRRYKDIPSWWFYTLLIITVAVSLVLCIFLNDQVQMPWWGLLFAAAMAFAFTLPISIITATTNQTPGLNIITEYIMGIILPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTVNLAVAHWLLNSITNICVDDPHSDSPWTCPGDRVFFDASVIWGLAGPKRIFGTLGIYGAINWFFLGGAVGPVIVWVLHKAYPKQNWIRLINLPVLLGATASMPPATALNYNSWIIVGTIFNFFVFRYRKKWWQRYNYVLSAALDAGLAFMAVLLYLSVGMENKSVAWWGTNGEHCTLATCPTAKGIVVDGCPVN >ONH90789 pep chromosome:Prunus_persica_NCBIv2:G8:10822195:10826827:-1 gene:PRUPE_8G074200 transcript:ONH90789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAKFFLIALVCSFTWYAFPGYLFTTLTNISWVCWLFSKSVTAQQIGSGMRGLGVGALTLDWSAVASFLFSPLISPFFVIVNVFAGYALILYFAIPIAYWGLDLYTAQRFPIFSSHLFTAQGQDYNITAIVNENFELDIPKYKEQGRIHLSTFFALSYGFGFATIASTLTHVALFYGREILDRYRASYKGKEDIHTRLMRRYKDIPSWWFYTLLIITVAVSLVLCIFLNDQVQMPWWGLLFAAAMAFAFTLPISIITATTNQTPGLNIITEYIMGIILPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTVNLAVAHWLLNSITNICVDDPHSDSPWTCPGDRVFFDASVIWGLAGPKRIFGTLGIYGAINWFFLGGAVGPVIVWVLHKAYPKQNWIRLINLPVLLGATASMPPATALNYNSWIIVGTIFNFFVFRYRKKWWQRYNYVLSAALDAGLAFMAVLLYLSVGMENKSVAWWGTNGEHCTLATCPTAKGIVVDGCPVN >ONH90787 pep chromosome:Prunus_persica_NCBIv2:G8:10823067:10828195:-1 gene:PRUPE_8G074200 transcript:ONH90787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLELEPPNSNTTPKPLDSDAEDDDVSPIEQVRLTVTNTDDPTLPVWTFRMWFLGLLSCALLSFLNQFFSYRTEPLIITQITVQVSTLPIGNFMAKVLPTNKFHIPGFGSRSFSLNPGPFNIKEHVLITIFANAGSAFGLGSAYAVGIVNIIKAFYRRKISLLASWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPNTLVQVSLFRALHEKDEQRMSRAKFFLIALVCSFTWYAFPGYLFTTLTNISWVCWLFSKSVTAQQIGSGMRGLGVGALTLDWSAVASFLFSPLISPFFVIVNVFAGYALILYFAIPIAYWGLDLYTAQRFPIFSSHLFTAQGQDYNITAIVNENFELDIPKYKEQGRIHLSTFFALSYGFGFATIASTLTHVALFYGREILDRYRASYKGKEDIHTRLMRRYKDIPSWWFYTLLIITVAVSLVLCIFLNDQVQMPWWGLLFAAAMAFAFTLPISIITATTNQTPGLNIITEYIMGIILPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTVNLAVAHWLLNSITNICVDDPHSDSPWTCPGDRVFFDASVIWGLAGPKRIFGTLGIYGAINWFFLGGAVGPVIVWVLHKAYPKQNWIRLINLPVLLGATASMPPATALNYNSWIIVGTIFNFFVFRYRKKWWQRYNYVLSAALDAGLAFMAVLLYLSVGMENKSVAWWGTNGEHCTLATCPTAKGIVVDGCPVN >ONH90788 pep chromosome:Prunus_persica_NCBIv2:G8:10822195:10828326:-1 gene:PRUPE_8G074200 transcript:ONH90788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAKFFLIALVCSFTWYAFPGYLFTTLTNISWVCWLFSKSVTAQQIGSGMRGLGVGALTLDWSAVASFLFSPLISPFFVIVNVFAGYALILYFAIPIAYWGLDLYTAQREILDRYRASYKGKEDIHTRLMRRYKDIPSWWFYTLLIITVAVSLVLCIFLNDQVQMPWWGLLFAAAMAFAFTLPISIITATTNQTPGLNIITEYIMGIILPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTVNLAVAHWLLNSITNICVDDPHSDSPWTCPGDRVFFDASVIWGLAGPKRIFGTLGIYGAINWFFLGGAVGPVIVWVLHKAYPKQNWIRLINLPVLLGATASMPPATALNYNSWIIVGTIFNFFVFRYRKKWWQRYNYVLSAALDAGLAFMAVLLYLSVGMENKSVAWWGTNGEHCTLATCPTAKGIVVDGCPVN >ONH90790 pep chromosome:Prunus_persica_NCBIv2:G8:10823067:10825498:-1 gene:PRUPE_8G074200 transcript:ONH90790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAKFFLIALVCSFTWYAFPGYLFTTLTNISWVCWLFSKSVTAQQIGSGMRGLGVGALTLDWSAVASFLFSPLISPFFVIVNVFAGYALILYFAIPIAYWGLDLYTAQRFPIFSSHLFTAQGQDYNITAIVNENFELDIPKYKEQGRIHLSTFFALSYGFGFATIASTLTHVALFYGREILDRYRASYKGKEDIHTRLMRRYKDIPSWWFYTLLIITVAVSLVLCIFLNDQVQMPWWGLLFAAAMAFAFTLPISIITATTNQTPGLNIITEYIMGIILPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTVNLAVAHWLLNSITNICVDDPHSDSPWTCPGDRVFFDASVIWGLAGPKRIFGTLGIYGAINWFFLGGAVGPVIVWVLHKAYPKQNWIRLINLPVLLGATASMPPATALNYNSWIIVGTIFNFFVFRYRKKWWQRYNYVLSAALDAGLAFMAVLLYLSVGMENKSVAWWGTNGEHCTLATCPTAKGIVVDGCPVN >ONH93094 pep chromosome:Prunus_persica_NCBIv2:G8:19617403:19618723:1 gene:PRUPE_8G212900 transcript:ONH93094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIVWGKAPEEIIYEILVRLPVKSLLGCTAVCKSWSCMIKSPTFIHTHLNNRLIESNHRNNDGVQLLLHNPEFELYSLYRDDDDPRASSSTLREYTDLDNPYQVYAERTRSRTWGSEFVGTCNGLVCLAAEDIDLTTLVWNPSIRKFVVLPKSGVTFCHGHGREARCAFGYDRRANDYKVLRRVSCFQEGKFISCQYEIWSLAKGSWKTLNTGNDRHRHERDIEQRDFDAGHPPAFVNGALHWVQVNVNTGNISVGSFDMSDEVFSKITIPPEAETQKCFDSDPHCVVSRYRESLAFFESSEERRESVGCGWLLHMTMWVMKEYGVAKSWDKLFAICLEGSICRLVGCRKSGDEVVLKLIVDNVQYRSVNSKTKQVKNLQIKGSCRYSVMDAFTESLVLLDQPNVFTY >ONH90264 pep chromosome:Prunus_persica_NCBIv2:G8:4489153:4489487:1 gene:PRUPE_8G043400 transcript:ONH90264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYGVLTIHPSFIVLIPYMQTVCQRPDDIGKYFPSPFDIAVKLISLTTGAVKPTISANGMQKKLKLKKV >ONH92302 pep chromosome:Prunus_persica_NCBIv2:G8:17315000:17319552:-1 gene:PRUPE_8G167600 transcript:ONH92302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTSVVSGPGGMIGPYSGGARKLYVGNLHTNIKEDDLLQMTFGPVELVQLAVDETNNCKGFGFVQFSRLEDARNALSLNGKLEIAGRLIKVSAVTDQAGMQGLGANAGDFDDDEGGGLSLNARSRAILMQKLDRSGSGSGIAGPLGTPAVNSTGVSLPMALPTAPLLGAAAVISPLVPPITAVPGIAGLGVAGLQIPTSALPSIDTIGVPSECLLLKNMFNPAVETEPNFDLDIKEDVQEECSKYRNLRHIFVDKNTAGHVYLRFENTQAAINARHVLHGITQSLF >ONH93201 pep chromosome:Prunus_persica_NCBIv2:G8:19951580:19954143:1 gene:PRUPE_8G218900 transcript:ONH93201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTMALQKHLVLFYVVVSFCAASCYSSGFQEVNSLHSFVDHEKESGYNSRAHPSNMNTIEGVKFMEFIKPRAQLFSSRKLERAAGSKSSSSVKTISVANFGAKGNGADDTRAFEKAWKAACSSNGAIVLVVPQKTYLVRPIEFSGPCKSHLTMQIYGTIEASDDRSVYKDVTHWLIFDNVQSLLVVGPGTINGNGNRWWENSCKRKPQPPCNEQAPTAVTFNKCNNLVVKNLKIQDAQQMHVRFQNCKNVEASHLTVTAPEDSPNTDGIHITNTKNITISSSVIGTGDDCISIVSGSQRVQATDITCGPGHGISIGSLGEDNANDHVSGVFVNGAKISGTSNGVRIKTWQGGSGSASNIVFQNVEMNDVTNPIIIDQNYCDHKNKDCTRQRSAVQVKNVLYQNIRGTSASTDAITFNCSQSVPCQGIVLQNIQLQNARAKCNNVKPAYKGAVSPRCSWGLVN >ONH92219 pep chromosome:Prunus_persica_NCBIv2:G8:17073388:17077025:-1 gene:PRUPE_8G163500 transcript:ONH92219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFASKRSSVASGSAIKWLGFVTAVWVQCISGNNYTFSNYSDALKTLMNLNQLELNSLSVAKDIGKAFGLLAGLASDKLPTWLILLIGSVEGLVGYGAQWLVVSERIKPLSYWQMCIFLCMGGNSTTWMNTAILVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDICAALFNNDPASFLLMLSIAPFAVCLTAMVFLREVPPSSSSSEDHQESKYFWVFNGVAVFVALYLLAYDFVPDPSKLFSHIFTVILLILLAAPLMIPAYAFFNTWASKSDRVVSESGADPETRTVEPLLSQAAAADDAQQKAEVADGDVVAVVRRRPVIGEDHTIFEAMTSLDFWVLFVSFLCGVGTGLAVMNNMGQIGLALGYVDVSIFVSLTSIWGFFGRIVSGMVSEYFIRKAGTPRPLWNAASQILMAVGYILMAIAMPGSLYIGSIVVGICYGIRLAITVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGILYDMQATTTSEGGNTCVGAHCYRLVFIIMAIACVVGFGLDILLSIRTKNLYKKIQTGKKSKRVANGTE >ONH91399 pep chromosome:Prunus_persica_NCBIv2:G8:14083251:14085075:-1 gene:PRUPE_8G111900 transcript:ONH91399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLRLFINVNARFYGDHVDYLSNELRFLHWPGCPLQTLPSTFNPRKLVELYMPCSRLSQLGEGFKRLQNLTSMNFRSCELLTKTPNISGIPNLQSLNLDYCRSLVEVHPSVGFHDKLVDLSLMCCHNLTLFPIIKSKSLEVLNLQFCRRLETFPDIGGKMDSLRYMFLHGSGIKELPASIVYLINLELLHLSSCENLTNLPPSIYELEHLNRICLRRSRKLVTFPNKVKSEDYVSTLSELDLTLCDFLVSIPKCITKFVNMRYLYLHGCKRLRDIPELPPKIVKLDASDCVSLERFSSLSNILKAKEQITIFFDHLLSSQKHGFQVVFPASFEALSTLFSCHKYVKERDEACEFLIEIPPNFKCQNQGLALYAAGENPQNKRPRYNGFVTKISVNQPGVEPYFTASDFRKNGSGHGIGSGHVWLCYIRFSEMFYRDDQITWPRSPFACRVNFLNLTLDSLCLKSFGVHLVMTQDDEDLSIFTEDGESESDDLEDANERFDGDNCIDLCEDEQDSEHDYFSCEDNEDGYFY >ONH94102 pep chromosome:Prunus_persica_NCBIv2:G8:22454261:22457028:-1 gene:PRUPE_8G270600 transcript:ONH94102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGEVPYKILTRGTRRRRRRRMTATSPCLSSNEKKHWWLSSRKIVEKYMRDARSLIATHEQSEIASAVGLLDAALAISPRLEQALELKARSLLYLRRFKDVADMLQDYIPSLKMASDDSASDSSSQPLSRERVKLLSSNTNSSSASPDRDPSFKCFSVSDLKKKVMAGLCKNCEKEGQWRYLVLGQACCHLGLMEDAMALLQTGKRLATAAFRRESICWSDDSFSLSNFHLSDDIISSANAPATPPRILTESETVTHLLSHIKLLLRRRTAAIAALDAGLYSEAIRHFTKIVDGRRGAPQGFLAECYMQRASAYRSAGRIAEAIADCNRTLALEPSCIQALDTRASLLETIRCLPDCLHDLEHLKLLYNAILRDRKLAGPTWKRHNVRYREIPGKLCVLTTKIQQLKQRVASGETGNVDYYALIGLRRGCSRSELERAHLLLCLRHKPDKATIFIDRCELADDRDVDSIRDKAKMSALLLYRLLQKGYSSVMTTIMEEEAAEKQRKKLLLHLEQLSSSGMQLQVTQTQESFIESSLPPLVVPILVIGIIIIVSTIISSIIIVCIRRHRKLKQQRRRSKGCFAETLRWLGICCRRLGLTAQLQLSMRH >ONH94103 pep chromosome:Prunus_persica_NCBIv2:G8:22454004:22457476:-1 gene:PRUPE_8G270600 transcript:ONH94103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSTGGLAVERRFKDVADMLQDYIPSLKMASDDSASDSSSQPLSRERVKLLSSNTNSSSASPDRDPSFKCFSVSDLKKKVMAGLCKNCEKEGQWRYLVLGQACCHLGLMEDAMALLQTGKRLATAAFRRESICWSDDSFSLSNFHLSDDIISSANAPATPPRILTESETVTHLLSHIKLLLRRRTAAIAALDAGLYSEAIRHFTKIVDGRRGAPQGFLAECYMQRASAYRSAGRIAEAIADCNRTLALEPSCIQALDTRASLLETIRCLPDCLHDLEHLKLLYNAILRDRKLAGPTWKRHNVRYREIPGKLCVLTTKIQQLKQRVASGETGNVDYYALIGLRRGCSRSELERAHLLLCLRHKPDKATIFIDRCELADDRDVDSIRDKAKMSALLLYRLLQKGYSSVMTTIMEEEAAEKQRKKLLLHLEQLSSSGMQLQVTQTQESFIESSLPPLVVPILVIGIIIIVSTIISSIIIVCIRRHRKLKQQRRRSKGCFAETLRWLGICCRRLGLTAQLQLSMRH >ONH91907 pep chromosome:Prunus_persica_NCBIv2:G8:15999040:16001622:1 gene:PRUPE_8G143000 transcript:ONH91907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKPDGGDGFEEASRDGCEQENMAAWLLGVNTLKIQPFKLPNLGPRDVLIRLKAVGICGSDVHHFKNMRCADFVVKEPMVIGHECAGFVEEVGSEVKHLVPGDRVAVEPGINCKRCELCKQGRYNLCPEMKFFGSPPTHGCLTNQVVHPGDLCFKLPNNVSLEEGAMCEPLSVGVHACRRANVGPETNVLVMGAGPIGLVTLLAARAFEAPRIVVADVNDHRLSVAKALGAHEIVKVSTNIEEVAEEIVKIKEAMGTRVDVSFDCAGFNKTMTTALSATGSGGKVCLVGMGQRELTLPLTSAAAREVDVIGIFRYKNTWPLCLELLRSSKVDVKPLITHRFGFSQKEVEKAFETSASGGNAIKVMFNL >ONH91304 pep chromosome:Prunus_persica_NCBIv2:G8:13645519:13647838:-1 gene:PRUPE_8G105400 transcript:ONH91304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRRVEDSAVSGQLQNDHRIADFDPPQKPKTNKFAIACAILACTTSILLGYDIGVMSGANIFIQNDLKLSDTEIAVLAGTLNIYSLIGSALAGRTSDWIGRRYTVVLSGVIFFVGALLMGLAPGYAFLMFGRFVAGIGVGYGLMIAPVYTVEISPTLDRGFLTSFPEVFVNLGILLGYVSNYAFSKLPTNLGWRYMLALGALPAVVLAVGVLAMPESPRWLVMQGRLGDAKRVLDKTSTSKEEAQLRLEDIKEAAGIPMHLNDDVVPVTKQSHGEGVWRQLIHPTPAVLHILIAALGIHFFQQVTGIDSVVLYSPRIFAKAGITSYEHTLLATVAVGFVKTIFIFIATFLLDRVGRRPLLLTSMGGMIFSLVCLGAALTIIDNHDSKIPWAVALCIIMVLFNVAFFSIGLGPITWVYSSEIFPLKLRAQGVSLGVAVNRVISGIVSMTFLSLYKAITIGGAFFLYAGIGVLAWVFFYTMLPETQGRTLEEMEVLFGKYHKWRQANAMLKTKKVDHGDGDENKGQVN >ONH90292 pep chromosome:Prunus_persica_NCBIv2:G8:4698119:4701889:1 gene:PRUPE_8G045100 transcript:ONH90292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMGLMRRMPPKHTETALSALLSLMPQHSSDLLSQVDQPLQVLCDMEYGKEFILCEYNRDADSYRSPWSNKYHPPLEDGSLPSEELRKLEIEANDIFAIYRDQYYEGGISSVYMWEDDNECFVGCFLIKKDGSKTGQGRRGYLQEGAWDAIHVIEVGPEEEGTSHYRLTSTVMLSLTTDNESSGTFSLSGSIRRQMNMHLSVQEGHLCNMGRMIEEMESKLRNSLDQVYFGKTKEMVCTLRPPSEVVMRLPDS >ONH94007 pep chromosome:Prunus_persica_NCBIv2:G8:22231421:22237228:-1 gene:PRUPE_8G265600 transcript:ONH94007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGTLSFSVASMVEDVLQQHGTRVGDLNLESRKAEEAASRRNEAAGWLRKMIGVVVAKDLPAEPSEEEFRLGLRSGIILCNAINKVQTGAVPKVVESPCDSALIPDGAALSAFQYFENVRNFLVAIQEMGLPTFEASDLEQGGKSARVVNTVLALKSYSDWKQTGGNGIWKFGGNIKPTTSAKSFVRKNSEPFTNSLSRTSSMNEKPLSAQASDLDSNKMSSSRSFSMLVRALLLDKKPEEVPVMVESVLSKLVEEFEQRIASQYELTKTTLKDAAVSHSKKPSMKFASGDKMMEDKNSFDKSYISEEESKVRLLKQKMIFDRQQRDVQELKQTLHTTKSGIQFMKMKFHEEFNNIGLHIHSLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGLSNYMSTVDHIEDGNITINIPSRHGKGRRSFNFNKVFGPSATQADVFSDMHPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPRELTEKSQGVNYRALGDLFLIADQRKDTFNYDVSVQMIEIYNEQVRDLLVTDGTNKRLEIRNSSQTGLSVPDANLIRVSSTSDVIDLMNLGQRNRVVGATALNDRSSRSHSCLTVHVQGRDLTSGAILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINRSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAVSETISTLKFAERVATVELGAARVNKDSTDVKALKEQIAGLKAALARKEEEDEHNKRPASGGSDKYRTKASELSPFHSKHQGTDMLGNQIGCRQPMGNVVITESHNNSASRQKRESFDLDELLVNSPPWPPVESPSQNYGEDDKEIGSGEWVDKVMVNKQEAASRAGNPLGCWGADNGNLSDVFYQKYLQDSSKVYPEQSYNMFMGSNGFNVASTDDMDDLDAATSDSSEPDLLWQFNQTKLTNMGNGIGSKTKKSNAKQVKSPDLR >ONH94006 pep chromosome:Prunus_persica_NCBIv2:G8:22230893:22237963:-1 gene:PRUPE_8G265600 transcript:ONH94006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGTLSFSVASMVEDVLQQHGTRVGDLNLESRKAEEAASRRNEAAGWLRKMIGVVVAKDLPAEPSEEEFRLGLRSGIILCNAINKVQTGAVPKVVESPCDSALIPDGAALSAFQYFENVRNFLVAIQEMGLPTFEASDLEQGGKSARVVNTVLALKSYSDWKQTGGNGIWKFGGNIKPTTSAKSFVRKNSEPFTNSLSRTSSMNEKPLSAQASDLDSNKMSSSRSFSMLVRALLLDKKPEEVPVMVESVLSKLVEEFEQRIASQYELTKTTLKDAAVSHSKKPSMKFASGDKMMEDKNSFDKSYISEEESKVRLLKQKMIFDRQQRDVQELKQTLHTTKSGIQFMKMKFHEEFNNIGLHIHSLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGLSNYMSTVDHIEDGNITINIPSRHGKGRRSFNFNKVFGPSATQADVFSDMHPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPRELTEKSQGVNYRALGDLFLIADQRKDTFNYDVSVQMIEIYNEQVRDLLVTDGTNKRLEIRNSSQTGLSVPDANLIRVSSTSDVIDLMNLGQRNRVVGATALNDRSSRSHSCLTVHVQGRDLTSGAILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINRSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAVSETISTLKFAERVATVELGAARVNKDSTDVKALKEQIAGLKAALARKEEEDEHNKRPASGGSDKYRTKASELSPFHSKHQGTDMLGNQIGCRQPMGNVVITESHNNSASRQKRESFDLDELLVNSPPWPPVESPSQNYGEDDKEIGSGEWVDKVMVNKQEAASRAGNPLGCWGADNGNLSDVFYQKYLQDSSKVYPEQSYNMFMGSNGFNVASTDDMDDLDAATSDSSEPDLLWQFNQTKLTNMGNGIGSKTKKSNAKQVKSPDLSKSFNPSSLGPSPSRKPLNGVPHRIARQPAPAEMKRKAGNRK >ONH90731 pep chromosome:Prunus_persica_NCBIv2:G8:10531547:10533122:1 gene:PRUPE_8G071900 transcript:ONH90731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDHHHHHLKYQRRPKWSSSSASPPLLAMNPILSDALVQDPQALCLGSLVSEHGVFCSSLSLISSLERNSKGKILKSELRYWNYVAMVMRT >ONH90590 pep chromosome:Prunus_persica_NCBIv2:G8:9056131:9064819:-1 gene:PRUPE_8G062600 transcript:ONH90590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILQKLRNLDAYPKINDDFFSRTLSGGIITLASSLLILLLFFSELRLYLHTVTESKLLVDASRGETLHINFDVTFPAIRCSLLSLDTMDISGEQHFDIRHDIVKKRIDAHGNVIEAKKDGIGAPKIDNPLQRHGGRLEHNEKYCGSCFGAEMSDDDCCNSCDEVRDAYRKKGWALTNADMIDQCKREGFIQKIKDEDGEGCNIEGSLEVNKVAGNFHFVSGKSFHQSNIHVQDLLAFQTDSYNISHKINRLAFGDYFPGKLNPLDGVQWRQETPNGMYQYFIKVVPTLYTNIRGRTIRSNQYSVTEHFKSSELGHSQLLPGVFFFYDLSPIKVTLKEEHVPFLHFMTHICAIVGVSL >ONH90589 pep chromosome:Prunus_persica_NCBIv2:G8:9055413:9064797:-1 gene:PRUPE_8G062600 transcript:ONH90589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILQKLRNLDAYPKINDDFFSRTLSGGIITLASSLLILLLFFSELRLYLHTVTESKLLVDASRGETLHINFDVTFPAIRCSLLSLDTMDISGEQHFDIRHDIVKKRIDAHGNVIEAKKDGIGAPKIDNPLQRHGGRLEHNEKYCGSCFGAEMSDDDCCNSCDEVRDAYRKKGWALTNADMIDQCKREGFIQKIKDEDGEGCNIEGSLEVNKVAGNFHFVSGKSFHQSNIHVQDLLAFQTDSYNISHKINRLAFGDYFPGKLNPLDGVQWRQETPNGMYQYFIKVVPTLYTNIRGRTIRSNQYSVTEHFKSSELGHSQLLPGVFFFYDLSPIKVTLKEEHVPFLHFMTHICAIVGGIFTVAGLIDSFVYHGQRAMKKKMEIGKFG >ONH90588 pep chromosome:Prunus_persica_NCBIv2:G8:9055067:9064819:-1 gene:PRUPE_8G062600 transcript:ONH90588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILQKLRNLDAYPKINDDFFSRTLSGGIITLASSLLILLLFFSELRLYLHTVTESKLLVDASRGETLHINFDVTFPAIRCSLLSLDTMDISGEQHFDIRHDIVKKRIDAHGNVIEAKKDGIGAPKIDNPLQRHGGRLEHNEKYCGSCFGAEMSDDDCCNSCDEVRDAYRKKGWALTNADMIDQCKREGFIQKIKDEDGEGCNIEGSLEDLLAFQTDSYNISHKINRLAFGDYFPGKLNPLDGVQWRQETPNGMYQYFIKVVPTLYTNIRGRTIRSNQYSVTEHFKSSELGHSQLLPGVFFFYDLSPIKVTLKEEHVPFLHFMTHICAIVGGIFTVAGLIDSFVYHGQRAMKKKMEIGKFG >ONH90591 pep chromosome:Prunus_persica_NCBIv2:G8:9055067:9064819:-1 gene:PRUPE_8G062600 transcript:ONH90591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILQKLRNLDAYPKINDDFFSRTLSGGIITLASSLLILLLFFSELRLYLHTVTESKLLVDASRGETLHINFDVTFPAIRCSLLSLDTMDISGEQHFDIRHDIVKKRIDAHGNVIEAKKDGIGAPKIDNPLQRHGGRLEHNEKYCGSCFGAEMSDDDCCNSCDEVRDAYRKKGWALTNADMIDQCKREGFIQKIKDEDGEGCNIEGSLEVNKVAGNFHFVSGKSFHQSNIHVQDLLAFQTDSYNISHKINRLAFGDYFPGKLNPLDGVQWRQETPNGMYQYFIKVVPTLYTNIRGRTIRSNQSILRVQSWDTLSCFQEFSSFMIFLQLR >ONH90440 pep chromosome:Prunus_persica_NCBIv2:G8:6129612:6131018:1 gene:PRUPE_8G054000 transcript:ONH90440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIDEEELLNLSLAIVTTSGGERVKKRKRRDHKNVLVSPLNSYEGCEGKIFRLLQMREQMLKLDHKKKGAHVLDEDGKGLHLIHMLLITATAVNENNVSSALENLSELYKSVSLCGDSVQRVVAYFADGLAARLLTRKSPFFDMIMKEPTSDEEFMAFTSLYRVSPYYQFAHFTANQAIIEAFEKEEEKNNRALHVIDFDVSYGFQWPSLIQSLSEKATSGNRISLRITGFGQSMDELRETENRLISFSKGFRNLIFEFQGLLRGSKLINLRKKKNETIAVNLVFHLNTLSNSLKISDSLKSVHLLNPSIVILVEQEGSRSPRSFLSRFMESLHYFAAMFDSLDDCLPLESTERLSIEKNHLGKEIKSMLNYDIDDVNCSKIEKMETWKARMESHGFEGINLSSKSKIQARLLLKIRTHYCPLQFDGESSGGSTAGFKVFERDDGRTISLGWQDRYLLTVSAWNCVP >ONH91345 pep chromosome:Prunus_persica_NCBIv2:G8:13852988:13853867:1 gene:PRUPE_8G108000 transcript:ONH91345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLGLGLDATSVTSHVVVNSEADTLRRDTVAYWRWNVGFTGLIASSLVMPQTMVATKPTEIRKKMAMNTNAHCRGLPSLSP >ONH91816 pep chromosome:Prunus_persica_NCBIv2:G8:15722243:15725361:-1 gene:PRUPE_8G137700 transcript:ONH91816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQENSVSTTLSSNKRPSMSPSLQIPAPKKRVVLGEISNSTNDVVSTQNWAPKKPKSSLKKKKKKKTTKEEGEALKTEIVVRSVDPRKSDYSSSIYSHLLSLEMDMNKRSSPSYMGRVQNDISPNMREILVDWLVEVAEEYQLVTDTLFLTVSYIDRYLSSYAISRNKKYEEICPPRVEDFCYITDNTYIMEEVLEMERDVLKFLNFDTGPPTTKNFLRILTRAALENSKSSKTQLEFLSCYLVELSLLDYSCVQFLPSVVAASAIFLSRFTIQPEVHPWSLALQSYSGYRPSDLKICVRAIHDLQLNIKGSSLRAVRDKYRKPKYACVGTLTSLPEIPAIYFEAIDIQVFSGF >ONH91817 pep chromosome:Prunus_persica_NCBIv2:G8:15722211:15725372:-1 gene:PRUPE_8G137700 transcript:ONH91817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQENSVSTTLSSNKRPSMSPSLQIPAPKKRVVLGEISNSTNDVVSTQNWAPKKPKSSLKKKKKKKTTKEEGEALKTEIVVRSVDPRKSDYSSSIYSHLLSLEMDMNKRSSPSYMGRVQNDISPNMREILVDWLVEVAEEYQLVTDTLFLTVSYIDRYLSSYAISRNKLQLLGVACMLVASKYEEICPPRVEDFCYITDNTYIMEEVLEMERDVLKFLNFDTGPPTTKNFLRILTRAALENSKSSKTQLEFLSCYLVELSLLDYSCVQFLPSVVAASAIFLSRFTIQPEVHPWSLALQSYSGYRPSDLKICVRAIHDLQLNIKGSSLRAVRDKYRKPKYACVGTLTSLPEIPAIYFEAIDIQVFSGF >ONH90941 pep chromosome:Prunus_persica_NCBIv2:G8:11765771:11767942:1 gene:PRUPE_8G084500 transcript:ONH90941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMLAGSKVFSKIDLRSRYHQIRLRSGDEWKIAFKTPNGLYKYTFMQVMTEVLKPFLSLFVVVLMTEAPVLTLLDFEKLFTIECDASHVGIGAVLSQEGRPVELFSEKIYALVRAIQHWEHYLAYKEFVVYSDHQALRYLNSQKKLNAQHVKWSSYLQEFNFSFNYKTGESNKVADALSRRNLLLTTMSTQVIGFEELKEQYATDPYFSSIMLPFGMHDGYLFKGNLLCILEGSLREQIVRELHGNGLGGHFERDKTLAMVTDRYYWSRMYKDVDRLVRKCQICQFSKGSSQNTGLPKTARGYDSLFVVVDRFSKMAHFIPCARTADATHIADFFFKEVVRLHGVPTSIISDRDVRVSDEGEGFADHVKRVHEEVKAAIKANNESYAAAANQHRRIKDFEEGDMVLVQLRRDKFPKGTYHKLKSKKFGPCQVLKKISSNA >ONH90940 pep chromosome:Prunus_persica_NCBIv2:G8:11764217:11767942:1 gene:PRUPE_8G084500 transcript:ONH90940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYERFYNLKQRDMSVQEYTSEFDNLSLRVGLNETNEHMTSRYLSGLNQTIRDELGVVRLSNLEDARQYALMVKRQQLRRGGRRFVFGRTDNYWQRNTTTVHGVRSKQGARTGGRNMVGVDRSEKWKEIVKFGSQNTAVPSNLRGDSTSQVRCYTCDEKGHTSYVRSEVTDFPEPTYDDFGNEEEVINLLPVEGESLVVRRVMTTPKVEEEDWRHHNIFRTRVLCGGKVCNVILDGGSSENIISKEAVEKLKLPIEKHPNPYKVAWFRKGNEVYQLLQVWCDVVPMDACHILLGRPWSFDKDMIHYTKANTYVFHQDGKKLSLQPLKEVKNTPKVTKVSRFLTCHNFEQESKEMGIMYALVTKEIGADQLLKFNEFSKEIQHILMEFQELVGDELPQGLPTMRSIQHAIDLVPGVALPNLLAYRMPPTQRAEIQRQVEDLIAKRLVPLLTPNKEQKWRMCVDSRVINKITVKYQFPIPRLEDMLDMLAGSKVFSKIDLRSRYHQIRLRSGDEWKIAFKTPNGLYKYQTFKEHLIHLQQVLEALRKEQLYINLKKCSFLSNKVVFLGFVVSTNGLQADPEKIRAFMEWLVPQSVGDVRSFHGLVYRRFIRAPVLTLLDFEKLFTIECDASHVGIGAVLSQEGRPVELFSEKIYALVRAIQHWEHYLAYKEFVVYSDHQALRYLNSQKKLNAQHVKWSSYLQEFNFSFNYKTGESNKVADALSRRNLLLTTMSTQVIGFEELKEQYATDPYFSSIMLPFGMHDGYLFKGNLLCILEGSLREQIVRELHGNGLGGHFERDKTLAMVTDRYYWSRMYKDVDRLVRKCQICQFSKGSSQNTGLPKTARGYDSLFVVVDRFSKMAHFIPCARTADATHIADFFFKEVVRLHGVPTSIISDRDVRVSDEGEGFADHVKRVHEEVKAAIKANNESYAAAANQHRRIKDFEEGDMVLVQLRRDKFPKGTYHKLKSKKFGPCQVLKKISSNA >ONH93651 pep chromosome:Prunus_persica_NCBIv2:G8:21277378:21280443:-1 gene:PRUPE_8G244300 transcript:ONH93651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASHSQSVSEKSIHEFTVKDSRGKDVDLSLYKGKVLLVVNVASKCGFTDTNYTQLTELYNKYKDKGLEILAFPCNQFLKQEPGTSKDAEEFACTRYKAEYPIFKKVRVNGPEAEPVYKFLKASKTGFLGNRIKWNFTKFLVDKDGHVIERYSPTTSPLSIEVDIKKALGEV >ONH92032 pep chromosome:Prunus_persica_NCBIv2:G8:16367576:16377404:-1 gene:PRUPE_8G150300 transcript:ONH92032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKFQSLPVKLPGYMLDDELCSTLWDEVVITYKHSRSCNE >ONH92031 pep chromosome:Prunus_persica_NCBIv2:G8:16367584:16377388:-1 gene:PRUPE_8G150300 transcript:ONH92031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKSLPVKLPGYMLDDELCSTLWDEVVITYKHSRSCNE >ONH92028 pep chromosome:Prunus_persica_NCBIv2:G8:16365259:16376245:-1 gene:PRUPE_8G150300 transcript:ONH92028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKFQSLPVKLPGYMLDDELCSTLWDELVFDELLERVKEKEEKEAKKRKRLADDFSHLLSSIKEITPSSKWEDYKSLLEGSQECSAIGEESFCKEIFDKHVMQLKEQAKEKERKRKEEKEKKEKERDDRERRRTKQRREKEVEYEREREERLKKDGLDSEIADVMDIHDSKEKKRSGKDDNKKHRKRHHSDEDHANGNENDRSKRSHGSSSDHKKSRRQAPGHESDTESRHRRHKRDHRNGSRRSGDHDELEDGEFGDVGESR >ONH92026 pep chromosome:Prunus_persica_NCBIv2:G8:16364485:16377388:-1 gene:PRUPE_8G150300 transcript:ONH92026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKFQSLPVKLPGYMLDDELCSTLWDELVFDELLERVKEKEEKEAKKRKRLADDFSHLLSSIKEITPSSKWEDYKSLLEGSQECSAIGEESFCKEIFDKHVMQLKEQAKEKERKRKEEKEKKEKERDDRERRRTKQRREKEVEYEREREERLKKDGLDSEIADVMDIHDSKEKKRSGKDDNKKHRKRHHSDEDHANGNENDRSKRSHGSSSDHKKSRRAPGHESDTESRHRRHKRDHRNGSRRSGDHDELEDGEFGDVGESR >ONH92023 pep chromosome:Prunus_persica_NCBIv2:G8:16365259:16376245:-1 gene:PRUPE_8G150300 transcript:ONH92023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKLVFDELLERVKEKEEKEAKKRKRLADDFSHLLSSIKEITPSSKWEDYKSLLEGSQECSAIGEESFCKEIFDKHVMQLKEQAKEKERKRKEEKEKKEKERDDRERRRTKQRREKEVEYEREREERLKKDGLDSEIADVMDIHDSKEKKRSGKDDNKKHRKRHHSDEDHANGNENDRSKRSHGSSSDHKKSRRQAPGHESDTESRHRRHKRDHRNGSRRSGDHDELEDGEFGDVGESR >ONH92027 pep chromosome:Prunus_persica_NCBIv2:G8:16364485:16377404:-1 gene:PRUPE_8G150300 transcript:ONH92027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKFQSLPVKLPGYMLDDELCSTLWDELVFDELLERVKEKEEKEAKKRKRLADDFSHLLSSIKEITPSSKWEDYKSLLEGSQECSAIGEESFCKEIFDKHVMQLKEQAKEKERKRKEEKEKKEKERDDRERRRTKQRREKEVEYEREREERLKKDGLDSEIADVMDIHDSKEKKRSGKDDNKKHRKRHHSDEDHANGNENDRSKRSHGSSSDHKKSRRAPGHESDTESRHRRHKRDHRNGSRRSGDHDELEDGEFGDVGESR >ONH92022 pep chromosome:Prunus_persica_NCBIv2:G8:16364430:16377412:-1 gene:PRUPE_8G150300 transcript:ONH92022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKLVFDELLERVKEKEEKEAKKRKRLADDFSHLLSSIKEITPSSKWEDYKSLLEGSQECSAIGEESFCKEIFDKHVMQLKEQAKEKERKRKEEKEKKEKERDDRERRRTKQRREKEVEYEREREERLKKDGLDSEIADVMDIHDSKEKKRSGKDDNKKHRKRHHSDEDHANGNENDRSKRSHGSSSDHKKSRRAPGHESDTESRHRRHKRDHRNGSRRSGDHDELEDGEFGDVGESR >ONH92029 pep chromosome:Prunus_persica_NCBIv2:G8:16365259:16376245:-1 gene:PRUPE_8G150300 transcript:ONH92029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKFQSLPVKLPGYMLDDELCSTLWDELVFDELLERVKEKEEKEAKKRKRLADDFSHLLSSIKEITPSSKWEDYKSLLEGSQECSAIGEESFCKEIFDKHVMQLKEQAKEKERKRKEEKEKKEKERDDRERRRTKQRREKEVEYEREREERLKKDGLDSEIADVMDIHDSKEKKRSGKDDNKKHRKRHHSDEDHANGNENDRSKRSHGSSSDHKKSRRQAPGHESDTESRHRRHKRDHRNGSRRSGDHDELEDGEFGDVGESR >ONH92021 pep chromosome:Prunus_persica_NCBIv2:G8:16364471:16377401:-1 gene:PRUPE_8G150300 transcript:ONH92021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKLVFDELLERVKEKEEKEAKKRKRLADDFSHLLSSIKEITPSSKWEDYKSLLEGSQECSAIGEESFCKEIFDKHVMQLKEQAKEKERKRKEEKEKKEKERDDRERRRTKQRREKEVEYEREREERLKKDGLDSEIADVMDIHDSKEKKRSGKDDNKKHRKRHHSDEDHANGNENDRSKRSHGSSSDHKKSRRAPGHESDTESRHRRHKRDHRNGSRRSGDHDELEDGEFGDVGESR >ONH92030 pep chromosome:Prunus_persica_NCBIv2:G8:16367576:16377388:-1 gene:PRUPE_8G150300 transcript:ONH92030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKSLPVKLPGYMLDDELCSTLWDEVVITYKHSRSCNE >ONH92024 pep chromosome:Prunus_persica_NCBIv2:G8:16364470:16377388:-1 gene:PRUPE_8G150300 transcript:ONH92024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKLVFDELLERVKEKEEKEAKKRKRLADDFSHLLSSIKEITPSSKWEDYKSLLEGSQECSAIGEESFCKEIFDKHVMQLKEQAKEKERKRKEEKEKKEKERDDRERRRTKQRREKEVEYEREREERLKKDGLDSEIADVMDIHDSKEKKRSGKDDNKKHRKRHHSDEDHANGNENDRSKRSHGSSSDHKKSRRQAPGHESDTESRHRRHKRDHRNGSRRSGDHDELEDGEFGDVGESR >ONH92019 pep chromosome:Prunus_persica_NCBIv2:G8:16364471:16377178:-1 gene:PRUPE_8G150300 transcript:ONH92019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKLVFDELLERVKEKEEKEAKKRKRLADDFSHLLSSIKEITPSSKWEDYKSLLEGSQECSAIGEESFCKEIFDKHVMQLKEQAKEKERKRKEEKEKKEKERDDRERRRTKQRREKEVEYEREREERLKKDGLDSEIADVMDIHDSKEKKRSGKDDNKKHRKRHHSDEDHANGNENDRSKRSHGSSSDHKKSRRAPGHESDTESRHRRHKRDHRNGSRRSGDHDELEDGEFGDVGESR >ONH92025 pep chromosome:Prunus_persica_NCBIv2:G8:16365259:16376245:-1 gene:PRUPE_8G150300 transcript:ONH92025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKLVFDELLERVKEKEEKEAKKRKRLADDFSHLLSSIKEITPSSKWEDYKSLLEGSQECSAIGEESFCKEIFDKHVMQLKEQAKEKERKRKEEKEKKEKERDDRERRRTKQRREKEVEYEREREERLKKDGLDSEIADVMDIHDSKEKKRSGKDDNKKHRKRHHSDEDHANGNENDRSKRSHGSSSDHKKSRRQAPGHESDTESRHRRHKRDHRNGSRRSGDHDELEDGEFGDVGESR >ONH92020 pep chromosome:Prunus_persica_NCBIv2:G8:16364390:16377412:-1 gene:PRUPE_8G150300 transcript:ONH92020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQFSGLQPLRPPMVGSVDPPRSLPPMSFQFRPVVPAPHSQEFISVASQNFQHVGRGVPLMNVRLPPPTHQPQFSQPMQQLPPRSGQPGHGMLPSQAIPLPVSQPNRNFASELPLPQPNSQGPNNAMPNLGGPRTPLSSSYTFAPSSYGQMPRSFNDSTQYQSISQLHAPNVSSEGQVTPLQYTGERPAVTSSIAPETGVRPNSAGGTVAEWREHTSAEGRRFYYNRRTGLSTWEKPFELMTPLERADASTNWKEFTSPDGRKYYYNKVTKESRWIMPEELKLARELVEKAPVKEMQQEMLVNHHAPVTVSPPVAEADTLVNAAQVASSPVSVAPVIATGDGDVQTAAASRSSTSPVVASPVIENPNGVHTPVVVPSSTVSSVEAAVTINDTVAEPMESSNNLSAQDFVSSADGVLVQENEEAMDDVTGKKMNDIASEEKPVDREPISYENKLEAKDAFKALLESTNIGSDWTWDRAMRVIINDKRYGALKTLGERKQAFNEFLVQRKKQEAEERRIKQKKAREEFKKMLEECSELTSSTRWGKVESIFEHDERFKAVERDRDRRDMFENYVEELQKKERAKAMEERKHNIMEYRQFLESCDFIKASSQWRKVQDRLEADERCSRLEKIDRLEIFQEYLRDLEKEEEEQRRIQKEELRKTERKNRDDFRKLMEEHLAAGTLTAKSHWRDYCMKVKDLPTYLAVASNTSGSTPKDLFEDVVEELQKQYHEDKTRIKDAVKLGKVMLTSTWKLEDFKTVISNDIGSPPISDANLKLVFDELLERVKEKEEKEAKKRKRLADDFSHLLSSIKEITPSSKWEDYKSLLEGSQECSAIGEESFCKEIFDKHVMQLKEQAKEKERKRKEEKEKKEKERDDRERRRTKQRREKEVEYEREREERLKKDGLDSEIADVMDIHDSKEKKRSGKDDNKKHRKRHHSDEDHANGNENDRSKRSHGSSSDHKKSRRAPGHESDTESRHRRHKRDHRNGSRRSGDHDELEDGEFGDVGESR >ONH93579 pep chromosome:Prunus_persica_NCBIv2:G8:21074595:21079705:-1 gene:PRUPE_8G240200 transcript:ONH93579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSREARRPSMAASNGFLKLRERPNNKRSMREQSTKQRRVRGDQGHESTEEGSVGYSDEQAPQLMVAHEMIGVAVPRKARSASGKRSHEYASSGNYGGAGEEESLQQLSVKAASLSFSNVSARKKMKPNGPKIRPPKSSKSSEPVEEDIEIEIAEVLGLMKQSKSSKDQDNIKNSTLNLEAKDRNVAELKDKKVEGESALMAVQDSSVSEAGVFEKSDQPEKFETCSPKSNGELCNPVHHTGCDEGASGSIESQKEDAKPFMEEGKSILPKVELSWEDLTGTKAISTGSKLESKREDMFKFDLMAPPPMEKEDLSDFASDPKPLPQDVEMNEEKVEKYVEEAVIEKVDEKKMETSKEKFNENPNQDSDGKLQHQGKKQQPKDVVPNPKLEKIAHSSSVPLAMGSSTTLQPANSLLSQPRRKRCATHHYIAHNICLHQKVTKTNNFWPAETGSAPLRGTKPDIFKVILPAENIVVGNPMLGSLPGLNLQQSQEHEQGVSNFQGHSGNGKISEAANFTETLKRKHLAVQQAPASDGNEMHGHTITFPLGQHQASGTSNSNQSGPLKHAKSTNNASLPSHSAARTPMSSSALPTVAAVNFINPNMAAKEGPYLALNGYPFPISTHIGTTPAFRGGNPAQPVPLFNGPFYSNQMFHPSQVQQQQPHSQLQHQNTNTSSDSTPSHKQQESQKLREAQFNSNNFLTSTSVQSQQSQKQYMPPSLQSCKLEGEMNGENTASLAVSHAQKSVYGQNFAVPFQPLNFTLMPYVTLGGAGGGSGNHIEESQHGMSFASFSGNNSTGSCLNFSTMAQNPAIFQSLPDMAQQGYKVGPAPQAVQKKNHQISERKTGGGSNNADDGLKAASGKSSSSIGQRQTLVFDNSARTLNFISSPVTGSWPPRSIALTTTATNAPVDSKISSNSQQQHMFQFQLQKPHMLQQQQPAIAAKFPKNSPAFSQALVQCNSSAQAPQFSTVVSFPQQQGRSSQGQTQISFGGNHKSSLAPQRQQILTNKNQSSSSLVASSSMSTLQSHQTENSSPSGNGQMSSPACGRNLPSILSTCSSHISELKY >ONH93580 pep chromosome:Prunus_persica_NCBIv2:G8:21075248:21079385:-1 gene:PRUPE_8G240200 transcript:ONH93580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSREARRPSMAASNGFLKLRERPNNKRSMREQSTKQRRVRGDQGHESTEEGSVGYSDEQAPQLMVAHEMIGVAVPRKARSASGKRSHEYASSGNYGGAGEEESLQQLSVKAASLSFSNVSARKKMKPNGPKIRPPKSSKSSEPVEEDIEIEIAEVLGLMKQSKSSKDQDNIKNSTLNLEAKDRNVAELKDKKVEGESALMAVQDSSVSEAGVFEKSDQPEKFETCSPKSNGELCNPVHHTGCDEGASGSIESQKEDAKPFMEEGKSILPKVELSWEDLTGTKAISTGSKLESKREDMFKFDLMAPPPMEKEDLSDFASDPKPLPQDVEMMEILVKNEEKVEKYVEEAVIEKVDEKKMETSKEKFNENPNQDSDGKLQHQGKKQQPKDVVPNPKLEKIAHSSSVPLAMGSSTTLQPANSLLSQPRRKRCATHHYIAHNICLHQKVTKTNNFWPAETGSAPLRGTKPDIFKVILPAENIVVGNPMLGSLPGLNLQQSQEHEQGVSNFQGHSGNGKISEAANFTETLKRKHLAVQQAPASDGNEMHGHTITFPLGQHQASGTSNSNQSGPLKHAKSTNNASLPSHSAARTPMSSSALPTVAAVNFINPNMAAKEGPYLALNGYPFPISTHIGTTPAFRGGNPAQPVPLFNGPFYSNQMFHPSQVQQQQPHSQLQHQNTNTSSDSTPSHKQQESQKLREAQFNSNNFLTSTSVQSQQSQKQYMPPSLQSCKLEGEMNGENTASLAVSHAQKSVYGQNFAVPFQPLNFTLMPYVTLGGAGGGSGNHIEESQHGMSFASFSGNNSTGSCLNFSTMAQNPAIFQSLPDMAQQGYKVGPAPQAVQKKNHQISERKTGGGSNNADDGLKAASGKSSSSIGQRQTLVFDNSARTLNFISSPVTGSWPPRSIALTTTATNAPVDSKISSNSQQQHMFQFQLQKPHMLQQQQPAIAAKFPKNSPAFSQALVQCNSSAQAPQFSTVVSFPQQQGRSSQGQTQISFGGNHKSSLAPQRQQILTNKNQSSSSLVASSSMSTLQSHQTENSSPSGNGQMSSPACGRNLPSILSTCSSHISELKY >ONH93581 pep chromosome:Prunus_persica_NCBIv2:G8:21075248:21079385:-1 gene:PRUPE_8G240200 transcript:ONH93581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSREARRPSMAASNGFLKLRERPNNKRSMREQSTKQRRVRGDQGHESTEEGSVGYSDEQAPQLMVAHEMIGVAVPRKARSASGKRSHEYASSGNYGGAGEEESLQQLSVKAASLSFSNVSARKKMKPNGPKIRPPKSSKSSEPVEEDIEIEIAEVLGLMKQSKSSKDQDNIKNSTLNLEAKDRNVAELKDKKVEGESALMAVQDSSVSEAGVFEKSDQPEKFETCSPKSNGELCNPVHHTGCDEGASGSIESQKEDAKPFMEEGKSILPKVELSWEDLTGTKAISTGSKLESKREDMFKFDLMAPPPMEKEDLSDFASDPKPLPQDVEMKMEILVKNEEKVEKYVEEAVIEKVDEKKMETSKEKFNENPNQDSDGKLQHQGKKQQPKDVVPNPKLEKIAHSSSVPLAMGSSTTLQPANSLLSQPRRKRCATHHYIAHNICLHQKVTKTNNFWPAETGSAPLRGTKPDIFKVILPAENIVVGNPMLGSLPGLNLQQSQEHEQGVSNFQGHSGNGKISEAANFTETLKRKHLAVQQAPASDGNEMHGHTITFPLGQHQASGTSNSNQSGPLKHAKSTNNASLPSHSAARTPMSSSALPTVAAVNFINPNMAAKEGPYLALNGYPFPISTHIGTTPAFRGGNPAQPVPLFNGPFYSNQMFHPSQVQQQQPHSQLQHQNTNTSSDSTPSHKQQESQKLREAQFNSNNFLTSTSVQSQQSQKQYMPPSLQSCKLEGEMNGENTASLAVSHAQKSVYGQNFAVPFQPLNFTLMPYVTLGGAGGGSGNHIEESQHGMSFASFSGNNSTGSCLNFSTMAQNPAIFQSLPDMAQQGYKVGPAPQAVQKKNHQISERKTGGGSNNADDGLKAASGKSSSSIGQRQTLVFDNSARTLNFISSPVTGSWPPRSIALTTTATNAPVDSKISSNSQQQHMFQFQLQKPHMLQQQQPAIAAKFPKNSPAFSQALVQCNSSAQAPQFSTVVSFPQQQGRSSQGQTQISFGGNHKSSLAPQRQQILTNKNQSSSSLVASSSMSTLQSHQTENSSPSGNGQMSSPACGRNLPSILSTCSSHISELKY >ONH92036 pep chromosome:Prunus_persica_NCBIv2:G8:16397864:16405220:1 gene:PRUPE_8G150700 transcript:ONH92036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGQIAYKAHCLVLPFPCQGHINPMLQFAKLLAHKGVKVTLVTTRYVHKTMYGSASSCIELGLETISDSYDEAGRGETSIDSYLESFREVGSKTLAELLEKLSSSGSPVDCVIYDAIMTWPLDIARKFGIAGAVFFTQSCAVENIYYHIHEGLLKLPLSVDQSRILLPGLPPLEPLDLPSFVYDFGSYPGFYQASLGQFSNVDKADWILFNTFYDLEEQVVDWLAKFWPLRTVGPTIPSKYLDERLEDDKEYGVNLFKSDNDACIKWLNERPKGSVAYVSFGSFAELGVEQMEDLARGLRRSKSNFLWVVRASEAAKVPKGFVEETLEKGLVVSWCPQMEVLAHEAVGCFVTHCGWNSTLEALSLGVPMLAMPQWTDQTTNAKFIMDVWKIGLTAPCDEKGLVRPEVVEHCISEIMEGARGKEMKINALKWKELAKKAVDEGGSSDKNIDEFISKLVQ >ONH91140 pep chromosome:Prunus_persica_NCBIv2:G8:12778199:12779955:-1 gene:PRUPE_8G095700 transcript:ONH91140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALPEMLNCMNAPGTFLAGNCTDMTVLERQRARMKWHLHEHNDPIQLHQQQQGYNFSGNELSGVFSVPIPAGQAQGFMGLVCGDSAVKPDPCSENRWAELGYGSCGLVVNNNGAAGFEEMINGRNNNSNISRTFSCPPTVAAEKKSNDAVLSQKISLPTGKESFKKRKADKVQSNKAVAAEDDSTEKRMKGCAEEGDSKITEQTSTKNNTNNDRESSGDTSKDNSKASEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVELLLIRGLTSTLTICLRKRCFLRARPIFRRLGCHQK >ONH91138 pep chromosome:Prunus_persica_NCBIv2:G8:12777234:12779955:-1 gene:PRUPE_8G095700 transcript:ONH91138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALPEMLNCMNAPGTFLAGNCTDMTVLERQRARMKWHLHEHNDPIQLHQQQQGYNFSGNELSGVFSVPIPAGQAQGFMGLVCGDSAVKPDPCSENRWAELGYGSCGLVVNNNGAAGFEEMINGRNNNSNISRTFSCPPTVAAEKKSNDAVLSQKISLPTGKESFKKRKADKVQSNKAVAAEDDSTEKRMKGCAEEGDSKITEQTSTKNNTNNDRESSGDTSKDNSKASEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNIDDLFAKEMFPACAANFPTIGMSSEMTNSAYVQFNPVQQMVSSCGLDMGINSSDLALRRTISAPVSIPETFLDTSCFTQAPPTAIWDADLQNLFNVEFQQGRTTPFQSQPFTGSIEASNLKMEM >ONH91136 pep chromosome:Prunus_persica_NCBIv2:G8:12777234:12779955:-1 gene:PRUPE_8G095700 transcript:ONH91136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALPEMLNCMNAPGTFLAGNCTDMTVLERQRARMKWHLHEHNDPIQLHQQQQGYNFSGNELSGVFSVPIPAGQAQGFMGLVCGDSAVKPDPCSENRWAELGYGSCGLVVNNNGAAGFEEMINGRNNNSNISRTFSCPPTVAAEKKSNDAVLSQKISLPTGKESFKKRKADKAVAAEDDSTEKRMKGCAEEGDSKITEQTSTKNNTNNDRESSGDTSKDNSKASEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNIDDLFAKEMFPACAANFPTIGMSSEMTNSAYVQFNPVQQMVSSCGLDMGINSSDLALRRTISAPVSIPETFLDTSCFTQAPPTAIWDADLQNLFNVEFQQGRTTPFQSQPFTGSIEASNLKMEM >ONH91137 pep chromosome:Prunus_persica_NCBIv2:G8:12776330:12780454:-1 gene:PRUPE_8G095700 transcript:ONH91137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALPEMLNCMNAPGTFLAGNCTDMTVLERQRARMKWHLHEHNDPIQLHQQQQGYNFSGNELSGVFSVPIPAGQAQGFMGLVCGDSAVKPDPCSENRWAELGYGSCGLVVNNNGAAGFEEMINGRNNNSNISRTFSCPPTVAAEKKSNDAVLSQKISLPTGKESFKKRKADKVQSNKAVAAEDDSTEKRMKGCAEEGDSKITEQTSTKNNTNNDRESSGDTSKDNSKASEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEMFPACAANFPTIGMSSEMTNSAYVQFNPVQQMVSSCGLDMGINSSDLALRRTISAPVSIPETFLDTSCFTQAPPTAIWDADLQNLFNVEFQQGRTTPFQSQPFTGSIEASNLKMEM >ONH91135 pep chromosome:Prunus_persica_NCBIv2:G8:12776330:12780454:-1 gene:PRUPE_8G095700 transcript:ONH91135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALPEMLNCMNAPGTFLAGNCTDMTVLERQRARMKWHLHEHNDPIQLHQQQQGYNFSGNELSGVFSVPIPAGQAQGFMGLVCGDSAVKPDPCSENRWAELGYGSCGLVVNNNGAAGFEEMINGRNNNSNISRTFSCPPTVAAEKKSNDAVLSQKISLPTGKESFKKRKADKAVAAEDDSTEKRMKGCAEEGDSKITEQTSTKNNTNNDRESSGDTSKDNSKASEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEMFPACAANFPTIGMSSEMTNSAYVQFNPVQQMVSSCGLDMGINSSDLALRRTISAPVSIPETFLDTSCFTQAPPTAIWDADLQNLFNVEFQQGRTTPFQSQPFTGSIEASNLKMEM >ONH91139 pep chromosome:Prunus_persica_NCBIv2:G8:12778199:12779955:-1 gene:PRUPE_8G095700 transcript:ONH91139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALPEMLNCMNAPGTFLAGNCTDMTVLERQRARMKWHLHEHNDPIQLHQQQQGYNFSGNELSGVFSVPIPAGQAQGFMGLVCGDSAVKPDPCSENRWAELGYGSCGLVVNNNGAAGFEEMINGRNNNSNISRTFSCPPTVAAEKKSNDAVLSQKISLPTGKESFKKRKADKAVAAEDDSTEKRMKGCAEEGDSKITEQTSTKNNTNNDRESSGDTSKDNSKASEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVELLLIRGLTSTLTICLRKRCFLRARPIFRRLGCHQK >ONH92870 pep chromosome:Prunus_persica_NCBIv2:G8:19001423:19003352:1 gene:PRUPE_8G201100 transcript:ONH92870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATGGGDGQGEEKKPGDQSAHINLKVKGQDGNEVFFRIKQSTQLKKLMTAYCDRQSVDMNSIAFLFDGRRLRAEQTPEELEMEDGDEIDAMLHQTGGWA >ONH92974 pep chromosome:Prunus_persica_NCBIv2:G8:19201615:19204812:-1 gene:PRUPE_8G205000 transcript:ONH92974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQPVSKARQESWEHADSIGRSLCAVHLTKTQSSASHSSHSHHYIKEALNTSIIMQQIFQWLFRATNGQAREDTSGSINKKATTNDQEAKPNYIIVFKCGGVGVYWKFKGIEERKSGSKKFKPLAFLRRKDVAKACFYSTLQLKRLGSINRRQARQRWIQSMKMKKEDIARGLGISTKADSANVGNKVLPVTDSTLTTSTNTNEQCGTSEKKERVKGDKTKTMSRMKELLRWAAAAKSERGGKFIARKVMQFRNRGTLKAVPDDDQLSNDSPKISFRWDLESCSTTSSAYTSISTASSLKNDQIMIINQALNSTKCQDPDRAPRKGNWITSDSEFVVLEL >ONH92973 pep chromosome:Prunus_persica_NCBIv2:G8:19201575:19204812:-1 gene:PRUPE_8G205000 transcript:ONH92973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQPVSKARQESWEHADSIGRSLCAVHLTKTQSSASHSSHSHHYIKEALNTSIIMQIFQWLFRATNGQAREDTSGSINKKATTNDQEAKPNYIIVFKCGGVGVYWKFKGIEERKSGSKKFKPLAFLRRKDVAKACFYSTLQLKRLGSINRRQARQRWIQSMKMKKEDIARGLGISTKADSANVGNKVLPVTDSTLTTSTNTNEQCGTSEKKERVKGDKTKTMSRMKELLRWAAAAKSERGGKFIARKVMQFRNRGTLKAVPDDDQLSNDSPKISFRWDLESCSTTSSAYTSISTASSLKNDQIMIINQALNSTKCQDPDRAPRKGNWITSDSEFVVLEL >ONH92972 pep chromosome:Prunus_persica_NCBIv2:G8:19201824:19206944:-1 gene:PRUPE_8G205000 transcript:ONH92972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVKRRIETDSDIRALYKELDAVTCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRENTRNSPTLPSSLPERVEHDELDGENSPDSKLSLKCPLCRGAILGWEVVEDVRKYLNLKKRSCSRESCSFSGNYQELRRHARRVHPTTRPSDIDPSRERAWQHLEHQREFGDVVSAIHSAIPGAVVVGDYVIENGDRLAGGGESGAAREDTSGSINKKATTNDQEAKPNYIIVFKCGGVGVYWKFKGIEERKSGSKKFKPLAFLRRKDVAKACFYSTLQLKRLGSINRRQARQRWIQSMKMKKEDIARGLGISTKADSANVGNKVLPVTDSTLTTSTNTNEQCGTSEKKERVKGDKTKTMSRMKELLRWAAAAKSERGGKFIARKVMQFRNRGTLKAVPDDDQLSNDSPKISFRWDLESCSTTSSAYTSISTASSLKNDQIMIINQALNSTKCQDPDRAPRKGNWITSDSEFVVLEL >ONH92976 pep chromosome:Prunus_persica_NCBIv2:G8:19201824:19203274:-1 gene:PRUPE_8G205000 transcript:ONH92976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIFQWLFRATNGQAREDTSGSINKKATTNDQEAKPNYIIVFKCGGVGVYWKFKGIEERKSGSKKFKPLAFLRRKDVAKACFYSTLQLKRLGSINRRQARQRWIQSMKMKKEDIARGLGISTKADSANVGNKVLPVTDSTLTTSTNTNEQCGTSEKKERVKGDKTKTMSRMKELLRWAAAAKSERGGKFIARKVMQFRNRGTLKAVPDDDQLSNDSPKISFRWDLESCSTTSSAYTSISTASSLKNDQIMIINQALNSTKCQDPDRAPRKGNWITSDSEFVVLEL >ONH92975 pep chromosome:Prunus_persica_NCBIv2:G8:19201541:19203497:-1 gene:PRUPE_8G205000 transcript:ONH92975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFQWLFRATNGQAREDTSGSINKKATTNDQEAKPNYIIVFKCGGVGVYWKFKGIEERKSGSKKFKPLAFLRRKDVAKACFYSTLQLKRLGSINRRQARQRWIQSMKMKKEDIARGLGISTKADSANVGNKVLPVTDSTLTTSTNTNEQCGTSEKKERVKGDKTKTMSRMKELLRWAAAAKSERGGKFIARKVMQFRNRGTLKAVPDDDQLSNDSPKISFRWDLESCSTTSSAYTSISTASSLKNDQIMIINQALNSTKCQDPDRAPRKGNWITSDSEFVVLEL >ONH89608 pep chromosome:Prunus_persica_NCBIv2:G8:318582:318947:-1 gene:PRUPE_8G004000 transcript:ONH89608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSRTQAAATTTFLLSFLASLWVLLFYNDHITVLLLPVLGALMVLALVVIAARTTMMAWITVLVLLTFAGNRRRVLVRRGKLITADVAIYLFQVIMKERGLLAVACATVLSLMAMLGFKI >ONH91508 pep chromosome:Prunus_persica_NCBIv2:G8:14650602:14656503:1 gene:PRUPE_8G119500 transcript:ONH91508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQSNGGDLGTQQQQQQQQQQQQQQQQWMHQHQHQQQWMAMQYPAAAMAMMQQQMMVYPQHYMPYAAAAAHPHHPHHNPYQQQPQGVPYQQQQQPPKQLQQSPSQKQGPTDEIRTIWVGDLHHWMDETYLHGCFAHTGQVSTVKVIRNKQTAQSEGYGFVEFFSREAAEEVLQSYNGAAMPNTEQPFRLNWATFSAGDRRADTSSDLSIFVGDLATDVTDTMLQETFGSRYSSVKGAKVVLDANTGRSKGYGFVRFGDENERSRAITEMNGAYCSSRPMRIGVATPKKSPAYQQQYSSQALVLAGGGHASNGAVAQGSQFDSETNNTTIFVGGLDSDVNDEDLRQPFSQFGEVVSVKIPVGKACGFVQFANRKDAENAMQMLNGTVIGKQTVRLSWGRSQGNKQWRSDSSNQWNGAHYGGQGYGGYGHAAPQSQDLSMHAAAAVHGAS >ONH91509 pep chromosome:Prunus_persica_NCBIv2:G8:14650602:14656503:1 gene:PRUPE_8G119500 transcript:ONH91509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQSNGGDLGTQQQQQQQQQQQQQQQQWMHQHQHQQQWMAMQYPAAAMAMMQQQMMVYPQHYMPYAAAAAHPHHPHHNPYQQQPQGVPYQQQQQPPKQLQQSPSQKQGPTDEIRTIWVGDLHHWMDETYLHGCFAHTGQVSTVKVIRNKQTAQSEGYGFVEFFSREAAEEVLQSYNGAAMPNTEQPFRLNWATFSAGDRRADTSSDLSIFVGDLATDVTDTMLQETFGSRYSSVKGAKVVLDANTGRSKGYGFVRFGDENERSRAITEMNGAYCSSRPMRIGVATPKKSPAYQQQYSSQAGGGHASNGAVAQGSQFDSETNNTTIFVGGLDSDVNDEDLRQPFSQFGEVVSVKIPVGKACGFVQFANRKDAENAMQMLNGTVIGKQTVRLSWGRSQGNKQWRSDSSNQWNGAHYGGQGYGGYGHAAPQSQDLSMHAAAAVHGAS >ONH91763 pep chromosome:Prunus_persica_NCBIv2:G8:15609163:15611242:1 gene:PRUPE_8G135700 transcript:ONH91763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETPSTHIFLVCFPAQGHINPMLRLGKRLAAKGMLVTFSTTENYGKEMRKANNGISEEATPVGAGFIRFEFFPDGLPEDDPKQTDLEYYVPKLELVGKELVTQMIKRHATEGRPVSCVVNNPFIPWVCDVAVELGIPQATLWIQSCAVFSAYYHYNKKTVPFPTEAEPNIDVQLPCMPVLKHDEIPSFLYPSDPFEVLGRAILGQFKKLSKSFYVLMDTFQELEPEIIEHMSQVCIVKPVGPLFKNPKAPKTSVRGDLMKADDCLDWLDSKPPASVVYISFGSIVHLKQEQVDEIAHGLLSSGVSFLWVLKPPAKAFGLEKHVLPQGFLEEVGDKGKLVQWSPQEQVLGHTSVACFLTHCGWNSSVEALTSGVPVVTFPQWGDQVTNAKFLVDVFGVGLRLSRGMAENRLVMRAEVEKCLLEATVGDKEVELRRNTLKWKKVAEEAVAEGGSSDRSLEDFLDEISKIKSVA >ONH93308 pep chromosome:Prunus_persica_NCBIv2:G8:20248732:20249196:-1 gene:PRUPE_8G224800 transcript:ONH93308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGRSRGKAREEGQRDVKYRGVRIRPWGKFAAEIRDSTRQGARLWLGTFNTAQEAARAYDRAAFSMRGPLAILNFPSEYGLPEAEHSTSAASCSSSCSSSSSSSSSSNFSSSSTLHNNVTTRTETGREIFEFEYLDDSVLEDLLDFDNKSKSK >ONH91239 pep chromosome:Prunus_persica_NCBIv2:G8:13300195:13302973:-1 gene:PRUPE_8G101500 transcript:ONH91239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADERAEDNAVVSGQPQKTIADFDPPGKPKRNKYAFACAILASMTSILLGYDIGVMSGAAIYIKKDLKVSDVEIEVLIGILNLYSLIGSAAAGRTSDWIGRRYTIVLAGAIFFAGALLMGFAPNYAFLMFGRFIAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINAGILFGYVSNYGFSKLPTHLGWRLMLGVGAIPAIFLAIGVLAMPESPRWLVMQGRLGDARKVLDKTSDSLEESKLRLGDIKEAAGIPEHCNDDIVEVKKRSQGQDVWKELLLHPTPAVRHILMCAVGLHFFQQASGIDAVVLYSPRIFEKAGITNSDKILLCTVAVGFVKTVFILVATFMLDRIGRRPIMWAIVLCLTMVLAYVAFFSIGMGPITWVYSSEIFPLQLRAQGCSIGVAVNRVVSGVLSMTFISLYKAITIGGAFFLFAAIAAVGWTFFFTMLPETQGRTLEDMEVLFGKFYRWRKANALLKQKQGEHGDGNNNPNNPQIQLGTKGVAN >ONH91240 pep chromosome:Prunus_persica_NCBIv2:G8:13300980:13302786:-1 gene:PRUPE_8G101500 transcript:ONH91240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADERAEDNAVVSGQPQKTIADFDPPGKPKRNKYAFACAILASMTSILLGYDIGVMSGAAIYIKKDLKVSDVEIEVLIGILNLYSLIGSAAAGRTSDWIGRRYTIVLAGAIFFAGALLMGFAPNYAFLMFGRFIAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINAGILFGYVSNYGFSKLPTHLGWRLMLGVGAIPAIFLAIGVLAMPESPRWLVMQGRLGDARKVLDKTSDSLEESKLRLGDIKEAAGIPEHCNDDIVEVKKRSQGQDVWKELLLHPTPAVRHILMCAVGLHFFQQASGIDAVVLYSPRIFEKAGITNSDKILLCTVAVGFVKTVFILVATFMLDRIGRRPLL >ONH91241 pep chromosome:Prunus_persica_NCBIv2:G8:13300195:13302424:-1 gene:PRUPE_8G101500 transcript:ONH91241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLVFRKFLNKAASMHVLLLVFCTDIGVMSGAAIYIKKDLKVSDVEIEVLIGILNLYSLIGSAAAGRTSDWIGRRYTIVLAGAIFFAGALLMGFAPNYAFLMFGRFIAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINAGILFGYVSNYGFSKLPTHLGWRLMLGVGAIPAIFLAIGVLAMPESPRWLVMQGRLGDARKVLDKTSDSLEESKLRLGDIKEAAGIPEHCNDDIVEVKKRSQGQDVWKELLLHPTPAVRHILMCAVGLHFFQQASGIDAVVLYSPRIFEKAGITNSDKILLCTVAVGFVKTVFILVATFMLDRIGRRPLL >ONH93754 pep chromosome:Prunus_persica_NCBIv2:G8:21577135:21577824:-1 gene:PRUPE_8G250700 transcript:ONH93754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEQIYQKTGYSTPSLILGMGAIRFSVSVFRFRCSPPINIVSAVRSFKGHERPTLRYPITVTWSSVLSLIRRIVLHSSSSSSTESQTLTLIRRCRRRRICWQIRSSSNLMAHSFIVESDGRCRRRRICWQIRSSSNLMAHSFIVESDGRFVRHRICSLIRSSSSHHRMSFGSEETYIRF >ONH91384 pep chromosome:Prunus_persica_NCBIv2:G8:14054521:14059101:-1 gene:PRUPE_8G111200 transcript:ONH91384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDTTQLLGAPSPSSSSSSFTNSWTHDVFLSFRGEDTRYNFTDHLHKNLVQRGIRTFIDDELPRGEEISQALLDAIEGSRCSIIVFSEKYASSKWCLDELVHIIQCRKSKQQMVWPVFYKVDPSDVRNQRGSYGEALNNHERKFKEQKLTNHDESKFEDNMKKVLRWKETLTEAANLSGSHYLEGRETEFIQNIVNEISLQVLNDTHINVAKYQVGIQARVRDLHKVLDVDGNDVRMVGIWGTAGIGKTTVAKAVYNSLAHVFEGSCFLEKVRERSIPYGGLVDLQNLLLDEILRGKEIKVTSADKGISVIKERLSGKKVLVIVDDVDHLDQLNNLVGGCDWFGLGSRIIITTRDKHLLTSHQVSIIYKAKKLNFGESLDLFISWNGGRNKNLDDDYVKAAETVLKHAQGLPLALKVLGSHLCGRSIDEWHDALDGNLHPDIKKTLKISYDALEYSVQEVFLDIACFFNGRKVNHVIPILEGCDLKPKYAIKVLVDKALINIERGIIGMHDLLEELGRGIVYLQSPNEPGERSRLWFHEDVYRVLTEGTGTNNIKGIIAKFPTPDDICLSGDSFSKMKNLRLFINVNARFSGDHVDYLSNELRFLHWPNCPLQTLPSTFNPRKLVELYMPYSRLSQLGEGFKRLQNLTSMNFKSCEFLTKSPNISGIPNLQSLNLDDCTSLVEVHPSVGFHDKLVKLSLQSCHNLTLFPIIKSKSLEVLYLVYCRRLETFPEIGGKMDSLRHLFLCGSGIKELPASIAYLVSLEFLDISICENLTNLPSSIYELEHLNEICLQGSRKLVRFPNKVKSEVLGSPVSHTLALPSLAEFKLEGNNLSEFNFLRTLDCVSTLNVLDLRRSDFLVSIPKCITKFVNLRDLYLHGCKRLRDIPELPPKIVKLGASDCVSLERFSSLSNILKGKKDLQMIELVDLGNCQRLCGNLARDLTKKHNILAKEQITLFFDHLLSSQKHGFQVVFPASFEVFSTLFSCHKDVKERDNACKFLIQIPPNFKCQNQGLALYAAVENPQNKRRRFHGFVPKISINQPGVEPYFIQCNYYFKKIGSGHVWLCYIQFREMFYRDDQITWPKSPFACRVNFPTWAEDSLRFKSFGVHLVMTQDDEDLSIFTEDGESESDDLEDVNERFDEDNFVDRCEDEQDSEDNEDGYFYCR >ONH91446 pep chromosome:Prunus_persica_NCBIv2:G8:14248943:14251302:1 gene:PRUPE_8G115100 transcript:ONH91446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDPAFIQDPEHRPKLSVTEAEGIPLIDLSPLNSSDNISDPKAIEGVVREIGNACKEWGFFQVINHGVLLDKHKKIEAAARKFFAQPLEEKRKITRDENSLFGYYDTEHTKNVRDWKEVFDFAVEEPMLMPASLDPENKEETKWTNRWPEYPPELRVACEEYTEEVEKLALKLMGLIALSLGLTADRFNSYFKDQTSFIRLNYYPPCPSPQLALGIGRHKDSGALTVLAQDEVGGLEVKRKRDGEWIQVKPTPNAYIINVGDIIQVWSNDRYESVEHRVLLNSEKGRFSIPYFVNPAHYTLVQPLEELTHEQEDPAKYKPYSWGKFLNHRKLSNFKKHNAENIQLYHFRVSE >ONH92139 pep chromosome:Prunus_persica_NCBIv2:G8:16789729:16792261:1 gene:PRUPE_8G157600 transcript:ONH92139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPALPEVELRELGNTGLKLSCVGFGASPLGNVFGPVSDDEAIGSVREAFRRGINFFDTSPYYGGTLSEKVLGKTLKALGVPRSEYIVATKCGRYADGFDFSADRVTKSIDESLERLQLDYVDILQCHDIEFGSLDQIINETIPALKKLKETGKIRLIGITGLPLGIFTYVLDRVPPGTVDVVLSYCHYGINDSALEDLLPYLKSKGVGVISASPLAMGLLTESGPPEWHPASAELKSACRAAAVYCKERGKNISKLAMQYSLSNKDISSVLVGMNSIKQVEENVAAAVELETVGKDEKTLAEVEAILKPVKNQTWSSGIQQS >ONH92138 pep chromosome:Prunus_persica_NCBIv2:G8:16789729:16792261:1 gene:PRUPE_8G157600 transcript:ONH92138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPALPEVELRELGNTGLKLSCVGFGASPLGNVFGPVSDDEAIGSVREAFRRGINFFDTSPYYGGTLSEKVLGKTLKALGVPRSEYIVATKCGRYADGFDFSADRVTKSIDESLERLQLDYVDILQCHDIEFGSLDQIINETIPALKKLKETGKIRLIGITGLPLGIFTYVLDRVPPGTVDVVLSYCHYGINDSALEDLLPYLKSKGVGVISASPLAMGLLTESGPPEWHPASAELKSACRAAAVYCKERGKNISKLAMQYSLSNKDISSVLVGMNSIKQVEENVAAAVELETVGKDEKTLAEVEAILKPVKNQTWSSGIQQS >ONH93646 pep chromosome:Prunus_persica_NCBIv2:G8:21257965:21260642:1 gene:PRUPE_8G243900 transcript:ONH93646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFGLFLATGVFFVFIAFTMFLPIMVLMPQKFAICFTLGCAFIIASFFALKGPKNQLVHMSSRERLPFTFGFLGSMAGTIYVSMVLHSYILSVLFSVIQVVVVVCRRI >ONH92809 pep chromosome:Prunus_persica_NCBIv2:G8:18837400:18839952:-1 gene:PRUPE_8G197000 transcript:ONH92809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTISPIQILETILSLLLLYVALRIIAYTKKKITSTKTTVTTKLVPQPSGAWPFIGHLPLLRGKDPVAVTLGAMADDHGPIFSLKLGQHQVLVLSAWETVKECLTTNDRVFATRPSMAGGKYLGYDNAAFAIAPYGPYWRHVRKLAMLELLSTKRVEMLSHIRTSEVDLFVKNLLSLCMKNGAGPTPVHLSELIEFLTFNINVRLIVGKRFTAEQYNEKNSDAWRFEKAVKDALYLLGVFVWSDAMPWLEWLDILFGHVGSMKRCFKELDCVLGKWLEEHRQRSRPQCKIDRVESDLMDVMMSSFQEEEDVIFGHSRDNVIKATALVLILTGTESTSVTLTWALSLLLNNPTTLKAAQQELDIHVGRDRWVQESDLPNLKYLQAILKETLRIYPPGPLAGLREATEDCHLAGYHVPKGTRVLVNIWKLQRDPRMWANPGEFQPKRFMTTHADVEFKDQNNFEYIPFSSGRRSCPGMVLGLQVVQLILARLVQGFDMSRVCEEAVDMREGLGLALPKANPLEALLSPRLPLHLYKNPTIASIDEKENP >ONH89752 pep chromosome:Prunus_persica_NCBIv2:G8:1142846:1143963:-1 gene:PRUPE_8G013900 transcript:ONH89752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLEPQLVPSIESRSFDLIDVAPLDATQPPSTGVFLVESNQEEPATICPSNSSSSLLINHFHSELFPTAGSHKLLDELSSLEADVIDLLRGSVTHHSDVQPTTMHAPVESAKETIRGILCQGFDTLTNSEMQEAFFASSEVLLSADVFPCAHLKTRLTVFRNELRENATAFLQAQTVIDTASEFSSLQEFLEVQAVKIPALQERLGMAAKKIADLRAILDAAVERQTKMDEVLAAFVDKTKIAKQKLASLNPQAADIKSKKQAAVKVVEQCKSSWVDLSTSLFRFL >ONH91223 pep chromosome:Prunus_persica_NCBIv2:G8:13134316:13138287:1 gene:PRUPE_8G100000 transcript:ONH91223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFIIFCLLFFVCGHANGARDVQQYKNAYGAAKNMKEVEDSSYGPYITQYNSGHNSREAEVSYPTSYGTKEGRREAETPYITQYNSGHISKEAEVTYITNYGTKEDIKEAETPYITQYNSGHNSKEAEVTYITNYGTKEDTKETETPYKMSYETKKGTKEIETPYVMSYGTKEIETPYITGYISAQGKKESEEVEMPYITGYISAQGKKESKEVEVSPYITGYISAQGKKESKEVEVSPYITGYISAQGNKESKEIETPYITGYISAQAAKEKTGTKCKHHKHVNPPSSDTKNSQEPPLKGHEHHHMHTHSSSPMDHNEAFKIGFFTFDDLYKGSLDAKHMAYALEECEMKPITGETKFCATSLESMIEFVTKIIGSGVSFNILSTTHPTTSTAITQSYTILEEPNEVLASKMVFCHPMAYPYAVFFCHNFERDTKFFKVSLEGANGDKVEAMAVCHMDTSDWDPNHSLFGLLGIKAGASSPVCHFLPENHLAWIPSPTKATM >ONH91222 pep chromosome:Prunus_persica_NCBIv2:G8:13134316:13138307:1 gene:PRUPE_8G100000 transcript:ONH91222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFIIFCLLFFVCGHANGARDVQQYKNAYGAAKNMKEVEDSSYGPYITQYNSGHNSREAEVSYPTSYGTKEGRREAETPYITQYNSGHISKEAEVTYITNYGTKEDIKEAETPYITQYNSGHNSKEAEVTYITNYGTKEDTKETETPYKMSYETKKGTKEIETPYVMSYGTKEIETPYITGYISAQGKKESEEVEMPYITGYISAQGKKESKEVEVSPYITGYISAQGKKESKEVEVSPYITGYISAQGNKESKEIETPYITGYISAQAAKEKTGTKCKHHKHVNPPSSDTKNSQEPPLKGHEHHHMHTHSSSPMDHNEAFKIGFFTFDDLYKGKIMPLNFPKQEHSRFLPKEVADSIPFAMQQLPHLLQLFSIPQGSLDAKHMAYALEECEMKPITGETKFCATSLESMIEFVTKIIGSGVSFNILSTTHPTTSTAITQSYTILEEPNEVLASKMVFCHPMAYPYAVFFCHNFERDTKFFKVSLEGANGDKVEAMAVCHMDTSDWDPNHSLFGLLGIKAGASSPVCHFLPENHLAWIPSPTKATM >ONH89607 pep chromosome:Prunus_persica_NCBIv2:G8:314940:317369:-1 gene:PRUPE_8G003900 transcript:ONH89607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNPSNFPILSYVMNRLPSLGTKHTAPTTPIASDLHSIHIDAHPQPPSKSSTDPSSSSSQTTIVDHMPHLSDPKVLASMTRAISDVSQTRSVLKTLGDRPDHEAVDVSKARLAAVDSDLAKKLEELVLSPRPADVDRLQWRAHLAEREQECRESAEKEKQGYKAIVQLDELHSAYEKLLKDAEQRLVKIYESAEAGVIVEDDKVEEEGFTSDQVHEEVVGILQEASGTELDRVNLSGRRLRFLPEAFGRIRGLLMLDLSNNELQVIPDSIAGLEKLEELNLSSNLLEALPDSIGMLQNLKVLSAYGNKLSALPDSICQCRSLVELDVSFNGLTYLPTNIGFELVNLQKLSIQLNKIRSLPTSVCELRSLRYLDAHFNELRGLPLAFGRLTNLQILNLCSNFTDLTELPDTFGDLTNLKELDLSNNQIHALPDTFGRLDNLTKLNVDGNPLVLPPPDVVQQGVEAVKVFMAKRWLEILVEEERKSMLQVQEREETGWLTRSTSWLKDYVSGVSEYIGSPRTARDPILDQQL >ONH94013 pep chromosome:Prunus_persica_NCBIv2:G8:22267137:22269341:1 gene:PRUPE_8G266100 transcript:ONH94013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAFFPFTPFDFGVLGGLTPQLEDYEKDQEVAFKVKPGHLFAIEELGLGEMDSSLSSEHGFYQEDSKAKKGGLSHFSKDPEPTYSLSDNFNFDIVSSEHGFQQSNKSEKGLAHLSKDQDHHHYQQHPHYQQQQPKSNYSILDNFNFDSAFPPAHPIQDGTHQFTYNQIGGSDIAETNKHLPHQSSLAVLELLNSYGSAFKNLRGERTSSNTGNEAEASSYVGQQKLSTEEIMRVAGARYVQFSNQGYGDFYPLHPFGYALSGLSEEETKDAELAHILLAAAEKVGYQQFERANRLLLRCEWIASFKGNPVQRVVFYFAEALRERIERETGSIPSKAKEMSISGHGLSTNPTYIACHQEVPFHSVMQFTGIQAIIESVSLESKVHLIDLEIRSGVQWTGLMESLAEREECPVELLTITAVGVAGKQKIEETGKRLTSVAESLNIPFQFKAVIISAMEDIKDQLFDVEDDEAVAVYAPLILRTMISRPSCLENLMRVMRNLTPCIMVVIEVEVNHNSPSFVNRFIDALFYYSAFFDCLETCMKQEEYRVLTEDLFREGIRNIVAAEGSERVARSVKMDVWRAFFARFRMVEMNFSNASLYQASLLAKRFGCPSCTLDRNGKCLTVGWKGTPIHSVSAWKFR >ONH89680 pep chromosome:Prunus_persica_NCBIv2:G8:686026:687767:1 gene:PRUPE_8G009200 transcript:ONH89680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRGPRLSGMQKQVLSLYRGFLRAARAKSAEDRQQIESLVSSEFRRNAKEVDRKNFLYIEYLLRRAKKQLDQLRSPDVVGLSALNVSFSQTKHPTN >ONH89679 pep chromosome:Prunus_persica_NCBIv2:G8:683911:687738:1 gene:PRUPE_8G009200 transcript:ONH89679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRGPRLSGMQKQVLSLYRGFLRAARAKSAEDRQQIESLVSSEFRRNAKEVDRKNFLYIEYLLRRAKKQLDQLRSPDVVGLSALNVSFSQTKHPTN >ONH89681 pep chromosome:Prunus_persica_NCBIv2:G8:686026:687765:1 gene:PRUPE_8G009200 transcript:ONH89681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRGPRLSGMQKQVLSLYRGFLRAARAKSAEDRQQIESLVSSEFRRNAKEVDRKNFLYIEYLLRRAKKQLDQLRSPDVVGLSALNVSFSQTKHPTN >ONH89682 pep chromosome:Prunus_persica_NCBIv2:G8:686044:687738:1 gene:PRUPE_8G009200 transcript:ONH89682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRGPRLSGMQKQVLSLYRGFLRAARAKSAEDRQQIESLVSSEFRRNAKEVDRKNFLYIEYLLRRAKKQLDQLRSPDVVGLSALNVSFSQTKHPTN >ONH89740 pep chromosome:Prunus_persica_NCBIv2:G8:1073223:1076107:-1 gene:PRUPE_8G013000 transcript:ONH89740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHEQVFRRITRYYGGNEHIDELETLCQKRALDAFHLDGKKWGVNVQPLSGSPANFEVYTAVLKPHDRLMGLDLSHGGHLSHGFMTPKRRVSGTSIYFESMSYRLNESTGLVDYDKLEEMADRFKPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVLANPFDYCDIVTTTTHKSLRGPRGGMIFFKKDAVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKYAQSPEFKAYQNKVVSNCRSLASRLTELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSAVVPGGIRIGSPAMTTRGFTEKEFIAVADYIHEGVQITIDAKRAVSGSKLQDFMRFVASPEFSLKDRVSDLQRRVEGLTTQFPIPGV >ONH89738 pep chromosome:Prunus_persica_NCBIv2:G8:1072954:1077272:-1 gene:PRUPE_8G013000 transcript:ONH89738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACGGAAVMGSIQQPTWTKGTTFPNKGLSFGGYSHQLKLNYVKTRRSYSYIEGSLVAGRPSSSVSVPLPEIGADGSSFIDNGLSEADPEVRGIIEKEKERQFKSLELIASENFTYRAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELETLCQKRALDAFHLDGKKWGVNVQPLSGSPANFEVYTAVLKPHDRLMGLDLSHGGHLSHGFMTPKRRVSGTSIYFESMSYRLNESTGLVDYDKLEEMADRFKPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVLANPFDYCDIVTTTTHKSLRGPRGGMIFFKKDAVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKYAQSPEFKAYQNKVVSNCRSLASRLTELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSAVVPGGIRIGSPAMTTRGFTEKEFIAVADYIHEGVQITIDAKRAVSGSKLQDFMRFVASPEFSLKDRVSDLQRRVEGLTTQFPIPGV >ONH89739 pep chromosome:Prunus_persica_NCBIv2:G8:1072915:1077474:-1 gene:PRUPE_8G013000 transcript:ONH89739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACGGAAVMGSIQQPTWTKGTTFPNKGLSFGGYSHQLKLNYVKTRRSYSYIEGSLVAGRPSSSVSVPLPEIGADGSSFIDNGLSEADPEVRGIIEKEKERQFKSLELIASENFTYRAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELETLCQKRALDAFHLDGKKWGVNVQPLSGSPANFEVYTAVLKPHDRLMGLDLSHGGHLSHGFMTPKRRVSGTSIYFESMSYRLNESTGLVDYDKLEEMADRFKPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVLANPFDYCDIVTTTTHKSLRGPRGGMIFFKKDAVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKYAQSPEFKAYQNKVVSNCRSLASRLTELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSAVVPGGIRIGSPAMTTRGFTEKEFIAVADYIHEGVQITIDAKRAVSGSKLQDFMRFVASPEFSLKDRVSDLQRRVEGLTTQFPIPGV >ONH89737 pep chromosome:Prunus_persica_NCBIv2:G8:1072790:1077489:-1 gene:PRUPE_8G013000 transcript:ONH89737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACGGAAVMGSIQQPTWTKGTTFPNKGLSFGGYSHQLKLNYVKTRRSYSYIEGSLVAGRPSSSVSVPLPEIGADGSSFIDNGLSEADPEVRGIIEKEKERQFKSLELIASENFTYRAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELETLCQKRALDAFHLDGKKWGVNVQPLSGSPANFEVYTAVLKPHDRLMGLDLSHGGHLSHGFMTPKRRVSGTSIYFESMSYRLNESTGLVDYDKLEEMADRFKPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVLANPFDYCDIVTTTTHKSLRGPRGGMIFFKKDAVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKYAQSPEFKAYQNKVVSNCRSLASRLTELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSAVVPGGIRIGSPAMTTRGFTEKEFIAVADYIHEGVQITIDAKRAVSGSKLQDFMRFVASPEFSLKDRVSDLQRRVEGLTTQFPIPGV >ONH89741 pep chromosome:Prunus_persica_NCBIv2:G8:1072941:1077442:-1 gene:PRUPE_8G013000 transcript:ONH89741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHEQVFRRITRYYGGNEHIDELETLCQKRALDAFHLDGKKWGVNVQPLSGSPANFEVYTAVLKPHDRLMGLDLSHGGHLSHGFMTPKRRVSGTSIYFESMSYRLNESTGLVDYDKLEEMADRFKPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVLANPFDYCDIVTTTTHKSLRGPRGGMIFFKKDAVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKYAQSPEFKAYQNKVVSNCRSLASRLTELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSAVVPGGIRIGSPAMTTRGFTEKEFIAVADYIHEGVQITIDAKRAVSGSKLQDFMRFVASPEFSLKDRVSDLQRRVEGLTTQFPIPGV >ONH93571 pep chromosome:Prunus_persica_NCBIv2:G8:21049348:21050894:1 gene:PRUPE_8G239500 transcript:ONH93571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSGQASPPYRPYRHLKTLRGHENAVSCVKFSNDGDLLASASLDKTVILWSSSALTLLRRLVGHSEGISDLAWSSDSHYICSASDDRTLRIWDARSSAGECVKTLKGHSNFVFCVNFNPQSNLIVSGSFDETIRIWEVKTGKCLHIIRAHSLPVTSVHFNRDGSLIVSGSHDGSCKIWDTASGTCLKTLLEDKTAAVSFAKFSPNGKFILVATLNDTLKLWNYSTGKFLKIYTGHVNNVYCVTSTFSVTNGKYIVSGSEDHCVYLWDLQQKTMVQKLEGHSDTAISVSCHPSENKIASAALENDRTVRIWFQDA >ONH93598 pep chromosome:Prunus_persica_NCBIv2:G8:21145110:21150623:-1 gene:PRUPE_8G241500 transcript:ONH93598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTGIYGGKRTGVRVVVAGDRGTGKSTLISAVATEAFDRNVPPVLPPTRLPADLYNDLVPLTVIDTSSSSKRNEELKRADVVVLTYACDQPMTLSRLSTYWLPELRHLGVKVPVILVGCKLDLQDEQAPMSMEQVMSPIMQQFREIETCIECSAATNNQVPEVFYYAQKAVLHPTTPLFDQERQALQPRCISALRRIFTLCDHDMDGALNDSELNEFQVKCFNAPLQPAEIVGVKRVVHEKLPDGINDIGLTLSGFLFLHALFIEKGRLETTWAVLRKFGYDDDLKLADEFVPVPSKRAPDQSVELTTEAVEFLRGIFRLFDTDNDGALQPHQLEDLFATAPESPWSELPFEDAAEITASGNLPLNAFLSEWALMTLIDPKKSMANLIYIGYTGAPASALHVTRRRSVDRKKQKTERNVFHCFVFGPKNAGKTALLNSFIGRSFSKSDTTTTGERFAVNVVNQVGGNKKTLVLREIPEDEVKTFFSKKTFLAACDVAVFVHDSSSEHSWKRSRELLVEVAKQGEESGYGVPCLLIAAKDDLDPYPMAVRDSLGISQELGIEAPVRVSMKLNTMNDVFNRILSVAEHPHLSIPETETRKNRKKYLQVVNRSLMCVSVGAAAAFVGLAAYRAYSARRNASG >ONH93599 pep chromosome:Prunus_persica_NCBIv2:G8:21145409:21150485:-1 gene:PRUPE_8G241500 transcript:ONH93599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTGIYGGKRTGVRVVVAGDRGTGKSTLISAVATEAFDRNVPPVLPPTRLPADLYNDLVPLTVIDTSSSLENSSKRNEELKRADVVVLTYACDQPMTLSRLSTYWLPELRHLGVKVPVILVGCKLDLQDEQAPMSMEQVMSPIMQQFREIETCIECSAATNNQVPEVFYYAQKAVLHPTTPLFDQERQALQPRCISALRRIFTLCDHDMDGALNDSELNEFQVKCFNAPLQPAEIVGVKRVVHEKLPDGINDIGLTLSGFLFLHALFIEKGRLETTWAVLRKFGYDDDLKLADEFVPVPSKRAPDQSVELTTEAVEFLRGIFRLFDTDNDGALQPHQLEDLFATAPESPWSELPFEDAAEITASGNLPLNAFLSEWALMTLIDPKKSMANLIYIGYTGAPASALHVTRRRSVDRKKQKTERNVFHCFVFGPKNAGKTALLNSFIGRSFSKSDTTTTGERFAVNVVNQVGGNKKTLVLREIPEDEVKTFFSKKTFLAACDVAVFVHDSSSEHSWKRSRELLVEVAKQGEESGYGVPCLLIAAKDDLDPYPMAVRDSLGISQELGIEAPVRVSMKLNTMNDVFNRILSVAEHPHLSIPETETRKNRKKYLQVVNRSLMCVSVGAAAAFVGLAAYRAYSARRNASG >ONH92994 pep chromosome:Prunus_persica_NCBIv2:G8:19346990:19352150:1 gene:PRUPE_8G206800 transcript:ONH92994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARYSATMAVALSLFSLLSTMVLLQALFVSAENEKLVNSRFVGTEELQSSKNSSMADWSDEALNEHAVDNPDEIASMVDMSIRNSTERRNLGFFSCATGNPVDDCWRCDPHWQLHRKRLANCGIGFGRNAVGGRDGKYYVVNNPRDDDPINPRPGTLRHAVIQDQPLWIVFKRDMVITLKQELIMNSFKTIDGRGVNVHIAYGACITVQFITNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTIADGDGISIFGSSHIWIDHNSLSNCADGLIDAIMGSTAITISNNYFTHHNEVMLLGHSDSYTRDKLMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPNNAFAKEVTHRVETNNWKHWNWRSEGDLLLNGAYFIASGAGAAGSYARASSLGAKSSSMVGTITAGAGVLNCRRGYQC >ONH93004 pep chromosome:Prunus_persica_NCBIv2:G8:19377636:19381456:1 gene:PRUPE_8G207600 transcript:ONH93004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKLFPTHLHLHHFLSHYSLLLFFLLTLLTCSASAANVEVLTLHSWLQSSPSPSPSSSSDFSNWNPSDQNPCSWSYITCSPQNFVTEINIQSVELALPFPSNLSSLAFLQRLIISGANLTGTVSLDIGHCNALTVIDVSSNSLVGSIPSSIGKLQNLQDLILNSNQLTGQIPKELGGCISLKNLLVFDNYLSGSVPAELGKLLNVEVIRAGGNKDISGKIPDELGNCKNLQVLGLADTKISGSIPASLGKLSMLQTLSVYTTMISGEIPPDIGNCSELVNLFLYENDLSGSLPPELGKLQKLEKILLWQNNLVGNIPEEIGNCRSLKTIDLSLNSVSGSIPQSFGNLSNLEDLMLSNNNISGSIPSVLSSATKLLQLQLDTNQISGLIPTELGMLTELRVFFAWQNKLEGSIPSELAGCKSLQAIDLSHNALTGSLPPGLFQLQNLTKLLLISNEISGSIPAVIGNCSSLIRLRLVNNRISGEIPKEIGLLDNLSFLDLSENNLVGLVPDEIGKCSALQLLNLSNNSLGGTLPSLFSSLTRLEVLDASVNRFVGQIPESYGRLASLNRLILSKNSLSGPIPSSLGRCSSLQLLDLSSNKLTGTIPEDLFEIEALDIALNLSFNALSGIIPPQVSALNKLSILDLSHNKLEGDLLALSGLENLVSLNISYNNFTGYLPDEKLFRQLSATDLAGNEGLCSRGHDFCFLSNGTTMSMPKSGGFRRSWRLKLAIGLLTTLTVALTIFGAVAVYRTRKMMGEDNDSEMGGDSWPWQFTPFQKVNFTVDQVLKCLVETNVIGKGCSGIVYRAEMETEDIAVKKLWPTTIATRYNCQNDRFGINAEVRDSFSAEVKTLGSIRHKNIVRFLGCCWNRNTRLLMYEYMPNGSLGGLLHERSGNCLEWDLRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGPDFDPCIADFGLAKLVDEGDFARSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHIVDWVRQRRGGVEVLDASLRARPESEIEEMLQTLGVALLCINSTPDDRPTMKDVAAMLKEIRQEREECMKANMLLNGSAENEGRENISCSVGTSSAMVVQHSSYPSSHSTSFSASSLIYTSPPNVKNNS >ONH94124 pep chromosome:Prunus_persica_NCBIv2:G8:22506679:22508365:-1 gene:PRUPE_8G271700 transcript:ONH94124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKTKKEKARPAGHTPYQGGISFHKSKGQHILKNPLLVDSIVQKSGIKSTDVILEIGPGTGNLTKKLLEVGKRVIAVEIDARMVLELQRRFQGTPLSSRLQVIQGDVLKTELPYFDICVANIPYQISSPLTFKLLKHQPAFRCAIIMFQREFAMRLVAQPGDKLYCRLTVNTQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPPFEVNQKEWDGFLRICFNRKNKTLGSIFRQKSVINLLEKNYKTLQALNLAPAQQGALEDTNDVMDFSDEDIEMNDDGVDDGMEVEDGNAEGEVSEFKKKVLSVLMKAGFEGERSSKLKLQQFLDLLSEFNKDGIHFS >ONH89610 pep chromosome:Prunus_persica_NCBIv2:G8:320728:328464:-1 gene:PRUPE_8G004200 transcript:ONH89610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKAVEARSHQRLSGADRKKLKRSLKNKFPGASESDIDSLLPPKVEIAVAKFQNRVHVYGVEGGFPIFFDIDGRGTDIFPTVYALWKVPDLLPSFVLKGGEVSRYIIGGADLMFPGISIPAEGLPSFIAGEAWAVKVPGNPAPIAVGTTTMSSTEAAKAGLRGKALKIMHYYHDLLWESVECPYVPNAGFFEDVVFEDPTLSSLQVSDSYSGANYSSNDQQNGISDKENGKSVDVADVLSEPSSASVAQTNSGNEIAEEIAAHVDDLKVTDDGSADQSIGEDQHPLSTEDVDTLLEKCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSYKKLSKWLQAKSSTGLITVKEDKYKKESILLSVNRKHPDYSSFKPEKRQVEKTVQTGVPTVSESRSLKMLEVVEVYKPSVHVNPILASVGADTGKLYSASEATDIVFTYVEKENLVKQTDKSIVVLDPILCDALFKGAIKKGTMYPTEIHKRDLGAAFVNRMQAHHVVTRGSESVVRKGGLKTIQIMTERRQGNKKVTKLSGLETFLVDPEALASELQKKFACSTTVVELPGKKGHEVVVQGGVIDDLAKHMIEQYGIPKRFIEVLDKTRR >ONH89611 pep chromosome:Prunus_persica_NCBIv2:G8:320728:328464:-1 gene:PRUPE_8G004200 transcript:ONH89611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKAVEARSHQRLSGADRKKLKRSLKNKFPGASESDIDSLLPPKVEIAVAKFQNRVHVYGVEGGFPIFFDIDGRGTDIFPTVYALWKVPDLLPSFVLKGGEVSRYIIGGADLMFPGISIPAEGLPSFIAGEAWAVKVPGNPAPIAVGTTTMSSTEAAKAGLRGKALKIMHYYHDLLWESVECPYVPNAGFFEDVVFEDPTLSSLQVSDSYSGANYSSNDQQNGISDKENGKSVDVADVLSEPSSASVAQTNSGNEIAEEIAAHVDDLKVTDDGSADQSIGEDQHPLSTEDVDTLLEKCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSYKKLSKWLQAKSSTGLITVKEDKYKKESILLSVNRKHPDYSSFKPEKRQVEKTVQTGVPTVSESRSLKMLEVVEVYKPSVHVNPILASVGADTGKLYSASEATDIVFTYVEKENLVKQTDKSIVVLDPILCDALFKGAIKKGTMYPTEIHKRDLGAAFVNRMQAHHVVTRGSESVVRKGGLKTIQIMTERRQGNKKVTKLSGLETFLVDPEALASELQKKFACSTTVVELPGKKGHEVVVQGGVIDDLAKHMIEQYGIPKRFIEVLDKTRR >ONH89613 pep chromosome:Prunus_persica_NCBIv2:G8:322555:325847:-1 gene:PRUPE_8G004200 transcript:ONH89613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGISIPAEGLPSFIAGEAWAVKVPGNPAPIAVGTTTMSSTEAAKAGLRGKALKIMHYYHDLLWESVECPYVPNAGFFEDVVFEDPTLSSLQVSDSYSGANYSSNDQQNGISDKENGKSVDVADVLSEPSSASVAQTNSGNEIAEEIAAHVDDLKVTDDGSADQSIGEDQHPLSTEDVDTLLEKCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSYKKLSKWLQAKSSTGLITVKEDKYKKESILLSVNRKHPDYSSFKPEKRQVEKTVQTGVPTVSESRSLKMLEVVEVYKPSVHVNPILASVGADTGKLYSASEATDIVFTYVEKENLVKQTDKSIVVLDPILCDALFKGAIKKGTMYPTEIHKRDLGAAFVNRMQAHHVVTRGSESVVRKGGLKTIQIMTERRQGNKKVTKLSGLETFLVDPEALASELQKKFACSTTVVELPGKKGHEVVVQGGVIDDLAKHMIEQYGIPKRFIEVLDKTRR >ONH89612 pep chromosome:Prunus_persica_NCBIv2:G8:322555:325847:-1 gene:PRUPE_8G004200 transcript:ONH89612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGISIPAEGLPSFIAGEAWAVKVPGNPAPIAVGTTTMSSTEAAKAGLRGKALKIMHYYHDLLWESVECPYVPNAGFFEDVVFEDPTLSSLQVSDSYSGANYSSNDQQNGISDKENGKSVDVADVLSEPSSASVAQTNSGNEIAEEIAAHVDDLKVTDDGSADQSIGEDQHPLSTEDVDTLLEKCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSYKKLSKWLQAKSSTGLITVKEDKYKKESILLSVNRKHPDYSSFKPEKRQVEKTVQTGVPTVSESRSLKMLEVVEVYKPSVHVNPILASVGADTGKLYSASEATDIVFTYVEKENLVKQTDKSIVVLDPILCDALFKGAIKKGTMYPTEIHKRDLGAAFVNRMQAHHVVTRGSESVVRKGGLKTIQIMTERRQGNKKVTKLSGLETFLVDPEALASELQKKFACSTTVVELPGKKGHEVVVQGGVIDDLAKHMIEQYGIPKRFIEVLDKTRR >ONH90972 pep chromosome:Prunus_persica_NCBIv2:G8:11943426:11946984:1 gene:PRUPE_8G086500 transcript:ONH90972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSRVVALFVKNNSKALYNLRGTTPSRVLNEESYRFFSIGATRKCLDGFRSFSKGNYVPSIRNMSTVASVGIGNKEGLKLLVNGGPRAQKMVGIWLFGSAAWVFSMVILGGITRLTRSGLSMTDWKFTGGLPPLSDEDWLLEFEKYKQSPEYKRVNRGMSIEDFKFIYWMEYAHRMWGRALGIMFALPFSYFLRKGYITVQLGLRLSALFGLGAGQGLIGWWMVKSGLEEPASEYSQPRVSPYRLAAHLTSAFAIYCGLLWTGLSVVMPEPPSESLAWVRGAAKVKRLALPVSLLVGVTAVSGAFVAGNDAGHAYNTFPKMGDTWIPEDVFDMKPLIRNFFENTSTVQLDHRILATATLFSIGALWWSTRKFDIHPAVRSLIGSAVGMAGLQVTLGISTLLSYVPVELGTAHQAGALTLLTLMILLNHTLRRPSSSLLKSLPQVAKTI >ONH90974 pep chromosome:Prunus_persica_NCBIv2:G8:11943849:11946984:1 gene:PRUPE_8G086500 transcript:ONH90974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVASVGIGNKEGLKLLVNGGPRAQKMVGIWLFGSAAWVFSMVILGGITRLTRSGLSMTDWKFTGGLPPLSDEDWLLEFEKYKQSPEYKRVNRGMSIEDFKFIYWMEYAHRMWGRALGIMFALPFSYFLRKGYITVQLGLRLSALFGLGAGQGLIGWWMVKSGLEEPASEYSQPRVSPYRLAAHLTSAFAIYCGLLWTGLSVVMPEPPSESLAWVRGAAKVKRLALPVSLLVGVTAVSGAFVAGNDAGHAYNTFPKMGDTWIPEDVFDMKPLIRNFFENTSTVQLDHRILATATLFSIGALWWSTRKFDIHPAVRSLIGSAVGMAGLQVTLGISTLLSYVPVELGTAHQAGALTLLTLMILLNHTLRRPSSSLLKSLPQVAKTI >ONH90973 pep chromosome:Prunus_persica_NCBIv2:G8:11943869:11946984:1 gene:PRUPE_8G086500 transcript:ONH90973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVASVGIGNKEGLKLLVNGGPRAQKMVGIWLFGSAAWVFSMVILGGITRLTRSGLSMTDWKFTGGLPPLSDEDWLLEFEKYKQSPEYKRVNRGMSIEDFKFIYWMEYAHRMWGRALGIMFALPFSYFLRKGYITVQLGLRLSALFGLGAGQGLIGWWMVKSGLEEPASEYSQPRVSPYRLAAHLTSAFAIYCGLLWTGLSVVMPEPPSESLAWVRGAAKVKRLALPVSLLVGVTAVSGAFVAGNDAGHAYNTFPKMGDTWIPEDVFDMKPLIRNFFENTSTVQLDHRILATATLFSIGALWWSTRKFDIHPAVRSLIGSAVGMAGLQVTLGISTLLSYVPVELGTAHQAGALTLLTLMILLNHTLRRPSSSLLKSLPQVAKTI >ONH91708 pep chromosome:Prunus_persica_NCBIv2:G8:15427662:15429897:1 gene:PRUPE_8G131900 transcript:ONH91708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKQNEQNHQEQQQQQSHSLLLDALLCEEEKWEEDEGEVVQDSLEEVTSENYKNDINGHNPACPSLFLLEQDLFWEDEELVSLFSKEEQQQTHFIKHLEDMGNEAAEHSLISVARREAVLWMLKVNAHYGFTALTAILAINYFDRFISSLHFQRDKPWMVQLVAVTCLSLAAKVEETQVPLLLDLQVVDTKYVFEAKTIQRMELLVLSTLQWKMHPVTPLSFLDHIIRRLGLKTLLHWEFLKRCERLLLSVVFDSRFIGYLPSVLATATMMRVIDHVEPCNSMEYQNQLLGVLKISKEKVNDCYSLILELSKAYDCGYNNPHKRKHEQIPGSPSGVIDAYFSYDESSNDSWAVGSSISSSPEPLLKRSRAAEKDQQMKMASLNRLFVGIVGSPP >ONH89647 pep chromosome:Prunus_persica_NCBIv2:G8:525686:528779:-1 gene:PRUPE_8G006800 transcript:ONH89647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDIGDWEMLHNSDPPLVNSDDSVESPSNFEGIDVDAEGMIRPDYFSLDNQGKYGYAKDVADVSEEGSVESDNPSWIDPGSVTRYGAKEPGGFWSDSGSDRSDDRKSNDLEVKNELGFAGNDKSQVGFGGIVEMEYTDENLGKFGSEKGKLSGLDVEGQLSFEEIGENTRVEKESEMGFSGLDGGNDMDKKPEDGQSVGSLSAAPEVKPEAKSGAEVVKRTILWWKVPFEVLKYCVFRVSPVWSFSVAAAVMGLVFLGRRLYKMKRKSQTLQLKVTLDDKVSQFMSRAVRLNEAFSVVRRVPIIRPSLPASGVNPWPVMGLR >ONH89648 pep chromosome:Prunus_persica_NCBIv2:G8:525161:529475:-1 gene:PRUPE_8G006800 transcript:ONH89648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDIGDWEMLHNSDPPLVNSDDSVESPSNFEGIDVDAEGMIRPDYFSLDNQGKYGYAKDVADVSEEGSVESDNPSWIDPGSVTRYGAKEPGGFWSDSGSDRSDDRKSNDLEVKNELGFAGNDKSQVGFGGIVEMEYTDENLGKFGSEKGKLSGLDVEGQLSFEEIGENTRVEKESEMGFSGLDGGNDMDKKPEDGQSVGSLSAAPEVKPEAKSGAEVVKRTILWWKVPFEVLKYCVFRVSPVWSFSVAAAVMGLVFLGRRLYKMKRKSQTLQLKVTLDDKKVSQFMSRAVRLNEAFSVVRRVPIIRPSLPASGVNPWPVMGLR >ONH92689 pep chromosome:Prunus_persica_NCBIv2:G8:18506083:18509932:-1 gene:PRUPE_8G189600 transcript:ONH92689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTSSSLDSHRVLYLLLSLIYVFLSFLPAQSAPQSALITQIPGFSGTLPSKHYSGYVTIDQSHGRNLFYYFIESERKPSEDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAAKTKGSLPQLHLNPYSWSKVSNIIYLDSPAGVGFSYSENKTDYYTGDLKTASDSHTFLLKWFELYPEYLSNPFFISGESYAGVYVPTLSSEVVKGIDAAVKPILNFKGYLVGNGVTDDKFDGNALVPFAHGMGLISDDLYEDVNKECGGNYIYPVNDACGSKLEKVDKNIEELNIYDILEPCYHGTDSMKIKTTTNSRVPSSFRRLGETERPLAVRKRMFGRAWPLRAPVRDGIVPTWPELMNSEDVPCTDDEVATSWLNNETVRKAIHAAGESLVPSWELCTGGIRFTHDAGSMIKYHKNLTAKGYRALIYSGDHDMCVPFTGSEAWTRSLGYKVVDEWRPWTSNGQVAGYTQGYENNLTFLTVKGSGHTVPEYKPREAFDLFSRFLAGQPL >ONH92967 pep chromosome:Prunus_persica_NCBIv2:G8:19185934:19189264:-1 gene:PRUPE_8G204700 transcript:ONH92967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSKTSSPKGKKDDLYHVIHKVPSGDSPYVRAKHAQLVEKDPEAAIVLFWKAINAGDRVDSALKDMAVVMKQLDRTGEAIEAVKSFRGLCSKQAQDSLDNVLIDLYKKCGKIEEQIDLLKRKLRLIYQGAAFNGRPTKTARSHGKKFQVSVTQETSRLLGNLGWAYMQKGNFMMAEVVYRKAQMIDPDSNKACNLGLCLIKQGRYEDARLVLEDVLESRLPGSDESRSRKRAEELLMELRSMHPPPESFDLLGLDDDLVNGLEQLMNEGGPVRSKRLPIFEEISQFRDQVAC >ONH94009 pep chromosome:Prunus_persica_NCBIv2:G8:22257725:22261379:1 gene:PRUPE_8G265800 transcript:ONH94009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTCVSTSASSLRFNSLGFVPNPSCSPQPQALSLPFQRLPSRKLNKLVGKSKNLQSFPVKAIYSGEHWAPERSSRQGIWSIREDVQVPSSPYFPAYAQGQGPPPMVQERFQSVISQLFQHRIIRCGGAVDDDMANIIVAQLLYLDAVDPKKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLSYHTGQSLEKINQDTDRDFFMSAKEAKDYGLIDGVISNPLKAFQPLAAATASEDESAEQSVAESKGESSV >ONH91949 pep chromosome:Prunus_persica_NCBIv2:G8:16154262:16157108:1 gene:PRUPE_8G145900 transcript:ONH91949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSSIVYVVDAADYDNLSVSRRELHDLLSKPSLNGIPLLVLGNKIDKKEALSKQDLTEEMDLKSITDREVCCFMISCKNSTNIDTVIDWLVKHSKSKN >ONH91950 pep chromosome:Prunus_persica_NCBIv2:G8:16154450:16157108:1 gene:PRUPE_8G145900 transcript:ONH91950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSSIVYVVDAADYDNLSVSRRELHDLLSKPSLNGIPLLVLGNKIDKKEALSKQDLTEEMDLKSITDREVCCFMISCKNSTNIDTVIDWLVKHSKSKN >ONH92699 pep chromosome:Prunus_persica_NCBIv2:G8:18550927:18552295:1 gene:PRUPE_8G190400 transcript:ONH92699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQDVQNGEGEKIAPAVEIKAVLTQLVVPKADDAVKFYKAAFGAVELERSTHPKRKAEQERPFILSAQLKLGSSTFLVSDNTEDSGEPVNVVGSGAIALWLETPDIGAAVAKAVLAGAVSEGEITTGVFSDPDQPVGKVRDPFGFLWFFTSPVAKKAAAESEAPITV >ONH91038 pep chromosome:Prunus_persica_NCBIv2:G8:12309303:12315385:1 gene:PRUPE_8G089800 transcript:ONH91038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYYTGSNNQKDATPMLYLREPLPSSYPEAPVLPGNMMMYMNSGSYSDALAGSSQQQNNCIDVVSSAGASDNNSQQQDVLSHLGGSRVGEQGFSPWREGRNEMLVTQPMGGSAAILHGGQNLQGQGLSLSLSTQIPSGMQMPSIPYRNPNMGFASFLSPNPSISSEGDCRNGSFRDEQPRNVEYLQSGFPGGNPDSSKGDLSPYGMSSIARAIPNNKYLKAAQQLLDEVVNVQKALKQHDREKNQSTHEHRDDGSKNELESGVSSNPQDTASNSQCELSHAEKQELQSKLTKLLSMLDEVDRRYKHYYHQMQIVVSSFDVVAGCGAAKPYTAVALQTISRHFRCLRDAITGQIRATRKSLGEEGTSGSIKGVGISRLRYVDQQLRQQRALQQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEAGDAEMDSNSSSENAPQTKKSDMRTMEDGVEDMQPSASSTCTERCSTGQFMDSKSDHFHDVEMAGSTGSASFQNGTRREAEAQYGLVKLREGQRAGMDDCSLFSDPNVHSDRSSERYMEAADAYHMPELGRFGSGSGVSLTLGLQHCDGGSLPISTGTHHSFVAMRGDDLYNPAASSVGSETADFECMDSGNQQHRFSSSHLLRDFVV >ONH91036 pep chromosome:Prunus_persica_NCBIv2:G8:12308424:12315384:1 gene:PRUPE_8G089800 transcript:ONH91036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYYTGSNNQKDATPMLYLREPLPSSYPEAPVLPGNMMMYMNSGSYSDALAGSSQQQNNCIDVVSSAGASDNNSQQQDVLSHLGGSRVGEQGFSPWREGRNEMLVTQPMGGSAAILHGGQNLQGQGLSLSLSTQIPSGMQMPSIPYRNPNMGFASFLSPNPSISSEGDCRNGSFRDEQPRNVEYLQSGFPGGNPDSSKGDLSPYGMSSIARAIPNNKYLKAAQQLLDEVVNVQKALKQHDREKNQSTHEHRDDGSKNELESGVSSNPQDTASNSQCELSHAEKQELQSKLTKLLSMLDEVDRRYKHYYHQMQIVVSSFDVVAGCGAAKPYTAVALQTISRHFRCLRDAITGQIRATRKSLGEEGTSGSIKGVGISRLRYVDQQLRQQRALQQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEAGDAEMDSNSSSENAPQTKKSDMRTMEDGVEDMQPSASSTCTERCSTGQFMDSKSDHFHDVEMAGSTGSASFQNGTRREAEAQYGLVKLREGQRAGMDDCSLFSDPNVHSDRSSERYMEAADAYHMPELGRFGSGSGVSLTLGLQHCDGGSLPISTGTHHSFVAMRGDDLYNPAASSVGSETADFECMDSGNQQHRFSSSHLLRDFVV >ONH91037 pep chromosome:Prunus_persica_NCBIv2:G8:12309303:12315384:1 gene:PRUPE_8G089800 transcript:ONH91037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYYTGSNNQKDATPMLYLREPLPSSYPEAPVLPGNMMMYMNSGSYSDALAGSSQQQNNCIDVVSSAGASDNNSQQQDVLSHLGGSRVGEQGFSPWREGRNEMLVTQPMGGSAAILHGGQNLQGQGLSLSLSTQIPSGMQMPSIPYRNPNMGFASFLSPNPSISSEGDCRNGSFRDEQPRNVEYLQSGFPGGNPDSSKGDLSPYGMSSIARAIPNNKYLKAAQQLLDEVVNVQKALKQHDREKNQSTHEHRDDGSKNELESGVSSNPQDTASNSQCELSHAEKQELQSKLTKLLSMLDEVDRRYKHYYHQMQIVVSSFDVVAGCGAAKPYTAVALQTISRHFRCLRDAITGQIRATRKSLGEEGTSGSIKGVGISRLRYVDQQLRQQRALQQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEAGDAEMDSNSSSENAPQTKKSDMRTMEDGVEDMQPSASSTCTERCSTGQFMDSKSDHFHDVEMAGSTGSASFQNGTRREAEAQYGLVKLREGQRAGMDDCSLFSDPNVHSDRSSERYMEAADAYHMPELGRFGSGSGVSLTLGLQHCDGGSLPISTGTHHSFVAMRGDDLYNPAASSVGSETADFECMDSGNQQHRFSSSHLLRDFVV >ONH90917 pep chromosome:Prunus_persica_NCBIv2:G8:11657218:11660047:-1 gene:PRUPE_8G083200 transcript:ONH90917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRSGQSRAD >ONH90918 pep chromosome:Prunus_persica_NCBIv2:G8:11657230:11660024:-1 gene:PRUPE_8G083200 transcript:ONH90918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVS >ONH92687 pep chromosome:Prunus_persica_NCBIv2:G8:18497470:18500635:1 gene:PRUPE_8G189400 transcript:ONH92687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPSKFRLISYSQELVDGQPVYVSSNCLPVKALKLEPAGHSFHAVALKLLGCVEEEKDADVQKVVNDKEPTSIPSFDSYSSKGKKKSGAEGKQQDHYALLGLSHLRYLATEEQIRKSYRETALKYHPDKQAALLLNEETEAAKQTKKDEIESHFKSIQEAYEALIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFMRNGRWSVNQPIPFLGDENTPLKEVDSFYDFWYTFKSWREFPHTDEFDLEQAESRDHKRWMERQNAKLSEKARKEEYARIRTLVDNAYKRDPRIVRRKEAEKAEKQRKKEAKYLAKKLQEEEAARAVEEEKRRKEEEEKRAAGIALQQKKLKEKEKKLLRKERSRLRTLSGPVISNRLLSLAEDDVESLCMSLDIEQLRNICERMEGKEGLERAEVLRDACGYKNDLEGKKEDEKKTLQQNGSVETNGTVLLGSYEKKEKPWSREEIELLRKGMLKFPKGTSRRWEVVSDYIGTGRSVEEILKATKTVLLQKPDSSKAFDSFLEKRKPTPSIASPLTTRIEVEGVLTPPQGTETPAEKVDKSSESSSGSTKDQNPNDPIAENGVSSGSEQDVWSAVQERALVQALKTFPKEASQRWERVAAAVPGKTVNQCKKKFTLLKESFRNKKSSA >ONH93148 pep chromosome:Prunus_persica_NCBIv2:G8:19799468:19807512:-1 gene:PRUPE_8G215900 transcript:ONH93148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSETVVAQTSAVMGYTSAGYTTTGYTDANSNIAPDTGALHSKETTEFSTSAAPADAYSADPNSLIQQSDSYTTYETKPSVGVTDAGNENEAMESSHAASYDSSVNGVAASGTGTVSSVDNGDATDNVAGSVDVQQFGDGSAMSAEEERLWNIVRADSLDFNAWTALIEETEKVAEDNILKIQKVYDAFLAEFPLCYGYWKKYADHEARLGSMDKVVEVFERAVQGVTYSVDIWLHYCIFAISTYGDPDTVRRLFERGLAYVGTDYLSFPLWDKYIEYEYMQQEWGRLAMIYSRILENPNQQLDRYFNSFKELAGSRPLSELRTAEEAAVAAGAHSEGGDQVNGEEVGSDVAEQSPKPVSGGLTEAEDLEKYITIREEMYKKAKEFDSKIISFETAIRRPYFHVRPLNVAELENWNNYLDFIEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEAVGSLDIANNALARATQVFVKRKPEIHLFAGRFKEQSGDIPGAQAAYQLVHSEISPGLLEAIVKHANMEHRLGNVEAAYSLYEQAIAIEKGKEHSQTLPMLFAQYSRFTYLVSLNAEKAREIVVEALEHVQLSKPLLEALIHFESIQPLPKKIDYLDSLVVKFIEPSSENPNFASVTDREELSSIYLEFINLFGDARSIKKAEDRHAKLFLPHRSSLSEKKRQAEDFLSSDKVKMAKSYSGVPSPAQSIMGAYPSAQSQWPAGYGVQPQAWPPATQPTQGQQWTPGYTQQPYNAYGGYGSGYANPQMPTTTPQTAAYGAYPSTYPAQAFPPQSYAQPTVAVAAAATAPAPQPAAVPQAYYGTYY >ONH93147 pep chromosome:Prunus_persica_NCBIv2:G8:19799468:19807512:-1 gene:PRUPE_8G215900 transcript:ONH93147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSETVVAQTSAVMGYTSAGYTTTGYTDANSNIAPDTGALHSKETTEFSTSAAPADAYSADPNSLIQQSDSYTTYETKPSVGVTDAGNENEAMESSHAASYDSSVNGVAASGTGTVSSVDNGDATDNVAGSVDVQQFGDGSAMSAEEERLWNIVRADSLDFNAWTALIEETEKVAEDNILKIQKVYDAFLAEFPLCYGYWKKYADHEARLGSMDKVVEVFERAVQGVTYSVDIWLHYCIFAISTYGDPDTVRRLFERGLAYVGTDYLSFPLWDKYIEYEYMQQEWGRLAMIYSRILENPNQQLDRYFNSFKELAGSRPLSELRTAEEAAVAAGAHSEGGDQVNGEEVGSDVAEQSPKPVSGGLTEAEDLEKYITIREEMYKKAKEFDSKIISFETAIRRPYFHVRPLNVAELENWNNYLDFIEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEAVGSLDIANNALARATQVFVKRKPEIHLFAGRFKEQSGDIPGAQAAYQLVHSEISPGLLEAIVKHANMEHRLGNVEAAYSLYEQAIAIEKGKEHSQTLPMLFAQYSRFTYLVSLNAEKAREIVVEALEHVQLSKPLLEALIHFESIQPLPKKIDYLDSLVVKFIEPSSENPNFASVTDREELSSIYLEFINLFGDARSIKKAEDRHAKLFLPHRSSLSEKKRQAEDFLSSDKVKMAKSYSGVPSPAQSIMGAYPSAQSQWPAGYGVQPQAWPPATQPTQGQQWTPGYTQQPYNAYGGYGSGYANPQMPTTTPQTAAYGAYPSTYPAQAFPPQSYAQPTVAVAAAATAPAPQPAAVPQAYYGTYY >ONH93150 pep chromosome:Prunus_persica_NCBIv2:G8:19799724:19806700:-1 gene:PRUPE_8G215900 transcript:ONH93150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSETVVAQTSAVMGYTSAGYTTTGYTDANSNIAPDTGALHSKETTEFSTSAAPADAYSADPNSLIQQSDSYTTYETKPSVGVTDAGNENEAMESSHAASYDSSVNGVAASGTGTVSSVDNGDATDNVAGSVDVQQFGDGSAMSAEEERLWNIVRADSLDFNAWTALIEETEKVAEDNILKIQKVYDAFLAEFPLCYGYWKKYADHEARLGSMDKVVEVFERAVQGVTYSVDIWLHYCIFAISTYGDPDTVRRLFERGLAYVGTDYLSFPLWDKYIEYEYMQQEWGRLAMIYSRILENPNQQLDRYFNSFKELAGSRPLSELRTAEEAAVAAGAHSEGGDQVNGEEVGSDVAEQSPKPVSGGLTEAEDLEKYITIREEMYKKAKEFDSKIISFETAIRRPYFHVRPLNVAELENWNNYLDFIEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEAVGSLDIANNALARATQVFVKRKPEIHLFAGRFKEQSGDIPGAQAAYQLVHSEISPGLLEAIVKHANMEHRLGNVEAAYSLYEQAIAIEKGKEHSQTLPMLFAQYSRFTYLVSLNAEKAREIVVEALEHVQLSKPLLEALIHFESIQPLPKKIDYLDSLVVKFIEPSSENPNFASVTDREELSSIYLEFINLFGDARSIKKAEDRHAKLFLPHRSSLSEKKRQAEDFLSSDKVKMAKSYSGVPSPAQSIMGAYPSAQSQWPAGYGVQPQAWPPATQPTQGQQWTPGYTQQPYNAYGGYGSGYANPQMPTTTPQTAAYGAYPSTYPAQQAFPPQSYAQPTVAVAAAATAPAPQPAAVPQAYYGTYY >ONH93149 pep chromosome:Prunus_persica_NCBIv2:G8:19799724:19806700:-1 gene:PRUPE_8G215900 transcript:ONH93149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSETVVAQTSAVMGYTSAGYTTTGYTDANSNIAPDTGALHSKETTEFSTSAAPADAYSADPNSLIQQSDSYTTYETKPSVGVTDAGNENEAMESSHAASYDSSVNGVAASGTGTVSSVDNGDATDNVAGSVDVQQFGDGSAMSAEEERLWNIVRADSLDFNAWTALIEETEKVAEDNILKIQKVYDAFLAEFPLCYGYWKKYADHEARLGSMDKVVEVFERAVQGVTYSVDIWLHYCIFAISTYGDPDTVRRLFERGLAYVGTDYLSFPLWDKYIEYEYMQQEWGRLAMIYSRILENPNQQLDRYFNSFKELAGSRPLSELRTAEEAAVAAGAHSEGGDQVNGEEVGSDVAEQSPKPVSGGLTEAEDLEKYITIREEMYKKAKEFDSKIISFETAIRRPYFHVRPLNVAELENWNNYLDFIEREGDFNKVVKLYERCLIACANYPEYWIRYVLCMEAVGSLDIANNALARATQVFVKRKPEIHLFAGRFKEQSGDIPGAQAAYQLVHSEISPGLLEAIVKHANMEHRLGNVEAAYSLYEQAIAIEKGKEHSQTLPMLFAQYSRFTYLVSLNAEKAREIVVEALEHVQLSKPLLEALIHFESIQPLPKKIDYLDSLVVKFIEPSSENPNFASVTDREELSSIYLEFINLFGDARSIKKAEDRHAKLFLPHRSSLSEKKRQAEDFLSSDKVKMAKSYSGVPSPAQSIMGAYPSAQSQWPAGYGVQPQAWPPATQPTQGQQWTPGYTQQPYNAYGGYGSGYANPQMPTTTPQTAAYGAYPSTYPAQQAFPPQSYAQPTVAVAAAATAPAPQPAAVPQAYYGTYY >ONH91457 pep chromosome:Prunus_persica_NCBIv2:G8:14305181:14310468:-1 gene:PRUPE_8G115900 transcript:ONH91457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVLTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKQTDELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPGEPKNFRKTIHRITNVQYSIPDYVHISPECRHLISRIFVAEPEKEP >ONH91456 pep chromosome:Prunus_persica_NCBIv2:G8:14305181:14310468:-1 gene:PRUPE_8G115900 transcript:ONH91456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPGEPKNFRKTIHRITNVQYSIPDYVHISPECRHLISRIFVAEPEKRITIPEIRNHEWFLKNLPADLMVENTTNSQFEEPDQPMQSIDEIMQIIAEATIPAAGTNSLNQYLAGSLDIDNMEEDLESDPDIDIDSSGEIVYAI >ONH91455 pep chromosome:Prunus_persica_NCBIv2:G8:14305596:14309777:-1 gene:PRUPE_8G115900 transcript:ONH91455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVLTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKQTDELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPGEPKNFRKTIHRITNVQYSIPDYVHISPECRHLISRIFVAEPEKRITIPEIRNHEWFLKNLPADLMVENTTNSQFEEPDQPMQSIDEIMQIIAEATIPAAGTNSLNQYLAGSLDIDNMEEDLESDPDIDIDSSGEIVYAI >ONH89795 pep chromosome:Prunus_persica_NCBIv2:G8:1543147:1546549:-1 gene:PRUPE_8G016700 transcript:ONH89795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLMYWPKFLVHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKSIERELLERLKKGVYGDAYKDIYNYPFDKYQKVLEGEEMQLDTEREEEEEEEPEIEYVEGYDELEEEDDIEDFAGFSMDNSHADDDNVGSDEEAEAVTRKRERKESAFASRRFEKDEPAAKSKKKPRVLVEVEHEDAGVRQKAVH >ONH89794 pep chromosome:Prunus_persica_NCBIv2:G8:1543482:1546301:-1 gene:PRUPE_8G016700 transcript:ONH89794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDEVIWQVIRHKHCSFMSKIETGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLMYWPKFLVHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKSIERELLERLKKGVYGDAYKDIYNYPFDKYQKVLEGEEMQLDTEREEEEEEQEPEIEYVEGYDELEEEDDIEDFAGFSMDNSHADDDNVGSDEEAEAVTRKRERKESAFASRRFEKDEPAAKSKKKPRVLVEVEHEDAGVRQKAVH >ONH89793 pep chromosome:Prunus_persica_NCBIv2:G8:1542688:1546562:-1 gene:PRUPE_8G016700 transcript:ONH89793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDEVIWQVIRHKHCSFMSKIETGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLMYWPKFLVHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKSIERELLERLKKGVYGDAYKDIYNYPFDKYQKVLEGEEMQLDTEREEEEEEEPEIEYVEGYDELEEEDDIEDFAGFSMDNSHADDDNVGSDEEAEAVTRKRERKESAFASRRFEKDEPAAKSKKKPRVLVEVEHEDAGVRQKAVH >ONH89792 pep chromosome:Prunus_persica_NCBIv2:G8:1541886:1546562:-1 gene:PRUPE_8G016700 transcript:ONH89792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDEVIWQVIRHKHCSFMSKIETGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLMYWPKFLVHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKSIERELLERLKKGVYGDAYKDIYNYPFDKYQKVLEGEEMQLDTEREEEEEEEPEIEYVEGYDELEEEDDIEDFAGFSMDNSHADDDNVGSDEEAEAVTRKRERKESAFASRRFEKDEPAAKSKKKPRVLVEVLSNGRYKSVHHRAVTNKVEPRLSLAMFYGPNKDTVIGPIEDLIDEEHPPLYRRYMYVEFFEKLYKQEGKEDW >ONH93282 pep chromosome:Prunus_persica_NCBIv2:G8:20174550:20175569:-1 gene:PRUPE_8G223000 transcript:ONH93282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVKATSRITQKLKLEFRKQKSLNQPSTFLSSHLFDLASIPPLVPPVIVPDFASRIPSTVTTNLYWKDKKVTLKLI >ONH90697 pep chromosome:Prunus_persica_NCBIv2:G8:10261675:10262234:-1 gene:PRUPE_8G069700 transcript:ONH90697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNSFPQVPQLPKPELPPLPTFPTLPKPELPQLPKPELPQLPKPELPELPQLPKPELPQLPKPELPQLPKPELPQTAKLAEEPHVPELPKPELPPLPNFPTLPKPELPKLPEIPTLPHLPADLPKPTLPSIPTLPKDTPLPSLIPPHKTTLP >ONH91668 pep chromosome:Prunus_persica_NCBIv2:G8:15220278:15222026:1 gene:PRUPE_8G128600 transcript:ONH91668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSASFRGAEEEEKLPALGSAGKKRNRVNGEKGWWVLLIGFVGMVWFSLIFAVEFALRSLWVCDRKTEISGGDGVELWPRKLATARFKLQDMKLVKKAVPNATINDVLVGVVSATGTNPRFQNTLGWIFLINKFDGTSEFH >ONH93428 pep chromosome:Prunus_persica_NCBIv2:G8:20613471:20617753:1 gene:PRUPE_8G231500 transcript:ONH93428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEITENGTKYENQDGDNQFQHREISSMSGEKKQLNQEIVQQDQNAHSQSRKVIDGKIKQLKDVKPNRAVPHDQNVQNMRKVTERINEMKDQVVRAKLYLSFTPPGSKSNLVKELKLRIKEVERAISGATKDSDLSRSALQRMRNMESSLSKASRAYPDCSSMTTKLRSMTQNTEEQVRSQKKQVSYLFHLAASTTPKGLHCLSMQLTAEYFALDPKERQFPNQQKLHDPELYHYAVFSDNVLASTVVVNSTVSTAMEPEKIVFHVVTDSLNFPAVSMWFLLNPPGKATIQVQSIESFDWLSTKYHAALRQNCSDPRYASELNHLRFYLPEIFPALNKIVLFDHDVVVQKDLTRLWSINMKGKVNAAVETCHKSETSFHRMDAFINFSDPFVAKRFHADACTWAFGMNLFDLQEWRRQNLTTVYHKYLQLGNKRPLWVAGSLPLGWVTFYKKTVALDRRWHMLGLGYESGVVRADIDRAAVIHYDGIMKPWLDIGIGRHKGYWSKYVNYEDSHLQRCNIHA >ONH93427 pep chromosome:Prunus_persica_NCBIv2:G8:20613471:20617753:1 gene:PRUPE_8G231500 transcript:ONH93427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFHRCQRILILSLLSVSVFAPIIFVSQRLKNLSTTGRKEFIEDLSNIKYRTDGLKLSVEQEASEGLKEPRKVLYEDKDLVSSVSYTLKENHDAKKSGNVGDTTDMLEITENGTKYENQDGDNQFQHREISSMSGEKKQLNQEIVQQDQNAHSQSRKVIDGKIKQLKDVKPNRAVPHDQNVQNMRKVTERINEMKDQVVRAKLYLSFTPPGSKSNLVKELKLRIKEVERAISGATKDSDLSRSALQRMRNMESSLSKASRAYPDCSSMTTKLRSMTQNTEEQVRSQKKQVSYLFHLAASTTPKGLHCLSMQLTAEYFALDPKERQFPNQQKLHDPELYHYAVFSDNVLASTVVVNSTVSTAMEPEKIVFHVVTDSLNFPAVSMWFLLNPPGKATIQVQSIESFDWLSTKYHAALRQNCSDPRYASELNHLRFYLPEIFPALNKIVLFDHDVVVQKDLTRLWSINMKGKVNAAVETCHKSETSFHRMDAFINFSDPFVAKRFHADACTWAFGMNLFDLQEWRRQNLTTVYHKYLQLGNKRPLWVAGSLPLGWVTFYKKTVALDRRWHMLGLGYESGVVRADIDRAAVIHYDGIMKPWLDIGIGRHKGYWSKYVNYEDSHLQRCNIHA >ONH93824 pep chromosome:Prunus_persica_NCBIv2:G8:21779839:21785524:1 gene:PRUPE_8G255100 transcript:ONH93824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRLAFSSSLHRFLSHPTKLLPSSTTTQHISPAHFPALSSLIFRRPVYASKLLAMAEQTSNPASQSHKHTNRLAAEHSPYLLQHAHNPVDWYPWGEEAFAEARKRDVPIFVSIGYSTCHWCHVMEVESFEDEGVAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYGGGGWPLSVFLSPDLKPLMGGTYFPPDDKFGRPGFKTILRKVKEAWDSKRDMLVKSGAFAIEQLSEALSASASSNKLPDGLPQHALRLCAEQLSGSYDSKFGGFGSAPKFPRPVEVQLMLYNSKKLEEAGKLGEAKEGREMVFFTLQCMARGGVHDHIGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDAFSITKDVFYSYIARDILDYLRRDMIGPEGEIYSAEDADSAESEGATRKKEGAFYVWTSQEVEDILGKDATLFKSHYYIKPSGNCDLSTMSDPHNEFKGKNVFIERKDSSEMASKFAIPVEKYLDILGQSRQKLFEVRCTRPRPHLDDKVIVSWNGLAISAFARASKILKNEPEGIKFNFPVVGSDPKEYIQVADRAASFIRRHLYNEQTHQLQHSFRNGPSKAPGFLDDYAFLISGLLDLYEFGGQTNWLVWATELQDTQDELFLDREGGGYFNTPGEDPNILLRVKEDHDGAEPSGNSVSVINLVRLASMVAGNRSDHYRRDAEHVLAVFETRLKDMAMAVPLMCCAADMLTVPSRKQVVLVGHKHSVEFENMLAAAHASYDINKTVIHMDPTNSEELQFWEGNNSNIALMAKNHFAADKVVALVCQNFACSAPVNDPRSLVALLSQQSSSSA >ONH91686 pep chromosome:Prunus_persica_NCBIv2:G8:15301876:15304698:1 gene:PRUPE_8G129900 transcript:ONH91686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLQWIKGHPQFLKNPLYIGGDSYSGKTVPIITQEVSEGNEVGREPPMNLKGYILGNPFTDPTYDVNSRIKFAHNMALISDALYESTKTNCKGEYVQVDPSNAPCVENLQEVTQCLQKINSPQILEPICSTMSPKPKGFKWDKNFVGENFLDMPHRYPEPWCRAYNYLFSFIWANDKTVQNALHVREGTIRDWERCNQSFSSSYAHDVRTSLDYHRNLTKKNLRATTTKSEKDDQKTTTV >ONH94035 pep chromosome:Prunus_persica_NCBIv2:G8:22314221:22317753:1 gene:PRUPE_8G267300 transcript:ONH94035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMVPTPQFLSHIPNDLIKSAFQDIVSAELGKIKDSSLDDNTRISKSVPEGNDVLWEYEGLTDAYQGDCEEMLLEMQRIFYQDLRTEPTTKEIRVETWEDEEDEYLAQAVFEHMQLNDKQLRKEEVWCPICKHGVLQENYHLIYCSLCEIQLNKGDEVNLELLRGRLAEVHAEHFDRGCTLKPKFCIETRFNITALYILCAACNTFEIVI >ONH94033 pep chromosome:Prunus_persica_NCBIv2:G8:22313937:22317753:1 gene:PRUPE_8G267300 transcript:ONH94033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEEAAAASRKSTERKHSLKPHPIFNNYNSWKHKLRENCYKRVREDRRRLLWKMRMVPTPQFLSHIPNDLIKSAFQDIVSAELGKIKDSSLDDNTRISKSVPEGNDVLWEYEGLTDAYQGDCEEMLLEMQRIFYQDLRTEPTTKETEIRVETWEDEEDEYLAQAVFEHMQLNDKQLRKEEVWCPICKHGVLQENYHLIYCSLCEIQLNKGDEVNLELLRGRLAEVHAEHFDRGCTLKPKFCIETRFNITALYILCAACNTFEIVI >ONH94034 pep chromosome:Prunus_persica_NCBIv2:G8:22313937:22317753:1 gene:PRUPE_8G267300 transcript:ONH94034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEEAAAASRKSTERKHSLKPHPIFNNYNSWKHKLRENCYKRVREDRRRLLWKMRMVPTPQFLSHIPNDLIKSAFQDIVSAELGKIKDSSLDDNTRISKSVPEGNDVLWEYEGLTDAYQGDCEEMLLEMQRIFYQDLRTEPTTKEIRVETWEDEEDEYLAQAVFEHMQLNDKQLRKEEVWCPICKHGVLQENYHLIYCSLCEIQLNKGDEVNLELLRGRLAEVHAEHFDRGCTLKPKFCIETRFNITALYILCAACNTFEIVI >ONH92014 pep chromosome:Prunus_persica_NCBIv2:G8:16348624:16352749:-1 gene:PRUPE_8G149800 transcript:ONH92014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKVLFSLLQCVRKSGRERSLLKNGSILLKDLIASCDGKSTPIRYYSAAELVRVTNNFDPCCIVEEASVYYTMFRGILDDQTIIVKKYIITRDWPDKDEARSWAIRDIVISMQMSTHKNALKLLGCCLEFSLPALVHEGAAKGVLRDDGSLSGDDESQSFLPWKTRLRIAKQLANALTYLHTAFSRPIIHRGLSSSCIFLDDDYVPKLSNFSFSITIPPKQSHVEEDTLKWTNGYADPNYLATGYITEKTDVYSFGVLLLVLLTGRTAITANLKFHVSDSQIQIHNIVDPKIF >ONH93231 pep chromosome:Prunus_persica_NCBIv2:G8:20027790:20030920:-1 gene:PRUPE_8G220500 transcript:ONH93231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFSKKPTAKEALRESKREMANATRGIEKEIGALQLEEKKLVAEIKRTAKTGNEAATKILARQLVRLRQQISNLQGSRAQMRGIATHTQAMHAQSSVAVGMKGASKAMAAMNKQMAPAKQAQVIREFQKQSAQMDMTTEMMSDAIDDALDDDEAEEETDELTNQVLDEIGVDVASQLSAAPKGRIAAKNTEGVGSSGTDELEKRLAALRNP >ONH92382 pep chromosome:Prunus_persica_NCBIv2:G8:17555450:17557318:1 gene:PRUPE_8G171700 transcript:ONH92382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCSNICSRSNELEVGAFEMTYLVPDHPPCVLETDLDVRVMYLSLMNEKKYTVTIAIKEFLFPEKQNGVDDMFCGDGNTHNWEICSTFWSYILVSFLEELHQLCGSE >ONH92383 pep chromosome:Prunus_persica_NCBIv2:G8:17555450:17557318:1 gene:PRUPE_8G171700 transcript:ONH92383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLVPDHPPCVLETDLDVRVMYLSLMNEKKYTVTIAIKEFLFPEKQNGVDDMFCGDGNTHNWEICSTFWSYILVSFLEELHQLCGSE >ONH91775 pep chromosome:Prunus_persica_NCBIv2:G8:15658187:15659842:-1 gene:PRUPE_8G136500 transcript:ONH91775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARFLFSFMLASLLALASLHGAHAVHYAVVNRAPNTPGGMRFKNKLGVNYTQQKMGNATNFIWNLFNETTPADRKNVKNVSLFVDNIPGTAHVINNEIHVGAKYIESIPGDIKTEFSGVLYHEMTHIWQSHANHQAPNGLIEGIADFVRLKANYVPHSWAKPGEGLSWKQGSSVTARFLDYCNGLHQQQGFVAQLNKKMRDGYSDSFFHELLGKTVDQLWTDYKAKFAN >ONH93110 pep chromosome:Prunus_persica_NCBIv2:G8:19658202:19659393:-1 gene:PRUPE_8G213700 transcript:ONH93110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMTLNFDVNFHLHRNRLRFRHSRTKLQHLESCRYVNWVDHVAEQHRGAIKQFKACFGLDGQFTSSIDKWIQFAMKKGVEILELDFFLNRGVAAENLYMFPSKPLGLGKEHLYPYTPNLHSCGYDIGFKFLKVLHFRSVDVTDDVLEYFLSNYPGLERLAVHRTKSLVNVRVGGSSVALKYLVVEHCLHLKSIEICDANLVSFIYKGQEINLVLSNVPFLVEVSISADPTSIDLPFTQLSCCLPQLETLMLDICEVYYNQKRAFPISENLKHLELIVEADHRWALHHLTYFLKAFPCLQRLAVKVDFVHLLLSPLPIVKIEKYKQKTKRVKFCKDADETFY >ONH91682 pep chromosome:Prunus_persica_NCBIv2:G8:15282245:15285999:1 gene:PRUPE_8G129500 transcript:ONH91682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSISILVPITFPNYSPLSSSATPSSSLLPLRRFPLLALSKRRRSLQFRPLVLASSAAGVASNSLPRNGTFTVGDFMTTKEYLHVVKPSTTVDQALDALVEKRITGFPVIDDDWKLVGVVSDYDLLALDSISGNIKGGQSDTNLFPDVDSSWKTFHEIQKLLSKTNGKVVGDVMTPAPLVVRETTNLEDAARLLLATKYRRLPVVDSEGKLVGIITRGNVVKAALQIKRAGEK >ONH90893 pep chromosome:Prunus_persica_NCBIv2:G8:11480644:11480943:-1 gene:PRUPE_8G081500 transcript:ONH90893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVNAKRSLIRSRSTSKRTAESKTDIPKGYFAVYVGESQKKRFVIPVSYLNEPLFLDLLSQAEEEFGYDHPMGGITIPCSENTFLDLTSRLRV >ONH93276 pep chromosome:Prunus_persica_NCBIv2:G8:20156427:20157178:-1 gene:PRUPE_8G222500 transcript:ONH93276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATLFSFPSSKPPSPSLTQTQSKTLLTRPTNPFLSLTPRPTRHHHRLPTIRSAISRTKKEQTVETIKENLENCHLLAGIKYKGLTVKQFQDLRKVLPETTKLIVAKNTLVYKAIEGTPWEALKPCMTGMNAWLFVHSEEIPPAIKPYRDFQKEKKLDSNDFTGAVFEGKFYAPGDFKQLETMPTRAEIYAKLLGTLNSPASSLVGTIQAPARELVMLLKAYVQKLEEESGGGQ >ONH91215 pep chromosome:Prunus_persica_NCBIv2:G8:13085309:13086471:-1 gene:PRUPE_8G099300 transcript:ONH91215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCAILALFSLILAIEGLLIDISDSTPKEKADCHEKVKKPFVEVEVEVEEFEPRPNVSSYNDDETKAELSSKDNAGPKAKQSFAAKEDKQPFEEDFEPRPNVSVYND >ONH91868 pep chromosome:Prunus_persica_NCBIv2:G8:15886489:15888846:-1 gene:PRUPE_8G140700 transcript:ONH91868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERQAADQQQHQIDAQMQSPQPQREDMVACVIALEAALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKKLQLYFIGLQREDQPTKAQQLRKEIDAMEEELRIKTELIKKHERLIQGWKKDLKDQLDKHNTELERV >ONH91867 pep chromosome:Prunus_persica_NCBIv2:G8:15886489:15888474:-1 gene:PRUPE_8G140700 transcript:ONH91867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILQVLVIFHMAERQAADQQQHQIDAQMQSPQPQREDMVACVIALEAALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKKLQLYFIGLQREDQPTKAQQLRKEIDAMEEELRIKTELIKKHERLIQGWKKDLKDQLDKHNTELERV >ONH92365 pep chromosome:Prunus_persica_NCBIv2:G8:17496882:17497088:-1 gene:PRUPE_8G170500 transcript:ONH92365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPTTIVTLNKQVEITFPFGWFDFEPMDTPDQTCESYINHDNHIIMSQTRNFLMPQTTFRFGVLSLH >ONH91843 pep chromosome:Prunus_persica_NCBIv2:G8:15809392:15811274:-1 gene:PRUPE_8G139000 transcript:ONH91843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKVLLFAGFICIVFAGVGGQSPATSPTTSTPAPPATPTTPPPNNNPPPSPPTTPPPTSAPPPVTAAPPPVSTPPPVSSPPPATPPPATPPPASPPPASPPPASPPPASPPPATPPPATPPPAVPPPAPLAAPVPAPAPAVKSPSLAPSPLALAPGPPSPPVGAPAPSLESGAPGPSQDQSGAEKMWSMQKMVGSLLFGWALLSLML >ONH93167 pep chromosome:Prunus_persica_NCBIv2:G8:19838553:19843705:1 gene:PRUPE_8G216800 transcript:ONH93167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPALSIQRSAAKQFTHLGVSAAMSSSLPVLPTSMEETYPKLPDSQQVSMERELMTRPVVHAGHLTSNSGVVGHIFSSSSGFSTDLHYSALSPHEKQPKNNPFISQSSSSGCLPLPHSSHSGFLQSTSSCPYSKGNSGSWCTDSLPGFLDFPVNNHIENSQVESSSCSGILPAEEFVKRNDWQEWADQLITDDDALTSNWNELLVDNNVTDLEPKIKYQVPKPSSNFSAQQPQVHQQQPAPSGEIIPAPSRELIPAPSREIISVTAPSSANSAPAKARMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVESLTIYHVKSHLQKYRTARYRPESSEGSSEKKLTPIEEMTSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQMMFEKQCKTGIDTLKASSSNLDDPADPLDAMQACPDKSELEPSKVDLGKTETDPVKANTKSEEGSLELSGKQKVPETEAPEDPELDVSVSSSQPPKRAKIKE >ONH93168 pep chromosome:Prunus_persica_NCBIv2:G8:19838912:19843691:1 gene:PRUPE_8G216800 transcript:ONH93168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPALSIQRSAAKQFTHLGVSAAMSSSLPVLPTSMEETYPKLPDSQQVSMERELMTRPVVHAGHLTSNSGVVGHIFSSSSGFSTDLHYSALSPHEKQPKNNPFISQSSSSGCLPLPHSSHSGFLQSTSSCPYSKGNSGSWCTDSLPGFLDFPVNNHIENSQVESSSCSGILPAEEFVKRNDWQEWADQLITDDDALTSNWNELLVDNNVTDLEPKIKYQVPKPSSNFSAQQPQVHQQQPAPSGEIIPAPSRELIPAPSREIISVTAPSSANSAPAKARMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVESLTIYHVKSHLQKYRTARYRPESSEGSSEKKLTPIEEMTSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQMMFEKQCKTGIDTLKASSSNLDDPADPLDAMQACPDKSELEPSKVDLGKTETDPVKANTKSEEGSLELSGKQKVPETEAPEDPELDVSVSSSQPPKRAKIKE >ONH93169 pep chromosome:Prunus_persica_NCBIv2:G8:19839411:19843691:1 gene:PRUPE_8G216800 transcript:ONH93169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPALSIQRSAAKQFTHLGVSAAMSSSLPVLPTSMEETYPKLPDSQQVSMERELMTRPVVHAGHLTSNSGVVGHIFSSSSGFSTDLHYSALSPHEKQPKNNPFISQSSSSGCLPLPHSSHSGFLQSTSSCPYSKGNSGSWCTDSLPGFLDFPVNNHIENSQVESSSCSGILPAEEFVKRNDWQEWADQLITDDDALTSNWNELLVDNNVTDLEPKVPKPSSNFSAQQPQVHQQQPAPSGEIIPAPSRELIPAPSREIISVTAPSSANSAPAKARMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVESLTIYHVKSHLQKYRTARYRPESSEGSSEKKLTPIEEMTSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQMMFEKQCKTGIDTLKASSSNLDDPADPLDAMQACPDKSELEPSKVDLGKTETDPVKANTKSEEGSLELSGKQKVPETEAPEDPELDVSVSSSQPPKRAKIKE >ONH93166 pep chromosome:Prunus_persica_NCBIv2:G8:19839211:19843691:1 gene:PRUPE_8G216800 transcript:ONH93166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPALSIQRSAAKQFTHLGVSAAMSSSLPVLPTSMEETYPKLPDSQQVSMERELMTRPVVHAGHLTSNSGVVGHIFSSSSGFSTDLHYSALSPHEKQPKNNPFISQSSSSGCLPLPHSSHSGFLQSTSSCPYSKGNSGSWCTDSLPGFLDFPVNNHIENSQVESSSCSGILPAEEFVKRNDWQEWADQLITDDDALTSNWNELLVDNNVTDLEPKIKYQVPKPSSNFSAQQPQVHQQQPAPSGEIIPAPSRELIPAPSREIISVTAPSSANSAPAKARMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVESLTIYHVKSHLQKYRTARYRPESSEGSSEKKLTPIEEMTSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQMMFEKQCKTGIDTLKASSSNLDDPADPLDAMQACPDKSELEPSKVDLGKTETDPVKANTKSEEGSLELSGKQKVPETEAPEDPELDVSVSSSQPPKRAKIKE >ONH93165 pep chromosome:Prunus_persica_NCBIv2:G8:19839411:19843691:1 gene:PRUPE_8G216800 transcript:ONH93165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPALSIQRSAAKQFTHLGVSAAMSSSLPVLPTSMEETYPKLPDSQQVSMERELMTRPVVHAGHLTSNSGVVGHIFSSSSGFSTDLHYSALSPHEKQPKNNPFISQSSSSGCLPLPHSSHSGFLQSTSSCPYSKGNSGSWCTDSLPGFLDFPVNNHIENSQVESSSCSGILPAEEFVKRNDWQEWADQLITDDDALTSNWNELLVDNNVTDLEPKIKYQVPKPSSNFSAQQPQVHQQQPAPSGEIIPAPSRELIPAPSREIISVTAPSSANSAPAKARMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVESLTIYHVKSHLQKYRTARYRPESSEGSSEKKLTPIEEMTSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGKYLQMMFEKQCKTGIDTLKASSSNLDDPADPLDAMQACPDKSELEPSKVDLGKTETDPVKANTKSEEGSLELSGKQKVPETEAPEDPELDVSVSSSQPPKRAKIKE >ONH90519 pep chromosome:Prunus_persica_NCBIv2:G8:7573446:7579682:-1 gene:PRUPE_8G058800 transcript:ONH90519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVDTTTSGEHQTPNRDLPSDHSPFMINSSNCFPITLKFLDVCYRVKIDNKLQYTGGCCFSKGRLMQKGQPGQEPEERTILHGITGMVSPGEILAILGPSGSGKSTLLNALAGRLTRRHHGTVLANGRSLTKAVLRRTGFVTQDDVLYPHLTVRETLVFCSLLRLPQSLSKRDKISAAESVISELGLHKCENTIIGNTFIRGVSGGERKRVSIGHEMLMNPSLLILDEPTSGLDSTAAHRLVSTLGSLAQKGRTIVTSMHQPSSRVYQMLESVLVLSDGKCLYFGKGSEAMTYFGSLGFSPAFPMNPADFLLDLANGVCQLNGVSERDTPNMKEILVSSYNTLLAPKVKAECLGNGLVVSTKENAYIENHSCNKEERRNIGLTKIPRVSTWFNQFNILLQRSLKERKHEAFNSLRVFQVAAGALLAGLMWWQSDYLDVQDRLGLLFFISIFWGVLPSFNSVFAFPQERAIFLKEQASGMYTLSSYFMARLVGDLPMELILPTIFLSIAYWMAGLKPDFGAFLLTLLVLLGYVLVSQGLGLALGAAIMDAKQASTVATVTMLAFVLTGGYYVHKVPSCLAWIKYISTTFYSYRLLINVQYGEGPKISSLLGCSSQRHHHVGDHKVNCKFVEQDVAGQISPVVSVCVLLFMFFAYRLLAYLALMRRIKA >ONH90197 pep chromosome:Prunus_persica_NCBIv2:G8:4002143:4002670:1 gene:PRUPE_8G040200 transcript:ONH90197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAFAFCIVALLVFSLCVATTNANQIGYGVMRRDQPVRCWGSRCMPPPSNSYDRGCKKEAKCRDGHRGQK >ONH91986 pep chromosome:Prunus_persica_NCBIv2:G8:16286067:16289701:-1 gene:PRUPE_8G148200 transcript:ONH91986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYANMRGQRQTIFLSSTRKKEKKRRMLSCSRSIYFTIWVCQAFFLLLVLLTNYGASQTIVKNLPGFSGDLPFKLQTGYVGVGNSEELQMFYYFTESESSPENDPLLLWMTGGPRCSSFYGLVYEIGPISFQFNDLSKDPLKLVLNPYSWTKVANIIFLDAPAATGYSYSTTLEGLISSDTLHATRAYQFLQKWLVAHPQFLSNPLYISGDSFTGKIVPIIVQHITQGIEAGMEPALNLKGYIIGNPSTNAKEDFNSRIEYAHRLALISDRVYESSKRNCKGEYVDVDPKNQLCLNSLQAFKECTRRLDTEHILAPFCGKDYYNEWTILKTNDRESMDDVILDFPSPVQLCREDRIRYAVLWQNDINVRKALNIREGTKGEWAKCNKTTPYTFDVPSSVDYHRNLSQKHLRAIVYSGDHDITIPYISTLAWIESLNLTLEDDWKPWFSDHQVAGYTMYYSNNEYNLTYATIKGGGHTAPEYNPKECFDMINRWLAHSPL >ONH93348 pep chromosome:Prunus_persica_NCBIv2:G8:20402662:20405390:-1 gene:PRUPE_8G227700 transcript:ONH93348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNEDGTTTSFESSPEMVKFLCDRLLDPTQPISERFRALFSLRNLKGPGPRNALITATRDSSNLLAHEAAFALGQMQDADAIPALVAVLNDLSLHPIVRHEAAEALGAIGLESNVPLLENSLALDPAQEVKETCALALNRIEQLKDSGNQSLDEKSPFMSVDPAAPATSSSSVNQLREVLLDEKKNMYERYAALFALRNNSGDEAVSAIVDSLGSKSALLRHEVAYVLGQLQNKDASAALSNILMDRNEHPMVRHEAAEALGSIADDQSVSLLEEFAKDPEPIVSQSCEVALSMLEFERSGKSFEYLFMQAPPVQ >ONH92853 pep chromosome:Prunus_persica_NCBIv2:G8:18962947:18967387:1 gene:PRUPE_8G200200 transcript:ONH92853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEITEPLLPAKEAPETSKPQEPALDFDPKTMRKTKPGVKRLILTVSVLFSFVLGVPFIYKSVEIYRAPLPFREIESLSAQIDSKPFQFPCRLQAIFIGFDWKSSIATLESSILTQMTKLTSQTPQCGTCSPNHTVSVILKSNSQCLQSRTTSCSPECGAIRSIELDGDDEAVDEVLESVLGGCSGSNSGSGGEVYSVVVVNRGEEVRAVVGKYRHAWIVGRVSEAEAVSWAAEIFVKVFVNGGKEEGLIHGEFMPVGADGTVVLSFNLLNADPRDWVYDWDFQSVDETLLAPIIEAMKPVANISVESQVLYHMPKSSLSYWDDKWDSYIFSTKDLPFFVNSNEWHLDTSIAAGGRSKMLHFVIYIPSAKECPLLLQLPDGEISKTNSFISPMWGGVIVWNPQTCRKDSESKRPSRHTISHQDLQKVFEVFMGQFRQLFGFKSDNLYVGASGTSSLLASERGFTVWELDVLSRMHTCFNLHSCATTLGSLSRLVQSLPRMIIMDEIGKQVKYSLEAAKLAQSNASHGIYNASAVSSRQARSLAEDAFFHPSIMSVSYYSFEHCFAVYSPFFLPVSIHVLLAAVREWRRHKQENKKYLAWKTKVVSS >ONH92854 pep chromosome:Prunus_persica_NCBIv2:G8:18962947:18967387:1 gene:PRUPE_8G200200 transcript:ONH92854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEITEPLLPAKEAPETSKPQEPALDFDPKTMRKTKPGVKRLILTVSVLFSFVLGVPFIYKSVEIYRAPLPFREIESLSAQIDSKPFQFPCRLQAIFIGFDWKSSIATLESSILTQMTKLTSQTPQCGTCSPNHTVSVILKSNSQCLQSRTTSCSPECGAIRSIELDGDDEAVDEVLESVLGGCSGSNSGSGGEVYSVVVVNRGEEVRAVVGKYRHAWIVGRVSEAEAVSWAAEIFVKVFVNGGKEEGLIHGEFMPVGADGTVVLSFNLLNADPRDWVYDWDFQSVDETLLAPIIEAMKPVANISVESQVNSNEWHLDTSIAAGGRSKMLHFVIYIPSAKECPLLLQLPDGEISKTNSFISPMWGGVIVWNPQTCRKDSESKRPSRHTISHQDLQKVFEVFMGQFRQLFGFKSDNLYVGASGTSSLLASERGFTVWELDVLSRMHTCFNLHSCATTLGSLSRLVQSLPRMIIMDEIGKQVKYSLEAAKLAQSNASHGIYNASAVSSRQARSLAEDAFFHPSIMSVSYYSFEHCFAVYSPFFLPVSIHVLLAAVREWRRHKQENKKYLAWKTKVVSS >ONH92213 pep chromosome:Prunus_persica_NCBIv2:G8:17051359:17055253:1 gene:PRUPE_8G163200 transcript:ONH92213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLPSASVLTLLILSFFSEVNSASFKLVNKCRYEVWPGVLSGANTAPLSPTGFALKPGKSRTLSVPKAWSGRIWARTLCTEYSPTNFSCVTGDCGSGKIECAGSGAKPPATLAEFTLNGADGLDFYDVSLVDGYNLPMLVVPRGGTRGGCSATGCLVDLNGKCPRALRVAREGGVGRVACRSACEAFGDPQFCCSEAYATPDTCQPSVFSLFFKHACPRAYSYAYDDKTSTYTCASADYIIIFCPLPYTSLKVLGIRKDGAVLPLVNKTMMYF >ONH92215 pep chromosome:Prunus_persica_NCBIv2:G8:17051359:17055253:1 gene:PRUPE_8G163200 transcript:ONH92215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLPSASVLTLLILSFFSEVNSASFKLVNKCRYEVWPGVLSGANTAPLSPTGFALKPGKSRTLSVPKAWSGRIWARTLCTEYSPTNFSCVTGDCGSGKIECAGSGAKPPATLAEFTLNGADGLDFYDVSLVDGYNLPMLVVPRGGTRGGCSATGCLVDLNGKCPRALRVAREGGVGRVACRSACEAFGDPQFCCSEAYATPDTCQPSVFSLFFKHACPRAYSYAYDDKTSTYTCASADYIIIFCPLPYTSLKVLGIRKDGAVLPLVNKTMMYF >ONH92214 pep chromosome:Prunus_persica_NCBIv2:G8:17051359:17055253:1 gene:PRUPE_8G163200 transcript:ONH92214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLPSASVLTLLILSFFSEVNSASFKLVNKCRYEVWPGVLSGANTAPLSPTGFALKPGKSRTLSVPKAWSGRIWARTLCTEYSPTNFSCVTGDCGSGKIECAGSGAKPPATLAEFTLNGADGLDFYDVSLVDGYNLPMLVVPRGGTRGGCSATGCLVDLNGKCPRALRVAREGGVGRVACRSACEAFGDPQFCCSEAYATPDTCQPSVFSLFFKHACPRAYSYAYDDKTSTYTCASADYIIIFCPLPYTSLKVLGIRKDGAVLPLVNKTMMYF >ONH93275 pep chromosome:Prunus_persica_NCBIv2:G8:20145799:20149407:-1 gene:PRUPE_8G222400 transcript:ONH93275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMASIKCLINSISRFVHLVSSQRSKSMPIQKDYRTIVDVLKLLKPLLDEVVDYKIPSDEILYKECEELDMAVNGAREFMENWSPKLSKILSAWRGEPLLITIQSSSLKICSILSRLLQSSSSGSSLIGLQHCMQEIRCLKQERVTEYLEEALKSQRKDTMPSTKHLMKIIELLSLSSNQELLKESIAVEKERMNVEVSDVRGELDQINQIVILVSHIREFMVKIEHLETASGIPIPSYFRCPLSSELMLDPVIVASGQTFERSSIQKWLDHGLTICPKTRHRLSHINLITNYTVKAMIESWCQENDIKLPTNSDGSNAISVPSPSDRVSPEGSIHTDSNSTSRSSLEVGSVFEKQMKAVSTRLSGEKSNGCHSSEKDHSSPKQSCTHSRSESATSAVSSNDYAPPAFIKVSGISNKHENVNELSGEITSEHPVASTPNKESAMPSRLSGKHSLISKIKAEGTWTDSPIYPDRHQLLPFSSSGSDELTTSSHVTKLIEDLKSQSIEVQTLAAEELRLLAKHNMNNRTIIGQCGAITPLVSLLYSGVMLTQEHAVTALLNLSINEDNKAMIAEAGAIDPLIHVLKMGNDGAKENSAAALFSLSVLDEYKAKIGRSGAVKALVDLLGSGTLRGKKDAATALFNLSIYHENKARLVQAGAVKYLIELMDPDTGMVDKAVALLANLSTIGEGRVAIAREGGIPLLVEIVETGSQRGKENAASILLQLCLHSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGAMGKGK >ONH93271 pep chromosome:Prunus_persica_NCBIv2:G8:20145270:20150752:-1 gene:PRUPE_8G222400 transcript:ONH93271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPTSINNMNRGEMDMASIKCLINSISRFVHLVSSQRSKSMPIQKDYRTIVDVLKLLKPLLDEVVDYKIPSDEILYKECEELDMAVNGAREFMENWSPKLSKILSAWRGEPLLITIQSSSLKICSILSRLLQSSSSGSSLIGLQEIRCLKQERVTEYLEEALKSQRKDTMPSTKHLMKIIELLSLSSNQELLKESIAVEKERMNVEVSDVRGELDQINQIVILVSHIREFMVKIEHLETASGIPIPSYFRCPLSSELMLDPVIVASGQTFERSSIQKWLDHGLTICPKTRHRLSHINLITNYTVKAMIESWCQENDIKLPTNSDGSNAISVPSPSDRVSPEGSIHTDSNSTSRSSLEVGSVFEKQMKAVSTRLSGEKSNGCHSSEKDHSSPKQSCTHSRSESATSAVSSNDYAPPAFIKVSGISNKHENVNELSGEITSEHPVASTPNKESAMPSRLSGKHSLISKIKAEGTWTDSPIYPDRHQLLPFSSSGSDELTTSSHVTKLIEDLKSQSIEVQTLAAEELRLLAKHNMNNRTIIGQCGAITPLVSLLYSGVMLTQEHAVTALLNLSINEDNKAMIAEAGAIDPLIHVLKMGNDGAKENSAAALFSLSVLDEYKAKIGRSGAVKALVDLLGSGTLRGKKDAATALFNLSIYHENKARLVQAGAVKYLIELMDPDTGMVDKAVALLANLSTIGEGRVAIAREGGIPLLVEIVETGSQRGKENAASILLQLCLHSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGAMGKGK >ONH93274 pep chromosome:Prunus_persica_NCBIv2:G8:20145270:20150752:-1 gene:PRUPE_8G222400 transcript:ONH93274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMASIKCLINSISRFVHLVSSQRSKSMPIQKDYRTIVDVLKLLKPLLDEVVDYKIPSDEILYKECEELDMAVNGAREFMENWSPKLSKILSAWRGEPLLITIQSSSLKICSILSRLLQSSSSGSSLIGLQEIRCLKQERVTEYLEEALKSQRKDTMPSTKHLMKIIELLSLSSNQELLKESIAVEKERMNVEVSDVRGELDQINQIVILVSHIREFMVKIEHLETASGIPIPSYFRCPLSSELMLDPVIVASGQTFERSSIQKWLDHGLTICPKTRHRLSHINLITNYTVKAMIESWCQENDIKLPTNSDGSNAISVPSPSDRVSPEGSIHTDSNSTSRSSLEVGSVFEKQMKAVSTRLSGEKSNGCHSSEKDHSSPKQSCTHSRSESATSAVSSNDYAPPAFIKVSGISNKHENVNELSGEITSEHPVASTPNKESAMPSRLSGKHSLISKIKAEGTWTDSPIYPDRHQLLPFSSSGSDELTTSSHVTKLIEDLKSQSIEVQTLAAEELRLLAKHNMNNRTIIGQCGAITPLVSLLYSGVMLTQEHAVTALLNLSINEDNKAMIAEAGAIDPLIHVLKMGNDGAKENSAAALFSLSVLDEYKAKIGRSGAVKALVDLLGSGTLRGKKDAATALFNLSIYHENKARLVQAGAVKYLIELMDPDTGMVDKAVALLANLSTIGEGRVAIAREGGIPLLVEIVETGSQRGKENAASILLQLCLHSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGAMGKGK >ONH93273 pep chromosome:Prunus_persica_NCBIv2:G8:20145270:20150752:-1 gene:PRUPE_8G222400 transcript:ONH93273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMASIKCLINSISRFVHLVSSQRSKSMPIQKDYRTIVDVLKLLKPLLDEVVDYKIPSDEILYKECEELDMAVNGAREFMENWSPKLSKILSHCMQEIRCLKQERVTEYLEEALKSQRKDTMPSTKHLMKIIELLSLSSNQELLKESIAVEKERMNVEVSDVRGELDQINQIVILVSHIREFMVKIEHLETASGIPIPSYFRCPLSSELMLDPVIVASGQTFERSSIQKWLDHGLTICPKTRHRLSHINLITNYTVKAMIESWCQENDIKLPTNSDGSNAISVPSPSDRVSPEGSIHTDSNSTSRSSLEVGSVFEKQMKAVSTRLSGEKSNGCHSSEKDHSSPKQSCTHSRSESATSAVSSNDYAPPAFIKVSGISNKHENVNELSGEITSEHPVASTPNKESAMPSRLSGKHSLISKIKAEGTWTDSPIYPDRHQLLPFSSSGSDELTTSSHVTKLIEDLKSQSIEVQTLAAEELRLLAKHNMNNRTIIGQCGAITPLVSLLYSGVMLTQEHAVTALLNLSINEDNKAMIAEAGAIDPLIHVLKMGNDGAKENSAAALFSLSVLDEYKAKIGRSGAVKALVDLLGSGTLRGKKDAATALFNLSIYHENKARLVQAGAVKYLIELMDPDTGMVDKAVALLANLSTIGEGRVAIAREGGIPLLVEIVETGSQRGKENAASILLQLCLHSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGAMGKGK >ONH93272 pep chromosome:Prunus_persica_NCBIv2:G8:20145799:20150359:-1 gene:PRUPE_8G222400 transcript:ONH93272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPTSINNMNRGEMDMASIKCLINSISRFVHLVSSQRSKSMPIQKDYRTIVDVLKLLKPLLDEVVDYKIPSDEILYKECEELDMAVNGAREFMENWSPKLSKILSAWRGEPLLITIQSSSLKICSILSRLLQSSSSGSSLIGLQHCMQEIRCLKQERVTEYLEEALKSQRKDTMPSTKHLMKIIELLSLSSNQELLKESIAVEKERMNVEVSDVRGELDQINQIVILVSHIREFMVKIEHLETASGIPIPSYFRCPLSSELMLDPVIVASGQTFERSSIQKWLDHGLTICPKTRHRLSHINLITNYTVKAMIESWCQENDIKLPTNSDGSNAISVPSPSDRVSPEGSIHTDSNSTSRSSLEVGSVFEKQMKAVSTRLSGEKSNGCHSSEKDHSSPKQSCTHSRSESATSAVSSNDYAPPAFIKVSGISNKHENVNELSGEITSEHPVASTPNKESAMPSRLSGKHSLISKIKAEGTWTDSPIYPDRHQLLPFSSSGSDELTTSSHVTKLIEDLKSQSIEVQTLAAEELRLLAKHNMNNRTIIGQCGAITPLVSLLYSGVMLTQEHAVTALLNLSINEDNKAMIAEAGAIDPLIHVLKMGNDGAKENSAAALFSLSVLDEYKAKIGRSGAVKALVDLLGSGTLRGKKDAATALFNLSIYHENKARLVQAGAVKYLIELMDPDTGMVDKAVALLANLSTIGEGRVAIAREGGIPLLVEIVETGSQRGKENAASILLQLCLHSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRNQREGAMGKGK >ONH92180 pep chromosome:Prunus_persica_NCBIv2:G8:16906114:16909548:-1 gene:PRUPE_8G160500 transcript:ONH92180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGKFFVGGNWKCNGTKDSISKLVSDLNSAKLEADVDVIVAPPFLYIDQVKNSLTDRIEISGQNSWVGKGGAFTGEISVEQLKDIGATWVILGHSERRHVIGEDDQFIGKKAAYALNEGLGVIACIGEKLEEREAGKTFDICFQQLKAFADAVPSWDNIVIAYEPVWAIGTGKVASPEQAQEVHVAVRDWLKKNVSPEVASKTRIIYGGSVNGGNSAELAKKEDIDGFLVGGASLKGPEFATIINSVTAKKVAA >ONH92179 pep chromosome:Prunus_persica_NCBIv2:G8:16906114:16910351:-1 gene:PRUPE_8G160500 transcript:ONH92179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDCSYIVTHSLTREIQVKTQRKQTQTRKLQLTELGMSVASTSLASQLSGPKSVSSYSGLRRSCSKLDHTQSLSLFQHLHSQLRLSSSSRKASRGIVAMAGTGKFFVGGNWKCNGTKDSISKLVSDLNSAKLEADVDVIVAPPFLYIDQVKNSLTDRIEISGQNSWVGKGGAFTGEISVEQLKDIGATWVILGHSERRHVIGEDDQFIGKKAAYALNEGLGVIACIGEKLEEREAGKTFDICFQQLKAFADAVPSWDNIVIAYEPVWAIGTGKVASPEQAQEVHVAVRDWLKKNVSPEVASKTRIIYGGSVNGGNSAELAKKEDIDGFLVGGASLKGPEFATIINSVTAKKVAA >ONH90668 pep chromosome:Prunus_persica_NCBIv2:G8:10036952:10037248:-1 gene:PRUPE_8G068100 transcript:ONH90668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWKSFVIAIFVVASLLSSTHLAFAAPRKLLAPTFPHYKLPKIPNLKFPPRNLKFPPLTPAPLWPEYRLPPPIITSLPNFPSTPIFPFSPPSITTTP >ONH92743 pep chromosome:Prunus_persica_NCBIv2:G8:18655075:18657238:-1 gene:PRUPE_8G192800 transcript:ONH92743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLSNAINFITYFNKSMHYPHATSANMVTNFMGTSFLLSVLGGFIGDSIFTRFTTFIVFCTIELLGLILLTIQAQNPQFRPALNEKPSQSEAAILYTGLYSMAAGVGGINASLPAHGADQLDHGNQRLISAFFNWFFFSLCLGGLISCTVMVWVEENEGWNWSFTITAIVLSLALSIFISGSPFYRHKLPGGSALTRIIKVLASAARNCKASPEERVENQYAVSAINPNTVDGKSHNKFKFLDKALIDNTISEAHVEETRTFLGLLPIFASTIMMNCCLAQLQTYSVVQGVLMNRKLHNFKIPTQSLSVLPLSIMLASIPLYEKFRRILSSKKSEKIHIFQPLWRIGLGLALASGSMAVAALVEAKRREAALDNATLSVFWLAWQFLLLGVSDMLTLGGMLEFFYSEAPDSMRSMCTALSWCSTSMGYFLSSVLVSIVNSASGRFGREWLGGNDINHSRLDLFYTLLSILNTLNFLNYMYWAKQY >ONH92741 pep chromosome:Prunus_persica_NCBIv2:G8:18655075:18658056:-1 gene:PRUPE_8G192800 transcript:ONH92741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIERDQNVFNQKESDDQFVDWKGRKANPGKHGGITAAAFACVVEVFTNMVFLSNAINFITYFNKSMHYPHATSANMVTNFMGTSFLLSVLGGFIGDSIFTRFTTFIVFCTIELLGLILLTIQAQNPQFRPALNEKPSQSEAAILYTGLYSMAAGVGGINASLPAHGADQLDHGNQRLISAFFNWFFFSLCLGGLISCTVMVWVEENEGWNWSFTITAIVLSLALSIFISGSPFYRHKLPGGSALTRIIKVLASAARNCKASPEERVENQYAVSAINPNTVDGKSHNKFKFLDKALIDNTISEAHVEETRTFLGLLPIFASTIMMNCCLAQLQTYSVVQGVLMNRKLHNFKIPTQSLSVLPLSIMLASIPLYEKFRRILSSKKSEKIHIFQPLWRIGLGLALASGSMAVAALVEAKRREAALDNATLSVFWLAWQFLLLGVSDMLTLGGMLEFFYSEAPDSMRSMCTALSWCSTSMGYFLSSVLVSIVNSASGRFGREWLGGNDINHSRLDLFYTLLSILNTLNFLNYMYWAKQY >ONH92742 pep chromosome:Prunus_persica_NCBIv2:G8:18655075:18658655:-1 gene:PRUPE_8G192800 transcript:ONH92742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIERDQNVFNQKESDDQFVDWKGRKANPGKHGGITAAAFACVVEVFTNMVFLSNAINFITYFNKSMHYPHATSANMVTNFMGTSFLLSVLGGFIGDSIFTRFTTFIVFCTIELLGLILLTIQAQNPQFRPALNEKPSQSEAAILYTGLYSMAAGVGGINASLPAHGADQLDHGNQRLISAFFNWFFFSLCLGGLISCTVMVWVEENEGWNWSFTITAIVLSLALSIFISGSPFYRHKLPGGSALTRIIKVLASAARNCKASPEERVENQYAVSAINPNTVDGKSHNKFKFLDKALIDNTISEAHVEETRTFLGLLPIFASTIMMNCCLAQLQTYSVVQGVLMNRKLHNFKIPTQSLSVLPLSIMLASIPLYEKFRRILSSKKSEKIHIFQPLWRIGLGLALASGSMAVAALVEAKRREAALDNATLSVFWLAWQFLLLGVSDMLTLGGMLEFFYSEAPDSMRSMCTALSWCSTSMGYFLSSVLVSIVNSASGRFGREWLGGNDINHSRLDLFYTLLSILNTLNFLNYMYWAKQY >ONH93763 pep chromosome:Prunus_persica_NCBIv2:G8:21601689:21603428:-1 gene:PRUPE_8G251100 transcript:ONH93763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVNILLVAFVVCGLLGACQGGQLRKNFYRSTCPSAEEIVRNVTWNHVSSNPNLPAKLLRMHFHDCFVRGCDGSVLLNSTANNTAEKDAAPNLSLAGFNVIDDIKENLENKCPGIVSCADILALAARDSVSFQFKRSLWKVLTGRRDGTISRTREALINIPPPAFNFTQLKQRFASKNLTVHDLVVLSGSHTIGVGHCPTFSNRLYNFTGKGDQDPSLNATYAAFLKTKCPSLNDTTTTVEMDPGSSLKFDTGYYATLKQHKGLFQSDAALLTNKGAANIVQELGDNDKFFAEFAQSMVRMGAIEVLTGTSGEIRSKCWAVNSN >ONH91164 pep chromosome:Prunus_persica_NCBIv2:G8:12874696:12881987:1 gene:PRUPE_8G096500 transcript:ONH91164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQRSIVSCALFLVVVSLFEPTFEQQSQPLPLGSTTERVALLELRSSLGLRSRDWPIKADPCLIWRGIQCQNGRVVGINISGFRRTRLGSQNPQFSVDALAKFTLLQSFNASNFLLPGSIPDWFGQQVGSLQVLDLTSCSVLGPIPLSLGNSSNLTGLYLSHNNLTGTIPASLSQLLHLSVFDLSRNRLTGSIPTSFGNLRNLSVLDISGNYLSGAIPPGIGTLMKLQYLNLSSNMLSASIPAQLGDLDSLVDLDLSANMLAGSVPSDLRGLRNLQRMIVAENLLSGTLPDNLFPSSTQLQVIVLRNNGFTGGLPKVLWSMPGLSLLDVSGNNFTGLLPNSSLNANATAAVFNISQNLFYGSLTPLLGSFSVIDISGNYFQGGVPGYVGTSASLDRNCLRNVKNQKTLAECSSFYTGRGLTFDNFGQPNSTQPPPPAKPPGKSNKKVIILAAVLGGVGLIVLLVLILVVLLLCLRKRGTTTERGVGVGPITTGSGPPPPGGSINFSRVGDAFTYQQLLQAAGDFSNTNLIKNGHSGDLFRGVLENGIPVVIKRIDLRSMKKEAYIQELEFFNKVSHTRFVPLLGHCLENENEKFLVYKYMPNGDLSSSLYKKTNTVDDSLQSLDWITRLKIALGAAEGLSYLHHECNPPLVHRDVQASSILLDDKFEVRLGSLTDVCSQEGDTHQSRITRLLRLPQSSEQGASGSSTALCSYDVYCFGKVLLELVTGKLGISASSDAEMKELLDQTLPYISIYDKELVTKIVDPSLIVDEDLLEEVWAMAVVARSCLNPKPSRRPLMRYILKALENPLKVVREDSSGSARLRTTSSRGSWNAAVFGSWRSSSEVVVIPGASTTKGEGGSGLKHSGTTGSQGSGPNGGGELSSSRRRHSRDIFPEPSGVQDVERPDQD >ONH91163 pep chromosome:Prunus_persica_NCBIv2:G8:12874713:12881987:1 gene:PRUPE_8G096500 transcript:ONH91163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQRSIVSCALFLVVVSLFEPTFEQQSQPLPLGSTTERVALLELRSSLGLRSRDWPIKADPCLIWRGIQCQNGRVVGINISGFRRTRLGSQNPQFSVDALAKFTLLQSFNASNFLLPGSIPDWFGQQVGSLQVLDLTSCSVLGPIPLSLGNSSNLTGLYLSHNNLTGTIPASLSQLLHLSVFDLSRNRLTGSIPTSFGNLRNLSVLDISGNYLSGAIPPGIGTLMKLQYLNLSSNMLSASIPAQLGDLDSLVDLDLSANMLAGSVPSDLRGLRNLQRMIVAENLLSGTLPDNLFPSSTQLQVIVLRNNGFTGGLPKVLWSMPGLSLLDVSGNNFTGLLPNSSLNANATAAVFNISQNLFYGSLTPLLGSFSVIDISGNYFQGGVPGYVGTSASLDRNCLRNVKNQKTLAECSSFYTGRGLTFDNFGQPNSTQPPPPAKPPGKSNKKVIILAAVLGGVGLIVLLVLILVVLLLCLRKRGTTTERGVGVGPITTGSGPPPPGGSINFSRVGDAFTYQQLLQAAGDFSNTNLIKNGHSGDLFRGVLENGIPVVIKRIDLRSMKKEAYIQELEFFNKVSHTRFVPLLGHCLENENEKFLVYKYMPNGDLSSSLYKKTNTVDDSLQSLDWITRLKIALGAAEGLSYLHHECNPPLVHRDVQASSILLDDKFEVRLGSLTDVCSQEGDTHQSRITRLLRLPQSSEQGASGSSTALCSYDVYCFGKVLLELVTGKLGISASSDAEMKELLDQTLPYISIYDKELVTKIVDPSLIVDEDLLEEVWAMAVVARSCLNPKPSRRPLMRYILKALENPLKVVREDSSGSARLRTTSSRGSWNAAVFGSWRSSSEVVVIPGASTTKGEGGSGLKHSGTTGSQGSGPNGGGELSSSRRRHSRDIFPEPSGVQDVERPDQD >ONH91165 pep chromosome:Prunus_persica_NCBIv2:G8:12874713:12881987:1 gene:PRUPE_8G096500 transcript:ONH91165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQRSIVSCALFLVVVSLFEPTFEQQSQPLPLGSTTERVALLELRSSLGLRSRDWPIKADPCLIWRGIQCQNGRVVGINISGFRRTRLGSQNPQFSVDALAKFTLLQSFNASNFLLPGSIPDWFGQQVGSLQVLDLTSCSVLGPIPLSLGNSSNLTGLYLSHNNLTGTIPASLSQLLHLSVFDLSRNRLTGSIPTSFGNLRNLSVLDISGNYLSGAIPPGIGTLMKLQYLNLSSNMLSASIPAQLGDLDSLVDLDLSANMLAGSVPSDLRGLRNLQRMIVAENLLSGTLPDNLFPSSTQLQVIVLRNNGFTGGLPKVLWSMPGLSLLDVSGNNFTGLLPNSSLNANATAAVFNISQNLFYGSLTPLLGSFSVIDISGNYFQGGVPGYVGTSASLDRNCLRNVKNQKTLAECSSFYTGRGLTFDNFGQPNSTQPPPPAKPPGKSNKKVIILAAVLGGVGLIVLLVLILVVLLLCLRKRGTTTERGVGVGPITTGSGPPPPGGSINFSRVGDAFTYQQLLQAAGDFSNTNLIKNGHSGDLFRGVLENGIPVVIKRIDLRSMKKEAYIQELEFFNKVSHTRFVPLLGHCLENENEKFLVYKYMPNGDLSSSLYKKTNTVDDSLQSLDWITRLKIALGAAEGLSYLHHECNPPLVHRDVQASSILLDDKFEVRLGSLTDVCSQEGDTHQSRITRLLRLPQSSEQGASGSSTALCSYDVYCFGKVLLELVTGKLGISASSDAEMKELLDQTLPYISIYDKELVTKIVDPSLIVDEDLLEEVWAMAVVARSCLNPKPSRRPLMRYILKALENPLKVVREDSSGSARLRTTSSRGSWNAAVFGSWRSSSEVVVIPGASTTKGEGGSGLKHSGTTGSQGSGPNGGGELSSSRRRHSRDIFPEPSGVQDVERPDQD >ONH91166 pep chromosome:Prunus_persica_NCBIv2:G8:12874713:12879500:1 gene:PRUPE_8G096500 transcript:ONH91166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQRSIVSCALFLVVVSLFEPTFEQQSQPLPLGSTTERVALLELRSSLGLRSRDWPIKADPCLIWRGIQCQNGRVVGINISGFRRTRLGSQNPQFSVDALAKFTLLQSFNASNFLLPGSIPDWFGQQVGSLQVLDLTSCSVLGPIPLSLGNSSNLTGLYLSHNNLTGTIPASLSQLLHLSVFDLSRNRLTGSIPTSFGNLRNLSVLDISGNYLSGAIPPGIGTLMKLQYLNLSSNMLSASIPAQLGDLDSLVDLDLSANMLAGSVPSDLRGLRNLQRMIVAENLLSGTLPDNLFPSSTQLQVIVLRNNGFTGGLPKVLWSMPGLSLLDVSGNNFTGLLPNSSLNANATAAVFNISQNLFYGSLTPLLGSFSVIDISGNYFQGGVPGYVGTSASLDRNCLRNVKNQKTLAECSSFYTGRGLTFDNFGQPNSTQPPPPAKPPGKSNKKVIILAAVLGGVGLIVLLVLILVVLLLCLRKRGTTTERGVGVGPITTGSGPPPPGGSINFSRVGDAFTYQQLLQAAGDFSNTNLIKNGHSGDLFRGVLENGIPVVIKRIDLRSMKKEAYIQELEFFNKVSHTRFVPLLGHCLENENEKFLVYKYMPNGDLSSSLYKKTNTVDDSLQSLDWITRLKIALGAAEGLSYLHHECNPPLVHRDVQASSILLDDKFEVRLGSLTDVCSQEGDTHQSRITRLLRLPQ >ONH93269 pep chromosome:Prunus_persica_NCBIv2:G8:20124549:20127266:-1 gene:PRUPE_8G222200 transcript:ONH93269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPPFSADFINPHSLSNRKTTTATQSSSSSCIDETTLLNLFKSQQSHLNFFFQHLDLSQTLSFTRTLLLQSSAGATVFFSGVGKSGFVAHKISQTLVSLGIRSGFLSPLDALHGDIGALSKSDVLVLFSKSGTTEELLRLVPCAKAKGAFLISVTSVDGNALAAVCDMNVYLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMGAKNLTKEQYAANHPAGRIGKHLIFKVKDVMKKEDELPVCKEGDMIMEQLVELTSKGCGCLLVIDDDHHLIGTFTDGDLRRTLKASGEAIFKLTVGEMCNRNPRTIGADAMAVDAMQKMEAPPSPVQFLPVINGQNQVIGIVTLHGLVSAGL >ONH89564 pep chromosome:Prunus_persica_NCBIv2:G8:193381:197260:1 gene:PRUPE_8G002300 transcript:ONH89564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPMRHRRLMKYQPLTFSPFARQVARVASSCMSNRQMHGPDLPKKSQPNSVGNGSRKNTLKVKNEHSESSDEEEFDGTVQADFAFFDPKPDDFHGVKTLLQTYLDDIEWDLSGFVDLILEQTTVGTVVKIEDDEDNGIFALATALNLGRYKDHKCFMDVKEFLLKVSQAKDVIDDLRSLLGKEAESVGLLVSQRVMNLPPQLLPPLYDALFDEVSWATEDEPTEELRNFFRFKFYIIVSKVYKHKNAHQKKGMSASDEEIIYIKPEDEIFHELSSWSFEFSLHTQQLATRELRDYRQMGLVMAVKADKIPAFRQQLKSFIEGS >ONH89563 pep chromosome:Prunus_persica_NCBIv2:G8:193381:197260:1 gene:PRUPE_8G002300 transcript:ONH89563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPMRHRRLMKYQPLTFSPFARQVARVASSCMSNRQMHGPDLPKKSQPNSVGNGSRKNTLKVKNEHSESSDEEEFDGTVQADFAFFDPKPDDFHGVKTLLQTYLDDIEWDLSGFVDLILEQTTVGTVVKIEDDEDNGIFALATALNLGRYKDHKCFMDVKEFLLKVSQAKDVIDDLRSLLGKEAESVGLLVSQRVMNLPPQLLPPLYDALFDEVSWATEDEPTEELRNFFRFKFYIIVSKVYKHKNAHQKKGMSASDEEIIYIKPEDEIFHELSSWSFEFSLHTQQLATRELRDYRQMGLVMAVKADKIPAFRQQLKSFIEGS >ONH90996 pep chromosome:Prunus_persica_NCBIv2:G8:12036710:12038929:-1 gene:PRUPE_8G087400 transcript:ONH90996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAVGAPTCHRLHCLLHRPSPPSSSFSLFLKPLLVSTTTVSTTPFIPRRRIISPSLHSLPSRPLLFCHSSTLTHSPPAPKASLQEAEETQQLEIPEDDDNDNGEEAEIEIENLDNKENAQVGLESSSGFKRDGVKPPSLTLKEKKELASYAHSLGKKLKSQLVGKSGVTASVAASFVENLESNELLKVKIHGTCPGELDDVVKQLEEATGSVIVGQIGRTVILYRPSLTKLKAEEKRQQMRKVFMRRKTYSRPTSVEFQKKGERPRTFGRDSRGRTRV >ONH89689 pep chromosome:Prunus_persica_NCBIv2:G8:774765:777247:-1 gene:PRUPE_8G009700 transcript:ONH89689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTRREDVASYSFGVQSHIHHIQPLEKNDAWALFSSKAFSAYQKKSCPPDLQSLAEELVEKCEGLPLAVMALGGLMSSKKSLEWIRVYNSLNWHLTNHPLLEPVKSILLFSFDDLPYPLKHCFLYCSLFPEDYLIRRKRLIRLWIAEGFVQDEKGATPEEVAESYLMQLIFRSMLHVVLRNESGRSKACKMHDLMRELALSISEKEKFGAVHDGKEVMDEVQVRRLSTQTTGGEIKLGTGMAQLRSFLVFVTDMSSSSSSNTLPSGFILLRVLDLQYVPIDVLPKELAYLFNLRYLNLRGTPIKKLPESIGQLRNLQTLDIMNSKIEALPSGIAKLQNLRHLIMYRYTQEPNGFRYVNGTRSPSNICILKKLQVLTCVELEGNIVRLVGNMTQLRRIGITNVKERDEMDLCASIQKMKQLHYLFLMTSDEEEVLQTNKLCSPPPHLRMVILVGKLENVPRWFFSLQNLTYLYLHWSRIEEDLLPYIEALPNLGNLSLLNAYAGRELCFSRGFVKLTRLHLCTCPLLNKITIEKGVMPNLQSLWLDNCPQLSTMPQGLQYLAELKVLALEHVSTELRDSIREGGVDREKVQHIPEIYHFYETSLGMCRESLS >ONH93312 pep chromosome:Prunus_persica_NCBIv2:G8:20259323:20261034:1 gene:PRUPE_8G225100 transcript:ONH93312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLDQKLVSSWFDVQSVPQTYVHPPEKRPGKLNVPLCNNIPVVDLGSHDRRDTIHRISKASEEFGFFQVINHGVPIKLVDDTMSVFKEFHALPQKDKAIEGSKDPSRSCKLYTSSENYANEEVHYWRDVLTHPGHPFEHYMQFWPEKPTRYREVVKAYLEEVRNLSYVILELLAEGLGIGTEFFCGGLTESPVLLSNHYPPCPDPSLALGLTKHCDPTLITILLQDADGLQVFKDGNWIGVEPVPSAFVVNIGYVLQMISNSKFKGAEHRVVTNSRVARTTIAYFIHPSNESLIAPAKALCNPPLYRSMKFKEFLRNFKSKAANAEAVLKVISLSSS >ONH91421 pep chromosome:Prunus_persica_NCBIv2:G8:14171603:14174852:-1 gene:PRUPE_8G113300 transcript:ONH91421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQRNRGRGGNSEVNSGEGTVDGTAGVSGYEQFRDQRIKENKERLQKLGLLDFSLKLKPKAAPPKRSQSQRDPSVQTKIHNSGSPPRRSSRLKTVAPVSYVEIRKPKGKRETSGSVNICIKEGSQPEIYTEEHEKLLGDCMTSWTMGVDGYGEDRKRIYDGVKGETCHQCRQKTLGQHTHCCKCDLVQGQLCGDCIYTRYGENVIEANQNPDWVCPACRGICNCSLCRKAKGWEPTGLKTGLQVGGTLSYSNPPFPDKIRNLRCRGC >ONH91420 pep chromosome:Prunus_persica_NCBIv2:G8:14171625:14175007:-1 gene:PRUPE_8G113300 transcript:ONH91420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQRNRGRGGNSEVNSGEGTVDGTAGVSGYEQFRDQRIKENKERLQKLGLLDFSLKLKPKAAPPKRSQSQRDPSVQTKIHNSGSPPRRSSRLKTVAPVSYVEIRKPKGKRETSGSVNICIKEGSQPEIYTEEHEKLLGDCMTSWTMGVDGYGEDRKRIYDGVKGETCHQCRQKTLGQHTHCCKCDLVQGQLCGDCIYTRYGENVIEANQNPDWVCPACRGICNCSLCRKAKGWEPTGNLYRKVSKLGYKSVAHYLIQTRRSQIKSETSGAEVAEEGSIPSAEPSSHDESLETAKSLDNSTIHQPVDLKHDDMGEGYFTAEEEMEEVHFMGIKHVDVDDVGKLDAVAAGDTVDGEAKEKPRRRTRVSRN >ONH93296 pep chromosome:Prunus_persica_NCBIv2:G8:20213330:20216347:-1 gene:PRUPE_8G224100 transcript:ONH93296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKTANNPSVMLASLLSRRAKLQDELRGIEKQVYDLETSYLQDPTQCGNVLKGFEGFLSSSKNTNFLKRSRKFQPEDRMFSLSSVTSPAAEELAAARDDGRSDFGPGRSKGGIYANGQGKPKKGRPAPRDVKRIRPSSEQDYDYEDDADMTL >ONH93298 pep chromosome:Prunus_persica_NCBIv2:G8:20213982:20215590:-1 gene:PRUPE_8G224100 transcript:ONH93298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGQKTANNPSVMLASLLSRRAKLQDELRGIEKQVYDLETSYLQDPTQCGNVLKGFEGFLSSSKNTNFLKRSRKFQPEDRMFSLSSVTSPAAEELAAARDGKAYILANI >ONH93297 pep chromosome:Prunus_persica_NCBIv2:G8:20213340:20216347:-1 gene:PRUPE_8G224100 transcript:ONH93297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASLLSRRAKLQDELRGIEKQVYDLETSYLQDPTQCGNVLKGFEGFLSSSKNTNFLKRSRKFQPEDRMFSLSSVTSPAAEELAAARDDGRSDFGPGRSKGGIYANGQGKPKKGRPAPRDVKRIRPSSEQDYDYEDDADMTL >ONH93371 pep chromosome:Prunus_persica_NCBIv2:G8:20468161:20468388:-1 gene:PRUPE_8G228600 transcript:ONH93371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFCFRKTQLFSTLVVLLILFSCLQLGEMRHLKAEQWAKNHGLVLVSQYLARGQAPPSGPNPCTHIPGRGSGICT >ONH91987 pep chromosome:Prunus_persica_NCBIv2:G8:16291511:16296741:-1 gene:PRUPE_8G148300 transcript:ONH91987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSKYIIITMLPQALLLVTLLNINVASTSNNIKTLPGFGGDLPFKLETGYVGVGGMDDVQLFYYFFESEGSPEYDPLVLWLTGGPGCSAFSAIVYENLGPLSFDYAHSIGNKPKLKLNPYSWTKVANIIFLDAPVGTGFSYAKNWEGYSNLNDTLSAAQTYEFLRKWLMDHPKFYNNPLYIAGDSYSGITVPMLVQEISDGNQDEHVPPMNLKGYVLGNPGTNGKNNNNYKVLFAYLKALISDELYQSMKKNCKGEYTNVDLNNTLCVEDLELYNECIEDIQSVQILEPSCTLVASPKSAGSKWNINYFSDKDSVNLLLSFPQLPRPWCRSYNYLFSYIWANDKTVQDALHIQEGSIKEWLRCNHTLKDSYIFDVSSSLVYHENLIKQGYRVLIYSGDHDMLVPYVATMAWIESLNLTVDSRWKPWFVDGQVAGYRVQYSDKKYQLTYTTIKGAGHTAPEYKPEECHAMISRWFAYYPL >ONH93407 pep chromosome:Prunus_persica_NCBIv2:G8:20550679:20553318:1 gene:PRUPE_8G230200 transcript:ONH93407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMEEDVVKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >ONH93997 pep chromosome:Prunus_persica_NCBIv2:G8:22219511:22224016:-1 gene:PRUPE_8G265300 transcript:ONH93997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIISRRVVGGSTPSTAFRYATCWRPYSTSFREERDTFGPILVPSDKLWGAQTQRSLQNFDIGGERERMPEPIVRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGKLNQHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGEKIVHPNDHVNRSQSSNDTFPTVMHIASAVEINSRLIPNLRTLHTSLHSKSDEFKDIVKIGRTHTQDATPLTLGQEFSGYSTQVKYGIDRVLCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDAFVETSGALNTVATSLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVFGNHVAITVGGSNGHFELNVFKPMIASGLLHKIGYDNAASVAKKAHKEGSTLKEAALKLGVLTSEEFDTLVVPEKMIGPSD >ONH93998 pep chromosome:Prunus_persica_NCBIv2:G8:22219802:22223808:-1 gene:PRUPE_8G265300 transcript:ONH93998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIISRRVVGGSTPSTAFRYATCWRPYSTSFREERDTFGPILVPSDKLWGAQTQRSLQNFDIGGERERMPEPIVRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGKLNQHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGEKIVHPNDHVNRSQSSNDTFPTVMHIASAVEINSRLIPNLRTLHTSLHSKSDEFKDIVKIGRTHTQDATPLTLGQEFSGYSTQVKYGIDRVLCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDAFVETSGALNTVATSLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVFGNHVAITVGGSNGHFELNVFKPMIASGLLHSVRLLGDASASFEKNCVRGIKANRERISKLLHESLMLVTSLNPKIGYDNAASVAKKAHKEGSTLKEAALKLGVLTSEEFDTLVVPEKMIGPSD >ONH93999 pep chromosome:Prunus_persica_NCBIv2:G8:22219763:22223808:-1 gene:PRUPE_8G265300 transcript:ONH93999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIISRRVVGGSTPSTAFRYATCWRPYSTSFREERDTFGPILVPSDKLWGAQTQRSLQNFDIGGERERMPEPIVRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGKLNQHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGEKIVHPNDHVNRSQSSNDTFPTVMHIASAVEINSRLIPNLRTLHTSLHSKVNEFKDIVKIGRTHTQDATPLTLGQEFSGYSTQVKYGIDRVLCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDAFVETSGALNTVATSLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVFGNHVAITVGGSNGHFELNVFKPMIASGLLHSVRLLGDASASFEKNCVRGIKANRERISKLLHESLMLVTSLNPKIGYDNAASVAKKAHKEGSTLKEAALKLGVLTSEEFDTLVVPEKMIGPSD >ONH91108 pep chromosome:Prunus_persica_NCBIv2:G8:12630811:12631589:-1 gene:PRUPE_8G093500 transcript:ONH91108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQVLHMNGGVGKTSYANNSLLQRAVISTVKPIVDASIEELCCTLFPECLKIADLGCSSGPNTLLVVSDIIDNIRNTFQKLNRPPPSLQAFLNDLPRNDFNTVFRSLPGFYKKLDEEPEKKLGPCFIAGMPGSFYGRLFPDNSLHFVHSSYALMWISEVWIFFNQSLSRY >ONH91107 pep chromosome:Prunus_persica_NCBIv2:G8:12630489:12631589:-1 gene:PRUPE_8G093500 transcript:ONH91107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQVLHMNGGVGKTSYANNSLLQRAVISTVKPIVDASIEELCCTLFPECLKIADLGCSSGPNTLLVVSDIIDNIRNTFQKLNRPPPSLQAFLNDLPRNDFNTVFRSLPGFYKKLDEEPEKKLGPCFIAGMPGSFYGRLFPDNSLHFVHSSYALMWISEVPKGLVTKEGEALNKGNIYINRMRNY >ONH90634 pep chromosome:Prunus_persica_NCBIv2:G8:9710082:9710713:1 gene:PRUPE_8G065500 transcript:ONH90634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSGVVLVLMASLLLASTRAQSPTSSPAKSPVFSPKKAAAPSPSSTPPKASPSPLSTPPSAAPSQSPAAGSPPSPPSASPESPAIPPSSVSGPPSEAPAPATNGAVLNRFSAAGSVAAVVFAAVLVM >ONH91752 pep chromosome:Prunus_persica_NCBIv2:G8:15568072:15570915:-1 gene:PRUPE_8G135100 transcript:ONH91752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLEVERTTTGWAARDSSGILSPYTYTLRNTGPEDVYIKVLFCGVCHTDTHQAKNHLGMSKYPMVPGHEVVGEVVEVGSAVSKFIVGDVVGVGLIVGCCRNCNPCKTDNEQYCNKKIWTYSDVYTDGKLTQGGFSAALVIDQKFAVKIPDGMVLEQAAPLLCAGVTVYSPLRQFGLNVSGLRGGILGLGGVGHMGVKIAKAMEHHVTVISSSDKKRVEAMEHIGADEYLISSDATKMQEAADSLDYIIDTVPVVHPLEPYLSLLKLDGKLILMGAINDPLQFVSPMLMLGRKTITGSFVGSMKETEEMLEFCKEKGLKTMIEVVKMEYINTAFERLEKNDVRYRFVVDVAASNLHQ >ONH91753 pep chromosome:Prunus_persica_NCBIv2:G8:15568102:15569833:-1 gene:PRUPE_8G135100 transcript:ONH91753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEQAAPLLCAGVTVYSPLRQFGLNVSGLRGGILGLGGVGHMGVKIAKAMEHHVTVISSSDKKRVEAMEHIGADEYLISSDATKMQEAADSLDYIIDTVPVVHPLEPYLSLLKLDGKLILMGAINDPLQFVSPMLMLGRKTITGSFVGSMKETEEMLEFCKEKGLKTMIEVVKMEYINTAFERLEKNDVRYRFVVDVAASNLHQ >ONH91709 pep chromosome:Prunus_persica_NCBIv2:G8:15438704:15440909:-1 gene:PRUPE_8G132000 transcript:ONH91709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSYQRLPRVKIREMRDDYMKFELRDTDASVANALRRVMIAEVPTVAIDLVEIEINSSVLNDEFIAHRLGLIPLTSDRAMSMRFSRDCDACDGDGQCEFCSVEFHLRAKCHSDQTLDVTSKDLLSSDHTVVPVDFSDSAGMESSEQKGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIRINEELMDMLTLDEKKAWVESSPTKVFDFDPKTEKVMVVDPEAYTYDDEVIKKAEAMGKPGLVDIIAKEDSFIFTVESTGAVKASQLLLNAIEILKQKLDAVRLSEDTVEADDQFGELGAHMRGG >ONH91710 pep chromosome:Prunus_persica_NCBIv2:G8:15438272:15441084:-1 gene:PRUPE_8G132000 transcript:ONH91710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSYQRLPRVKIREMRDDYMKFELRDTDASVANALRRVMIAEVPTVAIDLVEIEINSSVLNDEFIAHRLGLIPLTSDRAMSMRFSRDCDACDGDGQCEFCSVEFHLRAKCHSDQTLDVTSKDLLSSDHTVVPVDFSDSAGMESSEQKGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIRINEELMDMLTLDEKKAWVESSPTKVFDFDPKTEKVMVVDPEAYTYDDEVIKKAEAMGKPGLVDIIAKEDSFIFTVESTGAVKASQLLLNAIEILKQKLDAVRLSEDTVEADDQFGELGAHMRGG >ONH92165 pep chromosome:Prunus_persica_NCBIv2:G8:16868973:16871767:-1 gene:PRUPE_8G159700 transcript:ONH92165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPKRPIHTVSTWVRRQPPKVKAFLAVVTGMAALVVLRFIVHDHDNLFVAAEAVHSIGIMVLIYKLTKEKTCAGLSLKSQELTAMFLAVRLYCSFVMEYDIHTLLDLATLVTTLWVIYMIRFNLKSSYMEDKDNFAIYYVVVPCALLALFIHPSTSHHLLNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >ONH92167 pep chromosome:Prunus_persica_NCBIv2:G8:16869176:16871759:-1 gene:PRUPE_8G159700 transcript:ONH92167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPKRPIHTVSTWVRRQPPKVKAFLAVVTGMAALVVLRFIVHDHDNLFVAAEAVHSIGIMVLIYKLTKEKTCAGLSLKSQELTAMFLAVRLYCSFVMEYDIHTLLDLATLVTTLWVIYMIRFNLKSSYMEDKDNFAIYYVVSGTMCSFSFVHSSIDVSSFIEQDFLGILCVSGSCFCTAPVACHAEHKDC >ONH92166 pep chromosome:Prunus_persica_NCBIv2:G8:16869702:16871767:-1 gene:PRUPE_8G159700 transcript:ONH92166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPKRPIHTVSTWVRRQPPKVKAFLAVVTGMAALVVLRFIVHDHDNLFVAAEAVHSIGIMVLIYKLTKEKTCAGLSLKSQELTAMFLAVRLYCSFVMEYDIHTLLDLATLVTTLWVIYMIRFNLKSSYMEDKDNFAIYYVVVPCALLALFIHPSTSHHLLNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQSICPSFGTCTNYVVLYMGA >ONH93868 pep chromosome:Prunus_persica_NCBIv2:G8:21926751:21929895:-1 gene:PRUPE_8G257800 transcript:ONH93868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKKLEYKGEEALKEIERLTAKADKVQCKFLKEILTRNSKTEYLSKYMKGSKDVSAFKQCVPVITYKAIQPYIQRIANGEDSSLITGHPITEMLCSSGTSGRKPKMMPSIAEDLDRRTFLYNLIMPIMNQYVPGLDEGKAMFLYFVKAEMSTPCGLPARTVLTSYYKSSHFKYRGLDPFNNGTSPDQTIFCNDSNQSMYCQLLSGLVHRHQVLRLGAVFASAFLRAISFLERNWVNFCNDIRTGQLDPSITDSECRLSMAPLLSLPNPSLADEIKEICSQTSWKGILCQLWPKAKYIEAVVTGSMAQYIPALEYYSDGKLPLVCTMYASSECYFGVNLEPLCDPADVAFTLLPNMGYFEFIPLGENGTRLMDIDEDEEVRNDKLVDLVQVRLGCYYELVVTTFAVTGFHNEAPQFRFICRRNVVLSIDNDKTNEEDLHKSISVAKKLLEPFNALLVEYTSYADTSHLPGHYVLYWEIIHHGSSVVDLDSSTLDVDKVLQECCIAVEEELDYIYRRCRAHDKSVGPLEIRVVEPGTFEELMDYFISQGGSINQYKTPRCIKSKKALKLLDSNVKACFFSPRDPKWIP >ONH93869 pep chromosome:Prunus_persica_NCBIv2:G8:21926998:21929549:-1 gene:PRUPE_8G257800 transcript:ONH93869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKKLEYKGEEALKEIERLTAKADKVQCKFLKEILTRNSKTEYLSKYMKGSKDVSAFKQCVPVITYKAIQPYIQRIANGEDSSLITGHPITEMLCSSGTSGRKPKMMPSIAEDLDRRTFLYNLIMPIMNQYVPGLDEGKAMFLYFVKAEMSTPCGLPARTVLTSYYKSSHFKYRGLDPFNNGTSPDQTIFCNDSNQSMYCQLLSGLVHRHQVLRLGAVFASAFLRAISFLERNWVNFCNDIRTGQLDPSITDSECRLSMAPLLSLPNPSLADEIKEICSQTSWKGILCQLWPKAKYIEAVVTGSMAQYIPALEYYSDGKLPLVCTMYASSECYFGVNLEPLCDPADVAFTLLPNMGYFEFIPLGENGTRLMDIDEDEEVRNDKLVDLVQVRLGCYYELVVTTFAGLYRYRIGDVLQVTGFHNEAPQFRFICRRNVVLSIDNDKTNEEDLHKSISVAKKLLEPFNALLVEYTSYADTSHLPGHYVLYWEIIHHGSSVVDLDSSTLDVDKVLQECCIAVEEELDYIYRRCRAHDKSVGPLEIRVVEPGTFEELMDYFISQGGSINQYKTPRCIKSKKALKLLDSNVKACFFSPRDPKWIP >ONH93871 pep chromosome:Prunus_persica_NCBIv2:G8:21926998:21928469:-1 gene:PRUPE_8G257800 transcript:ONH93871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYFVKAEMSTPCGLPARTVLTSYYKSSHFKYRGLDPFNNGTSPDQTIFCNDSNQSMYCQLLSGLVHRHQVLRLGAVFASAFLRAISFLERNWVNFCNDIRTGQLDPSITDSECRLSMAPLLSLPNPSLADEIKEICSQTSWKGILCQLWPKAKYIEAVVTGSMAQYIPALEYYSDGKLPLVCTMYASSECYFGVNLEPLCDPADVAFTLLPNMGYFEFIPLGENGTRLMDIDEDEEVRNDKLVDLVQVRLGCYYELVVTTFAGLYRYRIGDVLQVTGFHNEAPQFRFICRRNVVLSIDNDKTNEEDLHKSISVAKKLLEPFNALLVEYTSYADTSHLPGHYVLYWEIIHHGSSVVDLDSSTLDVDKVLQECCIAVEEELDYIYRRCRAHDKSVGPLEIRVVEPGTFEELMDYFISQGGSINQYKTPRCIKSKKALKLLDSNVKACFFSPRDPKWIP >ONH93870 pep chromosome:Prunus_persica_NCBIv2:G8:21926751:21929000:-1 gene:PRUPE_8G257800 transcript:ONH93870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYVPGLDEGKAMFLYFVKAEMSTPCGLPARTVLTSYYKSSHFKYRGLDPFNNGTSPDQTIFCNDSNQSMYCQLLSGLVHRHQVLRLGAVFASAFLRAISFLERNWVNFCNDIRTGQLDPSITDSECRLSMAPLLSLPNPSLADEIKEICSQTSWKGILCQLWPKAKYIEAVVTGSMAQYIPALEYYSDGKLPLVCTMYASSECYFGVNLEPLCDPADVAFTLLPNMGYFEFIPLGENGTRLMDIDEDEEVRNDKLVDLVQVRLGCYYELVVTTFAGLYRYRIGDVLQVTGFHNEAPQFRFICRRNVVLSIDNDKTNEEDLHKSISVAKKLLEPFNALLVEYTSYADTSHLPGHYVLYWEIIHHGSSVVDLDSSTLDVDKVLQECCIAVEEELDYIYRRCRAHDKSVGPLEIRVVEPGTFEELMDYFISQGGSINQYKTPRCIKSKKALKLLDSNVKACFFSPRDPKWIP >ONH91690 pep chromosome:Prunus_persica_NCBIv2:G8:15337331:15339437:1 gene:PRUPE_8G130300 transcript:ONH91690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSQNRDFHVFLFPFMAHGHMIPVSDMAKLFAAQGVKTTIITTPLNAPTFSKATRSSKTNSGGIEIEIKTIKFPSQEAGLPEGCENLDSLPPTPVLADSFFKAAGLLQEPLERLLLEDQPTCLVADMFFPWATDAAAKFGIPRLVFHGTSFFALAASDCVRRYEPFKNISSDSEPFVIPDLPGEIKMTRAQVPGFIKDNIENDLTRLLKQSKEAEVRSYGIVVNSFYELEPVYADYYRKVLGKKAWHIGPLSLCNRENEEKAYRGKEASIDEHECLKWLDSKKPNSVVYVCFGSVAKFNNSQLKEIAIGLEASGVDFIWVVRKGKDDVDVGKEDWLPEGFEEMMEGKGLIIRGWAPQVLILDHGAVGGFVTHCGWNSTLEGIAAGLPMVTWPVSAEQFYNEKLVTQVLKIGVGVGTQKWIRVVGDSVKNEAIEKAVTQIMVGEEAEKMRSRAKGLAEQARRAIETGGSSHSDLNALIEELMSSRS >ONH90614 pep chromosome:Prunus_persica_NCBIv2:G8:9475233:9476555:1 gene:PRUPE_8G064100 transcript:ONH90614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIYHYATSFIILLSLIGPNLGALVEQQPLVLKYHNGALLKGDITVNLIWYGRFTPIQRSIVVDFVQSLSSRRAPPGSAASWWKTTEKYKSGASNLVVGRQVLHEAYTLGKSLGNRHLLALAGKVNALKAISVVLTASDVAVAGFCSRCGTHGSTPDKKTAYIWVGNSEAQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGIDGVIINLATLLAGTVTNPYNNGYFQGPASAPLEAVSACTGVFGSGAYPGYPGRVLVDKPTGASYNAVGANGRKFLLPAMWDPQTSACKPLV >ONH90449 pep chromosome:Prunus_persica_NCBIv2:G8:6370269:6371641:1 gene:PRUPE_8G054900 transcript:ONH90449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPPPHFPFEISSTKFMHISYGIHGCILNFLLYKKSRHFLFHFCSSPLYRTLTKPSTAVTSIFSLYPWSVRSLLLRRTTICLVRRHLVVEENNDLVVRYDFEKSESLYMVSSVPFLCDFLISLVSQFLPY >ONH93431 pep chromosome:Prunus_persica_NCBIv2:G8:20619479:20622747:1 gene:PRUPE_8G231700 transcript:ONH93431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLSTPKLTSFSSSPTLQNLLFSKNLPTPLSLRRTLTSLSHLHRTSISPLHHHSRRRSFSVRADSGNGADSGSHYDYDLFTIGAGSGGVRASRFAANFGASVAICELPFATIASDTAGGVGGTCVLRGCVPKKLLVYASQFAHEFEESNGFGWRYETEPKHDWSTLMANKNAELQRLTGIYKNVLKNAGVALIEGRGKIVDPHTVDVDGKLYSARHIIVSVGGRPFIPEIPGIEYAIDSDAALDLPSKPKKIAIVGGGYIAVEFAGIFNGLSSDVHVFIRQKKVLRGFDEEVRDFVQEHMSLRGIEFHAEESPQAIVKSADGSLSLKTNKGTLEGFSHIMFATGRRPNTKNLGLEEVGVKLSKTGAIEVDEFSRTSVPSIWAVGDVTDRVNLTPVALMEGGALAKTLFLNEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIEQYGDVDIYTSNFRPLKATLSGLPDRVFMKLLVCAKTNKVLGLHMCGEDSAEIVQVVVLVLNSRDLQ >ONH93430 pep chromosome:Prunus_persica_NCBIv2:G8:20619428:20623140:1 gene:PRUPE_8G231700 transcript:ONH93430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLSTPKLTSFSSSPTLQNLLFSKNLPTPLSLRRTLTSLSHLHRTSISPLHHHSRRRSFSVRADSGNGADSGSHYDYDLFTIGAGSGGVRASRFAANFGASVAICELPFATIASDTAGGVGGTCVLRGCVPKKLLVYASQFAHEFEESNGFGWRYETEPKHDWSTLMANKNAELQRLTGIYKNVLKNAGVALIEGRGKIVDPHTVDVDGKLYSARHIIVSVGGRPFIPEIPGIEYAIDSDAALDLPSKPKKIAIVGGGYIAVEFAGIFNGLSSDVHVFIRQKKVLRGFDEEVRDFVQEHMSLRGIEFHAEESPQAIVKSADGSLSLKTNKGTLEGFSHIMFATGRRPNTKNLGLEEVGVKLSKTGAIEVDEFSRTSVPSIWAVGDVTDRVNLTPVALMEGGALAKTLFLNEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIEQYGDVDIYTSNFRPLKATLSGLPDRVFMKLLVCAKTNKVLGLHMCGEDSAEIVQGFAVVVKAGLTKADLDATIGIHPTAAEEFVTMRTPTRKIRKNPPSQGKTDSDVKAAAGV >ONH91776 pep chromosome:Prunus_persica_NCBIv2:G8:15660659:15661389:-1 gene:PRUPE_8G136600 transcript:ONH91776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHSSTLVLAFNAISMIIFFLLLSYSLQVEASRPLGGSTFESIKNSIIAQAYSGPSRRGSGH >ONH93663 pep chromosome:Prunus_persica_NCBIv2:G8:21318641:21318856:-1 gene:PRUPE_8G245100 transcript:ONH93663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVFNFPLYAKSNPNWELLDPFHLYVSFFPSYISMLLVLQTFHFRDHLNHKNHSNRKLFKHSVNSVLIYV >ONH90476 pep chromosome:Prunus_persica_NCBIv2:G8:6522938:6526556:-1 gene:PRUPE_8G056100 transcript:ONH90476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYGSTTSLLSYFYFFFSLFFCPMVFSQLSSNQKTTMINLSKSLNVTSFSWDINKEPNPCLWKGVSCKAPSNSSVIQISLSGVSLSSSDFLSLVCQIESLQILDVSDNRLSKIPPGFLSDCGKLDGLKRLNFSYNNLDGSLPAFVGFSGLEVLDLTHNRLSGAIDLELDGLVGLRSLNLSSNHFTGSLPTRLGKSKVLKELQLSMNKFHGIIPVDIVGYRSLTLIDFSVNNISGSVPSAIGELSKLDVLILSSNDLSGEIPQNLSNIRSLTRFAANSNKFNGSIPAGITKHLRNLDLSYNTLSGSIPSDLLSPLNLQTVDLSNNRLNGPIPTALSGNLVRLRLGSNSLNGTIPSAIIAMDQRLTYLEMENNSLSGGIPPELGSFQSLALLNLAQNQLSGALPVELGNLSHLQVLKLQFNYFTGEIPIQITQLSKLSILNISWNSLNGSIPPSVASLKNLINMNLQGNNLNGSIPKNIGSMTSLMELQLGQNHLSGDIPSMPTTLQIALNLSSNLFEGHIPENLARLTGLEILDLSNNRFSGEIPTFFRQLGALTQLILSNNQLSGKIPEFSSWVIVNTSGNEGLTNSTTPSTSPKKKGKPIALTIVLAVIAAAFAVGGITIIAISLSRQTTIRVNDEQPQSGEDLPVPEVLQGNLLTANGIHRSNIDFTKAMEAVSDQSNIVLKTRFSTYYKAIMPSGSSYFVKKLNWSDKIFQLGSHDRFANDLEVFGKLSNSNVMTPLAYVLTVDSAYLFYEFASKGTLFDVLRGSSGDDMDWASRYSVAVGVAQGLAFLHGCTSHPILLLDLSSRSILLKSLKEPLIGEAELCKVIDPSKSTGSLSTIAGSVGYIPPEYAYTMRVTMAGNIYSFGVILLELLTGKPAVSEGVELAKWVVNNSLQQEKWDHLLDYSISRTSTAVRSQMLAVLKIALACVNVSPDARPRMKSVLRMLLNAR >ONH89710 pep chromosome:Prunus_persica_NCBIv2:G8:919277:922002:-1 gene:PRUPE_8G011200 transcript:ONH89710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPYKLEERIGMNACKTNLLVSHCSSLLRSTKSFYLSKHTNKMAETAPVLVNHRPFEPNPILSLHSIDSSIIKPHDSIAVVDDVEIPTVDYFMLFSDDLDERSKALEYIGHVCKDFGFFYLVNHGISDSVFEGVFKGISDFFNPTEIESRKQYEKKNPTNRIRWGLRSSPGENREYLKIIAHPQYHCPTKPSGFSESMEEYFKGLREVVQGLGKAVSKALGFEECYIEKAFKLGTGFDVSAMNLYPPNFRSKGSIGVPDHTDPGFFVSLIQDVNGGLQVFSNDGNCIKVNMPPNAIFINLGDHLEILTNGKYKSHVHRVVVDNNKVKRISVATLHGPSLDAFVSPAPEFVDDSHPPAYRGMTYKQSLQANGSDEIDVQSSIEQIRL >ONH91666 pep chromosome:Prunus_persica_NCBIv2:G8:15212142:15214644:1 gene:PRUPE_8G128400 transcript:ONH91666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIDPDHDIPIRVLDDWGRPYVFHLSCRQGKYKNPVFQSRHWSAFLKERGAKAGDFIYFWPQENAFYQTQYRIKLLRRIWLLLDWVKVNFDGSVRSNLATTGFVICDWNGNVRLADTKNSGQVSFTVAECLALRDGLAHAIHKGWQKILMEGDSKLIIDCVNNLVSVPWSISLLVQDIRLLNSYCEEISFQHIFREANFTADDVATLGHSLTPSRLWNRGLPLSQLNNSFRTLHES >ONH92978 pep chromosome:Prunus_persica_NCBIv2:G8:19212279:19212973:1 gene:PRUPE_8G205200 transcript:ONH92978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQKAREMAKHLHVLCVITCTRIRTEDNFYTTVLAGDHNLLLLILGLWWWFRLLVGFEKRRKKLD >ONH92093 pep chromosome:Prunus_persica_NCBIv2:G8:16643804:16644605:-1 gene:PRUPE_8G154700 transcript:ONH92093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVFANISKEEIDGFVEEHNKARKEVGNKPLNWNTTLAQYAQEYADKRVGDCAMEHSMGRWGENLASGDGMSGAAATKYWVTEKEFYDEKSNKCVKDECGHYLAVVWGKTTEVGCGISKCNNGQNYVVCSYDPMYQPEDERPY >ONH90480 pep chromosome:Prunus_persica_NCBIv2:G8:6595580:6597495:-1 gene:PRUPE_8G056500 transcript:ONH90480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFKEAISDCCFKEIPFLGSPFTWSRGSGGSLVKEHLDRDASDHAPLVLHAEIHAPATTFRKWRFHFEAMWANEEGYRNTILEAWGEASCQSLPLTNKFLLCTQRLNSWGQQQFGSLPKQIQQCYLELQNLDLDAPNFDPTRKHELSVKLDDLLEKEEIFWKQRSRVQWLQEGDWKTHGLPALFFQKYWDIIGEDIALICLQILNNGKSIKEFNHTLVALIPKIKNVKNVKEFRPISLCNVIYKIVAKTLANCLKIILPEVISSVQSASIPGKYIIDNVTLAFELMHTVKKQKQIKEPKVVVKLDVSKAYDRVERNFLRKIMKKLGFATRWVDLIMECVTTVTYSIINLLTTYEKAAGQRINFENSTMAFGPNSNIQLQSDMQLILGIPLVQFHEKYLGLPMVVGQAKKALFVNLRNRVGKLLQGWKGHAFVHSSL >ONH90810 pep chromosome:Prunus_persica_NCBIv2:G8:11008965:11010794:-1 gene:PRUPE_8G075700 transcript:ONH90810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPKYGYADPPQGPYQGPPVMAPPQYYAAAPPPKREPGFLEGCFAALCCCCLLDECCCDPSILFVT >ONH89971 pep chromosome:Prunus_persica_NCBIv2:G8:2472726:2476778:1 gene:PRUPE_8G027100 transcript:ONH89971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQRAFTSATAVCSWKYEVFLSFRGEDTRRGFTDYLYKQLDWRGIRTFRDDPDLQRGADINPELLTAIEQSRFAIIVLSTNYASSSWCLRELTHIVQSMKEKERIFPIFYDVDPSDVRHQRGSFGTALVNHERNCGEDREEVLEWRNALKKVANLAGWNSKDYRYDTELITKIVDAVWDKVHHTFSLLDSSDILVGLDTKLKEIDLHLDTSANDVRFVGIWGMGGMGKTTLARLVHETISHSFEGSSFLANVREVYATHGLVPLQKQLLSNILGETNIQVYDAYSGFTMIKRCLCNKKVLLILDDVDQSDQLEMLIREKDCFGLGSRIIITTRDERLFVDHGIEKVYKVMPLTQDEALYLFSRKAFRKDDLEEDYLELSKNFINYAGGLPLALKTLGSFLYKRSRDEWKSALDKLKQAPDRKIFQILKISYDGLEEMQKKIFLDVACFHKLYDKEEVIEILDSCGFVGTRIVIHVLIEKSLLSISNTHLSIHDLIQEMAWEIVRQESFDEPGGRSRLWLHSDIIHVLTNNTGTEAIESIVLCLREFEAAHWNPEAFSKMCKLKLLKINNLSLSLGPKYLPNSLRFLEWSWYPSKCLPPSFQPNELAQLSLQQSKIDHLWNGIKYMVKLKSIDLSYSENLTRTPDFTGTQNLERLVFEGCTNLVKIHPSIASLKRLRVLNFKNCKSIKSLPSEEIPRSLGIIDIIIPGSEIPEWFNNQSVGDSVIEPLPSDSNSTWVGFAFCALFVATATKHNSITFRCLCDDLNTPAGLGYTVAIDYVASDHLWLILLSRQQFFWKPRQRPETYWREKCHDQKMRFHFETRSYPGEKTGVKVKKCGVRTLYEQDAEELNRTMKQYSNRNNSFYEDVTDYDFDKSDKVQGAITKRTREQYCTEAGPSGIGTLGKESLCKRMKED >ONH92776 pep chromosome:Prunus_persica_NCBIv2:G8:18766588:18769917:1 gene:PRUPE_8G195300 transcript:ONH92776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKLMHAVQYKSYGGGPSGLKHVEIPIPSLKKDEVLLKTEAASLNPSDFKIQKGLARPFLPRKLPHTPVTDVAGEIVEVGQGVKKFKVGDKVVALLSYGNGGGLAEFAVAKEKRVVARPPEVSAAEGAGLPMAGLTAHQAVTKDARIKLDGTGQLKNILITGAAGGVGLYAVQLAKLGNTHVTATCGARNIELVKSLGADEILDYKTPEGASLKSPSGRKYDVVIHSASSAIPWSVFEANLSARGKVIDLTIGASNLFTFVLKKITFSKKKLVLLAISAKAENLDCLVKLAKEGKLKTVIDSNYPLSKAEDAWAKRINTQCTGKIIVEP >ONH91941 pep chromosome:Prunus_persica_NCBIv2:G8:16113293:16116082:1 gene:PRUPE_8G145100 transcript:ONH91941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIHIQYDKKEKTCYDLASYVMPHQGTHTNRVALRFQVNALSYDYTASIECLAKPHKPQYNGGIIVNPELNHGLNGWSSFGNAKIQHRESEGNRFIVAHGRQQSYDSISQKTYLQSNKFYTFSAWIQVSSGSVPVTAIFKTSSGFIHAGAIVAESNCWSMLKGGLTVDASGPAELYFESKNTSVEIWVDSISLQPFTEKQWKSHQHQSITKTRKSNVKIQAVDEQGKPLANATIIIQQKAPGFPFGVAINKNILTNTAYQHWFTSRPFTVTTFEDEMKWYSTESSQGHEDYSAADALLQFAKQHNIGVRGHNVFWDDPRYQPGWLKSLSGQQLSDAASKRLNSVMGRYKGQVIAWDVCNENLHFNFFESKIGASASAMFYNWALKADGATTLFMNEYNTIEESGDRDSIPAKYLQKLRDIQAFPGNNNAKMAIGLESHFTTPNIPYIRSSIDTLAAAKVPIWITELDVTSGPNQASYLEQILREVHAHPQIQGIVIWSAWNPQGCYRMCLTDNNFKNLPTGDVVDKLIHEFGLTSGLASGMTDPNGFFEASLFQGDYEVKITNPSVANSSSVQGLNVGPTTESQQQLLLQVSA >ONH89764 pep chromosome:Prunus_persica_NCBIv2:G8:1291880:1293176:1 gene:PRUPE_8G015000 transcript:ONH89764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMQCLIAGCGDTDMEELTNNLNDLPPLSSECCIYKVPKRLRGVNNKAYTPQVVSIGPLHHGRESLKAMEEHKMRYMKDFLERTGVELEVLFKDMMERQAQIRASYAVTVKFDEHELVKIIMVDATFTFEVMLRVSLPSLQRENDRIFGKPGMLRDIIYDMLLLENQVPFFILEYLYFLAHSKNIFDLESGLSIISLTHKLFRNRVYKRPFHEICHKFSRPSTKIHHFVDFLLQCHRPLPSDLPTKKKFKTLNIPNATELHQAGNGILKIPRLRIRGSTEIFFRNLIAYEQCEHCDHYINDYVFFMDRLVDSAKDVELLAKSRVLESKLPDSKATADFINNLDLGPILFSRKFYFTDLCDKLNEHYGEPWHEWKASLKQDYFKSPWASLSIIVAAILVVLTFIQTVCSVMEL >ONH90783 pep chromosome:Prunus_persica_NCBIv2:G8:10817941:10826705:1 gene:PRUPE_8G074100 transcript:ONH90783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSPPGRWRTCIFASMAPLLRARSFRSDAALEALAKASQDKVPNLLLYNYPSFSGAFSALFAHLFHSRLNLPCLTLPFSSVEPFRIDDLCIEGLERCYLLDFLGPKGFAVKFARRALCEVISFDHRKRVLPQIPSEEDCPKNLKFHVNLEKSSSTAVYDYFSTILAGSEYHNGMDVSLLEPEDRDRVEMVLKYIEDGDLRRWSLPDIRAFNIGLSEWRSKLNCVTNPYMYEQLLDISAVDALAKGNAYNSIRQKAANKLLDNVLKVRLGRGFYGECLGVRAHVNSELSDEIGKQLSVKSAAAGLRPIGAVIFMQRKNLKMCLRSTDSSTDTSEVAKAYGGGGSPSSSSFIIRMDEYNQWISENSR >ONH90785 pep chromosome:Prunus_persica_NCBIv2:G8:10817940:10822628:1 gene:PRUPE_8G074100 transcript:ONH90785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSPPGRWRTCIFASMAPLLRARSFRSDAALEALAKASQDKVPNLLLYNYPSFSGAFSALFAHLFHSRLNLPCLTLPFSSVEPFRIDDLCIEGLERCYLLDFLGPKGFAVKFARRALCEVISFDHRKRVLPQIPSEEDCPKNLKFHVNLEKSSSTAVYDYFSTILAGSEYHNGMDVSLLEPEDRDRVEMVLKYIEDGDLRRWSLPDIRAFNIGLSEWRSKLNCVTNPYMYEQLLDISAVDALAKGNAYNSIRQKAANKLLDNVLKVRLGRGFYGECLGVRAHVNSELSDEIGKQLSVKSAAAGLRPIGAVIFMQRKNLKMCLRSTDSSTDTSEVAKAYGGGGSPSSSSFIIRMDEYNQWISENSR >ONH90784 pep chromosome:Prunus_persica_NCBIv2:G8:10817941:10822480:1 gene:PRUPE_8G074100 transcript:ONH90784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSPPGRWRTCIFASMAPLLRARSFRSDAALEALAKASQDKVPNLLLYNYPSFSGAFSALFAHLFHSRLNLPCLTLPFSSVEPFRIDDLCIEGLERCYLLDFLGPKGFAVKFARRALCEVISFDHRKRVLPQIPSEEDCPKNLKFHVNLEKSSSTAVYDYFSTILAGSEYHNGMDVSLLEPEDRDRVEMVLKYIEDGDLRRWSLPDIRAFNIGLSEWRSKLNCVTNPYMYEQLLDISAVDALAKGNAYNSIRQKAANKLLDNVLKVRLGRGFYGECLGVRAHVNSELSDEIGKQLSVKSAAAGLRPIGAVIFMQRKNLKMCLRSTDSSTDTSEVAKAYGGGGSPSSSSFIIRMDEYNQWISENSR >ONH91937 pep chromosome:Prunus_persica_NCBIv2:G8:16097778:16102749:-1 gene:PRUPE_8G144900 transcript:ONH91937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMANTESSGDTARPWQCYNTAYTNAKAGMEGVDKEKVQRIVYEMSKGSQYFRNEERKEAFIREKIENMRARCAKLKPADLAHYQTVADKIILELEATRDLSRIWVHVDMDAFYAAVETLSDPSLKGKPMAVGGMSMISTANYEARRFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKDEAYLDVTEVCKERGTTGEEIARELRAGVHDETGGLTCSAGVAPNRLLAKVCSDINKPNGQFILPNDRMAVMTFISSLPVRKIGGIGKVTEHILRDALGISTCEEILQKSSYICALFSNSSADFFLSVGLAIGGTDTPQVRSRRSISNERTFSATGDEAFLFCKLADIAEMLSTDLQKEGLRGRTLTLKLKTASFEVRTRAVTSQKNICSTEDIMKHASKLLKAELPVSLRLIGLRLSHFDEEKVGAPSDPTQKTLTDYMLSGDALRKNMGDQSSLDLDPTDHCMMHVEETCLSKDGHQTSCDYRDPLDENHLSNLDDSKSTSGNFEEKEEIYESTSNANAEKMNANDNQNEDNVQDVVRPLLGPGYSFPDQFEGSSLDRVNSVTKTLGDVASSSVSQKKFLLWVNDYKCSICGIEMPPSFVEERQEHFDFHLAEKLQKEESGTDFKTCVLKKRNVPKDHIASQRKHKKQKPSPKEGKHIPIDAFFVKSNQNF >ONH91938 pep chromosome:Prunus_persica_NCBIv2:G8:16097778:16102804:-1 gene:PRUPE_8G144900 transcript:ONH91938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMANTESSGDTARPWQCYNTAYTNAKAGMEGVDKEKVQRIVYEMSKGSQYFRNEERKEAFIREKIENMRARCAKLKPADLAHYQTVADKIILELEATRDLSRIWVHVDMDAFYAAVETLSDPSLKGKPMAVGGMSMISTANYEARRFGVRAAMPGFIARKLCPELIFVPTDFKKYTYYSDLTRKVFQKYDPNFVAASLDEAYLDVTEVCKERGTTGEEIARELRAGVHDETGGLTCSAGVAPNRLLAKVCSDINKPNGQFILPNDRMAVMTFISSLPVRKIGGIGKVTEHILRDALGISTCEEILQKSSYICALFSNSSADFFLSVGLAIGGTDTPQVRSRRSISNERTFSATGDEAFLFCKLADIAEMLSTDLQKEGLRGRTLTLKLKTASFEVRTRAVTSQKNICSTEDIMKHASKLLKAELPVSLRLIGLRLSHFDEEKVGAPSDPTQKTLTDYMLSGDALRKNMGDQSSLDLDPTDHCMMHVEETCLSKDGHQTSCDYRDPLDENHLSNLDDSKSTSGNFEEKEEIYESTSNANAEKMNANDNQNEDNVQDVVRPLLGPGYSFPDQFEGSSLDRVNSVTKTLGDVASSSVSQKKFLLWVNDYKCSICGIEMPPSFVEERQEHFDFHLAEKLQKEESGTDFKTCVLKKRNVPKDHIASQRKHKKQKPSPKEGKHIPIDAFFVKSNQNF >ONH90540 pep chromosome:Prunus_persica_NCBIv2:G8:8473585:8479610:-1 gene:PRUPE_8G060100 transcript:ONH90540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLCCFSSVYSQVVGGRSSCSSGKGRSHQGPVKYGFSLVKGKANHPMEDFHVAKFVHSQGHELGLFAIYDGHLGDSVPAYLQKHLFPNILKDEEFWTDPNRSISKAYERTDQAILSHSPDLGRGGSTAVTAILINGHKLWIANVGDSRAVLSRRGQAVQMSIDHEPNTERGSIEDRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKTHLRSDPDVRSSDVGSETDVLILASDGLWKKDKRPA >ONH90538 pep chromosome:Prunus_persica_NCBIv2:G8:8474544:8478758:-1 gene:PRUPE_8G060100 transcript:ONH90538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLCCFSSVYSQVVGGRSSCSSGKGRSHQGPVKYGFSLVKGKANHPMEDFHVAKFVHSQGHELGLFAIYDGHLGDSVPAYLQKHLFPNILKDEEFWTDPNRSISKAYERTDQAILSHSPDLGRGGSTAVTAILINGHKLWIANVGDSRAVLSRRGQAVQMSIDHEPNTERGSIEDRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKTHLRSDPDVRSSDVGSETDVLILASDGLWKVMSNQEAVDIARKTKDPHKAAKQLVAEALNRDSKDDISCIVVRLKG >ONH90539 pep chromosome:Prunus_persica_NCBIv2:G8:8473585:8479224:-1 gene:PRUPE_8G060100 transcript:ONH90539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLCCFSSVYSQVVGGRSSCSSGKGRSHQGPVKYGFSLVKGKANHPMEDFHVAKFVHSQGHELGLFAIYDGHLGDSVPAYLQKHLFPNILKDEEFWTDPNRSISKAYERTDQAILSHSPDLGRGGSTAVTAILINGHKLWIANVGDSRAVLSRRGQAVQMSIDHEPNTERGSIEDRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKTHLRSDPDVRSSDVGSETDVLILASDGLWKVMSNQEAVDIARKTKDPHKAAKQLVAEALNRDSKDDISCIVVRLKG >ONH90735 pep chromosome:Prunus_persica_NCBIv2:G8:10601512:10602725:1 gene:PRUPE_8G072100 transcript:ONH90735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDSTTIEKPNTQRVASWIGNSIIQPLSILNSSEMTRSIGSSTTCVLLIALLLVASMEMPVVEGGRGCKQASKSMTTCTAHPECDKKCKGEKAKMGLCRGPKAKSAGATCVNMNGNFV >ONH93603 pep chromosome:Prunus_persica_NCBIv2:G8:21156056:21158568:1 gene:PRUPE_8G241700 transcript:ONH93603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKEGEVGFEEGMLWLPSQVLDEAIRDTKAYSRLQVQHHQHHHLNSKPSSIRPHPHRPKANVNWSSGGPGMQAIFLDSSSQRSCGTGVFLPQIAGSNSHKTKKPACAPVLLPSRVVQALNLNVHALGLQISPRQDAKDHKRKGGDCISVHNKNGNKDVPSTQCYIITQNPSSSPELFLPKEWTY >ONH89660 pep chromosome:Prunus_persica_NCBIv2:G8:565628:571058:1 gene:PRUPE_8G007400 transcript:ONH89660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLLTLRPPPPPSVLLPCLSSLSPPPSFLFSFRPNKRFHFLSPCSSLKQSKKKSLQKTTRPPNAPPPQSQRWFFNNPKGDEGGEIEKTDEADSAFGGDTALKGTVLAGVFLVGIVGGFAGVGYLYKDQINAFLNQFSTFIEGYGPAGYALFVAVYAGLEILAIPAVPLTMSAGLLFGTVTGSILVSISGTVAASVAFLIARYFARERILKMVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESELGLPGGNGQLLTLGLGLLATALAAAYVTRLAKDAVKDID >ONH90555 pep chromosome:Prunus_persica_NCBIv2:G8:8713911:8717954:-1 gene:PRUPE_8G061100 transcript:ONH90555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLISAKLCFLPYCLVIFLYVSSPNWVVFLSATSTSHTEAKALLKWKASLFPNKALNHLTWYPPTYNINATNSSSTNPKPRTSPCTWTGVSCNAAGSVSKLNLSTSGIQGTLHEFSFLSFPNLEYLDLSFNKLFDAIPPQISYLSKLHYLDLSQNQFSGRIPPEIGNLKSLVELYLCQNQLDGSIPRSLGNLTSLTLLYPYRNKLSGLIPKEIGNLKSLVNLELSHNNLSGLIPPSIGNLIKLNTLYLHSNQLSGFIPKEIGNLKSLVDLELSYNNLSGLIPSNIGNLIKLNTLYLHDNQLSGLIPKEIGNLKSLVDLELSNNNLSGLIPSNIGNLIKLNILYLDDNQLSGLIPKEIGNLKSLVDLDLSYNNLSGLIPPNIGNLISLNTLYLHSNQLSGLIPKEIANLKSLVDLEFSYNNLSGLIPPNIGNLINLNYLYLNNNQLSGLIPKEIGNLKSLVDLDLSYNNLSGFIPPNIGNLIKLNILFLTSNQLSGLIPKEIGNLKSLVVLQLSYNNLSGLIPPNICQGGKLINFSVSANYLTGPIPKSLKNCTSLFRVRLDRNQLTGNISEDFGVYPNLDFMTISHNNLYGEISHTWGQCPKLTTLQMAGNNLTGSIPPEIGNTTQIHVLDLSLNHLVGVIPKAFGRLTYLEKLMLNGNKLSGSIPSEFGSLSDLEYLDLSANKFNESIPSILGHLFRLHYLNLSKNDLSQAIPLNLGKLVQLNDLDLSHNSLEGKIPSEMSNIQSLVTLNLSHNNLSGFIPTSFEDMHGLSYVDISYNHLEGPLPNTRTFREAPPEALKGNKGLCGKVGALPPCNEHGTKTNRKRVFGITFSLLAVFVLLSVLFTIVFVVQRKKKHQDKEQNNMHEEISFSVLNFDGKSMYEEIIRATEDFDSIYCIGKGGHGSVYRVNLSSASANIVAVKKLHLVWDGEIEFQKEFLNEVRALTEIRHRNIVKLYGFCAHKRHSFLVYEYLERGSLATILSKEEEAKELGWSKRVNIVKGVAHALSYMHHDCLPLIVHRDISSKNILLDPEYEACVSDFGTARFLNPDSTNWTTVAGTFGYMAPEVIMGRHPGDVFSSLSSRASSSSSSASPAPEMPISDVLDQRISPPSNQEAGEVVSLAKIAFASLNPSPQCRPTMKKVSQLLSSTQRLHLSKPLHMTTCGELLALDSLTS >ONH91472 pep chromosome:Prunus_persica_NCBIv2:G8:14372071:14375623:-1 gene:PRUPE_8G116700 transcript:ONH91472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPKNAVRSISQEAFDEVVKENVDDLGMDPTEALQDAIQTLTLQGVDLSGIVTCVPGEGSVKDNPVIHCLDRLNQLNAGPSNQIADETVELLNKLTELCNSKGSGNAAIASRNGGVEIICSICSKVQKGCEHAIVSALKALAFLLHDLQSRETFRASDGPKLVVGIITDGFENIDILSSGFAVIAAAATGNEVLKELFVELKIEELILRVLSVKREASIQSVYDAIWVLLTPDDNRVLASQVFGYARKFAKIGIARALVDSIRAGLSSSSLVSASIALKAVAVNDEICTSISENGGVDAVLVCIDDSSEQGNKTVAKVCCSLLCKLAGSDANKTAIVEKKGMDRLIKLSARFFDDPSVLQEIMAVISVLSLRSPENAARAIEAGAGELALQAMQKFPAAQQMQKNSCLMIRNLVARNAANRTLLLNSGVEKHIRVAKQNHVSCKEAATDALRDLGLDDYNV >ONH90936 pep chromosome:Prunus_persica_NCBIv2:G8:11745793:11749369:1 gene:PRUPE_8G084100 transcript:ONH90936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPTETESPIYLMVRADEMIFWYVIERKSTAATAENDNNNEGRNFVVLRASERDRVRQLNLPSFGGEGLDWIDFSRLVALNRRLYLIGSTIGDTNKVGRVGLRYIDLDATPTPIWHIGGGVNSDRQDGAIAREDGWIFTSGDNIYRVNHPSGDSTKLPTKEGLRGAVHMLGVTMSNILVYDTMLGSNTMYSIDLRSNRWEVICHRFWGVWSPGVILFDDSLLFSLGTQNPSSDTESLGFKARPGVYAFDIRARRWLSSPLEGLKNEVGLKNEVGLETVLPSEGPYDSGDVLAPTDEPVDPFNPWWVPLSLVKVGVENGHHKLGLVWDRMIMYDNDVKSCQIHWCKFKILTPNGGDILEAELLSSGICNLDESTYMVMNCTAGLVVAPDEGNEEQKGDKRRREGVTAPDGGNEEESDSKRRRGVNAPDDSNEEEKGNERSGSCFPTNQTGETR >ONH90935 pep chromosome:Prunus_persica_NCBIv2:G8:11745793:11749369:1 gene:PRUPE_8G084100 transcript:ONH90935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPTETESPIYLMVRADEMIFWYVIERKSTAATAENDNNNEGRNFVVLRASERDRVRQLNLPSFGGEGLDWIDFSRLVALNRRLYLIGSTIGDTNKVGRVGLRYIDLDATPTPIWHIGGGVNSDRQDGAIAREDGWIFTSGDNIYRVNHPSGDSTKLPTKEGLRGAVHMLGVTMSNILVYDTMLGSNTMYSIDLRSNRWEVICHRFWGVWSPGVILFDDSLLFSLGTQNPSSDTESLGFKARPGVYAFDIRARRWLSSPLEGLKNEVGLKNEVGLETVLPSEGPYDSGDVLAPTDEPVDPFNPWWVPLSLVKVGVENGHHKLGLVWDRMIMYDNDVKSCQIHWCKFKILTPNGGDILEAELLSSGICNLDESTYMVMNCTAGLVVAPDEGNEEQKGDKRRREGVTAPDGGNEEESDSKRRRGVNAPDDSNEEEKGNERSGSCFPTNQTGETR >ONH91541 pep chromosome:Prunus_persica_NCBIv2:G8:14815603:14818803:1 gene:PRUPE_8G122500 transcript:ONH91541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTHEWKHSKNFMLTMNVKEEELSDPEYVPQENEEEEEEEEEDYLSVSQFSLRSRRRTHHSSLSVKPREPWKTKKNVLNTRRSPLSPTHMTKRKVPGDPRKHGGCKRKRTMFDNFNEHEKSSASTQVEEIQAILGAEYPIVIKSMIHSNVSSWNCLTLPKQFCHLHLPLHNTTLTLEVESGEKYRIIFLAGKRILSAGWKKFCTAHKLRVGDLLVLRLVRPLKFKVYIMGRHNLAEVDAAFSLLKLNACAKHEVLEENHPKPLSSDVCQGNIRKTSLMLDTKPELIDNQTEEDNEEFGSKFPGGIRYPVPAADFEDVISIENFTILVNGVTIDSWLSKQLRTNYYDLCCSRGSFLHDHLLKSINSKLAAEIITETTNIANAIRARKLSASHTDYEMWEKTLNAFELLGMDVGFLNAQLERLLSPSFRSEEAVELKRIEAKVEQICAGGR >ONH91542 pep chromosome:Prunus_persica_NCBIv2:G8:14815603:14818803:1 gene:PRUPE_8G122500 transcript:ONH91542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNFNEHEKSSASTQVEEIQAILGAEYPIVIKSMIHSNVSSWNCLTLPKQFCHLHLPLHNTTLTLEVESGEKYRIIFLAGKRILSAGWKKFCTAHKLRVGDLLVLRLVRPLKFKVYIMGRHNLAEVDAAFSLLKLNACAKHEVLEENHPKPLSSDVCQGNIRKTSLMLDTKPELIDNQTEEDNEEFGSKFPGGIRYPVPAADFEDVISIENFTILVNGVTIDSWLSKQLRTNYYDLCCSRGSFLHDHLLKSINSKLAAEIITETTNIANAIRARKLSASHTDYEMWEKTLNAFELLGMDVGFLNAQLERLLSPSFRSEEAVELKRIEAKVEQICAGGR >ONH91543 pep chromosome:Prunus_persica_NCBIv2:G8:14816612:14818803:1 gene:PRUPE_8G122500 transcript:ONH91543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNFNEHEKSSASTQVEEIQAILGAEYPIVIKSMIHSNVSSWNCLTLPKQFCHLHLPLHNTTLTLEVESGEKYRIIFLAGKRILSAGWKKFCTAHKLRVGDLLVLRLVRPLKFKVYIMGRHNLAEVDAAFSLLKLNACAKHEVLEENHPKPLSSDVCQGNIRKTSLMLDTKPELIDNQTEEDNEEFGSKFPGGIRYPVPAADFEDVISIENFTILVNGVTIDSWLSKQLRTNYYDLCCSRGSFLHDHLLKSINSKLAAEIITETTNIANAIRARKLSASHTDYEMWEKTLNAFELLGMDVGFLNAQLERLLSPSFRSEEAVELKRIEAKVEQICAGGR >ONH94084 pep chromosome:Prunus_persica_NCBIv2:G8:22402157:22402777:1 gene:PRUPE_8G269400 transcript:ONH94084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENEKPNCEVLERENGRTSTRDDISSFGKALASRAIYGSSSSSSNRRTGSSREARTQPSRLSKVSLADDPKINSQ >ONH92637 pep chromosome:Prunus_persica_NCBIv2:G8:18366126:18371084:-1 gene:PRUPE_8G185800 transcript:ONH92637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCAGLPIAITTVGRALKHKSKNVWNDALRQLTRACPENIPGMIQEVYPKIELSYECLESHEAKACFLLCCLYPEGCNIPIEDLVRYGCGLKLFKSIHSMVEGRNSVETLVGILKTSSLLVDSNNEGCVRMHDEVRDAALSIASKSRDVLVVRYGTELTGWPNNYVSGQYISSTLITNETPELLLLSCPDECLLKPLATILEGMENLKVLVMKNTFVLPILPSLPLLKNLQTLCLEHCNLNLDIGPVIGELRTLMTLSLRGSYMEQLPDECKNLIDLRVLDLTGCNSLKVISPGVISKFFLLEELYMWNNFGQWVVGDQTPIVLDQPCMDRVEAQLEQEQIDTLEEEFLGSRLTRRAHDVC >ONH93722 pep chromosome:Prunus_persica_NCBIv2:G8:21505825:21509044:1 gene:PRUPE_8G249200 transcript:ONH93722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDVETDSPKGVLEDYFRSSDSETSFSKEPTANSENHQISKPTSRWHGLVQLLRTKSKKPIATTMHPLVKFSKRMSSSMREIITPKFQSDIDDMYPFKSPWKNFSLYELQAATKSFSHENQIGKGGYAEVYKGCLPNGQLVAVKRLTRGTPEEITGDFLAEIGIMAHVNHPNTARLIGYGVEGGMHLVLELSPKGSLASVLYGSKEKLDWGIRYKIALGAGKGLQYLHEGCQRRIIHRDIKAANILLTEDFEAKICDFGLAKWLPEKWTHHTISKFEGTFGYLAPEFLLHGIVDEKTDVFAYGVVLLELVTGRRALDYSQQSLVLWAKPLLKKNEVKSLVDPSLRDEYNTRQMNLVLLVASACIQQSSIRRPSMNQVVQLLSGDLSSLKCMKKSRMSFYRKAFQDEHINTEDHVQPRIKGP >ONH92979 pep chromosome:Prunus_persica_NCBIv2:G8:19214977:19215523:1 gene:PRUPE_8G205300 transcript:ONH92979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTSFLCAGITLLTFGLKPSSSILLSSSPSGFSSKQPFSTSEHFSISMANIYLSLSLSLSFSQCVCAFLIRW >ONH92639 pep chromosome:Prunus_persica_NCBIv2:G8:18374270:18376195:1 gene:PRUPE_8G186000 transcript:ONH92639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKREKAHCLVLFYPSQGHINPLLQFSKRLEHKGLKVTFITTRSVLKAMHGGGGGGQSPSSFSSIALETISDGYDEGGASQAESIEAYLDRFREIGSQTLAELIEEISGSGHPADCLVYDSCMSWALDVAKRVGIAGAAFFTFSCAVTNIYSLVQNGLLKVPLNPGSEILLPGLPPLQPSDTPSFVYVSGSYPAFFKLILDQFSNLDKADWVLCNTFHELEQEAVDWMAKFWPLRTIGPTIPSMYMDKRHEDNWEYGLSLLKPNSDACMKWLNVKPKGSVAYVSFGSVAEIGEEQMEELGLGLRRSKSYFLWVVREKEAAKLPKGFVEETSEKGLVVSWCPQLEVLAHKAVGCFVTHCGWNSTLEGLSLGVPMVAVPQWTDQSTNARFIMDVWKTGLKAQADKKGIVRGEEITHCLREILDGERGKEIRKNTSKWKALAKNAVDEGGSSDKNIDEFIAKLVQS >ONH90361 pep chromosome:Prunus_persica_NCBIv2:G8:5395478:5407979:1 gene:PRUPE_8G049600 transcript:ONH90361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPSWIRHEGMQIFSIDVQPGGLRLATGGGDHKVRVWNMKSLGRDLENEESSQRLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILIHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSMLASGSLDNTIHIWNMSNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVIVVKFNHSMFRRNISNAQEKAAPVGWTNGASKMGGKEKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGNRLTDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQAPSKKVVLDQQNQTVVKPSVDARVATKTSVDGLNKASLSARISSPVKQREYRRPDGRKRIIPEAVGVPLQQENISVGPQSQALDFPPMPSDKKNDDNGLAAADSSIRDSSVRGTLGRSTEIKEGHGVTARAMITKSLVIEKVTASTGRDESITVEQSGNAKASSSLGASCSALSIRVFDKKEGEDTVPICLEAQPREQAANDIVGMGNTFIMKETEITCTRGLQILWSDRISGKVTVLAGNANFWAVGCEDGCIQVYTKCGRRAMPTMMVGSAAIFIDCDECWKLFLVTRKGSFYVWDLFKRNCLLHDSLASLVASNPNPSAKDAGVIKVISAKLSRSGSPLVVLATRHAFLFDMGLMCWLRVADDCFPGSNFSSSWHSGSTQRGELAALQVDVRKYVARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPKDYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMVEDTPLDPKNLAWDPYVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLISEYESAETNLEKRSQTSPTARPPAADQMDSIWDKSKGLCPCCNRSKEVCSCFN >ONH90364 pep chromosome:Prunus_persica_NCBIv2:G8:5395478:5407979:1 gene:PRUPE_8G049600 transcript:ONH90364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLGRDLENEESSQRLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILIHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSMLASGSLDNTIHIWNMSNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVIVVKFNHSMFRRNISNAQEKAAPVGWTNGASKMGGKEKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGNRLTDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQAPSKKVVLDQQNQTVVKPSVDARVATKTSVDGLNKASLSARISSPVKQREYRRPDGRKRIIPEAVGVPLQQENISVGPQSQALDFPPMPSDKKNDDNGLAAADSSIRDSSVRGTLGRSTEIKEGHGVTARAMITKSLVIEKVTASTGRDESITVEQSGNAKASSSLGASCSALSIRVFDKKEGEDTVPICLEAQPREQAANDIVGMGNTFIMKETEITCTRGLQILWSDRISGKVTVLAGNANFWAVGCEDGCIQVYTKCGRRAMPTMMVGSAAIFIDCDECWKLFLVTRKGSFYVWDLFKRNCLLHDSLASLVASNPNPSAKDAGVIKVISAKLSRSGSPLVVLATRHAFLFDMGLMCWLRVADDCFPGSNFSSSWHSGSTQRGELAALQVDVRKYVARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPKDYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMVEDTPLDPKNLAWDPYVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLISEYESAETNLEKRSQTSPTARPPAADQMDSIWDKSKGLCPCCNRSKEVCSCFN >ONH90363 pep chromosome:Prunus_persica_NCBIv2:G8:5395478:5407979:1 gene:PRUPE_8G049600 transcript:ONH90363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPSWIRHEGMQIFSIDVQPGGLRLATGGGDHKVRVWNMKSLGRDLENEESSQRLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILIHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSMLASGSLDNTIHIWNMSNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVIVVKFNHSMFRRNISNAQEKAAPVGWTNGASKMGGKEKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGNRLTDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQAPSKKVVLDQQNQTVVKPSVDARVATKTSVDGLNKASLSARISSPVKQREYRRPDGRKRIIPEAVGVPLQQENISVGPQSQALDFPPMPSDKKNDDNGLAAADSSIRDSSVRGTLGRSTEIKEGHGVTARAMITKSLVIEKVTASTGRDESITVEQSGNAKASSSLGASCSALSIRVFDKKEGEDTVPICLEAQPREQAANDIVGMGNTFIMKETEITCTRGLQILWSDRISGKVTVLAGNANFWAVGCEDGCIQVYTKCGRRAMPTMMVGSAAIFIDCDECWKLFLVTRKGSFYVWDLFKRNCLLHDSLASLVASNPNPSAKDAGVIKVISAKLSRSGSPLVVLATRHAFLFDMGLMCWLRVADDCFPGSNFSSSWHSGSTQRGELAALQVDVRKYVARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPKDYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMVEDTPLDPKNLAWDPYVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLISEYESAETNLEKRSQTSPTARPPAADQMDSIWDKSKGLCPCCNRSKEVCSCFN >ONH90362 pep chromosome:Prunus_persica_NCBIv2:G8:5395478:5407979:1 gene:PRUPE_8G049600 transcript:ONH90362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPSWIRHEGMQIFSIDVQPGGLRLATGGGDHKVRVWNMKSLGRDLENEESSQRLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILIHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSMLASGSLDNTIHIWNMSNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVIVVKFNHSMFRRNISNAQEKAAPVGWTNGASKMGGKEKEPQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEVKELGNRLTDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQAPSKKVVLDQQNQTVVKPSVDARVATKTSVDGLNKASLSARISSPVKQREYRRPDGRKRIIPEAVGVPLQQENISVGPQSQALDFPPMPSDKKNDDNGLAAADSSIRDSSVRGTLGRSTEIKEGHGVTARAMITKSLVIEKVTASTGRDESITVEQSGNAKASSSLGASCSALSIRVFDKKEGEDTVPICLEAQPREQAANDIVGMGNTFIMKETEITCTRGLQILWSDRISGKVTVLAGNANFWAVGCEDGCIQVYTKCGRRAMPTMMVGSAAIFIDCDECWKLFLVTRKGSFYVWDLFKRNCLLHDSLASLVASNPNPSAKDAGVIKVISAKLSRSGSPLVVLATRHAFLFDMGLMCWLRVADDCFPGSNFSSSWHSGSTQRGELAALQVDVRKYVARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPKDYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMVEDTPLDPKNLAWDPYVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLISEYESAETNLEKRSQTSPTARPPAADQMDSIWDKSKGLCPCCNRSKEVCSCFN >ONH89670 pep chromosome:Prunus_persica_NCBIv2:G8:628815:630027:-1 gene:PRUPE_8G008300 transcript:ONH89670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGAVAAAKEFISKAIEEKLDTEILLSSKTFRIADLGCAVGPNSFFSAENIIEAVQLKYKSQGLNSQTLEFQVFFNDITANDFNKLFRSLPSNRQYYAAGVPGSFYGRLFPNASINLFHSAFAIPWMSQVPKTVMDRNGPAWNKGRIFYSDASDEVVSAYEAQNAEDMERFLHARAQEIVSGGLMVFFIPGRPDGTPHSHTLPNLIYQILGSCLMDLARKGVVDEEKVNSFNIPNYLMSSKELENAVEQNGCFSIERRENLDHFFAHDTVYKSPQLLASQIRASLEGLFKQQFGDEILDELFELYGKKLEEQQSMVESGKAVVFLLVLRRLAN >ONH91915 pep chromosome:Prunus_persica_NCBIv2:G8:16041802:16044444:1 gene:PRUPE_8G143500 transcript:ONH91915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAGWSSNGGMYTSDEEADFMSQLLANCSVTNEMNEASSSSIPFAICPSHGYSTTNREDIDQGSHYYSDFANLYFSNGIDTYGTANNGNYYTSDSHQIMPTNYHNSETSRCLWDANGVNLFLSQGEQFGMDHHQELRNGNVEEHSNTNQTGAAFSENLLQLKRESQHEMRIREPEMEIEVMVPENSKKRPCSSVEVQKKKRNVKSKKGQKPVLTGDIEDNDASTKGQSSSSTSCSGDEDSNASHQDLSGGVSPSSFSPKGLEDLNLNCKKKASRGSATDSQSIYARKRREKINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLSSSDDMWMYAPIVHNGINIGIDLNT >ONH92808 pep chromosome:Prunus_persica_NCBIv2:G8:18829145:18831138:-1 gene:PRUPE_8G196900 transcript:ONH92808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQILGTILSLLFLYVALRITAHTKKKITSTKTTVTTKLVPQPSGAWPFIGHLPLLRGKDPVALTLGAMADDHGPIFSLKLGQHQVLVLSAWDTVKECLTTNDRVFATRPSIAGGKYLGYDNALFSLAPYGPYWRHIRKLATLELLSTKRVETLSHVRTSEVDLFIKNLLSLCTKNGTGSTPVHLSELIEFLTFNINVRLIAGKRFTAEQYNEKNSEAWRFEKAVKEALYLFGVFVWSDAMPWLEWLDSLFGHVGSMKRCFKELDCVLGKWLEEHRQRSSAQGKIERVESDLMDVMISSLQEEEDVISGHSLDNVIKSTALVLILTGTESTSVTLTWALSLLLNNPKTLKAAQQELDIHVGRDRWVQESDLPNLKYLQAILKETLRVYPPGPLTGLREATEDCHLAGYHVSKGTRVLVNIWKLQRDPRMWANPSEFQPERFMTTHADVEFKGQNNFEYIPFSSGRRSCPGMVLGLQVVQLILARLVQGFDMSRVGEEAVDMREGLGLALPKANPLEALLSPRLPLHLYK >ONH92757 pep chromosome:Prunus_persica_NCBIv2:G8:18687170:18692907:-1 gene:PRUPE_8G193600 transcript:ONH92757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKESMLNLKSLNHISLVCRSVEKSLDFYQSVLGFFPIRRPGSFDFIGAWLFNYGIGIHLLQSEDPDKMPKKITQIKPKDNHISFQCESMVTVEKNLKEMEIEYVKRRVEKGGIYVDQLFFHDPDATMIEICNCDNLPVIPLTGEPVRPCNA >ONH92756 pep chromosome:Prunus_persica_NCBIv2:G8:18689381:18690826:-1 gene:PRUPE_8G193600 transcript:ONH92756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKESMLNLKSLNHISLVCRSVEKSLDFYQSVLGFFPIRRPGSFDFIGAWLFNYGIGIHLLQSEDPDKMPKKITQIKPKDNHISFQCESMVTVEKNLKEMEIEYVKRRVEKGGIYVDQLFFHDPDATMIEICNCDNLPVIPLTGEPVRPCNA >ONH94012 pep chromosome:Prunus_persica_NCBIv2:G8:22265054:22265914:1 gene:PRUPE_8G266000 transcript:ONH94012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSFLKQPFHTLTITLLSLLLPLSFLLLARLSCANYLLSLSFTPLHPDPNYSTTITSNTPFSLFRYATPSLLYILVSIVSTAAFIHCLTGKITIITEFPTPIFRPRLYTAWIFLCTLQVCVGLGIEGSIAAGINGATFGGVDERICLLSRAIFFLGLHETMLHWCRVVVKPVVDDTVFGAARGEKWVERVAVAASFGCLWWWRLRDEVESLVVVAKAKRELSMGIGVADFVGWWLYYLSVTIGMVRVVKGVMWLSMVLLCKRRSGGGNFANSCAEDHDLQDNKV >ONH92440 pep chromosome:Prunus_persica_NCBIv2:G8:17810406:17811248:1 gene:PRUPE_8G175700 transcript:ONH92440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHTRSNSFPSRPHPIVQEVDGHLCRLRSSEVTSASSSSISHKLTGLQELHSCVDRLLQLPLTQQALAQELNEKSTNELLDGSLRILDVCSSAKDALLQTKECVQDLQSIMRRRRGSESGALTTEVRKYLTSRKMVKKAIHKAMGNLKGSSFSSLNKDNETIAVVSTLRAVEAVTLSVFESLLSFISGPKSKPSSSWSLVSKIIHTKRVACEEETEANEFAQVDAALQSFIKTSKSDHKNADNQLDNLESCIQDQEEQLECLFRQFIKTRVSLLNILNH >ONH91528 pep chromosome:Prunus_persica_NCBIv2:G8:14744423:14745696:-1 gene:PRUPE_8G121300 transcript:ONH91528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKMPTMLSIIVFIVLFSSMAPTMSQGFAPDLSPPMSHAVAIDFSPLVKIYVNGTIERLIGKSSVPPSLDPKTGVQSKDVAISRRRGISARLYLPNSVTNSTTNSTQSKLPLLVYFHGGGFCVEHASSPTYHNYLNSLVTAANVVAVSVDYRLAPEHPLPIAYQDSWAALNWVSSHFGGGNRSEEWLSRYADPQRVFFSGDSAGANIAHQMAMKLGSDRLNGFKLNGIVLVHPFFWGSQPVGAEATLPVVVSLYMTALWRFVNPTSFGPDDPLFNPTMDPKLRELGCGKVLVFVAGKDALKDRGLYYGDVLKKSGWKGVVEVIESKGESHVFHLFSPPNKNSAAMLEKIVSFLHQS >ONH93018 pep chromosome:Prunus_persica_NCBIv2:G8:19408321:19408752:1 gene:PRUPE_8G208500 transcript:ONH93018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMKPSLSSISMLLLALLIFLSQTQVTYSLFNKYRVHIINGLTNETLTAHCKSKDDDLGVHELAVDQEFSWEFRINFFDRTLYFCNLVWNGGHKTFDAFLVDEKALLNSCSSSDCMWRARDDGVYLFNYPHKQYRLKYQWEK >ONH93529 pep chromosome:Prunus_persica_NCBIv2:G8:20862587:20867383:-1 gene:PRUPE_8G236000 transcript:ONH93529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRTRRSAHQEMELISTVQNPFIVEYKDSWVERGCFVCIVIGYCEGGDMAEAVKKAHGVHFPEEKLCTWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAHRPAFKAFDIQSLINKINKSIVAPLPTLYSGAFRGLIKSMLRKNPELRPSAAEVLGHPHLRPYVLKIHQKLNSPRRNTFPVDWSQSSYITKTRFMEPEAIPAHTFREKRRSYSNDRTLNPSISGTEQDSPCSSLGAQEFPSCLNHKFTEVSIGSAHEGYGIKKSVATKFTVVNTPRSTPAKVSANPRRQITQSKISHVSSKRDSLPVSHSPARKSSQSTRRASLPFSTRAAAVQTPYRPHVGPLGSLESPDVSVNAPRIDKMAEFPLASSEDPPIPVRRTSSTSAQCSFTTTPGSINRSITKDKCMVQVVDRTVAKSSLIDVSNGAGRNGSESSDQNPATGVSSHSSSESRQQRFDTSSFQQRAEALEGLLEFSARLLQQERFEELGVLLKPFGPEKVSPRETAIWLTKSFKETAT >ONH93530 pep chromosome:Prunus_persica_NCBIv2:G8:20862606:20867334:-1 gene:PRUPE_8G236000 transcript:ONH93530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRTRRSAHQEMELISTVQNPFIVEYKDSWVERGCFVCIVIGYCEGGDMAEAVKKAHGVHFPEEKLCTWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAHRPAFKAFDIQSLINKINKSIVAPLPTLYSGAFRGLIKSMLRKNPELRPSAAEVLGHPHLRPYVLKIHQKLNSPRRNTFPVDWSQSSYITKTRFMEPEAIPAHTFREKRRSYSNDRTLNPSISGTEQDSPCSSLGAQEFPSCLNHKFTEVSIGSAHEGYGIKKSVATKFTVVNTPRSTPAKVSANPRRQITQSKISHVSSKRDSLPVSHSPARKSSQSTRRASLPFSTRAAAVQTPYRPHVGPLGSLESPDVSVNAPRIDKMAEFPLASSEDPPIPVRRTSSTSAQCSFTTTPGSINRSITKDKCMVQVVDRTVAKSSLIDVSNGAGRNGSESSDQNPATGVSSHSSSESRQQRFDTSSFQQRAEALEGLLEFSARLLQQERFEELGVLLKPFGPEKVSPRETAIWLTKSFKETAT >ONH90793 pep chromosome:Prunus_persica_NCBIv2:G8:10847773:10854491:-1 gene:PRUPE_8G074400 transcript:ONH90793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHAKDYLMVDIPKRNKLFESIQAEQRAARQSHPSFDPIRITLPDGKVKEGKKWITSPFEIASEISKSLASNALISEVNGVLWDMNRPLEGDAELRILTLDSFDNNEDVRHTFWHSSSHILGQALEMEYGCRLCIGPCARIGTRLDLCTTSKEGFYYDAFHGDYGLNDEHFKKIESTAEMAIKGKQPFERIEVLREQALEMFCDNKFKVEIINGLPADQTITVYRCGPLVDLCRGPHIPNASFIKAFKCLKASAAYWRGNKDRESLQRVYGISYPDKKRLQTHMHKLEEAKKYDHRVLGKNQELFFFDPVSPGSCFFLPKGARIYNKLMEFIKKQYRERGYEEVISPNLYNMKLWETSGHAEKYKDNMFLVEIEKQEFGLKPMNCPGHCVMFQHRNRSYRELPLRMADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQVKDEVRGVLEFIEYTYNIFGFTFMLKLSTMPESHMGDLATWKKAEAALKEALIEFGKPWEVNEGDGAFYGPKIDITVSDALNRDHQLATLQLDFQLPDRFKLYYSAEGEDGKMERPVMIHRAVLGSVERMLAVLLEHYKGKWPFWLSPRQAIVCPVSHTALPYALQVRDQLHQAGYYVDVDTSDKTIQKKVRQAQMAQYNYILVVGAEEVQNGQVSVRVRDKGDVTVMSMEGLLQHFEDQVEAFH >ONH90792 pep chromosome:Prunus_persica_NCBIv2:G8:10847773:10854492:-1 gene:PRUPE_8G074400 transcript:ONH90792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHAKDYLMVDIPKRNKLFESIQAEQRAARQSHPSFDPIRITLPDGKVKEGKKWITSPFEIASEISKSLASNALISEVNGVLWDMNRPLEGDAELRILTLDSFDNNEDVRHTFWHSSSHILGQALEMEYGCRLCIGPCARIGTRLDLCTTSKEGFYYDAFHGDYGLNDEHFKKIESTAEMAIKVEIINGLPADQTITVYRCGPLVDLCRGPHIPNASFIKAFKCLKASAAYWRGNKDRESLQRVYGISYPDKKRLQTHMHKLEEAKKYDHRVLGKNQELFFFDPVSPGSCFFLPKGARIYNKLMEFIKKQYRERGYEEVISPNLYNMKLWETSGHAEKYKDNMFLVEIEKQEFGLKPMNCPGHCVMFQHRNRSYRELPLRMADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQVKDEVRGVLEFIEYTYNIFGFTFMLKLSTMPESHMGDLATWKKAEAALKEALIEFGKPWEVNEGDGAFYGPKIDITVSDALNRDHQLATLQLDFQLPDRFKLYYSAEGEDGKMERPVMIHRAVLGSVERMLAVLLEHYKGKWPFWLSPRQAIVCPVSHTALPYALQVRDQLHQAGYYVDVDTSDKTIQKKVRQAQMAQYNYILVVGAEEVQNGQVSVRVRDKGDVTVMSMEGLLQHFEDQVEAFH >ONH92106 pep chromosome:Prunus_persica_NCBIv2:G8:16696700:16701574:1 gene:PRUPE_8G155800 transcript:ONH92106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYECCSSGFFIHIALIVLLVLFAGLMSGLTLGLMSMSVVDLEVLAKSGTPKDRKYAAKILPVVKNQHLLLCTLLICNAAAMETLPIFLDGLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPVVRVLVWICIPVAYPISKLLDFLLGHGHVALFRRAELKTLVDMHGNEAGKGGELTHDETTIIAGALELSEKTASDAMTPIAETFAIDINAKLDRNLMNLILEKGHSRVPVYYEEPTNIIGLVLVKNLLTVNPEEEVPVKSVTVRRIPRVPETLPLYDILNEFQKGHSHMAIVVRRCNKNVDQTNGDPADNPVKEVKVDIDGEKPLQEKILKSKRSLQKWKSFPNTNSANNSYRTGSRSKKWTKEIYSDILQIDGGEPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHQFDDS >ONH92104 pep chromosome:Prunus_persica_NCBIv2:G8:16697473:16701342:1 gene:PRUPE_8G155800 transcript:ONH92104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYECCSSGFFIHIALIVLLVLFAGLMSGLTLGLMSMSVVDLEVLAKSGTPKDRKYAAKILPVVKNQHLLLCTLLICNAAAMETLPIFLDGLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPVVRVLVWICIPVAYPISKLLDFLLGHGHVALFRRAELKTLVDMHGNEAGKGGELTHDETTIIAGALELSEKTASDAMTPIAETFAIDINAKLDRFIMNLMNLILEKGHSRVPVYYEEPTNIIGLVLVKNLLTVNPEEEVPVKSVTVRRIPRVPETLPLYDILNEFQKGHSHMAIVVRRCNKNVDQTNGDPADNPVKEVKVDIDGEKPLQEKILKSKRSLQKWKSFPNTNSANNSYRTGSRSKKWTKEIYSDILQIDGGEPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHQFDDS >ONH92105 pep chromosome:Prunus_persica_NCBIv2:G8:16696700:16701574:1 gene:PRUPE_8G155800 transcript:ONH92105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYECCSSGFFIHIALIVLLVLFAGLMSGLTLGLMSMSVVDLEVLAKSGTPKDRKYAAKILPVVKNQHLLLCTLLICNAAAMETLPIFLDGLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPVVRVLVWICIPVAYPISKLLDFLLGHGHVALFRRAELKTLVDMHGNEAGKGGELTHDETTIIAGALELSEKTASDAMTPIAETFAIDINAKLDRNLMNLILEKGHSRVPVYYEEPTNIIGLVLVKNLLTVNPEEEVPVKSVTVRRIPRVPETLPLYDILNEFQKGHSHMAIVVRRCNKNVDQTNGDPADNPVKEVKVDIDGEKPLQEKILKSKRSLQKWKSFPNTNSANNSYRTGSRSKKWTKEIYSDILQIDGGEPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHQFDDS >ONH93441 pep chromosome:Prunus_persica_NCBIv2:G8:20655080:20657037:-1 gene:PRUPE_8G232500 transcript:ONH93441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALERALPAATSTTFLTNPKVPSFFPTTTSNHNHSHLAFARRRFNIKCSMDYSRDQYNNNGSSSIQVPIAYPRPAEVQWKKELCNTVHLIGVVGLPIEIKHLPSGKVVAWTRLAVKKSSSDTSWINLTFWDEMAHVAFQHVEKGNQIYVIGRLITDSVETNEGKQQTYYKVVVQQLNFVEKGFSSLVLNDHDSDSITAGKNTGNGAAKNSTETTQELWQAFFANPVDWWDNRKTKRNPKYPDFKHKDTGEALWIEGRYNPPWVKSQLAILDSRMGTLNDQDLKMHSNIFAGDDFSPF >ONH89944 pep chromosome:Prunus_persica_NCBIv2:G8:2330634:2331878:-1 gene:PRUPE_8G025500 transcript:ONH89944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVHFLTSTVAILAFTSFLVSAYDPSPLQDFCVAINKPPSAVFVNGKFCKDPKFVTANDFFFSGLQIAKSTANPVGSTVTAVNVDQIAGLNTLGISLARIDFAPNGLNPPHTHPRGSEILVVLEGTLYVGFVTSNADNNRLISKVLNKGDVFVFPIGLIHFQLNLGYGNAVALAGLSSQNPGVITIANAVFGSKPPINPDVLAKAFQVDNKLVDYLQKQFWYENN >ONH91486 pep chromosome:Prunus_persica_NCBIv2:G8:14477106:14478514:1 gene:PRUPE_8G118000 transcript:ONH91486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTTQLRASSSSSFTHSLAYDVFLGYRGADAHNNFTYNLHSKLLQQGIKTYLYRRGEEVPLPMLLKVIEESRVSIIIFTENFASSEWCLIELVKILQCRESKKQIVWPIYYKVDPLDVRDQQGSFGEAIANHFCTFKDNIEKVLRWRAALTEATKLSGWYFLHGHESNFIHKIVEEVSTQVLNAPI >ONH89541 pep chromosome:Prunus_persica_NCBIv2:G8:114709:116781:1 gene:PRUPE_8G001200 transcript:ONH89541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAASTAAASLGVSELLGNSLNNFSGAARSAPSATTPATFKTVALFSKKKAAPPPKAKAVAPADEELAKWYGPDRRIFLPEGLLDRSEIPEYLTGEVPGDYGYDPFGLGKKPENFSKYQAYELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLIFAVVAEVVLLGGAEYYRITNGLDLEDKLHPGGPFDPLGLAKDPDQAALLKVKEIKNGRLAMFSMLGFFLQAYVTGEGPVENLAKHLSDPFGNNLLTVIGGSVERAPTL >ONH89542 pep chromosome:Prunus_persica_NCBIv2:G8:114714:116735:1 gene:PRUPE_8G001200 transcript:ONH89542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAASTAAASLGVSELLGNSLNNFSGAARSAPSATTPATFKTVALFSKKKAAPPPKAKAVAPADEELAKWYGPDRRIFLPEGLLDRSEIPEYLTGEVPGDYGYDPFGLGKKPENFSKYQAYELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFERKTCHVFHAWFLPASLCHRRRSCRKPSKASQ >ONH89543 pep chromosome:Prunus_persica_NCBIv2:G8:115037:116599:1 gene:PRUPE_8G001200 transcript:ONH89543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPDRRIFLPEGLLDRSEIPEYLTGEVPGDYGYDPFGLGKKPENFSKYQAYELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLIFAVVAEVVLLGGAEYYRITNGLDLEDKLHPGGPFDPLGLAKDPDQAALLKVKEIKNGRLAMFSMLGFFLQAYVTGEGPVENLAKHLSDPFGNNLLTVIGGSVERAPTL >ONH89650 pep chromosome:Prunus_persica_NCBIv2:G8:539174:549056:1 gene:PRUPE_8G007000 transcript:ONH89650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYSAISNPGHSLSPSALPHDTKPKKICYSQCPTFLPPHRSRICRMGFVSGLERKKTLKPRIISSVTVDTPVNTSPEKVQLPKGDTWSVHKFGGTCMGNSERIKNVAKIILSDDSERKFVVVSAMSKVTDMMYDLINKAQSRDESYISALDAVLEKHRSTALDLIDGDDLCSFLAQLQHDISNLKAMLRAIYIAGHATESFTDFVVGHGELWSAQMLSCVVRKNGVDCNWMDTREVLIVNPTSSNQVDPDFKESEERLEKWYSKNPSKAIVATGFIASTPQNIPTTLKRDGSDFSAAIMGALFKAGQVTIWTDVDGVYSADPRKVSEAVILKTLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIIIRNVFNLAAPGTKICRSTEDEEGQGLESFVKGFATIDNLALVNVEGTGMAGVPGTASTIFNAVKDVGANVIMISQASSEHSVCFAVPEKEVNAVFELLQSRFHEALNAGRLSQVQVIPNCSILATVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVLKREDCIRALRAVHSRFYLSRTTIAMGIIGPGLIGATLLDQLRDQAATLKEEFNIDLRVMGITGSRTMLLSEAGIDLSRWRELQKEKGVVADMDKFVQHVHGNQFIPNKVLVDCTADSSIASHYYDWLRKGIHVVTPNKKANSGPLDQYLKIRALQRQSYTHYFYEATVGAGLPIINTLQGLLETGDKILRIEGVFSGTLSYIFNNFIGRRTFSEVVAEAKHAGYTEPDPRDDLSGTDVCRKVIILARESGLKLELSDIPVESLVPEPLKNSASAEDFMEKLPQFDHDWAKKRQIAEDAGEVLRYVGVVDMVNQKGTVKLQTYKNDHPFAQLSGADNIIAFTTTRYKDQPLIIRGPGAGAEVTAGGVFSDILRLASYLGAPS >ONH89651 pep chromosome:Prunus_persica_NCBIv2:G8:539174:549056:1 gene:PRUPE_8G007000 transcript:ONH89651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYSAISNPGHSLSPSALPHDTKPKKICYSQCPTFLPPHRSRICRMGFVSGLERKKTLKPRIISSVTDTPVNTSPEKVQLPKGDTWSVHKFGGTCMGNSERIKNVAKIILSDDSERKFVVVSAMSKVTDMMYDLINKAQSRDESYISALDAVLEKHRSTALDLIDGDDLCSFLAQLQHDISNLKAMLRAIYIAGHATESFTDFVVGHGELWSAQMLSCVVRKNGVDCNWMDTREVLIVNPTSSNQVDPDFKESEERLEKWYSKNPSKAIVATGFIASTPQNIPTTLKRDGSDFSAAIMGALFKAGQVTIWTDVDGVYSADPRKVSEAVILKTLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIIIRNVFNLAAPGTKICRSTEDEEGQGLESFVKGFATIDNLALVNVEGTGMAGVPGTASTIFNAVKDVGANVIMISQASSEHSVCFAVPEKEVNAVFELLQSRFHEALNAGRLSQVQVIPNCSILATVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVLKREDCIRALRAVHSRFYLSRTTIAMGIIGPGLIGATLLDQLRDQAATLKEEFNIDLRVMGITGSRTMLLSEAGIDLSRWRELQKEKGVVADMDKFVQHVHGNQFIPNKVLVDCTADSSIASHYYDWLRKGIHVVTPNKKANSGPLDQYLKIRALQRQSYTHYFYEATVGAGLPIINTLQGLLETGDKILRIEGVFSGTLSYIFNNFIGRRTFSEVVAEAKHAGYTEPDPRDDLSGTDVCRKVIILARESGLKLELSDIPVESLVPEPLKNSASAEDFMEKLPQFDHDWAKKRQIAEDAGEVLRYVGVVDMVNQKGTVKLQTYKNDHPFAQLSGADNIIAFTTTRYKDQPLIIRGPGAGAEVTAGGVFSDILRLASYLGAPS >ONH91604 pep chromosome:Prunus_persica_NCBIv2:G8:15068302:15073430:-1 gene:PRUPE_8G126200 transcript:ONH91604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSTQRGSRTATTQEGLPVSGGISTAPKRKWSNLMPFFVALVVIAEIAFLGKLDIAKNTALVDSWADLFSPAPLIHELAVESDDLGLLSCEEWLEREDAAEYSRDFEKEPILVSGDEKEWKSCSVGCQFGFNPSQKPDAAFGLAHQTGTASVLRSMESAQYYAENNLAYARRRGYNIIMTTSLSSDVPVGYFSWAEYNIMAPVQPKTETALAAAFISNCGARNFRLQALEALESAKIKIDSYGGCHRNRDGKVDKVETLKRYKFSLAFENSNEEDYVTEKYFQSLVAGSIPVVVGAPNIEDFAPAPGAVLHIKEINDVEPVAKRMKYLADNPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIREKEEMSQGSKSRPCKCTRGSAVVYHLYVRERGRFEMESIFLRLKDYRPTCFDISRT >ONH91601 pep chromosome:Prunus_persica_NCBIv2:G8:15067653:15073430:-1 gene:PRUPE_8G126200 transcript:ONH91601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSTQRGSRTATTQEGLPVSGGISTAPKRKWSNLMPFFVALVVIAEIAFLGKLDIAKNTALVDSWADLFSPAPLIHELAVESDDLGLLSCEEWLEREDAAEYSRDFEKEPILVSGDEKEWKSCSVGCQFGFNPSQKPDAAFGLAHQTGTASVLRSMESAQYYAENNLAYARRRGYNIIMTTSLSSDVPVGYFSWAEYNIMAPVQPKTETALAAAFISNCGARNFRLQALEALESAKIKIDSYGGCHRNRDGKAVDKVETLKRYKFSLAFENSNEEDYVTEKYFQSLVAGSIPVVVGAPNIEDFAPAPGAVLHIKEINDVEPVAKRMKYLADNPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIREKEEMSQGSKSRPCKCTRGSAVVYHLYVRERGRFEMESIFLRSGNLTLGAFESLVLTKFKSQKHVPIWKQERPESIRGGDDFKIYRIYPVGMTQRQALYTFRFNGDADFRRHVESNPCAKFEVILV >ONH91603 pep chromosome:Prunus_persica_NCBIv2:G8:15067978:15071726:-1 gene:PRUPE_8G126200 transcript:ONH91603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTILLMHDGGRGYNIIMTTSLSSDVPVGYFSWAEYNIMAPVQPKTETALAAAFISNCGARNFRLQALEALESAKIKIDSYGGCHRNRDGKAVDKVETLKRYKFSLAFENSNEEDYVTEKYFQSLVAGSIPVVVGAPNIEDFAPAPGAVLHIKEINDVEPVAKRMKYLADNPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIREKEEMSQGSKSRPCKCTRGSAVVYHLYVRERGRFEMESIFLRSGNLTLGAFESLVLTKFKSQKHVPIWKQERPESIRGGDDFKIYRIYPVGMTQRQALYTFRFNGDADFRRHVESNPCAKFEVILV >ONH91605 pep chromosome:Prunus_persica_NCBIv2:G8:15068465:15073076:-1 gene:PRUPE_8G126200 transcript:ONH91605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSTQRGSRTATTQEGLPVSGGISTAPKRKWSNLMPFFVALVVIAEIAFLGKLDIAKNTALVDSWADLFSPAPLIHELAVESDDLGLLSCEEWLEREDAAEYSRDFEKEPILVSGDEKEWKSCSVGCQFGFNPSQKPDAAFGLAHQTGTASVLRSMESAQYYAENNLAYARRRGYNIIMTTSLSSDVPVGYFSWAEYNIMAPVQPKTETALAAAFISNCGARNFRLQALEALESAKIKIDSYGGCHRNRDGKAVDKVETLKRYKFSLAFENSNEEDYVTEKYFQSLVAGSIPVVVGAPNIEDFAPAPGAVLHIKEINDVEPVAKRMKYLADNPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIREKEEMSQGSKSRPCKCTRGSAVVYHLYVRERGRFEMESIFLRLKDYRPTCFDISRT >ONH91606 pep chromosome:Prunus_persica_NCBIv2:G8:15067653:15073430:-1 gene:PRUPE_8G126200 transcript:ONH91606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSTQRGSRTATTQEGLPVSGGISTAPKRKWSNLMPFFVALVVIAEIAFLGKLDIAKNTALVDSWADLFSPAPLIHELAVESDDLGLLSCEEWLEREDAAEYSRDFEKEPILVSGDEKEWKSCSVGCQFGFNPSQKPDAAFGLAHQTGTASVLRSMESAQYYAENNLAYARRRGYNIIMTTSLSSDVPVGYFSWAEYNIMAPVQPKTETALAAAFISNCGARNFRLQALEALESAKIKIDSYGGCHRNRDGKVDKVETLKRYKFSLAFENSNEEDYVTEKYFQSLVAGSIPVVVGAPNIEDFAPAPGAVLHIKEINDVEPVAKRMKYLADNPEAYNQSLRSNSFSYLVEKVEI >ONH91602 pep chromosome:Prunus_persica_NCBIv2:G8:15067978:15071726:-1 gene:PRUPE_8G126200 transcript:ONH91602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTILLMHDGGRGYNIIMTTSLSSDVPVGYFSWAEYNIMAPVQPKTETALAAAFISNCGARNFRLQALEALESAKIKIDSYGGCHRNRDGKVDKVETLKRYKFSLAFENSNEEDYVTEKYFQSLVAGSIPVVVGAPNIEDFAPAPGAVLHIKEINDVEPVAKRMKYLADNPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIREKEEMSQGSKSRPCKCTRGSAVVYHLYVRERGRFEMESIFLRSGNLTLGAFESLVLTKFKSQKHVPIWKQERPESIRGGDDFKIYRIYPVGMTQRQALYTFRFNGDADFRRHVESNPCAKFEVILV >ONH91600 pep chromosome:Prunus_persica_NCBIv2:G8:15067653:15073434:-1 gene:PRUPE_8G126200 transcript:ONH91600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSTQRGSRTATTQEGLPVSGGISTAPKRKWSNLMPFFVALVVIAEIAFLGKLDIAKNTALVDSWADLFSPAPLIHELAVESDDLGLLSCEEWLEREDAAEYSRDFEKEPILVSGDEKEWKSCSVGCQFGFNPSQKPDAAFGLAHQTGTASVLRSMESAQYYAENNLAYARRRGYNIIMTTSLSSDVPVGYFSWAEYNIMAPVQPKTETALAAAFISNCGARNFRLQALEALESAKIKIDSYGGCHRNRDGKVDKVETLKRYKFSLAFENSNEEDYVTEKYFQSLVAGSIPVVVGAPNIEDFAPAPGAVLHIKEINDVEPVAKRMKYLADNPEAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIREKEEMSQGSKSRPCKCTRGSAVVYHLYVRERGRFEMESIFLRSGNLTLGAFESLVLTKFKSQKHVPIWKQERPESIRGGDDFKIYRIYPVGMTQRQALYTFRFNGDADFRRHVESNPCAKFEVILV >ONH91684 pep chromosome:Prunus_persica_NCBIv2:G8:15295519:15296950:1 gene:PRUPE_8G129700 transcript:ONH91684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFFPFIGGGHQIPMIDIARVFSSQGAKATILTTTPANALRFRSSIRRDQSLNLPITIRVLNLPNDAVSPDSPMSATPSTDTSVLREPLKLFLTQNPPDCIVIDVFHRWAADVIDGLNIKRVVFNGNGFFSRCVSECIGRYAPHEQLGSDSEPFLVPQIPDRIELTRSQLPPFARNKPGLPDKVGKAEEKAFGVVVNSFYELEPKYVEYFKTVLGKKAWPVGPVSLCNRNNADKTERGQAASVDGQSLRNCLSWLDSKEPNSVVYISFGSLARLPPAQLVEIAHGLEASAHNFIWVVGKIFRRAQEGRVGDDENWVPQGFEERMMEMKRGILIRGWAPQILMLEHGAVGGFMSHCGWNSTLESVTAGVPMMTWPLSAEQFYNEKLITDVLGIGVQVGSKEWLSWNAEREELVGREKVEAVVSRVMGGGEEAEEMRKQARALAEKARRAVEEGGSSYEGVDALISELKSLRKN >ONH90512 pep chromosome:Prunus_persica_NCBIv2:G8:7321704:7323331:1 gene:PRUPE_8G058200 transcript:ONH90512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFTIFLITVLLLQAFAEASSLSDAANSITKMEEGNYAVVALSKKAHHLPKINCNYACSRRCRKASRKKICKRACKSCCEKCHCVPPGTYGNKKACPCYAKLKTHGNKPKCP >ONH93922 pep chromosome:Prunus_persica_NCBIv2:G8:22042913:22045590:-1 gene:PRUPE_8G260800 transcript:ONH93922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWGITGNKGRCYDFWVDFSECMSRCRQPKDCVLLREDYLECLHHSKEFQRRNRIYKEEQRKIRAAARAKEGGEVDKHHHP >ONH91774 pep chromosome:Prunus_persica_NCBIv2:G8:15654789:15656033:-1 gene:PRUPE_8G136400 transcript:ONH91774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFLSFFILASFLALASLHGGHAVEFVVENRAPNTPGGIRFTNELGLDYTQQTMGTATDFIWNLFAETTPADRRDVQRVSLFVEDIDGIAFSSNDEIHVGAKYIEGIQGDIKTDFNGVLYHEMTHSWQWNGQGQAPVGLIEGIADFVRLKADYVPNGWVKSGEGQRWDEGYSVTARFLDYCNDLQQGFVAELNKKMRDGYSDNFFQELLGKTVDQLWTDYKAKFAN >ONH92266 pep chromosome:Prunus_persica_NCBIv2:G8:17214186:17216390:1 gene:PRUPE_8G165800 transcript:ONH92266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEAQMCSENLGFPLCGSQDWMVDNGCGGGGFSHFCFNVQQKHQLQQQQQQQQQQQQQQQLQNQQQINQNLCFDTSFLVPTLENNNTCSSIMASCSQTMEAQAAKQRQEIDQYIRLQNERLRLVLQEQRKQQLAMLLKKIESTTQVLLKQKDDEITQANRRRMELEDFLRKLEAENQAWQRVAQENEAMVVSLNNTLEQYRERVSCCLINGADDAESCCDNREEEEAESDGVDYEQIRMKMKVCKSCNSRSSCVLFLPCRHLCSCKACEAVLDCCPVCRTPKKASIEALIF >ONH90448 pep chromosome:Prunus_persica_NCBIv2:G8:6290272:6291198:-1 gene:PRUPE_8G054800 transcript:ONH90448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHFIHLLYEEAKKGLQTNTLDKNEWAGIKKKLFDKFGKRYNRDKLKQKYNRLRKIHGEFGKLISQTGMGWDPNMSTVQASEEVWASYLKKNKFASRFRSKGCPHYDMLDSDVQRELENDFLTTGAHIGLNREIGSRGFSEGGEGTSNKNKRAALFPQSDLPSRSKSSKSTKMDEAIEAWAKSLNPKTEVSLAKLKRKGEKEVSSPYRELSSVEDCMDILEAMEGVNDDAYVKALDEFTNLDWRKMFVKMSDPRRSGSIAY >ONH90288 pep chromosome:Prunus_persica_NCBIv2:G8:4648380:4652908:-1 gene:PRUPE_8G044800 transcript:ONH90288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSDGFVSKEHLELHRSASESADPLSVSPLHISPRSPRSPRSPKSPKTPKSPKSPRSPKMQGKHGKGSPLKQDRHSHSSVDGRPKKGGCGGKGTWGGLLDTDENDVLDPNDPNFNSSEECENPIAKKERVDFEEYKKKATIIVEEYFTTDDITSTANEFRELDRPNYSYYFVKKLVSKAMDRHDKEKEMAAVLLSALYAEFIDPPQVYKGFCKLVECADDLIVDIPDTVDVLALFIARAVVDDILPPAFLKKEMNYLPKDSKGVEVLKRADKGYLAAPLHAEIIERRWGGSKKRTVEDVKAKINNLLIEYVVSGDKKEACRCIKDLKVPFFHHEIVKRALVMAMERRQAEGRLLDLLKEAAEEGLINSSQVSKGFGRMIDYVDDLSLDIPNARGILQSLISKAASEGWLCASSLKSLSLEPEKRSLEDSVARIFKTKAQSIIQEYFLSGDILEVNSCLESENSTYSSELNAIFVKRLITLAMDRKNREKEMASVLLSSLCFPADDVVNGFVMLIESADDTALDNPVVVEDLAMFLARSVVDEVLAPQHLEEIGSQCAAPESIGSKVLKMAKSLLKARLSGERILRCWGGGGSSRPGWAVEDVKDKIGKLLEEFESGGGVREACRCMKELGMPFFNHEVVKKALVAIMEKKNERLWILLEECFGSGLITMNQMTKGFGRVAESLEDLALDVPDVQKQFTRYVERAKNAGWLDSSFSFSKSGHITENGTG >ONH93302 pep chromosome:Prunus_persica_NCBIv2:G8:20221007:20222914:-1 gene:PRUPE_8G224400 transcript:ONH93302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEQLQVLNALDSAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVEGSAKPGSLPPNVSAAVNGVALCGTLAGQVFFGWLGDKLGRKKVYGMTLMLMVICSVASGLSFGHTPKSVVSTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFVAAVFAMQGFGILAGGLFAMLMSAIFEAKFKAPAYEVDPTGSTVPQADYLWRIILMVGALPAALTYYSRSKMPETARYTALVANNVKQATSDMAKVLQVDIEAEPVKPQEPAKSFGLFSKEFMRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPSAKTMNAVSEVYRIARAQTLIALCSTVPGYWFTVALIDKIGRFAIQLMGFFFMTVFMFALAFPYNYWTHKDHLIGFVVLYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKLGAMVGAFGFLYLAQPQDKTKAEAGFPAGIGVKNSLIVLGVVNFLGLLFTFFVPESKGKSLEEISGETNEERN >ONH92648 pep chromosome:Prunus_persica_NCBIv2:G8:18400898:18405299:1 gene:PRUPE_8G186800 transcript:ONH92648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTNSVSTLIDCTTSKIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLEKSLKRRFHELESQEKEFESRTVEAQKLLEKRQAAVVAKEQASLETLQEKRDAAIYVITTAREKQRKVSTEESSVVTDDGQGGPPSVEEKPPDVMVSESNFEEMKSAENGSIEVMSYPQLVKLCEQMDSEGLHKFISDNRKNLASIREEIPLALRAAANPALFVLESLEDFYRLEGPNMDGKKDSNLLGVRRTCIMLMECLSILLTNPDLVSASDVITEEVKDLAEAIAEEWKPKLDALDMDASNGNSLEAHAFLQLLATFGIASGFDEEELFRLIPMVSRRRQTADLCRSLGLTERMPGVIEVLVSSGRQIDAVNLAFAFELTEQFSPVPLLKSYLKEARKASLVKPGNASPTAQNEVNDRELAALKAVLKSIEEHKLEDQYPVDPLQKRVLQLEKAKADKKRVAEAAKPQPKRPRANGVGYGPRVTNVVADKTFYPRVAENRYPQYMYDRQFVYPGPADNHCPSLLGSGTYNMSPAHGNYFATGYQYQPAYLH >ONH92647 pep chromosome:Prunus_persica_NCBIv2:G8:18400898:18405299:1 gene:PRUPE_8G186800 transcript:ONH92647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTNSVSTLIDCTTSKIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLEKSLKRRFHELESQEKEFESRTVEAQKLLEKRQAAVVAKEQASLETLQEKRDAAIYVITTAREKQRKVSTEESSVVTDDGQGGPPSVEEKPPDVMVSESNFEEMKSAENGSIEVMSYPQLVKLCEQMDSEGLHKFISDNRKNLASIREEIPLALRAAANPALFVLESLEDFYRLEGPNMDGKKDSNLLGVRRTCIMLMECLSILLTNPDLVSASDVITEEVKDLAEAIAEEWKPKLDALDMDASNGNSLEAHAFLQLLATFGIASGFDEEELFRLIPMVSRRRQTADLCRSLGLTERMPGVIEVLVSSGRQIDAVNLAFAFELTEQFSPVPLLKSYLKEARKASLVKPGNASPTAQNEVNDRELAALKAVLKSIEEHKLEDQYPVDPLQKRVLQLEKAKADKKRVAEAAKPQPKRPRANGVGYGPRVTNVVADKTFYPRVAENRYPQYMYDRQFVYPGPADNHCPSLLGSGTYNMSPAHGNYFATGYQYQPAYLH >ONH93086 pep chromosome:Prunus_persica_NCBIv2:G8:19585563:19591215:-1 gene:PRUPE_8G212200 transcript:ONH93086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYVNFGSARDNANSDSASSFYSPAQLDFLLFREFVFKDLLVSFSIAKPPIIYERFLNGTRSILMSLAFGSSKLPKTRAIKPSSGSLPPAPSPIYLGPRSSPSPRRGHHSHHHVRAKPHVVTPAPSKDPGCDQICVEPLTASPFGSPCGCVFPMKVRLLLDIAPYAIFPVMSELEIEVAEGTYLAQSQVKIMGASADSQNQGRTVVDINLVPLGEKFDNTTAILTYDRFRHKKVPLNMTLFGNYEVVYISYPGIASSPPYEYFKGNGPAGSAGDLPITADFPSKNQRMNIRTIVIIALSAFVLLLVLIGAIWIFVKWKRVGRPSSAVGPAFTSSVHKRSGIGSILSSSIASSTSVSLMSTMATSILSVKTFPLAELEKATNKFSSQRVLGEGGFGRVYHGIMEDGTEVAVKVLTRDNQNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRSLVYELVHNGSVESHLHGVDKKKGPLDWDARMKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEADFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMAHPPGQENLVTWARPLLTSREGLQQLVDPALAGTYDFDDMAKVAAIASMCVHPEVTHRPFMGEVVQALKLIYNDTDETGGDCYSQKESSVPDSEFKGDLAPSDSSWWNAGGLTPRLNYGQTSSFITMEYSSGPLEDMENRPFSTSSLVGDEISLPIRHGNRSGPLRTVRSKPAFYRVRGSRSEHGGLLSRCARNDDGFWV >ONH93087 pep chromosome:Prunus_persica_NCBIv2:G8:19585958:19590615:-1 gene:PRUPE_8G212200 transcript:ONH93087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPILLLLFTLLLSSIFCCSGNLSLKIYLSPSQLPNHPLSMKDFSMERARSILMSLAFGSSKLPKTRAIKPSSGSLPPAPSPIYLGPRSSPSPRRGHHSHHHVRAKPHVVTPAPSKDPGCDQICVEPLTASPFGSPCGCVFPMKVRLLLDIAPYAIFPVMSELEIEVAEGTYLAQSQVKIMGASADSQNQGRTVVDINLVPLGEKFDNTTAILTYDRFRHKKVPLNMTLFGNYEVVYISYPGIASSPPYEYFKGNGPAGSAGDLPITADFPSKNQRMNIRTIVIIALSAFVLLLVLIGAIWIFVKWKRVGRPSSAVGPAFTSSVHKRSGIGSILSSSIASSTSVSLMSTMATSILSVKTFPLAELEKATNKFSSQRVLGEGGFGRVYHGIMEDGTEVAVKVLTRDNQNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRSLVYELVHNGSVESHLHGVDKKKGPLDWDARMKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEADFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMAHPPGQENLVTWARPLLTSREGLQQLVDPALAGTYDFDDMAKVAAIASMCVHPEVTHRPFMGEVVQALKLIYNDTDETGGDCYSQKESSVPDSEFKGDLAPSDSSWWNAGGLTPRLNYGQTSSFITMEYSSGPLEDMENRPFSTSSLVGDEISLPIRHGNRSGPLRTVRSKPAFYRVRGSRSEHGGLLSRCARNDDGFWV >ONH92903 pep chromosome:Prunus_persica_NCBIv2:G8:19075306:19079016:1 gene:PRUPE_8G202300 transcript:ONH92903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPMSVLAQGYSLRPPIWTPNLSNRQLGRLSSCVSGGGKLLTNRNKYVLVSHLSRPQTFRIRSFSQEDAENNEVAMSNDNLDGQNVTADELLGNVKNIQISTDTESSFLAKIAIALGIAATITLISVGIKGPPTIGSSNGFQFLPESATSSVMAAAPVGFTVKAFGYSIVLPAYAPGWIYFWLLMAAGCGLFISEEALNIWVGISLARMVSLDGTWQSFAESFSRNAPYIISTALWVYWGVCISDLIPFYLGKLFRKSRASDDILSKLGIGKEKALSITSEVQRYGNLIGFVERFSLGVRNPTAFVAGALLLIGFFLRERPVFALATVASAVGIWTVFPYLVAASTALFLYLRRRYSP >ONH92902 pep chromosome:Prunus_persica_NCBIv2:G8:19075306:19079016:1 gene:PRUPE_8G202300 transcript:ONH92902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPMSVLAQGYSLRPPIWTPNLSNRQLGRLSSCVSGGGKLLTNRNKYVLVSHLSRPQTFRIRSFSQEDAENNEVAMSNDNLDGQNVTADELLGNVKNIQISTDTESSFLAKIAIALGIAATITLISVGIKGPPTIGSSNGFQFLPESATSSVMAAAPVGFTVKAFGYSIVLPAYAPGWIYFWLLMAAGCGLFISEEALNIWVGISLARMVSLDGTWQSFAESFSRNAPYIISTALWVYWGVCISDLIPFYLGKLFRKSRASDDILSKLGIGKEKALSITSEVQRYGNLIGFVERFSLGVRNPTAFVAGALGISSECFFAGVCFGGLITLPIQLLIGFFLRERPVFALATVASAVGIWTVFPYLVAASTALFLYLRRRYSP >ONH92904 pep chromosome:Prunus_persica_NCBIv2:G8:19075509:19078048:1 gene:PRUPE_8G202300 transcript:ONH92904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPMSVLAQGYSLRPPIWTPNLSNRQLGRLSSCVSGGGKLLTNRNKYVLVSHLSRPQTFRIRSFSQEDAENNEVAMSNDNLDGQNVTADELLGNVKNIQISTDTESSFLAKIAIALGIAATITLISVGIKGPPTIGSSNGFQFLPESATSSVMAAAPVGFTVKAFGYSIVLPAYAPGWIYFWLLMAAGCGLFISEEALNIWVGISLARMVSLDGTWQSFAESFSRNAPYIISTALWVYWGVCISDLIPFYLGKLFRKSRASDDILSKLGIGKEKALSITSEVQRYGNLIGFVERFSLGVRNPTAFVAGALVSQI >ONH91282 pep chromosome:Prunus_persica_NCBIv2:G8:13439586:13440231:1 gene:PRUPE_8G103500 transcript:ONH91282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELIIHHTNLICRSNYFPSNSSLNLCQKSSEHSTLSISMTSKPHKQKSIDPKLTSSTKSVLTLLKQKWK >ONH91371 pep chromosome:Prunus_persica_NCBIv2:G8:14007772:14009917:1 gene:PRUPE_8G110400 transcript:ONH91371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNERGGTMVAERNALHHRPRFEAFLHSSDPSLPSPANAANHQDPNSDINNNNNNDYSTRRSSASANSPVYDASTHGSSAEASPYAMSPWNQATASPYNKSPWITPSPLNPLEENFPVNGLIGSLVREEGHIYSLAVNGDLLYTGSDSKNIRVWKNLKEFTGFKSKSGLIKAIVISGEKIFTGHQDGKIRVWKVSTKNPSNHRRVGSLPTLKDFIRSSMNPKNYVEVRRHRNVVRIRHFDAVSCMSMNEDQGLLYTGSWDKTFKVWRIADSKCLESVAAHDDAVNSVVVGFNSLVFTGSADGTVKVWRREMQGKGTTHVLVQTLLKQENAVTALAMNQEAAVVYCGSSDGLVNYWDWEKHLSHGGVLRGHKLAVLCLSTARNLVFSGSADKNICVWRREEGGAHSCLSVLTGHTGPVKCLTVVEDYGTEDKADQRWVVYSGSLDRSVKVWRVSEDAPNLNQIMALEKDAYSGTSNNKKTTLVTVNKLGKP >ONH94037 pep chromosome:Prunus_persica_NCBIv2:G8:22319209:22322641:1 gene:PRUPE_8G267400 transcript:ONH94037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADTHAPNPKCHLCNAGAGAAAGVIAATFVCPLDVIKTRLQVHGLPRLGNGNVKGSLIVGYLEQIFHKEGLRGMYRGLSPTVLALLPNWAVYFTIYDQLKNFLCSDDENHHLPVGANMLAASGAGAATTIATNPLWVVKTRLQTQGMRPGVVPYRSTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKFYLANRGGTLKASRTRPPL >ONH94036 pep chromosome:Prunus_persica_NCBIv2:G8:22319209:22322641:1 gene:PRUPE_8G267400 transcript:ONH94036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADTHAPNPKCHLCNAGAGAAAGVIAATFVCPLDVIKTRLQVHGLPRLGNGNVKGSLIVGYLEQIFHKEGLRGMYRGLSPTVLALLPNWAVYFTIYDQLKNFLCSDDENHHLPVGANMLAASGAGAATTIATNPLWVVKTRLQTQGMRPGVVPYRSTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKFYLANRDNTTVDKLGARDVAIASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIRKVFQQEGLPGFYRGCATNLLRTTPAAVITFTSFEMIHRFLVTLFPPGHTL >ONH94038 pep chromosome:Prunus_persica_NCBIv2:G8:22319209:22322641:1 gene:PRUPE_8G267400 transcript:ONH94038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADTHAPNPKCHLCNAGAGAAAGVIAATFVCPLDVIKTRLQVHGLPRLGNGNVKGSLIVGYLEQIFHKEGLRGMYRGLSPTVLALLPNWAVYFTIYDQLKNFLCSDDENHHLPVGANMLAASGAGAATTIATNPLWVVKTRLQTQGMRPGVVPYRSTLSALRRIAHEEGIRGLYR >ONH92485 pep chromosome:Prunus_persica_NCBIv2:G8:17957865:17958894:1 gene:PRUPE_8G178300 transcript:ONH92485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASGCTNGTSNEITTQSISTSTFQGAQEVFDGGNGRFECSSCKKVFGSHQALGGHRASHKNVKGCFAITRSDGEVDQDHHHNGDGERDDEDDDVMEENNKMVMVLGGSGHKCSVCWRVFSSGQALGGHMRCHWDKGDEPSSSSMMMTQLGLSQQHQQPSGISKEGHSGGLDLNLPAASHIEDAQSSSSGLITLDLRLGL >ONH92461 pep chromosome:Prunus_persica_NCBIv2:G8:17876441:17880877:1 gene:PRUPE_8G176900 transcript:ONH92461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPAILGQAIDPLAQLMETAYIGRLGSVELASAGISMNIFNYISKLFNIPLLSVATSFVAEDLAKSESIASTSENGCLGDITNGKPKRTDGVTERKQLSSVSTALLLSVGIGIFEAVALSLGSGLFLNMMGISMDSPMRIPAQRFLSLRAFGAPAVVASLALQGVFRGFKDTKTPVLCLGIGNLLAAFLLPILMYYFGLGVTGAALSTVISQYTVTFLMIWFLNKRAILLPPKVGSLQFGGYIKSGGFLLGRTLAVLTTLTLGTSMAARQGPVAMAAHQICIQVWLAVSLLTDAMAASGQALIASYLSKGEYKIVKEVADSALKIGLFTGVSLSVILGLSFGSLATLFTKDPEVLVIVRSGILFVSASQPLNALAYVFDGLHYGVSDFAYAARSMMVVGAISSAVLLYAPSILGLPGVWLGLTLFMGLRAVAGYARFLSKSGPWWFVHTGIQKAQLAI >ONH92460 pep chromosome:Prunus_persica_NCBIv2:G8:17874921:17880877:1 gene:PRUPE_8G176900 transcript:ONH92460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQFRGGALSGGLTTRVSDHIPTTKKARLFNSLNQSEAGKFGALSGGKDLSNANVVGRCSLSATHRALCFPLLTRRRRPCFPVVANQLSSDVGVGSSEVKEKLALEEEQALINGGSDDLTCLSLSNIPISQTYSPDVKRELLMLSLPAILGQAIDPLAQLMETAYIGRLGSVELASAGISMNIFNYISKLFNIPLLSVATSFVAEDLAKSESIASTSENGCLGDITNGKPKRTDGVTERKQLSSVSTALLLSVGIGIFEAVALSLGSGLFLNMMGISMDSPMRIPAQRFLSLRAFGAPAVVASLALQGVFRGFKDTKTPVLCLGIGNLLAAFLLPILMYYFGLGVTGAALSTVISQYTVTFLMIWFLNKRAILLPPKVGSLQFGGYIKSGGFLLGRTLAVLTTLTLGTSMAARQGPVAMAAHQICIQVWLAVSLLTDAMAASGQALIASYLSKGEYKIVKEVADSALKIGLFTGVSLSVILGLSFGSLATLFTKDPEVLVIVRSGILFVSASQPLNALAYVFDGLHYGVSDFAYAARSMMVVGAISSAVLLYAPSILGLPGVWLGLTLFMGLRAVAGYARFLSKSGPWWFVHTGIQKAQLAI >ONH92458 pep chromosome:Prunus_persica_NCBIv2:G8:17875338:17880877:1 gene:PRUPE_8G176900 transcript:ONH92458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQFRGGALSGGLTTRVSDHIPTTKKARLFNSLNQSEAGKFGALSGGKDLSNANVVGRCSLSATHRALCFPLLTRRRRPCFPVVANQLSSDVGVGSSEVKEKLALEEEQALINGGSDDLTCLSLSNIPISQTYSPDVKRELLMLSLPAILGQAIDPLAQLMETAYIGRLGSVELASAGISMNIFNYISKLFNIPLLSVATSFVAEDLAKSESIASTSENGCLGDITNGKPKRTDGVTERKQLSSVSTALLLSVGIGIFEAVALSLGSGLFLNMMGISMDSPMRIPAQRFLSLRAFGAPAVVASLALQGVFRGFKDTKTPVLCLGIGNLLAAFLLPILMYYFGLGVTGAALSTVISQYTVTFLMIWFLNKRAILLPPKVGSLQFGGYIKSGGFLLGRTLAVLTTLTLGTSMAARQGPVAMAAHQICIQVWLAVSLLTDAMAASGQALIASYLSKGEYKIVKEVADSALKIGLFTGVSLSVILGLSFGSLATLFTKDPEVLVIVRSGILFVSASQPLNALAYVFDGLHYGVSDFAYAARSMMVVGAISSAVLLYAPSILGLPGVWLGLTLFMGLRAVAGYARFLSKSGPWWFVHTGIQKAQLAI >ONH92457 pep chromosome:Prunus_persica_NCBIv2:G8:17874839:17880924:1 gene:PRUPE_8G176900 transcript:ONH92457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQFRGGALSGGLTTRVSDHIPTTKKARLFNSLNQSEAGKFGALSGGKDLSNANVVGRCSLSATHRALCFPLLTRRRRPCFPVVANQLSSDVGVGSSEVKEKLALEEEQALINGGSDDLTCLSLSNIPISQTYSPDVKRELLMLSLPAILGQAIDPLAQLMETAYIGRLGSVELASAGISMNIFNYISKLFNIPLLSVATSFVAEDLAKSESIASTSENGCLGDITNGKPKRTDGVTERKQLSSVSTALLLSVGIGIFEAVALSLGSGLFLNMMGISMDSPMRIPAQRFLSLRAFGAPAVVASLALQGVFRGFKDTKTPVLCLGIGNLLAAFLLPILMYYFGLGVTGAALSTVISQYTVTFLMIWFLNKRAILLPPKVGSLQFGGYIKSGGFLLGRTLAVLTTLTLGTSMAARQGPVAMAAHQICIQVWLAVSLLTDAMAASGQALIASYLSKGEYKIVKEVADSALKIGLFTGVSLSVILGLSFGSLATLFTKDPEVLVIVRSGILFVSASQPLNALAYVFDGLHYGVSDFAYAARSMMVVGAISSAVLLYAPSILGLPGVWLGLTLFMGLRAVAGYARFLSKSGPWWFVHTGIQKAQLAI >ONH92459 pep chromosome:Prunus_persica_NCBIv2:G8:17875162:17880877:1 gene:PRUPE_8G176900 transcript:ONH92459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQFRGGALSGGLTTRVSDHIPTTKKARLFNSLNQSEAGKFGALSGGKDLSNANVVGRCSLSATHRALCFPLLTRRRRPCFPVVANQLSSDVGVGSSEVKEKLALEEEQALINGGSDDLTCLSLSNIPISQTYSPDVKRELLMLSLPAILGQAIDPLAQLMETAYIGRLGSVELASAGISMNIFNYISKLFNIPLLSVATSFVAEDLAKSESIASTSENGCLGDITNGKPKRTDGVTERKQLSSVSTALLLSVGIGIFEAVALSLGSGLFLNMMGISMDSPMRIPAQRFLSLRAFGAPAVVASLALQGVFRGFKDTKTPVLCLGIGNLLAAFLLPILMYYFGLGVTGAALSTVISQYTVTFLMIWFLNKRAILLPPKVGSLQFGGYIKSGGFLLGRTLAVLTTLTLGTSMAARQGPVAMAAHQICIQVWLAVSLLTDAMAASGQALIASYLSKGEYKIVKEVADSALKIGLFTGVSLSVILGLSFGSLATLFTKDPEVLVIVRSGILFVSASQPLNALAYVFDGLHYGVSDFAYAARSMMVVGAISSAVLLYAPSILGLPGVWLGLTLFMGLRAVAGYARFLSKSGPWWFVHTGIQKAQLAI >ONH92456 pep chromosome:Prunus_persica_NCBIv2:G8:17875118:17880877:1 gene:PRUPE_8G176900 transcript:ONH92456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQFRGGALSGGLTTRVSDHIPTTKKARLFNSLNQSEAGKFGALSGGKDLSNANVVGRCSLSATHRALCFPLLTRRRRPCFPVVANQLSSDVGVGSSEVKEKLALEEEQALINGGSDDLTCLSLSNIPISQTYSPDVKRELLMLSLPAILGQAIDPLAQLMETAYIGRLGSVELASAGISMNIFNYISKLFNIPLLSVATSFVAEDLAKSESIASTSENGCLGDITNGKPKRTDGVTERKQLSSVSTALLLSVGIGIFEAVALSLGSGLFLNMMGISMDSPMRIPAQRFLSLRAFGAPAVVASLALQGVFRGFKDTKTPVLCLGIGNLLAAFLLPILMYYFGLGVTGAALSTVISQYTVTFLMIWFLNKRAILLPPKVGSLQFGGYIKSGGFLLGRTLAVLTTLTLGTSMAARQGPVAMAAHQICIQVWLAVSLLTDAMAASGQALIASYLSKGEYKIVKEVADSALKIGLFTGVSLSVILGLSFGSLATLFTKDPEVLVIVRSGILFVSASQPLNALAYVFDGLHYGVSDFAYAARSMMVVGAISSAVLLYAPSILGLPGVWLGLTLFMGLRAVAGYARFLSKSGPWWFVHTGIQKAQLAI >ONH92686 pep chromosome:Prunus_persica_NCBIv2:G8:18493689:18496059:-1 gene:PRUPE_8G189300 transcript:ONH92686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAYIMTGISYLSRAQESAAKVRIGNPSPSTYIMNSSSTHTVADTVFLERIKSRVKHWKNACRSSKKDDALLSSLRKLVLGSELYGSRPCMNIDVCSERQIQLALEMLREFSDELVPLIIPAKGGGTQAVRVVLTSSKEDKDSFERELQNLEEEQNQRVRGFREVIDLISASQKPVVSHNALNDFTFIHSKFLSPLPTNVDEFMDSLHLVFPHLLDVNHMMKNIGPLRKVTNIHAAISYLNNHYFAPIDMENLPQENEEGTVHRHNVVKMCYLFAKLCSILKIPDNAMTSNNALLAPAPEEYTNISNPYPDSPQESINDDIRIWTKNMKKVSCNHLVFLWGFRSGMTAGMLKSLLHKSHDVFSEEFDVRLVDKSCAIVVFWRPGLSETFLDFVSSEEICGSLREIVSEGLRASSYEAYKRVCRLGLWEANLAESLDRALEDPDCLVEANLAANCKEIYWSSDSTINFDNL >ONH92685 pep chromosome:Prunus_persica_NCBIv2:G8:18493398:18496681:-1 gene:PRUPE_8G189300 transcript:ONH92685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPPLIRRRFLSTSTNVPKKWAVKEVTKLNFKESLEEFKDHLAGSNFVAVSLQKTGSFSAAWHRPQPFDTADTSYCKAKYAAERFQLLQFAACPFTLRASKLTPHPYNFVLFPRDELKLGMPSYSFSVQTSHLTSMAQEGFDFNACIYNGISYLSRAQESAAKVRIGNPSPSTYIMNSSSTHTVADTVFLERIKSRVKHWKNACRSSKKDDALLSSLRKLVLGSELYGSRPCMNIDVCSERQIQLALEMLREFSDELVPLIIPAKGGGTQAVRVVLTSSKEDKDSFERELQNLEEEQNQRVRGFREVIDLISASQKPVVSHNALNDFTFIHSKFLSPLPTNVDEFMDSLHLVFPHLLDVNHMMKNIGPLRKVTNIHAAISYLNNHYFAPIDMENLPQENEEGTVHRHNVVKMCYLFAKLCSILKIPDNAMTSNNALLAPAPEEYTNISNPYPDSPQESINDDIRIWTKNMKKVSCNHLVFLWGFRSGMTAGMLKSLLHKSHDVFSEEFDVRLVDKSCAIVVFWRPGLSETFLDFVSSEEICGSLREIVSEGLRASSYEAYKRVCRLGLWEANLAESLDRALEDPDCLVEANLAANCKEIYWSSDSTINFDNL >ONH90027 pep chromosome:Prunus_persica_NCBIv2:G8:2941908:2942784:1 gene:PRUPE_8G030900 transcript:ONH90027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINKENRKRPSSDSLVQQSQWQLHNSDPPTVAFARSMDLNRENKKRASSDSFLQWSQWQLLDSILPTGGFAHSFGLEAAIQASIVSCPEDLQTFVIHLLENTGSLLLPFVYSTTMSPDSETRRKLDKMLDAMLTNEVSRKASISQGSALMRVAASVYSEIPYLKSMREASLSSGVVSFHHAPMFGVICGLLGLDSATSQRAYMFITMRDVISAATRLNLVGPLGAAVLQHQIAPVAEAILNRWKDRPVEEACQTVALLDIVQGCHGYLFSRLFCS >ONH90424 pep chromosome:Prunus_persica_NCBIv2:G8:5857019:5858576:1 gene:PRUPE_8G053100 transcript:ONH90424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVSVVARVPSPTSTQTKKKIPNFGKNEAQTAKTLIPDVSEHHINEHNFRVSFVCGCQNLGEALCRVREGATMIWIKGDAGTGNIIEAVRHVWSVIWDIRVLWNMDDDEVFTFTKKIAAPYDMVMQTKQLRRLHVVHFTAGSFGMFKSGDLVKRGRAIVQAMTHYRDPDVLGKVTCGLGEAMVGLNLKDEKVERLANRSK >ONH90521 pep chromosome:Prunus_persica_NCBIv2:G8:7642754:7644114:1 gene:PRUPE_8G059000 transcript:ONH90521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILAQTILPPRPPSTTPTQIFLLPQTQDHPLPYHRFPSQQPTISLTLKFLLYTPNHHSQPLISPTQNNNSPSPAQPFQCSLSLCKHVQFFLLDLLYLPKTSTASTTNKTKHHPNPRLKSHQPVATPFSARFEPYQHRNPNLDQHHPLSLSISNQSPRPT >ONH91657 pep chromosome:Prunus_persica_NCBIv2:G8:15172451:15179537:-1 gene:PRUPE_8G127800 transcript:ONH91657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQGSSIDTFPEITEVGLGSISNSTGMSQQTSLNNMLNPVETRLSSFMVTSGEMTCLNATSHDSQTLTGSGGPSSRLNIENPVDDDGMKMEQGWSSSYSACPVIGQNSEERRVEQNNILFPGRAISGNQTQGGPSFSQGSSSNYIPQNADLNAGYVGSSGNCEHGMEANAEPNLYKSSGLETEQTSSGSGSPDIVGTSSGSSDYVVGESDGNPGSSFGNWGSSCKRKALEGTSGQAYPGGSSSSFPQAENGAWNTGPARYNPSSNLSLSTPLRNSPSGSPSEQQNSRSRVGLRLGASDTLTSLGVTGNAESPLRQFFARGVCTGPQQESAPSNLSSQGRSTTVAATNSGGPQSQFPAIHMSGSSGNLLPLPWNGASSSRVGSLSSSLMSGYRGAELREEANLRSIPRNNVEHSTFATATDMRNSAQDPTGWSLAPGDISTSIGAPSSSRIGSSSGIRSLPNPAWIPLNNPPTENHQRVTEFSPWSLFPSSDSQPGGHSHYNPVPPRASASSQDSGSSSGSNNQGRHLPYPRSAFSVDRQGDDVLNMPHSLRALAADIEGRRRLISEIRQVLNAMRRGLYAL >ONH91655 pep chromosome:Prunus_persica_NCBIv2:G8:15172975:15177006:-1 gene:PRUPE_8G127800 transcript:ONH91655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQGSSIDTFPEITEVGLGSISNSTGMSQQTSLNNMLNPVETRLSSFMVTSGEMTCLNATSHDSQTLTGSGGPSSRLNIENPVDDDGMKMEQGWSSSYSACPVIGQNSEERRVEQNNILFPGRAISGNQTQGGPSFSQGSSSNYIPQNADLNAGYVGSSGNCEHGMEANAEPNLYKSSGLETEQTSSGSGSPDIVGTSSGSSDYVVGESDGNPGSSFGNWGSSCKRKALEGTSGQAYPGGSSSSFPQAENGAWNTGPARYNPSSNLSLSTPLRNSPSGSPSEQQNSRSRVGLRLGASDTLTSLGVTGNAESPLRQFFARGVCTGPQQESAPSNLSSQGRSTTVAATNSGGPQSQFPAIHMSGSSGNLLPLPWNGASSSRVGSLSSSLMSGYRGAELREEANLRSIPRNNVEHSTFATATDMRNSAQDPTGWSLAPGDISTSIGAPSSSRIGSSSGIRSLPNPAWIPLNNPPTENHQRVTEFSPWSLFPSSDSQPGGHSHYNPVPPRASASSQDSGSSSGSNNQGRHLPYPRSAFSVDRQGDDVLNMPHSLRALAADIEGRRRLISEIRQVLNAMRRGENLRAEDYMLFDPFIYHGMAEMHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLSEETIVKLMKQRKFLSVTAESSADMEPCCVCQEEYNDEDDIGTLDCGHDFHTKCIKQWLMQKNLCPICKTTALLT >ONH91656 pep chromosome:Prunus_persica_NCBIv2:G8:15172975:15177006:-1 gene:PRUPE_8G127800 transcript:ONH91656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQGSSIDTFPEITEVGLGSISNSTGMSQQTSLNNMLNPVETRLSSFMVTSGEMTCLNATSHDSQTLTGSGGPSSRLNIENPVDDDGMKMEQGWSSSYSACPVIGQNSEERRVEQNNILFPGRAISGNQTQGGPSFSQGSSSNYIPQNADLNAGYVGSSGNCEHGMEANAEPNLYKSSGLETEQTSSGSGSPDIVGTSSGSSDYVVGESDGNPGSSFGNWGSSCKRKALEGTSGQAYPGGSSSSFPQAENGAWNTGPARYNPSSNLSLSTPLRNSPSGSPSEQQNSRSRVGLRLGASDTLTSLGVTGNAESPLRQFFARGVCTGPQQESAPSNLSSQGRSTTVAATNSGGPQSQFPAIHMSGSSGNLLPLPWNGASSSRVGSLSSSLMSGYRGAELREEANLRSIPRNNVEHSTFATATDMRNSAQDPTGWSLAPGDISTSIGAPSSSRIGSSSGIRSLPNPAWIPLNNPPTENHQRVTEFSPWSLFPSSDSQPGGHSHYNPVPPRASASSQDSGSSSGSNNQGRHLPYPRSAFSVDRQGDDVLNMPHSLRALAADIEGRRRLISEIRQVLNAMRRGENLRAEDYMLFDPFIYHGMAEMHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLSEETIVKLMKQRKFLSVTAESSADMEPCCVCQEEYNDEDDIGTLDCGHDFHTKCIKQWLMQKNLCPICKTTALLT >ONH91654 pep chromosome:Prunus_persica_NCBIv2:G8:15172451:15177210:-1 gene:PRUPE_8G127800 transcript:ONH91654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQGSSIDTFPEITEVGLGSISNSTGMSQQTSLNNMLNPVETRLSSFMVTSGEMTCLNATSHDSQTLTGSGGPSSRLNIENPVDDDGMKMEQGWSSSYSACPVIGQNSEERRVEQNNILFPGRAISGNQTQGGPSFSQGSSSNYIPQNADLNAGYVGSSGNCEHGMEANAEPNLYKSSGLETEQTSSGSGSPDIVGTSSGSSDYVVGESDGNPGSSFGNWGSSCKRKALEGTSGQAYPGGSSSSFPQAENGAWNTGPARYNPSSNLSLSTPLRNSPSGSPSEQQNSRSRVGLRLGASDTLTSLGVTGNAESPLRQFFARGVCTGPQQESAPSNLSSQGRSTTVAATNSGGPQSQFPAIHMSGSSGNLLPLPWNGASSSRVGSLSSSLMSGYRGAELREEANLRSIPRNNVEHSTFATATDMRNSAQDPTGWSLAPGDISTSIGAPSSSRIGSSSGIRSLPNPAWIPLNNPPTENHQRVTEFSPWSLFPSSDSQPGGHSHYNPVPPRASASSQDSGSSSGSNNQGRHLPYPRSAFSVDRQGDDVLNMPHSLRALAADIEGRRRLISEIRQVLNAMRRGENLRAEDYMLFDPFIYHGMAEMHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLSEETIVKLMKQRKFLSVTAESSADMEPCCVCQEEYNDEDDIGTLDCGHDFHTKCIKQWLMQKNLCPICKTTALLT >ONH91720 pep chromosome:Prunus_persica_NCBIv2:G8:15483798:15484349:1 gene:PRUPE_8G132900 transcript:ONH91720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNTPHDLFLLLLLLHALLSCTLVCMATLTSDVAYPTVPDDCLLSGEGVPVPIRREVYDNGRIFDISHKYVPDMPSFVGEDGLGEFLWLGHSMKNGSLVNVSMMKFSVHSDTHVDAPGHVFDHFYDAGFDADTLDLEVLNGPALVVGCSQG >ONH91099 pep chromosome:Prunus_persica_NCBIv2:G8:12551223:12552891:-1 gene:PRUPE_8G092800 transcript:ONH91099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEQVLYMNGGVGKTSYANNSLLQRAVISMVKPIVGASIEELCCTLFPECLKVADLGCSSGPNTLLVVSDIIDIIHTTYQKLNRPPPSLQAFLNDLPGNDFNTVFRSLPGFYKKLDEEPEKKLGLCFIAGMPGSFYGRLFPNNSLHFVHSSYALMWISEVPKGLVTKEGVALNMGNIYIAKTSPPTVFKQYLEQFKRDFTVFLRSRAEELVPGGSMVLTTMGSIKSDDPLCIWEFVGLKLNDMVLEGLIEEEKLGTFNMPYYAPTTKEIEEVIEAEGSFILQNLEVFKNDWDSYVKQANSGLDKKTRAAIFATDIRAVGEPILASQFGEAPMDDLFRRFEADVLDHMERENCQFINLVISLTKKR >ONH93608 pep chromosome:Prunus_persica_NCBIv2:G8:21178438:21184013:-1 gene:PRUPE_8G242100 transcript:ONH93608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPSSSRLRSMLQASVQSVQWTYSLFWQICPQQGILVWSDGYYNGAIKTRKTVQPMEVSAEEASLQRSQQLRELYDSLSAGETNQPPARRPCASLSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKTVVCIPLLDGVVELGTIERDEEEEDQEEDEEAESDSEAETGRNGQPVAPGPNPPAVSAPAAEPSELMQLEMSEDIRLGSPDDASNNLDSDFHLLAVSQSRVNPADQQRQADSYRAESTRRWPSVQEPLSSGLQPPPQGPLALEELTHDDTHYSETVSTILQRQATRWTDSSSTDQVAYSAQSAFIKWTTRVEHHMLVPIEGTSQWLLKYILFSVPFLHTKYRDENSPKSHEGDASTRLRKGTPQDELSANHVMAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQDLEARNVQMEDDQRSRSSGEIHRSNSMKELRSGLTVVERTRVGPPGSDKRKLRIVEGSGGAAVAKPKMMEESPPSPPPPPPQSSPTPMVTGTSLEVSIIESDGLLELQCPYREGLLLDIMQTLRELRIETTVVQSSLNNGFFVAELRAKVKENVNGKKISITEVKRVINQIIPQSDS >ONH93609 pep chromosome:Prunus_persica_NCBIv2:G8:21178200:21180869:-1 gene:PRUPE_8G242100 transcript:ONH93609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEMSEDIRLGSPDDASNNLDSDFHLLAVSQSRVNPADQQRQADSYRAESTRRWPSVQEPLSSGLQPPPQGPLALEELTHDDTHYSETVSTILQRQATRWTDSSSTDQVAYSAQSAFIKWTTRVEHHMLVPIEGTSQWLLKYILFSVPFLHTKYRDENSPKSHEGDASTRLRKGTPQDELSANHVMAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQDLEARNVQMEDDQRSRSSGEIHRSNSMKELRSGLTVVERTRVGPPGSDKRKLRIVEGSGGAAVAKPKMMEESPPSPPPPPPQSSPTPMVTGTSLEVSIIESDGLLELQCPYREGLLLDIMQTLRELRIETTVVQSSLNNGFFVAELRAKVKENVNGKKISITEVKRVINQIIPQSDS >ONH92339 pep chromosome:Prunus_persica_NCBIv2:G8:17422217:17425154:-1 gene:PRUPE_8G169300 transcript:ONH92339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNNIPEYVGLGEGSSHNLQKYQKVSVIPLVFLIFYEVSGGPFGIEDSVQAAGPLLALLGFLVFAIIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALESGLPRTIAVLVLTAILTYMNYRGLTIVGWAAILLGVFSLLPFIFMGFIAIPKINPSRWFLVNLDSVNWTLYLNTLFWNLNYWDSISTLSGEVENPGTTLPKALFYALILVVFGYIFPLLIGTGAVPVDRDLWSDGYFADIAKMLGGVWLRFWVLAASALSNMGMFVAEMSSDSFQLLGMAERGMLPSIFAKRSRHGTPLVGILFSASGVILLSWLSFQEIVAAENYLYCFGMIMEFIAFVKLRMKHPAASRPFKIPVGTAGAILICIPPTLLIFVVLALASPKVMAISISAVIIGLVLQPCVEYTKRNRWFNFSMNSDLPDIHAPDHQCNEPWIS >ONH92340 pep chromosome:Prunus_persica_NCBIv2:G8:17422223:17425153:-1 gene:PRUPE_8G169300 transcript:ONH92340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNNIPEYVGLGEGSSHNLQKYQKVSVIPLVFLIFYEVSGGPFGIEDSVQAAGPLLALLGFLVFAIIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALESGLPRTIAVLVLTAILTYMNYRGLTIVGWAAILLGVFSLLPFIFMGFIAIPKINPSRWFLVNLDSVNWTLYLNTLFWNLNYWDSISTLSGEVENPGTTLPKALFYALILVVFGYIFPLLIGTGAVPVDRDLWSDGYFADIAKMLGGVWLRFWVLAASALSNMGMFVAEMSSDSFQLLGMAERGMLPSIFAKRSRHGTPLVGILFSASGVILLSWLSFQEIVAAENYLYCFGMIMEFIAFVKLRMKHPAASRPFKIPVGTAGAILICIPPTLLIFVVLALASPKVMAISISAVIIGLVLQPCVEYTKRNRWFNFSMNSDLPDIHAPDHQCNEPWIS >ONH92341 pep chromosome:Prunus_persica_NCBIv2:G8:17422325:17424906:-1 gene:PRUPE_8G169300 transcript:ONH92341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNNIPEYVGLGEGSSHNLQKYQKVSVIPLVFLIFYEVSGGPFGIEDSVQAAGPLLALLGFLVFAIIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALESGLPRTIAVLVLTAILTYMNYRGLTIVGWAAILLGVFSLLPFIFMGFIAIPKINPSRWFLVNLDSVNWTLYLNTLFWNLNYWDSISTLSGEVENPGTTLPKALFYALILVVFGYIFPLLIGTGAVPVDRDLWSDGYFADIAKMLGGVWLRFWVLAASALSNMGMFVAEMSSDSFQLLGMAERGMLPSIFAKRSRHGTPLVGILFSASGVILLSWLSFQEIVAAENYLYCFGMIMEFIAFVKLRMKHPAASRPFKIPVGTAGAILICIPPTLLIFVVLALASPKVMAISISAVIIGLVLQPCVEYTKRNRWFNFSMNSDLPDIHAPDHQCNEPWIS >ONH92337 pep chromosome:Prunus_persica_NCBIv2:G8:17422218:17425153:-1 gene:PRUPE_8G169300 transcript:ONH92337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRNSLAKQSNAKMLDNNIPEYVGLGEGSSHNLQKYQKVSVIPLVFLIFYEVSGGPFGIEDSVQAAGPLLALLGFLVFAIIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALESGLPRTIAVLVLTAILTYMNYRGLTIVGWAAILLGVFSLLPFIFMGFIAIPKINPSRWFLVNLDSVNWTLYLNTLFWNLNYWDSISTLSGEVENPGTTLPKALFYALILVVFGYIFPLLIGTGAVPVDRDLWSDGYFADIAKMLGGVWLRFWVLAASALSNMGMFVAEMSSDSFQLLGMAERGMLPSIFAKRSRHGTPLVGILFSASGVILLSWLSFQEIVAAENYLYCFGMIMEFIAFVKLRMKHPAASRPFKIPVGTAGAILICIPPTLLIFVVLALASPKVMAISISAVIIGLVLQPCVEYTKRNRWFNFSMNSDLPDIHAPDHQCNEPWIS >ONH92338 pep chromosome:Prunus_persica_NCBIv2:G8:17422229:17425029:-1 gene:PRUPE_8G169300 transcript:ONH92338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNNIPEYVGLGEGSSHNLQKYQKVSVIPLVFLIFYEVSGGPFGIEDSVQAAGPLLALLGFLVFAIIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALESGLPRTIAVLVLTAILTYMNYRGLTIVGWAAILLGVFSLLPFIFMGFIAIPKINPSRWFLVNLDSVNWTLYLNTLFWNLNYWDSISTLSGEVENPGTTLPKALFYALILVVFGYIFPLLIGTGAVPVDRDLWSDGYFADIAKMLGGVWLRFWVLAASALSNMGMFVAEMSSDSFQLLGMAERGMLPSIFAKRSRHGTPLVGILFSASGVILLSWLSFQEIVAAENYLYCFGMIMEFIAFVKLRMKHPAASRPFKIPVGTAGAILICIPPTLLIFVVLALASPKVMAISISAVIIGLVLQPCVEYTKRNRWFNFSMNSDLPDIHAPDHQCNEPWIS >ONH89979 pep chromosome:Prunus_persica_NCBIv2:G8:2511769:2513201:-1 gene:PRUPE_8G027500 transcript:ONH89979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHFNNLEGSLPPSLMNCTSLIELNLGFNNFEGEISTLNFSKLSQLSKLDLGSNSFSAHLPISLYPRAMKILMGSKSLATLLPYSFVGEEMPTDEDMVGFDRFENLRILAWMNFDSNLISGEFPKELCKLPMLVSEQAAAQVDHNFLELPIFIQPDGAQALQYTYLSFLPPSIYLHNNSISGSIPVEIGGLQLLHALDLSNNFSGEIPDQISNLKNMDTVDLSVNHLSGKIPTSLRSLNFLSFFNVSYNNLEGQYQQLQGFNASAFEGNQKLCGNKNNQDVDNGHEIPWFYISLALGFIVGFWGVCGPLMFKKRWGCAYFQFSDNVQDRLYVMITVCVAAMQRRVRI >ONH93770 pep chromosome:Prunus_persica_NCBIv2:G8:21618887:21622732:-1 gene:PRUPE_8G251600 transcript:ONH93770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKKERKLNSEDSVKLFVGQVPKHLTEAQLLAMFKEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVDACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEVEVSTLFSKYGTVKDLQILRGSQQTSKGCAFLKYETKEQALSALEAMNGKYKMEGSSVPLVVKWADTEKERLARRAQKAHSQAANVPNADSQHPSLFGALPMGYVPSYNGYGYQAPGAYGLMQYRLPGLPNQPGFHNMIRPVNQGNALHGIRPRNIAPRNFAMPPASYVGSAYPAVPGLQHPMMYPGGMMSHRPLSSPGSLSPTVVNSNPATSSGTSRSSGGQVEGPPGANLFIYHIPQEFGDQELANAFQAFGRVLSAKVFVDKATGVSKCFGFVSYDLPESAQSAISVMNGYQLGGKKLKVQLKRDNKQNKPY >ONH93771 pep chromosome:Prunus_persica_NCBIv2:G8:21618666:21619727:-1 gene:PRUPE_8G251600 transcript:ONH93771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYRLPGLPNQPGFHNMIRPVNQGNALHGIRPRNIAPRNFAMPPASYVGSAYPAVPGLQHPMMYPGGMMSHRPLSSPGSLSPTVVNSNPATSSGTSRSSGGQVEGPPGANLFIYHIPQEFGDQELANAFQAFGRVLSAKVFVDKATGVSKCFGFVSYDLPESAQSAISVMNGYQLGGKKLKVQLKRDNKQNKPY >ONH93768 pep chromosome:Prunus_persica_NCBIv2:G8:21617490:21622865:-1 gene:PRUPE_8G251600 transcript:ONH93768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKKERKLNSEDSVKLFVGQVPKHLTEAQLLAMFKEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVDACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEVEVSTLFSKYGTVKDLQILRGSQQTSKGCAFLKYETKEQALSALEAMNGKYKMEGSSVPLVVKWADTEKERLARRAQKAHSQAANVPNADSQHPSLFGALPMGYVPSYNGYGYQAPGAYGLMQYRLPGLPNQPGFHNMIRPVNQGNALHGIRPRNIAPRNFAMPPASYVGSAYPAVPGLQHPMMYPGGMMSHRPLSSPGSLSPTVVNSNPATSSGTSRSSGGQVEEFGDQELANAFQAFGRVLSAKVFVDKATGVSKCFGFVSYDLPESAQSAISVMNGYQLGGKKLKVQLKRDNKQNKPY >ONH93769 pep chromosome:Prunus_persica_NCBIv2:G8:21617490:21622865:-1 gene:PRUPE_8G251600 transcript:ONH93769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKKERKLNSEDSVKLFVGQVPKHLTEAQLLAMFKEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVDACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEVEVSTLFSKYGTVKDLQILRGSQQTSKGCAFLKYETKEQALSALEAMNGKYKMEGSSVPLVVKWADTEKERLARRAQKAHSQAANVPNADSQHPSLFGALPMGYVPSYNGYGYQAPGAYGLMQYRLPGLPNQPGFHNMIRPVNQGNALHGIRPRNIAPRNFAMPPASYVGSAYPAVPGLQHPMMYPGGMMSHRPLSSPGSLSPTVVNSNPATSSGTSRSSGGQVEGPPGANLFIYHIPQEFGDQELANAFQAFGRVLSAKVFVDKATGVSKCFGFVSYDLPESAQSAISVMNGYQLGGKKLKVQLKRDNKQNKPY >ONH89803 pep chromosome:Prunus_persica_NCBIv2:G8:1604823:1606302:1 gene:PRUPE_8G017200 transcript:ONH89803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPILLSEEDECEDDDNGDSYSIFQVSDWGFTRKLVSSVLYIFEGLLTDNLF >ONH93945 pep chromosome:Prunus_persica_NCBIv2:G8:22096749:22099187:1 gene:PRUPE_8G262100 transcript:ONH93945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFVGFAHAPATAILRRPVTYTTSRTYRNGGLKLRAKLVEENDPLLQSALDSASLRFRETHRPEPLFVDPYAGCFVAPNAQMDMKQSSDHYCLGTKFIDDKLLRTVNHIDGLKQVVLLTDGMDTRPYRLSWPTSTIIFNISPERVFKRAAEKLQGVGAKIPRSCVSLHVPLESSNIQQSLRTKGFNGNRPSIWAMQGLPVMTLASFEDILLTVSGSAMNGCFFLGELPAWLAETEIGIKTSARKWMEKLFMNNGFRVDMICYEEVARSLGKDLASGSYKNILFSAEQLRFSDDQMETWRKEFQRTEEEGDEEGFEEL >ONH91582 pep chromosome:Prunus_persica_NCBIv2:G8:14991705:14992811:-1 gene:PRUPE_8G124500 transcript:ONH91582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSKAGRKIPNHPKTNKINLKGKQNSKTAATIPHLPVLVILHFLQFLPIKLAIRISSLCKQRAGLWSSIPVLDFDEDEPPHGDENLDIIQLQHTNFINFLDRCLEFHQKCEGLDKFWLRMTLSSVKDKDKVGEWLCFAVGRRVKELDISLKYKYEVNQYCFSSTFLNAKPLVILNLENVTIANSGQPISLPSLQTLSIRRVRLTALSLSALISGCPCIQYLSLTSSSCFESYDLSMQTLLGGKSLTSFNLKYVRVRDDNNNDPKAPIGLPYLKTMSLEIVDLYYPQLISGSPSLEHLSVSFCFAKFYRSPKIPRSSSLKSLEVRDFDSKVVQVSRGSTMGSMWSDDPMAALEMAWRSLVSLGSHGR >ONH91724 pep chromosome:Prunus_persica_NCBIv2:G8:15488397:15488961:1 gene:PRUPE_8G133300 transcript:ONH91724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPLYGERCMTTVEYSTSATGRTRRVPVDGAKWLVENTDIKLIGVDYLAVAVYEEAIPIHHVFLQGREIILVEGLKLDGIPAGLYSVHCLPLRLMGSDGSPARCILIK >ONH93823 pep chromosome:Prunus_persica_NCBIv2:G8:21774862:21779310:1 gene:PRUPE_8G255000 transcript:ONH93823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMSTSSTTPARLLAFPLRHRFHDHSRSSLAFNKPLPRAPRLICMAEPYLITKLDSAEKTWKELSVKLADPDVVSNPSEYQKLAQSVAELDEVVTIYKNYKGCEKQLQETKALAKDAGNDEDMAEMISYEIESLSNQIKELEGKLKMLLLPSDPLDLRNIMLEVRAGTGGDEAGIWAGDLVRMYEKYSERNNWRYSAVSSSEAEKGGFKTYVMEIKGKRVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVVIDPKDIELTTARSGGAGGQNVNKVETAVDLVHKPTGIRIFCSEERSQLQNKHRAFQLLRAKLYKIKLREQQELIRNQRKSQVGTGSRAEKIRTYNFKDNRVTDHRLKTNYELTSFLGGDIENAVQACTSLEQQELLEELAESVGAPAG >ONH90938 pep chromosome:Prunus_persica_NCBIv2:G8:11755153:11757597:1 gene:PRUPE_8G084300 transcript:ONH90938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPTETESPIYLMVRADETIFWYVIERKSTAATAENDNNNEGRNIVVLRASERDRVRQLNLPSFGGEGLDWIDFSRLVALNRRLYLIGSTIGDTNKVGRVGLRYIDLDATPTPIWHIGGGVNSDRQDGAIAREDGWIFTSGDNIYRVNHPSGDSTKLPKKEGLRREVNMLGVTMSNILVYNTMLGYNTMYSIDLRSNRWEVICHRFWGVWSPGVILFDDSLLFSLGTQNPSSDTESLGFKARPGVYAFDIRARRWLSSPLEGLKNEVGLENFGPDLGLGTVLPSEGSYDRGVVRGPTDEPVDPFKPWWVPLSLVKVGVENGHHKLGLVWDRMIMYDNDVKSCQIHWCKFKILTPNGGDILEAELLSSGICNLDESTYMVMNCTAGLVVAPDEGNEEEKGDKRRREGVTAPDGGNEEESDSKRRSG >ONH93022 pep chromosome:Prunus_persica_NCBIv2:G8:19419068:19422154:1 gene:PRUPE_8G208900 transcript:ONH93022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGLNRQGLPGDRKPDGNDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLTKCKLRLLKLDRIKDYLLMEEEFVTNQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHIDFKKAKEKVMFKKKEGVPEGLYM >ONH90545 pep chromosome:Prunus_persica_NCBIv2:G8:8520654:8543346:1 gene:PRUPE_8G060400 transcript:ONH90545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTLSAATPTTVALLAVSRTLNRRLSQTFLSQRLPSRLLARRLTSCLPSSYGFQRPNSPFSSSSLRSLCLVDPAGRFRLGCVGSSAASFASGGGNGGFGGESGGSGGDGEGGSGGGDAKSKVVAAGCDEISAVSTDVIVLDVGGMTCGGCAASVKRILESQPQVSSASVNLTTETAVVWPVSEAKVTPNWQKQLGETLAKHLTNCGFKSNLRDSGRDNFLKVFERKMEEKRKRLKESGNELAFSWALCAVCLVGHLSHFFGAKVSWIHALHSTGFHLSLCLFTLLGPGRRLIIDGLRSLVRGAPNMNTLVGLGALSSFTVSSIAAFIPKLGWKTFFEEPIMLIAFVLLGRNLEQRAKIKATSDMTELLSIIPSKARLLVNDGAKELESIVEVPSNSLSVGDQIVVLPGERVPVDGIVKAGRSIIDESSFTGEPLPVTKLPGSQVAAGSINLNGTLTVEVQRPGGETAMADIVRLVEEAQSREAPVQRLADKVAGHFTYGVMTLSAATFLFWSLIGGHILPAAFHGGNSVSLALQLSCSVLVVACPCALGLATPTAVLVGTSLGAKRGLLLRGGNILEKFSMVNTIVFDKTGTLTMGKPVVTKILTPERSKVTDLQEKFNHTWSEVDVLKFAAGVESNTVHPVGKAIVEAAQAVNCHNMKIVDGTFLEEPGSGAVATIENKKVSIGTLDWVRRHGVNENPFQEVEAHKSQSVVYVGIDSTLAGLICFEDQIREDAGQVVKSLSKQGINVYMLSGDKRNNAEYVASVVGIPKEKVISGVKPREKKKFITELQKDQNIVAMVGDGINDAAALASSHVGIAMGGGVGAASEVSSIVLLGNRLSQVLDALELSRLTMKTVKQNLWWAFAYNIVGLPIAAGVLLPVTGTMLTPSIAGALMGLSSVGVMANSLLLRYKFSSKQGEIYSGSAHTNTNGDSNLLMDKSAEEHPHSDGKWKG >ONH93863 pep chromosome:Prunus_persica_NCBIv2:G8:21914053:21914292:1 gene:PRUPE_8G257300 transcript:ONH93863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQHICGESSLCEESKIGFRERLGQAYQAAMLVMARHGAQNGVGRGLLISKAIERRRWSSSAEDPIKTLMFLGSWNHT >ONH90201 pep chromosome:Prunus_persica_NCBIv2:G8:4028065:4033092:1 gene:PRUPE_8G040500 transcript:ONH90201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSKADKKAADAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTVVLRWLGYIQPSHLPVSELLKFMIFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDKIRYSRDTKLSIGVVLLGVGVCTVTDVSVNAKGFIAAFIAVWSTSLQQYYVHFLQRKYSLGSFSLLGHTAPAQAGSLLLLGPFLDYWLTSKRVDAYDYNFTSLMFIFLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNLHVVLGMIIAVVGMIWYGNASSKPGGKERWSHALPTSRQQKHSGFPESTEHDGKV >ONH90200 pep chromosome:Prunus_persica_NCBIv2:G8:4028111:4032989:1 gene:PRUPE_8G040500 transcript:ONH90200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSKADKKAADAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTVVLRWLGYIQPSHLPVSELLKFMIFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDKIRYSRDTKLSIGVVLLGVGVCTVTDVSVNAKGFIAAFIAVWSTSLQQYYVHFLQRKYSLGSFSLLGHTAPAQAGSLLLLGPFLDYWLTSKRVDAYDYNFTSLMFIFLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNLHVVLGMIIAVVGMIWYGNASSKPGGKERWSHALPTSRQQKHSGFPESTEHDGKV >ONH90202 pep chromosome:Prunus_persica_NCBIv2:G8:4028093:4033092:1 gene:PRUPE_8G040500 transcript:ONH90202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSKADKKAADAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTVVLRWLGYIQPSHLPVSELLKFMIFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDKIRYSRDTKLSIGVVLLGVGVCTVTDVSVNAKGFIAAFIAVWSTSLQQYYVHFLQRKYSLGSFSLLGHTAPAQAGSLLLLGPFLDYWLTSKRVDAYDYNFTSLMFIFLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNLHVVLGMIIAVVGMIWYGNASSKPGGKERWSHALPTSRQQKHSGFPESTEHDGKV >ONH92613 pep chromosome:Prunus_persica_NCBIv2:G8:18282487:18284306:-1 gene:PRUPE_8G183900 transcript:ONH92613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKERKSPFTVCNVGAWSMTVVSSVGIIMANRQLMSPQGFAFAFATTLSSFHYSLTALVCLVSNAVGYSASTHAIPLWELILFSIVANASIIAMNFSLILNTPGFFQISRLSMVPVVCVMEWILHGKQFSRRAKMAVVMVVVGVSICTVTDVKVNAKGFLCACVAVLCTSLHQILIGSLQKKYSIGSFELLTKTTPMQALSLLFLCPFIDFYLTGKLLSNYKFSSGAFIFLLLSCSIAVFCKVSLYLCIGRFSASSFQVLGHMKTICVMTLAWLLFDSKLGLKNFLGMALAAMGMIAYTWAVEAEKEATNSKSHRKTKDKMSGEVMKPLTSI >ONH94021 pep chromosome:Prunus_persica_NCBIv2:G8:22285841:22287983:-1 gene:PRUPE_8G266500 transcript:ONH94021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQRSFSFKPTRFLVFSFTISSSVIFLTFFTMWIIKTTPSVPQETRLQFNTSSLVLGFKPIAVHTLTGFSRNFSVNGLKDSILKGTRLSKPENASGFSGISLISGLQLQRKENESQVPEHEEEKGGESDGNFPPIEHSAPTTILFVNIERTEEKNAALNKTEVTSKKRIDEKKTRKTSFENTQISSGGQVENKRVRGCDFTRGRWVYDESYPLYTNDSCPFIDEGFNCQGNGRLDKDYMKLRWQPQDCDIPRFNATKMLELIRGKRLVFVGDSINRNQWESMLCMLMGAIKDPNRVYETHRRRITKEKGDYSFKFVDYKCTVEYYVSHFLVHEGKARVGQKRVQTLRIDSIDHGSSRWRGADILVFNTAHWWSHYKTKAGINYYQEGGQIHPQLDVSTAFRKALMTWASWVDKRINPRKTQVFFRSSAPSHFRGGQWNSGGSCKEATQPLQTSSFSYSEKNVIAEEVIKQMKTRVTFLNITGLSEYRIDGHPSIYGRKSGKHSSSGIQDCSHWCLPGIPDLWNELLYAHLQ >ONH89747 pep chromosome:Prunus_persica_NCBIv2:G8:1121797:1125186:-1 gene:PRUPE_8G013500 transcript:ONH89747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATTSSREADFEYLFKLLLIGDSGVGKSTLLLSFTSDTFEDLSPTIGVDFKIKHVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERTVSKKEGMDFAREYGCLFLECSAKARVNVEQCFEELVLKILETPSLLTEGSAGVKKNIFKQKPAESDAASTSGCC >ONH93080 pep chromosome:Prunus_persica_NCBIv2:G8:19567128:19577259:-1 gene:PRUPE_8G211800 transcript:ONH93080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDINFVSKEWGESALFYWSLLVLFLTFSHPLTEKMQAENIPTTAITRILSLKKNVWQRRRRRRLEVMGKADENEDEVMLEEDNDVEETIHGDDEEDDEDEELDEYEKDDFIVDDVDDEQEDPEEEEEEEDRADSDEEERQKKKKRKKREEYVLDEDDYELLEDNNVFAPRRKGKFKRLKKAQRHNERDLGVSDEEEFDGNGKSGRSAEEKLKRSLFGDDEGLPLEDIAEEEEPAEEEDDREVDEEDEMADFIVDEEFDATGVPVRQKKLKRKKFREAPGVSSSSLHEAHEIFGDVDELLQLRKQGSDSNECRDRKLEDEFEPSVLSEKYMTEKDDQIRELDIPERIQIYEESTGSPPLDEISIEDESTWIYNQLTSGTIPLYGKEGLGSSISRGDINKFLELHHKFKLEIPCIAMYRKECLSFLKDENQDQNEKPPKIKWHKELWTIQDLDRKWLLLQKRKSALQSYYKRFKCTVNHQLLESVITSLEASESEREVDDADAKFNLHFPLGEIGADEGQYKKPKRKSLYSICSKAGLWEVANKFGYSSEEFGMQLSLEKMRRDVGDELENPKETPEEMASNFTCAMFETPEAVLKGARHMAVVEISCEPCVRKYVRNNYLDSIEVSTSPTPDGNIAIDGSHQFAGVKWLQRKPLNRFEGAQWLLIQKAEEEKLLEVTLKLPEDRLNKLISDFNEYYLSDDVSKSAQVWNEQRKLILRDAIFNFLLPAIEKEARSLLTSRAKNWLRVEYGKVLWNKVSVGPYHRKENDSSSDGEAAPRVMACCWGPGRPATTFVMLDSSGEVVDVLYAGSLTLHSHDVNDQKLKKNDEERVLKFMIDHQPHVTILGCVNLSCTRLKEVIHEIYNKMIVEHPRDVGYDMDDLSIVYGDESLACLYENSRISSDQLHVQSGIVKRAVALGRYLQNPLAMVATLCGPGREILSWKLNAFEHFLTPDEKYAMVEQVMVDVTNQVGLDVNLAASHEWLFAPLQFISGLGPRKAASLQRSLVRSGAIFSRKDFLTEQGLGLKVFVNASGFLRVRRSGLAASSNQFIDLLDDTRIHPTESYSRAQELAKDVYDQEGGNDDDEDAMEMAIEHVRGHPKYLKGLDIQSYAKSKKHEDKENTLWDIKRELIHGFQDWRKKYEEPSQDEEFYMISGETEDTLAAGRIVQATVRRVQAEQAQLALESGLTGMLLKEDYYPGDWRDVSDLSDKLHVGDILTCKIKSVQKNWYRVLLVCSESEIRNDCSQNIQNLDTYYHKDWRSLQSEQEKSQKGKQLAKKNFKPRMIVHPRFQNIYADEAMELLSAKDAGESIFRPSSRGPSHLTLTLKVYDGVYAHKDIVEGGKEPKDVTSLLRIGKTLTIGEDTFEDLDEVMDRYVDLLVAHLKAMLNYRKFRRGTKAEVDELLWLEKLEYPMRIAYCFGISHEHPGTIILTYIRSANPHHEYVGVYPKGFKFRKRMFEDIDRLVAYFQRHIDDTRLESGPSIRSVAAMVPMRSPATGGSSGASLGSGWDGSTNEGGWRGQS >ONH90683 pep chromosome:Prunus_persica_NCBIv2:G8:10146083:10154130:1 gene:PRUPE_8G069100 transcript:ONH90683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSGTKEQSHKEHRSRQSGAKADKKKRDTSQNGKKQNPKAFAFSSTVKAKRLQSRSVEKEQRRLHVPTIDRSYGEQPPYVVLVHGPPKVGKSLLIKSLVKHYTKHNLPEVRGPITIVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQHLKHRFWTEIYDGAKLFYLSGLIHGKYVKREIHNLARFISVMKFHPLSWRTAHPYVLVDRFEDVTPPEKVRLNNKCDRNVTLYGYLRGCNMKKGTKIHIAGVGDYSLAGMTGLADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSNVDEKGEATNEGKHEDVGVALVKSLQNTKYSVDEKLEESFINLFSRKPNLLSNAQSDGKDTYESREEIRMIEPLEEYQSREAIKGDGSAEESNAEDSDGSESESSDKNEAARKDASDQDANLKDHLKEHVEFHGGRSRRKVIFGNDLDHNDMEDSDFEAEDDGDDNNDDDIQASSGSDSEEDEDVHETDDEIGNIAKWKESLVERTSSRQTINLMQLVYGKSTSMPTTSINEHDSSVDDESDGDDFFKPKGEVNKKHGGIEGGNWNIEDCSKFTNYSNLKDWKEEKLREGIRDRFVTGDWSKASQRNQAAEAKVLDDDAVYGDFEDLETGEKHDGNHTDDASSDVNHKEDDLAKEERRLKKLALRAKFNAQFDGAESSEEELESKHEGKSGRDQSKESGYFDKLKDEIELRKQMNIAELNDLDDATRLEIEGFRTGTYLRLEVHDVPYEMVEYFDPCHPILVGGIGLGEENVGHMQARLKRHRWHKKVLKTSDPIIVSIGWRRYQTIPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAFQNLLNNQI >ONH90682 pep chromosome:Prunus_persica_NCBIv2:G8:10146083:10156939:1 gene:PRUPE_8G069100 transcript:ONH90682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSGTKEQSHKEHRSRQSGAKADKKKRDTSQNGKKQNPKAFAFSSTVKAKRLQSRSVEKEQRRLHVPTIDRSYGEQPPYVVLVHGPPKVGKSLLIKSLVKHYTKHNLPEVRGPITIVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQHLKHRFWTEIYDGAKLFYLSGLIHGKYVKREIHNLARFISVMKFHPLSWRTAHPYVLVDRFEDVTPPEKVRLNNKCDRNVTLYGYLRGCNMKKGTKIHIAGVGDYSLAGMTGLADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSNVDEKGEATNEGKHEDVGVALVKSLQNTKYSVDEKLEESFINLFSRKPNLLSNAQSDGKDTYESREEIRMIEPLEEYQSREAIKGDGSAEESNAEDSDGSESESSDKNEAARKDASDQDANLKDHLKEHVEFHGGRSRRKVIFGNDLDHNDMEDSDFEAEDDGDDNNDDDIQASSGSDSEEDEDVHETDDEIGNIAKWKESLVERTSSRQTINLMQLVYGKSTSMPTTSINEHDSSVDDESDGDDFFKPKGEVNKKHGGIEGGNWNIEDCSKFTNYSNLKDWKEEKLREGIRDRFVTGDWSKASQRNQAAEAKVLDDDAVYGDFEDLETGEKHDGNHTDDASSDVNHKEDDLAKEERRLKKLALRAKFNAQFDGAESSEEELESKHEGKSGRDQSKESGYFDKLKDEIELRKQMNIAELNDLDDATRLEIEGFRTGTYLRLEVHDVPYEMVEYFDPCHPILVGGIGLGEENVGHMQARLKRHRWHKKVLKTSDPIIVSIGWRRYQTIPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAFQNLLNNQAQFRITATAVVLEFNHASRIVKKLKLVGHPCKIFKNTALVKDMFTSDLEIARFEGAAVRTVSGIRGQVKKAAKEEIGNQPKKMGGQPKEGIARCTFEDKIKMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTTAELRREHNIPIPVNKDSLYKPIERKLKKFNPLVIPKSLQAALPFASKPKDIPIRGRPLLENRRAVVMEPHERKVHALVQHLRLIRNEKMKKRKLKDDKKRKETEVQKAKEEQLSKKRQREERRERYREQDKLKKKIRRNAED >ONH91248 pep chromosome:Prunus_persica_NCBIv2:G8:13351132:13353846:1 gene:PRUPE_8G102000 transcript:ONH91248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRREKAQSFCKSRVGVAIAIGVLLGCVFALCFPNGFVSISNPAPILNHRFVKSISQVGSTLCEPSERINLLKSEFVAASEKNAELKKQVRELTEKIRLAEQRKDQAEKQVLVLGDQKKAGPFGTVKGLRTNPSVLPDESVNPRLAKILEKVAVNRELIVALANSNVKQMLEVWFTNIKRVGISNYLVVALDEEVAKYCESKDVPVYKRDPDKVIDSVARTGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFFYLYRDSDVESMTDGHDNRTAYGYNDVFDEPGMGWARYAHTMRMWVYNSGFFYIRPTIPSIELLDRVANRLSREQAWDQAVFNEELFFPSHPGYEGLHASRRTMDFYLFMNSKVLFKTVRKDANLSKLKPVIVHVNYHPDKLPRMLAIVEFFVNGKQDALKPFPDGSDW >ONH93801 pep chromosome:Prunus_persica_NCBIv2:G8:21697139:21698821:-1 gene:PRUPE_8G253500 transcript:ONH93801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPDAFLLASVFGILGNIVAFMVYLAPLPTFYRIFKKKSTEGFQSIPYSVALFSAMLMLYYAFLKTNAFMLITINSVGCIIETSYLVMYMIYAPAKTRIYTAKLLVLFNVGVYGVIVLSTYLIPNHFLRIKVVGWISVVFSVCVFAAPLSIMRLVIRTRSVEFMSFPLSFCLTLCAVMWFFYGLLVRDLFIAAPNILGFAFGLAQMIMYLMFKNSKKSMLPEFSLNQIPNVVAVNDIVASDSQLKTEDTKKSSEAEENQSTESMTNDRRAGDAAAAEPNESIV >ONH92698 pep chromosome:Prunus_persica_NCBIv2:G8:18543200:18547730:-1 gene:PRUPE_8G190300 transcript:ONH92698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSSNMFPSCSYPSAQTLNPTINSPSLLSFPSFSFTTVCSRHNNLPQPQFQAKQPNKKKKRQMLRFCGTYEVGGGYPDEELGGQEKSRTTQEQGNSKLDTAQYEALLKGGDQVTSVLEEMITLLEDMNMDEASEEVAVEIAAQGVLGKRVDEMESGFMLALDYMIQLAENDQDDKRKSLLEVIKETVLSYLTKKCPPHVQVVGLLCRTPQKESRQELLRRVAAGGGVFKSKNDIKVHVPAANLNDIANQADDILETMETRPVVPDRKLLARLVLIREEARNMMGGGLLDERNDRGFNTLPESEVNFLTKLVALKPGKTVQEMIKNVMEGKNEGADDASSDEVDTTGRTSGIAGRESVTGRKALPVRPGMFLETVSKVLGGIYAGNVSGITAQHLEWVHQKTLEVLQEIAF >ONH92697 pep chromosome:Prunus_persica_NCBIv2:G8:18543200:18547731:-1 gene:PRUPE_8G190300 transcript:ONH92697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSSNMFPSCSYPSAQTLNPTINSPSLLSFPSFSFTTVCSRHNNLPQPQFQAKQPNKKKKRQMLRFCGTYEVGGGYPDEELGGQEKSRTTQEQGNSKLDTAQYEALLKGGDQVTSVLEEMITLLEDMNMDEASEEVAVEIAAQGVLGKRVDEMESGFMLALDYMIQLAENDQDDKRKSLLEVIKETVLSYLTKKCPPHVQVVGLLCRTPQKESRQELLRRVAAGGGVFKSKNDIKVHVPAANLNDIANQADDILETMETRPVVPDRKLLARLVLIREEARNMMGGGLLDERNDRGFNTLPESEVNFLTKLVALKPGKTVQEMIKNVMEGKNEGADDASSDEESVTGRKALPVRPGMFLETVSKVLGGIYAGNVSGITAQHLEWVHQKTLEVLQEIAF >ONH90968 pep chromosome:Prunus_persica_NCBIv2:G8:11915396:11915769:-1 gene:PRUPE_8G086200 transcript:ONH90968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIQNTIYYCCVSRGNRILYVYSGGDEDSFHMWYFETMGKRTYGFLIEDGYIYFTIVDESLGNLGVLQFLEHLRDEFKKVARKGSRGNFLLFAKIGLRQWRVVEEGKDCR >ONH92207 pep chromosome:Prunus_persica_NCBIv2:G8:17010196:17014057:-1 gene:PRUPE_8G162700 transcript:ONH92207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGGRDEIANLKHQNSPPPSSLLQQVVKYPFVPSHLQDPNELDELDEQVEKLDEQVKEFNDAILRGDDDSDSDIEGHKLMVGLFSSWAKSHRAKCEMLTNKNKKKKRKRREERPMTHPRNKYSENPPDFAHLASLYPSFKPFVFFSRDGRPRIDWTDFNATRELTRVLLLHDHHLNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDIIVKTTSNGGDKVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAEKNVRDNPHISELIEIRKVENGKETLPLEGSHKEMLVSTSSKIDLTENMGRETALSPSSSSNSSNLNHNANKRYCGPPILLGVVREGEEFDFCMCNPPFFETMEEAGLNPKTSCGGTPMEMICPGGEKAFITRIIEDSVTLKHTFRWYTSMVGRKFNLKILTSKLWEVGATVVKTTEFVQGQTCRWGLAWSFLSPAKKIASSHVAVKNNLSFMLEGLDRKFSAINVLQSVESFFCSSGALCNLNTSSFTVDVTTTKDHLDAVLKSESQHCGRVASCEDVQEATISSSCLNLPPNNLSFRVSVFQQIPGTLLVKGSLQQRESQVSGSFSSIFQRLEEDLKQKFCRRK >ONH92208 pep chromosome:Prunus_persica_NCBIv2:G8:17010521:17013857:-1 gene:PRUPE_8G162700 transcript:ONH92208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSELDELDEQVEKLDEQVKEFNDAILRGDDDSDSDIEGHKLMVGLFSSWAKSHRAKCEMLTNKNKKKKRKRREERPMTHPRNKYSENPPDFAHLASLYPSFKPFVFFSRDGRPRIDWTDFNATRELTRVLLLHDHHLNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDIIVKTTSNGGDKVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAEKNVRDNPHISELIEIRKVENGKETLPLEGSHKEMLVSTSSKIDLTENMGRETALSPSSSSNSSNLNHNANKRYCGPPILLGVVREGEEFDFCMCNPPFFETMEEAGLNPKTSCGGTPMEMICPGGEKAFITRIIEDSVTLKHTFRWYTSMVGRKFNLKILTSKLWEVGATVVKTTEFVQGQTCRWGLAWSFLSPAKKIASSHVAVKNNLSFMLEGLDRKFSAINVLQSVESFFCSSGALCNLNTSSFTVDVTTTKDHLDAVLKSESQHCGRVASCEDVQEATISSSCLNLPPNNLSFRVSVFQQIPGTLLVKGSLQQRESQVSGSFSSIFQRLEEDLKQKFCRRK >ONH92206 pep chromosome:Prunus_persica_NCBIv2:G8:17010263:17014041:-1 gene:PRUPE_8G162700 transcript:ONH92206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGGRDEIANLKHQNSPPPSSLLQQVVKYPFVPSHLQDPNELDELDEQVEKLDEQVKEFNDAILRGDDDSDSDIEGHKLMVGLFSSWAKSHRAKCEMLTNKNKKKKRKRREERPMTHPRNKYSENPPDFAHLASLYPSFKPFVFFSRDGRPRIDWTDFNATRELTRVLLLHDHHLNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDIIVKTTSNGGDKVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAEKNVRDNPHISELIEIRKVENGKETLPLEGSHKEMLVSTSSKIDLTENMGRETALSPSSSSNSSNLNHNANKRYCGPPILLGVVREGEEFDFCMCNPPFFETMEEAGLNPKTSCGGTPMEMICPGGEKAFITRIIEDSVTLKHTFRWYTSMVGRKFNLKILTSKLWEVGATVVKTTEFVQGQTCRWGLAWSFLSPAKKIASSHVAVKNNLSFMLEGLDRKFSAINVLQSVESFFCSSGALCNLNTSSFTVTTTKDHLDAVLKSESQHCGRVASCEDVQEATISSSCLNLPPNNLSFRVSVFQQIPGTLLVKGSLQQRESQVSGSFSSIFQRLEEDLKQKFCRRK >ONH92402 pep chromosome:Prunus_persica_NCBIv2:G8:17638181:17639320:-1 gene:PRUPE_8G173200 transcript:ONH92402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHYPKETKESQSPTKVGEEGQGCGMFDFLKKKENEKPHQEQEQHKHTLAEKLHHHGGDSSSSSSDEEGGEKKKKGLKGKIKEKKSGKKEEGDAYHASVPVEKTHDIENGAPHADDKKGFIEKIKEKLPGQQKEAEHGAHAEYHAMDDHSHEAEPKKGILEKIKDKLPGGHKNEEEKPKEY >ONH89649 pep chromosome:Prunus_persica_NCBIv2:G8:530845:538656:-1 gene:PRUPE_8G006900 transcript:ONH89649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSVITVGGKGSSLSSSSVYAVANGLAQLRIDSWALNRLPSSSSSPLNTHNLVSLLPSLPTIEESRASLVVLLSKLLSLSGSPSIRTVLPVKIAEALNSPDLKHESLDLNDEEALALEKLKLSSALYAICALLDHKSAALSTVSDAVAAISCEALKADVAAFNLIDSGDGHAAKEEIGVASDLKVLLNGSKLVGKVEIEAISRIPKIHASLREQVKSVHSKTRVELNSGGKLVCAGVVRTALLPLAAALWDLGDRSLSRAKMNVDGVGSENSRSSLVALFEQKSPSGESLRGGFKLVSQLVFEEEENYDNFAHEVNVLMGIVWKIVTWEAITAFMVLEGAELNGKKSEGSEVSGGGNVKVEKKSEKKKKVVLGKGTSVIIQLIKDRLQGKGGNAVDSLGLLGNWVEELISFLDPKDPEFDSLLNRVKELVESNETRRLPKLPKGTRDFAKEQMAIRKKAFSIIEDVFERHGATALDTPVFELRETLMGKYGEDSKLIYDLADQGGELLSLRYDLTVPFARYVAMNGITSFKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQYEKMGPDFEVIKILTELLDELDIGDYEIKLNHRKLLDGMLEICGVPPEKFRTICSSIDKLDKQSFEQIKKEMVEEKGLSVETADKIGTFVKERGHPLELLFKLKQEGSSFLENNASIDALNDLEILFKALEKSKCIRNVTFDLSLARGLDYYTGVIYEAVFKGGAQVGSIGGGGRYDNLIGMFGTKQVPAVGVSLGIERVFNIMEQKGQNQTTRATKTEVLVSVLGDDLTQAAELASELWAAKVKAEYLVNKRVSKHFDRAKESRIPWMIIVGERELNEGVVRLKDIEAAEESIIPRSRIVEELQKRLSS >ONH91049 pep chromosome:Prunus_persica_NCBIv2:G8:12341204:12342129:-1 gene:PRUPE_8G090200 transcript:ONH91049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYCILIMHLKHMKITETAIITAQLSHFGDQIWLVILSITTSGKLSFPLTNFGQSSLAKTNNCEWRINKGTKGDMRPHRQWRTNRIARRYICTSLRWKMH >ONH90722 pep chromosome:Prunus_persica_NCBIv2:G8:10433030:10439923:1 gene:PRUPE_8G071300 transcript:ONH90722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVNAQLLQARAYEGEDQLVHVPAELEGDSDEGGGAKAAMNGGDQTRRSSGVTMSRCNSALPSRTSELTIAFEGEVHVFPAVTPDKVQAVLLLLGGRDISSSFPSSESLLESNSGGIGDISRNSKLSRRTASLVRFREKRKERCFEKKIRYTCRKEVAQRMYRKNGQFASLKDDSKIASGNCDSSDGTSCPESVLRRCQHCGISEKSTPAMRRGPAGPRSLCNACGLMWANKGTLRDLTKAGRPIHFDQTELETAADFKPLMLKPENAHLDPDEEGSPEESKPIALDTENPPLRLGDEDMLETAEAATTNHISIQMENSTVNFDEQENLDEFCNASGTEFEIPANFDEQVSIFSSLTSHMAD >ONH90723 pep chromosome:Prunus_persica_NCBIv2:G8:10432676:10440517:1 gene:PRUPE_8G071300 transcript:ONH90723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVNAQLLQARAYEGEDQLVHVPAELEGDSDEGGGAKAAMNGGDQTRRSSGVTMSRCNSALPSRTSELTIAFEGEVHVFPAVTPDKVQAVLLLLGGRDISSSFPSSESLLESNSGGIGDISRNSKLSRRTASLVRFREKRKERCFEKKIRYTCRKEVAQRMYRKNGQFASLKDDSKIASGNCDSSDGTSCPESVCQHCGISEKSTPAMRRGPAGPRSLCNACGLMWANKGTLRDLTKAGRPIHFDQTELETAADFKPLMLKPENAHLDPDEEGSPEESKPIALDTENPPLRLGDEDMLETAEAATTNHISIQMENSTVNFDEQENLDEFCNASGTEFEIPANFDEQVSIFSSLTSHMAD >ONH90720 pep chromosome:Prunus_persica_NCBIv2:G8:10432676:10440517:1 gene:PRUPE_8G071300 transcript:ONH90720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVNAQLLQARAYEGEDQLVHVPAELEGDSDEGGGAKAAMNGGDQTRRSSGVTMSRCNSALPSRTSELTIAFEGEVHVFPAVTPDKVQAVLLLLGGRDISSSFPSSESLLESNSGGIGDISRNSKLSRRTASLVRFREKRKERCFEKKIRYTCRKEVAQRMYRKNGQFASLKDDSKIASGNCDSSDGTSCPESVLRRCQHCGISEKSTPAMRRGPAGPRSLCNACGLMWANKGTLRDLTKAGRPIHFDQTELETAADFKPLMLKPENAHLDPDEEGSPEESKPIALDTENPPLRLGDEDMLETAEAATTNHISIQMENSTVNFDEQENLDEFCNASGTEFEIPANFDEQVVDFYDCNIETHWPGT >ONH90721 pep chromosome:Prunus_persica_NCBIv2:G8:10432672:10440517:1 gene:PRUPE_8G071300 transcript:ONH90721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVNAQLLQARAYEGEDQLVHVPAELEGDSDEGGGAKAAMNGGDQTRRSSGVTMSRCNSALPSRTSELTIAFEGEVHVFPAVTPDKVQAVLLLLGGRDISSSFPSSESLLESNSGGIGDISRNSKLSRRTASLVRFREKRKERCFEKKIRYTCRKEVAQRMYRKNGQFASLKDDSKIASGNCDSSDGTSCPESVCQHCGISEKSTPAMRRGPAGPRSLCNACGLMWANKGTLRDLTKAGRPIHFDQTELETAADFKPLMLKPENAHLDPDEEGSPEESKPIALDTENPPLRLGDEDMLETAEAATTNHISIQMENSTVNFDEQENLDEFCNASGTEFEIPANFDEQVVDFYDCNIETHWPGT >ONH93170 pep chromosome:Prunus_persica_NCBIv2:G8:19844258:19849000:1 gene:PRUPE_8G216900 transcript:ONH93170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTMAGLFTGSHARDELHVVNGTEENRPPTRQSVSSSKVCRVCGDEIGYKEDGELFVACHVCGFPVCRPCYDYERSEGNQSCPQCNTRYKRQKGCPRVAGDEEDFDADDFDDEFQIKIDHHDESTEKNNFVNHSENGEHTQQQWHHNDQPFSVGGSVAGKDFEGEKEVLSNAEWKDRVEKWKVRQEKKGLVNKDDRNDDQGYEDDFLLAEARQPLWRKVPVSSSKISPYRIVIVCRLVILAFFFRFRILTPAYDAYPLWIISVICEIWFAFSWILDQFPKWNPINRETYLDRLTIRFEREGEPNTLSSVDVYVSTVDPLKEPPIITANTVLSILSVDYPVDKICCYVSDDGASMLLFDSLSETAEFARRWVPFCKKHNIEPRAPEFYFSQKIDYLKDKVHPNFVKERRAMKREYEEFKVRINALVSKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSEGALDVDGKELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMRGLDGIQGPVYVGTGCVFNRQALYGYDPPVSEKRPKMTCDCWPSWCFCGCCRGSKKSKSKSKKHGIRSLLGGIYTKKKKMMGKNYVRKGSAPMFDLEEIEEGFEGYDELEKSSLMSQKNFEKRFGQSPVFIASTLMENGGLPEGNNSQTLVKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYAYGGKLKWLERLAYINTIVYPFTSIPLIAYCTVPAVCLLTGKFIIPTLNNFASIWFMALFLSIIATGILELRWSNVSIEDWWRNEQFWVIGGVSAHFFAVFQGLLKVLFGVDTNFTVTSKAAEDAEFGELYLFKWTTLLIPPTTLIILNMVGVVAGISDAINNGYGSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVILWSVLLASIFSLIWVRIDPFLPKQTGPILKQCGVEC >ONH90915 pep chromosome:Prunus_persica_NCBIv2:G8:11625599:11630287:-1 gene:PRUPE_8G083000 transcript:ONH90915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFDGYGYHGTSFEQTYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELQNDSAERVSHCGVLEFIAEEGMIYMPYWMMENMLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIIEAKPSHAISIIETDCEVDFAPPLDYKEPEKPVASGPLNKAAAQVEEDPAEMEPKFNPFTGAGRRLDGRPLKYEPAPVSSSGSKDKKPLVTNGNAQPSTGSSSQATSRQAQGKLVFGGNANRAPKETQKEAAKETKKEEQAEKKEDPKFQPFTGKKYSLRG >ONH91445 pep chromosome:Prunus_persica_NCBIv2:G8:14246801:14248411:1 gene:PRUPE_8G115000 transcript:ONH91445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRKKILIKSISTLLIILLCAANITESTNPSKKHFVLVHGSCFGAWSWYKLVTLMKSSGHNVTAIDLAASGVDPQQAKDLQSISDYFKPLTDFMAALDPPHDKVILVGHSLGGLAISHAMERFPDKISLAVFVTALMPGPTLNISTLNQESFRRQGSLLDSKYTYDQGPNNPPITLTFGPLFLATNMYQLSPTEDVALGTILMRPQRLFSEEDLSKELKLTREKYGSVNRVYVLSGGDLLTEKDLQRWMIKRNRPNSVVEITGSDHMVMISKPLELWVHIQRISEKYS >ONH91576 pep chromosome:Prunus_persica_NCBIv2:G8:14966067:14969722:-1 gene:PRUPE_8G124100 transcript:ONH91576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCLFLLWLVTIPLLAYSQSNPRGYLLNCGAGPSPGNDVTVGSLKYITDEGFISVGNTSTIKQDGLVPILTTLRYFPNKSARKYCYAIPVIKGGKYLVRTTYYYGGFDGGKEPPVFDQIVEGTKWSVVNTTEDHANGMSSYYEIVVQAKGKALSVCLARNNMTASDSSPFISALEMEYMDDSVYNATDFNKYALTTVARSSFGDDGDIIGFPDDKFNRFWQPYKDQNPVVTSKYNVTPSDFWNIPPAKIFQNSVTSSRGKPLQIKWPAGSLPSASYYIALYFQDNRNPSPHSWRVFNVSVNDKNFYANVNVSTRGLTVYAPQWPLSGQTQIVLTSGQGIPVGPVINAGEIMQILPLGGVTVNRDVGAMNELRRHFDNPPPDWSGDPCLPRENSWTGVTCSHGKNYRVTALNLTGIGLSGSLAPNIANLTALRHIWFGGNKLSGNIPEMGSLKELQSLHLENNQLEGLIPQSLRKLPKLQEIFLQNNKVKGDVPHTPQDKKKNIQLKNDPDSGSQSPARM >ONH93742 pep chromosome:Prunus_persica_NCBIv2:G8:21538800:21541552:-1 gene:PRUPE_8G249800 transcript:ONH93742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEVNVNESKRVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANTIPVDGVFSFDHVDRGTGLLNRVYLQAPENEAQWGIVDLEKPLSTTKIVPVIIFFHGGSFTHSSANSAIYDTFCRRLVNTCKAVVVSVNYRRSPEHRYPCAYDDGWATLKWVKSRTWLRSGKDSKVHVYLAGDSSGGNIAHHVAVKAAEAEVEVLGNILLHPMFGGQKRTESEKRLDGKYFVTIQDRDWYWRAFLPEGEDRDHPACNIFGPRDKSLEGLKFPKSLVVVAGFDLVQDWQLAYVEGLKNSGQDVKLLYLKQATIGFYFLPNNEHFYCLMEEISNFVNPDC >ONH93744 pep chromosome:Prunus_persica_NCBIv2:G8:21538800:21541664:-1 gene:PRUPE_8G249800 transcript:ONH93744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEVNVNESKRVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANTIPVDGVFSFDHVDRGTGLLNRVYLQAPENEAQWGIVDLEKPLSTTKIVPVIIFFHGGSFTHSSANSAIYDTFCRRLVNTCKAVVVSVNYRRSPEHRYPCAYDDGWATLKWVKSRTWLRSGKDSKVHVYLAGDSSGGNIAHHVAVKAAEAEVEVLGNILLHPMFGGQKRTESEKRLDGKYFVTIQDRDWYWRAFLPEGEDRDHPACNIFGPRDKSLEGLKFPKSLVVVAGFDLVQDWQLAYVEGLKNSGQDVKLLYLKQATIGFYFLPNNEHFYCLMEEISNFVNPDC >ONH93743 pep chromosome:Prunus_persica_NCBIv2:G8:21538791:21541759:-1 gene:PRUPE_8G249800 transcript:ONH93743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEVNVNESKRVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANTIPVDGVFSFDHVDRGTGLLNRVYLQAPENEAQWGIVDLEKPLSTTKIVPVIIFFHGGSFTHSSANSAIYDTFCRRLVNTCKAVVVSVNYRRSPEHRYPCAYDDGWATLKWVKSRTWLRSGKDSKVHVYLAGDSSGGNIAHHVAVKAAEAEVEVLGNILLHPMFGGQKRTESEKRLDGKYFVTIQDRDWYWRAFLPEGEDRDHPACNIFGPRDKSLEGLKFPKSLVVVAGFDLVQDWQLAYVEGLKNSGQDVKLLYLKQATIGFYFLPNNEHFYCLMEEISNFVNPDC >ONH93745 pep chromosome:Prunus_persica_NCBIv2:G8:21538794:21541769:-1 gene:PRUPE_8G249800 transcript:ONH93745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNEVNVNESKRVVPLNTWVLISNFKLAYNLLRRADGTFNRELAEFLDRKVPANTIPVDGVFSFDHVDRGTGLLNRVYLQAPENEAQWGIVDLEKPLSTTKIVPVIIFFHGGSFTHSSANSAIYDTFCRRLVNTCKAVVVSVNYRRSPEHRYPCAYDDGWATLKWVKSRTWLRSGKDSKVHVYLAGDSSGGNIAHHVAVKAAEAEVEVLGNILLHPMFGGQKRTESEKRLDGKYFVTIQDRDWYWRAFLPEGEDRDHPACNIFGPRDKSLEGLKFPKSLVVVAGFDLVQDWQLAYVEGLKNSGQDVKLLYLKQATIGFYFLPNNEHFYCLMEEISNFVNPDC >ONH91748 pep chromosome:Prunus_persica_NCBIv2:G8:15550730:15553387:-1 gene:PRUPE_8G134800 transcript:ONH91748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGPKDGDLQLVAAPERKLALPPKPPTTASSTALVEYTPPVFKEEEEDLEIKLRRILENVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMEVDYQRRKEEAEFIMRREERLKSAEERTAKKRLKRQKKKQRKKEKKIKTSSGGEENHKGETTDEDEENSDDQTEQAFDKL >ONH90012 pep chromosome:Prunus_persica_NCBIv2:G8:2765737:2766830:-1 gene:PRUPE_8G029800 transcript:ONH90012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKNDHMVYAKDLKITWSRDRRYWRWVKDTENSYVAELLNVCWLKVSGKLENANLSPGTQYEVVLVAKMKTRAYGFDDPVNFKVTYPGGTNDRPINLEVEFKDSKDQWKDIKLGEFEASANQGDIEFLLYKYGGKWKSGLVIKGVAVRPKS >ONH90090 pep chromosome:Prunus_persica_NCBIv2:G8:3189159:3191457:-1 gene:PRUPE_8G034600 transcript:ONH90090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEKKNWNCEAFSKMLNLKFLEFDNMMISSSPRILPNSLRSIKWSRYPSKFLPSGFQPNFLISLEMPNSKLVRLWDGRKDLPYLKKMSLLASKKLTTTPDFSGMRNLEVLDFQFWDNLVEIHPSIADLKCLKWLLFGFCPKLKKIPEFLGQMKNLSSLCLFGTSIEKLSLSIGRLVGLSSLSLLYCKNLVDLLSEICNLKFLTALGVGGCPKIDKLPENVGEMECLEMLRLDGTSIRQLPHSVVGLKKLNSLSLGGCESRLPSRLLCCLPLSYERKAFELASLGGLFSLRKLDLSNYGLCEEDLPSDIGCLSSLEELKLSGNNFVSLPASIGCLSKLTLFWVNECQRLQQLPDLSKLISLVDIDITSCTSLKMLPHLSSNCSLVDNKRGYRQFNCANFFVLLCSGSLSLSLSPPYTFSFLFFSFLYSFFSLSLVSSTFPSVYDLPENWFKPKNQKIGPKIASSAWSDFPIFFFKNRTKPDWTG >ONH90396 pep chromosome:Prunus_persica_NCBIv2:G8:5663079:5666745:1 gene:PRUPE_8G051800 transcript:ONH90396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYHSLKHPSKQVVFLYLSLFVCLPLSISICNFAEDGAATRGMFVFGSSLVDNGNNNFFESRAKADYLPYGIDFPNGPSGRFTNGKNVVDLLGDQLKLPSFIPPSKDPSTKGSKIVHGVNHASGSSGILDDTGSIVGNVISLSQQIRDFEEETLPELEAELGCKSSESLPSYLFVVGVGGNDYMFNYFVRRSYLQFGLEAFTRTLIASLAQKLQKLYSLGGRKFVVMSINPLGYSPVLNRPNFIGSPRALSQAAQIYNVQLKTLLDALKRSMPDFNFALVNTYNIMTNIIQNPASTGFEDTRNPCCKVTSQIQGGNGVFCERGGETSANRARNVFFDGLHPTEALNFQIASKAFASTLEFDVYPINVRQLAQL >ONH91319 pep chromosome:Prunus_persica_NCBIv2:G8:13763205:13766482:1 gene:PRUPE_8G106400 transcript:ONH91319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRQDPNGTHKNGGGPSTALAYLDPKYWDERFSTEEHYEWLKDYSHFRHLIQSHITPNSSEVLFVDSGDPWHPRPATVTKVMKMLEGVHRVLKPDGIYISISFGQPHFRRPLFEAPQFTWSVEWSTFGDGFHYFFYTLKKGRRSLDDKGSSKEKLEIPSICLFQDELEGEDYLFRTNIDELNC >ONH91318 pep chromosome:Prunus_persica_NCBIv2:G8:13763206:13766482:1 gene:PRUPE_8G106400 transcript:ONH91318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRQDPNGTHKNGGGPSTALAYLDPKYWDERFSTEEHYEWLKDYSHFRHLIQSHITPNSSVLELGCGNSQLCEELYKDGVTEITCIDLSAVAVENMQKKLLSKGFKEIKVLEADMLDLPFSNECFDVVIEKGTMEVLFVDSGDPWHPRPATVTKVMKMLEGVHRVLKPDGIYISISFGQPHFRRPLFEAPQFTWSVEWSTFGDGFHYFFYTLKKGRRSLDDKGSSKEKLEIPSICLFQDELEGEDYLFRTNIDELNC >ONH92336 pep chromosome:Prunus_persica_NCBIv2:G8:17419157:17421380:-1 gene:PRUPE_8G169200 transcript:ONH92336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQNNTPRASPRRKSTTLQHLFDLDSKTETGINGKKTGTTNIGCSSSNLENEEILSSISFCTFVFTFTDPSECATQQELKRNKLLQLLSVVKSSKKPLHDQVMSSLISMISLNLFRPLPPPSNPSSAAEFPDDEDHISTFSPLWSHLQLVYDILIRLVINTDPKLLRGYIDNQFVVNLLALFQSEDPRERDSLKNVYHRIYSRFTFYRSFMRKSMNDVFLHYVFETERHCGIRELLEIWGSIINGFTVPLKEEHKLFLMRVLIPFHKTKGMQAYHRQLAYCVSQFVQKEPVLGGIVVRGILRYWPVTNCQKEVLLIGELEELVENIDPDQYRKLALPLCLQITRCLNSWNSQVAERALYVWNNEQFVKMISVAMEEVFPVVVEGMEKNLKWHWSKSVRQLTENVKVMLEEMDPVLHSKCLEEIELRESKAHQAEIKRKEKWDRIEMAAAQHHNQHQFLQPPHFLCVSH >ONH92513 pep chromosome:Prunus_persica_NCBIv2:G8:18002946:18006481:-1 gene:PRUPE_8G178800 transcript:ONH92513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMYQYIQVALHSVLAGADISDASASRRDISKYQPLCDCEQCQDSKEEGVSSVIGASTLPDEKNIDIIATYSNNLGAVRSSRVKMGDLSASWVLENPVDGRHDHPKSFQMVEDPFQSGMTFEEKTEHPPDDHQAGVGELPYSRLSSMSPVKLKRREMRQERRNLRTAELIGKDKEANNQMAAAAIERSKGFDPIVKGKYSIWRSDYESPNSDSTLKLMLDQIIMAKAYANIAKSKNESNLYNSLMKHSKLSQHAIGEASSDVELHSSALNRAKAMGRVLSIAKDKLYDCLTVERKLRAMLQSTEENVSDLKKKSAFLTQLAAKTVPKPLHCLPLQLASDYFLLGYHSREDVNKEKLQDPSLYHYAIFSDNVLATSVVVNSTVLHAKEPNKHVFHVVTDKLNYAAMRMWFLVNPPVGATIQVENIDDFKWLNSSSCSVLRQLESARLQEYYFKANHPSSLSLGSDHLKYRNPKYLSLLNHLRFYLPDVYPKLDKILFLDDDIVVQKDLTPLWSVDLQGMVNGAVETCKESFHRYDKYLNFSNPIIYANFDPNACGWAFGMNIFDLKEWRKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTHPLDRGWHALGLGYDPALNQTAIENAAVIHYNGNYKPWLDLAISKYKAYWSKYVMFDNPYLRLCSISE >ONH92511 pep chromosome:Prunus_persica_NCBIv2:G8:18002663:18006992:-1 gene:PRUPE_8G178800 transcript:ONH92511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRFCNKEKGPKKKKKQEGGGNDHVVVMNRKFRNSEIEAILSLFPLLYIGNGSSSACPLHLIVEEISVNINRCVIASNAKTARKKVYLLSLEPALFQMKSNNLGAVRSSRVKMGDLSASWVLENPVDGRHDHPKSFQMVEDPFQSGMTFEEKTEHPPDDHQAGVGELPYSRLSSMSPVKLKRREMRQERRNLRTAELIGKDKEANNQMAAAAIERSKGFDPIVKGKYSIWRSDYESPNSDSTLKLMLDQIIMAKAYANIAKSKNESNLYNSLMKHSKLSQHAIGEASSDVELHSSALNRAKAMGRVLSIAKDKLYDCLTVERKLRAMLQSTEENVSDLKKKSAFLTQLAAKTVPKPLHCLPLQLASDYFLLGYHSREDVNKEKLQDPSLYHYAIFSDNVLATSVVVNSTVLHAKEPNKHVFHVVTDKLNYAAMRMWFLVNPPVGATIQVENIDDFKWLNSSSCSVLRQLESARLQEYYFKANHPSSLSLGSDHLKYRNPKYLSLLNHLRFYLPDVYPKLDKILFLDDDIVVQKDLTPLWSVDLQGMVNGAVETCKESFHRYDKYLNFSNPIIYANFDPNACGWAFGMNIFDLKEWRKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTHPLDRGWHALGLGYDPALNQTAIENAAVIHYNGNYKPWLDLAISKYKAYWSKYVMFDNPYLRLCSISE >ONH92515 pep chromosome:Prunus_persica_NCBIv2:G8:18002946:18006414:-1 gene:PRUPE_8G178800 transcript:ONH92515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQVEEISVNINRCVIASNAKTARKKVYLLSLEPALFQMKSNNLGAVRSSRVKMGDLSASWVLENPVDGRHDHPKSFQMVEDPFQSGMTFEEKTEHPPDDHQAGVGELPYSRLSSMSPVKLKRREMRQERRNLRTAELIGKDKEANNQMAAAAIERSKGFDPIVKGKYSIWRSDYESPNSDSTLKLMLDQIIMAKAYANIAKSKNESNLYNSLMKHSKLSQHAIGEASSDVELHSSALNRAKAMGRVLSIAKDKLYDCLTVERKLRAMLQSTEENVSDLKKKSAFLTQLAAKTVPKPLHCLPLQLASDYFLLGYHSREDVNKEKLQDPSLYHYAIFSDNVLATSVVVNSTVLHAKEPNKHVFHVVTDKLNYAAMRMWFLVNPPVGATIQVENIDDFKWLNSSSCSVLRQLESARLQEYYFKANHPSSLSLGSDHLKYRNPKYLSLLNHLRFYLPDVYPKLDKILFLDDDIVVQKDLTPLWSVDLQGMVNGAVETCKESFHRYDKYLNFSNPIIYANFDPNACGWAFGMNIFDLKEWRKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTHPLDRGWHALGLGYDPALNQTAIENAAVIHYNGNYKPWLDLAISKYKAYWSKYVMFDNPYLRLCSISE >ONH92514 pep chromosome:Prunus_persica_NCBIv2:G8:18002867:18006992:-1 gene:PRUPE_8G178800 transcript:ONH92514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQVEEISVNINRCVIASNAKTARKKVYLLSLEPALFQMKSNNLGAVRSSRVKMGDLSASWVLENPVDGRHDHPKSFQMVEDPFQSGMTFEEKTEHPPDDHQAGVGELPYSRLSSMSPVKLKRREMRQERRNLRTAELIGKDKEANNQMAAAAIERSKGFDPIVKGKYSIWRSDYESPNSDSTLKLMLDQIIMAKAYANIAKSKNESNLYNSLMKHSKLSQHAIGEASSDVELHSSALNRAKAMGRVLSIAKDKLYDCLTVERKLRAMLQSTEENVSDLKKKSAFLTQLAAKTVPKPLHCLPLQLASDYFLLGYHSREDVNKEKLQDPSLYHYAIFSDNVLATSVVVNSTVLHAKEPNKHVFHVVTDKLNYAAMRMWFLVNPPVGATIQVENIDDFKWLNSSSCSVLRQLESARLQEYYFKANHPSSLSLGSDHLKYRNPKYLSLLNHLRFYLPDVYPKLDKILFLDDDIVVQKDLTPLWSVDLQGMVNGAVETCKESFHRYDKYLNFSNPIIYANFDPNACGWAFGMNIFDLKEWRKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTHPLDRGWHALGLGYDPALNQTAIENAAVIHYNGNYKPWLDLAISKYKAYWSKYVMFDNPYLRLCSISE >ONH92512 pep chromosome:Prunus_persica_NCBIv2:G8:18002946:18006759:-1 gene:PRUPE_8G178800 transcript:ONH92512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRLLVLCISLVALHSVLAGADISDASASRRDISKYQPLCDCEQCQDSKEEGVSSVIGASTLPDEKNIDIIATYSNNLGAVRSSRVKMGDLSASWVLENPVDGRHDHPKSFQMVEDPFQSGMTFEEKTEHPPDDHQAGVGELPYSRLSSMSPVKLKRREMRQERRNLRTAELIGKDKEANNQMAAAAIERSKGFDPIVKGKYSIWRSDYESPNSDSTLKLMLDQIIMAKAYANIAKSKNESNLYNSLMKHSKLSQHAIGEASSDVELHSSALNRAKAMGRVLSIAKDKLYDCLTVERKLRAMLQSTEENVSDLKKKSAFLTQLAAKTVPKPLHCLPLQLASDYFLLGYHSREDVNKEKLQDPSLYHYAIFSDNVLATSVVVNSTVLHAKEPNKHVFHVVTDKLNYAAMRMWFLVNPPVGATIQVENIDDFKWLNSSSCSVLRQLESARLQEYYFKANHPSSLSLGSDHLKYRNPKYLSLLNHLRFYLPDVYPKLDKILFLDDDIVVQKDLTPLWSVDLQGMVNGAVETCKESFHRYDKYLNFSNPIIYANFDPNACGWAFGMNIFDLKEWRKRNITGIYHRWQDMNEDRTLWKLGTLPPGLITFYNLTHPLDRGWHALGLGYDPALNQTAIENAAVIHYNGNYKPWLDLAISKYKAYWSKYVMFDNPYLRLCSISE >ONH90937 pep chromosome:Prunus_persica_NCBIv2:G8:11753922:11754995:-1 gene:PRUPE_8G084200 transcript:ONH90937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFDSAAAFPSVSQAVHIKLDRNNYPLWLPRFSLSSRVAGTTVAPPPFLEDDKGKLTDAINPTYDTWIQQDQLVLSWINGSLSSTVLTTVTCFTSARSTWVALEKRFASPNQNRILQLCSELFCAARGDSSIADYLDKVNAIVDNLALSGSPLPDSDLLAVIMNNVGPLYESTVASTQARETPITYVDLEALLLSAEQCHLALHASARDGPATAMIVAHGRALSHGRSRGSGSFSFRGGHSGGSAPWSGSSSTTGHPGFRGSSSSPGSGRASSSSPGGSSSQPSVLGPTPAVAPSFSSPSASFSGSRIWCQICGRYGHSALDCYNRLNLSYEGRVPPQCLTAMTCNTPTPNLTLI >ONH92572 pep chromosome:Prunus_persica_NCBIv2:G8:18160587:18161353:1 gene:PRUPE_8G181500 transcript:ONH92572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEETNLFVISHIGHFIRRSKRVHFNIEVKKLLSEYATSNVLAHKFLNALKVIDMKVRRVRRTRIFQEICPTHQPVTRLHRYPVKPNAHGSKL >ONH92909 pep chromosome:Prunus_persica_NCBIv2:G8:19091645:19095776:-1 gene:PRUPE_8G202600 transcript:ONH92909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNDSLEIPDGWVLNTRVEEDGTEVKGFLCPETGQEFSTYQDLMRYVRYAKAARLSIYSPDSNFMKAKRAKAAKESSSGLSSNSAMTEHGEKNNDPTERPCSTQNPGPKRKGNASTSKGKKKKQQPKRK >ONH93434 pep chromosome:Prunus_persica_NCBIv2:G8:20634054:20638024:1 gene:PRUPE_8G232000 transcript:ONH93434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRHHHQSHFSAAGPTTPFGSTAMAPTASSEAKRGKRRGSYNCGRCGQPKKGHSCHLPAADEAFVADVPSPSDLSVPPLPPRKPYSHLRRALSFDDDVDSSGWGGGGGGDFDSPDPDPDEIDDLVVDSESGTGLCGLPASCLWDILKRLPPPELLSAAMVCKGWRETTRRLWKAAEMLRIRVPARAQVGLVGSVLQKCPALVNLSLRMESDVDATLLAWITFSCPNLEFLEITTSETAINRITGDELGRFVADRRLLKSLKMEGCSNLGGFALSSSSLSTIWFSGLHSLSKMVFNCPNLKEISVDFSSHENDNTDLVTMVDALGRNCPRLQNIHIASIQLSNAVVLALTAAQLRGLRMLSLVLGSDITDASVAAIASSYPNLELLDLSGSSITDSGIGMICNVFPDTLSRLLVALCPNISASFIQFATAQLPLLELLDCGMTICDPNSLDSTSEETTGFELAQTSNAKVHLSYQKLIIKHGRLKKLSLWGCSGLDALYLNCPEVKDLNLNSCKNLYPERLVLQCPNLENVHASGCQELLVGAIQSQVNNNFAGVDSLLPCKRLADGSKRVRVPHFLSAQSSDDDKKRRRVERPKCNVHVF >ONH93048 pep chromosome:Prunus_persica_NCBIv2:G8:19465803:19467948:-1 gene:PRUPE_8G209600 transcript:ONH93048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALVFMSTSSSTMRVLILIVAMGAVLELAPQAEAARAFFVFGDSLVDSGNNNYLVTTARADSPPYGIDFPTHRPTGRFSNGLNLPDIISEEIGSERVLPYLSPELTGQKLLIGANFASAGIGILNDTGIQFITVIRIFRQFQLFQQYQQRLTALVGAPQATKLVNGALYLMTLGGNDFVNNYFLAPISQRSSQYSVPEFSRYLITEFRKILLRLFELGARRVLVTGTGPLGCVPSELARSGNDECDPELQGAAAIFNPQLVQMLQELNTQLGSDVFVTANAFDMKEDFISDPQKFGFVTAKVACCGQGPYNGMGQCNPTSNLCPDRNLYAFWDAFHPTEKATRLIVKQIMTGSTKYMNPMNLSTIMALDSRT >ONH90189 pep chromosome:Prunus_persica_NCBIv2:G8:3955672:3960524:-1 gene:PRUPE_8G039500 transcript:ONH90189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQTPKLGHTTLLFLLCFIGIFTSHDVVAVQILSKSKLDKCEKNSASGNLNCTKKIVLNMAVPSGAGGGEASIVAEIVEVEENSSQKMQTFRMPPVLTFNKSAAYALYELTYIRDVAYKPEEYYVKTRKCEPDADAKVEKICERLRDENSHIIEHTEPICCPCGPQRRVPSSCGNVCKCILACLHFFKFYLHNASCHLENRTAISNDNFLPVNLIGDFVGYTNIPSFEDFYLVIPKQYHWHMSFELCISYGKSLVTAILYANGFAKENVIGQKFTTIFALCLDGCVGLRERFSLDGVECNKIGVSYEAFNGQPNLCSLPFWSCLHNQLWNFWEVYDAIQKKLVLDPDMTKVRQALSIPAKFREWRWLLSEHRKEVGGLPPAEDIERWKSRYLELSDLPVKREESSTESPDSGKACSSSARDEAVVSRSVDTSPQRRQPRSSLATNKSSTREVLPAQKAHMGVAPASSAGIKRLVSEQQEGQRHAGGSGDSAQVFARTA >ONH90190 pep chromosome:Prunus_persica_NCBIv2:G8:3955672:3960245:-1 gene:PRUPE_8G039500 transcript:ONH90190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRCSMKLQGGGEASIVAEIVEVEENSSQKMQTFRMPPVLTFNKSAAYALYELTYIRDVAYKPEEYYVKTRKCEPDADAKVEKICERLRDENSHIIEHTEPICCPCGPQRRVPSSCGNVCKCILACLHFFKFYLHNASCHLENRTAISNDNFLPVNLIGDFVGYTNIPSFEDFYLVIPKQYHWHMSFELCISYGKSLVTAILYANGFAKENVIGQKFTTIFALCLDGCVGLRERFSLDGVECNKIGVSYEAFNGQPNLCSLPFWSCLHNQLWNFWEVYDAIQKKLVLDPDMTKVRQALSIPAKFREWRWLLSEHRKEVGGLPPAEDIERWKSRYLELSDLPVKREESSTESPDSGKACSSSARDEAVVSRSVDTSPQRRQPRSSLATNKSSTREVLPAQKAHMGVAPASSAGIKRLVSEQQEGQRHAGGSGDSAQVFARTA >ONH92655 pep chromosome:Prunus_persica_NCBIv2:G8:18417627:18421892:1 gene:PRUPE_8G187300 transcript:ONH92655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQAKSPSLCHSYKIQCFLHNPVPAPLSLVGNGKAHKKPLKFSCRSNGNDDYYIDAPIEVGDGFSFSGGKYSDGPSPSDEWFKQGKIVKAYAAFGSGEKAKDPIFGLAMGADSQASTDIFRWFCVESGSADNPSVILIHGFPSQAYSYRKVLPMLSKDYHAIAFDWLGFGFSDKPQPKYGFDYTLNEFVSSLESFINEVAVKQVTLVVQLTAKHANLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEDDAMVYRNPYLTSGASGFALNAISRAMKKELKKYVEEMRTTLTDENWKIPTTVCWGKRDRWLSYDGVEEFCKDSKHKLIEIPMAGHHVQEDRGEELGEVIKGVMRRTRLNL >ONH92656 pep chromosome:Prunus_persica_NCBIv2:G8:18418111:18421892:1 gene:PRUPE_8G187300 transcript:ONH92656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMRLNYLQGLSIYMILFNRWFCVESGSADNPSVILIHGFPSQAYSYRKVLPMLSKDYHAIAFDWLGFGFSDKPQPKYGFDYTLNEFVSSLESFINEVAVKQVTLVVQGYFAPVAVKYASSHQEKIDNIILLNPPLTAKHANLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEDDAMVYRNPYLTSGASGFALNAISRAMKKELKKYVEEMRTTLTDENWKIPTTVCWGKRDRWLSYDGVEEFCKDSKHKLIEIPMAGHHVQEDRGEELGEVIKGVMRRTRLNL >ONH92654 pep chromosome:Prunus_persica_NCBIv2:G8:18417627:18421892:1 gene:PRUPE_8G187300 transcript:ONH92654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQAKSPSLCHSYKIQCFLHNPVPAPLSLVGNGKAHKKPLKFSCRSNGNDDYYIDAPIEVGDGFSFSGGKYSDGPSPSDEWFKQGKIVKAYAAFGSGEKAKDPIFGLAMGADSQASTDIFRWFCVESGSADNPSVILIHGFPSQAYSYRKVLPMLSKDYHAIAFDWLGFGFSDKPQPKYGFDYTLNEFVSSLESFINEVAVKQVTLVVQGYFAPVAVKYASSHQEKIDNIILLNPPLTAKHANLPSTLSIFSNFLLGEIFSQDPLRASDKALTSCGPYKMKEDDAMVYRNPYLTSGASGFALNAISRAMKKELKKYVEEMRTTLTDENWKIPTTVCWGKRDRWLSYDGVEEFCKDSKHKLIEIPMAGHHVQEDRGEELGEVIKGVMRRTRLNL >ONH90483 pep chromosome:Prunus_persica_NCBIv2:G8:6633780:6638321:1 gene:PRUPE_8G056700 transcript:ONH90483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQRAFTSATAVCPWKYEVFLSFRGEDTRRGFTDYLFKQLDWRGIRTFRDDPDLERGTDINPELLTAIEQSRFAIIVLSTNYASSSWCLRELTHIVQSEMERIFPIFYYVDPSDVRHQRGSFGAALVNHERNCGEDREEVLEWRNALKKVANLAGRNSKDYRYDTELITEIVDAVWDKVHPTFSLYDSSEILVGFDTKLKEIDLHLDTSANDVRFVGIWGMGGMGKTTLARLVYERISHSFEGSSFLANVREVYATHGLVPLQKQLLSNILKKVNIQVCDAYSGFTMIKRCLCNKKVLLILDDVDQSEQLEMLIREKDCFGLGSRIIITTRDERLLVEQGIEKIYKVLPLTQHEARHLFSKKAFQKDDLEEDYLELSKSFIKYAGGLPLALKTLGSFLYKRSQDEWKSALDKLKQAPDRKILKILKISYDGLEEMQKKIFLDVACFHKCDDKEEVIEILDSCGFVGARIGIHVLIEKSLLSLSDKCVSMHDLIQEMAWEIVRQESFDEPGGRSRLWLYRDILHVLTNNMGTEAIEGIVLRLHEFEAAHWNPEAFTKMCKLKLLKINNFRLSLGPKYLPNSLRFLEWSWYPSKCLPPSFQPVELAELRLRHSKIDHLWNGIKYMVKLKSIDLSYSENLTRTPDFTATQNLERLVFEGCTNLVKIHPSIASLKRLRVLNFKNCKSIKSLPSEVELESLETFDLSGCSKVKKIPEFVGEMKNFWKFSINFAGVEQMPSSIIPLIPNLKEIDMSGISMRDMSSALVPVKNIELPRSWHSFFSFGLFPRKNPDPVSLVLASLKDLRFLKRLNLEDCNLCEGAIPEDIGLLSSLEDLNLDGNHFVSLPASISGLSKLCRFTLKNCKRLQKLPSLPSNGGLRFRVNTQNCTSLKIFPDPQWMCSVTETWFTSFNCFSLVDHQGSSFIIYLTLKKFVQEIPRSLISFSIVIPGSEIPEWFNNQSVGDLLIETLPSDSNTKFVGFALCALFVPVHEISATAEEPMGHSIYFHCRYDLETNAGPIFIIPVDDDVASDHLWLILLSRQHFPLKSNWGPEIEIRFHFKVRSKPGKKTLVKGKKCGVRALYEQDAEELNRTMKQYSNRKNSNSSHIII >ONH90484 pep chromosome:Prunus_persica_NCBIv2:G8:6634106:6637589:1 gene:PRUPE_8G056700 transcript:ONH90484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQRAFTSATAVCPWKYEVFLSFRGEDTRRGFTDYLFKQLDWRGIRTFRDDPDLERGTDINPELLTAIEQSRFAIIVLSTNYASSSWCLRELTHIVQSEMERIFPIFYYVDPSDVRHQRGSFGAALVNHERNCGEDREEVLEWRNALKKVANLAGRNSKDYRYDTELITEIVDAVWDKVHPTFSLYDSSEILVGFDTKLKEIDLHLDTSANDVRFVGIWGMGGMGKTTLARLVYERISHSFEGSSFLANVREVYATHGLVPLQKQLLSNILKKVNIQVCDAYSGFTMIKRCLCNKKVLLILDDVDQSEQLEMLIREKDCFGLGSRIIITTRDERLLVEQGIEKIYKVLPLTQHEARHLFSKKAFQKDDLEEDYLELSKSFIKYAGGLPLALKTLGSFLYKRSQDEWKSALDKLKQAPDRKILKILKISYDGLEEMQKKIFLDVACFHKCDDKEEVIEILDSCGFVGARIGIHVLIEKSLLSLSDKCVSMHDLIQEMAWEIVRQESFDEPGGRSRLWLYRDILHVLTNNMGTEAIEGIVLRLHEFEAAHWNPEAFTKMCKLKLLKINNFRLSLGPKYLPNSLRFLEWSWYPSKCLPPSFQPVELAELRLRHSKIDHLWNGIKYMVKLKSIDLSYSENLTRTPDFTATQNLERLVFEGCTNLVKIHPSIASLKRLRVLNFKNCKSIKSLPSEVELESLETFDLSGCSKVKKIPEFVGEMKNFWKFSINFAGVEQMPSSIIPLIPNLKEIDMSGISMRDMSSALVPVKNIELPRSWHSFFSFGLFPRKNPDPVSLVLASLKDLRFLKRLNLEDCNLCEGAIPEDIGLLSSLEDLNLDGNHFVSLPASISGLSKLCRFTLKNCKRLQKLPSLPSNGGLRFRVNTQNCTSLKIFPDPQWMCSVTETWFTSFNCFSLVDHQGSSFIIYLTLKKFVQVLLLLPLSLLPSLVV >ONH90482 pep chromosome:Prunus_persica_NCBIv2:G8:6633597:6638321:1 gene:PRUPE_8G056700 transcript:ONH90482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQRAFTSATAVCPWKYEVFLSFRGEDTRRGFTDYLFKQLDWRGIRTFRDDPDLERGTDINPELLTAIEQSRFAIIVLSTNYASSSWCLRELTHIVQSEMERIFPIFYYVDPSDVRHQRGSFGAALVNHERNCGEDREEVLEWRNALKKVANLAGRNSKDYRYDTELITEIVDAVWDKVHPTFSLYDSSEILVGFDTKLKEIDLHLDTSANDVRFVGIWGMGGMGKTTLARLVYERISHSFEGSSFLANVREVYATHGLVPLQKQLLSNILKKVNIQVCDAYSGFTMIKRCLCNKKVLLILDDVDQSEQLEMLIREKDCFGLGSRIIITTRDERLLVEQGIEKIYKVLPLTQHEARHLFSKKAFQKDDLEEDYLELSKSFIKYAGGLPLALKTLGSFLYKRSQDEWKSALDKLKQAPDRKILKILKISYDGLEEMQKKIFLDVACFHKCDDKEEVIEILDSCGFVGARIGIHVLIEKSLLSLSDKCVSMHDLIQEMAWEIVRQESFDEPGGRSRLWLYRDILHVLTNNMGTEAIEGIVLRLHEFEAAHWNPEAFTKMCKLKLLKINNFRLSLGPKYLPNSLRFLEWSWYPSKCLPPSFQPVELAELRLRHSKIDHLWNGIKYMVKLKSIDLSYSENLTRTPDFTATQNLERLVFEGCTNLVKIHPSIASLKRLRVLNFKNCKSIKSLPSEVELESLETFDLSGCSKVKKIPEFVGEMKNFWKFSINFAGVEQMPSSIIPLIPNLKEIDMSGISMRDMSSALVPVKNIELPRSWHSFFSFGLFPRKNPDPVSLVLASLKDLRFLKRLNLEDCNLCEGAIPEDIGLLSSLEDLNLDGNHFVSLPASISGLSKLCRFTLKNCKRLQKLPSLPSNGGLRFRVNTQNCTSLKIFPDPQWMCSVTETWFTSFNCFSLVDHQGSSFIIYLTLKKFVQEIPRSLISFSIVIPGSEIPEWFNNQSVGDLLIETLPSDSNTKFVGFALCALFVPVHEISATAEEPMGHSIYFHCRYDLETNAGPIFIIPVDDDVASDHLWLILLSRQHFPLKSNWGPEIEIRFHFKVRSKPGKKTLVKGKKCGVRALYEQDAEELNRTMKQYSNRKNSNSSHIII >ONH90573 pep chromosome:Prunus_persica_NCBIv2:G8:8907651:8912888:1 gene:PRUPE_8G061800 transcript:ONH90573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKGKGNERWNGAISNLTEMSFNLESLQKLLLKKAVFVDEETFAKASLCSEQARTIKVLEQRVETLERELDAAITAAARVRSEKRQAEAAEKAAELHAQEVTKELENTSKVFELHMEELRAKQEEIAKRDKEIKLLEAIIQTLGGKESHSRKGIGYVQDTFTIQLTSF >ONH90574 pep chromosome:Prunus_persica_NCBIv2:G8:8907872:8912300:1 gene:PRUPE_8G061800 transcript:ONH90574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKGKGNERWNGAISNLTEMSFNLESLQKLLLKKAVFVDEETFAKASLCSEQARTIKVLEQRVETLERELDAAITAAARVRSEKRQAEAAEKAAELHAQEVTKELENTSKVFELHMEELRAKQEEIAKRDKEIKLLEAIIQTLGGKESHSRKG >ONH90916 pep chromosome:Prunus_persica_NCBIv2:G8:11635308:11638326:-1 gene:PRUPE_8G083100 transcript:ONH90916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALACCVDAAVAPPGYAFAGDSSLPAPPFSGVPPATTAVTTDSSHWSPSLSSDLYRIDAWGGPYFTVNSSGNVSVRPHGSATLPHQEIDLLKIVKKVSDPKPDCGLGLQLPLIVRLPDVLKNRLESLQGAFDLAIQSHDYGSHYQGVFPVKCNQDRFVVEDIVRFGSPFRFGLEAGSKPELLLAMSCLCKGNPEALLICNGFKDFEYISLALFARKLALNTVIVLEQEEELDVVIDLSKKLGVRPVIGARAKLKTKHSGHFGSTSGEKGKFGLTTTQILRVVKKLDQLGLLDCFQLLHFHIGSQIPSTALLADGVSEAAQIYCELVRLGAHMKFIDIGGGLGIDYDGSKSSDSEISVSYSLEEYAAAVVRAVLNVCDRKSVKHPVICSESGRALVSHHSVMIFEAISSSACDDVPPMSAFALQYFIEGLTEEARADYRNLSAAAIRGEYEACLTYADQLKQRCIDQFKEGSLGIEQLATVDGLCDMVSKAIGASDPVRTYHVNLSVFTSIPDFWGIGQTFPIVPIHRLDQRPAVRGILSDLTCDSDGKIDKFIGGESSLPLHELEGNGGASGGGQKYYLGMFLGGAYQEALGGVHNLFGGPSVVRVSQSDGPHSFAVTLAVPGPSCSDVLRVMQHEPELMFETLKHRAEEYGQGDDGGMASAAVATSLARSFHNMPYLVAASSCCLTAMNNHGLYYCSEDDYDVVADSAGGGGGEEDQWSYCCA >ONH92448 pep chromosome:Prunus_persica_NCBIv2:G8:17850620:17856250:1 gene:PRUPE_8G176400 transcript:ONH92448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSVPFSAPLQEKYDVFLSFRGEDTRDTFTSHLHKDLLRKNIDTYIDRRLERGDEIAPALLKAIERSKIALVIFSKDYASSAWCLKELVHILGCKKSHGQIVIPIFYRIDPSHVRKQQGTCALEDRPLKRSSRDEDEVANWRAALEEAANMSGFHYSSKTGRTEADFVEEVVQDVLTKLNRESSSDLRGLFGIQRKIDKIESLLCLDSPGVCCVGIWGMGGIGKTTLADAVFHRQWQSSKFEAACFLANVREKSEKTDGLNELRNTLVRELLKDKDVNINTPSVPPHIQDRLRRTKAFIVLDDVNAREHLEVLVGDDDRFCQGSRIMVTARDKGLLEQKIDLEKIYNVEGLGSDEALELFHSHAFGNKSPTTDYTELSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEVQWKKVKRVPIGEIQKVLRVSYDGLDDNEKEIFLDVACFHKGYLRKSVEKMLDGCDFFGEAGINDLIDRSLISISQDMVLKKAKEEYSGRIVEMQSVERIGMHDLVQEMGRAIAQKQGSRLFNADDVYKALTNNQRDGHVQAISFDLNMIGKPHLKDANFKKMYQLRWLRVSYPYLLSGSLHLPNSLRYLYWQGYPLESLPSKFSAQNLLVLDTPYSEFGVPLWNEDQSPVNLKRINLFWSKRLTEVPNLSRSLNIEHINLRGCESLVEIPSYFQHLGKLTYLRLGLCANLKNLPEMPCNLEFLDLSRTAIEELPSSVWSHEKISRLDIKYCKHLKSLPSNTCKLKLSSSFSLEGCVSLCEFWELPRGTTVLELSSTTIKELRNESIESVIGLTAINLNDCESLVSLPTNIWKLKSLESLDLIRCSKFQFFPEISEAMEHLEFLNLSGTMVKEVPKSIGNLVALRKLHMVECSIQEIPDDLFSLTSLQELDLSLTEIKSIPASIKQAAQLSHLCLNGCKSLESLPELPPLLQCLEAKDCVSLRTVSSSSTALAQGWEKYIFSQGLHEKHIFSDCRKLDENARSNIFGDAQLRIMRMATASSKFKEDKIEQPSYDSDDSYDDFEEGFLRKRSFVAIRCSGSEIPNWFSHKSEGCSIKIELPRDWFSTDFLGFALSLVVDFAPWGMQLLCKFNFKTSNGESHEVNHPLSSLHPKNFITRELFSCDEVFVWWHDNFFEEVVEGAQSPTAFYKLVTEVNVDFTVWTSPLKKCGICLLYGKDAEMIKQRAL >ONH91194 pep chromosome:Prunus_persica_NCBIv2:G8:12963124:12965982:1 gene:PRUPE_8G097800 transcript:ONH91194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSREHFLSRIWRISSHILIDILSVLPIPQAVILIFMDSSSLNTRKFLAFLALLQYVPRVLRIYLLCTKLNKPPAKETEIWILFQGAFSFFLYILASHVFGAFWYFLAIQRETVCWQNACRNNNGCQPTTFYCNGRSIRNITFPDDLCSMNPHNTNATPFDFGMFVEAVQSGVLESTNLPKKFFHCFWWGLRNLSSLGQNLETSNYTWENIFAIFISLSGLLLVVLYLPTNLKIRMELVTRTSAKMRNIQQQETKYREVLRWLSENNIPEDMKGTIMQKVDEQLEPNKDVHVENILHILPLEQKGFIKRHLCWPIMKEVPMLRVMDDEVLKEIFDNLKPVSYTEDNHIIVEGEPLVKMLFITQGILLTYTRNNDAGTTECLDKGKFFGDELLIWASTFSPFSALPISTRFVKPLTKVEAFALKANDLKIIASKFPEAFRNR >ONH91195 pep chromosome:Prunus_persica_NCBIv2:G8:12963343:12965982:1 gene:PRUPE_8G097800 transcript:ONH91195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFYVVNIVIQIYAELKVPTFRVMGRSYLRTIVLTKGTILVEDALAMANRIWRISSHILIDILSVLPIPQAVILIFMDSSSLNTRKFLAFLALLQYVPRVLRIYLLCTKLNKPPAKETEIWILFQGAFSFFLYILASHVFGAFWYFLAIQRETVCWQNACRNNNGCQPTTFYCNGRSIRNITFPDDLCSMNPHNTNATPFDFGMFVEAVQSGVLESTNLPKKFFHCFWWGLRNLSSLGQNLETSNYTWENIFAIFISLSGLLLVVLYLPTNLKIRMELVTRTSAKMRNIQQQETKYREVLRWLSENNIPEDMKGTIMQKVDEQLEPNKDVHVENILHILPLEQKGFIKRHLCWPIMKEVPMLRVMDDEVLKEIFDNLKPVSYTEDNHIIVEGEPLVKMLFITQGILLTYTRNNDAGTTECLDKGKFFGDELLIWASTFSPFSALPISTRFVKPLTKVEAFALKANDLKIIASKFPEAFRNR >ONH90165 pep chromosome:Prunus_persica_NCBIv2:G8:3766085:3772155:-1 gene:PRUPE_8G038400 transcript:ONH90165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVYEVWKGSNKFILGGRLIFGPDAKSLLVTLLLIIAPVVIFCVFVAWHLRHEFSSYNAGYAILVVAIVFTIYVLVLLFLTSARDPGIIPRNAHPPEEEFRYDPSVSIDVGGRQVAANAGGRQTPSLQFPRTKEVMVNGLPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQCNYRYFFLFVSSATLLCIYVFSLSALYIKILMDDYHGTVWKAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVYNRGCLNNFLEVFCTELKPSRNNFRAFVEEELQRPPPLPTAREIEPDELGGDPRSKVEDDLDIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHNTSEVDSALGSDHRAPTIRSDARHSSWGRRSGSWEIAPEVIGNTNVTEGRGHVTSKDVVQ >ONH90164 pep chromosome:Prunus_persica_NCBIv2:G8:3765727:3772269:-1 gene:PRUPE_8G038400 transcript:ONH90164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVYEVWKGSNKFILGGRLIFGPDAKSLLVTLLLIIAPVVIFCVFVAWHLRHEFSSYNAGYAILVVAIVFTIYVLVLLFLTSARDPGIIPRNAHPPEEEFRYDPSVSIDVGGRQVAANAGGRQTPSLQFPRTKEVMVNGLPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQCNYRYFFLFVSSATLLCIYVFSLSALYIKILMDDYHGTVWKAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVYNRGCLNNFLEVFCTELKPSRNNFRAFVEEELQRPPPLPTAREIEPDELGGDPRSKVEDDLDIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHNTSEVDSALGSDHRAPTIRSDARHSSWGRRSGSWEIAPEVIGNTNVTEGRGHVTSKDVVQ >ONH90163 pep chromosome:Prunus_persica_NCBIv2:G8:3765480:3772559:-1 gene:PRUPE_8G038400 transcript:ONH90163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVYEVWKGSNKFILGGRLIFGPDAKSLLVTLLLIIAPVVIFCVFVAWHLRHEFSSYNAGYAILVVAIVFTIYVLVLLFLTSARDPGIIPRNAHPPEEEFRYDPSVSIDVGGRQVAANAGGRQTPSLQFPRTKEVMVNGLPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQCNYRYFFLFVSSATLLCIYVFSLSALYIKILMDDYHGTVWKAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVYNRGCLNNFLEVFCTELKPSRNNFRAFVEEELQRPPPLPTAREIEPDELGGDPRSKVEDDLDIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHNTSEVDSALGSDHRAPTIRSDARHSSWGRRSGSWEIAPEVIGNTNVTEGWEGNAIDQKLAIMSRGLNVFPSGVKHI >ONH89690 pep chromosome:Prunus_persica_NCBIv2:G8:777315:778370:1 gene:PRUPE_8G009800 transcript:ONH89690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTLATHVSPPSVSAFLPSKSFRKAFMLTSSCLMSSISSRTPAMEDASFSRMVTILPIKKSVGKAILALYPNKLLTMVERI >ONH93839 pep chromosome:Prunus_persica_NCBIv2:G8:21837319:21840354:-1 gene:PRUPE_8G255800 transcript:ONH93839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNITGDVEEGSFSSGNNTGELEVHQENQQQNHLQGSGSGGPSGAQSNSNGSVTQQQHQQQQQPPLKKKRNLPGTPDPSAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTTTEVRKRVYICPEPTCVHHNPARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNHGLMTSQTQMPLPHDQLISSMAMNTNSSMGGGSDVLNYDISNKNSLNKSFPDDLVPMPFKSMNMNIMAGGGVGGPGMFSSTSGSLFGGPRSVSSASASSLQLSSNNNNNNSSSGFNYLHHQETKNGGSTQSNITGAAQMSATALLQKAAQMGATASNSTINSPMMQKSFVSGMAGPDQLSVNPISVNNNNNNNNNIRPPSSYDDHHHQFQQQQPPDHHQPHNSHNMVVGGFTNQLMQKGGPQEMSQLFDHHTNSAASSAMTDMGLFNQVFMGIGGDPHHHHEISAANNNSSPNSIHGIRINAMERGAMGPSTTLDLLGIGGSRPPNLHEHHQQQQRLDLEAMSQHRLPAIMNPFQQQRSHGDSSMEKQIWDV >ONH91451 pep chromosome:Prunus_persica_NCBIv2:G8:14283568:14295014:1 gene:PRUPE_8G115600 transcript:ONH91451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATFSSNFLRTGCLRKDGFNNPKDKKRNVGKVFVKCSVNTKTQKAEKEVRLGVLGASGYTGSEIVRLLANHPHFGITLMTADRKAGQSIGSVFPHLVSQNLPKMVAVKDADFSDVDAVFCCLPHGTTQEIIKGLPKSLKIVDLSADFRLRDISEYEEWYGHAHRAPELQKEAVYGLTEISREEVKSARLVANPGCYPTSVQIPLVPLIKANLVQPKNIIIDSKSGVSGAGRGAKEANLYTEIAEGIYSYGVTRHRHVPEIEQGLSDAANSKVTVSFTPHLMPMSRGMQSTIYVELAPGVEVKDLRQQLKLSFEDEDFVVLLDEGIVPRTHNTRGSNYCFINVFPDRIPGRAIIISVIDNLVKGASGQALQNLNVMMGFPESTGLLYQPLFP >ONH91645 pep chromosome:Prunus_persica_NCBIv2:G8:15132421:15135549:1 gene:PRUPE_8G127300 transcript:ONH91645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFAISTVVEKLTSCITEEALLLEGVGGKVEQLRNELRWMQSFLKDADTEQEKNERFRNWVSQIREVALDAEDVIATYIAEAASHSSWNIAAKLINLHQAGKKIREIKFTVQNISSQKAHFGITGTAREGREGTSASPNERLRWWRHTSPNIEEDDLVDLVEDTKALLTQLSSMDPHRRVVSIVGMGGLGKTTLAKKLYNHCDITKQFDCKAFVYVSNDYSRRDTLQGIIAATSPDCNMEDLKKLAEEALVLKLYELLKERRYLVVLDDIWQTEVWDSMQSAFPRGKKGSKVMLTTRNKEVALYADPMNEPIEPRFLTQEQSLLLLRKKAFPGMNEMPSDLENLGRQMMAKCGGLPLAVVVLGGLLSTKRKTVEEWRRVLQSIDSRLIDQDRLSAVLALSYNDLPFPLKSCFLYLGIFPEDSSILKTKLIQLWVAEGFLLQQGEEAAESVVECLNKLVDRCMIQVGTLTSLGSLKAVHMHDVLRDFSISKGREESFLEIYSGQKIESPTSQRTKCRRLAIHGDHHDLYVFLKPYASCLRSLQFFNIRYSKLDFVFKDFKLLKVLDGVPFPSRALSAVGNLIQLRYLGVVLRRSHMWQLQLKLPRSIGKLKNLQTLKVETSDPDFRWCYFPDVIWKLKNLRHLLLGQVIIMNFRLNTINNLTNLRRLQIALLSQPEHLKSVVSNIERLHCLESLSLDFNLSNSTLPRAISLSHLEHLRKLDLEGEIKKLPDPHQFPPNLIKLSLFNSHLEEDSIVKLGSLPNLKMLLLGLNSCNWTTLVCSSSEGFPQLHILHLQCLWFLEELIVEEGAMMKLKNLKISRCRGLRQIPERFKLLTTYS >ONH92782 pep chromosome:Prunus_persica_NCBIv2:G8:18779342:18781675:1 gene:PRUPE_8G195800 transcript:ONH92782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMASKLMHAVQYDSYGGGASGLKHAEVPVPTPKKGDILLKLEAASLNPVDWKIQKGMFRPLLPRKFPHIPVTDVAGEVVEVGQGVQKFKPGDKVVAFLTHTVSGLAEFAAASESLTVARPPEVSAADGAGLPVAGLTAHQALTQAAGVKLDGSGQQKNILITAASGGVGQYAVQLAKLGNTHVTATCSARNIEFVKSLGADEVLDYKTPEGAALNSPSGRKYDAVVHCATGIPWSTFEPNLSANGKVIDLTPSPSAFATFALKKLTFSKKQLVPLFMSAKSENLDYLVKLVKEGKLKTVIDSKHPLSKAEDGWAKSIDGHATGKIIVEP >ONH92783 pep chromosome:Prunus_persica_NCBIv2:G8:18779315:18781679:1 gene:PRUPE_8G195800 transcript:ONH92783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMASKLMHAVQYDSYGGGASGLKHAEVPVPTPKKGDILLKLEAASLNPVDWKIQKGMFRPLLPRKFPHIPVTDVAGEVVEVGQGVQKFKPGDKVVAFLTHTNGGGLAEFAAASESLTVARPPEVSAADGAGLPVAGLTAHQALTQAAGVKLDGSGQQKNILITAASGGVGQYAVQLAKLGNTHVTATCSARNIEFVKSLGADEVLDYKTPEGAALNSPSGRKYDAVVHCATGIPWSTFEPNLSANGKVIDLTPSPSAFATFALKKLTFSKKQLVPLFMSAKSENLDYLVKLVKEGKLKTVIDSKHPLSKAEDGWAKSIDGHATGKIIVEP >ONH91909 pep chromosome:Prunus_persica_NCBIv2:G8:16013314:16016040:1 gene:PRUPE_8G143100 transcript:ONH91909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLLRNVEADGWERSDFPIICESCLGDNPYVRMTRADYDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALAIGSNDAIPKSDVNREFFAEEHDRKARAGIDYESSYGKARPSDTILKLQRTTPYYKRNRAHVCSFYIRGECTRGAECPYRHEMPITGELSQQNIKDRYYGVNDPVAMKLLNKAGEMPSLEPPEDESIRTLYVGGLDERISEQDLRDQFYAHGEIESVRMVLQRACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKQDSEGTAEARQQAVAHSGLLPRAVISQQQNQLQDQPAPVHYYNMPPPPSQERSFYPSMDPQRMGAIVPSQEGAPSGPTGSGENNSGAERQQRSQHYAFQNMPQPHGQYRQQFYPPPYGYMPPPTVPYQQYPPQYHAGVLPPPSLPMNQQYQHSATPRPAHSGSTSSGSAPSSSGPSESTASGSGSAQTGSSQQ >ONH91910 pep chromosome:Prunus_persica_NCBIv2:G8:16013314:16016130:1 gene:PRUPE_8G143100 transcript:ONH91910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRADYDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALAIGSNDAIPKSDVNREFFAEEHDRKARAGIDYESSYGKARPSDTILKLQRTTPYYKRNRAHVCSFYIRGECTRGAECPYRHEMPITGELSQQNIKDRYYGVNDPVAMKLLNKAGEMPSLEPPEDESIRTLYVGGLDERISEQDLRDQFYAHGEIESVRMVLQRACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKQDSEGTAEARQQAVAHSGLLPRAVISQQQNQLQDQPAPVHYYNMPPPPSQERSFYPSMDPQRMGAIVPSQEGAPSGPTGSGENNSGAERQQRSQHYAFQNMPQPHGQYRQQFYPPPYGYMPPPTVPYQQYPPQYHAGVLPPPSLPMNQQYQHSATPRPAHSGSTSSGSAPSSSGPSESTASGSGSAQTGSSQQ >ONH91908 pep chromosome:Prunus_persica_NCBIv2:G8:16013314:16016040:1 gene:PRUPE_8G143100 transcript:ONH91908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLLRNVEADGWERSDFPIICESCLGDNPYVRMTRADYDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALAIGSNDAIPKSDVNREFFAEEHDRKARAGIDYESSYGKARPSDTILKLQRTTPYYKRNRAHVCSFYIRGECTRGAECPYRHEMPITGELSQQNIKDRYYGVNDPVAMKLLNKAGEMPSLEPPEDESIRTLYVGGLDERISEQDLRDQFYAHGEIESVRMVLQRACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKQDSEGTAEARQQAVAHSGLLPRAVISQQQNQLQDQPAPVHYYNMPPPPSQERSFYPSMDPQRMGAIVPSQEGAPSGPTGSGENNSGAERQQRSQHYAFQNMPQPHGQYRQQFYPPPYGYMPPPTVPYQQYPPQYHAGVLPPPSLPMNQQYQHSATPRPAHSGSTSSGSAPSSSGPSESTASGSGSAQTGSSQQ >ONH92852 pep chromosome:Prunus_persica_NCBIv2:G8:18959029:18962574:1 gene:PRUPE_8G200100 transcript:ONH92852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQSDGRQGNGRNLKLPVPGKRNILITSALPYVNNIPHLGTIIGCVLSADVFARYCRLRGYNVVYICGTDEYGTATETKAREENCTPQQICDKYYAIHKEVYDWFDICFDEFGRTSTPQQTEVCQSIFKKLMENGWLTENTMQQLFCETCNRFLADRLVEGSCPMLDCNYDSARGDQCDKCGKLLNPTELKDPRCKVCQARPCIRDTDHLFLELPLLKGKLEEYISDMSVAGSWSQNAIQTTYAWLKEGLKSRCITRDLKWGVPVPHEKFKDKVFYVWFDAPIGYISITSCYTPDWEKWWKNPEDVELYQFMGKDNVPFHTVMFPSTLIGTGEKWTLMKSISVTEYLMYEAGKFSKSKGTGIFGNDVQDTNIPVEVWRYYLLTNRPEVSDTLFTWTDLQAKLNTELLNNLGNFINRVLSFIAKPQGLGYGSIIPDASGGESHSLTKRFAERIGICVDQYIEAMEKVKLKQALKIAMSISSEGNAYLQESQFWKLYKQDQAACSLVVKTSAGLVYLLACLLEPFMPSFSLKVLKELNLPPENQFSLRNENGDLEKAKKPWEILPAGHKIGTPDPLFKELKDEEVEAFRKKFSGSQAERVAKGEAEARKLAEQLEQTKV >ONH92851 pep chromosome:Prunus_persica_NCBIv2:G8:18958896:18962574:1 gene:PRUPE_8G200100 transcript:ONH92851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQSDGRQGNGRNLKLPVPGKRNILITSALPYVNNIPHLGTIIGCVLSADVFARYCRLRGYNVVYICGTDEYGTATETKAREENCTPQQICDKYYAIHKEVYDWFDICFDEFGRTSTPQQTEVCQSIFKKLMENGWLTENTMQQLFCETCNRFLADRLVEGSCPMLDCNYDSARGDQCDKCGKLLNPTELKDPRCKVCQARPCIRDTDHLFLELPLLKGKLEEYISDMSVAGSWSQNAIQTTYAWLKEGLKSRCITRDLKWGVPVPHEKFKDKVFYVWFDAPIGYISITSCYTPDWEKWWKNPEDVELYQFMGKDNVPFHTVMFPSTLIGTGEKWTLMKSISVTEYLMYEAGKFSKSKGTGIFGNDVQDTNIPVEVWRYYLLTNRPEVSDTLFTWTDLQAKLNTELLNNLGNFINRVLSFIAKPQGLGYGSIIPDASGGESHSLTKRFAERIGICVDQYIEAMEKVKLKQALKIAMSISSEGNAYLQESQFWKLYKQDQAACSLVVKTSAGLVYLLACLLEPFMPSFSLKVLKELNLPPENQFSLRNENGDLEKAKKPWEILPAGHKIGTPDPLFKELKDEEVEAFRKKFSGSQAERVAKGEAEARKLAEQLEQTKV >ONH92688 pep chromosome:Prunus_persica_NCBIv2:G8:18501010:18504592:-1 gene:PRUPE_8G189500 transcript:ONH92688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSETQKTPKRNLSLTFKSEKPHINPKLRVVDDDSLSTKTPEKPQHFHHKSLRESTQKQPTVQIDFARRKIESWPDENPNKTHVGASEKIPEKYEILGEFFDCLDASIRLLRLRGLMTSFSNICPKIKCLTDRRFTYSHLAQLKFIFPEAIEIKKVLVKNEKTNCLKPDLHVTLNVDAVENDELKSEGGGHMHLRRAFRERLADISKFHPEGYEIPKETLPQPFNCAKQDIHPDTIKFPLSLSPEVLTDLHTVEKPDETHQMPSNQSKEGVNSNISGTPGPLLPIETSFEAPIEQQPAMTAHLSRSFRRHFSKPSFQARNVSVPESNLSIVYSVEEASTAASSYGKVLATPTEEIGPIENDNGLSTKGTSIQSTPASTAASSYGQVPTTPTKEIGLIENDNGLPTKSACIQSTPAKLASTPARLMTVTPALHPPKRCYMSPDDNSTSSPEKLVRRPPRSRSLKFDTPVKNKKVEDEALDMDGASFDNGFSDILPEDLLQSLEDEERKEIEEQNPDVSQANRLRQMISSLPNLFNTIHLLFQSMNSSAITKEELVHKIIWTNCDFVDRKEVEEQLTLLLKLVPEWISEKKLAPGGDLLLIYINKMSNPDSIRARLEEAI >ONH91146 pep chromosome:Prunus_persica_NCBIv2:G8:12801744:12803388:-1 gene:PRUPE_8G095900 transcript:ONH91146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFFSVYKFILHGIMKLVGVRPQTVEIEPGTIMNFWVSSNIPNKIANTPKKSKPAVVFLHGFTMDGIFTWQLQVLSLAKNYTVYVPDFLFFGGSITDKSDRSPEFQAECVAKGLRSMGVERCTLVGFSYGGMVGFKMAELYPNLVESIVVTGSVLALTRSISDASLERLGFKCWPDYLLPNSVKDVRNMLEIATYKFPYLPDWIYKDCFEVMFGNRKEREELLGALVVDDKVSTIADFPQRVHLLWGENDKIFNMETARNLRMYVILPCSKTLTLTSILNNNWLK >ONH91142 pep chromosome:Prunus_persica_NCBIv2:G8:12800430:12803388:-1 gene:PRUPE_8G095900 transcript:ONH91142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFFSVYKFILHGIMKLVGVRPQTVEIEPGTIMNFWVSSNIPNKIANTPKKSKPAVVFLHGFTMDGIFTWQLQVLSLAKNYTVYVPDFLFFGGSITDKSDRSPEFQAECVAKGLRSMGVERCTLVGFSYGGMVGFKMAELYPNLVESIVVTGSVLALTRSISDASLERLGFKCWPDYLLPNSVKDVRNMLEIATYKFPYLPDWIYKDCFEVMFGNRKEREELLGALVVDDKVSTIADFPQRVHLLWGENDKIFNMETARNLRMEEGFVELW >ONH91143 pep chromosome:Prunus_persica_NCBIv2:G8:12800430:12803388:-1 gene:PRUPE_8G095900 transcript:ONH91143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFFSVYKFILHGIMKLVGVRPQTVEIEPGTIMNFWVSSNIPNKIANTPKKSKPAVVFLHGFTMDGIFTWQLQVLSLAKNYTVYVPDFLFFGGSITDKSDRSPEFQAECVAKGLRSMGVERCTLVGFSYGGMVGFKMAELYPNLVESIVVTGSVLALTRSISDASLERLGFKCWPDYLLPNSVKDVRNMLEIATYKFPYLPDWIYKDCFEVMFGNRKEREELLGALVVDDKVSTIADFPQAIGRQRKTAVYRGGRPPFAYRKTLRFQQAAQGNSCFFFGRCAPRLKRPFMFLLFKN >ONH91147 pep chromosome:Prunus_persica_NCBIv2:G8:12801868:12803218:-1 gene:PRUPE_8G095900 transcript:ONH91147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFFSVYKFILHGIMKLVGVRPQTVEIEPGTIMNFWVSSNIPNKIANTPKKSKPAVVFLHGFTMDGIFTWQLQVLSLAKNYTVYVPDFLFFGGSITDKSDRSPEFQAECVAKGLRSMGVERCTLVGFSYGGMVGFKMAELYPNLVESIVVTGSVLALTRSISDASLERLGFKCWPDYLLPNSVKDVRNMLEIATYKFPYLPDWIYKDCFEVMFGNRKEREELLGALVVDDKVSTIADFPQSASFVGRE >ONH91144 pep chromosome:Prunus_persica_NCBIv2:G8:12801517:12803218:-1 gene:PRUPE_8G095900 transcript:ONH91144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFFSVYKFILHGIMKLVGVRPQTVEIEPGTIMNFWVSSNIPNKIANTPKKSKPAVVFLHGFTMDGIFTWQLQVLSLAKNYTVYVPDFLFFGGSITDKSDRSPEFQAECVAKGLRSMGVERCTLVGFSYGGMVGFKMAELYPNLVESIVVTGSVLALTRSISDASLERLGFKCWPDYLLPNSVKDVRNMLEIATYKFPYLPDWIYKDCFEVMFGNRKEREELLGALVVDDKVSTIADFPQRVHLLWGENDKIFNMETARNLRIYLQAIGRQRKTAVYRGGRPPFAYRKTLRFQQAAQGNSCFFFGRCAPRLKRPFMFLLFKN >ONH91145 pep chromosome:Prunus_persica_NCBIv2:G8:12801552:12803218:-1 gene:PRUPE_8G095900 transcript:ONH91145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFFSVYKFILHGIMKLVGVRPQTVEIEPGTIMNFWVSSNIPNKIANTPKKSKPAVVFLHGFTMDGIFTWQLQVLSLAKNYTVYVPDFLFFGGSITDKSDRSPEFQAECVAKGLRSMGVERCTLVGFSYGGMVGFKMAELYPNLVESIVVTGSVLALTRSISDASLERLGFKCWPDYLLPNSVKDVRNMLEIATYKFPYLPDWIYKDCFEVMFGNRKEREELLGALVVDDKVSTIADFPQRVHLLWGENDKIFNMETARNLRMQLGGSAKLQSIEEAGHLLHIEKPFVFNKQLKEILASFSEDVHQD >ONH89632 pep chromosome:Prunus_persica_NCBIv2:G8:460823:464177:1 gene:PRUPE_8G005700 transcript:ONH89632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPCACARRISHRAFSTINLPTPSGLNLQTNNLLGEVRDLSTRGQIKEALSLFYTLQPPPHCNQTYATLFHACARHLCIHEGLSLHHYMVAQKPINSPDLFVTNHLINMYAKFGYLEYANQLFDEMPRRNIVSWTALISGYAQRGETENCFRLFAGMLVHYQPNEFAFASVLSSCAESDVGYGRQVHALALKMSLDACVYVANALITMYSKICNHGGVYDVSKDEAWNVFKSMEFRNLISWNSMIAGFQYRGLGAQAIHLFIQMYLDGNGFDRATLLSVLSSMCRSNDLDENGVTKFCFQLHCLTIKTGFTLKIEVATALVKAYSDLGGDIADCYRLFSETSCHRDIVAWTGIITTFSERDPEEALFLFRQLCQENLLPDRYTFSIVLKAYASLATERHALAVHSQVIKAGFEGDTVLANALIHAYARCGSIALSKQVFDGIEFYDVVSWNTMLKAYALCGQATEALQLFSRMDVKPDSATFVSLLCACSHAGLVEEGTRIFDSMLERYSIVPQLDHYACMVDILGRAGMIVEAEELVSRMPMDPDSVVWSALLGSCRKHGKTQLAKLAANRLKELAPEDSLGYVQMSNMYCSDGNFGEAGLVRKEMKGSRVKKEPGLSWIEIGNRVHEFSSGGRHHPERKVICSKLEELIVRLKEMGYVPDTSLSVHDVEEEHKEEQLYHHSEKLALVFAIINEGSSNCSRTAIKIMKNIRICVDCHNFMKLASNLLHKEIFVRDSNRFHHFHDGICSCNDYW >ONH91931 pep chromosome:Prunus_persica_NCBIv2:G8:16080678:16084728:-1 gene:PRUPE_8G144400 transcript:ONH91931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRDKARPSSSRKRSQKSNDILLRRWAGRKPVLAAGDDEGYEEVPRPSQPKRLCHYLEVLYEKRGSNREEALASIIEVLTCRLENDYLEENFASFLYRGLNSLKIGSSKEKQLSLHVIGLLAIIICCEDKLSEVYRVLLPVLSESLKSGTTTLKMLNCLAIVGFFGSTNSEETEGAMQIIWKFIHPESVNDVNTKKHSPEVLVAAIYSWLFLLTSMEGWRLSHNSWNGAVCYFSNLLEHGDKLVRVAACEALALIFETGNLDKFWKEAKDHGSYSHMQQSLRENVLKKLKCLYVDTRSENIPRSENITKKVCEVVNYFESFQCLGTSLTINGKDLKLSSWYQMIQLQFLKNFLNDGFKIHMKGNEKLQHLFEFNPRRIKNLGPELYVSTTDKITVRFFLPEERNAETLTKENKKKERVWRNSLLEKARTQLMSKHRRMSEEMNCCDYD >ONH92811 pep chromosome:Prunus_persica_NCBIv2:G8:18849474:18851835:1 gene:PRUPE_8G197200 transcript:ONH92811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRRRCTRRGSKSRILLPRNMRCLKQSTRARIHKARLKLGLKLRLTKGGLALTRTSVLRMYMRSTTSVVWKSSISMKRFMSDEQRRTSLAM >ONH91279 pep chromosome:Prunus_persica_NCBIv2:G8:13433317:13435820:-1 gene:PRUPE_8G103300 transcript:ONH91279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHEIDDIERAMRLSLLDLCGKGKNKNENEPSYDEDRDLAEAIKASLRSPGASSSSRQKQRVCDVCDAIIKSTHPPDQIPVCSEIWNQKVCPAHLQDGTPECCSCRRLKTKYVTYLNLGDGRLICDECYSTAIFTTQQCEPLVDICLEFFNNGLQIQIGKHDFHVSMVDEFEMARLSGRGGAGLQTLIDHPPHPDSLVFGKTMSYGPPLISVRNVITTFYF >ONH93342 pep chromosome:Prunus_persica_NCBIv2:G8:20376706:20377659:1 gene:PRUPE_8G227300 transcript:ONH93342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGSPCGACKFLRRKCIRGCIFAPYFCHEQGATHFAAIHKVFGASNVSKLLAQLPVSDRCRAALTISYEAQARVQDPIYGCVSHIFALQQQVISLQAQLASVKEQAAKGFSNANPIEKYNMNVQSWPQSESSNILMPQSMNLNNINDSMLPFYSENKNSNSFEGDRNFMIPEVLNDMYGSYGDGEASNSMSSLDMQMSNMQWGFDQDQD >ONH93948 pep chromosome:Prunus_persica_NCBIv2:G8:22105366:22110624:-1 gene:PRUPE_8G262300 transcript:ONH93948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKRKSRGEAKGKRGKPSKKQKKGTDTRERKGPRLPNALRKELERLNPVDEGFGSDEDQEEVYGRDLYEYEEELPEEESKKNRRYDPVENLEYQMPEEFEDENVSSDDDNDTRNAGEDGVEDEDEKDDDGRQRTTRITSEAFEGKKKKKNNVVISEAYPESEYNPTRDMLEGEGPVSIGDLLDPLHGVSGYSKLRKRIHHLEKKSVPTPAPLPKADQEKLERKAAYEKSKEELQKWEPIIKRNREAPTIYFDDDMDLGFSTVGAIASEFEPRTEFEKKIASLVYDDQVMEAHQKDGSRLLELNKVSAEDEKDRHNRMAKMRSLLFRHEMKSKHIKKIKSKTYHRLLKKDRLKASSTQSQMDPEAAKELAMKQEYERAKERMTLRHKGSSKWTKRIKERGIDVQDEGTRTAIAEQQHLHALLTRKMNSMKDGSSSSSDDSSDEDDVDVYSAGSDQARASKLLEKAKEKTLNLLDEDDEVPKSGVLSLPFMVRGLKKRNEAAAEEAKLALQEYESWSNQLEDSNGADNAKVAPPSGRMVFNASKKEAPESSNKTQSDNKIRSDNYYGNSDSEDDFEPKENVDIREDRSSDLQNNGGINPVLLHKEFKNHKDSLFKNFDDIVQDPGPKTTHEVSIFASGTWKKMKGGNNADANANKPTRPLESVVLNQNLQEPVKDLDEDSDADSEGQMVDGILTSDPKVESSYELPSQAEIIRQAFAADDVEDDFEKEKQEVLNKENPEPEKPVLLPGWGQWTHVQKKKGLPSWMLQEHESAKRMREETLKKRKDAHLKRVIISEKLDKKAEKLYTKSLPYPFTSKEVYEQSIRMPLGPEFNPATAVGALNRPEVMKKPGVIIKPIEFEEVNPYERIEEQTQSGKKHKKRNKSKSNSSKNGTKVKTKLKN >ONH93949 pep chromosome:Prunus_persica_NCBIv2:G8:22105366:22110625:-1 gene:PRUPE_8G262300 transcript:ONH93949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKRKSRGEAKGKRGKPSKKQKKGTDTRERKGPRLPNALRKELERLNPVDEGFGSDEDQEEVYGRDLYEYEEELPEEESKKNRRYDPVENLEYQMPEEFEDENVSSDDDNDTRNAGEDGVEDEDEKDDDGRQRTTRITSEAFEGKKKKKNNVVISEAYPESEYNPTRDMLEGEGPVSIGDLLDPLHGVSGYSKLRKRIHHLEKKSVPTPAPLPKADQEKLERKAAYEKSKEELQKWEPIIKRNREAPTIYFDDDMDLGFSTVGAIASEFEPRTEFEKKIASLVYDDQVMEAHQKDGSRLLELNKVSAEDEKDRHNRMAKMRSLLFRHEMKSKHIKKIKSKTYHRLLKKDRLKASSTQSQMDPEAAKELAMKQEYERAKERMTLRHKGSSKWTKRIKERGIDVQDEGTRTAIAEQQHLHALLTRKMNSMKDGSSSSSDDSSDEDDVDVYSAGSDQARASKLLEKAKEKTLNLLDEDDEVPKSGVLSLPFMVRGLKKRNEAAAEEAKLALQEYESWSNQLEDSNGADNAKVAPPSGRMVFNASKKEAPESSNKTQSDNKIRSDNYYGNSDSEDDFEPKENVDIREDRSSDLQNNGGINPVLLHKEFKNHKDSLFKNFDDIVQDPGPKTTHEVSIFASGTWKKMPMRISLLGPWNQLCSIKICKNL >ONH92204 pep chromosome:Prunus_persica_NCBIv2:G8:17007629:17009278:1 gene:PRUPE_8G162500 transcript:ONH92204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDQQDKMINYDSPPIIQSNGRPTKIKLAPIYSQKKAEEEEEEEDRIRSASSFFILEMGSHVSKQVERRKAISTEKKTLCALHQNCGEEFPGCDYKPSDRKNWMAGINPEKVHINKIVWPGTHDSATNKIGFPAITRPFAQCQSLSIYQQLVKGTRVLDIRIQEDRRVCHGILLTYSIDVVINDVKKFLSETQSEIIILEIRTEFGHEDPPDFDKYLVDQLGEFLIHQDEHVFNKTIAELLPRRVICVWKPRKSPQAKAGSPLWNAGHLKDNWIDTDLPSTKFESNLKYLSEQPPVSTRKFFYRVENTVTPQADNPVLCVKPVTGRIHGFARLFITQCFSKGVADRLQIISTDFIDEDFVDACVAATYARIEGKA >ONH93752 pep chromosome:Prunus_persica_NCBIv2:G8:21568447:21571583:1 gene:PRUPE_8G250500 transcript:ONH93752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESFLTNLYLLDLHLQAPLLIPSNWPRNIKRKSFSDAKAPGNAVIHACQVMT >ONH90039 pep chromosome:Prunus_persica_NCBIv2:G8:2989015:2990664:1 gene:PRUPE_8G031700 transcript:ONH90039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQTEDDGYEDDDDQEAEFEMYRVQGTLREWVTRDEVQRFIAKKFKEFLLTFVNDIEYVRLINEMVLANKCSLEIDYKQFIGTHLNIAIWLADASQSVLEVMEDVAKNVVFSLHPNYKRIHQKIYVRITNLPVYDQISNIQYCGDFFFFATIFPTFFL >ONH90807 pep chromosome:Prunus_persica_NCBIv2:G8:11006817:11009673:1 gene:PRUPE_8G075600 transcript:ONH90807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSLFFFLQLLNMDAVAAKTARESLDLAFQMSNILDTGLDRHTLSILIALCDLGLNPEALAAVVKELRTELVPLPPSDAAHAIP >ONH90809 pep chromosome:Prunus_persica_NCBIv2:G8:11006579:11009673:1 gene:PRUPE_8G075600 transcript:ONH90809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVAAKTARESLDLAFQMSNILDTGLDRHTLSILIALCDLGLNPEALAAVVKELRTELVPLPPSDAAHAIP >ONH90808 pep chromosome:Prunus_persica_NCBIv2:G8:11006579:11009673:1 gene:PRUPE_8G075600 transcript:ONH90808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVAAKTARESLDLAFQMSNILDTGLDRHTLSILIALCDLGLNPEALAAVVKELRTELVPLPPSDAAHAIP >ONH91652 pep chromosome:Prunus_persica_NCBIv2:G8:15160081:15161584:1 gene:PRUPE_8G127600 transcript:ONH91652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSLEPLDLGVQIPYHFRCPISLELMRDPVTVSTGQTYDRPSIESWVATGNTTCPVTRAPLSDFTLIPNHTLRRLIQDWCVANRSFGVERIPTPKQPADPTHIRHLLTQSASNSNPYPTRLSALRRLRGLARDLDKNRSTISSQNSREILVQLVFADTGSESSELTHEALALLVLFPLPESDCAAVASDPDRVAYLAQLVQHSSMEVRVNSAALIENVLAGSRTAELRAEISNVDEIHQGVVSILRNPIAYPRALKIGIKALFALCLVKQTRNKAVSAGAPETLINTLADFEKCDSERALATIELLCRVPDGCTAFAAHALTVPLLVKTILKISDRATEYAAGALLSLCSASEKSQNDAVAAGVLTQLLLLVQSDCTDRAKRKAQLLLKLLRDSWPEDSVGNSDDFVCSELVVPF >ONH93812 pep chromosome:Prunus_persica_NCBIv2:G8:21741691:21742703:-1 gene:PRUPE_8G254300 transcript:ONH93812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVRELASEKAAVIFTKSSCCICHSVKSLFYELGASPAIHELDRYANGRDMEWALRQLGCNPALPAVFIGGKYVGSSKDIISYHVDGSLKQMLKDAKAIWF >ONH89996 pep chromosome:Prunus_persica_NCBIv2:G8:2613603:2616784:-1 gene:PRUPE_8G028800 transcript:ONH89996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVDVPVPDSSSTMLAAGSSSGEGVVGVVREGSHETTAVLSNSVQEDKDGRVDDLRDRNLYGGNRWPRQETLALLKIRSQMDAAFRDSSLKAPLWEDVSRKLGEHGYYRSAKKCKEKFENVYKYHRRTKEGRSGKQEGKTYRFFDELEAFDQQNNHPSVPPKPQVLLWPNNHHHPNNPTVVSHVITSVVPLSSTPNMMATTNGSHLNGSHISPPPINSLPPQVTKPVNLYHQNLNGFKPSLATSNLFSSSTSSTASDEEFQVQQSGKKKRKWKYFFRRLTKEVLEKQEKLQEKFLEAIAKSEHQRTVREEAWRMQEMARLDKEHQILAQERSSAAAKDAAVIEFLQKVSGQQNVTNNIQAIEVNRTIPSRPQPLPVLMPPPPPAPVAVITTSFEVPRLDKGDNSTIPPGSTRWPRVEVEALINLRTCLDVRYQEAGPKGSLWEEISAGMRRLGYNRSAKRCKEKWENINKYFKKVKESSKTRPEDSKTCPYFNQLEDLYRKKNMNNSSHVGYVEKPLPQAILQPLIKVQPDQPVFEAIERENGDKNEEDEDGESTEEEDHLDRSNDDDQDEQMGIVTNYKESSMEMVES >ONH89997 pep chromosome:Prunus_persica_NCBIv2:G8:2613603:2616785:-1 gene:PRUPE_8G028800 transcript:ONH89997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEHGYYRSAKKCKEKFENVYKYHRRTKEGRSGKQEGKTYRFFDELEAFDQQNNHPSVPPKPQVLLWPNNHHHPNNPTVVSHVITSVVPLSSTPNMMATTNGSHLNGSHISPPPINSLPPQVTKPVNLYHQNLNGFKPSLATSNLFSSSTSSTASDEEFQVQQSGKKKRKWKYFFRRLTKEVLEKQEKLQEKFLEAIAKSEHQRTVREEAWRMQEMARLDKEHQILAQERSSAAAKDAAVIEFLQKVSGQQNVTNNIQAIEVNRTIPSRPQPLPVLMPPPPPAPVAVITTSFEVPRLDKGDNSTIPPGSTRWPRVEVEALINLRTCLDVRYQEAGPKGSLWEEISAGMRRLGYNRSAKRCKEKWENINKYFKKVKESSKTRPEDSKTCPYFNQLEDLYRKKNMNNSSHVGYVEKPLPQAILQPLIKVQPDQPVFEAIERENGDKNEEDEDGESTEEEDHLDRSNDDDQDEQMGIVTNYKESSMEMVES >ONH89768 pep chromosome:Prunus_persica_NCBIv2:G8:1317765:1323160:1 gene:PRUPE_8G015200 transcript:ONH89768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHYRPPGKKKEGNAARYITRSQAVKQLQVSLPLFRKLCILKGIFPREPKKKTKGNHHTYYHLKDVSFIQHEPLLERLREIRAYQHKIKKAEAKKNRDRATLLTQRRPSYKLDKIVLQRYPKFLDALRDLDDCLTMVHLFAALPAIEGRIEVKRIHNCRRLAHEWQAYISRTHRLRKVFVSVKGIYYQAEVKGQEVTWLAPHPLQQVLTDDIDFNIMLNFLEFYEALLAFANCHLYHSINVRYPPILDPRLEAVAADLYALSRYFDANSQSSAWDSQTPSLSGSGKVESQQIGPSIDESELRLAQLQHQLPSNEPGVLMHLVGDVPGEDKEDNDTRECTKLFKDMKFFLNREVYRESLLFIIPAFGGIVSWQGDGAPFEEDDASITHQIIDRPRHDGKICGRQYVQPQWVYDCVNARIILPTGDYLVGRDLPPHLSPFDDNDYFEITERGKAAGINEVLPLPGMEREDLEDPLKLLAEGVINRAEAEAAKEKRKKMKAHEKQYHHELIMEIQGVTHSSSISNIDNLSADREEPHHDYEQVDEDNRIMSELEWSRSFKGRIKAMRISRQRKKQCADVIRQRRKILEEHEV >ONH90150 pep chromosome:Prunus_persica_NCBIv2:G8:3477781:3482041:1 gene:PRUPE_8G037400 transcript:ONH90150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGTWISGLMEIKERYGFIFIRRDRSRKQTLQYQTTDDTDLSSAVNNICKCLTQLTKVEGIHIHSLRKELEDMHKYLKETKATDYASNLRNACLVKLKEIAANIECYSNIVMKDSKSKLPSEVKQIKEVVKLLHRCIKVYSIEVRADSCSVVGLEEDINQLVSQLTGEASVISIVGMKGIGKTTLAKKVFYDRRITKHFDVQGWVSIPHEPEGVVLFTSIRNPLTKKEREEPEEREKQDEQEQPEEPEELEEPEEREKREERVKREEPEELEKRVEPTGRDCWIQKVLDFLNTKEKRYLLVLDNVSSMEEWNSLTRNSLTAALQNLNRSRVVVTTTSRAVASHFSPKNSLHQLRLRTKEESWEIFTQRVHYRPGKMESHAKEVVGRGGGLPLAIIDVGNRLSGREEKSKEFFMELERITQGKNRTPWSDTVAENIKELESSSSAHLSKCFYYFQLFSRDFEIPVRRIVSSWIAQEFVQVEGGQNLEEVAYECLSELIGRNMIQVVQRKPDGKVKTCCLPTTVRDPLLQDQGNIRETRSSFPTTSKEGKLAFHLDGYNDASFSESLGPSTNAYPHCILFFDTREGNKPGEDIGEFILRSIDDGSFRKLQVLDLERVFRPQLPTNIGKLKQLAYLGLRLTYLETIPDSVGDLVNLQTLDLKHTYVQTLPGSVWKLKKLRHLYLNQSCQFTQPTDFSIRNFQLPQPSGISMKNLQILSGVFVDNGSPLKDGLSKLTNLRKLGLAFQLKEQEVLETWIAKLSHLKSLRLRSINEKREAQPLKLKSIPDLKKLSSLYLFGTIENPSIIINYELPKSLTHLTLSASRIKEDPMPKLGKLPNLRSLSLYSGSYEGAALACTKDSFPQLLVLKLWKLDTLENLDVQEGAVQKLRELDIRSCKNLTISIVLTHLKTLQEFKVS >ONH90149 pep chromosome:Prunus_persica_NCBIv2:G8:3477831:3482009:1 gene:PRUPE_8G037400 transcript:ONH90149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALITCCSLLLFNILYVGVSLSAYKYSKDKYKHIRRESKLLDGLVQDFDEVLMSVKQIMQKESELMEYSDLKKVTELLKEKDNWISKAREVVTEGTLCFQRFQELREKRNSSKWFVSFLIDMPPVVDVAYGMPRIEKRIKDHLGRNLIADTTDVKEKVCVSDIYESLENSRSKVRSVQERSVVDEREHQSLNSRPPPIGKRTGKLISSLTNLISVHPDLTQGVEEKIELHLMHLHLLQTFTEDLKSVNLESKLEISWEIEASETIDEAQDAIDAIHRKANRRRWITDFRQWTDRWKLKDELMDVGTWISGLMEIKERYGFIFIRRDRSRKQTLQYQTTDDTDLSSAVNNICKCLTQLTKVEGIHIHSLRKELEDMHKYLKETKATDYASNLRNACLVKLKEIAANIECYSNIVMKDSKSKLPSEVKQIKEVVKLLHRCIKVYSIEVRADSCSVVGLEEDINQLVSQLTGEASVISIVGMKGIGKTTLAKKVFYDRRITKHFDVQGWVSIPHEPEGVVLFTSIRNPLTKKEREEPEEREKQDEQEQPEEPEELEEPEEREKREERVKREEPEELEKRVEPTGRDCWIQKVLDFLNTKEKRYLLVLDNVSSMEEWNSLTRNSLTAALQNLNRSRVVVTTTSRAVASHFSPKNSLHQLRLRTKEESWEIFTQRVHYRPGKMESHAKEVVGRGGGLPLAIIDVGNRLSGREEKSKEFFMELERITQGKNRTPWSDTVAENIKELESSSSAHLSKCFYYFQLFSRDFEIPVRRIVSSWIAQEFVQVEGGQNLEEVAYECLSELIGRNMIQVVQRKPDGKVKTCCLPTTVRDPLLQDQGNIRETRSSFPTTSKEGKLAFHLDGYNDASFSESLGPSTNAYPHCILFFDTREGNKPGEDIGEFILRSIDDGSFRKLQVLDLERVFRPQLPTNIGKLKQLAYLGLRLTYLETIPDSVGDLVNLQTLDLKHTYVQTLPGSVWKLKKLRHLYLNQSCQFTQPTDFSIRNFQLPQPSGISMKNLQILSGVFVDNGSPLKDGLSKLTNLRKLGLAFQLKEQEVLETWIAKLSHLKSLRLRSINEKREAQPLKLKSIPDLKKLSSLYLFGTIENPSIIINYELPKSLTHLTLSASRIKEDPMPKLGKLPNLRSLSLYSGSYEGAALACTKDSFPQLLVLKLWKLDTLENLDVQEGAVQKLRELDIRSCKNLTISIVLTHLKTLQEFKVS >ONH91757 pep chromosome:Prunus_persica_NCBIv2:G8:15583160:15586241:-1 gene:PRUPE_8G135400 transcript:ONH91757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGPILLRRLLLRPPPSSTARLCISAFHNWRSYATTETFHEDREKRGEKWFTLPPFTATINGSALGKELSRNRSYGRDVITKTATASTTSTTTTALKWVTRCCPELPRSLVQKLFRLRQVRRESCDMECSGEGVEALERPLKRVAAKDSMNVGDRIHLPLSVQEFPNDKQELPNDKKECYCSEEEVTFIRSLELYKDPAIIVINKPPGMPVQGGIGIKRSLDELAAACLSYDFSEPPRLVHRLDRDSSGILVMGRTQTSATVLHSIFREKTFGASNDEIDDAKRILQRRYWALVIGSPRQRKGLISAPLGKVVVDNGKSDRITIVNDSQTLPSQHAITKYKVIGSPCYTWLELTPLTGRKHQLRVHCAEVLRTPIVGDYKYGWQTHRNWKPLAWSNNECHSDEEFPKRKNLPFDLNMESGSVSEKNPRLHLHCKQMVLPNVNLALQNVKLHSDSDLSELESLELVAALPSFMQRSWNITNS >ONH91759 pep chromosome:Prunus_persica_NCBIv2:G8:15582975:15586397:-1 gene:PRUPE_8G135400 transcript:ONH91759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGPILLRRLLLRPPPSSTARLCISAFHNWRSYATTETFHEDREKRGEKWFTLPPFTATINGSALGKELSRNRSYGRDVITKTATASTTSTTTTALKWVTRCCPELPRSLVQKLFRLRQVRRESCDMECSGEGVEALERPLKRVAAKDSMNVGDRIHLPLSVQEFPNDKQELPNDKKECYCSEEEVTFIRSLELYKDPAIIVINKPPGMPVQGGIGIKRSLDELAAACLSYDFSEPPRLVHRLDRDSSGILVMGRTQTSATVLHSIFREKTFGASNDEIDDAKRILQRRYWALVIGSPRQRKGLISAPLGKVVVDNGKSDRITIVNDSQTLPSQHAITKYKVIGSPCPGYTWLELTPLTGRKHQRFCEHR >ONH91758 pep chromosome:Prunus_persica_NCBIv2:G8:15583178:15586241:-1 gene:PRUPE_8G135400 transcript:ONH91758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGPILLRRLLLRPPPSSTARLCISAFHNWRSYATTETFHEDREKRGEKWFTLPPFTATINGSALGKELSRNRSYGRDVITKTATASTTSTTTTALKWVTRCCPELPRSLVQKLFRLRQVRRESCDMECSGEGVEALERPLKRVAAKDSMNVGDRIHLPLSVQEFPNDKQELPNDKKECYCSEEEVTFIRSLELYKDPAIIVINKPPGMPVQGGIGIKRSLDELAAACLSYDFSEPPRLVHRLDRDSSGILVMGRTQTSATVLHSIFREKTFGASNDEIDDAKRILQRRYWALVIGSPRQRKGLISAPLGKVVVDNGKSDRITIVNDSQTLPSQHAITKYKVIGSPCPGYTWLELTPLTGRKHQLRVHCAEVLRTPIVGDYKYGWQTHRNWKPLAWSNNECHSDEEFPKRKNLPFDLNMESGSVSEKNPRLHLHCKQMVLPNVNLALQNVKLHSDSDLSELESLELVAALPSFMQRSWNITNS >ONH92820 pep chromosome:Prunus_persica_NCBIv2:G8:18879926:18881688:1 gene:PRUPE_8G198000 transcript:ONH92820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIRRSKRLRLRAESSVLAPVPRFCDKGTAQNSTQTKPDTVQSIPSNDVNLPEG >ONH93904 pep chromosome:Prunus_persica_NCBIv2:G8:22011037:22014354:-1 gene:PRUPE_8G260000 transcript:ONH93904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCKKYQEYMQGQEKKLPGVGFKKLKKILKKCRREDTHEVHDVRTCPDHCPVCDGSFFPALLKEMSAVVGFFNKRAQKLLDLHLASGFRKYFFWFKGKLRGNHVGLIEEGKDLVTYALINAIAIRKILKKYDKIHYSTQGQAFKSQAQSMHIGILQSPWLCELMAFHINLRETKTKSRKTPALFEGCSLKINDGKPSLACELFDSVKLDVDLTCSICLDTVFDPVALTCGHIFCFMCACSAASVTIVDGLKAAEPKEKCPLCRETRVYEGAVHLEELSMLLSRSCHEYWEQRLQTERIERIRQAKQHWESQCRAFMGV >ONH93905 pep chromosome:Prunus_persica_NCBIv2:G8:22011507:22013103:-1 gene:PRUPE_8G260000 transcript:ONH93905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVGFFNKRAQKLLDLHLASGFRKYFFWFKGKLRGNHVGLIEEGKDLVTYALINAIAIRKILKKYDKIHYSTQGQAFKSQAQSMHIGILQSPWLCELMAFHINLRETKTKSRKTPALFEGCSLKINDGKPSLACELFDSVKLDVDLTCSICLDTVFDPVALTCGHIFCFMCACSAASVTIVDGLKAAEPKEKCPLCRETRVYEGAVHLEELSMLLSRSCHEYWEQRLQTERIERIRQAKQHWESQCRAFMGV >ONH93457 pep chromosome:Prunus_persica_NCBIv2:G8:20724576:20727588:-1 gene:PRUPE_8G233500 transcript:ONH93457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDTASSVDEQAQLVASVGSLAGGGGGSTDNRGRHRILAELNRLEQELKFLQEELGELERTENVSTICSELLPYAEGTADPLLPVTNGPVNLLWDRWFEGPQDSQRCSCRIL >ONH91791 pep chromosome:Prunus_persica_NCBIv2:G8:15676286:15678070:-1 gene:PRUPE_8G136800 transcript:ONH91791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDGLEGDHLAVTEGTSFSQLLFAADEGCGLGMDDETFNYTHSSAYSTQIKPPKMLCFGNYDHSHGDGLEIGFSETAKKSGVTCSDSSSVYSTSNTIINALPESNNKRRNGLGQESVQCASTISTTTTASQRTSKKTKSENPTSTGNAKRKEKLGERIAALQQLVSPFGKTDTASVLHEAMGYIRFLHDQVQVLCSPYLQRLPSLPDGEMEEARKDLRSRGLCLAPVEYTMHVADNNGADFWSPAMANNNNNVSSTKY >ONH91792 pep chromosome:Prunus_persica_NCBIv2:G8:15675795:15678404:-1 gene:PRUPE_8G136800 transcript:ONH91792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDGLEGDHLAVTEGTSFSQLLFAADEGCGLGMDDETFNYTHSSAYSTQIKPPKMLCFGNYDHSHGDGLEIGFSETAKKSGVTCSDSSSVYSTSNTIINALPESNNKRRNGLGQESVQCASTISTTTTASQRTSKKTKSENPTSTGNAKRKEKLGERIAALQQLVSPFGKILHQCFTKRWDTSGSCTIRFRFCARLTCNACLHYLMERWRKQERT >ONH91793 pep chromosome:Prunus_persica_NCBIv2:G8:15677230:15678070:-1 gene:PRUPE_8G136800 transcript:ONH91793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDGLEGDHLAVTEGTSFSQLLFAADEGCGLGMDDETFNYTHSSAYSTQIKPPKMLCFGNYDHSHGDGLEIGFSETAKKSGVTCSDSSSVYSTSNTIINALPESNNKRRNGLGQESVQCASTISTTTTASQRTSKKTKSENPTSTGNAKRKEKLGERIAALQQLVSPFGKVKFQNQLDPDSFFSLFLVAKMYLIE >ONH89719 pep chromosome:Prunus_persica_NCBIv2:G8:954684:958711:1 gene:PRUPE_8G011900 transcript:ONH89719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEVTAGAQAVGQTVEPAAKCGVWMFTYLKHKYDYVRNLGKNFKKLENEEMRLQNRKADVQNVLAANLGRMHITNECRNWFQQVDEKKDKIQHLKTKYRRINKYLCGLCPFPSLLRLGRDVVKETKELVSLRDQIKLENPVMTECAPTPPDRFRNRHAQKIDDLPSLNRHVEIVQDLLKQDEFKRVCIWGPPGVGKTTVMENLHDKVGQTSQFEVIFWVTMDNTECVEKIQRVLEDQLGLPVDEKSSTGKRAAKISEELENKSYLLFLDQVSSKINLREVGIHDDHNCGKVVFACTSRDNGNFCGPTDEDIKIEKLSKEDAQKLFNRKVSADVMKKQEIIRLAPLIVKECGGMPHMINLIAQKLAKVNDSARWRDTLLELQAPSKQQSRELEEVYQFFKLPYNDLDESKQLCLLYWALFPVGYEVHRDYITECWRAEQLISFARLGETRDRGHTVLDEFVNAGLLDRGIKARHYKMFEHFQRVALRIAKCNAGSHSILVKEGANITEEQWTCAERVSLIQHQLSSLPEQPQCSGILTLLLQKNKSLMQIPVSFFACMQKLRVLDLHDTRIMSLPSSISSLIKLRGLYLNDCGELENIPADIGKLRSLEIFDIRRTKIRNLPKEIQELTNLKCLRVSFEQNVSSHNHFQGNPVVVIHPDTVSKLISLEELSIGIDHHNTEWNNIVGAIVEELVGLEELTTLCFYFPGEDCLRPFICQSVSWNRENMQGNNFRSFNIIVGHHQTNNPSEFDISECSTEKHLRFSGGGSVPDTVLQILQHAYSFELIGHQNVTNLSLFGADRLGGLEICKVEECNEMESIIDGDMIGGVAFQFLKQLHIINIPKLVHIWKGLVSPESLSRLTKLILKDCPSLENLFSKAHGIVQQLVQLQHLEVEHCLEMKEIIETGSDVSAALPKLKTIELRNLPKLCTIWSEVSWEWPSLETIEIRECVMLKDLPSTMANAIKLRWIRCTSDWKNELNWPSDPAIKDHFQRMFVSVYHQ >ONH90065 pep chromosome:Prunus_persica_NCBIv2:G8:3059174:3062736:1 gene:PRUPE_8G032600 transcript:ONH90065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSIRCSANYIPLSPISFLERSAIVYRDRPSVVYGSIIYTWRETLERCTRLASALSQLGISRGDVAALAPNIPAMYELHFGVPMAGAVLCTLNVRHDSQMVSVLLKHSDAKFIFVDYQLFHVAKGAFDILSKTRTKLPVLVLIPESDQSSPELCNPISGNLEYESLLGRGKLDFEIRRPKDEWDPISLNYTSGTTSSPKGVIYSHRGAYLNSFAAVLLNEMPSMPVYLWCVPMFHCNGWCLTWAVAAQGGTNICQRNVTAKGIFSCISQHKVTHMGGAPTVLNMIVNAPENERRPLPGKVIVMTGGAPPPAQVLFKMEELGFNVTHSYGLTETYGPGTVCSWKPEWDSLPRVEQAKIKSRQGLQHLGMEELDVKDPVTMKSVPSDAKTMGEVMFRGNTVMNGYLKDHQATRDAFKGGWFHSGDLGVKHPDGYIELKDRSKDIIISGGENISTIEVESVLFSHPDILEAAIVGRPDEYWGETPCAFVKLKDGCKTGREEIIKFCKDRLPHYMAPRTVVFEDLPKTSTGKVQKFVLREKAKAMGSLTKNTISKL >ONH90064 pep chromosome:Prunus_persica_NCBIv2:G8:3059174:3062736:1 gene:PRUPE_8G032600 transcript:ONH90064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSIRCSANYIPLSPISFLERSAIVYRDRPSVVYGSIIYTWRETLERCTRLASALSQLGISRGDVVAALAPNIPAMYELHFGVPMAGAVLCTLNVRHDSQMVSVLLKHSDAKFIFVDYQLFHVAKGAFDILSKTRTKLPVLVLIPESDQSSPELCNPISGNLEYESLLGRGKLDFEIRRPKDEWDPISLNYTSGTTSSPKGVIYSHRGAYLNSFAAVLLNEMPSMPVYLWCVPMFHCNGWCLTWAVAAQGGTNICQRNVTAKGIFSCISQHKVTHMGGAPTVLNMIVNAPENERRPLPGKVIVMTGGAPPPAQVLFKMEELGFNVTHSYGLTETYGPGTVCSWKPEWDSLPRVEQAKIKSRQGLQHLGMEELDVKDPVTMKSVPSDAKTMGEVMFRGNTVMNGYLKDHQATRDAFKGGWFHSGDLGVKHPDGYIELKDRSKDIIISGGENISTIEVESVLFSHPDILEAAIVGRPDEYWGETPCAFVKLKDGCKTGREEIIKFCKDRLPHYMAPRTVVFEDLPKTSTGKVQKFVLREKAKAMGSLTKNTISKL >ONH92771 pep chromosome:Prunus_persica_NCBIv2:G8:18753747:18754947:-1 gene:PRUPE_8G194900 transcript:ONH92771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHAIDLPNGKYKPNNTHKNLFLLNLSVNISNSPLPSPKSNAGGSKSIEKQDQCQIFSGNVTCKLIIEQQNCMKFGRPDTEFMKWRWKPDACCCELPLFDATQFLELVRGKSLAFLGDSVGKNQMQSLLCLLARHFSQKYSSNTDYFKRYFYHDYNFTIATLWSPYLVKSRDADTSGNDINSLMSLYLDELDEAWLTRVVQFDYVIVSAGQWFFRTLTYHEHDNMTFCLALLVDTWRLGAII >ONH89864 pep chromosome:Prunus_persica_NCBIv2:G8:1853967:1860611:1 gene:PRUPE_8G020400 transcript:ONH89864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRGILCSSFFFLSMVEASSRLGEMWSLNLGAESAAAFSWPILSASIFVLVALLLSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYALESFLSLLDSNAAFNCEAIRDCYEAFALYCFERYLIACLGGEKRTIEFMESQSVLDSSTPLLKDAYAYGVVEHPFPLNCLIGDWPLGPTFYHAVKVGIVQYMILKLICALLAMILETFGVYGEGKFDWRYGYPYLAVVLNFSQTWALYCLVQFYSVIKDKLQPIKPLAKFLTFKSIVFLTWWQGIAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGAPPDPEEVRDCERTTRVHLARHDEREKRLNFPQSVRDVIFGSSEIIVDDMKYTVSHVVEPVERGFAKINKTLHQISENVKRHEEQRKSIKDDSYVIPLNSWNREFSEVRDNLVEGSISDSGLSSGRRQQSQSKASTSRNRAWR >ONH89865 pep chromosome:Prunus_persica_NCBIv2:G8:1854131:1860611:1 gene:PRUPE_8G020400 transcript:ONH89865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRGILCSSFFFLSMVEASSRLGEMWSLNLGAESAAAFSWPILSASIFVLVALLLSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYALESFLSLLDSNAAFNCEAIRDCYEAFALYCFERYLIACLGGEKRTIEFMESQSVLDSSTPLLKDAYAYGVVEHPFPLNCLIGDWPLGPTFYHAVKVGIVQYMILKLICALLAMILETFGVYGEGKFDWRYGYPYLAVVLNFSQTWALYCLVQFYSVIKDKLQPIKPLAKFLTFKSIVFLTWWQGIAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGAPPDPEEVRDCERTTRVHLARHDEREKRLNFPQSVRDVIFGSSEIIVDDMKYTVSHVVEPVERGFAKINKTLHQISENVKRHEEQRKSIKDDSYVIPLNSWNREFSEVRDNLVEGSISDSGLSSGRRQQSQSKASTSRNRAWR >ONH89863 pep chromosome:Prunus_persica_NCBIv2:G8:1853967:1860611:1 gene:PRUPE_8G020400 transcript:ONH89863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRGILCSSFFFLSMVEASSRLGEMWSLNLGAESAAAFSWPILSASIFVLVALLLSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYALESFLSLLDSNAAFNCEAIRDCYEAFALYCFERYLIACLGGEKRTIEFMESQSVLDSSTPLLKDAYAYGVVEHPFPLNCLIGDWPLGPTFYHAVKVGIVQYMILKLICALLAMILETFGVYGEGKFDWRYGYPYLAVVLNFSQTWALYCLVQFYSVIKDKLQPIKPLAKFLTFKSIVFLTWWQGIAVAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGAPPDPEEVRDCERTTRVHLARHDEREKRLNFPQSVRDVIFGSSEIIVDDMKYTVSHVVEPVERGFAKINKTLHQISENVKRHEEQRKSIKDDSYVIPLNSWNREFSEVRDNLVEGSISDSGLSSGRRQQSQSKASTSRNRAWR >ONH91961 pep chromosome:Prunus_persica_NCBIv2:G8:16197508:16201717:1 gene:PRUPE_8G146600 transcript:ONH91961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKQSMRAIQGAVIVASFFQMILGFLGFVRIFGRFLSPLSAVPLVTLTGLGFFVFGFPQLANCVEVGLPALIILVFLSQYTMKLKLPIFHRFAVLFSVAIVWVYAEILTAAGAYDKRSPITQRSCRTDRSGLVSSARWIRVPYPFQWGRPDFNAGDAFSMMAAAFVAIVESTGTFIAASRYGSATPLPPSVLSRGIGWQGINTFLDGIFGTLTGSTASVENAGLLGLTHIGSRRVIQISAGFMLFFSVLGKFGAVLASIPLPIVAALFCVLYAYVASAGLGLLQFCNLNSYRSKFIVGFALFMGLSVPQYFNEYLATSGHGPVHTGSTWFNNIVQVIFSSPATVAIIIAFFLDLTVSRQHSATRRDSGRHWWAKFRNFDSDTRSEEFYSLPYNLNRHFPSV >ONH91960 pep chromosome:Prunus_persica_NCBIv2:G8:16195759:16201717:1 gene:PRUPE_8G146600 transcript:ONH91960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGAQKVDEFQPHPIKDQLPGVDFCVTSSPPWPEAILLGFQHFLVMLGTTVIIPTILVPLMGGGDVEKAEVIETLLFVAGINTLLQTVFGTRLPVVMGGSYAFIIPAVSIALSRRFSVYIDPHQRFKQSMRAIQGAVIVASFFQMILGFLGFVRIFGRFLSPLSAVPLVTLTGLGFFVFGFPQLANCVEVGLPALIILVFLSQYTMKLKLPIFHRFAVLFSVAIVWVYAEILTAAGAYDKRSPITQRSCRTDRSGLVSSARWIRVPYPFQWGRPDFNAGDAFSMMAAAFVAIVESTGTFIAASRYGSATPLPPSVLSRGIGWQGINTFLDGIFGTLTGSTASVENAGLLGLTHIGSRRVIQISAGFMLFFSVLGKFGAVLASIPLPIVAALFCVLYAYVASAGLGLLQFCNLNSYRSKFIVGFALFMGLSVPQYFNEYLATSGHGPVHTGSTWFNNIVQVIFSSPATVAIIIAFFLDLTVSRQHSATRRDSGRHWWAKFRNFDSDTRSEEFYSLPYNLNRHFPSV >ONH91962 pep chromosome:Prunus_persica_NCBIv2:G8:16198179:16201717:1 gene:PRUPE_8G146600 transcript:ONH91962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLPIFHRFAVLFSVAIVWVYAEILTAAGAYDKRSPITQRSCRTDRSGLVSSARWIRVPYPFQWGRPDFNAGDAFSMMAAAFVAIVESTGTFIAASRYGSATPLPPSVLSRGIGWQGINTFLDGIFGTLTGSTASVENAGLLGLTHIGSRRVIQISAGFMLFFSVLGKFGAVLASIPLPIVAALFCVLYAYVASAGLGLLQFCNLNSYRSKFIVGFALFMGLSVPQYFNEYLATSGHGPVHTGSTWFNNIVQVIFSSPATVAIIIAFFLDLTVSRQHSATRRDSGRHWWAKFRNFDSDTRSEEFYSLPYNLNRHFPSV >ONH92391 pep chromosome:Prunus_persica_NCBIv2:G8:17599935:17601478:1 gene:PRUPE_8G172400 transcript:ONH92391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWSQLPKELLEEIAKRLESPFYLLRFRSVCSSWRSSVSSRPRRLPGRFPFLTNHGICDTTLGFHLSQRTVFLMGLPNFRNQTNPDCWLVKIEEDVPGRMHLLNPLSRFQLKPLPESFPKVLDLSKFWVFELGGEYVLHYVHFRPFVNGNSFGDAGNLYMEKVVFMFLGSESNDFVLLTIHVSGKLAMFKSEDKRWTIIHDMPSPYDDVILFKGQFYAVDGTGRTVIVGLHSNLNVVANPVFGGDKKFLVESSGELLVVDMYLSMAPETDLDVDDEIIQLQFNGCLTERTVRFKVFKLDREGKRLVELKSLGDRVLFLGDDCTFSASASELSGCKGNCIFFTDNFFYTSGEDEGVFKGRDIGVFDLDDGSIAPLSDYPEYSKLFWPPPDWIAPTQIF >ONH92390 pep chromosome:Prunus_persica_NCBIv2:G8:17599852:17602372:1 gene:PRUPE_8G172400 transcript:ONH92390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWSQLPKELLEEIAKRLESPFYLLRFRSVCSSWRSSVSSRPRRLPGRFPFLTNHGICDTTLGFHLSQRTVFLMGLPNFRNQTNPDCWLVKIEEDVPGRMHLLNPLSRFQLKPLPESFPKVLDLSKFWVFELGGEYVLHYVHFRPFVNGNSFGDAGNLYMEKVVFMFLGSESNDFVLLTIHVSGKLAMFKSEDKRWTIIHDMPSPYDDVILFKGQFYAVDGTGRTVIVGLHSNLNVVANPVFGGDKKFLVESSGELLVVDMYLSMAPETDLDVDDEIIQLQFNGCLTERTVRFKVFKLDREGKRLVELKSLGDRVLFLGDDCTFSASASELSGCKGNCIFFTDNFFYTSGEDEGVFKGRDIGVFDLDDGSIAPLSDYPEYSKLFWPPPDWIAPTQVQSQFEELAL >ONH90736 pep chromosome:Prunus_persica_NCBIv2:G8:10609215:10609717:1 gene:PRUPE_8G072200 transcript:ONH90736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLILLEVLQRLMEVVGCTSKHKRPFNLRIEHIGLGLLDEFLEKLDTRDTSEELCISFLRRTRVYNLSELLHAS >ONH92651 pep chromosome:Prunus_persica_NCBIv2:G8:18412697:18414625:1 gene:PRUPE_8G187100 transcript:ONH92651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSWDGTLTSRDFLVSARAFAEKWKEFNSAFPPWTWVPCPPKQPPCHLPQLLQQGYLSLEKICLPGSSKKGHVTSQEDVDEISLTEGEEEFEPVDNATLVETNNSLQVCYYDFHIVYSDSYRVPVLYFRGYYIDGQPLALDEIEKDLPACSAKVLLESKWTFITQEEHPYLNRPWYKLHPCGTSEWMKLLFLSDDSRAKIGVAVEQYLVSWLSVVGQVVGIRIPFEMLNNPKSCN >ONH91303 pep chromosome:Prunus_persica_NCBIv2:G8:13618253:13620401:-1 gene:PRUPE_8G105300 transcript:ONH91303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRRVEDSAVSGQLQNDHRIADFDPPQKPKTNKFAIACAILACTTSILLGYDIGVMSGANIFIQNDLKLSDTEIAVLAGTLNIYSLIGSALAGRTSDWIGRRYTVVLSGVIFFVGALLMGLAPGYAFLMFGRFVAGIGVGYGLMIAPVYTVEISPTLDRGFLTSFPEVFVNLGILLGYVSNYAFSKLPTNLGWRYMLALGALPAVVLAVGVLAMPESPRWLVMQGRLGDAKRVLDKTSTSKEEAQLRLEDIKEAAGIPMHLNDDVVPVTKQSHGEGVWRQLIHPTPAVLHILIAALGIHFFQQVTGIDSVVLYSPRIFAKAGITSYEHTLLATVAVGFVKTIFIFIATFLLDRVGRRPLLLTSMGGMIFSLVCLGAALTIIDNHDSKIPWAVALCIIMVLFNVAFFSIGLGPITWVYSSEIFPLKLRAQGVSLGVAVNRVISGIVSMTFLSLYKAITIGGAFFLYAGIGVLAWVFFYTMLPETQGRTLEEMEVLFGKYHKWRQANAMLKTKKVDHGDGDENKGQVN >ONH91577 pep chromosome:Prunus_persica_NCBIv2:G8:14970377:14973065:1 gene:PRUPE_8G124200 transcript:ONH91577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFEVNGNTLSLALFTEVTNSKELLDSMQSGTLDPEVAFLNASLIPDVFPLLAAAHKTLVAKSRESLTTRTLHSELVYNYSGSKHITESLKRCGISESSTYVLAARFNASSEEIEQKAVEKLINGKEIDLEELGGRADQAQIQKHYKITSVELGISSLADAITCRIASRDAL >ONH91578 pep chromosome:Prunus_persica_NCBIv2:G8:14970460:14972633:1 gene:PRUPE_8G124200 transcript:ONH91578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFEVNGNTLSLALFTEVTNSKELLDSMQSGTLDPEVAFLNASLIPDVFPLLAAAHKTLVAKSRESLTTRTLHSELVYNYSGSKHITESLKRCGISESSTYVLAARFNASSEEIEQKAVEKLINGKEIDLEELGGRADQAQIQKHYKITSVELGISSLADAITCRIASRDAL >ONH91579 pep chromosome:Prunus_persica_NCBIv2:G8:14970541:14972633:1 gene:PRUPE_8G124200 transcript:ONH91579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFEVNGNTLSLALFTEVTNSKELLDSMQSGTLDPEVAFLNASLIPDVFPLLAAAHKTLVAKSRESLTTRTLHSELVYNYSGSKHITESLKRCGISESSTYVLAARFNASSEEIEQKAVEKLINGKEIDLEELGGRADQAQIQKHYKITSVELGISSLADAITCRIASRDAL >ONH94082 pep chromosome:Prunus_persica_NCBIv2:G8:22396518:22401539:1 gene:PRUPE_8G269300 transcript:ONH94082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVDVAPLLLPYRDRDRDRDTGSSNEIQSLVPSVPSNGPGASTPSQRLASLDVFRGLTVALMILVDDAGGAFPSINHSPWFGITLADFVMPFFLFLVGVSISLAFKKISNKTTATKKVMLRAINLFLLGLLLQGGYFHGRNHLTYGVDVGKIRWLGVLQRISIGYLLASISEIWLVNNIMVDSLADLARKYYTQWLFAIGLCSLYMCFLYGLSVPTWEYEAPSMNLSGFASGTQIVYCGVRGSLEPPCNAVGFIDRVILGEHHLYQHPVYRRTKECSVKSPDYGPLPPNSPQWCLAPFDPEGILSSLMAAVTCFVGLHFGHILLHFKDPKQRVLLWSMSAVPLLVFGYVLVILGIPSCKPLYTVSYACITAGVSGLILSIIFYIVDVKHFRKPTVLLQWMGMNALLIYALGACDLFSAGLQGFYWRSPENNLVDGTEALLQAMLHSKNWGTLAFVILEILFWGLVSGFLHMKSLYIRL >ONH94083 pep chromosome:Prunus_persica_NCBIv2:G8:22396518:22401539:1 gene:PRUPE_8G269300 transcript:ONH94083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVDVAPLLLPYRDRDRDRDTGSSNEIQSLVPSVPSNGPGASTPSQRLASLDVFRGLTVALMILVDDAGGAFPSINHSPWFGITLADFVMPFFLFLVGVSISLAFKKISNKTTATKKVMLRAINLFLLGLLLQGGYFHGRNHLTYGVDVGKIRWLVPTWEYEAPSMNLSGFASGTQIVYCGVRGSLEPPCNAVGFIDRVILGEHHLYQHPVYRRTKECSVKSPDYGPLPPNSPQWCLAPFDPEGILSSLMAAVTCFVGLHFGHILLHFKDPKQRVLLWSMSAVPLLVFGYVLVILGIPSCKPLYTVSYACITAGVSGLILSIIFYIVDVKHFRKPTVLLQWMGMNALLIYALGACDLFSAGLQGFYWRSPENNLVDGTEALLQAMLHSKNWGTLAFVILEILFWGLVSGFLHMKSLYIRL >ONH89687 pep chromosome:Prunus_persica_NCBIv2:G8:718796:720240:1 gene:PRUPE_8G009500 transcript:ONH89687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATDLLIGKVVGILESEASSIVGVRDQVDEIKQELISMKSFLKDAEGKKQQTEGEETWVARVRDLAYEVEDIIDKFMYHMHEQQSGGRFSSLLRKTICFPNQWNRGRFARWLHKTRHNPKYLWHRSRFTRWLHKTIHIPKYRGRFASCFHKAIHMPKKLGIGVKLAKRTSSDDIQKWVKNQAESSLFIKEDELVGIEDKNQILMGWLMNGEQQQAVISVVGMGGSGKTTLVAKTFTSESVKRHFSCYARITVSLSYVIEDLFRSLIEEVHQATKEEVPAAADLNSMSDRELLHILVTYLEFRRYLVVSNDVWDIKLLKEMSIALPNRQLGSRIMLTTRKEDIAFYSFGVESHVHRIQPLEKNEAWELFSKKAFTTYQNKRCPPELESSARELLGKCKGLPLAIVALGGLMSSKESSTEWRKVCNSINWHLINDHFLEPVKTSCFSVSTICHID >ONH92988 pep chromosome:Prunus_persica_NCBIv2:G8:19316188:19316960:1 gene:PRUPE_8G206200 transcript:ONH92988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPCFDLQMGSSSASWAPLGGALFWSRGGPLPHLGSSASTPSLHLQFPSLPSLSFSVCECVLPSFCVGVLKWMSF >ONH93776 pep chromosome:Prunus_persica_NCBIv2:G8:21628163:21630322:1 gene:PRUPE_8G251800 transcript:ONH93776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRLYYQKFEEQQTQSLVDQRIKEHLGQHLGQAAAYGQVGAAYNQHLMAQRPRLPVLPTPGMPQMPGGAQMVPGMRPPVLPRPMPGAPGGYGSAPPMMPMMAPPGAPGMPGQLNVPMRPPTMNPPPTVAGSTAPNASVGVPSMAPPPMYQSNQTPPTSGGYDGFNPNTQPPDSSQ >ONH93777 pep chromosome:Prunus_persica_NCBIv2:G8:21628163:21631322:1 gene:PRUPE_8G251800 transcript:ONH93777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRLYYQKFEEQQTQSLVDQRIKEHLGQHLGQAAAYGQVGAAYNQHLMAQRPRLPVLPTPGMPQMPGGAQMVPGMRPPVLPRPMPGAPGGYGSAPPMMPMMAPPGAPGMPGQLNVPMRPPTMNPPPTVAGSTAPNASVGVPSMAPPPMYQSNQTPPTSGGYDGFNPNTQPPDSSQ >ONH93892 pep chromosome:Prunus_persica_NCBIv2:G8:21978251:21983406:1 gene:PRUPE_8G259200 transcript:ONH93892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRQFFFVNGRPVDMPKVTKLVNELYRGANTQQHPIAIMNFTVPTRACDVNVTPDKRKVFFSDESSILIALREGLQQIYSPNNARFSVNKVEEPAKEPGRSELCSPRQKSHKFLKQSSTDDSVPEEAGIPTPEGLQQRYSPSNAHYSVNEVEEETTMEAGRSEFCFRHQMSRMLPRQVSSDDSVPEEVHVEDQIAEGNAPLKALETDSECTHDAEGSSHGNSMGKDFALKVHSIKKVDGSAQLIRHINRMATDRTHSLSTIVENGIAANGNSCSRSNCVQSSLNQFVTVNKRKHENISTMLSEMPVLRNQALQTQSKNSTFDLLVAVSKSPVKHHQADDSAEVDNCAEVDGNVPSKYLRADKILNKIRCPVSSGGNSKDEELEEDLQAQQKADPLSNMASTASPSRDLKSLSEDLPVAAPSPSSSILSDTPKPKPSSGLMMHSTLQFSFQELKTRRQQRLSRLQSSMPGGVKAQRCYAAATLELSQPENEERKARALAAATTELERLFRKQDFGRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLSQSTILNQQPLLRPLRLDLSPQEEVVASMHIDIIRKNGFSLEEDPHAPPGQHFKLKAVPFSKNITFGVEDVKDLISTLADSHGECSIIGSYKMDTVDSVCPSRVRAMLASRACRSSVMIGDALGRNEMQRILEHLAGLKSPWNCPHGRPTMRHLVDLKTIRRSEEDDDADS >ONH93891 pep chromosome:Prunus_persica_NCBIv2:G8:21978235:21983406:1 gene:PRUPE_8G259200 transcript:ONH93891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATTPSDSPTIKPINKGVVHRICAGQVILDLSAAVKELVENSLDAGATSIEIALKDYGKEWFQVIDNGCGISPNNFKVLALRHHTSKLGGFPDLQSLTTFGFRGEALSSLCALGNLSVETRTKNELVATHLTFDHSGLLVAEKKTARQVGTTVTVKSLFSNLPVRCKEFGRNIRKEYGKLVSLLNAYALIAKGVRIVCTNATGKNAKSVSGQGSGRNMGDRQFFFVNGRPVDMPKVTKLVNELYRGANTQQHPIAIMNFTVPTRACDVNVTPDKRKVFFSDESSILIALREGLQQIYSPNNARFSVNKVEEPAKEPGRSELCSPRQKSHKFLKQSSTDDSVPEEAGIPTPEGLQQRYSPSNAHYSVNEVEEETTMEAGRSEFCFRHQMSRMLPRQVSSDDSVPEEVHVEDQIAEGNAPLKALETDSECTHDAEGSSHGNSMGKDFALKVHSIKKVDGSAQLIRHINRMATDRTHSLSTIVENGIAANGNSCSRSNCVQSSLNQFVTVNKRKHENISTMLSEMPVLRNQALQTQSKNSTFDLLVAVSKSPVKHHQADDSAEVDNCAEVDGNVPSKYLRADKILNKIRCPVSSGGNSKDEELEEDLQAQQKADPLSNMASTASPSRDLKSLSEDLPVAAPSPSSSILSDTPKPKPSSGLMMHSTLQFSFQELKTRRQQRLSRLQSSMPGGVKAQRCYAAATLELSQPENEERKARALAAATTELERLFRKQDFGRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLSQSTILNQQPLLRPLRLDLSPQEEVVASMHIDIIRKNGFSLEEDPHAPPGQHFKLKAVPFSKNITFGVEDVKDLISTLADSHGECSIIGSYKMDTVDSVCPSRVRAMLASRACRSSVMIGDALGRNEMQRILEHLAGLKSPWNCPHGRPTMRHLVDLKTIRRSEEDDDADS >ONH91150 pep chromosome:Prunus_persica_NCBIv2:G8:12830329:12833506:-1 gene:PRUPE_8G096100 transcript:ONH91150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPIVNGGVQIHQSRRLPDFLQSVNLKYVKLGYHYLITHLLTLCSVPLMAVVVIQASQMNPDDIHHLWLQLQYNLVSVIIFSAVIVFGSTVYIMTRPRSVYLVDYSCYKPPSHLQVRFQQFMEHSKLTGDFDESSLEFQRKILERSGLGEETYVPEAMHYLPPRPSMAAAREEAEQVIFGALDNLFANTNVKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKEMLQVHGNAYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGAAVLLSNKSLDRRRAKYKLVHVVRTHRGADDKAFRCVYQEQDNTGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPLSEQLLFFATLVAKKLFNAKVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMQKGNRVWQIAFGSGFKCNSAVWEALQNVKPSLHSPWEDCIDRYPVDTVV >ONH90877 pep chromosome:Prunus_persica_NCBIv2:G8:11334888:11335121:1 gene:PRUPE_8G079900 transcript:ONH90877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWIPGIVNAKKSLNHSASSKTLEIPKGHFAAYVGKNQKKRFVIPVSYLNEPLFLDLLNQAEEEFGYDHPMGGTLQ >ONH90502 pep chromosome:Prunus_persica_NCBIv2:G8:7055901:7058385:1 gene:PRUPE_8G057500 transcript:ONH90502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKAPSASLFSSILLLILAFLFAMADSAPKSVASSSESAVHIVYTERPLENEEPEAYHIRTLASILGSEEAAKGALIYSYKTAASGFSAKLTPQQVEEISKLPGVLQVVPSRTLQLHSGPGKLH >ONH91098 pep chromosome:Prunus_persica_NCBIv2:G8:12538685:12540212:-1 gene:PRUPE_8G092700 transcript:ONH91098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPIVGASIEELCCTLFPECLKVADLGRSSGPNTLLVVSDIINSIHTTYQKLNRPPPSLQAFLNDLPGNDFNAGFRSLPGFYKKLDEEHEKKFGSCFIAGMPGSFYGRLFPNNSLNFVHSSYALHWISEVPKGLLTEEEEGLNKGNIYIAKTSPPAVFKQYLEQFKRDFTVFLRSRAEELVPGGSMVLTITGSIKSHDPLCIWEFVGLKLNDMVLEGLIGKEKLDAFNMPFYTPTTKEIEEVIQAEGSFTLQNLEVLKNDWDSYIKQANSGLDKKTRAAIFATHIRAVAEPILASQFGEEAMDDLFPRFEEDVLDHMERENCQYINLVISLTKKR >ONH92194 pep chromosome:Prunus_persica_NCBIv2:G8:16977322:16979796:1 gene:PRUPE_8G161700 transcript:ONH92194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQASPSHFSSFLLILLLFALSLGQQTTAGHGPSIKEATIDDLQLAFKHKQLTSRQLVQFYLGEISRLNPFLKGVIEVNPDALYLADKADYERKTKAPSVSLSKLHGIPILVKDSIATEDKLNTTAGSYALLGSVVPRDAGVVTKLRKAGAIILGKASLSEWVYGRSNETPPGWSGRGGQGVNPYNFNATPSGSSSGSAISAAANLAAVTLGTETDGSILSPSSWNSVVGIKPTIGLTSRAGVVPISPRQDTVGPIARTVSDAAYVLDVIAGIDSNDPATIEASRYIPKGGYAQFLRPDGLRGKRIGIVRALFNFGGDASLTRTFEQHFNTLRKRGAVLVDNLEIAHFDEIYYNSSSETIALAAEFKIYLNTYLKNLVASPVRSLADVIAFNNKNSKLEKVKEYGQGRLLEAEATNGIGNAEKAALVNLAKLSKNGFEKLVTKKRLDAVVAPSATVSTLLAIAGSPGVVVPAGYTKDGVPFGISFGGLRGSEPKLIEIAYGFEQATKIRKPPPLKKLQDLEPHFIWVLKLMGVLL >ONH92195 pep chromosome:Prunus_persica_NCBIv2:G8:16976840:16979719:1 gene:PRUPE_8G161700 transcript:ONH92195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQASPSHFSSFLLILLLFALSLGQQTTAGHGPSIKEATIDDLQLAFKHKQLTSRQLVQFYLGEISRLNPFLKGVIEVNPDALYLADKADYERKTKAPSVSLSKLHGIPILVKDSIATEDKLNTTAGSYALLGSVVPRDAGVVTKLRKAGAIILGKASLSEWVYGRSNETPPGWSGRGGQGVNPYNFNATPSGSSSGSAISAAANLAAVTLGTETDGSILSPSSWNSVVGIKPTIGLTSRAGVVPISPRQDTVGPIARTVSDAAYVLDVIAGIDSNDPATIEASRYIPKGGYAQFLRPDGLRGKRIGIVRALFNFGGDASLTRTFEQHFNTLRKRGAVLVDNLEIAHFDEIYYNSSSETIALAAEFKIYLNTYLKNLVASPVRSLADVIAFNNKNSKLEKVKEYGQGRLLEAEATNGIGNAEKAALVNLAKLSKNGFEKLVTKKRLDAVVAPSATVSTLLAIAGSPGVVVPAGYTKDGVPFGISFGGLRGSEPKLIEIAYGFEQATKIRKPPPLKKLQDLEPHFIWVLKLMGVLL >ONH90359 pep chromosome:Prunus_persica_NCBIv2:G8:5386567:5387921:1 gene:PRUPE_8G049500 transcript:ONH90359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHFISYSSSSNKIEADESRLREVCESFLGPPTGMVEDTPLDPKNLAWDPYVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLISEYESAETNLEKRSQTSPTARPPAADQMDSSGTNQKDSVPAATDQKKSVPASIDEKDSSQLAADKENSAQAAEDTVNSDPQMINQVSLAPQDAGS >ONH90360 pep chromosome:Prunus_persica_NCBIv2:G8:5384726:5388440:1 gene:PRUPE_8G049500 transcript:ONH90360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDTPLDPKNLAWDPYVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLISEYESAETNLEKRSQTSPTARPPAADQMDSSGTNQKDSVPAATDQKKSVPASIDEKDSSQLAADKENSAQAAEDTVNSDPQMINQVSLAPQDAGS >ONH90218 pep chromosome:Prunus_persica_NCBIv2:G8:4255263:4263166:-1 gene:PRUPE_8G041300 transcript:ONH90218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQSFYLVCLAFSLVFNLLLIFKLYVGREWELSWSRRAAEEAEYVAAISCSGHGKAYLDGLTLDGKEPVCECSSCYGGPHCSEFLTGCAADAGSGDPLFLEPFWMQHASKSALVVAGWHRMSYTSADQSYISAELERHIRKLHAIVGNAVTGGRYIVFGAGSTQLLNAAVHALSSHNSSSSSSPANSINMCTCVDLLLWWVIPVYIKSPIEMYYQIQMEFFRSMDYVFRGDASLLQNISDATNVIEFVTSPNNPDGQLNKANVQGPNAKAIYDRVYYWPHFTAIPTPANDDIMIFAISKLTGHAGSRFGWAVVKDESVFQKMTMYTLIDSMGISRDAQLRALKILNVVLEGGGKDFFEFGYNTMRKRWEKLRNILSVSNRFSLQKFAPKHCTFFKKTREPSPAYAWVKCEREEDKDCYAVLQEEANVYGLRGSQFGAEDRFVRLALLRSQDDFDLLLQRLNQLVSEEKKTRVI >ONH90695 pep chromosome:Prunus_persica_NCBIv2:G8:10247599:10256123:1 gene:PRUPE_8G069500 transcript:ONH90695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRFTSDSESPSKRFQAFEFNADDEVVEKQSAKMIAKFRNLMKPRNKKSTKNKYLDSPIIKYKFLHCFTKNLEKEFRNEAIDVDAGVAHGTKTPQKEITTLLLDDDATDVGTVAEDGGAAGEEMPGLDVSVVSSSPNHEKNQVCAISDDDERIEMCSSSTLVSSPSTSEAPLEEQESYPGSGGYEIVETATGDHQLESRDSRAAANAYKTSGQEALSSLDARYGDVWILDFDKSFEDVIYPQGDPDAVCISKRDLQLLQPATFINDTIVDFYIQYLKSKIQPEEKHRFHFFNSFFFRKLADLDKDQPGACEGKEAFQRVLKWTRKVNVFKKDYIFIPVNYRLHWSLIVICHPGEVANVEDEEIESSPKVPCILHMDSIRGSHRGLKNLVQSCHSRKIHLTVVSFCFIMWNVFWRKLLLSLAPLRSSPTF >ONH90694 pep chromosome:Prunus_persica_NCBIv2:G8:10247599:10256123:1 gene:PRUPE_8G069500 transcript:ONH90694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRFTSDSESPSKRFQAFEFNADDEVVEKQSAKMIAKFRNLMKPRNKKSTKNKYLDSPIIKYKFLHCFTKNLEKEFRNEAIDVDAGVAHGTKTPQKEITTLLLDDDATDVGTVAEDGGAAGEEMPGLDVSVVSSSPNHEKNQVCAISDDDERIEMCSSSTLVSSPSTSEAPLEEQESYPGSGGYEIVETATGDHQLESRDSRAAANAYKTSGQEALSSLDARYGDVWILDFDFSKSFEDVIYPQGDPDAVCISKRDLQLLQPATFINDTIVDFYIQYLKSKIQPEEKHRFHFFNSFFFRKLADLDKDQPGACEGKEAFQRVLKWTRKVNVFKKDYIFIPVNYRLHWSLIVICHPGEVANVEDEEIESSPKVPCILHMDSIRGSHRGLKNLVQSCHSRKIHLTVVSFCFIMWNVFWRKLLLSLAPLRSSPTF >ONH92142 pep chromosome:Prunus_persica_NCBIv2:G8:16797713:16798249:1 gene:PRUPE_8G157900 transcript:ONH92142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSLLSIIRRSVLMSKQSSMAMTNVPKGHFAVYVGEEQKKRLVVPISYLNHQWFKDLLSCSEEKFGYTHPMGGITIPCTEEAFIGLSSCLHSF >ONH92471 pep chromosome:Prunus_persica_NCBIv2:G8:17909253:17911583:1 gene:PRUPE_8G177600 transcript:ONH92471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEEVCDVVVGFEMAETTTFLSNRYWVLRHGKSIPNERGIIVSSMENGTRQEYQLAPEGVSQAQLAGQLFQKVLEENHIPIENVCICYSPFSRTTHTAQVVASVLNVQFEGAQCKVMENLRERSFGPSYELLSHDKYHDIWALDEKDPFTKPEGGESVNDVACRLAEALATLESQFQGCTILVVSHGDTLQILQTILNAAKLNAGSSYNDLASRIQAVQTPPVLSQHRKFSLLTAELRAVI >ONH92472 pep chromosome:Prunus_persica_NCBIv2:G8:17909253:17911583:1 gene:PRUPE_8G177600 transcript:ONH92472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEEVCDVVVGFEMAETTTFLSNRYWVLRHGKSIPNERGIIVSSMENGTRQEYQLAPEGVSQAQLAGQLFQKVLEENHIPIENVCICYSPFSRTTHTAQVVASVLNVQFEGAQCKVMENLRERSFGPSYELLSHDKYHDIWALDEKDPFTKPEGGESVNDVACRLAEALATLESQFQGIQLQ >ONH90370 pep chromosome:Prunus_persica_NCBIv2:G8:5472757:5475460:1 gene:PRUPE_8G050200 transcript:ONH90370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPGKLSFTPKKGKPSVVMFSCLQETRSCYVCYGSNIGQAAFDEAQAFRDSVSVWSCYCCKNGWSCKRRWCSQCVSFAATVEMLIIFSWI >ONH90615 pep chromosome:Prunus_persica_NCBIv2:G8:9477835:9481673:-1 gene:PRUPE_8G064200 transcript:ONH90615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTAYSALSFKSLLHPEPIKHHPSLPQLPTHKPISAVHAADPSKPSGTPQPTFPTQPKWSLGSWKAKKALQLPEYPDQEELSSVLGTLETFPPIVFAGEARSLEEKLGQAAMGQAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNVNGDAFDEKERLPDPHRMVSAYCQSVATLNLLRAFSTGGYAAMQRVTQWNLDFTEHSEQGDRYRELANRVDEVLGFMAVTGLTVDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYFDCSAHMLWVGERTRQLDGAHVEFLRGVSNPLGIKVSDKMDPNELVRLIEILNPKNKPGRVTVIVRMGAENMRVKLPHLIRAVRGAGQIVTWVSDPMHGNTIKAPCGLKTRSFDAIRAELRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSRTITYNDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRLGSRHFPAAAVI >ONH91356 pep chromosome:Prunus_persica_NCBIv2:G8:13917406:13917963:-1 gene:PRUPE_8G109100 transcript:ONH91356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFCQGLPQQQETTQSCSHKPKSANQRKVQISTTLENRKTTKRKFSQIKPKIQTRQWRKTEASPNVWK >ONH92585 pep chromosome:Prunus_persica_NCBIv2:G8:18209645:18211172:1 gene:PRUPE_8G182400 transcript:ONH92585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDPDPDPDAPTNASPGAPPSARRPRGRPPGSKNKPKPPLIITQDAPNGLTSHVLEVSSGSDIVDSLVTYASRRGRGVCVLSGSGAVTNVTLRQPATTVLLSPSDNGTVVTLQGRFEILSITGTCLPPPAPPGAGGLSIYLTGGQGQVAGGIVVGPLRASGPVVLMVVSFAHAVYDRLPLEEEEEKEPSAPRIQAPAAASQSSGVTGGGGSGGDSYNLGVTSISMGNSGFPGDVYGWSSGSSLPK >ONH89885 pep chromosome:Prunus_persica_NCBIv2:G8:1994605:1998597:-1 gene:PRUPE_8G022000 transcript:ONH89885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNAITIILLLLNIFLFPATSALNQEGLSLLSWLSTFNSSSSATFFSSWNPADQDPCKWDYIICSSSRFVSEITITSLNVPTSFPSQLLSFNYLTTLVISKGNLSGEIPPSIGNLSSLTTLDLSFNALTGKIPQEIGKLSKLQLLSLNSNSLHGVIPKEIGNCSQLQQLELYDNQLSGKVPAEIGQLSALEIFRAGGNPGIHGEIPMQISNCKALTFLGLAATGITGQIPSSIGQLENLQTLSVYTANLTGAIPPEIGNCSALENLFLYENQLSGHIPGEFSRLKRLRRVLLWKNNLTGSIPGALGNCSLLIVIDFSMNLLSGEVPPSLVNLVALEEFLLSENHISGSIPPLFGNFSSLKQLELDNNRFTGKIPPVIGKLKELTLFFAWQNQLHGSIPTELANCEKLQALDLSHNLLNGSVPSSLFDLKNLTQLLLISNQLSGVLPTNIGNCTNLIRLRLGSNNFTGQIPSELRLLHRLTFLELSENQFNGEIPPEIGNCTQLEMVDLHGNELQGMIPSSFQFLTGLNVLDLSMNMIEGTIPENLGNLTSLNKLVISGNHITGSIPKSLGFCKDLQLLDMSSNNITGSIPDEIGRLQGLDILLNLSWNSLTSPIPESFSNLSKLANMDLSHNMLTGSLKVLGSLDNLVSLNVSYNDFSGPLPNTNFFKDIPSTAYEGNPKLCINRNECHLNGNLHDKKSIRYLIVCVLLSVTATILLMLAGIALFVRVAGITFGMDSKEESHLIWDFTPFQKLNFSVNDIVTRLSDSNIVGKGCSGMVYRVETPTKQVIAVKKLWPVNNGERPQIDLFSTEVRTLGSIRHKNIVRLLGCCNNGKTRLLLFDYISNGSLGGLLHETRLFLDWDARYKIVLGAAHGLAYLHHDCIPPIVHRDIKSNNILVGPQYESFLADFGIAKLLSAPECGRASNTVAGSYGYIAPEYGYSLRITEKSDVYSYGVVLLEVLTGKEPMDNKIPEGAHIVTWVNKELRERKREFTSILDQQLILRSGTQTQEMLQVLGVALLCVNPCPEERPTMKDVTAMLKEIRHENEDCEKPDFLGKGDAKARVHSSSFSRSSEPLIGSPSCFPTNP >ONH92744 pep chromosome:Prunus_persica_NCBIv2:G8:18659275:18661424:1 gene:PRUPE_8G192900 transcript:ONH92744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLKKQIVKKRTKHFKRPQSDRKICVKESWRRPKGIDSRVRRKFKGCALMPNIGYGSDKKTRHFLPNKFKKFVVHNVKEVEILMMHNRTYCAEIAHNISTKKRKEIVERAAQLDVVVTNKLARLRSQEDE >ONH90670 pep chromosome:Prunus_persica_NCBIv2:G8:10060452:10060774:-1 gene:PRUPE_8G068300 transcript:ONH90670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCKYCFILFGAQYLLQTNTAPTFPKPATSQLPPLPPLPTLPQPTLPNLPNSQPSLPKPATQLPPLPNFPSGLPINIPTTIPSIPFLSPPPAATTP >ONH90487 pep chromosome:Prunus_persica_NCBIv2:G8:6723728:6730042:-1 gene:PRUPE_8G056900 transcript:ONH90487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHRQPGMERLGLRGHDVHHSNGGVGGGGPDHVAVGIRTAPHKPGRGRRSGRSDKGPFRISIGAVVLVLALVFVFTLLAFYYLSRNSRELNTYHAEEDDIKNDPDFLTNVTRTETSKVLRFGKGSVVHGRDSRYWDKDDRRRDGDYNEDGSAGVSDEATDKGDVHVRVKNSDKKSLNDDFPKSSSRKGGLYNEAGRNELKIYEAEYEASLKNSRESKDEDLDKQKEVIDVDDEYDDGIDFHETHMDEYEDMGHQNDHFDEEKSRDEDSGESIDLPDVGTNDQNVANKVEKVSTNSFEDDPVQHSRNLDEVNTKPRHVSIHSGQSSKKSRSTSKRKPKRRKYSGSSCEMKFLNSTAQLIEPLESRKFARFSMQYTQAEDKPEGEEHWEPRFAGHQTLQERENSFLANDQKIKCGFVKGPKESPSTGFDLAEDDTNYISRCHIAVMSCIFGNSDRLRMPYGKTVSRLSRKYVCFVMFVDEVTLQTISSEGQIPDRMGFIGLWKIVVVKNLPYTDMRRVGKIPKLLPHRLFPSARY >ONH90486 pep chromosome:Prunus_persica_NCBIv2:G8:6722048:6730042:-1 gene:PRUPE_8G056900 transcript:ONH90486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHRQPGMERLGLRGHDVHHSNGGVGGGGPDHVAVGIRTAPHKPGRGRRSGRSDKGPFRISIGAVVLVLALVFVFTLLAFYYLSRNSRELNTYHAEEDDIKNDPDFLTNVTRTETSKVLRFGKGSVVHGRDSRYWDKDDRRRDGDYNEDGSAGVSDEATDKGDVHVRVKNSDKKSLNDDFPKSSSRKGGLYNEAGRNELKIYEAEYEASLKNSRESKDEDLDKQKEVIDVDDEYDDGIDFHETHMDEYEDMGHQNDHFDEEKSRDEDSGESIDLPDVGTNDQNVANKVEKVSTNSFEDDPVQHSRNLDEVNTKPRHVSIHSGQSSKKSRSTSKRKPKRRKYSGSSCEMKFLNSTAQLIEPLESRKFARFSMQYTQAEDKPEGEEHWEPRFAGHQTLQERENSFLANDQKIKCGFVKGPKESPSTGFDLAEDDTNYISRCHIAVMSCIFGNSDRLRMPYGKTVSRLSRKYVCFVMFVDEVTLQTISSEGQIPDRMGFIGLWKIVVVKNLPYTDMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQLDPLLILEYFLWRKGYEYAISNHYDRHCVWEEVAQNKRLNKYNHTIIDQQFAFYQADGLTRFNALDPNKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVERFTPRDQLSFAYTYQKLRRMNPGKPFQLNMFKDCERRAIAKLFRHRSDEKQNIRQKATE >ONH90489 pep chromosome:Prunus_persica_NCBIv2:G8:6727032:6730042:-1 gene:PRUPE_8G056900 transcript:ONH90489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHRQPGMERLGLRGHDVHHSNGGVGGGGPDHVAVGIRTAPHKPGRGRRSGRSDKGPFRISIGAVVLVLALVFVFTLLAFYYLSRNSRELNTYHAEEDDIKNDPDFLTNVTRTETSKVLRFGKGSVVHGRDSRYWDKDDRRRDGDYNEDGSAGVSDEATDKGDVHVRVKNSDKKSLNDDFPKSSSRKGGLYNEAGRNELKIYEAEYEASLKNSRESKDEDLDKQKEVIDVDDEYDDGIDFHETHMDEYEDMGHQNDHFDEEKSRDEDSGESIDLPDVGTNDQNVANKVEKVSTNSFEDDPVQHSRNLDEVNTKPRHVSIHSGQSSKKSRSTSKRKPKRRKYSGSSCEMKFLNSTAQLIEPLESRKFARFSMQYTQAEDKPEGEEHWEPRFAGHQTLQERENSFLANDQKIKCGFVKGPKESPSTGFDLAEDDTNYISRCHIAVMSCIFGNSDRLRMPYGKTVRRIPFPRKRNCLLFS >ONH91383 pep chromosome:Prunus_persica_NCBIv2:G8:14052243:14053499:-1 gene:PRUPE_8G111100 transcript:ONH91383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCRKLTKLPGRVHLKSLESIDLSHCGRLKNFPEIVGKMESLGEMNLSGTAIEELPSSIRYLIRLYSLKLSNCKNFTNLPCSIYELQNLQFVYLRGCQKLVRFPNKVIPTNSNDIAGSLTLPNLFHLYIEGANLSEIDFLGTLDCWSRLGILDLSGSNFVRLPEWITKFVNMQELNLVGCKRLVEIPDLPPNIHSVDASGCISLERFPKLPNILEGKELQRHRRMDLSNCWRLLDIAAHFSDHFLIACKQLSIGVVFPGSEVPMWFNCRKNLKKPVKNCDISFEIPRDFRWEKKGLALSVAFETPFKFGSFYAVIHVNEEKIYGVMFEFGSTIFESAHVWLLYVPFCKMDEYVKLNQSTWPRPPFMCRASFYRDYDPLYFKSCGVHLVVPQDGGDIPSSRLVGGSEEGGGFGVDIQH >ONH91119 pep chromosome:Prunus_persica_NCBIv2:G8:12711989:12715624:1 gene:PRUPE_8G094300 transcript:ONH91119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGDESASAASPVNKWIFDMSRLYQYYLDKTTPHSVPRWVGTLALFIVYALRVYYIQGFYIISYGLGIYILNLLIGFLSPLTDPEMEASSGALLPTKGSDEFKPFIRRLPEFKFWYSFTKAFCIAFVMTFFSAFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYVPFNIGKQRYGGKKPSASSSGRKD >ONH91118 pep chromosome:Prunus_persica_NCBIv2:G8:12712319:12715583:1 gene:PRUPE_8G094300 transcript:ONH91118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGDESASAASPVNKWIFDMSRLYQYYLDKTTPHSVPRWVGTLALFIVYALRVYYIQGFYIISYGLGIYILNLLIGFLSPLTDPEMEASSGALLPTKGSDEFKPFIRRLPEFKFWYSFTKAFCIAFVMTFFSAFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYVPFNIGKQRYGGKKPSASSSGRKD >ONH93378 pep chromosome:Prunus_persica_NCBIv2:G8:20491213:20491691:-1 gene:PRUPE_8G229000 transcript:ONH93378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCPGAGKKSWPEVVGQSGEDAAAKIERENHNVRAIVILEGSATTLDRRCDRVWVWVN >ONH90544 pep chromosome:Prunus_persica_NCBIv2:G8:8493098:8503751:-1 gene:PRUPE_8G060300 transcript:ONH90544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVSYSQGPRLDFQQLLGEAQHRWLRPAEICEILSNFQKFHISSEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRSYWMLEQDLMHIVFVHYLEVKGNRANAGGIREIDEVTPDLQKGSSWTSSPSNSNCRTPSGNTDYTSPSSNLTSCEDADSGDSHQASSFHSSFDSQQMGNGPLTDKADINLSLHPHLNNHDGQSSFHGGNYRPYFERDQQCYTDDSTCGIDSQKTLGVGSWEEILEQCTTGLHTVTSHGSKSSIQIASSGIPPEQQNITSTEFLAGNSTLKEEFGSPLAFRTNWQIPLEENALQLPKWSLDQSMNLQLPSNLDTRLFEQGTVDVNLRNAPELVTTHPNQRNDQLVQNNFQAQLTNAESQCLIISSSEPDIPKDGNINYAFTLRQQLLDQEEGLKKVDSFSRWVSKELGEVDDLQMQSSSGISWSTDECGNVADDSSLSPSISQDQLFSIVDFSPKWAYTDSEIEVLVIGTFLVSQKQVIKYNWSCMFGEVEVPAQVLANGVLFCFAPPHSAGQVPFYVTCSNRLACSEVREFDYQVGSTKDLDITNICNGTTNEIHLHLRLERLLSLRSVSPSGQLVEGVKEKQNLISKIISLKEEEEYLPLVEPTAVNDLPQHEGMEHLIKLMKEKLYTWLLHKALEDGKGPSVLDSEGQGVIHLAAALGYDWAIKPIVTAGVSINFRDVNGWTALHWAAFYGREQTVAILISLGAAPGALTDPSPEFPLGRAPADLASVNRHKGISGFLAESSLTSYLDSLTMNDAKEGGAAEISGIRAVKTFSERIATPGSYSDMPDALSLKDSLTAVTNATQAADRIHQMFRMQSFDRRQLTEYDTDEFGMPDERAISLIASKSHKVGQANGHTAAIQIQKKFRGWKKRKEFLIIRQRIVKIQAHVRGHQVRKQYKAITWSVGILEKVILRWRRKGTGLRGFRPDAVAKAPNPQSVPPKDDDYDFLKKGRKQTEERLQKALTRVKSMVQYPEGRAQYRRLLNVVEGFRETKVSDMAMDGSELKVEGGDDLIDIDKLLDDDTFMSIAFD >ONH89911 pep chromosome:Prunus_persica_NCBIv2:G8:2124825:2128153:-1 gene:PRUPE_8G023600 transcript:ONH89911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILLVQGMLLKNNGNSFAPVSCITCPVFGILEWFGNPSVGDSITVELPLPLHPSNSSDWVGIAVCVVFDSSPGFLPGYYFKIEVRSSQRYLDVLRRRYPTEMIFSNNLWVFYLPRNHPSLTNASTSHRFSFETYYFSPRGLENVKTSSIIKECRARLVYKRDLEEFSRLRYGDEAGSIGSSGSGSSDESDEPVAKRLKKV >ONH90699 pep chromosome:Prunus_persica_NCBIv2:G8:10266104:10271801:-1 gene:PRUPE_8G069900 transcript:ONH90699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVYKELDDVKAQIERLKSEVRVKTELSEGLKKAHSEQLIKYQEAKQETEKQAQELIIKLEEISQAKQVSETLQSCLREKESSLRHLTSLHEKLRVDSENKLYKLEGENKELAFALDEVTERNKELEQNFCASTKEIEGLKRLLSTSERNCFEAEQKAQEAKELRHRDDIILELEEENRNAHDQLKWKKEQFRHLEEAHRRLQDQFQLSKEEWEREKSALVEEISLLQTSLDSQTRILEGVQKRLEMCNQVLAHEESRRKFLEIEVSEFKSRYENVFVQCEQERSKFESLTVQRDEEIAKLRNSLSTKEPFTKEMEFRIVHLEQENQELRESLKELQEAQIRNYGSTALTKLRNKLRGLEQVHSNCSTILKAKESELSFQIEKLKGDISRHNSELKGKEKQIQELQMELESYHSMIEVLKEEISVVLTIYKSEFSEAYSKRSDAKTEMPLCNRMDDKISLLTRQLEMKSSDLINVHLQLEQEHEKVKELMKRVRSLELTEQQQVIMEEEIQQHKMMLEESSAHQLYMEEKFLRMEGEKRDVSEALEKTNLELAKKIREVSQLKYELQNLESSAESLKVCCEENQEKCRQMENSLLAQSENEEVLKHEKERLITIIKEQNNNVEVLHQQIVLLEATVAAKRVEVEALTQDKEDLIKNVKEKDSCIVNLQKDITWMKQESMKREAEAAILAGIDAEKSVGQEKERLFKVINEKDQNIKNLQVLASSLEEDLTSAFVLSFSEVVENLLTTEALKKAKHMTELVIEEKNKKIVDLEKEVSGLGQRLIHQKEALFTQKQQEEELQALLEANEVENDKLMGEHRRLEGIVKQLEFEKGVLLQDTTKLSKEREELLVHIEEFCDHIAMNFTVDDELYDFSEENANASFYASATKLDTSAGRSPLKEVNQRQL >ONH90701 pep chromosome:Prunus_persica_NCBIv2:G8:10266104:10271833:-1 gene:PRUPE_8G069900 transcript:ONH90701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVYKELDDVKAQIERLKSEVRVKTELSEGLKKAHSEQLIKYQEAKQETEKQAQELIIKLEEISQAKQVSETLQSCLREKESSLRHLTSLHEKLRVDSENKLYKLEGENKELAFALDEVTERNKELEQNFCASTKEIEGLKRLLSTSERNCFEAEQKAQEAKELRHRDDIILELEEENRNAHDQLKWKKEQFRHLEEAHRRLQDQFQLSKEEWEREKSALVEEISLLQTSLDSQTRILEGVQKRLEMCNQVLAHEESRRKFLEIEVSEFKSRYENVFVQCEQERSKFESLTVQRDEEIAKLRNSLSTKEPFTKEMEFRIVHLEQENQELRESLKELQEAQIRNYGSTALTKLRNKLRGLEQVHSNCSTILKAKESELSFQIEKLKGDISRHNSELKGKEKQIQELQMELESYHSMIEVLKEEISVVLTIYKSEFSEAYSKRSDAKTEMPLCNRMDDKISLLTRQLEMKSSDLINVHLQLEQEHEKVKELMKRVRSLELTEQQQVIMEEEIQQHKMMLEESSAHQLYMEEKFLRMEGEKRDVSEALEKTNLELAKKIREVSQLKYELQNLESSAESLKVCCEENQEKCRQMENSLLAQSENEEVLKHEKERLITIIKEQNNNVEVLHQQIVLLEATVAAKRVEVEALTQDKEDLIKNVKEKDSCIVNLQKDITWMKQESMKREAEAAILAGIDAEKSVGQEKERLFKVINEKDQNIKNLQVLASSLEEDLTSAFVLSFSEVVENLLTTEALKKAKHMTELVIEEKNKKIVDLEKEVSGLGQRLIHQKEALFTQKQQEEELQALLEANEVENDKLMGEHRRLEGIVKQLEFEKGVLLQDTTKLSKEREELLVHIEEFCDHIGEFTCDDVKMMNFLETMLQRSKLEVGPAMNFTVDDELYDFSEENANASFYASATKLDTSAGRSPLKEVNQRQL >ONH90702 pep chromosome:Prunus_persica_NCBIv2:G8:10267466:10270267:-1 gene:PRUPE_8G069900 transcript:ONH90702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVYKELDDVKAQIERLKSEVRVKTELSEGLKKAHSEQLIKYQEAKQETEKQAQELIIKLEEISQAKQVSETLQSCLREKESSLRHLTSLHEKLRVDSENKLYKLEGENKELAFALDEVTERNKELEQNFCASTKEIEGLKRLLSTSERNCFEAEQKAQEAKELRHRDDIILELEEENRNAHDQLKWKKEQFRHLEEAHRRLQDQFQLSKEEWEREKSALVEEISLLQTSLDSQTRILEGVQKRLEMCNQVLAHEESRRKFLEIEVSEFKSRYENVFVQCEQERSKFESLTVQRDEEIAKLRNSLSTKEPFTKEMEFRIVHLEQENQELRESLKELQEAQIRNYGSTALTKLRNKLRGLEQVHSNCSTILKAKESELSFQIEKLKGDISRHNSELKGKEKQIQELQMELESYHSMIEVLKEEISVVLTIYKSEFSEAYSKRSDAKTEMPLCNRMDDKISLLTRQLEMKSSDLINVHLQLEQEHEKVKELMKRVRSLELTEQQQVIMEEEIQQHKMMLEESSAHQLYMEEKFLRMEGEKRDVSEALEKTNLELAKKIREVSQLKYELQNLESSAESLKVCCEENQEKCRQMENSLLAQSENEEVLKHEKERLITIIKEQNNNVEVLHQQIVLLEATVAAKRVEVEALTQDKEDLIKNVKEKDSCIVNLQKDITWMKQESMKREAEAAILAGIDAEKSVGQEKERLFKVINEKDQNIKNLQVLASSLEEDLTSAFVLSFSEVVENLLTTEALKKAKHMTELVIEEKNKKIVDLEKEVSGLGQRLIHQKEALFTQKQQEEELQALLEANEVENDKLMGEHRRLEGIVKQLEFEKGVLLQDTTKLSKEREELLVHIEEFCDHIGEFTCDDVKMMNFLETMLQRSKLEVGPAMNFTVDDELYDFSEENANASFYASATKLDTSAGRSPLKEVNQRQL >ONH90700 pep chromosome:Prunus_persica_NCBIv2:G8:10266104:10271800:-1 gene:PRUPE_8G069900 transcript:ONH90700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVYKELDDVKAQIERLKSEVRVKTELSEGLKKAHSEQLIKYQEAKQETEKQAQELIIKLEEISQAKQVSETLQSCLREKESSLRHLTSLHEKLRVDSENKLYKLEGENKELAFALDEVTERNKELEQNFCASTKEIEGLKRLLSTSERNCFEAEQKAQEAKELRHRDDIILELEEENRNAHDQLKWKKEQFRHLEEAHRRLQDQFQLSKEEWEREKSALVEEISLLQTSLDSQTRILEGVQKRLEMCNQVLAHEESRRKFLEIEVSEFKSRYENVFVQCEQERSKFESLTVQRDEEIAKLRNSLSTKEPFTKEMEFRIVHLEQENQELRESLKELQEAQIRNYGSTALTKLRNKLRGLEQVHSNCSTILKAKESELSFQIEKLKGDISRHNSELKGKEKQIQELQMELESYHSMIEVLKEEISVVLTIYKSEFSEAYSKRSDAKTEMPLCNRMDDKISLLTRQLEMKSSDLINVHLQLEQEHEKVKELMKRVRSLELTEQQQVIMEEEIQQHKMMLEESSAHQLYMEEKFLRMEGEKRDVSEALEKTNLELAKKIREVSQLKYELQNLESSAESLKVCCEENQEKCRQMENSLLAQSENEEVLKHEKERLITIIKEQNNNVEVLHQQIVLLEATVAAKRVEVEALTQDKEDLIKNVKEKDSCIVNLQKDITWMKQESMKREAEAAILAGIDAEKSVGQEKERLFKVINEKDQNIKNLQVLASSLEEDLTSAFVLSFSEVVENLLTTEALKKAKHMTELVIEEKNKKIVDLEKEVSGLGQRLIHQKEALFTQKQQEEELQALLEANEVENDKLMGEHRRLEGIVKQLEFEKGVLLQDTTKLSKEREELLVHIEEFCDHIAMNFTVDDELYDFSEENANASFYASATKLDTSAGRSPLKEVNQRQL >ONH91799 pep chromosome:Prunus_persica_NCBIv2:G8:15688169:15689932:1 gene:PRUPE_8G137200 transcript:ONH91799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYRSHTPGDSELKCLCGLPAKLRLSQTPKNPFRLFYNCPKGISAQCEFFCWSDEPAPTGDRETDEQNLIRHECIRLQESLNEIQQELDCERTEWGREKSELTSQLSTVQFELDALKKRIKMANESDLMPPLDKLSIADDKDDDALVLHTVC >ONH91801 pep chromosome:Prunus_persica_NCBIv2:G8:15686749:15689979:1 gene:PRUPE_8G137200 transcript:ONH91801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYRSHTPGDSELKCLCGLPAKLRLSQTPKNPFRLFYNCPKGISAQCEFFCWSDEPAPTGDRETDEQNLIRHECIRLQESLNEIQQELDCERTEWGREKSELTSQLSTVQFELDALKKRIKMANESDLMPPLDKLSIADDKDDDALVLHTVC >ONH91800 pep chromosome:Prunus_persica_NCBIv2:G8:15686748:15689978:1 gene:PRUPE_8G137200 transcript:ONH91800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYRSHTPGDSELKCLCGLPAKLRLSQTPKNPFRLFYNCPKGISAQCEFFCWSDEPAPTGDRETDEQNLIRHECIRLQESLNEIQQELDCERTEWGREKSELTSQLSTVQFELDALKKRIKMANESDLMPPLDKLSIADDKDDDALVLHTVC >ONH90032 pep chromosome:Prunus_persica_NCBIv2:G8:2968373:2971029:-1 gene:PRUPE_8G031400 transcript:ONH90032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSMLIFLCLLSFLFQHSHSTTILVDGVSEWKNPTVHVGDSIIFKHKYQHNLYIFQNQRAFDLCNFTQATLLNKPDSTSYTWHPSRPGFFYFAFNNGSMLKTCQETQKLAIRVTSSSSAAAPPGSSSSTTTSPQLPPMASPTPSSGGGGAVSSSPSYPWPFHPRQVSFSPSPQPSVSSSVAVPDKGGGRGMPFINSNPAVPLPTGEVDSATIRPLPTSGQQGQVLVGLFALQMEMALFCVVFFLML >ONH90813 pep chromosome:Prunus_persica_NCBIv2:G8:11024741:11026575:1 gene:PRUPE_8G076000 transcript:ONH90813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKDENVRQVTKRGGGQGSRGDQDQPAEIEVSITIHEEASPREERLNKLREEAPPIQAEKVKPKIQKVPFMLRQDKKFDKYYEPIVAALGPFHHARLPQYEYAEKIKLKLAANFVRDSKQNDADLLKKVEDNINELRKCYDEEATKKYDDEFLAWMLFVDGCSTLEFIYKYDELENFQIKRDQVAFVEHDIFLLENQLPYQLLQLLMSSSQIHKELKKSIDEFVGRNSPAAAPADQNGQNPEAEEQRSQPGQEVEAEPTHLLELLRTTMLPYESEKKEGTQRSHSFRNVQELQASGIHFKPSEGNSLRSIYFGSFHLFHGILHLPQIKVDDSTRPKFMNLIAYEMCPDFHNDFGVTSYICFLDSLIDQPDDVKHLRKKQILRNFLGNDEEVAQLFNEIGTDLVPNNDIYSSVKDQIEDHYDNWGNRVMAQFFHEHFSSPWTGIAFLGALLALGSSIVQTVYSVLGYHSSK >ONH91066 pep chromosome:Prunus_persica_NCBIv2:G8:12388077:12394146:-1 gene:PRUPE_8G091100 transcript:ONH91066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARDKTITNRANSEVLHRNIRYSPTWSFRWDNRVGVAGEETSVSWFSDGISRNDGSEVKFESACVSEEGSPLVHFRRHTGQKSSISEGTAGHVRTPASDRSISRNISMDASLEQAKESTESPTVSYPSPTKLSVSLPSTSSLSASPLSSQCHLPPASSTPLRWPRCSPGHQLLRQVSDGRVPGNKSPNSCSISEDRARLPSWSNESARGSRGGSSDSWSMHAFSELMATSNRERWSFDSESFGFNREKITRSSSRISASPPVDLQTCGVCSKLLTEKSSWSGQKIIANNELSVVAVLICGHVYHAECLENMTSEINKYDPACPLCTFGEKQIHKLSEKALKAEMDLKSRNKRSRNRVLDLDSDSVVFDRLKSSGHQGKGPKMGSSSSMRSSLGKPFLRRHFSFGSKSTRALSENHSTRKKGFFWAKSSKM >ONH91062 pep chromosome:Prunus_persica_NCBIv2:G8:12389323:12394077:-1 gene:PRUPE_8G091100 transcript:ONH91062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARDKTITNRANSEVLHRNIRYSPTWSFRWDNRVGVAGEETSVSWFSDGISRNDGSEVKFESACVSEEGSPLVHFRRHTGQKSSISEGTAGHVRTPASDRSISRNISMDASLEQAKESTESPTVSYPSPTKLSVSLPSTSSLSASPLSSQCHLPPASSTPLRWPRCSPGHQLLRQVSDGRVPGNKSPNSCSISEDRARLPSWSNESARGSRGGSSDSWSMHAFSELMATSNRERWSFDSESFGFNREKITRSSSRISASPPVDLQTCGVCSKLLTEKSSWSGQKIIANNELSVVAVLICGHVYHAECLENMTSEINKYDPACPLCTFGEKQIHKLSEKALKAEMDLKSRNKRSRNRVLDLDSDSVVFDRLKSSGHQGKGPKMGSSSSMRSSLGKPFLRRHFSFGSKSTRALSENHSTRKKGFFWAKSSKM >ONH91065 pep chromosome:Prunus_persica_NCBIv2:G8:12389548:12392944:-1 gene:PRUPE_8G091100 transcript:ONH91065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARDKTITNRANSEVLHRNIRYSPTWSFRWDNRVGVAGEETSVSWFSDGISRNDGSEVKFESACVSEEGSPLVHFRRHTGQKSSISEGTAGHVRTPASDRSISRNISMDASLEQAKESTESPTVSYPSPTKLSVSLPSTSSLSASPLSSQCHLPPASSTPLRWPRCSPGHQLLRQVSDGRVPGNKSPNSCSISEDRARLPSWSNESARGSRGGSSDSWSMHAFSELMATSNRERWSFDSESFGFNREKITRSSSRISASPPVDLQTCGVCSKLLTEKSSWSGQKIIANNELSVVAVLICGHVYHAECLENMTSEINKYDPACPLCTFGEKQIHKLSEKALKAEMDLKSRNKRSRNRVLDLDSDSVVFDRLKSSGHQGKGPKMGSSSSMRSSLGKPFLRRHFSFGSKSTRALSENHSTRKKGFFWAKSSKM >ONH91061 pep chromosome:Prunus_persica_NCBIv2:G8:12389548:12392944:-1 gene:PRUPE_8G091100 transcript:ONH91061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARDKTITNRANSEVLHRNIRYSPTWSFRWDNRVGVAGEETSVSWFSDGISRNDGSEVKFESACVSEEGSPLVHFRRHTGQKSSISEGTAGHVRTPASDRSISRNISMDASLEQAKESTESPTVSYPSPTKLSVSLPSTSSLSASPLSSQCHLPPASSTPLRWPRCSPGHQLLRQVSDGRVPGNKSPNSCSISEDRARLPSWSNESARGSRGGSSDSWSMHAFSELMATSNRERWSFDSESFGFNREKITRSSSRISASPPVDLQTCGVCSKLLTEKSSWSGQKIIANNELSVVAVLICGHVYHAECLENMTSEINKYDPACPLCTFGEKQIHKLSEKALKAEMDLKSRNKRSRNRVLDLDSDSVVFDRLKSSGHQGKGPKMGSSSSMRSSLGKPFLRRHFSFGSKSTRALSENHSTRKKGFFWAKSSKM >ONH91064 pep chromosome:Prunus_persica_NCBIv2:G8:12388468:12394077:-1 gene:PRUPE_8G091100 transcript:ONH91064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARDKTITNRANSEVLHRNIRYSPTWSFRWDNRVGVAGEETSVSWFSDGISRNDGSEVKFESACVSEEGSPLVHFRRHTGQKSSISEGTAGHVRTPASDRSISRNISMDASLEQAKESTESPTVSYPSPTKLSVSLPSTSSLSASPLSSQCHLPPASSTPLRWPRCSPGHQLLRQVSDGRVPGNKSPNSCSISEDRARLPSWSNESARGSRGGSSDSWSMHAFSELMATSNRERWSFDSESFGFNREKITRSSSRISASPPVDLQTCGVCSKLLTEKSSWSGQKIIANNELSVVAVLICGHVYHAECLENMTSEINKYDPACPLCTFGEKQIHKLSEKALKAEMDLKSRNKRSRNRVLDLDSDSVVFDRLKSSGHQGKGPKMGSSSSMRSSLGKPFLRRHFSFGSKSTRALSENHSTRKKGFFWAKSSKM >ONH91063 pep chromosome:Prunus_persica_NCBIv2:G8:12389548:12392944:-1 gene:PRUPE_8G091100 transcript:ONH91063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSACCVAARDKTITNRANSEVLHRNIRYSPTWSFRWDNRVGVAGEETSVSWFSDGISRNDGSEVKFESACVSEEGSPLVHFRRHTGQKSSISEGTAGHVRTPASDRSISRNISMDASLEQAKESTESPTVSYPSPTKLSVSLPSTSSLSASPLSSQCHLPPASSTPLRWPRCSPGHQLLRQVSDGRVPGNKSPNSCSISEDRARLPSWSNESARGSRGGSSDSWSMHAFSELMATSNRERWSFDSESFGFNREKITRSSSRISASPPVDLQTCGVCSKLLTEKSSWSGQKIIANNELSVVAVLICGHVYHAECLENMTSEINKYDPACPLCTFGEKQIHKLSEKALKAEMDLKSRNKRSRNRVLDLDSDSVVFDRLKSSGHQGKGPKMGSSSSMRSSLGKPFLRRHFSFGSKSTRALSENHSTRKKGFFWAKSSKM >ONH91067 pep chromosome:Prunus_persica_NCBIv2:G8:12404127:12405358:-1 gene:PRUPE_8G091200 transcript:ONH91067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMFFNKFSILFLLISASFLSASFAAGRSSKFVNKLAEEVDAIPEETLGRPLNHKEAAIIHERLLRANTRDYGRYDPAPALVKPPFKLIPN >ONH92121 pep chromosome:Prunus_persica_NCBIv2:G8:16720153:16724086:1 gene:PRUPE_8G156300 transcript:ONH92121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLSSFTTLDSNPLSKPRSFGPYLHGPIHLQRPRNVVVCSVKPSIATPSPLSVSGSPNQGLSRIESLTQVSGVLGCQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEETLCVIGNGVVVHLPGLFKEIDGLESNGVSCKGRILVSDRAHLLFDFHQVVDGLRESELAKSFIGTTKRGIGPCYSNKVIRNGIRVSDLRYMDTFPQKLDVLLSDAAARFRGFDYGPHVLKEEVEKYKRFAERLEPFIADTVLVVNEAISEKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVLGDLVGVVKAYTTRVGSGPFPTEILGKGGDLLRFAGQEFGTTTGRPRRCGWLDIAALRYCCQINGFSSLNLTKLDVLSDLPEIQLGVAYKKTDGTPIRSFPADLRDLEQLKVEYEVLPGWKSDISSVRNYSDLPKAARQYVERIEELVGVPIHYIGVGPGRDALIYK >ONH92122 pep chromosome:Prunus_persica_NCBIv2:G8:16721164:16723918:1 gene:PRUPE_8G156300 transcript:ONH92122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLSSFTTLDSNPLSKPRSFGPYLHGPIHLQRPRNVVVCSVKPSIATPSPLSVSGSPNQGLSRIESLTQVSGVLGCQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEETLCVIGNGVVVHLPGLFKEIDGLESNGVSCKGRILVSDRAHLLFDFHQVVDGLRESELAKSFIGTTKRGIGPCYSNKVIRNGIRVSDLRYMDTFPQKLDVLLSDAAARFRGFDYGPHVLKEEVEKYKRFAERLEPFIADTVLVVNEAISEKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVLGDLVGVVKAYTTRVGSGPFPTEILGKGGDLLRFAGQEFGTTTGRPRRCGWLDIAALRYCCQINGFSSLNLTKLDVLSDLPEIQLGVAYKKTDGTPIRSFPADLRDLEQLKACGI >ONH92120 pep chromosome:Prunus_persica_NCBIv2:G8:16720153:16724072:1 gene:PRUPE_8G156300 transcript:ONH92120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLSSFTTLDSNPLSKPRSFGPYLHGPIHLQRPRNVVVCSVKPSIATPSPLSVSGSPNQGLSRIESLTQVSGVLGCQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEETLCVIGNGVVVHLPGLFKEIDGLESNGVSCKGRILVSDRAHLLFDFHQVVDGLRESELAKSFIGTTKRGIGPCYSNKVIRNGIRVSDLRYMDTFPQKLDVLLSDAAARFRGFDYGPHVLKEEVEKYKRFAERLEPFIADTVLVVNEAISEKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVLGDLVGVVKAYTTRVGSGPFPTEILGKGGDLLRFAGQEFGTTTGRPRRCGWLDIAALRYCCQINGFSSLNLTKLDVLSDLPEIQLGVAYKKTDGTPIRSFPADLRDLEQLKVEYEVLPGWKSDISSVRNYSDLPKAARQYVERIEELVGVPIHYIGVGPGRDALIYK >ONH92197 pep chromosome:Prunus_persica_NCBIv2:G8:16984665:16987374:1 gene:PRUPE_8G161900 transcript:ONH92197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSPSCFPLFPSLLLILLSLASFGSQTFSVHGLYIREATISDLQLAFKQNQLTSRKLVEFYLQEIRRLNPVLNAVIEVNPDALYQADKADYQRKAKGPGYYSGLHGIPILLKDNIGTKDKLNTTAGSLALLGSVVPHDAGVVSKLRSAGAIILGKASLSEWAQFRSLAAPSGWSARGGQGKNPYVLSATPCGSSSGPAISAAANLVAVSLGTETDGSILCPASFNSVVGIKPTVGLTSRAGVIPVTPRQDTVGPITRTVSDAVHVLDAIVGYDYNDQATREASKYIPSGGYKQFLQAYGLKGKRLGIVRDPFFTSSSGSLQLQAFEKHFQTLRQGGAVLVDHLQIANIDVILNFNLSGEATASLAEFKLALNAYLKDLVVSPVRSLADVIAFNLKFSGVELIKEFGQDIFLAAQATNGIGNKEKAALLNLAKLTKYGFEKLLTDNRLDALVTPGSDVSPALAIGGFPGISVPAGYDKKGVPFGITFGGLKGSEPKLIQIAYGFEQATKVRKAPTFLP >ONH91944 pep chromosome:Prunus_persica_NCBIv2:G8:16127948:16129331:1 gene:PRUPE_8G145400 transcript:ONH91944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISSPSGDSDEEVPLRARLQRSGARRPFSWLREDSDEQVNMTPRHKRTRVRRFYEEETEEEEEESDEYGGEEVGEGSVEYGGEEVGEEERDEYGGEEVGEEERYEYGGEEVGEEESNDYGEEVGREREEDRDFLNNIEGAWEEEEPNNEAQVHEQQQDGSQSHVSITLTDPELFDCPICCEPLTIPVYQCDQNGHIACSSCRTKINNKCPSCSGFIGFSRCRAMEKALESIKTSCQNIKYGCKQSFPFHKKGEHINACVYSPCFCPHCNFVSPDIELYNHFRSSHATFATQFKYNCFFSVSLNAEASFLVLQEKNDDTLFILKKNYVEDVGNSVKVTCIQPGFREGFLYQLRARAKKMSLKLEALTESTPSRQAIDNPKTGFLLIPCDFISPGGQLKMEIRIESFEMY >ONH91397 pep chromosome:Prunus_persica_NCBIv2:G8:14079350:14080632:-1 gene:PRUPE_8G111700 transcript:ONH91397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVLQIGSRETEFIQNIVNEISLQVLNDIHINVAKYQVGIEASVRDLHKVLDVYGNDVCMVGIWGTGGIGKTTVAKAVYNSLAQVFERSCFLENVRERSIPYGGLVDLQNLLLYEIPRGKEIKVTSADKGISVIKERLSGKKVLVIVDDVDHLDQLNNLVGGCDCWNGFTRNRNLDDDYVELAECVLKHAQGLPLALKVLGSHLCGRSIDEWHDALDGNLHSDIKKTLKISYDALEYSVQEVFLDIACFFNGRKVNHMIPILEGCDLKPKYAIKVLVDKALINIEQGTIGMHDLLEELGRRIVYQESPNEPSERSRL >ONH92441 pep chromosome:Prunus_persica_NCBIv2:G8:17812285:17813130:1 gene:PRUPE_8G175800 transcript:ONH92441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHTRSNSFPSRPHPILQEVEEHLCGLRSSEATSTSSSSSTSHKLSGLHELYDCVDRLLQLPLTQQALAQEQNEKLANEILDGSVRLLDVCSSAKDVILQTKECVRKYLTSRKMVKKTINKAVGSLKAIANKFASSSLNKDHEKLREVEAVTLAVFESLLSCISGPKSKTSSWSLVSKIMQSKKVACEEEAEANEFAQVDAALNSLIGHKTSKSQHQNVDNVQNQLNKLEACIQDQEEGLECLFRQLIKTRVSSQHLKP >ONH92084 pep chromosome:Prunus_persica_NCBIv2:G8:16598130:16598771:-1 gene:PRUPE_8G153800 transcript:ONH92084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSTILLALVCVLGSALLQSTHAQDAPQDYLTAHNIARAAVNVEPLSWDEKLQAYAQDYANQHMGDCNLVHSGGPYGENLAMSTADLSGTDAVNMWVAEKADYNYDSNTCADDKVCGHYTQVVWRNTARVGCAKVRCNSGGTFIGCNYDPPGNYVGEKPYEPYNSYAKILPCARCMDAPIIFTIGNNVE >ONH90894 pep chromosome:Prunus_persica_NCBIv2:G8:11482304:11483354:1 gene:PRUPE_8G081600 transcript:ONH90894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQLPGIMHAKQILQRGSLFANRADSTSSIGVPKGFLPVYVGESKRKRYMVPISFLSQPSFQELLSKAEEEFGFDHPMGGLTIPCREEIFINLTSQLNGM >ONH89849 pep chromosome:Prunus_persica_NCBIv2:G8:1834704:1842366:-1 gene:PRUPE_8G020100 transcript:ONH89849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFEKNETDLEGGKLLKDGDKATRGNKVVKSQNQALLAGLAYCISSCSMILVNKFVLSSYNFDAGISLMLYQNLVSVIIVSVLSFLGIISTEPLTWRLVKVWLPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAIGEMYLFQKHHDSRVWAALFLMIISAISGGVTDLSFHAVGYAWQIINCFLTASYSLTLRRVMDTAKLVTKSGKLNEFSMVLLNNTLSLPLGIVLIFVFREVDYLFTTPLLRLPTFWMVMTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGILLFKVPTSLENSASIFFGLVAGVFFARAKMRERSQS >ONH89850 pep chromosome:Prunus_persica_NCBIv2:G8:1834838:1841667:-1 gene:PRUPE_8G020100 transcript:ONH89850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFEKNETDLEGGKLLKDGDKATRGNKVVKSQNQALLAGLAYCISSCSMILVNKFVLSSYNFDAGISLMLYQNLVSVIIVSVLSFLGIISTEPLTWRLVKVWLPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAIGEMYLFQKHHDSRVWAALFLMIISAISGGVTDLSFHAVGYAWQIINCFLTASYSLTLRRVMDTAKLVTKSGKLNEFSMVLLNNTLSLPLGIVLIFVFREVDYLFTTPLLRLPTFWMVMTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGILLFKVPTSLENSASIFFGLVAGVFFARAKMRERSQS >ONH89848 pep chromosome:Prunus_persica_NCBIv2:G8:1834721:1842309:-1 gene:PRUPE_8G020100 transcript:ONH89848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFEKNETDLEGGKLLKDGDKATRGNKVVKSQNQALLAGLAYCISSCSMILVNKFVLSSYNFDAGISLMLYQIISAISGGVTDLSFHAVGYAWQIINCFLTASYSLTLRRVMDTAKLVTKSGKLNEFSMVLLNNTLSLPLGIVLIFVFREVDYLFTTPLLRLPTFWMVMTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGILLFKVPTSLENSASIFFGLVAGVFFARAKMRERSQS >ONH93021 pep chromosome:Prunus_persica_NCBIv2:G8:19417881:19418255:1 gene:PRUPE_8G208800 transcript:ONH93021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLMSQTLVTDSLFDKIRVHVVNSFQNETLEVHCKSKDDDLGLHHIPANQEFNWHFRIAIFKRTLFFCDTWWRGGKASFHAFSAEEGFLKFCGDGDCMWRANEDGMSLLDCHHQQYHLMHRWESS >ONH93280 pep chromosome:Prunus_persica_NCBIv2:G8:20166906:20169496:1 gene:PRUPE_8G222800 transcript:ONH93280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLNLAQLGERLKIGGAQMGRMVSGKVKEILQTPTPESKMIDEATLETLEEPNWGMNLRICAMINSEEFSGSEVVRAIKKKISGKNVVSQRLSLDLLETCAMNCEKVFSEVASEKVLDEMLRMIENPQTDAENRQRAMQLIRAWGESEELAYLPVFRQTYMSLRERSTNPLAPEGTSPSMQSTLESYVHEPLSPPERYPVPDTGLHGGNHNDFAFNYQSLAVEEKKEFLVVARNSLELLSSILNTETDPKPLKEELTLNMLDKCKESQPVIKRIIETTTDDEGMLFEALFLHEELQQVISRYEDLEGSQKSGVQQLENPETTNHDGVKAVQNPGELPGNSNSTAREDSEDTQKPGGKLPENSIASEGNSSAPVGTNTETKIVDSPKEDPKISSEKVGE >ONH92395 pep chromosome:Prunus_persica_NCBIv2:G8:17615821:17619247:1 gene:PRUPE_8G172700 transcript:ONH92395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRSSKAVKKKKRSIIVGLNSDSSSREMLLRLLISVVKAGDSVTAVHVQEADADAFDPNSFHTHEDLCKSKKVDFHVKVCITDSYVSELPYQVRISYATILVLGCSLSGPSLSAINTCLKGLPPSCTLLVMDTVGRILLEGQGTSQQGSVRAAALQSSQSFSSTYTFSDKKSNITPQLQKSLTIPAFSTGPSMRPTTRRALSAVNKMVEVSDSVAEKLFHKLALLEAEGSIRNFALQELRYATNNFNSAMVIGEGGHSKVYRAKLGDGQDAAVKVLKSSHCSAVDFFREVDLFSSMKHESIVQIIGFCNNKEVQAIVYNLLKGSLRQNLRQLRWSERMKVAIGVAKALDYLHHSHNPPIIHRDVKSSNILLSHNCEPILSDFGAAMFLQQASANTKAPFDVVGTFGYLAPEYMMYGKVDEKIDVYSYGVVLLELITGKEAIQTDQEIRESLVLWARSLMCSGIWERLIDPNLVEDYNKEEMEMMMIAARLCLMHSSSRRPTMKMVLLVFFFSSY >ONH92396 pep chromosome:Prunus_persica_NCBIv2:G8:17615821:17619247:1 gene:PRUPE_8G172700 transcript:ONH92396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVGRILLEGQGTSQQGSVRAAALQSSQSFSSTYTFSDKKSNITPQLQKSLTIPAFSTGPSMRPTTRRALSAVNKMVEVSDSVAEKLFHKLALLEAEGSIRNFALQELRYATNNFNSAMVIGEGGHSKVYRAKLGDGQDAAVKVLKSSHCSAVDFFREVDLFSSMKHESIVQIIGFCNNKEVQAIVYNLLKGSLRQNLRQLRWSERMKVAIGVAKALDYLHHSHNPPIIHRDVKSSNILLSHNCEPILSDFGAAMFLQQASANTKAPFDVVGTFGYLAPEYMMYGKVDEKIDVYSYGVVLLELITGKEAIQTDQEIRESLVLWARSLMCSGIWERLIDPNLVEDYNKEEMEMMMIAARLCLMHSSSRRPTMKMILRFFEKPEHWLRMQRERDDFLNGIDLQGETAQP >ONH92394 pep chromosome:Prunus_persica_NCBIv2:G8:17615821:17619247:1 gene:PRUPE_8G172700 transcript:ONH92394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRSSKAVKKKKRSIIVGLNSDSSSREMLLRLLISVVKAGDSVTAVHVQEADADAFDPNSFHTHEDLCKSKKVDFHVKVCITDSYVSELPYQVRISYATILVLGCSLSGPSLSAINTCLKGLPPSCTLLVMDTVGRILLEGQGTSQQGSVRAAALQSSQSFSSTYTFSDKKSNITPQLQKSLTIPAFSTGPSMRPTTRRALSAVNKMVEVSDSVAEKLFHKLALLEAEGSIRNFALQELRYATNNFNSAMVIGEGGHSKVYRAKLGDGQDAAVKVLKSSHCSAVDFFREVDLFSSMKHESIVQIIGFCNNKEVQAIVYNLLKGSLRQNLRQLRWSERMKVAIGVAKALDYLHHSHNPPIIHRDVKSSNILLSHNCEPILSDFGAAMFLQQASANTKAPFDVVGTFGYLAPEYMMYGKVDEKIDVYSYGVVLLELITGKEAIQTDQEIRESLVLWARSLMCSGIWERLIDPNLVEDYNKEEMEMMMIAARLCLMHSSSRRPTMKMILRFFEKPEHWLRMQRERDDFLNGIDLQGETAQP >ONH93242 pep chromosome:Prunus_persica_NCBIv2:G8:20066359:20071472:-1 gene:PRUPE_8G221100 transcript:ONH93242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWLQKLQPRDKLRSSTRKKEPCSGGEEDSNTDEEALSNVTKQKAAAAKQYIENHYKEQMKNLQERKERRNMLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGSVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIREDFSIAPNVNGGPQNDERPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVNQRLGTNGADEIKVHPWFDGTEWDKLYQMDAAFIPEVNDDLDTQNFEKFEESDSQTQSSSKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGMASLKKKSTKPKRPSVKTLFDEESETSETSEISDSGSSHPVQGSFLNLLPPQLEVSQHRDDSL >ONH93241 pep chromosome:Prunus_persica_NCBIv2:G8:20066356:20071472:-1 gene:PRUPE_8G221100 transcript:ONH93241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHFKLQRKWRTIFRVSRITFSFLFLPVANFTRWLAIEEIHCGFGHGVVLSGHIGLCIVRREMDSARSWLQKLQPRDKLRSSTRKKEPCSGGEEDSNTDEEALSNVTKQKAAAAKQYIENHYKEQMKNLQERKERRNMLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGSVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIREDFSIAPNVNGGPQNDERPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVNQRLGTNGADEIKVHPWFDGTEWDKLYQMDAAFIPEVNDDLDTQNFEKFEESDSQTQSSSKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGMASLKKKSTKPKRPSVKTLFDEESETSETSEISDSGSSHPVQGSFLNLLPPQLEVSQHRDDSL >ONH93245 pep chromosome:Prunus_persica_NCBIv2:G8:20067459:20070853:-1 gene:PRUPE_8G221100 transcript:ONH93245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWLQKLQPRDKLRSSTRKKEPCSGGEEDSNTDEEALSNVTKQKAAAAKQYIENHYKEQMKNLQERKERRNMLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGSVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIREDFSIAPNVNGGPQNDERPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVNQRLGTNGADEIKVHPWFDGTEWDKLYQMDAAFIPEVNDDLDTQNFEKFEESDSQTQSSSKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGMGIYISCSPINLP >ONH93243 pep chromosome:Prunus_persica_NCBIv2:G8:20066356:20071066:-1 gene:PRUPE_8G221100 transcript:ONH93243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWLQKLQPRDKLRSSTRKKEPCSGGEEDSNTDEEALSNVTKQKAAAAKQYIENHYKEQMKNLQERKERRNMLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGSVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIREDFSIAPNVNGGPQNDERPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVNQRLGTNGADEIKVHPWFDGTEWDKLYQMDAAFIPEVNDDLDTQNFEKFEESDSQTQSSSKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGMASLKKKSTKPKRPSVKTLFDEESETSETSEISDSGSSHPVQGSFLNLLPPQLEVSQHRDDSL >ONH93244 pep chromosome:Prunus_persica_NCBIv2:G8:20067459:20071243:-1 gene:PRUPE_8G221100 transcript:ONH93244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHFKLQRKWRTIFRVSRITFSFLFLPVANFTRWLAIEEIHCGFGHGVVLSGHIGLCIVRREMDSARSWLQKLQPRDKLRSSTRKKEPCSGGEEDSNTDEEALSNVTKQKAAAAKQYIENHYKEQMKNLQERKERRNMLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGSVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIREDFSIAPNVNGGPQNDERPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVNQRLGTNGADEIKVHPWFDGTEWDKLYQMDAAFIPEVNDDLDTQNFEKFEESDSQTQSSSKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGMGIYISCSPINLP >ONH92674 pep chromosome:Prunus_persica_NCBIv2:G8:18473263:18475455:-1 gene:PRUPE_8G188700 transcript:ONH92674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLARTSVFRSLRLLKSHNRLNIEKPSLGDVVCKVFVQKPAVWDLVGITRFPLEGHRWVHSAPCVNAEHKAAEPLGNSKEGTVAKDTGSATVKRKKLKGKRAVVRWLKFFRWKKKKDFKRMTAEEKILHKLRKAQRKEERLVEALKKIEPSETSETNHDPEILTPEEHFFFLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVVVKTFSPEEVKEIASELARLTGGIVLGIHEEYTIIMYRGKNYSQPPTEIMSPRVTLSRKKALDKSKYKDGLRAVRKYIPKLEQDLDLLRAQAKRKPEIETDAIEETQETDIDSVDSRSSSNFKLEPSDKLKEIIGRSRGCSEDDLVTDSEMPSDSEHLSDIFETDSDKETEEKAERPLYLEEFEKFPVESDGEPEDFEDHLRQISMDSKKAKSVNEDADLPNFDEVDRIFLRAASLLKKKRN >ONH91228 pep chromosome:Prunus_persica_NCBIv2:G8:13170869:13172806:1 gene:PRUPE_8G100400 transcript:ONH91228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYYWQHSKTVYSPRNQPFLQAKREKSKLLIHSRIKQRNMLRRRVTSLLSTTIRSSSIRTKPTLSASPISFQSLHFLQCPNPNPSISNAKGFGFQPEGMRAYSLLSLNDLRGKVPRKQKTRKGRGIGSGKGKTAGRGHKGQKARGSGKLGFEGGQTPLRRRLPKRGFKNPFSLTFQPVGLGKIAKLINAGKIDSSELITMKTLKDTGAIGKQIKDGVRLMGRGAEQIQWPIHFEVSRVTVRAKEAVEAAGGSVRRVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQKDKVDSIGRLPAPTKPIPFFTEEEVASSSST >ONH93572 pep chromosome:Prunus_persica_NCBIv2:G8:21051228:21052249:1 gene:PRUPE_8G239600 transcript:ONH93572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSLLPLPLCSPLRPRLASSQTHLCNPVSWPLVSLTYPTPRRLRSKNVVFGSNSIDPKESEFLDENGVVDDMDGYMNYLSLEYDSVWDTKPSWCQPWTITLTGVLVIGGSWLILNSVVITVLAALLISTWWFIFLYSYPKAYADMIAERRSRVTNGVEDTFGLGKSQ >ONH91730 pep chromosome:Prunus_persica_NCBIv2:G8:15514222:15515810:1 gene:PRUPE_8G133800 transcript:ONH91730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLPPATSLITFLWSGGESILLVPMATRIIDVKLLISEVLRIPVPIDRQELSWEGQCLKDELTLQDYHIPPTAFLVIYKKIEVEIYLEFDGHRYVYVVFDGITVGELKAKLQTEQGVDIEHKVLWMVDNSYLDDHAQLLVAGVVEGAKLFLIEDKKRM >ONH92271 pep chromosome:Prunus_persica_NCBIv2:G8:17232261:17233427:-1 gene:PRUPE_8G166200 transcript:ONH92271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDGPKENLENHQESAKEENNKWEEDLVEADDQLKEKSEVEEGAVKDENNLWEEYLVEAHDHLEEKSEVDHREAAKERERLILPELGSFLNWKERRKADEVSNLSGNGSSEIENELKAENVRIYTEEELVDIDVVERLKAENSILKREKEFLEESERLLYKDSLHVWEMHNQKLNAMRFEANRESETLFGELEKHFKLEKDMLARLYAYEEDKNKWEQEKREIAEKLEALTAAFEKNQGTVQNGTEDLTKRWEDEKTELTQQLSAANSWYQYYYQVAMHNEEIVLKLEQERNNLSAKLCAATQCSDYYRACFRDCEENSLRFMEKNDELARKLRDETILASSYHNRVYELEDKCRMLEEAKTNLTAKLCAANASSELYRSMLHDAGC >ONH91433 pep chromosome:Prunus_persica_NCBIv2:G8:14209505:14213490:1 gene:PRUPE_8G114000 transcript:ONH91433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDKQPLKEEKSLLQEEKEEAKKAEAAEAQASPAKSGGWGGWGFSSVFSDLQKAAEEISRNAAAVAQTAAKSIADMQNPAEDSEESSKEEEGDTEKESQDGTESQDEHEKQRKAALEKLEKASEDSFLGQGLKVLDSSVENFASGAWQALGSALKGSTDLVHKLENSAVNLAGSIQQGGLPTAAGSAAPSLLETGKAFTSKGMQVLELVGKETLDLLITETGIEVEKNSKPSEQEAEEDQLYEEVGFDRCFYIYGGPEQLENLLYLTLFRFTSALAGQAVNDIIQRTTGRLESLHSEGVHRLSEMCCSAVSQLLMLGKSIISSANNTQVEDVDADVENIDWPEDSVEKAKIIRSKAEAMTGYVEAVSSSFITGISDVAEAYLAAIKGASAESHDVLPQTSIQEKASSFSKHLRDDQNTALGKIQDGLHYLSCVVVSSSMPTA >ONH90600 pep chromosome:Prunus_persica_NCBIv2:G8:9149238:9149836:1 gene:PRUPE_8G062900 transcript:ONH90600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLNAPNNHLPAPSLQSLIIVRVLDFAQDVVLPCVAAFATCVACSGRPIKEKGSTAAPPSLKNHLERSEFAPFVVLTLNPKPSLPLTSCHHEQ >ONH90599 pep chromosome:Prunus_persica_NCBIv2:G8:9149330:9149836:1 gene:PRUPE_8G062900 transcript:ONH90599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLNAPNNHLPAPSLQSLIIVRVLDFAQDVVLPCVAAFATCVACSGRPIKEKGSTAAPPSLKNHLERSEFAPFVVLTLNPKPSLPLTSCHHEQ >ONH90365 pep chromosome:Prunus_persica_NCBIv2:G8:5410413:5416286:1 gene:PRUPE_8G049700 transcript:ONH90365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFQFQTQKRIRSSRVSETQRNVAVEEKSTVGLPLRKGWALSATKNADPESEDLGFDEICQTQKRKRSSRVSETQRNVAVEKKLTVGLPLCMGLASSATKNANPESEEGFDEVCQTQKRKRFSRVSETQRKEKSTVGLPLRKVKGLVSSASKETLDCSKVLDSLMNLGHASYFNKPIVDPVAENLPGYFDEIWRPMDLGTVKSKLEKGVYSSADGFATDVRLIFSNAFRYFPLGSRNCAAAKHLSGVFETQWKEAEEKMSKTACPPPTPPLPKRRPNGKSSSACRVLIQSQEVVGVSDSHSVKSTKDDDLGTLVHHAIYQATDNLSPFKARRIQSLKMRFSGTIRKANKILKGLPDSPPRSKLMHRMEQRESARCAIFNMEKSVQFEDPLRDLKQLEIICGCGSEKIYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDREEGEICWQESDWEEGEIRS >ONH89827 pep chromosome:Prunus_persica_NCBIv2:G8:1743299:1745197:1 gene:PRUPE_8G018700 transcript:ONH89827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSLFLDTKTLAWPSRGHTQTSSHHLRRLTPPNSTIKFRQPQPISAVATSPPPSLTRQKTHSMPPEKVEIFKSLEGWASEHVLPFLKPVDQCWQPSAFLPDPALPKGEFLDRVQELRDRTNGLPDEYFVVLVGDMITEDALPTYQSMINGLDGVRDETGASSSPWARWTRAWTAEENRHGDLLRTFLYLSGRVDMLMIEKTVQYLIGAGMEPGTENNPYLGFVYTSFQERATFISHGNTARLAKDGGDPVLARMCGTIASDERRHEMAYSKIVEKLLEVDPTGAMVAIADMMRKKITMPAHLMYDGEDPKLFEHFAAVAQRLGVYTADDYADILEFLIGRWRLEKLEGLTAEGARAQEFVCGLAPRIRRLQERADERARKMGPHAVKFSWIFNKEVVL >ONH90903 pep chromosome:Prunus_persica_NCBIv2:G8:11545088:11547488:1 gene:PRUPE_8G082500 transcript:ONH90903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGIPSTGSGSGSFWTAKQNKDFGNALAVFDKDTANRWDNVAKDIGEKTQRKSRKEVKKHYEILVEDIMLIEPRQVPMPNFRKNK >ONH91953 pep chromosome:Prunus_persica_NCBIv2:G8:16164255:16175365:-1 gene:PRUPE_8G146100 transcript:ONH91953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYAKTLNSYVCNKLDQATLLWLLRCIFKAGLEQEIENLKKKLAACTRDNVNLQEELSETYRIKGQLADLHSAEVAKNVEAEKQLKFFQGCVAAAFAERDHSIMEAEKAREKEEFMSKKLCDIEKRLEELAADCSEQKKLNDKLQTDLAMQEEHNESFKKVIDKFYEIRRHSPEGFQDTSWDRKCACLLHDPAEWWSFNDASTSKYISALEEELERMRNSVDNLRNRLQVGLEIENHLKRRVGELEKKKIITDKMIKNEIAELHHYHSQHRVHVINLLNEGHASIKSICDAIEEKSRQIDVGRLQKVGPPPGDVKQDKNECQDVHENADAEPQLLSKNIGPVLSEFVAVGGNDASGALAQALQDKVATLLLLSQQEERHLLDRNVNAALERKIEELQRNLLQVTNEKVKALMEFAQLKQDYHQLKERIGQETNRENLLAESGDRKAVTHERDGRLKSLLKKTYLSRWVGPPDSRGIEAEGGLNIEGNRRSNSSMEFARMKIEIATLRESMGSMEHLTTTVHRLRLSLSKAKESVTSGSTMVTSLSETVNNIIYEAKLVKTALGSSLPISWSADTDVEFNDHSLSNEPGHVSGETSHEKIDSVFAAGFEMVELLILAAQILKDNMSKTGS >ONH91952 pep chromosome:Prunus_persica_NCBIv2:G8:16164255:16175484:-1 gene:PRUPE_8G146100 transcript:ONH91952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSSDKESLMARIHQLEQERDELRKDIEQLCMQQAGPSYLVVATKMHFQRTAGLEQEIENLKKKLAACTRDNVNLQEELSETYRIKGQLADLHSAEVAKNVEAEKQLKFFQGCVAAAFAERDHSIMEAEKAREKEEFMSKKLCDIEKRLEELAADCSEQKKLNDKLQTDLAMQEEHNESFKKVIDKFYEIRRHSPEGFQDTSWDRKCACLLHDPAEWWSFNDASTSKYISALEEELERMRNSVDNLRNRLQVGLEIENHLKRRVGELEKKKIITDKMIKNEIAELHHYHSQHRVHVINLLNEGHASIKSICDAIEEKSRQIDVGRLQKVGPPPGDVKQDKNECQDVHENADAEPQLLSKNIGPVLSEFVAVGGNDASGALAQALQDKVATLLLLSQQEERHLLDRNVNAALERKIEELQRNLLQVTNEKVKALMEFAQLKQDYHQLKERIGQETNRENLLAESGDRKAVTHERDGRLKSLLKKTYLSRWVGPPDSRGIEAEGGLNIEGNRRSNSSMEFARMKIEIATLRESMGSMEHLTTTVHRLRLSLSKAKESVTSGSTMVTSLSETVNNIIYEAKLVKTALGSSLPISWSADTDVEFNDHSLSNEPGHVSGETSHEKIDSVFAAGFEMVELLILAAQILKDNMSKTGS >ONH93070 pep chromosome:Prunus_persica_NCBIv2:G8:19516938:19519204:-1 gene:PRUPE_8G211000 transcript:ONH93070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYMFRLVDYKDSFARRCIMVNGPVIVGAGPSGLATAACLRDQGVPFVVLERAECIASLWQKRTYDRLKLHLPKAFCQLPKLPFPEDFPEYPTKKQFIDYLESYAKHFEINPKFNSCVQSARYDETSGFWRVKTVTSTESTRSEVEYICRWLIVATGENAECVVPEFDGLSDFGGKVVHACEYKSGESFRGKKVLVVGCGNSGMELSLDLCNHNALPSMVVRSSVHVLPREMFGKSTFELAVLLLKWLPIWLADKLLLLFSWLILGSIEKYGLNRPSVGPMELKNMEGKTPVLDIGALDKIKSGGIKVVPGIKRFSSGQVELVNGERLDIDSVVLATGYRSNVPSWLQEGDFFSKNGFPKQPFPHGWKGNAGLYAVGFTRRGLSGASSDAMRIAQDIGKVWKGETKQIKKRTTACHRRCISQF >ONH90160 pep chromosome:Prunus_persica_NCBIv2:G8:3725038:3725754:-1 gene:PRUPE_8G038100 transcript:ONH90160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPLSYALAFFFALFLFLSSSSLANASTQLIDDVCKNTINNAECLKILDSNPQALSASSYKDLAQVALGLAIANAEDSQTFINNLLKSDPRDAIKKCASSYKAVVASFKSSKAEIEEDPMTANYDAKIAGDDAGNCETALSSQGVKVPEISARNHVVQFTCLMLLLSLSLIPELKLPIC >ONH92089 pep chromosome:Prunus_persica_NCBIv2:G8:16619602:16620121:-1 gene:PRUPE_8G154300 transcript:ONH92089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVSANISQAEIDGFLEEHNKARKEVGNKPLKWNTTLAQYAQEYANKRVDDCAMEHSRGRWGENLTSGDGMTGAAGTKYWVTEKEFYDPKSNKCVKEECGHYLAVIWGKTTEVGCGISKCKDGKNYIVCSYDPMYQPEDERPY >ONH89715 pep chromosome:Prunus_persica_NCBIv2:G8:946505:950137:1 gene:PRUPE_8G011700 transcript:ONH89715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDEVIWQVIRHKHCSFMSKIETGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLMYWPKFLAHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKSIERELLERLKKGVYGDAYKDIYNYPFDKYQKVLEGEEMQLDTEREEEEEEPEIEYVEGYDELEEEDDIEDFAGFSIDNSHADDDNVGSEEEAEAVTRKRERKESAFASRRFEKDEPAAKLKKKPRVLVEVEHEDSGVRQKAVH >ONH89717 pep chromosome:Prunus_persica_NCBIv2:G8:946505:950137:1 gene:PRUPE_8G011700 transcript:ONH89717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLMYWPKFLAHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKSIERELLERLKKGVYGDAYKDIYNYPFDKYQKVLEGEEMQLDTEREEEEEEPEIEYVEGYDELEEEDDIEDFAGFSIDNSHADDDNVGSEEEAEAVTRKRERKESAFASRRFEKDEPAAKLKKKPRVLVEVEHEDSGVRQKAVH >ONH89716 pep chromosome:Prunus_persica_NCBIv2:G8:946505:950137:1 gene:PRUPE_8G011700 transcript:ONH89716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDEVIWQVIRHKHCSFMSKIETGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLMYWPKFLAHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKSIERELLERLKKGVYGDAYKDIYNYPFDKYQKVLEGEEMQLDTEREEEEEEPEIEYVEGYDELEEEDDIEDFAGFSIDNSHADDDNDTNFLVSCD >ONH93178 pep chromosome:Prunus_persica_NCBIv2:G8:19870788:19877718:-1 gene:PRUPE_8G217500 transcript:ONH93178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTSPNVNFTSSCNIIDSKFSKFWAVLLIFLSAHLVFLWNIRIRAIVIATTDIPQTGTRTPIITFLLFFLELHPLDRPLASLHKSEFPTKVLYVKLL >ONH93179 pep chromosome:Prunus_persica_NCBIv2:G8:19869546:19877718:-1 gene:PRUPE_8G217500 transcript:ONH93179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTSPNVNFTSSCNIIDSKFSKFWAVLLIFLSAHLVFLWNIRIRAIVIATTDIPQTGTRTPIITFLLFFLELHPLDRPLASLHKSEFPTKVLYVKLL >ONH93569 pep chromosome:Prunus_persica_NCBIv2:G8:21041987:21043487:1 gene:PRUPE_8G239300 transcript:ONH93569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDFPSCFGENGVQVADSSSSSSSRITKIAQNLVSCVYQCKLQGRLCFITVTWTKNLMGQGLSIEIDDAANQCICKVDIKPWLFSKRKGCRNLEVDTSKIDIYWDLTNAKFGSGPEPLEKFYLAVMFNQEMVLFLGDLKREAFNKDPVGSISRATFIAKREHIFGKKFYGAKAQFCDKGKSHDVTIECETVGLHEPYLVICIDSKMVMQVKRLNWKFRGNHTILVDGLRIEVFWDVHNWLFGNAMGNAVFMFQTCLSDDNKFWTSPPVLDSSVLAWSSSQPLRDNQLQGLGFSLVLHAWKNE >ONH90296 pep chromosome:Prunus_persica_NCBIv2:G8:4750093:4752636:1 gene:PRUPE_8G045400 transcript:ONH90296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFIFRFRLKLYGNFALLRYIGPIANLYGENAIQAAQIDEWHECAPVLSQGSAFENACKYLEDYLGSRSFFVDYSFSIADVLIWSSLLETRQRWESLKKSKKYRNLSRWFNSLLAEYGGALNEVIATFVGKRGLGKPATTKSKGTQANQESMKPSGFLHIGHSKAALLNQYFAQRYQGQLIVRFDDANPAKESNEFVDNLLKDIETLGIKYETVTYTSDYFPQLIEMAENLIFQGKAYVDDTPHEEMQKERMDGIESKCKNNNVEENLKLWKEMIAGSDRGLQCCVRGKLDMQDPNKSLRDPVYYRCNPMPHHRIGSNEYHDRNPQYHRVQEDMGLRKVHIYEFSRLNMVYTVLSKRKLLWFIQNKKVNGWDDPRFPTVQGIVRRGLKVEALIQFILEQGASKNLNIMEWGKLWTINKKIIDPVCPRHTAVIEEGRVLLTLTNGPEKSFVRIIPRHKKYERAGAKASIKVDEEVTLMDWGNAIVKGIEKDEDGNLKLIGVLNLEGSFKTTKLKLTWLPQIDELPKLSLMEFNYLITKKKLEGEDFLDVLNAYTEKQTAALGDILQLERKGYFRCDVPYIRSSKPIVLFAIPDGRQHTGFNR >ONH92803 pep chromosome:Prunus_persica_NCBIv2:G8:18810297:18813880:-1 gene:PRUPE_8G196500 transcript:ONH92803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDEGHFCSELENGVKLGCIELSFEEEPETIAIEDAVKVLMQGLGEDVNREGLKKTPLRVAKALREGTRGYRQKAKDIVQGALFPESGLDNTVGHAGGAGGLVVVRDLDLFSYCESCMLPFQVKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQHLADEMCSALQHGIKPAGVAVVLQCLHIHFPKVESAFLDSDHQGWVELLVSSGSGVFENENANLWADFLNLLRFRGINVEKNRMRDSSDQHWCPSRFPSGAIAASKIESVNQGMVTAVASILRSLGEDPLRKELVGTPARFVKWLMNFQTTNLDMKLNGFVSDRVDPLKLNGDGCKEKKIHSELNLSFWSQCEHHLLPFYGVVHIGYLCTEGFNPIAKSLLQSIVCFYGYKLQVQERLTRQIAETASSLLGGDVIVVVEANHTCMISRGIEKFGSSTATIAVLGRFSTDPAARAKFLQSIPNTAVSGR >ONH92804 pep chromosome:Prunus_persica_NCBIv2:G8:18810297:18813879:-1 gene:PRUPE_8G196500 transcript:ONH92804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFQVKCHVGYVPSGQRVVGLSKLSRVADVFAKRLQEPQHLADEMCSALQHGIKPAGVAVVLQCLHIHFPKVESAFLDSDHQGWVELLVSSGSGVFENENANLWADFLNLLRFRGINVEKNRMRDSSDQHWCPSRFPSGAIAASKIESVNQGMVTAVASILRSLGEDPLRKELVGTPARFVKWLMNFQTTNLDMKLNGFVSDRVDPLKLNGDGCKEKKIHSELNLSFWSQCEHHLLPFYGVVHIGYLCTEGFNPIAKSLLQSIVCFYGYKLQVQERLTRQIAETASSLLGGDVIVVVEANHTCMISRGIEKFGSSTATIAVLGRFSTDPAARAKFLQSIPNTAVSGR >ONH93838 pep chromosome:Prunus_persica_NCBIv2:G8:21831934:21837023:1 gene:PRUPE_8G255700 transcript:ONH93838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLATAGGIAWHALDLLLGLFSADPAIVSQSLTDHVHNHHHHRGHHHGVDMEHPALALSMTVFSIFVKEGLYWITKRAGERQGSGLMKANAWHHRADAVSSVVALIGVGGSILGVKFLDPLAGLLVSGMILKAGLETGHQSVLELVDAAIPAKHLDPIKQTIVQVEGVKGCHRLRGRRAGSTLYLDVHIEVDPFCSVSAAHDIGENVRYQIHKSHPEVSEVFIHIDPSIQQICPSVDQQEILEGIACQKFSPGEDKDIEAAVSRIITSKYAEKMVVERITHHLLRGKMLLQIEVSMPADILIRDAVEVAKEAEEEILKAATNLIHVSIQLRLGSPIPQFNHD >ONH93837 pep chromosome:Prunus_persica_NCBIv2:G8:21831934:21837023:1 gene:PRUPE_8G255700 transcript:ONH93837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIHPYFCSSLSSVCAGFIYNCFLVSLVFPGHGKFETLGALGISCMLLATAGGIAWHALDLLLGLFSADPAIVSQSLTDHVHNHHHHRGHHHGVDMEHPALALSMTVFSIFVKEGLYWITKRAGERQGSGLMKANAWHHRADAVSSVVALIGVGGSILGVKFLDPLAGLLVSGMILKAGLETGHQSVLELVDAAIPAKHLDPIKQTIVQVEGVKGCHRLRGRRAGSTLYLDVHIEVDPFCSVSAAHDIGENVRYQIHKSHPEVSEVFIHIDPSIQQICPSVDQQEILEGIACQKFSPGEDKDIEAAVSRIITSKYAEKMVVERITHHLLRGKMLLQIEVSMPADILIRDAVEVAKEAEEEILKAATNLIHVSIQLRLGSPIPQFNHD >ONH93835 pep chromosome:Prunus_persica_NCBIv2:G8:21831921:21837075:1 gene:PRUPE_8G255700 transcript:ONH93835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRFHNLNSLHKRLALHIHKHKHKHKHPPHPNCTVAQEFLTSHFQGPSFVLSQNPIYSIPRRWHLGHSHHHHDDEHQNQHRGSGEEGEKIFRLGLAADIGLATGKALTGYLTGSTAIIADAAHSVSDVVLSSIALWSFTAAKAPRDKEHPYGHGKFETLGALGISCMLLATAGGIAWHALDLLLGLFSADPAIVSQSLTDHVHNHHHHRGHHHGVDMEHPALALSMTVFSIFVKEGLYWITKRAGERQGSGLMKANAWHHRADAVSSVVALIGVGGSILGVKFLDPLAGLLVSGMILKAGLETGHQSVLELVDAAIPAKHLDPIKQTIVQVEGVKGCHRLRGRRAGSTLYLDVHIEVDPFCSVSAAHDIGENVRYQIHKSHPEVSEVFIHIDPSIQQICPSVDQQEILEGIACQKFSPGEDKDIEAAVSRIITSKYAEKMVVERITHHLLRGKMLLQIEVSMPADILIRDAVEVAKEAEEEILKAATNLIHVSIQLRLGSPIPQFNHD >ONH93836 pep chromosome:Prunus_persica_NCBIv2:G8:21831934:21837023:1 gene:PRUPE_8G255700 transcript:ONH93836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRFHNLNSLHKRLALHIHKHKHKHKHPPHPNCTVAQEFLTSHFQGPSFVLSQNPIYSIPRRWHLGHSHHHHDDEHQNQHRGSGEEGEKIFRLGLAADIGLATGKALTGYLTGSTAIIADAAHSVSDVVLSSIALWSFTAAKAPRDKEHPYGHGKFETLGALGISCMLLATAGGIAWHALDLLLGLFSADPAIVSQSLTDHVHNHHHHRGHHHGVDMEHPALALSMTVFSIFVKEGLYWITKRAGERQGSGLMKANAWHHRADAVSSVVALIGVGGSILGVKFLDPLAGLLVSGMILKAGLETGHQSVLELVDAAIPAKHLDPIKQTIVQVEGVKGCHRLRGRRAGSTLYLDVHIEVDPFCSVSAAHDIGENVRYQIHKSHPEVSEVFIHIDPSIQQICPSVDQQEILEGIACQKFSPGEDKDIEAAVSRIITSKYAEKMVVERITHHLLRGKMLLQIEVSMPADILIR >ONH93509 pep chromosome:Prunus_persica_NCBIv2:G8:20834876:20835340:1 gene:PRUPE_8G235200 transcript:ONH93509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWTYFNNLIHTQFTPEKKKLGVLFHSPKKDQNFLFLASESYKQSMFLFELRCFLVNNKISLPSSTQCTKADKIRKDQTPTDSLHKISTRNVVEQSHHSPPKPLIKCQNPHKQTSEMEKGERDPLQLWLEFAGPLSQITSSELQRHGARPATK >ONH90268 pep chromosome:Prunus_persica_NCBIv2:G8:4530720:4533434:-1 gene:PRUPE_8G043800 transcript:ONH90268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIFIAVQCCQCSTMQVKQRKQSSKSNKWTCVVCNQKQSVRQVFAQGPMAKDLRLFVQSSNMPRQQQQQQQQQPALASSTSPYKTQKKRRTDWTEYLDSKDDQVTNLKQEEDEGVGFEHEIVTEFPAELPKKRKLNKYGCWSDTRKGDADKHHNHKSVFSKRNFNKHVVSPADEEQMKCELAITKETSKWRDFVMRDELEPRAGQETKTILSGASKWNDYVTPDESDDYDLPRISRREDADTAGQWSNEINKTVTNYETVFANDDETVEDDIHPDFLHLDRS >ONH90269 pep chromosome:Prunus_persica_NCBIv2:G8:4530770:4533434:-1 gene:PRUPE_8G043800 transcript:ONH90269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIFIAVQCCQCSTMQVKQRKQSSKSNKWTCVVCNQKQSVRQVFAQGPMAKDLRLFVQSSNMPRQQQQQQQQQPALASSTSPYKTQKKRRTDWTEYLDSKDDQVTNLKQEEDEGGVGFEHEIVTEFPAELPKKRKLNKYGCWSDTRKGDADKHHNHKSVFSKRNFNKHVVSPADEEQMKCELAITKETSKWRDFVMRDELEPRAGQETKTILSGASKWNDYVTPDESDDYDLPRISRREDADTAGQWSNEINKTVTNYETVFANDDETVEDDIHPDFLHLDRS >ONH91452 pep chromosome:Prunus_persica_NCBIv2:G8:14296917:14299710:1 gene:PRUPE_8G115700 transcript:ONH91452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLYPSHLLSASPNLQASAKINLRAHAPPSVLGPKGLAQCSKLHAFGQSVKLSGLRQNSQHYISCAINMTAGQSDEPGKMKFDHLINKARKLWESSPQPVKIFPWKRAVQNFIQLIFDLILAVVKYLSVPLLAVSSLSEMSYCAHAKKLFLVPIPVLIGMAIAEVLKLAALDASPLLKDAEVPWHLIGMAIFFTLLKLPGPYYPFWGRILIPHLANGGLLRTLWFAFLWYRRPQRVLRMAPSQNSESGSQSEVEPNKL >ONH92100 pep chromosome:Prunus_persica_NCBIv2:G8:16679290:16680656:1 gene:PRUPE_8G155400 transcript:ONH92100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTENSGFKTARFRAQLWLDFLVDSLGIKAGYGYVWKEERDTKCLQVMALEFVARRRRNRSLKSAARQIR >ONH93270 pep chromosome:Prunus_persica_NCBIv2:G8:20133243:20138394:-1 gene:PRUPE_8G222300 transcript:ONH93270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIQDMDGGSNSHHHEMNMASSNNLIINTSSMNPATTLASAAGVAGTSSSSSSSSPASSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPLPQQLPPPPLPPTVHHHPGQ >ONH91434 pep chromosome:Prunus_persica_NCBIv2:G8:14214590:14217284:1 gene:PRUPE_8G114100 transcript:ONH91434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIDPAFIQATDQRPKLNPTNPVADEIPIIDLSVLSSPDETRKVVSEIGHACKNWGFFQVINHGVPLELARKIEEVAKTFFELPAEEKKKVKRDMLNALGYHDGENTKNVRDWKEVFDFLVEDETLVPASPEPDDKELRKLTNQWPQYPPEFREVCQEYARAVEKLAYKLLGLIALGLDQPENRFNDYFKDQQTSLVRFNHYPPCPFPHLALGVGRHKDAGALTVLAQDDVGGLEVKRKSDGEWIPVTPTPNAYIINVGDIVQVWSNDKYESVEHRVVVNSEKERFSVPFFFFPAHHVMVKPLEELLSDENPAKYREYNWGKFYATRNRSDFKKQEVENIQIHHFRLPE >ONH92702 pep chromosome:Prunus_persica_NCBIv2:G8:18560858:18562161:1 gene:PRUPE_8G190700 transcript:ONH92702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEVKNLQNNDSKSISLWLNKTKGTLPHEEDELAKAVQKKIARKNMAESKPAKNATPNKTCICAPTNHAGSFRCHLHRISVAWSVEDSRSKSKLQCKANFNANAKNGLHDVVEKQPKLSRFGRAASARSCHENLPCTMAEQVN >ONH93716 pep chromosome:Prunus_persica_NCBIv2:G8:21485406:21487319:-1 gene:PRUPE_8G248800 transcript:ONH93716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLFLLLLLLLSSSPPPTLSQKNDTVACPLNFTVLRRFDPASNRPKFDRNTECQYILQGLRLVEAQYLQLTGSFLPPPNASESCWAAYQALASEFVPNFDIRASCGFETSWISQGCMNITTRRQFEALVGNATLKDVIDNCNQSLENSSPCASCTTSLSSLQASYLTGKSVGNVSTCTAYPSVYAAAFANQYGPADAGTAQCLFSLNFSTQSSGKKKRTVILISLVACGVALLVIIGGLWFLWHKYDQFMIKKRRKDYNDKIEKGLGSALESISGSTTLIKFKYEEISAATKNFSRDNIIGRGGYGNVYKGILDDGSEVALKRFKNCSAAGDANFAHEVGVIASVRHVNLVALRGYCTATTPLVGHQRIIVCDLMKNGSLHDHLFGSFGSKLSWPIRQRIVLGTARGLAYLHYGAQPAIIHRDIKANNILLDEAFEAKVADFGLAKFTEEGMTHMSTRVAGTMGYVAPEYALYGQLTEKSDVYSFGVVFLELLSGKKALHVTNDNQPLLVTDWAWALVRNGQPLDVVDDDMPEKGAPEVLEKYVLIAVLCSHPQLHARPTMDQVVKMLETDLSVPSIPERPVSIVANIEDIERHSSSGSLHLSSSGGYQTYTFESHLPFNPKGDGESSFSGVSTV >ONH89877 pep chromosome:Prunus_persica_NCBIv2:G8:1932848:1935020:1 gene:PRUPE_8G021500 transcript:ONH89877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLQFENSCEVGVFSKLTNAYCLVSIGGSENFYSTFEAELADVIPVVKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDHVVVQRIEERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFTNRGGMVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDSYV >ONH89876 pep chromosome:Prunus_persica_NCBIv2:G8:1932749:1935664:1 gene:PRUPE_8G021500 transcript:ONH89876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLQFENSCEVGVFSKLTNAYCLVSIGGSENFYSTFEAELADVIPVVKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDHVVVQRIEERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCAFTNRGGMVHPHTSVEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVDEMRKSLIDSYV >ONH91276 pep chromosome:Prunus_persica_NCBIv2:G8:13424776:13428025:1 gene:PRUPE_8G103100 transcript:ONH91276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHMSKKSSETSSSAINLNTNLQYTTDQLSSYEAACKVDAELQSFDTNLQTRTNQVINTIAAGVEVRALSFDSLKEITECLLEMNQEVVKVILECKKDIWKNQELFELVEEYFENSLQTLDFCTALEKCLKRARDSQLLILVALQQFEEETEMGGSRYTRTLGELKNFKAIGDPFTEEFFQIFQSVYRQQIQMLEKLQLRKNKLDKKLKYIHAWRKVSSIIFVATFAAVLICSVVAAAMAAPPVAAALAAASSIPVGSMGKWIDSLWKNYENALRGQKEVISSMQVGTYVAIKDLDNIRVLIDRLEVEIESLLHNASFAIEEDAVTVAIEEIKKKLGVFMKNVEDLGAQADTCSRDIRRARTVVLQRIIKHSNN >ONH91277 pep chromosome:Prunus_persica_NCBIv2:G8:13424869:13428025:1 gene:PRUPE_8G103100 transcript:ONH91277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHMSKKSSETSSSAINLNTNLQYTTDQLSSYEAACKVDAELQSFDTNLQTRTNQVINTIAAGVEVRALSFDSLKEITECLLEMNQEVVKVILECKKDIWKNQELFELVEEYFENSLQTLDFCTALEKCLKRARDSQLLILVALQQFEEETEMGGSRYTRTLGELKNFKAIGDPFTEEFFQIFQSVYRQQIQMLEKLQLRKNKLDKKLKYIHAWRKVSSIIFVATFAAVSIPVGSMGKWIDSLWKNYENALRGQKEVISSMQVGTYVAIKDLDNIRVLIDRLEVEIESLLHNASFAIEEDAVTVAIEEIKKKLGVFMKNVEDLGAQADTCSRDIRRARTVVLQRIIKHSNN >ONH91275 pep chromosome:Prunus_persica_NCBIv2:G8:13425783:13428025:1 gene:PRUPE_8G103100 transcript:ONH91275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHMSKKSSETSSSAINLNTNLQYTTDQLSSYEAACKVDAELQSFDTNLQTRTNQVINTIAAGVEVRALSFDSLKEITECLLEMNQEVVKVILECKKDIWKNQELFELVEEYFENSLQTLDFCTALEKCLKRARDSQLLILVALQQFEEETEMGGSRYTRTLGELKNFKAIGDPFTEEFFQIFQSVYRQQIQMLEKLQLRKNKLDKKLKYIHAWRKVSSIIFVATFAAVLICSVVAAAMAAPPVAAALAAASSIPVGSMGKWIDSLWKNYENALRGQKEVISSMQVGTYVAIKDLDNIRVLIDRLEVEIESLLHNASFAIEEDAVTVAIEEIKKKLGVFMKNVEDLGAQADTCSRDIRRARTVVLQRIIKHSNN >ONH93139 pep chromosome:Prunus_persica_NCBIv2:G8:19773946:19777563:1 gene:PRUPE_8G215300 transcript:ONH93139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKTQFLIFFFLCCFIGCSSFGFAAVANDEVSALLSIKAGLIDPLNSLKDWKLPENVAKYEAAHCNWTGVWCNSERHVERLDLSHMNLSGPVSDDIQWLNGLTSLNLCCSAFSSSLPKSMANLTALKSLDVSQNSLVGDFPWGLGKAGGLKTLNASSNNFSGFLPEDLGNATLLETLDLRGSFFQGSIPKSFKNLQKLKFLGLSGNNLTGKIPSELGQLSSLESIILGYNEFEGGIPMEFGNLTNLKYLDLAVGNLSGEIPADLGRLNLLETVFLYKNNFEGKIPPEMVTITSLKLLDLSDNMLSGELPAEIGELKNLQLLNVMCNQLSGLVPLGLASLTQLSVLELWNNSFSGHLPSDLGKNSPLQWLDISSNSFSGEIPSTLCNKGNLTKLILFNNAFTGPIPVSLSTCLSLVRVRMQNNLLSGTIPIGLGKLGKLQRLELANNNLTGVIPDDISSSTSLSFIDISRNHLHSSLPSTILSAPSLQTLMASNNDLVGEIPDQFQDCPSLSVLDLSSNHFSGTIPASIASCEKLVSLNLRNNQLTGDIPKSISMMPTLSILDLSNNSLTGGIPENFGISPALETLNVSYNKLEGPVPANGVLRTINPSDLVGNAGLCGGVLPPCMRNPAFTSRHRSLHTRNIVAGWVIGILSVLAAGIALFGARSLYKRWYSNGSCFEDSFEVGKGEWPWRLMAFQRLGFTSGDILACVKESNVIGMGATGIVYKAEISRSNTVVAVKKLWRPATDVETGSSDDLVGEVNVLGRLRHRNIVRLLGFLNNDTNLMIIYEFMHNGSLGETLHGKQAGRLLVDWVSRYNIAVGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANLDARIADFGLARMMVRKNETVSMVAGSYGYIAPEYGYTLKIDEKIDIYSYGVVLLELLTGKRPLDPEFGESVDVVEWIRGKIRDNKSLEEALDPSVGNCLHVQEEMLLVLRIALLCTAKLPKDRPSMRDVITMLGEAKPRRKSISNKNEAYATNKDQPVFSTSPVNGLL >ONH90086 pep chromosome:Prunus_persica_NCBIv2:G8:3175008:3176568:1 gene:PRUPE_8G034200 transcript:ONH90086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTQRNTPYLIIFLHPRIFFKIPKIPLNMANQEQHRLGLFNQDREEDKSSSFSQPGYGDQGRTGGGYGDSTGYGDSTGYSSQGRAGGGGGGYGDNTDYSGEGRRTGGGGYGGSTDYSGGEERRASGGGGYGDSYSGEGRRTGGGGYGDSTDYSGEGRRTGGGGGGYGDSYSSERRTQNVGGDGDSYSEEGHGGRGETTAYSSDGPRGGQHSETSETYGSRDSGDYKKQEKHHKHLEELGELGSAAAGTYALYEKHEAKKDPEHARRHRIEEEIGATGAVGSGGYAFHEHHEEKESEREEEESHGKKHHHLF >ONH90129 pep chromosome:Prunus_persica_NCBIv2:G8:3305650:3308684:1 gene:PRUPE_8G036200 transcript:ONH90129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPSKPCKLTAFLGYKAGMTHIVRDVEKPGSKLHKKETCEAVTIIEAPPMVVVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKNWCKSKKKAFAKYSKNYESEEGKKSIESQFEKLIKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVEFAKSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGQESHTAVTEFDRTEKDITPIGGFPHYGVVKDDYILIKGCCVGPKKRVVTLRQSLLKQTSRLALEDIKLKFIDTSSKFGHGRFQTTQEKQKYYGRLKA >ONH90128 pep chromosome:Prunus_persica_NCBIv2:G8:3305895:3308684:1 gene:PRUPE_8G036200 transcript:ONH90128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPSKPCKLTAFLGYKAGMTHIVRDVEKPGSKLHKKETCEAVTIIEAPPMVVVGVVGYVKTPRGLRSLNTVWAQHLSEEVKRRFYKNWCKSKKKAFAKYSKNYESEEGKKSIESQFEKLIKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVEFAKSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKAGQESHTAVTEFDRTEKDITPIGGFPHYGVVKDDYILIKGCCVGPKKRVVTLRQSLLKQTSRLALEDIKLKFIDTSSKFGHGRFQTTQEKQKYYGRLKA >ONH90158 pep chromosome:Prunus_persica_NCBIv2:G8:3608584:3610558:1 gene:PRUPE_8G037900 transcript:ONH90158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLKVANQRSSGGQYDRFESSNDDDHGEEAYTYEEQPQAAAINHELMNQLPHQNVSPTMFLGYSRPTEMSAMVSALTHVVSGQRGSDSWGHIGSGGVTSSFGQLYSSSSSSSASPSASPLSAFSSTSGPSASGSHNWVGQKRGREEDLAAAAAQAQFMESANRVYRGSYGDFRATTQSESSSGATEEATNAVTTTTTASAATAASAVPSTPSSSETVSFEEPAERRRRYRGVETKAMGMAAEIRDPHRLQTYDEAALRFRGNRAKLNFPENVRLVHPQPQPPNLQNFNSDSAPTHLAPILSAQPLQLPPQPPPQLYQPQPFHGSSDFLRDYFDYSQLLQSSTDFHAQQQQQQQQPTNLLQQMYYNSQLASLQSSLLNPPSSSSSSSTLPSSASASASFPLFFSDQNQQLGFFRPPRNQINPGGSSDFPAPSWSQSGNNPSSSG >ONH89829 pep chromosome:Prunus_persica_NCBIv2:G8:1754574:1757824:-1 gene:PRUPE_8G018900 transcript:ONH89829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEIVRNVNLDLFVQFRIFYKRVLNFGNEMMRGHGKIWGFQTINGLVAIGSLVSFVVAMSCAFLYLFPRVPPVVHSYGISNSTTSVEKCNVFEGRWIPDESYPLYNASQCPFAEIGFNCLANGRKDKGYAKWRWKPKNCDIPRFDVRAVLETLRGKRIVFVGDSLGRTQWESLICLLMTGVEDKTSVYEVNGNKITKRIRFLAVRFSSFDLRIDFYRSVFLVQPASAPNRAPKRVKSTLRVDKLDEISKEWIDSDILVFNSGHWWTPSKLFEMGCYFQEGKSLKLGMPITTAFKTALNTWSSWAETMINTNRTSVFFRSFETSHWSGRNHNSCKVTRHPLSSPKGRDQSSISNIIIKIVRKMTVPVTVLHVTPMVAFRSDGHVGTWSDNPSVPDCSHWCLPGVPDMWNEILLSYLLPGNDVSLQ >ONH89830 pep chromosome:Prunus_persica_NCBIv2:G8:1754190:1758273:-1 gene:PRUPE_8G018900 transcript:ONH89830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEIVRNVNLDLFVQFRIFYKRVLNFGNEMMRGHGKIWGFQTINGLVAIGSLVSFVVAMSCAFLYLFPRVPPVVHSYGISNSTTSVEKCNVFEGRWIPDESYPLYNASQCPFAEIGFNCLANGRKDKGYAKWRWKPKNCDIPRFDVRAVLETLRGKRIVFVGDSLGRTQWESLICLLMTGVEDKTSVYEVNGNKITKRIRFLAVRFSSFDLRIDFYRSVFLVQPASAPNRAPKRVKSTLRVDKLDEISKEWIDSDILVFNSGHWWTPSKLFEMGCYFQEGKSLKLGMPITTAFKTALNTWSSWAETMINTNRTSVFFRSFETSHWSGRNHNSCKVTRHPLSSPKGRDQSSISNIIIKIVRKMTVPVTVLHVTPMVAFRSDGHVGTWSDNPSVPDCSHWCLPGVPDMWNEILLSYLLPGNDVSLQ >ONH91340 pep chromosome:Prunus_persica_NCBIv2:G8:13837624:13838360:-1 gene:PRUPE_8G107600 transcript:ONH91340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNEISHFSHPQHKLKFEYTEIPFKCDGCKEVGIGSRYKCAMCDFDLHMHCAMPSPSIFHPFYTKCSFQFFSRPPGNNPRFCNACEKDITGFTYHCTSCGFDLHPCCAKLPMVLNDGEVKLYLYRKVSSSCHKCGRKGRSWSYRSKCKKYNLHVACVKEMLVETWHEFLGSHGNKNTTRRLEMTRIPSLKNTLQTHHHKSKGKVKKCCEIAGLAVQFVISAVLGDPTTLIAGVIGSLMSRA >ONH91692 pep chromosome:Prunus_persica_NCBIv2:G8:15343493:15346426:1 gene:PRUPE_8G130500 transcript:ONH91692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKAHQKLHIFFFPDMAQGHIIPLIDIAKLFASRGVKSTLITTALNAPLFSKAIQSSKNLGFEIEILVIQFPAIEVGLPQGFEITSMATTHEMREKFFKATFLLEPQIEQILDQHRPHCLVADSLFPWATDVAAKFGIPRLICHGPGFFPLCAATIVILQEPQKKVSSDSDVFTIPNLPVEIKMTRNQVPTMLEKNADTILSKLFKESRECEERSYGIIVNTFYELEPAFADHYRKVFGRKAWHIGPVSLCNNAVGNKTEIRGMEASFDEHECLNWLNTKKIIQFDPQLLEIALGLEAYGQEFIWVVKEEKKEKEEWLPEGFEQRMEGKGLIIRGWAPQVMILEHQAIGGFVTHCGWNSILEGVSSGVPMITWPVSAEQFYNEKLVTEILRIGVAVGSEKWASLVDVKKEASVERDAIEKAVAQVMVGDEAEEMRSRARGLREVASRAIEKGGSSYSDLNALIEELRGEEESQCEEGSLEKIVTQVMVRDEAKEMRSRARALGEMARRAVEEGGSSFSDLLLLKS >ONH93547 pep chromosome:Prunus_persica_NCBIv2:G8:20961811:20964155:-1 gene:PRUPE_8G237500 transcript:ONH93547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSKLLLVALLLSVLFLSVYVASATQDPELKQCRHQCEHQQGFDSKQREQCEQGCDKYIKQKREEEKHRRKSEGGGSFYPIPETGRAQEEEEEFQGRQQQDQNPYFFEDEHFETRVQTEEGRFQLLQKFTERSDLLRAIENYRIGFLVTKPHAFVAPCHFDADTVLFVFQGRPAVTIVRGEKRETHNLEHGDLFRIPAGTPVYMVNRDENEKLFIVNFMKPVSVPGEYEAFYAAGGENPESFFKAFSPQVLQAALKTEINKLERLFGQQRQGSITRASKEQIKKLSQQHGQGGSEGFWPFHGGQSSSDAFNLFSKHPSQANKFGRLFEADFNDFKQLQDLDLLVSFANITQGAMVGPYFNSRATKISFVLDGEGYFEMACPHVSSTGRQEPQPQPQPQQRRKSSPRYQKISGNLRRGAVFVAPAGHPMTAIASRNSNLQIICFEVNAHDNIRVPLVGKKNVVSQFDREAKQLAFNVPAREVDRIFNNQDDEFFFEGPNEQPEHGRAFA >ONH91491 pep chromosome:Prunus_persica_NCBIv2:G8:14540866:14543113:1 gene:PRUPE_8G118500 transcript:ONH91491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLKPHIHKPSSFPGAPSDTLLAERAITYLKRHPHNLTSLSSGFTPEAASFLLLKSQFDQPLTLKFLSWARNHGFFTFQCNAQHLSEDVAVNTIDDRGNLVFQCLSDSLHICNSSSAVFDLVGKSYSLLNLIDEAPNIVNLAKVHGFMPGVLSYNAILDAISRSKESVQFAEEVFSEMISNGVSPNFFGGMERNGCLPNVVTYNTLIDAYCKLNRIDQAFELLRLMALKGLELNLISYNVVINGGYCKEGNVHQALVLQEEMRRNRLSPNVFNVINAMCKPKNFNRAMEFFDQMRVRGLRPNERMYTTLIGGFYQQGFLNEAYDDAIRILQVMTGKGLSPDVPSYSTIITGFCWHQELESAFRMKLEMMDAGVLPDAVTYSSLIQVVCQQRRLGESCDLFQEMLSMGMPPDEFTYTTLINAYCGLMKEAHLVFETMIERKHKRNEAVYHVIIHGHCKGGNVIKAYNLYKEMLHSGFVPHTVMVIGLVKALFTEGMNSELSQVIGNTLRNCQLFDAECAKLLVAINHKEGIWMKFLMCLMIAKDGLLSNSGVRASAGG >ONH91660 pep chromosome:Prunus_persica_NCBIv2:G8:15185606:15187399:1 gene:PRUPE_8G128100 transcript:ONH91660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGADQQNQAGRHQEVGHKSLLQSDALYQYILETSVYPREPESMKELREVTAKHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVFTGYSLLATALAIPDDGKILAMDINRENYDLGRPIIEKAGVAHKIDFREGPALPVLDQLVEDEKNHGSYDFIFVDADKDNYINYHKRLIDLVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRIK >ONH91662 pep chromosome:Prunus_persica_NCBIv2:G8:15185748:15187399:1 gene:PRUPE_8G128100 transcript:ONH91662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGADQQNQAGRHQEVGHKSLLQSDALYQYILETSVYPREPESMKELREVTAKHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVFTGYSLLATALAIPDDGKILAMDINRENYDLGRPIIEKAGVAHKIDFREGPALPVLDQLVEDKNHGSYDFIFVDADKDNYINYHKRLIDLVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRIK >ONH91661 pep chromosome:Prunus_persica_NCBIv2:G8:15185606:15187399:1 gene:PRUPE_8G128100 transcript:ONH91661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGADQQNQAGRHQEVGHKSLLQSDALYQYILETSVYPREPESMKELREVTAKHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVFTGYSLLATALAIPDDGKILAMDINRENYDLGRPIIEKAGVAHKIDFREGPALPVLDQLVEDEKNHGSYDFIFVDADKDNYINYHKRLIDLVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRIK >ONH91663 pep chromosome:Prunus_persica_NCBIv2:G8:15186392:15187188:1 gene:PRUPE_8G128100 transcript:ONH91663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSADEGQFLNMLLKLINAKNTMEIGVFTGYSLLATALAIPDDGKILAMDINRENYDLGRPIIEKAGVAHKIDFREGPALPVLDQLVEDEKNHGSYDFIFVDADKDNYINYHKRLIDLVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRIK >ONH89748 pep chromosome:Prunus_persica_NCBIv2:G8:1127316:1132181:1 gene:PRUPE_8G013600 transcript:ONH89748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASNPNLTKCPFFPTRYVPISRSFHITCSVSQLQSAAEVVNGRVPERNEIRLGLPSKGRMAADTLDLLKDCQLSVKHVNPRQYVAQIPQLSDLEVWFQRPKDIVRKLLSGDLDLGIAGFDTVSEYGQGNEDLIIVHDALDYGDCRLSLAIPKYGIFENINSLKDLAQMPQWTEEKPLRVATGFTYLGPKFMKENGLNHVSFSTADGALEAAPAMGIADAILDLVSSGITLKENNLKEIEGGVVLRSQAVLVASKRSLIQRKSALDTTHEILERLEAHLRAEGQFTVTANMRGSSTEEVAERVLSQPSLSGLQGPTISPVFCKRDGQISSDYYAIVICVPKKALYKSVQQLRAIGGSGVLVSPLTYIFDEETPRWRELLSALGL >ONH91526 pep chromosome:Prunus_persica_NCBIv2:G8:14741159:14742640:1 gene:PRUPE_8G121100 transcript:ONH91526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCCVLTMSLWPKPKNPTCTGNLKLNLPSSLFTHLSLPHRKSCNIRLYSSSSTSMDASVSNEVAKDFSPFLKIYKDGRVERLSGTDIVPTSLDAQTGVASKDAVISPDTGVSVRLYIPKTKITTNPTKLPLLVYFHGGGFCMGSPFCAYYHSYVTSLVAETNAVAVSVDYRKAPENPLPLGFDDSWAALNWVQSHFEGQGPEEWLNSYADFERVFFAGDSAGANIAHHMALRLGHEGLVGVKLKGIALVHPYFWGSEPIEGETHVVENRARAEGIWRFACPSSSGADDPLINPGKDPKLAKLGADRVLVCVAEQDVLRQRGWYYSELLKKSEWGGAVEVVETKEEDHVFHLNNPTGENAVALLKKVASFINQDI >ONH90899 pep chromosome:Prunus_persica_NCBIv2:G8:11514115:11514913:1 gene:PRUPE_8G082100 transcript:ONH90899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRKSNKLAQTAVLKQILKRCSSLGKKHGYDEDGLPLDVPKGHFPVYVGENRTRYIVPISFLTHPQFQCLLRQAEEEFGFDHDMGLTIPCEEVVFRSLTSMLR >ONH93246 pep chromosome:Prunus_persica_NCBIv2:G8:20072857:20075555:-1 gene:PRUPE_8G221200 transcript:ONH93246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVSETSNPRAEGEGETSSGIRVHGMQFSYDAQPPLFCDFNLNIAPGSRCLLVGANGSGKTTLLKILAGKQMVGGRDVVRVLNCSAFHDTHLVCSGDLAYLGGSWSKSVGSAGELPLQGDFSAEHMIFGVEGTDPVRRDKLIELLDINLQWRMHKVSDGQRRRVQICMGLLHPFKVLLLDEVTVDLDVVARLDLLDFFKEECEQRRATIVYATHIFDGLETWATHLAYIQDGELKRAEKLSEVNELKSSANLLSVVESWLRAETKHEKKRSTNPPAQTQKTSAFATSPFMSSRHMAYYR >ONH89759 pep chromosome:Prunus_persica_NCBIv2:G8:1228129:1229130:-1 gene:PRUPE_8G014600 transcript:ONH89759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKCLKIINFGGSLEGKNQTYQDELKKDDFVDIKIMLGYLFESLLAPKTPSSKKKATNFDLSKEWIEANRFLKFFDYIHIWNYEKFVSKLLGHPFLKSPQERLEWIDTVNNDRKDPSTQWDVLTVLGKVDFVVFASWKGGGFKEAFMKEVFDYNPSSYKDDVVSLLRYLRNLNHHFRDVKKCKRPTVEEADHAVRRHFDNFLEVLYVCWSCR >ONH89625 pep chromosome:Prunus_persica_NCBIv2:G8:397250:402119:1 gene:PRUPE_8G005100 transcript:ONH89625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLAASSSVALDLPWKYDVFLSFRGEDTRYNFTAYLHERLLEQGITKIFLDEKDLEMGRPVAELFAAIEQSRLAIVVMSPKYASSKWCMNELLKILECMEKRGAVLPIFHSVEPSDVGKQSGNFWEAFTELEQRCKDEKEMVERWRAALRIVAKIKGWTSKDRYEPHLIKEIVEKVRIEVGPISLEPAEKLVGIDSKLKELDLLLDPESNNVHFIGIWGMSGIGKTTIARKAYERIVHKFEVKSFRERVREVSKAHGLDDLQRKLSNNLMHRNIEDWSSDEEARMRHFFRGRKVLLILDDVDDMSQLKKLCGSPTWFGQGSRIIITTTNEHLLILHGVERRYEVQGLNDNDALKLFSLRAFKTDYPQASHMDLSKHYVNYANGHPLALEVWGSLVHERGEDALSSQLRKMGDDLNREIMNPLNVGYEGLDKQEKDIFLDIACFFKGKYKDRVVEILESCGFHPGIDIDVLVKRSLITISHNMVWMHDLLQELGRAIIRQKSQEPGEHSRLWLSGDIFHVLSKNTGTPAVEGIVLEKLESKEVQCHPEAFSKMFNLRLLKLHNVHLPKRLRCLPNSLRFLKWKGFPLNSLPLDFEPGNLVELNMCHSSIEQLWTGTKNFEKLKVIKLSHSKSLTKTPDFEGFQNLERLDLEGCESLVEIHFSVGVLKKLTFLNLKDCKSLELLPDEIEMECLEVLILSGCSRIKKISNFVEPMEHLRKLSLDGTAIESIPSSIEHLTSLSSLDLRDCIDLNCLPSTIGKLQSLKFLNVSGCPKLAKMATSSKRKRGVGGTAEEWPSSFGLLGNLESFFFRGPKGLSQQSWYMSLLFQIFPMKSLQPMRPFLPPLSGLLSLKELDLSDGNLLEGAIPSDIGCLPSLVSLNLSGNNFLSLPTSIGQLSKLENLYLSRCKRLRHLPVLSSEVNLEVTADGCTSLELLQSPSNLDRVNSSGFNFINCFGMVKKESYDHVTCTMLQRYLKRVSYAAGDRYEIVIPGSEIPWWFPHQRMGSSTTVELTPHWRDTKWMGYALCAVFQVFGSGWDLSCVLEVNGKEEYPAPLLSTDVQPKSDHLWLFYVSRDISFGTEWQNSNCNQLIFSFKSSGSCLVKRCSARLVYEQDAEEFNQIVTQSSSNIDGEGPSGNIDGEGPSGSGRLGSILASLANKFRIPHF >ONH89756 pep chromosome:Prunus_persica_NCBIv2:G8:1164108:1165509:1 gene:PRUPE_8G014300 transcript:ONH89756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGIRVFYAYKKELPLPSKIIIQSLTKLCQATGNLLKPPNLLLLLLFSSLLEIEIFLIFLCLIANLLCYVRNSCLSLSQIAKPVKA >ONH92321 pep chromosome:Prunus_persica_NCBIv2:G8:17382006:17386579:1 gene:PRUPE_8G168500 transcript:ONH92321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSQNNHDMDEGQLEVGMEYRTVSGVAGPLVILEKVKGPKFQEIVNIRLGDGTTRRGQVLEVDGEKAIVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDSLLDAVDVEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLAQIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFEKKFVSQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDLFYSRDAAN >ONH92319 pep chromosome:Prunus_persica_NCBIv2:G8:17381901:17386579:1 gene:PRUPE_8G168500 transcript:ONH92319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSQNNHDMDEGQLEVGMEYRTVSGVAGPLVILEKVKGPKFQEIVNIRLGDGTTRRGQVLEVDGEKAIVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDSLLDAVDVEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLAQIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFEKKFVSQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDLFYSRDAAN >ONH92320 pep chromosome:Prunus_persica_NCBIv2:G8:17381607:17386579:1 gene:PRUPE_8G168500 transcript:ONH92320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSQNNHDMDEGQLEVGMEYRTVSGVAGPLVILEKVKGPKFQEIVNIRLGDGTTRRGQVLEVDGEKAIVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDSLLDAVDVEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLAQIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFEKKFVSQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDLFYSRDAAN >ONH90572 pep chromosome:Prunus_persica_NCBIv2:G8:8898774:8905041:1 gene:PRUPE_8G061700 transcript:ONH90572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASAFSAPLSFSFASCHRTSSLHLFSLRNPSSKSTVSALQSPYGDSLTTGLSSRTRGSPLKFDVKDFGDTSTSYGAIEARKGNPPILPAVMTPGGPLDLSSVLFRNRIIFIGQPVNSLVAQRVISQLVTLATVDENADILVYLNCPGGSTYSVLAIYDCMSWGHVEDVRRQVNEAVQTRHKVDNMYVAFTGQSLEKVQQYTERDRFLSVSEAMEFGLIDGVLETEY >ONH90571 pep chromosome:Prunus_persica_NCBIv2:G8:8898774:8905041:1 gene:PRUPE_8G061700 transcript:ONH90571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASAFSAPLSFSFASCHRTSSLHLFSLRNPSSKSTVSALQSPYGDSLTTGLSSRTRGSPLKFDVKDFGDTSTSYGAIEARKGNPPILPAVMTPGGPLDLSSVLFRNRIIFIGQPVNSLVAQRVISQLVTLATVDENADILVYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQTRHKVDNMYVAFTGQSLEKVQQYTERDRFLSVSEAMEFGLIDGVLETEY >ONH93671 pep chromosome:Prunus_persica_NCBIv2:G8:21339372:21343320:-1 gene:PRUPE_8G245800 transcript:ONH93671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVFRETSRPSSSSSCPASSSPPTTTSTSITETVNGTHHFKITGYSLSKGIGIGKYIASDTFNVGGYSWAIYFYPDGKSVEDNAAYVSLFIALASEGTDVRALFELTLLDQSGNERHKVHSHFGRTLDSGPYTLKYRGSMWGYKRFFKRTSLETSDYLKDDCLSVNCMVGVVKSHTEGPKIYSIPVPPSSMGHQFGRLLESGKGTDVNFEIDGEIFAAHKLVLAARSPVFRAQLFGPMKDQNTRCINVEDIEAPVFKALLHFIYWDSLPDIEELTGINSNGSSTLMAQHLLAAADRYGLDRLRLLCEANLCKDFAINTVATTLALAEQHHCFQLKVVCLRFVATPGNLSGVMQTDGYKHLKESCPSVLTELLEYVARVSEHSVSLCRHGNEAILDGSDINGRRVKQRL >ONH92300 pep chromosome:Prunus_persica_NCBIv2:G8:17301855:17303050:-1 gene:PRUPE_8G167400 transcript:ONH92300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIQLEKKELEENPAQLKQHILSKLGKTPDKVRTVIENTELDAFISSPLRYRHPWELLWGNISKGNVCVAGDSLHPMTPGIGQGGCSALGDGVVLARCLGEALLKSSRQGMEDKSEEGMQEHKKIEVGLKKYANERKWRSFALINTGYVVGFLQGSEGKIMTFYKFLAPSLVLLLLKKADFDCGKLSIC >ONH92299 pep chromosome:Prunus_persica_NCBIv2:G8:17301827:17303050:-1 gene:PRUPE_8G167400 transcript:ONH92299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLQAAGIYGRSAIRGRTDFKSPHGFDPIFMQFFGMVLDLVSSLVMIKLFIGITLGFPPAKVSLPYQFWNLFFCFHRDFFFHMLQNQGFSALNHNTNNKTTVINCFKICCSYNLKVSFFFFFFFGSLEFRYESVFAASKCTIQNMFMSHLFCFVYSSCLVEKELEENPAQLKQHILSKLGKTPDKVRTVIENTELDAFISSPLRYRHPWELLWGNISKGNVCVAGDSLHPMTPGIGQGGCSALGDGVVLARCLGEALLKSSRQGMEDKSEEGMQEHKKIEVGLKKYANERKWRSFALINTGYVVGFLQGSEGKIMTFYKFLAPSLVLLLLKKADFDCGKLSIC >ONH92298 pep chromosome:Prunus_persica_NCBIv2:G8:17301855:17307677:-1 gene:PRUPE_8G167400 transcript:ONH92298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEADVVIVGAGISGLATSLGLHRLGIRSLVLESSDSLRTTGFALTTWTNAWKALDALGLADSLRQQHVPLDGNVTSSRITGLQTFEMSFKAKGKHGNHEIRCVKRNLLLEGLANELPSGTIRFSSKVVSVDESGYFKLVHLADGTILKAKVLVGCDGVNSVVAKWLGFKQPAFTGRSAIRGCATFKSSHGVDPKFNQYFGNGIRSGAIPCDDTNVYWYITWTPSSQEKELEENPAQLKQHILSKLGKTPDKVRTVIENTELDAFISSPLRYRHPWELLWGNISKGNVCVAGDSLHPMTPGIGQGGCSALGDGVVLARCLGEALLKSSRQGMEDKSEEGMQEHKKIEVGLKKYANERKWRSFALINTGYVVGFLQGSEGKIMTFYKFLAPSLVLLLLKKADFDCGKLSIC >ONH92486 pep chromosome:Prunus_persica_NCBIv2:G8:17964080:17967679:1 gene:PRUPE_8G178400 transcript:ONH92486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIGYLKECTKGRDVLIQIWVPIKRGGRQYLTTHDQPFSLDPNSKSLAGYRNVSKDYQFITEEDSAESVGLPSRAFLGKLLEWTPDVRFFRSYEYPRIDYAQQYDVRDSLALPIFENGSGTCLGVVEIVMAPQKVNDRPELEYVCQALETHDELYQAALTEIVEVLASVCKTHRLPLAQTWAPCIQQGKGGCRHSDENYARCVSIVDAACFVADLDILGFHEACSEHHLFQGQGIVGTAFTINKPCFATDIKAFSKTEYPLSHHARMFGLHAAVAIPFRSVYTGPADLVLEFFLPKDCQDPEEQKQMLNSLCIVIQQACQSLHMNVDKELKEEIMFPIREPVIGSDGGLHTEETQRLISSPPEEPSGKESSWIAHMIEAQQKGKGVSVSLDYQTEEPKEEFKVTTHWGNTQGSLHSGQVFSEFGQLHQSSGSHGNVEGGADSYSFGGHRTSGGRKAGEKRRTKTEKRISLPVLRQYFAGSLKDASKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIHIGSFYSSFPELNFPKFPGSGQYSSMNMSDHSKQVNPQQHDQSGLYSHVTTTKSPSSSCSQTSGPNVCVAGAQQHTITINTLGSGDSLMTEDPVGVLKRACGDADLHASFQEETKLIHRSQSHKSFSDNLSYENLSPLPGSSGQSLRDGGVYRVKATFRDEKKKHLDDDCEWVLLNCDADLEECMEIYSSSPGRTVRLCLQQVFHPNLAASFGNSRPS >ONH91880 pep chromosome:Prunus_persica_NCBIv2:G8:15914184:15916632:-1 gene:PRUPE_8G141500 transcript:ONH91880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKAGSGSSSPKAKTCCDHLALLSSDFTRIPKSDTPCMRCQDVKESWVCLSCKDVFCSRYVNMHFVEHYLQTNHCLTASCRDQRIWCYRCEAF >ONH93695 pep chromosome:Prunus_persica_NCBIv2:G8:21428723:21430431:-1 gene:PRUPE_8G247900 transcript:ONH93695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALTSTSLCNHQISRFPFTVSNPRNISPFPSRVFFKLYANANTNELDTQAQAVEEPKQELEADPKEAGKASPASPLDKDLKKVVQKTAATFAPRASTASKNPAVPGSTLYTVFEVQAYASMLLGGVLSFNIVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLVPLLNVTIPFFWKSFAVVWSADTVAFFAMYAWKLGWLQRKS >ONH93855 pep chromosome:Prunus_persica_NCBIv2:G8:21876929:21880124:1 gene:PRUPE_8G256500 transcript:ONH93855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSAFAPPSWPDPNSNATFLSEPIDDTSSVADSSMTADDHAPQSPPDPNPNVHSNPFSVPQELPTPPASRSPPSLFHLSFNQDHACFAAGTDHGFRIYNCDPFRELFRRDFDNGGGIGVVEMLFRCNILAIVGGGPDPQYPTNKVMIWDDHQGRCIGELSFRSVVRSVRLRRDRIVVVLEQKIYVYNFADLKLLHQIETIANPKGLCAVSQVAGSLVLVCPGLQKGQVRVEHYGSKRTKFIMAHDSRLACFALTPDGQLLATSSNKGTLVRIFNTLDGTLLQEVRRGADRAEIYSLAFSSTAQWLAVSSDKGTVHVFNLKVNSGSSGNENSRTASDPNLAVSSSNSSLSFIRGVLPKYFSSEWSVAQFRLLEGSQYIVAFGHQKNTVVILGMDGSFYRCEFDPVNGGEMTQLEYHNFLKPEEAF >ONH90658 pep chromosome:Prunus_persica_NCBIv2:G8:9922239:9924884:1 gene:PRUPE_8G067300 transcript:ONH90658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLSKLLFKGISGFPAACSSKIVSGSFYQNGMRYSTSVPNDSDTHDDFKPANKFDGSGLSLKELVEQDVKDNPVMLYMKGVPEVPQCGFSSLAVRVLKQYAVPLSARNILEDPELKTAVKAFSHWPTFPQIFIKGEFIGGSDIILNMHQSGELKEKLKDIAVNQEKSA >ONH90657 pep chromosome:Prunus_persica_NCBIv2:G8:9922239:9924719:1 gene:PRUPE_8G067300 transcript:ONH90657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLSKLLFKGISGFPAACSSKIVSGSFYQNGMRYSTSVPNDSDTHDDFKPANKFDGSGLSLKELVEQDVKDNPVMLYMKGVPEVPQCGFSSLAVRVLKQYAVPLSARNILEDPELKTAVKAFSHWPTFPQIFIKGEFIGGSDIILNMHQSGELKEKLKDIAVNQEKSA >ONH90777 pep chromosome:Prunus_persica_NCBIv2:G8:10737048:10752290:1 gene:PRUPE_8G073700 transcript:ONH90777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLIIFSSTGRLYDYASTSMKSVIDRFNKLKEEHHQLLNPASEVKFWQREAASLRQQLQYLQECHRQLMGEELSGLSAKDLQSLENQLETSLKGVRMKKDQILNDEIKELNQKGNLIQQENMELYKKLDLVGKENAELLQKVYGARGVNKENKSSQPAYTITNGYDLQTPIHLQLSQPQNQTQPRPQNNEIPAKGIKLGLQLH >ONH90778 pep chromosome:Prunus_persica_NCBIv2:G8:10737088:10752290:1 gene:PRUPE_8G073700 transcript:ONH90778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLIIFSSTGRLYDYASTSMKSVIDRFNKLKEEHHQLLNPASEVKFWQREAASLRQQLQYLQECHRQLMGEELSGLSAKDLQSLENQLETSLKGVRMKKDQILNDEIKELNQKGNLIQQENMELYKKLDLVGKENAELLQKVYGARGVNKENKSSQPAYTITNGYDLQTPIHLQLSQPQNQTQPRPQNNEIPAKGIKLGLQLH >ONH90779 pep chromosome:Prunus_persica_NCBIv2:G8:10736999:10751831:1 gene:PRUPE_8G073700 transcript:ONH90779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLIIFSSTGRLYDYASTSMKSVIDRFNKLKEEHHQLLNPASEVKFWQREAASLRQQLQYLQECHRQLMGEELSGLSAKDLQSLENQLETSLKGVRMKKDQILNDEIKELNQKGNLIQQENMELYKKLDLVGKENAELLQKVYGARGVNKENKSSQPAYTITNGYDLQTPIHLQLSQPQNQTQPRPQNNEIPAKGIKLGLQLH >ONH90874 pep chromosome:Prunus_persica_NCBIv2:G8:11320009:11320901:1 gene:PRUPE_8G079600 transcript:ONH90874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWLPGTVNTKRSLNQAAASKALPKGYFAVYVGESQKKRFVIPISYLNEPLFLDLLNQAEEEFGYDHPMGGITIPCSEDTFLDLTSHLRV >ONH92067 pep chromosome:Prunus_persica_NCBIv2:G8:16518760:16520865:1 gene:PRUPE_8G152100 transcript:ONH92067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRILVGDLTVKYPTASRPSSATVHPSSSPCFCKIKLTNFPHQSSTVPLILSNGQNLDTTTHDHSLSACFNLNKTQIESLASKRPCLKLSIYTGGVGATCGLHSGKLLGRVIVPLSELVLAESRPVVYQNGWVAIGGGKKNGSGSSSAQLFLSVRAEPDPRFVFQFDGEPECSPQVFQVQGTVKQPVFTCKFGFRDMQSRSMSSEPGTPRNWLPFGGAHKEQSGKERKGWSITIHDLSGSPVAAASMVTPFVASPGSHCVSRSNPGAWLILRPNEGTWQPWGRLESWLERGGSDTVGYRFELQNTTLANSTLGAKTGGKFSIDLTSSLTPANSPHSSFDFGSGTGSRPGSGSGSDFGFGLFPQLIQRGFVMSSTVEGVGKCSKPEVEVGVQHVTCTEDAAAYVALAAAMDLSMDACRPFSQKLRKELRQQ >ONH90311 pep chromosome:Prunus_persica_NCBIv2:G8:4838446:4841564:1 gene:PRUPE_8G045800 transcript:ONH90311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDDPEMLFRNGRFCAPGFRFHPTDEELVVYYLKRKICKKRLKLNVIAETDVYKWDPEELPGLSLLKTGDRQWFFFSPRDRKYPNGGRSNRATRHGYWKATGKDRNITCYSRSVGLKKTLVYYKGRAPSGERTDWVMHEYTLDEEELKRCRNVQEYYALYKVYKKSGPGPKNGEQYGAPFREEEWADDELPVINSSADRQIPVKQSVDVISVDPVKVNGEVHSALSDIEEFMKQIVDEAVLELPQMNGYAYTIPQAVSEEETQSTVVDLYSREVVCPEPYTVFNPSDHQCNLQASFDFTESDTSQIQRYEASEVTTSAPEIHEQGPPILREEDFLEMDDLLGPEPTISNIENPVDNLQFEGIDGLSEFDLYHDAAMFFHDMGPFDQGTVSHQQYMNSLGNNIVDQFEYQLQPNPPAVNQVNHQLNPESTQMNNQLWTHTERAEPNQEFVSYSTSGIYQWR >ONH90310 pep chromosome:Prunus_persica_NCBIv2:G8:4838306:4842516:1 gene:PRUPE_8G045800 transcript:ONH90310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDDPEMLFRNGRFCAPGFRFHPTDEELVVYYLKRKICKKRLKLNVIAETDVYKWDPEELPGLSLLKTGDRQWFFFSPRDRKYPNGGRSNRATRHGYWKATGKDRNITCYSRSVGLKKTLVYYKGRAPSGERTDWVMHEYTLDEEELKRCRNVQEYYALYKVYKKSGPGPKNGEQYGAPFREEEWADDELPVINSSADRQIPVKQSVDVISVDPVKVNGEVHSALSDIEEFMKQIVDEAVLELPQMNGYAYTIPQAVSEEETQSTVVDLYSREVVCPEPYTVFNPSDHQCNLQASFDFTESDTSQIQRYEASEVTTSAPEIHEQGPPILREEDFLEMDDLLGPEPTISNIENPVDNLQFEGIDGLSEFDLYHDAAMFFHDMGPFDQGTVSHQQYMNSLGNNIVDQFEYQLQPNPPAVNQVNHQLNPESTQMNNQLWTHTERAEPNQEFVSYSTSGVVYEPSNFASQANQNQSGNEAAGGPSQFSSALWAFVESIPTTPASASENALVNRAFERMSSFSRLRINSVSANVTAGSSSEAKRAGRRRGFFFLPVLVALCAIFWVFMATLRPWWRCLSA >ONH91419 pep chromosome:Prunus_persica_NCBIv2:G8:14169192:14171466:1 gene:PRUPE_8G113200 transcript:ONH91419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTMVNIKMIMAMLLMVMMVFVGDAADTNSVYDPCSDAKIRRLDGFTFGLAFSKKDSFAFNQTQLSPCDSRLNLAGNNAQLAVFRPKVDEMSLLTINSSTFSPINAGGYMVAFAGRKYAARSLPTLIADESNTITSFTLVLEFQRGTLQNLYWKKFGCKACSGDYSVCLNNEDCAVPNSKCKSSGGSFDCNLSIQLAFSGTDKHLQVLNSWYEVRNLRSYSLYGLFSKLLQ >ONH92151 pep chromosome:Prunus_persica_NCBIv2:G8:16822450:16824101:1 gene:PRUPE_8G158600 transcript:ONH92151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSFLPRVLLMVFGYAYPAYECYKTVGKNKPEIEQLLFWCQYWILVAMLTVLERFGDALFSWVPIYNEAKLALFIYLWSSKEKGTAYLYNRFFRDFVAQHEAEIDGKILEFRVKTRDFANLSCQMALNYGQKGVYEILEYVSSHQSAPQPHSDQKQEKGTGKVIVAGQSEAEARDQRTTNDFEEKPTESVSLSGNGTPILPSRKHWLKKLIR >ONH92152 pep chromosome:Prunus_persica_NCBIv2:G8:16822951:16824101:1 gene:PRUPE_8G158600 transcript:ONH92152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVLERFGDALFSWVPIYNEAKLALFIYLWSSKEKGTAYLYNRFFRDFVAQHEAEIDGKILEFRVKTRDFANLSCQMALNYGQKGVYEILEYVSSHQSAPQPHSDQKQEKGTGKVIVAGQSEAEARDQRTTNDFEEKPTESVSLSGNGTPILPSRKHWLKKLIR >ONH89868 pep chromosome:Prunus_persica_NCBIv2:G8:1884313:1886204:1 gene:PRUPE_8G020800 transcript:ONH89868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASSGVEGADKYRSYLSGEGEKNTKWKFGAPPSYDIVNKLFEEGRTKIWPPGSLEHEVQNLVKTWEMELFHKANLDDFKTLDPNKYTFSLNGRKGITLEEIGKLGGGYNPLLQTSLPENLRGYNPDKETAESSHKAFTTTFPRGFALEVLQVYSGPPEIVYKFRHWGYMEGPFQGHAPTGELVEVYGMSIFTVDEHNKIVKVEFFYDPGQLLGGLLKGEKLGTSSQETASSCPVLRSTG >ONH91767 pep chromosome:Prunus_persica_NCBIv2:G8:15632369:15636716:-1 gene:PRUPE_8G135900 transcript:ONH91767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVAVVVAAFLAGLLASEIAAEIAQNSADFYNLGRRRLPQQSLCLELIEPSGYSCSEHKIQTKDGYLLGLQRVSSRSGDLRTQLGPPVLLQHGLFMAGDAWFLNSPEESMGFVLADQGFDVWVGNVRGTRWSHGHVSLSEDDKNFWDWSWQELAEYDLAKMIHHIYSITNSKVFIVGHSQGTIMSLAALTQPDVAEMVEAAALFCPISYLEHITAKFVLRMVNMHLDQMILAMGIHELNFRSDWGVNLLDSICDGHVDCNDLLTSITGKNCCFNNSRVDFYLNYEPHPTSTKNLHHLFQMIRKGTFSKYDYGILKNLKLYGQLKPPSFDLGLIPKSLPLLMAYGGNDDLADVEDFHHTLKELQSTPELVYLENYGHIDFIVSINAKEDLHDRVIGFFRSWGKSSSS >ONH91766 pep chromosome:Prunus_persica_NCBIv2:G8:15632335:15636655:-1 gene:PRUPE_8G135900 transcript:ONH91766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVAVVVAAFLAGLLASEIAAEIAQNSADFYNLGRRRLPQQSLCLELIEPSGYSCSEHKIQTKDGYLLGLQRVSSRSGDLRTQLGPPVLLQHGLFMAGDAWFLNSPEESMGFVLADQGFDVWVGNVRGTRWSHGHVSLSEDDKNFWDWSWQELAEYDLAKMIHHIYSITNSKVFIVGHSQGTIMSLAALTQPDVAEMVEAAALFCPISYLEHITAKFVLRMVNMHLDQMILAMGIHELNFRSDWGVNLLDSICDGHVDCNDLLTSITGKNCCFNNSRVDFYLNYEPHPTSTKNLHHLFQMIRKGTFSKYDYGILKNLKLYGQLKPPSFDLGLIPKSLPLLMAYGGNDDLADVEDFHHTLKELQSTPELVYLENYGHIDFIVSINAKEDLHDRVIGFFRSWGKSSSS >ONH91765 pep chromosome:Prunus_persica_NCBIv2:G8:15632335:15636631:-1 gene:PRUPE_8G135900 transcript:ONH91765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVAVVVAAFLAGLLASEIAAEIAQNSADFYNLGRRRLPQQSLCLELIEPSGYSCSEHKIQTKDGYLLGLQRVSSRSGDLRTQLGPPVLLQHGLFMAGDAWFLNSPEESMGFVLADQGFDVWVGNVRGTRWSHGHVSLSEDDKNFWDWSWQELAEYDLAKMIHHIYSITNSKVFIVGHSQGTIMSLAALTQPDVAEMVEAAALFCPISYLEHITAKFVLRMMILAMGIHELNFRSDWGVNLLDSICDGHVDCNDLLTSITGKNCCFNNSRVDFYLNYEPHPTSTKNLHHLFQMIRKGTFSKYDYGILKNLKLYGQLKPPSFDLGLIPKSLPLLMAYGGNDDLADVEDFHHTLKELQSTPELVYLENYGHIDFIVSINAKEDLHDRVIGFFRSWGKSSSS >ONH91769 pep chromosome:Prunus_persica_NCBIv2:G8:15633341:15636611:-1 gene:PRUPE_8G135900 transcript:ONH91769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVAVVVAAFLAGLLASEIAAEIAQNSADFYNLGRRRLPQQSLCLELIEPSGYSCSEHKIQTKDGYLLGLQRVSSRSGDLRTQLGPPVLLQHGLFMAGDAWFLNSPEESMGFVLADQGFDVWVGNVRGTRWSHGHVSLSEDDKNFWDWSWQELAEYDLAKMIHHIYSITNSKVFIVGHSQGTIMSLAALTQPDVAEMVEAAALFCPISYLEHITAKFVLRMVNMHLDQMILAMGIHELNFRSDWGVNLLDSICDGHVDCNDLLTSITGKNGC >ONH91768 pep chromosome:Prunus_persica_NCBIv2:G8:15632335:15636603:-1 gene:PRUPE_8G135900 transcript:ONH91768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVAVVVAAFLAGLLASEIAAEIAQNSADFYNLGRRRLPQQSLCLELIEPSGYSCSEHKIQTKDGYLLGLQRVSSRSGDLRTQLGPPVLLQHGLFMAGDAWFLNSPEESMGFVLADQGFDVWVGNVRGTRWSHGHVSLSEDDKNFWDWSWQELAEYDLAKMIHHIYSITNSKVFIVGHSQGTIMSLAALTQPDVAEMVEAAALFCPISYLEHITAKFVLRMVNMHLDQMILAMGIHELNFRSDWGVNLLDSICDGHVDCNDLLTSITGKNCCFNNSRVDFYLNYEPHPTSTKNLHHLFQMIRKGTFSKYDYGILKNLKLYGQLKPPSFDLGLIPKSLPLLMAYGGNDDLADVEDFHHTLKELQSTPELVYLENYGHIDFIVSINAKEDLHDRVIGFFRSWGKSSSS >ONH92983 pep chromosome:Prunus_persica_NCBIv2:G8:19245492:19250004:-1 gene:PRUPE_8G205700 transcript:ONH92983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQKTECPEEVVKLKPPQDHQPAQTHIDNNTEEPLLVFKPKSGTVIPARRRLVKAMLIHRFIRVCSVRPPTSSSESRPK >ONH90585 pep chromosome:Prunus_persica_NCBIv2:G8:8975190:8978502:-1 gene:PRUPE_8G062400 transcript:ONH90585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAFLQGYSSAEEEEEAQNHRLHYQNSNSSDDDEDGDQSHKPKSVFDVPKPPSSSIASGLPSASDVFSEICGPPDFLNNCVQEDGSTRDDGPQKGRHGARNAKLRKDKKDLPAGAVVESKAQLVGIHERVRSDFAGNQPPTSIVTSTAQGGKRLPTATNPSAEDAAELLRMCLQCGIPKTYSNAQGMVCPACGDRPVDTTNDSKKKGSTIKDKEKSKRMKGQSSHGTWKSETEMQLRQQFD >ONH90977 pep chromosome:Prunus_persica_NCBIv2:G8:11957962:11962651:-1 gene:PRUPE_8G086700 transcript:ONH90977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNSCSIRNLRGFTYQHVLARDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGSTLSRASLSYGRARAQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQVKVRETPGNAENVMKLEAAESKLQDLKSNMGILGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERTYHQRILQILDQLEGEMVSERQRIEAPPSPTVDNTMPPPPPYEEVNGIYASQTHNGSSDIMNYFLGEVMFTYQAVSDVELSLSVGDYVVVRKVTNNGWAEGECKGRAGWFPFGYIERRERVLASKVAEVF >ONH90976 pep chromosome:Prunus_persica_NCBIv2:G8:11958235:11962388:-1 gene:PRUPE_8G086700 transcript:ONH90976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIRKQATRLREQVARQQQAVLKQFGAGGYGGSDNLVTDEAELLQHQKLERLYISTRAGKHYQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGSTLSRASLSYGRARAQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQVKVRETPGNAENVMKLEAAESKLQDLKSNMGILGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERTYHQRILQILDQLEGEMVSERQRIEAPPSPTVDNTMPPPPPYEEVNGIYASQTHNGSSDIMNYFLGEVMFTYQAVSDVELSLSVGDYVVVRKVTNNGWAEGECKGRAGWFPFGYIERRERVLASKVAEVF >ONH91109 pep chromosome:Prunus_persica_NCBIv2:G8:12647185:12649071:-1 gene:PRUPE_8G093600 transcript:ONH91109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQVLHMNGGVGKTSYANNSLLQRAVISTVKPIVDASIEELCCTLFPECLKIADLGCSSGPNTLLVVSDIIDNIRNTFQKLNRPPPSLQAFLNDLPRNDFNTVFRSLPGFYKKLDEEPEKKLGPCFIAGMPGSFYGRLFPDNSLHFVHSSYALMWISEVPKGLVTKEGEALNKGNIYIAKTSPPAVFKQYLEQFKRDFTVFLRSRAEELVPGGSMVLTTMGSIKSDDPLCIWEFVGMKLNDMVLEGLIEGEKLDTFNMPYYAPTTKEFEEVIEAEGSFILQNLEVFKNDWDSYVKQANSGLDKKTRAAIFATDIRAVGEPILASQFGEAPMDDLFRRFEADVLDHMERENCQFINLVISLTKKR >ONH91395 pep chromosome:Prunus_persica_NCBIv2:G8:14067204:14073195:-1 gene:PRUPE_8G111500 transcript:ONH91395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTTQLLGAPSPSSSSSFTNSWTHDVFLSFRGEDTRYNFTDHLHKNLVQRGIRTFIDDELPRGEEISQALLDAIEGSRCSIIVFSENYASSKWCLDELVHIIQCRKSKQQMVWPVFYKVDPSDVRNQRGSYGEALNNHERKFKEQRLTNHDESKFEDNMKKVLRWKETLTEAANLSGSHYLEGPETEFIQNIVNEISLQVLKDTHINVAKYQVGIEARVLDIRKVLDVDRNDVRMVGIWGNGGIGKTTVAKAVYNSLAHVFEGSCFLENVRERSIPYGGLVDLQNLLLYEILRGKEIKVTSADKGISVIKERLSGKKVLVIVDDVDHLDQLNNLVGGCDWFGLGSRIIITTRDKHLLRSHQVSIIYKAKKLNFGESLDLFISWNGGRNKNLDDDYVKAAETVVKHAQGLPLALKVLGSHLCGRSIDEWHDALDGNLHSDIKKTLKISYDALEYSVQEVFLDIACFFNGRKVNHVIPILEGCDLKPKYAIKVLVDKALINIEQGIIGMHDLLEELGRGIVYQESPDEPGERSRLWFHEDVYRVLTEGTGTNNIKGIIAKFPTPDDICLSGDSFSEMKNLRLFINVNARFYGDHVDYLSNELRFLHWPDCPLQTLPSTFNPSKLVELYMPCSRLSQLGEGFKRLQNLKSMNFESCEFLTKTPNISGIPNLQSLNLDDCTSLVEVHPSVGFHDKLVDLSLVRCYNLTLFPIIQSKSLQVLNLEDCRRLETFPEIGGKMDSLRCMFLSGSGFKELPASIAYLISLEFLDLRNRENLTNLPPSIYELEHLNHVCLQGSRKLVTFPNKVKSEVLGSAVSHPLALPRLEAFTLEGSNLSEINFLRTLDCVSTLSALDLTRSDFLVSIPVCIMKFVNLRELYLHGCKRLQDIPELPPKIVKLEASDCISLERFSSLSNILKGKKDSQMIELVDLCNCQRLCGNLARDLPKKPNILPKEQITLFFDHLLSSQKHGFQVVFPASFEALSTLFSCHNDVKERDEACELLIEIPPNFKCQNQGLALYAAVENPQNKRRRYHGFLTKISVNQPEVVPHYIQFDYHFRKIGSGHVWLCYIRFREMFYRDDQITWPQSPFACQVNFQNLTQDSLRFNSFGVHLVMTQDDDDLSIFTEDGESESDDLEDANDRSESDESESGVDLCEDEQDSEHDYFSCEDNEDGYFY >ONH91498 pep chromosome:Prunus_persica_NCBIv2:G8:14620488:14626247:1 gene:PRUPE_8G119200 transcript:ONH91498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYWVSQGNKWCDFCKIYISNNPSSIRNHELGQRHKDNVAKKLADMRKEKVAKEKEEKEAERALLQIEAKAKRSYQKDVASFQEARDARAFDVEDDGQEKWQYNSTSGYYYNQSNGFYYDANSGFYYSDAIGKWVAQEEAYANPQFLSNAGYKETILKNPGSTSGAGPATENKRADKSQNGPPPGPVVSASLNPMRSVKGARSSVSVGKRKRQEEKPRAISAQEAAALKAREAARKRVEEREKPLLGLYRPQ >ONH91499 pep chromosome:Prunus_persica_NCBIv2:G8:14620469:14626247:1 gene:PRUPE_8G119200 transcript:ONH91499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYWVSQGNKWCDFCKIYISNNPSSIRNHELGQRHKDNVAKKLADMRKEKVAKEKEEKEAERALLQIEAKAKRSYQKDVASFQEARDARAFDVEDDGQEKWQYNSTSGYYYNQSNGFYYDANSGFYYSDAIGKWVAQEEAYANPQFLSNAGYKETILKNPGSTSGAGPATENKRADKSQNGPPPGPVVSASLNPMRSVKGARSSVSVGKRKRQEEKPRAISAQEAAALKAREAARKRVEEREKPLLGLYRPQ >ONH91500 pep chromosome:Prunus_persica_NCBIv2:G8:14620469:14626247:1 gene:PRUPE_8G119200 transcript:ONH91500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYWVSQGNKWCDFCKIYISNNPSSIRNHELGQRHKDNVAKKLADMRKEKVAKEKEEKEAERALLQIEAKAKRSYQKDVASFQEARDARAFDVEDDGQEKWQYNSTSGYYYNQSNGFYYDANSGFYYSDAIGKWVAQEEAYANPQFLSNAGYKETILKNPGSTSGAGPATENKRADKSQNGPPPGPVVSASLNPMRSVKGARSSVSVGKRKRQEEKPRAISAQEAAALKAREAARKRVEEREKPLLGLYRPQ >ONH91501 pep chromosome:Prunus_persica_NCBIv2:G8:14620489:14626247:1 gene:PRUPE_8G119200 transcript:ONH91501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYWVSQGNKWCDFCKIYISNNPSSIRNHELGQRHKDNVAKKLADMRKEKVAKEKEEKEAERALLQIEAKAKRSYQKDVASFQEARDARAFDVEDDGQEKWQYNSTSGYYYNQSNGFYYDANSGFYYSDAIGKWVAQEEAYANPQFLSNAGYKETILKNPGSTSGAGPATENKRADKSQNGPPPGPVVSASLNPMRSVKGARSSVSVGKRKRQEEKPRAISAQEAAALKAREAARKRVEEREKPLLGLYRPQ >ONH91503 pep chromosome:Prunus_persica_NCBIv2:G8:14620488:14626247:1 gene:PRUPE_8G119200 transcript:ONH91503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYWVSQGNKWCDFCKIYISNNPSSIRNHELGQRHKDNVAKKLADMRKEKVAKEKEEKEAERALLQIEAKAKRSYQKDVASFQEARDARAFDVEDDGQEKWQYNSTSGYYYNQSNGFYYDANSGFYYSDAIGSTSGAGPATENKRADKSQNGPPPGPVVSASLNPMRSVKGARSSVSVGKRKRQEEKPRAISAQEAAALKAREAARKRVEEREKPLLGLYRPQ >ONH91502 pep chromosome:Prunus_persica_NCBIv2:G8:14620485:14626247:1 gene:PRUPE_8G119200 transcript:ONH91502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYWVSQGNKWCDFCKIYISNNPSSIRNHELGQRHKDNVAKKLADMRKEKVAKEKEEKEAERALLQIEAKAKRSYQKDVASFQEARDARAFDVEDDGQEKWQYNSTSGYYYNQSNGFYYDANSGFYYSDAIGKWVAQEEAYANPQFLSNAGYKETILKNPGSTSGAGPATENKRADKSQNGPPPGPVVSASLNPMRSVKGARSSVSVGKRKRQEEKPRAISAQEAAALKAREAARKRVEEREKPLLGLYRPQ >ONH91504 pep chromosome:Prunus_persica_NCBIv2:G8:14622320:14626247:1 gene:PRUPE_8G119200 transcript:ONH91504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGEVVYEYYLLVNEWALYCYLKKHAFLVIDEYFLFQLHYLLLKLIQLQLMTEWQYNSTSGYYYNQSNGFYYDANSGFYYSDAIGKWVAQEEAYANPQFLSNAGYKETILKNPGSTSGAGPATENKRADKSQNGPPPGPVVSASLNPMRSVKGARSSVSVGKRKRQEEKPRAISAQEAAALKAREAARKRVEEREKPLLGLYRPQ >ONH91469 pep chromosome:Prunus_persica_NCBIv2:G8:14356465:14357550:1 gene:PRUPE_8G116500 transcript:ONH91469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQLQPPPMAVTQQAYTTHSGHGSVGPVIAVVAVITILGVIAGMIGRLCSGRRIMGHGRYYDFEAWVETKCSSCLDGRLDPPPPPLAAVPGENVLAAEAADTSPEIKEEEEQQQQQQQQQHHQQQQQQQHQHQLQHQQHQQHQQQEQHNLHATSSSEC >ONH93349 pep chromosome:Prunus_persica_NCBIv2:G8:20405782:20408319:1 gene:PRUPE_8G227800 transcript:ONH93349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARRDYRGNKVALFDGIEEGGIRASASYSHEIDEHDNERAVDGLQDRVNLLKRLSGDIHEEVESHNHMLDRMGNDMDSSRGVLSGTMDKFKMVFETKSSQRMFTLVASFVVIFLVIYYLTR >ONH93938 pep chromosome:Prunus_persica_NCBIv2:G8:22084706:22086196:1 gene:PRUPE_8G261700 transcript:ONH93938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAAAGTKGKKKGASFVIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDAVTVSREKNKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRGVYELRYFNIAENEGEEED >ONH92645 pep chromosome:Prunus_persica_NCBIv2:G8:18394148:18395038:-1 gene:PRUPE_8G186600 transcript:ONH92645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSSVLWLLLLSCSFSFGVESDINCLKSIKASLEDTLGYLNSSWDFNNNTEGFICNFLGIECWHPHESKVLNIKLSELGLKGQFPRGVENCTSLTGLDLSGNMLSGPLPHDIDKILTWVTSLDLSSNSFSGLIPATLSNCSYMNVLKLDNNQFSGNIPAEVNQLTRLKTFSVANNLLSGQVPPFGENIASIRRDSYANNPGLCGYPLKPCPSISQKKSLNVVRVFKSNGVTMVTAAGFDELQQPRVCLIWSCTRTQLNKTPAGLSLQIIHTKLDELALFLV >ONH93932 pep chromosome:Prunus_persica_NCBIv2:G8:22077044:22081232:-1 gene:PRUPE_8G261500 transcript:ONH93932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEYQEVYIRNSRGVQLFTCRWLPFSSPKALVFLCHGYGMECSGFMRECGVRLANAGYAVFGIDYEGHGRSRGARCYIKKFENIVNDCNEFFKSICAEEEYRDKCRFLYGESMGGAVALLLHKKEPTFWNGAVLVAPMCKISEKVKPHPLVINVLTRVEEIIPKWKIVPTKDVIDSAFKDPVKREEIRSNKLIYQDKPRLKTALEMLRTSMSLEHTLHEVRLPFFVLHGEADTVTDPEVSRALYEKASSADKTIKLYPGMWHGLTSGEPDGNVEIVFVDIITWLEKHTSDDNSVIVQPIHTYRNAILKMNTTASPPQTINKQHQQQSPRPVRTQSHRRYFCGLKGRRLQHHSAM >ONH93933 pep chromosome:Prunus_persica_NCBIv2:G8:22077044:22081224:-1 gene:PRUPE_8G261500 transcript:ONH93933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEYQEVYIRNSRGVQLFTCRWLPFSSPKALVFLCHGYGMECSGFMRECGVRLANAGYAVFGIDYEGHGRSRGARCYIKKFENIVNDCNEFFKSICAEEEYRDKCRFLYGESMGGAVALLLHKKEPTFWNGAVLVAPMCKISEKVKPHPLVINVLTRVEEIIPKWKIVPTKDVIDSAFKDPVKREEIRSNKLIYQDKPRLKTALEMLRTSMSLEHTLHEQVRLPFFVLHGEADTVTDPEVSRALYEKASSADKTIKLYPGMWHGLTSGEPDGNVEIVFVDIITWLEKHTSDDNSVIVQPIHTYRNAILKMNTTASPPQTINKQHQQQSPRPVRTQSHRRYFCGLKGRRLQHHSAM >ONH91361 pep chromosome:Prunus_persica_NCBIv2:G8:13938146:13940114:1 gene:PRUPE_8G109600 transcript:ONH91361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIVLCLVVLSSLFLSQQSVFEVVFPGNEVPKWFSCCRDLKERSECEVAVEIPPNFKWSKTGLALCAAVEIKQNRSGNCCLIARVYMDEECSHEHAVYFDSKERSQLTCGCIIPFHTMIAKTRSGLPPYKFQVSFELTIKGSVFFESCGVHLVIKDDLPVPKTKFFLNHCLQM >ONH92574 pep chromosome:Prunus_persica_NCBIv2:G8:18163577:18166102:1 gene:PRUPE_8G181700 transcript:ONH92574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSKMRAIPISKLKCKAWPSFLLSRSCSHMANVAEPPPSTLVDEFTKFCYQRDLPRAMTAMEAMQRRGIWADSLVYSELVKCCLARRAVQQGKLVHKHVFSNGYRPKTFLTNIFINMYVKFGLLEEAQSLFDEMPERNVVSWTTMISAYSNAKLNHKALESLVLMLREDVMPNSFTYSSVLRACDGLWYLKQLHCSIIRVGLESDVFVRSALIDVYSKLGELHNALGVFNEMVTGDLVVWNSIIGAFAQNSDGDEALNLFKRMKGAGFAAEEATLTSVLRACTVLALLELGRQVHVHAVKYGQDLILNNALLDMYCKCGSLEDANSVFTRMVEKDVISWSTMIAGLAQNGFSQEALRLFEQMKISGTKPNYITILGVLFACSHAGLLEDGWYYFQNMKQLFGIDPGREHYGCVIDLLGRAGKVDEAARLIQEMECEPDAVTWRTLLGACRVHRNVDLAAYAAKQVLKMDPDDAGTYILLSNIYANSQRWEDVAEVRKSMRARGVTKEPGCSWIEVDKQIHAFIMGDDSHPQIDEINRQLSLLVDRLMGMGYVPDTNFVLQDLEGEQREVSLLSHSEKLAIVFGIMSLSKGRTVRIRKNLRICGDCHIFAKLVAKMEERVIVIRDPIRYHHFQDGVCSCGDYW >ONH93873 pep chromosome:Prunus_persica_NCBIv2:G8:21932737:21935975:1 gene:PRUPE_8G257900 transcript:ONH93873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAALALHQQWLSFSNPITRIRPWLPGRTTTLTHLQIHKNLAGISLISCSSSSTSQSTDANAETAESCVNLGLQLFSKGRVKDALVQFETALSLDPNPIEAQAALYNKACCHAYKGEGRKAADCLRTALREYNLKFGTILNDPDLASFRALPEFKELQEEARLGGEDIGYSFRRDLKLISGDDAPDVWETAGNVAINIGGLIVLVALFLWENKKEEEQLTQISRDENLSRLPLRLSTNRIVELVQLRDTVRPVILAGKKETVSVSIQQAARFRTELLRRGVLLVPVIWDEVRAPQIEKKGFGAPSKAAAALPSIGNSRNELNL >ONH93872 pep chromosome:Prunus_persica_NCBIv2:G8:21932617:21936030:1 gene:PRUPE_8G257900 transcript:ONH93872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAALALHQQWLSFSNPITRIRPWLPGRTTTLTHLQIHKNLAGISLISCSSSSTSQSTDANAETAESCVNLGLQLFSKGRVKDALVQFETALSLDPNPIEAQAALYNKACCHAYKGEGRKAADCLRTALREYNLKFGTILNDPDLASFRALPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGIRRFFYVAFSAAAGISTFFTVPRLFRAISGGDDAPDVWETAGNVAINIGGLIVLVALFLWENKKEEEQLTQISRDENLSRLPLRLSTNRIVELVQLRDTVRPVILAGKKETVSVSIQQAARFRTELLRRGVLLVPVIWDEVRAPQIEKKGFGAPSKAAAALPSIGEEFEKRTQSITAKSKLKAEIRFKAEVVSPSEWERWIRDQQKSEGVTPGEDVYIILRLDGRVRRSGKGMPDWQQIVKELPPIEALLSKLER >ONH92662 pep chromosome:Prunus_persica_NCBIv2:G8:18434021:18437986:1 gene:PRUPE_8G187700 transcript:ONH92662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLPANCLIHFCRHTYSGSETAVYDLWLIIQSVYSRSLDSDKTRWFENWGVKRPFNYTFFVGSNDQLMDAIFVFVNERKWLICRLKT >ONH91316 pep chromosome:Prunus_persica_NCBIv2:G8:13755650:13762836:1 gene:PRUPE_8G106300 transcript:ONH91316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACSLHSPTVTTIPSLSQSGFTEKPLLRGQVLKFSNSKRYPHARKLGFLHIKAQASGTAKFSSEIVEPTSKEVDLKDENLAFVAGATGKVGSRTVRELLKLGFQVRAGVRSAQRAETLVQSVKQMKLDGEGTQPVEKLKIVECDLEKPDQIGPALGNASVVLCCIGASEKEVFDVTGPYRIDYLATKNLIEAATVAKVNHFILLTSLGTNKIGFPAAILNLFWGVLIWKRKAEEALIASGLPYTIVRPGGMERPTDAYKETHNTTLSKEDTLFGGQVSNLQVAELLASAAKNRAVSYFKVVEVIAETTAPLTPLEDLLAKIPSQRADTYPPKGLGTAPATSPEPSRSAKPPTSPTLSPSTTDIATKASDADARPAAPAATTLQEASETIVEKEDIETKAKDTRPLSPYASYEDFKPPSSPSPSPPSVQKDNVVDAVSLTISDAQTSTVDTTTAVDEIKPTSQEVIITRPLSPYVAYEDLKPPSSPSPIPSGPKQTPLDASTPVEAVSQSSGGNNSTEAVISSVAEEVSPQNSIHYHSPYYVYDNFKPPSSPSPTPPILSSPTPTPTPPILSVLSEPVANNGSPIDTLNSTVQPPTEDAQSNSKQHTKPKPRPLSPFFMYEELKPPASPIPSLKKS >ONH91317 pep chromosome:Prunus_persica_NCBIv2:G8:13755818:13760033:1 gene:PRUPE_8G106300 transcript:ONH91317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACSLHSPTVTTIPSLSQSGFTEKPLLRGQVLKFSNSKRYPHARKLGFLHIKAQASGTAKFSSEIVEPTSKEVDLKDENLAFVAGATGKVGSRTVRELLKLGFQVRAGVRSAQRAETLVQSVKQMKLDGEGTQPVEKLKIVECDLEKPDQIGPALGNASVVLCCIGASEKEVFDVTGPYRIDYLATKNLIEAATVAKVNHFILLTSLGTNKIGFPAAILNLFWGVLIWKRKAEEALIASGLPYTIVRPGGMERPTDAYKETHNTTLSKEDTLFGGQVSNLQVAELLASAAKNRAVSYFKVVEVIAETTAPLTPLEDLLAKIPSQRADTYPPKVCLFFWSLFS >ONH93037 pep chromosome:Prunus_persica_NCBIv2:G8:19442282:19451646:-1 gene:PRUPE_8G209400 transcript:ONH93037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAGVERLHQLLEASRKSLSSSEVTSLVDCCMDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDGKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWAHKSWRVREEFARTVTAAIGLFAATELPLQRAILPPILQMLNDSNPGVREAAIMCIEEMYTQAGPQFRDELQRHHLPMSMVKDINARLERIEPKVRSSDGLSSNFSAVETKHVSHNPKKSSPKAKSSSREVSLFGGENDATEKSVDPIKVYSEKELIREIEKIASTLVPEKDWSVRIAAMQRIEGFVYGGATDYQCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCDYALLILEYWADAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFSKTWPERSRRLFSLFDPVIQRLINEEDGGIHRRHASPSVRDRGVSYTPQPSAASNLPGYGTSAIVAMDKSSSLSSGTSLSSGLLLSQAKSLGKGTERSLESVLHASKQKVSAIESMLRGLDLSEKHNSTLRSSSLDLGVDPPSSRDPPFPAAVPASNHLSNSLMADSTTTSINKGSNRNGGLVLSDIITQIQASKDSGKSSYRSNLSAEAMPTVSSYTMKRASERGQERGFIEENNDIREARRFTNSQIDRQYDSPHRDGNFRDSHNNHIPNFQRPLLRKNVTGRMSAGRRRSFDDSQLSLGEMSNYVEGPTSLNDALSEGLSPSSDWNARVAAFNYLRSLLQQGPKGIQEVIQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLDIVSKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHSINTEGSGNSGILKLWLSKLTPLVHDKNTKLKEAAITCIISVYSHFDSISVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFLQNKKERQRLKSSYDPSDVVGTSSEEGYVSVSKKSHFFGRYSAGSVDSDGGRKWSSTQESAIVTGNAGQTASDEARENLYQNFETGSNNDVLNSKSKDLSYTINPVSQNLGSWTSPLDNIDGRVNLEGLSATPCMDVNGLMSLDHMGVGENIGHDSEAPTDLEPNHEKLKALKVNSTPDTGPSIPQILHLIGNGTEESPTASKRDALQQLIEASIANEHSVWTKYFNQILTVVLEVLDDFDSSTRELSLSLIIEMLKNQKDAMEDSVEIVIEKLLHVTKDVVPKVSNESEHCLSIVLSQYDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQDELMAQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGSSIDTNHD >ONH93035 pep chromosome:Prunus_persica_NCBIv2:G8:19441978:19452046:-1 gene:PRUPE_8G209400 transcript:ONH93035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAGVERLHQLLEASRKSLSSSEVTSLVDCCMDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDGKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWAHKSWRVREEFARTVTAAIGLFAATELPLQRAILPPILQMLNDSNPGVREAAIMCIEEMYTQAGPQFRDELQRHHLPMSMVKDINARLERIEPKVRSSDGLSSNFSAVETKHVSHNPKKSSPKAKSSSREVSLFGGENDATEKSVDPIKVYSEKELIREIEKIASTLVPEKDWSVRIAAMQRIEGFVYGGATDYQCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCDYALLILEYWADAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFSKTWPERSRRLFSLFDPVIQRLINEEDGGIHRRHASPSVRDRGVSYTPQPSAASNLPGYGTSAIVAMDKSSSLSSGTSLSSGLLLSQAKSLGKGTERSLESVLHASKQKVSAIESMLRGLDLSEKHNSTLRSSSLDLDSTTTSINKGSNRNGGLVLSDIITQIQASKDSGKSSYRSNLSAEAMPTVSSYTMKRASERGQERGFIEENNDIREARRFTNSQIDRQYDSPHRDGNFRDSHNNHIPNFQRPLLRKNVTGRMSAGRRRSFDDSQLSLGEMSNYVEGPTSLNDALSEGLSPSSDWNARVAAFNYLRSLLQQGPKGIQEVIQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLDIVSKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHSINTEGSGNSGILKLWLSKLTPLVHDKNTKLKEAAITCIISVYSHFDSISVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFLQNKKERQRLKSSYDPSDVVGTSSEEGYVSVSKKSHFFGRYSAGSVDSDGGRKWSSTQESAIVTGNAGQTASDEARENLYQNFETGSNNDVLNSKSKDLSYTINPVSQNLGSWTSPLDNIDGRVNLEGLSATPCMDVNGLMSLDHMGVGENIGHDSEAPTDLEPNHEKLKALKVNSTPDTGPSIPQILHLIGNGTEESPTASKRDALQQLIEASIANEHSVWTKYFNQILTVVLEVLDDFDSSTRELSLSLIIEMLKNQKDAMEDSVEIVIEKLLHVTKDVVPKVSNESEHCLSIVLSQYDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQDELMAQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGSSIDTNHD >ONH93036 pep chromosome:Prunus_persica_NCBIv2:G8:19440866:19452046:-1 gene:PRUPE_8G209400 transcript:ONH93036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALELARAKDTKERMAGVERLHQLLEASRKSLSSSEVTSLVDCCMDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDGKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWAHKSWRVREEFARTVTAAIGLFAATELPLQRAILPPILQMLNDSNPGVREAAIMCIEEMYTQAGPQFRDELQRHHLPMSMVKDINARLERIEPKVRSSDGLSSNFSAVETKHVSHNPKKSSPKAKSSSREVSLFGGENDATEKSVDPIKVYSEKELIREIEKIASTLVPEKDWSVRIAAMQRIEGFVYGGATDYQCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCDYALLILEYWADAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFSKTWPERSRRLFSLFDPVIQRLINEEDGGIHRRHASPSVRDRGVSYTPQPSAASNLPGYGTSAIVAMDKSSSLSSGTSLSSGLLLSQAKSLGKGTERSLESVLHASKQKVSAIESMLRGLDLSEKHNSTLRSSSLDLGVDPPSSRDPPFPAAVPASNHLSNSLMADSTTTSINKGSNRNGGLVLSDIITQIQASKDSGKSSYRSNLSAEAMPTVSSYTMKRASERGQERGFIEENNDIREARRFTNSQIDRQYDSPHRDGNFRDSHNNHIPNFQRPLLRKNVTGRMSAGRRRSFDDSQLSLGEMSNYVEGPTSLNDALSEGLSPSSDWNARVAAFNYLRSLLQQGPKGIQEVIQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLDIVSKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHSINTEGSGNSGILKLWLSKLTPLVHDKNTKLKEAAITCIISVYSHFDSISVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFLQNKKERQRLKSSYDPSDVVGTSSEEGYVSVSKKSHFFGRYSAGSVDSDGGRKWSSTQESAIVTGNAGQTASDEARENLYQNFETGSNNDVLNSKSKDLSYTINPVSQNLGSWTSPLDNIDGRVNLEGLSATPCMDVNGLMSLDHMGVGENIGHDSEAPTDLEPNHEKLKALKVNSTPDTGPSIPQILHLIGNGTEESPTASKRDALQQLIEASIANEHSVWTKYFNQILTVVLEVLDDFDSSTRELSLSLIIEMLKNQKDAMEDSVEIVIEKLLHVTKDVVPKVSNESEHCLSIVLSQYDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQDELMAQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGSSIDTNHD >ONH91428 pep chromosome:Prunus_persica_NCBIv2:G8:14203373:14204733:1 gene:PRUPE_8G113800 transcript:ONH91428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTVMLRSCPSSSPLLFEANREAFSARASSPSSSSTTPKFASTICCSSRNHAYIPKLEPFSRSKLDRAISDPPLIEKCENELSDYCSTLEGDESYGCWRAYFELKDLEKEIPKQDVEKLILQAGGVKTLVGCLHGIAAIKKDKKHGFNSAKPSEVVKEGERLCPIPDGLPKSAQELEEEESSRMPDSPFTRLLRIKGRHPAWYSATPDHETD >ONH91429 pep chromosome:Prunus_persica_NCBIv2:G8:14203418:14204644:1 gene:PRUPE_8G113800 transcript:ONH91429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTVMLRSCPSSSPLLFEANREAFSARASSPSSSSTTPKFASTICCSSRNHAYIPKLEPFSRSKLDRAISDPPLIEKCENELSDYCSTLEGDESYGCWRAYFELKDLEEIPKQDVEKLILQAGGVKTLVGCLHGIAAIKKDKKHGFNSAKPSEVVKEGERLCPIPDGLPKSAQELEEEESSRMPDSPFTRLLRIKGRHPAWYSATPDHETD >ONH91430 pep chromosome:Prunus_persica_NCBIv2:G8:14203418:14204644:1 gene:PRUPE_8G113800 transcript:ONH91430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTVMLRSCPSSSPLLFEANREAFSARASSPSSSSTTPKFASTICCSSRNHAYIPKLEPFSRSKLDRAISDPPLIEKCENELSDYCSTLEGDESYGCWRAYFELKDLEIPKQDVEKLILQAGGVKTLVGCLHGIAAIKKDKKHGFNSAKPSEVVKEGERLCPIPDGLPKSAQELEEEESSRMPDSPFTRLLRIKGRHPAWYSATPDHETD >ONH92999 pep chromosome:Prunus_persica_NCBIv2:G8:19362493:19365394:1 gene:PRUPE_8G207200 transcript:ONH92999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPNQNQTKSSNLCRVQSITFRVNASKWVESLIFSPLLATCLELCVHNDHFLKLLKNQNFASSAPNSTSASSGRVITSKAAVAWEAGKPLVIEKVEVAPPQANEVKGQTPFFPRIFDHEAAGVVESVGDGAIKNLKPGDHVLPVFTGECGDCVHCKSEESNMCDLLRINTDRGVMIGDGKQRFSKNGTPINHFLGTSTFSDYTVIHEGCLAKIDLSAPLDKVCILSCGVSTGFCATLKVAKPKKGSSVAVFGLGAVSLAAAEGARISGASRIIGVDLNPKRFEEAKNFGVNEFVNPRDHIKPVQQIIAEMTNGGVDRSLECTGNINSIISAFECVHDACCFSDNSRNLFGNYKPRTDLPSVVDMYMNKKLEVEKFITHRVPFSEINKACDYMLKGEGLRCIISMEE >ONH90335 pep chromosome:Prunus_persica_NCBIv2:G8:5057706:5061976:-1 gene:PRUPE_8G047300 transcript:ONH90335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNKMSWVLAFLGFMFLGGICKADGATHFYDFVLKETNFTRLCSTKSILTVNGSLPGPTITVQKGDTAFVNVHNQGLYGLTIHWHGVKQPRNPWSDGPENITQCPIPAGTNFTYEIIFSTEEGTLWWHAHSDWSRATVYGAIIILPSANTTYPFATPDAQETLILGSWFKGDVMEIIEDALATGGEPNISDAFTINGQPGHLYSCSNETTYRWLVDFGKTYLLRLINAVMNEEKFLAIANHNLTVVAQDAAYIKPITTPYIMITPGQTMDILVTANQPPSHYYVAATSFVDGNVTFHNGTTTAILEYNGNYSTPSTTPFPTLPDHDDDTAAENFTKQVRALASEDHPISVPLNISTSMYIAVSINERICANSSCAGPDGNALAASLNNISFQTPSTSILQAYYGGNTNGVYATNFPDIPPYFYNFTGDVANNTLYPSFLTRVRMINYGEGVEIVFQGTSIIAPENHPMHLHGFSFYLVGTGSGNFNSTTSPTTYNLVDPPEVNTIGVPKNGWAAIRFVADNPGVWFMHCHLERHASWGMDTVLIVRNGNTSESTIRGPPAYMPPCSNS >ONH89810 pep chromosome:Prunus_persica_NCBIv2:G8:1650805:1652848:-1 gene:PRUPE_8G017800 transcript:ONH89810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTALLTSGSFCWTYQPQAVPSQSLVVHSSLEHGTCRTKAENGLVDEEAENFSGILKRRSVLWAGVSLFSSAVLGFPREGLAVVKQGLLAGRIPGLSEPDEQGWRTYRRPDEKSGGHGVGWSPIIPYLFSVPQDWEEVPVSIADLGGTEIDLRFGSSKEGRLSVIVAPVLRFADNLDGDATIEKIGRPETVINAFGPEVIGENVEGKVMSINVVQDSGRTYYQYELEPPHVLITATAAGNRLYLFNVTGSGLQWKRHYKDLKKIADSFRVV >ONH91269 pep chromosome:Prunus_persica_NCBIv2:G8:13385279:13388503:-1 gene:PRUPE_8G102500 transcript:ONH91269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLFKVKERQREIAANANGKPPVKKQSAGELRLHRDISELNLQKTCTISFPNGKDDLMNFEVTVCPDEGYYLGGTFMFTFQVSPVYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLFHLFTQPNYEDPLNHDAAAVLRDNPKMFESNVRRAMAGGYVGQTLFPRCM >ONH93032 pep chromosome:Prunus_persica_NCBIv2:G8:19438130:19439398:-1 gene:PRUPE_8G209300 transcript:ONH93032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNTKAATKITALVVDDSMINQRIHHKLLENLGIENQVVGNGKEAVDVHCSGKHFDLILMDMDMPIMNGIEATRTLRAMGIQSTIAGVSSHSSLSEDTQEFIEAGLDDYQEKPLTPDKLVAILHKVNLHV >ONH93033 pep chromosome:Prunus_persica_NCBIv2:G8:19438182:19439391:-1 gene:PRUPE_8G209300 transcript:ONH93033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNTKAATKITALVVDDSMINQRIHHKLLENLGIENQVVGNGKEAVDVHCSGKHFDLILMDMDMPIMNGIEATRTLRAMGIQSTIAGVSSHSSLSEDTQEFIEAGLDDYQEKPLTPDKLVAILHKVNLHV >ONH93034 pep chromosome:Prunus_persica_NCBIv2:G8:19438111:19439391:-1 gene:PRUPE_8G209300 transcript:ONH93034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNTKAATKITALVVDDSMINQRIHHKLLENLGIENQVVGNGKEAVDVHCSGKHFDLILMDMDMPIMNGIEATRTLRAMGIQSTIAGVSSHSSLSEDTQEFIEAGLDDYQEKPLTPDKLVAILHKVNLHV >ONH90719 pep chromosome:Prunus_persica_NCBIv2:G8:10424612:10429816:1 gene:PRUPE_8G071200 transcript:ONH90719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVPLNQQGMNDLPVKPIQPQRAASLSRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKASSDDGGPASSGATQGSGQDESMQETSCMHCGISSKSTPMMRRGPAGPRTLCNACGLKWANKGVLTGGPKVSNIGMQDPSAKGIEQGDGEAKDSVAITMGANIAPSPNGDNSTMTVDRNL >ONH90718 pep chromosome:Prunus_persica_NCBIv2:G8:10424704:10429816:1 gene:PRUPE_8G071200 transcript:ONH90718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESNHQNSMYGSGGAPQSNQVEEQEDDVEESIDNPHIRFEDSSAIPPNPLYLTSSEYPPAAATNGGSDQLTLSFQGEVYVFDEVSPDKGMNDLPVKPIQPQRAASLSRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKASSDDGGPASSGATQGSGQDESMQETSCMHCGISSKSTPMMRRGPAGPRTLCNACGLKWANKGVLTGGPKVSNIGMQDPSAKGIEQGDGEAKDSVAITMGANIAPSPNGDNSTMTVDRNL >ONH90717 pep chromosome:Prunus_persica_NCBIv2:G8:10424704:10429815:1 gene:PRUPE_8G071200 transcript:ONH90717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESNHQNSMYGSGGAPQSNQVEEQEDDVEESIDNPHIRFEDSSAIPPNPLYLTSSEYPPAAATNGGSDQLTLSFQGEVYVFDEVSPDKVQAVLLLLGGYEIPSGIPSMGPVPLNQQGMNDLPVKPIQPQRAASLSRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKASSDDGGPASSGATQGSGQDESMQETSCMHCGISSKSTPMMRRGPAGPRTLCNACGLKWANKGVLTGGPKVSNIGMQDPSAKGIEQGDGEAKDSVAITMGANIAPSPNGDNSTMTVDRNL >ONH91058 pep chromosome:Prunus_persica_NCBIv2:G8:12376363:12376897:-1 gene:PRUPE_8G090800 transcript:ONH91058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLNYDLCITTWVDVKLFALSIFPSREYILASVYGSNTKNNLTSIIIDNLVTIGQFCWNQITNIVRWWGKPNLFWLQMKKASACELAVDA >ONH90871 pep chromosome:Prunus_persica_NCBIv2:G8:11285739:11286129:1 gene:PRUPE_8G079300 transcript:ONH90871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGTIHAKKTQVPSKSLDVPKGYFAVYVGESQKKRFVIPLSYLNQSSLLTVILNKFTIFFLFLFPSPSSSLDIVFTSL >ONH91994 pep chromosome:Prunus_persica_NCBIv2:G8:16311746:16312693:-1 gene:PRUPE_8G148600 transcript:ONH91994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNAKKQSKLMYIICAPIRTLTKARNFYMRSLEDCASKVGYGGGGVSGYTASQVPRLPKSFSVNSSSSSDDEDLRQLLRTASSKNSKRNDVEKKNNNKPAGDLDVHKRPVVRQAAQPIARQQTMNGMGMRSYSVGLKMGRIDEERACSFEEDEVNVVKADLYPRSRSYAVKRRSGGFA >ONH93648 pep chromosome:Prunus_persica_NCBIv2:G8:21269918:21274567:1 gene:PRUPE_8G244100 transcript:ONH93648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNAVYGCLKEVFPQVDFRLLRAVAIEHPTDADAAVLDVLTELPSLNTQSLSLVSPAQVLHRTGSPVTVDHKEKGKALMYQQVIKEVGVGSLPEPETAAGEDGNKNDHTSGASHDEPTPMEEVHALHNVPVTADPLRIHTRNEEPISDETGLNFDGKVGLQQSPSCKSSPSMPEKDWVNGILDEPLPAWKNFDFPVHDDSDLAISETCHKVESSAVDSLVDVKSSVAQLDSSFIEHAPDATQCDFHSEFCSGPLLADDNLQATGTSKQDCSPREMVDIEETTPNNKCNIYVLEEIIEDAKNNKKTLFSAMESVISMMREVEVQEKAVDIVKEEASRGGLDIMVKVEELKQMLAHAKDANDMHAGEVYGEKAILATEVRELESRLLSLSDERDKSLAILNEMRETLEERLDAATEARKVAEQDKLEKEESARTALAEQEAEMEKVVQASKVLQQEAEENSKLREFLMDRGRIVDMLQGEISVICLDVRLLKEKFDERVPLSQSVSSSQTSCILASSGSSSLKSLGAFDLLLERVESPKFAEKASPAPSVDGLSPTSRLEDERRELAQALVDEGWEVVVD >ONH93649 pep chromosome:Prunus_persica_NCBIv2:G8:21269935:21274567:1 gene:PRUPE_8G244100 transcript:ONH93649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNAVYGCLKEVFPQVDFRLLRAVAIEHPTDADAAVLDVLTELPSLNTQSLSLVSPAQVLHRTGSPVTVDHKEKGKALMYQQVIKEVGVGSLPEPETAAGEDGNKNDHTSGASHDEPTPMEEVHALHNVPVTADPLRIHTRNEEPISDETGLNFDGKVGLQQSPSCKSSPSMPEKDWVNGILDEPLPAWKNFDFPVHDDSDLAISETCHKVESSAVDSLVDVKSSVAQLDSSFIEHAPDATQCDFHSEFCSGPLLADDNLQATGTSKQDCSPREMVDIEETTPNNKCNIYVLEEIIEDAKNNKKTLFSAMESVISMMREVEVQEKAVDIVKEEASRGGLDIMVKVEELKQMLAHAKDANDMHAGEVYGEKAILATEVRELESRLLSLSDERDKSLAILNEMRETLEERLDAATEARKVAEQDKLEKEESARTALAEQEAEMEKVVQASKVLQQEAEENSKLREFLMDRGRIVDMLQGEISVICLDVRLLKEKFDERVPLSQSVSSSQTSCILASSGSSSLKSLGAFDLLLERVESPKFAEKASPAPSVDGLSPTSRLEDERRELAQALVDEGWEVVVD >ONH91280 pep chromosome:Prunus_persica_NCBIv2:G8:13436300:13441549:-1 gene:PRUPE_8G103400 transcript:ONH91280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQKPSNDAMKHLPLTPLRAIRALWPFLFEKINKTKVIFSGETVPDGERILLISNHRTEVDWMYLWDLALRKGRQGYIKYILKSSLMKLPLFGWSFHILEFISVERKWEVDELNMRRMLSSLKDPQDSLWLALFPEGTDFTEQKCIRSQKYAAENGLPVLKHVLLPKTKGFSACLEELRGSLDAVYDVTIGYKPSCPTFFDNASGVNPSEVHMHVQRIPLDNIPTSEDEVTTWLMNRFHLKDQLLSDFDSQGHFPHEGSEGDLSTLRCLVNLVAVIVLTGTCAYLTIFSSIWFKIYVSLVCAYLTAATIFNIRPLPLVSLVKAWFKCKSS >ONH91281 pep chromosome:Prunus_persica_NCBIv2:G8:13436492:13439048:-1 gene:PRUPE_8G103400 transcript:ONH91281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQKPSNDAMKHLPLTPLRAIRGVVCLLILVSTAFMMLVYFGFLGAVIIRLFSIHYSRRVTSFFFGAWLALWPFLFEKINKTKVIFSGETVPDGERILLISNHRTEVDWMYLWDLALRKGRQGYIKYILKSSLMKLPLFGWSFHILEFISVERKWEVDELNMRRMLSSLKDPQDSLWLALFPEGTDFTEQKCIRSQKYAAENGLPVLKHVLLPKTKGFSACLEELRGSLDAVYDVTIGYKPSCPTFFDNASGVNPSEVHMHVQRIPLDNIPTSEDEVTTWLMNRFHLKDQLLSDFDSQGHFPHEGSEGDLSTLRCLVNLVAVIVLTGTCAYLTIFSSIWFKIYVSLVCAYLTAATIFNIRPLPLVSLVKAWFKCKSS >ONH94004 pep chromosome:Prunus_persica_NCBIv2:G8:22225333:22229358:1 gene:PRUPE_8G265400 transcript:ONH94004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLDPRPHSVSLLEFGAVGDGKTLNTVAFQNAIFYVKSFADKGGAQLYVPPGSWLTGSFNLTSHLTLFLEAGAIILGSQDYAHWDIVKPLPSYGRGIDLPGWRYRSLINGHNLSDVVITGDNGTIDGQGSVWWEQFSSHALNYSRPHLVEFTGCDNVTISNLTLLNSPAWNIHPAYCRYVQVLNITVHASPASPYTSGIVPDSSENVCIENCNISMSHDAIVLKSGWDEYGIAYGKPTKNVHIRGVNLQSSLGAGLAFGSEMSGGISNILVEQLHLRNSFIGIALKTTRGRGGYMKDIIISNVEMENIHLAMEATGQSGSHPDENFDPDELPFVKGVTFENMVGTNITFAGNFSGLDKSPFTSICLSNISFSITWNSSTSWFCSNVMGFSKEVSPKPCSNLQDSTFNSSAACFFSLYPVNYATVL >ONH94001 pep chromosome:Prunus_persica_NCBIv2:G8:22225237:22229358:1 gene:PRUPE_8G265400 transcript:ONH94001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLALVLFLAFSSAVQYDGEGKNGQCENMKSLDPRPHSVSLLEFGAVGDGKTLNTVAFQNAIFYVKSFADKGGAQLYVPPGSWLTGSFNLTSHLTLFLEAGAIILGSQDYAHWDIVKPLPSYGRGIDLPGWRYRSLINGHNLSDVVITGDNGTIDGQGSVWWEQFSSHALNYSRPHLVEFTGCDNVTISNLTLLNSPAWNIHPAYCRYVQVLNITVHASPASPYTSGIVPDSSENVCIENCNISMSHDAIVLKSGWDEYGIAYGKPTKNVHIRGVNLQSSLGAGLAFGSEMSGGISNILVEQLHLRNSFIGIALKTTRGRGGYMKDIIISNVEMENIHLAMEATGQSGSHPDENFDPDELPFVKGVTFENMVGTNITFAGNFSGLDKSPFTSICLSNISFSITWNSSTSWFCSNVMGFSKEVSPKPCSNLQDSTFNSSAACFFSLYPVNYATVL >ONH94000 pep chromosome:Prunus_persica_NCBIv2:G8:22225333:22229358:1 gene:PRUPE_8G265400 transcript:ONH94000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLALVLFLAFSSAVQYDGEGKNGQCENMKSLDPRPHSVSLLEFGAVGDGKTLNTVAFQNAIFYVKSFADKGGAQLYVPPGSWLTGSFNLTSHLTLFLEAGAIILGSQDYAHWDIVKPLPSYGRGIDLPGWRYRSLINGHNLSDVVITGDNGTIDGQGSVWWEQFSSHALNYSRPHLVEFTGCDNVTISNLTLLNSPAWNIHPAYCRYVQVLNITVHASPASPYTSGIVPDSSENVCIENCNISMSHDAIVLKSGWDEYGIAYGKPTKNVHIRGVNLQSSLGAGLAFGSEMSGGISNILVEQLHLRNSFIGIALKTTRGRGGYMKDIIISNVEMENIHLAMEATGQSGSHPDENFDPDELPFVKGVTFENMVGTNITFAGNFSGLDKSPFTSICLSNISFSITWNSSTSWFCSNVMGFSKEVSPKPCSNLQDSTFNSSAACFFSLYPVNYATVL >ONH94002 pep chromosome:Prunus_persica_NCBIv2:G8:22225333:22229358:1 gene:PRUPE_8G265400 transcript:ONH94002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLDPRPHSVSLLEFGAVGDGKTLNTVAFQNAIFYVKSFADKGGAQLYVPPGSWLTGSFNLTSHLTLFLEAGAIILGSQDYAHWDIVKPLPSYGRGIDLPGWRYRSLINGHNLSDVVITGDNGTIDGQGSVWWEQFSSHALNYSRPHLVEFTGCDNVTISNLTLLNSPAWNIHPAYCRYVQVLNITVHASPASPYTSGIVPDSSENVCIENCNISMSHDAIVLKSGWDEYGIAYGKPTKNVHIRGVNLQSSLGAGLAFGSEMSGGISNILVEQLHLRNSFIGIALKTTRGRGGYMKDIIISNVEMENIHLAMEATGQSGSHPDENFDPDELPFVKGVTFENMVGTNITFAGNFSGLDKSPFTSICLSNISFSITWNSSTSWFCSNVMGFSKEVSPKPCSNLQDSTFNSSAACFFSLYPVNYATVL >ONH94003 pep chromosome:Prunus_persica_NCBIv2:G8:22225333:22229358:1 gene:PRUPE_8G265400 transcript:ONH94003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLDPRPHSVSLLEFGAVGDGKTLNTVAFQNAIFYVKSFADKGGAQLYVPPGSWLTGSFNLTSHLTLFLEAGAIILGSQDYAHWDIVKPLPSYGRGIDLPGWRYRSLINGHNLSDVVITGDNGTIDGQGSVWWEQFSSHALNYSRPHLVEFTGCDNVTISNLTLLNSPAWNIHPAYCRYVQVLNITVHASPASPYTSGIVPDSSENVCIENCNISMSHDAIVLKSGWDEYGIAYGKPTKNVHIRGVNLQSSLGAGLAFGSEMSGGISNILVEQLHLRNSFIGIALKTTRGRGGYMKDIIISNVEMENIHLAMEATGQSGSHPDENFDPDELPFVKGVTFENMVGTNITFAGNFSGLDKSPFTSICLSNISFSITWNSSTSWFCSNVMGFSKEVSPKPCSNLQDSTFNSSAACFFSLYPVNYATVL >ONH93903 pep chromosome:Prunus_persica_NCBIv2:G8:22008502:22010675:1 gene:PRUPE_8G259900 transcript:ONH93903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRRKYKQSRTKVRVALPKRKPNVFKAAFCLPPKLRALLEQQGPSSASWDAEGTVIENYKSFGFVSNPNLLGVRSRTSHMIESDSLQVPPPPPSGDEPVDGSDVEEDDLRSSLGKKRRDGKSAPLQPLTAMQRVYIGRLIEKYGDDYQGMFMDTKLNAMQHSVATLEKLCKRYHVHKDKNPLLIR >ONH93553 pep chromosome:Prunus_persica_NCBIv2:G8:20973766:20974818:1 gene:PRUPE_8G238000 transcript:ONH93553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGIEIVLALVLAVVLREGTVDAQSSCTNVIISMSPCLDYVTGNSSTPSSSCCSQFANVVSSQPKCLCEVLNGGSSSVGVNINQTQALALPSACKVQTPPLSRCSGSSPTGSPSGAPTSPSGSGSKTVPSPSHGNSNKMAFPLLFFLLFIASYASTSTAN >ONH90529 pep chromosome:Prunus_persica_NCBIv2:G8:8403171:8405486:-1 gene:PRUPE_8G059600 transcript:ONH90529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGISPRQYFNLPKPQRMSFDDRLKDSLRVLLQEENKNMEAKAREEALRMEARTKQLVIKVVDYSNMEAPSSLKSLCRYVETTLVPQDKTLNFTIDKEVFGLERDTFLLPEDITQFAGMEEIGATVIAVYMRYLHDLLKQANMCSMVGFIDPATVSANFGTIADRSRLVAARLQRIDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSAIKIYNSHIARSGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLAFEKKYAKGKQEASYPQEAIDEVRNEWAEFVCLHLE >ONH93680 pep chromosome:Prunus_persica_NCBIv2:G8:21376354:21377160:1 gene:PRUPE_8G246500 transcript:ONH93680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNTKLLLAICCVALVFTLVSANISKEEIDGFLEEHNKARKEVGNKPLKWNTTLAQYAQEYANKRVDDCAMEHSRGRWGENLTSGDGMTGAAGTKYWVTEKEFYDPKSNKCVKEECGHYLAVIWGKTTEVGCGISKCKDGKNYIVCSYDPMYQPEDERPY >ONH90817 pep chromosome:Prunus_persica_NCBIv2:G8:11050345:11060438:1 gene:PRUPE_8G076300 transcript:ONH90817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRAMVAAQNIDLSAVKYEQEEIKAPHLTGLAFKLFVWIVEAPIIGSLIISLLKKQNKMTPLLRNTVIPEAPMFKPEYPPQEPEPGVVALDEDGKPEDRVEVALKCLPQYDPASCWNGDSTPSFRYWKIRDYAYAYRSKIATPSVVAEHIISVIEEFSNKKPPQPLLISFNPEEVRNQAAASTKRFEEGNPLSILDGIFVAIKDDIDCFPHPSKGGTTWMHEVRTVKKDAVSVSRLRSCGVIFVGKANMHELGMGTTGNNSNYGTARNPHAPERYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTDIGGSLCDAGTVEIIGPIASTVEDVMLVYSAILGTSLADRISLNPSPPSVPNLSFNDSLNSLGSLRLGKYTAWFNDVYSTDISDKCEDALNLLSKTHGCEMVEIVIPELHEMRTAHLVSIGSECLSSLNPYCEDGHGARLSYDTRTSMALFRSFSASDYVAAQCLRRRIMYHHMEIFKKVDVIVTPTTGMTAPIIPLSALKDGETDMQVTGYLMRFVIAGNLLGLPAISIPVGYDKQGLPIGLQLIGRPWGEASILRLALAIEELSAKSKNRPASFFDVLKSN >ONH90819 pep chromosome:Prunus_persica_NCBIv2:G8:11050529:11059806:1 gene:PRUPE_8G076300 transcript:ONH90819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRAMVAAQNIDLSAVKYEQEEIKAPHLTGLAFKLFVWIVEAPIIGSLIISLLKKQNKMTPLLRNTVIPEAPMFKPEYPPQEPEPGVVALDEDGKPEDRVEVALKCLPQYDPASCWNGDSTPSFRYWKIRDYAYAYRSKIATPSVAEHIISVIEEFSNKKPPQPLLISFNPEEVRNQAAASTKRFEEGNPLSILDGIFVAIKDDIDCFPHPSKGGTTWMHEVRTVKKDAVSVSRLRSCGVIFVGKANMHELGMGTTGNNSNYGTARNPHAPERYTGGSSSGPAAIVASGLCSAALGTDGGGCSIRIPSSLCGVVGLKTTYGRTDIGGSLCDAGTVEIIGPIASTVEDVMLVYSAILGTSLADRISLNPSPPSVPNLSFNDSLNSLGSLRLGKYTAWFNDVYSTDISDKCEDALNLLSKTHGCEMVEIVIPELHEMRTAHLVSIGSECLSSLNPYCEDGHGARLSYDTRTSMALFRSFSASDYVAAQCLRRRIMYHHMEIFKKVDVIVTPTTGMTAPIIPLSALKDGETDMQVTGYLMRFVIAGNLLGLPAISIPVGYDKQGLPIGLQLIGRPWGEASILRLALAIEELSAKSKNRPASFFDVLKSN >ONH90820 pep chromosome:Prunus_persica_NCBIv2:G8:11050345:11060438:1 gene:PRUPE_8G076300 transcript:ONH90820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKPEYPPQEPEPGVVALDEDGKPEDRVEVALKCLPQYDPASCWNGDSTPSFRYWKIRDYAYAYRSKIATPSVVAEHIISVIEEFSNKKPPQPLLISFNPEEVRNQAAASTKRFEEGNPLSILDGIFVAIKDDIDCFPHPSKGGTTWMHEVRTVKKDAVSVSRLRSCGVIFVGKANMHELGMGTTGNNSNYGTARNPHAPERYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTDIGGSLCDAGTVEIIGPIASTVEDVMLVYSAILGTSLADRISLNPSPPSVPNLSFNDSLNSLGSLRLGKYTAWFNDVYSTDISDKCEDALNLLSKTHGCEMVEIVIPELHEMRTAHLVSIGSECLSSLNPYCEDGHGARLSYDTRTSMALFRSFSASDYVAAQCLRRRIMYHHMEIFKKVDVIVTPTTGMTAPIIPLSALKDGETDMQVTGYLMRFVIAGNLLGLPAISIPVGYDKQGLPIGLQLIGRPWGEASILRLALAIEELSAKSKNRPASFFDVLKSN >ONH90818 pep chromosome:Prunus_persica_NCBIv2:G8:11050305:11059924:1 gene:PRUPE_8G076300 transcript:ONH90818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRAMVAAQNIDLSAVKYEQEEIKAPHLTGLAFKLFVWIVEAPIIGSLIISLLKKQNKMTPLLRNTVIPEAPMFKPEYPPQEPEPGVVALDEDGKPEDRVEVALKCLPQYDPASCWNGDSTPSFRYWKIRDYAYAYRSKIATPSVVAEHIISVIEEFSNKKPPQPLLISFNPEEVRNQAAASTKRFEEGNPLSILDGIFVAIKDDIDCFPHPSKGGTTWMHEVRTVKKDAVSVSRLRSCGVIFVGKANMHELGMGTTGNNSNYGTARNPHAPERYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTDIGGSLCDAGTVEIIGPIASTVEDVMLVYSAILGTSLADRISLNPSPPSVPNLSFNDSLNSLGSLRLGKYTAWFNDVYSTDISDKCEDALNLLSKTHGCEMVEIVIPELHEMRTAHLVSIGSECLSSLNPYCEDGHGARLSYDTRTSMALFRSFSASDYVAAQCLRRRIMYHHMEIFKKVDVIVTPTTGMTAPIIPLSALKDGETDMQVTGYLMRFVIAGNLLGLPAISIPVGYDKQGLPIGLQLIGRPWGEASILRLALAIEELSAKSKNRPASFFDVLKSN >ONH91431 pep chromosome:Prunus_persica_NCBIv2:G8:14205769:14207916:1 gene:PRUPE_8G113900 transcript:ONH91431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLITNKPSGGCLLFFLLFSSFSALSFALTDAEVAFIAHRQLLALPEGGDLPENYVVQVDAKLNFENDRLKNAYVALQALKKAIFSDPFGFTVNWEGEDVCSYKGVFCAPALDNEKLTVVAGLDLNHADIAGHLPVEMGLLTDAALIHLNSNRFCGIIPRSFRRLILMHEFDVSNNRFVGSFPVVVLEWPSCKYLDLRYNDFEGELPPELFHKEHDALFLNHNRFTSIIPDTLGHSTVSVVNFGFNNFTGCIPRSVGNMKNLNEIIFMNNQLGGCFPPEIGNLGNLQVLDVSNNVFIGSFPKTFLELRSIEELAVGYNRLTGFVTEKICSLPKLANFTFAYNYFSGEAQKCMPNPKLEVNFDDTGNCMPGRPKQKNTKTCFPVVTKPVDCSKHCGGGSSTPDKPKTPRPQPPQTPKTEKPPTPKAEPPPTPKAEPPPTPKAKPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPT >ONH91432 pep chromosome:Prunus_persica_NCBIv2:G8:14205904:14207916:1 gene:PRUPE_8G113900 transcript:ONH91432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLITNKPSGGCLLFFLLFSSFSALSFALTDAEVAFIAHRQLLALPEGGDLPENYVVQVDAKLNFENDRLKNAYVALQALKKAIFSDPFGFTVNWEGEDVCSYKGVFCAPALDNEKLTVVAGLDLNHADIAGHLPVEMGLLTDAALIHLNSNRFCGIIPRSFRRLILMHEFDVSNNRFVGSFPVVVLEWPSCKYLDLRYNDFEGELPPELFHKEHDALFLNHNRFTSIIPDTLGHSTVSVVNFGFNNFTGCIPRSVGNMKNLNEIIFMNNQLGGCFPPEIGNLGNLQVLDVSNNVFIGSFPKTFLELRSIEELAVGYNRLTGFVTEKICSLPKLANFTFAYNYFSGEAQKCMPNPKLEVNFDDTGNCMPGRPKQKNTKTCFPVVTKPVDCSKHCGGGSSTPDKPKTPRPQPPQTPKTEKPPTPKAEPPPTPKAEPPPTPKAKPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKAEPPETPEAEPPQTPEAEPPQTPEVEPPPTPKAEPPPTPKAEPPPTPKAEPPPTPKSEPPPTPKAEPPPTPKAEPPPTPKSEPPPTPKAEPPPTPKAEPPPTPKAEPPPT >ONH89887 pep chromosome:Prunus_persica_NCBIv2:G8:2004829:2006052:-1 gene:PRUPE_8G022200 transcript:ONH89887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAPVEQMLQCPSGAFIMMDKRSWNKPHIEVAPNCPRCASSNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKAAKLSQADRASLSYFNHNSSSSDDTSGQYSSGTDNQPGGGNGSDIDLAAVFAKFLNNNSSSPADEHDHLDQDHEPNLVISSSELNDVDGSQNSSRADQDLVEAVDHLLGGLVAPDHQHQQIQEENVQSFMGINHDEQDDMNIHEFGLQGLLGNDDQVVQDVFWSDDAATTSSLTSSTASFSWQPMVHLQELDYSLPSDDDHMNIPTNLCSDNWSSFDFSGFEVFSRS >ONH90262 pep chromosome:Prunus_persica_NCBIv2:G8:4486391:4486964:1 gene:PRUPE_8G043200 transcript:ONH90262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHLLLTHPVPSAFQVGLAAMTLAFCAMALLMCASHSRKWRRHWNACTDFFEDDPVIEHIQPGNYDAGMEDTNSGELEDSVWQKNILMGGKCQLPDFSGVIIYDSDGNIVKPDKTPRLTWK >ONH91702 pep chromosome:Prunus_persica_NCBIv2:G8:15380771:15382485:-1 gene:PRUPE_8G131400 transcript:ONH91702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTISFCASLLLATLFLHLCFQQEVEAQPGPFINNRLRNAFNVIQAFKRRIQSDPKNYTGTWVGNNVCKYKGFTCAVVPDLKKLTVIGVSFNGALFGGFNNRLPLNGFIDRLEDLVFFHANSNNFTGTVPRGTARHRFFYELDLSNNKLTGGFPNETLAATNLTFLDLRFNSFNATVPPKVFNLDVDLLFLNNNNFQQRLPGNLGSTRAHYLTFANNKFVGSIPRSIRNARNTLYEVLFLGNRLSGCLPYEIGYLKQATVFDVSSNILTGPIPNSFACLGKLEYLSLASNQFYGRVPEAVCGLRHLERFNAANNYFTRIGPACMTLIRKKILDVRGNCLPGLPNQKTAAQCAAFFSIPRTCPRRTRLSIIPCTRSLSALNETNADINEDQLTTKAYNIQAPAEAPRTYATLMPHGH >ONH93825 pep chromosome:Prunus_persica_NCBIv2:G8:21785525:21787217:1 gene:PRUPE_8G255200 transcript:ONH93825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALYILKSLRSAHPIFSCLSCKNLLAVERFAVADSHFPLQNLSFCRPVTTKISAEQDDYTVSYLVNSCGLSPESAIQVAQKVKLRSLEKADAVLALLRNHEFSNADISKLVKRHPLVLMADAEKTLLPKLEFFCSTGISRMDLARTLSYDPALLKISLQNQIIPAYNYLKSLLLSEDKVMKVLKNKPWIFLENLSKNVVPNIELLRELGMPSSGIALLLAHSPNILMKKHELFSEIVGEVKELGFEPMKSSFVSAIRVLSGKKTIWSRNSEAYRKWGWSEDDILSAFKLNPLCMTKSEKKIMETMEFLVNKMGWPPASIAKYPTVVSLSLERRIIPRCSVVKVLFSKGLMVEENLSLASVLCPAEKPFLERFVIRYLDQVPQLSNVYQGKVDIHDIYD >ONH93017 pep chromosome:Prunus_persica_NCBIv2:G8:19405536:19406024:1 gene:PRUPE_8G208400 transcript:ONH93017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFPFSFKPNYVVFFVFAAIFLAALALPYHANSANQTNLGYPINKWVVHVVNQMGASKTLVAHCKSKDDDLGIRDVLPGNEFNWGFKENFGGTTLFWCNIHNNHQHANFQVFWHEDESKSSWLHYRCNWKECFWVAKDDGIYIRNTPEGRDELQHQWEPGW >ONH93789 pep chromosome:Prunus_persica_NCBIv2:G8:21664418:21665186:1 gene:PRUPE_8G252700 transcript:ONH93789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCSFLAMFMIFMASHSSLALESQPETQFSSISAAPALLPGAPLSSSPTLSPDISPLFPSPGGVPLSPAESSLPTIPSSPSPPNPEDTVAPGPGLAFAPSGSLPVSHSSPVTSSLPLNVILFLGLLVCSFV >ONH92691 pep chromosome:Prunus_persica_NCBIv2:G8:18512264:18514979:-1 gene:PRUPE_8G189700 transcript:ONH92691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPSSLLIGTQRVIREQELRKDVESGTGLGIPDGVLINGIGPFRFDEGLIKGGNPFLTVNVEQGKTYRFRVHNVGISTSLNFRIQNHNLLLVETEGSYTVQQNYANMDIHVGQSFSFLVTMDQNASSDYYIVASPRFVNSSSWARATGVAILHYSNSQGPASGPLPDPPNDYDTYFSMNQARSIRWNVSAGAARPNPQGSFKYGEITVTDVYVILNRPPELINGKWRTTLNGISYLPPSTPLKLAQQFNISGVYKLDFPTKLMNRPAKVDTSLINGTFKGFMEIIFQNNDTTVQNYHLDGYAFFVVGMDIGVWTENSRSTYNKWDGVARCTTQVFPGAWTAILVPLDNSGIWNLRAQNLDSWYLGQEVYVSVVNTEIDQSEAPLPDNIIYCGLLSSLQKDQAQRVKFSSAPSISRSSSTILVMLIIACGAFIG >ONH92690 pep chromosome:Prunus_persica_NCBIv2:G8:18511948:18516676:-1 gene:PRUPE_8G189700 transcript:ONH92690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSGFFNLVILLCSLGVALGGDPYVFFDWTVSYITASPLGVKQQVIGINGEFPGPILNVTTNWNVVINVKNHLDEPLLLTWNGIQHRKNSWQDGVSGTNCPIQAGWNWTYEFQVKDQIGSFFYFPSLNFQRASGGYGGITINNRAVIPLPFAVPDADITLFITDWYTKSHKELRKDVESGTGLGIPDGVLINGIGPFRFDEGLIKGGNPFLTVNVEQGKTYRFRVHNVGISTSLNFRIQNHNLLLVETEGSYTVQQNYANMDIHVGQSFSFLVTMDQNASSDYYIVASPRFVNSSSWARATGVAILHYSNSQGPASGPLPDPPNDYDTYFSMNQARSIRWNVSAGAARPNPQGSFKYGEITVTDVYVILNRPPELINGKWRTTLNGISYLPPSTPLKLAQQFNISGVYKLDFPTKLMNRPAKVDTSLINGTFKGFMEIIFQNNDTTVQNYHLDGYAFFVVGMDIGVWTENSRSTYNKWDGVARCTTQVFPGAWTAILVPLDNSGIWNLRAQNLDSWYLGQEVYVSVVNTEIDQSEAPLPDNIIYCGLLSSLQKDQAQRVKFSSAPSISRSSSTILVMLIIACGAFIG >ONH91699 pep chromosome:Prunus_persica_NCBIv2:G8:15362332:15363638:1 gene:PRUPE_8G131100 transcript:ONH91699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNTTTTNTNTKLILLHPSSIHKSSTNGGSPHRLWLLFFFTFFTLAFTLTLINTTIPQTLPNSTLTPTSTIPLQPPILDALLHYATSSNTSSTTHMSAPEVTTISSTLTRLCAPTCNFLIFGLTHESLLYLALNLNGRTVFLDESEFLVSRFEQSHPHHTPEAYDVSYTTQVKDHKQLLSTTRSQVKNECRPVQNLLFSDCKIGINDLPNHIYQVPWDVILVDGPRGYSPAAPGRMAAIFTAGVLARSKRGGRATTHVFVHDLNREVERVFSDEFLCRENLVEAVDSLGHFVVEKMEDHNSFKFCRNPTSSPSSKGDEDD >ONH89906 pep chromosome:Prunus_persica_NCBIv2:G8:2085276:2089807:-1 gene:PRUPE_8G023200 transcript:ONH89906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASTSRTSAEWAPPPHWKHDVFLSFRGEDTRSGFLSHLYHELQYWQAIKTFKDDRDLEIGETISPELLIAIEQSHLAIIVLSPNYASSTWCLDELSKILECMQDTKRILPIFYHVDPSDVRNQRGSFAEAFTKHEEKFRVVNWWRATLRKVANLFGWDSKHEEFSGDAEMVKRWRAALTKIANISGWDSKNYPSEAELIKRIVNCVFRKVHPTFMLSGSLDKLVGIDSALEQLHLHLAPKDNDVRFIGIWGMGGVGKTTLAKLVSQRISHHFELSWFLSNVREVSGKQGDLVNLQRQILSPILKENVAQCVWDEGAGTFFTKKHLCNKKVLLILDDVHQLNQLKTLAGKKDWFGVGSRIIITTRDERLLVEHGIAIRYKVEVLKDDEALELFSQNAFKKNQPEEGFLELSRCFVHYAKGLPLALTTLGSFLYGRDQDKWKSAFDNLRKIRNPTIFHSLRVSYDGQEEIDKKIFLDVACFHTGKDEEQVIEILDSIYNISSRIRIDILIEKSLLIIEKFHDHKSVQMHDLIQEMAWEIVHLESQGDPCQRSRLWLRNDISHVFTNNSGTRAIEAIVLRLPKLEAVRWNCTEAFNEMHGLRLLHFDNVVFSSGPKILPNSLRIIQWSWYPSKSLPSRFEPHVLSKLEMRGSKLVRLWDGAKDFPKLKYMDLSYSDKLTSIPDFTRMPNLEELNLEGCKKLGKVHSSIAVHKKLKVLRLTECESIKSLPSELEMDSLEHFSLWGCSKLKKIPEFGEHMQNLKEIYLCETAIEQIPSSIERLVGLVSLFINYCESLLSLPNAICNLKSLRQLIGNGCSKVDKLPGEMECLEWLALSGSGMRGPLVAMKNLKILHLSGSVASLNPNPERWGLVLSSLNRLGSLTRLFLIDCNIGEGAIPYDIGCLSSLEELDLSGNNFVSLPSSIRFLSELRSLRLWRCKRLEQLPDLPSSKYVFVDVNDCTSLKRLSDPSKLSEGANVYDFRFSCFNCFRLVEEEGWINNRIFAMIMRFSAEVPDDCIIWPRSEIPDWFHNQSVGDSIIVELPLPPQTCSDWVGIALCVVFEDSEHLKRLGYILFEILCPWERYVVNFAVGDLRSQHLLVFYLPRNYRRLRNASSSYQLSFEGFYLSKEYLGKKLKTSSIIKKCGARLVYKRDLEEFSRILKIPMPAVYGYDDEAGPIDN >ONH89907 pep chromosome:Prunus_persica_NCBIv2:G8:2085276:2089868:-1 gene:PRUPE_8G023200 transcript:ONH89907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASTSRTSAEWAPPPHWKHDVFLSFRGEDTRSGFLSHLYHELQYWQAIKTFKDDRDLEIGETISPELLIAIEQSHLAIIVLSPNYASSTWCLDELSKILECMQDTKRILPIFYHVDPSDVRNQRGSFAEAFTKHEEKFRVVNWWRATLRKVANLFGWDSKHEEFSGDAEMVKRWRAALTKIANISGWDSKNYPSEAELIKRIVNCVFRKVHPTFMLSGSLDKLVGIDSALEQLHLHLAPKDNDVRFIGIWGMGGVGKTTLAKLVSQRISHHFELSWFLSNVREVSGKQGDLVNLQRQILSPILKENVAQCVWDEGAGTFFTKKHLCNKKVLLILDDVHQLNQLKTLAGKKDWFGVGSRIIITTRDERLLVEHGIAIRYKVEVLKDDEALELFSQNAFKKNQPEEGFLELSRCFVHYAKGLPLALTTLGSFLYGRDQDKWKSAFDNLRKIRNPTIFHSLRVSYDGQEEIDKKIFLDVACFHTGKDEEQVIEILDSIYNISSRIRIDILIEKSLLIIEKFHDHKSVQMHDLIQEMAWEIVHLESQGDPCQRSRLWLRNDISHVFTNNSGTRAIEAIVLRLPKLEAVRWNCTEAFNEMHGLRLLHFDNVVFSSGPKILPNSLRIIQWSWYPSKSLPSRFEPHVLSKLEMRGSKLVRLWDGAKDFPKLKYMDLSYSDKLTSIPDFTRMPNLEELNLEGCKKLGKVHSSIAVHKKLKVLRLTECESIKSLPSELEMDSLEHFSLWGCSKLKKIPEFGEHMQNLKEIYLCETAIEQIPSSIERLVGLVSLFINYCESLLSLPNAICNLKSLRQLIGNGCSKVDKLPGEMECLEWLALSGSGMRGPLVAMKNLKILHLSGSVASLNPNPERWGLVLSSLNRLGSLTRLFLIDCNIGEGAIPYDIGCLSSLEELDLSGNNFVSLPSSIRFLSELRSLRLWRCKRLEQLPDLPSSKYVFVDVNDCTSLKRLSDPSKLSEGANVYDFRFSCFNCFRLVEEEGWINNRIFAMIMRFSAEVPDDCIIWPRSEIPDWFHNQSVGDSIIVELPLPPQTCSDWVGIALCVVFEDSEHLKRLGYILFEILCPWERYVVNFAVGDLRSQHLLVFYLPRNYRRLRNASSSYQLSFEGFYLSKEYLGKKLKTSSIIKKCGARLVYKRDLEEFSRILKIPMPAVYGYDDEAGPIDN >ONH91271 pep chromosome:Prunus_persica_NCBIv2:G8:13400563:13400886:-1 gene:PRUPE_8G102700 transcript:ONH91271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYKKLVVIVALVLLALAIESEAMLVNNEQSFCRMTKEGLNACAPAVSGQNPLPPSALCCSALKTADFQCLCLFKKYSNLLSAYGIDPNLAMQLPAKCNLGQPIRC >ONH93186 pep chromosome:Prunus_persica_NCBIv2:G8:19906578:19909955:1 gene:PRUPE_8G218100 transcript:ONH93186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEGKLFIGGLAWDTTEDKLTDYFNQYGDVTQTVIMRDKTTGRPRGFGFVVFSDPSVLDRVLNDKHTIDGRLVEAKKALSREEQQTSNRSGNFNTTRSSGGGGNFKTKKIFVGGLPSTLTEDGFRQFFENYGTVTDVVIMYDQNTQRPRGFGFITFDTEDAVDRVLHKNYHELNGKLVEVKRALPKDANPGGGGRGGGFQGYNASGASTNAFDGRMDGNRYMQPQTTAGGFPPYSGYGAPGYGYGAANTGVGYGGYGSYGLGGYGSANTGFGGPAGSYGNPNAPNAGYVSGAPAALKSTWSNQTPSGYGASGYGAAAPWSAPGGSGAPSAPRGQSPSGASGYGNQGYGYGNYGGSYASYPGGYGSSGGRGGSTPTSNSGGSASGGEQQGTRGGYMGSGYGDTNGNSGYSNASWRSDPSQATGGYGGSQSRQA >ONH93130 pep chromosome:Prunus_persica_NCBIv2:G8:19746125:19748027:1 gene:PRUPE_8G214800 transcript:ONH93130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNAGHDDFFERINLQGKNTGAAFFIRPGTGAETHSGDCCCINIYINSNVQGANNSFLDGSCVKMKDPGVHLFFGDVKLGKSFRRNKMNRRRRRKKKEGPSASKQQLGSCPIFLFVFFPFILFLALLCP >ONH93454 pep chromosome:Prunus_persica_NCBIv2:G8:20701040:20704200:1 gene:PRUPE_8G233200 transcript:ONH93454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAANKDKEKEKDKKRPVSRSSRAGIQFPVGRIHRQLKSRIAAHGRVGANAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >ONH93453 pep chromosome:Prunus_persica_NCBIv2:G8:20701040:20704200:1 gene:PRUPE_8G233200 transcript:ONH93453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAANKDKEKEKDKKRPVSRSSRAGIQFPVGRIHRQLKSRIAAHGRVGANAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >ONH92416 pep chromosome:Prunus_persica_NCBIv2:G8:17729550:17730178:1 gene:PRUPE_8G174400 transcript:ONH92416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDFAELCQKNYKKIRSIIFFCCFGKLGPPQLEHKSFQLMVVDSESPSLQSCGDEIMINDDAKCSCCLKRMVFNAVKLLTKKKIKHYAEKGIQEKNTSFFFFCSFFIFVLVLIYG >ONH94022 pep chromosome:Prunus_persica_NCBIv2:G8:22289066:22291989:-1 gene:PRUPE_8G266600 transcript:ONH94022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSLCSLKFLVIFFLLSAIPIGYLISLELAKPTTHVYHYHSTGWLRECAKWDDLNRRFLVSFLGGGIGEIPVPEDEDHKVLQEVAVVKHVDLAGNASLGLVIDRPRNRLLVATADVKGNRYGALAAYDLSTWERVFLTQLSGPSDEKSFADDVAVDVEGNAYVTDCKASKIWKVGVDGKFLSIIRSPLFTEKEWYRNLVGLNGIVYHPDGFLIVIHTFSGNLFKIDLAKGEEVKLIKVVGGPLTFGDGLELLSPTKIVVAGNPSARLVESSDGWETASVVGTFSGPKHRLATAATVKDGKVYLNHMVGLGYPKKTHTLVEAVFSA >ONH92081 pep chromosome:Prunus_persica_NCBIv2:G8:16583808:16584531:-1 gene:PRUPE_8G153500 transcript:ONH92081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKISFAFVCLIGLALLQSTGAQDSPQDYLNAHNAARAQVGVAPLTWDPNLVAYAQRYANSRAGDCNLVHSNGPYGENIAKSTGDLSGTAAVNLFVGEKPDYDYNSNTCAAGKMCGHYTQVVWRNSVRLGCAKARCTNGGTFIGCNYDPRGNIRGQRPY >ONH91199 pep chromosome:Prunus_persica_NCBIv2:G8:12981207:12987943:1 gene:PRUPE_8G098100 transcript:ONH91199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAELKERHVAASETVNSLRERLKEKRASLLDTDVAGYAKSQGKTPLTFGPTDLVCCRTLQGHTGKVYSLDWTSEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNLNSLCDKDGNLPVSRTLSGHKGYVSSCQYVPDEDTHLITGSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSVSINQSNSRLFVSGSCDATARLWDTRVASRAVRTFPGHEGDVNVVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYHQQHGDNDFPPVKTIAFSISGRLLFAGYTNRDCYVWDTLLAKVVLNLGSLQNSHEGQISCLGLSADGSALCTGSWDTNLKIWAFGGHRKII >ONH92916 pep chromosome:Prunus_persica_NCBIv2:G8:19114472:19117029:1 gene:PRUPE_8G203200 transcript:ONH92916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSWFRRSLLRKSEKTKNPDPSEQTLLPRSEEEEQLGVTEELIDHVKSFTLDTFKNFPLPDDEGAENSPTTSSNTQKDLSEWQEKHATLVLSKVKELSHLRYKLCPGYLKEHQFWRIYFLLVKKQVAEYELRAIQLARLKEMAVENEKSTNSIVHEVEMSEAKQGASLALPTP >ONH92918 pep chromosome:Prunus_persica_NCBIv2:G8:19114525:19116230:1 gene:PRUPE_8G203200 transcript:ONH92918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSWFRRSLLRKSEKTKNPDPSEQTLLPRSEEEEQLGVTEELIDHVKSFTLDTFKNFPLPDDEGAENSPTTSSNTQKDLSEWQEKHATLVLSKVKELSHLRYKLCPGYLKEHQFWRIYFLLVKKQVADMIRSYPNKHS >ONH92917 pep chromosome:Prunus_persica_NCBIv2:G8:19114483:19116424:1 gene:PRUPE_8G203200 transcript:ONH92917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSWFRRSLLRKSEKTKNPDPSEQTLLPRSEEEEQLGVTEELIDHVKSFTLDTFKNFPLPDDEGAENSPTTSSNTQKDLSEWQEKHATLVLSKVKELSHLRYKLCPGYLKEHQFWRIYFLLVKKQVADMIRSYPNKHS >ONH92053 pep chromosome:Prunus_persica_NCBIv2:G8:16437073:16444498:1 gene:PRUPE_8G151200 transcript:ONH92053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGVADLNLSDCHGRVVVPKQLIVTKDPLSIPNEVDKAGLKLPLVAKPLLVDGSAKSHELFLAYDQCSLSELEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPNISKRELEKLAGVFRFPRVSCAAASADDADLDPSIAELPERPLLENLARQLRQRLGLRLFNVDMIREHGTKDVFYVIDINYFPGYGKMPDYEHIFTDFLLSLVQSKHKKRPAT >ONH92052 pep chromosome:Prunus_persica_NCBIv2:G8:16438062:16444498:1 gene:PRUPE_8G151200 transcript:ONH92052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFFIAIDLNRPLSDQGPFDVVLHKLAGKEWSEIIEDYRQKHPEVTVLDPPNAVQHLHSRQSMLQGVADLNLSDCHGRVVVPKQLIVTKDPLSIPNEVDKAGLKLPLVAKPLLVDGSAKSHELFLAYDQCSLSELEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPNISKRELEKLAGVFRFPRVSCAAASADDADLDPSIAELPERPLLENLARQLRQRLGLRLFNVDMIREHGTKDVFYVIDINYFPGYGKMPDYEHIFTDFLLSLVQSKHKKRPAT >ONH92051 pep chromosome:Prunus_persica_NCBIv2:G8:16437003:16444498:1 gene:PRUPE_8G151200 transcript:ONH92051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKGEIHNPCCDEEEEKVAEENGRELNSIVGVGISPPPKLVVGYALTSKKKKSFLQPKLLGLARNMGIFFIAIDLNRPLSDQGPFDVVLHKLAGKEWSEIIEDYRQKHPEVTVLDPPNAVQHLHSRQSMLQGVADLNLSDCHGRVVVPKQLIVTKDPLSIPNEVDKAGLKLPLVAKPLLVDGSAKSHELFLAYDQCSLSELEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPNISKRELEKLAGVFRFPRVSCAAASADDADLDPSIAELPERPLLENLARQLRQRLGLRLFNVDMIREHGTKDVFYVIDINYFPGYGKMPDYEHIFTDFLLSLVQSKHKKRPAT >ONH93318 pep chromosome:Prunus_persica_NCBIv2:G8:20272613:20275692:1 gene:PRUPE_8G225500 transcript:ONH93318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSETLKPKTPISEALSPKPQVRENEMLSSKTPEKPQQLRRRARSCNIALSIEQVRRSASKSLFKSNQKQRTDQIDSWPEETQKKTRIRRPVKLPEKYEILGEFFNCLDISIRRLRKKGLKSIFTNICPAIEYLTERRFTYGHLAQLKFVLPEVIEIKKLLVWDERTCRRKPDLHVSMNIVAVENNGMLKSQGGGAIMHLRKAFWKRLADISKSHPEDYEIPEETLPHPFNAAKQHVHSDRVKFPSSSSPGEVEQPAVSTICLRGDEIPDETHPMPSNQSKEDLNSNINHTPKRSLVIKTSVELPKNQQPAIAAYLSQSFQMQSSQEAPATPTKKILPIKDDDGLPRTSASITLTPEKLASTPARLMTLTPALHPPKRCYMSPDDDSISSPNKLVKHLPCSRSLKFNTPMKNKMSSPDDISTSSPNKLVRHPPCSRSLKFNTPVKNNMFEEEAVVLDDASSDSDVFDVLPEDLLQSLRDKERKAISLAKRRRQMISSLPELFNMIHFLFQSMNYSFMKKEDLVDKIIFSHSDIIDEGEVEEQLKLLLELVPDWISENLAPGRDLLVKINKISNPESIRARLEDAK >ONH93317 pep chromosome:Prunus_persica_NCBIv2:G8:20272613:20275692:1 gene:PRUPE_8G225500 transcript:ONH93317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSETLKPKTPISEALSPKPQVRENEMLSSKTPEKPQQLRRRARSCNIALSIEQVRRSASKSLFKSNQKQRTDQIDSWPEETQKKTRIRRPVKLPEKYEILGEFFNCLDISIRRLRKKGLKSIFTNICPAIEYLTERRFTYGHLAQLKFVLPEVIEIKKLLVWDERTCRRKPDLHVSMNIVAVENNGMLKSQGGGAIMHLRKAFWKRLADISKSHPEDYEIPEETLPHPFNAAKQHVHSDRVKFPSSSSPGEVEQPAVSTICLRGDEIPDETHPMPSNQSKEDLNSNINHTPKRSLVIKTSVELPKNQQPAIAAYLSQSFQMQSSQEGTRTDAQAPNISLPNSNLDAVCFIEETKTASSSYGQAPATPTKKILPIKDDDGLPRTSASITLTPEKLASTPARLMTLTPALHPPKRCYMSPDDDSISSPNKLVKHLPCSRSLKFNTPMKNKMSSPDDISTSSPNKLVRHPPCSRSLKFNTPVKNNMFEEEAVVLDDASSDSDVFDVLPEDLLQSLRDKERKAISLAKRRRQMISSLPELFNMIHFLFQSMNYSFMKKEDLVDKIIFSHSDIIDEGEVEEQLKLLLELVPDWISENLAPGRDLLVKINKISNPESIRARLEDAK >ONH92447 pep chromosome:Prunus_persica_NCBIv2:G8:17843581:17847678:-1 gene:PRUPE_8G176300 transcript:ONH92447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAWRLPTQLATPGKLHHHNDSKTTAYQSSFSWCRTLAADHLLPSSSSSSSSSRSTIIGTNTRRLVRCTARAGVGAVNLAPGTPVRPTSILVVGATGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKFVFYSIHNCDKHPEVPLMEIKYCTEKFLQDSGLNHVIIRLCGFMQGIIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFVALRNEKVNGKLLTFAGPRAWTTQEVITLCERFAGQEANVTTVPVSVLRVTRQLTRLFEWTNDVADRLAFSEVLSSDTVFSVPMNETFSLLGVDGKDIVTLEKYLQDYFTNILKKLKDLKAQSKQSDIYF >ONH90934 pep chromosome:Prunus_persica_NCBIv2:G8:11734164:11735774:-1 gene:PRUPE_8G084000 transcript:ONH90934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKNTRLMKEYLYRKSLEGKERLLYENKRKIREALQEGKTIPTELQNEEAELRREIDLEDENTAEMRLNRLDRYGSCRRHSVKNCIPNPRTHIDDEYAKAAERDPKILITTSRNPFYCTFGVQFELKLVFPNAQQMNRGGQVGELFCPDLFGLFSFCSLYVGRFGARSVCGIGQGCLAPQP >ONH91191 pep chromosome:Prunus_persica_NCBIv2:G8:12938153:12942616:1 gene:PRUPE_8G097500 transcript:ONH91191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSGETTQTSNTSLSHKLTSTTPFLSLKLYAVIAILVLCLLAAALLIFLCVRTTRASRKRKMRVKHSSGSIPLVSKEIAEIKSPSPRAVLDIGEGKIGNENVKEVEEEMEIVDIERGKVKRSGLESDGSGPQNIGWGRWYSLKELEIATRGFSPENVIGEGGYGVVFRGILQDGSVVAVKNLLNNKGQAETEFKVEVEAIGKVKHKNLVGLIGYCAEGAQRMLVYEYIDNGNLEQWLHGDVGPVSPLTWDIRMKIAVGTAKGLAYLHEGLEPKVVHRDIKSSNILLDRKWNPKVSDFGLAKLLAPESSYVTTRVMGTFGYVSPEYASTGMLNEWSDVYSFGILLMEIITGRSPIDYSRPAGEMNLVDWFKGMIQSRRGEEVVDPLIEVQPSPRAMKRALLVCLRCIDLDVNKRPKMGQIVHMLEADDFPYRSENRSAR >ONH91520 pep chromosome:Prunus_persica_NCBIv2:G8:14716803:14718262:-1 gene:PRUPE_8G120500 transcript:ONH91520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEADDVDGVNATKTNKSSPGGDITEQTEGMAELALSVETWLSTAKSSLFKADTVEWTFLIISFFLEILAAVCDQFFSPGNTQFALTGMWLASGALFVCICELILKWKIGESSRPRLEMFPEIFGLICGISQWLSTIARFGCTLKGMENPFKVNLLPVIFLGCLVARKLIANIKRCKTENRIELISIVHVPSNEPTPDEAKPKKDPDLGLHTKKGLFYRKTPDVVQVLLSKFDGPTYSINKKNSLAHIYKKFYPSSNPTKFN >ONH92749 pep chromosome:Prunus_persica_NCBIv2:G8:18677641:18679775:-1 gene:PRUPE_8G193400 transcript:ONH92749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASPPRLLSQKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWDKLQLAARVIVAIENPKDIIVQSARPYGQRAVLKFAQHTGANAIAGRHTPGTFTNQLQTSFNEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWILARMVLQMRGSLRPGHKWDVMVDLFFYREPEEAKEKEEEEAATAADYGITDYSAAGDQWPAQVSDAPWPTDAPAAIPAAPVVSWTAEPAAADGWGEPVPGPAPVEGAPTATGWDL >ONH92750 pep chromosome:Prunus_persica_NCBIv2:G8:18677846:18679685:-1 gene:PRUPE_8G193400 transcript:ONH92750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASPPRLLSQKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWDKLQLAARVIVAIENPKDIIVQSARPYGQRAVLKFAQHTGANAIAGRHTPGTFTNQLQTSFNEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWILARMVLQMRGSLRPGHKWDVMVDLFFYREPEEAKEKEEEEAATAADYGITDYSAAGDQWPAQVSDAPWPTDAPAAIPAAPVVSWTAEPVAAADGWGEPVPGPAPVEGAPTATGWDL >ONH93489 pep chromosome:Prunus_persica_NCBIv2:G8:20805888:20809375:-1 gene:PRUPE_8G234800 transcript:ONH93489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAMSNSTSLSEEANSLSSDFGPLNPLLLSTNISPHQSDHQHQQPTNKIKKKRSLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKDQIRKRAYVCPEPSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESAKLSASQLAATTTTNPNIMTPQISLFPFQNPPPPFTTISLTPWDPPHHQNPNPNLNHHLIKTESHHHNHFPTTQPHKGLLTSPFQNLHLSTHHSSKPSTSSAHLSATALLQKAATVGSASSAAASAGQQAQSAGLTVGEFGTASHMNMISTDYLGEFTSGDLTTWQKTDRLTRDFLGLTGDGTGNHNVGAVNVVKDMLTYAGGVEFHQQQQQPYDQPLRGHNNSLLLKPQGFGFAETTAAASETWGDC >ONH90698 pep chromosome:Prunus_persica_NCBIv2:G8:10263519:10266067:1 gene:PRUPE_8G069800 transcript:ONH90698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDNVKAEALEIIGMFQVLPRLVVFDLDYTLWPFYCECRSKREMPSLYPHARGILYALKEKGIDLAIASRSPTADIAKTFIDKLSIKSMFVAQEIFSSWTHKTDHFQRIHTRTGVPFNSMLFFDDENRNIQAVSNMGVTSILVGNGVTVGALRQGLTKYSENVNTSEKNKQKWRTKFSKNSSSSEQNEKN >ONH90887 pep chromosome:Prunus_persica_NCBIv2:G8:11464538:11466223:1 gene:PRUPE_8G080900 transcript:ONH90887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSIDFPSVHHVISIRLKCDNYLTWLAQIVPILRIYRLLSFVDGTSLCPPTIPDPNAKADESTFLSLLPNPEYDDWVQKYQLVFSLSSTNRLLQLHSNLLCTTCCESSITDFLDRINSIDDNLALAGALVADSDLLVMVMNNVCPLYENTIAATQAREKPINMPDLDALLLSAERGLPASHQQHFGSNIELSVLGPPPSGSTASSFGLHSAPRPLQCFNCHGFGHIAAVCPSKTFSVPSPLSRLQDITAQYPSHGGHQQWVDDTDANTHITNDLSFLSLAKQYHGSDNVGGVLGGTGVYVDRSVWHSRLGHPASSTLQFLLSHNKLPSSGSSFLCPFFSTETYSL >ONH92606 pep chromosome:Prunus_persica_NCBIv2:G8:18266457:18269281:-1 gene:PRUPE_8G183600 transcript:ONH92606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKLESGKVCVTGASGFLASWLIKRLLLSGYHVTGTVRDPGNQKKLAHLWRLEGARERLRLVKADLMEEGSFDDAILGCHGVFHSASPVLKPSSDPKTEILEPAVEGTLNVLRSCKKNPSLRRVVLTSSSSAVRVRPDEDFDSNIPLDESSWSSVKICETLQIWYPLSKILAEKAAWDFCKENGIDLVTVLPSFVIGPCLPSDLCSTASDVLGLLKGETEKFKWHGRMGYVHIDDVALCHISVYEHESAHGRYLCSSTVLDNNELASLLSRQYPSLPIPKRFEQLGRPRYDLNTSKLKSLGFKFKTIQEMFDDCIASLVEQGHLTL >ONH92605 pep chromosome:Prunus_persica_NCBIv2:G8:18263131:18269220:-1 gene:PRUPE_8G183600 transcript:ONH92605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKLESGKVCVTGASGFLASWLIKRLLLSGYHVTGTVRDPGNQKKLAHLWRLEGARERLRLVKADLMEEGSFDDAILGCHGVFHSASPVLKPSSDPKTEILEPAVEGTLNVLRSCKKNPSLRRVVLTSSSSAVRVRPDEDFDSNIPLDESSWSSVKICETLQIWYPLSKILAEKAAWDFCKENGIDLVTVLPSFVIGPCLPSDLCSTASDVLGLLKGETEKFKWLGKMGYVHIDDVALCHILVYEHEPLQLNSFGQQ >ONH93095 pep chromosome:Prunus_persica_NCBIv2:G8:19619034:19620536:-1 gene:PRUPE_8G213000 transcript:ONH93095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISCSASDLQPLLGAAANATAAAQYICGRFDAVSNKFVDTAYAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGLFYYLFGFALAFGTPSNGFIGQHFFGLNKFPSQSFDYGYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWFWSADGWASPARADNLLFGSGVIDFAGSGVVHLVGGIAGLWGALIEGPRIGRFDHEGRSVALRGHSGTLVVLGTFLLWFGWYGFNAGSFLNILKPYGESGSYYGQWSAIGRTAVTTTMAGCSAALTTLFGKRLLSGHWNLTDVCNGLLGGFAAITSGCSVVDPWAAIICGFVAAWVLMGCNKLAEKLKYDDPLEAAQLHGGCGSWGIIFTALFAKKAYVGEVYSGQPNRPYGLLMGGGGKLLAAHLVQIVVIVGFVSLTMGTLFFLLHKLKLLRISSEEEMAGMDVTSHGGLAYVYTDECSDPAMLKPGFVVSRTGPPSSAV >ONH90091 pep chromosome:Prunus_persica_NCBIv2:G8:3191755:3192714:-1 gene:PRUPE_8G034700 transcript:ONH90091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSTQRDSAFLPSPHQSAPQPNHDVFLSFRGEDTRLSFVSHLYHELQFRGIKTFKDDPKLERGTPISSELFNAIEESRLAIVILSPNYASSSWCLDELTKILQCMKSKGTILPVFSNVDPSHVRKQSGSFADAFAEHEKRFREDIDMVKCWRDALTEDFVNYARGLPLALKLLGCLVYKRDPDEWKSELDKLRIIPKSEIIDLLKIIYDGLDEMNKDIFLDVACFHKGKSKEEVIEMLHNCGLCGHIGINDLVQKSLLTISNENVEMHNLIQEMTLEIVRRECLEEPGR >ONH90117 pep chromosome:Prunus_persica_NCBIv2:G8:3275664:3276940:1 gene:PRUPE_8G035800 transcript:ONH90117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVHFLISTLAILASATFLVSASDPSPLQDFCVALNDNKSAGGYFGANAVFVNGKFCKDPKLVNANDFFFSGLQNPRNTQNPLGSNVTTVNVDQIAGLNTLGISLTRIDFAPNGLNPPHTHPRASEFLVVLEGTLYVGFVTSNGDGNRLFTKVLNKGDVFVFPIGLIHFQLNVGHVNAVAFAGLSSQNPGVITIANAVFGSKPPINPDVLAKAFQVDDNVVDYLQKQLWYDNN >ONH92417 pep chromosome:Prunus_persica_NCBIv2:G8:17730971:17732931:1 gene:PRUPE_8G174500 transcript:ONH92417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLCFLFFFLISSASACDRCRHQSKAAYFSTASALSSGACGYGSLALGLGGGHLAAGVPSLYKDGAGCGACFQIRCKNTTLCTKQGTRVTLTDLNKSNQTDFVLSSRAFMAMAQKGLGQDILRHGIVDVEYKRVPCEYKNQNLALRVEESSQKPHYLAIKILYQGGQTEIVAIDVAQVGSSNWGFLTRNNGAIWDTSRVPAGGLQFRFLVTAGYDGKTVWAQNVLPANWKPGMIYDTKVQISDIAKEGCSTTCDDGSWK >ONH93445 pep chromosome:Prunus_persica_NCBIv2:G8:20670615:20675658:-1 gene:PRUPE_8G232900 transcript:ONH93445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHSSHLAASNRSRSSQSPSPSHSASASATSSIHKRKLASEDHAPPFPPSSFSADTRDGALTSNDDLESISARGGGADSDSDDESDALVDDDEEDFDNDSSMRNFTAARLDNSGGSAVARNSKLKTENATVKNESSDGAKDGGGTAGTGAVGPTVAGGSVPGIMVKEDATKIFTENIQTSGAYSAREESLKREEEAGRLKFVCLSNDGIDEHMVWLVGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMVIRRNHVVGGITYRPYVSQRFGEIAFCAITADEQVKGYGTRLMNHLKQYARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLEKDRWHGYIKDYDGGILMECRIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYPGIDFQKKEAGVPKKIIKVEDIPGLREAGWTPDQWGHSRFGALSASTDSARKHLTAFMRALLKSMHDHVDAWPFKEPVDARDVPDYYDIIKDPMDLKTMSKRVESEQYYVTFEMFVADAKRMFLNARTYNSPDTIYYKCATRLEAHFQSKVQSGLQPGAKIQQ >ONH92989 pep chromosome:Prunus_persica_NCBIv2:G8:19319915:19320775:-1 gene:PRUPE_8G206300 transcript:ONH92989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRANIEGAEKGLMKIKLKNPDGKNKKEAEKMVKLKLDTTEKVEMKKIMVLEEPHQLSWFKPKAASVFPAKRKSVKRMIFDRLVVYFGSVVSHSAPSSSSAVGVASQTPQA >ONH90069 pep chromosome:Prunus_persica_NCBIv2:G8:3084755:3085889:-1 gene:PRUPE_8G032900 transcript:ONH90069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCASDPTDGFTRVSLAAQNLKLQIPYDKSPADRYSRVDGVERFWVDTNDKPFKQGSPTRPRTEIRISGYDYTSGVWQFEGNFYVPQGSSIMQIHGAATEATTLQLRVYNGDLKYNGNTVVASNIYDKWLRLNVIHNVGAGKVTIFIDGKQKLVVNGPSRANFYFKYGVYGALSASSNYMESRSKEVKLFKK >ONH93765 pep chromosome:Prunus_persica_NCBIv2:G8:21608409:21609039:-1 gene:PRUPE_8G251300 transcript:ONH93765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSFLLFEASGDSEADQNYPDPSLAMAEDDAQSCSYDSTDIPNVDDDELHDIDDQGDSVDQAYSHGDDDDEDEEEEDEEEECSSVYHQRWGGGEHNNIGMPLPLMGHQLKSTVSVDSTKEYELLNEVEKSRLFWETCLAS >ONH90514 pep chromosome:Prunus_persica_NCBIv2:G8:7375108:7375954:1 gene:PRUPE_8G058400 transcript:ONH90514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAKYKMGQPMAKYEPKHRGKGAKGSPKALHRGISGRQGRLNHQLPLSILFHCCSILSKLSLKRPIASNNLGDFGNNVSLPLVKLLHLLIDIRSLLLHLAGNLDLFPHGGTT >ONH93347 pep chromosome:Prunus_persica_NCBIv2:G8:20394680:20402507:-1 gene:PRUPE_8G227600 transcript:ONH93347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPQPPKPWKVEYAKSSRSSCKTCKSPIEKEKLRLGKMVTATQFDGFMPMWNHADCIMKKAKQIKSTDDVEGLELLRWEDQKEIRNYVQSGGPPDTITTATTTSKISSGIEVSPTSRATCKSCSQKILKAEVRISTKPEGQGPRGLAWHHANCFMELSPSTEVEKLSGWETLPVADQAAVRALVKKVPSNARGGKKTEEQEDKEFLQQSTSNTVTKRRKDSGRDQKSKVARSEGDVSTNRDVSVRDATDLESKLEAQSKELWALKDDLKKHVTTAELREMLEANVQDSTGSELDLRERCADGMMFGALSRCPICSGFLRYSGGMYRCHGYISEWSKCSYSTEEPERLEWTWKVPEDTDNQYLNKWFKSQKVEKPVRILPPSTPNKPSGSQAFNGQSQSSNSASLADLKVAFRGLPKESMEEWSRQIEGVAGLVHSKIKKDTNCLVVSGALDDKDAEMRKARRMKLPIVREDYLVDCFKKQKKLPFDLYKVEVVGVASSMVTVKVKGRSAVHESSGLQDTCHILEDEKSIYNTTLSMSDLSTGVNSYYILQIIQDDKSSDCYVFRKWGRVGNDKIGGNKLEDMSKSDAICEFKRLFLEKTGNSWEAWEQKQNFQKQPGRFFPLDIDYGVNKQVSKKNQNNAASKLAPPLAELMKMLFNVETYRAAMMEFEINMSEMPLGKLSKSNIQKGFEALTEIQNLLNSNGHAPSMKESLIVDASNRFFTVIPSIHPHVIRDEDDFKSKVKMLEALQDIEIASRLVGFDADTDDSLDEKYRKLRCDIDPIPHDSEDFQLIKKYLLTTHAPTHTDWSLELEEVFALEREGEFDKFAPYRKKLNNRMLLWHGSRFTNFVGILSQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCYTDKKNPVGLMLLSEVALGEVHELKKATYMDKPPKGKHSTKGLGKKIPQESEYVKWKDDVIVPCGKPVPSNIKASELMYNEYIVYDKAQVKMQFLLKVRFHHKR >ONH93346 pep chromosome:Prunus_persica_NCBIv2:G8:20394679:20402507:-1 gene:PRUPE_8G227600 transcript:ONH93346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPQPPKPWKVEYAKSSRSSCKTCKSPIEKEKLRLGKMVTATQFDGFMPMWNHADCIMKKAKQIKSTDDVEGLELLRWEDQKEIRNYVQSGGPPDTITTATTTSKISSGIEVSPTSRATCKSCSQKILKAEVRISTKPEGQGPRGLAWHHANCFMELSPSTEVEKLSGWETLPVADQAAVRALVKKVPSNARGKKTEEQEDKEFLQQSTSNTVTKRRKDSGRDQKSKVARSEGDVSTNRDVSVRDATDLESKLEAQSKELWALKDDLKKHVTTAELREMLEANVQDSTGSELDLRERCADGMMFGALSRCPICSGFLRYSGGMYRCHGYISEWSKCSYSTEEPERLEWTWKVPEDTDNQYLNKWFKSQKVEKPVRILPPSTPNKPSGSQAFNGQSQSSNSASLADLKVAFRGLPKESMEEWSRQIEGVAGLVHSKIKKDTNCLVVSGALDDKDAEMRKARRMKLPIVREDYLVDCFKKQKKLPFDLYKVEVVGVASSMVTVKVKGRSAVHESSGLQDTCHILEDEKSIYNTTLSMSDLSTGVNSYYILQIIQDDKSSDCYVFRKWGRVGNDKIGGNKLEDMSKSDAICEFKRLFLEKTGNSWEAWEQKQNFQKQPGRFFPLDIDYGVNKQVSKKNQNNAASKLAPPLAELMKMLFNVETYRAAMMEFEINMSEMPLGKLSKSNIQKGFEALTEIQNLLNSNGHAPSMKESLIVDASNRFFTVIPSIHPHVIRDEDDFKSKVKMLEALQDIEIASRLVGFDADTDDSLDEKYRKLRCDIDPIPHDSEDFQLIKKYLLTTHAPTHTDWSLELEEVFALEREGEFDKFAPYRKKLNNRMLLWHGSRFTNFVGILSQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCYTDKKNPVGLMLLSEVALGEVHELKKATYMDKPPKGKHSTKGLGKKIPQESEYVKWKDDVIVPCGKPVPSNIKASELMYNEYIVYDKAQVKMQFLLKVRFHHKR >ONH92635 pep chromosome:Prunus_persica_NCBIv2:G8:18361267:18362598:-1 gene:PRUPE_8G185600 transcript:ONH92635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSLNANLRRIQGEIAEMLQLNLGNGSLFQRAQVLHARMSQDSRRLLVVLANVRDMPDLEAIGIPYGDTDTNGTCKIMLTSGLMNVPASVEMRAQRPIAFESRNSILRGVMEALADDQINPIVICGKGGIGKTTLVKEVSQRAKAWRLYDEVVMAVFTPNLDFKCIQDQIADCLGLSLAGQPLSARANSLCRRLSGDKRVLLILDNVSMPLNLEEIGIPLSCDKKGCKILVSSRNEDIFSGTKKIFSVGVLLEQEAWSLFREMAGSSIESPELLPVAQQVLHECAGLPIAIATVGRALHQKSRKVWIDALRQLRKPCPANISGMMQEVYRKIELSYECLGSKEAKTFFLLCCLPESNINIRVEDLVESGIRVELFKGIGSETEAWNCVETLVDILKSCLLLLDGDKERFVKVPDIVRSVGLSIAASKWG >ONH93618 pep chromosome:Prunus_persica_NCBIv2:G8:21205565:21206686:-1 gene:PRUPE_8G242700 transcript:ONH93618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAPVENMASLSTDLFYDILRRLDGPTLASAACACAAFCSISKEERLWEDVCSSMWPSTNREDVKSLILSIGGFRKFYADCFPLIVNKEVSGYQWNDYLEYPEEWTEAEYYGDMDEFESISPSDFVSLVDIRYKDKIICSKVLWGIPNANGFNGWFYNCPFRIDLLTYADRDDDNDGEVFLSVANGLPPITSMETERKDGKLWRGLRDGLRLSWIVVNKKIKQAANLASWCPLGGQRHWPTDKDFVIRFGSILPARDILPCQVVECILSMKFRVIYTEGEGVQTTLKLTELSMQLEDMEGSHVNGRNSLLILKEALSCRRSKNYSEVLESCHLYSKVQNELKEEKMRNESRLDRLCILSGIAAFVSFCYYVL >ONH93617 pep chromosome:Prunus_persica_NCBIv2:G8:21205390:21208701:-1 gene:PRUPE_8G242700 transcript:ONH93617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAPVENMASLSTDLFYDILRRLDGPTLASAACACAAFCSISKEERLWEDVCSSMWPSTNREDVKSLILSIGGFRKFYADCFPLIVNKEVSGYQWNDYLEYPEEWTEAEYYGDMDEFESISPSDFVSLVDIRYKDKIICSKVLWGIPNANGFNGWFYNCPFRIDLLTYADRDDDNDGEVFLSVANGLPPITSMETERKDGKLWRGLRDGLRLSWIVVNKKIKQAANLASWCPLGGQRHWPTDKDFVIRFGSILPARDILPCQVVECILSMKFRVIYTEGEGVQTTLKLTELSMQLEDMEGSHVNGRNSLLILKEALSCRRSKNYSEVLESCHLYSKVQNELKEEKMRNESRLDRLCILSGIAAFVSFCYYVL >ONH93616 pep chromosome:Prunus_persica_NCBIv2:G8:21205565:21206686:-1 gene:PRUPE_8G242700 transcript:ONH93616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAPVENMASLSTDLFYDILRRLDGPTLASAACACAAFCSISKEERLWEDVCSSMWPSTNREDVKSLILSIGGFRKFYADCFPLIVNKEVSGYQWNDYLEYPEEWTEAEYYGDMDEFESISPSDFVSLVDIRYKDKIICSKVLWGIPNANGFNGWFYNCPFRIDLLTYADRDDDNDGEVFLSVANGLPPITSMETERKDGKLWRGLRDGLRLSWIVVNKKIKQAANLASWCPLGGQRHWPTDKDFVIRFGSILPARDILPCQVVECILSMKFRVIYTEGEGVQTTLKLTELSMQLEDMEGSHVNGRNSLLILKEALSCRRSKNYSEVLESCHLYSKVQNELKEEKMRNESRLDRLCILSGIAAFVSFCYYVL >ONH93615 pep chromosome:Prunus_persica_NCBIv2:G8:21205390:21209038:-1 gene:PRUPE_8G242700 transcript:ONH93615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAPVENMASLSTDLFYDILRRLDGPTLASAACACAAFCSISKEERLWEDVCSSMWPSTNREDVKSLILSIGGFRKFYADCFPLIVNKEVSGYQWNDYLEYPEEWTEAEYYGDMDEFESISPSDFVSLVDIRYKDKIICSKVLWGIPNANGFNGWFYNCPFRIDLLTYADRDDDNDGEVFLSVANGLPPITSMETERKDGKLWRGLRDGLRLSWIVVNKKIKQAANLASWCPLGGQRHWPTDKDFVIRFGSILPARDILPCQVVECILSMKFRVIYTEGEGVQTTLKLTELSMQLEDMEGSHVNGRNSLLILKEALSCRRSKNYSEVLESCHLYSKVQNELKEEKMRNESRLDRLCILSGIAAFVSFCYYVL >ONH93826 pep chromosome:Prunus_persica_NCBIv2:G8:21788273:21795630:1 gene:PRUPE_8G255300 transcript:ONH93826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRRRKPLVLTSTKTLINSVLSLSRPSEDHRVHDVDDASTSLQLPPGILRISKDKTAISSPKLASFDDSALVGLSTSVLKRLSITSGSLVVVKNVETNIQRTAQAIVLDPPNSHDCAADVEPSLSQVSHTMLILPSYTFPENDHMSLNREVAYISPLLAFNLDLHTLCLKSLVHRGEETLASYFGVRVDDEVSGKGIEASVVGLLLEPHPQLPRYASHLRASFVKIPECGTLDSLKGNSSVDYEDRQEMIDLALQNYFGVDRYLARGDIFSICINWNCKSMMCIPCNQRSQDGSDNIYFKVVAMEPSDEPILRVNCSQTALVLGGSVSSSVPPDLLIAGQQGFAPLQGDTVKILASVLMPPLCPSALSSKFRVSVLLYGLAGCGKRTVIRYIARRLGLHVVEYSCHNLVASSEKKMSIALAQTLNTAQRYSPTILLLRHFDVFRNLASHEGSPNDQVGITYEVASLIREFTEPISDDGDIDSEGKWNGDMDAGKIGRHRVLLVAAADSSEGLPPTIRRCFSHEISMGPLTEEQRVKMVSQSLQTASELLSNTGSEDFIKDIVGQTSGFMPRDIHALIADAGANLIPRGNVPIDTVNSEESDGSLRAEMGPDSKSSEVAPQVLGKENLTKALERSKKRNASALGTPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLKALTRKFKLHEDVPLYSIAKRCPPNFTGADMYALCADAWFNAAKRKALSSGSDASCMDDQSDSVIVEYDDFVKVLGELSPSLSMAELRKYELLRDQFEGAPK >ONH93828 pep chromosome:Prunus_persica_NCBIv2:G8:21788265:21794885:1 gene:PRUPE_8G255300 transcript:ONH93828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRRRKPLVLTSTKTLINSVLSLSRPSEDHRVHDVDDASTSLQLPPGILRISKDKTAISSPKLASFDDSALVGLSTSVLKRLSITSGSLVVVKNVETNIQRTAQAIVLDPPNSHDCAADVEPSLSQVSHTMLILPSYTFPENDHMSLNREVAYISPLLAFNLDLHTLCLKSLVHRGEETLASYFGVRVDDEVSGKGIEASVVGLLLEPHPQLPRYASHLRASFVKIPECGTLDSLKGNSSVDYEDRQEMIDLALQNYFGVDRYLARGDIFSICINWNCKSMMCIPCNQRSQDGSDNIYFKVVAMEPSDEPILRVNCSQTALVLGGSVSSSVPPDLLIAGQQGFAPLQGDTVKILASVLMPPLCPSALSSKFRVSVLLYGLAGCGKRTVIRYIARRLGLHVVEYSCHNLVASSEKKMSIALAQTLNTAQRYSPTILLLRHFDVFRNLASHEGSPNDQVGITYEVASLIREFTEPISDDGDIDSEGKWNGDMDAGKIGRHRVLLVAAADSSEGLPPTIRRCFSHEISMGPLTEEQRVKMVSQSLQTASELLSNTGSEDFIKDIVGQTSGFMPRDIHALIADAGANLIPRGNVPIDTVNSEESDGSLRAEMGPDSKSSEVAPQVLGKENLTKALERSKKRNASALGTPKVPNVKWEDVGGLEDVKKSILDTVQARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLKALTRKFKLHEDVPLYSIAKRCPPNFTGADMYALCADAWFNAAKRKALSSGSDASCMDDQSDSVIVEYDDFVKVLGELSPSLSMAELRKYELLRDQFEGAPK >ONH93827 pep chromosome:Prunus_persica_NCBIv2:G8:21788133:21795001:1 gene:PRUPE_8G255300 transcript:ONH93827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRRRKPLVLTSTKTLINSVLSLSRPSEDHRVHDVDDASTSLQLPPGILRISKDKTAISSPKLASFDDSALVGLSTSVLKRLSITSGSLVVVKNVETNIQRTAQAIVLDPPNSHDCAADVEPSLSQVSHTMLILPSYTFPENDHMSLNREVAYISPLLAFNLDLHTLCLKSLVHRGEETLASYFGVRVDDEVSGKGIEASVVGLLLEPHPQLPRYASHLRASFVKIPECGTLDSLKGNSSVDYEDRQEMIDLALQNYFGVDRYLARGDIFSICINWNCKSMMCIPCNQRSQDGSDNIYFKVVAMEPSDEPILRVNCSQTALVLGGSVSSSVPPDLLIAGQQGFAPLQGDTVKILASVLMPPLCPSALSSKFRVSVLLYGLAGCGKRTVIRYIARRLGLHVVEYSCHNLVASSEKKMSIALAQTLNTAQRYSPTILLLRHFDVFRNLASHEGSPNDQVGITYEVASLIREFTEPISDDGDIDSEGKWNGDMDAGKIGRHRVLLVAAADSSEGLPPTIRRCFSHEISMGPLTEEQRVKMVSQSLQTASELLSNTGSEDFIKDIVGQTSGFMPRDIHALIADAGANLIPRGNVPIDTVNSEESDGSLRAEMGPDSKSSEVAPQVLGKENLTKALERSKKRNASALGTPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLKALTRKFKLHEDVPLYSIAKRCPPNFTGADMYALCADAWFNAAKRKALSSGSDASCMDDQSDSVIVEYDDFVKVLGELSPSLSMAELRKYELLRDQFEGAPK >ONH93747 pep chromosome:Prunus_persica_NCBIv2:G8:21554579:21555422:1 gene:PRUPE_8G250000 transcript:ONH93747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAAATTKSGRGNVRGTKSVSRSQKAGLQFPVGRVARFLKAGRYAQRVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRITPRHIQLAVRNDEEFTRLLGSVTIANGGVLPNIHHNLLPKKGGKAKGEIGSASQEF >ONH90621 pep chromosome:Prunus_persica_NCBIv2:G8:9565391:9568209:1 gene:PRUPE_8G064700 transcript:ONH90621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSPREENVYVAKLAEQAERYEEMVEFMEKVVSSVPEGEEPTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRGNSDHVATIKDYRARIENELSNICGGILKLLDSKLVPSANVGDSKVFYLKMKGDYHRYLAEFKTGNERKEAAENTLNAYKAAQDIANSELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAPKPQAEQQQ >ONH92718 pep chromosome:Prunus_persica_NCBIv2:G8:18576199:18578222:-1 gene:PRUPE_8G191100 transcript:ONH92718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFAKRLKNSPSCFQAAVDQIRWVRTESGPSRRRQRHKSPALAMRKSEEKSEWWIVDGEIHEIGDHVPPRERFVIPRDNIPNKRRKQLREQFMRRTRLVLKETEHEPWCKRYMELYQELRENWERLYWDEGYSKKIAMDHANYDSAEDDDHDFSPYRSKRSHSEPFKDNGFGNRQGDTWEKVNQIRDKFEYDRERRMREKVGKLSKVCSSH >ONH92717 pep chromosome:Prunus_persica_NCBIv2:G8:18575646:18578262:-1 gene:PRUPE_8G191100 transcript:ONH92717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFAKRLKNSPSCFQAAVDQIRWVRTESGPSRRRQRHKSPALAMRKSEEKSEWWIVDGEIHEIGDHVPPRERFVIPRDNIPNKRRKQLREQFMRRTRLVLKETEHEPWCKRYMELYQELRENWERLYWDEGYSKKIAMDHANYDSAEDDDHDFSPYRSKRSHSEPFKDNGFGNRQGDTWEKVNQIRDKFEYDRERRMREKAFAPMSGERASSNFHDMNSRRQPFDTHRYFPDNERE >ONH93314 pep chromosome:Prunus_persica_NCBIv2:G8:20263594:20266328:-1 gene:PRUPE_8G225300 transcript:ONH93314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSPISLFSHLTRQRLKSSAPLLSRNYISDRASSSSSSPLVSPTRFRPYTETHGLFFKSSQFQANPSRNMNTLVERSPQVSSRERKSMEKSELEEAFESAKTSEEMLKAFKNMESAFDERELGLASLKVGLKLDQEGEDPEKTLSFATRAWKALDRDDKPSLPVAMALQLLGSVNYSLKRFSGSLGFLNMANRALGRLEEEGFDVRDIRPVLHAVQLELANVKTAMGRREEALGNLRKCLEIKELMLEKDSTELGKANRDLAEAYVALLNFKEALGFCMKALDIHRQQLGQNSVEVAHDRRLLAVIYTGLEEHEKALEQNELSQRVLKNWGLSSDLLRAEIDAANMQIALGKFDEAIDTLKRVVQQTDKESETRALVFISMGKALCNQEKIADAKRCLEISCGILDKKETVSPVDVAEAYSEISMQYESMNEFETAISLLKRTLTLLEKLPQEQHSEGSVSARIGWLLLLTGKVSQAVPYLESAAERLKESFGPKHFGVGYIYNNLGAAYLELDRPQSAAQMFAVAKDIMDFSLGPHHADSIESCQNLSKAYDAMKSYALAIQFQQQVVDAWESHGPSAHDELKEAHRVLEQLKKKARGTFANDEHTTKALPLPQTHPSARSS >ONH91364 pep chromosome:Prunus_persica_NCBIv2:G8:13942354:13943001:1 gene:PRUPE_8G109800 transcript:ONH91364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIPPKKNELKDPTVYQMHNPTGDFQLKNPHVQCSLSLNVPCAHHLTFLSRGLCWFLQSITNIFELECLILKN >ONH94104 pep chromosome:Prunus_persica_NCBIv2:G8:22455568:22455954:1 gene:PRUPE_8G270700 transcript:ONH94104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYWGKTASKWCEKDSNVNVNWIIYNFQKWLAGPANLEVKFLEHNWISVLLPQVPIPSFHKVLTKQLQNK >ONH91488 pep chromosome:Prunus_persica_NCBIv2:G8:14491241:14492328:1 gene:PRUPE_8G118200 transcript:ONH91488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKINKYILISLLQPSQPRIPSHPDKPRIPSVFTCSDLIATKWLKQALKSSPPKPLFSSVSRLRWTTLGGTGVMRTCHTTSLPQHRLPLPHLRLPLRP >ONH89665 pep chromosome:Prunus_persica_NCBIv2:G8:612902:614807:-1 gene:PRUPE_8G007900 transcript:ONH89665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASTSSTTKDALVLFDSLRSAYSATPTTLKIIDLYVGFAVFTALIQVVYMALVGSFPFNSFLSGVLSCTGTAVLAVCLRIQVNKENKDFKDLPPERAFADFVLCNLVLHLVIMNFLG >ONH89666 pep chromosome:Prunus_persica_NCBIv2:G8:612525:614933:-1 gene:PRUPE_8G007900 transcript:ONH89666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASTSSTTKDALVLFDSLRSAYSATPTTLKIIDLYVGFAVFTALIQVVYMALVGSFPFNSFLSGVLSCTGTAVLAVCLRIQVNKENKDFKILFSATWCCTW >ONH91454 pep chromosome:Prunus_persica_NCBIv2:G8:14299963:14304327:1 gene:PRUPE_8G115800 transcript:ONH91454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDQDQHQEATATGDSAKRKLEDSSFSIELAKQKAQEIAARLVSDAESKRPRLEEEPFQPSPVFNPPPYPGQSYGSPGTSKKITIPNGKVGVIIGKGGETIKQLQLQSGAKIQITRDSEADLSSLTRDVDLTGTFEQISRAEQLISDVIAEADAGGSAPPSTNQGFNSIQPGTEQFVMKVPNNKVALIIGKGGETIRNMQSKSGARIQVVPLHLPPGDMSAERSVYINGVTEQIEAAKELVNEVISGKRLVNTSGTNSYMQQSYAPPGNWAPPGQAPIQQQQPHYGYTQPGSYAPPASYYGNYPTQGAGWDQSNQVPSSQPPQESSAYNYYGQQPPMGSAPPNPSYNYNQTPPVASHGYDQGYAQQPPSYGQNISSQAPGSDQQQQYATSGYGPPAVPSSVDGSASSQSTQPSAAYPVPYSQPPANSQAGYWQPHTQTGYYQTSYGGQQAVEDPSAASQSAVYGQGGYPQPDPSHYGEAVNPPVNGESQHQPQHQSQPPTNGYADPSGYAAEKTGERNSEEDRSASAAETVGSES >ONH91453 pep chromosome:Prunus_persica_NCBIv2:G8:14299955:14305103:1 gene:PRUPE_8G115800 transcript:ONH91453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDQDQHQEATATGDSAKRKLEDSSFSIELAKQKAQEIAARLVSDAESKRPRLEEEPFQPSPVFNPPPYPVSFTAQSGQSYGSPGTSKKITIPNGKVGVIIGKGGETIKQLQLQSGAKIQITRDSEADLSSLTRDVDLTGTFEQISRAEQLISDVIAEADAGGSAPPSTNQGFNSIQPGTEQFVMKVPNNKVALIIGKGGETIRNMQSKSGARIQVVPLHLPPGDMSAERSVYINGVTEQIEAAKELVNEVISGKRLVNTSGTNSYMQQSYAPPGNWAPPGQAPIQQQQPHYGYTQPGSYAPPASYYGNYPTQGAGWDQSNQVPSSQPPQESSAYNYYGQQPPMGSAPPNPSYNYNQTPPVASHGYDQGYAQQPPSYGQNISSQAPGSDQQQQYATSGYGPPAVPSSVDGSASSQSTQPSAAYPVPYSQPPANSQAGYWQPHTQTGYYQTSYGGQQAVEDPSAASQSAVYGQGGYPQPDPSHYGEAVNPPVNGESQHQPQHQSQPPTNGYADPSGYAAEKTGERNSEEDRSASAAETVGSES >ONH91244 pep chromosome:Prunus_persica_NCBIv2:G8:13338573:13342873:1 gene:PRUPE_8G101700 transcript:ONH91244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDIHATPSIPANPRTTTPGKVSYVLGGVARNVAECISKLGAKPFMISALGLDMPGNLLLEHWKSSGLSTEGIWKHQNIETPVVCNVLDVSGELAAAVASVEAIEKFLTPEWIQQFKYNIRSAPVLMIDANLNLSALKASCQLAAECKIPVWFEPVSVVKSRRISSVVKYVTFASPNEDELVAMANNLSGGNVYCPIERNNSRKKCSTETLFHMLKSAVWVLLEKGIKIVVVTVGSDGVFLCSRGGPSLKQYASNGELFNTVTASCPSNLFSSPPDSERSSFLFAVHFPALPASVVRLTGAGDCLVGGTIASICAGLDVMQSLAVGIAASKAAVEAETNVPSVFNLAAIADDARSVYSAAKVVFHQSMP >ONH91243 pep chromosome:Prunus_persica_NCBIv2:G8:13338168:13342873:1 gene:PRUPE_8G101700 transcript:ONH91243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSARRRLDCISRHLVLPPQANHGLQQVLLLSNGQVKSEEAEPVVIGGMVLDIHATPSIPANPRTTTPGKVSYVLGGVARNVAECISKLGAKPFMISALGLDMPGNLLLEHWKSSGLSTEGIWKHQNIETPVVCNVLDVSGELAAAVASVEAIEKFLTPEWIQQFKYNIRSAPVLMIDANLNLSALKASCQLAAECKIPVWFEPVSVVKSRRISSVVKYVTFASPNEDELVAMANNLSGGNVYCPIERNNSRKKCSTETLFHMLKSAVWVLLEKGIKIVVVTVGSDGVFLCSRGGPSLKQYASNGELFNTVTASCPSNLFSSPPDSERSSFLFAVHFPALPASVVRLTGAGDCLVGGTIASICAGLDVMQSLAVGIAASKAAVEAETNVPSVFNLAAIADDARSVYSAAKVVFHQSMP >ONH93669 pep chromosome:Prunus_persica_NCBIv2:G8:21333279:21336520:1 gene:PRUPE_8G245600 transcript:ONH93669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCENPPTLSSSSGAGSVTEIGGLKAYVAGPSDSKQAILLVSDVYGFEAPNLRKLADKIASAAGFHVVVPDFFYGDPYVPDNAERPVSVWIQSHGTGKGFEDAKPIIAALRNKGVSKIGAAGFCWGAKVVVELAKSDYIQAAVLLHPSLVTVDDIKEIKAPIAILGAEFDKISPPELLKKYEEVLSSKPEVNGYVKIFPGVPHGWTVRYKEEDEAAVKHAGEAHHDLLAWFTQHVK >ONH90862 pep chromosome:Prunus_persica_NCBIv2:G8:11258287:11260733:1 gene:PRUPE_8G078400 transcript:ONH90862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKTLPVTDFNVAEAKQPQNAKQEAQFTDPDVEWQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPLLQYFKENFQNLSNVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFEPFDSLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONH93940 pep chromosome:Prunus_persica_NCBIv2:G8:22088809:22092872:1 gene:PRUPE_8G261900 transcript:ONH93940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNSSTMGQENCESYFIFQGETGLGVGFRTFLYFLGLAYCFVGLSAITARFFQSMESVVSHTRKVVDINPYTGAEIIRYEKVWNFTIADISLLAFGTSFPQISLATIDAIRNLGNLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPRAGELKKIADVGVWLVELFWSFWAYIWLYIILEVWTPNVITIWEALLTVLQYGLLLMHAYAQDKRWPYFSLPLPRSERPEDWVPAEVVTCKSDSGPCNNYSEILEVGEDENRNIVDIFSFHSGSGLGPVYQNVPGTDETPEYSNKDSPEKMSLEDYHVFAIWGQQFVDAVKLKSTESRQLNNLYLRLARISWQLLLVPWRLLFAFVPPYHIAHGWIAFICSLVFISAIAYIVTQLTDLISCVTGINPYVIAFTALASGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLIDTAYNFFVYKEPLRIENAAGLSFSLLVFFSTSVGCIAVLVIRRRTLGAELGGPRLWAWITFVFFMLLWLIFVVLSSLKVSGII >ONH93941 pep chromosome:Prunus_persica_NCBIv2:G8:22088774:22092926:1 gene:PRUPE_8G261900 transcript:ONH93941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNSSTMGQENCESYFIFQGETGLGVGFRTFLYFLGLAYCFVGLSAITARFFQSMESVVSHTRKVVDINPYTGAEIIRYEKVWNFTIADISLLAFGTSFPQISLATIDAIRNLGNLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPRAGELKKIADVGVWLVELFWSFWAYIWLYIILEVWTPNVITIWEALLTVLQYGLLLMHAYAQDKRWPYFSLPLPRSERPEDWVPAEVVTCKSDSGPCNNYSEILEVGEDENRNIVDIFSFHSGSGLGPVYQNVPGTDETPEYSNKDSPEKMSLEDYHVFAIWGQQFVDAVKLKSTESRQLNNLYLRLARISWQLLLVPWRLLFAFVPPYHIAHGWIAFICSLVFISAIAYIVTQLTDLISCVTGINPYVIAFTALASGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLIDTAYNFFVYKEPLRIENAAGLSFSLLVFFSTSVGCIAVLVIRRRTLGAELGGPRLWAWITFVFFMLLWLIFVVLSSLKVSGII >ONH93288 pep chromosome:Prunus_persica_NCBIv2:G8:20189766:20190797:1 gene:PRUPE_8G223500 transcript:ONH93288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPSQLSQLVLLLLLIACSVPSTTAKTQRPGFLFTRTTGRCTPHYWGSRREAWPRMVPQTSTVSKVFGSRAYERYRSDLTLLEATVRNDEENVYPRLLKQASAALLNSYARKGFPYSAWEVKTLVIQALVSDKAAALQAQRFSVANEACH >ONH91771 pep chromosome:Prunus_persica_NCBIv2:G8:15646783:15647466:-1 gene:PRUPE_8G136100 transcript:ONH91771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPICFLSLLLILAAATLRGTHAVDYVVTNNAGTSPGGVRFNNDIGAEYSRQTLISAADFIWRVFQQNAAADRKSVDKVSLIVENMDGVAFARNNEIHVSAGYIAGYAGDVKKEITGVLYHESTHVWQWNGNDQAPGGLIEGIADYVRLKAGFAPGHWVQPGQGNKWDQGYDVTARFLDYLNGLRNGFVAELNKKLRSGYSPNYFVDLLGKNVDQLWTEYKAKYGN >ONH90217 pep chromosome:Prunus_persica_NCBIv2:G8:4234053:4239522:-1 gene:PRUPE_8G041200 transcript:ONH90217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLQSSYFVCFAFSIFVNLLLVLKLYVGGEWELSWSRGAAEEAEHVAAVSCSGHGRAYLDGLILDGKEPVCECNSCYGGPDCSQFLTACAANADSGDPLFLEPFWMQHAAKSAVVVAGWHRMSYTFADQSRISAKLERHIRKLHAIVGNAVTQGRYIVFGAGSTQLLNAALHALSSNNLSSSSPASVVVSVPYYRLYQEQAEFVRSVDYKFEGDAAVLQNISDANTNVIEFVTSPNNPDGKLKKAIFQGSNAKAIYDRVYYWPHFTAIPAPADDDIMIFSISKLTGHAGSRFGWAVVKDESVYKRMSESIQISSLGVSRDAQLRALKLLNVVLETGGKEIFEFGYNKVKRRWEKLSNTLALSNRFSLQKIAPQYCTYFKKTRKPSPGYVWLKCEREEDKDCYAVLQKEANIYGRRGSLFGAEDRHVRLTLLRSQDDFDILLHRLNQLVLKESEPQKLFVNEI >ONH90153 pep chromosome:Prunus_persica_NCBIv2:G8:3501753:3505757:1 gene:PRUPE_8G037700 transcript:ONH90153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRCRSDTDRACLSSLVIQGMDAMGIFIAFMRALCILYMFYIFVYECTFIYSKWKYKWIEREWRLLEAVLEDFDEVSRCANQITQEANQPLDSELIQAGITVRLNEREKSWMSEAREVVLEATGCVQSFRRLRERKDSPNWLFYMLADLVPMLGVAFQMKRLKSFFGKKEICAGDIYESLEQSRSRIRSLQDRSIVDGQIEHNSVKSKPLHDELIPSLKKITEDPDLMHGMRKQIKSVTTHLQLLHAFMKDLQPLKLESKMETSWMTKASESIDKAKDAFDNIDGQLNRSPLQFYNKWMARRALKKEMRNIGTCISDLIEMKERYGFKFIRRDSAKSANIRSPQQQNLPYQTIDYRDVNSAVNHIRFWLTQLPVTSKERRTTIFFLCNQLDSMYELLRDQKALYASNFRNACLTQLKEMATKIKHDSKVFTEDSETKLPSALTQITEVVQLLQRCMKVYSIEVRADSCSAVGLEEDIHQLVLQLTDNSKHRSIISIVGMKGIGKTTLAKKVYNHTTIANHFDFQHWVSLPQESDENALLTSVGNQILGTKEQQNGKDYWIKKVRAFLNEKRYLVVLDNVLSNEAIYALKPAFPKLTNGSKIVLTTRKKVIASNADQNSIPHELRLRTKEESWQFFTQMVHISETETLVKEVVERLGGGLPISILRLAYFLWEKNVTSDKLEKLMGTRQAQNVMPWLYNLAANTELQFHPIMSKCFSYFQLFTRDFEIPVRRIVASWIAQGLVQLRGDDQTTLEDIAYKYLIELIGCNMIQVVQRKPNGKVKTCCLPTTVRNLSLKAIGNTTKTQSWSKTSTRDKGQLAYHFADNDASFHHIHRLSLSLPNVMQNESYPNSILFVDAAEGNTLREGINIFLQKGIANGFFGQLQVLDLERVFRPKLPRNIGKIKHLAYLGLRYTKLETIPSSVGNLVNLKTLDLKDTCLQTVPSSVWKLKKLRHLYLNQLCTLPQLTDLSLSNLQILSGVLLVSKRSPLKDGLSKLKNLRKLRLSFQLEEPEQKVLATSILQLTNLQSLKLSIYDPNREVPQILKLGRLSALTKLSSLQLFGKLEIQSIINELPKSLTHLTLSSSGIEQDPMPKLGKLPNLRSLSLYSGSYQGTDMVCFKKGFPLLLVLKLSELVNLEKLDMQEGAMQNIRNLEIRSCTKLAIITGLMHLRTLQEFKVN >ONH89579 pep chromosome:Prunus_persica_NCBIv2:G8:268945:269199:-1 gene:PRUPE_8G003100 transcript:ONH89579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLSSFWGPVTSKDWCEQNYVYSSFIAEFFNTISNISGILLALISLINALRQRFEKRFSVLHISNMILAIRSMLYHATLQRL >ONH93659 pep chromosome:Prunus_persica_NCBIv2:G8:21296616:21301125:1 gene:PRUPE_8G244700 transcript:ONH93659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQDISVSAAINILSALGFLVAFALIRLQPFNDRVYFPKWYLKGIRGSPTSSGAFVKKFVNLDAKTYIKFLNWMPAALRMPEPELIDHAGLDSAAYIRIYILGLKIFVPITALALIVLVPVNYTGNTLGKTREVTFSDIDKLSISNIPSGSPKFWAHMVMSYVFSFWTCFVLYHEYKTVATKRLAFLASEKRRPDQFTVLVRNVPPDPDESVSEHIEHFFCVNHPDHYLTHQVVYNANKLAAVVAKKKSWNNWLVYYQTKYERNPKSRPTTKTGFLGLWGHKVDAINYYTEEIEKMSQEEKQEREKVVSDPDAIMPAAFVSFRTRWGAAVCAQTQQSSNPILWLTEWASEPRDIYWRNLAIPYVELNVRKLLMAVAFFFLTFFFMIPIAFVQSLANIEGIMKVLPFLKPLLEMKSIKSVIQGFLPGIALKIFLAMLPMILMIMSKIEGFTSLSSLDRRSAAKYHLFILINVFLGSIVTGTALQQLEKLMNEPSTEFTKTVGRSIPMKATFFITYIMVDGWSGVAAEIVRLVPLILFHLKNTFLVKTEEDREQAMDPGSLNFATNEPRTQLYFLLGLVYCVVTPILLPFILIFFSFAYLVFRHQIINVYDQKYESAAAFWPQVHLRPVLTIWFHRVCKGRFESAFLKFPLQEAMVKDTVEKATEPNLNLMNYLKDAYVHPVFKEGQPQKHEDLDEEDSSPLVPTKRTSQMGSKHESDASSEVKN >ONH93658 pep chromosome:Prunus_persica_NCBIv2:G8:21296616:21301125:1 gene:PRUPE_8G244700 transcript:ONH93658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQDISVSAAINILSALGFLVAFALIRLQPFNDRVYFPKWYLKGIRGSPTSSGAFVKKFVNLDAKTYIKFLNWMPAALRMPEPELIDHAGLDSAAYIRIYILGLKIFVPITALALIVLVPVNYTGNTLGKTREVTFSDIDKLSISNIPSGSPKFWAHMVMSYVFSFWTCFVLYHEYKTVATKRLAFLASEKRRPDQFTVLVRNVPPDPDESVSEHIEHFFCVNHPDHYLTHQVVYNANKLAAVVAKKKSWNNWLVYYQTKYERNPKSRPTTKTGFLGLWGHKVDAINYYTEEIEKMSQEEKQEREKVVSDPDAIMPAAFVSFRTRWGAAVCAQTQQSSNPILWLTEWASEPRDIYWRNLAIPYVELNVRKLLMAVAFFFLTFFFMIPIAFVQSLANIEGIMKVLPFLKPLLEMKSIKSVIQGFLPGIALKIFLAMLPMILMIMSKIEGFTSLSSLDRRSAAKYHLFILINVFLGSIVTGTALQQLEKLMNEPSTEFTKTVGRSIPMKATFFITYIMVDGWSGVAAEIVRLVPLILFHLKNTFLVKTEEDREQAMDPGSLNFATNEPRTQLYFLLGLVYCVVTPILLPFILIFFSFAYLVFRHQIINVYDQKYESAAAFWPQVHLRVIIGLIISQILLMGLFSTLGVAKSTFILVAQPVLTIWFHRVCKGRFESAFLKFPLQEAMVKDTVEKATEPNLNLMNYLKDAYVHPVFKEGQPQKHEDLDEEDSSPLVPTKRTSQMGSKHESDASSEVKN >ONH93983 pep chromosome:Prunus_persica_NCBIv2:G8:22209138:22210958:1 gene:PRUPE_8G264900 transcript:ONH93983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILSNLIPRNRGLRVTASDIWPNSPFAKLNPDNFFDYNPSPLTRTDSSPRKRAQPTSGNRQEEKPPKRARKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTPEEAARAYDREARKIRGKKAKVNFPNEDDDIPTQTYLRNPNPPSLFQTSSENLSNSHMPKSFDLGFGYDLNQIATISSNSNSKGLSSMNLVNTDPTVISGEENSGSGSDGAYSSTAGLLGCNQNGSSCCYGEAEVKELEETKEGILNKDAIAIMEENEVQKLSEELMAYENMMKFYQIPYLDGQSTATQHPPAQEGMVGDLWSFDDDRVSAP >ONH93413 pep chromosome:Prunus_persica_NCBIv2:G8:20573325:20576549:-1 gene:PRUPE_8G230700 transcript:ONH93413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVEEANKAAVESCHRVLSLFSQPQDQVQYTNLMVETGKAVSKFKKVVSLLNTGLGHARVRKRKKLQIPFPERILLDNPNCITDYPSKTPHFIQSSFPENPVQDLGLNVKNSLCLGNPSLELSTNGKNPLQPAQQAPPTQYHFLQQQQQQQQVQQQQQQQQRLFLQQQQQQMKHQAEMMYRRSNSGINLNFDSSSCTPTMSSTRSFISSLSIDGSVANFDGNSFHLIGAPLSSDQNSQHKRKCSARGDDGSVKCGSSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHPRIPSQSTTT >ONH89814 pep chromosome:Prunus_persica_NCBIv2:G8:1662016:1671643:1 gene:PRUPE_8G018000 transcript:ONH89814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRDEDDDEMDPEEEDEYEPDQVIDEDEEEEEEEQRGGRRSSQKRRRSDFIDDAAEEDDEEEEEDDEDDESYGGGASRQRRNKRPSGSQFLDIEAEVDTDDEEDEDEGEDDFIVDNGADLPEDDDGRRMHRRPLLPREDEQEDVEALERRIQARYARSSHTEYDEETTDVDQQALLPSVRDPKLWMVKCAIGREREAAVCLMQKYIDKPELQIRSAVALDHLKNFIYIEADKEAHVREACKGLRNIFAQKINLVPIREMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAKVVDVDNVRQKVTVKLIPRIDLQAIANKLEGREVVKKKAFVPPPRFMNIDEARELHIRVERRRDPMTGDYFENINGMLFKDGFLYKAVSMKSISSQNIHPTFDELEKFRKPGENGDGDIAGLSTLFSNRKKGHFMKGDTVIVIKGDLKNLKGWVEKVEEETVHIRPEIKELPKTLAINEKELCKYFEPGNHVKVVSGTQEGSTGMVVKVEQHVLIILSDITKEHIRVFADDVVESSEVTSGITRIGAYELHDLVLLANNSFGVIIRVEREAFQVLKGVPDRPEVALVKLGEIKCKIEKSFPVEVKYKHKVSVKDVVRVIDGPCEGKQGPVEHIYRGVLFIYDRHHLEHAGFICVKSHACALVGGSRANGDRNGDTHSRYDHLRTPPRIPQSPKRFSRGGPPNNYGGRNRGGRGHDGLVGTTVKVRQGAYKGYRGRVVEVKGPNVRVELESQMKVVTVDRNCISDNVAITTPYRDTSRYGMGSETPMHPSRTPLHPYMTPMRDAGATPIHDGMRTPMRDRAWNPYAPMSPARDNWEDGNPASWSASPQYQPGSPPSRAYEAPTPGSGWANTPGGNYSEAGTPRDSSSAYANAPSPYLPSTPGGQPMTPNSASYLPGTPGGQPMTPGTGGLDMMSPVIGGDSEGPWFMPDILVNVRNSGEETTGVVREVLPDGSCRVVIGSSGNGETITALPNEMEAVVPRKNDKIKIMGGSLRGVTGKLIGVDGTDGIVKVDDTLDVKILDLAILSKLGQ >ONH89815 pep chromosome:Prunus_persica_NCBIv2:G8:1662339:1671643:1 gene:PRUPE_8G018000 transcript:ONH89815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRDEDDDEMDPEEEDEYEPDQVIDEDEEEEEEEQRGGRRSSQKRRRSDFIDDAAEEDDEEEEEDDEDDESYGGGASRQRRNKRPSGSQFLDIEAEVDTDDEEDEDEGEDDFIVDNGADLPEDDDGRRMHRRPLLPREDEQEDVEALERRIQARYARSSHTEYDEETTDVDQQALLPSVRDPKLWMVKCAIGREREAAVCLMQKYIDKPELQIRSAVALDHLKNFIYIEADKEAHVREACKGLRNIFAQKINLVPIREMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAKVVDVDNVRQKVTVKLIPRIDLQAIANKLEGREVVKKKAFVPPPRFMNIDEARELHIRVERRRDPMTGDYFENINGMLFKDGFLYKAVSMKSISSQNIHPTFDELEKFRKPGENGDGDIAGLSTLFSNRKKGHFMKGDTVIVIKGDLKNLKGWVEKVEEETVHIRPEIKELPKTLAINEKELCKYFEPGNHVKVVSGTQEGSTGMVVKVEQHVLIILSDITKEHIRVFADDVVESSEVTSGITRIGAYELHDLVLLANNSFGVIIRVEREAFQVLKGVPDRPEVALVKLGEIKCKIEKSFPVEVKYKHKVSVKDVVRVIDGPCEGKQGPVEHIYRGVLFIYDRHHLEHAGFICVKSHACALVGGSRANGDRNGDTHSRYDHLRTPPRIPQSPKRFSRGGPPNNYGGRNRGGRGHDGLVGTTVKVRQGAYKGYRGRVVEVKGPNVRVELESQMKVVTATPIHDGMRTPMRDRAWNPYAPMSPARDNWEDGNPASWSASPQYQPGSPPSRAYEAPTPGSGWANTPGGNYSEAGTPRDSSSAYANAPSPYLPSTPGGQPMTPNSASYLPGTPGGQPMTPGTGGLDMMSPVIGGDSEGPWFMPDILVNVRNSGEETTGVVREVLPDGSCRVVIGSSGNGETITALPNEMEAVVPRKNDKIKIMGGSLRGVTGKLIGVDGTDGIVKVDDTLDVKILDLAILSKLGQ >ONH89817 pep chromosome:Prunus_persica_NCBIv2:G8:1662339:1671643:1 gene:PRUPE_8G018000 transcript:ONH89817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRDEDDDEMDPEEEDEYEPDQVIDEDEEEEEEEQRGGRRSSQKRRRSDFIDDAAEEDDEEEEEDDEDDESYGGGASRQRRNKRPSGSQFLDIEAEVDTDDEEDEDEGEDDFIVDNGADLPEDDDGRRMHRRPLLPREDEQEDVEALERRIQARYARSSHTEYDEETTDVDQQALLPSVRDPKLWMVKCAIGREREAAVCLMQKYIDKPELQIRSAVALDHLKNFIYIEADKEAHVREACKGLRNIFAQKINLVPIREMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAKVVDVDNVRQKVTVKLIPRIDLQAIANKLEGREVVKKKAFVPPPRFMNIDEARELHIRVERRRDPMTGDYFENINGMLFKDGFLYKAVSMKSISSQNIHPTFDELEKFRKPGENGDGDIAGLSTLFSNRKKGHFMKGDTVIVIKGDLKNLKGWVEKVEEETVHIRPEIKELPKTLAINEKELCKYFEPGNHVKVVSGTQEGSTGMVVKVEQHVLIILSDITKEHIRVFADDVVESSEVTSGITRIGAYELHDLVLLANNSFGVIIRVEREAFQVLKGVPDRPEVALVKLGEIKCKIEKSFPVEVKYKHKVSVKDVVRVIDGPCEGKQGPVEHIYRGVLFIYDRHHLEHAGFICVKSHACALVGGSRANGDRNGDTHSRYDHLRTPPRIPQSPKRFSRGGPPNNYGGRNRGGRGHDGLVGTTVKVRQGAYKGYRGRVVEVKGPNVRVELESQMKVVTVDRNCISDNVAITTPYRDTSRYGMGSETPMHPSRTPLHPYMTPMRDAGATPIHDGMRTPMRDRAWNPYAPMSPARDNWEDGNPASWSASPQYQEVLHHERMKHQLLVLVGLTLLVEIIVKLAHQGTVVLLMQMLLALTCHQLRVGSL >ONH89816 pep chromosome:Prunus_persica_NCBIv2:G8:1662339:1671643:1 gene:PRUPE_8G018000 transcript:ONH89816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRDEDDDEMDPEEEDEYEPDQVIDEDEEEEEEEQRGGRRSSQKRRRSDFIDDAAEEDDEEEEEDDEDDESYGGGASRQRRNKRPSGSQFLDIEAEVDTDDEEDEDEGEDDFIVDNGADLPEDDDGRRMHRRPLLPREDEQEDVEALERRIQARYARSSHTEYDEETTDVDQQALLPSVRDPKLWMVKCAIGREREAAVCLMQKYIDKPELQIRSAVALDHLKNFIYIEADKEAHVREACKGLRNIFAQKINLVPIREMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAKVVDVDNVRQKVTVKLIPRIDLQAIANKLEGREVVKKKAFVPPPRFMNIDEARELHIRVERRRDPMTGDYFENINGMLFKDGFLYKAVSMKSISSQNIHPTFDELEKFRKPGENGDGDIAGLSTLFSNRKKGHFMKGDTVIVIKGDLKNLKGWVEKVEEETVHIRPEIKELPKTLAINEKELCKYFEPGNHVKVVSGTQEGSTGMVVKVEQHVLIILSDITKEHIRVFADDVVESSEVTSGITRIGAYELHDLVLLANNSFGVIIRVEREAFQVLKGVPDRPEVALVKLGEIKCKIEKSFPVEVKYKHKVSVKDVVRVIDGPCEGKQGPVEHIYRGVLFIYDRHHLEHAGFICVKSHACALVGGSRANGDRNGDTHSRYDHLRTPPRIPQSPKRFSRGGPPNNYGGRNRGGRGHDGLVGTTVKVRQGAYKGYRGRVVEVKGPNVRVELESQMKVVTVDRNCISDNVAITTPYRDTSRYGMGSETPMHPSRTPLHPYMTPMRDAGATPIHDGMRTPMRDRAWNPYAPMSPARDNWEDGNPASWSASPQYQPGSPPSRAYEAPTPGSGWANTPGGNYSEAGTPRDSSSAYANAPSPYLPSTPGGQPMTPNSASYLPGTPGGQPMTPGTGGLDMMSPVIGMRLRTMVHARHIGQCTQFWRGNYWSCQRSAPGWLL >ONH92217 pep chromosome:Prunus_persica_NCBIv2:G8:17063142:17066203:-1 gene:PRUPE_8G163400 transcript:ONH92217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTFSFCLLMFFLLLMGSSVASFDGPLYDSTAYTECKMQPGAALYGGGIINDQASGAEGSLGNSATTSSGVYSPSFILHNLSQGTIYCFSSWVKIEGAGSALIRASLKTEKETYDCIGTVLAKHGCWSFLKGGFVLNSPSQLSMLFFQNTDDRDVNIEIASSSLQPFSVQQWRTYQQYIINTKRKRAVTVHVSNEQGKRLQGVTINIEQVSKDFPFGSAIAKTILGNLPYQNWFVKRFNAAVFENELKWYATEPEQGKTNYTIADQMLQFVRANQITARGHNIFWEDPKYTPAWVRNLTGNELHSAVNSRIESLMSKYREEFIHWDVSNEMLHFDFYEQKLGPNATLHFFETAHKFDPLATLFMNDFNVVETCSDVNSTVDAYISRLRELRYGGALMDGIGLEGHFIVPNPPLIRAILDKLATLSLPIWLTEVDISSTLGKEAQAIYLEQVLREGFSHPSVNGIMLWTALHPNGCYQMCLTDNNLQNLPAGDVVDKLLKEWQTGEIEGETDDHGSYSFFGFLGEYKVSVKYGNKTSSSTFSLCQGEETRHVSIQL >ONH92218 pep chromosome:Prunus_persica_NCBIv2:G8:17063279:17065834:-1 gene:PRUPE_8G163400 transcript:ONH92218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGAALYGGGIINDQASGAEGSLGNSATTSSGVYSPSFILHNLSQGTIYCFSSWVKIEGAGSALIRASLKTEKETYDCIGTVLAKHGCWSFLKGGFVLNSPSQLSMLFFQNTDDRDVNIEIASSSLQPFSVQQWRTYQQYIINTKRKRAVTVHVSNEQGKRLQGVTINIEQVSKDFPFGSAIAKTILGNLPYQNWFVKRFNAAVFENELKWYATEPEQGKTNYTIADQMLQFVRANQITARGHNIFWEDPKYTPAWVRNLTGNELHSAVNSRIESLMSKYREEFIHWDVSNEMLHFDFYEQKLGPNATLHFFETAHKFDPLATLFMNDFNVVETCSDVNSTVDAYISRLRELRYGGALMDGIGLEGHFIVPNPPLIRAILDKLATLSLPIWLTEVDISSTLGKEAQAIYLEQVLREGFSHPSVNGIMLWTALHPNGCYQMCLTDNNLQNLPAGDVVDKLLKEWQTGEIEGETDDHGSYSFFGFLGEYKVSVKYGNKTSSSTFSLCQGEETRHVSIQL >ONH89941 pep chromosome:Prunus_persica_NCBIv2:G8:2306261:2308534:1 gene:PRUPE_8G025200 transcript:ONH89941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSNGEILAILSPSESGKTTLLKAYGDRLNGRLGGTITYNNKPLSNVVKRNTNFVTQYDFLYPYLMVTENLFYIALLCFPNILSKAKKAMEAKAMIIQLELNKCKNDVETAYGDRLNGRLGGTITYNNKPLSNVVKRNTNFVTQYDFLYPYLMVTENLFYIALLCFPNILSKAKKAMEAKAMIIQLELNKCKNDVETIKSKKSTKKEEKVILNGVSGLVQMVKILAILGLFGSGEMTLLTKLRGQLSNRLGGAITYNNKPFSNAVKRNTGFVTQDNFLYPYLRVIKNLFYTTLLRLPNTLSKAEKAMQGEVVIIQLELHKCKYIIKRVGHGPKS >ONH92840 pep chromosome:Prunus_persica_NCBIv2:G8:18922424:18926011:1 gene:PRUPE_8G199200 transcript:ONH92840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRESWCFCKGVSKSEKMKAAIFSGKAPAMARIFGPGNGISGTGFLIHRSLLLTTHVNLPSVAAAESSEIRLQNGVAATLVPQRFFITSSILDLTIVGLDAVDGDSNAHCHPHHLKTCSKPTLDLGSVVYLLGYTEKKELTVGEGKVVIATDNLIKLSTDGVMWSPGSAGFDAQGNLAFMICDPMKLATSPNTKSSSTSSSSTSSWKKDLPMQFGIPIPIICDWLNQHWEGSLDDLNKPKLPLIRLMSSGQKSEHSCASFTQRRVFKSTEADNDGTTSSSNTVSKTRDQPGPSCSAAANTVEEEALTSDPHAAHVQGIPTPEIYESPKLTAVPIRRKEGSPIQLLDINFPPRVAKPAVLPQPTKKLPPNSDENFVKVPPPRSPIREEHQIKNRGPTSPDADAEIASTGSVNGAQSEVQSSSFPLEVSDMQNGYSSEGETMYSAETAESRNYTSPAEGKFQQVGRSQSCVNYNRWGAAQSNPVARRALLEKQRSFIHGRKMHSQGATSQRSNDYYSPTVSSIMKKRNSEQPVRPRQSAVHSSPKWNF >ONH90812 pep chromosome:Prunus_persica_NCBIv2:G8:11020301:11022176:1 gene:PRUPE_8G075900 transcript:ONH90812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKDENVRQVNKRGGGQGSRGDQDQPAEIEVSITIHEEASTREERLNKLREEAPPIQAEKVKPKIQRVPFMLRQDTKFDKYYEPIVAALGPFHHTRKPKYEHAEKIKLKLAANFVKDSKQNDADLLKKVEDNINELRKCYDEEATKDYEDEFLAWMLFVDGCSTLEFIYKYDELENFQIKRDQVTFVEHDIFLLENQLPYQLLQLLMSSSQIHKKLKKSIDDFVWRNSLAQNQQNPEAKPTHLLELLRTTMLGTSPSEKTKGTKLPHSFRNVQELQAAGIHFRPSNSNSLRSISFISSLCHGILYLPQIKVDDSTRPKFMNLIAYEMCPDFHNDFGVTSYICFLDSLIDHPDDVKHLRKKLILQNFLGNDEEVAQLFNEIGTDLVPNDDIYYSVKDQIEKHYGNWGNRVMAQFFHEHFSSPWTVVAFLGALLALGSSIVQTVYSVLGYHNNK >ONH93111 pep chromosome:Prunus_persica_NCBIv2:G8:19663124:19670389:1 gene:PRUPE_8G213800 transcript:ONH93111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISCDLEKAGHSFKNSSFWTDNGAGVFSSSSRGEDDEEALKWAALQRLPTFQRLKKGLISTSEGRADEVDVSRLQVQERKNLIERLVGVAEEDHENFLLRLKNRIDRVGISLPTIEVRFEHLKVAAEAYVGGRALPTVFNYCVNLVEGLLNSFRILPSKKQHLTILKDVSGIIKPCRMTLLLGPPSSGKTTLLLALAGELDRDLKFSGRVTYNGHDMHEFVPQRSAVYISQHDVHMGEMTVGETLAFSARCQGVGARYDILAEISRREKEANIKPDADLDIYMKAVASESQRAQVVTDYILKILGLDVCADTLVGDQLIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSIKQYVHILKGTAFISLLQPAPETYELFDDIVLLSDGQIVYQGPREQVLEFFESMGFRCPERKGVADFLQEVTSRKDQEQYWASKDEPYKFITVEEFVEAFNSFPVGRKLADELATPFDKTKSHPAALTTKKYGVRKTELLKACFAREFLLMQRNSFVYLFKLTQLSILALITMTLFLRTEMHRDSVSNGGIYAGALFFAMVSVMFNGMAELSMTIAKLPVFYKQRKLLFFPPWAYALPAWILKIPITCLEVAVWVFITYYVIGYDPNVERLFKQYLLLLLVNQMASALFRFIAGVGRSLTIANTFGSFALVMLFALGGFVLSREDIKKWWIWGYWISPLMYGQNAIVVNEFLGKSWSHVLPNSTEPLGVAVLKSRGFFTHPSWYWIGVGALAGYMLIFNIFFTLALTYLKPFDKPQAVRLEDSSSSPQISQENGNGSISSRSSSARTEATADTNPNKKRGMVLPFEPYSITFDEITYSVDMPQEMKNQGVPEDKLVLLRRVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGKITISGHPKKQESFARISGYCEQNDIHSPHVTVYESLMYSAWLRLPAGINSETRKMFVEEVMGLVELNPLRQALVGLPGANGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLLKKGGQELYVGPLGRHSCHLIKYFEGIENVSKIKDGYNPATWMLEVTSSAKEIALGIDFADVYKKSEIYRRNKALIEELSTPASGSEDLYFPTKYSQPFLTQSVACLWKQHWSYWRNPPYTAIRLIYTTFIALMFGTMFWNLGSKTTKQRDLFNAIGSMYAAVLFLGIKNSTTVQPVVDVERTVFYRERAAGMYSALAYAFAQVTIEIPYVFAQAVIYSVIVYAMIGFEWTLAKFLWYLFFMYFTFLYFTYYGMMGVALTPNQHVAAISASAFYAIWNVFSGFVIPRTRIPIWWRWYYWACPMAWTLYGLAASQFGDIQDKLETGETVEEFMQNYFGFKQEFIGVVAAVVVGFTLLFALIFALSIKMLNFQRR >ONH93546 pep chromosome:Prunus_persica_NCBIv2:G8:20958264:20960633:-1 gene:PRUPE_8G237400 transcript:ONH93546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHMRKFNHRTASVCPSVLYLALADASYSIARASESQLRAYSNAGPISGFVGSSSLANSNVQNAILRFRDHFTLQVNFFSTVAGTILVQARDPAKLTMEIQSAIDEHRLNDAWKLHEQHMQMEGFLRKSVVNKLLTSFVESFDVQWLERAYGLVEQAIEKSRQNLLEKETLIYLSLGLAKAGLPISASTVLRKMVEMEQFPPVAAWSAILAHMSQTAQGAYLAAELIIEIGYLFQDNRIDPRKKSNAPLIAMKPNTTAFNIALAGCLMFGTTRKAEQLLDMMPRVGVKTDANLLILMAQIYERNGRRDELRKLQRYIDESPNLSDIQFRQFYNCLLTCHLKFRDLDAASNMVLEMLRKAKEARNSLALATLVFDAAGRGNKSPLGSGFQSRKSTSSGESYGHISSPLISYEEFSMDRNFLRLEAEAKEVLGVVLAKLQSQVELITTDRGILQPTEKIFVKLVKAFLEADKTKDLAEFLIKAGKEDSPASNDDSALVHVINSCISLGWLDKAHDLLDEMCLAGVRTGSSVYSSLLKAYCEANQAGNAASLLRDARKGGIQLDSSSYEALIQSRVLQNDTQGALHLYQEMKEAKIPRAGHQEFERLVNECARGGGEPRLMAKLLQEIKEGQTMDSGVHDWNSIIHFFCKKRLMQDAEKALTKMRSLGHAPNAQTFHSMVTGYAAIGGKYIEVTELWGEMKSIASATSMKFDQELLDSVLYTFVRGGFFSRANEVVEIMEKGKMFIDKYKYRTLFLKYHRTSYKGKAPKFQTESQLKKREAALAFKNWVGVC >ONH90598 pep chromosome:Prunus_persica_NCBIv2:G8:9111108:9115823:-1 gene:PRUPE_8G062800 transcript:ONH90598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDSLIHIDITTLSQSELHALSLCSSSSSAFNLFGAQDLVVPKIDRSVFNESAGSRRQTYSRPRRSQSDASTGHRRRVAGLHATPKLSPVPPDDPERNENHAIIAHLKNFISQDPKFDQIDFEATHTASFPMLLGPNHELRNGIVGFEGREDEGVKKRKRGRKPKVKVLSMEGEGYVGMEMVNKNGAAVDVSGLANVEDPFGEELRRRTVGLETEEQLLGFMRELGGQWGSRRKKRKIVDANEFGDALPVGWKLLLGLKRKEGRAWIYCRRFISPTGQQFLSCKEVSSFLHSFFGFNNARQPDGHGGENLQEECIMTTENQHSDKDGGRRQYVNSSSALVVSTISNEREKEVSLSGMENLAEVQIHDLFECHKCSMTFGEKDSYLQHLLSFHQRTTRRYRLGSTVGDGVIIKDGKYECQFCHKVFLERRRYNGHVGIHVRNYVRRVEESPGPTTVQKRIESPSGEGFPSRISKMDALIEIAQNSILETSTAGPNNESKCGPAANSHQEMNIDSPLSEPDLEGSMIGRTASDQHDSEHTITDGSMEEADDPMEVVDIKMDSGMNTTSIEKNGKPSESSLEKDGLVFTSDELEKSSTNQDGASQCLIHASSNDKIISEVVGNENLNFTSTLEHPNAVELSNNKNSEPAVEFGSSNDHGPADDTLIEPVRQANEENEMQSGISDSLMSLVQPLVCFPTSNAISNKGEQHVSSVGQRHNHETGFEELRLDEIEPLKYGFAGGQESLTMQEVPMDLTNNAEMERAFGSSVQFEQEEVMLSMAASHQLTCVWCGVEFNHEAADSEIQADSVGFMCPACKAKISGPLNV >ONH90597 pep chromosome:Prunus_persica_NCBIv2:G8:9110899:9115976:-1 gene:PRUPE_8G062800 transcript:ONH90597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDSLIHIDITTLSQSELHALSLCSSSSSAFNLFGAQDLVVPKIDRSVFNESAGSRRQTYSRPRRSQSDASTGHRRRVAGLHATPKLSPVPPDDPERNENHAIIAHLKNFISQDPKFDQIDFEATHTASFPMLLGPNHELRNGIVGFEGREDEGVKKRKRGRKPKVKVLSMEGEGYVGMEMVNKNGAAVDVSGLANVEDPFGEELRRRTVGLETEEQLLGFMRELGGQWGSRRKKRKIVDANEFGDALPVGWKLLLGLKRKEGRAWIYCRRFISPTGQQFLSCKEVSSFLHSFFGFNNARQPDGHGGENLQEECIMTTENHSDKDGGRRQYVNSSSALVVSTISNEREKEVSLSGMENLAEVQIHDLFECHKCSMTFGEKDSYLQHLLSFHQRTTRRYRLGSTVGDGVIIKDGKYECQFCHKVFLERRRYNGHVGIHVRNYVRRVEESPGPTTVQKRIESPSGEGFPSRISKMDALIEIAQNSILETSTAGPNNESKCGPAANSHQEMNIDSPLSEPDLEGSMIGRTASDQHDSEHTITDGSMEEADDPMEVVDIKMDSGMNTTSIEKNGKPSESSLEKDGLVFTSDELEKSSTNQDGASQCLIHASSNDKIISEVVGNENLNFTSTLEHPNAVELSNNKNSEPAVEFGSSNDHGPADDTLIEPVRQANEENEMQSGISDSLMSLVQPLVCFPTSNAISNKGEQHVSSVGQRHNHETGFEELRLDEIEPLKYGFAGGQESLTMQEVPMDLTNNAEMERAFGSSVQFEQEEVMLSMAASHQLTCVWCGVEFNHEAADSEIQADSVGFMCPACKAKISGPLNV >ONH90312 pep chromosome:Prunus_persica_NCBIv2:G8:4850840:4857179:1 gene:PRUPE_8G045900 transcript:ONH90312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQHRCVFVGNIPYDATEEQLIEICQEVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGADRNREQGRGGPGLTANVDPQKQVVGPSVHVEALQHQPIGLHIAITAATVMAGALGGAQAGLQPSQNGLQNQLASANDPLTLHLAKMSRGQLNQIMSELKVMAIQNKELARQLLLARPQLPKALFQAQIMLGMVSPQTLQMPNIRQTSSQPSHPPFNEGQQGQPPAVQTLSELPPPAQNRLQAGLVPKAQEGQFSSVPQNPLVRSQLSAPQQQHMQPRMQLPQHANQHVLQQAPLLGTGVTTIPPIHPQSSGGLPIRPQTQLANSSISNQKMQPPLLQHPGLVGRANLGHNTQMVRPNASVHQSHFPRPLSDASFQPGPSMSSGMSLAVNRDDDLSSESVKRPAKQMKLDDGRSTSLSAGHLNVSNANGTALPHVFGAGSMPGKPVPNPEVQQSEKQTSQSQLPPGVESALLQQVLSLTPEKLSSLSPEQQQQVIKLQQMLRRDQIQPS >ONH91932 pep chromosome:Prunus_persica_NCBIv2:G8:16087938:16088609:-1 gene:PRUPE_8G144500 transcript:ONH91932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPNLTIQQKLIKRAFTEIGAGDIDYPIQHRPRIFADIIQLLFHIPSLGLTRGRFQKGEPVVLVIKGTIPIFYKGHPYKTPIKIWVPLGYPTDSPKVRVVVEDQAIMSIKLPHPYVDAGAGGLVNVPYMQAWIEGHSERTLTGLVINMCECFSVDPPVRQRVPQQPRTSVPTFPIPQPPQEQEMQERHEEERQEQVMQERERQEEGKRCKGKRGRGWGCGLL >ONH92181 pep chromosome:Prunus_persica_NCBIv2:G8:16911158:16914323:1 gene:PRUPE_8G160600 transcript:ONH92181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSNTNFNSHISGKQSINQKALAAEQFPWWFSPETGIYQSKHLSIDLPSDPFLDIVSFIFSHQHDGVSSALIGSSCGSAISYSQLYSSVKSMASGLQQMGISQGDVVLLLLPNSIYYPIAFLGVMYLGAIVTTMNPLSSVAEIKKQIADTKACHAFTGPENADKLQALGIPAILVPENALPGSSKDIFSVFYKLIYSRCYLALRPVIKQQDTAAIMYSSGTTGVSKGVMITHRNFIATVAHFVRFEASQYEGSSLDNVYLAVLPLFHIYGLSLFMMGLLSLGSRVVVMKRFDVNEVIRAIDRYKVTHFPVVPPVLTALTKRANDGLAPHSLKSLKQVSCGAALLSMKTIENFVQTFPHIDLIQGYGMTETTAVGTRGFNTQKLRKPSSIGLLAPNMQAKVVDWNTRSPLPPTSTGELWLHGPGIMKGYLNNAKATLSTIDEGGWLHTGDIVFFDEDGYLHLQDRLKDAIKYKGFQIAPADLECVLINHAEILDVAVAGAMDEESGEVPVAFVVRKHRSELNHDAVMDTSCSIQEGQEGGVCRFNSKVSSWEDPKKGA >ONH91007 pep chromosome:Prunus_persica_NCBIv2:G8:12112405:12120297:1 gene:PRUPE_8G088000 transcript:ONH91007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDFSYLRPFSHSHSRHCPYKLPSMASSFSFMPAPPSVQAHRCSSSPSPRQEVYYCGGLRTSFLKNWDVTGVSSSKRFGSTTHMNWKRRRTLICAVNQDAEGAFKKTVEVDRLIDKLRDANPIELQKLVVENVLAFNEGFWIRLAARTDTCKSEDDKKDYEELAISIMSIVDRLVHKTNEKIDSATDVLKGILKPVVDEVEEIQWPPRDPEALKLMEKELVQREEDGQLDEGFLSEVNAQLRQAKGDGDKPGFEAMLQKVLQLYASTVLSKRSYAKKGDEILKAEQFLETIIKAPEEEWNKLLLNGLTFGKGEILPQELYAVIKKRVERTLIRTEGGSYQQRVLTEYLNGIQLRAEQIVQLLQGKLQ >ONH91008 pep chromosome:Prunus_persica_NCBIv2:G8:12112405:12120297:1 gene:PRUPE_8G088000 transcript:ONH91008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDFSYLRPFSHSHSRHCPYKLPSMASSFSFMPAPPSVQAHRCSSSPSPRQEVYYCGGLRTSFLKNWDVTGVSSSKRFGSTTHMNWKRRRTLICAVNQDAEGAFKKTVEVDRLIDKLRDANPIELQKLVVENVLAFNEGFWIRLAARTDTCKSEDDKKDYEELAISIMSIVDRLVHKTNEKIDSATDVLKGILKPVVDEVEEIQWPPRDPEALKLMEKELVQREEDGQLDEGFLSEVNAQLRQAKGDGDKPGFEAMLQKVLQLYASTVLSKRSYAKKAPEEEWNKLLLNGLTFGKGEILPQELYAVIKKRVERTLIRTEGGSYQQRVLTEYLNGIQLRAEQIVQLLQGKLQ >ONH89866 pep chromosome:Prunus_persica_NCBIv2:G8:1879329:1880236:-1 gene:PRUPE_8G020600 transcript:ONH89866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFSTFGIAMALLKWLPLKLVDKVLLLLATIILGNTDQLGLRRPKTGPIELKNATGKTPVLDVGALSQIKSGKIKVVVEGVKEITRNGARFMNGQEKEFDSIILATGYKSNVPTWLKGCDFFTKDGMPKTPFPDCWRGSEGLYIVGFTRRGLLGTTSDAVEIANDVAQLWKTNKDCRSSCNSHIILLK >ONH90971 pep chromosome:Prunus_persica_NCBIv2:G8:11929320:11929568:1 gene:PRUPE_8G086400 transcript:ONH90971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTTNLTFTLTSTSNSSVTTNLTSIFNSSFTTNLTSTFYSSFTTIFTFTFTTNFNSTFTTNLTSTFNSSFTRNFTSSLSQY >ONH90299 pep chromosome:Prunus_persica_NCBIv2:G8:4770961:4777365:-1 gene:PRUPE_8G045600 transcript:ONH90299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWEGYVSDEAMGTFAPILVYWLYAGLYQLLPPLDNYRLHTRREEDDKNSVPLPSVVKGVLLQQLVQATVAQGLFLLTSKANSLGITVQPSIPVQIVQIVIAMLVMDTWQYFVHRYMHQNKFLYRHVHSQHHKLVVPYAIGALYNHPLEGLLLDTFGGAISFLVSGMTARTAVIFFCFAVIKTVDDHCGLWLPGNIFHILFQNNTAYHDIHHQLQGLKYNYSQPFFPLWDKLFGTYMPYNLVKRPEGGLEARAVKAMKDYLNHNNLSVN >ONH90300 pep chromosome:Prunus_persica_NCBIv2:G8:4770991:4777276:-1 gene:PRUPE_8G045600 transcript:ONH90300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWEGYVSDEAMGTFAPILVYWLYAGLYQLLPPLDNYRLHTRREEDDKNSVPLPSVVKGVLLQQLVQATVAQGLFLELLGWNLISTTHFFVVWRLTSKANSLGITVQPSIPVQIVQIVIAMLVMDTWQYFVHRYMHQNKFLYRHVHSQHHKLVVPYAIGALYNHPLEGLLLDTFGGAISFLVSGMTARTAVIFFCFAVIKTVDDHCGLWLPGNIFHILFQNNTAYHDIHHQLQGLKYNYSQPFFPLWDKLFGTYMPYNLVKRPEGGLEARAVKAMKDYLNHNNLSVN >ONH91200 pep chromosome:Prunus_persica_NCBIv2:G8:12989838:12996465:1 gene:PRUPE_8G098200 transcript:ONH91200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLVKKDDDRDDEEYSPFLGIEKGAVLQEARVFNDPQLDSRRCSQVITKLLYLLNQGETFTKVEATEVFFSVTKLFQSRDIGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESAGNAQMGDRPFYDYLEGCLRHKAEMVIFEAARAITELHGVTTRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRALMNFLSNILREEGGFEYKKAIVDSIVILIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRASAVSTLAKFGALVDSLKPRVFILLRRCLFDSDDEVRDRATLYLNTLGGDGSVVETDSDVKDFLFGSLDVPLVNLETSLKNYEASEEPFDINSVPKEIKSQPLAEKKAQSKKPTGLGVTPSAPVSTVDAYEKLLSSIPEFSNFGKLFKSSAPVELTEPETEYAVNVVKHIFDSHVVFQYNCTNTIPEQLLENVIVAVDASEAEEFSEVASKPLASLPYDTPGQTFLAFERPEGVPAVGKFSNTLRFIVKEVDPTTGEAEEDGVEDEYQLEDLEVVPADYILKVPVFNFRNAWESMGPDFERIDEYGLGQRESLTEAVNTVINLLGLQPCEGTEVLASNSRSHTCLLSGVYIGNVKVLVRLSFGIDSSREVAMKLAVRSEDEAVSDAIHEIVGSG >ONH91155 pep chromosome:Prunus_persica_NCBIv2:G8:12843213:12844387:-1 gene:PRUPE_8G096300 transcript:ONH91155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSMSSWTAKQNKAFEKALALYDKDTADRWYNVAKAVGGKTPEEVKRHYERLVEDVKHIESGQVPFPDYRTTGGNDEEKRMRNLKLR >ONH92225 pep chromosome:Prunus_persica_NCBIv2:G8:17100213:17101834:1 gene:PRUPE_8G163900 transcript:ONH92225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFKMATTGMWVTDECKSSFMEMKWKKVHRYIVFKIDEGSRLVTVDKVGGPGESYDDLAASLPKDDCRYAVFDFDFVTVDNCRKSKLFFIAWSPSASRIRAKILYATSKAGLRRVLDGISYELQATDPTEMGMDVIKDRAK >ONH93187 pep chromosome:Prunus_persica_NCBIv2:G8:19911209:19914726:-1 gene:PRUPE_8G218200 transcript:ONH93187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDRVPKVARSSDGGCLCRPRCRMPIVGSAKGSAHMGAKGTECKDEKGGRSFSNPKKDCLLWSPPIRRTLARWLQETRMKNSLESSEPEENITNALSGPSENTVSLNPEIENKMEEAPELNSNMRESRIRPICRPLDFILDDIAKLRKLVPPTRDDPNRFPKFIFKMMMSHLENKDSDAGGEDVGTSICEEEQSEVDVMDLDEDPQLWPEELYREEQEKMRKGLERLASQMGKRVDEIWR >ONH92408 pep chromosome:Prunus_persica_NCBIv2:G8:17668637:17672066:-1 gene:PRUPE_8G173800 transcript:ONH92408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVIEEIAPAPITPRPAATVEDPPTSMSRAASVARVPPLQIPAPTTAVNGDGGGGGGGGGGGDQITLKTPKALTPKSRTPRFMTPIGSPVRRALKLTRLDPQDAWLPITESRNGNAYYAAFHTLCSGIGIQALILPVAFITLGWTWGIICMTLAFIWQLYTLYLLVQLHESAETGLRYCRYIQLCNASFGEKLAQFLALFPILYLSGGTCVALIVLGGSTAKMFFQIVCGPTCTVKSLTPAEWYLVFTVVASILSQLPNLNSIAGVSLVGAISAIGYSTLIWVVSLDKGKLPNVSYDPVKADKQYIHFFDVLNALGMIAFAFRGHNLILEIQATMPSSEKHPSRVPMWRGVQISYTLIAMCIFPLAIVGYWAYGQKIPAQGGMFAALFGFHASDTSKFILGLASLFVIVNALSSFQIYGMPMFDEMESHYTRRFKKPAPWWLRAIVRCMFTYGCYFMAVAIPFLGSVAGLLGGISLPVTLAYPCFMWIKMKKPKKYGLSWGVNWVLGVVGMILSVMLIAAGLYVVIDTGIKVSFFKPQ >ONH94032 pep chromosome:Prunus_persica_NCBIv2:G8:22308326:22311469:-1 gene:PRUPE_8G267200 transcript:ONH94032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENPTSAAAAAADPPANVKRYAPPSQRNRPLNRRKSADRFDRTNNPQSGNDLEKNQISSSRSLSGMDHGDASSSSLLNENSRPGLLALEGCSSSAAFQLLNNRWAAAMHCFNDPSVDLSERPVMYTGGGAAWGQFQLPHQLMASTGGAGSPGSSMDFLSELRRSKNISHASFRT >ONH92010 pep chromosome:Prunus_persica_NCBIv2:G8:16339758:16341842:-1 gene:PRUPE_8G149400 transcript:ONH92010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKTLFFPLVPCLSSNKKEREISFLDNGSILLEDLIASCDGKSNPIRCYSAADLIRATNNFDPSRIIQDFSAPKYKFHHSIHVYGGYKMFRGFLDGRSIIIKKFMGTGDEARSVAIRDIIISMQMSNHKNVLKLLGCCLEIPIPALVHEYAIEGVLNDQGGLRTTENQSSLPWKTRLRIAIQLASAITYLHTAFPRPIIHRALKPSSIFLDHDYAPKLSNFGLSITIPPMKSHADDEVKGTFGFLDPSYMKSGYISEKSDVYSFGVLLLVFLTGQKAVDAYEAGEYLSIIAYVKASDIGQIQTIADPKILGEVGGDEQARQHLHDFLALALSCTQEESEVRPDMMDVAKELLRIEKSIISK >ONH90216 pep chromosome:Prunus_persica_NCBIv2:G8:4210576:4213995:-1 gene:PRUPE_8G041100 transcript:ONH90216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQSSYLVCLAFSLVFNLLLIFKLYVGREWELSWSRRAAEEAEYVAAISCSGHGRAYLDGLTLDGKEPVCECNSCYGGPQCSEFLTGCAANADSGDPYFLEPFWMQHASKSALVVAGWHRMSYTFADQSYISAELERHIRKLHAIVGNAVTGGRYIVFGAGSTQLLNAAVHALSSHNSSSSSSPASVVASIPYYNLYQIQTEFFRSTDYVFRGDASLLQNISDATNVIEFVTSPNNPDGQLNKANVQGPNAKAIYDRVYYWPHFTAIPTPANDDIMIFSISKLTGHAGSRFGWAVVKDESVFQKMTMYTLINSMGISRDAQLRALKVLNVVLEGGGKNIFEFGYNTLRKRWEKLSNILSVSNRFSLQKFAPKYCTFFKKTRGPSPAYAWVKCEREEDKDCYAVLQEEANVYGRRGSHFGAEDRFVRLTLLRSQDDFDLLLQRLNQLVLEESHRQSYFVHDLKTN >ONH91100 pep chromosome:Prunus_persica_NCBIv2:G8:12566365:12567775:-1 gene:PRUPE_8G092900 transcript:ONH91100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNFQRAVISTVKPIVDASIEELCCTLFPECLKIADLGCSSGPNTLLVVSDIIDNIRNTFQKLNRPPPSLQAFLNDLPRNDFNTVFRSLPGFYKKLDEEPEKKLGPCFIAGMPGSFYGRLFPDNSLHFVHSSYALMWISEVPKGLVTKEGEALNKGNIYIAKTSPPAVFNQYLEQFKRDFTVCLRSRAEELVPGGSMVLTTMGSIKSDDPLCIWEFIWDTLIIANLKCTSMNFQGLIEVEKLDTFNMPYYAPTTKEIEEVIEAEGSFILQNLEVFKNDWDSYVKQANSGLDKKTRAAIFATDIRAVGEPILASQFGEAPMDDLFRRFEADVLDHMERENCQFINLVISLTKKR >ONH91101 pep chromosome:Prunus_persica_NCBIv2:G8:12566255:12567073:-1 gene:PRUPE_8G092900 transcript:ONH91101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLEGLIEVEKLDTFNMPYYAPTTKEIEEVIEAEGSFILQNLEVFKNDWDSYVKQANSGLDKKTRAAIFATDIRAVGEPILASQFGEAPMDDLFRRFEADVLDHMERENCQFINLVISLTKKR >ONH89540 pep chromosome:Prunus_persica_NCBIv2:G8:112089:113752:1 gene:PRUPE_8G001100 transcript:ONH89540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGRGGRDREERDEEEGKGLLWKLPVIKSPQLGKVGPAFGVGVGCGLGFGVGLLGGLGFGPGIPGLQIGFGLGAGCGVGLGFGYGVGKGIAHDDHRRYSNVGNLFQGANVGISRASGNLPTQDDIGALVEELVDNTKKLVRATTREMDKWRR >ONH92445 pep chromosome:Prunus_persica_NCBIv2:G8:17819925:17823609:-1 gene:PRUPE_8G176100 transcript:ONH92445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESKVTEKQPEKLQTQAHANNSRTPTHHPNPSPGSDPETGGAPPFSEFSFSDLKAATNNFSSDYIVSESGEKAPNLVYKGRLQNRRWIAVKKFTKMAWPDPKQFAEEAWGVGKLRHRRLANLIGYCCDGDERLLVAEYMPTDTLAKHLFHWENQTIEWAMRLRVGLYIAEALDYCSTEGRPLYHDLNAYRVLFDEDGDPRLSCFGLMRNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLLSGKHIPPSHALDMIRGKNIILLMDSHLEGKFSTEEATVVVNLASQCLQYEPRERPNTKDLVATLAPLQTKPEVPSYVMLGIPKHEEAPATPQRPLSAMGEACSRMDLTAIHQILVMTHYRDDEGTNELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDCYSQFIDVGTMVSPTVFARRSLCYLLNEQPDAALRDAMQAQCVYPDWPTAFYMQSVALAKLDMHKDAADMLNEATALEEKRQRGGRGS >ONH92444 pep chromosome:Prunus_persica_NCBIv2:G8:17819522:17823768:-1 gene:PRUPE_8G176100 transcript:ONH92444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESKVTEKQPEKLQTQAHANNSRTPTHHPNPSPGSDPETGGAPPFSEFSFSDLKAATNNFSSDYIVSESGEKAPNLVYKGRLQNRRWIAVKKFTKMAWPDPKQFAEEAWGVGKLRHRRLANLIGYCCDGDERLLVAEYMPTDTLAKHLFHWENQTIEWAMRLRVGLYIAEALDYCSTEGRPLYHDLNAYRVLFDEDGDPRLSCFGLMRNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLLSGKHIPPSHALDMIRGKNIILLMDSHLEGKFSTEEATVVVNLASQCLQYEPRERPNTKDLVATLAPLQTKPELSFQEWTQQMRDMLEARKRGDYAFRDKDFKTAIDCYSQFIDVGTMVSPTVFARRSLCYLLNEQPDAALRDAMQAQCVYPDWPTAFYMQSVALAKLDMHKDAADMLNEATALEEKRQRGGRGS >ONH91203 pep chromosome:Prunus_persica_NCBIv2:G8:13014897:13020530:-1 gene:PRUPE_8G098500 transcript:ONH91203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRDAAGAQPPSNAESPAAEPSSARRRGGAQKRKASSLGGSTSSSTPSKRFTREKAMLSHPPIHNGPLTRARQGPSSLGSASASGAAVKPTVAKRPDPVGEAVAELVKRESELEALEASMEAEFEAIRSRNANAHVVPSHCGWFSWTKVHPIEEQMLPSFFNGKSETRTPDAYLEIRNCIMKIFHANPGVFIELKDLLELEVGDFDARQEVMEFLDHWGLINFDPSPPTGSAVASAEGDGLAEKDSLVDKLYHFEALQSRSSVVPKTNITTPTVPSGLFPESAIAEELVRPEGPAVEYHCNSCSADCSRKRYHCQKQADFDLCTDCFSNGKFDSGMSSSDFILMEPAEAPGVSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDTFLDYEDDIDASAKETADPTSTDNESLAPKDAPETTENKTGASESDPQTSPVETSKEVTEVNVGQDTSKPEDVNEVKVGEETSKLEDTGELKVDQETDESFALNALKEAFEVVGYPPTSEGQLSFAEVGNPAMALAAFLARLVGPDVAIASAHNSLKSISASSPGTELAARHCFLLEDPPSDNKEQAGPDSVVAEVLKDKVQEDIVDEDKSQKEDNATSGLEDKDLSNDKGDNILEKPSPEEKSQSAEEQDGIVSHEEVEADNLNKSDNLELPKDQSPTTVGKLDDSKLEAENPPSSEKESGEGISVGKPSEPTDTPKDVDMCDSLPSTKDKPQQPVTSNSVEEPPRSTEASKDLDVSNSLASQMNEPQQPVTAKSEEPPRPTEESKDVDMVSDPQPQEQDDSQQPVASNSMVETGASEDQTNDGKSEKHDTIETKVDQKIDKLKHAAVSTISAAAVKAKLLAEQEEDQIRQLAAMLIEKQLHKLEAKLGFFSEMENVVMRVREQLDRSRQKLYHERAQIIAARLGLPGSSSRPMPSSMPANRMAMNVANSVPRPPLNMTSLRPPMSRPMGPTAPTSNQFSPTALAGSSIRPPSQDKLSSVGSK >ONH93368 pep chromosome:Prunus_persica_NCBIv2:G8:20442485:20443114:1 gene:PRUPE_8G228300 transcript:ONH93368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPPSAGSPSSLFGTLLQGVVGLGPPDGTVPLRICLIRGKKGRLRLELPLICRTSFFILIVKVSSPCSNLQASYECMLIRKTTTMATKSMFTNFILLGK >ONH91659 pep chromosome:Prunus_persica_NCBIv2:G8:15180478:15181761:1 gene:PRUPE_8G128000 transcript:ONH91659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTLLALLSSISQLILLLLLSPNSTNSSSSCAHVFPIAHSFLSSHEMAATLSLLTLSRKRKRTHFSERDSEPTDHDKDQELGGGDSVQLGLTRSPDSFRNCFRMTYSTFEWLCGLLEPLLECRDPQFGVSEPVARFCAKQLCRVLCTNYRFWIEFPNPNELASVSAAFGSQTGLPNCCGVIDCTRFKTVKNGGFHEESIAAQIMVDSSSRILSIVAGFRGNKGDSRVLKSSTLYKDIEAGRLLNSPPVNVDGVAVNQYLIGDEGYPLLPWLMVPFVDAAKGSNEEHFNAAHNLMRLSALRTIVSLKSWGILSRPIQEEFKMAVAYIGACSILHNGLLRREDFSAMCDVDDYSLYDQSSQYYRDTSLEENSIERKASVIRTALAAKAKEFQNTQV >ONH90260 pep chromosome:Prunus_persica_NCBIv2:G8:4481304:4483939:-1 gene:PRUPE_8G043100 transcript:ONH90260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYQHSQASLCKLLFRRSFNGSPKLFSLNSLTTSPAQCVSDSAPLPKPKTPELLLHKPTTNSPHFLHPTFSNSSQFINRHNLRQFSGGADGFDQNKEVDMINLKFAEAREEIEMAMESKETVYFDEEAECARTAVKEVLDLFDGLLAKSPEDKKAALQRAMGLKIEQLKAELKQLDE >ONH90261 pep chromosome:Prunus_persica_NCBIv2:G8:4480100:4483957:-1 gene:PRUPE_8G043100 transcript:ONH90261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYQHSQASLCKLLFRRSFNGSPKLFSLNSLTTSPAQCVSDSAPLPKPKTPELLLHKPTTNSPHFLHPTFSNSSQFINRHNLRQFSGGADGFDQNKEVDMINLKFAEAREEIEMAMESKETVYFDEEAECARTAVKEVLDLFDGLLAKSPEDKKAALQRAMGLKIEQLKAELKQLDE >ONH92779 pep chromosome:Prunus_persica_NCBIv2:G8:18773912:18777996:1 gene:PRUPE_8G195700 transcript:ONH92779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLMHAVQYKSYGGGPSGLKHVGVPIPTPKKNEVLLKLEAASLNPVDWKIQKGQLRPLFPGKFPQIPATDVAGEIVEVGQGAKKFKVGDKVVVLLSHFSGGGLAEFASSNERFMVARPAEVSAAEGAGLPVAGITAHQAITQSAGIKLDGTGKQKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNVELVKSLGADEVLDYKTPDGAALKSPSGRKYDAVINCATGIAWLTFEPNLSAKGKVIDIAPNASSFTTFLLKKLTFSKKSLVPLLMIPKAESLDYLVNLVKEGKLKTVIDSKHPISKAEDAWAKSIDGHATGKIIVEP >ONH92781 pep chromosome:Prunus_persica_NCBIv2:G8:18776291:18778045:1 gene:PRUPE_8G195700 transcript:ONH92781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKLMHAIQYDSYGGGPSGLKHVGVPIPTPKKNEVLLKLEAASLNPVDWKIQKGQLRPLFPGKFPQIPATDVAGEIVEVGQGAKKFKVGDKVVVLLSHFSGGGLAEFASSNERFMVARPAEVSAAEGAGLPVAGITAHQAITQSAGIKLDGTGKQKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNVELVKSLGADEVLDYKTPDGAALKSPSGRKYDAVINCATGIAWLTFEPNLSAKGKVIDIAPNASSFTTFLLKKLTFSKKSLVPLLMIPKAESLDYLVNLVKEGKLKTVIDSKHPISKAEDAWAKSIDGHATGKIIVEP >ONH90343 pep chromosome:Prunus_persica_NCBIv2:G8:5154100:5158629:-1 gene:PRUPE_8G047900 transcript:ONH90343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKKMSWVLACVGFMFLGLCKANGNTHYYDFVLTELNFTRLCETKTILTVNGTLPGPTITVRKGDTAFVNVHNQGSYGVTLHWHGVKQPRNPWYDGPENITQCPIQAGSNFTYEVIFSIEEGTLWWHAHSDWTRATVYGAIIILPALNTTYPFTKPDGEETLALGSWYKGDVNEIIENALATGADPNVSDAFTINGEPGDLYNACSNATTYRWVVDYGNTYLLRLINAVLNEEMFFAIANHNLTVVAQDAAYLKPITTSYLVITPGQTMDILVVANQSPSSYHVASAPFVDGDVAFNNSTTSAILQYNGSTTPSTIPTPTFPNPADGTAASNFTTQVRALASKDYPICVPLNITHTLFISVSVNERICPNSSCDGPDNNALAASLNNISFVTPSIDILQAYHGSIKGVYSANFPHKPYIFNFTGHVRNDTIYPYFGTKVRMIKYGEEVEIIYQGTNMIAAENHPMHLHGFNFYLVGIGSGNFDPNQAPKTYNLVDPPEVNTIGVPKNGWATVRFKADNPGVWFMHCHLERHASWGMATVLIVTNGNTTETSMLPAPAYMPPCK >ONH90642 pep chromosome:Prunus_persica_NCBIv2:G8:9810765:9812297:1 gene:PRUPE_8G066300 transcript:ONH90642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMPKKDLWGTFRLRSFLQLLSGNRSSSTNASQGQFPAMGVSKVAHGYSIPQSLRLFSTNSRPCTKLDTKVLNGGEDKVQQSHQATSTRLNFGHWLKWMLGSILSLMLPFWAQNWGKLKRIEGKAEIVMEEIEDVAEVVEKVANVAEKVSADVADELPDNSKLKETVLLVERASKVAAQDAQLTQDLIHKVETLKQDLGDLETLAEPVLEKIAKRVI >ONH92807 pep chromosome:Prunus_persica_NCBIv2:G8:18821167:18823175:-1 gene:PRUPE_8G196800 transcript:ONH92807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTISPIQILETILSLLILYAALRITAHTKKKITSTKTTVTTKLVPQPSGAWPFIGHLPLLRSKDPVAITLGAMADDHGPIFSLKLGQHQVLVLSAWETVKECLTTNDRVFATRASIAGGKHLGYDNALFALAPYGPYWRHVRKLATLELLSTKRVEMLSHVRTSKVDLFIKNLLSLCTKNGASPTPVHLSELIEFLTFNINVRLIAGKRFTAEQYNEKNSEAWRFEKAVKEALYLFGVFVWSDAMPWLEWLDSLFGHVGSMKRCFKELDCVLGKWLEEHRQRSRPQGKIDRVESDLMDVMISSLQEEDDVISGHSLDNVIKSTALVLILTGTESTSVTLTWALSLLLNNPKTLKAAQQELDIQVGRDRWVQESDLPNLKYLQAILKETLRVYPPGPLTGLREATEDCHLAGYHVPKGTRVLVNIWKLQRDPRMWANPGEFQPERFMTTHAEVEFKGQNNFEYIPFSSGRRSCPGMVLGLQVVQLILARLVQGFDMSRVGEEAVDMREGLGLALPKANPLEALLSPRLPLHLYQ >ONH93141 pep chromosome:Prunus_persica_NCBIv2:G8:19778009:19780277:-1 gene:PRUPE_8G215400 transcript:ONH93141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVLGSGGGGGGSAGGSLIMSTLSREDNLAMSSEDSSSPDESELELCLGLSLGGGGGGCLGKAQQGPRGQYARILTAKDFPSVRSSLSSSSASSSSSSSSSLSSANVTAGTKRSADSVAAANGASSQVVGWPPIRTYRMNSLVTQAKSSSTEVFNSVDEKSECKNTAGKANSGNAKEKGHLRASLFVKVNMDGTPIGRKVNLSAQSCYEALAQTLEDMFDGPSMHLNSIRSGGQEEHGIMAGATRPSKLLDGSFEFVLTYEDKDGDWMLVGDVPWGMFLGTVKRLRIMRTSEANGLAPRLQEKNVRQRCQPI >ONH93140 pep chromosome:Prunus_persica_NCBIv2:G8:19776926:19780643:-1 gene:PRUPE_8G215400 transcript:ONH93140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVLGSGGGGGGSAGGSLIMSTLSREDNLAMSSEDSSSPDESELELCLGLSLGGGGGGCLGKAQQGPRGQYARILTAKDFPSVRSSLSSSSASSSSSSSSSLSSANVTAGTKRSADSVAAANGASQVVGWPPIRTYRMNSLVTQAKSSSTEVFNSVDEKSECKNTAGKANSGNAKEKGHLRASLFVKVNMDGTPIGRKVNLSAQSCYEALAQTLEDMFDGPSMHLNSIRSGGQEEHGIMAGATRPSKLLDGSFEFVLTYEDKDGDWMLVGDVPWGMFLGTVKRLRIMRTSEANGLAPRLQEKNVRQRCQPI >ONH91532 pep chromosome:Prunus_persica_NCBIv2:G8:14756651:14757598:-1 gene:PRUPE_8G121700 transcript:ONH91532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEDLAHDFSPMIKVYKDGRVERLMGTERVPPSTHPENGVQSKDVVISQEPAISARIFIPKTSTHSPQTKLPLLIYFHGGGFCIESSSSPAYHSYVNTLVSEANVVAVSVDFRLAPEHPLPAAYNDSWAAIKWVASHFDGNGSEDWLNRFADFQRVFFAGDSAGANITHNMAVKLGCERLVGVKLVGIVLVHPYFWGTEPVGDELTTPAAARDYMVGVWRFACPSTSGSDDPLINPAKDQKLGKLGCEKVLVCVAEKDVLKDRGWHYSEILKKSGWNGAVEVIEAKGEGHVFHLFNPICDNAVALEKKIVSFLN >ONH91948 pep chromosome:Prunus_persica_NCBIv2:G8:16143583:16144958:-1 gene:PRUPE_8G145800 transcript:ONH91948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLCVCGGDIYHQKGMAVLVMIYEGLSLCASTIWDLQEMRFAAIFDDVSFVAITIIMAAVSCFIAALPEACPPQIHLGVLVPNARPQVGFGLVSFFLQISVQ >ONH91266 pep chromosome:Prunus_persica_NCBIv2:G8:13376835:13380238:1 gene:PRUPE_8G102300 transcript:ONH91266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGPKDGWHSIMPLCLRGKAASRFCLFPKVKTAGYIPGSAPVYLNVYDLTPANGYVYWAGLGIFHSGVEVHGVEYAFGAHEYSSSGVFEVEPRQCPGFKFRRSIFIGMTCLDPFQVREFMERQSAIYNGDSYHLIVRNCNHFCEDICYKLTGKPIPKWVNRLARIGSLCNCILPDTLKTTTVPHDPNFQRCESERKRLRSAFTCLSSMSMPQREVSMSSLFLHSHYKGCLPPWEFKRSRNDSLKEG >ONH91267 pep chromosome:Prunus_persica_NCBIv2:G8:13376294:13380317:1 gene:PRUPE_8G102300 transcript:ONH91267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGPKDGWHSIMPLCLRGKAASRFCLFPKVKTAGYIPGSAPVYLNVYDLTPANGYVYWAGLGIFHSGVEVHGVEYAFGAHEYSSSGVFEVEPRQCPGFKFRRSIFIGMTCLDPFQVREFMERQSAIYNGDSYHLIVRNCNHFCEDICYKLTGKPIPKWVNRLARIGSLCNCILPDTLKTTTVPHDPNFQRCESERKRLRSAFTCLSSMSMPQREVSMSSLFLHSHYKGCLPPWEFKRSRNDSLKEG >ONH93782 pep chromosome:Prunus_persica_NCBIv2:G8:21638577:21640651:1 gene:PRUPE_8G252100 transcript:ONH93782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSSQTARLEGKVALITGAASGIGKATASKFISNGAKVVIADIQQQLGQVTANELGPNATFIACDVSKESDISNAVDFTISKHSQLDIMYANAGVACNTPPSIVDLDLAVFDRVMNINVRGVVAGMKHASRVMIPRKTGSILCTASVTGLMGGLAQHTYSVSKFAVIGIVKSLAAELSKHGIRVNCVSPFAIPTPFVLEEMSRIFPGVDIQRLIEITQNAGVLEGTNCEPNDIANAALYLASDDAKYVSGHNLVIDGGFTSFKTLEFPAPDQVH >ONH93781 pep chromosome:Prunus_persica_NCBIv2:G8:21638422:21640650:1 gene:PRUPE_8G252100 transcript:ONH93781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVALRKKVTISRAPVVSPSFKMGFSSQTARLEGKVALITGAASGIGKATASKFISNGAKVVIADIQQQLGQVTANELGPNATFIACDVSKESDISNAVDFTISKHSQLDIMYANAGVACNTPPSIVDLDLAVFDRVMNINVRGVVAGMKHASRVMIPRKTGSILCTASVTGLMGGLAQHTYSVSKFAVIGIVKSLAAELSKHGIRVNCVSPFAIPTPFVLEEMSRIFPGVDIQRLIEITQNAGVLEGTNCEPNDIANAALYLASDDAKYVSGHNLVIDGGFTSFKTLEFPAPDQVH >ONH91411 pep chromosome:Prunus_persica_NCBIv2:G8:14144958:14146536:1 gene:PRUPE_8G112800 transcript:ONH91411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFVGHIVPGFALTLLGLWHTINTIRSYFLRGPSNFRVRFWHPFNSPLSKLKHLELIFILSFSVLAIFMQVLDFPFLQLSFKLHNLEHATMFLHLVVFAGFTLCAELIHPFQTLSGVVGMLVASVFCQELFLLHFHSADHVGLEGHYHWLLQLIVFVSVMAALAATCCQTSLPAALVLSISVVFQGCWFMNMGFLLWVPRFVPKGCVVHYVEGTNDTMLGAVTCQSSEADFRARALANLQFSWILSGILIFTGCACLKFAVKCIPRDLPIEYEQLHSRGADVPIVINDFKEAHP >ONH93532 pep chromosome:Prunus_persica_NCBIv2:G8:20887995:20889786:1 gene:PRUPE_8G236200 transcript:ONH93532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKKSKKSKKDRKLKKNLSLVPVEPKAADSDWWDSFWHKNSSTQDSSLSNDEEEGFKYFFRVSKKTFDYICSLVREDLVSRPPSGLINIEGRLLSVEKQVAIALRRLASGESQVSVGAAFGVGQSTVSQVTWRFIEALEERAKHHLKWPDSNRMEEIKSKLEEAFGLPNCCGAIDGTHIIMTLPTVQTSDDWCDLEDNYSMLLQGIVDHEMRFLDIVTGWPGGMTLSRLLKCSGFFKLCEGGQRLNENVRTLSGGVEIREYLVGGVGYPLLPWLITPYESNGLPASISAFNAVHGAARSLAVTAFSQLKGTWRILNKVMWRPDKRKLPSIILVCCLLHNIRIDSGDILQPDVALSGHHDSGYGEQCCRQVDPLGRTMRDILVKHLLHSKQTAAPK >ONH89732 pep chromosome:Prunus_persica_NCBIv2:G8:1050066:1050479:-1 gene:PRUPE_8G012700 transcript:ONH89732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPFRTVVVLTFLLILRTTCYAAVVNPVTHVNIINYLRLGVDLTVHCKSKDDDLGVHVIPPDGSWEFQFRPNFWNSTQYFCKFDWNGGSYWFDIYIQLRDKPYCVKNCDWLIIPNGACRKVEGVPHAVDKCYPWNP >ONH89549 pep chromosome:Prunus_persica_NCBIv2:G8:137260:138869:-1 gene:PRUPE_8G001600 transcript:ONH89549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKKRICDEGEGVWLGSSGVVLIMMILVVQCSTSIGSVSAAAQVPAMFIFGDSLIDVGNNNYLSSLAKSNYYPYGCDFRAGPTGRFSNGRTVVDMLGNLLGLPYVPPFADPNTKGTKILGGVNYASAAAGILDETGQHFGQRYSLSQQVLNFESTLDQLRPMMSGANMTQYLAKSIAVLEFGSNDYINNYLLPSLYSSSYIYTPPAFANLLLNRYTQQILALHSVGLKKFVLAGIGPLGCIPNQRALAQPGRCVDYVNQILGSFNEGLRSLANQLNTNHPGAIFVYANTYAAFGDMLNNPALYGFSVIDRGCCGIGRDRGQITCLPYSVPCANRNQYIFWDAYHPTEAANAVLAWRAFNGPPSDCYPINVQQMALI >ONH89550 pep chromosome:Prunus_persica_NCBIv2:G8:137259:138869:-1 gene:PRUPE_8G001600 transcript:ONH89550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKKRICDEGEGVWLGSSGVVLIMMILVVQCSTSIGSVSAAAQVPAMFIFGDSLIDVGNNNYLSSLAKSNYYPYGCDFRAGPTGRFSNGRTVVDMLGNLLGLPYVPPFADPNTKGTKILGGVNYASAAAGILDETGQHFGQRYSLSQQVLNFESTLDQLRPMMSGANMTQYLAKSIAVLEFGSNDYINNYLLPSLYSSSYIYTPPAFANLLLNRYTQQILALHSVGLKKFVLAGIGPLGCIPNQRALAQPGRCVDYVNQILGSFNEGLRSLANQLNTNHPGAIFVYANTYAAFGDMLNNPALYV >ONH92692 pep chromosome:Prunus_persica_NCBIv2:G8:18519464:18520431:1 gene:PRUPE_8G189800 transcript:ONH92692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHLMCFLLLSLLSFTTCSNSSQRSVYDVLRAHGLPMGLLPKGVVDFEVDDEGRFQVHLDQACNAKFESQLHYDRNVSGTLSFGQIGSLSGISAQELFLWFPVKGIRVDVPSSGIIYFDVGVVYKQFSLSLFETPPVCTAATTSVEAIGTVFEGESGKLRYKLDHELVGRDFV >ONH89804 pep chromosome:Prunus_persica_NCBIv2:G8:1616015:1617115:-1 gene:PRUPE_8G017300 transcript:ONH89804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPSFFISDATAIISVSISVGRRHTSSSFSSVVEFERRQPQQPQHIRNRDLCSNQQFQKLRYAKLEKFRCGKKGYGLRLLDDIFKGQFLRDYAKALKRGSIYVFA >ONH91980 pep chromosome:Prunus_persica_NCBIv2:G8:16268319:16272139:-1 gene:PRUPE_8G147900 transcript:ONH91980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQALLLVTLLNINVASTSNIVKTLPGFRGDLPFKLETGYVGVGSMDDVQLFYYFFESEGSPEYDPLVLWLNGGPGCSAFSGLVYENLGPLSFDYAHSIGNKPKLKLNPYSWTKVANIIFLDAPVGTGFSYAKNWEGYNNLNDTLSAAQTYEFLRKWLMDHPKFYNNPLYITGDSYSGIIVPMVVQEISDVYWLQGYVLGNPVTDEKKDNNYKVLFAYLKALISDELYQSMRKNCKGEYINVDLNNTLCVGDLELYNECIEDIQSAQILEPTCTSVASPKSAGSKWNINYFSDKDSVNLLLSFPELTRPWCRSYNYLFSYIWANDKTVQDALHIQEGSIKEWLRCNHTLKDSYIFDVSSSLVYHENLIKQGYRVLIYSGDHDMVIPYVATMAWIESLNLTVDSRWKPWFVDGQVAGYRVQYSDKKYQLTYTTIKGAGHTATEYKPEECHAMISRWFAYYPL >ONH91981 pep chromosome:Prunus_persica_NCBIv2:G8:16268188:16272139:-1 gene:PRUPE_8G147900 transcript:ONH91981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQALLLVTLLNINVASTSNIVKTLPGFRGDLPFKLETGYVGVGSMDDVQLFYYFFESEGSPEYDPLVLWLNGGPGCSAFSGLVYENLGPLSFDYAHSIGNKPKLKLNPYSWTKVANIIFLDAPVGTGFSYAKNWEGYNNLNDTLSAAQTYEFLRKWLMDHPKFYNNPLYITGDSYSGIIVPMVVQEISDVYWLQGYVLGNPVTDEKKDNNYKVLFAYLKALISDELYQSMRKNCKGEYINVDLNNTLCVGDLELYNECIEDIQSAQILEPTCTSVASPKSAGSKWNINYFSDKDSVNLLLSFPELTRPWCRSYNYLFSYIWANDKTVQDALHIQEGSIKEWLRCNHTLKDSYIFDVSSSLVYHENLIKQGYRVLIYSGDHDMVIPYVATMAWIESLNLTVDSRWKPWFVDGQIQSAVLR >ONH91873 pep chromosome:Prunus_persica_NCBIv2:G8:15904841:15907533:-1 gene:PRUPE_8G141200 transcript:ONH91873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNQSYSRIDTSELKFTIVQKIGHQRAEKYFDLTRRLFGLKISKCDFNKFCIRTIGRENVHLHNKLIRSIIKNACLARTPPLTGIRKTGGALNAKVVIGYQKSCAQPLYGDAFPQCPRKGRSAVNRDRKFRDRPSPLGPNGKPQNIAYEELISKAHEQQSPTELLSLDSRPPIEVASEDGEEVEQVAGSPAIQSRSPVTAPFGISMHLVGSRKAFPNVSVCGGTYHRETCQNCGELPDTKSLRSRLERKLETEGIGVSVDCVNLLNNGLDTFLKRLLEPCIRLAGTRHGDEQLKQLNSLYICGSNGTLRGGHMQRETKSTYASMLDFCAAVELNPQILGENWPIQLEKIVVRASEE >ONH91874 pep chromosome:Prunus_persica_NCBIv2:G8:15904841:15907565:-1 gene:PRUPE_8G141200 transcript:ONH91874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNQSYSRIDTSELKFTIVQKIGHQRAEKYFDLTRRLFGLKISKCDFNKFCIRTIGRENVHLHNKLIRSIIKNACLARTPPLTGIRKTGGALNAKVVIGYQKSCAQPLYGDAFPQCPRKGRSAVNRDRKFRDRPSPLGPNGKPQNIAYEELISKAHEQQSPTELLSLDSRPPIEVASEDGEEVEQVAGSPAIQSRSPVTAPFGISMHLVGSRKAFPNVSVCGGTYHRETCQNCGELPDTKSLRSRLERKLETEGIGVSVDCVNLLNNGLDTFLKRLLEPCIRLAGTRHGDEQLKQLNSLYICGSNGTLRGGHMQRETKSTYASMLDFCAAVELNPQILGENWPIQLEKIVVRASEE >ONH93816 pep chromosome:Prunus_persica_NCBIv2:G8:21749958:21750746:1 gene:PRUPE_8G254500 transcript:ONH93816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVAGLVDEKPLVIFSKSSCCMSHSTKSFLRGFGANPTVYELDQMPNGQQIERALLQRGCRPSVPAVFIGQELVGGTNTVMSLHVQNRLVPLLMRAKAIWIWNDR >ONH93576 pep chromosome:Prunus_persica_NCBIv2:G8:21068787:21069296:1 gene:PRUPE_8G240000 transcript:ONH93576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFRFPFSFPQRQKHTPPIYSFSSSATSRPFFATTAAVYFAAGAASVAGVAAATHNSTNQAHPFLQNALNLFFANRSLPLWSSLSLNYTSSSVVDSKTQLQFFKKFKPSLGILGVFLVTLLSSSAASPAWITIQFVAGFVLVGLPCWVLDDLCVNENELCAICSNPKK >ONH93071 pep chromosome:Prunus_persica_NCBIv2:G8:19530160:19543348:-1 gene:PRUPE_8G211100 transcript:ONH93071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMSLVVGSLVWLEDPEEAWIDGEVVEVKGEQIKVLCTSGKTVVVKASNIYPKDAEAPPCGVDDMTKLAYLHEPGVLDNLRSRYDINEIYTYTGNILIAVNPFRRLPHLYDSHMMAQYKGADFGELSPHPFAVADAAYRLMINDGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAVAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQSGRISGAAIRTYLLERSRVCQVSNPERNYHCFYMLCAAPPEDVKRYKLGHPKTFHYLNQSNCYELDGVDDSEEYIATRRAMEVVGMSSNEQDAIFRVVAAILHLGNIEFAKGKEMDSSMPKDEKSWFHLKTAAELFMCDVKALEDSLCKRVIVTRDETITKWLDPEAAAISRDALAKIVYSRLFDWLVDKINSSIGQDPQSKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFTKPKLSQSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASNCSFVSGLFTSLVEDSSKTSKFSSIGSRFKQQLQQLLETLSSTEPHYIRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTRKAFDEFIDRFGLLAPEVLDRSTDEVNACERLLEKVGLEGYQIGKTKVFLRAGQMAELDARRSEVLGRSASIIQRKVRSYLAKRSFVLLRISAIRLQAACRGQLARHVYQGMRREASCLMIQRHLRMYLARKAFKELYCSAVSIQTGMRGMTARNELRFRRQTRAAIIIQSQCRRFLARLHYMKTKKAAITTQCAWRGRVARAELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENEKLQSALQDMQVQFKETKAMLEKEREAVRRAEEKVPIIQEVPVVDHAMMEKLTNENEKLKALVNSLEKKIDETEKKYEEANKTSEERLKQALEAESQIVQLKTTMQRLEEKFSDIEYENQTLRRHQLSTPVKKPPEHPPTLEPQRVENGHHVSEENRDNEPQSATPVKKFGTESDSKLRRSVIERQHESVDALINCVVKNIGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSEIENQDNNDHMAYWLSNTSALLFLLQRSLKGAGATGATPHRKPPAPTSLFGRMTMGFRSSPSFANLSASALDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNLKKELSSFISSCIQAPRTSKGVLRSGRSFGKDSTASHWQSIIDSLSTFLSTLKENFVPPILVKEIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPDVISSMRVLMTEDSNNAVSNSFLLDDNSSIPFSVDDLSTSLQEKDFTDVKPADELLEHPAFEFLHE >ONH93072 pep chromosome:Prunus_persica_NCBIv2:G8:19530905:19543348:-1 gene:PRUPE_8G211100 transcript:ONH93072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMSLVVGSLVWLEDPEEAWIDGEVVEVKGEQIKVLCTSGKTVVVKASNIYPKDAEAPPCGVDDMTKLAYLHEPGVLDNLRSRYDINEIYTYTGNILIAVNPFRRLPHLYDSHMMAQYKGADFGELSPHPFAVADAAYRLMINDGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAVAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQSGRISGAAIRTYLLERSRVCQVSNPERNYHCFYMLCAAPPEDVKRYKLGHPKTFHYLNQSNCYELDGVDDSEEYIATRRAMEVVGMSSNEQDAIFRVVAAILHLGNIEFAKGKEMDSSMPKDEKSWFHLKTAAELFMCDVKALEDSLCKRVIVTRDETITKWLDPEAAAISRDALAKIVYSRLFDWLVDKINSSIGQDPQSKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFTKPKLSQSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASNCSFVSGLFTSLVEDSSKTSKFSSIGSRFKQQLQQLLETLSSTEPHYIRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTRKAFDEFIDRFGLLAPEVLDRSTDEVNACERLLEKVGLEGYQIGKTKVFLRAGQMAELDARRSEVLGRSASIIQRKVRSYLAKRSFVLLRISAIRLQAACRGQLARHVYQGMRREASCLMIQRHLRMYLARKAFKELYCSAVSIQTGMRGMTARNELRFRRQTRAAIIIQSQCRRFLARLHYMKTKKAAITTQCAWRGRVARAELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENEKLQSALQDMQVQFKETKAMLEKEREAVRRAEEKVPIIQEVPVVDHAMMEKLTNENEKLKALVNSLEKKIDETEKKYEEANKTSEERLKQALEAESQIVQLKTTMQRLEEKFSDIEYENQTLRRHQLSTPVKKPPEHPPTLEPQRVENGHHVSEENRDNEPQSATPVKKFGTESDSKLRRSVIERQHESVDALINCVVKNIGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSEIENQDNNDHMAYWLSNTSALLFLLQRSLKGAGATGATPHRKPPAPTSLFGRMTMGFRSSPSFANLSASALDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNLKKELSSFISSCIQAPRTSKGVLRSGRSFGKDSTASHWQSIIDSLSTFLSTLKENFVPPILVKEIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPDVISSMRVLMTEDSNNAVSNSFLLDDNSRVVYKLLL >ONH93715 pep chromosome:Prunus_persica_NCBIv2:G8:21479443:21483871:-1 gene:PRUPE_8G248700 transcript:ONH93715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKEDVESDLQEGITDPLMRVEKNLADGGSSRGGNQWMVYLSTFVAVCGSYEFGCCIGYSSPTQSAIREDLSLTLAEYSVFGSIVTFGAMIGAITIGPISDFLGRKGALRVSCAFCVAGWLAIYFSKGALSLDIGRLATGYGMGAFSYVVPVFIAEIAPKNLRGRLTAINQLMIISGVSISFIIGVLVSWRALALIGLVPCAVTIFGLFFIPESPRWLAKTGRQREFEMALQKLRGKDADISHEAAEIQDYILTLDRLPKAKLLDLFQRRYLRSVMIAVGLMVFQQLGGINGVCFYVSNIFEQAGFSSSVGTITYAILQVVVTAVAASVMDKAGRKPLILVSASGLVLGSLLTAISFFLKVHELALTATPILAVTGILIYIGSFSIGMGAVPWIVMSEIFPINIKGQAGSFATLVNWLGAWLCSYTFNFLMSWSSYGTFILYAAINALAILFVILMVPETKGKTLEQIQGDINK >ONH91088 pep chromosome:Prunus_persica_NCBIv2:G8:12490270:12497283:1 gene:PRUPE_8G091900 transcript:ONH91088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFYGSDERRERKSDFENSEDERRTRIGTLKKKAINASTKFKHSLKKKGSRRKSDGRVSSVSIEDVRDVEELQAVDAFRQALIMDELLPEKHDDYHMMLRFLKARKFDVEKAKHMWADMLQWRRDFSADTIMEDFEFKELNEVLKYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVQEFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGFKNFTKSARDLITRLQNVDGNNYPETLWQMFIINAGPGFRLLWNTVKTFLDPRTTSKIHVLGNKYQNKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEILKMVLNGEARRARQVVKVLNSEGKVLAYAKPHYPMVKGSDTSTAESGSEAEDIASPKALKNYSHLRLTPVREEAKVIGKANCAGSFSGYDEYVPMVDKAVDAGWKKQVALQRIHAAKGTLQQPDIPTNPEGIRGRVLVALMAFFLTFLTLFRSVASRVTKKLPNTLDNHDQSIPKPAFDATNKEEGRPPSPTAPFTGADLLPSVLKKLVELEEKVDILQAKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDRQEEAKLQKKKFVCW >ONH91087 pep chromosome:Prunus_persica_NCBIv2:G8:12490258:12497283:1 gene:PRUPE_8G091900 transcript:ONH91087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFYGSDERRERKSDFENSEDERRTRIGTLKKKAINASTKFKHSLKKKGSRRKSDGRVSSVSIEDVRDVEELQAVDAFRQALIMDELLPEKHDDYHMMLRFLKARKFDVEKAKHMWADMLQWRRDFSADTIMEDFEFKELNEVLKYYPHGHHGVDKEGRPVYIERLGKVDPNKLMQVTTMDRYVKYHVQEFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGFKNFTKSARDLITRLQNVDGNNYPETLWQMFIINAGPGFRLLWNTVKTFLDPRTTSKIHVLGNKYQNKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEILKMVLNGEARRARQVVKVLNSEGKVLAYAKPHYPMQVKGSDTSTAESGSEAEDIASPKALKNYSHLRLTPVREEAKVIGKANCAGSFSGYDEYVPMVDKAVDAGWKKQVALQRIHAAKGTLQQPDIPTNPEGIRGRVLVALMAFFLTFLTLFRSVASRVTKKLPNTLDNHDQSIPKPAFDATNKEEGRPPSPTAPFTGADLLPSVLKKLVELEEKVDILQAKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDRQEEAKLQKKKFVCW >ONH92839 pep chromosome:Prunus_persica_NCBIv2:G8:18921155:18921430:-1 gene:PRUPE_8G199100 transcript:ONH92839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSKRKRTKKINFGLYSSLVMKYSLSEIFSLHPVSHTRRWILGPHDALTSVHPVVQSPSHASYRCRKTSLCATGSRRNTSGLFRYIYGPP >ONH91177 pep chromosome:Prunus_persica_NCBIv2:G8:12910103:12910996:1 gene:PRUPE_8G097100 transcript:ONH91177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHQNVPLGFRFHPTDKEIVGSFLHTLLVDRNPLIPPYSNFIRACNLFGNKLEPSEIWNKYGGPQLVDQDLYFLSGLKKLTPKRMDRSIGHGGTWSETESFKLIEYDNGNPNPIGRKRKFRYENKGSEEHTWWLLDEYSLFVGPKNDYNDRSYDFDFVICRMRKNDRALSKEINLKRSSQDQVQKKRSTNKKMKKDHQMGSTEESSSLVQQGYSSSITGGELVVSYDDVDPNDLTFFENNPIFNIEQILCETKVENPCSPSNFENVNSSYSKWTESYMEELMACIEDINCTSDSCR >ONH93690 pep chromosome:Prunus_persica_NCBIv2:G8:21407783:21408708:1 gene:PRUPE_8G247400 transcript:ONH93690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKGNPSQPVGICHRLITFIMNSHIARSLVKRVTLGHPIPQDLQPMIPAADPNEAGQTMYLQALQTPENESVFEIQIHYKQTDEDFEESWTQVDKLGPIERTKEAEIQDKGPREVKLISTATSQAKEPKKSSSIEDVGELEKEKNNKKKGKGVISGEKLTLAMEVEDEISRLGLRRVRPLFHVAPNINEKSDAFIRSRKEAMRRNYGLEPRIS >ONH93605 pep chromosome:Prunus_persica_NCBIv2:G8:21165783:21166972:1 gene:PRUPE_8G241900 transcript:ONH93605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAADSLDGSVIFHAINEISGFVLYMHQQIPSTCDFQEMALHQNEVKPTLRRKHAGQMREAKRGIRRFQKLMRQVSDLQTALKLMISEVPQFQEAILVIGASPLRPHHVYELSFSSASAVPSSSGEHEHEKELDFGKSRAAEVLCRKAIRGLISKGAGCASYPGPSKLFLLVKAPPCLNLPLHFLPKRDFRYSNKIVPLRLKIKRKTQDQSMDALPVSSETGSSDGLVESAPNDFIWFQCRHVIKGLASNTPTEAE >ONH90347 pep chromosome:Prunus_persica_NCBIv2:G8:5253086:5254447:-1 gene:PRUPE_8G048300 transcript:ONH90347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVLFLISTLAILAFATFLASASDPSPLQDFCVAINDTKSPVILNGIAVFVNGKFCKDPKLANANDFFLSGFQIPRSTQNPVGSTVTPASVDQIAGLNTLGISLARIDFGPNGLNPPHTHPRGTEILVVVEGTLYVGFVTSNGDGNRLFTKVLNKGDVFVFPIGLIHFQLNVGHVNAVALAALSSQNPGVITIANAVFGSKPPINPDVLAKAFQVDNKVVDYLQKQFWYNNS >ONH89606 pep chromosome:Prunus_persica_NCBIv2:G8:314027:314639:1 gene:PRUPE_8G003800 transcript:ONH89606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLKNISDLMSLLATTSKTFIHFIFSVGEPKLEPVQEFSSSGHVKSVIYKKNFCRQPKQFLKCWMNPYEVTIDSIEVFKHSVGTTKAVRLNGIVVLKFKQIKERRDCDTKNSRLQLWPTRKEQACSLRGHPPLGVQKIDLGWRSGIAW >ONH91075 pep chromosome:Prunus_persica_NCBIv2:G8:12450558:12455112:1 gene:PRUPE_8G091500 transcript:ONH91075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITTMSQAMQLHAQILKAGNQQNQNLSKLFTFSALSPSGDLSYARLILDSFPAPNSYYYNTMIRAYSQSSDPIQALLLFLPMLEDPTHHTPRPDKFTYPFLLKSCARLRLTHEGKQIHGLIAKSGLEWDRYIQHSLIHMYCLSGESGLAYMVFDRMPDRDVVSWTSMIDGLVEDGRPIEAIRLFEQMVDDGVEVNDATVVSVLRACAETGALGVGRRVHGVVEGRGIGLKANVNSALIDMYAKCACIKSAWQVFDDIVDKDVFAWTAMISGLASHGHCQDAIDLFSKMQGFGIKPDERTMTAVLSACRNAGQVAEGYAYLRSMQNEYGVRPTIQHYGCMVDLLARAGHLKEAEEFIRKMPIEPDVVMCRNLIWACKVHKDTERAERLIIHLRQPKMGSNDSGSYVLIGNVYASAGKWHDKARVRELMKQKGLVKPPGFSRIELDGEIHEFAVGDSGHPEAETIYRKLEEIEDNLRKEGYDPKLSEVLLEIDDEEKAFQLSHHSEKLALAFGLIRTSPGSEIRIVKNLRSCENCHAVMKLISKVYQREIIMRDRIRFHHFKNGDCSCRDYW >ONH91074 pep chromosome:Prunus_persica_NCBIv2:G8:12450558:12453236:1 gene:PRUPE_8G091500 transcript:ONH91074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITTMSQAMQLHAQILKAGNQQNQNLSKLFTFSALSPSGDLSYARLILDSFPAPNSYYYNTMIRAYSQSSDPIQALLLFLPMLEDPTHHTPRPDKFTYPFLLKSCARLRLTHEGKQIHGLIAKSGLEWDRYIQHSLIHMYCLSGESGLAYMVFDRMPDRDVVSWTSMIDGLVEDGRPIEAIRLFEQMVDDGVEVNDATVVSVLRACAETGALGVGRRVHGVVEGRGIGLKANVNSALIDMYAKCACIKSAWQVFDDIVDKDVFAWTAMISGLASHGHCQDAIDLFSKMQGFGIKPDERTMTAVLSACRNAGQVAEGYAYLRSMQNEYGVRPTIQHYGCMVDLLARAGHLKEAEEFIRKMPIEPDVVMCRNLIWACKVHKDTERAERLIIHLRQPKMGSNDSGSYVLIGNVYASAGKWHDKARVRELMKQKGLVKPPGFSRIELDGEIHEFAVGDSGHPEAETIYRKLEEIEDNLRKEGYDPKLSEVLLEIDDEEKAFQLSHHSEKLALAFGLIRTSPGSEIRIVKNLRSCENCHAVMKLISKVYQREIIMRDRIRFHHFKNGDCSCRDYW >ONH91322 pep chromosome:Prunus_persica_NCBIv2:G8:13768244:13772288:1 gene:PRUPE_8G106500 transcript:ONH91322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCLGSDDEYYYSDQDSLDGIENEDSDPQWIPPKGTTTKVITKESLLSAQKEDLRRVMDLLFLREHHARTLLIHYRWDVEKLFAVLVEKGKPCLFSEAGVTLVEHEDLDPPLPNSPVMCAICIEDVPSVDTTKMDCGHCFCNSCWTEHFVVKINEGQSKRIRCMAHKCNAICDESVVRNLVSKRHPHLAEKFDRFLLESYIEDNKRVKWCPSTPHCGNAIRVEDDEFCEIECTCGLQFCFSCLSQAHSPCSCLMWELWAKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSSIAGHSCGRYKEDKEQNAERAKRDLYRYMHYHNRYKAHTDSFKLESNLKESIQKKVAVSEEKDSRLRDFSWVNNGLSRLFRSRRVLSYSYPFAFYMFGEELFKDEMTKEEREIKQHLFEDQQQQLEENVEKLSKFLEAPFDDYKENEVMDIRMQVINLSAITDTLCQKMYDCIESDLLGSLQLGIHNIAPYRSKGIEKASELTVCWVNKANNADKDLQLHCGTSGNVLI >ONH91320 pep chromosome:Prunus_persica_NCBIv2:G8:13768244:13774081:1 gene:PRUPE_8G106500 transcript:ONH91320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCLGSDDEYYYSDQDSLDGIENEDSDPQWIPPKGTTTKVITKESLLSAQKEDLRRVMDLLFLREHHARTLLIHYRWDVEKLFAVLVEKGKPCLFSEAGVTLVEHEDLDPPLPNSPVMCAICIEDVPSVDTTKMDCGHCFCNSCWTEHFVVKINEGQSKRIRCMAHKCNAICDESVVRNLVSKRHPHLAEKFDRFLLESYIEDNKRVKWCPSTPHCGNAIRVEDDEFCEIECTCGLQFCFSCLSQAHSPCSCLMWELWAKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSSIAGHSCGRYKEDKEQNAERAKRDLYRYMHYHNRYKAHTDSFKLESNLKESIQKKVAVSEEKDSRLRDFSWVNNGLSRLFRSRRVLSYSYPFAFYMFGEELFKDEMTKEEREIKQHLFEDQQQQLEENVEKLSKFLEAPFDDYKENEVMDIRMQVINLSAITDTLCQKMYDCIESDLLGSLQLGIHNIAPYRSKGIEKASELTVCWVNKANNADKDLQLHCGTSGGSTEPEQPSGSGSSDESGCSSRKRARKGALGGGFFDLNLPAEVIDKSDS >ONH91321 pep chromosome:Prunus_persica_NCBIv2:G8:13768244:13774081:1 gene:PRUPE_8G106500 transcript:ONH91321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCLGSDDEYYYSDQDSLDGIENEDSDPQWIPPKGTTTKVITKESLLSAQKEDLRRVMDLLFLREHHARTLLIHYRWDVEKLFAVLVEKGKPCLFSEAGVTLVEHEDLDPPLPNSPVMCAICIEDVPSVDTTKMDCGHCFCNSCWTEHFVVKINEGQSKRIRCMAHKCNAICDESVVRNLVSKRHPHLAEKFDRFLLESYIEDNKRVKWCPSTPHCGNAIRVEDDEFCEIECTCGLQFCFSCLSQAHSPCSCLMWELWAKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSSIAGHSCGRYKEDKEQNAERAKRDLYRYMHYHNRYKAHTDSFKLESNLKESIQKKVAVSEEKDSRLRDFSWVNNGLSRLFRSRRVLSYSYPFAFYMFGEELFKDEMTKEEREIKQHLFEDQQQQLEENVEKLSKFLEAPFDDYKENEVMDIRMQVINLSAITDTLCQKMYDCIESDLLGSLQLGIHNIAPYRSKGIEKASELTVCWVNKANNADKDLQLHCGTSGGSTEPEQPSGSGSSDESGCSSRKRARKGALGGGFFDLNLPAEVIDKSDS >ONH92063 pep chromosome:Prunus_persica_NCBIv2:G8:16498925:16502195:-1 gene:PRUPE_8G151700 transcript:ONH92063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPPNKSSSSPIASKSNPNLRNSEIGNPMRRSFTGNSFAKPSINANPRSFNPNTPANSPSENLRRSSMGRATVVTFRDSEDKENGKDQNWKQMRVRSPSGSNGTKNFMSPTISAASKVTTSPRKKILAERNEPVRASSVSFSDLKSSCLNPRVEDPEHTKVGLAPHLVFTTPKTQKDIDSKELLCSKNEPEEEPVCVKASDEPDSVNLDPSFKISPPPCCPKSSPVIAPLDDDPAAHPYDPKTNYLSPRPQFLHYRPNPRIEYYLSKEREGKRLEDNFISGSSSDTDTTEETQSEYSQKELEDVTSDAVVKEEQQLPEENAEEEEEEEKQGVNVSEPCDISITNTFMSKEEGAEVKWSSKTGFFWKSKFTALLLLLVVAFWSISVIHSPVIDSSVLKDLSFLKEYDHSEVAEFARSSLDGLARNFRVWSANSVSFISELILHLRGAHDLAPLQYCNLTALMEDVRVDGYSVFDHSDKGMERKYEFDVVDIEALGEKGRTEIGAAENTVEVYADPEYDEQVNEEAETPVEIQVVEEKGQPEIGAVESTVEVVRVDPEHEEQVDQEAEAAVNIEEVSEGNNNFISEEVVLQAAHADLVELESSKVEQSQEENVGADHIDSEPESNVSMREEIVLISLAEKVDTVVSGIQELESEMSTGAEVESFKDHSPISSKVDASCENVQTSEEVDLTVDETEFKVSMVTMLGIALLVSALIGSTAFIYGKKRKSTASNPAISVVQPSLTRKLDASPTVPFSTEHTFQERPSSWNWIGEPCPSEMSNIQKSSSYRTKGLKAFDKAESQEMPRKNHRRESLTSIDSSMGSPSYGSFTTYEKIPIKHGEEEIVTPVRRSSRIRKQVTSP >ONH92594 pep chromosome:Prunus_persica_NCBIv2:G8:18236573:18239356:1 gene:PRUPE_8G183000 transcript:ONH92594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKGILITFLVVPFAIMLHQAAIGTAESAAKPKDFPFPVFDEKTDQVAFHFRGYSSIDHGALQLTPDTGNQDFGLENKSGRIMYHRAYRLWLSDIDDDDVVASFNSTFLVNIYRDIERNAGEGFAFLIASDINIPEQSHGQWLGLTNASTDGNATNHIVAIEFDTEKQDFDPDGNHIGLNINSVHSNKTVSLNPLGIEISPEIATNYSVWVEYDGRSKVMEVYMAKHSRANPPNKPNTPLLSETINLRHYLKEESYFGFAASTGSSAIQLNCVLEWDLKVEELHPRKDWTSLKIAVGVGVPTMALLLILGFWLGIRYVKKRKRTRVEESNVLGTLKRLPGMPREFKYKELKEATNNFHESMRLGQGGFGIVYRGTLHDKDHADTKTSTEIAVKKFSRDNIKGKDDFLAELTIIHRLRHKHLVRLVGWCYEKRKLLLVYDFMPNGSVDKHLYETSSQNTLNWKHRCKILAGVASALHYLQNEYDQKVVHRDLKASNILLDSDFNARLGDFGLARALDQERNSYAELELAGVPGTMGYVAPECFHTGKATPESDVFGFGAVVLEIVCGRSPGIKILHEHHQYSLVDWVWMMHREGRIEEAMDKRLNDDYVFDEANQLLLLGLACSHPIASERPQTQAICQIIAGTTPAPSVPPFKPVFMWPSMDTAYSSTESTVSNVILSSITVSY >ONH93670 pep chromosome:Prunus_persica_NCBIv2:G8:21336961:21339085:1 gene:PRUPE_8G245700 transcript:ONH93670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGKTTRGAVLGRILVLFTLVLDASGDFVFPVHHKFKGQRSLSALKAHDARRHGRILASAGSGSTSAVDLEIGGNGHPSDTGLYFAKLGIGSPSKDYFVQVDTGSDILWVNCIGCTNCPKKSDIGVKLTLYDPKSSSTSQTVTCDQDFCTDKYSGQLPGCRPTLLCEYDVTYGDGSATAGYFVKDNIEFDERTNGSMVFGCGDKQSGQLGKSSEALDGILGFGQANSSVISQLAASGKVRKQFAHCLDNVNGGGIFAIGEVVQPKVKNTTPLVPRQAHYNVAMEAVEVGGNVVEQLPTGIFDTGDKEGTIIDSGTTLAYLPEEVYQPVMSKIFASQPDLKLHTVDEQFKCFQYSKSVDDGFPVVKFHFKNSASMTVYPHDYLFQLKDDVWCSGWQSSGMKSGNGDSLTLLGDLVLSNKLVIYDLENQEIGWLDYNCSSSIKVRDEKSGGIVTIGAHNLSSASTLTIGRLLKFLLLISSVLLQISSLY >ONH93858 pep chromosome:Prunus_persica_NCBIv2:G8:21897729:21899810:1 gene:PRUPE_8G256800 transcript:ONH93858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIEDGAIRVSNSEPVNLPHPFFFLLLNHNPGPLTQTAILSPFTSSQPPIPKLVPPTPLLSVQPPQIVSHDASALTSYAFRDLPDELVLPQYASLGILSCPTKRKKK >ONH89713 pep chromosome:Prunus_persica_NCBIv2:G8:935723:938122:-1 gene:PRUPE_8G011500 transcript:ONH89713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLNANPHEHPFLFNSGTEKITSDTSHDTWQAVNYASRAQKTAQNYANYRSWNSMGARINEGASTDDDEYDSRVCSPPLWETASRVNNYRSLSPASRTQAIARGQREMMEMVKNMPESCYELSLKDLVEHKKPMVDEEDDRDQNEEEDDKSTQVLVRRGNLNDEGNYKKKKSEKKKLVMRSGSIDSGGFLLKMVFPISLGLNKKNMNKINSSNNNNNNKMKKSDSVVVGANSNNSTSAKVSPKPQGQGHGQGVDGSVKGGVESEWWKMKRLSVSERSESGVSSINSGSMKSSGSSSISSSSTRSISSSSRRKKGGCWSFIMLKKNKRRD >ONH92598 pep chromosome:Prunus_persica_NCBIv2:G8:18248039:18250018:1 gene:PRUPE_8G183400 transcript:ONH92598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFVLLISRQGKVRLTKWYSPYTQKERTKVLRELSGVILARGPKLCNFVDWRGYKVVYKRYASLYFCMCIDQEDNELEVLEMIHHYVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKTVARLIAAQDSLVETAKEQASSISNIIAQATK >ONH94076 pep chromosome:Prunus_persica_NCBIv2:G8:22381213:22383839:-1 gene:PRUPE_8G268700 transcript:ONH94076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIPLSVRITLTITTTLLVMLLHAYASPVVLAAAVSKDETTCTMCDECHDPCAVPSSPPPPSPVIKECPPPPAPPSPPPPSPPPPALPECPPPPPALPECPPPPQPPIVLPPPCDVCGGTPSSSSPPPPRADVPSILPDRPPLPPSVPGNPMAPSLYPPDIFSPPQRPYPSKSVHSRMHSSCSTLASVFVLFTSSCFFFFF >ONH90381 pep chromosome:Prunus_persica_NCBIv2:G8:5542235:5543659:-1 gene:PRUPE_8G050900 transcript:ONH90381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFEFQTQKRIRSSRVSETQRSVAVEEKSTVGLPLRKGLASSATKNANPESEDLGLDEICQTQKRKRSSRVSETQRNVAVEEKSTVGLPLCMGLASSATKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVGLPLRKIKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSAADFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPERRPNGKSSSACTVLMQNQGVVGVSDSHSVKSTKDDDLGTLVHQAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRETARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQEGDWEEGEIRS >ONH90378 pep chromosome:Prunus_persica_NCBIv2:G8:5538454:5543874:-1 gene:PRUPE_8G050900 transcript:ONH90378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFEFQTQKRIRSSRVSETQRSVAVEEKSTVGLPLRKGLASSATKNANPESEDLGLDEICQTQKRKRSSRVSETQRNVAVEEKSTVGLPLCMGLASSATKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVGLPLRKIKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSAADFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPERRPNGKSSSACTVLMQNQGVVGVSDSHSVKSTKDDDLGTLVHQAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRETARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQEGDWEEVFV >ONH90380 pep chromosome:Prunus_persica_NCBIv2:G8:5539405:5543813:-1 gene:PRUPE_8G050900 transcript:ONH90380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFEFQTQKRIRSSRVSETQRSVAVEEKSTVGLPLRKGLASSATKNANPESEDLGLDEICQTQKRKRSSRVSETQRNVAVEEKSTVGLPLCMGLASSATKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVGLPLRKIKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSAADFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPERRPNGKSSSACTVLMQNQGVVGVSDSHSVKSTKDDDLGTLVHQAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRETARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQEGDWEEGEIRS >ONH90377 pep chromosome:Prunus_persica_NCBIv2:G8:5536735:5543818:-1 gene:PRUPE_8G050900 transcript:ONH90377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFEFQTQKRIRSSRVSETQRSVAVEEKSTVGLPLRKGLASSATKNANPESEDLGLDEICQTQKRKRSSRVSETQRNVAVEEKSTVGLPLCMGLASSATKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVGLPLRKIKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSAADFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPERRPNGKSSSACTVLMQNQGVVGVSDSHSVKSTKDDDLGTLVHQAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRETARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQEGDWEEVFV >ONH90382 pep chromosome:Prunus_persica_NCBIv2:G8:5539395:5543813:-1 gene:PRUPE_8G050900 transcript:ONH90382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFEFQTQKRIRSSRVSETQRSVAVEEKSTVGLPLRKGLASSATKNANPESEDLGLDEICQTQKRKRSSRVSETQRNVAVEEKSTVGLPLCMGLASSATKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVGLPLRKIKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSAADFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPERRPNGKSSSACTVLMQNQGVVGVSDSHSVKSTKDDDLGTLVHQAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRETARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQEGDWEEGEIRS >ONH90379 pep chromosome:Prunus_persica_NCBIv2:G8:5538414:5543852:-1 gene:PRUPE_8G050900 transcript:ONH90379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFEFQTQKRIRSSRVSETQRSVAVEEKSTVGLPLRKGLASSATKNANPESEDLGLDEICQTQKRKRSSRVSETQRNVAVEEKSTVGLPLCMGLASSATKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVGLPLRKIKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSAADFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPERRPNGKSSSACTVLMQNQGVVGVSDSHSVKSTKDDDLGTLVHQAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRETARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQEGDWEEVFV >ONH90245 pep chromosome:Prunus_persica_NCBIv2:G8:4368580:4374367:-1 gene:PRUPE_8G042100 transcript:ONH90245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEKPKCQVSNCVKSSKVCGKRHLLLLPGFQLYKKPVILRPSHFSLKSSTTKLSRSLTRPPKNKKKAEQKPSSICTVEKKLLSYGEGKKASFLRDQLSCLLSCGLGRGSLLVISRTKLLVYKFKQRKDI >ONH94105 pep chromosome:Prunus_persica_NCBIv2:G8:22463414:22467844:-1 gene:PRUPE_8G270800 transcript:ONH94105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASISLICLFLVLGVFQQSCQGSDSFACNPKDARTKDLPFCRVTLPIQDRVRDLLARLTLQEKVKLLVNAALPVPRLGIKGYEWWSEALHGVSNVGPGTKFGGDFPGATSFPQVITTAASFNASLWEAIGRVVSDEARAMYNGGVAGLTFWSPNVNILRDPRWGRGQETPGEDPLVVGRYAASYVRGLQGNDGNRLKVAACCKHFTAYDLDNWNGVDRFHFNARVSKQDMEETFDVPFKMCVKEGKVASVMCSYNQVNGVPTCADPNLLKKTVRAQWRLDGYIVSDCDSVGVFYDSQHYTSTPEEAAADAIKAGLDLDCGPFLGVHSEEAVKKGLLKEVDINNALVNTVTVQMRLGMFDDNAAGSSSSYARLGPKDVCSPAHQDLALEAATQGIVLLKNHGPSLPLSTRRHRTLAVIGPNSDATLTMIGNYAGVACGYTTPLQGLGKYASSTLHQPGCADVACANDTLFGAAIDAARQADATVLVMGLDQSIEAEFRDRVGLLLPGRQQDLVSKVAAASKGPTVLVLMSGGPIDVSFANNDPRIGGILWAGYPGQAGGTAIARVLFGTTNPGGKLPMTWYPQEYLRNLAMTAMEMRSSPSKGYPGRTYRFYKGPVVYPFGHGLSYTKFVQTIPNNVPTVTVVSIALDGRHHTTSLNKTTTTTINDSSSYGKAIRVTHAKCSKLSVGLDVDVRNVGNRDGSHTLLVLSSPPRQGGWAPPHKQLVAFEKVYVPANTQRRVRINIHVCKHLSVVDGSGIRRIPMGRHKLHIGDLMHSVSLQPATL >ONH94106 pep chromosome:Prunus_persica_NCBIv2:G8:22463414:22466369:-1 gene:PRUPE_8G270800 transcript:ONH94106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGGVAGLTFWSPNVNILRDPRWGRGQETPGEDPLVVGRYAASYVRGLQGNDGNRLKVAACCKHFTAYDLDNWNGVDRFHFNARVSKQDMEETFDVPFKMCVKEGKVASVMCSYNQVNGVPTCADPNLLKKTVRAQWRLDGYIVSDCDSVGVFYDSQHYTSTPEEAAADAIKAGLDLDCGPFLGVHSEEAVKKGLLKEVDINNALVNTVTVQMRLGMFDDNAAGSSSSYARLGPKDVCSPAHQDLALEAATQGIVLLKNHGPSLPLSTRRHRTLAVIGPNSDATLTMIGNYAGVACGYTTPLQGLGKYASSTLHQPGCADVACANDTLFGAAIDAARQADATVLVMGLDQSIEAEFRDRVGLLLPGRQQDLVSKVAAASKGPTVLVLMSGGPIDVSFANNDPRIGGILWAGYPGQAGGTAIARVLFGTTNPGGKLPMTWYPQEYLRNLAMTAMEMRSSPSKGYPGRTYRFYKGPVVYPFGHGLSYTKFVQTIPNNVPTVTVVSIALDGRHHTTSLNKTTTTTINDSSSYGKAIRVTHAKCSKLSVGLDVDVRNVGNRDGSHTLLVLSSPPRQGGWAPPHKQLVAFEKVYVPANTQRRVRINIHVCKHLSVVDGSGIRRIPMGRHKLHIGDLMHSVSLQPATL >ONH93861 pep chromosome:Prunus_persica_NCBIv2:G8:21904775:21906218:1 gene:PRUPE_8G257100 transcript:ONH93861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVGLTGGIASGKSTVSNLFKTHGIPVVDADLVARDVLKKGTGGWKKIVSAFGEDILQPDGEVDRPKLGQIVFSNPEKRQLLNRLLAPYISSGIFWEMLKLWMKGFKVIVLDVPLLFEAKMDKWTKPIIVIWVDPETQLQRLVLRDRTSEDVAQNRINAQMSLDLKRTRADIVIDNTGSLEDLKEQFQNVLFEVTKPLTWTEFWLSRQGAASVLVSIIVGVLIFRKVYNTESL >ONH89553 pep chromosome:Prunus_persica_NCBIv2:G8:148426:152763:1 gene:PRUPE_8G001900 transcript:ONH89553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRGDSMSEAEAVVEDMSVDWRGRPCKPTKHGGMKAAVFVLGLQGFEMMAIAAVGNNLITYVLNEMHFSLSKSANIVTNFIGTVFLLSLFGGYLSDSYLGSFWTMIIFGLVELSGFMLLCVQAHLPELRPPPCKIMSHEYCPEAKGYKALIFFAAIYLVALGSGCLKPNIISHGADQFRRDDSKQSKKLSSYFNCAYFAFCIGELIALTVLVWVQTHSGMDVGFGVSAAAMAMGLICLTFGTPLYRNKPPRGSIFTPIAQVFVAAFTKRKQICPSNTEMLHGNQNNVPNHLFVPMSPNISPLLHTEKFRFLDKACIKIEDGTQRNESPWRLCTVAQVEQVKIIISVVPIFACTIIFNTILAQLQTFSVQQATAMNTRITRGFKIPPASLQAIPYIMLIFVVPLYEIVFVPAARKLTGRDSGISPLQRVGTGLFIATFSMVSAALIEQKRRNLALSSNEPLSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSLEGMQSFLTAMTYCSYSFGFYLSSILVSVVNKLTSTSSRGGWLSDNDLNRDRLDRFYWLLAALSLINFFNYLFWSNWYSYNPSLSLPSSPKQSYGKDLEINNFNPSKHVEAHNIKT >ONH89554 pep chromosome:Prunus_persica_NCBIv2:G8:149788:152763:1 gene:PRUPE_8G001900 transcript:ONH89554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCVQAHLPELRPPPCKIMSHEYCPEAKGYKALIFFAAIYLVALGSGCLKPNIISHGADQFRRDDSKQSKKLSSYFNCAYFAFCIGELIALTVLVWVQTHSGMDVGFGVSAAAMAMGLICLTFGTPLYRNKPPRGSIFTPIAQVFVAAFTKRKQICPSNTEMLHGNQNNVPNHLFVPMSPNISPLLHTEKFRFLDKACIKIEDGTQRNESPWRLCTVAQVEQVKIIISVVPIFACTIIFNTILAQLQTFSVQQATAMNTRITRGFKIPPASLQAIPYIMLIFVVPLYEIVFVPAARKLTGRDSGISPLQRVGTGLFIATFSMVSAALIEQKRRNLALSSNEPLSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSLEGMQSFLTAMTYCSYSFGFYLSSILVSVVNKLTSTSSRGGWLSDNDLNRDRLDRFYWLLAALSLINFFNYLFWSNWYSYNPSLSLPSSPKQSYGKDLEINNFNPSKHVEAHNIKT >ONH90438 pep chromosome:Prunus_persica_NCBIv2:G8:6086989:6088285:-1 gene:PRUPE_8G053800 transcript:ONH90438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQITSTEAQNSSSSSSSLPLNGRVAIVTGGSRGIGRAIATHLHSLGAKLVINYASSNSTQADQLASELNSCENTQSKAIAVRADVSDPEQVKHLFDRAEQEFETQAHIVVNCAGILNPKYPTLAETSVEDWDKTFSVNARGAFLVSREAARRLRRGGGGRIIAISTSLVGGLMPGYGAYAASKAAAETMTKILAKELKGSGITANCVAPGPVATELFFAGKSEETVKKIAEACPLNRLGEPKDVAQVVGFLAGDAAEWVNGQVIRVNGGYVI >ONH92270 pep chromosome:Prunus_persica_NCBIv2:G8:17230155:17231515:1 gene:PRUPE_8G166100 transcript:ONH92270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKKMITLKSADGEIFEVEEAVALESQTIKHMAEDDCVDNAIPLPNVESHVLAKVIEYCRKHVELAANDENKDTVKEFNDDFVNEIKADQSVLFDTILAANYLNIKSLLDLTCQTVADMIKGKAPEEIRKTFNIHNDFTPEEEAEIRKENEWAFE >ONH91202 pep chromosome:Prunus_persica_NCBIv2:G8:13010574:13014160:1 gene:PRUPE_8G098400 transcript:ONH91202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVIGNKVDVDGGNSRVVSEKKARAWCASKGNIPYYETSAKEGINVEEAFQCIAKDALKSGEEEEIYLPDTIDVGSSNQPRSTGCEC >ONH93755 pep chromosome:Prunus_persica_NCBIv2:G8:21578116:21585970:-1 gene:PRUPE_8G250800 transcript:ONH93755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAKEEPSCSGAQVIDLVSAVKELHGLNSQELNKLLKESDNFTIHYVTEKGSILKKIDAEKLAGFLPLHLIAVLMSSDRDEALFRYLSCGIRLLHSLCDLAPRHAKLEQVLLDDVKVSEQLLDLVFYILIVFGGYEQKNHSFGGAPLMYSALVACSLHLLTGCISSQWQDLVQVLLAHPKVDIFMDAAFGAVSVSIKFLNIKLSAQHDEFCTKSSLTTEQIVHSLCQQCEASLQFLQLMCQQKLFRERLLRNKELSGKGGVLFLAQAILKLNAVPQFAASARVVAAVSRLKARILSILLNLSEADSISYLDEVANSPGSLDLAKSVALEILDLLKTAIGKDPKCFAACSDRSYPMGLLQLNAMRLADIFSDDSNFRSYITVYFTKVLTAIFSLPHGDFLTSWCSSEHPEKEEDGSIEYDSFATAGWVLDVFSSIDLQNSPTLECTVTPISVTQASYSHQRTALFVKIIANLHCFIPTICEEQERNLFVNKFLECLQMDLSNSLPGFSFASDTPKPATVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFSKQLQALITSTEFEENRVQEKKHEESIYRDKFAKLNISDHHQEAQSTGGCSPPLLSKQPPNLNNRSGNLEEMSENSAFQDVDQVDANSEHMDQGNDVMREDKGISGGSASGRFGAIDLDAHNVETSGSDTSSTRGKNAVDQMENSEFPKPSAHIKESGYGGTAEDEKVETVQCEEKQRRKRKRTIMNDTQVELIERALLDEPDMQRNAASIQSWAEKLSFHGSEVTCPQLKNWLNNRKARLARTAKDVRPAPEADNALQDKQGGRGLRSNNSPDTAGGDASSQLNVRRDPQIMLRTGIREISETNVAEAAAPRGPAEFDLCKQGDSIGLMGANGEEIGRGKVFQVRGQWYGRNLEELRAYVVDVKDLKARRATRLPHPSVATGVSFEEAETKIGVMRVLWDSNMTFTLRPKRAM >ONH89724 pep chromosome:Prunus_persica_NCBIv2:G8:1009850:1012714:1 gene:PRUPE_8G012400 transcript:ONH89724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFSKQLHGLHKTSLSYYSHLIDHCLSLKSLNFAKVIHAQLIKVGFNSHTFLGNRCLDVYFRFGNTVYDALKVFDEITDKNIVSWNICLKGFCRFGELQRAHNMFAEMPVRDVVSWNSMISGCVSGGFFDNAFCLFSKMQIAGMRPSEYTFSIVMSLVTCSCHGKQIHGGMIRNGMNLSNLILGNSLIDMYGKLGCVDYAFGVFFTMEEVDIISWNSLISGCHRSGYAELALDQFFQMRSTKHSPDEFTISSVINVCCNLPNLEKGKQIFAFCLKVGFLSNSIISSAAIDLFSKCNRLEDAIRLFEELDWWDSAVCNSMISSYARHECVEDALQLFVLTLRENLRPTEFTLSSLLNSASIFLPAELGSQIHSLVVKLGFESDPIVASSLIDFYSKVGLINYAMKIFANVGVKDLTSWNTMIIGMAHNGKVFETLNIFEELISEGIAPDKLTLAGVLLACNLGGLVDEGMTMFLSMEKEYGIVPQDEHYMSIVDLLSSTGKLKEAIDIVAEMPYEASSMIWELILRACVIHGDFKLTETVAHRMMQSEAQSSLPYLVLARAYEMRGRWESTIRVRKAMKLKGVRNVIGCSKIGIQNHVYTFKADQLQPHRGKDIYLILRLLCWEMEAEDYMTI >ONH89725 pep chromosome:Prunus_persica_NCBIv2:G8:1009925:1013000:1 gene:PRUPE_8G012400 transcript:ONH89725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFSKQLHGLHKTSLSYYSHLIDHCLSLKSLNFAKVIHAQLIKVGFNSHTFLGNRCLDVYFRFGNTVYDALKVFDEITDKNIVSWNICLKGFCRFGELQRAHNMFAEMPVRDVVSWNSMISGCVSGGFFDNAFCLFSKMQIAGMRPSEYTFSIVMSLVTCSCHGKQIHGGMIRNGMNLSNLILGNSLIDMYGKLGCVDYAFGVFFTMEEVDIISWNSLISGCHRSGYAELALDQFFQMRSTKHSPDEFTISSVINVCCNLPNLEKGKQIFAFCLKVGFLSNSIISSAAIDLFSKCNRLEDAIRLFEELDWWDSAVCNSMISSYARHECVEDALQLFVLTLRENLRPTEFTLSSLLNSASIFLPAELGSQIHSLVVKLGFESDPIVASSLIDFYSKVGLINYAMKIFANVGVKDLTSWNTMIIGMAHNGKVFETLNIFEELISEGIAPDKLTLAGVLLACNLGGLVDEGMTMFLSMEKEYGIVPQDEHYMSIVDLLSSTGKLKEAIDIVAEMPYEASSMIWELILRACVIHGDFKLTETVAHRMMQSEAQSSLPYLVLARAYEMRGRWESTIRVRKAMKLKGVRNVIGCSKIGIQNHVYTFKADQLQPHRGKDIYLILRLLCWEMEAEDYMTI >ONH90577 pep chromosome:Prunus_persica_NCBIv2:G8:8916876:8922517:-1 gene:PRUPE_8G062100 transcript:ONH90577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAVPQQIPPVAEDCERLRKAMQDENAIISILTHRNARQRCLIRQTYAEKYGEDFFKPLQDELSGDFLRAVLLWTPHPAERDALLANEVTKKKHSAAHNHLVIMEIACTRTSRELFQVREDYHARYKRSLEEDIAYHTTGDFRKLLVPLVSTYRYEGGEVDMTVANSEANILQEKISEKAYNHDELIRILTTRSKAQLNATFKVYNDQFGHPLNKDLKKKPKDEYLGLVRATVQCLTCPEKYYEKALRLSMKGLGTTEETLTRVVVTRAEVDMKSIMEQYQLKNSVPLVQDIKSDTSGDYLATLLALVGQSSS >ONH90578 pep chromosome:Prunus_persica_NCBIv2:G8:8916877:8922516:-1 gene:PRUPE_8G062100 transcript:ONH90578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAVPQQIPPVAEDCERLRKAMQGWGTDENAIISILTHRNARQRCLIRQTYAEKYGEDFFKPLQDELSGDFLRAVLLWTPHPAERDALLANEVTKKKHSAAHNHLVIMEIACTRTSRELFQVREDYHARYKRSLEEDIAYHTTGDFRKLLVPLVSTYRYEGGEVDMTVANSEANILQEKISEKAYNHDELIRILTTRSKAQLNATFKVYNDQFGHPLNKDLKKKPKDEYLGLVRATVQCLTCPEKYYEKALRLSMKGLGTTEETLTRVVVTRAEVDMKSIMEQYQLKNSVPLVQDIKSDTSGDYLATLLALVGQSSS >ONH93706 pep chromosome:Prunus_persica_NCBIv2:G8:21465127:21467414:1 gene:PRUPE_8G248400 transcript:ONH93706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDELLLKLDDSTRNATRHQLDTLRSILDQNGTVLYLQPYLPAHDAPLDAATFRRSVPLSSYDDYADLINQMADSPRHHHQPLLSVDPLLCFFYSSGTSSPRPKLIPYFDSKLSKAASYIAHQGSAAILKRLFPPRPTVNKILWFIYADNVRTTRGGVKVMAASTYPLQSGNANWSQLSFIVSPREVILGSNAEHQMYCHLLCGIRSFDLVDGIRAPYAIGLIKVFCLLESKWEQLCHDLEHGFPSLEFSDVAMRNSVVEVLGGPQLDLAKRVRSVFEEKSRGGIVSKLWPNVRYVRCVTTGSMEQYYPKLKHYAGEIPLLGGDYFASECCVGINLDIMQPPEKTRFVLLPTAAYFEFLPLDMNETDAAGKETVDISGVEVGKMYEVVVTTYRGFYRYHLGDIVRVVGFYNLSPQVEYVMKAPKGPNEIITEKDLMSAIHKFQLDLGNAMEMEITEFASFVDMELSPKQLKVFVEVEEGCLSLMQEKIEESVVVLRRFCSSLEDGLGGIYRVQRDRGESGPLLLYVVKPGSFDRLSQVAIRNGAPASQYKPPKIIRNREIARFLEGSALVTVV >ONH92746 pep chromosome:Prunus_persica_NCBIv2:G8:18667213:18668827:-1 gene:PRUPE_8G193100 transcript:ONH92746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQNDGIVTPSLDFQRLRVISALGRGAKGVVFLVEDEEAAEFMALKVISKDLIERRSKEPKSDGSEYRRVCFEQQVLRRFNHPLLPKLRGVLDTEKLVGYAIDYCPGRDLNCLRKRQTERMFSDDVIRFYAAELVLVLEYLHGLGVVYRDLKPENIMIQENGHIMLVDFDLSTKLSPMKNAQSVRISSNSTAESSAVQKKRCSLFQRFCNKGISPDDSVSPAESSLNSGKPGSDSSEKSNSFVGTEEYVAPEIVSGSGHDFGVDWWSLGVLLYEMLYGATPFRGSNRKETFYRILTKEPELTGETTALRDLISKLLEKDPKQRIGPGEIKGHDYFRGVEWDLILRVSRPPYIPEIATDGTDGINKNIDMETVVQGIFGGADGGANKGNENDENKNNNEKQNVNRREWVEGLNHNPTQENAFLVF >ONH92963 pep chromosome:Prunus_persica_NCBIv2:G8:19180571:19184016:1 gene:PRUPE_8G204500 transcript:ONH92963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVILAEYTEFTGNFTSIASQCLQKLPATNNKFTYNCDGHTFNYLVDNGFTYCVVAVEAIGRQIPIAFLERIKEDFTGRYGGGKAATAVANSLNKEFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTQMRRKMWLQNMKIKLIVLGILIALILIIVLSVCNGFKC >ONH92964 pep chromosome:Prunus_persica_NCBIv2:G8:19180723:19182485:1 gene:PRUPE_8G204500 transcript:ONH92964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGTVILAEYTEFTGNFTSIASQCLQKLPATNNKFTYNCDGHTFNYLVDNGFTYCVVAVEAIGRQIPIAFLERIKEDFTGRYGGGKAATAVANSLNKEFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVRPCYVLC >ONH92314 pep chromosome:Prunus_persica_NCBIv2:G8:17364192:17368385:1 gene:PRUPE_8G168100 transcript:ONH92314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVASKLEEEGVVTICRERKRQLKLAVEKRCALAEAHCKYCHALYAVAAAVDLFVAGYSSPPSPFLITFSPHSPPPPPPPITENVITNPMFLQQRPSESTHEAITCASCASSTSSEYSEEEREEEEEEVKEEKAGEREEQACGYFYMQMPPSMPSPQRDFGWDFFNPFDAVRPEIISSYRRSSDDDLRVVREEEGIPELEEEGVPELGKEGDREKEQEKKVMVVEENVDAEQESAGEVVKVVDEANVSHGEHKGLAVVETPAEGRELLESLRDIVDLFTRACDSAKDVSRMLEANKVQLQSGLEEIKENSTKLIQAIAWHQSTSSSKLSSCKSLVSSSSKSSSTWTEFKNDLFDDYGGMDSGSHALTLGRLYAWEKKLYEEVKAGDITRKLYERKCSRLKHQDIGDDDAMDKTRAAVKDLYARILVAIRSAESISKRIQILRDEELQPQIVELLKGLMRTWKIMLECHETQNKILFDVKSFAGSTYGKFSNNSHRRATLQLQVELQNWHECFKEYVAAQKAYVETLHGWLTKFVVPKEEFYSRSSSAVPYGVNGPPLLVICRDWLVSVNKLPDRAVTIALKSFAKDVRALSLQQGEEQQQKRKVDSLAKELDRRILSFQKTESRLLEPNLTEHKCESDMERQSESLTEKKEQLDMFRRKLDVEREKHQNYMKETQRITLNGFQNGFCAVFESLSEFSKASQKMYNELVTCSENAEKVGNPAYIEGTKHEENSSR >ONH93959 pep chromosome:Prunus_persica_NCBIv2:G8:22129419:22132232:-1 gene:PRUPE_8G262900 transcript:ONH93959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENNSGSEVPANQTIYINNLNEKIKLDELKKSLLAVFSQFGKILDVLAFKTLKHKGQAWLVFEDVSSATKAIQQMQGFPFYDKPMRIQYAKTKSDVIAKADGTFVPRERRKRHEDKGKKRKEQHDASQAGMGVNPAYAGAYGAAPPLSQIPYPGGVKLPEAPAPPNNILFVQNLPQDTTPMMLQMLFCQYAGFKEVRMVETKPGIAFVEFGDEMQSTVAMQALQGFKMTPQNSMLITYAKK >ONH91493 pep chromosome:Prunus_persica_NCBIv2:G8:14585167:14586340:-1 gene:PRUPE_8G118700 transcript:ONH91493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFLPQIDPEHDVPIRVLDETEGDCRPLGFLLGLPFALLSLVLSIVGLVIWIVRLLLTCICPCCLCVTVVVELVKAQIHVMEWFTSQMPC >ONH92475 pep chromosome:Prunus_persica_NCBIv2:G8:17935514:17939299:-1 gene:PRUPE_8G177900 transcript:ONH92475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKENNPGLVGSSNFQGPRLVGTKFVKEVGPIRRQALRDVQNITRANVNPCVVNKRECAGKDAACNKNVANLPHRPITRRFAAQMVQQCPKETKEVTVTSLVANPSGVGEHDLETKKLSSSTANSSCLVDCEIVDVEDNEDEACDAPVPMFVKHTEAMLDEIDRMEEDEDVEEPVMDIDSCGSKDPLNVVEYIDDIYAHYRKTEKSSCISPIYMAHQPDINEKMRAILIDWLIEVHYKFELMDETLFLTVNLIDRFLESQMVIRKKLQLVGVTAMLLACKYEEVSVPIVEDFVLISDKAYSRKDVLDMEKSMVNSLQFNFSVPTPFVFMKRFLKAAQSDKKIELLSFFLIELCLVEYEMLRFPPSKLAAAAIYTAQCSLSRFKQWSKTSEWYTNYSEDELLECSRMMVTFHQKAETGKLTGVHRKYSTWKFGYAAKAQPAAFLLDL >ONH90525 pep chromosome:Prunus_persica_NCBIv2:G8:8385328:8387944:-1 gene:PRUPE_8G059400 transcript:ONH90525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRERCSWCGVKLVVPAEAQTIQCGACHRVTRVNQPSINFNPLIQATHSINHAVSRLRTLMVDAAASPSSSTGTGWCSGAPAAAFGYYNPPPPPPPACQPSWPSVPKPLMPPSAYGRKRALLCGVSYHGRSYNLKGTVNDVNCMKYFLVNRRGFPADSIRMLTEYEADPYRIPTKQNIRMALQWLVQGCRSGDSLVFHFSGHGSTQPDYNMDEIDGYDETLCPVDFETQGMILDDEINATIVRPLPHGAKLHAIIDACHSGTVLDLPFLCRMNREGCYVWEDHGRWSSVYKGTSGGLAVSISACDDHQTSSDTTALSGDTSTGALTYSFIQAVENDRGLTYGYLLNAMRQIIREARSGIRLKGPIAALVNKVFGTEFLQEPQLTSSEKFDIYSKQFVL >ONH91278 pep chromosome:Prunus_persica_NCBIv2:G8:13432021:13432909:-1 gene:PRUPE_8G103200 transcript:ONH91278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAILAHEMMHAWFRLRGIRTGQLELKVEEGMCQVIGRKWLEWLEAQDRKTSSAITEHAQFQRNLIETYKYVVDMHSSYEYGHGFREAKWAVEKYKLHRTIDHILTYRKLPE >ONH91954 pep chromosome:Prunus_persica_NCBIv2:G8:16176387:16177015:1 gene:PRUPE_8G146300 transcript:ONH91954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNQEPDGVSILTVYNEANQHTNYESTCVGQLRLKARYTPNYFYKDIYTSLIKQQSSNARILEFRSVHKHMLCVQRGWSSGRKREVLCREGD >ONH92766 pep chromosome:Prunus_persica_NCBIv2:G8:18735719:18739295:1 gene:PRUPE_8G194400 transcript:ONH92766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGFVPLLILCFLVSISSAELAAKVGVNFGQLGNNLPSPSESVKLIQSLKAKRVKIYDANPKILEALRNTDLQVSMMVPNELINNISSNQTLADQWVHANVVPFYPETLIRYLLVGNEILSQPDKTIWFNLVPAMRKIKAALKTHGITKVKVGTPLAMDVLESSFPPSNGTFRSDISTSVIKPLLQFVNKTKSFFFVDVYTYFPWSSDPTNINLDYALFESKNITVKDPVSGLTYHNLFDQMVDALIFAMKRLGFPDIRIWIAETGWPSGGDYDQIGANIRNAATYNRNVVKKFNAKPPVGTPARPGSVLPSFLFALYNENKKPGPSTERNFGLLYPNGSNVYPIDLSGKTPESEYGPLPVATNNVPYKGPIWCLVAKGANRSAVASALSYACSQGNKTCDPIQPGGKCFKPDSLVWHASYAFSAYWAQFRKVGGSCYFGGLATQTIKDPSYGSCKFPGAKL >ONH91812 pep chromosome:Prunus_persica_NCBIv2:G8:15710919:15711732:1 gene:PRUPE_8G137500 transcript:ONH91812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQGEGERESELILDKEQNRFLINNDNKEETEDLNTGEWLNLSLGRNLLSTEGDTDSQSRPASGKVFSCNFCMRKFFSSQALGGHQNAHKRERGAARRYQSQRMMSMMGFPFTTSTVRSLSVQPHSLVHKPNRDETSLVARFNDANTRFSMRPFTLDDVMDSMWPGSFRLDSQVLEPQSEPCKLDLNLRL >ONH90395 pep chromosome:Prunus_persica_NCBIv2:G8:5656655:5658789:1 gene:PRUPE_8G051700 transcript:ONH90395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYLFFFFFSFSFSFYPTVLSQLSSNQRTTMINLSKSLNVTSISWDINEEPNPCLWKGVSCNPPSSSVIQISLSGVFLSSSDFLPLVCQIESLQSLDVSDNRLSKIPSGFLSDCGKLDGLKLLNFSYNNLEGSLPVFVGFAGLEFLDLSHNRLSGPIDLELDGLVGLRSLNLSANHFTGSVPTRLGKSKVFKVLQLSMNKFHGIIPVDIVGYRNLTLIDFSANNISGSVPDRIGELSKLEVLILSSNSLSGEIPRSLWNITSLTRFAANSNKFNGPIPAGIPKHLRKLDLSYNTLTGSIPSGLLSPLNLQTVDLSNNGLSGSIPTALSPSLVRLRLGSNSLDYVIPTAAIAALQNLTYLELENNTLTGLISPELGFCQNLLLNLAGNQLSGSLPVELGNLSHLQVLKLQFNKLAGEIPIQITQLSNLSILNISWNSLNGSIPPSVASLKNLTNMNLQGNNLSGSIPENIGSMTSLMELQLGENHLSGDIPSMPTTLQIALNLSSNHFEGHIPETLSRLTGLEILDLSNNKFSGEIPTFFQQLGALTQLILSNNQLSGEIPKFGSWVFVNTSGNEGLTNTSHTQPPRTSPKSEKAKMSCAEIIFLAVVAAVFAVGGFTILAISLLGYKLVKKKKLIAL >ONH94095 pep chromosome:Prunus_persica_NCBIv2:G8:22426303:22428517:1 gene:PRUPE_8G270100 transcript:ONH94095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGREQMGLLSIIRKIKRKEKEIRILMVGLDNSGKTTIVLRINGEDTSVVSPTLGFNIKTITYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGSSLLILANKQDIKGALTPEEIAKVLNLEAMDKTRHWEIVGCSAYTGDGLLEGFDWLVQDIASRIYVLD >ONH94096 pep chromosome:Prunus_persica_NCBIv2:G8:22426303:22428517:1 gene:PRUPE_8G270100 transcript:ONH94096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGREQMGLLSIIRKIKRKEKEIRILMVYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGSSLLILANKQDIKGALTPEEIAKVLNLEAMDKTRHWEIVGCSAYTGDGLLEGFDWLVQDIASRIYVLD >ONH92463 pep chromosome:Prunus_persica_NCBIv2:G8:17881032:17882460:-1 gene:PRUPE_8G177000 transcript:ONH92463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPMHSFLQEISSCMIATVPEKFFDRVQEGSIILKKSPSSTFSFCQEGILVEGESSPVKTDLVIMATGFRGEKKLKDVFVSPTLQDQIVGSPETILPLYRECIHGRIPQLAVIGFSESISNLFTSEMRCRWLSELFVGTFKLPSIKEMEKDVEKWDKYAKQYASGQYYRRSCIGALHLWYNDQLCKDMGWNHKRKKGVFAELFEPYGPMDYVTS >ONH92462 pep chromosome:Prunus_persica_NCBIv2:G8:17881300:17883309:-1 gene:PRUPE_8G177000 transcript:ONH92462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQVAIIGAGISGLLACKYTLSKGFQPIVFEASSSIGGVWTKTVETTRIQSPKDYYQFSDFPWPSSVTEGFPTQNQVLDYVKSYAQHFDLLKHIKFNTKVCGIEYEGPSSEDEMQAWSLWGGNGEPFSSEGKWKVVAEDKHSLSTDIHLVDFVILCLGRFSDVPNKGPEAFHGEVIHSMNYADMDYISAANLVKGKQVTVVGFQKFAMDIAMECSNANGVELPCTVIYKTEHWNLPDYLPWGLPLAYLYFSRFSELLVHKPGEGLLLSLLATILSPLRWAFSKFLESHVNKKLGLAKYGMVPMHSFLQEISSCMIATVPEKFFDRVQEGSIILKKSPSSTFSFCQEGILVEGESSPVKTDLVIMATGFRGEKKLKDVFVSPTLQDQIVGSPETILPLYRECIHGRIPQLAVIGFSESISNLFTSEMRCRWLSELFVGTFKLPSIKEMEKDVEKWDKYAKQYASGQYYRRSCIGALHLWYNDQLCKDMGWNHKRKKGVFAELFEPYGPMDYVTS >ONH91683 pep chromosome:Prunus_persica_NCBIv2:G8:15290816:15292697:1 gene:PRUPE_8G129600 transcript:ONH91683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQAEPKLEIFFFPYILGGHLIPMIDLARLFASHGVKATIVTTPHNVLLFQSPILRDQKLGYDIGFHTLNFRSEEFGLPNGCENELTTTNGDMFIKLFMAAMKLQDPLGKLLCEIRPDCLVSDRLYPWTADVTDGLGIPRIVFDGSGCFSHCVEESLRRYAPHERVLSETEAFVVPGLPNKIELKRSMLPDYVKAENGFTLFLNEALEGEIKSYGIVVNSFYELEQAYADYFQKEMKRKTWHIGPVSLHNRSNIDKVERGIKTSIDEHSCLNWLDSREPNSVLYISFGSMPRITSAQLLEIAHGLEASNHPFIWVIGRILDYSSREKQQVESVLLPVGFEERVTKSKGGLIIRGWAPQLLILEHPAVGAYMNHCGWNSIIEGVTAGVPMITWPFSSEQFYNERFILNVIRVGISMGNEHWVPLTELPRVTIKSEKVAKVVNRLMGSEEAEVLGMRKRAAEYRNKAMRAFEEGGSSYANVDAFIAELKSRRKNQNGHV >ONH92200 pep chromosome:Prunus_persica_NCBIv2:G8:16993845:16994692:1 gene:PRUPE_8G162200 transcript:ONH92200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTQRDTKMGKVGPIFLNLLLSLCLAMPMVQSTIEGPEIEQWFQNLHLAKQKLTRLHFYFHDVVLGPSQTSVGVARAPNTFKSPTLFGLVNIFDNPLTTGPEPTSELLGRAQGLYGFASQEEVSLLMSVNFVFTSGKHNGSSLTVLGRNPVVHSVRELPIIGGTGVFRLARGFALAKTYFINTTLAIVEYNVVALHY >ONH92220 pep chromosome:Prunus_persica_NCBIv2:G8:17086260:17090156:1 gene:PRUPE_8G163600 transcript:ONH92220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREISALLQPRSLLIFLVLAIFLIFVFSSTHKKEEEKVEEVPEITHRVYLDVDIEGQHLGRIVIGLYGQVVPKTVENFRALCTGEKGKGAKGKPLHYKRTPFHRIVSGFMIQGGDIVYRDGRGYESIYGGTFADENFRLKHSHAGVVSMVNSGPDSNGSQFFITTIKASWLDGEHVVFGKVIQGMDTVYAIEGGAGTYSGKPRKKVTIAESGEIPQSQWDEES >ONH93597 pep chromosome:Prunus_persica_NCBIv2:G8:21137506:21139618:1 gene:PRUPE_8G241400 transcript:ONH93597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSSTVCHQGMKSCLEPLVRLKLAPPRSNFPSTSCWDCKPDPQETEEISHIEKTITTTNNNLNLIDSKDADKPGLSFLQSLGNPKNQTTKTETETEKVYVHPLVKRSALMLSPKSLEMCTESLGSETGSENGGDDFSLFSQIDADISFLSLKSSGKINPTCTPTITSESSKISSDNNVRASPKTLKRSVATSFPPPLTSISGSNGVQVRPHREGGRLVLKAVTVSSPPTAYFQAERGDGRLRLLLLKDPSPNCDIEEAGEDECEEEFPVEDDPAVEVEEDEVQNQMEAENEAYDCEEENGFDVETEEMDWKSGNVKTEEMDGNAGNVVEAEEMDGNSGNVAGEKGMGKLPRPSRCKEGRRGNKKMVMNWPESFWVAT >ONH93794 pep chromosome:Prunus_persica_NCBIv2:G8:21669200:21670380:-1 gene:PRUPE_8G252900 transcript:ONH93794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLYESHHEEDKSETNKNIPMLFLLGEFSGESNHAWLNPKNHTSNSKLIKFPELEDQTECLASGFGWLLIQSRNTSSLFFFNPFTRQRINLPYHHSVITAAAFSASPTSPDCIVFTYRMPSVFGVRIDTLLVGQETWTRHVFMRDPSLFGGSIQAIYNKGCFYCVDPYGKIATFDAKLRTWNIVRGNGFERAHSYFFEFNGEIFAVKKKSDSSFAEKLERLKVEGGTYGWEEVDLGCLGDDVTLFLGPYGSYAVPGLSNVVGMEKKKVFVADYRPQSKCLMYNKSNGFGMVADAGFFSWCYAPIWIQSS >ONH94098 pep chromosome:Prunus_persica_NCBIv2:G8:22434105:22439234:1 gene:PRUPE_8G270300 transcript:ONH94098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLCLKTTASVIHGIPPAISAAAALDIRTNPSPSQVSAIGRSTSTAGVDKSTTTTAHKKTTPFSMFSFKHPLQSLWPGGSRARGGGPTYNGNGMPLDDADAVPFEAEAEKEEKEEGQSATMESDGQSGNWVLKILHVRSLSLRRGREEQGGGVAELRNEKTVLRDDGNGPGSEGDDDENDCCRVSDDEDDAAAAELDKDSFSRLLRKVSLAEARLYAQMSYLGNLAYSIPKIQPGNLLRCYGLRFVTSSIEKKELTAKTEKDQVSAEIQETEKNLEEDREEDAEGKEKEQKNNGYRISASAAYQIAASAASYLHSHTRSILPSKCSEAETETDKNSPEESSGRSDVVNRMNSEVVSLMATTDSVTAVVAAKEEVKQAVADDLNSTCSSPCEWFICDDDQRGTRFFVIQGSESLASWQANLLFEPIQFEGLDVLVHRGIYEAAKGIYEQMLPEVHAHLKSRGDHATFRFTGHSLGGSLALLINLMLLIRLEVPISSLLPVITFGAPSIMCGGDQLLRKLGLPRSHVQAITLHRDIVPRAFSCNYPNHVAELLKALNGNFRNLPCLNNQKLLYSPMGELLILQPDEKFSPNHHLLPSGSGLYLLSCPLSDANDAEKQLQAARLVFLNSPHPLEILSDRSAYGSEGTIQRDHDVNSYLKSVREVIRQELNQMRKARRQQRRKVWWPLVAARSVHGGLIVGRPVASFNMGHEQFNFSGMLQTGKESLKQFSRLVALQHMHLFVLLLVPARLLLLGAYSMISLR >ONH93222 pep chromosome:Prunus_persica_NCBIv2:G8:20015467:20016491:-1 gene:PRUPE_8G220200 transcript:ONH93222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMNEFQAPPGYSSGPLSNGETKEDPGVKWVSQDSSRKGSSRGARSPAHDTPDLPRASPQQTSSGHGDGGIDSPKRERSDKPKQTRRSSKNRDTTDSITRGIRQSMESIHGSESPQNPPDIPKKTRRKKSKDSSVGGASRKAKPHASSETCTDPGHQPATTYKCNSKDHLSQNSFQEGEDKGFT >ONH93221 pep chromosome:Prunus_persica_NCBIv2:G8:20015467:20017225:-1 gene:PRUPE_8G220200 transcript:ONH93221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTANNTKMKGLLKGLRYISQIFDDNKGQEMQIGFPTDVKHVAHIGWEGPSTAAGSPSWMNEFQAPPGYSSGPLSNGETKEDPGVKWVSQDSSRKGSSRGARSPAHDTPDLPRASPQQTSSGHGDGGIDSPKRERSDKPKQTRRSSKNRDTTDSITRGIRQSMESIHGSESPQNPPDIPKKTRRKKSKDSSVGGASRKAKPHASSETCTDPGHQPATTYKCNSKDHLSQNSFQEGEDKGFT >ONH90210 pep chromosome:Prunus_persica_NCBIv2:G8:4060261:4074265:1 gene:PRUPE_8G040700 transcript:ONH90210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVFSILFLSVSFSTFLPLAIARGGLHNSNPPGLEYGPRTGSRRHLFEDDESETRVDVAQGYMTNTELERAIKAFGQKCSNISRVYSIGESVNGVPLWVIEISDKPGEEEPEPAFKYIGNVHGDEPVGRELLMLLANWICDNYLKDPLATSIVDGVHLHILPSMNPDGFLLRRRGNANNIDLNRDFPDQFFPVNDYVNERQPETRAIMKWLREIQFTASASLHGGALVANYPWDGTQDKRKNYFACPDDETFRFLASVYSGSHHNMSLSKEFEGGITNGASWYPIYGGMQDWNYIHAGCFELTLEISDNKWPIANELLTLWEYNKMSMLNLVASLIKTGVHGRIFSSDGGRPLPGFIVIKGINYTVQASRGIADYHRLLASGGRYEVTASAPGYKSKTTGIWLDKAGTNVDFVLDPNVNLRGIPLRSACECRWGTRSVIWDTHLEVYLLLIVIVGFLLFLCKRRTYSRLKQRQLAGPKRPVVV >ONH90212 pep chromosome:Prunus_persica_NCBIv2:G8:4060353:4073918:1 gene:PRUPE_8G040700 transcript:ONH90212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVFSILFLSVSFSTFLPLAIARGGLHNSNPPGLEYGPRTGSRRHLFEDDESETRVDVAQGYMTNTELERAIKAFGQKCSNISRVYSIGESVNGVPLWVIEISDKPGEEEPEPAFKATSIVDGVHLHILPSMNPDGFLLRRRGNANNIDLNRDFPDQFFPVNDYVNERQPETRAIMKWLREIQFTASASLHGGALVANYPWDGTQDKRKNYFACPDDETFRFLASVYSGSHHNMSLSKEFEGGITNGASWYPIYGGMQDWNYIHAGCFELTLEISDNKWPIANELLTLWEYNKMSMLNLVASLIKTGVHGRIFSSDGGRPLPGFIVIKGINYTVQASRGIADYHRLLASGGRYEVTASAPGYKSKTTGIWLDKAGTNVDFVLDPNVNLRGIPLRSACECRWGTRSVIWDTHLEVYLLLIVIVGFLLFLCKRRTYSRLKQRQLAGPKRPVVV >ONH90209 pep chromosome:Prunus_persica_NCBIv2:G8:4060353:4074102:1 gene:PRUPE_8G040700 transcript:ONH90209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVFSILFLSVSFSTFLPLAIARGGLHNSNPPGLEYGPRTGSRRHLFEDDESETRVDVAQGYMTNTELERAIKAFGQKCSNISRVYSIGESVNGVPLWVIEISDKPGEEEPEPAFKYIGNVHGDEPVGRELLMLLANWICDNYLKDPLATSIVDGVHLHILPSMNPDGFLLRRRGNANNIDLNRDFPDQFFPVNDYVNERQPETRAIMKWLREIQFTASASLHGGALVANYPWDGTQDKRKNYFACPDDETFRFLASVYSGSHHNMSLSKEFEGGITNGASWYPIYGGMQDWNYIHAGCFELTLEISDNKWPIANELLTLWEYNKMSMLNLVASLIKTGVHGRIFSSDGGRPLPGFIVIKGINYTVQASRGIADYHRLLASGGRYEVTASAPGYKSKTTGIWLDKAGTNVDFVLDPNVNLRGIPLRSACECRWGTRSVIWDTHLEVYLLLIVIVGFLLFLCKRRTYSRLKQRQLAGPKRPVVV >ONH90211 pep chromosome:Prunus_persica_NCBIv2:G8:4060353:4074102:1 gene:PRUPE_8G040700 transcript:ONH90211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVFSILFLSVSFSTFLPLAIARGGLHNSNPPGLEYGPRTGSRRHLFEDDESETRVDVAQGYMTNTELERAIKAFGQKCSNISRVYSIGESVNGVPLWVIEISDKPGEEEPEPAFKYIGNVHGDEPVGRELLMLLANWICDNYLKDPLATSIVDGVHLHILPSMNPDGFLLRRRGNANNIDLNRDFPDQFFPVNDYVNERQPETRAIMKWLREIQFTASASLHGGALVANYPWDGTQDKRKNYFACPDDETFRFLASVYSGSHHNMSLSKEFEGGITNGASWYPIYGGMQDWNYIHAGCFELTLEISDNKWPIANETGVHGRIFSSDGGRPLPGFIVIKGINYTVQASRGIADYHRLLASGGRYEVTASAPGYKSKTTGIWLDKAGTNVDFVLDPNVNLRGIPLRSACECRWGTRSVIWDTHLEVYLLLIVIVGFLLFLCKRRTYSRLKQRQLAGPKRPVVV >ONH90853 pep chromosome:Prunus_persica_NCBIv2:G8:11181030:11190565:1 gene:PRUPE_8G077700 transcript:ONH90853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKEAKTSEEVKPSAEPAMVIASTAAPAPSWFTPKRLLAIFCVINLLNYVDRGAIASNGVNGSLGTCTESGVCTSGTGIQGDFNLSNFEDGVLSSAFMVGLLLASPIFASLAKSVSPFRLIGVGLSVWTFATIGCGFSFNFWSITLCRMLVGVGEASFISLAAPFIDDNAPAPQKTAWLAIFYMCIPSGYALGYVYGGLVGSHSNWRYAFWGEAILMLPFAILGFVMKPLQLKGFFHPESTKALTAVETAVSEVQGSDNLNGKDGSFSIKEDLRDSTIQKSSKLKVGTKIMNQISRFMKDMKVLLVDKVYVVNVLGYIAYNFVIGAYSYWGPKAGYNIYHMNDADLIFGGITIVCGILGTLAGGFVLDYISSTISNAFKLLSAVTLLGGACCFGAFCFKNMYAFLALFAVGELLVFATQGPVNYICLHCVKPSMRPLSMAISTVSIHLFGDVPSAPLVGVLQDSINNWRVTALILTSIFIPAAGIWFIGIFLHSVDRFNEESENQITTTERSNTTPLLKGKKTETTKSASEP >ONH93564 pep chromosome:Prunus_persica_NCBIv2:G8:21012626:21014402:-1 gene:PRUPE_8G238900 transcript:ONH93564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLAWKEKKNNAFIMGWALSPKDRYPFLFGVYKSFIKYPAPKIRVRNAARLLSSRSHRQRAAQKASRSKPLAMSLVANEEFQHILRVLNTNVDGKKKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSAAELDNLMTIVANPRQFKIPDWFLNRKKDYKDGRYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ONH93565 pep chromosome:Prunus_persica_NCBIv2:G8:21011896:21014181:-1 gene:PRUPE_8G238900 transcript:ONH93565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANEEFQHILRVLNTNVDGKKKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSAAELDNLMTIVANPRQFKIPDWFLNRKKDYKDGRYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ONH91891 pep chromosome:Prunus_persica_NCBIv2:G8:15940850:15942038:-1 gene:PRUPE_8G142100 transcript:ONH91891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSDNCMLRQCLQWIQDPQAQAHATVFVAKFFGRAGLFSFINAVSESQRPALFQSLFFEAVGRTINPVNGATGLLLSGKWEVCQAAVETVLRGGTLEPLPEYTRDDHQNCVHDHHIRLQRQSPKKKRSSNLAFDDTDDHDVANCQLPDLDLCLTAAGGLTSRTNRGDCRAGTPSSAEESETTTLGSSSSSADHCCGNNLKGTTKLLRLFI >ONH90180 pep chromosome:Prunus_persica_NCBIv2:G8:3885318:3888742:1 gene:PRUPE_8G038900 transcript:ONH90180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSASFTFFSLLLVSSLFVSSLFCVSEAQPSVPVVKGLSWSFYESSCPNLNSIIRKHLKKVFKEDIGQAAGLLRLHFHDCFVQGCDGSVLLEGSASGPSEQEAPPNLTLRAKAFQIINDLRELIHKKCGRVVSCSDITALAARDSVFLSGGPDYDVPLGRKDGLNFATQNATQANLPPPSSNTSKLLTDLAKKNLDATDVVALSGGHTIGLGHCSSFTDRLYPTQDPTMDKTFANDLKEICPAEDTNATTVLDIRSPDTFDNKYYVDLMNRQGLFTSDQDLYTDKRTKDIVKSFAVNQTLFFEEFVKSMIKMGQLSVLTGSRGEIRADCSVRNSDNKSYLSSVVEEDEESLSEF >ONH90518 pep chromosome:Prunus_persica_NCBIv2:G8:7420169:7433276:-1 gene:PRUPE_8G058700 transcript:ONH90518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSDLDEDDLLQMALKEQAQRDVNYKKPPSSNSRTAPVANYVQQPQPPPSQQPRKAAASPSPKNNTTTRSNANNPKRRVVDDDEESDVDMLSISSGDEDSTARDQQRVRFRGGGAASAATARAGARDDDDPWDGGEPGCWKHVDEAELARRVREMRETRTAPVAQKVERKVSSAGLVRKGLNNLQSFPRGMECIDPLGLGIIDNKTLRLITESSDYSPSKDDKLDNNLREKLLYFSEKFDAKLFISRIHQDTAAAELEAGALALKSDLKGRTLQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTSHLFNCMQGVSSLANRAFQPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVGILKRVLEEVEKVMHEFKGMLYKSMEDPQIDLTNVENTVRLLLELEPESDPVWHYLNIQNNRIRGLLEKCTLDHETRMETLHNELRERAVSDARWRQIQGDINQSSDVNYSLTLGDNHLPVDSLPVDLTGEEVDALRGRYIRRLTAVLIYHIPAFWKVALSVFSGKFAKSSQVSTESNASTPANKTDEKVGDGKYSTHSLDEVVGMIQITLTAYADKVRTTFHDLEESNILQPYMSDAITEISKACEAFQAKESAPSIAVTAIRTLQSEITKIYILRLCSWMRASTADISKDETWVPVSVLERNKSPYTISFLPLAFRNVMTSAMDQIKLMVQSLRSEATRSEEVYKQLQEIQDSVRLAFLNCILDFAGHLERIGSGLAQNKSSKGSSLVHNGYSPNLEEKLMSDLPGSFGPHQQLLIVLSNVGYCKEELSYELYNNYKHIWLQSREREEDDSDIQDLVMSFSVLEEKVLEQYTFAKVWLNTPTHTHTRRNSWRSCYFYCIIFLSFLSVFILVFSCWHYATLDTFFAQTI >ONH90517 pep chromosome:Prunus_persica_NCBIv2:G8:7417281:7433276:-1 gene:PRUPE_8G058700 transcript:ONH90517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSDLDEDDLLQMALKEQAQRDVNYKKPPSSNSRTAPVANYVQQPQPPPSQQPRKAAASPSPKNNTTTRSNANNPKRRVVDDDEESDVDMLSISSGDEDSTARDQQRVRFRGGGAASAATARAGARDDDDPWDGGEPGCWKHVDEAELARRVREMRETRTAPVAQKVERKVSSAGLVRKGLNNLQSFPRGMECIDPLGLGIIDNKTLRLITESSDYSPSKDDKLDNNLREKLLYFSEKFDAKLFISRIHQDTAAAELEAGALALKSDLKGRTLQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTSHLFNCMQGVSSLANRAFQPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHVGILKRVLEEVEKVMHEFKGMLYKSMEDPQIDLTNVENTVRLLLELEPESDPVWHYLNIQNNRIRGLLEKCTLDHETRMETLHNELRERAVSDARWRQIQGDINQSSDVNYSLTLGDNHLPVDSLPVDLTGEEVDALRGRYIRRLTAVLIYHIPAFWKVALSVFSGKFAKSSQVSTESNASTPANKTDEKVGDGKYSTHSLDEVVGMIQITLTAYADKVRTTFHDLEESNILQPYMSDAITEISKACEAFQAKESAPSIAVTAIRTLQSEITKIYILRLCSWMRASTADISKDETWVPVSVLERNKSPYTISFLPLAFRNVMTSAMDQIKLMVQSLRSEATRSEEVYKQLQEIQDSVRLAFLNCILDFAGHLERIGSGLAQNKSSKGSSLVHNGYSPNLEEKLMSDLPGSFGPHQQLLIVLSNVGYCKEELSYELYNNYKHIWLQSREREEDDSDIQDLVMSFSVLEEKVLEQYTFAKANLIRTAAFNYLLDSGVQWGAAPALKGVRDAAVELLHTLVAVHAEVFSGAKPLLDKTLGILVEGLIDTFISLFHENQAKELRSLDANGFCQLMLELEYFETILNPYFTSAARESLKSLQGILLDKATESVTENVENPGHNRRATRGSEDAVSDDRQQGTSVSPDDLIALAQQYSSELLQAELERTQINTACFVESIPLDSVPESAKRAYASFRGSLDSPSRNYKGNTGSPSYSRNRRR >ONH92135 pep chromosome:Prunus_persica_NCBIv2:G8:16779278:16781609:-1 gene:PRUPE_8G157400 transcript:ONH92135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQALFFILLTTQFLPSSSLISKPDFHSRYPSPRAISDLKESIVKGLGFPAEDLEVSGFDLRDAQVGHSVAYEFDVEVDNKVLPFKLLEDVDRWDYVDLPIFRVEDENGLVQKGKSGTGLPPVLAPFQLAGPMELWIQDAKDMRISLPHDVDAGVLKKVMLAEGAVVTVKGARSVSLRHPLQLPLPLNRTNNGFASGLVTLAEWLRHASRTQTSPLLSLRIVGPTSLASPSPTSSSMNNKLKLKRLAPGLVELSSPSTTKPISVELQNQETTAILTPSYFTTVWPLASVNGSNPNLLGFEALLSSVLGHKANKKGSFKLLKADVSAQTFLKIGFGVEKKLKEGDGFDLKGFPEWRTKPETVRMHFEVLAKVDGDKIVPERVVPINPVVLEDTVAPNELSGNVSMSTVPIVYPPANPLTL >ONH91997 pep chromosome:Prunus_persica_NCBIv2:G8:16315910:16316401:-1 gene:PRUPE_8G148800 transcript:ONH91997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIICAPIRTLTKARNFYMRGLEDYAGKVGYGGGVSGYTASQVPHLPKSFSVNSSSSSDNEDLRQLLRTASSRKSNAEKESTVKSEGNSDMHRRPIVKQAAQPIGRQQTMNGMGIRSYSVGMKMGRIDEEMACSFEEDEVNVKADFYPRSRSYAVKRRSGGFA >ONH90608 pep chromosome:Prunus_persica_NCBIv2:G8:9275666:9280446:1 gene:PRUPE_8G063600 transcript:ONH90608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAKLLHHRGFHITFVNSEDNHKRILKSRGPSPLHVSQDFSFEAIPDGLPPTDANATQDIPSLCDSTSKTCLGPFRNLLANLSLHKALPTVTCIVSNGVMSFAMKADEDLGIPVALFWTASSCGFMGYTQYRQLIDKGLTPLRGEASLTNGYLDTTIDWIPGMKDSSERSPKLYAEDMMLSFAMEEVERASTVIVNTYDTLEYKVLEALSSMFPPICTIGPNHLLVNKIVPQNAISSSIGSSLWKEEPECLQWLDSKEPDSVDYVNFGSITVMTPQQLVEPDLVKGDAAILPSEFAQETKQRGLLVSWAPQEEVLNQPPIYRRILDTRLSAGVPMVIWPFFADRQTNCWFSCTQWGVGLEIDSNVKRSEVEKLVRELMSGEKGKEMRKNAMEWKRKAEEATGPRGSSLLNLEKLVKDVLLQPLKP >ONH90198 pep chromosome:Prunus_persica_NCBIv2:G8:4004226:4008611:-1 gene:PRUPE_8G040300 transcript:ONH90198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKSIATSLDEVDRSMYTSFCSAANSLSQLYTQAMNHQKLSFQAGERHALDKIYQWISRQQEGGSRVTTMDIVSYLQNELDYCGEEQPSMSPRVPLQHQHLQPTVHFTNSGFPVSSGSSGPTNTGQGIRSEQCDHQSKNSVFSNALSSPVRQSLQHYHISQDGYYPGAGLPSGNGARNNEPSFLHPNRDANPPSSNDTSMDMHADSPGHDSTY >ONH93567 pep chromosome:Prunus_persica_NCBIv2:G8:21029593:21031650:-1 gene:PRUPE_8G239100 transcript:ONH93567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARLYEVKDTNAIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQLKERKNRSKKIRGVKKTKAGDAAKAGKKK >ONH91012 pep chromosome:Prunus_persica_NCBIv2:G8:12149949:12155795:1 gene:PRUPE_8G088400 transcript:ONH91012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSTPADFNNGPSSPDDSFSSPIGNTLSSSPAGASRQRRRRRSTTPSSEFATPRANRSRFSGSETTPTPSRTRRANGQNGAVPTPSSTDGDVPPSSEGGDGYDMDEDRPTFVWGTNISVNDVKVAIVRFLKNFRDESQWNTESEYLTEGKYIEAIKRVIEMEEDSLDVDARNVFDYDSDLYAKMVRYPLEVLAIFDIVLMEMLPVINPLFEKHIQTRIYNLKTSTSMRNLNPSDIERMVSLKGMIIRSSSIIPEIREAIFRCLVCGYYSDPLPVEKGRITEPTRCLKEECQARNSMTLVHNRCRFTDKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDAGKPGDRIEVTGIYRAMTVRVGPTQRTVKSLFKTYIDCLHIKKSDKSRMLAEDQNPVEVDNSVAGHSDEIVFDEKKVEQLKELAKQPDIYDRLTRSLAPNIWELDDVKKGLLCQIFGGNALKLPSGARFRGDINILLVGDPGTSKSQLLQYIHKLAPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSDSARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLLLDKADEHTDRRLAKHIVALHFENPETIQQDVLDLATLTAYVGYARKHIHPQLSDEAAEELTRGYVELRRRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSEWVERHDVVEAFRLLEVAMQQSATDHSTGTIDMDLITTGISASERMRRESLVSAARNIIMEKLQLGGPSMRLLELLEELKTQSSGNEVHLNDLRTAITTLASEGFVAFVHGDSVKRI >ONH91094 pep chromosome:Prunus_persica_NCBIv2:G8:12524004:12524495:1 gene:PRUPE_8G092400 transcript:ONH91094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQCSLKPSNFVLSLGCLKFYAFKLFFRMLIPCMSFASTYITRMYSLFKDLVYGDYISAIRDWVSIPNCSF >ONH90367 pep chromosome:Prunus_persica_NCBIv2:G8:5440937:5442792:1 gene:PRUPE_8G049900 transcript:ONH90367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVIKRRQLEDGFGSFEFQFQTQKRIRSSRVSETQRNVAVEEKSTVGLPLRKGLASSATKNADPESEELGFDEICQTQKRKRSSRVSETQRNVAVVEKSTVGLPLCKGLASSATKNANPESEEGFDEICQTQKRKRSSRVSETQRKEKPTVGLPLRQVKDLASSASKETLDCSKVLHSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLDRGVYSSADGFAADVRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSKTACPPPTPPLPKRRPNGKSSSACRVLIQSQVVVGVSDSHSVKSTKDDDLGTLVHHAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMGQRELARRAVLNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDREEGEICWQESDWEEGEIRS >ONH91197 pep chromosome:Prunus_persica_NCBIv2:G8:12974755:12978604:1 gene:PRUPE_8G098000 transcript:ONH91197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSHLPIFLFLLFTAFYSAASQSFIGVNYGQVADNLPPPAATAKLLQSTSIKRVRLYGADPAIIKALAGTGIAIAIGASNGDIPALASDPNAAAQWVNSNVLAFYPASNIDLINVGNEVLFSNDQGLISQLLPAMRNVQSALSAASLGGKVRVSTVHAMTLLAQSDPPSSGRFKPGFQDVLKGLLAFQRDNGSPFAVNPYPFFAYQSDPRPETLAFCLFQPNAGRVDAGTGIKYMNMFDAQVDGVRSALNAIGFNDIEILIAETGWPYRGDSNEVGPSVENARAYNGNLIAHLRSQVGTPLMPGKSVETYIFALYDEDLKPGGTSERSFGLYKPDLTVTYDVGLSKSSQTPSTPSTSTPTTPRVTPSPKPSSAWCVPKAGVSDAQLQANLDYACGHGIDCSAIQPGGACFDPNTVASHAAYAMNLYYHTVGTIPLNCDFSQTATLTSSNPSYNACTYPGGNT >ONH91198 pep chromosome:Prunus_persica_NCBIv2:G8:12975341:12978604:1 gene:PRUPE_8G098000 transcript:ONH91198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVQSALSAASLGGKVRVSTVHAMTLLAQSDPPSSGRFKPGFQDVLKGLLAFQRDNGSPFAVNPYPFFAYQSDPRPETLAFCLFQPNAGRVDAGTGIKYMNMFDAQVDGVRSALNAIGFNDIEILIAETGWPYRGDSNEVGPSVENARAYNGNLIAHLRSQVGTPLMPGKSVETYIFALYDEDLKPGGTSERSFGLYKPDLTVTYDVGLSKSSQTPSTPSTSTPTTPRVTPSPKPSSAWCVPKAGVSDAQLQANLDYACGHGIDCSAIQPGGACFDPNTVASHAAYAMNLYYHTVGTIPLNCDFSQTATLTSSNPSYNACTYPGGNT >ONH90068 pep chromosome:Prunus_persica_NCBIv2:G8:3082615:3083590:-1 gene:PRUPE_8G032800 transcript:ONH90068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLNCIKMNSFFGKLLCLILLILKYRYICATDPTDGFTLVPLTEENFKLQKPYNEPLDDRYSDKDGVRSFWIYNHDKPFKTDSTTRPRSEARITGHDYSSGIWQFEGYAFVPSGTSGVTIVQIHGAAEGATSLQLRMYDGDIKYYKYNVVATNLYDKWFRVNIIHNVDKGKIIVFIDGVKKFVVKDQGPGDLYFKCGVYAAPENSSNYMESRWKEIKLYKK >ONH93171 pep chromosome:Prunus_persica_NCBIv2:G8:19849422:19853549:-1 gene:PRUPE_8G217000 transcript:ONH93171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVFSAQSQKPTYLLLLLHIFLLALLPFKAISSPKTQAEALLTWKNTFASAPPSLTSWSLTNLNNLCNWTAIVCDHSTKQVSQIDLSNFNISATLTHFNFTPFLNLTQFNLNGNNFTGPVPSAVGNLSKLTTLDLGNNLFIQEIPVQIGMLTELQYLSFFNNTLTGVIPYQLSNLQKVQFLILGGNYLETPDWSKFSGMPSLTYLDFYLNSLDSEFPEFISKCLNLTFLDLSQNAFTGQIPEVVFTNLGKLEFLNLTNNQFQGPLPTSFPKLKHLNLALNHFGGPIPEDIGFISGLERIELLNTSLEGPIPSSLGQLRELKYLDLRYNSLNSSIPYELGLCTNLTYLALASNFLSGELPLSLSKLTKIGELGLSGNSFTGPLLPSLVSNWTEMVSLQLQNNSFSGNIPAEIGLLTKLKVLFLFQNKFTASIPSQIGNLKDLKDLDLSGNQLSGPIPITLWSLTNLHSLQLFYNNLTGTIPPEIGNMMSLATFDVNTNQLHGELPKNISLLSSLQSFSVFTNELSGDIPSDFGKYSPNLVYVSFSNNSFSGELPQELCSGFALQVLTVNGNNFTGSLPACLRNCSGLIRVRFDGNQFTGNITNAFGVHPSLEFIALSDNQFVGTLSPQWAECKNITAMDMARNRISGQIPPELGQMTQLQSLRLEANDFIGQIPDELGNLSLLFWLNLSGNHLAGSIPKSVGKLTKLQLLDLSDNNFTGAIPIESGTFDSLTSLNLSHNKLSGNIPAEVGNLELRYLLDLSGNFLTGEIPSNLAKLTQLEVLNVSNNHLSGSIPSAFSNMLSLNSFDFSYNNLTGPVPTGGIFQKAPANAFVGNSGLCGASEGLSACSSSGKKSNKNNNKILIGVFVPVCGLLVIATVIALILIFRKKPKLLDEEARSSKSESFESSIWEREVKFTFGEIVKATEDFDEKYCIGKGGFGRVYKAELLSGQIVAVKRLNISDSSDIPAINRQSFENEIKTLTHVRHRNIIRLFGFCSRRGSMFLVYEYLKRGSLGKALYGVEGDDELGWGTRVKIVQGLAHALSYLHNDCSPPVVHRDVSVNNVLLECDFEPRLADFGTAKLLSSDSTNWTNVAGSYGYMAPELAFTMRVTDKSDVYSFGVVALEIMMGRHPGEMLESLLESSKSLKDNTELLLKDVLDQRLEPPTGELAEAVVFVVTIALACTRAQPESRPTMRYVAQELSARTQPYLSEPFGALTINKLTGHQK >ONH90351 pep chromosome:Prunus_persica_NCBIv2:G8:5328599:5336751:1 gene:PRUPE_8G048700 transcript:ONH90351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKVGNSLCLWLILVAHPLWMTMVLANMEGDALHSLRTNLEDPNNVLQSWDPTLVNPCTWFHVTCNNENSVIRVDLGNALLSGQLVPQLGLLKNLQYLELYSNNISGPIPSELGNLTSLVSLDLYLNSFAGLIPDTLGKLSKLRFLRLNNNSLVGPIPMSLTNISSLQVLDLSNNHLSGEVPDNGSFSLFTPISFANNLNLCGPVTGRPCPGSPPFSPPPPFVPPPPISTPGGNSATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNNYVEAEVEQLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELAPHPNSDWIVDSTENLHAVELSGPR >ONH93921 pep chromosome:Prunus_persica_NCBIv2:G8:22039425:22040005:1 gene:PRUPE_8G260700 transcript:ONH93921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSKAAYLKNSIPPQKKSCKQLTISNQDPNIQSPVRRIYPYLKEKQRHITSKDPVNLSQYLHLSTQQLVKFQQLRNLIAQLENVTTQMSQFDRQKILLCPSVFTSWTNEKPSRHEYQQTNRYND >ONH92631 pep chromosome:Prunus_persica_NCBIv2:G8:18346469:18351499:1 gene:PRUPE_8G185400 transcript:ONH92631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFFFIFLLLFLSSQPIASSRPNHRVLHQPFLPQDSSSPPTQPPSPSPPSPPSLPSNPTPPKYPFSGSNSTPADSPFFPSYPSPPPPPPSPTTAFASFPANISSLIVPKTPSGNSSAHKLLALTIAAVLSAVVVISLAAFFLYRRRRHNSRNFPDDDEDDKSFIRSEQSNRLFQQPNHNHSHSFSGTHKLRTASSTSSEFLYLGTLVSSRGLEDPVDSCGSNGLVAELETRKVESPDLQPLPPLARQSSMLSNCENAEPGSTRDRDEDEDEEEEEFYSPRGSSGDRESFNGTGSGSRRVFAAVADGVFDRRSSETTSCSCSSSDSGSPSRSQSISLSPPVSLSPNRRSEEPKPPEPAATYHATRFGNENVRSPSLTPMSSPEKAADEYPSTSDRKRRSPSLSSLSFSPETSLEKNPDASAKVSVVSGQSSLISSPERGFGKNPDASAKVSVVSGQSSLISSPERGFGKNPDALPKVSVISGLSSPISSPERGLGKNPDSSSRRTSVVSDQSSPSSSPERGFGKNPDSSSPIISVVSDQSSPSSSPERGFGKNPDSSSPIISVVSDQSSPISSPERSFVKNPEASPKVSAFSDRNEQSSSSSSSYSSSPERRSNASDPKAKSFSPEKSPAASPRISSASEYINLDPKVQSLSSSASSSLSNSPEREFGNNSDASSKLSNVSHHTTESPVRLSGGLKHLISVPPPPPPMPPSLRLWETPSPKTPVGQVMCKPPALVPPSRPFVFQNPAKVSVSPVELPPSSNPLEPIEENPKPKLKPLHWDKVRASSDREMVWDQLRSSSFKLNEEMIETLFVVKTPNPNPKETTPRTVLPSPNQENRVLDPKKSQNIAISLRALNVTIDEVCEALLEGNSDALGTELLESLLKMAPTKEEERKLKEYKDDSPVKLGTAEKFLKELLDVPFAFKRVEAMLYMTNFESEIDYLKKSFETLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLTGGNQTSNPTVNDDAKCRRLGLQVVSGLSSELTNVKKAAAMDSDVLSTDVSKLSKGISDIQEVVQLNERAVSDESRQKFSESMNMFMKMAEEEIIRLQAQESVALSLVKEITEYFHGNSAREEAHPFRIFMVVRDFLTILDRVCKEVGMINERTIVSTAHKFPVPVNPMLPQVIPVNPMLPQALPGMHGRRPYSWTDDESTSP >ONH91641 pep chromosome:Prunus_persica_NCBIv2:G8:15119006:15123285:1 gene:PRUPE_8G127000 transcript:ONH91641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPNTSTSIVRSEPLEQRGAHISDSNTNMLQAPTNQPSRSFSDGPVAILWDIENCPVPSDVRPEDVAGNIRMALQVHPVIKGAVMTFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYIVILVIPSGVGVSSALSNAGKFVWDWPSVARGEGFVPATKVLMHPRGGHSDISGYFMGCHINDNVDIQNEEEAILYRGVSQSYYNSRDFSIVSQSVSEFNSSSLMMPCCPTASRSHSLPSGLNEVSAGPLISGDQNESTWWVQPGDLNGLKGQLVKLLELSGGCLPLIRVPSEYQKVFGRPLYVSEYGAFKLVNLFKKLGDTMSVEGKGNKRFVYLRNWKTGPSAPPLVLSKKDNKKGKGTQEDCMDITTGNGSSDEFSEEERVVVEEHDEKSQRKTNVGTGDKCEIDDRSIENFKYELQEILVSYSCRIFLGCFEAIYQQRYKKPLDYRKFSVNQLEELFEKVTDVVVLLEEPVSKRKFLAASGG >ONH91640 pep chromosome:Prunus_persica_NCBIv2:G8:15119032:15123285:1 gene:PRUPE_8G127000 transcript:ONH91640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPNTSTSIVRSEPLEQRGAHISDSNTNMLQAPTNQPSRSFSDGPVAILWDIENCPVPSDVRPEDVAGNIRMALQVHPVIKGAVMTFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYIVILVIPSGVGVSSALSNAGKFVWDWPSVARGEGFVPATKVLMHPRGGHSDISGYFMGCHINDNVDIQNEEEAILYRGVSQSYYNSRDFSIVSQSVSEFNSSSLMMPCCPTASRSHSLPSGLNEVSAGPLISGDQNESTWWVQPGDLNGLKGQLVKLLELSGGCLPLIRVPSEYQKVFGRPLYVSEYGAFKLVNLFKKLGDTMSVEGKGNKRFVYLRNWKTGPSAPPLVLSKKDNKKGKGTQEDCMDITTGNGSSDEFSEEERVVVEEHDEKSQRKTNVGTGDKCEIDDRSIENFKYELQEILVSYSCRIFLGCFEAIYQQRYKKPLDYRKFSVNQLEELFEKVTDVVVLLEEPVSKRKFLAASGG >ONH91642 pep chromosome:Prunus_persica_NCBIv2:G8:15119003:15123285:1 gene:PRUPE_8G127000 transcript:ONH91642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPNTSTSIVRSEPLEQRGAHISDSNTNMLQAPTNQPSRSFSDGPVAILWDIENCPVPSDVRPEDVAGNIRMALQVHPVIKGAVMTFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYIVILVIPSGVGVSSALSNAGKFVWDWPSVARGEGFVPATKVLMHPRGGHSDISGYFMGCHINDNVDIQNEEEAILYRGVSQSYYNSRDFSIVSQSVSEFNSSSLMMPCCPTASRSHSLPSGLNEVSAGPLISGDQNESTWWVQPGDLNGLKGQLVKLLELSGGCLPLIRVPSEYQKVFGRPLYVSEYGAFKLVNLFKKLGDTMSVEGKGNKRFVYLRNWKTGPSAPPLVLSKKDNKKGKGTQEDCMDITTGNGSSDEFSEEERVVVEEHDEKSQRKTNVGTGDKCEIDDRSIENFKYELQEILVSYSCRIFLGCFEAIYQQRYKKPLDYRKFSVNQLEELFEKVTDVVVLLEEPVSKRKFLAASGG >ONH90342 pep chromosome:Prunus_persica_NCBIv2:G8:5143911:5145960:-1 gene:PRUPE_8G047800 transcript:ONH90342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGDYSNMEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKVRRNYLHTFHVVFCIINDDHVKLTSLNGYTTSVMKNIVVCD >ONH91853 pep chromosome:Prunus_persica_NCBIv2:G8:15830664:15833790:-1 gene:PRUPE_8G139600 transcript:ONH91853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQILQDPHRVLSSWKDSIHFCLWQGVNCSLQHHQRVIRLDLHSQNLVGTISPHIGNLSFLRELHLQNNSFSHEIPPEIWHLHRLQVLRLDNNSLSGSLPVNVSNCLSLINLNVGYNNLVGKISPEISTLSKLQSLVLQANHLTGEIPPSLGNLSSLMILGANDNNLKGSIPSSLGQLRNLTNISLASNTLSGSVPPSIYNLSALIAFSLSQNQIQGSILSDLGNTLPNLQYFHIYGNQFTGSIPMSISNATSLVELDISENKLTGQVPNLQNLVNLELLSMGNNNFKGHIPNDIAKLSSLRRLSLQNNVLSGSIPSSLGNLTMLTRLLLQGNNLEGSIPSSLGECQRLLLLNLSRNNLGGPIPQHVIGLPSLSISLDLSRNHFVGPFPLKVGQLMSLGALDISDNMLAGELPTSLGSCLSLEVLHLQGNLFKGPIPSSMISLKRIRDLDLSRNNLWGEIPQFLQGFSSLTNLNLSYNEFRGAVPVEGVFNTLSMTSIVGNNWLCGGIAELQLPKCKHSKESKGGGLSHRFILVISISGFLGIAMLLSLIFRRRLRKKKSMETEMTSLENSILQLSYSALLKATDGFSSINLIGVGSFGSVYKGLLLDDDRAQLVAVKVLNLLRRGASKSFIAECEALRNIRHRNLVKILTICSSVDFRGNDFKALVYEFMDNGSLEEWLHPTTPTEEVRDAPKNLNLAQRLDIAIDVACALDYLHNHCGTPIVHCDLKPSNVLLDDGLTGHVSDFGLARFLPKETSNVSANQISSTGIRGSVGYVAPEYGMGSKVTTYGDVYSFGILLLEMFTGKRPTDLMFSEGLNLHNFVKVPFPEGVTEIADPLLLQGDHTRRRTNDTQKKLKCLCLIFQIGIACSVESPRDRKDISHVASELQSFRDILLR >ONH89957 pep chromosome:Prunus_persica_NCBIv2:G8:2396029:2398289:1 gene:PRUPE_8G026400 transcript:ONH89957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSNSHTEADRSAGDPCPPYKLIHGNTKEISRMMKEAMARPKTISHDTLPLWHGSQAQLVITEPELCKEILNNKDGAYPKKEVQNFVKKLLGDGLVSTTEAEKWAKLRKLATLAFHGESLSFFHRKEIDVLQEFRLLSSEVTSRIAFGSSYIEGKNIFEMLTKLGFIIYKIFLTIRVPVIIEFFKTSNEIEPEKLEKGIQDSIIEIIKKREEKAMTGGEDRFGSDYLGLLEEAQHDGNDNQRILADEVVDDCKTFYMSGQETTTALLSWTVLLLAVHTDWQEEARKEMSMIINESLRLYPPPGQDVHLFKPERFSEGVAKATNNNIGALIPFGLGPRTCVGMNFGITEAKIALSMILQCYSFTLSPGYVHFPLHYLTVRPQHGVQVMLHSL >ONH90647 pep chromosome:Prunus_persica_NCBIv2:G8:9839014:9840380:-1 gene:PRUPE_8G066600 transcript:ONH90647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAVTTSLTEASNGHETEVASIKRLAGSAALSSVPSEYTYTKNPNEQGDANDPEHSIPTIDFALLTSGSPDQRAKVIEELGRACEEWGFFQVTNHGVPESLMKSMIDACHRFFDLPEEEKKEFQTKNLLDPIRCGTSANVAIEKVRLWRDFLKVIAHPEFNSLYKPAGYSEVSLEFSKRTREVATEILKGISESLGLEADYIAKAMNWDRGLQILAANYYPACPQPDKAIGIPPHTDHGLVTLLIQNEMGGLEVKHKDQWVLVNAAPGAFIVNIGDQMQILTNDKYKSIWHRAVVNNKATRISIAVPHGPSLDTPALPIPELLEREGQAPKYIGMTYEKFMELQASPAAYVKPCLDHLRI >ONH90648 pep chromosome:Prunus_persica_NCBIv2:G8:9839014:9842786:-1 gene:PRUPE_8G066600 transcript:ONH90648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMIDACHRFFDLPEEEKKEFQTKNLLDPIRCGTSANVAIEKVRLWRDFLKVIAHPEFNSLYKPAGYSEVSLEFSKRTREVATEILKGISESLGLEADYIAKAMNWDRGLQILAANYYPACPQPDKAIGIPPHTDHGLVTLLIQNEMGGLEVKHKDQWVLVNAAPGAFIVNIGDQMQILTNDKYKSIWHRAVVNNKATRISIAVPHGPSLDTPALPIPELLEREGQAPKYIGMTYEKFMELQASPAAYVKPCLDHLRI >ONH90664 pep chromosome:Prunus_persica_NCBIv2:G8:9963672:9964918:1 gene:PRUPE_8G067800 transcript:ONH90664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKGFVEGGIASIIAGASTHPLDLIKVRIQLQGESHLPNPSMQPAFRPALAFTSATNSMPSTFCAPAPQPPRVGPVSLGLRIAQTEGVAALFSGVSATILRQTLYSTTRMGLYDILKRKWADPESGNLPLPRKLAAGLVAGGVGAAVGNPADVAMVRMQADGRLPIHQRRNYKSVIDAIREMSKHEGITSLWRGSSLTVNRAMIVTASQLASYDQMKEVILEKGIMNDGIGTHVVASFGAGLVAAVASNPIDVIKTRVMNMKVEAGATAPYSGALDCAMKTVRAEGPMALYKGFVPTISRQGPFTVVLFVTLEKVRELLKDF >ONH93253 pep chromosome:Prunus_persica_NCBIv2:G8:20096375:20100990:-1 gene:PRUPE_8G221700 transcript:ONH93253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRADGSPKKRLITSVLVLVAICSLLYLYSKRNGSSALEYGSKIRKFGSTYLGVDEDVEESPSRLGEDEEDGIILKSIPVCDDRHSELIPCLDRNLIYETRLKLDLSVMEHYERHCPLPERRYNCLIPPPPGYKIPIKWPKSRDEVWKANIPHTHLATEKSDQKWMVVKGEKIGFPGGGTHFHYGADKYIASMANMLNFSKNILNNGGKVRTVLDVGCGVASFGGYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWKAMSELVERMCWKIAAKRNQTVIWVKPLTNDCYMERAPGTQPPLCRSDDDPDAVWNVKMEACITPYSDQSHRARGSGLAPWPARLTSPPPRLGDFGYSNDIFEKDMEVWQQRVDSYWNLLSPKINSDTLRNVMDMKANLGSFAAALKNKDVWVMNVVPEDVPNTLKIIYDRGLIGTVHSWCEAFSTYPRTYDLLHAWTVFSDIERKGCSGVDLLIEMDRILRPKGFVIFRDKRKVVEFINKYMKALHWEAVATADAEGGSEQDDDVVFIVQKKIWRTSESFRNVE >ONH93251 pep chromosome:Prunus_persica_NCBIv2:G8:20096351:20101394:-1 gene:PRUPE_8G221700 transcript:ONH93251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRADGSPKKRLITSVLVLVAICSLLYLYSKRNGSSALEYGSKIRKFGSTYLGVDEDVEESPSRLGEDEEDGIILKSIPVCDDRHSELIPCLDRNLIYETRLKLDLSVMEHYERHCPLPERRYNCLIPPPPGYKIPIKWPKSRDEVWKANIPHTHLATEKSDQKWMVVKGEKIGFPGGGTHFHYGADKYIASMANMLNFSKNILNNGGKVRTVLDVGCGVASFGGYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWKAMSELVERMCWKIAAKRNQTVIWVKPLTNDCYMERAPGTQPPLCRSDDDPDAVWNVKMEACITPYSDQSHRARGSGLAPWPARLTSPPPRLGDFGYSNDIFEKDMEVWQQRVDSYWNLLSPKINSDTLRNVMDMKANLGSFAAALKNKDVWVMNVVPEDVPNTLKIIYDRGLIGTVHSWCEAFSTYPRTYDLLHAWTVFSDIERKGCSGVDLLIEMDRILRPKGFVIFRDKRKVVEFINKYMKALHWEAVATADAEGGSEQDDDVVFIVQKKIWRTSESFRNVE >ONH93252 pep chromosome:Prunus_persica_NCBIv2:G8:20096365:20101394:-1 gene:PRUPE_8G221700 transcript:ONH93252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRADGSPKKRLITSVLVLVAICSLLYLYSKRNGSSALEYGSKIRKFGSTYLGVDEDVEESPSRLGEDEEDGIILKSIPVCDDRHSELIPCLDRNLIYETRLKLDLSVMEHYERHCPLPERRYNCLIPPPPGYKIPIKWPKSRDEVWKANIPHTHLATEKSDQKWMVVKGEKIGFPGGGTHFHYGADKYIASMANMLNFSKNILNNGGKVRTVLDVGCGVASFGGYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWKAMSELVERMCWKIAAKRNQTVIWVKPLTNDCYMERAPGTQPPLCRSDDDPDAVWNVKMEACITPYSDQSHRARGSGLAPWPARLTSPPPRLGDFGYSNDIFEKDMEVWQQRVDSYWNLLSPKINSDTLRNVMDMKANLGSFAAALKNKDVWVMNVVPEDVPNTLKIIYDRGLIGTVHSWCEAFSTYPRTYDLLHAWTVFSDIERKGCSGVDLLIEMDRILRPKGFVIFRDKRKVVEFINKYMKALHWEAVATADAEGGSEQDDDVVFIVQKKIWRTSESFRNVE >ONH93888 pep chromosome:Prunus_persica_NCBIv2:G8:21964758:21974599:1 gene:PRUPE_8G259000 transcript:ONH93888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSKRALSASPPPNPKRSKASDASSSNNGVRSGPPAEPLGPIKESGSQPPEIELRSSDPPSTDSLKAVNGSDATVFERSPDVGAEGEALVSPQPLGETAVRAGLKRSKKLPKKTTKSNSKSAWGMLISQCSKREGSSAAELEITGGKGDVQVNEKIYQKDSKVVLSGGDEVVFSLSGKHAYIFQQLTNDNNIAAQGIPSISILETQSTPVNGIHIEARSGDPSAVDGASILASMSNGPNDLSPLPEPAKAGDNLQQDAEMPSLPSGCGGPDDHTADIEMKDTTNTNDQVSGDKDIVQYPDTADENPNVDSLALDMDTETGKVPGEAYQLRPLFRMFGGSSSTNFDLSGSISKILDEQREIRELLHDFDPPILISTRRQAFKEKLQQGILNPDDIEVSFESFPYYLSDTTKIVLIASAHIHLKCSEFAKYTSLLSTASPRILLSGPAGSEIYQETLAKALAKHCGARLLIVDSLLLPGAPVPKEADSVKEVSRPERVSVFAKRAAHAAGLKHKKPTSSVEAEITGGSTVSSQAPPKQETSTASSRGVTFKQGDKVKFVGAISAGSPLQSCPLRGPSYGCRGKVVLAFEDNGSSKIGVRFDKSIPDGNDLGGLCEEDHGFFCSASHLLHLDVSGGDDIDKLAISELLEVASNESKSLPLILFVKEIEKAMVGNSDAYTVLKSKLENLPENVVVIGSHTQLDNRKEKSHPGGLLFTKFGFNQTALLDLAFPDNLGRLHDRSKETPKTMKQLTRIFPNKVTIQLPQDEALLSDWKQQLERDVETLKAQSNIVSIRSVLNRIRLDCPDLENLCIKDLALTTESVEKVVGWALSYHSMHCSEAVVKDDKLVISSESLQYGLNILQGIQNENKSIKKSLKDVVTGNEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFSKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEDFEPDVDLEAVANMTDGYSGSDLKNLCVTAAHRPIREILEREKKERSLAVVENRPQPELYCSSDIRPLKMEDFKHAHEQVCASVSSESTNMSELLQWNDLYGEGGSRKKKSLSYFM >ONH93887 pep chromosome:Prunus_persica_NCBIv2:G8:21964758:21974740:1 gene:PRUPE_8G259000 transcript:ONH93887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSKRALSASPPPNPKRSKASDASSSNNGVRSGPPAEPLGPIKESGSQPPEIELRSSDPPSTDSLKAVNGSDATVFERSPDVGAEGEALVSPQPLGETAVRAGLKRSKKLPKKTTKSNSKSAWGMLISQCSKNPHLFICDTVFTVGQGRDCNLCLKDPSISTTLCKLKHVKREGSSAAELEITGGKGDVQVNEKIYQKDSKVVLSGGDEVVFSLSGKHAYIFQQLTNDNNIAAQGIPSISILETQSTPVNGIHIEARSGDPSAVDGASILASMSNGPNDLSPLPEPAKAGDNLQQDAEMPSLPSGCGGPDDHTADIEMKDTTNTNDQVSGDKDIVQYPDTADENPNVDSLALDMDTETGKVPGEAYQLRPLFRMFGGSSSTNFDLSGSISKILDEQREIRELLHDFDPPILISTRRQAFKEKLQQGILNPDDIEVSFESFPYYLSDTTKIVLIASAHIHLKCSEFAKYTSLLSTASPRILLSGPAGSEIYQETLAKALAKHCGARLLIVDSLLLPGAPVPKEADSVKEVSRPERVSVFAKRAAHAAGLKHKKPTSSVEAEITGGSTVSSQAPPKQETSTASSRGVTFKQGDKVKFVGAISAGSPLQSCPLRGPSYGCRGKVVLAFEDNGSSKIGVRFDKSIPDGNDLGGLCEEDHGFFCSASHLLHLDVSGGDDIDKLAISELLEVASNESKSLPLILFVKEIEKAMVGNSDAYTVLKSKLENLPENVVVIGSHTQLDNRKEKSHPGGLLFTKFGFNQTALLDLAFPDNLGRLHDRSKETPKTMKQLTRIFPNKVTIQLPQDEALLSDWKQQLERDVETLKAQSNIVSIRSVLNRIRLDCPDLENLCIKDLALTTESVEKVVGWALSYHSMHCSEAVVKDDKLVISSESLQYGLNILQGIQNENKSIKKSLKDVVTGNEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFSKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEDFEPDVDLEAVANMTDGYSGSDLKNLCVTAAHRPIREILEREKKERSLAVVENRPQPELYCSSDIRPLKMEDFKHAHEQVCASVSSESTNMSELLQWNDLYGEGGSRKKKSLSYFM >ONH93889 pep chromosome:Prunus_persica_NCBIv2:G8:21966249:21974591:1 gene:PRUPE_8G259000 transcript:ONH93889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGPNDLSPLPEPAKAGDNLQQDAEMPSLPSGCGGPDDHTADIEMKDTTNTNDQVSGDKDIVQYPDTADENPNVDSLALDMDTETGKVPGEAYQLRPLFRMFGGSSSTNFDLSGSISKILDEQREIRELLHDFDPPILISTRRQAFKEKLQQGILNPDDIEVSFESFPYYLSDTTKIVLIASAHIHLKCSEFAKYTSLLSTASPRILLSGPAGSEIYQETLAKALAKHCGARLLIVDSLLLPGAPVPKEADSVKEVSRPERVSVFAKRAAHAAGLKHKKPTSSVEAEITGGSTVSSQAPPKQETSTASSRGVTFKQGDKVKFVGAISAGSPLQSCPLRGPSYGCRGKVVLAFEDNGSSKIGVRFDKSIPDGNDLGGLCEEDHGFFCSASHLLHLDVSGGDDIDKLAISELLEVASNESKSLPLILFVKEIEKAMVGNSDAYTVLKSKLENLPENVVVIGSHTQLDNRKEKSHPGGLLFTKFGFNQTALLDLAFPDNLGRLHDRSKETPKTMKQLTRIFPNKVTIQLPQDEALLSDWKQQLERDVETLKAQSNIVSIRSVLNRIRLDCPDLENLCIKDLALTTESVEKVVGWALSYHSMHCSEAVVKDDKLVISSESLQYGLNILQGIQNENKSIKKSLKDVVTGNEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFSKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEDFEPDVDLEAVANMTDGYSGSDLKNLCVTAAHRPIREILEREKKERSLAVVENRPQPELYCSSDIRPLKMEDFKHAHEQVCASVSSESTNMSELLQWNDLYGEGGSRKKKSLSYFM >ONH93886 pep chromosome:Prunus_persica_NCBIv2:G8:21964758:21974575:1 gene:PRUPE_8G259000 transcript:ONH93886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSKRALSASPPPNPKRSKASDASSSNNGVRSGPPAEPLGPIKESGSQPPEIELRSSDPPSTDSLKAVNGSDATVFERSPDVGAEGEALVSPQPLGETAVRAGLKRSKKLPKKTTKSNSKSAWGMLISQCSKNPHLFICDTVFTVGQGRDCNLCLKDPSISTTLCKLKHVKREGSSAAELEITGGKGDVQVNEKIYQKDSKVVLSGGDEVVFSLSGKHAYIFQQLTNDNNIAAQGIPSISILETQSTPVNGIHIEARSGDPSAVDGASILASMSNGPNDLSPLPEPAKAGDNLQQDAEMPSLPSGCGGPDDHTADIEMKDTTNTNDQVSGDKDIVQYPDTADENPNVDSLALDMDTETGKVPGEAYQLRPLFRMFGGSSSTNFDLSGSISKILDEQREIRELLHDFDPPILISTRRQAFKEKLQQGILNPDDIEVSFESFPYYLSDTTKIVLIASAHIHLKCSEFAKYTSLLSTASPRILLSGPAGSEIYQETLAKALAKHCGARLLIVDSLLLPGVCSFISPFDCCLTRIIFVFQAPVPKEADSVKEVSRPERVSVFAKRAAHAAGLKHKKPTSSVEAEITGGSTVSSQAPPKQETSTASSRGVTFKQGDKVKFVGAISAGSPLQSCPLRGPSYGCRGKVVLAFEDNGSSKIGVRFDKSIPDGNDLGGLCEEDHGFFCSASHLLHLDVSGGDDIDKLAISELLEVASNESKSLPLILFVKEIEKAMVGNSDAYTVLKSKLENLPENVVVIGSHTQLDNRKEKSHPGGLLFTKFGFNQTALLDLAFPDNLGRLHDRSKETPKTMKQLTRIFPNKVTIQLPQDEALLSDWKQQLERDVETLKAQSNIVSIRSVLNRIRLDCPDLENLCIKDLALTTESVEKVVGWALSYHSMHCSEAVVKDDKLVISSESLQYGLNILQGIQNENKSIKKSLKDVVTGNEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFSKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEDFEPDVDLEAVANMTDGYSGSDLKNLCVTAAHRPIREILEREKKERSLAVVENRPQPELYCSSDIRPLKMEDFKHAHEQVCASVSSESTNMSELLQWNDLYGEGGSRKKKSLSYFM >ONH91465 pep chromosome:Prunus_persica_NCBIv2:G8:14345862:14349529:1 gene:PRUPE_8G116300 transcript:ONH91465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQYLGAKQGFNIKLQLLNLQTIIRKNLNTLKKSETQREWVDRERERERIEEEQKGKKKKMAQPQPPGEKTQDAPEMEVKENPISPSFKFNAQAPEFVPRSHTQMPISGYFYPCFHFLGESASPDWFYIGDQELPPYLISNNPNIPLANRSKNALPDDLQQKIIKQVEYQFSDMSLLANESLVKHISKDPEGYVPISVVASTKKMKSLISNNHMLAQALRSSSKLVVNEDGKKVRRKYPFTEKDKEELQSRTVVAENLPEDHSHQNLEKIFSVVGSVKTIRICHPHESNSSRSKGDFIISNKLHALVEYETTDIAERAVDKLSDERNWRKGLRVRLLLRRSPKSVLKNRKSDFDGILEDEEPQYDCAEETSQPSNPELVTDSPNEENLAASKKGWAWGRGKARGRGQGQSGRGLIAPSPQSSNTIQCEAPAKQNAKGPRMPDGTRGFTMGRGKPVSAINSL >ONH91466 pep chromosome:Prunus_persica_NCBIv2:G8:14345996:14348903:1 gene:PRUPE_8G116300 transcript:ONH91466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQYLGAKQGFNIKLQLLNLQTIIRKNLNTLKKSETQREWVDRERERERIEEEQKGKKKKMAQPQPPGEKTQDAPEMEVKENPISPSFKFNAQAPEFVPRSHTQMPISGYFYPCFHFLGESASPDWFYIGDQELPPYLISNNPNIPLANRSKNALPDDLQQKIIKQVEYQFSDMSLLANESLVKHISKDPEGYVPISVVASTKKMKSLISNNHMLAQALRSSSKLVVNEDGKKVRRKYPFTEKDKEELQSRTVVAENLPEDHSHQNLEKIFSVVGSVKTIRICHPHESNSSRSKGDFIISNKLHALVEYETTDIAERAVDKLSDERNWRKGLRVRLLLRRSPKSVLKNRKSDFDGILEDEEPQYDCAEETSQPSNPELVTDSPNHVDPSDGLRIVTKCQLYKVDCMLRLQ >ONH91467 pep chromosome:Prunus_persica_NCBIv2:G8:14345862:14348132:1 gene:PRUPE_8G116300 transcript:ONH91467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQYLGAKQGFNIKLQLLNLQTIIRKNLNTLKKSETQREWVDRERERERIEEEQKGKKKKMAQPQPPGEKTQDAPEMEVKENPISPSFKFNAQAPEFVPRSHTQMPISGYFYPCFHFLGESASPDWFYIGDQELPPYLISNNPNIPLANRSKNALPDDLQQKIIKQVEYQFSDMSLLANESLVKHISKDPEGYVPISVVASTKKMKSLISNNHMLAQALRSSSKLVVNEDGKKVRRKYPFTEKDKEELQSRTVVAENLPEDHSHQNLEKIFSVVGRLDFCTELIISLPCLLCYHTF >ONH92193 pep chromosome:Prunus_persica_NCBIv2:G8:16971667:16974002:1 gene:PRUPE_8G161600 transcript:ONH92193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSLSHFSSFLLILLLFALSLGQQTAAGHGLSIKEATIDDLQLAFKHNKLTSRQLVQFYLGEISKLNPFLKGVIEVNPDALYLAEKADYKRKTKAPSVSLSKLHGIPILVKDNIATKDKLNTTAGSYALLGSVVPRDAGVVTKLRKAGAVILGKASLSEWSYWRSNDAPSGWSGRGGQGVNPYNFSTTPSGSSSGPAISAAANLATVTLGSETDGSILSPSSWNSVVGIKPTIGLTSRAGVVPISPRQDTVGPITRTVSDAAYVLDVIAGIDSNDLATSEASRYIPKGGYAQFLRPDGLRGKRIGIVRALFNFGSDASLTQTFEQHFNTLRKRGAVLVDNLEIAHFDEIYYNSSSEDIALSAEFKIYLNTYLKNLVASPVRSLADVIVFNNKNSKLEKVKEYGQGLLLKSEATNGIGNAEKAALVNLAKLSKNGFEKLVTKKRLDAVVAPSATVSTLLAIAGSPGVVVPAGYTKDGVPFGISFGGLRGSEPKLIEIAYGFEQATKIRKPPSLKNFKI >ONH92232 pep chromosome:Prunus_persica_NCBIv2:G8:17135680:17140243:-1 gene:PRUPE_8G164400 transcript:ONH92232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLVDKEYLKEIEKARCDLRALIYSSSCAPLMLRLAWHDAGTYDAKTKTGGPNGSIRTEEEYSHGSNNGLKKAVDFCEEVKSKHPRISYADLYQLAGVVAVELTGGPAIDFSPGRKDSKISPKEGRLPDAKRGAPHLREKFYRMGLSDKDIVALSGGHTLGRAHLERSGFDGPWTKEPLQFDNSYFVWIGGPLQMDWRELLNGESEGLLKLPTDAALVEDPEFRKYVDLYAKDEDAFFGDYAESHKKLSELGFTPISSSTNTAKDSTILAQGAVGVAVAAAVVILGYLYEAKKRLK >ONH92231 pep chromosome:Prunus_persica_NCBIv2:G8:17135343:17140547:-1 gene:PRUPE_8G164400 transcript:ONH92231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLVDKEYLKEIEKARCDLRALIYSSSCAPLMLRLAWHDAGTYDAKTKTGGPNGSIRTEEEYSHGSNNGLKKAVDFCEEVKSKHPRISYADLYQLAGVVAVELTGGPAIDFSPGRKDSKISPKEGRLPDAKRGAPHLREKFYRMGLSDKDIVALSGGHTLGRAHLERSGFDGPWTKEPLQFDNSYFVELLNGESEGLLKLPTDAALVEDPEFRKYVDLYAKDEDAFFGDYAESHKKLSELGFTPISSSTNTAKDSTILAQGAVGVAVAAAVVILGYLYEAKKRLK >ONH92374 pep chromosome:Prunus_persica_NCBIv2:G8:17517218:17519544:1 gene:PRUPE_8G170900 transcript:ONH92374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVRFRTLLLVSAALRVILILYGEWQDTHMEVRYTDIDYMVFSDAASLMAAGKSPFERSTYRYSPLLAFLLIPNSFLHRSWGKFLFSAADLLVGLFIRTILKLRGVPENLSMLSVIVWLFNPFTFTIGTRGNCEPIVCALVLWVFICLMNGRVLQAAFWYGLVVHFRIYPIIYALPILVILDPHVFRSGQKPCLQIWDPRQESPQNRSVTRLAQLLCPWFLLKSALTRERIVFSFISAAVFFLFTGLFYHLYGWEFLYEALLYHLTRTDPRHNFSIYFYHIYLQYNRKLSILEKLVSFLPQLIVQVVLVLSFAQDLPFCCLVQTVAFVAFNKVMTAQYFVWFFCLLPLVLTWSKMKLKWKGLSCMTLWVAGQLHWLMWGYLLEFKGRNVFLQLWMASILFLAANTYVLVSLIRDHRFSPVFTCLEKTSKGGKKLQ >ONH90078 pep chromosome:Prunus_persica_NCBIv2:G8:3124061:3124321:-1 gene:PRUPE_8G033700 transcript:ONH90078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKFVEILDQGARIVSRSYSHCPQTSRLYYHPPLAKHDDCHHHQHNQHFGDGTTTSGDGDATTSQSCSFGPRAAADTNEFILFSV >ONH89928 pep chromosome:Prunus_persica_NCBIv2:G8:2226290:2229028:1 gene:PRUPE_8G024600 transcript:ONH89928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATFLFLLPFTIFSIFAAAQPGTSNISLGSSLYPNNSQWLSNSGQFAFGFYREGNDLAIGIWFEKIQPQTIIWTANRDVKLPSSEDVKLHLSGEGISVILQDKQGLSPLISNITESVAWASMLDSGNFVLYNSNAKIIWQSFDAPTDTILSGQRLLAGRNLVSSISTTNHATGRFQLSMQRDGNLVQYPANLVLVTAQYAYWNTKTFTAGDNVSLNLDHNGQLYLLNSTGFYIKNITNQTQLPDNHVYRLTIDSDGILRLYSHSLTQNDSWSIEYSSSSNKCDPLGLCGLNAYCVPIEEDPPCLCLPGFVFIDQGQRDLGCRRNFSIVDCANKNETRYSITDLDGLEWEDNPYSVLTLNETACKEDCLRDCACEGAVYKDNTCRKQKFPLGYGRTDETASTLVKIGIESSGTKTVSKGRNKQLQTDIIISSVAMLAFSLIILAITGVFIYRYRVLGYKKVPYSADGRFVEDVTLRSYTYVELEKATKGFTDEVGKGAFGTVYKGVISNGGRVVAIKKLEKVVGEAEREFQNEMNVIGRTHHKNLVKLLGYCHDGSNRLLVYEYMTNGSLADFLFRSDGRPAWEERTGIVLNVAQGILYLHEECETQIIHCDIKPENILMSEQKCAKLADFGLAKLLKSEQTRTHTGFRGTRGYVAPEWHRNMPITVKADVYSFGVVLLEIICCRRSVNMDIPEDQVVLENWVYHCLEADELHKLVQDEEVDKTQLGRMIKIGLWCIQDEPSLRPSMKKVVLMLEGTVEIPAPPNPDSFFSSIS >ONH91721 pep chromosome:Prunus_persica_NCBIv2:G8:15484764:15485506:1 gene:PRUPE_8G133000 transcript:ONH91721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALNIPRGVRRVLFRTSNTDRKLMWKKEFDTSYVGFMKDGAKWLVENTDIKLVGVPTHHVFLERREVNLLEGLKLDGVPAGIYSVHCLPLRLIGADGSPTRCILIK >ONH93883 pep chromosome:Prunus_persica_NCBIv2:G8:21957175:21961862:-1 gene:PRUPE_8G258800 transcript:ONH93883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASKLSALEEVQHLTNSSDNDEKFNGGRGDGSDGGSETEGFVSGEEDFDSERAFVRGLDKETVVKAIAGEEESPVKFVNSSEFFYPSSQRPIAKVSVDDDDDDDDAGDSKYDVLGAEDVVRESFVTDRDLKTVGGESSVDNLGMNGEILVDTHSGKNANFGELVKENGVSGGQGHVVDALVGGEVDKELEKSKFVEGVGSVVEGSSVPETQLEVTEIENKKAVESKEGDVLNGTSEVEIESKGNGGVVEEDSTVLGGVDEKENSLIVELADDKLAEKDGVDSESDRVAVAESGNVEVHGQKDVVAGADEVGFEKRPEREDELKSDSESRQKGLTTELDTDEVEVVSGDEPFVGDGAETQSVNCASDLAQHEPADKARPANSNFGVHDQVDELEAAVSVKSLAPEFVEPSSTNQEIKLEEEVQKKHFLDEGGNESVNANSILDREIKVETEDDDNDLQDDDKDLQDDDDDDDKDLQDDEGENEGSIADGNKEGMIFGSSEADKQFLEELERGSDSDEEVDTDEEGGGKELFDAASLAALLKASTAAPSDGGNVTITTSDGSRLFSIERPAGLGSSIRSLKPASRPNNSNLFTSSNVTVGGESENNLSDEEKAKLEKFQQIRVQFLRLVQRLGVSTEDSVARQVLYRLALLSGRQNSREFSPDAAKMTALQLEAEGKDDLNFSLNILVLGKTGVGKSATINSIFGEEKTPIYAFGPATTTVKEIVGVVDGVKIRVFDTPGLKSAAMEQNVNRKILSFVQKFTKKCPPDIVLYVDRLDTQSRDLNDVPLLRSITSAFGPSIWRSTIVTLTHGASAPPDGPSGSPLNYELFVAQRSQILQQTIGQAVGDLRFMSPSMISPICLVENHPSCRKNRDGQKVLPNGQSWRPQLLLLSYSMKILSEATNLSKPQESFDNRKLFGFRSRSPPLPYLLNWLLQPRPHPKLSADQENADSDIDLDDLSDSDQEEEEDEYDQLPSFKPLKKAQIAKLSKEQRKAYTEEYDYRVKLLQKKMWREELRRMKEMKKKGKVSADDYGYLGEEDPENGGPAAVPVPLPDMVLPPSFDSENPAYRYRLLDSTSQLSARAVLDVQGWDHDCGYDGVNLEQSLAIANSFPAAVTVQLTKDKKYFTMHLDSSVAAKHGENGSSMVGFDIQNIGKQFAYIVRGDTKFKNFKRNKTGAGVAVTFLGESVSTGLKVEDQIALGKRVILVGTAGSVRSQGESVHGANLEMRLREADYPIGQDQSSVGLSLVKYRGDLALMVNLVSQFSLGRNYKMTVRAGVNNKLSGQISVRTSSSEQLQIALVAVLPIVRAICNTIWPGASENYSIY >ONH93884 pep chromosome:Prunus_persica_NCBIv2:G8:21957216:21961807:-1 gene:PRUPE_8G258800 transcript:ONH93884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASKLSALEEVQHLTNSSDNDEKFNGGRGDGSDGGSETEGFVSGEEDFDSERAFVRGLDKETVVKAIAGEEESPVKFVNSSEFFYPSSQRPIAKVSVDDDDDDDDAGDSKYDVLGAEDVVRESFVTDRDLKTVGGESSVDNLGMNGEILVDTHSGKNANFGELVKENGVSGGQGHVVDALVGGEVDKELEKSKFVEGVGSVVEGSSVPETQLEVTEIENKKAVESKEGDVLNGTSEVEIESKGNGGVVEEDSTVLGGVDEKENSLIVELADDKLAEKDGVDSESDRVAVAESGNVEVHGQKDVVAGADEVGFEKRPEREDELKSDSESRQKGLTTELDTDEVEVVSGDEPFVGDGAETQSVNCASDLAQHEPADKARPANSNFGVHDQVDELEAAVSVKSLAPEFVEPSSTNQEIKLEEEVQKKHFLDEGGNESVNANSILDREIKVETEDDDNDLQDDDKDLQDDDDDDDKDLQDDEGENEGSIADGNKEGMIFGSSEADKQFLEELERGSGTGSYSGAESYHDHSQRIDGQIVTDSDEEVDTDEEGGGKELFDAASLAALLKASTAAPSDGGNVTITTSDGSRLFSIERPAGLGSSIRSLKPASRPNNSNLFTSSNVTVGGESENNLSDEEKAKLEKFQQIRVQFLRLVQRLGVSTEDSVARQVLYRLALLSGRQNSREFSPDAAKMTALQLEAEGKDDLNFSLNILVLGKTGVGKSATINSIFGEEKTPIYAFGPATTTVKEIVGVVDGVKIRVFDTPGLKSAAMEQNVNRKILSFVQKFTKKCPPDIVLYVDRLDTQSRDLNDVPLLRSITSAFGPSIWRSTIVTLTHGASAPPDGPSGSPLNYELFVAQRSQILQQTIGQAVGDLRFMSPSMISPICLVENHPSCRKNRDGQKVLPNGQSWRPQLLLLSYSMKILSEATNLSKPQESFDNRKLFGFRSRSPPLPYLLNWLLQPRPHPKLSADQENADSDIDLDDLSDSDQEEEEDEYDQLPSFKPLKKAQIAKLSKEQRKAYTEEYDYRVKLLQKKMWREELRRMKEMKKKGKVSADDYGYLGEEDPENGGPAAVPVPLPDMVLPPSFDSENPAYRYRLLDSTSQLSARAVLDVQGWDHDCGYDGVNLEQSLAIANSFPAAVTVQLTKDKKYFTMHLDSSVAAKHGENGSSMVGFDIQNIGKQFAYIVRGDTKFKNFKRNKTGAGVAVTFLGESVSTGLKVEDQIALGKRVILVGTAGSVRSQGESVHGANLEMRLREADYPIGQDQSSVGLSLVKYRGDLALMVNLVSQFSLGRNYKMTVRAGVNNKLSGQISVRTSSSEQLQIALVAVLPIVRAICNTIWPGASENYSIY >ONH93145 pep chromosome:Prunus_persica_NCBIv2:G8:19790783:19792681:1 gene:PRUPE_8G215700 transcript:ONH93145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSTPVIGSLLSSFSADSPNIISNHHSEPTKPTTIHHTPSKFSFHQTWALNISHNSSPISPSVDSDRFSSHGFPSHGFRRAQSDGNLEGLLAYASCDQNEDLVCDLKPPKIFPGRFKCTMLQTIPSFSLHNSDEEEDSDIEYEDEEEEFEENVERVVAMEGENVGLAEKVKNMSLTQDVKFLDKIWSAGFEEKRELVSREMHLAKGLGIGGGGGGGSRGRGEVNWGGSGDDGGDNHGAEGHYKKLVEENPGNPLFLGNYAQFLYQTNRDLHGAEEYYSRAILADPKDGEVLAQYANLVWELHHDQDRALSYYERAVQAAPQDSHVHAAYANFLWETEEDEDEDEAYAAGNDLHAIPSYRHQGIMTSATA >ONH93144 pep chromosome:Prunus_persica_NCBIv2:G8:19790507:19792681:1 gene:PRUPE_8G215700 transcript:ONH93144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSTPVIGSLLSSFSADSPNIISNHHSEPTKPTTIHHTPSKFSFHQTWALNISHNSSPISPSVDSDRFSSHGFPSHGFRRAQSDGNLEGLLAYASCDQNEDLVCDLKPPKIFPGRFKCTMLQTIPSFSLHNSDEEEDSDIEYEDEEEEFEENVERVVAMEGENVGLAEKVKNMSLTQDVKFLDKIWSAGFEEKRELVSREMHLAKGLGIGGGGGGGSRGRGEVNWGGSGDDGGDNHGAEGHYKKLVEENPGNPLFLGNYAQFLYQTNRDLHGAEEYYSRAILADPKDGEVLAQYANLVWELHHDQDRALSYYERAVQAAPQDSHVHAAYANFLWETEEDEDEDEAYAAGNDLHAIPSYRHQGIMTSATA >ONH94108 pep chromosome:Prunus_persica_NCBIv2:G8:22472005:22474003:-1 gene:PRUPE_8G271000 transcript:ONH94108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWRDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDEALANVPFLILGNKIDIPYAASEEELRHHLGLTNFTTGKGKVNLTDSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >ONH94109 pep chromosome:Prunus_persica_NCBIv2:G8:22472334:22473841:-1 gene:PRUPE_8G271000 transcript:ONH94109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWRDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDEALANVPFLILGNKIDIPYAASEEELRHHLGLTNFTTGKGKVNLTDSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >ONH90524 pep chromosome:Prunus_persica_NCBIv2:G8:7713717:7715813:-1 gene:PRUPE_8G059300 transcript:ONH90524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKESLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKRYEEKEEEKRDEEKEEEKEKEDEEKHDDKTLIFLFNPSFVYNLDGGIFLRRNKIFKRRFFHRRLKGFRRLFIHKTVVFIKLPRQFFHRRLNTFKTTYLHDVLKKANMCSMVGFIDPATVSANSGTITARSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKLYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKVRRNYLHTFHVVFCIINDGRVKLTSLNGYTTSVMKNIVVCD >ONH91687 pep chromosome:Prunus_persica_NCBIv2:G8:15318016:15318757:1 gene:PRUPE_8G130000 transcript:ONH91687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPYVGTEEWITSLNLSIDYTWRPWFVNGQVAGYTKAYTRKAYSLTYATVKGAGHAATEYNPRECFPMIDRWLSYYYI >ONH90814 pep chromosome:Prunus_persica_NCBIv2:G8:11037149:11038413:-1 gene:PRUPE_8G076100 transcript:ONH90814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLEAIRIIVGIIGNVISFFLFTSPFTTFLKIIKQKSVGEFKADPYVATLLNCAMWSFYGMPFVHPDSVLVVTINGCGFVIELIYIAIFLTYSSNAKRRILIALLVEVIFFAVVVFVTLHFLHTTKGRSMIIGILCIVFNIIMYASPLTIMKMVIKTKSVKYMPFTLSLANFCNGIVWLIYALIKFDPYILVPNGLGSISGLVQLILYATYYKTTNWDEEDEKPKSEVQLSDV >ONH93014 pep chromosome:Prunus_persica_NCBIv2:G8:19400475:19400900:1 gene:PRUPE_8G208100 transcript:ONH93014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMSGNAVFLLVTTLLAMAAQCNANFPYYFGDFKVSIRNGFSGNIKLEVNCTSFDDDLGRHWLRKGETYSFTFHTDILGTTTWDCRLATQDAPLGTSQLFKCDYDFLKKCDFRECKWDVRDDTEYLLDIPQNKYVRWAHT >ONH90162 pep chromosome:Prunus_persica_NCBIv2:G8:3754837:3755499:1 gene:PRUPE_8G038300 transcript:ONH90162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHHRNLVSFIGYYDEGLTWKQRLHIPVDAARRLEYLHDGCKPPILHRDLKLSNILLNETLQAKIAECGISKALETKQLLSDAYNFGIVLLELITGRPAIIRDVEPVHFERMEIESIVDSIVHGTYKYSSVQTAIETALACVSKTPTERP >ONH91842 pep chromosome:Prunus_persica_NCBIv2:G8:15800194:15803760:1 gene:PRUPE_8G138900 transcript:ONH91842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQGFFSALKDEVVRGLSPSRSRASSPARSGSPMSGLLRRKKQNHHHHSHGYGGQLVAQPEPLIGRSGSLRPVMEGPDPDGGELGESKRVGSGLGQWMRGQLSRTPSVSSVAQSNSRRSDLRLLLGVMGAPLGPLHVSSSDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIKNAYAMGKVRMVASEFETATKVTKTRNASKCAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRSTASMFTDARCIGERKINGEDCFILKLCADPQTLKARSEGPAEIIRHVLFGYFSQRTGLLVHMEDSHLTRIQSNGGDAIYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGDMAMSHTKTRMEEAWTIEEVAFNVPGLSVDCFIPPADLRSGTISETCELPHDERGKGGGIAIAAHRAKVAALEKEHNASVESLTWKMEI >ONH91914 pep chromosome:Prunus_persica_NCBIv2:G8:16026790:16032887:1 gene:PRUPE_8G143400 transcript:ONH91914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICSPEHQICVVRTYSEWVIDGEYDWPPKCCLCQVALDEGTGSQTTRLGCLHVIHTSCLVSHIKSFPSHTAPAGYVCPTCNTSIWPPKNVKDSASRLHSKLKEAITQTGLEKNLFGNHPVSLLSTESRSPPPAFSSDPLINASLNGGREINASSSAAKDETNIIDFSIEAGSSILPVTDIVEIEGPIAAGNFVKSTSPPGATTRKGAFQVERQNSEISYYADDEDGNRKKYSRRGPFRHKFLRALLPFWSTALPTLPVTAPQRKDGSIANDVPEGRARHQRSSRMDPRKILLVIAIMACMATMGILYYRLVQRGFGDEIPENEQE >ONH91913 pep chromosome:Prunus_persica_NCBIv2:G8:16026790:16032887:1 gene:PRUPE_8G143400 transcript:ONH91913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATKLYCFVHKVPVCGECICSPEHQICVVRTYSEWVIDGEYDWPPKCCLCQVALDEGTGSQTTRLGCLHVIHTSCLVSHIKSFPSHTAPAGYVCPTCNTSIWPPKNVKDSASRLHSKLKEAITQTGLEKNLFGNHPVSLLSTESRSPPPAFSSDPLINASLNGGREINASSSAAKDETNIIDFSIEAGSSILPVTDIVEIEGPIAAGNFVKSTSPVGPGATTRKGAFQVERQNSEISYYADDEDGNRKKYSRRGPFRHKFLRALLPFWSTALPTLPVTAPQRKDGSIANDVPEGRARHQRSSRMDPRKILLVIAIMACMATMGILYYRLVQRGFGDEIPENEQE >ONH94085 pep chromosome:Prunus_persica_NCBIv2:G8:22404268:22404966:-1 gene:PRUPE_8G269500 transcript:ONH94085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSDSVIITIADSNKGPGPTPTPTDIIDHTSIKEVAHDHQSDDHHHDVGPTSPAAPAPKKKRGGGAMHILQLAFFMLRRRHRSDGKSKPTSFEGQAQAVASTKGTWKRLVGSIRPLHLQTTSNPTPPSSVHRSAPSERYEDVLPPPMSPARTDDLSSEDGMTSRYTSAVNLQELDRTDEDNDNAAIVNANIDAKAEEFIAQFYQQMRLQRLDRLDTVHLSCNDTIRRSTS >ONH91295 pep chromosome:Prunus_persica_NCBIv2:G8:13554347:13554924:1 gene:PRUPE_8G104700 transcript:ONH91295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDPFVQYLHLKFYGYPWELQFWGQSGIYIANFSDYIVSFRLEVFFPFNGKKRRKEQRDQMLCTIIKFSYTINDSVADAK >ONH92318 pep chromosome:Prunus_persica_NCBIv2:G8:17379376:17380083:-1 gene:PRUPE_8G168400 transcript:ONH92318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEILVSILSLLSLKEAAATSILSRRWQYVWMSTMVLNFNANFDIHRNLCRFIALKRKLRYLESGRYVNWVNRVVEQHRGPNIEEFRACFQLNDRFASSIDKWIQFAMEKGVKTLVLKFSTQCGAASKNFYVFPHKLLGLEKKHVYSYIPSLRPCGCKVGFQFLKVLHFECVDMTDKVFEYFLSNCPVLERLTVHETKSFVNLRVVRPSVTLKHLVTGICLGLQSIEICDANLV >ONH91011 pep chromosome:Prunus_persica_NCBIv2:G8:12137883:12139434:1 gene:PRUPE_8G088300 transcript:ONH91011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRGGGEGGVIMASRCQDCGNQAKKECVYMRCRTCCKSKGFHCQTHVKSTWVPVYRRRQQQLPAAALLSQQHLQLYHEQGHHHQNPKRPAEHLTNPSSSTRLDQEVNFPAEVNSMATFKCVRVSSVEDMVDQHAYQTSVVIGGHVFKGILYDQGPDHHHQNCYTTVGQSSSSHHVLLNRKTSNFINAAANTASTSSAPDPPADQLPHHHPPSSYPLIPFNAFMPGTQFFINPK >ONH90215 pep chromosome:Prunus_persica_NCBIv2:G8:4199276:4205247:-1 gene:PRUPE_8G041000 transcript:ONH90215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASAFLPSAHQSARQWNYDVFLSFRGVDTRNSFVSHLYHELQHRVIKTFKDDPKLERGTTISSELLNAIQESRLAIVVLSPNYASSSWCLDELTKILQCMKSNGTVLPVFYNVDPSDVRKQSGSFAGAFAEHEKRFREDIEKVKCWRVALTEVANLSGLDSKNECERKLIEKIVEWVWGKVHRTFKLLDSAELVGIKFTREQMDLLLDPTDDVRFVGIWGMGGIGKTTIARLVHESISFHFEVSCFLANVREASEGNRLVDLQKQLLFPILKEQITQVWDEEWGAYFIKNCLCNKKVLLILDDVNASRQLEKFAKEKDWFGKGSIIIITTRDERLVKKHDMEISYKVEGLGDDEALELFSLNAFKKFEPKEGFWELSKCFVNYAGGVPLALKILGRFVYKRDRDEWKSELDKLRKIPQPTIFDLLKISYDRLDEMNQNIFLDVAFFHKGKSKEEVIEILDSCDRCGGINALIEKSLLTVEISNNIVGMHDLIQEMAFQIVRQESIEEPGGRSRLCHRNDIIHVLINNTGTNKIQGIALTLAELEKADWNCEAFSKMINLKFLEVDNVIISSIPRILPNSLRIIKWNWYSFKYLPSNFQPNKLVSFEMRGSELVRLWDGRIDLPNLKYMDLSCSRNLATIPNFTGIPKLQVLDLYGCENLVEIHPSVAYLKWLTRLILDDCSSIKSLPSEIEMDSLMYFSLDGCSKLKKIPEFSRQMENLSTLSLCGTTIEKLPSSIERLVGLTCLDVRNCKNILGLPSAIRNLKSLKKLYAYGYNCPKNSCEIDPGSAGMIKVFGPERNKSRFWWSLQRKAFVLGSLSGLWSLEYLDLPGDSGLCDFPGDIGFLYSVQKLDLSRNNFVSIGCLPKHLVIKVNGCQRLQQLPHLRFEDFDRFEIYTDGCTSLKTSPKLSRTNGSYIKMPCVSCFGLVENESCDDNVILGMLWIALDWRFLQVPALTGPLPRVNIVTPGRRIPEWFNNQSVGDSLIVELPSCTTWTWIALCAVFEDDHPNPPHEPSTNFHIECHSGKDILVRSSLMEGGHLVSPHLWVACVSPYVFNEECNQMKISFRTVYDNSPRASGKTYCSGIKKCGFRLVHEQDLEELKQIVMMNHSINISTKAISSHNSADARASSHQKSLCRKSYALSKGFLTKLVKIFSLFLTTAVFIKSFNNSKQWGCIGLLIWMVTTLISYLGLAPSYFSLLLKSLIQIVILKRAAKFLPTLLKTPPPQMSTHKYLKG >ONH91533 pep chromosome:Prunus_persica_NCBIv2:G8:14764906:14765942:1 gene:PRUPE_8G121800 transcript:ONH91533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGDEGPEAVGLAVAFEVESEAGETLLGEENWGGLEGPADVVAVAVDHEDEATWRGEKGQPLPGEELEASG >ONH90130 pep chromosome:Prunus_persica_NCBIv2:G8:3311786:3314156:1 gene:PRUPE_8G036300 transcript:ONH90130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWEWSYRKVRTSIITEVSSLSQLPLGPSSVNVVFTLCRSIEFLNEQLLGEISRVLEPGGTVLIYKTSDSSKGESDKAASVIGRKLLLSGFLEAQALQIKSNLPSELHAIRNPFYPPHQSSFILNWLQLVKAKKPSWKIGSSFALKKTTKSLPKVQINDDSDLIDEDSLLTEEDLKKPQPLPPGDCEVGSTRKACKNCTCGPGDAFRCSTCPFKGLPPFKPGEKVSLSANFLAADI >ONH91870 pep chromosome:Prunus_persica_NCBIv2:G8:15893051:15896476:1 gene:PRUPE_8G140900 transcript:ONH91870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSIVSSFLTFLLCISPAFSTNSEGNALHALRSRLNDATNVLQSWDPTLVNPCTWFHVTCDSNNHVIRLDLGNSNISGSLGPELGELKHLQYLELYRNDISGKIPNELGKLKSLVSMDLYDNRFEGKIPKSFSKLKSLRFLRLNNNKLTGSIPRELVSLSSLKVFDVSNNDLCGTIPVDGPFGTFSMESFENNRLNGPELKGLVPYDFGC >ONH94064 pep chromosome:Prunus_persica_NCBIv2:G8:22353582:22357923:-1 gene:PRUPE_8G268100 transcript:ONH94064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DISGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAHDLYGNFILDTAAGVWLDRNGLVTSSRTGKGQNDYDPPLELMRRCRHAAASLGVRIYIYGGLKGDILLDDFLVAENSSFQSEIGSPVLTSERSPTVTSPRPFHANTSTFGTSPSSDGEPESPPSRGLSMDKNSMEKLREASAAEAEAASAVWQAVQATSATPAEETSVSDDNSHVAETLSDGSDTEADVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPMNNDLSHPNKKFTRQKPRNWKAPVNRKFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSAAGDITYIDYLFLGDYVDRGQHSLETITLLLALKAIIEYPDNVHLIRGNHEAADINALFGFRIECIERMGENDGIWQWTRFNQLFNYLPLAALIEKKIICMHGGIGRSITSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTDFCKRKKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPLQSPETSPERVIEDMWMQELNIQRPPTPTRGRPQPDLDRNSLAYIYIYIYIYIADRLLYFTISECDNPHFA >ONH90322 pep chromosome:Prunus_persica_NCBIv2:G8:4881472:4882404:-1 gene:PRUPE_8G046200 transcript:ONH90322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPWRRGGRRGGVGGGGGWIEPWFGSEAWDPFGLGISDWEEQGGGDETSAVANAHVNWRETDNAHIFVADLPGVKKEDVKVHVEDGNMLQIRGQRVQEQEKTEDKWHRVERQLGSFVRRFWLPKNADSDKIKCGLENGVLNVTVPKKDAEQNPKDVRTIDVA >ONH92404 pep chromosome:Prunus_persica_NCBIv2:G8:17643590:17646763:-1 gene:PRUPE_8G173400 transcript:ONH92404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMEEGFGEANWSTDRVHSLSRRSESAQVIPITTSNPTSEEDGADYIASSAADWGGGELNPQDAWLPLTESRNGNTISATFHLLCSGIGIQALLLPVALATLGWAWGIICLSLAFSWQLYTIWLLVHLHESDSGTRYSRYLDLAMTTFGQKLGKFSSLVPVMYLSAGTCVQLIIIGGGTLKLFITTVCKDGATCDAKSLTTVECFLVFMIMAVVVAQFPNLNSLAWVSLIGSITAIAYCTMIWALSIGKGRSSDISYDPPEMESNMDRFGGILNSLGIIVLAFRGHNVVLEIQGTLPLSPKHPTHKPMWRGVAISYLLIAMCLFPLAIAGFWAYGNKVPSSNGGLLIAISKFHRHDTPRIVLGLMFILVIINCLSTFQIYGMVIFDKLESNYTSKKKKPCARWLRMAFRVFFGGMTFFVAVAVPFLGSLAPLIGGLTLPLAYAYPCFMWIAIKKPKPKGVMWCANMGLGCLGLVLSALLVVAAAWNLASEGLHANFFKP >ONH89661 pep chromosome:Prunus_persica_NCBIv2:G8:571486:572211:1 gene:PRUPE_8G007500 transcript:ONH89661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTHARVPGKPTKTGMAILETATATVQSFSPINSIHQHLCAFHLYSHDMTRQLEAHHFCAHQNKEMRQCLIYDSPKADAKLIGLEYIISELLFLTLPDNEKPLWHSHEYEVKSGVLFMPGLPGPIQRQDLDKVCKTYGKTIHFWQVDRGDELPLGIPQVMLALTRDGQLRLELAIDVQMRYGVSFDEEREKRAYMEGPKLGVHPLANGGGKGLKTELRETDCMPVDSVPRVFV >ONH93341 pep chromosome:Prunus_persica_NCBIv2:G8:20367946:20372806:1 gene:PRUPE_8G227200 transcript:ONH93341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAKNYSKNLFNHLYFMQRFLKKEQLYQRFIYNYVDRFQSLRSKVGKVEPEALKETKTAAPPQAPLRPKHDAISNYSSDDDDLIDSKWKGLELAWLPRALEPALQLCRWALPSSTGNGVREKPPPSTRSVSEIIASIQRSKTGIQDWSLSDLTIGLFLIYLRQASVNPFEDVNGVQVSSDSMVRDLIYHSELAKGSYKDTTAALARNTMLRESNVLKFVKNSSVMRPGYYIGIDPRKKLVILGIRGTHTVYDLITDVVSSSDGEVTFEGYSTHFGTAEAARWFLSHEMGNIRKCLEKYEGFKFRLVGHSLGGATAALLAIMLRKKSAKELGFNPEIVSAVGYATPPCVSKELAESCSSYVTTVVMQDDIIPRLSVASLTRLRSEILQTDWMSVVEKEDWRSVIDLVTNAKQVVSSVQDVARKLSDYAKFGSSKNASDGPVIKKMPMASRVPVNDREVRDNAVAIENGAACKVPEELFVPGTVYYLKRNVDAQTGGGSSSSSSSREYFTLWKRHPGEHFQRIVLSSNIITDHRCVSHYYALRDVLKGLPTSDDEGKLHN >ONH92670 pep chromosome:Prunus_persica_NCBIv2:G8:18463141:18466063:-1 gene:PRUPE_8G188300 transcript:ONH92670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQSSMSKDEDVIESSNGKDAVTSEGNSDMEPYVGMEFESEEAAKVFYDAYATHLGFIMRVDAFRRSMRDGKVVWRRLVCNKEGFRKTRPKRSENRKPRAITREGCKAMIVVKKEKSGKWIVTRFVKEHNHPLVVTPANGRRTVLLSQTPDEKDVKIRELTAELQRERKRSAAYQEQLDMVLREMEEHSNHLSRNIDGIVQSVKEIESKRVAPSNS >ONH91517 pep chromosome:Prunus_persica_NCBIv2:G8:14696136:14696616:1 gene:PRUPE_8G120200 transcript:ONH91517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSPEHPLPLAYEDPWEALQWAAAHSNLCSGLNDLRVNPGKDLKLEDIGCGKVLVFVAEKDVLRDRAWAYYEALKIVCGWSEVVEIVESEGQ >ONH91523 pep chromosome:Prunus_persica_NCBIv2:G8:14736020:14736942:1 gene:PRUPE_8G120800 transcript:ONH91523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKSSSTEISVEFPTIFRIYKDGRVERLKGTETVPPSTDPKTGVRSKDIVLSHESGLSARIFLPKLTDPTRKLPLLVFIHGGAFVIESPYSPLYHNHAALLTSEANVVVLSVNYRRAPEHPLPVAYEDSWEALQWAAAHSNRNGPEAWLNDYVNFDRVFVGGDSAGATLTHHVVHRAGVDGLSGAKIVGMILFHPFFGNGKLDKLLEVIFPECNGLDDPRVNPGKDPKLGKIGCRRVLVFVAEKDFLRDRAWAYYESLKKSGWGGVVEILESEGEDHVFHLFNPSCDKAVALVQKVVSFINQD >ONH89718 pep chromosome:Prunus_persica_NCBIv2:G8:950580:953925:1 gene:PRUPE_8G011800 transcript:ONH89718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLIPTTLSQTLSLLWALRPPPDPSTVFKLQNSSGSAFQETQNYSHAREAAEKELEIYKAVVRLEEMHEAYEEQLRDAEVRLAEAYGSAVVDLEKEEGEVNKLNEEVVRILEAESGVAVKRVELSGSHLRFICLAKQSIPDSIAGLEKLKQLHVLCYLLVSLPNSVGLLLNLRVLNVSGNKLDALPKSIARCRYLDVHFNELRGLPYAIGRLTTHEVLNLSSNFSDLTELPESIGDQTNLRELDLRNNQIRALTTCEEYGFFQIINHGVPEELCYIMMAAMTQFFKLPAKERAQYFTTDHSKPIKLFNYYLKLEVCAHFYVWVFGFSEVFAECEKEIGALVKRLLGLISQGLGPEEDCLQKKLGENPTQKAQGNYYPPCPDPELTLGLSVHTDLNALTILRQTEGVTGLQVLIKDEKWVAVDPLPSASVINLAMFYGPSKDTVIGPTEDLIDEEHPPLYRSYNPSVDLMPMDFKGVWAAMEESQRLGLTKSIGVSNFSSKKIET >ONH89761 pep chromosome:Prunus_persica_NCBIv2:G8:1230631:1232783:1 gene:PRUPE_8G014700 transcript:ONH89761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQETVATFSWDQFDLLISKLEELRSTPSAAAELTPCRDVQDHAFLPSKLSEIQKSLVDWKKSTMEEFRLIRSSSTSPTPSETTVIDGSPNHPCLLMDRDHASMTVNQELRSVFLIVCFTKGKCRHAIYEVKFKHGGEVDMSGGEISALLKPTAKISHMVRSARIFNLSQIYLLTHDGDTPRDMSFGGYVFDTQDRKLDSSIPPTLERKRSGTVVSAYDGLYYLEATSSLITDPDPSFEKYDPVKKAWQQLPPFPFSNDLPTNVMGYAVCYGVILYSFWDLKKDFGLAAFHMGRGDWNRVKVDSSAYAPFRGRAVVVGEYIYALHVFEKDEIIGFSLRMDKDEDGGVAYSLSNVLTLRGLEIANPPLPFYEYKSDYLIHLGNQDLLHVKTGSIEEHRDVQHLCITTFQIVVREVGWHMIKTLHSSVLRADIEGRDWFFINFGFTPECGDYEPTERDYERVERHSAAS >ONH89760 pep chromosome:Prunus_persica_NCBIv2:G8:1230252:1233448:1 gene:PRUPE_8G014700 transcript:ONH89760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQETVATFSWDQFDLLISKLEELRSTPSAAAELTPCRDVQDHAFLPSKLSEIQKSLVDWKKSTMEEFRLIRSSSTSPTPSETTVIDGSPNHPCLLMDRDHASMTVNQELRSVFLIVCFTKGKCRHAIYEVKFKHGGEVDMSGGEISALLKPTAKISHMVRSARIFNLSQIYLLTHDGDTPRDMSFGGYVFDTQDRKLDSSIPPTLERKRSGTVVSAYDGLYYLEATSSLITDPDPSFEKYDPVKKAWQQLPPFPFSNDLPTNVMGYAVCYGVILYSFWDLKKDFGLAAFHMGRGDWNRVKVDSSAYAPFRGRAVVVGEYIYALHVFEKDEIIGFSLRMDKDEDGGVAYSLSNVLTLRGLEIANPPLPFYEYKSDYLIHLGNQDLLHVKTGSIEEHRDVQHLCITTFQIVVREVGWHMIKTLHSSVLRADIEGRDWFFINFGFTPKKPSRENPPRSRKELPGRRDWLPLYKKYPPCSMEKANRRNPRRTTNRKHGGRTVFKNQAKFLKVVFFGV >ONH89678 pep chromosome:Prunus_persica_NCBIv2:G8:667796:668958:1 gene:PRUPE_8G009100 transcript:ONH89678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSKKEQSNGLYGMQKQVLSLYRGFLRAARAKSAEDRQQIESLVSSELSRNAKEYLLRRAKKQVDQLRSPDVVGLSALNVSLSQTKHPTN >ONH91828 pep chromosome:Prunus_persica_NCBIv2:G8:15743903:15748650:1 gene:PRUPE_8G138000 transcript:ONH91828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPSFVSFSSSLPLLPLRPPPLHPLISSTTTSNLKPRRFSGLVLASSSASPFDDLSSRSSSRPIQSSKSKKSVLSNLIQEIEPLNVSLIQKDVPPTTVDAMKRTISGMLGLLPSDQFQVLIEALWEPLSKLLVSSMMTGYTLRNAEYRLCLERNFDMCDTKLEKQTPENSEVDLQGMLLESANIIKFPSKDEFSSKDGEVTEDSLENIDIQGLGEVSPEALQYVLHLQAHLSSVKKELHDVKRQSAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTSPELKEIIHSVIHGLLATLSPKMHSKAPPLSDNASTGVLNVGSEDCAELVENTSLQFQPLISLTRDYLARLLFWCMLLGHYLKGLEYRMELTELLSLTNDAESDTLDGNHIA >ONH91830 pep chromosome:Prunus_persica_NCBIv2:G8:15743903:15748054:1 gene:PRUPE_8G138000 transcript:ONH91830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPSFVSFSSSLPLLPLRPPPLHPLISSTTTSNLKPRRFSGLVLASSSASPFDDLSSRSSSRPIQSSKSKKSVLSNLIQEIEPLNVSLIQKDVPPTTVDAMKRTISGMLGLLPSDQFQVLIEALWEPLSKLLVSSMMTGYTLRNAEYRLCLERNFDMCDTKLEKQTPENSEVDLQGMLLESANIIKFPSKDEFSSKDGEVTEDSLENIDIQGLGEVSPEALQYVLHLQAHLSSVKKELHDVKRQSAALQMQQFVGEEKNDLLDYLRSLQPEKG >ONH91829 pep chromosome:Prunus_persica_NCBIv2:G8:15743903:15748053:1 gene:PRUPE_8G138000 transcript:ONH91829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPSFVSFSSSLPLLPLRPPPLHPLISSTTTSNLKPRRFSGLVLASSSASPFDDLSSRSSSRPIQSSKSKKSVLSNLIQEIEPLNVSLIQKDVPPTTVDAMKRTISGMLGLLPSDQFQVLIEALWEPLSKLLVSSMMTGYTLRNAEYRLCLERNFDMCDTKLEKQTPENSEVDLQGMLLESANIIKFPSKDEFSSKDGEVTEDSLENIDIQGLGEVSPEALQYVLHLQAHLSSVKKELHDVKRQSAALQMQQFVGEEKNDLLDYLRSLQPEKG >ONH92439 pep chromosome:Prunus_persica_NCBIv2:G8:17806658:17807509:1 gene:PRUPE_8G175600 transcript:ONH92439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHTRSNSFPSRSHPVLQEVDELLCRLRSSEATSASSSSISHKLSGLQDLHDCVDRLLQLPLTQQALAQEQNEKWANELLDGSLRLLDVSSSAKDAILQTKECVQDLQSIIRRRGGETGLTSEVRKYLTSRKMVKKAIQKAMKNLKGTENRTTFSSLNKDDETFSVVSKLREVEAITLAVFESLLSFISGPKSQPSSWSLVSKMMQSKKVACEEATEINEFAEVDAALNSLIRHKASKPSADDAHNQLDQLESCIQDQEQGLECLFRQMIKTRVSLLNILNH >ONH90265 pep chromosome:Prunus_persica_NCBIv2:G8:4495491:4498474:-1 gene:PRUPE_8G043500 transcript:ONH90265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSHIESVLWTPDQIAARVSELAAQISADHSPLSEPLVLVGVATGAFLFLADLARSLRLPVTVDFIRAESYGSGTVSNGSPRISSDLKLDVSGRHVVLVEDIVDSGSTIACLIAHLEAKGASSVSVCAFLDKPSRRKVHFQLLSKGKFYRGFECPDYFVVGYGMDFAELYRNLPYVGVLKPEHYK >ONH90447 pep chromosome:Prunus_persica_NCBIv2:G8:6264918:6265677:1 gene:PRUPE_8G054700 transcript:ONH90447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTETQDQEWTVVANRKGKAKMQVRMYERDGRTFREVAEGIKFTEEGGSLAAGAKGQGSSLSSKGHGKAVMTASAQSQEGSSAFTAFAYKSPKKRACDDDVVKEDSLPSFGINGESLREADTSVRTSPNPIP >ONH90959 pep chromosome:Prunus_persica_NCBIv2:G8:11825036:11828600:-1 gene:PRUPE_8G085400 transcript:ONH90959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTLSFPHFLASIFSLAIISLPNFASSSHHDYQEALSKAILFFEGQRSGFLPQDQRQSWRANSGLSDGWTYNTDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGDSMPPNELRNALVAIRWATDYLLKTVSQPNRIFVQVGDPIIDHNCWERPEDMDTARTVYAVDSPNPASDVAGETAAALAASSMAFKSSDPGYSDTLLRNAKKAFELADTYRGAYSDNPDVRDGVCPFYCDFDGYQDELLWGAAWLSRVTQDSGFFDYIQNNGKTLGAEENINEFGWDNKHAGLNVLISKEVLEGNMYTLESYKASADSFMCTLIPDSSSSHIEYTPGGLIYKPGGSNLQHVTSISFLLLVYANYLSRTSQSLNCGNINVSPTTLRQIAKKQVDYILGDNPMGMSYMVGYGDHFPQRIHHRGSSLPSIKDHPQPIACKEGSVYFNSSSPNPNVLVGALVGGPGEDDVYNDDRADFRKSEPTTYINAPFVGVLAYFVANPDPN >ONH93292 pep chromosome:Prunus_persica_NCBIv2:G8:20202688:20204462:-1 gene:PRUPE_8G223900 transcript:ONH93292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCEKMGLKKGPWTPEEDHVLVTYIQQYGHGNWRALPKLAGLLRCGKSCRLRWTNYLRPDIKRGNFSREEEDAIINLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLKQNQTTTSETRAHFFSTKTEQEPEPSEKSESLDCGPASPPQCTSSDTSSATTSDNNSNSSNNDKTCMEFDGYAANLPEADESFWSEVLSAENSDQMVDQFQAIGGVPRVGVGPADDGFCLDMYDNNSMDFWLNIFPGADEIP >ONH90481 pep chromosome:Prunus_persica_NCBIv2:G8:6598805:6600280:1 gene:PRUPE_8G056600 transcript:ONH90481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLAGVLLTIYTNSWTAEELELSGMIQILKKGQISIRSSSPQLNNQEREEVLEWRNALKKVANLAGWNSKDYRYDTELITEIVDAVWDKVHPTFSLYDSSEILVGFDTKLKEIDLHLDTSANDVRFVGIWGMGGMGKTTLARLVYERISHSFEGSSFLANVREVYATHGLVPLQKQLLSNILKKVNIQVCDAYSGFTMIKRCLCNKKVLLILDDVDQSEQLEMLIREKDCFGLGSRIIITTRDERLLVEQGIEKIYKVLPLTQHEARHLFSKKAFQKMTLKKII >ONH89762 pep chromosome:Prunus_persica_NCBIv2:G8:1235782:1236243:1 gene:PRUPE_8G014800 transcript:ONH89762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPFMLLNGIGQIRFRDTCAEAEELFKEKKIMKLGERKACTKLLDVCTDILPSKVKGDRSKSVLFDACRLAKALQSLESDRGWTNGRKWQFVSHVWVEMLPYAANQCRWSGQAQQLRRGGELLTHVWLLMAHLGLTEQFQISEGHARAKLIVV >ONH91232 pep chromosome:Prunus_persica_NCBIv2:G8:13222103:13223246:1 gene:PRUPE_8G100800 transcript:ONH91232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKAILFLVEYSSYKALNLGKLRYLAIVIESEEAYQTSKVIELLQWLEAIGVKRVCLYDTEGVLKKSKEAILNKLRNASEFKAYEDLDDQNHMALEILSFSDGKEAVTKAANLLFVKYLKLAKSVGDHEEKIFTEPNMDEALKAICCRGPDPESIFYLERIQKGYEE >ONH89962 pep chromosome:Prunus_persica_NCBIv2:G8:2425172:2430022:1 gene:PRUPE_8G026700 transcript:ONH89962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSQRAFTSATAVCSWKYEVFLSFRGEDTRRGFTDYLYKQLDWRGIRTFRDDPDLQRGADINPELLTAIEQSRFAIIVLSTNYASSSWCLRELTHIVQSMKEKERIFPIFYDVDPSDVRHQRGSFGTALVNHERNCGEDREEVLEWRNALKKVANLAGWNSKDYRYDTELITKIVDAVWDKVHHTFSLLDSSDILVGLDTKLKEIDLHLDTSANDVRFVGIWGMGGMGKTTLARLVHETISHSFEGSSFLANVREVYATHGLVPLQKQLLSNILGETNIQVYDAYSGFTMIKRCLCNKKVLLILDDVDQSDQLEMLIREKDCFGLGSRIIITTRDERLFVDHGIEKVYKVMPLTQDEALYLFSRKAFRKDDLEEDYLELSKNFINYAGGLPLALKTLGSFLYKRSRDEWKSALDKLKQAPDRKIFQILKISYDGLEEMQKKIFLDVACFHKLYDKEEVIEILDSCGFVGTRIVIHVLIEKSLLSISNTHLSIHDLIQEMAWEIVRQESFDEPGGRSRLWLHSDIIHVLTNNTGTEAIESIVLCLREFEAAHWNPEAFSKMCKLKLLKINNLSLSLGPKYLPNSLRFLEWSWYPSKCLPPSFQPNELAQLSLQQSKIDHLWNGIKYMVKLKSIDLSYSQNLTRTPDFTGTQNLERLVFEGCTNLVKIHPSIASLKRLRVLNFKNCKSIKSLPSEVELESLETFDLSGCSKVKKIPEFVGEMKNFSKLSLSFTAVEQMPSSNIHSMASLKEIDMSGISMRDPPSSLVPVKNIELPRSWHSFFSFGLLPRKDPHPVSLVLASLKDLRFLKCLNLNDCNLCEGAIPEDIGLLSSLERLNLGGNHFVSLPEGISGLSKLRSFTLKNCKRLQILPSLPSNGPRCFSVSTDNCTSLKIFPYPPPMCNGGSHTWISSFNCFSLIDHQGSSSIIFLTLKKFLQEIPRSLSIFGIVIPGSEIPEWFNNQNVGDSVIETLPSQDSNSKWVGFAFCALFLPAQEISATGTRHYLIDFRCLYDLNTLAGPVYVMGTDDVVLSDHLWLFLLSRHHFFREPSGRHGAYWREKCRDHKIRFHFEARSSQGEKTWVKVKKCGVRALYEQDAEELNRTMKQYSNRKDSFYEDVTDCDFDKSDKVQGVITKRTREQYCNETGPSGVGRLGKESLCKRMKED >ONH89963 pep chromosome:Prunus_persica_NCBIv2:G8:2425172:2430022:1 gene:PRUPE_8G026700 transcript:ONH89963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSQRAFTSATAVCSWKYEVFLSFRGEDTRRGFTDYLYKQLDWRGIRTFRDDPDLQRGADINPELLTAIEQSRFAIIVLSTNYASSSWCLRELTHIVQSMKEKERIFPIFYDVDPSDVRHQRGSFGTALVNHERNCGEDREEVLEWRNALKKVANLAGWNSKDYRYDTELITKIVDAVWDKVHHTFSLLDSSDILVGLDTKLKEIDLHLDTSANDVRFVGIWGMGGMGKTTLARLVHETISHSFEGSSFLANVREVYATHGLVPLQKQLLSNILGETNIQVYDAYSGFTMIKRCLCNKKVLLILDDVDQSDQLEMLIREKDCFGLGSRIIITTRDERLFVDHGIEKVYKVMPLTQDEALYLFSRKAFRKDDLEEDYLELSKNFINYAGGLPLALKTLGSFLYKRSRDEWKSALDKLKQAPDRKIFQILKISYDGLEEMQKKIFLDVACFHKLYDKEEVIEILDSCGFVGTRIVIHVLIEKSLLSISNTHLSIHDLIQEMAWEIVRQESFDEPGGRSRLWLHSDIIHVLTNNTGTEAIESIVLCLREFEAAHWNPEAFSKMCKLKLLKINNLSLSLGPKYLPNSLRFLEWSWYPSKCLPPSFQPNELAQLSLQQSKIDHLWNGIKYMVKLKSIDLSYSQNLTRTPDFTGTQNLERLVFEGCTNLVKIHPSIASLKRLRVLNFKNCKSIKSLPSEVELESLETFDLSGCSKVKKIPEFVGEMKNFSKLSLSFTAVEQMPSSNIHSMASLKEIDMSGISMRDPPSSLVPVKNIELPRSWHSFFSFGLLPRKDPHPVSLVLASLKDLRFLKCLNLNDCNLCEGAIPEDIGLLSSLERLNLGGNHFVSLPEGISGLSKLRSFTLKNCKRLQILPSLPSNGPRCFSVSTDNCTSLKIFPYPPPMCNGGSHTWISSFNCFSLIDHQGSSSIIFLTLKKFLQEIPRSLSIFGIVIPGSEIPEWFNNQNVGDSVIETLPSQDSNSKWVGFAFCALFLPAQEISATGTISLGNQAGDMGPIGGRNAAIIRFGFISKQDLVKERRHG >ONH93458 pep chromosome:Prunus_persica_NCBIv2:G8:20726008:20726587:1 gene:PRUPE_8G233600 transcript:ONH93458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYKHPQKIKKPSKAGNLKFLHKQSQESLVQVLRLESPYRINALEQAKKTLRFTWKPKYKRSGYTF >ONH91934 pep chromosome:Prunus_persica_NCBIv2:G8:16089528:16092079:-1 gene:PRUPE_8G144600 transcript:ONH91934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRDSRDSDSRRHRSRFDREPSPKRSRRDGKDEKERVTSKINSESAKQSDQDQKHQPRLQDTLPLESPLATDSRVENGASRKESDKKPSGHLEGTKLSSDPTDVPRSQSYFQQHDERGNARQVDRSSRRGSAAERGSWRDSKDRHDDRTVSKTTTNDSRPRNEKPKGDENRTWRHNGFFEMEANPPPERKRPAFREKKISLESENGDKTTAETAKSNHPDAEGSRKREERGNNPRHLDRSEKQFAGERLPYRGDAQRGSFPSRERYTDGASRNYRGRDRFSGRQGFQSSGGRGEKWKHDLYHEANRSPTPKNEEDQIAKVETLLAS >ONH91933 pep chromosome:Prunus_persica_NCBIv2:G8:16089247:16092438:-1 gene:PRUPE_8G144600 transcript:ONH91933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRDSRDSDSRRHRSRFDREPSPKRSRRDGKDEKERVTSKINSESAKQSDQDQKHQPRLQDTLPLESPLATDSRVENGASRKESDKKPSGHLEGTKLSSDPTDVPRSQSYFQHDERGNARQVDRSSRRGSAAERGSWRDSKDRHDDRTVSKTTTNDSRPRNEKPKGDENRTWRHNGFFEMEANPPPERKRPAFREKKISLESENGDKTTAETAKSNHPDAEGSRKREERGNNPRHLDRSEKQFAGERLPYRGDAQRGSFPSRERYTDGASRNYRGRDRFSGRQGFQSSGGRGEKWKHDLYHEANRSPTPKNEEDQIAKVETLLAS >ONH90011 pep chromosome:Prunus_persica_NCBIv2:G8:2764905:2765633:-1 gene:PRUPE_8G029700 transcript:ONH90011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITFTNPLCLHSTCHNNQAYLVSFTHQAHPYVTINKCINSHVFNTHTFNWFLLVSIVFCRIIRSKRRILSINGSF >ONH91335 pep chromosome:Prunus_persica_NCBIv2:G8:13822160:13825297:-1 gene:PRUPE_8G107200 transcript:ONH91335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVVGLIKRRSTAAAMTKFDEIPHPSHPEHKLEQRWTGKLFMCNGCNEPGIGSHYTCPQSSCSFDLHMYCAIPLGLFHHPFQRGCSFQLLPSPPENTRSCNACGEKGTGFVYHCRGCKSNLHPCCAKLPMKLEAGDVNLYLYEKVKKPCQECGYKGKGWSYRSVDKKCYLHVACAKKLIAEKWLHGSGHGDDLQTTIPNLNNMIQKYHQPKGKLKRFAEIVRLVLQTVISTLLGDPTALIAGTVKLLLTDD >ONH91336 pep chromosome:Prunus_persica_NCBIv2:G8:13822578:13823288:-1 gene:PRUPE_8G107200 transcript:ONH91336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFDEIPHPSHPEHKLEQRWTGKLFMCNGCNEPGIGSHYTCPQSSCSFDLHMYCAIPLGLFHHPFQRGCSFQLLPSPPENTRSCNACGEKGTGFVYHCRGCKSNLHPCCAKLPMKLEAGDVNLYLYEKVKKPCQECGYKGKGWSYRSVDKKCYLHVACAKKLIAEKWLHGSGHGDDLQTTIPNLNNMIQKYHQPKGKLKRFAEIVRLVLQTVISTLLGDPTALIAGTVKLLLTDD >ONH91596 pep chromosome:Prunus_persica_NCBIv2:G8:15039497:15042665:-1 gene:PRUPE_8G125800 transcript:ONH91596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVSSLIHILLCLSSLLLYHFIKKQKRNSKHKAKLPPGSMGWPYIGETLQMYSMDPNIFFASKQKRYGEIFKTHILGCPCVMLASPAATKFVLVTHAYLFKPTYPKSKEQMIGPSALFFHQGDYHNSLRKLIQSSLSPNPTTMQKLVPDIEALAISALESWAAGGKVINTYNEMKRFSFDVGFLAIFGQLDDTYRERLKENYCIVDKGYNSFPTKIPGTAYHKALLARKRLGQILSQIICERKEKKTLQKDLLGDLLNFQDEKGQTLTEDQISDNIIGILFAAQDTTASALTWILKYLHDNPKILEAVKAEQKAIFEANDGCKKPLTWAQIRNMPLTYRVLLESLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFSDPQNFDPSRFEVGPKPNTFMPFGKGVHACPGNELAKLEMLILVHHLVTKFGWEVEGSQSGIQYGPFPVPRHGLPVRFWEE >ONH92985 pep chromosome:Prunus_persica_NCBIv2:G8:19269029:19269274:-1 gene:PRUPE_8G205900 transcript:ONH92985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLSSSQDQSKAEPRLFKPKAGSVFPVKKRLVKRMMFDQIVQCFCSVSVSESITTRPSSASASKANKVASNQVYPSPPP >ONH92869 pep chromosome:Prunus_persica_NCBIv2:G8:18995896:18997553:-1 gene:PRUPE_8G201000 transcript:ONH92869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVLGFFVFLLLLVGGTIASNNDDSAFDKNYEITWGNDHVLSLNQGREIQLSLDSRSGSGFGSYLKYSSGFFHMRMKIPGNNSTAGVITTFYLTSKTSRHDELDFEFLGNAEGEAITLQTNVFVNGVGGREQRIHLWFDPTSHFHDYKLLWNPRQIVFFIDDTPIRVFNNYTNLGVPYPTQPMRIEATLWNGDWASPQKINWAYAPFRADYQGFDISGCSTQSSNVEECYKSNYWWNNRNYWELNPTQKQAYENVRKKYMNYDYCNDKPRFPFGLPKECNIQKNYKL >ONH91060 pep chromosome:Prunus_persica_NCBIv2:G8:12382546:12383833:-1 gene:PRUPE_8G091000 transcript:ONH91060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIRDEYGNLVQLTDEHGNPVELTDEHGNPMHLTGVATSTEAETGTYTGSGVHVPGSGTTGGYEEHGGALGGAGVATGLGMFGDKQTSDQPHGYDGGLGEHRQQQPHDGGVTGEARRSGSSSSSSSEDDGQGGRRKKKGLKEKIKEKLTGGKHKDDAQQQAYGQEQGQTHTIAVGTAITTTATTEPEKRSMMEKIKDKLPGHHSH >ONH89696 pep chromosome:Prunus_persica_NCBIv2:G8:827377:828582:-1 gene:PRUPE_8G010400 transcript:ONH89696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGGFTDSRKNNYNVRRYCMQLITSCFLLNNSEKKGHDICVEEYESHVGNLIK >ONH89697 pep chromosome:Prunus_persica_NCBIv2:G8:827378:828624:-1 gene:PRUPE_8G010400 transcript:ONH89697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGGFTDSRKNNYNVRRYCMQLITSCFLLNNSEKKGHDICVEEYESHVGNLIK >ONH89698 pep chromosome:Prunus_persica_NCBIv2:G8:827377:828624:-1 gene:PRUPE_8G010400 transcript:ONH89698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGGFTDSRKNNYNVRRYCMQLITSCFLLNNSEKKGHDICVEEYESHVGNLIK >ONH90340 pep chromosome:Prunus_persica_NCBIv2:G8:5077469:5079133:-1 gene:PRUPE_8G047600 transcript:ONH90340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTWICRNPGTFDRVFRRPLAGQSARVGLVTGARVLRILLCVLGAQFPEQGVHEFETKIEMLSKAFSLFDWFLRRRWNTCTRATNLPWKQRLEICIGAARGLHYLHTGARCTIIHRDVKTTNILLDENWVAKVSDSGLSKY >ONH91312 pep chromosome:Prunus_persica_NCBIv2:G8:13728197:13729081:1 gene:PRUPE_8G106000 transcript:ONH91312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYHESDQGFFYDIKPLIATQLVDHHPDHDHDHQNEKSSSPHDRTKLEINFTLFVYNKYYVNLSEEDETQSIAICQYEEPAITATHTIDDVRSLDTELDVVKLFLSEQLYQLKIKDDEWHDSVVEDIIKRGREIGKSDSKIGPKILRLRVDMSITHILELCDICSDKTVLERDALLKKMLKRTRVVANDEQYDENEEEEEEAGRERKRLRFVRENELCPICMEEFIAGWDEVTCMPCLHPFHEKCIGGWLRENQHNCPVCRFKLPIEHGPQGKVVVDECPNWLISSDHENENE >ONH90287 pep chromosome:Prunus_persica_NCBIv2:G8:4645193:4648216:1 gene:PRUPE_8G044700 transcript:ONH90287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEEEEILEPVSPTGHYFTSSVLSVSNLAVLETEIPFDESQTMYLVQNVFLPISPRFSSIMVENNGKKRWKRVEVKLEDHVNIPIFPSSLSPEAYDKYLDDYISKLAVERLSPEKPLWEFHIIKYPTSNAAGNVIIKLHHALGDGFSLMSALLSCLQRADDPRLPLTFPSRQRSQPKSESLVTKVCSSVFNTLSDFWWGISNSTMDEDDLTPIRSGKGIEFQPIAVSTMTFSLDQIKLIKSKLGVTINDVLTGMIFLGTRLYMQEIDKSSRKARGTAVVLLNTRMMGDYTSIQEMIKPNSKMPWGNHFTFLHVPIPNLFLPEDDHPHEYSNIALEFVSKAQKIISTKRNSLAIYLTSRFLEILNKFGGHEAAAKYIRSTLKNSSMVISNLIGPVEQMALGNHRIKGLYFLVLGSPEGLDVTIVSYMGKVRVAFKMEKGLIDPQKFKSCMENAFEMILKASDAKNN >ONH93344 pep chromosome:Prunus_persica_NCBIv2:G8:20391313:20392688:-1 gene:PRUPE_8G227500 transcript:ONH93344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAGSNGSGTGSPCGACKFLRRKCASDCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHVPVHDRCEAVVTIAYEAQARIRDPVYGCVAHIFALQQQVACLQAQLMQVRAQLAHQSLVDSRNIESTQWPGNVNGVTNFPNYPSYMNPISPQSSLESIDHNCSDIAMNMQEIQSSRDDFSYQACSKKRPYNGDLGELQALALRMMRN >ONH93345 pep chromosome:Prunus_persica_NCBIv2:G8:20391365:20392688:-1 gene:PRUPE_8G227500 transcript:ONH93345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAGSNGSGTGSPCGACKFLRRKCASDCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHVPVHDRCEAVVTIAYEAQARIRDPVYGCVAHIFALQQQVLTKKVACLQAQLMQVRAQLAHQSLVDSRNIESTQWPGNVNGVTNFPNYPSYMNPISPQSSLESIDHNCSDIAMNMQEIQSSRDDFSYQACSKKRPYNGDLGELQALALRMMRN >ONH91972 pep chromosome:Prunus_persica_NCBIv2:G8:16234195:16236854:-1 gene:PRUPE_8G147400 transcript:ONH91972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSPHHPQQLPHPSNSAPLMGPLPTGGQRKKSSKGTTGVRSWLLMDSGGKTQVMEAGKHVIMRRTGLPARDLRILDPVLMYPSTVLGRERAIVINLEHIKAIVTAHEVLLVKSADPSVSPFVDELQRRLIRHNQEGNEEDGSKSNWTRLHDLGESQSRGESRENSPKGFSDQFDEQGRVEKNQLYGSKVLPFEFVALEACLESACSCLENEAKRLEEEAYPALDKLSSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKSVERDLETNSSASSSMNEPILCDEMDDEIVQADMEIDRDAQIGTTTTNTSKQLDVQELEMLLEAYFVQIDGILNKLSTLREYVDDTEDYINIMLDDKQNQLLQMGVVLSTATLVVSALIVVAGVFGMNVRIALFKEETKIGMPKFLWTVGGGSIGSVVLFVIAIAWYKHSRLLD >ONH91974 pep chromosome:Prunus_persica_NCBIv2:G8:16234195:16236855:-1 gene:PRUPE_8G147400 transcript:ONH91974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSPHHPQQLPHPSNSAPLMGPLPTGGQRKKSSKGTTGVRSWLLMDSGGKTQVMEAGKHVIMRRTGLPARDLRILDPVLMYPSTVLGRERAIVINLEHIKAIVTAHEVLLVKSADPSVSPFVDELQRRLIRHNQVTTAALEGNEEDGSKSNWTRLHDLGESQSRGESRENSPKGFSDQFDEQGRVEKNQLYGSKVLPFEFVALEACLESACSCLENEAKRLEEEAYPALDKLSSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKSVERDLETNSSASSSMNEPILCDEMDDEIVQADMEIDRDAQIGTTTTNTSKQLDVQELEMLLEAYFVQIDGILNKLSTLREYVDDTEDYINIMLDDKQNQLLQMGVVLSTATLVVSALIVVAGVFGMNVRIALFKEETKIGMPKFLWTVGGGSIGSVVLFVIAIAWYKHSRLLD >ONH91973 pep chromosome:Prunus_persica_NCBIv2:G8:16234195:16236835:-1 gene:PRUPE_8G147400 transcript:ONH91973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSPHHPQQLPHPSNSAPLMGPLPTGGQRKKSSKGTTGVRSWLLMDSGGKTQVMEAGKHVIMRRTGLPARDLRILDPVLMYPSTVLGRERAIVINLEHIKAIVTAHEVLLVKSADPSVSPFVDELQRRLIRHNQVTTAALEGNEEDGSKSNWTRLHDLGESQSRGESRENSPKGFSDQFDEQGRVEKNQLYGSKVLPFEFVALEACLESACSCLENEAKRLEEEAYPALDKLSSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKSVERDLETNSSASSSMNEPILCDEMDDEIVQADMEIDRDAQIGTTTTNTSKQLDVQELEMLLEAYFVQIDGILNKLSTLREYVDDTEDYINIMLDDKQNQLLQMGVVLSTATLVVSALIVVAGVFGMNVRIALFKEETKIGMPKFLWTVGGGSIGSVVLFVIAIAWYKHSRLLD >ONH92083 pep chromosome:Prunus_persica_NCBIv2:G8:16595501:16595989:-1 gene:PRUPE_8G153700 transcript:ONH92083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKILLALVCVLGSALLQSTHAQDTPQDYVTAHNNARAAVNVGPLSWDEKLQGYAQDYANQHIGDCNLVHSGGPYGENLAMSTADLSGTDAVNMWVAEKADYNYDSNTCADGKMCGHYTQVVWRNTARVGCAKVRCNSGGTFIGCNYDPPGNYVGEKPY >ONH92466 pep chromosome:Prunus_persica_NCBIv2:G8:17897649:17900304:-1 gene:PRUPE_8G177300 transcript:ONH92466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTLVGLSLVGLLVIYFTHWIIKWRNPKCNGVLPPGSMGLPFIGETLNLIIPSYSLDLHPFIKKRLQRYGPIFRTSLAGRQVVVTADPEFNNYLFQQEGRMVELWYLDTFSKIFVHEGESKTNAVGMVHKYVRSIFLNHFGAERLKEKLLPQIEEFVNKSLCAWSSKASVEVKHAGSVMVFNFSAKQMISYDAEKSSDDLSEKYTKIIDGLMSFPLNIPGTAYYNCLKHQKNVTTMLRDMLKERQISPETRRGDFLDQISIDMEKEKFLSEDFSVQLVFGGLFATFESISAVLALAFSLLAEHPSVVEELTAEHEAILKNRENLNSSLTWDEYKSMTFTLQVINEILRLGNVAPGLLRRALKDIPVKGFTIPEGWTIMVVTSALQLSPNTFEDPLEFNPWRWKDLDSYAVSKNFMPFGGGMRQCAGAEYSRVFLATFLHVLVTKYRWTTIKAARIARNPILGFGDGIHIKFEEKKT >ONH93120 pep chromosome:Prunus_persica_NCBIv2:G8:19707734:19714731:1 gene:PRUPE_8G214400 transcript:ONH93120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHANEVDAKQLGLQERKGLLERLVGAVEEGQESFLLRLKSRIDRVGISFPTIEVRFEHLNISAEAYVGSRALPTVLNYCVNLVEGFLNSIYILPTKKKHLSILKDVSGIIKPGRMALLLGPPSSGKTTLLLALAGKLHQDLKFSGSVTYNGYEMHEFVPQRSAAYISQHDVHIAEMTVKETLAFSARCQGVGPRYEMLVELNRREREVNIKPDPDVDIFMKAIATEGQKEILVTDYILKILGLDACADTLVGDQLLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSVKNYVHILNGTAVVSLLQPAPETYELFDDIILLSDGQIVYQGPREQVLDFFESMGFKCPERKGVADFLQEVTSRKDQKQYWTSRDEPYRFITVKHFSAAFQSFSVGKRITEELAAPFDKTKSDPAALTTKKYGIRKVELLKACFSRELLLMKRNSFVYVFKLTQLAIMALITMTVFLRIDMGRDSVTDGGIYAGALFYSFVTVMFSGMSEISMTIAKLPVFYKQRDLFFPSWAYALPTWILKIPITFLDVSVWVFITYYVIGFDPCVERFFRQYLLFLLISQMASALNRSIAGLGRSMVVAYTFGSFAQLMLFALGGFVLSRENIKKWWIWGYWISPLMYGQNAIVVNEFLGKSWSHVLPNSMEPLGVAVLRSHGFFTHPSWYWTGVGALIGYTLIFNVCFTVALTYLNPLEKQQAVKLEESQSKEHDEKSGEVGWSQNKGNSLIPQINSDNGEECTNHNKKRGMVLSFEPHSITFDKITYSVDMPQSMKNQGVVEDKLVLLKCVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTRGYIEGNISVSGYPKKQDSFARISGYCEQNDIHSPYVTVYESLMYSAWLRLSTKISSGTRKMFVDEVMGLVELNPLRQALVGLSGESGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRAVRNIVDTGRTIVCTIHQPSIDIFEAFDELFLMKQGGQEMYVGPLGRHSCHLIKYFEGIEGVSKIKNGYNPATWMLEVTTSAKETALGIDFADVYRSSEIYRRNKSLIEELSTPAPGSKDLYFPTRYPQSFFTQCKACLWKQHWSYWHNPEYNAIRLIYTTVVALLLGTMFWNLGSKMEKQQELFNAIGSMYASVIFLGIENAMTVQPIVAVERTVFYRERAAGMYSALAYAFAQLTIELLYVFAQAVIYSVLVYAMIGFEWTVAKFFWYLFFMFFTCLYFTFYGMMGVALTPNQHVAGITSNAFYALWNLFSGFIIPRTRIPIWWRWYYWASPMAWTLYGLTVSQFGDIQAKLNTGETVQEFLRSYFGFKQEFLGVVAAVVVGFALLFAFTYAFSIKMLNFQWR >ONH93121 pep chromosome:Prunus_persica_NCBIv2:G8:19707734:19714731:1 gene:PRUPE_8G214400 transcript:ONH93121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHANEVDAKQLGLQERKGLLERLVGAVEEGQESFLLRLKSRIDRVGISFPTIEVRFEHLNISAEAYVGSRALPTVLNYCVNLVEGFLNSIYILPTKKKHLSILKDVSGIIKPGRMALLLGPPSSGKTTLLLALAGKLHQDLKFSGSVTYNGYEMHEFVPQRSAAYISQHDVHIAEMTVKETLAFSARCQGVGPRYEMLVELNRREREVNIKPDPDVDIFMKAIATEGQKEILVTDYILKILGLDACADTLVGDQLLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSVKNYVHILNGTAVVSLLQPAPETYELFDDIILLSDGQIVYQGPREQVLDFFESMGFKCPERKGVADFLQEVTSRKDQKQYWTSRDEPYRFITVKHFSAAFQSFSVGKRITEELAAPFDKTKSDPAALTTKKYGIRKVELLKACFSRELLLMKRNSFVYVFKLTQLAIMALITMTVFLRIDMGRDSVTDGGIYAGALFYSFVTVMFSGMSEISMTIAKLPVFYKQRDLFFPSWAYALPTWILKIPITFLDVSVWVFITYYVIGFDPCVERFFRQYLLFLLISQMASALNRSIAGLGRSMVVAYTFGSFAQLMLFALGGFVLSRALEKQQAVKLEESQSKEHDEKSGEVGWSQNKGNSLIPQINSDNGEECTNHNKKRGMVLSFEPHSITFDKITYSVDMPQSMKNQGVVEDKLVLLKCVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTRGYIEGNISVSGYPKKQDSFARISGYCEQNDIHSPYVTVYESLMYSAWLRLSTKISSGTRKMFVDEVMGLVELNPLRQALVGLSGESGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRAVRNIVDTGRTIVCTIHQPSIDIFEAFDELFLMKQGGQEMYVGPLGRHSCHLIKYFEGIEGVSKIKNGYNPATWMLEVTTSAKETALGIDFADVYRSSEIYRRNKSLIEELSTPAPGSKDLYFPTRYPQSFFTQCKACLWKQHWSYWHNPEYNAIRLIYTTVVALLLGTMFWNLGSKMEKQQELFNAIGSMYASVIFLGIENAMTVQPIVAVERTVFYRERAAGMYSALAYAFAQLTIELLYVFAQAVIYSVLVYAMIGFEWTVAKFFWYLFFMFFTCLYFTFYGMMGVALTPNQHVAGITSNAFYALWNLFSGFIIPRTRIPIWWRWYYWASPMAWTLYGLTVSQFGDIQAKLNTGETVQEFLRSYFGFKQEFLGVVAAVVVGFALLFAFTYAFSIKMLNFQWR >ONH90890 pep chromosome:Prunus_persica_NCBIv2:G8:11473808:11474113:1 gene:PRUPE_8G081200 transcript:ONH90890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLPSIMQAKQILCRGSLFANRAASTSLVGVPKGFFAVYVGENEKKRYMVPISLLSQPSFQESLSKAEEEFGFDHPMGGLTIPCREEIFVDLTSRLYGL >ONH90372 pep chromosome:Prunus_persica_NCBIv2:G8:5478623:5482393:-1 gene:PRUPE_8G050400 transcript:ONH90372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIRSYSSLASPNSLPSSFLASIATLLKACKTQYHLLQVHAQIIQKGLEQDCFLVTQFICLCNTLSSLSYSTAVFDSVHSPSTFLWNTLIKGYCERSSFADTVDLFVRMKREEGVPDRYTYPSLVKACASEAKVWEGRAIHGTAVRCGVDGDVFVSTSLIDLYGKCREILCARKVFDGMSERNVVSWTAMVVGYASVGDLDEAHRLFDQMPQRNVVSWNVIISGFVKLGDLTNARRIFDQMPEKNVVSFTTMIDGYAKYGDMASARFLFDQAPNKDIVAWSALISGYAQNGQPNEAVKIFLEMSTRNVKPDEFIMVSLMSACSQVGCLQVAKWVDSYVSQSSIDVRQDHVHAALIDMNAKCGNMERATSLFDKMPKRDMISYCSMIQGLSAHGRGDQAVALFNKMLNEGLAPDEVAFTVILTACSRSGLIEEGWYFFESMRHKYHLTPSPDHYACMVDLLSRSGRLNAAYDLLQSMPMEPHAGAWGALLGACKLNGNIELGELVANRLFEIEPQNPGNYVLLSNIYAAADRWFDVSAVRDKMEEQGIKKIRVFLDPNLLVSSLQDVNDVLVAIDHVIDLGVASPSKLAVLGGSHGGFLTTHLIGQAQDKFVAAAARNPVCNLALMVGTTEVPDWRYAEAYGSEGQNSFTDAPSAEHLTLFQSKSPIARLEGQNTHPFTFGCSGSPCSSFYWVAICSGIERERSSCQSPVKVIVVVSQSPVCRPQSDFESFLSIGVWFKKYLS >ONH92845 pep chromosome:Prunus_persica_NCBIv2:G8:18939470:18942954:-1 gene:PRUPE_8G199600 transcript:ONH92845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRAARYVNRRKDRVDLDLNSAPPGDNRDQEGTSTPADPQNNQATLLGQSAPPNMIDVEAIDDDVVESSPRAFAEAKNNARRNQRRITVDVDLERTRVTRNKRRRVAPNQTIINCDFYINLESNNNSSSTKESVAQPAPPPPKEPTFSCPICMAPLVEEMSTKCGHIFCKACIRAAIGAQGKCPTCRRKVTMKELIRVFLPTTR >ONH92844 pep chromosome:Prunus_persica_NCBIv2:G8:18939501:18942928:-1 gene:PRUPE_8G199600 transcript:ONH92844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRAARYVNRRKDRVDLDLNSAPPGDNRDQEGTSTPADPQNNQATLLGQSAPPNMIDVEAIDDDVVESSPRAFAEAKNNARRNQRRITVDVDLERTRVTRNKRRRVAPNQTIINCDFYINLESNNNSSSTKESVAQPAPPPPKEPTFSCPICMAPLVEEMSTKCGHIFCKACIRAAIGAQGKCPTCRRKVTMKELIRVFLPTTR >ONH90982 pep chromosome:Prunus_persica_NCBIv2:G8:11969885:11971829:1 gene:PRUPE_8G086900 transcript:ONH90982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPERNREPLDIPEGSRKDDFEEKVNPFHEAGNHDDGDRGGLEGAVRSNSSVSSLNLDIPSVFDRCLEDDCEICFEVEKSFDFDNVYGESKQDESSVILKAVDQEKVGSELLKEHNPYKFEGKVIQESLEGTIRDEMTSCDTSKYVDFLGVDAFVLKIAKNFVDRVNLMAFGLNSFWAARLYTVHNLKCSRRIKYSKYLYLWSGKVQFQGQSSIDSLCKWRLCLDSFQQKVGIKGRILHNPVELTQENKHKYSRAVRYSKSFKLLMEYIQHTSLFGKEEFSFKFLMESSLITV >ONH90774 pep chromosome:Prunus_persica_NCBIv2:G8:10718021:10718898:-1 gene:PRUPE_8G073400 transcript:ONH90774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRQRKHCHCGKLCRVQTSWTDSNPGRRFLVCPLGRNIGCCFFEWFDPEMCSCSKDIIPELRRIGKDWNKI >ONH92267 pep chromosome:Prunus_persica_NCBIv2:G8:17219757:17222924:-1 gene:PRUPE_8G165900 transcript:ONH92267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLSSSSPTPLKLHLKPSPFLHRLGRIDSGKLNLPASQLPNLRRVSLVSCKRQNPSPLSSSSPPPSAPSNILPYLSSNRAESPNGSEPKYQLLNQIATGASKQRKAITTGAFVVLSALVLILIQPAFAPAAFASFQTATKTGSPAAAIGRRLIQTELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRIESALVGALWGCGHDAGQVIFGLIFLLLKDRLHIEIIRTWGTRVVGITLLVIGAMGIKEASEVPSPCVALENGECDVSVYESLETPTVGKKKIGFATFATGIVHGLQPDALMMVLPALALPSRIAGAAFLVMFLVGTVVAMGSYTVFLGSCSQALKDRVPRITEKLTWASSLVAIALGFAIIISQFFGYSLY >ONH91450 pep chromosome:Prunus_persica_NCBIv2:G8:14264501:14279627:1 gene:PRUPE_8G115500 transcript:ONH91450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDFKFLRSNSQKKEEPENVPPMNPKDLSAIRISSESSRAPFNPIQELAQLPKPEQEVGIRSRVEKTPTKASKAKTSDPTLPLRTPDKHGPGFSTRKRFGWAQKTEPSCMTTSDSHEVGANCSTQVSRGGGGTGNGGLANVTPRVMRTAGRAVSSYSESNSTQTTPTKSVSKPPNSGFRNKVDGSVGPRGGNYAAMYKGIPISCGPSTVVNTVEVPHFDLKEDPSFWMDHNVQVLIRVRPLNSMERSMHGYSRCLKQESAQTISWIGQPESRFTFDHVACETVDQEMLFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIEDLETKPSPHRGMTPRIFEFLFARIQAEEEIRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLLLREDVKKGVYVENLSEFEVCTVSDILRLLIQGSSNRKVAATNMNRESSRSHSVFTCVIESRWEKDSTANLRFARLNLVDLAGSERQKDSGAEGERLKEAANINKSLSALGHVIMVLVDMAHGKLKHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICCQAETLNTLKFAQRAKLIQNNAVVNEDATGDVIALQHQIRLLKEELFILKRHNVSRSLSFGSTNIEDTQVRKIDCNGNVCEMDLECDDDFLENGSKGTVRLSTKQLKSLETTLAGALRREQMAETTIKQLEAENEQLNRLVRQREEDTRCTKMMLRFREDKIQKMESLISGSIPVETYLLEENKTLSEQILLLQAKLDKNPEVTRFALENIRLLDQLRRFQDFYEEGEREILLDEVSKLRDQLLQFLDGHSKNHSIPNFSMNPQETMCTNKENDSLNSELKNTLHELEECRRNLNNCMEDNAKLSREIDDLRTMLNNLKPLDQHGGVALEVHNAVQIEEMERKDDPLRKHAEEILNLQLELDILNIILKEERTTQEERVFFLNRDLQLANEELFLISKQHDDANSKLQEAKSIIEALESQQILSINELEDMRNSNNHYVQLLSEQELELKALKEQRNFKEFRDLSPLNCSNNHDSRLQGNLKRMQDSLEKAKRLNTWYQSDRAFQVSNEEEMDEVCRQAEAETAEVIVCMQEELGMLQQQIHDSHLKELEMNKNVMILEAELKDVREKLYMLNKDNERLGKELEEKDGEARTLSEEWALLSSEIEEVLSDGCEVLDGASDQLDLISHSFPQKRIWILQQVGRIVQTICEKEFLIEELRKCLEDANNKKSNVECMLKSLRGAALVITEAHEQECLEKETEMVMMTTQLNAKTSTVEKLENRVKLLEDQIRKTSVCATGAFVVVNRLEEMKLDYEDALKHKNIQLSESEDLISLKVAVLNDQATVIAEGEKKIQSLSGEVEEWERTCTNLRQELSEERQRTCTIEQKLEDVEEKNILMTKEKLAELKTGVSTLRSCMNTHAEHQTSSEMKNSQVSCKTSKGEGGGWIATGTMIDQNGNKQFVEDLRDDLSECSLEAGKSISANICTWENLKSDRPSKEVSGRDVTIILLKKEIEAALDSLKEVQAEMDKLREENKAMCKSEQQSQESMKYLITQVLNLQSTMNNLERQSKVKLEAHNHRLEAFQQIVQEAGSHWCQTKELMEIEFDDAKLVADQKTAEVSCILPKFEEAQDIIKEADIMINELMISNETMKLEIRRLKKMEASVTSDRDMLLNEVQSLQSINHLSNQQFAEVEELLASDIKETKALVVELEGMLAEVQANYNENFMLLASDFRSVKSLLSDSSKLVRSSLEDIWSEIIVKDCAVSVLHLCHMGLLLETVTGLNAENSLLQRGLCESSSCIADLRQHNIRSKRELEMCQILKGKLLTDIKNSFDHITRREEEAGKLNMKLNTFEEQISELKFQEELMLQRSNYMGSQLAILMKEFDLSNSNFGASLLDQEKFLKDKEEALESQAECFMIDWCVKDFESLILTSELEEMAMHKVDMEREHITCCVMLEDLKKEFILSKVDALLKEQSLVDEEVEGAHLQKEAQKERQDLLSQLNQSTLRITQINEVNKALEKDIQLLKDVALSNDALKGELGEVKQTEVKLSSHVQALEAEYQKLREDLKMKEMNLELSAQQISVLDQDNQRLQNDICMLHTSSYGLQGAVEKKDAELSRLSHLEMENESLKTEIGKLNTENSTTLKNLAEKNSEFTSSLNRINVFDKENCRLQDEIISLEIHITNLETNLRVKSAELYELKQSESAIMEELCSKSQELQICLSKTNTLKEENVLFREELLSLKKSKDEFLTMSNVNSKKCLDSVETVDSVSNILRNILKGEGFIIVDKMFQEICETGERISEFIEQVDCLESHAKELVSENLSLQAELLRKDDVLKGLLFDLSMLQESASKNKDQQDEIEEILSSLEALEDELSAKSCELRQAIANSQMLETQLQEKTDVISTLEFGILEERESVKLLSSENLELRAHMEDALEAKNSVEKELTERQKIIESLKMELLEISNALDQMNNSNESLRSNMHELASEKDLLHIEMLKLKEKLEREQARADEIEAIANEAQEIAELRKNYADDKEAEVKLLERSVEELERVVDVLENKVDIVKGEAERQRLHGEELELELHAVKHQMQNVENANADMKRYLDEKEKSLQEALQNIQILEKDIAEKDAEIAQFKAHISELNLHAEAQACEYKQKFKALESMAEQVRPEGHSTHATSSSNKSEKHATKSRGSGSPFKCIGLGLAQQIKSEKDEERTTSRVRIEELESLALSRQKEIFTLNSKLAAAESMTHDVIRDLLGVKLDMTTYVSLLDNQQVQKITEKARLHSVESEEKEQEVVKLKKQLNEFIQERQGWLEEIDRKQAELIAVQIALEKLRQRDQFLKTENEMLKVENVNHKKKVMELEGEVNKLSGQQNLQQRIHHHAKIKEENHKLKVQNEELSTKLRRTEVILSRVKEELARFRASCGRNSYVDFDEEQRLSAKLKETEEEKLQLAQKLLGLCTSVLKAAGITKPSTHINPSVAEEALEQIKNKVTLMDRELQDLKYKNKISSERIRLSELMPQASPISSRADENRQTPKRMSQAPYFSPLDR >ONH90552 pep chromosome:Prunus_persica_NCBIv2:G8:8684622:8687795:-1 gene:PRUPE_8G060800 transcript:ONH90552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALTQTIKQHGFACSKLGIFLEASHLEEVEELPHGQIQLEEAAQIFKADDRPINIRSTLARGTFHGAEHLPEKFRQQKRETQREIEGAERDNPGTWIVRIHTRYTVRRGLCQLDMHILGYSEVEKGTPRFELGFGGDTVQIGHKLLFGNLRTAPQYKGTFGWSKSVNIVKGVAHALLYMHHDCLPPIVHRDISSNNILLDSEYEACVSDFGTAKFLNPDSANWTAVADTYGYVGLELAYKMEVNAQCNVYSFGVVTLEIIMGRHPGNFFSSLSSRSSSSSSSGLPAHQMPIVDVVDQRISPPTNQEAGEVVSLVKIAFACLNPSPQSRPTMKQVSQRLSTERSLLLEPLHMITWGELLALNGFAT >ONH90477 pep chromosome:Prunus_persica_NCBIv2:G8:6541131:6543975:1 gene:PRUPE_8G056200 transcript:ONH90477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTAEGIVEHQTLVKGVTTRQPRPLWLPTKIPTTSGSLRPRFWIHSLRLSLLYRSIPIFSLKSKEVKTKIPAKMSLKNGLFRNSKFRGIFRRIPAATAQVFKRQKASFEGFNGGIDHRAKAVGVHSDPPHGLFPFSALPPS >ONH90191 pep chromosome:Prunus_persica_NCBIv2:G8:3962763:3966543:-1 gene:PRUPE_8G039600 transcript:ONH90191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSSAYDIPWVEKYRPSNVADIVGNEDAVSRLQVIARDGNMPNLILAGPPGTGKTTSILALAHELLGPNYKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKVVILDEADSMTSGAQQALRRTMEIYSNSTRFALACNVSSKIIEPIQSRCALVRFSRLSDQEILGRLMIVVQAEKVPYVPEGLEAIIFTADGDMRQALNNLQATNSGFRFVNQENVFKVCDQPHPLHVKNMVCNVLEGKFDDACSGLKQLYDLGYSPTDIITTFFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKLSLIRETAKAT >ONH93439 pep chromosome:Prunus_persica_NCBIv2:G8:20650746:20651829:1 gene:PRUPE_8G232300 transcript:ONH93439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASWHVIWMHDGYRTTMHLLFKTPKSPKLQIFAHTCGSCPTWIKSTSVLSCHTCEYIADWGMHKLLSGGGWNSEVKKWAQYYWLTDLIHESRLWGINLITVKLTKIRKEVDPEFLSCLYCGPCMQMLSFR >ONH93847 pep chromosome:Prunus_persica_NCBIv2:G8:21864933:21868921:-1 gene:PRUPE_8G256300 transcript:ONH93847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKSLQVSIFKYIRQGQRNQESSRRRNTETVDLAETLNTSKKKKKKSRREMKGALARLQLGESHQLSFPPSSKLSSSNSSRFQNLLDSGAADAILPSSSPLGTDLDPNFDDRDFILSQDFFCTPDYITPDNQHTLNGWDCNKENIPCPKSPEKSNTVKSKRRRQGDVLLNPLSPTFSGNQQIVELGTDSFGIDEAKIEKPTVTEVRKTQNYVSQSAVALRCRVMPPPCFKNPYLKDASEMDIDPLGNQRSKCAAFFPAIMGGNGLSRYCADFHEIEQIGSGYFSHVFKALNRIDGCLYAVKLSMKQLHQDTERRKALMEVQSLAALGSHENIVGYYSSWFENEQLYIQMELCDHSLSIYKFSRTFTEGEILEVLFQIAKALQFIHKKGIAHLDVKPDNIYVKNGVYKLGDFGCATLLDKSLPIEEGDARYMPQEILNEKYDDLDKADIFSLGVAIYELIRGLPLPESGPQILNLREGKLPLLPGHSLQFQNLLKVMLDPNPVWRPSAKDLVENPIFDKVLRKGRA >ONH92922 pep chromosome:Prunus_persica_NCBIv2:G8:19124862:19127815:-1 gene:PRUPE_8G203400 transcript:ONH92922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGQSQCPLCRHEYNHFPTICQMLHFLLLKKYPVAYKRRELQILEEEKRSGCFSPQLDAHGCNSQASQDLHIQNNGTAGPKQTRGGDYEGTGNVTDEEKNSLLNTDSGSADRISVADVICAACKQLSFHPVVLNCGHVYCESCIVKPDEQMLRCNVCQSSHPSGFPKVCLVLGHFLEEQFPKEYALRRAAVQLKQADSKHENPNACATKADTQRKKLSPWSDPVHIRFGCDSCGMFPILGDRYNCLDCFEKKGFDLCSHCYNTNSKLPGRFNQQHTPEHRFKLVRKDSIRNQLKLVIGDIDDISLALIIASISSEGTISPDAQENAENRSAVHAPSTSSGDEQDESLTSD >ONH92923 pep chromosome:Prunus_persica_NCBIv2:G8:19124862:19128400:-1 gene:PRUPE_8G203400 transcript:ONH92923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGQSQCPLCRHEYNHFPTICQMLHFLLLKKYPVAYKRRELQILEEEKRSGCFSPQLDAHGCNSQASQDLHIQNNGTAGPKQTRGGDYEGTGNVTDEEKNSLLNTDSGSADRISVADVICAACKQLSFHPVVLNCGHVYCESCIVKPDEQMLRCNVCQSSHPSGFPKVCLVLGHFLEEQFPKEYALRRAAVQLKQADSKHENPNACATKADTQRKKLSPWSDPVHIRFGCDSCGMFPILGDRYNCLDCFEKKGFDLCSHCYNTNSKLPGRFNQQHTPEHRFKLVRKDSIRNQLKLVIGDIDDISLALIIASISSEGTISPDAQENAENRSAVHAPSTSSGDEQDESLTSD >ONH92921 pep chromosome:Prunus_persica_NCBIv2:G8:19125188:19128069:-1 gene:PRUPE_8G203400 transcript:ONH92921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNQISLGDEQEEIPDSFICCVCLDLLYKPIVLSCGHVSCFWCVHRSMSSLGQSQCPLCRHEYNHFPTICQMLHFLLLKKYPVAYKRRELQILEEEKRSGCFSPQLDAHGCNSQASQDLHIQNNGTAGPKQTRGGDYEGTGNVTDEEKNSLLNTDSGSADRISVADVICAACKQLSFHPVVLNCGHVYCESCIVKPDEQMLRCNVCQSSHPSGFPKVCLVLGHFLEEQFPKEYALRRAAVQLKQADSKHENPNACATKADTQRKKLSPWSDPVHIRFGCDSCGMFPILGDRYNCLDCFEKKGFDLCSHCYNTNSKLPGRFNQQHTPEHRFKLVRKDSIRNQLKLVIGDIDDISLALIIASISSEGTISPDAQENAENRSAVHAPSTSSGDEQDESLTSD >ONH93059 pep chromosome:Prunus_persica_NCBIv2:G8:19488230:19489427:1 gene:PRUPE_8G210000 transcript:ONH93059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASCGMASAASGFVVAPNVAAANNTGSASSRSSMLFFSSKPNTNTRNNARFVIRAADEAAAAPPAATKEAPEGAAAPKPKPPPIGPKRGTKVKILRRESYWFKGIGSVVAVDQV >ONH93058 pep chromosome:Prunus_persica_NCBIv2:G8:19488172:19489534:1 gene:PRUPE_8G210000 transcript:ONH93058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASCGMASAASGFVVAPNVAAANNTGSASSRSSMLFFSSKPNTNTRNNARFVIRAADEAAAAPPAATKEAPEGAAAPKPKPPPIGPKRGTKVKILRRESYWFKGIGSVVAVDQDPNTRYPVVVRFNKVNYANVSTNNYALDEIEEVK >ONH91574 pep chromosome:Prunus_persica_NCBIv2:G8:14961350:14962069:-1 gene:PRUPE_8G123900 transcript:ONH91574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSANFLDFQYNLSKRKFLRKPSHVFSFRDRQNSGVSPSFEPNPNEMKRVFDKFDSNKDGKISQPEYKAILRALGKGNMVGEVPKIFRIADLDGDGFINFKEFMEVHKKGGGIRTIDIQNAFRTFDLNGDGKISAEEVMEVLGRLGESCSLEDCQRMVRAVDTDGDGVVDIDEFMTMMTRSMKTCLEN >ONH92352 pep chromosome:Prunus_persica_NCBIv2:G8:17462295:17463303:1 gene:PRUPE_8G170000 transcript:ONH92352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGVGSSACPINEATYVSFFILDYVKPQVKFRTGPSKSQRQPCLLILISKLSRLTCELPLLETVGRKRISKKE >ONH90986 pep chromosome:Prunus_persica_NCBIv2:G8:12007215:12012308:1 gene:PRUPE_8G087100 transcript:ONH90986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKTLIAHHPLHSPLLQNLTHTALSHPSLFFTTNPPYFSSTPGLNHTSGLPKLRFSPPIRRRNRLVTACIVDGVCEGDDGKDSLVSKEVVEVKKEELESQSLWNQMKEIIMFTGPATGLWICGPLMSLIDTVVVGRGSSLELAALGPGTVMCDNMSYVFMFLSIATSNMIATALAKGDRNEVQHHISILLFVGLTCGCLMLLFTRFFGSWALTAFAGSKNGHIIPAANTYVQIRGLAWPAILVGWVTQSASLGMKDSWGPLKALAVASVINGIGDVVLCSFLGYGIAGAAWATMVSQVVAGYMMIEALNKKGYNAYAISVPSPEEFLTVLGLAAPVFVTMISKIAFFSLVVYFATSMGTNITAAHQVMIQTLFICTVWGEPLSQTAQSFMPELIYGANRSLPKARMLLKSLVIVGAIIGSVLGIGGTCVPWLFPNIFTPDQKIIQEMHKVLIQFFLALAVTPAILCFEGTLLAGRDLRFISLSMSGCLSLGALLLLFVSSRGYGLAGCWWAVVGFQWVRPTSKASHAHF >ONH90985 pep chromosome:Prunus_persica_NCBIv2:G8:12007120:12013564:1 gene:PRUPE_8G087100 transcript:ONH90985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKTLIAHHPLHSPLLQNLTHTALSHPSLFFTTNPPYFSSTPGLNHTSGLPKLRFSPPIRRRNRLVTACIVDGVCEGDDGKDSLVSKEVVEVKKEELESQSLWNQMKEIIMFTGPATGLWICGPLMSLIDTVVVGRGSSLELAALGPGTVMCDNMSYVFMFLSIATSNMIATALAKGDRNEVQHHISILLFVGLTCGCLMLLFTRFFGSWALTAFAGSKNGHIIPAANTYVQIRGLAWPAILVGWVTQSASLGMKDSWGPLKALAVASVINGIGDVVLCSFLGYGIAGAAWATMVSQVVAGYMMIEALNKKGYNAYAISVPSPEEFLTVLGLAAPVFVTMISKIAFFSLVVYFATSMGTNITAAHQVMIQTLFICTVWGEPLSQTAQSFMPELIYGANRSLPKARMLLKSLVIVGAIIGSVLGIGGTCVPWLFPNIFTPDQKIIQEMHKVLIQFFLALAVTPAILCFEGTLLAGRDLRFISLSMSGCLSLGALLLLFVSSRGYGLAGCWWAVVGFQWARLFLSLGRLVSPTGILYSEDMSQYNLEELRTV >ONH90684 pep chromosome:Prunus_persica_NCBIv2:G8:10157187:10158668:-1 gene:PRUPE_8G069200 transcript:ONH90684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGFGCVTVILDSFSASKVLKDDDGNEKLAQLGIKNNAKILASRVCAEEVKSLKEELLAEDKRSQQCFDLDHNPLKLKSISKPDENLISYGSLNL >ONH93936 pep chromosome:Prunus_persica_NCBIv2:G8:22083198:22084485:-1 gene:PRUPE_8G261600 transcript:ONH93936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPYDVVPCSSVAVDSILRIGTAGAIWGLCSAPHEARKQGLGGVAQAAFVARSASSCGFQYGLVAGICTATHCGLQRYRGKSDWVNGLIAGAVAGGAIAAMTRSWTQVVPMACLVSAFKVATDYARTT >ONH93935 pep chromosome:Prunus_persica_NCBIv2:G8:22082607:22084560:-1 gene:PRUPE_8G261600 transcript:ONH93935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPYDVVPCSSVAVDSILRIGTAGAIWGLCSAPHEARKQGLVAGICTATHCGLQRYRGKSDWVNGLIAGAVAGGAIAAMTRSWTQVVPMACLVSAFKVATDYARTT >ONH93934 pep chromosome:Prunus_persica_NCBIv2:G8:22082371:22084560:-1 gene:PRUPE_8G261600 transcript:ONH93934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPYDVVPCSSVAVDSILRIGTAGAIWGLCSAPHEARKQGLVAGICTATHCGLQRYRGKSDWVNGLIAGAVAGGAIAAMTRSWTQVVPMACLVSAFKVATDYARTT >ONH93937 pep chromosome:Prunus_persica_NCBIv2:G8:22082607:22084618:-1 gene:PRUPE_8G261600 transcript:ONH93937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPYDVVPCSSVAVDSILRIGTAGAIWGLCSAPHEARKQGLGGVAQAAFVARSASSCGFQYGLVAGICTATHCGLQRYRGKSDWVNGLIAGAVAGGAIAAMTRSWTQVVPMACLVSAFKVATDYARTT >ONH91052 pep chromosome:Prunus_persica_NCBIv2:G8:12358597:12359229:1 gene:PRUPE_8G090500 transcript:ONH91052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCDLHEFNLALIAKAAEAIAKIKFASTRNKDRLVWPFEKNGCYSVKSGYHWLHSSSITRQQNRPSSSRNADAVCWKFVWRIKAPPKIGNFI >ONH92038 pep chromosome:Prunus_persica_NCBIv2:G8:16407067:16411097:1 gene:PRUPE_8G150800 transcript:ONH92038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSATLSRPTLSYFPQTQQHQQQLHLPHFLNPYLKPKSFSLQFQCAQASRRTPNYPQGGADNLVDDPRNWSRSINSEVDRHYNFEDDDEDDEDDDDDEEEDRSLDLLVRFVQNMFKKVSRRARRAVRSVLPVSIPTKLVGFSVNGVLMLAFLWVLKAFLEVVCTLGSLVFVSILLIRGIWTGVTYVQENRTQKLRNFEDESRPWTGTQPAT >ONH92039 pep chromosome:Prunus_persica_NCBIv2:G8:16408139:16409477:1 gene:PRUPE_8G150800 transcript:ONH92039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSATLSRPTLSYFPQTQQHQQQLHLPHFLNPYLKPKSFSLQFQCAQASRRTPNYPQGGADNLVDDPRNWSRSINSEVDRHYNFEDDDEDDEDDDDDEEEDRSLDLLVRFVQNMFKKVSRRARRAVRSVLPVSIPTKLVGFSVNGVLMLAFLWVLKAFLEMGRVALCQS >ONH92037 pep chromosome:Prunus_persica_NCBIv2:G8:16407067:16411097:1 gene:PRUPE_8G150800 transcript:ONH92037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSATLSRPTLSYFPQTQQHQQQLHLPHFLNPYLKPKSFSLQFQCAQASRRTPNYPQGGADNLVDDPRNWSRSINSEVDRHYNFEDDDEDDEDDDDDEEEDRSLDLLVRFVQNMFKKVSRRARRAVRSVLPVSIPTKLVGFSVNGVLMLAFLWVLKAFLEVVCTLGSLVFVSILLIRGIWTGVTYVQENRTQKLRNFEDESRPWTGTQPAT >ONH91337 pep chromosome:Prunus_persica_NCBIv2:G8:13826572:13828228:1 gene:PRUPE_8G107300 transcript:ONH91337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVFTEEQETLVAKSWGVMKKNSAELGLKFFLKIFEIAPSAQKLFSFLKDSDIPLEKNPKLKPHAISVFVMTCESAVQLRKSGKVTVRESTLKRLGGVHFKSGVVDEHYEVTKFALLETIKEAVPEMWSLKMKNAWGEAYDQLVAAIKAEMKPSP >ONH93575 pep chromosome:Prunus_persica_NCBIv2:G8:21058645:21064950:-1 gene:PRUPE_8G239900 transcript:ONH93575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSMEDGEITVSAYDTAWVALVEDVEGSGLPQFPSSLEWIANNQLPDGSWGDSEIFTAHDRIINTIACVVALKTWNVHPDKCEKGMAYFKENINKLGNENAQHMPIGFEVAFPSILEIARSLNLEVPDDCAVLHEIYAMRNLKLTKIPRDIMHKVPTTLLHSLEGMAGLDWKKLLKLQSQDGSFLFSPASTAYALMRTKDSKCMTYLAKAVHKFNGGVPNVYPVDLFEHVWVVDRLQRLGISRYFEPQIKECINYVNRYWTEKGICWARNSEVQDIDDTAMAFRLLRLHGHHVSADVFEHFKKGNEFICFAGQSTQAVTGMYNLFRASQVLFPGENILEEAKDFSTKFLREKQASDELLDKWIITKDLPGEVGYALEVPWYASLPRLETRFFIEQYGGRDDVWIGKTLYRMPYVNNNVYLELAKLDYNNCQALHLIEWDNIQKWYAECRLEDYGLSRRSLLMAYFVAAASIFEPERANERVAWAKTTCLIETVGCHFKEETYEQRGAFVHEFRTRKMNTNKKRQGLIETLMATLHHFSLDAMVAHGHDISHPLRQAWEKWLTKWQEKGDIHQDEAELLVETINQTAGFSIPEGLLLSNPEHGQLFSITNSVCNKLHSYQNQKHKVNENGSCSLKIQEIESEIQQLVKMMLENPSDDIHSSIKQTFFVVARSFYYSAYYDPGTINHHLTKVFFERVI >ONH94026 pep chromosome:Prunus_persica_NCBIv2:G8:22296261:22301125:-1 gene:PRUPE_8G266800 transcript:ONH94026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRMLVHKVYHRLSLLSLTPHTLPFKPVQFPSALSPASIRARPLNGTVRSLRTTAVMATSRLRNLVPVNAVASEDGSGGASNGSVASTAATTALEDEDSTLGVRYRLPPQEIKDIVDAPPLPALSFSPHRDKILFLKRRSLPPLAELARPEEKLAGVRIDGKCNTRTRMSFYTGIGIHQLLPDGTLGPEIEVHGFPDGAKINFVTWSPDGRHLAFTIRFDEEESTSSKLKVWVAQVETGIARPLFKSDEIFLNAVFDNFVWVNDSSLLVCTIPLSRGDPPKKPWVPFGPKIQSNEQKSIIQVRTFQDLLKDEYDEDLFDYYATTQLVLASLDGTVKEIGPPAIYTSMDPSPDHKYLLISSIHRPYSFTVPCGRFPKKVDLWTADGKFVRELCDLPLAEDIPIAFNSVRRGMRSINWRADKPSTLYWVETQDEGDAKVDVSPRDIIYTQPAEPLEGEGATILHKLDLRYGGISWSDDSLALVYESWYKTRRTRTWVISPGSNDVSPRILFDRSFEDVYSDPGSPMLRRTPAGTYVLAKVKKENEEGTYILLNGNGATPEGNIPFLDLFDINTGNKERIWKSDKEKYYETVVALMSDEKEGDLPIDHLKILTSKESKTENTQYYILSWPEKKAFQITNFPHPYPQLASLQKEMVKYQRKDGVQLTATLYLPPGYDPSRDGPLPCLVWSYPGEFKSKEAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDDEANDRYVEQLVASAEAAVEEVVRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVKMSPFMSANKIKKPILLIHGEEDSNSGTLTMQSDRFFNALKGHGALCRLVILPYESHGYASRESIMHVLWETDRWLQKYCVSHTSTVNVDPDVSKDNSGTVSTDSESKAIAASGGSGPEVSNTEHEGFDSLPRSLL >ONH94024 pep chromosome:Prunus_persica_NCBIv2:G8:22295877:22301128:-1 gene:PRUPE_8G266800 transcript:ONH94024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRMLVHKVYHRLSLLSLTPHTLPFKPVQFPSALSPASIRARPLNGTVRSLRTTAVMATSRLRNLVPVNAVASEDGSGGASNGSVASTAATTALEDEDSTLGVRYRLPPQEIKDIVDAPPLPALSFSPHRDKILFLKRRSLPPLAELARPEEKLAGVRIDGKCNTRTRMSFYTGIGIHQLLPDGTLGPEIEVHGFPDGAKINFVTWSPDGRHLAFTIRFDEEESTSSKLKVWVAQVETGIARPLFKSDEIFLNAVFDNFVWVNDSSLLVCTIPLSRGDPPKKPWVPFGPKIQSNEQKSIIQVRTFQDLLKDEYDEDLFDYYATTQLVLASLDGTVKEIGPPAIYTSMDPSPDHKYLLISSIHRPYSFTVPCGRFPKKVDLWTADGKFVRELCDLPLAEDIPIAFNSVRRGMRSINWRADKPSTLYWVETQDEGDAKVDVSPRDIIYTQPAEPLEGEGATILHKLDLRYGGISWSDDSLALVYESWYKTRRTRTWVISPGSNDVSPRILFDRSFEDVYSDPGSPMLRRTPAGTYVLAKVKKENEEGTYILLNGNGATPEGNIPFLDLFDINTGNKERIWKSDKEKYYETVVALMSDEKEGDLPIDHLKILTSKESKTENTQYYILSWPEKKAFQITNFPHPYPQLASLQKEMVKYQRKDGVQLTATLYLPPGYDPSRDGPLPCLVWSYPGEFKSKEAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDDEANDRYVEQLVASAEAAVEEVVRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVKMSPFMSANKIKKPILLIHGEEDSNSGTLTMQSDRFFNALKGHGALCRLVILPYESHGYASRESIMHVLWETDRWLQKYCVSHTSTVNVDPDVSKDNSGTVSTDSESKAIAASGGSGPEVSNTEHEGFDSLPRINC >ONH94025 pep chromosome:Prunus_persica_NCBIv2:G8:22295877:22301128:-1 gene:PRUPE_8G266800 transcript:ONH94025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRMLVHKVYHRLSLLSLTPHTLPFKPVQFPSALSPASIRARPLNGTVRSLRTTAVMATSRLRNLVPVNAVASEDGSGGASNGSVASTAATTALEDEEDSTLGVRYRLPPQEIKDIVDAPPLPALSFSPHRDKILFLKRRSLPPLAELARPEEKLAGVRIDGKCNTRTRMSFYTGIGIHQLLPDGTLGPEIEVHGFPDGAKINFVTWSPDGRHLAFTIRFDEEESTSSKLKVWVAQVETGIARPLFKSDEIFLNAVFDNFVWVNDSSLLVCTIPLSRGDPPKKPWVPFGPKIQSNEQKSIIQVRTFQDLLKDEYDEDLFDYYATTQLVLASLDGTVKEIGPPAIYTSMDPSPDHKYLLISSIHRPYSFTVPCGRFPKKVDLWTADGKFVRELCDLPLAEDIPIAFNSVRRGMRSINWRADKPSTLYWVETQDEGDAKVDVSPRDIIYTQPAEPLEGEGATILHKLDLRYGGISWSDDSLALVYESWYKTRRTRTWVISPGSNDVSPRILFDRSFEDVYSDPGSPMLRRTPAGTYVLAKVKKENEEGTYILLNGNGATPEGNIPFLDLFDINTGNKERIWKSDKEKYYETVVALMSDEKEGDLPIDHLKILTSKESKTENTQYYILSWPEKKAFQITNFPHPYPQLASLQKEMVKYQRKDGVQLTATLYLPPGYDPSRDGPLPCLVWSYPGEFKSKEAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDDEANDRYVEQLVASAEAAVEEVVRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVKMSPFMSANKIKKPILLIHGEEDSNSGTLTMQSDRFFNALKGHGALCRLVILPYESHGYASRESIMHVLWETDRWLQKYCVSHTSTVNVDPDVSKDNSGTVSTDSESKAIAASGGSGPEVSNTEHEGFDSLPRINC >ONH94027 pep chromosome:Prunus_persica_NCBIv2:G8:22296261:22301125:-1 gene:PRUPE_8G266800 transcript:ONH94027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRMLVHKVYHRLSLLSLTPHTLPFKPVQFPSALSPASIRARPLNGTVRSLRTTAVMATSRLRNLVPVNAVASEDGSGGASNGSVASTAATTALEDEEDSTLGVRYRLPPQEIKDIVDAPPLPALSFSPHRDKILFLKRRSLPPLAELARPEEKLAGVRIDGKCNTRTRMSFYTGIGIHQLLPDGTLGPEIEVHGFPDGAKINFVTWSPDGRHLAFTIRFDEEESTSSKLKVWVAQVETGIARPLFKSDEIFLNAVFDNFVWVNDSSLLVCTIPLSRGDPPKKPWVPFGPKIQSNEQKSIIQVRTFQDLLKDEYDEDLFDYYATTQLVLASLDGTVKEIGPPAIYTSMDPSPDHKYLLISSIHRPYSFTVPCGRFPKKVDLWTADGKFVRELCDLPLAEDIPIAFNSVRRGMRSINWRADKPSTLYWVETQDEGDAKVDVSPRDIIYTQPAEPLEGEGATILHKLDLRYGGISWSDDSLALVYESWYKTRRTRTWVISPGSNDVSPRILFDRSFEDVYSDPGSPMLRRTPAGTYVLAKVKKENEEGTYILLNGNGATPEGNIPFLDLFDINTGNKERIWKSDKEKYYETVVALMSDEKEGDLPIDHLKILTSKESKTENTQYYILSWPEKKAFQITNFPHPYPQLASLQKEMVKYQRKDGVQLTATLYLPPGYDPSRDGPLPCLVWSYPGEFKSKEAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDDEANDRYVEQLVASAEAAVEEVVRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVKMSPFMSANKIKKPILLIHGEEDSNSGTLTMQSDRFFNALKGHGALCRLVILPYESHGYASRESIMHVLWETDRWLQKYCVSHTSTVNVDPDVSKDNSGTVSTDSESKAIAASGGSGPEVSNTEHEGFDSLPRSLL >ONH94028 pep chromosome:Prunus_persica_NCBIv2:G8:22296520:22301125:-1 gene:PRUPE_8G266800 transcript:ONH94028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRMLVHKVYHRLSLLSLTPHTLPFKPVQFPSALSPASIRARPLNGTVRSLRTTAVMATSRLRNLVPVNAVASEDGSGGASNGSVASTAATTALEDEEDSTLGVRYRLPPQEIKDIVDAPPLPALSFSPHRDKILFLKRRSLPPLAELARPEEKLAGVRIDGKCNTRTRMSFYTGIGIHQLLPDGTLGPEIEVHGFPDGAKINFVTWSPDGRHLAFTIRFDEEESTSSKLKVWVAQVETGIARPLFKSDEIFLNAVFDNFVWVNDSSLLVCTIPLSRGDPPKKPWVPFGPKIQSNEQKSIIQVRTFQDLLKDEYDEDLFDYYATTQLVLASLDGTVKEIGPPAIYTSMDPSPDHKYLLISSIHRPYSFTVPCGRFPKKVDLWTADGKFVRELCDLPLAEDIPIAFNSVRRGMRSINWRADKPSTLYWVETQDEGDAKVDVSPRDIIYTQPAEPLEGEGATILHKLDLRYGGISWSDDSLALVYESWYKTRRTRTWVISPGSNDVSPRILFDRSFEDVYSDPGSPMLRRTPAGTYVLAKVKKENEEGTYILLNGNGATPEGNIPFLDLFDINTGNKERIWKSDKEKYYETVVALMSDEKEGDLPIDHLKILTSKESKTENTQYYILSWPEKKAFQITNFPHPYPQLASLQKEMVKYQRKDGVQLTATLYLPPGYDPSRDGPLPCLVWSYPGEFKSKEAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDDEANDRYVEQLVASAEAAVEEVVRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVKMSPFMSANKIKKPILLIHGEEDSNSGTLTMQSDRFFNALKGHGALCRLVILPYESHGYASRESIMHVLWETDRWLQKYCVSHTSTVNVDPDVSKDNSGTVSTDSESKAIAASGGSGPEVSNTEHEGFDSLPRSLLW >ONH91700 pep chromosome:Prunus_persica_NCBIv2:G8:15368404:15368811:1 gene:PRUPE_8G131200 transcript:ONH91700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIRKALGNLKGVSPFYKETEAVAMVSMPKEVEAVTHTVFKSMFSFSSGPKTQSKQSGWSLVSNLMHHKRVAAYKEEETDVNEFANVDAALNSFVGHKTAESDNIIENTQNQLQNLELCIQDLQESLELFQGF >ONH90443 pep chromosome:Prunus_persica_NCBIv2:G8:6196459:6201344:1 gene:PRUPE_8G054300 transcript:ONH90443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNMSANQKCSGSFKKMSILSFSNTILLRCLRTSRLMDNSMLLEVSLKVMIDKFSTIVQAKNLDLSIKLSFHLFVEGLKTGKHFVFILQFLANFSNLRVLDLRYNNISGPIPVSFANFSNLRVLDLKSNDISGPIPGSFANFPNLRVLDLKSNDISDPIPGSFANFPNLRQLDLSSNPISDPLPGFFANFSKLTSLSLSDCQLNGTFPKEIFQVPTLLTIDLSGNFKLGGSLPKFPKNNGSLRSLNLFGTNFSGSLPDSIGNLKMLSMIDLSYCNFYGSIPMSMENLTQLVSLYMQSQRFHGPIDSINWENLINLEDFKLNENRFEGSIPSSLFALPLLLQLNLSHNQFSGELAFSNVSSNLAVLDLSFNNLEGQISVSILNFGGLQSLGLSSNNFNAFPFNGPQQLKYLTNIDPSNNWLLGLYNGTDSSYSSFPQIVSLNLAANNLTTIPYFLRNQSTLSSLNLSENHIQGKIPHWIWSSNQLDSLNISCNSLVTLEPPLYNSMYLDYSRNNFHSIPSNIGDFLTNALFFSLSINNLHGLIPTSICNAPNIRILDMSNNSLSGMIPHCLTAMRNISVLNLARNNLTGTISNFEVSKDSSLEILEISRNQLGGQVPKSLAKCTKLEVLNMGNNNIIDSFPCLLKSISTMRVLVLRSNNFYGGGMECLNTNGTWPGLQIIDLAHNNFRGEIQGILWRTWQKMMPRLNGPIPKEMGAFKSLYVNLSSNAFTGEIQSSFGNMSALECLDLSQNKLSGHIPQELENLTFISFLNLSNNELVGRIRTSTQFSTFPKASFIGNKGLWGPPLIVDNKEGLSPPPTVNRRPPNSGHHYEVNWDLVSVELGFTFGFGVAIGSLVLCKRWSKWYYRAVYSILLKIFPQLEERIGIRRRHVHINQR >ONH91132 pep chromosome:Prunus_persica_NCBIv2:G8:12761804:12764457:-1 gene:PRUPE_8G095400 transcript:ONH91132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTNSFCWGSSALLFLCLVGFFSTPAKAALKTYQFDVQVKNVSRLCHSKPIVTVNGMFPGPTVYAREGDTLLVNVTNHAKYNMSIHWHGLKQYRNGWADGPAYITQCPIKTGHSYTYNITITGQRGTLWWHAHIFWLRATVYGAIVILPKQGTGFPFLQPYKEANIVLGEWWNNDVEEVVKQGNKLGLPPNMSDAHTINGKPGPLFPCSEKHTYALEVEQGKTYLLRIINAALNDELFFAIAGHNLTVVEIDAVYTKPFISQALLIAPGQTTNVLIQANQAPGRYFMASRPFMDAPVSIDNKTATGILQYKGIPNTVQPVLPQLPALNDTAFALSFNAKLRSLNTAQFPASVPLKVDRHLFYTIGLGINQCTTCLNGTQLTASLNNITFVMPQIGLLQAHYFNTKGVFTTDFPDRPPTPFNYTGAPLNANLGTKIGTRLSKLAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGVGNFDPKKDPAKYNLVDPPERNTIAVPTGGWVAIRFRADNPGVWFMHCHLELHTSWGLKTAFVVENGKDSDHSILPPPTDLPPC >ONH92719 pep chromosome:Prunus_persica_NCBIv2:G8:18579789:18579989:-1 gene:PRUPE_8G191200 transcript:ONH92719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTQTQYKNKCLNLRSSQSSKRKSMITKNENRDPGIANHLHTSRRIEIIAMFVLPAPAGAQQTCK >ONH92739 pep chromosome:Prunus_persica_NCBIv2:G8:18648139:18648870:-1 gene:PRUPE_8G192600 transcript:ONH92739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHLLLLVSVSWISLLALVISPAQAATCSSQTFKNNKLYPNCSDLPFLSSYLHWTYNASNSSLSIAFVATPSKSEGWVAWAINPTAAKMAGAQTLLAYKTEDGAPVVKTFNITSYSSIVPGKLAFDVWDVSAEFSKGTFTIFASVKVPKDAASVNQVWQLGPAINKTSGFPVKHDFAPPNLQSFSTLYLMANSTTGTPVGANSTANSTGGGGSGALRIGSGGNMGVLSVSVLVLGALIAI >ONH91001 pep chromosome:Prunus_persica_NCBIv2:G8:12076034:12080654:-1 gene:PRUPE_8G087700 transcript:ONH91001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASVYNPAISFLFPWSSSPKHGLFSSWKQFSGTGLFLYDNANKLTKRRGPRPIPMAFGSNTDEAEVGVLLGERLYLGMDFGTSGARFALIDKRGTIHAEGKREYPHFMSEEKVDWARSWKATLFSLLEDIPSHLRKLVASISIDGTSATTLIVDSNTGEPLWRPLLYNESCPDALPTVKSIAPPNHTVCSGSSTLCKLVSWWENDDSNKKSALLLHQADWLLWLLHGKLGVSDYNNALKVGYDPELESYPPWLLSQPYSQLLPSVRAPGTSIGHLKEDIRSDFGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSTTRIEDARFGVYSHRLDDKWLVGGASNTGGAVLRQIFTDEQLVKLSEQINPMEASLLDYYPLQTIGERFPVADPNMAPRLDPRPESEVEFLHGILESIARIEAKAYSLLKDLGATQVDEVFTAGGGAKNEKWTKIRERVLGLPVSRATQTEAAYGAALLALRGTDEK >ONH91002 pep chromosome:Prunus_persica_NCBIv2:G8:12076034:12080655:-1 gene:PRUPE_8G087700 transcript:ONH91002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASVYNPAISFLFPWSSSPKHGLFSSWKQFSGTGLFLYDNANKLTKRRGPRPIPMAFGSNTDEAEVGVLLGERLYLGMDFGTSGARFALIDKRGTIHAEGKREYPHFMSEEKVDWARSWKATLFSLLEDIPSHLRKLVASISIDGTSATTLIVDSNTGEPLWRPLLYNESCPDALPTVKSIAPPNHTVCSGSSTLCKLVSWWENDDSNKKSALLLHQADWLLWLLHGKLGVSDYNNALKVGYDPELESYPPWLLSQPYSQLLPSVRAPGTSIGHLKEDIRSDFGFPNDCVVCAGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSTTRIEDARFGVYSHRLDDKWLVGGASNTGGAVLRQIFTDEQLVKLSEQINPMEASLLDYYPLQTIGERFPVADPNMAPRLDPRPESEVEFLHGILESIARIEAKAYSLLKDLGATQVDEVFTAGGGAKNEKWTKIRERVLGLPVSRATQTEAAYGAALLALRGTDEK >ONH89924 pep chromosome:Prunus_persica_NCBIv2:G8:2189951:2191117:-1 gene:PRUPE_8G024200 transcript:ONH89924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRLSASLGLPSPNQDHHHHLHSQTSNDFHSLFTHFITPNSQSNLTPTQNHIPFFASTTSTTTSPAPNWFSNSRPNTRPKTQILLKNLTVFERALIGAGGGGIAGAFTYFCLHPLDTIKTKLQTKGASDIYANAFDAVLKTFQTKGISGFYSGISAVIVGSTGSSAIYFGTCELGKSVLSKLPNYPPVLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAKGRSWQVLLKILENDGVLGLYAGYSATLLRNLPAGVLSYSSFEYLKSAVLSKTKQTHLEPIQSVICGALAGAISASITTPLDVVKTRLMTGAAKSGVSGTVKQILTEEGWVGLTRGVGPRVLHSACFSALGYFAFETARLAILNQYIKRKELQMQELAVDVGVASST >ONH89923 pep chromosome:Prunus_persica_NCBIv2:G8:2187738:2191235:-1 gene:PRUPE_8G024200 transcript:ONH89923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRLSASLGLPSPNQDHHHHLHSQTSNDFHSLFTHFITPNSQSNLTPTQNHIPFFASTTSTTTSPAPNWFSNSRPNTRPKTQILLKNLTVFERALIGAGGGGIAGAFTYFCLHPLDTIKTKLQTKGASDIYANAFDAVLKTFQTKGISGFYSGISAVIVGSTGSSAIYFGTCELGKSVLSKLPNYPPVLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAKGRSWQVLLKILENDGVLGLYAGYSATLLRNLPAGVLSYSSFEYLKSAVLSKTKQTHLEPIQSVICGALAGAISASITTPLDVVKTRLMTGAAKSGVSGTVKQILTEEGWVGLTRGVGPRVLHSACFSALGYFAFETARLAILNQYIKRKELQMQELAVDVGVASST >ONH91734 pep chromosome:Prunus_persica_NCBIv2:G8:15519901:15522455:-1 gene:PRUPE_8G134100 transcript:ONH91734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAQISASLSLSIRDASGISSAAASPARLPLFNSPRIGTAFATGSPLIIRTVYHQRKAVCKSMPLSVRCEQSTKEGGLDVWLGRLAMVGFAVAISVEVATGKGLLENFGLTSPLPTAALAVTALVGVLTAVFIFQSGSEK >ONH91888 pep chromosome:Prunus_persica_NCBIv2:G8:15925423:15925879:1 gene:PRUPE_8G141800 transcript:ONH91888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLCFLFKAFQGKLDAMHIVGFKQQSQMFRSHVKQPQLIHMFEKRHTDSESKRLMDNHTACDVMGEETNSC >ONH93570 pep chromosome:Prunus_persica_NCBIv2:G8:21044863:21048912:1 gene:PRUPE_8G239400 transcript:ONH93570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGDDIVTTAVIVPTHTPRTRGSERQLRISQPPKALGFDKPPAMDTLLHSPSSMAKRPCPSQNPRIPNLNQLDRDQLLDTFLEFSDSPPFAIDLSFERLLESRSCDADQTKLIDRALQLGSVLLEAAKRSARKRASKHNSLTWALPPDLTIKVFSMLDTQSLCYAAATCSMFNKCAMDPSCYANIDLTTVVPKVNNAVVSTMILRAGKALQSLKLGVVPGPTTPLGSCQPLVYTIRNSVDVSNFSWNDKRSRQGKESSVLTRSCLSPLGGNSGAPGTLLRRLHLYNIERMDNTSLCGALVACPFLLDLEIVGLHVELRQTLQSVSANCHLIERLFFESSKTGRDDSLKSPTCVDLMTDCPHLTSLALRGFKLHDYKVRILLKGLRKLKYVDFSTSYSITGAFLRNLGSNTGGNLLEVLILRDCMHLKMVEAARLMTAVLAGDFKFLKHLDISNREGLASENDWYHRCYSTSTIPIRRVLEERPNLCLLAEFPPEGSYADIDQMFDSEPYSDISLPSASQMSSPSSDGSMFVSFSESSYNSDHGSGNEDARDAGYVIYDESSDEVDYVAV >ONH91492 pep chromosome:Prunus_persica_NCBIv2:G8:14553958:14554170:-1 gene:PRUPE_8G118600 transcript:ONH91492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSEGDCRPLGFLLGLPFALLSLVLSIVGIVIWIVGLLLTCICPCCLCVTVVVELALELGEWFTSQIPC >ONH93161 pep chromosome:Prunus_persica_NCBIv2:G8:19826510:19828518:-1 gene:PRUPE_8G216400 transcript:ONH93161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTSLLSPPNFPTPPPLKKLSTAKWARPKPITCHVSTKMSSNQPPDPTSLLSSAAKLLWGPSLPPGLLISTVRTAWNSTWRIMMSQLAPSDPTLAYTRPPSKFRATPNQIPRQAQTSLHLYVGLPCPWAHRTLIVRALKGLNDAVPVSIAAPGQDGSWQFSSTPIPDPNTLVPGPDNANGFKTLKEVYKSRAGGYDGRSTVPMLWDVKKREVVCNESYDIIQLFNSGLNELARNPGLDLSPPPLKQKIETWNSIIYPNVNNGVYRCGFAQSQQAYDTAVNELFDALDMVEDHLGSSRFLCGDDLTLADVCLFTTLIRFDLVYNVLFKCTKKKLIEYRNLHAYMRDIYQIPEVAETCNFTSIMEGYYKTLFPLNPGGIQPVMPSGSEHEVLCRPHNRDSPSVKEKSAAALYIS >ONH90797 pep chromosome:Prunus_persica_NCBIv2:G8:10907812:10909438:-1 gene:PRUPE_8G074800 transcript:ONH90797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISVSVNGKCQVPPGFRFQPTEEELLLYYLRKRVSCEKIDLDVIRDIDLTKLEPWDIQEKCKIGSLPQNDWYLFSHKDKKYPSGTRTNRATIAGFWKATGRDKVIYSNSKPIGLRKTLVFYNGRAPHGERSDWLMYEYNHIVSNAMGGAATEEEGWVVCHIFIRKKKNLKTLNSPSNSSFNYMGINTGRMLESYYDEGSLEQMFQQMERNCKEENKAEVVKYNTKPLIYTSNQVIGGPDPKSLHQIVSGLTSWAAFDRLIASQLNGHTA >ONH92876 pep chromosome:Prunus_persica_NCBIv2:G8:19017826:19021267:-1 gene:PRUPE_8G201500 transcript:ONH92876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGNGRDLAGLSLDDVLLAPAAKQPPPPPALPPTISGRTLLDIIRDEEPKSYRALIGKKDKKAWKSFKDRLRLKRAGSAWASSVRVPTSDIPIRNNTVHGSNHQHLHLHLRSRRSSVRYATPETNLNMVQQVDESNSAGMRQISRRSSTRFGPNASIPSDEPDSDSSGQNARAPMFSRRSSVRFTADEIGTDSPRRRLSTALEEERSLSAREAVAAQVAAEAAAAAASAEEAEAMHGAAQNDVPSADGGAAGTTTEAEPVRMSLMDLLEETDRQMGLESSYTMDDEDEEEYEEEEEEEEEQAEVGNVEYNCCVCMVRHKGAAFIPCGHTFCRMCSRELWVQRGNCPLCNNFILEILDIF >ONH92877 pep chromosome:Prunus_persica_NCBIv2:G8:19017826:19020054:-1 gene:PRUPE_8G201500 transcript:ONH92877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGNGRDLAGLSLDDVLLAPAAKQPPPPPALPPTISGRTLLDIIRDEEPKSYRALIGKKDKKAWKSFKDRLRLKRAGSAWASSVRVPTSDIPIRNNTVHGSNHQHLHLHLRSRRSSVRYATPETNLNMVQQVDESNSAGMRQISRRSSTRFGPNASIPSDEPDSDSSGQNARAPMFSRRSSVRFTADEIGTDSPRRRLSTALEEERSLSAREAVAAQVAAEAAAAAASAEEAEAMHGAAQNDVPSADGGAAGTTTEAEPVRMSLMDLLEETDRQMGLESSYTMDDEDEEEYEEEEEEEEEQAEVGNVEYNCCVCMVRHKGAAFIPCGHTFCRMCSRELWVQRGNCPLCNNFILEILDIF >ONH91073 pep chromosome:Prunus_persica_NCBIv2:G8:12446183:12450302:-1 gene:PRUPE_8G091400 transcript:ONH91073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRETGYYDTLGVNVDATYFEIRKAYYLKATQVHPDKNPGDPKAAKEFRALGEAFQVLSDPTTRARFGKHGKLCISQDYWIHTDTTYCIMFGSEPFEDYIGQFAMNTFYSLLEMEEETLDLEVRKEKAIEKMGAFRKEREEKLIKFMKDRIQPFVDGRKDEFVKWVDSEARTLSTVACGEYMLHSIGHVYWAKARQELGKKRAFGGLDGWVENKGPRAYEMRPQIGSAKEAERVVLQQDMMEPEDFEDRFRTRIIQDLEAPTFGIRWDAAMLDLVITLSNVSHAILNEPTVPEDVLKLRAEALEMFGMIFQGATAPFLREGSLRNEDEVEYPDSDMDI >ONH91072 pep chromosome:Prunus_persica_NCBIv2:G8:12446572:12450101:-1 gene:PRUPE_8G091400 transcript:ONH91072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRETGYYDTLGVNVDATYFEIRKAYYLKATQVHPDKNPGDPKAAKEFRALGEAFQVLSDPTTRARFGKHGKLCISQDYWIHTDTTYCIMFGSEPFEDYIGQFAMNTFYSLLEMEEETLDLEVRKEKAIEKMGAFRKEREEKLIKFMKDRIQPFVDGRKDEFVKWVDSEARTLSTVACGEYMLHSIGHVYWAKARQELGKKRAFGGLDGWVENKGPRAYEMRPQIGSAKEAERVVLQQDMMEPEDFEDRFRTRIIQDLEAPTFGIRWDAAMLDLVITLSNVSHAILNEPTVPEDVLKLRAEALEMFGMIFQGATAPFLREGSLRNEDEVEYPDSDMDI >ONH91268 pep chromosome:Prunus_persica_NCBIv2:G8:13381475:13384207:-1 gene:PRUPE_8G102400 transcript:ONH91268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCVPSKNRPPKGVSDQDLQNPESDGPVPDQNQTQTTQTNPIDHAIAQRQLRVFIVFYSMYGHVEVLARRIKKGVDSMDGVEGFLYRVPETLSSEVLEQMKVPKKDGNADEVPVILAERLVEADGLLFGFPTRYGSMAAQMKAFFDSTGHLWREQRLAGVPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLYVPIGYTFGAGMFEMDSLRGGSPYGAGVLSGDGTRKPSETELALAEHQGKYMAGIVKRFAQPSFRAPGLGLD >ONH91664 pep chromosome:Prunus_persica_NCBIv2:G8:15199965:15200872:1 gene:PRUPE_8G128200 transcript:ONH91664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKFQFGIFLLISVVVFAQCYDPSSDCVWPPAASCQTKTDCAGPCAQLGLSSSPALCIPYGEGPTLTCCCLDPE >ONH91530 pep chromosome:Prunus_persica_NCBIv2:G8:14751231:14752193:-1 gene:PRUPE_8G121500 transcript:ONH91530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEELAYDFSPMIKVYKDGRVERLRGTDTVPPSTDPKTGVQSKDVVISQEPAISARLYIPKSAATSSPQTKLPLLIYFHGGGFCIESSSSPVYHNYLNALVSEANVVAVSVDYRLAPEHPLPAAYDDSWAALKWVASHSDGNGSEDWLNSFADFQRVFFYGDSAGGNLAHNMALKVGCEGLAGVNLIGIVLVHPYFWGTEPVGEESTAPAAGPGGELISALWSFTYPSTSGTDDPFLNPGKDSKLGELGCEKVLVCVAEKDVLKDRGWHYSETLKKSGWKGVVEVIEAIGEKHVFHLEDPTCDNAVALEKKIVAFLN >ONH92825 pep chromosome:Prunus_persica_NCBIv2:G8:18897512:18899623:1 gene:PRUPE_8G198400 transcript:ONH92825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRHTHFRLCAGRTSLPRFCDIRTALTSSHAKPKSVHRMRSNGSNDVNQPERNATAIGSSAKVFTDAVLALPAFANVGSESGHSRIPWRRFEIDGSSLGAYISQSNQVIPLQSQVKDQESYTLQLDKRIENLQTMVSKLKEENTQQTGELDTAKVELEKQQADLEMLEFDKLLMQMVEKLCGNVELSHQIELVMGEPNQMQLREILQKVEKLCEDLRSVASAGVVEDSAAPTD >ONH89527 pep chromosome:Prunus_persica_NCBIv2:G8:45920:49318:-1 gene:PRUPE_8G000200 transcript:ONH89527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVVLVLLVFCVLLIRQANSHEESGEWSCESDSEIRVQAEFGPGLITLDGHADDWKDMDGFEFSLRPALDPDAENEYRGGKMTVKALHDGRDVFFMVQVDGDYAYSKGDNNKCPSVALMFQIGEDATYHSMGGCKEGVEACTNKTCKGHEVDILHFSIGNAIPGRLYGGNPVDNRVGNGGDRFGILVDLYAWNPHCRYLDGIGSSANDSSGQNDWKGAWWHSSFNVHSGFVEEDSPYASDGQKGTFYFEFSRPLRTMDRLQQDVQFTIGGSSKMSVAFWYPVDGKPWHGSGHYSVSCDWVPLDISLGSSVFTMARPRSSVSAASVFALLLSVISLCASVFIGYWVVKPQTAQFTPMENL >ONH89577 pep chromosome:Prunus_persica_NCBIv2:G8:250288:254099:-1 gene:PRUPE_8G002900 transcript:ONH89577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNHVYPRCCLNSSDSSSKSKESPSGQFKLYQFFVFCVPIFFTFILLFVFYLFYLRPRRVNWSSLRMTTSPQINNDIATVELGLKKELREMLPIIVYKESFSVRDTQCSVCLGDYQAEDRLQQIPACAHTFHIDCIDSWLSTHSTCPLCRLSLLSSHKSQNESPDVPVESSHEYSVAENNFVTPLPQMPQACEETEATESGLRNKEPRTALHSSEEDPRSSQWVDCKRELRDVGNATELHEHTRGSSA >ONH93667 pep chromosome:Prunus_persica_NCBIv2:G8:21326893:21329773:1 gene:PRUPE_8G245400 transcript:ONH93667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAKYTSVAVRANYDDLGPNNTALALIDDNGDVMSNPKKSCTISSLENHRVHHDTPITYHVVKVNQFESSVRNRIKRKLEEIEGAHSVSAKPFERGGNRCGAKITVCGDHVDPVTIIQTILTDAEILSYKIEKNPCDEAFNNHIQNERPAEDLKILYSKIPHVVKVVNLCCFERLNRIKRELNKTDGINCVTVDYYQWRIAVSGDHVDPATLVKTIEKYAQIKPHRNAKDKPVAAAAQGFKNKKNFQFETCVVKISRGGITYYFEAIQKNLLQEINGIKSITINARERTIAVCGDIVDPAIVIETISRVCKARTKLVSYDKKNSNPAANNLAQGRTIKNNDHFIQNDTKLSPNYNKTCVLKVHYPGCLDEITETLRKIKGVDFVFSFSKYGPHEWKVKVFGDIDILTITNTILTKYKRTLTLSAYEDSRRPQKKPVGIQRLVSVFQTFCDDF >ONH93666 pep chromosome:Prunus_persica_NCBIv2:G8:21326894:21329772:1 gene:PRUPE_8G245400 transcript:ONH93666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAKYTSVAVRANYDDLGPNNTALALIDDNGDVMSNPKKSCTISSLENHRVHHDTPITYHVVKVNQFESSVRNRIKRKLEEIEGAHSVSAKPFERGGNRCGAKITVCGDHVDPVTIIQTILTDAEILSYKIEKNPCDEAFNNHIQNERPAEDLKILYSKIPHVVKVVNLCCFERLNRIKRELNKTDGINCVTVDYYQWRIAVSGDHVDPATLVKTIEKYAQIKPHRNAKDKPVAAAAQGFKNKKNFQFETCVVKISRGGITYYFEAIQKNLLQEINGIKSITINARERTIAVCGDIVDPAIVIETISRVCKARTKLVSYDKKNSNPAANNLAQGRTIKNNDHFIQNDTKLSPNYNKDAIVPASMENRSTTEVALPLMKKNRGFDGPVTCVLKVHYPGCLDEITETLRKIKGVDFVFSFSKYGPHEWKVKVFGDIDILTITNTILTKYKRTLTLSAYEDSRRPQKKPVGIQRLVSVFQTFCDDF >ONH90437 pep chromosome:Prunus_persica_NCBIv2:G8:6056191:6057460:-1 gene:PRUPE_8G053700 transcript:ONH90437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQITGPEAQNSSSSSLPLNGRVAIVTGSSRGIGGAIATQLHSLGAKLVINYASSNSTQADQLASELNSCENTQSKAIAVRADVSDPEQVKHLFDRAEQEFETQAHIVVNCAGILNPKLPTLAETSVEDWDKTFSVNARGAFLVSREAARRLRRGGGGRIIAISTSLVRALKPGYGAYAASKAAAETMTKILAKELKGSRITVNCVAPGPVATELFFAGKSEETVKKIAEACPLNRLGEPKDVAQVVGFLAGDAGEWVNGQVIRVNGGYVI >ONH92609 pep chromosome:Prunus_persica_NCBIv2:G8:18273704:18277950:1 gene:PRUPE_8G183700 transcript:ONH92609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGNSLASGPDGVKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPYPARDRDLCRFHADDYVSFLRNITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGFCDISINWAGGLHHAKKCEASGFCYVNDIVLAILELLKLHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYHYLFKPIIGKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGAEIEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSHVLLEEIRSKLLENLSRLQHAPSVQFHERPPDTELPEADDDQDDGDERWDADSDMDVDDERKPLSSRVKKEIVETEVKDPKGSTENARGSGYDPAVEEITTGAKALDMGSGSADEPSVKVEQETLNKPADQI >ONH92607 pep chromosome:Prunus_persica_NCBIv2:G8:18273612:18277950:1 gene:PRUPE_8G183700 transcript:ONH92607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGNSLASGPDGVKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPYPARDRDLCRFHADDYVSFLRNITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGFCDISINWAGGLHHAKKCEASGFCYVNDIVLAILELLKLHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYHYLFKPIIGKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGAEIEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSHVLLEEIRSKLLENLSRLQHAPSVQFHERPPDTELPEADDDQDDGDERWDADSDMDVDDERKPLSSRVKKEIVETEVKDPKGSTENARGSGYDPAVEEITTGAKALDMGSGSADEPSVKVEQETLNKPADQI >ONH92608 pep chromosome:Prunus_persica_NCBIv2:G8:18273890:18277950:1 gene:PRUPE_8G183700 transcript:ONH92608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGNSLASGPDGVKRKVSYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPYPARDRDLCRFHADDYVSFLRNITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGFCDISINWAGGLHHAKKCEASGFCYVNDIVLAILELLKLHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYHYLFKPIIGKVMEIFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGAEIEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSHVLLEEIRSKLLENLSRLQHAPSVQFHERPPDTELPEADDDQDDGDERWDADSDMDVDDERKPLSSRVKKEIVETEVKDPKGSTENARGSGYDPAVEEITTGAKALDMGSGSADEPSVKVEQETLNKPADQI >ONH91216 pep chromosome:Prunus_persica_NCBIv2:G8:13095534:13096647:-1 gene:PRUPE_8G099400 transcript:ONH91216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSICAILALFSLLLFAKTIDSRRDVGKYWKKVMKEQPMPQAIEGLLVDISDSTPKEKADCHEKVKKPFVEVEVEVEVEEFEPRPSATSYNDHETKAELSSKDNAGPKAKQSFAAKEDKQPFEEDFEPRPNVSVYND >ONH92380 pep chromosome:Prunus_persica_NCBIv2:G8:17545622:17545828:1 gene:PRUPE_8G171500 transcript:ONH92380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPRPKRGFGNSFQPAIDAPRLEPHKLRHCPKRKDTSIIGFRSLLSHYSNSAFSALSIWDYNFFFYN >ONH89929 pep chromosome:Prunus_persica_NCBIv2:G8:2241835:2245951:1 gene:PRUPE_8G024700 transcript:ONH89929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGPWNRQRQLGPSGGVHKRPRSDYDLAASGLYSGHEMHSYLARDDDFGDPRALKNTKSIGSEYDNYLQNVQMSSHTSGETSTFGGTGLGRAVGGGMVGLPMADHSVMGHPGPIASDLITNGRNMSFANQLPVDAMARPGRETAHLPPDASNTLYVEGLPPDSTKREVAHIFRPFVGYKEVRLVSKESKHRGGDPLILCFVDFESPAYAATALSALQGYEMDEHSPDSNYLRLQFSRFPGPRSGPGSRSKR >ONH89931 pep chromosome:Prunus_persica_NCBIv2:G8:2243969:2245844:1 gene:PRUPE_8G024700 transcript:ONH89931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSYLARDDDFGDPRALKNTKSIGSEYDNYLQNVQMSSHTSGETSTFGGTGLGRAVGGGMVGLPMADHSVMGHPGPIASDLITNGRNMSFANQLPVDAMARPGRETAHLPPDASNTLYVEGLPPDSTKREVAHIFRPFVGYKEVRLVSKESKHRGGDPLILCFVDFESPAYAATALSALQGYEMDEHSPDSNYLRLQFSRFPGPRSGPGSRSKR >ONH89930 pep chromosome:Prunus_persica_NCBIv2:G8:2241886:2245836:1 gene:PRUPE_8G024700 transcript:ONH89930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGPWNRQRQLGPSGGVHKRPRSDYASGLYSGHEMHSYLARDDDFGDPRALKNTKSIGSEYDNYLQNVQMSSHTSGETSTFGGTGLGRAVGGGMVGLPMADHSVMGHPGPIASDLITNGRNMSFANQLPVDAMARPGRETAHLPPDASNTLYVEGLPPDSTKREVAHIFRPFVGYKEVRLVSKESKHRGGDPLILCFVDFESPAYAATALSALQGYEMDEHSPDSNYLRLQFSRFPGPRSGPGSRSKR >ONH91367 pep chromosome:Prunus_persica_NCBIv2:G8:13983746:13985566:-1 gene:PRUPE_8G110100 transcript:ONH91367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSPNNLPLKPIPGDYGWPFFGHIKDRYDYFYTQGRYDFFKTRIEKYQSTVFRTNMPPGILIPSNPKVIALLDAESFPIIFDTTKVLRRDVLDGTYMPSTAYTGGYRVCAYLDPSEPNHATLKSYFAALLASQHTKFIPLFQSSTSDMFLNLEAQLSKDGKAYFNTLSDDMSFNFAFELFCGQSPSNTKLGSKGPSLVTLWLFPQLAPQITFGLPKFLALAEDFLLHTFSYPAFLVKSPYKKLYDAFYESAASALDLAEGKFGLSREEACHNLLFVAGFNAFGGMKLLFPALIKWVASGGEELHRELRNEIRAVLKESEGKVTFAALEKMTLTKSVVYEALRIEPPVPYQYGKAKEDIVIQSHDATFEIKKGEMIFGNQNFVGKDPKVFENPEEFVAHRFAGEGEKLLKYLYWSNGRQMDDHPTAENKQCPGKDLVVLISRLILVEFFLRYDTFTVDAGTVLLGSSVTFKSLTKAS >ONH91947 pep chromosome:Prunus_persica_NCBIv2:G8:16142512:16143563:1 gene:PRUPE_8G145700 transcript:ONH91947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPFLTRGLQIASGRLIPFPARNNEKQQGQLIAWDVVNENLHFRFFEDNLGENACAAFYSTAQQLDPWHIYPNLAYMRSTLDMLGATGFPIWLTEVDVQKGPNQYLEEILREVFSHPAVEGIIMFVDKSFKNTPAGDVVDKLLDEWKFGTQETTTDDEGFTNISVFHGDYEITVQNHITNSSATLNLRVTQDEPQTIVQDICKIRIIL >ONH91714 pep chromosome:Prunus_persica_NCBIv2:G8:15458656:15461114:-1 gene:PRUPE_8G132400 transcript:ONH91714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSYQRLLRVKIREMRDDYMKFELRDADASVANALRRVMIAEVPTVAIDLVEIQINSLGLIPLTSDQAMSMRFSSDCDSCDGDGQCEFCSVEFHLRGKCHSDQTPDFTIKDLLSSDHTVVPVDFSDSAGMESSKQKDNHCEVAKGARADSSAATVTFMYEPDIQINEELMDMLTLDEKKAWVESSPTKVFDFDPKTEKVVVVDPEAYTYDDEVIKKAEAMGKPGLVDIIAKEDSFIFTVESTGAVKASQLLLNAIEVLNQKLDAVRLSEDTVEADDQFGELGAHMRGG >ONH93061 pep chromosome:Prunus_persica_NCBIv2:G8:19492180:19493248:-1 gene:PRUPE_8G210200 transcript:ONH93061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKLFGAWPSPYSYRVIWALELKGVKYEYIEEDLANKSDLLLQYNPVHKKIPVLVHNGKPIAESSVILEYIDETWPQNPLLSDDPHERALARFWTKFIDEKGLPFATFFMADGEEHEKAAKEVKDLLKILEEQALGEKKFFGGDEIGLADLALGFIASSFGVIEQLVGVKVLHANDFPRLCNWINNFKENPAIKQNLLNHDQMFVYYKQKKEMLIASRTT >ONH91922 pep chromosome:Prunus_persica_NCBIv2:G8:16073088:16074063:1 gene:PRUPE_8G144000 transcript:ONH91922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFLFHALKKQRPQHRYRSFSQSNSSNRSYHLLMSGQDSLEGSSHRRTRSEFQPPVSTAAFFEQRPANEFVHSRSLKEEGGVSAAPSVLIGSSKMGSYPYQISNVGMAKGK >ONH91969 pep chromosome:Prunus_persica_NCBIv2:G8:16228052:16228939:-1 gene:PRUPE_8G147200 transcript:ONH91969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGQSILMALTVTVNKFASSNVQAVHRRQRKDRRKTTSSKAANDFGRRGLLLSAAFATPPVSESGAELLKKYLKKSEENKAKNDKERMDSYYKRNYKDYFEFEEGTIRAKKGELTKSEKGILDWLENNK >ONH90666 pep chromosome:Prunus_persica_NCBIv2:G8:10020457:10034916:1 gene:PRUPE_8G068000 transcript:ONH90666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQADIRKWFMKSHDKGNGKKPAPTTSQTPSTAKEEPKEPVHGGQENPGRRKTSKYFTTDKPKAEKETEVPAKRKTHKEPDESVKPSPAKKVHKVVDDDDDFVLPHSKKNSVDATPSKKLKSASGVGVPQKLTAIDEGGDNDVKDAESPHKPGGKGRGGRGTSAGPAGGRGTSAGPAGGRGRGAGRGGFMNFGDRKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAEELIKRHGGRITGSVSKKTNYLLCDEDIEGRKSSKAKELGTAFLTEDGLFDMIRASIRAKVPVQEAKKSVDDAAAAPLPKKSPNKVASKKDCAGSSLASSVSHKQLESDASHARRKKQPTEHSASTWTEKYRPKVPNDIIGNQSLVKQLHDWLVHWHEQFLDTGNKKKGKNPTNSSAKKAVLLSGTPGIGKTTSAKLVSQMLGFQTIEVNASDSRGKADSKIEKGIGGSNANSIKELVSNKALSMDGLKHPKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPVICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLMQIANAEGLKVNEIALEELAEKVNGDMRMAVNQLQYMSLSMSVIKYDDVRQRLLSSAKDEDISPFTAVDKLFGFNAGKLRMDERVDLSMSDPDLVPLLIQENYINYRPSSAVKDDSGIKRMNLIAHAAESIGNGDIFNVQIRKYRQWQLSQSACLSSSIFPAALLRGQRETLEQGERNFNRFGGWLGKNSTLGKNSRLLEDLHVHLLASRESSSGRETLRVEYLSLLLKRLTVPLRELPKDEAVHKVVDFMNTYSISQDDFDTIVELSKFQGHPNPLDGIQPAVKAALTKAYKEGSKTRMVRAADFVTLPGMKKAPKKRIAAILEPSVDVIGENNDDTLVESEEENSSDTEDLEGSAAGEKLQQELQSLNTKGVHVQFDLKGATNSSAKKTPTGRGRGGSSAAAEKKGGRGSGPGGKRKR >ONH90667 pep chromosome:Prunus_persica_NCBIv2:G8:10020457:10034916:1 gene:PRUPE_8G068000 transcript:ONH90667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQADIRKWFMKSHDKGNGKKPAPTTSQTPSTAKEEPKEPVHGGQENPGRRKTSKYFTTDKPKAEKETEVPAKRKTHKEPDESVKPSPAKKVHKVVDDDDDFVLPHSKKNSVDATPSKKLKSASGVGVPQKLTAIDEGGDNDVKDAESPHKPGGKGRGGRGTSAGPAGGRGRGAGRGGFMNFGDRKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAEELIKRHGGRITGSVSKKTNYLLCDEDIEGRKSSKAKELGTAFLTEDGLFDMIRASIRAKVPVQEAKKSVDDAAAAPLPKKSPNKVASKKDCAGSSLASSVSHKQLESDASHARRKKQPTEHSASTWTEKYRPKVPNDIIGNQSLVKQLHDWLVHWHEQFLDTGNKKKGKNPTNSSAKKAVLLSGTPGIGKTTSAKLVSQMLGFQTIEVNASDSRGKADSKIEKGIGGSNANSIKELVSNKALSMDGLKHPKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPVICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLMQIANAEGLKVNEIALEELAEKVNGDMRMAVNQLQYMSLSMSVIKYDDVRQRLLSSAKDEDISPFTAVDKLFGFNAGKLRMDERVDLSMSDPDLVPLLIQENYINYRPSSAVKDDSGIKRMNLIAHAAESIGNGDIFNVQIRKYRQWQLSQSACLSSSIFPAALLRGQRETLEQGERNFNRFGGWLGKNSTLGKNSRLLEDLHVHLLASRESSSGRETLRVEYLSLLLKRLTVPLRELPKDEAVHKVVDFMNTYSISQDDFDTIVELSKFQGHPNPLDGIQPAVKAALTKAYKEGSKTRMVRAADFVTLPGMKKAPKKRIAAILEPSVDVIGENNDDTLVESEEENSSDTEDLEGSAAGEKLQQELQSLNTKGVHVQFDLKGATNSSAKKTPTGRGRGGSSAAAEKKGGRGSGPGGKRKR >ONH92819 pep chromosome:Prunus_persica_NCBIv2:G8:18875508:18876782:-1 gene:PRUPE_8G197900 transcript:ONH92819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFSFSSNTSKKTKFSSLLLADFFNVCFFILSHPLYFSYFIFFSPYLLKLLSFLSPLFITTALLVLAYLTVSPPLVQDNVANSELSSIKVGCLVTTYQTVLERLQKSKADDSDGDDHEHEEFRSFEELEVYKIVFDTSSFEISENPVEEICSQVSEAPVDDVSSHEGNATSAPLEAASDILDENPAEVIAWPRVETLAAFFQEENWSKDFKEEKEVKPASTLSNKVDEDGKEKRSMRRASKDLSSKTSFCEVSADYDEAQFTSKSMSNSQRLGANFGEDNIKVMEDSQMLMGPNLGSFGSMRKEKEWRRTLACKLFEERHHNVEGGGEGMDMLWETYDETESIKATKGKSKSKKGKNGKVEEEDDGEEEEDFDGQLCCLQALKFSAGKMNLGMGRPNLVKFSKALKGFGWLHHVTKHGKKGYH >ONH89628 pep chromosome:Prunus_persica_NCBIv2:G8:419935:426896:1 gene:PRUPE_8G005400 transcript:ONH89628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQIDIPSSSSSSSPPTPRWTYDVFLSFRGEDTRTNFTDFLYTSLIQKGIFTFRDDEELERGKPIAPKLLKAIEASRYVIVILSRNYANSTWCLDELVKAVECMNLMGQTILPVFYHVDPSEVRKQKADFGEAFSKHEETFKDNKQNVQRWRDALTQVSNLSGWHLHDGYESKVIQDIVGKIFTELNQTISSVSTDLVGMDSRVKEMLSCLDIGLHKVCVIGILGIGGIGKTTVARVVYERICAQFEACSFLANVREVTEKQGLVDLQKQLLSDILLESNVNVHNVYKGISLIRQRLRAKTVLIILDDVDTLEQLEALCHQSWFGSGSRIVITSRDEHLLSAFGVNKMYKVKELNDSEALKLLSRKAFKKEQVGEGYRNLSKNVVEYASGLPLALTVMGSFLFGKSVKEWSSALDRLKENPEKGIIDVLKVSFDALKVTEKKVFLDIACFFKGEDKDRVAKILESGCGYSPDIDIKVLIEKSLITLFGKKLCMHDLIQELGWEIVRQECREDPGKRSRLWLPKDIIPVLAKNKGTDTIEGIFLNLPKQEEIHLNADSFSKMSNLRLLRICNVASPGSIEYLSNELQLLEWHACPLNYLPSKFQSDKLVELKMHLSRVKQLWNGNESWSMLKCIDLSDSQYLIKTPNFTRAPNIEMLVLQGCSRLVDVHPSMGILKQLILLNMRNCKSVKTLPPFISLESLQSLTLSACSRLKRFPEIQGDMKTLLELYLDGTAIEELPSSIERLTGLALLNLGNCKNLFHIPSTIQCLTSLKSLILTGCSELQDIPENLNCVEYLEELDISGTAIRKSWFVVGMKNLKYLSFQGCKDQPSKSWHSLIFNGCWCREEVATRLLLPNSFSSLTSLAELDLSDCSLMDGEIPNDIGSLFSLKKLNLSGSNFVCLPESISQLSKLEDLKLISCRKLKSLPKKLPLSIRHVNADDCTSLIDSPNKLKVWTSAVSGVTTISSFISSKNQECSTSEREWKYGKTWTPTTVPGCSTISFVKSDEHQEWKQVDGFVLPTQLFKKDLELLDLRSCTLQSGTPQSEIPEFFSHIVNGGNIEIPIYANMKNDRKWMGVALCALFSAKGNPIVSPTESDSETSNYFYQFQIGTNIFVLVPDPDSDRIIDLQTHSHFLCVFYASWLQFPVLLNKSSQMWASFNTSNPCMEVQQCGIHLVYEQDVAVFMQTFMQCAFGTERQPVHQTPLVIGKEDQDIPSDDNSTPNEVDKATSEYGWFNVVDKILRWEKLIPTSPDASIVLLRYLQGLNEMQQPYRFFISGSPAWFNPKKGSSVSIELPPNLPQSNKWMGFALCASVAVDHRRIVKESFGFSCRLQTDKFNTEMFISSTSIHDKDQLHIIYIPRAHFNERFVAISSSIISTSFTTDSPGGVHVQICGLRILYQQDLQGFVQAITQCILRSSSDFAINCNEQRVVQDWISLMTLHSRKVETTVRERDISRESSQLTAEEGCEGHFSTTHEWEQFQLMSRQYRNMDWSVAQFACHYSGIKIPNWFTLTQNLHEGLGNSAEIQVPQNLYEDGNWKGIAICAHMSIREHPTIILDTPDSEFTRELFCHLDTNFSGVKFRLVERTNDKAMWLNNACNFSWFIYMPRAQFSESLNQCNLVRVTFGSNSSGLGVHNSALRLVFNKDLEQLIPTLASCRLFSDRQCL >ONH90297 pep chromosome:Prunus_persica_NCBIv2:G8:4768643:4770836:1 gene:PRUPE_8G045500 transcript:ONH90297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESLLQKCTSLARIKQLQSHLLTSGKFQFYPSLTTKLIELCALSPIADLSHAITLFHQLRKPSTNQWNAVVRGLAQSLQPTQAISWYKTMSKASQKVDALTCSFALKACARALAFSEAMQIHSQIVRFGFGVDVLLQTTLLDVYAKVGDLGFAQKVFDEMSERDIASWNALIAGLAQGSRPTEAIALFKRMSEEEGLKPNEVTVLGALSACSQLGGVKGGEKIHVYIMEEKLDMHVIVCNAVIDMYAKCGFVDKAYWVFKNMKCGKNLITWNTMIMAFAMHGDGGKALELFGEMAKSGVCPDAVSYLAALCACNHAGLVEDGVRLFNSMEVQGVSPNVKHYGTVVDLLGRAGRLQEAYQIIDSMPMFADVVLWQTLLGASKTYGNVEMAEMASRKLVEMGSKGCGDFVLLSNVYAAHERWDDVGRVREAMKRRDVKKIPGFGYIEVDGVIHKFVNGDQSHVKWREIYAKLDEIMLSVKAYGYVAKTNNVLHDIGEEEKENALSYHCEKLAVAFGLISTSEGTPIQRLLVTFICSNIGRRMKLKGLKPL >ONH90298 pep chromosome:Prunus_persica_NCBIv2:G8:4768647:4770814:1 gene:PRUPE_8G045500 transcript:ONH90298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESLLQKCTSLARIKQLQSHLLTSGKFQFYPSLTTKLIELCALSPIADLSHAITLFHQLRKPSTNQWNAVVRGLAQSLQPTQAISWYKTMSKASQKVDALTCSFALKACARALAFSEAMQIHSQIVRFGFGVDVLLQTTLLDVYAKVGDLGFAQKVFDEMSERDIASWNALIAGLAQGSRPTEAIALFKRMSEEEGLKPNEVTVLGALSACSQLGGVKGGEKIHVYIMEEKLDMHVIVCNAVIDMYAKCGFVDKAYWVFKNMKCGKNLITWNTMIMAFAMHGDGGKALELFGEMAKSGVCPDAVSYLAALCACNHAGLVEDGVRLFNSMEVQGVSPNVKHYGTVVDLLGRAGRLQEAYQIIDSMPMFADVVLWQTLLGASKTYGNVEMAEMASRKLVEMGSKGCGDFVLLSNVYAAHERWDDVGRVREAMKRRDVKKIPGFGYIEVDGVIHKFVNGDQSHVKWREIYAKLDEIMLSVKAYGYVAKTNNVLHDIGEEEKENALSYHCEKLAVAFGLISTSEGTPIQVIKNLRICDDCHAVIKLISKIYNREIIVRDRARFHRFKEGCCSCRDYW >ONH92143 pep chromosome:Prunus_persica_NCBIv2:G8:16799338:16800140:1 gene:PRUPE_8G158000 transcript:ONH92143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKLMGIAHAKEKLQRTLSARYGSAADTNAEVPKGHFAVYVGEGKKKRFVIPISYLNHPLFQDLLTKAEEEFGYDHPTGGLTIPCSEDYFLSVTSVLSCS >ONH89875 pep chromosome:Prunus_persica_NCBIv2:G8:1924260:1929204:-1 gene:PRUPE_8G021400 transcript:ONH89875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYPFRPGSPKSFTAYPRGDFDLESGTIRRTRKHRHSPLQPIKMIRSIGNRLQYYCKLHPLLVFTISLSVGVTILLLFSLYESRFEMMASYKKFDTGSNSYPLPNLQNLVMVAGHSVYTSSSCGKVDKEDSWFLESYQKNPGQAATFVAHIQEGIEVAAKDDRALLLFSGGETRRDAGPRSEAQSYWAVAESKGWFGKEDLRSRTLTEEHARDSFENLLFSVCRFRELTGTYPRNITVVSYDFKEERFAHLHRSAIGFPESRFFYAGTPAISTAKEAARKGEAIVRAQFQDDPYGCRGSLYHKKLGRDPFHRSIPYPNGCPEIEGLFRYCGTDPYPGSLPWPKS >ONH93277 pep chromosome:Prunus_persica_NCBIv2:G8:20157637:20159774:-1 gene:PRUPE_8G222600 transcript:ONH93277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGVHIIDGKCLPCSGISGTVAPAYPCSSRFNHRFKSQVRIGSLNGAYKGVSFTCRASSSGRNRNPDFPRQNRHGYSRGRNRKNDDRDGFENLEESDLLSSKNGPLVSLSSGTKFGATAAPGPREKEIVELFKKVQAQLRERSAVKEEKKAEALQGQGKENETVDSLLKLLRKHSVEQAKRTNNSGSNKDFMLDQPEKNARYSERKSTTSFDSNNSLKDEVEEPNASFSRPASNFQRKSPIPRLTYQPIYSEDDHIGNSVPHVNSIGKRKKNHFERVPKPEPEPEPKREPELELESELEHEPVVDAEFEFEPEPEPEPELVQLLEEETSGPEETLNFDDENGEKQQLIERKDLSALKLLELRALAKSQGVKGFSKMKKGELVELLSGSSV >ONH92470 pep chromosome:Prunus_persica_NCBIv2:G8:17906279:17909343:-1 gene:PRUPE_8G177500 transcript:ONH92470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLRQRQTECITRMLNLNQPVNATGMANEEVYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPSHSNIQRIIADTSSSLYDSFHLNFSSLIPRPLLEDLASGTLNSDSIHRISKVHDQYLEFVTLEDNLFSLAQKSSYVQLNDPSAGDREIEEIIDKIVGGLFCVLATLAVVPIIRCPRGGPAEMVASALDQRLRDHLLSKNNLFTEGGNFVSSFQRPILCIFDRNFELSVGIQHDFRYRPLVHDVLGLKLNRLSVQGEKGGMKSFELDSSDPFWVANGSLEFPEVAVEIETQLNKYKRDVDEVNRRTGGTDGTEFDGTDMIGNTKHLMSAVNSLPELTARKQVIDKHTNIATVLLSEIKERLLDSFAKKEYDMMVRGGIDRSELLGVLRGKGSKMDKLRFSIMYLISSESINQAEVESVEAALRESEVDTRAFQYVKKIKALNVSLASANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSNDRQLALTRTVEALMEGKPNPEIDSYLVFDPRAPKSSSGMSSSHLKGPFKEAIVFMIGGGNYVEYGSLQELVQRQQSVKHVIYGTTELLTGEEFVEQLALLGQKMGLGGAPPAASTQ >ONH91353 pep chromosome:Prunus_persica_NCBIv2:G8:13902069:13905006:1 gene:PRUPE_8G108800 transcript:ONH91353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKYPVGIESRVREIDKLLGVGGNDVRMVGIWGTGGIGKTTIAKAVYNSIAHMFEGSCFLDDVRERSMPYGGLGKLQSILLSEILGVKEVEVTNVDKGINMIKKMLNGKKLLLVLDDVNHLDQLNKLVGGSDWFGSGSRIVLTTRDKHLLIAHQVNLIYEVEKLNHYESLKLFTSWNSFSRNGHLKDDYAKLANNVVDYADGLPLALMVLGSHLCGRSIDQWKYALDGYRRVPNREIQEILKISYNALEDAVKEVFLDIAFFYKGLGEDYVIQMLEGCDMNPKYDLEVLVEKALINIMEDGCIWMHDLIQEMGKEVVRQESPTEPGKRSRLWFHEDVYHVLTENTGTDKIKGIMVKLPAGLESDEVCLNAESFSKMKNLRLFINHNARLSGEVDCLPNELRLLIWPEYPSQSLPANFNPKKLVGLALPRSCILRLDLEFKSLKFINVEHSKFLRKTPDFSGVPNLEKLNLNFCTSLVELHPSAGFLHKLVNLSLTGCRSLTLFPRIVNLKSLLELNLDGCISLENFPEIKGKMEYLKHLDLSETSIKELPSSSIRHFTRLENLYLTRCENLTNLPCSIYELKHLKTISVLKCSKLFSFPKMAKSEDSRSAESLVTLQGGNLAFPNLSKFYGSNLSDIADFLLTLDCMTTLTRLDLSGSNFVSLPVCINNFVNLIDLRLVSCKRLREIPDLPQALQLLDVSDCLSLERVSKLSNLLERKESQMFLGMRLANCWRLRNNLVRIAKKKNMFINQVNLFSLFLSSLPTFVQVEFPGRGIPKWFSYRKDLKDLCECQFSIKIPQNFNWENKGISFCAFLEKTKNRLNFYAQLSIRDFAVDMYVKEVCPDNIQSTGKDLSLVWLSYVPFHDMMSTMARTERNVKRTRVMPPYLPTIRVHFVRISKAVKSCGVHLVMPPG >ONH91531 pep chromosome:Prunus_persica_NCBIv2:G8:14753833:14754787:-1 gene:PRUPE_8G121600 transcript:ONH91531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEELAYDFSPIIKVYKDGRVERLKDTDIVPPSTDPKTGVQSKDVVISQEPAISARLYIPKSTTATTTTSAPQTKLPLLIYFHGGGFCIGSSSSSTYHSYLNALVSEANVVAVSVDYRLALEHPLPAAYDDSWAALKWRVFFAGANITHNMAVKSGCVRLVGVKLIGIVLVHPYFWGTEPVGAELTTPADAREFMAAVWRFACPSTSGSDDPQLGCVKVLVFVAEKDVLKDRGWHYSETLKRSGWNGDVEVIEAEGEGHVFHWINPTCDNAVAMEKKIVAFLN >ONH91129 pep chromosome:Prunus_persica_NCBIv2:G8:12755696:12758463:1 gene:PRUPE_8G095100 transcript:ONH91129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSVLYNSVKAHASVATLHQKEIEGGIVWARQLGGEEGLILEGTPAAEGVSASDMLKRQIISRGAAFVEFTEHQHALVALRVLNNNPGDGPKHRPIVEFAPENVKKLNIRNAKIQAQQRAAHRNRENVHQNEGSNRPDTHPSKKSKNSKQKGEKPKLDDSVPNKEDEVENKFSDGAATERQRGSKWQKNGPFGKVKKISSKVSGQSTTEKAEGSKREPNNHQDGRKAGEVGGFGGGGVWAAGGGEENVTRRKRPKKNKDPLGRDVTDKLDTLIEQYRSNYSQRSSVQTDGEKQGSRNLSKWFQT >ONH90391 pep chromosome:Prunus_persica_NCBIv2:G8:5613459:5617497:1 gene:PRUPE_8G051400 transcript:ONH90391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSSRLFFLALSLLACFPFCSCHCSVSNKNAEGGMMKGMFVFGSSLVDNGNNNFLPNSLARADYFPYGIDFPLGPSGRFTNGRNVIDLLGGHLKLPSLIPAFADPKTRGSKIVHGVNFASGASGILDDTGSLAGHVINMNQQIRNFEEITLPELETQLGCRSPQSLPNYLFVVGTGGNDYSFNYFLRSSNQNVSLEVFTANLTASLSRQLKKLHSLGARKFVVMSVNPLGCSPVVRMNRPTHNGCVQNMNWAAHLFNSHLKSLVDVIRAEMPGSALVFVNSYKIIRDIIKNPISKGFNDSSTTCCEVASISEGGNGILCKRGGEVCANRSSHVYFDGLHPTEAVNVQIATKAYVSSLKTEVYPTNIARMTKSKI >ONH90390 pep chromosome:Prunus_persica_NCBIv2:G8:5613487:5617428:1 gene:PRUPE_8G051400 transcript:ONH90390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSSRLFFLALSLLACFPFCSCHCSVSNKNAEGGMMKGMFVFGSSLVDNGNNNFLPNSLARADYFPYGIDFPLGPSGRFTNGRNVIDLLGGHLKLPSLIPAFADPKTRGSKIVHGVNFASGASGILDDTGSLAGHVINMNQQIRNFEEITLPELETQLGCRSPQSLPNYLFVVGTGGNDYSFNYFLRSSNQNVSLEVFTANLTASLSRQLKIRRLKFESPWHHISACKLHSLGARKFVVMSVNPLGCSPVVRMNRPTHNGCVQNMNWAAHLFNSHLKSLVDVIRAEMPGSALVFVNSYKIIRDIIKNPISKGFNDSSTTCCEVASISEGGNGILCKRGGEVCANRSSHVYFDGLHPTEAVNVQIATKAYVSSLKTEVYPTNIARMTKSKI >ONH90271 pep chromosome:Prunus_persica_NCBIv2:G8:4540182:4541699:1 gene:PRUPE_8G044000 transcript:ONH90271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTQSSLHSPTNGSLITILSIDGGGIRGIIAGVILKFLEFELQKLDGNHVRLADNFHMVAGTSTGGLVAAMHTTPNKNNHLLYAAKDIVPFYLEHCPKVFSESFASCGINLELWALNRDLSLLQTGNFSFLFYSRFTSTLTSPVSSISGFAASYNQSSIDSIKIQERICKRKISGINRVKCVLQILGSLKLDGGLVQG >ONH93068 pep chromosome:Prunus_persica_NCBIv2:G8:19508949:19510007:1 gene:PRUPE_8G210800 transcript:ONH93068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKVLGFWASPYPYRVMWALKLKGVEYEYVEEDIFNKSDLLLQSNPVHKMVPVFFHGGKIIAESTVILEYIEETWPENPLLPNDPYARAMARFWTKFGDDKRQNFLGFLKKTGDEQAKAAKEAQELLTILEQHGLGEKKFFDGNKVGMTDLAFGWIAFWLEAIEEAAGVQVMEVNSFPRLQAWIKNFKEVPVIKGNHPDKSRLLAYLKQLREVYAKPATS >ONH93067 pep chromosome:Prunus_persica_NCBIv2:G8:19508940:19510007:1 gene:PRUPE_8G210800 transcript:ONH93067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKVLGFWASPYPYRVMWALKLKGVEYEYVEEDIFNKSDLLLQSNPVHKMVPVFFHGGKIIAESTVILEYIEETWPENPLLPNDPYARAMARFWTKFGDDKRQNFLGFLKKTGDEQAKAAKEAQELLTILEQHGLGEKKFFDGNKVGMTDLAFGWIAFWLEAIEEAAGVQVMEVNSFPRLQAWIKNFKEVPVIKGNHPDKSRLLAYLKQLREKWGVCSFNS >ONH90704 pep chromosome:Prunus_persica_NCBIv2:G8:10286770:10290278:-1 gene:PRUPE_8G070100 transcript:ONH90704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNNGEADVEGSGRRTSPTSSNTRLRMRADPFLVCCRCFSVVTALTAVLCIVVNVFSAIESFKDGSDVFDGIFRCYAVLIAIVVVVAETEWAFFIKFWKVLEYWVGRGMLQVFVAVMTRAFPDETGTRKELVLLQNIASYMLLACGGVYIISGILCIGFLKRARQKTEISREQAIKDLEDLERRREELEHLLIVERD >ONH92433 pep chromosome:Prunus_persica_NCBIv2:G8:17773177:17774043:-1 gene:PRUPE_8G175000 transcript:ONH92433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHTRSNSFPSRPHPIIQEVDEHLCRLRSSEIASISSSSICHKLSGLQDLHDCVDKLLQLPLTQQALAKEQNEKWTNELLDGSLRLLDVCSSTKDAILQTKECVQDLQSIIRRTRGDESGALTSEVRKYLTSRKMVKKTIQKAMKNLKGIENRSIFSSPNQDNESIAIVNKLREVEAVTLAVYESLLSFISGPKSQPSSWSLVSKMKHSKKVACEEETEANEFAQVDAALNSLIGHKTSKSQNKSVENAQNQLEKLESCIQDQEEGVECLFRQLIKTRVSLLNILNH >ONH92837 pep chromosome:Prunus_persica_NCBIv2:G8:18918468:18921698:1 gene:PRUPE_8G199000 transcript:ONH92837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIVKIMTLLVAISALWIGLLQTSIIPRSHTWLLPIYFIVSLGCYGLLMVGVGLMQFPTCPQEAILLQQDVAEAKEFLKQKGVDVGGCD >ONH92836 pep chromosome:Prunus_persica_NCBIv2:G8:18918197:18921698:1 gene:PRUPE_8G199000 transcript:ONH92836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIVKIMTLLVAISALWIGLLQTSIIPRSHTWLLPIYFIVSLGCYGLLMVGVGLMQFPTCPQEAILLQQDVAEAKEFLKQKGVDVGGCD >ONH92838 pep chromosome:Prunus_persica_NCBIv2:G8:18918171:18921698:1 gene:PRUPE_8G199000 transcript:ONH92838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIVKIMTLLVAISALWIGLLQTSIIPRSHTWLLPIYFIVSLGCYGLLMVGVGLMQFPTCPQEAILLQQDVAEAKEFLKQKGVDVGGCD >ONH91363 pep chromosome:Prunus_persica_NCBIv2:G8:13940312:13941968:-1 gene:PRUPE_8G109700 transcript:ONH91363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIWAAYLLILDRTNWKSNILTGLLIPYIFFTLPSILFNIFRGQIGQWIALVAVILRIFFPKHFPEWLELPAALILLIVVAPSLIANTVRGDWIGVVICLVIAGYLLQEHIRAAGGFRNAFTKANGVSNTVGIVILFVYPVWALVLDIL >ONH91362 pep chromosome:Prunus_persica_NCBIv2:G8:13940509:13941816:-1 gene:PRUPE_8G109700 transcript:ONH91362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSYLKMMTESDANELIHSDLKDVATAAKKLANHAINLGSVGFGTSFLKWVAAFAAIYLLILDRTNWKSNILTGLLIPYIFFTLPSILFNIFRGQIGQWIALVAVILRIFFPKHFPEWLELPAALILLIVVAPSLIANTVRGDWIGVVICLVIAGYLLQEHIRAAGGFRNAFTKANGVSNTVGIVILFVYPVWALVLDIL >ONH93411 pep chromosome:Prunus_persica_NCBIv2:G8:20567217:20568419:1 gene:PRUPE_8G230500 transcript:ONH93411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSFCVRFQRGSNQKPMALIKNINGGIHHYNGKTFNQVKTKPEMIGHYLAEFSISYKPVKHGSSPGIGATHSSRFTPLK >ONH90422 pep chromosome:Prunus_persica_NCBIv2:G8:5835951:5836235:-1 gene:PRUPE_8G052900 transcript:ONH90422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQPPKVGIPVLPAWSIRAPKQDIDSTLAESAEEMATGLLVTYLSPNTIFLSRRDTKFQHITSLCLVSARASNRALFCCYSRRAPSPVRKVAA >ONH90806 pep chromosome:Prunus_persica_NCBIv2:G8:10994636:10999244:-1 gene:PRUPE_8G075500 transcript:ONH90806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVTTPTSTSKGNPTGNRSQPRTDAPSTSNGLDTRKPARPPSIVWENFIKMQDDPKNPKAKCKYCNKVYAYGSKKNGTSNLLSHLANQCKEYPGRDIKKQKTLSFQPKKGEEEGKLIATSYSPESCREAIVRFIILDEQPFKVVEGEGFRDMLRVFEPRLQVPSCVTIAKDVLKIYKKEKIKLKDYLTIMSQSPARSQKFKACVEQVKISSHKAICLDVPTRWNSTYLMLEKINMYLFVAVLLDPCYKKRYSQYYFSLLCGEDKASEVTSKVRSKLNELYDQYKLLYNENAAYKDETHNPSEMEIDSNEVDFATAFTIGFMKLVEKPDGEESKTEVDSTGGRILDLFRSSLSSRTVEALICTQNWLHSSPKTDVLKVVDEMEEIASGKYYFKISFIVEIN >ONH92990 pep chromosome:Prunus_persica_NCBIv2:G8:19321695:19324805:-1 gene:PRUPE_8G206400 transcript:ONH92990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAHNRQRVLLQHLLPNCSFSAAPPETHQSAALSASICAAGDSAAYHRTAAFGDDVVIVAAYRTAICKAKRGGFKDTSPDDLLAPVLKALIERTNLNPGEVGDIVVGTVMAPGSLRATECRMAAFYAGFPDTVPIRTVNRQCSSGLQAVADVAASIKAGFYEIGVAAGLESMSVDKLGRMNKINPKVDIFAQARDCLLPMGITSENVAQRYGVTRQEQDKAAVESHSRAAAATASGKFQDEIVPVSTKIVDPKTGEERPVTISVDDGIRPNANMNDLAKLKPAFKADGSTTAGNASQVSDGAGAVLLMKRSLAMQKGLPILGVFRSFAAVGVDPAVMGIGPAAAIPVAVKSAGLELDDIDLFEINEAFASQYVYCCKKLELDPEKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGKDHRFGVISMCIGSGMGAAAVFERGDSVDELCNVRTVERNNLLSNDAR >ONH91599 pep chromosome:Prunus_persica_NCBIv2:G8:15060605:15061439:1 gene:PRUPE_8G126100 transcript:ONH91599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIKEILTRRPVMATIRVTVPAGAARPSQQLSPALGQYRLNMMAFCKDFNARTQKFKPDTPMAVTITAFKDNTFEFTVKSPSVSWYLKKATGVESGSSRPGHVVASKLSVRHVYEIAKVKQSDPYCQYMPLESICKSVIGTANSMGIKIVKDLD >ONH91623 pep chromosome:Prunus_persica_NCBIv2:G8:15081491:15082511:-1 gene:PRUPE_8G126400 transcript:ONH91623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTQAPPPRPLYKQNSWSPDTLRDETWQRRKSSCSARRLNRSKSVSEDDLEELKACIELGFGFDSPVIDPKLSDTLPALEFYHAVNTQCSKSLLTVGDAEMVKMRLRQWAQLVACAVRQSSSSI >ONH91624 pep chromosome:Prunus_persica_NCBIv2:G8:15081796:15082271:-1 gene:PRUPE_8G126400 transcript:ONH91624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTQAPPPRPLYKQNSWSPDTLRDETWQRRKSSCSARRLNRSKSVSEDDLEELKACIELGFGFDSPVIDPKLSDTLPALEFYHAVNTQCSKSLLTEVGDAEMVKMRLRQWAQLVACAVRQSSSSI >ONH90584 pep chromosome:Prunus_persica_NCBIv2:G8:8952249:8953009:1 gene:PRUPE_8G062300 transcript:ONH90584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKNKKKKTRKHSYLFSSLSHILPFNILSLSLSLSLSLSLSLSKSLSGIMNIFLSCSLILVNEHSCSPCIAMIVGFINWESNSSPSI >ONH89843 pep chromosome:Prunus_persica_NCBIv2:G8:1816349:1824094:1 gene:PRUPE_8G019800 transcript:ONH89843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVSAVRDYISRMLQDISGMKVLILDSHTVSIVSVAYSQSELLQKEVFLVELVDSISKSRESMSHLKAVYFLAPTSENIQYLRRHLVAPRFGEYHLFFSNILKDTQIHILADSDEHEVVQQLQEFYADFVASDPYHFTLNVPSNHIYMLPAVVDHSNLQRFSDRVVDGIAAVFLALKRRPVIRYQRTSDIAKRIAQETAKLMYQQESGLFDFRRTEISPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLRTIGKFPKDQQEVVLSSEQDGFFKKNMYENFGDIGMNIKQLVDEFQQISKSNQNIQTIEDMAKFVDNYPEYRKMHGNVSKHVALVTEMSKLVEERKLMLVSQTEQELACNGGQVAAFELVQFLLKQAGVDKRTGDLYGNRDFLNIARNMARGLKGVENVYTQHQPLLFQTMESITKGRLRDVDYPFVGNHFQQGRPQEVVIFIVGGTTYEESRSVALQNSMNTGIRFILGGSAILNSKRFLKDLEEAQRVARTSNAV >ONH89842 pep chromosome:Prunus_persica_NCBIv2:G8:1816349:1824094:1 gene:PRUPE_8G019800 transcript:ONH89842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVSAVRDYISRMLQDISGMKVLILDSHTVSIVSVAYSQSELLQKEVFLVELVDSISKSRESMSHLKAVYFLAPTSENIQYLRRHLVAPRFGEYHLFFSNILKDTQIHILADSDEHEVVQQLQEFYADFVASDPYHFTLNVPSNHIYMLPAVVDHSNLQRFSDRVVDGIAAVFLALKRRPVIRYQRTSDIAKRIAQETAKLMYQQESGLFDFRRTEISPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLRTIGKFPKDQQEVVLSSEQDGFFKKNMYENFGDIGMNIKQLVDEFQQISKSNQNIQTIEDMAKFVDNYPEYRKMHGNVSKHVALVTEMSKLVEERKLMLVSQTEQELACNGGQVAAFEAVTNLLNNESVSDIDRLRLVMLYALRYEKESPVQLMQLFNKLSSKSAKYKPGLVQFLLKQAGVDKRTGDLYGNRDFLNIARNMARGLKGVENVYTQHQPLLFQTMESITKGRLRDVDYPFVGNHFQQGRPQEVVIFIVGGTTYEESRSVALQNSMNTGIRFILGGSAILNSKRFLKDLEEAQRVARTSNAV >ONH93403 pep chromosome:Prunus_persica_NCBIv2:G8:20538989:20542366:1 gene:PRUPE_8G229900 transcript:ONH93403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHNLILGQNGELPLRQNSHPGLGHDHNLVLGQSHNFALGHAHDQDLELGHGTHDDKQVFRHAHDHGLTFDQTNEHEIALQHSRIQNLEEEGLELDPDIGLETDQENIDVDDHDNELALTVTHGLGLSENHELSVVEEHDLHGNLDLAIDENQDMGIVPDSDLTLQHSQLLDSPPVLQCRSLAIASNHQLAVGQEFPDVQSCRRALRDAAIALRFEMQTVKSDKTRFTAKCATEGCSWRIHAAKLPGVPTFTIRTIHEEHTCGGITHLGHQQASVQWVADSVEQRLRENPNYKPKEILEEIHRVHGIALSYKQAWRGKERLMAAVRGSFEEDYRLLPQYCDQIRRTNPGSIAQVYGNPDDNCFQRLFVSLHASIYGFLNACRPLLGLDRTHLKSKYLGTLLFATGFDGDGALFPLAFGVVDEENDENWMWFLSELRVLLENYAEYMPRLTILSDRQKGIVDGVEVNFPTAFHGFCMRHLSDSLRKEFNNTLLVNLLWEAAYALTSIGFEQKILEIKAVSQEAAHWIEQISPRLWATAYFEGTRFGQLTANMVESINSWIIEASGLPIIQMMECIRRHLMTWFNERREMSMQWTGILVPSAEKCVLDALALARTYNVVRSNEAEFKVRSHEGSHIVDIRNRCCECRGWQLCGLPCSHAAAALESCRQHVYRFTESCFTVANYRKAYSETIHPIPDRTLWKEMVGYQTDGNTDMWVIINPPKSLRPPGRPRKRRIRTEDGSRTKRVVHCSRCNQTGHFRTTCAAPI >ONH93404 pep chromosome:Prunus_persica_NCBIv2:G8:20538904:20542366:1 gene:PRUPE_8G229900 transcript:ONH93404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHNLILGQNGELPLRQNSHPGLGHDHNLVLGQSHNFALGHAHDQDLELGHGTHDDKQVFRHAHDHGLTFDQTNEHEIALQHSRIQNLEEEGLELDPDIGLETDQENIDVDDHDNELALTVTHGLGLSENHELSVVEEHDLHGNLDLAIDENQDMGIVPDSDLTLQHSQLLDSPPVLQCRSLAIASNHQLAVGQEFPDVQSCRRALRDAAIALRFEMQTVKSDKTRFTAKCATEGCSWRIHAAKLPGVPTFTIRTIHEEHTCGGITHLGHQQASVQWVADSVEQRLRENPNYKPKEILEEIHRVHGIALSYKQAWRGKERLMAAVRGSFEEDYRLLPQYCDQIRRTNPGSIAQVYGNPDDNCFQRLFVSLHASIYGFLNACRPLLGLDRTHLKSKYLGTLLFATGFDGDGALFPLAFGVVDEENDENWMWFLSELRVLLENYAEYMPRLTILSDRQKGIVDGVEVNFPTAFHGFCMRHLSDSLRKEFNNTLLVNLLWEAAYALTSIGFEQKILEIKAVSQEAAHWIEQISPRLWATAYFEGTRFGQLTANMVESINSWIIEASGLPIIQMMECIRRHLMTWFNERREMSMQWTGILVPSAEKCVLDALALARTYNVVRSNEAEFKVRSHEGSHIVDIRNRCCECRGWQLCGLPCSHAAAALESCRQHVYRFTESCFTVANYRKAYSETIHPIPDRTLWKEMVGYQTDGNTDMWVIINPPKSLRPPGRPRKRRIRTEDGSRTKRVVHCSRCNQTGHFRTTCAAPI >ONH92157 pep chromosome:Prunus_persica_NCBIv2:G8:16835261:16837575:-1 gene:PRUPE_8G158900 transcript:ONH92157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVMTPNEADEKLPKSAGRSCEAVGVENSLRLKFLATIGAHEYFSPEMWRAAFTELVATASLLFTLTSSIISCLESGEVDPKLLVPIAVFIIAFLFLLVTVPLSGGHMSPVFTFIAALKGVITFARASIYILAQCIGSILGFLIIKTVMDQNAAQKYSLGGCTIKGSGSTSGVGTQTALMVEFACTFVVLFVGVTVAFDKRRCKELGLAMVCAVVAGAMALAVFVSITVTGRVGYAGVGLSPARCLGPALLQGGRLWDGHWVFWVGPILACSVYYCVSLNLPNEGLKSVEEECDILKGEGNV >ONH91565 pep chromosome:Prunus_persica_NCBIv2:G8:14914526:14914993:-1 gene:PRUPE_8G123300 transcript:ONH91565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLSLVSTGLHKFGLSPQNRVSHGKYGVFTLRPSPGFLRVRAVQETGGSRRLVDIIRNVPELSRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIASVVCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >ONH91564 pep chromosome:Prunus_persica_NCBIv2:G8:14914398:14916721:-1 gene:PRUPE_8G123300 transcript:ONH91564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLSLVSTGLHKFGLSPQNRVSHGKYGVFTLRPSPGFLRVRAVQETGGSRRLVDIIRNVPELSRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIASVVCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >ONH91563 pep chromosome:Prunus_persica_NCBIv2:G8:14914273:14916828:-1 gene:PRUPE_8G123300 transcript:ONH91563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLSLVSTGLHKFGLSPQNRVSHGKYGVFTLRPSPGFLRVRAVQETGGSRRLVDIIRNVPELSRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIASVVCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >ONH91562 pep chromosome:Prunus_persica_NCBIv2:G8:14914398:14916735:-1 gene:PRUPE_8G123300 transcript:ONH91562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLSLVSTGLHKFGLSPQNRVSHGKYGVFTLRPSPGFLRVRAVQETGGSRRLVDIIRNVPELSRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIASVVCVLLTEYVTKFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >ONH93972 pep chromosome:Prunus_persica_NCBIv2:G8:22175606:22178164:1 gene:PRUPE_8G264200 transcript:ONH93972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFGFSFPLVFLLLSCFITQLLCNANAFPGHYHNPIQRHRHPRFASHNYRDALTKSILFFEGQRSGKLPSNQRISWRRDSGLSDGSALHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMNGELQNAKEAIRWATDYLLKATAHPGTIYVQVGDANKDHACWERPEDMDTPRSVFKIDQNTPGSEVAAETAAALAAASLVFRRSDRTYSKLLARRAISVFEFADKHRGAYSTGLKKYVCPFYCDYSGYQDELLWAAAWLQKATKNPTYLNYIQANGQILGAAEFDNTFGWDNKHVGARILLSKAFLIQRVRSLHDYKGHADSFICSIIPGASYSSAQYTPGGLLFKMNDSNMQYVTSTSFLLLSYAKYLTTARQVVNCGGTAITPKRLRAIAKRQVDYLLGDNPLKMSYMVGYGPRYPQRIHHRGSSLPSIAAHPAKIQCSSGFNVMRSQSPNPNILVGAVIGGPDQHDRFPDQRSDYEQSEPATYINAPLVGTLAYLAHSFGQM >ONH93337 pep chromosome:Prunus_persica_NCBIv2:G8:20315431:20317021:-1 gene:PRUPE_8G226800 transcript:ONH93337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVDCELEKELKQFDETKAGVKGLVDAGVTKLPRMFRHPPETLPSPNQNNGVDSNLQVPVIDLKDVDICDRRKEIINDLRKAAEEWGFFQIVNHGIPIAVMDEVLKGVRGFHELPQEAKEEWYSRDFKKKVNFFSNGELKVSTPADWRDTLSCKVLEDECNFEAIPEVCREQVREYMKYIVKVQEKLSKLFSEALGLDSDYLENLRCFKSRSLACHYYPVCPDPHLTLGGTRHSDLGFLTLLLQDSSGLQVLHDNVWIDVPPVEGALLTNLADMLQIVTNGKFKSVEHRVLMPATLEPRTSIACFVGTDDLQKPYGPIKELISEDNPAIYKEVLFGEYMNRYKL >ONH93875 pep chromosome:Prunus_persica_NCBIv2:G8:21938621:21940448:-1 gene:PRUPE_8G258100 transcript:ONH93875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHDSEDSKQSTADMSAFVQNLLQQMQSRFQTMSDSIVTKIDEMGTRINELEHSINDLRTEMGIEGSPSPVQQPKPEAGEVKQQEGSA >ONH91462 pep chromosome:Prunus_persica_NCBIv2:G8:14320300:14326090:1 gene:PRUPE_8G116100 transcript:ONH91462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIQLQFLLPFPFFIIWVDKGVVKRGGFNKICSLSPQLQEFVGEPEMARTEVVKRIWAYIREKDLQDPKNRRNIRCDESLHSLFRVNSINMFQMNKVLSKHIWPLSREDEPVKQKKKGEESDHSVSEGDVNNVAQEEEEVEEEEEEEEEKVSKQKESKKRRAAKVDKEVKKRGGGGFTKLCSLSPELQKFMGVPELARTEVVKKLWSYIRENNLQDPNNKREIICDESLRALFDVDSINMFQMNKALSKHILPLNGEAPDNASRKDKQSEQEHEEGSL >ONH91459 pep chromosome:Prunus_persica_NCBIv2:G8:14319518:14326090:1 gene:PRUPE_8G116100 transcript:ONH91459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHFPSLSPSPKHLNSNHRPDIGKVQSPEKMVSNSDILTRLTEILSTSDLDTATAGSVRRQLENDFGVDLSDRKKFIRDQIDIFLETHRAEPQDEEEAEGEEVGEGSENAEEEEDGDEEGEEENKGKNKRRKTVDKGVVKRGGFNKICSLSPQLQEFVGEPEMARTEVVKRIWAYIREKDLQDPKNRRNIRCDESLHSLFRVNSINMFQMNKVLSKHIWPLSREDEPVKQKKKGEESDHSVSEGDVNNVAQEEEEVEEEEEEEEEKVSKQKESKKRRAAKVDKEVKKRGGGGFTKLCSLSPELQKFMGVPELARTEVVKKLWSYIRENNLQDPNNKREIICDESLRALFDVDSINMFQMNKALSKHILPLNGEAPDNASRKDKQSEQEHEEGSL >ONH91461 pep chromosome:Prunus_persica_NCBIv2:G8:14319528:14325284:1 gene:PRUPE_8G116100 transcript:ONH91461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHFPSLSPSPKHLNSNHRPDIGKVQSPEKMVSNSDILTRLTEILSTSDLDTATAGSVRRQLENDFGVDLSDRKKFIRDQIDIFLETHRAEPQDEEEAEGEEVGEGSENAEEEEDGDEEGEEENKGKNKRRKTVDKGVVKRGGFNKICSLSPQLQEFVGEPEMARTEVVKRIWAYIREKDLQDPKNRRNIRCDESLHSLFRVNSINMFQMNKVLSKHIWPLSREDEPVKQKKKGEESDHSVSEGDVNNVAQEEEEVEEEEEEEEEKVSKQKESKKRRAAKVDKEVKKRGGGGFTKLCSLSPELQKFMGVPELARTEVVKKLWSYIRENNLQDPNNKREIICDESLRALFDVDSINMFQMNKALSKHILPLNGEAPDNASRKDKQSEQEHEEGEIYLYIYIYIYIYNIQVFSYQTLLVAKLLYLYTTSWYWHNVSK >ONH91460 pep chromosome:Prunus_persica_NCBIv2:G8:14319476:14326090:1 gene:PRUPE_8G116100 transcript:ONH91460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHFPSLSPSPKHLNSNHRPDIGKVQSPEKMVSNSDILTRLTEILSTSDLDTATAGSVRRQLENDFGVDLSDRKKFIRDQIDIFLETHRAEPQDEEEAEGEEVGEGSENAEEEEDGDEEGEEENKGKNKRRKTVDKGVVKRGGFNKICSLSPQLQEFVGEPEMARTEVVKRIWAYIREKDLQDPKNRRNIRCDESLHSLFRVNSINMFQMNKVLSKHIWPLSREDEPVKQKKKGEESDHSVSEGDVNNVAQEEEEVEEEEEEEEEKVSKQKESKKRRAAKVDKEVKKRGGGGFTKLCSLSPELQKFMGVPELARTEVVKKLWSYIRENNLQDPNNKREIICDESLRALFDVDSINMFQMNKALSKHILPLNGEAPDNASRKDKQSEQEHEEDSDDPKQNEND >ONH93746 pep chromosome:Prunus_persica_NCBIv2:G8:21550750:21552799:1 gene:PRUPE_8G249900 transcript:ONH93746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVKPDVKEVELNFKRDQKCTTTFRLSNLMHTMSVAVSLTTTNPSLFSFSQPFSIIPPLSSASYSLFLSQPSDQPPLPLSTPHDVINVKTSMLPTGKAHQDDLRRLFARPGPHVFKDATIPIAFVGPHVVEFLISQQTRISEFDSFFNKAISGCSVSDLTALLKPAIASRNANLVSDLIDAGAVVNQRNSDSGSLLSLGVGAGNVEIVKVLIASGCGIGNNSADMVLHEAAAINRVDLIEILCKSFAGIDLNWVDPEGRTPIHVAAAHGHVETLKFCISVGGNAEVSDCEGWSPLHCAAEKGHLEAAKCLLDCSNVKYAVTRDGRTAFDIAAANGHSGLLGVLRYDDVLHRAARLDDAHGLKSCLAEGADVNGRDQNGWTPLHRAAFKGRIECVKVLLNHGAQVDVVDDAGYTPLHCAVEAGHVQVALLLVAHGARANVKSLEGVMPINLDRFKNHPALVQPLCHGKERA >ONH89976 pep chromosome:Prunus_persica_NCBIv2:G8:2503692:2507350:1 gene:PRUPE_8G027300 transcript:ONH89976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQRAFTSATAVCSWKYEVFLSFRGEDTRRGFTDYLYKQLDWRGIRTFRDDPDLQRGADINPELLTAIEQSRFAIIVLSTNYASSSWCLRELTHIVQSMKEKERIFPIFYDVDPSDVRHQRGSIGAALVNHERNCGEDRQEVLEWRNALEKVANLAGWNSKDYRYDTELITEIVDAVWDKVRPTFSLLDSSDILVGLDTKLKEIDLHLDTSANDVRFVGIWGMGGMGKTTLARLVYDRISHSFEGSSFLANVREVHATHGLVPLQKQLLSDILREKNIQVYDAYSGLTMIKRCLCNKKVLLVLHDVDQSDQLEMLIREKDCFGLGSRIIITTRDEHLFVEHGIEKVYKVMPLTQDEALYLFSRKAFRKDDLEEDYLELSKNFINYAGGLPLALKTLGSFLYKRSRDEWKSALDKLKQAPDRKIFQMLKISYDGLEEMQKKIFLDVACFHKFYDKEEVIEILDSCGFVGTRIVIHVLIEKSLLSISNTCLSIHDLIQEMAWEIVRQESFDEPGGRSRLWLHSDIIHVLTNNTGTETIEGIALRLHEFEAAHWNPEAFTKMCKLRLLKINNLRLSLGPKYLPNSLRILEWSWYPSKCLPPSFQPVELAELRMQQSKIDHLWNGIKYMVKLKSIDLSYSENLTRTPDFTGTQNLERLVFEGCTNLVKIHPSIASLKRLRVLNFKYCKSIKSLPGEVELESLETFDLSGCSKVKKIPEFVGEMKNFSKLSLNFTAVEQMPSSNIHSMASLKELDMSGISMRDPPSSLVPVKDIELPRSWHSFFTFGLFPRKNPHPVSLVLASLKDLRFLKRLNLNDCNLCEGAIPEDIGLLSSLEELNLDGNHFVSLPASISGLSNLWNITLKNCKRLQKLPSLQLNGLLDMCVNTDNCTSLKIFPDPTSTCNGLSSMSISSSNCFNLIGHQGSSSIIFLMLKKFLQEIPRSLGIIDIIIPGSEIPEWFNNQSVGDSVIEPLPSDSNSTWVGFAFCALFVATATKHNSITFR >ONH89977 pep chromosome:Prunus_persica_NCBIv2:G8:2503708:2507350:1 gene:PRUPE_8G027300 transcript:ONH89977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKERIFPIFYDVDPSDVRHQRGSIGAALVNHERNCGEDRQEVLEWRNALEKVANLAGWNSKDYRYDTELITEIVDAVWDKVRPTFSLLDSSDILVGLDTKLKEIDLHLDTSANDVRFVGIWGMGGMGKTTLARLVYDRISHSFEGSSFLANVREVHATHGLVPLQKQLLSDILREKNIQVYDAYSGLTMIKRCLCNKKVLLVLHDVDQSDQLEMLIREKDCFGLGSRIIITTRDEHLFVEHGIEKVYKVMPLTQDEALYLFSRKAFRKDDLEEDYLELSKNFINYAGGLPLALKTLGSFLYKRSRDEWKSALDKLKQAPDRKIFQMLKISYDGLEEMQKKIFLDVACFHKFYDKEEVIEILDSCGFVGTRIVIHVLIEKSLLSISNTCLSIHDLIQEMAWEIVRQESFDEPGGRSRLWLHSDIIHVLTNNTGTETIEGIALRLHEFEAAHWNPEAFTKMCKLRLLKINNLRLSLGPKYLPNSLRILEWSWYPSKCLPPSFQPVELAELRMQQSKIDHLWNGIKYMVKLKSIDLSYSENLTRTPDFTGTQNLERLVFEGCTNLVKIHPSIASLKRLRVLNFKYCKSIKSLPGEVELESLETFDLSGCSKVKKIPEFVGEMKNFSKLSLNFTAVEQMPSSNIHSMASLKELDMSGISMRDPPSSLVPVKDIELPRSWHSFFTFGLFPRKNPHPVSLVLASLKDLRFLKRLNLNDCNLCEGAIPEDIGLLSSLEELNLDGNHFVSLPASISGLSNLWNITLKNCKRLQKLPSLQLNGLLDMCVNTDNCTSLKIFPDPTSTCNGLSSMSISSSNCFNLIGHQGSSSIIFLMLKKFLQEIPRSLGIIDIIIPGSEIPEWFNNQSVGDSVIEPLPSDSNSTWVGFAFCALFVATATKHNSITFR >ONH89975 pep chromosome:Prunus_persica_NCBIv2:G8:2503708:2507350:1 gene:PRUPE_8G027300 transcript:ONH89975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQRAFTSATAVCSWKYEVFLSFRGEDTRRGFTDYLYKQLDWRGIRTFRDDPDLQRGADINPELLTAIEQSRFAIIVLSTNYASSSWCLRELTHIVQSMKEKERIFPIFYDVDPSDVRHQRGSIGAALVNHERNCGEDRQEVLEWRNALEKVANLAGWNSKDYRYDTELITEIVDAVWDKVRPTFSLLDSSDILVGLDTKLKEIDLHLDTSANDVRFVGIWGMGGMGKTTLARLVYDRISHSFEGSSFLANVREVHATHGLVPLQKQLLSDILREKNIQVYDAYSGLTMIKRCLCNKKVLLVLHDVDQSDQLEMLIREKDCFGLGSRIIITTRDEHLFVEHGIEKVYKVMPLTQDEALYLFSRKAFRKDDLEEDYLELSKNFINYAGGLPLALKTLGSFLYKRSRDEWKSALDKLKQAPDRKIFQMLKISYDGLEEMQKKIFLDVACFHKFYDKEEVIEILDSCGFVGTRIVIHVLIEKSLLSISNTCLSIHDLIQEMAWEIVRQESFDEPGGRSRLWLHSDIIHVLTNNTGTETIEGIALRLHEFEAAHWNPEAFTKMCKLRLLKINNLRLSLGPKYLPNSLRILEWSWYPSKCLPPSFQPVELAELRMQQSKIDHLWNGIKYMVKLKSIDLSYSENLTRTPDFTGTQNLERLVFEGCTNLVKIHPSIASLKRLRVLNFKYCKSIKSLPGEVELESLETFDLSGCSKVKKIPEFVGEMKNFSKLSLNFTAVEQMPSSNIHSMASLKELDMSGISMRDPPSSLVPVKDIELPRSWHSFFTFGLFPRKNPHPVSLVLASLKDLRFLKRLNLNDCNLCEGAIPEDIGLLSSLEELNLDGNHFVSLPASISGLSNLWNITLKNCKRLQKLPSLQLNGLLDMCVNTDNCTSLKIFPDPTSTCNGLSSMSISSSNCFNLIGHQGSSSIIFLMLKKFLQEIPRSLGIIDIIIPGSEIPEWFNNQSVGDSVIEPLPSDSNSTWVGFAFCALFVATATKHNSITFR >ONH93099 pep chromosome:Prunus_persica_NCBIv2:G8:19633275:19637048:-1 gene:PRUPE_8G213400 transcript:ONH93099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGPIDISSDEESGRNEKEDEAIYDWISELMDSIDNENDDDSDDVVVISEVNIKPKTKSSKPPVTDVDDDCVVLDGDPDKPVSVVDDSGSNSDELLVVGEKGQIACRDYPHPRHLCAKFPFKTTLHEKHCDLCHCYVCDSLAPCVHWGTGVSNIGHCHATDKEETWKILRKDSKIVKSSPPAPKLPAALPKLSQGPPRNIIRLAPSSILQNQVSRPTTIRACSSTSRTMPSVRNPGRSHQSEFILSKNRAHPCSVSKQLVGARNNVVGRNRGHNIDNLGPQFVSSHPMFKRVGAVGGTLPVNRTTFGSANNSSCTSPSQYGRNSAPMAASSDRKHFRWQNVPSTVHQNSSQPMATFVGNTVPSQPQTCSPRATIFDSVFNTNFPDQGNLRQNDSYISQYGNQSQDASQGGYQYGNQSQNSSQNICLQDNLCASVADMGFSDCNYSWVDNSSQSIQHPPIQQPPFQPPPVETSQIQSTEPIYVPSLVKEQSNEIADVSLDSQLNWLLENQSVPAVTSDSVPSHLNVLSPEPTFIEPGMLMFDFETSWNGLTEV >ONH93775 pep chromosome:Prunus_persica_NCBIv2:G8:21624800:21628052:-1 gene:PRUPE_8G251700 transcript:ONH93775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNGKQSWAVSPSDVVYHFGTSGLSVAAATAVTHPLDVLKVRLQMQLVGQKGPLTGMGRLFLQTFKNEGPRFLYLGLAPALTRSVLYGGLRLGLYEPSKYAFNWAFGSTNIFAKIASAGFGGAIATALTNPVEVLKVRLQMNPHLSKGGPTGELRRMISEEGVKALWKGVGPAMARAATLTASQLATYDETKRILIRLTSLEEGFNLHLISSTVAGMASTLITAPVDMIKTRLMLQKESKRMGSYKNGFHCAYQVMLTEGPKGLYKGSLATFARLGPQTTITFILCEKLRELTGLNAI >ONH93772 pep chromosome:Prunus_persica_NCBIv2:G8:21624800:21628096:-1 gene:PRUPE_8G251700 transcript:ONH93772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNGKQSWAVSPSDVVYHFGTSGLSVAAATAVTHPLDVLKVRLQMQLVGQKGPLTGMGRLFLQTFKNEGPRFLYLGLAPALTRSVLYGGLRLGLYEPSKYAFNWAFGSTNIFAKIASAGFGGAIATALTNPVEVLKVRLQMNPHLSKGGPTGELRRMISEEGVKALWKGVGPAMARAATLTASQLATYDETKRILIRLTSLEEGFNLHLITVAGMASTLITAPVDMIKTRLMLQKESKRMGSYKNGFHCAYQVMLTEGPKGLYKGSLATFARLGPQTTITFILCEKLRELTGLNAI >ONH93773 pep chromosome:Prunus_persica_NCBIv2:G8:21624748:21628052:-1 gene:PRUPE_8G251700 transcript:ONH93773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNGKQSWAVSPSDVVYHFGTSGLSVAAATAVTHPLDVLKVRLQMQLVGQKGPLTGMGRLFLQTFKNEGPRFLYLGLAPALTRSVLYGGLRLGLYEPSKYAFNWAFGSTNIFAKIASAGFGGAIATALTNPVEVLKVRLQMNPHLSKGGPTGELRRMISEEGVKALWKGVGPAMARAATLTASQLATYDETKRILIRLTSLEEGFNLHLISSTVAGMASTLITAPVDMIKTRLMLQKESKRMGSYKNGFHCAYQVMLTEGPKGLYKGSLATFARLGPQTTITFILCEKLRELTGLNAI >ONH93774 pep chromosome:Prunus_persica_NCBIv2:G8:21624792:21628306:-1 gene:PRUPE_8G251700 transcript:ONH93774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNGKQSWAVSPSDVVYHFGTSGLSVAAATAVTHPLDVLKVRLQMQLVGQKGPLTGMGRLFLQTFKNEGPRFLYLGLAPALTRSVLYGGLRLGLYEPSKYAFNWAFGSTNIFAKIASAGFGGAIATALTNPVEVLKVRLQMNPHLSKGGPTGELRRMISEEGVKALWKGVGPAMARAATLTASQLATYDETKRILIRLTSLEEGFNLHLISSTVAGMASTLITAPVDMIKTRLMLQKESKRMGSYKNGFHCAYQVMLTEGPKGLYKGSLATFARLGPQTTITFILCEKLRELTGLNAI >ONH92144 pep chromosome:Prunus_persica_NCBIv2:G8:16802092:16802385:1 gene:PRUPE_8G158100 transcript:ONH92144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLKEMVFHAKHHLRSTRTPCTVDVPRGHFAIYVGDDAEEKNHEKRHVVPISYLKHPLFQDLLYKAADEFGFDQLGGIRLPCSEEEFTSLTSRIN >ONH90194 pep chromosome:Prunus_persica_NCBIv2:G8:3984978:3987577:-1 gene:PRUPE_8G039900 transcript:ONH90194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASSFPCIKISTSSSSPSSSHSSSSFNHHSFMLSSSAKPYYVKTIRSSQTEGPIRRPAAPSLREPSPPSSPVKPTPPSPQAPPPPQQQPSKPAPVSGLTMVEDNKNVVTLEFQRQKAKEIQEYFKQKKLEAANQGPFFGFVGKNEISNGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGILDLE >ONH91355 pep chromosome:Prunus_persica_NCBIv2:G8:13911210:13916195:1 gene:PRUPE_8G109000 transcript:ONH91355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNNLHSMTYDVFLSFRGEDTRFNFTDHLHSNLTRKGIRTFIDDGLKRGEEISPALLRAIKESKSSVIIFSENYASSKWCLDELVKILESKESREQIVWPIFYKVNPSDVRNQKGSFGQAFADYECEFKDDMEKVQRWRRALTKAANLSGWCFSNGHEAKFIHNIVEEISIQVLNHNYLNVAKYPVGIESRVHEISKLLGVGGNDVRMVGIWGTGGIGKTTIAKAVYNSTAHMFEGSCFLEDVRERSMPYGGLVELQNIILSEILGVKEVKVTNVDKGVNVIKKMLNGRKLLLVLDDVNQLDQLNKLVGRSDWFGTGSRIVLTTRDKHLLIAHQVNLMYEVEKLDHYESLKLFTSWNSFSRNGHLDGDYVKLANQVVDYAQGLPLALMVLGSHLCGRSIDQWKCALDGYRRVPNQEIQDILKISYSALDDAVKEVFLDIACFFKGVGKDYVIEILEGCDLNPKYDLEVLVEKALINITEEGRIWMHDLIEEMGKEVVRQESPTEPGKRSRLWFHEDVDHVLTENTGTDKIKGIMVKLPAGLESDEICLNAKSFSKMKNLRILLNRNARLSGEVDYLPNELRLLRWPEYPLQSLPANFNPKKLVGLTMPRSRILQLDLELKSLKFINVENSKFLTKTPNFSGVPNLEKLNLNYCTSLVELHPSVGFLHKLVKLSLTGCRSLTLFPRIVNLKSLLKLNLEGCISLENFPEIMGKMESLTYLDLSKTSIKELPSSSIRHFTSLKTLYLTGCEKLTNLPCSIYELQHLVEISLSKCSKLVTFPKMVKSEDSRTAESLVTLHRGNLVFPKLSKFNVGGSNLSEITNFLLTLDCLATLTRLDLSGSNFISLPACIINFVNLHELRLVGCKRLREIPDLPQEMEVLDVSDCVSLERVSNLSNILERKESQMISEMNLTKCWRLRNNLVRFAKKKNMFINQVNLFSLFLSSQQSYVEVVFPGSGIPKWFSCCQDLKDLRECAFSIKIPQNFKFENKGLAVCAFLQKTKNRFHFDTKPKLNIRDFSVDIYLDERRTDNTHSTGKESTVVWLWYIPFFVILSVRESLWEYECMKGRAKSSWVMPPYLPTLRVHFVRISKAVKSCGVHLVMPPG >ONH91485 pep chromosome:Prunus_persica_NCBIv2:G8:14464981:14477105:1 gene:PRUPE_8G117900 transcript:ONH91485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAEVFLGALLRFLLDRLLTPLNFLADGVGKKLKTWSEMLSSIELVLRDAEKKQLTSSAVELWLDDLKDLAYDIEDILDKFYTEMLRRKLDEQRGATTSKVRSLIPRFEVHFNMNFEIKEITNRLQAISERKDKLGLSLDGIGSSSTKPWPRPPSSCVLNGVPVVGRDSDKAKIVELLSRNYEPNAINFQVVAIVGMPGIGKTTLAQFVFNEDDVLKQFDLKAWVSVSDEFDVVSVTKAILESVTSGRCDLEEFSNIQNNLSKALAGKKFLIVLDDVWNTCDYNLWTMLQSPFCVGASGSKIIVTTRDAEVPRMMRSTEVHNLSGISNGDCWKVFMQHAFFNIEESSRPTKYELLQEKIVAKCCGLPLAARTLGGLLGCKEINEWEEILNNKLWFLSDKSGILPVLKISYYYLPSTLKRCFAYCSILPNDYEFGETQLILLWMAEDLIQKPEENKQLEDVGREYFQELVSRSLFQKSSKQDSRYVMHHLISDLAQKVSGETCLRLEDILDGRWSPKTRHLSYTAGKYDGVKRFEAFAKAKVLRTFLPLSISQDPCNYLTCRVTFELLPKLQYIRVLSLNGYRLIKLPNSIGELKFLRYLDLSHTEITSLPRSISTLCNLQTLILENCYSLKALPANMKNLINLRHLNNSNTPSLQGMPAQLGQLTNLKTLSNFVVSEGRESSIREVEPLLHLQGTLRLSRLQNVNDIEDVKRADLISKAGLDVLLLEWNGLGEKESDVLDMLQPHRKLKVLSIKGYGGLEFSKWIGHPLLSSLTTVCLEGCNHCCLLPSLGQLPSLKKLSIKRLCAVEVVGLEFYGTLRMPFPLLEILEFEDMKHWREWFPYEQDQDQGIRVFPCLKMLSISKCPKLEGRLPENLDSLSKLVIRGCEQLVISISDYKQLQKLDIDDCKRVVHIKVQLELLEALQLSSIAEFKLQIKDFMGGLPKLNDLVISGCDELTSLWQNEDKLLHNLISLRCLVIGDNPHPHLVQNLVSLQELHIYDCPSLISFQEFLLPPFVKEIKIERCSSLVYFARYRIPPNLRRMDIMLCENLKSLLEEEEVKGSSSSSSPYLVKEEESCLEYLSIEDCPLLTSLSFEDHLPGTLKHLRISDCEQLETITNRFKHNTCLEEIKISRCKNLKCLPEGLCYLTNLQELGIYDCASLVSFPEGGLPQSAAYLREIDISYCNKLEALPKGIHDLNSLQILSISCCEGFTQFLEDGFPPNLIQLTIYNLKSCKALLDLGLHRLTSLRELEIRGKDPDVLFFPPEKEMVLPKSLIRLTIQDFPNLVKLSNGFQLLNCLQSFHIEGCPKLASMAEESLPLSLTQLTIYHCPLLEERCKPSKGRYWPSIAHIPYIRIQDHVHRANPDHSPRLPFSCSPSRSEDQRTKLAITNT >ONH92805 pep chromosome:Prunus_persica_NCBIv2:G8:18815103:18816216:1 gene:PRUPE_8G196600 transcript:ONH92805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPHGCRPWRPCLLHHPHHHPLFLCPHHHHHHHLHHQNNRTLFNCHVHTNFAPFPQNPEHSAAAAAIPFQTHSIAETSGALQEQQKHGEIGDVVGFEEEEEEDDDPVFVLTDEWKEFFAKSEAKRRLEKQQAKKKRKG >ONH93090 pep chromosome:Prunus_persica_NCBIv2:G8:19601650:19602194:1 gene:PRUPE_8G212500 transcript:ONH93090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPSAFIMGANKFVIVWGVCTRIFQVLFLRGWVDAKSFTFCTIKVVFNIFPYHLPTLNKLVKNHPLRVCK >ONH90392 pep chromosome:Prunus_persica_NCBIv2:G8:5622889:5626105:-1 gene:PRUPE_8G051500 transcript:ONH90392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFQFQTQKRIRSSRVSETQRNVAVEEKSTVGLPLRKGLASSATKNADPESEDLGFDEICQNQKRKRSSRVSETQRNVAVEKKSTVGLPLCMGLASSATKNANPESEEGFDEICLTQKRKRSSGVSETQRNVAVEEKSTVGLPLCKGLASSATKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVCLPLLKVKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSADDFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPKRRPNGKSSSACRVLMQSQGVVGVSDSHSVKSTKDDDSHSVKSTKDDDLGTLVHHAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRQSARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQKGDWEEGEIRS >ONH90393 pep chromosome:Prunus_persica_NCBIv2:G8:5622889:5626125:-1 gene:PRUPE_8G051500 transcript:ONH90393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIKRRQLEDGFGSFEFQFQTQKRIRSSRVSETQRNVAVEEKSTVGLPLRKGLASSATKNADPESEDLGFDEICQNQKRKRSSRVSETQRNVAVEKKSTVGLPLCMGLASSATKNANPESEEGFDEICLTQKRKRSSGVSETQRNVAVEEKSTVGLPLCKGLASSATKNANPESEEGFDEICQTQKRKRSSRVSKTQRKEKSTVCLPLLKVKGLASSASKETLDCSKVLDSLMNLGHASYFNKPVVDPVAENLPGYFDEIWRPMDLGTVKSKLERGVYSSADDFAADIRLIFSNAFRYFPLGSRNRAAAKHLSGVFETQWKEAEEKMSNACPPPTPPLPKRRPNGKSSSACRVLMQSQGVVGVSDSHSVKSTKDDDSHSVKSTKDDDLGTLVHHAMYQATDNLSPCKARRIQSLKMRFSGTIRKANKILKGLPDSPPRRKLMHRMEQRQSARLAILNMEKSVQFEDPLKDLKQLEILCGCGSEKVYLGLPLKHLGLYLKEDDELQGQDEEAFLNGDWEEGEICWQKGDWEEGEIRS >ONH93985 pep chromosome:Prunus_persica_NCBIv2:G8:22212889:22215077:1 gene:PRUPE_8G265100 transcript:ONH93985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGRGKVWLDPNEVSDISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGHGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAQGPGERAPPAAEPAAVAPSQPTQGSKKSKK >ONH90889 pep chromosome:Prunus_persica_NCBIv2:G8:11471700:11473503:-1 gene:PRUPE_8G081100 transcript:ONH90889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVSAKRSLIRSLSSSSQTADSKTLDIPKGYFAVYVGESQKKRFLIPISYLNEPLFLDLLSQAEEEFGYDHPMGGITIPCSEDTFLHLTSRLSV >ONH93286 pep chromosome:Prunus_persica_NCBIv2:G8:20185632:20187930:-1 gene:PRUPE_8G223400 transcript:ONH93286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASVFSLCLLLVFNGCLAARQSQLSPQNQCQLNQLQAREPDNRIQGEAGQIETWNFNQEDFQCAGVAASRITIQRNGLHLPSYSNAPQLIYIVQGRGVLGAVFSGCPETFEESQQSSQQGRQQEQEQERQQQQQQGSQQQEERRQQEQQQGQQGRPQQQQQFRQLDRHQKTRRIREGDVVAIPAGVAYWSYNDGDQELVAVNLFHVSSEHNQLDQNPRKFYLAGNPENEFNQQGQSQPRQQGEQGRPGQHQQPFGRPGQQEQQGNGNNVFSGFNTQLLAQALNVNEETARNLQGQNDNRNQIIRVRGNLDFVQPPRGRQEREHEERQQEQLQQERQQQGGQLMANGLEETFCSLRLKENIGNPERADIFSPRAGRISTLNSYNLPILRFLRLSAERGFFYRNGIYSPHWNVNAHSVVYVIRGNARVQVVNENGDAILDQEVQQGQLFIVPQNHGVIQQAGNQGFEYFAFKTEENAFINTLAGRTSFLRALPDEVLANAYQISREQARQLKYNRQETIALSSSQQRRAIV >ONH93287 pep chromosome:Prunus_persica_NCBIv2:G8:20185882:20187870:-1 gene:PRUPE_8G223400 transcript:ONH93287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASVFSLCLLLVFNGCLAARQSQLSPQNQCQLNQLQAREPDNRIQGEAGQIETWNFNQEDFQCAGVAASRITIQRNGLHLPSYSNAPQLIYIVQGRGVLGAVFSGCPETFEESQQSSQQGRQQEQEQERQQQQQGEQGRQQGQQEQQQEQQGRQQGSQQQEERRQQEQQQGQQGRPQQQQQFRQLDRHQKTRRIREGDVVAIPAGVAYWSYNDGDQELVAVNLFHVSSEHNQLDQNPRKFYLAGNPENEFNQQGQSQPRQQGEQGRPGQHQQPFGRPGQQEQQGNGNNVFSGFNTQLLAQALNVNEETARNLQGQNDNRNQIIRVRGNLDFVQPPRGRQEREHEERQQEQLQQERQQQGGQLMANGLEETFCSLRLKENIGNPERADIFSPRAGRISTLNSYNLPILRFLRLSAERGFFYRNGIYSPHWNVNAHSVVYVIRGNARVQVVNENGDAILDQEVQQGQLFIVPQNHGVIQQAGNQGFEYFAFKTEENAFINTLAGRTSFLRALPDEVLANAYQISREQARQLKYNRQETIALSSSQQRRAIV >ONH89750 pep chromosome:Prunus_persica_NCBIv2:G8:1134441:1135445:1 gene:PRUPE_8G013700 transcript:ONH89750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKMLLPFFKHRQLLIQLRSSSLQEFLEVQAFKIPALQERLGVAAEKIVHLRAKLDAAVERQTMMDEVPAAFVDKNKIAKQKLASMNPQAADIDHSLSPC >ONH89749 pep chromosome:Prunus_persica_NCBIv2:G8:1134441:1135468:1 gene:PRUPE_8G013700 transcript:ONH89749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKMLLPFFKHRQLLIQLRSSSLQEFLEVQAFKIPALQERLGVAAEKIVHLRAKLDAAVERQTMMDEVPAAFVDKNKIAKQKLASMNPQAADIDHSLSPC >ONH91458 pep chromosome:Prunus_persica_NCBIv2:G8:14315298:14318093:1 gene:PRUPE_8G116000 transcript:ONH91458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSLSLLRSLTLSLSHHHYHPTHRFPRPISGFPLAVAAKSRRVLALPSSSTFVEHLSGEASQPGENWDLSNVAQGEAFNLDKCFSSADLKHLAVPELEVPELEDLPEQWRRSKLAWLCKELPAHKAGTLSRILNAQKKWMRQEDATYVAVHCMRIRENDVGFRVYKWMMQQHWYRFDFALATKLADYMGKERKFSKCRDIFDDIINQGRVPSESTFHILVVAYLSASVQGCLEEACGIYNRMIQLGGYQPRLSLHNSLFKALVSKPGTSSKHYLKQAEFIFHNLVTTGLEIHKDIYSGLIWLHSCQDTIDKERMTSLRKEMQQAGIEVGRDVLVSILRACSKEGDVEEAESTWLKLLQLDVGLPYQAYVYKMEAYSKVGEPRRSLEIFREMKEQLGSANAVAYHKVIEVYVKLKKLNLQSSHDKLESAFFQCLERCRPSRTIYSIYLDSLVKVGNLDKAEEIFDQMQSNGPTGINSRSCNTILSGYLSSGDYVKAEKIFDLMCQKKYDVDSPLMEKIDYVLSLSRKVVKRPVSLKLSKEQREVLVGMLLGGLQIESDEDRKNHMIRFEFSENSSTHSLLRRHMYDQYHEWLHPSCKTSESTDDIPYNFSTISHSYLGFYADQFWPKGRQVIPKLIHRWLTPCALAYWYMYGGHRTSSGDILLKIKGNEEGVEKIVRALKAKSLDCKVKRKGRYFWIGFLGSNSTWFWKLVEPYILDDLKHLLKGGQISDNSAVETENINFGSGSDTDENASESDHTDNDNNL >ONH91130 pep chromosome:Prunus_persica_NCBIv2:G8:12759198:12760058:-1 gene:PRUPE_8G095200 transcript:ONH91130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHHNVPLGFRFHPTDQEIVGSFLHTLLVQRKPLMPPYSNFIRACDLFGKKLEPSEIWNKYGGPQLVDQDLYFLSGLNKLTTKRMDRSIGHGGTWSETESFKLIEDDNGNPNPIGRKRKFRYENKGSEEHTWWLLDEYSLFVGPKNDYNDRSYDFDFVICRMRKNDRASSKEINLIRSSRDQVQKKRSTNKKMKKDDQMGSTEESSSHVQQGYSPSITGGELVVSYDNVDPIDQTFFEDNPIFNIEQILCETKVEDACSPSNSENVDNSYSKWTESYMEELMACI >ONH92246 pep chromosome:Prunus_persica_NCBIv2:G8:17189973:17191441:-1 gene:PRUPE_8G165300 transcript:ONH92246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRCMPFPPPGYLWKGVGGRALIELIKLNRGKVEADKEGKKENTRRRKEKRKRKENVEIKQKRPNLQDKGHKIDGEKKVQETTDSKIRKDNFTGEVKQPKKFEGKHDSSGSFCACWVGSLHADVDLLLPCLFQLKKCLDQVLYKKPVCSTPTQTDTDVQEKFKKTSIADEKAEAVLVPSFSQNGSLQIESRFKELVFNWVPPPLENLSSEFNDQDQQWLYDGVSLRNDTKKKIEAINDHLCYPFTMLF >ONH90092 pep chromosome:Prunus_persica_NCBIv2:G8:3205663:3206984:-1 gene:PRUPE_8G034800 transcript:ONH90092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFIATNSARSLNYNPIGLNANLEARLNLDEESSNCWNSLFQLQACSGEVVMFFLNGETYLGHGCCEAIQTIEHQCWPALLGTLGFTTEETNVLKGYCDEAHHIQFPPSTPSSPVADKPIN >ONH90631 pep chromosome:Prunus_persica_NCBIv2:G8:9644424:9648992:-1 gene:PRUPE_8G065200 transcript:ONH90631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFALHSLSTSSFSLSTRASLFRRSNPILKSPPFISKSPNSSPALRSPTLFASEPVSFSSTSKPNKTHFPIQAFQSPQPQTTLKPPPEPPLGAKPIPFIISIAIGLVVRFLVPKPVEVSPQAWQLLAIFLSTIAGLVLSPLPVGAWAFLGLTSSIVTGTLPFTTAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTISEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPDHPSKGKLGSYLIMSQFQSAGNSSALFLTAAAQNLLCLKLAEELGLIISSPWVSWFKAASLPAFVSLLATPLVLYKLYPPETKDTPDAPAMATKKLEHMGPVTKHEFIMVGTMLLAVSLWVFGDALGIASVVTAMIGLSILLLLGVLDWDDCLSEKSAWDTLAWFSVLVGMAGQLTNLGIVNWMSNSVANTLQSYSLSWPAAFCVLQAAYFSIHYLFASQTGHVGALYSAFLAMHLAAGVPGVLAALALAYNTNLFGALTHYSSGQAAVYYGAGYVDLPDVFKLGFVMAIINAVIWAVVGLFWWKFLGLY >ONH90402 pep chromosome:Prunus_persica_NCBIv2:G8:5708961:5713363:-1 gene:PRUPE_8G052100 transcript:ONH90402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIYFTFRSLPKPHSQSSHHSHSRHRTFFVDATTKWVRDRGLDHAVEREKNLRPMVNIKNFIKSEPSKSLPISIIAQNRESLMIPTRPIDFIRKYPSIFEEFLPGGGAIQPHVRLTGQVLDLDAEEELMYQSESYRQDVADRLLKLLMLVRTNKLPLNVIESLKWDLGLPHDYQKSLVHEYPDYFNIVVGKNSASGWKDLRDLELVCWRNEMATSVFEKNAAAKEKKASAKKKRALSGDSPSKEEDQCVFPMQFSRGFEMDKKLKKWIDEWQKLPYVSPYENAAHLSSKSDESDKWVVAILHELLHILVPKKTDRENLLCLGEYLGLRSRFKQALLHHPGIFYLSNKIGTYTVVLREGYKRGTIIENHQLMNMRSQYIHLMNTVKEDSKMVSVPGGSTLEKKAVVDVPKGKGEEKEDDESEEEQEGELRDSSDTEFLYDDDSDEEDEDLSETSIEKRGINNRGRRGRKSHFDGKTPSRNAERGTSGGRKARNSDFNGKTPSRNVERGTSGGRKARNSDFNWKTPSRNVEGGRSGGRRDRNSDIDVKAPFRNAQRGRAGGEHPGKSRDAVSSDTSRRTRTDVRHNIRQISRERLNFSQSKGRSLPGEKTSVEKRFS >ONH92653 pep chromosome:Prunus_persica_NCBIv2:G8:18414207:18417129:-1 gene:PRUPE_8G187200 transcript:ONH92653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLLKFPNHIIISRRFCRTSSFFLLSQSNSHHKLPDLVLFSARWVGVPIHRSFAAEVQPAKKKRRLDEVCLERYQQYSRTFIQSWIIQGKVLVNGKVVNKAGTPVSDKAVVQIMAEVPKYVCRAGHKLEAAIEQLGIDVSGKIALDSGLSTGGFTDCLLQYGASFVYGVDVGYGQVAEKIRTDERVSVIERTNLRHLPGLPQRVDLVTLDLSFISILLVMPAVIKVMKEESSLVTLVKPQFEARRSQVGSGGIVRDPLVHQEVLERIIKGVENFGFTSKGWIESPLKGAEGNTEFLVHFTRTAKKSEDELKMLNTTESVQLP >ONH92652 pep chromosome:Prunus_persica_NCBIv2:G8:18413691:18417248:-1 gene:PRUPE_8G187200 transcript:ONH92652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLLKFPNHIIISRRFCRTSSFFLLSQSNSHHKLPARWVGVPIHRSFAAEVQPAKKKRRLDEVCLERYQQYSRTFIQSWIIQGKVLVNGKVVNKAGTPVSDKAVVQIMAEVPKYVCRAGHKLEAAIEQLGIDVSGKIALDSGLSTGGFTDCLLQYGASFVYGVDVGYGQVAEKIRTDERVSVIERTNLRHLPGLPQRVDLVTLDLSFISILLVMPAVIKVMKEESSLVTLVKPQFEARRSQVGSGGIVRDPLVHQEVLERIIKGVENFGFTSKGWIESPLKGAEGNTEFLVHFTRTAKKSEDELKMLNTTESVQLP >ONH89693 pep chromosome:Prunus_persica_NCBIv2:G8:810038:811311:-1 gene:PRUPE_8G010100 transcript:ONH89693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLPATTDSIAHALERTFLRQRVEARLASLLMESKEYSEALSVLSGLIKEVRRLVDKLLLVDIDLMRSTVIVSHRLPLVFFVVSELKHRLPLAFIARKRPRRFVSRSSVV >ONH93212 pep chromosome:Prunus_persica_NCBIv2:G8:19983850:19985245:1 gene:PRUPE_8G219500 transcript:ONH93212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSCKIGEDLLVKILTSLPPKSLMRFKCAAKWWYALINNPRFVGNQLSNCLHNNQSIFLKRLVPNKDPNASETEYVFSVLTFCEDADGGAHRFLLSRVEDINVPVSMSVMIGGEELKIVGHCHGIICVHVDNYSKVFLWNPAIQEFKLLPSEKYLTDWESQVYPRAPYLSLGDRLGWAMGFGYDPISKAYKVVSIMFYGSQRHAHTLYSVVIYPLRVQVYTLGSSEESSSWRDIKTYSLETETTFLWPEGFEIYLKGMCYWLGTEQQKEFVHEEEIRYEERIRQVMVSFDMSDEVFDEVTLPDELLDHERTFLGLFMLLTVWNESSIALCVWHNSCDVSPYFGMWLLDNDFGACVWTKHAGFELTSIPIMDLREGGCVLALWKSDDLLVVDEDGCTICYNLCTENRMSLPTIQVCMTNMDSPIVYVNSIVSIGLGRHQT >ONH91770 pep chromosome:Prunus_persica_NCBIv2:G8:15639558:15645010:1 gene:PRUPE_8G136000 transcript:ONH91770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSEFRPLDEKVLIEYIKATPSLSSKLNNKFDNLTIKEVGDGNLNFVFIVVGSTGSFVIKQALPYIRCIGESWPMTKERAYFEALALREHGGLSPDHVPEVYHFDRTMSLIGMRYLEPPHIILRKGLIAGIEYPLLADHMSDYIARTLFFTSLLYRNTTDHKRAVAEFCGNVELCRLTEQVVFSDPYKISQYNHWTSPYLDSDAEAIREDNALKLEVAELKSLFCERAQALIHGDLHTGSVMVTHDSTQVIDPEFAYYGPMGFDIGAFLGNLILAFFAQDGHADEQNDRKAYKDWILRTIENTWNLFDRKFVALWDTNNDSGDAYLPAIYNNPGLLQLVQKKYIKDLLHDSLGFGAAKMIRRIVGVAHVEDFESIADASKRAGCERRALEVAKLLLKERRKFQGIAEVISAIQQVQ >ONH89617 pep chromosome:Prunus_persica_NCBIv2:G8:367906:370264:-1 gene:PRUPE_8G004600 transcript:ONH89617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLNKPYSLFSSLWSSSSYYSVLILVFVVPFFVISVLVCTSGSSSWTWRFGNKILETDYSSSRAVSTRSRPSQVLEASEAHHINSSSSSKPNETTTHLLPHQIGEKQEMVWNDQGLGADEVNVTSASAVTIKRYSRLEKLEANLAGVRASIREAARVRNLTSTHEDPDYVPKGPIYRNANAFHRSYLEMERLFKIYVYEEGDPPIFHNGPCKSIYSTEGRFIHEMEMDNNIYKTTDPDEALVYFLPFSVVMLVQYLYAADSHNTDSIGRAVIDYVNVISDKHPFWNRSLGADHFMLSCHDWGPRTSSYVPHLYHKSIRVLCNANTSEGFNPSKDASFPEIHLRTGEIKGLVGGLSPSRRSILAFFAGRLHGHIRYLLLNEWKEKDQDVQVYDQLPHGVSYELMLKKSRFCLCPSGYEVASPRVVEAIYAECIPVLISDSYVPPFSDVLDWKSFSVQIQVEDIPNIKTILMGISQSQYLRMHRRVKQVQRHFVVNGPSKRFDVFNMIVHSIWLRRLNIRIEDQVE >ONH90868 pep chromosome:Prunus_persica_NCBIv2:G8:11277974:11278668:-1 gene:PRUPE_8G079000 transcript:ONH90868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLRGILHVKKNLLQSLPTSNQVHSKALDVPKGYFAVYVGESQKKRFVIPVSYLNHPSFQDLLSQAEEEFGYDHPMGGITIPCNEDTFLDLTSQFGL >ONH92062 pep chromosome:Prunus_persica_NCBIv2:G8:16495344:16498199:1 gene:PRUPE_8G151600 transcript:ONH92062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETLFLAYQNLGDYLSLSSWFPCFTLKKLLLQLRGNSDKKRKQYENQTSSSFEYSSSSGWQRVHEDIMEMIVQRLSLRDRIRTGLACKSWNSVCMRGHIRGAQEAPWLVLPQDPNCKCLSVTNLAYGKVHKLRLPKPIRGSRFYGSSRGWLIMIQEKILNSKMFLFNPVSGAFCQLPPLATIPSFKEYVETEWDLCGTSFFFHDIVLSTSDINNSECMVAAIFDNLKVLVLCRPGDKTWSGFQVLDENELHCQLLFSSTGKLYSLFLSYIKSELIQPCTIKFGDAEVEFILVCDNEEQIGIDVEYHNDYKISLNGEYNSFLFESTNNEVLVIHQILDVFKIRRSVDDEDDQELELELEGGNHHQGDDEEEEHNMQEENDDELEEGNTDDDHEYFRTSGFKVYKIDPETGDFLQEQSLGDQTLFLSLHGSFSLRASNFIELDRNNIYFATIGLDRFNVRKSFTTREIGIFCLDNERVERSFPSVQESMGSRMSWFTPSLG >ONH90353 pep chromosome:Prunus_persica_NCBIv2:G8:5340996:5342069:-1 gene:PRUPE_8G048900 transcript:ONH90353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQHTPISLQSQNPSSPPQLSSTLTPRTSTLSFSFSSTFTPIPLKLSSSAAAVVTLRRNGGGALGARMNSSAAASYATALADVAKSNNTLETTAGDVEKIEKFFGEPSVFDFFINPTIDLDKKRKVLDEIANSSTLQPHTVNFLNILVDAKRIDLIKDIVKEFEVVYNKITDTELAIVTSVVKLESQHLAQIAKQVQKLTGAKNVRIKTEIDPSLVAGFTVRYGNSGSKLIDLSVKKQLEEIAAELDLGDIKLNL >ONH91396 pep chromosome:Prunus_persica_NCBIv2:G8:14073667:14075539:-1 gene:PRUPE_8G111600 transcript:ONH91396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVEVWMSEFAKLKDKVGAKKRMVFSSKGKQGEGDDEVEEEQVLKEARKESSRMAQIQRDLDSSTLSEATVCLLMDRFVPW >ONH93814 pep chromosome:Prunus_persica_NCBIv2:G8:21745344:21747698:1 gene:PRUPE_8G254400 transcript:ONH93814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAQEVSETMSDKSSENTQETRDEMLSRHRKEISKLQDKEIEMKKAAAKGSKAEQKAKKKQVEEEISRLSAKLKEKHAQELASLGYSTSNGTQKAKLDNLVKAIAGVSVTSQPDQAKPSKSSKRREKRAQQDAAREQRIQEEQSNLVSDRMIENEKLEKKLEPLGLTINEIKPDGHCLYRAIQDQLAHLSGGSSPHTYQELREMVAAYMRKHASDFLPFFLSENPVDGDSEDSLAERFENYCKEVESTAAWGGQLELGALTHCLKKHIMIYSGSFPDVEMGKEYKSDSSSTDSSDSSIMLSYHKHAFGLGEHYNSVVRI >ONH93815 pep chromosome:Prunus_persica_NCBIv2:G8:21745469:21747565:1 gene:PRUPE_8G254400 transcript:ONH93815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAQEVSETMSDKSSENTQETRDEMLSRHRKEISKLQDKEIEMKKAAAKGSKAEQKAKKKQVEEEISRLSAKLKEKHAQELASLGYSTSNGTQKAKLDNLVKAIAGVSVTSQPDQAKPSKSSKRREKRAQQDAAREQRIQEEQSNLVSDRMIENEKLEKKLEPLGLTINEIKPDGHCLYRAIQDQLAHLSGENPVDGDSEDSLAERFENYCKEVESTAAWGGQLELGALTHCLKKHIMIYSGSFPDVEMGKEYKSDSSSTDSSDSSIMLSYHKHAFGLGEHYNSVVRI >ONH93813 pep chromosome:Prunus_persica_NCBIv2:G8:21745470:21747565:1 gene:PRUPE_8G254400 transcript:ONH93813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLMDIILLLFKVAFRIAQMEDAQEVSETMSDKSSENTQETRDEMLSRHRKEISKLQDKEIEMKKAAAKGSKAEQKAKKKQVEEEISRLSAKLKEKHAQELASLGYSTSNGTQKAKLDNLVKAIAGVSVTSQPDQAKPSKSSKRREKRAQQDAAREQRIQEEQSNLVSDRMIENEKLEKKLEPLGLTINEIKPDGHCLYRAIQDQLAHLSGGSSPHTYQELREMVAAYMRKHASDFLPFFLSENPVDGDSEDSLAERFENYCKEVESTAAWGGQLELGALTHCLKKHIMIYSGSFPDVEMGKEYKSDSSSTDSSDSSIMLSYHKHAFGLGEHYNSVVRI >ONH93829 pep chromosome:Prunus_persica_NCBIv2:G8:21797748:21807879:1 gene:PRUPE_8G255400 transcript:ONH93829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVGSRDARKELGLGFGGGREMEDTELEEGEACSSHINEYDPNIDPDVALSYIDDRIQDVLGQFQKDFEGGVSAENLGAKFGGYGSFLPSYQRSPVWSHSRTPPKVHNYSLPKSPYNVKLEGGQRNNVVCYTTPQSVGLGPASTGSTSLVAPKAPSANDPVKQEGSMSLDQADQYAPRHESANKKAISLSDQKTLKVRIKVGSDNLSTRKNAIYSGLGLDGTPSSSLDDSPSDSEGISHEPQDALFESPTSILQIMTSFPVDEGMMSPLPDDLIYLTEKEKLLKEGRSVTLPRDSWEMSGSQANGTHTMEGGGKLSGQRKTKSVERNDFSAESKNGNNKDGIGLLSKKEHDADAFACEELVSKTLQLPLLSNSFSTVNDVIKSKELDKKYLFKDGQVEDESMDPMSNQEDAWVEKRKSILAGKVQEDRKVSSSDDVLVHPKKEGPCRREKTYESVKGDLNVSKGRKALNTEVMDHSKQKVNQRATSHEVDDTRLVSGKEYPLPAEKKKSKEGHRTLVAELPKESSRVGSSSGPKMKSTHVNNSNTDPENFKLCKDLDQIRDTDRGLFGDFDDGNQVELFEFPSEDKLKDSDTVAKSTSAVNSGSRERPSGKKIDKPLTSASNIAPRFGNGPIFAAAPAAGAPALIEDNWVCCDKCQKWRLLPHGTNPDNLPEKWLCSMLNWLPGMNRCSVSEEETTEKMKALIAQCQVPAPESQNNVPRNPGGFMEGEALPKSRNPDQNLESFGLHAMPSGKKKNGPKELSNASNRDGSVQLPNSMKKNIQASVKSRSLNDVNQSPLLSEPDLQQLSKSSDMAVEKRKHKYKEKHKVLEPSTNGETGDIMNLKIKSRRDSDPDSSRASKKIKTEVKRITDEEWASDYSVAVGEVGPSSSSGFRTAAAGKDQIKNRPQAITKAKDEVLDNRSLDTGTCDSKGRSKKRKVKEFPDTQIHMDSIPATGSYVQDRSVVAKEEFSENDYRKEKKARASRSDGKESSASKGSGRTDKKNSHTKNQQLRKDISSGLTHRSRNGTDSSKRDLGSVQVPVAATSSSSKVSGSQKTKSSFQEVKGSPVESVSSSPMRILNPDKLTSVHRDLMGKDEAQDAGHFAIGSPRRCSDGEDDGGSDRSGTARRDKFSTVANHGSLDSSVLDFQDRDSNHISGGKARGLVVPSPDITNGLSVNGNSGQDTRFPSKPLASNGGEDRDNGNHYHGNGSRPRKSGKDFSSSRSKDKNGGSFESDLDMGEGKNSNVFNELQDHSPSHGIKPRDGKNKLQEKFGIKSGETENKNVGKKDFTGKPSNESSKRESQSNLGGNDGPDVRLDAKKDAISTLKQHSLQDCDSERPSRRIPSEKTDRVDTGSIRGKSLPLPPSGGAQNEMTTRCPRPASGSHKSNGADSIQVDASEGNNAVKVQVQTRKADNQNGTQHISSRHLTQNGHRARDLDAHSPVRRDSSSQAVTNAVKEAKDLKHLADRLKNSGSSESTGFYFQAAVKFLHAASQLELTNSEGTKHNESVQMYSSTAKLWEFCAHEYERAKDMAAAALAYKCVEVAYMKVIYISHASASRDRLELQTALQMVPPGESPSSSASDVDNLNNPSTVDKVTLPKGVSSPQVAGNHVIAARNRPNFLRMLNFAQDVNFAMEASRKSRNAFAAANTNVGDAKRLEGISSIKRALDFNFHDVEGLLRLVRLAMDAISR >ONH91270 pep chromosome:Prunus_persica_NCBIv2:G8:13391807:13398700:1 gene:PRUPE_8G102600 transcript:ONH91270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSVLTFLTLTLPFLTHSFSPDHPTDRRILVLLDDLALKSSHSLFFKSLQSRGFELDFKLADDPKISLQRYGLYSYDALILFSPSVDRFGGSIDLAAILDFVDSGHDLIIAADTNASDLIRQIAVECGVDFDEDPAAVVIDHTSYAVSDTEGDHTVIASDDFIKSDVILGSKKIEAPVLFQGIGHTVNSENSLVLKVLSASPSAYSANPKSKLSNPPSLTGSAISLVSVVQARNNARVLISGSLSLFSNRFFRSKVQKAGSPKKHEKSANEQFLTELSKWVFHERGHLKAVNVRHHKVGEADEPAIYRINDDLEYSVEIYEWHGKSWEPYVADDVQVQFYMMSPYVLKTLSTDQKGSYFTAFKVPDVYGVFQFKVEYQRLGYTSLSLSKQIPVRPFRHNEYERFIPAAYPYYGAAFSMMAGFFIFTVVHLYSK >ONH91673 pep chromosome:Prunus_persica_NCBIv2:G8:15258783:15262109:1 gene:PRUPE_8G129100 transcript:ONH91673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAADYSDEPLTPAGRLFVQPQMNQIIHCAVGFKNPINIDSIKSHLKSSLLLSHPRFSSLMVRDSQGLEHWRKIPHLDLDRHVIVVPNPITTASHFDHDTAVNDYLADLSTSSGLSAEKPMWEVHLLMAHNCAIFRIHHALGDGVSLMSLFLASCRGAEDEEKMPTLASGKRNRVNGEKGWWALLIGFVGMLWFSLIFVVEFVLRCLWVCDRKTEISGGDGVELWPRKLATARFRLQDMKLVKKSVPNATINDVLFGVISSGLSRYLDHRTTNALPEGLQITGIAMVNLREQPGLQELSDLMKSNSGSSWGNKFGMLLLPIYYHKRSGTDPLAYLKIAKVMIDRKKRSLEAHFSYKIGYFVMTYLGAKVAAWLNYRIVCNTSFTLSNILGPQEEIILGGNPITYLRVNSSSLPHALTMHMISYAERADMQILVAKDIIPDPAFLAKCLEEALLDMKEAAAAINRT >ONH91754 pep chromosome:Prunus_persica_NCBIv2:G8:15572574:15573360:1 gene:PRUPE_8G135200 transcript:ONH91754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREPVRISSVQLFELILTFWSTRFLESGLIQLIDSSFQCPPLFATVYIEILSQSLGDYGLGPDPI >ONH93973 pep chromosome:Prunus_persica_NCBIv2:G8:22179197:22184773:-1 gene:PRUPE_8G264300 transcript:ONH93973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPKLTRALSVRERVEDTLDAHRNELVALLSRYVDQGKSILQPHDLLDELEKVISGDEAKQKLKDGPFSEVLKSTQEAIVLPPYVAIAVRPRPGVWEYVRVNVYELSVEELTVSEYLCFKEELVDGKSNNKFVLELDFEPFNASFPRPTRSSSIGNGVQFLNRHLSSVMFRNKDSLEPLLDFLRAHKYKGHALMLNDRIQSIPKLQSVLGKAEDYLSKLPPETPSSEFEYVLQGMGFERGWGDNAVHVLEMMHLLLDILQAPDPSILETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMLHRIQRQGLDIAPRILIVTRLIPDSKGTTCNQRLERVSGTEHTHILRVPFRSEKGVLRKWISRFDVWPYLETFAEDAASELTAELQGYPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFEDKYHFSSQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHSSFTLPGQYRVVHGIDVFDPKFNIVSPGADMAIYFPYSEKTKRLTSLHGSIEELLYDPVQNDVHIGTLDDRSKPLLFSMARLDRVKNISGLVECYAKNPKLRDLVNLVVVAGYIDVKKSKDREEIEEIEKMHGLLKEYKLNGQFRWISAQNNRARNGELYRYIADTRGAFVQPALYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGKSGFHIDPYHPEQAGALMADFFERCKEDPSYWSTFSDAGLKRIYERYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRDLASSVPSASDE >ONH89825 pep chromosome:Prunus_persica_NCBIv2:G8:1719311:1720857:1 gene:PRUPE_8G018500 transcript:ONH89825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTVIKVTINCQLCKTDVLKAVTKLPGIDIVAVDGQKGTLTVVGDVDPVLVVKRLRKIGKTAQIISVGPPKPPEPKPSILLLPPCCNQCELVGFRYAPYDGGLCNIL >ONH94077 pep chromosome:Prunus_persica_NCBIv2:G8:22384094:22385822:-1 gene:PRUPE_8G268800 transcript:ONH94077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLRKTVAAAVAPRSRSRSVWVLGNQAQSLRPGHASAMASRPADPALHAGDYEADPVVTTGDPQATENVAEEKPAADEQQRQVDKPPSSWGKGKGTGTEPVSPPNKPSYPNASFPRLEKTEVTLQPDPSDRPINYTQKRRASQYATAATGLSLENFDLEKVCCVGLDGTPWPRDKENEEHKTDRDREDEREYYKHHKASPLSEIEFADTRKPITRVMYGTAAVSEYGAGRDVIRWRPEQLDTAEEALLRAARIWKENAMRGDPDAPHSRVLRALRGESF >ONH92476 pep chromosome:Prunus_persica_NCBIv2:G8:17942123:17946340:1 gene:PRUPE_8G178000 transcript:ONH92476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERQIVMEVGEKGNVGASTNGEDDSDGVVGELMGVIETVGSYSGFRRTHRKECLNLVRRLKLLVPLMEEMRELDILILSTTKALNSLASLKEALVSAKKLLRNCSCESKIYLTLESEAVMGRFHAVYDKLSQALDDLPYNELGISIEVTEQVELMRTQLRRAQRRADTQDMELAMDMMVAFSQKKEGRNADSAILERLANKLELHTVADLKEETVAVRKLAKRGSLRQNAESIQQITDLLGKFKEIAGIYEDFFLDGPVSTRSPRKCQSLLIPHEFLCPITLEIMTDPVIVATGQTYERVSIKKWLDSNHRTCPKTGQTLDHLSLAPNFALKNLILQWCEKNNFELPKKEPCAVSDDSSAEIIEEVSCLVQNLSSCNLEVLKEAIFKIRMLSKENPENRILIANSGGIPQLVKLLSYPDSKIQEHTVTALLNLSIDEANKRLIAREGSIPGIVEILQHGTDEARENSAAALFSLSMLDENKVLVGTLNGIPPLVDLLQNGTVRGKKDAATALFNLSLNQANKSRAINAGLIPPLLHLLEDKSLGMTDEALSILLLLASHPEGRNEMGRLSFIETLVEIIKNGTPKNKECATSVLLVLGLNNSSFTLAALQYGVYEHLLELARCGTNRGKRKANSLLQHMTACYFARVCQTCGTGIFMLLQYHKKRKSSFETTEEVKIGISQSRDVGILGSERNKSGLYVDS >ONH92477 pep chromosome:Prunus_persica_NCBIv2:G8:17941791:17945127:1 gene:PRUPE_8G178000 transcript:ONH92477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERQIVMEVGEKGNVGASTNGEDDSDGVVGELMGVIETVGSYSGFRRTHRKECLNLVRRLKLLVPLMEEMRELDILILSTTKALNSLASLKEALVSAKKLLRNCSCESKIYLTLESEAVMGRFHAVYDKLSQALDDLPYNELGISIEVTEQVELMRTQLRRAQRRADTQDMELAMDMMVAFSQKKEGRNADSAILERLANKLELHTVADLKEETVAVRKLAKRGSLRQNAESIQQITDLLGKFKEIAGIYEDFFLDGPVSTRSPRKCQSLLIPHEFLCPITLEIMTDPVIVATGQTYERVSIKKWLDSNHRTCPKTGQTLDHLSLAPNFALKNLILQWCEKNNFELPKKEPCAVSDDSSAEIIEEVSCLVQNLSSCNLEVLKEAIFKIRMLSKENPENRILIANSGGIPQLVKLLSYPDSKIQEHTVTALLNLSIDEANKRLIAREGSIPGIVEILQHGTDEARENSAAALFSLSMLDENKVLVGTLNGIPPLVDLLQNGTVRGKKDAATALFNLSLNQANKSRAINAGLIPPLLHLLEDKSLGMTDEALSILLLLASHPEGRNEMGRLSFIETLVEIIKNGTPKNKECATSVLLVLGLNNSSFTLAALQYGVYEHLLELARCGTNRGKRKANSLLQHMSKCEHIP >ONH92234 pep chromosome:Prunus_persica_NCBIv2:G8:17140986:17146627:-1 gene:PRUPE_8G164600 transcript:ONH92234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSTIFGFCGFGLGISIGLVAGYFLFIYVQSTDVQNPEIRPLVDQDTETLQRMLPEIPLWVKNPDYDRLDWLNKFLEYMWPYLDKAICKTAKEIAKPIIAEEIPKYKIESVEFETLTLGSLPPTFQGMKVYVTDEKELIMEPSIKWAGNPNVLVAVKAFGLKATVQVVDLQVFAAPRITLKPLVPSFPCFAQINVSLMDKPYVDFGLKLIGADLMSIPGLYRFVQELIKDQVANMYLWPKTLEVPIMDPAKAFNRPVGILHVKVLRAMKLKKKDLLGASDPYVKLKLTENNLPSHKTSVKHKNLNPEWNEEFNIVVKDPQSQALELLVYDWEKVGKHEKMGMNVVPLKDLPKDEPKVLTVDLLKNMDLNDAQNEKQRGQLEVELTYKPFKEEDMQKGFEETLTVQKAPEGTPAGGGLLVIVVHEGQDLEGKHHCNPSARLIFRGEEKRTKPLKKSRDPRWAEDFQFMCEEPPINDKLHVEVVSTSSRMGLLHPKESLGYVQISLSDVVSNKRINQKYHLIDSKNGQIQIELQWRTAE >ONH92236 pep chromosome:Prunus_persica_NCBIv2:G8:17140986:17143625:-1 gene:PRUPE_8G164600 transcript:ONH92236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPGLYRFVQELIKDQVANMYLWPKTLEVPIMDPAKAFNRPVGILHVKVLRAMKLKKKDLLGASDPYVKLKLTENNLPSHKTSVKHKNLNPEWNEEFNIVVKDPQSQALELLVYDWEKVGKHEKMGMNVVPLKDLPKDEPKVLTVDLLKNMDLNDAQNEKQRGQLEVELTYKPFKEEDMQKGFEETLTVQKAPEGTPAGGGLLVIVVHEGQDLEGKHHCNPSARLIFRGEEKRTKPLKKSRDPRWAEDFQFMCEEPPINDKLHVEVVSTSSRMGLLHPKESLGYVQISLSDVVSNKRINQKYHLIDSKNGQIQIELQWRTAE >ONH92235 pep chromosome:Prunus_persica_NCBIv2:G8:17141226:17145023:-1 gene:PRUPE_8G164600 transcript:ONH92235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPYLDKAICKTAKEIAKPIIAEEIPKYKIESVEFETLTLGSLPPTFQGMKVYVTDEKELIMEPSIKWAGNPNVLVAVKAFGLKATVQVVDLQVFAAPRITLKPLVPSFPCFAQINVSLMDKPYVDFGLKLIGADLMSIPGLYRFVQELIKDQVANMYLWPKTLEVPIMDPAKAFNRPVGILHVKVLRAMKLKKKDLLGASDPYVKLKLTENNLPSHKTSVKHKNLNPEWNEEFNIVVKDPQSQALELLVYDWEKVGKHEKMGMNVVPLKDLPKDEPKVLTVDLLKNMDLNDAQNEKQRGQLEVELTYKPFKEEDMQKGFEETLTVQKAPEGTPAGGGLLVIVVHEGQDLEGKHHCNPSARLIFRGEEKRTKPLKKSRDPRWAEDFQFMCEEPPINDKLHVEVVSTSSRMGLLHPKESLGYVQISLSDVVSNKRINQKYHLIDSKNGQIQIELQWRTAE >ONH92145 pep chromosome:Prunus_persica_NCBIv2:G8:16803867:16804613:1 gene:PRUPE_8G158200 transcript:ONH92145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMIRLPSSMVHGVKHILKLQPKSHEVPKGHVAVYVGEMGKKRYVVPVSYLNHPSFKVLLKRAEEEFGFNHPMGGLTIPCKEETFISLTSQLGARQRR >ONH90781 pep chromosome:Prunus_persica_NCBIv2:G8:10758776:10760705:-1 gene:PRUPE_8G073900 transcript:ONH90781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEEKLVYQNFDMINEKALYQSKFHPINPRVQQQPYESGCPQLIHLLTTPQLLRYFLLSPRHEPKKSLFLCIKICNAWFRSFWFLPDSSSS >ONH93558 pep chromosome:Prunus_persica_NCBIv2:G8:20991186:20993923:1 gene:PRUPE_8G238300 transcript:ONH93558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFLTFWGLRIIWLEQVMLRNRSRAVTSKQALMADHTSQSSPTQKNYTKAPSFFGSPRFRAFTIKGHSETDSLISPTSILDSKPFPFATPFSYDQNQPKTPKVVSANKSSWHQPEPKGIGLALLETLNDEKLEDNASKPNSGKVLFGTKLRVQIPLFPASALSPTQSPKSPADFKIKIRNSQSSAIGSAISGIQTEHSNSAQAPTGCASVSEMELSEDYTCVISRGPNPRTTHIFDNCIVESFYSLSDQSSSASVSFLSFCYTCKKNLEQKNDIYIYRGEKAFCSRECRYQEMLLDEVGNSEFDDAIRTCS >ONH89818 pep chromosome:Prunus_persica_NCBIv2:G8:1671994:1675119:-1 gene:PRUPE_8G018100 transcript:ONH89818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSAVGQVIRCRAAVAWEAGKPLVIEEVEVAPPQTNEVRLKILYTSLCHTDVYFWEAKGQKPLFPRIYGHEAGGIVESVGEGVTDLKAGDHVLPVFTGECKDCAHCKSEESNMCDLLRINTDRGVMLSDGKSRFSIKGKPIYHFVGTSTFSEYTVVHVGCLAKINPLAPLDKVCVLSCGISTGLGATLNVAKPKKGSTVAVFGLGAVGLAAAEGARISGASRIIGVDLNSSRFEEAKKFGVTEFVNPKDHKKPVQEVIAELTNGGVDRSVECTGNVEAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPVNFLNERTLKGTFFGNYKPRTDIPSVVEKYMNNELELEKFITHKVPFSEINKAFDYMLKGEGLRCIIHMEE >ONH94079 pep chromosome:Prunus_persica_NCBIv2:G8:22388021:22390078:-1 gene:PRUPE_8G269000 transcript:ONH94079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQLLCSSTDESTTDENDEEYWPRSVAANNNCWWRSWGAKFDVKMMKVDPRMRVVRELERLALVATHSHHEGLNELRHKLHMYRSGDFWMPIGGITKENMDIPPLATILLVGFSASGKTSLVNLMYSVLGRSGLVPFAQTSPPETGSYSSNHSSKSRSSMVLKEHNVLRSLRSGFCVFDSRGFEYDQTGEGVEEQVSNWMSDGVRHNQVCLRPGDDAALMEHEEYSASKCVRRRVNCAMVVANMAEIYKALQAGDSKPMEATRQLFCSVNAFTNCNDDERPILILTHGDMLSTEERIEGRLKICESVGVSETSGVYDIVCLTEYGFLAEESDPVSAYAVTEAVYRALLISDRTHSPKKSLEDWALLVLSWIMCFLGSLFALLAQICLKLGRHSKDIY >ONH90151 pep chromosome:Prunus_persica_NCBIv2:G8:3487605:3488273:1 gene:PRUPE_8G037500 transcript:ONH90151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPNPRLTNKKPYPHLWARAWNHGSPDWHNHRQTHEHRHGESHLSRSSLAPLFVVNYRVPKLCGLCGSVQR >ONH91201 pep chromosome:Prunus_persica_NCBIv2:G8:12997358:13002028:-1 gene:PRUPE_8G098300 transcript:ONH91201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPCFPVPPLRILPCCMPFCSALGVINRLTFQRSGGVSPAQTHDYSGRKLLKMGSSDDQNSPSDTPPSDSSSSSPTPPSSSSSSSSSSSSSPSPPSSDSGSGSSSGSSGGSGSGGGSGSSGGSGSSGGSSSSGGSPPSSSSPPPKDSSPPPSDSSSPKNSNDGSSSSGNSNSSSPPSNNSSPPSNNSSPPSDKSPGGNSSNNSNNSGGSSSSSNNSNNSNNNSDNSNNSNNSSPPSNNNSNNSPPSNNNNSDNSNNNNSDNSNNNSNNSNNNSNDSNNQNQKSPPQSNSQKSPPSSSSNNNNNGGNQNNNNNNGGNQNNNNNGNGNNNQNDQNDQNNQNNNNNQNNQNNHNNNNNNSRSPPKSSNGNNWSPKSSNNWSPPAVSGKSHPSPDNDGSPPPSHSSSSSTPIIIGVVAAAGVLLLVMVFFFMACSKRRKKRQTSSHLMENPEPYNPNGSDYWQNTGPKSTEHVMNMPPSGRAPNGGWTTSTPPPPHMMSSEMSSSNFSGPHGPPLPPPHPNVALGFNKSTFTYDEMAAATSGFAPAKLIGQGGFGYVHKGVLPNGKEIAVKSLKAGSGQGDREFAAEVEIISRVHHRHLVSLVGYCLEGERKLLVYEFVPNNNLEFHLHGPNRPPLEWGHRAKIALGSAKGLAYLHEDCHPKIIHRDIKAANILIDFSFEAKVADFGLAKLNQENVTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGIMLLELICGRRPVDLSGEYEEDTLVDWARPRCLKAIDTGDYSEVLDPRLEKNYNHEEVARMVACAAASVRHSAKKRPKMSQIVRALEGDVSMEDLHEGIKGQFGSGSTSIASSEFDADSYNAKMKPFRKPALIDSTDYPSSEYGPTSEYGHNSTSASSDHSQEMDNKKGMNRPQGPV >ONH90255 pep chromosome:Prunus_persica_NCBIv2:G8:4443419:4446678:1 gene:PRUPE_8G042700 transcript:ONH90255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRLVWPRDRNGCYSVKSGYHWLHSVNVVRRLHHPSSSTTVDTDCWKMMWKTNAPPQKKIKTFLWRALRNAIAAFVKLFIRKCSQTPLYPLCNCYPETVKHMLLLCSWVETIRFGSPLSLRVDKCSISTLDVWLCNTFFTIGTEQNDGDGIKTTICFLCWHIWKERCKAVIEQCSPSSRDTIQRASNVANEFLSSRERYQANHNPRPKNLAHNTAWSAPLSPLVKLNVDAAWDPISKSVGIGMVVRDHNGQFLEGKSLLAQADSTLLAECLACLERCRFASNRGDQLVSFEFDCLEAVKSINGDISRGKWEIYPILSNIRDFLLAFRSCSWTWIRRIANEAADHLAQLAKSRMCNEVWAYRPPSSLTFILNKDGLPCPPNKCLV >ONH92292 pep chromosome:Prunus_persica_NCBIv2:G8:17283696:17285819:1 gene:PRUPE_8G167000 transcript:ONH92292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILSAGRFKELLKKYGKVALGVHFSVSAASITGLYVAINNNLDVELLLDKLHMGSVSSKDESTQNPPEISDGSVFRDRPTSEIGPSTVVIEEKKRNRTAELAASTGGALALAVLCNKALFPLRVPITLALTPPLSRFLARRRFIKTGGL >ONH93820 pep chromosome:Prunus_persica_NCBIv2:G8:21762006:21765430:-1 gene:PRUPE_8G254800 transcript:ONH93820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLYFCKASEFGQFGSISGAKPISDVSSDLTVEIGASSFALHKFPLVSRSGRIRKLLLEAKDSKVSRIILSSVPGGPEAFELAAKFCYGINVEITQSNVAMLRSVSHFLEMTEEYAEKNLETRTEAYLKEMVLPNISKSISVLHRCETLLPTAEETNLVSRLINAIANNACKEQLTSGLLKLDHNFPTKAAPIMEPETPSDWWGKALTVLSLDFFQRVLSAVKTKGLKQDMISKILINYAHNSLQGLVVRDAQLVKGSLLDLELQKKQRVIVEAIVSLLPTQSRKSPVPMAFLSSLLKTAIAASASTSCRSDLERRIGLQLDQAILEDILIPANSHGNNHSTIYDTDAILRIFSIFLNLDEDDEEDNHLRDESEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLMPSRFIALAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGAINGTQFPQRSSSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKSHPANKLFKSFTKKLGKLNALFKINSIKPLGGKASSESRFPFQKRRRHSVS >ONH93819 pep chromosome:Prunus_persica_NCBIv2:G8:21762055:21766088:-1 gene:PRUPE_8G254800 transcript:ONH93819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTIGELKPSISGKRSFRPSSSIRHATEWPISDVSSDLTVEIGASSFALHKFPLVSRSGRIRKLLLEAKDSKVSRIILSSVPGGPEAFELAAKFCYGINVEITQSNVAMLRSVSHFLEMTEEYAEKNLETRTEAYLKEMVLPNISKSISVLHRCETLLPTAEETNLVSRLINAIANNACKEQLTSGLLKLDHNFPTKAAPIMEPETPSDWWGKALTVLSLDFFQRVLSAVKTKGLKQDMISKILINYAHNSLQGLVVRDAQLVKGSLLDLELQKKQRVIVEAIVSLLPTQSRKSPVPMAFLSSLLKTAIAASASTSCRSDLERRIGLQLDQAILEDILIPANSHGNNHSTIYDTDAILRIFSIFLNLDEDDEEDNHLRDESEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLMPSRFIALAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGAINGTQFPQRSSSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKSHPANKLFKSFTKKLGKLNALFKINSIKPLGGKASSESRFPFQKRRRHSVS >ONH93821 pep chromosome:Prunus_persica_NCBIv2:G8:21762246:21764567:-1 gene:PRUPE_8G254800 transcript:ONH93821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYRRSSCKVSDMLVPISMPISDVSSDLTVEIGASSFALHKFPLVSRSGRIRKLLLEAKDSKVSRIILSSVPGGPEAFELAAKFCYGINVEITQSNVAMLRSVSHFLEMTEEYAEKNLETRTEAYLKEMVLPNISKSISVLHRCETLLPTAEETNLVSRLINAIANNACKEQLTSGLLKLDHNFPTKAAPIMEPETPSDWWGKALTVLSLDFFQRVLSAVKTKGLKQDMISKILINYAHNSLQGLVVRDAQLVKGSLLDLELQKKQRVIVEAIVSLLPTQSRKSPVPMAFLSSLLKTAIAASASTSCRSDLERRIGLQLDQAILEDILIPANSHGNNHSTIYDTDAILRIFSIFLNLDEDDEEDNHLRDESEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLMPSRFIALAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGAINGTQFPQRSSSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKSHPANKLFKSFTKKLGKLNALFKINSIKPLGGKASSESRFPFQKRRRHSVS >ONH92174 pep chromosome:Prunus_persica_NCBIv2:G8:16893138:16894196:1 gene:PRUPE_8G160000 transcript:ONH92174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCHCCRREAPSSYPVLLFLAVVALLLLLSSLISFEIEAPSLKINWGLIAVPLLLLAVVHWLSSMEPPKRPCMMPRPCCKCPYACKCAYF >ONH89867 pep chromosome:Prunus_persica_NCBIv2:G8:1882756:1883086:1 gene:PRUPE_8G020700 transcript:ONH89867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMQAGISLKICRPGLKSKLIATKASAAQFCSRRMCLTEQFQLALIFTLQQLMILNKGWVEIVRELRRSTATLESQI >ONH90888 pep chromosome:Prunus_persica_NCBIv2:G8:11469135:11470199:-1 gene:PRUPE_8G081000 transcript:ONH90888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPAIVNAKRSLIRSLSSSSQTADSKTLDFPKGYFAVYVGESQKKRFVIPISYLNEPLFLDLLSQAEEEFGYDHPMGGITIPCSENTFLDLTSRLSV >ONH93764 pep chromosome:Prunus_persica_NCBIv2:G8:21605397:21607847:1 gene:PRUPE_8G251200 transcript:ONH93764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLVMVTRGGGCGGGNGKGTKGTNKSSEEEQNQISLVALLLAAVRKSMVACRVEQGEEVISTVHNMEIGWPTNVQHVTHVTFDRFNGFLGLPVEFEVEVPGRVPSASASVFGVSAESMQLSFDSKGNSVPIILLLLQERLYSQEGLKAEGIFRINPENSHEELVRSQLNRGIVPDDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEDESVELVKQLKPTETALLNWAINLMADVVEEEEFNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMKTLKEREENDETGGYSPMSSCSSDHQTDEDFDSQQEMDTRSELGGPASDFDENGHSSCSSEDEDEDEDEVRSLSEIEACFLRHLGENKAVTNSLDQLTGELHGESLSPQSCLSTSDGEDSGKRIKSSSSVSCSKMNEMEMVDR >ONH93333 pep chromosome:Prunus_persica_NCBIv2:G8:20305043:20305336:-1 gene:PRUPE_8G226400 transcript:ONH93333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERDAFAWPTLVSSHARVGDTSSARNIATWNTMIDGYARLSNVESAELLFNHTPTEDIISWTTMIDCYSQNKKSGEAIAVFNDMRMNGELVLEFSN >ONH93960 pep chromosome:Prunus_persica_NCBIv2:G8:22133148:22133956:1 gene:PRUPE_8G263000 transcript:ONH93960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETNKNKTRDGDRDRYIEKRKPIILFNFVKFFVKNKDKFGNSSTTLSFFHNPPLSQPNPCRHQKIQLKRCRTTTRIFQIFIINTFRPANRFKISHFTFRPTLLLNHISLP >ONH92241 pep chromosome:Prunus_persica_NCBIv2:G8:17170834:17175244:1 gene:PRUPE_8G164900 transcript:ONH92241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRQDDLDLLLSLQDRVLETPPGSPSHSAGYLSDDEFTGQRGPVDMSVFRDAVEDCLDYEPKPVQKTGKLNRPSASTDPEVEKFSGLRIRNLLRGDTLSGCWATVGVLTEKGNPKTSSTGKSYSIWKFGCLDEDTISVFLFGDAYEMNCKEQAGMVFALLNCTVRKDAMGGGFSLSVYSANQMLKMGTSVDYGVCKGKRKDGMACTVVINKRRGIYCKFHKSKESQKYSTMTMRTELNGGNLRTAFRTPHSREGIFMVDPLSDRTNACKRKQPVKLLSVEGLKKALSNAGKVTTNTHSQGIRFLAEMTGKTDPKHAIKTSKMQSKPISSLEKRKPSSIIMDPSAVIKNQQMDSKRMKTGKEKILIDKTKEGMGKMIELEYISSDEGF >ONH92240 pep chromosome:Prunus_persica_NCBIv2:G8:17170834:17175243:1 gene:PRUPE_8G164900 transcript:ONH92240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRQDDLDLLLSLQDRVLETPPGSPSHSAGYLSDDEFTGQRGPVDMSVFRDAVEDCLDYEPKPVQKTGKLNRPSASTDPEVEKFSGLRIRKQLITPLELNDHFSDIRFVRLYAIKNLLRGDTLSGCWATVGVLTEKGNPKTSSTGKSYSIWKFGCLDEDTISVFLFGDAYEMNCKEQAGMVFALLNCTVRKDAMGGGFSLSVYSANQMLKMGTSVDYGVCKGKRKDGMACTVVINKRRGIYCKFHKSKESQKYSTMTMRTELNGGNLRTAFRTPHSREGIFMVDPLSDRTNACKRKQPVKLLSVEGLKKALSNAGKVTTNTHSQGIRFLAEMTGKTDPKHAIKTSKMQSKPISSLEKRKPSSIIMDPSAVIKNQQMDSKRMKTGKEKILIDKTKEGMGKMIELEYISSDEGF >ONH92239 pep chromosome:Prunus_persica_NCBIv2:G8:17170834:17174477:1 gene:PRUPE_8G164900 transcript:ONH92239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRQDDLDLLLSLQDRVLETPPGSPSHSAGYLSDDEFTGQRGPVDMSVFRDAVEDCLDYEPKPVQKTGKLNRPSASTDPEVEKFSGLRIRKQLITPLELNDHFSDIRFVRLYAIKNLLRGDTLSGCWATVGVLTEKGNPKTSSTGKSYSIWKFGCLDEDTISVFLFGDAYEMNCKEQAGMVFALLNCTVRKDAMGGGFSLSVYSANQMLKMGTSVDYGVCKGKRKDGMACTVVINKRRGIYCKFHKSKESQKYSTMTMRTELNGGNLRTAFRTPHSREGIFMVDPLSDRTNACKRKQPVKLLSVEGLKKALSNAGKVTTNTHSQGIRFLAEMTGKTDPKHAIKTSKMQSKPISSLEKRKPSSIIMDPSAVIKNQQMDSKRMKTGKEKILIDKTKEGMGKMIELEYISSDEGF >ONH93311 pep chromosome:Prunus_persica_NCBIv2:G8:20254913:20257736:1 gene:PRUPE_8G225000 transcript:ONH93311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVHFSHLSPPIRAFNPNNHTSSSSFSSSSITLLQRCKFSSVSLTSTSRPSRSITLCATNSTKATSSSSSQFESAAAEGIKEASFQVQIDASQSPWNVEVGKPSVPSPSVAKLSLSDQAFFLLAFVACTTSVAFTSLVIAAVPTLFAMGRAAISLSKLADTAREELPSTMAAIRLSGMEISDLTLELNDLSQEIAEGVSKSTQTVQAAGAGIRQIGTLAQQQTMSMIQERASLPIISLQPAVVGAAKKTTRAVGQATRIFMNIISPKDSEKVNEEDVEIDRVEL >ONH92034 pep chromosome:Prunus_persica_NCBIv2:G8:16387837:16389806:1 gene:PRUPE_8G150500 transcript:ONH92034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRVRSIETKETLRVEVPNLCSLHQLKQTISQLISASPSSLRLSLNRRDELHASSPDDSLHSLGLTSGDLIFYTLDPSSQTLAPPPHSISHSSVQSHNQILTTGVPQGSNFQNPETLIGEGSAAGDSIAEEQRSLVSNSETLVASGAERMEIDDGSDGIGLKKYSVPFFLKRVLREELGEDRSNHKLLVIAVHAAVLESGFVGFDSVSGMGANRFHLADEWPRTAITMSLSYTLPEILKNRGNNGNGVEGVMLKFQSLGRFVNVYGSLASGGAGPYRVCLDEHRFAPIIESVWENKNVNERDGLVSEREVFEFWKIVKDGITLPLLIDLCAKAGLPAPPSLMRLPPELKMKILEPLSGVDIAKVGGVCKELRNLANNDELWKKKYAEEFGSGTGGEGTMINWKHKFARNWEIAEQQRKAVGYWRSYERPYFNRIRRDPNPLFVPPVPGIIGGDYDRFPVFGALNPTGQSHPILQPPRRFPARRNFSPNCNLEGFLG >ONH90159 pep chromosome:Prunus_persica_NCBIv2:G8:3687317:3688063:1 gene:PRUPE_8G038000 transcript:ONH90159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLVLLAGGFVKWLCKVYIGVHEFFILYTIKLLMVILLLEWFLINCLHCFYKILILWSSLVESLWVQWIE >ONH92842 pep chromosome:Prunus_persica_NCBIv2:G8:18934154:18937446:1 gene:PRUPE_8G199400 transcript:ONH92842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLTTYTGADQIHGVAQCHSLGPSKPNPTFPRRKDGISLVPCTSAPSKTPVLAVVSDRGAGSVRSETGPGRVEHSVSLADRLRQGSLAEDGKSYKECFIVRCYEVGINKTATVETIANLLQEVGCNHAQVVGFSTDGFATTTTMRKMHLIWVTARMHIEIYKYPAWSDVVEIETWCQGEGRIGTRRDWIMKDYATGQVIGRATSKWVMMNQDTRRLQKVSDDVREEHLVFAPRELRLAFPEPNNSSLRKIAKLEDPAPYSRLGLVPRRADLDMNQHVNNVAYIGWVLESMPQEIIDGYELQTITLDYRRECQRDDIVDSLTNVEPLEDGPAISGLEGTNGSPAATEDTKDYCQFLHLLRLSGDGSEINRGRTVWREKPAR >ONH89664 pep chromosome:Prunus_persica_NCBIv2:G8:611130:612377:1 gene:PRUPE_8G007800 transcript:ONH89664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSPEDALKAYLHTLHLCKVDKDEDLSLGNHTTTTSIIVEPKCMEFISALAAGKRARLILQITSQGITPMTVSLAVAAKQIGGRLIVWINSQDMDRVQESSKTLFVENGLDEVVEYVYGTDPCMLVKQLKNIDFAVVDFKLKDHLKLLKIMNFNPNGCIVVGTNVDDPKTKRDFGGPLILDRVFKEKKGFRYVTLPLGEGMELTRFRAVGNGGKCHCQSKRYKRFHVTFEN >ONH90420 pep chromosome:Prunus_persica_NCBIv2:G8:5814548:5818369:1 gene:PRUPE_8G052700 transcript:ONH90420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETAKSPSQKAAAPLPKIILVASIAAGVQFGWALQLSLLTPYIQLLGVPHTWSAFVWLCGPISGMIVQPIVGYHSDRCTSRFGRRRPFIAAGSGLVAVAVFLIGYAADIGHLSGDSLEKSTKPRAVAVFVVGFWILDVANNMLQGPCRALLADISGDDPKRMRTANSLFAFFMAVGNVLGYAAGAYSHLHKMFPFTITKACDVYCANLKSCFFLSITLLLVLTIVALTSVKETTPNDGVVAEGEIEPQSTTAKSVPFFGQMIAAFRELRRPMLVLLLVTCLNWVAWFPFLLFDTDWMGREVYGGQVGKGRLYDLGVRAGALGLMLNAVVLGFMSLAIEPLGRWVGGVKRLWGIVNFLLAICLAMTVLITKLAQSSRHAAIAAGHGGAEPPPPTAGVKAGALTLFAVLGIPQAVTFSIPFALASIFSSTSGAGQGLSLGVLNLAIVIPQMFVSVTSGPWDDLFGGGNLPAFIAGAIAAVASGVLALFMLPSPPADLPSNKNARPIAAGFH >ONH91113 pep chromosome:Prunus_persica_NCBIv2:G8:12676533:12680084:-1 gene:PRUPE_8G094000 transcript:ONH91113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSELSVSLFRLKASCICVNLCLYCIGAGDIWCQYSISFSCLVPSCLIRILCYFFIVIFQRLN >ONH91846 pep chromosome:Prunus_persica_NCBIv2:G8:15823139:15824147:1 gene:PRUPE_8G139300 transcript:ONH91846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGVARRPRPDWNVDHPIEYIQCDLADPHQTHSELSQLTDVTHIFYVIWASKPTEVESCEANGNVFRNLLDAVIPNAPNLQHICLQTGRKHYIGPFQMWGKFEPHEPPFHEDLPRLNVPCFYYTLEDILFLEVKKKEGLTCLMNIVGTFCVYAAICKHEGKKMLTFPGSRGFWNGYWDASDADLIAEHEIWAAVDPYAKNEAFNCSNGDVYKWKHLWRVLAEQFDMEFEDFDEDDDESSVDSMNKSKEHGFVGFRNSRTSFVTWIGKMKSYRLVPSSIRT >ONH90586 pep chromosome:Prunus_persica_NCBIv2:G8:9016125:9022175:1 gene:PRUPE_8G062500 transcript:ONH90586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDGFIINHSTNSSNKLESPKKTKKKKKRGGTKKKMTTEQTLAFKSVSEWVFLEQASSLPSTAASCVVDDFGVQKSLGRGGDKVVFELHSHSKCSDGFLSPSKLVERAHGNGVKVLALTDHDTMSGIPEALEAARRFRIKIIPGVEISTKFYPRGDSEPEEPVHILAYYSSCGPTSFEDLEKFLSNIRDGRYLRAKTMVSKLNMLKLPVKWEHVSRIAGKGVAPGRLHVARALVEAGYVENLKQAFSRYLFDGGPAYSTGSEPLAEEAIQMICDTGGVAVLAHPWSLKNPVTIIKRLKEAGLHGIEVYRSDGKLAVYSDLAETYDLLKLGGSDYHGRGGHGESELGSVNLPVLVLRDFLKVARPIWCRSIRHILENYAEEPSDFNLAKITRFGKGVSPVSCGKDLIDRCLSSWLTNEERQNAVFEAVRLKLSHVSINQGGIQVPIESK >ONH90587 pep chromosome:Prunus_persica_NCBIv2:G8:9016125:9022175:1 gene:PRUPE_8G062500 transcript:ONH90587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDGFIINHSTNSSNKLESPKKTKKKKKRGGTKKKMTTEQTLAFKSVSEWVFLEQASSLPSTAASCVVDDFGVQKSLGRGGDKVVFELHSHSKCSDGFLSPSKLVERAHGNGVKVLALTDHDTMSGIPEALEAARRFRIKIIPGVEISTKFYPRGSEPLAEEAIQMICDTGGVAVLAHPWSLKNPVTIIKRLKEAGLHGIEVYRSDGKLAVYSDLAETYDLLKLGGSDYHGRGGHGESELGSVNLPVLVLRDFLKVARPIWCRSIRHILENYAEEPSDFNLAKITRFGKGVSPVSCGKDLIDRCLSSWLTNEERQNAVFEAVRLKLSHVSINQGGIQVPIESK >ONH90904 pep chromosome:Prunus_persica_NCBIv2:G8:11549339:11549566:1 gene:PRUPE_8G082600 transcript:ONH90904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGSSSFWTAKQNKDFENALAVFDKDTANRWNNVAKAVGEETERKSRSIMSFLLKISCLLSLDKCPPQIIERN >ONH93369 pep chromosome:Prunus_persica_NCBIv2:G8:20461326:20461963:1 gene:PRUPE_8G228400 transcript:ONH93369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLLVLFCQILYIVKLIHILYNLHNLLKNKKQKKSQSLRVFSCANYSLPRKLNQLIVATEIIGMAELGYADGPPLQALLALCLVLYFKGLSDSGHRTGHHR >ONH90388 pep chromosome:Prunus_persica_NCBIv2:G8:5586449:5587049:-1 gene:PRUPE_8G051200 transcript:ONH90388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACLVCHSVESPSHSFRSYSVSSAENEGRCSAIANCLARRPSFPLPTHSNIASSKVTPQPTLPNSGSMSGAPRLVRSRAVRRDIVQDWNFDEVVMGR >ONH89982 pep chromosome:Prunus_persica_NCBIv2:G8:2525552:2527389:-1 gene:PRUPE_8G027800 transcript:ONH89982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMMMRNIAAASFYCSSRRWRVVRFTQILGQVAKLKHYSAVISLYNQMGVSRIGRDVYILTIVINCYCHLNQMGFSLSVLGKFFKLGLEPNVFTFTTLINGFLLENRVAEAAGIFNKMMKAGNCKPNLVTFSTLIRGFCMTGNNSAAIQVLRKMDEGACKPDVVCYSNIIDSLCKDTLVDDALNLFLEMMSKGIAPNVITYTSLIHGVCNLGEWKEATRLLNEMVSKNIFPDVFTFSVLVDTLCKESWKQKASTLINGYCKRKNIDEAMMLLREMTCKGLVPDTVTYSILVDGCCKVGKLGDAQKLSSEMQACGQLPNVQTYSILLDGLCKNRQLSRAMQLFGEMEAKKLDINIVIYNILIEGLCIAGKIESARDLFCGLSSKGLRPNVRTYTIMINGLCIAGLTSEAEKFLIQMEEKGCSPEGCTYNTIIRGFIHNKQTSTAMVLIQTMVERGFSADASTMELIVNLLSKDEVDPALLPLIKKSL >ONH90368 pep chromosome:Prunus_persica_NCBIv2:G8:5442813:5447971:1 gene:PRUPE_8G050000 transcript:ONH90368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSFNFFWLGRWYSLQFALLLELCCLHHPFNKGYIMAISGENVKDCVLVFVFVKLKRKMECQNSITDGMVMMQDRIIVFVLPSQSLLSVLVFLFNFWFNKEW >ONH92694 pep chromosome:Prunus_persica_NCBIv2:G8:18527057:18529122:-1 gene:PRUPE_8G190000 transcript:ONH92694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKSLSLRPKTSVKSRFTKGFLQALKRIQGQRSRSPNVSSPREMCRRYLSVKIAADASMASAVGSRRAWSRALLTKIRSHGAPRVYKYSARRIDNSKKKKKKKKCEDGEEIGVNSEANELRKFVPGGEAMDICSLLDEAAHYIKCLNTQCFQRRRRASKRGRKPPQAFHCLAICTSSARQRRGVGKHCEEPLYGFARSICKRKKKTTRGA >ONH93409 pep chromosome:Prunus_persica_NCBIv2:G8:20553651:20557370:1 gene:PRUPE_8G230300 transcript:ONH93409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDHNNSKPTFTQILVASSIGIIIAAAVHCRLRKLKDQKIIPRLKISDSSRVQHKLERFPHYVARQLGFKDRRECPHLCKLATEYIRKFEGFEDDIYNFFSSEPDADSLFVKLVEEFERCILSYFAFHWSLADLMISQILSCDAEPRKKLKNIVMAATREQRFERVTKNLKVARVFTTLVEEMKAMGLATAVDDSTCTEVMAPVAHADRSPVLLLMGGGMGAGKSTVLKDILKETFWAGAAGNAVVIEADAFKETDVIYRALSSRGHHDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTVTMARNVHRRRYRMGPGYKEGEDGTVIENYWERLDDEEPEQVGGRKRKPYRIELVGVVCDAYLAAIRGIRRAIMCRRAVRVNSQLKSHKRFANAFLTYCQLVDNARLYCTNALEGPPKLIGWKDKDRTLLVDPDEINCLKRVGRLNDTANSIYELYKHPNPACETGSIWKDIVLSPSRLNIQKELKYSIQKAERLKR >ONH93408 pep chromosome:Prunus_persica_NCBIv2:G8:20553651:20557370:1 gene:PRUPE_8G230300 transcript:ONH93408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGKHHGTKLVSLWILASSVAICFHPSCTNLCNLSLLTSQFSSDHNNSKPTFTQILVASSIGIIIAAAVHCRLRKLKDQKIIPRLKISDSSRVQHKLERFPHYVARQLGFKDRRECPHLCKLATEYIRKFEGFEDDIYNFFSSEPDADSLFVKLVEEFERCILSYFAFHWSLADLMISQILSCDAEPRKKLKNIVMAATREQRFERVTKNLKVARVFTTLVEEMKAMGLATAVDDSTCTEVMAPVAHADRSPVLLLMGGGMGAGKSTVLKDILKETFWAGAAGNAVVIEADAFKETDVIYRALSSRGHHDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTVTMARNVHRRRYRMGPGYKEGEDGTVIENYWERLDDEEPEQVGGRKRKPYRIELVGVVCDAYLAAIRGIRRAIMCRRAVRVNSQLKSHKRFANAFLTYCQLVDNARLYCTNALEGPPKLIGWKDKDRTLLVDPDEINCLKRVGRLNDTANSIYELYKHPNPACETGSIWKDIVLSPSRLNIQKELKYSIQKAERLKR >ONH90093 pep chromosome:Prunus_persica_NCBIv2:G8:3208673:3211632:-1 gene:PRUPE_8G034900 transcript:ONH90093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTQRDSAFLPSPHQSAPQPNHDVFLSFRGEDTRLSFVSHLYHELQHKGIKTFKDDPKLERGTAISSGLFNAIEESTLAIVVLSPNYASSSWCLDELTKILQCMKSKSTVLPVFYHVDPSDVRKQTGSFACAFAKHEERFREDRERVKSWRTALTEVANLSGFDSKNECERKLIEKIVEWVWEKAHNRFKLVDSTELVGMNVRFIRKHIDQLLAHPKDDVRFIGIWGMGGIGKTTIAQLVYDSISTHFEVSSFLANVREVSQRGNLVDLQRQLLSPILKDQITQVWDEQRGTSVIKNCLSNKMVLLILDDVSESTQLEKLAGEKDWFGKHDVQVSYKVERLSDDDALELFSRNAFKKNEPDEGFLELSKGFVNYARGLPLALKLLGGLMYKRDEDEWESELDKLRKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGKKKRQVIEILDSCGLCGHIGINALVQKSLLIFDILNNRVEMHDLIQEMALEIVRRECLDEPGRRSRLCNYDDIFHVFINNTATDKIRGIGLRTATVEKTNWNCEAFSKMCNLRVLEFDGMMISSSPRFLPNSLRSIKWSRYPSKFLPSGFQPNLLISLEMTESKLVRLWDGRKDLPNLKKMKLVGSVNLTKTPDFSGVPNLKLLDFGFCENLVEIHPSIADLKCLRRLDLSYCSKLKKIPEFSGQMKNLSSLDLSETSIEKLSSSIGCLAGLTTLFLTDCKNLAGLPSEICNLKSLTELEVDGCPKIDKLPENMGEMECLRTVQLKGTSIRQLPRSIVSLKKLWYLYLGGRRGSQPNKSRFWCGLPLLNGRNAFVLASLDGLFSLKYLDIYE >ONH89819 pep chromosome:Prunus_persica_NCBIv2:G8:1691341:1696761:1 gene:PRUPE_8G018200 transcript:ONH89819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITAEDFYKVTCAIVPLYFAMLVAYASVKWCKIFTPEQCSGINRFVAVFAVPVLSFHFISQNNPYQMDTKFILADTFSKLLVLALLSLWAIFFKAGGLDWLITLFSLATLPNTLVMGIPLLKAMYGDFTQSLMVQVVVLQCIIWYTLLLFLFEYRAATLLIQTQFPGPTAAAITKFEIDDDVISLDGRNPLCTESETDDNGRIRVRIRRSTSSLPGSSALSSSMGLTPRPSNLSNAEIFSINTPHHANHDFTLGHGDVAFGYRSASPQFSTGYASSDAYSLQPTPRASTFNEMDTTTVATTANTPVWGRSPVAGKFSQQPSPAFSGVRMVWESPVKCESGDQIQQGRKEVGEKEISFRDCTKIPMPEEADTKAAATNQEMPSALVMLRLILIMVGRKLSRNPNTYSSVLGLVWSLISFKWDVGMPNLVKYSIKIISDAGLGMAMFSLGLFMALQPRIIACGTKRATMGMGIRFICGPLLMSAASVTTGLRGVKLHAAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLVSLPVTLLYYILLGLY >ONH91537 pep chromosome:Prunus_persica_NCBIv2:G8:14791121:14799264:1 gene:PRUPE_8G122200 transcript:ONH91537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATTLFRSPRRISRFALPSSSSCSFSSASAPRNHRHRPTPDVPTAPSLLRSHIRLLPSSFHPHLTTHFRCLSSRAVPASSDTPPSECSEVKDEVLDKLGFEKVTEEYIGECKSKALLFRHKKTGAQVISMSNDDEEKVFSIIFRNPLMDSTGISQILQRSVYCGSRKYPVKNPFEEVIGGTLGNFSEKFIYSDRTCYVVTSTHTKDFYNLVDMNLDAVFFPKCVEDLQIFRQEGWHFELNDPSGDISYKGAVFNQMKEYYSQPDPISILKKTTRQAIFPETTYGFDCAGDPKVIPQLSFREFKEFHRKYYHPSNARIWFYGDDDPTERLRILSEYLDMFDASSAPNESRIKPQKLFSEPVRIFKKYPSIPFADFKKKNMVCLSWLLCDGPLDMETERTIQVLLHLMSGTIFSPLKRILLENGFVDVFIEVSVELLQPLFSVGVMSVSHDDILKVEELVMSTLKKLAKGGFDSDTLEVSLNGMEFNLREYNDEPYTRGVSLLVRSMDKWIFDMDPFEPLKYGKFLTALKSRIQKEGSKAVFSPLIEKFILHNPHRVVVEMQPDPQKASRAEKAEKEILGKVKASLTNKDLAGLVRATQELRLKQATPDPPEAMRSVPSLSLQDIPKEPTTVPKEVDYINGVKVLQHDLFTNDVLYTDIVFDMSSSVKQELIPLVPLFCYALQNMNTKDTCFVGLRHLIVRKTGGISIESMIRCTEDPCCHIIVRGKAMAKRAEDLFHLINYLLQEVQFTDHRWFKQLVSHAIVQYQQSAELEGQNIIALRMDAKLNVFGWIREQMCGISHLEFLQALEKKVDQDWERISSSLEEIRKSLFTRKGCIINMTTEGKNLAKSEQFVGKFLDLLPGNSPCATTTWNARLPCVNEAIVVPTPLNHVGKSVNIHDTGYRVNGRTDVISTFLVGARTWLPDRTVISGAVYASYRFDNYSGACSFLPSGDTNLLKTLDRYDNTGDFLRQLEMDNDTLKKAIIQALKNDSCEYLDPKAKGYNSLEQYLTGVTEEERKRRHEEMLSTSLKDFKEFADAFDAVKDKGVVVAMGAPNDVHAALKDRPDFFQVKTPFTRAEF >ONH91220 pep chromosome:Prunus_persica_NCBIv2:G8:13116527:13117520:-1 gene:PRUPE_8G099800 transcript:ONH91220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSISCLLLILSSILLLSQQSNARKDLGGYWKSVMNDQPIPEAIRDLYFHQDHEDHLPSLPGSREKDHFVRDFDIRHNAIIYHGAHHHHHQQQQPAEDKPMHDMEPKEETSYIETVTHG >ONH93917 pep chromosome:Prunus_persica_NCBIv2:G8:22031323:22032149:-1 gene:PRUPE_8G260300 transcript:ONH93917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKLHGFPVSPSTARVEACLHEKTLDFEFVPVNILGLENKQPSFLAKNPFGQIPAFEDGDVTLFEAALVKVWMEVESQQYHPAICPIVYEFFGKPVVGCKPDQTVIDASLEKLKKVLDMYETRLSSNKYLAGDFYSLADLHHFAYTFYFMKTPWSSLINDRPHVKAWWEDISARPASVKVAEGMNFGEVEIK >ONH90999 pep chromosome:Prunus_persica_NCBIv2:G8:12068074:12075553:-1 gene:PRUPE_8G087600 transcript:ONH90999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVVEMGVKIRKCVTIPIRTSYRSVCNHPFLVVMLLFLICLHRVFPYVFSLLVTASPVFVCSAVLLGTLLIFGQPNNLPEIEKEQKSSHDIASLRTGVSRDGVVVVERDGGFSFERFSGKTREIADSSEAEDYDGGSVAYVPLIDESLQHNQSERRAVEDMEREIDSKELESRRNIHKEKVRRQGMTRGVEAFEKQHSLVHKVRHENRERFRKGDHLEPSLNGSCDDDADDDEGSDTRSDSAESSSPDASMADILPILDELHPLLDLEAPHPALLSHDESDAAHLSHDESDAASNMSNDGSNETYEDVLNQRGEVEEYGGDEEEAQGGKDDESKSAIKWTEDDQKNVMDLRNLELERNQWLETLIARRRATKSFCLTAEKNLIDLDSSDNPFNVTPLSTTRHNPFDPSYDSSYESMGLPPIPGSAPSILLPRRNPFHLPYEPNEEKPDLKGDHFEQGFMTFHPKDTFFSRHESFSLGPSSFGDAKKERQDFKWRPVFVPEQLGSEGTSYSSLQRQSSDVSDSKLSSTADTESVSSAADMDDRKFSEQDFAKEAEVISNIYHAYDLVGHGSQSSEDVDSLEMERAGKREAQRDELEIKLGKVENLEPSLSGTGGSNPVEITNDIRSKPRPFKGDNSSRSSLSSVSEIDERFSDVRKGGTTSLEPRGDHAAEFGISPQPPLEESESQFTSVMMDDNQHKEPIYDSSPPESEKVLSFDSISSDMQVEISKLVPLPASAGMRDPVEQDSDLHGESREKGPSEVDVNLPKTLDVKAASSNSSYQNVPSKEKQPTEQEKDVSWSDKQRFDDHSALHEPAIILPEPKKDSSTIQDVNVLEVREVQDMNSKPTSSKEIASSTIESGFQKPTTTHNALKQVSEGNVGELPKPSDSKDGSKKLETNAVGTTNEIASSNTGSSAQETTTTQSALKEVSERNVSELPKQSNSKDGSEKVETIAVGSKNEAASNITGSGVQEAITSDIAMKQVSEGNVGELPKPSDSKNGSAKVETNAVKSKKHLSSSSTGSGTPETITIDPTVKKVSEGNVGELPKPTNSKDGFAEVGTNAVGSSKEIASRSTGSDVQETINTYTSLKQVSEGNLGEVPKQSDSEDGSTKIETNAVGSTKEVASSNAGSGVQGTITTHTALKQVSKGNAAELPKPSDSKDESAKTETNVVGSTKVVASSNAESGVQETITTHSGSKQVSESNAGGLSKPANSKDESKKVGISAVDPTKEIASTITRSSVHQSVTTDPALKQVSEGNAGELPKPSDSKDGLTKIETNAAGSTEEVASSNAEYGVQETITTHSGSKQVSDRNVGELPTPSNSKDGSEKIGPNAVGSTKEIASNNTGSSADETITAHTALKQVSEGNVGGLPKPSDSKDGQTKVGTSAVGSTKEVTSSNAGSGVQETGTIDTALKQVSYGNVSELPKPSNSKDGSENLGTSAASKEISSDNVGSGVQDTVTTQSALKQVSESNLGELPKPSDSKDGSAKVGTNEVGSTKEVASSSAGSGVQDAITTHTSSKQVSEGDLCELPKLSDQKVGSLKQISEGNVGGELSKPLDSKDESTKVGSNAVSSTEEVASTNAGSGVQETISIHTDLKQVPEGNLRELPNPSNSKDELTKVGINAVGSSNEIALRNTESGVQETITTHTALNQVSESNVSELRKPSSSKDASAATVGTNAVGSTKDIASSNTESGVPENITNTALKQDSNGNVGEIPKPSDSKDGSTKVGTSAVGSTMETASNNTGSGAQETITTDAALKQVSEGNVSEVPKVPKPSNLNDGSTKVGTSTAGSPKEIGSSNTKSGVPGTMTNVDEPPKPSDLKDGSTKVGTIVVGSTKDIASSNTGSGVQDTITTHTAFKEVSNGNAGEPPKPSDSKDGSTKEGTNAVGSTKEIASSNVSTDTALKQVSDGN >ONH91000 pep chromosome:Prunus_persica_NCBIv2:G8:12068474:12074897:-1 gene:PRUPE_8G087600 transcript:ONH91000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVVEMGVKIRKCVTIPIRTSYRSVCNHPFLVVMLLFLICLHRVFPYVFSLLVTASPVFVCSAVLLGTLLIFGQPNNLPEIEKEQKSSHDIASLRTGVSRDGVVVVERDGGFSFERFSGKTREIADSSEAEDYDGGSVAYVPLIDESLQHNQSERRAVEDMEREIDSKELESRRNIHKEKVRRQGMTRGVEAFEKQHSLVHKVRHENRERFRKGDHLEPSLNGSCDDDADDDEGSDTRSDSAESSSPDASMADILPILDELHPLLDLEAPHPALLSHDESDAAHLSHDESDAASNMSNDGSNETYEDVLNQRGEVEEYGGDEEEAQGGKDDESKSAIKWTEDDQKNVMDLRNLELERNQWLETLIARRRATKSFCLTAEKNLIDLDSSDNPFNVTPLSTTRHNPFDPSYDSSYESMGLPPIPGSAPSILLPRRNPFHLPYEPNEEKPDLKGDHFEQGFMTFHPKDTFFSRHESFSLGPSSFGDAKKERQDFKWRPVFVPEQLGSEGTSYSSLQRQSSDVSDSKLSSTADTESVSSAADMDDRKFSEQDFAKEAEVISNIYHAYDLVGHGSQSSEDVDSLEMERAGKREAQRDELEIKLGKVENLEPSLSGTGGSNPVEITNDIRSKPRPFKGDNSSRSSLSSVSEIDERFSDVRKGGTTSLEPRGDHAAEFGISPQPPLEESESQFTSVMMDDNQHKEPIYDSSPPESEKVLSFDSISSDMQVEISKLVPLPASAGMRDPVEQDSDLHGESREKGPSEVDVNLPKTLDVKAASSNSSYQNVPSKEKQPTEQEKDVSWSDKQRFDDHSALHEPAIILPEPKKDSSTIQDVNVLEVREVQDMNSKPTSSKEIASSTIESGFQKPTTTHNALKQVSEGNVGELPKPSDSKDGSKKLETNAVGTTNEIASSNTGSSAQETTTTQSALKEVSERNVSELPKQSNSKDGSEKVETIAVGSKNEAASNITGSGVQEAITSDIAMKQVSEGNVGELPKPSDSKNGSAKVETNAVKSKKHLSSSSTGSGTPETITIDPTVKKVSEGNVGELPKPTNSKDGFAEVGTNAVGSSKEIASRSTGSDVQETINTYTSLKQVSEGNLGEVPKQSDSEDGSTKIETNAVGSTKEVASSNAGSGVQGTITTHTALKQVSKGNAAELPKPSDSKDESAKTETNVVGSTKVVASSNAESGVQETITTHSGSKQVSESNAGGLSKPANSKDESKKVGISAVDPTKEIASTITRSSVHQSVTTDPALKQVSEGNAGELPKPSDSKDGLTKIETNAAGSTEEVASSNAEYGVQETITTHSGSKQVSDRNVGELPTPSNSKDGSEKIGPNAVGSTKEIASNNTGSSADETITAHTALKQVSEGNVGGLPKPSDSKDGQTKVGTSAVGSTKEVTSSNAGSGVQETGTIDTALKQVSYGNVSELPKPSNSKDGSENLGTSAASKEISSDNVGSGVQDTVTTQSALKQVSESNLGELPKPSDSKDGSAKVGTNEVGSTKEVASSSAGSGVQDAITTHTSSKQVSEGDLCELPKLSDQKVGSLKQISEGNVGGELSKPLDSKDESTKVGSNAVSSTEEVASTNAGSGVQETISIHTDLKQVPEGNLRELPNPSNSKDELTKVGINAVGSSNEIALRNTESGVQETITTHTALNQVSESNVSELRKPSSSKDASAATVGTNAVGSTKDIASSNTESGVPENITNTALKQDSNGNVGEIPKPSDSKDGSTKVGTSAVGSTMETASNNTGSGAQETITTDAALKQVSEGNVSEVPKVPKPSNLNDGSTKVGTSTAGSPKEIGSSNTKSGVPGTMTNVDEPPKPSDLKDGSTKVGTIVVGSTKDIASSNTGSGVQDTITTHTAFKEVSNGNAGEPPKPSDSKDGSTKEGTNAVGSTKEIASSNVSTDTALKQVSDGN >ONH90998 pep chromosome:Prunus_persica_NCBIv2:G8:12068474:12074897:-1 gene:PRUPE_8G087600 transcript:ONH90998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVVEMGVKIRKCVTIPIRTSYRSVCNHPFLVVMLLFLICLHRVFPYVFSLLVTASPVFVCSAVLLGTLLIFGQPNNLPEIEKEQKSSHDIASLRTGVSRDGVVVVERDGGFSFERFSGKTREIADSSEAEDYDGGSVAYVPLIDESLQHNQSERRAVEDMEREIDSKELESRRNIHKEKVRRQGMTRGVEAFEKQHSLVHKVRHENRERFRKGDHLEPSLNGSCDDDADDDEGSDTRSDSAESSSPDASMADILPILDELHPLLDLEAPHPALLSHDESDAAHLSHDESDAASNMSNDGSNETYEDVLNQRGEVEEYGGDEEEAQGGKDDESKSAIKWTEDDQKNVMDLRNLELERNQWLETLIARRRATKSFCLTAEKNLIDLDSSDNPFNVTPLSTTRHNPFDPSYDSSYESMGLPPIPGSAPSILLPRRNPFHLPYEPNEEKPDLKGDHFEQGFMTFHPKDTFFSRHESFSLGPSSFGDAKKERQDFKWRPVFVPEQLGSEGTSYSSLQRQSSDVSDSKLSSTADTESVSSAADMDDRKFSEQDFAKEAEVISNIYHAYDLVGHGSQSSEDVDSLEMERAGKREAQRDELEIKLGKVENLEPSLSGTGGSNPVEITNDIRSKPRPFKGDNSSRSSLSSVSEIDERFSDVRKGGTTSLEPRGDHAAEFGISPQPPLEESESQFTSVMMDDNQHKEPIYDSSPPESEKVLSFDSISSDMQVEISKLVPLPASAGMRDPVEQDSDLHGESREKGPSEVDVNLPKTLDVKAASSNSSYQNVPSKEKQPTEQEKDVSWSDKQRFDDHSALHEPAIILPEPKKDSSTIQDVNVLEVREVQDMNSKPTSSKEIASSTIESGFQKPTTTHNALKQVSEGNVGELPKPSDSKDGSKKLETNAVGTTNEIASSNTGSSAQETTTTQSALKEVSERNVSELPKQSNSKDGSEKVETIAVGSKNEAASNITGSGVQEAITSDIAMKQVSEGNVGELPKPSDSKNGSAKVETNAVKSKKHLSSSSTGSGTPETITIDPTVKKVSEGNVGELPKPTNSKDGFAEVGTNAVGSSKEIASRSTGSDVQETINTYTSLKQVSEGNLGEVPKQSDSEDGSTKIETNAVGSTKEVASSNAGSGVQGTITTHTALKQVSKGNAAELPKPSDSKDESAKTETNVVGSTKVVASSNAESGVQETITTHSGSKQVSESNAGGLSKPANSKDESKKVGISAVDPTKEIASTITRSSVHQSVTTDPALKQVSEGNAGELPKPSDSKDGLTKIETNAAGSTEEVASSNAEYGVQETITTHSGSKQVSDRNVGELPTPSNSKDGSEKIGPNAVGSTKEIASNNTGSSADETITAHTALKQVSEGNVGGLPKPSDSKDGQTKVGTSAVGSTKEVTSSNAGSGVQETGTIDTALKQVSYGNVSELPKPSNSKDGSENLGTSAASKEISSDNVGSGVQDTVTTQSALKQVSESNLGELPKPSDSKDGSAKVGTNEVGSTKEVASSSAGSGVQDAITTHTSSKQVSEGDLCELPKLSDQKVGSLKQISEGNVGGELSKPLDSKDESTKVGSNAVSSTEEVASTNAGSGVQETISIHTDLKQVPEGNLRELPNPSNSKDELTKVGINAVGSSNEIALRNTESGVQETITTHTALNQVSESNVSELRKPSSSKDASAATVGTNAVGSTKDIASSNTESGVPENITNTALKQDSNGNVGEIPKPSDSKDGSTKVGTSAVGSTMETASNNTGSGAQETITTDAALKQVSEGNVSEVPKVPKPSNLNDGSTKVGTSTAGSPKEIGSSNTKSGVPGTMTNVDEPPKPSDLKDGSTKVGTIVVGSTKDIASSNTGSGVQDTITTHTAFKEVSNGNAGEPPKPSDSKDGSTKEGTNAVGSTKEIASSNVSTDTALKQVSDGN >ONH93620 pep chromosome:Prunus_persica_NCBIv2:G8:21211479:21214148:-1 gene:PRUPE_8G242900 transcript:ONH93620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRFLMKLNNETVSIELKNGTVVNGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRPVGRGRGRGRGRGRGRGR >ONH93621 pep chromosome:Prunus_persica_NCBIv2:G8:21211756:21214116:-1 gene:PRUPE_8G242900 transcript:ONH93621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRFLMKLNNETVSIELKNGTVVNGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGTATWRPVGRGRGRGRGRGRGRGR >ONH90344 pep chromosome:Prunus_persica_NCBIv2:G8:5194540:5196505:1 gene:PRUPE_8G048000 transcript:ONH90344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPGRFFAETAFTMVANVMGKRVRGEQREYWISDGIYGSFNLPAYDKSSMQISPLQILSPHQNQVTYSSTVFGPTCDSLDIVVADCKLPELKLNDYLVFHNMGAYTTSAGTNFNGFCISAIPTYVAFTSANN >ONH91755 pep chromosome:Prunus_persica_NCBIv2:G8:15574117:15576422:-1 gene:PRUPE_8G135300 transcript:ONH91755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEVERTTTGWAARDSSGILSPYTYTLRNTGPEDVYVKVLSCGICHSDLHQVKNDLGRSNYPMVPGHEVVGEVLEVGSDVTKFRVGDVVGVGLLVGCCRSCNPCKTDNEQYCNKKIWSYNDTYSDGKPTQGGFAGELVADQKFVVKIPDGMAWEQAAPLLCAGVTVYSPLNHFGLNLSGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSDRKKEEALEHVGADEFLVSSDATKMQEAADSLDYIIDTVPVVHPLEPYLSLLRLDGKLILMGVIGAPLQFVSPMVMLGRKTITGSFVGSMKETEEMLEFCKEKGLTSMIEVVKMDYVNTAFERLEKNDVRYRFVVDVAGSNLNP >ONH91756 pep chromosome:Prunus_persica_NCBIv2:G8:15574581:15576343:-1 gene:PRUPE_8G135300 transcript:ONH91756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWEQAAPLLCAGVTVYSPLNHFGLNLSGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSDRKKEEALEHVGADEFLVSSDATKMQEAADSLDYIIDTVPVVHPLEPYLSLLRLDGKLILMGVIGAPLQFVSPMVMLGRKTITGSFVGSMKETEEMLEFCKEKGLTSMIEVVKMDYVNTAFERLEKNDVRYRFVVDVAGSNLNP >ONH93783 pep chromosome:Prunus_persica_NCBIv2:G8:21640390:21641054:-1 gene:PRUPE_8G252200 transcript:ONH93783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLYPTRPIAAVPKFNSTSYTKISAITNVATPTLNFWVSSPHPIRSLNYFPKPHPHTALRSQSPSNPQSNKQEKDEVEEEEDPDAQVQDLVVPEHWLVPSKALEESEWLRVTLHKWLDDEYCPEATNVEISKIAAQSYYKSLLGKQTDLGEILLKMAIELESISYQESFHGAFSSANAAVNLIAQRIEQS >ONH93548 pep chromosome:Prunus_persica_NCBIv2:G8:20964194:20966656:-1 gene:PRUPE_8G237600 transcript:ONH93548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAEKLIRHWKVLRGDNVMIIRGKDKGDTGIIKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHASNVQVVDPVTGRACKVGVRYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPTVAGPKDTPMNVALEKTYDAKTGKGMAEL >ONH93549 pep chromosome:Prunus_persica_NCBIv2:G8:20964196:20966645:-1 gene:PRUPE_8G237600 transcript:ONH93549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAEKLIRHWKVLRGDNVMIIRGKDKGDTGIIKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHASNVQVVDPVTGRACKVGVRYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPTVAGPKDTPMNVALEKTYDAKTGKGMAEL >ONH93542 pep chromosome:Prunus_persica_NCBIv2:G8:20935821:20939169:-1 gene:PRUPE_8G237000 transcript:ONH93542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEAGVVDYEFIDNDSDDSNDVSSHRYQVYVIGEEGILKELELAGYQYIGGPCTCYMYCIWSSLVAVVINQCLCDGKYVTLCLRENPGSLFIATNCDAVTRLKDAQEWAATIIYTQLQVDRGGSMVGAIHGCIQREPLVVGKPSTFTMDYLANEFAWLGYTLDTDILFGQNGGYKTFLVLSGVTTLSVLQSPNNSIQPDFYTNKISDFLSLKAATV >ONH90295 pep chromosome:Prunus_persica_NCBIv2:G8:4726611:4727119:-1 gene:PRUPE_8G045300 transcript:ONH90295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGFLGVSNGELMRSNAKPCSRLMKQTASIFSVGEALGFWILCRLHYGPNIIVPRSLCWAACGAVSMSSTTALLVRLFSPECEPQNIAIYDKGN >ONH91394 pep chromosome:Prunus_persica_NCBIv2:G8:14066415:14067203:-1 gene:PRUPE_8G111400 transcript:ONH91394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVEVWMSELAKLKDKVGAKKRLVFSSKGKQGEGDDEVEEQQQVLKEARKESSRMVQIQRDLDSSSLSEATVRLLMDRFVLW >ONH93685 pep chromosome:Prunus_persica_NCBIv2:G8:21386250:21389212:1 gene:PRUPE_8G246900 transcript:ONH93685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWGGLSCCLSAAALYLLGRSSGRDADILKSATRINQLKELAKLLDSECILPLVVAISGRVSSETPITCEFTGLRGVVVEETAEQHFLKHNDAGSWIQDSALMLSMSKEVPWYLDDGTGRVHVVGARGATGFVLPVASEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGTSLSVVGEAVKDDIGTIRIQRPHKGPFYVSPKTIDQLIANLGKWARWYKYASLGLTVFGVYLVAKHSVQYILERRRRWELQRRVLAAAAKRSGEDNEGSNEKDDNVLDGSKRLMPDLCVICLEHEYNAVFVPCGHMCCCTTCSLHLTNCPLCRRRIDQAVKTFRH >ONH93717 pep chromosome:Prunus_persica_NCBIv2:G8:21490164:21491083:1 gene:PRUPE_8G249000 transcript:ONH93717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCKQHQLHLSHNIDEVTVTLAVKACQGDPKPGCQIHGFAVSSGFASYTTISNSLMSMYTKAGQFDGPLLIFETMCYIDIVSWNTILSGFRTSEGALNFALRMNFNGVVFYPVTYTAILAFCADHEDFLFGLQLHSLIFKSGLYGEVFVGNALISMYSRSRLLIEARSVFDEMEKEDLVSWNAILSGYSQEGNHGLEAIFVFIEMVEKGWVSWTTMISMDEEDVISLFNEMRLDGE >ONH93584 pep chromosome:Prunus_persica_NCBIv2:G8:21082578:21086141:-1 gene:PRUPE_8G240300 transcript:ONH93584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFSPATPVRFFLQPTQNPPKTLLSVRDSFGGGPVCKKCQPITVNVCSWSSTVSYVGSQDGSMKFSGNPIVVKKQLLQKRAGTVRAATIEEIEAEKSLIKKDAKGRMEKTIELVRSNFNSIRTGRASPAMLDKIEVEYYGSPVGLKTIAQISSPDASSFLVQPYDKSSLKAIEKAIVSSDLGLTPNNDGEVIRLTLPQLTAERRKELSKVVAKQTEEGKVALRNIRRDALKALDKLEKDKKLSEDNVKDLSSDLQPTDGFVS >ONH93583 pep chromosome:Prunus_persica_NCBIv2:G8:21082552:21086104:-1 gene:PRUPE_8G240300 transcript:ONH93583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFSPATPVRFFLQPTQNPPKTLLSVRDSFGGGPVCKKCQPITVNVCSWSSTVSYVGSQDGSMKFSGNPIVVKKQLLQKRAGTVRAATIEEIEAEKSLIKKDAKGRMEKTIELVRSNFNSIRTGRASPAMLDKIEVEYYGSPVGLKTIAQISSPDASSFLVQPYDKSSLKAIEKAIVSSDLGLTPNNDGEVIRLTLPQLTAERRKELSKVVAKQTEEGKVALRNIRRDALKALDKLEKDKKLSEDNVKDLSSDLQPSFVDISRTNFLFHEWLGFDFKGYSRRYIF >ONH93582 pep chromosome:Prunus_persica_NCBIv2:G8:21081996:21086141:-1 gene:PRUPE_8G240300 transcript:ONH93582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFSPATPVRFFLQPTQNPPKTLLSVRDSFGGGPVCKKCQPITVNVCSWSSTVSYVGSQDGSMKFSGNPIVVKKQLLQKRAGTVRAATIEEIEAEKSLIKKDAKGRMEKTIELVRSNFNSIRTGRASPAMLDKIEVEYYGSPVGLKTIAQISSPDASSFLVQPYDKSSLKAIEKAIVSSDLGLTPNNDGEVIRLTLPQLTAERRKELSKVVAKQTEEGKVALRNIRRDALKALDKLEKDKKLSEDNVKDLSSDLQKLTDEYVKKLDTVFKQKEKELLKV >ONH93585 pep chromosome:Prunus_persica_NCBIv2:G8:21082645:21085905:-1 gene:PRUPE_8G240300 transcript:ONH93585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFSPATPVRFFLQPTQNPPKTLLSVRDSFGGGPVCKKCQPITVNVCSWSSTVSYVGSQDGSMKFSGNPIVVKKQLLQKRAGTVRAATIEEIEAEKSLIKKDAKGRMEKTIELVRSNFNSIRTGRASPAMLDKIEVEYYGSPVGLKTIAQISSPDASSFLVQPYDKSSLKAIEKAIVSSDLGLTPNNDGEVIRLTLPQLTAERRKELSKVVAKQTEEGKVALRNIRRDALKALDKLEKDKKLSEDNVKDLSSDLQKPTDGFVS >ONH92827 pep chromosome:Prunus_persica_NCBIv2:G8:18901503:18903140:-1 gene:PRUPE_8G198600 transcript:ONH92827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYDVNSPLFRSFLSQKGGSSDKRKLEEQKPKEHRPKASENKPVMNE >ONH92643 pep chromosome:Prunus_persica_NCBIv2:G8:18388619:18389992:-1 gene:PRUPE_8G186400 transcript:ONH92643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYKKPGNFSVLWLLLSCGFSFGVESDINCLKSIKASLEDTLGYLNSWDFNNNTEGFICNFLGVECWHPHESRVLNIKLSDLGLKGSFPQGVANCTSLTGLDLSSNQLNGPLPTDIDKIITFITSLDLSSNSFTGQIPMKLSNCSYLNVLKLDNNKFSGIIPPELGQLTRIKTFSVANNQLSGPVPNFNNTSIGPESYANNTGLCGGPLKHCPPV >ONH93520 pep chromosome:Prunus_persica_NCBIv2:G8:20842937:20846312:-1 gene:PRUPE_8G235400 transcript:ONH93520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPDTESIKKYLFKITMKSQWKEVVQIYKQNPQVRKARITRTGDTALHIAVSDGQEEHVEKLVQLVSVKELQIQNDRGNTPLHLAASMGNVRMCECIAKDHPCLVNVLNKDNETPLFLAALHGRKDAFLCLHYICTPAFDDPQRYKYCRRKDGETILHCAILGDYFDLAFQIIHLYKDLVNYVNEEGYSPLHLLASKPSAFKSGSHLRPFKRIIYYCIYVDDLKVEQKDQFKQGLIKTFKEEKDPKYPENYQTCVNYFRVFRKLVQLFVTKILGRGQNSADTENPVADTDGARGHQSFPVNYSTCFDFVKLISKAMLIVLGLGSMEIRKTREKKEKHKWAFQIMNELLKRAVMYEDEDSGMNPQGAAPSLRDNDETRPYEIVDGGKENIAEGSSSSAEAIEMKTHREMKIMETPILIAAKNGVTEMVEKILELFPVAIHDMNAEKKNMVLLAVENRQPHVYNLLLKRENLRNSLLCKVDDKGNSALHLAAKLGEHKPWLIPGAALQMQWEIKWYEFVKASVPPNFFSRHNKQSKTARDIFTETHAKEVEAGGQWLNSTSKSCSVVAALIATVAFATSTTVPGGMKQDSGEPTLENQPAFEAFAIASLVALCFSVTSMVMFLSILTSRYQEKDFGKDLPRKLLLGLTSLFVSIASMLVSFSAGHFFVLKDKLKYAAFPVYAVTCLPVTFFAMAQFPLYVDLLWATYKKVPQRSYKVAPP >ONH90040 pep chromosome:Prunus_persica_NCBIv2:G8:3004368:3013324:1 gene:PRUPE_8G031800 transcript:ONH90040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTKRDSAFLPSPDQYAPQPNHDVFLSFRGEDTRLSFVSHLYHELLLRGIKTFKDDPKLERGTPISSELFNAIEESRLAIVVLSPNYASSSWCLDELTKILQCMKSKSTVLPVFYHVDPSDIRKQTGSFACAFAEHEERFREDRERVKSWRAALTEVANLSGFDSKNECERKLIENIVEWVWEKVHHRFKLLGSTELVGMKFIREQVDLLLAHPTDDVRFVGIWGMGGIGKTTIAKLVYDRISIHYEVNSFLANVREVSQRGDLVNLQRQLLSPILKDQFTQVWDEQWGTSVIKNCLYNKKVLLILDDVSESSQLEKLAGEKDWFGKGSIIIITTRDKRLLVKHDIHISCKVEALGNDDALVLFSLNAFKKNEPEEGFLELSKGFVSYAKGLPLALKLLGCLVYKRDQDEWRSELDKLQKIPKSEIIDLLKISYDRLDEMNKDIFLDVAFFHKGKDKEEVIEILDSCGLCGCVGINDLVQKSLLTISHRNVEMHDLIQEMALEIVRRECSEEPGRRSRLCNCDDISHVFINNTATNKIKGIALGMARLEMGDWNCEAFSKMCNLKILEFDNVIISSSPRILPNSLRSIKWSLYPSKFLPSGFQPNFLIALEMCNSKLVRLWDGRKDLPNLKKMKLVGSENLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIVDLKCLESLNLGFCSKLKKIPEFSGQMKNLSFLLLSGTSIEKLSSSIGCLVDLTILLLEDCKNLTGLPSEICNLKSLTELEVSGCSKIDKLPENMGEMESLTELQLYETSIRQLPRSIVGLKKLMSLSLGGRSGSQPNKSRFWWGLPLLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPSDIGCLSSLKELRLSGNNFVSLPASVGCLSKLTLFWVNGCQSLEQLPDLSKLISLVDINIANCTSLKMLPHLSSNFSLVDIHYEIHFCRFKCANCFVLVDNEGCDSIILKMLQRYLQLQLQLQTRIRNFNSWKENSRVVQ >ONH90041 pep chromosome:Prunus_persica_NCBIv2:G8:3004106:3013324:1 gene:PRUPE_8G031800 transcript:ONH90041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKSTVLPVFYHVDPSDIRKQTGSFACAFAEHEERFREDRERVKSWRAALTEVANLSGFDSKNECERKLIENIVEWVWEKVHHRFKLLGSTELVGMKFIREQVDLLLAHPTDDVRFVGIWGMGGIGKTTIAKLVYDRISIHYEVNSFLANVREVSQRGDLVNLQRQLLSPILKDQFTQVWDEQWGTSVIKNCLYNKKVLLILDDVSESSQLEKLAGEKDWFGKGSIIIITTRDKRLLVKHDIHISCKVEALGNDDALVLFSLNAFKKNEPEEGFLELSKGFVSYAKGLPLALKLLGCLVYKRDQDEWRSELDKLQKIPKSEIIDLLKISYDRLDEMNKDIFLDVAFFHKGKDKEEVIEILDSCGLCGCVGINDLVQKSLLTISHRNVEMHDLIQEMALEIVRRECSEEPGRRSRLCNCDDISHVFINNTATNKIKGIALGMARLEMGDWNCEAFSKMCNLKILEFDNVIISSSPRILPNSLRSIKWSLYPSKFLPSGFQPNFLIALEMCNSKLVRLWDGRKDLPNLKKMKLVGSENLTTTPDFSGVPNLELLDFQFCKNLVEIHPSIVDLKCLESLNLGFCSKLKKIPEFSGQMKNLSFLLLSGTSIEKLSSSIGCLVDLTILLLEDCKNLTGLPSEICNLKSLTELEVSGCSKIDKLPENMGEMESLTELQLYETSIRQLPRSIVGLKKLMSLSLGGRSGSQPNKSRFWWGLPLLNGRKAFVLASLDGLFSLKYLDLSNCGVCEGDLPSDIGCLSSLKELRLSGNNFVSLPASVGCLSKLTLFWVNGCQSLEQLPDLSKLISLVDINIANCTSLKMLPHLSSNFSLVDIHYEIHFCRFKCANCFVLVDNEGCDSIILKMLQRYLQLQLQLQTRVFPRIYLDRFEILTPGRKIPEWFSNQSLGDSLTVELPTTWMGIALCVVFEVPADLSEFLCFRITSFSQEKSTHRFSRSFKIGDVVSDHLWVIFLSHKQFEKIYGQIKVLFTTDYSQDIMWGDKKSCVKRCGFCLVHEQDVEQLNQIMMNKSIIKSTTIYPTNSADAQGQHCHDD >ONH89663 pep chromosome:Prunus_persica_NCBIv2:G8:596094:596684:-1 gene:PRUPE_8G007700 transcript:ONH89663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSITEVGESFNSENTKTQQAFICEICVELKVAASEWFGIKNCSHAYCRDCMVSYVASKLEEIIISIRCPDPDCTSRLLDPKHCRSILSRKVFERWGVALCEAVVPASQKFYCPRKDCSAMLIIDDDGKKGMIVAWHVGFECAKFPKLNKVERERENMLRNLAKKEQQRRCPNCSFYVE >ONH90193 pep chromosome:Prunus_persica_NCBIv2:G8:3975795:3977447:-1 gene:PRUPE_8G039800 transcript:ONH90193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNASGTVNEPANDSTTPSNNKPASAPRLTSKVWSYFKRVNKIENGKLVLKAECVICNTLFAGSPRSGTSHLSRHLKEHEAAQARISPGHILLNADVAVNLTNFAYDHAVARKEVEDCIIRAELPFKFVESHDFTASTCKGDVMKKFGKEKSDLHALFEKLDSKICLTSDVWSSQQKMGYMSLTAHFIDKDWFLNKRVICFKMIEYPHTGESLATHIFDELLSWRIHNKIFTLSLDNASNNDTAASILPSSLLLDSVQQKLFHVRCCCHILNLIVQDGLKVLSPSIDEITDIVRSMNSSSKRHEIWINIDNDVPHRWNSTYELLLVAIKYKVPLHWYVKEINESISCNLQVPNEEDWKIAQLVSGFLQIFYSSTKILSGVYYPTSSCVISCLTDIHASFAAYSEFSVFKDALTEMKAKFDKYWEEFPTVFCFATIMDPRFKVFAIGEWLNMIGIDQLTIDSKLLALKTLLFQFFDVYKRSVMSYVGQNVQSANVTSSTLSQTPGSIVVPIVDYCLKPIVKSDGY >ONH93049 pep chromosome:Prunus_persica_NCBIv2:G8:19470126:19473161:1 gene:PRUPE_8G209700 transcript:ONH93049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPTCCHISLAFVLKFLNFLQAFIGVSILVYSAWMLNQWNNHIPIVPQPSAPSPDSSSFSSLLLSSETAKTSDQITPLNFAVHLVSGFDEGLGLNSFKLPAPWFIYSFMGLGVLICCITFIGCIAAESINGCCLCFYTILITVLALLEAALVAFIAIDHRWEKDLPFDPTGELDSLRSFVQDNIDICKWAGLAVIVLQALSLLLALVLRGMVSTHKADYDVEDDYDFRGRTREPLLNNQLSQASGSTKGDGRGTHSDIWSSRIRDKYGLNAVNQNASASTKSKQ >ONH89640 pep chromosome:Prunus_persica_NCBIv2:G8:484747:497813:-1 gene:PRUPE_8G006100 transcript:ONH89640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINMKTLTQALAKTAAVIEKTVQTTVQEVAGPKPLQDYELFDQIGSAGPGLVWKLYSAKAARESNRAHQYPTVCVWVLDKKALSEARVRAGLSKAAEDAFLEIIRADASRLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASVANTLGNVENVAKVPKELKGMEMSLLEVKHGLLQIAESLDFLHNNAHLIHRAISPENVFITSSGAWKLGGFGFAISTDQASGNMANVQAFHYAEYDGEDSVLPLQPSLNYTAPELARSKESSTGCSSDIFSFGCLAYHLISHKPLLDCHNNVKMYMNTLSYLSSEAFSSIPPELVPDLQRMLSTNEAFRPTSMDFTGSPFFRDDTRLRALRFLDHMLERDNMQKSEFLKALYDMWKDFDSRVLRYKVLPPLCAELRNLVMQPMILPMVLTIAESQDKNDFELSTLPALVPVLSTAVGDTLLLLLKHAELIINKTMQEHLISHVLPMIVRAYGDTDARIQEEVLKKSSFLAKKLDAQLVKQAILPRIHGLALKTTVAAVRVNALLCLGDLVPTLDKHAILDILQTIQRCTAVDRSAPTLMCTLGVSNSILKKHGAEFVAEHVLPLLTPLLTAPQLNVQQFAKYMLFVKDILRKIEEKRGVTVTDSGIPEGKPSASANGLQSQVPSKISGTVATAANGSPGWDEDWGPIRKQPPNSLQNSTNSITSTYPIQGIEPIQVTSSRTAVSSQQTPVSCPPVDIEWPPRASSGVTPLGDAEKRSNARASSSSSFDDIDPFANWPPRPSGSVRGTGPSNNGAIESPRNKYGPNSLSSTSNSMNLYSNDNDSWAFGTQSSVEQIGLNQGNATLNTGSLGSSGFNPQSSIGFLKQTQSISASSAYTDKKSADLGSIFASGNNAQTAPRLAPPPSTAVGRGRGRGKGASSVSRSSHAKSASEQPPLLDLL >ONH89639 pep chromosome:Prunus_persica_NCBIv2:G8:479352:483182:1 gene:PRUPE_8G006000 transcript:ONH89639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRKKLCESSNFNGKLMYTFSRYFSSNKMDLRKLKPMIQKRIQDRAKDYPVLGMIPVAQEVLNSRRLIMQGVSTLLKVLPVMACKFCPEVYIGEKGHLIQTCCGFKHRDKNRVHEWITGGLNDVLAPVEAFHLKHMFKDVIKHHQRFDFERVPAVVELCWQAGANDENQYSNWNPESDCFSVDGAESLPSDELLMIASGTLRAWEILRNGVEKLLTVYPAKVCNHCSEVHIGPSGHKARLSWQGTHFWRKANVDDLMPPKIVWRRRLQDPQVLLNEGRGFYGHAPAVVELCTQAGAVAPLKYHCLMKLQGVATLGNQSLYHPKDQM >ONH90199 pep chromosome:Prunus_persica_NCBIv2:G8:4018583:4019016:-1 gene:PRUPE_8G040400 transcript:ONH90199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTGCRRHPLCQNSGRVPKASLALNDDGVPKASLMSKWRRGAEGILMSKWWRGAKGIVGAKWWWGTEGISCARTTKACITSLSPYTFILPKGAE >ONH90612 pep chromosome:Prunus_persica_NCBIv2:G8:9418757:9423070:-1 gene:PRUPE_8G064000 transcript:ONH90612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGGILGFEYGIVQAPLGPDIAGPELVAAVANAGGLGFLRAPDWVGSLDEANKAIYAGVDAIIVQGREAGGHVISQDALISLLPKVADLVGDRDIPVIAAGGIVDARGYVAALALGAQGVCLGTRFLATQESRAHPTYKRKLVEFDRTEYTDVFGRARWPGAPHRVLYTPFFNDWKSLPPHQNEADQPVIGHSTIHDREIEIRRLAGTVPNVTATGDIESMVMYAGQSVGLIKEILPAGEVVKRLVEEAQLLIKHKFSDILLTHS >ONH90613 pep chromosome:Prunus_persica_NCBIv2:G8:9419292:9422885:-1 gene:PRUPE_8G064000 transcript:ONH90613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGGILGFEYGIVQAPLGPDIAGPELVAAVANAGGLGFLRAPDWESPHYLRELIRKTRSLTDKPFGVGVVLAFPHEKNIQVILDEKVAVLQVYWGECSEELVLKAHQSGVKVVPQVGSLDEANKAIYAGVDAIIVQGREAGGHVISQDALISLLPKVADLVGDRDIPVIAAGGIVDARGYVAALALGAQGVCLGTRFLATQESRAHPTYKRKLVEFDRTEYTDVFGRARWPGAPHRVLYTPFFNDWKSLPPHQNEADQPVIGHSTIHDREIEIRRLAGTVPNVTATGDIESMVMYAGQSVGLIKEILPAGEVVKRLVEEAQLLIKHKFSDILLTHS >ONH91448 pep chromosome:Prunus_persica_NCBIv2:G8:14256030:14257179:1 gene:PRUPE_8G115300 transcript:ONH91448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSGHNVTALDLAASGVDPQQAKDLQSISDYFKPLTNFMAALDPPHDKVILVGHSLGGLAISYAMERFLDKISLVVFITALMPGPTLNISTLNQESFRRQRSLLDSKYTYDQGPNYPPITLTFGPLFLATNVYQLSPTEDLALGTMLMRPQRLFSEEDLSKELKLTREKYGSVNRVYVLSGGDLLTEKDLQRWMIKRNRPNGVVEITGSDHMVMISKPLELWVHIQRISEKYS >ONH89671 pep chromosome:Prunus_persica_NCBIv2:G8:639198:640367:1 gene:PRUPE_8G008400 transcript:ONH89671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRGPRLSGMQKQVLSLYRPFLRAARAKSAEDRQRIESLVSSEFRRNAKEVDRKNFLYIEYLLRRAKKQLDQLRSPDVVGLSALNVSFSQTKHPTN >ONH91439 pep chromosome:Prunus_persica_NCBIv2:G8:14236306:14236995:1 gene:PRUPE_8G114600 transcript:ONH91439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDPAFIQDPEHRPKLSATEAEGIPLIDLSPLNSSDNISDPKAIAGVVREIGNACKEWGFFQVINHGVLLDKHKKIEAAARKFFAQPLEEKRKITRDEKSLFGYYDTEHTKNVRDWKEVFDFAVEEPMLMPASLDPEDKEETKWTNRWPEYPPELR >ONH93213 pep chromosome:Prunus_persica_NCBIv2:G8:19985717:19986844:-1 gene:PRUPE_8G219600 transcript:ONH93213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLETIVFMVLGIALSFNIGGNMSCNAPGQYDYFQFVQESPFLFCKNINGKGCNILPLPRIFTIHGLWPSNFTHRHKPCVGAQFSRDINEASNDELRADLELSWRSFISGRSNMDFWEYEYNKHGKCSDDKFSQTQYFDRARSLWKQYKPHALFSNRSLEPGKSYSFTGLEQAIRSFIGGSRPLLLCEQSKRKKNKKKTK >ONH92092 pep chromosome:Prunus_persica_NCBIv2:G8:16637592:16638360:-1 gene:PRUPE_8G154600 transcript:ONH92092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVFANISKEEIDGFVEEHNKARKEVGNKPLKWNTTLAQYAQEYADKRVGDCAMEHSMGRWGENLASGDGMSGAAATKYWVTEKEFYDEKSNKCVRDECGHYLAVVWGKTTEVGCGISKCNNGQNYVVCSYDPMYQPEDERPY >ONH92789 pep chromosome:Prunus_persica_NCBIv2:G8:18787031:18789014:1 gene:PRUPE_8G196000 transcript:ONH92789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWPLLPRRFPHIPGTDVAGEVVQVGPGVKKFKAGDKVVAMVNPLNGGGLAELAVTKESLTATRPPEVSAATAVGLPVAGLTAHQALIQSAGIKLDGSGEEANILITAASGGVGLYAVQLAKLGNTHVTATCGARNLEFVKSLGADEVIDYKTPEGATLKSPSGRKYDAVIHCATGIPWSTFEPNLSANGKVIDITPGLSAVANFALGKLTFSKKQLVPLLLSPKAQNLDYLLKLVGEGKLKTIIDSKYPLTKAEDAWAKSIDGHATGKIIVEP >ONH92788 pep chromosome:Prunus_persica_NCBIv2:G8:18785807:18789102:1 gene:PRUPE_8G196000 transcript:ONH92788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVMHAVQYDGYGGGPSGLKHVEIPIPNPNKDEVLLKLEAASLNPFDWKVQKGMLWPLLPRRFPHIPGTDVAGEVVQVGPGVKKFKAGDKVVAMVNPLNGGGLAELAVTKESLTATRPPEVSAATAVGLPVAGLTAHQALIQSAGIKLDGSGEEANILITAASGGVGLYAVQLAKLGNTHVTATCGARNLEFVKSLGADEVIDYKTPEGATLKSPSGRKYDAVIHCATGIPWSTFEPNLSANGKVIDITPGLSAVANFALGKLTFSKKQLVPLLLSPKAQNLDYLLKLVGEGKLKTIIDSKYPLTKAEDAWAKSIDGHATGKIIVEP >ONH91313 pep chromosome:Prunus_persica_NCBIv2:G8:13732366:13733403:1 gene:PRUPE_8G106100 transcript:ONH91313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAYHELHLAELPDTKLDHPDRHEQSSPSVPIEIDFKYSINHKVFDGDLSEDGTITGLILIHQYEPQVTIAKATIEDVCGLETRNDLKGFLSENLSLLGVDEDPQDQLVEMIIEFGCKIRDLDSNKGAKVLRFSAHIKKEHNWIRSERVPKERELEMEALIKKTLKRVRVVAADEDEDEDEEEEEEGGKRKRRRVVQESEVCPICLEKFVVGSEDVASMPCSHVFHGNCIGRWLKGSHHSCPICRFKMPWITRQY >ONH92833 pep chromosome:Prunus_persica_NCBIv2:G8:18913227:18915365:1 gene:PRUPE_8G198800 transcript:ONH92833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDPKAIEAEPPSSSWKERIVFPTLLAGIVGGGAGLVSNHRKVVGLPTSCATYAANFAIVTACYCGAREYVSVTRKTGPDDLVNSAIAGFGTGAILGRLQGGRIGAVRYSIIFSVVGTTVDYATIKLRPVLKSYKESMLGSNDGKNAGSLKMPDWSPIKVLDEEALAAKQAREKQMYAQKAALGNLSKEES >ONH92137 pep chromosome:Prunus_persica_NCBIv2:G8:16783129:16785194:-1 gene:PRUPE_8G157500 transcript:ONH92137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPYFINQWHMNSLDEVSMLPLAAPFGENFNQSHFHPNFNLKTSMDSCHSGIDRPMKQLKTDGWSSCKTDQHGSNPQVASSPNILSFVNSNSTNQMGVLKPKEEAAVCSKSNNSLPSDILLSQSSFGNQSYLFKASQGTKRVNTNTRLSTTQDHIIAERKRREKLSQRFIALSAMVPGLKKMDKASVLGDAIKYIKQLQDKVKTLEEQTRKKNMESVVFVKKTQLFANDDNSSSEENNSSGPFEETLPEIEARFCDNNVMIRIHCEKRKGVVEKTIAEVEKLQLKFINSSVLTFGGCALDVTIIAQMEVEFSLSVKELVKNLRSAFDMFM >ONH92136 pep chromosome:Prunus_persica_NCBIv2:G8:16783138:16785677:-1 gene:PRUPE_8G157500 transcript:ONH92136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSIGGMSELGMEDPYFINQWHMNSLDEVSMLPLAAPFGENFNQSHFHPNFNLKTSMDSCHSGIDRPMKQLKTDGWSSCKTDQHGSNPQVASSPNILSFVNSNSTNQMGVLKPKEEAAVCSKSNNSLPSDILLSQSSFGNQSYLFKASQGTKRVNTNTRLSTTQDHIIAERKRREKLSQRFIALSAMVPGLKKMDKASVLGDAIKYIKQLQDKVKTLEEQTRKKNMESVVFVKKTQLFANDDNSSSEENNSSGPFEETLPEIEARFCDNNVMIRIHCEKRKGVVEKTIAEVEKLQLKFINSSVLTFGGCALDVTIIAQMEVEFSLSVKELVKNLRSAFDMFM >ONH91481 pep chromosome:Prunus_persica_NCBIv2:G8:14438785:14440614:1 gene:PRUPE_8G117500 transcript:ONH91481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNFFQPFVHPLSFSISDFGRDANNILYEGDAAPSSGVIELSTITATDLQDIYCRTGRATYAEPLHLWDSAKLADFTTNFSFIIDTVHNDTNIGDGLVFFLAPVHYPIPPNSAGGDLGLLNTTTRHDKASQNQIVMVEFDTSPNSWDPLWPHVGININTISSFVHARWDALGHSGKKAHVQITYNATTKNLTVFWTYEEYTDVAITSLSYHIDLREVLPEWVTIGFSTANGCARERNAIYTWDFRSNSEELSTKKKRRLFWIGAVAVSVFILILGVAICWLVVKRQKNRIDEHGNDSNSVASTDLVWQALPRRFSYEELVAATNDFADDRRLGQGGSGKVYKGIIQDLGCTVAVKRIVPESEHYEKVFINEVKIISRLIHRNLVQFIGWCHEQGECLLVYAYMPNSSLDTHLFGSRTTLQWDLRYTIALDLATAVHYLHEDAETCVLHRDIKSANILLDYDFKTKLGDFGIAKLVDPQFRTQTTGVVGTFGYIAPEYANGGRASKESDMYSFGVVALELACGRRTYQDEEFHVPLFRWVWQLYLAGNMLSAADERLDMNFVPNEMETLLIVGLWCTHPNNKERPKAGQVMRVLRLEAPLPQLPKDLHE >ONH92185 pep chromosome:Prunus_persica_NCBIv2:G8:16930912:16949771:1 gene:PRUPE_8G161000 transcript:ONH92185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKSVDASLWWDPFSVLLTELENASLSSDLPPNLVKKLKDNHAWFVDTLSHFKPPNENSREALNSQQVKIGSHQLDIKPELKDKALKISSYLCLDEVQSYILVERSFKNNNVALDSIVHEYFHAVCIDYYIERQYLLKCTRRILAHALSLGSVSGEGNAMKEEALKLISDGLERKLLSVLQDLLSSNHPEQMDIDLFTLWAEETLVEDNLVLDILFLVYNESVCTCNGERWKTLCWLYKGILSGSYNFGKLAVSTEALRSAYQAKVQLLLILIETLDLENILQMVHDEIPFREGKSVFTLADVQEMEAIISTFNVFETKEAGPLILGWAVFLCLISSLPGKEENNVVMEIDHGGYVRQAFEAASLTYLVEFLQSDVLKESDGPVAGYRSVLRTFISTFIASYEIGPQLEDSALKLIVDILCKIYQGEESLCIQFWDRESFIDEPIRCLLRSLEGEFPFRTVELVRLLSSFCEGTWPAECVFNFLDKSVKISSLVEINHSSSVDDISTIVETHVPLHVPGFEGLVIPSRTCGHVLRSVGGNAAVVQWEYTQSEVLVLLMRLAEELYFERNDEVLLILDLISRMVTFNTAVCFALMDIGSSLHFQSTGMSWQIGSNMWLVEIICTLIRKSSPTSDGATLMSLGINILAKMLKCYPSHVAEVALKANIFDFSNGHDDSSSGSWLLSGKMAKMLLIDCEQNDGDCSLTISVLDFTVHLMDTGLKNDAVLALIVFCIQYVLVNHEYWKYKVKHTRWRVTLKVLEVMKKCITSISCSEKLDEVILDRLLSDSSIHSTLFRIVCTTTEALERLYISWHPTEIEGFEMAICSVLDILFIILSKFSKDISSSPPFFHQAVFSSATKPIPVVAALVSLISYFRNPGIQVGAARVLSAFLMMADLMQPYLFGSSFGLDDKQIGDLRQCVSYILLEQSEWNEDLFVAVVNLLTSAARYQPAFLVAVLSTEVKRDVQQSNAGHVKLPTNDVTFRSSECEKTSIVDAVLYQIERSNDLINSNPRILLNVLNFLRALWQGAAQYTNILECLKSSENFWKKLSSFISVISSVEAPSPENITETEAQDLAFRYQCQSAILEIMAHDMFLHKKLLHLETLAKEVPESQDRIQNTVRLEKSKASDLVDILSAWCRSSVLDNLTKSLSYCEYDLKLYLRAKVAASVITAHVMVNLANGDAGSVSVSLLEKSSILSNKFRSLPAFSELLAQYSQHGYSAGKEPNYLILSDLYYHLQGELEGREVSAGPFKELSLFLIESNVFQIYQHKYDADLFVTGKDAYLFDLKRVRADLGLDLWDYSKWKASKATAETMLNHMKAANSMALLTSSKLSALRALRSVLTVYADDSLETKSTAKEISDQLVFSCINHICQSFHDTVESLASLPGAPEDIFHYLSAQAELLLYLMMYAHKSLPLSVCILVLKTSGSGLKVLSDFRALVTGPAVMGVNTTVKLLLMLLLSAVEFSCRKSHLVGARDIISVEELAKISNVSLGLLPILCNCMAIVEHGTLSLTTMDLILRNFLTPNTWFPIIQNHLQLQHLILKLQDKNSLDSVPIIIKFFLTVARVRQGAEMLINYGFLSSLRLLFAEYLEGRSSSVSTNKRNPNSTEKTEKPQQIWGLGLAVITAMVQSLGDSSACSDVVENVIPYIFSEKAYMISYYLSAPDFPSDGHDKKRPRAQQRQTSLTDLKETEHTLMLMCVLAKHWNSWVKAMKEMDSQLREKSIHLLAFVSRGTQRLGESSSLSAPLVCPPILKEEFDGCKKPSFVNSRSGWFALSPLSCVSKPKFSAVSTTTALAIKTQSTENSDHVSQSYFSDTIALQIYRITFLLLKFLCLQAEGAARRAEEVGFVDLDHFPELPMPEILHGLQDQAITIVTELCGDKRSNEIQIEVQSICCLLLQIMEMALHLELCVLQICGIRPVLGRVEDFSKEVKLLIKAMERHAFLKSSVKSLKQITSVIYPGLLQAEEFL >ONH92730 pep chromosome:Prunus_persica_NCBIv2:G8:18631199:18633884:1 gene:PRUPE_8G192300 transcript:ONH92730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVGGEEYLFKVVLIGDSAVGKSNLLSRFARNEFDPNNKATIGVEFLTQELEIDGKMVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRKTTFESVKRWLDELTTHCETTVARMLVGNKCDLEDIRDVSVEDGKSLAEEEGLFFMETSALNATNVQTAFEMVIREIYSNVSRKVLNSDSYKAELSVNRVNLVKNGADSRKSRMNLSCCAG >ONH90354 pep chromosome:Prunus_persica_NCBIv2:G8:5342625:5347222:-1 gene:PRUPE_8G049000 transcript:ONH90354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLDSFFNKGFKAAKCKTLLKLTIPRIKLLRNRREIQIKQMRRDIAKLLETGQEATARIRVEHIIREENMMAAHEILELFCELIAVRLPIIESQRECPLDLKEAISSVCFAAPRCADLSELLQVQMLFASKYGKEFVSAATELIPDCGVNRQLIELLSVRAPSPEKKLKLLKEIAEEHELDWDPAASEAEIFKPHEDLLNGPTQFVSGSKLPLPKEKHDEPSYCAPDQSQKEQSDSDDGLESLDFPEVPTVSLRPIANPASAPAMPPPLPTPPHPEVDHGLSKDSGAIENSSEEPSFESEEVMQARSVANKHEQSHVSVDGMEDKQFLPFISPPSLSSASFSTRRSNPPPSLSRTRSDANVDFQDVLAAAQAAADSAERAAAAARSAASLAQVRINELTKKNTEEVPENSCENPFHVDIPSESDTREKPDFDHPIRNGDSDGAIHSPGPHQVNEYGHGAKVSDLNSVTMEPLNADLDSSLPNDHAFEHEPLRHQPQRLSSMEDDPYFSYPNLFTSQNSNVGSGAQSSTDDSFPSHGR >ONH93676 pep chromosome:Prunus_persica_NCBIv2:G8:21358293:21360524:1 gene:PRUPE_8G246300 transcript:ONH93676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSLVFFLLGGASYLAGRSYDRQADKLASVPRIREFKDLEPLLKSQNRLMVALRGNVGSETPMNCEFSELQGVVVEKTVLKYFLERIKNEDKDKKEDEKKNKDKDEKEDKKKNKDKVDEWRKDYEVLHSTSKQVPWYLDDGTFRVNVVGARSAKGFYCTHRYAVFEPSKSWHGVETLDDLENLPYITKGKEDRQVLGVKRIERVLPIGTSLSVIGEAVKDGNGTIRIQRPDGGGPFYVSPRTIDQLTEVQRGDARFFYGGSVVLTLLGVADIGLHFL >ONH93552 pep chromosome:Prunus_persica_NCBIv2:G8:20971361:20972511:1 gene:PRUPE_8G237900 transcript:ONH93552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKGIEMALALVLGSMLLGGAMAQSGCTTALTSLAPCLNYVTGNSSNPSSSCCSQLSNVVQSSPQCLCSLLNGGGSSLGITINQTLALSLPAACKVQTPPASQCQGANGPTTSPSTPPASSSADPSNQTPSDASTPEAAISPSASDIPSASGEGSKTVPSTNGNTSDGSNIKAPLHFVPLLLFVISCASTLTSF >ONH91592 pep chromosome:Prunus_persica_NCBIv2:G8:15021979:15023374:-1 gene:PRUPE_8G125400 transcript:ONH91592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAKVVKCSESLWKLTYYATVEACILKISYQETWFRDTKEYFKGWPNQELGLPLKLLYMGQCGFYTYSIGALLTWETRRKDFSVMMAHHVIIVLLIGYSYITCFFRIGSIILALHDASDVFLEAAKVFKYSEKELAASVFFGFFAVSWLILRLIFFPFWVIRSSSGSDLSFLMVACSQSSVLYYVLNTMLLMLFVFHLYWWVMICAMIRRQLKNRGKVGEHIRSVIWLIQNLLFRRKAFESRMSNTIIPHLITCIMCLQHYLVVLV >ONH93098 pep chromosome:Prunus_persica_NCBIv2:G8:19630130:19632381:1 gene:PRUPE_8G213300 transcript:ONH93098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCAALSASARGVFKNSKALPIETTFKLPAPLPSWPPGDGFASGSIDLGGLQVYQISSFTKVWATHEGGPDNLGASFFEPSPLPQGFYMLGCYSQPNNKPLSGWALAAKSTEHKDDHLLLKKPLDYTLVWNSESLKNLKKDGDGYVWLPTPPHGYEAIGHVVTSSPEKPSLDKIRCVRSDLTDHCEADSWIWGPGTAGDANGFNVFSLRPSNRGTQAMGVPAGTFVALNGLPSSTIAIACLKNTLSLSNSHIYMPNLTQIEALLEVYSPLIYLHPDEVYLPSSVGWFFSNGALLYKKQGDAVAIEPTGSNLPQGGSNDGSYWLDLPVDKSASARVKKGDLLHYQVYLHIKPMMGSTFTDIAIWVFYPFNGPATAKVEFFNISLGKIGEHVGDWEHLTLRVSNFTGELWRVFFSQHSGGTWVDASQLEFENGNKAVGYASLQGHALYPKAGLVLQGSGGIGIRNDTAKSKKVLDTGQSSLLVSAEYLGSAVTEPPWLNYSRKWGPNISYDIADEIKTVEKLLPGKLKSAFDKFVKSLPNEVLGEEGPTGPKMKNSWTGDEV >ONH92198 pep chromosome:Prunus_persica_NCBIv2:G8:16987695:16988597:1 gene:PRUPE_8G162000 transcript:ONH92198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRFVLVLALTLTAITPTLSTYHSATLLNVRHREKVTRLHFYLFDIISGTKPSAVEVARPKGAKYDKSATPFGSVWAIDDALREGPEFTSKVVGNAQGLYLSSVQDENSLGLVMYADFGFTTGKFNGSSFSVFSRNPIMEPGERELAVVGGRGRFRMAKGFVKVKTQFLNVTNGDAILEYRVTLVHY >ONH93611 pep chromosome:Prunus_persica_NCBIv2:G8:21181960:21183220:1 gene:PRUPE_8G242300 transcript:ONH93611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPARCKDAFLTTHYRLTRVTSYFPSLGKYSSRHFGKPTFTTGTTAPSFSHWKLDLIDAEGPQASMYRSIEVPFVLNTESDLRVFVDIINVRLKC >ONH92949 pep chromosome:Prunus_persica_NCBIv2:G8:19160963:19161367:-1 gene:PRUPE_8G204100 transcript:ONH92949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEPLWALGGWFLLPNCMAAAPKTSPSSSSPSVTEFHTKLNPCLLLFLSLVFFIFLLLVSPISNPTTTSPSSMASSSKRLLLEPSKPAMSTTNLHPKKTQNSRTSATSSTARARREFGAEAHEVPSGPNPISN >ONH90845 pep chromosome:Prunus_persica_NCBIv2:G8:11122824:11136490:1 gene:PRUPE_8G077000 transcript:ONH90845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESVTYFESYKGYNTIKQKSPFMACSLAISRFCGRSFIPYTSNQQVNPISYATNYKIRHPPFVSRNFLGNPLLAASVYSWRGLDFSNHGPAHSERFRMFAALDVAAAVDVINDLGFDTLTFLAVTVIIVPAFKIIKASPILGFFFAGIVLNQFGLIRNLTDVKILSEWGILFLLFEMGLELSFSRLKALAKFAFGMGLTQVVLSTLAFTAFELPPNGAIGTRILTFLFNSRPDLVNIRSIDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNLAEGSIWPMLLKESLKALGGLGILSLGGKFLLRRVFEFVAEARSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMPLLFREWPNVLSLLAGLIVIKTLIITAIGPRVGLTIKESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNETGRRAAEFIGDNLDAEDKPAEVVNFDSSEPVVILGFGQMGQVLANFLSTPLASGIDGDNLGWPFIAFDLDPSVVKASKNLGFPILYGDGSRPAVLQSAGISCPKAVMVMYTARNRTTDAVQSLRLAFPAVPIYARALDLKHLLDLKKAGATDAILESAETSLQLGSKLLKGLGVMSDDVNFLRQLFRDSMELQAQEGVSKTDDREFNSLKPMQVRVADLIEDAVPVPATSLEGESWGETKEDSSYILTIEGNVDEANPENSELQQSEHTEEEGVSHGGLETENGFAVKSQDVDGSNSCVTTKDEV >ONH90846 pep chromosome:Prunus_persica_NCBIv2:G8:11122824:11136490:1 gene:PRUPE_8G077000 transcript:ONH90846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESVTYFESYKGYNTIKQKSPFMACSLAISRFCGRSFIPYTSNQQVNPISYATNYKIRHPPFVSRNFLGNPLLAASVYSWRGLDFSNHGPAHSERFRMFAALDVAAAVDVINDLGFDTLTFLAVTVIIVPAFKIIKASPILGFFFAGIVLNQFGLIRNLTDVKILSEWGILFLLFEMGLELSFSRLKALAKFAFGMGLTQVVLSTLAFTAFELPPNGAIGTRILTFLFNSRPDLVNIRSIDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNLAEGSIWPMLLKESLKALGGLGILSLGGKFLLRRVFEFVAEARSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMPLLFREWPNVLSLLAGLIVIKTLIITAIGPRVGLTIKESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNETGRRAAEFIGDNLDAEDKPAEVVNFDSSEPVVILGFGQMGQVLANFLSTPLASGIDGDNLGWPFIAFDLDPSVVKASKNLGFPILYGDGSRPAVLQSAGISCPKAVMVMYTARNRTTDAVQSLRLAFPAVPIYARALDLKHLLDLKKAGATDAILESAETSLQLGSKLLKGLGVMSDDVNFLRQLFRDSMELQAQEGVSKTDDREFNSLKPMQVRVADLIEDAVPVPATSLEGESWGETKEDSSYILTIEGNVDEANPENSELQQSEHTEEEGVSHGGLETENGFAVKSQDVDGSNSCVTTKDEV >ONH91988 pep chromosome:Prunus_persica_NCBIv2:G8:16298649:16303704:-1 gene:PRUPE_8G148400 transcript:ONH91988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSNRSLTICIRLLSLWRKALLLLLLLPNFCSTQTIIKNLPGFSGDLPFKLETGYFGVGNKDELQLFYYFIESERSPENDPLLLWITGGPRCSAFSGLVYEIGPISFSFTSITKDPAELVLNPYSWTKLANIIFLDAPAGTGFSYSTTTDGYNTSDSIHAKRASDFLQKWLSTHRKFLANPLYISGDSYSGKIVPIIVQEIVNGIEAGTEPPLNLKGYIIGDPVTNEKEDLNSRIEFAHRMALISNRMYESTKRNCKGEYVDVDPNNQLCLNNLQAFKECTSRLDDSHILAPACVPRINHNEQTTFGWDWDSVDDNFLSFPFPESLCRVDRLRYSVVWANDMKVRKSLNIREGTKGEWARCNRSTPYIKDVQRAVEYHRNLSQKSLRAFVYSGDHDLSVPYVSTEAWIESLSLPIDDDWKPWFSNNQVAGYTVRYSNGEYHLTYATIKGGGHTAPEFNPKECFDMIKRWLEHSPL >ONH91990 pep chromosome:Prunus_persica_NCBIv2:G8:16298649:16303539:-1 gene:PRUPE_8G148400 transcript:ONH91990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSNRSLTICIRLLSLWRKALLLLLLLPNFCSTQTIIKNLPGFSGDLPFKLETGYFGVGNKDELQLFYYFIESERSPENDPLLLWITGGPRCSAFSGLVYEIGPISFSFTSITKDPAELVLNPYSWTKLANIIFLDAPAGTGFSYSTTTDGYNTSDSIHAKRASDFLQKWLSTHRKFLANPLYISGDSYSGKIVPIIVQEIVNGIEAGTEPPLNLKGYIIGDPVTNEKEDLNSRIEFAHRMALISNRMYESTKRNCKGEYVDVDPNNQLCLNNLQAFKECTSRLDDSHILAPACVPRINHNEQTTFGWDWDSVDDNFLSFPFPESLCRVDRLRYSVVWANDMKVRKSLNIREGTKGEWARCNRSTPYIKDVQRAVEYHRNLSQKSLRAFVYSGDHDLSVPYVSTEAWIESLSLPIDDDWKPWFSNNQVAGYTVRYSNGEYHLTYATIKGGGHTAPEFNPKECFDMIKRWLEHSPL >ONH91989 pep chromosome:Prunus_persica_NCBIv2:G8:16298649:16303797:-1 gene:PRUPE_8G148400 transcript:ONH91989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSNRSLTICIRLLSLWRKALLLLLLLPNFCSTQTIIKNLPGFSGDLPFKLETGYFGVGNKDELQLFYYFIESERSPENDPLLLWITGGPRCSAFSGLVYEIGPISFSFTSITKDPAELVLNPYSWTKLANIIFLDAPAGTGFSYSTTTDGYNTSDSIHAKRASDFLQKWLSTHRKFLANPLYISGDSYSGKIVPIIVQEIVNGIEAGTEPPLNLKGYIIGDPVTNEKEDLNSRIEFAHRMALISNRMYESTKRNCKGEYVDVDPNNQLCLNNLQAFKECTSRLDDSHILAPACVPRINHNEQTTFGWDWDSVDDNFLSFPFPESLCRVDRLRYSVVWANDMKVRKSLNIREGTKGEWARCNRSTPYIKDVQRAVEYHRNLSQKSLRAFVYSGDHDLSVPYVSTEAWIESLSLPIDDDWKPWFSNNQVAGYTVRYSNGEYHLTYATIKGGGHTAPEFNPKECFDMIKRWLEHSPL >ONH93939 pep chromosome:Prunus_persica_NCBIv2:G8:22086206:22088564:1 gene:PRUPE_8G261800 transcript:ONH93939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMRASAKLISSTHPTLHLSHPTPESFVWNTLIRAHVQATVPNPYSPLSVFLRMRLHGVVPDNRTFPFLLQSFGSLPYLQSGKQIHAQAFLFGFTHDPFVQTSLIHMYSSCGNLMLARQVFDEIYEPDLPSWNSIMNAYSKVGLIGNARDVFDKMPHRNVISWSCVIKGYVMCGGYKEALALFREMQMLDADGTRPNEFTMSSVLLACGRLGALEHGKWVHAYIDKSGMEIDVILGTALVDMYAKCGSIEKAKWVFDNMGPSKDVMAWSSMISGLAMHGLATECLELFSEMVKCGVRPNAVTFVGVLCACVHGGLVGEGKEYFRRMDEEFGVKPLIQHYGCIVDLYGRAGLVREALKVVQSMPMEPDVLIWGALLSGSRMQKDIETSEIALKNLIQLDPTNSGAYVLLSNVYAKMGRWSEVTRVRDLMEEREIKKVPGCSLVEVGGVLHEFSVGDDSHPESREIYMMLDEIMKRLKLRGFVGNTSEVLLELDEEGKELALSFHSEKLALAFCFLKTSPGTPIRIVKNLRICRDCHDAMKMISKEFDREIVIRDCNRFHHFRQGLCSCKDYW >ONH92665 pep chromosome:Prunus_persica_NCBIv2:G8:18453351:18455542:1 gene:PRUPE_8G188000 transcript:ONH92665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSTTAVTGNSIFPRNSNSRPKNSQNRKEVISLLQKCKHVYQIQPIHAKIIRNAQGQDPFVIFELLRLCSNLNSIDYANKIFQQTKTPNVYLYTALIDGFVSSGCYLDAIRLYCQMVNEFILPDNYAITSVLKACGFGLALEEGREVHAQVLKLGLSTNRSVRMKLTGLYGKCGEFERARQVFDEMPEGDVVASSIMITSYVDYGLVEEANGVFNRVRTKDTVCWTAMIDGLVRNGEMNRALEVFREMQRNNVRPNEVTIVCVLSACSQLGALELGRWVHSYMDKYGIEFNYIVGGALINMYSRCGDIGEAVEVFGKMQERDVSSYNSMIEGLAMHGKSNAAVEMFWTMIKQGLRPNSITFVKVLNACSHGGLLEFGFEIFHSMTTRHGIQPQIEHYGCMVDLLGRSGQLEEAYSFITKMKMAPDHIMLGALLSACKIHGNLELGERVAEILVNCGNADSGTYVLLSNVYSSSGRWKEAAQVRAEMKESGTPKEPGCSLIEVNNEIHEFLLGDLRHPKKEEIYKKLKELNDELKSEGYLPATEVVLHDIEDREKEWALLIHSERLAICYGLISTKPCTTLRIVKNLRVCNDCHSMIKLIAKITKRKIVVRDRNRFHHFENGACSCADYW >ONH91536 pep chromosome:Prunus_persica_NCBIv2:G8:14780302:14790006:1 gene:PRUPE_8G122100 transcript:ONH91536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGATLLRSSLACNRLFFSFRSARRLSGFALPSSRSSSSSSASAIRNHRHRRILNPSRRSPLRRSSRLVPSSAPNSARRSFSSLAPRAIATPFTQSPSEFSGVEDEVVEKLGFEKVSEEFIGECKSKALLFRHKKTGAQVISVSNDDENKVFGIVFRTPPNDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLNTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFRTFQQEGWHYELNDPSEDISYKGVVFNEMKGVYSQPDNILGRASQQALFPDNTYGVDSGGDPKVIPKLTFEEFKEFHRKYYHPSNARIWFYGDDDPTERLRILSEYLDMFDASSSPNESRIQAQKLFSEPIRISEKYPAGEGGDLRKKNMVCLNWLLSDKPLDLETELTLGFLDHLMLGTPASPLRKILLESGLGEAIVGGGVEDELLQPQFSIGLKGVSEDDIQNVEEVVMSTLKKLAEEGFDTDAVEASMNTIEFSLRENNTGSFPRGLSLMLRSMGKWIYDMDPFEPLKYEKPLLALKARIEAEGSKAVFSPLIEKFILNNRHRVVVEMQPDPEKASRDEEAEKQILDKVKAGMTEEDLAELARATQELRLRQETPDPPEALRSVPSLSLQDIPKEPTRVPTEVGDINGVKVLQHDLFTNDVLYTEVVFNMSSLKQELLPLVPLFCQSLLEMGTKDLSFVQLNQLIGRKTGGISVYPMTSSVRGKEDPCSHIIVRGKAMAGRADDLFHLFNCVLQEVQFTDQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNVAGWISEQMGGVSYLEFLQALEEKVDQDWDGISSSLEEIRKSLLSRNGCIVNMTAEGKNLTNSEKFVSKFLDLLPNSPVATSTWNARLPSSNEAIVIPTQVNYVGKAANIYDTGYQLNGSAYVISKYICNTWLWDRVRVSGGAYGGFCDFDSHSGVFSFLSYRDPNLFKTLGVYDGTGDFLRQLDMDDETLTKSIIGTIGDVDSYQLPDAKGYSSLLRHLLGVTEEERQRRREEILSTSVKDFKEFAEAIDAVKNKGVVVAVASPDDVEAAHKEQNNFFEVKKAL >ONH91479 pep chromosome:Prunus_persica_NCBIv2:G8:14412158:14414110:1 gene:PRUPE_8G117300 transcript:ONH91479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSFANPPPQKYDVFISFRGADTRDTFTSHLHAALVRKKIQTYMDCRLERGDKIGPSLLQAIKESKLSLIVFSKNYAFSTWCLDELMHILGCKKSYGQIVIPIFYDTDPSQVRKQHESYRDAFAQLEERFEDNMDKVLMWRNALKEAANMSGFDNSKRTRAEADFIEEVAEDVLTKLNRASSRVLEDLDQFYSRKIEEVESLLCLDSPDVRTVGIWVGSWVGNLGKSWLTTLGDVLIHRLSRQFEATCFLADVKEGSKRHGLNHLRNLLLREILNEKALIIDTPSVSPFIQERLSRTKVLIVLDDVTDSSQIENLACSSHLKFGPGSRIIITTRDKSLLKKTLCHDKIYKFGHKDGLISWALKSGVSAREDGCILKRTLLHIGARGVN >ONH90369 pep chromosome:Prunus_persica_NCBIv2:G8:5450909:5452155:1 gene:PRUPE_8G050100 transcript:ONH90369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYLSLGARASFLLGIFFMLIVVGRSLRVRPHLPLNLQAEVITGNRVKVRMHLEEGANKEENMELYPFGSSLPDCSHACGPCFPCKRVMVSFKCSVSESCPIVYRCTCRGKYYHVPSN >ONH89873 pep chromosome:Prunus_persica_NCBIv2:G8:1913072:1915713:1 gene:PRUPE_8G021200 transcript:ONH89873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFDPVPFSLFQPHESLPWGPSAKNWFNLTQFRGFDPVGNWALNRLIKSLSQLFLDHASEWFKKKRVEQMAASSADQKDKYRSYLYGEGEKNTKWRFGAPPNYDVVNKLFEEGRTKIWPPGSLEEMVQNLLKTYEMELFHKACVDDFKSIDPKKFTLSLNGREGLSLEGIKKLGGGYNSLLQSSLPEEFQIYKPSVETADSSHRAFTTTFPRGFAVEILQVYSGPPQIVYKFRHWAYMEGPFKGHAPTGELVEFYGMAIFGVDEHMKIVSVEFFYDPGELLGALVKGAKIENYAGEMTTGCPILRSTG >ONH91105 pep chromosome:Prunus_persica_NCBIv2:G8:12618593:12622014:-1 gene:PRUPE_8G093300 transcript:ONH91105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIKDVMKNSEGGVAQKKESLVSNLKTQENNQEIDSGSALQLFLDNILISSIPGIKNSPVLELKTGDSVRDAIHLMHEKNVCGAPITDNTKGSFSDPYIGFIDFASIVLWFFEECGNRRRKTRAEEIGNYGVFDMLEQHPHIGQTKIGELAKSFLWNSYFPVHLDETLFHVLLLLSKHRLQVVPVIERTSSKLIGFISQHGVLLLLLQSSGLDWFDSIADKPLSEFRFGNEEHVLHVYGDQSMTEALHVLWKSQNGAVAVTDRKSKRVIGSIRKCDIYLLLENLPRNIKSLTVEEFIHMENDHKTGSDPTTERDIGALLSAGFLRLKNNFAPRMDSPVTNKKTETLKQAMRNMADTKSSFSFLVDESNQATGMLTLRDMIIHFAPPCIDSSIHGCGFFESALEQTGCHVKNGTIISDN >ONH90072 pep chromosome:Prunus_persica_NCBIv2:G8:3105031:3105687:-1 gene:PRUPE_8G033200 transcript:ONH90072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQGLGKFKNCLAVCHIKDFTGIRPRELAVSLGLLVSELSEETAWKGKMISSEGDDLKSKCEFMMRTCSSELGSYVHSRKVWDFIREVVVKENLKAEQMVKKVFVFTEFGGYGDGTFLKTLYEAKQSEFKEQGYRDNAVPHILLWNMGDWNKPCIEEHHPGVTLLRGFSENLLKSFLDNGGEIGRRHLMEAAIADKEYQALSVVD >ONH91286 pep chromosome:Prunus_persica_NCBIv2:G8:13459420:13462525:1 gene:PRUPE_8G103900 transcript:ONH91286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQFRKKVLSREDDEDETAQNRKEKERGVKRQPTSPHEANDDDDDGSESEQERLCDQREREQLDRNIRERDAAATRKLSEQKEAFATRRSNIVGDVETLRKVSRQEYLKKREANKLKELGESIEDGEYLYKGVKLTEVEYNRLSYEKKIYELATKHEAATHHDQYYRIPEPYDDHEGGVNQEKRFSVALQRCWDDDLNGRDKKSNQFAEQKAWEDQQIGKATLKFGSKNKRRKCDEEYDFVFEDQIEFVKKSVLLEGDQMIANGNVDVGKVQPSHQLDKLREERKTLPIYAFRERLLQDVEKNQVLIIVGETGSGKTTQIPQYLHEAGYTKGGKKIGCTQPRRIAAMSVAARVSQEMGVKLGHAVGYSIRFEDCTSEKTVLKYMTDGMLLREFLVEPDLASYSVVMVDEAHERTLSTDVLLGLLKDIARYRPDLKLIISSATLDAEKFSDFFDSAKILRIPGRRYPVDIHYMEAPQADYLDAAIVAALQVHVTQPPGDILVFLTGQEEIETAEEIFKHRTRGLGTKISELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFSKMKSYNPRSGMESLQVTPISKASSMQRAGRAGRTGHGKCFRLYTSYNYDSDLDDSTVPEVQRTNLANVVLTLKSLGIHDLVNFEFMDPPPCEALLKALELLFALGALNKVGELTKVGRRMAEFPVDPMLSKMIVASDKYKCSDEVISIAAMLSTGSSIFYRPKDKQVHADTARLRFHSGNVGDHIALLKVYNAWKEANYSTQWCYENYIQVRSMKRARDIRDQLERLLERVEIKLSSSNDLEAIKKAVTSGFFPHCSRLQKNGSYRTIKHPHTAHIHPSSGIMALEHHVLPRCVLYHELVLTTKEYMRQVTEIKPEWLVEIAPHYYQLNGVVEEDYSTSKKMPRGKGRTSTSKQIPNLVDYSICV >ONH92693 pep chromosome:Prunus_persica_NCBIv2:G8:18523412:18526358:1 gene:PRUPE_8G189900 transcript:ONH92693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSICYSMTSIPFLSLTPHTTTSKLFTKRNSYTPRSSFSSSSSSRSFPKRVLKNGVCLSIKACSTSPFIGRVGSQRREGNSSLLSFGTNPSAAISKEGAQSSGDSSQLLSAMLPFVVAATAVAALAQPSTFTWVSKDLYAPALGGIMLSIGIKLSIDDFALAFKRPLPLSIGFMAQYMLKPVLGVLIAKAFGVSRIFYAGFVLTTCVAGAQLSSYASFLSKGDVALSILLTSTTTIASVIVTPLLSGLLIGSVVPVDAVAMSKSILQVVLVPVTIGLVLNTYAKPVVNFLGPVMPVVAMICTSLCIGSPLAINRSQILSAEGFRLIFPVIAFHAVAFTVGYWVSKIPSLRQEEEVSRTLSLCTGMQSSTLAGLLASQFLGSSQAVPPACSVVAMAIVGLFLASFWGTGSQIRDLPSLLMPQTGSTMKA >ONH94074 pep chromosome:Prunus_persica_NCBIv2:G8:22371730:22375503:-1 gene:PRUPE_8G268500 transcript:ONH94074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIIRFSGFFSAAMLMIVLSPSLQSFPPAEAIRSSHHHHHLDYSYLRLPPPVLLSDSGSMVGAGGRLNFRKASAFRNSNECASPSPKTQIGDACDPNLVHVAITLDVEYLRGSIAAVHSVLQHSLCPESVFFHFLVSETNLEALVQSTFPQLKFRVYYFDPRMVRSLISTSVRQALEQPLNYARNYLADLLETCVERVIYLDSDLVVVDDISRLWTTSLGSRTIGAPEYCHANFTNYFTPAFWSDKRLSGTFDCRKPCYFNTGVMVIDLIKWRRGRYTKRIEKWMEIQKNRRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVKGSCRDLHSGPVSLLHWSGSGKPWLRLDSKRPCPLDALWSPYDLYGHTK >ONH94073 pep chromosome:Prunus_persica_NCBIv2:G8:22373559:22374692:-1 gene:PRUPE_8G268500 transcript:ONH94073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIIRFSGFFSAAMLMIVLSPSLQSFPPAEAIRSSHHHHHLDYSYLRLPPPVLLSDSGSMVGAGGRLNFRKASAFRNSNECASPSPKTQIGDACDPNLVHVAITLDVEYLRGSIAAVHSVLQHSLCPESVFFHFLVSETNLEALVQSTFPQLKFRVYYFDPRMVRSLISTSVRQALEQPLNYARNYLADLLETCVERVIYLDSDLVVVDDISRLWTTSLGSRTIGAPEYCHANFTNYFTPAFWSDKRLSGTFDCRKPCYFNTGVMVIDLIKWRRGRYTKRIEKWMEIQKNRRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVKGSCRDLHSGPVSLLHWSGSGKPWLRLDSKRPCPLDALWSPYDLYGHTK >ONH93030 pep chromosome:Prunus_persica_NCBIv2:G8:19433449:19437639:-1 gene:PRUPE_8G209200 transcript:ONH93030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFAGSLASKARLARNCTQQIGSSLISRRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVLEQSFGAPKVTKDGVTVAKSIEFRDKVKNIGASLVKQVANATNDAAGDGCKSVAAGMNAMDLRRGISMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLYNELEVVEGMKLDRGYISPYFITNPKNQKCELEDPLVLIHEKKISNLNSIVKILELALKKQRPLLIVAEDVESEALATLIINKLRAGIKVCAIKAPGFGENRKANLQDLAILTGGEVITEELGLNLDKVGVETLGTCKRVTISKDDTVILDGAGDKKAIEERCEQLRSSIELSTSDYDKEKLQERLAKISGGVAVLKIGGASEAEVSEKKDRVTDALNATKAAVEEGIVPGGGAALLYASKELDKLATANFDQKIGVQIIQNALKMPVSTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMIKAGIIDPLKVIRTALVDAASVSSLMTTTEAVVVELPKDEKETPGMGGGMGGMDY >ONH93031 pep chromosome:Prunus_persica_NCBIv2:G8:19433764:19437510:-1 gene:PRUPE_8G209200 transcript:ONH93031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFAGSLASKARLARNCTQQIGSSLISRRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVLEQSFGAPKVTKDGVTVAKSIEFRDKVKNIGASLVKQVANATNDAAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGISMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGKTLYNELEVVEGMKLDRGYISPYFITNPKNQKCELEDPLVLIHEKKISNLNSIVKILELALKKQRPLLIVAEDVESEALATLIINKLRAGIKVCAIKAPGFGENRKANLQDLAILTGGEVITEELGLNLDKVGVETLGTCKRVTISKDDTVILDGAGDKKAIEERCEQLRSSIELSTSDYDKEKLQERLAKISGGVAVLKIGGASEAEVSEKKDRVTDALNATKAAVEEGIVPGGGAALLYASKELDKLATANFDQKIGVQIIQNALKMPVSTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMIKAGIIDPLKVIRTALVDAASVSSLMTTTEAVVVELPKDEKETPGMGGGMGGMDY >ONH93421 pep chromosome:Prunus_persica_NCBIv2:G8:20602469:20606683:-1 gene:PRUPE_8G231300 transcript:ONH93421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQVVKVKRETITACMTCPLCHKLFRDATTISECLHTFCRKCIYSKISDEELEFCPICNIDLGCVPLEKLRPDHSLQDVRAKIFPFKRRKVRAPEVMPSATLPARRKERSLSSLVVSTPRVSTQATMMTGRRTKAVARKASALQGSSFLVERPIKKEEDSAAEDHPERFSSPEASNKSGQNNRQSSFSAEPSKSVPIKETENGGEAREGKLDLWKPLNFLVEVANRTKSHKSNSQGSDNKVEPVHVIASESQVRKPKNKENKQKSKVEDEKNSTYPISPETVKPKKLRRVRRKREAFGESSISPQAVLDAAIGKHERRVGPIWFSLVASEDQEDEPLPQIPTNYLRIKDGNVPVSFIQKYLMRKLDLTNEAEIEIKCMGQPVVPTLQMYNLVDLWLQTSSPSQRITASIGSSAKDFVMVLAYSRKVSNS >ONH92073 pep chromosome:Prunus_persica_NCBIv2:G8:16557898:16558371:-1 gene:PRUPE_8G152700 transcript:ONH92073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCFVLLCLTSLVLHPSHAQNSQQDYLNAHNVAREQVGVPNITWDSTVEAYAQNYANSRAADCNLVHSNGTYGENLAEGSGSFTGTSAVNLWVAEKPNYNYTSNSCVGGQQCLHYTQVVWKNSVRLGCARVKCSNGLWFVTCNYDPPGNYVGQRPY >ONH90669 pep chromosome:Prunus_persica_NCBIv2:G8:10058814:10059841:-1 gene:PRUPE_8G068200 transcript:ONH90669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWKSFVIAIFVIASLLSSTHSAFAAPRKLLAPTFPRYKLPKIPNLKFPPLTSTPLWPEYRLPPPIITSLPNFPSTPIFPFSPPSITTTP >ONH93684 pep chromosome:Prunus_persica_NCBIv2:G8:21382808:21383293:1 gene:PRUPE_8G246800 transcript:ONH93684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVSANISKEEIDGFLEEHNKARKEVGNKPLKWNTTLAQYAQEYANKRVDDCAMEHSRGRWGENLTSGDGMTGAAGTKYWVTEKEFYDPKSNKCVKEECGHYLAVIWGKTTEVGCGISKCKDGKNYIVCSYDPMYQPEDERPY >ONH93132 pep chromosome:Prunus_persica_NCBIv2:G8:19754144:19758935:-1 gene:PRUPE_8G215000 transcript:ONH93132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQSLAFSSPVSHCLQQPRLHSGLLSWTVHRSAKSTFNGQSLHVSRPQWAPIRRCTQASRSITMMVKPKIQFIQGTDEQTIPDVRLTKSKDGTNGVAIFKFEQPSVFDSSGEFGDITGFYMIDEEGVLQSVDVNAKFVNGKPAGIEAKYTMRTPRDWDRFMRFMERYANDNGLQFIKK >ONH93134 pep chromosome:Prunus_persica_NCBIv2:G8:19757206:19758953:-1 gene:PRUPE_8G215000 transcript:ONH93134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQSLAFSSPVSHCLQQPRLHSGLLSWTVHRSAKSTFNGQSLHVSRPQWAPIRRCTQASRSITMMVKPKIQFIQGTDEQTIPDVRLTKSKDGTNGVAIFKFEQPSVFDSSGEFGDITGFYMIDEEGVLQSVDVNAKFVNGKPAGIEAKYTMRTPRDWDRFMRFMERYANDNGLQFIKK >ONH93133 pep chromosome:Prunus_persica_NCBIv2:G8:19753896:19758935:-1 gene:PRUPE_8G215000 transcript:ONH93133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQSLAFSSPVSHCLQQPRLHSGLLSWTVHRSAKSTFNGQSLHVSRPQWAPIRRCTQASRSITMMVKPKIQFIQGTDEQTIPDVRLTKSKDGTNGVAIFKFEQPSVFDSSGEFGDITGFYMIDEEGVLQSVDVNAKFVNGKPAGIEAKYTMRTPRDWDRFMRFMERYANDNGLQFIKK >ONH90025 pep chromosome:Prunus_persica_NCBIv2:G8:2906941:2907468:1 gene:PRUPE_8G030700 transcript:ONH90025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIAAIVKNSATGIPSSSAAVMLKVMKPSETMQVTGGLLILLEVAMSWSFKRRLRNTAKKFFLMESQSK >ONH90349 pep chromosome:Prunus_persica_NCBIv2:G8:5273587:5274712:-1 gene:PRUPE_8G048500 transcript:ONH90349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSQVSTDDGEAEVKEMRGVSYNFYLHPCHFLEEAVRAFFKCLGIENRAQEEKDDGQKDTETNPNKIAPSTSQAELQDDAADPSVTATDTTEADPAPSSTTKQTSEVAASSRVVRQPITTGGGGQIN >ONH90703 pep chromosome:Prunus_persica_NCBIv2:G8:10274274:10279843:1 gene:PRUPE_8G070000 transcript:ONH90703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEDNATGDHEEASQYAFAVCLEEDMEEDVEDDEEDEEDGEVDEEDEDEDENTFSFKDGVNPLDFVEDDAFGDQVYEQFVGMGYEALAERKRKTLEDSRPEGSVKKARHEDVTGASMEEIMEAMNYGMQRRTRKPKKKGRRKGSKKKLTPEITRRLGEATLHYVHGRYEEAIPILAEIVKQAPDLSETYHTLGLVHDNLGNELKALNCFTIAALLAPKNPALWELLFGWFNRRGDAHKAIYCLSRAISADPKNIDLKLGRASLYVKLGDYHKAAASYEQIVQACPDNVEALKTAAVMYDRSGQHEHSIHILEAYLRDHPTEADPSVIDLLASILMENNAHNEAIQHIEHAQLVFCSNKAMPLTMKIKAGICHAYLGNMEKAETLFSALEQQSADQADLIAKVADSFMSLGHYSSALKYYLMLKGNTKYNKGFLHMKIARCHLSLNDRLQAILWFYEAVKTLEDNIETRLTLASILLEEAREDEAVLLLSPPKNLDRFEAQTNKSEPWWCNGKVKLKLCYIYRAKGMLKEFVDAIYPLVHESLRIESLQQKVKVKKRLTKSVLLERVKVLDDHQTDNLLCRSRPVAPASDLLKAARAKKLLQKKAKVKEEKRAEAMAAGVDWQSDDSADDPPEEIHQEPPLPDLLKDKENHGLVIDLCKSLASLHRYCEALEIINLALKSTRNMCSVAEELRSLGAQIAYNTPDPEHGVDCVKYIADQHPYSNAAWNCYYKVITRLDDWYARHYKFLRGKRDKLKDCAPPSIISGHHFTKKSRHQDAAREYLEAYKLLPENPLINLCVGTALINLALGHRLQNRHQCVAQGLAFLHKNLQLCEFSQEAFFNIARAYHHVGLVTLAAWHYGKVLAMHVKDYPIPKLPHEKPESVENRLLGYCDLRREAAFNLHLIYKKSGAVDLARQVLRDHCTF >ONH89673 pep chromosome:Prunus_persica_NCBIv2:G8:643299:646193:1 gene:PRUPE_8G008600 transcript:ONH89673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKIQLSRNWDRNLNHRQIRGWGHHRSGGRGRLRSRGRGRGRGRLRSRGRGRLRSRGRGRLRSRGRGRCRQEKGSSESRNKQHLHGNMSSFNDLPYRLKHCFLYCSIFPEDYLIRAERLIRLWIAEGFVEHVKGVTLEEVSESYLMELNFRSMLQVVRCPTIRQACKMHDLMRELALSALEKEKFCVVYDGREVMEEIRARRLSIQTSEGEIKMKLLRYLYLLVTREEEFLRIDAFASLPGPPPHLQRLLLSGKLATVPSWFSSLRSLTDISLHLLKMYYHRLILVNAYVGNELCFNIGFAWLTHLELLNFPCLKNITIEEGVMPKLQLLILHCCMKLKALPHGLEFLRNLETLRLGSVPMKMIENIREGGVDHPKVQHIREIDQIYETSSATSSAR >ONH92418 pep chromosome:Prunus_persica_NCBIv2:G8:17742814:17745543:1 gene:PRUPE_8G174600 transcript:ONH92418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSLRQKHPTKLKISKALDMEEKVGRSRFKRVCVFCGSSTGKRNCYKDAAIELAQELVSRRLDLVYGGGSIGLMGLVSQAVHRGGGNVLGIIPRTLMCKEITGETVGEVRPVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRHIIVSAPNAKELVQKLEEYVPVHDGAIAKARWEVEQQQQQQQVGFNGAATLQTEIAL >ONH89556 pep chromosome:Prunus_persica_NCBIv2:G8:168651:172375:1 gene:PRUPE_8G002000 transcript:ONH89556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKQVSGRAMLVLCLASFLAGSLFCASRMTWTTQPSSDPNKEGHQFPIISNQLKKLEASVKQDCDHKRKLVEGKSEDVIMGEVAKTHQAILSLDKTISRLETELAAARANQRLDGQVKLDGASNKASPKQKAFVVIGINTAFSSKKRRKTVRETWMPKGGELKKLEEEKGIVIRFVIGQSAKPGGMLDRAIEAEEAEHKDFLRLNHVEGYHELSTKTRLYFSTAISIWDADFYVKVDDDVHVNLGMLVSALASHRSKPRIYIGCMKSGPVLFHKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLAAYISINLPILHRYANEDVSLGAWLIGLEVEHVDDRSMCCGTPTECERRAEAGNVCVASFDWKCSGICDSVERMKDVHNLCGEGNGAVWNINL >ONH89555 pep chromosome:Prunus_persica_NCBIv2:G8:168725:172375:1 gene:PRUPE_8G002000 transcript:ONH89555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKQVSGRAMLVLCLASFLAGSLFCASRMTWTTQPSSDPNKEGHQFPIISNQLKKLEASVKQDCDHKRKLVEGKSEDVIMGEVAKTHQAILSLDKTISRLETELAAARANQRLDGQVKLDGASNKASPKQKAFVVIGINTAFSSKKRRKTVRETWMPKGGELKKLEEEKGIVIRFVIGQSAKPGGMLDRAIEAEEAEHKDFLRLNHVEGYHELSTKTRLYFSTAISIWDADFYVKVDDDVHVNLGMLVSALASHRSKPRIYIGCMKSGPVLFHKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLAAYISINLPILHRYANEDVSLGAWLIGLEVEHVDDRSMCCGTPTECERRAEAGNVCVASFDWKCSGICDSVERMKDVHNLCGEGNGAVWNINL >ONH89557 pep chromosome:Prunus_persica_NCBIv2:G8:168725:172375:1 gene:PRUPE_8G002000 transcript:ONH89557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKQVSGRAMLVLCLASFLAGSLFCASRMTWTTQPSSDPNKEGHQFPIISNQLKKLEASVKQDCDHKRKLVEGKSEDVIMGEVAKTHQAILSLDKTISRLETELAAARANQRLDGQVKLDGASNKASPKQKAFVVIGINTAFSSKKRRKTVRETWMPKGGELKKLEEEKGIVIRFVIGQSAKPGGMLDRAIEAEEAEHKDFLRLNHVEGYHELSTKTRLYFSTAISIWDADFYVKVDDDVHVNLGMLVSALASHRSKPRIYIGCMKSGPVLFHKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLAAYISINLPILHRYANEDVSLGAWLIGLEVEHVDDRSMCCGTPTECERRAEAGNVCVASFDWKCSGICDSVERMKDVHNLCGEGNGAVWNINL >ONH90791 pep chromosome:Prunus_persica_NCBIv2:G8:10837264:10841502:1 gene:PRUPE_8G074300 transcript:ONH90791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVYFLLELDPFIINNGDYKCRLINLRDHHFDSNIILSCLGVHGGRLKMFEFELATNTFLVWEVNVNKEEYHGGFEKVAKDPSGKEINVLEQHINPSSPLFFNTLYDPFREDANLFF >ONH92164 pep chromosome:Prunus_persica_NCBIv2:G8:16866325:16868559:1 gene:PRUPE_8G159600 transcript:ONH92164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEHVGQGGSIMKANGGRATILALGKAFPHQLVMQDFLVDGYFRDTNCDDPELKQKLARLCKTTTVKTRYVVMSDEILEKYPELTTEGTPTIKQRLHICNEAVTQMAIEASGACIKNWGRPISDITHLVYVSSSEARLPGGDIYLAKGLGLRPETQRVLLYFSGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGYKPPSAHRPYDLVGVALFGDGAGAMLIGSDPDLISEKPLFELHTAIQEFLPDTEKTIDGRVTEEGISFKLGRELPQIIEDHIEGFCGRLMGVLGYDNKEYNKMFWAVHPGGPAILNRLEKRLDLFPEKLNASRRALTDYGNASSNTIVYVLEYMIEESKKIKKEQQEGDGEWGLILAFGPGITFEGILARNLAV >ONH91225 pep chromosome:Prunus_persica_NCBIv2:G8:13143247:13160423:-1 gene:PRUPE_8G100100 transcript:ONH91225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTELCDLIAEHPTQFAEKLSWICGRCPPPEFLLCGSPRVSRSQLNAVLAVSRFISKCPDSADPRPKSVVLEFLRSVPASFNRSFWPQSFGNDSIASFFSDFLGYVCKATELSSDFATEITGFTGEVVVTAISNGGEDSGISRAFLMALSENFPPILPSDAEKLITMFMDQFAASGPVVQSPVTPRRIAANSETSSAQSSPLNGNHYQANESSSPRNEASNVTGSSGSVSSRGSVMVNGSSIVWKSGVDQLGLTFGLSEGGGAVMLRQQVSSFEEESVENLEKQEIAFKLVAHILDKVRIDSALLEQVRFIAKRQLQSMSVFLKIRKRDWNEHGALLKARINMKLSVYQAAAKLTLSCLACYETDVKSAKKLAHETLALLMDAAEACLLSVWRKMRVCEELFSSLLSELAQIAVKRGGQALRILLIRLKPVVLTVCAQADTWASSQGAMFESVMKTSCEIIESCWTKERAPVDTFIMGLATSIRERNDYEEQEDKDKEAVPVVQLNVIRLLADLNVAVKKPEVVDMILPLFIESLEEGDASSPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSLGSAESKTVPQEATTERVETLPAGFLLIASGLMNPKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPSVDVEPSLLKLFRNLWFYVALFGLAPPIQNTQHPAKPFSTTLNSVGSMGTIPLQAVGGPYMWNAQWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPDSRRGSGNEKVAVTQRAALSTALGGRVDVASMNTISGVKATYLLAVAFLEIIRFSSNGGILNGGTSLATSRSAFSCVFEYLKTPNLVPAVFQCLMATVHRAFETAVSWLEDRISETGNEAEVRESTLSAHACFLIKSMSHREEHIRDVAVILLSQLKDRFPQVLWNSSCVDSLLFSIHNDSSSTVVNDPGWVVTVRSLYQKIVREWILKSLSYAPCSSQGLLQEKLCKANTWQRAQHTTDVVSLLSEIRIGTGKTDCWNGIQTANIPAVMAAAAAASGANLKLTEAFNLEVLSTGIVSATVKCNHAGEIAGMRSLYNSIGGFQSGTTPTGFGLGVGLQRLISGAFPQQTQAEDDQFNGILLTKFVRLLQQFVNAAEKGVEADKSQFRKTCSQATALLLSNLGSNSKSNVEGFSQLLRLLCWCPAYISTPDAMETGVFVWTWLVSAAPELGSLVLAELVDAWLWTIDTKRGIFASDVKYSGPAAKLRPHLSPGEPEAEPEIDPVEQIMAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGMTKLPWNFSHHPAATGTFFTVMLLGLKFCSCQSQRNLQNFKTGLQLLEDRIYRTSLGWFAYEPEWYDTNYMNFSQSEAQSVSLFVHYLSNERVEAAVQSDLKGRGRENGTTLVDVNDQYHPVWGQMENYAAGREKRKQLLLMLCQHEADRLEVWSQPTNTKESASSKQKISSEKWVEHARTAFAVDPRIALSLASRFPTNTFLKAEVTQLVQSHILDIRSIPEALPYFVTPKAVDENSVLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDEERLVEGYLLRATQRSDIFAHILIWHLQGETFVPESGKDAVPVKNSSFQELLPLVRQHIIDGFTPKALDVFRREFDFFDKVTSISGVLFPLPKEERRAGIRRLYLIHGAEVRWVKPLMVVCMRFFNRTTALLALPALKLHVKTSSLAVPVMPLPAFYFNQRIDTMGIFCLTTLEGLFILILVSSWKLHRVETCALKVHTLSLAMR >ONH91224 pep chromosome:Prunus_persica_NCBIv2:G8:13143491:13160007:-1 gene:PRUPE_8G100100 transcript:ONH91224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTELCDLIAEHPTQFAEKLSWICGRCPPPEFLLCGSPRVSRSQLNAVLAVSRFISKCPDSADPRPKSVVLEFLRSVPASFNRSFWPQSFGNDSIASFFSDFLGYVCKATELSSDFATEITGFTGEVVVTAISNGGEDSGISRAFLMALSENFPPILPSDAEKLITMFMDQFAASGPVVQSPVTPRRIAANSETSSAQSSPLNGNHYQANESSSPRNEASNVTGSSGSVSSRGSVMVNGSSIVWKSGVDQLGLTFGLSEGGGAVMLRQQVSSFEEESVENLEKQEIAFKLVAHILDKVRIDSALLEQVRFIAKRQLQSMSVFLKIRKRDWNEHGALLKARINMKLSVYQAAAKLTLSCLACYETDVKSAKKLAHETLALLMDAAEACLLSVWRKMRVCEELFSSLLSELAQIAVKRGGQALRILLIRLKPVVLTVCAQADTWASSQGAMFESVMKTSCEIIESCWTKERAPVDTFIMGLATSIRERNDYEEQEDKDKEAVPVVQLNVIRLLADLNVAVKKPEVVDMILPLFIESLEEGDASSPSLLRLRLLDAVSRMASLGFEKSYRETVVLMTRSYLSKLSSLGSAESKTVPQEATTERVETLPAGFLLIASGLMNPKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLPAVAEICSDFDPSVDVEPSLLKLFRNLWFYVALFGLAPPIQNTQHPAKPFSTTLNSVGSMGTIPLQAVGGPYMWNAQWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPDSRRGSGNEKVAVTQRAALSTALGGRVDVASMNTISGVKATYLLAVAFLEIIRFSSNGGILNGGTSLATSRSAFSCVFEYLKTPNLVPAVFQCLMATVHRAFETAVSWLEDRISETGNEAEVRESTLSAHACFLIKSMSHREEHIRDVAVILLSQLKDRFPQVLWNSSCVDSLLFSIHNDSSSTVVNDPGWVVTVRSLYQKIVREWILKSLSYAPCSSQGLLQEKLCKANTWQRAQHTTDVVSLLSEIRIGTGKTDCWNGIQTANIPAVMAAAAAASGANLKLTEAFNLEVLSTGIVSATVKCNHAGEIAGMRSLYNSIGGFQSGTTPTGFGLGVGLQRLISGAFPQQTQAEDDQFNGILLTKFVRLLQQFVNAAEKGVEADKSQFRKTCSQATALLLSNLGSNSKSNVEGFSQLLRLLCWCPAYISTPDAMETGVFVWTWLVSAAPELGSLVLAELVDAWLWTIDTKRGIFASDVKYSGPAAKLRPHLSPGEPEAEPEIDPVEQIMAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGMTKLPWNFSHHPAATGTFFTVMLLGLKFCSCQSQRNLQNFKTGLQLLEDRIYRTSLGWFAYEPEWYDTNYMNFSQSEAQSVSLFVHYLSNERVEAAVQSDLKGRGRENGTTLVDVNDQYHPVWGQMENYAAGREKRKQLLLMLCQHEADRLEVWSQPTNTKESASSKQKISSEKWVEHARTAFAVDPRIALSLASRFPTNTFLKAEVTQLVQSHILDIRSIPEALPYFVTPKAVDENSVLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDEERLVEGYLLRATQRSDIFAHILIWHLQGETFVPESGKDAVPVKNSSFQELLPLVRQHIIDGFTPKALDVFRREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIELEGEDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPIMITFNVIDRNGDHNDVKPQACIFKVGDDCRQDVLALQVISLLRDIFESVGINLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPSFEAARENFIISSAGYAVASLLLQPKDRHNGNLLFDNVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSDTWNQFVSLCVKGYLAARRYMDGIINTVSLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIHVCTDAYNKWTTAGYDLIQYLQQGIEK >ONH91339 pep chromosome:Prunus_persica_NCBIv2:G8:13834252:13834836:-1 gene:PRUPE_8G107500 transcript:ONH91339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSSSDSASVSVVTAKVILQDGQLQEFSNPVKVSHVLQKSPTCFICDSDDMDFGGFVRAVNGDEELQLGQLYFVLPLSWLSRPLRAEQMAALAVRASLALGNTRRRKRCFGRGRKRVNQVVFTIKKKDEPSPMVAMGSYGGGPSVARSGEVVRKRRGRGRGRRGHGGGNGGGGGFVTRLSVILEDDDMMEGA >ONH91879 pep chromosome:Prunus_persica_NCBIv2:G8:15911169:15913359:1 gene:PRUPE_8G141400 transcript:ONH91879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHPLQALFQTVAKVSNSIQAQLSNLIGQPHHSSPTTQTRLFSVSSSSKVRSSENVSTHPQPTDLINKKKGNSASPLTKEELGRATWTFLHTLAAQYPDKPTRQQKKDAKELMSILSRMYPCKECADHFQEILRSNPVQAGSHAEFSQWLCHVHNTVNRR >ONH91878 pep chromosome:Prunus_persica_NCBIv2:G8:15910847:15914057:1 gene:PRUPE_8G141400 transcript:ONH91878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHPLQALFQTVAKVSNSIQAQLSNLIGQPHHSSPTTQTRLFSVSSSSKVRSSENVSTHPQPTDLINKKKGNSASPLTKEELGRATWTFLHTLAAQYPDKPTRQQKKDAKELMSILSRMYPCKECADHFQEILRSNPVQAGSHAEFSQWLCHVHNTVNRSLSKPVFPCERVDARWGKLECEQRACDLLGLTSGFEDKMY >ONH92814 pep chromosome:Prunus_persica_NCBIv2:G8:18861080:18863012:1 gene:PRUPE_8G197500 transcript:ONH92814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVSEPGLKEEEIELDLGLSIGGSFRKPEKLQSVNGFGLDRREKENQTASSEPESALLDSQTKREIQALRRQEAKRKREEKRSRGRNEAQTEEQQQQPAIKRERTETNVNVNVNLNMNNGETEPRYPVQPAQYPYPPVQFVPYTNGFAYPCAMPCWAPSGGGGFRPFQAHKNLANNGCETEENGGVGKMTSSNGGLGKTGSLNGSPMCSSSTVSDHQSAVSHEGGGSSETRSHSGQSLPEKTHLNNGSISKKTKAQSEHTATSNSFKSNSQGNDKKLAPKEEPKSENTEPVSIPKPISSNGDSATLTSTPLKEITKMDPIAKPPKPPTQCSSLPQIPYVSTTGNGPNGKTIHGFLYSYTKSEISIVCVCHGSTFSPAEFVQHAGGTDVSQPLRHITVIPSAFG >ONH93180 pep chromosome:Prunus_persica_NCBIv2:G8:19881636:19884609:1 gene:PRUPE_8G217600 transcript:ONH93180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVQKPPLFLLLLHISLLFLLPLNAASSPRTQAEALITWKNDFASSPPSLNSWSLTNLNNLCNWTAIVCDHNTKSISQIDLSNFNISATLTHFNFTPFLNLTQFKLKGNNFTGPVPSAIGKLSKLTTLDLGTNLFSQEIPVQIGMLTELQYLNFFNNSLTGVIPYQLSNLQKVQFLNLGANYYLETPDWSKFSGMPSLTYLDFSPNSLDSEVPEFISKCLNLTFLDLSENTFTGQIPEVVFTNLGKLKHLHLARNSFSGPIPEDIGLISGLQHIDLAGNYLEGPIPSSIGQLKELKYLDLQLNLLNSSIPSELGRCTNLTDLALASNKLSGKIPPSIGQLRGLRHLDLSYNSLNSSIPFELGFCTSLTDLALASNKLNGELPLSFSNLKDIISLSLFANRFTGPLLPSLFSNWTEVVSLELEHNSFSGNIPPDIGRLSNLINLDLSRNQLSGSIPLTLGKLPYIQSLNLSNNNLNGPFPTEIAFPLLEKFDLSNNNFKWPIPDRDCLPTSVGNSDLCRDASGVTRAGNSKKTNKKFIIGVLVPVCGLSVVATTIALILMFHKKTKCALKKINSAQNFENFESMILQEEVKFTFGEVVKAVDDFHVKYCIGKGGFGRVYKAELLSGQVVAVKRLNMSDSNDIPAINLQSFENEIRTLTNVRHRNIIRLYGFCSRRGCIFLLYEYLERGSLGKALYGVEGVTELGWATRVKVVKGLAHALSYLHHDCSPPIVHRDVTVNNVLLESDFEARLSDFGTARPISANSSNWTHIVGSFGYMAPELAFTMRVTDKCDVYSFGVVALEVMMGRHPGNLLESQLSESSQSMKDNAELLLKDLVDQRLESPSNELAKAVVLVMSLALGCIRTRPGSRPTMLYVAQKLSARSLPSLPEPFGMLTIHKLMGI >ONH91589 pep chromosome:Prunus_persica_NCBIv2:G8:15010788:15011616:-1 gene:PRUPE_8G125100 transcript:ONH91589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSYKRPKLNDPQSIHPAEPPMPLPPRRLTQDQEFTVIVSALRNVVAGTATSASMDFNSLLPPLQCAATSYQGATGSSCYSDALLTQSDLDTCNVCKIKGCLGCNFFPPPAPEEKGNNNKKGPKKRVKKNYRGVRQRPWGKWAAEIRDPRRATRVWLGTFTTAEEAARAYDKAAIEFRGPRAKLNFPFPDTTLLTQETNPAQPAQPQPVVTEQETSNEFSREIEMTEIGKGKEKEKEFWEMIGEDEIQQWMRMMDFATDHSSDSGNAQSA >ONH93619 pep chromosome:Prunus_persica_NCBIv2:G8:21210672:21210863:-1 gene:PRUPE_8G242800 transcript:ONH93619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTATQQAMVVFGALAVGWLAIEMAFKPWLDKVRAAMDKSDPARDPDEDDVVKADETAADE >ONH91505 pep chromosome:Prunus_persica_NCBIv2:G8:14629699:14631303:-1 gene:PRUPE_8G119300 transcript:ONH91505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQVAHPVPPVDFNFDSSACSSPYMTAPSSPTRFGNYFFSAPTSPTRASSFYSHFNDLSGENGPRLSASSIPFKWEEKPGIPKSRATINGDHSHHLEEDFEFDFSGQLEKASLPADELFDGGKIRPLKPPPRLQVGSNGADEPGPSGFSPRSPSPRASRLSQGKKLVQGVLSPRHHRKDHQAHDDPFAAAMNETRKNEYEYGNQEERRGRERSPSVRKKGTRSLSPLRVSDVMFDIEEDKTASSSTTNSNKASTSSAYSSFLSAISFSSSKVSRKWKLKDLLLFRSASEGRATTGKDPLRKYAMLSPKKSSSSIAEDVKNSSFRSTDSVGSVSSRRRGPVSPHELHYTANRAVTEEMRRKTFLPYKHGLLGCLGFNPGLHEISRGAFGSLTRGGGCRS >ONH91017 pep chromosome:Prunus_persica_NCBIv2:G8:12200809:12204220:1 gene:PRUPE_8G088800 transcript:ONH91017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGVVSLASASTSSSVASFVVLGLVLNLAVVCNGGFTSPFVRKAEKTVDMPYESDAFQVPHGYNAPQQVHITQGDHKGKAMIVSWVTVDEKGSSKVLFWSEGQKKRMAEGKAKTYTYHDYTSGYIHHCTIRNLEYNTKYYYVVGIGHTVRLFWFVTPPEVGPDVPYTFGLMGDLGQTFDSNLTLTHYEENPLKGQTVLNLGDLSYADNHPNHDNVKWDTFGRFIERSAAYQPWIWTAGNHEIDYAPEIGEYKPFKPYTHRYNVPHKASGSPAPFFYSIKRASAYIIVLSSYTAYGKYTPQYQWLEQELPKVNRSETPWLIVLLHSPWYNSYNYHYMEGESMRVMFESWFVQHKVDVVFAGHVHAYERSERVSNIAYNIENGKCSPVVKDQSAPVYITIGDGGNIEGLATNMREPQPAYSAYREASFGHAIFDIKNRTHAFYSWHRNDDGVAVKADSMWFFNRYHHPVDDSTSSQS >ONH92061 pep chromosome:Prunus_persica_NCBIv2:G8:16478599:16481812:-1 gene:PRUPE_8G151500 transcript:ONH92061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIYEGVEFAKPSIDANPRSFSPNTPANSPSENPGLISMGTVVTFHGKNQNCKQMRVRSSSGSNGTKNFMSPTISAASKVTASPRKKILVERNEPVRASSVSFSDLKSSSLNPTVEDPQHMTPTTQKDIDSEELLCSKNEPEEEPVCVKASEEPDSVNLDPSFKISPPPCCPKSSPVIAPLDDDPAAHPYDPKTNYLSPRPQFLHYRPNPRIEYYLRKEREGKRLEDNFISGSSSDTDTTEETQSEYSQKELEDVTSDAVVKEEQQLPEENEEEEEEEKQGVNVSEPCDISITNTFMSKEEGAEVKWSSKTGFFWKSKFTALLL >ONH90867 pep chromosome:Prunus_persica_NCBIv2:G8:11275613:11276700:1 gene:PRUPE_8G078900 transcript:ONH90867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLLPGIVHAKKNQVPSKGLDVPKGYFAVYVGESQKKRFVIPVSILNQPSFQNLLSQAEDEFGYDHPMGGITIPCSEETFLHLISCFGV >ONH93220 pep chromosome:Prunus_persica_NCBIv2:G8:20008159:20010375:1 gene:PRUPE_8G220100 transcript:ONH93220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFPLFHPFSKFALLFLATLLSTVVLSHQQQPLLSSTEQDAVYQVLDSINSAIPWRSLFPDDLCSSAPHGVVCDLFSNPDVSSPETAHVTELSFGYVSDYTPNPPCSSNSTIDPLLFTSFKYLRKLFFYQCFTETRVSVPEIPPSFGSSLEELVFVDNPSLVGSIGGILGNFTNLRRVVLTGNGFNGNIPDEVAHLVNLEELTLSRNQLGGEISLSFAKLKKLKVLDLGDNDFAGNVPESVGNLSELLKLDLTSNGFSGQIPESLKNMQRLELLDLSLNQFSNSGVPLFLAEMPQLKQVHLSGNLLGGKIPEIWKNLGGLLGIGLSDMGLVGEIPSSMGVHLKNLRYLGLDNNKLEGTVPEEFGFLESVNEINLENNSLSGRVSLSRSFSAKFGHKLKLAGNPQLCVDGFIFFSKTKSAAGLEQLKVCRKPHKPNPALLSVVSSSVQVVVPSKLLFATWVLFMLIFA >ONH92618 pep chromosome:Prunus_persica_NCBIv2:G8:18301488:18302272:1 gene:PRUPE_8G184300 transcript:ONH92618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAEVSYSCGSCAYPLNLSSSNRLTSGSGIGSEYQKSVKKGFISFLTVDLSRFTQVDEVNCFPVSWGRYRSKTKLLCRKCGVHIGYGYGDSSALCGFDSPNSSTSAYRKFTVKIRALQPSEEC >ONH91015 pep chromosome:Prunus_persica_NCBIv2:G8:12196115:12198778:-1 gene:PRUPE_8G088700 transcript:ONH91015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYLSLLLAFSLLIVSPEHHFSLFKHQFGKSYASQEEHDYRFEVFKANLRRAARHQMLDPSAQHGVTRFSDMTPAEFRKSQLGLRGLRLPSDATKAPILPTENLPEDFDWRDRGAVTAVKNQGSCGSCWSFSTTGALEGAHFLATGELVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKAGGLMKEEEYPYTGTDRGSCKFDKSKIAAKVANFSVVSLDEEQIAANLVKNGPLAVAINAVFMQTYVGGVSCPYICSKRLDHGVLLVGYGAAGYSPIRMKEKPYWIIKNSWGENWGEKGFYKICKGRNICGVDSMVSTVAAASVETNPQ >ONH91016 pep chromosome:Prunus_persica_NCBIv2:G8:12195980:12198790:-1 gene:PRUPE_8G088700 transcript:ONH91016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYLSLLLAFSLLIVSRAQSDGADPLIRQVVDGGDDHQHDHRLGAEHHFSLFKHQFGKSYASQEEHDYRFEVFKANLRRAARHQMLDPSAQHGVTRFSDMTPAEFRKSQLGLRGLRLPSDATKAPILPTENLPEDFDWRDRGAVTAVKNQGSCGSCWSFSTTGALEGAHFLATGELVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKAGGLMKEEEYPYTGTDRGSCKFDKSKIAAKVANFSVVSLDEEQIAANLVKNGPLAVAINAVFMQTYVGGVSCPYICSKRLDHGVLLVGYGAAGYSPIRMKEKPYWIIKNSWGENWGEKGFYKICKGRNICGVDSMVSTVAAASVETNPQ >ONH89691 pep chromosome:Prunus_persica_NCBIv2:G8:779709:782964:-1 gene:PRUPE_8G009900 transcript:ONH89691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGGQFIPVGGLAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >ONH89709 pep chromosome:Prunus_persica_NCBIv2:G8:912590:915381:1 gene:PRUPE_8G011100 transcript:ONH89709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTRATPLLLTQSQNPCFQSSQSVSSTNGSINCISGRDHESCHVPNPIIDYSMLNSNDCLKRSQAINDLNQACLNYGFFAVTNHGIPDSLIGSVMNWLSKFFNQSDEEKRRYDTNDPTDRIRFRWGGRTQRELLHMRAHPTFHCPTKPADSMVLTEYCERMREMGMQLLRGISKSLGLEECYIENKMKLESGYNVFGPNYYPALSQSSDDKNQIGQFPHRDPGLLVLLAQNVGGGLQIEHQKKWLNADFPPSSIFVIVADHIEILTNGKYKSLLHRVALNSEVERMSLPFFFGPSLDVTVKPEPEFVDDHNPPSYRQMTYKAYLESNDHHVIEARANLNQIRL >ONH92412 pep chromosome:Prunus_persica_NCBIv2:G8:17720935:17721786:-1 gene:PRUPE_8G174200 transcript:ONH92412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSEILHLVKKKMGSGTNSRNRVGLSKSPSSDVPGEHKHPMEDYVVAETRKIGEQEIGLFAIFDGYECHEIPAYLQSHLFNNIMTVPDFFFSMTSAVIGAYLITDTFILEQSNSNHGFKDLSSSTALQQIVVNRRGQRKLVVANVGNSRAVICCKNGMAKQLSVDHSLNNRIERQSLEKRSDNDDIEFLGLTSHGIWMVMSNQQVVDSIRKLKNAYKAAKHLTEHMTHII >ONH93957 pep chromosome:Prunus_persica_NCBIv2:G8:22124155:22124406:-1 gene:PRUPE_8G262700 transcript:ONH93957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTSSPSYAIKENNITHPRKRKTSPKQYLPRIFWIKTHWTKLFQQTQELLNLSKMQKRYQVMTNKLTRNVLVLDQLELDYNL >ONH93091 pep chromosome:Prunus_persica_NCBIv2:G8:19603626:19604891:-1 gene:PRUPE_8G212600 transcript:ONH93091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPCSSTSSSSSSSLRITVERNPSESRLSQLDIKCWPKWGCSPGRYQLKFDAEETCYLLKGRVKAYPKGSSESVEFGAGDLVTIPKGLSCTWDVSVAVDKHYKFESSSSSS >ONH90084 pep chromosome:Prunus_persica_NCBIv2:G8:3146481:3149057:1 gene:PRUPE_8G034000 transcript:ONH90084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLTGVILGLNNLQGRLCRIFGGSQGKNESKFVTRRPCVDHEQGSARIPTGNLEDCIMDTVGVICVDTEGQIPSGALSGGIALKVSGRVGLAAMHGSGCWASSKGFFVAPCIVGCCVSGAGEYLMKGFAARECCVSLSLSQAGPASACMKVLRSVVQESNHNCTDRSAGILLVQADDPTTVSGSSPKLKAIEIAAAFSSLSFGVGYFGSSMERPKVII >ONH94023 pep chromosome:Prunus_persica_NCBIv2:G8:22293381:22294804:1 gene:PRUPE_8G266700 transcript:ONH94023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHKIAHATLKGPSVVKEICYGMVIALAAGSLWKMHHWNEQRKVKVFYDLLEKGEISVVADE >ONH93753 pep chromosome:Prunus_persica_NCBIv2:G8:21575144:21575546:-1 gene:PRUPE_8G250600 transcript:ONH93753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIESEDTWPSLGSRQLSKPVQCETQRRSGNPNNGIVSVIRAFAVDQRHEREERERRRGMKKSSSNAILVLRGLTPR >ONH90737 pep chromosome:Prunus_persica_NCBIv2:G8:10611481:10611777:-1 gene:PRUPE_8G072300 transcript:ONH90737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPASLVKEKIMFFIKKLCKSHSKKHGVVPKGYIPVYVGKGKERKRYCLPLKYISHPTVQELIEKSQADVFDPKIEGPFVLTCNTNTFDQLLKIFKEY >ONH90921 pep chromosome:Prunus_persica_NCBIv2:G8:11669743:11672198:1 gene:PRUPE_8G083400 transcript:ONH90921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTITLNNGFEMPVIGLGLWRLEKEELRSAILNAIKLGYRHFDAAAHYKTEIDVGNAIAEAIQSGLVKREELFITSKVWNSDHGHVVEACKNSLKKLQLDYLDLYLVHYPLATKHRVGTTASLLDENKVLDIDVTVSLETTWHDMEKTVSLGLVRSIGLSNYELFLTRDCLSYAKIKPQVSQFETHPYFQRESLVRFCKKHGVVPMAHTPLGGATANVKAFGSISPLEDPVLIGLAKKYQKSVAQIALRWNIERGTPVIPKSSKVERLKENLEVLNFKLEKEDIELINTIDKKFRTTLPSLSWGVDVYA >ONH90920 pep chromosome:Prunus_persica_NCBIv2:G8:11664573:11672635:1 gene:PRUPE_8G083400 transcript:ONH90920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTITLNNGFEMPVIGLGLWRLEKEELRSAILNAIKLGYRHFDAAAHYKTEIDVGNAIAEAIQSGLVKREELFITSKVWNSDHGHVVEACKNSLKKLQLDYLDLYLVHYPLATKHSGVGTTASLLDENKVLDIDVTVSLETTWHDMEKTVSLGLVRSIGLSNYELFLTRDCLSYAKIKPQVSQFETHPYFQRESLVRFCKKHGVVPMAHTPLGGATANVKAFGSISPLEDPVLIGLAKKYQKSVAQIALRWNIERGTPVIPKSSKVERLKENLEVLNFKLEKEDIELINTIDKKFRTTLPSLSWGVDVYA >ONH90505 pep chromosome:Prunus_persica_NCBIv2:G8:7251595:7256175:-1 gene:PRUPE_8G057800 transcript:ONH90505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRNLVGDAGRLLRDEESAKEDDWSAGKKLKSERFPLTRWEFAAALGVFVVFSTGLICVYLTMPAAEYGKLKLPRTIADLRLLKDHLATYAKDYPAQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVIRGLLLVVFNATAGASSCFFLSKLIGRPLVSWLWPEKLRFFQAEIAKRREKLLNYMLFLRITPTLPNLFINLASPIVDIPFHVFFLATFIGLIPASYITVRAGLALGELKSVKDLYDFKTLVVLFLIGSVIIFPTLLKRKRIYE >ONH92578 pep chromosome:Prunus_persica_NCBIv2:G8:18177542:18180101:1 gene:PRUPE_8G182000 transcript:ONH92578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLQATLLYLVLYLVLLHVCRCSNIVVSQDEEWKTATATYSKETDGSIITEGACGYGDLHKISYGKHSAGLSGILFNKGSICGACYELRCVDHILWCLLGSPSVTLTATDFCPPNYGLSKDYGGWCNFPKEHFEMSEAAFAEIAKRKADIVPVQYRRVKCERSGGLRFMMSGSSHFYQVLITNVGSDGEILAVKVKGSRTGWIPMARNWGQNWQSNVNLKGQPLSFEVTISSGRTLTSYNVAPANWQFGQTFEGKQF >ONH90884 pep chromosome:Prunus_persica_NCBIv2:G8:11445368:11447102:-1 gene:PRUPE_8G080600 transcript:ONH90884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPAIVNAKRSLIRSLSSSSQTADSKTLDIPKGNFAVYVGESQKKRFVIPISYLNEPLFLDLLSQAEEEFGYDHPMGGITIPCSENTFLDLTSRLSV >ONH94110 pep chromosome:Prunus_persica_NCBIv2:G8:22475057:22476486:-1 gene:PRUPE_8G271100 transcript:ONH94110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEGEHMKNRENKIVVAVDESEESMHALSWCLGNLSSSKNTSNTLVLLYVKPPPVHSTFDAAGYMFSSDVVATMEKYGTDMVNSVMQRAEAVYRNFHTNAHVHIERLVGRGDPKDVICNTVEKLRADTLVMGSHGYGFLKRTLVGSVSDYCAKHVECPVVIVKHPASA >ONH92875 pep chromosome:Prunus_persica_NCBIv2:G8:19013678:19017366:1 gene:PRUPE_8G201400 transcript:ONH92875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSSSSSVPALAAITCLVVVVLGCYRPVEARIPTTLDGPFEPVTVPFDQSLRGNAVDLPESDHRVRRRVKGFEPEQISVSLSANYDSVWISWVTGESQIGYNIKPLDPKSVASVVRYGKLRYPPTHEATGYSLVYNQLYPFEGLQNYTSGIIHHVRLTGLKPNTLYFYRCGDPSIPAMSEIYHFRTMPVSGPWSYPERIAVVGDLGLTYNTTTTISHLTSNDPNLVLLIGDVTYANLYLTNGTGSDCYSCSFPHSPIHETYQPRWDYWGRFMENLISKVPIMVIEGNHEIEEQAENKTFVAYSSRFAFPSEESGSSSTFYYSFNAGGIHFIMLGAYIDFTRSGKQYKWLEQDLANVDRSTTPWLVATWHPPWYSTYEAHYREAECMRLEMEELLYSYGVDIVFNGHVHAYERSNRVYNYNLDPCGPVYLTVGDGGNREKMAVKYADEPGNCPEPSTAPDEYIGGFCAKNFTSGPAAGKFCWDRQPDYSAFRESSFGHGILEVKNETWALWTWYRNQDSDNKVGDQIYIVRQPDKCHVRQVLKSWIVDI >ONH92920 pep chromosome:Prunus_persica_NCBIv2:G8:19118376:19121074:-1 gene:PRUPE_8G203300 transcript:ONH92920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMKKSKISGDVAVMEVSVSPQSSLGVRTRAKTLALQKLQQQQKQENQNQSPSVEPDPDTSSLYYLQLRSRRLEKPPLRNDVAKKQSQSEAEPLEKPRGCCGESRRRAMDSRPNSRLSVGSSLDSGWAESVERKEEEGEGCFGNFGNEAEYGSDLGVEASFGENNLEFEARDRSTRESTPCSFIRESNTIGTPGSTTRRTSSVATHRRVRNDMQRNIPTTLEMEEFFAQHEQEQQRIFLEKYNFDITSDLPLPGRYEWEQVIP >ONH92919 pep chromosome:Prunus_persica_NCBIv2:G8:19117834:19121361:-1 gene:PRUPE_8G203300 transcript:ONH92919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMKKSKISGDVAVMEVSVSPQSSLGVRTRAKTLALQKLQQQQKQENQNQSPSVEPDPDTSSLYYLQLRSRRLEKPPLRNDVAKKQSQSEAEPLEKPRGCCGESRRRAMDSRPNSRLSVGSSLDSGWAESVERKEEEGEGCFGNFGNEAEYGSDLGVEASFGENNLEFEARDRESTPCSFIRESNTIGTPGSTTRRTSSVATHRRVRNDMQRNIPTTLEMEEFFAQHEQEQQRIFLEKYNFDITSDLPLPGRYEWEQVIP >ONH94075 pep chromosome:Prunus_persica_NCBIv2:G8:22378630:22379739:-1 gene:PRUPE_8G268600 transcript:ONH94075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVSTSMYKGLRGYWRRRGYEKLSGSGRLTELRSGSKRRARRIRIKIKMGRKLKLSFLSIRRMPSPKKLLVWLRDAYVKLMLGFANSGMFSTGYGGPMCDYGTGSFGKGPLKEYDEKMIVEIYKSLMLAQGRDAAKFGSEIVSRR >ONH93969 pep chromosome:Prunus_persica_NCBIv2:G8:22159222:22161846:-1 gene:PRUPE_8G263900 transcript:ONH93969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSKLIIASFFILFPLFSSLSLAADNSSSPVSAGTICKSTPDPSFCKSVLPHNQTAENVYYYGRFSVRKSLSQSRKFLNLVDKYLKRRSSLSLTAVRALEDCRLLAGLNMDFLLNSFETVNKTSKTLSNLKADDVQTSLSAILTNQQTCLDGIQSTASAWSLKTGLSAPNDTKFYSVSLALFTKGWVPKKKKSATWKPTRKQRGFKNGRLPLKMSSRTRAVYEGVTKRKLLQTETEDDGVLVSEVVTVSQNGTGNFSTINDAIAAAPNNSASTNGYFLIYVTAGVYEEYVSIAKNKRYLMMVGDGINQTILTGNRSVVDGWTTFNSATFAVTAPGFVAVNMTFRNTAGAIKHQAVAVRNGADLSTFYSCSFEAYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNLYPRLPMSGQFNAITAQGRTDPNQNTGTSIHNCTIRAADDLASSNSSTKTYLGRPWKEYSRTVYMQSYIDSVVDPAGWRAWDGEFALSTLYYAEYNNTGPGSNTTSRVTWPGYHVINATDAANFTVTNFLLGDDWLPQTGVPYTGGLI >ONH91096 pep chromosome:Prunus_persica_NCBIv2:G8:12529151:12534552:1 gene:PRUPE_8G092500 transcript:ONH91096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTMAGELLVNANGNGNTQPNPQRTYQVVVAATREMGIGKDGKLPWRLPSDLKFFKEVTVTTSDPGKKNAVVMGRKTWESIAPEHRPLPGRLNVVLTRSGSFDIATAENVVICGSMASALELLAASPYCLSIDKVFIIGGGQILREALNAPGCDAIHITEIETDIECDTFIPAIDSSVFQPWYSSFPKVENSIRHSFTTYVRVSSSAVESLCQNNDLISSSKSDSVKCEVKNFSFLPKMIFEKHEEHIYLSLVREILSDGTSKDDRTGTGTLSKFGCQMRFNLRRTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSVGLTDKEEGDLGPVYGFQWRHFGARYTDMNADYTGQGFDQLLDVIDKIKINPDDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADVGLGVPFNIASYALLTCMIAHVCDLVPGDFIHVLGDAHVYRTHIRPLQEQLEKLPKPFPILKINPEKKNIDSFVAADFELIGYDPHQKIEMKMAV >ONH91095 pep chromosome:Prunus_persica_NCBIv2:G8:12529794:12533241:1 gene:PRUPE_8G092500 transcript:ONH91095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLPHFHKNWLCGPRFRLLSLSLFQSMGSTMAGELLVNANGNGNTQPNPQRTYQVVVAATREMGIGKDGKLPWRLPSDLKFFKEVTVTTSDPGKKNAVVMGRKTWESIAPEHRPLPGRLNVVLTRSGSFDIATAENVVICGSMASALELLAASPYCLSIDKVFIIGGGQILREALNAPGCDAIHITEIETDIECDTFIPAIDSSVFQPWYSSFPKVENSIRHSFTTYVRVSSSAVESLCQNNDLISSSKSDSVKCEVKNFSFLPKMIFEKHEEHIYLSLVREILSDGTSKDDRTGTGTLSKFGCQMRFNLRRTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSVGLTDKEEGDLGPVYGFQWRHFGARYTDMNADYTGQGFDQLLDVIDKIKINPDDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADVGLGVPFNIASYALLTCMIAHVCDLVPGDFIHVLGDAHVYRTHIRPLQEQLEKLPKPFPILKINPEKKNIDSFVAADFELIGYDPHQKIEMKMAV >ONH93460 pep chromosome:Prunus_persica_NCBIv2:G8:20732460:20734724:1 gene:PRUPE_8G233800 transcript:ONH93460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAMLNGAENLSLIRGITPNGLGFMGSDLHGKQFLKMGLVSSTRISKSCTRTIVPKCSFSASRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPADLSNRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKDCKIIEGDAEDLPFRTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFQKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKEEDVTKPVNPWSFFVRFILGVMAATYFVLVPIYMWLKDQIVPKGQPI >ONH90897 pep chromosome:Prunus_persica_NCBIv2:G8:11487552:11488319:1 gene:PRUPE_8G081900 transcript:ONH90897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLSQILRQSSLLFANKAASSSSVGVPKGYIVVYVGEFEKKRFVVPISFLSQPSFQELLRKAEEEFGYNYPMGGLTIPCREDIFIDLTSRLN >ONH89711 pep chromosome:Prunus_persica_NCBIv2:G8:928460:930294:1 gene:PRUPE_8G011300 transcript:ONH89711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQLLRGISKSLGLEECYMEKKMKLESGYNILGPNFYQSLSRCLDDKNQIGQFPHRDPGLLVLIAQNWRILELQGSIDHPCSSVDPSLGGGLQIKHQGKWLNADFPPSSIGVLVADHIEILTNGKYKSLLHRVALNTEVERLSLPFFFGPSLDAIVKPEPEFVDDHNPPSYRQMTYKEYLESNSRYHVIEAKANLINEALL >ONH94072 pep chromosome:Prunus_persica_NCBIv2:G8:22369774:22370364:1 gene:PRUPE_8G268400 transcript:ONH94072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMVNGTAPANHDIGQSQTSLNQDVTESNATTFYPTTHESIPCGENYNFYINESCFGIPTVEEQHYNKDMGSIVDEHSNWDKLLVDDSQRFKAGSCSYDQPSFEAIIYAQNHVAIDDYMPRPFIEEGTGLPARYQR >ONH90675 pep chromosome:Prunus_persica_NCBIv2:G8:10109215:10110121:1 gene:PRUPE_8G068800 transcript:ONH90675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLRLPAFVLPLLLLFAFSLMSTKTMVAGARFLLETSLPQVPELPKPELPQLPKPELPHLPKPELPQVPKPELPQLPKPELPQLPKPELPPLPKPELPQFPKPELPQFPKPELPPLAKPEIPSAPHVPTLPKEGHKLAEIPHAPTLHELPQLPKPELPPLPAFPTLPKPELPAVPNVPTLPKPEVPKLPEIPPLPHLPAELPKPTLPSIPTLPKATPLPSLIPPHKTTLP >ONH91583 pep chromosome:Prunus_persica_NCBIv2:G8:14994518:14995713:-1 gene:PRUPE_8G124600 transcript:ONH91583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTHGKQNSMATATIPHLPVLVIHHVLQFLPTKLAIRISYLCKQWEGLWSSVPVLDFDEEDKPDDRLQHAKFINLLDRLKRVEILPGDDNINNDRKAVGLLSLKTMSLERVMLDDMTFGRLMSGSPCLEHLSVSLIYLIIFIYRVPVSNPCKLRISILRRSE >ONH91939 pep chromosome:Prunus_persica_NCBIv2:G8:16105181:16108631:1 gene:PRUPE_8G145000 transcript:ONH91939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRDMQANPLQWKASPGLGVGLETTSSSPLPSFSQLSLGNLSGGTSVNSLKTRGLFLVKAMEGSRTASLNGHAGNADKNASLLSSVGNSTNIQWHECSLNKNDRQKLLKQKGCVIWITGLSGSGKSTVACALGGSLYRRGKLSYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADAGVICIASLISPYRRDRDACRAMLPAGDFIEVFMDVPLQVCEARDSKGLYKLARAGKIKGFTGIDDPYEPPLNCEIVLTHEGEVCASPCEMAEEVISYLEDKGFLEA >ONH91940 pep chromosome:Prunus_persica_NCBIv2:G8:16105588:16107937:1 gene:PRUPE_8G145000 transcript:ONH91940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRDMQANPLQWKASPGLGVGLETTSSSPLPSFSQLSLGNLSGGTSVNSLKTRGLFLVKAMEGSRTASLNGHAGNADKNASLLSSVGNSTNIQWHECSLNKNDRQKLLKQKGCVIWITGLSGSGKSTVACALGGSLYRRGKLSYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADAGVICIASLISPYRRDRDACRAMLPAGDFIEVFMDVPLQVCEARDSKGLYKLARAGKIKGFTGIDDPYEPPLNCEEYSAEWNER >ONH90637 pep chromosome:Prunus_persica_NCBIv2:G8:9747156:9747742:1 gene:PRUPE_8G065800 transcript:ONH90637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNAVVLVLMAALLVASTRAQSPASAPSPLSTPPAAAPSPLSTPPAASPSPLSTSPSPSGTPPSAAPSTPPVADSPLSPPSSSPESPAPSPPSSVSGSPTEAPAPNGVVLNRFSLAGSVAVGVFSVAMLM >ONH90006 pep chromosome:Prunus_persica_NCBIv2:G8:2666131:2672565:1 gene:PRUPE_8G029200 transcript:ONH90006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRSFEVRLPGHHRGKSHGSVHELHDPPLVIQNSRWASLPPELLRDVIKRLEASESTWPSRKHVVACAAVCRSWREMCKEIVRSPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYNSAQLYPPGQSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCNMHSIPAASLEPGGIVPGQPELLQRSLEDSFRSISFSKSIDNSTEFSSARFSDIVGSHYEDEGKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQSVAGAPTPSQPPQPTQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ONH90002 pep chromosome:Prunus_persica_NCBIv2:G8:2666831:2672565:1 gene:PRUPE_8G029200 transcript:ONH90002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRSFEVRLPGHHRGKSHGSVHELHDPPLVIQNSRWASLPPELLRDVIKRLEASESTWPSRKHVVACAAVCRSWREMCKEIVRSPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYNSAQLYPPGQSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCNMHSIPAASLEPGGIVPGQPELLQRSLEDSFRSISFSKSIDNSTEFSSARFSDIVGSHYEDEGKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQSVAGAPTPSQPPQPTQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ONH90005 pep chromosome:Prunus_persica_NCBIv2:G8:2665913:2672582:1 gene:PRUPE_8G029200 transcript:ONH90005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRSFEVRLPGHHRGKSHGSVHELHDPPLVIQNSRWASLPPELLRDVIKRLEASESTWPSRKHVVACAAVCRSWREMCKEIVRSPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYNSAQLYPPGQSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCNMHSIPAASLEPGGIVPGQPELLQRSLEDSFRSISFSKSIDNSTEFSSARFSDIVGSHYEDEGKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQSVAGAPTPSQPPQPTQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ONH90004 pep chromosome:Prunus_persica_NCBIv2:G8:2666990:2672565:1 gene:PRUPE_8G029200 transcript:ONH90004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRSFEVRLPGHHRGKSHGSVHELHDPPLVIQNSRWASLPPELLRDVIKRLEASESTWPSRKHVVACAAVCRSWREMCKEIVRSPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYNSAQLYPPGQSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCNMHSIPAASLEPGGIVPGQPELLQRSLEDSFRSISFSKSIDNSTEFSSARFSDIVGSHYEDEGKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQSVAGAPTPSQPPQPTQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ONH90003 pep chromosome:Prunus_persica_NCBIv2:G8:2665881:2672582:1 gene:PRUPE_8G029200 transcript:ONH90003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRSFEVRLPGHHRGKSHGSVHELHDPPLVIQNSRWASLPPELLRDVIKRLEASESTWPSRKHVVACAAVCRSWREMCKEIVRSPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSNTYIGKLRSNFLGTKFIIYDTQPPYNSAQLYPPGQSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCNMHSIPAASLEPGGIVPGQPELLQRSLEDSFRSISFSKSIDNSTEFSSARFSDIVGSHYEDEGKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQSVAGAPTPSQPPQPTQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ONH91905 pep chromosome:Prunus_persica_NCBIv2:G8:15991509:15993593:-1 gene:PRUPE_8G142800 transcript:ONH91905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTDREESETSISSFSTSNSLQVIHFCRLVCQYSFFAAQFQLRVFPLERPGLGLKEIAQEYNLNWNSSNTEAGSHVAY >ONH92910 pep chromosome:Prunus_persica_NCBIv2:G8:19096292:19100242:-1 gene:PRUPE_8G202700 transcript:ONH92910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKYNPFEIPEGWVLDTRVKRDGTEIKGFFCPATGQEFYTYDALMRYISYAIRAQVSIYSPDFQANKPQKEASSKAGTNSSSGQSSNSLVTEQGEKSIDPACSIQKPDPKRNGKSSTSKAKKKQQRKRK >ONH92944 pep chromosome:Prunus_persica_NCBIv2:G8:19146858:19147852:-1 gene:PRUPE_8G203900 transcript:ONH92944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQGRCSATKTEKPATIFLFLFLIIVSAQKTTTFTVGGNSGWTFNVENWTDGKKFKAGDILVFNYDPSSHDVAVVDANEFTSCSASSNSKTFSTGKDRVKLSKGLNYFICTVPGHCNGGVKISVNAS >ONH91334 pep chromosome:Prunus_persica_NCBIv2:G8:13820303:13821634:1 gene:PRUPE_8G107100 transcript:ONH91334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCIHLVSWEKENVSSEALEAARIACNKYMTKFAGKDTFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVAIGQVLLSVRCKDSNKHHAHEALRRSKFKFPGRQKIIESRKWGFTKFSRADYVRLKAENRIVPDGVNAKLLGCHGSLALRRPGGAFIDAAVN >ONH92765 pep chromosome:Prunus_persica_NCBIv2:G8:18732709:18734838:-1 gene:PRUPE_8G194300 transcript:ONH92765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTIRRLLTATLRTPNILSHSRPALSSRHVVPQPSFPLTHPSLYHRFLSSSSTENPNPPNPLRTRTPLEKQFETWVHKLKPGFGPLEVKEALQAQSDPDLALDIFRWTAQQRNYKHNQATYLTMVKILIDGKRFRQAETLVEEVIAGACEISVPLYNSMIRFCCGRKMLFNRAFDIYKKMLNSEDCKPNLETYALLLNSLLRRFNNMHVCFVYLRAVRSLSKQMKACGVIPDTFVLNMIIKAYSKCLEVEDAMQVFREMGLYGCEPNAYTYGYIAKGLCEKGRVGQGLGFYKEMRGKGLVPTSSTYMIVICSLAMERRYDDASEVVLDMLSNSMSPDLLTYKTLLEGLCRDGKGSEAFDLLEDFRKGDSKMGEKTYKTLLNALHFVNGE >ONH92328 pep chromosome:Prunus_persica_NCBIv2:G8:17398195:17401387:1 gene:PRUPE_8G168900 transcript:ONH92328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIDHYKILGLPSGEEGAKFTEKEISKAYRAKALELHPDKRLDDPDAHANFQILKSSYEILKDEKARKLFDDLLRVKREHQRRHLERDSKRQKMVSDLEARERAGFAPDAAAKDRDEEERIARKLKEEIARIRAMHANKGAATASVPKRESRGVGKESVGGAKLGLDKEKVLKVSWEKVGEGYTAQRLRDLFSKFGEVEDVVIKDAKKRGSALVVMTTKDAAVAATQTVMGDLSNPLLVLPLQPVAVTDAPPVQKSDEPDRLNNLIGASYQIFEDSVLQKLQKAAQKRK >ONH90962 pep chromosome:Prunus_persica_NCBIv2:G8:11875092:11886854:1 gene:PRUPE_8G085700 transcript:ONH90962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEEDVLKVNEPSVPVQNDAVLSPETQEELRRTEAQCMSESLPEPELKPETEGFGQGVEGESDAVPTEDTEIVEELNVAEGYGTEDTEIVEELNVAEGHGTEDTEIVEELNVAEGYGTEDTEIVEELNVAEGHGTEDTEIVEELSVAEGQVTEETEVGAVDEKGLETEKVLSEEGVVAHSAKEAAEKSNVAEVAEETVISWKDQAEEKTAEVEAEEAEAEAEKVQAVGEQAEAEGEKTEAEVEGDDEANVTDMVAETDMVEEVDMADDAMEETTEAEETEAAEEEAEGMEVEEEEEDEETNRAGSGGKRKRGKSSKATGKLLSKKKMEEDVCFICFDGGELVLCDRRGCPKAYHPSCVNRDEAFFRAKGRWNCGWHLCSNCEKNAHYMCYTCTFSLCKGCTKDAVFLCVRGNKGFCETCMKTVMLIEKNEQGNKDTNEVDFDDKTSWEYLFKDYWIDLKERLSLTVDELAQAKNPWKGATGHKQESHDEPYDVNNDEGSESDNSENLDLANSKKRKAKKRLRSRGKGKDSSSPATATGSGRPSADDSTGWGSKELLEFVMHMRNGDRSALSQFDVQALLLEYIKRNKLRDPRRKSQIICDIRLQNLFGKPRVGHFEMLKLLESHFLVKEDSQADDLQGSVVDTEGNQLEADGNSDTPAKSGKDKRRKTRKKGDGRGPQSNIDDFAAIDIHNINLIYLKRNLVEDLLDDLDNFGDKVAHSFVRIRISGSGQKQDLYRLVQVIGTCKAAEPYKVGKRMTDILLEILNLNKTEIISIDIISNQDFTEDECKRLRQSIKCGLINRLTVGDVQEKALALQAVRVKDWLETEIVRLSHLRDRASEKGRRKELRECVEKLQLLKTPEERQRRLEETLEIHVDPNMDPSYESEEDEDEGDDKKQESYIRPTGSGFGRKGREPISPRRAGPSSNDSWSGTRNFSSMNRELSRNMSNKGFFNKAENTTAAGEIVNDSWGHGRDRETQQTNHWEKKQNISSLETGVRSTQSAVPSESSPAGGSENSVVHLSTGVAQSAANINESEKIWHYKDPSGKVQGPFSMVQLRKWNHTGYFPANLRVWKNTEKEEDSILVTDALAGKFQKDPSFVDSSFPKAQMVHNSHLSPVHSGKSQGALFQRGTEGQAGGVSWGSQNEINSSSGRGTPQSVEVPKYSSDGWSTTNLPSPTPSQTPLGGARGQAYESNWSPSPARPGGSVLGGNGVLQPTAVVTPESALRASGNDRSSSLPGINAAPKSENATLLGSTTALRMHGQVTGSAPVLSNASMNQVADVNNLVSNLQNLVQSVTSRAPASDARGWGSGSVPNQEMTASGPVPGSESQPWGGAPSQRIEPNNAATVPAQHHTHGYWNNAPSTNNAPSSMNTGNLAGNFPTSGFSGVPHSDPWRPPVPSNHTYIQPPAQPQAPWGVGVPDSQSAVPRTGQENQNTSWVPMAGNPNVTWGGPVPGNTNMNWGPPSQGPGWTAPSQGPVPGNAVTNWVPPGQGPPSVSANPGWAPPGQGPTLGNANPGWSAPNATQNGDRFSNQRDRGSHGDPGFSGGKPWNRQSSFGGGGGGGGSSRPPFRGQRVCRFFESGHCKKGASCDYLHPDH >ONH89978 pep chromosome:Prunus_persica_NCBIv2:G8:2508622:2510537:1 gene:PRUPE_8G027400 transcript:ONH89978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKGRKVSGRGEAVAANYAFGPLEDDVIIKHRLLTRTTTTRGEPPLKKLQKKFTSLFVELDKNEDNYGDCDKLAKAFLQELSTFEIPLLKSKAVVDANLREKHNFDELREEINRQIVQAQTDIELLKKQLEESKIERRHKEECEAIRKMIATQPPRSETLKIISDLEKEIAALDAENTASSRMLELRKKQFALLLHVVDELQNTIEEEQKSLIEEKEHKHGMEDASGGLEPMHVD >ONH91633 pep chromosome:Prunus_persica_NCBIv2:G8:15094060:15097697:1 gene:PRUPE_8G126600 transcript:ONH91633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNFNFKNFGDAPPGEGNGGKAAGNFTLARQPSVYSLTFDEFQNTIGGLGKDFGSMNMDELLKNIWTAEETQGVTSTSGAGEGSAPGGNLQRQGSLTLPRTLSQKTVDEVWKDLIRETSDAKYNTVAMGSNLPQRQQTLGEMTLEEFLVRAGVVREDVQPVVRPNNSGFYGELYRPNNHNGLAPGFQQPSRTNGLLGNRVADNNNSVLNVGGVRSSQQQTQQLPPQQQPLFPKPTNVAFAPSMHLTNNAQLTSPRTRGPMTGVVEPSMNTVFTQVGGFPGAGIGMTGLGTGGGAVAARSPTNQISPDVIAKSSGDTSSLSPVPYMFSRGRKSNGALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNEELQRKQTEIMEMQKDQILETVKRQRGGKRQCLRRTLTGPW >ONH91634 pep chromosome:Prunus_persica_NCBIv2:G8:15094042:15097716:1 gene:PRUPE_8G126600 transcript:ONH91634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNFNFKNFGDAPPGEGNGGKAAGNFTLARQPSVYSLTFDEFQNTIGGLGKDFGSMNMDELLKNIWTAEETQGVTSTSGAGEGSAPGGNLQRQGSLTLPRTLSQKTVDEVWKDLIRETSDAKYNTVAMGSNLPQRQQTLGEMTLEEFLVRAGVVREDVQPVVRPNNSGFYGELYRPNNHNGLAPGFQQPSRTNGLLGNRVADNNNSVLNVGGVRSSQQQTQQLPPQQQPLFPKPTNVAFAPSMHLTNNAQLTSPRTRGPMTGVVEPSMNTVFTQVGGFPGAGIGMTGLGTGGGAVAARSPTNQISPDVIAKSSGDTSSLSPVPYMFSRGRKSNGALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNEELQRKQTEIMEMQKDQILETVKRQRGGKRQCLRRTLTGPW >ONH91632 pep chromosome:Prunus_persica_NCBIv2:G8:15094083:15097720:1 gene:PRUPE_8G126600 transcript:ONH91632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNFNFKNFGDAPPGEGNGGKAAGNFTLARQPSVYSLTFDEFQNTIGGLGKDFGSMNMDELLKNIWTAEETQGVTSTSGAGEGSAPGGNLQRQGSLTLPRTLSQKTVDEVWKDLIRETSDAKYNTVAMGSNLPQRQQTLGEMTLEEFLVRAGVVREDVQPVVRPNNSGFYGELYRPNNHNGLAPGFQQPSRTNGLLGNRVADNNNSVLNVGGVRSSQQQTQQLPPQQQPLFPKPTNVAFAPSMHLTNNAQLTSPRTRGPMTGVVEPSMNTVFTQVGGFPGAGIGMTGLGTGGGAVAARSPTNQISPDVIAKSSGDTSSLSPVPYMFSRGRKSNGALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNEELQRKQTEIMEMQKDQILETVKRQRGGKRQCLRRTLTGPW >ONH91635 pep chromosome:Prunus_persica_NCBIv2:G8:15093869:15097724:1 gene:PRUPE_8G126600 transcript:ONH91635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNFNFKNFGDAPPGEGNGGKAAGNFTLARQPSVYSLTFDEFQNTIGGLGKDFGSMNMDELLKNIWTAEETQGVTSTSGAGEGSAPGGNLQRQGSLTLPRTLSQKTVDEVWKDLIRETSDAKYNTVAMGSNLPQRQQTLGEMTLEEFLVRAGVVREDVQPVVRPNNSGFYGELYRPNNHNGLAPGFQQPSRTNGLLGNRVADNNNSVLNVGGVRSSQQQTQQLPPQQQPLFPKPTNVAFAPSMHLTNNAQLTSPRTRGPMTGVVEPSMNTVFTQVGGFPGAGIGMTGLGTGGGAVAARSPTNQISPDVIAKSSGDTSSLSPVPYMFSRGRKSNGALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNEELQRKQTEIMEMQKDQILETVKRQRGGKRQCLRRTLTGPW >ONH90641 pep chromosome:Prunus_persica_NCBIv2:G8:9801065:9801677:1 gene:PRUPE_8G066200 transcript:ONH90641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSTLVALMLVALLVGHTMAQSPAKSPALSPTRSPPASAPSPSSAAPSTPKVKSPPSPTPSTAQSPASSPSLISAPPSEAPAPSENGAVLNRISASSSVVIGLCAVVLVM >ONH91120 pep chromosome:Prunus_persica_NCBIv2:G8:12717866:12724512:1 gene:PRUPE_8G094400 transcript:ONH91120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKGKHRLDKYYRLAKEHGYRSRASWKLAQLDTKHQFLHSSHAVLDLCAAPGGWMQVAVQRVPVGSLVVGVDLVPIAPVRGAFSIQQDITKPECTARLRKLMKENGCSAFDLVLHDGSPNVGGAWSSEATAQNALVVDSVKLATQLLAPKGTFITKIFRSQDYNAVYYCMKELFEKVEQDKPAASRSSSAETYLLGFKYKAPAKIDPRLLDVKHLFKSVEPQKKVVDVLRGTKQKRHRDGYEDGDTILRKASSAADFIWSEAPLDILGSVTSINFEGEASLPIKEHALTTEEVQTLCDDLRVLGKQDFKHLLKWRVQIRKALSPEKANASSAKEVENEENKEDDEDKILNEMEELTYAMERKKKRTKKLLSKRRAQDKVRKATGMQIDALQDGYTDNELFSLASIKGKKDLVAVDSTEYDGENGDLGDSENEESHEQTQEESSSDIDSDEERRRYDAQMEDLLDQAYEQYVSKKEGSAKQRKRIKQANSEDAQLLEDVDGSDMVQSDYESDKEQGGQEKNPLLEALDDGEGPTQEEITNNWFSQDIFAEAVEQGDLDKSDSEDEMQVERQEKPSLVGKAKENNAIQNVKKKIENDAAGSNHHQVQASKAEDDFEIVPAPGTDSSDDSSSDESEDMDIDKKAEILACAKKMLRKKPREHMLDDAYNKYMFDDEGLPRWFLDEEKRHRQPIKPVTKEEINAMKAQFKEIDARPVKKLAEAKARKKRVAFRKLEKIRKKANTISDQADISDRSKRKQIEQMYKKAEPKRPQKEYVVAKKGVQVRVGKGKVRVDRRMKKDARGSGAGKRPGKGNSKKSKSSGKAQKGKGPGKASAKSGKKGGR >ONH93803 pep chromosome:Prunus_persica_NCBIv2:G8:21709460:21710879:1 gene:PRUPE_8G253700 transcript:ONH93803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIHVDSPASPLYPGNISYEEEIQRLIDRSIARAQHLHYTLASLGNNNVSQTIINPLDIRPKLEFHPYGSYLVQVGIGTFDATLPPRSLNTYYLYTDTGSILTWVLCEDCLKPGNQCFQTKEPPFPNSKSKSYVALCCNQNPFCKTGQCTGPYCSQHDEYMDGTVVNSILSAENFNFLSSSGQPLMIPGVVFGCAYDTRKISFGRLEEFKVAGILGLGYAPISFPLQQSYQTGKVFSMCLTRQRGIQTYLRFGKDVPTPPGGLRVTKLVFFKDVPYYYVNLLAISVHGQKLLIDPNVFAVRNQGTSGGCIMDNGSSFTFLIRPAFNAVVQFLEMYFMRFPRLFKGGRPLGLPFELCYKWMTPLPPLPTLTFHFENADLVINPQELFIKVHAEQQGNYLLCLAFFADDARTILGSVHQSNYLFIYDLNQKLLKFAPEDCSKNS >ONH91366 pep chromosome:Prunus_persica_NCBIv2:G8:13951350:13955648:1 gene:PRUPE_8G110000 transcript:ONH91366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEYVTLFDCQKLCDNLAHEASKIEYNSLNKVSLCSVFLSSKQSQFLVVFPGSEVPKWFSHREDLYELSDKYEFSLKIPQNFKLENSGLAICADVEINKELKDTIQSKFGVETSQKEEDNSQSNFGRCSFTARIDMNTESVAAHSIFFEQTYLESAHVWLLYVPFVKFVQCPLPPFLCRVSLEHTTQDLVCCKSYGVHLVMPQDEDLEDDEDDEDDLKSAEYINSRGCRMLEHFPELLLVRMEGLTFLDLSTTAIRELPSSIRYLIRLEMLFLKECENLTNLPCSIYELKDLLSVNLSGCRNLSTLPKWTGGSLPNNSSISNLWHVRVRGCKSLQEIPELPPKVEYVDAADCISLERFAKLSSILEHKDSQMIKSVSLLNCKKLCDTLAQDVTKIENILLNEGSLCSVFLTSKQSQFDIVFPGSEVPKWFSHREDLYELIDRSEFFFQIPLNFKPENRGLAICAAAEISQTEKEITQSDFDRCYFTARIDINAETFATLSFNFKAKAMKSAHVWLLYIPFVKIVQYLSTPFMRPLSTCRVSLEHTSEGSMCCTSYGVHLVMLPQDEDLEHEETHEDLEDEYFTCEDDEDI >ONH93415 pep chromosome:Prunus_persica_NCBIv2:G8:20587515:20589711:1 gene:PRUPE_8G230900 transcript:ONH93415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTELPNSTAEVEVERPVVVLVVEEEAAKQGKGTWKHAAFHMATTIATPAAYAPLPFALASLGWPLGVSSLVAATLATWYSSFLIASLWNWDGKKHVTYRHLAHSIFGFWGYWSIAFFQQVASVGNNIAIHIAAGNSLKAVYKYYDKDGGLTLQHFIIFFGAFELLLSQLPDIHSLRWVNALCTFSTIGFAGTTIGVTIYNGKKIDRKSVSYRLDGSSSSKAFRAFNALGAIAFSFGDAMLPEIQNTVREPAKKNMYKGVSAAYAVIVLSYWQLAFTGYWAFGSQVQPYILSSLTVPQWTIVMANLFAVIQISGCFQIYCRPTYAYFEERLLSHKTTNHFTSRNNLIRLVFTSMYMVLITLVAAAMPFFGDFVSICGAVGFTPLDFVFPALAYLKARRRPQNTKIYLSLLLLNFAMVAWFSSVAVLGCIGAVKFIVEDVKTYKFFHDM >ONH90963 pep chromosome:Prunus_persica_NCBIv2:G8:11891619:11894982:1 gene:PRUPE_8G085800 transcript:ONH90963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEGEDADGGGIKIPNPNPNLSNGSAKGKSCKGCLYYSSTQKSKSKYPTCVGLSKTLQQVPSYIVGETELEASKADRSLTDFKYACVGYSVFLDRKDSSSDQQNKQAELPFCVGLEVLYDKKPAGHAQADAPAHKIEENVRPIPQPQSYRPPHSTGDEYLNRFKRNAILVASGVAKNVNRVGSYIKQSVDDILYPYRRRPK >ONH90964 pep chromosome:Prunus_persica_NCBIv2:G8:11892240:11894982:1 gene:PRUPE_8G085800 transcript:ONH90964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYIVGETELEASKADRSLTDFKYACVGYSVFLDRKDSSSDQQNKQAELPFCVGLEVLYDKKPAGHAQADAPAHKIEENVRPIPQPQSYRPPHSTGDEYLNRFKRNAILVASGVAKNVNRVGSYIKQSVDDILYPYRRRPK >ONH91410 pep chromosome:Prunus_persica_NCBIv2:G8:14142473:14143796:1 gene:PRUPE_8G112700 transcript:ONH91410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLANENPNSITLVSMLSACTCLLDFRVGGSIHYYIVVNCIGIDVALGTALLEMHSKCQHVKKAFQVFSSMSEKNFQSWTIMISGFADHGHGKDAISLFTCMEQIGLVPDSMPFSVILSACSHLGHVHKGRQFFNQMVRTYGIQLTLEHYGCLVDLFGRAGLIEEAFEIIKNMPMEPYSVILGSFLGACRNHGLVISLDDKLRKLFIS >ONH93062 pep chromosome:Prunus_persica_NCBIv2:G8:19493853:19495089:1 gene:PRUPE_8G210300 transcript:ONH93062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKVLGYWSSPYPYRVLWALKLKGVKYEYVEDDIYNKSDLLLQSNPVHKMVPVFFHGGKIIAESTVILEYIEETWPQNPLLPNDPYARAMARFWTKFGDDKRPNFFEFFWKTGDEQAKAVKDAQELVTILEQHGLGEKKFFNGDEIGMTDLAFGWIAFWLEVMAEAAGVQVLEVNSFPRLQAWIKNFKEVHVIKENHPDKSRLLAYMKERREVHVKPATS >ONH91290 pep chromosome:Prunus_persica_NCBIv2:G8:13510309:13510794:1 gene:PRUPE_8G104300 transcript:ONH91290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVSANISKEEIDGFVEEHNKARKEVGNKPLKWNTTLAQYAQEYADKRVGDCAMEHSMGRWGENLASGDGMSGAAATKYWVTEKEFYDEKSNKCVKDECGHYLAVVWGKTTEVGCGISKCNNGQNYVVCSYDPMYQPEDERPY >ONH92748 pep chromosome:Prunus_persica_NCBIv2:G8:18673579:18676793:1 gene:PRUPE_8G193300 transcript:ONH92748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSQAFSTAEKLRKAGNSYFKKGRFNAAIEAYTEAITLCPDIPVYYTNRALCHLKRNDWTRVEEDSRKAIQLEQNSVKAHYMLGLALLQKQEYADGVKELERALDLGRGANPKGYMVEEIWQELAKAKYMEWEDLSSKRSWELQNLKEACENALKEKHDAFEMEGFLDEAGPTHMKQLEALGRAFEKAAEADTPSEVPDYLCCKITLDIFRDPVITPSGVTYERSVILNHLEKVGNFDPITREPLDQSQLISNLAIKEAVEAYLQQHGWAYRTE >ONH93248 pep chromosome:Prunus_persica_NCBIv2:G8:20082967:20085434:-1 gene:PRUPE_8G221400 transcript:ONH93248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEDEEEAFEHTLLVVREVAVYKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSSELFAACFVHPGQRETSVETVLDSSRYFVLKIEDGTGKHAFIGLGFAERNEAFDFNVALSDHEKYVKREHEKESNGGETSDDGHIDIHPAVNHRLKEGETIRINVKPKPTSGTGMLSAAGLSGTVKPKPISLGLAPPPGSGKVRSALPPPPNDPVAARISSGGGLKSPPDNPRRSSDPLSDLSQIERNLPSATGSGSTKTTAGGWAAF >ONH93117 pep chromosome:Prunus_persica_NCBIv2:G8:19700308:19702484:-1 gene:PRUPE_8G214200 transcript:ONH93117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLNTALSLGLWVTRKPDFFSFFFLVKKNPIAVIPKIDQNEIPKPNSPPRRRLGSNPTVPNRLDTSIR >ONH93116 pep chromosome:Prunus_persica_NCBIv2:G8:19699094:19702548:-1 gene:PRUPE_8G214200 transcript:ONH93116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLNTALSLGLWVTRKPDFFSFFFLVKKNPIAVIPKIDQNEIPKPNSPPRRRLGSNPTVPNRLDTSIR >ONH93118 pep chromosome:Prunus_persica_NCBIv2:G8:19700226:19702484:-1 gene:PRUPE_8G214200 transcript:ONH93118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLNTALSLGLWVTRKPDFFSFFFLVKKNPIAVIPKIDQNEIPKPNSPPRRRLGSNPTVPNRLDTSIR >ONH93163 pep chromosome:Prunus_persica_NCBIv2:G8:19832427:19833571:1 gene:PRUPE_8G216600 transcript:ONH93163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSSVSSCWKIQCLKLVKGIASTGSAVTLVSIERRASTGCCHVSYLLRKLIWKLKSQWKQAVGWRKSYTHYTYDLQSYSLNFDNGFDDHLSPHSFR >ONH91772 pep chromosome:Prunus_persica_NCBIv2:G8:15649967:15650647:-1 gene:PRUPE_8G136200 transcript:ONH91772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIFLLSFLLTLATALHGTHAVDYVVTNKAGASPGGVRFNTDIGAKYSRQTLISATGFIWRVFQQNTAADRKSVQKVTLIIDNITGVAYASNNEIHVSAGYIAGYSGDVKREISGVLYHESTHVWQWNGNGQAPGGLIDGIADYVRLKAGFVPSHWVQPGQGNRWDQGYDVTARFLDYLNGRRSGFVAELNKKLRSGYSAKYFVDLLGKNVDQLWSDYKAKYAQN >ONH93432 pep chromosome:Prunus_persica_NCBIv2:G8:20623325:20626085:-1 gene:PRUPE_8G231800 transcript:ONH93432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISQNVPSAFKANKTKRDDVDEPSFLQGNDDNGNGKQSNLDIMKTKKRKKAHKEEDEKVAIEQEKEMKKLENFLFGAIYSPLEFGKEDEEEVGDAVDKGFLFFVDRSANSVLSVHEEDGELSEEETRQRKPVWVDEEEEKTNINIAKVNRLRKLRKEEDESLISGSDYVSRLRAQHAKLNPGTEWAQLDPQLRNSRYSDDESSDDENGVAVARGYKGVDAVDDLLRTNEDLVVKSSAKLLPGLLEYSVLVHANAEEPSKGPINSVQFHRNGQLLLTAGLDRRLRFFQIDGKRNTKIESIFLDDCPVRKASFLPDGSQVIIAGRRNFFYSFDLVKAKVDKIGPLVGREEKSLEVFEVSPDSSTIAFVGNEGYILLVSSKTKELIGTLKMNGTVRSLAFADDGKQLLSSGGDGQVYHWDLRTGACLHKAVDEGCINGTSLCTSPNGALFAAGSDSGIVNIYNNEEFLGGKRKPIKTIENLTTKVDFLKFNSDAQILAICSSMKKNSLKLIHVPSFTVFSNWPPQKKALHYPRCLDFSPGGGFMAVGNAAGKVLLYKLHHYHHA >ONH89726 pep chromosome:Prunus_persica_NCBIv2:G8:1013402:1020437:1 gene:PRUPE_8G012500 transcript:ONH89726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMTPSHVVIAYDATRDRSEPELKLTVDSLRMRGDILHSGDTIIFLGVLHRVVHPMGYHSKPSPEFFGTSIRAVEEEVTKKVDMYVNMLLQSAEKCEDQGVSVEVKVTAGFPIKQVILQEIMACNAAWVVLDRHLRRDLRFYLKQVPCKVAIIQDSLAVDIVRPHTTDDTDTIEHKLFYSLSKPVPPFTCPGNGNNEHSSIGCRSYSVSVGALESSDMLNTNLMTSSTFKLRDYSPLLDLASSSKQENSGAKTKGDNKHSIVPQINQKQDKNVFQHRSSEAPILCSICGTRTEMYIKDSMTFSYSEIQLATNDFSKENLLGEGGYGHVYKGELKDGQQIAAKVRKEASQQGFTEFHSEVYVLSFARHKNIVMLLGYCCKENLNILVYEYICNNSLEWHLFDDNAAVLEWHQRHAIAIGTAKGLRFLHEECRGGPIIHRDMRPSNILLTHDYVPMLGDFGLAKWKMSDDPVQTRILGTLGYLAPEYAENGIVSVRTDVYSFGMVLLQLISGRKIIDSKQKEKDESLRQWAEPIIQRLALHELIDRRIGDSYDTYEVYLMAKAAYLCVQRSPEMRPSMGEVVRILEGENDHFHHLGEHFVPHYTK >ONH92443 pep chromosome:Prunus_persica_NCBIv2:G8:17817875:17818744:1 gene:PRUPE_8G176000 transcript:ONH92443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHTRSNSLPSRPHPIIEEVDELLCRLRSSEATSTSSSSICNKLSGLQDLHDSVDRFLQLPLTQQALAQEQNEKWTNELLDGSLRLLDVCGTAKDALLQTKECVQDLQSIMRRRRGGETGALTSEVRKYLTSRKMVKKTIQKAMKNLKGTENRSTFSSLNKESETISIVSELRDVEAVTLAVFESLLSFISGPKSQPDSSWSLVSKMMQSKQVACEEETEVNEFAGVDASLQSLIGHKTSKSHSQSADNAHNQLEKLDACIQDQEDGLECLLRQMIKTRVSLLNILNH >ONH93075 pep chromosome:Prunus_persica_NCBIv2:G8:19550010:19552077:1 gene:PRUPE_8G211300 transcript:ONH93075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADMFLSPALQVMFDRLAYPALEKVAHILGVEDNRDSLRDALMRTQVILQDVEQQQLTNKSTLNLICCHNLETLPFLENFSLRHLNLSGSVSLTFMHYGIKRFRQLQTLPLFVASQRLNISRLEKIEDAIPRILVRKENLESLGLYWGTKFPDWALPNLVAADFTNCRRSEYLPALGNLPLLKTFSLHGMDGVKKIEWSSANDENALCRLKKLTVKNCPKLAHISLPQSLQHLELRDCNPTMVPMADLNLVSLPEGLFASASLSSLKILSCPKLHSMPLHMQNLSSLKSLTSCCLQNLKARESLEISDCHSLTSLPNCGIAGVVSLRTSSIENCSELTSLSSRLEQLTLLKHLTIMYYPKRGSFPAGVQHLSSLRSLIVLDCPCFDSLPEGLENVKTLHCLEMSSCPNLTALPEWFEDLRHPSA >ONH91917 pep chromosome:Prunus_persica_NCBIv2:G8:16053704:16060198:-1 gene:PRUPE_8G143700 transcript:ONH91917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRSFLGLLLGFLVLSLTPLSSARFVVEKNSLRVTSPDKIKGTYDSAIGNFGIPQYGGSMAGAVLYPKENQKGCKEFSEFGISFKSTPGALPKFVLVDRGDCFFALKVWNAQKAGASAVLVADNIEEPLITMDSPEEDGSTSKYIENITIPSALIEKSFGQTLKKSISSGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFMKDFRGAAQILEIGGYTQFTPHYITWYCPQAFTLSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVLENLRQLCVFKVVNKTNKPWVWWDYVTDFQIRCPMKEKKYNKECAESVIKSLGLDIKKVEQCMGDPTADSENSVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLEKGSVLKAICSGFEETTEPAVCLSSDVETNECLDNNGGCWQNKAANLTACKDTFRGRVCECPLVDGVQFKGDGYTTCEASGPGRCKVNNGGCWHDAQDGHAFSACTDNGEVQCQCPHGFKGDGVKSCEDVDECKEKKACQCPECTCKNTWGSYDCSCSGNLLYIKDHDTCISKAASGGKSAWAAVWVILIGLAMAGGGAYLVYKYRLRSYMDSEIRAIMAQYMPLDSQAEVPNHVNDERA >ONH91918 pep chromosome:Prunus_persica_NCBIv2:G8:16056001:16060198:-1 gene:PRUPE_8G143700 transcript:ONH91918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRSFLGLLLGFLVLSLTPLSSARFVVEKNSLRVTSPDKIKGTYDSAIGNFGIPQYGGSMAGAVLYPKENQKGCKEFSEFGISFKSTPGALPKFVLVDRGDCFFALKVWNAQKAGASAVLVADNIEEPLITMDSPEEDGSTSKYIENITIPSALIEKSFGQTLKKSISSGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFMKDFRGAAQILEIGGYTQFTPHYITWYCPQAFTLSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVLENLRQLCVFKVVNKTNKPWVWWDYVTDFQIRCPMKEKKYNKECAESVIKSLGLDIKKVEQCMGDPTADSENSVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLEKGSVLKAICSGFEETTEPAVCLSSDVETNECLDNNGGCWQNKAANLTACKDTFRGRVCECPLVDGVQFKGDGYTTCEASGPGRCKVNNGGCWHDAQDGHAFSACTDNGEVQCQCPHGFKGDGVKSCEGSCFTGKYGLA >ONH92912 pep chromosome:Prunus_persica_NCBIv2:G8:19103723:19105620:1 gene:PRUPE_8G202900 transcript:ONH92912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSKVYTLAEVSAHSDRKDCWLLIDGKVYDVTKFLEDHPGGDEVLLAATGKDASNDFEDVGHSSTARAMMDEYYVGDIDSSSIPTKRAYTPPKQPYYNQDKTTDFIIKILQFLVPLLILGLAFGVRFYTKTSTA >ONH92913 pep chromosome:Prunus_persica_NCBIv2:G8:19103722:19105639:1 gene:PRUPE_8G202900 transcript:ONH92913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSKVYTLAEVSAHSDRKDCWLLIDGKVYDVTKFLEDHPGGDEVLLAATGKDASNDFEDVGHSSTARAMMDEYYVGDIDSSSIPTKRAYTPPKQPYYNQDKTTDFIIKILQFLVPLLILGLAFGVRFYTKTSTA >ONH91715 pep chromosome:Prunus_persica_NCBIv2:G8:15461286:15465916:-1 gene:PRUPE_8G132500 transcript:ONH91715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAVIIVIDKLVSLLTEEGNLLRGIHDEVTSIKDLLESMTSFLKDADEKAERANSSSGVKTWVKQTREMASHIEDVIDEYMHHVARNRDKHGLNGFLHKTTHIVGGLFTRHEISLKIQRIKKRILEIMKTSVAYGFNSTQKIPFSSSRRDNMSFDQRMTSLYIEEVELIGIQTLRDKLIGWSIGGEVASRRSVSSVVGMGGLGKTTLAKKVFDNSRFTEWFDWRAWITVSQSYKNEDILRNMITEFHRTRNESVLEGIETMDLRLLIHTLRGYLKEKRYAVVFDDVWSTNLWGCVKLALPDDNNGSRIIITTRKGEVAASCREAFSDQVYDLEPLSPDKAWELFCKKTFRDSSGYCPPELKKFATTIVSRCGGLPLAIVAISGLLSTRGGDVPQWRKLHDSLGSELESNPHLTDVAKILSFSYHDLPHRLKTCFLYFGTYPENCPMRCSTLIRQWIAEGFIQEQRGKTLEEVAEEYLAELIQRSLVQVSYVNERGVRRECQVHDVMREAVILLKTRDMSFSQFLEEDSRFNENSRHLSVDSNAYNIFGSIGSSRAHSLCFFNGIGGPQNPLMSCRNLYKRFKLLRVLDFEESLLDHLPEEVGYMYHLKYLSLRNTRVKILPKSIGKLANLETLDLKQSLVHEIPYAINKLPKLRNLLAYNLHNNKQLGRTTKRAVVIHEGIEHWRNLQKLYTVEATDSLVKEIGNLKQLRRLGIQKLARKQGKDLCASIGKMSHLQSLEVVAINGDEIINLQSISPPPQRLQTLILIGRLKKLPDWIAGSSFLTRLELSWSRLAGDPNTLKVLQGLPNLVQLLIYDAFSCEELHFEEGFPKLKELSLIKLNSLKFMRIHNGALPLLESLIIGPSPQLQQVPSGIRNLKNLKYLDFLDMPSHFIDGIQVQETEHRVGPKVIVVKTEGERVMTTKIIDSAVFM >ONH90645 pep chromosome:Prunus_persica_NCBIv2:G8:9834136:9835889:1 gene:PRUPE_8G066500 transcript:ONH90645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVTSMEASNGHEVPKVASIKTLADSASLTFIPSEYTYTKNPNEQGDANDPEHSIPIIDFALLTLGSPDQRAKVIQELRRACEEWGFFQVTNHGVPESLMQSMIGACHRFFDLPEEEKKEFQTRNLLDPIKCGTSFNVDIEKVHLWRDFLKVIAHPEFNSLYKPAGYSEVSLEFSKRTREVATEILKGISESLGLEADYIAKATNWDRGLQILAANYYPACPQPDKAIGLPPHTDHGLVTLLIQNEMGGLEVKHKDQWVLVNAAPGAFIVNIGDQMQILTNDKYKSIWHRAVVNNKATRISIAVPHGPSLDTPALPIPELLERGGQAPKYIGMTYEKFMELQASPAALTKPCLDHLRVKHN >ONH90646 pep chromosome:Prunus_persica_NCBIv2:G8:9834263:9835223:1 gene:PRUPE_8G066500 transcript:ONH90646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVTSMEASNGHEVPKVASIKTLADSASLTFIPSEYTYTKNPNEQGDANDPEHSIPIIDFALLTLGSPDQRAKVIQELRRACEEWGFFQVTNHGVPESLMQSMIGACHRFFDLPEEEKKEFQTRNLLDPIKCGTSFNVDIEKVHLWRDFLKVIAHPEFNSLYKPAGYSEVSLEFSKRTREVATEILKGISESLGLEADYIAKATNWDRGLQILAANYYPACPQPDKAIGLPPHTDHGLVTLLIQNEMGGLEVKHKDQWVLVNAAPGAFIVNIGDQMQVPKSKTHLI >ONH90511 pep chromosome:Prunus_persica_NCBIv2:G8:7293635:7297369:1 gene:PRUPE_8G058100 transcript:ONH90511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHMESCVPPGFRFHPTEEELVGYYLKRKINSLQIDLDVIIDIDLYKIEPWDIQARCKLGYSEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAVLSKNNIIGMRKTLVFYRGRAPNGNKTDWIMHEYRLQTSEHAPPQEEGWVVCRAFKKPSPSHRQGFEALKHAYYIREHNSHASSSNFGSEMHMVNLSQGFQYQSFGLDQQDHLISNHIFMGNQLTELPQLESPSISTSLANKQGVHEQQNNNITTEHYDDQRCNMNSSDQHIDWRNLDSLLEVPLIPQNYEQEAQNHHLLGCFPDL >ONH93162 pep chromosome:Prunus_persica_NCBIv2:G8:19828536:19831391:1 gene:PRUPE_8G216500 transcript:ONH93162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWNHPHISLEQLLNLIKGFVDILLLLSGYQSSGRLAQWDSNNIKKAFQWGLFFEKVLGSFGCSDDYGGSMEELDAALSEMTSAASLPKGLALLSSANLTTARHFVLDHLIHTLPLRDAHLRALLMATVEMDLEELSETEHDFLNAYFNKLKLQNTSLHRSIASATKIENCAGDGFTKYVVQELLKRWSKISLMSAVEAGLDVLSHSIRHSSWSEFDDNLLKEQLKRENAPDIVEWLVGSLTWNHWKSKNISYFLDKRTIQLVSGASMIFSAPKIQWVQIFGRLNLNVSAGSSDDGLRETIELLLVGCITSRWTSLIEYMVSVCYDCKNISEQYHEVCNLLYGRSTAPISKQEAESNILEYLSGLLGSQLHQLWKMSPSLVAVAIPSRSPLFRLYLSEIQIQFRGEFSTMRCCGCAHDMKEHNDCELAERIWCLYIFHVCGSHLFV >ONH90009 pep chromosome:Prunus_persica_NCBIv2:G8:2749232:2752851:-1 gene:PRUPE_8G029500 transcript:ONH90009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIPTSRMGSVIPPKNPTPQMTQICNQRDNFLEVDDVYFPEKRTFLRQRVEARLASLLMESKEYSEALSVLSGLIKESIVRVSHRLPLVFFVVLELKHRSSSYCFHCTQKVSFHFHYFGYCFACSYVFCLKNLFTFFLAKIILLLSKFDKIYRQRGKVSNFEDNYLN >ONH90374 pep chromosome:Prunus_persica_NCBIv2:G8:5510758:5520825:1 gene:PRUPE_8G050600 transcript:ONH90374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMISPTTSLSSSDSCVSSCSEFSVDVSSYRANQEENTSVCQENLSCRPNGRLQNSSFEHQVNGLDRSQKVMENNLKESSSCSDGYTVRDVEIIETSNDQEAKDNVATNSSSFSEGIENSDSLEDETDAQIWELPEPNDPEDDMEGSVAFNDDDDEECGDGMKWGKPSSLSNSRDEGSGSYRFKEEKQRATEAVINGKFKALVCQLLKSVGLASSGEDGESWVDVIASLSWEAASFLKPDAVVGKAMDPDGYVKVKCIATGVRSQSQLVKGLVFKKHAAHKHMPTKCKNPRLLLIKGVLGQSSSGLSSFDSMEQEQGYLKFVIEMLDLCHPNVVLVEKTVSRDIQESILAKGMTLVFDMKLHRLERVARCTGSPILSSDTMTSKKLKQCDSFHIEKFTEEHAGFGGGKVPSKTLMFIEGCPTRLGCTILLKGAQSDELKKIKCVVQCAVILAYHLKLETAFLVDQRAMFSTLPFSSAANVLSTEVANGLPTDKTSLNLGPVTSCVSQHKDSSAETRSDAVDILISNGFHKGYSHNFNLECEGTCEVHEPYNPAIFSGFSSLSASLSKVVGGSFPLASSYQSLSSYFGFNARESNGDITRSVSVSTSPEAIDLCDVEDKGSSDEERSLNGQTHTSFTCTEASPEMKEDGGNSEDQMQSKKDISTVLDSQSILVLMSSQNALRGTVCEQRHFSHIMFYKNFDVPIGKFLQDNLLTQRSQCNCGDLPDAHFYYYAHHNKQLTIRVKRLPGEERLPGEAEGKLWMWSRCGKCKSRNGVSKCTKRVLISTAARGLSFGNFLELIFSNPSLSNTFSSCSHSLQRDFLYFFGLGPMVAMFKYSLVTTYTVSVPPLKLPFSNSIRQGWLMKETQNVYMKVMLLFTEVANTLKKIRSQFDGLTLKLRGSFKEFSDIEDMLKQECSEFEVSIQNAVSKNGNSDQAAYKLLSLNRVLWELILESCIWDRRLHSLLSPDSLMIHSGASEKVVQEKVNSDIDGIASGGIVGTKRIVEKGEKCFDGGASLKVKLDTASEADESPSKDILVGGPVQESKGADPFDVSNMAEDFETPNVGGSSPKRLSSQGSNLSTNGSTKGHSENNQLEVDRTFPISTENGDCSSVVNSNLSVKGTSHHSLSSNLENSNDWFWVPFSEIRQIGMKDLHRVYLPKFESLSSYTAEYLPTAHQLIKEEGQMLHIPLGTDNHIVSDYEGELSSMIACALALLKDLPLQTEVLADVSKGDSGIAARKFENLQSFTRIPTISSSHWSSNGSSDSDSVHSNASISLDESRFSSFDGLNLLDSLVPPGTVNPVVPLGGSKSLGKDKYTVICPYANQFRDLRNRCCQSEVDYIASLSRCRNWDAKGGKSKSFFAKTLDDRLIIKEIKKTEFESFIKFAEDYFKYVNESFDNGNQTCLAKVLGIYQVVLKQTKSGKEMRHDLMVMENLTFGRNIVRQYDLKGALHARFNSAADGSGDVLLDQNFVNDMTSSPLYVSNNAKRILERAVWNDTTFLNSINVMDYSLLVGVDAERRELVCGIIDYLRQYTWDKQLETWVKSSLVPKNVLPTVISPKEYKRRFRKFMSKHFLSIPDDWCSPESADPCHQCAVRDDSSE >ONH93336 pep chromosome:Prunus_persica_NCBIv2:G8:20310995:20312351:-1 gene:PRUPE_8G226700 transcript:ONH93336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALVDYAAAEKELYEFDDLKIGVKGLVDAGVTHIPRFFIHPPECRANNSSTPQKNGADGVEIPVIDLQGFNENDDRRVKMVNAMRYACATWGFFQIVNHGVPVAVMEEMVESIRRFHEQPQEAKMEWYSRDFSRTVNYYSSGDIKGRAQPVPAEWKDTLSCRAADDQWDFDALPELCRHEMKEYMKNVIDLQEKLSELMSEALGLSKDHLSSTGYLKSIKLSGHYYPACPEPDLTLGTIKHSDPSLLTLVLQDKSNGLQVLHNDLWVDVPKVDGAFVANIGDFMQDRIKPCGPIKELLSDNSPPIYRITSYEEFMRHYRVVGQIGGRALPHFKL >ONH90044 pep chromosome:Prunus_persica_NCBIv2:G8:3033827:3037464:1 gene:PRUPE_8G032100 transcript:ONH90044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAFLPIKVSSFLANVREVSQRGNLVDLQRQLLSPILKDQITQVWDEQWGISVIKNCLCNKKVLLILDDVSESSQLENLAGEKDWFGKGSLIIITTRDERLLLKHDVQVSYKVEGLGDDDALELFSRNAFKKNEPEEGYLELSKGFVNYARGLPLALKLLGCLVYKRDQYEWKSELDKLQKIPKSEIIDLLKISYDGLDEMNKDIFLDVAFFHKGMFKERVIEILDCCGLCGHIGINALVQKSLLTIDISNNTVEMHDLIQEMALEIVRRECPEEPGRRSRLCNHDDISHVFINNTAYSNCEALSKMLNLRFLEFDNMMISSSHGILPNSLRSIKWSRYPSKFLPSDFQPNFLFALEMPNSKLVGLWDGRKDLPNLKKMNLCGSENLTTTPDFSGIPNLEVLDFLFCKNLVEIHPSIADLKCLTTLSLSFCSKSKKTPEFSGQMKNVSWLCLCQTSIEKLSSSIGCLVGLTTLLLLNCKNLEGFPSEICNLKSLEELDASRCSKIDKLPENVGEMESLTKLRLYGTSIRQLPRSIVGLKKLRDLSLGGGSGSQPNKSRFWWGLPRLYKRNALVLGSLDGLCSLGILDLSDCELCEGDLPSDIGCLSSLAEFKLSGNNFVSLPASIGCLSKLKLFWVNGCQRLQQLPDLSKLISLMDIDIAGCTSLKMLPHLSSNCSLVDNKIGYRKFNCANCFVLFDNEGYDSIILKMLQRYLQWFSNQSLGHSLTVELPTTWMGIALCAVFEVQADLSDFHYFQISCFAQGLLPHGVFSKYFKIGDVVSDHLWVIYVSRKEFEKICGQIKVLFTTYYSQDIMWGDKKSCVKKCGFRLVHEQDVEQLNQIMMNKSIIKSTTTCPTKSADAQGQQRHNDKDAGPSGSGSSHQKSLFCNTYALSEEADQDELK >ONH92865 pep chromosome:Prunus_persica_NCBIv2:G8:18979657:18984126:-1 gene:PRUPE_8G200800 transcript:ONH92865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSFTQGRWNYDRWGGFDPISSNNAKPPGVELWAVFDVPLEHVDDSWKNLTHALSGLFCASVNFLESSTTYSAPEWGYRPAPGSLRYGTLPREAVCTENLTPWLKLLPCRDKAGLSALMDRPSIYKGFYHSQRLRLTSSEFESEEGGSGIVLQQTLTVVVQPDSERNVMSYSHETKLQPSWSLTSIFGRMVTGRCVLAKSSTVYLQLDRGLVGQLDYLQKENELSNADKSVYEGFSSNPGFELSVKPDRVLKELNGFEKKTPSVVYEFYIEKYSESRPFDLGLTWKLPVVWSCQKAPLHASRFLMGSGNERGAIAISLRSTEVSDELLHTDMSEGRCKLEVKVFQVVPWYIKVYFHTVRVYVNEQPQEVSDVVEKMRVSPSVDKVSPGVMEMVLKFPCGMKSAAITLEFDKGFLHIDEYPPDANQGFDIPAAIICFPNFHTSMQFFTDKSVDRSSILSKFQENNAVLAYTEVLLVPLTTPDFSMPYNVITITCTVCALYFGSLLNVLRKRVGEEERSAKSKATKETGRLRQLLSRVSAKLRGRPFELPQPPSNSSSFISSKLILFILVAGIAVFWQVYFG >ONH92864 pep chromosome:Prunus_persica_NCBIv2:G8:18979657:18984127:-1 gene:PRUPE_8G200800 transcript:ONH92864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLLLLRPILLLSFLLFAPIINFKAALGSVTEEDFSEELLLRPLPDRKVLAHFHFRSRAPHTSSNGRHHHLFPKAISQLVQKFHVKEMELSFTQGRWNYDRWGGFDPISSNNAKPPGVELWAVFDVPLEHVDDSWKNLTHALSGLFCASVNFLESSTTYSAPEWGYRPAPGSLRYGTLPREAVCTENLTPWLKLLPCRDKAGLSALMDRPSIYKGFYHSQRLRLTSSEFESEEGGSGIVLQQTLTVVVQPDSERNVMSYSHETKLQPSWSLTSIFGRMVTGRCVLAKSSTVYLQLDRGLVGQLDYLQKENELSNADKSVYEGFSSNPGFELSVKPDRVLKELNGFEKKTPSVVYEFYIEKYSESRPFDLGLTWKLPVVWSCQKAPLHASRFLMGSGNERGAIAISLRSTEVSDELLHTDMSEGRCKLEVKVFQVVPWYIKVYFHTVRVYVNEQPQEVSDVVEKMRVSPSVDKVSPGVMEMVLKFPCGMKSAAITLEFDKGFLHIDEYPPDANQGFDIPAAIICFPNFHTSMQFFTDKSVDRSSILSKFQENNAVLAYTEVLLVPLTTPDFSMPYNVITITCTVCALYFGSLLNVLRKRVGEEERSAKSKATKETGRLRQLLSRVSAKLRGRPFELPQPPSNSSSFISSKLILFILVAGIAVFWQVYFG >ONH90895 pep chromosome:Prunus_persica_NCBIv2:G8:11483436:11485084:-1 gene:PRUPE_8G081700 transcript:ONH90895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLLPGIFNAKRSLVRSRSTSNQTAESKTDIPKGYFAVYVGESQKKLFVIPVSYLNETLFLELLSQAEEEFGYNHPMGGITIPCSESIFLDLTSSLSV >ONH90860 pep chromosome:Prunus_persica_NCBIv2:G8:11238643:11239911:-1 gene:PRUPE_8G078200 transcript:ONH90860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGGNCQPNVVTYGTIIRGFCMKGNNSAAIQLLRKMEEGPCKPNLVVYNTIIDSLCKDTLVDDALNLFSEMMCKGIAPNVITYTSLIHGVCKLGKWKEATRLLNEMVSKNIFPDVFTFSVLVDTLCKEGMVVEAEGVVEMIQRDIDPNTVTYTSLMDGYCLRGETGKAQNVFELMLSKGQMVNVVCYNTLINGYCKLKNIDEAMMLFLDMSHKGLVANTITYNTLADGFCKVGRIDDAQKLFSKMQARGQLPNVQTYSILLDGLCKNRQLSRAMQLFGEMEAKKLDIDIVIYSILIEGLCITGKIESARDLFCGLSSKGFRPDVRTYTIMITGLCITGLTSEAEKFLIQMEEKCCSPDDCTYNTIIRGFIHNKQTSRAMVLIQTMVEKGFSADASTTELIVNLQSKDEVDPALLPLIKGSL >ONH90859 pep chromosome:Prunus_persica_NCBIv2:G8:11238097:11240673:-1 gene:PRUPE_8G078200 transcript:ONH90859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGGNCQPNVVTYGTIIRGFCMKGNNSAAIQLLRKMEEGPCKPNLVVYNTIIDSLCKDTLVDDALNLFSEMMCKGIAPNVITYTSLIHGVCKLGKWKEATRLLNEMVSKNIFPDVFTFSVLVDTLCKEGMVVEAEGVVEMIQRDIDPNTVTYTSLMDGYCLRGETGKAQNVFELMLSKGQMVNVVCYNTLINGYCKLKNIDEAMMLFLDMSHKGLVANTITYNTLADGFCKVGRIDDAQKLFSKMQARGQLPNVQTYSILLDGLCKNRQLSRAMQLFGEMEAKKLDIDIVIYSILIEGLCITGKIESARDLFCGLSSKGFRPDVRTYTIMITGLCITGLTSEAEKFLIQMEEKCCSPDDCTYNTIIRGFIHNKQTSRAMVLIQTMVEKGFSADASTTELIVNLQSKDEVDPALLPLIKGSL >ONH93410 pep chromosome:Prunus_persica_NCBIv2:G8:20561188:20562407:-1 gene:PRUPE_8G230400 transcript:ONH93410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEAIAAVAETVENPPPEPTSTEPAEEKPAAKTGKASKAKEPKAKKPAAPKKARTTPTHPPYEEMVKDAIVTLKERTGSSQYAITKFIEEKHKQLPPNFKKLLLYHLKKLVSSDKLVKVKSSFKLPSARSAAAPKPASPVKKKPVAAAKPKAKAAAKPKGGKTTKPASKAPAKPKAAAAKPKPKPKPAAKPKAAAPKPKAAAPVKSKPVATVKPKATAAKPKAAAAKPKAAAPRPKPKERPAKAARTLTRSSPGRKAPAAKPAPKKAATPKKAPTRSVKPRSVKSPAKKAPARKGRK >ONH93807 pep chromosome:Prunus_persica_NCBIv2:G8:21731728:21734726:-1 gene:PRUPE_8G254000 transcript:ONH93807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSASPASPPPTKSRQDFGKLDYGCDHYRRRCKIRAPCCDQIFPCRHCHNEAASSLSNPKDRHELVRQDVKQVVCSVCNTEQQVAQLCSNCGVNMGEYYCDICKFYDDDISKQQFHCNECGICRVGGRDHFFHCQKCGSCYVVELRDNHLCVENSMKNHCPVCYEYLFDSVKGTTIMKCGHTMHSECFIEMQRQTQYRCPICSKTIRDMDSIWRLLDDDIEATAMPEEYQYEVSILCNDCNTTSNAPFHIFGLKCSNCDSYNTRRISAADHQ >ONH90795 pep chromosome:Prunus_persica_NCBIv2:G8:10879153:10880506:-1 gene:PRUPE_8G074600 transcript:ONH90795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSCAKRRERENKCFGIEDFHLPSGPRCDIIVPLYWRRDRLVLLNLILILLSNTLYCLHLFFMGPKVL >ONH90522 pep chromosome:Prunus_persica_NCBIv2:G8:7645672:7646670:1 gene:PRUPE_8G059100 transcript:ONH90522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGTRKKPKPVNRFLDPSYFIFNPLPKRKPADSHPPQIPCAHKKLRCTCWNQLMQISLPKQYLFVSGKGLIT >ONH90958 pep chromosome:Prunus_persica_NCBIv2:G8:11819588:11823466:1 gene:PRUPE_8G085300 transcript:ONH90958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENKSKDGGKTRSESEHCASTVFASNLPYSFINSQLEETFNDVGPIRRFKIQRSVCKQLSAKRLKTNNGLCSSRSTPL >ONH92134 pep chromosome:Prunus_persica_NCBIv2:G8:16777031:16779447:1 gene:PRUPE_8G157300 transcript:ONH92134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSVEANGRIARISAHLFPPNLQMEDGSALRRVDCRAKGGAPGFKVAILGAAGGIGQPLAMLMKINPLVSVLHLYDVVNAPGVTADISHMDTGAVVRGFLGQPQLESALTGIDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKACPKAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPCSFTKEETEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDAGVVECAFVASEVTELPFFATKVRLGRNGADEIYQLGPLNEYERVGLEKAKRELAASIQKGVSFIKK >ONH91346 pep chromosome:Prunus_persica_NCBIv2:G8:13864656:13865453:-1 gene:PRUPE_8G108100 transcript:ONH91346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAADASAAEEDKTPQACQKMCMCILFCLCLTILVGVIWLFNLTVRHILRDIEINRVDPTFRLHSATVSLLNNASASEFTATWDVTLVASNPNHKLDIYYDTLQAAIFYNANRSDSYRPRVLLATKPLQPPSALRTRAETTCSFRIEAASAYIGDDMAKEISEGRARGMVRFELTLLAMYKFPNWYWTYPKLFAAWCNPVEFGFSPDNWIGRAQSSACEENGDLSRLVLQIAR >ONH93069 pep chromosome:Prunus_persica_NCBIv2:G8:19510008:19512968:-1 gene:PRUPE_8G210900 transcript:ONH93069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNNLTNFTSVSLNSARILSSTNKSSICSAPLSNNCSPRGSSSISLFSINGSRRWRSFSVKAMASSFGSRLEESVKKTVDENPVVVYSKTWCSYSSEVKSLFKRLGVEPMVIELDELGPQGPQLQKVLERLTGQHTVPNVFIAGKHIGGCTDTVKLYRKGELEPLLSEAKAKNAQS >ONH92184 pep chromosome:Prunus_persica_NCBIv2:G8:16926558:16928829:1 gene:PRUPE_8G160900 transcript:ONH92184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFPLSQEALLQKCFLLSLLFVSFCYAEHKTVEVVGVGECSDCAKNSIKTSQAFSGLHVTIDCKPANGHFKTRGFGELNEEGKFKVSLPKEIVKEGDDELKEECYAQLHSALAAPCTAHDGLESSKIVFKSKTSEGKQTFGVAGGKLKFSPVTCTSAFLWPHPLPKLPPLNLPPFPKSHPLFGHPFPPFPHKVFPPFPPKVFPPKVPIFKKPLPPPVPIYKPLPPPVPIYKPLPPPVPIYKKPLPPPVPVLQEASSSTSSSLQEAFASSSANLQETTSTKATEKSLSTSSCVQKATSTTSPHYTRSHFHHQFPYTRSHFHHLSPYTRSHFHHQFPYTKSHFHHLSPYTRSHFHHQSPYTKSHFHHQSQLSKSHFHLPSHFTSQSHIHSLSLTLHCQRFLHSSRNHHSHHSFQNTLFFPSFLLSPKSTQNITPTQSPSFLIFQKPTQNTSPTQRLASYHTCHLWFLNILRLLQTCSTIFFSCK >ONH92216 pep chromosome:Prunus_persica_NCBIv2:G8:17059502:17061821:1 gene:PRUPE_8G163300 transcript:ONH92216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFSSSSHNLILSLVLLLFFTFRGVSGTTFTFVNRCDYTVWPGILANANSPRLDSTGFELPKQTARTFQAPTGWSGRFWARTGCSFDGSGSGSCTTGDCGSGQVECNGAGAAPPATLAEFTLGTGGQDFYDVSLVDGYNLPVFVEGTGGSGQCASTGCSTDLNRMCPTELRVGDGDACKSACEAFGTPEYCCSGAYATPATCSPSVYSQMFKAACPKSYSYAYDDATSTFTCTGADYTVTFCPSSPSLKSTPMTATPSQGAATAGSTPGFAYSDSGAGSGSGSATGTGTGTGTGTGTVPGSGSGSGEAMLADDGSWLAGLAMGDSNRAGPLSITSAALCIILSYLFL >ONH91246 pep chromosome:Prunus_persica_NCBIv2:G8:13346877:13350607:1 gene:PRUPE_8G101900 transcript:ONH91246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSEVGLRVLLCPLGSNVLVRTACCSVGIALPVYSTFKAIENKDQAEQQRWLLYWAAYGSFSIAEVFSDKILSWFPLYYHVKFAFLVWLQLPSSNGAKHLYTSLLRPFFLRHQARLDQAVDFIYGQMSKFISSHQGDLQFARVLFMKVLAAASGVVKGVVPHGQRQASPAIEDPAKQTQDSESEKDE >ONH91247 pep chromosome:Prunus_persica_NCBIv2:G8:13347444:13350318:1 gene:PRUPE_8G101900 transcript:ONH91247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNGLCSCSVGIALPVYSTFKAIENKDQAEQQRWLLYWAAYGSFSIAEVFSDKILSWFPLYYHVKFAFLVWLQLPSSNGAKHLYTSLLRPFFLRHQARLDQAVDFIYGQMSKFISSHQGDLQFARVLFMKVLAAASGVVKGVVPHGQRQASPAIEDPAKQTQDSESEKDE >ONH91212 pep chromosome:Prunus_persica_NCBIv2:G8:13058572:13059648:-1 gene:PRUPE_8G099000 transcript:ONH91212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLCATLALFSLLLFAKTIESRGGAGIDWEYIRKEQPLMPGLLVEHSDSTPKEEANCHENTEKPVVETMEFEPRPFISAYNDEEGKAEFSAKDSKPKSQAYPDKQPLESKENKQSFDEDFDPRKYIFIFTITNRTSSVSWCYLKIK >ONH90726 pep chromosome:Prunus_persica_NCBIv2:G8:10458434:10460904:1 gene:PRUPE_8G071500 transcript:ONH90726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSGGRSARPAPAPRHAPARNPPQTVKQAPPPAPVQGGGGGILSGIGSTIVQGIGFGTGSAVAHRAVDAVMGPRTIQHETVVSEAAAAAPAPISSSMSGSDACSNHSKAFQDCVNHFGSDISKCQFYMDMLSECKKNSASGMLSA >ONH90727 pep chromosome:Prunus_persica_NCBIv2:G8:10458697:10460849:1 gene:PRUPE_8G071500 transcript:ONH90727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSGAPAPRHAPARNPPQTVKQAPPPAPVQGGGGGILSGIGSTIVQGIGFGTGSAVAHRAVDAVMGPRTIQHETVVSEAAAAAPAPISSSMSGSDACSNHSKAFQDCVNHFGSDISKCQFYMDMLSECKKNSASGMLSA >ONH91412 pep chromosome:Prunus_persica_NCBIv2:G8:14146559:14147878:-1 gene:PRUPE_8G112900 transcript:ONH91412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASATVSPAMFTTTTVAKPRRAANNVNYITGLNSFSGLKAHSSVASLGLPQGTEQSFAKIVSSLRAPSQGKGRVGGGALSSTCNAVGEIFKIAAIMNGLTLVGVAVGFVLLRIEASVEEAAEAE >ONH91014 pep chromosome:Prunus_persica_NCBIv2:G8:12176566:12187914:1 gene:PRUPE_8G088600 transcript:ONH91014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECCPPMDLFRSEPMQLVQIIIPIESAHLTVSYLGDLGLLQFKDLNAEKSPFQRTYAAQIKRSAEMARKLRFFKDQMLKANLPSSKSKRQVDVNVDNLEVKLGEFEAELIEINSNSEKLQRSYNELIEYKLVLEKAGEFFHSAQSSAALQQRENESRHIGDESLDTPLLLEQEASTDPSKQVKLGFLTGLVPRGKSLAFERILFRATRGNVFLRQAVVENPVTDPVSGEKVEKNVFVVFYSGERAKNKILKICEAFGANRYSFPEDLGRQAQMITEVSGRISELKTTIDIGLLHQGSLLQNIGEHFEHWNLLVRKEKSIYHHLNMLSLDVTKKCLVAEGWSPIFASKQIQDALQRAAFDSNSQVGAIFQVLHTQEAPPTYFRTNKFTSSFQEIVEAYGVAKYQEANPAVYTIVTFPFLFAVMFGDWGHGICLLLATLYLIGRERKLSSQKLGDIMEMAFGGRYVILLMAIFSIYTGLIYNEFFSVPFELFGSSAYACRDLSCRDATTAGLIKVRPTYPFGLDPVWHGSRSELPFLNSLKMKMSILLGVVQMNLGIILSFFNARFFRSGVNVWFQFVPQIIFLNSLFGYLSVLIVMKWWTGSKADLYHVMIYMFLSPTDELGENQLFSGQRTVQLVLLLLAFVSVPWMLFPKPFILKKQHQDRHQGQSYALLENTEESLQVNSNHDAHGHGEFEFSEVFVHQMIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGFNNVIILIVGIIVFICATVGVLLLMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALLDDEDE >ONH90488 pep chromosome:Prunus_persica_NCBIv2:G8:6724137:6725383:1 gene:PRUPE_8G057000 transcript:ONH90488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVECMAPTTVGKTNMPAMVYERIVHMLETLTKQVADSKERFRLMDHRLAKLEASKHPYVDKFDVINICSKKESRECQSIDVWKQGENFQDNTTEIQVEAAGQGEFKEEVCKPELLASVVDIKVESGEIFHPMMTLVSCPLIESPFSKGGKV >ONH93961 pep chromosome:Prunus_persica_NCBIv2:G8:22134878:22136011:1 gene:PRUPE_8G263100 transcript:ONH93961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSFSNAKLLSALVADGFSSTITRRGYAAGSQGVASSVVRGGGATNPTSVNVVKNSGEDKVSSASKVSWVPNPKTGFYGPENGAEEIDAAELRAALLKKH >ONH91423 pep chromosome:Prunus_persica_NCBIv2:G8:14176937:14179912:-1 gene:PRUPE_8G113400 transcript:ONH91423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQRNRDQGTLEMNSGEGTVENGTAGVSGYEQFRDQRIKENNERLQKLGILDLALKLKPKAATPKRSQSQRDSSVQTKIHKSPRRSSRLKTVAPVSYVEIRKPKEKEETSESINIYIKEGSKREVYTEEHEKQLGDCNTSWPLGVDLVKGETCHQCRHKTLGQHTHCCKCDSVQGQLCGDCLFTRYGENMLEANQNPDWVCPVCRDICNCNLCRKAKGWEPTGLKTGLQVSGALSYSNPPFPDKIRNLRCRGC >ONH91422 pep chromosome:Prunus_persica_NCBIv2:G8:14176711:14179945:-1 gene:PRUPE_8G113400 transcript:ONH91422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQRNRDQGTLEMNSGEGTVENGTAGVSGYEQFRDQRIKENNERLQKLGILDLALKLKPKAATPKRSQSQRDSSVQTKIHKSPRRSSRLKTVAPVSYVEIRKPKEKEETSESINIYIKEGSKREVYTEEHEKQLGDCNTSWPLGVDLVKGETCHQCRHKTLGQHTHCCKCDSVQGQLCGDCLFTRYGENMLEANQNPDWVCPVCRDICNCNLCRKAKGWEPTGNLYWKVSKLGYKSLAHYLIQTHRSQIKSETSGAEVADEGSEPSSHDEFLESTTHQPEDLRHDEGFSTTEEKMGEVHLLGIKHVDVNDVGKLDDVAAGDANDGEAKEKPRRETSVSRN >ONH89943 pep chromosome:Prunus_persica_NCBIv2:G8:2320388:2324020:-1 gene:PRUPE_8G025400 transcript:ONH89943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLALQRLKNLLSEKGELDDVAAAKIEKLTAELEKPGDFNPVQRIVDGFIHFRTNKFEKYPDCFNELAEGQSPKFLVFACSDSRVSPSHILNFQPGEAFMVRNIANMVPAFNQIKYVGVGAAIEYAITALGVENILVIGHSRCGGIKRLMTHPEDGSVPFDFIDEWVKIGLPAKVKVIANGLGGHDIDEQCEACARESVNLSLVNLQSYPYVQKAISNRNLALRGGYYDFVRGVFELWELESHISPPIIIPAP >ONH89576 pep chromosome:Prunus_persica_NCBIv2:G8:245353:247721:-1 gene:PRUPE_8G002800 transcript:ONH89576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIQNTVYYCCVSRGNRILYVYSGGDGEIENLATLCLEKTPPFHRWYFETVGKRTYGFLIEDGYIYFTIVDESLGSPGVLQFLEHLRDEFKKVDRKGSRGSLSSMSSVGIQEQLVPVIHRLITSLENVSQSGSNWTAETSLSLHAGLSPSPNGANGQTEVATSTKAPLLGKPNKQDKKKAKDHVIAMRDIELEEHRKSTDRGVNIDSANSDSNSHAGAGSSIALQKDLGSMRIRSGSQGIRKKWCRQVRIVLAIDAAVCVILFVIWLLICNGIACIR >ONH89910 pep chromosome:Prunus_persica_NCBIv2:G8:2113211:2118827:-1 gene:PRUPE_8G023500 transcript:ONH89910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASTSRTSAEWAPPHWKHDVFLSFRGEDTRSGFLSHLYHELQYWQAIKTFKDDQDLERGASISPELLRAIEESHLAIIVLSPNYASSAWCMDELSKILECMQDTERILPIFYHVDPSDVRNQRGSFAEAFTKHEEKFRVVNWWRVPLRKVVNLLGWDSKHEEFSGDVEMVNRWRFALTKIANISGWDSKNYPSEAELIKHIVKCVFKKVHPTFMLSSSLDKLVGIDSALEQLHLHLAPKDNDVRFIGIWGMGGLGKTTLAKLVFERISHHFELSWFLSNVREVSGKQGGLVNLQRQILFPILKENVAYVGDEEAGTLFIQNRLWNKKVLLVLDDVGQLNQLEKLVGNKKWFGVGSRIVITTRDERLLVEHGIEKVYKVIVLKDDKALELFCRHAFKKDQPKEGFQELSRHFLDYAKGLPLALKTLGRALYGRDQDAWKSVLHNLNKIPDPDIFDSLKVSYDGLKEMEKKIFLHVACLHRGKNKEQVIQILDCILDISSHIEIDILIEKSLLTIEKGHFRTNIVEMHDLIQEMARRIVHEESPKPGKRSLLWHHSDISHVFMNNTGTEAIEGIVLDLPKLEEVPWNCTEAFNKMHGLRLLDFNNVMFSSGPEFFPDSLRIIHWSWYPSKLLPSSFEPHLLSKLEMRDSKLVRLWDGAKDFPNLKSIDLSFSHKLTSIPEFTRIPNLEELNLQCCEKLGEVHPSIAVHKKLKVLNFYQCKSIKSLPSELEMDSLEFFSLSGCSKVKKIPEFGEHMKKLKTIHLCKTAIEQIPSSIEHLVGLNYLSISGCKSLLGLPSAICNLDSLETLIGNGCSKVGMKNLKSLNLSGPVASRGGIWWIVDRIFGIRKKPDPERWGLVLSSLNRLGSLTKLDLSDCNIGEGAIPDDFNCLSFLEDLDLCGNNFVSLPSSIRFLYELRYLQLQRCKRLEQLPDLPPKRYSSLLVYVDDCTSLKRLSDPSKLSEGANVYDFWFSCFNCFRLVEEEGWINNRIFAMIMRFSAEVPHDRIIWPGSEIPDWFDNQSVGDSIIVEPPLPPQTCSDWVGIAFCVVFEDYEHLKRLGYKYLQILCSRKRPNTTNKTKAHQQIVGNLFVLGKITVDLSSQHLLVSYLPGNDPYQLSFEGHSWSIGSSYKELKTSLIIKKCGTRLVYKRDLEEFSRILKIPMPAVYGYDDEAGPIDSESGSSDEEN >ONH92449 pep chromosome:Prunus_persica_NCBIv2:G8:17855275:17859527:-1 gene:PRUPE_8G176500 transcript:ONH92449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKRDVSNGHSSINMNSVINVTPLSGVVFRTAVLRRHLRRLRHHVFPLVSAVSGCLLLLLAAFSLLAPSPVLHHHDHLSLLRHYHSSDDNGVVEARSNSDETATFRVPESGGSSGRDLWSTRKSDMFHCCSNAADNFATADVKTHSNRYLLIATSGGLNQQRTGITDAVVAAYILNATLVVPKLDQKSFWKDSSNFDEIFDVDWFISSLSKDVEIIKQLPTKAGKPMSPYTMRVPRKCNAKCYQNRLVPVLNKKHAVQLTKFDYRLSNKLDSNLQKLRCRANYHALKFTDLINEMGKKLVDRMRMKSKHFIALHLRFEPDMLAFSGCDFGGGEKERKELGKIRKRWKTLHASNPDKVRRHGRCPLTPEEVGLMLRALGFGSDIHLYVASGEVYGGEETLAPLKKLFPNFHSKETIASKEELTPFSSFSSRMAALDFIVCDESDVFITNNNGNMARMLAGRRRYFGHKPTIRPNAKKLSPLFMNRNNMTWEEFASKLRTSQIGFMGEPNEIKPGRGEFHENPAACICRASSTRSNEVPIPQNESYDSQNIHKEDDMKKDSGYVTDEQITEDEQDWSEIDYTEMNINRSQGKVLPSVRVSDPGLLLKPDEPELEEFFSD >ONH91764 pep chromosome:Prunus_persica_NCBIv2:G8:15628314:15630394:-1 gene:PRUPE_8G135800 transcript:ONH91764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETPSTHIFLVCFPAQGHINPMLRLGKRLAAKGMLVSFSTTENYGKEMRKANSDINDQATPVGSGFIRFEFFQDGLPEDDPKRADMDYYVPKLELVGKELVAQMIKRHATEGRPVSCLVNNPFIPWVCDVAVELGIPQATLWIQSCAVFSAYYHYNNKTVSFPTEAEPNMDVQLPGMPVLKHDEIPSFLHPSDPFQVLGRAILGQFKKLSKSLYVLMDTFQDLEPEIIEHMSQVCIVKPVGPLFKNPKAPETSIRGDLMKADDCLDWLDSKPPDSVVYISFGSIVHLKQEQVDEIAHGLLCSGVSFLWVLKPPAKAFGLEKHVLPQGFLEEVGDKGKLVQWSPQEQVLGHTSVACFLTHCGWNSSVEALTSGVPVVTFPQWGDQVTNAKFLVDVFGVGLRLSRGKAENRLVMRAEVEKCLLEATVGDKAVELRRNALKWKKAAEEAVAEGGSSDRSLEDFLDEISKITSVA >ONH93644 pep chromosome:Prunus_persica_NCBIv2:G8:21253646:21254500:-1 gene:PRUPE_8G243700 transcript:ONH93644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVNILTLTLFVASLLFVPKMEAQRLFPPPRPLCASQFALANYACALLPFTPTPLPTPPSPPPSSPPDDDGGQEQEHEHEHGHGHGHGHGHGHRHGHNRHRHGGHHEPSPSPAEDNCCRWLTQLDNECVCELLVHLPTFLLKPTHAYTVAVGEFCRVTYTCGTPIRIKV >ONH91354 pep chromosome:Prunus_persica_NCBIv2:G8:13906769:13910030:1 gene:PRUPE_8G108900 transcript:ONH91354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRRQVTETKVGPYVWLTYQEVHDAALRMGSAIRSRGVNPVSHVPIENICFTESCGGCLTSIGNVFPMMGTVGVPMTTIETRLESVPEMGYDALSSVPGGEICLRGKSLFSGYHKRQDLTEEVLVDGVWIAGDIGELQPIGATEIIDRTKNIFKLSQGDYVAVENIESKYLRCPLITSIWVYGNSFESFLIAVVVPDRKALEDWAAEHNLTDDFKSLCQNLKARKYILDELNGVGQKQQVSVKSSSFGTKSLDMERDLITPTFKLKRPQLLKYYKGRIDKLYSEAKEARNEIKA >ONH92664 pep chromosome:Prunus_persica_NCBIv2:G8:18450961:18452855:1 gene:PRUPE_8G187900 transcript:ONH92664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRNPNHWLSLWLKLQETKMPASISMDENLGFQSGLSRPGLNPPSVQFENGFCEASSELIRGGLGAYGKRICGSSSQYVQSNICKYFSDPQYYFQVNAHYVRNKLKIILFPFLHRGHWTRRTEPVGGRLSYKPPITDIHAPDLYIPFMTFATYLVLAGISLGLSGKFSPEAINWQFVKGMIGWLLQVMLLKASLSSLGGGEAPLLDMIAYTGYTFTGLCVAVLGRITLSYAYYLIIIWTSMCSGIFLAKTIKITLYAELHSYDANMHHYLLLGIAFSQFPLIIWLSNPTGNWLS >ONH90152 pep chromosome:Prunus_persica_NCBIv2:G8:3496051:3498628:-1 gene:PRUPE_8G037600 transcript:ONH90152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFSERLRILWSLWEIRVMVPLSLILQAILLLIGNRRKYSTRNWLRFLWLAYLSADWVATVSLSLLSSNSSNDHATHSPDPNQIVTAFWAPFLLLHLAGPDTITAYSLEDNELWWRHLLVLLVQVSLAFYIFLQAWVGHTLNLLAIPIFIAGAIKFGERTWVLRSASSKHFREAMFPPPDPGPNYARFTDEFCSNQKEGYVVKLGEETEAPTTGDYSSFTAPNIFPNAANLHHASVFLKVFKRLFADLILSIHDILNSRSFFLNRSCNEAFQVIEIELGFMYDLFYTKAVLVYSVQGVIFRLSSFVCIVSVSAAFLVIDKKDFYKVNVTITYMLLAGAIILEVCAVILSLSSDWTKLWLNKKHNKVVEYLLHPITSSIPLAETKRWSNVILQFNLISFCCEDDPAHCSFLHNYKIPWIYKKFKKSLYKNSKEVPIELKELIFQQLIKKSRSAPKAESWKKFCTCKGEWVLETDKCLDKLGWSIQDEFDQSILLWHIATDLCYYSDLEDNPNSDLAKKPNSLSSRSWKASKFLSEYLLYLLVMRPFMLPNGIGQIRFQDTCAEADEFFKQRKCKGDRKACKASPEVSTGVPAAESSEQRKPKEDRQKKACTALLGVSTEVNPAEVKGDRSKSVLFDACRLTKDLQSLETEKHWENQKKWELISHVWVEMLSYAACQCQWNHHARQLRRGGELLTHVWLLMAHLGITEQFQISRGYARASLIVQ >ONH90358 pep chromosome:Prunus_persica_NCBIv2:G8:5378139:5379776:1 gene:PRUPE_8G049400 transcript:ONH90358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPSWIRHEGMQIFSIDVQPGGLRLATGGGDHKVRLLSSFVLCLLA >ONH92908 pep chromosome:Prunus_persica_NCBIv2:G8:19084730:19088629:-1 gene:PRUPE_8G202500 transcript:ONH92908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKSLKEYLKRYEGINEEEKKKKKKKKQKSKAEAMGVLVVDEDPAWQKPVNLEEDNDNNSTDEDKPFVDEDIEIKRMRRLEALRAKRPFNAISEDGSGWVPVGQSSPPKISSSDISPPRRHRINSEPGSVSPGDRAEDVDLSPPRQRRKRHHTPSPEPATNQDQLPTDLSPTRKSRNVDSDLSPPRKSRKDVDRDLSPPRKSRKDVDLSPPRKKKQVPPDSLKEQPKVGLISGREMRDEITRTKKDERLRFQQMDPSISGREAVPIYRDKKTGERISKEELLKSQRKVEEKPKEIKLEWGKGLAQKREAETKLEELELEKEKPFARTRDDPDLDKMLKERVRWGDPMAHLVKKKYPEPVLPDLGDSEKMKESGFIIPQEIPNHSWLKRGLDAAPNRYGIRPGRHWDGIDRSNGFEKGMFKRKNDKQATEAEAYLWSVADM >ONH90811 pep chromosome:Prunus_persica_NCBIv2:G8:11018085:11019680:1 gene:PRUPE_8G075800 transcript:ONH90811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSLSRLSSSLVHRLARCQSQLIASRSFSVSGTPPGPVENFQQGFNSSENVSRNSRSMNFVRGILEEDGKENIGFSQFPRYNMEQNADIVHIKLMKNNTFVTVTDSKGKKKLGASAGSLPGMKGGPKLSKYAAEATAEHVGRMARNFGLKSVVVKVKGFTYFKKKRQAIVSWKEGFTNSKVGLNPIVYIEDTTRKPHNGCRLPKKRRV >ONH93310 pep chromosome:Prunus_persica_NCBIv2:G8:20251841:20254671:-1 gene:PRUPE_8G224900 transcript:ONH93310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSNGNKATQIFWSIVSFLRRCLFLVISVRPIPHHIAFIMDGNRRYAKKRKLKEGDGHRVGFLALMSMLKYCYELGVRYVTIYAFSIDNFKRDPEEVKSLMDLMREKIEGLIKEESIVNRYGIKVHFIGNLKLLSEPVRLAAERAMEATANNSRGVLSICIAYTSTDEIVHAVQESCEEKSDEISVMNASGAGYGLLQLGGNEKEERENIVKLTDIEKHMYMAVAPDPDILIRTSGETRLSNFLLWQSAHCYLYSPSVLWPEIGFRHFTWAILSFQRSYFYLDRKRKQS >ONH93309 pep chromosome:Prunus_persica_NCBIv2:G8:20251841:20255013:-1 gene:PRUPE_8G224900 transcript:ONH93309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSNGNKATQIFWSIVSFLRRCLFLVISVRPIPHHIAFIMDGNRRYAKKRKLKEGDGHRVGFLALMSMLKYCYELGVRYVTIYAFSIDNFKRDPEEVKSLMDLMREKIEGLIKEESIVNRYGIKVHFIGNLKLLSEPVRLAAERAMEATANNSRGVLSICIAYTSTDEIVHAVQESCEEKSDEISVMNASGAGYGLLQLGGNEKEERENIVKLTDIEKHMYMAVAPDPDILIRTSGETRLSNFLLWQSAHCYLYSPSVLWPEIGFRHFTWAILSFQRSYFYLDRKRKQS >ONH91359 pep chromosome:Prunus_persica_NCBIv2:G8:13930862:13931080:1 gene:PRUPE_8G109400 transcript:ONH91359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLIMLPAGVLQLCDSHVIGWMNLSNCRRLCDNLARVAKMKKIVLCLVVLSSLFLSQQSVFEVMQLNSII >ONH93219 pep chromosome:Prunus_persica_NCBIv2:G8:20006810:20007847:-1 gene:PRUPE_8G220000 transcript:ONH93219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIALHQPSANYVKQKTSGPEVRRPLRFEPDKNLDHINPVPQSASTDTFPPSPLKGVRPLTGRKAGLGGVSRRRSRQEPARTLATAKRQSCAAPADLLSCVSCCTMKATPNRSKRGASGARLGPCRHVEVVRRIRLRKCKRHANSMLETGSFCSNRSCKVAGGTIGTHMFKMRFCCYCFWAFDLFAASWSGRKANRA >ONH92403 pep chromosome:Prunus_persica_NCBIv2:G8:17640008:17641942:-1 gene:PRUPE_8G173300 transcript:ONH92403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLEEVDLGTKSRPDLSQEPSFSNFTRNSSTESAQVIPIIISPPEKETITPTDWAGGEINPQDAWLPITESRKGSTYSAIYHVLASGIGLQALLLPIAFSTFGWVYGTIFLSLAFSWQLYTLWLLVQLHESESGTRYSRYVHLAVTAFGPKLGKLLTIFPVMYLSGGMCVQLIIIGGGTIQLLIRTMCKDGATCNAKPLTGTECYLVFMCMAIVVAQCFPNLNSIARVSFIGTITAIVYCTMIWALSIGKGRPRDISYDPLPLESTIATYGSILNALGIIFLAFRGHNVILEIQGTLPSSPKHPSHKRMWSGVTMSYGLIAMCLFPLAIGGYWAYGNKEPFSNGAILKAISQFHGHNTSQYVLGLIYIIIVINCLTTYQIYGMVVFDNMEIRYTSRKKERCPRWLRIVIRLLFGGLTFFIAVAFPFLGSLSPLIGGFTSVPLTYAYPCFMWIAIKKPCLHGGMWCTNVALGCFGLFLSLLFVAAAAWNLAVNGLNANFFKP >ONH90876 pep chromosome:Prunus_persica_NCBIv2:G8:11328419:11331235:1 gene:PRUPE_8G079800 transcript:ONH90876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENVVSFLLERIHDLLTEKANFLMDVRNQIQLLQQELQIMRSFLRDADSRQEKEAAVREFVAQIRDAAYDAEYVIEKFVHEIESTKRNRRRYKISLSYRFFKRGRKVKVLYNVGSKIEEIKGRISQLRVNLETYGIRILKEGEGPSYSDRVVPNLRRSFSHSVNHNVVGLVEAAKTLATELVKPGNSGSRVVSICGMGGLGKTTLARKVYHHDEVRDHFSHFIWVCVSQQYQTRDIWEQILFKLSSPDKKERKKIAKMKDDEVAKELFVLLKNMRSLVVLDDIWDANAWSLLSAGFPTHEDTETKVLLTSRNKCVAYRADPRGFLYEPSCLNDEDSWELFHKVAIVQRVQAYGDHPPATGAKNSENPLMTVESMEKLGKEMVTHCRGLPLAIIVLGGQFPEDFEMPTRRLINLWVAEAMIPTQSSNERENEETLEDVAYRYLTMLAERYLVQVERRSATGRIKSCRMHDLIRELCLMKAKENDFFQIIELRDSNRKMELFSSPMVNRPVSTGRMRRVSIYLKDFSGFISLGTEECPPVRSVLGCSNNKIHSVIGQELIESIVSRFKFLRVLDLEDIQGFAIPKGIGNLIHLRLLTVNSCWTGVLLSSIGNWRFLLALYIDPFGSAELVPDVIWKMKRLRHLYLPVQYGRRTKKLQFANLNDLQTLKNFPAKIADVKDLTTLPNLRKLVVQIPDDSKLDEFMAIFQPPFTFNYLRSLSVDTRMNARTNIDVAKVRSCCPRLEKLKQNRRKIFLKYQNTLQ >ONH90605 pep chromosome:Prunus_persica_NCBIv2:G8:9228890:9231134:1 gene:PRUPE_8G063300 transcript:ONH90605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSTAQVAGYIGKEKDALVALKNSFNYPYLNTNWTGPPCFKSEPSTWYGIQCTGFNDIGHVTGIVLKNMPLNRSIDIFAFTDLAELSALTLKNNSLWGNMMDFSLNPKLAHIDLSGNMFCGQISPSLLSLGTLESLQLQDNGFSGPIPWLNQSTLKIFNVSNNNVNGSIPMTKALQAFAYDSYSGNPGLCGKPSPIACNSSSLDDNPRTTDSVDKTPKYRNKFSLYLFLLDVAGLVVLVLLFILYFKKSHKLEKMLKEFGKTRSSASMINNNNNIDQEEKNDAGDDKTKTEGHRDPITRPNAAAQEHQTKRGKLVFVRPQHNSKGSVGNQMTDHQIFEMEDLLKASAVGLGTGIFGNSYKAEITVTNNAVAGGRQVKQAVVVKRLRDLKPLVNEEFTKPLQLIANLKHPNLLPLLAYYLSKDEKLLLYKYVPNGNLFNRMFGERGPDRIPFRWSSRLSVAQGVAHALEYLHLKATSSAQSSSSSTAPHGNLKSSNVLLDENDRVLVSDYGFTSLVALPIAAQRMVSYKSPEYQQTKKVSKESDVWSYGSLVLELLTGKISDCTAPPGVNGINLCSWVHRAVREEWTAEIFDMELTLGRRTASSGMLRLLQIAMRCCDPSPEKRPKMKEVVREVESIRLPQSDVDEDEDLSLDPSLTDDSLSWTAPPARVSQ >ONH92015 pep chromosome:Prunus_persica_NCBIv2:G8:16354371:16355385:-1 gene:PRUPE_8G149900 transcript:ONH92015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLIASVFEKVERDTDNGSSFLKNGSMLLENLIASCGAKFTNPIRTYSADELIRYRNYQMFRGFLDDRSIVVKKFMWENYEDEARSLAICDIIISMQFPVAALVHENAAKGVLNSRGGLGPNDQSLLPWKTRLRIAKQLANVLTFLHTAFPRTIIHRSLTPSCIFLDHDFVPKISNFSLSITIPRDVTTEVQGTLGQREALKFYLPEGDEQNIPYASASDGQIQETVDPKIFEEVGEDEKARQDLHDFTALALLCTRYRSELRPDMIDVAKELMRIEKSMFP >ONH93865 pep chromosome:Prunus_persica_NCBIv2:G8:21917215:21919649:1 gene:PRUPE_8G257500 transcript:ONH93865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSDHKAAIIDGKAIAQTIRNEIAEEVRHLSQKYGKVPGLAVVIVGNRKDSQSYVSMKRKACAEVGILSLDIDLPEDVSQVDLIAKVHELNANPDVHGILVQLPLPKHINEEKVLSEISIEKDVDGFHPLNIGKLAMKGREPLFLPCTPKGCLELLSRSGISIKGKKAVVVGRSNIVGLPVSLLLLKADATVTVVHSHSHDPESIIREADIVIAAAGQAMMIKGSWIKPGAAVIDVGTNAIDDSSRKSGYRLVGDVDFQEACKVAGWVTPVPGGVGPMTVAMLLNNTLDGAKRVIAQ >ONH90610 pep chromosome:Prunus_persica_NCBIv2:G8:9364275:9366245:-1 gene:PRUPE_8G063800 transcript:ONH90610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WFHIIPLQVGSLDEANKAIYAGVDAIIVQGREAGGHVISQDALISLLPKVADLVGDRDIPVIAAGGIVDARGYVAALALGAQGVCLGTRFLATQESRAHPTYKRKLVEFDRTEYTDVFGRARWPGAPHRVLYTPFFNDWKSLPPHQNEADQPVIGHSTIHDREIEIRRLAGTVPNVTATGDIESMVMYAGQSVGLIKEILPAGEVVKRLVEEAQLLIKHKFSDILLTHS >ONH92579 pep chromosome:Prunus_persica_NCBIv2:G8:18179039:18182277:-1 gene:PRUPE_8G182100 transcript:ONH92579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSATQALTLFSSLPRNLIFNAEPQPSSLQLSRPKRWKLIVTMRDRSNNPRPLQKGRHLSIEAIQTVQALKRAKKNQSFLDQAFGSKFRRLLKLDMMAVLRDLLRQNECFLALKVFEDIRKEHWYRPQVSLYADMIKVMASNELFEQVELLCLCLKKERNLHPELEAFNALLTTLISFKIPKLAMECFYLMKEVGCEPDRSSFRILINGLESMGETGLSGILRQDAQKYYGESLEFLEENEEMAVK >ONH92580 pep chromosome:Prunus_persica_NCBIv2:G8:18180390:18181409:-1 gene:PRUPE_8G182100 transcript:ONH92580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSATQALTLFSSLPRNLIFNAEPQPSSLQLSRPKRWKLIVTMRDRSNNPRPLQKGRHLSIEAIQTVQALKRAKKNQSFLDQAFGSKFRRLLKLDMMAVLRDLLRQNECFLALKFTQTPNKYLPLNYMVFEDIRKEHWYRPQVSLYADMIKVMASNELFEQVELLCLCLKKERNLHPELEAFNALLTTLISFKIPKLAMECFYLMKEVGCEPDRSSFRILINGLESMGETGLSGILRQDAQKYYGESLEFLEENEEMAVK >ONH91382 pep chromosome:Prunus_persica_NCBIv2:G8:14050933:14051512:-1 gene:PRUPE_8G110900 transcript:ONH91382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVDVWMSELAKLKDKVGAKKRLVFSSKGKQGEGDDEVEEPQQVLKEARKESSRMAETQRDLDSSTLSEPTVRLLMERFVPW >ONH92397 pep chromosome:Prunus_persica_NCBIv2:G8:17619030:17620593:-1 gene:PRUPE_8G172800 transcript:ONH92397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKSWVGLLVLCLAIAVVGLAEAGVPAIFILGDSTADVGTNNFLPGSMARADFPHNGVDFPFSRATGRFSNGLNSADFLSKQFGLKRSPRPFLSLNTTSLHKKSFRGVNFASGGSGLLDITGRTMLTLMKFGKERIPFGAPFFNRKNVITLSEQIQQLSLVKSNLAAIKGQRLTEKLISKSLFFISTGSNDLFGYYHSNTSFSEEAFLSSLELAYEKHLKSLIDLGARKFGIISVAPIGCCPSQRIYNTTGGCLEDLNDLAIAFHARLDALLFKLSSEHKSIKYSLGNAFEMTINVIQYPLAFNFTQVEAACCGAGKLNAESFCSPKAKLCPNRNNYLFWDLFHPTQAASKLAAVTLYNGGPQFVYPINFAQLAKA >ONH92625 pep chromosome:Prunus_persica_NCBIv2:G8:18314900:18317647:1 gene:PRUPE_8G184800 transcript:ONH92625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEGTKFGQGPKELTGAVDLISHYKLLPHHEFFCKRSLPVSISDTHYLHNVVGDTEIRKGDGMQLDQLIQSTSYFSKPRIQPFDLDILREAFHLRETAPIDLPLADKGIPTIAAKSKSESKDKEKKHKKHKDRDKEKDKEHKKHKRRHKDRSKDKDKEKKKEKSGHHESTGDHSKKHHEKKRKHDGDEDLNDVHRHKKT >ONH92728 pep chromosome:Prunus_persica_NCBIv2:G8:18624651:18627883:1 gene:PRUPE_8G192100 transcript:ONH92728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQAMAATVSTRLTTFKYSNRVLLKTILERRDGGLELAGERVVVGGWVKSSKEVRKEPVPLIVGDHRPNEPEPRSDVSCTEILQSRIPFLRAIVKILGGSGGHYPLGEKLEAAVHKPPPPSTVFLKVSDGSCVATLQVVVESSLAPPSQLLHTGTCIIVEGLLQQSLVHGTNVIELRVDTVHHIGTVDYSKYSLAKKRVPLDKLRDFCHIRARTTTVASVMRIRNALDFAAHTFCQNHGFLSVQVPILTTTDCKGFSEKFQVTSLFGKAGEKEEPHHKAIAELEGVSLDVIKAAVKEKSNLVEELKRTDSNKEALDAAVQDLRKANELASQLEAREKTKPKTSQKVDNVKSSEDFFSSPTYLTCSGRMHLESYACALGNVYSFGPRFQADKEESPKHAAEMWMFEVELAFSQLEDAINCADDFLKFLCKWVLENCPEDMKFVSQRIDKTRADRLHSVISSSFERISYTEALDAIKKVTEKKIDTKIEWGAALTEADLSYLADEIFKKPVIIYNYPKEAKPFYVRLNDDGKTVAAFDIVVPKAGKLISGSQNEERITILTTRVKELGLAREQYEWYLDLRRHGTVKHSGFSLEFDLMVLFSTGLTDVRDVIPFPRSYGKADY >ONH90960 pep chromosome:Prunus_persica_NCBIv2:G8:11851007:11853673:1 gene:PRUPE_8G085500 transcript:ONH90960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSRSRSRSRSRSPTDRKIRSDRFSYRDAPYRRDGGRRGFSRDNLCKNCKRPGHYARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASNCPNEGICHTCGKAGHRARDCTAPPMPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTANVLGEQRGGGGGGGGGGGGMRGGGYRDIVCRNCQQLGHMSRDCMGPLMICHNCGGRGHLAYECPSGRFMDRYPRRY >ONH90674 pep chromosome:Prunus_persica_NCBIv2:G8:10107493:10108378:1 gene:PRUPE_8G068700 transcript:ONH90674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGPGVSLGRVGMEGNVGLGRSAGRWGRGGISGNFGNSGFGKVGKLGRGGNSGFGSSGTWGSSANLRPSGFGKVGTWGTGGNLGFGNVGSSGFGNVGSSGFGNVGSSGFGNCGSSGFGNCGSSGLWSGGSSGFGSSGT >ONH92437 pep chromosome:Prunus_persica_NCBIv2:G8:17802690:17803550:-1 gene:PRUPE_8G175400 transcript:ONH92437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHARSNSLPSTSHPFVTEFDEKLCRLKASETASSSSSSISHKLSGLQDLHECVEKFLLLPFSQQALAQECGDKGINELFDGSLRLLDVCGIIKDALLQTKECTHELQSIMRRRRGGDMSFMSEFRKYLASRKDVKKSMNKALKVKESKCKDKNHETPAVVNMLKELDAVTGTVFESLVSFIAGSNLRSKSSSWSLVSKLVQPKRVACEGEEAADTNELEKVDAALHSLISHKSSRSGCAAQAENLQNLLQELEANIQDIEEGLECLFRRLIKARVSLLNIFNH >ONH92586 pep chromosome:Prunus_persica_NCBIv2:G8:18211583:18216354:1 gene:PRUPE_8G182500 transcript:ONH92586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLNREPSKKAIRSPGGSTSSQGKANSSGQTVKFARRTSSGRYVSLSREDLDMSGELSGDYMNYTVHIPPTPDNQPMDTSVAVKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEVTHPQMAGAKGSACMMPACDGKVMKDERGVDITPCECRFKICRDCYLDAQKETGNCPGCKEQYRVGEEYDDSQDYNSGTLQLPGPDGKRDNMSVMKRNQTGDFDHNRWLFETKGTYGVGNAFYPPDDYGDGGGDGFHGGPLESDDKPWKPLSRKLPIPAAIISPYRLLIFVRFIVLCFFLHWRVVNPNNDARWLWLMSVICEIWFAFSWILDQTPKFFPINRSTDLQVLHDKFDMPSPSNPTGRSDLPGVDLFVSTADPDKEPPLTTANTILSILAVDYPVEKVACYISDDGGALLTFEAMAEAASFADLWVPFCRKHHIEPRNPESYFSLKVDPTKNKSRLDFVKDRRKIKREYDEFKVRINGLPDSIRRRSDAFHAREEMKQLKNMRESGGDPLEQVKVPKATWMADGTHWPGSWAVPSHDHAKGDHSGILQVMLKPPSPDSLLGGADDKLIDFTDVDIRLPMFVYMSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYIYNCKAVREGMCFMMDRGGENICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGTMFRRFALYGFDPPNPDKLLVKTDTETQGGEPLTQSNTQPLTASDFDADLDTNLLPKRFGNSTMLADSIPIAEYYGRPLADHPAVKFGRPPGVLRAPRDPLDATTVAEAVSAISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWHSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASMRLKLLQRLAYINVGVYPFTSIFLIVYCFLPALSLFTGQFIVANLNITFLIYLLTITICLISLALLEVKWSGIGLEEWWRNEQFWLISGTSAHLAAVVQGLLKVIAGIEISFTLTSKSAGEDENDVFADLYLVKWTSLMIPPIVIAMVNIIAIAVAFSREVYAINPQWAKFIGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLIAITLSLLWVAVNPPGAAGGAAAGGAGFQFP >ONH90997 pep chromosome:Prunus_persica_NCBIv2:G8:12056891:12063847:-1 gene:PRUPE_8G087500 transcript:ONH90997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLILRHAYYATCPYLATTTAGHRSPVIIADHRSSPLVTVDVSQCRTQQAPLNFLQPSTEPTLTLPHEEHGLRGVQNQEAKTVSRSPRPRAVKGYYRNKKRCSFGHGGLGSSFNESRRGTYCKCEKEREVRPRLGFVNSYDKGFDNWKSNGMGNNWLGPNKVRSKQFSDVLPVVRGGDNTTVMIRNIPNNRDLLMAFLDTHCAMENKKYEKLGREGGGDNSTLISAYDFLYLPIDFQTGFNKGYAFVNFTSPEAVWKFYKAAHSQAWELFHSTKIRQIAYAKIQGKKGLVRHFETMGFPCESEDVLPLSFEPPRDGLRRQVLRTTVGKLIFRKEEKSQ >ONH93379 pep chromosome:Prunus_persica_NCBIv2:G8:20504630:20504833:-1 gene:PRUPE_8G229100 transcript:ONH93379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVFWKSFLLQMHPNHVTFFKIFDSSVLIVCRTSLYNCPHFFVHSFHLVRQTLSIITHLHLFWKWN >ONH90520 pep chromosome:Prunus_persica_NCBIv2:G8:7590021:7590725:-1 gene:PRUPE_8G058900 transcript:ONH90520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSATDLSGGHDQIVSKKYNTLFPAMNKHRFSSRRTPSFSSSSSSSFSSCSSSFLSSSSCNPDLHDPSPLSPATPLRFSGVPFSWEHFPGIPKKLNSSKKELYSSLKRLPLPPPTTTTKSTQKPSKKFILDNIGVNVRHKNPRGHSSDFAKDPFFAAMVECSKDAHDDDEEEEEEESNASFSSGAKVSRSSSERFGFVNLYTSCKRTCAVSESIIHLPRPSRTSYDLINRLSR >ONH92701 pep chromosome:Prunus_persica_NCBIv2:G8:18558023:18559714:1 gene:PRUPE_8G190600 transcript:ONH92701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDFRMMRSQVPAFGSWDWNQDLPFTQCFESATQAGLLRYTSYSDEDRDLYVAGDLYENDVVTPAMIVVPRRRNKSRQSNVKEAKEQSWVVSDAKEPPSPPPRHRPTPKPVDEDLYKISPETLYAKTRKKRGFGFFSSCLLPTCVA >ONH92762 pep chromosome:Prunus_persica_NCBIv2:G8:18720672:18724544:1 gene:PRUPE_8G194000 transcript:ONH92762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAQNHEFQEWWNKQRQSNHDLLLSDESGHLLAIDIHSPGPDRTVGKDRSRSARQLSWVYLLKFQQIAASLSSVTSSFLVLLRTANRRVTSPDSPADSSSSRLYRVIKAFLIVVLLLLCFELVAYFKGWHFSPPSVRSAELVELLYANWLHIRVNYLAPPLQSFANLCIVLFLIQSVDRIALVFGCFWIKFRRVKPKAVMEYPTTSSSNQDEEGNSTEDVNVEDYPMVLLQIPMCNEREVYHQSIAAVCIQDWPKERMLVQVLDDSDDIEVQQLIKAEVSKWQQRGVPILYRHRLMRTGYKAGNLKSAMSCDYVKNYEFVAIFDADFQPGPEFLKKTIPYFKGNDDLGLVQTRWSFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEECGGWLERTTVEDMDVAVRAHLCGWKFIYLNDVKCLCELPESYGAYKKQQHRWHSGPMQLFRVCFFDILRSKVSLTKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAQLPAWVVCYVPGIMSVLNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFQFGTSYEWIVTKKLGRSSETDLVAFERESEPLVQTTGLQRSASESGLDELTKLETSKKTGKRRRNRLYRKELVIAFVLLAASARSLLSAQGIHFYFLLFQGITFLVVGLDLIGEQVS >ONH89643 pep chromosome:Prunus_persica_NCBIv2:G8:512195:514857:-1 gene:PRUPE_8G006400 transcript:ONH89643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVASCSSSLLSSLQSLPGFLFKSRIFFYFHQIQNPQRFCKPFTQKRFHNALLSPQSSVQFPSHPNPDSLLSYLISAVSSCSSISYSRAIHSCVIKSFNYTDGFIGDQLVSCYTRLGRADDARNLFDEMPNKDLISWNSLISGFSRRGYVDKCLDAFFRMKFEMGIEPDEVTLISITSACASRGAVDEGKYIHGFALKLGVLWEVKLVNSLINLYGKSGYLDAVCRLVETMPVGNIVSWNLMIVSHAQNGSAADGVGYFNLMRRAGINPDDGTVLSLLEACENLGLQKLAEGVHGLITKCGLYANATVATGLLDLYAKLGRLNYSLKVFGEVNNPDKVAWTAMLAGNAVHGNGREAMELFEGMVKVGVEPDHVTFTHLLSACSHSGLVKEGKNYFDIMSQVYGIEPRLDHYSCMVDLLGRSGLLNDAYELIKRMPLKPNSAVWGALFGACRVYGNIELGKEVAERLFSLDPSDSRNYIMLSNMYSAAGLWRDASKVRALMKEKGLIRNPGCSFIEHGNKIHRFAVGDRSHPESEKIYTKLEEMIGKIREAGFVSKTEFILHDVEQAVKEDMISKHSEKLAIAFGLLVTNAGMPIIITKNLRICGDCHSTAKLISLIEKRTIIIRDSKRFHHFAAGICSCGDYW >ONH90606 pep chromosome:Prunus_persica_NCBIv2:G8:9237414:9246020:1 gene:PRUPE_8G063400 transcript:ONH90606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVVYEKYICGRDIFENKGLKFPRYLLELRAIESNPIIQMGTINLPKVKTRSWCSRSHKPNDEVSKAPTSQRLSHNLCQHLDKALPPVTCIVSDGIMSFAVKAGEDLGIPVALFWTASACSFMGVTHYRQLIDKGLTPLRDEASLTNGYLDTTVDWIPGMKDIRLRDLPSFLRTTDPEDVMLSFAMGVVERASKASAIILNTYDTLEYKVLEALSSMFAPIYTIGPNHLLVNKIVPQNTILSSIGSSLWKEEPECLRWLDSKEPDSVVYVNFGSITVMTPQQLVEFAWGLANSKKPFLWTIRPDLVKDDTALFPSEFARETKQRGLFVSWAPQEEVLNHQSIGGFLTHGGWNSTIESLSAGVPMVIWPFFADQQTNCWFSCTQWGVGLEIDSNVKRSEVEKLVRELMSGEKGKEMRKNAMEWKRKAEEATGPRGSSLLNLEKLVKDVLLQPSKP >ONH91534 pep chromosome:Prunus_persica_NCBIv2:G8:14766782:14768331:-1 gene:PRUPE_8G121900 transcript:ONH91534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEDLAHDFSPMIKVYKDGRVERLAGTDRVPPSTNPENGVQSKDVVISQEPAISARIFIPKTTTDSPQTKLPLLIYFHGGGFSIESPFSPAYHSYVNTLVSEANVVAVSVDYRLVPEHPLPAAYNDSWAAIKWVASHFDGNGSEDWLNRFADFQRVFFAGDSAGANITHNMAVKLGCERLVGVKLVGIVLVHPYFWGTEPVGAELTTPAATKEYMAAVWRFACPSTIGSDDPLLNPAKDPKLGKLGCEKVLVCVAEKDGLKDRGWHYSEILKKSGWNGAVEVIEAKGEGHVFHLFNPICDNAVALKKKIVSFLN >ONH91761 pep chromosome:Prunus_persica_NCBIv2:G8:15599925:15603461:1 gene:PRUPE_8G135600 transcript:ONH91761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINVASTSNIIKTLPGFGGDLPFKLETGYVGVGAMDDVQLFYYFFESEGSPEYDPLVLWLNGGSGCSTFSDFVYENLGPLSFDYLNSIGNKPKLKLNPYSWTKVANIIFVDEPVGTGFSYANSWVGYSNVSDTLSAVQTYEFLRKWLMDHPKFYNNPLYIAGDSYSGITLPIVVQEISDGNRDEHLPPMNLKGYVIGNPVTDTQIDRNYRSMKRNCKGEYVNVDLNNTLCVDDLEVYNEGSIKEWERCNKTLQDLYISDVSSSLVYHENLIKQGYRVLIYSGDHGMNTPYVSTMGWIEYLNLTVDSRWKPWFLHGQVAGLGAGHTAPEISRWLAYYPL >ONH91762 pep chromosome:Prunus_persica_NCBIv2:G8:15599925:15603461:1 gene:PRUPE_8G135600 transcript:ONH91762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQRPISSKPYPALAVTSPSNLKLGPLSFDYLNSIGNKPKLKLNPYSWTKVANIIFVDEPVGTGFSYANSWVGYSNVSDTLSAVQTYEFLRKWLMDHPKFYNNPLYIAGDSYSGITLPIVVQEISDGNRDEHLPPMNLKGYVIGNPVTDTQIDRNYRSMKRNCKGEYVNVDLNNTLCVDDLEVYNEGSIKEWERCNKTLQDLYISDVSSSLVYHENLIKQGYRVLIYSGDHGMNTPYVSTMGWIEYLNLTVDSRWKPWFLHGQVAGLGAGHTAPEISRWLAYYPL >ONH92099 pep chromosome:Prunus_persica_NCBIv2:G8:16665684:16669098:1 gene:PRUPE_8G155300 transcript:ONH92099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSPALQAISESAVQSHEADEKHGDAMNWDELEFGVIPTDYMYIMKCSNGDNFSQGHLAPYGKIELSPFSGILNYGQGVLEGLKAIRTKDGRVQLFRPQENALRMKMSAERLCMPSPSTHQFLDALKQTVLANKRWVPPEGKGALYIRPLLIGSGPVLGLGPAPEYTFLIFATPVGNYHKRGSTMNLYIENEVRRATPGGTGGVKSITNYSPVFEVVTKARAKGFTDVLFLDAATGKNIEEVSSCNIFFVKDNVILTPRTSGTVLPGVTRKSIIEIARDRNYMVEERDVPLEDVLAADEVFCTGTAVEVTAVASITHQDKRIEYRTGEETVFHKLRVVLKEIQTGVVEDKMGWTVLID >ONH91196 pep chromosome:Prunus_persica_NCBIv2:G8:12967830:12973204:1 gene:PRUPE_8G097900 transcript:ONH91196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLIQRLESAVARLESLSLSGGAASAAASGDDGPLDPSILAYEDLIRQYLGRVSAAAEKIGGPVLDVTKVLQQAFAVQKDLLIQVKQTKKPDNAGLAEFLKPLHEVITKANALTEGRRSDFFNHLKSAVDSLSALAWIAYTGKECGMSMPIAHVEESWQMAEFYNNKILVEYKSKDPNHLEWAKALKELYLPGLRDYVKSFYPLGPVWSSTGKAVSAAPSKPKAPAPGAPAPPPPPSASLFSSETSQASSSRPKEGMAAVFQEINSGKPVTTGLRKVTNDMKTKNRADRTGIVGTQEKESRTSSPSFSKAGPPKLELQMGRKWAVENQIGKKDLVISDCDSKQSVYIFGCKDSVVQIQGKVNNITIDKCTKMGILFTDVVAACEIVNCNRVEVQCQGSAPTVSVDNTAGCQFYLSKDALGASITTAKSSEVNILVPASGPDGDWAEHALPEQFIHVFKDGRFETTPVSHSAGG >ONH90851 pep chromosome:Prunus_persica_NCBIv2:G8:11165468:11170850:1 gene:PRUPE_8G077500 transcript:ONH90851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFQRGAIVIRMIDLDFECESHMFLLLNINQIENLHLFRSIPFPYPNILTIFATISALPQLEMEAASIVLSPALQVIFDRLASPALEALADIWGVEDIRNGLQDSLIRVQAILQAAEDQQLTNKYVRLWLSNLKNAASDAEDLLDKYVSLFQSSKTIRFADFLTKPFYLKASDAEKIKKTIHRLEKTINEGLSTFNFREPSIGDRRSIQRETDSWVDDSKIYGRDYEKEKLVKLLLSSETSQDGYATCIPIIGIGGIGKTTLTQLAYNDERVLQHFDSRIWIFVSEDFNVKKIMKAAIECATEDECKLSEIELLQSRLSKLLQKKRCLIVLDDVWTEDQDDWDKLRALFRRGLDGCKIIVTTRSQKIPFMMDFPNSPFYLNGLEDDDCWSLFKHRAFRCGEEEKYPNLTQIGKEIIKKVGGVPLAAKSLGSSMRLKREEKQWLFMRDCELWDSDESQHKVFPTLMLSLPPHLRQCFAFFSLFPKNYEFKKQKLIHLWMAEGFIPKEGSKRPEDIGEEYFSELLWISFLQEVRLHDGGETIGYKMNDIIHDLARYVAGKEYVVLEQGRPQNWSPAEIRHASVVYRYGARITIPETLYEAEHLRTLFLIGDSGRLENQNKIYSSFQYLRVLDLNNCDLVCLPDSLGDLICLRYLDLSYTLFFQLPGSMKYLLSLQTLNLIGCHNLMVLPSLGFNLRHLNLSGCVRLTDMFLNIRRLDKLQTLPLFVVPKLARNVELQGLNLYGELNITCLENIHNVSSSESPELHKKKNLESLGLYWGLIPQFRDSFPKLPNAQPKVGVSGSHTARQSEEVIKGLQPHKNLKKLVINGYPGIKFPDWALPKLVAANFTNCGSCEHLPALGNLQLLKTLSLQGMHRMKSIGIEFYGDGIDIWFPSLEELSISDFANLEEWSSANVGNAFPRLKKLTVKSCPKLAHIPLPQSLQHLELRNCNLTMVPIADLSLLSVLILDKIPDLMYLPEGLVASASLSSLKILSCPKLHSMPLHMQNLSSLKSLTIRGCGELSSLPQSLQNLKALESLEISGCGKLTSLPDGGIASLASFRTLSIENCNDLTSLSSSLEQLTLLEDLTIMDCPKLGSFPAGVQQLSSLRSLMVLNCPWFDSLPEGLQNVKTLHCLEISSCPNLTALPEWFEDLASLRSLTIYDCPNLTLLPPGFKLLTKLQHLSIQECPELEERCRQGSGEDWLKIAHVPHKYIGPPQVKRSGEASTSRSSSVQASSQ >ONH90905 pep chromosome:Prunus_persica_NCBIv2:G8:11554535:11556871:1 gene:PRUPE_8G082700 transcript:ONH90905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTKPSPLSSSLSKPTPLPLTHKTPPSFSPKTLPKPAFPLKTSSLSHTHKASSLTLRSKNPISEFFPANKQNPDGDFIDYDDDDKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVCVQDDVLQSITGVGLVSEVFNQTKLDQLPGDLAIGHVRYSTAGASMLKNVQPFVAGYRFGSVGVAHNGNLVNYRALRAKLEDTGSIFNTSSDTEVVLHLIAISKHRPFLLRIVDACEQLEGAYSMVFVTKDKLVAVRDPHGFRPLVMGRRSNGSVVFASETCALDLIEATYEREVFPGEVVVVDKEGVQSLCLMSHPQPKQCIFEHIYFALPNSVVFGRSVYESRRAFGEILATEAPVDCDVVIAVPDSGVVAALGYAAKAGVAFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIGSCYYGVDTPSSEELISNRMSVEEIREFIGSDSLAFLPIAKLEKMLGNQSPNFCYACFSGKYPVEPREVKVKKVGDFVDDGLNGSFESIGGGWVQANQTQKEEKDKEFEGSVL >ONH90847 pep chromosome:Prunus_persica_NCBIv2:G8:11136571:11141502:1 gene:PRUPE_8G077100 transcript:ONH90847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGIILSPALQVIFDRLACPALEKVADIWGVEDNRDSLRDALMRTQVILQDAEEQQLTNKSVRLWLSNLKNAASDAEDILDLFIASQTVIRFKKPGAIFGFPITTASVAETLHRLEKKINEGLSTFNFREPSLEQDWLLRRRLKFFTNKRETGSCVVDSKIYGRDDEKEKLVKLLLSSETSQDEYATCIPVIGIGGIGKTTLAQLAYNDERVLQHFDSRIWIFVSEDFNVKTIMKTAIECATEDECKLSEIELLQSRLSKLLQKKRYLIVLDDVWTEDQDDWDNLIPLFTGGLDGCKIIVTTRSQKIPFMMDFPNSPFYLNGLKDHDCWSLFKHRAFGRGEEEKYPNLTRIGKEIIKKIGGVPLAAKRLGSSMRLKREEKQWLFMRDCELWELDESQHKVFPALMLSLSPHLRQCFAFCSLFPKKYEFNKHKLIHLWMAEGFIPKEGSKRPEDIGDEYFSELLWISFLQEVQLHEGGETIGYKMNEIIHDFARYVAGKEYVVLEQGRPQNWSPAEIRHASVVYTYGAITIPETLYEAKHLRTLLLIGDSGFLNIDKIYSSFEYLRVLDLNNCDLVDLPKSLSGFMCLRYLDLSYTLISQLPEGMKYLFFLQTLNLLGCHNLEILPSLGLNLRHLNLSGCVRLTGMPSTIGLLVQLQTLPLFVVANKERNIQLQYLNLHGELNITGLENIEVASSAELAELHMKINLESLGLYWGFFLGFKDSFAKPPNAPPEVGVSRLHIAPQPEQVIESLQPSKNLKKLVINGYPGTEFPDWALPNLIAADFTNCRSCKHLPALGELPLLKTLSLHGMHGVKRIGTEFYGDGTDIWFPSLEELSISDFANLEEWSSANDGNAFRRLKKLTVKSCPKLAHIPLPQSLQHLELRDCNTGMMPMADLSLLSVLILDKIPELVSLPEGLFASASLSSLKILSCPKLHSMPLHMQNLSSLKSLTIRWCGELSSLPQSLQNLKALESMEISDCHSLTSLPNCGIAGLASLRTLSIENCSKLTSLSSSLEHLTLLEHLTIMYCPKLGSFPAGVQHLSSLRSLIVLSCPWFDSLPEGLENVKTLHCLEISSCPNLTALPEWFEDLDSLRSLTIYDCPNLKMLSPGFKLLTKLQHLSIQECPELEERCRCGSGEDWSKIAHVPHKYIGSPQVRQSGDASTSGSSSIQASSQ >ONH90504 pep chromosome:Prunus_persica_NCBIv2:G8:7119263:7121926:1 gene:PRUPE_8G057700 transcript:ONH90504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGRNGERKGTRAVLLGAKAAGSGVGYGTKGPRTSRRFFFWAAAGGVLILCDNTTERVALLGGQLCDSTPEKTALSCNMLWSQRPYEVVMSFMRSKPGPRMVRKIGHNLSGTTHPTLPPIGGRPSNPPFCITYSH >ONH92863 pep chromosome:Prunus_persica_NCBIv2:G8:18978823:18980746:1 gene:PRUPE_8G200700 transcript:ONH92863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKARRPIKLFCPSVAKTVSLFVWEEQRLDLGSIARTFGLDPPTLKLNGHFISRGLDLIASSVTWKSLLSFFSAKGLSTGENDSNPIVVDGKLCKVGTKRGHELHEVVDNRGRAAFEDDSLLIKSKKMRKSNPGTSTESNGRIGICSSKRKQLLEDVNLLKKLKISETNPDIQGRNKSQSSSISGAQLRCSYMRGKMKRKREDEAVVSAPYEEIM >ONH91284 pep chromosome:Prunus_persica_NCBIv2:G8:13448486:13449619:-1 gene:PRUPE_8G103700 transcript:ONH91284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHETSTVCTIALRLSNAKIDGQLISSYQEALQGDADLQCFENTLKTHIKAVINTFRNGGPSTGFFKQVTKYLRYLTEQSVHALLRCRVIGNKKLNRASEERFKLLADEYTNNSLQMLDFCSELYRFLKAARNTHSYIEYVTQECFAMETAMGTRHYVRISKRLKSLSASGDVYGNYSSAERLLEKVECLRKQQEQMLEKLRVQNEKLEKKESSAHTWRKVTIILFVVAVAGLLISMIVTAALAIPAVAPALGAAFVPMLASADWITNLFGTFEIACKDQQGENVFMQAGTRVSIKELGDIKFLIDRVVNEIDSLLFAPSSTIKEQIDFTMKDIKLKLETFMREIETLQEEANKCTSEILKARDKVVKSIKFLNNK >ONH89659 pep chromosome:Prunus_persica_NCBIv2:G8:555019:556068:-1 gene:PRUPE_8G007300 transcript:ONH89659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVGKWQAKFQWYKSQQQPGASLAQGLHQHVVKTKADRLNLKHFDPFLGHFFISLKHLSFKASFFRQNLKYR >ONH92824 pep chromosome:Prunus_persica_NCBIv2:G8:18893389:18897193:1 gene:PRUPE_8G198300 transcript:ONH92824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVRRSKRLRLHAERSSVLAPLLRFCDKGTAPQNSTQTEPDSVHPMPSNYVNLPDGNATDTRDSPEIISMLREPAAAEKVLLGLLGPDDTKIMQEYDDGGLRQNLSYHALAACLHFAMWLRENGESSLASRERDEARARVAELEEKVRNVEEIMKHQVQEYKSKLNGLQNDVDQQRQKAAFCERKWKQQLALHQEKSTRLEEVLRQLVEANSNLAKDTQSLDVARTRIEKLTGALTRTRKLYKQAEVEVKKVQQECEMDRILGEIEKEERVKKRIALTTELELVEDFQNQNQKEGGIMPTLELNKDVSKQVNEAKNVDGEVEAQHPSTFWTRCHCCNVRYKFSRVHVNHLLRCQACPAAFVATEEVSTIYRRRHLVDTGSQVTASGRKPPDHGMVSLKGPGGS >ONH91966 pep chromosome:Prunus_persica_NCBIv2:G8:16216822:16218072:1 gene:PRUPE_8G146900 transcript:ONH91966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFAHRYLCDESRAPEIGRPLRSNVEPLGGSNRSSFHSHTINPIQRLIFRLHKVPVITHVVSTYVRPNAGFLLFKRQPKELLNHIPHTLQNRPRYTVVLDYEHAPSSCQLSGSVLGFEPGPVSWPYLLMVGTPKHHQLKIR >ONH90611 pep chromosome:Prunus_persica_NCBIv2:G8:9379179:9379876:-1 gene:PRUPE_8G063900 transcript:ONH90611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLFFSMISGSRLKEISDILILTDQESPHYLRELIRKTRSLTDKPFGVGVVLAFPHEKNIQVILDEKVAVLQVYWGECSEELVLKAHQSGVKVVPQVSVP >ONH93857 pep chromosome:Prunus_persica_NCBIv2:G8:21893700:21894722:-1 gene:PRUPE_8G256700 transcript:ONH93857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTDVTVELVEGDARNVLCDAVDRHHASVLVVGSHGYGAIKRAVLGSVSDYCAHHAHCSVMIVKKPKTKH >ONH94097 pep chromosome:Prunus_persica_NCBIv2:G8:22429206:22433386:1 gene:PRUPE_8G270200 transcript:ONH94097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQRTKLIFLLLVLCALCYTFAAIAGKSYYEILQVPKGASDEQIKRAYRKLALKYHPDKNQGNEEANKKFADINNAYEVLSDSEKRSIYDRYGEEGLKQHAASGGRGGGGGMGMNINDIFADFFGGRSMEDEEKIVKGDDVVVELDATLEDLYLGGSLNVWREKNILKPAPGKRRCNCRNEVYHRQIGPGMFQQMTEEVCEQCANVKYEREGYFITVDIEKGMQDGQEVVFYEDGEPIIDGEAGDLKFRIHTAPHDRFRREGNDLHTTITITLVQALVGFEKTIKHLDDHLVDIGSKGITRPKEVRKFKGEGMPLHFSNKKGDLYVTFEVLFPTSLTEEQKTRIKAVLG >ONH89721 pep chromosome:Prunus_persica_NCBIv2:G8:978127:981291:1 gene:PRUPE_8G012100 transcript:ONH89721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVVSPLLKVLSNRLDSLAEALGYDVIFKKMRDALGSLGALASQVEEQPLGNNQSIHLWLMELKEVAYNADDLVDDWELLAMKKATTTTKVANCFSLMSDVYRYRIKHRMKDLQARFDEILKGGTALHLLATVSQTTEKIPGLNVNVQTSSYISEISAVYGRDVDKEKIQDMLLWDGDHEHQQQQVRVIALVGMGGIGKTALAQVMYNEERVQMSFDLRIWVTVGEDFDLMRIAEAILYVSTHSSQKFSNMDALETAVTMELRGKRFLCVLDDVWCENLHEWEILRRWFSAGNSGSAVMLTTRNARVANFMTDGAGLYYLRALPDTDCWDFFKSLAFGSVDKNVELEKIGMEIVRKCGGLPLAVKTLGSLLSYKKQVHEWLSILDNDARDSLEHCVLPVLKLSYDHLPAHLKQCFAYCSVFPKDYAINKEKLIRLWIAEGFVESSTIRKELEDVADDFFVELLQRFFFQDTMIDENGNIVECRMHNLVHDLALHVAGIECSILEDENSLHISEHIRRISLVHELGISPKMIHVAKKLRSLFSFSGKFKILPIAFLNFRRLRVLNLSARGIHELPVTIGTLKHLRYLDLSHTYIRSIPESIANLKNLQTLELSECYNLLELPKAIRELTNLRHLVIRSCSLTHMPSGIGKLRFLQNVSAFILGKKADCAELTELGGLNLRGRLDIKNLENVSNLAQVQEAKLFQKLRLRSLGLSWGRNAHLVDAELSAEVLERLMPSPVLEVLDLSGYNGSIFPTWMESCPLINLVKVSLINCSCLQLPPLGLLPLLRDLFIKGMPAVHIIGYEFYGNANTNDVAFPALTQLELYDMPNLLEWKGFEIAGKPVSFPCLDTLTVKGCNKLTGLPSIPHLKNLALWQSNELLLDSLVHLMSLSTLAINEMPQLKSFPRDLENLNRITQLTMYDCDNLESLFEGMGGFTSLEHLSILYCKKLESLPMELRYLASLKKFDIVGCEKLAYIPDIMQHLCLLEELVIERCPALHSLPYIPVSLKKLVIRRCPQLEKRLEKEKGDDWDNIKHVPYVEIESGEFIAEEDIF >ONH90730 pep chromosome:Prunus_persica_NCBIv2:G8:10510412:10511103:1 gene:PRUPE_8G071800 transcript:ONH90730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTPKTLHHTPPTHHHKATTSPTTLKTHHHKSTTCPNTLKTHHHKTTKTHHNKTTTIPTTLKTHHHKSTTIITTLDCHNHKTTKTGNHEPTQKMPTKTASMPTRPLEPHAQRYPDSRIGDYNLVHSSGPYGKNIATSSRDLSAMAAVNMFVSKKSSYHYNSNSCAPGKVCGHYTQVVWRNSVRLGCAKARYNNGSTFIGCNYDPPGNYNGKRPY >ONH93418 pep chromosome:Prunus_persica_NCBIv2:G8:20593024:20594324:1 gene:PRUPE_8G231100 transcript:ONH93418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLDYKVSKHGVHNVLIEASVGMHRLRDYPVTKLFGVEIQRIMYQLLKGVAHIHSKGVMHKALTPKNVYFNSYGTQRCFEELGLGILYLVK >ONH92997 pep chromosome:Prunus_persica_NCBIv2:G8:19359339:19362081:1 gene:PRUPE_8G207100 transcript:ONH92997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAGLVIPCKAAVAWEAGKPLVIEKVEVAPPQAMEVRVKIMYTSLCHTDLYFWEAKGQTPLFPRIFGHEASGIIESVGEGVENLQVGDHVLPVFTGECGDCAHCKSEESNMCDLLRINTDRGVMLSDGKPRFSIKGTPINHFLGTSTFSEYTVIHSGCLAKINRLAPLDKVCILSCGISTGLGATLNVAKPKKGSSVAVFGLGAVGLAAAEGARISGASRIIGIDRNPKRFEEAKKFGVNEFVNPKDHDKPVQEVIAEMTNGGVDRSLECTGNINAMISAFECVHDGWGVAVLVGVPNKDAVFMTKPINVLNERTLKGTFFGNYKPRTHLPSVVDMYMNKELEVEKFITHRVPFSEINKAFDYMVKGEGLRCIISMEE >ONH92998 pep chromosome:Prunus_persica_NCBIv2:G8:19360170:19362077:1 gene:PRUPE_8G207100 transcript:ONH92998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLGIIIESVGEGVENLQVGDHVLPVFTGECGDCAHCKSEESNMCDLLRINTDRGVMLSDGKPRFSIKGTPINHFLGTSTFSEYTVIHSGCLAKINRLAPLDKVCILSCGISTGLGATLNVAKPKKGSSVAVFGLGAVGLAAAEGARISGASRIIGIDRNPKRFEEAKKFGVNEFVNPKDHDKPVQEVIAEMTNGGVDRSLECTGNINAMISAFECVHDGWGVAVLVGVPNKDAVFMTKPINVLNERTLKGTFFGNYKPRTHLPSVVDMYMNKELEVEKFITHRVPFSEINKAFDYMVKGEGLRCIISMEE >ONH92065 pep chromosome:Prunus_persica_NCBIv2:G8:16511563:16512219:1 gene:PRUPE_8G151900 transcript:ONH92065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSKGRQKVEMVKMANESNLQVTFSKRRSGLFKKASELCTLCGAEVAIIVFSPGRKVFSFGHPCVETLIDRYLSRNPPHHHHHQNSGTMQLIEAHRNANVRELNGELTQISNQLEVEKKRGDELNQVKKVTQAQCWWEGPLEGMEMSQLEQLKTSLEELKKNVARQADRVLIQNTNPSQFFVGSSSSHPNVGFNGNHVMPPHLPPHAWNPGYGRFF >ONH92385 pep chromosome:Prunus_persica_NCBIv2:G8:17560515:17562404:-1 gene:PRUPE_8G171900 transcript:ONH92385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLELSSALRCDPSPPYRIMRVLVHLKIFKNKPATQLGPKVYAQTPLSKRLLKSGQNSMAAFILLENSPVMLAPWHVLSTRIKGNIRNSVFEEVHGEDIWSFGAANPDHNKLFNEAMACDARVVVSAMTESCIEVFEGIETIVDVGGGDGTTLRLLVEACPWIQGINFDLPHVVPVAQECDRIENVGGDMFDCVPKADAVIIKSVLQDWGDDECIRILKKCREAIAEDKGKVIIVEAVIDEKDEKEDIKLTNVRLMLDMVMMAYTNTGKERTLKEWEYVLEEAGFSRHTITPIHAVCSVIQAFP >ONH91892 pep chromosome:Prunus_persica_NCBIv2:G8:15950259:15952060:1 gene:PRUPE_8G142200 transcript:ONH91892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMGEAGESLLVIRELDMNKDTESVEELERKCEVGGSSSGKMSLFTDLLGDPICRIRNSPSFLMLVAEMGPGKEIVGVIRGCIKIVTCGKKLIRSTNGIANSSNEDSDPKLEPICTKLAYILGLRVSPSHRRRGIGLKLVNQMEEWFRRNGAEYSYMATENDNMPSLNLFTKKCHYTKFRTPSILVQPVFAHRVKTHNRVTIIKLSPPDAEALYRSRFCTTEFFPQDIDRVVKNKLNLGTFLAVPTGFSWPGLVKFLSEPPESWAVLSAWNCKEVFTLEIKGASLARRFAAKATRVVDRALPWLRIPSVPEVFRPFGLVFLYGLGGQGPRAVKMVEALCGHAHNMARELGCGVVATEVASQEPLRLGIPHWKTLSCAEDMWCIKRLLQDYSDGPIGDWTKSPPGLSIFVDPREF >ONH91946 pep chromosome:Prunus_persica_NCBIv2:G8:16137966:16140996:1 gene:PRUPE_8G145600 transcript:ONH91946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTEACTLLLSWIFLYFGHGTNASSTTRQCLGDPLEALYGGGIVVNPEFNYNIEGWKAFGEGKIEERISKEGNRFIVARSRKHPSDSLSQKVQVEEGKIYSFSASVQVSQGREIVAVVFKFPNGDGVRGGDVVAEEGCWTLLRGGIVANFTSPVEILFESKTTSVEIWLDNVSLQPFTKEEWRSNQDKSINEVRKSKVRLQVTKASKTPLKGTKVSIKQIKSHFPFGCGMNHYILTNPDYQNWFASRFKWTTFTNEMKWYSTEKIQGLENYTIADNMVKFAQQNGISIRGHNVFWDDPKYQPDWVKSLSPEELRTAAAKRINSVVCRYRGQLIAWDVMNENVHFRFFEDNLGENASAESYSIAQQLDPDTVMFMNEYNTIEYSEDKNSSAANYKKKLEEILSYPGNANLSAGIGLQGHFGSGQPNLAYMRSVLDMLGATGLPIWLTEVDVGKGPNQAQYLEEVLREGYSHPAVKGIIMFVGPLAAGFNVTTLADKDFKNTPSGDVVDKLIDEWNSGTQEITTDDQGFIELSLFHGDYEITAENHITNSSATVSLSVTQAEPHAIVQVHIDT >ONH92161 pep chromosome:Prunus_persica_NCBIv2:G8:16855572:16858321:1 gene:PRUPE_8G159300 transcript:ONH92161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSHHRIDSHMLLLVSDCYDPLDPNGNFTIIFDTYGWTDDGYLAKVTIQNLFQYRHVDKPGWQIGWIWQQNEVIWSMNGAFATEQGNCSNYKTDIPHSCKKDPEILDLMPDASSDNKSEDCCRSGVLDALAINPSKSFSSFGIKVGNLGGAPFSGHPPLNLTLNAPGPGYTCGPLKSVDPTVSLDFGGRRQRQVFRTWKAICTYSSYLASETPVCCVSLSSFYNPEITSCPKCSCGCREVTDKNFGTCLRPAYPFPAMSLTGDNSGANFMGAQDPVKCTDHMCPIRVHWHVKTSYVDHWRIKLTITNYNYQRNFSNWNVLVQHPGLSMNPITYSFNSKLLQPSFRDAVALFWGIAFVNEELIATDEDGVGSVSTEILLEKDSESFTFKNGWAFPRRVYFNGENCEMPLPDTFPMLPNGTSKTQPPTHWLFHLLIFLISHLLILHAL >ONH90085 pep chromosome:Prunus_persica_NCBIv2:G8:3169991:3171412:1 gene:PRUPE_8G034100 transcript:ONH90085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKHHHGLFHHHKDEDRPIETSDYPQSGGYSDEGRTGSGYGGGGGYGDGGGGYGDNTAYSGEGRPGSGYGGGGGYGESADYSDGGRYKETAAYGTTGTHESEIDYKKEEKHHKHLEHLSEAGAAAAGVFALHEKHESKKDPEHAHRHKIEEEIAAAAAVGSGGFAFHEHHEKKEAKEEEEESHGKKHHHLF >ONH93077 pep chromosome:Prunus_persica_NCBIv2:G8:19558456:19562040:1 gene:PRUPE_8G211500 transcript:ONH93077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSTDNNGNHSKEKLVVEVVAAHNLMPKNGEGSSSPFVEVEFENQRLRTQVKYKDLNPIWNEKLVFHIKDVADLPYRTIEANVFNERRSSNSRNFLGKVRVSGSNIAREGEEVPQLYTLDKRSLFSHIRGEISFKLYLSTREKVKEVGANGIVSSSISAPSASASGFSKKNKKLQGPNSAMAANQQLVQETKQTQQNQNNNHHSKNADQPSPSEVILMNKPVLINTGPGSVMSGAGSGGVGGYSNGLTEFSLKETRPQLGGESLKKDKTSSTYDLVEQMQYLYVRVVKAKEISLFGGGDLVAEVKLGNYRGITKRVGLNNVEWGQVFAFSKDCIQSSVVEIFVKEGSKDDFLGRVWFDLNEVPKRAPPDSQLAPQWYRMEDKKGDKSKTGEVMLSIWFGTQADEAFAEAWHSKSANVNFDGLCSIKSKVYLSPRLWYLRVSIIEAQDIVLGEKGPAMMRFPELSAKVQVGNQVLRTRIAQPSSLRSLSNPYWNDEMMFVVAEPIDDYLLVSVEDKVGPGRDEVVGRVLLPVTAIEKRTDEKPVVSRWFNFDNNHFNNAAEESKMMTRFGSRIHLRVSLDGGYHVLDEATMYSSDLKPTDKRLWKPHIGVLEMGILGATGLMPMKIKEGKKGSSDAYCVAKYGHKWIRTRTVVDSLSPKWNEQYTWEVYDPCTVVTIGVFDNSRINKNLANNPGARDSRIGKVRIRLSTLESDRVYTHSYPLLMLHTSGVKKMGELHLAIRFTCANMANMMHMYTMPLLPKMHFVNPLSVNQLETLRYQAMNVVASRLSRAEPQLGREVVEYMLDHDSHMWSMRRSKANFFRLMNVLSGLVAMGRFVELMRSWNKPVYSALFVAFFLLLVAFPELIIPMILLYTAFLGLWRYKSRPRQPPHMDTQLSHAESVYADELDEEFDSFPTSRSAEVVRMRYDRLRSVGGRIQTVVHDMATQGERFQALLSWRDPRATFVFSIFCLIAAVVFYAVPIRVVVVLLGLYVLRPPRFRSKLPCQPLSFFRRLPTRADSLL >ONH90633 pep chromosome:Prunus_persica_NCBIv2:G8:9707582:9708744:1 gene:PRUPE_8G065400 transcript:ONH90633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSSVVLVLMASLLLASTRAQSPTSSPAKSPALSPKHPAAPSPSSIPPKASPSPLSTPPSAAPSQSPVAVSPPSPPSASPESPAIPPSSVSGPPSEAPAPSTNGAVLNRFSAAGSVAVGVFAAVLVM >ONH93661 pep chromosome:Prunus_persica_NCBIv2:G8:21305265:21308067:-1 gene:PRUPE_8G244900 transcript:ONH93661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVFPLTCTALPLSPASSSSSHGRLWPSPFKPKHAPKTVLRKSKEEPLLEGLPKEYYDDEWQARQREKTKELHQRRQEEDEEEERKVEEYREIGMRLKGYPEEDVRKARKLISSFIRAAEEVEEKIEEAAEKGELTELVLMVIWNRLDLARRDEEKDVIRSLDLLYRRVEAEILKREATPAMRLLNDLLNMHDGYDGEGWLKECKKRMVDTFPREDPFSILVPEGFDIDKHQGPLRLPLEADDVLLRVDFVREVDALLQEVKAEQNEVQNAQGLDAESIASRLKQQEKQRAIRLVEAVLDLAINLKW >ONH92628 pep chromosome:Prunus_persica_NCBIv2:G8:18328249:18328839:1 gene:PRUPE_8G185100 transcript:ONH92628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSTSFFLIKLDGTNYPLWLAQVIPVLKSRNLMGHVDGTKPCPAYLLTDGKGKTVNPAFDAWIKEDRMIQSWINGSLTAAVSSMVVSSACSRSIWLSLEKRYGSQSQNDRVLQLCGELLHKRSDISISAYLNRTNSIADKLRVAGSPISDHDLVTVVTSNFPQYKITANSTWNPLTYKVLQNILLTLEKELPM >ONH93896 pep chromosome:Prunus_persica_NCBIv2:G8:21991594:21992116:-1 gene:PRUPE_8G259600 transcript:ONH93896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATDMDVEEQPESNPNSFKRFGLKNSIQTNFGDDYVFQIVSKYVPFVLASRFKTYSFTFCLVPTKILKIFVTELNY >ONH93967 pep chromosome:Prunus_persica_NCBIv2:G8:22150933:22153446:-1 gene:PRUPE_8G263700 transcript:ONH93967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSLPLSLSPSLSLSLSIYIYIYTHHITRPNITEQETEKPRHTERESTEMEKIEHTTVSTNGINMHIASIGTGPVVLFLHGFPELWYSWRHQLLSLSSLGYRCIAPDLRGFGDTDAPPSPASYSALHIVGDLIGLLDHLGIDQVFLVGHDWGAVIAWWFCLFRPDRVKALVNMSVAFSPRNPKRKPVDGFRALFGDDYYICRFQEPGEIEKEFAGYDTTSIMKKFLTGRSPKPPCLPKELGLRAWKTPETLPPWLSEEDLNYFASKFSKTGFVGGLNYYRALNLTWELTGPWTGLQVKVPVKFIVGDLDITYHIPGVKNYIHNGGFKRDVPFLQEVVVIEDGAHFINQERPDEISRHVYDFIQKF >ONH90863 pep chromosome:Prunus_persica_NCBIv2:G8:11261680:11265989:1 gene:PRUPE_8G078500 transcript:ONH90863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHQPYSCVVELAMDWVGAILGLLALVYVLQAWLSKSKNKKKRLPPGPRGFPLFGNLHMLGEFPHWYLHRLAQKHGHHVSALRPCSCCCCLIPSGC >ONH93674 pep chromosome:Prunus_persica_NCBIv2:G8:21353228:21355724:1 gene:PRUPE_8G246100 transcript:ONH93674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVIALQLLASLAAFLPTTIPANLTYPAVFNFGDSNSDTGGLNAGIAFPIKRFCNGYLIIDYLMNEMDLPFLNPYLDSVGAPSFQTGCNFATGGSTVLPANAALISPFSFGIQVAQFGRFKAKALELLSKDKKLQNVLPFEDYFKQGLYTFDVGQNDLDGAFSLKSEDQVVALIPSIMTEFETGIQKLYNQGARNFWIHNTGPLGCLPRIIATFGKNPSQLDQFGCVASHNRAATAFNAQLRDLCFKFQRKFPEANVTYINIYKIKLDLITNYAQYGFKQGIAACCGYGGLPLNFDNRINCGETKNLNGTLVTATPCTNPEEYVNWDGSHYTRAANQYVSTQILTGNFSETLDIFQY >ONH91726 pep chromosome:Prunus_persica_NCBIv2:G8:15494073:15495793:1 gene:PRUPE_8G133500 transcript:ONH91726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHNLNLLPYFLLPLISLLSNHIPPAQALTAPSDISALKAFKASIKASSIPPWSCLASWDFTTDPCALPRRTHFTCGLTCSPDSTRVTQITLDPAAYSGTLTPLISQLTQLSTLDLSENSFSGPIPSSISSLSKLQSLTLRSNSFSGSLPPSITNLKSLESLDISHNFLSGFLPNAMNSLPNLRRLDLSFNKLAGSLPKLPPNLLELALKRNSLSGSVPKSAFTGLIQLEVVELSENSFSGTLQSWFFLLPSLQQVDLANNSLTGVEIPKPAGNGGGELVAVDLGFNRIEGYPPVNFAGYPVLSSLSLRYNRLRGRIPLEYGRKKSLKRLYLDGNFLIGQPPAGLVTGGVSGSLGDNCLQACPASSNLCLPSQKPNAICKQAYGGRKGKGKPRS >ONH93589 pep chromosome:Prunus_persica_NCBIv2:G8:21103723:21109211:1 gene:PRUPE_8G240700 transcript:ONH93589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSQKRTVTTRRGLKRKLEEEFREDGPENEPDLNVPAVEPRDVCEDLESMIRRRVQTLQSTFSSSEADRLAAKTATRAIALVAKKEEHVNLVVECGAVPALVKHLQAPPPERGGDNSPIPYAHEVEKDSAFALGLIAVKLEHQQLIVDAGALPHLVDLLKRHKRDSAPADGVIRRAANAIANIAHENSSIKTRVRIEGGIPPLVELLNFFNTKVQISAAGALRTLAFKNDENKNQIVECNALPTLVLMLRSEDAAMTFEAVGVIGNLVHSSPSIKKLVLLAGALQPVIGLLSSSCTESQREAALLLGQFAAADSDCKAHIVQRGALKPLIEMLKSPDAQVREMSAFALGRLAQDMHNQAGIAHNGGIVPLLKLLNSKNGSLQHNAAFSLYGLAENEDNVAALIKLGGVQKLQDGEFVAQQTKDCVAKTLKRLEEKICGQVLNNLLYLMRAAEKPVQKRVALALAHLCNPGDQETIFIDNKGLELLLGLLESPSLKEKQDGSLALYKVATKATSLSHLDPAPSSPTQQVYLGEKYVNNPTLSDITFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDVEIPNIRWDVFELMMRFIYTGSVDVKLDIAQDLLRAADQYLLEGLKRLCEHAIAQNIYVENVSLMFELSEAFNAVSLRQACILFILEQFDNLITKPWYPGLINRIVPEIRRFFTNALTMPIQATSQQA >ONH89909 pep chromosome:Prunus_persica_NCBIv2:G8:2110489:2112603:-1 gene:PRUPE_8G023400 transcript:ONH89909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWEKLMKMAGAVHTGGKGSMRRVARYSSQYIISHLGPDNLDNLMKLAEQFQKQAPGASAAGGTTLQEDDDDEVPELVAGENFEAAAEEKQAS >ONH89552 pep chromosome:Prunus_persica_NCBIv2:G8:143671:145768:1 gene:PRUPE_8G001800 transcript:ONH89552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGSDYIIDLTCLLRMQLFGRFGILDGLYSESVGKSHRHVVNHLLSIRLRNSLTGRVDGACIMPLILLVNQLPKFDGVYLSCMWFLYFLWISGRFSFFFFFFHMCQFG >ONH91333 pep chromosome:Prunus_persica_NCBIv2:G8:13816614:13819706:1 gene:PRUPE_8G107000 transcript:ONH91333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGIGLVLARATELRLKISNCIHKANDPPPKRENGIQADGEAGDEDREEEEEEEEDDDDEAHKLFNICDALESLENQLSSLQALQQQQRYEREVALSEMESSRKMLLNKLKEYKGNDLEVIHEASAFAGETVEHNNDLLLPPYPSRSPHTFCLENGYLPPTHKSLRNGIINSDPTNEEKKKLSETDRDEVKTGSKNSRGLGFVLSTAAKTVLTIVGVASVLSLSGFGPRFVRSNTTFKISGLSQQPLSKEKRSTIECPPGRVLVVEDGKARCVVKERVEVPFSSAVARPDVNYGCG >ONH90030 pep chromosome:Prunus_persica_NCBIv2:G8:2959621:2961429:1 gene:PRUPE_8G031200 transcript:ONH90030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATEYQGSSAGFNNIGRSIFSLRRDQFNSMEAQSHSGGQGAVDLDSFQTHVADCFIHLSSSSQDILSLQWLRDLLDAFLQIQQEFKNLLLSSINKPLLSKPTVDRFLSDYFERSVKALDVCNAIRDGIEQVRQWLKLLEIVLVALGRDRTLGEGQFRRAKKALVDLAIAMLDDKDSSNTTLAHRNRSFGRNNPTKDHQHHQQHRSLGHFRSLSWSVSRSWSAARQLQAIGNNLYAPRPNEIVASNGLAPAVFTVNSVLLFVMWALVAAIPCQDRGLQVHFTVPRSFAWAGPMLSLHERILEESKRRDRRNACALLKEIHQIERCSRLIGDLADSVQFPISEEKETEVRQRVQELSSLCEGIKEGLDPLERQVREVFHGIVKSRTEGMDSYGRPNE >ONH90741 pep chromosome:Prunus_persica_NCBIv2:G8:10622860:10626052:1 gene:PRUPE_8G072500 transcript:ONH90741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHRRCEETMLCLDVDEDICFTKSHTSLMFVRKICLLPLWPYIRIMVVFWLVMPQFDGAFYVYKHLVCPCLTMDSQIVTNWFNERRKELLFHAEGERYVKENVPEALETIACKVSRAEPNLTGTENSKYTSREAKEKAMEVVADREVLNTPPSKKSQNEWTCAISQVTTQSGTTVNSELDCQKHKAAYDALKMKSEAEPTLTQIENSTFAAKETKVKTMEVATGREVLESSVEVQKELTCALCEVTTPSEATLDPELEDRKPKVIINEMVQGHENTFPASVTETSDESKEKPAEGVSGDGPKRNIIINFKENVQGQQQNPNEVLRMKRSRLWCNICLVGCSGKIDLMSHLNGRKHKENVQELQQQNANEAPRKNDPPLWCNICHVRCSESNMASHLNGRKHKENVQEPQNPRKNEPPLWCKICSVGCSGNIHLASHLNGKKHKDKVQEQQQNADIVQWKNDPPLWCKVCDVSCYTEFDMASHLNRRKHWERLSARMQIVER >ONH90742 pep chromosome:Prunus_persica_NCBIv2:G8:10622860:10626052:1 gene:PRUPE_8G072500 transcript:ONH90742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLDVDEDICFTKSHTSLMFVRKICLLPLWPYIRIMVVFWLVMPQFDGAFYVYKHLVCPCLTMDSQIVTNWFNERRKELLFHAEGERYVKENVPEALETIACKVSRAEPNLTGTENSKYTSREAKEKAMEVVADREVLNTPPSKKSQNEWTCAISQVTTQSGTTVNSELDCQKHKAAYDALKMKSEAEPTLTQIENSTFAAKETKVKTMEVATGREVLESSVEVQKELTCALCEVTTPSEATLDPELEDRKPKVIINEMVQGHENTFPASVTETSDESKEKPAEGVSGDGPKRNIIINFKENVQGQQQNPNEVLRMKRSRLWCNICLVGCSGKIDLMSHLNGRKHKENVQELQQQNANEAPRKNDPPLWCNICHVRCSESNMASHLNGRKHKENVQEPQNPRKNEPPLWCKICSVGCSGNIHLASHLNGKKHKDKVQEQQQNADIVQWKNDPPLWCKVCDVSCYTEFDMASHLNRRKHWERLSARMQIVER >ONH90743 pep chromosome:Prunus_persica_NCBIv2:G8:10622859:10626052:1 gene:PRUPE_8G072500 transcript:ONH90743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFWLVMPQFDGAFYVYKHLVCPCLTMDSQIVTNWFNERRKELLFHAEGERYVKENVPEALETIACKVSRAEPNLTGTENSKYTSREAKEKAMEVVADREVLNTPPSKKSQNEWTCAISQVTTQSGTTVNSELDCQKHKAAYDALKMKSEAEPTLTQIENSTFAAKETKVKTMEVATGREVLESSVEVQKELTCALCEVTTPSEATLDPELEDRKPKVIINEMVQGHENTFPASVTETSDESKEKPAEGVSGDGPKRNIIINFKENVQGQQQNPNEVLRMKRSRLWCNICLVGCSGKIDLMSHLNGRKHKENVQELQQQNANEAPRKNDPPLWCNICHVRCSESNMASHLNGRKHKENVQEPQNPRKNEPPLWCKICSVGCSGNIHLASHLNGKKHKDKVQEQQQNADIVQWKNDPPLWCKVCDVSCYTEFDMASHLNRRKHWERLSARMQIVER >ONH90740 pep chromosome:Prunus_persica_NCBIv2:G8:10623476:10625661:1 gene:PRUPE_8G072500 transcript:ONH90740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMYSLMIVFLFDRCCSIKAIETNSISDNQKLNTYWVVFSLILLFQNAFLKLLQWLPLWPYIRIMVVFWLVMPQFDGAFYVYKHLVCPCLTMDSQIVTNWFNERRKELLFHAEGERYVKENVPEALETIACKVSRAEPNLTGTENSKYTSREAKEKAMEVVADREVLNTPPSKKSQNEWTCAISQVTTQSGTTVNSELDCQKHKAAYDALKMKSEAEPTLTQIENSTFAAKETKVKTMEVATGREVLESSVEVQKELTCALCEVTTPSEATLDPELEDRKPKVIINEMVQGHENTFPASVTETSDESKEKPAEGVSGDGPKRNIIINFKENVQGQQQNPNEVLRMKRSRLWCNICLVGCSGKIDLMSHLNGRKHKENVQELQQQNANEAPRKNDPPLWCNICHVRCSESNMASHLNGRKHKENVQEPQNPRKNEPPLWCKICSVGCSGNIHLASHLNGKKHKDKVQEQQQNADIVQWKNDPPLWCKVCDVSCYTEFDMASHLNRRKHWERLSARMQIVER >ONH90739 pep chromosome:Prunus_persica_NCBIv2:G8:10622860:10626053:1 gene:PRUPE_8G072500 transcript:ONH90739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVGLLGISLLQCLDVLAWPLLALVYPLCCSIKAIETNSISDNQKLNTYWVVFSLILLFQNAFLKLLQWLPLWPYIRIMVVFWLVMPQFDGAFYVYKHLVCPCLTMDSQIVTNWFNERRKELLFHAEGERYVKENVPEALETIACKVSRAEPNLTGTENSKYTSREAKEKAMEVVADREVLNTPPSKKSQNEWTCAISQVTTQSGTTVNSELDCQKHKAAYDALKMKSEAEPTLTQIENSTFAAKETKVKTMEVATGREVLESSVEVQKELTCALCEVTTPSEATLDPELEDRKPKVIINEMVQGHENTFPASVTETSDESKEKPAEGVSGDGPKRNIIINFKENVQGQQQNPNEVLRMKRSRLWCNICLVGCSGKIDLMSHLNGRKHKENVQELQQQNANEAPRKNDPPLWCNICHVRCSESNMASHLNGRKHKENVQEPQNPRKNEPPLWCKICSVGCSGNIHLASHLNGKKHKDKVQEQQQNADIVQWKNDPPLWCKVCDVSCYTEFDMASHLNRRKHWERLSARMQIVER >ONH90946 pep chromosome:Prunus_persica_NCBIv2:G8:11788609:11794638:-1 gene:PRUPE_8G084900 transcript:ONH90946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGEDLMKWEKMQGAGAREEKILVLVRLRPLSEKEVASNEVADWECINDTTILYRNTLREGSTFPTAYTFDRVFRGDCSTRQVYEEGAQQIALSVVNGINSSIFAYGQTSSGKTYTMDGITEFTVAEIFDYIHRHEERAFVVKFSAIEIYNEAVRDLLSSDNTPLRLLDDPERGTIIEKITEEVLRDWSHLKELLSICEAQRQIGETALNEKSSRSHQIIRLAIESSAREFLGKGNSTTLAASVNFVDLAGSERAAQALSAGTRLKEGSHINRSLLTLGTVIRKLSKGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELKTPGPPSSTCDYTTLLRKKDVQIEKMDKEIRELKKQRDLAQSRVEDLLRMVGNDNDSRQASDNHHPKWQAGDVSDDEYSVSSGVVDSHYPNGVRKFNNPHFDERDRESSPEETAGGTAENTDDYCKEVRCIEMEEPSWDKNSGSPALSTIGNEGTSALTSGDTRVTGQELISTPVNADREGIQMQNGFAYGTLEQRLHDVQMTIDSLGSPYPEESFPHDISANMSSSRSLKLTRSWSCRANLMTGSSSPDKLERTPPNGFEKSFHGRPESFGRKVPLLHYDSNRRLSRNDSQSSLGSAVDELGAQTADEDITSVHTFVAGLKKMAKKLEYDKQLANGQDQETGVAAVNFEKNVKDVGIDPMLEASETLDWPLKFERQQRAILELWETCYISVVHRTYFFLLFKGDPTDSIYMEVELRRLSFLKETFSRGDHAVEDGQALTLASSIRAIGRERQMLSKLMQKRFSEEERMRLFQKWGVALNSKRRRLQLANRLWSDTNDMNHVTESAAIVAKLVMFIEQGHALKGMFGLSFTPPKARRRSFGWKNSMASLI >ONH90949 pep chromosome:Prunus_persica_NCBIv2:G8:11788384:11796291:-1 gene:PRUPE_8G084900 transcript:ONH90949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGEDLMKWEKMQGAGAREEKILVLVRLRPLSEKEVASNEVADWECINDTTILYRNTLREGSTFPTAYTFDRVFRGDCSTRQVYEEGAQQIALSVVNGINSSIFAYGQTSSGKTYTMDGITEFTVAEIFDYIHRHEERAFVVKFSAIEIYNEAVRDLLSSDNTPLRLLDDPERGTIIEKITEEVLRDWSHLKELLSICEAQRQIGETALNEKSSRSHQIIRLAIESSAREFLGKGNSTTLAASVNFVDLAGSERAAQALSAGTRLKEGSHINRSLLTLGTVIRKLSKGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELKTPGPPSSTCDYTTLLRKKDVQIEKMDKEIRELKKQRDLAQSRVEDLLRMVGNDNDSRQASDNHHPKWQAGDVSDDEYSVSSGVVDSHYPNGVRKFNNPHFDERDRESSPEETAGGTAENTDDYCKEVRCIEMEEPSWDKNSGSPALSTIGNEGTSALTSGDTRVTGQELISTPVNADREGIQMQNGFAYGTLEQRLHDVQMTIDSLGSPYPEESFPHDISANMSSSRSLKLTRSWSCRANLMTGSSSPDKLERTPPNGFEKSFHGRPESFGRKVPLLHYDSNRRLSRNDSQSSLGSAVDELGAQTADEDITSVHTFVAGLKKMAKKLEYDKQLANGQDQETGVAAVNFEKNVKDVGIDPMLEASETLDWPLKFERQQRAILELWETCYISVVHRTYFFLLFKGDPTDSIYMEVELRRLSFLKETFSRGDHAVEDGQALTLASSIRAIGRERQMLSKLMQKRFSEEERMRLFQKWGVALNSKRRRLQLANRLWSDTNDMNHVTESAAIVAKLVMFIEQGHALKGMFGLSFTPPKARRRSFGWKNSMASLI >ONH90950 pep chromosome:Prunus_persica_NCBIv2:G8:11788384:11796342:-1 gene:PRUPE_8G084900 transcript:ONH90950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGEDLMKWEKMQGAGAREEKILVLVRLRPLSEKEVASNEVADWECINDTTILYRNTLREGSTFPTAYTFDRVFRGDCSTRQVYEEGAQQIALSVVNGINSSIFAYGQTSSGKTYTMDGITEFTVAEIFDYIHRHEERAFVVKFSAIEIYNEAVRDLLSSDNTPLRLLDDPERGTIIEKITEEVLRDWSHLKELLSICEAQRQIGETALNEKSSRSHQIIRLAIESSAREFLGKGNSTTLAASVNFVDLAGSERAAQALSAGTRLKEGSHINRSLLTLGTVIRKLSKGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELKTPGPPSSTCDYTTLLRKKDVQIEKMDKEIRELKKQRDLAQSRVEDLLRMVGNDNDSRQASDNHHPKWQAGDVSDDEYSVSSGVVDSHYPNGVRKFNNPHFDERDRESSPEETAGGTAENTDDYCKEVRCIEMEEPSWDKNSGSPALSTIGNEGTSALTSGDTRVTGQELISTPVNADREGIQMQNGFAYGTLEQRLHDVQMTIDSLGSPYPEESFPHDISANMSSSRSLKLTRSWSCRANLMTGSSSPDKLERTPPNGFEKSFHGRPESFGRKVPLLHYDSNRRLSRNDSQSSLGSAVDELGAQTADEDITSVHTFVAGLKKMAKKLEYDKQLANGQDQETGVAAVNFEKNVKDVGIDPMLEASETLDWPLKFERQQRAILELWETCYISVVHRTYFFLLFKGDPTDSIYMEVELRRLSFLKETFSRGDHAVEDGQALTLASSIRAIGRERQMLSKLMQKRFSEEERMRLFQKWGVALNSKRRRLQLANRLWSDTNDMNHVTESAAIVAKLVMFIEQGHALKGMFGLSFTPPKARRRSFGWKNSMASLI >ONH90947 pep chromosome:Prunus_persica_NCBIv2:G8:11788384:11795506:-1 gene:PRUPE_8G084900 transcript:ONH90947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGEDLMKWEKMQGAGAREEKILVLVRLRPLSEKEVASNEVADWECINDTTILYRNTLREGSTFPTAYTFDRVFRGDCSTRQVYEEGAQQIALSVVNGINSSIFAYGQTSSGKTYTMDGITEFTVAEIFDYIHRHEERAFVVKFSAIEIYNEAVRDLLSSDNTPLRLLDDPERGTIIEKITEEVLRDWSHLKELLSICEAQRQIGETALNEKSSRSHQIIRLAIESSAREFLGKGNSTTLAASVNFVDLAGSERAAQALSAGTRLKEGSHINRSLLTLGTVIRKLSKGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELKTPGPPSSTCDYTTLLRKKDVQIEKMDKEIRELKKQRDLAQSRVEDLLRMVGNDNDSRQASDNHHPKWQAGDVSDDEYSVSSGVVDSHYPNGVRKFNNPHFDERDRESSPEETAGGTAENTDDYCKEVRCIEMEEPSWDKNSGSPALSTIGNEGTSALTSGDTRVTGQELISTPVNADREGIQMQNGFAYGTLEQRLHDVQMTIDSLGSPYPEESFPHDISANMSSSRSLKLTRSWSCRANLMTGSSSPDKLERTPPNGFEKSFHGRPESFGRKVPLLHYDSNRRLSRNDSQSSLGSAVDELGAQTADEDITSVHTFVAGLKKMAKKLEYDKQLANGQDQETGVAAVNFEKNVKDVGIDPMLEASETLDWPLKFERQQRAILELWETCYISVVHRTYFFLLFKGDPTDSIYMEVELRRLSFLKETFSRGDHAVEDGQALTLASSIRAIGRERQMLSKLMQKRFSEEERMRLFQKWGVALNSKRRRLQLANRLWSDTNDMNHVTESAAIVAKLVMFIEQGHALKGMFGLSFTPPKARRRSFGWKNSMASLI >ONH90948 pep chromosome:Prunus_persica_NCBIv2:G8:11788609:11794638:-1 gene:PRUPE_8G084900 transcript:ONH90948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGEDLMKWEKMQGAGAREEKILVLVRLRPLSEKEVASNEVADWECINDTTILYRNTLREGSTFPTAYTFDRVFRGDCSTRQVYEEGAQQIALSVVNGINSSIFAYGQTSSGKTYTMDGITEFTVAEIFDYIHRHEERAFVVKFSAIEIYNEAVRDLLSSDNTPLRLLDDPERGTIIEKITEEVLRDWSHLKELLSICEAQRQIGETALNEKSSRSHQIIRLAIESSAREFLGKGNSTTLAASVNFVDLAGSERAAQALSAGTRLKEGSHINRSLLTLGTVIRKLSKGRHGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELKTPGPPSSTCDYTTLLRKKDVQIEKMDKEIRELKKQRDLAQSRVEDLLRMVGNDNDSRQASDNHHPKWQAGDVSDDEYSVSSGVVDSHYPNGVRKFNNPHFDERDRESSPEETAGGTAENTDDYCKEVRCIEMEEPSWDKNSGSPALSTIGNEGTSALTSGDTRVTGQELISTPVNADREGIQMQNGFAYGTLEQRLHDVQMTIDSLGSPYPEESFPHDISANMSSSRSLKLTRSWSCRANLMTGSSSPDKLERTPPNGFEKSFHGRPESFGRKVPLLHYDSNRRLSRNDSQSSLGSAVDELGAQTADEDITSVHTFVAGLKKMAKKLEYDKQLANGQDQETGVAAVNFEKNVKDVGIDPMLEASETLDWPLKFERQQRAILELWETCYISVVHRTYFFLLFKGDPTDSIYMEVELRRLSFLKETFSRGDHAVEDGQALTLASSIRAIGRERQMLSKLMQKRFSEEERMRLFQKWGVALNSKRRRLQLANRLWSDTNDMNHVTESAAIVAKLVMFIEQGHALKGMFGLSFTPPKARRRSFGWKNSMASLI >ONH91695 pep chromosome:Prunus_persica_NCBIv2:G8:15353206:15354845:1 gene:PRUPE_8G130800 transcript:ONH91695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKAKQSHIFLFPYMAPGHMIPTIAMAKLFASRGIKTTIISTPKNVAAFSKTIERSKALGFEIGVLLLKFPSVEVGLPEGCECGHMVETLEELQKFLKATSLLDQQLEKLLEEHQPNCLVADNCFPWTTDLAAKFGIPRLVFHGINFISLCISQHLIKMDFSTLLKSDSEPLVIPDLPDEIKLAGTQIPNFLKQETELRKFLESTAETERRSYGVVVNSFYELEPVYADHYRKFLGIKAWHIGPTFLCNKDTYASLDEHECLKWLNSKTPNSVVYVSFGSVIKFDDAQLLEIALGLDASGQQFIWVVKKEKSDEENKEDWLPEGFEKRVEGRGLVIRGWAPQVPILEHQAVGGFVTHCGWNSVIEAVSAGVPMATWPAFSDQFYNEKLVTQILGIGIGVGVQKWERFGGEKVGKRNIEKVVTQIMVGEKAEEMRSRAKRLGEMARKSVEEGGSSYKDLNALIQELGLHSTAPN >ONH90357 pep chromosome:Prunus_persica_NCBIv2:G8:5376140:5377585:-1 gene:PRUPE_8G049300 transcript:ONH90357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVTWGVVQGRKEKLVSRVIICDYLKSLGIVPDELESLELPSTVDVMRERVEFLQKLGLSSDDINEYPLMLGCSVRKNMIPVLAYLEKIGIPRSKLGEFVKNYPQVLHASVVVELVPVVKFLRGLDVEKQDIGYVLQKYPELLGYKLEGTMSTSVAYFVSIGVNPRDIGPMVTQYPYFLGMRVGTVIKPFVDLLVSLGLPKKILARMLEKRAYLLGYDLEETVRPNVDCLISFGIRREVLASVVAQYPQILGLPLKAKMSSQQYFFSLKLKIDPEGFARVIEKMPQIVSLHQHVIMKPVEFLLGRGIPSEDVAKMIVKCPQLIALRVELMKNSFYFFKSEMGRPLKELVEFPEYFTYSLESRIKPRYQRLQSKEIRCSLKWCLNCSDQRFEERLQGEYIETETPGPLFCMGGKLELPGNEMVSDEEDESDDEVLYRRTVSL >ONH91348 pep chromosome:Prunus_persica_NCBIv2:G8:13877728:13880344:1 gene:PRUPE_8G108300 transcript:ONH91348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAPAPSPYPARAPTLSIPIITATSLHASSPPSKYPIDFSPPLIAMVVVVATAFLIVTYSRLISQHVIPPILRLQRRWRRRRRRSYLPSSIGDLDSPRYDSSFDPADLGFHAFSPYGLDESVIKTIPLSLYTSKTTSTGLNNPLSKDCAVCLIEFQDDDYVRTLPVCSHVFHVDCIDMWLRSHANCPLCRAGIFRPESPFVPLMAARIRPSLDDAVFRSIALEPLAEAPASRDSDSIVTEIERCAEERSPRRSSNYWEDYRINGGDFVLKRSHSFGFERSSASAERMMVTEPATASPWRYRRGSFWSKRPSPFGSLTKARVFSFRSTYRGGVNMKSPFFRRKCFFPLTEPSARFSGGGAGGSSRRSKSMTSPMFMRSSAMSAFSSSRLRCGDPEALLSPERFNRR >ONH89704 pep chromosome:Prunus_persica_NCBIv2:G8:867399:870950:-1 gene:PRUPE_8G010700 transcript:ONH89704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGVELLIGKIGSFLESRVPLLGGVGDELEHLRSELLTMKAFLEDAERNGALSEVEETWVANVRDLSIDVEDIIDEFKYHENEQRSWDPYTRAFRQTIFLPLNLWERHRITTKLQKLIIKTIRAIPERNQPYGVDRIDGMTNSHGYDPNRVEIFGELSLFFKDDELVGIEDAKEKLVGWLLSGEPQRTVISVVGMGGSGKTTLVANTFNTQTAKFDCYAWVTVSKTYNIEDLLRVLITELFTSTREDVPQDLSNMSYTQMVEILVNYLQPKRYVIVLDDVWDINLWRQIHVAVPDGAHGSRIMLTTRREDIASFSFGAGCHVHHVQPLNENEAWDLFSRKAFSSRPDNCCPPELEPVARDLLGKCQGLPLGIVALGSLMSTKRLASEWTEFYTRLSCELSNNPLLEVVKSILLLSFNDLPYRLKLCFLYFGIFPEDYVIECDRLVRLLMAEGFVEQVAGAKPEEIAEGYVTELTCRCMVQVVKREPFGRAKAFKMHDLLRELALSISKVENFCTIYNEQKTRDDSRAPHRLSMQANYGELQPHGDMSKVRTFFIFAPKMTDSSSFQKLPSGFKLLEVLDLRHVPIVQLPDETVKFFNLKYLNLKGTKVKELPRDIGNLHNLETLDIRHSKIRSLPDGIVKLNNLRHLLMYHCNFEDLFRSYYFFDGTQVPLDICKLKSLQVLDAIELRDGLTKKLAHLTQLTRMSLTNVREADEEDLCKSIESMRLLEHLFVHTSTEDEVLHLDALPSAPSVLNALGLIGKLERVPLWFHSLQNLTALRLHWSRLTEDFLPHIKALPNLAILRLNKAYVGNQLVFHTGFPKLAELYLMDFPQLNVIVIDRGAMPALQTLVITECMGLKQLPKGIEHLTCLQNLSFVSVPNELVERICGEESLDHAKVEHISEISYHYKTELGWLGERLRSSLQWVVRPSSSSVDILTRDGLPFLPGN >ONH90939 pep chromosome:Prunus_persica_NCBIv2:G8:11758930:11763988:-1 gene:PRUPE_8G084400 transcript:ONH90939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSIEISNFNFNSSTIQIQRTNQPFCLHIHPHHHLPASARSCRWRVCTALDKSSIVRRISDISVCWAWFPRPRPLARPTMGSGYKWLSSDPNVISEIIETCRAHDFTDVVLVHENRGVPDGNLYRADLLRRKELGERTANILKHLFPVPKPDTKHIITFTNQSDYISFRHHTYGKHGGPKSIVLEEIGPRFEMRLFKIKLGTLDQTEAQDEWVFKPYMNTAKKYKFIGI >ONH90042 pep chromosome:Prunus_persica_NCBIv2:G8:3014642:3015348:1 gene:PRUPE_8G031900 transcript:ONH90042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYTTFKLFLLTAVLTLTMPFLATSARPLNPNLIRSNPNLAARLNLGEESSNCWDSLFQLQACSGEVVMFFLNGETYLGHSCCEAIRTIEHQCWPALLGTLGFTAEETDVLKGYCDEADHVKSPPANPPSPPSIHDPINVKVVPNLEKLVP >ONH93750 pep chromosome:Prunus_persica_NCBIv2:G8:21561797:21567630:1 gene:PRUPE_8G250300 transcript:ONH93750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKPWLYPAPTYRTLETYWDTDDDGPGPRCGHTLTAVAATKTHGPRLILFGGATAIEGGAASSAPGIRLAGVTNSVHSYDVLTRKWTRMRPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYAWARLNPEGDRPSARMYATASARSDGMFLLCGGRDISGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGALRGGRGIEGEGAIAVLDTAAGVWLDRNGLVTSSRTGKGQNDYDPPLELMRRCRHAAASLGVRIYIYGGLKGDILLDDFLVAENSSFQSEIGSPVLTSERSPTVTSPRPFHANTSTFGTSPSSDGEPESPPSRGLSMDKNSMEKLREASAAEAEAASAVWQAVQATSATPAEETSVSDDNSHVAETLSDGSDTEADVRLHHRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPMNNDLSHPNKKFTRQKSPQGLHKKIISTLLRPRNWKAPVNRKFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSAAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPDNVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSITSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTDFCKRNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPLQSPETSPERVIEDTWMQELNIQRPPTPTRGRPQPDLDRNSLAYI >ONH91747 pep chromosome:Prunus_persica_NCBIv2:G8:15547010:15549761:-1 gene:PRUPE_8G134700 transcript:ONH91747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESVPPRRISVHQALGGGHVADVLLWKKWSGGVLLLTSTASSWFLFERAGYNLLSFVANVLLLLVVILFLWAKSASLLNRPLPPLPDMEISEASVVKAADALHAWINRVLSIARDIAIGRNWKLFLQVAFCLWLVSYIGSFFNFLTLVYIGKLFSSFSASSV >ONH91746 pep chromosome:Prunus_persica_NCBIv2:G8:15546855:15549877:-1 gene:PRUPE_8G134700 transcript:ONH91746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESVPPRRISVHQALGGGHVADVLLWKKWSGGVLLLTSTASSWFLFERAGYNLLSFVANVLLLLVVILFLWAKSASLLNRPLPPLPDMEISEASVVKAADALHAWINRVLSIARDIAIGRNWKLFLQVAFCLWLVSYIGSFFNFLTLVYIGVVLSLSVPVVYDKYQGHIDEKLLVANRAIQTQYRKIDDSILKKIPLRSNKEKKVQ >ONH90132 pep chromosome:Prunus_persica_NCBIv2:G8:3321442:3321933:1 gene:PRUPE_8G036500 transcript:ONH90132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESAFIGSIARLMIGWIRNRGGGGLSRTLSSLNGYFSPFSPRPCLEFAWAAALSPTFHLTQTRDLTLLLTMVEPGISLLPFTMVYWSGWPRLGVLRNSFGGT >ONH89946 pep chromosome:Prunus_persica_NCBIv2:G8:2342030:2343052:-1 gene:PRUPE_8G025700 transcript:ONH89946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVHFLINTLAILAFATILVFASDPSPLQDFCVALNDTKSAVFVNGKFCKDPKLANANDFFFSGLQIARSTQNPLGSTVTAVNVDQIAGLNTLGISLARIDFARNGLNPPHTHPRATEILLVLEGTLYVGFVTSNADNNRLFTKVLNKGDVFVFPIGLIHFQLNVGEGDAVAQAALSSQNPGVITIANAVFGSDPPINPEVLAKAFQVDNKAVEYLQKQFWYDNNN >ONH89806 pep chromosome:Prunus_persica_NCBIv2:G8:1630411:1632323:-1 gene:PRUPE_8G017400 transcript:ONH89806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLNCLLSAWYGLPFVSPNNILVSTINGTGAAIEAIYVLIFIIFAPKREKFKILGLFTIVLAIFSTVALVSVFALHSKARKLFCGLAATVFSIIMYGSPLAIMSTVIRTKSVEFMPFFLSLFSFLCGTSWFIFGLLGHDPFVAVPNGFGSGLGALQLVLYFIYRDSSKGSTGSIKKASSSSTAATADESMEMGVAKPHQSKQSMAISGAQDGQP >ONH89805 pep chromosome:Prunus_persica_NCBIv2:G8:1630354:1632521:-1 gene:PRUPE_8G017400 transcript:ONH89805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILKVFFGVVGNATALFLFLAPIITFKRIIQKRSTEQFSGIPYVMTMLNCLLSAWYGLPFVSPNNILVSTINGTGAAIEAIYVLIFIIFAPKREKFKILGLFTIVLAIFSTVALVSVFALHSKARKLFCGLAATVFSIIMYGSPLAIMSTVIRTKSVEFMPFFLSLFSFLCGTSWFIFGLLGHDPFVAVPNGFGSGLGALQLVLYFIYRDSSKGSTGSIKKASSSSTAATADESMEMGVAKPHQSKQSMAISGAQDGQP >ONH90843 pep chromosome:Prunus_persica_NCBIv2:G8:11111321:11114694:-1 gene:PRUPE_8G076800 transcript:ONH90843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASGVAALPWPNAERATSSFSRSSHSQIRIPHRPFQIQTIRRSDFNPRCEMGAEKPVQTATSRSLRSSALELLKTSSADRYTKERSSLAVIGLNVHTAPVELREKLAIPEAQWSQAIGELCALNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKVSGVPVSELSKHRILLYNEDATQHLFEVAAGLDSLVLGEGQILAQVKHVVKVGQGVPGFDRKISGLFKHAITVGKRVRTETNIASGSVSVSSAAVELALMKLPKPSYATARVLVIGAGKMGKLVIKHLVSKGCREMVIVNRSEERVAALCEEFKDVEIIYKPLSDMIASAAEADVIFTSTASETPLFLKEHVQMLPSVSPELGNRLFIDISVPRNVEPCVSDLETACVYNVDDLKEVVAAHKEDRLRKAMEAQKIITEELSKFEAWKDSLETVPTIKKFRAYAERIRACEVDKCLSKMGDDISKKQQKAIYDLSMGIVNKLLHGPMQHLRCDGSDNRSLSEILENMHALNRMFDLDTDTSVLEEKIRAKVERIQK >ONH89707 pep chromosome:Prunus_persica_NCBIv2:G8:875620:883411:-1 gene:PRUPE_8G010900 transcript:ONH89707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNAAACAERATSDMLIGPDWAINIELCDIINMEPGQAKDALKILKKRLGSKNPKIQLLALFALETVSKNCGENVFQQIVERDILHEMVKIVKKKPDLNVREKILILIDTWQEAFGGARGRYPQYYAAYNELRSAGVEFPPREENSVPLFTPPQTQPVVSPVAVYDDAAIQASLQSDASGLSLPEIQNARGIADVLMEMLNALDPKNPEGLKEEVIVDLVDQCRSYQKRVMLLVNETVDEELLCQGLALNDNLQRVLSRHDDIVKGTATGVRAPESSVVPLVNVNHEDDESEDEFAQLAHRSSRDNSQGPGQRSANARTEPVRLSPLLPPPPSPKRPIPTGAGMIDYLSGDTYKSEGSTATSESTSFAAPVHSSSNSTSRLTPPSPSPPSSLNTTPSPIFSGKPVYDEPAPRSKSIDGLPPAPWDAQSPGNPQSPGNGNSPVSLPPPPSRYNQRQQFFEQQGFPGSGSGSSYDSLVGQTQNLSLNSSTPTKQVKQEDALFKDLVDFAKAKSSSSSNPNRSF >ONH89706 pep chromosome:Prunus_persica_NCBIv2:G8:874935:883468:-1 gene:PRUPE_8G010900 transcript:ONH89706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNAAACAERATSDMLIGPDWAINIELCDIINMEPGQAKDALKILKKRLGSKNPKIQLLALFALETVSKNCGENVFQQIVERDILHEMVKIVKKKPDLNVREKILILIDTWQEAFGGARGRYPQYYAAYNELRSAGVEFPPREENSVPLFTPPQTQPVVSPVAVYDDAAIQASLQSDASGLSLPEIQNARGIADVLMEMLNALDPKNPEGLKEEVIVDLVDQCRSYQKRVMLLVNETVDEELLCQGLALNDNLQRVLSRHDDIVKGTATGVRAPESSVVPLVNVNHEDDESEDEFAQLAHRSSRDNSQGPGQRSANARTEPVRLSPLLPPPPSPKRPIPTGAGMIDYLSGDTYKSEGSTATSESTSFAAPVHSSSNSTSRLTPPSPSPPSSLNTTPSPIFSGKPVYDEPAPRSKSIDGLPPAPWDAQSPGNPQSPGNGNSPVSLPPPPSRYNQRQQFFEQQGFPGSGSGSSYDSLVGQTQNLSLNSSTPTKQVKQEDALFKDLVDFAKAKSSSSSNPNRSF >ONH91519 pep chromosome:Prunus_persica_NCBIv2:G8:14713200:14716590:-1 gene:PRUPE_8G120400 transcript:ONH91519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVTTQLGVSSSSFNHSWTYDVFLCYRGADTHNNFTDHLYSNLLQKGIKTFLADVLLRRGEELTLPTLYKIIEDSRISIIIFSENFASSGWCLDELVEILQCRESKQQIVWPVYYKVDPMDVRNQQGSFGEALANHENKFRDDMEKVLRWRAALTEATNLPGWYFLDGHNSKFIDNIVEEISAQVLNRTYLNVAKYPVGIESRVQDMNELLGVGVNDVRMVGIWGIHGIGKTTIAKAVYNSIAHEFEGSCFLENVRPNSMERGGLVQLQKIVLSEILGEEQLELTDADEGINVIKKRLSRKRVLLILDGVNQMEQLKKLVGGSDWFGLGSRIIITSRDKHLLTGHQVNLIYEVEELDFDEAFELFSWNAFPINKLPDDYAKVAAAIVHYAKGIPLALTIIGSFLCGRSIDQWRITLDGYRRVPNLEIQDILKISYDALEDSVKEVFLDIACFLNGKNKKYVIKMLESCHLNPEYGIEVLIEKAFIIIKKDHIWMNDLIEELGKEIVLRQDSLTGRDRRSKLWFYKNVIHVLSENKGISKTKGIVVKSHVADEKHLSSKSFLKATSAKWIFLLIALCLEILSLAFDQASSPSNPHYALFGMMLAIVAVLICILELIYKGKKERVELRRWRTLWWFYYPRPQRRLYGSLPDIYGLVGAISQCICSTVQYVCFIRHDQNPIKVSLWPAIFLICLGASRLNWIRMDETDEQNE >ONH90326 pep chromosome:Prunus_persica_NCBIv2:G8:4921838:4925269:1 gene:PRUPE_8G046600 transcript:ONH90326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEANSSPSSSSSSSCRDRRWKYDVYLSCSGDDMPRSFTDPLDVALTGQGIRTFRFRNGGASNPPVIFLKAIENSRFSILVLSRKYAHSIRCLNELEKIVECRKEMGQAVLAVYFDVDPAEKVSNWSAALLVVPSLPGWHVRDRYKAQNIELIVKVLRAELRSSLPISLHEWNSKLLEKKKSDAVKMIIQGLNDNEKRIFLDIVCFFKGMEKKRVEEILDCDGCCPCSAIEVLIENSLVTILDNKVMMDRFIQETGQEIVSAESLGERGKQSRLWLTDDILDVLGNNKVTVEGIDIHFPELKEAQWNEEAFSNMPCLRFLRIHGLYMTEVPIHLSNALTFLEWCGYPGKVLPQSFQPEELRELNLCSSKIERPWAGKMLKFINVSYSRELSSTPDFSGTPNLQRLDFEGCLNLVEIDPSIALLKRLIFLSFKECTSLESLPSPIAMESLEVFILSGCSNIKKFPEFVVPMDHWLELYLDKTAIEKLPLSIGHLTALTLLKLKDCKNLTCLPRNIHKLESLQTVDVSGCSKLRKPPESLGRISCLERLDAGGTSIIDVSPSIFLLKILEALSLRGCKGILRLQLPPVSSLTLLNLSDCNLQQGTIPADIGCLASLVSLDLSKNSFDRVPTGTSQLTKLQGLNVESCKNLESMPELSSNIDFSVGSAGHYSHERLSCPSNFFRMGDSCLNFVNCSKLAGNQDCNNAFTMLRRFLKGNPCPGKKFETIIPGKELPQYFSYQHEGSVVSMSLPQNWYTDKCMGYAVCAVVGFRRYRPANSLGKLRHEAFGTTHGLGCEVKCSKSDIPGLHPFLRCSQELSQIESDHLWISFVSCENFSTEWKNCCRHPEFSFQPYGAGLVVKKSGVRLIYLQDIEDRKLIMTQSRGSASPCAIVQQSDSLQEIGGTISNSKVDDAALDNVHLSKKCRN >ONH91773 pep chromosome:Prunus_persica_NCBIv2:G8:15652219:15653050:-1 gene:PRUPE_8G136300 transcript:ONH91773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSIYVLCFITLAAGLVHGAYDMEYFVNDVTVGIHNQDRFEQEIGVNYCMKTLISATEFIWDLFQQSTPEDRKSVHNITLTIEDMKGEAYTNTSNNEIHVSAGYILSYPAADAEGQRNEMNGVIYHQLTHVWQWNGNGQAPRGLVEGIADYVRMKSGFIPSQWAQPGVGDRWDQGYDVTARFLEYLESLRNGFVTELNKKMRLGYSDDDFVQLLGKNVGQLWTEYKLRYAKR >ONH90873 pep chromosome:Prunus_persica_NCBIv2:G8:11319064:11319345:-1 gene:PRUPE_8G079500 transcript:ONH90873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVNAKRSLSHIAASKTLDIPKGCFAVYVGESQKKRFVIPISYLNEPLFLDLLNQAEEEFGYDHPMGGITIPCSEDSFLDLTSRLRV >ONH91717 pep chromosome:Prunus_persica_NCBIv2:G8:15474212:15476423:-1 gene:PRUPE_8G132700 transcript:ONH91717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFKMQVVVPILLLMLMAAAEAKTPPGIAKNPSNARCLIKKYKHCYNLVHVCPKFCPDKCTVECVSCKPICYGGTSPPPPVSPTPSPTPPTYYSPPPPQAKPTPPPSPPTPTPSTPSPTPPTTAPTLPTPSPSPSPPPPAPHYQSPPPTPSPPTPSPPTSSPPPSTPTPSPPTSSSPPPTPSTSPKKAKCKNKYYPQCYNIEHVCPSSCPGGCEVDCVTCKPVCKCDQPGAVCQDPRFIGGDGITFYFHGKKDRDFCLLSDPNLHINAHFIGRRNHNMKRDFTWVQSIAILFGKHQLFLGAQKTATWDDSTDRLALSFDGEPITLPESEGARWQSTSVPTVSLTRGGDTNNVMVEVEGSFRITAKVVPITEEDSRIHNYGITKDDTFAHLDLGFKFFSLSNQVSGVLGQTYRPDYVSRVNIGANMPVMGGDREFETSSLFATDCAIARFNNGSSVGSGSEANSLEGLELPSLSCASGMDGQGVVCKR >ONH92552 pep chromosome:Prunus_persica_NCBIv2:G8:18107283:18110200:1 gene:PRUPE_8G180500 transcript:ONH92552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICHQSPFKLFTTIPSSSSSSKPKPLLRCNLSLPSRPSLTSTEPEPVYTSVKAFAPATVANLGPGFDFLGCAVDGLGDFVSLTLDPQVSPGEISISEISGDHNSKKLSKNPLWNCAGIAAIEVMKMLGVRSVGLSLTLEKGLPLGSGLGSSAASAAAAAVAVNEIFGGKLGIDELVIAGLKSEEKVSGYHADNIAPAIMGGFVLIRSYDPLDLIPLIFPDGKELFFVLATPEFEAPTKKMRAALPAEVGMAHHVWNSSQAGALVAAVLQGDLPGLGRALSSDKIVEPRRAPLIPGMDAVKKAAIEARAFGCTISGAGPTAVAVTDDLERGKAIGERMVAAFLKEGKLKAAASVSRLDRVGARLVSTIPR >ONH93013 pep chromosome:Prunus_persica_NCBIv2:G8:19398044:19398855:1 gene:PRUPE_8G208000 transcript:ONH93013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWCIVLVLALVVAHTTARNVPTANDAGFKDQKNFLGGVGGFSGIGSNGLPFAGAGAGVGGDLGGGLGGGAGIGGVAGLGGGSGGIGDLGGAGGLGGLGGLGGGTGGLGGLGGLGGGTGGLGGLGGLGGGTGGLGVLGGVGGAGGGIGGGVGGGSGVLPYP >ONH92465 pep chromosome:Prunus_persica_NCBIv2:G8:17891610:17894032:-1 gene:PRUPE_8G177200 transcript:ONH92465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAAVGLSLVVLLVTYITHWITQWRNPKCNGVLPPGSMGWPLVGETLNAMSPSYSIDLHPFFKKRLQKYGPIFRTSLAGKPIVVSADPKFNSYLFQQEGKLVELWYMDSFTKIFKVSEEIKVAVVHKYIRSVALNHLGAETLKEKLLPLIEKTVVKTLASWSSQASVEVKHATSVMLLNFGAKHLIGYDAETSSDNLSDKFSKILSTFLSIPLNVPGTAYHNCLKDREKITTMLRDMLKKRRTSPDTHRGDFLDQISSDMDKEKFLSEDFVVYALFGALFAGFHPISAIMSLAFSLLAEHPAALEELTAENEALLKNRENPNSSLTWDEYKSMTFTRQVVNETLRLGNVAPALFRRVLKDIPVNGFTIPAGWTIMVLTSALQLSPNTFTDPLEFNPWRWKDLDSLVVSKNFMPFGRGSRQCAGAEYSRVFLATFLHVLVTKYSWKKIKAGRITRKHVLGFGSSIHIKLTEKKN >ONH90169 pep chromosome:Prunus_persica_NCBIv2:G8:3849052:3850873:1 gene:PRUPE_8G038700 transcript:ONH90169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEKTLQAVLAATGSFFAITVVFACIYLFWKGSKRKSTRSRYTRPVRNPPATALTSVTVDESFSVDPSLKVSMEEVVKATKNFSADLVVGDGGFGFVYKAQFSDGRTVAVKKLDPDAFQGFREFQAEMETLSKLRHPNIVKILGYCVSGADRILIYEFIERGNLDRWLNDSSSCDGDGYDDGAGFRVPLSWKTRIKIVKGVANGLAFLHGLDKPIIHRDIKASNVLLDSEFEAHIADFGLARRMDASRSHVSTQFAGTMGYMPPEYKEGFTGATVRADVFSFGVLMLEVATGKRPNLPTKFDGKEMGLVEWARKMEAQNCQMEMVDPGISKDDLDEANVKEYFRIACHCAGEISRERPPMREVIEMLNQISI >ONH93818 pep chromosome:Prunus_persica_NCBIv2:G8:21759740:21761601:-1 gene:PRUPE_8G254700 transcript:ONH93818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVSLRATVVQQKRRVFEVGEKEMSRSLPFSRLFRQLEQEMETVVKVLQPGPLGIIEHKFSAEEIRKANATVQRAVETWRQNAILEQRNPLLKDYIHK >ONH92767 pep chromosome:Prunus_persica_NCBIv2:G8:18739902:18740123:-1 gene:PRUPE_8G194500 transcript:ONH92767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFILCFLIVSMVALHEGVEAAGTRINPGVLDPCLKPGGPHPGCSGPSGSNGERKTANPYDRGCSKIKQCRG >ONH92696 pep chromosome:Prunus_persica_NCBIv2:G8:18537069:18541496:-1 gene:PRUPE_8G190200 transcript:ONH92696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYVGILVSDPWLQNQFTQVELRSLKSHFVSMKRESGKLTLGDLPAKMSRLKVVGSENLTDQDRASFIQDLHPNLDEDVDFEFFLRVYLKLQAHATATTGSGGKNNSSSAFLKAATTTLLHTISESEKASYVAHINNYLGQDDFLKKYLPIDPSTNDLFEIVKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAVGCTVVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKAGYKKIVTNFSSDVKDAEAYAHLLNVLAPEHSNPSALAAKNPLERAKLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLENLADDTQISREERAFRFWMNSLGNSTYINNVFEDLRNGWALLESLDKISPGIVNWKIANKPPIKMPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDADILEWANTKVSSTRSHSRMNSFKDKSLSDGTFFLELLSAVQPRVVNWSLVTKGVTDDEKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKQPVEDRPSGISDSEGSSQLETISNSTTDDSASESSMEEHGNL >ONH90274 pep chromosome:Prunus_persica_NCBIv2:G8:4542284:4548895:-1 gene:PRUPE_8G044100 transcript:ONH90274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLVIGLRILFAALGCVMVFTLTYTLSTDGLPFRKDLLTPWMAATLVDFYINVVPLAVWISYKESNWISATLWVILLACLGSITTCAYILLQFMKLSTQESQDPMYYVLLRHSNKYDMENKNKLSPVWIARVLFSALGLLMLGTLLYTLLTDGTPFRTELLTPWMTATLIDFYINVVALSVWVAYKESSWITAVLWIIFLICFGSITTCIYIVRQLLGLASQDPIYLVLLKGGSSLRVGCVSKNMDTKNQKKERKMQM >ONH90273 pep chromosome:Prunus_persica_NCBIv2:G8:4541460:4548893:-1 gene:PRUPE_8G044100 transcript:ONH90273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLVIGLRILFAALGCVMVFTLTYTLSTDGLPFRKDLLTPWMAATLVDFYINVVPLAVWISYKESNWISATLWVILLACLGSITTCAYILLQFMKLSTQESQDPMYYVLLRHSNKYDMENKNKLSPVWIARVLFSALGLLMLGTLLYTLLTDGTPFRTELLTPWMTATLIDFYINVVALSVWVAYKESSWITAVLWIIFLICFGSITTCIYIVRQLLGLASQDPIYLVLLKGGSRKQM >ONH90272 pep chromosome:Prunus_persica_NCBIv2:G8:4542242:4548809:-1 gene:PRUPE_8G044100 transcript:ONH90272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLVIGLRILFAALGCVMVFTLTYTLSTDGLPFRKDLLTPWMAATLVDFYINVVPLAVWISYKESNWISATLWVILLACLGSITTCAYILLQFMKLSTQESQDPMYYVLLRHSNKYDMENKNKLSPVWIARVLFSALGLLMLGTLLYTLLTDGTPFRTELLTPWMTATLIDFYINVVALSVWVAYKESSWITAVLWIIFLICFGSITTCIYIVRQLLGLASQDPIYLVLLKGGSRAESKCEGVLI >ONH91218 pep chromosome:Prunus_persica_NCBIv2:G8:13107301:13108495:-1 gene:PRUPE_8G099600 transcript:ONH91218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCAILALFSLLLFAKTIDSRRDVGKYWKNVMKEQPMPQAIEGLLIDISDSTPKEKADCHEKVKKPFVEVEVEVEEFEPRPNVSSYNDDETKAELSSKDNAGPKAKQSFAAKEDKQPFEEDFEPRPNVSVYKD >ONH92209 pep chromosome:Prunus_persica_NCBIv2:G8:17016924:17018459:1 gene:PRUPE_8G162800 transcript:ONH92209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSSTSTLYEVLGLTMGASGHEIKAAYRRLARSCHPDVVATNQKQTSANEFMKIHAAYAILSDPDKRANYDRDFYRCPQPFRSSSYSSASMAAAASAMAGSSRRTYRNWETDQCW >ONH92435 pep chromosome:Prunus_persica_NCBIv2:G8:17792102:17793199:1 gene:PRUPE_8G175200 transcript:ONH92435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASALNPKSNYHIRSISLPSKPHPLFQQCEDHLLRIAASDASSSSSYSSSSISQKLSGLLDLHNCLNELFQLPLTQEAFVREQNEKWVDELLDGSLRLLDVCTAAKDALIHTKECAREIQSIMRRRRGGKSGFTNEVRKYLASRKVVKKAVCKALGTLRTSQKKSTFSSTNKDNVTVALIGVLREVEAVSLTVFESLLSFISGAKSASKMRGWSFVSKLMLTKKVGCEEDKTEINEFADVDAALSSLVCQETSNSDSMVDSENVQSELQQLEMCSQDLEEGLECLFRRLIKNRVSLLNTLSN >ONH89779 pep chromosome:Prunus_persica_NCBIv2:G8:1488441:1489649:1 gene:PRUPE_8G015900 transcript:ONH89779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYEPLGFLLQSCKPRASTSPNKKGPIIWYEKCLFKNLNTNFLQRSDTYNAMYAMNTNFIYDNPNLFYQKVHYLLRKLGLSISDTIYVVAQCTGDLSSIHCKTCLDVAVTELLNKIRGRIGGGAYYGNCYLIFELFSFILG >ONH93339 pep chromosome:Prunus_persica_NCBIv2:G8:20349951:20352318:-1 gene:PRUPE_8G227000 transcript:ONH93339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCMSTPSKTIKSQKKHCQRLQWHHSQYDSNVICQEGAWLDSVSILESDSDDDFISIHGGIGHQPVTTYYRMKALRSCLISKHGFSLASNPVGSISSGQVLQYERSARFVDNGCKYEEYQSYMKIDGGKSDKITGKDERRESNWFSLISTQGYELSCLGKADEVCSKRKNILDHFYGSFKGLIEDGRQSKRFLYRPRPGYIIPCCRVEKPTSGSWSIILPSTFKFCGENYFNPYTPIVVDVFVCPKKIHHIAQHLELPKVKANGKMFIVNIQLPAYPAAMFLGDSDGEGMSLVMYFKVSENFDKDISPQFQDSIKDSTVPFRERLKILAGVVNPEDLGRSSARKKLVHAYNDKPVLSRPQHNFNKGPNYFEIDLDIHRFSYISRKGLKF >ONH90848 pep chromosome:Prunus_persica_NCBIv2:G8:11143398:11148272:1 gene:PRUPE_8G077200 transcript:ONH90848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAANIVLSPALQVIFDRLASPVLQKAADFLGFDDNFRGLQHAVERAQATLEDAEDQQFTSRAVRLWLSKLKNAAYDAEDYLHYLTAKRMRNGSDYDDMIGIPPVKNHFQLGDLMHATQTGAVLRALEATINEGLSEFNFKAPSMEDGQTTIRETSSFVIESEIYGREDDKEKLVKLLIFSEACQEGYATCISIFGIGGIGKTTLAQLGYNDERVIHHFDVRMWIFVSDDFNVKKIMKAIIESATKDECKLSEIDLLQSRIWNLLHNKRYLIVLDDIWTENQDDWDKLRPLFRGGVDGCKIIVTTRNTKTAVMTDSPNSPFYLKGLAEDDCWALFKQRAFGRTEEEKYPWLLSIGKQIVKKCGGVPLAVKSLGSLMRFKREKQQWLFMQNSDLWKLDACQNKVLPALMLSYIHLPSHLKQCFAFCSIFPRNYEFKKQKLIYLWMAEGLILQGGSKRPEDIGEDYFADLLWMSFFQEVELCEGVSITGYKMNDVIHDLARYVAGKEYVILEQGAPPNGPAQIRHSSVVYTYGEITIPEALYEEKHLRTLLLIGESGSLRSIGKMFSTFVYLRSLDLSSCTVYNLPESLGIMICLRFLDVSYTPIHLLPESTSKLCALQTLNLFGCHNLRSLPFLGGMTDLRHLNITGCQSLDGMCSGIVKLHQLQTLPLFVVSWEIVHALSASKINLDMSLRALQHLNLYGKLNIIQLGRVRNASIAHYAGLNTKENLELLGLYWGLYQGFEGLDDSFTKLHKAQHKLDISGSNIGPEQHESDCRVAEEILEGLQPHNNLKILVIHGYPGIKFPRWALPNIVSCHLAYCRNCEHLPALGSLLLLKTVSLHRMDAVRCIGTEFYGDGADIRFPSLEELSISDFANLEEWSSANDGNAFPRLKKLTVKSCPKLAHITLCQSLQHLELRDCNPTSMSTANLTLLSVLVIEKIPELSCLPEGFLASAHLSSLEILCCPKLHLLPSEMGNLTSLKSLTIRCCEQLSSLPQTLQNLKSLHSLEISGCHSIMSMPDGGIGSLCSLRTLFIESCSNLISLSSSLEHLTCLEHLSIMNCPYLGSFPEGVQHLSSLRSLTILSCPWFDALPNGLQNVPTLHCLETISCPNLTALPEWFGNLASLRSLTISDCPKLKVLPPGQKFLKKLQHLSIQECPELEQRCRPGNGEDWMKIAHVPHKYIGSPQVSQSGEASTSGSSSVQTAYQ >ONH92154 pep chromosome:Prunus_persica_NCBIv2:G8:16826373:16828288:1 gene:PRUPE_8G158700 transcript:ONH92154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSFLPRVLLMVFGYAYPAYECYKTLDHTPEIGRLLFWCRYWVPIYNEAKFALFIYLWYWREERTDHLYNRFRELVVPREAKIDRIRDKARNLANQSCQEAMNCGRKGVCAILNYVSSHQSAPQPHSD >ONH92153 pep chromosome:Prunus_persica_NCBIv2:G8:16826373:16828288:1 gene:PRUPE_8G158700 transcript:ONH92153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSFLPRVLLMVFGYAYPAYECYKTLDHTPEIGRLLFWCRYWIVVAMLTVLERFGDALFSWVPIYNEAKFALFIYLWYWREERTDHLYNRFRELVVPREAKIDRIRDKARNLANQSCQEAMNCGRKGVCAILNYVSSHQSAPQPHSD >ONH89672 pep chromosome:Prunus_persica_NCBIv2:G8:640865:643903:1 gene:PRUPE_8G008500 transcript:ONH89672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATDLLIGKVAGILESEVCSIVGVRDQVDEIKQVLISMKSFLKDAEGKKPQTEGEETWVARVRDLTYDVEDIIDEFMYHMHEQQSGVRFASLLRRTICFPNHWNRGRFARWLHKTIHIPKYRGRFASCLHKAIHIPKKLWYRRQIGKKLQKITKTIKDITERNQRYDIDPLEGTSSDDIKKWVKNQAESSLFIKEDELVGIEDKKQILMGWLMNGEEQQPVISVVGMGGSGKTTLVAETFTSESVKRHFSCYAWITVSQSYVIEDLFRSLIKEVHQATKEEVPAAADLNSMSYRELLHILVTYLESRRYLVVLDDVWDIKLLKEMRIALPNRQLGSRIMLTTRKEDIAFYSFGVESHVHRIQPLEKNEAWELFSKKAFSTYHKKRCPPELESSAWELLGKCKGLPLAIVALGGLC >ONH93474 pep chromosome:Prunus_persica_NCBIv2:G8:20761086:20762158:-1 gene:PRUPE_8G234300 transcript:ONH93474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFIFSLIFVSIYKDWFFKYWISLGKFMQYFINLYFLFLLFLFYALPLAVDSFSFCSFSQS >ONH93060 pep chromosome:Prunus_persica_NCBIv2:G8:19490102:19492063:-1 gene:PRUPE_8G210100 transcript:ONH93060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVANGPFHILKPPNHPGYKLSSGIRTTLWSFSCTNAPFLTLQTSKHKSSTIICFATDKQTSSTEISSTARIRSEVLTPFRSVRMFFYLAFIASGGLGGLIATTQLIAALTNPSRALDAPEIAKGLGIDLGAVSLFAFLYLRENKAKNAQIARLSREENLSNLKLRVDEKKVIPLSSLRGIARLVICAGPASVITEAFNQSEPYTERLVERGVLAVPFPTDGNLPSFEFEESEETKEITAKRKRLWQLTPIYVPEWTKWLDEQKKLAGVSSDSPVYISLRLDGRVRGSGIGYPPWNAFVAQLPPVKGMWSGLLDGFDGRV >ONH90253 pep chromosome:Prunus_persica_NCBIv2:G8:4423382:4424315:-1 gene:PRUPE_8G042500 transcript:ONH90253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPEPPTGVQVGWGILACFCGLIGLCGYCLTCCCCCCCCADKAKLKAELEKVEEQIEAEKLANGKQQDEANEVPKDDEAPKINEISKGTDESHT >ONH89669 pep chromosome:Prunus_persica_NCBIv2:G8:624358:629179:1 gene:PRUPE_8G008200 transcript:ONH89669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSDGLAKARPYFLDYELAQPGNGNVREDKYTQVTAARDAQSIQTMDFLQLTSISSAFSTCLFSFPLYIIIALATSIGAYFIIHSRDSKNWKDSPPGPVGWPILGSLPHFLNNRLHEDLFHLSRIHGPLFSLKLGLKPVVVIASPEMACKTLKQQEAVFSSRTVTEAIRVITYDTASIVYAPMDSARWRVIRKILMEKLFSAKAFEAFEPLRKQQVHGLLKELYSTSMSRNSVNIAEWAFVASGNIVSNTVCSKNLFENTKKGGRELKHTFWQLMQILGSVNVADLIPVLKPFDPQGLKRRILKIFRRLDAFYENIIKERLEERKIGIGNIGKQNLDLLDVLLDYRSDRDDELKSLSRKNVKGMLAEMFVAGTETTSSTFEWGMAEILRKPDAYKKIVMELDQVVGKDRFVEESDISNLPYLQAAVKEVFRLHPAVPLLVPRSTNEACEVSGYHIPKGCIVLVNVWGMARDPGVWEDPCEFKPERFLGSSIDVKGHDFNLIPFGSGKRSCIGLPLGHRMVHFYLAALLHAFEWECPAEIVDNVEERVGLTIRKGKTLIGIPKPRLSNSVYLQ >ONH93842 pep chromosome:Prunus_persica_NCBIv2:G8:21856079:21857115:-1 gene:PRUPE_8G256100 transcript:ONH93842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDVILESATDHQGSQDHDHQQVSDQSQELKAKKLSWQKLRRYMTPWTSSHAVSLLTIALPPRSSFSFLILVIHFRIVEYKELNVFRCIARYGYMDVRNEPFEELLVENLKAFIKDKLLISRTTMHSTSGEKFDIKEGESDDGFVKDENGNQDRQQDLLDREIEAIDKAWSWGVVHLIAENEVTAAKGAGIIKRILIDYAYNFLKRNLRQSEKVFDIPHKHMLKVGMTYEL >ONH91438 pep chromosome:Prunus_persica_NCBIv2:G8:14235100:14235889:1 gene:PRUPE_8G114500 transcript:ONH91438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIALSLGLPEDRFNSYFKDQTSFIRLNHYPPCPSPRLALGVGRHKDGGALTVLAQDDVGGLEVKRKTDGEWIRVKPTPNAYIINVGDVIQVPLFILTHYTQVKPLEELTDERNPAKYKPYSWGKFITHRKLSNFKKLNTENIQIYHFRVSE >ONH90620 pep chromosome:Prunus_persica_NCBIv2:G8:9563752:9564287:1 gene:PRUPE_8G064600 transcript:ONH90620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAISSTLDSEWPPSHVLHLILEKLTSTSDNIRFGTVCKHWRSVALDQKPRPLKSCHKQLPIYVDYHRRPRQPRRHRN >ONH92812 pep chromosome:Prunus_persica_NCBIv2:G8:18853411:18855562:1 gene:PRUPE_8G197300 transcript:ONH92812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSHPLAIAGLLVLVLYLWRVRIQSHKIKGMLAPQPSGALPIIGHLHKLRVPVFKTLAAMADKYGPIFTIWLGKHPVLVISNYDAVKQCFTKNDTVFATRPRSSHGKYLGYNYAGFGFSPYGPYWRDMRKMVMVELLSIRRLQNLKHVQISEVDAFIKGLFLLCKTEGLNGLNPTKVVISDWIEHLTLNVITRMIAGKRYFDSSDEGDEQRFGKIVKEFMYVSGNPVAADVIGFPSWIDFKGQVKAMKRIMKELDSVMGSWVEEHYAKKETNSRDEHDFIDVMMSVIEDNSTLGYTRETIIKATSMNLILAGSETTAINLIWILSLLLNNRHALKLAQEELDLKVGRDRWIEDTDIENLPYLQAIVKETLRLYPPGPLSVPHEAMQDCQVCGFHIPKGTRLFVNLWKLHRDPCLWPDPEVFCPERFLTTHVGIDASGKHFEFIPFGSGRRSCPGATFALQVTHLTLGRLLQGFELATPMDMPVDLTEGLGITMPKATSLEVILTPRLSFEFYEDR >ONH91496 pep chromosome:Prunus_persica_NCBIv2:G8:14611876:14612199:-1 gene:PRUPE_8G119000 transcript:ONH91496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIVKVLRKTDVSQRLGVTTEMLNELPPYNREHDVPVRVLDDTGMIYEFKLSVRAEGALKPVFQYQEWRAFVKRRNIKAGDRIYFWEEASVAHQTEYRIALLRTFV >ONH92243 pep chromosome:Prunus_persica_NCBIv2:G8:17181042:17182477:1 gene:PRUPE_8G165100 transcript:ONH92243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLKNLAFYLNSYSKPGSFIFETASMASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIIGPNDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDKVKYESTARSWTQKYAMG >ONH91035 pep chromosome:Prunus_persica_NCBIv2:G8:12289682:12296929:-1 gene:PRUPE_8G089700 transcript:ONH91035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNKSKDGGKTRSESEHSASTVFVSNLPYSFTNSQLEETFSDVGPIRRCFLVTQKGSTEHRGFGYVQFAVTEDANRAIELKNGLSIGGRKIAAKHAMHRASLEQRRSKTNQGLDLDETLKSKNDKDGENFKAEKDASSLQERVVALDKNTEKPVKARKAAALCNDAVAKVGGSEKQRVARTVIFGGLVNAGMAEEVHRRAREIDAECSITYPLPKEKLEQHGLMQDGCKMDASSVLYNSVKSAHASVATLHQKEIKGGIVWARQLGGEGAKTRKWKLIVRNIPFKAKENEIKEIFSSAGFVWDVIIPHNSDTGLPKGFAFVQFTRKQDAENAIKKLNGQMLLKRPIAVDWALSKQIYGSVTGKNALLASEDALIFTGQKDGSDGENDSSSEDLEGDAGHFGKKSEHDDGIDSDPDNSNTIEKKDIPTEINFEEEVDIARKVLKNLITPSATETPHDDLALPQSDKEPSIFESPEEPSKSSFETAKASDVTEPEKLSKSVAPNLQQTDEEDDLHRTIFISNLPFDINNEDVKQRFSTFGELQSFFPVLHPVTKRPKGTGFLKFKTKDAASSAVSAGNAASGPGISLKGRQLTVLQALDKKSAHDKESNMAKKEDLDRRNLYLAKEGLILEGTPAAEGCGLVKDI >ONH90444 pep chromosome:Prunus_persica_NCBIv2:G8:6207763:6210397:1 gene:PRUPE_8G054400 transcript:ONH90444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLLHYLFLLCFNILLPAVHNQCIKNQQQSLLYLKKSLQFDLPSRLMSWNSSTDCCSWGGVTCTSNGHVVGLDLSLETISGPIPGSFTNFPNLRELDLSSNYISYIPGSFANLSNLRVLDLSWNPISDPIPGFFANFSKLTSLSLSGCQLNGTFPKEIFQVPTLQIIDLSGNFKLGGSLPEFPKNNGSLQRLILRQTNFSGSLPESIGNLKMLFRIDLFKCNFTGSIPSSLFSLPLLSEINLSYNQFSGELTFSNVSSNLVNLDLSFNNLEGQISVSIFNFQFLESLQLSSNNFNTFPFNGPQQLKYLTNIDLSNNSLLSLYNGTDSSYSSFPQIVSLNLAANNLTTIPYFLRNQSTLSSLNLSENHIQGKIPHWIWSSNQLDSLNLSCNSLVTLEPPLYNSTVKIVDLHSNQLQGQIPTFLPFAKYLDYSRNNFSSIPSNIGDFLTDTLFFSLSSNNLHGLIPASICNAPNIQILDMSNNSLSGMIPQCLTAIRDISVLNLARNNLIGTISNVEVSKDSSLEILEIGRNRFGGQIASHVLRSNNFYGGIECLNTNGTWPRLQIIDLAHNNFRGEIQGILWRTWHTMMVTEEGSQLTINGHELRRISINPLDRNSDRLVEVSLGFEYGISITVTNKGSEMNMVKILCIFTLIDFSCNNFSGPIPKEMGEFKLLYALNLSKNAFTGEIPSSFGNMSALECLDLSQNKLSGYIPPQLGKLTFLSFLNLSNNQLVGRIPTSTQFSTFPKASFTGNKGLWGPPLTVDNKAGLSPPPTVNRRPPNSGHHHEVNWDLISVELGFTFGCGVAIGSLVLCKRWSKWYYRAMCSILLKIFPQLEERIGIHQRHVHINQRWWRR >ONH90796 pep chromosome:Prunus_persica_NCBIv2:G8:10894436:10895574:1 gene:PRUPE_8G074700 transcript:ONH90796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVYEEYDSQGEEEKDGLNRKMVLVSKSLMVQIKYRIDDLPEWLLQNKLERPCRLI >ONH91587 pep chromosome:Prunus_persica_NCBIv2:G8:15001532:15003045:1 gene:PRUPE_8G124900 transcript:ONH91587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKGEARSRNKPNTNTRLRKKKIVETKAMASTRPYNYLPGLIIYHILQLLPTKLAAQASFVSKQWAEAWSSIPVFDFKEGDPYNHDGKFKLRMRYQGDAKVLDAWLRSAVERSVKELDISLRNVPTVKPYCLPQTLFDAKLLTTLSLEDVRIEDNDDQPISLPSLKSMSFKMVDFEGMALSNLISGCPWIEHLSLNLCDLGSWTWKFKISSPTLKSFEIFDCYSRHIEVEAKNLESFKFDSDFELLESMALFDCTNMKCINIFSQHLQHLILYALCQNSVEATINTPNLHSFDFSGYLMANVSMAPLKFLSDATLILLDHIRGPTFSLPLNHFSTLRDYLEHFDCSKKLELYIHDAEGVMFPEDFREAFPSPLPNLKHLNIVMNSAVEGIQSELMESLHWMAPSVENPSIESLSRTNSSSTITGK >ONH90332 pep chromosome:Prunus_persica_NCBIv2:G8:4970081:4973263:-1 gene:PRUPE_8G047000 transcript:ONH90332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLAFMSIFLLQTEVLLGLVQGNVHYHEFILKDTNFTKLCSTKSALTVNESFPGPIIRAQKGDTVYVNVYNEGRYGVTLHWHGIKQPRNPWFDGPEYITQCPIQPGTNFTYQILLSSEEGTLFWHAHSDWSRATVHGAFVILPANGTSYPFPKPDGEQVILFASWYKEDVMTLLDETLKSGGLTTSSDSYTINGEPGDFYLCSNETTYRMSVDYGKTYLLRIVNSVQNVDMFFAIADHNLTVVGADGAYVKPIVTSYIMITPGQTMDVLVTAKQSLGLYYMLASPYYDGEADDFDKSMASAIFQYNGNYTPPSSPIYPTYIPGYYDIDSARKFVTQFRSLASAEHPVDVPLNVTTRMFITISIGMLHCPNNSCAGPEGNRIASGLNNISFADPAVDVLQAYYRNISGYYDASFPDEPPNLFNFTAEDLTTDNYTITSRATRVKMLDYNATVEIIFQGTNIMDSGENHPVHLHGFRFYVIGSGLGNFNNVTDPLTYNLVDPPEVNTFPVPKDGWATIRFIANNPGVWFMHCHFDRHMSWGMDTVFIVKNGGTNETSIRPPPDYLPACSKNSLFGADQSMLQMGE >ONH90479 pep chromosome:Prunus_persica_NCBIv2:G8:6558158:6564886:1 gene:PRUPE_8G056400 transcript:ONH90479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAILLADLNLVSMIRKEREKRDIERQKEWLASGEEERRFLLRLVLGMGGCQSFGRKSKALDNQTARHANPTFHGCTCSLVEIGHAPPQSMLRRSLTFSSFNSHKHLNKSVLLSGWRGRPYAGNANAAAAEADAEAEAEAEAVAEAPKRNVRRVKGKRLSKAERQAMLEPFVNKYRAMNAGAFPTVSCAQKELGGSYYVVKGILQELQYKAKMSPWSPVSADMPKQEEVREIESLAQVEENSNSQVSVHASTETDSEVTYDADLPGQEGVGEMESLTQCEENSISEVSADAGVQNDSEETYDTDLPGQEEVGEIESLTGVEDNSTYGVSADASGRHLEANEEAQASSSVEILSEEVITRGSDSDLVATQSNFLKVDSVESSYKDPDELSNSKEEAKQNLSGSISKECQLSEERFEVVSYPFGKSENSERAEAEADKQDFVAKEKDLPIGEANRASLPSFGDIQDMKKQEHALEDLPDYDDLKCKREKYEEIPLPDKLPKDLPGRQADDAEPSSKSTLWGNMKSFANGIISIFRKL >ONH90434 pep chromosome:Prunus_persica_NCBIv2:G8:5964102:5965207:1 gene:PRUPE_8G053400 transcript:ONH90434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYTTFKIFLLTALLALTMPFLATSARPLNPNLIRLNLNLAARLNLNEESSNCWDSFCCEAIRAIEHQCWPVLLGTLGFTAEEADVLKGYCDEADYIKSPPSNPPSPPSSHDPTNTQNTKYSS >ONH91638 pep chromosome:Prunus_persica_NCBIv2:G8:15105089:15109687:1 gene:PRUPE_8G126800 transcript:ONH91638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGILTRRVAASASASWKSSLSSFQIVQTRRDYSLGVLPDGADRNSEAFSRNSKAMDLLISDLQSHIEKVLGGGGPVAVKRNRSRNKLLPRERIDRLLDPGASFLELSQLAGHELYEESLPSAGIITGIGPVHGRLCMFVANDPTVKGGTYYPITVKKHLRAQEIANQCKLPCVYLVDSGGAFLPKQAEVFPDRENFGRIFYNQALMSAEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGASVHCKTSGVSDYFAQDELHGLALGRNIIKNLHMAARGLKSGLQNISSEYQEPLYDVKELRSIAPTDHKQQFDIRAVIARIVDGSEFDEFKKLYGTTLVTGFGRIFGQPVGIIGNNGILFNESAQKGAHFIELCTQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKMVMAVSCAKVPKVTIIVGGSFGAGNYAMCGRAYSPDFMYLWPNARISVMGGAQAAGVLAQIERGNKKKQGIEWDKEEEEKFKAKVVEAYEREGNSYYSTARLWDDGIIDPADTRKVIGLSISASMNRDPEETKYGVFRM >ONH94099 pep chromosome:Prunus_persica_NCBIv2:G8:22440258:22442446:-1 gene:PRUPE_8G270400 transcript:ONH94099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLTRFLAAVAFLAIGVIFSPETFGSKSDGLNSPTLSTYLKLAHLLTFSTAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGICCAVSVASFGYLHPWNSSSVAEKYQLGFLLSSLAFNLTNLFVFTPMTVEMMKQRHKVEREQNIGEEVGWSKNVQVAKVNPKLAAMNKKFGMIHGLSSLANIMAFGSLAMHSWYLASKLNL >ONH89902 pep chromosome:Prunus_persica_NCBIv2:G8:2056526:2060579:-1 gene:PRUPE_8G022800 transcript:ONH89902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSTQRASEQSTRRWKHDVFLSFRGEDTRKGFISHLYHELDYWQAIKTFKDNRDLERGTSISPELLRAIEESQLAIIVLSSNYASSTWCLDELTKVVECMEARDTILPIFYGVDPSQVRNQTGSFAEAFTEHKEKLITKKKVEQWKADLTKVANLCGWDSKNFKCERELIEDIVKCVWRKVHPSLTLSNYPDKLVGMNSGLERLGVLLATDADDVRFIGITGMGGIGKTTIAKLVFEGISHHFEVSSFLANVREVYAKHRTLVDLQKQLLFQILKEEIKQVWDELWGTFFTKKCLHNKKVLLILDDVDQLDQLEILVGKKDWFGMGSRIIITTRNERLLVEHGIDISYKVEGLSDDESLELFSLNAFRKDKPEEGFLELSKRFLNYAKGLPLALKVLGCSLYNEGQDVWISALDQIEKCLDSKIFDTLKISYDGLNKMEKMIFLDVACFHKGKGKEQVIQILDHTRNISSRKGIHVLVEKSMLTIEKFCDPLSIDIVEMHDLMQEMAWEIVGQESKEPGERSRLWLHNDISHVFRNNTGTGAIEAIVLRLLKLEEVNWNCEAFSNMHGLRFIEFDNLIFSSCPNFLPHSLRSIHWSWYPSKFLPPSFQLNSLTELSLHHGKLVRLWDGTKDFPNLKYMDVSYSDKLTSTPDFTGLPKLEKLNLEGCRNLVEIHPSIAVLKRLRTLDFSNCKSIKNLPSEVKMDSLEYFSLRGCSKVKKIPQFARQMTKLSMLFLDGTAIEEIPSSIECLVGLIVLDLCDCKSLLGLPSAICNLKSLDTLCISGCSKLDKLPGEMEALEYLDLAGTVLKEPLVMMKNLKLLSLRGSIAKPRRWSGLAGLFGIRKSPEPCPQPWGLVLSSLNCLCSLLELDLSDCDLSEGDIPDDIGCLSSLRELYLRGNNFVSLPASIRCLSQLWCFNLERCKRLQLLPDLPSNNELHVNVNDCTSLKRLPYPSKLSSRFANLYDFTFSAVNCFRLVEDEGWSARIISTIMKLATKGMYPDLYDKYIVFPTSEIPEWFNVQSQGHSLNVELPPESCTSCWLGIAFCVAFADHQENLGNSTFLDDFDCFRIQCSSGPCWTFEKIGHILSPHILVFYLPRDYCWEQFSFEMYYGTSRNLNIFLTCLNNVNKCGARLVYEQDLEEEQLNWTLKMLKRTVQEYGCDEAGPSGGSGSFDDSIEPRCKRIKQD >ONH89758 pep chromosome:Prunus_persica_NCBIv2:G8:1180595:1181940:-1 gene:PRUPE_8G014500 transcript:ONH89758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANEVSLASTSSNDVVHQWSLVWWKSPEMERFFLSKGNKPGFVNLHSTISKLPRCVHSYQVRSRPFV >ONH91524 pep chromosome:Prunus_persica_NCBIv2:G8:14737329:14738250:1 gene:PRUPE_8G120900 transcript:ONH91524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPINNEVLHEFRFFRVYKDGRIQKFYDTQKTPPSNDLITGVQSKDVIIQPEPAVSARIFLPKIHDPTQKLPVLFFLHGGAFCIESAFSPIYHNHVSRLAAEAHAIAVSVEYGLFPERPVPACYEDSWAALQWVAAHATVDGPESWLNEYADFDRIFIGGDSGGANLSHNLAVRVGSSRLPGVKIAGVVLVHPFFGGLEEDDQMFLYTYPENGGLQDPKLRPPAEDFTRLPCGRVLIFFAADDHLRKAGQMYYDDLKKSEWGGSVEVVEHEGECHVFHLFKPECEKTGEMVKKFGSFINQNKK >ONH90499 pep chromosome:Prunus_persica_NCBIv2:G8:7037268:7041836:-1 gene:PRUPE_8G057300 transcript:ONH90499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSLRNLSKSRASTNYHYVFSLLNANGFVSSGPCSPSSFCFGPSAAFSTTSTACLIQAKDVVLSFKEWFKSRNDALFDHIFQILKTTGDDNTVLDLENSNDLSFHYHHRSSADLALAHLNLCLSETFVLEVLRYGSSGHDVLSCLKFFDWVGRQPGFNHTRATFHAIFKILSRAKLMSLMLDFLSTYSKQRYAHRVRFRDTLVMGYAVAGKPDIALQLFGKMRFQGLDLDVFAYHVLLNALVEENCFDAVQVIAKQISLRGFENEITHSVMLKCYCKQNLLDDAEKYLRKLLSDGRAVNGHAVSVLVDALCKNNKFEQAGKLVEEFQGAGVELMESVYGVWIRDLVQAGRLDGALEFLHDKRSLEGYVPDTFRYNTLICRLLREDRLEEVCDLLMEMKDGQICPDKVTMNAALCFFCKAGMVDVALELYNSKSEFGLSPNSLAYNYLINTFCGDGSVDEAYEVLKNSIKQDYFPGRKTFSILADALCREGKLDKMKELVIFALERNFMPSGSTYDKFITTLCRTKRVEDGYLIHGELNRLNKVARKSTYFNLIRGFNESSRGDIAARLLIEMQERGHSPTRNLFRDVICCLCATENPDKQFFNLLELQLCCREPSCQIYNFFIYGAGHAKRPDLARQVYETMQRSGIEPNLRSDVLMLQSYLRSERISDALNFFNDLHQRREMGRRLYSTMIVGLCKVKRVDIALDFLKEMREKGVVPSDDCYEFLIQLSCWNQGYHIAVNLINDLEKVGRHITSFTGNILLLHSLKSQELYDAWVQLRQVPNEKSDSSMLGLLIGAFSGRVRLSQDIENLEEVIEKCFPLDVYTYNLLLRSLSETNMDRACALFYKICQKGYEPNRWTYDTLVQGFLKHGRTSEARRWLEVMYRKGFHPTERTKLFI >ONH90500 pep chromosome:Prunus_persica_NCBIv2:G8:7037868:7041820:-1 gene:PRUPE_8G057300 transcript:ONH90500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSLRNLSKSRASTNYHYVFSLLNANGFVSSGPCSPSSFCFGPSAAFSTTSTACLIQAKDVVLSFKEWFKSRNDALFDHIFQILKTTGDDNTVLDLENSNDLSFHYHHRSSADLALAHLNLCLSETFVLEVLRYGSSGHDVLSCLKFFDWVGRQPGFNHTRATFHAIFKILSRAKLMSLMLDFLSTYSKQRYAHRVRFRDTLVMGYAVAGKPDIALQLFGKMRFQGLDLDVFAYHVLLNALVEENCFDAVQVIAKQISLRGFENEITHSVMLKCYCKQNLLDDAEKYLRKLLSDGRAVNGHAVSVLVDALCKNNKFEQAGKLVEEFQGAGVELMESVYGVWIRDLVQAGRLDGALEFLHDKRSLEGYVPDTFRYNTLICRLLREDRLEEVCDLLMEMKDGQICPDKVTMNAALCFFCKAGMVDVALELYNSKSEFGLSPNSLAYNYLINTFCGDGSVDEAYEVLKNSIKQDYFPGRKTFSILADALCREGKLDKMKELVIFALERNFMPSGSTYDKFITTLCRTKRVEDGYLIHGELNRLNKVARKSTYFNLIRGFNESSRGDIAARLLIEMQERGHSPTRNLFRDVICCLCATENPDKQFFNLLELQLCCREPSCQIYNFFIYGAGHAKRPDLARQVYETMQRSGIEPNLRSDVLMLQSYLRSERISDALNFFNDLHQRREMGRRLYSTMIVGLCKVKRVDIALDFLKEMREKGVVPSDDCYEFLIQLSCWNQGYHIAVNLINDLEKVGRHITSFTGNILLLHSLKSQELYDAWVQLRQVPNEKSDSSMLGLLIGAFSGRVRLSQDIENLEEVIEKCFPLDVYTYNLLLRSLSETNMDRACALFYKICQKGYEPNRWTYDTLVQGFLKHGRTSEARRWLEVMYRKGFHPTERTKLFI >ONH90188 pep chromosome:Prunus_persica_NCBIv2:G8:3932494:3934094:-1 gene:PRUPE_8G039400 transcript:ONH90188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKETTPRAFKLYPATDQAAKYVCAAILKDSDYKEVDRAECQFSTTSTVLDNGSQGNPFNPPKTASTGFFDSIESIWSNLWNMLVDFVTGKTSRKCPRFFDSRCHIQYICMSWIVMFGILLAIFPTVVVLLWLLHQKGLFDPLYDWWEDHFWDDNQHTNDIRKHRSEVDRSRTHVHKNHGHEARNHKHGSHHKRQNFHNDHTHNQFERGSDYYYQLHHVHKDKHKHGRRKHSSVMQKVDENTGYSQKQERRLTKEYS >ONH91685 pep chromosome:Prunus_persica_NCBIv2:G8:15297221:15298868:1 gene:PRUPE_8G129800 transcript:ONH91685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENREFHIFLLPFMAYGHMIPVSDMAKLFAAQGVKTTIITTPLNAPTFSKATRSSKTNSGRIEVQIKTIKFPSQEAGLPEGCENLDSLPTPEFANNFSKALGLLQEPLERLLLEDQPSCLVADMFFPWATDAAAKFGIPRLLFHGTSFFTLAASDCVRRYQPFKNMSSDSEPFVIPNLPGEIKMTRAQVPDFLKENIENDFTQLMKQAHDSEVGSYGTVVNSFYELEPVYADYYRKLLGRKAWHIGPLSLCNRDNEEKSYRGKEVSIDEHECLKWLNSKKPNSVVYVCFGSMARFSNSQLKEIAAGLEATRLEFIWVVRRGKNDDDVGKEDWLPEGFEERMEGKGLIIRGWAPQVLILDHGAVGGFVTHCGWNSTLEGIAAGLPMVTWPLSAEQFYNDKLVTQVLKIGVGVGDQKWVRVEGDSVKREAIEKAVTQIMVGEEAEEMRSRSKGLAEQARGVIEKGGSSHFDLNALIEELSSHR >ONH92627 pep chromosome:Prunus_persica_NCBIv2:G8:18325848:18326687:-1 gene:PRUPE_8G185000 transcript:ONH92627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSSTARVGNLPPIAIKLDGTNYPLWLAQVVRHLKGRNFMGYVDGTKPCPAYFLTDDQGKSTFNVDPAFDAWIHVDRTIQNWINALLTPSVFSTVADSASSRTTWVSLERHYASPIDTRVLQLCGELLHAARADYVSTAAYLNKINSIADNLRLAGSPIADRDLVIIVMNAFPTYEFSTTAITFDYLKKVLLNLEKHLTQPGVPVYSLEPATAPIPASPYRGSGVDPGGSSSSQTVGPSSTTNANVNGNSASPSNSASRPSPAGCYCCIFSSPKVLT >ONH90662 pep chromosome:Prunus_persica_NCBIv2:G8:9950300:9952471:-1 gene:PRUPE_8G067600 transcript:ONH90662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKANNNSWLFPEQNSAWQLPNLNHMSMLLEPRQQECLPSSTNQGICPFSGHMALPGSTVSGVQGVMAKQTNEGHGVLQHLPPYFQTLFPPPNSYLNEKQSAFSYGFGGRMAVPNANPGSSQKGFFIFDQSGNETKLIYNSDCPPSQDPPFASKRFVYGYGSHEAGLTTSMDQIGSTEYLLHEEIGENHIIEESEMHEDTEEINALLYSDDYDDEDNNDDDGDDNDSDCGEDDEVKSTGHSPIDLQVSYGKKEHVEELTEKVISCDASNKRHKLLNGGYRQLSPMETVINSIQPYGSHGHGNNMESSYGLGQIQGEEIHSTVGKMKSKKETIRETIRVLESVIPGAKGKDSVFIIDKAIEYLKSMKLAAETLGVSFHEEAAFRPC >ONH92857 pep chromosome:Prunus_persica_NCBIv2:G8:18973368:18974801:1 gene:PRUPE_8G200500 transcript:ONH92857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKLVEKVVIAPEKPKQPRRLFLSNIDLGLVVYQETVIFFDPPSNGMSFSEAYHSLFRTLGPLLAEYDFLAGRLVPSLEDSNRFEIECNGAGVVVAAARTDTKLDELGELLVPKKEFRQFVAFLLQEDEEMDLKDMPLVSFQFTQLGCGSLVFASRFNHCAVDGVAVREFEANLAALTRGGNLVIQPNADRIMFKARNPPNISFPHFEYSKATDRTGIFTVRGMSGTNMKLSTTLNPTRLIYLSQDRVASLKKAALKDGRLKSCTSFQVVAAMTWKARSIAVDMPDEKISTILIPVDVRKRVVPPAPPGFAGNALVPAFAHATVKELKEEDDSSLVRKVQEGVERLDDEYVKSGIDWLEVNKGVPCEENSFSLVSWWKLGIEHGEFSWGKVKCATSVLLKPGLVMLLLGPEGKGGLSICLELPDDQMELFCRLMLGE >ONH91923 pep chromosome:Prunus_persica_NCBIv2:G8:16074100:16074793:1 gene:PRUPE_8G144100 transcript:ONH91923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGSHKMEGLIPYLYHAIKKQRPQRRHRSSFTESISTHASYHRLTSGHDVLEASSLRRTPPEVIRSRSFQEEGGASAAPPGHIESSKSSKLDLTS >ONH91566 pep chromosome:Prunus_persica_NCBIv2:G8:14920471:14931351:1 gene:PRUPE_8G123400 transcript:ONH91566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWNIAKSAEAMFSRWAVKRVCKFLLKKKLGQFILGDIDADQLDVQLSEGTIQLSDLALNVDFLNQKFGAAASVIIKEGSIGSLLVRMPWKGKGCEVEVDELELVLIPCAENNSQGSAESCNLDKDGNPVKLDGDMGENTAKSSSRDVHEGVKTIAKMVKWFLTSFHVTIKRLIVAFDPCIEMDGKTSGCRSTLVLRISETECGTCVSEDDTQNADARIENFLGISQLTNFVKFQGAALELLQMDDVDNQTCIPCETESTLAEFFSGCRPPGATTPILIGKRGGFSGNLKLSIPWKNGSLDIRKVDADVSIEPVELRFEPSTIKWLLLAWEKYKNLEKDGSSHKSADSVFLDSASHCISPRSVCSAADKAMPICGSFPTESSSLTLQESMTEGLLPGSHLISDWVPFLLHKNKEDAIEELDFGASVDQFFECFDGIRSSQSALGSSGAWNWTCSVFTAITAASSLASGSLHIPSEQQHVETNLKATLAGISVVFSFQNENQTHFCDTKGAHSAVLYLGAECRDILLVTQVCPQEIRFQGTMEYIEVANYSSYKDDTFEFGFQGCNNNINSQTLSVLHLQADVQNALPLYVSSSEDLDESNALTAEDFPFGYEDGVVRTTLLKTSGVTHCQFTVSSSSSNGSLSGTTSFSLKLPHFVFWVDFSLLNMLFELVKELEKPVEMNNKQAEVPSEASNKNHGSSHGNLRRSSSCVTTLSSTESLRGDILIPSARIILCFRAKGGEDVRGFSSWDQFIALEFSSPSTFNKGIIQEHGPTSDARSDKRFSSTATRSLHLNVGNLDVFLVSPASKDNAGIRSGNMQRQKFTAQNIMSVTDRTGRLSVISMLWQEGYVTGPWIAKKAKNLATFEESRSVSKFVGQDHEFASVSTVKDLQDLNSHTRQEIILSSAFSLHACLPSVSISLSNPQYKGLYSLLDQMINELNVACGSVNVKEKSAVSQTSILVGCDSVEILISLDAKEIVKSSMQSELPGAWHQLKLKVQKLEMLSVSNIGGITGANFFWLAHGEGKLWGSITGIPDQEFLLIACSNSTMKRGDGGGSNALSSRLAGSDIVHLWDPKSFQGSTSITVRCATIVAVGGRLDWTDAICSFFVIPPPEIEQAVDIEKGDVNSPHGSSFVLNLVDVGLSYEPYLKNSMVRTEALDSEPIFSYVKEDEEQVSCLLAASSLNLSNSTTEDSMESEYRIRVQDLGLLLRVMAKPEDDGGIYSVEHLHKIGYVKVAREALVEATLKTNCNNGLLWEVECSKSHVYVETCYDTMSSLFRLAAQLQKLFAPDMEESVVHLQTRWNKVQQEQESRGFNDEASNSGSNSLLPTSQVHTFGAVTESETRSVGLMDEICDDAFHLDKDQTCQYDTSESQICISFDQDLGEARYSSIETPEIFSPGPSFDGSVPVAELENNQTSFLQEGNVLELIEGYCLSELRPLSELSANRQSPHEILKCKTRNVINGDVGAENNGWYGTSVRILENHISEASESSMKEPVEDQLPSIEGTKCNDFGKAIGCVLLKNIDVRWRMLSGSDWHDSRATDQQSVDCSGRDATVCLEFALSGMEFQYDVFPAGGISVSKLSLSIQDFYLYDRSKDAPWKLVLGYYHSKDRPRKSSSKAFKLDLESVRPDPLTPLEEYRLRVALLPMLLHLHQCQLDFLISFFGAKSSSIDQSPGCRQDSDGSKLLPAKSNNLAGPTIEEEAFLPYFQKFDIWPILVRVDYSPSRVDLAALRGGKYVELVNLVPWKGVELQLKHVHAVGIYGWGSVCETIVGEWLEDISQNQIHKILRGLPTIRSLVAVGAGAAKLVSLPIESYRKDKRVLKGMQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYLLTGIPSSAPWSVPHKMKTNVRSNQPKDAQQGIHQAYESLSDGLGKSASALVRNPLKKYQRGAGAGSALATAVRAVPAAAIAPASACASAVHCALLGFRNSLDPERKKESMEKYLGPPQPWEQN >ONH91567 pep chromosome:Prunus_persica_NCBIv2:G8:14920838:14930685:1 gene:PRUPE_8G123400 transcript:ONH91567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWNIAKSAEAMFSRWAVKRVCKFLLKKKLGQFILGDIDADQLDVQLSEGTIQLSDLALNVDFLNQKFGAAASVIIKEGSIGSLLVRMPWKGKGCEVEVDELELVLIPCAENNSQGSAESCNLDKDGNPVKLDGDMGENTAKSSSRDVHEGVKTIAKMVKWFLTSFHVTIKRLIVAFDPCIEMDGKTSGCRSTLVLRISETECGTCVSEDDTQNADARIENFLGISQLTNFVKFQGAALELLQMDDVDNQTCIPCETESTLAEFFSGCRPPGATTPILIGKRGGFSGNLKLSIPWKNGSLDIRKVDADVSIEPVELRFEPSTIKWLLLAWEKYKNLEKDGSSHKSADSVFLDSASHCISPRSVCSAADKAMPICGSFPTESSSLTLQESMTEGLLPGSHLISDWVPFLLHKNKEDAIEELDFGASVDQFFECFDGIRSSQSALGSSGAWNWTCSVFTAITAASSLASGSLHIPSEQQHVETNLKATLAGISVVFSFQNENQTHFCDTKGAHSAVLYLGAECRDILLVTQVCPQEIRFQGTMEYIEVANYSSYKDDTFEFGFQGCNNNINSQTLSVLHLQADVQNALPLYVSSSEDLDESNALTAEDFPFGYEDGVVRTTLLKTSGVTHCQFTVSSSSSNGSLSGTTSFSLKLPHFVFWVDFSLLNMLFELVKELEKPVEMNNKQAEVPSEASNKNHGSSHGNLRRSSSCVTTLSSTESLRGDILIPSARIILCFRAKGGEDVRGFSSWDQFIALEFSSPSTFNKGIIQEHGPTSDARSDKRFSSTATRSLHLNVGNLDVFLVSPASKDNAGIRSGNMQRQKFTAQNIMSVTDRTGRLSVISMLWQEGYVTGPWIAKKAKNLATFEESRSVSKFVGQDHEFASVSTVKDLQDLNSHTRQEIILSSAFSLHACLPSVSISLSNPQYKGLYSLLDQMINELNVACGSVNVKEKSAVSQTSILVGCDSVEILISLDAKEIVKSSMQSELPGAWHQLKLKVQKLEMLSVSNIGGITGANFFWLAHGEGKLWGSITGIPDQEFLLIACSNSTMKRGDGGGSNALSSRLAGSDIVHLWDPKSFQGSTSITVRCATIVAVGGRLDWTDAICSFFVIPPPEIEQAVDIEKGDVNSPHGSSFVLNLVDVGLSYEPYLKNSMVRTEALDSEPIFSYVKEDEEQVSCLLAASSLNLSNSTTEDSMESEYRIRVQDLGLLLRVMAKPEDDGGIYSVEHLHKIGYVKVAREALVEATLKTNCNNGLLWEVECSKSHVYVETCYDTMSSLFRLAAQLQKLFAPDMEESVVHLQTRWNKVQQEQESRGFNDEASNSGSNSLLPTSQVHTFGAVTESETRSVGLMDEICDDAFHLDKDQTCQYDTSESQICISFDQDLGEARYSSIETPEIFSPGPSFDGSVPVAELENNQTSFLQEGNVLELIEGYCLSELRPLSELSANRQSPHEILKCKTRNVINGDVGAENNGWYGTSVRILENHISEASESSMKEPVEDQLPSIEGTKCNDFGKAIGCVLLKNIDVRWRMLSGSDWHDSRATDQQSVDCSGRDATVCLEFALSGMEFQYDVFPAGGISVSKLSLSIQDFYLYDRSKDAPWKLVLGYYHSKDRPRKSSSKAFKLDLESVRPDPLTPLEEYRLRVALLPMLLHLHQCQLDFLISFFGAKSSSIDQSPGCRQDSDGSKLLPAKSNNLAGPTIEEEAFLPYFQKFDIWPILVRVDYSPSRVDLAALRGGKYVELVNLVPWKGVELQLKHVHAVGIYGWGSVCETIVGEWLEDISQNQIHKILRGLPTIRSLVAVGAGAAKLVSLPIESYRKDKRVLKGMQRGTIAFLRSISLEAVGLGVHLAAGAHDILLQAEYLLTGIPSSAPWSVPHKMKTNVRSNQPKDAQQGIHQAYESLSDGLGKSASALVRNPLKKYQRGAGAGSALATAVRAVPAAAIAPASACASAVHCALLGFRNSLDPERKKESMEKYLGPPQPWEQN >ONH90066 pep chromosome:Prunus_persica_NCBIv2:G8:3064906:3076919:1 gene:PRUPE_8G032700 transcript:ONH90066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGVDHPLKDLVEESVSSQEQSSFREQRNQKTNSSRHTSVLEIFQSKEINVGSSPQRGLDHYITAPIGTPKGLLIEDHEIKFSRSMTEKKGLPRHDFKLDRLSEREKKKLIVEMVKIQNDGTVEVDLEKSAPVASELLELQSIEDVPVNLDNMTSSTTKSIPRLKIAILVVGTRGDVQPFLAMAKRFQEFGHHVRLATHANFSAFVKSAGVDFYPLGGDPRVLAGYMARNKGLIPSGPAEISIQRKQLKAIIESLLPACTEPDIETGVPFKAQAIIANPPAYGHAHVAEALGVPLHIFFTMPWTPTYEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKKLKLAPIAYFSTYHGSISHLPTGYMWSPHVVPKPSDWGPLVDVVGYCFLNLGSKHQPQDEFVQWIQKGSKPIYIGFGSMPLEDPKKTTEIILEALKDTGQRGIIDRGWGDLGNFTEASDNVFLLEDCPHDWLFPQCSAVVHHGGAGTTATGLRAGCPTTIVPFFGDQFFWGERIHEKGLGPAPIPISQLSVESLSNAIRFMLEPEVKSRVLEIAKLIENEDGVAAAVDAFHRQLPPVLPMPTSSSEEDELPNPFVWFFLQLEKWCCLPCGL >ONH90067 pep chromosome:Prunus_persica_NCBIv2:G8:3064906:3076919:1 gene:PRUPE_8G032700 transcript:ONH90067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGVDHPLKDLVEESVSSQEQSSFREQRNQKTNSSRHTSVLEIFQSKEINVGSSPQRGLDHYITAPIGTPKGLLIEDHEIKFSRSMTEKKGLPRHDFKLDRLSEREKKKLIVEMVKIQNDGTVEVDLEKSAPVASELLELQSIEDVPVNLDNMTSSTTKSIPRLKIAILVVGTRGDVQPFLAMAKRFQEFGHHVRLATHANFSAFVKSAGVDFYPLGGDPRVLAGYMARNKGLIPSGPAEISIQRKQLKAIIESLLPACTEPDIETGVPFKAQAIIANPPAYGHAHVAEALGVPLHIFFTMPWTPTYEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKKLKLAPIAYFSTYHGSISHLPTGYMWSPHVVPKPSDWGPLVDVVGYCFLNLGSKHQPQDEFVQWIQKGSKPIYIGFGSMPLEDPKKTTEIILEALKDTGQRGIIDRGWGDLGNCSSRWCWNHSYRIKSWVSNNHSAILWRSVLLG >ONH90554 pep chromosome:Prunus_persica_NCBIv2:G8:8709834:8711948:-1 gene:PRUPE_8G061000 transcript:ONH90554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLTHINLFGNTLSGAIPKEVGNLKSMVDLDLSQNQLNGSIPTSFGGLRNLEVLSLHDNQLSGSIPREIENLVKLTLLYLDTNQFSGYLPQNICQGGSLTEFTANNNHFVGPIPKSLKTCTSEKGFDLHIKDFRSVKSMPTKNGRSYESTDVESKDNDFNKNRRFYS >ONH92675 pep chromosome:Prunus_persica_NCBIv2:G8:18476552:18478150:-1 gene:PRUPE_8G188800 transcript:ONH92675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRAEVKRVFQMFDRNGDGKITKKELRDSLENLGIYIPDKDLIQIIEKIDVNGDGFVDIDEFGALYQTIMDERDEEEDMREAFNVFDQNGDGFITVDELRSVLSSLGLKQGRTLEDCKIMIKKVDVDGDGRVNYKEFKQMMKGGGFAALGST >ONH89781 pep chromosome:Prunus_persica_NCBIv2:G8:1499675:1501622:-1 gene:PRUPE_8G016100 transcript:ONH89781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGDEAKSTSCPRGHWRPAEDEKLRQLVEQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFSEEEEERLLAAHRIHGNKWALIARLFPGRTDNAVKNHWHVIMARRQREQSKVCGKRSYQDFCNDHSSNTSSISNNFDVSRKFKSQGELRSSSSIGFDQNSSTKFFEFRNPNKDRTTMFMDSRHTSSSPYNWNFATMSTTSNNAASSSVGLLGRERRDYFNNVISSNNNIPGCSKGSDHQFVHRRNNPTTSSFMYGSYIGSTSAFGLPNYKRVNVPSPFGCLGLGDHINRPEQHGRTFKKELANFCDNASTLAKWRVAATAKFGDHDCQDHESIDHHKETPFIDFLGVGISS >ONH92211 pep chromosome:Prunus_persica_NCBIv2:G8:17036375:17038097:1 gene:PRUPE_8G163000 transcript:ONH92211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERLSGTVKWFNDQKGFGFITPNDGGDDLFVHQSSIRTEGFRTLGDGESVEFQIESDSDGRTKAVDVTGPAEGPVQGSRGGGGGGGGRGRGGGGGGYGFNGGGGRGGGGRGGRGGGGYGGGGYGGGAGGYGGGGGYGGGGGGGACFKCGESGHMARDCDQGGSGGGYGGGGGYSGGGRGGGGGSSGGCYGCGESGHFARECPNRS >ONH91127 pep chromosome:Prunus_persica_NCBIv2:G8:12749526:12752354:1 gene:PRUPE_8G094900 transcript:ONH91127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSEENANIRDHHRRLLAAKYELRRNLYKALCKDPSLPSDLREENRFKLSKLPRNSSFTRVRNRCIFSGRARAVYETFRMSRIVFRTLASKGMLNGIKKASW >ONH91126 pep chromosome:Prunus_persica_NCBIv2:G8:12749518:12752391:1 gene:PRUPE_8G094900 transcript:ONH91126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSEENANIRDHHRRLLAAKYELRRNLYKALCKDPSLPSDLREENRFKLSKLPRNSSFTRVRNRCIFSGRARAVYETFRMSRIVFRTLASKGMLNGIKKASW >ONH92399 pep chromosome:Prunus_persica_NCBIv2:G8:17631917:17633497:1 gene:PRUPE_8G173000 transcript:ONH92399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALFVNQCSISGLKLAPKLTTTPFPSQSLHRLKPTSKPNLTSASVIQNQQSQSLETQKPFPFSDDNEDKPDDESYGEVDKIIGSRALEGGKGMEYLISWKDGHAPSWVPSDFIAKDVVAEYESPWWTAAKKADESALSQLIAAEDDWRDIDAVDGDGRTALIFVSGLGSEQCVRVLVDAGADLNHRDNGGGLTALHMAAGYVRPGVVKLLVELGADPEVEDDRGRKPLDLAKEVLKTTPQGNPMHFARRLGLESVIRELEGAIFEYAEVQELLEKRGKGDRVEYLVKWKDGGDNEWVNAKLIDEDLVRDFEAGLEYAVAEGVVGKRVGDEGTMEYLVKWTDIDEATWEPEENVDPDLIKEFEEAQNVSGPGSVEEAQLRK >ONH92317 pep chromosome:Prunus_persica_NCBIv2:G8:17372073:17376391:1 gene:PRUPE_8G168300 transcript:ONH92317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACCWRLTLTFPPTTPRFSSRSRSGFRYRAYAEQDHAAANGSSNKKENKKVVVVGSGWAGLGAAHHLSNQGFDVTVLESGNDLGGLEEVGIRGYWYPYQNIFNLVDELGIKPFTNWTKFAQYSAEGLEVEFPVFQDLPQLPTPLGTLFYTQFVQLPLVDRLTAVALTAAVIDFDNTDTAWRKYDSITARELFKQFGCSERLYQNVLSPLLQVGLFAPAEQCSAAATLGLLSYILAHQKDFDLVWCRGTSRERIFKPWVESLTAKGCKFEKRIRVTDFLINEETGCVSEVICGKEIYNADAVVLAVGISTLQKLIENSAVLCTREEFLKVLNLASIDVLSVKLWLDRKVNIPNASNACSGFDDSFGWTFFDLNAIHDEHKDSSVTVLQADFYRANELLPLKDEQIVSKVTSYLSNCIKDFKNATVTDKEIGRFPNSLTHFFPGSYKDMMRGSTTFPNLFMAGDWIITRHGSWSQEKSYVTGLEAANRTVDYLEEGSFAKIIPVEEDEPHIQALRSLNRSFNEITAQFPLSSYFLQ >ONH90285 pep chromosome:Prunus_persica_NCBIv2:G8:4611218:4613566:-1 gene:PRUPE_8G044600 transcript:ONH90285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPENPDLTMTPVEEEVPQQNQNLDSESHSQSKTLTLEPTQDPEIQQNPLQLDANKPKPEEFPVRSPRSTTITFYRRTGTKRKKLGQKRRAAVAGKKSEQKLQIQKESLNPIPFVPVKSLDFEKHEKLLKWLNLWDFVHIDFDRTIRVDLLAQLISHFNPNMRGSYVNGVKIMVNRADLARALKLPVKKSAVADGAEEPPAASEESIAFIEEFVSNWVLLHEDTWMMPSEVLNWTKAIKEGHFGKVDWAGLIWFMVEKELAQAPKLGDCYYASHLQCLIKSQREELLMVKAQEPTVHVDLDVDVDVDADAKEEEEEDEEDGNGDVKMGGENDVKGFGLEEKNIELCLGQENVDRADVGQDNVERADVGQDNLERADVGPDNVERDDVGQENLEFSVEKVHVGVEDAMNFEECKVEEHGQWLLDGKSNVSEPCLQRCNNLGEVKSLVFGEEGQKGMEVGGVEEEGNEEEEEEGEEEEEEGGFHLSLKGMPLEGLPSGSLIQAMEAAQIPLSSGMPMRDHFSGEFVSSRDDSRMLPGSSSLFGSGLKRELMHENANSHHPLNGNKRLRIDGPWDGKLSGEFETCMEQMQHWMEKARMVYVEKEQACEESTVHRQMLMDGLQQRDEMIDHLHKVKAEEQHKREVEVYRLERELYVMGNLLDGYRKALKETQKAFAEYRARCPQADEPLYRDVPGSGGLVLSTMELEKQRLKKEEEERMNRQLIEKKIRDFEAGWISNFEAHHKNVELLSNRLPDVENEVKLLHERLAKRRTAKLTESAPNDTE >ONH90286 pep chromosome:Prunus_persica_NCBIv2:G8:4608852:4613683:-1 gene:PRUPE_8G044600 transcript:ONH90286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPENPDLTMTPVEEEVPQQNQNLDSESHSQSKTLTLEPTQDPEIQQNPLQLDANKPKPEEFPVRSPRSTTITFYRRTGTKRKKLGQKRRAAVAGKKSEQKLQIQKESLNPIPFVPVKSLDFEKHEKLLKWLNLWDFVHIDFDRTIRVDLLAQLISHFNPNMRGSYVNGVKIMVNRADLARALKLPVKKSAVADGAEEPPAASEESIAFIEEFVSNWVLLHEDTWMMPSEVLNWTKAIKEGHFGKVDWAGLIWFMVEKELAQAPKLGDCYYASHLQCLIKSQREELLMVKAQEPTVHVDLDVDVDVDADAKEEEEEDEEDGNGDVKMGGENDVKGFGLEEKNIELCLGQENVDRADVGQDNVERADVGQDNLERADVGPDNVERDDVGQENLEFSVEKVHVGVEDAMNFEECKVEEHGQWLLDGKSNVSEPCLQRCNNLGEVKSLVFGEEGQKGMEVGGVEEEGNEEEEEEGEEEEEEGGFHLSLKGMPLEGLPSGSLIQAMEAAQIPLSSGMPMRDHFSGEFVSSRDDSRMLPGSSSLFGSGLKRELMHENANSHHPLNGNKRLRIDGPWDGKLSGEFETCMEQMQHWMEKARMVYVEKEQACEESTVHRQMLMDGLQQRDEMIDHLHKVKAEEQHKREVEVYRLERELYVMGNLLDGYRKALKETQKAFAEYRARCPQADEPLYRDVPGSGGLVLSTMELEKQRLKKEEEERMNRQLIEKKIRDFEAGWISNFEAHHKNVELLSNRLPDVENEVKLLHERLAKRRTAKLTESAPNDTE >ONH90852 pep chromosome:Prunus_persica_NCBIv2:G8:11174612:11178605:1 gene:PRUPE_8G077600 transcript:ONH90852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAVGIILSPALQVLFDRLASPVLQGLADRLGFNYNIFQSLQHALVRAQATLADAEVQQFTNKTVRLWLSDLKNAVCDAEDLLDVFTAKQTCMIDEDFGEQTLDSYAVLTDKVRKILKKLEMIVGEGSSKLKIGDTQPISDQRSDQRETSSFVDSRIHGREDDKEKLVKLLLSSQTNYQEGCSYATCIPIIGIGGIGKTTLAQMSYNDERVIQHFDVRMWIFVSSNFNIKKIMKTIISSLTSGICKLSEIELLQSQISQLLQKKRYLIVLDDVWTEDQDDWDKLKPLFGGGVDGCKIIVTTRSKKVPYMMDFPNSSICLNGLTDDDCWELFKQRAFARGEEEKHPNLSLIGKQIVRKCGGVPLAAKSLGSSMRLKRNEKQWLSMRDCELWKLDENQHKVLPALMLSYHHLPSHLRECFAFCSIFPKDYEFKKQKLIHLWMASGLLLQDGSRRPEDIGDEYFDDLLWLSFFQEVEICDGSGLVEYKMNDVIHDLARYVAGNESMMLEHSAAQIRHASVVYKYRAIGMPKELFEAKHLRTLLLIGESGLLNGKSKMFSSFGYLRALDLSSCGVSDLPESLGGLICLRYLDLSYTPITKLPHSTRNLCYLQTLNLFGCQNLERLPSLEMMTSLRHLNLVGCVSLAFMPLEIRILHQLQTLPLFVVNRVPGALNTLEGLNLCGKLNIACLQNATYAAEAQSAGLKSKENLESLGLYWGLDCGFGDVYESFGKPKARPNEINDYIASRSEAPLQQHDPVEEILEGLQPHKNLKKLVINGYLGIKFPHWALPNLTSVDFTNCKSCEHLPALGNFPLLKTLSLNGMHGVRSIGTEFYGDGTDMWFPSLEELSISEFSNLEEWSTANDANAFPRLKKFTLKGCPRLAHIPLCQSLQHLELRDCNPTMMSIANLSLLSVLVLEKIQGLVSLPEGLFASPYLSSLQILSLPKLGSLPSEIGNLTALKSLTIRWCDELSSLPQSLKNLKTLESLEISDCHSLLTMPDGGIAGLSSLRTLSIENCSHLTSLSSSLERLTFLEHLTFMYCPNLGSFPEGVQHLSSLRSFTISNCPMFDSLPSGLQNSRTLHCLEISSCPKLDALPDWLENLDSLRSLTISDCPNSRVLPSGLKSLTELQHLSIQECPELEERCKQGSGEDWLKIAHVPYRYIGPSGEASTSGNS >ONH92558 pep chromosome:Prunus_persica_NCBIv2:G8:18120267:18122824:1 gene:PRUPE_8G180700 transcript:ONH92558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFSILWKEQGPSSLWRGWSGKLFGYGVQGGCRFGLYEYFKKLYSDVLKDHNRSTIFFLSSASAQVFADVALCPFEAVKVRVQTQPYFAKGLVDGFPKLYATEGLAGFYKGLFPLWGRNLPFSMIMFTTFEHSVDLIYHKVMQRRKEDCSRPQQLGVTCLAAYAAGAVGTVISNPADNIVSSLYNKKADNVMQAVKNIGLVNLFTRSLPVRITLVGPVVTLQWFFYDTIKVLCGLPSSGGLTRRLEEANLSA >ONH92557 pep chromosome:Prunus_persica_NCBIv2:G8:18118651:18122824:1 gene:PRUPE_8G180700 transcript:ONH92557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVEGRLCEEFSPAYYGLCTVGGMLSAGTTHLAITPLDVLKVNMQVNPIKYNKMASGFSILWKEQGPSSLWRGWSGKLFGYGVQGGCRFGLYEYFKKLYSDVLKDHNRSTIFFLSSASAQVFADVALCPFEAVKVRVQTQPYFAKGLVDGFPKLYATEGLAGFYKGLFPLWGRNLPFSMIMFTTFEHSVDLIYHKVMQRRKEDCSRPQQLGVTCLAAYAAGAVGTVISNPADNIVSSLYNKKADNVMQAVKNIGLVNLFTRSLPVRITLVGPVVTLQWFFYDTIKVLCGLPSSGGLTRRLEEANLSA >ONH92673 pep chromosome:Prunus_persica_NCBIv2:G8:18470922:18473182:1 gene:PRUPE_8G188600 transcript:ONH92673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVKIEFWCFSPANLLRILCQSCCDECRGCVNCSVVQGTMESKANNISFDSDESERCLEFESCDEHLLIDDDKLPKDIDLCSLEVDKIIQQPNASLPLMGNAVEPYIGMGFKSRDDARDFYIAYGRHSGFTVRIHHNRRSRMNNMVIGQDFVCSREGFRDKKYVCREDRVLPPPPVTREGCAAMLRVALRDGEKWVVTKFVKEHNHTLMAPSKVPWRGFGKNLISEDEKDQKIRDLTIELSNERQRCKRRCAAYQEQISMLLKDIQEHSDHLSTRVQDIVRNIRELENERCVDS >ONH92642 pep chromosome:Prunus_persica_NCBIv2:G8:18384202:18385023:-1 gene:PRUPE_8G186300 transcript:ONH92642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRKQDAGIFIGSVLWLLLSCGFSFGVESDINCLKSIKASLEDTLGYLNSSWDFNNNTEGFICNFLGVECWHPHESKVLNLKLSDLGLKGSFPQGVANCTSLTGLDLSSNQLNGPLPTDIDEIIMFITSLDLSSNSFTGQIPMKLSNCGSLNVLKLDNNKFSGTIPPEFGQLRRIKAFSVANNQLSGPVPNFNTTYITADSFANNPGLCGKPLEVCRSAAKKSSIVKVLNSYNVAIAAAAGFGFGFPFSFCFFLPRAPSVRYLVVFARRFKS >ONH92567 pep chromosome:Prunus_persica_NCBIv2:G8:18150323:18153404:-1 gene:PRUPE_8G181200 transcript:ONH92567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASEMVAFPLLTTPIESNYRACTIPFRFPSDNPRKATPTELAWIDLFLNSIPSFKKRAETDAVDDAPARAEKFAQRYSGILEDLKKDPESHGGPPDCILLCRLREQVLRELGFKDIFKKVKDEENAKAISLFENVVDLSDAIEDEGKRLENLVRGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDDLDAFKLKWSKKSWKKAVIFVDNSGADIILGILPFARELLRRGTQVVLAANDLPSINDITYPELIEIISKLKDNEGKLKGVDTSNLFIANSGNDMPVIDLSSVSQEIAYLASDADLVIMEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVL >ONH93459 pep chromosome:Prunus_persica_NCBIv2:G8:20728574:20730534:-1 gene:PRUPE_8G233700 transcript:ONH93459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVNLRPDQASPEWLSKGDNAWQLTAATLVGMQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVLVCWVGWGYQMSFGDELFKFLGWPHVAMNQEYLLKQTFVGYLPNATMVYFQFVFAAITLILIAGALLGRMNFHAWMLFVPLWLTFSYTITCYSIWNPHGWLAKMGVIDYSGGFVIHLSSGVAGFTAAYWVGPRATNDRERFPPNNILLMLAGAGLLWMGWTGFNGGDPYMVSTDASLAVLNTHVCTATSLLTWLLLDIVFFGKPSVIGATQGMITGLVCITPAAGVVQGWAAMIMGVLSGSIPWYTMMVLHKKISILKHVDDTMAVFHTHAVAGSLGGILTGFFSNPKLCRIFYLVDDWQHYIGLAYGLKTGQFKAGFKQMGIQILGILFVIVVNVITTSIICFLLGRFIPLRLNEDELQIGDDAIHGEEAYALWGDGEKYDGSKHNSVYGLEEFAQVPPKAEA >ONH90256 pep chromosome:Prunus_persica_NCBIv2:G8:4449728:4450086:1 gene:PRUPE_8G042800 transcript:ONH90256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLRCSTETAKVSGEPKKPGHTISKGHKNCNLMLNLQLGIRYCIGKHASIVRDLKPSNFNPKYKFWTRFPGRIEQNAKI >ONH92303 pep chromosome:Prunus_persica_NCBIv2:G8:17324177:17327125:-1 gene:PRUPE_8G167700 transcript:ONH92303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIVIYHFHWSLLCIYLYSSLSTLYQNPSIRSKSLSLQSIIKYKMEAEADVVIVGAGISGLATSLGLHRLGIRSLVLESSDSLRTTGFALTTWTNAWKALDALGLADSLRQQHVTLDGNVTSSRITGLQTSEMPFKAKGKQDHEVRCVKRKLLLDGLANELPSGTIRLSSKVVSVDESGYFKLVHLADGTILKAKVLVGCDGVNSVVAKWLGFKPPAFTGRSAIRGCATFKSCHGFDPMFMQYFGNGIRSGAVPCDDANVYWYITWTPSSQEKELEENPAQLKQYMLSKLGKIPDKVKAVVENTELDAFISSPLRYRHPWELLWGNISKGNACVAGDALHPMTPDLGQGGCCALEDSVVLARCLGEALLKNSGGERKDKEGVEGKEEYERIEMGLNKYANERRWRSFDLISTSYVVGFLQESNGKFMNFFRDKCLSPILAGLRLKKADFDCGKLSIS >ONH92304 pep chromosome:Prunus_persica_NCBIv2:G8:17324330:17327054:-1 gene:PRUPE_8G167700 transcript:ONH92304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIVIYHFHWSLLCIYLYSSLSTLYQNPSIRSKSLSLQSIIKYKMEAEADVVIVGAGISGLATSLGLHRLGIRSLVLESSDSLRTTGFALTTWTNAWKALDALGLADSLRQQHVTLDGNVTSSRITGLQTSEMPFKAKGKHRDHEVRCVKRKLLLDGLANELPSGTIRLSSKVVSVDESGYFKLVHLADGTILKAKVLVGCDGVNSVVAKWLGFKPPAFTGRSAIRGCATFKSCHGFDPMFMQYFGNGIRSGAVPCDDANVYWYITWTPSSQEKELEENPAQLKQYMLSKLGKIPDKVKAVVENTELDAFISSPLRYRHPWELLWGNISKGNACVAGDALHPMTPDLGQGGCCALEDSVVLARCLGEALLKNSGGERKDKEGVEGKEEYERIEMGLNKYANERRWRSFDLISTSYVVGFLQESNGKFMNFFRDKCLSPILAGLRLKKADFDCGKLSIS >ONH94080 pep chromosome:Prunus_persica_NCBIv2:G8:22390513:22392844:1 gene:PRUPE_8G269100 transcript:ONH94080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKLSSVAKSFAPSPIQELSHLAQRCNAINLAEGFPDFPAPSHIKFAAISAIHSDFNQYRHVPGICDLLANIMKQIHGLNVNPLTDIAICCGQSEAFAAAAFAIIDKGDEVVLFDPCYETYEGCIKMAGGVPVYIALDPPQWTLDPNKFINSFTGRTKAVVLNSPHNPTGKVFTKDELEIIAGECRTRNCLAITDEVYEHITFNSEKHISLASFPGMQERTIITSSLSKTFSVTGWRVGWAIAPAFIASAIRNIHTKLTDSAPAPFQEAALTALGSPPEYFESLRSDYESRRDYIVNLLTRVGFKIQFKPQGALFLFAELPENCKLSDVDYVKMLIQTAGVVAVPGCGFFHTHLSREKFSLGGYSYQERYIRFAFCKSDQTLASAAKRLGELIDATGCLNPQ >ONH93721 pep chromosome:Prunus_persica_NCBIv2:G8:21497336:21499176:-1 gene:PRUPE_8G249100 transcript:ONH93721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATYPPLPPYYKLYKDYFLEDQGVHQLYPKGPNIDFKKELRSLNREFQLHILELADILVERPSQYARRLEDISLVFKNLHHLLNSLRPHQARATLIHILELQIQRRKQVVEDIKRRREEARRLLKESIGTLEDTDASFVLK >ONH92438 pep chromosome:Prunus_persica_NCBIv2:G8:17804834:17805676:1 gene:PRUPE_8G175500 transcript:ONH92438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQTAFPSTTHPLISEFHEQLCRLRTSGTASSSSTSVNQSLNGLKDLYDSLAQEENDELLDGSVRLLDVCSVAKDTLLQTKECTIEILSIIRRRRGGKTELASEVKKLLIIRKVMKKAVCKASGNLKGVSPFSKEHEAVAMVSMLKEMEAVTQTVFDSIFYFISGPKTQSKPSGLQLVSNLMRHKRVACKEEEEEEETDANEFAMVYAALHSTVGHKTKESDNIIQNAQTQLQNLELCIQDLEESLEHLSRRLIKTRVSLLNILNH >ONH93859 pep chromosome:Prunus_persica_NCBIv2:G8:21901060:21902151:1 gene:PRUPE_8G256900 transcript:ONH93859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPSSYLINISLGLPYHKVRITTHLLLNFLLVPNHNTVMATIKVYGSTYSTAAMRVFAALYEKDIEFEFVPVDMRAGEHKKEPIISLNPFGQIPAFEDGDLKLFESRAITQYIAHEYFDKGTQLVIRDSKKMAILSVWTEVEGQKFDPAASKLTWELGIKPLLGMPTDSAVVEEYEAKLAAVLDVYEARLAQSKYLGGDSFTLADLHHLPTINYLMGTQSKKLFESRPHVSAWVADITARPAWNKVIAMRS >ONH92587 pep chromosome:Prunus_persica_NCBIv2:G8:18216862:18223016:-1 gene:PRUPE_8G182600 transcript:ONH92587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIAKEEGKNMEEAEENKSLSHTHVHAQLEEGQEPNPNPVDSVELETQNSIHDIGVEELHNDIQGPADSVPTVFKTPEDANITNNSSNAPHASSESVNLVSNVDSGVHCESEVSVKIESEQNDGEKGEALRDDVDIKVNPSTELCGSAETDHLVSDGGSSQTAIPMEIEKEEDDVEEGKALKEGVSSKANPSNEPDISVETNNVACEMELDIPRDSEVAMEKLIEGVSKEANPSSEPHISAEIGKPDMPPQVATEKLINGVSSEAKPSNEPHISAEADNVVCKVEPAMSCYTEVAMDKLIDEVSSEANPSNETYISAETDGAVCEVEPDMPLVDSKVAMEKLIDGVSSETNPSNEPHISAGTDGAVCEVEPDIPLVDSKVAMEKLIDAVSSEANPSNEPHSSAKTDDVVCKVELDMPRDSEVATEKLIDQVSSEANPSNEPHISAETDDAVCEVEPDIPRHSNVASEKLIDGVSSEANHSNEPYISAETDNVVCKEEPDKMRRDSGVATEKLIDGLSSKANPSSEQHMSAETDNVVSKVEHNMPLNNEVEMETESDDDGERGGTPKGGVSSKTMLSLSTEINEHMLKPKFYVGRKVGMKSLDPKQSSLSGPGAAEDDESGTEEEQAAFIKEVENVYKERNLEFKAPKFYREELNLLKLWRAVIKLGGYEKVTSCKLWRQVGETFNPPKTCTTVSWTFRNFYEKALLEFEKHRLHGGELALPAEPTKVENRADGSQTLGSGRALRDAAARAMQGWHSQRLLGNGEVGDAIIKDKNLSTMPKSDKQLKSSGLLKRKKPSHVDSAIQVADMKAIKPRLDIMVFDIGRPADWVKVNVKRFNDCFEVYALVPGLLREEVHVQSDPAGRLIISGQPKQLDNPWGVTPFKKVVSLPSRIDPQQTSAVVTLNGQLFVRVPFEQSDF >ONH92588 pep chromosome:Prunus_persica_NCBIv2:G8:18219145:18223016:-1 gene:PRUPE_8G182600 transcript:ONH92588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIAKEEGKNMEEAEENKSLSHTHVHAQLEEGQEPNPNPVDSVELETQNSIHDIGVEELHNDIQGPADSVPTVFKTPEDANITNNSSNAPHASSESVNLVSNVDSGVHCESEVSVKIESEQNDGEKGEALRDDVDIKVNPSTELCGSAETDHLVSDGGSSQTAIPMEIEKEEDDVEEGKALKEGVSSKANPSNEPDISVETNNVACEMELDIPRDSEVAMEKLIEGVSKEANPSSEPHISAEIGKPDMPPQVATEKLINGVSSEAKPSNEPHISAEADNVVCKVEPAMSCYTEVAMDKLIDEVSSEANPSNETYISAETDGAVCEVEPDMPLVDSKVAMEKLIDGVSSETNPSNEPHISAGTDGAVCEVEPDIPLVDSKVAMEKLIDAVSSEANPSNEPHSSAKTDDVVCKVELDMPRDSEVATEKLIDQVSSEANPSNEPHISAETDDAVCEVEPDIPRHSNVASEKLIDGVSSEANHSNEPYISAETDNVVCKEEPDKMRRDSGVATEKLIDGLSSKANPSSEQHMSAETDNVVSKVEHNMPLNNEVEMETESDDDGERGGTPKGGVSSKTMLSLSTEINEHMLKPKFYVGRKVGMKSLDPKQSSLSGPGAAEDDESGTEEEQAAFIKEVENVYKERNLEFKAPKFYREELNLLKLWRAVIKLGGYEKVTSCKLWRQVGETFNPPKTCTTVSWTFRNFYEKALLEFEKHRLHGGELALPAEPTKVENRL >ONH92103 pep chromosome:Prunus_persica_NCBIv2:G8:16689246:16692119:-1 gene:PRUPE_8G155700 transcript:ONH92103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNPARRIGDGGSIPFVGVVQSKARSSPLLSIGLVLVGAILLVCYAFSGSGGRSSKEAVIKLEGGASCTFEVQRAIPILKKAYGDSMKKVLHVGPDTCSVVSKLLKEEDTEAWGVEPFDLEDADANCKSLVSKGIVRAADIKFSLPYRPKSFSLVIASDALDYLSPKYLNKTLPELARVSADGVVIFTGYPGQHKAKVAELSKFGRPAKLRSSSWWIRYFVQTSLEENEVASKKFEQAALKKSYTPACQVFHLKSYH >ONH91477 pep chromosome:Prunus_persica_NCBIv2:G8:14403296:14410951:1 gene:PRUPE_8G117200 transcript:ONH91477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCTSKPPKPNPYSSRDPNDQTNPSQTPKSLPSLTPHHHKDDVISRQSQSQSPFFPFYSPSPAHYLKKSSPARTKSATSTPSRFFRRPFPPPSPAKHIKAVLARRLGKKASASVAIPEDAEDEDGVELDKRFGFSKELTSRLEVGDEVGRGHFGYTCSARFKKGEFKGQQVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHSNLVQFYDAFEDSDNVYIVMELCEGGELLDRILSRGGKYSEDDAKTVMVQILNVVAFCHLQGVVHRDLKPENFLYSAKDENSQLKAIDFGLSDFAKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSPEAKDFVKRLLNKDPRKRMTAAQALSHPWIRNYNDVKVPLDILIFRLMKVYMRSSSLRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTITLDNIRMALMKNATDAMKESRIPDLIASLNALQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIVIEELASELGLGPSIPLHVVLHDWIRHTDGKLSFLGFVKLLHGVSSRTFVKA >ONH91478 pep chromosome:Prunus_persica_NCBIv2:G8:14403296:14410951:1 gene:PRUPE_8G117200 transcript:ONH91478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCTSKPPKPNPYSSRDPNDQTNPSQTPKSLPSLTPHHHKDDVISRQSQSQSPFFPFYSPSPAHYLKKSSPARTKSATSTPSRFFRRPFPPPSPAKHIKAVLARRLGKKASASVAIPEDAEDEDGVELDKRFGFSKELTSRLEVGDEVGRGHFGYTCSARFKKGEFKGQQVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHSNLVQFYDAFEDSDNVYIVMELCEGGELLDRILSRGGKYSEDDAKTVMVQILNVVAFCHLQGVVHRDLKPENFLYSAKDENSQLKAIDFGLSDFAKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSPEAKDFVKRLLNKDPRKRMTAAQALSHPWIRNYNDVKVPLDILIFRLMKVYMRSSSLRKAALRALSKTLTVDELFYLKEQFALLEPNKNGTITLDNIRMLNALQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIVIEELASELGLGPSIPLHVVLHDWIRHTDGKLSFLGFVKLLHGVSSRTFVKA >ONH91527 pep chromosome:Prunus_persica_NCBIv2:G8:14742939:14743886:-1 gene:PRUPE_8G121200 transcript:ONH91527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNELAHDFSPLIKIYKDGRIERLTGTTTLPPSLDPQTGVESKDVVISKEPALSARLYLPTKSTTNSPNKKLPLLVYIHGGGFVVERASSSIYHNYLNALVAETNIVAVSVEYRLAPEHSLPAAYDDAWGSFKWVASHSDAKGSEDWLNRHADFQRVFIAGDSAGANIAHNVGLRVGSEGLGGVKPKGIVLVHPYFGGSEAIGAEQSLPPMVREYMVGLWLLAYPSTSGSDDPLFNPGKDPKLGGLGCEKVLVCVAEKDALKDRGWHYSEVLKKSGWKGAVDVIESKGENHVFHLFNPTCDNAVALLKKMVSFIN >ONH90453 pep chromosome:Prunus_persica_NCBIv2:G8:6455758:6455967:1 gene:PRUPE_8G055200 transcript:ONH90453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLFVGGLGRCVVVNACDVSGVSYGNYRLCLGEGSYKVVKVLISERRVRWGNGHAQKASSLAPQNGLD >ONH90656 pep chromosome:Prunus_persica_NCBIv2:G8:9902700:9904542:1 gene:PRUPE_8G067200 transcript:ONH90656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFFLFHNPPWEGPNLISIDVMWSDSSITHGLSLNIEKVIGLIWCPDCTEKFLNKFQLKLIIRSHEGSDARENRTLLITNFVGGMRFARPYRRFIISSSE >ONH93784 pep chromosome:Prunus_persica_NCBIv2:G8:21640922:21647720:-1 gene:PRUPE_8G252300 transcript:ONH93784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTTAGVEGLGPTTVNIAERESSGCSDGSAFPVDAAAAAAAANKNKRGEKDDSYTRLWHACAGPNVYVPGPGEKVFYFPQGHMEQVEAYANEDGKMEMPIYNLPSKILCNVVCVLLKAEVHTDEVFAQITLLPLTEQEQLSLDEENNQPVPQSTSTRSFSKTLTPSDTSTHGGFSVPKRQADECLPPLDMSQQPPVQELVARDLQGFEWHFRHIFRGQPKRHLLTSGWSTFVTAKRLVAGDACIFVRGENGELRVGIRRANKNQDNASTALISGHSMQHGILASAFHANSTGTLFTVYYRPWTSPAAFIIPYDRYMKSAKNDHSIGMRFRTCFEGDECAEKRLAGTIIGIEDNDCIRWPSSEWRCLKVQWDASSDTNLHPERLSPWNIMPLQPNKRAHVPDLISPGFPNLATNGLLPIPVEYTPPRQKKVFQGQEISDPPAHEPGTQKPPLLPQFIPPSNPDWTHTELGLDNNPLHDPLYQCPGSAIAPSLTNRWPPIFNFGVWDSVAFRRSMSVPNIKSSGSQDSRAFKPRSEIEAPLVQPNSRGTTMLFGVNIVTSHPELPSPQVVTSSELFSPCSITPISQSSVSETVQISETSKIVSGVLSAKQCKKCCSVTNRSCIKVLKYGAPVGRSVDLARFDGYGELISELDQMFDFKGSLIDGSSGWQVTYMDDEGDMMLIGDYLWHEFQSMVQKLFICPKEEIDRLNPGSPNATSL >ONH90975 pep chromosome:Prunus_persica_NCBIv2:G8:11954409:11955396:-1 gene:PRUPE_8G086600 transcript:ONH90975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFKSIPLCFLVFCFVHLSAIGASPLKHFCFSPENYTAESSYGANLNLLFNLLYTKVPPSGFGLGSTGHAQNQVNGLALCRGDVSRQNCNTCVIGASKELLERCPYSKGAIIWYDHCLLKYSDVNFFGQIDNKNKFSMTNVQAVENNPTLFNEKVHELLSGLSNEASDANPKFYATGEVQLDTFTTLYGIAQCTRDLSNVNCKKCLDVAISELPNCCDAKRGGRVVGGSCNVGFELYPIVGT >ONH91148 pep chromosome:Prunus_persica_NCBIv2:G8:12811322:12813359:-1 gene:PRUPE_8G096000 transcript:ONH91148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIFSVYKFILHGIMKLVGVRPQTVEIEPGTIMNFWVSSNIPNKIENTPKKSKPAVVFLHGFAMDGIFTWQLQVLSLAKNYAVYVPDFLFFGGSITDKLDRSPEFQAECVAKGLRKMGVERCTLVGLSYGGMVGFKMAELHPNLVESIVATGSVLALTRSISDASLERLGFKCWPDYLLPDSVKGVRNMFEIAAYKFPYLPDWIYKDCLEVMFGNRKEKEELLGALVVDDKDFTIADFPQAIRRQRKTAMYRGGRPPCSNGKTFRLQQAAQGNSCFFFRRCAPRLKRPFLFFCFLLRTNESFRVLVGNI >ONH91149 pep chromosome:Prunus_persica_NCBIv2:G8:12811770:12813312:-1 gene:PRUPE_8G096000 transcript:ONH91149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIFSVYKFILHGIMKLVGVRPQTVEIEPGTIMNFWVSSNIPNKIENTPKKSKPAVVFLHGFAMDGIFTWQLQVLSLAKNYAVYVPDFLFFGGSITDKLDRSPEFQAECVAKGLRKMGVERCTLVGLSYGGMVGFKMAELHPNLVESIVATGSVLALTRSISDASLERLGFKCWPDYLLPDSVKGVRNMFEIAAYKFPYLPDWIYKDCLEVMFGNRKEKEELLGALVVDDKDFTIADFPQRVHLLWGENDKIFNMETARNLRMQLGGSAKLQCIEEAGHLVQMERPFVYNKQLKEILASFSEDVHQD >ONH90074 pep chromosome:Prunus_persica_NCBIv2:G8:3109801:3114976:1 gene:PRUPE_8G033400 transcript:ONH90074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKQLTIVYIVGMFKRLCPSLPYLPVSSKPNSSASTHSFAFTTMWWPPRSGGLGIAAIAYVVVDYIRHLSPAWHGRLQPALLSGFALIAVSRVPFYKHWSSEFRSVFPFIGSMLFMLLALLFEALCVRFVTAALGLDWNSHTSPLPDTGQWFLLALNEKLPHPLVEILRARIIGLHHFLMLFVMLAFSVLFDSVKAPGLGLGARYMFTMAIGRLLRAITFVSTVLPSARPWCATARFNVPLYPHRWAQKYYAPYASDANAIRELLRQDIAFADTGKLLGDFRPDWGPMSFLIDFLRPSESDGPWYNLLKNAGGGCNDLVYSGHMLVAVLTAMAWTEAYGGFSSVLIWSLVGHAAQREIRERHHYSVDCVVAIYVGILLWKMTGFLWPSKDASRDRRITMLEKIQSRLTQAAKDYDIDEVRELLRGVELGSQESLSNKGPSTGMWIFACAIIITAIVIVVLALTLTSDG >ONH93200 pep chromosome:Prunus_persica_NCBIv2:G8:19947129:19948974:-1 gene:PRUPE_8G218800 transcript:ONH93200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGSSSGGSSARGVVSDQGREEKQKEMREKLSEVCGVGEEEEEEDDDKSNGIASGFVPGPLVSLKEQIDKDKDDESLRRWKEKLLGCLENDLNGQMEPEVKFHSIGIISDDFEEISTPLPVDENQIDRVLFTLKEGSRYQLKLTFSVLHNIVSGLTYSNTVWKGGLQVDQSKGMLGTFAPNKEPYVHTLEEETTPSGLLARGIYSAKLKFEDDDRRSHMELQYAFEIKKTVS >ONH93199 pep chromosome:Prunus_persica_NCBIv2:G8:19946307:19949094:-1 gene:PRUPE_8G218800 transcript:ONH93199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGSSSGGSSARGVVSDQGREEKQKEMREKLSEVCGVGEEEEEEDDDKSNGIASGFVPGPLVSLKEQIDKDKDDESLRRWKEKLLGCLENDLNGLTYSNTVWKGGLQVDQSKGMLGTFAPNKEPYVHTLEEETTPSGLLARGIYSAKLKFEDDDRRSHMELQYAFEIKKTVS >ONH89832 pep chromosome:Prunus_persica_NCBIv2:G8:1770679:1774556:-1 gene:PRUPE_8G019100 transcript:ONH89832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRPPSKTLHSFHQKHSLSTIPSKSIYPDGALGPLYTHLLQICIQQCKNIKTHKVFDEMPERLLAQASRTCETVHAQSLKFGVGSKGFLGNAIVGFYAKCGNVGFAEKAFNCLENKDVFAWNSVLSMYSSKGLLEQVINSFGSMWNCRVLPNEFTFAMVLSACSRLVDIKYGRQVHCGVIKMGFELSSFCEGALIDMYAKCSCLSDARRIFDGVMELDTVAWTAMISGYVQVGLLEEALKVFKGMQRVGGFLDQVAFVTAINACVGLGRLGDACELFSQMPSPNVVAWNVMISGHAKRGYEEEAVNFFLRMRKAGEKPSRSTLGSVLSAIASLAALDSGLLVHAMAIKQGLDSNFYVGSSLINMYAKCEKIDAAKKTFDYLSDKNVVLWNTMLGGYAQNGHACEVIDLFSNMKECGLHPDEFTYTSILSACASLEYLEMGCQLHSHIIKNQFASNLYVGNALVDMYAKSGALKEARKQFELIKNRDNISWNAIIVGYVQEEDEDEAFNMFRRMNSHGIVPDEVSLASILSACANVQALEMGKQVHCLSVKNGLETSLYSGSSLIDMYSKCGVIGDAHKALYYMPHRSVVSMNALIAGFAHTNLEEAVNLFREIHEVGLNPTEITFSSLLDACSGPVMLTLGRQIHCIVLKKGLLYDGDFLGVSLLGMYINSQSKIDATILFSEFPKPKSKVLWTAMISGLSQNDCSDEALQLYQEMRSDNALPDQATFASVLRACAVMSSLKNGREIHSLIFHTGFDLDELTCSALVDMYAKCGDVRSSVKVFEEMGAKNGVISWNSMIVGFAKNGYAECALKIFDEMRQSLLLPDDVTFLGVLTACSHAGKVTEGRQIYDSMVNEYNIQPRFDHVACMVDLLGRWGFLKEAEEFIDRLGFDPNAMIWATLLGACRLHGDDIRGQRAAEKLIQLEPQNSSPYVLLSNIHAASGNWNEASSLRRAMKEKGVTKVPGCSWIVVGQRTNLFVAGDKSHPNAGEIIATLKYLTAIMKEEGYVDDTYSFLDEEE >ONH91350 pep chromosome:Prunus_persica_NCBIv2:G8:13888830:13895540:1 gene:PRUPE_8G108500 transcript:ONH91350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYDVFLSFRGEDTRFNFTDHLHSNLTRKGIRTFIDDGLKRGEEISPALLRAIEESKISIIVFSENYASSKWCLDELVKILESKETREQIVWPVFYKVNPSDVRHQRGSFGQALADYECEFKDDMEKVQRWRRSLTKAANLSGWCFMNGHESKFIDNIVEAISLQVLNHAYLNVAKYPVGIESRVREIDKLLGVGGNDVRMVGIWGTGGIGKTTIAKAVYNSVAHMFEGSCFLDDVRERSMPYGGLGKLQSILLSEILGVKEVEVTNVDKGINMIKKMLNGKKLLLVLDDVNHLDQLNKLVGGSDWFGSGSRIVLTTRDKHLLIAHQVNLIYEVEKLNHYESLKLFTSWNSFSRNGHLKDDYAKLANNVVDYADGLPLALMVLGSHLCGRSIDQWKYALDGYRRVPNREIQEILKISYNALEDAVKEVFLDIAFFYKGLGEDYVIQMLEGCDMNPKYDLEVLVEKALINIMEDGCIWMHDLIQEMGKEVVRQESPTEPGKRSRLWFHEDVYHVLTENTGTDKIKGIMVKLPAGLESDEVCLNAESFSKMKNLRLFINHNARLSGEVDCLPNELRLLIWPEYPSQSLPANFNPKKLVGLALPRSCILRLDLEFKSLKFINVEHSKFLRKTPDFSGVPNLEKLNLNFCTSLVELHPSAGFLHKLVNLSLTGCRSLTLFPRIVNLKSLLELNLDGCISLENFPEIKGKMEYLKHLDLSETSIKELPSSSIRHFTRLENLYLTRCENLTNLPCSIYELKHLKTISVLKCSKLFSFPKMAKSEDSRSAESLVTLQGGNLAFPNLSKFYGSNLSDIADFLLTLDCMTTLTRLDLSGSNFVSLPVCINNFVNLIDLRLVSCKRLREIPDLPQALQLLDVSDCLSLERVSKLSNLLERKESQMFLGMRLANCWRLRNNLVRIAKKKNMFINQVNLFSLFLSSLPTFVQVEFPGRGIPKWFSYRKDLKDLCECQFSIKIPQNFNWENKGISFCAFLEKTKNRLNFYAQLSIRDFAVDMYVKEVCPDNIQSTGKDLSLVWLSYVPFHDMMSTMARTERNVKRTRVMPPYLPTIRVHFVRISKAVKSCGVHLVMPPG >ONH90861 pep chromosome:Prunus_persica_NCBIv2:G8:11247032:11247412:-1 gene:PRUPE_8G078300 transcript:ONH90861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLLAVIPAKKLIRHTNRRASTNLADVSKGYFAVHVGESEKQRFVVPISFLNQPAFQELLSEAEEEFGFDHPMGGLTIPGR >ONH90576 pep chromosome:Prunus_persica_NCBIv2:G8:8913103:8914758:1 gene:PRUPE_8G062000 transcript:ONH90576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFGIGFNSSKCKETVETVVVKARFLQKKKQDEVAKLKSDIASRLRAGEDPIAGPAHVLIKRVIREQNVSVAYEFIEAFCDLVVDRLSTIKEVRECPENLKEGISSLVFAAKKCSHEIPELVTLRNIFRKKYGKAFVSAATNIRPNCGVDTTMIKKLADTNPQGDEKMKIVQEIADKYGIHGSWVEIAGNNCNALVKV >ONH93800 pep chromosome:Prunus_persica_NCBIv2:G8:21695100:21696569:-1 gene:PRUPE_8G253400 transcript:ONH93800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRYPKDEGNREKFNGSTSINKKKDSMKKKKKNMRRMGGQGLSLEAFANAKSNSSSYFNPALIKKQKEFYKNSKYVNKYKKSLKQQQSNLPLAIRPLEEENEFEHGDKESETENGGKKHNETEDGSKKENETEDGGKRSKNNNKKKRYGARNLIEVYEKKHEEEEKARRERDAIIQAKKEEREKAEARRKSVRGKMLKKTHKGQPVMKYRIEHLLETLQGSAKQT >ONH93906 pep chromosome:Prunus_persica_NCBIv2:G8:22017222:22019323:1 gene:PRUPE_8G260100 transcript:ONH93906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGEKQVMVIGADESEQSTYALEWTLDHFFAPFGPTSPFKLVIVHAKSMPSSVVGLAGPGAAEVLPIVDADLKRMAARVADKAKQLCATKSVTDVTVELVEGDARNVLCDAVDRHHASVLVVGSHGYGAIKRAVLGSVSDYCAHHAHCSVMIVKKPKTKH >ONH91077 pep chromosome:Prunus_persica_NCBIv2:G8:12463846:12463971:-1 gene:PRUPE_8G091700 transcript:ONH91077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVLSEILIFECMINSTFRRRTHLVQSFSVVFLYWLYYVS >ONH92005 pep chromosome:Prunus_persica_NCBIv2:G8:16332021:16333192:-1 gene:PRUPE_8G149100 transcript:ONH92005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQLENLVESIKSKVRALKKNSKKPYIKMDKSSSVKVEIRSKKARKLIDKTLKAADRPGKRPIP >ONH92297 pep chromosome:Prunus_persica_NCBIv2:G8:17297621:17301395:-1 gene:PRUPE_8G167300 transcript:ONH92297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDVEMKEVTAPSIPSNSASSTSPSTLHNLKEIASLLETAAYSREVRRIVRAVRLTMVLRRKLKVSVLSAFLNFALSPGSEVHSRLSSYLPKDDEHDMDVDTATSATQVSSKHALPELEIYSYLLVLIFLIDQKKYNEAKACASASIARIKNLNRRTIDVLASRLYFYYSLSYELTDDLAEIRGNLLNLHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPIAALGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRNIAEKFSNIFSSDRTHNLIVRLRHNVIRTGLRNISISYSRISLVDVAKKLRLDSPNPVADAESIVAKAIRDGAIDATLDHTNGWMVSKETGDIYSTNEPQAAFDTRIAFCLNLHNDAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >ONH93174 pep chromosome:Prunus_persica_NCBIv2:G8:19861812:19863881:1 gene:PRUPE_8G217200 transcript:ONH93174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQEMQLKYQTQSTESTMKGVQKPPLFLPLLHMSLLFLLPLNAASPPRTQAEALISWKNDFASSPPSLNSWSLTNLNNLCNWTAIVCDHNTKTISQVDLSNFNITATLTHFHFTPFLNLTQFNLNGNNFTGPIPSAVGNLSKLTTLDLGNNLFNQEIPAQIGMLTELQYLSFFNNNLTGVIPSQLSNLQKVQFFILGANYLETPDWSEFSGMPSLTYLDLSGNHFSSEFPKFIYECRNLTFLDFSTNFFTGQIAVQVFTNLGKLEYLNLTNNQFPGPLPSNLPKLKHLHLAQNNFSGAIPEDIGKLTRLEVLDLSNNNLTGEIPPELGTFDKLKGIANFYHLDLSSNSLSGAIPSSLGKLTSLKVFNVSHNHLSGDIPSAFFKILSLDSYDFSYNNLTGPIPILCHFENAPANAFAGNSGMFGNLHGPTECNPSSRLSKKKKFLIGVLLPVCGLSFVAITIAMILMLRKNPKLFIEEIKISHNFETFESMILQEKVKFTFGEVVKAIEDFHDKYCIGKGGFGKVYKAELPSSQVVAVKRLNICDSNDIPAINLQSFENEIRTLTNLRHRNIIRLYGFCSRRGCVFLLYEYLERGSLGKALYGIEGVTELGWATRLKIVQGLAYALSYLHHDCSPPIVHRDVTVNNVLLDSDFEPRLSDFGTARLISVNSSNWTHIVGSFGYMAPGNLPI >ONH90754 pep chromosome:Prunus_persica_NCBIv2:G8:10636893:10639229:1 gene:PRUPE_8G072800 transcript:ONH90754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFPHQKIDLQGPKFRATTPHFFKMIADEISGNKRLRVPKTFLDKCGEHLSDQIHLKLPCGSEWKIKLRRCNGEVWLGKGWPEFSEFYSLKKGNSLLFRYEGNSKFNVLIFDESGTEMDYPITITLIEETDEERKAEQILILHQGRHLHLHLKGFMLKLPMSSSQATHSSKSLCGNTLG >ONH90753 pep chromosome:Prunus_persica_NCBIv2:G8:10636893:10639211:1 gene:PRUPE_8G072800 transcript:ONH90753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFPHQKIDLQGPKFRATTPHFFKMIADEISGNKRLRVPKTFLDKCGEHLSDQIHLKLPCGSEWKIKLRRCNGEVWLGKGWPEFSEFYSLKKGNSLLFRYEGNSKFNVLIFDESGTEMDYPITITLIEETDEEVSLLKVWSIFQPSQKRSTKLILCYLLCLKRKAEQILILHQGRHLHLHLKGFMLKLPMSSSQATHSSKSLCGNTLG >ONH93683 pep chromosome:Prunus_persica_NCBIv2:G8:21380025:21380946:1 gene:PRUPE_8G246700 transcript:ONH93683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVIALQLIASLAAFLPTTIPANLSYPALFNFGDSNSDTGGLNAGIAFPIKRFCNGYLIIDYLMNEMDLPFLNPYLDSVGAPSFQTGCNFATAGSTVLSANAASISPFSIGIQVAQFGRFKAKALELLSKDKKLQNVLPFEDYFKQGLYTFDVNEPS >ONH89956 pep chromosome:Prunus_persica_NCBIv2:G8:2385234:2389313:-1 gene:PRUPE_8G026300 transcript:ONH89956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNNNFTGASRASPTSSTDMEQMSETPQRGSHHRRAHSDTSFRIPNFDDLLLFDPSDLDLSCLPSPPVLPRSSNPMTQDSDDSSGPPNPFSSSRPGGPTSSGSTAAAAHLRSLSVDSDFFDGLGLGTGGDSGREALGGQRSGSHHRHSNSMDGSFTPSFEADSPVIVVDGIKKSIAPERLAELSLIDPKRAKRILANRQSAARSKERKVRYTNELERKVQTLQTEATTLSAQVTLLQRDTTVITAENKELKLRLQAMEQQAQLRDALNEKLKEEVQRLKIETNQIPPGNGNPFYRGLPPQYATPQSSSHHQLGSGQTQQHHHMLHNQAVSNGQARPSFMDFNQRA >ONH91245 pep chromosome:Prunus_persica_NCBIv2:G8:13344289:13346814:1 gene:PRUPE_8G101800 transcript:ONH91245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKDGLIYHVQAIKAGFPLTIFTSNQLIHLYSRNGLFREAQKLFDEMPQRNVFSWNAIISSHIKAQNLKRARQLFDSASYKDLVTYNSLLSGYVSTDGYEDCALELFSEMNSLDYGIRIDEISLTTMLNLTAKLEVVSYGRELHSFMVKTANDSSGFAVSSLIDMYSKCGYFQEAWRVFSGHRGVVDLVSKNAMVAACCREGKLEVAVNLFWTEPELNDNVSWNTLIAGYAQNGFEEEALYLFVRMEENGFRRNEHTFASVLSACSGLRSCKHGKEVHAWVLKNGMTSNSFILSGIVDVYCKCGNMKYAKSVHAAMGFENSFSVTSMIMGHAFHGNLVEARRLFDSLAEKSTVVWTALFSGYLKSQKCEAVFELLSEFRAKESIVPDAAILISVLGACAIKAALDPGKQIHAYILRSRIEVDKKLFSALVDMYSKSGSITYAEKLFKRGSDRDIILYNVMLAGYAHHGHENKAIQIFNEMLERGIKPDVVTFLAILSACRHSCLVELGEQFFYSMKKDYNVLPEIEHYACMIDLYGRANQLDKAKELMRKIPIESDTIIWGAFLNACRVNGNTILAREAEERLLKLEGDIGDRYVQLANLYAAEGNWDEVCRIRKKMKGKEAKKAAGCSWLYVENGVHIFISGDKTHPRTEAINFTLALLAEELYQIS >ONH92128 pep chromosome:Prunus_persica_NCBIv2:G8:16747285:16750818:-1 gene:PRUPE_8G156800 transcript:ONH92128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYQYVLGGVLVSLLGSVFFMIINSTLSGKKKAEDVSEDVNGNGFVRTQPANGGCLPEIEKSTDVVIVGAGVAGAALAYTLGKEGRRVHVIERDLSEPDRIVGELLQPGGYLKLIELGLEDCANESIDAQKVFGYALYKNGNDTKLSYPLENYSSDIAGRSFHNGRFIQRMRERVATLPNVTLEQGTVTTLLEEKGIVKGVMYKNKAGEEMRSYAPLTIVCDGCFSNLRRNLCTPKVDSPSCFVGLILENCDLPHANHGHVILGDPSPILFYPISSTEIRCLVDVPGTKVPSVANGDMAKYLKTVVAPQVPPQLLKAFIAAIEKGNIRTMQNKSMPATPLPTPGALLLGDSFNMRHPLTGGGMTVALSDIVLLRDLLRPLTDLNDAPALCEYLESFYTLRKPVSSTINTLAGALYKVFCASPDPARQEMRDACFDYLSLGGICSYGPVSLLSGLNPRPMHLFLHFFAVAVYGVGRLMIPFPTPQRMWLGTRLILGASGIIFPIIKGEGVRQMFFPATIPAYYRAPPAPLENKIKAF >ONH91643 pep chromosome:Prunus_persica_NCBIv2:G8:15123463:15126962:1 gene:PRUPE_8G127100 transcript:ONH91643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFVVSTVVEKLTDWITEEALLLEGVGDKVEQLRDKLQWMQSFLKDADAEQEKNERFRNWVSQIREVALDAEDVIETYIAEAASHSTWNIAAKLINLYWAGKKIGKIQSRVQNISSQKEHFGITGTAREVHEGTSASPNERLRWWRQTSPNIEEDDLVGLIEDTEALLRQLSTMEPRRRVVSIVGMGGLGKTTLAKKLYNHSELKKQFDCRAFVYVSKDYRRRDTLQGIIVAVNPDCNIQDLKKLQEEELVLKLHQLLQEKRYLLVLDDIWETKVWDSLQSAFPNGKMGSKVMLTTRNKEVALYADAMSEPIEPQFLTQDESLELFRKKAFPGMNEMPSDLENLGRQMMAKCSGLPLTVVVLGGLLSTKRKTAEEWTRVVQNINWRLIGQDRVSAVLALSYNDLPFHLKSCFLYLGLFPEDSSISKRKLIHLWVAEGFLPQQGEEVAEGVAENCLNELIDRCMVQVGTSLGRVKTIRMHDLLRDFSVLKGNEECFLEIYGGHKIESPTPQRTKSRRLAIHVEDKRYVFLKPYAPYLRSLQFFKIGHAEIGFIYKDFKLLRVLDGVPAQSRALGAVGNLIQLRYLGLVSRSDFKPARSLKSWARFAFELPRSIGKLKNLLILKLENCIPDVIWKMKNLRHLFLSGTNPTNLRLDTLSNLRTLKNLGSGRWIEDGGLVKLTNLQRLKIVGLKEANLNSVFSNIEGLHCLESLSLEFRENESLPPPLRLSHFEHLRKLHLDGKIVKLPARREFPPNLIKLRLLNSYLEQDSIRKLERLPNLQMLLLGAYSYNWEKLVCRRRFPQLRILHIEDIPNLKLIVKASAMKQITDLKVSRCPGASIKIHGDRYFRNIEVLDSSLVKLSFLS >ONH93950 pep chromosome:Prunus_persica_NCBIv2:G8:22111130:22112213:-1 gene:PRUPE_8G262400 transcript:ONH93950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGAVIIVGTRKERSFFVSVLRNVMLMIMIMHAYGLYRSQIFYLQTKIMGDSSSSSIKHSKFLEVD >ONH89646 pep chromosome:Prunus_persica_NCBIv2:G8:524060:525018:1 gene:PRUPE_8G006700 transcript:ONH89646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNTNSGKEIVLKVLMHCEGCQSKVSNCLRGLEGVEEVVVDYPNHKVTVKGKKADPLKVLERVQKKCSRNAELISPKPKPENRDKKEPEKKESAQPQVKVVVLKMLLHCQGCANDVKKYLERMKGVLNVEANMASSRVTVRGVVDPPKLIEYIKKKLGKHAEIVKQEQGGGGDKGQGKDNKKGPEAGGYIFQYPPQYSTQHIYPSQAFSDDNPFACSIM >ONH90421 pep chromosome:Prunus_persica_NCBIv2:G8:5825537:5830122:1 gene:PRUPE_8G052800 transcript:ONH90421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYDQGKGVEEAIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLTNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVELQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYLMLRKKQAFLDNYRKFPMFAENDLSEFDESREILESLVEEYKACESPDYIKWGMEDPDQILTGEGNAAGTVDPKLAV >ONH93331 pep chromosome:Prunus_persica_NCBIv2:G8:20299155:20300906:-1 gene:PRUPE_8G226200 transcript:ONH93331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKRMKCTANFNCFSKQKPLLQRPKPLPQDPSSIVEKIKKCSTLTELERVCASMIKTNATQDSFFMNQLITACSTLSRIDYAVLAFTQIESPNVFVYNAMIKGFVCCGHPCQALGCYINMLRGMVLPTSYTFSSLIKACTSLSALGVGEAVQGHIWKNGFGSHVFVQTSLIDFYSKLRRISESRKVFDEMPERDAFAWTTMVSSHVRVGDMSSARILFDEMEERNITTWNTMIDGYARLGNVESAELLFNHMPTRDIISWTTMIDCYSQNKKFGEALAVFSDMRMKGISPDEVTMATVISACAHLGALDLGKEIHLYILQNGFDLDVYIGSALIDMYAKCGALDRSLLVFFKLQDKNLFCWNSAIEGLAVHGFAKEALAMFSKMEREKINPNGVTFVSVLSSCTHAGLVEEGRRRFSSMTQDYSILPEVEHYGCMVDLLSKAGLLEDALELIRSMKFEPNAVIWGALLGGCKLHRNLEIAKVSVNELTVLEPNNSGYYTLLVNMYAEAKRWRQVADTRATMKELGVEKGCPGSSWIEMERKVHQFAASDKSHPASSEIYLLLAELYRQLKLDACVPELGFEL >ONH91967 pep chromosome:Prunus_persica_NCBIv2:G8:16222931:16224444:1 gene:PRUPE_8G147000 transcript:ONH91967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLASECSSGCESGWTLYLEQSNFLSHNPRASHRDSGFCDEYKDKRSKQADGDEEDEEEEDQSMVSDASSGPPHFNEDEVYLDDNTTNNINGCFYPPSKDLGPLKFTGKKQRIKEKGRRSCGDQQQQPSFLDDTASSPVFNYSKNNFIVSNNQASGGSSSVLDYSQGFSSTHFQGRSAYQDHFGFLQSTLSGNNLQNNQWFQG >ONH92125 pep chromosome:Prunus_persica_NCBIv2:G8:16735117:16736497:1 gene:PRUPE_8G156500 transcript:ONH92125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGNSTHLRPGWRSFLFMRRFSSGLLVSTQNLTGLHSILAFLNYIAASTSMSSVMVKLTWFPIFCAHCRTSCAAWHRRGRRSLMR >ONH94101 pep chromosome:Prunus_persica_NCBIv2:G8:22443417:22449126:1 gene:PRUPE_8G270500 transcript:ONH94101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVGLMGAQFSKPLVANKVTHLICYKFEGEKYELAKKIPKIKLVNHHWLEDCLRDWALLPEDDYNKSGYEMEMMEAEARDSEDEAENTIMKQSGGRNMYKSPINIKSPPATSGMPKSEGDVPKVPMNFYNAMDHVSIPQNENKLGQASSFSNAYVSNGVSCQNACKFRDGTDGELNDQHHRTPDPKVRDDLTSNFGTAERPAHSARTLSYSRQTPLKSTLPLHVGDKSSNGSVSSKVPICKSNAKFGLASYTFKADQENYKIDSSCVEVPLKRIHSQNGEESSGILPRKRTMDLSHDSSKSQKMNHDAEAGIICSPSSSDKSPKAKPTSMVDGSYETTSHYVIRNDDHSLDKTVNLNAVESSYAGTSPIKSSTVIWKPLACDLPFSATVTSETAEDGNGNKKTPPTTFQRSTKSSLTSKAGIVDCVVEKPTFAVSKTVELQNQHQDVEGLSSNNKKSVTNNSNDPASLNLLKYGNNHLDTQSVSKRTISKKILVSRPKLTSANQKGSVCLGVDASLNDTTFHLNSGDHEKSPLDMLYPGATAEPPKEVEGKDVTMTADVAENNIQSMDDETEAPEEESEHKLENVLHEAKAIGVQSTSKCVTTEEKSEGMQQISDHSDACVHGDAMASAENTDGNERETTVSDRISLLVESSSEGDGVKGKKNKGKRRALGKTKFKAVPAVADVMKPNKFVCDEDTQNENIGETEKELEKIVGKSKCRSVPKNKLENSSKMKENRPIVCGDQSVSTAEQQAGKSTVKSNITPLKINQTSVETSPNSSIPEGKAPSKVKTEPVWFILSGDKFQRKDFRQVIRCLKGRCCRDSHHWSYQATHFIAPGPIKRTEKFFAATASGRWILKSDYLAASNQAGRFLAEEPYEWHQNGLSEDGAINLEAPRKWRLLRERTGHGAFHGMRIIIYGECIAPPLDTLKRVVKAGDGTILATCPPYTRFLDSGVDFAIVSPGMPRVDMWVQEFLKHEIPCVATDYLVEYVCKPGYPLERHVLHNTHAWAENSFGRLQRRAEEIVEDIFAPRDNSGSSDIPCVVCGSVERGEVMLICGNESGSVGCGVGTHIDCCNPPLEVVPEGDWFCPKCSRSKNSTSSSKKRKKGKSK >ONH94100 pep chromosome:Prunus_persica_NCBIv2:G8:22443417:22449126:1 gene:PRUPE_8G270500 transcript:ONH94100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGNSPSKTFLGVRFILLGFDPLHEQKVRSKLVGCGAVDVGHYSPNCSHVVVDKTVFDDPICVAARNDGKTLVTALWVHHSFDVGVPVESTSIIYRPLKDLNGIPDAKSLIVCLTGYQRQDRDDIMTMVGLMGAQFSKPLVANKVTHLICYKFEGEKYELAKKIPKIKLVNHHWLEDCLRDWALLPEDDYNKSGYEMEMMEAEARDSEDEAENTIMKQSGGRNMYKSPINIKSPPATSGMPKSEGDVPKVPMNFYNAMDHVSIPQNENKLGQASSFSNAYVSNGVSCQNACKFRDGTDGELNDQHHRTPDPKVRDDLTSNFGTAERPAHSARTLSYSRQTPLKSTLPLHVGDKSSNGSVSSKVPICKSNAKFGLASYTFKADQENYKIDSSCVEVPLKRIHSQNGEESSGILPRKRTMDLSHDSSKSQKMNHDAEAGIICSPSSSDKSPKAKPTSMVDGSYETTSHYVIRNDDHSLDKTVNLNAVESSYAGTSPIKSSTVIWKPLACDLPFSATVTSETAEDGNGNKKTPPTTFQRSTKSSLTSKAGIVDCVVEKPTFAVSKTVELQNQHQDVEGLSSNNKKSVTNNSNDPASLNLLKYGNNHLDTQSVSKRTISKKILVSRPKLTSANQKGSVCLGVDASLNDTTFHLNSGDHEKSPLDMLYPGATAEPPKEVEGKDVTMTADVAENNIQSMDDETEAPEEESEHKLENVLHEAKAIGVQSTSKCVTTEEKSEGMQQISDHSDACVHGDAMASAENTDGNERETTVSDRISLLVESSSEGDGVKGKKNKGKRRALGKTKFKAVPAVADVMKPNKFVCDEDTQNENIGETEKELEKIVGKSKCRSVPKNKLENSSKMKENRPIVCGDQSVSTAEQQAGKSTVKSNITPLKINQTSVETSPNSSIPEGKAPSKVKTEPVWFILSGDKFQRKDFRQVIRCLKGRCCRDSHHWSYQATHFIAPGPIKRTEKFFAATASGRWILKSDYLAASNQAGRFLAEEPYEWHQNGLSEDGAINLEAPRKWRLLRERTGHGAFHGMRIIIYGECIAPPLDTLKRVVKAGDGTILATCPPYTRFLDSGVDFAIVSPGMPRVDMWVQEFLKHEIPCVATDYLVEYVCKPGYPLERHVLHNTHAWAENSFGRLQRRAEEIVEDIFAPRDNSGSSDIPCVVCGSVERGEVMLICGNESGSVGCGVGTHIDCCNPPLEVVPEGDWFCPKCSRSKNSTSSSKKRKKGKSK >ONH93966 pep chromosome:Prunus_persica_NCBIv2:G8:22148832:22150866:1 gene:PRUPE_8G263600 transcript:ONH93966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFSAVILKRLFMSKVNKAPLSLSRLIRYMNGKDGKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGKRNSKGFRV >ONH91513 pep chromosome:Prunus_persica_NCBIv2:G8:14666176:14670167:-1 gene:PRUPE_8G119800 transcript:ONH91513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVTTQLLGASSVSSFNHSWAYDVFLCYRDADTHNNFTDNLYRNLLQRGIKTFLADELIRREEELLLMPTLFKAIGESRISIIVFSENFASSVWCLDELVEILQCRAAKQKIVWPVYYKVDLIDVRNQQGSFGEAFANHENKFRDNMEKVLRWRAALREATNLPGWYFLDGHNSKFVHNIVEEISAQVLNRTYFNVAKYPVGIESRVQDISELLGIGVNDVRMLGIWGPNGIGKTTIAKAVYNSIAHEFECSCFLASVGENSVAPGGLVKLQKIVLSEILGEKQLDWTHVDKGINVIKKILSHKKVLLILDNVNQLDQLNKLVGGSDWFGLGSRIIITTRDKHLLTAHQVNLIYKVKELYFDEAFELFSWNAFRRNKLPDDYAKVATAIVHYAKGLPLALTIIGSLLCGRSIDQWKAALDDYKRVVDLEIQDIVQISYDALEDLVKEVFLDIACFLKGKNKNYVIKMLESCHQNPEYGIQVLIEKALVIIKEDHIWMNDLIQKMGREIFSCQDSLTRLRSRRHSRSWFYKNVNHVLSENTGINRTEDIMVTLRVPDKTLSAKATSAKWIFLLIALCLEILSLAFDQVSSPRNPQYAVFGVMLAISAVLTCIWELIYKGKKERVELRRWRTLWWFYYPRPQRRLFGDVLDIFGLLGAISQCICSTVQYVYFIRHHQNPLKASLLPAIFLICLGASRLSCIQTDKTDEQNA >ONH93079 pep chromosome:Prunus_persica_NCBIv2:G8:19564240:19567812:-1 gene:PRUPE_8G211700 transcript:ONH93079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVYSGSKKRTLSNPLRFPEEIFDEILLRLPSKSVGRCSAVCKSWNSLVNTFFRYRTRDHTRYQSNNHHDEAQLLFLQFHNSSSIINRPYALHLDNSACNLYTKLPNPFVACNKLPTCLRGAKQVEDVTVVGTCNGLVCLVAGDDEIHFAASIWNPCIRKLVALPKPGLAVYKDIDQVTYGFGYDSCPDDYKVLRCVNHKYIRHSTCQVEIWSLARGSWKSLSSAADHVILPANFHPGQLFNRSGVVFVNGALHWLQLARNWKVNKMDYLIASFDMASELFGETTVPEALRKNLYSKPGQREWFISRY >ONH90375 pep chromosome:Prunus_persica_NCBIv2:G8:5521612:5522259:1 gene:PRUPE_8G050700 transcript:ONH90375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNPIIQDLIDSSEHDDDDYLCFKDEDTDQSQYCMYALNAILSGTARLHVLLPTATILSFTIFAPLLTNDGFCTAFDRWMMGFFIALLSASCIFFTFTDSFKTATGRLYYGVATFRGIWAFNGARKMPCVPSDYRLRWADLFHALLSLIAFLTFAASHYGVMGCYYPAMPRRLTNTLPLLVGFVVSVLFVLFPSKRRGIGYPFLLLRDALYSRY >ONH91540 pep chromosome:Prunus_persica_NCBIv2:G8:14811807:14815483:1 gene:PRUPE_8G122400 transcript:ONH91540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGGGSGSGGGGGGIFWGRKEEVSDSKGVAVIFAWVSIHERHLQNYVDLYSSLGWNTLVCHSHFLHAFDPEKAMSSAFVILNELVEELRIKPCPVVFVALSAGTKACMYKVFQIIEGICEGQLYPAEYRLVRKCITGHIYDSGPVDFTSDLGTQYGLHPAILKMPGSSKLVSWLAKGIASGLDALYLTRFESQCAEYWQALFSSVNLGAPFLVLCSDKDDLAPYHIIYNFTQRLQELGGDVNLVKLNGSPHLGHYKHYPIQYRSSVTHFLEKAAMVFSQRIQELEGQNASMEGMHDEISELICDLRKVAVNSNQSLRRVAVEPSDHFFLPSSAENHNVRDSGSLQNEQKERSISLPKPPSISAHSVLGQVLFDVCVPKNVEGWDIKFCGSLNGQPFASARRNSPPHGLKSIRRSRL >ONH94121 pep chromosome:Prunus_persica_NCBIv2:G8:22496141:22498503:1 gene:PRUPE_8G271400 transcript:ONH94121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPHRIRGFSSATAGGSDSDAEIDSEDEARGEWEGNDGFHASSSGDPEEVDRVCKVIDELFALDRNMEAVLDECGIHLSHDLVVAVLIRFQHARKPAFRFFCWAGQKPGFAHDSRTYNSMMSILGKTRQFETMVSLLEEMGAKELLTIETFVIAFKAFAAAKERKKAVGIFELMKLYKFKVDVNTINCFLDTLGKAKLGKEMQLLFEKLKGRFTPNLQTYTLLLNGWCSSKNLMEAGRVWNEMIDKGFKSDAIKLFEVMKAKGPSPNVRSYSIIIHDFCKQKKMKEAVDSFYEMRGSGCQPDAVVYTCLITGFGNQKNMEMVYELLKEMKENGCTPDGKTYNALIKLMTRQQRMPDDAVRIYKKMIQNGVEPSIHTYNMIMKSYFQMRNYDMGCAVWDEMIQKGCCPDDNSYTVLIGGLISQGRSGEACKYLEEMIERGMKPPQLDFKKFAADFSRAGKPDIFEELAQKMKFAGKFEVSNVIARWAEMVKKGI >ONH94120 pep chromosome:Prunus_persica_NCBIv2:G8:22496141:22498503:1 gene:PRUPE_8G271400 transcript:ONH94120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFLRRSTPTQHQHQHQHYSLCLQSHLLYSQSHPILVSDAHLYRRLTPSLRSVCQGPRSGREKRRRGEQRCLPSGSTLPLPGLLHFPPHRNFHHSHCQIPFLLPHPTSLFALQEKLLTPTLSHFTIPRLVVVPNVHLLGNVVGMEYPHRIRGFSSATAGGSDSDAEIDSEDEARGEWEGNDGFHASSSGDPEEVDRVCKVIDELFALDRNMEAVLDECGIHLSHDLVVAVLIRFQHARKPAFRFFCWAGQKPGFAHDSRTYNSMMSILGKTRQFETMVSLLEEMGAKELLTIETFVIAFKAFAAAKERKKAVGIFELMKLYKFKVDVNTINCFLDTLGKAKLGKEMQLLFEKLKGRFTPNLQTYTLLLNGWCSSKNLMEAGRVWNEMIDKGFKPDIVVYNAMLGGLLRGHKRSDAIKLFEVMKAKGPSPNVRSYSIIIHDFCKQKKMKEAVDSFYEMRGSGCQPDAVVYTCLITGFGNQKNMEMVYELLKEMKENGCTPDGKTYNALIKLMTRQQRMPDDAVRIYKKMIQNGVEPSIHTYNMIMKSYFQMRNYDMGCAVWDEMIQKGCCPDDNSYTVLIGGLISQGRSGEACKYLEEMIERGMKPPQLDFKKFAADFSRAGKPDIFEELAQKMKFAGKFEVSNVIARWAEMVKKGI >ONH93539 pep chromosome:Prunus_persica_NCBIv2:G8:20916860:20917895:-1 gene:PRUPE_8G236700 transcript:ONH93539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTMPWSEDSDSSDSHSESEGDDGVGKRKAGQGQPSKKTASQVISGKRKSKVVDFEALKQYGYKGGPSVLSVPPPKEPEKEPNWSWSTGREDNKEGANGGTKKGNEGEEESYEQRQKTRAALASGEQLEHVLTRNDKKNLSFSQKEKRKRELGQASRGKNYVEEEKRLLRESGVYSGFDT >ONH93528 pep chromosome:Prunus_persica_NCBIv2:G8:20860884:20862486:-1 gene:PRUPE_8G235900 transcript:ONH93528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEVLQLYELSYPDLVLVSSNNVSLSAAEELDKLQSTSKAIMEALGPVGPGLLSITGVPNAAALRRDLLPLARKLALLNPNHRKTILKDHKLGSDVPLKNPERNVSSFAMQIKYSHDFDETHSNSEHGSTIEFENLGNGFRELGFCMMELGLQLARVCDRAIGGNELEQSLLESCTAKARLIHYHSPIDKTILVKEAMSTKRTSKRPLNSSGKQIGDEHKQLSGIGSDNLWQQWHYDYGIFTVLTAPMFLLPNSAQEATEERDEECPYPNGHTYLQIFDPIKNNVFMVKASHESFIVQVGESADIVSRGKLRATLHSVARPSKFENLSRETFVVFLQPAWNKTFSITEYPMNLGMSTEIKEVDEPEQSRLTEEIQKIVPPLALRLKDGMTFADFSRETTKQYYGGIGLQSNR >ONH93215 pep chromosome:Prunus_persica_NCBIv2:G8:19996242:19998947:-1 gene:PRUPE_8G219800 transcript:ONH93215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISRLNRSPSILYTVSCRHEGWNSIAKYLIEDVPLLLKSEDVKDVQNVISVVFKSPPADLREFIKWVAEVRRQEDGNPIVNKEEKGRLAIKEEVLKQVKEMELFKHVTKWLAAKNSLCKDTVSLGGKDTLPEIAANVCCQGAKVLAGKVSSLDGMCCRKTDMKVLKSNGEKPVTVVSGTVTTNGMEQAVDMLVPSCQTDPSSLCSFYHGSCVGMHPSTGDILTVLLLALPQHTWSGIKEEKLLAEINSLISTDCLPTLLQGEVQYLRRQIHFLMTDNVAGSLS >ONH92962 pep chromosome:Prunus_persica_NCBIv2:G8:19177163:19179048:-1 gene:PRUPE_8G204400 transcript:ONH92962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGVLASASPQAVCSLLSKPRTSCCCYASSSVSGHKPCSSDLKLRSFGLFGTKGSQRSRVQCSSSSGPGSGDGDSRSVLDAFFLGKALAEAINERIESSVGEFLSTIGRLQAEQQKQVEEFQEDVLERAKRAKEKAAREAAEVQGLAKPPTAEYITPVKITNGVTQVTSTTTTTTSNVTPVNQPSLSGPASPATANEPGPADEDTIFGVPIEE >ONH89774 pep chromosome:Prunus_persica_NCBIv2:G8:1394662:1399295:-1 gene:PRUPE_8G015700 transcript:ONH89774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHYRPPGKKKEGNAARYITRSQAVKQLQVSLPLFRKLCILKGIFPREPKKKTKGNHHTYYHLKDVSFIQHEPLLERLREIRAYQHKIKKAEAKKNRDRATLLTQRRPSYKLDKIVLQRYPKFLDALRDLDDCLTMVHLFAALPAIEGRIEVKRIHNCRRLAHEWQAYISRTHRLRKVFVSVKGIYYQAEVKGQEVTWLAPHPLQQVLTDDIDFNIMLNFLEFYEALLAFANCHLYHSINVRYPPILDPRLEAVAADLYALSRYFDANSQSSAWDSQTPSLSGSGKVESQQIGPSIDESELRLAQLQHQLPSNEPGVLMHLVGDVLGEDKEDNDARECTKLFKDMKFFLNREVYRESLLFIIPAFGGIVSWQGDGAPFEEDDASITHQIIDRPRHDGKICGRQYVQPQWVYDCVNARIILPTGDYLVGRDLPPHLSPFDDNDYFEITERGKAAGINEVLPLPGMEREDLEDPLKLLAEGVINRAEAEAAKEKRKKMKAHEKQYHHELIMEIQGVTHSSSISNIDNLSADREEPHHDYEQVDEDNRIMSELEWSRSFKGRIKAMRISRQRKKQCADVIRQRRKILEEHEV >ONH92901 pep chromosome:Prunus_persica_NCBIv2:G8:19068222:19071921:-1 gene:PRUPE_8G202200 transcript:ONH92901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALACQEVPPWTLGVIVGAFLDLALAYFLLCVSAFVFFASKFLKIFWVYLPCPCNGFLGYRNRDLCLHKLLIDGPVARIRVVQELVKSKFPFDVVWSKDQRSNLNLKLIRDVNCEIGVQEFESEAYSSAYSSPKLQNLVDRESGYDAKGKRIMVLKKRPGIRRSRRAAPEYGKLPSPLSNDSLKSAARIFPFPCDDRYTRETSGESSVAVAGREDGSQDDVKAPTGDNMEECQTSKLSGPAGESKGVDIKEKAPIVGNEMDTIRILQEALQKEKASCAALYLELEKERGAAATAADEAMAMISRLQKDKASIEMEVRQYQRMIEEKFVYDEEEMDVLKEILLSREKENHFLEKEVEAYRQMCFSGKEQCNGDLSGMLRELGQKPSPSFNTDPLMMLQQTDDTKYNCKKFGGIAYSTSEYEASFVEKQIHHNGHGSIEKCVISAREEKVHTDDVMYQGMTTQPSQENIDIEKNLYSDDEEQQQRGNLESNLHSSMLDTEPAVYDVHVIDGKTELWKEESKSSIYTALDGYQDLTPTFGASASSELVQAFPSTSR >ONH92900 pep chromosome:Prunus_persica_NCBIv2:G8:19068247:19071884:-1 gene:PRUPE_8G202200 transcript:ONH92900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALACQEVPPWTLGVIVGAFLDLALAYFLLCVSAFVFFASKFLKIFWVYLPCPCNGFLGYRNRDLCLHKLLIDGPVARIRVVQELVKSKFPFDVVWSKDQRSNLNLKLIRDVNCEIGVQEFESEAYSSAYSSPKLQNLVDRESGYDAKGKRIMVLKKRPGIRRSRRAAPEYGKLPSPLSNDSLKSAARIFPFPCDDRYTRETSGESSVAVAGREDGSQDDVKAPTGDNMEECQTSKLSGPAGESKGVDIKEKAPIVGNEMDTIRILQEALQKEKASCAALYLELEKERGAAATAADEAMAMISRLQKDKASIEMEVRQYQRMIEEKFVYDEEEMDVLKEILLSREKENHFLEKEVEAYRQMCFSGKEQCNGDLSGMLRELGQKPSPSFNTDPLMMLQQTDDTKYNCKKFGGIAYSTSEYEASFVEKQIHHNGHGSIEKCVISAREEKVHTDDVMYQGMTTQPSQENIDIEKNLYSDDEEQQQRGNLESNLHSSMLDTEPAVYDVHVIDGKTELWKEESKSSIYTALDGYQDLTPTFGASASSELVQAFPSTSRVDTEPKINTSSLEMRCGLPMLDNSQCKTLVIDSTKNCLPAVNSERWKIDTEVELTNARRDKREVSFLFLF >ONH92980 pep chromosome:Prunus_persica_NCBIv2:G8:19232771:19234933:1 gene:PRUPE_8G205400 transcript:ONH92980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEFTRKKVNIHREECHICGPHPPNRVVLLNKFWGQRGCAEHFEDGTLRCYACHRFKEPEIKYVEVDGRQLCSYCYSITIMDPEECELLIFENVRRFYASLDLNVDEGIPILLVDRDEMIKFKDEKTETIHISCMPLYQINRCTKYEDRIKVEKKANKVVKRQLLAALFCCGQREMIRLLLQFGWPEYFYLPYSVLMGMTLAHEMMHAWLSFQGLIVGFALERWVEEGICEVMSHKYGQWYCSRGLDYSYKTKEQFNFTINLYQYRVELMKIRSDEIYGKGFNQVMQAVEEYGFQTTLDHIVAARCLPHICTNSKYDDDE >ONH92071 pep chromosome:Prunus_persica_NCBIv2:G8:16541861:16543560:1 gene:PRUPE_8G152500 transcript:ONH92071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNHRIVIFIGFFLTLTSIRITDCSVVSTGDFNKDFFVTWSPSHVNTSADGRTRSMKLDQESGAGFASNQMFLFGQIDMQIKLVPGDSAGTVVAYYLTSDQPNRDEVDFEFLGNVAGKPYIIQTNMFADGLGNREERVNLWFDPTKDFHTYSILWNLHQIVFMVDWVPIRVYRNHADKGVAFPRWQPMSIKTSLWNGDSWATRGGKDKTDWSKGPFIASFRNYKIDACVWKGNARFCRAESPTNWWNKKKFSTLTKTQRRLFKWVRKHHMIYDYCQDKQRFQGNLPKECSLPKY >ONH92821 pep chromosome:Prunus_persica_NCBIv2:G8:18882271:18883764:1 gene:PRUPE_8G198100 transcript:ONH92821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLRENGESSLASREGNEARAHVAELEERFRYVEEILRHQVQDYGSKLNGLQNNVDQLRQKADFCERKWKEQEALHQEKATCLEEVLRQLEEANSNLAKVTQSHDMARTQIEKLTGALTLNMKLYDQAEVEVKKFQQDCEMDRILGEIEKEQRAEKRIALTTEIKLNEDFQNPNQKKEGGVIPTLELNKDVSKQVIEAKDVNGEVKAHNPSTFWTVCSSCKYQYEYGRVYVNCHLRCSRCRAGFLALENCRPPPIVKSSSNSSDQQHRSSRHLVDTGSQVTACGKPPYPGSVSPKDLGGS >ONH93923 pep chromosome:Prunus_persica_NCBIv2:G8:22048060:22054916:1 gene:PRUPE_8G260900 transcript:ONH93923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSPTSSCSSSYSSSFSNAKFSYLPVMALREKIVEKILDNRVTLIVGETGCGKSSQVPQFLLEANLKPILCTQPRRFAVVAVAKMVAKARNCEIGGEVGYHIGHSKHLSPRSSIVFKTAGVLLDEMRDKGMHALDYKVIVLDEVHERSVESDLVLVCVKQFMMRNNNLRVVLMSATADIARYKDYFKDLGRGERVEVLAIPTSNQKAIFQRRVSYLEEVADLLNINSESLSASYCSGPSPSMAKADIKAKVHKLIHDLVWHIHEHEPDIEKSILIFLPTYYALEQQWFLLKPFSSSFKVHILHSSIDTEQALMTMKIWKSHRKVILATNIAESSVTIPKVAYVIDSCRSLQVFWNSYQKKESAKLVWVSKSQADQRRGRTGRTCDGQIYRLVTRPFFIQFDEYEGPSVLRLSLRLQVLQICCAESKAINDPKALLQKALDQPHPEVVEDALDLLVHIQALEKTSPRGRYEPTFYGRLLSSLSLSFDASLVVLKFGDIGMLREGILLGILMDTQPLPILRPFGDEILFAEYADSYFCGDDGNTGLTGRKEMVFMANLCAFQFWQRVFKDNHRVEHLKQLLKFDEMKATAFLLPEIEEDWCSFHNLVQSSLKHVSEIYEDILDSVHRYRPKFLSTSNGLPSYYDPYEFEHICLLTCQQPNEDTDALATDDKHLEPSSETMKCVAVPFVAPNNFQNNDVAKKLATIMKQIRVQHTEDLSSNQDLDVDDGYHVNGEASICVYFVNGSCNKGSQCLFSHSLKAKRPPCKFFNSAQGCRYGASCFFSHDESSSVTSSNSTLCLPEGGEAKATSLIQLLPTDGCILLLDDTNLQFSSNFARHYDPSKIVSTTGLSDTSIFDSSLTGVKILWGLYHPYETIISKAGESQIPWNEVKCVLWFPNFDSYSENLDRQKLLLQNFFEYLAVRMLADDLDNVRVILTMNNIRFAQLQVEKLGRESFLFLTESFPFDDASFGELPDKVSTNKPMMVSRPISYVFDLHRPSDIQFGDYAAGLHSFLHHEIQEDV >ONH92747 pep chromosome:Prunus_persica_NCBIv2:G8:18669937:18672903:1 gene:PRUPE_8G193200 transcript:ONH92747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARRLSILCSHIRPGPTPAPARLVPVPGSSCDSGFSDKQQPGDSNKGNLEDNCVFCKIIRGEAPAFKLYEDDICLCILDINPLSRGHSLIIPKSHFCSLKATPPDVVAAMCSKVPFISSAIMKATDSDSFNLLVNNGIAAGQVIFHTHIHIIPRKALDCLWASESLQRRPLNLDQEAPRLVDRVREQLSLPDDSEDSKGQGSSLTRN >ONH91896 pep chromosome:Prunus_persica_NCBIv2:G8:15967217:15968121:-1 gene:PRUPE_8G142400 transcript:ONH91896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEALKSPSTPSPPFSSFEEVEDRHLNQSQEPWAKRKRSKRPRVDDPPTEEEYLALCLIMLARGTTADADAELPPQPPSLKLSYKCSVCNKAFSSYQALGGHKASHRKSDSSAASAAVNSTDNPSTATATTSGRTHECSICHKTFPTGQALGGHKRCHYDGGSGATSALTTSDGGGAGASSHSHSQSQSHQSQRGFDLNLPALPEFWPGFGGHKKSQLSVEQEVQSPMLGKKPRWLLGRD >ONH92041 pep chromosome:Prunus_persica_NCBIv2:G8:16414837:16417616:-1 gene:PRUPE_8G151000 transcript:ONH92041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQMLKSSLSPMPVSHSNFQGKSSWVFSGIQSRKSSIRLSSYRGSGYYLRRRLRVCCKAQEGDNKSNGEEPPESLFMKELRRRGMTPTSLLEEKERIEYGANDEMGKEDRGFSTRNAVSTEIEKSLANQRERSMQLNSEGLEGLIPRARLLLTIGGTFFLGFWPLILITVALFSALYLYFGSTFIHDGSSSPISPPQYIDPYELLEDERISQIAPRVN >ONH92043 pep chromosome:Prunus_persica_NCBIv2:G8:16415786:16417407:-1 gene:PRUPE_8G151000 transcript:ONH92043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQMLKSSLSPMPVSHSNFQGKSSWVFSGIQSRKSSIRLSSYRGSGYYLRRRLRVCCKAQEGDNKSNGEEPPESLFMKELRRRGMTPTSLLEEKERIEYGANDEMGKEDRGFSTRNAVSTEIEKSLANQRERSMQLNSEGLEGLIPRARLLLTIGGTFFLGFWPLILITVALFSALYLDERGPFPTTEEPSM >ONH92042 pep chromosome:Prunus_persica_NCBIv2:G8:16415424:16417616:-1 gene:PRUPE_8G151000 transcript:ONH92042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQMLKSSLSPMPVSHSNFQGKSSWVFSGIQSRKSSIRLSSYRGSGYYLRRRLRVCCKAQEGDNKSNGEEPPESLFMKELRRRGMTPTSLLEEKERIEYGANDEMGKEDRGFSTRNAVSTEIEKSLANQRERSMQLNSEGLEGLIPRARLLLTIGGTFFLGFWPLILITVALFSALYLDERGPFPTTEEPSM >ONH91210 pep chromosome:Prunus_persica_NCBIv2:G8:13041128:13043486:-1 gene:PRUPE_8G098800 transcript:ONH91210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCAILALFSLLLFAKTTDSRRDAGKYWKNVMQEQPMPRAIEGLLVDISDSTPKEKADCHEKVKKPFVEVEEFEPRPSLTSYNHDETKAKLSSKDNAGPKAKQSFAAKEYKQPFEEDFEPRPSASVYND >ONH91209 pep chromosome:Prunus_persica_NCBIv2:G8:13041658:13043486:-1 gene:PRUPE_8G098800 transcript:ONH91209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCAILALFSLLLFAKTTDSRRDAGKYWKNVMQEQPMPRAIEGLLVDISDSTPKEKADCHEKVKKPFVEVEEFEPRPSLTSYNHDETKAKLSSKDNAGPKAKQSFAAKEYKQPFEEDFEPRPSASVYND >ONH90607 pep chromosome:Prunus_persica_NCBIv2:G8:9253080:9255291:1 gene:PRUPE_8G063500 transcript:ONH90607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMMKPHAVCIPLPAQGHINPMLKLAKLLHFKGFYITFVHTEFNYNRLLQSRGSDALNGAEDFQFETISDGLPPTNQRGILDLPELCRAMPVEGQRSFRDLIKRLNKASLWSDAPAVGCIISDGVMGFTFQVAQEFGIPEMLLFTPSGCGMLGYLHYEDLVERGYFPLKDDSDLSTGFLDTVIDWIPAMEGIRLKDLPTFLRTTNRDDTMFNYNIQAIEIAMKAQGVILNTFDELEKEFLDVITTKFPQLYTIGPLSLLQHHMSTTHHLDSIDSNLWKEDKKCLDWLDKREPQSVVYVNYGSLVIMTKEQLAEFAWGLANSKYPFLWVIRPNLVDGGEEILSNEDFMEETKKRGLFLEWGPQEELLKHPSIGGFLTHCGWNSTLESICEGVPLICWPFFAEQQTNCFYLCNKWGFGMEIDTKVKREKVKRLVRELMEGEKGKKMREKAMEWKKKAEAATRTGGSSYTNFDMLVKQLKQEPIGLEDLS >ONH92638 pep chromosome:Prunus_persica_NCBIv2:G8:18372059:18374269:1 gene:PRUPE_8G185900 transcript:ONH92638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGERAYKAHCLVLPYPSQGHINPMLQFSKLLNHKGIKITLANTIFVHNTIQKISSSSSSSSTSIAFETISDGYDEGGITQAESIEAYLDRFRKIGTKTLIELIDRLSGSGNPVDCIVYDAFMPWPLDVAKKFGIVGAVFFTQSCSVDNIFYHVHQGLLKLPLPPDSEILLPGLPPLQPSDMPSFIYVYGSYPAFFTMVVDGQFYNVDKADWVFCNTFYELEEEVVDCMAKLWPLRTIGPTIPSMYLDKRREDDREYGFSLFNPNSDACLTWLNAKPKGSVAYVSFGSLAELGENQMEELGWGLRNSNNYFLWVVREKEAAKLPQGFVEETSGKGLVVSWCPQLDVLANEAVGCFVTHCGWNSTLEALSLGVPMVAVPQWTDQSTNARFIQDVWKMGLKAQADEKGIVRREEIANCVREILEGERGKEIRKNTSKWKELAKNAVDEGGSSDKNIDEFIAKLVQNY >ONH92432 pep chromosome:Prunus_persica_NCBIv2:G8:17768933:17770599:-1 gene:PRUPE_8G174900 transcript:ONH92432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCFLLAFVSLFLLSSIQGGTAEQCGRQAGGALCPGGQCCSKYGWCGTAPDYCSTGCQSQCGGGGGGGGGDIGSLVSRNTFNQMLKHRNDGGCPAKGFYTYDAFIAAAKSFPNFAKTGDAATQKREIAAFLAQTSHETTGGWASAPDGPYSWGYCYLKEQNPGSYCAWDPNYPCAAGKQYYGRGPIQLSWNYNYGQCGKAIGEDLLNNPDLVATDPVISFKTALWFWMTPQSPKPSCHDVITGRWNPSGADKSAGRVPGYGVTTNIINGGLECGKGWNAKVEDRIGFYKRYCDLLGVGYGNNLDCYNQKPFGSDLNVIQLPEN >ONH90334 pep chromosome:Prunus_persica_NCBIv2:G8:5035920:5040464:-1 gene:PRUPE_8G047200 transcript:ONH90334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNKMSWVLAFLGFMFLGGICKADGATHFYDFVLKETNFTRLCSTKSILTVNGSLPGPTITVQKGDTAFVNVHNQGFYGLTIHWHGVKQPRNPWSDGPENITQCPIPAGTNFTYEIIFSTEEGTLWWHAHSDWSRATVYGAIIILPSANTTYPFATPYAQETLILGSWFKGDVMEIISDALATGGEPNISDASTINGQPGDLYSCSNETTYRWLVDFGKTYLLRLINAVMNEEKFLAIANHNLTVVAQDAAYIKPITTPYIMITPGQTMDILVTTNQPPSHYYIASTSFVDGNVNFHNGTTTAILEYNGNYSTPSTTPFPTLPDHNDDTAAENFTKQVRALASEDHPISVPLNISTSLYIAVSIKERICADSSCAGPNGNAISASLNNISFQTPSISILQAYYGGNTNGVYATNFPDIPPHFYNFTGDVTNSTLYPSFGTRVRMINYGEGVEIVFQGTNVIESENHPMHLHGFSFYLVGTGSWNFNSTTSPTTYNLVDPPEVNTIAVPKKGWAAIRFVADNPGVWFMHCHLERHSSWGMDTVLIVRNGNTSESTIRGPPAYMPPCSNS >ONH92760 pep chromosome:Prunus_persica_NCBIv2:G8:18711810:18715211:1 gene:PRUPE_8G193900 transcript:ONH92760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEFFLNAGIPPPLHFEQTSSMPAWRSSFSTAMDIQATATADRNCSSEQSPDCFYNPNWDKSADQNIHFESALSSMVSSPAASNSNISNESFVIRELIGKLGNIGSSGEISPHSQSLLGIQANTYMGRNGNGNGNASTNTSCYSTPLNSPPKLSLPIMDHHLKKEKLPNMGKPMPLNSSVAEFSADPGFAERAAKFSCFGSRSFNGRTTQLGMNNNSSSNNNTELPYRSNAIMGNGKLPRVSSSPALKALGSQTGLQEKMNSLLQDRNELPISREESTLSEQNPNGETGLVASNSMDLNSRKRKSVSKGKAKEPPPISSPSPIATKGAELNDNSNAKRSKPNENNGNDQNGSVKAEEDTKGSTSSDEKQTKTGAKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTRLDFNMDALMSKEIFQQNNSLPQHPIFPLDSSAQAIYGHQRQQNPALQNNISNGAVDPLDTSLCQSLGMQLPPLSGFSSEGIPQFPAFGEDDLQTIVQMGYGQNPNRETELDGSNQVSHMKIEL >ONH92761 pep chromosome:Prunus_persica_NCBIv2:G8:18712217:18714922:1 gene:PRUPE_8G193900 transcript:ONH92761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEFFLNAGIPPPLHFEQTSSMPAWRSSFSTAMDIQATATADRNCSSEQSPDCFYNPNWDKSADQNIHFESALSSMVSSPAASNSNISNESFVIRELIGKLGNIGSSGEISPHSQSLLGIQANTYMGRNGNGNGNASTNTSCYSTPLNSPPKLSLPIMDHHLKKEKLPNMGKPMPLNSSVAEFSADPGFAERAAKFSCFGSRSFNGRTTQLGMNNNSSSNNNTELPYRSNAIMGNGKLPRVSSSPALKALGSQTGLQEKMNSLLQDRNELPISREESTLSEQNPNGETGLVASNSMDLNSRKRKSVSKGKAKEPPPISSPSPIATKGAELNDNSNAKRSKPNENNGNDQNGSVKAEEDTKGSTSSDEKQTKTGAKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTRLDFNMDALMSKEIFQQNNSLPQHPIFPLDSSAQAIYGHQRQQNPALQNNISNGAVDPLDTSLCQSLGMQLPPLSGFSSEGIPQFPAFGEDDLQTIVQMGYGQNPNRETELDGE >ONH89642 pep chromosome:Prunus_persica_NCBIv2:G8:510498:512126:1 gene:PRUPE_8G006300 transcript:ONH89642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKKLSFLLLAILTLRCLKPISAACHVDDEAGLLAFKSSITADPSNKLSSWKPGTDCCTWAGINCLNNRVTSLSLGGQPDQPNTFLSGKISPSLAKLKFLDGIYLQNLNLSGPFPDLLFGLPNLQYVYIEHNRLSGRIPDKIGNLTQFGALSFYYNQFTGPIPSSISKLTRLTQLNLGGNLLTGSIPYGIKNLKSLTLFNLEQNGLSGPIPDFFSSFSELRILRLSRNKFSGKIPASISALAPKLAYLELGHNALTGQIPDFLGNFKALDTLDLSHNGFSGIVPQRFVNLTKIFNLDLSHNYLTDPFPQLKVKGIESLDLSYNSFHLNQIPKWVTSSPIIYSLKLAKCGIKLKLEDWKPAETYFYDFIDLSENEITGSPIGLLNKTDFLVGFSASGNKLKFNLESLRIVKTLKQLDLSRNLVFGKVPSGVSGLDRLNVSHNHLCGPLPATKFAASAFLGNDCLCGAPLAPCK >ONH89981 pep chromosome:Prunus_persica_NCBIv2:G8:2520244:2521322:1 gene:PRUPE_8G027700 transcript:ONH89981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNSQTSLNEVKCAIPITTNDLEHQQTLDQNEFDYSKRSQWLRAAVLGANDGLISTASLMMGVGAVKEDIKAMILTGFAGLVAGACSMAIGEFVSVYSQLDIEVAQMKRDDQNKQKTPAHVAEDGDSEGDNEKESLPNPLQAAAASALAFSVGAMVPLLAASFIREYKVRLGVVAAAVSLALVVFGWLGAKLGKAPIMRSMMRVLVGGWMAMAITFGLTKLIGSSGL >ONH89558 pep chromosome:Prunus_persica_NCBIv2:G8:173133:176338:1 gene:PRUPE_8G002100 transcript:ONH89558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTERPSKRLRRRVTADLPDFFTFPQPHSDVVPPQPFRESVRSFLIRHAHVTCPSSSLFPSLVTSQISLRVGHPGDPTAVLPLDVVEEDVTRSRRSVYCDQCRVVGWSGHPVCKKRYHFIIRRGAGTCETEIPLHQQQQQQYNNNNKSNNCCNSMSATMEDTDDVEEWAYLQLHDNTHLLHAVIHSNGFAHLLTLNGRQGGSTLLSGRHILDLWDRLCQTLSVRKVSVMDVSKKYGMEYRLLHTIINGHSWYGDWGYEFGAGSYALTQNSYHKAVDTLSSLPLHPFLFQPRRPRTRMQSVIAFYQSLSDTQLPTIKDLFTYMLSLIHRSRKPTSCKKPQHHTSNALCAWTANDVEHVQQAMIKLLLAAVGVGEDSWVSKRALRAALYKSSSPELLDYSLKHLGGKLAANGMVIKARCSPASIDVEYRLEPLIIGNVDGSEDLNYPSEEQILCDLKFLYDSIIHPDTMLSYTPQAMRERVIDAATKLLDCKQFVKDYMPDKMAAESSCGIHLWCHVELSDEPKDDLVLPPELIVLPLNATVADLKREATMAFQEVYAMFKRFEVEELLEYGSINDSFTVKLLVGTCGSIRLKGRCPAKHGLNRFRMERGLESWTVDCTCGAKDDDGERMLACDTCGVWQHTRCAGIHSSDAIPARFVCMRCVISYRKKSQNTNDPAKEANISVPFSNTTCRAKASATDSPSVASNMNMTFSVL >ONH91242 pep chromosome:Prunus_persica_NCBIv2:G8:13307395:13307700:-1 gene:PRUPE_8G101600 transcript:ONH91242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPSQRKHKEKHKLCFFHRKHVIDSLPKSTKPTSLSNCQQTSLGATSHDLEQRWVFWRGSKSTPRATIFLWTLLHTLTWRKPIWICSRPMMLQGMRWAVA >ONH92591 pep chromosome:Prunus_persica_NCBIv2:G8:18224518:18228762:1 gene:PRUPE_8G182700 transcript:ONH92591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEVIEFDIGLGGGGGRDGDDDFVDIEHPVDDEEMVDSPLMSSATGSASGIVVFGGGGSGEIYLPEGDLLDLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVKEHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLDGDIQMLLDYLRQMQADNQNFFYAVQGDEDQSTGNVIWADPKARMNYSYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFVWLFKTWLMAMSGRHPVSITTDHDAVIQSAIMQVFPQTRHRFCKWHIFKKCQEKLSHVFLKHPTFEADFHKCVNLTESIDEFESCWLSLVDRYDLRDHEWLQTVYSARRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYVNASTNLSQFFKLYEKALESRNEKEVKADFETMNTAPVLKTPSPMEKQASELYTKKIFMRFQEELVGTLTFTASKGDDDGEIITYQVAKFGEDHKAYYVKLNVLEMMATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNAKSSVMLEERSSDVYTNYLESHTVRYNTLRHEAFKFVDGAKSSETYDIALDALKEAAKKVAHAPKNDGKTMVNGHVRGNLAGGASRIHYASGDHEGSSGQHLSEDDMDKKIRELTNELQCANRKCEVYRANLLSVLKDIEDHKLQLSIKVQNIKIGMKDGL >ONH92589 pep chromosome:Prunus_persica_NCBIv2:G8:18224518:18228762:1 gene:PRUPE_8G182700 transcript:ONH92589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEVIEFDIGLGGGGGRDGDDDFVDIEHPVDDEEMVDSPLMSSATGSASGIVVFGGGGSGEIYLPEGDLLDLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVKEHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLDGDIQMLLDYLRQMQADNQNFFYAVQGDEDQSTGNVIWADPKARMNYSYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFVWLFKTWLMAMSGRHPVSITTDHDAVIQSAIMQVFPQTRHRFCKWHIFKKCQEKLSHVFLKHPTFEADFHKCVNLTESIDEFESCWLSLVDRYDLRDHEWLQTVYSARRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYVNASTNLSQFFKLYEKALESRNEKEVKADFETMNTAPVLKTPSPMEKQASELYTKKIFMRFQEELVGTLTFTASKGDDDGEIITYQVAKFGEDHKAYYVKLNVLEMMATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNAKSSVMLEERSSDVYTNYLESHTVRYNTLRHEAFKFVDGAKSSETYDIALDALKEAAKKVAHAPKNDGKTMVNGHVRGNLAGGASRIHYASGDHEGSSGQHLSEDDMDKKIRELTNELQCANRKCEVYRANLLSVLKDIEDHKLQLSIKVQNIKIGMKDGL >ONH92590 pep chromosome:Prunus_persica_NCBIv2:G8:18224506:18228762:1 gene:PRUPE_8G182700 transcript:ONH92590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEVIEFDIGLGGGGGRDGDDDFVDIEHPVDDEEMVDSPLMSSATGSASGIVVFGGGGSGEIYLPEGDLLDLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVKEHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLDGDIQMLLDYLRQMQADNQNFFYAVQGDEDQSTGNVIWADPKARMNYSYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFVWLFKTWLMAMSGRHPVSITTDHDAVIQSAIMQVFPQTRHRFCKWHIFKKCQEKLSHVFLKHPTFEADFHKCVNLTESIDEFESCWLSLVDRYDLRDHEWLQTVYSARRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYVNASTNLSQFFKLYEKALESRNEKEVKADFETMNTAPVLKTPSPMEKQASELYTKKIFMRFQEELVGTLTFTASKGDDDGEIITYQVAKFGEDHKAYYVKLNVLEMMATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNAKSSVMLEERSSDVYTNYLESHTVRYNTLRHEAFKFVDGAKSSETYDIALDALKEAAKKVAHAPKNDGKTMVNGHVRGNLAGGASRIHYASGDHEGSSGQHLSEDDMDKKIRELTNELQCANRKCEVYRANLLSVLKDIEDHKLQLSIKVQNIKIGMKDGL >ONH89714 pep chromosome:Prunus_persica_NCBIv2:G8:940593:941353:-1 gene:PRUPE_8G011600 transcript:ONH89714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLWINQMKSLVMHLHSKKAQSLIVVVMVICNCQMTRTVLKAAMMMILSVKVMDIVKEAEARQGHDDDDTYVANDEYNGCNYDNEYNYDDECDFDEL >ONH91192 pep chromosome:Prunus_persica_NCBIv2:G8:12950666:12958098:1 gene:PRUPE_8G097600 transcript:ONH91192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIMEISQEIAVDIVERIPRESSLRSWAIEESATINEGHRSSREWPKIIKSIFYRGRSFLPKWKRAFLASCVFGVLIDPLFFYIPIVNKDKKCIGLDKSLKGAVISLRSTMDLFYLVNIVIQIYIEPKVPTIRVMGRSYLHTIVLTKGTILVEDALAMANRIWRISSHILIDILAVLPIPQAVILIFMDSSSLNTRKFLAFLALLQYVPRVLRIYLLCTKFNKTPTRETEIWILFKGAFSFFLYILASHVFGAFWYFLAIQREAACWQNACRNNNGCEPTTFYCNGRTIKDITFLDDLCSINPHNTNATPFCFGMFVEAVQSGVLESTNLPKKFFHCFWWGLRNLSSLGQNLETSNYTWENIFAIFISLSGLLLVLLYLTTNLKICMELVTRTSAKMRIIRQLKMKDLEVQFWLSENDIPKNMKATIMQKVHKQLEQNKDVRVENILYILPLEQKRFIKRHLCWPTMKKVPMLRVMDDHVLKEIFDNLKPVSYTEDKCIIGEGEPLVKMLFITRGILLTYTTNNGARRSSGGSSTTKCLAKGDFYGEELLTWASTFSPLSDLPISTTFVKSLTKVEAFALMANDLKTIASKFWRHFRTDARMQVHLSANLIQTNWRRRYTRRGRWYSLKELEIATRGFSPENVIGGGYDVVFRGILQDGHVVAVKNLLNDGGQAEILFKAEVEAIGKVKHKNLVGLIGYCAEGPQRMLVHEYIDNGNLEQWLHGDVGPVSPLTWDIRMKIAVGTAKGLDYLHEWLEPRVVHRDIKPSNILLDRKWNPKVSGFGVAKLLAPESSYVTTSVVGTMGYICPEYVRTGMLNEWSDVYSFGILLMEIITGRRPSDPSRPDEEIFLVDWFKEMIQSRRGEEVVDPLIEVRPSPRAMKRALLVCLRCTDSDVNKRPKMGQIVHMLEADDFPDCSESPFLS >ONH91291 pep chromosome:Prunus_persica_NCBIv2:G8:13534982:13543586:1 gene:PRUPE_8G104400 transcript:ONH91291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPQGGSDRDKSSSSSSPISVVSNFWKEFDLEKEKSVLDEQGLRIAENQENSQKNRRKLAESTRDFKKASPEEKLNLFNSLLRGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYLALASSAEQDLKLSELESENRKMKVELEEFRTEATHLKNQQATIRRLEERNRQLEQQMEDKVKEIVEIKQRSFAEENQKMLEVLKEREQLLQDQLRQAKDSVFNMQKLHELAQSQLFELRAQSDEERATKQSEVNLLMDEVERAQTRLLSLEREKGLLRSKLDTANEDTENKNSDTSDSNSILENSLIAKEKIIAELNMELHNIETTLSNEREEHLNEIKKLNALLIEKEAALEEMKKELQGRPTTKLVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSKMESLLLDKNRKMEHELTQLKVKLSEKALLLEKADGKTAELTAKVNEQQKLIQKLEDDILKGYSSKDKKGSLFDDWDLSEARSTEVSENADQKHVSSDQDQSSMLKVICNQRDRFRTRLRETEEEIRQLKERIGALTAELEKTKADNVKLYGKIRYVQDYSLEKVVSRGSKKPAEDLESGFSSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSNGQEDVIIGDKQLNLPHAL >ONH92068 pep chromosome:Prunus_persica_NCBIv2:G8:16521899:16524196:1 gene:PRUPE_8G152200 transcript:ONH92068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALSWWLMLVGSLRLVSVWFGFFDIWALRLAVFSQSPMTEVHGRTFGVWTLLTCTLCYLCAFNLENKPLYLATFLSFVYALGHFLTEYLIYHTMAIANLSTVGFFAGTSIIWMLLQWNAHQPQPSLKSE >ONH92566 pep chromosome:Prunus_persica_NCBIv2:G8:18148392:18150101:1 gene:PRUPE_8G181100 transcript:ONH92566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSKMSLLVFLVPLFLGSSLAHEFSIVGYSPEDLTCMDKFIMLFESWISKHSKIYQTMEEKLHRFEIFRDNVKHIDERNKNLDVDSYWLGVNEFADLSHEEFKSKYLGLKPESTRRRESTGDFSYRDFDVEALPKSVDWRKKGAVTPVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQQLIDCDRSFNNGCNGGLMDYAFQFIMSSGGLNKEDDYPYLMEEGNCEKKKGASEVVTINGYQDVPENNEEALLKALANQPLSIAIDASGRDFQFYSGGVFNGRCGTELDHGVAAVGYGSQKGLDYIIVRNSWGPKWGEKGYVRMKRNTGKPEGLCGINKMASYPTKKK >ONH93020 pep chromosome:Prunus_persica_NCBIv2:G8:19416379:19416765:1 gene:PRUPE_8G208700 transcript:ONH93020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDSCTVGLGPQSGNYHVEIRNHLSHDTILKVGCKSGGGDLGDHWIVNGQRFTVGFHNDKCVSTEYWCKLSWGSKSSHGGNYRFFSCEESFLKFCNFQDCSWKVRDDGIYLHDMHGLKDVKYYDWES >ONH90857 pep chromosome:Prunus_persica_NCBIv2:G8:11226431:11236100:1 gene:PRUPE_8G078000 transcript:ONH90857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIEVVSSDSKTQKNPTEVPVVDVFSASAYGDFLKLRKFVEQDGASLSNPDVHGYYALHWAALNNFPDIAQYIIEHGGDVNATENIQQTALHWAAVQGAIAAADVLLQNGARVEAADVNGYRAAHVAAQYGQTSFLNHIVAKYHADFDAPDNEGRSPLHWAAYKGFADTVRLLLFRDASQGRQDKEGCTPLHWAALRGNVEACTVLVYAGTKQELTVKDNAGFTPVQIASDKGHQRVALFLANQQRAHSNRWRDKFCSGKMADIGFAPILLCTIIFQTILFMNSVLAAPNLTKVTAAVGLWGWAAISLAVGSLIMFYRCSSKDPGYIKRAGEFSSHTDTEDRLLNIDLNNNSVWMGNWSQLCPTCKIIRPVRSKHCPTCNRCVEQFDHHCPWISNCVGKRNKRDFFIFICMGTLTSIISAAVTVQRIWSSMPAWRTEETWIHHLVVQHPSVVAFLFLDVIILVAATTLTVAQATQIARNITTNELANAIRYGYLRGPDGRFRNPYNHGCRKNCADFLIQGHTDDDEIAWPPLQQVTS >ONH92237 pep chromosome:Prunus_persica_NCBIv2:G8:17153472:17163654:1 gene:PRUPE_8G164700 transcript:ONH92237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSRKRAEATSAAPSSSSSGRTTRSYKRTRLSASSFSSVNAAPITTRSRASRTQTEPAPAPMDPTNESSGSRGRRNKSSDKDGSDKGKEKEHEVRVRDREREREREREREREREREAERNLGLNMDGGGNGDDDDNDSEGGVGILHQNLTSASSALQGLLRKIGAGLDDLLPSSAMGSASSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVSLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQSASLISTSNSGGGQSSLSTPTYTGLIRLLSTCASGSPLGSKTLLLLGISGILKDVLSGSGISSNTSVSPALSRPPEQIFEIVNLANELLPPLPQGTISIPSNINLFMKGPVVKKASASGSGKQEDTNGNGPEISAREKLLNEQPGLLQQFGMDLLPVLIQIYGSSVNGPVRHKCLSVIGKLMYFSSAEMIQSLLSVTNISSFLAGVLAWKDPHVLVPALQIAEILMEKLPNTFAKVFIREGVVHAVDQLILPGTPNSVPAQVSSAEKDSDPVPGTSSRSRRYRRRNSNPNPDGNSLEEPKTPASANIGSPPSSVEIPTVNSSLRMSVSACAKAFKDKYFPSDPGAVEVGVTDDLLHLKNLCMKLNAGVDDQKTKAKGKSKASGSRLADSSANKEEYLIGVVSEMLSELSKGDGVSTFEFIGSGVVAALLNYFSCGYFSKERISEANLPKLRQQALRRFKSFVAVALPFSINEGRVVPMTILVQKLQNALSSLERFPVVLSHSSRSSTGSARLSSGLSALSQPFKLRLCRAQGEKALRDYSSNVVLIDPLASLAAVEEFLWPRVQRGESGQKPAASAGNSESGTTPTGAGASSLSTSNPAPTTRRHSTRSRTSVNIGDGARREPSQEKSTSSSKGKGKAVLKPSQEEGRGPQTRNAARRRAALDKDVQMKPANGDTTSEDEELDISPVEIDDALVIEDDDISDDEDDDHDDVLRDDSLPVCMPDKVHDVKLGDSAEDATVASATSDSQTNPASGSSSRAATVRGSDSAEHRSSNSYGSKGAMSFAAAAMAGLGSASRGIRGGRDRQGRPIFGGSNDPPKLIFTSGGKQLNRHLTIYQAIQRQLVQDDDDDERYAGSDFVSSSDGSRLWSDIYTITYQRPDNLADRASAGGASSTTALKSGKSGSASNSNSDSQLHRMSLLDSILQGELPCDLEKSNSTYNILALLRVLEGLNQLAPRLRAQIVSDSFAEGKILNLDELSTTGARVFPEEFINSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSANEREVRVGRMQRQKVRVSRNRILDSAAKVMEMYSSQKSVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVRLGMWRSNSSMEKTSMDIDGDEQKDGKSNGDIVQAPLGLFPRPWPLNAVASDGSQFSKVIEYFRLVGRVMAKALQDGRLLDLPLSTAFYKLLLGQDLDLHDVLSFDAELGKTLQELHNLVCRKLYLESSGDNCDAIAELRFRGASIDDLCFDFTLPGFPDYVLKAGDENVDINNLEEYISLVVDATVKTGIMRQIEAFRAGFNQVFDISSLQIFTPHELDYLLCGRRELWEAETLVDHIKFDHGYTAKSPAILNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSTANNTAANGTGPSELADDDLPSVMTCANYLKLPPYSTKEVMLKKLLYAISEGQGSFDLS >ONH89828 pep chromosome:Prunus_persica_NCBIv2:G8:1751820:1752608:-1 gene:PRUPE_8G018800 transcript:ONH89828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPNKFYFPYFPPPSPPPPSAPSQSTPPPAAAPAAKPPSHSTPAPPPPAAKPPSHSTPPPPPHHPFRPPPPHVRPPPPPLPPSPSPDNNPTVIIIVFISLGSVFFLAFLAFALLCWIKRRKKKTIQETDIIHIDKHRKIKEKIVEGPYGPQAVVLSVEDDVHIDEEIRKNETIGHKGLHGKVKSSDVQAETEIINFDEHKKVKEDIVGGPYGSKAVVLTIEDDVHMDEVVRKNERVGKGLHAANVVAPKDEPSTSSDIGQ >ONH90619 pep chromosome:Prunus_persica_NCBIv2:G8:9557545:9563216:1 gene:PRUPE_8G064500 transcript:ONH90619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTFCNLNTGFGLTKLNEYHLKQIVSKRSYGGCGRLSCFSCNAQRVFHRVCRRELEAKQWQYCGQAFVNNKADYSNTIREENKEVDISKTTLIWRAIKLPIYSVALVPLTVGSAAAYLQTGMFSAWHYSVLLVSSVLIITWLNLSNDVYDFDTGADKDKKESVVNLVGSRTGTLVAAYLLLALGFLGLAWVSFEAGNMRAILLLACAIMCGYIYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLLQGSTREMVHLPITGSILSSSLLIGITTALILFCSHFHQIEGDKAVGKMSPLVRLGTKRGLVVVKLAIIGLYVLTFTFGLSSALPFTCIFLCALTLPIARLVVSYVEENHNDKHKIFMAKYYCVRLHSLFGAALAAGLVLPRMVPKINIARLGFS >ONH93115 pep chromosome:Prunus_persica_NCBIv2:G8:19692911:19700096:1 gene:PRUPE_8G214100 transcript:ONH93115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSHANEIGVKLLDLQEKKGLLERLVGAVEEGQESFLLRLKNRIDRVGISFPTIEVRFEHLNISAEAYVGSRALPTVLNYCVNLVEGFLNSIHILPTKKKHLSILKDVSGIIKPGRMALLLGPPSSGKTTLLLALAGKLDQDLKSSGCVTYNGYEMHEFVPQRSAAYISQHDVHIAEMTVKETLAFSARCQGVGPRYEMLEELTRREREENIKPDPDIDIFMKAISTEGQKEILVTDYILKILGLDTCADTLVGDQLLRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSVKNYVNILNGTAVISLLQPAPETYELFDDIILLSDGQIVYQGPREQVLDFFESMGFKCPERKGIADFLQEVTSRKDQEQYWTNRDETFRFITVKHFSEAFQSFSVGKRITEELAAPFDKTKSNPAALTTKKYGIRKVELLKACFSRELLLMKRNSFVYVFKLTQLAIMALITMTVFLRIDMHRDSVTDGGIYAGALFYSFVTVMFSGMSEISMTIAKLPVFYKQRDLFFPSWAYALPTWILKIPITFLDVSVWVFITYFFIGFDPTVERLFRQYLLFLLISQMASALNRSIAGMGRSMVVAYTFGSFAQLMLFALGGFILSRENIQNWWIWGYWISPLMYGQNAIVVNEFRGKSWSHVLPNSTELLGVAVLRSHGFFTHPSWYWIGVGALVGYILVFNICFTLALTYLNPLEKPHSVKSEESQSNEHDEKTGEFGQLENQGNSLILQINTDNAEECINHNKKRGMVLPFEPHFITFDKITYSVDIPQSMKNSKGVVEDKLVLLKCVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTRGYTEGNISVSGYPKNQDSFARISGYCEQNDIHSPYVTVYESLMYSAWLRLSTEISSGTRKMFVDEVMRLVELNPLRQALVGLPGESGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRAVRNIVDTGRTIVCTIHQPSIDIFESFDELFLMKQGGQEIYVGPLGHHSCHLIKYFEGIEGVSTIKDGYNPATWMLEVTTSAKETALGIDFADVYRSSEIYRRNKSLIEELSTPAPGSKDLYFPTRYPQSFFTQYMACLWKQHWSYWHNPEYNAIRFIYTTVVALLLGTMFWNLGTKMTKPQELFNAIGSMYASVLFLGIQNAMTVQPIVAVERTVFYRERAAGMYSALAYAYAQVTIEVLYVFAQAVIYGVLVYAMIGFEWTVAKFFWYLFFMFFTCLYFTFYGMMGVALTPNQHVAAITCNAFYALWNLFSGFIIPRTRIPIWWRWYYWASPMAWTLYGLTASQFGDIQAKLNTGETVQEFLRNYFGFEQEFVVVVAAVVVGFTLLFAFTYALSIKKLNFQWR >ONH93334 pep chromosome:Prunus_persica_NCBIv2:G8:20305437:20307444:-1 gene:PRUPE_8G226500 transcript:ONH93334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALVDYTAAEKELYEFDDLKIGVKGLVDAGVTHIPRCFIHPPECRANNSSTPQNNGADGVEIPVINLQGFNENDDRRVKMVNAMRYACATWGFFQIVNHGVPVAVMVEMVESIRRFHEQPQEAKMEWYSRDFSRTVNYYSSGDIKGRAQPVPAEWKDTLSCRAADDQWDFDALPELCRREMKEYMKNMIDLQEKLSELMSEALGLNKDHLSSTGYLKSIKLSGHYYPACPEPDLTLGTIKHSDPSLLTLVLQDKSNGLQVLHNDQWVDIPAVEGAFVANIGDFMQLLSNDKFKSVEHRVLATPFGKPRISAVSFFLPCAKDIHKPCGPIKELLSDNSPPIYRITSYAEFMSHYRVVGQIGGRALPHFKV >ONH91480 pep chromosome:Prunus_persica_NCBIv2:G8:14427915:14428907:-1 gene:PRUPE_8G117400 transcript:ONH91480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKNVDGEEKLLHDHVKNGDLQASTFKKKVWSEISEELSGKCGKKCTIKQLKSKFNRLRTAHDEFSDLIEHIGFGWDLIANTVIASDNSNPRAKQYRTQGLVHYQLLGEIFNTTTAIDQLRYASNQHPPNSNKNSELENYFLNISVNIDVDLDDDGVNLEIDHGKGKRKSEKSLEASSTSNEMYYIEECIGLVEEIGDIDNDTFNKMLEKIVLEEWRKIFVIMPYARRRAWLASLQEY >ONH93436 pep chromosome:Prunus_persica_NCBIv2:G8:20644105:20646711:-1 gene:PRUPE_8G232200 transcript:ONH93436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEETELRLGLPGNGGSHEGDQAAAVTMRKRGFSETESSEDEISCETSCVDLKLNLSNSKEQAITTGKDVTEKSKTNKDNKLDLPAADPAKPPAKAQVVGWPPVRSFRKNMFTALQKSPSDKESEHNKGSSNAVTLVKVSMDGAPYLRKVDLKMYKSYPELSDALAKMFSSFAIGMKDFMNESKLMDVLKGSDYIPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGKEAVGLAPRAMEKCKNRS >ONH93437 pep chromosome:Prunus_persica_NCBIv2:G8:20644589:20646341:-1 gene:PRUPE_8G232200 transcript:ONH93437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEETELRLGLPGNGGSHEGDQAAAVTMRKRGFSETESSEDEISCETSCVDLKLNLSNSKEQAITTGKDVTEKSKTNKDNKLDLPAADPAKPPAKAQVVGWPPVRSFRKNMFTALQKSPSDKESEHNKGSSNAVTLVKVSMDGAPYLRKVDLKMYKSYPELSDALAKMFSSFAIGNCGSQGMKDFMNESKLMDVLKGSDYIPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGKEAVGLAPRAMEKCKNRS >ONH93438 pep chromosome:Prunus_persica_NCBIv2:G8:20645132:20646341:-1 gene:PRUPE_8G232200 transcript:ONH93438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEETELRLGLPGNGGSHEGDQAAAVTMRKRGFSETESSEDEISCETSCVDLKLNLSNSKEQAITTGKDVTEKSKTNKDNKLDLPAADPAKPPAKAQVVGWPPVRSFRKNMFTALQKSPSDKESEHNKGSSNAVTLVKVSMDGAPYLRKVDLKMYKSYPELSDALAKMFSSFAIGN >ONH89638 pep chromosome:Prunus_persica_NCBIv2:G8:466064:472510:-1 gene:PRUPE_8G005900 transcript:ONH89638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRSRGALLSLLIFFSAFVLNTVQVQGNPNYRDALAKSILFFQGQRSGRLPSGATQQITWRSNSGLSDGLQAHVDLTGGYHDAGDNVKFNFPMAFTTTMLSWGTLEYGKRMGPQLSDSRAAIRWATDYLLKCARATPGRLYVGVGDPNVDHKCWERPEDMDTVRTVYSVSQSNPGSDVAGETAAALAAASMVFRKVDPKYSKLLLSTAKEVMQFAMRYQGSYSDSLGSAVCPFYCSYSGYKDELLWGAAWLFRATNDVYYFNFLKSLGASDSTDIFSWDNKFAGAYVLLSRRALLSNDNNFEPFKQEAEQFMCRIFPNSPSSSTQYTQGGLMYKLPGSNLQYVTSITFLLTTYSKYMAARKQTFNCGSLIVTPRALRVLAKQQVDYILGVNPLKMSYMVGYGPYFPKRIHHRGSSLPSKASHPQNMGCDGGFQPFFYSANPNPNILVGAIVGGPNQNDGFPDDRSDYSHSEPATYINGAIVGPLAYFAGGYKS >ONH93440 pep chromosome:Prunus_persica_NCBIv2:G8:20653624:20655672:1 gene:PRUPE_8G232400 transcript:ONH93440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVKYENNEDQLNFKATELRLGLPGSTEEPENKQAATPPPPRNNKRSSPDPTAEECCTNSDHTDAPPTKTQIVGWPPVRSYRKNSMQARKPEAYVKVSVDGAPYLRKIDLKVYKSYPELLKALENMFNLSNIGNYSETQGYINGSDFAPTYEDKDGDWMLVGDVPWNMFVSSCKRLRIMKGTEARGLTSCF >ONH91637 pep chromosome:Prunus_persica_NCBIv2:G8:15098441:15102619:-1 gene:PRUPE_8G126700 transcript:ONH91637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVSSILSPTAVKFSYPMLASMAASAATVPSFSLKSMAYGQRRTATSKHSAKPTKTLSATTATNPVSSTTSTSTATATSLPPKKVLVPIGLGTEEMEAVIIVDVLRRAGADVTVASVEPQLQIEASCGTKLVADTSISSCSDQIFDLVALPGGMPGSVRLRDCAALQKITSKQAEERRLYGAICAAPAVTLLPWGLLRRRQTTCHPAFMHKLPTFWAVKSNIQVSEGLTTSRGPGTSYVFALCLVEQLFGESVAKGIGESLLVCLDDDNSRKEEFNKVEWSFDHIPHVLIPVANGSEEIEVVTIVDILRRAKVDVVVASVEKSVQILASQGTKIIADKLIGVAAELTYDLIILPFWFIVIGRNCWC >ONH91636 pep chromosome:Prunus_persica_NCBIv2:G8:15098438:15102619:-1 gene:PRUPE_8G126700 transcript:ONH91636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVSSILSPTAVKFSYPMLASMAASAATVPSFSLKSMAYGQRRTATSKHSAKPTKTLSATTATNPVSSTTSTSTATATSLPPKKVLVPIGLGTEEMEAVIIVDVLRRAGADVTVASVEPQLQIEASCGTKLVADTSISSCSDQIFDLVALPGGMPGSVRLRDCAALQKITSKQAEERRLYGAICAAPAVTLLPWGLLRRRQTTCHPAFMHKLPTFWAVKSNIQVSEGLTTSRGPGTSYVFALCLVEQLFGESVAKGIGESLLVCLDDDNSRKEEFNKVEWSFDHIPHVLIPVANGSEEIEVVTIVDILRRAKVDVVVASVEKSVQILASQGTKIIADKLIGVAAELTYDLIILPGGTAGAERLNKSRILKNLLKEQELAGRIYGAVCSSPGILHRQGLLKGKKATAHPSIVSKLTNEVVNGTKVVIDGKVITSRGLSTVTDFALVIVSKLFGHARARSVAEGLVYEYPRS >ONH89688 pep chromosome:Prunus_persica_NCBIv2:G8:738092:741734:-1 gene:PRUPE_8G009600 transcript:ONH89688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPIDFLIGKIVTILETEASFIAGVCDEIDDLKQELVCMKAFLNDSEGKKALTEGGETWVASVRGMAYDAEDIIDEFMYHMYEQGCHKSRFARWLHHTIRIPQNVWFRRQMSEKLRKISRMIKAIPERNQRYGVGGLEGASSTCDDVRKWMRNQAESSLFIKEDELVGIERKKQLLMNWLMNGEQQQTVISVVGMGGSGKTTLVAKTFNDERVKKQFHCCAWLTVSQTYEIEDLFRSLIKQFHETSLEKVPADMNSMTYRELLQVLVNYLESKRYMVVLDDVWDIKLWKEMRIALPNTQFGSRIMLTTRREDVASYSFGVQSHIHHIQPLEKNDAWELFSSKAFSAYQNKCCPPDLQSLAKELVEKCVGLPLAVVALGGLMSSKKSLEWIKVYNSLNWHLTNHPLLEPVKSILLFSFDDLPYPLKHCFLYCSLFPADYLIRRKRLIRLWIAEGFVEDVKGATSEEVAESYLMELIFRSMLHVVWRNASGRPKAFKMHDLMRELALSKSEKEKFGAVYDGKEVMDEVQVRRLSIKTTGGEIKLGTVMAQLRSLLVFVTDMSSSSSSNTLPSGFKLLRVLDLGYVPIAILPKELEYLFNLRYLNLRGTPVKKLPESIGKLRNLQTLDIRNSKIEALPSGIAKLQNLRHLMMYRYTEEPRAFRYVNGTRSPSNICMLKKLQVLAVVELEGNIVRLVGNMTQLRRIGISNVKERDEMDLCASIQKMKLLHQLVLKTSDEEEVLQTNALCSPPPHLRRVILVGKLEIVPRWFVSLQSLTQLYLHWSRIEEDLLPYIEALPNLGNLSLINAYAGKELCFSRGFAKLTRLRLSTCPLLNNVNIEKGVMSNLQTLWFDNCPELNTMPQGLQYLTELKVLTLGLVSKELKDSIREGGVDREKVQHIPEIYHYYKSSLGLRRESLS >ONH93023 pep chromosome:Prunus_persica_NCBIv2:G8:19422852:19425574:1 gene:PRUPE_8G209000 transcript:ONH93023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRLCQVCNEAQSKYKCPSCLAPYCSVACFKKHKENPCALPVSSLENSPTTGPESRVDRPLTVEEPSEVLQRLQLEAVASSSEICSALKDENLQKLILNIDCSPDAEKELEKAMGVDVFRIFTDKILSTLGS >ONH92636 pep chromosome:Prunus_persica_NCBIv2:G8:18364619:18365594:-1 gene:PRUPE_8G185700 transcript:ONH92636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQLSCLELSELPYLISFSHGKYAFKWPLVEMIIVDECPEMKNFCLGSLRTAKEVKISISGAGENLWQELNDSREESWSAFLDP >ONH92378 pep chromosome:Prunus_persica_NCBIv2:G8:17539998:17541071:1 gene:PRUPE_8G171300 transcript:ONH92378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNSHQATVADPPPKEINLISCGPLVHVSDIKLIRTDTTLDLSQKAEKGMLLLFSSFFFYRAIHFHASLVKPMWDCYKQLEGATNEG >ONH90446 pep chromosome:Prunus_persica_NCBIv2:G8:6260992:6262551:1 gene:PRUPE_8G054600 transcript:ONH90446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGSVNHWHQLLLYLSISIPKCLNHAFSFQSIGQGWFRQINGTRRRKSCLQSRWGTRLDVGFRGIGKITDNLSQYLCMHWWINFICNHCKKSPLPIYLESWFVVHQFNLILLSHISFCCCIFSVYFTMSAQLNASSSHANSRSPSPKSDSRWGNRSIVEPSVVRKGRFTFRMDRNETKVSTTALDYSVQSHYETFCLVGKIFGVPVNSRVIRHILKSEWKNMQGEVTVDHIGRDWYKVEFTAEEDVVYVLENKPWFVLGQIFALQLWTPDFSPFHAIVTSIVGWVRIPFLPLHCKDPEVLHDLVSILGDPIGVDLQSTEGKQSLFVRVRMVLDLTRPLKCCLVLGKYPQETKIFVSYEALFAICFYCSQKMERGHECPIKISNKSFLQAERLDNEPNVFPRALVERTRSEFF >ONH93561 pep chromosome:Prunus_persica_NCBIv2:G8:21001459:21004991:1 gene:PRUPE_8G238600 transcript:ONH93561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTTRWFAMNMILLNFFPILPSSTSIVIGSINPNQTMRDGDVLLSSTKIFALGFFSPANSRNRYVGIWYNNVPNQTIVWVANRDNPIIPVTDNNASGVGLLAVHGNGGLVIYGKDQNTPLWSANVSVSSPNNSMTAKLLDTGNLVLLEDDGFSQRVLWQGFDHPTNTMLPFMKLGLDRRSKLNRFLTSWKSKDDPGIGNYSYRIDPSGFPQAFLYKGQAPRWRAGSWTGERWSGVPKMRNFIFNVSFVNNQDELSIMYMSESILSRMVLDESGVVVRSIWHDQGQQWIKYWSAPEEECDEYGKCGANSNCDPSNMAKFECTCLPGYEPKLPRDWYLRDGSGGCVRKSGVEHVNLPDSSKARVNMNLSWKACQQECLRNCSCKACAKADERWGGFGCVTWHGDLMDTRTFSNAGQDFYVRVDAIALGKQRQNKYSSRVTTRSTYFEDSTAELDESSMHSDIPFFDLTTIAAATDNFSLANKLGKGGFGSVYKGVLCNGKEVAVKRLSKHSGQGTEEFKNEIVLIAKLQHRNLVRILGYCVQDEEKMLIYEYVPNKSLDSFIFRMSSFCFILSLEN >ONH91512 pep chromosome:Prunus_persica_NCBIv2:G8:14656516:14659243:-1 gene:PRUPE_8G119700 transcript:ONH91512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELQGQPGSSGSGSSGSHVVSIHDEELPTHTRFLSPSPSVDYNAYYKLRPPEVPKDVNKDIMSSLQKQVDDYDNPKQKQEDDHNKPKHRTKFTYSEKWIFFLISLGLETISASFDQLSSPSKPHYALYGMLLAIAAVLICICELIHKGYRERVEFKRWGRIWWYYHPYPPNRLFGNFPDICGLVLAIAQSICSGVQYDYLHRHANNPIKLSILPFMFLLSLGISRCCKD >ONH91511 pep chromosome:Prunus_persica_NCBIv2:G8:14657272:14659085:-1 gene:PRUPE_8G119700 transcript:ONH91511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELQGQPGSSGSGSSGSHVVSIHDEELPTHTRFLSPSPSVDYNAYYKLRPPEVPKDVNKDIMSSLQKQVDDYDNPKQKQEDDHNKPKHRTKFTYSEKWIFFLISLGLETISASFDQLSSPSKPHYALYGMLLAIAAVLICICELIHKGYRERVEFKRWGRIWWYYHPYPPNRLFGNFPDICGLVLAIAQSICSGVQYDYLHRHANNPIKLSILPFMFLLSLGISRCCKD >ONH91590 pep chromosome:Prunus_persica_NCBIv2:G8:15014229:15016069:-1 gene:PRUPE_8G125200 transcript:ONH91590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYAYILTHPGIPSIIHERDLICLTDSALRIQSADADFYVASIDEKIIVKIGPRLDIGKLVPPAFKIGTSGKDYAVWEKKE >ONH92293 pep chromosome:Prunus_persica_NCBIv2:G8:17286489:17293495:-1 gene:PRUPE_8G167100 transcript:ONH92293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKQHDSVFKEDEPTTLDRDNNSLSQVDSSTSAVLSDPNVNNESNEVKKLSEVVSNINNTPYIYRQDVVRSKSGMIGIVTEVAGDSDSDSSITDDEDDDEDDDNDDDDDDGENEEEARNDNTTHGNGDKNKSGGNDKSGPLPADQVRVLWIDETESTQNISDLSVVDRGFLHGDFVAAASDPTGQVGVVVDVNISVDLLAPDGSVIKDIPSNNLKRVREFTVGDYVVLGPWLGRIDDVYDNVTVLFDDGSVCKVMRAEPMDLKPVSKNMLEDVHFPYYPGQRVKARSSSVFKNSRWLSGLWKPNRLEGTVTKVTVASVLIYWIASAGCGPDSSIAPAKEQIPKNLKLLSCFTHANWQLGDWCLFPPSVSSSSIPLDKGLSKLELHDSVNSELESTQIGSGCDSEESALEESNRNNESMDIDPVSVLDGNNENTGMNTSIESSSCCSSLSASKEPVNETWPLHRKKIRKVVVRRDKKVRKEESFQRSFLIVNTRTKVDVAWQDGTTEWKLDSTNLIPLDSPGDHEFVAEQYVVEKASDDGDDAGEDRRVGLVKSVNAKERTACVRWLKPIARAEDPREFDKEEVVSVYELEGHPDYDYCYGDVVVRLLPVFFSAQTASGTDFDEEPKQQDIPSELRSACKKKEDPSSDEACVDFSDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRADDDESIGAGSEVSDAASWETVNDDEMHALFTPEGTEEEVGLQNAFDINTEPEESEESNSGINPALSVPLAALRFVTRLATGIFSRGQKNLDSISLDAEGEGEFEPREVEISQGREHGEDSSSQKSNVVDTCGVEINKGEEEKHVSPQTAEVLDAAEILYNLRTEESDATECRKDDACSFKRFDIAKDPLDHHFLGAAGQNTSGRKWLKKVQQDWGILQNNLPDGICVRVYEDRMDLLRTVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPTAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRRPPKDFEELVKDHFRRQGYYILKACDAYMKGNLIGSLTKDASAVKSDVDSTSVGFKLMLAKIVPKLFLALNEVGANCHEFKHLQQS >ONH92294 pep chromosome:Prunus_persica_NCBIv2:G8:17286429:17293538:-1 gene:PRUPE_8G167100 transcript:ONH92294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKQHDSVFKEDEPTTLDRDNNSLSQVDSSTSAVLSDPNVNNESNEVKKLSEVVSNINNTPYIYRQDVVRSKSGMIGIVTEVAGDSDSDSSITDDEDDDEDDDNDDDDDDGENEEEARNDNTTHGNGDKNKSGGNDKSGPLPADQVRVLWIDETESTQNISDLSVVDRGFLHGDFVAAASDPTGQVGVVVDVNISVDLLAPDGSVIKDIPSNNLKRVREFTVGDYVVLGPWLGRIDDVYDNVTVLFDDGSVCKVMRAEPMDLKPVSKNMLEDVHFPYYPGQRVKARSSSVFKNSRWLSGLWKPNRLEGTVTKVTVASVLIYWIASAGCGPDSSIAPAKEQIPKNLKLLSCFTHANWQLGDWCLFPPSVSSSSIPLDKGLSKLELHDSVNSELESTQIGSGCDSEESALEESNRNNESMDIDPVSVLDGNNENTGMNTSIESSSCCSSLSASKEPVNETWPLHRKKIRKVVVRRDKKVRKEESFQRSFLIVNTRTKVDVAWQDGTTEWKLDSTNLIPLDSPGDHEFVAEQYVVEKASDDGDDAGEDRRVGLVKSVNAKERTACVRWLKPIARAEDPREFDKEEVVSVYELEGHPDYDYCYGDVVVRLLPVFFSAQTASGTDFDEEPKQQDIPSELRSACKKKEDPSSDEACVDFSDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRADDDESIGAGSEVSDAASWETVNDDEMHALFTPEGTEEEVGLQNAFDINTEPEESEESNSGINPALSVPLAALRFVTRLATGIFSRGQKNLDSISLDAEGEGEFEPREVEISQGREHGEDSSSQKSNVVDTCGVEINKGEEEKHVSPQTAEVLDAAEILYNLRTEESDATECRKDDACSFKRFDIAKDPLDHHFLGAAGQNTSGRKWLKKVQQDWGILQNNLPDGICVRVYEDRMDLLRTVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPTAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRRPPKDFEELVKDHFRRQGYYILKACDAYMKGNLIGSLTKDASAVKSDVDSTSVGFKLMLAKIVPKLFLALNEVGANCHEFKHLQQS >ONH92295 pep chromosome:Prunus_persica_NCBIv2:G8:17286489:17292896:-1 gene:PRUPE_8G167100 transcript:ONH92295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKQHDSVFKEDEPTTLDRDNNSLSQVDSSTSAVLSDPNVNNESNEVKKLSEVVSNINNTPYIYRQDVVRSKSGMIGIVTEVAGDSDSDSSITDDEDDDEDDDNDDDDDDGENEEEARNDNTTHGNGDKNKSGGNDKSGPLPADQVRVLWIDETESTQNISDLSVVDRGFLHGDFVAAASDPTGQVGVVVDVNISVDLLAPDGSVIKDIPSNNLKRVREFTVGDYVVLGPWLGRIDDVYDNVTVLFDDGSVCKVMRAEPMDLKPVSKNMLEDVHFPYYPGQRVKARSSSVFKNSRWLSGLWKPNRLEGTVTKVTVASVLIYWIASAGCGPDSSIAPAKEQIPKNLKLLSCFTHANWQLGDWCLFPPSVSSSSIPLDKGLSKLELHDSVNSELESTQIGSGCDSEESALEESNRNNESMDIDPVSVLDGNNENTGMNTSIESSSCCSSLSASKEPVNETWPLHRKKIRKVVVRRDKKVRKEESFQRSFLIVNTRTKVDVAWQDGTTEWKLDSTNLIPLDSPGDHEFVAEQYVVEKASDDGDDAGEDRRVGLVKSVNAKERTACVRWLKPIARAEDPREFDKEEVVSVYELEGHPDYDYCYGDVVVRLLPVFFSAQTASGTDFDEEPKQQDIPSELRSACKKKEDPSSDEACVDFSDLSWVGNITGLKNGDIEVTWADGMVSTVGPQAIYVVGRADDDESIGAGSEVSDAASWETVNDDEMHALFTPEGTEEEVGLQNAFDINTEPEESEESNSGINPALSVPLAALRFVTRLATGIFSRGQKNLDSISLDAEGEGEFEPREVEISQGREHGEDSSSQKSNVVDTCGVEINKGEEEKHVSPQTAEVLDAAEILYNLRTEESDATECRKDDACSFKRFDIAKDPLDHHFLGAAGQNTSGRKWLKKVQQDWGILQNNLPDGICVRVYEDRMDLLRTVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPTAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPKSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRRPPKDFEELVKDHFRRQGYYILKACDAYMKGNLIGSLTKDASAVKSDVDSTSVGFKLMLAKIVPKLFLALNEVGANCHEFKHLQQS >ONH93541 pep chromosome:Prunus_persica_NCBIv2:G8:20925690:20931006:1 gene:PRUPE_8G236900 transcript:ONH93541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGNSKKSKLSWPKTLVKKWFNIKSKAEDFDADDAVYRGGDEEWRNTYSEREACTIKKSKTERLSKRHSDRVRRGKIDMDASQVTDVHNYRIFVATWNVAGKSPPSCLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWLALIRKTLNNLPGTSGGCHTPSPIPDPIVELDADFEGSTKQKASSFFHRRSFQSLSRSMRMDNDMSMPQPRLDRRFSVCDRVMFGHRPSDYDPNYRWGSSDDENGPGDSPVVTQYSPMSNSGSFSLEDRDRQPGNSRYCLVASKQMVGIFLTVWVKSDLRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGDENSPQTILEHDRIIWLGDLNYRIALSYRSAKALVEMRNWRALLENDQLRIEQRRGRVFEGWSEGKIYFPPTYKYLNNSDRYAGDDRHTKEKRRTPAWCDRILWHGRGIYQLSYVRGESKFSDHRPVYSVFLAEVESINRNRIKKSMSCSSSRIEVEELLPHSHGYRNIDLNFY >ONH91831 pep chromosome:Prunus_persica_NCBIv2:G8:15760856:15763357:1 gene:PRUPE_8G138100 transcript:ONH91831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKQFSFRQKLQSCFCCSSQSALHLHTLPANLNISTDLAASLQGRISYPRLLQIHAQVFQVGAQQDNLIATRLIGHYPSHLALRVFHQLQKPNIFPFNAIIRVFAEEGLFSDAFSLFKSLKQTSLSPNDFTFSFLLKACFRSQNSRYVKQIHTHVMKMGFLCNSFVCASLLAVYAKGLKDLGSARLVFDEMPEKSIVCCWTSLIAGYALSGQSEQVLRLFLMMVDENLRPEDDTMVSVLSACSNLDIVDIEKWVTILSKVVSNVDAKKFGCDSVNTALVYLYGKWGKVEKSRDRFDQISDNGKQSVLPWNAMIGAFVQNGFPMESLSLFRVMVEDPKYRPNHVTMVSVLSACAQIGDLDLGRWVHEYLKSKGSKGVIGSNRILATALIDMYSKCGSLERAKEVFDQMVSKDIVSFNAMIMGLAVNSEGEEALRLFSRIQEFGLQPNAGTFLGALCACSHSGLSEEGRQIFNDMTSSFSVSSKLEHYACYVDLLARVGLVEEALEVVTSMPFEPNSFVWGALLGGCLLHSRVDLAQYVSNKLVRSDPDNSGGYIMLANAFASDRRWGDVSALRWVMREKGVNKQPGCSWISIDGVVHEFLVGCPSHPQIESIYNTLVGLVKEMKIGSV >ONH90618 pep chromosome:Prunus_persica_NCBIv2:G8:9516032:9522135:-1 gene:PRUPE_8G064400 transcript:ONH90618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSLHEYSYSNESAGIRTAVLEVKTVGHNDPLPRTTTVTRSGLGAARNRNRNENHPKLEEGVFLTWEDLWVTVVSKAKSENGSRSRSILQGVTGYARPGELLAIMGPSGCGKSTLLDALGGRLSSNTRKTGKVLINGQKQALAYGTSAYVTQDDTLITTLTVSEAVYYSAQLQLPDSMSKAEKKERAEITIREMGLQDAMNTRIGGWGSKGLSGGQKRRVSICIELLTRPNLLFLDEPTSGLDSAASYYVMSRIANLDKTDGTPRTVITSIHQPSSEVFQLFDNLCLLSAGRTVYFGPASAANQFFSLCGFPCPTLQNPSDHFLKTINKDFEQDIEQGMVGRTPTEVAIDTLVKSYKESESYQQVQRQVAELCKQDFGEELEKRSHAGFLTQSFVLTRRSFMNMYRDRGYYWLRLAVYITMALGLGTIYQDLGHSYESIQARGSLLMFVSSFLTFMAIGGFPSFVEDMKVFERERLNGHYGVSAFVFANTFSSVPFLILISVIPGTITYYVAGLHKGFEHFVYFACVLFACMMLVESLMMIVASIVPNFLMGIIAGAGIQGLMLMCGGFFRLPNDIPKPLWKFPLYYIAFHKYAYQGLFKNEFIGTTFPSSDQGGKTSSLGGEHILRDIWQVEMGYSEWVDLAILVGMILLYRFLFLVIIKATEKVKTITTLLAMSLNKRSMQVLVNPSSLSVQGGNL >ONH90709 pep chromosome:Prunus_persica_NCBIv2:G8:10340602:10343542:1 gene:PRUPE_8G070500 transcript:ONH90709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPTTITPPSLCPAPIFPPNHPHFPPRHQFPPRPIFHRPRMATLSGFIAGSQLVGSSGSGGGGDNEARTLIEYVGGGGVDVGDDLVLLIHHLQYACKKIAALVASPFNSTLGKHTSFAAGAGGSAGGSGRDAPKPLDIVSNEIILSSLRSSGKVAVMASEEDDTPTWIRDDGPFVVVTDPLDGSRNIDASIPTGTIFGIYRRLEELDHLPTEEKALLNSLQTGTRLVASGYVLYSSATIFCTSFGSGTHAFTLDHSTGDFILTHPSLKIPSRGQIYSVNDARYFDWPDGLRLYIDTVRQGKGRYPKKYSARYICSLVADFHRTLLYGGVTMNPRDHLRLVYEANPLSFLVEQAGGKGSDGKYRILSIQPVKLHQRLPLFLGSCEDMEELESYGNVQQKVNPGYEV >ONH90113 pep chromosome:Prunus_persica_NCBIv2:G8:3254083:3258277:1 gene:PRUPE_8G035400 transcript:ONH90113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSLAPGFRFKPTDVELVQYYLKRKLLGKRLGFKVIAEVDIYKYDPWDLPDKSCWESGDLKRYFFCPREKKYRNGNRIQRATEGGYWKTTGKDRSVLYSGEVVGWIKTLIFHTGRAPRGDRTNWVMHEYRLEDQGLADRGVPLDSYVICMIFQKDGLGPKIGAQYGAPFKEEDWTDDEVEICSEAIPHENMSEPNLVVPSNCNSSITTSGHTPKGIHTSPSESCISDVLPPSCNVHQLVSSNHVTMEKLHGSDDDILSMLNCFTEGSTSLMKENDKNEELGNVIPSGNASATPNFNSDDIYEGLGDLGKMARVSEDGYNFSNVHNSIFAPAQMQLGDNEQFLELDDLSW >ONH93112 pep chromosome:Prunus_persica_NCBIv2:G8:19670804:19671034:-1 gene:PRUPE_8G213900 transcript:ONH93112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGYRHFDTAKIYGSEPAALGNALTEAILDANFERDDIFVTSKLLGSDHLSTDKRERSSAQQICNICSQICNILL >ONH92387 pep chromosome:Prunus_persica_NCBIv2:G8:17585109:17586022:1 gene:PRUPE_8G172100 transcript:ONH92387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATVEDTPPKEINLISCGPLVHVSDIKLIRTDTTLDLSQKAEKGMLLFFSSFSFYRAIHFHASLVKPMWDCYKQLEGATNEG >ONH91568 pep chromosome:Prunus_persica_NCBIv2:G8:14933059:14934318:-1 gene:PRUPE_8G123500 transcript:ONH91568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRISFSNDFADTQQAIKHETSYREAPVSSDFEFSVNNFGMISADEIMFKGTLLPLKDNGANQLGKTTTLRDELLVDDDYGDVLPRAPKSLSRWKERLGLKRAQVVPKKADRSHSVLERIAEERRPVFVHEAVLISKESQDFLFGGGLSCRGDGM >ONH94133 pep chromosome:Prunus_persica_NCBIv2:G8:22532535:22539370:-1 gene:PRUPE_8G272000 transcript:ONH94133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLDFGPDKVHAKDFLSNFIDCNGEPKYMRILQEVANRKFRAIQIDLEDLFSYRELDEEFLSRVTENTRRYIGIFADAIDELMPEPTEAFTDDDHDILMTQRSDDGPENMDGPDPHQKMPPEIKRYFEVYIRASSKGRPFTIREVKASYIGQLVRISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSRRCITNRTKGNLILQLRASKFLKFQEAKIQELSEHVPKGHIPRTMTVHFRGELTRKVAPGDVVELSGIFLPIPYTGFRAMRAGLVADTYLEAMSITHHKKRYEEYELVGDEEEQIVRLADDGDIYNKLARSLAPEIYGHEDIKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDELDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDSDLELARHVVYVHQNKESPALGFTPLEPSVLRAYISAARRLSPSVPQELEEYIASAYSSIRQEEAKSNAPHSYTTVRTLLSILRISAALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQKSGLDAISDIYSILRDEAARTDKLDVSYARALNWISRKGYSEAQLKECLEEYAALNVWQINPLTFDIRFIDA >ONH92322 pep chromosome:Prunus_persica_NCBIv2:G8:17385026:17385394:1 gene:PRUPE_8G168600 transcript:ONH92322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAYVTMFMRLECGTQYMLHDFVKGKLPWFCRLYATRTNGTFFIFLKCLGFGLLLLRLDLMKLLVTVSVHNK >ONH91704 pep chromosome:Prunus_persica_NCBIv2:G8:15402350:15405472:1 gene:PRUPE_8G131600 transcript:ONH91704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSPRSSGAMASLACMALFMLVGLSTAMDMSIINYDDKHGMGVPSDRTEAEVKALYESWLVKHRKNYNALGEKERRFEIFKDNLRFVDEHNKQSRSYKVGLNRFADLTNEEYRSIYLGAKVDRRFRLSWSRKSNRYAYRAGDELPESVDWRAKGAVAPVKDQGQCGSCWAFSTVGAVEGINKIVTGELISLSEQELVDCDRSYNQGCNGGLMDYAFQFIINNGGIDTEEDYPYHARDGSCDPNRKNARVVSIDGYEDVPENDEKALKKAVSHQPISVAIEAGGREFQLYQSGVFTGRCGTDLDHGVVAVGYGTENGVDYWIVRNSWGPSWGEAGYIKLERNVASTNTGKCGIAIEASYPTKKGQNPPRPPPSPPSPPPVKPTIVCDDYYSCPVGTTCCCTYEYGNLCFGWGCCPLESATCCDDHYSCCPHEYPVCDLDAGTCRLSKDNPLSVKALKRTPARSNRHFHFAGKMPSA >ONH92411 pep chromosome:Prunus_persica_NCBIv2:G8:17719595:17721859:1 gene:PRUPE_8G174100 transcript:ONH92411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIFLLCPNPKQIGIHNFIYHCICDSSLKPIFRARTVSDAPPFDPSNIVSLQLMFSKFEFDGKLNPTFVEQAFKLPLSSIRAYLKEPIAPRISLLVFRVVLEQGGDLVRESGVPYTIVRPCALTEEPAGQISSPNCTCYVYQEIRLCYDVVKKMRLTELEANEGKIR >ONH94086 pep chromosome:Prunus_persica_NCBIv2:G8:22407172:22408204:1 gene:PRUPE_8G269600 transcript:ONH94086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMSNKSINGDHHHHRHPDVGRRAWKLLRLALLWARKGGVFRRRLMMELRVVPNFLKSLAHHHHHRNDNQYYFERQLSFDKTPIFPTLKMNRRTSSSSMRFINIPCLNPPLVLDFDDHHEVDMIINNHDQLDDEDDDDDEGMITEDSNTINVHEEKGCADYEDELVDIKADEFIAKFYQQIKLQRQISYLHYSSSTTIHSDACLINI >ONH91053 pep chromosome:Prunus_persica_NCBIv2:G8:12360176:12362857:-1 gene:PRUPE_8G090600 transcript:ONH91053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEKKRTKLNRNLFLSSLPSPFSTPMALLLVLLFTLFASPSLVLSASTPPFTPQDNFLIDCGATNKATLPDGRAFKTEAESGQLLKAEDDNKASVDKADVPSPLYLSARIFKSDATYSFHMARPGWHWVRLHFYPVTNSVFDLQKATFNVLTDKYTLLHNFNIDQNKNNNTKNQVFLKEYLLNITNQQFSIKFSPMKNSAAFINAIEVVSAPDNLITDTANNLQPTTQFQGMSKFGYETMYRVNMGGPLVTSANDTLGRSWLPDDAYIKSKNMAKEVSVGTSTVKYPDGVTPQIAPPTVYATAVQMADAVVSGQNFNITWNFQATNAFGYLIRLHFCDIVSKSLGNLYFNVYINGNMAISDLDLSHTVNGLAIPYYKDVVVNSSLISDGLSVQIGPSRMDNSAPNAILNGLEVMKISNSVDSLDGEFGVDGKKEESGSSGARRGAVAAVGFGLMFGAFVGLGAMVYKWRKRPQDWQKRNSFSSWLLPVHAGDNSFMSSKNSIGSHKSNMYNSALGLGRYFTFQELQDATNNFDSSKIIGVGGFGNVYIAVIDDETTVAVKRGNPQSEQGITEFQTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMSNGPFRDHLYGKNLAPLTWKQRLDICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDQFTAKVADFGLSKDATMGQNHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEALCARPAINPALPREQVNLADWGMQWKRKDLLEKIMDPLLADSINPESMKKFGEAAEKCLAEHGVDRPTMGDVLWNLEYALQLQEAFTQGKADDDSTNSVAPPSTEAVASPAPTSAIVPPAIAPTTASNSANRPAPIPEGDEGSAEAHTINDQSGTDIFAQFSNLNGR >ONH92780 pep chromosome:Prunus_persica_NCBIv2:G8:18773995:18775845:1 gene:PRUPE_8G195600 transcript:ONH92780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPFLPSKLPHTPVTDVAGEIVEVGQGVQKFKVGDKVVALLTYSNGGGLAEFAAAKEKSVVSRPPEVSAAEGAGLPVAGLSAHQALTKAAGIKLDGTGQLKNILITGAAGGVGLYAVQLAKLGNIHVTATCGARNIELVKSLGADEVLDYKTTEGEALKSPSGRKYDFVIHSASAAIPWSVFEPNLSARGKVIDLTPRASSLFTFLLKKITFSKKRLVPLMINAKAENLDCLVQLVKEGKLKTVIDSNHSLSKAEDAWAKRINSQCTGKIIVEP >ONH92815 pep chromosome:Prunus_persica_NCBIv2:G8:18865253:18869882:1 gene:PRUPE_8G197600 transcript:ONH92815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPVQQAPASNLPPSADVVGNAFVLQYYNILEQSPQLVHRFYQDISKLGRPGDDGIMSITTTMDAINKKILDYGELSANIVTVDAQESYNGGVFVLVTGFLTGKDNIRKKFTQSFFLAPQDVGYFVLNDVFRYVDDSTPQNGDNGLVVDAAVEAPLTPKHDTTALQGYHVSEPVVVVSEDVAKEEVYNPTENGEVSIEEEEAPVPEVVNEIPDDSQVVAESYSIVEAKSNSKVEAESNSKVEAESNSKIEAESNSKVEVPKKSYASILKIMKESTVPFSTPAAASARSVPKKQEQQVTAAPTSVPVSETVVSSTNARENGNLEAEAEGHSIYIKGLPMNSTNALIENEFKKFGLIKQNGVQVRSLKGFCFGFVEYEAASSVHSAMEASPIMIGNRQIFVEEKRSTRGNNRGRFSSGRGNGNGYRPEGGNGYRTEGARGRGGYGGGRAYGRGDSGFKSEFGSRSSNRGGAPSRGADGYQRSDHGGRVNRPAGVAVNTAAKSTAPQVSASA >ONH89882 pep chromosome:Prunus_persica_NCBIv2:G8:1968593:1972663:1 gene:PRUPE_8G021700 transcript:ONH89882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPQHDPCGNNQTASASPTSAESWKHDVFLSFRGEDTRRGFISHLDRALAYWQAMTTFKHDRDIEVGPISPELLTTIEQSHLAIIVLSPNYASSTWCLDELSKILECIQEQDTRILPIFYNVDPSDVRNQRGSFAEAFTKHEERFSEDVKKVNRWRAALRKVANLSAWDSKNYRSEAELVEEIVKCVWKEVHPTFMLSGSLENLVGIDFALKQLQLHLAPEENDVRFIGIWGMGGVGKTTLANLVFQKISHHFEHSRFLSDVRKKKLSDLQTQILFPILKGDHIWDEWEGTFFIKKYLCNKKVLLVLDDADQLSQLEKLVGEKNWFGAGSRIIITARNECLLVQHGTMNHKVDVLSDDEALELFCQHAFKNDQPEEGFQELSQHFLHYAKGLPLALKILGRSLYGRDQNVWKSALYNLNKIPEPEIFDSLKVSYYGLKEMEKKMFLHVACLHRGRDKEQVIETLDSILDISSRIGIDILVETSLLTIEKLHSQGNSVEMHDLIQEMAWRIVREESPEEPGKRSLLWCRNDIFHAFMNNTVTEAIEGIALRLPELEVVHWNCTEAFDKMQGLRFLEFDNVMISSAPKVLPNSLRIIRWNWYPSKSLPPRFEPCFLAKLEMCYSKLVRLWDGAKDFPKLKHMDLSYSDKLTSVPDFTRITNLEDLILDGCTDLLEVHSSIAVHKKLKVLDLRDCTSVRTLPSELEMDSLEFFSISGCSKVNKIPEFGEHMKNLSMLGLGGTAIAQIPSSVERLVGLVELNISDCKSLLGLPSAICKLNSLKTLRMLGCSKVDKLSGEMECLENLDLRGTAMRDPLLVMKNLKRLSFSGSVAKPSACIGNGIAAWGLVLSSLHHLCSLTKLDLSDCNIGEGAIPDGIGNLSSLRWLLLCGNNFVSLPASIRLLSNLDCLELQRCKRLEQLPDLPSNSFLYVDVNDCTSLKRLSDPSKSSGGANVYDFIFTCLNCFSLVEEEGWINRIFAMIMRLASEGLYPMWTGNLIVCPGSEIPDWFENPSVGDSITMELPLPPQPCSDWVGIALCVVFEDSEYLQNPDTLLDYDFLKIECLSGAHETLTVGHLESQHLWHFYLPRDDIKHQIQVQLKGKEDGSCSHRFSFKSHYRLKGSPDKFLETSSIIKKCGVRLVFERDLEEFSRILKIPKPALLDAYSSDEEAGPIGSSGSGSSDDKNEPGNEL >ONH90001 pep chromosome:Prunus_persica_NCBIv2:G8:2648390:2650986:1 gene:PRUPE_8G029100 transcript:ONH90001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKYKSDFQVKLAHHFAYAPVEALHGQTVIWEMCYDGIFLGSMGERNRRVLENALSEEVECLWDRVHFWPSFVLVHFQRYMTAASLPLVTLLG >ONH93389 pep chromosome:Prunus_persica_NCBIv2:G8:20519517:20521046:-1 gene:PRUPE_8G229500 transcript:ONH93389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQLLTLASLVLLPLLFFFVFKASSQPNPKTSNNTKLPKSYPIIGSFITLSKNHERRLPWFTDLLRSSPSNTFTLHHSLGKHFVVTANPTVVQHILKTHFPIYEKGNTFRTTLTDLLGDGIFNADGDNWKFQRQVSSHEFNTKSLRKFVEQVVDAELSDRLIPILSSSATSNSILDFQDILQRFAFDNISRIAFGYDPAYLLPSLPEAKFAVAFDDAVQISSDRFSSLPPVWKLKRVLGIGSEKRLRAAVSEVREFANTIVREKKRELNETKALESVDLLSRFLGSGHSDEKFVTDIVISFTLAGRDTTSAALTWFFWLLSQNPHVEDEILKEISGTAELESAAGGYDEVKEMVYTHAALCESMRLYPPVAADSKQAMKDDVLPDGTKVKKGMTVTYHVYAMGRMEEIWGEDWAEYRPDRWLEREENGAHKWKFVGRDSFSYPVFQAGPRICLGKEMAFLQMKRVVSAVLKRFKVVPVEREGRAQPEFVPYITGKMKGGFPVTIVERA >ONH92759 pep chromosome:Prunus_persica_NCBIv2:G8:18699644:18701047:-1 gene:PRUPE_8G193800 transcript:ONH92759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIIKTRTKRVTYPLDDKVRARLVGGYSSELSIYDVSSGSEHSGDGDSPCLSELVHDFLQDDSSETRFPDNETDSDRVDSVSDAKAMDSILRSAAVSGNEDSFAKLLRSHVSEAVEAFSCLRSGNKSALRRSVMSFLRARGHNAAICKTNWSSSGNITAGSYEFIDVVCAQSSSPMWQSQYFVDLDFAAQFEIARPTSQYSRLLQLLPRDFVGSSEDLKRIVRVMSDAVKRSLRSRELSVPPWRKNRYMQNKWFGPYKRTVNPLTEKSFSISSTVFAPVSGAKCRRVGFDDAVSDPSVNGRLYVRT >ONH92700 pep chromosome:Prunus_persica_NCBIv2:G8:18553830:18554105:-1 gene:PRUPE_8G190500 transcript:ONH92700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLEGSRVLGLCNMSDEGLASCKPAVAKATPEKPNPDKPTPECCEALKGADLKCLCGYKNSFLLPSLGIDPALAMALPAKCNLTPPADC >ONH91123 pep chromosome:Prunus_persica_NCBIv2:G8:12741424:12743988:1 gene:PRUPE_8G094700 transcript:ONH91123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFLSYVDLKKQAMKDLESEPDLEMGKLGPADEQNLTQFFEQVTAIKADMEEITNLLLDLQDLNEETKSTHSAKVLRGLRDRINADMVAILRKAKGIKARLESLDRSNVANRNVSVEYKEGSPVDRMRISVTNGLRAKLRDMTNDFQSLREQIVKEHKEGLKRRYYNATGEEASEEVIDKMILESGQIKVFEGKAELAMENQQRHEALKDLQRSLTELHQVFLDMAMMVEKQGEQMDDIEQNVADAGAYIHGGTNALFSAKQMKQRRRRWVCWIGALVLLVLLVEVPSSTTDNKEIITMKVKG >ONH91124 pep chromosome:Prunus_persica_NCBIv2:G8:12741266:12743273:1 gene:PRUPE_8G094700 transcript:ONH91124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFLSYVDLKKQAMKDLESEPDLEMGKLGPADEQNLTQFFEQVTAIKADMEEITNLLLDLQDLNEETKSTHSAKVLRGLRDRINADMVAILRKAKGIKARLESLDRSNVANRNVSVEYKEGSPVDRMRISVTNGLRAKLRDMTNDFQSLREQIVKEHKEGLKRRYYNATGEEASEEVIDKMILESGQIKVFEGKAELAMENQQRHEALKDLQRSLTELHQVFLDMAMMVEKQGEQMDDIEQNVADAGAYIHGGTNALFSAKQMKQRRRRWVCWIGALVLLVLLVCLISILAS >ONH92763 pep chromosome:Prunus_persica_NCBIv2:G8:18724616:18728350:-1 gene:PRUPE_8G194100 transcript:ONH92763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFDMPVPEEMNGDFDLPDEGPVLKVGEEKEIGKQGLKKKLLKEGEGWDTPKNGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDEGIRTMKKGEDALFTIPPELAYGETGSPPTIPPKATLQFDVELLSWTSVNDISKDGGIIKKILKEGEKWENPKDLDEVIVNFEARLDDGKVVEKSDRVEFTVKDGYFCPALSKAVKTMKKGEKVLLTVKPQYGFGDKGKPASGYVGAVPPNATLHITLELVSWKTVSEVTDDKKVIKKILKEGEGFERPNEGAVVKLKLIGKLQDGTEFLKKGHAEGEELFEFKTDEEEVIDGLDRAVLTMKKGEVALLTLAPEYAFGSSESPQELAVVPPNSTVYYEVELVSFEKDKESWDMNTEEKIEAAGKKKEEGNALFKAGKYARASKRYEKAVKYIDYDTSFSEEDKKQSKVLKVACNLNNAACKLKLKDYKQAEKLCSKVLEIEGRNVKALYRRAQAYIQLADLDLAELDIKKALEIDPNNRDVKLEYKTLKEKMKEFNKKEAKFYGNMFAKLTKSDSPDSNKTAAKDAEPMSVDSKA >ONH91300 pep chromosome:Prunus_persica_NCBIv2:G8:13582028:13583620:1 gene:PRUPE_8G105000 transcript:ONH91300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERQAAKRNVTKHMGSLPIRGCSLVSVVIPSLLLFALLATTRLTSYYCVVIAPPPPPTRTLVFATTFSHPYHSAALSMSPVPSLSFSAYWAVDYRVCGLFLLGFAPLPCLLQLLCPMTLSMRSNTRLAIDWSLLHSNALVWSLGPLMSLIALLVSSTVVLLCL >ONH93586 pep chromosome:Prunus_persica_NCBIv2:G8:21087193:21088471:1 gene:PRUPE_8G240400 transcript:ONH93586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAKNSMLFFMVMALFGVCFGTVYKVGDSNGWTDKGSVSYKDWASTKNFVVGDTIVFEYNAKEQNVVQVKTLTEFNGCNSKAPLSTYNSGNDAVELKKAGHFFYICGLPGHCEAGQKVDIRVLEPSQAPSPSHSSPSPSPAPSSNHSHHNESSAPVPSPSAKAPTSPNKSSALSVKSSSGLFMLVVMGVLAYLA >ONH91398 pep chromosome:Prunus_persica_NCBIv2:G8:14082286:14083090:-1 gene:PRUPE_8G111800 transcript:ONH91398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVEVWMSELAKLKDKVGAKKRMVFSSKAKQGEGDDEVKEQVVFKEARIESSRMAQIQRDLDSSTLSDAKVRLLMDRFVPW >ONH91091 pep chromosome:Prunus_persica_NCBIv2:G8:12516377:12519717:-1 gene:PRUPE_8G092200 transcript:ONH91091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDDNMPGTLDRHLKPVLEEYSEDERKTRLGPLKKAMNASTKLRCSLSKRGRRSNRVMPVPIEDNIDAEDLQAVDAFRQALILEELLPSNHDDHHMMLRFLRARKFDIEQTKKMWADMLQWRKEFGADTIMEDFEFKEIDEVVKYYPQGHHGVDKDGRPVYIERLGQVDSTKLLQVTTMDRYVKYHIREFERTFVCKFPACSIAAKKHIDQSTTILDVQGVGLTSLNKSARELIQCLQKVDGDNYPETLNRMYIINAGSGFRLLWNTVKSFLDPRTTGKIHVLGNKYQSKLLEIIDSSELPEFLGGKCTCADKGGCMRSDKGPWNDPVILKMVQNGEAKCKRTALSGIEKAGSKRCDSLNSKTVPEADMKDLDRLSNQFVSGMMAFVMGIFTMVRLARNMPKKLAEVAHYGSPVYSTGMVNKGHQLPAPAISSNAYMTMMKRMSELEEKVSVLSTKPALMPPEKEEMLNITLNRINVLEPDLSATKKALEDALVRQEELVAYLDKNKKKRKFVCDRRIFA >ONH93109 pep chromosome:Prunus_persica_NCBIv2:G8:19653793:19657160:-1 gene:PRUPE_8G213600 transcript:ONH93109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEAEIYDGIRAEFPLTFGKQSKAQTSLEAIHNTTRRSVNNPPEKPSSTKTNDLPSLSSSSQTWLTSLRAPKNPKPNPNPEPSPADDEDGDGDVMIGPPPPPPQSEIADSDDDDGEMIGPPRPPVGSNMDDSDSDSDSDSKLENRYRIPLSNEIVLKGHTKVVSALAVDHTGSRVVSGSYDYSVRMYDFQGMNSKLQSFRQLEPSEGHQVRTISWSPTADRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPRTKDTILTSSEDGSLRIWDVNDFKTQKQVIKPKLARPGRIPVTTCAWDRDGKRIAGGIGDGSIQIWNLKPGWGSRPDIHVQNSHSDDITALKFSMDGRILLSRSLDGSLKVWDLRQMKDPLKVFDDLPNNYAQTNIAFSPDEQLFLTGTSVERESTTGGLLCFFDRAKLQLVSKVGISPTCSVVQCAWHPKLNQIFATAGDKSQGGTHVLYDPTLSEKGALVCVARAPRKKSIDDFEAKPVIHNPHALPLFRDQPSRKREREKTLKDPLKSHKPELPMTGPGFGGRVGASKGSLLTQYLMKQGGMIKETWMEEDPREAILKFADVAAKDPKYIAPAYAQTQPETVFAKSDSEDEEK >ONH92723 pep chromosome:Prunus_persica_NCBIv2:G8:18603589:18605655:1 gene:PRUPE_8G191600 transcript:ONH92723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYHGPNLSKIVEELYVQDKMMGISSHSIT >ONH93433 pep chromosome:Prunus_persica_NCBIv2:G8:20626464:20629555:-1 gene:PRUPE_8G231900 transcript:ONH93433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISIYSTLLPFPKLTVSSRKYHPFGGSNPSNLTIPTTSTVSTKALHSKTSDSLTSIITELEKESLNKDDQNQRQEDINTTHHERRLSDVWRELHGQDDWVGLLDPMDPLLRSELIRYGEMAQACYDAFDFDPFSKYCGSCRFVRRSFFPSLGMAHHGYHVSRYLFATSNINLPNFFKKSRWPKVWSKNANWIGYVAVSDDETTARLGRRDISIAWRGTVTRLEWIVDLMDFLKPVSGNKIPCPDRTVKVESGFLDLYTDKDETCRYSTFSAREQILTEIKRLVEEYSGEELSITITGHSLGSALAILSSYDITETGLNVTADGQVVPVSVLSFSGPRVGNVRFKERLESLGVKVLRVVNVHDVVPKSPGLFFNEHVAPRLMKLAEGLPWSYSHVGVQLELDHTHSPFLKQTSDPVCAHNLEAHLHLLDGYHGKGHRFVLASGRDPALVNKASDFLKDHYLVPPYWRQDENKGMVRSKDGRWIQPERPKLDDHPEDIHHHLKQLGLASDH >ONH91449 pep chromosome:Prunus_persica_NCBIv2:G8:14258448:14259608:-1 gene:PRUPE_8G115400 transcript:ONH91449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQRLEMCLELLKLALEFVLLVAQAVEIALQHNMSPFTSYSAYSSVPVHFVGFLP >ONH92373 pep chromosome:Prunus_persica_NCBIv2:G8:17515257:17517487:-1 gene:PRUPE_8G170800 transcript:ONH92373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSMVSTPVHLYLPKKLTFPIRCSSSSSAPSVSPPPNSTQFDLKTYWTTLIADINQKLHEAVPVRYPDQIYESMRYSVLAEGAKRASPVMCVAACELFGGNRLAAFPTACALEMVHAASLIHDDLPCMDDDPSRRGQPSNHTVYGDDLAILAGDALFPLGFQHIVANTPSSLVPERRLLRVITEIARTVGSTGMAAGQFLDLEGGPDAIEFAQEKKFGEMSQCSAVCGGLLGGAEDDEVDRLRRYGRAVGVLYRVVDDILEDKMNGEDEDERKKKKGFSYVRVYGVEKAMEVAEQLRAKAKQELDGFEKYGDAVVPLYSFVDYAVDRSFTVSDSS >ONH91090 pep chromosome:Prunus_persica_NCBIv2:G8:12503695:12508926:-1 gene:PRUPE_8G092100 transcript:ONH91090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWGHGFRFLVSAQYIFDRRRSKIVSLNQISTQLDENSEDERKTKLGSFKKAANMITNSFNKGRRNSKVMSFPMEDDIDAEELKAAQELRQALISEELLPSKHDDTHMMLRFLRARKFDLEKTKQMWSDMLQWRQEFGADTIMEDFEFKEVDEVLKYYPQGHHGVDKDGRPVYMERLGQVDSNKLMQVTSMERYVKYHIREFERTFICKFPACSIAAKKHIDQSTTILDVQGVGLTSLNKSARELIQRLQKVDGDNYPETLNRMFIINAGSGFRLLWNTVKSFLDPKTTAKINVLGNQYQSKLLEIIEASELPEFLGGTCTCADKGGCMISDKGPWNDPEIMKMVQSYREAKSTNNTPSGDMEEKEEKEEKEEKKEKKEKEEKTISEPEKTISEPEKTLSDEEKIISEDDRACTNRMDSFCSETFLEAEMHVDHLSSVPEDLLTPRICNSPYFEAMVDKGTEKEAEKLNAALNRLSALEQELSSTKQVETQKEEKLKAALDRVNALEQELSEAKKALEEARHKQEELLASVDKEKKFSLFCW >ONH93291 pep chromosome:Prunus_persica_NCBIv2:G8:20197570:20200954:-1 gene:PRUPE_8G223800 transcript:ONH93291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVAFKSREDHRKQIELEEARKAGLAPAELDEDGKEINPHIPQYMSSAPWYLNSERPSLKHQRKWKSDPNYTTSWYDRGAKIHQADKYRKGACENCGAMTHDSKSCMERPRRVGAKFTNKHIAPDEKIETFELDYDGKRDRWNGYDASTYARVIERYESRDEARRKYVKTQQLKKLEEKNNNPNGEDGVSEEDEDDNEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVDSAHYDPKTRSMREDPLPDADPNEKFYGGDNRHRNSGQAMEFKQLNIHAWESFEKGQDIHMQAAPSQAELLYKNFKVIKENLKSQTKDTILEKYGNAANEEEFPRELLLGQSEREVEYDRAGRIIKGQETTLPRSKYEEDVYANNHTSVWGSWWKDHQWGYRCCKQLTRNSYCTGAAGIEAAEAAGELMKANIARKEAIGDMPPPVEEKRLATWGTDIPDDLVLDEKLLADALKKEDARKREVRDERKRKYNVKWNDEVTAEDMEAYRMKKVHHDDPMKDFLN >ONH93306 pep chromosome:Prunus_persica_NCBIv2:G8:20239636:20240861:-1 gene:PRUPE_8G224600 transcript:ONH93306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESANFFQFSSASDFSPESSFGSLDSFSWEDEEALLLPFNNPNEMMSFLPFDMNDSEEMLLYDAVAKGAKETFSSHDDQANCFNKVVKEEEVTSNIAHHQAFEEKPTTEKSYRGVRRRPWGKYAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFALRGSLAVLNFPAQVVQESLQDIKYKHDEGCSPVVALKRKHSMRRKSMSKKSKAIISQQCTEQRVKEVSPQNNVMVFEDLGVEYLEELLEQSY >ONH91671 pep chromosome:Prunus_persica_NCBIv2:G8:15240821:15244527:1 gene:PRUPE_8G128900 transcript:ONH91671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFDDDSAEQPLSPTGRLFLHPKWNLVVHCAIGFKNPIDIDAIKYRLKTSLLLSHPRFSSLVVRDSHGVEHWQKATHIDLDRHIIILHNPVSTASQPVDHETAVNDYLADLSTSPGLSTDKPLWEVHLLMAHNCCVFRLHHALGDGVSLMYLFLADCRRANNEEKLPTLAYGKTRGKKRVDSSIGKGWWVLLIGFLSMVWFNLVFVVEFVMRSLWVCDSKTEISGGDGVQLWPRKLATARFRLQDMKLVKKAVPNATINDVLFGVLSSGLSRYLEHRTPNALPEGLQITGLAMVNLREQLGLQELSDMMKNNSPGLGWGNKFGMILLPIYYHKSNGPDDPLAYLKRAKVMIDRRKQSLQAYFSYKTVLSTMPYLGAKVTAWLNNNIICNTSFTISNIIGPEEEITAAGNTVTYLRVNSTTGPHALTMHLVSYAGKVDMQISVAKDIIPDPAFLANCFEEALLDMKEAASRNATISN >ONH92518 pep chromosome:Prunus_persica_NCBIv2:G8:18018703:18021435:1 gene:PRUPE_8G179100 transcript:ONH92518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAVFVWQNHPIYPLLLLFNRDEFKSRPTKPLAWWEGGKILGGRDGLAGGTWLACTRDGKVAFITNVREVEKLAQAKSRGDLPVRFLEGKKSAMEFAEEVAEEAGQYNGFNLILADLCSKAMVYVTNRPKEDKSFVTEVSPGIHVLSNAQLDSPWPKVQRLGDSFKELLHEHGGDNDLPIKEMVEKLMMNTIKDDEESLLPHVYPPELEYHLSSIFVEKAPQLGHYGTRSTSALCVKTSGEVIYYERYLENELWKEGTVTYHMDMGRGEEEK >ONH93152 pep chromosome:Prunus_persica_NCBIv2:G8:19811495:19812141:1 gene:PRUPE_8G216100 transcript:ONH93152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQARKGGISLPERRNPKKDDEGVIAKFSQSQVVVRGKQAANDAAFVTKKLMKSTGKAAWIAGTTFLILVVPLIIAMDREQQLNELELQQANILGTSAPPPK >ONH90696 pep chromosome:Prunus_persica_NCBIv2:G8:10258337:10258783:-1 gene:PRUPE_8G069600 transcript:ONH90696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHGLPAFVFPLFLVFTLSSMSSKTMVAGVRILLETNSVPQVPQLPKPELPPLPTLPTLPKPKLPQLPKPELPTLPKPELPKLPKPELPPVPHVPTLPKPELPKLPEIPPLPHLPADLPKPTLPSIPTLPKDTPLPSLIPPHKTTLP >ONH89942 pep chromosome:Prunus_persica_NCBIv2:G8:2314557:2316232:-1 gene:PRUPE_8G025300 transcript:ONH89942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLHDPWANNVTRHKGHLLSPESLKDALDGVTSVISCVGGFGSNSYMYKINGTANINAIRAASEQGVKRFVYVSTADFGVVNYLLRGYYKGKRAAATELLTKFPYGGLILRPGFIYRTRSVWIYNWSESIII >ONH93840 pep chromosome:Prunus_persica_NCBIv2:G8:21843151:21848013:-1 gene:PRUPE_8G255900 transcript:ONH93840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVEDEYVEQVVSPRSSSPVNHFEDPQVLPRIGNEYQAEVPPLIAGFDYLKITNKPADSKAQLDLSNYFSLGLPIPWRNCEVKKCNGKLESGGVEQSRISLNNENSELKFKHLNPVLEDAKNVEEFSNLAPTVGRGLVLPLESNMKSWSKLEEDSFLLCLYIFGKNLRLVKRFIGSKEMGDILSFYYGTFYTSDGYRRWSECRKLKSRRCIHGKKIFTGWRQKELVSRLIPHVSKECQDMLMESSRYFVEGKISFEEYIFKLKDTVGIHMLIEAVGVGKGKQDLTGTALEPMKNNHVISFRPEVPIGKACSSLTASEIIKFLTGNFRLSKARMNDLFWEAVWPRLLARGWHSEQPKQSLVFLVPGIEKFSRSLVKGNQYFDSVSDVLKNVASNPGILELDNEPPKGSESEDRKDRWDLPKSQGRNDLSNKQHHRYLLPRSVNGSRDLRKFTIVDTSMLPVAEKPKVRELRSLHVQTAGLSTLYNLSSENEQDSSKESEDYAEEANTSNPEEDMIDREAFVNSSYCVTSNVNTGMPDTPDPALVAMENHESQITSLLNHESQSTSLLNDKCPSKIVKDKFSRKLTSGRLKYLAPIMKQKDLTDCKHGESSCSGGEIIPVDRKPIQDESHAQSNLPNACEVMEYQVDPQHFSTASSLEIGSPGGSNEGSVTANCLDREGSPEKSQPWTLIDLNLPPVSPDLGTDEPLIKCMMQNNDNSSENKSSSLSDTSEQPEPLKLPEVGESMEQQQPAIKSQRQSTRNRPLTTRAWEALELDFCRTKRKRKGETLQNKSTSRLQRDRGNTSVSSVFDEIQDRGEKRVKSL >ONH93412 pep chromosome:Prunus_persica_NCBIv2:G8:20570022:20570957:1 gene:PRUPE_8G230600 transcript:ONH93412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDALHLRKTSLSLAVEPPSPPPRQPPAQPKHSAHVRGGGAKEAHFRGVRKRPWGRFAAEIRDPWKKTRKWLGTFDTAEEAALAYDEAARSLRGPKAKTNFGAPASALPHTLVIGGGSEIFWSPPPMYFMGGAPSGAPVRSEYKGYKLENVDLVVSEQEKKMRKEKKPFLFDLNLPAPLF >ONH94135 pep chromosome:Prunus_persica_NCBIv2:G8:22543023:22552770:1 gene:PRUPE_8G272100 transcript:ONH94135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPATEETPDMAKSENLGLFLRIRPLLQSGVRGDRNPRFRRKSAFEPWFQKMCLRVKTSRSVTLSPPLALERGRTKTEVYDGFSQVFSPDSSQEEVYEKMMSPLVEDFLKGKSGMLAAFGPSGSGKTHTIFGCNRQPGMMPLALQQIFKETSDSTRSLFISIFEIRCEGGKEERVFDLSPNGVDLTMHRSTLKGLHEVVISDWRHGEFVISQALLRRATSPTNANSQSSRSQCVINLRIVDDKSNGEVNDQANDGVLIIVDLAGAEREKRTGNQGVRLLESNFINKTSMVFCLCLRSLLEHQKNPMKPLEKHFQDSLLTKYLRDYMEGKKRMALILTVKAGGEDYHNTSCLLKQASPYMEIKFNNEPSKRRLSPSFALKGYKEKLEVAEHEIQHLRESNLELEKELKDLKSLIEVHRLDDDQVAQEIQRLRESNLELEKELKYLKSLIEVQRLADDQVAEETQRLKESSLELEKEVKDLKSLIDVQISGDDQWDDSVVEDLPSPSKNAISPSKEDKDRLARRRKGKKWSSLEEDTLRAGVEQYGVGRWKLILTSNQDIFGERNAGGFEG >ONH94134 pep chromosome:Prunus_persica_NCBIv2:G8:22543023:22552663:1 gene:PRUPE_8G272100 transcript:ONH94134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPATEETPDMAKSENLGLFLRIRPLLQSGVRGDRNPRFRRKSAFEPWFQKMCLRVKTSRSVTLSPPLALERGRTKTEVYDGFSQVFSPDSSQEEVYEKMMSPLVEDFLKGKSGMLAAFGPSGSGKTHTIFGCNRQPGMMPLALQQIFKETSDSTRSLFISIFEIRCEGGKEERVFDLSPNGVDLTMHRSTLKGLHEVVISDWRHGEFVISQALLRRATSPTNANSQSSRSQCVINLRIVDDKSNGEVNDQANDGVLIIVDLAGAEREKRTGNQGVRLLESNFINKTSMVFCLCLRSLLEHQKNPMKPLEKHFQDSLLTKYLRDYMEGKKRMALILTVKAGGEDYHNTSCLLKQASPYMEIKFNNEPSKRRLSPSFALKGYKEKLEVAEHEIQHLRESNLELEKELKDLKSLIEVQRLADDQVAEHEIQHLRESNLELEKELKDLKSLIEVHRLDDDQVAQEIQRLRESNLELEKELKYLKSLIEVQRLADDQVAEETQRLKESSLELEKEVKDLKSLIDVQISGDDQWDDSVVEDLPSPSKNAISPSKEDKDRLARRRKGKKWSSLEEDTLRAGVEQYGVGRWKLILTSNQDIFGERNAGGFEG >ONH90143 pep chromosome:Prunus_persica_NCBIv2:G8:3386378:3389732:1 gene:PRUPE_8G036900 transcript:ONH90143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMDSSLLRNSTPFTLGPLPNLLNKTQKCRHSLSSLSLPTNSHKHLFMRRSGSSSGPRNDVTFDEAAYEAERLSFDAKAREEMAETSKIETQESGVAEDPKAWKWVIRKRIWDMMEARNIAQNPRPVHHRIPNFVGAAAAADKLGGLEVFRVGTCVKVNPDSPQKQVRFLTLSGEKKLLTPQPRLRTGFFSVLESHMLTPSTIKEACTSVGVAKYGRAIGLDEKIKVDLIVIGSVAVDPRTGARLGKGEGFAELEYGMLRFMGAIDDSTPVVTTVHDSQLVDDIPIEKLLVHDVPVDIICTPTQVIFTNTSIPKPQGIYWDKLSPEKLGQIRILRELKRRIEKEAGQKLPCGPSEKLPPTARRGR >ONH93015 pep chromosome:Prunus_persica_NCBIv2:G8:19401994:19402443:1 gene:PRUPE_8G208200 transcript:ONH93015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPITKTHLLVLAFAIAISPIWCSPSSNSEVFPEFTNWHVYVVNGLNDNQTMSVHCKSKDNDLGIQNLPQGANTTWSFRTNFVHSTLFWCYISKAKAHAALKVFWQDIYLFQKCNWKNCIYTAKDDGVYIKDFPNDCDVFAKKWEDGWR >ONH92914 pep chromosome:Prunus_persica_NCBIv2:G8:19106635:19107913:1 gene:PRUPE_8G203000 transcript:ONH92914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSITREEGVLKLVRPGRRVEVHKEPITAQEVMRKYPRHSITRPDIFEFPWIVVKAEAVLTPGKVFFIVPNRTIYQLLKAREHGYQSSFSSSSQQQRQSARDHGHHPASEQLTSPPKAYAGVTLKHQERRRRLKHQFFSCATVSPSDEEVDSDKGLQTDSQVGAWPGLSSTNKKTHGKSKQKPQINSKIKTRYGRVYDYSKKNNITAKLAGLEITDSKQVTLLKSCLRKPDSARKSLRLKVAFTLPNKDEE >ONH90516 pep chromosome:Prunus_persica_NCBIv2:G8:7392748:7394405:1 gene:PRUPE_8G058600 transcript:ONH90516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATAPIDPPPMMVDHLYSLYGRLNKGKPPSLVKNSCENLIIREEPTPETTPVVDPSSVSRCYIEKGMFSAVPLIVLYIFFMCHY >ONH90837 pep chromosome:Prunus_persica_NCBIv2:G8:11099567:11100058:1 gene:PRUPE_8G076600 transcript:ONH90837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRMNLLSPKIPKQPPKFHTNSYPSITRFNTRPKTQIIIHCNNKNISDAELASDLSKMNTLFMQREEAMKKSRELLFTELCQYLNSKSEEVKKKWRKMEEEDKWVLIKGFVSEWGVNFHPLSAKSVKELVEEHLHEENPPAKSSASAFFPGLKRMMGFSEDN >ONH89533 pep chromosome:Prunus_persica_NCBIv2:G8:101353:102665:-1 gene:PRUPE_8G000800 transcript:ONH89533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRVNNSFSILNTEAKRSLFSATTRRSRRSSSVRLTVVAETMSTDKLGIKIDKNPPESRLSQLGVRNWPKWSCPPSKFPWTYSDKETCYLLEGKVKVTPDGSNESVEIGAGDLVEFPKGMSCTWDVSVAVDKHYNFS >ONH89789 pep chromosome:Prunus_persica_NCBIv2:G8:1532077:1534827:1 gene:PRUPE_8G016400 transcript:ONH89789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLVQPVGQKRLTNVAVVRLKKRGTRFEIACYKNKVLSWRSGVEKDLDEVLQSHTVYTNVSKGILAKTKDLNAAFDSDDQTKICLEILDKGELQVAGKERESQLSSQFRDIATIVMQKTYNPETRRPYTISLIESLMHEIHFAVDPHRGSKKQALEVIHELQKHFPIKRSPMRLRLIVPEQEFSSLSEKLTAWNATIVSKDQTGSQISIICELDPGFYRDCEGLMMKLHGRYEVLALSVHAEGDTVIDQYDDHEDEPSRSLNESSDFDLSKKKESTDSDLLKKELADPMLKLSNEMQKQSISTGKGTVASEGKQNKCNTCNAFVGDSKQYRDHFKSEWHKHNLKRKTRQLPSLTEVECAADMEMDDHKADLKDYSF >ONH90635 pep chromosome:Prunus_persica_NCBIv2:G8:9712720:9713142:-1 gene:PRUPE_8G065600 transcript:ONH90635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNAVVLVLMAALLVASTRAQSPASSPAKSPASAPSPLSTPPAAAPSPLSTPPAASPSPSSTSPSPSGTPPSAAPSTSPVADSPPSPPSSSPESPATSPPSSVSGSPTESPAPNGAVLNRFSFAGSVAVGVFAAAMLM >ONH93442 pep chromosome:Prunus_persica_NCBIv2:G8:20657838:20661789:-1 gene:PRUPE_8G232600 transcript:ONH93442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPSEAPADDFLEQILGLPNFASADANLAGNDGGLTGAQVSPSSMMLQLNSGDGSGHIAAVGGGYRGPVFPLGLSLEQGKAGFLKPEEASGSGKRFRDDMVDSRGSSVKNVFHGQPISNSVAAAPHPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIMDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEEEGGEGGRNQPAWDKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYHTQPPDTSSVVKPEMNPPS >ONH91307 pep chromosome:Prunus_persica_NCBIv2:G8:13714536:13718787:1 gene:PRUPE_8G105700 transcript:ONH91307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNLNPTTTPKSCLPKTYFLKAPLLPCTFKPKFSPHNCQIHRRSFKKTPFSLTTACKLKTPQDNKKNNTKSNKIAKQIILSEGEPPPLAEESGGAGGSEEAPAKLGSGSGVMGLVKRLPRRILSVLSYLPLAIGEMFAIAGLMALGTFIDQGEAPDFYFDKYPESNPVLGIFTWRWVLTLGFDHMFTSPIFLALLALLGASLMACTYTTQIPLVKVARRWNFLQSSEAINKQEFSESLPRASIQDLGVVLMGAGYEVFLKGPSLYAFRGLAGRFAPIGVHLAMLLIMVGGTLSATGSFKGTVTVPQGLNFVIGDVMGPIGFLSKPTEAFNTEVHVNRFYMTYYDSGEVSQFYTDLSLLDLEGKEVLRKTISVNNPLRYGGITIYQTDWSISALQVLKDNEGPFNLAVAPLKINGDKKLYGTFLPVGDVNSPDVKGISMLARDLQSIVLYDQEGKFAGIRRPSSKLPIEIDGTKVVIVDAIGSSGLDLKTDPGVPVVYAGFGALMLTTCISFLSHSQIWAIQDGTAVIVGGKTNRAKGEFPEEMNRLLDRVPELVNSSLSRQSDSIVG >ONH93455 pep chromosome:Prunus_persica_NCBIv2:G8:20705184:20708254:1 gene:PRUPE_8G233300 transcript:ONH93455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASHFLQSRRSFAATLAHSRHAHEHNKLRHCSIAAVLTRPAENINIATGKSRTIIIPADVVTGAEEKSRVYNDNWFDRMAINHLSQNVQAATGLKNNKSGFESLAEAATAASRKFNPAKQRELVIQALDSAFPKPIFSLLRAILPQSKFAREYFAIFTTIFFAWLVGPCEVRESELDGRKEKNVVYIKKCRFLEETNCVGMCINMCKMPSQSFIKSSLGTPVNMVPNFDDMSCEMIFGQDPPELSNDPALKQPCFKLCKVNQRHNTNDCSK >ONH93643 pep chromosome:Prunus_persica_NCBIv2:G8:21247532:21249228:1 gene:PRUPE_8G243600 transcript:ONH93643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMCKEMQTLLPSGGYNHKMDVFNPRRQKEKVVIVMGATGTGKSRLSIDLATHLAAEIINSDKMQVYKGLDIATNKITEEEQRGVPHHLLGILDPNEDFTATDFCDETSLTIESILGRDRLPIIVGGSNSYIEALIDDYDYKFRSKYECCFLWVNVSTPVLHSFVSKRVDKMVENGMVDEVREFFHPNADYSKGIRRAIGVPEFDKYFRYGPFLDEETKARLLEQAVEEIKKNNCKLASRQLEKIQRLRNVKGWNLHPLDATEVFRKRGKESDEAWEKFVYGPSAQIVRQFLYNYATAEVPVNLGAMRVPMESAALAAATR >ONH91694 pep chromosome:Prunus_persica_NCBIv2:G8:15348842:15350830:1 gene:PRUPE_8G130700 transcript:ONH91694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSHEQLHIFFFPYMAQGHSIPLIDIAKIFASHGVKSTLITTPLNAPLFSKAIQSSKELGFKIELIVIRFPTEEVGLPPGCESTNLATTKEMKEKFFRATTLLRPQLEQILDQQRPHCLLADTLFPWATDVAAKFGIPRLIFHGTGFFPLCASLSVILYEPHLKVSSDSEVFTLPNFPVEIKLTGSQIPAFLKKKVETEFTKLFEASKACEERSYGVIVNSFYELEPVYADHYRKVFGRKAWHIGPVSLCNKAALDKAEMRGMEASLDEHECLNWLNSKKPNSVVYICFGSMTNFSDPQLFEIALGLEASGREFIWVVKKEKKEKEEWLPEGFEKRMEGKGLIIRGWAPQVLILEHEAIGGFVTHCGWNSILEGVSSGVPMITWPVSAEQFYNEKLLTEILRIGVAVGVQQWATFVDVKQEASVKSEAIKKAVTEIMVGDEAEEIRSRAKALREMARKAVEEGGSSFSDLTALIEELKSLRA >ONH91468 pep chromosome:Prunus_persica_NCBIv2:G8:14351752:14353803:1 gene:PRUPE_8G116400 transcript:ONH91468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKIISYFTFSLCLLLSLSPFVHMHPFAYSQLDYNFYDRSCPRLSMIVRYNLWAAIRNDTRMAASLLRMHFHDCIVNGCDGSVLLDDTEDFKGEKNALPNRKSLRGFEVIDNIKADVERFCPSTVSCADILTLAAREAVGLAGGPFWPVPLGRRDGTTASEKAVTEQLPSPIEPLENITAKFTSKGLDIKDVVVLSGGHTLGFAQCFTFKRRLFDFDGSGNPDPTLDSSALTNLRSICPKKDSANSNLAPLDSTNLRFDNAYYTNLVSNTGLLESDQALVKDPNTAAMVNSYSANHFLFSNDFAASMVKLGNVGVLTGKDGQIRKKCGSVN >ONH93860 pep chromosome:Prunus_persica_NCBIv2:G8:21902415:21903838:-1 gene:PRUPE_8G257000 transcript:ONH93860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLPPLSSATSRVLSLLSNCSSATHINQIQTQLILLNLHSNTTIASHFISACQSLGLLDLAHLHFLTQLPKPHVFICNSLIRAFSHSHTPHNQIHNHIPLSIYVHMHKSSILPNNFTFPFLLKSLADSHDFKQGQCLHTHVLKLGHLYDIYVHNSLLNVYASCGRMEFCRQVFDEMPQRDVVSWTVLIMGYRNSENYDDALISFEQMQYAGVVPNHVTMVNALAACANFGALEMGIWIHDFIRRSGWELDVILGTSLIDMYGKCGRIEEDIGRQIFRSLSDGKYGFLPGVKHYACMIDLLARSGYLEDALNCLREMPYEPTKAIWGSLLAGGKTHGNLELSEFAARKLVELEPGSSAYYVLLSNIYAEMGRWNDVEKVRGMMKQRDLKKDSGCSSVEFEPSDQVTQLLAQ >ONH90794 pep chromosome:Prunus_persica_NCBIv2:G8:10858640:10860723:-1 gene:PRUPE_8G074500 transcript:ONH90794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTKRNPTLFLSRSETNPHLIGRRPLALCLSLDSSLGSLSLFTSLSLLESRETHSLSPNRTKHHPILLVFGANPCKFWRALVHSK >ONH91535 pep chromosome:Prunus_persica_NCBIv2:G8:14773504:14774464:-1 gene:PRUPE_8G122000 transcript:ONH91535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEEIAHDFFNVIKVYKDGRVERLLGTSTVPPSTHPENGVQSKDVVISQEPAISARLYIPKSAATSSPQTKLPLLVYFHGGGFCIESSSSPTYHNYLNTLVSEANVVAVSVDYRLAPENPLPAAYDDSWAALKWVASHFDGNGSEDWLNRFADLRGVFFGGDSAGANIAHNMALKLGCEGLVGVKLIGIVLIHPYFWGTEPVGEELTIPAAKREYIAALWRFTCPLSIGSDDPLFNPGKDPKLGELGCEKVLVCVAEKDVFKDRGWHYSEVLKKSGWKGVVEVIEAKGEEHVFHLRNPSCENAVAMKKKIASFLN >ONH89676 pep chromosome:Prunus_persica_NCBIv2:G8:657539:660467:1 gene:PRUPE_8G008900 transcript:ONH89676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATDLLIGKVAGILESEASSIVGVRDQVDEIKQELISMKSFLKDAEGKKPQTEGEETWVASVRDLAYDVEDIIDEFMGRFASCLHKAIHIPKKLWYRRQIGKKLQKITKTIKDITERNQRYDIDPLEGTSSDDIKKWVKNQAESSLFIKEDELVGIEDKKQILMGWLMNGEQQQAVISVVGMGGSGKTTLVAKTFPSESVKRHFSCYAWITASQSYVIEDLFRSLIKEVHQATKEEVPAAADLNSMSYRELLHILVTYLESRRYLVVLDDVWDIKLLKEMRIALPNRQLGSRIMLTTRKEDLAFYSFGVESHVHRIQPLEKNEAWELFSKKAFSTYHKKRCPPELESSAWELLGKCKGLPLAIVALGGLTSSKESSTEWRKVCNSINWHLINDHFLEPLKTILFLSFNDLPYRLKHCFLYCSIFPEDYLIRAERLIRLWIAEGFVEHVKGVTLEEVSESYLMELNFRSMLQVVRCPTIRQACKMHDLMRELALSTLEKEKFCVVYDGREVMEEIRARRLSIQTSEGEIKVCKGMSQLHSFHVFVTGVFWPSISSTLLSQFKLLRILDLENVPIEELPDGLMYLFNLRYLSLSRTSIKRLPESIGQLCNLQTLDISDTEIETLPKEIAKLVNLRHLIIYAKGIRAPSNICMLKTLQVLSFVESDSEGNFFKLVGNMTQLTHIGITNVKGSNEMNLCASIQKMKLLCYLYLLVTREEEFLRIDAFASLPGPPPHLQRLLLSGKLATVPSWFASLRSLTDISLRWSRLKEDVLPHIEALLCLRRLILVNAYVGNELCFNIGFARLTHLELLNFPCLKNITIEEGVMPKLQLLILHCCMKLKALPHGLEFLRNLETLRLGSVPMKIIENIREGGLDHPKVQHIHEIDQIYETSSATFSAR >ONH90673 pep chromosome:Prunus_persica_NCBIv2:G8:10104555:10106197:1 gene:PRUPE_8G068600 transcript:ONH90673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFPMTGGDGPNSYAKNSNAAAKAADGAKAMLVAAIFENLDIETLQSRPTTFRIADLGCSVGPNTFIQVDNIIDAVSQKYHKKSKVQSAGELPEFQVYFSDLVSNDFNYLFSTLPRDRQYFTAGVPGSFHGRLFPEASLNFVYSAYALHWLSKIPDELRDINSPAFNKGRILYGNAPYEVGQAYSAQYAKDIKSFFHARGQELAPGGLMLLLIPGRPHGTLPAQNSLAPYFQPLESTLADMVNKGLLSEDKFDSFNLPVYCPSVEELGALIEETGCLDILTLESIGQMPFSLPSAQGCRAGTESILRKHLGDEIIEPLFDLYSKNKNIAAGSASLVHDDSMAVGFFVLVKRKLL >ONH92127 pep chromosome:Prunus_persica_NCBIv2:G8:16741753:16745239:-1 gene:PRUPE_8G156700 transcript:ONH92127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRGKKNAQASANNGNGNEYSLKSCENGMRQPEIGASMDIIIVGSGLVASALAYTLGKDRRRVHVIERDLTEPDRIVGELLQPGAYLRLIELGLEEIDAQRVFGFAVYNDGKKTKLPYPLENFHPDVVGMGFHHGRFIQRMRKKASSLPNVRLEQGTVTSLLEDNGNIKEVQYKCKGSHQGITAHAPLRIVCDRCCSNLRRSLCNPEVDIPSCSVGLVFEQCQLPYANHAHVILADPSLIMFYPISSTEICCLVDIPGQKVPSVSSGEMAYYLKTKVAPQVPPELNNAFLAATEKGNIKSMPNRSMPAAPHPTPGALLMGDAVNMQHPITGGGVTLALSDIVVVRNLLRFLHNLNDSPSLCKLLESFYTQRKQVAFPINTLAGAAYKVLCASLHPARKEMRQACFDYLSLGRTFSNGPLALLCGLNLCPLSLIFQVFVVGLYAVGRSLLPFPSPSRIGSVARLILGGLGIIFPIINAEGVRQMFCPVATLQSYLRGPPII >ONH92620 pep chromosome:Prunus_persica_NCBIv2:G8:18303742:18304824:-1 gene:PRUPE_8G184500 transcript:ONH92620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTPPKNHSASSITSMKKNPPAVLADMARHDPKRAKRIMTNRRSAMKAKQRKKMYVSALEYNLERLYCEAAALSARLNLWMTDALYIHADNKRLRQCLHHIVQQIRLQDTLMDETQKEIQDLKRIVWSHKTSKN >ONH89984 pep chromosome:Prunus_persica_NCBIv2:G8:2538081:2540689:-1 gene:PRUPE_8G028000 transcript:ONH89984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEELVDQKKYLEESCQPKCVKPLIEYQACVKRVEADNNGEKHCTGQYFDYISCVDKCVAPMLFGALK >ONH89985 pep chromosome:Prunus_persica_NCBIv2:G8:2538545:2540374:-1 gene:PRUPE_8G028000 transcript:ONH89985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEELVDQKKYLEESCQPKCVKPLIEYQACVKRVEADNNGEKHCTGQYFDYISCVDKCVAPMLFGALK >ONH92684 pep chromosome:Prunus_persica_NCBIv2:G8:18490119:18493065:-1 gene:PRUPE_8G189200 transcript:ONH92684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSEPAPASHSDQTFDVLVIGAGIIGLSIARQFLIGSDLSVAVIDKAVPCSGATGAGQGYIWMASKRVGSEGWELALRSQKLWEELAESLKDQGLDPLQLLGWKKTGSLLVGRTPEESDKLKRMVKQQCEAGLRAEYLSASDLHVKEPELMVDKDTGAAFLPDDSQLNARRAAEFLEKGNRHYSSKGRYAEFYNDPVISLLRSGGSGEVEAIKTSRNILHSKKAIVVAAGCWSGSLMSDLLRESEIVLDIPMKPRKGHLLVLENFNSFQLNHGLMEAGYIDHHHTAVPLPSLSTSGLLDDHDGQALSVSMTATMDTMGNIVLGSSRQFAGFCTEVEESIVNRIWERVGEFFPKLREKPLSDFSRSREVRVGLRPYVPDGKPVIGPVPGLANVFLATGHEGEGLTMALGTAEMLVDMVLGNPQKVDSAPFAVHGRF >ONH90070 pep chromosome:Prunus_persica_NCBIv2:G8:3092195:3094623:-1 gene:PRUPE_8G033000 transcript:ONH90070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPFFLKFPAIKKARAFDPAKQTQRKIIFTNSDRKHAVKALDRLGVRDCFEQIICFETMNPNLPSSTRPNEFPVVLKPSMEAMEIALRVAEVDPRRTLFLDDHIRNVAFLGLEVVIAGADQQEAHHLGFSSSHHHGNIIPIS >ONH93971 pep chromosome:Prunus_persica_NCBIv2:G8:22169289:22173300:1 gene:PRUPE_8G264100 transcript:ONH93971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPPLSVFVSHKHMSFPSSLHTTTTMYNLRRRTKLLLSVLPTSALLLILLFSNTHLRNSNSITPKTHVHNRIQLAAHSACQDTLYKDLCVSTLSTFPDLSTKSVPQIISYTVSHTVSEVQASSSNCTGIIKKQFKKLDALQQIALDDCLELFDDTIAELKAVISDLSVEKLSSDRYYDLKTLLSGAMTNQYTCLDGFNDTGIVRHEIQSRLQTISQHVSNALVMLKKIPGALKYENSKSSTSSEMFPEFGPMRNGFPTWVSSKDRKLLQSVVNGTKYDLVVAKDGTGNFTTISEAVAVAPNSSATRFVIYIKSGAYFENVEVVRKKTKLMFLGDGIGKTVVKASRNVVDGWTTFRSATVAVVGDGFIAQGITFENAAGPSKHQAVAFRSGSDFSAFYKCSFVGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAVVLQNCNLYARKPNENQKNMFTAQGREDPNQNTGISILNSKVAAASDLVPVKAMFKTYLGRPWKEYSRTVYMKSYIDDLVEPAGWLEWNGTFALSTLYYGEYLNRGPGSNTSARVTWPGYRVINSSAEANQFTVGPFIQGNEWLNATNIPYFIGLTP >ONH91425 pep chromosome:Prunus_persica_NCBIv2:G8:14185028:14194345:-1 gene:PRUPE_8G113600 transcript:ONH91425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMQTIQDLIEEIKLRVVWWALFVFCVTYFLSHSSKSMWMNIPISILLVSALRFLLNNVEFHWKVQRPVRPQSYLSHLEKKQLSVNDPRLSTGPPPPRWKRKIGSPIVEDAMSDFIDKILKDFVIDLWYSDITPDKEAPEQIRVIIMDALGEVSGRVKEINLVDLLTRDIIDLIGDHMELFRKNQAAIGVDVMKTLSSEERDDRLKHHLMASKELHPALISPESEYKVLQRLMGGVLAVVLRPREAQCPVVRSIARELLTSLVIQPVLNFASPGYINELIEYILLAIKDEITKVVAGDQSTAGGVPDHGSPLNKYATFNQRTDMILSKVDNQREKSSDYNPFQEDPLQPRPADWARILEAATQRRTEVLAPENLENMWTKGRNYKRKEHKKKIKATQEHTPVSSGVDSAVPARKLGNEMVADRHEISTGIEDKSIVKLTRETSLDSQLSDGTKKEMQFSLDPSKKSYAEGGNLVDELEDIGSLAADGNKSRLKRSNSTSALKIQPDTKRAFTEGGGSIISEFYSPEFGRRREEHIGKSASDMVAHCVGQQVPKLRCRVMGAYFEKLGSKSFAVYSIAVTDSENRTWFVKRRYRNFERLHRHLKEIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFLSGSSKNYAFGKSPSVMRTLAVNVDDAVDDIVRQFKGVSDGLMRKVVGSPTSEASSSISAWNLSTNADETGVRAIRQNTVETTNSFSDNEDGDKDKSCDPEEAGSGAQENGWHSDNELNSKGYPRRVIHTRSLGSEKKDDLAGEGGFPAANFTATSRNLEDPVGMPPEWTPPNVSVPLLNLVDKVFQLKRRGWLRRQVFWISKQILQLMMEDAIDDWLLTQIHWLRREDTIASGIRWLKDVLWPNGTFFLRLGNAQDGNENPFQNISQLGGSKADKPGSFEQQLEAARRASDIKKMLFDGTPTALVSLIGHKQYRRCARDIYYFTQSTICVKQLAYAILELSLVSIFPELQDLVLDVHQTMGVNETV >ONH91426 pep chromosome:Prunus_persica_NCBIv2:G8:14184570:14194763:-1 gene:PRUPE_8G113600 transcript:ONH91426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMQTIQDLIEEIKLRVVWWALFVFCVTYFLSHSSKSMWMNIPISILLVSALRFLLNNVEFHWKVQRPVRPQSYLSHLEKKQLSVNDPRLSTGPPPPRWKRKIGSPIVEDAMSDFIDKILKDFVIDLWYSDITPDKEAPEQIRVIIMDALGEVSGRVKEINLVDLLTRDIIDLIGDHMELFRKNQAAIGVDVMKTLSSEERDDRLKHHLMASKELHPALISPESEYKVLQRLMGGVLAVVLRPREAQCPVVRSIARELLTSLVIQPVLNFASPGYINELIEYILLAIKDEITKVVAGDQSTAGGVPDHGSPLNKYATFNQRTDMILSKVDNQREKSSDYNPFQEDPLQPRPADWARILEAATQRRTEVLAPENLENMWTKGRNYKRKEHKKKIKATQEHTPVSSGVDSAVPARKLGNEMVADRHEISTGIEDKSIVKLTRETSLDSQLSDGTKKEMQFSLDPSKKSYAEGGNLVDELEDIGSLAADGNKSRLKRSNSTSALKIQPDTKRAFTEGGGSIISEFYSPEFGRRREEHIGKSASDMVAHCVGQQVPKLRCRVMGAYFEKLGSKSFAVYSIAVTDSENRTWFVKRRYRNFERLHRHLKEIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFLSGSSKNYAFGKSPSVMRTLAVNVDDAVDDIVRQFKGVSDGLMRKVVGSPTSEASSSISAWNLSTNADETGVRAIRQNTVETTNSFSDNEDGDKDKSCDPEEAGSGAQENGWHSDNELNSKGYPRRVIHTRSLGSEKKDDLAGEGGFPAANFTATSRNLEDPVGMPPEWTPPNVSVPLLNLVDKVFQLKRRGWLRRQVFWISKQILQLMMEDAIDDWLLTQIHWLRREDTIASGIRWLKDMELQLP >ONH90866 pep chromosome:Prunus_persica_NCBIv2:G8:11274113:11275033:1 gene:PRUPE_8G078800 transcript:ONH90866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKINLFQSPWMSQRLCSLCWGESKEAFYDSAIIYLNQSSFQELLSPGEDEFAYEHPVGCITIPCSEDTFLYLMIISRLGV >ONH92126 pep chromosome:Prunus_persica_NCBIv2:G8:16737550:16741257:-1 gene:PRUPE_8G156600 transcript:ONH92126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYQNIFGAVIASLLGFAFLYRLRGKKKARALVKTDQNGNCLKSSENGICEAKISTSTDIIIVGAGVVGSALAYTLGKEGRRVHVIERDLAEPDRIVGELLQPGGYLKLIELGLEDCVSEIDAQRVLGYALYKDGKSTKLPYPLENFHPNVAGRSFHHGRFIQRMRQKASSLPNVRLEQGTVTSLLEEKGTVKGVQYKSKGGDLELTAHAPLTIVCDGCYSNLRRSLCNPKVDIPSCFVGLVLENCQLPYTNHGHVILADPSPILFYPISSTEIRCLVDVPGQKVPSISGGEMAHYLKTKVAPQVPPEMYAAFMAATDKGNIKSMPNRSMPAAPHPRPGALLMGDAVNMRHPLTGGGMTVALSDIVVLRNLLRPLHNLNDAPALCKYLESFYTLRKPVASTINTLAGALYKVFCASPDPARKEMRQACFDYLSLGGVFSNGPLAILSGLNPRPLSLVLHFFAVAVYAVGRLLIPFPSPKRIWVGARLILGASGIIFPIINSEGVRQMFFPVTVLSYYRAPPVN >ONH89993 pep chromosome:Prunus_persica_NCBIv2:G8:2578779:2580342:1 gene:PRUPE_8G028500 transcript:ONH89993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHLSQGGMVPGGASYGGLDLEGSMRVQHQTQHPHTIHQHHPHPRQGSLAHPSIHEGFPLKMGTMHNCDQTISMMDYNKGERSKNSASDEDEPSYTEEGTDGHAEGNRGKKGSPWQRVKWTDQMVKLLITAVSYIGEDTSSDCGSGGRRKYSTLQKKGKWKSVSKVMAERGFHVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCQVVENQALLDVIDYLTEKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQKSLQRALRRDEHDNDDPRRHHHDDLDEDDQDMETDEHEDFEENNASHVDNRGIYVLEDSVKRLRQGQGREEFNYGSSLNPQDCNKSSYCHPPIPPADMNQVLPDGTKAAWLQKQWIESRSLQLEEQKLQIQVEMLELEKQRFKWQRFSKKRDRELEKLRMENERMKLENERMALELKRKEMGAGFS >ONH91403 pep chromosome:Prunus_persica_NCBIv2:G8:14113637:14114450:1 gene:PRUPE_8G112300 transcript:ONH91403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPNDEADPNDPEFAIPIVEMSLLTSGSLDQRSKIIHDLVKICQEWDFFLEQNEFKSGNDVLEMFKYGTSYNLALDKFLLWRDFFKVRVHPEFYSLYKPACFREVSMEFSKRAREVALEITRAISESLGLGPNYIHNAMNMDRGIQMLAANYYPPCPQPEHAIGIPPSH >ONH91097 pep chromosome:Prunus_persica_NCBIv2:G8:12534607:12537022:-1 gene:PRUPE_8G092600 transcript:ONH91097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSKNMEMPSAKTVISTATSVAAAAMLIRSTARDWVPSELQHYVSLKLRSLLSSLSSQLTLVIEEFEGLNHNQLFKAAQLYLRPTISPNTKRFRVTMPTKENKISVFMLRNEEIVDFFNGVKLNWKLVSKEVPSKYIDNPDSRGQFGGCTLKSQLQYWELSFNKKHKDLVIGSYLPYVLEKAKEVKEEFKTLKLFTLKYDRRMRGMGGGDAWQSVNLDHPSTFETLAMEGEAQKMIMEDLERFVKRKEYYRKVGKAWKRGYLLFGPPGTGKSSLIAAMANYLNFDIYDLELADVRKNSELRKLLIATANRSILVVEDIDCSVEIQNRRSAARATNPHGFSAQRTEVTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALVRPGRMDVHIHMSFCSPCGFKTLASNYLGITEHTLFFDIEQLLATMKVTPAEVGEQLLKNEDPECALRDLIGFLERKKSATEEAASKAEDGEPKTVE >ONH93874 pep chromosome:Prunus_persica_NCBIv2:G8:21937747:21938560:1 gene:PRUPE_8G258000 transcript:ONH93874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSSIFLFAFYMFTATLIISTWTVHAGSDHGLSWVPGRTRCQGSIAECMADDEFDMDSQINRRILATSQYISYGALQRNTVPCSRRGASYYNCKPGAESNPYNRGCSAIARCRS >ONH91332 pep chromosome:Prunus_persica_NCBIv2:G8:13806673:13809180:-1 gene:PRUPE_8G106900 transcript:ONH91332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRNAISRRAHKERAQPESRKKFGLLEKHKDYVERAKAYHKKEETLRRLKEKAAFRNPDEFYFKMIKTRTVNGVHKLESQANKYTQEELLLMKTQDIGYILQKVQSEKKKIEKLTATLHSLDNRPSSRHVYFAEDREEAKEIQSRSKSGTMPASEDIPDHIKRKTASSYRELEARRNRVNELEKIYTDMAMQKELQKKGRKRKLREDEVVCPTSKPVFKWRAERKR >ONH90878 pep chromosome:Prunus_persica_NCBIv2:G8:11347844:11348229:-1 gene:PRUPE_8G080000 transcript:ONH90878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVNAKRSLSHIAASKTLDIPKGCFAVYVGESQKKRFVIPISYLNEPLFLDLLNQAEEEFGNDHPMGGITIPCSEDSTPAEALSCQT >ONH93190 pep chromosome:Prunus_persica_NCBIv2:G8:19924446:19927552:1 gene:PRUPE_8G218400 transcript:ONH93190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASVEEKGSADGREDHTQDGTVDLKGRPILRSKTGRWRACSFIVGYEVFERMAYYGIVSNLVIYLTTKLHEGTVTSANNVTNWVGTVWMTPLLGAYIADAHLGRYWTFVIASAIYLAGMSLLTLAVSLPALRPPSCGHGIKSEDCDKRASPFQVGIFYGALYIIAIGTGGTKPNISTMGADQFDEFEPKEKTQKLSFFNWWMFSIFFGTLFSNTFLIYLQDNVGWALGYGLPTLGLALSILVFLLGTKFYRHKLPSESPFSRIAHVIVAALRKWRVTIPDDQKELHELSLEAYTKSGKFRIDHSPSLRFLDKAAVKSGPTSPWMLCPVTQVEETKQMIKLVPILVATFVSSMMLAQGGTLFIKQGTTLDRSIGPNFEIPPACLSAFITIFMLISLVVYDRLFVPWIRRYTKNPRGITLLQRLGIGLVLHVMIMVTAWLAERKRLSVVREHQLFGEKDTLPLTIFILFPQFALLGIADSFVETAKIELFYDQAPQAMKSLGTSYFTTSLGIGSFLSSFLLSTVSNITKQHGRGWILDNLNISHFDYYYLFLAVLSSLNLLFFLFVAKYFVYNADTTEPKGDFAMETLANKPPAQAQTEASNALLVS >ONH93191 pep chromosome:Prunus_persica_NCBIv2:G8:19925519:19927552:1 gene:PRUPE_8G218400 transcript:ONH93191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTLAVSLPALRPPSCGHGIKSEDCDKRASPFQVGIFYGALYIIAIGTGGTKPNISTMGADQFDEFEPKEKTQKLSFFNWWMFSIFFGTLFSNTFLIYLQDNVGWALGYGLPTLGLALSILVFLLGTKFYRHKLPSESPFSRIAHVIVAALRKWRVTIPDDQKELHELSLEAYTKSGKFRIDHSPSLRFLDKAAVKSGPTSPWMLCPVTQVEETKQMIKLVPILVATFVSSMMLAQGGTLFIKQGTTLDRSIGPNFEIPPACLSAFITIFMLISLVVYDRLFVPWIRRYTKNPRGITLLQRLGIGLVLHVMIMVTAWLAERKRLSVVREHQLFGEKDTLPLTIFILFPQFALLGIADSFVETAKIELFYDQAPQAMKSLGTSYFTTSLGIGSFLSSFLLSTVSNITKQHGRGWILDNLNISHFDYYYLFLAVLSSLNLLFFLFVAKYFVYNADTTEPKGDFAMETLANKPPAQAQTEASNALLVS >ONH93237 pep chromosome:Prunus_persica_NCBIv2:G8:20041459:20047260:1 gene:PRUPE_8G220800 transcript:ONH93237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFFMRKASTAELDAFYPIRPECQVDVPKTRFRLRAGKTLSARRWHAAFSEDGHLDIAKVLRRIQRGGVHPAIKGVVWEFLLGCFDPNSTFDDRNQLRQGRREQYSRLKSECQKMVPVIGSGKFLTTPIITDDGQPIEESANGNISNNGGDANHATSDKKVIQWKLFLHQIGLDVVRTDRSLVFYESQAHQAKLWDILSVYAWVDNDIGYVQGMNDICSPMVILIDNEADAFWCFERAMRRLRENFRCSASSIGVQSQLSTLSQVIKTIDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFVDSLYLWELMWAMEYNPNIFSSYEVPSGGATPSNVNDKELKQYGKFERNIVKTGYTEKHGALSVFLVASVLETKQKQLLKEATGLDDVVKILGDITGNLDAKKACNEALRIHKKYLKTVKQ >ONH93238 pep chromosome:Prunus_persica_NCBIv2:G8:20042209:20046077:1 gene:PRUPE_8G220800 transcript:ONH93238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFFMRKASTAELDAFYPIRPECQVDVPKTRFRLRAGKTLSARRWHAAFSEDGHLDIAKVLRRIQRGGVHPAIKGVVWEFLLGCFDPNSTFDDRNQLRQGRREQYSRLKSECQKMVPVIGSGKFLTTPIITDDGQPIEESANGNISNNGGDANHATSDKKVIQWKLFLHQIGLDVVRTDRSLVFYESQAHQAKLWDILSVYAWVDNDIGYVQGMNDICSPMVILIDNEADAFWCFERAMRRLRENFRCSASSIGVQSQLSTLSQVIKTIDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFVDSLYLWEVRRKTVLHFPNWVLFY >ONH93705 pep chromosome:Prunus_persica_NCBIv2:G8:21459069:21462848:1 gene:PRUPE_8G248300 transcript:ONH93705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTTTQKKPEPAILCRTHFSPMLNLLNKSLLRLCHRLRWPVRRRSRPKVVIKRFGKTNSKKSQLEARHEASINGSAAVHPNGQLGDLKTERPIRIATFNAALFSMAPAVPKSEKVESFDDENGDSMKVRHINVQAKSVNDRPKSILKQSPLHPNSMNNTENLSKQQKFVKSKLRVSINLPDNEISLLRNRQLSFSEGGGGKEDSSCPSSSGKTRLLRGKAPLRSSSVSFCANIGNRTADGGEFYRSHRTVLDVLRELDADILALQDVKAEEEKAMKPLSDLAAALGMNYVFAESWAPEYGNAILSKWPIKRSKVQKIFDDTDFRNVLKATIDVPEAGEVNFHCTHLDHLDENWRMRQINAIIQSSNEPHILAGGLNSLDESDYSQERWTDIVKYYEEMGKPRPKVEVMRYLKSKQYTDAKDFEGEYESVVMIAKGQSVQGTCKYGTRVDYILASSNSPYKFVPGSYSVFSSKGTSDHHIVRVDVIKVDNGVGEDHTRRRQMKQKVTKITNTTSPSKGIWKLPKK >ONH91331 pep chromosome:Prunus_persica_NCBIv2:G8:13797911:13798977:1 gene:PRUPE_8G106800 transcript:ONH91331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVKDDEQQRKKIELWELDIYNVEELSKLLGDVAIGNGGVMPNIYNLLLPKKARTSSKNVGGDDDS >ONH92520 pep chromosome:Prunus_persica_NCBIv2:G8:18025360:18026806:-1 gene:PRUPE_8G179300 transcript:ONH92520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPKNIISLFLLLVLARDSGFARANASPSVQSLNTHELINPKLPPRAISSSKKFEGSSDLVHLRYHMGPVLSSSPINIYLIWYGKWAPSQKLLITDFIHSISADAHSAAAPSVAEWWRTVSLYTDQTGANVSRNVVIAGQYSDLRYSHGTHLTRLSVQQVIATAVRSAPFPVDHKHGVYLILTSEDVTVQDFCRAVCGFHYFTFPSMVGYTLPYAWIGNSGKQCPEVCAYPFALPGYMGGGGPGSLSPPNRDVGVDGMISVIGHELAELASNPLINAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMRDREGRTFNVNGSKGRKFLLQWIWSPVLKACAGPNALD >ONH89722 pep chromosome:Prunus_persica_NCBIv2:G8:983730:986727:1 gene:PRUPE_8G012200 transcript:ONH89722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRTPSLVVIAYDATKDRGLHELRLTVNGVRKWGDILSRGDTIVFLGVLDKVLHPLGYQAKPRLDSIETSIRAVEEAVRKKVDGYVNMLLRSAQQCEDQRVSIKVKVIAGCPVKQVILQELMACNAAWVVLDRHLKRYLEFYLMHIPCKIAVIEDSLAVEVVRAHQIEDTDTVEHKWFYSMSKRVPTGEDFHNLSQYQMAVLARNIR >ONH90028 pep chromosome:Prunus_persica_NCBIv2:G8:2943423:2945477:1 gene:PRUPE_8G031000 transcript:ONH90028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMILNNALRSIVNAERRGKATVELQPISTVMSSFLKIMKHRGYIKDFEVFDPHRVGRITVQLQGRVNDCRALTYRQDVKAKDIEEYKFRTLPTRQWGYVVITTPDGVLDHEEAIRHNVGGQVLGYFH >ONH90452 pep chromosome:Prunus_persica_NCBIv2:G8:6439871:6442965:-1 gene:PRUPE_8G055100 transcript:ONH90452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKEKLCADAWENPSSGNDLTGKISSDCPPFAIFKPQLHQQKWEDPSILDYGGIRIEPSFQEFNQPSETQTSLPCNSNNDTKMADREDGRMCETPQANKSQDWDPRTMLNNLSYLEQKIHQLQDLVHLIVGRRGQVLGRPDELVAQQQQLITADLTSIIAQLISTAGSLLPSVKHTLSTTTPSIGQFGQLGGSFVPSGVGTDASVKLQNSNGSKLADQSNQTDLISNYGTEQNYSVEEHESKDEEDADEGENLPPGSYEILQLEKEEILAPHTHFCAICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKESSSEPTLIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDETKGTQGPADHGEGSEASNRVGNINFSFGSTASGGGGVVQNLMDVKESINDPTSYFSPLNFETCNFDGFHEFPRPPFEDSESSFSFLMPGSCNYTHKTGGEPNSNNLE >ONH90451 pep chromosome:Prunus_persica_NCBIv2:G8:6439871:6442926:-1 gene:PRUPE_8G055100 transcript:ONH90451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKEKLCADAWENPSSGNDLTGKISSDCPPFAIFKPQLHQQKWEDPSILDYGGIRIEPSFQEFNQPSETQTSLPCNSNNDTKMADREDGRMCETPQANKSQDWDPRTMLNNLSYLEQKIHQLQDLVHLIVGRRGQVLGRPDELVAQQQQLITADLTSIIAQLISTAGSLLPSVKHTLSTTTPSIGQFGQLGGSFVPSGVGTDASVKLQNSNGSKLADQSNQTDLISNYGTEQNYSVEEHESKDEEDADEGENLPPGSYEILQLEKEEILAPHTHFCAICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKESSSEPTLIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDETKGTQGPADHGEGSEASNRVGNINFSFGSTASGGGGVVQNLMDVKESINDPTSYFSPLNFETCNFDGFHEFPRPPFEDSESSFSFLMPGSCNYTHKTGGEPNSNNLE >ONH90901 pep chromosome:Prunus_persica_NCBIv2:G8:11535775:11539343:1 gene:PRUPE_8G082300 transcript:ONH90901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVVLHHPLLTPCLSHLPSSSSTSSFPIRTQKSTAWIPQRNHAGLLRWHPTTTIRCMANPRRVAMVAKQIRRELSDMLLTDNVLQYAILPEVALGADRYLSSLTTISDVEVSTDLQVVKVYVSVFGDERGKEVALAGLKSKAKYVRGELGKRMKLRLTPEIRFIEDESLERGSRVIAILDKIKNEKKDPDIQDEEQLESSEAPQDDTDWEGDDPDEDIIYIN >ONH90966 pep chromosome:Prunus_persica_NCBIv2:G8:11902044:11905093:-1 gene:PRUPE_8G086000 transcript:ONH90966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICKRVLQTGADSAFLFASFRRNLYSPSSTGNNGYGLFSRQISGLVKPNGNRAFLVDTLALVRGLEAKGVPTKQAEAITAAITEVLNDSLENVAHAFVSKAEMQKAGMLQESNLSKFKSEVKSSQEHHFSMLQRETEKLRGDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETTNLTNKLDREIHTLRAQLEAAKYDVIKYCIGTLVSISAVGLAVLRILL >ONH89705 pep chromosome:Prunus_persica_NCBIv2:G8:873518:874504:1 gene:PRUPE_8G010800 transcript:ONH89705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPQSSPPSTQTSNYIKREEKKYPHTPLLMNPEAQLNRHPNPLPFPLNFPSLLRDNHPPLSSLPSNYRSSTKIFSRHPKIQPHPTHPPRFHHNRIPTTQTPPRFHHSAFHPQTLNLSLQPTIDAKNVRIFYFLFSNLLVFGICTEKEKGAESEEEMAKTEGGRGLWRNGGEEV >ONH92269 pep chromosome:Prunus_persica_NCBIv2:G8:17225563:17228610:1 gene:PRUPE_8G166000 transcript:ONH92269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVSIEVWNPHGKYRVVSTKPMPGTRWINLLIEQDCRVEICTQKKTILSVEDIIALIGDKCDGVIGQLTEDWGETLFSALSRAGGKAFSNMAVGYNNVDVNAATKYGVAVGNTPGVLTETTAELAASLSVSAARRIVEADEFMRAGLYDGWLPNLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQATRLEKFVTAYAEFLKANGEQPVTWKRAASMEEVLREADVISLHPILDKTTYHLVNKERLAIMKKEAVLVNCSRGPVIDEVALVEHLKQNPMFRVGLDVFEDEPYMKPGLADLKNAIVVPHIASASKWTREGMATLAALNVLGKIKGYPIWSDPNQVEPFLNKNAPPPAASPSIVNAKALGLPVSRL >ONH92268 pep chromosome:Prunus_persica_NCBIv2:G8:17225670:17228519:1 gene:PRUPE_8G166000 transcript:ONH92268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVSIEVWNPHGKYRVVSTKPMPGTRWINLLIEQDCRVEICTQKKTILSVEDIIALIGDKCDGVIGQLTEDWGETLFSALSRAGGKAFSNMAVGYNNVDVNAATKYGVAVGNTPVILTETTAELAASLSVSAARRIVEADEFMRAGLYDGWLPNLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQATRLEKFVTAYAEFLKANGEQPVTWKRAASMEEVLREADVISLHPILDKTTYHLVNKERLAIMKKEAVLVNCSRGPVIDEVALVEHLKQNPMFRVGLDVFEDEPYMKPGLADLKNAIVVPHIASASKWTREGMATLAALNVLGKIKGYPIWSDPNQVEPFLNKNAPPPAASPSIVNAKALGLPVSRL >ONH90019 pep chromosome:Prunus_persica_NCBIv2:G8:2819764:2821175:1 gene:PRUPE_8G030200 transcript:ONH90019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVPSQPTSGQTTSNNASEKPTEGGPSSTAAPSDNQKVEVKNASDNQKTETQSPVQTYGDGKSKCCMVYAKDLDITWSGDTRYWRWVKETSGGNFEAAELLNVCWLEVRGKLSTTDLSPGTLYEVVFVVKMKTKAYGWDAPVNLKFTPPDGAVSRETTIKLTDLKDSKDEWKDIPFGEFKAPANPGNIEFLLYEYGGRWKSGLVIKGVAVRPKSQVAEYYCNN >ONH92140 pep chromosome:Prunus_persica_NCBIv2:G8:16792611:16792886:-1 gene:PRUPE_8G157700 transcript:ONH92140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIIHAKQKFRHSPSNQAAPKGYFAVYIGESQKKRFVIPISCLNQPSFKDLLSQAEEEFGYNHPMGGITIPCSEDRFLDLISSSSG >ONH93338 pep chromosome:Prunus_persica_NCBIv2:G8:20342664:20345890:1 gene:PRUPE_8G226900 transcript:ONH93338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVFSAHKPTYLLLLLHIFLLALLPFKAISSPKTQAEALLTWKKNTFDSAPPSLTSWSLANLNNLCNWYAIVCDQSSKQVSQIDLSTFNIAATLTHFNFTPFLKLTQFNIRGNYFTGPIPSELGFCTNLTYLDLSSNSLEGPIPSSIGQLRELQHLDLSNNFLNSSIPSELGLCTNLTYLALVSNHLEGKIPSSIGQLRELQNLYLQMNFLNSSIPSELGFCTHLTYLHLSSNSLEGPIPSSIGQLRELQYLNLSNNFLNSSIPSELGLCTNLTYLALASNHLEGKIPSSIGQLRELRHLDLQMNSLDSSIPSELGFCTNLTYLDLSSNHLEGKIPSSIGQLRELQNLYLQMNSLNSSIPSELGFCFSLTYLDLSRNQLSGSIPLTLSNLSRIQGLNLSNNNLNGSFPTEIAFPRLGKFYLSHNNFTYNTFVGNSDLSKKNNKKVIIGGLVFVCGLFVVTTTIALILVFHKKTKCALKKINSAQNFENFESMILQEEVKFTFGEVVKAIDDFHEKYCIGKGGFGRVYKAELLSGQVVAVKRLNMSDSNDIPAINLQSFENEIRTLTNVRHRNIIRLYGFCSRRGCIFLLYEYLERGSLGKALYGVEGVTQLGWATRVKIVHGLAHALSYLHHDCSPPIVHRDVTVNNVLLESDFEARLSDFGTARLISANSSNWTHIVGSFGYMAPELALTMRVTDKCDVYSFGVVALEVMMGRHPGDLLESELSKSSKSMTEDNAELLLKDLLDQRLEVPSNELAKAVVLVMSLALGCIRMRPGSRPTMLYVAQKLSAQSLPSLPLPFGMLTINKLMGI >ONH92473 pep chromosome:Prunus_persica_NCBIv2:G8:17914267:17917853:-1 gene:PRUPE_8G177700 transcript:ONH92473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITPFIDLITQLAYTPPTWASLVSGVFVLITLTLSIYLVFEHLSAYKLPEEQKFLIGVVLMVPCYAVESLISLVNPSISVDCEILRDCYESFAMYCFGRYLVACLGGEERTIEFMEREGRASSKTPLLEYSSEKGTVKHPFPLNYILKPWKLGQWFYQIVKFGIVQYMLIKSLSAILAVILEAFGVYCEGEFKWGCGYPYLAVVLNFSQSWALYCLVQFYAVTKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLYALGLFKSPIAQGLQFKSSVQDFIICIEMGIASVVHLYVFPAKRYELMGDRYTGSVSVLGDYVSADSPLDPEEVRDSERPTKLRLPNPDIDVRSGMTIRESVRDVFVGGGEYIVKDVRFTVTQAVEPVEKGITRFNEKLHKISQNIKRHDKEKRKTKDDSFITASSPAQKLIRGIDDPLLNGSMSDSGVARGKKHRRKSGYTSAESGGESSSDQSYSGYQVTGRRWVTKD >ONH91474 pep chromosome:Prunus_persica_NCBIv2:G8:14380945:14382132:1 gene:PRUPE_8G116900 transcript:ONH91474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHYFAQALAMVLIASMLAVGLANKDWQHGNYTGWGFNRGLNKTKGPNKITVGGSENWHYGFDYKQWAWKNGPFYINDTLVFKYDPPNDTTRPHSVYLFQNPWSFMKCDLSQAKMVGKPTQGGGEGFEFVLKSWQPYYFACGEHNGLHCKDGLMRFVVFPMFRGWNY >ONH89904 pep chromosome:Prunus_persica_NCBIv2:G8:2068628:2070559:-1 gene:PRUPE_8G023000 transcript:ONH89904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWEKLMKMAGAVHTGGKGSMRRKEKTVHKTTTTDDKRLQSTIKRIEGPDNLDNLMKLAEQFQKQAPGASAAGGTTPQEDDDDEVPELVAGENFEAAAEEKQAS >ONH93929 pep chromosome:Prunus_persica_NCBIv2:G8:22064410:22065375:1 gene:PRUPE_8G261300 transcript:ONH93929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMACSNYVLAFILLAVCLINSVQLVSGNGNQNNVQKACSVTRYQDLCIHSLASFSSSAKSSPSRWARAAVSVTLGEAKSVAQYLLALQKHSGINGRRSRVALSDCIECFQNAIDELHKSLGVLRSLSRTTFDTQMGDLNTWLSAALTNGDTCLDGFEGQRGRQVKLLQNKVLKATHITSNALALANKLAATGLESLPHS >ONH93289 pep chromosome:Prunus_persica_NCBIv2:G8:20191345:20195989:1 gene:PRUPE_8G223600 transcript:ONH93289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKLVRDLHLYRQPLFHQLTLQQQVSSTRIRLISANGYSGSRRFSVFNEFSKQVKGEATKNPEFQQSVKELKEKAEELKGVKEDLKVRTKQTTEQLYKQVDGAWTEAEAAAKKVSANVKEKITAATEEVKGTFGIGNEQASGPSGAKSENFADAEDGTKASSGEQKHQESGSSNTEETLFGKFRSSASSSYFSSAFSRVKEVKFIDMAKKGYDIVKDELSGNPTKRKHLEYDPSTTPQVEVSTRTDIVAVPQSRWSKKWEAFRMKMQGHPIFKRFDELRKPVTSKTQEIAEDMREHWETSDSPIVHKIQDLNETIFQETDTAASIKEIHRRDPSFSLPDFVAEVQDAVKPVLNAYIKGDVETLKKYCSKEVIERCKAEHDGFRSHGIFFDHKILHISDAEVKETKMMGDSPIIIVMFRTQQVYCVRDKNGAVTDGGKDTIHTVYYAWAMQQVDPEELGEGAIYPIWRVREMQQFGVQALI >ONH93964 pep chromosome:Prunus_persica_NCBIv2:G8:22141474:22143240:-1 gene:PRUPE_8G263400 transcript:ONH93964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLFASLTHSPPNQTPPTKLLQDWEFMASFSQSHARKPTSPLLVFPFALLLFILSSSAYSDDKLSAYEMLQQYDFPIGLLPKGVTGYELDRDTGNFKAYFNGTCSFSLENSYQLRYRSTITGVLSKDRLKNLKGVSVKVLFFWIDIVEVVRNGDELQFSVGIASADFSIDNFEESPQCGCGFACQQLVSSAVPSHSVRALMKSN >ONH90681 pep chromosome:Prunus_persica_NCBIv2:G8:10119221:10121166:-1 gene:PRUPE_8G069000 transcript:ONH90681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHFNTSRAEAERWLSTAVKLLTTRDLHGTKSFAIRARESDPRLEATEQIIAVADTLLAGDSRISGHSQQPDWYAILQLAHYTQNLELVATQYRRLALLLNPHRNRFPYADHAFRLVSEAWNVLSNPSKKALYDHELSMFNRFDSAPSGSTQLFERQFLQMHNVQQQPPQPPQPPPPPPPQPQTLHFQPPPPPSQEVQRAQHQFHLHQLRQEQQQQQQQQQQQQQQQLQQPPQQQQQQQHQQPPQEPEPQQQQPVRKSPRSKDGRVAMEEERPSLNNVTESTAPPSESTRPVEASPPSESTRPESEPRTESFWTACPYCYNLFEYPSVYEDCMLRCQNCKRAFNAVVIEAPPVTGSDGEVNFCCWGYFPLGFADNGKDTGGSAEWTPFSTMFACPIQGKKKIAGRTKNANSGPRVYIDDDEALLDISDSSEESDDEWQTERRKRRAVKAKGKASVAKTPVRASDRIRKGNQNVGGQGKVGNGGSLGGGSLSKPESSKKSSSSARKRGAAALGKLDLNVEFSNNEVEEPAAQTMSEGNGTANGEEDNIEGIGFFEGLDEFLSSLPILNVVGDDKVKAN >ONH93335 pep chromosome:Prunus_persica_NCBIv2:G8:20308577:20309341:-1 gene:PRUPE_8G226600 transcript:ONH93335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSRVFLQSELHMYLQLLSNDKFKSVEHRVLATPLGKPRISVVCFFLPWAKNPPYTRITSYEKFMNHYSVVAQIGGHALPHFKL >ONH90394 pep chromosome:Prunus_persica_NCBIv2:G8:5649604:5650986:-1 gene:PRUPE_8G051600 transcript:ONH90394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSSSLLLFLSLLLHLPYITSSNIITIPISHLPKNPHPDPYQHLSYLANSSLKRAHHLKNPQTTPPHTNTKTPLFSNSYGGYSIPLSFGTPPQTLPFIMDTGSDLIWFPCSKNYQCINCSTYYNTAKIKSFIPNFSSSSKLLACLNPKCALLHQKIQCPDCKLGSKNCTRGCPLYKIVYGSGTTIGIPLSETLHLPTRRVPDFLVGCSIRSTHQPAAGIAGLGRGPTSLSSQLAVKKFSYCLLSRLFDDTNKSSSLVLVGGKDSVKKTKGVSYTPFVKNPEVPKKPFSTYYYVGLRRINVGGRRVKIPYRYLRPDKNGSGGTMVDSGTTFTLMAHEVFERVTGELEKQMKGYKRAEEAETLTTLRPCYNFSGIETPEFPSVTFHFKGRAEMVLPLENYVAPVGGKVLCFTILSDNGPIISSGPSIVLGSFQMQNYHVEYDLQNERFGFKQQECN >ONH91306 pep chromosome:Prunus_persica_NCBIv2:G8:13699428:13701967:-1 gene:PRUPE_8G105600 transcript:ONH91306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRRVEDSAVSGQLQNDHRIADFDPPQKPKTNKFAIACAILACTTSILLGYDIGVMSGANIFIQNDLKLSDTEIAVLAGTLNIYSLIGSALAGRTSDWIGRRYTVVLSGVIFFVGALLMGLAPGYAFLMFGRFVAGIGVGYGLMIAPVYTVEISPTLDRGFLTSFPEVFVNLGILLGYVSNYAFSKLPTNLGWRYMLALGALPAVVLAVGVLAMPESPRWLVMQGRLGDAKRVLDKTSTSKEEAQLRLEDIKEAAGIPMHLNDDVVPVTKQSHGEGVWRQLIHPTPAVLHILIAALGIHFFQQVTGIDSVVLYSPRIFAKAGITSYEHTLLATVAVGFVKTIFIFIATFLLDRVGRRPLLLTSMGGMIFSLVCLGAALTIIDNHDSKIPWAVALCIIMVLFNVAFFSIGLGPITWVYSSEIFPLKLRAQGVSLGVAVNRVISGIVSMTFLSLYKAITIGGAFFLYAGIGVLAWVFFYTMLPETQGRTLEEMEVLFGKYHKWRQANAMLKTKKVDHGDGDENKGQVN >ONH91572 pep chromosome:Prunus_persica_NCBIv2:G8:14950196:14951965:1 gene:PRUPE_8G123700 transcript:ONH91572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPTAPPDDEKYAADHNHQNIANPVPAPGLNNPSVLRTYTPPPYAYNTQPSGHVRGNWSTGLCHCFDDPANCMITAFCPCITFGQIAEIVSQGATSCASQGVCYGVLLATTANACLYSCFYRSRLRGQYDLEEAPCVDCLVHFCCATCALCQEYRELRNRGFDMGIGWEANMDRRRRGVTAAPTVVPGMTR >ONH93568 pep chromosome:Prunus_persica_NCBIv2:G8:21031503:21039000:1 gene:PRUPE_8G239200 transcript:ONH93568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPSSTIHIPDKRHRSEHENGRYPPHSDSSGDNRYQRRSPSYESYDRYNNNRNRHRNRSGSPDRPNPRRSPRTNGDPGSLAQRRSPSYDRYNNHRDRNRSGSPDYPNRRSPRAKGGPDSLPNKFGRGGNRMDRNGRRSESEESDEELKGLSFEEYRRLKRQKLRKTLKYCIWNVTPSPPRVENDEFELEDKADEISERYGEEEKSESGEKKKEKAKSESESESEDLRSRKRKKGLSSKRRGRNSKYSDGESESESDDESESDEEDDRRQRKKSKKRSKRSKSRRERRRRRKSRRSSSDSDESEKSESEGSDSESEDRLRSKKKGKSSSRSKTKKESGIDRESSDSEKGLDSEVDAKPVTMVEEEVIKDESNVEALKLKDIFEAQKKPALDSEPVVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEIQKFESLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRHIGQDVGPTHDPFSGKASESADT >ONH89950 pep chromosome:Prunus_persica_NCBIv2:G8:2368517:2371237:-1 gene:PRUPE_8G026100 transcript:ONH89950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFILVILALVLIFVLSAFFVLHSWTSSPKSLVDIPGSLGWPIVGESFSFISEFSSPSGIYSFVNKRQQRYGKVFKTSVLGRFTVFMTGREASKMLLAGKDGMVSLNLFYTGQQVLGPTSLLQTTGEEHKRLRRLIAEPLSVDGLKKYFKFINTLAIETLEQWPGRTVLVLEEASTFTLKVIGNMIMSLEPAGEEQEKFRSNFKLISSSFASLPFKIPGTAFHLGIQARDRMYAMLDSVISKRRSGGGFQKDFLESLIMKHSKAAGDEDDKDKLTDKQLKDNILTLLVAGHDTTTAALTWLIKFLGENPSVLEQLRDEHQQIQSNRENGANLTWDEVNNMPYTAKVINETLRRATILPWNSRKAAQNFEIDGYKIEKGWSVNLDVVSIHHDPEIFPNPQKFDPSRFDAPLKPFSFLGFGSGQRMCPGINLAKLEISVFIHHLVCRYKWIPLEKDDSVQPTLVRMPKNKYPIVVESL >ONH93968 pep chromosome:Prunus_persica_NCBIv2:G8:22155178:22158557:1 gene:PRUPE_8G263800 transcript:ONH93968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITNKYNHQNHEPTNYAVNGKIMLCSVIILFIVIFTIACFHSYVRCFFHRHHPRRTRRTPRYLFPHPVISFTTLLETTLSPTNPKALDPAVLKTLPTFTYSATTRINAAPLECAVCLSEFEDEEQGRVLPTCYHTFHLECIDTWFRSQSNCPLCRAPVQPDIPLPRLENSPETAITVNEPGGSEHDTAEAKVGCSRCSPSTSGSAEEYGRKPIMEVVEKPKNATNQTVIRSLDMQRERPRKSRHKRRQQSRRGEYEPHSTSMPACSSQGPLHRHPEERPQQQRS >ONH92013 pep chromosome:Prunus_persica_NCBIv2:G8:16345531:16348144:-1 gene:PRUPE_8G149700 transcript:ONH92013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKHYWLLKTEPGEWSWEDQAANGGVTKWDGVKNKQAQKNLKSMKLGDLCFFYHSGAKARRVVGVVAVVREWYSDGGDDGVVDVKAVGEMRRPVDLKEMKGEKGLKGFALFRQPRLSVVPVPEDVWIRVCDLGGGYQGDGTVLESHDSDGESETMPSKLLQWFSMLPCLGKEGRDADIESSYYKNLSKLLEDLIASCDGSSHPVHCYSADDLIRATNNFHPSCIVRKDLNYTMFRGFLNDRSIIVAKYSIGSLPHDLGGNEDDVRSRAIRDIVVSIHMSNHENVLKLLGCCLEFPLPALVLENAAKGVLEGDGSLRDNEDQPLILPWNIRLRIAKQLASAVTYLHTALPSPVIHRDLKPGCIFLDHNYVPKLSNFSLSITIPPTYSDAEDDPKGTIGYIDPAYMMSGRISEKTDVYSFGVLLLVFLTGRRACPIIQEEGRYSSLTVYVRSHAYQLQAIVDPKILEEVGGNEQVEQQLYDFLELVLSCTKEEIEGRPYMSNVARELVRIDESILPS >ONH91229 pep chromosome:Prunus_persica_NCBIv2:G8:13174644:13177260:1 gene:PRUPE_8G100500 transcript:ONH91229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIVDSLNNAYQEFVVAAANVLEAKETSGAQKTAATDAALENFKQKWELFRVTCDQAEEFVESVKQRIGSECLVDEATGLVAGKSGQTATGLPPISAVRLEQMSKAVRCLVIELQNGSGTAAGSAHSHLSTPFDGRFSEDSAQ >ONH93931 pep chromosome:Prunus_persica_NCBIv2:G8:22071591:22074903:1 gene:PRUPE_8G261400 transcript:ONH93931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELIFRGHEAQHVADTYSPKPPKPWASVTRPIGYLLREQRLVFVLVGIAIATVGFTLLPSSRSPYVNGNVPISNEYVRYDFDSSTHLTHKPAYERRFGLTNWNSGGKVPLGLKRKGLRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQVKTIVYILYLYMSLKG >ONH93930 pep chromosome:Prunus_persica_NCBIv2:G8:22071361:22075016:1 gene:PRUPE_8G261400 transcript:ONH93930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSELIFRGHEAQHVADTYSPKPPKPWASVTRPIGYLLREQRLVFVLVGIAIATVGFTLLPSSRSPYVNGNVPISNEYVRYDFDSSTHLTHKPAYERRFGLTNWNSGGKVPLGLKRKGLRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPDAKIEYRPNTEDDPHKRKPDITKAKDLLGWQPKVSLQKGLPLMVSDFRKRIFGDHKEAGSTTTPTTTTTM >ONH93563 pep chromosome:Prunus_persica_NCBIv2:G8:21009373:21010679:1 gene:PRUPE_8G238800 transcript:ONH93563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNTLGRNLNLCFTKITRPLTLHSPDNDHSSPLPTTAADAATATTSTSSTSLMIKNFNSLYDDQYMFDSTTTSKSLSSSFVSSSETETDAPAADFATAFASRRFFFSSPGRSNSIVDQSASPPSSIAASSESPDHKLVNHSVAVPTFSPDPYRDFRRSMQEMVEAREGTKNEEEEGGKNKSNWEFLHELLLCYLALNPKSTHKFIIGAFADLLVSLMPSPGGGDGGELPEFTAGVCEISRCV >ONH90325 pep chromosome:Prunus_persica_NCBIv2:G8:4902816:4906846:-1 gene:PRUPE_8G046500 transcript:ONH90325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTKRACSSPLPSARPWKYDVFFSFRGVDTRKGFTAHLYESLLRQGIKTFTCDSDSERQRGEEISAQLLSAIKESRISIVVISENYACSTWCLDELSKILECSQARDSVLPIFYDVDPSDLRKQRGTLAAAFVGLEKRFKHETEKVVRWRAALKEVASISGWISEDSCDEPELIKEIVACILSRVRPDSQEKLVGIDSRLEQLDLLLDARSEDVLYIGIWGMNGIGKTTIAKMLYERIRHKYEVTSFLGGVREDSETNGLVSLQKSLSKSLLNRDTEDGGIHEEALMNKLSQKKVLLILDDVDHISQLDKLCGNQDWFGPGSRILITTVNEQLLIAHGVERRFKVQELNEDDALQLFSWRAFKRDYPDKKFTDLSISFLNYAKGLPLALKVLGSFLYKRGQDAWSSALYKLKEVYKGDVMDTLKISYDGLDEQEKDVFVDIACFFKGKCKDQVVEMLDNMGFCSRSVMDVLIEKSLLTISHNKVWMHDLLQDMGWEIVRQQATEPGKRSRLWTNDSVRTYIWIYKLSFIILCNSLFIVRYSGTTAVHGISLDLRESKEAQWDFRAFSHLVNLSLLKIRDPQGLNCFSNSLGFLEWSEHPLKSLPTGFQPENISELSMHDCSIQLLCNGKQIHPSTGVLKRLISLNLENCRSLKSLPSQIAMEYLESLILSGCSNVKKIPEFGGHMKHLLDISLDGTATENIPLSVERLTKLSSLDLRNCINLRCLPSNIGKLTSLQSLRLSGCSNLDALPESFGELRRQHMNSFLPSLSGLRSLTELDLSDSNLVEGAIPDDISCLSSLVSLNLSGNNFHSLPITISLLSKLENLYLSDCKSLQQLPVLSSYITLEVMADGCTSLKTLQYPSNLDRLKSSCFNFINCIGLVDKGGCKKIAFSMLKRYLKRVPYPGDRYEIVIPGTEIPSWFSHQRVGSSVSVQLTPRWHDNKWKGYALCTVFEVFGSGWELNCFLKVNGKEQYPAPLLVTNVQPLSDHLWLLYISRDLTFGNEWQHSCNQLIFSFKSSGPSLVKKCGARLVYEQDVEEFNRMLTHSSRTISPYEATNVHHDENSTSLQGAIVQPNYNHCEWKGLYGSSSFHEESEFKRFRRI >ONH92545 pep chromosome:Prunus_persica_NCBIv2:G8:18074537:18079097:1 gene:PRUPE_8G180000 transcript:ONH92545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILSKKQKQKHKSTKLSDLKTLGHDLLSSRAHVNNLPKLLSFVSPTSPPQFVLESLLSLQAFFTPLLPDLPSSSSKPSASNASQDDPDFIYRTWLRSKFDELVESLIQVLLSPQSDETLKEVVLDTIMEFVKSGNGGRFHSAIYHRLLRSIVYSTTPANFLLDLLASKYFKYIDVRYFTYISLEKLSRVLDAKDISDDRNLNTDGNNGGHPSESVDLLIRKIHYIMSHIPSVEASVEKTDYDMWSGSGDDEDISGNLKAENKQHMTEKHNDKVLTAASIAKKIKLKFTKAWLSFLRLPLPLDVYKEVLATLHQAVIPHLSNPVLLCDFLTRSYDIGGVISVMALSGLFILMTQYGLEYPNFYEKLYALLVPSIFMAKHRSKFFQLVDACLKSPLLPAYLAAAFAKKLSRLSISVPPSGALVIIALVHNLLRRHPSINCLVNRVGGGATVKDDPETEQRVADGVDDTATASADKSVKKPGIDPFDNEQSDPIKSNAMRSSLWEIDTLRHHYCPAVSRFVLSLENDLTVRAKTTEISVGDFTSGSYATIFGEQMRRRIKLAPLAYYKVPPTSLFSESESEFLGWTFKCEDSKRKNDINDENGKIDQECDHNSVKRQRVECS >ONH89585 pep chromosome:Prunus_persica_NCBIv2:G8:285933:287098:-1 gene:PRUPE_8G003300 transcript:ONH89585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDKVPEDIIYEILLKLPVRSLLRFTAVCKSWNCMIKSSTSSPIISFKTKTFNSSFTTLNMGCTYCTRMMTTTMAVHHLRLERLASQFVGTCKGLVCLAVEDIDSTALVWNPSIRKFVVLPKSGVTFCDEYDYLEASCGFGYDRSANDYKVLRRASSFRGNKFISCQYEIWSLAKGSWKTLNTAADPEESDIMLRPRHFFVSNPPAFVNGALHWTQARAHTGNISLLSFDMSNEVFGRIAIPPEASAQTIDHLAQGHFMVSRYRESLAYYETSREQKGSVGWGCLLHIHMWVMEEYGVPKSWAKLFAIYLDLDLGVSRLIGCRKSGEVVLRVMNDNGEYRSVNPKTKQVKRLRIEGHWIYEVMDALTERVVLL >ONH90933 pep chromosome:Prunus_persica_NCBIv2:G8:11701444:11705357:-1 gene:PRUPE_8G083900 transcript:ONH90933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVSTAAYAARRAAQKEKVRILYRRALKDTLNWAVHRHLFYPDADALRERFETNKHVEDPDTIDRLIENAEASYNKWRHPDPYVVPWAPGGSKFHRNPTPPAGIEIVYDYGKEDNE >ONH90007 pep chromosome:Prunus_persica_NCBIv2:G8:2669339:2670189:1 gene:PRUPE_8G029300 transcript:ONH90007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGSLELKVSDNQSSDTMLVNHWTPKTEVVRELTNNVYQPTDISKGYFQIWLYRLVGST >ONH93560 pep chromosome:Prunus_persica_NCBIv2:G8:20996754:20998120:-1 gene:PRUPE_8G238500 transcript:ONH93560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFINVIQVNLYTSRRGRRRKKERNLTPSDLSHLHLPLRRDFTDSFLLPIGNFLSLSRENGRVTKRILADLNLIRFWGLRFGGSVVDCSVLVRILLSMFDSDLLWRRSRSSVVFSCNSNPKVAIS >ONH91575 pep chromosome:Prunus_persica_NCBIv2:G8:14962168:14964943:-1 gene:PRUPE_8G124000 transcript:ONH91575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATNPSLFFSSSKPSSTRLRCRAATGDLPRGPAFPRLIQFPSAAADAAAVGVRIGQEAELDGGSARLRGGGSGSGSGGVKVNAMERKWSRDRESYLTHNGDPLPLPMTYPNTSPVSPEEIDRRLRCDPIVEDCKEVVYEWTGKCRSCQGSGFVSYYNKRGRETICKCLPCQGIGYVQKITARKDIEVMEDLDNGRPP >ONH93766 pep chromosome:Prunus_persica_NCBIv2:G8:21613196:21615792:1 gene:PRUPE_8G251400 transcript:ONH93766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLVMVTRGGGCGGNMKGAKATKSSELEEQNQLPLVAFLLAAIRKSMVACHVERGEELISAVHHLDIGWPTNVKHITHVTFDRFNGFLGLPVEFEVEIPGRVPSASVSVFGVSVESMQLSFDSKGNSVPTILLLMQERLYSQGGLKAEGIFRINPENSQEEIVRNQLNRGMVPDDIDVHCLSGLIKAWFRELPSGVLDGLSPQQVLQCETEEDCVELVKQLRPTEAALLNWAIDLMADVVEEEEFNKMNPRNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIIKTLREREETDTGSYSPMSSRSSDHQTDEDFDSQQEMEMESSSELSGPTSDYDENPHSSHRSEDRDEVRSLSEIEECFLRQLDEIKTATSSLEIMDEPTAQLDGDCPSPQSSSGFNGESGTSFSDSKNGNSGFSTSDGEEDSGKCIVAVEQDMDTEIPVSIACANMDEMEMVDKFVEPSIASSGSV >ONH92129 pep chromosome:Prunus_persica_NCBIv2:G8:16762026:16764721:1 gene:PRUPE_8G156900 transcript:ONH92129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETKCVQIDGEEGGDEFYEKIEAPKFVDFTGPDPYRPDDRYWFCMRVGCDQKHEEELDSEAVYKNFVLRVMAARSPNVRHRKTPSLKCPLTAPAKSSKPRVSRLALISSFSRKMVDVKDKARPLQKISATPNVKAKQPSSVAKALTSPRNRKPISNPDTFRSVRNPKLKNVAVPKNRVVAKALVFHSPKKAVRTKVSKEWGSPVGKMCSAMKKLEITSGKKHVLGYNKPLPLDTSRKQFRGREVKSRVFDSLHSNNCKGQEAKSMKRKNKETDLKQCCDTVPHEGINDNDSADMEVDEKSRNGTLEGFSLSGTAKSSGVNGDEQCMGTGKTSEPPLGENQVEVLSETSKGDPTSLSSSKHGDSEENDDKENAKDGNGHVEKIKSSSEKANTVENMDKDDDKENSLASDNPENENEVFESDDKENNKASDENRDLITNGHSKRKNLGKHGPYKNSQKVNQAQEMKKESSTSAATNAQGMKNRKPKSTNPKPFRFRTDERGMLKEATSEKKVHAPLRKSH >ONH91418 pep chromosome:Prunus_persica_NCBIv2:G8:14164116:14166903:-1 gene:PRUPE_8G113100 transcript:ONH91418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKISKNEEKKHSFFKLLAGFNTDSVRIPQKFLKHMLKDLSERAILKLKDSSDSSWTVTVNKRREGAVYFKNGWEEFIKDNSLGTDNEMLQIFYEGKMHFSIRIFAKNGIERTEELSSSEEEEEDSEDNTSEDLEADFTKIGSLHNSTFAKNTTKRPRGRPRKYPVSSSKPCPSKSCKVESGEELSSSESIELNEIKEEEEDSEDSTSDDLDGVEPEAFKSNFPYYKGIVRQTKCQFIPTAFSREHFPQGKSFKAMLKNAEGEKWTVNCIPNSPQSHNFSAGWYKFVSANQIRIGDTCIFELLSRYQMMVHILRKR >ONH89918 pep chromosome:Prunus_persica_NCBIv2:G8:2168440:2176242:-1 gene:PRUPE_8G023900 transcript:ONH89918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASASLSAESAPPRWKHDVFQSFRGADTRRGFMSHLDHELRYWQTIKTFKDDRDLEIGTTISPELLTAIEESHLAIIVLSPNYASSTWCLDELSKILECMEDTKRILPIFYDVDPSDVRNQKGSFAEAFTKHEERFSEEAEKVKRWRAALREVANLSGLDSKNYKSEAELIKNIVKCVWTKVHPTFMLSGSPENLVGIDFALEQLRLQLAPEENDVRFIGIWGMGGVGKTTLAKLVFEKISHHFELSSFLSDVREVSAKHGTLVALQRQLLFPILKEENIIRVWDEESGIFFTKTYLWNKKVLLILDDVDKLNQLEKLVGEKTWFGVGSRIIITTRNERLLVQHDIARRHKVEVLNNGQALKLFSQHAFKKNQSAEGFLELSQRVLHCAKGLPLALKTLGTLLYTRDQDAWNSVLHNVEKIPNPTVLDSLKVSYDGLEEMEKKIFLHVACFHKGKDKEKIIEILDSIWDISSLIWMDILIEKSLLTIKKNNLRSDTVEMHDLIQEMAWEIIRQESVNEPGKWSCLWHTDNISDIFMNNTGTSAIEAIVLSRPKPEVVHWNCNKAFSKTPKLRLLEFDNVIFSSGPKVLPNSLRIMRWSWYLSESLTPKFYPRFLVKLEMRHSKLVRIWDGAKDFPKLKYIDLSYSHKLASTPDFTRVPVLEELNLKSCTNLIEVHGSIAVLKRLKRLDLSDCKSIKSLPSKVEMDSLEYFSLCGCSKVKKLPEFEGHMKNLFKLILNGTAVEQIPSSIDGLVGLAVECMYSYKSLSGQILYMQRSSKLVKLPGEMECLEELELSESAMRELLVIAMKNNKYLSLHRSSTSRDDSAWFRIRKSHPDPAPDPLGLVLSSINGLFSLTSLDLSDCNIGEGVIPDYIGCCLYSLGRLILRGNNFFSLPASIRFLSKLRSLDLSLCERLQQLPDLPSSANLRVNVDDCTSLRRLSDPSNLRGANVYDFAFSCHNCFRLVEEESWINRIFAMIMRLAPNSDVEGIVWPGSAIPEWFSNQSVGDSITVELPRPPPPQSSCSSNWAGIALCVVLEDSEYLRNQTALVEYDYFKIYSSGGPFATFRVGHLRSQHLWVIYIPRHRSSYWHASSSSQFSFEVHYGAYKSSNRLKTSSNIKKCGARLLYERDFEEFCGILKLPKPNLKSALHVAPMASYNH >ONH92291 pep chromosome:Prunus_persica_NCBIv2:G8:17279170:17283274:1 gene:PRUPE_8G166900 transcript:ONH92291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSSPTKFSPYKKIHFVKCREAPIVFLLDFTPSSKNICTRYRNFCFVASLLRWGVISRLAFAYEYDDGAAVNYCYQLKFVWISLFVSSSILVLFFLLICSVKRGSSHIHPPKKTKHSSNHHLSGGMNIMMIMPSLIQRLRSLVGLKGWDYCVIWKLSEDQRFIEWMDCCCSGTEITQYDAGQDLLFPPVLPCRDTMLQHPRTTACDLLAKMPSSLPLDSGIYAETLISNQSSWLNFSNNTCLSTLEETVGTKVLIPIPGGLIELLVTKQVFEDQHVIDFITAQYSISMEQDTLDNITDPFICSAENGFQEMEAMERSMMADETQQHMHMQYMEALAPDMDQQDGNDRQDSIIHDELPAADGLSDCSDQIDDDEDDAKYQRRRAGKGPQAKNLVAERKRRKKLNERLFALRALVPNISKLDRASILGDAIEYVQELQKQAKQLQDELDDHAEDEGPKNSGITGHPNNIQSEIQSELDPGGPKTDHQHDSVSKQSQDSDVIHDHKTQQMEPQVEVAQLDGNQFFVKVFCEHKPGGFVRLMEALSSLSLEVINANVTSFRCLVSNVFIVERKDSEMVEADDVRDSLLELTRNPSRWRSEIAKAAENGVGFDNHDDHDHHHHQHLHNRHFNPFHLHHLPNET >ONH91912 pep chromosome:Prunus_persica_NCBIv2:G8:16021614:16025550:-1 gene:PRUPE_8G143300 transcript:ONH91912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVPPPAPGRELSSPPSDGISNLRFSNHSDHLLVSSWDKTVRLYDASANVLRGEFKHGGPVLDCCFHDDSSGFSAGADNTVRRLVFSSSKEDILGRHDAPVRCVEYSYAAGQLITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQTKKYAFKCHRKSEAGRDIVYPVNAIAFHPIYGTFATGGCDGYVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGRLLAVASSYTFEEGDKPHEPDSIFVRSVNEIEVKPKPKVYPNPPA >ONH90270 pep chromosome:Prunus_persica_NCBIv2:G8:4534548:4537117:1 gene:PRUPE_8G043900 transcript:ONH90270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKSPRFSQRRRSTLSLPIQPLRIRALSGATAVGLASGATKASSHWAGLRHGRCYWELSKARPSSSAYAFATAHEHEEVEAQHEANNLTTGRNSTSEPSGTYSGYCGQNNLQLQQKLNGACADGSRDPQQNSNQYNPSYNGVFKRSTSNDFLNHPVQQNGNFSGHNGQENGGLLQTPELDWRYLENGKGSIEIRQNPDGFGSQGNSGFQGNLNQNCTQNVAQYQQNVNGYYTRNDAMHQQNPSYGQYQQNPSCRQYQQNPSYGQNQQNPRDGKYQQGSSCGQYQLGPSQYQQSPHVVQSQTNTDPFQNRIVDSQVASESKSQGKLIEASESSPYIGTLQKLDNFCKEGKGKEAMEILGVLEKQHVHVELHLYLKLMQACGEAKALEEAKLVHENITRLASPLEACTYNRILEMYSKCGSMDNTFMVFNKMPKPNLTSWNTMITWLAKNGLGEDAIDIFNEFKKAGLKPDCQMFIGVFHACSVLEDTTEGLLHFESMSKDYGIVPTMDHYVSVVDMLGSTGYLDEALEFIEKMPLEPNVDIWKTLMNLCRVHGYLELGDRCAELIEQLDPSSLNEGLREQMKEAGYIPETRFVLRDIDQGGKEDALLAHSERLAVAYALLSSPARSSIRVIKNLRVCGDCHNALKIISKIVGRELIMRDAKRFHHFKDGLCSCRDYW >ONH93866 pep chromosome:Prunus_persica_NCBIv2:G8:21921048:21922404:1 gene:PRUPE_8G257600 transcript:ONH93866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSHTSSMATSISYLASRPALVFVVALLEYYLISLNYLVISANSAPNYNYCRPIEANDTDLIQFALNLEFLEAEFFLYGALGEGLDAISPNLALGGPPPIGGHKANLNPLVAPIIQEFGYQEVGHLRAIITTIGGFPRPLLNLSRENFAALFDQAAGFPLTPPFDPYANSVNYLLASYAIPYVGLVGYVGTIPNLTEPTNRRLVASLLGVEAGQDAVIRALLYKMAHWKVFPYRLTVADFTNLISGLRNKLGGCGIKDEGIIVPETLGAENRTCSNVLSADLDSLSYARTQPEILRIVYATGDESVPGGFYPRGANGNIARRFLNEY >ONH89840 pep chromosome:Prunus_persica_NCBIv2:G8:1806495:1811211:-1 gene:PRUPE_8G019600 transcript:ONH89840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSPSPIEPRHRLSSSANEDSNKRRPQRNKVLKDIEKVLHVPAQDRNLNCKPTLKLVLVVIILGTFVTLFVSPAVYSTDHQSSSISRLTSQDRSSKKSVAADLRYISSLDISWHEISDVLETLTDKKDYQGIGLLNFNHNEVDHWKELLPDCEHVVLHLNHVSNNITWESLYPEWIDEVEEFEVPTCPSLPKLQIPGKPRLDLVAVKLPCNKSGSWSRDVARFHLQLEVARLAASSKGYHPVRVLLVTDCFPIPNLFTCKELVRREGNAWLYESNLNTLRDKLQLPVGSCELSVPLKAKEHFFSEGAHREAYATILHSAHVYVCGAIAAAQSIRMAGSTRDLVILVDETISEYHRGGLAAAGWKIHPIERIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADMLILRNIDFLFEMPEISATGNNATLFNSGVMVVEPSNCTFQLLMDHVNEIVSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEPEIKQMKTRLFKADPPILYVLHYLGNKPWLCFRDYDCNWNVDFLQEFASDVAHKRWWKVHDAMPENLQKFCLLRSKQKAALEWDRRQAEKANYTDGHWKIKIKDKRLKTCFEDFCFWESMLWHWGEKNWTDNATVTPSPPALTTSSISSS >ONH92379 pep chromosome:Prunus_persica_NCBIv2:G8:17541870:17544061:-1 gene:PRUPE_8G171400 transcript:ONH92379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKQREIGGEEKEEEHAKVQIWKYVFGFAEIAVVKCAIQLGIADAIESHGSPMTLLELSSALRCDPSPLYRIMRVLVHLKIFKEKPATQLGPEVYTQTPLSKRLLKSGQNSMAALILLESSPVMLAPWHGLSARIQGNIRNPVFEEVHGEDLWSFGAANPDHNKLFNEAMACDARVHVPAVIESRLEVFKGIETIVDVGGGDGSTMRLLVEACPWIQGINFDLPHVVSVAQECDRIENVGGDMFDCVPKADAVIIKGVLHDWGDDECIRILKKCREAIPEDKGKVIIVEAVIDEKDEKADIKLTNVRLMLDMVMMAHTNTGKERTLKEWGYVLGEAGFSRHTITPIHAVPSVIQAFP >ONH90523 pep chromosome:Prunus_persica_NCBIv2:G8:7647376:7649339:-1 gene:PRUPE_8G059200 transcript:ONH90523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHRNHHSTTSPTSSASSTTTTTTTPIPNPNPNPSSNCISTDDPMHSWWESISKARSRIHSLSSLLPPSLSSCLCSLADSDRPALSLLSSLESYDAVSSALFSPLSGSGSDPLCHWLYDTFLSSDPHLRLVVFSFLPVLSGLYLSRVHSLSSDSPSLPSLAGFEAVLLALYAAETKARNGKPVVVSIPDLSQPSLYHTPRVHKPNPLAPAISPHQSIGVLSPPLEPQIAVKSTKRACIVGVALDSYYKHISQMPTWSKIDFCRFLASWAGQDCHCLHQVSDDDTNEPDITPALFLEGGNEIDDVTEEMDQLMRFEKKNVCNGVVLESKGSIIPLPWELLQPALRIVGHCLLAPLNSQDIKDAASVAVKRLYARASHDLVPQAILATQSLIQLDKRARESAKATAVANSSSNANTPSKAKNPEVLLVSK >ONH92178 pep chromosome:Prunus_persica_NCBIv2:G8:16904992:16906002:-1 gene:PRUPE_8G160400 transcript:ONH92178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWFYPKRRRGPEWKQGWTCQTLASISAPPLHLLTIFAIVIGLLWLSQYTEYKAQMQHTAFNFQLFLMVLPVLLIFVVASCSSVPSSGWFSFWSQHPQRVPAHPARGGGGSGSPWGVAFLLVLILVLLSYQSSFHSKWLGPLGMSD >ONH91315 pep chromosome:Prunus_persica_NCBIv2:G8:13734276:13741210:-1 gene:PRUPE_8G106200 transcript:ONH91315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGSESLTDILFSWSLQDILNENLYQHKVEKIPESFLSVQDHFGSYSYPLLDDTRTQLRSSMETMDRAPYSKVISVEELNPYGTKLYDIKVDCWRNLSTDCSKGPYKILPGDVFVLADTRPETVSDLQKLGSSWAFLVVTEVSKNENEEDRTALHFKVKASKEFEVNHSIHTSLFMVFLLNIAPYIRIWKAMHMSGSATWKVVFSDNFLKSFKELKSFQLKMSVLSLLLRISGGWRPRRKNVEIVCRSSSMILRKFKVEGLYILSTTDIVKNSRYVQVLKIWDILPDLQGLEKLVDRLDSIFKRYTDGFINLCRERCLEGDVEVPASWTPSLDVPRFKDVSITEIPNDFVGYSSGNTSYVERCEVRDSLLLMKFYSLSSSGAMNVLLSDHEGRELDLPFEVTDQEMETILYNRSTFIQGRSGTGKTTVLTMKLYQKEQKHRRAAEEGFYGVGSNTFRHVSPNNEAEQISSSSSTNVTALRQLFVTVSPKLCFSVKQHISRLKSFACGGSPSGQCSLIDMDDFDDEEAQFKGIPDSFLNIPPQCYPLCLTFRKFLMMLDGSLGNSYFERFLDITELPHNRLQSSRSVLLQNFLSTKEVNYERFSSSYWPRFNIQLTKKLDASRVFTEIISQIKGGLGAMEACDGKLSRQDYAQMSEGKASDLDKEKRDKIYDIFEIYEKMKRRNGEFDIADFVNDIHRRFKREKYEGDEIDFVYIDEVQDLAMSQIALFKYICSNVEEGFVFSGDTVQTIARGIHFRFQDIRHLFYNKFVLDSRRKKHKEQMDNEKISEIFHLTENFRSHDGILKLLQSIVELLYHFFPHSIDKLKPETIPVYGEAPTLIYSGENENVFETIFGNTEFVTRNTIGFGAEQVILVRDASARKEISNSIRKQALVLTIMDCKGLEFQDVLLYNFFGSSPLKNQWRVIYDFMKEQDRGFPSFDDAKHSTLCYELKQLYVAVSRTRQRLWIYENVEELSNPMFDYWKKKCLVQVRQLDDSFALSMQVASSPQEWKARGIKLYQDHNYKMARMCFEKAGDTFWKRRSEAAELKAKAHHMRTSNPEMANTMLRRAALIFEAIGLSVSAARCFYNLGEYKRAGYIYLDKCNEPELERAGECFSLAGCYALAADAYARGNYFSECISMCSKGKLFDRGLEYIKDWKQHATAEYGKRGNGTTKTELDFLEICAFHYSVVKDERSRMETVRDEMFSAQKTLNGLLSSSTSKFLWEDKLMDNRKQQSEGKKYKTRVSADSLQYFWNSWKDKSIYLIEYLGNFESIDANEYRNYEDFFLNYLGVWRLFHEDLNPIYLSVISDVDWIRGVEKRFFRSNGELVSIDVHKYVSAAQNYWSSEMLYLGIKVLGKLEALYRFLSTQSHSKFFRSKSLIQIYEVVTYLLDSKFLKRSLIDRDTLQKFVKLSGDNFVSYIFPLDWRKSSRENMISLRRSEACKNLLKQVIVEYMSSSKDLSSGKIGYLASIILASGKLNDELCATLVKNIEYNPLWKAFIENLCGNIQEPRVESLLLTFGDALFKTYNETRSAHYYISPSCFLYLVDRLLIWVSLCKGYVITERSCFIEWLIYHEKDTGFNSCEVFDVRMSFEVILQFLTDVIRECLFDEATMIEWIGNFTTYSKKYYLLLMQRLVMTLCLLYLNFGIGFDILLDLKNWEYVTENLLPREISAALRRIISVQKSLGINVNVLPLKENVNVLPLKENVNVLAKAFKKTGSALVIATSRIDCSMFVCSDALFVDMKGNLGMVDILRHLFPEQ >ONH91314 pep chromosome:Prunus_persica_NCBIv2:G8:13733545:13741578:-1 gene:PRUPE_8G106200 transcript:ONH91314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGSESLTDILFSWSLQDILNENLYQHKVEKIPESFLSVQDHFGSYSYPLLDDTRTQLRSSMETMDRAPYSKVISVEELNPYGTKLYDIKVDCWRNLSTDCSKGPYKILPGDVFVLADTRPETVSDLQKLGSSWAFLVVTEVSKNENEEDRTALHFKVKASKEFEVNHSIHTSLFMVFLLNIAPYIRIWKAMHMSGSATWKVVFSDNFLKSFKELKSFQLKMSVLSLLLRISGGWRPRRKNVEIVCRSSSMILRKFKVEGLYILSTTDIVKNSRYVQVLKIWDILPDLQGLEKLVDRLDSIFKRYTDGFINLCRERCLEGDVEVPASWTPSLDVPRFKDVSITEIPNDFVGYSSGNTSYVERCEVRDSLLLMKFYSLSSSGAMNVLLSDHEGRELDLPFEVTDQEMETILYNRSTFIQGRSGTGKTTVLTMKLYQKEQKHRRAAEEGFYGVGSNTFRHVSPNNEAEQISSSSSTNVTALRQLFVTVSPKLCFSVKQHISRLKSFACGGSPSGQCSLIDMDDFDDEEAQFKGIPDSFLNIPPQCYPLCLTFRKFLMMLDGSLGNSYFERFLDITELPHNRLQSSRSVLLQNFLSTKEVNYERFSSSYWPRFNIQLTKKLDASRVFTEIISQIKGGLGAMEACDGKLSRQDYAQMSEGKASDLDKEKRDKIYDIFEIYEKMKRRNGEFDIADFVNDIHRRFKREKYEGDEIDFVYIDEVQDLAMSQIALFKYICSNVEEGFVFSGDTVQTIARGIHFRFQDIRHLFYNKFVLDSRRKKHKEQMDNEKISEIFHLTENFRSHDGILKLLQSIVELLYHFFPHSIDKLKPETIPVYGEAPTLIYSGENENVFETIFGNTEFVTRNTIGFGAEQVILVRDASARKEISNSIRKQALVLTIMDCKGLEFQDVLLYNFFGSSPLKNQWRVIYDFMKEQDRGFPSFDDAKHSTLCYELKQLYVAVSRTRQRLWIYENVEELSNPMFDYWKKKCLVQVRQLDDSFALSMQVASSPQEWKARGIKLYQDHNYKMARMCFEKAGDTFWKRRSEAAELKAKAHHMRTSNPEMANTMLRRAALIFEAIGLSVSAARCFYNLGEYKRAGYIYLDKCNEPELERAGECFSLAGCYALAADAYARGNYFSECISMCSKGKLFDRGLEYIKDWKQHATAEYGKRGNGTTKTELDFLEICAFHYSVVKDERSRMETVRDEMFSAQKTLNGLLSSSTSKFLWEDKLMDNRKQQSEGKKYKTRVSADSLQYFWNSWKDKSIYLIEYLGNFESIDANEYRNYEDFFLNYLGVWRLFHEDLNPIYLSVISDVDWIRGVEKRFFRSNGELVSIDVHKYVSAAQNYWSSEMLYLGIKVLGKLEALYRFLSTQSHSKFFRSKSLIQIYEVVTYLLDSKFLKRSLIDRDTLQKFVKLSGDNFVSYIFPLDWRKSSRENMISLRRSEACKNLLKQVIVEYMSSSKDLSSGKIGYLASIILASGKLNDELCATLVKNIEYNPLWKAFIENLCGNIQEPRVESLLLTFGDALFKTYNETRSAHYYISPSCFLYLVDRLLIWVSLCKGYVITERSCFIEWLIYHEKDTGFNSCEVFDVRMSFEVILQFLTDVIRECLFDEATMIEWIGNFTTYSKKYYLLLMQRLVMTLCLLYLNFGIGFDILLDLKNWEYVTENLLPREISAALRRIISVQKSLGINVNVLPLKENVNVLPLKENVNVLAKAFKKTGSALVIATSRIDCSMFVCSDALFVDMKGNLGMVDILRHLFPEQ >ONH92158 pep chromosome:Prunus_persica_NCBIv2:G8:16838113:16840351:-1 gene:PRUPE_8G159000 transcript:ONH92158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENRPVVEDDRVHPFSSTPMSEHWNVEEVKKSSPSTLSKKLGLHELFSLKVWRASLAELVGSAVLVFAIDTIVISSYETRTTTPNLVMAILISITVAILLLATNPISGGHINPVVTLSAVFVGLISLSRAAVYILSQCAGAVLGALALKAVVNSSIEETFSLGGCTLTVIAPGPHGPIIIGIETTQALWLEIICTFVFLFASIWMAFDHRQAHAVGRVVVFSIVGTVVGLLVFISTTVTAVKGYAGVGMNPARCLGPALIRGGHLWNGHWVFWVGPIIACVTFYLYIKIIPRQHFHMDGYTQDTVNIVKTLPQ >ONH89614 pep chromosome:Prunus_persica_NCBIv2:G8:339785:352909:1 gene:PRUPE_8G004300 transcript:ONH89614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDGGKLKSTSINGVKMYSVASQQRSLATWLDPKKRRALRKDQNYMQRVDLIQDLRFETATTKIKATPDGEFLIAAGIYPPQVKVYELRELSLKFERHLDSEIIDFEVLADDYSKLAFLCADRSVYLHAKYGKHYSVRIPRMGRDIVYDCWSCDLLCAASSPELYRINLEQGRFLSSLSTQSTALNVVSRSKLHGLVACGGEDGAVECFDLRVKSSVGRINAVAPAGDIDQEVTALEFDERTGFLMAVGSSAGKVLVYDLRSSHPIQVKDHMYGSPILDIKWHQTLNSEAPKLMTTDNHIVRIWDPDTGEGMTSIEPTAGTINDICAFPRSGLMLLALDCSQIPSYFIPELGPAPSWCSYLQNLTEELEEGGQTTIYDDFKFLTKEDLERLKLTGLIGTNLLRAYMHGFFIDYRLYKKAKALAEPFDYAEYREQQKREMVEKELGGERITIKRKLPKVNRTLAKSILDNEDAENEIKGADSNETKKPSKKKKALGSEILKDERFGNMFENKDYQIDELSQEYVALHPMPSKKQPSLVEEHFKPAMEDEDQNLSDSDASASSLSSEDEPGNEKSKMRKKGRTPSYEWRSLKDKTCEHRTMQNCIKENCILYERLYEVKDERHAEAFLNHESFAKEELLPLGERVAALRDDRRVSAIPSDVKLGPGGSREISFRARSSAKYKEDEEDGEAQRGKRRGVQSLGLKPNSNGFGSRGRGGRGGRGGRGGGRGSRGRGGHRGRGRSGGW >ONH91027 pep chromosome:Prunus_persica_NCBIv2:G8:12258977:12262191:1 gene:PRUPE_8G089200 transcript:ONH91027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGVVRLASSTSASSMVAIVAVLGLVLNLAVVCNGGITSTFVRTIKYSADMPLDSDVFQVPPGHNAPQQVHITQGDHQGNAVIVSWITADEPGYSGVIYWSADSKNQTAEGVVTTYTFYNYTSGYIHHCTIGNLSFNTTYYYVVGIGNTERQFWFTTPPEIGPDVPYTFGLIGDLGQTFDSNRTLTHYELNPLKGQTVLYLGDLSYADDYPCHDNVRWDTWGRFTERSAAYQPWIWSAGNHEIDFSPELGETIPFKPYTHRYYVPYNASGSTAPFWYSIKRASAYIIVLASYSAYGPSTPQYQWLETELPKVNRSETPWLIVIMHCPWYNSYYSHYMEGEGMRVTFEPWFVKYKVDVVFNGHVHAYERSERVSNVAYNVVNANCTPVKDQSAPVYVTVGDGGNLEGLSTVMTEPQPDYSLFREASFGHGIFDIKNRTHAYFSWHRNQEGYAVEADSTWAFNRHYYPVDDSKSIRA >ONH91217 pep chromosome:Prunus_persica_NCBIv2:G8:13105213:13105812:-1 gene:PRUPE_8G099500 transcript:ONH91217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLHRMTKLAQEFSDANNLSHTIHGRQSSPQAPLHGWRPPPAGIYKINLDGAVKSGDSVRGVGVVVRNANGEFMAACVRRIQASYGARQTELMATIEGLRFAIDMDFTAAILEMDAQDCINSILSTVECNGIDGLLIEEVNYLLHNFRAVVCQWTPRCGNKVAHTLAQFAFHCNEFVTWIEEAPRWLLPVLEADVLSLEY >ONH93970 pep chromosome:Prunus_persica_NCBIv2:G8:22164915:22167229:-1 gene:PRUPE_8G264000 transcript:ONH93970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIQAFSSLQKQSLIYISNITPFTPMANLQAFSVFKVSIFVLVLSICFASPSLAADAPPVSKEAICKFTPDPSFCNYVLPNQTSNVYEFWRYAAQKSLSQSRKFLNLVDKYLKLHSTLSKTAVLALQDCQFLAGLNIDFLASSLETLNTTKYQTLSSLKTDDVQTLLSAILTNQQTCLDGIQATASSWSVKRGLSVPLSNDTSLYSVSLALFTKAWVPKTKKKGRKLLDQTDQQIIDTNDVLVRDNVTVSQDGSGNFTTISDAVEAAPNNSAPSKGYFLIYIKAGVYEEYVTIDKKKKYLMMIGDGINQTVVTGNRSVKGGNWTTFRSATFAVVGQGFVAVNMTFRNTAGAENSQAVAVRNGADLSTFYRCSFEGYQDTLYTHNQRQFYRECDIYGTVDFIFGNAAVVFQNCNMYPRLPMSKQFNAITAQGRTDPNQNTGISIHNCTIRAADDLASSDGSTKTYLGRPWKAYSRTVYMQSFVDSLIDEEGWHEWDGNFALKTLDYAEYDNTGPGSETTGRVSWDGYHVIKASDASNFTVSNFLLGDDWLPRTGVPFSGGLY >ONH92102 pep chromosome:Prunus_persica_NCBIv2:G8:16685449:16688770:-1 gene:PRUPE_8G155600 transcript:ONH92102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREHSQHQNQTTRKVPLFPLLSLVCFVSIFAVLSSIRKISVPSRPHSKSFEFRRSSGSGRRSPGESCDFSDGRWIYDPNSPARYNNTCKEIFKGWNCISGNKFNGRELVKWRWQPEHCDLPPFDPVRFLQMYRDTSIGFVGDSLNRNMFVSLFCSLKQVSSEVKKWRPAGADRGFTFLQYNLTLAYHRTNLLARYGRWSANANGGVLESLGYKEGYRVDVDIPDSTWAEALSFHDILIFNTGHWWWAPSKFDPTKSPMLFFEKGQPVVPPVLPDVGLDMALKHMAMFVENRTQPGAIKFFRTQSPRHFEGGDWDQGGSCNREKPLLPVQVEKLFSVKNNGTNVEARLVNQHLYKVLKGLGFHILDITHMSEFRADAHPSAAGGKKHDDCMHWCLPGITDTWNDLFIEQLNTVKFRS >ONH89836 pep chromosome:Prunus_persica_NCBIv2:G8:1781003:1786537:-1 gene:PRUPE_8G019300 transcript:ONH89836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHKLLNPQTLKPSKLRPIFLTNHAPSSAPFSSLTHPENPTDPPPITRHSLLKTIQSSQWHFIKHLSPNLSPSLISEALFELQKSPQLVLEFISNVDFHRLDIQTRCLAIAIVARQSSPQPALELLKQVVGSGIATIREVFNPLALSRDRLSVNSSIIFDLLLRACCEMKKADEAVDCFYLMVDKGFMPKTETCNDMLSLFLKLNQTERVWVLYAEMFRLKINSSVCTFNIMINVLCKEGKLKKANEFVGFMESSGTKPNVVTYNTVIHGFCSRGRVGRAQMIFNAMKGKGVEPDSYTYGSLISGMCKDRRLQEAVGLFNKMLEIGLLPSAVTYNTLIDGYCNEGDLDKAFSYRDEMVKKGIRPTVSTYNLLIHALFMEGRMVEAYEMVKEMEEKGVVSNGITYNILINGYCRSGNAKKAFSLLDEMLSKSIKPTKVTYTSLMYVLSKNKRMKEADDLFKKILTKGVLPDLVMFNALIDGHCANGNMERAFALLGEMDKMKVHPDEVTYNTLMQGRCRQGKVEEARQLLDQMKRRGIKPDHISYNTLISGYSKRGDLKDAFKVRDEMLSIGFNPTLLTYNALIQGLSKTQEGDLAEVLLKEMRYQ >ONH92778 pep chromosome:Prunus_persica_NCBIv2:G8:18771369:18773165:1 gene:PRUPE_8G195500 transcript:ONH92778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDLEYNFYSIIVLWRVLNSASHSITIDGAAKISFSPLSLISLSPIMAAVAPKLMHAVQYNCYGGGASGLKHVEVPFPTPKKDEVVLKLEAASLNPSDWKIQKGILWPVFPGKLPHIPVNDVSGEVIEAGQGVKKFKEGEKVVALLNYFNGGGLAEYAVASERLTVARPPEVSAAEGAGLPIAGLTALQALTQAANIKLDGTGELKNILVTAASGGVGHYAVQLAKLGNNHVTATCGARNIDLVKSLGADEDAVIHCATGTPWSSFEPNLSAEGKVIDITIGPSALLTLVLNTLTFSKKQLVPLLMNPKAENLEYLVKLVKEGKLKTVIDSRHALANVEDAWGKSIDGHAIGKIIVEP >ONH89531 pep chromosome:Prunus_persica_NCBIv2:G8:85475:94126:1 gene:PRUPE_8G000600 transcript:ONH89531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMLRWKKVKHIAELQQRFTQVSPISTHLNHLHVTSHRRRESLIGVQERYKWDHGGSGSGGDVRTRKIRAEANCPRCSFNRMDLLFSDRRLPNLSPPDDSITSTKTTTKTTKTKADDSEFHQYQALNLCPNCKTAYYFRPHQIAPLQGTFVEIGRLTNTTTTSSNRNATRTNSDNKSHSRNTQNTNNSNGGGGGGGGGGGGGGGGGGGDSMPNIYSNGLRVSFWDTLRSYANGVDPPDNWPPPSPPPPPGNGLAVHTPPGPPFAPGVNVIRASGPPGDAGGDNNNAWGGSNLGKDLPTPKEICKGLDKFVIGQQRAKKVLSVAVYNHYKRIYHASLQKGSGGESGIPNTIDDDDKVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLMAAEFNVQAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRAGGVTDAVVTSSLLESVESSDLIAYGLIPEFIGRFPILVSLTALTEDQLVQVLTKPKNALGKQYKKLFTMNNVKLHFEDKALRLIAKKAMAKNTGARGLRALLESILTEAMYEIPDVKTGIDRIDAVVVDEESVGSVNTPGCGGKLVRGDGALERYLSEIKLKHSVENVEAAEGEAEGESEQSSRAMSM >ONH90383 pep chromosome:Prunus_persica_NCBIv2:G8:5555052:5556005:-1 gene:PRUPE_8G051000 transcript:ONH90383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSICLLKYHTHVGYAMVVLHFLILILATIWAFETMVVIISLDGSASRSFVIFIISSSF >ONH93557 pep chromosome:Prunus_persica_NCBIv2:G8:20984438:20987946:1 gene:PRUPE_8G238200 transcript:ONH93557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAMENGETNKDSEVAPALIAVHPTQNSVAVAVGSDLRVFDLLGGCAVSLVDDSDGSLHKDSIRAIRYGANGKLFVSAGDDKLVKIWSTESWRCISSLCSEKRVSAVAISNDGSYVCFADKFGVVWVVVVDGFNGDQDFVNKKAAPLLSHYCSIITSLEFSPDGRFFLSADRDSKIRVTVFPKKPLDGAHEIQSFSLGHTEFVSCLAFVCTHECPQGFLVSGSGDSTVRLWDISSGSLLDTCDIREKAGLLESKEIEDRYAAVTDLCTIPDSTLVAVAVQSLQGIILLSCDLSAQTLYVAKVVSIKGDAFIPTSLGTSFSSGLLWMVTGASNLHDSQHPCLSRVKVISGFKESSPDFVQHGPIVLEDDEIPRSEKLLEKLQGRVSFDKNYFLTAAEALKTSMSNLLIKKQYSTEKREFRKRGRNDKKIKK >ONH92961 pep chromosome:Prunus_persica_NCBIv2:G8:19172790:19176581:1 gene:PRUPE_8G204300 transcript:ONH92961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSKKSKSKRVTLKKKYKVIRKVKEHHKKKAKEAKKLGFKNKKVEKDPGIPNDWPFKEQELKALETRRARHIEEVEQKKAARKERAQKRKLGILEDEDLPNSDEVAEERGTDDSTGFGNNRDSSDRAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVMKSGPNKHLVLLLNKIDLIPREAAEKWLSYLREELPAVAFKCNTQEQRSNLGWKSSSKKSKPSNLLQTSDCLGAETLLKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRSRVVNVGSTPGLTRSMQEVQLDNNVKLLDCPGVVMLRSKENDASIALRNCKRIEKLEDPIAPVKEILKLCPDRLLVTLYKLPSFDSVDDFLHKVATVRGKLKKGGIVDISAAARIVLHDWNEGKIPYYTMPPVRNQEEPSEANIVSQLGKVFNIDEVYNAESSFIGSLKSVSDFHPVEVPPSCPLNFDEDIVENDAGQGPSSQGDESPRDMVDKDDDQTMACEEDDAGKAKEKKTTKTVRQNEKLYTAEGILNPKIKRAEKKKKKKAKAASASVDAMDDDGDYDFKVDYKNKGSAMDASDDELEE >ONH91288 pep chromosome:Prunus_persica_NCBIv2:G8:13476939:13477424:1 gene:PRUPE_8G104100 transcript:ONH91288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVSANISKEEIDGFVEEHNKARKEVGNKPLKWNTTLAQYAQEYADKRVGDCAMEHSMGRWGENLASGDGMSGAAATKYWVTEKEFYDEKSNKCVKDECGHYLAVVWGKTTEVGCGISKCNNGQNYVVCSYDPMYQPEDERPY >ONH94020 pep chromosome:Prunus_persica_NCBIv2:G8:22281215:22284042:-1 gene:PRUPE_8G266400 transcript:ONH94020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQPSLFFKLPTRSLVFYFSLSSSIIFLTFIIIWVNNTTPPNSVVQAQEIGSCLQFNTSSLVSGFRPPIGALPVHTNFTGNFNTNISVTVNGPEELVSSKPDENTSGFSGMNSLVSSGLQTKENQSQVPRHDEEDRGGGELDGNFTAAAQSTPAMLFDKIEMKNEEQNTPWNKTEIKTEEQKAPVPLMNKTEERNAASSKRIEVRSRRRRGKNKKNRGTSFDPNAVAPQSAPAILFDKIELSTEEQNAPLMNKTEEQTAQSMTKTEEKNAPLMNMTEEQNASLMNKTEEQSTQLMTKTEEQNVPLRNTTEEENAPLLNTVVEQTAPLMNKTEEQTAPLMNKTEVQDAPLMNMTEEQNAASGFSGINSLASGLQRKENESQVAEVAQSAPAVSFDKIEIKTEEQKAPVPLMNKTEEQNAASSKRIEVRSRSRRGKNKKNRGTSFDQKTQVSSSEVVQAEDKKIIIKGGCDFSTRGRWVYDKSYPLYTNRSCPFIFEGFDCQGNGRSDKDYMRWRWQPQDCDIPRFNAKKMLELLRGKRLLYVGDSINRNQFESMDYKCKVEFSPSVFLVDKSMKRVGKKQVLTLRIDSIDHRSYRWIGADIVIFDTAHWWTDQKTNAGVNFYQEGDQIHPYLDVYTALRKGMMTWASWVDKHTDPSKTQVFFRTSSPTHFSGGEGKSRRSCIGATEPLLRDSGIANEKDRIAVEVIKQMKTRVTLLNITSMSEYRIDAHPSGILDCSHWCLPGLPDIWNELLYHHLLTN >ONH91651 pep chromosome:Prunus_persica_NCBIv2:G8:15144806:15149076:1 gene:PRUPE_8G127500 transcript:ONH91651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRLVIIATLLATISLLDLSSARKEGQKCIKNSDCDSGLHCETCVADGNVVPKCTRTQPINPISQVKTLPFNRYSWLTTHNSFARLGEKSATGSIILAPTNQQDSITDQLNNGVRGLMLDMYDFQNDIWLCHSFGGNCYNITSFQPAINVLKEIQAFLQANPSEIVTIIIEDYVTSPKGLTKVFDAAGLRKFWFPVSRMPKNGGNWPTVADMIQKNQRLVVFTSKRAKEASEGIAYEWNYVVENQYGKGGMIAGSCPNRAESSPMNTTTKSLVLVNYFRDVPDVTQACKDNSDSLLRMVNTCSDAAGKRWPNFIAVDFYKRSDGGGAPSATDVANGHLVCGCGTIANCKTNMSFGVCSEPEADVIPTAAPTNTNLGYSNRGPVQIQWLFGSLLVTLLLLLSL >ONH91226 pep chromosome:Prunus_persica_NCBIv2:G8:13162458:13163657:-1 gene:PRUPE_8G100200 transcript:ONH91226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNDQGINNKRKNNNQDLNQPMLSEEDEDDMLALSLSPYRPSRPRRSPDPVDPPPPPPSLMPSLFMQTLTAQNPPPQPLQLSPSHPLYMTTQQPPSLIPSSMPLVYSQEPGRGPSTSRPIRTRRNPTQVPREGKSKTVPAPFPWATTRRATVHTLEYLLSRGIRTITGDVQCKRCEKSFVVEYDLEEKFTDVGSFVAENKNTLRDRAPRSWTTPVLPRCRHCQEESSGKPIIAEKKKAINWLFLLLGQMLGCCTLEQLKYFCKHTKNHRTGAKDRVLFLTYLELCKQLDPNGPFYRSNSKR >ONH92409 pep chromosome:Prunus_persica_NCBIv2:G8:17675049:17676209:-1 gene:PRUPE_8G173900 transcript:ONH92409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKYISCEPYSVVEMIDDDIEFLVLASHGIWKAMSNQQVVNSIRSIKNAEKAAKHLTKQALNVGTYCCYFDISCLISCNTILAQHSFSSLLCFGLYGEKWFRRVFGRRLWTEARGSLATTKFLAKSCRYEKIFIDQRGLQSVDEES >ONH90711 pep chromosome:Prunus_persica_NCBIv2:G8:10349329:10350860:1 gene:PRUPE_8G070700 transcript:ONH90711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVVALEFDTRDLWVLNVMHAIFYRVLARAGKSFFIFWKRRQMVRSALGSSSYAERQGDLGKALLGPGEALLSGGCTVKTFRESPHHMPKFRINLVTMVRLVFEKGHDLLPQKSGFGNCQALVPRCTRFGGSVLAEPAWSAQGLAQDGRIGLLPLQLPLSIIQLSREDVVVLFGHVLVQGV >ONH90776 pep chromosome:Prunus_persica_NCBIv2:G8:10725381:10726568:1 gene:PRUPE_8G073600 transcript:ONH90776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVSEKKKKCGSSNKEGRLTKSWPDLPPQLVNFIQKDSTIMQNMSFQGVSKSWRSAPRQCNHNPSLHPWLSISQSNGHHDQKQHWQTTPFTNHFFWDPRKQHQNLSHHLVGSTNGLLIAKASHNSLQYCVWDPVKSLKLDLPQWDANVPFKLMVLTGMSHPTFLFYKIQGGNEYAWVKQDCTITDPQHHWDKSERRRYMQFTNAIGFEGKFYALSLQGTLAVIEVTDPYPQITAVSTTRAVPSIFLRHFQEYLVETGGEILLVFLISNKSSTDVVDSVEVFRLHIGKLSWVKIESLGDRALFVGVNCCMVVSISKIGFRSDCLYVTHFSSNGWLVYDMKRGQISPCDQYVLNQQSQ >ONH93979 pep chromosome:Prunus_persica_NCBIv2:G8:22189738:22191702:1 gene:PRUPE_8G264500 transcript:ONH93979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGNMAIAKRSSAKAIILLGLALLSCVADGVSRGHLFKASARRSVAVINRKVFDVTTFGAKTENQMPGGKSTAAGVKLGVKLDLPSLPGDDEKVDSSEEEAGPGEAGPGESPSDDNASAFIKTWVAACRGNYSGPATVLIPKGTFMTGPVIFQGPCTSSKEPILVEVEGYVKASTDLSLYASPEWFTFEMIDGLIVTGEGTFDGQGESTWKSNGCQDKSSCAQAPSSLKFNHVNNTLVERITSLNSKFFHAHIYGCTNLTMNNVHITAPGDSPNTDGVHTSTSTNVKVLNSVIATGDDCVSIGQGSNDILVNNVTCGPGHGISVGSLGKRKDDKSVSQIHVSNCTLRNTTNGARIKTWAAESAGEASDITYENIVMDQVQIPIVIDQNYGQKKPKAGTGPAGGPPSKWKISNVHFRNIRGTSSRNIAVSLQCSSSNPCDGIEMADINFSYLGGAVKDTSLTTECYNAKIAPAGVQIPPLCP >ONH93822 pep chromosome:Prunus_persica_NCBIv2:G8:21768987:21772675:-1 gene:PRUPE_8G254900 transcript:ONH93822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYGRNPARNGSNQEWSPGAGETGLEEQMWQLGLTSSESYPERPGVPNCVYYMRTGFCGYGIRCRYNHPRDRAAVVAAVRATGDYPERVGEPICQYYLKTGTCKFGASCKFHHPKHGGGSLSRAPLNIYGLPLRPGENECSYYLKTGQCKFGITCKFHHPQPAGTTIPASAPQFYPSVQSPSVPMAEQFGGASTGLRVPRPPLLPGSYVQGAYGPVLIPPGVVPIQGWSYSAPLSPVLSPGAQPTVGATSLYGVTQLSSPTHGLARPYTSVPSAVGPSSSSPSEQVFPERPGEPECQYYLKTGDCKYGPSCRYHHPRDRVIPRTNCLLSPIGLPLRPGVQPCTFYLQNGHCKFGSTCKFDHPIGTMRYNPSASSLVDMPVTPYPVGSLLATLAPSSSSTDLRPELISTSKKDTYSTRVPSSGNTSSSSVGLIFSQSGSVSLSDVQHTSQTSVPLSSSRSTRQGGEVRRSS >ONH89871 pep chromosome:Prunus_persica_NCBIv2:G8:1895549:1898093:-1 gene:PRUPE_8G021000 transcript:ONH89871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKIMDQNKGSEIMCAIEELSAMVKVKSATLVPVAEHGDVDDYAHARDAAFINHEAAHIPTRPFLSLCSLLLQVLDKIGPTMAVLRQDIHQNIRRLEVKHESDPSTYSNMVEILKMEKTEGIARNVTSSSRAFVWLTRSLDFTVALFQNLLRDPGKNMKQAVEESYNLTLKPSHRWISSAASKVALMLVPDNETFFSSLMEKDENYDNLKVEIETFLSLLVPYLEQIHSILRFYNLDKLKSN >ONH92729 pep chromosome:Prunus_persica_NCBIv2:G8:18628480:18629200:-1 gene:PRUPE_8G192200 transcript:ONH92729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AESQDPLGDFCNEDSTKISNASQISANIDTLLAQLVPKTASLGFFATSYGKGQDRVFGLAQCRGDVVGSKDCSSCIQDAAKQIRKRCPDQADARIWYDYCFLRASLGGILYGNVDNVTDPESFNKELGALVDKIEEQAVVPKNGGLGKGETKLSPFLTLYALVQCTRDLSQLDCSQCLAIAVGNFGTFCDNRKGCRVLYSSCYVRYELYPFFFPLDSNNTLPVADNTLMAVVYDP >ONH92192 pep chromosome:Prunus_persica_NCBIv2:G8:16965937:16966252:1 gene:PRUPE_8G161500 transcript:ONH92192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLTEGCDLKSRVIGNSRGVYVSAGKHELMLVAYLDFGFTSGKNPVRDVDREFTVVSGRGKFRMARGFCKLKCIFFNETNGSIVEYTVVVRHY >ONH91436 pep chromosome:Prunus_persica_NCBIv2:G8:14220194:14222258:1 gene:PRUPE_8G114300 transcript:ONH91436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDPDFIQDPDHRPKLFIIEAEGIPLIDLSPINSPDSITDPKAIEGVVREIGSACKGWGFFQVINHGVQLDKLRNIETAARKFFALPLEEKRKIRRDEKSVLGYYDCERTKNVRDWKEVFDLTVEEPTLVPASPDPEDKEETEWHNRWPEYPPEYREACEEYAQEIEKLALKLMGLIALSLGLPENRFSRYFKDQTTSISLNYYPPCPSPQLALGVGPHKDGGALTVLAQDEVGGLEVKRKTDGEWIRVKPTPNAYVINVGDSLQVWSNDIYHSVEHRAMVNSEKKRFSIAYFLKPSHYTLIKPLEELISEENPAKYRPYNWGKFMTHRKLTNFKKLNVENIQIHHFGLSE >ONH91930 pep chromosome:Prunus_persica_NCBIv2:G8:16079782:16080677:1 gene:PRUPE_8G144300 transcript:ONH91930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEANPRTLIFALSLTMLISSCLVHSSNLANSPSPSPAVVGMPMPSEPPRRIVKKGRRGSFPATCYLKCNQCEPCMPVQVSVRAMALEENEYYPQVWKCSCGDNIFSP >ONH93588 pep chromosome:Prunus_persica_NCBIv2:G8:21094275:21096088:1 gene:PRUPE_8G240600 transcript:ONH93588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKVTLEIFTKLEQKWLSHCETTKKTRILSIDGGGTTGIVSGAALIHLEDQIRLKTGDSHAQISDFFDLIAGTGIGAVLAAMLVADDGSGRPLYTAREAVNSIAGKNSDLFKVRLAGVFRRRRRYSGSSMDKVLTELLTREDGKVLTLKDTCKPLLIPCYDMKSSAPFVFSRADASESPSFNFELWKVCRATSATPSVFKPFSLSSEDGKTSCSAVDGGLVMNNPTAAAVTHVLNNKRDFPSVNGVEDLLVLSLGNGPLTGGKPQSNDKSSVVDIVLDGVSETIDQMMGNAFCWNRTDYVRIQAFGLGSEGVVGPRSEEEVKVLKERGVESLPFGGKRLLTETNGDRIEGFVQRLVACGRSSLPPSPCKDSAVSPLSNGH >ONH93550 pep chromosome:Prunus_persica_NCBIv2:G8:20968470:20969539:-1 gene:PRUPE_8G237700 transcript:ONH93550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLVAVFLMLVVVVAALHIREAEAEDGDHKEYKECFGNCLKECEDGDAHGQTYCEMSCDTECALRESAERLKNIKV >ONH93879 pep chromosome:Prunus_persica_NCBIv2:G8:21952213:21952788:1 gene:PRUPE_8G258500 transcript:ONH93879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQNLKQRRTDDPDTAAATTTMNKSNYKSKKDMRMDMAKRGLRSLAVAVAIPVSLHLLAIYLGSTDNYPTGSSKPFWFPPLWALRFICLASSFLMGLSAWLVWADGGFHKNPTALPLYLAQLGLNLIWDPIVFGAGAPWVGLLVSMGMFGTMVACTRVFKNINPVAGDLMKPTLAWVAFLAIVNLKLVFH >ONH91221 pep chromosome:Prunus_persica_NCBIv2:G8:13123637:13124497:1 gene:PRUPE_8G099900 transcript:ONH91221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLVGALFGSSTKFKVLTTSQLKNSTTLFQNYTVLEAPKEILAPKMIGCHTMPYPYAVFYCHSQESENRLYQVLLGGENGERVEAAAVCHFDTSQWDPSHAAFSVLDGQPGSSPVCHFFPADNLVWVPLPA >ONH93762 pep chromosome:Prunus_persica_NCBIv2:G8:21597499:21601054:-1 gene:PRUPE_8G251000 transcript:ONH93762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRVRSRDGLERVTVDNPQHTTVSQLKALIQTQLRIPFQNQTISTNQNLLLAKTHDDISRFTDMANPNTPLSALNLSHGSIVYLAYDGERTVAGPTFHPAGSFGRKMTMDDLIAKQMKVTRQENPHSELVSFDRDCANAFQHYVNDTLAFAVKRGGFMYGTVSEEGKVEVDFIYEPPQQGTEANLVFFRDPDEEKSVEAIAMGLGMRRVGFIFTQTVSQDKKDYTLSNREVLQASEFHAESGLKEWVTAMVKLEVNEDGGADVHFEAFQMSDMCIRLFKEGWFETEIEEGHDPKLSKMKKDVVVGVKDTREVDNDFFLVVVKIFDHQGPLSSSFPIENRNTPVTLKALKNHLDRAKSLPFVKRISDFHLMLLLARFLDVAADIPALAVCVHTESPIPEGYQLLIESMANAS >ONH89683 pep chromosome:Prunus_persica_NCBIv2:G8:688670:691488:1 gene:PRUPE_8G009300 transcript:ONH89683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEGTFATDLLIGKVVGILESEASSIVGVRDQVDEIKQELISMKSFLKDAEGKKPRTEGEETWVDSLRDLAYDVEDIIDKFMYHMHEGRFARCLHKAIHIAKKLWYRRQIGKKLQKITKTIKDITERNQRYDIDPLEGTKSSLFIKEDELVGIEHKKQVLMGWLMNGEQQQAVISVVGMGGSGKTTLVAKAFSSESVKRHFSCYAWITVSQSYVIEDLFRRLIKEVHQATKEEVPAAADLNSMSYRELLHILVTYLESRRYLVVLDDAWDIKLLKEMRIALPNRQLGSRIMLTTRKEDIAFYSFVVEGHVHRIQPPTLAVVALGGLMSSKESSTEWRKVCNSINWHLINDHFLEPVKTILFLSFNDLPYRLKHCFLYCSIFPEDYLIRAERLIRLWIAECFVEHVKGVTLEEVSESYLMELNFRMMLPVVRCPTIRQACKMHNLMRELALSTLELVVCQFSHLKEKLNTLLSQFKLLRILDLEDVPIEELPDGLIYLFNLRYLSLSRTSIKKLPESIGQLCNLQTLDISDTEIETLPKEIAKLVSLRHLIMYRYSGASWEFRYAKGIRAPSNICMLKKLQVLSFVESDSEGNFFKLVGNITQLTHIGITNVKGSNEMNLCASIQKMKLLRYLLLLSSKLATVPNWFASLRSLTDFSLRWSRLKRLILVNAYVGNELCINIGFARLTHLELLNFPFLKNITIEGVMPKLQLLILHCCMKLKALPHSPEFLRNLETLRLGSVLMKITENIREGGVDHPKVQHIREIDQIYETSSLTSSAR >ONH90501 pep chromosome:Prunus_persica_NCBIv2:G8:7043950:7044969:1 gene:PRUPE_8G057400 transcript:ONH90501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISHQSKQYTQTIIQSITQDPHLTQSIAAPNFHNSHKIPLSNHAHIHHSHTYKFFSSTLKLGFVAKRERERERVADRDDGNPLCSTSISILA >ONH90506 pep chromosome:Prunus_persica_NCBIv2:G8:7273161:7274731:-1 gene:PRUPE_8G057900 transcript:ONH90506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYLRKRALVRNQDLNPGGVWFGAVRLEFLVCRFECPPLADNELCRVAFVLCQTFKRGKNPQPIHNPFIKRVKNFKLKHDPCNPFTKHIELGHKQVTCLVTCLKTCLTS >ONH90183 pep chromosome:Prunus_persica_NCBIv2:G8:3898722:3899508:1 gene:PRUPE_8G039100 transcript:ONH90183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKSFPEYTSAYSGEFGFRERSNSYNFNGPTQKGSGFATSSDPELQRKKRVASYNVFTMEGKVKTSLKNSFKWIKNRFVTDVRYDA >ONH91979 pep chromosome:Prunus_persica_NCBIv2:G8:16262945:16266761:-1 gene:PRUPE_8G147800 transcript:ONH91979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSKYIIITMLPQALLLVTLLNINVASTSNIIKTLPGFRGDLPFKLETGYVGVGSMDDVQLFYYFFESEGSPEYDPLVLWLNGGPGCSAFSGLVYENLGPLSFDYAHSIGNKPKLKLNPYSWTKVANIIFLDAPVGTGFSYAKNWEGYSNLNDTLSAAQTYEFLRKWLMDHPKFYNNPLYIAGDSYSGIIVPMVVQEISDGNQDEHVPPMNLKALKVYWLQGYVLGNPVTDKKKDYNYKVLFAYLKALISDELYQSMKKNCKGEYINVDLNNTLCVEDLELYNECVEGIHSAHILEPSCTTIESPKSAQSKWNGNYFSNKDSVNLLLSFLQLRRPWCRSYNYLYSSIWENDKTVQDALHIQEGSITEWERCNKTLQELYISDVSSSLVYHENLIKQGYRVLVYSGDHDMDIPYVATMAWIESLNLTVDSPWKPWFVDGQVAGYRVQYTYKKYQLTYATIKGAGHTAPEYKPEECHAMISRWFAYYPL >ONH91006 pep chromosome:Prunus_persica_NCBIv2:G8:12106571:12108498:-1 gene:PRUPE_8G087900 transcript:ONH91006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRCDVCEKEEATVFCSADEAALCDVCDRRVHYANKLAGKHKRFCLLHPTFKDSPLCDICQERRGFLFCQQDRAILCRECDFSIHKTNEHTQKHNRFVLPGVKLSAAASLYPTSSSSCSGFSQLANTTDARASKSSSKRPKTVSDKALNCSPSVEQTTSSSSYKTGENCGSDNGSVSTSSISEYLMETLPGWHVEDFFDFSFAPDGF >ONH94030 pep chromosome:Prunus_persica_NCBIv2:G8:22304925:22305909:1 gene:PRUPE_8G267000 transcript:ONH94030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATVAGVSLSTPRMLAKATETPKAFQPAWLSNPWRRSGGQQLLGGGRMTMIRPVSAAPDKISEKVAESIKSAEETCSDDPASGECVAAWDEVEELSAAASHARDNKKTSDPLETFCKDNPETDECRTYDN >ONH89798 pep chromosome:Prunus_persica_NCBIv2:G8:1573396:1574804:1 gene:PRUPE_8G016900 transcript:ONH89798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALENCLQLSTVCTTRVGAAQCYQPFSSRERLIVPTCNGLKKSVLKFSSSSSFAPSNKSHRSRFICKAREAVNEVQVVNDSSWNNLVIASEDPVLVEFWAPWCGPCRMIAPVIDELAKEYAGKIACFKLNTDDSPNIATQYGIRSIPTVLFFKNGEKKESVIGAVPKSTLSATIEKYVDL >ONH91190 pep chromosome:Prunus_persica_NCBIv2:G8:12920071:12923241:-1 gene:PRUPE_8G097400 transcript:ONH91190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGGASKKRQRAVQPANSPTASSSSRPCAAVIIDSDSKRPTHPNPNPFPKPSPTAPHSFNDPSSADVLLRLFVDPSPLDPPATSFSTSPTAQPQDDVVLHLHAHALRRCKYFAALLSDRWQRHPQKDAVRNISLRVPPTPGAMDTRIALLELLYNSDLASAITTVSAALDLLPVASELIFEDCVRFCLRFLEAVPWTQDEETRVLALIPHLSEDEAKDLMARVSGAPDSSEEMLYGLILAVTHNQSMAFVKAFVAKLLREFGSRELVERVMDRAFQTTFKVVKEAMEEYTSPGVRGNHDETEAIQRINLHTAMTNGKHLLWLVERMIELRVAESAVKEWSEQPAFTADLQRAFRDDAWRNIVPSLPSVLLRCTSRLVNAVATGTILVAAQVRKKLVKDWLPVLIVCKDNNASPLTPSNKPLYLDLEETFLRIISTLPMPDAQELLQQCLSFSTRNVEDCPHLVTAFNTWFRRATQPPQAQNLC >ONH92078 pep chromosome:Prunus_persica_NCBIv2:G8:16572303:16574473:-1 gene:PRUPE_8G153200 transcript:ONH92078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNIKLLLAICSVALFFTLVSADLSKEDIDGFVEEHNKARAQVGNRPLKWNATLAKYAQDYADKRVDDCAMEHSMGPYGENLASGEGITADLSGTDAVNMWVAEKAFYNYDSNTCADGKVCGHYTQVIWRNTTRVGCAKVRCNSGGTFIGCNFDPPGNYVGQKPYKPYNSYAKILPCARCMDVPIIFTIGNNVE >ONH92242 pep chromosome:Prunus_persica_NCBIv2:G8:17177302:17180462:1 gene:PRUPE_8G165000 transcript:ONH92242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIAKKWVPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELKKLIGAPVYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKRKAQKACSIL >ONH91510 pep chromosome:Prunus_persica_NCBIv2:G8:14653460:14654480:1 gene:PRUPE_8G119600 transcript:ONH91510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSIIFPLETFIFKQHRNLISLWSSINIYPSSTLLLTALVQAIYYAIAEPVMCILRMEQLPHCDLEKFLCWFYIFGSFSNIGKLSSLSCGIEISKILKFKISCQLIKLSGSIQSEK >ONH92177 pep chromosome:Prunus_persica_NCBIv2:G8:16902575:16904305:1 gene:PRUPE_8G160300 transcript:ONH92177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFPKQIERRKAISTERKTMRDLHQSCGEDFPACALRPTDRKNWMAGLNPEKIHIHKILWPGTHDSATNKIGFPCITRPFAQCQTLSIYQQLVIGTRVLDIRVQKDRRVCHGILVTYSIDVVIRDIKKFLSETKSEIILLEIRTEYGHDDPPDFEQYLVHQFGEVLIHQDDNVFNKTIAELFPKRIICVWKPRNSPPPKAGGVLWSSGHLKDDWINTDLPSTKFESNLKCLSEQPPISTRTFFYRVENTVTPQPDYPIVCVKPVTGRIHEYARLFITQCFSRGIENRLQIFSTDFIDEDFVDACVAVTYSRIERKA >ONH91106 pep chromosome:Prunus_persica_NCBIv2:G8:12623204:12626199:-1 gene:PRUPE_8G093400 transcript:ONH91106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCINSNINKIFVLTQFNSASLNRHIARTYFGNGINFGEGFVEVLAATQTPGEAGMNWFQGTADAVRQFIWVFEDAKNRDVENVLILCGDHLYRMDYTDFVQQTDTTLLGLSPQDAMKSPYVASMGVYVFKTEILLNLLRWRYPTSNDFGSEIIPAAVREHKVQAYMFREYWEDIGTIKSFYDANLALTEEFYDPKTPIFTSPRFLPPTKIDKCRIVDAIISHGCFLRECSVQHSIVGERSRLDYGVELKDAIMMGADYYQTETEIASLLAEGKVPVGIGRNTKIRNCIIDKNAKIGKDAVIVNKDGVREADRPEEGFYIREGITIILEKATIQDGMVI >ONH91865 pep chromosome:Prunus_persica_NCBIv2:G8:15877765:15882184:1 gene:PRUPE_8G140500 transcript:ONH91865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKAKSPKAKFGLPAVFLLCSLFFFVGLFTFTLLSHVSFPQFLSGPRSVSRTLQSEDGEDHGPMPQGETGDSFIQSIPFQVLSWKPRALYFPRFATAEQCESVIEMAKTKLRPSALALRKGETTESTKGTRTSSGTFISASEDETGILEIIEEKIARATMLPRTHGEAFNVLRYEIGQKYDSHYDAFNPSEYGQQKSQRFASFLLYLSDVEEGGETMFPFENGLHMGMSYDYKKCIGLKVMPRQGDGLLFYSVLPNGTIDQTSLHGSCPVIKGEKWVATKWIRNQEDLE >ONH89841 pep chromosome:Prunus_persica_NCBIv2:G8:1808070:1808729:1 gene:PRUPE_8G019700 transcript:ONH89841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGHLDGLLEQKRKSLTDNPPIMMYLPMSGTTLIHTMFQFKFIHKYTTLFQTKVSKLLNPFNVGTTTFRTRLFHCAILSPWCGSIHTHQNKLHINNSKFFATSSN >ONH89905 pep chromosome:Prunus_persica_NCBIv2:G8:2071617:2076970:-1 gene:PRUPE_8G023100 transcript:ONH89905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASTSRTSAELAPPRWKHDVFLSFRGEDTRRGFISHLDRALAYWQAMGTFKDDRELEVGATISLELLTEIEESYLAIIVLSPNYASSTWCLDELSKILECMEDTKRILPILYDVDPSDVRHQRESFAEAFTKHEERFSGDAEKLNRWRDALTKVANLTGLDSKTYKSEAELVDDIVKRVWKKVNPTFTLLDSQEKLVGIDSALDQLRLYLAPEENDVRFIGIWGMAGVGKTTLANLVYEKISHHFEHCCFLYNVRKKELSDLQRQLLSPLLNGNHIWDEREGTVFINKVLRNKKVLLVLDDVDKLHQLEVLARDKILFGVGSRIIITTRDKRLLVQHGTTTFKVKVLKNEEALELFSRHAFQKDQPEEGFQELSQHFLYYANGLPLALKILGRALYGRDQDAWKSALYNLNKIPDPDIFDSLKVSYYGLKEMEKKIFLHVACLHRGRDKEQVIEILDCTLDISSHIEIDILIEKSLLTIDKHFRSNFVEMHDLIQEMAWRIVHEESPEPGKRSLLWHPSDISHVLMNNTGTGAIEAIVLCLAKLETVRWNCTDAFNEMHGLRLLHFDYVVFSSGPKFLPNSLRHIQWSWYPSKSLPSGFKPHLLSKLEMWNSKLVRLWDGAKDFPNLKSMDLSFSHKLTSIPDFTRIPNLEELNLNDCKKLSEVHSSIAVHKKLKVLILDECKSIKCLPSALEMDSLEYFSFWGCSKVKKIPEFGEHMQNLKSIYLDRTAIEQIPSSIEHLVGLDYLCISYCKSLLGLPSAICNLKSLRTLDGNGCSKVDKLPGEMESLEELNLYGSGMREPLVVMKNLKILNLSGSVASRDGSGWGVDPERWGLVLSSLNRLGSLTDLDLSFCNIGEGAIPDDIGCLSSLKELDLRGNKFVSLPSSIRFLSELQSLRLQRCKRLEQLPDLPPKRSSLFVHVDDCTSLKRLSDPSKLSEGANVYDFIFTCRNCFRLVEEEGWINRIFAMIMRLAAEVHTLSPNDPIVWPGSEIPDWFDNQSVGDSIIVVPPLPPQTCSDWVGIAFCVVFEDYEHLKHPSYNYFQIRCSWKPYVDTFIVGDLRSQHLLVFYLPKDPYLRDASNSHQLSFEGHYWSIGSSYKELKTSLIIKKCGTRLVYKRDLEEFSRILKIPMPAVYGYDDEAGPIDSESGSSDEEN >ONH92661 pep chromosome:Prunus_persica_NCBIv2:G8:18428944:18432284:1 gene:PRUPE_8G187600 transcript:ONH92661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLVEKVRKNRREIQIILLLLCFLTIEIQFRCDRNPYMEDSSFSLHQIQSVTFKVEGLWFDYFLRLFVKQSMI >ONH92474 pep chromosome:Prunus_persica_NCBIv2:G8:17920145:17923257:1 gene:PRUPE_8G177800 transcript:ONH92474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSAVLREWFARVDSANTGSITAPQLKNALAVGNLDFPISVVQQMIRMYDFDRNGTMSFEEFVALNKFLLKVQQAFSDRERGRGYIVPDDVHEALVKIGFSLDSPAFYTVCESFDKKKKGMFRLDDFISLCIFLQSARNLFNSFDTAKQGRVSLDLNQFVFCTANCRI >ONH89802 pep chromosome:Prunus_persica_NCBIv2:G8:1602230:1602634:-1 gene:PRUPE_8G017100 transcript:ONH89802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DNAKAFLEGIGEKFQESKKAEIGNLMGQLKILKYDWEGCVRVHITKMLDVGNKLRSLDVNVDEAMMLYFAINSLPSSFKLLKSTYIAQKKMWTMNDLIGICVQQQKDNKKEKEQNHVNVVHDIKGKPKTYGKTI >ONH90665 pep chromosome:Prunus_persica_NCBIv2:G8:9965870:9967675:-1 gene:PRUPE_8G067900 transcript:ONH90665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEREVVSAGTGPKPTVGQKVTVHCTGYGKNGDLSQKFWSTKDPGQNPFSFQIGKGAVIKGWDEGVLQMQVGEVARLRCSPDYAYGANGFGAWGIQPNSALVFEIEVLGAE >ONH91866 pep chromosome:Prunus_persica_NCBIv2:G8:15882517:15886496:1 gene:PRUPE_8G140600 transcript:ONH91866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGCLDLGCISVSDKQGTNDAVLDSDNKENDATTTASPKIGKNKNLRETSLSTLNSLNKSQIKKPSHRRTSPLNWFPRKKGDSYLKRKIKMLQEVDGMNLTLDETLGDSNPHYSKVLREKMAAKEAAQKAMEARKAALVEASWCRILRASRIQSKEAEAQLLKADKAAAEAFEEATAVGVIMFDKPNCPRKPCKIETSTVNGGESTTHTVTASFETAFDVDKEVAAAVKIALVRLGNSPSFSKDEFKDLLRKISENPDTSENNQESSEFTSECESESGSELEVVSQKDTIISQDLDHKMSGFEERQSKNRRQSFGKLNMAKIADMMLERLQCLQEDELSSLATIVATCGLNAALTEVENSKLHDQGSAAETLPQRFGAAKPEYFRDGQVRRKQTTSELPSLDKFLVKHMTKLEKEVQEAKNRRNKLTEKTETVDEKAKLDNIGNTSETIPGLGSIFLKHGSKFEKEIEEAKKNSSGHFEMLQKSSQRNKISSDAIPDLESMLIKHSSKLEKEVEEAKTKFVKTSATSDQKSVVGSRKKEHVSELPSLDKFLVKHVSRLEKEVQEAKNRRRTDVHEGVRFPYLRKKIDSFASVAQQKKMAISSSEEGSEGKENLDLNKDVEEHSRMEQNEVGSSPQNPSAEEINSLQNTMAETKETEDGLDKIMVKPVHWLEREKIQALAMGNNYEYHTLKKKKGESSVTQCESLDKVLVKHVSRLEKEKMKQQSEDEATEVKRSNAKLPSHMEEACGLDQILVKHKSRLEREKVAAAQQPEEQTRFSVTRKEARERELQEQWGGLSLGNSMKPHVSKLQRDKAAWIKAEQEEKRQGTGFSD >ONH90803 pep chromosome:Prunus_persica_NCBIv2:G8:10971704:10974451:-1 gene:PRUPE_8G075200 transcript:ONH90803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVLANRNEPNWPQQPRGGGAGAGGFMGKVPFSSSNSNRNPNPNKRQFKADRPDFNDESPAVTQTASDDASSINHHRRSNTNDMNFVQAQYVSFNIASCSRKELVELKGRLLSELDQIRILKNRIEAGDFNPKPPHKKPIGNKKIAGSKRPLPIAHGKESNSNSKRSHLENGNLMKNCGLILSKLMKHKHAWIFNKPVDVVTMGLHDYYDIIKNPMDLGTVKTNLAKGIYSSPFDFAADVRLTFENAMRYNPQGHEVYGFADLLRLRFEELFQPLNEKSGDGFRSEKGSDEELQASSWNHVEPERVPKRETPVRIEKKPELVRPPPVRAPPVQAPVSSSNPDPSLVQSSPVRKNSQVKGPGVKPLKQPKPKAKDPNKREMSMEEKHKLGVGLQSLPQDKMEQVVQIIKKRNGHLKQDGDEIELDIEAVDTETLWELDRLVTNWKKMVSKIKRQALMGNNSNSNSNIASNRGHEELPASEKVDVAATTEPKRAKKGEAGDEDVDIGDDMPMSSFPPVEIEKDVGGGHASSDSSSSSSSSSSGSSSSSDSDSGSSSGSDSDDDNAQS >ONH93019 pep chromosome:Prunus_persica_NCBIv2:G8:19410112:19410537:1 gene:PRUPE_8G208600 transcript:ONH93019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPCLSYISMLLLALVIFLSQTQVTYSLFNKYRVHVINGLSKDTLTIHCQSKDTDLGIHELAVNQEFEWEFRTNFFDTTLFFCNLRWNGGHKSFDAFKVDEKGLLNDCSANDCMWLARDDGINLFNYPHKEYRQKYKWDK >ONH94031 pep chromosome:Prunus_persica_NCBIv2:G8:22306388:22307187:-1 gene:PRUPE_8G267100 transcript:ONH94031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQQQSSSGSDGSASVDEKKRKRMLSNRESARRSRMKKQKQMDDLTSEITRLEMSNNQLLQGIEVKERGYAEIESRNNVMRAQVMELTDRLQSLNSVLQIFEEVSGLAVDIPEIPDPLLRPWQVSYPTQLIPASSDMFLG >ONH92818 pep chromosome:Prunus_persica_NCBIv2:G8:18873262:18874602:1 gene:PRUPE_8G197800 transcript:ONH92818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNILFLNRNSQEGHDYSLQAQPGGYLDQSHMLFNNGGGAVNNTINQRKRGRETSAATEISPSILPFSLQQQSQPPPPQLIDLSQLYSNNHDHNNPNVVSTGLRLSFGWLRVGTCTRVWLREGWVTGEGWLKGGVE >ONH91573 pep chromosome:Prunus_persica_NCBIv2:G8:14958410:14960626:1 gene:PRUPE_8G123800 transcript:ONH91573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMAIRRARSPALSPFFKVRPLHLSHFAFSHGSNTIAINITNLETLTIAKSLSTSAVPNEYQRPPPQQQPPPSDPRAFDDQANPNQWAAQGQGYGNSNQWNPQTQNQTPNNQYNQNQSYPGQNQSYPGRGYPNQAPSFPNRGYPNQNNQNQSYPQRGNSNEWSPQVQSPPQYQNPNQVNPPPSPSFQQPRSPNQWNNPNQGYQQPRNPNQWSPQAQNPAQWSNNNNNNQAVNQTPVVVPPSIDDLRRLCQEGKAKEALELMDKEGVKADADCFQSLFELCGRLKSIEDAKKAHDFFLQSTCRGNRELNHKIIEMYGKCASMTDARRVFDHMLDKNIDSWHLMINGYADNGLGDDGLQMFEVMREQGLKPNSETLLAVFLACASADAVEEAFIHFESMKNEYGISPGVEHYLGVLDVLGKCGHLNEAVDYIEKLPFEPTVAVWEALRNYAQIHGDIDLEDHAEELMVALDPSKAVVKKIPTPPPKKRSAISMLDGKNRISEFKNPALYKDDEKLKALSGMKEGGYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >ONH92966 pep chromosome:Prunus_persica_NCBIv2:G8:19184030:19185298:-1 gene:PRUPE_8G204600 transcript:ONH92966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKEPEIIRDKDQMRRWSRAMRSQGKTVGLVPTMGYLHDGHVSLIREAHNHTDLIVVSIYVNPGQFSPSEDLSTYPSDFHGDIQKLMAVPGGVDVVFNPHNLYDYGTSKNANAAARASDDARVGNGGNGVVSCVEENGLGHETWVRVERLEKGMCGQSRPVFFRGVATVVSKLFNIVEPDVAVFGKKDYQQWRIIQRMVRDLDFGIRVIGSEIVREKDGLAMSSRNVRLSPEEREKALSISKSLSRARLAAAKGQTNCKELRDLVTQAIHESGGRLDYAEVSM >ONH92965 pep chromosome:Prunus_persica_NCBIv2:G8:19182750:19185601:-1 gene:PRUPE_8G204600 transcript:ONH92965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKEPEIIRDKDQMRRWSRAMRSQGKTVGLVPTMGYLHDGHVSLIREAHNHTDLIVVSIYVNPGQFSPSEDLSTYPSDFHGDIQKLMAVPGGVDVVFNPHNLYDYGTSKNANAAARASDDARVGNGGNGVVSCVEENGLGHETWVRVERLEKGMCGQSRPVFFRGVATVVSKLFNIVEPDVAVFGKKDYQQWRIIQRMVRDLDFGIRVIGSEIVREKDGLAMSSRNVRLSPEEREKALSISKSLSRARLAAAKGQTNCKELRDLVTQAIHESGGRLDYAEIVDQESLEQAKEIRSPVVFCVAAWFGKVRLIDNMEINI >ONH91357 pep chromosome:Prunus_persica_NCBIv2:G8:13923232:13928969:1 gene:PRUPE_8G109200 transcript:ONH91357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKPWKRPFKFQRKRTGPSSFFVSFPFLCLRFTFIYFAVFSQERLKLAANFLAASLAPCLTQLFDQPVYFSGTQSNVSHSMTYDVFLSFRGEDTRFNFTDHLYSNLTRKGIRTFIDDGLKRGEEISPALLRAIEESKISIIVFSENYASSKWCLDELVKILESKETREQIVWPVFYKVNPSDVRHQRGSFGQALADYECEFKDDMEKVQRWRRSLTKAANLSGWCFINGHESKFIDNIVEAISLQVLNHAYLNVAKYPVGIESRVREIDKLLDVGGNDVRMVGIWGTGGIGKTTIAKAVYNSIAHMFEGSCFLDDVRERSMPYGGLVKLQSILLSEILGVKEVKVTNVDKGINVIKKMLNGKKLLLVLDDVNQLDQLNKLVGRSDWFGSGSRIVLTTRDKHLLIAHQVNLIYEVEKLDHYESLKLFASWNSFSRNGHLKDDYAKLANNVVDYADGLPLALMVLGSHLCGRSIDQWKYALDGYRRVPNREIQEILKISYNALEDAVKDVFLDIAFFYKGLGEDYVIQMLEGCDLNPKYDLEVLVEKALINITEDGCIWMHDLIQEMGKEVVRQESPTEPGKRSRLWFHEDVYHVLTENTGTDKIKGIMVNLPAGLESDEVCLNAESFSKMKNLRLFINHNARLSGEVDYLPNELRLLSWPEYPSQSLPANFNPKKLVGLALPRSCILRLDLEFKSLKFINLEHSKFLRKTPDFSGVPNLEKLNLNYCTSLVELHPSAGFLHKLVKLSLTGCCSLTLFPRIVNLKSLLELNLYGCISLENFPEIKGKMESLKYMDLSETSIKELPSSSIRHFTRLENLKLTGCENLTNLPCSIYELKHLETISVRKCSKLVSFPKVAKSEDSRSAESLVTLQGGNLSFPKLSRFYVGGSNLSDIADFLLTLDCITTLTRLDLSRSNFVSLPVCINNFVNLDKLWLVRCNRLREIPDLPQALQLLDASDCLSLERVSKLSNILERKESQMFSQMYLTNCWRLRNNLVRIVKKKNMFINQVNLFSLILLSLTSLVVVEFPGMGIPKWFSYRKDFKDLRECQFSIKTSQNFNWENKGISFCAFLEKTENRLFLYIKPSIREFAIDMYVNEKSTDKMHSAGIQASLVWLSYVPFHDLMSTMARTNRNVKRTWVMPPYLPTIRVHFVRISKAVKSCGVHLVMPPG >ONH89742 pep chromosome:Prunus_persica_NCBIv2:G8:1083748:1087313:1 gene:PRUPE_8G013100 transcript:ONH89742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVMVIVVVVVVVVVVVVVMVIVVVMVVVMLVAVVVGNEYLIIVHDALDYGIPKYGIFENRNSLKDLAQMPQWAEEKPLRVATGFTYLGPKFMKENGLNHVSFSTADEALEAAPTMWIADAILDLVSSGTTLKENNLKEIEGGVVVLVASKRSLIQRKSALDTTHEILEILEAHLRAEGEFTVTANMRGSRAEEVAERVLSQPSLSRLQGPTISLVFCKRDGQIASDYYAIVICVPKKALYRSVQQLRVIGGSRVLVSSLTYIFYEETLRWRELLSALGLQFSLCG >ONH90475 pep chromosome:Prunus_persica_NCBIv2:G8:6518648:6522990:1 gene:PRUPE_8G056000 transcript:ONH90475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLNTSFLPSSQTLRRSHSSSAPALISGRRSRLQVQAKIREIFMPALSSTMTEGKIVSWVKSEGDKLSKGESVVVVESDKADMDVETFYDGYLASIMVEEGGIAAVGSAIALLAETEEEIAEAKAKASSSSAAPTAAPAAATPEPQPEKIPDNVGPAVVPKAAAVAAVSSTHPASEGGKRIVASPYAKKLARELKVDLGAVVGTGPLGRIVAKDVEAFAAQVTEVAPEPSVRKSADAEAGIELGTVVPFTTMQGAVSRNMLESLSVPTFRVGYTITTDALDALYKKIKSKGVTMTALLAKATALALVKHPVVNSGCRDGKSFTYNSSINIAVAVAIDGGLITPVLQDADKVDLYSLSRKWKELVDKARAKQLQPHEYNTGTFTVSNLGMFGVDRFDAILPPGTGAIMAVGASQPTVVGTKDGRIGRKNQMQVNVTADHRIIYGADLASFLQTLAKIIEDPKDLTF >ONH91048 pep chromosome:Prunus_persica_NCBIv2:G8:12331808:12338866:1 gene:PRUPE_8G090100 transcript:ONH91048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKHLPAVKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVAREAVLKFRPHISITPYHANVKDSDFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAADVPLIESGTTGFLGQVTVHVKGRTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKELLFAKLFGDKNQANDLNVRSSDGASSSKQAEDVFEHRKDEDIEQYGRRIYDHVFGYNIEVALSNEETWKNRNRPKPIYSSEFMPNDLSQQNGSMEKNGAANDISSVSAMASLGMKNPQDVWSLKENSRVFLEALKLFFLKREKEIGNLTFDKDDQLAVEFVTAAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVIEAIKVLKNDTKNYRMTYCLEHPTRKMLLMPVEPFEPNRSCYVCSETPLSLEINTHHAKLRDFVEKIVKAMLGMSLPLIMHGSALLYEIGDDLDEEMVANYAANLDKVLSELPTPVTSGTMLTIEDLQQELSCQINIKHREEFDEEKEPDGMVLSGWTQAPSVVKDDKESIANAGSTSNEPSVEAEKNDEIEIPPEKKRKLSEISEAVYPDLSSVDGDTRNPDKLQVLDDDDELMIFDNWDSVTDKKIRMQ >ONH89626 pep chromosome:Prunus_persica_NCBIv2:G8:403391:408286:1 gene:PRUPE_8G005200 transcript:ONH89626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTAPSPYTSPSSSLNGRTWKYDVFLSFRGEDTRNTFTDHLYHALLQRRVIVYRDNELKRGDNISQVVYKALEQSRISIVILSSNYANSKWCLDELSKIVECMNGMRQRVLPVFYDVEPSEVRKQTGTFGNAFAEHEQVFRDNREKVLRWRDALYQVANLSGFVIRNRYESEVISQILKMVLNALPQVFSHGFLVGIDSRVDEIHVLLDLESNDVRFIGIWGMGGIGKTTIAEVIFQKISAEFEIFTYVPNIREATNEQGGLLQLQKNLLSEALMQINLDVLSVAEGARMIRNSLSNRKVLLFLDDVDHLDQLESLAGNQNWFGLGSRVIITTRNEKLLRDHGVDNIFEVGELKDNESLQLFSYGAFKSHKPPEDYLDLSKLVVNYARGIPLALVVLGSFLMGRNVTEWISVLQRLKELPHREVFDVLKISYDGLQNNEKRIFLDIACFLKGMDKERVEEILDYFGFNPKVGIQVLIEKSLITILNNKVLMNGFIQEMGQQLVRREYVDEPGKRSRLWLFDDIIYVLNNNKGTNAVEGIALDLPKLKVACWNSESFSNMQNLRFLKIHNLQMTQGPEYLSNALKFLEWSGYPSKFLPQGFQPEELCELNLCHSSIGQLWRGTKCLGNLKSINVSYSQNLTRTPDFTVTPNLRRLILEGCTNLVEIHQSIGELKRLIFLNLKDCRRLGHLPDDLQTESLKVLILSGCPNIKKIPVFWRPMEHLSELFFDKTAIEELPTSVECLTGLTLLNLRDCRHLNGLTSNICKLRSLKSLNLSGCSKLGKLPENLGKIDCLEELDACGTAISALPSSISRLENLKGLSLCGCKWMPRKRTRSLGLLLPNTDSGLRCLTLLNLSDCNLQEVTILENLGCLSSLVSLNLSKNNFVTLPKSIRQLSKLQNLNLGSCKSLQKLPDLSEKLNFSVGAEGSYSQERLSSCFSFINCSKVVDNQGCKNMAFAMLRRSIEGIPYAGNRFEAIFPGSKISEWFSYHNEGPVVSMDLPRHWYKNKWMGYALCAVFVLRRRHPPNLLGKWKYGTHSTAHGLRCEVKPGNLGIGGRCPFFGCSEELGQIESEHIWLSFVSGEYFGTSWQDSCRHLEFSFKSLGPGLELKRCGVRFLYEKDLVGIKQ >ONH93751 pep chromosome:Prunus_persica_NCBIv2:G8:21568160:21568805:-1 gene:PRUPE_8G250400 transcript:ONH93751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSSSQGQNKTCLALVADETAAVHFQLWGEECDAFEPGDIIHLSNGIFSYSRNSLLLRAGKRGKIEKVGEFTMAYVETPNMSEIRWVPDPNSSHKYIQEAVISPHSRIFPPKY >ONH89938 pep chromosome:Prunus_persica_NCBIv2:G8:2286413:2289444:-1 gene:PRUPE_8G025000 transcript:ONH89938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPQDTLHQIFSSLPLRQVMICRSLSKFFNHLLTSPSFIHLISTQSPPLNLLALRPPHHHHHRHVSSPPCLHVFDPDDNQWLRFNLDFLPFRSPHPVASSLGFVYLWGESPDSPESTKSLVVCNPLTRQFRVLPQLGSAWSRHGSVLVDSETRVMVLTELAALYFSGSNQWLKFSSNLPSKPRSPILVFDSVYALCDVGSPWRSQWKLFHCTISKLKTSQTWTRLEKHEWGDVFDILKRPRLVRGNGNKVLMVGGLKSSFSLNASCSTILILRLDLDSLEWDEAGRMPVDMFRCFQESSKFKVFGSGDRVCFSAKRIGKLALWDHCSGKVEWRWIDGVPGSGDGLCRGFVFEARLTALL >ONH89939 pep chromosome:Prunus_persica_NCBIv2:G8:2284399:2289444:-1 gene:PRUPE_8G025000 transcript:ONH89939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPQDTLHQIFSSLPLRQVMICRSLSKFFNHLLTSPSFIHLISTQSPPLNLLALRPPHHHHHRHVSSPPCLHVFDPDDNQWLRFNLDFLPFRSPHPVASSLGFVYLWGESPDSPESTKSLVVCNPLTRQFRVLPQLGSAWSRHGSVLVDSETRVMVLTELAALYFSGSNQWLKFSSNLPSKPRSPILVFDSVYALCDVGSPWRSQWKLFHCTISKLKTSQTWTRLEKHEWGDVFDILKRPRLVRGNGNKVLMVGGLKSSFSLNASCSTILILRLDLDSLEWDEAGRMPVDMFRCFQESSKFKVFGSGDRVCFSAKRIGKLALWDHCSGKVEWRWIDGVPGSGDGLCRGFVFEARLTALL >ONH93531 pep chromosome:Prunus_persica_NCBIv2:G8:20875628:20881357:1 gene:PRUPE_8G236100 transcript:ONH93531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNADYVCPSTNVEGESPHRVAIPPPQPFVKTVKNSLKETFFPDDPLRQFKNQPASRKLVLGLQYFFPIFEWGPRYTLDFLKSDLISGITIASLSIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSRDLAVGTVAVASLLTASMLGAEVNAVENPTLYLHLAFTATLFAGVFQASLGFLRLGFIVDFLSHATIVGFMAGAATVVCLQQLKGILGLDHFTNATDVVSVMRSVFSQTHEWRWESGVLGCLFLFFLLVTRYFSKKKPRFFWISAMAPLTSVILGSVLVYLTHAEKHGVQVIGKLKEGLNPMTFGDLVFVSPYLTTAFKTGVITGIIALAEGIAVGRSFSMFKNYHIDGNKEMIAIGMMNIAGSCTSCYLTTGPFSRSAVNFNAGCKTAMSNVIMAIAVMFTLLFLTPLFHYTPLVVLSAIIMAAMLGLIDYEAAIHLWKVDKFDFVVCMSAYIGVVFGTVEIGLVLAVAISVIRVLLFVARPRTFVQGNLPNSMVYRNVEQYTNAINVPGILILEIDAPIYFANTNYLRERITRWINDEEDRIKSAGESSLQYVILDMTAVGNIDTSGISMFEEVKKLVDRRGLQLVLANPGSEVMKKMNKSEFIENIGQEWIYLTVADAVAACNFMLHSTKPNPGKDQEPAAWNNV >ONH89692 pep chromosome:Prunus_persica_NCBIv2:G8:795926:798681:1 gene:PRUPE_8G010000 transcript:ONH89692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAPTALLVGKIMDILENEAYSIAAVRDEVDEIKQELVSMTSFLEDVEGKKTQTETQKAWVTSVRDLTSDVEDIIDEFMYHTYEQQSRGRFARWLHRTIHIPKNLFYRRKIANKLHKITKMIKAIPERNKRYALDGVVGTSWDDISKWVKNQAVSSLFINKDELVGIDGKKQTLTAWLLNEEQHLTVVSVVGMGGSGKTTLVAKTFANETVKRHFDSYAWITVSQTYVIEDLFRSLIKELHQTRNEYVPADLISMGYRDLIQLMLNYLESKRYLVVLDDVWDIKLWREIRISLPDRQLGSRIMFTTRKEDIAFHCFGVESHVHCMQPLEKNYAWELFSRKSFSTFDGKCCPPELEKLAWELMEKCKGLPLAIIALGGLMSSKKLAAEWSKVYNGLNWHLTSHHLLEPVKSILLLSFNDLPYRLKHCFLYCSLFPEDYLIRRKRLIRLWIAEGFVEHARGVTPEQVADSYLMELIFRNMLQVVERNETGRPKSCKMHMTLCESLLCQHLRKKNFLLYMMGKKYWKTLEPAVCQSKQPKEELNLALSLPSGFKLLRVLDLEDVQIDKLPHNLVYLFNLRYLSLKGTQIKELPKAIGLLRNLQTLNILNTKIEVLPRGISKLQNLRHLIMLRHSGEYMAFKTAHGTRVPFNISKLKKLEVLSCVESEGNIIRLIGNMTQLTRIGITNVKERDAMDLCDSIQKLKLLQCLALRVSGEEEFLDVNALSSPPPHLRKLIFGSKLQKVPPWFSSLQNLTYLYLHWTRLDEDLLPHIEALPCLGRLLLVNAYVGNELCFNRGFPKLTILELFNFPLLNKITIAEGVMRNLRLLTLARCMELKALPQGFEYLSKLETLELLSVSMQLIESIQEGGVDHPTVKHITVITNYSLKCLIRAHHSSST >ONH90289 pep chromosome:Prunus_persica_NCBIv2:G8:4656120:4657391:-1 gene:PRUPE_8G044900 transcript:ONH90289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALILPSIPSLTTFSSLQVKLAASQAKGDQHVPADIPRHQLTTLIRSIINKQDEHGPFYIVDLGVLVSLMEKWNHCLPNVQPFFAVKCNHEPAFIAALATLGASFDCASKAEIEAVFAHGVSPTRIVYANPCKGEYHLRYAAGVGVDLATFDSMDEVDKIKRCHPKCSLLLRIAVPNDKSSWRSFGTKFGALREEVAPLVRHAHKLGLRVVGISFHVGSKASESQVYRGAIAAARAAFDVADELKMPKMHVLDIGGGFKANQLFDEIAETINVAIKDYFSDHQSDSDLTVMAEPGRFFAETAFTMVANVMGKRVRGEKREYWISDGIYGSFNLPAYDKSSMQISPLQILSPHQNQVTYSSTVFGPTCDSLDTVVGDCMLPELKLNDYLVFHNMGAYTTSAGTNFNGFCISAIPTYLAFTSANN >ONH93656 pep chromosome:Prunus_persica_NCBIv2:G8:21291248:21292191:1 gene:PRUPE_8G244500 transcript:ONH93656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLPLPCAAGPREDLHHSLVCKASSISTGRRNNLCRVSLTSTYQPKSLFQVLINKNPFQNDNVLIVSNPGLESNKKEYCTRTLEMEAASSIIQRLEAFGERVIELEKKKLMNKTKGSELSHEESILVEDLSREIVNKFLAKPIEYLRSGDGDLQEKLKELEFLVDILEESCLRGEKVPNILSCKATH >ONH91405 pep chromosome:Prunus_persica_NCBIv2:G8:14117406:14135673:-1 gene:PRUPE_8G112500 transcript:ONH91405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQGLHHQQQQLAALLSVALPKDDSASASAPSSNSDDDDSARLAAINSLHRAVLYPPNSLLVTHSATFLAQGFSQLLSDKSYAVRQGAAVAYGALCAVVSSIPITSNGRQNHVMLGSLVDRFIGWALPLLSNGGAGEGTMELALDSLREFLNVGDVGGVERYALSILKACQVLLEDERTSLSLLHLLLGVLTLISLKFSRCFQPHFLDIVDLLLGWALVPDLAESDRRIIMDSFLQFQNHWVSNLQFSVGLLSKFLGDMDVLLQDVSHGTPQQFRRLLALLSCFSTILQSTASGLLEMNLLEQITEPLNRIVPRLLGCLSMVGRKFGWLEWIGDLWKCLTLLAEIFCERFSTFYPLAFDILFQSLEVDNTTQPMGSGRITSFQVHGVLKTNLQLLSLQKFGLLQSSVQKILQFDAPISQLRLHPNHLVTGSSAATYIFLLQHGNNEVVEQVLTSLTEELELLKGMLEKATGIGDEVVGCSKLYSKLELFALIKFDLKVLLTSVFWGGENSLTCQLDIATLYLMRSEKLLDFIIEKFNPFDLPVMAYVDLQVNVIKTLDRLTTVKFLSKCSITYQSSGKSSPVVTADKLLNGNYLTNELSVVVVENLRKYSMFFVKALHVSSPLAVKTVALDWVQSFGENVIAINEKSNSETDFYEVYGNIKIIGNMLFSILDAASDREPNVRSHVALVLELLLQARIIHPRYFYCLAEVVLGKLGDPDSDIKNAFVRLLAIVVPTTLYACGLHDYGTSTSSRAVALRLGNSSNLQWKQGFALKQLPQQLHSQQLVTILSYISQRWKVPLSSWIQRIIHSCRSSKDLPIQLEETGNFGAIGVWLDIKMEEDFLEKHCSVNNLAGAWWAVHEAARYCIATRLRTNLGGPTQTFAALERMLLDVAHLLMLDSEQNDGNLSMIGSSGAHLLPMRLLFDFVEALKKNVYNAYEGSAVLPSATRSSSLFFRANKKVCEEWFSRICEPMMNAGLALQCHDATIQYCALRLQELRNLVASALNEKSRSQVTENLHNIRGRFSADILRVVRHMALALCKTHESEALHGLEKWVSMTLAPFLVEENQSLSNSRVLGPFTWITGLVYQAEGKYEKAAAHFIHLLQAEELLSSLGSDGVQFVIARIIECYTSVCDWKSLESWLSELQTLRAKHAGKSYCGALTTTGNEINAIHALARYDEGEFQAAWACLGLTPKSSSELTLDPKLALQRSEQMLLQAMLLQNEGKEDKMPHELQKARSMLEETLSILPLDGLEEAAAYATQLHCIIAFEEFYKIKDNQDKPRKLQSILSSYVQLMHPQMGRVYQDCNPWLKVLRVYQTISPISPATLKLSMNLLSLARKQQNLLLANRLNNYLQDHILSCSRERHHDFLTSNLQYEGILLMHAENKFEDALTNLWSFVRPCMVSSLSIVSDADNSILKAKACLKLSNWLKQNYSDLRLDDIVLNMRSDFEMADSSSPGTGRPSFGDEILSSKPPLGPIIEEIVGTATKLSTRLCPTMGKSWISYASWCFSMAQDSLLTPNENTLHSCSFSPILVREVLPERFKLTEDEIIKVESLIFQLIQNKDDKGFRAEQGDSNYSLDSAELRNNNPVMALVQQVVSIIEAVSGGPGAEDCSDDCFSATLASQLKICFLRANFGINETDIISVVDDLVVVWWSLRRRRVSLFGHAAHGFIKYLSYSSAKICNGGLVDSDFEPLKQKAGSYTLRATLYVLHILLKYGAELKDILEPALSTVPLSPWQEVTPQLFARLSSHPEQVVRKQLEGLLMMLAKQSPWSIVYPTLVDVDAYEEKPSEELQHILGCLSELYPRLIQDVQLVINELGNVTVLWEELWLSTLQDIHTDVMRRINVLKEEAARIAENVTLSQSEKNKINAAKYSAMMAPIVVALERRLASTSRKPETPHEVWFHEEYKDRLKSAIMAFKTPPASAAALGDAWRPFDNIAASLGSYQRKLSIPLREVAPQLALLSSSDVPMPGLEKQDTVSEADRGLSANLQGIVTIASFSEEVAIISTKTKPKKLVILGSDGQKYTYLLKGREDLRLDARIMQLLQAINGFLHTSLATHSHFLGVRYYSVTPISGRAGLIQWVDNVISIYSVFKSWQNRIQLAQLSAVGGSSSKSSVPPAVPRPSDMFYGKIIPALKEKGIRRVISRRDWPHEVKRKVLLELMKETPRQLLYQELWCASEGFKAFSSKQKRFSGSVAAMSMVGHILGLGDRHLDNILMDFCSGDIVHIDYNVCFDKGQRLKIPEIVPFRLTQIIEAALGMTGIEGTFRSNCEAVIGVLRKNKDILLMLLEVFVWDPLVEWTRGDFHDDAAIAGEERKGMELAVSLSLFASRVQEIRVPLQEHHDLLLATLPAVESALERFADVLNQYELTSALFYRADQERSNLILHETSAKSMVAEATSNSEKIRASFEIQAREFAQAKALVAEKSQEAATWMEQHGSILDALRSNLLQEINAFVKLSSMQEILSLTSAVLVAGVPLTIVPEPTQAQCYDIDREVSQLVSEFDDGLSSAINALQVYSLALQRILPLNYITTSAVHGWAQALQLSASALSSDILSLARRQGAELISKVHGDNTDSIKHSHDDMCLKVKKYALQIEKLEEECAELVNSIGSETESKAKDRLLSAFMKYMQSAGLAKKEDAILSIQFGQSKYDGNGTKDAKLRGELNEKKEKVLFVLNSAASYLYSEIKHKVLDIFNDSNKRRNANNQLQYEFETIFCGFEEQVEKCVLLAGFVNELQQLIGRDAPSGGDTDKDHPGYYSDRNWASIFKTILLSCKSLIGQMTEAVLPDVIRSAVSLNSEVMDAFGLISQIRGTIDTVLEQFIEVEMERASLVELEQNYFFKVGLITEQQLALEEAAMKGRDHLSWEEAEELASQEEACRAQLDQLHQTWNQRDLRTSSLIKRESDIKNALATSAHHFHSLVGVKEERELRVSKSKVLLSMLVKPFTDLESIDKVFSSFGLTSHSNEISNLADLMSSGYPISEYVWKFGSSLNHHSFFVWKLGVIDSFLDSCLNDVASSVDQTLGFDQLYNVVKRKLEMQLQEHLGRYLKERVGPSLLASIDKENERLKQLTEATKEVSLDQVKRDVGALKRVQLMLEEFCNAHETARAARVAASLMNKQVNELREALWKTGLEIVQLEWMHDATLNPSHSSRVMFQKFLSGDDSLYPIVLKLSRPNVLESLQSAVSKIARSMESLQACERTSLAAEGQLERAMGWACGGPNSSATGNNSSKTSGIPPEFHDHLMRRRKLLRQAREKASDVIKICVSILEFEASRDGIFHSPGEIYPFRTGADGRTWQQAYLNALKRLDITYHSFARTEQEWKVAERTMETASSGLSSATNELSVASLRAKSASGDLQSTVLAMSDCACEASVALSAYARVSNRHSALTSECGSMLEEVLAITEDLHDVHSLGKEAAAVHCSLVQELSKANAILLPLETVLSKDVAAMTDAMARERENNMEISPIHGQAIYQSYSLRIREARQAIEPLVPSLTSSVKGLYSMLTRLARTASLHAGNLHKALEGLGESQEVESPVIDVSRPDLATDATGFDEKEEKESLSTSNGESTKDFLGITGLTLEAKGWLSPPDSICSSSTESGITLAEESFPGSFNDPEDIGQQLLLGPSSREATDYQNTAPYSQSDNQEITDSAQFESKYTEVDNIHIGSFKSTLSDPNEYPQAMASPNDESATVGPEISRPSNENTQEKFGSKEEISSLNKVKIKDENRDAMQASSRVGRGKNPYAMSVLRQVEMKLDGRDIAENREISISEQVDYLLKQATSVDNLCNMYEGWTPWI >ONH92550 pep chromosome:Prunus_persica_NCBIv2:G8:18100155:18101721:1 gene:PRUPE_8G180300 transcript:ONH92550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTRFPKRYLIVVLTFISTFICYVERVGFSIAYTAAADVAGVSQSSKGTILSTFYYGYACSQVPGGWAAQRIGGRCVLLLSFVTWSLTYAFVPLDPNRVTMMVLARFLVGVAQGFMFPSIHSVLAQWVPPHERSRSVSLTTSGMYLGAAAGMLVVPSLVKLSSPQFVFLAEATLGTIWSVVWLNYASNPPQSELPKATTVTGFGEVLLLIKGGHEKIKVEERNERNCNRTESIPWKIIFGSLPIWAIVVNNFTFHYALYVMMNWLPTYFEQGLQLSLQDMGSYKMVPYLNMFIFSNIGGVIADHLITKRLLSVTATRKLLNTSGFIVASLSLMALPIFRTSLGAVICSSMALGFLALGRAGFAVNHMDIAPKYAGIVMGVSNTAGTLAGIIGVDLTGKLLEAAKFSHSDLSNPDSWRSVFMIPGVLCIFSSLGFLLFSTGERIFH >ONH89753 pep chromosome:Prunus_persica_NCBIv2:G8:1144685:1146075:-1 gene:PRUPE_8G014000 transcript:ONH89753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQALDGINNLKEWKIDKRVKQRIKDSGFDGLLRLTTFPVNHDLPLLSALVESYEIKSRCFVFNGHKLVFGLEDVLYITGLPVDGNPVTGIDSKGNELCMKYLGRNVCDKTRTGFTNSAWLRKNFEVVPETIDQDSPEIEPYVRAFLLYLIGSIVVPSYYGSNVPVMYLSLMENLQSIKDYAWGAALLAHLHLSMENFKQSYSPRRRNILIGHSYSLMVFAMERIPKLLLRFCLNGANPVDDYLPTTFPLLAGWTKLLCEHSNTEEKITKQEYLEILDGLKEDDVSYCAGQEKIGMSRTILLCYEKAVYHRPDLSPKQFGIQEVNTNILRPLVELELGSRFGRKGINWGTYGKYGCYKEEWESRASCLIIESAEEDPGPPSFEGNYLLS >ONH92226 pep chromosome:Prunus_persica_NCBIv2:G8:17106438:17109135:1 gene:PRUPE_8G164000 transcript:ONH92226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLPFLFFLFLFIFFKFSASQTADQTLKTFLFRVDRHSKPSIFPTHYHWYASEFVDPPQILHVYDTVFHGFSASLTPDQVASISSHPSVLAVIEDQRRHLHTTRSPQFLGLRNQRGLWSESDYGSDVIVGVFDTGVWPERRSFSDKHLGPIPRRWRGVCETGVKFARSNCNRKLIGARFFIKGHEAAANAGGPISAINDTVEYRSPRDADGHGTHTASTAAGRYAFEASMSGYASGIAKGVAPKARLAVYKVCWKESGCFDSDILAAFDAAVNDGVDVISISIGGGDGISSPYYLDPIAIGSYGAVAHGVFVSSSAGNDGPNGMSVTNLAPWLTTVGAGTIDRNFPAVVILGDGRRLNGVSLYAGSPLKGKMYPVVYPGKSGMLSGSLCMENSLDPREVGGKIVICDRGSSPRVAKGLVVKKAGGVGMILANGISNGEGLVGDAHLIPTCAVGADEGDAVKSYVSSTKTPTATLDFEGTVIGIKPAPVVASFSGRGPNGLNPEILKPDLIAPGVNILAAWTDAVGPTGLETDSRKTEFNILSGTSMAAPHVSGAAALLKSAHPDWSPAAIRSAMMTTASVTDNRNQTMTDEATGKASTAYDLGAGHLNLGRAMDPGLVYDITNDDYVRFLCSVGYGPRVIQVITRTPLNCPAKKPSPENLNYPSIAALFSTAGKSSKTFIRTVTNVGQPNAVYRPRIEAPRGVTVAVKPSRLVFNEAVKKRSFIVTVGVDRKNVVFGEAGAVFGSLYWGDGKHVVRSPIVVTQMDPL >ONH92726 pep chromosome:Prunus_persica_NCBIv2:G8:18613372:18615712:-1 gene:PRUPE_8G191900 transcript:ONH92726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFAGGESVTLDLLKKKMAEFAKERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPDWKEEEKEHLGEELSDVLLYLVRLSDICGVDLGKAALRKVGLNAIKYPVKQSQMNITSNNTTINSDENAQRV >ONH91381 pep chromosome:Prunus_persica_NCBIv2:G8:14051160:14054423:1 gene:PRUPE_8G111000 transcript:ONH91381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRCHQSTKRSISKRTVASESLEESKSL >ONH93862 pep chromosome:Prunus_persica_NCBIv2:G8:21908574:21910474:1 gene:PRUPE_8G257200 transcript:ONH93862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPAEEAPGSLKYQTWVLKVSIHCEGCKKKVKKVLKGIEGVYTTTVDSQQHKVTVTGNVEAETLLKKLLRSGKHAELWPETKKAKKSKSKNNNNEKKATDGSEKDGDHDDGHGDKPDQINGDDDADDGGESDKEGDESNEGAGGGGNNASTGAKKKKKKKKKKGQNDNSTNVSGGGKPSDTYFGDAPGIGIVPSMAAHEVTQAMGSMNMGPPIQHVYPGPYPSSMYYQPPTYGLSYNTAYPTSTATSYFAPDAMHSTTYSHPDMYSPALPFDSFETFYVEDDDHDGDESGCHIM >ONH93693 pep chromosome:Prunus_persica_NCBIv2:G8:21423737:21425834:-1 gene:PRUPE_8G247700 transcript:ONH93693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSLTIFFLSLLFFCAISEASKCSVKGLPEVRNISALPQSNYGRGGLVHTTVAGSLLHGLKEVEVWLQTFSPGSGTPIHRHSCEEVFVVLKGSGTLYLAPNSHEKSPGKPQEFSIFANSTFHVPVNDAHQVRNTNEHEDLQVLVVISRPPVKVFTYENWFVPHAAAKLKFPYYWDEECLEVEPPPKDEL >ONH93681 pep chromosome:Prunus_persica_NCBIv2:G8:21377633:21379643:1 gene:PRUPE_8G246600 transcript:ONH93681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDGILWCLGGGALFLLGCHIDSEARKLESVRRIRELKDFVGLLRYECRVVVAVRGRVCSATPINCEMSGLQGIIVEQRAEQYFLERIKNMDKDEDKDRDDIWMKTHKLLHSTTQEVPIYSLSLSLSLSLSLSQPWCVHFCVGLRKFYIWFTSG >ONH93682 pep chromosome:Prunus_persica_NCBIv2:G8:21377633:21379643:1 gene:PRUPE_8G246600 transcript:ONH93682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDGILWCLGGGALFLLGCHIDSEARKLESVRRIRELKDFVGLLRYECRVVVAVRGRVCSATPINCEMSGLQGIIVEQRAEQYFLERIKNMDKDEDKDRDDIWMKTHKLLHSTTQEVPIYSLSLSLSLSLSLSQPWCVHFCVGLRKFYIWFTSG >ONH92405 pep chromosome:Prunus_persica_NCBIv2:G8:17647486:17650708:-1 gene:PRUPE_8G173500 transcript:ONH92405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMEEVVVEANCRPEETRATHAFRSSSPHYAQVIPITTSPPPTREEDGADWGCGELNPQDAWLPITESRNGNTISATFHLISSGIGIQALLLPLAFATLGWAWGIICLSLAFTWQLYTTWLLVHLHESESGIRYSRYLHLAVTAFGLKLGKLLTIFPVMYQSGGTCVQLIIIGGGIMELFFKNVCDVKSLTGTECFLVFMCMAIVVAQFPNLNSIAWVSFIGAITAVGYCTLIWALSIGKGRPSDISYNPPEMDSNMDTFGGIMNSIGIIFIAFRGHNVMLEIQGTLPSSSKHPSHKQMWRGVTISYALIAMCLLPLATAEFWAYGNKIPYSYGKILSSVSKFHGHKTSTFVLGLICIIVVINCLSTFQIYSMVVFDNLEFKYTSRKSKPCARWLRMVFRFLFGGVAFFAAVALPFLPSLAPLIGGMTLPLAYAYPCFMWIAIKKPQPRSGKWCINMGLGCLGLVLSVVLVVAAIWNLTDKGLNANFFKP >ONH90131 pep chromosome:Prunus_persica_NCBIv2:G8:3317649:3318528:-1 gene:PRUPE_8G036400 transcript:ONH90131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNNETHKPRMRVMMPREVFHFTIPKPEEYEHMDEEDVISESDDDFSCSSVHSMCDSCVTYETEHDHEIYSPQSDNLSSTHHQDHDHENEEIYGPEPLSPPRCAAYFDCKLCLKMATEPVVTPCGHLYCGDCLDKWLHFFTSHMECPVCHSKVFDSSIVPIKPTPVCNRDLSSGFNVPPPTPKGGLCFRRTFN >ONH91598 pep chromosome:Prunus_persica_NCBIv2:G8:15056933:15060044:-1 gene:PRUPE_8G126000 transcript:ONH91598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSVQFLSPPLSSALPCCKQTRLAAATTPLAPVSKNVEAARLEPRVEERDGYWVLKEKFRGGINVQEKVKIQKEPMKLFMENGIEELAKTSFEELEKSKDSKDDIDGRLKWLGLFHRRKNHYGRFMMRLKLPNGVTTSAQTKYLASVIRKYGEDGCADVTTRQNWQIRGVVLPDVPEILKGLAKVGLTSLQSGMDNVRNPVGNPLAGIDPEEIVDTRPYTNLLSQYITANSLGNPTVTNLPRKWNVCVVGSHDLFEHPHINDLAYMPATKDGRFGFNLLVGGFFSPKRCAEAVPLDAWVSADDVIPLCKAVLEAYRDLGTRGNRQKTRMMWLIDELGIEGFRAEVAKRMPFQELERASSEDLVQKQWERRDYVGVHPQKQEGLSYVGLHIPVGRLQADDMDELAHVADKYGTGELRLTVEQNIIIPNVENSKLEALLKEPLLKNRFSPEPSILMRGLVACTGNQFCGQAIIETKARALKVTEEVERHVAVTRPVRMHWTGCPNTCAQVQVADIGFMGCMARDENGKPCEGADVFLGGRIGSDSHLGDVYKKSVPCKDLVPLVVDILVKHFGAVPREREEGED >ONH91667 pep chromosome:Prunus_persica_NCBIv2:G8:15216779:15220132:1 gene:PRUPE_8G128500 transcript:ONH91667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDDDCAEQPLSPPGRLFLHPRWNLTIHCAIGFKNPIDIDAIKSRLKNSLLLSHPRFSSLVVRDSHGVEHWQKATHIDLDRHIIILPNPVSTASQPVDHDTAVNDYLADLSISSGLSTDKPLWELHLLMAHNCCVFRLHHALGDGVSLMSLFLADCRRADDQEKFPTLAYGKKRDKKRVNSSSGKGWWVLLIGFLSMVCSNLVFVVELVMRSLWVCDSKTEISGGDGVELWPRKLATARFRLQDMKLVKKAVPNATINDVLFGVLSSGLSRYLEQRTPNALPEGLQITGLAMVDLREQLGLQELSDMMKSNSPGLSWGNKFGMILLPIYYHKTNGPDDDPLVYLKRAKVMIDRRKQSLQAHFSYKTILSTMPYLGAKVTAWLNYKILCNTSFSISNIIGPEEEITAAGNTVTYLRVNSTTLPHALTMHMVSYAGRVDMQILVAKDIIPDPAFLAKCFEEALLDMKEAASCIATMSN >ONH91728 pep chromosome:Prunus_persica_NCBIv2:G8:15499953:15500758:1 gene:PRUPE_8G133600 transcript:ONH91728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNFNFPQNMTINVLWRGGIYSGQIPVPARIFEVKLFISEVLHLPIQPDKLDLTWGMQFLRDELTLQDYQIPPYDLGVIVENKVLRMGNTDLNDHSLLWVVGVEDGAALYLVECHFG >ONH91727 pep chromosome:Prunus_persica_NCBIv2:G8:15499953:15500758:1 gene:PRUPE_8G133600 transcript:ONH91727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNFNFPQNMTINVLWRGGIYSGQIPVPARIFEVKLFISEVLHLPIQPDKLDLTWGMQFLRDELTLQDYQIPPYGTLIVLQKIRVTIYDPEGGGFYYEYIVCDGIYVRELKAKLHADLGVIVENKVLRMGNTDLNDHSLLWVVGVEDGAALYLVECHFG >ONH92095 pep chromosome:Prunus_persica_NCBIv2:G8:16653037:16653531:-1 gene:PRUPE_8G154900 transcript:ONH92095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAINKLLLGIFSIALILNTHASQARPNKEPHQDFIDEHNRARAEVGVGPIKWNDTVAAYAQKYADSKIETCEMVHSQGPYGENLAEGYGEMTGGQAVKFWVTEKPNYDYASNTCVGDVCGHYTQVVWRNSTHVGCARAMCKNGWMFVICSYYPPGNYIGERPY >ONH92343 pep chromosome:Prunus_persica_NCBIv2:G8:17431792:17434200:-1 gene:PRUPE_8G169500 transcript:ONH92343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATLAHSSYLYKSTAPPSLLRKRAAEPKANQNSDQPKFPKGVCSIRKQSKNSNTCEVQNYALKQALREHVESGCMEDALWVFEKMNHLDTYYWNVMIRGLTDNGLFREAIDFYHRMQSEAVRADNFTYPFVIKACGGLSSLAEGQKVHGKLFKVGLDSDVYVGNALCAVYAKLGCIEYAERVFEEMPVKDMVSWNSMIGGYVSVGDGWSSLVCLKEMQVLGMKPDRFSTIGALNACAIECFLQTGKEIHCQVLKCMLELDIMVQTSLIDMYHKCGRVDYSERLFHEISTRNVVVWNAMIHGYTLNARPFESLSCLQKMQHADKLNPDAITMINLLPSCTQVGALLEGKSVHGYAVRRGFLPHIILETALIDLYGACGRMKSAERIFGQLAEKNLISWNSMISAYVQSGQNKDALELFWDLLSKHLEPDAITISSIIPAYSEVASLGERKQMHGYISKLEHNSNTFISNATAYMYAKCGNLETAQEIFDRMISRDVSSWNTIIMAYAIHGFGTKSIDLFSKMRDEGIQPNESTFVSLLTACSVSGMVNEGWKYFNSMKLDSGIDPGIEHYGCMIDLLGRKGNLDQAKIFIEEMPLVPTARIWGSLLTASRNNRNIELAELAAERILALEHDNTGCYVLLSNMYAEAGRWEDVERIKSLMRQRGLEKTVGCSFVETNCRLYRFINQDRSHVETNTIYSVLDLILRKIGEDKYVHSITKFRPLDLKRKRASSAASHSVRLAICFGLISTKLRSPVVVRKNTRICDDCHIAAKKISEMTKREIVVGDSKVFHHFIDGSCSCGDYW >ONH93727 pep chromosome:Prunus_persica_NCBIv2:G8:21522233:21524408:1 gene:PRUPE_8G249500 transcript:ONH93727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESQRVKSFMCIALFSICLLQCNPIAFAILDPVDFLALQSIRKSLNDMPGSNYFASWDFTSDPCNFAGVYCDSDKVIALNLGDPRAGAPGLTGRIDPAVGKLSALAELSVVPGRIFGALPQSISQLKSLRFLAVSRNFISGQIPAGLGQLRNLRTLDLSYNLFAGAIPTAIGTLPELSNVILCHNRLSGSVPPFASQTLTRLDLKHNDLSGSLAPNSLPPSLQYLSLSWNRLTGPVDGLLNRLGQLNYLDLSMNQFTGTIPGRVFTYPITNLQLQRNMFSGRVQPDGQVSISTIDLSYNRLSGEISPLFSTVQSLYLNNNRFTGQVPGSFVDRLLAANIQILYLQHNFLTGIQINPTAEIPVSSSLCLQYNCMVPPLQTPCPLKAGKQKTRPTAQCNEWRG >ONH92826 pep chromosome:Prunus_persica_NCBIv2:G8:18900207:18901191:1 gene:PRUPE_8G198500 transcript:ONH92826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEVLMKMVAPEKYLKMADLFSRMIFYLRLLGLHKKPSTFRSICALVEFMGHKKKFTCRHLAQIKFLLPEAIQIDAVYVQDIKTGRMKPDMNINLLFHDVFVLVQGGGQSEPSDQFEALRQLFVSRLVQFLSENPEACNVPEAVLPQPFGHNGQETHAATAIETEMLAEQVPFHIHQDFLNLKIQDDLQQFH >ONH93686 pep chromosome:Prunus_persica_NCBIv2:G8:21390818:21392272:1 gene:PRUPE_8G247000 transcript:ONH93686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNGNVSAASDSTGVCEKIFSVITVTPAFRTIRRIASVSPARSDPLNLPANSHVNAVKIVDVQISPPQPKKSAPKVVQIPITSEKTVLPPAAAAALTVHAQRITKVAKVCQGHHDQGSPKHKAELLTQPRKATEEEKQKPKYSEAQPNKATYSKAEEQEKQKPKHSESPLKGAVGEALYNHDAAVKVHEAEAPQVKNEGKKPGGLDINDTFSEYINQAKIKIRTMSSNNISESDDDAKKKESVKDHFSDYIHRAKNKFKSTPSNLGAGKSASFKRE >ONH91111 pep chromosome:Prunus_persica_NCBIv2:G8:12658346:12661846:-1 gene:PRUPE_8G093800 transcript:ONH91111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIKDVMKKSGGVAQKKESLVSNLKTQENNQEIDSGSALQLFLDNILISSIPGIKNSPVLELKTGDSVRDAIHLMHEKNVCGAPITDNTKGSFSDPYIGFIDFASIVLWFFEECGNRRRKTRAEEIGNYGVFDMLEQHPHIGQTKIGELAKSFLWNSYFPVHLDETLFHVLLLLSKHRLQVVPVIERTSSKLIGFISQHGVLLLLLQSSGLDWFDSIADKPLSEFRFGNEEHVLHVYGDQSMTEALHVLWKSQNGAVAVTDRKSKRVIGSIRKCDIYLLLENLPRNIKSLTVEEFIHMENDHKTGSDPTTERDIGALLSAGFLRLKNNFAPRMDSPVTNKKTETLKQAMRNMADTKSSFSFLVDESNQATGMLTLRDMIIHFAPPCIDSSIHGCGFFESALEQTGCHVKNGTIISDN >ONH89757 pep chromosome:Prunus_persica_NCBIv2:G8:1178611:1179606:-1 gene:PRUPE_8G014400 transcript:ONH89757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTSGDSTTINISESRNKTVAKGETPYVRIQAAHPMHDQKGGWKKGISIFDFILRLGATAAALGAAIAMGSSEQTLPFFTQFFQFQASYDDLPTFMFFVIGMGIVGAYLVLSLPFSIVTIVRPHAVAPRLLLLIFDTLALTLNTSCAASAAAIVYLAHNGNSDANWLAICQQFGDFCQQSSGAVVSAFVAVVIFVILILLSASALRKV >ONH89884 pep chromosome:Prunus_persica_NCBIv2:G8:1987326:1992349:1 gene:PRUPE_8G021900 transcript:ONH89884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATVPVNSVCASPLRSPRIPTKFVSQFLTKCSSFKLGFESLQLRTTLPGRKLVVRAARTESKGVSLGFRAPNFEIPEPLTGKVWKLEDFEPHPALLVMFICNHCPFVKHLKKGIVKLANFYMKKGLAVVAISSNSVATHPQDGPQFIAEEAKLFKYPFPYLYDESQDVAQDFGAICTPEFFLFKKDGRRPFELVYHGQFDDSRPSNNVSVSGRDLSLAIDCVLSGQPVPSIQKPSVGCSIKWHPERKP >ONH90213 pep chromosome:Prunus_persica_NCBIv2:G8:4082321:4084029:1 gene:PRUPE_8G040800 transcript:ONH90213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSDCILCRLHNDIHKIPKGEVAFIKFNTTHRGTFSWVTFNSKISRKGMKWIWAMMWTQRGTFFKDSTTSNPNTVSLPRGTWR >ONH90640 pep chromosome:Prunus_persica_NCBIv2:G8:9798641:9799529:1 gene:PRUPE_8G066100 transcript:ONH90640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNAVVFVLMATLLLASTRAQSPASSPAKSPASAPSPLSTPPAAAPSPLSTPPAASPSPSGTPSSTTPSKSPVADSPPSPPSSSSESPATSPTSSGSPVQAPTPNVAVLNRFSLAGSVAVGVFAVAMLM >ONH93443 pep chromosome:Prunus_persica_NCBIv2:G8:20663277:20667004:1 gene:PRUPE_8G232700 transcript:ONH93443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLKSAAGFLQLKKPQNPLLLRSPSQASTLSLKKFTPMAALTTTPAVGLSQTFTKLKEQGKVALIPYITAGDPDLSITAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAATTRSLSKGTNLNSIVSMLKEVIPQLSCPIALFTYYNPILKRGIGEFMSTIRDVGVHGLVVPDVPLEETEILRKEAVKHNIELVLLTTPTTPIDRMRAIAEASEGFLYLVSSIGVTGARASVNERVPALLKEIKEATSKPVAVGFGISKPEQAKQVAGWGADGVIVGSAIVRLLGEAKTPEEGLKELAIFTKSLKSALL >ONH89807 pep chromosome:Prunus_persica_NCBIv2:G8:1635895:1637091:-1 gene:PRUPE_8G017500 transcript:ONH89807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFRMFGVKDFMNIFKFLFRAVFGNAIAFFLFLVPMTTLKRIMKKNKFTEQYLSGIPYLMTLLLSAAWYGLPLVSPKNILMLTINTIGAAIEAIYLLIVFLLAPKMEKEKILELLTSALSFKQRQNPKKIKETLST >ONH90775 pep chromosome:Prunus_persica_NCBIv2:G8:10720725:10721597:-1 gene:PRUPE_8G073500 transcript:ONH90775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECASCIKLLSQGSDFGCGFFMFGRFSLVFKLLGLFLVFVLGLKVLQVGCHAKCLIEFLCDFRGKASEVRNGFCSKRGFDEVYAPKSLENSQPLNADGFAFSKKIKAKAAAEDEADDVDDDAAAADDDDDGDKEESICCNEDGEFDALALRKSVKIERRKTNKARVELEKERMAAASAAEETMAMILRLQNEKSCIEIQANQYRRMAEQKQQFDEEVIQSLQWIIMRHESERSLLQEQLTLCKQKLQQYAEVDERRQPEDGNPSANDGMDDMFDVDSWERPQNHIVDSNL >ONH93537 pep chromosome:Prunus_persica_NCBIv2:G8:20910253:20912873:1 gene:PRUPE_8G236500 transcript:ONH93537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNGTEGVEKSSTEETAFGSKGKVVGGTEQEVFFRADKIDFKSWDIQLEKHLSRGWARESEEHKQMEEWEIDLSKLDIRHVIAHGTYGTVYRGAYDGQDVAVKILDWGEDGIATAAETAALRASFQQEVAVWHKLDHPNIPKFVGASMGTSNLRIPTKSTSNDSHNSPPSRACCVVVEYVPGGTLKNFLIRNRRKKLAFKVVIQLALDLSKGLSYLHSKKIVHRDVKTENMLLDTHRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPNLSFADVSSAVVRQNLRPEIPRCCPTALASVMRKCWDVSPDKRPEMEEVVKLLYAIDTSKGGGMIPEDQSTGCFCFGKRRGP >ONH92915 pep chromosome:Prunus_persica_NCBIv2:G8:19107969:19111165:-1 gene:PRUPE_8G203100 transcript:ONH92915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSDKQQQQLSLAKSSRQQRNNEWIFRDVPSDITIQVNGGAFSLHKFPLVSRSGRIRKLVAEHRDSDISRVELLNLPGGAESFELAAKFCYGINFEITSGNVAQLCCASDYLEMTEEFSKDNLGSRAEEYLECIVCKNLEMCVEVLLQCESLLPLADELKIVSRCIDAIASKACVEQIASSFSRLEYSSSGRLHMNRQAKCDGDWWIEDLSVLRVDLYQRVITAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSGQTKVDVIPASTGHEKLVVETIVGLLPVEKLVVPITFLFGLLRSAVMLDCTIACRLDLERRIGSQLDIATLDDLLIPSFKHAGDTLFDVDTVHRILVNFSQQDDSEEDMEDGSVFESDSPHSPSQTALFKVSKLLDNYLAEIAPDANLKLAKFLVIADALPEHARTVHDGLYRAIDIYLKAHQGLSDTDKKKLCKMIDFQKLSQEAGAHAAQNERLPLQSIVQVLYFEQIRLRNSLGCSGEDEPKPMHQSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEREHVCMKRDMEKSNSRKFMSSFSKKLGKLSFFGHSSSRASTSPSRQSYRTDSKVIERTCASTD >ONH92671 pep chromosome:Prunus_persica_NCBIv2:G8:18466440:18468847:1 gene:PRUPE_8G188400 transcript:ONH92671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEREAGAVDESVEMAIVASEGPIPEPYVGMEFDSEDAARKYYTDYARQVGFVVRVMQRRRSGIDGRTLARRLGCNKQGFSPNQKGKFGPEKKPRPSAREGCNATILVKMEKSGKWVVTRFVKDHNHPLVVTASGFSTAGDKDRKIEELMMELERQDQLCAGYREKLLSFMNNVEAETEELSEKIQVIVDNVRKVESEVQKHSRRR >ONH93920 pep chromosome:Prunus_persica_NCBIv2:G8:22038473:22042096:-1 gene:PRUPE_8G260600 transcript:ONH93920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSTSRTLYVGNLPGDIREREVEDLFLKYGRIAHIDLKVPPRPPGYAFVEFEDARDAEDALRGRDGYDFDGHRLRVELAHGGRGHSSSSDRHSNYSGGRGGRGMSRRSDFRVLVSGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNFDDMKYAIKKLDGSEFRNAFSRSSVRVREYDSKRDSSRSPSRGRSHSRGRSYSRSRSRSYSRSRSRSKSPKTKSSRRSPARSRSRSASPLRSRSRSRSPLGSRSRSRSPLPSKHISKSPKKHISKSPKKRSASRSPSRSRSRSRSRSLSRYS >ONH90115 pep chromosome:Prunus_persica_NCBIv2:G8:3266440:3267444:1 gene:PRUPE_8G035600 transcript:ONH90115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVYFLISTLAILASATFLVSASDPSPLQDFCVALNDTKSAVFVNGKFCKDPKLANANDFFFSGLQNPRNTQNPLGSNVTAVNVDNLLGLNTLGISLARIDFAPNGLNPPHTHPRATEILVVLEGTLYVGFVTSNGDGNRLFTKVLNKGDVFVFPIGLIHFQLNVGHVNAVAFAGLSSQNPGVITIAKAVFGSQPPINPDVLAKAFQVDDNVVDNLQKQFWYDNN >ONH91518 pep chromosome:Prunus_persica_NCBIv2:G8:14704579:14706537:-1 gene:PRUPE_8G120300 transcript:ONH91518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKIFYSDRSESKFINDIVEEISLQVLNRTYLHVAKYPVGIESRLQDMGELLGAGVNDVRMVGIWGIRGIGKTTIAKAVYNSIVHQFEGSCFLENVRENSAAPRGLLQLQKTLLYEILGGKELELTSVDKGINVIKQRLSHKRVLLMLDDVDQLDQLNKLAGRSDWLGLGSRIIITTRHKHLLTSHQVDLVYEVKELDTYEASELFSYNAFPEKGLPDDYKNLAVSLVDYAKGIPLALTVTGSLLCGRSVDEWRAALDCYRRAPSLDTDEILKITKNALEHPEISMETALVRIDPNEEEHVQMHDLQEEMHIETARQDVREDVYIGTIGIQHQESLPEQPDGIWSSAKSFLSKVFSAEWMFLIVSLMLEIFSAICDQLSSPRKPLYALFGMGLAIMALATCIWEFIHKGRRGNVQFGKCGILLWWFYCPCSGTLFGTFPEICGLIYAITQWAYSMVQFVCVLRHKDNPFKVNILPVLFLLCLVVSKLIRNARGRTREETSEKELESIAVGS >ONH90144 pep chromosome:Prunus_persica_NCBIv2:G8:3390794:3392006:1 gene:PRUPE_8G037000 transcript:ONH90144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAVSCSAAAIPLQEKYDVFLSFSGVDTRNIFTSHLHAALLRKKIVTYIDYKLERGNEIGPALLEAIEKSKLTVIIFSKNYASSTCLNELLHILGCMEKYGQIVMPIFYGIDPSDVRKQQRSYADTFAQLEERFKRRDALTKAANLENLLVDKVVKDILTELDRKSSCELKGLVGIERIIEQIESLLCIDSRDVCYVGIWGMPVEWKADNEGTQACFEFYQLGNDSEVKAKRCGISLLYAHDADKFYVMSEEPQVEEDVEDRLTTWMEKWRRSSSKTKAAHYGRPVDYFLGGRASRGQIFPESYHCWATGCPLLGDRPVFAGQLLQLCRF >ONH90478 pep chromosome:Prunus_persica_NCBIv2:G8:6547450:6548471:1 gene:PRUPE_8G056300 transcript:ONH90478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQDFSFPTHKFPCTIDSPPLWRLSPAASSKVDDQNHSEEEEEEDDEEEIVNINVKYCDQRRKSFSYIERGTKMVEKDQESRDHDHGEEKMDMLWEDFNEELKSRSNTTSDYSGGLSREMLHLGCVKAFKLTETNGDHALSTRKPSVVAVMKVLKRLFFLHNSHHKLKKPAW >ONH91208 pep chromosome:Prunus_persica_NCBIv2:G8:13033250:13034617:1 gene:PRUPE_8G098700 transcript:ONH91208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMARTFNKGRNMASGLALWSPLLHLLVLVMCIQGTGGRKMSETQDGDGHETTHTEHAHAHHPSSHMHHHMDPSFMIFFTFEDLKEGKTRAIYFPKINPSKSPHLLPREEADQIPFSSKQLLHLLHFFSFSQDSPQAKAMEDTLRQCEIEPIKGEIKSCATSLESMLDFTRGVFGLDTPFSVVATTHLTNSTTNFQNYTILEEPKEILAPKMVACHTMSYPYVVFYCHSQKSVNKVFKVLLGGEDGDRVEAVAVCHLDTSQWSPNHASFSVLRTKPGASAVCHFFPADNLVWVPASTSM >ONH91494 pep chromosome:Prunus_persica_NCBIv2:G8:14595104:14596037:-1 gene:PRUPE_8G118800 transcript:ONH91494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAHGVKKVITATDVGRLVVTRKILAVLPPVNPGNAISINVFDSLTDRVYVFQLAGRRGRYLKPVLQSRGWKRFVNDKGIAVGDVVWFWEEENPTYQTQYRITVFKPNLFPGHPDLHGV >ONH92995 pep chromosome:Prunus_persica_NCBIv2:G8:19352212:19355274:-1 gene:PRUPE_8G206900 transcript:ONH92995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILKRFFLHTFLFYFVVGLNISSPTESASTSSSSSSSSSSHSADVELLLGNIKASLQGNTQNLLLSSWNSSVPLCQWRGLKWVFSNGSPLLCNDLSSPQWTNLSLSRDPSLHLFSLQLPSANLSGSLPRELGEFSMLESLYLNINFLSGTIPLELGYSSSLSDIDLGNNLLNGDFAPSIWNLCDNLVSLRLHANSLSGSLPEPALPNSTCKNLQVLDFGENKFSGNFPEFVTQFGGLKELDLGNNMFSGPIPASLAQLNLEKLNLSHNNFSGVLPVFGGSKFGVEDFEGNSPGLCGLPLKSCSGSSGLSPGAIAGIVIGLMAATVVFVSLLIGYVQNKKKNSRAESEDELEEEEDEESGGGGGGAGDQGRLILFQGGEHLTLDDVLNATGQVLEKTSYGTVYKAKLSDGGTITLRLLREGSCKDGSSCVPVVKQLGRIRHENLIPLRAFYQGKRGEKLLIYDHLPHRTLHDLLHETRAGKPVLNWARRHKIALGIARGLAYLHTGLETPITHGTVRSKNVLVDEFSVARLTEFGLDKLMIPSVADEMVTVAKNDGYKAPELQKMKKCNSRTDVYAFGILLLEVLIGKKPGKTGRSGEFVDLPSMVKVAVLEETTLEVFDVEVLKGVRSPMEEGLVQALKLAMGCCAPVASVRPSMDEVVKQLEENRPRNRSTLYSPTETRSEIGTPF >ONH89926 pep chromosome:Prunus_persica_NCBIv2:G8:2214889:2216528:-1 gene:PRUPE_8G024400 transcript:ONH89926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRHDHQILLVVALRLLLLLIFLVGHTQSSRTLQSQVFKVMKPNSDHNPHEGSFFAFLPKASPIPPSGPSKEHNGVGLQSSSQLSP >ONH90020 pep chromosome:Prunus_persica_NCBIv2:G8:2834311:2838673:-1 gene:PRUPE_8G030300 transcript:ONH90020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVERAGKARKHTQGPYQWAMYENWERLKQHYRDNPRELLDPLTTNNDTALNLVAFTGRKDVLEFLISLIKEAPQLHRALRIKNGQGNTTLHEVAESGNLDAAILLVRLDNRVTAELARLDLDRNGAHDEACYRPALEIQNQMGETPLYRAAAFGHTKLVKYLVSQVEDIEPHLQIHKLSILYIAVIGHHFETALWLQKKYPFLAERKKSNGFTSLQLLAQMPSAFQGNLGKSLWNRLIYKCISNGDDTIDWVPPNQNDDLESKINQPWQSSCSKKIKRWPRMRMKSEEMQKQKALLELTHLLVEKDYSWVENKKTNDVPIFTVPSQEENPISGDDDGEEEETKSIHHNDTPLFIATSTGISQIVKKMLELHPQSVEAHDVDNQQNIFHMAIKHRRLEIFKLVKKSKSVTSRMAMKIDRDGNTLLHQAAYMEYYSTSTQRVGGPALQLQEELRWMVRVQKIMPRHYTMHHNRKGMTAEQLFNSEHTELLQSAQEWMKGTAQSCSTVAALVATVVYAAAYTAPGGYDSNGLPLLRNSAFFVTFAITDTVSLISSLASLVTFLSILTSPFEYQDFYRSLPFRLHLGFTLLFFSLVTTMLTFTATIVLLIHLRKKWTTSLIYVVAFLPVPMLGLMQLPLYKGFSQGLKYILKKIRKIKFSICPARGS >ONH93919 pep chromosome:Prunus_persica_NCBIv2:G8:22035498:22037164:-1 gene:PRUPE_8G260500 transcript:ONH93919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALFSQLSDDVVLKILFKLEEDPRNWARLACSCTKFSSLIRNVCCKTKCSKAIPSVVSDLLSGSSAPPGGWAALHKLAVCCPGLLHSGVLFENSDFGLEREVGPDENYRRLDSSPAAKPQTEPSSSQIGANHEVGASGPNCSWSLFDDLYFDTVYDDSEAQVEAAQDQASVEKGGVRVGGEFSRCKKRKVCRSMRSHSASGVWNLSREQGNKLLHSRFRGDCLYICDWPFCVHIEEKRNYMLFRGIFKDFKGSQVWRTIRDGNRSKIDLNCAFCSCKETWDLHSAFCLRRVFGYHDDGEPVVRAYVCENGHVSGAWTDLPLYS >ONH90570 pep chromosome:Prunus_persica_NCBIv2:G8:8863932:8865000:1 gene:PRUPE_8G061600 transcript:ONH90570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSQQGKNKGKGKSSDNYELWTKEESDCMLELLVDGISRGWRETNGVIPRLNEKTMSPKTYNNIVSRMRWYKRQFDKMTTLMRNNFGFGWDPITNKFTASDEVWENYLKSHPSHKNLQTESVANYDDLKIMVGGATATGNGSLALGVNDTDATTFRDEEKLKFGMEGFIYDAANETFVAPSFEAPQGKTSQNKRSRSEYEGSSNSIGSTNQAKVLETISTNFEKIYVLMEKRQRNRENSIWDAMKDVPNLDDNVQYKLVELLNTKVKQDMFLKMSPEERSSWISFKLG >ONH92725 pep chromosome:Prunus_persica_NCBIv2:G8:18609649:18610519:1 gene:PRUPE_8G191800 transcript:ONH92725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFASIVLSILVPEQGRCAPSVFISTCFVFGRLKATESLAMVSILPLHFHSWHCAGYCLCGTAFKT >ONH89529 pep chromosome:Prunus_persica_NCBIv2:G8:79404:80031:1 gene:PRUPE_8G000400 transcript:ONH89529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLRSLVLTLSFLLVFLSAGSSNASLLPFVDRRPESLLVDLWSERFPDPFRVLEQIPFELDKEDGVALSPARVDWKETPEGHVIMLDVPGLKKEELKIEVEANRVLRVSGERKREEEKKGDHWHRVERSYGKFWRQFRLPENVDLDSVNAKLENGVLTLTLAKLSPDKIKGPKVISIASTGGHEDEPAQLKASEVKQEL >ONH89546 pep chromosome:Prunus_persica_NCBIv2:G8:121420:126875:-1 gene:PRUPE_8G001400 transcript:ONH89546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFRESEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGGGAGRNFRVMGSFQTGFGGGGVGSAFPSAAATFPHYAIQQGIPYNLYGYSPYSPDYTYPTNYYGLYGGTTGQYPMYGTSPAGGMVTGAAAAAAAFYPYLQYGSEGNGGAAAAAAYSTTSGVQNYATGVQYPHHHHHHLFQYSALNSTGVGGGYQHYGPPISLAPSPALQSPGVTMALPAPIPHR >ONH89547 pep chromosome:Prunus_persica_NCBIv2:G8:122960:126419:-1 gene:PRUPE_8G001400 transcript:ONH89547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFRESEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGGGAGRNFRVMGSFQTGFGGGGVGSAFPSAAATFPHYAIQQGIPYNLYGYSPYSPDYTYPTNYYGLYGGTTGQYPMYGTSPAGGMVTGAAAAAAAFYPYLQYGSEGNGGAAAAAAYSTTSGVQNYATGVQYPHHHHHHLFQYSALNSTGVGGGYQHYGPPISLAPSPALQSPVCFAVPQA >ONH92011 pep chromosome:Prunus_persica_NCBIv2:G8:16342187:16344134:-1 gene:PRUPE_8G149600 transcript:ONH92011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKEEKEERKRSFLKNGSMLLEDLIASCDGKSHPIRCYSAAELNRVTNNFDRSCFITDGTYFDIYRGILDDRTVIIKKHYIVDWAIRDIIISMQMSAHKNSLKLLGCCLEFDTPALVFENAGKGGLNNDGSLAVDNELLPWKTRLRIAKQLASALTYLHTAFPTPIVHRNLRPTCILLDDDYVPKLFDFSFSITIPPDQLYVQDNFAIGTSAYMDPTHRNSRRSSERTDVYIFGVLLLVFLTQRAASRRHGAEIELLIGDSKLNVPGGQIQIETIADPKILEEVVGDEQAQQQLQDFLALALLCIQDENEARPDMIDVAKELVRIDKSILP >ONH91122 pep chromosome:Prunus_persica_NCBIv2:G8:12731478:12734383:-1 gene:PRUPE_8G094600 transcript:ONH91122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRVLIIGVTGNLGHHLAKATLQFSHPAFALVRPSSFSDPHKSHKLHSLSNAGVTLLQGSLEDEESLMAAVKQVDVVICAVSAKQVLLQKLLVQVIKKSGCIKRFIPSEFGLDPDKTRISDMDYNFYKQKSEIRRFVEAHGIPYTYISCNFYMSYLLPQLVQPGLKVPPRDKWCGLSAGVFVKESDVAKFTIRTLDDPQTLNKVLYLRPPGNVYSMNELVELWESKIGKKLEKVFVSEQELLKKIKETPYPDNMEMIFIYSAFVKGDQTYFNIESSGGLDGTKLYPEQNFTTISEYLDTLL >ONH90466 pep chromosome:Prunus_persica_NCBIv2:G8:6467491:6470844:-1 gene:PRUPE_8G055500 transcript:ONH90466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRWSEKSSKLLKQCGIGRVLFREPDGHWRTVALPPVCPDNINHLVSGTLVNMDTLHLVYPPPIYPFKVNRQKVLKGPPLDFTYSVKSFTGRRCTGSAMRHQSRNKTWQIKLLNGIHTRVSCYGDMHTKCYSDMYDSFVLDSISIGSNSGDRTNAGHDEKHAEKEIFKTDF >ONH92163 pep chromosome:Prunus_persica_NCBIv2:G8:16863325:16865814:1 gene:PRUPE_8G159500 transcript:ONH92163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGDKSDAADETMVAKAEKRPISTILIVLVMIFASNLTAMQSEALPVVNKLNLSEDLQSVFPKGVPWVRYRGFYKDLNINLVWPGKDSSLGVDCVGTVPASLVTYASIQALQPDLIINAGTAGGFKAKGACIGDVYVASDVAFCDRRIPIPVFDLYGLGLRQALSTPNLQKELNLKDEASMVANDAVVKDMEAAAVAYVADLLKVPSVFLKVVIDIKDGEETTAEESSQRFAALERAVTQVTDFINGKCLSDL >ONH91089 pep chromosome:Prunus_persica_NCBIv2:G8:12497864:12502404:-1 gene:PRUPE_8G092000 transcript:ONH91089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGDTMPGSLDRHLKPVHEETSEDERKTRLGSLKQKAINASSKFRHSFTKKGRRNSRVMSVPIEDNIDAEELQAVDAFRQALILEELLPSKHDDHHMMLRFLRARKFDIEKAKQMWADMLLWRKDFGADTIMEDFDFKEIDEVLKYYPQGHHGVDKEGRPIYIERLGQVDSTKLMQVTTMDRYVKYHVREFERTFVCKFPACSIAAKKHIDQSTTILDVQGVGFKHFNKSARELIQTLNKIDGDNYPETLNRMFIINAGSGFRLLWNTVKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCMRSDKGPWSDPDIIKMVHNGEGKCPRKSLSGIEEKIISEDENAYSKRYDSLKSKTVQEVDVHCQVEHQRLSHVPKEVPVAKKGNLGYEHDNFRPMVGKTVDSTWPKSVHYDKFALGGDCFSVHDACKVPEGLTNQLLSAIMAFIMGIVAIVRLARSMPRKLTEATLYGNPVYYNGMMVKGQPLPAPAISSNDYMNMMKRMAELEEKVNAVSSKPAVMPPEKEDLLNVALNRVNALEQELSATKKALEDTLVRQDELIALLEKKNKKKKKRSKFNPFKW >ONH91514 pep chromosome:Prunus_persica_NCBIv2:G8:14671277:14672020:1 gene:PRUPE_8G119900 transcript:ONH91514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRICWAVKFRKKNLDHVRSLSVKEAFLRMEAQIRILFWLLLRLESFRYWGLQLWRSSQSCFLSCTFYYWQLVCAHPNTQDR >ONH92210 pep chromosome:Prunus_persica_NCBIv2:G8:17028309:17030821:1 gene:PRUPE_8G162900 transcript:ONH92210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVKEILARPIQLADQVTKAADEAHSFKQDCAEIKSKTEKLAGLLRQAARASNELYERPTRRIIDETEQNLDKALTLVIKCRANGLMKRVFTIIPAAAFRKTAQQLENSIGDMSWLLRVSAPSGDRDDVYLGLPPIASNEPILCLIWEQVAILYTGSGEDRADAAASLVSLAKDNNRYVKLIIEEGGVPPLLKMAKEGGQEGQENAAKAIGLLGQDPESVEQIVNAGVCPVFAKILKEGHMKVQTEVALAVSEFADRHPKCQDPFAQNNVIRLLVSHLAFETIQEHSKYVIPNKQQLSIHSIVMANNNPNRNEYDHNKHPGGSTAHVSHPITGNHNPINQMQSVVNSTLASKKSPSPSPGHGHDQSPGPGHGQGMKQPGLHNQNQNQNQNYNVSPHHHHPHPNHNNAKPHHPNALSGASIKGREYEDPETKAKMKAMAARALWKLAKGNVAVCRSITESRALLCFAVLLEKGSEIVQEYSAKALMEITAVAEQNVDLRRNAFKPTSPACKAVVEQLLKIIEEGNSHLLEPCIQAVGNLARTFRATETRLIEPLVKLLDERETDISAAAVIALNKFACTENFLHLNHCKAIIDAGGAKHLIQLVYFGEQMVQIPSLILLCYISLHIPDSETLAQEEVLIVLEWSSKQAHLVNEPSVETLLPEAKSRLELYQSRGTRGFY >ONH90441 pep chromosome:Prunus_persica_NCBIv2:G8:6139665:6142888:1 gene:PRUPE_8G054100 transcript:ONH90441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRSAMEEHMEQMADLVQKLSAELRSGLKPALDNFLGFFHAINWKEPWLMGLIGSHFVLLIVAITSRKNLNFQMFLFLLALAGVYLAERLNSLLGENWKSFSTQNYFDPNGVFLSSVWSGPLLIIAIIILINTLFSLCRLIVRWKRAELRHRARLSQNKQD >ONH93456 pep chromosome:Prunus_persica_NCBIv2:G8:20711762:20715713:-1 gene:PRUPE_8G233400 transcript:ONH93456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGMVQDQNLEKHIEKQMGCMAGFLQIFDRHQLLTGKRLYSTKRLPSSAAVVASPEPESTTRSPEISKELEKQPLNITAPSPDRSKQTPPLTELRSPSPEIRIPSSPEVNPKSPLPLPVFEFKEGTRASWKFSREAPRLSLDSRATVDAKGSLYPREIRTNAAIMCASRNSADGGAESDKQHRSPSVIARLMGLEPLQHLDPEPIKLRRSASESRVNRDLNQYRFVDGNTFQQKQPQQQNLQSNNISSNVGKEDRSSNRGSNARQLDPKALNNAKAQSKGMGQRKSFFDSADFFPEPKQSVSVYNEIEKRLRMRGIDEPSKDLETLKQILEALQLKGLLHSRKAANQINSRNFVYDRSFGSNESPIVVMRPSRSPSSSHRAARFGNESPPSSSFRSRPGVRRNGEVSPAVSPRRDRPENVRGQSRGRSPSAPTRRENGVKSPSRRGPLVIETQRKGNDSVEHRRVSPVNSPKVSGRKVGSVSDQPSTNRSPRNIKRPTAEMSHPAEDESSTTMSESSISTSSQADTERWKVEENKDGRSLLERCDKLLHSIAELQPSPVSVLDASFYKEESSPSPVMKRSIDFKVEMEDMWGQAMWGTESELEDCDFVYVSEILQAANYLPEESDIFLLLEKQQHLKGKDTSRVSTLERRLIFDTITEILDRNRQLPPWKVVSSQASLPEIWSEFRRIRKRDESEDLFEVICGVLKKDLAGDAFNRWGDCSIEMSDAVLDIERLIFKDLIGETIRDLATFPTNASKVLAPCRKLVF >ONH93795 pep chromosome:Prunus_persica_NCBIv2:G8:21670736:21673787:-1 gene:PRUPE_8G253000 transcript:ONH93795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSIFQDMKGEFGSISRKSFDVKFGIRSRSQRVVQDTTSFRVDALKQSCWANMPPELLRDVLMRIEASDDTWPPRKNVVSCAGVCRNWREIMKEIVKSPEVSGKLTFPISLKQPGQRGSLLQCYIKRSRSNQTYYLFLGLNQASTDDGKFLLAAKKCRRPTCTDYIISVNAEDVSKGNSGFVGKLRSNFLGTKFTIYDAQPTNTGAKVTKCRSTRLVNMKQVSPRVPAGNYPVAHIAYELNVLGSRGPRRMQCVMDAIPARAVEPGGVAPTQTEFLHSNPDSFPSLPFFRSTSTRMENFESGENGGMLVLRNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPENGVAAGQEHENVILQFGKVGKDVFTMDYQYPISAFQAFAICLSSFDTKIACE >ONH90882 pep chromosome:Prunus_persica_NCBIv2:G8:11404019:11404243:-1 gene:PRUPE_8G080400 transcript:ONH90882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVNAKRSLIRSLSSSSQTDDSKTLDIPKGYFAVDVGKSQKKRFLIPISYLNEPLFRDLLSQAEEEFG >ONH91050 pep chromosome:Prunus_persica_NCBIv2:G8:12342656:12343335:-1 gene:PRUPE_8G090300 transcript:ONH91050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDNMRAFDMGTLRTNLPQKRSGLSRYYSGKSRSFTCMDDVRSVEDLKKPEHPDAKKRKKHSERKNFPVPTYPPYPCRRVSSTTQCAAPCVGV >ONH90071 pep chromosome:Prunus_persica_NCBIv2:G8:3095534:3096653:1 gene:PRUPE_8G033100 transcript:ONH90071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLTASHIYTTDILRFMRIYAYTSYRMKMTSCLKKVVFLLLLSSLAAQQHLCAADPTDGFTRVQFTDENRMLQKPYDKAPEDRYSKVDGVEKFWIYTNDKPFKEGSPTRPRTEIRITGHDYTSGVWQFEGNFFVPQGTSGAIIMQVFGAANEATTLQLRVVNGDLKYYGNSVVAANIYSRWVRLNVIHNVGAGKVTIFIDGDQKLVVNDHGRATFYFKYGVYGALSGSSNYMESRWKGIKLFKK >ONH92040 pep chromosome:Prunus_persica_NCBIv2:G8:16411273:16414021:1 gene:PRUPE_8G150900 transcript:ONH92040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGEDDEGDDY >ONH90008 pep chromosome:Prunus_persica_NCBIv2:G8:2701897:2705652:-1 gene:PRUPE_8G029400 transcript:ONH90008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTEEMEEMEDIGKALRQIQEPYKWVMHGKWEPLKEFYKNHPAEVVQQLTTNNDTVLHVVAVAGRSDVLEFLIDLIEDPEDELYAFKVGNNYGNTILHEVAVSGNPEAAKKILMSEKNKVKDDSHISILRIQNRLGETPLYRAAAFGHTKLVKYLKKEVKRQQQQDIEHQQQDIEQQRLHIEQQQQDIEQQQQQDIEQQQQQDIKKQQQEKAMEYHFNRKHDNMSILQIAVISQHFETAFWLLKRYPNLANRKERNGLTCLQLLAQMPYAFEAKFRKSIWKMLIYKWIYPSTEHLESTINQPSQSGCSQNITRWRPIRDIFNEIKNQKFLSKLTRSLVKEDYSWSANATPNFNTFTLVCPKKSDDSPQKTSESTLKHAPLLIATCTGISKIVKKILDSDPQAVEMLDPATQQNILHMAIKYRRKAILHMVQKDKSITSRLADRIDINGDTILHHAAYVISYPVDAQGSIGPAFQLQKELRWMARVEKIMPCHYAMHQNNQGLTAQKLFEKGHADLLNSAKTWIKETAQSCSTVAALVATVAYAAAFTAPGGTDNYGVPVLRHSIFFVTFAVSDIISLILSLTSLCTFLSILTSPFEYKNFHRSLPFRLHLGFALLFFSLVATMLTFTAAVVLLIHHQKMWTTSLIYVVALLPVSVFGLSQFPLYGGFLQCVKYISKKTLQCVKYISKKTGETINQLHFQGNGR >ONH91857 pep chromosome:Prunus_persica_NCBIv2:G8:15849080:15849583:-1 gene:PRUPE_8G140000 transcript:ONH91857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIECAEKVDFSKIYSRILQIAMTEKLSNAKMPKSIFVFTYKEFDKASKNDWALDYKDAWNNYKKRGYATVPQLVFWNLKDSIAALEVIGSPVKIHNAGIIITGFSNTLLRLRLTPNAEDVMKWAVSTEELRSLFIVD >ONH92722 pep chromosome:Prunus_persica_NCBIv2:G8:18587742:18588032:-1 gene:PRUPE_8G191500 transcript:ONH92722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRGSIAICLSNSTMLVGWCRPRRRLRRRRGSTIWLGNKRRGFGLGSRPALQWGAVVSPIRMLKKMFMEMVPNERWIEAYNWSLPFLRPQIFPLC >ONH92101 pep chromosome:Prunus_persica_NCBIv2:G8:16682263:16686338:1 gene:PRUPE_8G155500 transcript:ONH92101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYIRKAFITCLHYLLPSFLSAVSSSFRRCSALISRLKFAAMPSPGLQTNSDSIQSHDADHEKYADVNWDELEFGLVPTDYMYTMKCSKGDEFSQGHLTPYGNIELSPSAGVLNYGQGLFEGLKANRTEDGRFLLFRPEENALRMKMGAERMCMPSPSPWQFLDAVKQTVLANKRWVPPTGKGTLYLRPLLIGSGSVLGVGPAPEYTFLIFASPVGSYHKGLAALNLYVEDKLHRATPGGTGGVKSITNYSPVYLAQNQARAKGFSDVLFLDSLTGKNIEEITACNIFILKGNVISTPTIHGTVLPGITRKSIIDIARDFGYQVEERVIPVEDLLEADEAFCTGTAVVVTPIGAVTYQDKRVEYKTGKGALSQKLYETITGIQTGRLEDKKGWTMEIN >ONH91296 pep chromosome:Prunus_persica_NCBIv2:G8:13560542:13561369:-1 gene:PRUPE_8G104800 transcript:ONH91296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTLCLIPTPSSSSSSLTTSNPHPPSHFIKFRTSYRENLRYLKTLRIISPETNPKKLPLPDATDQILSTVNFLKSKGFSDPDFPRLAFLSPKLFSPTLDPTDIAPVFDFLAAELPADPSSSCGLILRCPDLLFSDVEFCLRPTLHYLKQLGLDKLGAPTNLNAHLLNTRVEKLKRKMRFLRSLTLSYEEAANVCVRLPAIFGYSVEDNLRPKYEYLVKEMGRSVDELKKFPQYFGFSLEKRIVPRHLHLKERNVEIPLNRMLLWSDQKFYAKWK >ONH90254 pep chromosome:Prunus_persica_NCBIv2:G8:4441102:4441551:-1 gene:PRUPE_8G042600 transcript:ONH90254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESMAEKILERGPWSVMRNCFSVKRWPGQLAIKETDTEMVPFWVQARGIPLNLYMKENAEKIGGKIGKLLEYENPNMTRGFVRIRVQINTTKPLPPGFWLTRRDGSESWVEVQYERLSDFCYNCGWIGHCNTECSYERQESGAAGYGVWT >ONH89712 pep chromosome:Prunus_persica_NCBIv2:G8:931783:933514:-1 gene:PRUPE_8G011400 transcript:ONH89712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALISPKLLLFGESLSFHKFPHVSRNAWFHRSVCSLSLASLGLKNDHETVETGKINEAKLLNSLTEVPKSKAKGVRSHVVKAAGQHRSLEIDSTIFNAKSFFELGLPPLLIERLEKEGFKTPTDVQATAIPTILKDHDVVIQSYTGSGKTLAYLLPILSEVGPLKTRTSDGDGDGEPGKKTDIEAVIVAPSRELGMQIVREFEKILGPANKKVVQQLVGGANRSRQEEALRKNKPCIVVGTPGRISEISAAGKLHTHGCRFLVLDEVDQLLSFNFREDMHRILEHVGRKSSGDSHGPKGSLAKRAERQTVLVSATVPFSVIRAARSWGHDPLLIQAKKVISIESISPSGPINLSGQSTSSDPSSNVQTQAAIQSLPPSLKHYYCVTKLQHKVDTLRRCVHALDAKSVIVFMNHTKQLKDTVFKLEARGMIAAELHGDLGKLGRTTTLKKFKNGEVRILVTNELSARGLDVAECDLVVNLDLPTDSIHYAHRAGRTGRLGRKGTVVTICEESEVFVVKKLQRQLSVPIATCEFTEGKFVITEEEKALPALR >ONH90192 pep chromosome:Prunus_persica_NCBIv2:G8:3967151:3968717:1 gene:PRUPE_8G039700 transcript:ONH90192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNYQPWEEDSLRSEYYVQSTAEGVDVAETRWGARLAMLEARVTRVEARVERLEAKMLHLQKGMRVVCALFLVTLMYAIFK >ONH89812 pep chromosome:Prunus_persica_NCBIv2:G8:1655208:1661723:-1 gene:PRUPE_8G017900 transcript:ONH89812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSINNKARPFGGQHHHQQPLCTRTHQIGALLLVAATFFFTSLFDRSFRPCASHFSTVDLIPTSRSSVHVSDGRDLLWPHRGYGPHLDLKIYVYDDREIDGLKALMRGRDGTIDSSTCLKGQWGTQVKIHRLLLKSRFRTQKKGEADLFFVPAYTKCVRMMGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWVTYINRSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVEDGMTTNGATLVHPLPLSKRKFLANYLGRAQGKVGRLKLIELSKQFPDKLECPELKFSGPDKLGRIDYFEHLHNAKFCLAPRGESSWTLRFYEAFFVECVPVILSDQVELPFQNVVDYTQISIKWPSTRIGPELLQYLESIPDENIEGMISRGRQVRCLWAYASESASCSAMHAILWELQRKVRLFHQSTETFWLHNGSVVNRNLVQFSDWRPPMPLP >ONH89811 pep chromosome:Prunus_persica_NCBIv2:G8:1654369:1662106:-1 gene:PRUPE_8G017900 transcript:ONH89811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSINNKARPFGGQHHHQQPLCTRTHQIGALLLVAATFFFTSLFDRSFRPCASHFSTVDLIPTSRSSVHVSDGRDLLWPHRGYGPHLDLKIYVYDDREIDGLKALMRGRDGTIDSSTCLKGQWGTQVKIHRLLLKSRFRTQKKGEADLFFVPAYTKCVRMMGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWVTYINRSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVEDGMTTNGATLVHPLPLSKRKFLANYLGRAQGKVGRLKLIELSKQFPDKECVPVILSDQVELPFQNVVDYTQISIKWPSTRIGPELLQYLESIPDENIEGMISRGRQVRCLWAYASESASCSAMHAILWELQRKVRLFHQSTETFWLHNGSVVNRNLVQFSDWRPPMPLP >ONH89813 pep chromosome:Prunus_persica_NCBIv2:G8:1654369:1662106:-1 gene:PRUPE_8G017900 transcript:ONH89813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWVTYINRSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVEDGMTTNGATLVHPLPLSKRKFLANYLGRAQGKVGRLKLIELSKQFPDKLECPELKFSGPDKLGRIDYFEHLHNAKFCLAPRGESSWTLRFYEAFFVECVPVILSDQVELPFQNVVDYTQISIKWPSTRIGPELLQYLESIPDENIEGMISRGRQVRCLWAYASESASCSAMHAILWELQRKVRLFHQSTETFWLHNGSVVNRNLVQFSDWRPPMPLP >ONH91712 pep chromosome:Prunus_persica_NCBIv2:G8:15447060:15451720:-1 gene:PRUPE_8G132200 transcript:ONH91712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRFSRDCDACDGDGQCEFCSVEFHLRAKCYSDQTLDVTSKDLLSSDHTVVPVERDYHCEVAKGARAESSAATVTFMYEPDIQINEELMDMLTLDEKKAWVESSPAKVFDFDPKTEKGTLIEWCPRLQRTFHWLVPLLREEGNLLRGIHDEITSIKDLLESMISFLKDADAKVERATMSSGVKTWVKQTREMGTHIEDVIDEYLRHVARHRNKHGFSGFILITNHFVRGLIARHEIASEIQLIKKRVLQIQQTSEAYRLNSTEQTSFSSSRRDDMLFDPRMASFYTNELVGIQTLRDKLIGWSIGGEVESRHSVSSLVGIGGLGKTTLAKKVFDNPKLTEWFDWRPWITVSQSYKNEGILRNLVEFCNHVSEYVFVLV >ONH91935 pep chromosome:Prunus_persica_NCBIv2:G8:16093693:16096240:1 gene:PRUPE_8G144700 transcript:ONH91935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSNHKTKSKPTSKGPPCFSEFGQKAKDLLTSGYSRSQKFSFTTHSDGGLKITSTAAKKGGCSTAAVAGAYTYKNANFDCRIDTDSKITGTLTLNEKFLSSTNTSASFSLPDYQSSKLRVRIHQEYAALSTSVALNKSPAITFSAAVGSSSIALGMETEYKTASSSFSKCSAGIYMKSLNSDASIILANKGDLLTASCVLYLNKQKKNAAVVEFTQKLSTKRNTLTVGGSYAVDHQTVVKARLDDHGEFKTVLQYNVRPKSCLAISGEFNTKAPDKIPKIGLALSLVL >ONH93088 pep chromosome:Prunus_persica_NCBIv2:G8:19593029:19595785:1 gene:PRUPE_8G212300 transcript:ONH93088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDYKRLESSSNPRRILRQTPLPMEGSPRLGPKGLIKKHEFVRILIQCLYSIGYEKSASCLELESGISCKSDDFQLLESQISSGNWDGCVDTLNAIKDLTDDTRAAALFLVFKQCLLEYLSCGDDKLAVAILQKEVSALQVDRDKVHNLARSILSWKEVEQSKIDDNAVRELRKKLLQELEKWLPSPITLPEHRLEHLVETAVLSQIESCMYHNLSDEVSLYADHSCSRDQIPTETVQILTEHKNEVWFVQFSNDGEYLASSSSDCTAIIWKVPEDGRVTLKHTLRSHHNPVSFVAWSPDDSKLLTCGNVEVLKLWDVETGTCKHTFGDPGFIVSSCAWFPDSKRLVCGSSDPEKGIYMWDCDGNELKAWRGMRMPKILDLAVTPDGQNLISIFSDKEIRILNVGTNAERVISEDHSITSLSISGDSKFFIVNLNSQEIHMWDVAGEWVRPQKYMGHKQSKYVIRSCFGGLNSTFIASGSENAQVYIWNRRNHRPIEVLRGHSMTVNCVSWNHRRPQLLASASDDHSIRIWGSSLSNKIQP >ONH89754 pep chromosome:Prunus_persica_NCBIv2:G8:1154046:1156168:1 gene:PRUPE_8G014100 transcript:ONH89754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVDVVIVGAGPAGIATSACLNRLNISNVVLEREDCYASLWKKRSYDRLKLHLAKQFCELPHMPFPPNAPKYVPKDQFVEYLDSYVSHFKIQPLYHRVVETAFYDADVEKWHVIVKNTSLDAQEIYLGKFLVVASGENSEGYIPQVQGLDSFGGEFMHSSKYENGKKYSGKNVLVVGCGNSGMEIAYDLSNSGANTSIVVRSPIHVLTKEIVFLGMVMAKYIPINLVDNVMVILSKLRFGDLSKYGIRRPKSGPFFLKEKGQAPIIDVGSVNKIRTGELKVVPSITSIQGDNIEFENGCKDRFDAIVFATGYKSTVLNWLKDGHNLFNDDGMPKQSFPNNWKGKNGLYCAGFSRRGLFGISHDARMIISDISFALDQNKKKS >ONH93668 pep chromosome:Prunus_persica_NCBIv2:G8:21331704:21332399:1 gene:PRUPE_8G245500 transcript:ONH93668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVSGEADPATILVTIAKLKIRTELVSYKDPRAAQKKKQAQRLKNTQNHHHHPKAKDTKKIIDHHNDHNHDHSNSDSDSDDQIDGDDHGRRHKIKKPANVNDPFVAPHGKGNFPKPKPLGPKNNWARGMYGRPPPGPPPAFPLPPWPPMPPHAYGGYGHHSSMAPLPRPPPHAYGGNGHHSSMAPLPRPPPPLQMYPYPYYHRTKEPPVGNSMLHYFSDDNTSSSACTIM >ONH91427 pep chromosome:Prunus_persica_NCBIv2:G8:14202182:14202409:1 gene:PRUPE_8G113700 transcript:ONH91427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFCKEDDARWLQLEKRRRLSRRRRRSLGLARKTTLAGFSKEDDVGWVLQGRRRSLAVGLFGQFLIFLIFKIVK >ONH92256 pep chromosome:Prunus_persica_NCBIv2:G8:17200504:17201852:-1 gene:PRUPE_8G165600 transcript:ONH92256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDHLSDLFDCSGGSSRHRKRKQFQTVEIKVKMDCEGCERKVRRSVEGMKGVTQVTVERKAHKLTVVGYVDPGKVLARVAHRTGKKVEFWPYVPYDVVAHPYAAGVYDKKAPSGYVRNVEDPQLRNLARASSTEVRYTTAFSDENPAACAVM >ONH91731 pep chromosome:Prunus_persica_NCBIv2:G8:15516577:15517799:1 gene:PRUPE_8G133900 transcript:ONH91731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIYVVCRGIVYPALLIPFTTRIIDLKQLIWQVFQVPILPERQELSCGQLLRDELTLQDYGIPPNAAIHVLVKIHVRICLSSQGPYYDYVVHEGTTMGDLKARLHADHGVVIENKVLRTNTTHHDDRTQLWAAGAVEGTELYLDDPRPRGRPRRGG >ONH92212 pep chromosome:Prunus_persica_NCBIv2:G8:17041434:17045495:-1 gene:PRUPE_8G163100 transcript:ONH92212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASADKVVDTVIVGSYVEMETEGKPKSVKSKLSKFFWDGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISSLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLVMTTYTAWYLTIASFLHGQVEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLYATLYVLTLTLPSASAVYWAFGDMLLNHSNAFSLLPKSPFRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSLCKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHIFTYKSAAARENAVERPPRFLGGWIGSYTINVFVVVWVFVVGFGFGGWASITNFVHQIDTFGLFTKCYQCPPPPPPMVFNTTSAPAPIHHPHPHRP >ONH93657 pep chromosome:Prunus_persica_NCBIv2:G8:21293852:21295203:1 gene:PRUPE_8G244600 transcript:ONH93657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNRRLRPNPPSQRSDSDDLGILNERILFLVFRSMKWDIQALCSTASVNHKLRAIVKRLLWRELCAHSAPRMIASLENAVPSGRIGGGWHTLAKLMFFCCGCEPTRNFKVSRPTPGHFVKSSRFSKTSGQSFLTKRCRGDLLYVSDPCEHQMGEKEDDLGIYRGVFKGFDKSKTRAYLIGRRVKLEERVRCPYCGAPEWSMTTAGLVPKSAARRLGSHHGALEYFVCVNGHMHGSCWLVPLSSDDNEDDEMDGSDDSDFDDNDGGVRRIGYDDRTATNGSMCSMGEEVVVDGPAK >ONH93749 pep chromosome:Prunus_persica_NCBIv2:G8:21557253:21560980:1 gene:PRUPE_8G250200 transcript:ONH93749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLFNLAPNLTVSKLCFGTMTFGEQNTFSESFGLLDQAFQVGVNFFDSAEMYPVVQRAQTQGRSEEYLGRWIRERRVPRNSVVLATKVTGPSGQMTWIRDGPKCLNAKNITEAIDGSLRRLQTDYIDLYQIHWPDRYVPMFGEIEYDPTRQFCSIPIDEQLDALGRAVDSGKIRYVGLSNETPYGVMKFVQVAERGTRHPKIVSVQNSYSLLCRTFDSGLAECCHHERISLLAYSPLAMGILSGKYFLPDGGPADARLNLFRGKYSEGESRYNLSDHIIRAAAMEYIDIAGKYSVHPVSLAIAFVLSHPLVASVVFGATKSWQLQEVLDGCKVELTPEVITEINKIHERFPNPCP >ONH93650 pep chromosome:Prunus_persica_NCBIv2:G8:21275309:21275785:-1 gene:PRUPE_8G244200 transcript:ONH93650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPWGRVDERSLSRAEGMGMAAMAIPTTRSSLKLRPWTRCSKHIREQRARLYIVWRCSVMLLCWHD >ONH92074 pep chromosome:Prunus_persica_NCBIv2:G8:16560216:16560491:-1 gene:PRUPE_8G152800 transcript:ONH92074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIVKWRRTIKELRCYYSFNILVVSHGLHLNFKPSLKCIYMLYKNRKHNDYLISTNEIKSISSYPFPLGNEETDENEAGKCMFSIESCKW >ONH90869 pep chromosome:Prunus_persica_NCBIv2:G8:11279560:11280268:1 gene:PRUPE_8G079100 transcript:ONH90869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWLPSIVHAKKNQTPSKSLDVPKGYFAVYVGESKKKRFVIPVSYLNQSSFQDLLSLAEEEFGYDHPMEGITIPCSEDAFLDRTSGFSV >ONH91838 pep chromosome:Prunus_persica_NCBIv2:G8:15777391:15778399:-1 gene:PRUPE_8G138600 transcript:ONH91838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYFPLLLLLCFLLLGSSLMDPAMAQAKSKYCSDKCSTRCAVAGVQDRCIKYCGICCEECKCVPSGTYGNKHECPCYRDKKNKKGKPKCP >ONH90805 pep chromosome:Prunus_persica_NCBIv2:G8:10992571:10993381:1 gene:PRUPE_8G075400 transcript:ONH90805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFSLQFLNWQRRERGFLAGSRAGLEVFWVERKTKGEREEERERTRTVRQPPLASLRTLLPLLIRRAQEEDLQWLQVNLDRVLENWACRLCN >ONH92066 pep chromosome:Prunus_persica_NCBIv2:G8:16514136:16514852:1 gene:PRUPE_8G152000 transcript:ONH92066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKKPSQGRQKIAIAKIEKRSNLQVTFSKRRSGLFKKASELCTLCGVEIAIIVFSPANKPFSFGHPEVDSIVDRFIARNPNPNPLGLAGSTDTTQQLAEAHRNSSVHELNMQLTQIVNQLEAEKKHGEALDKMSKASQNQCWWEMPVDELGLHELQILKASVEEIKRNVNKQANRILMESSYPSAAANYSSSPLSMMNNIGGLVQRDIHDHYRFKSKNPTDQIEPAYNFGYAGHGLF >ONH91076 pep chromosome:Prunus_persica_NCBIv2:G8:12462909:12463760:-1 gene:PRUPE_8G091600 transcript:ONH91076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGTSSGSSMLQNSGSEEDLQVLMDQRKRKRMISNRESARRSRMRKQKHLDDLMAQMAELKKENNQIITSVNITSQHYMNIEAENSVLRAQADELSNRLQSLNEIASFLNASNGVFAAGDSSSFNEPTDSFFNPLNLSYLNQPIMASAAEMFHY >ONH91711 pep chromosome:Prunus_persica_NCBIv2:G8:15441862:15445500:-1 gene:PRUPE_8G132100 transcript:ONH91711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAVNSVIDKLVALLRDEGNLLIGIHDEVTSIKDILESMMSFLKDADAQAERANLSSSVKTWVMQTRQMASHIEDVIDDYLHLVAYRGNKRGLGGFLRKSTHLVMGLFARHEIASEIQRIKKRVLEIRATSAAYGFNSTQQISFSSSMRDDMIFDPRMASLYTEEAELVGIQTLRDELIAWLIDGEVASRRSVISVVGMGGLGKTTLAKKVYDNPRFVEWFPWRAWIPVSQSNKNDDTLRGILTELHRTVNKTLPEGIKTMDWRLLIDTLRGFLKEKRYAIVFDDVWSINFWECLKLALPDNNNGSRIIITTRISEVAASCREALYHLEPLSQALAWQLFCKKTFQDSEGRCPPELKQFAITIVNKCGGLPLAIVAISGLLSTRCGDVYQWRKLHDSLGSELEFNPHLTNVTKILSFSYHDLPPQLKPCFLYFGTYPNNCTIRCATLIRKWIAEGFIKEQRDKTLEEVAEEYLTELIQRSLVQVSYVDDRGMRRECQVHDVMREAVILLKIGNLSFSQFLQEDSTFNSNMRHLSVGRNAYNVFGGIKNSRAHSLCFFHGIGGPENPFTCCRNLYKRFKFLRLLDFEDSPLDNLPDEVGYLYHLRYLSLRKTSVKILPKSIGKLVNLETLDLKLSLVHEIRNEITKLPKLRNFLAYTKINKRKFTWTGLAKAVVIQEGIKGWGNLQKLHLVEATDNVDKEIGNLRQLRRLGLDKLTTKQGKDLCASMGKLSHLKSLEVRTINGDEIIDLQCLSPPPQRLQTLILGGRLEKLPDWIAGLGFLTQLRLCGSGLVGDHGTLKVLQGLPMLLDLRIAEAFSCEELHFDGGFSKLESLMLCTNPFKFMRIHKGALPLLKSLWIQSSPQLRQVPSGICNLKNLKRLEFVDMPTHFIDGIQVQETEHRVAMAHWLTLVFGHLF >ONH90127 pep chromosome:Prunus_persica_NCBIv2:G8:3302276:3302895:-1 gene:PRUPE_8G036100 transcript:ONH90127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPKEKKLRNRVVFDNFTPQLQQTFKAIFDQVNERFSLKHLITPSTTRSVHPSAQAPWAAPAEPFVKINVDAAWRKSTCRAGAGIVIRNFEGRFLGAKSVDFQAENALIAEATALWEGCKFAKERGHNMVCFESDSLELIKSVRGSFC >ONH93183 pep chromosome:Prunus_persica_NCBIv2:G8:19898529:19902637:1 gene:PRUPE_8G217900 transcript:ONH93183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSERLICFVLLFCLLEAIHAQTEAEALLNWKISLRSSSLTSWTLTSSRSSPCNWTGIQCNEAGSIVEINLVDSGLDGTLNRFDFSAFPNLSSLNLNYNNLVGEIPVGIGNATKLTLLDLGSNNFTNPIPPEIGNLSELQVLLLYNNSFTGQIPYQLSNLQKVWNLSLGANYLENPDNVQFKGMASLTDLWLYYNNLVEVPSFVSECPKLISLDLSLNLITGQVPVQLLTGLKNLEYLNLTQNSFEGQIPAGIKNFSKLRHLRLGINMLNGTIPDEIGFLLNLEVLELQENLFQGPIPSSIGNLQMLQNLNLHSAGLNSSIPKELSSCVNLTFLDLSKNNLTGSLPLSMAFLTMIKQLAISDNQLSGELHSSLLLNWTELVSLQLPNNKLSGVIPHEIGSLQKLNYLYLYENQFSGLLPPEIGNLSNLLELQMSTNSFTGTIPSTIGNLSKLVKLGLYGNQLSGNLPPEIGRMENLEELDFSFNKLEGSLPSSITSLQKITIFYVTSNNLSGSIPQDFGPTLLRNVSFSTNNFSGKLPPGICNGGNLVYIAANYNKLVGPIPGSLRNCTGLNRVRLEQNLLNGNVTDAFGVYPNLEFIDLGYNQLYGVLSSNWEKCTNLSDFRIPSNMISGNIPPGLGKLPNMQNLDLSDNQLTGRIPVELFGPSSLLLKLNVSNNQLSDGIPAKIGALVKLQYLDFSANNLSGPIPEELGNCQELLYLQLSRNRLNGTMPFQLGNLLALQYLLDLSQNSITGKITPQIGNLIKLEILNLSHNHLSGSIPNGLQYLQSLQDVDVSYNNLEGPLPENQAFRKAPAKSVAGNPGLCGEKRQGLSPCNAESSTKNQDKNNRRKLIIAIATSVAALALLLTLVGVYIMLCRRSRANQHKKDNNIEGRSTFSVWNYMKRVDFKDIVAVTENFNDNYCIGRGGQGSVYKATLPTGDIFAVKRFQPFDESENPKENQMKNFMAEMHALTEIRHRNIIKLYGFSSYNGSMYFVYEYVERGSLNKVIQEEKEGQISNWEIRLKIIRGVAHALSYLHHDCSPRIVHRDITGNNILLDIDLEPKISDFGTARLLGENESNWTVPVGSYGYMAPELASTMKVTEKCDVYSFGVVSLELLMGKHPQELLLSLQSGEDIDMLLTDVLDKRPAPPAGPFEQSLVLATSLSLACIHENPISRPTMHQVAAQLSAASTHMSPPASFHTLTLRNLMDML >ONH90639 pep chromosome:Prunus_persica_NCBIv2:G8:9791710:9792328:1 gene:PRUPE_8G066000 transcript:ONH90639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNAVVFVLMAALLVASTRAQSPASSPAKSPASAPSPLSTPPAAAPSPLRTPPAASPSPLSTSPSPSGTPPSAAPSTSPVVHSPPSPPSSSPESPATSPPSSVSGSPAEAPAPNGAFLNRLSFVGSVAAGVFAAAMVM >ONH93181 pep chromosome:Prunus_persica_NCBIv2:G8:19888866:19891983:1 gene:PRUPE_8G217700 transcript:ONH93181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVFSAHKPTYLLLLLHIFLLALLPFKAISSPKTQAEALVSWKNTFAPAPPSLSSWSLTNPNNLCNWTAIVCDQSSEQVSQIDLSNFDISATLTYFNFTPFLKLTQFNLSGNYFTGPIPSELGFCTNLTYLDLSSNSLEGPIPSPIGQLRKLQYLDLSYNSLNSSIPSELGFCTNLTHLALASNFLQGKIPPSIGQLRELQYLYLHMNSLDSSIPSELGLCTSLTYLDLTSNHLEGKIPPSIGQLRYIQHLDLSNNSLNSSIPSELGLCANLTYLALASNHLEGKIPSSIGQLRELKHLDLSYNSLNSSIPSELGLCTNLTYLALASNHLEGKIPPSIGQLRELQYLDLHMISLDSSIPSELGLCTSLTYLDLTSNHLEGKIPPSIGQLRYIQHLDLSNNSLNSSIPSELGFCFGLTYLDLSRNQLSGSIPLTLGNLAHIQSLDLSNNNLNGSFPTEIAFPLLGNFYLSHNNFTYNTFVGKSDLCRDARGLSRGCNSKKNNKKVIIGVLVPVCGLSVVATTIALILMFHKKTRRVLKKIHSAQNFENFESMILQEEVKFTFGEVVKAIDDFHEKYCIGRGGFGRVYKAELLSGQVVAVKRLNMSDSNDIPAINLQSFENEIRTLTNLRHRNIIQLYGFCSRRGCIFLLYEYLERGSLGKALYGVEGVTELGWATRVKVVKGLAHALSYLHHDCSPPIVHRDVTVNNVLLESDFEARLSDFGTARLISANSSNWTHIVGSFGYMAPELALTMRVTDKCDVYSFGVVALEVMMGRHPGDLLESQLSKSSQSMKEDNAELFLKDLLDQRLEAPSNELARAVVLVMSLALACICTRPGSRPTMLYVAQKLSARSLPSLPEPFGMLTINKLMGI >ONH90435 pep chromosome:Prunus_persica_NCBIv2:G8:6002632:6016562:1 gene:PRUPE_8G053500 transcript:ONH90435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCRVLGEVSENPYVLLLNDLSLIPISHYFFAFLAFSFAFLYHFLEFHFLQDLFNGFRGSPVSLTYNPSSPIYHGVVSKCRILHARYLATPWLSSPHLQTVFLSFFGRPPAFSYRRKLFNLSDGGTVALDWLLSSDVSGDVFSTNNAFPKGDTTPIVLVIPGLTSDSDAAYIKHLAFNTAKKGWNVVVSNHRGLGGISVTSDFFYNAGWTEDLRTVVSNLHDEYPNAPLFLVGLSVGANILVKYLGEDGDKVPVAGAVAICSPWDLLIGDRFIGRRLLQKFYDKALTTGLLGYAQLHQPRFFRLANWEGIKKSRSIRDFDQHATCIVGKFETVDTYYRRCTSANYVGNVSVPLLCISALDDPVCTREAIPWEECRANINIVLATTKHGGHLAFFEGLTAAGIWWVRATDEFLKILHSSQYMHVQKMVSFGAQSSLDSSIDQGPYVNIAEDGMVAAVGNEQAKENRTEDVLEQQKTHQRKTNEMVSGEQDDHMIKPEPCSGEIVQSSEQATRRQDSKPLDVISPVRRCLELLSQRSRWSFWLLVYVAIVTSWPLVGSALQIVFRKKLRNIFRAA >ONH92856 pep chromosome:Prunus_persica_NCBIv2:G8:18970808:18971483:1 gene:PRUPE_8G200400 transcript:ONH92856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLYPPELSDKKYVHMCLKPLVKAKLQHQMQYFCNCNDFIKFETITTRDLMKSSEFHSKDQIYRQIIQLTHPWFTKPDTQISPLC >ONH91897 pep chromosome:Prunus_persica_NCBIv2:G8:15976214:15980141:-1 gene:PRUPE_8G142500 transcript:ONH91897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSLSVGAGAFSGFTRLCKGLAVVLIGGHIVVQFLPQAVNYLALIPARTIPFVWNLLTAGYIEQSVYGVVVSTLGLLFIGKLLEPVWGSREFLKFIFVVNFLTSICTFITAIALYYITMNENYLYLPLSGFYGVLSGFLVGIKQIIPDQELPLLKIKSKWLPSLSILLSIAISFWTAQSATYLPILIFGTYISWVYLRYWQRKPETKLKGDPSDDFAFSTFFPEFLRPVIDPVASIFHRMLCGRFEASSESQGYTLGGTTLPGSDPIEASRRRERGARALEERLAAERLGAAQGTEESGRDATESV >ONH92090 pep chromosome:Prunus_persica_NCBIv2:G8:16625758:16626243:-1 gene:PRUPE_8G154400 transcript:ONH92090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNTKLLLAICCVALVFTLVSANISQAEINGFLEEHNKARKEYAQEYANKRVDDCAMEHSRGRWGENLTSGDGMTGAAGTKYWVTEKEFYDPKSNKCVKEECGHYLAVIWGKTTEVGCGISKCKDGKNYIVCSYDPMYQPEDERPY >ONH93073 pep chromosome:Prunus_persica_NCBIv2:G8:19546118:19549479:-1 gene:PRUPE_8G211200 transcript:ONH93073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYKCALELWRKKQTDVIRVLPKMRLWGYRASHTEEAKPDQLLAVLKSGCASQSTASANHLTRGIAGADGIPVLYGRKCARELMSTSWPQFQPTRNYIASSSIPQFCRSISSKASRQPRQSPSENKKDLSTVEDPFDAPTYNIPEKPVTFVEGASYSVVILAGLGIAAAAGYAVFKELIFEPKEYKIFNKALKRVQDDAQVRMRVGYPITGYGQESRNRAARQRIPNRIWHDEEGVEHVEVNFYIRGPHGAGKVFAEMFNDQADKQWKYTYLIVQIQAPSPAQLILESYLPSYNAAN >ONH93074 pep chromosome:Prunus_persica_NCBIv2:G8:19546308:19549470:-1 gene:PRUPE_8G211200 transcript:ONH93074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYKCALELWRKKQTDVIRVLPKMRLWGYRASHTEEAKPDQLLAVLKSGCASQSTASANHLTRGIAGADGIPVLYGRKCARELMSTSWPQFQPTRNYIASSSIPQFCRSISSKASRQPRQSPSENKKDLSTVEDPFDAPTYNIPEKPVTFVEGASYSVVILAGLGIAAAAGYAVFKELIFEPKEYKIFNKALKRVQDDAQVRMRVGYPITGYGQESRNRAARQRIPNRIWHDEEGVEHVEVNFYIRGPHGAGKVFAEMFNDQADKQWKYTYLIVQIQAPSPAQLILESYLPSYNAAN >ONH91292 pep chromosome:Prunus_persica_NCBIv2:G8:13543925:13547025:1 gene:PRUPE_8G104500 transcript:ONH91292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQFRKKVLSREDDEDETAQNRKEKERGVKRQPPSPHEANDDDDDGSESEQERLRDQREREQLDRNIRERDAAATRKLSEQKQAFPTRRSNIVGDVETLRKVSRQEYLKKREANKLKELGESIEDGEYLYRGVKLTEVEYNRLSYEKKIYELATKHEAATHHDQYYRIPEPYDDHEGGVNQEKRFSVALQRCWDDDLNGRDKKSNQFAEQKAWEDQQIGKATLKFGSKNKRRKCDEEYDFVFEDQIEFVKASVLLEGDQMIANGNVDVGKVQPSHQLDKLREERKTLPIYAFRERLLQDVEKNQVLIIVGETGSGKTTQIPQYLHEAGYTKGGKKIGCTQPRRIAAMSVAARVSQEMGVKLGHAVGYSIRFEDCTSEKTVLKYMTDGMLLREFLVEPDLASYSVVMVDEAHERTLSTDVLLGLLKDIARYRPDLKLIISSATLDAEKFSDFFDSAKILRIPGRRYPVDIHYMEAPQADYLDAAIVAALQIHVTQPPGDILVFLTGQEEIETAEEIFKHRTRGLGTKISELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFSKMKSYNPRSGMESLQVTPISKASSMQRAGRAGRTGHGKCFRLYTSYNYDSDLDDTTVPEVQRTNLANVVLTLKSLGIHDLVNFEFMDPPPCEALLKALELLFALGALNKVGELTKVGRRMAEFPVDPMLSKMIVASDKYKCSDEVISIAAMLSTGSSIFYRPKDKQVHADTARLRFHSGNVGDHIALLKVYNEWKEANYSTQWCYENYIQVRSMKRARDIRDQLERLLERVEIKLSSSNDLEAIKKAVTSGFFPHCARLQKNGSYRTIKHPHTAHIHPSSGIMALEHHVLPRCVLYHELVLTTKEYMRQVTEIKPEWLVEIAPHYYQLNGVVDEDYSTSKKMPRGKGRASTSKQIPNLVDYSICV >ONH92355 pep chromosome:Prunus_persica_NCBIv2:G8:17467454:17469356:1 gene:PRUPE_8G170200 transcript:ONH92355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPITSYAQMMLSLNQMGGIGSGQLSFSIALPWNPLRPLPPLTSPIQCAVTKQGQRFLTKLAANARDAKVTNKLIAKFLTSSTKSIALNTLSYLLSPDTTLPHLSSLALPFYSKITEASWFEWNPKLVAALVALLDKQGQHNEAEVLISETISKLGSRERELALFHCQLVESHSKLSSKHGFDSSYSYLYQLLHNSSSVYVKNRAFESMVSGLCEMDRPREADNLIEEMRVRGLKPSVFEFRSVVYGYGRLGLFEDMLKVVEQMENQGIAIDTICSNMVLSSYGAHSELAAMLVWLRKMKSLSLPFSIRTYNSVLNSCLTIMAMLQEPKDFPCSIEELNGVLNGDEALLVKELVESTVLDEVMVWEPLEAKLDLHGMHLGSAYLILLEWFEAMRCRFNSGKDVIPAEVVVICGSGKHSSVRGESPVKGLVKQMMLRMESPMRIDRKNVGCFVAKGRAVKDWLC >ONH93785 pep chromosome:Prunus_persica_NCBIv2:G8:21650055:21656737:1 gene:PRUPE_8G252400 transcript:ONH93785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVGNCCFSALTSTVKFRSSVSIQPCFATSLWSSNGHALKPVSQSKRERASSSRKGSSSSSSSSAKSQPEKEAVPNKLSGNGDRWSVTGRGKKGQSQPTAYRSFGTQRKEKKEFAVDQKEQQVEPRSLQDADFLNAVVKVYCTHTAPDYSLPWQKQRQYTSTGSAFMIGDGKLLTNAHCVEHYTQVKVKRRGDDTKYVAKVLARGVDCDIALLSVESEEFWKGAEPLQLGSLPHLQEAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLDDYERNGRYTGFPCLGVLLQKLENPALRACLKVESIEGVLVRRVEPTSDAHNVLKEGDVIVSFDDVHVGCEGTVPFRSNERIAFRYLISQKFAGDVSDLGIIRAGEFKKVKAVLNPRVHLVPFHIDGGQPSYLIIAGLVFTPLSEPLIDEECEDSIGLKLLAKARYSLARFKGEQIVILSQVLANEVNIGYEDMSNQQVLKLNGTQIRNIHHLAYLVDSCKDKYLVFEFEDNYITVLEREAATAASSCILKDYGIPSERSSDLLEPYVDSLGDNQAVNQDIGDSPVSNLEIGFDGIIWA >ONH93544 pep chromosome:Prunus_persica_NCBIv2:G8:20946297:20953203:1 gene:PRUPE_8G237200 transcript:ONH93544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSSPPFAAPLQEKYDVFLSFRGQDTRDTFTSHLHAALLRKKIETYMDRRLEKGDNIEPALLEAIEKSKISVVIFSKNYASSTWCLKELVHILGCKERYGQIVIPIFYCIDPSHVRKQQGTYILEGLQLKKRFKGSRDEVVNWRAALEEAANMSGFHHSSKTGTAADFVEKVVQDILTKLNRESSSDLKGLVGIERKIEKIESLLCLSSPGVCCVGIWGMAGIGKTTLVDAVFHRQSSKFEAACFLANVREKSEQTGGPNQLLNKLVRKILKDKDITIDTLSIGQTSIQARLRRTKALIVLDDVNASSQLEVLVGDHDRFCQGSRIIITARDKGVLEEKVDNEKIYEVEGLSFNEALQLFHSHAFKNKSPTTDYIELSKEVVEYVKGIPLALKVMGSSFLRCKSKQEWEDQWNKLKRFPSEEIKKALRVSYDGLEENEKEIFLDIACFHKDENIDGVKRMLYIRGFYGEVGIKVLIDRSLISIWEGWIAMHDLLQEMGRAIVREQCIEEPRKRSRLFIAEDVYQVLANNQRTATVQAIFFDWSKIEKLNLNHANFEKMYQLRWLRVNYPRFLKNITLIDSLDLPNSLSYLEWEGYPLKSLPSKFSPENLVELHMPFSEVGAQLWNKDQNLINLKVISLRSSDHLTEVPNLSQSQKIERIDLYDCNRLVEIPSYFKYLNKLTNLELGRCRKLKTLPDMPCNVEFLDLSKTAIEELPSSVWSHEKISELDIRYCRDLKSLPSSSCKPNASGTFSLCGCKSLCEFPELPRNTTVLDLSKTGIKDLPSSIKFVFGLTTIKLVACKSLVSLPMDIWNLKSLKSLDLSRCSNFQHLPKISDPVEHLEFLNLSGTAVEELLPSVGNLVALKYLVLHKCKNLEVVPNSIYSLSNLKTLSFNGCSELKKLPPVLIDRVGLVSLEALNLAKCSILEIPDGLVCLTSLQILNLRETNIKSIPASIKHAAHLSCLFLTDCKSLKSLPELPPLLQRLEAHGCTSLKTVSSSSTALAQGWGEYIFYPRLHEKYIFSSCTKLDQNARSNIMVDARLRIMRMATAWSKFKEEKIEQSSYDSDDDYDYDSDGYDSDSDDYDSEDYDLNDTDHDPDDMMQENFIAIKCWGYEIPNWFSHQSEGSSINIKLPPDWFSTDFLGFALSLVIAFNKDYAEFSMKIGCKYNFKTSNGESHEINHPLHPFANSGSSKLHQVYVWWYNNVFEEVVEGAQSPTAFYKLVTEVYVDFSVLGFANKPYSELEVEKCGICLLYAQDAESIKKRIL >ONH90881 pep chromosome:Prunus_persica_NCBIv2:G8:11401448:11402420:-1 gene:PRUPE_8G080300 transcript:ONH90881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPAIVNAKRSLIRSLSSSSQTADSKTLDIPKGYLAVYVGESQKKRFVIPISYLNEPLFLDLLSQAEEEFGYDHPMGGITIPCSENTFLDLTSRLSV >ONH93146 pep chromosome:Prunus_persica_NCBIv2:G8:19792693:19798010:-1 gene:PRUPE_8G215800 transcript:ONH93146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYPGAVSAVQVGSYFVGQYYQVLQKQPDVVHQFYSEGSSIIHVDGDSTDSASSIVKIHTHILSLHFTSIEVKTINSIDSWNGGILVMVSGSVKTRDSSVKRKFVQTFFLAPQDKGYFVLNDIFQYVEDGVVLQHPAPIQSEGRYDVQLSASSPLPEPPVSDYVFEEEAREYMNSVDIEDDPVDKYSLPEQQVQQDYETEVVVEETPAEETYASFQSVVNTVQETPAVSVEEPVGEPEKKTYASILRVAKGPSAPAITPQPYSRSAQAPSEWNYTPQPTVEQSNSALSFVPESGAEAAEEGYALEEEGELKSVYVRNLPPTVTEDEIEHEFKNFGQIKPDGVFVRARKEIGVCYAFVEFEDLVGVHNALKASPIQLAGRQVYIEERRPNSTGAARGRGRGRGRGSYQPEATRGRFGGRGSGRGSYQDSGDYSRARGNGFQQRGTR >ONH90345 pep chromosome:Prunus_persica_NCBIv2:G8:5213556:5217745:-1 gene:PRUPE_8G048100 transcript:ONH90345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKKMSWVLACVGFMFLGLCKANGNTHYYDFVLTELNFTRLCETKTILTVNGTLPGPTITVRKGDTAFVNVHNQGSYGVTLHWHGVKQPRNPWYDGPENITQCPIQAGSNFTYEVIFSTEEGTLWWHAHSDWTRATVYGAIIILPKLNTTYPFTKPDGEETLVLGSWYKGDVNEIIKNALATGGDPNVSDAFTINGEPGDLVNACSNATTYRWVVDYGKTYLLRLVNAVLNEEMFFAIANHNLTVVAQDGAYIKPITTSYLMITPGQTMDILVVANQSPSHYHVASHAFVDGDVAFNNRTTSAILQYNGSTTPSTIPTPILPDFADGTAASNFTTQVRALASKDYPISVPLHITHTLFISVSINERICPNSSCDGPDNNALAASLNNISFVTPSIDILQAYYGSINGVYSANFPHKPYIFNFTGHVRNDTIYPYFGTKVRMIKYGEEVEIIYQGTNMIAAENHPMHLHGFSFYLVGTGSGNFDPNQAPKTYNLVDPPEVNTIGVPKNGWATVRFKADNPGVWFMHCHLERHASWGMATVLIVTNGHTTETSMLPAPAYMPPCK >ONH93001 pep chromosome:Prunus_persica_NCBIv2:G8:19370303:19374893:1 gene:PRUPE_8G207400 transcript:ONH93001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRFRTLVHNDHFLKLLKNQKFRFISPELYDTSATAGRVITSKAAVAWEAGKPLVIEKVEVAPPQANEVRVKIKYTSLCHTDVHFWEAKGQTGLFPRIFGHEAAGVVESVGDGVKNLKPGDHVLPVFTGECGDCVHCKSEESNMCDLLRINTDRGVMIGDGKQRFSKNGTPINHFLGTSTFSEYTVIHEGCLAKIDPSAPLDKVCILSCGVATGLGATLKVAKPKKGSSVAVFGLGAVGLAAAEGARISGASRIIGVDLNPKRLEEAKNFGVNEFVNPRDHIKPVQQIIAEMTNGGVDRSIECTGNINSIISAFECVHDGWGVAVLVGVPSPDAIFKTNPFNFLDERTLKGTSFGNYKPRTDLPSVVDMYMNKKLEVEKFITHRVPFSQINKAFDYMLRGEGLRCIISMEE >ONH90712 pep chromosome:Prunus_persica_NCBIv2:G8:10351370:10358905:-1 gene:PRUPE_8G070800 transcript:ONH90712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQAKDEAYLEAVIPKRIELFESIQAEQRAARQSLPSFDPIRITLSDGKVKEGKKWITSPFEIASEISKSLASNALISEVNGVLWDMNRPLEGDAELRLFTLDSFDNNEDVRHTFWHSSSHILGQALEVEYGCKLCIGPCARIETRHDMCATSKEGFYYDAFYGDFGLNDEHFKQIESWTEKAVKGKQPFERIEVSREQALEMFCDNKFKVEIINGLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAFKCLKASAAYWRGNKDRESLQRVYGISYPDKKRLQQHIQRLEEAKKYDHRVLGQKQELFFFDPVSPGSCFFLPKGARIYNKLMEFIKNQYRERGYEEVISPNLYNMKLWETSGHAEKYKDNMFSVEIEKQQFGLKPMNCPGHCVMFQHRNRSYRELPLRMADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQVKDEVRGVLEFIEYTYTIFGFTFKLKLSTMPESHMGDLATWKKAEAALKEALIEFGKPWEVNEGDGAFYGPKIDITVSDALSRDHQLATLQLDFQLPDRFKLYYSAEGEDGKMERPVMIHRAVLGSVERMLAVLLEHYKGKWPFWLSPRQAIVCPVSHTALPYALQVRDCIHQAGYYVDVDTSDKTIQKKVRQAQIAQYNYILVVGGEEVQNGQVSVRVRDKGDVTVMSMESLLQHFKDQVEAFH >ONH91026 pep chromosome:Prunus_persica_NCBIv2:G8:12254044:12257908:1 gene:PRUPE_8G089100 transcript:ONH91026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMGTSSRVAAAIVAVLGFVLSLAVVCNGGKTSSFVRKVEKTVDMPLDSDVFKVPPGYNAPQQVHITQGDQLGKAVIVSWVTVEEPGSNTVLYWSAYSKKQKSEGKVTTYKFYNYTSGYIHHTTIRNLKFNTKYYYVVGIGHTERQFWFITPPEVGPDVPYTFGLIGDLGQSFDSNKTLTHYELNPQKGQAVLFVGDLSYADTYPNHDNVRWDTWGRFTERSVAYQPWIWTAGNHEIDFAPEIDETKPFKPYTHRYHVPYKASGSTAPFWYSIKRASAYIIVLSSYSAYGKYTPQYKWLEQELPKVNRSETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVKYKVDVVFAGHVHAYERSERISNVAYNIVNGICIPVKDQSAPVYITIGDGGNLEGLATNMTEPQPAYSAYREASFGHATFDIKNRTHAYYGWHRNQDGYAVTADSMWFFNRYYHPVDDSTSAQ >ONH91906 pep chromosome:Prunus_persica_NCBIv2:G8:15994437:15996971:1 gene:PRUPE_8G142900 transcript:ONH91906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKPDGGDGFGDASRDGVEQENMAAWLLGVNTLKIQPFKLPNLGPCDVLVRLKAVGICGSDVHHFKNMRCADFVVKEPMVIGHECAGIVEEVGSEVKHLVPGDRVAVEPGINCKRCELCKRGRYNLCSGMKFFGSPPTHGCLANQVVHPGDLCFKLPDNVSLEEGAMCEPLSVGVHACRRANVCPETNVLVMGAGPIGLVTVLAARAFEASRIVVADVNDHRLSVAKALGAHEIVKVSTNIEEVAEEVAKIKEAMGTRVDVSFDCAGFNKTMATALSATGSGGKVCLVGMGQRELTLPLTSATAREVDVIGIFRYKNTWPLCLELLRSGKVDVKPLITHRFGFSQTEVEKAFETSAGGANAIKVMFNL >ONH91447 pep chromosome:Prunus_persica_NCBIv2:G8:14251823:14253565:-1 gene:PRUPE_8G115200 transcript:ONH91447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMDSQTQNTSLQRLQNVEKRIVKVLELAGGVMDELANPSGPRKEFINNHCREFMQLIKDIQVALRDEIKSACDYRPFEKCDYSSRVANEICCKKLEYVMSQLDAMKETMDEYHNAV >ONH90114 pep chromosome:Prunus_persica_NCBIv2:G8:3261961:3265416:1 gene:PRUPE_8G035500 transcript:ONH90114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSLAPGFRFKPTDVELVQYYLKRKLLGKRLGFKVIAEVDIYKYDPWDLPDKSCWDCGDLKWYFFCPREKKYRSGNRIQRATEGGYWKTTGKDRSVLYSGEIVGWIKTLIFHTGRAPSGDRTNWVMHEYRLEDQGLADRGVPLDSYVLCMIFQKEGLGPRIGAQYGAPFKEEDWSDDEVEITSEAVQVAITPEPDLGLPCNNVSPTATNAHYVGDIGIGPSGSGIYDILPPFCEVSQPVSSNYVTLEMPLASVGDDIISMFDCFTEESTLYIDEPKKVESEGRLETAQNANMPSTHSPEVICAGPSFESCGFDVLRPCNVNESVSCNFVTMENPPASNGDETLPIMDCFAEESAFLVNDNGKNEELNNPEHFGNATPHFNTSNIYEDLGDLENLGRVGEVGYNFCSEPDSRSHFLELYDLDQPQHPNLFF >ONH90013 pep chromosome:Prunus_persica_NCBIv2:G8:2794259:2795190:-1 gene:PRUPE_8G029900 transcript:ONH90013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASDAEVESIESRGKALSIAKEPYEFAMSGNWEGLKKFHKEDDKTEEIPFAKRNVLEFLLKLLINECTESSLLCAALNVQKNRGNTVFHEVAASGNLDAAKHFLQLEDIVQSKPEYQCVLKIENKLGQTPLYRAAAFRQMKVVEYLASEMEDMELHFKRKRDKISILHIAVIGQHFETALWLLKKHPCLANIKESNGLTSLQLLAQMPSAFGPKFRKSIWTMLLYKCIIYSAAFTLLLKLDIINSKFQFRNNPSIIL >ONH93350 pep chromosome:Prunus_persica_NCBIv2:G8:20410617:20411264:-1 gene:PRUPE_8G227900 transcript:ONH93350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHNHQDSKSSSTDEEADQRSDANDGVGTGRSYECVFCKRGFTTAQALGGHMNIHRRERAPRTRPSSAPNLSSSISKSVDDHNHPGLRSYREIQSYPPHYSTAPDHDQVVVDHHVNYRTFMPAPTGSWVVRPSPHANYVSEEDLCARNYIPRHRNLLTDDHRDWRSGSSLSLGIGRPSHDLDNNKDRVANGAGSDEELDLELRLGHDPLYNIKD >ONH90558 pep chromosome:Prunus_persica_NCBIv2:G8:8814136:8815775:1 gene:PRUPE_8G061400 transcript:ONH90558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQRRSKKRIMMKQCSESTTQTQLMDLPLEILLAILLRLPTRSLFSLLCVSKTLRSLVDCPSFAHKHSEYIATSNYEDLDPPHVMVLTNSQLITLQAQDPLLPINEFVFDISNSIGNGFNLEFEFVSYGLLLFKEKEANHELLLVNPLRGEVLKLPPPLPHCAYELYAMGYDVMTSTHKIVRLFGPRGDPDHIVVQIYVLGTSSSSSWRQISSVPPLPCCLSSKASVSAYGNMHWLVYVLSSDLELRILSFDFKREEFEWTPHTNLPDFDNRIDIHLINFRGRLSIVDVSAISSPQKNDHDQIEIWVMKSCKNFTWEKKYAVKIDLDPCCMKPWETPSHFLGVGPGSVGAWEHGIFLRDPKSRNMVVFLDVDSGGIRAVQVGSSSMSDCDDLMPVDVFSYTGGYISLRKYGDLMEAKTGEGNFFSVGKMMQRSMLPSYCFEIGIGGLRSIQIKV >ONH90196 pep chromosome:Prunus_persica_NCBIv2:G8:3989571:3995278:-1 gene:PRUPE_8G040000 transcript:ONH90196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVEGGGFFSSSASGYSKGLALLLLGQKNEDKPMRVSPWNQYQLVDQESDTDLQLASTKDRLSRGCASFVCFGRASAGLDTPSPLKVGPAQQQDNLPGSLVSDKGKDHTADTDDDHNSIKVVLKSSLKKPSKRTPVSVESANEREALSETCSDTPDNAERRKVQWTDTCGSELVEIREFEPSELDGSDDEFDNGNERSCSCVIM >ONH90350 pep chromosome:Prunus_persica_NCBIv2:G8:5290141:5297264:-1 gene:PRUPE_8G048600 transcript:ONH90350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKITCNPNFHSGGMFEGRLQEGLSSGVQGWLDAHLRVSKSSFSSYINGSTANFQEGLSMSVDKRDFTFTKELHEKLALNFFPIRSCLQIGECLCIQLNNLDKILMMFSCNVCVCMF >ONH91963 pep chromosome:Prunus_persica_NCBIv2:G8:16205359:16207834:1 gene:PRUPE_8G146700 transcript:ONH91963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSHDQTHLSSHPMSSSSSSSSSSYDTSNFVVSSHHSPPSDETDDTATILTRLIDSELNHMPSPNYISRLRDRSIDVTARQDSINWILKVHAHYRFSPLTAFLSINYFDRFLSSHSLPQHNGYWPFQLLSVACLSLAAKMEEPNVPFILDLQIFEPKFVFEPRTVQRMELRVMTILNWRLRSITPFDFLHHFIANLPSSSSSSLFSASSDLILSTTRVIDFLGFSPSTIAAAAVLCAAEKSVDFAAAEDSDAAASLFHERVNKEGARSCHQLMEEYLIDTCPSSRHKEPVVGTASSSPASPVGVLDAAACGSCDTRSENPGSTVEAEPPAKRLRPSSAADVQPP >ONH93240 pep chromosome:Prunus_persica_NCBIv2:G8:20062678:20065027:1 gene:PRUPE_8G221000 transcript:ONH93240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKERLRVVLEIIETVMKKSDALSGATDTLPPLMSNLKIEKKTDDPSGRQQEEEEEEEEEQDSSEYAESLLDFLHEPTVDFVNKACDTEEERHRAMKSICRLVASLESEAARDLEEFEASIPENPQEWTQEMWEYMYEKEKEEVRNFEEQQLALEKKKRIQKRLDKTYRRRRKIAKKTSKRERECSSGLA >ONH92592 pep chromosome:Prunus_persica_NCBIv2:G8:18228902:18233085:1 gene:PRUPE_8G182800 transcript:ONH92592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVRQRTLGGGGQHVLDYLKRMQAENPAFFYAIQNDSDHSSGNIFWVDSTARMNYTYFGDTVIFDTSYRTNRYRIPFASFSGLNHHGQPVLFGCALILNESESSFLWLLQTWLHAMSGRHPVSITTDPERHIQVAVAQVLPETRHRFCKWAIFRETQEKLDHLYRSHPTFETEFKKCINESETVDEFESRWESLLQRYYIMDDEWLQSMYNARQQWVPVYMRDTFFGEFAVSEGSESLTLFFDGYVNSSTTIQVLLKQYEKAVVSWHEKELKADYDTTNTMPVLKTPSPMEKQAANLYTRRIFKKFQEELVETLANPATKVDDSGTVATYRVAKFGEDHKAHAVDFNSFEMKASCTCQMFEYSGIICRHVLAVFRAKNVLRLPSQYVLKRWTRNAKSGAVVDEHASELPNNSRESVTVRYNNLRQEAIKYVEEGAKSIHIYNVAMNALQEAAKKVASIKNQGSGATQGSSLANGGSQEMHSTEENQTTAYQSSDEKEKKIRELSAELENTNQRCEVYRANLLAVLRDMEEQKLKLSVKVQSARLSLKE >ONH92393 pep chromosome:Prunus_persica_NCBIv2:G8:17612612:17615175:1 gene:PRUPE_8G172600 transcript:ONH92393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKMGVNSKAEEARARKSSTEAERKEREAREKEEQYWREAEGSKSRAAKKREEEAEKRAEAAARKAEARRLAELEEKELEKAVKKPDKKVGRVGIPVPKVTEAELRKQKEAEQAALEKKSNEVKKKQSRTAEEEEYERMVAVENRNRDDSIIEARSVEEAVAKMTVAESLPVDRHPERRLKASFKAFEEAELPILKEEKPGLTHNQYKDMIWKLWKKSPDNPLNQVAE >ONH93660 pep chromosome:Prunus_persica_NCBIv2:G8:21301464:21305157:1 gene:PRUPE_8G244800 transcript:ONH93660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPLSIAIQACCFNCGITESSKLTATNGFRHRDKAMHGFVKLDAERKLVFREVVQNINENLPRDHNLKVYAKSQVSVEPMEQRFSTGTETEEIDKVSTYLFRTEIGDVVNVFVRKRNAKYTVNIEVPSSHLSSNDRRLVLRWGMYRADSSCFVPLDFKSSTPNDTTTTLETPLIQTSSGRFTLELEFEAKQIPFYFSFILTSPADANVSDMEIRSHRKTNFCVPVGFGRGYPGPLGLTFSNDGSMNFAIFSRNAESVALCLYDNTTAEKPALELDLDPYVNRSGDIWHVSFGSAWSFVSYGYKFKGNLLLTNKNNFDEGHVLLDPYAKVIAKSIPNNHGTGLKYLGRLCEEPAFDWAGDVRPDLSMEKLVVYRLNVTRFTEHKSSQLPTNIGGSFSGLTEKLEHFKDLGVNAVLLEPIFPFDEQKGPYFPHHFFSPMDCFGPSRGPVSAVNSMKEMVRKFHANGIEVLLEVVFTHTAEGEALQGIDISSYYHVNEVEDLEARNALNCNYPIVQQLVLDSLRYWVTEFHVDGFFFINASSLLRGFNGEYLSRPPLVEAIAFDPLLSKTKIIADCWDPHGMAPKETHFPHWRRWAEVNTKFCNDVRNFLRGEGLLSDLATRLCGNGDIFSDGRGPAFAFNFISRNSGLPLVDLVSFSGVELASELSWNCGEEGPTNKTAVLERRLKQIRNFLFILFVSLGVPVLNMGDECGQSTGGSPAYSDRKAFDWNALETGFATQTTQFIAFLSSFRKRRSDLLQKRNFLKEENIGWYESDQTPPRWEDPSRKFLAMRLKADEDEVNQPGDESSHSWGDLFVAFSAADHSETVVLPPPLEGMGWRRLVDTALPFPGFFSTDGEPVVEQIVGLFAYEMKSHSCALFEARSL >ONH93688 pep chromosome:Prunus_persica_NCBIv2:G8:21401669:21402020:1 gene:PRUPE_8G247200 transcript:ONH93688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLTKMWNYFISFERSSLGNHLKISYGYAFGEDPILKTELKAMWMGVTGMCSVSKLCVQYMCIGYLMLL >ONH92009 pep chromosome:Prunus_persica_NCBIv2:G8:16337540:16339462:-1 gene:PRUPE_8G149300 transcript:ONH92009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALFSIIPRIKRKEEKEERKRSFLKNGSMLLEDLIASCDGKSHPIRCYSAAELNRVTNNFDRSCFITDGTYFDIYRGILDDRTVIIKKHYIVDWAIRDIIISMQMSAHKNSLKLLGCCLEFDTPALVFENAGKGGLNNDGSLAVDNELLPWKTRLRIAKQLASALTYLHTAFPTPIVHRNLRPTCILLDDDYVPKLFDFSFSITIPPDQLYVQDNFAIGTSAYMDPTHRNSRRSSERTDVYIFGVLLLVFLTQRAASRRHGAEIELLIGDSKLNVPGGQIQIETIADPKILEEVVGDEQAQQQLQDFLALALLCIQDENEARPDMIDVAKELVRIDK >ONH93811 pep chromosome:Prunus_persica_NCBIv2:G8:21739259:21740068:-1 gene:PRUPE_8G254200 transcript:ONH93811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVMRLASEKGVVIFSKSSCCLCYAVNILFQEIGVSPVVHEIDQDPDGREMEKALMRLGCTAPVPAVFIGGTLVGSTNEVMSLHLKGQLIPKLKPHQQQTMS >ONH91227 pep chromosome:Prunus_persica_NCBIv2:G8:13169093:13170586:1 gene:PRUPE_8G100300 transcript:ONH91227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRPNILVTGTPGTGKTTTSSALAEATQLHHINVGDLVKAKNLHDGWDDELDCYIINEDLVCDELEDTMEEGGNIVDYHGCDFFPERWFDLVVVLQTDNTVLYDRLTRRGYSESKLSNNIECEIFQTLLEEAKESYPEDIVLPLKSDSIQDISTNLSTLAEWVRRWQPST >ONH92663 pep chromosome:Prunus_persica_NCBIv2:G8:18438322:18449918:-1 gene:PRUPE_8G187800 transcript:ONH92663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRAFRPTNDKIVKIQLHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDQRRLVGAKLEKLAEGESEPKGKPTEAIRGGSVKQVNFYDDDVRFWQLWRNRSTAAESPSAVNHVASAFSSPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCMEFLSRSAVGDVPLVAFGGSDGVIRVLSMMTWKLVRRYTGGHKGSISCLMTFVASSGEALLVSGGSDGLLVVWSADHSQDSRELVPKLSLKAHDGGVVAVELSRVIGAAPQLISIGADKTLAIWDTVSFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSNIWAIEHPIYSALTRPLCELSSLIPSQVIAPNKKIRVYCMVAHPLQPHLVATGTNVGVIISEFDPKSLPAVAPLPTPSGSREHNAVYVIERELKLLNFQLSQTANPSLGNNTSLRGDSPETLHVKQIKKHISTPVPHDSYSVLSVSGSGKYLAVVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCRDRFAILESTLPPRIPVVHKGSSSRKAKEAAAAAAQAAAAAASAASAANVQVRILLDDGTSNILMRSIGGRSEPVIGLHGGALLGVAYRTSRRISPVAATAISTIQSMPLSGFGSGGHSSFSTFDDGFSSHRSSAEAAPQNFQLYSWETFQPVGGLIPQPEWTAWDQTVEYCAFAYQKYIVISSLRPQFRYLGDVAIPYATGAVWHRRQLFVVTPTTIECVFVDAGVAPIDIETKKRKEEMKLKEAQQRSLAEHGELALIAVDGPQSVTQERIALRPPMLQVVRLASFQHAPSVPPFLTLSRQSKVDGDDSGMPKEFEERKVNEVAVGGGGVSVAVTRFPTEQKRPVGPLVVVGVRDGVLWLIDRYMSAHALSLSHPGIRCRCLAAYGDAISAVKWASRLGREHHDDLAQFLLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDLGQENTGFDLKDILTVTTAKENILEAVQGIVKFVKEFLDLIDAADATGQAEIAREALKRLGAAASVKGALQGHELRGQALRLANHGELTRLSNLVNNLISVGSGREAAFAAAVLGDNALMERAWQDTGMLAEAVLHAHAHGRPTMKNLVQAWNKMLQREVEHTPTTKTDAAAAFLASLEEPKLTSLADAAKKPPIEILPPGMPSLSAPPISVQKKPAPGAQNSQQQPGKPLLLEAAHTTTPAPSSEQQPLESGEPTSNDKPPISPAESDPANPAPAAPGESVPETSTGSAAPSDAPPQVPQSEAPSQGPQSEAPSQGPPSETPSQALQSEAPSQAPQSEAPSQAPQLEAPSPAPQPEAPSRAPQPEAPSQAPQSEIPIQTPPLEVQPQLPPLQKTSSQPLPDLFRM >ONH92629 pep chromosome:Prunus_persica_NCBIv2:G8:18331678:18335612:1 gene:PRUPE_8G185200 transcript:ONH92629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDRKRSSNSSHVVLPVFYDVDPSQVRKQTGSFATAFARHEMRHSLETTKRWRAALTEVANVAGMVLQNEADGHEAKFIQKIVKVIEDRLSRTPLSAAPHLIGIDYRVKNINQWLQDQSTDVGILAIYGMRGTGKTTVAKFVYNSNFRRFEASSFLENIKGSSEQPNGLVQVQKQLLTDILDGRKVRVNSVSEGITEVEDAISSKRILLVLDDVDHMDKLLDLLLGMKDRSSRGSKIIITTSNVGLLRSDRYQVIKVHDIGTFRDSESLELFSWHAFGKDHPIEGYKEISKKVVNHCGGLPVALKTLGSSLSGQSMVVWKSALEKLEAIPKDEIIKKLRVSYDSLQDDHDRDLFLHIACFFIGMENDIMVRILDGCGFQTIVGIQNLLDRCLIRIDRCNKVQMNHMIRDMGRGIVGLESKQSGQRSRLWRHKDSFEVLTENSGTENIEGLILDMRMHPAYSALSRRSNVEVVLEANAFAKMNKLKLLQLSHVQLEGNYQEFPKGLRWLSWHQSQLEMLPIDFPLKSLVVLEMCYSSLRRFWNQRTECLPTMKILNLSHSHYLKETPDFSFVPNLEVLILKDCPSLVDVHESIGKIETLTELNMEDCKNVRKLDISQLRFLETLIISGCSNLNKFPMDMRKMKSLKVFQADPIHQLLHTAEDPEVELGQENIPEMFWTSYIPSNLVDLSLGNCNLSDDDFPAAFRNLSSLQNLNLSGNPIRSLPDCIRGLKKLYTLSFSQCTRLKYLVRLPKVGEHIVISGCTSLEKISYQSISYRPTRFVIGSNWKLALLQGCFKFEPIDAFDDAEMINLLGLTNWASMRIITDTTHDALVNAETEKKQQPIKGLYENGIFSTFLPGDQVPKQFSHYMDGLSVSYTVPLLPNLKIRGLNVFAVYTKSNTPSKYNYTRDSSLRPIMGQVDNKTSRVAWTYGPLHYGVPSDGEDVTWLSHWRFGDQVRGGDEVDFKVFPKAEIRVKKCGIQVVYEQGEKRWKSYTEDPFLSKCNCWRSVGVWV >ONH91483 pep chromosome:Prunus_persica_NCBIv2:G8:14451347:14453018:1 gene:PRUPE_8G117700 transcript:ONH91483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSNPPPQKYDVFISFRGADTRDTFTSHLKAALGRKKIETYMDDKLGMGDKIGPALLQAIEKSKVSLIVFSKNYAFSTWCLDELAHISECKKRYGQIVIPIFYGIDPSQVRKQQDSYAVAFAQLEERFEDNMDKVLMWRNALKEAADMSGFDNSKRTGTEADLIEKIAEDVLTKLNRASSSDLKDLDQFYLRKIEEIESLLCLNSPDVCTVCIYVGIWGKSWLTTLGDVLFHRLSCQFEATCYLADVKGVSKSHGQKHLRNLLLRHILKEKDLSIDTKNVSPFIQERLSRTKVLIVLDDVNDSSQREYQACAGRLKFGPGSRIIITTRDRSLHKKTVHHDKIYKFGHKNGLISWALKIGVPVRDIAAALPELYVPQWASDQPAQPSHSAGQL >ONH92410 pep chromosome:Prunus_persica_NCBIv2:G8:17688773:17690849:-1 gene:PRUPE_8G174000 transcript:ONH92410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMCHIYCFVAWLFIQVKLDHMDRNLGKGTMDQQKNYEQVRYSTVETRNEGHGSANQRFFPDPSSNINTNMRPPDYNVAVGARPVSNYSIQTGEEFALEFMRERVNPRQHLQSVLALRTSIQVYSSLFTKFEFKNFF >ONH89532 pep chromosome:Prunus_persica_NCBIv2:G8:98079:100368:-1 gene:PRUPE_8G000700 transcript:ONH89532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSESPLIVAFGEMLIDFVPDTAGVSLAESTGFLKAPGGAPANVACAITKLGGKSAFVGKVGDDEFGHMLINILKKNGVNAEGVCVDTHARTALAFVTLRKDGEREFMFYRNPSADMLLKDSELNMPLIKQAKIFHYGSISLISEPCRSAHMAAMKAAKDAGIMLSYDPNVRLPLWPSADAAREGIKSIWNQADFIKVSDDEVQFLTQGDAEKDDVVLSLWHDNLKLLVVTDGEKGCRYYTKKFKGKVTGFSVKAVDTTGAGDAFVGSFLLSMAKDMSIFEDETKLKEALSFSNACGAICTTQKGAIPALPTQSAALELISKSKSKSMF >ONH90906 pep chromosome:Prunus_persica_NCBIv2:G8:11557448:11562637:-1 gene:PRUPE_8G082800 transcript:ONH90906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESPAETAPVPGVSNSWADRTPEELVAKSIAPVKKQFLCPPPIRACSNEQNDDVSGGKTKPAQTSVVKEKKSKRQLKRERQQAVKSAVGICPEVAKRGDVSRCPYNDKCRFSHDIEAYKAQKPEDIEGDCPFMTAKETCPYGLACRFLGSHKDGVEAGDVSAHRRSSEMNGLSKDVQKLLWKNKVKFPKADAKLKALGLLGPANSKIKILENKKDDQVVSNNCHVTDGNGCTEFPNNSDDKMELAAEIREENGVDETFSLDESRPSKKPRSEIEEEILSGEVDDGGANATLEVVEPSCTSPEPEATADIVSPESDRSLKLHPREKKLIDFREKLYLAPLTTVGNLPFRRVCKGLGADITCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTVARTVELVNQECTVDFIDINMGCPIDIVVNKGAGSALLTKPMRMKGIVQAASGTVDCPITIKVRTAYFEGKNRIDSLIADMGNWGASAVTIHGRSRQQRYSKLADWEYVYQCARKAPDALPVLGNGDIFSYLDWNKHQAECLELSTCMIARGALVKPWIFTEIKEQRHWDISSGERLNILKDYVRLGLEHWGSDTKGVETTRRFLLEWLSYTCRYVPVGLLEVIPQRLSWRPPAYFGRDDLETLMASDSAADWVRISEMLLGKVPDGFTFAPKHKSNSYDRAENG >ONH93981 pep chromosome:Prunus_persica_NCBIv2:G8:22205322:22205965:-1 gene:PRUPE_8G264700 transcript:ONH93981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNRFFSATSLLVLALVALLITPSSAASNNHYDEINLQPTKSILGIQGLVYCKSGPKVIPLEGAVARITCEAVDEYGMESAPMTILSDATDARGYFFATLSPYEIENHKKLTQCRAFLELSPLESCNVPTDLNNGISGAVLASYRLLHDKNIRLYTVGPFTFTSS >ONH93377 pep chromosome:Prunus_persica_NCBIv2:G8:20487544:20488657:-1 gene:PRUPE_8G228900 transcript:ONH93377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCPGKQAWPEVVGHSGQDAAAKIERENHNVRAIVILEGSPATKDLRCDRVRVWINRNGVVVRPPHVG >ONH92646 pep chromosome:Prunus_persica_NCBIv2:G8:18395823:18399198:-1 gene:PRUPE_8G186700 transcript:ONH92646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSMHMLLNGRDPGIFIGGVLWLLLSCSFSFGVESDINCLKSIKASLQDTLGYLNSSWDFNNNTEGFICNFLGIECWHPHESKVLNIKLSDLGLKGSFPRGVANCTSLTGLDLSSNQLNGLLPTNIDQIITFITSLDLSSNSFTGQIPMKLSNCSYLNVLKLDNNKFSGSIPPELGQLSRIKTFSVANNQLSGQVPNFNNGTSITADSYANNPGLCGKPLEVCRSAAKKSNSVVIVGAGVGGATFAALIVVIGLFFFMRRVSARKKEEDPEGNKWAKSFKKTKGIKVSMFGKSISKMKLGDLMKASNNFHKDNIIGSGRTGTMYKAVLDDGTPLMVKRLQESQHSEKEFLSEMATLGNIEHRNLVPLLGFCVAKRERLLVYKYMPNGTLHDQLHPMDADGAKIMEWPTRLKIGIGAARGLAWLHHNCNPRIIHRNISSKCILLDADFEPKISEFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLLATPKGDVYSFGTVLLELVTGERATHIAKAPEDFKGNLVEWIMQLSSQSQLQDALDKSLVGKGVNEELFQFLKVACNCVVLTPKERPTMFEVYQLLRAIGEKYNFTVDDEIMMPTDIGDGDGRGELIVAREMKEMN >ONH91936 pep chromosome:Prunus_persica_NCBIv2:G8:16096241:16097633:-1 gene:PRUPE_8G144800 transcript:ONH91936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELASKSMISDSKFAILNPRIPSSRTQIASSVKFPTTLIKKNTEASSGATMPTMSEILASSRAQNLDLQLQTLGPFFRITAKSLETQKELGKAEGLIRFWLSGKILHLESIRLQRETLGMEKSIFGIGLFIGAVAIRYGYDCGCRTAELLAINDSDIFHHKLVRFYSRIGFKAVHEVTGSTFGDYAHMLVWGGIGTRMDASVEELLIKWCTRFKSGE >ONH89565 pep chromosome:Prunus_persica_NCBIv2:G8:223473:224858:-1 gene:PRUPE_8G002400 transcript:ONH89565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSTESSVWQWSAGKDQFNFHHHQVMASGSGPGSAADDQYQTWRAVASRLALDNQFRNMTERHEYYSNANIDQEDEEEEDLNNNNYYCYYRKTNFNNNLNMPLRLRSLEELKGDQQQQQQSNTSHDKWHKSLPQAEMLPRNEVLGGYIFVCNNETMQEDLHRQLFGLPQKYKDSVRAITPGLPLFLYNYTAHQLHGVFQAVSFGGSNIDPTAWEDKKCRGESRFPAQVRIRVKERCKPLEEDAFRPILYHYDGPKFRLQLSVPEVILFLYILFSFYNAIYFRQFL >ONH93673 pep chromosome:Prunus_persica_NCBIv2:G8:21349281:21353125:-1 gene:PRUPE_8G246000 transcript:ONH93673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVALRNPSSRRILPYSSQIYWCTRGSVGSSISESSFGNDRSFSPNPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETVKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIVRGSALSALQGTNEEIGKKAILKLMDSVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEEVEILGLHQGAPLKTVVTGVEMFKKILDHGEAGDNVGLLLRGLKREDIQRGQVIAKPGTVKTHKRFEAEIYVLTKDEGGRHTAFFSNYRPQFYMRTADITGKVELPEDVKMVMPGDNVTAVFELIQPVPLEAGQRFALREGGRTVGAGVVSKVL >ONH89780 pep chromosome:Prunus_persica_NCBIv2:G8:1494960:1496601:-1 gene:PRUPE_8G016000 transcript:ONH89780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQGRGSAGTIGVVVVLCLMVQLGCSNAATYKVGESGGWSFNTDSWPNGKQFRAGDVLSFNYDPTLHNVVAVDKGGYSSCTTPNGAKVYKSGKDQIRLGRGQNYFICNFPGHCQSGMKIAINAV >ONH89998 pep chromosome:Prunus_persica_NCBIv2:G8:2640650:2643727:1 gene:PRUPE_8G028900 transcript:ONH89998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLVGYALVMERHKPYIAMLFIQFVYAGMALFSKAAMAKGMNPFVFVVYRQAFASLALAPFAFFFESSKDAPLSYTLLCKIFFISLSGITLSLNLYYVAINYTSATFAAATTTTIPAITFVMAVFLRMESISMKHLYGVAKVLGSLTSLSGALVFALVKGPSIKFTNWYPSHHQTQISDSSSSSRVDWIKGSLFMISANTAWSLWLILQGPIVKQYPAKLRLTTLQCFFSCIQSSFLAIAIERNPSAWKIGWDIHLLSVFYCGVIVTGITYWLQVWAIEKKGPVFTSMFTPLALLITAIFSAIMWKEALHWGSIGGGVLLVVGLYSVLWGKDKEDRKSEESEQKQESKEEVV >ONH91379 pep chromosome:Prunus_persica_NCBIv2:G8:14041627:14042997:-1 gene:PRUPE_8G110700 transcript:ONH91379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLDDLPDFVLVEILCRLPREYAVQCTCVSKRWYSLVYDPYFVGRFLRQQNDNQKPIPRPRLIFTNPEHRREFFTNPKCTSKFFSMSKQPPAPEKLKFSLRCLPCFQGPVKTEEGEPMVVGTYNDLVLCCATMCFQRDYYLCNAYTKQWEALPSPPQCHEEVGVGFICDPYYKECKEDADQRKEETSTSKASFQLNTEYRYRVVRIIPESPGGSVYFKAEIFSSETGKWTETIVLCPRSFYLDVRHEGVAYNGMLYWMSSSSGSVIGLDPYSNDNVDKKYNCRFIDNPEDDQVPVTATFDLLSVCRVGGGGERLRMCQFSGNNEDGVMDWLSVWELKDDQVDNDSNWILVRRISLNGMFLQNPVIRKWRDQGCWHDEIMLLAFDPNDDDILYVDFIGHVVMFDINGGGWITRYLNTPLDYGTIQNHRRRAVFPFVIPWWPTPVTTRQKQSFDAYR >ONH92389 pep chromosome:Prunus_persica_NCBIv2:G8:17592252:17599697:-1 gene:PRUPE_8G172300 transcript:ONH92389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQSSKASSPGEMKRKTKVIVGTDALHLNEGDASSLIGGIVEKGISDKSMLGPTPPPRPTVLPFPVARHRSALPYRSPVNSNLGGNEDVDYGDGGDDVMNFEPIKPYANPVERKKKKEMDFSKWAEKELGVNRTRTVRETMEASTRKNGSNKLHPQPKPLLGNLKTEQESVLGNLTEQEFVLGKNDMQIQAGPSPKSLADNVQNEQVSMSLETQIDEENRARLQGMSADEIAEAQAEIMGRLDPALLNVLKRRGEEKLRKQRSPSSDNNEPKISPSSQSGMSHVDTTITSNHTNTAEENGLEQNSGQASLSLWTAWRERVEAARELRFSLDGTVILNGSHQIPKSSNVSERDFLRTEGDPGAAGYTIKEAVSLTRSVIPGQRSLSLHLLSTVLDKALQNIHQMQVQFDRRDANKVEKSIDWEAVWAYALGPEPELILSLRLCLDDNHSSVVLACAKVLHCILSYDVNENFFDISEKIATRHKDTFTAPVFRSKPEIAVGFLRGGFWKYNAKPSNILALDEEIIDDETEGKRTIQDDVVVAGQDFAAGLVRMGILPRLRYLLESDPTAALEEYIISLLIAIARHSPKCANAVKNCQRLIQTVVSRFIAKESVEIQPSKIKSVRLLKVLAQSDGRNCVGFIKNGSFQTMTWHLYQSISFLDKWVKSGKENCQLSSALMVEQLRFWKVCIQHGHCVSYFSDIFPNLCIWLNPPIIEKLIENDVLSEFASITTEGYLVLEALARRLPSLFSQKNLSNQISEYSGDDTEFWSWSHVGPMVDIALKWIVMKSDPSICNLFEMENGVGVLLVSQDLSVTSLLWVYSAVMHMLSRVLEKVIPDDTVHSHESGSLVPWLPEFVPKVGLEIIKNGFMDLSDTNDAKHGKDPNGSGSFIEKLCHLRSQGTCETSLASVCCLQGLVGIIVSIDKLIMLARTGVQTPFQNYTSTREEKILKDGILGGCLVELRSVQNTFMKLVASDWHLVQSIEMFGRGGPAPGVGVGWGASGGGYWSATFLLSQADSRFLIDLLEIWKSVSNFDIPTEEEMTLTMLAINSSLGVCVTAGPTEVTYVKKAINILLDVSVLKYLDLRIRRFLFSNKGVKVFDWEYKEEDYLLFSETLASHFNNRWLSVKKKLKDSDGNNLSGSKLLKNGKGSLDTIYEDLDTSHMISQDCTSLVVEWAHQRLPLPISWFLSPISTLCDSKQAGLKKSSNLQDLIQDPGDFLVVSQAGLFFLLGIEALSSFLPDDIPSPVKTVSLVWKLHSLSMILLVGMGVIEDERSRAIYEALQDLYGNFLHQATSCNLLTEPRNENNVEFLAFQSEIHETYSTFIETLVEQFSAISYGDLVYGRQVAVYLHRCVEAPVRLATWNTLTNSRVLELLPPLENCFTDAEGYLEPVEDDFGILEAYAKSWTSGALDRAASRGSLAYTLVLHHLSAFIFNSCTGDKLLLRNKLSRSLLLDFSLKQQHEAMMLNLIQYNKPSTSDRIKQEDGSPAWNAIEKRLVLLNEACETNSSLLAAVEKLRYSLKNKML >ONH93096 pep chromosome:Prunus_persica_NCBIv2:G8:19621153:19624108:-1 gene:PRUPE_8G213100 transcript:ONH93096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSEPQTESERDDLDAIAALKENTAIELKGNQYVKMGKKHFADAIDWYTRAINQQALSDSDTALYRAAKAYFALNLLLESTLHCQNGLKHDPSNEELKKLLRQIESKKMEHEQREAQANVYFFVETMHDLVSAIESRGLKIGKAMYQGLTGLRKPVLDKNNILRWPVLLLYAEVMSSDFIEDFCETEMLSFSVKTKILHCLLEGTAASHVENIGDEEKDANKNSNDGSSAGKGSSKWVKVNEKRALHDVLKEPKFVIRGIPVFFVVSKRSSFYKEFKAGKWAPPP >ONH91051 pep chromosome:Prunus_persica_NCBIv2:G8:12346192:12347744:1 gene:PRUPE_8G090400 transcript:ONH91051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSSSNSRPAASAWASLPWKTRLSVSVLSKLTDHARRADGTINRRLLSFIDFKSSATPTASVKGVTTSDVTVDPTRQLWFRLFVPDTTSSPSSSSLPVVVFFHGGGFSYLSPASFAYDTVCRKFARKIPAVVVSVNYRLCPEHRYPSQYDDGFDVLTFLDQNDDVLPKNADRSRCFLAGDSAGANVAHHVAVRAAREKDRFRVVKPVGLISIQPFFGGEGRVESEIRLHGAPLVSITRTDWLWKAFLPDGSNRDHEAANVTGPNAVDISGLDYPSTLVFVGGFDPLLDWQKRYYQWLKKSGKEAKIIEYPNSIHAFYVFPELPESNQLLSQVKDFVASV >ONH91597 pep chromosome:Prunus_persica_NCBIv2:G8:15055279:15056504:1 gene:PRUPE_8G125900 transcript:ONH91597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRRANQNKQKKEKEKPHQRVAEKPHKRWRRCPRREEAQPPAAQKKTVGKKRSSRKKGEPLSLKLSRINFIY >ONH93093 pep chromosome:Prunus_persica_NCBIv2:G8:19613962:19615349:1 gene:PRUPE_8G212800 transcript:ONH93093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSSAFIHTHLESNHRNNDVQLLLHHPEFDIYSLYRDDDNKDDSRACASSSTFIEYSTNLDNPYAFYAERTGTKTWGSEFVGTCNGLVCIAGKDIDLTTLVWNPSIRKFVVLPKSGVTFCHEYDHREVITFAFGYDRRANDYKVLRRVPCFLGGPLVSCQYEIWSLAKGSWKTLINTANDRHQERDIGNRFFEAGHPPAFVNGALHWLQANVSTWNISIVSFDISGEVFGKIAIPPKAETRKCFDFNRHCVVSRYRESLAFFESCRERRESGLVLLMNMWVMEEYGVAKSWTKLFAICLEGSICRLVGCSKSGEEVVLKLIVDDIVEYQSVNTKTKQVKNFHFDGECSTYYSVMGAFTENLVLLDRPNVFTY >ONH93893 pep chromosome:Prunus_persica_NCBIv2:G8:21983830:21986227:1 gene:PRUPE_8G259300 transcript:ONH93893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRSDRKPPGVGRGRGRGGREDGPGGRQTKGIGRGLDDGGSKGAGGGRGRGGPGGKAGGGRGGGRGRA >ONH93089 pep chromosome:Prunus_persica_NCBIv2:G8:19596437:19603022:1 gene:PRUPE_8G212400 transcript:ONH93089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDLIMTGPSRPFDQTPISPSPSDCYHCSGRNVVGLIAWREISPRARHVPRRRWGESSKRKTGSSSGPKCEATRDAKRGLLSWVEAESLKHLSAKYCHLVPPPRSTIAAAFSPDGRKLASTHGDHTVKIIDCQTGSCLKVLSGHRRTPWVVRFHPLHPEIIASGSLDHEVRLWDLNTSECIGSRDFYRPIASIAFHAKGDLLAVASGHKLYIWNYNGERSSPDIVLKTWRSLRAVHFHPQAAPFLLTAEVNDLDSSDSSMTLATSPGYLQYPSPAVFVANVHSSERLSLAAELPLLSLPFVFVPPFVDDPRIEMQCENGPAGSNSMQVTSASMQFPADANAAELDGSTASPMETFSSVRTASHSNAEENADNSHLNGTVSGVCDRTGDSMETDEMQGVGGSQRGSFTNLDSANDRVPEDISNHLDFGQPHSSFPYRDPAFWELPFLQGWLTGQSQASFPSMLPLNHDGHDTSSLMSHLSAHNVEAVAASLAMPGSTSLSGVSGRSGLQHYYPHFRYSVPDSGDDAASLNTLHDGNDAQPIISRIQSEITTSLAAAAAAELPCTVKLSVWSHDIKTPCALLNAERCRLTIPHAVLCSEMGAHFSPCGRFLAACVACMLPHTEADFGLQSLVHQDSGIATSPTRHPISAHQVMYELRIYSLEEATFGSVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGETTLPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGKLRVLQFDGAHGGNSVGPNYFTEENMAVAL >ONH93290 pep chromosome:Prunus_persica_NCBIv2:G8:20196340:20197503:1 gene:PRUPE_8G223700 transcript:ONH93290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLRLRLPPAGRAWKSFTSKLQSKLHKPKGIKKPRNRVKAITAAAASSSSSACASARLRPYKFLQLRFKRRRRLALRFRYNRPQLLQNRSTAHVFVDKLFKEPAVTVPVERSQPPVEKCKQAAAAATTATGSGGERACATADDMWESLGFASPLMHGIDERAEEFIARFRKEMDVQEKLARDHL >ONH92615 pep chromosome:Prunus_persica_NCBIv2:G8:18286067:18288615:-1 gene:PRUPE_8G184000 transcript:ONH92615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKSSVSDVGAWGMNIVSSVGIIMANKQLMSPHGFGFGFATTLTGFHFSVTALVGLVSNATGYSASKHVPLWELVWFSLVANASITGMNLSLMLNSVGFYQISKLSMIPVVCVLEWILHGKHFAREVKLAVGVVVVGVGICTVTDVKVNAKGFLCACVAILCTSLQQISIGSLQKKYSIGSFELLSKTAPIQALSLLVFVFHTTLLLLSSFLQHQPVPLHRTLLSCLIPGFRSHENSVYPDVGVATL >ONH92614 pep chromosome:Prunus_persica_NCBIv2:G8:18286063:18288882:-1 gene:PRUPE_8G184000 transcript:ONH92614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKSSVSDVGAWGMNIVSSVGIIMANKQLMSPHGFGFGFATTLTGFHFSVTALVGLVSNATGYSASKHVPLWELVWFSLVANASITGMNLSLMLNSVGFYQISKLSMIPVVCVLEWILHGKHFAREVKLAVGVVVVGVGICTVTDVKVNAKGFLCACVAILCTSLQQISIGSLQKKYSIGSFELLSKTAPIQALSLLVFGPFFDYYLTGKVLLEYKLSSSALFFILLSCSLAVFCNISQYLCIGRFSAVSFQVLGHMKTVCILTLGWLLFDSELTLKNLLGMALAVLGMVVYSWAVEQPKTLPITRDSLSEDDLKPLKEGMTESPSNDAELCES >ONH90010 pep chromosome:Prunus_persica_NCBIv2:G8:2754362:2756606:1 gene:PRUPE_8G029600 transcript:ONH90010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKYRRLAIFNILKKSKSITSRLAYRIDIDGNTILHHAAHVSSHPVDAQRSSGPAFQLQEELRWMARVERIMPRHYAMHQNNQGLTAQKLFENEHAKVLKSAKVWIKETAQSCSTVAALVATVAYAAAFTAPGGNDNNGVPVLKHSPFFVTFAVSDIISLIFSLTSLCTFLSILTSPFEYENFYWSLPFRLHLGFALLFFSLAATMVTFTAAVVLLIHHQKMWTTSLIYVVALLPVSMFGLSQFPLYNGFRQCVKCISKKIGETIIQLQLSFKRKKEADREGISKIVKKMLKSHPQAKEPHDTVTHQNILHMAIKHRRLAIFNIIEKSTSIAPKLAYY >ONH91133 pep chromosome:Prunus_persica_NCBIv2:G8:12768983:12770315:1 gene:PRUPE_8G095500 transcript:ONH91133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYASKALYIPSKMDMQALKLRENKTILQSPRERILSTEFGSSGRNLDSYITVKVQRLKYNRRKQAKEEEEEVLVVHGIDVQSDEYVKFDVYINLVDESIVSPSFSEFVGTFVHIPHGKRDANGKTNLKLGISEVLEDLEADSDESIWVTLIPRTKSCTYTIIDGLQIEYMR >ONH91237 pep chromosome:Prunus_persica_NCBIv2:G8:13281525:13282759:1 gene:PRUPE_8G101300 transcript:ONH91237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRRVGLIVRDSHGFLIGAMAIRTPNLLSVLTTKLYALKVGLSFALDASLLPVVVESDYLAAVQLLSKEEKCLAHEGVLVTKIRHLFLALSFCVRFIPHTTNTVAHRIARYSLREEELCYWLGNSKKAIASYQLNHSVAPAKHEPNAT >ONH92843 pep chromosome:Prunus_persica_NCBIv2:G8:18937717:18939425:1 gene:PRUPE_8G199500 transcript:ONH92843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGMENSKFFLILIVFVAFLVGSQGVGDKEKKGELYNAKDGGYGGGPDGGYGGGPGGGYGGGHGGGPDGGHGGGPGGGPDGGHGGGPDGGYGGGPGGGHGGGPGGGYGGGHGGGPDGGHGGGPGGGPDGGHGGGPDGGYGGGPDGGYGGGPFGGYGGGPFGGYGGPFGGYGGGFPLLGGLPLLGGLPLLGGLPFLGGLPLPFGRLGGLPLPFGRHGRGFSSGIQGTKGNGEKGDYGAKGDFLNPKGDYGGKGDFPNPRGDYGGEGDIPNPKGDYGGKENIPYPKGDHGEKGDIPYPKGDYGRKGGFPNPKSDYGGGKGDIPNPKGDYGGKGDVPKGDGGAGGGQYP >ONH92376 pep chromosome:Prunus_persica_NCBIv2:G8:17533426:17535088:-1 gene:PRUPE_8G171100 transcript:ONH92376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTFDSNLFLQITYTPKTLGQTHTHKDMEDKQSRELRSEEEEEEEHAKVDVWKYVFGFVEIAVVKCAIELGIADTIESHGSPMTLLELSSALRCDPSPLYRIMRVLVHLKIFKEKPATQLGPKVFAQTPLSKWLLKSGETSMSALILLESSPVMLAPWHGLSARIQGISNPAFEAVHGEDVWSYAKANPDHSKLINEAMACDARVAVPAVIESCLEVFKGIATIVDVGGGDGTTLRLLVEACPWVQGINFDLPHVVSVAQECDHIKNVGGDMFDSVPKADAVIMKWVLHDWGDDECIRILKKCREAIPENKGKVIIVEAVIDEKDEKEDIKLTNVRLMLDMVMMAHTNTGKERTLKEWGYVLGEAGFSRHTITPIHAVQSVIQAFP >ONH93698 pep chromosome:Prunus_persica_NCBIv2:G8:21444464:21446539:-1 gene:PRUPE_8G248100 transcript:ONH93698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIILLLLLLLLLRLFGRKEREKEFSRNRKSLMDSQRTPRTSSKKPQTGTSSIDLYAAQCDECHKWRVINSEEEFEEIRSKMSEEPFYCNKKPGVSCDDPADIEYNSTRTWAIDKPDLQKTPEGFKRHLVLRKDYSKLDAYYITPKGKKVRTRNEIAAFLKENPIYEGISASDFDFASPKVMEDTIPEIVGKKGSDSSHKKMRPPKDEEETGSV >ONH92911 pep chromosome:Prunus_persica_NCBIv2:G8:19101309:19102983:-1 gene:PRUPE_8G202800 transcript:ONH92911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDGRHHMLGRLASVVAKDLLNGQRIAVVRCDEIAISGGLVRQKMKYMRFRRKRMNTQPSHGPIHFRSPAKIFWRTVRGMIPHKTKRGAEALARLKAYEGIPPPYDKTKRMVVPDALKVLRLQKGHKYCLLGQLSSEVGWNHFDTIKALEEKRKERAQLAYERKKQLTKLRLKAEKSAEEKLGSQLDILASVTY >ONH93175 pep chromosome:Prunus_persica_NCBIv2:G8:19865141:19867524:1 gene:PRUPE_8G217300 transcript:ONH93175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNSYDFSYNNLTGPISTCGIFPKAPANAFIGNSGMIRDLQGVTECNPSVRNSKTSKDNYNILTTVLLSFFGFLVVANSIVLSLMFRKKSKKPDELKISQNFEKLESMILQEEAKFTFGEVVKAIEDFHEKYCIGKGGFGRVYKAELQSGQVIAVKRLNMSDSNDIPAINPQSFKNEIRTLTNIRHRNIIRLHGFCSRWCRIFLLYEYLDWRVAAWEKHRMELKG >ONH93119 pep chromosome:Prunus_persica_NCBIv2:G8:19704231:19706085:-1 gene:PRUPE_8G214300 transcript:ONH93119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRAFIVNHLLMDGDYFISSPLIYNNIDNIYHNNLYTSEFMCPTIFLKQQLNKIFNLHKQRPSEEGRN >ONH90341 pep chromosome:Prunus_persica_NCBIv2:G8:5106342:5113343:1 gene:PRUPE_8G047700 transcript:ONH90341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDSHFPSTHQLTTTHIRSIISKRDKHEPFYVLDLGVLVSLMKKWSHCLPNVQPFFVVKCNHDPAFIAALATLGASFDCASKVEIEAIFAHGVSPTKIVYANPCKDEYHLRYAAGVRVDLATFDSMDEVDMIKRCHLKCSLLFRIAVPNDKSSWRSFGTKFGALPEEVAPLLRHAHKLGLRVVGISFHVGSKASEPQACLSDSDLTVMAEPGRFFAKTAFTMVANVMGKRVRGEKREYWKYLSLYASILSVQTLSPLNLPPSSITIVCATKNIGRAKFCSTKYIGRAKFCATKYIGRTTVCATKYIGRAKS >ONH91859 pep chromosome:Prunus_persica_NCBIv2:G8:15866344:15868263:-1 gene:PRUPE_8G140200 transcript:ONH91859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGQSRQSSHPTSNPCITFFFKASRYTADHTRKKTPPEYWYFGGIQRDLIYYEEEAWKFDPLSTFKLIFALRMVERLDLLWVHKNHPLTLSLNVMALGGKGWFKDLLGFLYFVLEDPMKEAEAKAKSKKNGIINYDYAYYDSDEDDFYQSYPYKEETGVEEKNREEITGTDTRIGRAKMAVERYQSDPDYRNLHDRISEMFAHFLTSDLRFLESGEIEKISFAYKFCASVNSGYDRATLLCENIAKRIFPRHDYEEYSELEEAHYAYRVRDRLRKQVLVPLRKVLESSSSSRKIRYVPTVPGLLSQDPKALAGLRRYRRILSNEREYESTLYLMENGGENGSKSQFKLYINVVEFFRVSIGDGLRLPHQIVFPFLYMNKEHNERSELEWQTLVQDFSNKGKLRNCLAVCDIQESMRKTYEDMVCIGMGLLISELSENPWNGMVFPFSLSPKLCKIEGENLQSKCEFMRRINLQSKGIECSVKLDFLAVYNQILATATSQNLSPEKMPKRIFVFTNTDFRKAFKCDWRDNYRKALKNYRRRGYQTLQDMVFWNLNGGMREPEVINCPLKENHKVGLILTGFSNNMLTMFLNGESDSRPYAAHQIQAPYGIDVQKCIPRAEDVMKCAISGPEFDNLLVFD >ONH90015 pep chromosome:Prunus_persica_NCBIv2:G8:2798164:2799203:1 gene:PRUPE_8G030000 transcript:ONH90015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNDQLSPVFTYISNKRIGWKTYIEEMEESKNDYMVCARDLKIIWSRDRRYWRWVKETENSYVAELLNVCWLKVSGKVENSNLSPGTQYEVVLVAKMKTRAYGWDAPVNFKFTHPGGTKDWTINLENKFKDSKDQWKDIKLGEFIASANPGDIEFWLYKYGGKWKSGLVIKGVAVRPKS >ONH90014 pep chromosome:Prunus_persica_NCBIv2:G8:2798164:2799203:1 gene:PRUPE_8G030000 transcript:ONH90014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGNDQLSPVFTYISNKRIGWKTYIEEMEESKNDYMVCARDLKIIWSRDRRYWRWVKETENSYVAELLNVCWLKVSGKVENSNLSPGTQYEVVLVAKMKTRAYGWDAPVNFKFTHPGGTKDWTINLENKFKDSKDQWKDIKLGEFIASANPGDIEFWLYKYGGKWKSGLVIKGVAVRPKS >ONH91943 pep chromosome:Prunus_persica_NCBIv2:G8:16122535:16126057:1 gene:PRUPE_8G145300 transcript:ONH91943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIGGRHNLLLLLSTFFFGGFEVLNAVPYDYTASAECLANPHKPQYGGGVIVNPELNEGLKGWSSFGDAKIQHRESKGNKFIVALGRNQQHASVSQKVSLQSGKLYTFSAWIQASKGGVPVTAMFKTGSGTYMRAGSIVAESNCWSMLKGGFPASASGPAELYFESKDTSVEIWVDSISLQPFTEEEWKSHQDQSIAKIRKSNVKIQAVDKQGKPLANAKISIQQKSPTFPFGCSLTKYVLKNTAYQNWFLSRFTVATFGDEMKWYSTENTQGHEDYSMADAMLQFTKQHNIAVRGHNVFWDDPFYQLGWVKSLSPQQLASATQKRLNSVMNKYKGQLIGWDVVNENLHFNFFESKMGANASALFYNWAIRADAATTLFLNEYNTIEESADEATTPAKYLRKLKEMRTFPGNERGRFAIGLESHFTTPNIPYIRSSIDTLAAAGVPIWITELDVANGPKQTLYLEQILRETYAHPHIQGIVLWAGPTEKGCYRMCLTDNINFKNLPNGDVVDKLIHEWGLKGLVSGTTDAKGFFEASLSHGDYEVSITHPTSKSSSLVQRLNVKPTASSQKPLFLKFSS >ONH89980 pep chromosome:Prunus_persica_NCBIv2:G8:2512580:2514091:1 gene:PRUPE_8G027600 transcript:ONH89980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERTKMGLHRGSNCWTNPRLGYYYWMVEKMEALRKEGYGSNQGFQGDSTTRGIRFQVNHKVSCVREPREKGNSPPLSPLEGKSNWVTHPSLQVMPSKSNNYQNSNLK >ONH90804 pep chromosome:Prunus_persica_NCBIv2:G8:10977291:10978904:1 gene:PRUPE_8G075300 transcript:ONH90804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGLRPPSPRARAVTNPGMIRNFSTAVAILGMMASTHRFISSTTLLNSGMSRKRKLRRRTLICHCEIAGVTKTRGLGQASIGDLLDISLRHYRRHWNRLAPNCTNSKGPRHLKPKYG >ONH93864 pep chromosome:Prunus_persica_NCBIv2:G8:21914479:21916328:-1 gene:PRUPE_8G257400 transcript:ONH93864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGETEGETLEYTPTWIVAAVCTVIVAISLAVERLLHYGGKYLKKKNQKPLFEALQKIKEELMLLGFISLLLTVFQNVISKICVAHQVMEHLLPCKLPSEEEAAKPTSHFGRRKLFAEESFEMQIGYCAKKHKVPLLSVEALHHLHIFIFVLAIVHVTFCVLTVVFGGIKIRQWKSWEDSIAKENYDSEQVLKKKKKVTHVNQHAFIKAHFLGMGKNSAFLGWVDSFVKQFYASVTKSDYVTLRLGFITTHCRGNPKFDFHKYMIRALEDDFKKVVGISWYLWVFVVIFLLMNINGWHTYFWIAFAPFVLLLAVGTKLEHIITQLAHEVAEKHVAIEGDLVVQPSDDHFWFGKPKIVLFLIHFILFQNAFEIAFFFWIWLQYGFDSCIMGQVRYIVPRLIIGVFIQVLCSYSTLPLYAIVAQMGTNFKAAIFNEHVQVGLVGWAQKVKKKKALEAANDASGSGSGSGQGQASSHGGGLASNMGIQLGKFIKPTKQEETKQDEETK >ONH92064 pep chromosome:Prunus_persica_NCBIv2:G8:16502850:16507897:1 gene:PRUPE_8G151800 transcript:ONH92064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRRTFPLLPLRSKPLNPLLSHAFLILKPSFPKPLPKHTSIFSSSSSSSSSTSSSTTPISNPKTPIAPIPLQWVTRTGFCGELSTADVGKRVTLCGWVALHRVHGGLTFLNLRDHTGSVQVTTLPDTFPDAHSAINDLRLEYVVAVEGVVRSRPTDSVNKKMKTGSIEVAAENVQVLNAVRSKLPFLVTTVDDAKDSVKEEIRLRYRCLDLRRQQMHSNMMLRHKVVKLIRRHLEDVHGFVEIETPILSRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEEMLKLNEDLIRKVFLEIKGVHLPNPFPRLTYAEAMSRYGSDRPDIRFDLELKDVSDIFSDSPFRVFADTLQSGGVLKVLCIPSGAKSYSNTALKKGDLYNEAIKSGAKGLPFLKVLNDGGVEGIPALVSTMDPTNTEQFLSRCSARPGDLILFAVGHHSSVNKTLDRLRVYLAHELGLIDDSRHSILWVTDFPMFEWNDSEQRLEALHHPFTAPNPEDIKDLSSARALAYDMVYNGVEIGGGSLRIYKREVQQKVLEIVGISPEEAEAKFGYLLEALDMGAPPHGGIAYGLDRLIMLLAGANSIRDVIAFPKTTTAQCALTRTPSQVDPQQLKDLLYQTH >ONH90850 pep chromosome:Prunus_persica_NCBIv2:G8:11151373:11151966:-1 gene:PRUPE_8G077400 transcript:ONH90850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFKVSLHSLFSDISPIANSSHLICSSWVQPSFQLHFILLLIAGFSSKGFLGFVKKGRKI >ONH94107 pep chromosome:Prunus_persica_NCBIv2:G8:22470530:22471117:-1 gene:PRUPE_8G270900 transcript:ONH94107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSISHKQLHASATGKVILSNGSVHQFNKPLTVAELMLEHPQQVVVEFGAAVSEKRPTPLPADMKLDKKKIYLMLPMKRGKPVSLSSQEIHGAVLSANLVLRSRSLLSSSRFLPFFARICPAGHPGQACALPNKESCAERTSNSKYMPQFDLPEDLDARPEYLSRQFSGKGTWKPNLDTIKEKKVDKKKSHWLF >ONH89919 pep chromosome:Prunus_persica_NCBIv2:G8:2181360:2181646:-1 gene:PRUPE_8G024100 transcript:ONH89919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLLYFNFSVTLDFCFIFFGYMELCIYCITNPYLLCLALLVERMSDYHLPLHLPLITVFCSVWTCA >ONH89578 pep chromosome:Prunus_persica_NCBIv2:G8:261913:262383:-1 gene:PRUPE_8G003000 transcript:ONH89578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWEMLLYIYILYSPDWHYRSTMPTFLFLYGAVFAVFHSHVRFRIGFKVHYVILCLLCIPRMYKYYIHTKYVNVKWLAKLYLGTISLGILFCGWYFNPQGHALWYVFMGFNSYFANTFLMFCCAEQLGWNPAVVNFMGFFPYVKI >ONH89893 pep chromosome:Prunus_persica_NCBIv2:G8:2023441:2025113:1 gene:PRUPE_8G022500 transcript:ONH89893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSAGKSPRRELQLQGPRPTPLRVHKDSHKIKKPPIVPQPSSQPPSQQPHLYQQPRQPVIIYTVSPKVIHTNPSEFMDLVQRLTGLNSSSSSSPPTQNNPNNPPNYDNSNNNNSRDDQVMKTSSAQDVAQGMEMDGDEGGVRQKGLFPGILSPGPASLNPIPSNFFSPPSDSFFHDLSPVLHGNRNFIEGNATQLDRRSSPFMWRHSSNPR >ONH92375 pep chromosome:Prunus_persica_NCBIv2:G8:17522640:17524802:-1 gene:PRUPE_8G171000 transcript:ONH92375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKQRELGGEEKEEEHAKLEIWKYVFGFVEIAVVKCAIQLGIADAIESHGSPMTLLELSSALRCDPSPLYRIMRVLVHLKIFKEKPATQLGPKVYAQTPLSKWLLKSGKNSMAALILLESSPVMLAPWHGLSARIQGVNNSAFEAVHGEDVWSYAAANPNHSKLINEAMACDARVAVPAVLESCLEVFKGLETIVDVGGGDGTTLRLLVEACPWIRGINFDLPHVVSVAQECDRIENVGGDMFDCVPKADAAIIMWVLHDWGDDECIRILKKCREAVPEDKGQVIIVEAVIEEDNEKQDKKLTNVRLMLDMVMMAHTNTGKERTMKEWGYVLGEAGFSRHTITPIHAVQSVIQAFP >ONH93527 pep chromosome:Prunus_persica_NCBIv2:G8:20855861:20857749:-1 gene:PRUPE_8G235800 transcript:ONH93527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFYGKFSPNMSCCYNSICTINQYFRTHNSIHNLFNGSRSGAGNANNTPAAGGAKTVGRWLKERKEKKKEETRVHNAQVHAAVSVAAVAAAVATIAAATAASSASRKNEQAAKTDMAVASAATLVAAQCAEAAEAMGAEHDHLTSVVSSAVNVRSHDDITTLTAAAATALRGAATLKARAMKEVWNIAAVIPVEKGMGIGVCGKANNGESNGSFSDELVVPSPLDTTFLGVCNQDLLARGTELLKRTRKGDLHWKIVSVYIHRTGQVMLKMKSKHVAGTFTKKKKNVVLEVCKNMSAWPGRHLFDDGEQRRYFGLKTEARGVVEFECKNQREHDVWTHGVSRLLSIVAERKNRH >ONH93526 pep chromosome:Prunus_persica_NCBIv2:G8:20855525:20859243:-1 gene:PRUPE_8G235800 transcript:ONH93526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLPMLSTRRTEQNPINLDHLPKSPRAPMEFLSRSWSASALEVSKALSHPTPPLPPSCMASSKSSSNSSSSCNTTTTTSTIPEDIAGESEELPVLSGHQFYFASSVTSQLVLDRIMSQSMREEVSPLTSGRLSHSSGPLNAGGSLTETDSPPVSPSEEYDDVVKYFRTHNSIHNLFNGSRSGAGNANNTPAAGGAKTVGRWLKERKEKKKEETRVHNAQVHAAVSVAAVAAAVATIAAATAASSASRKNEQAAKTDMAVASAATLVAAQCAEAAEAMGAEHDHLTSVVSSAVNVRSHDDITTLTAAAATALRGAATLKARAMKEVWNIAAVIPVEKGMGIGVCGKANNGESNGSFSDELVVPSPLDTTFLGVCNQDLLARGTELLKRTRKGDLHWKIVSVYIHRTGQVMLKMKSKHVAGTFTKKKKNVVLEVCKNMSAWPGRHLFDDGEQRRYFGLKTEARGVVEFECKNQREHDVWTHGVSRLLSIVAERKNRH >ONH91887 pep chromosome:Prunus_persica_NCBIv2:G8:15924474:15926315:-1 gene:PRUPE_8G141700 transcript:ONH91887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAGSSSAAQAEPFVENEEELIFGAESGWVAARTFCDHLPSLSTDLAHIPAPDTPCNRCQHPNENWLCLCCKDVLCSRFVNKHMLQHFQQTNHCLALSYSDLSVWCFSCDAYLDAQVIPQLRGVYETAYILKFGEAPPFRTV >ONH93352 pep chromosome:Prunus_persica_NCBIv2:G8:20417143:20418342:-1 gene:PRUPE_8G228000 transcript:ONH93352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCINFSFEGENLCDISWAAKNYACSFCKREFRSAQALGGHMNVHRRDRARLRLLPPSLSSEICPHNPNPSSYFSPSSSSSSSSLSSSKGLPHNYSSCHSLLSPSLRALMSATSSTDGNKKPKFKYNSPQNHVPNLIPKKGKRGAVDHEAGEILKGFAQKDLDDEFNKVDDLKKESNIIRLDLDMGFFKDHKEEVDLELRLGHL >ONH93351 pep chromosome:Prunus_persica_NCBIv2:G8:20417175:20418249:-1 gene:PRUPE_8G228000 transcript:ONH93351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCINFSFEGENLCDISWAAKNYACSFCKREFRSAQALGGHMNVHRRDRARLRLLPPSLSSEICPHNPNPSSYFSPSSSSSSSSLSSSKGLPHNYSSCHSLLSPSLRALMSATSSTDGNKKPKFKYNSPQNHVPNLIPKKGKRGAVDHEAGEILKGFAQKDLDDEFNKVDDLKKESNIIRLDLDMGFFKDHKEEVDLELRLGHL >ONH91716 pep chromosome:Prunus_persica_NCBIv2:G8:15471446:15472705:-1 gene:PRUPE_8G132600 transcript:ONH91716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVMKHGEHLLTPVHLKLPSGVEWEIEFRRCNNDGVWFKTRKRYRGRITKPEIEETDYEEEDDDNSVKILDGFQPCPRKAREKSPLPCPQPHKKMRICKQGLRLLQIRNEKAIAFESASPSFTVVMHPSYLQKGLLTVPVGFGKRHLMKQPAGNAILKVLDGGTWSVKFTYSKPKAQFQQGWRAFVRGNNSSDDYDLFINVDYGLFISDLEQSEALVLSEFSNIISEV >ONH91977 pep chromosome:Prunus_persica_NCBIv2:G8:16255868:16257189:-1 gene:PRUPE_8G147600 transcript:ONH91977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLERMRKLDDLIASTTKKFEDLITTRALVLVLLNCHIFASASIINTLPGFSGNLPFKLETGCCSPHELLIRMKYESENDGFDDKLKVRGVGDLDDVQLFYYFIESERSPKEDPLLLWLTGGPGCSAFSGLVYEIGPIMFNYANSSGNEPTFVLNPYSWTKVNLLLNLYLIRQEHYPNALDNVQVANIIFLDQPVGSGFSYAKSWQGYEIGDISSAAHS >ONH89622 pep chromosome:Prunus_persica_NCBIv2:G8:386347:386556:1 gene:PRUPE_8G004800 transcript:ONH89622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKFDYVVCSIEASKDLDNLSIDELQSSLLVHEQRMNGHAMEEQAWKVAMQKILYSYHMILDLIKFAF >ONH93376 pep chromosome:Prunus_persica_NCBIv2:G8:20477586:20480593:1 gene:PRUPE_8G228800 transcript:ONH93376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGLVEISLQCFDVLAWPLLALVYPLCCSIRVIETNSISDAQKLNTYWVVFSLILLLEHAFLKLLEWLPPWHYIRIMVAFWLVIPHFDGAFYVYKHLICPCLTMDPQSVTTWFNKWRKESLFVSKDVHAEVERYVKENVPEALERTIACEAEPNLTGTENNKYTFGEIKGKTTEVAEGVSGDGLKKIIIMNFKENVQGQQQNTNEALRMKGSRLWCNVCSVRCPGEIDMASHLSGRRHKENVQEQQQNASKAPMKNDPPLWCSICRVHCTGEINMESHLSGRKHKENVQEQQQNASKAPMKNDPLLWCSICRVRCTGEIDMASHLSGRKHKEYVQEQQQNAKKTPRKNEPPLWCKVCSVGCSGQITLASHLNGNKHKEKVQEQQNTKKNGPALWCKICNVGCSGQITLASHLNGNKHKEKVQEQQNTRSMVQNL >ONH90713 pep chromosome:Prunus_persica_NCBIv2:G8:10360356:10366067:1 gene:PRUPE_8G070900 transcript:ONH90713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTFLSLPAKRSLSTMSSDANFENGSSKRSRPPPPPLSVPPGHVAFRMLCHASRIGGVIGKSGSVIKQLQQATGAKIRIEEAQVESPDRVVVVVAPSAIRSRIWLRTPGLENVNVGGGGGEEEIEVSKAQEALLRVFERILEVAAETGAIPADVGVVSCRFLAEAAQVGSVIGKGGKVVEKIRKETGCKIRVLNEKLPACAFSTDEMVEIEGDILSVKKALVAVSGRLQDCPPVDKTRMTGSRPLEPVPYETLPDLRLDHLSQRNSMLTSLPSSSMSYASSGVRTSSIEAERIPTLETKMVQQEVTFKILCANDRVGGVIGKGGAIVRALQNETGAAISIGPSVAECDERLITVAASEFPESRYSAAQKGIVLVFTRSVEAGIEKGRDSSSNKGSSLTARLVVPSNQVGCLLGKGGVIVSEIRKVTGTGIRIIGGDQVPKCALENDEVVQISGDFSSVQDALYNITGRLRDNLFSSTVNNSGRRSSSSMLTDTSPYGRLRDPTPIGFQPSSSVGINHGLSRHTTLTQSMDHLGLSHSLDHPSSSPRPWASQMVASRGITDTGRGLTSLKSGTELGSGNKSAIVTNTTVEIIVPENVIGSVYGENGSNLARLRQISGAKVIVHEPRPGTTDRIIVISGTPDETQAAQSLLHAFILTGPS >ONH93340 pep chromosome:Prunus_persica_NCBIv2:G8:20364352:20365590:-1 gene:PRUPE_8G227100 transcript:ONH93340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRFGEQLGQICLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMYVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTYVVSRPGGMIGPYSGGARRLYVGNLHTNIKEDDLRRVSTFLTQF >ONH93767 pep chromosome:Prunus_persica_NCBIv2:G8:21615989:21617407:-1 gene:PRUPE_8G251500 transcript:ONH93767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVIRAYYHHYDIGMRIKQSAIIISWSFWVCFMFKSNLVYFIQLDSSAVIRYPCLVLNTSRLINFLMCHHAWMLSTVSLLLHLFDLCSKQSFMMLQLDAASFQPFWLNDR >ONH93559 pep chromosome:Prunus_persica_NCBIv2:G8:20995711:20996643:-1 gene:PRUPE_8G238400 transcript:ONH93559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLVVAEPKNQYYNRVKPHGPVRYGPSPSKDFRAINCRTFQSGTGILPTPSKNCSTPVSKRACSSSSKITTPGPNKTPTPSVGSQSDSKTLGKSTPIAINVKSSKKEKPFNGSFSFSELWAGPAYSNSPPPSSLPIPKFSIRPKRTVSLELPSSASDMEMHPTHPIAKSAPASPTREHSSSARDLFHNADSATRTLRRILNLDVDDE >ONH89641 pep chromosome:Prunus_persica_NCBIv2:G8:506392:507064:-1 gene:PRUPE_8G006200 transcript:ONH89641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYKHRIILDAGELREKHPNCIPLTLEKDPRSDIPDTDMKKFLEYGDMPLRDFVSFIRTRIRVSRKKRIFVFCRNTEPPFDALMSTIDEENKDEDGFLHITFIGEGRVSGSNEEQ >ONH91104 pep chromosome:Prunus_persica_NCBIv2:G8:12589143:12591054:-1 gene:PRUPE_8G093200 transcript:ONH91104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQVLHMNGGVGKTSYANNSLLQRAVISTVKPIVDASIEELCCTLFPECLKVADLGCSSGPNTLLVVSDIIDNIRNTFQKRNRPPPSLQAFLNDLPRNDFNTVFRSLPGFYKKLDEEPEKKLGPCFIAGMPGSFYGRLFPDNSLHFVHSSYALHWISEIPKGLVTKEGEALNKGNIYIAKTSPPAVFKQYLEQFKRDFTVFLRSRAKELVPGGSMVLTTMGSIKSDDPLCIWEFVGLKLNDMVLEGLIGKEKLDAFNMPFYTPTTKEIEEVIQAEGSFILQNLEVFKNDWDSYVKQANSGLDKKTRAAIFATDIRAVGEPILACQFGEAPMDDLFRRFEADVLDHMERENCQFINLVISLTKKR >ONH90355 pep chromosome:Prunus_persica_NCBIv2:G8:5359605:5366343:1 gene:PRUPE_8G049100 transcript:ONH90355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPVQDFHEEEEDDQGEVYLDEADIIGEVDVDDEDLPDADDEIIDEPDDSMHIFTGHTGELYTVVCSPTDPTLVATGGGDDRGFLWKIGQGDWAFELQGHKDSVSSLAFSTDGQLLASGSLDGIIQIWDITSQNLKCTLEGPGGGIEWVRWHPRGHLVLAGTEDSIVWMWNADKGSYLNSFSGHGGSVSCGDFTPDGKTICTGSADATLRIWNPKSGENIHVVQGHPYHTAGLTCLAISSDSTLAVTGCEDGSIHVVNIVTGKVVSSLPSHSDSVECIELAPSFPWAAIGSMDNKLIIWDLQHSLARSTCDHEDGVTCLTWLGGSRYLATGCGDGKVRLWDSLSGDCVRIFNGHSESIQSLSVSANQEFLVSVSTDGTARVFEIAEYK >ONH92981 pep chromosome:Prunus_persica_NCBIv2:G8:19235192:19237435:1 gene:PRUPE_8G205500 transcript:ONH92981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEFTRKKVKTYANICDICHQYNEAVLHNKFWGQRCCVEHYKDGTLRCSTCHRLKQPEIKYVDLGNGRKLCSDCYSITIMDPEECEAHLFENVRTFYTGLNLNLDEDIPISLVDKDEMSRVHKRNYEMRRHIIGLATSIWNFKPVVTINRCSKYGRQN >ONH90892 pep chromosome:Prunus_persica_NCBIv2:G8:11478089:11478731:1 gene:PRUPE_8G081400 transcript:ONH90892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQFPSIMHAKQILRRGRFLANGAASTSSVGVPKGFLAVYVGESEKKRYMVPISFLSQPSFQELLRKAEEEFGFDHPMGGLTIPCREEIFINLTSQLNGM >ONH92727 pep chromosome:Prunus_persica_NCBIv2:G8:18622099:18623493:-1 gene:PRUPE_8G192000 transcript:ONH92727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTTRPKLLLLFFTLIFAAYVLQGSRTQVAALPHQQRVYDSSPPQEEQLLKQSRKETMNYARRLMIGSTAPTCTFNECRGCKFKCRAEQVPVEGNDPINSAYHYRCVCHR >ONH92189 pep chromosome:Prunus_persica_NCBIv2:G8:16959344:16961939:1 gene:PRUPE_8G161300 transcript:ONH92189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSPWAKPGAWALAAEEQDAELEQETQNARHVVEPPSADYPSLSVAATAKPKKKNKGQKISLAEFTAFGAPKPVAQPEGLTHQDRMHLPTGPRERTAEELDRNRLGGGFRSYGSDRGNSRFSNGEESSDSKWGSGQRREGGFGKESNRDGPSRADEIDDWGAAKKSTVGNGFERRERGAGGSFFGGSQSKADESDSWVSNKSSVSSEGRRFGASGGGFDRERKVGFTSDGGADSDNWGRKKEESNGGSGFDRERRVGFVSNGGGADSEVWGKKKEESNGGLSESTGRPRLNLQPRTLPVSNETSPGSTTVPKSKGSNPFGEARPREEVLAEKGKDWKKIDEELESVKIKEVAERDHSPSFGKRSFGIGNGRAGDRTERAWRKPDVADARPQSAEENESRSSSLEPENVC >ONH93885 pep chromosome:Prunus_persica_NCBIv2:G8:21963006:21964222:-1 gene:PRUPE_8G258900 transcript:ONH93885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSKRKHVVTRKQQNVDNQQTLQQPNPHSTTLSFKCKQTMSSPTSIDRCKENPNPDNYLFSFGCLI >ONH93614 pep chromosome:Prunus_persica_NCBIv2:G8:21199953:21203790:-1 gene:PRUPE_8G242600 transcript:ONH93614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKSTPPQDTEDTKTQFEFLKKFKRFNPLEPSLAILGFFLVSLLFISCFSYLDYHMVISGIRSRGFALLGLHGSSSLPSSTGNVRPGFLDEGCVACDVFDGNWVWDESYPLYESQNCSFMDGGFRCSENGRPDSIFTKWRWQPKDCSLPRFDAGKMLEKLRNRRLVFVGDSIGRNQWESLLCMLSSAIPNKTSIYEVNGNPITKHSGFLVFKFEDFNCTVEYYRAPFLVFQGRPPAGAPAKVKYALKVDQLEGTYTKWRDADVLIFNAGHWWSYAKTLREGCYFQEGEEVKMNMTVETAYRRSIETVIDWIGSEVNMSKTYVLFRTYAPVHFSGGDWNTGGGCHLETLPGLTSLPDSCTSVCKTIFDIVSERSNESHVRKLDLLNVTNMSRWRKDGHASIYYLGPETGPASIKHQDCSHWCLPGVPDAWNELLYALFLKRESILTGNSTKSS >ONH93877 pep chromosome:Prunus_persica_NCBIv2:G8:21945076:21946729:-1 gene:PRUPE_8G258300 transcript:ONH93877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEKCLGAQRTRRIHRALRHGKVTILCLVLTVVVLRGTIGAGKFGTPEQDFIEIRDHFYSRKRAEPHRVLEEVQSTPADPNNYNAFDINKILVDEGEEDRPEPNKPYTLGPKISNWDEQRSKWLKENPNFPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEVFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDYDFVMHGWKEMVYDQKNWIGLNTGSFLLRNTQWSLDMLDTWAPMGPKGKIRDEAGKILTRELKDRPVFEADDQSAMVYILATQREKWGDKVYLENAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHSSLGSRRVKRVRNETSNPLEVKDELGLLHPEFKAVKVSSS >ONH90671 pep chromosome:Prunus_persica_NCBIv2:G8:10066309:10067089:1 gene:PRUPE_8G068400 transcript:ONH90671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIVVGIPEGKFGNGGNVTLGIVGIVGKLGSGGSCVVGLGSDGWLVGKFGKVGCGSVGRGGKGGSVEAGLGNVGTAVCKRLRPATVTWMLDEHKITKIARKQYLQEAIGSSYSSLKKIWK >ONH90348 pep chromosome:Prunus_persica_NCBIv2:G8:5267376:5268109:1 gene:PRUPE_8G048400 transcript:ONH90348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTINREELGKVEIILDQELEKAKGEIGVGDAIYLEKLLSEIRDKCDQGLQNLKAKQSEYKLWADMLEQEFQLKLKKKKEKFEERMKREKEKHREEKEKWEEERSLLNAKYEAMRWT >ONH91435 pep chromosome:Prunus_persica_NCBIv2:G8:14217947:14219866:1 gene:PRUPE_8G114200 transcript:ONH91435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDPAFIQDPDHRPKLSITEVEGIPLIDLTPIISPDSISDPKAIEAVVREIGNACRDWGFFQVINHGVVLDKLRKIETAARKFFALPLEEKRKIRRGEKSVLGYYDSERTKNVRDWKEVFDFTVEEPTLVPASPDPEDKEETEWYNQWPEHPPELREVCEEYAREVEKLALKLMGLIALSLGLPENRFSSYFKDQTTSIRLNHYPACPSPQLALGVGRHKDGGALTVLAQDDVGGLEVRRKTDGAWIRVKPTPNAYIINVGDSIQVWTNEKYQSVEHRVMVNSEKERFSIPYFLNPSHYTIIKPLEELINEQNLAKYRPYSWGKFMTNRKLSNFKKLNVENIQIHHFRVSE >ONH91170 pep chromosome:Prunus_persica_NCBIv2:G8:12887066:12888737:-1 gene:PRUPE_8G096700 transcript:ONH91170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAASICSRLGVKHLVSNTPVYSRASDVTAEGLSLTFRRWATKKSAGSTKNGRDSNPKFLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGLGKDHTLFALKEGCVKFEHHKLSGRKWVHVVPKEGHMLHPVYADVATT >ONH90087 pep chromosome:Prunus_persica_NCBIv2:G8:3177024:3177407:-1 gene:PRUPE_8G034300 transcript:ONH90087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYTTFKLFLLTALLALTMPFLATSARPLNPNIIRSNSNLVAWLNLDEESSNCWDSLFQLQSCSSEVVMFFLNGETYLGHSCCEAIRTIEHQCRPALLGTLGFTVEETDVLKGYCDEADHVKSPPS >ONH89945 pep chromosome:Prunus_persica_NCBIv2:G8:2336147:2337233:-1 gene:PRUPE_8G025600 transcript:ONH89945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVHCLISTLAILAFATFLASASDPSPLQDFCVALKDIKDGVFVNGKFCKDPKLANANDFFFSGLQNPRNTQNPVGSNVTAVNVDNLAGLNTLGISLARLDFAPNGLNPPHTHPRASEILAVLEGTLYVGFVTSNGDGNRLFTKVLNKGDVFVFPIGLIHFQLNVGHVNAVAFAGLSSQNPGVITIANAVFGSKPPINPDVLTKAFQVDNKVVDYLQKQFWYDNN >ONH92721 pep chromosome:Prunus_persica_NCBIv2:G8:18581539:18584634:1 gene:PRUPE_8G191400 transcript:ONH92721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCTLRADDIPRACHRSHGPVRTVNMDRFRPLFNASPGSNLPVVRREDGGDGDGVVVHCMKWGLIPSFTKKTEKPDHYKMFNARSESICEKASFRRLIPKNRCLIAVEGFYEWKKDGSKKQPYYVHFNDGRPLLFAALYDFWENSEGEKLYTFTIITTSSSSALGWLHDRMPVILGDKGSTDSWLSGSSTSNFDSLLKPYEGPDLVWYPVTQAMGKVSFDGPECINEIQLKTEGNNSITKFFMSKGTKKEELNPKDTSFYDSSVKNDLPKSVKEEPEGKEKTEQPASTEKCENDSKGQTISQEGVSKGQTKRDYEEFSADSKPVAYETSEMSASPAKKKVNPKSSVDKQPTLFSYFGKS >ONH90045 pep chromosome:Prunus_persica_NCBIv2:G8:3038401:3039237:-1 gene:PRUPE_8G032200 transcript:ONH90045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLWRVLPNAIFTHWNLYRSKIIDSPVCPICGVEEETIEHLLFLCPWTNAVRFVVPLNYIVDMRGFSSVDRWLKGVINFQGLSKREVHFLLTNISFICWEIWKTGCNFVFKSSHIDPRLTIDRAIQNRREFLDAKAKSPMPFGDASVDPNILAQGWRPLMSNYVKINFDGAWKKDSHLAGLGVVARDAIGSFCGGLATSFHCNLALVAEAAASLRAFKFALNHNFTDIILETDSKILVEGVRGGENKMVFGLSNLFWMSLRRSLFVLDRFFGAGCQGN >ONH91670 pep chromosome:Prunus_persica_NCBIv2:G8:15231977:15233360:-1 gene:PRUPE_8G128800 transcript:ONH91670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVPEASNDRLFSETTLLEFEDEKLYISNCLKSLERKLSEISCNGVPSNMPNGGQARKYMDDEPIQEETPRQERVLLNGQVEENVVHGQKDLHVSNGSSAAQEGPAHSESLGHEEKNDSDFHGQNDFMDHRETELVVLENEISDLNDRLEALETDHDFLEYMLYSLQNGNEGLRFVQDIAHQLRELRKLGTAFRCQSVS >ONH90442 pep chromosome:Prunus_persica_NCBIv2:G8:6143789:6148384:1 gene:PRUPE_8G054200 transcript:ONH90442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANENEKKLNEEEDDEPKIPVFTVLKNGAILKNIFIVNKPPPPPTNKPISSVHQQTQEEILIVGRHPDCNIVLTHPSISRFHLQILSNPSSKKLSLTDLSSVHGTWVSEKRLEPGVRVELREGDILRVGGSSRVYSLHWIPLSRAYDFETSFVPLTNNDEDETAEGVVQGENSLSVENKEIESPDSNSVGIESLLPEENVGLSGKKEIPSAPPMPENAIYSIFDQIEEGGDSLSKGTDEVNEFSSFWAFGTESVNLFLNMEESSSNPKENPESSYFIEMERETYPTAQVPEETENQSPLIKDHGHIDISCPSGPLVMENLTFPIGEVLGENKDEQVEEESLEPISNLLVNLNFEHLEEKEEEAYPAAQVPEKLDSQSPLRKDDGPLVMENLSLPIGKVFGEDKDEQVEEERLEPNSSLLVNLNFEHLEEKEEETYPAAQVPEKITSQSPLRKNDGPLVMENLSLPIGEVLGEDKDEQVEEEIPEPISNLLDNLNFERLEEKEEEAYLAAQVPQKIDSQSPLRKNYGPLVMENLSLLIGDVLGEDKYEQVEEEILEPISNLLDNLNFEYLEEKEEEAYPTAQVPEKIDSQSPLRKDDGQTDVSCLSSPLVMENLSFPFGEVLAKSEGQQVEEESLTLEQELNLLVNLNFEHSDEIECPVEERIGETENKSVSPEDHEKRDSTSLHSEPRMKESINSSTLDGILSELIDDRESQTPQSLFTAVGQPESDICESPPLRSGNKSGMRGSIWARRGKRASVVQLQTDRSRGKTEEARYGDDIELEEEIFTPDKENLTPNTLRLRSLKRNGEIEFKHSKSRRSSSLKLSLISSICQQDLIVSPEKENQKLKELRKRKSVGTTSGKQARVEKKLVETKERRERMPFQSLLKNSGGKNISETSVPNTATRSSASSSCTRTTRKVANPLLNKSVGEGKRRGWTMVADTTTLLDKESRKSLQLLQGLKGTRLIIPRMVIRELDYLKQRGSLFRRKTEACLVLEWIEDCMVKTNWWIHVQSSMEDGRLIAPTPPVSPQSLSSDKSWAFPSGATSSLPFSRWSLMDLVSPTAEDHILDCALLHRKMKNDGQLVLLSNDVTLKIKAMAEGLLCETAEEFRESLVNPISERFMWPDSSPRGRTWSYVPDAVLRERYSSCPLKKSSTGEGAKGLKLILLHNSHYGQIR >ONH91544 pep chromosome:Prunus_persica_NCBIv2:G8:14820045:14822956:1 gene:PRUPE_8G122600 transcript:ONH91544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPMAVLQDQNRNFSYPPSESMKMEKLFGQKSSCLELMALSNVVNVNPIMSQSHKRKRVITRVKVESLYDSSQAQSSVMERAKKVEASLDPKFPVLLKVMMPSHVTGGFCLSIPKKFSCQHLPKQDTMIILEDEDGKEFETKYLVEKGGLSGGWRGFSIAHKLLQGDIVIFHVVAPFKIKVYIVRSNGLDEVDCVHGLMRLDACTKQINAGQIIPYETEKSGPVSDQSENDSEDLGFEFMDGLRLAESDVTFKEVKCMENFTVVVNGLVINSEFSNYILTKYYELCCSQNAFLHEHLLEGLNCKLIAGVISETVNIADAIRACKITTTEGDFSTWSKTLKAFEDLGMNSGFLRVRLDRLASLASESKRLKEARLEKDHPEEEMTSLDANPLEVKETINMTSILELTFQEVAKAPW >ONH93429 pep chromosome:Prunus_persica_NCBIv2:G8:20617834:20618852:1 gene:PRUPE_8G231600 transcript:ONH93429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQLLSYKSVPLQIAHKLLKFPTNSLSKLKIMATITASTPTSSITRASLVHKPSVGAPSATVLALPSLARKGKVGCSMEGKKESSNSKMGKGASLAAAAMAATMSSPAMALVDDRLSTEGTGLPFGLSNNILGWILFGVFGLIWTFYFIYTSSLEEDEESGLSL >ONH93435 pep chromosome:Prunus_persica_NCBIv2:G8:20639611:20643744:1 gene:PRUPE_8G232100 transcript:ONH93435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNILILSLYCLGDDKFLLSTSYFLKNSLLLPFFFSLSLWFFCDSAMEVSLSSATSRGYTYNPSPEPFLLKRVKLPTWDRHLLSLSPTRVRPQTLFVQKGPHSAKDNSVKVVCCLKREATVVVEGRCIDEVYDALAERLVPTAAAMSNPNLKYIVGLAGSPGSGKSTIASEVARRVNRLWPQKACALDSQVSPPDMAAVIPMDGFHLYCSQLDAMENPEEAHARRGAPWTFNPELLLKSLKTLRSQGSVYAPSFDHGVGDPVEDDIFVSLQHKVVIVEGNYLLLDDGIWKEISAMFDEKWFVEIDIDKAMERVRMRHILTGKPPDVAKWRIEYNDRPNAEKVNKSKKNADLIIRSIDY >ONH89862 pep chromosome:Prunus_persica_NCBIv2:G8:1854966:1855214:-1 gene:PRUPE_8G020500 transcript:ONH89862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSALPFRGPLLLSLRKLLLAKNYGVTIEKSQPPSCLLNSSLKIFCSTSIQSKPYANVCYQDIKEEARVNKTKSPMRKGNI >ONH91365 pep chromosome:Prunus_persica_NCBIv2:G8:13946607:13949936:1 gene:PRUPE_8G109900 transcript:ONH91365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSITIHGASSSSSSSPHSWTHDVFLSFRGEDTRYNFTDHLHSSLDRKGINTFMDNDELERGTDISPALLKAIQGSMISLIIFSENYASSTWCLEELAHIIQCRESKQQMVFPIFYKVDPSHVRHQRGTFGEAIANHECNFKNDMNKKLRWKAALVEAANLSGWHFLHG >ONH89708 pep chromosome:Prunus_persica_NCBIv2:G8:895262:898388:1 gene:PRUPE_8G011000 transcript:ONH89708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTASVVLTQNLSDTKRTTSFNHSANDDEIPTIDYSMLICSNDPDQRLKALQYLAYVCEEYGFFYLVNHGVPDSVIERALNGISDFFNLTEEEKMDYEKKDSTDRIRWGLGFSPGDHEAVKREYLKVLPHPKFEGPAKPAGFREALEDYYQRNREVIINLAKAVSKTLGFEENYLEKEFELETGADVSAMNVYPPGFKPNTQIGLPAHYDPGYLVSLVQNVNGGLQLNYKQKWINVDMPSNALFINIGDHVEVLTNGKYKSPMHRVILNNEVRRVSVATVHGPSLDTFVKPAPEFVGESHPPAYRGMIYKDSLEANGYHEIDGKSCIAQLRL >ONH91193 pep chromosome:Prunus_persica_NCBIv2:G8:12951048:12951994:1 gene:PRUPE_8G097700 transcript:ONH91193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFATPSPDTTPNNQTAQRGKLNPTTIPTTPSEPNHPCLALSPSLRTPPHPPKFSAHTTSYFPPQIQQFFSQIQD >ONH90046 pep chromosome:Prunus_persica_NCBIv2:G8:3040356:3040905:-1 gene:PRUPE_8G032300 transcript:ONH90046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYTTFKLFLLTALLTLTMPFLATSARLLNPNLIRSNPNLAARLNLGEESSNCWDSLFQLQACSGEVVMFFLNGETYLGHSCCEAIRTIEHQCWPALLGTLGFTAEETDVLKGYCDEADHVKSPPANPPSPPSIHDPINVKVVPNLEKLVP >ONH89831 pep chromosome:Prunus_persica_NCBIv2:G8:1768900:1769616:-1 gene:PRUPE_8G019000 transcript:ONH89831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTGSSLHSPTNGSLITILSSDGDGMRGIITGVILKFLESYFQKLDGKHVRLAYYFHVVTWTSTSGLVTVMFTTPDENNNPLYVDEDIIPLYLEHCPKIFPKT >ONH91490 pep chromosome:Prunus_persica_NCBIv2:G8:14534427:14534789:-1 gene:PRUPE_8G118400 transcript:ONH91490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAHGVQKVITATDVGRLAVTRKILAVLPPVNPGNAISINVFDSQTDRVYVFKLAGRGGRYLKPVLQSRGWKRFVNDRGIAVGDVVCFWEEENPTYQTHYRIALFKPHLFPCHPDLHGV >ONH91484 pep chromosome:Prunus_persica_NCBIv2:G8:14460838:14462670:-1 gene:PRUPE_8G117800 transcript:ONH91484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMDELIVGKCWFGLKIYSVLIFKDTKYVDLCSQICSRFKELNSCEMEMTYAIGNH >ONH90263 pep chromosome:Prunus_persica_NCBIv2:G8:4487026:4494946:-1 gene:PRUPE_8G043300 transcript:ONH90263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVARTLLTFSLSSNLLSLSRLPAAKRFSLIKLPKTTGVLTGFRPLCTATTTTISIPESAIEAEEQETQPLKHSLLLEKLRLRHLKSSAKPQTSANPNGPAQRQSEDGLGKPENKKKREVENFGGLGLTEEVLAAVREMGIEAPTEIQCIGIPAVLEGKTVVLGSHTGSGKTLAYMLPLAQLLRRDEAENGIQMKPRRPRAVVLCPTRELSEQVFRVSKFVSHHARFRSTMVSGGGRLRPQEDSLNNPIEMVVGTPGRVLQHIEDGNLVYGDIKYVVLDEADTMFDRGFGPDIRKFLVPLKHRASKPDGQGFQTVLVSATMTKAVQTLIDEEFQGILHLRTSSLHKKIASARHDFIKLSGSENKLEALLQVLEPSLAKGNRVMVFCNTLSSSRAVDHFLNENQISTVNYHGEVPAEQRVENLKKFKSDDGDCPTLVCTDLAARGLDLDVDHVIMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVAKKNLMLANRIEEAIKKNESLESLSVDSVRRDIARSRIAPLKGNLVRVSNQKNKSRSASAPAKFGKASFQASKSVKPSNASTSRKASSSASSSRKGSSSPSNSRKAPSSGKRQPESRRSSVVKSTASKLSVVGFRGRASWSDKRESVASS >ONH91236 pep chromosome:Prunus_persica_NCBIv2:G8:13274838:13278666:-1 gene:PRUPE_8G101200 transcript:ONH91236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHRAEANAVSGQPLLKKKPKRNLYAIGCAILASMTSILLGYDIGVMSGASIYIQEDLKITDVEVEILIGILNLYSLIGSAAAGRTSDWIGRRYTIVFAGAIFFTGALLMGFATNFAFLMVGRFVAGIGVGYALMIAPVYNAEVSPASSRGALTSFPEVFVNIGILLGYVANYAFSGLPIDLGWRLMLGVGVFPSVILAVGVLTMPESPRWLVMQGRLGDAKHVLDKTSDSLEEAQLRLADIKEAAGIPEHCTEDVVQVPKHSHGEEVWKELLLHPTPPVRHILMAAIGFHFFQQLSGIDALVLYSPRIFEKAGITDSSTLLLATVAVGFSKTVFTLIAIGFLDRVGRRPLLLTSVAGMIASLLCLGTSLTIVDHENEKMMWATVVCLTMVLAYVGFFSIGMGPIAWVYSSEIFPLKLRAQGCSMGTAVNRIMSGVLTMTFITLYKAITMGGTFFLYGAIATVGWVFFYTMLPETQGRTLEDMEVLFGKFWRWREGYALLRNKRAVVC >ONH91482 pep chromosome:Prunus_persica_NCBIv2:G8:14448604:14449816:1 gene:PRUPE_8G117600 transcript:ONH91482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYAYVLLIICRHFQHAVCMMCAVMTSNSMLLLWDLKMLQLLYKICIQSILYLRFLVEINLCSLSHFCSKVTENLEFIIFGVEHLPSEMFCLHYIMQL >ONH91525 pep chromosome:Prunus_persica_NCBIv2:G8:14738912:14740247:1 gene:PRUPE_8G121000 transcript:ONH91525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEPTSNEIDREFRFFRTYKNGQVEKFYSTHKIPPSNNPVTGVQSKDIIISPEPAISARIFLPKIHDPTRKLPVLFYIHGGGFCFESAFSPLYHNHVASLVTEARVIAVSVEYGLYPDRPIPACYEDSWAALKWVVSHSARNGPEPWLNEYADFDRVFISGDSAGANISHNLAVRVGPDGFSGVKVNGIVLVHPFFGGLEEDDEMWLYMCPENGGLQDRRLKPPAEDLARIGCGRVLIFFAAEDHLREVGQRYYDELAKSEWGGSVEVVEHEGESHVFHLMKPDSEKATNLIKKFGSFINQN >ONH89548 pep chromosome:Prunus_persica_NCBIv2:G8:134377:136578:-1 gene:PRUPE_8G001500 transcript:ONH89548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSVTSKSSMLRSLCVAVGEGSPWRRSYAKLASSSNAEGRMEKQAEEEGGDDGFAVSSGISRPLSEILKQLNKKVPDSLVKTRSESGFTSKYIPWHIVNRIMNLHAPEWSGEVRSITYSADAKSVSVVYRVTLYGTDAEIFRESTGTASVDDTSFGDPVQKAEAMAFRRACARFGLGLHLYHEDLS >ONH93723 pep chromosome:Prunus_persica_NCBIv2:G8:21510723:21516776:1 gene:PRUPE_8G249300 transcript:ONH93723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSKGKNNGLLPNSLRIISSCLKTVSTNASTVASTVRSAGASVAASISASEDQKDQVTWAGFGRLELSHSAFKHVLLLGYQNGFQVFDVEDASNFSELVSKRDGPVSFLQMQPSPAASDGNQGFRMAHPLLLVVAGDDTNGPGIVHNTSHLGGIGRDSNLESRPGNPVGSPTAVRFYSLRSHGYVHVLRFRSAVCMIRCSPRIVAVGLATQIYCFDALTLENKFSVLTYPVPQLAGQGSIGFNVGYGPMAVGPRWLAYASNSPLVSNTGRLGPQNLTPSPGVSPSTSPGSGSYVARYAMESSKHLAAGIINLGDMGCKTLYKYCQDLLPDGSNSPISSNSGWKVSRHAGTEMDNAGMVVVKDFVSQAVISQFKAHTSPISALCFDPSGTLLVTASIYGNNINIFRIMPSSKHSGSGGQNLDWSSSHVHLYKLHRGITSAMIQDICFSHYSQWVAIVSSKGTCHVFVLSPFGGDAGFRLLNTQGEEPSLYPVLSLPWWSTSSCIFNQQSCPPPAPVALSVVSRIKYSSFGWLSPVNNTASSTTGKVFVPSGAVAAVFHNSLSQSPRQSNSRTSTLEHLLVYTPSGHVVQHELQPRIGVDQSHSGTQAATSMHMQEEDLRVKVEPIQWWDVCRRSDWPEREDIVLGTTSDRQDVAEINQTKSGSDGTHGMESLDLNGAVGGERRLETYSGKLNDRSHWYLSNAEVQISSLRLPIWQKSKICFYTMGCPRVDSFADGEFEIEKVPVHEIEMRQKELLPVFEQFHIIKSSWDDRVPGGRFPSHSSSEPHQAQDKILEETVICHSKPASLSSTESSDGGSSRRIEHFLDFDQTNNEKARTTVCQILNGPERRANTIVEPSLENHISFSILCTPSEHFKNIDSQVSSCLTNGFPVLESKLTPGGRVSAEEGLSLKAIGISEVSVLYSDQHPSSTNIVAEGAPTLQHPIDLSQFFQEEHCNALVPNGCHGLTEVITDDVDSDSSHCDKVKAMDEEDSEMLGGMFAFSDEG >ONH93724 pep chromosome:Prunus_persica_NCBIv2:G8:21510808:21516710:1 gene:PRUPE_8G249300 transcript:ONH93724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSKGKNNGLLPNSLRIISSCLKTVSTNASTVASTVRSAGASVAASISASEDQKDQVTWAGFGRLELSHSAFKHVLLLGYQNGFQVFDVEDASNFSELVSKRDGPVSFLQMQPSPAASDGNQGFRMAHPLLLVVAGDDTNGPGIVHNTSHLGGIGRDSNLESRPGNPVGSPTAVRFYSLRSHGYVHVLRFRSAVCMIRCSPRIVAVGLATQIYCFDALTLENKFSVLTYPVPQLAGQGSIGFNVGYGPMAVGPRWLAYASNSPLVSNTGRLGPQNLTPSPGVSPSTSPGSGSYVARYAMESSKHLAAGIINLGDMGCKTLYKYCQDLLPDGSNSPISSNSGWKVSRHAGTEMDNAGMVVVKDFVSQAVISQFKAHTSPISALCFDPSGTLLVTASIYGNNINIFRIMPSSKHSGSGGQNLDWSSSHVHLYKLHRGITSAMIQDICFSHYSQWVAIVSSKGTCHVFVLSPFGGDAGFRLLNTQGEEPSLYPVLSLPWWSTSSCIFNQQSCPPPAPVALSVVSRIKYSSFGWLSPVNNTASSTTGKVFVPSGAVAAVFHNSLSQSPRQSNSRTSTLEHLLVYTPSGHVVQHELQPRIGVDQSHSGTQAATSMHMQEEDLRVKVEPIQWWDVCRRSDWPEREDIVLGTTSDRQDVAEINQTKSGSDGTHGMESLDLNGAVGGERRLETYSGKLNDRSHWYLSNAEVQISSLRLPIWQKSKICFYTMGCPRVDSFADGEFEIEKVPVHEIEMRQKELLPVFEQFHIIKSSWDDRVPGGRFPSHSSSEPHQAQDKILEETVICHSKPASLSSTESSDGGSSRRIEHFLDFDQTNNEKARTTVCQILNGPERRANTIVEPSLENHISFSILCTPSEHFKNIDSQVSSCLTNGFPVLESKLTPGGRVSAEEGLSLKAIGISEVSVLYSDQHPSSTNIVAEGAPTLQHPIDLSQFFQEEHCNALVPNGCHGLTEVITDDVDSDSSHCDKVKAMDEEDSEMLGGMFAFSDEG >ONH91911 pep chromosome:Prunus_persica_NCBIv2:G8:16017954:16022151:1 gene:PRUPE_8G143200 transcript:ONH91911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLLSHLSAPPSPTIDNYQGRQAGAFNSGIPNTFLHGTKVFSATPSSSRRLAAQRRFKSAYAKASEHIPKQFRQENLKDGLMDNYKNVPHYLYGLSPSQMDMFMTEDNPARRQSEKVTEQSISSANNYLNHGGMWSMSGMDDKGPSKYSMSVSMYRGGARGYGRPRTAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMWLDYDNPSKPIYLYINSSGTQNEKMESVGSETEAYAIADMMNYVKSDVYTVNCGMAYGQAALLLSLGTRGYRAVQPNSSTKLYLPKVSRSSGSVIDMWIKAKELDANTEYYIELLAKGTGKTKEEIAKDIQRPKYFQGQEAIEYGLVDKIIDSRDAAFEKRNYDEMLTQSRAMRRAGAGGPQAAPSGFR >ONH92993 pep chromosome:Prunus_persica_NCBIv2:G8:19341390:19342958:1 gene:PRUPE_8G206700 transcript:ONH92993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDQKGTTHVDQESNEEDRDTTTTSRVFPCLFCSRKFYSSQALGGHQNAHKKERTAARKAKRASEYASSMNFPILSHTTQPPMVFAPNHHHPLGLLHPSMYITAHAANLRYFPSPQQFSSDGFGSNGGAKFENLAYYGGNCSSSNNINGYKCEEDEQSFLNWQRSTRYNGFSGGGGGSSQHSSVKNGNHSPGIGDKGKEQKLDLSLHL >ONH90333 pep chromosome:Prunus_persica_NCBIv2:G8:4977035:4981906:-1 gene:PRUPE_8G047100 transcript:ONH90333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYNKMSWVLAFLGFMFLGGISNANGEIHHYDFVLKETNFTRLCSTKSILTVNGSLPGPTITVRKGDTAFVNVHNQGLYGLTIHWHGVKQPRNPWSDGPENVTQCPIPAGTNFTYEVIFSNEEGTLWWHAHSDWTRATVYGAIVILPALNTTYPFATPDAQETLVLASWFKGDVVEIIEEALASGGDPNISDAFLINGQPGDLYDCSNETTYRLLVDYGKTYLLRVINAVMNEEQFFAIANHTLTVVAQDAAYIKPITTSYIMITPGQTMDILVTANQPLSHYYIASKAFVDGVVPFNNSTTTAIVQYNGNYSAPSTPSFPTLPDYDNQTAADNFTSQIRALASEEHPISVPLEIHSKIIISIAINERICPDSNCSGPHNNAISASLNNISFELPSIDILQAYYGGNNNGVYRTSFPNKPPYYFNFTGDVGNNTLYPNFGTRVRMIKYGEGVEIVFQGTNIIAPENHPMHLHGFSFYVVGTGYGNFNSTTSPKTYNLVDPPEVNTIGVPKNGWAAIRFVADNPGVWFMHCHLERHSSWGMDTVLIVRNGNTTESKIRPPPAYMPPCSKS >ONH93878 pep chromosome:Prunus_persica_NCBIv2:G8:21947937:21950987:-1 gene:PRUPE_8G258400 transcript:ONH93878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKSARRVKPSQQRSSKASNIKLFKNPKVAFAFALLLCDAVLVALIIAYCLVSDTKIDWDAYMSQVSGFLDGERDYGNLKGDTGPLVYPAGFLYFYSAVRYVTGGEVYPAQILFGVLYIINLGIILFIYGKTDVVPWWAMSLLCLSKRMHSIFVLRLFNDCLAMMLLHASLVSLLHQRWHLGLIIFSGAVSVKMNVLLYAPLLLLLMLKAMNIGGVISALSGAALVQILLGIPFIMSHPFAYISRAFNLGRVFIHFWSVNFKFVPEPIFVSKAFAISLLIAHLGLLTAFAHYRWCMHEGGLFKFLYSRLDPIKLKLALTSSFSLKKSYNSHSSIKVLRKEYIVTTMFVGNFIGILCARSLHYQFYSWYFHSLPYLLWKTPFPTLLRVMLFIGVEFCWNVYPSSLYSSALLLCLHLVILVGLWSAPPEYPYVDNKPLTEDKDK >ONH92096 pep chromosome:Prunus_persica_NCBIv2:G8:16654105:16654953:-1 gene:PRUPE_8G155000 transcript:ONH92096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSNLSLYICSVALLLAARVSLARKVGYAPNPSNPHQDFVDEHNRARAAVGVGPIRWNDTVAAYAQNYANTRIRGCDMEHSGGPYGENLAEGYGEMTGAQAVKFWVTERPNYDYGSNKCVGDECGHYTQVVWRNSVHLGCARAKCDNNWVFVICSYDPPGNYEGERPY >ONH92301 pep chromosome:Prunus_persica_NCBIv2:G8:17303362:17304386:-1 gene:PRUPE_8G167500 transcript:ONH92301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGAEAQVVIVGAGIAGIATSLGLHRLGIRRLVLESSDSLRTTGFAFSTWTNAWKALDALAIGDTLHRQHETLHGNVTSSTISGLPIFEISFKARGKNSMCEKELVANELPSGTIRFSSKVVSIDKLGYFKLVHLADGTILEAKVD >ONH92082 pep chromosome:Prunus_persica_NCBIv2:G8:16591286:16592190:-1 gene:PRUPE_8G153600 transcript:ONH92082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLCKNKISLALLLICLIGLARAQNAPDDYVKAHNAARAAVGLDTLDWDEGLADSAKNYANQRAGDCDLVHSNSGPGENLAMSPDGDLTAKLAVDQWVAEKADYDYKTNTCAPGKQCGHYTQVVWRDTGLVGCAKVQCAYGGSYVVCHYDPAGNSVGVKPY >ONH91725 pep chromosome:Prunus_persica_NCBIv2:G8:15489532:15492650:-1 gene:PRUPE_8G133400 transcript:ONH91725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTFLLLFFFLLSSISFSHATTAYPSIPGTTSVEYGADTDPLIPPRREVYGNGRIFDISHRYSTNMPAFESNDGVGEFLWLPNSMKNGSIANNSEFKLPTHTGTHVDAPGHVFDHYFDAGFDVDTLDLEVLNGPALLVDVPRDKNITAEVMKSLHIPKGVRRVLFRTLNTDRRLMFQKEFDTSYVGFMKDGAQWLVDNTDIKLVGIDYLSVAAYDDLIPSHLVFLEGREIILVEALKLDDIQPGIYSVHCLPLRLPGAEGSPIRCILIK >ONH94051 pep chromosome:Prunus_persica_NCBIv2:G8:22332382:22333749:-1 gene:PRUPE_8G267700 transcript:ONH94051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHQRIYPDVEAPPAAAEAPLVPTGASKSDRGDPADYHHQGQHPPFPRRTIPVTHSKPPPIRRKRSCLCRCLCWTVSLILLQIILVAITAGIIFLVFRPKLPKFTVDKLQITQFNLNDDQSLSATFDVSITARNPNKKIGIYYEGGSRLNVWYTGTKLCEGGLPKFYQGHRNTTQLVVQLTGQNPDASGLLSTLQQQQQQTGNVPLTLRVRQPVRIKLGGLKLPKVKFLVRCRLLVDSVSANNDITIQSSSCKFRFRL >ONH92446 pep chromosome:Prunus_persica_NCBIv2:G8:17826635:17832438:-1 gene:PRUPE_8G176200 transcript:ONH92446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTSSKLDDLPAVALCRERCGYLDEAIHQRYALAEAHFAYIHSLRDIGHSLHKFIEQEVGNSSGSPPSPHLNLPPVRKGDTKSSPLHHSHSNSGSHLHFHSDSDDDDLGSLHHSDHSSPLHNTHPGSHIDYMGNGQEGFQEGFSSYPDGYMRMNYMRNKATPSVVYQQKPMSPENVYHMGESSSSSNSHYGYPNSNPNPNNTANPYPYYGGYNNYGGGGGGYYGNSSPPPPYGAISSPPASASTSAKPPPPPPSPPRASAWEFLNPFETYDKYYSAYTPSRDSKEVRDEEGIPDLEDEEYQQEVVKEVQRDHKHVVDGGKHSKAVVDDELAETQPSSLYQSRPSVETDGGGAEYEVHVVEKKVVDEDERREDRGNGGGAPKVRPGSRDAFEVAREIEVQFQRASESGNEIAKMLEVGRLPHNRKHVSSKMMSLVSSQPSTSKSAEPSASSEIAGPAQLGFDEEMMMRSKNLSSTLAKLYLWEKKLYNEVKSEEKMRVIHDRKVRKLKRLDEKGAEAHKVDTTRTLIRSLSTKIRIAIQVVDKISVTINKIRDEELWPQLNELIQGLTRMWKCMLDCHRTQCQVIREARGLGPIGSGKRLSDAHLDATSQLEHELINWTFRFSTWISAQKGYVRALNNWLLKCLLYEPEETPDGIVPFSPGRIGAPPVFVICNQWSQALERISEREVVETMRVFTTSVLQVWEQDKLEMRQRMVAHKDLEKKVKNLDRKDQKLQKEIQALDKKIVLVPGDVDTLSGGGQIVYQSDTRNSTLQASLQRIFEAMERFTDNSTKAYEELLQRNEEDRLAREEERVS >ONH92641 pep chromosome:Prunus_persica_NCBIv2:G8:18381594:18383618:1 gene:PRUPE_8G186200 transcript:ONH92641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKEKAHCLVLFYPIQGHINPMLQFSKRLQHKGVKVTLVTTRSVHKAMHGDGGGKSPSSFSSIALETISDGFDGEGGSSQAESIQAYWDRFREIGSQTLAELIDKLSASGHPADCLVYDQILPWALDVAKRVGIAGAPFFTVSCAVTNIYSLVHNGLLKLPLNPDSEILLPGLPTLQPSDTPSFVHAPESYPAFFKLSMEQFSNLDKADWVFYSTFYELEQEAVDWMAKFWPLRTIGPTIPSMYLDKRHEEDKEYGLSLLNPNSDACMKWLNAKPKGSVAYVSFGSVAKLGEEKMEELCFGLRRSKCYFLWVVRASESAKLPKGFAEETSEKGLVVSWCPQLEVLAHEAVGCFVTHCGWNSTLEALSLGVPMVAVPQWVDQGTNAKFIMDVWKVGLKAQADEKGIVRGEEIAHCVREILEGERGKEIRKNALKWKALAKSAVDEGGSSDKNIDGFIAKLVQN >ONH90891 pep chromosome:Prunus_persica_NCBIv2:G8:11475669:11476574:-1 gene:PRUPE_8G081300 transcript:ONH90891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVNAKRSLIRSLSSSSRTAESKTLDIPKGYFAVYVGKSQKKRFVIPVSYLNETLFLDLLSQAEEEFGYDHPMGGITIPCSEDTFLHLTSCLSV >ONH89674 pep chromosome:Prunus_persica_NCBIv2:G8:647145:650766:-1 gene:PRUPE_8G008700 transcript:ONH89674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTDLLIGKIVTILENEASSIAGVCDEIDDIKQELVSMTAFLNDTEGKNVRSEGGRTWVASVRGMAYDVEDIIDEFMYHMYKRGCHDGRFARWFHQTIRIPQNVWFRRQMSKKLRKISIMIKAIPDRNQRYGVGGLEGTSSACDDVSKLMRNQAESSLFIKEDELVGIERKKQLLINWLMNGEQQQTLLSVVGMGGSGKTTLVAKTFNDERVKKQFHCCAWVTISQTYVIDDLFRSLIKEFHEANKEKVPADMNSMTYRELLQVLVNYLESKRYMVVLDDVWDIKLWKEIRIALPNTQLGSRIMLTTRKEDVASSSFGVQSHIHHIQLLKKNEAWELFSSKAFSAYQNNCCPPELQSLAEEVVEKCEGLPLAIVALGGLMSSKKPFEWKQVYNSLNLHLTIPLLERVQNILFLSFDDLPYPLKHCFLYCSLFPEDYLIRRKRLIRLWIAEGFIQDGKGTTPEEVAESYLMQLIFRSMLHVVKRNESGRPKACKMHDLMRELALSKSEKEKFGAVYDGKEAMDEVQVRRLSIQTTGGEIKLGTGMAQLRSFLVFVYNVSSSSSSNTLPSGCKLLRVLDLQYVPIDIPPKELAYLFNLRYLNLRGTTVKKLPESIGNLRNLQTLDIRDSKIEVLPSGIAKLQNLRHLIMYRRTEEHRGFRYVNGTRSPSNICMLKKLQVLACVDLEGNIVKLVGNMTQLRRIGITNVKETDEMDLCASIQKMKQLHYLGLMSSDEEEVLQTNALCSPPPHLQTLVLVGKLEIVPRWFFSLQSLTKLHLHWSRIEEDLLPYIEALPNLEGLILVNAYAGRELCFSRGFVKLKDLRFVVCPLLNKITIEKGVMSNLQSLYLDECLELNTMPQGLQYLTELKELKLTFVSKELADSIREGGVDRENVQHIPEIDYFYKSSLGRVCRESLS >ONH90445 pep chromosome:Prunus_persica_NCBIv2:G8:6228535:6232079:1 gene:PRUPE_8G054500 transcript:ONH90445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHQQTKDSGSKKLGMVAPQDKSSKEMKSSKKMKFASSSAETEQTSQTTISDDSKTGRGMSTMPRVVKRKLQKLRPIVEYNKRGKGVGQAHIEMQSYIGVLARSRIPLVDKKWSQIPKDIKEQIWEAVDMAFVVGQGGKTSVLASASKKWKDFKSTLTRHYILPYTNDREKLSQPPETYKFIEKAQWDAFVASRLSKDFESDELQKQVSEGKVRVDGSNDVLTMALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSNMEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQLFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONH92384 pep chromosome:Prunus_persica_NCBIv2:G8:17559101:17559877:1 gene:PRUPE_8G171800 transcript:ONH92384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPCTCKRETFFTCYLTADHSRLLVSVECSGIECFGRSRILFTTGLMKGMRLGTQILKDIRGFGGI >ONH91110 pep chromosome:Prunus_persica_NCBIv2:G8:12650557:12651297:1 gene:PRUPE_8G093700 transcript:ONH91110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSANRRCEVGHPICHCGNQCHLTTSWTDNNPGRRFWGCADYEVRRGCAFFEWYDPQVCERSKIVISGLLKRLRKEENRKLKKEVGAALKAQRFLRASVLGS >ONH91230 pep chromosome:Prunus_persica_NCBIv2:G8:13177522:13182068:1 gene:PRUPE_8G100600 transcript:ONH91230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLRIDMQRASFAQIGNLGLLLLWHIVHLFVSIWYFLLGLAYVLQSYLISGGVLKSYKALNLGKLRYLAIVIESEEAYQTSKVIELLQWLEAIGVKRVCLYDTEGVLKKSKEAILNKLKNASEFKAYEDLVDQNRMALEILSFSDGKEAVTKAANLLFVKYLKLAKSVGDHEEKIFTEPNMDEALKAISCRGPDPDLLLVYGPARCHLGFPAWRIRYTEIVHMGQLKSMRYGSLIKAIYRFTTVRQNYGK >ONH94094 pep chromosome:Prunus_persica_NCBIv2:G8:22421282:22424878:-1 gene:PRUPE_8G270000 transcript:ONH94094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRASCCNKIGLKKGRWTAEEDQILINYIQTNGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNISAQEEDIIIKLHASLGNRWSLIASQLPGRTDNEIKNYWNSHLSRKIDTFRRPTTTTSDQMSSLPAAASNNIPSKRRGGRTSRWAMKKSKTYTTTHSTNYTQRHNKRQKDITNIAADDDEAIALETKTPLPGPNDNIDTMHHDYMVLMTDPVADDHDHHPHHMDDCRVDNLVNHDHDHQRQEEAGGLAMPAVLMSSTTTITEEEEEEVEVEKKETRDHDGLCPVNIDQCQKESHEMLLGPHPHNDENKDDDLDESGGIEFDGGLLGTFNELIDNVELLQKDPNSNGVLTLSDHQHDHAMGVTHEVDQVETTTTCGHLSSSSNEQVCFSSIMSMTATSSSSASASAAAGSYYFDMEDGQAAAAANGNDRDHNNHILWDWESVVEAGHELWDHDDDKENMLSWLWEEGTCSSSTTSNTTAAAAAASTIDRHLNWEGDTTTDDTSMMRKAVDPDKQNAMVAWLLS >ONH92085 pep chromosome:Prunus_persica_NCBIv2:G8:16600224:16600740:-1 gene:PRUPE_8G153900 transcript:ONH92085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNIKLLLAICSVALFFTLVSADLSKEDIDGFVEEHNKARAQVGNRPLKWNATLAKYAQDYADKRVDDCAMEHSMGPYGENLASGEGMSGAAAAKYWVTEKEFYDYDLNKCVRDECGHYLGVIWGKTTEVGCGISKCKNGLNYVICNYDPAYNEEDHPY >ONH92745 pep chromosome:Prunus_persica_NCBIv2:G8:18661558:18663883:1 gene:PRUPE_8G193000 transcript:ONH92745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHETLENRSSTLDLIIVKLKLDEGEPALLVRHPIHPPLVYLPSTSHIPYNLFHVNILVPNLINSRQERDGTVPHVPCMVDELVLHLRLIIVAPQGRVPVIDLKGTLPNRASTFKVLMGLLPLRILDPVSDIPPHAPDLVF >ONH92510 pep chromosome:Prunus_persica_NCBIv2:G8:18000249:18002504:-1 gene:PRUPE_8G178700 transcript:ONH92510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRSIHLGVSNCNSRLKELSSNGKWQELLCHYHEIKKAGLQLADPSAFPPILKACSNLLSHTYGKSVHGCLIKNGFESYTSIGNSTMDFYIKTGDLDSALGVFNCMRSRDGVSWNILAYGHLDGGDLVQGLWWFNKARVCADGFQPNTSTLVLVIQACRRLRYKFEGLIVHGYVIRGGFCSLSSVQNSLLSLYAEEADMDSAQKLFDEMRDKDVISWSVVIGGFVHCDEAQIGMLMFQKMVYELGIEPDGLCMVSILKACTYLKDLTMGTSVHGLVIYRGLDCDLFVGNSLIDMYSKCQDADSAFKVFDAMPRRNRVSWNSILTGFVFNERHLDALTLFYSMGKEGIEADEVTLVNVLQTSKHLRMFHCKSVHCVTIRRGYVSNELLLNSLIDTYAKCNDVDHAWKLFNGMKKRDVVSWSTMISGFASCGRPEEAIAVFHEMMQLQAQDQKPNEITIINLFEACSASAELKRSKWAHGIAIRTGLSAEVAVGTAIVDMYSKCGSIGESRKAFGQILEKNTVSWSAMIAAYGMNGLGHEALALLAEMKLYGLKPNAVTILSVLSACSHGGLVEEGVSLFNSMAQDHGVEPRLEHYTCVVDMLGRAGKLVTAMEFIKRFPQDLKAAARASNAWGALLSACRSCRNSEVGLEAASHVLELEPGNSAGYLQASSIYAASGLWMDAASMRSLLKERGVRVVAGYSLVHVGHRAYRFVAGDHSPAHDGNIHSMLQLLHACMKAEKRNIVDDLDLIE >ONH89992 pep chromosome:Prunus_persica_NCBIv2:G8:2570992:2573231:-1 gene:PRUPE_8G028400 transcript:ONH89992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTSIEIDDETYEEIVRTTKRNVPFLFVRGDGVILVSPPLRTA >ONH92982 pep chromosome:Prunus_persica_NCBIv2:G8:19241009:19242778:1 gene:PRUPE_8G205600 transcript:ONH92982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKTTNFVKNWKDSPSVVSVIPKNTIHKNFAVRFKQHLGEISSDCIRDCIERSSSFCNKSRITGDWRSGSTPPCTTIITSNNPNTAMRSTRRPGPIKNNFDCISMWREPSVSANIRGGGGSS >ONH93592 pep chromosome:Prunus_persica_NCBIv2:G8:21114323:21118055:1 gene:PRUPE_8G240900 transcript:ONH93592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQAQAFHQRSVSIKLWPPSQSTRILLVERMTKNFITPSFLSRKYGLLSKEEAEEDAKQIENIAFAAADQHFEKEPYGDGSSAVQIYAKESSKLMLEVLKRGPKVKEHGEVMQYENDTATHGSVFDISGSRRSFIDSEEAVELLKPLRDHEKLYTKICFSNRSFGLDAAHVAEPILTSIKDQLKEVDLSDIIAGRSETEALQVMNIFSSALEGCVLRYLNLSDNAMGEKGVNAFGSLLRSQTNLEELYLMNDGISEEAARAVSKFIPSTEKLRVLHFHNNMTGDEGAIAISDMVKRSPLLEDFRCSSTRVGSEGGIALAEALGTCSHLKKLDLRDNMFGVQSGIALSKSLSAFADLTEIYLSYLNLEDKGTEALANSLKESAPSLEVLEMAGNDITARSAAALATCIAAKQFITRLNLSENELKDEGAILISKAVAEGHGQLTEVDLNTNSIRRAGARALAQAVVHKPGFKLLNINANFISDEGIDEVKEIFKNSLNVLGPLDENDPEGEDLDEEVEEDADNEVDLESKLKGLEIKQEENY >ONH90802 pep chromosome:Prunus_persica_NCBIv2:G8:10963088:10970657:1 gene:PRUPE_8G075100 transcript:ONH90802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKRSSSSMEAAADAVGDGGVSSLKKSKNGKTKHEIAEAPGPSSTGPTTAKPMERQKKRKALDKERRYHTEETKPKEAKPITMDIESKTEVPISSSATGVLPEFHVGVFKDLASADGSVREAAAEALAMELVEVQRAYDGLENKELVEGGVKLEAEKDDGLNDCAPSLRYAVRRLIRGVSSSRECARQGFALGLTTLVSTIPSIKVNSLLKLIVDFLEVSSSMKGQEQRDHLLGRLFAYGALARSGRLAEEWVSDRNTPLIKEFTSLLIALASKKRYLQEPSVLVILDLIEKLHSEALLNQVLEAPGLHEWLEGAIEVGNPDALLLALKIREKVSADSARFGRLLPDPFTPNKLFAADHLSSLANCLKESTFCQPRVHNVWPVLVNILLPDRVLQAEDAMSVSNSLKKHKKNRKSSSSDEEIAKNFQCFCEVIIEGSLLPSSHDRKHLAFDVLLLLLPRLPASFIPISLSSKLVQCMIDILSTKDSWLYKVVQHFLKKLSDWVGNDDVRRVSIIVALQKHSNGKFDCITRTKTVKDLMADFRTESGCMLFIQNLLNMFVDESHASEEPSDQSQTTDDNSEIGSVEDKDSVGTMGNSDFLKTWIVESLPGILKNLKLDAEAKFRVQKEILKFLAVQGLFTASLGTELTSFELGEKFRWPKAATSSALCRICIEQLQLLLANAQKGEGPRALPNCLEPNDLGSYFMRFLSTLCNIPSISLFRPLETEEEDTLKKIQGMETSLSREERNCGLSGDAIRLHALRYLLIQLLLEMLLRPKEYLDAVSELIICCKKAFPDLLDSPGEDGLDGDDNPAVMDVLVDTLLSLLPQSSAPMRTSIEQVFKSFCDDITDDGLLRMLMVIKKNLKPARHEKKADRDNVSDDDNDDDFINIEEDEAIDAETGETGESDEQSDDSEADSEAVDAVEEVIKEIPEASDESDGGWDDDTMFRMNAEFAQMCKAKKNVAGADTAHHQLMLFKLRVLSLLEIYLHENPGKPQVLLVYSNLAQAFIEPSTAESSEQLGQRIWGILQKKIFKAKDYPKGEDVELRTLESLLQKNLKLASKPIKRKKSAANLPKKKQSASWNRPKMISALAQSSTFWILKITEAKGFSETELQGVFDIFRGVLVEYFSSKKSQIKSEFLKEIFRRRPWIGHHLFGFLLEKCGSSKSDFRRVEALDLVSEILKSLGSTDGSGQEALKNIMKSHLQKLCRLVEQLLTNMPEKQSRRAEARKFCIRILQMITTLKLTKSFLKNLAPDAHTKCESQLGGQFINMKKIVNE >ONH91723 pep chromosome:Prunus_persica_NCBIv2:G8:15486130:15487301:-1 gene:PRUPE_8G133200 transcript:ONH91723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATENQPETEKNGSQCLGTMNSNVILSEPDDGKSLLELENSEIESFYQRLNKLHNSSGLNLLFDL >ONH93894 pep chromosome:Prunus_persica_NCBIv2:G8:21986228:21990205:-1 gene:PRUPE_8G259400 transcript:ONH93894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSTNAVKVYSPVTGQYYGECKGHSATINHISFSGPSTPHVLHSCSSDGTIRAWDTRTFQQVSSFHSGSSQEIFSFSFGGSANSLLAAGCNTQILFWDWRNDKQVACLEDSHVEDVTQVHFIPDHQSKLLSASVDGLICVFDTDGDINDDDHLESVLNVGTSVGKVGFFGETYQKLWCLTHIETLSIWDWKDASETNFKDARSLASDCWTLDDVDYFVDCHYSREAEQLWIIGGTNTGTLGYFPVSYRGTRAIGSPEAILGGGHTGIVRSVLPMSSMPGRSSQGQGHGIFGWTGGEDGRLCCWSSDNSPEINRSWISSTLVSRSPRTRHTVRHHPY >ONH91959 pep chromosome:Prunus_persica_NCBIv2:G8:16188816:16191208:-1 gene:PRUPE_8G146500 transcript:ONH91959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHSHHQPPPQQQPPNDVPPQQQPPQGYPPPNDGYPNNIYPPSPPPEGHSKYAHLPPSYPPQGNSGDVYPPVGQGREQEQSCDVYPQQGQGREQEQSRDVYPPQGQGQRQEQSSDIYPPQEQSSNVYPTRGQGQGEEQSRDIYPPQGQGQEQEQSRDIYSPQAQGQEQSRGIYPPQGQGQEQSRDVYPPQVQEKEQPRDVYSPQGQGQGHSPHRGYPPPGYPPQGSPPPGYPPHGYPPQGYPYPPQGYPPPGYPPPGYPPHGFPSPGYPPHYRPPPPHHQPNPSTSSTGCLQGCLAALCCCCMLDCLWGGI >ONH90932 pep chromosome:Prunus_persica_NCBIv2:G8:11698756:11700614:-1 gene:PRUPE_8G083800 transcript:ONH90932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAIRVLGWKVGRDFTTLCATMFPGLASLIMVVLFLWTIWSECEAAEKASINSGYSNWGFCIGVLTLVLGFLFLAAGLPLLADLVMKLLEQLQNHEESRKHQGGKLKTICIISRLAMSVIAMFMLAWAINTGIRLASEPRREGKYYPLASPVGVVTIMFGFTYSIIGLCVIAELALELIKQLQSTEKEELVNHQVGKIDVKFFV >ONH91968 pep chromosome:Prunus_persica_NCBIv2:G8:16226435:16228051:1 gene:PRUPE_8G147100 transcript:ONH91968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPFCYKPSGLLLHSPRTRSPLLCNHSSSFSKQEQAHNNWTGLKFIRGKKLLKDLSTWGIGGPCNYFIQVLDQTQLVSAIRFCHEHSIRFVIIGKGSNCLFDDFGFDGCVILNRIEFLEEERGLYRVGSGFRFNRLGVHCSNEGFTGLEFAGGIPGTVGGATYMNAGANGQETADAIHCVDIITMDGRLHRLSRTDLNFGYRSSPFQDMQELAAITAVTFKLQHSGSAKRRQHEYMERRRTSQPVGEQSAGSVFRNPLDVGVAAAELIEKAGLKGFRVGGAMVSKMHANFFINCGGSTSQDMLDLLALVKEKVDQKFAVQLKEEILYVHPHRNDVKSKQR >ONH91588 pep chromosome:Prunus_persica_NCBIv2:G8:15003942:15006158:1 gene:PRUPE_8G125000 transcript:ONH91588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPRGTISTSSKIKFEESESSSSSEDEKEIEQELADVTFGELQKARSNGSHLVHPKPKEEKKGGRANKNRPMEVGCEKPVTRFREIIQGSKKVVRDPRFESLCGTLDVDGFRKRYDFLFANELPAERGELQKQVKKSKDPEVIEELKKRISWIIIFFIVVSFQDKQLKSESAKRTVAAILAEHKQKERKAAKQGKQPFFLKKSEIRKKRLIEKYKQLKGSSKLEAFIEKRRRKNAAKDHIYMPYRRPDNTEQQM >ONH93841 pep chromosome:Prunus_persica_NCBIv2:G8:21852595:21853514:1 gene:PRUPE_8G256000 transcript:ONH93841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNIGNNSSEREGFKYNFTGSSISSDHHHHQEDGVIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALATLGFDDYAEPLKRYLHRYRELEGEKAAHQGKANSSEEKNELSPPRTSTSSPLKFNVLERGNSSLSRRF >ONH91034 pep chromosome:Prunus_persica_NCBIv2:G8:12284920:12289295:-1 gene:PRUPE_8G089600 transcript:ONH91034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKELKKLCIDAVTSRATKQKPVIQQIKFLEDVKKGKLVAKNFSRGAAFVEFTEHQHALVALRVLNNNPETFGPEHRPIVEFALENVKKLNIRNAKIQAQQHAAYRNRENVDQNEGSNRPDTHPSKKSKNSKQKGEKRKLDDSVPNKEEVENKFSDGAATERHRGSKRQKNGPFGKEKKISAKVSEHSTTEKAEGSKREPNNHQDGRKAGGGRSSEGETAAIDAQKSKPLRKTNVLPNKRKLQEQKEVEGGENVTRRQRPKKNKDPLGRDVTDKLDMLIEQYRSKYSQRSSVQTDGEKQGARKLRKWFQT >ONH93082 pep chromosome:Prunus_persica_NCBIv2:G8:19577905:19579451:-1 gene:PRUPE_8G212000 transcript:ONH93082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSSKVEALYELCQNMFTPSGSPPPSSAAINKLFLFWAQCLRLMLGLKRKIWMMIETMDIFGLDQLNRVARWAQPITYLDIYMNVIVLRQAFSKEEEYFYSKLYHLLCSQIRTLFLFSFEFLIYSIWYCKEQKKMDPSMNF >ONH90063 pep chromosome:Prunus_persica_NCBIv2:G8:3056138:3058192:1 gene:PRUPE_8G032500 transcript:ONH90063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKLKASSKIAKGKEVIRQVRKSFNLNEEYLSAFRTKSYADFYNKAQLLVNEPSSSFSYSHDHKFSEVLLEPGQEAIPGILESEILSKVPELKGLMLNYFDISAEASKICSHLLKSIKRIQSNYRVVQQALDKFEDYSPNKIKSFVSELNLFILQNNINPFSNPNNHDFELIHDKYSLVLHHLKLMRKKVSRKIKLIKFFKKASGICITAACSLIAISAVVLAVHTLTALLMGPAIFSCPFKRLKKKLSSIPFLRSRILTKVGEQLDVAAKGTYILNRDFDTISRLVARIHDEVEHNKSMIRFCLERREDKFSLQVVKELKKSDIGFRKQVEELQEHVYLCLVTINRARALVIKEMKKSCVDN >ONH93594 pep chromosome:Prunus_persica_NCBIv2:G8:21120902:21122707:1 gene:PRUPE_8G241100 transcript:ONH93594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVDTPSPTHLNHKATSLFMASSSSSPLFSPSSDKRFWSSLRSRIDTLLDDPSSKIPTAQNPPNADPSLPVQMNVGGLKRAIATKEDSLLLMRGFDSIAHTLSQLSNTLETALQGANDLAKPPTLTEIFHGHLNKSESKEKEEDSEDQKNAEDPHVGLKRKFDNSHCSEDQGDDSKKENEQDPKDGKLKKAKNLAISMATKATSFARELKSIRSDLCFMQERCALLEEENRRLRDGLEKGLRPEEDDLVRLQLEALLAEKSRLANENANLVRENQCLNQLVEYHQLTSHDLSASYEQVIQGLCLDFSSPPRPIAEEANEEDGEDDGDNEVSQTPQTNLFGFSAPLDDDVCSREDQ >ONH90075 pep chromosome:Prunus_persica_NCBIv2:G8:3115590:3119522:1 gene:PRUPE_8G033500 transcript:ONH90075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVTASTISSFRSDRTTRIAATSSSSASCSKLSSSSSLQVPVQLRCVRIGRSGVSAPSRPRILPVVAAKKQTFSSFEDLLATSDKPILVDFYATWCGPCQFMAPILNEVSITLNDKIQVVKIDTEKYPSIADKYNIQALPTFIIFKDGEPYDRFEGALTADQLVERIETTLKVKQ >ONH89763 pep chromosome:Prunus_persica_NCBIv2:G8:1247176:1249677:1 gene:PRUPE_8G014900 transcript:ONH89763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEENDEVEIGETGLEKTMSPEETTQEPKVNMIFNTVDEVLDFYKKYANRVGFPMKKRSSKKGDFGELKYVTLSCSRSGIPQSTASNVLKPYPSIKCNCKAQLRAGICLDGRWKVNSVKLDHNHGLNPNNARYFRMNRAISSYMKRKIEVNDRAGIRVNKNYNSMVVEAGGHENMSFMEKDCRNYINKVRRLQLGEGDATAIQKYFLKMQAQNANFFYAIDLDESGRLRNVFWADSRSRAAYEEFGDAITFDTTYLTNKYDMPFAPFVGVNHHGHSILLGCGLISSEDTDTFVWLFKVWLACMSGLAPCGIITDQDRAMKNAIEIVFPNTRHRWCLWHIMKKLHEKLKSYKHYESIKFALENIVYDSLTNIEFEDRWKEMIEKYELQSNDWLRGLYDERRRWVPSFVKGSFWAGMSTTQRSESMNAFFDDHVNSKTTLKQFVEQYENALMTKVEKENQADYKSSSADIQCSTHYFMEKQAQGVYTIAKFKEFQNELTSKMYCEVVDTKEDGAFLKYQISEDMIIAGKKKSVNFTVIFHEFDSEVKCNCSKFEFRGILWRHAIYFLIKHKMDLIPDKYILRRWRKDVTRRHTKIKISYNESNATLEAHQCDKMQKTFDEIKELAADSEEKCVIVMAWMQKLKEQLSNHDNDSQNAQDSHGGMDDD >ONH91978 pep chromosome:Prunus_persica_NCBIv2:G8:16259119:16262390:-1 gene:PRUPE_8G147700 transcript:ONH91978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVQLFYYFFESEGSPEYDPLVLWLTGGPGCSAFSAIVYENLGPLSFDSAHSIGNKPKLKLNPYSWTKVANIIFLDAPVGTGFSYTKNWEGYANLNDTISAAQTYEFLRKFSYIFVFTVVVQWLMDHPKFYNNPLYIAGDSYSGIIVPMVVQEISDGNQDEHAPPMNLKGYVLGNPVTDSEKDDNSRVLFAYMKALISEELYQSMKINCKGDYINVDLNNTLCVDDLELYNECIEDVNDVQILEPVCTVHSPKPAQSKGYADDRTNKDSVNLLLSFPQLRRPWCRSYAYLLSYIWANDKTVQEALHIQENQGSVKEWERCNKTLQEFYISDVSSSLVYHENLIKQGYRVLVYSGDHDMNVPYVGTMGWIESLNLTVVSRWKPWFVDGQGAGHTAPEYKPEECFAMISRWLAYYPL >ONH92943 pep chromosome:Prunus_persica_NCBIv2:G8:19144168:19146716:-1 gene:PRUPE_8G203800 transcript:ONH92943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCFSSHEKKGYKRSDSGSAGQLPTTLSQREHNVAAQPRPPAEVPKPRPTPPAENQTSQTGQTAQTAQTANTKNANKEAVNNNNNNNNNNIAAQTFTFRELATATKNFRQECLIGEGGFGRVYKGKLEKTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHENLVNLIGYCADGDQRLLVYEYMSLGSLEDHLLDIPSHQKPLDWFKRMRIALGAAKGLEYLHDKANPPVIYRDLKSSNILLDADFNAKLSDFGLAKLGPVGDKTHVSSRVMGTYGYCAPEYQRTGQLTVKSDVYSFGVVLLELITGRRVIDTTRTTREQNLVSWAEPVFKDPNGYPELADPLLRGDFPIRALNQAVAVAAMCLHEEASVRPLMSDVVSALSFLGTGPETATSPISMPSPSPDQAMSSITEEPQEEESVIERQRAVAEAMEWGSSSRHNGASRCASTSSF >ONH89927 pep chromosome:Prunus_persica_NCBIv2:G8:2223947:2225610:1 gene:PRUPE_8G024500 transcript:ONH89927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDELYITVPNLFRCPISMDVMRSPVSLSTGVTYERTSIQHWLESGHDTCPATMQILQSKDFVPNLTLRRLINLWAQSHGPASPASPPSLSSQQLTSLVQYLETENEKFNQSLLHSLSKMVDFAKAGDENRKFLANFNGFVPAIVGVLNREGVEIEVLESVIRVLDLILPQNGVKEQLRQLLTKSNRNCLSSIRLLLQKGSLSSKIESARVLDSIALEAESKRLIAEKEGLLSLLNDLLSSETDQNLHGVVFSCLASVSVTRTVKAELVRFGLVRVITTTLLNPDTTIWLAEKCLKLLSTIATCAEGRSAISEEEKCAAAVAERLMKVSRSATEDGLAVLWSVCCMLGDKRARESVMRSHGAAKVLLVMQSGYGEGNFVRRMCGDLIKVLSVGLGLGLSYDTKTLHIMPC >ONH93299 pep chromosome:Prunus_persica_NCBIv2:G8:20216270:20217987:1 gene:PRUPE_8G224200 transcript:ONH93299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGIGAMEKLVSSWYNVQPLPDNYIFPPDARPGKLDVPLCNNIPVIDMGGAGAEAHDRTSIIQQMLNASEEFGFFQVINHGIPENLLKDTMRVFKEFFELPLEDKASLYSEDPNKNCRLFTSSGNYDWEEAHFWRDILRHCCHPLEQCIQFWPQKPITYREHVGACSTQVREVALNILQLISEGLGLEPGYFRDELSQVSLLSVNYYPPCPDPSLTLGVPKHCDPNLITILLQGDVNGLQVFKDGEWIGVEPLPSALVVNIGYQLQIISNGKLKCAEHRAVTNSRNARTSTAFFFTPSPDCLIKPAGALINASNPQRYKAFQYKEFITNYAMKQGKTDIVLEPFKLQA >ONH93540 pep chromosome:Prunus_persica_NCBIv2:G8:20917941:20921715:1 gene:PRUPE_8G236800 transcript:ONH93540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRFAGPLIVPKPAQYNLKRHGVVLGWFLLRSKSGKTREGSQIRGSAERCFESVAANGSPNSTLPLLTASQPKQRTTPPSPSAPNHCSGPRDRGPVVGWGGRWVVCLGWLAVSSERVELIGECLSRRIGVGWRVFESSFVGRRSIVKERFSKKYRHPSLDAKLTLKRLNAEARCMTKARRLGVYTPVLYSVDIVLHTLTFEYVEGPSVKDIFLEFGLRNGAVVEERMDDIAMQIGHAIGKLHDGGLIHGDLTTSNMLIRAATNQLVVIDFGLSFTSTLPEDKAVDLYVLERALLSMHSSCGNVMDRILAAYRKSSKQWSSTLNKLAQVLQMDHSLYNTHVKLLAFDLLTLTQTSSSSSSSDPVSFSRNSALLSRAETVGTVTSRDLKPNKFLRFTIDDGTGCVNCILWLNQLSSPYFSRRNPADVRLIAQTAQHFAAEIKLGVVARVRGKITSYRGVTQITALDVVVERDPNVEILHWLDCMRLARKVYNVVPPCK >ONH92077 pep chromosome:Prunus_persica_NCBIv2:G8:16569218:16569703:-1 gene:PRUPE_8G153100 transcript:ONH92077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKILLALVCVLGSALLQSTHAQDTPQDYVTAHNNARAAVNVGPLSWDEKLQGYAQDYANQHIGDCNLVHSGGPYGENLAMSTADLSGTDAVNMWVAEKADYNYDSNTCADGKMCGHYTQVVWRNTARVGCAKVRCNSGGTFIGCNYDPPGNYVGEKPY >ONH92891 pep chromosome:Prunus_persica_NCBIv2:G8:19049301:19051252:-1 gene:PRUPE_8G201900 transcript:ONH92891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPPPPSPTASASASVPASPVSTKKAQPLPWTHEETVQMIRAYQEKWYKLRRGPLKSSQWEEVAVTVAARCGYEHAEQSKTATQCRHKMEKLRQRHRTLKKRLRPGSRSRWPFFELMESLERGPMPISSRPMAVMPCQPEEEEEEEEQRHDRHGVDVDGEDDDEENSSKVRSIDYILRRPTIVNRFSGGQASFWQDTAAAKRSRDAVDGGGGYNGYVVEPPQMGGEMAVELADEIRAFAKRFIGVENTRMEFMKETERRRLEMEKRRIDMILQSQQKIVDSIDKAFGSSTNNCPSQLS >ONH92802 pep chromosome:Prunus_persica_NCBIv2:G8:18806900:18810061:1 gene:PRUPE_8G196400 transcript:ONH92802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDITTPPGSDTGEDFSLEQDSSQLSSPLLRNDLSMNVKDAAEGTLNGSVLGELDSVDSRFSSASLDFEVKQKRRESVYREILLSNDGLRIRGKGLEEAKIKVLSYTPGAWIESVGAVKLRDYDVPKTTTVLLVGPKGSGKSSLVNRISKVFEDDKSASERAQVSYNSSVGDGTFFLQEYMIPRCSTSFCLYDTRSLCDDSHENIKILQHWMKNGVRQGELVIRDSDSQSLRTMMMCKARDDGYLSSEIRKVNFVIFVVNGLSVLKAIESDEDAETRYTQMIASAFNCPYLAFKDDKPLVVVTHGDLLSPVQRARVRVHLGELLGIPPATQVFDIPESSDPVTELAIVDMLRYSLERAEKNLPHKRKVPTMSLLSEDIRHCPSPQMNLQQGHGSSPQAHVQHGPLPEVHIQHGPPPPSPIAHIQHDPSSEEHIYHGPLPKAELHNIRTKAQKSREKPGHGPTPQAELQEHRTEPDVWIDWSEIRHLWLDRDVWIDWSKIRHLWLGD >ONH92801 pep chromosome:Prunus_persica_NCBIv2:G8:18806900:18810061:1 gene:PRUPE_8G196400 transcript:ONH92801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDITTPPGSDTGEDFSLEQDSSQLSSPLLRNDLSMNVKDAAEGTLNGSVLGELDSVDSRFSSASLDFEVKQKRRESVYREILLSNDGLRIRGKGLEEAKIKVLSYTPGAWIESVGAVKLRDYDVPKTTTVLLVGPKGSGKSSLVNRISKVFEDDKSASERAQVSYNSSVGDGTFFLQEYMIPRCSTSFCLYDTRSLCDDSHENIKILQHWMKNGVRQGELVIRDSDSQSLRTMMMCKARDDGYLSSEIRKVNFVIFVVNGLSVLKAIESDEDAETRYTQMIASAFNCPYLAFKDDKPLVVVTHGDLLSPVQRARVRVHLGELLGIPPATQVFDIPESSDPVTELAIVDMLRYSLERAEKNLPHKRKVPTMSLLSGMLLLVILGIAINIWCMNYQACIQQGPFPSSPEDIRHCPSPQMNLQQGHGSSPQAHVQHGPLPEVHIQHGPPPPSPIAHIQHDPSSEEHIYHGPLPKAELHNIRTKAQKSREKPGHGPTPQAELQEHRTEPDVWIDWSEIRHLWLDRDVWIDWSKIRHLWLGD >ONH92035 pep chromosome:Prunus_persica_NCBIv2:G8:16390501:16392539:1 gene:PRUPE_8G150600 transcript:ONH92035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGQRAYKGHCLVLPYPSQGHINPMLQFAKLLSHKGVKVTLVTTRYIHKTMYGSASSCIALDLETISDGYDEAGRGKASIDAYLESFWKEGSKTLAELLEKLSSSGPPVECVVYDAFMPWPLDVARKFGIAGATFFTQSCAVSNIYYHVHKGLLKVPLADDQSLISLPGLPPLDPLDLPSFVYDLEYCPAFYRVVVGQFSNVDKADWVLCNTFYELEEQVVDWLAKFWPLRTVGPTIPSKYLDERLEDDKEYGVNLFKSDNDACIKWLNERPKGSVAYVSFGSTAKLDDEQMEELAWGLRRSKSNFLWVVRASEAAKVPKGFIEETSEKGLVVSWCSQMEVLVHEAVGCFVTHCGWNSTLEALSLGVPMLAMPQWTDQRTNAKFIMDVWKIGITAPSDEKGKVRQEVVEHCISEIMEGERGKEMKINALKWKKLAKKAVDEGGSSDKNIDEFISKLVQ >ONH90026 pep chromosome:Prunus_persica_NCBIv2:G8:2932684:2934705:1 gene:PRUPE_8G030800 transcript:ONH90026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFSWFPHFLHSSFIMSFIYHCIKVATAFCCSSDAVLTIRSTLLSILCLHDITVDAHSFLFFLNCVF >ONH93612 pep chromosome:Prunus_persica_NCBIv2:G8:21190743:21193223:1 gene:PRUPE_8G242400 transcript:ONH93612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIVISVPADVELALPKHIGEKEEPKLSMFATIVSNLRQLQKRQQDMRKLLHSVKVATALVLVSLLYLLDPLYEQVGDNAMWAIMTVVVIFEFYAGATLSKGLNRGLGTILGGVMGFSAATFAQEVGGMGKGNAIIVGFSVFIFGGAATYSRLVPRIKKRYDYGAMIFILTFNLVVVSGLRAENVLELARERLSTIGMGFAVCIFISLLVFPTWASDELHHSTAHKFQDLADSIEGFLESYFRLDKENLKDDQPSSISRSCKSVLHSKSKDESLANFAKWEPWHGKFGFYYPWNKYLQVGELLRELATMVLSLKGSLQSPRQPSSSLRQSVKEPSEAVGLSLAWSLRELGESLMKMRRCPQENVILPKLKSMRLELSSMVSPVSNFGPLENVEGLAIATFVFLLTEMLEKVEELAKEVEEIGELAGFHSK >ONH90655 pep chromosome:Prunus_persica_NCBIv2:G8:9900709:9902699:1 gene:PRUPE_8G067100 transcript:ONH90655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWYEVQVQSSEDKLAAAVASENSDVFALPERPSELPCAFYLKMGQCKFGPTCKFHHPKDIQIPSAEQENKIGEIGTTIQLEGTGFAVKPPALSFNSKGLPVSPGEPDCPFYLKTGSCKYGASWARLKRTEKAH >ONH89605 pep chromosome:Prunus_persica_NCBIv2:G8:302873:308259:-1 gene:PRUPE_8G003700 transcript:ONH89605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWLNGYLEAILDAGSNTRKMNDGRVKIAKFEEQVKEEKMFSPTKYFVEEVINSFDESDLHRTWVKVIATRNTRERSNRLENTCWRIWHLARKKKQIAWDDARRLAKRRLEREQGRHDAEDDLSELSEGEKEKEGEKEKGEPLVKDILRTISDIRIWSDDIDKSRHLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALANTKGVYRVDLLTRQITSPEVDSSYGEPNEMLICPPDGSGSCGAYIVRIPCGPRDKYIPKESLWPHIPEFVDGALGHIVNMARALGEEVNGGRPKWPYVIHGHYADGGEVAAHLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSKGDINATYKIMKRIEAEELGLDSAEMVVTSTRQEIEEQWGLYDGFDLKLERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVIAQDTEGDGDLKSLIGSDRGQNKRHLPLIWSEVMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGAMPSSTGASQLGKTLILGNRDDIEEMSNSSSVVLTTVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKALNNGLLVDPHDQKAIEDALLKLVGDKNLWLECRKNGLKNIHRFSWTEHCRNYLSHVEHSRHRHPTTRLQIMPIPEEPLSDSLKDVEDLSLRFSVEGDFKHNGELDAATRQRELIEAITRMASSNSNTGVNYGPGRRQRLFVIAIDCYDQNGDDAQIFQETLMCVKKAASVGHGQGQVGLVLLTGSSLQETIKSFKGCQVNIEDFDALVCKSGSEMYYPWRDLAADADYEIHIEYRWPGENVRSMVPRLATLEVGADDDIMEYAGSSSSRCYSYNVKPGAKTRRVDDVRQRLRMRGFRCNLVYTRVASRLNVVPLVASRIQALRYLSVRWGIDLSKVVVFVGEKGDTDYEDLLAGLHKTLVLRSSVEYGSEKLFHGEDSFKREDVVPQDSPNIVLVESYQAHDISAAIEAMGIK >ONH91760 pep chromosome:Prunus_persica_NCBIv2:G8:15598272:15599191:1 gene:PRUPE_8G135500 transcript:ONH91760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALKSIALLFILLLASLLLSSKLSPTEARPLKPSRNGVSRENEGFFNQVDGSGPSDPGVGHRSVSVQTGLASVKNSGPGPGEGHH >ONH92813 pep chromosome:Prunus_persica_NCBIv2:G8:18856356:18859308:1 gene:PRUPE_8G197400 transcript:ONH92813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRSSPVNVLFQWVRSQSMKVKVFLGALLALCALLALRFWVRNHHYFFIASEVVHVLGIIVLIYKLTTQKTCSGLSLKTQELTALFLAVRLFCSTMMEGDIHTVLDFLTLVATAWVIYMIRFKLKSTYIKELDNFRLYYLVVPSAVLGVLVHPYTLNYRFCRILWAFGANMEAVSVLPQLRLMQNAKMIEPFTSHYVFALGISRFFACAHWIIQIYETNGTYIYYIGSGYFWLLSAFLGEMVQTFILADFCYYYIKSKMAGQLIMRMPPV >ONH92565 pep chromosome:Prunus_persica_NCBIv2:G8:18143751:18147530:1 gene:PRUPE_8G181000 transcript:ONH92565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRKLKDQDQDGASAPEDVESLRGKPISAVGPVERTKWKRKSIVTLALTFLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCALSLAALARIWSNEGVTEDNRLSTTLDEVGVYPIPALLYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYRIILKRKLSEIQWAAFIILCAGCTTAQLNPKSDSVLQTPLQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMAFNAVAIVIQDFDAVMNKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFHLSLAFFLGSTVVSVAVYLHSIGKVQR >ONH90782 pep chromosome:Prunus_persica_NCBIv2:G8:10782328:10783949:1 gene:PRUPE_8G074000 transcript:ONH90782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLMPNFHYQSPLETGIVATTSKPWDFTLVTEQKGRNPAQSIPTIKKRDHGDKDPSKNTSNNPNSDIFSSFSSRTSAEQEELDTLRVQVGDQQRKVSEEEELLKSSEISKDQMKAIQAKLDEMKHQVSEKDALIKAAKLQLTDAKIKLADKQAALEKLQWEPMISNRKVEKLQEELDSLQGQISSFMFLFEGLMKADAIVYSEDYDISPCTVDHLSHIDDLDETWMQKVEEARKAYITVVAATKEKQDEESIAAAASARLHLQSLVL >ONH93250 pep chromosome:Prunus_persica_NCBIv2:G8:20088527:20096299:1 gene:PRUPE_8G221600 transcript:ONH93250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNLGGFLVLILAIAICEAASVFQPISDSHRSAGSELFTANDGSFGSLEEAYEALRSFEILGIDKKSDIRTATCQSVVDKLGSSSSAPKDLFYALKVNSILKCKVNDKIFEGIAARLKAIANTASSLLDFYHSIGSLVLIKDQSSEVDVLLADAGGIFHSIKSLSQSDGRWRFSSDNPESSTYAAGLALEALSGVVSLASSEIDQSKIGTLKSDILKLFDSVEKYDDGAMYFDEKVVDLRERQSPLSTTASVVRGLTAFAAVTSGNIKVPGDKILGLAKFFLGIGIPGNAKDFFNQVDSLASLESNKVAIPLILSLPATVLSLTKKDRLQVKVNTVLGSSAPPLTVKLVRALSSGSKVASTIESQELKFDRESESHFLDVPKSVDVGNYIFVFEVVLHDSEDEKVYATGGSTQVQIFVTGVIKIENAEIVVLDSDVGSIETQKKLDLAGENSLALSANHLQKLRLSFQLTTPFGNAFKPHQVFLKLRHETKVEHIFVVGKSGKKFEIILDFLGLVEKFYYLSGSYDIQLTVGDAVMENSFFRAIGYIDLDLPEAPEKASRPPTPAIDPYSKYGPKAEISHIFRVPEKRPSQELSLIFLGLVFLPLIGFLVGLLRLGVNLKNFPTSALPATFGILFHVGIAAVLLLYVLFWLKLDLFTTLKWVGLLGVFLLFVGHRILSHQASTSAKLKSA >ONH92238 pep chromosome:Prunus_persica_NCBIv2:G8:17164258:17169245:-1 gene:PRUPE_8G164800 transcript:ONH92238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSRVLLLALVFLVASATLVHAKGKKPAPEEEGDEGDEGDDLVAEKGGKKQLEVGEVTYDARSLMINGRRKLFFSGSIHYPRSTVEMWPDLLKKAKLGGLNVIETYVFWNLHEPVKGKFNFEGNADLVKFIRLVQEHKMYVTLRVGPFIQAEWNHGGLPYWLREEPDIIFRSDNPSYKHHMETFVTKIIAMMKHEKLFAPQGGPIILAQIENEYTHVQLAYKELGNSYIQWAANMALSQNAGIPWIMCKQKDAPDPVINTCNGRHCGDTFTGPNKPHKPTLWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFSKDGTLTNYYMYHGGTNFGRTSAIFTTTRYYDEAPLDEYGLKREPKWGHLKDLHRALSLCKKPLFAGTPGVQRLGKETEARFYERPGTDICVAFLANNHSTIETTVNWRGQEYFLPPHSISILPDCKTVVFNSQQIVSQHNSRNFVRSKAANKFNWERFSEPIPTTVQIPVNQKSPQELYHLLKDATDYGWFTSSLELGPYDLPMKESIRPVLRIPSLGHAMSVFVNGEFVGTEHGSHAEKGFVFERPVTFKQGVNHISLLCMTVGLPDSGAYMEHRYAGPRTITVLGLNTGTIDLSQNGWGHRVGLNGENLQVFTKKGAQKVQWTKAAGKGRALTWYKTNFEAPEGKDPVAIRMAGMGKGMIWVNGKSIGRHWMSFLSPLGQPTQSEYHIPRAFIEPGQNFLVVLEEQEADPKDIEILTANRDTICAISTEMHPPHVKSWERKGGELKTVGPVSGASAQLMCPNLKKIVGVDFASFGTPEGMCGAYKPGKCDSPATRKVVEEHCLGQTSCTVPVDPKLFFKADPCPDINIKTLAIQVTCAL >ONH92769 pep chromosome:Prunus_persica_NCBIv2:G8:18747049:18747270:-1 gene:PRUPE_8G194700 transcript:ONH92769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFILCVLIVGMVALNEGVEPKRASINPGVLDPCLKPGGPHPGCSGPRGSNGARKPVNPYNRGCLKFNRCRG >ONH92984 pep chromosome:Prunus_persica_NCBIv2:G8:19266172:19266757:1 gene:PRUPE_8G205800 transcript:ONH92984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQQKILLITDLLLGGITVAVAVAVVFGLELHGLVSLLSTISSVLWHWSSSPFENFRFNAFPAPEFAIATISLFY >ONH91729 pep chromosome:Prunus_persica_NCBIv2:G8:15512184:15513253:1 gene:PRUPE_8G133700 transcript:ONH91729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDSNLPPANMRIIVLWRGAISTLEIPVAARIIEVKVLICEALHIPVPADRQELSWEGQFLRDELTLQNYNIPPNASLTVLTKIKVEIYVEYSRFYYVCEVHDGATVGELKAKVCAEQSIIDVEHKVLRMNGFDLDDGALLWAATVMEGTKLHLVKYQN >ONH91529 pep chromosome:Prunus_persica_NCBIv2:G8:14748068:14749018:-1 gene:PRUPE_8G121400 transcript:ONH91529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEELAYDFSPMIKVYKDGRVERLNDTDRVPPSTDPKTGVQSKDVVISQEPAISARLYIPKSTTTSAPQTKLPLLIYFHGGGFCVRSSSSAKYHSYLNALVSEANVVAVSVDYRLVPEHPLPAAYDDSWAALKWVASHSDGNGSEDWLNRFADFQRVFFAGNSAGANITHNMAVKLGCEGLVGVKLIGIVLEHPYFWGTEPVGEELTTPAAAREYMAGVWRFACPSTSGSDDPLINPAKDQKLGKLGCEKVLVCVAEKDVLKDRGWHYSETLKKSGWNGAVEVIEAAGEGHSFHLGDPTCDNAVAMKKKIVAFLN >ONH92720 pep chromosome:Prunus_persica_NCBIv2:G8:18580242:18580897:-1 gene:PRUPE_8G191300 transcript:ONH92720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLAKRLKNSPSCFQSAVNQIWWVRTESGPSRRRRRHKSLALAMRKSEEKSEWWIVDGEIHEIGDHVPPHERFIIPRDNIPNKRRKQLRE >ONH93406 pep chromosome:Prunus_persica_NCBIv2:G8:20548011:20550028:-1 gene:PRUPE_8G230100 transcript:ONH93406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTASKVKLSSLFTNPQRPTSSNSKTSSKLSSLFIKNQGTPTSSNPTTSSENPISKVPIQTQLERFLETNCKSGNVTINEALHYFEHMIQMQPTPPIWSFNRLFGGLAKSKHCSQVFLFYNKLISVGLLPNFITLNILLNCFCNVNRARDGFVVMGSLLRRGYRPSTVTYTALLKGLCMEDRIDVATRLFKTMIKLGCQPTVVTFGTLINGLCRTGNTNVALRLHEEMANGNGVYGVECKPSVVSYGTIIDGLCKAGLVDKAKELFIEMKDRGFVPDVIVYSALIHELYYNEKWEAAKALLNEMVDQGVRPNVVTFNVLIGVLCRRGHLKESSDLLKLMIQRGIDPDVFTYNTLMDGFCLAGRLNEARELFHSIPSRGCEPDAISYNVLINGYCKNRNIQEAVNLYKEMIGKGTRPTVITYNSLLTGLFHMGKVQDAQELFGEMQTQNLLPNSTTYKILLDGLCKTDCVPEAMEVFRTLENCNFKISVEMLNCLIDGFCKAGNLEVAWDLFLTLSNRGLAPNVITYSVMIHGLCIEGQLEKANGLFIEMEANGCAPNVIIYNILMRGFCQSDDSAKVVELLHMMVARNLSPDSCTISIVIDLLSKDEKYRKCLDLLPTFPATSQSKMT >ONH92086 pep chromosome:Prunus_persica_NCBIv2:G8:16605928:16606436:-1 gene:PRUPE_8G154000 transcript:ONH92086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAICCVALVFTLVSANISQAEIDGFLEEHNKARKEVGNKPLKWNTTLAQYAQEYANKRVDDCAMEHSRGRWGENLTSGDGMTGAAGTKYWVTEKEFYDPKSNKCVKEECGHYLAVIWGKTTEVGCGISKCKDGKNYIVCSYDPMYQPEDERPY >ONH92536 pep chromosome:Prunus_persica_NCBIv2:G8:18062137:18064099:-1 gene:PRUPE_8G179700 transcript:ONH92536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDKEASSSSQRLRPPAPSENTPLIGDPEPLSSQGKTFANVFIAIVGAGVLGLPYSFKRTGWLMSLLTLLLVAFLTHHCMMLLVYTRRKLESSNGCTKIPSFGDLGFAVCGTVGRLVVDVLIILAQAGFCVGYLIFIGNTMAHLLNAPTATDLTPKILGLAPKSFYIWGCFPFQLGLNSIATLTHLAPLSIFADVIDLGAMGVVMVEDVMVMAAKRPEVKAFGDLSLFFYGLGVAVYSFEGVGMVLPLETETKDKDKFGWILGWTMTFISLMYGSFGALGYFAFGEETKDMITANYGAGVISTLVKLGLVVNLFFTLPIMMHPVYEIMERRFWSGRYCLWLRWVLVLAVSLVALLVPNFADFMSLVGSGVCCALGFVLPTLFHLMVFKGEMGWRQWSSDVSILVLGLVLALSGTWSALQEIFSIKV >ONH91665 pep chromosome:Prunus_persica_NCBIv2:G8:15202068:15202878:-1 gene:PRUPE_8G128300 transcript:ONH91665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >ONH90029 pep chromosome:Prunus_persica_NCBIv2:G8:2946677:2954834:1 gene:PRUPE_8G031100 transcript:ONH90029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAKSLSKAVVPSSLIENPSPGSLQSTRLALHVSEDCSSCWVYIASGCQIYKLQIPLEASLISEGKESLLIPVQTEVMNSLMVNRCPHRSEIQSIVLAETESTGYSMLGTVDSYGHLIVSKLDTTGTDVERLTYSVLPRDCGIGESGWAGLCFSPTQWSTAAVARSFCKTIDVYDQDIHVRTLCTLWYPSSLNFTKSSHYGNEGSILAITEGSQLTIWDLRMKENGGCLQRICGSLGDAFYAVCSSSTGNIAVGGADRTVTIYDPRRWSALSRWVHCSKYEITGLAFSSVDSDYIYIQGVDYEVFCGQWKESSKVFSFRGDSNWLGFSKCQNKDVLGGWCDSGSIFVADVVAKESDTSMLQGFANGSP >ONH89940 pep chromosome:Prunus_persica_NCBIv2:G8:2299396:2302182:-1 gene:PRUPE_8G025100 transcript:ONH89940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEELPLHKVAYWIQAHRIPLNLLTAGNTLEIREKLGEVKEVEDPWEKGSRGFLGMRVMIDSNNPVPQGFWLPRAEGQDTWVEIKFEQLLDFCFNCVILLMFTGDFKEIIWEFEKKGGRAFNHKDRRYLQDFMNQMQLMDIGFQGQAYAWRWRKAEEVLIQERLDKGLINSSWQEAWPCFAAIHLPDVGSNHCRILILIEGRQEKVRRNRVSTTKGVNGVWRENDSQVRKAFKDLFSAGGRRNWRDVLAFISPVNTKQMNDSLCNLVSNEEIKEAAFQLGANKAPSPDEFSRIFYHNYWETIKEDLCKMVKDFFQGESSVHCLNVTEIALIPKVPNLEIITQFRPMALCNFTYKIISKILTNRLKPILAEIISAQ >ONH93918 pep chromosome:Prunus_persica_NCBIv2:G8:22034400:22035027:1 gene:PRUPE_8G260400 transcript:ONH93918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRVEDKVKEICASKWVIISSYSGERYGNRGGCGGARNVLCEAVERHKASMLVSGSHGYGAMKRAVLGSVSDYCAHHARCTVMIVKRPKIKN >ONH91845 pep chromosome:Prunus_persica_NCBIv2:G8:15820006:15822856:1 gene:PRUPE_8G139200 transcript:ONH91845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQIALPLLLHNPPPSSRPFFQNHHQNQNPATPTPPPLPPPPPMPVTPLLQELLLHPNPSTPQTQNPTSPPTLPRARTRIGKSRDSNRGKPWSHHRLSSQGQHILHSFLDPQFDSSKLDEQLLGLVDLHRDEFGSSLDSLSLDVLGIVKGLGFHKKFDLAIDVFEWFKKREDCDSILSGSVVAVIISILGKVGRVSSATSLFQSLHKDGFALDVYAYTSLITACASNGRYREAVTVFKKMEEEGCMPTLITYNVILNVYGKMGMPWNKIRALVECMKSAGIAPDSYTYNTLITCCRRGSLHVEAAEVFQEMKSAGYVPDKVTYNALLDVYGKSRRTKEAMEVLKDMEFNGFSPSIVSYNSLISAYARDGLLEEATALKTQMVEKGIKPDVFTYTTLFSGFEKAGKDEPAMRVFEEMKSSGCKPNICTFNALIKMHGNRGNFAEMMKVFEEIKICKCTPDIVTWNTLLAVFGQNGMDSEVSGVFREMKRAGFVPERDTFNTLISSYSRCGSFDQAMAVYKRMLEAGVTPDLSTYNAVLAALARGGLWQQSEKILAEMQNSQCKPNELTYSSLLHAYANGKEMELMHVLAEEIYSGVIEPHVVLLKTLVLVFSKSDLLMETEHAFLELRRKGFSPDITTLNAMLSIYGRRQMFMKTSEILKFMNEMGYTPSLTTYNSLMYMYSRSEDFEKSEKFLREIMEKGIKPDIISYNTVIFGYCRNGRMRDASRMFSEMRDAGIAPDVITYNTFVASYAADSLFVEAIDVVRYMIKNGCKPNKNTYNSIVDWYCKHNRRDDGVKFVNNLRNLDPHISDGEESRLLDRIKNKWS >ONH93153 pep chromosome:Prunus_persica_NCBIv2:G8:19816130:19818295:-1 gene:PRUPE_8G216200 transcript:ONH93153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPTKLSPFMCSALLFYTVFASFPFAITSADFTNLVYKGCANQKFQDPSSSQTLKSLFDSLVLQSSQKTFFSTTSGEGQNAISGLYQCRGDLTTSQCNSCVKKIPTLVSKLCGEVIAARVQLGGCYLRYEIAGFKQVSGTEFLFKICKSDQASGSSGFEEKRDSAFAMVENGVKNGTGGLFYAGTYQSVYVLGQCEGDLGTGDCGDCVKSADQKAKTECGDSISGQIYLQKCYINYSYYPNGVPSITSSSGTVGSRHHTQRTVAIAVGGLAAFGFLVVCLMFVRQLMKKHGGKHGDYY >ONH91580 pep chromosome:Prunus_persica_NCBIv2:G8:14973912:14978438:1 gene:PRUPE_8G124300 transcript:ONH91580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSQSREDHITDSDEYESEEEEVSEDEDQYDDAEEKPHQPSSKPKSKTLDSSIDDVDAKLKALKLKYGSPSSSSAAQNQNAVKLYLHIGGNTPKAKWVVSDKTSYSFVKTFNVDGDDDYEDDVRSDREGQWVLKVGSKVRARVSTDMQLKMFGDQRRVDFVSKGVWALKFYTDEQYRRFVTEFQDYLFENVYGFKATEENKVKVYGKEFLGWAKPEVADDSAWDYDDIDQSPKSATPVRPSQDLMEEFEEAANGGVQSLTLGAMDNSFLVNDTGVQVYRNFNHGIHGKGVVAKFDSGGSSLGRSTPKKALLMRAETNMLLMSPLKEGKPQANGIQQLDIETGKIVTEWKFQKDGTDITMRDITNDTKASQLDPSESTFLGLDDNRLCQWDMRDRAGMVQNIAAANSPVLNWTQGHQFSRGTNFQCFATTGDGSIVVGSLEGKIRLYSKTSMRQAKTAFPGLGSPITHVDVTYDGKWVLGTTDTYLVLICTLFTDKDGKTKTAFSGRAGNKIPAPRLLKLTPLDSHLAGTDNRFHGGHFSWVTENGKQERHLVATVGKFSVVWDFQQVKNSAHECYRNQQGLKSCYCYKILLKDESIVESRFMHDNFAFTDSPEAPLVVATPMKVSSISLSGKR >ONH93063 pep chromosome:Prunus_persica_NCBIv2:G8:19496147:19497432:1 gene:PRUPE_8G210400 transcript:ONH93063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKLLGTWPSPFCYRVIWALKLKGVKYEYVEENLMNKSDRLLQYNPVHKKIPVLVHGGKPISESIVILEYIEEVWAHNPLLSDDPYERAMARFWIKFGEDKTAIFRAYFQSVGEEQEKAKRETQEVLKTIEEHGLGEKKFFGGDTIGLADLTFGWLAGWLGEMEEAAGVKLLEPNLFPHLQTWIKNFRDVPVIKDNLPEHDALLAYFKSLREKFTASART >ONH91302 pep chromosome:Prunus_persica_NCBIv2:G8:13595991:13596689:1 gene:PRUPE_8G105200 transcript:ONH91302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDENSCSSIDARDDHACGFKCNICLEVAYQDPIVTPCGHLYCWPCLHRWLRVCLSQSNKPRCPACTAVIKERKMIPIYGIKSDRRSPSVLRGIKFPNRPSPFSSSLIGRAVCQTLNAIASAFNASFSSSSIGRAVPQTLEAVASALNTDHFSKVFEFMPMVLGGIGVVVLSGGYFWWLSKPLASTKQVLDSVEQVCDSWETCDGKRLVCGGWKKVKAMCSLGLRVFYCLI >ONH90423 pep chromosome:Prunus_persica_NCBIv2:G8:5850555:5856793:-1 gene:PRUPE_8G053000 transcript:ONH90423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKMSTAQPLKKIVSCVILDLDGTLLNTDGIVNDVLRVYLGKYGMQWDGREVQKIVGKTPLEAASAVVEAYGLSCTTSELLSEITPMFSNQWCNIKALPGANRLIKHLSGHRVSMAMASNSPRENIETKISFHQGWKESFSVIIGGDEARLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGKAAGMEVVAVPSIPKQSHLYTSADEVINSLLDLQPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTANLSTEGYGSLLSEHPSGVYFGWAGLSKRGVFKMVMSVGWNPYFNNTEKTIEPWLLHDFDEDFYGEELRLIIVGYIRPEANFSSLQSLIEKIHHDRKVAEEALDLPLFSKYKDDPYIKSST >ONH92431 pep chromosome:Prunus_persica_NCBIv2:G8:17765903:17768100:1 gene:PRUPE_8G174800 transcript:ONH92431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTKDQEQMTPEFELPGFRFHPTEEELLEFYLKSVVFGKRQRFDIIGFLNIYHHDPWDLPGLSKIGEREWYFFVPRDRKHGSGGRPNRTTETGFWKATGSDRKIVSLSDPKRIIGLRKTLVFYKGRAPRGTKTDWVMNEYRLPDNCHYLKDIVLCKIYRKATSLKVLEQRAAMEEEIKNFHPSPNTSSPPTSMETISFCSQSQEDLTLQMPKNYVVLKQEMDDASLTEEKEPKEEKAMEIKGSPPPPTYILPEIQVPRLSVDWTQDAFWTQMNSPWLQNLTPLPNLLNF >ONH92070 pep chromosome:Prunus_persica_NCBIv2:G8:16538571:16540282:-1 gene:PRUPE_8G152400 transcript:ONH92070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEALFLAYQNLGDYLSLSSWFPCFTLKKLLLQLRESSDKKRKQYDNQTSSCFEYSSSSGWQRVHEDIMEMIVRRLSLRDRIRTGLACKSWNSVCMRGHIRGAQEAPWLVLPQDPNCKYMSVTNLADGKVHKLRLPKRIHGSRFYGSSRGWLIMIQEKRLNSKMFLFNPVSGALCQLPPLATIPSFKEFVETEWDLCGTSFFFNHIVLSTSDINNSECMVAAIFDNLQVLVMCRPGDKTWSGFQVLDENEHHCQLLFSSTGKLYSLAISYIKSELIQPRTIKFGDVEVELILVYDNADWIVSDIEYHNDYKISLNGEYESFLFESTNNEVLVIHQTKDVFEIRRSVDDEDDEELELELEGGNHQDGDDEEHNMQEESDDELEEGDTDYDDYQYFRTAGFEVYKIDPETGDFLKEQSLGDQTLFLSSHGSFSLRASDFIELDRNNIYFATNGIDPFNVHKPFTTREIGIFCLDNERVERSFPSVQESMGSRMSWFTPSL >ONH90870 pep chromosome:Prunus_persica_NCBIv2:G8:11283706:11284527:1 gene:PRUPE_8G079200 transcript:ONH90870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVHAKKNKVPSKSLDVPKGYFAVYVGEGEKKRFVIPVSYLNQPSFQDLLTRAEDEFGYDHPMGAITIPCSENTFLDLTSSFGV >ONH92768 pep chromosome:Prunus_persica_NCBIv2:G8:18741668:18743746:-1 gene:PRUPE_8G194600 transcript:ONH92768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNLTSAFTFALILCVSALSLIPHTTSISSSFQFPPTQSTILPDPSSFFSANLLSTPLPTNSFFQNFALNNGDKPEYFHPYSINSSSSSLSISYPSLSSTSAFISQTFVPDLTISASQTSANLATANNSGRVISAFTDLSVTLDFPSSNLRFFLARGSPYVTCNVSSPTAVSISTIHAILESYSSNSKTKFTFQLDNNQTWVMYTSSPANLIRSSPSTLTFDGYSGNIRIALVPGSDPKYVAILDRFSSAYPISGEAVFTKPFTLEYKWEKYGRGDLLMLAHPLHLHLLSNATVLEDFKYKSIDGDLVGIVGDLWELKSHNISVTWHSIGGVKQASHPEIVYALRRDVKALSSTPITTESSYFYGKLVARAARLALIAEEVNCLDVVPAIRKYLADAIEPWLDGTLSGNGFLYDPKWGGLVTQQGSTDRGADFGFGVYNDHHYHLGYFVYGISVLAKIDRAWGSKYKPQAYSLAADFINIGNRSNSNYLRLRCFDLYKLHSWAGGLTEFGDGRDQESTSEAVNAYYSAALMGLAYGDTNLFNSGSMLTSLEIQAAQMWWHVREGDTLYEEKFTKENRIVGILWANKRDSGLWFAPPEAKEIRLGIQLLPISPITEILFSDDGFAKEIVEWALPALSREGVEEGWKGFVYALQGIYDKDGASEKIKSLKGFDDGNSLTNLLWWIHSRNLGSQ >ONH92133 pep chromosome:Prunus_persica_NCBIv2:G8:16770459:16774048:-1 gene:PRUPE_8G157200 transcript:ONH92133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRQWRPPTTPFLILLFLCLCLLSPSTSNELEPLFKLKTALQGSNPTVFTSWTEATPICSFTGVVCNSNGLVSEINLSQQKLSGILPFDSICSLQSLKKLSLGWNGLHGSLTDDLKNCTSLEQLDLGNNSFTGKVPDLSSLSQLTLLSLNGSRFSGAFPWKSLENLTQLTFLSLGDNPFELSSFPAEVIKLDKLYWLYLTNCSITGQIPEGIGNLILLENLELSGNQLSGEIPQSISNLKKLRQLELYENLLTGKLPAGLGSLPSLVNFDASSNKLEGDLSELRSLTQLASLHLFENQLEGEIPEEFGEFKSLVKISLYKNKLTGTLPQKLGSWAGLDYIDVSENYLTGPIPPDMCNNGKMVDFLLLQNNFTGGIPENYANCKSLNRFRVSNNSLSGRVPVGMWSLPNVIIIDLAMNQFEGLLAPDIGKANSLSLLLLANNRFSGELPDTLSEATSLVSIQLSVNQFEGPIPETIGNLNKLSSLHLDQNMLSGTIPDSLGSCVGISEINLAQNNISGQIPSSLGSLHNLNSLNLSGNQLSSEIPTTLSSLKLSLLDLTNNRLIGRIPESLSIQAFSGSFDGNPGLCSRNMQNVRSCSSNSGTSRGPRIFLSSFIAGILVLLVVVAVFSLLKLRRKSLDHPLKSDSWTMKQYHVLSFTEKEILDSIRAENLIGKGGSGNVYKVALSDGKELAVKHIWTTSDTCDRKSYRSSASMLKKCKPRSSEYDAEVATLSSLRHVNVVKLYCSITSEDSNLLVYEYFPNGSLWDQLHTSNKMKMGWEVRHEIALGAARGLEYLHHGNHRPVIHRDVKSSNILLDGDWKPRIADFGLAKIMQVGADCTHVIAGTVGYIAPEYAYTCKVNEKSDVYSFGVVLMELVTGKRPTEPEFGDNMDIVSWVCSKMQYKESVLELVDSSTSDYLKEDAIKVLSIAIHCTARVPVLRPSMRMVVQMLEEAEPRKLTSINITKEG >ONH89837 pep chromosome:Prunus_persica_NCBIv2:G8:1786949:1790292:-1 gene:PRUPE_8G019400 transcript:ONH89837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTTTWNLRASAVSLSPIVTRRSRTHLQRPSLSPPPKLSCQFWAYPFPFIPNKFPALHARNRNSEPEPLLKPTIIEEVSEDDDDDDDVILDDFEEDEVSMDDEGDDYYEEESAELYAGDGGGGGGISLAGVWWDNKALEIAEEVILSFDGDLKIYAFKTLPNFTIQVRIEKLSNRSGSPSMEDIEAFSRTYRARLDEAELAKSLPENLSLEVSSPGVERIVRVPHELDRFKDRPMYVKYFSETAETGVISENDGVFRLVSFDVETKCCVWGLADVRINREKAGKGRPLSKKQRQWQLNTPFDSLRLVRLYSDI >ONH89615 pep chromosome:Prunus_persica_NCBIv2:G8:355708:367149:1 gene:PRUPE_8G004400 transcript:ONH89615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELLQLSDSMRQGAAVLADEDVDENSSSSRRASSFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIDLQRDASLSSKSIILQIDNKSQQVSASALRHSLQGRLSNATGKSRDEINLKLRTSTAPPLKLIDLPGLDQRIMDESMISEYAEHNDSILLVIIPASQAPEVASSRALRVVKEYDGDGTRTVGVISKIDQASSDQKALAAVQALLLNQGPSRASDIPWVALIGQSVSIASAQSGGSESSLETAWRAESESLKSILTGAPQSKLGRVALVDALAQQIRSRMKVRLPNLLTGLQGKSQIVQDELVKLGASMVQSAEGTRSLALELCREFEDKFLQHITSGEGSGWKIVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVDIVSAAANATPGLGRYPPFKREVVAIASAALDAFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEEVKNRSSKKGQEAEQAIMNRATSPQTGGEQAGGSLKSLKDKDKSGKDSKEVQEASGLKTAGPEGEITAGFLYKKSAKTSGWSKRWFVLNEKTGKLGYTKKQEERHFRGVITLEECNIEEATDEEEPPPSKSSKDKKGNASEKAPSLVFKITSKVPYKTVLKAHSAVVLKAETVADKIEWINKIGKVIQPSKGPLRGASTEGGPTMRQSLSDGLFLNFICLFFIFKDTMTRRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQNTVKIEELLQEDQNVKRRRERYQKQSSLLSKLTRQLSIHDNRAAAASSWSNGGGGAPESSPRSSGATGDDWRSAFDAAANGPVDRNSSISRSSSNGHSRHYSDPAQNGDVNSGSNSGSRRTPNRLPPAPPGSSGSRYF >ONH90601 pep chromosome:Prunus_persica_NCBIv2:G8:9161044:9163289:-1 gene:PRUPE_8G063000 transcript:ONH90601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGFYPTEFFLLWIHPKRRARGRNHHQRQPWLRRSLQSARERPFSPEKQSMTEKAFSGGEAIDDDHGSSWRHNCLRRRRSAVHGEAIGESMLTE >ONH94089 pep chromosome:Prunus_persica_NCBIv2:G8:22414749:22417794:1 gene:PRUPE_8G269800 transcript:ONH94089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPESNSAGAAESSSSSAAASAASSSPSPSGKRSRDPEDEVYLDNFHSHKRYLSEIMASSLNGLTVGDPLPENLMESPARSETMFYPRDEMSLQYSPMSEDSDDSRFCENPINTCSSQPDSVPSSPVSPYRYQRPLTGFPSTCSSTSQSSHGCTVTNFNCSQPRQRGSDSEGRFPSSPSDICHSADLRRAALLRSVQMRTQPFAPPSDDMAFSSGQEPVSNIEAEERSCPYMKSLVDEREYPIAECSSMGISEPDFKQEKSCRMLDMDEKGNHSAD >ONH90942 pep chromosome:Prunus_persica_NCBIv2:G8:11776814:11777354:1 gene:PRUPE_8G084600 transcript:ONH90942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDTIIRELRTFIPFAKRELRIHNQEKRERLHEENTPALVSSSPPSWAGNLFLLVEESLFFCHHKDLSFSLTFGEGIVLRGAQVKF >ONH89667 pep chromosome:Prunus_persica_NCBIv2:G8:616666:619366:1 gene:PRUPE_8G008000 transcript:ONH89667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTAGSVLNRLLCDKGHLTKPSNPTAVKAISSTILTHLDSGHLPKAVSILFASPHPFQFSLYARLFQLCSSNHAILEVRKVESHLVTFSPVPPIFLLNRAIEAYAKCGSLGDARELFEEMPQRDGGSWNALITAYSQTGNPEDAFGLFIKMNRSGFLPNEITFASVLGSCAAVLALWLSRQIHAVIFKYGFNGNVILGSSLVDIYGKCGVMRDARGIFDEIQNPNDISWNIIVRRYLEMGEGKEAIIMFFQMFVAAVRPLNFTFSSALVACSSITALEEGMQIHGAAIKMGFENDEVVLSSLIDMYAKCGELENACAIFDQPKSKNLISGTSIVSGYAMSGQTWKAREFFNEMPERNVVTWNAMLAGYTHYFQWEEALNFIFLMVNTTKNIDQVTLGLILKVCAGLSDVEMGKQVHGFIYRHDFCSNIFVGNGLLDMYGKCGNLKRAKTVWFHQISQCRDRISWNALLSSYARHGRSELAMTIFCEMQLEETPDEYTFAILLAACANIFALEQGKQIHGFMIRNGYTMDSVVRGALVDMYSKCRSIEYAIMVFKERASRDVILWNSMILGCCHNYKGREVLKCFGLMEDEGIKPDHVTFRGVLHACTYEGFVELGRQYFDSMTNEYGIIPRLEHYECMIELYSQWGYMDELENFVKNMPFDPTVPMLTRVLDACRRHGCLRLGQWAAQRLNE >ONH89624 pep chromosome:Prunus_persica_NCBIv2:G8:392211:394769:1 gene:PRUPE_8G005000 transcript:ONH89624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTISRTCCKSRGFFSAKPSPTTPPCTSLSTHCAGGLVAALEPWREGHKVVVSEQGDQVGSTWVSTPKVESDPLGLHPDRTTVHSSMHQSIRTNLPRESMGFKDYPFVAKEEDEKKKRPEKVFRVTERC >ONH90327 pep chromosome:Prunus_persica_NCBIv2:G8:4925702:4931664:-1 gene:PRUPE_8G046700 transcript:ONH90327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTERASSSSFPRAIQWKYDVFLSFSGEDTRKRFTAHLYEELKYLGIRTFLDNPELEIGKPIPAELSSAITESRLAIIVISPNYASSTWCLDELLQILQCMEARDTVLPIFYDLEPSDVRKQTGSLAKAFSDHEKRFDTNKLRDWKAALTKVANLIGWTAKDKDEPGLIKEIVQKVQTKVPPVFWESKKLVGIEPRLEQLYSLLDIDSDDVHFIGLCGMDGIGKTTIAKIARKTLGDKFDVSRFFSVKMVSEKHGLVNLQRRLCKSLMKKNSEYWDNIDEEATMINFLFQKKVLLILDDVDDISQLENLCGNRDWFGPGSRIIITTANEKLLITHGVKIFKVPELDANEAIQLFSLKAFKRDYPDKKFTALSRCFVDCANGLPLALELHTRDLHEWTSEWIKLKDTCSLNNDSSRKIMEVLKISYDRLDEEQKNIFLDIACFFKGKYKYQVLKILNSCGFQSDIGIKVLVEKSLITISDNMVLMHDLFQVMGQAIVVQQSKEPGGRSRLWRSRDIYPVLRDNTGTKSVEGIVLPFPESEEAKCNPEAFFQMSNLRILKIHNVHLPGGLKYLPDSLRFLEWRGYPEKDLPPDFEAHELVELSMCHSSIKQLWIGVKTFGKLKVIDLSHSLNLTRIPNCIGVQNLGRLDLEGCKSLVEIHPSVGALKKLTSLNVKNCISLRILPAKIEMELLEAFILSGCSSLKRISEFVSPMENLREIFLDGIAIESIPSSIECLTSLSSFDMRGCKYLNCLPSTIGNLKSLKSLNVSRCPKLAKLPESFGELESLEEIDISETSIKEWPSSSFVLKNLKSLTFRGRKSGQRWFMSLRFLSWPMKRCQPMSSFVPPLSGLSSLMHLDLSDRNLLEGEMPMDIDCLTSLVSLNLSGNHLIILPERISRLSKLEILYLSGCKKLQHLPVLASDISLEVMADGCTSLKMLQCPSNLDRLKWSCFNFINCFGLIDQESCNDIAFVMLKMYLKRVPFPEDRYEIIIPGRNIPWWFSYKNLGSSVSVKQRPQCRKNKWMGYAVCAVFEVSSSGWNVTCDLKVNGKEKYPAPLIATNVQPVGEHLWLFYVSRDISFDREWQNSCNQLTFSFTNSSCCFVKKCGVRLVYQKDVEDFDNITTQSSIDISPYEAMDDKSASVHGATIKRSYSREGSSRRGCIPAALCYLLINVTSRDRFRRSTMLSALGPLSALTVLFLGTHEQLSTSELPKGLVLDGCGCAHIRHITPSRSVDVGSYNPPPLRARRPRRHTRTTRQSGSDTKLSHPGIGSAVARYCPLWAPLSARTILFLGAHKQLPKRGDE >ONH90503 pep chromosome:Prunus_persica_NCBIv2:G8:7106577:7111590:1 gene:PRUPE_8G057600 transcript:ONH90503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGASSQSGKPHSSHTVLPYETPKLRDHYLMGKKLGQGQFGTTYLCIHKPTGDQYACKSIPKRKLLSPEDYDDVWREIQIMHHLSEHPSVVQIKGTYEDSVFVHLVMELCAGGELFDRIVQKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDTPSDDAMLKATDFGLSVFYKPGQVFYDVVGSPYYVAPEVLAKIYGPEVDVWSAGVILYILLSGVPPFWAETEAGIFRQILDGNIDFESEPWPNISESAKDLMYKMLERDPKQRISAHEVLCHPWIVDDRVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKAGLKRVGSELMESEIKSLMDAADIDNSGTIDYGEFLAATLHLNKMEREENLVAAFSFFDKDGSGYITIDELQQACKEFGLGDVHLDEIVKEIDQDNDGRIDYGEFAAMMRKGDGGFGKSRTMRSNLNFNLADAFGIKDST >ONH91441 pep chromosome:Prunus_persica_NCBIv2:G8:14239622:14241923:1 gene:PRUPE_8G114800 transcript:ONH91441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDPTFIQDPEHRPKLSVTEAEGIPLIDLSPLNSSDNISDPKAIEGVVREIGNACKEWGFFQVINHGVLLDKHKKIEDAARKFFAQPLEEKRKITRDENSLFGYYDTEHTKNVRDWKEVFDFAVEEPMLMPASLDPEDKEETTWTNRWPEYPPELRVACEEYIEEVEKLALKLMGLIALSLGLTADRFNSYFKDQTSFIRLNYYPPCPSPQLALGIGRHKDSGALTVLAQDEVGGLEVKRKRDGEWIQVKPTPNAYIINVGDIIQVWSNDRYESVEHRVLLNSEKGRFSIPYFVNPAHYTLVKPLEELTHEQEDPAKYKPYSWGKFLNHRKLSNFKKHNAENIQLYHFRVSE >ONH93016 pep chromosome:Prunus_persica_NCBIv2:G8:19403149:19403586:1 gene:PRUPE_8G208300 transcript:ONH93016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFKGYVVFFVCVAILALSAPCLGSPGIRYNKWHVHVVNNLGAGKTLFAHCKSKDDDLGERNLAPGTEFNWSFKENFFGTTLYWCYMSTDQKHAALDVFWVEGDHSWLQYRCNWKDCIWIAKDDGIYIKIIPENRDEFSHKWEV >ONH93328 pep chromosome:Prunus_persica_NCBIv2:G8:20292625:20294555:-1 gene:PRUPE_8G226000 transcript:ONH93328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGSQPKYDRVKEVKEFDETRAGVKGLVDSGVTKVPSFLIHPPQSLLSSTTANIQFQVPVIDFDGFDHDFQRVQIVKEIREASETWGFFQMINHGVPDRVIGNMIEAIRQFHEQPEEVKMELYSRDSKQRVRFYCNGDLLVSKAANWRDSIGFDFQDGPLDPESFPSVCREPVQEYMKHLINLREVISGLLSEALGLSTDHLERIECMKTENLVCHYYPSCPEPELTLGATKHSDPSSLTVLLQDNLGGLQVLHQGHWVDVPPAHGALVANIGDLMQLITNDKFKSVEHRVLAGRVGPRISAACFFYPSATHRFKPYGPIKEFVSNNLPIYRETHVGEYLAYYRSKGLDGNSALPHFKLA >ONH91341 pep chromosome:Prunus_persica_NCBIv2:G8:13840536:13842011:1 gene:PRUPE_8G107700 transcript:ONH91341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQILRRNLFPATKPRPPSVSHFSSSPPSDQTPSQTNPLISDVVSIITNLRSKTRWSYLRSLYPHGFDSNDFSQIALHIKNNPRLALRFFLWTQHKSLCNHNLQSHSTIIHILARGRLRSQAYDLIRTAIRVSESESIGSHESKPLKVFESLVKTYRQCDSAPFVFDLLIKACLESKKIDPAIQIVRMLLSRGISPGLSTCNALIRLLSQRRGAYAGYEIYREIFGLDCEVLEHNVKRVARISPNVETFNALMLGFYRDGLVEKVKEIWDQMADLNCCPNGYSYSILMAAYCEQEKMNEAEEVWEEMRAKGLEPDVVAYNTMIGGFCRVGEIEMAEEFSKEMGLSGIESTDATYEHLITGYCKMGNLDAAMLLYKDMLRKDFRPEGSTMDSLIRGLCDESRVLEAFEVMRGAVVHFGFCPTEKSYEFLIRGLCEEEKLEEALKLQAEMVGKGFKPNSEIYSAFISGYMKQGNKEVAERLRNEMLDTRNGN >ONH93687 pep chromosome:Prunus_persica_NCBIv2:G8:21399627:21404706:1 gene:PRUPE_8G247100 transcript:ONH93687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVGPAASPTDGAAPVLGPAMMHMQMPTPAPVAMVSDAMAKDAIIGWYRGEFAAANAIIDSLCGHLTQLSGGGAEYGAVFAAIHRRRINWIPVLQMQKYHSIADVMVELRNVGAKKAEKESEENGEVSGTDENCKSEEAKSCLDEEKEKENEKVEESNGNDAADEAIEEEASPDSEITDSGSQEAQLNSVNVEICSNHEECEARRTEIKLTKGFSAKESVKGHMVNVVKGLKLYEDIFTDSELFKLTDFVNELHAAGLNGELSGETFILFNKQIKGNRRELIQFGVPIFGQIKEETTSNIGPIPALLQDVVDHFVLWQLIPEYKRPNGCIINFFEEGEYSQPFLKPPHLDQPIATLLLSESTMAFGRTLLSDNDGNYKGPLMLSLKEGSLLVMRGNSADMARHVMCPSPNRRVSITFFRVRADSNQFQSPPSPTQNGAMTLWQPGVVSPYAVQDGALNGYEGMDVTPKWGVLRAPVVMLAPVRPMVLSPRKVPPSGTGVFLPWTVGSRKPAKHLPPRAQKGRLMVLPSPPETHVGESTSEPGISV >ONH93689 pep chromosome:Prunus_persica_NCBIv2:G8:21405556:21407120:-1 gene:PRUPE_8G247300 transcript:ONH93689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFKSVFSDEPDPPNPDSESESEPESRKNPEQEDADSKSPPSQPDPNPSSNSGGWMFGDLIKTLATKSESVIETYRRDLQEFGSGLKKEIEVAQGSLETVGSVIDEFGNTVLKGTAQIISQGTDAILAPDHVSDSSDPNSQSFSSQQGLNSKRYSRFDVQVRAIQGDAGTYCEEPEDLDEFNKWKSGFVLEDKSEEIEGLFEENGAMESIYKRVVPSSVDHETFWCRYFYRVYKLRQAEDVRANLVKRAISIEEDEELSWDVDDEEYDESVSNVVSKANSAKNTEGAASGKVEKVEDLKVDSEVGDKSSSNVGEVSSVGEEANVWSKKGDLAANRELVSKDSEQMNVKEESSSVEESQVAGTSSSVVDEKGGKSPEMDKEKKDSAVKLSGDKETEKKVIVEGDSGKKDLPSKSEEKVALEGKNEALESGDASVVSNRSSAPEEEDLGWDEIEDLSGIDEKKVTHVGSGSSNKADLRKRLSTAEEEEEEDLSWDIEDEDDEPAKP >ONH94005 pep chromosome:Prunus_persica_NCBIv2:G8:22229359:22230571:-1 gene:PRUPE_8G265500 transcript:ONH94005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISLSQEFASPVAAARLFKALILDSHNLIPKLMPQAIKSIEIIHGDGGEGSIKQINFAEGTRYKYMKNRIDILDVENLVCKYTLIEGDVLEDKIKSIAYEVRFEASPNGGCTCKMMSEYQAAGDFEINEEEIRGGKERAMGMYKVVEAYLFESPGAYE >ONH93097 pep chromosome:Prunus_persica_NCBIv2:G8:19626446:19627381:1 gene:PRUPE_8G213200 transcript:ONH93097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISYNFGQGFNTTVKFVGFLCFVNLILQVNSNPTAAPMKQPNPDFAANDHYFLPKDSGLVPPPGPDPCIPRVAGKRSWPELVGEIGEAAAMKIERENPNVGAIILVEGTPSPTKDLNCDKVRVWIDQNGVVTRVPSVRN >ONH90537 pep chromosome:Prunus_persica_NCBIv2:G8:8458557:8460002:-1 gene:PRUPE_8G060000 transcript:ONH90537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQIAPGGVYCRGWGLAVEGSSLLSSPHVILFTLSPETETLSQVLGLVEAFKAFDAENGGKINDAELGEILGSLGYKTSEQDVRAMRQQRDTNRDGFLSIEEFLGMNTKNMEFRKLEDVLKNAFEALDVDGDEVVIAEELYEVMEDDFGLGSSLEDY >ONH89530 pep chromosome:Prunus_persica_NCBIv2:G8:81156:83299:-1 gene:PRUPE_8G000500 transcript:ONH89530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLNQLLAKCKSLNHVKQLQVFIITLGYTQNQLYAFKLVRFCLVTLDNLPYGRLIFDCLSSPNVYLFAAMITGYTSQSHHRSAFLLYESMLRQGSARPNQFIYPHVLKSCPEVFESHGTALVQTHIMKSGFGQYPVVQTALVDSYSRFRSDVGSARQVFDEMSEKNVVSWTAMISGYTRVGDIGSAILLFEKMPERDVPAWNAVIAGCTQNGQFSEAIYLFKRMLLLAHGGQHLENRPNQVTAVCVLSACSHTGMLQLGKWIHSYIYKNALGPDSFVSNALVDMYGKCGSLKVARRVFDRTSGKSLTSWNSMINSYALHGQSNDAIGVFEEMIRCGADVRPDEVTFVGLFNACTHGGLVEQGISYFDLMTRDHGIEPQIEHYGCLIDLLGRAGRFEEAMEVVRGMRIEPDEVVWGSLLNGCKIYGRTDLAEFAVKNLIQIDPNNGGYGIMLANIYGQLGKWDDARKVRKVLRERNAYKTPGCSWIEVDNQVYQFYSVDKSHPRTEEIYQILDTLVGFIILKSSCN >ONH90389 pep chromosome:Prunus_persica_NCBIv2:G8:5589898:5591691:-1 gene:PRUPE_8G051300 transcript:ONH90389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIIFQYKTNFNSNIFAIPRKNQQFLKLVGGWCYKDFRRTTTPIVTKARPGISADPTFQPPQNQRHRQHHLDIAIPPTQKPEQRTQRQQILLFSLP >ONH92004 pep chromosome:Prunus_persica_NCBIv2:G8:16322862:16327497:1 gene:PRUPE_8G149000 transcript:ONH92004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDRISNLPSEVIAKLLSHLSCVSTRTYKLVVNIVDHVLLGHIGPIHKFKLSHVDGVATWDIDRWILHLSRNSIKEFILEIRQGFNYTIPSCLFSCQDMIHLELLGCVLKPPSTFKGFRNLKSLVFQRVTLAQVGFQNLIACCPLLERLTLLDFPFPILKIDAPNLQFLYVQGDFEDVIFVNTLNLVDVCIDSEVGRRWVSDRSSNLVKFLVHLPHIQRLDIVGFSLQILAVGALSAKLPKPCPYLKFLSIWGDRGIGVLVWPIDSDAYISCVGRPRESEVKFLYGNRVFPLTQLRLVKISYIYDCKPELDFIRFLLLNSPVLEKMIVKPSSPDDSWELGAQLLSEVNMEQREPPKSRLKSEMESDRISNLPSDVIEQILSHLPMREAVRTSVLSSNWRYKWAMLRHLVFDDRCVSTQKHITFVDIVDHVLLGHIGPIHKFRLSHRGRPANWDIDFWILHLSRNSIKELILEIWGEYHYRIPSYLFSCQSMIHLELLRCVLKPPPTFKGFRNLKSLVISHVILGQDVFQSLIACCPLLERLTLIEFDFAHLKIDAPNLQFLVVEGYFGDLIFENTLNLIDVCVSTDTDVGQIWGCDSSSNLVKFMVHLPHIQRLQIQGFFLQGDQERQAALSEVNSLYGNWICPFSQLRFVKISNVSNVKAQLDFIRFLLLNSPVLEKIIVKPAYADDSWEQVKQLLRLGRASVHSEIIFLDPSFELWQLR >ONH90875 pep chromosome:Prunus_persica_NCBIv2:G8:11321405:11322307:-1 gene:PRUPE_8G079700 transcript:ONH90875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVNSKKGLNKAATSKTLDIPKGYFAVYVGESQKKRFVVPISYLNEPLFLDLLSQAEEEFGYDHPMGGITIPCSDETFIHLTSRLSV >ONH92630 pep chromosome:Prunus_persica_NCBIv2:G8:18336603:18342039:1 gene:PRUPE_8G185300 transcript:ONH92630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPHSYDVFLSFRGEDTRKTFTDHLYTAFVNAGFRTFRDDDELERGEDIKPELQRAIQQSRSSVIVFSKNYASSRWCLDELVMILERKRTSDHVVLPVFYDVDPSQVRKPTASLATAFVKNGSLKVKVEKWRAALTEVADLAGMVLQNQADGHESKFIKKIVKVIEGKLSRTAFNVAPHLIGIHSRVRDINLWLHDGSTKVRVLLIYGMRGIGKTTLAKFVYNINFKRYKGSSFLENIKEHSKQTNGLVQIQKKLLSDVLNGKRVKVGNISEGIIKIEDALSSKRVLLVFDDVDHVEQLDAVLRMQGQFCPGSKIIITTSHAALLNASHQAIKVHNLETFNSNESLELFSWHAFGQDHPEKDYMELSERVVNLSGGLPLALKILGSSLSGKSTVVWESALNKLEAIPNGEILNKLRISYDSLQDQHDRSLFLHIACFFIGMEKDVIVRILDSCGFYTIVGIQNLIDRCLVTVDEYNKVRMHYMIRDMGRGIVHLESKEPGERSRLWNHKDSFKVLKEKNGTQTIEGLVLNMGMHPAYCTPSRNSNEVTLETDAFASMHKLRLLQLSHVRLIGRYKEFPTKLRWLCWNEFPFDYLPNDLTLESLVVLEMCYSSLRQVWKGKKYLPSLKFLNLSNSHRLTSTPDFSHVPNVESLILKDCTNLVDVESIGDLKKLFYLNMEDCKNIRKLPKNIFMLKFLETLIISGCSSLNEFPAEMGKMESLKVLQGDGVPIYRLLTTIVEVKLQPRKNPETYWTSYLPCNLVELSLSDCNLSDYDFPRGFGNLFSLQRLNLSCNPISSLPDCIRGLKRLEELSFSQCTRLESLRGLPPVAELIVNGCTSLETVAFQSMSYQPKIILDESNYKLVEIEHYFKLEHIERVDERMINLLSLGKLKSTETIMMDSTLHVFKTWMKSRMHPIQGLNEYGIFSTFLPGNEVPGRFSRRSSTQSSISLTVPIRGHLKIQGLNVFSVYAKSNSDSPKNINANVESIPNPLVTAVKVSNENGKNLKWVYVPSFFAVPGDGKDMVWLSHWSLLGSQLLDRGDRVTVSVFTRFEFQVKEYGIQVVYEQEKKMSTPEDRIDTSQSNMVLQDDYEAADVISFPIDALFSPSVIAGDFSESDKVMSGTYFLSNRPEEIVVYRWLWFDDFVRDVEENIGERTDVENLEEEHDGDTKFGDNGSTSRQREGGKAFNFGWNYVVSIFTTTKKIIWKATPDNFRCGGTEGY >ONH89983 pep chromosome:Prunus_persica_NCBIv2:G8:2528361:2536997:-1 gene:PRUPE_8G027900 transcript:ONH89983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKPTTRDEENPQPAGSKSKKKALVIEDGEYSIGTELSEESQVQEEKAAVTGKKGKKGNSKASQRRDEDLDDVGKVDEGDDEVPQVAFTGKKKGKSKKSGGNSVFSASSFGLLGDEDEGVEDDEKSGLTGDEEEDAPVVSFSGKKKASKSSKKTAGSLFTGSAFDVIGDEDDSDGEVVDDSEDKSKEDDENEPVIAFTGKKKPSKGGKKVGSVFAAASFDALDDADEDKDEEKDADDDVPQITFSGKKKKSSKASKKSGGNAFSAALLDEGNDENTSVSESTRVGYDGVEDEDASVIAFTGKKKSSKKKGNSVITASSEETKVGAENTDVVEPEQPSKETSKIEADDAKVNKSKEVPETSKSKKKKKKSGRTAQEEDDLDMILAELGEGSFASKPAAAAMKEEKVEVQPDIVAPVDGSGEKEGEEETVESAAAKKKKKKKDKEKEKKAAAAAAAAGTATASVAIEDEKLEEKKIEPKESKKNEVKGKAADKKVPKHVREMQEALARRKEQEERKQREEEEKRRKEEEERLRLEELERQKEEARRKKKEREKEKLQKKRQEGKLLSAKQKEEARRLEAMRNQILANAANASGSLPLPTTDNEKKAKRPLYQKKKSKAVPNHANGVAPVNPVESIEEEENQQDTVPELYSVEFDKVEEVESVDLEDKSEVAESVKENGVEEEEEDDDEEWDAKSWDDAVVNLSLKSGFSDEEVYSEPEPVVRKDIKSAGSKLAVYAQRSVPSQPIKSQDAENKKKQPEIDADRSRKKEATAKKEAPSSDSATKEGEDNLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKTCRNAPIVKAMKQQTKDVQNEFNMRLVQIITQFKEQGLNTELYYKNKEMGETYSIIPTSAISGEGIPDMLLLLVQWTQKTMVEKLTYSNEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVCGMQGPIVTSIRALLTPHPMKELRVKGTYLHHSEIKAAQGIKITAQGLEHAIAGTALYVVGPRDDLEEVKEAAMEDMKSVLNRIDKSGEGVCVQASTLGSLEALLEFLKTPEVNIPVSGISIGPVHKKDVMKASVMLEKKKEYATILAFDVKVTPEAREMADDLGVKIFIADIIYHLFDQFKAYIDNLKEEKKKESADEAVFPCVLKILPNCVFNKKDPIVLGVDVLEGIAKVGTPICIPQRDFITIGRIASIENNHKPVDIAKKGLKVAIKIVGTNSDEQQKMFGRHFEIEDELVSHISRRSIDILKANYRDELSIDEWKLVVKLKKLFEIP >ONH90412 pep chromosome:Prunus_persica_NCBIv2:G8:5756364:5758457:-1 gene:PRUPE_8G052500 transcript:ONH90412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESIKVVESLYAAENVNMAGRLKAAEYIKISARNSGGPLIDSYGHIIGVSTTTFTRKGTGASSGVNFAIPIDTIVRTVPYLIVYGTPYRDRF >ONH93672 pep chromosome:Prunus_persica_NCBIv2:G8:21345848:21349182:1 gene:PRUPE_8G245900 transcript:ONH93672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKLCLMASHGYPHGLVLQQEQGMGIIKDFQPLLPSYGAKQEITKLGSLKLMPQQGEEPWKLASGLPEANWFAKTERPVLTDMQNVHPDSVLFSIGIAEHFIRREKMLQFLKSGETEAEKGGLDITVLYNLMGLHEMSQQAFIPSLIYPSSEFNTQKPLLDFVGGLAWSSKITVQPDGRVLFTGTGTEMEHLLSVVAEFYSLRNSVCWKKQSVLVPHFNRVESREAGANIDGTFLKMQVTTLAPLKSPEKVKKKATQKQKSGKRVGKDRDLYKRNYFHACESLLSLMINRRQHGKSAILSLQRSGPEVPELLTQFSASIAGTGLALLFSVLCKVGCGRGPFCASKLLNTGVAIGLVWLSWAVNKLRDTIIHISKNSKKLDLKEEEIMERVEGSVKEIYFRAATLMAVAVLRLA >ONH90038 pep chromosome:Prunus_persica_NCBIv2:G8:2983915:2986492:-1 gene:PRUPE_8G031600 transcript:ONH90038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMGVFSVSYIFVMLSAGKREMLLCATLIQQMEATQQAERKSMRKSLAFVSASHDVRAALTGITGLIEISYDEVARGSELETNLRQMETCTKDLLGILNSILDTSKIEAGKMQLVEEEFDVAQLLEDVVDLYHPMGLKKGIDVVLDPHDGSVMKFARVKGDGGRLKQILCNLLSNAVKFTSEGHVTVRAWVQKPDFKNSIAANSDRNGAVLKKLLCFLSNKKKSQDVDMEVMNGVQQDPNCLEFIFEVDDTGKGIPKEKQKAVFENYVQVKETALGEGGTGLGLGIVQSLVRLMHGEIRIVDKEIGERGTCFRFNVLLSNVCENVYKDRRKEDDLELGIAAIHSTPGTPRLTARTSSPKAEGSNVVLLIKNEERRRMVYKFMESIGIKAWVVEQWEQLRPTLKKIKHKGKGYYSHHHSSSGISDLGLQDCLSKSTSCNSSFRLKEVHPLMGAMDGTENIISLFKKNSTNLRGTSSCFTLLVIDTTAGPFEELCNIVAEFQKSLQNAWCKVVWLANPLIQSNINFDSLDPDDVIKHKALHGTRLYEVVRLLPEYGGALPKRLGGTFDVGKVSRAPSSSRYQFHTDREFETLSSPTQNHKSHNVSSKARDLPVDHGRPLPHRETEGPDKPSRPLGGKKFLVAEDQKTLAHIAMKTLTHWGATVKLCGNGGEALDLVRNDLVTHRKHGYDYILMDCQMPIMDGFEAAREIRKEEKSYDVHIPIIALTSHEQGEETRRMIEAGMDHHLTKPLQLDLLLETIRYIDNNATI >ONH92097 pep chromosome:Prunus_persica_NCBIv2:G8:16658933:16659749:1 gene:PRUPE_8G155100 transcript:ONH92097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCLNLDSTSGAYTKGHYMLGLCFWEAGPDLGIGPAPQYTLLIFASPIGNWYKVFEAVSKAKAKGFTDVLFLDAATGKNVEYLLEIQTGVVEDKMGWTMLID >ONH90886 pep chromosome:Prunus_persica_NCBIv2:G8:11463264:11463545:-1 gene:PRUPE_8G080800 transcript:ONH90886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPGIVNSKKGLNKAATSNTVDIPKGYFAVYVGGSQKKRFVVPISYLNEPLFLDLLSQAEEEFGYDHPMGGITIPCSDETFIHLTSSLSV >ONH93370 pep chromosome:Prunus_persica_NCBIv2:G8:20465622:20466131:1 gene:PRUPE_8G228500 transcript:ONH93370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSAEYVYWIFVWCSLLQGLVGLGPLGGTGPLSICMIKGKKEGGLTPLICKTSFFILVTIKFSSPCNTLQASYEYMFRRTTTTMATKIRCTNFILLGK >ONH91871 pep chromosome:Prunus_persica_NCBIv2:G8:15898339:15900791:1 gene:PRUPE_8G141000 transcript:ONH91871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVFRRNTNITRLLHKHSITDPIGARTLLQSQTQSKAYLRFPQVFRKARDYDLSPSVFSSAFSSSKSSAETTSVSKLGFVGWYLGMLQHRPILTKSVTAALIYTAADLSSQTLAKSSFSESYDAVRTLRMAGYGMLVLGPSMHFWFNFMSRVFPKRDIFSTLKKMAMGQTLYGPAMTVVFFSLNACLQGENGTEIGARLKRDLFPTLLNGVMYWPLCDFITFRFIPVQLQPLVSNGFSYLWTIYMTYMAGLEKAGTTS >ONH89616 pep chromosome:Prunus_persica_NCBIv2:G8:363882:364213:1 gene:PRUPE_8G004500 transcript:ONH89616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLSPEKKKSCPLLESLDLNQKLFYACKISGVSFKPSVPKYLFITNFLWHPVYYQLKWWHPTNHQAETHITLKLQKCIRN >ONH92377 pep chromosome:Prunus_persica_NCBIv2:G8:17537004:17537394:1 gene:PRUPE_8G171200 transcript:ONH92377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPRPKRGFGNSFQPAIDAPRLKPHKLRHCPKRKDTSIIGFRSLLSHYSNSAFSALYPFASHSPLLRELL >ONH89844 pep chromosome:Prunus_persica_NCBIv2:G8:1821761:1822517:1 gene:PRUPE_8G019900 transcript:ONH89844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFVSLKKERVLAAQNVVIYCCCYSFDQKYDENVKLLALVYSLGCRWAQLMQPHMSRILSSIGVVIREEFTTLRNSCLQESNRKEH >ONH89623 pep chromosome:Prunus_persica_NCBIv2:G8:391598:391918:1 gene:PRUPE_8G004900 transcript:ONH89623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNEGKNRESPPNHSPHPHNPKWRVSVYERRLQAVPSAPRSRLQVSVPINDGVKERILRRIEPWIRRELQALLGDRDPSIIVHVATSLFIASLENEGHVPSGQCDV >ONH89524 pep supercontig:Prunus_persica_NCBIv2:scaffold_13:58926:64697:1 gene:PRUPE_I000700 transcript:ONH89524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFDSSKHGTLSAFFSHYSSSFITYPGTDFLLKPVFIRGFSGSLHLVLLFVLLVSWVWKKFKVGDGEGPKQRFGSIQSWYYKLTLLCCLGVSGLSLVFCLLNYFYWHRNDWTEEKLVTLFDLAIRTLAWGALCVYLHTQFSNSSESKFPNLLRVWWGSYFSISCYSLVIDILLYKEHVSLPVQSFVFDVVCVISGLFFIFVGFFGKKEGRNTVLEEPLLNGNGNAESNNSKGGTPVTPYSNAGFFSILTFSWIGPLIALGNKKTLDLEDVPELYKGDSVAGSFPNFRNKLEAECGADGRVTTFHLAKALIFSAWKEVGLTGLYAIFYTLASYVGPYLIDTFVQYLYGRRKFKNEGYALVSAFMIAKLVECLCQRHWFFKVQQVGVRIRAVLVTAIYNKGLTLSCQSKQGHTSGEIINFMTVDAERVGDFSWYMYDPLMVILQVGLALVILYINLGLAAIATLVATIIVMLANVPLGSLQEKFQEKLMESKDKRMKATSEVLRNMRILKLQAWEMKFLSKINELRKTEAGWLRKFVYTSAMTSFVFWGAPTFVSVVTFVACMLLGIPLESGKILSALATFRILQEPIYGLPDLISMIAQTKVSLDRIASFLSLDDLPPDVIENLPRGSSDTAIEIVDGNFSWDLSSPSPTLKDLNFKVSQGMRVAVCGTVGSGKSSLLSCILGEVPKISGTLKMCGTKAYVSQSPWIQSGKIEENILFGQEMDRERYERVLEACSLKKDLEILSFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKVMKDGRITQAGKFNDILNSGTDFMELVGAHAEALSVLNSAEVEPVEKISVSKEDGEFASTSGVVQNVEDTDVQNSKTGDLPKGQLVQEEEREKGRVGLSVYWKYITTAYGGALVPFILLAQVLFQVLQIGSNYWMAWATPVSEDVKPAVETSTLLTVYVALAVGSSFCILFRSMFLATAGYRTATLLFSKMHSCVFRAPMSFFDATPSGRILNRASTDQNVVDLNMPGQIGALANSLIQLLGIIAVISQVAWQVFIIFIPVIAICIWLQQYYIPSARELARLVGVCKAPVIQHFAETISGSTTIRSFNQESRFRDTNMKLMDGYGRPNFHTVAAREWLCFRLDMLSSITFGFCLVFLISIPAGVIDPGVAGLTVTYGLNLNTLLAWFIWNLCNVENRIISVERLLQYTTLPSEPPLVIESNQPDRSWPLRGKVDIHDLQVRYAPHMPLVLRGITCTFPGGMKTGIVGRTGSGKSTLIQTLFRIVDPASGQILIDGIDISSIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGDEVRRKDGKLDATVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFTDCTVITIAHRITSVLDSDMVLLLSHGLIDEYDSPATLLENKSSSFAQLVAEYTMRSNSSFE >ONH89522 pep supercontig:Prunus_persica_NCBIv2:scaffold_13:4:4661:1 gene:PRUPE_I000500 transcript:ONH89522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFVFWGAPTFVSVVTFVACMLLGIPLESGKILSALATFRILQEPIYGLPDLISMIAQTKVSLDRIASFLSLDDLPPDVIENLPRGSSDTAIEIVDGNFSWDLSSPSPTLKDLNFKVSQGMRVAVCGTVGSGKSSLLSCILGEVPKISGTLKMCGTKAYVSQSPWIQSGKIEENILFGQEMDRERYERVLEACSLKKDLEILSFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGLLGSKTVIFVTHQVEFLPAADLILVMKDGRITQAGKFNDILNSETDFMELVGAHAEALSVLNSAEVEPVEKISVSKEDGEFASTSGVVQNVEDTDVQNSKTDDLPKGQLVQEEEREKGRVGLSVYWKYITTAYGGALVPFILLAQVLFQVLQIGSNYWMAWATPVSEDVKPAVETSTLLTVYVALAVGSSFCILFRSMFLATAGYRTATLLFSKMHSCVFRAPMSFFDATPSGRILNRASTDQNVVDLNMPGQIGALANSLIQLLGIIAVISQVAWQVFIIFIPVIAICIWLQQYYIPSARELARLVGVCKAPVIQHFAETISGSTTIRSFNQESRFRDTNMKLMDGYGRPNFHTVAAREWLCFRLDMLSSITFGFCLVFLISIPAGVIDPGVAGLTVTYGLNLNTLLAWFIWNLCNVENRIISVERLLQYTTIPSEPPLVIESNQPDRSWPLRGKVDIHDLQVRYAPHMPLVLRGITCTFPGGMKTGIVGRTGSGKSTLIQTLFRIVDPASGQILIDGIDISSIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGDEVRRKDGKLDATVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFTDCTVITIAHRITSVLDSDMVLLLSHGLIDEYDSPATLLENKSSSFAQLVAEYTMRSNSSFE >ONH89523 pep supercontig:Prunus_persica_NCBIv2:scaffold_13:32338:38265:1 gene:PRUPE_I000600 transcript:ONH89523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFDSSKHGTLSAFFSHYSSSFITYPGTDFLLKPVFIRGFSGSLHLVLLFVLLVSWVWKKFKVGDGEGPKQRFGSIQSWYYKLTLLCCLGVSGLSLVFCLLNYFYWHRNDWTEEKLVTLFDLAIRTLAWGALCVYLHTQFSNSSESKFPNLLRVWWGSYFSISCYSLVIDILLYKEHVSLPVQSFVFDVVCVISGLFFIFVGFFGKKEGRNTVLEEPLLNGNGNAVSNNSKGGTPVTPYSNAGIFSILTFSWMGPLIAVGNKKTLDLEDVPELYKGDMHWFFKVQQVGVRIRAVLVTAIYNKGLTLSCQSKQGHTSGEIINFMTVDAERVGDFSWYMYDPLMVILQVGLALVILYINLGLAAIATLVATIIVMLANVPLGSLQEKFQEKLMESKDKRMKATSEVLRNMRILKLQAWEMKFLSKINELRKTEAGWLRKFVYTSAMTSFVFWGAPTFVSVVTFVACMLLGIPLESGKILSALATFRILQEPIYGLPDLISMIAQTKVSLDRIASFLSLDDLPPDVIENLPRGSSDTAIEIVDGNFSWDLSSPSPTLKDLNFKVSQGMRVAVCGTVGSGKSSLLSCILGEVPKISGTLKMCGTKAYVSQSPWIQSGKIEENILFGQEMDRERYERVLEACSLKKDLEILSFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGLLGSKTVIFVTHQVEFLPAADLILVMKDGRITQAGKFNDILNSETDFMELVGAHAEALSVLNSAEVEPVEKISVSKEDGEFASTSGVVQNVEDTDVQNSKTDDLPKGQLVQEEEREKGRVGLSVYWKYITTAYGGALVPFILLAQVLFQVLQIGSNYWMAWATPVSEDVKPAVETSTLLTVYVALAVGSSFCILFRSMFLATAGYRTATLLFSKMHSCVFRAPMSFFDATPSGRILNRWASTDQNVVDLNMPGQIGALANSLIQLLGIIAVISQVAWQVFIIFIPVIAICIWLQQYYIPSARELARLVGVCKAPVIQHFAETISGSTTIRSFNQESRFRDTNMKLMDGYGRPKFHTAAAMEWLCFRLDMLSSITFGFCLVFLISIPAGVIDPGVAGLTVTYGLNLNTLLAWFIWNLCNVENRIISVERLLQYTTLPSEPPLVIESNQPDRSWPLRGKVDIHDLQVRYAPHMPLVLRGITCTFPGGMKTGIVGRTGSGKSTLIQTLFRLWILLLGQILIEWALDKCQLGDEVRRKDGKLDATVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFTDCTVITIAHRITSVLDSDMVLLLSHGLIDEYDSPATLLENKSSSFAQLVAEYTMRSNSSFE >ONH89464 pep supercontig:Prunus_persica_NCBIv2:scaffold_141:726:2582:-1 gene:PRUPE_I000900 transcript:ONH89464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRQIAYKGHCLVLPFPCQGHINPMLQFAKLLAHKGVKVTLVTTRYIHKTMYGSASSCIALDLETISDGYDEAGTGEASIDAYLESFWKEGSKTLAELLEKLSSSGPPVDCVIYDAFMPWPLDVARKFGIAGATFFTQSCAVSNIYYHVHKGLFKVPLADDQSLISLPGLPPLDPLDLPSFVYDLEYCPAFYRVVVGQFSNVDKADWVLCNTFYELEEQVVDWLAKFWPLRTVGPTIPSKYLDERLEDDKEYGVNLFKSDNDACIKWLNERPKGSVAYVSFGSTAKLDDEQMEELAWGLRRSKSNFLWVVRASEAAKVPKGFIEETSEKGLVVSWCSQMEVLVHEAVGCFVTHCGWNSTLEALSLGVPMLAMPQWTDQRTNAKFIMDVWKIGLTAPSDEKGKVRQEVVEHCISEIMEGERGKEMKINALKWKKLAKKAVDEGGSSDKNIDEFISKLVQ >ONH89465 pep supercontig:Prunus_persica_NCBIv2:scaffold_141:4198:6068:-1 gene:PRUPE_I001000 transcript:ONH89465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVVDWLAKFWPLRTVGPTIPSKYLDERLEDDKEYGVNLFKSDNDACIKWLNERPKGSVAYVSFGSAAKLDDEQMEELAWGLRRSKSNFLWVVRASEAAKVPKGFIEETSEKGLVVSWCSQMEVLVHEAVGCFVTHCGWNSTLEALSLGVPMLAMPQWTDQRTNAKFIMDVWKIGITAPSDEKGKVRQEVVEHCISEIMEGERGKEMKINALKWKKLARKAVDEGGSSDKNIDEFISKLVQ >ONH89492 pep supercontig:Prunus_persica_NCBIv2:scaffold_35:740:3677:1 gene:PRUPE_I004400 transcript:ONH89492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLERYYLSMERFNFGHGSASLYSNQNLVNGFQVNQESTSPVHLSTNLDHPSDSSTSLSSGSDGDTVDFSDYNHPVLKYVSDILLEEDLEGKTCMLQDCLALQAAEKSFYDVLNQEDPPSPNQLPLSVHQSFENSDDDSPRSCHRSNGSIAPNTDWVFDPSETSNVQSSLVQSLSDAGLVSDSLSEIGHSGGLGEASKFLPNVKLEGNRLMPPGLDQWPSSTNILMTTPDNDGYNSTNGSKGKKNHQREDADYPEEGRSNKQPVAFADDSEPQEMFDEVLLCHGNHEFESCSPDESLIAEGSGKLQRNKQKGSKTARSKKQNNNWELVDLSTLLTQCAQAVASYDQRTASELLKQIRQHSSPYGDATQRSAHYFADGLEARLAGARTPSYSPLVSMQISAAEILKAHEVYVTSSPFKKLSNFLANRTILKLAEKATRLHVIDFGISYGFQWPCFIHRLSERPGGPPKLRITAIELPQPGFRPTERVEETGRRLEKYAERFNVPFEYNVIAQKWETIQFEDLKIDRNEVIVVNCMNRLKHMPDETVMVNSPRDIVLKLIKKINPDLFIHGVVNGTYNSPFFVTRFKEALFHFSALFDMFEASVPREDERRLLFEKAVYGRDILNVVACEGLERVERPETYKQWQVRNVRAGFKQLPLDQELLKRVKRMLKFMGYHNDFSIDEDGHWMLQGWKGRITRALSFWKKA >ONH89493 pep supercontig:Prunus_persica_NCBIv2:scaffold_35:13496:16456:1 gene:PRUPE_I004500 transcript:ONH89493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIQVNQESTSPVCLSTNLDHLSDSNTSLSSGSDGDAIDISEYNHPVIKYISDILEEDLEGKPCMLQDCLALQAAEKSFYDVLNQNDHPSPKQPPLSVHQSFENSDDDSPHSCHSSNGSIAVKTNWVFDRSEASHVQSSLVQSLSDAGLVSDSLSEMQSLGHFGGLGEASKFLPNVKLEGYRLMLPGLDQWPSSTNILMTTPDNDGYKSTNGSKGKKNNQREDADYPEEGRSNKQPVAFADDSEPQEMFDEVLLCHGNHEFESCSPDESLITVGSGKLQRNKQKGSKTARSKKQNNNWELVDLSTLLTQCAQAVASYDQRTASELLKQIRQHSSPYGDANQRLAHYFADGLEARLAGARTPSYSFLVSMQISAAEILKAYEVFVTSSPFKTVSHFLANKTILKLAEKATRLHVIDFGISYGFQWPCFIQHLSKRPGGPPKLRITAIELPQPGFRPTERVEETGRRLKKYAERFNVPFEYNVIAQKWETIQFEDLKIDRNEVIVVNCMNRLKHIPDETVMVNSPRDIVLKLIKKINPNLFIHGVVNGTYNSPFFVTRFREALFHFSALFDVFEASVPREDERRLMFEKYVYGRDILNVVACEGLERVERPETYKQWQVRNVRAGFKQLPVDQELLKKMKRILKFMGYHNDFRIDEDGHWILQGWKGRTILALSFWKKA >ONI32506 pep chromosome:Prunus_persica_NCBIv2:G1:33786562:33787880:1 gene:PRUPE_1G370100 transcript:ONI32506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGEKKRIPSLQRSDPIKNILSVNREECLLQRSAAMKRHRSLGFSAPPRPSSSHVCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRSGQSRAD >ONI32508 pep chromosome:Prunus_persica_NCBIv2:G1:33786562:33787880:1 gene:PRUPE_1G370100 transcript:ONI32508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVSSQSFLLG >ONI32507 pep chromosome:Prunus_persica_NCBIv2:G1:33786562:33787880:1 gene:PRUPE_1G370100 transcript:ONI32507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRSGQSRAD >ONI32509 pep chromosome:Prunus_persica_NCBIv2:G1:33786856:33787571:1 gene:PRUPE_1G370100 transcript:ONI32509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRSGQSRAD >ONI27562 pep chromosome:Prunus_persica_NCBIv2:G1:7441420:7448166:-1 gene:PRUPE_1G095000 transcript:ONI27562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSTESKWLTATITFALAALSASTAFSCMYTNFVFSFCVALGPCSLLFFWSVTVYFWKRKSRDLDSKIGELEKSLKTSLDNCAAERQGRIRAQQALRKALTQPKLDNVELNSYPMAPIGVIQSCFSTRNGTPRQPVLVPLSRACLIFNTARVPPASLEGLGEYSHCWVIYVFHQNTNLEKLWKDPTKSKFKAKVRVPTLNGERKGLFATRSPHRPSPIGLSVAKVEAVQGHMLLLSGVDLVDGTPVLDVKPYIPYCDSIQGARVPKWLAADSILAVASVSFSEVFTSTLADCWEMAGNNSLYASPDEFKNLIKQVLSWDIRSLAQRNRPHDSFINSENGKQLNDSSDNDHDEEASSPGNEQPPSSSGDIMYHLTLEGLEVSYRLNSDFSVVVEKVKEVSNITHSRQKRCNYSMWRV >ONI27564 pep chromosome:Prunus_persica_NCBIv2:G1:7441935:7448166:-1 gene:PRUPE_1G095000 transcript:ONI27564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSTESKWLTATITFALAALSASTAFSLYFWKRKSRDLDSKIGELEKSLKTSLDNCAAERQGRIRAQQALRKALTQPKLDNVELNSYPMAPIGVIQSCFSTRNGTPRQPVLVPLSRACLIFNTARVPPASLEGLGEYSHCWVIYVFHQNTNLEKLWKDPTKSKFKAKVRVPTLNGERKGLFATRSPHRPSPIGLSVAKVEAVQGHMLLLSGVDLVDGTPVLDVKPYIPYCDSIQGARVPKWLAADSILAVASVSFSEVFTSTLADCWEMAIMHIWVGRCPLPFFTPCTVVKGK >ONI27565 pep chromosome:Prunus_persica_NCBIv2:G1:7442249:7448166:-1 gene:PRUPE_1G095000 transcript:ONI27565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSTESKWLTATITFALAALSASTAFSLYFWKRKSRDLDSKIGELEKSLKTSLDNCAAERQGRIRAQQALRKALTQPKLDNVELNSYPMAPIGVIQSCFSTRNGTPRQPVLVPLSRACLIFNTARVPPASLEGLGEYSHCWVIYVFHQNTNLEKLWKDPTKSKFKAKVRVPTLNGERKGLFATRSPHRPSPIGLSVAKVEAVQGHMLLLSGVDLVDGTPVLDVKPYIPYCDSIQGARVPKWLAADSILAVASVSFSEVFTSTLADCWEMAIMHIWVGRCPLPFFTPCTVVKGLSTQDLMIVSLVYCNFTNLIDWLRYPLSSYASLGKISIKHILLLNAECV >ONI27563 pep chromosome:Prunus_persica_NCBIv2:G1:7441388:7448322:-1 gene:PRUPE_1G095000 transcript:ONI27563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSHHNLCARSSLRLHCLFLKSRDLDSKIGELEKSLKTSLDNCAAERQGRIRAQQALRKALTQPKLDNVELNSYPMAPIGVIQSCFSTRNGTPRQPVLVPLSRACLIFNTARVPPASLEGLGEYSHCWVIYVFHQNTNLEKLWKDPTKSKFKAKVRVPTLNGERKGLFATRSPHRPSPIGLSVAKVEAVQGHMLLLSGVDLVDGTPVLDVKPYIPYCDSIQGARVPKWLAADSILAVASVSFSEVFTSTLADCWEMAGNNSLYASPDEFKNLIKQVLSWDIRSLAQRNRPHDSFINSENGKQLNDSSDNDHDEEASSPGNEQPPSSSGDIMYHLTLEGLEVSYRLNSDFSVVVEKVKEVSNITHSRQKRCNYSMWRV >ONI27567 pep chromosome:Prunus_persica_NCBIv2:G1:7441420:7448350:-1 gene:PRUPE_1G095000 transcript:ONI27567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSTESKWLTATITFALAALSASTAFSLYFWKRKSRDLDSKIGELEKSLKTSLDNCAAERQGRIRAQQALRKALTQPKLDNVELNSYPMAPIGVIQSCFSTRNGTPRQPVLVPLSRACLIFNTARVPPASLEGLGEYSHCWVIYVFHQNTNLEKLWKDPTKSKFKAKVRVPTLNGERKGLFATRSPHRPSPIGLSVAKVEAVQGHMLLLSGVDLVDGTPVLDVKPYIPYCDSIQGARVPKWRTVY >ONI27566 pep chromosome:Prunus_persica_NCBIv2:G1:7442249:7448166:-1 gene:PRUPE_1G095000 transcript:ONI27566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSTESKWLTATITFALAALSASTAFSLYFWKRKSRDLDSKIGELEKSLKTSLDNCAAERQGRIRAQQALRKALTQPKLDNVELNSYPMAPIGVIQSCFSTRNGTPRQPVLVPLSRACLIFNTARVPPASLEGLGEYSHCWVIYVFHQNTNLEKLWKDPTKSKFKAKVRVPTLNGERKGLFATRSPHRPSPIGLSVAKVEAVQGHMLLLSGVDLVDGTPVLDVKPYIPYCDSIQGARVPKWLAADSILAVASVSFSEVFTSTLADCWEMAQIMHIWVGRCPLPFFTPCTVVKGLSTQDLMIVSLVYCNFTNLIDWLRYPLSSYASLGKISIKHILLLNAECV >ONI27561 pep chromosome:Prunus_persica_NCBIv2:G1:7441278:7448446:-1 gene:PRUPE_1G095000 transcript:ONI27561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSTESKWLTATITFALAALSASTAFSLYFWKRKSRDLDSKIGELEKSLKTSLDNCAAERQGRIRAQQALRKALTQPKLDNVELNSYPMAPIGVIQSCFSTRNGTPRQPVLVPLSRACLIFNTARVPPASLEGLGEYSHCWVIYVFHQNTNLEKLWKDPTKSKFKAKVRVPTLNGERKGLFATRSPHRPSPIGLSVAKVEAVQGHMLLLSGVDLVDGTPVLDVKPYIPYCDSIQGARVPKWLAADSILAVASVSFSEVFTSTLADCWEMAGNNSLYASPDEFKNLIKQVLSWDIRSLAQRNRPHDSFINSENGKQLNDSSDNDHDEEASSPGNEQPPSSSGDIMYHLTLEGLEVSYRLNSDFSVVVEKVKEVSNITHSRQKRCNYSMWRV >ONI26623 pep chromosome:Prunus_persica_NCBIv2:G1:2474072:2480133:1 gene:PRUPE_1G035400 transcript:ONI26623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSISSVCNLAILDKLSDGSIEEILESYNGFCAATDTLLNGAGDLSVGPQFLSHVHGLCKHGLESLLRDHFLGALERTFEKNGALKFWRHFEAYDDVSVEEEVFYNALEEISLEKQYQEKCLLILVHALQSYNHGSHDSNDYRVELFAKYQMSVSSVLMATLPRHFPEILHWYFKGRLEELSTIMGGDFPHDDDEDDDDDDDDKDDMDLDDKCKVSYRSGQMEIDECYPQGRFLDNNKLVNNIGKVVRDLRSLGFTSMTEDAYASAIFLFLKAKVHDLAGDDYRISVLESIKGWIQAVPLQFLHALLAYLGDSVSYDSVSSGLKSPLASCPSTFYPGIDTPSEGLVRWQLRLEYFAYETLQDLRITKLFEIIVDYPDSSPAIEDLKQCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGTGGNPNVSGNTGDSLLEELNRDEENQENAGLDDDFHTDDKQAWINASRWEPDPVEADPLKGSRNRRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDTEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNGNIKATITQTSQAGSELGDNGVSMDVFDATIISSNFWPQIQDESLNVPGPVDQLLSDYAKRFNEIKTPRKLLWKKSLGTVKLELQFEDRAVQFVVAPVHAAIIMQFQDQTSWTSKNLAAAIGVPTDILNRRINFWISKGILAESLGADSEDHVFTLMEGMVDSGKNGGTNGSIEDLIVADEEGESSVASVEDQLRKEMTVYEKFILGMLTNFGSMALDRIHNTLKMFCIADPPYDKTLQQLQSFLTGLVSEEKLELRDGMYFLKK >ONI26624 pep chromosome:Prunus_persica_NCBIv2:G1:2474072:2477589:1 gene:PRUPE_1G035400 transcript:ONI26624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSISSVCNLAILDKLSDGSIEEILESYNGFCAATDTLLNGAGDLSVGPQFLSHVHGLCKHGLESLLRDHFLGALERTFEKNGALKFWRHFEAYDDVSVEEEVFYNALEEISLEKQYQEKCLLILVHALQSYNHGSHDSNDYRVELFAKYQMSVSSVLMATLPRHFPEILHWYFKGRLEELSTIMGGDFPHDDDEDDDDDDDDKDDMDLDDKCKVSYRSGQMEIDECYPQGRFLDNNKLVNNIGKVVRDLRSLGFTSMTEDAYASAIFLFLKAKVHDLAGDDYRISVLESIKGWIQAVPLQFLHALLAYLGDSVSYDSVSSGLKSPLASCPSTFYPGIDTPSEGLVRWQLRLEYFAYETLQDLRITKLFEIIVDYPDSSPAIEDLKQCLEYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGTGGNPNVSGNTGDSLLEELNRDEENQENAGLDDDFHTDDKQAWINASRWEPDPVEADPLKGSRNRRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDTEIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNGNIKATITQTSQAGSELGDNGVSMDVFDATIISSNFWPQIQEWL >ONI26782 pep chromosome:Prunus_persica_NCBIv2:G1:3240831:3242563:-1 gene:PRUPE_1G045400 transcript:ONI26782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTLLSLLLVTVFLSGANSATFTFTNRCPYTVWPGALTGSGAQLSSTGFELASGASSSLDVPSPWSGRFWGRTQCSTDATGKFICGTADCGSGQVACNGAGAIPPASLIELTLAPGGGQDFYDISLVDGFNVPLELAPQGGSGGCSATSCPANVNSICPAELAIKGSDGGAIACKSACLAFNRPDYCCTGTFGSPDTCPPTDYSKIFKRQCPQAYSYAFDDKTSTFTCTGGPNYAITFCP >ONI31746 pep chromosome:Prunus_persica_NCBIv2:G1:31196956:31198153:1 gene:PRUPE_1G328200 transcript:ONI31746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGGGNNNSYKLETVEAEVEIKSNADKLYKVFSNQHHAFPKASSDHVHDVVVHEGDWETSGSIKLWTYTADGNVEIFKEKVEIDEANKWVSFTALEGHVLEQYRSYKIIFQVTPKSGGGGLVKITIHYGKLNDNDPPPHKYLRFAINVVHDMDAHLLKE >ONI33909 pep chromosome:Prunus_persica_NCBIv2:G1:38064591:38069773:-1 gene:PRUPE_1G452500 transcript:ONI33909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVERVANVALAGLTLAPLVVNVDPNLNVILTACLTVFVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATLLPAIARYLPQSWNKDPIIWHFPYFRSLEIEFTRSQIVAAIPGTFFCAWYASKKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADATRPFSMLGLGDIVIPGIFVALALRFDVSRGKQGQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPTVIGFLAAHCIWNGDVKPLLEFDESKTVETSEEGSETKSNKKVE >ONI33908 pep chromosome:Prunus_persica_NCBIv2:G1:38065055:38069194:-1 gene:PRUPE_1G452500 transcript:ONI33908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVERVANVALAGLTLAPLVVNVDPNLNVILTACLTVFVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATLLPAIARYLPQSWNKDPIIWHFPYFRSLEIEFTRSQIVAAIPGTFFCAWYASKKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADATRPFSMLGLGDIVIPGIFVALALRFDVSRGKQGQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPTVIGFLAAHCIWNGDVKPLLEFDESKTVETSEEGSETKSNKKVE >ONI33907 pep chromosome:Prunus_persica_NCBIv2:G1:38064591:38069774:-1 gene:PRUPE_1G452500 transcript:ONI33907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVERVANVALAGLTLAPLVVNVDPNLNVILTACLTVFVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATLLPAIARYLPQSWNKDPIIWHFPYFRSLEIEFTRSQIVAAIPGTFFCAWYASKKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADATRPFSMLGLGDIVIPGIFVALALRFDVSRGKQGQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPTVIGFLAAHCIWNGDVKPLLEFDESKTVETSEEGSETKSNKKVE >ONI33414 pep chromosome:Prunus_persica_NCBIv2:G1:36476817:36479290:-1 gene:PRUPE_1G422600 transcript:ONI33414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKPLDSLPPTETLEMENGLSLVPRVRLNLTVYSSSQVVTKPIDEWKMKQTLIDFLKNSLSVSITVPEDDLQIRRLKDLKKRKRDDPIAFGTLFIRNLGFLNKTSKRNDGEEEEKDVKELEKKFLDWRKYIVEKMDGIELNIEGVKYKLNVDVPESDNFEAMKKAWEEFYAFGSRGLSTRGRQEPDTIILRGAPSRWFAEPRVSSKPSMLVTHTIFSTFGKIRNLNVAEDDGLGKDANEDGGDLISGLHCKIVVQFEKYQDFYNTFKVLCGRSFQKFLGGN >ONI33413 pep chromosome:Prunus_persica_NCBIv2:G1:36476789:36479328:-1 gene:PRUPE_1G422600 transcript:ONI33413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKPLDSLPPTETLEMENGLSLVPRVRLNLTVYSSSQVVTKPIDEWKMKQTLIDFLKNSLSVSITVPEDDLQIRRLKDLKKRKRDDPIAFGTLFIRNLGFLNKTSKRNDGEEEEKDVKELEKKFLDWRKYIVEKMDGIELNIEGVKYKLNVDVPESDNFEAMKKAWEEFYAFGSRGLSTRGRQEPDTIILRGAPSRWFAEPRVSSKPSMLVTHTIFSTFGKIRNLNVAEDDGLGKDANEDGGDLISGLHCKIVVQFEKYQDFYNTFKVLCGRSFQKQGSQLRADYEVTWDKDGFFRFSRNQPHENSSRIRETATTQHRSEAPRHRQRISQFSSNQAPRKRFKE >ONI33415 pep chromosome:Prunus_persica_NCBIv2:G1:36476815:36479328:-1 gene:PRUPE_1G422600 transcript:ONI33415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKPLDSLPPTETLEMENGLSLVPRVRLNLTVYSSSQVVTKPIDEWKMKQTLIDFLKNSLSVSITVPEDDLQIRRLKDLKKRKRDDPIAFGTLFIRNLGFLNKTSKRNDGEEEEKDVKELEKKFLDWRKYIVEKMDGIELNIEGVKYKLNVDVPESDNFEAMKKAWEEFYAFGSRGLSTRGRQEPDTIILRGAPSRWFAEPRVSSKPSMLVTHTIFSTFGKIR >ONI28266 pep chromosome:Prunus_persica_NCBIv2:G1:10645403:10650047:-1 gene:PRUPE_1G134800 transcript:ONI28266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNATSPASSSSTVKCSICMDDVSDDCGRTVVRLRCSHSFHLDCIGSAFNVKGVMECPNCREIENGVWRCFENGSPEPDLYEGMDEEPVDFIDMGNGTFVRHYTWETRLPSPGYADGNFVDPYAVFAGNPNTSHQLNVPPVHSGVFTSRVNWRCDPFICDTCINSFSRGDHTSGANWASVRSATLSAGLDFHAMPNEPFVPRIVERGMLVQIATESPFGLGYQGSNDPVYSNLRRMQQTPTNSSSSAADAYMRRSNGLRGWFPAEYTAPSLVEQTGNSFSWSVNLNSNLSEAATHSHHHVQERNAVEPLQLFPEDTGSLLPDINEYA >ONI28264 pep chromosome:Prunus_persica_NCBIv2:G1:10645404:10650047:-1 gene:PRUPE_1G134800 transcript:ONI28264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNATSPASSSSTVKCSICMDDVSDDCGRTVVRLRCSHSFHLDCIGSAFNVKGVMECPNCREIENGVWRCFENGSPEPDLYEGMDEEPVDFIDMGNGTFVRHYTWETRLPSPGYGNFVDPYAVFAGNPNTSHQLNVPPVHSGVFTSRVNWRCDPFICDTCINSFSRGDHTSGANWASVRSATLSAGLDFHAMPNEPFVPRIVERGMLVQIATESPFGLGYQGSNDPVYSNLRRMQQTPTNSSSSAADAYMRRSNGLRGWFPAEYTAPSLVEQTGNSFSWSVNLNSNLSEAATHSHHHVQERNAVEPLQLFPEDTGSLLPDINEYA >ONI28263 pep chromosome:Prunus_persica_NCBIv2:G1:10645071:10650047:-1 gene:PRUPE_1G134800 transcript:ONI28263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILWLYKFSVGVFFARAQMDNATSPASSSSTVKCSICMDDVSDDCGRTVVRLRCSHSFHLDCIGSAFNVKGVMECPNCREIENGVWRCFENGSPEPDLYEGMDEEPVDFIDMGNGTFVRHYTWETRLPSPGYGNFVDPYAVFAGNPNTSHQLNVPPVHSGVFTSRVNWRCDPFICDTCINSFSRGDHTSGANWASVRSATLSAGLDFHAMPNEPFVPRIVERGMLVQIATESPFGLGYQGSNDPVYSNLRRMQQTPTNSSSSAADAYMRRSNGLRGWFPAEYTAPSLVEQTGNSFSWSVNLNSNLSEAATHSHHHVQERNAVEPLQLFPEDTGSLLPDINEYA >ONI28265 pep chromosome:Prunus_persica_NCBIv2:G1:10645322:10650069:-1 gene:PRUPE_1G134800 transcript:ONI28265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNATSPASSSSTVKCSICMDDVSDDCGRTVVRLRCSHSFHLDCIGSAFNVKGVMECPNCREIENGVWRCFENGSPEPDLYEGMDEEPVDFIDMGNGTFVRHYTWETRLPSPGYGNFVDPYAVFAGNPNTSHQLNVPPVHSGVFTSRVNWRCDPFICDTCINSFSRGDHTSGANWASVRSATLSAGLDFHAMPNEPFVPRIVERGMLVQIATESPFGLGYQGSNDPVYSNLRRMQQTPTNSSSSAADAYMRRSNGLRGWFPAEYTAPSLVEQTGNSFSWSVNLNSNLSEAATHSHHHVQERNAVEPLQLFPEDTGSLLPDINEYA >ONI29507 pep chromosome:Prunus_persica_NCBIv2:G1:19484333:19494502:-1 gene:PRUPE_1G200000 transcript:ONI29507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALYDVGC >ONI29477 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19494515:-1 gene:PRUPE_1G200000 transcript:ONI29477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQLLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKPAERF >ONI29484 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19494502:-1 gene:PRUPE_1G200000 transcript:ONI29484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQLLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29510 pep chromosome:Prunus_persica_NCBIv2:G1:19484333:19494502:-1 gene:PRUPE_1G200000 transcript:ONI29510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALYDVGC >ONI29491 pep chromosome:Prunus_persica_NCBIv2:G1:19484679:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29487 pep chromosome:Prunus_persica_NCBIv2:G1:19484679:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29497 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29485 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19494502:-1 gene:PRUPE_1G200000 transcript:ONI29485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29496 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29506 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19489921:-1 gene:PRUPE_1G200000 transcript:ONI29506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29488 pep chromosome:Prunus_persica_NCBIv2:G1:19484679:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29508 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19494502:-1 gene:PRUPE_1G200000 transcript:ONI29508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALYDVGC >ONI29499 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQLLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29509 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19494502:-1 gene:PRUPE_1G200000 transcript:ONI29509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALYDVGC >ONI29500 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29493 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQLLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29475 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19494515:-1 gene:PRUPE_1G200000 transcript:ONI29475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQLLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKPAERF >ONI29483 pep chromosome:Prunus_persica_NCBIv2:G1:19484088:19494560:-1 gene:PRUPE_1G200000 transcript:ONI29483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29492 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19490124:-1 gene:PRUPE_1G200000 transcript:ONI29492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29495 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19494502:-1 gene:PRUPE_1G200000 transcript:ONI29495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29505 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29478 pep chromosome:Prunus_persica_NCBIv2:G1:19480876:19494561:-1 gene:PRUPE_1G200000 transcript:ONI29478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKPAERF >ONI29504 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29490 pep chromosome:Prunus_persica_NCBIv2:G1:19484679:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29486 pep chromosome:Prunus_persica_NCBIv2:G1:19484679:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29502 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29489 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19494502:-1 gene:PRUPE_1G200000 transcript:ONI29489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29498 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29480 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19494502:-1 gene:PRUPE_1G200000 transcript:ONI29480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29501 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29494 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29503 pep chromosome:Prunus_persica_NCBIv2:G1:19484871:19493971:-1 gene:PRUPE_1G200000 transcript:ONI29503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVVIAVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKVDRLAYWSSQLCSLGAWRAKKGP >ONI29482 pep chromosome:Prunus_persica_NCBIv2:G1:19484252:19494516:-1 gene:PRUPE_1G200000 transcript:ONI29482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29479 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19494502:-1 gene:PRUPE_1G200000 transcript:ONI29479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQLLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI29476 pep chromosome:Prunus_persica_NCBIv2:G1:19480876:19494561:-1 gene:PRUPE_1G200000 transcript:ONI29476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKPAERF >ONI29481 pep chromosome:Prunus_persica_NCBIv2:G1:19481314:19494502:-1 gene:PRUPE_1G200000 transcript:ONI29481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGGGEDKQWGCGKCGAAVNLQRVGSIVRDIGDPCLSQSPIKVNKMLKPEKWQATFDSDGKNFCFQKALKLIVLGGVDQSIRPEVWEFLLGCYALGSTAESRRQLRTARRERYRDLIKQCQMMHSSIGTGSLAYVVGSKVMDVRAPSKDDEGGEAKVEHRQTSFDNTNKVENYCDRNNNCTDTSYACQQESSSESADLVSVRESTDSAAYDSSCFIHTSSPHDCSSPKLGREADGTKYVPKSYFEYPTLPVADLFEKCEEDDEGRVSDDKLSAQCKSRLKKDSMHSFQVNNNVDLVIESNCSPSKNVSRTINSEIEMVRTDAHHSVLQSNNMGKKKEIVNRMRISDVPDTEFRNTTMSQGGTVSEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDAKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWNILELTDREMFAHLSHIGAESLHFAFRMLLVLFRRELSFDDALCMWEMMWAADFQESLAYNLEDSCLEALVVQLPRDLEAEMRGESAEGGGDMKGGSHSNHGNPEHSMSDTGMKSASTYHFCGLTRNFWSKNDRMHICTAVSSTRNGDDELPVFCVAAILIMNRQKIIRQTRSIDDMIKIFNDNLLKISVKRCIRTAVKLRKKYFYKLIKKNSPSARNGD >ONI31704 pep chromosome:Prunus_persica_NCBIv2:G1:31029164:31033375:-1 gene:PRUPE_1G325700 transcript:ONI31704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKEFFTEYGEASQYEIQEVIGKGSYGVVASAVDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLHHPDIVEIKHIMLPPCRREFKDIYVVFELMESDLHQVIKANDDLSPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANSDCKLKICDFGLARAAFSDAPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLITDLLGTPTAESISRIRNEKARRYLSSMKKKKSVPLSQKIPNADPLALRLLERLLAFDPRDRLSAEEALADPYFHGLANVNQEPSKQPISKLEFEFERSKLTKDDVRELIYREILEYHPKMLQDYLQGSDNIGFMYPSGVDRFRRQFAHLEAHYSKGERSTALQRKHASLPRERVCMSQDEAAEQNGNAKKSAAASVGRAAIHSPPRSKGFEVAESVCENGSTIPNGLSKPNYSPNSLLKSASISASKCVAANRQYCEEDRTAERNDEMINVVA >ONI31702 pep chromosome:Prunus_persica_NCBIv2:G1:31029449:31032001:-1 gene:PRUPE_1G325700 transcript:ONI31702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKEFFTEYGEASQYEIQEVIGKGSYGVVASAVDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLHHPDIVEIKHIMLPPCRREFKDIYVVFELMESDLHQVIKANDDLSPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANSDCKLKICDFGLARAAFSDAPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLITDLLGTPTAESISRIRNEKARRYLSSMKKKKSVPLSQKIPNADPLALRLLERLLAFDPRDRLSAEEALADPYFHGLANVNQEPSKQPISKLEFEFERSKLTKDDVRELIYREILEYHPKMLQDYLQGSDNIGFMYPSGVDRFRRQFAHLEAHYSKGERSTALQRKHASLPRERVCMSQDEAAEQNGNAKKSAAASVGRAAIHSPPRSKGFEVAESVCENGSTIPNGLSKPNYSPNSLLKSASISASKCVAANRQYCEEDRTAERNDEMINVVA >ONI31703 pep chromosome:Prunus_persica_NCBIv2:G1:31029449:31032001:-1 gene:PRUPE_1G325700 transcript:ONI31703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKEFFTEYGEASQYEIQEVIGKGSYGVVASAVDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLHHPDIVEIKHIMLPPCRREFKDIYVVFELMESDLHQVIKANDDLSPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANSDCKLKICDFGLARAAFSDAPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLITDLLGTPTAESISRIRNEKARRYLSSMKKKKSVPLSQKIPNADPLALRLLERLLAFDPRDRLSAEEALADPYFHGLANVNQEPSKQPISKLEFEFERSKLTKDDVRELIYREILEYHPKMLQDYLQGSDNIGFMYPSGVDRFRRQFAHLEAHYSKGERSTALQRKHASLPRERVCMSQDEAAEQNGNAKKSAAASVGRAAIHSPPRSKGFEVAESVCENGSTIPNGLSKPNYSPNSLLKSASISASKCVAANRQYCEEDRTAERNDEMINVVA >ONI31700 pep chromosome:Prunus_persica_NCBIv2:G1:31029449:31033746:-1 gene:PRUPE_1G325700 transcript:ONI31700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILRWFEGLSSSSSSSSADHRAISQSDVVQQPPSSASTDEQQEEELIITVELDMSGLKPIKVPERTNHRLASMGHHKNMLDKEFFTEYGEASQYEIQEVIGKGSYGVVASAVDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLHHPDIVEIKHIMLPPCRREFKDIYVVFELMESDLHQVIKANDDLSPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANSDCKLKICDFGLARAAFSDAPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLITDLLGTPTAESISRIRNEKARRYLSSMKKKKSVPLSQKIPNADPLALRLLERLLAFDPRDRLSAEEALADPYFHGLANVNQEPSKQPISKLEFEFERSKLTKDDVRELIYREILEYHPKMLQDYLQGSDNIGFMYPSGVDRFRRQFAHLEAHYSKGERSTALQRKHASLPRERVCMSQDEAAEQNGNAKKSAAASVGRAAIHSPPRSKGFEVAESVCENGSTIPNGLSKPNYSPNSLLKSASISASKCVAANRQYCEEDRTAERNDEMINVVA >ONI31705 pep chromosome:Prunus_persica_NCBIv2:G1:31029164:31033954:-1 gene:PRUPE_1G325700 transcript:ONI31705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPCRREFKDIYVVFELMESDLHQVIKANDDLSPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANSDCKLKICDFGLARAAFSDAPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLITDLLGTPTAESISRIRNEKARRYLSSMKKKKSVPLSQKIPNADPLALRLLERLLAFDPRDRLSAEEALADPYFHGLANVNQEPSKQPISKLEFEFERSKLTKDDVRELIYREILEYHPKMLQDYLQGSDNIGFMYPSGVDRFRRQFAHLEAHYSKGERSTALQRKHASLPRERVCMSQDEAAEQNGNAKKSAAASVGRAAIHSPPRSKGFEVAESVCENGSTIPNGLSKPNYSPNSLLKSASISASKCVAANRQYCEEDRTAERNDEMINVVA >ONI31701 pep chromosome:Prunus_persica_NCBIv2:G1:31029145:31033955:-1 gene:PRUPE_1G325700 transcript:ONI31701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILRWFEGLSSSSSSSSADHRAISQSDVVQQPPSSASTDEQQEEELIITVELDMSGLKPIKVPERTNHRLASMGHHKNMLDKEFFTEYGEASQYEIQEVIGKGSYGVVASAVDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLHHPDIVEIKHIMLPPCRREFKDIYVVFELMESDLHQVIKANDDLSPEHYQFFLYQLLRALKYIHTANVFHRDLKPKNILANSDCKLKICDFGLARAAFSDAPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLITDLLGTPTAESISRIRNEKARRYLSSMKKKKSVPLSQKIPNADPLALRLLERLLAFDPRDRLSAEEALADPYFHGLANVNQEPSKQPISKLEFEFERSKLTKDDVRELIYREILEYHPKMLQDYLQGSDNIGFMYPSGVDRFRRQFAHLEAHYSKGERSTALQRKHASLPRERVCMSQDEAAEQNGNAKKSAAASVGRAAIHSPPRSKGFEVAESVCENGSTIPNGLSKPNYSPNSLLKSASISASKCVAANRQYCEQEDRTAERNDEMINVVA >ONI35982 pep chromosome:Prunus_persica_NCBIv2:G1:46052573:46057496:-1 gene:PRUPE_1G563900 transcript:ONI35982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSRGRRRIASRRYRPTPYPLRSNQDILEDLCPKKCSRDLEKKDWDDATCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSFRHSNCLGQYKKAYTKMVSSDHGQPLLGSDNNPIVLPDSEWPAQKCEVSELACPLCRGKVKGWTVLEPARDYLNAKKRSCMQENCSFVGNYKELKRHVRAEHPSARPREVDPVLEQKWRRLEHERETDDVISTIQSSMPGAMVFGDYVIEGNNYGFDTDEEDGGFDAEAGERNGGFGLGFDGNLVNVFFLLHAFGSSGTGRLRQPERALHHPSDGSAVGIRHSTPIGGSDSSDQDDENDSNGDNAGGGMSLVSRLRRHGRVLLGRSGRRRRRREGNSDQT >ONI35285 pep chromosome:Prunus_persica_NCBIv2:G1:43175412:43182239:-1 gene:PRUPE_1G527400 transcript:ONI35285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLYQGILFGATVSQRAQSVGNGLILYTLDLRCGAKKSLADPPKQTFALKSCDISSTRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRTAPPPCVNYFCPMHLSDRGRASMHLTHVAFSPDGEEVLLSYSGEHVYLMNVNHASGSAVQYTSEDVSKLTSFTPMLNGVELHQPASKIFTNGVPKRGNITARLAKCRKLIEIADKSLEEGTEYFYGIEACNEVLDGYGCDIGPTLKHDCLCTRAALLLKRKWKNDVHMAIRDCYNARRINSSSFRAHYYMSEALSQLAKHKEALDFAIAAQSLAPSNSEVADRLEHVKRDLAAAESERNGKPNDGAPRSEPRGGRVLSLSDILYRSEGNSDASQDGPRSEREDSDYDEEVEVDFETSISGDEEHDVEPNILQGSLNLRIHRRSDSAREVGGSNGSCGSPSSSSQNERLLYQPEAVIDMKQRYVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKRTGRLIKMLQGDEAVVNCVQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGSAGPETADILVAMEANQRRLTHNRETILYSRSEILEHFRIHEFAEGSLHPFECAQS >ONI35281 pep chromosome:Prunus_persica_NCBIv2:G1:43174537:43185878:-1 gene:PRUPE_1G527400 transcript:ONI35281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVPFHDGNIHDLIDSRYVDVRNNGNHSLQMHSSLVRRLSQERELEGHQGCVNSIAWNSRGSLLISGSDDTRINIWSYASQKLLHSIETGHCANIFCTKFVPETSDELVVSGAGDAEVRLFNLSHLSGRGTDDNAIAPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPAGSTHQECRNVLLDLRCGAKKSLADPPKQTFALKSCDISSTRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRTAPPPCVNYFCPMHLSDRGRASMHLTHVAFSPDGEEVLLSYSGEHVYLMNVNHASGSAVQYTSEDVSKLTSFTPMLNGVELHQPASKIFTNGVPKRGNITARLAKCRKLIEIADKSLEEGTEYFYGIEACNEVLDGYGCDIGPTLKHDCLCTRAALLLKRKWKNDVHMAIRDCYNARRINSSSFRAHYYMSEALSQLAKHKEALDFAIAAQSLAPSNSEVADRLEHVKRDLAAAESERNGKPNDGAPRSEPRGGRVLSLSDILYRSEGNSDASQDGPRSEREDSDYDEEVEVDFETSISGDEEHDVEPNILQGSLNLRIHRRSDSAREVGGSNGSCGSPSSSSQNERLLYQPEAVIDMKQRYVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKRTGRLIKMLQGDEAVVNCVQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGSAGPETADILVAMEANQRRLTHNRETIL >ONI35283 pep chromosome:Prunus_persica_NCBIv2:G1:43175412:43185580:-1 gene:PRUPE_1G527400 transcript:ONI35283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVPFHDGNIHDLIDSRYVDVRNNGNHSLQMHSSLVRRLSQERELEGHQGCVNSIAWNSRGSLLISGSDDTRINIWSYASQKLLHSIETGHCANIFCTKFVPETSDELVVSGAGDAEVRLFNLSHLSGRGTDDNAIAPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPAGSTHQECRNVLLDLRCGAKKSLADPPKQTFALKSCDISSTRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRTAPPPCVNYFCPMHLSDRGRASMHLTHVAFSPDGEEVLLSYSGEHVYLMNVNHASGSAVQYTSEDVSKLTSFTPMLNGVELHQPASKIFTNGVPKRGNITARLAKCRKLIEIADKSLEEGTEYFYGIEACNEVLDGYGCDIGPTLKHDCLCTRAALLLKRKWKNDVHMAIRDCYNARRINSSSFRAHYYMSEALSQLAKHKEALDFAIAAQSLAPSNSEVADRLEHVKRDLAAAESERNGKPNDGAPRSEPRGGRVLSLSDILYRSEGNSDASQDGPRSEREDSDYDEEVEVDFETSISGDEEHDVEPNILQGSLNLRIHRRSDSAREVGGSNGSCGSPSSSSQNERLLYQPEAVIDMKQRYVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKRTGRLIKMLQGDEAVVNCVQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGSAGPETADILVAMEANQRRLTHNRETILYSRSEILEHFRIHEFAEGSLHPFECAQS >ONI35284 pep chromosome:Prunus_persica_NCBIv2:G1:43174537:43182254:-1 gene:PRUPE_1G527400 transcript:ONI35284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLYQGILFGATVSQRAQSVGNGLILYTLDLRCGAKKSLADPPKQTFALKSCDISSTRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRTAPPPCVNYFCPMHLSDRGRASMHLTHVAFSPDGEEVLLSYSGEHVYLMNVNHASGSAVQYTSEDVSKLTSFTPMLNGVELHQPASKIFTNGVPKRGNITARLAKCRKLIEIADKSLEEGTEYFYGIEACNEVLDGYGCDIGPTLKHDCLCTRAALLLKRKWKNDVHMAIRDCYNARRINSSSFRAHYYMSEALSQLAKHKEALDFAIAAQSLAPSNSEVADRLEHVKRDLAAAESERNGKPNDGAPRSEPRGGRVLSLSDILYRSEGNSDASQDGPRSEREDSDYDEEVEVDFETSISGDEEHDVEPNILQGSLNLRIHRRSDSAREVGGSNGSCGSPSSSSQNERLLYQPEAVIDMKQRYVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKRTGRLIKMLQGDEAVVNCVQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGSAGPETADILVAMEANQRRLTHNRETILRSEILEHFRIHEFAEGSLHPFECAQS >ONI35282 pep chromosome:Prunus_persica_NCBIv2:G1:43175412:43185580:-1 gene:PRUPE_1G527400 transcript:ONI35282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVPFHDGNIHDLIDSRYVDVRNNGNHSLQMHSSLVRRLSQERELEGHQGCVNSIAWNSRGSLLISGSDDTRINIWSYASQKLLHSIETGHCANIFCTKFVPETSDELVVSGAGDAEVRLFNLSHLSGRGTDDNAIAPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPAGSTHQECRNVLLDLRCGAKKSLADPPKQTFALKSCDISSTRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRTAPPPCVNYFCPMHLSDRGRASMHLTHVAFSPDGEEVLLSYSGEHVYLMNVNHASGSAVQYTSEDVSKLTSFTPMLNGVELHQPASKIFTNGVPKRGNITARLAKCRKLIEIADKSLEEGTEYFYGIEACNEVLDGYGCDIGPTLKHDCLCTRAALLLKRKWKNDVHMAIRDCYNARRINSSSFRAHYYMSEALSQLAKHKEALDFAIAAQSLAPSNSEVADRLEHVKRDLAAAESERNGKPNDGAPRSEPRGGRVLSLSDILYRSEGNSDASQDGPRSEREDSDYDEEVEVDFETSISGDEEHDVEPNILQGSLNLRIHRRSDSAREVGGSNGSCGSPSSSSQNERLLYQPEAVIDMKQRYVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKRTGRLIKMLQGDEAVVNCVQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGSAGPETADILVAMEANQRRLTHNRETILRSEILEHFRIHEFAEGSLHPFECAQS >ONI35286 pep chromosome:Prunus_persica_NCBIv2:G1:43176043:43185580:-1 gene:PRUPE_1G527400 transcript:ONI35286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVPFHDGNIHDLIDSRYVDVRNNGNHSLQMHSSLVRRLSQERELEGHQGCVNSIAWNSRGSLLISGSDDTRINIWSYASQKLLHSIETGHCANIFCTKFVPETSDELVVSGAGDAEVRLFNLSHLSGRGTDDNAIAPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGTSCPPAGSTHQECRNVLLDLRCGAKKSLADPPKQTFALKSCDISSTRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRTAPPPCVNYFCPMHLSDRGRASMHLTHVAFSPDGEEVLLSYSGEHVYLMNVNHASGSAVQYTSEDVSKLTSFTPMLNGVELHQPASKIFTNGVPKRGNITARLAKCRKLIEIADKSLEEGTEYFYGIEACNEVLDGYGCDIGPTLKHDCLCTRAALLLKRKWKNDVHMAIRDCYNARRINSSSFRAHYYMSEALSQLAKHKEALDFAIAAQSLAPSNSEVADRLEHVKRDLAAAESERNGKPNDGAPRSEPRGGRVLSLSDILYRSEGNSDASQDGPRSEREDSDYDEEVEVDFETSISGDEEHDVEPNILQGSLNLRIHRRSDSAREVGGSNGSCGSPSSSSQNERLLYQPEAVIDMKQRYVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKRTGRLIKMLQGDEAVVNCVQCHPFDCVVATSGIDNTIKVGIIKSALFFFFWGGLRLAIMKSYLNFLWFIILDMDTKCLSPIYCSWWIRRARNC >ONI27155 pep chromosome:Prunus_persica_NCBIv2:G1:5033258:5037602:1 gene:PRUPE_1G071100 transcript:ONI27155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAPTLFLEDWLKSVSGFSNSFSSTNYSASSARAIIQAWAELRDCLQHKSFQSHHLQSLKTLVNSQTSLHVAEPQAKLLLSILSSPDLSLPRESYTLFLRLLYIWVRKSARPSVLIDSAVKALSNVFSTTQYNSKKSPHLFSEGVLLLGSLSFAPSASESSKIVFLGLLCRLLAEEYQVLGSFSELVPDVLAGIGYALCSSVKVHFVTIFDFMLSIWGKESGPQGSVSHGLMILHLMEWVMSGLSSFRSLEKINTFSQEVLETTKAYYVPFAVVMAAAGVLRALNRSVVSGLGLDTISKLRRSAEDRIESVARELISRTRGFTSSDNDHTDSLLLQCVSVALARSGVVSARSPLFICLASALLTEIFPSRRLYMKVLKSMPGSSAVLRINEVKEHLESLTFKEAGAITGVFCNLYVSVDEQSKHMVENLVWDHCQHIYMEHRQVALVLRGKEDEVLGDLEKIAESAFLMVVLFALAVTKHKLNSKFNQESQMDTSVRILISFSCLEYFRRIRLPEYMDTIRGIVVSVQESDSACVSFVRSIPTYVDLTNGPDFSFLRKMEYLWSKDEVQTARILFYLRVIPTCIARLPSPVFGKVVAPTMFLYMGHPNGKVARASHSMFSAFISSGKDSDQDERESLKEQLVFYYIQRSLVEYPEITPFEGMASGVAALVRHLPAGSPAIFYCIHCLVEKANRLCIEDLAHQDDMWKNWQGESEPGKKILDLLLRLISLVDIQVLPDLMKLLAQLIAQLPKDGQNMILNELYSQVAESDDVTRKPTLVSWLQSLSYLCFQETSGSAASRKVGSEANRTSVRTPDPLNDTSLNARL >ONI29328 pep chromosome:Prunus_persica_NCBIv2:G1:18146822:18155251:-1 gene:PRUPE_1G193500 transcript:ONI29328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDCEKLNQRKATMISKSQQRKLKKLEEEKEKSLSISKSIEALEKYKLPEGAHSLLQSSKNIGKVESKKEKRRKAVLFSKAGFGVPLTDQPFKKIDSESESESEPELEKTQSRSDLCKNDQVQSKIVPAAIQKNTFISLDGRGPGVNGGTAADSPYKNAISNKHDTSLREDINILPTSSVNDDSQSMKMDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQNDFLLKRYSVIIIDEAHERSLNTDILIGMLSRVIRAREEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRGKCIDLDMPL >ONI29327 pep chromosome:Prunus_persica_NCBIv2:G1:18146839:18155239:-1 gene:PRUPE_1G193500 transcript:ONI29327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDCEKLNQRKATMISKSQQRKLKKLEEEKEKSLSISKSIEALEKYKLPEGAHSLLQSSKNIGKVESKKEKRRKAVLFSKAGFGVPLTDQPFKKIDSESESESEPELEKTQSRSDLCKNDQVQSKIVPAAIQKNTFISLDGRGPGVNGGTAADSPYKNAISNKHDTSLREDINILPTSSVNDDSQSMKMDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQNDFLLKRYSVIIIDEAHERSLNTDILIGMLSRVIRAREEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRGKCIDLDMPL >ONI29336 pep chromosome:Prunus_persica_NCBIv2:G1:18147639:18154900:-1 gene:PRUPE_1G193500 transcript:ONI29336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDCEKLNQRKATMISKSQQRKLKKLEEEKEKSLSISKSIEALEKYKLPEGAHSLLQSSKNIGKVESKKEKRRKAVLFSKAGFGVPLTDQPFKKIDSESESESEPELEKTQSRSDLCKNDQVQSKIVPAAIQKNTFISLDGRGPGVNGGTAADSPYKNAISNKHDTSLREDINILPTSSVNDDSQSMKMDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQNDFLLKRYSVIIIDEAHERSLNTDILIGMLSRVIRAREEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRARRNDQPLYL >ONI29334 pep chromosome:Prunus_persica_NCBIv2:G1:18147639:18154900:-1 gene:PRUPE_1G193500 transcript:ONI29334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDCEKLNQRKATMISKSQQRKLKKLEEEKEKSLSISKSIEALEKYKLPEGAHSLLQSSKNIGKVESKKEKRRKAVLFSKAGFGVPLTDQPFKKIDSESESESEPELEKTQSRSDLCKNDQVQSKIVPAAIQKNTFISLDGRGPGVNGGTAADSPYKNAISNKHDTSLREDINILPTSSVNDDSQSMKMDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRARRNDQPLYL >ONI29330 pep chromosome:Prunus_persica_NCBIv2:G1:18146822:18151684:-1 gene:PRUPE_1G193500 transcript:ONI29330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVIRAREEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRGKCIDLDMPL >ONI29329 pep chromosome:Prunus_persica_NCBIv2:G1:18146524:18155242:-1 gene:PRUPE_1G193500 transcript:ONI29329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDCEKLNQRKATMISKSQQRKLKKLEEEKEKSLSISKSIEALEKYKLPEGAHSLLQSSKNIGKVESKKEKRRKAVLFSKAGFGVPLTDQPFKKIDSESESESEPELEKTQSRSDLCKNDQVQSKIVPAAIQKNTFISLDGRGPGVNGGTAADSPYKNAISNKHDTSLREDINILPTSSVNDDSQSMKMDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQNDFLLKRYSVIIIDEAHERSLNTDILIGMLSRVIRAREEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRGKCIDLDMPL >ONI29333 pep chromosome:Prunus_persica_NCBIv2:G1:18147639:18154900:-1 gene:PRUPE_1G193500 transcript:ONI29333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDCEKLNQRKATMISKSQQRKLKKLEEEKEKSLSISKSIEALEKYKLPEGAHSLLQSSKNIGKVESKKEKRRKAVLFSKAGFGVPLTDQPFKKIDSESESESEPELEKTQSRSDLCKNDQVQSKIVPAAIQKNTFISLDGRGPGVNGGTAADSPYKNAISNKHDTSLREDINILPTSSVNDDSQSMKMDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRARRNDQPLYL >ONI29338 pep chromosome:Prunus_persica_NCBIv2:G1:18147639:18150951:-1 gene:PRUPE_1G193500 transcript:ONI29338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVIRAREEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRARRNDQPLYL >ONI29337 pep chromosome:Prunus_persica_NCBIv2:G1:18147639:18154900:-1 gene:PRUPE_1G193500 transcript:ONI29337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDCEKLNQRKATMISKSQQRKLKKLEEEKEKSLSISKSIEALEKYKLPEGAHSLLQSSKNIGKVESKKEKRRKAVLFSKAGFGVPLTDQPFKKIDSESESESEPELEKTQSRSDLCKNDQVQSKIVPAAIQKNTFISLDGRGPGVNGGTAADSPYKNAISNKHDTSLREDINILPTSSVNDDSQSMKMDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQNDFLLKRYSVIIIDEAHERSLNTDILIGMLSRVIRAREEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRARRNDQPLYL >ONI29331 pep chromosome:Prunus_persica_NCBIv2:G1:18146950:18155212:-1 gene:PRUPE_1G193500 transcript:ONI29331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDCEKLNQRKATMISKSQQRKLKKLEEEKEKSLSISKSIEALEKYKLPEGAHSLLQSSKNIGKVESKKEKRRKAVLFSKAGFGVPLTDQPFKKIDSESESESEPELEKTQSRSDLCKNDQVQSKIVPAAIQKNTFISLDGRGPGVNGGTAADSPYKNAISNKHDTSLREDINILPTSSVNDDSQSMKMDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQNDFLLKRYSVIIIDEAHERSLNTDILIGMLSRVIRAREEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKREGMISHCICREMH >ONI29326 pep chromosome:Prunus_persica_NCBIv2:G1:18146822:18155251:-1 gene:PRUPE_1G193500 transcript:ONI29326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDCEKLNQRKATMISKSQQRKLKKLEEEKEKSLSISKSIEALEKYKLPEGAHSLLQSSKNIGKVESKKEKRRKAVLFSKAGFGVPLTDQPFKKIDSESESESEPELEKTQSRSDLCKNDQVQSKIVPAAIQKNTFISLDGRGPGVNGGTAADSPYKNAISNKHDTSLREDINILPTSSVNDDSQSMKMDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRGKCIDLDMPL >ONI29325 pep chromosome:Prunus_persica_NCBIv2:G1:18146825:18155242:-1 gene:PRUPE_1G193500 transcript:ONI29325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDCEKLNQRKATMISKSQQRKLKKLEEEKEKSLSISKSIEALEKYKLPEGAHSLLQSSKNIGKVESKKEKRRKAVLFSKAGFGVPLTDQPFKKIDSESESESEPELEKTQSRSDLCKNDQVQSKIVPAAIQKNTFISLDGRGPGVNGGTAADSPYKNAISNKHDTSLREDINILPTSSVNDDSQSMKMDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRGKCIDLDMPL >ONI29335 pep chromosome:Prunus_persica_NCBIv2:G1:18147639:18154900:-1 gene:PRUPE_1G193500 transcript:ONI29335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDCEKLNQRKATMISKSQQRKLKKLEEEKEKSLSISKSIEALEKYKLPEGAHSLLQSSKNIGKVESKKEKRRKAVLFSKAGFGVPLTDQPFKKIDSESESESEPELEKTQSRSDLCKNDQVQSKIVPAAIQKNTFISLDGRGPGVNGGTAADSPYKNAISNKHDTSLREDINILPTSSVNDDSQSMKMDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQNDFLLKRYSVIIIDEAHERSLNTDILIGMLSRVIRAREEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRARRNDQPLYL >ONI29324 pep chromosome:Prunus_persica_NCBIv2:G1:18146839:18155238:-1 gene:PRUPE_1G193500 transcript:ONI29324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQNDFLLKRYSVIIIDEAHERSLNTDILIGMLSRVIRAREEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRGKCIDLDMPL >ONI29332 pep chromosome:Prunus_persica_NCBIv2:G1:18146839:18155220:-1 gene:PRUPE_1G193500 transcript:ONI29332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKLDFPMENCAQHMDLSLGGGDSNTLILPAKRRNKRKGTNQDKVKETPNVNFSRTSKLSNSPMPRSLIAPTIVHVSRPEEVENARKDLPIVMMEQEIMEAVNDHSTVIICGETGCGKTTQVPQFLFEAGFGSSFSCVRSGIIGVTQPRRVAVLATAKRVAYELGLHLGQEVGFQVRYDKRIGESCSIKFMTDGILLRELQNDFLLKRYSVIIIDEAHERSLNTDILIGMLSRVIRAREEKYAEQQREVLSGRTISTGQQIFPLKLVLMSATLRVEDFMSGRKLFRNPPPVVEVPTRQFPVTIYFSSRTKEEDYIGQACKKVLAIHKRLPRGGILVFVTGQKEVEYLCRKLRRVSKEQYKKTSEGDIRSDVTEVSERSSTEEIDMKEINEAFEVHGNSADHQTDRFSYNDEDQFDIDDDELDDSYDSETESELEIIGDYGNSLIRASPEIDGDVENVLGEEGGITQLKAAFEALDAKTSFNFNSDEKQPISVTPNACPNQSNPSMGKKSGVEENTSPGTLHVLPLYAMLHAKDQLRVFEEVREGERLVVVATNVAETSLTIPGIKYVVDTGREKVKSYNSSNGMETYEVQWISKASAAQRAGRAGRTGPGYCYRLYSSAAYSNIFPDFSPAEISKVPVDGVVLYMKSMNIDKVSNFPFPTPPEGAALDEAERCLKILQALDSNGRLTPLGKAMADFPMSPRHSRMLLTVIQIMSKEKSYSRANLVLAYAVAAAAALSLSNPFVRQFEDSHTKSQDLDEDGNSSGTVNIEVMDKQEKLRRKKLKETVKMFREKFSNPSSDALSVAYALQCYELSESPVEFCNVNALHPKTMEEMSKLRKQLLQLVFNQSGVSGGEKDFSWIFGSLKDVENVWRVSHDKNPLLLYEEELLGQAICAGWADRVAKRIRGSSGLSLGDKKVHAVWYQACMVKEIVFLHRWSSVSNSAPEFLVYSELIQTRHPYMHGVTSVKSEWLVEYARSICTFSAPPTDTKPYYEPLTDQVLHYVIPVFGPHLWELPSHSIPISNYAFRVAVFAYALLEGQVLPCLRSVRKYMAAPPASVLRPEAAGQRRVGSLLAKLNRKKIDSCAILREVWKENPKELHPEIMDWFQEGFHNNFKTLWSHMLSEVILEPQDRFPKASKRARRNDQPLYL >ONI33584 pep chromosome:Prunus_persica_NCBIv2:G1:37118963:37123177:-1 gene:PRUPE_1G434200 transcript:ONI33584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEHRSGFGVRDASPDSVIFTLESNFSLFSSASASVDRCSFASDAFDHDSEISPNSAAHEHHVEESSSGTDRDPDPSRRLTFHTSSIKHSRLSTKAEKAKVQKEDTDSDLVLDSARSSFSLAIKECQDRRSRSEAFSKKLDRPRPASLDLNNASVSSPRLGNIKKSSMASRKSGNFPSPGTPSYRNTSLGVQKGWSSERVPAPTNVGRKNTTASMLPFNNGRTLPSKWEDAERWIFSPVQGDGVVRPSCQQTQRRPKSKSGPLGPPGVAYYSLYSPAMPMFDGTNVSNFMAASPFSAGVISADGLAFHSGAQNGAFTTRAEPCIARSVSVHGCSEVLDQQSSLPGSQDEKFDGPDGVKDAATNVSRAVSRRDMATQMSPDDSTHSSPAGGPSYSASSSSGLPIVEVQSAPSLKLEVRDVQVDDRVTVTRWSKKHKSRVPGKGSDIIDNWKKKDADARSAAWDLSDSSKNISKVKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQDMRSSVLTDQAQVAGTSHRTVSIRITRQMGSLSGCFTCHAF >ONI33585 pep chromosome:Prunus_persica_NCBIv2:G1:37118819:37123177:-1 gene:PRUPE_1G434200 transcript:ONI33585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEHRSGFGVRDASPDSVIFTLESNFSLFSSASASVDRCSFASDAFDHDSEISPNSAAHEHHVEESSSGTDRDPDPSRRLTFHTSSIKHSRLSTKAEKAKVQKEDTDSDLVLDSARSSFSLAIKECQDRRSRSEAFSKKLDRPRPASLDLNNASVSSPRLGNIKKSSMASRKSGNFPSPGTPSYRNTSLGVQKGWSSERVPAPTNVGRKNTTASMLPFNNGRTLPSKWEDAERWIFSPVQGDGVVRPSCQQTQRRPKSKSGPLGPPGVAYYSLYSPAMPMFDGTNVSNFMAASPFSAGVISADGLAFHSGAQNGAFTTRAEPCIARSVSVHGCSEVLDQQSSLPGSQDEKFDGPDGVKDAATNVSRAVSRRDMATQMSPDDSTHSSPAGGPSYSASSSSGLPIVEVQSAPSLKLEVRDVQVDDRVTVTRWSKKHKSRVPGKGSDIIDNWKKKDADARSAAWDLSDSSKNISKVKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQDMRSSVLTDQAQVAGTSHRTVSIRITRQMGSLSGCFTCHAF >ONI33456 pep chromosome:Prunus_persica_NCBIv2:G1:36626426:36630116:1 gene:PRUPE_1G425500 transcript:ONI33456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSICMLSLTRMLMLLVLSHNILCTVAVAGEREQQTKKTYIIQMDKSKMPASFADDHFQWYGSSLKSVSNSADMLYTYKNVIHGFSTRLTAEEAELLERQSGILSVMPELRYELHTTRTPQFLGMLGGINEAVFPASEKLGKVIIGVVDTGVWPEIKSYDDKGLGPVPRSWRGQCEEGKNFNSSSCNRKLIGARFFPKGYEASNLGPIDEKVESRSPRDVDGHGTQASTIAAGSAVPGASLYGYASGTARGMATQARVATYKACWSGWCLSSDILAAMDKAVEDGVHILSVSIGRSQYEDFYTDFIAIGAFSAMAKGVFVSCSAGNRGPEADSTSNNAPWITTVGAGTIDRDFPAHVSLGNGKKYRGASIYSGTHLSSGLHPLVYARNASNSTSDSTSDPCAPDSLIPEKVFGKIVVCDQGGTYSRVDKSMVVKKAGGMGMILADIEGYGEELVVDSYVLPVVVVGQKEGDAIKRYIVSHDNPKATFSGGKTELGVEPSPVVAAFSSRGPNPVALTVLKPDLIAPGVNILAGWTGALGPARRAEDTRRVSFNIFSGTSMSCPHVSGLAAVLKAAHPKWSPAAIKSALMTTSYATYKNGAPIKDVATGKPATPFDYGAGHVDPVAALDPGLVYDLGVKDYLNFLCAYRYTSSDIKILTHIDFTCDSSKNYSAGDLNYPSFAVSLNTNSGNWGAGTKIYTRTLTNVGTPGTYKVSVSTPSPAVKILVEPKSLSFTRAYEKKTYTVTFVVSAMPSGTNNFTRLEWSDGKHIVSSPIAVSWF >ONI35017 pep chromosome:Prunus_persica_NCBIv2:G1:42030655:42031758:-1 gene:PRUPE_1G510300 transcript:ONI35017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSSDPSYFGRAKSIISSLFGIFLWATRLPFAMQMVKAFPTHHHLELGLKTLNFSEAARNPQILDHHHPHIIHNHKVSPAPSSSSSSGSSSLTSSCMLDSSLSWSSSAMDDLIGTDSGVPIMLTKEQEKLGFLKNTVEFSMSRRNEAKSTRSTTTRRSEKIKREYPPPIPLLAQTGNLQGRMPWIFTKHYDHSNGRLVLKGERVKHHEYFEARREDGRLILNLVPLDNALACFDSALTCCDEENEEELEMDEDLQTVDEDVGEVEEDDDDECDENKVNENHQDVEKKAMDVISGYNSVPQSHLKMSIFERYRIRSLPNLKNAGKNNMVEFGSTSFYDVSKNIHEHPQSCLGHPASAPLRPMTPVM >ONI34118 pep chromosome:Prunus_persica_NCBIv2:G1:38692720:38695774:-1 gene:PRUPE_1G463600 transcript:ONI34118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSHEQGSIETSPSSSSVDHKTWIETQDFLKKKLIAEDDFTWRLAVTGRSMEKEEEVLKYVGGVDISYSKEDPSMACGILVVLDLQTLQVVYEDFSIVTLHVPYLPGFLAFREAPVLLELLEKMKTNANPLYPQLLMVDGNGTLHPRAKEDYAQDFVTLKGCSGRIWGVAVRSTGGSLKPIFISVGHRIALDTAIRIVKMTCKFRVPEPIRQADIRSRDYVRKHQMRMSK >ONI34126 pep chromosome:Prunus_persica_NCBIv2:G1:38694258:38695684:-1 gene:PRUPE_1G463600 transcript:ONI34126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSHEQGSIETSPSSSSVDHKTWIETQDFLKKKLIAEDDFTWRLAVTGRSMEKEEEVLKYVGGVDISYSKEDPSMACGILVVLDLQTLQVVYEDFSIVTLHVPYLPGFLAFREAPVLLELLEKMKTNANPLYPQLLMVDGNGTLHPRGFGLACHLGILANLPTVGIGKNVNTDSNYIHQL >ONI34123 pep chromosome:Prunus_persica_NCBIv2:G1:38694063:38695684:-1 gene:PRUPE_1G463600 transcript:ONI34123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSHEQGSIETSPSSSSVDHKTWIETQDFLKKKLIAEDDFTWRLAVTGRSMEKEEEVLKYVGGVDISYSKEDPSMACGILVVLDLQTLQVVYEDFSIVTLHVPYLPGFLAFREAPVLLELLEKMKTNANPLYPQLLMVDGNGTLHPRGFGLACHLGILANLPTVGIGKNLHHVDGLTLSGVMQLLKAKEDYAQDFVTLKGCSGRIWGVVHIL >ONI34119 pep chromosome:Prunus_persica_NCBIv2:G1:38692720:38695773:-1 gene:PRUPE_1G463600 transcript:ONI34119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSHEQGSIETSPSSSSVDHKTWIETQDFLKKKLIAEDDFTWRLAVTGRSMEKEEEVLKYVGGVDISYSKEDPSMACGILVVLDLQTLQVVYEDFSIVTLHVPYLPGFLAFREAPVLLELLEKMKTNANPLYPQLLMVDGNGTLHPRGFGLACHLGILANLPTVGIGKNLHHVDGLTLSGVMQLLKAKEDYAQDFVTLKGCSGRIWGVAVRSTGGSLKPIFISVGHRIALDTAIRIVKMTCKFRVPEPIRQADIRSRDYVRKHQMRMSK >ONI34125 pep chromosome:Prunus_persica_NCBIv2:G1:38692720:38695774:-1 gene:PRUPE_1G463600 transcript:ONI34125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSHEQGSIETSPSSSSVDHKTWIETQDFLKKKLIAEDDFTWRLAVTGRSMEKEEEVLKYVGGVDISYSKEDPSMACGILVVLDLQTLQVVYEDFSIVTLHVPYLPGFLAFREAPVLLELLEKMKTNANPLYPQVLAWLVTLAFWQIYLLLGLEKIFTMWMA >ONI34121 pep chromosome:Prunus_persica_NCBIv2:G1:38692720:38695773:-1 gene:PRUPE_1G463600 transcript:ONI34121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSHEQGSIETSPSSSSVDHKTWIETQDFLKKKLIAEDDFTWRLAVTGRSMEKEEEVLKYVGGVDISYSKEDPSMACGILVVLDLQTLQVVYEDFSIVTLHVPYLPGFLAFREAPVLLELLEKMKTNANPLYPQLLMVDGNGTLHPRGFGLACHLGILANLPTVGIGKNLHHVDGLTLSGVMQLLKAKEDYAQDFVTLKGCSGRIWGVRLACNNIRKLKIILQHFLVYPNNMDIYSD >ONI34122 pep chromosome:Prunus_persica_NCBIv2:G1:38694063:38695684:-1 gene:PRUPE_1G463600 transcript:ONI34122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSHEQGSIETSPSSSSVDHKTWIETQDFLKKKLIAEDDFTWRLAVTGRSMEKEEEVLKYVGGVDISYSKEDPSMACGILVVLDLQTLQVVYEDFSIVTLHVPYLPGFLAFREAPVLLELLEKMKTNANPLYPQLLMVDGNGTLHPRGFGLACHLGILANLPTVGIGKNLHHVDGLTLSGVMQLLKAKEDYAQDFVTLKGCSGRIWGVVHIL >ONI34120 pep chromosome:Prunus_persica_NCBIv2:G1:38693895:38695684:-1 gene:PRUPE_1G463600 transcript:ONI34120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSHEQGSIETSPSSSSVDHKTWIETQDFLKKKLIAEDDFTWRLAVTGRSMEKEEEVLKYVGGVDISYSKEDPSMACGILVVLDLQTLQVVYEDFSIVTLHVPYLPGFLAFREAPVLLELLEKMKTNANPLYPQLLMVDGNGTLHPRGFGLACHLGILANLPTVGIGKNLHHVDGLTLSGVMQLLKAKEDYAQDFVTLKGCSGRIWGVRLACNNIRKLKIILQHFLVYPNNMDIYSD >ONI34124 pep chromosome:Prunus_persica_NCBIv2:G1:38692720:38695773:-1 gene:PRUPE_1G463600 transcript:ONI34124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSHEQGSIETSPSSSSVDHKTWIETQDFLKKKLIAEDDFTWRLAVTGRSMEKEEEVLKYVGGVDISYSKEDPSMACGILVVLDLQTLQVVYEDFSIVTLHVPYLPGFLAFREAPVLLELLEKMKTNANPLYPQVLAWLVTLAFWQIYLLLGLEKIFTMWMA >ONI34127 pep chromosome:Prunus_persica_NCBIv2:G1:38694290:38695684:-1 gene:PRUPE_1G463600 transcript:ONI34127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSHEQGSIETSPSSSSVDHKTWIETQDFLKKKLIAEDDFTWRLAVTGRSMEKEEEVLKYVGGVDISYSKEDPSMACGILVVLDLQTLQVVYEDFSIVTLHVPYLPGFLAFREAPVLLELLEKMKTNANPLYPQVLAWLVTLAFWQIYLLLGLEKM >ONI26429 pep chromosome:Prunus_persica_NCBIv2:G1:1684415:1687179:-1 gene:PRUPE_1G024100 transcript:ONI26429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFISKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTNPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAIIAVIDSTDRARISIMKDELFRLLAHEDLQNSVVLVFANKQDLKDAMTPAEITDTLSLHSIKNHDWHIQACCALTGDGLYDGLGWIAQRVTGKAPS >ONI26430 pep chromosome:Prunus_persica_NCBIv2:G1:1684415:1686997:-1 gene:PRUPE_1G024100 transcript:ONI26430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFISKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTNPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAIIAVIDSTDRARISIMKDELFRLLAHEDLQNSVVLVFANKQDLKDAMTPAEITDTLSLHSIKNHDWHIQACCALTGDGLYDGLGWIAQRVTGKAPS >ONI32685 pep chromosome:Prunus_persica_NCBIv2:G1:34278318:34282123:1 gene:PRUPE_1G379700 transcript:ONI32685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLNGRANNGEIANPDLQIIRYSPYQPCSKLASSWFDLRVFYVRVSNFKVDDSTPKHLTLNHIPLFPDTLLEINGAKSSIFSEGVSSHLRRDRVDKKSEEATFVNTDSIRLTGSAKFEVFDKEDLILSGVLDMSNSNGFTGESKSNAKRWSMNCESEITAGTGFLKGKQLGGAELPAPTIEVYITGCFSGTPIILTKTLQLNFRKKHNRMSTLDAIPEYETTECQKDVSPGLDMEIAEYGNYKPENEEEYSNLYWRRTEYMDGEDGELSWFNAGVRVGVGIGLGVCLGIGIGVGLLVRTYQTTTRTFKRRLV >ONI32686 pep chromosome:Prunus_persica_NCBIv2:G1:34278231:34282123:1 gene:PRUPE_1G379700 transcript:ONI32686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLNGRANNGEIANPDLQIIRYSPYQPCSKLASSWFDLRVFYVRVSNFKVDDSTPKHLTLNHIPLFPDTLLEINGAKSSIFSEGVSSHLRRDRVDKKSEEATFVNTDSIRLTGSAKFEVFDKEDLILSGVLDMSNSNGFTGESKSNAKRWSMNCESEITAGTGFLKGKQLGGAELPAPTIEVYITGCFSGTPIILTKTLQLNFRKKHNRMSTLDAIPEYETTECQKDVSPGLDMEIAEYGNYKPENEEEYSNLYWRRTEYMDGEDGELSWFNAGVRVGVGIGLGVCLGIGIGVGLLVRTYQTTTRTFKRRLV >ONI32683 pep chromosome:Prunus_persica_NCBIv2:G1:34278230:34282123:1 gene:PRUPE_1G379700 transcript:ONI32683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLNGRANNGEIANPDLQIIRYSPYQPCSKLASSWFDLRVFYVRVSNFKVDDSTPKHLTLNHIPLFPDTLLEINGAKSSIFSEGVSSHLRRDRVDKKSEEATFVNTDSIRLTGSAKFEVFDKEDLILSGVLDMSNSNGFTGESKSNAKRWSMNCESEITAGTGFLKGKQLGGAELPAPTIEVYITGCFSGTPIILTKTLQLNFRKKHNRMSTLDAIPEYETTECQKDVSPGLDMEIAEYGNYKPENEEEYSNLYWRRTEYMDGEDGELSWFNAGVRVGVGIGLGVCLGIGIGVGLLVRTYQTTTRTFKRRLV >ONI32684 pep chromosome:Prunus_persica_NCBIv2:G1:34278318:34282123:1 gene:PRUPE_1G379700 transcript:ONI32684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLNGRANNGEIANPDLQIIRYSPYQPCSKLASSWFDLRVFYVRVSNFKVDDSTPKHLTLNHIPLFPDTLLEINGAKSSIFSEGVSSHLRRDRVDKKSEEATFVNTDSIRLTGSAKFEVFDKEDLILSGVLDMSNSNGFTGESKSNAKRWSMNCESEITAGTGFLKGKQLGGAELPAPTIEVYITGCFSGTPIILTKTLQLNFRKKHNRMSTLDAIPEYETTECQKDVSPGLDMEIAEYGNYKPENEEEYSNLYWRRTEYMDGEDGELSWFNAGVRVGVGIGLGVCLGIGIGVGLLVRTYQTTTRTFKRRLV >ONI26674 pep chromosome:Prunus_persica_NCBIv2:G1:2757637:2759656:-1 gene:PRUPE_1G039100 transcript:ONI26674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVKSLKSRAYFKRFQVKYKRRRQGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIISASIAGDLVLASAYAHELPRYGLKVGLTNYAAAYCTGLLLARRVLHKLEMADEYEGNVEATGEDYSVEPSESRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDVPHSEKRFAGFSKDNKQLDSDVHRKYIYGGHVAAYMGILSEDEPEKFQTHFSAYIKKGVEAEGIEEMYKKVHAAIRADPTVKLTEKKEVKEHKRFNLKKLTYDERKEKLIERLNAFNSAAGDEDDDE >ONI33038 pep chromosome:Prunus_persica_NCBIv2:G1:35447247:35451768:1 gene:PRUPE_1G401700 transcript:ONI33038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDEKWCVVTGGRGFAARHLVQMLIRYDVFRVRIADLGSTVDLEPYEENGILAEALSSGRAQYVAIDLRSKSQVLQAFQGAEVVFHMAAPNSSVNNYQLHHSVNVEGTKNVIDACTELKVKRLIYTSSPSVVFDGIHGIFDGEESLPYPPKHNDFYSATKAQGEELVIKANGVKGLLTCCIRPSSIFGPGDRLLVPSLVVAARAGKSKFIIGDGNNIYDFTYVENVAHAHICAERALASEGTVAEKAAGQAYFITNMEPIKFWEFVSLILEGLGYERPRIKIPAFVVMPIAHVVEWTYKLLGPYGMKVPQLTPSRVRLLSCSRSFKCSKAQDRIGYTPIVSLQEGLKRTIESYPHLRAEQPRKREGPSKASIYLGSGMVADTLLWKDKKQTFKALLVLIAIYYNFIVTGSTIITMLSKLLLAASIFVFIHASLPEKILGYKIEKLDSSHFYLSEEMSKQITRSVASSWNASVRALKSLGKGNDGLLFIKVALSLLVLSFLGTISLRNLFVIGVPAAFVVFYVYEKEEQKIDALVLEALSRGCKLKSDIVRKVCTSKKIE >ONI33039 pep chromosome:Prunus_persica_NCBIv2:G1:35447526:35451563:1 gene:PRUPE_1G401700 transcript:ONI33039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDEKWCVVTGGRGFAARHLVQMLIRYDVFRVRIADLGSTVDLEPYEENGILAEALSSGRAQYVAIDLRSKSQVLQAFQGAEVVFHMAAPNSSVNNYQLHHSVNVEGTKNVIDACTELKVKRLIYTSSPSVVFDGIHGIFDGEESLPYPPKHNDFYSATKAQGEELVIKANGVKGLLTCCIRPSSIFGPGDRLLVPSLVVAARAGKSKFIIGDGNNIYDFTYVENVAHAHICAERALASEGTVAEKAAGQAYFITNMEPIKFWEFVSLILEGLGYERPRIKIPAFVVMPIAHVVEWTYKLLGPYGMKVPQLTPSRVRLLSCSRSFKCSKAQDRIGYTPIVSLQEGLKRTIESYPHLRAEQPRKREGPSKASIYLGSGMASPGSFNIRVHPCQFTRKDIRV >ONI34150 pep chromosome:Prunus_persica_NCBIv2:G1:38796078:38799009:-1 gene:PRUPE_1G465000 transcript:ONI34150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQNKMEDAHLNLSCMLTVESKVESRDTGKATELLERGHMEYGCSHYRRRCRIRAPCCNEIFDCRHCHNEAKNNINVDQKHRHDIPRHQVKQVICSLCGTEQEVGQVCVNCGVCMGKYFCGTCKLFDDDTSKRQYHCNGCGICRIGGRENFFHCYKCGCCYSIMLKNSHPCVEGAMHHDCPVCFEFLFESTNDVTVMLCGHTIHKDCLKEMREHYQYACPLCSKSVCDMSKVWEKYDMEIAATPMPEPYKNKLVWILCNDCGKSSQVQYHVVAQKCLNCKSYNTRQTRG >ONI33562 pep chromosome:Prunus_persica_NCBIv2:G1:37026264:37029407:1 gene:PRUPE_1G432600 transcript:ONI33562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPKATSLRSLPPGCRFYPSEEQLLRCYLSNKNDADLAQSGGYDLIRELDLYDHDPFDLPDSACYSYGHAGRKRHWFCYTVRVLKERRAKSGYWRRKGRDRDVVGRGGKAVLGRRTSFVFYLGNSPNTAVRTDWVLYQYAQVDHVKASFVLCRVFVRSRHGNRLSYNGVSSCAEESACTVRHIGIQHDGFHTPNIVETEVHGDNSAHRKNEMLNFPMRLDREINDRVKNGPVSISRLQPNGQVCRQKYPHDSKQMKSINLWSRHPCLVVVIQYFLMIWKLSIYFPL >ONI33563 pep chromosome:Prunus_persica_NCBIv2:G1:37026264:37028107:1 gene:PRUPE_1G432600 transcript:ONI33563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPKATSLRSLPPGCRFYPSEEQLLRCYLSNKNDADLAQSGGYDLIRELDLYDHDPFDLPDSACYSYGHAGRKRHWFCYTVRVLKERRAKSGYWRRKGRDRDVVGRGGKAVLGRRTSFVFYLGNSPNTAVRTDWVLYQYAQVDHVKASFVLCRVFVRSRHGNRLSYNGVSSCAEESACTVRHIGIQHDGFHTPNIVETEVHGDNSAHRKNEMLNFPMRLDREINDRVKNGPVSISRLQPNGQVCRQKYPHDSKQMKSINLWLES >ONI33561 pep chromosome:Prunus_persica_NCBIv2:G1:37026200:37029641:1 gene:PRUPE_1G432600 transcript:ONI33561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPKATSLRSLPPGCRFYPSEEQLLRCYLSNKNDADLAQSGGYDLIRELDLYDHDPFDLPDSACYSYGHAGRKRHWFCYTVRVLKERRAKSGYWRRKGRDRDVVGRGGKAVLGRRTSFVFYLGNSPNTAVRTDWVLYQYAQVDHVKASFVLCRVFVRSRHGNRLSYNGVSSCAEESACTVRHIGIQHDGFHTPNIVETEVHGDNSAHRKNEMLNFPMRLDREINDRVKNGPVSISRLQPNGQVPSSVLGGSNPIFLDDLETEHLLSIVEGDFIELDDLND >ONI32036 pep chromosome:Prunus_persica_NCBIv2:G1:32321847:32324871:1 gene:PRUPE_1G345400 transcript:ONI32036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVSSLSQLPLGSSSVDVVFTLCKSIEFPSEQLLGEISRVLKPGGSVLIYKTSDSSTGESDKTTSVIERKLLLSGFLEAQALQEKSNLPSELSFGVKAKKPSWKIGSSFALKKTTKSLPKVQINDDSDLIDEDSLLTEEDLKKPQPLLAGDCEVGSTRKACKNCTCGRAEEEQKVEKLGSTVDLDNFKSQCGSCGLGDAFRCATCPFKGLPPFKPGEKVSLSANFLAADI >ONI32035 pep chromosome:Prunus_persica_NCBIv2:G1:32321592:32324871:1 gene:PRUPE_1G345400 transcript:ONI32035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVAFTDDAVLPVSLVFDALKELGNGATEKCEPQIMTEVSSLSQLPLGSSSVDVVFTLCKSIEFPSEQLLGEISRVLKPGGSVLIYKTSDSSTGESDKTTSVIERKLLLSGFLEAQALQEKSNLPSELSFGVKAKKPSWKIGSSFALKKTTKSLPKVQINDDSDLIDEDSLLTEEDLKKPQPLLAGDCEVGSTRKACKNCTCGRAEEEQKVEKLGSTVDLDNFKSQCGSCGLGDAFRCATCPFKGLPPFKPGEKVSLSANFLAADI >ONI36296 pep chromosome:Prunus_persica_NCBIv2:G1:47155348:47161806:-1 gene:PRUPE_1G579600 transcript:ONI36296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVPAPKAAEIAIASIGHGYDISIDLRLKYCKGESYTSRLIEIDDDGGREIVLPGGITIPNVPKSIKCDKGERTRFRSDVLSFQQMSEQFNQEISLTGKIPSGLFNSMFEFSGCWQKDAANTKTLAFDGVFITLYTVALEKSQMVLLDNVKKAVPSSWEPAALARFIETFGTHIVVGVKMGGKDVIYMKQQHSSTLQPADIQKKLKDMADKRFLDANGQYGMPSEQVYKNDRVRNASMFEIREQTLRFADTSPSSSYSRKEDVVSICKRRGGIDTRNLSHNEWLQTVQFEPDVIAMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSNASLQFSLMGPKLYVNTSLVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLEDDPNGNFRRDSYDRKYYEKVQWKNFSHVCTAPVESDEDLSIVTGAQLQVENYGIKNILFLRLRFSTVFGATVVKHPEWDGSPGLAHKSGLISTLISHHFTTVQKPPPRPADVNINSAVYPGGPPVPIQAPKLRKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVKYSLLTVILPDEELEGH >ONI36295 pep chromosome:Prunus_persica_NCBIv2:G1:47155348:47161806:-1 gene:PRUPE_1G579600 transcript:ONI36295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVPAPKAAEIAIASIGHGYDISIDLRLKYCKGESYTSRLIEIDDDGGREIVLPGGITIPNVPKSIKCDKGERTRFRSDVLSFQQMSEQFNQEISLTGKIPSGLFNSMFEFSGCWQKDAANTKTLAFDGVFITLYTVALEKSQMVLLDNVKKAVPSSWEPAALARFIETFGTHIVVGVKMGGKDVIYMKQQHSSTLQPADIQKKLKDMADKRFLDANGQYGMPSEQVYKNDRFEIREQTLRFADTSPSSSYSRKELQDVVSICKRRGGIDTRNLSHNEWLQTVQFEPDVIAMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSNASLQFSLMGPKLYVNTSLVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLEDDPNGNFRRDSYDRKYYEKVQWKNFSHVCTAPVESDEDLSIVTGAQLQVENYGIKNILFLRLRFSTVFGATVVKHPEWDGSPGLAHKSGLISTLISHHFTTVQKPPPRPADVNINSAVYPGGPPVPIQAPKLRKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVKYSLLTVILPDEELEGH >ONI36294 pep chromosome:Prunus_persica_NCBIv2:G1:47154737:47162435:-1 gene:PRUPE_1G579600 transcript:ONI36294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVPAPKAAEIAIASIGHGYDISIDLRLKYCKGESYTSRLIEIDDDGGREIVLPGGITIPNVPKSIKCDKGERTRFRSDVLSFQQMSEQFNQEISLTGKIPSGLFNSMFEFSGCWQKDAANTKTLAFDGVFITLYTVALEKSQMVLLDNVKKAVPSSWEPAALARFIETFGTHIVVGVKMGGKDVIYMKQQHSSTLQPADIQKKLKDMADKRFLDANGQYGMPSEQVYKNDRFEIREQTLRFADTSPSSSYSRKEDVVSICKRRGGIDTRNLSHNEWLQTVQFEPDVIAMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSNASLQFSLMGPKLYVNTSLVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLEDDPNGNFRRDSYDRKYYEKVQWKNFSHVCTAPVESDEDLSIVTGAQLQVENYGIKNILFLRLRFSTVFGATVVKHPEWDGSPGLAHKSGLISTLISHHFTTVQKPPPRPADVNINSAVYPGGPPVPIQAPKLRKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVKYSLLTVILPDEELEGH >ONI36299 pep chromosome:Prunus_persica_NCBIv2:G1:47155348:47160984:-1 gene:PRUPE_1G579600 transcript:ONI36299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQFNQEISLTGKIPSGLFNSMFEFSGCWQKDAANTKTLAFDGVFITLYTVALEKSQMVLLDNVKKAVPSSWEPAALARFIETFGTHIVVGVKMGGKDVIYMKQQHSSTLQPADIQKKLKDMADKRFLDANGQYGMPSEQVYKNDRVRNASMFEIREQTLRFADTSPSSSYSRKEDVVSICKRRGGIDTRNLSHNEWLQTVQFEPDVIAMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSNASLQFSLMGPKLYVNTSLVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLEDDPNGNFRRDSYDRKYYEKVQWKNFSHVCTAPVESDEDLSIVTGAQLQVENYGIKNILFLRLRFSTVFGATVVKHPEWDGSPGLAHKSGLISTLISHHFTTVQKPPPRPADVNINSAVYPGGPPVPIQAPKLRKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVKYSLLTVILPDEELEGH >ONI36298 pep chromosome:Prunus_persica_NCBIv2:G1:47154737:47162435:-1 gene:PRUPE_1G579600 transcript:ONI36298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQFNQEISLTGKIPSGLFNSMFEFSGCWQKDAANTKTLAFDGVFITLYTVALEKSQMVLLDNVKKAVPSSWEPAALARFIETFGTHIVVGVKMGGKDVIYMKQQHSSTLQPADIQKKLKDMADKRFLDANGQYGMPSEQVYKNDRFEIREQTLRFADTSPSSSYSRKEDVVSICKRRGGIDTRNLSHNEWLQTVQFEPDVIAMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSNASLQFSLMGPKLYVNTSLVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLEDDPNGNFRRDSYDRKYYEKVQWKNFSHVCTAPVESDEDLSIVTGAQLQVENYGIKNILFLRLRFSTVFGATVVKHPEWDGSPGLAHKSGLISTLISHHFTTVQKPPPRPADVNINSAVYPGGPPVPIQAPKLRKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVKYSLLTVILPDEELEGH >ONI36297 pep chromosome:Prunus_persica_NCBIv2:G1:47155348:47161806:-1 gene:PRUPE_1G579600 transcript:ONI36297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVPAPKAAEIAIASIGHGYDISIDLRLKYCKGESYTSRLIEIDDDGGREIVLPGGITIPNVPKSIKCDKGERTRFRSDVLSFQQMSEQFNQEISLTGKIPSGLFNSMFEFSGCWQKDAANTKTLAFDGVFITLYTVALEKSQMVLLDNVKKAVPSSWEPAALARFIETFGTHIVVGVKMGGKDVIYMKQQHSSTLQPADIQKKLKDMADKRFLDANGQYGMPSEQVYKNDRVRNASMFEIREQTLRFADTSPSSSYSRKELQDVVSICKRRGGIDTRNLSHNEWLQTVQFEPDVIAMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSNASLQFSLMGPKLYVNTSLVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLEDDPNGNFRRDSYDRKYYEKVQWKNFSHVCTAPVESDEDLSIVTGAQLQVENYGIKNILFLRLRFSTVFGATVVKHPEWDGSPGLAHKSGLISTLISHHFTTVQKPPPRPADVNINSAVYPGGPPVPIQAPKLRKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVKYSLLTVILPDEELEGH >ONI28538 pep chromosome:Prunus_persica_NCBIv2:G1:11538718:11543162:-1 gene:PRUPE_1G146600 transcript:ONI28538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVAKGTSSCSTQVSPSITVQEKGSRNKRKFRADPPLGDPNKIIPLPQTECTSYEFSAEKFEITQGHGQIGVCDLCTVNKDHSDGLKLDLGLSSTVGSSEVGPSRPREELEADEFQDADWSDLTETQLEELVLSNLDTIFKSAIKKIVACGYAEEVATKAVLRSGLCYGCKDTVSNIVDNTLNFLRSGQEIDPSREHCFEDLQQLEKYILAELVCVLREVRPFFSMGDAMWCLLICDMNVSHACAMDGDPLNSFMSDGASNGSSSTPNQPQSKIEAKSVELNLLSPSKPVPLIPGSHSSQYETPAIAGGVPNIAKPKNSLVQSGSFSEKEITNSTSHNGDKSFGVSGTSQSSAVEEKLLGSRKVHSVSAKREYMLRQKPLHLEKNYRTYGCKGSSRAGKLSGLGGLILDKKLKSVSDSTAVNLKNASLKISKAMGVDVPQENGNHNLSSNAGPSSPRAFNLDADNTASVLPQNNVPSILPAVNTSNPLPAVSTSTALPAVNTTTALPAVNTSTPLPVANTPPALSVADTELSLSLPTKNNSSSVSLSCKSDATNSIFSGIPYDKSSGQWVPRDKKDEMILKLVPRVRDLQNQLQEWTEWANQKVMQAARRLSKDKAELKSLRQEKEEVERLKKEKQTLEENTMKKLSEMENALCKASGQVERANSAVRRLEVENAALRQEMEAAKVRAAESAASCQEVSKREKKTLMKIQSWEKQKVLLNEELVIEKRKFKQLLQEVEQAKDLQEQLEARWQQEETSKDELLEQASSVRKEREQIEASTKSKEDMIKLKAENNLQKYKDDIQKLEKEISQLRLKSDSSKIAALRRGIDGSYASKVTDIENGIDQKGSRTPYISEVVKDFHDYSETGGVKRERECVMCLSEEMSVVFLPCAHQVVCRTCNELHEKQGMKDCPSCRSPIQWRISVRYARS >ONI28540 pep chromosome:Prunus_persica_NCBIv2:G1:11538727:11542499:-1 gene:PRUPE_1G146600 transcript:ONI28540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVAKGTSSCSTQVSPSITVQEKGSRNKRKFRADPPLGDPNKIIPLPQTECTSYEFSAEKFEITQGHGQIGVCDLCTVNKDHSDGLKLDLGLSSTVGSSEVGPSRPREELEADEFQDADWSDLTETQLEELVLSNLDTIFKSAIKKIVACGYAEEVATKAVLRSGLCYGCKDTVSNIVDNTLNFLRSGQEIDPSREHCFEDLQQLEKYILAELVCVLREVRPFFSMGDAMWCLLICDMNVSHACAMDGDPLNSFMSDGASNGSSSTPNQPQSKIEAKSVELNLLSPSKPVPLIPGSHSSQYETPAIAGGVPNIAKPKNSLVQSGSFSEKEITNSTSHNGDKSFGVSGTSQSSAVEEKLLGSRKVHSVSAKREYMLRQKPLHLEKNYRTYGCKGSSRAGKLSGLGGLILDKKLKSVSDSTAVNLKNASLKISKAMGVDVPQENGNHNLSSNAGPSSPRAFNLDADNTASVLPQNNVPSILPAVNTSNPLPAVSTSTALPAVNTTTALPAVNTSTPLPVANTPPALSVADTELSLSLPTKNNSSSVSLSCKSDATNSIFSGIPYDKSSGQWVPRDKKDEMILKLVPRVRDLQNQLQEWTEWANQKVMQAARRLSKDKAELKSLRQEKEEVERLKKEKQTLEENTMKKLSEMENALCKASGQVERANSAVRRLEVENAALRQEMEAAKVRAAESAASCQEVSKREKKTLMKIQSWEKQKVLLNEELVIEKRKFKQLLQEVEQAKDLQEQLEVLVLSFFSLKGKVCRRLDGSRRRHQKTNYLSRPVQ >ONI28537 pep chromosome:Prunus_persica_NCBIv2:G1:11538719:11542893:-1 gene:PRUPE_1G146600 transcript:ONI28537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVAKGTSSCSTQVSPSITVQEKGSRNKRKFRADPPLGDPNKIIPLPQTECTSYEFSAEKFEITQGHGQIGVCDLCTVNKDHSDGLKLDLGLSSTVGSSEVGPSRPREELEADEFQDADWSDLTETQLEELVLSNLDTIFKSAIKKIVACGYAEEVATKAVLRSGLCYGCKDTVSNIVDNTLNFLRSGQEIDPSREHCFEDLQQLEKYILAELVCVLREVRPFFSMGDAMWCLLICDMNVSHACAMDGDPLNSFMSDGASNGSSSTPNQPQSKIEAKSVELNLLSPSKPVPLIPGSHSSQYETPAIAGGVPNIAKPKNSLVQSGSFSEKEITNSTSHNGDKSFGVSGTSQSSAVEEKLLGSRKVHSVSAKREYMLRQKPLHLEKNYRTYGCKGSSRAGKLSGLGGLILDKKLKSVSDSTAVNLKNASLKISKAMGVDVPQENGNHNLSSNAGPSSPRAFNLDADNTASVLPQNNVPSILPAVNTSNPLPAVSTSTALPAVNTTTALPAVNTSTPLPVANTPPALSVADTELSLSLPTKNNSSSVSLSCKSDATNSIFSGIPYDKSSGQWVPRDKKDEMILKLVPRVRDLQNQLQEWTEWANQKVMQAARRLSKDKAELKSLRQEKEEVERLKKEKQTLEENTMKKLSEMENALCKASGQVERANSAVRRLEVENAALRQEMEAAKVRAAESAASCQEVSKREKKTLMKIQSWEKQKVLLNEELVIEKRKFKQLLQEVEQAKDLQEQLEARWQQEETSKDELLEQASSVRKEREQIEASTKSKEDMIKLKAENNLQKYKDDIQKLEKEISQLRLKSDSSKIAALRRGIDGSYASKVTDIENGIDQKGSRTPYISEVVKDFHDYSETGGVKRERECVMCLSEEMSVVFLPCAHQVVCRTCNELHEKQGMKDCPSCRSPIQWRISVRYARS >ONI28536 pep chromosome:Prunus_persica_NCBIv2:G1:11530875:11543095:-1 gene:PRUPE_1G146600 transcript:ONI28536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVAKGTSSCSTQVSPSITVQEKGSRNKRKFRADPPLGDPNKIIPLPQTECTSYEFSAEKFEITQGHGQIGVCDLCTVNKDHSDGLKLDLGLSSTVGSSEVGPSRPREELEADEFQDADWSDLTETQLEELVLSNLDTIFKSAIKKIVACGYAEEVATKAVLRSGLCYGCKDTVSNIVDNTLNFLRSGQEIDPSREHCFEDLQQLEKYILAELVCVLREVRPFFSMGDAMWCLLICDMNVSHACAMDGDPLNIEEKLLGSRKVHSVSAKREYMLRQKPLHLEKNYRTYGCKGSSRAGKLSGLGGLILDKKLKSVSDSTAVNLKNASLKISKAMGVDVPQENGNHNLSSNAGPSSPRAFNLDADNTASVLPQNNVPSILPAVNTSNPLPAVSTSTALPAVNTTTALPAVNTSTPLPVANTPPALSVADTELSLSLPTKNNSSSVSLSCKSDATNSIFSGIPYDKSSGQWVPRDKKDEMILKLVPRVRDLQNQLQEWTEWANQKVMQAARRLSKDKAELKSLRQEKEEVERLKKEKQTLEENTMKKLSEMENALCKASGQVERANSAVRRLEVENAALRQEMEAAKVRAAESAASCQEVSKREKKTLMKIQSWEKQKVLLNEELVIEKRKFKQLLQEVEQAKDLQEQLEARWQQEETSKDELLEQASSVRKEREQIEASTKSKEDMIKLKAENNLQKYKDDIQKLEKEISQLRLKSDSSKIAALRRGIDGSYASKVTDIENGIDQKGSRTPYISEEMSSTVKGIIRTAPEVYRQRLFKGKYVDQFDLARHENPSFHGLNWPGRVGHARHSRQSMHIVNGITNPNPNKYEPGHNKAKAILSPVADSTTPTTKKRVFTFGKGKSEGNKGMKSLLGGKGANLAEMASIGLSVPPGLTISTEACQEYQENGKELPKGLWEEILEGLDSVQKDMGAILGDPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSSFEEKLEKLKTIKGVELDTELTTSDLKELVEQYKNVYLETKGEKFPSDPKQQLLLAVKAVFDSWDSPRANKYRSINQITGLKGTAVNIQCMVFGNMGNTSGTGVLFTRNPSTGERKLYGEFLINAQGEDVVAGIRTPEDLDTMKSCMPEAYKELVENCEILEKHYKDMMDIEFTVQENRLWMLQCRAGKRTGKGAVKIAVDMTNEGLVDQHAAIKMVEPQHLDQLLHPQFEDPTAYKDKVIATGLPASPGAAVGTVVFSADDAETWHSQGKSVILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDTEKVAVIGNTVINEGEWLSLNGSTGEVILGKQPLSPPALSGDLETFMSWADKVRRLKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDDRIKAVRRMIMAATTEQRKAALNLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLDQIVGELTAETGMTEDEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSMSNQGVKIFPEIMVPLVGTPQELRHQVSLIRSVANKVFSEMGTTLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGLLQNDPFEVLDQRGVGQLIKMATEKGRAARPSLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRVPIARLAAAQVAV >ONI28539 pep chromosome:Prunus_persica_NCBIv2:G1:11538727:11543159:-1 gene:PRUPE_1G146600 transcript:ONI28539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVAKGTSSCSTQVSPSITVQEKGSRNKRKFRADPPLGDPNKIIPLPQTECTSYEFSAEKFEITQGHGQIGVCDLCTVNKDHSDGLKLDLGLSSTVGSSEVGPSRPREELEADEFQDADWSDLTETQLEELVLSNLDTIFKSAIKKIVACGYAEEVATKAVLRSGLCYGCKDTVSNIVDNTLNFLRSGQEIDPSREHCFEDLQQLEKYILAELVCVLREVRPFFSMGDAMWCLLICDMNVSHACAMDGDPLNSFMSDGASNGSSSTPNQPQSKIEAKSVELNLLSPSKPVPLIPGSHSSQYETPAIAGGVPNIAKPKNSLVQSGSFSEKEITNSTSHNGDKSFGVSGTSQSSAVEEKLLGSRKVHSVSAKREYMLRQKPLHLEKNYRTYGCKGSSRAGKLSGLGGLILDKKLKSVSDSTAVNLKNASLKISKAMGVDVPQENGNHNLSSNAGPSSPRAFNLDADNTASVLPQNNVPSILPAVNTSNPLPAVSTSTALPAVNTTTALPAVNTSTPLPVANTPPALSVADTELSLSLPTKNNSSSVSLSCKSDATNSIFSGIPYDKSSGQWVPRDKKDEMILKLVPRVRDLQNQLQEWTEWANQKVMQAARRLSKDKAELKSLRQEKEEVERLKKEKQTLEENTMKKLSEMENALCKASGQVERANSAVRRLEVENAALRQEMEAAKVRAAESAASCQEVSKREKKTLMKIQSWEKQKVLLNEELVIEKRKFKQLLQEVEQAKDLQEQLEVLVLSFFSLKGKVCRRLDGSRRRHQKTNYLSRPVQ >ONI32898 pep chromosome:Prunus_persica_NCBIv2:G1:34943213:34950324:1 gene:PRUPE_1G392500 transcript:ONI32898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVESCLSKVEQSPTKSMQTALSPSQKALVAEQLLRHSDPDVKVAVASCISEITRITAPDAPYDDDQMKEVFQLIVSSFENLYDKSSRSYAKRTSILETVAKVRSCVVMLDLECDALILEMFQHFLKSIRDYHPENVFSSMETIMTLVLEESEDISLELISPLLDSVKNDNEDILPIARKLGERVLESCATKLKPYMIEQVKCLGIALDDYSKVVASICQEAAGDDEPNEGFDADENVAAEDKSAIRESSDEAAQVDKGKAEAAVSPDQVDPAIDKSSQLVMNNGNTETGEDDSFAESNALKKQEEGDDTEDQKDPNASSNAEPDSLETQKAVDAEQIPEQAMKEKAGDYNLSTNSTEPSENREVDNEEDTETQPDHKSVTEDVPSSPHEAPSEEAAVPSEKEKGSDVNLSSKALEKESAVVASRSASESLPDESRSKKAGRNKKKDSSNKGTAAFADDEPIKATDGTSDSELKPSRRTGKRGSGGISNENKNPIVVDASRKESGTTSDSEANQKSAKKVDGSTKTDDGSSIKQPEDKKRRGRGKVTSGKDATKSSSKDDDKEMMSTPKTATKSTKDEPPLEETPKTNSKRKRASGKEKGSGAKDFGEDVVGSKIQVWWPKDRRYYKGVVDSFDPAKKKHKVLYIDGDQEVLNLKKEKWEYIEGDFGSDEEQETDQSSHDASSEVPLKRKVKINAEEATKAEKMDISPKLGGASSGRSKGGATKFGRKSREGSKADSKSKGTVGKSDDEHIGKLKDHTLKSSGKSVDVVQKTSSKSKNNDSQTPKSTKSKEDDSSTHRASTKSKQDTQKAGKSNQGTPKTASISKGKSSASGGKANANGVGRVKSGSKAKDSEDIKESSSDSEKATESTKRKSPTLSKAQGSETKSGKKRRRGTKS >ONI32897 pep chromosome:Prunus_persica_NCBIv2:G1:34941509:34950324:1 gene:PRUPE_1G392500 transcript:ONI32897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADKELEAQLTEAGNQLLEPPSSVEDLLPLLDSVESCLSKVEQSPTKSMQTALSPSQKALVAEQLLRHSDPDVKVAVASCISEITRITAPDAPYDDDQMKEVFQLIVSSFENLYDKSSRSYAKRTSILETVAKVRSCVVMLDLECDALILEMFQHFLKSIRDYHPENVFSSMETIMTLVLEESEDISLELISPLLDSVKNDNEDILPIARKLGERVLESCATKLKPYMIEQVKCLGIALDDYSKVVASICQEAAGDDEPNEGFDADENVAAEDKSAIRESSDEAAQVDKGKAEAAVSPDQVDPAIDKSSQLVMNNGNTETGEDDSFAESNALKKQEEGDDTEDQKDPNASSNAEPDSLETQKAVDAEQIPEQAMKEKAGDYNLSTNSTEPSENREVDNEEDTETQPDHKSVTEDVPSSPHEAPSEEAAVPSEKEKGSDVNLSSKALEKESAVVASRSASESLPDESRSKKAGRNKKKDSSNKGTAAFADDEPIKATDGTSDSELKPSRRTGKRGSGGISNENKNPIVVDASRKESGTTSDSEANQKSAKKVDGSTKTDDGSSIKQPEDKKRRGRGKVTSGKDATKSSSKDDDKEMMSTPKTATKSTKDEPPLEETPKTNSKRKRASGKEKGSGAKDFGEDVVGSKIQVWWPKDRRYYKGVVDSFDPAKKKHKVLYIDGDQEVLNLKKEKWEYIEGDFGSDEEQETDQSSHDASSEVGGASSGRSKGGATKFGRKSREGSKADSKSKGTVGKSDDEHIGKLKDHTLKSSGKSVDVVQKTSSKSKNNDSQTPKSTKSKEDDSSTHRASTKSKQDTQKAGKSNQGTPKTASISKGKSSASGGKANANGVGRVKSGSKAKDSEDIKESSSDSEKATESTKRKSPTLSKAQGSETKSGKKRRRGTKS >ONI32896 pep chromosome:Prunus_persica_NCBIv2:G1:34941509:34950324:1 gene:PRUPE_1G392500 transcript:ONI32896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADKELEAQLTEAGNQLLEPPSSVEDLLPLLDSVESCLSKVEQSPTKSMQTALSPSQKALVAEQLLRHSDPDVKVAVASCISEITRITAPDAPYDDDQMKEVFQLIVSSFENLYDKSSRSYAKRTSILETVAKVRSCVVMLDLECDALILEMFQHFLKSIRDYHPENVFSSMETIMTLVLEESEDISLELISPLLDSVKNDNEDILPIARKLGERVLESCATKLKPYMIEQVKCLGIALDDYSKVVASICQEAAGDDEPNEGFDADENVAAEDKSAIRESSDEAAQVDKGKAEAAVSPDQVDPAIDKSSQLVMNNGNTETGEDDSFAESNALKKQEEGDDTEDQKDPNASSNAEPDSLETQKAVDAEQIPEQAMKEKAGDYNLSTNSTEPSENREVDNEEDTETQPDHKSVTEDVPSSPHEAPSEEAAVPSEKEKGSDVNLSSKALEKESAVVASRSASESLPDESRSKKAGRNKKKDSSNKGTAAFADDEPIKATDGTSDSELKPSRRTGKRGSGGISNENKNPIVVDASRKESGTTSDSEANQKSAKKVDGSTKTDDGSSIKQPEDKKRRGRGKVTSGKDATKSSSKDDDKEMMSTPKTATKSTKDEPPLEETPKTNSKRKRASGKEKGSGAKDFGEDVVGSKIQVWWPKDRRYYKGVVDSFDPAKKKHKVLYIDGDQEVLNLKKEKWEYIEGDFGSDEEQETDQSSHDASSEVPLKRKVKINAEEATKAEKMDISPKLGGASSGRSKGGATKFGRKSREGSKADSKSKGTVGKSDDEHIGKLKDHTLKSSGKSVDVVQKTSSKSKNNDSQTPKSTKSKEDDSSTHRASTKSKQDTQKAGKSNQGTPKTASISKGKSSASGGKANANGVGRVKSGSKAKDSEDIKESSSDSEKATESTKRKSPTLSKAQGSETKSGKKRRRGTKS >ONI30276 pep chromosome:Prunus_persica_NCBIv2:G1:25559743:25562795:-1 gene:PRUPE_1G241600 transcript:ONI30276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGSQEPLGQTFCKISHEILCKCCDEGSLHARTMFLFDLLGNYKWGAKVALVLTSFVASYGELRLLMQQYSSSPLAISVAMLKKLPADSSPLKPRFKALSLLVNAIVDVTKCIIKFEKLPLSHVELDDETKAVTKSQIYIAVYWIIRGILICSSQITDPTAMKSEQYSDSTIIASWELLSLVYQLRSIYSDLRQQVEVCHQQTETKLYQKLLDIFKETQVDNQEVLRLLFALRDDLPLKDCSSQAKLGVSDLKNKVVILMISKPELLSIEGSLFLVQQTHNHPHKKNAEDSYRIVWVPIPVSNQWTDAEETIFEYLSNSLPWFSIRQPWLLNSAVVKFIKEAWNYKNEPVMVVLDSQGTVTNSNAIDLLFIWGPKAYPFSASREEELLQEQNWTLQFMMDEIDPLLTKWVEEGRNICIYGSDSIDWIVEFTAKMEIIKSAGVQLEMVYVGKRNSSQQDMRNISATVSYKKLSSALPPMKTHFFWLRLESIRRSKLRLRKPANSSDNVLDEASALLDADDNDKNWAVIGRGSDSMMQDIVRLEGPDLTECLNKFPKWGENVGELGFLGALRHALEPSVLPEPCGHFDVTLPGKEQGEGGVVCGKCKHPMKKFVVYK >ONI30275 pep chromosome:Prunus_persica_NCBIv2:G1:25559743:25563368:-1 gene:PRUPE_1G241600 transcript:ONI30275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIGSDTLPNSVSSLSQEVLIKKLLLSHDPDGRHLDSELLLCAVEDIMLCTSTSQVLDAIEKTHVCKMEFGSQEPLGQTFCKISHEILCKCCDEGSLHARTMFLFDLLGNYKWGAKVALVLTSFVASYGELRLLMQQYSSSPLAISVAMLKKLPADSSPLKPRFKALSLLVNAIVDVTKCIIKFEKLPLSHVELDDETKAVTKSQIYIAVYWIIRGILICSSQITDPTAMKSEQYSDSTIIASWELLSLVYQLRSIYSDLRQQVEVCHQQTETKLYQKLLDIFKETQVDNQEVLRLLFALRDDLPLKDCSSQAKLGVSDLKNKVVILMISKPELLSIEGSLFLVQQTHNHPHKKNAEDSYRIVWVPIPVSNQWTDAEETIFEYLSNSLPWFSIRQPWLLNSAVVKFIKEAWNYKNEPVMVVLDSQGTVTNSNAIDLLFIWGPKAYPFSASREEELLQEQNWTLQFMMDEIDPLLTKWVEEGRNICIYGSDSIDWIVEFTAKMEIIKSAGVQLEMVYVGKRNSSQQDMRNISATVSYKKLSSALPPMKTHFFWLRLESIRRSKLRLRKPANSSDNVLDEASALLDADDNDKNWAVIGRGSDSMMQDIVRLEGPDLTECLNKFPKWGENVGELGFLGALRHALEPSVLPEPCGHFDVTLPGKEQGEGGVVCGKCKHPMKKFVVYK >ONI34485 pep chromosome:Prunus_persica_NCBIv2:G1:40340478:40341263:1 gene:PRUPE_1G484100 transcript:ONI34485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSLNLFSAILLLILLSKGMSQKCSTNDLQISQDKTGVLVQAKPEFEVKVLNACPCLQGNVKLGCDGFQTTEEVNPLSLLKSGNECLLNNGSSLIPFSQISFKYAWDTQFSFKPVSSEINCN >ONI32903 pep chromosome:Prunus_persica_NCBIv2:G1:34965922:34971407:-1 gene:PRUPE_1G392800 transcript:ONI32903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEESVRKFVAAYLKKKGFKQTENAFQEELNKNTNNSSSPISFNSQFDPDVAKHILSFSEFEDGPAKYQDGYAKLRSWTYSSLDLYRHELLRVLYPVFIHCFMDLVAKGHVQEARTFFNSFREDHEMMHLRDLQKLEGVLSPSHLREMEFAHSLRQSKVNIKICQYSYELLLQFLHKSQSTTMLGIINEHINFQVSPGQPSSISDDAESVTLTGSSQDSANQINQKEIHWGLLEDSFEERLEKAGGLLLESEKAEGETKEGDWDENKKKSIEGAKQGSSIKKLKKDKAAGATGKNVRPEATPVATAPRVKAELTLPVIPTEVEQSILEDLRNRVQLSSAALPSVSFYTFINTHNGLNCASISHDGSLVAGGFSDSSLKVWDMARIGQQGVDSLQGENGTTSSEQVLGSNGGKRPYTLFQGHSGPVYSATFNPLGDFILSSSADSTVRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQTGECVRIFIGHRSMVLSLAMSPDGRYMASGDEDGAIMMWDLSSGRCVTPLTGHTSCVWTLAFSGEGSLLASGSADCTVKLWDVTASTKLPKTEENKSGNTSRLRSLKTLPTKCTPVYSLRFSRRNLLFAAGVLSKTV >ONI32902 pep chromosome:Prunus_persica_NCBIv2:G1:34965710:34971399:-1 gene:PRUPE_1G392800 transcript:ONI32902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEESVRKFVAAYLKKKGFKQTENAFQEELNKNTNNSSSPISFNSQFDPDVAKHILSFSEFEDGPAKYQDGYAKLRSWTYSSLDLYRHELLRVLYPVFIHCFMDLVAKGHVQEARTFFNSFREDHEMMHLRDLQKLEGVLSPSHLREMEFAHSLRQSKVNIKICQYSYELLLQFLHKSQSTTMLGIINEHINFQVSPGQPSSISDDAESVTLTGSSQDSANQINQKEIHWGLLEDSFEERLEKAGGLLLESEKAEGETKEGDWDENKKKSIEGAKQGSSIKKLKKDKAAGATGKNVRPEATPVATAPRVKAELTLPVIPTEVEQSILEDLRNRVQLSSAALPSVSFYTFINTHNGLNCASISHDGSLVAGGFSDSSLKVWDMARIGQQGVDSLQGENGTTSSEQVLGSNGGKRPYTLFQGHSGPVYSATFNPLGDFILSSSADSTVRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQTGECVRIFIGHRSMVLSLAMSPDGRYMASGDEDGAIMMWDLSSGRCVTPLTGHTSCVWTLAFSGEGSLLASGSADCTVKLWDVTASTKLPKTEENKSGNTSRLRSLKTLPTKCTPVYSLRFSRRNLLFAAGVLSKTV >ONI35569 pep chromosome:Prunus_persica_NCBIv2:G1:44407555:44415144:1 gene:PRUPE_1G543400 transcript:ONI35569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEMPYGTEEGEGEEVGFPINTHKRLVVVGYALTSKKTKSFLQPKLEGLARNKGILFVAIDHNRPLSDQGPFDIVLHKLSGKEWRQILEDYRQTHPEVTVLDPPDAIQHLHNRQSMLQAVADMNLSDSYGKVGVPRQLVVKRDASSIPDAVANSGLTLPIVAKPLVNDGSAKSHELSLAFDRYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVSKRELSKTAGVYRFPRVSCAAASADDADLDPGIAELPPRPLLERLAKELRRRLGLRLFNLDMIREHGTRDRFYVIDINYFPGYGKMPEYEHIFTDFLLSLVQAKYKKRSG >ONI35570 pep chromosome:Prunus_persica_NCBIv2:G1:44407555:44415144:1 gene:PRUPE_1G543400 transcript:ONI35570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEMPYGTEEGEGEEVGFPINTHKRLVVVGYALTSKKTKSFLQPKLEGLARNKGILFVAIDHNRPLSDQGPFDIVLHKLSGKEWRQILEDYRQTHPEVTVLDPPDAIQHLHNRQSMLQAVADMNLSDSYGKVGVPRQLVVKRDASSIPDAVANSGLTLPIVAKPLVNDGSAKSHELSLAFDRYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVSKRELSKTAGVYRFPRVSCAAASADDADLDPGIAELPPRPLLERLAKELRRRLGLRLFNLDMIREHGTRDRFYVIDINYFPGYGKMPEYEHIFTDFLLSLVQAKYKKRSG >ONI29430 pep chromosome:Prunus_persica_NCBIv2:G1:18956527:18974178:-1 gene:PRUPE_1G197900 transcript:ONI29430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAKPSKQGTDDSETPSNNLWVGNLASDVTDSELMDLFAQYGALDSVTTYSSRSYGFVFFKRVEDSAAAKESLQGALLRGNPIKIEFARPAKPCKNLWVGGISPSVSKEELEEEFLKFGKVEDFKFLRDRNTAFVEYFRLEDASHAMRNMNGKRLGGDQIRVDFLRSQPSRREQWPDYRDGQFLSRNTGPTDSQKRQQYSQSAGGRKGDSQPSNVLWIGYPPSVQIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKDYSGPYPGGKGPRADMLFNEQSLRPLQMDMFGHNRPVMSNNYPGALPPSGILGPNVPMRPLGPQGRFDLSGPELNDLVSIHNYQDGNSKNLMGPNWRRPSPPAPGVLSSPAPGIRPHTRSASNAWDVLDVNQFQRESKRSRIDSPLSMEDPLYPLRKMDDHGLGLDSSYGIGPVIDGGASGPSMNGQGISPAGARVSVGGPPDNDYIWRGTIAKGGTPVCHARCVPIGKGIGNELPEIVNCSARTGLDMLTKHYAEAIGFDIVFFLPDSEDDFASYTEFLRYLGAKNRAGVAKFDDGMTLFLVPPSDFLKNVLKVAGPERLYGVVLKFPQQVPSTVSMHQQMQPMPPSQFIDRQQILSSQAEYSAIPSKEEHILPMDYNRVLHEDSKLSAKPPFPPTSEPSGVQPQDYASSNSAAVSQAGVTLTPELIATLATLLPGNAQSSGPESAKISVSSAARPSFPTFATNKASSPGWKQDQQIFDHTGNALQQLGSQFNPHDQNLSQYQPHPPVPNSSNHSNPLVLGSTQFPDSSVSLPLHAASSSRPLSNFTIPSQGGQVTGSSHLNQQYLAEAPLGTQKGFLAHGTDASGLYSSPVSQHHNNSLTFSGQTYGANSQSQTFAPLVSEKVNTEYPNQMQQLQSALLGAGQSAPDGEADKNHRYQSTLQFAANLLLQLQQQQQQQQQMGSQSGRGSGSQQ >ONI29432 pep chromosome:Prunus_persica_NCBIv2:G1:18964757:18973996:-1 gene:PRUPE_1G197900 transcript:ONI29432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAKPSKQGTDDSETPSNNLWVGNLASDVTDSELMDLFAQYGALDSVTTYSSRSYGFVFFKRVEDSAAAKESLQGALLRGNPIKIEFARPAKPCKNLWVGGISPSVSKEELEEEFLKFGKVEDFKFLRDRNTAFVEYFRLEDASHAMRNMNGKRLGGDQIRVDFLRSQPSRREQWPDYRDGQFLSRNTGPTDSQKRQQYSQSAGGRKGDSQPSNVLWIGYPPSVQIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKDYSGPYPGGKGPRADMLFNEQSLRPLQMDMFGHNRPVMSNNYPGALPPSGILGPNVPMRPLGPQGRFDLSGPELNDLVSIHNYQDGNSKNLMGPNWRRPSPPAPGVLSSPAPGIRPHTRSASNAWDVLDVNQFQRESKRSRIDSPLSMEDPLYPLRKMDDHGLGLDSSYGIGPVIDGGASGPSMNGQGISPAGARVSVGGPPDNDYIWRGTIAKGGTPVCHARCVPIGKGIGNELPEIVNCSARTGLDMLTKHYAEAIGFDIVFFLPDSEDDFASYTEFLRYLGAKNRAGVAKFDDGMTLFLVPPSDFLKNVLKVAGPERLYGVVLKFPQQVPSTVSMHQQMQPMPPSQFIDRQQILSSQAEYSAIPSKEEHILPMDYNRVLHEDSKLSAKPPFPPTSEPSGVQPQDYASSNSAAVSQAGVTLTPELIATLATLLPGNAQSSGPESAKISVSSAARPSFPTFATNKASSPGWKQDQQIFDHTGNALQQLGSQFNPHDQNLSQYQPHPPVPNSSNHSNPLVLGSTQFPDSSVSLPLHAASSSRPLSNFTIPSQGGQVTGSSHLNQQYLAEAPLGTQKGFLAHGTDASGLYSSPVSQHHNNSLTFSGQTYGANSQSQTFAPLVSEKVNTEYPNQMQQLQSALLGAGQSAPDAATTAAAANG >ONI29429 pep chromosome:Prunus_persica_NCBIv2:G1:18959285:18974176:-1 gene:PRUPE_1G197900 transcript:ONI29429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAKPSKQGTDDSETPSNNLWVGNLASDVTDSELMDLFAQYGALDSVTTYSSRSYGFVFFKRVEDSAAAKESLQGALLRGNPIKIEFARPAKPCKNLWVGGISPSVSKEELEEEFLKFGKVEDFKFLRDRNTAFVEYFRLEDASHAMRNMNGKRLGGDQIRVDFLRSQPSRREQWPDYRDGQFLSRNTGPTDSQKRQQYSQSAGGRKGDSQPSNVLWIGYPPSVQIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKDYSGPYPGGKGPRADMLFNEQSLRPLQMDMFGHNRPVMSNNYPGALPPSGILGPNVPMRPLGPQGRFDLSGPELNDLVSIHNYQDGNSKNLMGPNWRRPSPPAPGVLSSPAPGIRPHTRSASNAWDVLDVNQFQRESKRSRIDSPLSMEDPLYPLRKMDDHGLGLDSSYGIGPVIDGGASGPSMNGQGISPAGARVSVGGPPDNDYIWRGTIAKGGTPVCHARCVPIGKGIGNELPEIVNCSARTGLDMLTKHYAEAIGFDIVFFLPDSEDDFASYTEFLRYLGAKNRAGVAKFDDGMTLFLVPPSDFLKNVLKVAGPERLYGVVLKFPQQVPSTVSMHQQMQPMPPSQFIDRQQILSSQAEYSAIPSKEEHILPMDYNRVLHEDSKLSAKPPFPPTSEPSGVQPQDYASSNSAAVSQAGVTLTPELIATLATLLPGNAQSSGPESAKISVSSAARPSFPTFATNKASSPGWKQDQQIFDHTGNALQQLGSQFNPHDQNLSQYQPHPPVPNSSNHSNPLVLGSTQFPDSSVSLPLHAASSSRPLSNFTIPSQGGQVTGSSHLNQQYLAEAPLGTQKGFLAHGTDASGLYSSPVSQHHNNSLTFSGQTYGANSQSQTFAPLVSEKVNTEYPNQMQQLQSALLGAGQSAPDGEADKNHRYQSTLQFAANLLLQLQQQQQQQQQMGSQSGRGSGSQQ >ONI29427 pep chromosome:Prunus_persica_NCBIv2:G1:18956498:18974176:-1 gene:PRUPE_1G197900 transcript:ONI29427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAKPSKQGTDDSETPSNNLWVGNLASDVTDSELMDLFAQYGALDSVTTYSSRSYGFVFFKRVEDSAAAKESLQGALLRGNPIKIEFARPAKPCKNLWVGGISPSVSKEELEEEFLKFGKVEDFKFLRDRNTAFVEYFRLEDASHAMRNMNGKRLGGDQIRVDFLRSQPSRREQWPDYRDGQFLSRNTGPTDSQKRQQYSQSAGGRKGDSQPSNVLWIGYPPSVQIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKDYSGPYPGGKGPRADMLFNEQSLRPLQMDMFGHNRPVMSNNYPGALPPSGILGPNVPMRPLGPQGRFDLSGPELNDLVSIHNYQDGNSKNLMGPNWRRPSPPAPGVLSSPAPGIRPHTRSASNAWDVLDVNQFQRESKRSRIDSPLSMEDPLYPLRKMDDHGLGLDSSYGIGPVIDGGASGPSMNGQGISPAGARVSVGGPPDNDYIWRGTIAKGGTPVCHARCVPIGKGIGNELPEIVNCSARTGLDMLTKHYAEAIGFDIVFFLPDSEDDFASYTEFLRYLGAKNRAGVAKFDDGMTLFLVPPSDFLKNVLKVAGPERLYGVVLKFPQQVPSTVSMHQQMQPMPPSQFIDRQQILSSQAEYSAIPSKEEHILPMDYNRVLHEDSKLSAKPPFPPTSEPSGVQPQDYASSNSAAVSQAGVTLTPELIATLATLLPGNAQSSGPESAKISVSSAARPSFPTFATNKASSPGWKQDQQIFDHTGNALQQLGSQFNPHDQNLSQYQPHPPVPNSSNHSNPLVLGSTQFPDSSVSLPLHAASSSRPLSNFTIPSQGGQVTGSSHLNQQYLAEAPLGTQKGFLAHGTDASGLYSSPVSQHHNNSLTFSGQTYGANSQSQTFAPLVSEKVNTEYPNQMQQLQSALLGAGQSAPDGEADKNHRYQSTLQFAANLLLQLQQQQQQQQQMGSQSGRGSGSQQ >ONI29433 pep chromosome:Prunus_persica_NCBIv2:G1:18962924:18974177:-1 gene:PRUPE_1G197900 transcript:ONI29433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAKPSKQGTDDSETPSNNLWVGNLASDVTDSELMDLFAQYGALDSVTTYSSRSYGFVFFKRVEDSAAAKESLQGALLRGNPIKIEFARPAKPCKNLWVGGISPSVSKEELEEEFLKFGKVEDFKFLRDRNTAFVEYFRLEDASHAMRNMNGKRLGGDQIRVDFLRSQPSRREQWPDYRDGQFLSRNTGPTDSQKRQQYSQSAGGRKGDSQPSNVLWIGYPPSVQIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKDYSGPYPGGKGPRADMLFNEQSLRPLQMDMFGHNRPVMSNNYPGALPPSGILGPNVPMRPLGPQGRFDLSGPELNDLVSIHNYQDGNSKNLMGPNWRRPSPPAPGVLSSPAPGIRPHTRSASNAWDVLDVNQFQRESKRSRIDSPLSMEDPLYPLRKMDDHGLGLDSSYGIGPVIDGGASGPSMNGQGISPAGARVSVGGPPDNDYIWRGTIAKGGTPVCHARCVPIGKGIGNELPEIVNCSARTGLDMLTKHYAEAIGFDIVFFLPDSEDDFASYTEFLRYLGAKNRAGVAKFDDGMTLFLVPPSDFLKNVLKVAGPERLYGVVLKFPQQVPSTVSMHQQMQPMPPSQFIDRQQILSSQAEYSAIPSKEEHILPMDYNRVLHEDSKLSAKPPFPPTSEPSGVQPQDYASSNSAAVSQAGVTLTPELIATLATLLPGNAQSSGPESAKISVSSAARPSFPTFATNKASSPGWKQDQQIFDHTGNALQQLGSQFNPHDQNLSQYQPHPPVPNSSNHSNPLVLGSTQFPDSSVSLPLHAASSSRPLSNFTIPSQGGQVTGSSHLNQQYLAEAPLGTQKGFLAHGTDASGLYSSPVSQHHNNSLTFSGQTYGANSQSQTFAPLVSEKVNTEYPNQMQQLQSALLGAGQSAPDAATTAAAANG >ONI29428 pep chromosome:Prunus_persica_NCBIv2:G1:18962693:18974176:-1 gene:PRUPE_1G197900 transcript:ONI29428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAKPSKQGTDDSETPSNNLWVGNLASDVTDSELMDLFAQYGALDSVTTYSSRSYGFVFFKRVEDSAAAKESLQGALLRGNPIKIEFARPAKPCKNLWVGGISPSVSKEELEEEFLKFGKVEDFKFLRDRNTAFVEYFRLEDASHAMRNMNGKRLGGDQIRVDFLRSQPSRREQWPDYRDGQFLSRNTGPTDSQKRQQYSQSAGGRKGDSQPSNVLWIGYPPSVQIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKDYSGPYPGGKGPRADMLFNEQSLRPLQMDMFGHNRPVMSNNYPGALPPSGILGPNVPMRPLGPQGRFDLSGPELNDLVSIHNYQDGNSKNLMGPNWRRPSPPAPGVLSSPAPGIRPHTRSASNAWDVLDVNQFQRESKRSRIDSPLSMEDPLYPLRKMDDHGLGLDSSYGIGPVIDGGASGPSMNGQGISPAGARVSVGGPPDNDYIWRGTIAKGGTPVCHARCVPIGKGIGNELPEIVNCSARTGLDMLTKHYAEAIGFDIVFFLPDSEDDFASYTEFLRYLGAKNRAGVAKFDDGMTLFLVPPSDFLKNVLKVAGPERLYGVVLKFPQQVPSTVSMHQQMQPMPPSQFIDRQQILSSQAEYSAIPSKEEHILPMDYNRVLHEDSKLSAKPPFPPTSEPSGVQPQDYASSNSAAVSQAGVTLTPELIATLATLLPGNAQSSGPESAKISVSSAARPSFPTFATNKASSPGWKQDQQIFDHTGNALQQLGSQFNPHDQNLSQYQPHPPVPNSSNHSNPLVLGSTQFPDSSVSLPLHAASSSRPLSNFTIPSQGGQVTGSSHLNQQYLAEAPLGTQKGFLAHGTDASGLYSSPVSQHHNNSLTFSGQTYGANSQSQTFAPLVSEKVNTEYPNQMQQLQSALLGAGQSAPDGEADKNHRYQSTLQFAANLLLQLQQQQQQQQQMGSQSGRGSGSQQ >ONI29431 pep chromosome:Prunus_persica_NCBIv2:G1:18959285:18974177:-1 gene:PRUPE_1G197900 transcript:ONI29431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAKPSKQGTDDSETPSNNLWVGNLASDVTDSELMDLFAQYGALDSVTTYSSRSYGFVFFKRVEDSAAAKESLQGALLRGNPIKIEFARPAKPCKNLWVGGISPSVSKEELEEEFLKFGKVEDFKFLRDRNTAFVEYFRLEDASHAMRNMNGKRLGGDQIRVDFLRSQPSRREQWPDYRDGQFLSRNTGPTDSQKRQQYSQSAGGRKGDSQPSNVLWIGYPPSVQIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKDYSGPYPGGKGPRADMLFNEQSLRPLQMDMFGHNRPVMSNNYPGALPPSGILGPNVPMRPLGPQGRFDLSGPELNDLVSIHNYQDGNSKNLMGPNWRRPSPPAPGVLSSPAPGIRPHTRSASNAWDVLDVNQFQRESKRSRIDSPLSMEDPLYPLRKMDDHGLGLDSSYGIGPVIDGGASGPSMNGQGISPAGARVSVGGPPDNDYIWRGTIAKGGTPVCHARCVPIGKGIGNELPEIVNCSARTGLDMLTKHYAEAIGFDIVFFLPDSEDDFASYTEFLRYLGAKNRAGVAKFDDGMTLFLVPPSDFLKNVLKVAGPERLYGVVLKFPQQVPSTVSMHQQMQPMPPSQFIDRQQILSSQAEYSAIPSKEEHILPMDYNRVLHEDSKLSAKPPFPPTSEPSGVQPQDYASSNSAAVSQAGVTLTPELIATLATLLPGNAQSSGPESAKISVSSAARPSFPTFATNKASSPGWKQDQQIFDHTGNALQQLGSQFNPHDQNLSQYQPHPPVPNSSNHSNPLVLGSTQFPDSSVSLPLHAASSSRPLSNFTIPSQGGQVTGSSHLNQQYLAEAPLGTQKGFLAHGTDASGLYSSPVSQHHNNSLTFSGQTYGANSQSQTFAPLVSEKVNTEYPNQMQQLQSALLGAGQSAPDAATTAAAANG >ONI30844 pep chromosome:Prunus_persica_NCBIv2:G1:28178163:28182950:1 gene:PRUPE_1G276600 transcript:ONI30844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPTGKPSLCSGKRSSIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIQEFLGTSSQTIEDLTNQSRLLQTQLSEIKKRLSCWSSPDKITSVEQLGQMEDSVRESLNQIRANKENLQKQQLMSLECTSQFQNGLHIPFSMGAEQQLQPLSWIPTNESRHMVLPEDPNLLPHRDMECSASSSFGSYSGYLGTGKSSEISTSGQENGILNDLSRTAPLRLQLGGQFPYLPYNLNMLTDTKFQPPAEMSPQENPVEYHVNGSFEAPRPEFYPTQHSWASTSGPCAVTMFDEHLYSQSN >ONI30841 pep chromosome:Prunus_persica_NCBIv2:G1:28177961:28182950:1 gene:PRUPE_1G276600 transcript:ONI30841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKRLENTNGRQATYAKRKHGIMKKANELSILCDIDIVLLMFSPTGKPSLCSGKRSSIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIQEFLGTSSQTIEDLTNQSRLLQTQLSEIKKRLSCWSSPDKITSVEQLGQMEDSVRESLNQIRANKENLQKQQLMSLECTSQFQNGLHIPFSMGAEQQLQPLSWIPTNESRHMVLPEDPNLLPHRDMECSASSSFGSYSGYLGTGKSSEISTSGQENGILNDLSRTAPLRLQLGGQFPYLPYNLNMLTDTKFQPPAEMSPQENPVEYHVNGSFEAPRPEFYPTQHSWASTSGPCAVTMFDEHLYSQSN >ONI30843 pep chromosome:Prunus_persica_NCBIv2:G1:28178029:28182950:1 gene:PRUPE_1G276600 transcript:ONI30843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKRLENTNGRQATYAKRKHGIMKKANELSILCDIDIVLLMFSPTGKPSLCSGKRSIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIQEFLGTSSQTIEDLTNQSRLLQTQLSEIKKRLSCWSSPDKITSVEQLGQMEDSVRESLNQIRANKENLQKQQLMSLECTSQFQNGLHIPFSMGAEQQLQPLSWIPTNESRHMVLPEDPNLLPHRDMECSASSSFGSYSGYLGTGKSSEISTSGQENGILNDLSRTAPLRLQLGGQFPYLPYNLNMLTDTKFQPPAEMSPQENPVEYHVNGSFEAPRPEFYPTQHSWASTSGPCAVTMFDEHLYSQSN >ONI30845 pep chromosome:Prunus_persica_NCBIv2:G1:28178163:28182950:1 gene:PRUPE_1G276600 transcript:ONI30845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWKTQERAKRKLESLEALKKTFKKLDHDVNIQEFLGTSSQTIEDLTNQSRLLQTQLSEIKKRLSCWSSPDKITSVEQLGQMEDSVRESLNQIRANKENLQKQQLMSLECTSQFQNGLHIPFSMGAEQQLQPLSWIPTNESRHMVLPEDPNLLPHRDMECSASSSFGSYSGYLGTGKSSEISTSGQENGILNDLSRTAPLRLQLGGQFPYLPYNLNMLTDTKFQPPAEMSPQENPVEYHVNGSFEAPRPEFYPTQHSWASTSGPCAVTMFDEHLYSQSN >ONI30840 pep chromosome:Prunus_persica_NCBIv2:G1:28178408:28182950:1 gene:PRUPE_1G276600 transcript:ONI30840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKRLENTNGRQATYAKRKHGIMKKANELSILCDIDIVLLMFSPTGKPSLCSGKRSSIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIQEFLGTSSQTIEDLTNQSRLLQTQLSEIKKRLSCWSSPDKITSVEQLGQMEDSVRESLNQIRANKENLQKQQLMSLECTSQFQNGLHIPFSMGAEQQLQPLSWIPTNESRHMVLPEDPNLLPHRDMECSASSSFGSYSGYLGTGKSSEISTSGQENGILNDLSRTAPLRLQLGGQFPYLPYNLNMLTDTKFQPPAEMSPQENPVEYHVNGSFEAPRPEFYPTQHSWASTSGPCAVTMFDEHLYSQSN >ONI30842 pep chromosome:Prunus_persica_NCBIv2:G1:28178407:28182950:1 gene:PRUPE_1G276600 transcript:ONI30842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKRLENTNGRQATYAKRKHGIMKKANELSILCDIDIVLLMFSPTGKPSLCSGKRSIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIQEFLGTSSQTIEDLTNQSRLLQTQLSEIKKRLSCWSSPDKITSVEQLGQMEDSVRESLNQIRANKENLQKQQLMSLECTSQFQNGLHIPFSMGAEQQLQPLSWIPTNESRHMVLPEDPNLLPHRDMECSASSSFGSYSGYLGTGKSSEISTSGQENGILNDLSRTAPLRLQLGGQFPYLPYNLNMLTDTKFQPPAEMSPQENPVEYHVNGSFEAPRPEFYPTQHSWASTSGPCAVTMFDEHLYSQSN >ONI32650 pep chromosome:Prunus_persica_NCBIv2:G1:34216786:34218342:-1 gene:PRUPE_1G378600 transcript:ONI32650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGGATHVATARSGSTAGQVGVQIHQTRRLPDFLQSVNLKYVKLGYHYLISNLLTLCFIPLIIVTLIEASQMDLYDIQQLWLHLQYNLVSVIICSAVLVFGLTVYIMTRPRPVYLVDYACYRPPDHLKAPYHRFMEHSRLTQDFDDSSLEFQRKILERSGLGEETYVPEAMHYIPPRPSMAAAREEAEQVMYGALDNLFANTHVKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAADLAKDLLQIHRNTYAIVVSTENITQNWYFGNKKSMLIPNCLFRVGCSAVLLSNKSADWRRAKYKLVHVVRTHRGADDKAFRCVYQEQDDKGKTGVSLSKDLMAIAGGALKTNITTLGPIVLPISEQLLFFSSLVVKKLFNANVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPIHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRIRKGNRVWQIAFGSGFKCNSAVWEALRNVKPSRNGPWEDCIDKYPVKLVS >ONI32193 pep chromosome:Prunus_persica_NCBIv2:G1:32872195:32878080:1 gene:PRUPE_1G353400 transcript:ONI32193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEEGGGIRLSKRFSDKGGGEVDYKTKSGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQIQAQHQRRTEEVSREYAQEQEFFRQAALVSKKDKEKIEMMKAVSFMYVRPPGYNAESAKAAEIADESVREHHNNTSFEDPQTQTQTDAPSTSRPQPPECMPPSGEEAKKPRLKDVFGRPLPTEQEFEILKNAPRMETGVPTRAKPFGVEVRNVKCVRCGAFGHQSGDRECPLKDAIMPNEEGRLKRDDPLTAILAHTDPSEPLKWELKQKPGISPPRGGFKPDDPNQQIVAEDIFDEYGGFLSGDVIPELLTNFSSQPRDKSKKKTKHKKKQSSPMSGEDGLSSSYEDDKRSKKKICKIPRFVGITKGTTAVTGVLIHLKILNLTSTIEMSSADASILLQLGIRIMKNIIEVERSDRSILIHMKIPKLIGIAEGTRAERA >ONI32192 pep chromosome:Prunus_persica_NCBIv2:G1:32872195:32878080:1 gene:PRUPE_1G353400 transcript:ONI32192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEEGGGIRLSKRFSDKGGGEVDYKTKSGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQIQAQHQRRTEEVSREYAQEQEFFRQAALVSKKDKEKIEMMKAVSFMYVRPPGYNAESAKAAEIADESVREHHNNTSFEDPQTQTQTDAPSTSRPQPPECMPPSGEEAKKPRLKDVFGRPLPTEQEFEILKNAPRMETGVPTRAKPFGVEVRNVKCVRCGAFGHQSGDRECPLKDAIMPNEEGRLKRDDPLTAILAHTDPSEPLKWELKQKPGISPPRGGFKPDDPNQQIVAEDIFDEYGGFLSGDVIPELLTNFSSQPRDKSKKKTKHKKKQSSPMSGEDGLSSSYEDDKRSKKKICKVNKKKHGHSESSPSEILEFDRHKVKSRDKHSYSFENSNNEKHQRSTKKRQKHSHSYEDSEICRHYKRDNSSDRCTNSFEDSEPDKHHRDVQRRRKHSFTVGDSYHEKHHRSRKVRQKHSHSYEDSKIDRHCRRDKSRESLNNSSEDSEPDRHLRSIKSRHRHSYSSEDSGTNRQNRNKKSRDRHSYSYVKTEDEKHHRSRNSRHKHSCI >ONI36364 pep chromosome:Prunus_persica_NCBIv2:G1:47330431:47342198:1 gene:PRUPE_1G582800 transcript:ONI36364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKNYRCDFESNEIRKTEESFSESDEESDYDDLKGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI36367 pep chromosome:Prunus_persica_NCBIv2:G1:47330099:47342198:1 gene:PRUPE_1G582800 transcript:ONI36367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI36370 pep chromosome:Prunus_persica_NCBIv2:G1:47330431:47342198:1 gene:PRUPE_1G582800 transcript:ONI36370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI36368 pep chromosome:Prunus_persica_NCBIv2:G1:47330387:47342198:1 gene:PRUPE_1G582800 transcript:ONI36368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI36375 pep chromosome:Prunus_persica_NCBIv2:G1:47330108:47339445:1 gene:PRUPE_1G582800 transcript:ONI36375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKNYRCDFESNEIRKTEESFSESDEESDYDDLKGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAGL >ONI36365 pep chromosome:Prunus_persica_NCBIv2:G1:47329864:47342198:1 gene:PRUPE_1G582800 transcript:ONI36365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKNYRCDFESNEIRKTEESFSESDEESDYDDLKGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI36366 pep chromosome:Prunus_persica_NCBIv2:G1:47330383:47342198:1 gene:PRUPE_1G582800 transcript:ONI36366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKNYRCDFESNEIRKTEESFSESDEESDYDDLKGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI36376 pep chromosome:Prunus_persica_NCBIv2:G1:47330398:47339577:1 gene:PRUPE_1G582800 transcript:ONI36376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKNYRCDFESNEIRKTEESFSESDEESDYDDLKGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAGL >ONI36372 pep chromosome:Prunus_persica_NCBIv2:G1:47330180:47342198:1 gene:PRUPE_1G582800 transcript:ONI36372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI36371 pep chromosome:Prunus_persica_NCBIv2:G1:47330431:47342198:1 gene:PRUPE_1G582800 transcript:ONI36371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI36363 pep chromosome:Prunus_persica_NCBIv2:G1:47330393:47342198:1 gene:PRUPE_1G582800 transcript:ONI36363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKNYRCDFESNEIRKTEESFSESDEESDYDDLKGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI36362 pep chromosome:Prunus_persica_NCBIv2:G1:47330295:47342198:1 gene:PRUPE_1G582800 transcript:ONI36362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKNYRCDFESNEIRKTEESFSESDEESDYDDLKGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI36374 pep chromosome:Prunus_persica_NCBIv2:G1:47330773:47341010:1 gene:PRUPE_1G582800 transcript:ONI36374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKNYRCDFESNEIRKTEESFSESDEESDYDDLKGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAELWAYAI >ONI36373 pep chromosome:Prunus_persica_NCBIv2:G1:47330431:47342198:1 gene:PRUPE_1G582800 transcript:ONI36373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI36369 pep chromosome:Prunus_persica_NCBIv2:G1:47330385:47342198:1 gene:PRUPE_1G582800 transcript:ONI36369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKNKRRKEEICEDYCFACKDGGDVRVCDYKDCLKVYHPQCVGKDDSFLKSKDRWTCNWHSCFICHKTAKFHCFCCPKGVCGICLWDAEFALVKGGEGFCSHCLKLAILIEEKLDVDSDGGKVDFKERNTLEFLFQEYWQIIKEKQGLSAEHVHYAKNLLKSGKEEYMPVSKKKRSKGKLSAMKRKVKAKKIEFIGWGSKSLLSFLTSIGKDTSKELSQNEVTTVVTNYCKENNLFDPQKRKKVIFDAMLQSLLGKKSTSVNRIYNLLTAHFCDNLELTEDDETGSSSEDKDQNFMVTCKRQNKLISDGQPQKKKVVPNVRKSCFASVIAENIKLLYLKRSLVEKLLKQPETFDEKVVGSFVRVKFDPNDYSQKNSHQLLQVKGIKKTSRTGVMNTEVFLQFSNMSKDVPIGKLSDDDFCQEECEDLHQRVKDGLLRRPTVAELELKARRLHEDIMKHWIGRELSLLQKRIDKANEKGWRRELAQYMDKKLLLEMPSEQSRLLNEVPEVITDIENFEPTLENSPSHDKRQHDGLPEALRGSSQTPSSDKRENFEPTPENSPSHDKREHDGLPEALRGSSQILSSGLANGTLYYPKGGIDPADISKFGSALENSSSQDKQENDGSPFSAIKGPSQTPSTDLANGTLCYPNGRTDPAEVQGGIHHGRHRQYVHVEKKSSKVLFPKALEQKSLDFASKGQPDVSQQKPHHFSSGIVGERPSAPEDVQHKVKNQSTSEAEFIELSDDDEDVRGEIVAPAFEDPYSALWHCVSPLGDTRGPFKMSLLKQWNDSSDRELKFKVWREGQTKEEAIFLTDAIRQNFPGT >ONI28248 pep chromosome:Prunus_persica_NCBIv2:G1:10590994:10596402:-1 gene:PRUPE_1G134100 transcript:ONI28248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPTLEMEPVEPQSLKKLSLKSLKRARDLFSPAHGELAPPDPESKRIRTSHKISVEYGGIEPMASQKPPRRAESSALGRGPQGPSSALALPDSRNSSTEGAQNALVVGPSAPRAPNDVGISGRGTLAVSAPGSSERYSTSALMERIPSRWPRPVWHAPWKIYRIWDVGTGTLQLSLTGHIEQIRGLAVSSRHTYMFSAGDDKLVKCWDLEQNKVIRSYHGHLSGVYCLALHPTLDVLLTGGRDSVCRVWDIRSKMQVHALSGHDNTVCSVFTRPTDPQVITGSHDSTIKFWDLRYGKTMSTLTHHKKSVRAMAQHPKENCFASASADNIKKFNLPKGEFLHNMLSQQKTIINAMAVNEDGVMATGGDNGSVWFWDWKSGHNFQQSQTIVQPGSLDSEAAIYALSYDVTGTRLVSCEADKTIKMWKQDENATPETHPLNFRPPKDIRRF >ONI28249 pep chromosome:Prunus_persica_NCBIv2:G1:10591328:10596169:-1 gene:PRUPE_1G134100 transcript:ONI28249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPTLEMEPVEPQSLKKLSLKSLKRARDLFSPAHGELAPPDPESKRIRTSHKISVEYGGIEPMASQKPPRRAESSALGRGPQGPSSALALPDSRNSSTEGAQNALVVGPSAPRAPNDVGISGRGTLAVSAPGSSERYSTSALMERIPSRWPRPVWHAPWKIYRVISGHLGWVRSIAFDPSNKWFCTGSADRTIKIWDVGTGTLQLSLTGHIEQIRGLAVSSRHTYMFSAGDDKLVKCWDLEQNKVIRSYHGHLSGVYCLALHPTLDVLLTGGRDSVCRVWDIRSKMQVHALSGHDNTVCSVFTRPTDPQVITGSHDSTIKFWDLRYGKTMSTLTHHKKSVRAMAQHPKENCFASASADNIKKFNLPKGEFLHNMLSQQKTIINAMAVNEDGVMATGGDNGSVWFWDWKSGHNFQQSQTIVQPGSLDSEAAIYALSYDVTGTRLVSCEADKTIKMWKQDENATPETHPLNFRPPKDIRRF >ONI31337 pep chromosome:Prunus_persica_NCBIv2:G1:29999629:30004762:1 gene:PRUPE_1G307200 transcript:ONI31337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISQSSYSSSSSSVNSASVATADPNVISSRRSADSSQTLASEPSRRWRDIFWLGVFLIQLVLMGCALTVLGLNRFKKTDRLNIDRYTQRFLENQRGLTENYWPLYALAGGVGTVLGWTWLLFLSSQANHMMKVAVHILTTYLAVISVLLFWMEQFFWGVAFAIGAVLQFLYVISVIDRLPFTMLVLQAAVKMVWSLREVMRVAYAFMLIMLLWMGIWSFGAAGVVASSIGDGGRWWLLVVLSISLFWTGAVLCNTVHVIVSGMVFLVLIHGGREASSMPPNSLMKSLRYAVTTSFGSICYGSLFTAAIRTLRWKIAVSGKSFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGTLLGGLITGTCSGIWTWIKYRDRVVMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIHRWDAEFFHQMSEMLHQRLQHRSSRAREVLTHHRFDNHTQETLPA >ONI31336 pep chromosome:Prunus_persica_NCBIv2:G1:29999629:30004762:1 gene:PRUPE_1G307200 transcript:ONI31336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISQSSYSSSSSSVNSASVATADPNVISSRRSADSSQTLASEPSRRWRDIFWLGVFLIQLVLMGCALTVLGLNRFKKTDRLNIDRYTQRFLENQRGLTENYWPLYALAGGVGTVLGWTWLLFLSSQANHMMKVAVHILTTYLAVISVLLFWMEQFFWGVAFAIGAVLQFLYVISVIDRLPFTMLVLQAAVKMVWSLREVMRVAYAFMLIMLLWMGIWSFGAAGVVASSIGDGGRWWLLVVLSISLFWTGAVLCNTVHVIVSGMVFLVLIHGGREASSMPPNSLMKSLRYAVTTSFGSICYGSLFTAAIRTLRWKIRGLRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVSGKSFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGTLLGGLITGTCSGIWTWIKYRDRVVMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIHRWDAEFFHQMSEMLHQRLQHRSSRAREVLTHHRFDNHTQETLPA >ONI31338 pep chromosome:Prunus_persica_NCBIv2:G1:30001730:30004762:1 gene:PRUPE_1G307200 transcript:ONI31338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFLTLLLINRLPFTMLVLQAAVKMVWSLREVMRVAYAFMLIMLLWMGIWSFGAAGVVASSIGDGGRWWLLVVLSISLFWTGAVLCNTVHVIVSGMVFLVLIHGGREASSMPPNSLMKSLRYAVTTSFGSICYGSLFTAAIRTLRWKIRGLRSKIGNNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVSGKSFNHSARDAWELFQSTGVEALVAYDCSGAVLLMGTLLGGLITGTCSGIWTWIKYRDRVVMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIHRWDAEFFHQMSEMLHQRLQHRSSRAREVLTHHRFDNHTQETLPA >ONI36087 pep chromosome:Prunus_persica_NCBIv2:G1:46407122:46409178:1 gene:PRUPE_1G569200 transcript:ONI36087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKREEMSQSQLQNCSSRTVKPKLEEKSTTTMEKTEDDVTLTHNNANDKVRAIFVVENASLTKGFVRKRWKILDSEEDADFLLKQKKDLNDFRPGRVYEALRAILDSKLNKAGMVGAVYVKTDQGVLFEIKPHVRIPRTCKRFCGVILELLGHKCIRDKDTNEILMRVVEEPVTRHLPVNSRVVGLSYSSEKLVDIDEYVNSGSDELNLVFVVGAMVHGKISKEYTDDFISVSNYPLSAQYCIGLICESLEHKWKIF >ONI27302 pep chromosome:Prunus_persica_NCBIv2:G1:5632300:5639489:-1 gene:PRUPE_1G078300 transcript:ONI27302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKILVFSIFLALLFSRITADPPLPNDGASASAPEVAGSSLKLKLELLQLKVSLLESSLDEKNRELKEKDESIRQMEKIIQEKSNSIALIQSGIESVQGRDSLDVVELTDKSHVQAGGLEKQVKRLREDIIMQNKRKDELETRASIAEQKIRELSLKLENLQKVSDGQKIGIRRTERDLQVAEEEMMKEKFGISSISRDLTEAHGGWLLHTFAVHIGNFQSYIVTYWNELGRPALDLGIEKALEIKTQVEVWAELNIESIRTEHCRKCHVELSFQFQDVYNKWIPSLKKQSFAFIACLKPHIQSIATNTVDVYHSSKRSIAPLVFKVQKLADPYIQEAKKFTDPYIDQVAMVTRPHFDKVSVVLQPCAEKVIYAYGQFIRTATFYHHEVEEMLKGNEFTQSLASMEVAWFAAAALLALPAVFLFKLYSAIFRKKTKKRSYSSQENHTRRRLKRAYPAK >ONI27298 pep chromosome:Prunus_persica_NCBIv2:G1:5632234:5639503:-1 gene:PRUPE_1G078300 transcript:ONI27298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKILVFSIFLALLFSRITADPPLPNDGASASAPEVAESSLDEKNRELKEKDESIRQMEKIIQEKSNSIALIQSGIESVQGRDSLDVVELTDKSHVQAGGLEKQVKRLREDIIMQNKRKDELETRASIAEQKIRELSLKLENLQKVSDGQKIGIRRTERDLQVAEEEMMKEKFGISSISRDLTEAHGGWLLHTFAVHIGNFQSYIVTYWNELGRPALDLGIEKALEIKTQVEVWAELNIESIRTKWIPSLKKQSFAFIACLKPHIQSIATNTVDVYHSSKRSIAPLVFKVQKLADPYIQEAKKFTDPYIDQVAMVTRPHFDKVSVVLQPCAEKVIYAYGQFIRTATFYHHEVEEMLKGNEFTQSLASMEVAWFAAAALLALPAVFLFKLYSAIFRKKTKKRSYSSQENHTRRRLKRAYPAK >ONI27300 pep chromosome:Prunus_persica_NCBIv2:G1:5632316:5639489:-1 gene:PRUPE_1G078300 transcript:ONI27300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKILVFSIFLALLFSRITADPPLPNDGASASAPEVAGSSLKLKLELLQLKVSLLESSLDEKNRELKEKDESIRQMEKIIQEKSNSIALIQSGIESVQGRDSLDVVELTDKSHVQAGGLEKQVKRLREDIIMQNKRKDELETRASIAEQKIRELSLKLENLQKVSDGQKIGIRRTERDLQVAEEEMMKEKFGISSISRDLTEAHGGWLLHTFAVHIGNFQSYIVTYWNELGRPALDLGIEKALEIKTQVEVWAELNIESIRTKWIPSLKKQSFAFIACLKPHIQSIATNTVDVYHSSKRSIAPLVFKVQKLADPYIQVAMVTRPHFDKVSVVLQPCAEKVIYAYGQFIRTATFYHHEVEEMLKGNEFTQSLASMEVAWFAAAALLALPAVFLFKLYSAIFRKKTKKRSYSSQENHTRRRLKRAYPAK >ONI27299 pep chromosome:Prunus_persica_NCBIv2:G1:5632313:5639556:-1 gene:PRUPE_1G078300 transcript:ONI27299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKILVFSIFLALLFSRITADPPLPNDGASASAPEVAGSSLKLKLELLQLKVSLLESSLDEKNRELKEKDESIRQMEKIIQEKSNSIALIQSGIESVQAGGLEKQVKRLREDIIMQNKRKDELETRASIAEQKIRELSLKLENLQKVSDGQKIGIRRTERDLQVAEEEMMKEKFGISSISRDLTEAHGGWLLHTFAVHIGNFQSYIVTYWNELGRPALDLGIEKALEIKTQVEVWAELNIESIRTKWIPSLKKQSFAFIACLKPHIQSIATNTVDVYHSSKRSIAPLVFKVQKLADPYIQEAKKFTDPYIDQVAMVTRPHFDKVSVVLQPCAEKVIYAYGQFIRTATFYHHEVEEMLKGNEFTQSLASMEVAWFAAAALLALPAVFLFKLYSAIFRKKTKKRSYSSQENHTRRRLKRAYPAK >ONI27301 pep chromosome:Prunus_persica_NCBIv2:G1:5632246:5639555:-1 gene:PRUPE_1G078300 transcript:ONI27301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKILVFSIFLALLFSRITADPPLPNDGASASAPEVAGSSLKLKLELLQLKVSLLESSLDEKNRELKEKDESIRQMEKIIQEKSNSIALIQSGIESVQGRDSLDVVELTDKSHVQAGGLEKQVKRLREDIIMQNKRKDELETRASIAEQKIRELSLKLENLQKVSDGQKIGIRRTERDLQVAEEEMMKEKFGISSISRDLTEAHGGWLLHTFAVHIGNFQSYIVTYWNELGRPALDLGIEKALEIKTQVEVWAELNIESIRTKWIPSLKKQSFAFIACLKPHIQSIATNTVDVYHSSKRSIAPLVFKVQKLADPYIQEAKKFTDPYIDQVAMVTRPHFDKVSVVLQPCAEKVIYAYGQFIRTATFYHHEVEEMLKGNEFTQSLASMEVAWFAAAALLALPAVFLFKLYSAIFRKKTKKRSYSSQENHTRRRLKRAYPAK >ONI36047 pep chromosome:Prunus_persica_NCBIv2:G1:46257110:46270072:1 gene:PRUPE_1G566800 transcript:ONI36047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKISVTHLKASPSASTSGFSWHGNQRRFGYCARNSSQFNKPRFKIVGKSLGDRWKLNEIDANAVQEKLNSWLLKTQNFLNEVTSPLVRTSQTRKPVTRDAFETQDMEDIFMAEQTINNRTPNGVLSLAAIVSIEQFSRMNGLTGQKMQRIFKALVSESTYNDARNLVEYCCFRFLSRDNSDIHPSLKEPAFQRLIFITMLAWENPYQEDLANGSEKASFQSKLVREEAFVRVAPAISGVADRSTAHNLFKALAGDEQGISLSLWLTYVDELIKVHEGRKSYQTRQSPDLSEERILCIGSSRKRPVLKWENNMAWPGKVTLTDKAIYFEAVGISGQKDSIRLDLTKHGLRVEKAKVGPFGSDLFDSAVSISYGPKSEAWVLEFVDLGGEMRRDVWHAFISEIIALHKFIRDYGPEEVDESLSHVYGAHKGKERAMNSAINSIARLQALQFMRKLLDDPTKLVQFTYLQYAPYGDIVSQTLAVNYWGGPLISKFLEVDNPPAQGARASNEMIESSNHVFDIDGSVYLQKWKRSPCWASSASASFWKSTSTRQGLVLSKNLVVADAALVERATRTCKQKWQAAETTQATIDAATLKGIPSNIDLFKELLFPLTITATNFEKLRRWEEPHLTVSFLAFVYTVIFRNLLSYAFPIALMILAAVMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIEKIIAVKDGMRDVESYLQNLNVTLLKIHTIILSGQPQITTEVALVLLSSATILLIFPFKYVLAFLIFDLFTRELEFRREMVTRFMNFLKERWDTVPAAPVVVLPFGSGAPIPEPVRKENKDASKSERSQSSSSAV >ONI36051 pep chromosome:Prunus_persica_NCBIv2:G1:46257110:46266679:1 gene:PRUPE_1G566800 transcript:ONI36051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKISVTHLKASPSASTSGFSWHGNQRRFGYCARNSSQFNKPRFKIVGKSLGDRWKLNEIDANAVQEKLNSWLLKTQNFLNEVTSPLVRTSQTRKPVTRDAFETQDMEDIFMAEQTINNRTPNGVLSLAAIVSIEQFSRMNGLTGQKMQRIFKALVSESTYNDARNLVEYCCFRFLSRDNSDIHPSLKSKLVREEAFVRVAPAISGVADRSTAHNLFKALAGDEQGISLSLWLTYVDELIKVHEGRKSYQTRQSPDLSEERILCIGSSRKRPVLKWENNMAWPGKVTLTDKAIYFEAVGISGQKDSIRLDLTKHGLRVEKAKVGPFGSDLFDSAVSISYGPKSEAWVLEFVDLGGEMRRDVWHAFISEIIALHKFIRDYGPEEVDESLSHVYGAHKGKERAMNSAINSIARLQALQFMRKLLDDPTKLVQFTYLQYAPYGDIVSQTLAVNYWGGPLISKFLEVDNPPAQGARASNEMIESSNHVFDIDGSVYLQKWKRSPCWASSASASFWKSTSTRQGLVLSKNLVVADAALVERATRTCKQKWQAAETTQATIDAATLKGIPSNIDLFKISLIARTLMGLI >ONI36052 pep chromosome:Prunus_persica_NCBIv2:G1:46257356:46270072:1 gene:PRUPE_1G566800 transcript:ONI36052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIFMAEQTINNRTPNGVLSLAAIVSIEQFSRMNGLTGQKMQRIFKALVSESTYNDARNLVEYCCFRFLSRDNSDIHPSLKEPAFQRLIFITMLAWENPYQEDLANGSEKASFQSKLVREEAFVRVAPAISGVADRSTAHNLFKALAGDEQGISLSLWLTYVDELIKVHEGRKSYQTRQSPDLSEERILCIGSSRKRPVLKWENNMAWPGKVTLTDKAIYFEAVGISGQKDSIRLDLTKHGLRVEKAKVGPFGSDLFDSAVSISYGPKSEAWVLEFVDLGGEMRRDVWHAFISEIIALHKFIRDYGPEEVDESLSHVYGAHKGKERAMNSAINSIARLQALQFMRKLLDDPTKLVQFTYLQYAPYGDIVSQTLAVNYWGGPLISKFLEVDNPPAQGARASNEMIESSNHVFDIDGSVYLQKWKRSPCWASSASASFWKSTSTRQGLVLSKNLVVADAALVERATRTCKQKWQAAETTQATIDAATLKGIPSNIDLFKELLFPLTITATNFEKLRRWEEPHLTVSFLAFVYTVIFRNLLSYAFPIALMILAAVMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIEKIIAVKDGMRDVESYLQNLNVTLLKIHTIILSGQPQITTEVALVLLSSATILLIFPFKYVLAFLIFDLFTRELEFRREMVTRFMNFLKERWDTVPAAPVVVLPFGSGAPIPEPVRKENKDASKSERSQSSSSAV >ONI36056 pep chromosome:Prunus_persica_NCBIv2:G1:46259423:46270072:1 gene:PRUPE_1G566800 transcript:ONI36056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLTGQKMQRIFKALVSESTYNDARNLVEYCCFRFLSRDNSDIHPSLKSKLVREEAFVRVAPAISGVADRSTAHNLFKALAGDEQGISLSLWLTYVDELIKVHEGRKSYQTRQSPDLSEERILCIGSSRKRPVLKWENNMAWPGKVTLTDKAIYFEAVGISGQKDSIRLDLTKHGLRVEKAKVGPFGSDLFDSAVSISYGPKSEAWVLEFVDLGGEMRRDVWHAFISEIIALHKFIRDYGPEEVDESLSHVYGAHKGKERAMNSAINSIARLQALQFMRKLLDDPTKLVQFTYLQYAPYGDIVSQTLAVNYWGGPLISKFLEVDNPPAQGARASNEMIESSNHVFDIDGSVYLQKWKRSPCWASSASASFWKSTSTRQGLVLSKNLVVADAALVERATRTCKQKWQAAETTQATIDAATLKGIPSNIDLFKELLFPLTITATNFEKLRRWEEPHLTVSFLAFVYTVIFRNLLSYAFPIALMILAAVMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIEKIIAVKDGMRDVESYLQNLNVTLLKIHTIILSGQPQITTEVALVLLSSATILLIFPFKYVLAFLIFDLFTRELEFRREMVTRFMNFLKERWDTVPAAPVVVLPFGSGAPIPEPVRKENKDASKSERSQSSSSAV >ONI36050 pep chromosome:Prunus_persica_NCBIv2:G1:46257085:46266904:1 gene:PRUPE_1G566800 transcript:ONI36050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKISVTHLKASPSASTSGFSWHGNQRRFGYCARNSSQFNKPRFKIVGKSLGDRWKLNEIDANAVQEKLNSWLLKTQNFLNEVTSPLVRTSQTRKPVTRDAFETQDMEDIFMAEQTINNRTPNGVLSLAAIVSIEQFSRMNGLTGQKMQRIFKALVSESTYNDARNLVEYCCFRFLSRDNSDIHPSLKEPAFQRLIFITMLAWENPYQEDLANGSEKASFQSKLVREEAFVRVAPAISGVADRSTAHNLFKALAGDEQGISLSLWLTYVDELIKVHEGRKSYQTRQSPDLSEERILCIGSSRKRPVLKWENNMAWPGKVTLTDKAIYFEAVGISGQKDSIRLDLTKHGLRVEKAKVGPFGSDLFDSAVSISYGPKSEAWVLEFVDLGGEMRRDVWHAFISEIIALHKFIRDYGPEEVDESLSHVYGAHKGKERAMNSAINSIARLQALQFMRKLLDDPTKLVQFTYLQYAPYGDIVSQTLAVNYWGGPLISKFLEVDNPPAQGARASNEMIESSNHVFDIDGSVYLQKWKRSPCWASSASASFWKSTSTRQGLVLSKNLVVADAALVERATRTCKQKWQAAETTQATIDAATLKGIPSNIDLFKISLIARTLMGLI >ONI36048 pep chromosome:Prunus_persica_NCBIv2:G1:46257085:46270289:1 gene:PRUPE_1G566800 transcript:ONI36048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKISVTHLKASPSASTSGFSWHGNQRRFGYCARNSSQFNKPRFKIVGKSLGDRWKLNEIDANAVQEKLNSWLLKTQNFLNEVTSPLVRTSQTRKPVTRDAFETQDMEDIFMAEQTINNRTPNGVLSLAAIVSIEQFSRMNGLTGQKMQRIFKALVSESTYNDARNLVEYCCFRFLSRDNSDIHPSLKEPAFQRLIFITMLAWENPYQEDLANGSEKASFQSKLVREEAFVRVAPAISGVADRSTAHNLFKALAGDEQGISLSLWLTYVDELIKVHEGRKSYQTRQSPDLSEERILCIGSSRKRPVLKWENNMAWPGKVTLTDKAIYFEAVGISGQKDSIRLDLTKHGLRVEKAKVGPFGSDLFDSAVSISYGPKSEAWVLEFVDLGGEMRRDVWHAFISEIIALHKFIRDYGPEEVDESLSHVYGAHKGKERAMNSAINSIARLQALQFMRKLLDDPTKLVQFTYLQYAPYGDIVSQTLAVNYWGGPLISKFLEVDNPPAQGARASNEMIESSNHVFDIDGSVYLQKWKRSPCWASSASASFWKSTSTRQGLVLSKNLVVADAALVERATRTCKQKWQAAETTQATIDAATLKGIPSNIDLFKELLFPLTITATNFEKLRRWEEPHLTVSFLAFVYTVIFRNLLSYAFPIALMILAAVMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIEKIIAVKDGMRDVESYLQNLNVTLLKIHTIILSGQPQITTEVALVLLSSATILLIFPFKYVLAFLIFDLFTRELEFRREMVTRFMNFLKERWDTVPAAPVVVLPFGSGAPIPEPVRKENKDASKSERSQSSSSAV >ONI36053 pep chromosome:Prunus_persica_NCBIv2:G1:46257831:46270158:1 gene:PRUPE_1G566800 transcript:ONI36053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIFMAEQTINNRTPNGVLSLAAIVSIEQFSRMNGLTGQKMQRIFKALVSESTYNDARNLVEYCCFRFLSRDNSDIHPSLKEPAFQRLIFITMLAWENPYQEDLANGSEKASFQSKLVREEAFVRVAPAISGVADRSTAHNLFKALAGDEQGISLSLWLTYVDELIKVHEGRKSYQTRQSPDLSEERILCIGSSRKRPVLKWENNMAWPGKVTLTDKAIYFEAVGISGQKDSIRLDLTKHGLRVEKAKVGPFGSDLFDSAVSISYGPKSEAWVLEFVDLGGEMRRDVWHAFISEIIALHKFIRDYGPEEVDESLSHVYGAHKGKERAMNSAINSIARLQALQFMRKLLDDPTKLVQFTYLQYAPYGDIVSQTLAVNYWGGPLISKFLEVDNPPAQGARASNEMIESSNHVFDIDGSVYLQKWKRSPCWASSASASFWKSTSTRQGLVLSKNLVVADAALVERATRTCKQKWQAAETTQATIDAATLKGIPSNIDLFKELLFPLTITATNFEKLRRWEEPHLTVSFLAFVYTVIFRNLLSYAFPIALMILAAVMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIEKIIAVKDGMRDVESYLQNLNVTLLKIHTIILSGQPQITTEVALVLLSSATILLIFPFKYVLAFLIFDLFTRELEFRREMVTRFMNFLKERWDTVPAAPVVVLPFGSGAPIPEPVRKENKDASKSERSQSSSSAV >ONI36055 pep chromosome:Prunus_persica_NCBIv2:G1:46259423:46270072:1 gene:PRUPE_1G566800 transcript:ONI36055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLTGQKMQRIFKALVSESTYNDARNLVEYCCFRFLSRDNSDIHPSLKEPAFQRLIFITMLAWENPYQEDLANGSEKASFQSKLVREEAFVRVAPAISGVADRSTAHNLFKALAGDEQGISLSLWLTYVDELIKVHEGRKSYQTRQSPDLSEERILCIGSSRKRPVLKWENNMAWPGKVTLTDKAIYFEAVGISGQKDSIRLDLTKHGLRVEKAKVGPFGSDLFDSAVSISYGPKSEAWVLEFVDLGGEMRRDVWHAFISEIIALHKFIRDYGPEEVDESLSHVYGAHKGKERAMNSAINSIARLQALQFMRKLLDDPTKLVQFTYLQYAPYGDIVSQTLAVNYWGGPLISKFLEVDNPPAQGARASNEMIESSNHVFDIDGSVYLQKWKRSPCWASSASASFWKSTSTRQGLVLSKNLVVADAALVERATRTCKQKWQAAETTQATIDAATLKGIPSNIDLFKELLFPLTITATNFEKLRRWEEPHLTVSFLAFVYTVIFRNLLSYAFPIALMILAAVMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIEKIIAVKDGMRDVESYLQNLNVTLLKIHTIILSGQPQITTEVALVLLSSATILLIFPFKYVLAFLIFDLFTRELEFRREMVTRFMNFLKERWDTVPAAPVVVLPFGSGAPIPEPVRKENKDASKSERSQSSSSAV >ONI36054 pep chromosome:Prunus_persica_NCBIv2:G1:46257356:46270072:1 gene:PRUPE_1G566800 transcript:ONI36054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIFMAEQTINNRTPNGVLSLAAIVSIEQFSRMNGLTGQKMQRIFKALVSESTYNDARNLVEYCCFRFLSRDNSDIHPSLKSKLVREEAFVRVAPAISGVADRSTAHNLFKALAGDEQGISLSLWLTYVDELIKVHEGRKSYQTRQSPDLSEERILCIGSSRKRPVLKWENNMAWPGKVTLTDKAIYFEAVGISGQKDSIRLDLTKHGLRVEKAKVGPFGSDLFDSAVSISYGPKSEAWVLEFVDLGGEMRRDVWHAFISEIIALHKFIRDYGPEEVDESLSHVYGAHKGKERAMNSAINSIARLQALQFMRKLLDDPTKLVQFTYLQYAPYGDIVSQTLAVNYWGGPLISKFLEVDNPPAQGARASNEMIESSNHVFDIDGSVYLQKWKRSPCWASSASASFWKSTSTRQGLVLSKNLVVADAALVERATRTCKQKWQAAETTQATIDAATLKGIPSNIDLFKELLFPLTITATNFEKLRRWEEPHLTVSFLAFVYTVIFRNLLSYAFPIALMILAAVMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIEKIIAVKDGMRDVESYLQNLNVTLLKIHTIILSGQPQITTEVALVLLSSATILLIFPFKYVLAFLIFDLFTRELEFRREMVTRFMNFLKERWDTVPAAPVVVLPFGSGAPIPEPVRKENKDASKSERSQSSSSAV >ONI36049 pep chromosome:Prunus_persica_NCBIv2:G1:46257110:46270100:1 gene:PRUPE_1G566800 transcript:ONI36049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKISVTHLKASPSASTSGFSWHGNQRRFGYCARNSSQFNKPRFKIVGKSLGDRWKLNEIDANAVQEKLNSWLLKTQNFLNEVTSPLVRTSQTRKPVTRDAFETQDMEDIFMAEQTINNRTPNGVLSLAAIVSIEQFSRMNGLTGQKMQRIFKALVSESTYNDARNLVEYCCFRFLSRDNSDIHPSLKSKLVREEAFVRVAPAISGVADRSTAHNLFKALAGDEQGISLSLWLTYVDELIKVHEGRKSYQTRQSPDLSEERILCIGSSRKRPVLKWENNMAWPGKVTLTDKAIYFEAVGISGQKDSIRLDLTKHGLRVEKAKVGPFGSDLFDSAVSISYGPKSEAWVLEFVDLGGEMRRDVWHAFISEIIALHKFIRDYGPEEVDESLSHVYGAHKGKERAMNSAINSIARLQALQFMRKLLDDPTKLVQFTYLQYAPYGDIVSQTLAVNYWGGPLISKFLEVDNPPAQGARASNEMIESSNHVFDIDGSVYLQKWKRSPCWASSASASFWKSTSTRQGLVLSKNLVVADAALVERATRTCKQKWQAAETTQATIDAATLKGIPSNIDLFKELLFPLTITATNFEKLRRWEEPHLTVSFLAFVYTVIFRNLLSYAFPIALMILAAVMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIEKIIAVKDGMRDVESYLQNLNVTLLKIHTIILSGQPQITTEVALVLLSSATILLIFPFKYVLAFLIFDLFTRELEFRREMVTRFMNFLKERWDTVPAAPVVVLPFGSGAPIPEPVRKENKDASKSERSQSSSSAV >ONI36057 pep chromosome:Prunus_persica_NCBIv2:G1:46261836:46270180:1 gene:PRUPE_1G566800 transcript:ONI36057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPGKVTLTDKAIYFEAVGISGQKDSIRLDLTKHGLRVEKAKVGPFGSDLFDSAVSISYGPKSEAWVLEFVDLGGEMRRDVWHAFISEIIALHKFIRDYGPEEVDESLSHVYGAHKGKERAMNSAINSIARLQALQFMRKLLDDPTKLVQFTYLQYAPYGDIVSQTLAVNYWGGPLISKFLEVDNPPAQGARASNEMIESSNHVFDIDGSVYLQKWKRSPCWASSASASFWKSTSTRQGLVLSKNLVVADAALVERATRTCKQKWQAAETTQATIDAATLKGIPSNIDLFKELLFPLTITATNFEKLRRWEEPHLTVSFLAFVYTVIFRNLLSYAFPIALMILAAVMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIEKIIAVKDGMRDVESYLQNLNVTLLKIHTIILSGQPQITTEVALVLLSSATILLIFPFKYVLAFLIFDLFTRELEFRREMVTRFMNFLKERWDTVPAAPVVVLPFGSGAPIPEPVRKENKDASKSERSQSSSSAV >ONI34160 pep chromosome:Prunus_persica_NCBIv2:G1:38860575:38865492:-1 gene:PRUPE_1G466000 transcript:ONI34160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRLKEDEKIERTIRTLLKHPQNKRCINCNCLGPQYVCTTFLTFVCTNCSGVHREFTHRVKSVSMAKFNAEEVSALQAGGNERARQIYFKEWDPQYHAYPDGSNLHRLRDFIKHVYVNRKYTGERSVYTGERSMNKLSRLRLSNEESDEGRKVGSYHGGSRSFHDDDMHERSYSQGMSPGERGTDRSLRYYYDERRSPRYSQENVRSGGVKRNPLRFEIVDNRIREEKTRRNGSSSGEFKVQSRATENKKNMERSRSLVARTTEEKLAQNVPPLQIGEISTENQKNADGSAPNQKIKSANGQDGNETENKIVSLIDFNTDSDPPHAAANSQSQQTPPPNNDNNWASFDSSSKEKASQVPNPDPLESLLLELSAPSSVPATNASETPRNDVAPSTLCISNMPAGGAAPAAPVEQMPTLLDALTASTSTSTSTAVPEQPANVGTLLALPPPSGGDTTLKVNNGQQPPSMQLSFTADSGSQHINTPVRASNGESRTLALAPNIQRSLSIPVEKSTQSSLKPAEDTGSRAGSEYFPAETRASMRKELPVDLFSARYSSVPAQASGWHTAPAPGMGYSMQYYPNTTPAPAFPAPAKPTNPFDLNEEKSVVHSTHFPSMAPLQGALHHVSAPPGLMHASSLPTHFSQLKTPQSPTNESMMPSHSPSFATAFSPSAYMGQQLQNNYVRPQGVGGFGRGEAVFGALSTTQQPFQQPSIRYPAPSNSETFSSKRGNPFG >ONI34162 pep chromosome:Prunus_persica_NCBIv2:G1:38860575:38865492:-1 gene:PRUPE_1G466000 transcript:ONI34162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRLKEDEKIERTIRTLLKHPQNKRCINCNCLGPQYVCTTFLTFVCTNCSGVHREFTHRVKSVSMAKFNAEEVSALQAGGNERARQIYFKEWDPQYHAYPDGSNLHRLRDFIKHVYVNRKYTGERSVYTGERSMNKLSRLRLSNEESDEGRKVGSYHGGSRSFHDDDMHERSYSQGMSPGERGTDRSLRYYYDERRSPRYSQENVRSGGVKRNPLRFEIVDNRIREEKTRRNGSSSGEFKVQSRATENKKNMERSRSLVARTTEEKLAQNVPPLQIGEISTENQKNADGSAPNQKIKSANGQDGNETENKIVSLIDFNTDSDPPHAAANSQSQQTPPPNNDNNWASFDSSSKEKASQVPNPDPLESLLLELSAPSSVPATNASETPRNDVAPSTLCISNMPAGGAAPAAPVEQMPTLLDALTASTSTSTSTAVPEQPANVGTLLALPPPSGGDTTLKVNNGQQPPSMQLSFTADSGSQHINTPVRASNGESRTLALAPNIQRSLSIPVEKSTQSSLKPAEDTGSRAGSEYFPAETRASMRKELPVVSNCLMLFHISFFCKKFLSHYLILNSLQDLFSARYSSVPAQASGWHTAPAPGMGYSMQYYPNTTPAPAFPAPAKPTNPFDLNEEKSVVHSTHFPSMAPLQGALHHVSAPPGLMHASSLPTHFSQLKTPQSPTNESMMPSHSPSFATAFSPSAYMGQQLQNNYVRPQGVGGFGRGEAVFGALSTTQQPFQQPSIRYPAPSNSETFSSKRGNPFG >ONI34161 pep chromosome:Prunus_persica_NCBIv2:G1:38860875:38864830:-1 gene:PRUPE_1G466000 transcript:ONI34161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRLKEDEKIERTIRTLLKHPQNKRCINCNCLGPQYVCTTFLTFVCTNCSGVHREFTHRVKSVSMAKFNAEEVSALQAGGNERARQIYFKEWDPQYHAYPDGSNLHRLRDFIKHVYVNRKYTGERSVYTGERSMNKLSRLRLSNEESDEGRKVGSYHGGSRSFHDDDMHERSYSQGMSPGERGTDRSLRYYYDERRSPRYSQENVRSGGVKRNPLRFEIVDNRIREEKTRRNGSSSGEFKVQSRATENKKNMERSRSLVARTTEEKLAQNVPPLQIGEISTENQKNADGSAPNQKIKSANGQDGNETENKIVSLIDFNTDSDPPHAAANSQSQQTPPPNNDNNWASFDSSSKEKASQVPNPDPLESLLLELSAPSSVPATNASETPRNDVAPSTLCISNMPAGGAAPAAPVEQMPTLLDALTASTSTSTSTAVPEQPANVGTLLALPPPSGGDTTLKVNNGQQPPSMQLSFTADSGSQHINTPVRASNGESRTLALAPNIQRSLSIPVEKSTQSSLKPAEDTGSRAGSEYFPAETRASMRKELPVDLFSARYSSVPAQASGWHTAPAPGMGYSMQYYPNTTVYNPAPAFPAPAKPTNPFDLNEEKSVVHSTHFPSMAPLQGALHHVSAPPGLMHASSLPTHFSQLKTPQSPTNESMMPSHSPSFATAFSPSAYMGQQLQNNYVRPQGVGGFGRGEAVFGALSTTQQPFQQPSIRYPAPSNSETFSSKRGNPFG >ONI31028 pep chromosome:Prunus_persica_NCBIv2:G1:28791813:28795592:-1 gene:PRUPE_1G288300 transcript:ONI31028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIALIIHGVRFDLSSLLINSTFPVPKSEQLVFTIADLRAALRRRGPDSLGSWKLLLHSTVPSCLPEREIASSIEEVKEESCSEDERNCFSLANGQTESQFSTMPSSAAELHFFGASLQLRGISPIVQPLINSSQNILVYNGEIFGGIDIGGEENDGEVLLQLLGECRSCSPYLHNTNNFDGMGEGSVPDILSRIKGPWAIIYWQESSKTLWFGRDAFGRRSLLVHWPTVADSRFLLSSVSPVSSDFEAENGTTKLSFWEELPCGIYSISIDAPKLDGLFLGEVKKHEWTNAILEELIKWERTSVEPKPEELHISSSKTLTRKHDSHSVNSDALPSKSGPMQASNSVLAQAVLMALKESVMRRSSLHTIFQAGRHDVENELVVENELVPVAVLFSGGLDSMIIAALLHECLDPSYDIDLLNVSFDGRSAPDRISAKAGLNELRRIAPTRKWKLVEIDADLPTLTFETKHVMSLINPANTYMDLNIGIALWLAAGGDGWVYEENSNYNDEDRQCIKYKSKARILLVGSGADEQCAGYGRHRTKYRNGSWLALHEEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIKILLGIPLWEVTNLDQPSGVGDKKILREVAELLGLSEAAALPKRAIQFGSRIARESNRKNYGSNRAANQASAGSAVIHKQLDMA >ONI31029 pep chromosome:Prunus_persica_NCBIv2:G1:28791540:28795458:-1 gene:PRUPE_1G288300 transcript:ONI31029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAAELHFFGASLQLRGISPIVQPLINSSQNILVYNGEIFGGIDIGGEENDGEVLLQLLGECRSCSPYLHNTNNFDGMGEGSVPDILSRIKGPWAIIYWQESSKTLWFGRDAFGRRSLLVHWPTVADSRFLLSSVSPVSSDFEAENGTTKLSFWEELPCGIYSISIDAPKLDGLFLGEVKKHEWTNAILEELIKWERTSVEPKPEELHISSSKTLTRKHDSHSVNSDALPSKSGPMQASNSVLAQAVLMALKESVMRRSSLHTIFQAGRHDVENELVVENELVPVAVLFSGGLDSMIIAALLHECLDPSYDIDLLNVSFDGRSAPDRISAKAGLNELRRIAPTRKWKLVEIDADLPTLTFETKHVMSLINPANTYMDLNIGIALWLAAGGDGWVYEENSNYNDEDRQCIKYKSKARILLVGSGADEQCAGYGRHRTKYRNGSWLALHEEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIKILLGIPLWEVTNLDQPSGVGDKKILREVAELLGLSEAAALPKRAIQFGSRIARESNRKNYGSNRAANQASAGSAVIHKQLDMA >ONI31027 pep chromosome:Prunus_persica_NCBIv2:G1:28791540:28796124:-1 gene:PRUPE_1G288300 transcript:ONI31027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIALIIHGVRFDLSSLLINSTFPVPKSEQLVFTIADLRAALRRRGPDSLGSWKLLLHSTVPSCLPEREIASSIEEVKEESCSEDERNCFSLANGQTESQFSTMPSSAAELHFFGASLQLRGISPIVQPLINSSQNILVYNDIGGEENDGEVLLQLLGECRSCSPYLHNTNNFDGMGEGSVPDILSRIKGPWAIIYWQESSKTLWFGRDAFGRRSLLVHWPTVADSRFLLSSVSPVSSDFEAENGTTKLSFWEELPCGIYSISIDAPKLDGLFLGEVKKHEWTNAILEELIKWERTSVEPKPEELHISSSKTLTRKHDSHSVNSDALPSKSGPMQASNSVLAQAVLMALKESVMRRSSLHTIFQAGRHDVENELVVENELVPVAVLFSGGLDSMIIAALLHECLDPSYDIDLLNVSFDGRSAPDRISAKAGLNELRRIAPTRKWKLVEIDADLPTLTFETKHVMSLINPANTYMDLNIGIALWLAAGGDGWVYEENSNYNDEDRQCIKYKSKARILLVGSGADEQCAGYGRHRTKYRNGSWLALHEEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIKILLGIPLWEVTNLDQPSGVGDKKILREVAELLGLSEAAALPKRAIQFGSRIARESNRKNYGSNRAANQASAGSAVIHKQLDMA >ONI31030 pep chromosome:Prunus_persica_NCBIv2:G1:28791540:28796124:-1 gene:PRUPE_1G288300 transcript:ONI31030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFFCSCWESAGLAVLICITQIILMAWVKDLSQIFFLGSKGLGLSSIGSSKTLWFGRDAFGRRSLLVHWPTVADSRFLLSSVSPVSSDFEAENGTTKLSFWEELPCGIYSISIDAPKLDGLFLGEVKKHEWTNAILEELIKWERTSVEPKPEELHISSSKTLTRKHDSHSVNSDALPSKSGPMQASNSVLAQAVLMALKESVMRRSSLHTIFQAGRHDVENELVVENELVPVAVLFSGGLDSMIIAALLHECLDPSYDIDLLNVSFDGRSAPDRISAKAGLNELRRIAPTRKWKLVEIDADLPTLTFETKHVMSLINPANTYMDLNIGIALWLAAGGDGWVYEENSNYNDEDRQCIKYKSKARILLVGSGADEQCAGYGRHRTKYRNGSWLALHEEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIKILLGIPLWEVTNLDQPSGVGDKKILREVAELLGLSEAAALPKRAIQFGSRIARESNRKNYGSNRAANQASAGSAVIHKQLDMA >ONI29020 pep chromosome:Prunus_persica_NCBIv2:G1:14846895:14850021:-1 gene:PRUPE_1G175900 transcript:ONI29020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGISYGELFLLIGATAALVGPKDLPRIARTAGRLAGRSIGYVQLARGQFDNVMQQSQARQVHKELQDALAQLESIRYEVRSISLMNPGPMARRLMDNPQDPAPLSDGANSSLEKPKEELKSRNTVVKDDTFRTSDSPNLHSQATAYAKLAESDAVKTGSLKSNAEQENLKDESGLFVVLPISAESTGMLPNRKENVKGSDIVLEAIVEAEVARNAKDFFSQPENQVQ >ONI29017 pep chromosome:Prunus_persica_NCBIv2:G1:14846855:14850197:-1 gene:PRUPE_1G175900 transcript:ONI29017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGISYGELFLLIGATAALVGPKDLPRIARTAGRLAGRSIGYVQLARGQFDNVMQQSQARQVHKELQDALAQLESIRYEVRSISLMNPGPMARRLMDNPQDPAPLSDGANSSLEKPKEELKSRNTVDDTFRTSDSPNLHSQATAYAKLAESDAVKTGSLKSNAEQENLKDESGLFVVLPISAESTGMLPNRKENVKGSDIVLEAIVEAEVARNAKDFFSQPENQVQ >ONI29019 pep chromosome:Prunus_persica_NCBIv2:G1:14846798:14850197:-1 gene:PRUPE_1G175900 transcript:ONI29019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGISYGELFLLIGATAALVGPKDLPRIARTAGRLAGRSIGYVQLARGQFDNVMQQSQARQVHKELQDALAQLESIRYEVRSISLMNPGPMARRLMDNPQDPAPLSDGANSSLEKPKEELKSRNTVVKDDTFRTSDSPNLHSQATAYAKLAESDAVKTGSLKSNAEQENLKDESGLFVVLPISAESTGMLPNRKENVKGSDIVLEAIVEAEVARNAKDFFSQPENQVQ >ONI29018 pep chromosome:Prunus_persica_NCBIv2:G1:14847566:14850021:-1 gene:PRUPE_1G175900 transcript:ONI29018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGISYGELFLLIGATAALVGPKDLPRIARTAGRLAGRSIGYVQLARGQFDNVMQQSQARQVHKELQDALAQLESIRYEVRSISLMNPGPMARRLMDNPQDPAPLSDGANSSLEKPKEELKSRNTVDDTFRTSDSPNLHSQATAYAKLAESDAVKTGSLKSNAEQENLKDESGLFVVLPISAESTGMLPNRKENVKGSDIVLEAIVEAEVARNAKDFFSQPENQVQ >ONI29021 pep chromosome:Prunus_persica_NCBIv2:G1:14846889:14850021:-1 gene:PRUPE_1G175900 transcript:ONI29021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGISYGELFLLIGATAALVGPKDLPRIARTAGRLAGRSIGYVQLARGQFDNVMQQSQARQVHKELQDALAQLESIRYEVRSISLMNPGPMARRLMDNPQDPAPLSDGANSSLEKPKEELKSRNTVVKDDTFRTSDSPNLHSQATAYAKLAESDAVKTGSLKSNAEQENLKDESGLFVVLPISAESTGMLPNRKENVKGSDIVLEAIVEAEVARNAKDFFSQPENQVQ >ONI33519 pep chromosome:Prunus_persica_NCBIv2:G1:36883828:36887514:-1 gene:PRUPE_1G430400 transcript:ONI33519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWAIAVHGGAGVDPNLPMERQEQAKQLLTRCLNLGISALRSDLAAIDVVELVVRELETDPFFNSGRGSALTEKGTVEMEASIMDGPQRRCGAVSGLTTVKNPISLARLVMDKSPHSYLAFSGAEDFARQQGVELVENEYFITEENVGMLKLAKEANTILFDYRIPLGMESCSSGVESPLHMNGLPISVYAPETVGCVVVDNQGRCAAATSTGGLMNKMTGRIGDSPLIGAGTYAGDLCGVSCTGEGEAIIRGTLAREVAAVMEYKGLGLQEAVDFVIKERLDDGKAGLIAVSNKGEVACGFNCTGMFRGCATEDGFMEVGIWEQ >ONI33521 pep chromosome:Prunus_persica_NCBIv2:G1:36885562:36887469:-1 gene:PRUPE_1G430400 transcript:ONI33521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASIMDGPQRRCGAVSGLTTVKNPISLARLVMDKSPHSYLAFSGAEDFARQQGVELVENEYFITEENVGMLKLAKEANTILFDYRIPLGMESCSSGVESPLHMNGLPISVYAPETVGCVVVDNQGRCAAATSTGGLMNKMTGRIGDSPLIGAGTYAGDLCGVSCTGEGEAIIRGTLAREVAAVMEYKGLGLQEAVDFVIKERLDDGKAGLIAVSNKGEVACGFNCTGMFRGCATEDGFMEVGIWEQ >ONI33522 pep chromosome:Prunus_persica_NCBIv2:G1:36885562:36887469:-1 gene:PRUPE_1G430400 transcript:ONI33522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASIMDGPQRRCGAVSGLTTVKNPISLARLVMDKSPHSYLAFSGAEDFARQQVFELVENEYFITEENVGMLKLAKEANTILFDYRIPLGMESCSSGVESPLHMNGLPISVYAPETVGCVVVDNQGRCAAATSTGGLMNKMTGRIGDSPLIGAGTYAGDLCGVSCTGEGEAIIRGTLAREVAAVMEYKGLGLQEAVDFVIKERLDDGKAGLIAVSNKGEVACGFNCTGMFRGCATEDGFMEVGIWEQ >ONI33518 pep chromosome:Prunus_persica_NCBIv2:G1:36884902:36887614:-1 gene:PRUPE_1G430400 transcript:ONI33518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWAIAVHGGAGVDPNLPMERQEQAKQLLTRCLNLGISALRSDLAAIDVVELVVRELETDPFFNSGRGSALTEKGTVEMEASIMDGPQRRCGAVSGLTTVKNPISLARLVMDKSPHSYLAFSGAEDFARQQGVELVENEYFITEENVGMLKLAKEANTILFDYRIPLGMESCSSGVESPLHMNGLPISVYAPETVGCVVVDNQGRCAAATSTGGLMNKMTGRIGDSPLIGAGTYAGDLCGVSCTGEGEAIIRGTLAREVAAVMEYKGLGLQEAVDFVIKERLDDGKAGLIAVSNKGEVACGFNCTGMFRGCATEDGFMEVGIWEQ >ONI33520 pep chromosome:Prunus_persica_NCBIv2:G1:36883804:36887539:-1 gene:PRUPE_1G430400 transcript:ONI33520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWAIAVHGGAGVDPNLPMERQEQAKQLLTRCLNLGISALRSDLAAIDVVELVVRELETDPFFNSGRGSALTEKGTVEMEASIMDGPQRRCGAVSGLTTVKNPISLARLVMDKSPHSYLAFSGAEDFARQQGVELVENEYFITEENVGMLKLAKEANTILFDYRIPLGMESCSSGVESPLHMNGLPISVYAPETVGCVVVDNQGRCAAATSTGGLMNKMTGRIGDSPLIGAGTYAGDLCGVSCTGEGEAIIRGTLAREVAAVMEYKGLGLQEAVDFVIKERLDDGKAGLIAVSNKGEVACGFNCTGMFRGCATEDGFMEVGIWEQ >ONI26185 pep chromosome:Prunus_persica_NCBIv2:G1:689703:691884:-1 gene:PRUPE_1G008300 transcript:ONI26185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSYSPYEGGVFFLSIDLPQDYPTKPPTIKFLTKVYHPNIGEDGTIYIDILEEDEWNPVQTIESLLLSICSLLDDPNPMDPLNPCCLLFRTDKQKAIEIARDWTRKYAMSD >ONI26184 pep chromosome:Prunus_persica_NCBIv2:G1:689444:691884:-1 gene:PRUPE_1G008300 transcript:ONI26184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSYSPYEGGVFFLSIDLPQDYPTKPPTIKFLTKVYHPNIGEDGTIYIDILEEDEWNPVQTIESLLLSICSLLDDPNPMDPLNPCCLLFRTDKQKAIEIARDWTRKYAMSD >ONI26181 pep chromosome:Prunus_persica_NCBIv2:G1:688277:691836:-1 gene:PRUPE_1G008300 transcript:ONI26181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSYSPYEGGVFFLSIDLPQDYPTKPPTIKFLTKVYHPNIGEDGTIYIDILEEDEWNPVQTIESLLLSICSLLDDPNPMDPLNPCCLLFRTDKQKAIEIARDWTRKNAVKIHTSGINNTIPLRRHY >ONI26186 pep chromosome:Prunus_persica_NCBIv2:G1:689893:690871:-1 gene:PRUPE_1G008300 transcript:ONI26186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSYSPYEGGVFFLSIDLPQDYPTKPPTIKFLTKVYHPNIGEDGTIYIDILEEDEWNPVQTIESLLLSICSLLDDPNPMDPLNPCCLLFRTDKQKAIEIARDWTRKYAMSD >ONI26182 pep chromosome:Prunus_persica_NCBIv2:G1:689444:691539:-1 gene:PRUPE_1G008300 transcript:ONI26182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTESMPDRKVAEHWIYKREGFRKADFPTHCSYGPVIEDEPFKWQGVIMGPSYSPYEGGVFFLSIDLPQDYPTKPPTIKFLTKVYHPNIGEDGTIYIDILEEDEWNPVQTIESLLLSICSLLDDPNPMDPLNPCCLLFRTDKQKAIEIARDWTRKFQIFQAAR >ONI26183 pep chromosome:Prunus_persica_NCBIv2:G1:689893:691242:-1 gene:PRUPE_1G008300 transcript:ONI26183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTESMPDRKVAEHWIYKREGFRKADFPTHCSYGPVIEDEPFKWQGVIMGPSYSPYEGGVFFLSIDLPQDYPTKPPTIKFLTKVYHPNIGEDGTIYIDILEEDEWNPVQTIESLLLSICSLLDDPNPMDPLNPCCLLFRTDKQKAIEIARDWTRKYAMSD >ONI26180 pep chromosome:Prunus_persica_NCBIv2:G1:688277:691319:-1 gene:PRUPE_1G008300 transcript:ONI26180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTESMPDRKVAEHWIYKREGFRKADFPTHCSYGPVIEDEPFKWQGVIMGPSYSPYEGGVFFLSIDLPQDYPTKPPTIKFLTKVYHPNIGEDGTIYIDILEEDEWNPVQTIESLLLSICSLLDDPNPMDPLNPCCLLFRTDKQKAIEIARDWTRKNAVKIHTSGINNTIPLRRHY >ONI34839 pep chromosome:Prunus_persica_NCBIv2:G1:41517909:41519922:-1 gene:PRUPE_1G501800 transcript:ONI34839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLLRPEHTTTHPYNVYFTLTIPSLLKLQEGQLSRNWKLPEERKQPKDCFSLSQLSRLPDSCKAVFYASVAEIFLPFTLSSPAECELLDKEDMFVSRRLYKTGDGGLATDLICTGCELCGSPLELDSENMFKQNGVALYCSESFNRLHVISLIYKPFMLYVWDESDYAPLLVRNKAAELLFGNIKAERVYSCYRGRKHAGEVDLKEIPTHNSKSIGQTKAAADKGVLVSCSSDVHKKSLETKGKHCFQENMDFYGIWLILLKTLLQQGKNSPFRFEVNVNAGLDKENGRFEMVSVQMRCFRTK >ONI34840 pep chromosome:Prunus_persica_NCBIv2:G1:41518503:41520061:-1 gene:PRUPE_1G501800 transcript:ONI34840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIGWYGPLIDLSKAALHVGDYVQLVVFVHRTTPLQYKLSKGGEVIRTDIQVGDETRPFFSVSLWQKPIASMAVAGDVVLLQNVKITKYGDVVEARTHHYSSLQCLLHPYDSILTKGVNDLIEECRVGIATKEKLRKVVEWVKKSNTTHEFHGCQLQEGQLSRNWKLPEERKQPKDCFSLSQLSRLPDSCKAVFYASVAEIFLPFTLSSPAECELLDKEDMFVSRRLYKTGDGGLATDLICTGCELCGSPLELDSENMFKQNGVALYCSESFNRLHVISLIYKPFMHGYFS >ONI34838 pep chromosome:Prunus_persica_NCBIv2:G1:41517909:41520110:-1 gene:PRUPE_1G501800 transcript:ONI34838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGDVVLLQNVKITKYGDVVEARTHHYSSLQCLLHPYDSILTKGVNDLIEECRVGIATKEKLRKVVEWVKKSNTTHEFHGCQLQEGQLSRNWKLPEERKQPKDCFSLSQLSRLPDSCKAVFYASVAEIFLPFTLSSPAECELLDKEDMFVSRRLYKTGDGGLATDLICTGCELCGSPLELDSENMFKQNGVALYCSESFNRLHVISLIYKPFMLYVWDESDYAPLLVRNKAAELLFGNIKAERVYSCYRGRKHAGEVDLKEIPTHNSKSIGQTKAAADKGVLVSCSSDVHKKSLETKGKHCFQENMDFYGIWLILLKTLLQQGKNSPFRFEVNVNAGLDKENGRFEMVSVQMRCFRTK >ONI34841 pep chromosome:Prunus_persica_NCBIv2:G1:41518567:41520126:-1 gene:PRUPE_1G501800 transcript:ONI34841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIGWYGPLIDLSKAALHVGDYVQLVVFVHRTTPLQYKLSKGGEVIRTDIQVGDETRPFFSVSLWQKPIASMAVAGDVVLLQNVKITKYGDVVEARTHHYSSLQCLLHPYDSILTKGVNDLIEECRVGIATKEKLRKVVEWVKKSNTTHEFHGCQLQEGQLSRNWKLPEERKQPKDCFSLSQLSRLPDSCKAVFYASVAEIFLPFTLSSPAECELLDKEDMFVSRRLYKTGDGGLATDLICTGCELCGSPLELDSENMFKQNGVALYCSESFNRLHVISLIYKPFMV >ONI34837 pep chromosome:Prunus_persica_NCBIv2:G1:41517909:41520238:-1 gene:PRUPE_1G501800 transcript:ONI34837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIGWYGPLIDLSKAALHVGDYVQLVVFVHRTTPLQYKLSKGGEVIRTDIQVGDETRPFFSVSLWQKPIASMAVAGDVVLLQNVKITKYGDVVEARTHHYSSLQCLLHPYDSILTKGVNDLIEECRVGIATKEKLRKVVEWVKKSNTTHEFHGCQLQEGQLSRNWKLPEERKQPKDCFSLSQLSRLPDSCKAVFYASVAEIFLPFTLSSPAECELLDKEDMFVSRRLYKTGDGGLATDLICTGCELCGSPLELDSENMFKQNGVALYCSESFNRLHVISLIYKPFMLYVWDESDYAPLLVRNKAAELLFGNIKAERVYSCYRGRKHAGEVDLKEIPTHNSKSIGQTKAAADKGVLVSCSSDVHKKSLETKGKHCFQENMDFYGIWLILLKTLLQQGKNSPFRFEVNVNAGLDKENGRFEMVSVQMRCFRTK >ONI33218 pep chromosome:Prunus_persica_NCBIv2:G1:35920958:35923608:-1 gene:PRUPE_1G411500 transcript:ONI33218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDRTYNDIRLYMMFQLIPCIAIPGMCFVFPPKYTHSRYWLWAGE >ONI33223 pep chromosome:Prunus_persica_NCBIv2:G1:35922288:35923419:-1 gene:PRUPE_1G411500 transcript:ONI33223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDSWLQNI >ONI33219 pep chromosome:Prunus_persica_NCBIv2:G1:35920957:35923608:-1 gene:PRUPE_1G411500 transcript:ONI33219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDRTYNDIRLYMMFQLIPCIAIPGMCFVFPPKYTHSRYWLWAGE >ONI33224 pep chromosome:Prunus_persica_NCBIv2:G1:35922331:35923419:-1 gene:PRUPE_1G411500 transcript:ONI33224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDRLVLYFLLSLPVLNSILEVRM >ONI33215 pep chromosome:Prunus_persica_NCBIv2:G1:35921475:35923419:-1 gene:PRUPE_1G411500 transcript:ONI33215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDRTYNDIRLYMMFQLIPCIAIPGMCFVFPPKYTHSRYWLWAGGVYLLSKFEAVADMKIYHANHYIISGHSLEHLCLVMVPVLLSIMLMHRNIKCQRIGAIKECS >ONI33222 pep chromosome:Prunus_persica_NCBIv2:G1:35922077:35923419:-1 gene:PRUPE_1G411500 transcript:ONI33222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDRTYNDIRLYMMFQLIPCIAIPGMCFVFPPKYTHSRYWLWAGGTSYSLIIFVFFKNMLFPAQLKYNRNGQLIPL >ONI33217 pep chromosome:Prunus_persica_NCBIv2:G1:35920959:35923608:-1 gene:PRUPE_1G411500 transcript:ONI33217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNIRFCTSAFLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDRTYNDIRLYMMFQLIPCIAIPGMCFVFPPKYTHSRYWLWAGGVYLLSKFEAVADMKIYHANHYIISGHSLEHLCLVMVPVLLSIMLMHRNIKCQRIGAIKECS >ONI33214 pep chromosome:Prunus_persica_NCBIv2:G1:35920959:35923608:-1 gene:PRUPE_1G411500 transcript:ONI33214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDRTYNDIRLYMMFQLIPCIAIPGMCFVFPPKYTHSRYWLWAGAVADMKIYHANHYIISGHSLEHLCLVMVPVLLSIMLMHRNIKCQRIGAIKECS >ONI33225 pep chromosome:Prunus_persica_NCBIv2:G1:35922331:35923419:-1 gene:PRUPE_1G411500 transcript:ONI33225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDRLVLYFLLSLPVLNSILEVRM >ONI33216 pep chromosome:Prunus_persica_NCBIv2:G1:35920958:35923608:-1 gene:PRUPE_1G411500 transcript:ONI33216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDRTYNDIRLYMMFQLIPCIAIPGMCFVFPPKYTHSRYWLWAGGVYLLSKFEAVADMKIYHANHYIISGHSLEHLCLVMVPVLLSIMLMHRNIKCQRIGAIKECS >ONI33213 pep chromosome:Prunus_persica_NCBIv2:G1:35920958:35923608:-1 gene:PRUPE_1G411500 transcript:ONI33213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPLIPCIAIPGMCFVFPPKYTHSRYWLWAGGVYLLSKFEAVADMKIYHANHYIISGHSLEHLCLVMVPVLLSIMLMHRNIKCQRIGAIKECS >ONI33220 pep chromosome:Prunus_persica_NCBIv2:G1:35921754:35923419:-1 gene:PRUPE_1G411500 transcript:ONI33220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDRTYNDIRLYMMFQLIPCIAIPGMCFVFPPKYTHSRYWLWAGVGTLWSICV >ONI33221 pep chromosome:Prunus_persica_NCBIv2:G1:35920959:35923608:-1 gene:PRUPE_1G411500 transcript:ONI33221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMATQKLAIRGKRRRIWGGAFLCWVFLMLVTPKISHSPKHHLYADMRNFLGVPNTLNVITNFPFLVVGVLGFVLCCQGGLFNISLPGEVWGWALFYAGIAGLAFGSAYYHLKPDDSRVTWDTLPMMIAYSSLFSSFIVERVGERIGLSSLFALLFIAFLSTAYDRTYNDIRLYMMFQLIPCIAIPGMCFVFPPKYTHSRYWLWAGVGTLWSICV >ONI35836 pep chromosome:Prunus_persica_NCBIv2:G1:45448282:45449547:-1 gene:PRUPE_1G556700 transcript:ONI35836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLASKNVGLLRLVEERSLTKKIEEEKKHKREKQVPYLHKDCISNILVRLPLDSLQRSRFVCKPWYNIIKNPKFIDAHLHRSESVLIFLSPFPNESLYPFSVASVPKELPNTVSVELNLLQPKPIPIFNHTTINAPRFSVQFLEFKNDKSKIGEYSLSCSGNIRATCNGLILLDNKLKNGGLVVMNPVTRKLIALPLGTLSRPHDESYGFALIDSTGEYKVVHLFRDELRFVDGPISAIGGLHWIPQVDRSEYIVSIEVDKEKFHQIPLPRSSRTHDRIVDMGGVLCLIVHEDVNHIDIWILKGFYGEVWTKYHSITVGSIIDMVPLFSLRIKGDIIFKRDEDGSFYVYDFQHQEMRKVEMVEGCIPRSSTTYLPHVNSLVSWMEVNLDMCD >ONI35838 pep chromosome:Prunus_persica_NCBIv2:G1:45448067:45451091:-1 gene:PRUPE_1G556700 transcript:ONI35838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLASKNVGLLRLVEERSLTKKIEEEKKHKREKQVPYLHKDCISNILVRLPLDSLQRSRFVCKPWYNIIKNPKFIDAHLHRSESVLIFLSPFPNESLYPFSVASVPKELPNTVSVELNLLQPKPIPIFNHTTINAPRFSVQFLEFKNDKSKIGEYSLSCSGNIRATCNGLILLDNKLKNGGLVVMNPVTRKLIALPLGTLSRPHDESYGFALIDSTGEYKVVHLFRDELRYVSCEILSLRTKAWREVNGPSFGFFNRFVDGPISAIGGLHWIPQVDRSEYIVSIEVDKEKFHQIPLPRSSRTHDRIVDMGGVLCLIVHEDVNHIDIWILKGFYGEVWTKYHSITVGSIIDMVPLFSLRIKGDIIFKRDEDGSFYVYDFQHQEMRKVEMVEGCIPRSSTTYLPHVNSLVSWMEVNLDMCD >ONI35837 pep chromosome:Prunus_persica_NCBIv2:G1:45448031:45451091:-1 gene:PRUPE_1G556700 transcript:ONI35837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLASKNVGLLRLVEERSLTKKIEEEKKHKREKQVPYLHKDCISNILVRLPLDSLQRSRFVCKPWYNIIKNPKFIDAHLHRSESVLIFLSPFPNESLYPFSVASVPKELPNTVSVELNLLQPKPIPIFNHTTINAPRFSVQFLEFKNDKSKIGEYSLSCSGNIRATCNGLILLDNKLKNGGLVVMNPVTRKLIALPLGTLSRPHDESYGFALIDSTGEYKVVHLFRDELRYVSCEILSLRTKAWREVNGPSFGFFNRFVDGPISAIGGLHWIPQVDRSEYIVSIEVDKEKFHQIPLPRSSRTHDRIVDMGGVLCLIVHEDVNHIDIWILKGFYGEVWTKYHSITVGSIIDMVPLFSLRIKGDIIFKRDEDGSFYVYDFQHQEMRKVEMVEGCIPRSSTTYLPHVNSLVSWMEVNLDMCD >ONI35840 pep chromosome:Prunus_persica_NCBIv2:G1:45448044:45451091:-1 gene:PRUPE_1G556700 transcript:ONI35840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLASKNVGLLRLVEERSLTKKIEEEKKHKREKQVPYLHKDCISNILVRLPLDSLQRSRFVCKPWYNIIKNPKFIDAHLHRSESVLIFLSPFPNESLYPFSVASVPKELPNTVSVELNLLQPKPIPIFNHTTINAPRFSVQFLEFKNDKSKIGEYSLSCSGNIRATCNGLILLDNKLKNGGLVVMNPVTRKLIALPLGTLSRPHDESYGFALIDSTGEYKVVHLFRDELRYVSCEILSLRTKAWREVNGPSFGFFNRFVDGPISAIGGLHWIPQVDRSEYIVSIEVDKEKFHQIPLPRSSRTHDRIVDMGGVLCLIVHEDVNHIDIWILKGFYGEVWTKYHSITVGSIIDMVPLFSLRIKGDIIFKRDEDGSFYVYDFQHQEMRKVEMVEGCIPRSSTTYLPHVNSLVSWMEVNLDMCD >ONI35839 pep chromosome:Prunus_persica_NCBIv2:G1:45448034:45451091:-1 gene:PRUPE_1G556700 transcript:ONI35839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLASKNVGLLRLVEERSLTKKIEEEKKHKREKQVPYLHKDCISNILVRLPLDSLQRSRFVCKPWYNIIKNPKFIDAHLHRSESVLIFLSPFPNESLYPFSVASVPKELPNTVSVELNLLQPKPIPIFNHTTINAPRFSVQFLEFKNDKSKIGEYSLSCSGNIRATCNGLILLDNKLKNGGLVVMNPVTRKLIALPLGTLSRPHDESYGFALIDSTGEYKVVHLFRDELRYVSCEILSLRTKAWREVNGPSFGFFNRFVDGPISAIGGLHWIPQVDRSEYIVSIEVDKEKFHQIPLPRSSRTHDRIVDMGGVLCLIVHEDVNHIDIWILKGFYGEVWTKYHSITVGSIIDMVPLFSLRIKGDIIFKRDEDGSFYVYDFQHQEMRKVEMVEGCIPRSSTTYLPHVNSLVSWMEVNLDMCD >ONI35835 pep chromosome:Prunus_persica_NCBIv2:G1:45448067:45451101:-1 gene:PRUPE_1G556700 transcript:ONI35835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLASKNVGLLRLVEERSLTKKIEEEKKHKREKQVPYLHKDCISNILVRLPLDSLQRSRFVCKPWYNIIKNPKFIDAHLHRSESVLIFLSPFPNESLYPFSVASVPKELPNTVSVELNLLQPKPIPIFNHTTINAPRFSVQFLEFKNDKSKIGEYSLSCSGNIRATCNGLILLDNKLKNGGLVVMNPVTRKLIALPLGTLSRPHDESYGFALIDSTGEYKVVHLFRDELRFVDGPISAIGGLHWIPQVDRSEYIVSIEVDKEKFHQIPLPRSSRTHDRIVDMGGVLCLIVHEDVNHIDIWILKGFYGEVWTKYHSITVGSIIDMVPLFSLRIKGDIIFKRDEDGSFYVYDFQHQEMRKVEMVEGCIPRSSTTYLPHVNSLVSWMEVNLDMCD >ONI27309 pep chromosome:Prunus_persica_NCBIv2:G1:5707717:5709321:-1 gene:PRUPE_1G078800 transcript:ONI27309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGRGQTFEEVKILVTRQKRKEKGKPLHIAPQLNNACSRPMVRSISEIMALLHHPKENTPPRRDPVEPLPPMIESSLYLIVLDYEDQEYFNL >ONI26113 pep chromosome:Prunus_persica_NCBIv2:G1:430673:441254:1 gene:PRUPE_1G004800 transcript:ONI26113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKMLIDGEVSQPNQGEVHYDFDLFVIGAGSGGVRASRFSANFGAKVGICELPFHPISSEVVGGVGGTCVIRGCVPKKILVYGASFGGEIEDARNYGWEVNEKVDFNWKKLLHKKTEEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAQRPAIPGQELGISSDEALSLEELPKRVVVLGGGYIAVEFASIWRGMGVSVDLFFRKELPLRGFDDEMRAAVARNLEGRGIDLHPQTNLTELIKTEDGIKVSTDHGEELIADVVLFATGRAPNTKRLNLEAVGVELDKTGAVKVDEYSRTNIPSIWAVGDVTNRANLTPVALMEGTCFAKTVFGDQPSKPDHRDIPCAVFCIPPLSVVGLSEEQAIEQAKGDILVFTSTFTPMKNTVSGRQEKTIMKLLVDAETDKVIGASMCGPDAPEIVQGIAIALKCGATKAQFDSTVGIHPSAAEEFVTMRSVTRRIAAGSKPKTNL >ONI26114 pep chromosome:Prunus_persica_NCBIv2:G1:430673:441254:1 gene:PRUPE_1G004800 transcript:ONI26114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKMLIDGEVSQPNQGEVHYDFDLFVIGAGSGGVRASRFSANFGAKVGICELPFHPISSEVVGGVGGTCVIRGCVPKKILVYGASFGGEIEDARNYGWEVNEKVDFNWKKLLHKKTEEIIRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVEVTQLDGTKLSYSAKHILIATGSRAQRPAIPGQELGISSDEALSLEELPKRVVVLGGGYIAVEFASIWRGMGVSVDLFFRKELPLRGFDDEMRAAVARNLEGRGIDLHPQTNLTELIKTEDGIKVSTDHGEELIADVVLFATGRAPNTKRLNLEAVGVELDKTGAVKVDEYSRTNIPSIWAVGDVTNRANLTPVALMEGTCFAKTVFGDQPSKPDHRDIPCAVFCIPPLSVVGLSEEQAIEQAKGDILVFTSTFTPMKNTVSGRQEKTIMKLLVDAETDKVIGASMCGPDAPEIVQGIAIALKCGATKAQFDSTVGIHPSAAEEFVTMRSVTRRIAAGSKPKTNL >ONI29559 pep chromosome:Prunus_persica_NCBIv2:G1:19926354:19930929:-1 gene:PRUPE_1G201800 transcript:ONI29559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPQISKTYPVKPKHKPKPRTPKQTTESKYWSSFKTKQIPDLISSITSLTFSPTTPHSFAAAHSASLTLYNPQNKFSPTATISSFRDVVSSASFRCDGLLIAASDLSGLVQVFDVKTRTPLRKLRSHTRPARFVKYPVADKLHLVSGGDDAIVKYWDVSGETPICDLLGHKDYVRCGDCSPVSADMFITGSYDHTVKLWDVRVKDSGSVMEVNHGKPVEDVIFLPSGGLVATAGGNSVKIWDLMGGGKMVYSMESHNKTVTSICVGKLGKDGGEEAQQYRILSVALDGYMKVFDYAKMKVTHSMRFPAPLMSVGFSPDCLTRVIGTSNGTIYAGRRKNKEDFEGGSDEELERPLSKYERRQKKLKALSSGDAGGLGPVEEPQVRVLKPTNFRYFHRGQGEKPSEGEYLVMRPRKLKLGEHDKLLKKFRHKEALVSVLGSKNPENAVAVMEELVARKKLLKCVSNLDTEELGLLLMFLQKHSTVPSYSGLLMGLTKKVLEMRAEDIRASDDLKGHIRNLKRSVEEEIRIQQSLQEIQGIISPLLRITGRR >ONI29558 pep chromosome:Prunus_persica_NCBIv2:G1:19927558:19930929:-1 gene:PRUPE_1G201800 transcript:ONI29558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPQISKTYPVKPKHKPKPRTPKQTTESKYWSSFKTKQIPDLISSITSLTFSPTTPHSFAAAHSASLTLYNPQNKFSPTATISSFRDVVSSASFRCDGLLIAASDLSGLVQVFDVKTRTPLRKLRSHTRPARFVKYPVADKLHLVSGGDDAIVKYWDVSGETPICDLLGHKDYVRCGDCSPVSADMFITGSYDHTVKLWDVRVKDSGSVMEVNHGKPVEDVIFLPSGGLVATAGGNSVKIWDLMGGGKMVYSMESHNKTVTSICVGKLGKDGGEEAQQYRILSVALDGYMKVFDYAKMKVTHSMRFPAPLMSVGFSPDCLTRVIGTSNGTIYAGRRKNKEDFEGGSDEELERPLSKYERRQKKLKALSSGDAGGLGPVEEPQVRVLKPTNFRYFHRGQGEKPSEGEYLVMRPRKLKLGEHDKLLKKFRHKEALVSVLGSKNPENAVAVMEELVARKKLLKCVSNLDTEELGLLLMFLQKHSTVPSYSGLLMGLTKKVLEMRAEDIRASDDLKGHIRNLKRSVEEEIRIQQSLQEIQGIISPLLRITGRR >ONI31904 pep chromosome:Prunus_persica_NCBIv2:G1:31850249:31853928:1 gene:PRUPE_1G338000 transcript:ONI31904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGSASSALPLRARLIDFLIQSAHKLQVAPTVKYTALSIFAHRFYPRCLSRLEEQGNDVENWLLQPLRESNLQLFALVSLWISTKIHTSPCLSVKIFKSLGDNIIKEQHYTIRDYLEAEVVLMQVVNFEIGMNNSAFVYFEELLLQFKEVAKVGELVNFEAGMDIMDLIYEKEEASMLYTNPHSLAASILVASYVITVPKQTWEFPVVPWVKFVTGCEEEDILEIVRDILKHVLDVSC >ONI31324 pep chromosome:Prunus_persica_NCBIv2:G1:29953649:29956739:-1 gene:PRUPE_1G306500 transcript:ONI31324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHFRDPAKLKTKKIVFEEAYAARDSTTLEQLKELSSKRRMIEESINESSSITTAIAREMSGGLTSRSQQVLHNLEMYLPLLENLIFHVGMIGDFGQIVRWNSELKMQWTSALSSSSFFNFKGPKYFQINSLQFEVGMTLFLYGAILRERALEVLPADLVQSATLFREAAGVFHHLAHKVLPILQYSLTAERPPEVVSSVSTVMSLICLAEAQAVTIKRAEEKGTTVGLLAKLHHGVAELLDEAAGVLNTASRECNDISSRIVEFISCCKALHELKSKKYFAESLKIANQFGAAIGVLRVALVDVKKKKMPGQESWKYVLKEEIDKFAELLRKHEHENEFVWHEKVPSEDELPKPEGNKIASIIPYQPKRWERQLAFKI >ONI30084 pep chromosome:Prunus_persica_NCBIv2:G1:24337100:24339084:1 gene:PRUPE_1G230200 transcript:ONI30084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWSFCMVLLSLFSVLFSIIQALRSKANPKRHLLPPGPKPFPFIGNLLELGNKPHQSLTKLSQIYGPIITLQLGQVTTVVVSSPNTAKQVLQTHDQFLSNRTVPDAIQACDLRQDSLPWIPPSAKWRSLRQICNSQLFAAKILDANQPNRCVKVQELISQVNESAVNGEAVDIGRAAFKTTLNLLSRTIFSVDLADPSSEMAREFKETVWGLMEGAGTPNVGDFFPVLRKIDPQGIRRRMIKHFNKMEQLFDRMISQRLASRKAHDYVKTNDMLDTLLNISEANSEDMDKTKIQHLFLALFAAGTDTTSATLEWAMAELLRDPEKLSKAQQELEQIIGKGKPVEEADIARLPNLQAIIKETLRLHPAAPLLLPRKADSDVEICGYIVPKGAQVFVNAWAIGRDPSIWDNPSSFMPERFLGLDDQIDVIGKNFELIPFGGGRRICPGLRLAMRILPLMLGSLINFFDWKLEDGVIPETLNMEEKFGLTLQMAHPLRALPKSFCEI >ONI33154 pep chromosome:Prunus_persica_NCBIv2:G1:35773476:35777442:-1 gene:PRUPE_1G408500 transcript:ONI33154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIYVLEPPTKGKVVLQTTHGPLDVELWPKEAPKAVRNFIQLCLEGYYDNTIFHRIIKGFLVQGGDPTGTGTGGESIYGGVFADEFHSRLRFKHRGLVACANSGSPNSNGSQFFMNLDRSDWLDKKHTIFGKVTGDSIYNLVRLGDIETDKEDRPLDPPPRILSVEVLWNPFDDIVPRVRAKPSTESTNDADNKDTKKKAVKKLNLLSFGEEAEEEEKELAAVKTKIKSSHDVLDDPRLLKDEVPINDVNSDAKTRHVQLSVREALSSKKDEPRKDSESNFYNTLNYSDDDDDEANFDARMRQQILRKRKDLGDLPPKSKMHNGSSSPNQRETPASRSIVESINDDQQKVEKLSLKKKGIGSEARAERMANADADLQLLGEAERGRQLQKQKKRRNQGREDEVLAKLEKFKKGILESRTASVSESGGGKDEDASDWKAVPLKFAPESGKDRMSRNEDPNDYVVHDPLLEKGKEKFNRMQAKQKRREREWAGKSLT >ONI33949 pep chromosome:Prunus_persica_NCBIv2:G1:38220489:38228196:1 gene:PRUPE_1G455100 transcript:ONI33949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGNIYKRRMKVCSVALMIYLDYKALQQREKWISKSKGATLWESAHERNAKRVLSLIIELEGLWVKLGQYLSTRADVLPEAYISLLKQLQDSLPPRPLEEVCRTIQKEFGKSMDELFLDFVKVPLATASIAQVHRATLLNGQEVVVKVQHEGIKTIILEDLKNAKSIVDWIAWAEPQFNFNPMIDEWCKESPKELDFNHEAENTRTVSKNLGCKTKCDDNTRADQVDVLIPEVIQSTEKVIISEFMDGIRLNDIESLEAFGVDKQKVIEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHRPVLLDFGLTKKLSSSFKKALAKMFLASAEGDHVALLSAFAEMGLKLRLDIPEQAMEITSVFFRSTTPANESHETMKSLADQRTKNMKVIQDKMQLNKKEVKRFNPVDAFPGDIVIFARVLNLLRGLSSTMNVRIVYQDIMRPFAESVLQGNINRGPMVNDQWVYDTPAHSDVEAKLRQLLVEMGNNNKILGVQVCAYKDGKVIIDTAAGVLGRYDPRPVQLDSLFPVFSVTKGITAGMLHWLADTGKLKLEENVANIWPEFGSNRKDHIKVHHVLNHTSGLHNALADGRENPLLMADWEECLNRIAMTEPETEPGQEQFYHYLSYGWLCGGIIEHASGRKFKEILEEAFIHPLQIEGEMYIGIPPGKCTMFLLH >ONI33947 pep chromosome:Prunus_persica_NCBIv2:G1:38220490:38229276:1 gene:PRUPE_1G455100 transcript:ONI33947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGNIYKRRMKVCSVALMIYLDYKALQQREKWISKSKGATLWESAHERNAKRVLSLIIELEGLWVKLGQYLSTRADVLPEAYISLLKQLQDSLPPRPLEEVCRTIQKEFGKSMDELFLDFVKVPLATASIAQVHRATLLNGQEVVVKVQHEGIKTIILEDLKNAKSIVDWIAWAEPQFNFNPMIDEWCKESPKELDFNHEAENTRTVSKNLGCKTKCDDNTRADQVDVLIPEVIQSTEKVIISEFMDGIRLNDIESLEAFGVDKQKVIEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHRPVLLDFGLTKKLSSSFKKALAKMFLASAEGDHVALLSAFAEMGLKLRLDIPEQAMEITSVFFRSTTPANESHETMKSLADQRTKNMKVIQDKMQLNKKEVKRFNPVDAFPGDIVIFARVLNLLRGLSSTMNVRIVYQDIMRPFAESVLQGNINRGPMVNDQWVYDTPAHSDVEAKLRQLLVEMGNNNKILGVQVCAYKDGKVIIDTAAGVLGRYDPRPVQLDSLFPVFSVTKGITAGMLHWLADTGKLKLEENVANIWPEFGSNRKDHIKVHHVLNHTSGLHNALADGRENPLLMADWEECLNRIAMTEPETEPGQEQFYHYLSYGWLCGGIIEHASGRKFKEILEEAFIHPLQIEGEMYIGIPPGVESRLATLTPDTEDLKKLSGLSSRAALPSSFQPDNIIQLASVLPALFNMLNIRRAIIPSANGHCSARALARYYAALVDGGVVPPPHSSSSKPALGSHPHIPKYPVQSSPKKQKGSRTKKVAAAFRCRTNKYEQTPQDPDQDIVSHSRNTSNDSDTGLTEVIVSPKNDNDGKIFSNPRIHDAFLGVGEYANLVKPDGNFGLGFKRYRSKDGPLTGFGHSGMGGSTGFVDIENRFAIAVTVNKMTFGAATGRIIQFVCSELNIPVPEDYSKFAESGSEVGKPLIN >ONI33946 pep chromosome:Prunus_persica_NCBIv2:G1:38220381:38229276:1 gene:PRUPE_1G455100 transcript:ONI33946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGNIYKRRMKVCSVALMIYLDYKALQQREKWISKSKGATLWESAHERNAKRVLSLIIELEGLWVKLGQYLSTRADVLPEAYISLLKQLQDSLPPRPLEEVCRTIQKEFGKSMDELFLDFVKVPLATASIAQVHRATLLNGQEVVVKVQHEGIKTIILEDLKNAKSIVDWIAWAEPQFNFNPMIDEWCKESPKELDFNHEAENTRTVSKNLGCKTKCDDNTRADQVDVLIPEVIQSTEKVIISEFMDGIRLNDIESLEAFGVDKQKVIEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHRPVLLDFGLTKKLSSSFKKALAKMFLASAEGDHVALLSAFAEMGLKLRLDIPEQAMEITSVFFRSTTPANESHETMKSLADQRTKNMKVIQDKMQLNKKEVKRFNPVDAFPGDIVIFARVLNLLRGLSSTMNVRIVYQDIMRPFAESVLQGNINRGPMVNDQWVYDTPAHSDVEAKLRQLLVEMGNNNKILGVQVCAYKDGKVIIDTAAGVLGRYDPRPVQLDSLFPVFSVTKGITAGMLHWLADTGKLKLEENVANIWPEFGSNRKDHIKVHHVLNHTSGLHNALADGRENPLLMADWEECLNRIAMTEPETEPGQEQFYHYLSYGWLCGGIIEHASGRKFKEILEEAFIHPLQIEGEMYIGIPPGVESRLATLTPDTEDLKKLSGLSSRAALPSSFQPDNIIQLASVLPALFNMLNIRRAIIPSANGHCSARALARYYAALVDGGVVPPPHSSSSKPALGSHPHIPKYPVQSSPKKQKGSRTKKVAAAFRCRTNKYEQTPQDPDQDIVSHSRNTSNDSDTGLTEVIVSPKNDNDGKIFSNPRIHDAFLGVGEYANLVKPDGNFGLGFKRYRSKDGPLTGFGHSGMGGSTGFVDIENRFAIAVTVNKMTFGAATGRIIQFVCSELNIPVPEDYSKFAESGSEVGKPLIN >ONI33948 pep chromosome:Prunus_persica_NCBIv2:G1:38222211:38228071:1 gene:PRUPE_1G455100 transcript:ONI33948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGNIYKRRMKVCSVALMIYLDYKALQQREKWISKSKGATLWESAHERNAKRVLSLIIELEGLWVKLGQYLSTRADVLPEAYISLLKQLQDSLPPRPLEEVCRTIQKEFGKSMDELFLDFVKVPLATASIAQVHRATLLNGQEVVVKVQHEGIKTIILEDLKNAKSIVDWIAWAEPQFNFNPMIDEWCKESPKELDFNHEAENTRTVSKNLGCKTKCDDNTRADQVDVLIPEVIQSTEKVIISEFMDGIRLNDIESLEAFGVDKQKVIEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHRPVLLDFGLTKKLSSSFKKALAKMFLASAEGDHVALLSAFAEMGLKLRLDIPEQAMEITSVFFRSTTPANESHETMKSLADQRTKNMKVIQDKMQLNKKEVKRFNPVDAFPGDIVIFARVLNLLRGLSSTMNVRIVYQDIMRPFAESVLQGNINRGPMVNDQWVYDTPAHSDVEAKLRQLLVEMGNNNKILGVQVCAYKDGKVIIDTAAGVLGRYDPRPVQLDSLFPVFSVTKGITAGMLHWLADTGKLKLEENVANIWPEFGSNRKDHIKVHHVLNHTSGLHNALADGRENPLLMADWEECLNRIAMTEPETEPGQEQFYHYLSYGWLCGGIIEHASGRKFKEILEEAFIHPLQIEGEMYIGIPPGKCTMFLLH >ONI33945 pep chromosome:Prunus_persica_NCBIv2:G1:38220084:38229276:1 gene:PRUPE_1G455100 transcript:ONI33945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGNIYKRRMKVCSVALMIYLDYKALQQREKWISKSKGATLWESAHERNAKRVLSLIIELEGLWVKLGQYLSTRADVLPEAYISLLKQLQDSLPPRPLEEVCRTIQKEFGKSMDELFLDFVKVPLATASIAQVHRATLLNGQEVVVKVQHEGIKTIILEDLKNAKSIVDWIAWAEPQFNFNPMIDEWCKESPKELDFNHEAENTRTVSKNLGCKTKCDDNTRADQVDVLIPEVIQSTEKVIISEFMDGIRLNDIESLEAFGVDKQKVIEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHRPVLLDFGLTKKLSSSFKKALAKMFLASAEGDHVALLSAFAEMGLKLRLDIPEQAMEITSVFFRSTTPANESHETMKSLADQRTKNMKVIQDKMQLNKKEVKRFNPVDAFPGDIVIFARVLNLLRGLSSTMNVRIVYQDIMRPFAESVLQGNINRGPMVNDQWVYDTPAHSDVEAKLRQLLVEMGNNNKILGVQVCAYKDGKVIIDTAAGVLGRYDPRPVQLDSLFPVFSVTKGITAGMLHWLADTGKLKLEENVANIWPEFGSNRKDHIKVHHVLNHTSGLHNALADGRENPLLMADWEECLNRIAMTEPETEPGQEQFYHYLSYGWLCGGIIEHASGRKFKEILEEAFIHPLQIEGEMYIGIPPGVESRLATLTPDTEDLKKLSGLSSRAALPSSFQPDNIIQLASVLPALFNMLNIRRAIIPSANGHCSARALARYYAALVDGGVVPPPHSSSSKPALGSHPHIPKYPVQSSPKKQKGSRTKKVAAAFRCRTNKYEQTPQDPDQDIVSHSRNTSNDSDTGLTEVIVSPKNDNDGKIFSNPRIHDAFLGVGEYANLVKPDGNFGLGFKRYRSKDGPLTGFGHSGMGGSTGFVDIENRFAIAVTVNKMTFGAATGRIIQFVCSELNIPVPEDYSKFAESGSEVGKPLIN >ONI33091 pep chromosome:Prunus_persica_NCBIv2:G1:35625856:35628351:1 gene:PRUPE_1G405000 transcript:ONI33091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNFDISEIGNQQHLMDISNSCSPILPMFNHQKQNPHHPHFNQQLEQPEPYHISHLVHPTPITHDLFQRQHLQALQQQGRLHWQLGPVNFKLGLNENSGNGESALLGAGLFDDENGDDPRLLQSRMHNLGFKSWQTHEPMCWKPLDAAIPETNRKQKEANEGDICKDLENKYRLYGELEAIYSLAKMGEANQTGSGSALTGENSPKNVDLPVPFCDPHDLNVAPTAQVRVDNGSEASIGEESSPRKIEKRKRKRTMKKQLSSVISFFESLVKQVMDHQENLHKKYLEVIERMDKERREREAAWRSQEAENHKREAIAKVHEQALASSREALIVSYIEKITGQRVNLPSRQAPLLLQPDNLNEPPVEELTPFKIDHTNSRWPQSEVKALILVRSSIESKFQEPGVKGPVWEEVSVLMGSMGYQRSAKRCKQKWENINKYFRKTKDSAKKRPHNFKTCSYFNQLDQLYSGTPITAPSSSSSYYSSNPSASMDDNIPKQGFSDLLEAFVAGREAGVAHNLSSGNFEISEMGSNRLDFDGIIGGKVEDVQGDLVKEKENREDDENVDETEDTDDDDSDE >ONI33092 pep chromosome:Prunus_persica_NCBIv2:G1:35625852:35630758:1 gene:PRUPE_1G405000 transcript:ONI33092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNFDISEIGNQQHLMDISNSCSPILPMFNHQKQNPHHPHFNQQLEQPEPYHISHLVHPTPITHDLFQRQHLQALQQQGRLHWQLGPVNFKLGLNENSGNGESALLGAGLFDDENGDDPRLLQSRMHNLGFKSWQTHEPMCWKPLDAAIPETNRKQKEANEGDICKDLENKYRLYGELEAIYSLAKMGEANQTGSGSALTGENSPKNVDLPVPFCDPHDLNVAPTAQVRVDNGSEASIGEESSPRKIEKRKRKRTMKKQLSSVISFFESLVKQVMDHQENLHKKYLEVIERMDKERREREAAWRSQEAENHKREAIAKVHEQALASSREALIVSYIEKITGQRVNLPSRQAPLLLQPDNLNEPPVEELTPFKIDHTNSRWPQSEVKALILVRSSIESKFQEPGVKGPVWEEVSVLMGSMGYQRSAKRCKQKWENINKYFRKTKDSAKKRPHNFKTCSYFNQLDQLYSGTPITAPSSSSSYYSSNPSASMDDNIPKQGFSDLLEAFVAGREAGVAHNLSSGNFEISEMGSNRLDFDGIIGGKVEDVQGDLVKEKENREDDENVDETEDTDDDDSDE >ONI33090 pep chromosome:Prunus_persica_NCBIv2:G1:35625833:35628523:1 gene:PRUPE_1G405000 transcript:ONI33090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNFDISEIGNQQHLMDISNSCSPILPMFNHQKQNPHHPHFNQQLEQPEPYHISHLVHPTPITHDLFQRQHLQALQQQGRLHWQLGPVNFKLGLNENSGNGESALLGAGLFDDENGDDPRLLQSRMHNLGFKSWQTHEPMCWKPLDAAIPETNRKQKEANEGDICKDLENKYRLYGELEAIYSLAKMGEANQTGSGSALTGENSPKNVDLPVPFCDPHDLNVAPTAQVRVDNGSEASIGEESSPRKIEKRKRKRTMKKQLSSVISFFESLVKQVMDHQENLHKKYLEVIERMDKERREREAAWRSQEAENHKREAIAKVHEQALASSREALIVSYIEKITGQRVNLPSRQAPLLLQPDNLNEPPVEELTPFKIDHTNSRWPQSEVKALILVRSSIESKFQEPGVKGPVWEEVSVLMGSMGYQRSAKRCKQKWENINKYFRKTKDSAKKRPHNFKTCSYFNQLDQLYSGTPITAPSSSSSYYSSNPSASMDDNIPKQGFSDLLEAFVAGREAGVAHNLSSGNFEISEMGSNRLDFDGIIGGKVEDVQGDLVKEKENREDDENVDETEDTDDDDSDE >ONI31393 pep chromosome:Prunus_persica_NCBIv2:G1:30129210:30130406:-1 gene:PRUPE_1G309700 transcript:ONI31393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSFCHHHHILTFKSSKLSSNLRLVYVMEDHTSNSKKRIRDNSDDSEHDSAEVKRLREDLLGFLDDSELDAATQDLDSVMKSFEEEIASTTSCPTSPRPSPPTPSAPVPVVDLTSDSGESNPDLGYLLGASDDELGLPPSENFSEVLAEGRETELVRVSSDSSGIDEFWRFDDHPPIRGVRWTV >ONI31392 pep chromosome:Prunus_persica_NCBIv2:G1:30128451:30130655:-1 gene:PRUPE_1G309700 transcript:ONI31392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSFCHHHHILTFKSSKLSSNLRLVYVMEDHTSNSKKRIRDNSDDSEHDSAEVKRLREDLLGFLDDSELDAATQDLDSVMKSFEEEIASTTSCPTSPRPSPPTPSAPVPVVDLTSDSGESNPDLGYLLGASDDELGLPPSENFSEVLAEGRETELVRVSSDSSGIDEFWRFDDHPPSYDSFELGVHVHDSSTEYVAFDGLFENSDVYFDSSDYTDFSWRFETLPAQ >ONI29772 pep chromosome:Prunus_persica_NCBIv2:G1:22739385:22742680:1 gene:PRUPE_1G214000 transcript:ONI29772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMDVDNKLFSVGLMIVATLVVAKLISWLLMSRSGKRLPPVVNTWPVIGGLLRFLKGPIVMLREEYPKLGSVFTLNLLNKKITFLIGPEVSAHFFKAPESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKAYVDQMVTEAEDYFSKWGDSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVIFPYLPIPAHRRRDQARKKLSEIFASIINSRKHAEQCENDMLQCFIDSKYKNGRPTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLRHKEYLSAVSEEQKNLMKKHGKKVDHDILSEMDVLYRSIKEALRLHPPLIMLLRSSHSDFSVQTREGKEYDIPKGHIVATSPYFANRLPHIYKEPDTYNPERFAAGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKMMVRYKRRELSFD >ONI29771 pep chromosome:Prunus_persica_NCBIv2:G1:22739345:22742746:1 gene:PRUPE_1G214000 transcript:ONI29771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMDVDNKLFSVGLMIVATLVVAKLISWLLMSRSGKRLPPVVNTWPVIGGLLRFLKGPIVMLREEYPKLGSVFTLNLLNKKITFLIGPEVSAHFFKAPESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKAYVDQMVTEAEDYFSKWGDSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVIFPYLPIPAHRRRDQARKKLSEIFASIINSRKHAEQCENDMLQCFIDSKYKNGRPTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLRHKEYLSAVSEEQKNLMKKHGKKVDHDILSEMDVLYRSIKEALRLHPPLIMLLRSSHSDFSVQTREGKEYDIPKGHIVATSPYFANRLPHIYKEPDTYNPERFAAGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKMMVRYKRRELSFD >ONI29770 pep chromosome:Prunus_persica_NCBIv2:G1:22739518:22742618:1 gene:PRUPE_1G214000 transcript:ONI29770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMDVDNKLFSVGLMIVATLVVAKLISWLLMSRSGKRLPPVVNTWPVIGGLLRFLKGPIVMLREEYPKLGSVFTLNLLNKKITFLIGPEVSAHFFKAPESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKAYVDQMVTEAEDYFSKWGDSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVIFPYLPIPAHRRRDQARKKLSEIFASIINSRKHAEQCENDMLQCFIDSKYKNGRPTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLRHKEYLSAVSEEQKNLMKKHGKKVDHDILSEMDVLYRSIKEALRLHPPLIMLLRSSHSDFSVQTREGKEYDIPKGHIVATSPYFANRLPHIYKEPDTYNPERFAAGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKMMVRYKRRELSFD >ONI29769 pep chromosome:Prunus_persica_NCBIv2:G1:22739597:22742680:1 gene:PRUPE_1G214000 transcript:ONI29769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMDVDNKLFSVGLMIVATLVVAKLISWLLMSRSGKRLPPVVNTWPVIGGLLRFLKGPIVMLREEYPKLGSVFTLNLLNKKITFLIGPEVSAHFFKAPESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKAYVDQMVTEAEDYFSKWGDSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVIFPYLPIPAHRRRDQARKKLSEIFASIINSRKHAEQCENDMLQCFIDSKYKNGRPTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLRHKEYLSAVSEEQKNLMKKHGKKVDHDILSEMDVLYRSIKEALRLHPPLIMLLRSSHSDFSVQTREGKEYDIPKGHIVATSPYFANRLPHIYKEPDTYNPERFAAGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKMMVRYKRRELSFD >ONI26105 pep chromosome:Prunus_persica_NCBIv2:G1:396639:400357:1 gene:PRUPE_1G004200 transcript:ONI26105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKTPPRFRAVGQRSLPSLFSSCSKKSVKAVEEDPDGGSHVPLSERKPHQTAGKPTTVKARLRPFSSIFGLRDLSECIDHDEQIEAKKGGEAEKNHVAALLAFEQFKHTDLGKGHSLCPSTDGGRESSNGDVVEESRKRRNPFEAGVDKHIARKHFTTLGGDSEPSQTRRNESFISKEKPGPVYNYNANGCGWWDCDREGIDNEEVGLNEIWEGVGSTTLGGIEWH >ONI26104 pep chromosome:Prunus_persica_NCBIv2:G1:396639:400357:1 gene:PRUPE_1G004200 transcript:ONI26104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKTPPRFRAVGQRSLPSLFSSCSKKSVKAVEEDPDGGSHVPLSERKPHQTAGKPTTVKARLRPFSSIFGLRDLSECIDHDEQIEAKKGGEAEKNHVAALLAFEQFKHTDLGKGHSLCPSTDGGRESSNGDVVEESRKRRNPFEAGVDKHIARKHFTTLGGDSEPSQTRRNESFISKEKPGPVYNYSGGGKHTVRKHFTVLGGDPKPKPQAYQKRRRNESFVIKEKAGPVYNHYANGCGWWDCDREGIDNEEVGLNEIWEGVGSTTLGGIEWH >ONI27605 pep chromosome:Prunus_persica_NCBIv2:G1:7570388:7570939:-1 gene:PRUPE_1G095800 transcript:ONI27605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITLLTTFLNSILTIFYKICIKVALNDLSSNANFLQVNSPNSPTRGEKTYLAVVWNLALVVSVLEEICGIEALGKAEQLIKGSKQRGFSLNILFGALSLSVFCGVLMSENAAMIPLLLLNSIYCLIEMFKLTTYTVLYHECKETHGEELEMQGGTKYTKVAFTPLISADAP >ONI34493 pep chromosome:Prunus_persica_NCBIv2:G1:40364484:40365554:1 gene:PRUPE_1G484500 transcript:ONI34493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTHRDCILSIIQFLEGANLHESARKVERESGLFFNLNYFEDCFIRGAFQKAEEYVSSFTTVHDNHCSTRIIHEIRWHKFLEAMDNKRYDDAKSILEEFKDFERYNPNIIAQMTELFDLEKFRSFEGLPGDPELERRAAWEHIKKYLKANQRLAGKLRYQVGSPNFRGFLPVIPPTQAAQSSASAGSGPQGNGNLRMINGNLPCCCPRNRRV >ONI33497 pep chromosome:Prunus_persica_NCBIv2:G1:36795650:36796418:1 gene:PRUPE_1G428300 transcript:ONI33497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQNLVLMVLVFFSMLVSGYKENTMPLSVPNNVEQNQQEHEDDMNKRPQQQQQQQQQRLRHSFEAFFSSKRKVPNASDPLHNR >ONI34319 pep chromosome:Prunus_persica_NCBIv2:G1:39431597:39433040:1 gene:PRUPE_1G474600 transcript:ONI34319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCNHLNFQRRDSCQRCGEPKSGERVEYGSFGGRGGGGGSFGFTTGPDVRPGDWYCTIGNCAAHNFASRSSCFKCGASKDESSSGGGGGYEGRGFGFGSGGSSSGRSGWKSGDWICTRSGCNEHNFASRTECFRCNAPRDNNGGKSPY >ONI34321 pep chromosome:Prunus_persica_NCBIv2:G1:39431928:39432542:1 gene:PRUPE_1G474600 transcript:ONI34321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCNHLNFQRRDSCQRCGEPKSGERVEYGSFGGRGGGGGSFGFTTGPDVRPGDWYCTIGNCAAHNFASRSSCFKCGASKDESSSGGGGGYEGRGFGFGSGGSSSGRSGWKSGDWICTRSGCNEHNFASRTECFRCNAPRDNNGGKSPY >ONI34316 pep chromosome:Prunus_persica_NCBIv2:G1:39431542:39433083:1 gene:PRUPE_1G474600 transcript:ONI34316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCNHLNFQRRDSCQRCGEPKSGERVEYGSFGGRGGGGGSFGFTTGPDVRPGDWYCTIGNCAAHNFASRSSCFKCGASKDESSSGGGGGYEGRGFGFGSGGSSSGRSGWKSGDWICTRSGCNEHNFASRTECFRCNAPRDNNGGTAVLC >ONI34317 pep chromosome:Prunus_persica_NCBIv2:G1:39431586:39433040:1 gene:PRUPE_1G474600 transcript:ONI34317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCNHLNFQRRDSCQRCGEPKSGERVEYGSFGGRGGGGGSFGFTTGPDVRPGDWYCTIGNCAAHNFASRSSCFKCGASKDESSSGGGGGYEGRGFGFGSGGSSSGRSGWKSGDWICTRSGCNEHNFASRTECFRCNAPRDNNGGKSPY >ONI34320 pep chromosome:Prunus_persica_NCBIv2:G1:39431631:39433040:1 gene:PRUPE_1G474600 transcript:ONI34320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCNHLNFQRRDSCQRCGEPKSGERVEYGSFGGRGGGGGSFGFTTGPDVRPGDWYCTIGNCAAHNFASRSSCFKCGASKDESSSGGGGGYEGRGFGFGSGGSSSGRSGWKSGDWICTRSGCNEHNFASRTECFRCNAPRDNNGGKSPY >ONI34318 pep chromosome:Prunus_persica_NCBIv2:G1:39431595:39433040:1 gene:PRUPE_1G474600 transcript:ONI34318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCNHLNFQRRDSCQRCGEPKSGERVEYGSFGGRGGGGGSFGFTTGPDVRPGDWYCTIGNCAAHNFASRSSCFKCGASKDESSSGGGGGYEGRGFGFGSGGSSSGRSGWKSGDWICTRSGCNEHNFASRTECFRCNAPRDNNGGKSPY >ONI27297 pep chromosome:Prunus_persica_NCBIv2:G1:5626883:5630982:1 gene:PRUPE_1G078200 transcript:ONI27297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAQINVLGTAPNFTTPRTVFLGQRLGQTTPLKGFMSLRSSGVRRVGPLRIVNEKVVGIDLGTTNSAVGAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYKVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFDRKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAADFKRNEGIDLLKDKQALQRLTETAEKAKMELSSLTQANISLPFITATADGPKHIETTLTRAKFEELCSDLLDRCELLRTPVETSLRDAKLAWKDIDEVILVGGSTRIPAVQELVRKVTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGTGKKQDITITGASTLPSDEVERMVSEAERFAKEDKEKREAIDTKNQADSVVYQTEKQLKELGDKVPAEVKEKVEAKLGELKESVAGGSTQAIKDAITALNQEVMQLGQSLYNQPGAPGAGAGAGPTPPGAEAGGPSESSSGKGPDGDVIDADFTDSK >ONI27296 pep chromosome:Prunus_persica_NCBIv2:G1:5626690:5630985:1 gene:PRUPE_1G078200 transcript:ONI27296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAQINVLGTASGSSFKRPNFTTPRTVFLGQRLGQTTPLKGFMSLRSSGVRRVGPLRIVNEKVVGIDLGTTNSAVGAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYKVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFDRKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAADFKRNEGIDLLKDKQALQRLTETAEKAKMELSSLTQANISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVETSLRDAKLAWKDIDEVILVGGSTRIPAVQELVRKVTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGTGKKQDITITGASTLPSDEVERMVSEAERFAKEDKEKREAIDTKNQADSVVYQTEKQLKELGDKVPAEVKEKVEAKLGELKESVAGGSTQAIKDAITALNQEVMQLGQSLYNQPGAPGAGAGAGPTPPGAEAGGPSESSSGKGPDGDVIDADFTDSK >ONI35476 pep chromosome:Prunus_persica_NCBIv2:G1:43997536:44003808:-1 gene:PRUPE_1G538400 transcript:ONI35476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGVRLHIQGGHVVMDNGILQVTLSNPDGIVTGIRYNGIDNVLEPLNKETNRGFVMLRASSGFYSYAIYEHLKDWPDFEIGETRITFKLRKDKFQYMAVSDNRQRYMPLPDDRLPGRCQTLAYPEAVLLENPKMKELAGEVDDKYQYSCENKDNKVHGWICTNPPVGFWQITPSDEFRSGGPHKQNLTSHVGPTTLAMFLSGHYAGQDLVPKFRGGEPWKKVFGPVFIYLNSSAIKDDPFWLWEDAKIQMMTEVQSWPYSFPASEDFQKSDQRGNVSGRLLVLDRYICEELIPANGAYVGLAPPGDVGSWQRECKDYQFWMRADDRGYFSISNIRTGTYNLFAWVPGFIGDYRYDAVINITSGSFIEMGDLVFEPPRDGPTLWEIGIPDRSAAEFYVPDPDPNHINRLFVNHPDRFRQYGLWNRYAESYPHEDLVYIVGVSDYAKDWFFAQVPRQKENGHEGTTWQIRFELRNVDRNSTYKLRVAIASATLAELQVRINDPNSRRPLFTSGLIGRDNSVARHGIHGIYWLYNVNVPGAQLVDGTNTFFFKQPRCTSPFQGLMYDYIRFEGPPSCGSSSS >ONI35477 pep chromosome:Prunus_persica_NCBIv2:G1:43997536:44004362:-1 gene:PRUPE_1G538400 transcript:ONI35477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGVRLHIQGGHVVMDNGILQVTLSNPDGIVTGIRYNGIDNVLEPLNKETNRGYWDLVWSAPGSKGIFDVIKGTSFRVIVDNGEQVEVSFTRMWDPSLEGKYVPLNIDKRFVMLRASSGFYSYAIYEHLKDWPDFEIGETRITFKLRKDKFQYMAVSDNRQRYMPLPDDRLPGRCQTLAYPEAVLLENPKMKELAGEVDDKYQYSCENKDNKVHGWICTNPPVGFWQITPSDEFRSGGPHKQNLTSHVGPTTLAMFLSGHYAGQDLVPKFRGGEPWKKVFGPVFIYLNSSAIKDDPFWLWEDAKIQMMTEVQSWPYSFPASEDFQKSDQRGNVSGRLLVLDRYICEELIPANGAYVGLAPPGDVGSWQRECKDYQFWMRADDRGYFSISNIRTGTYNLFAWVPGFIGDYRYDAVINITSGSFIEMGDLVFEPPRDGPTLWEIGIPDRSAAEFYVPDPDPNHINRLFVNHPDRFRQYGLWNRYAESYPHEDLVYIVGVSDYAKDWFFAQVPRQKENGHEGTTWQIRFELRNVDRNSTYKLRVAIASATLAELQVRINDPNSRRPLFTSGLIGRDNSVARHGIHGIYWLYNVNVPGAQLVDGTNTFFFKQPRCTSPFQGLMYDYIRFEGPPSCGSSSS >ONI27411 pep chromosome:Prunus_persica_NCBIv2:G1:6260842:6264465:-1 gene:PRUPE_1G084300 transcript:ONI27411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIRTNSLKRLFSLKWRSLEEATSLNGSKGEENNGSFKNVEEAELSPKPTWKCFSYEEISDATNGFSSENLVGKGGYAEVYRGILKDGEEIAVKRLTKAVTDERKEKEFLNEIGTIGHVCHPNVLSLVGCCIDNGLYLIFHFSSKGSVASLLHDEDLPPMDWKTRYKISIGTARGLHYLHKGCQRRIIHRDIKSTNILLTPDFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYYLHGIVDEKTDVFAFGVILLELISGRKPVDGSHQSLHSWAKPILNQGEIEKLVDPRLRGAYDVTELKRLAFAGSLCIRASPTWRPTMTEVLEVMGSEGDIDKERWKMPEEEKEQDEFWGFEDLEYECNNSSFSISPHDSISTGSC >ONI27412 pep chromosome:Prunus_persica_NCBIv2:G1:6262792:6264204:-1 gene:PRUPE_1G084300 transcript:ONI27412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIRTNSLKRLFSLKWRSLEEATSLNGSKGEENNGSFKNVEEAELSPKPTWKCFSYEEISDATNGFSSENLVGKGGYAEVYRGILKDGEEIAVKRLTKAVTDERKEKEFLNEIGTIGHVCHPNVLSLVGCCIDNGLYLIFHFSSKGSVASLLHDEDLPPMDWKTRYKISIGTARGLHYLHKGCQRRIIHRDIKSTNILLTPDFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYYLHGIVDEKTDVFAFGVILLELISGRKPVDGSHQSLHSWDFKSNFNRQNQY >ONI28837 pep chromosome:Prunus_persica_NCBIv2:G1:13225297:13226896:1 gene:PRUPE_1G164100 transcript:ONI28837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDRCEDRVLCYKSKLWLVSLKCSIRMSFSAPKQMKTKIAQAFIYLSPFLRNPSLGRTYENFHFE >ONI31425 pep chromosome:Prunus_persica_NCBIv2:G1:30291520:30293997:-1 gene:PRUPE_1G312200 transcript:ONI31425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSLTLSFRPTEPSLFDRKSFSPIKYAQKQHVPIFHQPKLDPRRTQFSVTAKRPSPIEGLSEEMSVMASQNLDHAPARRRVRSAFVDVHQQLDHCLFKWYGRNSKGMQIFCKSWLPKLDVQIKGALCFCHGYGSTCTFFFEGIAKRIAASGYAVYAVDYPGFGLSEGLHGYIPNFDELVDDVNEQFTNIKGRPEVKGLPFFIMGESMGGAVTLKIHLKEPSKWDGVILVAPMCKIAEDVMPPAIVLKLLALMSEVLPQAKLFPQKDMAGLSYRDPRKRKTAGYNVISYKDHMRSRTAVELLKATSDIETQLDKVSGPLLILHGAADKVTDPLVSQLLYEKASSKDKTLKLYQDGYHCILQGEPDDRIFTVLDDIVTWLDFRCSPN >ONI31426 pep chromosome:Prunus_persica_NCBIv2:G1:30291520:30293997:-1 gene:PRUPE_1G312200 transcript:ONI31426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSLTLSFRPTEPSLFDRKSFSPIKYAQKQHVPIFHQPKLDPRRTQFSVTAKRPSPIEGLSEEMSVMASQNLDHAPARRRVRSAFVDVHQQLDHCLFKMDHAGIRTEEWYGRNSKGMQIFCKSWLPKLDVQIKGALCFCHGYGSTCTFFFEGRPEVKGLPFFIMGESMGGAVTLKIHLKEPSKWDGVILVAPMCKIAEDVMPPAIVLKLLALMSEVLPQAKLFPQKDMAGLSYRDPRKRKTAGYNVISYKDHMRSRTAVELLKATSDIETQLDKVSGPLLILHGAADKVTDPLVSQLLYEKASSKDKTLKLYQDGYHCILQGEPDDRIFTVLDDIVTWLDFRCSPN >ONI31428 pep chromosome:Prunus_persica_NCBIv2:G1:30292266:30293780:-1 gene:PRUPE_1G312200 transcript:ONI31428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSLTLSFRPTEPSLFDRKSFSPIKYAQKQHVPIFHQPKLDPRRTQFSVTAKRPSPIEGLSEEMSVMASQNLDHAPARRRVRSAFVDVHQQLDHCLFKMDHAGIRTEEWYGRNSKGMQIFCKSWLPKLDVQIKGALCFCHGYGSTCTFFFEGIAKRIAASGYAVYAVDYPGFGLSEGLHGYIPNFDELVDDVNEQFTNIKGRPEVKGLPFFIMGESMGGAVTLKIHLKEPSKWDGVILVAPMCKLHSDFSFLDHQLNICLLTLSCQDCRGRDASSNSSEAISTHV >ONI31429 pep chromosome:Prunus_persica_NCBIv2:G1:30292309:30293780:-1 gene:PRUPE_1G312200 transcript:ONI31429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSLTLSFRPTEPSLFDRKSFSPIKYAQKQHVPIFHQPKLDPRRTQFSVTAKRPSPIEGLSEEMSVMASQNLDHAPARRRVRSAFVDVHQQLDHCLFKMDHAGIRTEEWYGRNSKGMQIFCKSWLPKLDVQIKGALCFCHGYGSTCTFFFEGIAKRIAASGYAVYAVDYPGFGLSEGLHGYIPNFDELVDDVNEQFTNIKGRPEVKGLPFFIMGESMGGAVTLKIHLKEPSKWDGVILVAPMCKLPRLQRT >ONI31427 pep chromosome:Prunus_persica_NCBIv2:G1:30291696:30293780:-1 gene:PRUPE_1G312200 transcript:ONI31427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSLTLSFRPTEPSLFDRKSFSPIKYAQKQHVPIFHQPKLDPRRTQFSVTAKRPSPIEGLSEEMSVMASQNLDHAPARRRVRSAFVDVHQQLDHCLFKMDHAGIRTEEWYGRNSKGMQIFCKSWLPKLDVQIKGALCFCHGYGSTCTFFFEGIAKRIAASGYAVYAVDYPGFGLSEGLHGYIPNFDELVDDVNEQFTNIKGRPEVKGLPFFIMGESMGGAVTLKIHLKEPSKWDGVILVAPMCKIAEDVMPPAIVLKLLALMSEVLPQAKLFPQKDMAGLSYRDPRKRKTAGYNVISYKDHMRSRTAVELLKATSDIETQLDKVSGPLLILHGAADKVTDPLVSQLLYEKASSKDKTLKLYQDGYHCILQGEPDDRIFTVLDDIVTWLDFRCSPN >ONI36126 pep chromosome:Prunus_persica_NCBIv2:G1:46487459:46489145:1 gene:PRUPE_1G570800 transcript:ONI36126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHITFGQVQMFQLLLRPRLVQASLFFNLMALLFLTILILPNWDMFFKDGLVGMVFPNTSEEVVIKLKKGDVIPVPLGSVSWWFNNGGSNSEELVVVFLGETTRAYIPGQFTYFLLAGTQSLLGGFSTEFVSKAYNITKDEADKITKSQTGVLIIKLGEDEKTKIPKPSENSTHKLVHNITCTTTTLTEKEFPFLKQAGLSASLIKLEANAISSPIYTADATVQVVYVAGGSGQIQIVGLDGKLALDTQVKAGDLFVVPSFFMVAKLAGKNGLECFSVITSSQPVLEDLAGKTSALGALSPEMLQIALNITPELQGLLSSRIRKI >ONI36125 pep chromosome:Prunus_persica_NCBIv2:G1:46487303:46489261:1 gene:PRUPE_1G570800 transcript:ONI36125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPKQAQKLFEGDGGAYYIWSSSDVPALVEAKVGAGKLVLQPHGFALPHYSDSSKLGYVLQGEDGLVGMVFPNTSEEVVIKLKKGDVIPVPLGSVSWWFNNGGSNSEELVVVFLGETTRAYIPGQFTYFLLAGTQSLLGGFSTEFVSKAYNITKDEADKITKSQTGVLIIKLGEDEKTKIPKPSENSTHKLVHNITCTTTTLTEKEFPFLKQAGLSASLIKLEANAISSPIYTADATVQVVYVAGGSGQIQIVGLDGKLALDTQVKAGDLFVVPSFFMVAKLAGKNGLECFSVITSSQPVLEDLAGKTSALGALSPEMLQIALNITPELQGLLSSRIRKI >ONI26300 pep chromosome:Prunus_persica_NCBIv2:G1:1124355:1127303:-1 gene:PRUPE_1G015800 transcript:ONI26300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEQVKCECCGLKEDCTQDYISQVKAKFDGKWLCGLCSEAVRDEVSKGSNKSFGMEEAVRAHMSFCGKFKSNPAIRVADGMRQMLRRRSDMSSSPSSSSPKKYTRSASTSQVGDSSSFSLY >ONI27828 pep chromosome:Prunus_persica_NCBIv2:G1:8577607:8585227:-1 gene:PRUPE_1G106900 transcript:ONI27828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPVERNVHSIIEEEDEEVQLQWAAIERLPTLKRLKTSFFDVGGGENGSGGKDSKDYAGKRVVDVTKLGAHERHLFIEKLISHIENDNLKLLQKLRERIDRVNVKLPTVEVRYKNLFVEAECEVVQGKPLPTLWNSLLSLLSVFTKAIWFNSVEAKISILTDVSGIIKPSRLTLLLGPPGCGKTTLLQALAGKQDKSLEVSGEISYNGHKLDEFVPQKTSAYISEYDLHIPELTVRETIDFSARCQGVRSRADIMMEVSRREKEAGIVPDPDIDTYMKAISVQGQKRNLQTDYVLKILGLDTCSDTMVGDALSRGISGGQKKRLTTGEMIVGPTKALFMDEISTGLDSSTTFQIVTYLQQLVHITDATALVSLLQPAPETFDLFDDVILMGEGKVVYHGPRSHALQFFEDCGFKCPSRKGAADFLQEVISKKDQAQYWKHDNIPYNHVSVDQLSQLFRASYLGNKLDDELSKHYDKSQSHDNTLSFTTYSVSKWELFKACMARELLLMKRNSFVYVFKTVQLIIIAFITMTVFIRTQMAVNLTSANFLLGALFYTLVRHMTNGVAELSLTVTRLPVVYKQRGFYLYPAWAYSIPASMLKVPFSFMDSVLWTATTYYVIGYSPEIKRFFCQFLVLFALHQASTSMCRLVAVIFRTMVAATTCGTFILVVMFLCGGFILPRPSLPPWLRWVFWCSPMTYGEIGTALNEFLAPRWQKVSKGNTTLGNEVLTSHGLNFDGSFYWISVGALFGFTVLFDLGFALALTYLNPPKMSRAIISEKRLSQLQGKDACNTSAQSENVSTPADLYHNVGEKLKFGKMGLALPFEPLTMSFKDVQYYVDTPPEMREHGFKQKKLQLLKDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGTIEGDIRIGGHPKVQKTFARISGYCEQTDIHSPHITVGESVMYSAWLRLPPDTDPDTKSRFVEEVIETIELEDIKDSLVGIPGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVVDTGRTTVCTIHQPSIDIFESFDELILMKTGGQIIYSGILGHQSSKLIEYFEGIPGVPKIKDNYNPATWMLEVTSASVEEELGLDFASIYRESTQYRDTIELVRQLSVPKPGSKDLYFPTPFPQNSWVQFKACLWKQHLSYWRSPEYNLARFMFMISASVLFGIIFWQKGKEINNEQDLLNILGSMYIAVIFLGVTNCNLVLPYVETERTVLYRERFAGMYSSKAYSFAQVAVEMPYTMLQAILFVIITYPTIGYYWSATKVFWYFYATFWTFLYFVYLGMLIASLSTNLDVASILATAVYTILNLFSGFLMPGPKIPKWWVWCYWICPTSWSLNGLLTSQYGDMNKEILIFGERKTVGSFLQDYYGFHHDGLALVAIVLIAFPVAYASLFAYCIGKLNFQRR >ONI27830 pep chromosome:Prunus_persica_NCBIv2:G1:8577607:8585220:-1 gene:PRUPE_1G106900 transcript:ONI27830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPVERNVHSIIEEEDEEVQLQWAAIERLPTLKRLKTSFFDVGGGENGSGGKDSKDYAGKRVVDVTKLGAHERHLFIEKLISHIENDNLKLLQKLRERIDRVNVKLPTVEVRYKNLFVEAECEVVQGKPLPTLWNSLLSLLSVFTKAIWFNSVEAKISILTDVSGIIKPSRLTLLLGPPGCGKTTLLQALAGKQDKSLEVSGEISYNGHKLDEFVPQKTSAYISEYDLHIPELTVRETIDFSARCQGVRSRADIMMEVSRREKEAGIVPDPDIDTYMKAISVQGQKRNLQTDYVLKILGLDTCSDTMVGDALSRGISGGQKKRLTTGEMIVGPTKALFMDEISTGLDSSTTFQIVTYLQQLVHITDATALVSLLQPAPETFDLFDDVILMGEGKVVYHGPRSHALQFFEDCGFKCPSRKGAADFLQEVISKKDQAQYWKHDNIPYNHVSVDQLSQLFRASYLGNKLDDELSKHYDKSQSHDNTLSFTTYSVSKWELFKACMARELLLMKRNSFVYVFKTVQLIIIAFITMTVFIRTQMAVNLTSANFLLGALFYTLVRHMTNGVAELSLTVTRLPVVYKQRGFYLYPAWAYSIPASMLKVPFSFMDSVLWTATTYYVIGYSPEIKRFFCQFLVLFALHQASTSMCRLVAVIFRTMVAATTCGTFILVVMFLCGGFILPRPSLPPWLRWVFWCSPMTYGEIGTALNEFLAPRWQKVSKGNTTLGNEVLTSHGLNFDGSFYWISVGALFGFTVLFDLGFALALTYLNPPKMSRAIISEKRLSQLQGKDACNTSAQSENVSTPADLYHNVGEKLKFGKMGLALPFEPLTMSFKDVQYYVDTPPEMREHGFKQKKLQLLKDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGTIEGDIRIGGHPKVQKTFARISGYCEQTDIHSPHITVGESVMYSAWLRLPPDTDPDTKSRFVEEVIETIELEDIKDSLVGIPGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVVDTGRTTVCTIHQPSIDIFESFDELILMKTGGQIIYSGILGHQSSKLIEYFEGIPGVPKIKDNYNPATWMLEVTSASVEEELGLDFASIYRESTQYRDTIELVRQLSVPKPGSKDLYFPTPFPQNSWVQFKACLWKQHLSYWRSPEYNLARFMFMISASVLFGIIFWQKGKEINNEQDLLNILGSMYIAVIFLGVTNCNLVLPYVETERTVLYRERFAGMYSSKAYSFAQVAVEMPYTMLQAILFVIITYPTIGYYWSATKVFWYFYATFWTFLYFVYLGMLIASLSTNLDVASILATAVYTILNLFSGFLMPGPKIPKWWVWCYWICPTSWSLNGLLTSQYGDMNKEILIFGERKTVGSFLQDYYGFHHDGLALVAIVLIAFPVAYASLFAYCIGKLNFQRR >ONI27829 pep chromosome:Prunus_persica_NCBIv2:G1:8577607:8585212:-1 gene:PRUPE_1G106900 transcript:ONI27829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPVERNVHSIIEEEDEEVQLQWAAIERLPTLKRLKTSFFDVGGGENGSGGKDSKDYAGKRVVDVTKLGAHERHLFIEKLISHIENDNLKLLQKLRERIDRVNVKLPTVEVRYKNLFVEAECEVVQGKPLPTLWNSLLSLLSVFTKAIWFNSVEAKISILTDVSGIIKPSRLTLLLGPPGCGKTTLLQALAGKQDKSLEVSGEISYNGHKLDEFVPQKTSAYISEYDLHIPELTVRETIDFSARCQGVRSRADIMMEVSRREKEAGIVPDPDIDTYMKAISVQGQKRNLQTDYVLKILGLDTCSDTMVGDALSRGISGGQKKRLTTGEMIVGPTKALFMDEISTGLDSSTTFQIVTYLQQLVHITDATALVSLLQPAPETFDLFDDVILMGEGKVVYHGPRSHALQFFEDCGFKCPSRKGAADFLQEVISKKDQAQYWKHDNIPYNHVSVDQLSQLFRASYLGNKLDDELSKHYDKSQSHDNTLSFTTYSVSKWELFKACMARELLLMKRNSFVYVFKTVQLIIIAFITMTVFIRTQMAVNLTSANFLLGALFYTLVRHMTNGVAELSLTVTRLPVVYKQRGFYLYPAWAYSIPASMLKVPFSFMDSVLWTATTYYVIGYSPEIKRFFCQFLVLFALHQASTSMCRLVAVIFRTMVAATTCGTFILVVMFLCGGFILPRPSLPPWLRWVFWCSPMTYGEIGTALNEFLAPRWQKVSKGNTTLGNEVLTSHGLNFDGSFYWISVGALFGFTVLFDLGFALALTYLNPPKMSRAIISEKRLSQLQGKDACNTSAQSENVSTPADLYHNVGEKLKFGKMGLALPFEPLTMSFKDVQYYVDTPPEMREHGFKQKKLQLLKDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGTIEGDIRIGGHPKVQKTFARISGYCEQTDIHSPHITVGESVMYSAWLRLPPDTDPDTKSRFVEEVIETIELEDIKDSLVGIPGQSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVVDTGRTTVCTIHQPSIDIFESFDELILMKTGGQIIYSGILGHQSSKLIEYFEGIPGVPKIKDNYNPATWMLEVTSASVEEELGLDFASIYRESTQYRDTIELVRQLSVPKPGSKDLYFPTPFPQNSWVQFKACLWKQHLSYWRSPEYNLARFMFMISASVLFGIIFWQKGKEINNEQDLLNILGSMYIAVIFLGVTNCNLVLPYVETERTVLYRERFAGMYSSKAYSFAQVAVEMPYTMLQAILFVIITYPTIGYYWSATKVFWYFYATFWTFLYFVYLGMLIASLSTNLDVASILATAVYTILNLFSGFLMPGPKIPKWWVWCYWICPTSWSLNGLLTSQYGDMNKEILIFGERKTVGSFLQDYYGFHHDGLALVAIVLIAFPVAYASLFAYCIGKLNFQRR >ONI34513 pep chromosome:Prunus_persica_NCBIv2:G1:40433349:40435522:1 gene:PRUPE_1G485500 transcript:ONI34513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVASPSEYLVITGAGIDDIKLAKKGWILPGQSYIIFDMSPVNYTFEVQAMSIEKLPFMLPAVFTIGPRIDDMPSLHKYAKLISLHDKLSTHVKELVQGIIEGETRVLSASMTMEEVFRGTKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEARKKGEIGSKEREGLMLQNAAKIDAETKIYATKREGEGKMAEIKVKAEVKVYENVREAEVAEANAELAKKKAGWGKEAHVAEVEAVKAVALRDAELQTEVERMNALTRTEKLKAEFLSKASVEYETKVQEANWELYNKQKAAEAILYLREKEAEAQKAIAEAELYACQQVADGEFYAKKKEAEGLMALGQAQGAYLCNLWDAVGGNYAAMRDFMMINGSIFPEIAKINADAVCGLQPKISIWTNGGGEGGRNGAMKEVAGVYKMLPPLFKTVHEQTGMLPPAWMGTLPNSGSNN >ONI30310 pep chromosome:Prunus_persica_NCBIv2:G1:25719439:25721967:-1 gene:PRUPE_1G243400 transcript:ONI30310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEKRIEDELSYPILLSERVRSAVDEAESFKLECSEVGKQVDRLSQMLRTVVRFATTAPFLYERPIRRIVAEVSKNLERALTLVRKCKRQSVLRRVVTITSATDFRKLFNFLESSVGDMKWLLSIFDPDSGGNNGIVLSLAPIASNDPILSWVWSFIATIQMGQLPDRVEAANELASLAQDNDRNKKIIVDEGGVSPLLKLLKEGSSPDAQIAAATALYNLASDQEKVRTIVNEVGVPAIVQVLGDSPMKVQSRVATLVARMAEHDSVAQEDFARENVIRPLVTLLSFETFVEDRKIESGKQSIHSLVQINKEMEKSTLAKSKPSSNSRESNFRPYSNSYSSSSYTYYAEGSNRGGHNHRKERENEKPHVKLQLKVSCAEALWMLARGSVSNSRRITETKGLLCLAKLVEMEQGELQFNCLMTIMEITAAAESNADLRRAAFKTNSPAAKAVVDQLLRLIKDVDSPTLQIPSIKSVGSLARTFPARETWVIGPLVTQLSHKNLDMATEAAISLGKFACPDNFLCMEHSKTIIEFNAIPPLMKLLRGNEHSQLHGLILLCYLALHSGNTYDLEPTRVLTALEGADRSALPQHPELRELVARAIYHLNLYHTGGHSQRLTYVP >ONI29782 pep chromosome:Prunus_persica_NCBIv2:G1:22758366:22760863:1 gene:PRUPE_1G214400 transcript:ONI29782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNGKESVMSMEINEGMEVNEGIEESNFESNEIERNKMGIMRALVEREDPSSKDVDDFMIRRFLRARDLDIEKASTLFLKYLSWRKSFVPNGSISESEIPRELAHNKLFMQGLDKTGRPIVVVFGGRHKRTKLEEFKRFVVYSLDKISARMPAGKEKFVSIADLEGWGYVNSDIRGYLAALSILQDCYPERLGKLYLVHVPYVFMTAWKMVYPFIDNKTKKKIVFVENKKLSSTLLSDIDESQLPDTYGGKLPLVPIQDC >ONI33630 pep chromosome:Prunus_persica_NCBIv2:G1:37242357:37242958:1 gene:PRUPE_1G436900 transcript:ONI33630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWETKRYKAPSKVPYTKEQIRDVFKRHETNGDGQLSKEELDAAFDELGAKWPPFRAWFARRYADDNGDGFISIDKELSKLVDYALELKYILH >ONI32549 pep chromosome:Prunus_persica_NCBIv2:G1:33897868:33898342:1 gene:PRUPE_1G372400 transcript:ONI32549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKGHVPILNNLSLFLSFCTTVGLIVEESLNLLLRKRYQDVISINTYRCVSLFQSPSFHNNKISISLHFAM >ONI31035 pep chromosome:Prunus_persica_NCBIv2:G1:28800173:28805349:1 gene:PRUPE_1G288500 transcript:ONI31035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGRLNSSTKSPKGNLSDGNRKLDAFSKRQTLAQHRKSLPIASVDKRLVEEVQKHDTLIIVGETGSGKTTQLPQFLYNAGFCGDGKLIGITQPRRVAAVTVAKRVAEECGVNLGEKVGYSIRFEDMTSSSTRIKYMTDGLLLREALLDPHLSRYSVIIVDEAHERTVHTDVLLGLLKSVQDARSKSLNNLQNTKNKKTNNDIQLKENGAQGLNFLKQYQGRTPLKLIIMSASLDARIFSEYFSGARAVHIQGRQFPVDIFYTLHVENDYLDASLATIFQIHLEEGPGDILVFLTGQEEIESVERLVKERLKQLPENSKKLVAVSIFSSLPSEQQMRVFAPAPPGFRKVILATNIAETSVTISGIKYVIDPGLVKARYYDPNKGLESLVVVPISKAQALQRSGRAGREGPGKCFRLYQEDQFGKFEDSTKPEIKRCNLSNIILQLKALGVDDISGFDFIEKPTRSAIVKSLEQLFLLGALTDDCKLSNPVGRQMACLPLDPIYSKALILASEFNCLEEMLITVAMLSVESIFYSPRDKKEESRTTKKSFASPEGDHLTLVNVYRASNEFLEKRSGLSKEKREKAFRKWCKENFIDSRSLRHARDIHSQIRRNVEQMGLRVASCGDDVLQFCRCLAASFFLNAALKQPEGSYRIKCTAERYAYWIIWECCCWIHYL >ONI31040 pep chromosome:Prunus_persica_NCBIv2:G1:28800173:28805349:1 gene:PRUPE_1G288500 transcript:ONI31040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGRLNSSTKSPKGNLSDGNRKLDAFSKRQTLAQHRKSLPIASVDKRLVEEVQKHDTLIIVGETGSGKTTQLPQFLYNAGFCGDGKLIGITQPRRVAAVTVAKRVAEECGVNLGEKVGYSIRFEDMTSSSTRIKYMTDGLLLREALLDPHLSRYSVIIVDEAHERTVHTDVLLGLLKSVQDARSKSLNNLQNTKNKKTNNDIQLKENGAQGLNFLKQYQGRTPLKLIIMSASLDARIFSEYFSGARAVHIQGRQFPVDIFYTLHVENDYLDASLATIFQVILATNIAETSVTISGIKYVIDPGLVKARYYDPNKGLESLVVVPISKAQALQRSGRAGREGPGKCFRLYQEDQFGKFEDSTKPEIKRCNLSNIILQLKALGVDDISGFDFIEKPTRSAIVKSLEQLFLLGALTDDCKLSNPVGRQMACLPLDPIYSKALILASEFNCLEEMLITVAMLSVESIFYSPRDKKEESRTTKKSFASPEGDHLTLVNVYRASNEFLEKRSGLSKEKREKAFRKWCKENFIDSRSLRHARDIHSQIRRNVEQMGLRVASCGDDVLQFCRCLAASFFLNAALKQPEGSYRALANGEIVSIHPSSVFFKNKPECLIFNELVETNRKYIRNTTKIDYLWLTELAPQFYAVQN >ONI31038 pep chromosome:Prunus_persica_NCBIv2:G1:28800147:28804726:1 gene:PRUPE_1G288500 transcript:ONI31038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGRLNSSTKSPKGNLSDGNRKLDAFSKRQTLAQHRKSLPIASVDKRLVEEVQKHDTLIIVGETGSGKTTQLPQFLYNAGFCGDGKLIGITQPRRVAAVTVAKRVAEECGVNLGEKVGYSIRFEDMTSSSTRIKYMTDGLLLREALLDPHLSRYSVIIVDEAHERTVHTDVLLGLLKSVQDARSKSLNNLQNTKNKKTNNDIQLKENGAQGLNFLKQYQGRTPLKLIIMSASLDARIFSEYFSGARAVHIQGRQFPVDIFYTLHVENDYLDASLATIFQIHLEEGPGDILVFLTGQEEIESVERLVKERLKQLPENSKKLVAVSIFSSLPSEQQMRVFAPAPPGFRKVILATNIAETSVTISGIKYVIDPGLVKARYYDPNKGLESLVVVPISKAQALQRSGRAGREGPGKCFRLYQEDQFGKFEDSTKPEIKRCNLSNIILQLKALGVDDISGFDFIEKPTRSAIVKSLEQLFLLGALTDDCKLSNPVGRQMACLPLDPIYSKALILASEFNCLEEMLITVAMLSVESIFYSPRDKKEESRTTKKSFASPEGDHLTLVNVYRASNEFLEKRSGLSKEKREKAFRKWCKENFIDSRSLRHARDIHSQIRRNVEQMGLRVASCGDDVLQFCRCLAASFFLNAALKQPEGSYRALANGEIVSIHPSSVFFKNKPECLIFNELVETNRKYIRNTTKIDYLWLTELAPQFYAVQN >ONI31034 pep chromosome:Prunus_persica_NCBIv2:G1:28800173:28805349:1 gene:PRUPE_1G288500 transcript:ONI31034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGRLNSSTKSPKGNLSDGNRKLDAFSKRQTLAQHRKSLPIASVDKRLVEEVQKHDTLIIVGETGSGKTTQLPQFLYNAGFCGDGKLIGITQPRRVAAVTVAKRVAEECGVNLGEKVGYSIRFEDMTSSSTRIKYMTDGLLLREALLDPHLSRYSVIIVDEAHERTVHTDVLLGLLKSVQDARSKSLNNLQNTKNKKTNNDIQLKENGAQGLNFLKQYQGRTPLKLIIMSASLDARIFSEYFSGARAVHIQGRQFPVDIFYTLHVENDYLDASLATIFQIHLEEGPGDILVFLTGQEEIESVERLVKERLKQLPENSKKLVAVSIFSSLPSEQQMRVFAPAPPGFRKVILATNIAETSVTISGIKYVIDPGLVKARYYDPNKGLESLVVVPISKAQALQRSGRAGREGPGKCFRLYQEDQFGKFEDSTKPEIKRCNLSNIILQLKALGVDDISGFDFIEKPTRSAIVKSLEQLFLLGALTDDCKLSNPVGRQMACLPLDPIYSKALILASEFNCLEEMLITVAMLSVESIFYSPRDKKEESRTTKKSFASPEGDHLTLVNVYRASNEFLEKRSGLSKEKREKAFRKWCKENFIDSRSLRHARDIHSQIRRNVEQMGLRVASCGDDVLQFCRCLAASFFLNAALKQPEGSYRIKCTAERYEQDTFHLGCNVI >ONI31036 pep chromosome:Prunus_persica_NCBIv2:G1:28800173:28805409:1 gene:PRUPE_1G288500 transcript:ONI31036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGRLNSSTKSPKGNLSDGNRKLDAFSKRQTLAQHRKSLPIASVDKRLVEEVQKHDTLIIVGETGSGKTTQLPQFLYNAGFCGDGKLIGITQPRRVAAVTVAKRVAEECGVNLGEKVGYSIRFEDMTSSSTRIKYMTDGLLLREALLDPHLSRYSVIIVDEAHERTVHTDVLLGLLKSVQDARSKSLNNLQNTKNKKTNNDIQLKENGAQGLNFLKQYQGRTPLKLIIMSASLDARIFSEYFSGARAVHIQGRQFPVDIFYTLHVENDYLDASLATIFQIHLEEGPGDILVFLTGQEEIESVERLVKERLKQLPENSKKLVAVSIFSSLPSEQQMRVFAPAPPGFRKVILATNIAETSVTISGIKYVIDPGLVKARYYDPNKGLESLVVVPISKAQALQRSGRAGREGPGKCFRLYQEDQFGKFEDSTKPEIKRCNLSNIILQLKALGVDDISGFDFIEKPTRSAIVKSLEQLFLLGALTDDCKLSNPVGRQMACLPLDPIYSKALILASEFNCLEEMLITVAMLSVESIFYSPRDKKEESRTTKKSFASPEGDHLTLVNVYRASNEFLEKRSGLSKEKREKAFRKWCKENFIDSRSLRHARDIHSQIRRNVEQMGLRVASCGDDVLQFCRCLAASFFLNAALKQPEGSYRALANGEIVSIHPSSVFFKNKPECLIFNELVETNRKYIRNTTKIDYLWLTELAPQFYAVQN >ONI31032 pep chromosome:Prunus_persica_NCBIv2:G1:28800168:28805349:1 gene:PRUPE_1G288500 transcript:ONI31032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGRLNSSTKSPKGNLSDGNRKLDAFSKRQTLAQHRKSLPIASVDKRLVEEVQKHDTLIIVGETGSGKTTQLPQFLYNAGFCGDGKLIGITQPRRVAAVTVAKRVAEECGVNLGEKVGYSIRFEDMTSSSTRIKYMTDGLLLREALLDPHLSRYSVIIVDEAHERTVHTDVLLGLLKSVQDARSKSLNNLQNTKNKKTNNDIQLKENGAQGLNFLKQYQGRTPLKLIIMSASLDARIFSEYFSGARAVHIQGRQFPVDIFYTLHVENDYLDASLATIFQIHLEEGPGDILVFLTGQEEIESVERLVKERLKQLPENSKKLVAVSIFSSLPSEQQMRVFAPAPPGFRKVILATNIAETSVTISGIKYVIDPGLVKARYYDPNKGLESLVVVPISKAQALQRSGRAGREGPGKCFRLYQEDQFGKFEDSTKPEIKRCNLSNIILQLKALGVDDISGFDFIEKPTRSAIVKSLEQLFLLGALTDDCKLSNPVGRQMACLPLDPIYSKALILASEFNCLEEMLITVAMLSVESIFYSPRDKKEESRTTKKSFASPEGDHLTLVNVYRASNEFLEKRSGLSKEKREKAFRKWCKENFIDSRSLRHARDIHSQIRRNVEQMGLRVASCGDDVLQFCRCLAASFFLNAALKQPEGSYRALANELNVLRRGMLIGLFGNAVVGFIICKPPVLIFFSSVLAIDDIGMNKILSTLDVMSYNLFESSTLALLFRCLILTCNKDSG >ONI31037 pep chromosome:Prunus_persica_NCBIv2:G1:28800161:28805409:1 gene:PRUPE_1G288500 transcript:ONI31037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGRLNSSTKSPKGNLSDGNRKLDAFSKRQTLAQHRKSLPIASVDKRLVEEVQKHDTLIIVGETGSGKTTQLPQFLYNAGFCGDGKLIGITQPRRVAAVTVAKRVAEECGVNLGEKVGYSIRFEDMTSSSTRIKYMTDGLLLREALLDPHLSRYSVIIVDEAHERTVHTDVLLGLLKSVQDARSKSLNNLQNTKNKKTNNDIQLKENGAQGLNFLKQYQGRTPLKLIIMSASLDARIFSEYFSGARAVHIQGRQFPVDIFYTLHVENDYLDASLATIFQIHLEEGPGDILVFLTGQEEIESVERLVKERLKQLPENSKKLVAVSIFSSLPSEQQMRVFAPAPPGFRKVILATNIAETSVTISGIKYVIDPGLVKARYYDPNKGLESLVVVPISKAQALQRSGRAGREGPGKCFRLYQEDQFGKFEDSTKPEIKRCNLSNIILQLKALGVDDISGFDFIEKPTRSAIVKSLEQLFLLGALTDDCKLSNPVGRQMACLPLDPIYSKALILASEFNCLEEMLITVAMLSVESIFYSPRDKKEESRTTKKSFASPEGDHLTLVNVYRASNEFLEKRSGLSKEKREKAFRKWCKENFIDSRSLRHARDIHSQIRRNVEQMGLRVASCGDDVLQFCRCLAASFFLNAALKQPEGSYRALANGEIVSIHPSSVFFKNKPECLIFNELVETNRKYIRNTTKIDYLWLTELAPQFYAVQN >ONI31033 pep chromosome:Prunus_persica_NCBIv2:G1:28800173:28805349:1 gene:PRUPE_1G288500 transcript:ONI31033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGRLNSSTKSPKGNLSDGNRKLDAFSKRQTLAQHRKSLPIASVDKRLVEEVQKHDTLIIVGETGSGKTTQLPQFLYNAGFCGDGKLIGITQPRRVAAVTVAKRVAEECGVNLGEKVGYSIRFEDMTSSSTRIKYMTDGLLLREALLDPHLSRYSVIIVDEAHERTVHTDVLLGLLKSVQDARSKSLNNLQNTKNKKTNNDIQLKENGAQGLNFLKQYQGRTPLKLIIMSASLDARIFSEYFSGARAVHIQGRQFPVDIFYTLHVENDYLDASLATIFQIHLEEGPGDILVFLTGQEEIESVERLVKERLKQLPENSKKLVAVSIFSSLPSEQQMRVFAPAPPGFRKVILATNIAETSVTISGIKYVIDPGLVKARYYDPNKGLESLVVVPISKAQALQRSGRAGREGPGKCFRLYQEDQFGKFEDSTKPEIKRCNLSNIILQLKALGVDDISGFDFIEKPTRSAIVKSLEQLFLLGALTDDCKLSNPVGRQMACLPLDPIYSKALILASEFNCLEEMLITVAMLSVESIFYSPRDKKEESRTTKKSFASPEGDHLTLVNVYRASNEFLEKRSGLSKEKREKAFRKWCKENFIDSRSLRHARDIHSQIRRNVEQMGLRVASCGDDVLQFCRCLAASFFLNAALKQPEGSYRALANELNVLRRGMNKILSTLDVMSYNLFESSTLALLFRCLILTCNKDSG >ONI31041 pep chromosome:Prunus_persica_NCBIv2:G1:28800951:28804556:1 gene:PRUPE_1G288500 transcript:ONI31041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSTRIKYMTDGLLLREALLDPHLSRYSVIIVDEAHERTVHTDVLLGLLKSVQDARSKSLNNLQNTKNKKTNNDIQLKENGAQGLNFLKQYQGRTPLKLIIMSASLDARIFSEYFSGARAVHIQGRQFPVDIFYTLHVENDYLDASLATIFQIHLEEGPGDILVFLTGQEEIESVERLVKERLKQLPENSKKLVAVSIFSSLPSEQQMRVFAPAPPGFRKVILATNIAETSVTISGIKYVIDPGLVKARYYDPNKGLESLVVVPISKAQALQRSGRAGREGPGKCFRLYQEDQFGKFEDSTKPEIKRCNLSNIILQLKALGVDDISGFDFIEKPTRSAIVKSLEQLFLLGALTDDCKLSNPVGRQMACLPLDPIYSKALILASEFNCLEEMLITVAMLSVESIFYSPRDKKEESRTTKKSFASPEGDHLTLVNVYRASNEFLEKRSGLSKEKREKAFRKWCKENFIDSRSLRHARDIHSQIRRNVEQMGLRVASCGDDVLQFCRCLAASFFLNAALKQPEGSYRALANGEIVSIHPSSVFFKNKPECLIFNELVETNRKYIRNTTKIDYLWLTELAPQFYAVQN >ONI31039 pep chromosome:Prunus_persica_NCBIv2:G1:28800173:28805409:1 gene:PRUPE_1G288500 transcript:ONI31039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGRLNSSTKSPKGNLSDGNRKLDAFSKRQTLAQHRKSLPIASVDKRLVEEVQKHDTLIIVGETGSGKTTQLPQFLYNAGFCGDGKLIGITQPRRVAAVTVAKRVAEECGVNLGEKVGYSIRFEDMTSSSTRIKYMTDGLLLREALLDPHLSRYSVIIVDEAHERTVHTDVLLGLLKSVQDARSKSLNNLQNTKNKKTNNDIQLKENGAQGLNFLKQYQGRTPLKLIIMSASLDARIFSEYFSGARAVHIQGRQFPVDIFYTLHVENDYLDASLATIFQVILATNIAETSVTISGIKYVIDPGLVKARYYDPNKGLESLVVVPISKAQALQRSGRAGREGPGKCFRLYQEDQFGKFEDSTKPEIKRCNLSNIILQLKALGVDDISGFDFIEKPTRSAIVKSLEQLFLLGALTDDCKLSNPVGRQMACLPLDPIYSKALILASEFNCLEEMLITVAMLSVESIFYSPRDKKEESRTTKKSFASPEGDHLTLVNVYRASNEFLEKRSGLSKEKREKAFRKWCKENFIDSRSLRHARDIHSQIRRNVEQMGLRVASCGDDVLQFCRCLAASFFLNAALKQPEGSYRALANGEIVSIHPSSVFFKNKPECLIFNELVETNRKYIRNTTKIDYLWLTELAPQFYAVQN >ONI30047 pep chromosome:Prunus_persica_NCBIv2:G1:24138701:24140149:1 gene:PRUPE_1G228300 transcript:ONI30047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDYDFIHGPKLAKQGVLLRVHFKMDWQGQKLAEQLMQILLLVFAVVGFLAGYITGSFQMMVLTYAGGVVLTTLVTVPNWPFFNRNPLKWLDPSEAEKHPKPQPQQPVSSKKKASKK >ONI30049 pep chromosome:Prunus_persica_NCBIv2:G1:24139050:24140088:1 gene:PRUPE_1G228300 transcript:ONI30049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQGQKLAEQLMQILLLVFAVVGFLAGYITGSFQMMVLTYAGGVVLTTLVTVPNWPFFNRNPLKWLDPSEAEKHPKPQPQQPVSSKKKASKK >ONI30048 pep chromosome:Prunus_persica_NCBIv2:G1:24138746:24140088:1 gene:PRUPE_1G228300 transcript:ONI30048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQGQKLAEQLMQILLLVFAVVGFLAGYITGSFQMMVLTYAGGVVLTTLVTVPNWPFFNRNPLKWLDPSEAEKHPKPQPQQPVSSKKKASKK >ONI30387 pep chromosome:Prunus_persica_NCBIv2:G1:26079922:26082684:-1 gene:PRUPE_1G248200 transcript:ONI30387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGTDSPASINKSSLPSANGNGTRSPTCSSDHVLNLEQGDPAVFESYWRKMGDRCTMVISGSQLMSYISDFTNICWFMEPEFEEAVRRLHRVVGNAVVDDRYIVVGTGSTQLYQAALYALTCPGGPEPVSVVSAAPYYSSYPDETDYLCSRLYKWAGDAYEFDKNGTGPYIEVVNSPNNPDGTLREAVVKNRGDEGKLIHDLAYYWPQYTPIIRPADHDIMNFTFSKSTGHAGSRIGWAVVKDKEIARKMSKFIEMSTLGVSKDSQQRAAKIMGVLCDGYQNCKSANKSDDLFFEHTRQIMAERWERLRQVVERNQVFCLPKYPKQYCLFSGELIEPYPGFAWLEAKEGEEIDSQKVLRGSIKVQGRTGRRFGVAEKYVRISLLSNEAVFKEFLERLSTIKSMSCNGH >ONI26855 pep chromosome:Prunus_persica_NCBIv2:G1:3558565:3565660:-1 gene:PRUPE_1G050800 transcript:ONI26855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLIPILDLNNLPESTAGSTPTNTTTIAMKVPKIEPKLEPFDEPLDTQLPQLPQEPFVPTPTPNSFANSQLTPFSDPNHTPLSESSTVPSDQDNVYSEFHRISELFRTAFAKGLQRFGDVDVLDPDSRAIVPVSQEQQLQEVVVARRKYPQRSSELVRVTDLNVEDQRYFRDVVRKTRMLYDSIRILSVAEEEKRAPGLGRRARGDLRAASVLRDRGLWLNRDKRIVGSIPGVYVGDIFFFRMELCVVGLHGQVQAGIDYLPASQSSNHEPIATSIIVSGGYEDDEDAGDVIIYTGHGGQDKFNRQCAHQKLEGGNLALERSMHYGIEVRVIRGIKCQGSVSSKLYVYDGLYRIFDCWFDVGKSGFGVYKYKILRMEGQGEMGSAVLKFAESLRTRPLSVRQSGYLSLDISNKKENVPVFLFNDIDSDQDPLYYDYLVTTVFPTQVFHQSGQGTGCDCVDSCSGNCFCAMKNGGEFAYDQNGFLLRGKPVVFECGTFCRCPPHCQNRVTQKGLRNRLEVFRSRETGWGVRSLDLIHAGAFICEYTGVILTREMAQIFAMNGDSLVYPHRFSDRWTEWGDLSQIYPDYVRPSYPSIPPLDFAMDVSKMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHIMLFAMENIPPMRELSLDYGVADEWTGKLAICN >ONI26857 pep chromosome:Prunus_persica_NCBIv2:G1:3562588:3565622:-1 gene:PRUPE_1G050800 transcript:ONI26857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLIPILDLNNLPESTAGSTPTNTTTIAMKVPKIEPKLEPFDEPLDTQLPQLPQEPFVPTPTPNSFANSQLTPFSDPNHTPLSESSTVPSDQDNVYSEFHRISELFRTAFAKGLQRFGDVDVLDPDSRAIVPVSQEQQLQEVVVARRKYPQRSSELVRVTDLNVEDQRYFRDVVRKTRMLYDSIRILSVAEEEKRAPGLGRRARGDLRAASVLRDRGLWLNRDKRIVGSIPGVYVGDIFFFRMELCVVGLHGQVQAGIDYLPASQSSNHEPIATSIIVSGGYEDDEDAGDVIIYTGHGGQDKFNRQCAHQKLEGGNLALERSMHYGIEVRVIRGIKCQGSVSSKLYVYDGLYRIFDCWFDVGKSGFGVYKYKILRMEGQGEMGSAVLKFAESLRTRPLSVRQSGYLSLDISNKKENVPVFLFNDIDSDQDPLYYDYLVTTVFPTQVFHQSGQGTGCDCVDSCSGNCFCAMKNGGEFAYDQNGFLLRGKPVVFECGTFCRCPPHCQNRVTQKGLRNRLEVFRSRETGWGVRSLDLIHAGAFICEYTGVILTREMAQIFAMNGDSLVYPHRFSDRWTEWGDLSQIYPDYVRPSYPSIPPLDFAMDVSKMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHIMLFAMENIPPMRELSLDYGVADEWTGKLAICN >ONI26854 pep chromosome:Prunus_persica_NCBIv2:G1:3558602:3565622:-1 gene:PRUPE_1G050800 transcript:ONI26854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLIPILDLNNLPESTAGSTPTNTTTIAMKVPKIEPKLEPFDEPLDTQLPQLPQEPFVPTPTPNSFANSQLTPFSDPNHTPLSESSTVPSDQDNVYSEFHRISELFRTAFAKGLQRFGDVDVLDPDSRAIVPVSQEQQLQEVVVARRKYPQRSSELVRVTDLNVEDQRYFRDVVRKTRMLYDSIRILSVAEEEKRAPGLGRRARGDLRAASVLRDRGLWLNRDKRIVGSIPGVYVGDIFFFRMELCVVGLHGQVQAGIDYLPASQSSNHEPIATSIIVSGGYEDDEDAGDVIIYTGHGGQDKFNRQCAHQKLEGGNLALERSMHYGIEVRVIRGIKCQGSVSSKLYVYDGLYRIFDCWFDVGKSGFGVYKYKILRMEGQGEMGSAVLKFAESLRTRPLSVRQSGYLSLDISNKKENVPVFLFNDIDSDQDPLYYDYLVTTVFPTQVFHQSGQGTGCDCVDSCSGNCFCAMKNGGEFAYDQNGFLLRGKPVVFECGTFCRCPPHCQNRVTQKGLRNRLEVFRSRETGWGVRSLDLIHAGAFICEYTGVILTREMAQIFAMNGDSLVYPHRFSDRWTEWGDLSQIYPDYVRPSYPSIPPLDFAMDVSKMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHIMLFAMENIPPMRELSLDYGVADEWTGKLAICN >ONI26856 pep chromosome:Prunus_persica_NCBIv2:G1:3558614:3565403:-1 gene:PRUPE_1G050800 transcript:ONI26856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLIPILDLNNLPESTAGSTPTNTTTIAMKVPKIEPKLEPFDEPLDTQLPQLPQEPFVPTPTPNSFANSQLTPFSDPNHTPLSESSTVPSDQDNVYSEFHRISELFRTAFAKGLQRFGDVDVLDPDSRAIVPVSQEQQLQEVVVARRKYPQRSSELVRVTDLNVEDQRYFRDVVRKTRMLYDSIRILSVAEEEKRAPGLGRRARGDLRAASVLRDRGLWLNRDKRIVGSIPGVYVGDIFFFRMELCVVGLHGQVQAGIDYLPASQSSNHEPIATSIIVSGGYEDDEDAGDVIIYTGHGGQDKFNRQCAHQKLEGGNLALERSMHYGIEVRVIRGIKCQGSVSSKLYVYDGLYRIFDCWFDVGKSGFGVYKYKILRMEGQGEMGSAVLKFAESLRTRPLSVRQSGYLSLDISNKKENVPVFLFNDIDSDQDPLYYDYLVTTVFPTQVFHQSGQGTGCDCVDSCSGNCFCAMKNGGEFAYDQNGFLLRGKPVVFECGTFCRCPPHCQNRVTQKGLRNRLEVFRSRETGWGVRSLDLIHAGAFICEYTGVILTREMAQIFAMNGDSLVYPHRFSDRWTEWGDLSQIYPDYVRPSYPSIPPLDFAMDVSKMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHIMLFAMENIPPMRELSLDYGVADEWTGKLAICN >ONI29311 pep chromosome:Prunus_persica_NCBIv2:G1:18018195:18024853:-1 gene:PRUPE_1G192600 transcript:ONI29311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVESTLSSPSTLGSGVGGDLLPYLPPSPDHIRTVLGHLQVALENIQAAHEHMRDAALLHQDAAQDATLLPQDILGPAPSIRLQLLVRISMWPVMLVLIFGLLWCFPHSFKDKNSTRNLSPFALSLSLTAQTTSTVGYGDIVPNTVWGKILTSLLSFFLSGVLGYVGDLFLMTLCDCFIQLLELMLVDWYISEHRFNSFVGRLELLVPILTPILCVVVGMLEFHRLTKFNYLDCLYLSVVTITTLGYGDIVIMSEDAVIFASFWLWLSTIIYAKCTYYLSCRIARRLGIV >ONI32866 pep chromosome:Prunus_persica_NCBIv2:G1:34827741:34831570:-1 gene:PRUPE_1G390500 transcript:ONI32866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMLSLASVSPSASLSTHETPKGKVKLGRSFLSLNKERNNLFAKTESFGRISMVAAVNVSKFEGVTMAPPDPILGVSEAFKASTNQSKLNLGVGAYRTEELQPYVLDVVKKAENLMIERGENKEYLPIEGLAAFNKVTAELLFGADNPVIKQQKVATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDSRVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPDQWEKIADVIQEKNHIPFFDVAYQGFASGSLDTDAASVRLFAARGLELLVAQSYSKNLGLYAERIGAINVVCTSSDTATRVKSQLKRIARPMYSNPPVHGARIVANVVGDPTLFGEWKAEMEMMAGRIKNVRQKLYDNLTAKDKSGKDWSFILKQIGMFSFTGLNKAQTENMTNKWHVYMTKDGRISLAGLSLAKCEYLSDAIIDSYHNSQKGEASPTAPTKG >ONI32869 pep chromosome:Prunus_persica_NCBIv2:G1:34828032:34831118:-1 gene:PRUPE_1G390500 transcript:ONI32869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMLSLASVSPSASLSTHETPKGKVKLGRSFLSLNKERNNLFAKTESFGRISMVAAVNVSKFEGVTMAPPDPILGVSEAFKASTNQSKLNLGVGAYRTEELQPYVLDVVKKAENLMIERGENKEYLPIEGLAAFNKVTAELLFGADNPVIKQQKVATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDSRVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPDQWEKIADVIQEKNHIPFFDVAYQGFASGSLDTDAASVRLFAARGLELLVAQSYSKNLGLYAERIGAINVVCTSSDTATRVKSQLKRIARPMYSNPPVHGARIVANVVGDPTLFGEWKAEMEMMAGRIKNVRQKLYDNLTAKDKSGKDWSFILKQIGMFSFTGLNKAQTENMTNKWHVYMTKDGRISLAGLSLAKCEYLSDAIIDSYHNVS >ONI32870 pep chromosome:Prunus_persica_NCBIv2:G1:34827741:34831342:-1 gene:PRUPE_1G390500 transcript:ONI32870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMLSLASVSPSASLSTHETPKGKVKLGRSFLSLNKERNNLFAKTESFGRISMVAAVNVSKFEGVTMAPPDPILGVSEAFKASTNQSKLNLGVGAYRTEELQPYVLDVVKKAENLMIERGENKEYLPIEGLAAFNKVTAELLFGADNPVIKQQKVATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDSRVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPDQWEKIADVIQEKNHIPFFDVAYQGFASGSLDTDAASVRLFAARGLELLVAQSYSKNLGLYAERIGAINVVCTSSDTATRVKSQLKRIARPMYSNPPVHGARIVANVVGDPTLFGEWKAEMEMMAGRIKNVRQKLYDNLTAKDKSGKDWSFILKQIGMFSFTGLNKAQTENMTNKWHVYMTKDGRISLAGLSLAKCEYLSDAIIDSYHNVS >ONI32868 pep chromosome:Prunus_persica_NCBIv2:G1:34827741:34831548:-1 gene:PRUPE_1G390500 transcript:ONI32868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMLSLASVSPSASLSTHETPKGKVKLGRSFLSLNKERNNLFAKTESFGRISMVAAVNVSKFEGVTMAPPDPILGVSEAFKASTNQSKLNLGVGAYRTEELQPYVLDVVKKAENLMIERGENKEYLPIEGLAAFNKVTAELLFGADNPVIKQQKVATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDSRVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPDQWEKIADVIQEKNHIPFFDVAYQGFASGSLDTDAASVRLFAARGLELLVAQSYSKNLGLYAERIGAINVVCTSSDTATRVKSQLKRIARPMYSNPPVHGARIVANVVGDPTLFGEWKAEMEMMAGRIKNVRQKLYDNLTAKDKSGKDWSFILKQIGMFSFTGLNKAQTENMTNKWHVYMTKDGRISLAGLSLAKCEYLSDAIIDSYHNVS >ONI32871 pep chromosome:Prunus_persica_NCBIv2:G1:34827971:34831121:-1 gene:PRUPE_1G390500 transcript:ONI32871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMLSLASVSPSASLSTHETPKGKVKLGRSFLSLNKERNNLFAKTESFGRISMVAAVNVSKFEGVTMAPPDPILGVSEAFKASTNQSKLNLGVGAYRTEELQPYVLDVVKKAENLMIERGENKEYLPIEGLAAFNKVTAELLFGADNPVIKQQKVSTVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDSRVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPDQWEKIADVIQEKNHIPFFDVAYQGFASGSLDTDAASVRLFAARGLELLVAQSYSKNLGLYAERIGAINVVCTSSDTATRVKSQLKRIARPMYSNPPVHGARIVANVVGDPTLFGEWKAEMEMMAGRIKNVRQKLYDNLTAKDKSGKDWSFILKQIGMFSFTGLNKAQTENMTNKWHVYMTKDGRISLAGLSLAKCEYLSDAIIDSYHNVS >ONI32867 pep chromosome:Prunus_persica_NCBIv2:G1:34827741:34831621:-1 gene:PRUPE_1G390500 transcript:ONI32867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMLSLASVSPSASLSTHETPKGKVKLGRSFLSLNKERNNLFAKTESFGRISMVAAVNVSKFEGVTMAPPDPILGVSEAFKASTNQSKLNLGVGAYRTEELQPYVLDVVKKAENLMIERGENKEYLPIEGLAAFNKVTAELLFGADNPVIKQQKVATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDSRVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPDQWEKIADVIQEKNHIPFFDVAYQGFASGSLDTDAASVRLFAARGLELLVAQSYSKNLGLYAERIGAINVVCTSSDTATRVKSQLKRIARPMYSNPPVHGARIVANVVGDPTLFGEWKAEMEMMAGRIKNVRQKLYDNLTAKDKSGKDWSFILKQIGMFSFTGLNKAQTENMTNKWHVYMTKDGRISLAGLSLAKCEYLSDAIIDSYHNSQKGEASPTAPTKG >ONI35689 pep chromosome:Prunus_persica_NCBIv2:G1:44990491:44997520:1 gene:PRUPE_1G550600 transcript:ONI35689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHQQPIVLSDEEEEENDQNALSSPPPFQPFHCSNKKRRTELYPYPNLNTQTPVLVLDDDDDPTRPTRKPPGPTSPHYFEVLDESPCSKAPSDSQASVSDSPIKFSGINDLVFLESDNESESSSGRERGKDNKSISSGFGVAKGLDWSSRFVESTSSLGHDSLAHMSEDCSAHPVSLQDAFDINQVLDYPTDKENRMDQMGNIVKQRRKTKAITEKSIRDEAMGRRKMAKEERIRLMEEKNLKKQQEKLQRAALKAEAAEMKKIQKEQQKREKGKFAVKSIVAEIDLKVVELGSVGGNLLTRFAERGITYRITSNPIERSIVWTMTVPEHISQSSPERIEIQYVLLVYEAEEFCNLVINESLLDHVFSVRSRYPSSTVCYLTNRLMAYINKREQEVYKNLTKDNDWRRPPVEEVLAKLTTNFFKVHSRQCIDEAELAEHIVGLTCSLSSCQFRKKLTRLDVNANGPLMIKDCVDRNIINKSAW >ONI35688 pep chromosome:Prunus_persica_NCBIv2:G1:44990491:45001765:1 gene:PRUPE_1G550600 transcript:ONI35688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHQQPIVLSDEEEEENDQNALSSPPPFQPFHCSNKKRRTELYPYPNLNTQTPVLVLDDDDDPTRPTRKPPGPTSPHYFEVLDESPCSKAPSDSQASVSDSPIKFSGINDLVFLESDNESESSSGRERGKDNKSISSGFGVAKGLDWSSRFVESTSSLGHDSLAHMSEDCSAHPVSLQDAFDINQVLDYPTDKENRMDQMGNIVKQRRKTKAITEKSIRDEAMGRRKMAKEERIRLMEEKNLKKQQEKLQRAALKAEAAEMKKIQKEQQKREKGKFAVKSIVAEIDLKVVELGSVGGNLLTRFAERGITYRITSNPIERSIVWTMTVPEHISQSSPERIEIQYVLLVYEAEEFCNLVINESLLDHVFSVRSRYPSSTVCYLTNRLMAYINKREQEVYKNLTKDNDWRRPPVEEVLAKLTTNFFKVHSRQCIDEAELAEHIVGLTCSLSSCQFRKKLTRLDVNANGPLMIKDCVDRNIINKSAWLKALVAIPKVQPRFAIAIWKKYATMKSLLSVYMDQNVSVHQKEFLLKDLVTEGLLRDDRRVGEVCSKRVYRILMAQSGSIKTDDVEDGADLFRRQLD >ONI35690 pep chromosome:Prunus_persica_NCBIv2:G1:44990491:45001765:1 gene:PRUPE_1G550600 transcript:ONI35690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHQQPIVLSDEEEEENDQNALSSPPPFQPFHCSNKKRRTELYPYPNLNTQTPVLVLDDDDDPTRPTRKPPGPTSPHYFEVLDESPCSKAPSDSQASVSDSPIKFSGINDLVFLESDNESESSSGRERGKDNKSISSGFGVAKGLDWSSRFVESTSSLGHDSLAHMSEDCSAHPVSLQDAFDINQVLDYPTDKENRMDQMGNIVKQRRKTKAITEKSIRDEAMGRRKMAKEERIRLMEEKNLKKQQEKLQRAALKAEAAEMKKIQKEQQKREKGKFAVKSIVAEIDLKVVELGSVGGNLLTRFAERGITYRITSNPIERSIVWTMTVPEHISQSSPERIEIQYVLLVYEAEEFCNLVINESLLDHVFSVRSRYPSSTVCYLTNRLMAYINKREQEVYKNLTKDNDWRRPPVEEKEVNETGRKC >ONI28093 pep chromosome:Prunus_persica_NCBIv2:G1:9617617:9620581:1 gene:PRUPE_1G122600 transcript:ONI28093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKDRFAESKKELDALLSDESLATVPFLVLGNKIDIPYAASEEELRYHLGLTNFTTGKGKVNLTDTTVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >ONI28091 pep chromosome:Prunus_persica_NCBIv2:G1:9617507:9620581:1 gene:PRUPE_1G122600 transcript:ONI28091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKDRFAESKKELDALLSDESLATVPFLVLGNKIDIPYAASEEELRYHLGLTNFTTGKGKVNLTDTTVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >ONI28092 pep chromosome:Prunus_persica_NCBIv2:G1:9617434:9620581:1 gene:PRUPE_1G122600 transcript:ONI28092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKDRFAESKKELDALLSDESLATVPFLVLGNKIDIPYAASEEELRYHLGLTNFTTGKGKVNLTDTTVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >ONI33619 pep chromosome:Prunus_persica_NCBIv2:G1:37208136:37212644:-1 gene:PRUPE_1G436100 transcript:ONI33619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVKTAEVMASPESSSSSHHDKHSGGDAPTNPEKHDRVGSESHLLTTDNSKLETTQSSSDSASVEQNQLLPADNPASSSSTIANGKLPIAEPASSGSSLEQNQLLPTDTPAPTSMITVNKTEKDTQDTPVADSGPRNVDHDSNSPSLEQNHLLPTDTSSSASITTVNKTETDTLDTVVENSGPKKGNNVVTSATRSLPNIKVARSTVTKSEATYSPKSAKLAYVNNVVSSPNVKFASFSARKSGAIDSPKSAKNRGLIDTTAPFESVKEAVSKFGGIVDWKAHRIQTVERRKIVEQELEKAQEEIPEYRKQSEAAEKAKVQVLKELDSTKRFVEELKLNLERAQTEEQQAKQDSELAKLRVEEMEQGIADEASVAAKAQLEVAKARHTAAVTELKSVKEELEALHKEYASLVTEKDMAIKKAEEAISASKEVEKTVEELTIELIATKESLEAAHAAHLEAEEQRIGAVMAKEQDSLHWEKELKQAEEELQKISHQILSAKDLKSKLETASALLLDLKSELAAYMESRLKVESDGGHLKDELQEPGMKTHTDIQAAVASAKKELEEVKLNIEKAVAEVNCLKVAATSLKSELESEKSALATIGQREGMASVAVASLEADLEKTRSEIAVVQMKEKEAREKMVELPKELQQAAQEADQAKVLAEMAVEELRKAREEAEQAKAGASTMESRLLAAQKEIEAARASEKLALAAIKALQESEQARSSNDSPIGVTLSIGEYYELSKRAHEAEEQANARVAAANSQIEVAKESELRSLEKLDEVIQEMAARKEALKIAMEKAEKAKEGKLGVEQELRSWRADHEQQRKLGESGQAAVNPTKSPRASFEGRKESKNFDRAPSAVSSSPKYGLGSPIETNAPEAKHGKKKKKSFFPRIFMYLARRKAHQNKST >ONI33620 pep chromosome:Prunus_persica_NCBIv2:G1:37208136:37212338:-1 gene:PRUPE_1G436100 transcript:ONI33620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVKTAEVMASPESSSSSHHDKHSGGDAPTNPEKHDRVGSESHLLTTDNSKLETTQSSSDSASVEQNQLLPADNPASSSSTIANGKLPIAEPASSGSSLEQNQLLPTDTPAPTSMITVNKTEKDTQDTPVADSGPRNVDHDSNSPSLEQNHLLPTDTSSSASITTVNKTETDTLDTVVENSGPKKGNNVVTSATRSLPNIKVARSTVTKSEATYSPKSAKLAYVNNVVSSPNVKFASFSARKSGAIDSPKSAKNRGLIDTTAPFESVKEAVSKFGGIVDWKAHRIQTVERRKIVEQELEKAQEEIPEYRKQSEAAEKAKVQVLKELDSTKRFVEELKLNLERAQTEEQQAKQDSELAKLRVEEMEQGIADEASVAAKAQLEVAKARHTAAVTELKSVKEELEALHKEYASLVTEKDMAIKKAEEAISASKEVEKTVEELTIELIATKESLEAAHAAHLEAEEQRIGAVMAKEQDSLHWEKELKQAEEELQKISHQILSAKDLKSKLETASALLLDLKSELAAYMESRLKVESDGGHLKDELQEPGMKTHTDIQAAVASAKKELEEVKLNIEKAVAEVNCLKVAATSLKSELESEKSALATIGQREGMASVAVASLEADLEKTRSEIAVVQMKEKEAREKMVELPKELQQAAQEADQAKVLAEMAVEELRKAREEAEQAKAGASTMESRLLAAQKEIEAARASEKLALAAIKALQESEQARSSNDSPIGVTLSIGEYYELSKRAHEAEEQANARVAAANSQIEVAKESELRSLEKLDEVIQEMAARKEALKIAMEKAEKAKEGKLGVEQELRSWRADHEQQRKLGESGQAAVNPTKSPRASFEGRKESKNFDRAPSAVSSSPKYGLGSPIETNAPEAKHGKKKKKSFFPRIFMYLARRKAHQNKST >ONI33621 pep chromosome:Prunus_persica_NCBIv2:G1:37208135:37212068:-1 gene:PRUPE_1G436100 transcript:ONI33621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVKTAEVMASPESSSSSHHDKHSGGDAPTNPEKHDRVGSESHLLTTDNSKLETTQSSSDSASVEQNQLLPADNPASSSSTIANGKLPIAEPASSGSSLEQNQLLPTDTPAPTSMITVNKTEKDTQDTPVADSGPRNVDHDSNSPSLEQNHLLPTDTSSSASITTVNKTETDTLDTVVENSGPKKGNNVVTSATRSLPNIKVARSTVTKSEATYSPKSAKLAYVNNVVSSPNVKFASFSARKSGAIDSPKSAKNRGLIDTTAPFESVKEAVSKFGGIVDWKAHRIQTVERRKIVEQELEKAQEEIPEYRKQSEAAEKAKVQVLKELDSTKRFVEELKLNLERAQTEEQQAKQDSELAKLRVEEMEQGIADEASVAAKAQLEVAKARHTAAVTELKSVKEELEALHKEYASLVTEKDMAIKKAEEAISASKEVEKTVEELTIELIATKESLEAAHAAHLEAEEQRIGAVMAKEQDSLHWEKELKQAEEELQKISHQILSAKDLKSKLETASALLLDLKSELAAYMESRLKVESDGGHLKDELQEPGMKTHTDIQAAVASAKKELEEVKLNIEKAVAEVNCLKVAATSLKSELESEKSALATIGQREGMASVAVASLEADLEKTRSEIAVVQMKEKEAREKMVELPKELQQAAQEADQAKVLAEMAVEELRKAREEAEQAKAGASTMESRLLAAQKEIEAARASEKLALAAIKALQESEQARSSNDSPIGVTLSIGEYYELSKRAHEAEEQANARVAAANSQIEVAKESELRSLEKLDEVIQEMAARKEALKIAMEKAEKAKEGKLGVEQELRSWRADHEQQRKLGESGQAAVNPTKSPRASFEGRKESKNFDRAPSAVSSSPKYGLGSPIETNAPEAKHGKKKKKSFFPRIFMYLARRKAHQNKST >ONI34589 pep chromosome:Prunus_persica_NCBIv2:G1:40727229:40729115:1 gene:PRUPE_1G489200 transcript:ONI34589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVRLKLSIQRQNKRAQFLRTACGNKTMQLYHHPFSLDSQRVRLALEEKGIDYTSFHVNPVTGKNMDASFFRMNSTAKLPVFQNGGHVIFDTIEIIQYLEKIAKVSSGDENIPLSSSEVIEWMHKIQKWNPKFFTLCHIPEKHRRYVSKFLRQVLIARMAETPDLAADYHKKLKEAYETEEKLKNPDVLKQDKEHLIRLLDEVETQLNETAYLAGKEFGMADVMLIPVLARLVILNLEDEYIGGRPNMAKYWIMVQQRPSYKKVIGKHFCGWRKHKTFVKTWCIVHIRTMLRKF >ONI34590 pep chromosome:Prunus_persica_NCBIv2:G1:40727488:40729130:1 gene:PRUPE_1G489200 transcript:ONI34590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYHHPFSLDSQRVRLALEEKGIDYTSFHVNPVTGKNMDASFFRMNSTAKLPVFQNGGHVIFDTIEIIQYLEKIAKVSSGDENIPLSSSEVIEWMHKIQKWNPKFFTLCHIPEKHRRYVSKFLRQVLIARMAETPDLAADYHKKLKEAYETEEKLKNPDVLKQDKEHLIRLLDEVETQLNETAYLAGKEFGMADVMLIPVLARLVILNLEDEYIGGRPNMAKYWIMVQQRPSYKKVIGKHFCGWRKHKTFVKTWCIVHIRTMLRKF >ONI34591 pep chromosome:Prunus_persica_NCBIv2:G1:40726850:40729129:1 gene:PRUPE_1G489200 transcript:ONI34591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYHHPFSLDSQRVRLALEEKGIDYTSFHVNPVTGKNMDASFFRMNSTAKLPVFQNGGHVIFDTIEIIQYLEKIAKVSSGDENIPLSSSEVIEWMHKIQKWNPKFFTLCHIPEKHRRYVSKFLRQVLIARMAETPDLAADYHKKLKEAYETEEKLKNPDVLKQDKEHLIRLLDEVETQLNETAYLAGKEFGMADVMLIPVLARLVILNLEDEYIGGRPNMAKYWIMVQQRPSYKKVIGKHFCGWRKHKTFVKTWCIVHIRTMLRKF >ONI30482 pep chromosome:Prunus_persica_NCBIv2:G1:26396933:26398949:-1 gene:PRUPE_1G253200 transcript:ONI30482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRYATLIGFIRNAL >ONI30474 pep chromosome:Prunus_persica_NCBIv2:G1:26395600:26400084:-1 gene:PRUPE_1G253200 transcript:ONI30474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRSYGIFRLSDPGGMSVLKECQEQGFHPHKETTDGSPIYEHCSNVYTNSNLRFEIFDLR >ONI30473 pep chromosome:Prunus_persica_NCBIv2:G1:26395600:26400084:-1 gene:PRUPE_1G253200 transcript:ONI30473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRSYGIFRLSDPGGMSVLKECQEQGFHPHKETTDGSPIYEHCSNVYTNSNLRFEIFDLR >ONI30480 pep chromosome:Prunus_persica_NCBIv2:G1:26396933:26398988:-1 gene:PRUPE_1G253200 transcript:ONI30480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVPLIQVGSCAMAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRYATLIGFIRNAL >ONI30478 pep chromosome:Prunus_persica_NCBIv2:G1:26396434:26398949:-1 gene:PRUPE_1G253200 transcript:ONI30478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRSYGIFRLSDPGGMSVLKECQEQGFHPHKETTDGSPIYEHCSNVYTNSNLRFEIFDLR >ONI30468 pep chromosome:Prunus_persica_NCBIv2:G1:26396434:26398988:-1 gene:PRUPE_1G253200 transcript:ONI30468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVPLIQVGSCAMAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRSYGIFRLSDPGGMSVLKECQEQGFHPHKETTDGSPIYEHCSNVYTNSNLRFEIFDLR >ONI30483 pep chromosome:Prunus_persica_NCBIv2:G1:26396933:26398949:-1 gene:PRUPE_1G253200 transcript:ONI30483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRYATLIGFIRNAL >ONI30472 pep chromosome:Prunus_persica_NCBIv2:G1:26396434:26398949:-1 gene:PRUPE_1G253200 transcript:ONI30472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQFDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRSYGIFRLSDPGGMSVLKECQEQGFHPHKETTDGSPIYEHCSNVYTNSNLRFEIFDLR >ONI30479 pep chromosome:Prunus_persica_NCBIv2:G1:26396933:26398988:-1 gene:PRUPE_1G253200 transcript:ONI30479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVPLIQVGSCAMAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRYATLIGFIRNAL >ONI30471 pep chromosome:Prunus_persica_NCBIv2:G1:26395600:26400084:-1 gene:PRUPE_1G253200 transcript:ONI30471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQFDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRSYGIFRLSDPGGMSVLKECQEQGFHPHKETTDGSPIYEHCSNVYTNSNLRFEIFDLR >ONI30475 pep chromosome:Prunus_persica_NCBIv2:G1:26395600:26400084:-1 gene:PRUPE_1G253200 transcript:ONI30475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRSYGIFRLSDPGGMSVLKECQEQGFHPHKETTDGSPIYEHCSNVYTNSNLRFEIFDLR >ONI30470 pep chromosome:Prunus_persica_NCBIv2:G1:26395600:26399176:-1 gene:PRUPE_1G253200 transcript:ONI30470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVPLIQVGSCAMAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRSYGIFRLSDPGGMSVLKECQEQGFHPHKETTDGSPIYEHCSNVYTNSNLRFEIFDLR >ONI30477 pep chromosome:Prunus_persica_NCBIv2:G1:26395600:26400084:-1 gene:PRUPE_1G253200 transcript:ONI30477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRSYGIFRLSDPGGMSVLKECQEQGFHPHKETTDGSPIYEHCSNVYTNSNLRFEIFDLR >ONI30481 pep chromosome:Prunus_persica_NCBIv2:G1:26396933:26398949:-1 gene:PRUPE_1G253200 transcript:ONI30481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRYATLIGFIRNAL >ONI30476 pep chromosome:Prunus_persica_NCBIv2:G1:26395600:26400134:-1 gene:PRUPE_1G253200 transcript:ONI30476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRSYGIFRLSDPGGMSVLKECQEQGFHPHKETTDGSPIYEHCSNVYTNSNLRFEIFDLR >ONI30469 pep chromosome:Prunus_persica_NCBIv2:G1:26396434:26398988:-1 gene:PRUPE_1G253200 transcript:ONI30469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVPLIQVGSCAMAERNCLSFMNINEENASASSLCSVESGSSFCACIFCGSNFLKTTSQCQNITVHTVTQFSPSPVISCTESVPQGANISQITVDTEHGQSQSSNQSTSSTVLRDVHISANLMEDFLGLAKDNTEKDLETCGTLGAFLKNGTFYVTTLIIPKQECTSNSCQATNDEEVFSIQNEQSLFPVGWIHTHPSQKCFMSSVDLHTHYSCQVMIPEAFAVVMAPTDTSRSYGIFRLSDPGGMSVLKECQEQGFHPHKETTDGSPIYEHCSNVYTNSNLRFEIFDLR >ONI29434 pep chromosome:Prunus_persica_NCBIv2:G1:18980572:18983305:-1 gene:PRUPE_1G198000 transcript:ONI29434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSTALQLKTRLDTHWNLQLPSIVSSWFCHTVVIGVSLVLAFMLYSIYEQYESEVAKLLFNSIMLVFGFFLEQIYLLLCNYFLKNMEF >ONI34192 pep chromosome:Prunus_persica_NCBIv2:G1:38957960:38960572:1 gene:PRUPE_1G467600 transcript:ONI34192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALAEAYKHSLLDKQPIPLDYGSVRTLPESHIWLDQSDESPYVAHSTGPISPPVIDLMDPDAPRLIIQACETWGVFQLIGHGIPTKLMEDVESEAEKLFGLPVDQKLKALRSPGGGAGYGLPHISPFFNKHMWHEGFTIMGSPIYHTRQLWPQAYQGFCETMVDYQNQLKALTEQLIRIIFKSLNINSEEVDWLKDSQGSSTALQLNSYPACPDPTRAMGLAPHTDTSLITILQSKTSGLQVFKEGAGWILVQPIPGALIVNVGDFLHILSNGVFTTVRHRVVVTHIQRFSAAHFYAPPGDVIVSPVMSKDFGGVPRYHSVSVKGLNIIR >ONI34193 pep chromosome:Prunus_persica_NCBIv2:G1:38957960:38960567:1 gene:PRUPE_1G467600 transcript:ONI34193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALAEAYKHSLLDKQPIPLDYGSVRTLPESHIWLDQSDESPYVAHSTGPISPPVIDLMDPDAPRLIIQACETWGVFQLIGHGIPTKLMEDVESEAEKLFGLPVDQKLKALRSPGGGAGYGLPHISPFFNKHMWHEGFTIMGSPIYHTRQLWPQAYQGFCETMVDYQNQLKALTEQLIRIIFKSLNINSEEVDWLKDSQGSSTALQLNSYPACPDPTRAMGLAPHTDTSLITILQSKTSGLQVFKEGAGWILVQPIPGALIVNVGDFLHILSNGVFTTVRHRVVVTHIQRFSAAHFYAPPGDVIVSPVMSKDFGGVPRYHSVSVKGYVESKGKHFEKALSLIKK >ONI30631 pep chromosome:Prunus_persica_NCBIv2:G1:27198758:27204485:1 gene:PRUPE_1G263500 transcript:ONI30631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRREDAIDILKGCGFDGEIAIADLVAKSLIKVYEDSTLWMHDQVKDMGRQIVTEENVVDPGMRSRLWDRDEILNVFEDDKGTRSIQGIVLDYESMKRPVKDPSGDRISWDNFRRAPTFTSAVTYLKERYKTYLETKAEKNKQFTICSKPLRAMVNLRLLQINYLNLEGHFKFLPAELKWIQWKGCPLNSLPSDFPPRQLAVLDLSRSKIEHLWHGRGNKVAEKLMFLNLFGCFNLTTIPDLSGNRALEKLILERCSKLTKLHASIGNLGTLVHLNLRDCENLIELPNDVSGLTKLENLILSGCLQLKELPSNMDSMVSLKELLLDGTAVKNLPESIFRFSKLEKLSLNRCKHLKGLPELIGKLHSLKEISLNDSALENLPVSFGYLANLEKLSLLWCKSLTTIPDSIGNLSSLMEFQTYGSGIKELPVAVGSLSNLKELSTGHGQILSRLPDSIGGLNSLVVLKIDQTLITELPHEIGALKSLEKLEMRKCGFLRSLPESIGSMRALTTIVITEADITELPESIGKLENLTMLQLNRCKHLCKLPASIGQLNSLHRLLMVETAVTELPESFVMLSSLMVLNMGKKHQNREDAEEIKFILPTSFSNLSLLCELHAGACNISGKIADDFEKLSSLEVLNLGRNNFYSLPASLRGLSLLRKLLLPHCKKLKALPPLPPSLEELDAANCTSLESISDISNLENLAMLNLTSCEKVVDIPGLECLKSLVRLYASGCTACSSAIKKRLAKSYMRKIRNLSIPGSKIPDWFSQDVVTFSVRKNRDLKSVIIGVVVSLNQQIPDDMREELPAIVDILAQILILDFSTFTSALNLLGVPNTNEDQVHLCRYPTHHPLVSQLKDGYKIRVIRREPPMMKGVELKKWGIHLVYEGDDDYEGDEESFNESQQSHSEKMARFFSSFEDSD >ONI26050 pep chromosome:Prunus_persica_NCBIv2:G1:199688:202025:-1 gene:PRUPE_1G001400 transcript:ONI26050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQEQFSAEIEDRGSENMGPNGGSFFVSVKVRQRLPDFLGSVNLKYVELGYSYLISHRLYFLLVPVLIVLCSAEIGKLKDLFPNPKCELTDALFILVLLGFILYIFLDLAPRSTYLVDFACFRPPDELKISKEEFIELARNSGNFNDAAIDFQKRVLKSSGIGQETYMPRVVFHPDYKISLKDGREEAAMVMFGAINDLFRATKIRPKDIGILVVNCGVLNTTPSLSSMVINHFKLKPNIQSFNLGGMGCAAGIIAIDLAKDLLNAYPGTYALVVSTEAVCYTWYKGNDLDMLLSNCFFRMGAAAMLLSSSRLDRWRAKYELKQLVRTHKGMDERSFESIHLKEDAKGTQGLSVSKDVVEVGGHALKANITTLGPLVLPVSEQFHFLTTLLVRKKKTNYKPYIPDYKLAFKHVCILAASNKVLDEIQKNLELTEEYMEASRKTLERFGNTSSSSVWYELAYLEATSKIKRGDRVWQLAFGSGFKCNSVVWKALNNVGRPKQNPWLDEDKDECL >ONI32129 pep chromosome:Prunus_persica_NCBIv2:G1:32617879:32622995:-1 gene:PRUPE_1G350100 transcript:ONI32129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVPPGTTTRSKAAETKPMNEKLDYTNLPCPIPYEEINREALMSLRPECFEGMRFDFTKGLNQKFSLSHSVLMGPMTVPSQSPETIKIPTAHYEFGANFIDHPKLMLFGRVLTDGRLNARVKYDLTDNLTLKANAQLTNEPHMSHGMASFDYKGSDYRSQIQVGNGALLQASYIQSVTPHFSLGGEVFWAGQHQKSGIGYAARFNTEKMVATGQVASTGMVALSYVQKLSEKVSLASDLMYNHTSRDVTASVGYDYVLRQSRLRGKIDSNGRTAAFLEERLSMGLKFILSAEMDHKKKDYKFGFGLAAGE >ONI32220 pep chromosome:Prunus_persica_NCBIv2:G1:32914119:32915829:1 gene:PRUPE_1G354400 transcript:ONI32220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEIISKEKIKPSCPTPSHLRIFKLSLLDQLIPAPYAPVILFYHPPINGNDDDNINSRLHLLKTSLAETFTGFYPLAGEIKDDLSIDCNDQGAHYVEARVNYSSLDEFLSRPDLLLLLHRFLPCDVTTAGASVTNIQVNVFKCGGIAIGLCISHKILDGAALCTFLKSWTAIARVRSSTSSPGPGSLLRTSSSSSSSSSDSILISSDSDSDSDDHQTEDATTYASVASSIIGGPNLFAATRLFPTNDDLWLRDSSIPMWGSLFIKDGKSSCVTKRFVFDASAIANLKDMAKSSSSCVQVLKRGPTRVEVVSAFIWQCAMAASKQKYGFQRPSVLTHTVNLRPRIFLDDAYEAAAASDTAAAADLNLENSIGNLLWIAAARCPTVMLDEEEEVVVVANNNNNNSSASGSRILHGLVSELRNALSKVDGGFVKKMRSGEEGKSLMLKCLKEIIKTKEEEKKDYYGFSSWCKFGLYEAGDFGWGKPIWVSSIASTGNSVFMNLIILVDTKSGDGIEAWVTLDQHHMALLQSNPLLQKFVSFDPTPLLLL >ONI28986 pep chromosome:Prunus_persica_NCBIv2:G1:14593878:14594375:1 gene:PRUPE_1G173800 transcript:ONI28986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGLFAATNPNPIRLIQSSYLTCKAPNLTPLLFNKSKQKQQHMDDWISKNRGPRTAAHRFKTSFPELLFLMVPQSPANPSSIQNHCSSL >ONI27579 pep chromosome:Prunus_persica_NCBIv2:G1:7523597:7526865:-1 gene:PRUPE_1G095300 transcript:ONI27579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27589 pep chromosome:Prunus_persica_NCBIv2:G1:7523075:7527654:-1 gene:PRUPE_1G095300 transcript:ONI27589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSGIDGVKLRDGSIVLAYNTVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27575 pep chromosome:Prunus_persica_NCBIv2:G1:7523118:7527565:-1 gene:PRUPE_1G095300 transcript:ONI27575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMLKSVGSIVLVLLLPLFLFLIFSIFNVCDLNDPLSRFGSSFTFNSILTYNAHHLLHPFHVFPTRKLDRIFAETTLSASPIMRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKVCISESYDGGQNWNHAIPTELPNPNSGIDGVKLRDGSIVLAYNTVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27584 pep chromosome:Prunus_persica_NCBIv2:G1:7523055:7527656:-1 gene:PRUPE_1G095300 transcript:ONI27584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSGIDGVKLRDGSIVLAYNTVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27593 pep chromosome:Prunus_persica_NCBIv2:G1:7524277:7526865:-1 gene:PRUPE_1G095300 transcript:ONI27593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSGLWLNYSSLVVQGSMCIQV >ONI27588 pep chromosome:Prunus_persica_NCBIv2:G1:7523023:7527657:-1 gene:PRUPE_1G095300 transcript:ONI27588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSGIDGVKLRDGSIVLAYNTVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27582 pep chromosome:Prunus_persica_NCBIv2:G1:7523597:7526865:-1 gene:PRUPE_1G095300 transcript:ONI27582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSGIDGVKLRDGSIVLAYNTVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27596 pep chromosome:Prunus_persica_NCBIv2:G1:7524509:7527471:-1 gene:PRUPE_1G095300 transcript:ONI27596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMLKSVGSIVLVLLLPLFLFLIFSIFNVCDLNDPLSRFGSSFTFNSILTYNAHHLLHPFHVFPTRKLDRIFAETTLSASPIMRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVVTETQLCRAVNLSVCFEWLPNINDSTGRHRFCQVLEKVWSYLH >ONI27594 pep chromosome:Prunus_persica_NCBIv2:G1:7523078:7527716:-1 gene:PRUPE_1G095300 transcript:ONI27594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMLKSVGSIVLVLLLPLFLFLIFSIFNVCDLNDPLSRFGSSFTFNSILTYNAHHLLHPFHVFPTRKLDRIFAETTLSASPIMRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKIL >ONI27587 pep chromosome:Prunus_persica_NCBIv2:G1:7523023:7527409:-1 gene:PRUPE_1G095300 transcript:ONI27587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSGIDGVKLRDGSIVLAYNTVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27591 pep chromosome:Prunus_persica_NCBIv2:G1:7524277:7526865:-1 gene:PRUPE_1G095300 transcript:ONI27591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSGLWLNYSSLVVQGSMCIQV >ONI27585 pep chromosome:Prunus_persica_NCBIv2:G1:7523023:7527657:-1 gene:PRUPE_1G095300 transcript:ONI27585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSGIDGVKLRDGSIVLAYNTVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27581 pep chromosome:Prunus_persica_NCBIv2:G1:7523597:7526865:-1 gene:PRUPE_1G095300 transcript:ONI27581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27590 pep chromosome:Prunus_persica_NCBIv2:G1:7523145:7527565:-1 gene:PRUPE_1G095300 transcript:ONI27590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMLKSVGSIVLVLLLPLFLFLIFSIFNVCDLNDPLSRFGSSFTFNSILTYNAHHLLHPFHVFPTRKLDRIFAETTLSASPIMRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVVTETQLCRAVNLSVCFEWLPNINDSTGRHRFCQVLEKNPMMVARTGIMQYLPNSPIQTQVLTG >ONI27576 pep chromosome:Prunus_persica_NCBIv2:G1:7523157:7527565:-1 gene:PRUPE_1G095300 transcript:ONI27576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMLKSVGSIVLVLLLPLFLFLIFSIFNVCDLNDPLSRFGSSFTFNSILTYNAHHLLHPFHVFPTRKLDRIFAETTLSASPIMRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSGIDGVKLRDGSIVLAYNTVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27586 pep chromosome:Prunus_persica_NCBIv2:G1:7523104:7527654:-1 gene:PRUPE_1G095300 transcript:ONI27586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27580 pep chromosome:Prunus_persica_NCBIv2:G1:7523157:7527648:-1 gene:PRUPE_1G095300 transcript:ONI27580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27595 pep chromosome:Prunus_persica_NCBIv2:G1:7524392:7527471:-1 gene:PRUPE_1G095300 transcript:ONI27595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMLKSVGSIVLVLLLPLFLFLIFSIFNVCDLNDPLSRFGSSFTFNSILTYNAHHLLHPFHVFPTRKLDRIFAETTLSASPIMRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVVTETQLCRAVNLSVCFEWLPNINDSTGRHRFCQVLEKSLYFRIL >ONI27592 pep chromosome:Prunus_persica_NCBIv2:G1:7524277:7526865:-1 gene:PRUPE_1G095300 transcript:ONI27592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSGLWLNYSSLVVQGSMCIQV >ONI27577 pep chromosome:Prunus_persica_NCBIv2:G1:7523104:7527654:-1 gene:PRUPE_1G095300 transcript:ONI27577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKVCISESYDGGQNWNHAIPTELPNPNSGIDGVKLRDGSIVLAYNTVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27578 pep chromosome:Prunus_persica_NCBIv2:G1:7523141:7527654:-1 gene:PRUPE_1G095300 transcript:ONI27578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKVCISESYDGGQNWNHAIPTELPNPNSGIDGVKLRDGSIVLAYNTVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27583 pep chromosome:Prunus_persica_NCBIv2:G1:7523597:7526865:-1 gene:PRUPE_1G095300 transcript:ONI27583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDSILEGPVLEEYTFAANSAPFNSCHASTIVEVDKDHFLVAYFGGTSEGAPDVKIWLQTYKNGYWQSPVIADEQPDVPMWNPVLFKLPSDELLLFYKIGQEVQKWSGCMKRSHDKGVTWTEREQLPPGILGPIKNKPILLENGLLLCGSSVESWNSWGAWVEVATDSVRSWKKYGPIYIENETLSVIQPVPYQTAKGTLRLLMRSFDHIGRVCISESYDGGQNWNHAIPTELPNPNSGIDGVKLRDGSIVLAYNTVSRGVLKVALSNDDGDSWHDAVTLEENLGMEFSYPAVIEASDGSVHITYTYNRTQIKHVVLKPN >ONI27118 pep chromosome:Prunus_persica_NCBIv2:G1:4900002:4904266:-1 gene:PRUPE_1G068900 transcript:ONI27118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKGHKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSATSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27123 pep chromosome:Prunus_persica_NCBIv2:G1:4900580:4903878:-1 gene:PRUPE_1G068900 transcript:ONI27123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSCCLGAPGNMSSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27122 pep chromosome:Prunus_persica_NCBIv2:G1:4900580:4903878:-1 gene:PRUPE_1G068900 transcript:ONI27122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSCCLGAPGNMSSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27106 pep chromosome:Prunus_persica_NCBIv2:G1:4900580:4904242:-1 gene:PRUPE_1G068900 transcript:ONI27106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPVVATKFHCTKSAIYSTGHCKKRTTIFHFKFTRSSTFRIQRFNLACHNRNPENHLVSPWSSDIFPRGIRNCTECINVSWRWVSQVISFYLFCLNLVADAVAIDYPSPSFVYACEDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27109 pep chromosome:Prunus_persica_NCBIv2:G1:4900068:4904264:-1 gene:PRUPE_1G068900 transcript:ONI27109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27124 pep chromosome:Prunus_persica_NCBIv2:G1:4900701:4904242:-1 gene:PRUPE_1G068900 transcript:ONI27124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPVVATKFHCTKSAIYSTGHCKKRTTIFHFKFTRSSTFRIQRFNLACHNRNPENHLVSPWSSDIFPRGIRNCTECINVSWRWVSQVISFYLFCLNLVADAVAIDYPSPSFVYACEDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTRYQFFKCIYFFILINEITLTDTRG >ONI27108 pep chromosome:Prunus_persica_NCBIv2:G1:4900064:4904277:-1 gene:PRUPE_1G068900 transcript:ONI27108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27117 pep chromosome:Prunus_persica_NCBIv2:G1:4900580:4904060:-1 gene:PRUPE_1G068900 transcript:ONI27117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKGHKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSATSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27114 pep chromosome:Prunus_persica_NCBIv2:G1:4900025:4904262:-1 gene:PRUPE_1G068900 transcript:ONI27114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKGHKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27107 pep chromosome:Prunus_persica_NCBIv2:G1:4900068:4904262:-1 gene:PRUPE_1G068900 transcript:ONI27107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPVVATKFHCTKSAIYSTGHCKKRTTIFHFKFTRSSTFRIQRFNLACHNRNPENHLVSPWSSDIFPRGIRNCTECINVSWRWVSQVISFYLFCLNLVADAVAIDYPSPSFVYACEDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27119 pep chromosome:Prunus_persica_NCBIv2:G1:4900580:4904060:-1 gene:PRUPE_1G068900 transcript:ONI27119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKGHKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSATSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27115 pep chromosome:Prunus_persica_NCBIv2:G1:4900032:4904262:-1 gene:PRUPE_1G068900 transcript:ONI27115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKGHKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSCCLGAPGNMSSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27113 pep chromosome:Prunus_persica_NCBIv2:G1:4900032:4904270:-1 gene:PRUPE_1G068900 transcript:ONI27113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKGHKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27112 pep chromosome:Prunus_persica_NCBIv2:G1:4900026:4904300:-1 gene:PRUPE_1G068900 transcript:ONI27112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKGHKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27121 pep chromosome:Prunus_persica_NCBIv2:G1:4900580:4903878:-1 gene:PRUPE_1G068900 transcript:ONI27121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27111 pep chromosome:Prunus_persica_NCBIv2:G1:4900052:4904263:-1 gene:PRUPE_1G068900 transcript:ONI27111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKGHKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27116 pep chromosome:Prunus_persica_NCBIv2:G1:4900068:4904277:-1 gene:PRUPE_1G068900 transcript:ONI27116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKGHKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSCCLGAPGNMSSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27120 pep chromosome:Prunus_persica_NCBIv2:G1:4900580:4903878:-1 gene:PRUPE_1G068900 transcript:ONI27120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSTSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI27110 pep chromosome:Prunus_persica_NCBIv2:G1:4900068:4904264:-1 gene:PRUPE_1G068900 transcript:ONI27110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRHFSKELHRMYQCFMEMGFSDVNNYYANADHLEGKSLKKKLNSIIARHQSLSYREVWNALKLLDASDVDNPKASSGIVEIYSLRVVPKSLAGKPDGWNREHLWPRSYGLKDGSSLTDLHNIRPADVNVNSSRGNKYYGECNVYSTKCLKPANKEAALDTETDKDRWAPPKQHRGDIARALMYMAVCYGFRQPDGGPALHLSDSPKSSATSEMGLLSTLLKWNEIDPPSREEKLRNERVCKFYQHNRNPFVDHPEYASLIWEEHSSLRLPRSHEIHNKRQFKTSRSSVDRLQTFKERHGGIAMTGTKQF >ONI35393 pep chromosome:Prunus_persica_NCBIv2:G1:43586170:43591050:1 gene:PRUPE_1G533100 transcript:ONI35393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPEARASDMQSLFSGFVLDPEKCSRLSLGEKRELVHEIAQWSKDAPGILSSFTRRELLEIICAEMGKERKYRGYTKPKMIAQLLKVVSEKSKSITKSSPAFSPAKTQTGKKRKRDVEASFQPLIGPGRVSPVTSQEGHPKYQVCQNVACRAALGSEESFCKRCSCYICHLFDDNKDPSLWLTCGSDTADENGPCGISCHLECALKHEKAGIMKNGCCPELDGSFYCIACGKVNDLMRTWRKQLMIAKEARRVDVLCLRISLSYKILSGTEKYQKLQNTLETAIKKLKNEVGPLEQVCAKMARGIVNRLSCGADVQKLCNSAVESFDSVFSDPCPVHVEKEERATCRIQFEDSTPTSVVIVLEYEDLLFNNFLGCRLWHRKSDVKDYPDQPSFIVLRPEKKFSLTNLHPSAEYFCKVSLFSNAGVLGVWEAKWVTPALYDSSVMLENQRREENVAVVQNHSQEESTNSSDIKLASGDHTAKLQSSNGINKNQSKRLYSLPSLTETASLIKAVSPLTPCKSNGMRKVPGFRCTKRAEESDYDYSVRAIKWLEHEGNIDEDFRVKFLTWFSLKANMQERRVVRVFVDTFTDDPSSLAGQLIHSFGDKICCHQKNEQIFSIGLTQGCAIKF >ONI31841 pep chromosome:Prunus_persica_NCBIv2:G1:31590637:31594212:1 gene:PRUPE_1G333900 transcript:ONI31841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPLPPNCIILAISPANQDIATSDAIKLAKEVDPTGERTFGVLTKLDLMDKGTNALDVIEGRSYRLQHPWVGIVNRSQADINKNVDMIAARRKEKEYFETSPEYGHLAHKMGSEYLAKLLSKHLEIVIRQRIPSIIALINKTIDELNAELDRIGRPIGVDSGAQLYTILELCRAFDRVFKEHLDGGRPGGDRIYKVFDHQLPAALKKLPFDRHLSLKNVQKVVTEADGYQPHLIAPEQGYRRLIDGSIVYFKGPAEASVDAVHFVLKELVRKSIAETEELKRFPTLQSDIAAASTEALERFRDESRKTVTRLVEMESSYLTVEFFRKLNLESDQGPNQTGPNADKNSKEPVQNMDRFGDNYLRRIASNVNSYINMVCETLRNSIPKAVVHCQVREAKRSLLNLFYTQIGRQEKERLGAMLDEDPALMEKRTNIAKRLELYKSARDEIDSVAWK >ONI31840 pep chromosome:Prunus_persica_NCBIv2:G1:31588579:31594212:1 gene:PRUPE_1G333900 transcript:ONI31840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLESLIGLVNRIQRACTVLGDHGGEGLSLWEALPTVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHQTDEGRAEYGEFLHAPKKKFTDFASVRKEIQDETDRITGKTKHISNIPIHLSIYSPNVVNLTLVDLPGLTKVAVEGQPDTIVEDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLAKEVDPTGERTFGVLTKLDLMDKGTNALDVIEGRSYRLQHPWVGIVNRSQADINKNVDMIAARRKEKEYFETSPEYGHLAHKMGSEYLAKLLSKHLEIVIRQRIPSIIALINKTIDELNAELDRIGRPIGVDSGAQLYTILELCRAFDRVFKEHLDGGRPGGDRIYKVFDHQLPAALKKLPFDRHLSLKNVQKVVTEADGYQPHLIAPEQGYRRLIDGSIVYFKGPAEASVDAVHFVLKELVRKSIAETEELKRFPTLQSDIAAASTEALERFRDESRKTVTRLVEMESSYLTVEFFRKLNLESDQGPNQTGPNADKNSKEPVQNMDRFGDNYLRRIASNVNSYINMVCETLRNSIPKAVVHCQVREAKRSLLNLFYTQIGRQEKERLGAMLDEDPALMEKRTNIAKRLELYKSARDEIDSVAWK >ONI32346 pep chromosome:Prunus_persica_NCBIv2:G1:33342772:33344882:1 gene:PRUPE_1G362500 transcript:ONI32346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALKYTFSDYARNAVSREALLEPTKLQREDKNGKSQRKKERREIKGQGRKEKDESIPGYVTLHNVKSLDDKKCFQQKKGKKGEAKGWCPRKIEHEVDQLERSDITNEHGLPTCIENTSYLSDGTQSSKKSKPLIVRIKLFKHSEPDASLACSPSGRVDLLPPERTEVVLAPSQPSAETNVQLGRVSSKPDQDLPCSTSEGMETIGQKRSASAAFENQIQSEDSLHATLIENWIPPPIQFADVGDGDEEWLFGTKHQNRCGSKRFKASNNEVSSFTRSTQWPQAQWLLEAGVYALSFTVPL >ONI27804 pep chromosome:Prunus_persica_NCBIv2:G1:8481054:8485860:1 gene:PRUPE_1G105600 transcript:ONI27804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVFSNPDSYFLLPNSHCATMRSSGLVDPGWEHGMAQDERKKKVKCNYCGKIVSGGIYRLKQHLARVSGEVTYCDKAPEDVYMSMKANMEGSRSNKKPRHSEDIGQAYLNFQSNDDEEEVHVGYRSKGKQLMGDRNLAMKLTPLRSLGYVDPGWEHGVAQDEKKKKVKCIYCEKIVSGGINRFKQHLARIPGEVAPCKHAPEEVFLKIKENMKWHRTGRRQRQADSKDMSPFDLQSDNEDQDDDQMEAALHHINKERLIDGDRRLGQNLRNTFKALPPSTGSEPLFKRSRLDSLFLTAPKSLTPHSYRQVRVRTMSNKISRKEVISGICKFFYHAGVPLQAANSVYFHKMLELVGQYGQGLVAPPSQLISGRFLQEELATIKTYLADYKASWAITGCSIMADSWRDTEGRILINFLASGPNGVYFVSSVDATEIVEDASNLFKLLDKVVEEMGEENVVQVITPITPSYKAAGNMLEEKRKKLFWTPCATSCIDQMLEDFLKIRCVAECMEKGQKITKLIYNQIWLLNFLKSDFTQGKELLRPSITRFASSFATLQSLLDHRTGLRRMFQSNKWISSQCSKSCEGKEVESIVLNATFWKKLQFVRNSVDPIMQVLQKVESGDCLSMSSIYNDMYRAKIAIKTIHGDNVRKYEPFWSVIESHWNSLFYHPVYVAAYYLNPSYRYRPDFTAHTEAMRGLNECIVRLEPDSARRISASMQISDYNSAKADFGTELAISTRTELDPAAWWQQHGISCLELQRIAVRILSQTCSSFGCEHNWSIYDQLYSLRNNRLAQKRLNDLIYVHYNLRLREQQLQLRRRADNSISLDNVLLERLLDDWIVDAAENDMLENEEVLYNEIEQVDEYENDMVDYEGVNGNAETRNGSVELVTLADADINPANAGVATDDDDEDDEDGDINFFDDDMSD >ONI27805 pep chromosome:Prunus_persica_NCBIv2:G1:8481061:8485826:1 gene:PRUPE_1G105600 transcript:ONI27805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSGLVDPGWEHGMAQDERKKKVKCNYCGKIVSGGIYRLKQHLARVSGEVTYCDKAPEDVYMSMKANMEGSRSNKKPRHSEDIGQAYLNFQSNDDEEEVHVGYRSKGKQLMGDRNLAMKLTPLRSLGYVDPGWEHGVAQDEKKKKVKCIYCEKIVSGGINRFKQHLARIPGEVAPCKHAPEEVFLKIKENMKWHRTGRRQRQADSKDMSPFDLQSDNEDQDDDQMEAALHHINKERLIDGDRRLGQNLRNTFKALPPSTGSEPLFKRSRLDSLFLTAPKSLTPHSYRQVRVRTMSNKISRKEVISGICKFFYHAGVPLQAANSVYFHKMLELVGQYGQGLVAPPSQLISGRFLQEELATIKTYLADYKASWAITGCSIMADSWRDTEGRILINFLASGPNGVYFVSSVDATEIVEDASNLFKLLDKVVEEMGEENVVQVITPITPSYKAAGNMLEEKRKKLFWTPCATSCIDQMLEDFLKIRCVAECMEKGQKITKLIYNQIWLLNFLKSDFTQGKELLRPSITRFASSFATLQSLLDHRTGLRRMFQSNKWISSQCSKSCEGKEVESIVLNATFWKKLQFVRNSVDPIMQVLQKVESGDCLSMSSIYNDMYRAKIAIKTIHGDNVRKYEPFWSVIESHWNSLFYHPVYVAAYYLNPSYRYRPDFTAHTEAMRGLNECIVRLEPDSARRISASMQISDYNSAKADFGTELAISTRTELDPAAWWQQHGISCLELQRIAVRILSQTCSSFGCEHNWSIYDQLYSLRNNRLAQKRLNDLIYVHYNLRLREQQLQLRRRADNSISLDNVLLERLLDDWIVDAAENDMLENEEVLYNEIEQVDEYENDMVDYEGVNGNAETRNGSVELVTLADADINPANAGVATDDDDEDDEDGDINFFDDDMSD >ONI27806 pep chromosome:Prunus_persica_NCBIv2:G1:8481061:8485763:1 gene:PRUPE_1G105600 transcript:ONI27806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSGLVDPGWEHGMAQDERKKKVKCNYCGKIVSGGIYRLKQHLARVSGEVTYCDKAPEDVYMSMKANMEGSRSNKKPRHSEDIGQAYLNFQSNDDEEEVHVGYRSKGKQLMGDRNLAMKLTPLRSLGYVDPGWEHGVAQDEKKKKVKCIYCEKIVSGGINRFKQHLARIPGEVAPCKHAPEEVFLKIKENMKWHRTGRRQRQADSKDMSPFDLQSDNEDQDDDQMEAALHHINKERLIDGDRRLGQNLRNTFKALPPSTGSEPLFKRSRLDSLFLTAPKSLTPHSYRQVRVRTMSNKISRKEVISGICKFFYHAGVPLQAANSVYFHKMLELVGQYGQGLVAPPSQLISGRFLQEELATIKTYLADYKASWAITGCSIMADSWRDTEGRILINFLASGPNGVYFVSSVDATEIVEDASNLFKLLDKVVEEMGEENVVQVITPITPSYKAAGNMLEEKRKKLFWTPCATSCIDQMLEDFLKIRCVAECMEKGQKITKLIYNQIWLLNFLKSDFTQGKELLRPSITRFASSFATLQSLLDHRTGLRRMFQSNKWISSQCSKSCEGKEVESIVLNATFWKKLQFVRNSVDPIMQVLQKVESGDCLSMSSIYNDMYRAKIAIKTIHGDNVRKYEPFWSVIESHWNSLFYHPVYVAAYYLNPSYRYRPDFTAHTEAMRGLNECIVRLEPDSARRISASMQISDYNSAKADFGTELAISTRTELDPAAWWQQHGISCLELQRIAVRILSQTCSSFGCEHNWSIYDQLYSLRNNRLAQKRLNDLIYVHYNLRLREQQLQLRRRADNSISLDNVLLERLLDDWIVDAAENDMLENEEVLYNEIEQVDEYENDMVDYEGVNGNAETRNGSVELVTLADADINPANAGVATDDDDEDDEDGDINFFDDDMSD >ONI27730 pep chromosome:Prunus_persica_NCBIv2:G1:8148988:8150118:1 gene:PRUPE_1G102200 transcript:ONI27730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEAPITWPRSRDCPSTKIRSVEEIEKASNSSHSLLSLAQGHKNVIHRNGSAFLHWVAIAVVNGLVRIPVEEADMLSGRHCPRDIKTQFSFSSNSSSSTFFNVNQINHRAQGRKD >ONI36522 pep chromosome:Prunus_persica_NCBIv2:G1:47808488:47810270:-1 gene:PRUPE_1G588200 transcript:ONI36522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEAKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQTGCLVKIVNGAYRGSNAKLLAVDTDKFCAKHLNVQIHSSLRTLFRGQNRNFHAIAELNTCGSSPTFGH >ONI36520 pep chromosome:Prunus_persica_NCBIv2:G1:47808467:47810389:-1 gene:PRUPE_1G588200 transcript:ONI36520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQIFGENSNRIVDGVRAKFSRFDETKPPVQPYAATVVYNEYINDRHHVHMNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEAKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQTGCLVKIVNGAYRGSNAKLLAVDTDKFCAKHLNVQIHSSLRTLFRGQNRNFHAIAELNTCGSSPTFGH >ONI36524 pep chromosome:Prunus_persica_NCBIv2:G1:47808672:47809953:-1 gene:PRUPE_1G588200 transcript:ONI36524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEAKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQTGCLVKIVNGAYRGSNAKLLAVDTDKFCAKIHSSLRTLFRGQNRNFHAIAGN >ONI36521 pep chromosome:Prunus_persica_NCBIv2:G1:47808467:47810397:-1 gene:PRUPE_1G588200 transcript:ONI36521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEAKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQTGCLVKIVNGAYRGSNAKLLAVDTDKFCAKIHSSLRTLFRGQNRNFHAIAELNTCGSSPTFGH >ONI36523 pep chromosome:Prunus_persica_NCBIv2:G1:47808467:47810410:-1 gene:PRUPE_1G588200 transcript:ONI36523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEAKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQTGCLVKIVNGAYRGSNAKLLAVDTDKFCAKS >ONI36526 pep chromosome:Prunus_persica_NCBIv2:G1:47809093:47809953:-1 gene:PRUPE_1G588200 transcript:ONI36526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEAKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQTGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKGVYDGRVLKAVEYEDICKLA >ONI36525 pep chromosome:Prunus_persica_NCBIv2:G1:47809093:47809953:-1 gene:PRUPE_1G588200 transcript:ONI36525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEAKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQTGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKGVYDGRVLKAVEYEDICKLA >ONI26647 pep chromosome:Prunus_persica_NCBIv2:G1:2576352:2579402:1 gene:PRUPE_1G037000 transcript:ONI26647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSVLGRRTSSHDENQSKYDRAKELKAFDDTKAGVKGLVDAGTLHVPKIFIGPPNELAHFPTCHQPNLQVPVIDLQGINGDLHKQIVDEVRAASETWGFFQVVNHEVPLTVLENMIQGVRKFHEQDLDVKKEFYSRDRETTVRFNSNFDLYKSKAANWRDTLAFARAVVDQDPKQLPSVCRDAVIEYTKHVKSLGDQLFGLLSEALGLKPDHLRELECSKDYSFVCHYYPACPEPELTLGSSKHSDPSFLTILLQDQIGGLQVLHDNQWVNVHPISGGLVVNIADFLQAISNDKLQSVQHRVLANRAGPRVSIACFFTGQRTGALAKSYGPIKELISEENPPLYRHFLISEYYGKFFSQGLDEKSGLDHFRL >ONI26646 pep chromosome:Prunus_persica_NCBIv2:G1:2577131:2579367:1 gene:PRUPE_1G037000 transcript:ONI26646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSVLGRRTSSHDENQSKYDRAKELKAFDDTKAGVKGLVDAGTLHVPKIFIGPPNELAHFPTCHQPNLQVPVIDLQGINGDLHKQIVDEVRAASETWGFFQVVNHEVPLTVLENMIQGVRKFHEQDLDVKKEFYSRDRETTVRFNSNFDLYKSKAANWRDTLAFARAVVDQDPKQLPSVCRDAVIEYTKHVKSLGDQLFGLLSEALGLKPDHLRELECSKDYSFVCHYYPACPEPELTLGSSKHSDPSFLTILLQDQIGGLQVLHDNQWVNVHPISGGLVVNIADFLQAISNDKLQSVQHRVLANRAGPRVSIACFFTGQRTGALAKSYGPIKELISEENPPLYRHFLISEYYGKFFSQGLDEKSGLDHFRL >ONI26645 pep chromosome:Prunus_persica_NCBIv2:G1:2576352:2579314:1 gene:PRUPE_1G037000 transcript:ONI26645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSVLGRRTSSHDENQSKYDRAKELKAFDDTKAGVKGLVDAGTLHVPKIFIGPPNELAHFPTCHQPNLQVPVIDLQGINGDLHKQIVDEVRAASETWGFFQVVNHEVPLTVLENMIQGVRKFHEQDLDVKKEFYSRDRETTVRFNSNFDLYKSKAANWRDTLAFARAVVDQDPKQLPSVCRDAVIEYTKHVKSLGDQLFGLLSEALGLKPDHLRELECSKDYSFVCHYYPACPEPELTLGSSKHSDPSFLTILLQDQIGGLQVLHDNQWVNVHPISGGLVVNIADFLQAISNDKLQSVQHRVLANRAGPRVSIACFFTGQRTGALAKSYGPIKELISEENPPLYRHFLISEYYGKFFSQGLDEKSGLDHFRL >ONI33083 pep chromosome:Prunus_persica_NCBIv2:G1:35602362:35605167:1 gene:PRUPE_1G404400 transcript:ONI33083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEIIALEQRFNLKTPLKKIIITKTNSFDNNVRVVGPALALKYLEIRNCRIESIEISSANLVSFTYAGDWIRLFLWNVPRLAEVSLACDSRASTVAVFSTLHFCHSQLEVLTLKTSLIHKENYTFPGLEILKHLEVKIATDEDCCLLQLASFIKASPELQKLVLELTGAVRPEHEIGIKEAANCSRNSLRVVEVRAYCGRPGDLKLIMYLIKNAVKLEKIVVHPKEVRAVDFARRQLKRLSP >ONI33082 pep chromosome:Prunus_persica_NCBIv2:G1:35602362:35605167:1 gene:PRUPE_1G404400 transcript:ONI33082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEIIALEQRFNLKTPLKKIIITKTNSFDNNVRVVGPALALKYLEIRNCRIESIEISSANLVSFTYAGDWIRLFLWNVPRLAEVSLACDSRASTVAVFSTLHFCHSQLEVLTLKTSLIHKENYTFPGLEILKHLEVKIATDEDCCLLQLASFIKASPELQKLVLELTGAVRPEHEIGIKEAANCSRNSLRVVEVRAYCGRPGDLKLIMYLIKNAVKLEKIVVHPKEKC >ONI27363 pep chromosome:Prunus_persica_NCBIv2:G1:6056763:6058638:1 gene:PRUPE_1G081900 transcript:ONI27363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRQVSKQHSRGYSLHPIKLASSVFARKYLSHLLPALKKMFEENTISSSNNNVDEDYSKLEKLVGYQVNMAMVFSASTYQFAWSRALKQQLQTRHVLIYGEGLLPRHHVPKPLNFLPNLSVSASKMKRYSNHNMSNKVRPHFLKRNLAMNGKTKKSKEAEIIERKLTSLRRLLPGGNEMGEENELLAEVGSYISCLQLQGNQHPPRRFVLTYGYSTTIGRVLSPSTSTCVFREANMVADDPCIWFCNFPNCVAIKAFILS >ONI28391 pep chromosome:Prunus_persica_NCBIv2:G1:10996241:10998989:-1 gene:PRUPE_1G140100 transcript:ONI28391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPRKPSERSRSREKLVGRVIWPATRGQNCPICLGALEPRSAAVLTSCNHAYCVRCIRKWSGLRRKCPLCNADFDSWFSYISLSSRSFHREILPPLDNTSSSRSFRLQQEEHPSRHVRNSERRRSRPLPWRRSFGRPGSVTPDVIAERKLQWRVSVYERRLQAVPSAPRSRLQVSVPINDGVKERILRRIEPWIRRELQALLGDRDPSIIVHVATSLFIASLENEGHVPSGQCDVRDDFLARLRPFLLDRTDMFWHELRKIWWSPQRGS >ONI28393 pep chromosome:Prunus_persica_NCBIv2:G1:10996241:10998989:-1 gene:PRUPE_1G140100 transcript:ONI28393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPRKPSERSRSREKLVGRVIWPATRGQNCPICLGALEPRSAAVLTSCNHAYCVRCIRKWSGLRRKCPLCNADFDSWFSYISLSSRSFHREILPPLDNTSSSRSFRLQQEEHPSRHVRNSERRRSRPLPWRRSFGRPGSVTPDVIAERKLQWRVSSVYERRLQAVPSAPRSRLQVSVPINDGVKERILRRIEPWIRRELQALLGDRDPSIIVHVATSLFIASLENEGHVPSGQCDVRDDFLARLRPFLLDRTDMFWHELRCFAKSSFNMETYDAVVEYKRLRQM >ONI28394 pep chromosome:Prunus_persica_NCBIv2:G1:10997829:10998892:-1 gene:PRUPE_1G140100 transcript:ONI28394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPRKPSERSRSREKLVGRVIWPATRGQNCPICLGALEPRSAAVLTSCNHAYCVRCIRKWSGLRRKCPLCNADFDSWFSYISLSSRSFHREILPPLDNTSSSRSFRLQQEEHPSRHVRNSERRRSRPLPWRRSFGRPGSVTPDVIAERKLQWRVSVYERRLQAVPSAPRSRLQVSVPINDGVKERILRRIEPWIRRELQALLGDRDPSIIVHVATSLFIASLENEGHVPSGQCDVRDDFLARLRPFLLDRTDMFWHELR >ONI28392 pep chromosome:Prunus_persica_NCBIv2:G1:10997225:10998892:-1 gene:PRUPE_1G140100 transcript:ONI28392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPRKPSERSRSREKLVGRVIWPATRGQNCPICLGALEPRSAAVLTSCNHAYCVRCIRKWSGLRRKCPLCNADFDSWFSYISLSSRSFHREILPPLDNTSSSRSFRLQQEEHPSRHVRNSERRRSRPLPWRRSFGRPGSVTPDVIAERKLQWRVSVYERRLQAVPSAPRSRLQVSVPINDGVKERILRRIEPWIRRELQALLGDRDPSIIVHVATSLFIASLENEGHVPSGQCDVRDDFLARLRPFLLDRTDMFWHELRCFAKSSFNMETYDAVVEYKRLRQM >ONI29316 pep chromosome:Prunus_persica_NCBIv2:G1:18039970:18049163:-1 gene:PRUPE_1G192800 transcript:ONI29316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSISRLNLFLLFFHLLILQFSPRISAIRKDVGFQGRRICKNTVLGRYLLSDDNGHVCDALSLNPQSRCCPEKGEKFSCHGCNVVSECCDSYEYCVSCCLNPSRIKEEQVMNVKVAKPATAGKYSNVFDFCAGRCRHNSESVVHENAYLSDFHHCFSLPSNSSAANYTFLEARLNGINVLVGRTCYGVDGRRGQSCDSVCKSKGQSCVPNKLLVLNQCDIMQKYMSCKGTCLASIGPDQPAEVVDDAPEDLNPGACLFTQTQSMLSCYGSHQHTRRLCPCA >ONI29317 pep chromosome:Prunus_persica_NCBIv2:G1:18039203:18049163:-1 gene:PRUPE_1G192800 transcript:ONI29317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSISRLNLFLLFFHLLILQFSPRISAIRKDVGFQGRRICKNTVLGRYLLSDDNGHVCDALSLNPQSRCCPEKGEKFSCHGCNVVSECCDSYEYCVSCCLNPSRIKEEQVMNVKVAKPATAGKYSNVFDFCAGRCRHNSESVVHENAYLSDFHHCFSLPSNSSAANYTFLEARLNGINVLVGRTCYGVDGRRGQSCDSVCKSKGQSCVPNKLLVLNQCDIMQKYMSCKGTCLASIGPDQPAEVVDDAPEDLNPGACLFTQTQSMLSCYGSHQHTRRLCPCA >ONI29314 pep chromosome:Prunus_persica_NCBIv2:G1:18039190:18049226:-1 gene:PRUPE_1G192800 transcript:ONI29314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSISRLNLFLLFFHLLILQFSPRISAIRKDVGFQGRRICKNTVLGRYLLSDDNGHVCDALSLNPQSRCCPEKGEKFSCHGCNVVSECCDSYEYCVSCCLNPSRIKEEQVMNVKVAKPATAGKYSNVFDFCAGRCRHNSESVVHENAYLSDFHHCFSLPSNSSAANYTFLEARLNGINVLVGRRGQSCDSVCKSKGQSCVPNKLLVLNQCDIMQKYMSCKGTCLASIGPDQPAEVVDDAPEDLNPGACLFTQTQSMLSCYGSHQHTRRLCPCA >ONI29315 pep chromosome:Prunus_persica_NCBIv2:G1:18039801:18049172:-1 gene:PRUPE_1G192800 transcript:ONI29315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSISRLNLFLLFFHLLILQFSPRISAIRKDVGFQGRRICKNTVLGRYLLSDDNGHVCDALSLNPQSRCCPEKGEKFSCHGCNVVSECCDSYEYCVSCCLNPSRIKEEQVMNVKVAKPATAGKYSNVFDFCAGRCRHNSESVVHENAYLSDFHHCFSLPSNSSAANYTFLEARLNGINVLVGRRGQSCDSVCKSKGQSCVPNKLLVLNQCDIMQKYMSCKGTCLASIGPDQPAEVVDDAPEDLNPGACLFTQTQSMLSCYGSHQHTRRLCPCA >ONI29313 pep chromosome:Prunus_persica_NCBIv2:G1:18039231:18049152:-1 gene:PRUPE_1G192800 transcript:ONI29313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSISRLNLFLLFFHLLILQFSPRISAIRKDVGFQGRRICKNTVLGRYLLSDDNGHVCDALSLNPQSRCCPEKGEKFSCHGCNVVSECCDSYEYCVSCCLNPSRIKEEQVMNVKVAKPATAGKYSNVFDFCAGRCRHNSESVVHENAYLSDFHHCFSLPSNSSAANYTFLEARLNGINVLVGRRGQSCDSVCKSKGQSCVPNKLLVLNQCDIMQKYMSCKGTCLASIGPDQPAEVVDDAPEDLYMTLNGALIPLITFRILEHACLLKHNQCFLVMVHISIPGDSALVHSAVSGFPIKGMNCGDYISCMQVSFLVPLGLVFPSWKIKMHFAPSFTMARLAHCKVFDLSASKRWLCCNGLGERGACAIVFYAS >ONI28694 pep chromosome:Prunus_persica_NCBIv2:G1:12301389:12303482:1 gene:PRUPE_1G155700 transcript:ONI28694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTRNFRSQGGTTGDEYWPSFSRNDFKNFNNFKNFNNFNHCNNNWNRSRSNSFSRNFNNFHNYMGNYREHADNSNYSKPDSAPSFKRRKFSASTWGDGARHYLPANTYDFAPSIYNNYVPHTRSNGDASTSTTGKRDRSKLEEDELAFMSRDEIERHSPSRKDGIDAVREAHLRYSYCSYLQNLGLRLDLPQTTIATAMVLCHRFFARRSHACHDRFLIATAALFLSAKYEETPRPLNNVLRASCEIFHKQDFSFLSYMLPVDWFEQYRERVTDAEQMILTTLNFELGVQHPYDPLTSILNKLGLSQTVLVNLSLSLVSEGMYTRLALITCMIFLAGGLICCSWKLFDLLLKLNCRYVVDEFS >ONI28693 pep chromosome:Prunus_persica_NCBIv2:G1:12300520:12306920:1 gene:PRUPE_1G155700 transcript:ONI28693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTRNFRSQGGTTGDEYWPSFSRNDFKNFNNFKNFNNFNHCNNNWNRSRSNSFSRNFNNFHNYMGNYREHADNSNYSKPDSAPSFKRRKFSASTWGDGARHYLPANTYDFAPSIYNNYVPHTRSNGDASTSTTGKRDRSKLEEDELAFMSRDEIERHSPSRKDGIDAVREAHLRYSYCSYLQNLGLRLDLPQTTIATAMVLCHRFFARRSHACHDRFLIATAALFLSAKYEETPRPLNNVLRASCEIFHKQDFSFLSYMLPVDWFEQYRERVTDAEQMILTTLNFELGVQHPYDPLTSILNKLGLSQTVLVNLSLSLVSEGLRSSLWLQFKPHHIAAGAAYLAAKFLNLDLDSYQNVWQEFQATPDILEDVAQQLMELF >ONI28696 pep chromosome:Prunus_persica_NCBIv2:G1:12300520:12306920:1 gene:PRUPE_1G155700 transcript:ONI28696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTRNFRSQGGTTGDEYWPSFSRNDFKNFNNFKNFNNFNHCNNNWNRSRSNSFSRNFNNFHNYMGNYREHADNSNYSKPDSAPSFKRRKFSASTWGDGARHYLPANTYDFAPSIYNNYVPHTRSNGDASTSTTGKRDRSKLEEDELAFMSRDEIERHSPSRKDGIDAVREAHLRYSYCSYLQNLGLRLDLPQTTIATAMVLCHRFFARRSHACHDRFLIATAALFLSAKYEETPRPLNNVLRASCEIFHKQDFSFLSYMLPVDWFEQYRERVTDAEQMILTTLNFELGVQHPYDPLTSILNKLGLSQTVLVNLSLSLVSEGMYTRLALITCMIFLAGGLICCSWKLFDLLLKLNCRYVVDEFS >ONI28695 pep chromosome:Prunus_persica_NCBIv2:G1:12300520:12306920:1 gene:PRUPE_1G155700 transcript:ONI28695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTRNFRSQGGTTGDEYWPSFSRNDFKNFNNFKNFNNFNHCNNNWNRSRSNSFSRNFNNFHNYMGNYREHADNSNYSKPDSAPSFKRRKFSASTWGDGARHYLPANTYDFAPSIYNNYVPHTRSNGDASTSTTGKRDRSKLEEDELAFMSRDEIERHSPSRKDGIDAVREAHLRYSYCSYLQNLGLRLDLPQTTIATAMVLCHRFFARRSHACHDRFLIATAALFLSAKYEETPRPLNNVLRASCEIFHKQDFSFLSYMLPVDWFEQYRERVTDAEQMILTTLNFELGVQHPYDPLTSILNKLGLSQTVLVNLSLSLVSEGMYTRLALITCMIFLAGGLICCSWKLFDLLLKLNCRYVVDEFS >ONI32768 pep chromosome:Prunus_persica_NCBIv2:G1:34491934:34494054:-1 gene:PRUPE_1G384600 transcript:ONI32768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALHTLAFKVLYILNFAIEFYRKGKKAIRYNVPVGFGEEARPWEISSPFTLPKVIMGFEKLRRRRFMIRRKPIQLGSLDSHGATMSHEGLKTVRVDNRCDFSSYSDLCICVVTWNMNGQVTYEDLAELVGNNRKFDLLVVGLQEVPRKNISRLLQAALLDSHILLGKSIMQSIHLYVFGLKDSDLFTKEVKIDKSPVGGFGGLIRRKKGAVAIRLNYKGITMVFISCHLSAHAQNVEKRNSEFRNVSESLFSQKLNPYARPAQITVWLGDLNYRIQGIGNYPARDLIHNDLHRLLTNNDQLLQEAERGQIFKGYSEGTLTFKPTYKYNIGSSNYDTSYKVRMPSWTDRILFKTQDTEEIHATLHSYEAMDDIHSSDHKPVKAHICLKLSKPS >ONI32769 pep chromosome:Prunus_persica_NCBIv2:G1:34491689:34494059:-1 gene:PRUPE_1G384600 transcript:ONI32769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFISIHAAKGRRFMIRRKPIQLGSLDSHGATMSHEGLKTVRVDNRCDFSSYSDLCICVVTWNMNGQVTYEDLAELVGNNRKFDLLVVGLQEVPRKNISRLLQAALLDSHILLGKSIMQSIHLYVFGLKDSDLFTKEVKIDKSPVGGFGGLIRRKKGAVAIRLNYKGITMVFISCHLSAHAQNVEKRNSEFRNVSESLFSQKLNPYARPAQITVWLGDLNYRIQGIGNYPARDLIHNDLHRLLQEAERGQIFKGYSEGTLTFKPTYKYNIGSSNYDTSYKVRMPSWTDRILFKTQDTEEIHATLHSYEAMDDIHSSDHKPVKAHICLKLSKPS >ONI32770 pep chromosome:Prunus_persica_NCBIv2:G1:34491689:34494058:-1 gene:PRUPE_1G384600 transcript:ONI32770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFISIHAAKGRRFMIRRKPIQLGSLDSHGATMSHEGLKTVRVDNRCDFSSYSDLCICVVTWNMNGQVTYEDLAELVGNNRKFDLLVVGLQEVPRKNISRLLQAALLDSHILLGKSIMQSIHLYVFGLKDSDLFTKEVKIDKSPVGGFGGLIRRKKGAVAIRLNYKGITMVFISCHLSAHAQNVEKRNSEFRNVSESLFSQKLNPYARPAQITVWLGDLNYRIQGIGNYPARDLIHNDLHRLLTNNDQLLQEAERGQIFKGYSEGTLTFKPTYKYNIGSSNYDTSYKVRMPSWTDRILFKTQDTEEIHATLHSYEAMDDIHSSDHKPVKAHICLKLSKPS >ONI32767 pep chromosome:Prunus_persica_NCBIv2:G1:34491934:34494054:-1 gene:PRUPE_1G384600 transcript:ONI32767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALHTLAFKVLYILNFAIEFYRKGKKAIRYNVPVGFGEEARPWEISSPFTLPKVIMGFEKLRRRRFMIRRKPIQLGSLDSHGATMSHEGLKTVRVDNRCDFSSYSDLCICVVTWNMNGQVTYEDLAELVGNNRKFDLLVVGLQEVPRKNISRLLQAALLDSHILLGKSIMQSIHLYVFGLKDSDLFTKEVKIDKSPVGGFGGLIRRKKGAVAIRLNYKGITMVFISCHLSAHAQNVEKRNSEFRNVSESLFSQKLNPYARPAQITVWLGDLNYRIQGIGNYPARDLIHNDLHRLLQEAERGQIFKGYSEGTLTFKPTYKYNIGSSNYDTSYKVRMPSWTDRILFKTQDTEEIHATLHSYEAMDDIHSSDHKPVKAHICLKLSKPS >ONI26417 pep chromosome:Prunus_persica_NCBIv2:G1:1655317:1659047:1 gene:PRUPE_1G023500 transcript:ONI26417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEMGNYNTSGLRDEENSAVEVGEKSLVGTANGNDIKEENEIVPAAEGKYFHEKVTNLASDDPEGIEDPCVDYQTSNRTEQEVTEVHPLSESSKVRPETNEAGEGDSKMELATSLNDSGHDNPIEKKMEETDPQGTIKQQFEKQASFKKEEEGAISTSHDPEPTESIHLELNQHELATIHPDDQSVDDGGSLQGNEDCLGSSLISAFEVKSHLSDTEALKNMDESVDSNTDPAMEKERDDLLLEQKASQEQLESSEEKIETKDGDKFENGLTTITIIASNGLSGLGNEIDGELPTEMNFFKNDCSESEPEVIPVTNSPNSHLEVPEPEDKCIILKEETRPIEKESENGEHNHDDNPIQTCGESVIESESFKSSEPQFEAAKIGSIHENSEKISVFNPLSDKDCQTEETRVAENGHLVVMCVNNQNEASEDHFLDSDAKVEVVPELGTVPPELTIPHCNHKEEESTEKRAVQQNGVNTEPLFAIEVGGTETTEQFLSLQLPVDQAKVLPRKSVCGYGTVQSTNDSILNLKQESRSEFLATEASTGDCTNWIAEALASMNKLALDIPGQHVTQHTEALQAAAETKISANHSSEPCAKVEASESASCGSETQESMGRYSTESDADNLNVRGRIQKSPSFSLDLKNEARTEESDCTPLLYHDKAAMEGSPCHGDDVSLGNLMKHTSYEPDLPQYQAMPVEEKIITLERGDSDKSKTPFIGFLKEEEEARIVVTPHIHDKHSATQNSTKNLLASHAKEATPTTSSKGKEKRRHRSSLFTNCMCCATVIN >ONI26418 pep chromosome:Prunus_persica_NCBIv2:G1:1655316:1659053:1 gene:PRUPE_1G023500 transcript:ONI26418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEMGNYNTSGLRDEENSAVEVGEKSLVGTANGNDIKEENEIVPAAEGKYFHEKVTNLASDDPEGIEDPCVDYQTSNRTEQEVTEVHPLSESSKVRPETNEAGEGDSKMELATSLNDSGHDNPIEKKMEETDPQGTIKQQFEKQASFKKEEEGAISTSHDPEPTESIHLELNQHELATIHPDDQSVDDGGSLQGNEDCLGSSLISAFEVKSHLSDTEALKNMDESVDSNTDPAMEKERDDLLLEQKASQEQLESSEEKIETKDGDKFENGLTTITIIASNGLSGLGNEIDGELPTEMNFFKNDCSESEPEVIPVTNSPNSHLEVPEPEDKCIILKEETRPIEKESENGEHNHDDNPIQTCGESVIESESFKSSEPQFEAAKIGSIHENSEKISVFNPLSDKDCQTEETRVAENGHLVVMCVNNQNEASEDHFLDSDAKVEVVPELGTVPPELTIPHCNHKEEESTEKRAVQQNGVNTEPLFAIEVGGTETTEQFLSLQLPVDQAKVLPRKSVCGYGTVQSTNDSILNLKQESRSEFLATEASTGDCTNWIAEALASMNKLALDIPGQHVTQHTEALQAAAETKISANHSSEPCAKVEASESASCGSETQESMGRYSTESDADNLNVRGRIQKSPSFSLDLKNEARTEESDCTPLLYHDKAAMEGSPCHGDDVSLGNLMKHTSYEPDLPQYQAMPVEEKIITLERGDSDKSKTPFIGFLKEEEEARIVVTPHIHDKHSATQNSTKNLLASHAKEATPTTSSKGKEKRRHRSSLFTNCMCCATVIN >ONI27554 pep chromosome:Prunus_persica_NCBIv2:G1:7310076:7312422:-1 gene:PRUPE_1G094300 transcript:ONI27554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLSACCSSEKVDEERATREIGGGHASWRIFSYKELQTATNGFSDDNLLGEGGFGSVYWGKTSDGLQIAVKKLKAMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYYAGADQRLIVYDYMPNLSLLSHLHGQFASEVQLDWKRRMKVALGSAEGLLYLHHEVTPHIIHRDIKASNVLLDSDFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELVTGRKPIEKLPGGVKRTITEWAEPLITKGKLKELADPKLRGNFDEAQLKQAINVAALCVKSEPEKRPKMKEVVDILKGFEAKARLTPLRIESVRYKEELLALDQASDDEGDGGADESNNGYGVFSAIEVQKMQDPYKVYGERRMAKYG >ONI29802 pep chromosome:Prunus_persica_NCBIv2:G1:22829475:22837133:-1 gene:PRUPE_1G215400 transcript:ONI29802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTGSAKESD >ONI29796 pep chromosome:Prunus_persica_NCBIv2:G1:22829475:22837133:-1 gene:PRUPE_1G215400 transcript:ONI29796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSELLTGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTVRRKDKRRKGASAGASKFWMVVRVLF >ONI29813 pep chromosome:Prunus_persica_NCBIv2:G1:22829475:22837133:-1 gene:PRUPE_1G215400 transcript:ONI29813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVCRW >ONI29793 pep chromosome:Prunus_persica_NCBIv2:G1:22829475:22837143:-1 gene:PRUPE_1G215400 transcript:ONI29793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTVRRKDKRRKGASAGASKFWMVVRVLF >ONI29815 pep chromosome:Prunus_persica_NCBIv2:G1:22830373:22834449:-1 gene:PRUPE_1G215400 transcript:ONI29815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSELLTGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVCRW >ONI29798 pep chromosome:Prunus_persica_NCBIv2:G1:22830080:22836653:-1 gene:PRUPE_1G215400 transcript:ONI29798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSPIPLPYAVIYSIFYSLSLRFLLVLNSSLSLPSLPSLSVSLSGLSSISYSNCDFNVCVWLFVHPLTDSFRSSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSELLTGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTGSAKESD >ONI29807 pep chromosome:Prunus_persica_NCBIv2:G1:22830373:22836653:-1 gene:PRUPE_1G215400 transcript:ONI29807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSPIPLPYAVIYSIFYSLSLRFLLVLNSSLSLPSLPSLSVSLSGLSSISYSNCDFNVCVWLFVHPLTDSFRSSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSELLTGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVCRW >ONI29797 pep chromosome:Prunus_persica_NCBIv2:G1:22829475:22837133:-1 gene:PRUPE_1G215400 transcript:ONI29797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSPIPLPYAVIYSIFYSLSLRFLLVLNSSLSLPSLPSLSVSLSGLSSISYSNCDFNVCVWLFVHPLTDSFRSSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTGSAKESD >ONI29816 pep chromosome:Prunus_persica_NCBIv2:G1:22830678:22836653:-1 gene:PRUPE_1G215400 transcript:ONI29816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSPIPLPYAVIYSIFYSLSLRFLLVLNSSLSLPSLPSLSVSLSGLSSISYSNCDFNVCVWLFVHPLTDSFRSSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKAKQMQCGLCIHQYD >ONI29812 pep chromosome:Prunus_persica_NCBIv2:G1:22830373:22834449:-1 gene:PRUPE_1G215400 transcript:ONI29812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVCRW >ONI29806 pep chromosome:Prunus_persica_NCBIv2:G1:22830373:22836653:-1 gene:PRUPE_1G215400 transcript:ONI29806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSPIPLPYAVIYSIFYSLSLRFLLVLNSSLSLPSLPSLSVSLSGLSSISYSNCDFNVCVWLFVHPLTDSFRSSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVCRW >ONI29817 pep chromosome:Prunus_persica_NCBIv2:G1:22830678:22836653:-1 gene:PRUPE_1G215400 transcript:ONI29817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSPIPLPYAVIYSIFYSLSLRFLLVLNSSLSLPSLPSLSVSLSGLSSISYSNCDFNVCVWLFVHPLTDSFRSSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKAKQMQCGLCIHQYD >ONI29808 pep chromosome:Prunus_persica_NCBIv2:G1:22830373:22836625:-1 gene:PRUPE_1G215400 transcript:ONI29808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVCRW >ONI29805 pep chromosome:Prunus_persica_NCBIv2:G1:22830080:22834449:-1 gene:PRUPE_1G215400 transcript:ONI29805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSELLTGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTGSAKESD >ONI29801 pep chromosome:Prunus_persica_NCBIv2:G1:22829475:22837133:-1 gene:PRUPE_1G215400 transcript:ONI29801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTGSAKESD >ONI29800 pep chromosome:Prunus_persica_NCBIv2:G1:22830080:22836625:-1 gene:PRUPE_1G215400 transcript:ONI29800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSELLTGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTGSAKESD >ONI29803 pep chromosome:Prunus_persica_NCBIv2:G1:22830080:22835894:-1 gene:PRUPE_1G215400 transcript:ONI29803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSELLTGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTGSAKESD >ONI29795 pep chromosome:Prunus_persica_NCBIv2:G1:22829475:22837142:-1 gene:PRUPE_1G215400 transcript:ONI29795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTVRRKDKRRKGASAGASKFWMVVRVLF >ONI29810 pep chromosome:Prunus_persica_NCBIv2:G1:22830373:22836625:-1 gene:PRUPE_1G215400 transcript:ONI29810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSELLTGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVCRW >ONI29794 pep chromosome:Prunus_persica_NCBIv2:G1:22829475:22837133:-1 gene:PRUPE_1G215400 transcript:ONI29794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSELLTGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTVRRKDKRRKGASAGASKFWMVVRVLF >ONI29814 pep chromosome:Prunus_persica_NCBIv2:G1:22830373:22834449:-1 gene:PRUPE_1G215400 transcript:ONI29814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSELLTGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVCRW >ONI29809 pep chromosome:Prunus_persica_NCBIv2:G1:22829475:22837133:-1 gene:PRUPE_1G215400 transcript:ONI29809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVCRW >ONI29799 pep chromosome:Prunus_persica_NCBIv2:G1:22829475:22837133:-1 gene:PRUPE_1G215400 transcript:ONI29799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTGSAKESD >ONI29811 pep chromosome:Prunus_persica_NCBIv2:G1:22830373:22836625:-1 gene:PRUPE_1G215400 transcript:ONI29811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQTLISYQQVHSLSFVMPSEIMDLNGLSSSSLFSEDVSFPNERQVGFWKSDNMPDNHASKKSLASSSLEKCQTVKSLDHPEFFLMQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSELLTGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVCRW >ONI29804 pep chromosome:Prunus_persica_NCBIv2:G1:22829475:22837133:-1 gene:PRUPE_1G215400 transcript:ONI29804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQQVHPSFNRQAVGAERALSHSLSLSRTMSHDVAARSNVNVETASYIGEVGKVNMMGAQYESSLFSSSLSELFSRKLRLSSNNTLYGHSVDTVASHYDEDEAFESLEEIEAQTIGNLLPNDDELLSGVTDGLDYNVQISSGDDMEELDLFSSVGGMDLGDGGLSAALKDSESPGGVSNGSIVGEHPNGEHPSRTLFVRNINSNIEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRASRNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDVNQGTLVVFNLDSSVSNDELCQVFGVYGEIKEIRETPNRSHHKFIEFYDVRAADAALNALNRSDIAGKQIKLEPSRPGGARRSFGVQLSPELLEQDECGLYLQQSSPPNCVTGFSGPVPHGPVTSSCTDNGTIMAVHSAVQAASLENMFHHGISSSVPNGLSSVMRAESVGNLSGPTESTHSPGSLKFDIHGTPAFHPHSLPEYQDGLTNAVNCSSPGTVSASINARPQERIDNRHLTRVSSIGRSIELNESVFGSTGNVNYPIPGHHYAWNNSYHPQAPGMIWPNSPSFVDGLSSAHPISAAHPSTRVHGLPRAPSHMLNPALAIHNHHVGSAPVVNPSLWDRRRAYAGESAEASGFHPGSLGNMRMSNNSPHSMEFVSHNMFPHVGGNSMDLPISHKNVGLQTHHQGCMMFPGRSQMIPVMNSFDPPTERARSRRNEGSVNQADNKKQYELDIDRIMRGDDNRTTLMIKNIPNKYTSKMLLSAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTDPRMIVPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKARTTTHEENHVGSPPSFGDGEHSCNGETTTGSAKESD >ONI32966 pep chromosome:Prunus_persica_NCBIv2:G1:35165604:35167370:1 gene:PRUPE_1G396700 transcript:ONI32966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCLCCRPAIVAKSIHNEGFASPSRALNRVAGVVPSGTNGRSFSSRFRGVRASAVDSYESSSDFVNRMEKAWLISKQPRPVACSSCDSNGHIECKWCGGTGFFMLGDNMLCQVPSRGTSCVICTGKGSMCCSDCKGTGFRAKWLGQPPISK >ONI35606 pep chromosome:Prunus_persica_NCBIv2:G1:44550544:44553460:1 gene:PRUPE_1G545300 transcript:ONI35606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALISVLLERLASTTYEYIEGGVKLVLNVKEDVETFTRTLRVIRAVLEDAEQRQVTDQAVKIWLDELKDISYQMVDVLDEWNTDILKQQVEKQESEGDPNALVTKKKVRFSSFSRCFCFGKVSRVILRRDIALKIKDLNDKLTEIYEERKKYQFLCKELGIQQLQQPQRPQTASYVNMSEIFGREKEQNILITKLLGDSSEEKGLLIIPIVGMGGMGKTTLTQLAYNDDRVKSHFDMRQWVCVSDPFDEIKIAKAIIGKDAPNSNELNEVLRCMSGSIQDKRFLLVLDDVWTDDREKWEKLKVPLTQSGAEGSRILVTTRKREVAKMMRATTNMINLGELSDEYCLSIFNHMAFSDRDVHEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTKMGEWKEVLNSKIWDLEKVEQEVFQPLFLSYYDLSPTIKCCLLYCATFPKDYEFERDDLIKLWMAQGCKMHDIVHDFVQFLTKNECLIVDHAEGDTSETKVSGDKVRHFSLRYVPEGPLPLSISFYNCKNLRTLATFNSRITTIDPNLILQLKCLRTLNLSRNFIKELPEEIGELIHLRHIDLSFSWHLEKLPDTICGLYNLSTLCLRFCPQLKKLPENMGNLINLKHLYVEWCEYLKSLPKGIGRLTSLRTLDACPCGGDNDEAFQIGDLRKLNLEGSLKIQLVGDATDKSEVEKAQLWDKKLCKLIVDFERQTNRSSRVEILNALRPHPDLESLQIWYHNGTTWPNWIPSLRNLRFLTVGCGTQSELWPLGKLEYLERLTLHRMNRVRKIGVEFLGLEDQTSFRIRSPQILFPKLKQLHFHMMEIWKEWEGMEEWTKEDSEITIMPCLSELRIEACAWLKVLPDFLFKTPLQTLEIIGSQRLSEHYDGEWAKISATNPNIRISSREW >ONI35605 pep chromosome:Prunus_persica_NCBIv2:G1:44550544:44554436:1 gene:PRUPE_1G545300 transcript:ONI35605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALISVLLERLASTTYEYIEGGVKLVLNVKEDVETFTRTLRVIRAVLEDAEQRQVTDQAVKIWLDELKDISYQMVDVLDEWNTDILKQQVEKQESEGDPNALVTKKKVRFSSFSRCFCFGKVSRVILRRDIALKIKDLNDKLTEIYEERKKYQFLCKELGIQQLQQPQRPQTASYVNMSEIFGREKEQNILITKLLGDSSEEKGLLIIPIVGMGGMGKTTLTQLAYNDDRVKSHFDMRQWVCVSDPFDEIKIAKAIIGKDAPNSNELNEVLRCMSGSIQDKRFLLVLDDVWTDDREKWEKLKVPLTQSGAEGSRILVTTRKREVAKMMRATTNMINLGELSDEYCLSIFNHMAFSDRDVHEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTKMGEWKEVLNSKIWDLEKVEQEVFQPLFLSYYDLSPTIKCCLLYCATFPKDYEFERDDLIKLWMAQGCKMHDIVHDFVQFLTKNECLIVDHAEGDTSETKVSGDKVRHFSLRYVPEGPLPLSISFYNCKNLRTLATFNSRITTIDPNLILQLKCLRTLNLSRNFIKELPEEIGELIHLRHIDLSFSWHLEKLPDTICGLYNLSTLCLRFCPQLKKLPENMGNLINLKHLYVEWCEYLKSLPKGIGRLTSLRTLDACPCGGDNDEAFQIGDLRKLNLEGSLKIQLVGDATDKSEVEKAQLWDKKLCKLIVDFERQTNRSSRVEILNALRPHPDLESLQIWYHNGTTWPNWIPSLRNLRFLTVGCGTQSELWPLGKLEYLERLTLHRMNRVRKIGVEFLGLEDQTSFRIRSPQILFPKLKQLHFHMMEIWKEWEGMEEWTKEDSEITIMPCLSELRIEACAWLKVLPDFLFKTPLQTLEIIGSQRLSEHYDGEWAKISATNPNIRISSRDSIEANRVM >ONI34555 pep chromosome:Prunus_persica_NCBIv2:G1:40612551:40615179:1 gene:PRUPE_1G487500 transcript:ONI34555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLSPATDLSVPVREMKIAWKNNKKRPLGIISKYPNLPFEQQHDQHNNQEDPANDPNKNQNHTELNQEEHHEPLDAQSEPSDSSDAAKLFHSFQAEGTKLAEGGKYREALGKWEAALMLMPENAVLHEQKAQVLLELGDAWNAVKAATRATELKPSWDEAWVTLGRAQLNFGEPDSAIESFDSALAIKPDSEEARDDRHTAMQLVKRRKQLHSSGLSPTRNRYAVGEKT >ONI34557 pep chromosome:Prunus_persica_NCBIv2:G1:40612763:40614422:1 gene:PRUPE_1G487500 transcript:ONI34557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLSPATDLSVPVREMKIAWKNNKKRPLGIISKYPNLPFEQQHDQHNNQEDPANDPNKNQNHTELNQEEHHEPLDAQSEPSDSSDAAKLFHSFQAEGTKLAEGGKYREALGKWEAALMLMPENAVLHEQKAQVLLELGDAWNAVKAATRMGYPWQSTVKLWGA >ONI34556 pep chromosome:Prunus_persica_NCBIv2:G1:40612551:40615179:1 gene:PRUPE_1G487500 transcript:ONI34556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLSPATDLSVPVREMKIAWKNNKKRPLGIISKYPNLPFEQQHDQHNNQEDPANDPNKNQNHTELNQEEHHEPLDAQSEPSDSSDAAKLFHSFQAEGTKLAEAWVTLGRAQLNFGEPDSAIESFDSALAIKPDSEEARDDRHTAMQLVKRRKQLHSSGLSPTRNRYAVGEKT >ONI30368 pep chromosome:Prunus_persica_NCBIv2:G1:25995186:25998370:1 gene:PRUPE_1G247200 transcript:ONI30368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRAPLSSYQNPSSTPTLKSPPKPSYVAFEIKASTLRKKKKQNGIRCEIKSFENPHNWTIEPDTPIHVFHNPPEAQVAMASGSAVKKVKKVCLFYCAETKSLAQKVAAQSDAIELRSITWRKFDDGFPNIFIPNAHGIRGHHVAFLASFSSPSVIFEQLSVIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARALSNIPISMGGPTSLVIYDIHALQERFYFGDNILPCFESGIPLLKSRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTIVCAKVREGDQRIVRIKEGDARGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKISAYVTHGIFPNRSWERFQRDNGGKPEHGLTYFWITDSCPLTVKDVMHNPPFEILSLAGSIAATLQI >ONI30369 pep chromosome:Prunus_persica_NCBIv2:G1:25995186:25998370:1 gene:PRUPE_1G247200 transcript:ONI30369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRAPLSSYQNPSSTPTLKSPPKPSYVAFEIKASTLRKKKKQNGIRCEIKSFENPHNWTIEPDTPIHVFHNPPEAQVAMASGSAVKKVKKVCLFYCAETKSLAQKVAAQSDAIELRSITWRKFDDGFPNIFIPNAHGIRGHHVAFLASFSSPSVIFEQLSVIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARALSNIPISMGGPTSLVIYDIHALQERFYFGDNILPCFESGIPLLKSRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTIVCAKVREGDQRIVRIKEGDARGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKISAYVTHGIFPNRSWERFQRDNGGKPEHGLTYFWITDSCPLTVKDVMHNPPFEILSLAGSIAATLQI >ONI31851 pep chromosome:Prunus_persica_NCBIv2:G1:31623461:31629374:1 gene:PRUPE_1G334600 transcript:ONI31851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTIQLYGFPSGLSAEAVSEFLEPITGKESVYAVKLREAQNKRMYYAIVQFAKSNDAEDIISLANNKALRYGRSYLNARKMERDIVPRPRTFLHRLDDIGLHFGCKIADEKLHVLWTANDVSVDFGIKLRKFIFLLKYHDLEYKLQLSYENIYKIEVHSPRGRAAAKYLLIQLCGAPRIYKNDVRCSGEVYENPFLNYFRDTPDDQWVRTTDFTPPRAIGQSFTLCLELPYGLKLPDFQENFPEYKETQGEYILESGSSYSCNPDLGAMVCPPPGVDVPYDILFKVNSLVQQGYLPGPALDLTFFRLLDPRRMNMNLACIEFALEKLFHLKESCSEPSVWLKEQYRKYLTSKKPPLSPIISLDSGLVYVRRVQITPCQVYCCGPEVNVSNRVLRNYPGDIDNFIRVSFVDEELDKLFASDLSPRTSNANGENKTDIYKRILSILRNGIVIGEKKFEFLAFSSSQLRENSMWMFASRWGLTAADIREWMGNFCHIRNVAKYAARLGQSFGSSTETLNVGEHEIEVIPDVVSRDNKYVFSDGIGKISADFAKKVAVKCGFKGCTPSAFQIRYGGYKGVVAVDRTSYKKLSLRSSMYKYESEHTKLDVLACSKFQPCFLNRQLITLLSTLGIQNHVFLEKQRAAVQQLDAMLTDPLKAQEALDMMYAGESTNILKELLMCGYKPDVEPFISMMLQTFRASKLLELRTKTRILIPDGRAMMGCLDETRTLEYGEVFVQFSGKRHIGSESNQQFIIEGKVVVAKNPCLHPGDVRVLKAVDVPELYHMVDCVVFPQKGPRPHPNECSGSDLDGDIYFVCWDDELIPSRQIQPMDYTPAPTIALDHAVTIEEVEEYFVNYMVNDSLGIIANAHTAFADRQPSKAMSKPCIELAKLFSIAVDFPKTGVPAIIPQHLRVKEFPDFMEKPDKPTYQSCNVIGELFREVKDVEPHDGSIRSFSREVARQSYDRDMEVDGFEDYIEDAFYYKSNYDSILGNLLDYYGIKTEAEILSGSVMKMSKSFTKRRDIDPINMAVRSLRKEARTWFNEKATGLDSGADDVYAKASAWYHVTYHPKYFGCYNEGLNRDHFISFPWCVYDKLVHIKKEKASVRRARNLSSLERRFRNDLHLN >ONI31850 pep chromosome:Prunus_persica_NCBIv2:G1:31623496:31629306:1 gene:PRUPE_1G334600 transcript:ONI31850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTIQLYGFPSGLSAEAVSEFLEPITGKESVYAVKLREAQNKRMYYAIVQFAKSNDAEDIISLANNKALRYGRSYLNARKMERDIVPRPRTFLHRLDDIGLHFGCKIADEKLHVLWTANDVSVDFGIKLRKFIFLLKYHDLEYKLQLSYENIYKIEVHSPRGRAAAKYLLIQLCGAPRIYKNDVRCSGEVYENPFLNYFRDTPDDQWVRTTDFTPPRAIGQSFTLCLELPYGLKLPDFQENFPEYKETQGEYILESGSSYSCNPDLGAMVCPPPGVDVPYDILFKVNSLVQQGYLPGPALDLTFFRLLDPRRMNMNLACIEFALEKLFHLKESCSEPSVWLKEQYRKYLTSKKPPLSPIISLDSGLVYVRRVQITPCQVYCCGPEVNVSNRVLRNYPGDIDNFIRVSFVDEELDKLFASDLSPRTSNANGENKTDIYKRILSILRNGIVIGEKKFEFLAFSSSQLRENSMWMFASRWGLTAADIREWMGNFCHIRNVAKYAARLGQSFGSSTETLNVGEHEIEVIPDVVSRDNKYVFSDGIGKISADFAKKVAVKCGFKGCTPSAFQIRYGGYKGVVAVDRTSYKKLSLRSSMYKYESEHTKLDVLACSKFQPCFLNRQLITLLSTLGIQNHVFLEKQRAAVQQLDAMLTDPLKAQEALDMMYAGESTNILKELLMCGYKPDVEPFISMMLQTFRASKLLELRTKTRILIPDGRAMMGCLDETRTLEYGEVFVQFSGKRHIGSESNQQFIIEGKVVVAKNPCLHPGDVRVLKAVDVPELYHMVDCVVFPQKGPRPHPNECSGSDLDGDIYFVCWDDELIPSRQIQPMDYTPAPTIALDHAVTIEEVEEYFVNYMVNDSLGIIANAHTAFADRQPSKAMSKPCIELAKLFSIAVDFPKTGVPAIIPQHLRVKEFPDFMEKPDKPTYQSCNVIGELFREVKDVEPHDGSIRSFSREVARQSYDRDMEVDGFEDYIEDAFYYKSNYDSILGNLLDYYGIKTEAEILSGSVMKMSKSFTKRRDIDPINMAVRSLRKEARTWFNEKATGLDSGADDVYAKASAWYHVTYHPKYFGCYNEGLNRDHFISFPWCVYDKLVHIKKEKASVRRARNLSSLERRFRNDLHLN >ONI33096 pep chromosome:Prunus_persica_NCBIv2:G1:35645763:35647480:1 gene:PRUPE_1G405400 transcript:ONI33096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCSKQGLNRGAWTALEDKILTSYIKAHGEGKWRSLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISGDEEELIVRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTTLGKKAKGEPTSTGSSKETSPPPTKSRAKRREIESKTTKPSAAAAAATLPPVIRTKATRLTKVLVPTIPQIPSDDYNQTANASSSTNPSELQGHQTQLTDQQVNAGDCTNNLNNNYGCNDEDDDIEAKGGFCNAFQSLPLDEAMINATWTGGNDCDLEDYGATLDLDSLAFLLDSEEWPSQDNIS >ONI30822 pep chromosome:Prunus_persica_NCBIv2:G1:28105860:28107602:1 gene:PRUPE_1G275300 transcript:ONI30822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSSTLIKLLVLGCLSVVCVAEDFDFFYFVQQWPGSYCDTKKSCCYPTTGKPAADFGIHGLWPNYKDGSYPSNCDPSNPFDQSEISDLRSSMQKEWPTLACPSGSGIEFWTHEWEKHGTCSESVIDQHGYFAAALNLKKKLSLLQALESAGIQPNGDSYSLGNIKDAVKSATGFTPFIECNVDESGNSQLYQVYFCVDTSGSDLIECPVFPHGKCGSQIEFPSF >ONI32261 pep chromosome:Prunus_persica_NCBIv2:G1:33050383:33058285:1 gene:PRUPE_1G356800 transcript:ONI32261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHSSEGSSSGNVAGESSDSTVEINIKTLDSQIYSFQVEKNMPVSLFKEKIANQIGVPVGQQRLIFRGKVLKDDHPLSEYHLENGHTLHLVIRQPSQSQPSSGTSSGDPHVNNGNEASGVPRGRIGQISHSVVLGTFNVGDQGEGIVPDLSRVIGAVLNSIGVGTQATTNVTGNVQSTTSSNNSGQSPHGNDAEGSHNVIGPNRGGNQAQSGQAFPAQPFQTFPQSVQSPAAAAFPIPSLNMPIPDSLNTLSEFMNRMEQALTQNGYQPNLSATNTGDVPRVNLPSNAQGMPTPEALGIVLRHAERLLSSHAVSALSHIAGRLEQEGASSDPSVRGQIQTEFMQVGLAMQHLGALFLELGRTIWTLRMGQSPGEAVVNAGPAVYISPSGPNPIMVQPFPLQTSTMLGGSVPQSNPMTFGPVGVGSAPRNVNIHIHAGTSLAPIVSAVGGARGSNGEGMPREHRNGSVSRDSGARVLPVRNVIAGTMPTSQTGIAISGVSQPGLSASQPPSDSSLSSIVSELNSHIRNLVGNMQGEDAVQSGQEVPNVQSSSVGFELRNDAGSERPSTAFVDGAGQSSVLLPGCTSEGGGQKDSGSVPTLKDDSRFPAGGPLSSSSGQNTLARKDEKESGPQSSEKHDMTEGTKAVPLGLGLGVLDRKRQGRQQKPPAKNGDGGMASAPINQNQQVTGGQQVLQSLASRGSAVSRMNTSDEPARQTAPAIGQVFQSKLEWVLLMH >ONI32257 pep chromosome:Prunus_persica_NCBIv2:G1:33050383:33058285:1 gene:PRUPE_1G356800 transcript:ONI32257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHSSEGSSSGNVAGESSDSTVEINIKTLDSQIYSFQVEKNMPVSLFKEKIANQIGVPVGQQRLIFRGKVLKDDHPLSEYHLENGHTLHLVIRQPSQSQPSSGTSSGDPHVNNGNEASGVPRGRIGQISHSVVLGTFNVGDQGEGIVPDLSRVIGAVLNSIGVGTQATTNVTGNVQSTTSSNNSGQSPHGNDAEGSHNVIGPNRGGNQAQSGQAFPAQPFQTFPQSVQSPAAAAFPIPSLNMPIPDSLNTLSEFMNRMEQALTQNGYQPNLSATNTGDVPRVNLPSNAQGMPTPEALGIVLRHAERLLSSHAVSALSHIAGRLEQEGASSDPSVRGQIQTEFMQVGLAMQHLGALFLELGRTIWTLRMGQSPGEAVVNAGPAVYISPSGPNPIMVQPFPLQTSTMLGGSVPQSNPMTFGPVGVGSAPRNVNIHIHAGTSLAPIVSAVGGARGSNGEGMPREHRNGSVSRDSGARVLPVRNVIAGTMPTSQTGIAISGVSQPGLSASQPPSDSSLSSIVSELNSHIRNLVGNMQGEDAVQSGQEVPNVQSSSVGFELRNDAGSERPSTAFVDGAGQSSVLLPGCTSEGGGQKDSGSVPTLKDDSRFPAGGPLSSSSGQNTLARKDEKESGPQSSEKHDMTEGTKAVPLGLGLGVLDRKRQGRQQKPPAKNGDGGMASAPINQNQQVTGGQQVLQSLASRGSAVSRMNTSDEPARQTAPAIGQVRDGRTLGAQGPVDQVDMGSVMSQVLRSPALNGLLAGVSEQTGVGSPDALRNMLQSFTQSPQMRNAVNQIVEQVDSQDVGNMFAGLGGGQGGGIDMSRMFQQMMPIVSRALGAGSTLGQPNPVLEPESHQPYNERSLRRDDNVPNPEINLQEVVQRIGNLNAPGDVFHAVVENSVELSGRGSGPQELVDELCRDDGLSREYVEILRRDIRRRLEGNSGQDKC >ONI32258 pep chromosome:Prunus_persica_NCBIv2:G1:33050685:33058285:1 gene:PRUPE_1G356800 transcript:ONI32258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHSSEGSSSGNVAGESSDSTVEINIKTLDSQIYSFQVEKNMPVSLFKEKIANQIGVPVGQQRLIFRGKVLKDDHPLSEYHLENGHTLHLVIRQPSQSQPSSGTSSGDPHVNNGNEASGVPRGRIGQISHSVVLGTFNVGDQGEGIVPDLSRVIGAVLNSIGVGTQATTNVTGNVQSTTSSNNSGQSPHGNDAEGSHNVIGPNRGGNQAQSGQAFPAQPFQTFPQSVQSPAAAAFPIPSLNMPIPDSLNTLSEFMNRMEQALTQNGYQPNLSATNTGDVPRVNLPSNAQGMPTPEALGIVLRHAERLLSSHAVSALSHIAGRLEQEGASSDPSVRGQIQTEFMQVGLAMQHLGALFLELGRTIWTLRMGQSPGEAVVNAGPAVYISPSGPNPIMVQPFPLQTSTMLGGSVPQSNPMTFGPVGVGSAPRNVNIHIHAGTSLAPIVSAVGGARGSNGEGMPREHRNGSVSRDSGARVLPVRNVIAGTMPTSQTGIAISGVSQPGLSASQPPSDSSLSSIVSELNSHIRNLVGNMQGEDAVQSGQEVPNVQSSSVGFELRNDAGSERPSTAFVDGAGQSSVLLPGCTSEGGGQKDSGSVPTLKDDSRFPAGGPLSSSSGQNTLARKDEKESGPQSSEKHDMTEGTKAVPLGLGLGVLDRKRQGRQQKPPAKNGDGGMASAPINQNQQVTGGQQVLQSLASRGSAVSRMNTSDEPARQTAPAIGQVRDGRTLGAQGPVDQVDMGSVMSQVLRSPALNGLLAGVSEQTGVGSPDALRNMLQSFTQSPQMRNAVNQIVEQVDSQDVGNMFAGLGGGQGGGIDMSRMFQQMMPIVSRALGAGSTLGQPNPVLEPESHQPYNERSLRRDDNVPNPEINLQEVVQRIGNLNAPGDVFHAVVENSVELSGRGSGPQELVDELCRDDGLSREYVEILRRDIRRRLEGNSGQDKC >ONI32259 pep chromosome:Prunus_persica_NCBIv2:G1:33050961:33058285:1 gene:PRUPE_1G356800 transcript:ONI32259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHSSEGSSSGNVAGESSDSTVEINIKTLDSQIYSFQVEKNMPVSLFKEKIANQIGVPVGQQRLIFRGKVLKDDHPLSEYHLENGHTLHLVIRQPSQSQPSSGTSSGDPHVNNGNEASGVPRGRIGQISHSVVLGTFNVGDQGEGIVPDLSRVIGAVLNSIGVGTQATTNVTGNVQSTTSSNNSGQSPHGNDAEGSHNVIGPNRGGNQAQSGQAFPAQPFQTFPQSVQSPAAAAFPIPSLNMPIPDSLNTLSEFMNRMEQALTQNGYQPNLSATNTGDVPRVNLPSNAQGMPTPEALGIVLRHAERLLSSHAVSALSHIAGRLEQEGASSDPSVRGQIQTEFMQVGLAMQHLGALFLELGRTIWTLRMGQSPGEAVVNAGPAVYISPSGPNPIMVQPFPLQTSTMLGGSVPQSNPMTFGPVGVGSAPRNVNIHIHAVGGARGSNGEGMPREHRNGSVSRDSGARVLPVRNVIAGTMPTSQTGIAISGVSQPGLSASQPPSDSSLSSIVSELNSHIRNLVGNMQGEDAVQSGQEVPNVQSSSVGFELRNDAGSERPSTAFVDGAGQSSVLLPGCTSEGGGQKDSGSVPTLKDDSRFPAGGPLSSSSGQNTLARKDEKESGPQSSEKHDMTEGTKAVPLGLGLGVLDRKRQGRQQKPPAKNGDGGMASAPINQNQQVTGGQQVLQSLASRGSAVSRMNTSDEPARQTAPAIGQVRDGRTLGAQGPVDQVDMGSVMSQVLRSPALNGLLAGVSEQTGVGSPDALRNMLQSFTQSPQMRNAVNQIVEQVDSQDVGNMFAGLGGGQGGGIDMSRMFQQMMPIVSRALGAGSTLGQPNPVLEPESHQPYNERSLRRDDNVPNPEINLQEVVQRIGNLNAPGDVFHAVVENSVELSGRGSGPQELVDELCRDDGLSREYVEILRRDIRRRLEGNSGQDKC >ONI32260 pep chromosome:Prunus_persica_NCBIv2:G1:33050338:33058285:1 gene:PRUPE_1G356800 transcript:ONI32260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHSSEGSSSGNVAGESSDSTVEINIKTLDSQIYSFQVEKNMPVSLFKEKIANQIGVPVGQQRLIFRGKVLKDDHPLSEYHLENGHTLHLVIRQPSQSQPSSGTSSGDPHVNNGNEASGVPRGRIGQISHSVVLGTFNVGDQGEGIVPDLSRVIGAVLNSIGVGTQATTNVTGNVQSTTSSNNSGQSPHGNDAEGSHNVIGPNRGGNQAQSGQAFPAQPFQTFPQSVQSPAAAAFPIPSLNMPIPDSLNTLSEFMNRMEQALTQNGYQPNLSATNTGDVPRVNLPSNAQGMPTPEALGIVLRHAERLLSSHAVSALSHIAGRLEQEGASSDPSVRGQIQTEFMQVGLAMQHLGALFLELGRTIWTLRMGQSPGEAVVNAGPAVYISPSGPNPIMVQPFPLQTSTMLGGSVPQSNPMTFGPVGVGSAPRNVNIHIHAVGGARGSNGEGMPREHRNGSVSRDSGARVLPVRNVIAGTMPTSQTGIAISGVSQPGLSASQPPSDSSLSSIVSELNSHIRNLVGNMQGEDAVQSGQEVPNVQSSSVGFELRNDAGSERPSTAFVDGAGQSSVLLPGCTSEGGGQKDSGSVPTLKDDSRFPAGGPLSSSSGQNTLARKDEKESGPQSSEKHDMTEGTKAVPLGLGLGVLDRKRQGRQQKPPAKNGDGGMASAPINQNQQVTGGQQVLQSLASRGSAVSRMNTSDEPARQTAPAIGQVRDGRTLGAQGPVDQVDMGSVMSQVLRSPALNGLLAGVSEQTGVGSPDALRNMLQSFTQSPQMRNAVNQIVEQVDSQDVGNMFAGLGGGQGGGIDMSRMFQQMMPIVSRALGAGSTLGQPNPVLEPESHQPYNERSLRRDDNVPNPEINLQEVVQRIGNLNAPGDVFHAVVENSVELSGRGSGPQELVDELCRDDGLSREYVEILRRDIRRRLEGNSGQDKC >ONI34847 pep chromosome:Prunus_persica_NCBIv2:G1:41521498:41525687:-1 gene:PRUPE_1G501900 transcript:ONI34847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKASNFPFSSLPTMHINEQENPEDVQSLENQHSLPCDPGQRVCANSCPESVACGESPGIFILKDFPHHIERYAPRKYFTQHWSMEATNDAIEKGEAFKALFRVNAHNRHEAYCKVDGVPTDVLIGGLAEQNRAVEGDIVVVKVDPLPLWTRMKGSAGTCTSSAPLDDFNLQLENNVVAGYNCKGKAKVDEVYLYGNDRSSLLPERGSRPEESVGESFHSGPIGQSSYDHVAGRYPLPSDSIQAGSPEQNEVRLSVERLCAMINSFPSKRPTGRVVAIVERSPRRDAIVGFLNVKQWISYREFCRKDMRKNKNSSFSNHEYIQMTPIDPRFPKMVVLVRNLPDSIKKRLEDGDETIEMELFAARIDEWDEESSAPQAVILNAFGRGCELQPQIEAILFQNAINSSEFSPESLSCLPHLPWEVPQEEFQTRRDLRNLCIFTIDPSTATDLDDALSVDKLSNGIYRVGIHIADVSHFVLPGTPLDEEAQSRSTSVYMSRRKLPMLPPLLSENVGSLNPGVERLAFSIFLDMNHAGDVVDRWIGRTVIRSCCKLSYEHTQDIIDGKFNLESVDILGNGRPQLHGHFEWFDVLRSVKDLHEISRILKERRFSDGALQLESSKVVILFDEYGVPYDSIHSELKESNFLVEEFMLLANRTAAEVISRAFPDSALLRRHPEPNLRKLREFEAFCSKHGLELDTSSSGQFQLSLEKIREELKDDCVLFNILMNYATKPMQLAAYFCSGELKDRENDWGHYGLAVPLYTHFTSPLRRYPDILVHRMLSAAIEAEELLLKHRRMLNNFNRGDECRMKCFTGIYFDKDAAESYESREALSAASMKHGIPCSELLTDVAAYCNERKLASRHVKDACDKLYMWALLKKKEILLSEARVMGLGPRFMSIYIYKLAVERRIYYDEVEGMMGEWLDATSTLVLTLCSNRRSLRRGSPGKCRALEDVALVARPYDLKAELGAVGNSTNEGAAAQDVGVATHSSNESEIDPLVFPLTLRVLSTIPVVLHAIGGDDGPIDIGARLYMSSYLC >ONI34843 pep chromosome:Prunus_persica_NCBIv2:G1:41521498:41526620:-1 gene:PRUPE_1G501900 transcript:ONI34843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAVVQAVVDRVEDGEKEKKKKQRRRRSKQNSSTSASNSANEIRSEVSECLGNGRTADHVTTPLKQHQFVLHPPYEHGMIKASNFPFSSLPTMHINEQENPEDVQSLENQHSLPCDPGQRVCANSCPESVACGESPGIFILKDFPHHIERYAPRKYFTQHWSMEATNDAIEKGEAFKALFRVNAHNRHEAYCKVDGVPTDVLIGGLAEQNRAVEGDIVVVKVDPLPLWTRMKGSAGTCTSSAPLDDFNLQLENNVVAGYNCKGKAKVDEVYLYGNDRSSLLPERGSRPEESVGESFHSGPIGQSSYDHVAGRYPLPSDSIQAGSPEQNEVRLSVERLCAMINSFPSKRPTGRVVAIVERSPRRDAIVGFLNVKQWISYREFCRKDMRKNKNSSFSNHEYIQMTPIDPRFPKMVVLVRNLPDSIKKRLEDGDETIEMELFAARIDEWDEESSAPQAVILNAFGRGCELQPQIEAILFQNAINSSEFSPESLSCLPHLPWEVPQEEFQTRRDLRNLCIFTIDPSTATDLDDALSVDKLSNGIYRVGIHIADVSHFVLPGTPLDEEAQSRSTSVYMSRRKLPMLPPLLSENVGSLNPGVERLAFSIFLDMNHAGDVVDRWIGRTVIRSCCKLSYEHTQDIIDGKFNLESVDILGNGRPQLHGHFEWFDVLRSVKDLHEISRILKERRFSDGALQLESSKVVILFDEYGVPYDSIHSELKESNFLVEEFMLLANRTAAEVISRAFPDSALLRRHPEPNLRKLREFEAFCSKHGLELDTSSSGQFQLSLEKIREELKDDCVLFNILMNYATKPMQLAAYFCSGELKDRENDWGHYGLAVPLYTHFTSPLRRYPDILVHRMLSAAIEAEELLLKHRRMLNNFNRGDECRMKCFTGIYFDKDAAESYESREALSAASMKHGIPCSELLTDVAAYCNERKLASRHVKDACDKLYMWALLKKKEILLSEARVMGLGPRFMSIYIYKLAVERRIYYDEVEGMMGEWLDATSTLVLTLCSNRRSLRRGSPGKCRALEDVALVARPYDLKAELGAVGNSTNEGAAAQDVGVATHSSNESEIDPLVFPLTLRVLSTIPVVLHAIGGDDGPIDIGARLYMSSYLC >ONI34844 pep chromosome:Prunus_persica_NCBIv2:G1:41520869:41527148:-1 gene:PRUPE_1G501900 transcript:ONI34844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRRRRSSAVADLNKTLPPQHLIQQMKYAVKFLSAWEMEERLIISLPTMHINEQENPEDVQSLENQHSLPCDPGQRVCANSCPESVACGESPGIFILKDFPHHIERYAPRKYFTQHWSMEATNDAIEKGEAFKALFRVNAHNRHEAYCKVDGVPTDVLIGGLAEQNRAVEGDIVVVKVDPLPLWTRMKGSAGTCTSSAPLDDFNLQLENNVVAGYNCKGPIGQSSYDHVAGRYPLPSDSIQAGSPEQNEVRLSVERLCAMINSFPSKRPTGRVVAIVERSPRRDAIVGFLNVKQWISYREFCRKDMRKNKNSSFSNHEYIQMTPIDPRFPKMVVLVRNLPDSIKKRLEDGDETIEMELFAARIDEWDEESSAPQAVILNAFGRGCELQPQIEAILFQNAINSSEFSPESLSCLPHLPWEVPQEEFQTRRDLRNLCIFTIDPSTATDLDDALSVDKLSNGIYRVGIHIADVSHFVLPGTPLDEEAQSRSTSVYMSRRKLPMLPPLLSENVGSLNPGVERLAFSIFLDMNHAGDVVDRWIGRTVIRSCCKLSYEHTQDIIDGKFNLESVDILGNGRPQLHGHFEWFDVLRSVKDLHEISRILKERRFSDGALQLESSKVVILFDEYGVPYDSIHSELKESNFLVEEFMLLANRTAAEVISRAFPDSALLRRHPEPNLRKLREFEAFCSKHGLELDTSSSGQFQLSLEKIREELKDDCVLFNILMNYATKPMQLAAYFCSGELKDRENDWGHYGLAVPLYTHFTSPLRRYPDILVHRMLSAAIEAEELLLKHRRMLNNFNRGDECRMKCFTGIYFDKDAAESYESREALSAASMKHGIPCSELLTDVAAYCNERKLASRHVKDACDKLYMWALLKKKEILLSEARVMGLGPRFMSIYIYKLAVERRIYYDEVEGMMGEWLDATSTLVLTLCSNRRSLRRGSPGKCRALEDVALVARPYDLKAELGAVGNSTNEGAAAQDVGVATHSSNESEIDPLVFPLTLRVLSTIPVVLHAIGGDDGPIDIGARLYMSSYLC >ONI34845 pep chromosome:Prunus_persica_NCBIv2:G1:41520869:41527148:-1 gene:PRUPE_1G501900 transcript:ONI34845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYAVKFLSAWEMEERLIISLPTMHINEQENPEDVQSLENQHSLPCDPGQRVCANSCPESVACGESPGIFILKDFPHHIERYAPRKYFTQHWSMEATNDAIEKGEAFKALFRVNAHNRHEAYCKVDGVPTDVLIGGLAEQNRAVEGDIVVVKVDPLPLWTRMKGSAGTCTSSAPLDDFNLQLENNVVAGYNCKGPIGQSSYDHVAGRYPLPSDSIQAGSPEQNEVRLSVERLCAMINSFPSKRPTGRVVAIVERSPRRDAIVGFLNVKQWISYREFCRKDMRKNKNSSFSNHEYIQMTPIDPRFPKMVVLVRNLPDSIKKRLEDGDETIEMELFAARIDEWDEESSAPQAVILNAFGRGCELQPQIEAILFQNAINSSEFSPESLSCLPHLPWEVPQEEFQTRRDLRNLCIFTIDPSTATDLDDALSVDKLSNGIYRVGIHIADVSHFVLPGTPLDEEAQSRSTSVYMSRRKLPMLPPLLSENVGSLNPGVERLAFSIFLDMNHAGDVVDRWIGRTVIRSCCKLSYEHTQDIIDGKFNLESVDILGNGRPQLHGHFEWFDVLRSVKDLHEISRILKERRFSDGALQLESSKVVILFDEYGVPYDSIHSELKESNFLVEEFMLLANRTAAEVISRAFPDSALLRRHPEPNLRKLREFEAFCSKHGLELDTSSSGQFQLSLEKIREELKDDCVLFNILMNYATKPMQLAAYFCSGELKDRENDWGHYGLAVPLYTHFTSPLRRYPDILVHRMLSAAIEAEELLLKHRRMLNNFNRGDECRMKCFTGIYFDKDAAESYESREALSAASMKHGIPCSELLTDVAAYCNERKLASRHVKDACDKLYMWALLKKKEILLSEARVMGLGPRFMSIYIYKLAVERRIYYDEVEGMMGEWLDATSTLVLTLCSNRRSLRRGSPGKCRALEDVALVARPYDLKAELGAVGNSTNEGAAAQDVGVATHSSNESEIDPLVFPLTLRVLSTIPVVLHAIGGDDGPIDIGARLYMSSYLC >ONI34846 pep chromosome:Prunus_persica_NCBIv2:G1:41521498:41525687:-1 gene:PRUPE_1G501900 transcript:ONI34846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKASNFPFSSLPTMHINEQENPEDVQSLENQHSLPCDPGQRVCANSCPESVACGESPGIFILKDFPHHIERYAPRKYFTQHWSMEATNDAIEKGEAFKALFRVNAHNRHEAYCKVDGVPTDVLIGGLAEQNRAVEGDIVVVKVDPLPLWTRMKGSAGTCTSSAPLDDFNLQLENNVVAGYNCKGPIGQSSYDHVAGRYPLPSDSIQAGSPEQNEVRLSVERLCAMINSFPSKRPTGRVVAIVERSPRRDAIVGFLNVKQWISYREFCRKDMRKNKNSSFSNHEYIQMTPIDPRFPKMVVLVRNLPDSIKKRLEDGDETIEMELFAARIDEWDEESSAPQAVILNAFGRGCELQPQIEAILFQNAINSSEFSPESLSCLPHLPWEVPQEEFQTRRDLRNLCIFTIDPSTATDLDDALSVDKLSNGIYRVGIHIADVSHFVLPGTPLDEEAQSRSTSVYMSRRKLPMLPPLLSENVGSLNPGVERLAFSIFLDMNHAGDVVDRWIGRTVIRSCCKLSYEHTQDIIDGKFNLESVDILGNGRPQLHGHFEWFDVLRSVKDLHEISRILKERRFSDGALQLESSKVVILFDEYGVPYDSIHSELKESNFLVEEFMLLANRTAAEVISRAFPDSALLRRHPEPNLRKLREFEAFCSKHGLELDTSSSGQFQLSLEKIREELKDDCVLFNILMNYATKPMQLAAYFCSGELKDRENDWGHYGLAVPLYTHFTSPLRRYPDILVHRMLSAAIEAEELLLKHRRMLNNFNRGDECRMKCFTGIYFDKDAAESYESREALSAASMKHGIPCSELLTDVAAYCNERKLASRHVKDACDKLYMWALLKKKEILLSEARVMGLGPRFMSIYIYKLAVERRIYYDEVEGMMGEWLDATSTLVLTLCSNRRSLRRGSPGKCRALEDVALVARPYDLKAELGAVGNSTNEGAAAQDVGVATHSSNESEIDPLVFPLTLRVLSTIPVVLHAIGGDDGPIDIGARLYMSSYLC >ONI34842 pep chromosome:Prunus_persica_NCBIv2:G1:41521498:41526620:-1 gene:PRUPE_1G501900 transcript:ONI34842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAVVQAVVDRVEDGEKEKKKKQRRRRSKQNSSTSASNSANEIRSEVSECLGNGRTADHVTTPLKQHQFVLHPPYEHGMIKASNFPFSSLPTMHINEQENPEDVQSLENQHSLPCDPGQRVCANSCPESVACGESPGIFILKDFPHHIERYAPRKYFTQHWSMEATNDAIEKGEAFKALFRVNAHNRHEAYCKVDGVPTDVLIGGLAEQNRAVEGDIVVVKVDPLPLWTRMKGSAGTCTSSAPLDDFNLQLENNVVAGYNCKGPIGQSSYDHVAGRYPLPSDSIQAGSPEQNEVRLSVERLCAMINSFPSKRPTGRVVAIVERSPRRDAIVGFLNVKQWISYREFCRKDMRKNKNSSFSNHEYIQMTPIDPRFPKMVVLVRNLPDSIKKRLEDGDETIEMELFAARIDEWDEESSAPQAVILNAFGRGCELQPQIEAILFQNAINSSEFSPESLSCLPHLPWEVPQEEFQTRRDLRNLCIFTIDPSTATDLDDALSVDKLSNGIYRVGIHIADVSHFVLPGTPLDEEAQSRSTSVYMSRRKLPMLPPLLSENVGSLNPGVERLAFSIFLDMNHAGDVVDRWIGRTVIRSCCKLSYEHTQDIIDGKFNLESVDILGNGRPQLHGHFEWFDVLRSVKDLHEISRILKERRFSDGALQLESSKVVILFDEYGVPYDSIHSELKESNFLVEEFMLLANRTAAEVISRAFPDSALLRRHPEPNLRKLREFEAFCSKHGLELDTSSSGQFQLSLEKIREELKDDCVLFNILMNYATKPMQLAAYFCSGELKDRENDWGHYGLAVPLYTHFTSPLRRYPDILVHRMLSAAIEAEELLLKHRRMLNNFNRGDECRMKCFTGIYFDKDAAESYESREALSAASMKHGIPCSELLTDVAAYCNERKLASRHVKDACDKLYMWALLKKKEILLSEARVMGLGPRFMSIYIYKLAVERRIYYDEVEGMMGEWLDATSTLVLTLCSNRRSLRRGSPGKCRALEDVALVARPYDLKAELGAVGNSTNEGAAAQDVGVATHSSNESEIDPLVFPLTLRVLSTIPVVLHAIGGDDGPIDIGARLYMSSYLC >ONI28309 pep chromosome:Prunus_persica_NCBIv2:G1:10758561:10760949:1 gene:PRUPE_1G137400 transcript:ONI28309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLSPTKLDYFDDMGKLQSRAILLSLSKGEDGRTALVLDRTIFHPQGGGQPADTGFIAVADSGLKFVAEDVRSKDGIVYHYGFVENSVEEMESKFEKGKEVFLRVDEARRKLNSRLHSAGHLLDACMRNVGLGDLEPTKGYHFPQGPYVEYKGTIPQKDMEIKQKELELEANALISRGGKVSGALLPYEEACKLCGDFLPDYIPKGSTPRIVKLGDSPGCPCGGTHVSDISEIKSLTVSQIRTKKGSTKVFYNVGS >ONI30743 pep chromosome:Prunus_persica_NCBIv2:G1:27818925:27824751:-1 gene:PRUPE_1G271100 transcript:ONI30743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESKDA >ONI30746 pep chromosome:Prunus_persica_NCBIv2:G1:27819027:27824710:-1 gene:PRUPE_1G271100 transcript:ONI30746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30745 pep chromosome:Prunus_persica_NCBIv2:G1:27820497:27824075:-1 gene:PRUPE_1G271100 transcript:ONI30745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30750 pep chromosome:Prunus_persica_NCBIv2:G1:27819714:27824710:-1 gene:PRUPE_1G271100 transcript:ONI30750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30758 pep chromosome:Prunus_persica_NCBIv2:G1:27819027:27824909:-1 gene:PRUPE_1G271100 transcript:ONI30758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30756 pep chromosome:Prunus_persica_NCBIv2:G1:27820497:27824075:-1 gene:PRUPE_1G271100 transcript:ONI30756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30757 pep chromosome:Prunus_persica_NCBIv2:G1:27819714:27824710:-1 gene:PRUPE_1G271100 transcript:ONI30757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30752 pep chromosome:Prunus_persica_NCBIv2:G1:27820497:27824075:-1 gene:PRUPE_1G271100 transcript:ONI30752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30755 pep chromosome:Prunus_persica_NCBIv2:G1:27820497:27824075:-1 gene:PRUPE_1G271100 transcript:ONI30755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30753 pep chromosome:Prunus_persica_NCBIv2:G1:27820497:27824075:-1 gene:PRUPE_1G271100 transcript:ONI30753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30754 pep chromosome:Prunus_persica_NCBIv2:G1:27820497:27824075:-1 gene:PRUPE_1G271100 transcript:ONI30754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30744 pep chromosome:Prunus_persica_NCBIv2:G1:27818965:27824075:-1 gene:PRUPE_1G271100 transcript:ONI30744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESKDA >ONI30747 pep chromosome:Prunus_persica_NCBIv2:G1:27819027:27824897:-1 gene:PRUPE_1G271100 transcript:ONI30747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30749 pep chromosome:Prunus_persica_NCBIv2:G1:27820497:27824075:-1 gene:PRUPE_1G271100 transcript:ONI30749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30748 pep chromosome:Prunus_persica_NCBIv2:G1:27819027:27824733:-1 gene:PRUPE_1G271100 transcript:ONI30748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI30751 pep chromosome:Prunus_persica_NCBIv2:G1:27819714:27824710:-1 gene:PRUPE_1G271100 transcript:ONI30751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEEPRKKLGNEYSNSKKKERHIVTWSQEEDDILRNQISVHGTDNWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENASSYINSNDKRVIIRNGFNTDGTTETTVPSKKMRRTHIPNLPENCNSGDRLFEQCGKMNQQLRAPFTVLIQNFHNVDNLPDQHNVSSTKEVPGNAQNSKFQGSFLKKDDPKITALMQQAELLSSLALKVNTENTDQSLENAWKVLQDFLNQSKESDILSYGITDFDFQLEDLKYLLEDLRSTNEGSRPSWQQPDLYDESPGRSEYSTGSTLLSQTECYVEQNEADIGTLIEEIQPGSQSIPIGGENDVRECEKGIVSSGTRKQEIFPSCNEGTKTDAVISVSSNTEFSSPNQVTPLFRSLAAGIPSPKFSESERSFLLKTLGVESPSPYPSNNPSRPPPCKRALLQSL >ONI26063 pep chromosome:Prunus_persica_NCBIv2:G1:224863:233106:1 gene:PRUPE_1G002100 transcript:ONI26063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMVLCKNFIFNNPKLRRSSFYLHRNSSTLVAQLGDTRRRFAAGFIPNAGKRKRERSWWQRFFFDEDGNWLGLKEDDMLVEAEDDLEMDGSDEGEKFEAWKTRAEAIVELREAQQDMTNEENRKWEDWLADSNSTSWEAVQSDPTQEKGLLYSLTDFVLRKEDDDDDDMLYEDRVFRYASLNSAKFLAVLIIIPWALDFVVHDYLLMPFLDRYVKTVPLAAEMLDVRRNQKLQMVEELKIERARFHFEMEIGKSPPLSDEEVWWELRHKA >ONI26060 pep chromosome:Prunus_persica_NCBIv2:G1:224863:232151:1 gene:PRUPE_1G002100 transcript:ONI26060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMVLCKNFIFNNPKLRRSSFYLHRNSSTLVAQLGDTRRRFAAGFIPNAGKRKRERSWWQRFFFDEDGNWLGLKEDDMLVEAEDDLEMDGSDEGEKFEAWKTRAEAIVELREAQQDMTNEENRKWEDWLADSNSTSWEAVQSDPTQEKGLLYSLTDFVLRKEDDDDDDMLYEDRVFRYASLNSAKFLAVLIIIPWALDFVVHDYLLMPFLDRYVKTVPLAAEMLDVRRNQKLQMVEELKIERARFHFEMEIGKSPPLSDEEVWWELRHKALELRDEWRLENRKAFANIWSDMIFGVSLFILLYCNQSKVALLKFTGYKIINNISDTGKAFLIILITDIFLGYHSESGWQTLLEIFVEHYGIDVDQSAITIFICLIPVVIDACVKLWESTTLVLLNYHIFGIPSLSSATK >ONI26061 pep chromosome:Prunus_persica_NCBIv2:G1:224863:232151:1 gene:PRUPE_1G002100 transcript:ONI26061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMVLCKNFIFNNPKLRRSSFYLHRNSSTLVAQLGDTRRRFAAGFIPNAGKRKRERSWWQRFFFDEDGNWLGLKEDDMLVEAEDDLEMDGSDEGEKFEAWKTRAEAIVELREAQQDMTNEENRKWEDWLADSNSTSWEAVQSDPTQEKGLLYSLTDFVLRKEDDDDDDMLYEDRVFRYASLNSAKFLAVLIIIPWALDFVVHDYLLMPFLDRYVKTVPLAAEMLDVRRNQKLQMVEELKIERARFHFEMEIGKSPPLSDEEVWWELRHKALELRDEWRLENRKAFANIWSDMIFGVSLFILLYCNQSKVSF >ONI26059 pep chromosome:Prunus_persica_NCBIv2:G1:224863:233106:1 gene:PRUPE_1G002100 transcript:ONI26059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMVLCKNFIFNNPKLRRSSFYLHRNSSTLVAQLGDTRRRFAAGFIPNAGKRKRERSWWQRFFFDEDGNWLGLKEDDMLVEAEDDLEMDGSDEGEKFEAWKTRAEAIVELREAQQDMTNEENRKWEDWLADSNSTSWEAVQSDPTQEKGLLYSLTDFVLRKEDDDDDDMLYEDRVFRYASLNSAKFLAVLIIIPWALDFVVHDYLLMPFLDRYVKTVPLAAEMLDVRRNQKLQMVEELKIERARFHFEMEIGKSPPLSDEEVWWELRHKALELRDEWRLENRKAFANIWSDMIFGVSLFILLYCNQSKVALLKFTGYKIINNISDTGKAFLIILITDIFLGYHSESGWQTLLEIFVEHYGIDVDQSAITIFICLIPVVIDACVKLWLFKFLPRLSPKVANIFREMQRH >ONI26062 pep chromosome:Prunus_persica_NCBIv2:G1:224863:233106:1 gene:PRUPE_1G002100 transcript:ONI26062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMVLCKNFIFNNPKLRRSSFYLHRNSSTLVAQLGDTRRRFAAGFIPNAGKRKRERSWWQRFFFDEDGNWLGLKEDDMLVEAEDDLEMDGSDEGEKFEAWKTRAEAIVELREAQQDMTNEENRKWEDWLADSNSTSWEAVQSDPTQEKGLLYSLTDFVLRKEDDDDDDMLYEDRVFRYASLNSAKFLAVLIIIPWALDFVVHDYLLMPFLDRYVKTVPLAAEMLDVRRNQKLQMVEELKIERARFHFEMEIGKSPPLSDEEVWWELRHKALELRDEWRLENRKAFANIWSDMIFGVSLFILLYCNQSKVSF >ONI34643 pep chromosome:Prunus_persica_NCBIv2:G1:40930449:40933883:1 gene:PRUPE_1G492200 transcript:ONI34643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLVQSSQPWVEKYRPKQVKDVAQQDEVVRVLTNTLETSNCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSAQRQGGYPCPPFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEIMISRVLHICQEEGLNLDPEVLSTLSSISQGDLRRAITYLQSAARLFGSSISKKELISVSGVIPEEVVESFFAACRGDNFDLANKEVNNVIAEGYPVSQMLSQLFEVVVESDDISDEQKARICKKMGEADKDVFSQMLDINLDIFGHNILMM >ONI34642 pep chromosome:Prunus_persica_NCBIv2:G1:40930363:40934385:1 gene:PRUPE_1G492200 transcript:ONI34642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLVQSSQPWVEKYRPKQVKDVAQQDEVVRVLTNTLETSNCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSAQRQGGYPCPPFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEIMISRVLHICQEEGLNLDPEVLSTLSSISQGDLRRAITYLQSAARLFGSSISKKELISVSGVIPEEVVESFFAACRGDNFDLANKEVNNVIAEGYPVSQMLSQLFEVVVESDDISDEQKARICKKMGEADKRLVDGADEYLQLLDVASSVMRAMCNMPEDFS >ONI34644 pep chromosome:Prunus_persica_NCBIv2:G1:40930363:40933188:1 gene:PRUPE_1G492200 transcript:ONI34644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLVQSSQPWVEKYRPKQVKDVAQQDEVVRVLTNTLETSNCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSAQRQGGYPCPPFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEIMISRVLHICQEEGLNLDPEVLSTLSSISQGDLRRAITYLQVGGGYSMKICLVFRVHISFVEKHER >ONI32975 pep chromosome:Prunus_persica_NCBIv2:G1:35185872:35187856:-1 gene:PRUPE_1G397200 transcript:ONI32975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHPLVSHTFPSSSTASKPLTSTTAKSNPPLKPFPLIFTNKKSHKISFNAVTDDIKHKAAAPPPLDHDLKEEEQDHRVLVSPSGEEEHRGERVVAEYDWTEEWYPLYLTQDLPEDAPLGLTVFDKQLVLYRDGSGLLRCYEDRCCHRLAKLSEGQLIDGRLECLYHGWQFQGQGKCVKIPQLPSGAKIPKAACVKAYEVRDSQGVVWVWMSHKKPPEPNKLPWFENFDRPGFDYSSTIHDLPYEHSILLENLMDPAHIPISHDRTGFTAKRENAQPLRFEVTERTDRGFAGYWGEAKDQSLPYFLRFEAPGVIENTRELVDKNGEKHYSVGLFLCRPTGQGKSMAIMRFGATKQSPLAKLFPDWYFHHISCTVFEQDMGFLSSQNEILLKEKSPTKNLYLNLKSSDVWVAEYRKWVDRVGHGMPYHVGHSTISLPNEPAMVEHAPAGLVASVSASQPAKGGIGSLHVPNWTNRYFRHVIHCKGCRDVVKSFEAWKIGLSGIALGLISVAILVAGRQWKAILLVSAAVFSAGAYACSAAVALNTTNFIRTHRRL >ONI32976 pep chromosome:Prunus_persica_NCBIv2:G1:35185706:35188099:-1 gene:PRUPE_1G397200 transcript:ONI32976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALACFAVTRIVAVTEGQLIDGRLECLYHGWQFQGQGKCVKIPQLPSGAKIPKAACVKAYEVRDSQGVVWVWMSHKKPPEPNKLPWFENFDRPGFDYSSTIHDLPYEHSILLENLMDPAHIPISHDRTGFTAKRENAQPLRFEVTERTDRGFAGYWGEAKDQSLPYFLRFEAPGVIENTRELVDKNGEKHYSVGLFLCRPTGQGKSMAIMRFGATKQSPLAKLFPDWYFHHISCTVFEQDMGFLSSQNEILLKEKSPTKNLYLNLKSSDVWVAEYRKWVDRVGHGMPYHVGHSTISLPNEPAMVEHAPAGLVASVSASQPAKGGIGSLHVPNWTNRYFRHVIHCKGCRDVVKSFEAWKIGLSGIALGLISVAILVAGRQWKAILLVSAAVFSAGAYACSAAVALNTTNFIRTHRRL >ONI32977 pep chromosome:Prunus_persica_NCBIv2:G1:35185706:35187272:-1 gene:PRUPE_1G397200 transcript:ONI32977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKKPPEPNKLPWFENFDRPGFDYSSTIHDLPYEHSILLENLMDPAHIPISHDRTGFTAKRENAQPLRFEVTERTDRGFAGYWGEAKDQSLPYFLRFEAPGVIENTRELVDKNGEKHYSVGLFLCRPTGQGKSMAIMRFGATKQSPLAKLFPDWYFHHISCTVFEQDMGFLSSQNEILLKEKSPTKNLYLNLKSSDVWVAEYRKWVDRVGHGMPYHVGHSTISLPNEPAMVEHAPAGLVASVSASQPAKGGIGSLHVPNWTNRYFRHVIHCKGCRDVVKSFEAWKIGLSGIALGLISVAILVAGRQWKAILLVSAAVFSAGAYACSAAVALNTTNFIRTHRRL >ONI26949 pep chromosome:Prunus_persica_NCBIv2:G1:4081134:4084613:1 gene:PRUPE_1G057400 transcript:ONI26949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSSPSPPPLSPPSFLSTPTALSVKDPKALLSIILVSSLFALLLFLSSSSSSSFSSSSSSPHSIPDPYLFPTRQAHRIVYHDNNSSDPIPPSIAYLLSGSKGDLGRILRLLYATYHPRNQYLLHLDRSASDSEREKLALKVQSLPIFRAAQNVHVIGKADFVYPTGSSGISFTLHGASILLRLSPIWDWFISLSVSDYPLVTQDDLNFVNHSSYIGWRESRRLKPIIVDPGLYLSQKTEMFYAAQKRVLPNAYRLFTGSSFAILSRNFIEFCVVGTDNLPRTVLMYFSNTPSALSNYFPTILCNSYQFNKTVINHNLLYANFDTPSRKKPQPISSDDYDVMIHHGAAFATGFRLDDPMLDRIDRDVLKRGRGKVVPGGWCLGGFGNDTCSVWGDADILRPGPGVRGLEKLMVGLLSNGSFRSHQCIYE >ONI29182 pep chromosome:Prunus_persica_NCBIv2:G1:16878503:16887314:1 gene:PRUPE_1G185800 transcript:ONI29182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPSDGRRALDLPGVSFNCRNPLLGSTQFHWLSIGHDLCLSKVLVAADYSDSVPDSSSYITNQGYHPLEEVKVCKMVRDTKLTSAEIARTTVEANCSALLVFPGKIHCEPHEQISWADFEYVIDDYGDLYFEIFDDANLLEDPAASNPVNALFGMDIPTYDDGRIAGEFNILGGGNSDEIPFDDDYLEVVESEVSDVLDWGLPDTSSSIHPIYFAKCLTKVINIEYHKKMDHPSNGVSILGCLRPAFADEEFYVRRLFHYEDSDGYNSDWKDGKSLSLSSKSDRIKTCSTLYRLEIMRIELFSVYGVQSTISLEDFQDAEPDVLVNATLEIVDRFNERGIRCDVALKALCKRKGLHVEGAHLIGVDSLGMDVRVFSGLEVQTHRFPFKVRATSEVAAEKQIQQLLFPRSRRKKLKSQGNNFRGVELY >ONI29179 pep chromosome:Prunus_persica_NCBIv2:G1:16877938:16887314:1 gene:PRUPE_1G185800 transcript:ONI29179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAATSLPFGSSHCHSCHAERVCCSTTHGISNSWMKPPSDGRRALDLPGVSFNCRNPLLGSTQFHWLSIGHDLCLSKVLVAADYSDSVPDSSSYITNQGYHPLEEVKVCKMVRDTKLTSAEIARTTVEANCSALLVFPGKIHCEPHEQISWADFEYVIDDYGDLYFEIFDDANLLEDPAASNPVNALFGMDIPTYDDGRIAGEFNILGGGNSDEIPFDDDYLEVVESEVSDVLDWGLPDTSSSIHPIYFAKCLTKVINIEYHKKMDHPSNGVSILGCLRPAFADEEFYVRRLFHYEDSDGYNSDWKDGKSLSLSSKSDRIKTCSTLYRLEIMRIELFSVYGVQSTISLEDFQDAEPDVLVNATLEIVDRFNERGIRCDVALKALCKRKGLHVEGAHLIGVDSLGMDVRVFSGLEVQTHRFPFKVRATSEVAAEKQIQQLLFPRSRRKKLKSQGNNFRGVELY >ONI29181 pep chromosome:Prunus_persica_NCBIv2:G1:16878007:16887314:1 gene:PRUPE_1G185800 transcript:ONI29181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAATSLPFGSSHCHSCHAERVCCSTTHGISNSWMKPPSDGRRALDLPGVSFNCRNPLLGSTQFHWLSIGHDLCLSKVLVAADYSDSVPDSSSYITNQGYHPLEEVKVCKMVRDTKLTSAEIARTTVEANCSALLVFPGKIHCEPHEQISWADFEYVIDDYGDLYFEIFDDANLLEDPAASNPVNALFGMDIPTYDDGRIAGEFNILGGGNSDEIPFDDDYLEVVESEVSDVLDWGLPDTSSSIHPIYFAKCLTKVINIEYHKKMDHPSNGVSILGCLRPAFADEEFYVRRLFHYEDSDGYNSDWKVYN >ONI29180 pep chromosome:Prunus_persica_NCBIv2:G1:16877938:16887314:1 gene:PRUPE_1G185800 transcript:ONI29180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAATSLPFGSSHCHSCHAERVCCSTTHGISNSWMKPPSDGRRALDLPGVSFNCRNPLLGSTQFHWLSIGHDLCLSKVLVAADYSDSVPDSSSYITNQGYHPLEEVKVCKMVRDTKLTSAEIARTTVENALFGMDIPTYDDGRIAGEFNILGGGNSDEIPFDDDYLEVVESEVSDVLDWGLPDTSSSIHPIYFAKCLTKVINIEYHKKMDHPSNGVSILGCLRPAFADEEFYVRRLFHYEDSDGYNSDWKDGKSLSLSSKSDRIKTCSTLYRLEIMRIELFSVYGVQSTISLEDFQDAEPDVLVNATLEIVDRFNERGIRCDVALKALCKRKGLHVEGAHLIGVDSLGMDVRVFSGLEVQTHRFPFKVRATSEVAAEKQIQQLLFPRSRRKKLKSQGNNFRGVELY >ONI31777 pep chromosome:Prunus_persica_NCBIv2:G1:31314147:31319741:1 gene:PRUPE_1G330300 transcript:ONI31777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQTWRKAYGALKDTTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKILIATSAIRPRADVAYCIHALSRRLNKTHNWTVALKTLIVIHRTLREGDPTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRVLKYDIEAERLPRPAQGQEKGYSRTRELDSEELLEQLPALQQLLYRLIGCRPEGAAVVNYVIQYALALVLKESFKIYCAVNDGIINLVDKFFEMPRHEAVKALDVYKRAGQQAAGLSDFYEVCKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRAVTVPHEPLLQLTYRPEEPSEDTKLSSDESEPAPLDIVPVSNVETATPSPPPPPPPQSSQDTGDLLGLDYTASDVSVMEERNALALAIVSSETDAAPTFNSSAVQPKDFDPTGWELALVTTPSNNISSVNERQLAGGLDSLTLNSLYDEGAYRAAQQPVYGAPAPNPFEVQDPFALSNNVAPPPGVQMAAMAQQQSNPFGSFQPTYQPQQQNVMMGPTNPFGDTGFGAFPAHPPAPHPQTSNPFGSTGLL >ONI31776 pep chromosome:Prunus_persica_NCBIv2:G1:31314458:31319216:1 gene:PRUPE_1G330300 transcript:ONI31776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQTWRKAYGALKDTTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKILIATSAIRPRADVAYCIHALSRRLNKTHNWTVALKTLIVIHRTLREGDPTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRVLKYDIEAERLPRPAQGQEKGYSRTRELDSEELLEQLPALQQLLYRLIGCRPEGAAVVNYVIQYALALVLKESFKIYCAVNDGIINLVDKFFEMPRHEAVKALDVYKRAGQQAAGLSDFYEVCKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRAVTVPHEPLLQLTYRPEEPSEDTKLSSDESEPAPLDIVPVSNVETATPSPPPPPPPQSSQDTGDLLGLDYTASDVSVMEERNALALAIVSSETGNIFAVQPKDFDPTGWELALVTTPSNNISSVNERQLAGGLDSLTLNSLYDEGAYRAAQQPVYGAPAPNPFEVQDPFALSNNVAPPPGVQMAAMAQQQSNPFGSFQPTYQPQQQNVMMGPTNPFGDTGFGAFPAHPPAPHPQTSNPFGSTGLL >ONI31778 pep chromosome:Prunus_persica_NCBIv2:G1:31314458:31319216:1 gene:PRUPE_1G330300 transcript:ONI31778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQTWRKAYGALKDTTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKILIATSAIRPRADVAYCIHALSRRLNKTHNWTVALKTLIVIHRTLREGDPTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRVLKYDIEAERLPRPAQGQEKGYSRTRELDSEELLEQLPALQQLLYRLIGCRPEGAAVVNYVIQYALALVLKESFKIYCAVNDGIINLVDKFFEMPRHEAVKALDVYKRAGQQAAGLSDFYEVCKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRAVTVPHEPLLQLTYRPEEPSEDTKLSSDESEPAPLDIVPVSNVETATPSPPPPPPPQSSQDTGDLLVHYQFFFRLSFYSVFFFFLLYKASYSVSVFPICSPQ >ONI36044 pep chromosome:Prunus_persica_NCBIv2:G1:46242163:46247383:-1 gene:PRUPE_1G566600 transcript:ONI36044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTMEGVAVKLPSYSFPIIRSPARCVTRNFRPFSISASTLKEGHPAAPSAPPASLSLGHLTRPDFPILHQEVNGSRLVYLDNAATSQKPTAVIGALQNYYESYNSNVHRGIHYLSARATEEYELARKKVSNFINASDSREIVFTRNATEAINLVAYTWGLQNIKPDDEILLTVAEHHSAIVPWQLVAQKTGAVLKFVELNGDEIPDVDNLKDMLSRKTKLVVVHHVSNVLGSVLPIKDIVLCAHDVGAKVLVDACQSVPHMVVDVQNLNADFLVASSHKMCGPTGVGFLYGKSDLLSAMPPFLGGGEMISDVFLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSGLGMQKIHDYEIFLAKYLYDSLSSVPNIHIYGPAPSNHVTRAALCSFNIDNIHPTDLATYLDQQHGVAIRSGHHCAQPLHRHLGVSASARASLHFYNTVEDIDDFIQALNDTVSFFNSFK >ONI29294 pep chromosome:Prunus_persica_NCBIv2:G1:17739107:17745731:1 gene:PRUPE_1G191700 transcript:ONI29294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASDVCPTEEAIKAFLEYLVDPILPPRSSLRDIPSLSQQESVAKQVHAVVLLYNYYHRKQCPDLEVLNFDSFCKLVLVLKPALLPHMKFMQKPSDTELDDLEKQLSVTEKTIMDACNMSLRLDASKDVPNIEGWPISKVTVFLVDPKKENCLLLFSLITQGVWSVIEKDLDVAVHSSEDQTEAKHVNRNKRVTGKPSRVESRTDGASFRKLAYSAVSEAAGINQTDLLVLESHVVFSVSKEKAAVCFFIIQCTKTVSEEIIQIPIQDVIGSLQGPLVGKSSSSWTVTPVVEYFHVLPYAGILLDWFSRRESSNGLQDSRLDEENITVNSPDRVETPCKLELDKSRDKSHEKGIMIENVENTSGSNPQSWKQKDTSGCCKTSLADAFNGPQKMEVDDSSTVPLQNEQSCKNISSTIQVVKYHVENLEKDTPRSEPQSREKKDTTGCCKTSLADAFSGPQKIEVKVVNSKTRPFITDCGAKKIVAGKICSIDLSDQDGIDDSAIVTYQSSSEDLYKLQIAIASKENILSQTALKVLMKRRDDLSLQQRNIEDEIAQCDKKIQTILNGGEDDLALKVESIIEGCNDVCVRSGRTHRLLEDQLPQSSKRKRLSEAILKEQNPCQNI >ONI29295 pep chromosome:Prunus_persica_NCBIv2:G1:17738874:17747343:1 gene:PRUPE_1G191700 transcript:ONI29295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASDVCPTEEAIKAFLEYLVDPILPPRSSLRDIPSLSQQESVAKQVHAVVLLYNYYHRKQCPDLEVLNFDSFCKLVLVLKPALLPHMKFMQKPSDTELDDLEKQLSVTEKTIMDACNMSLRLDASKDVPNIEGWPISKVTVFLVDPKKENCLLLFSLITQGVWSVIEKDLDVAVHSSEDQTEAKHVNRNKRVTGKPSRVESRTDGASFRKLAYSAVSEAAGINQTDLLVLESHVVFSVSKEKAAVCFFIIQCTKTVSEEIIQIPIQDVIGSLQGPLVGKSSSSWTVTPVVEYFHVLPYAGILLDWFSRRESSNGLQDSRLDEENITVNSPDRVETPCKLELDKSRDKSHEKGIMIENVENTSGSNPQSWKQKDTSGCCKTSLADAFNGPQKMEVDDSSTVPLQNEQSCKNISSTIQVVKYHVENLEKDTPRSEPQSREKKDTTGCCKTSLADAFSGPQKIEVKVVNSKTRPFITDCGAKKIVAGKICSIDLSDQDGIDDSAIVTYQSSSEDLYKLQIAIASKENILSQTALKVLMKRRDDLSLQQRNIEDEIAQCDKKIQTILNGGEDDLALKVESIIEGCNDVCVRSGRTHRLLEDQLPQSSKRKRLSEAILKEQNPCQNI >ONI29293 pep chromosome:Prunus_persica_NCBIv2:G1:17738874:17747343:1 gene:PRUPE_1G191700 transcript:ONI29293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASDVCPTEEAIKAFLEYLVDPILPPRSSLRDIPSLSQQESVAKQVHAVVLLYNYYHRKQCPDLEVLNFDSFCKLVLVLKPALLPHMKFMQKPSDTELDDLEKQLSVTEKTIMDACNMSLRLDASKDVPNIEGWPISKVTVFLVDPKKENCLLLFSLITQGVWSVIEKDLDVAVHSSEDQTEAKHVNRNKRVTGKPSRVESRTDGASFRKLAYSAVSEAAGINQTDLLVLESHVVFSVSKEKAAVCFFIIQCTKTVSEEIIQIPIQDVIGSLQGPLVGKSSSSWTVTPVVEYFHVLPYAGILLDWFSRRESSNGLQDSRLDEENITVNSPDRVETPCKLELDKSRDKSHEKGIMIENVENTSGSNPQSWKQKDTSGCCKTSLADAFNGPQKMEVDDSSTVPLQNEQSCKNISSTIQVVKYHVENLEKDTPRSEPQSREKKDTTGCCKTSLADAFSGPQKIEVKVVNSKTRPFITDCGAKKIVAGKICSIDLSDQDGIDDSAIVTYQSSSEDLYKLQIAIASKENILSQTALKVLMKRRDDLSLQQRNIEDEIAQCDKKIQTILNGGEDDLALKVESIIEGCNDVCVRSGRTHRLLEDQLPQSSKRKRLSEAILKEQNPCQDLDDACYENNWVLPTYRVSPSDGGFQAEVTVNGMDFDCSSGGDLCSNPREARESAAAQILVKLRSMAGQAQQF >ONI34130 pep chromosome:Prunus_persica_NCBIv2:G1:38719085:38720192:1 gene:PRUPE_1G463900 transcript:ONI34130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAKTLINPSPPLNLPPKLTLRRPKPPFSLSIRNSLSDSQAPTTPGQLRVDILSESLPFIQKFRGKTIVVKYGGAAMKSESLQASVVNDLVLLSCVGLRPVLVHGGGPEINHWLKRLNIEVSFHDGLRVTDAATMEIVSMVLAGKVNKNLVSLIDRAGVKAIGLCGFDGRLVTARPAPNAAKLGFVGEVARVDPTVLWSIVNDGHIPVIASVAADETGQQYNINADTVAGELAAALGAEKLILLTDVAGILSDRNDAFSLVKEIDIKGVKKMVEEGTIGGGMIPKVNCCVRSLAQGVKTASIVDGRVPHSLLLEILTDEGAGTMISG >ONI29163 pep chromosome:Prunus_persica_NCBIv2:G1:16699506:16700664:-1 gene:PRUPE_1G184400 transcript:ONI29163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSRSRSETERLSQSSQERVRNRFPGHSRTLSEQDPQEERTEQELQQNENSEAENTDETNENFNINENDFCAIDSTDVGIHDAYNEEGGGGVDVHQPRRGRNHNICGNTFYANKGERVGISKVGNKYNNKSSVPFLLGLLALFVIASSFFFYISMVSKQNKK >ONI29957 pep chromosome:Prunus_persica_NCBIv2:G1:23848459:23849418:1 gene:PRUPE_1G224500 transcript:ONI29957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLADRKVEKFEKNITKRGAVPETTAKKGKDYPVGPILLGFFVFVVIGSCMSLIIQFYVWQIKSDMIQFNGS >ONI29956 pep chromosome:Prunus_persica_NCBIv2:G1:23848378:23849981:1 gene:PRUPE_1G224500 transcript:ONI29956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLADRKVEKFEKNITKRGAVPETTAKKGKDYPVGPILLGFFVFVVIGSSLFQIIRTATSGGMA >ONI30140 pep chromosome:Prunus_persica_NCBIv2:G1:24780739:24787477:-1 gene:PRUPE_1G233200 transcript:ONI30140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPAMRCSPGREPRGNHHRRGRSFESGIFLKEKDEDLALFNEMQTREKEDFLLQSSDDLEDTFSTKLRQFSDLKLGIAIPTRGESSDLLNVEGEKNDYDWLLTPPDTPLFPSLDNEPPPVNAPQRGRPRSQPITISRSSTMEKSYRSSRGSASPNRLSPSPRSGNSSFQSRGRPSPVRHSSPTPSLRPATPSRRPSTPSQRPSTPPSKSPTPAPRSSTPTPRRMSTGSSSTVASPGIRGTSPVKTSRGNSASPKIRAWQTNIPGFSSDAPPNLRTSLADRPATYVRGSSPASRNGRDHSSNYRRQSMSPTASRSVSSSHSHDRDPFSSHSKCSIASSGDDDVDSLQSLPVGSLDRSTSRRVAAFSNNRAVAFSKRPAKTVSSSSAPKRSFDSALRQMDHRKSPQMFRPLLSSVPSSTFYVGKASSVHRPLISRNSSVTTSSNASSDLGTSVAPDTEGSDHNQDDVASESEKVPYSDVHEEVFGFDKMDAVNEETRHDIHDGPHDLHQGDFNRGTAVEGGAAHSEDYGRPNVIMDVSPTSEDSHVKGDFSEIDSLDTEPCPKCGHRFYVSDQVERNIRFCPECSRKDKLLSVLIPEITVVPENSTPLSVKILEEEKLLDAMETMMVVPGSPQVSDLGKPQSSQGEENVDPGQTICSEKFPNCLQEKSLVRPVVEGVEDGLANQQEVDSQTVGCGLPNSDIGGQNLHHSNNYRNMRVEISEGAGISILLKRTSSSKGAVVQGRTFTATTIPYEDVSYARDSSNSMRSSIGHGSFSASSSVDFGSARQTEIRVQRQLSGKKSDMENHRHDTNIKPQSIASNSCGDSNHAHQALGLSSNTHDDDIEVAGGILECDVAEVTHITSQERLLASECTDADATTTSTRTTVVEEDDTEFNSSSRRVDTSNSELSSHAVSSPLEDNWVAKFPICENGASNAHGEELQNNARSSTDVEVVTPEPSFEEENTNFNSTLDGLDVEEIATHSSLVTVSVSEIETEKCHQTYLCSLNDDASLESRSTLEEFQEPSVPIPSDSDLTSSVPETNNTTNAYGILEESTVMVECRGRRKTKSLTLEEATDTILFCSSLVHDLAYEAAAIAMEKESPVPLEGLQPTVTVLGKSNPERKEPRGRTVARRTSKPRKSRQKWVETDAEPPVSKTENDENVDESMQRNVGLPNKVDGMKPPKLESKCNCTIM >ONI30141 pep chromosome:Prunus_persica_NCBIv2:G1:24780764:24787477:-1 gene:PRUPE_1G233200 transcript:ONI30141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPAMRCSPGREPRGNHHRRGRSFESGIFLKEKDEDLALFNEMQTREKEDFLLQSSDDLEDTFSTKLRQFSDLKLGIAIPTRGESSDLLNVEGEKNDYDWLLTPPDTPLFPSLDNEPPPVNAPQRGRPRSQPITISRSSTMEKSYRSSRGSASPNRLSPSPRSGNSSFQSRGRPSPVRHSSPTPSLRPATPSRRPSTPSQRPSTPPSKSPTPAPRSSTPTPRRMSTGSSSTVASPGIRGTSPVKTSRGNSASPKIRAWQTNIPGFSSDAPPNLRTSLADRPATYVRGSSPASRNGRDHSSNYRRQSMSPTASRSVSSSHSHDRDPFSSHSKCSIASSGDDDVDSLQSLPVGSLDRSTSRRVAAFSNNRAVAFSKRPAKTVSSSSAPKRSFDSALRQMDHRKSPQMFRPLLSSVPSSTFYVGKASSVHRPLISRNSSVTTSSNASSDLGTSVAPDTEGSDHNQDDVASESEKVPYSDVHEEVFGFDKMDAVNEETRHDIHDGPHDLHQGDFNRGTAVEGGAAHSEDYGRPNVIMDVSPTSEDSHVKGDFSEIDSLDTEPCPKCGHRFYVSDQVERNIRFCPECSRKDKLLSVLIPEITVVPENSTPLSVKILEEEKLLDAMETMMVVPGSPQVSDLGKPQSSQGEENVDPGQTICSEKFPNCLQEKSLVRPVVEGVEDGLANQQEVDSQTVGCGLPNSDIGGQNLHHSNNYRNMRVEISEGAGISILLKRTSSSKGAVVQGRTFTATTIPYEDVSYARDSSNSMRSSIGHGSFSASSSVDFGSARQTEIRVQRQLSGKKSDMENHRHDTNIKPQSIASNSCGDSNHAHQALGLSSNTHDDDIEVAGGILECDVAEVTHITSQERLLASECTDADATTTSTRTTVVEEDDTEFNSSSRRVDTSNSELSSHAVSSPLEDNWVAKFPICENGASNAHGEELQNNARSSTDVEVVTPEPSFEEENTNFNSTLDGLDVEEIATHSSLVTVSVSEIETEKCHQTYLCSLNDDASLESRSTLEEFQEPSVPIPSDSDLTSSVPETNNTTNAYGILEEESTVMVECRGRRKTKSLTLEEATDTILFCSSLVHDLAYEAAAIAMEKESPVPLEGLQPTVTVLGKSNPERKEPRGRTVARRTSKPRKSRQKWVETDAEPPVSKTENDENVDESMQRNVGLPNKVDGMKPPKLESKCNCTIM >ONI26639 pep chromosome:Prunus_persica_NCBIv2:G1:2562301:2562834:1 gene:PRUPE_1G036800 transcript:ONI26639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSENSRNQDRLQQLKAFDESKAGVKGIVDGGITKIPPIFVRPPEDSTGDGPSSGQPTQTQFSVPVVDLADTAGRHDDVVARVRRAAETVGFFQVVNHGIPKRVLEEMLKAARGFHELPREVKAEYYRTGPGWTVKFGSNFDLYKSRFANWRDSLLCVMGPDPIDPQELPVVCRYIE >ONI26638 pep chromosome:Prunus_persica_NCBIv2:G1:2561896:2563988:1 gene:PRUPE_1G036800 transcript:ONI26638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSENSRNQDRLQQLKAFDESKAGVKGIVDGGITKIPPIFVRPPEDSTGDGPSSGQPTQTQFSVPVVDLADTAGRHDDVVARVRRAAETVGFFQVVNHGIPKRVLEEMLKAARGFHELPREVKAEYYRTGPGWTVKFGSNFDLYKSRFANWRDSLLCVMGPDPIDPQELPVVCRDITMEYSEQVDKLGVTLFELLSEALGLKSEHLIGMDCAKGPVIVSHYYPPCPEPELTMGTSQHSDPSFLTILLQDHVGGLQVLCENQWIDVPPVAEALVVNIGDLLQLISNDKFISVNHRVLAKNEGPRISVGCFFRHFSPGNSLSRLYEPINELTSEENPPIYRQTTLNDFLVYYYKKGLNGVSALDYFKL >ONI27868 pep chromosome:Prunus_persica_NCBIv2:G1:8694864:8706410:-1 gene:PRUPE_1G108800 transcript:ONI27868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESSTVRFPARNVSRSSQSEPNENEFEASSNPIHFPPPRTPLNSIADPAQLQKELHELDFDSQPKFEAIRSGRYSLSDRKLEAPDRAGNGGLSYGTPRVSGRGGKAHSEPNSAQSTPARNGSRASIGGAICTGSKAPQYNGGRAGSCSRISREISVVNSEVLTQVPHFELAEDSSFWTDHNVQVLIRIRPLSNIERASQGHGGCLKQESAKTLVWHGHPETRFTFDHIACETISQEKLFKVAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIYEVEGQLNEDCGITPRIFEYLFKRIRVEEESRTEEQLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVHVENLTEYNVRDVNDVVKLLLQGASNRKMAATHMNSESSRSHSVFTCIIESRWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDITALQQQIQQLKGQLSFLMKHHNISWSSSSGVPSTEEPRFNKLPEEYDDSREDKMPTDNLKLPSIRNKKMKCMDTILVGALRREKMADSAVQKLVAEIEDMNRLVCQSEEDAGHAKMMLRFREEKIKRLELLTDGMLSAEKYLMEENKALLEEIQLLQARFESNPELTRYSVENCRLLEQLKLYQKFYEHGERETLLAEVSELRNQLLDILQGKLPFSTENENQNSDTIKELEDCRNMNSKLIREVDELQLELQKYMNSSQAASGSVRDSFSKDTEEFRQSDKYSMVETLSMGSDSGDETASYSQEECCRGMYISSNNDKIEIQSEVKHERCYLKSGDLHKENKCIMEISEDVERKALQAKLDKMVKDLEEVRLLNSHFQEDRLLQLSHQKQTEIVCEQVEMETANTILHLQEEVAALQFELDERLHCMIQENKVLKNTIAAKEDEIRSLSVEWEKATFELTRFLLDGSRSLKNASSQIESIACSFPQANVCISEDVQRAAKVCMEKEETIELLQKSLEDAQKMVTEMGQKLSSLKGAAIALSELQHLDNDETKEEISFCMRLDEQTNMVEMLERKLIFKEIQVKEAENCANAAFLVIKWLTDQKATDKTERNIPISILGTPAGMASQKSSDTKVNALGQEDVITELELARLGILESENAIEAFYADTEMHIVALETNISEVSDEYKELVQNLVSELREMRKKYMELREHSKVSQFCTVESLSLEAHKYLKSKDIYHMILEIKNELTVANGRLKITEDFIYTKANVYDCPSADKSLEDEDEWSTDSTTSSCDSSTESFASGNKLWALEGQTGDLKVKEGSVLQSADQDPEESKWVLKTFTDSKGATFCLKKELEMALDAFNKLYVRLATLISELDIGGCSQPAGLKQLVPLFESGTESSYGCHATKKVVSDQKSDFASSFLTKFEEAHATVKEADVMLNALMEANENAKELTGLWKQTGEELMLEKASFIEEVEHLKNSVRLKERENELLQDQSRYNLVEIAKSLSLLEECFMQLKSEVEDRFKVLYADTFSMGREIHCFISKSRSLLEEICAETLEKQFAIFVLHQCLTGELIHKIPCFNVGSGFRSSQQQEGLSITNKQQKMWSSCEDDIALTSNISKDDNDQSGVTNLKAGELSLSRDSLMHENLSLKEELQRKDALLEGLHFDFRMLQESASNTMDIKDETEKLIKSLSQIQNELKIKTCQLDDMLFQHKKLEDHLTDTERALLLSNSNLEQAKDTINTLSEQNFELKVLLNDLYLKNSEANEQLEEQKEVVKGLEKEILHLTSSMETKLLCQVEGIGDELRRVISERDGLLEEVASLNDKLEMAYAISDEHEAISIEARQESEASKMYAEQKEEEVKILERSVEELECTINVLEKKVYEMNDEVERHRLIRDALELELQALRHRLLTVENFSENVDSENMNSEQAENLISRQLQSRLLELHEAHNKIKLLEEERAEQDKEIKQCKEYISELVLHAEAQTSQYQQKYKTLEAMVCEVKADKTDSASTAAALEKSERSSIRTRGSSSPFRCISSLVQQMNTEKDQELSIARHRIEELEALAASRQKEVCLLNTRVAAAESMTHDVIRDLLGVKLDMTNYANLIEQYQVQKLVEEAHQQTEEFQEKEQEILNLRKQITDLMGERQSCISEINKKEGDIAAAQMTLQQLQDRDQLLSAQNEMLKVDKTNLKRRVAELDEMVKTILGTPTIHQPIQHPHTSKPKNNSSLKLHEIDFTKRLEQSEKHFSRVNGELAQYFKSAGGGGGHPRDKRVSR >ONI27865 pep chromosome:Prunus_persica_NCBIv2:G1:8693892:8706644:-1 gene:PRUPE_1G108800 transcript:ONI27865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESSTVRFPARNVSRSSQSEPNENEFEASSNPIHFPPPRTPLNSIADPAQLQKELHELDFDSQPKFEAIRSGRYSLSDRKLEAPDRAGNGGLSYGTPRVSGRGGKAHSEPNSAQSTPARNGSRASIGGAICTGSKAPQYNGGRAGSCSRISREISVVNSEVLTQVPHFELAEDSSFWTDHNVQVLIRIRPLSNIERASQGHGGCLKQESAKTLVWHGHPETRFTFDHIACETISQEKLFKVAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIYEVEGQLNEDCGITPRIFEYLFKRIRVEEESRTEEQLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVHVENLTEYNVRDVNDVVKLLLQGASNRKMAATHMNSESSRSHSVFTCIIESRWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDITALQQQIQQLKGQLSFLMKHHNISWSSSSGVPSTEEPRFNKLPEEYDDSREDKMPTDNLKLPSIRNKKMKCMDTILVGALRREKMADSAVQKLVAEIEDMNRLVCQSEEDAGHAKMMLRFREEKIKRLELLTDGMLSAEKYLMEENKALLEEIQLLQARFESNPELTRYSVENCRLLEQLKLYQKFYEHGERETLLAEVSELRNQLLDILQGKLPFSTENENQNSDTIKELEDCRNMNSKLIREVDELQLELQKYMNSSQAASGSVRDSFSKDTEEFRQSDKYSMVETLSMGSDSGDETASYSQEECCRGMYISSNNDKIEIQSEVKHERCYLKSGDLHKENKCIMEISEDVERKALQAKLDKMVKDLEEVRLLNSHFQEDRLLQLSHQKQTEIVCEQVEMETANTILHLQEEVAALQFELDERLHCMIQENKVLKNTIAAKEDEIRSLSVEWEKATFELTRFLLDGSRSLKNASSQIESIACSFPQANVCISEDVQRAAKVCMEKEETIELLQKSLEDAQKMVTEMGQKLSSLKGAAIALSELQHLDNDETKEEISFCMRLDEQTNMVEMLERKLIFKEIQVKEAENCANAAFLVIKWLTDQKATDKTERNIPISILGTPAGMASQKSSDTKVNALGQEDVITELELARLGILESENAIEAFYADTEMHIVALETNISEVSDEYKELVQNLVSELREMRKKYMELREHSKVSQFCTVESLSLEAHKYLKSKDIYHMILEIKNELTVANGRLKITEDFIYTKANVYDCPSADKSLEDEDEWSTDSTTSSCDSSTESFASGNKLWALEGQTGDLKVKEGSVLQSADQDPEESKWVLKTFTDSKGATFCLKKELEMALDAFNKLYVRLATLISELDIGGCSQPAGLKQLVPLFESGTESSYGCHATKKVVSDQKSDFASSFLTKFEEAHATVKEADVMLNALMEANENAKELTGLWKQTGEELMLEKASFIEEVEHLKNSVRLKERENELLQDQSRYNLVEIAKSLSLLEECFMQLKSEVEDRFKVLYADTFSMGREIHCFISKSRSLLEEICAETLEKQFAIFVLHQCLTGELIHKIPCFNVGSGFRSSQQQEGLSITNKQQKMWSSCEDDIALTSNISKDDNDQSGVTNLKAGELSLSRDSLMHENLSLKEELQRKDALLEGLHFDFRMLQESASNTMDIKDETEKLIKSLSQIQNELKIKTCQLDDMLFQHKKLEDHLTDTERALLLSNSNLEQAKDTINTLSEQNFELKVLLNDLYLKNSEANEQLEEQKEVVKGLEKEILHLTSSMETKLLCQVEGIGDELRRVISERDGLLEEVASLNDKLEMAYAISDEHEAISIEARQESEASKMYAEQKEEEVKILERSVEELECTINVLEKKVYEMNDEVERHRLIRDALELELQALRHRLLTVENFSENVDSENMNSEQAENLISRQLQSRLLELHEAHNKIKLLEEERAEQDKEIKQCKEYISELVLHAEAQTSQYQQKYKTLEAMVCEVKADKTDSASTAAALEKSERSSIRTRGSSSPFRCISSLVQQMNTEKDQELSIARHRIEELEALAASRQKEVCLLNTRVAAAESMTHDVIRDLLGVKLDMTNYANLIEQYQVQKLVEEAHQQTEEFQEKEQEILNLRKQITDLMGERQSCISEINKKEGDIAAAQMTLQQLQDRDQLLSAQNEMLKVDKTNLKRRVAELDEMVKTILGTPTIHQPIQHPHTSKPKNNSSLKLHEIDFTKRLEQSEKHFSRVNGELAQYFKSAGGGGGHPRDKRVSR >ONI27866 pep chromosome:Prunus_persica_NCBIv2:G1:8693892:8706644:-1 gene:PRUPE_1G108800 transcript:ONI27866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESSTVRFPARNVSRSSQSEPNENEFEASSNPIHFPPPRTPLNSIADPAQLQKELHELDFDSQPKFEAIRSGRYSLSDRKLEAPDRAGNGGLSYGTPRVSGRGGKAHSEPNSAQSTPARNGSRASIGGAICTGSKAPQYNGGRAGSCSRISREISVVNSEVLTQVPHFELAEDSSFWTDHNVQVLIRIRPLSNIERASQGHGGCLKQESAKTLVWHGHPETRFTFDHIACETISQEKLFKVAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIYEVEGQLNEDCGITPRIFEYLFKRIRVEEESRTEEQLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVHVENLTEYNVRDVNDVVKLLLQGASNRKMAATHMNSESSRSHSVFTCIIESRWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDITALQQQIQQLKGQLSFLMKHHNISWSSSSGVPSTEEPRFNKLPEEYDDSREDKMPTDNLKLPSIRNKKMKCMDTILVGALRREKMADSAVQKLVAEIEDMNRLVCQSEEDAGHAKMMLRFREEKIKRLELLTDGMLSAEKYLMEENKALLEEIQLLQARFESNPELTRYSVENCRLLEQLKLYQKFYEHGERETLLAEVSELRNQLLDILQGKLPFSTENENQNSDTIKELEDCRNMNSKLIREVDELQLELQKYMNSSQAASGSVRDSFSKDTEEFRQSDKYSMVETLSMGSDSGDETASYSQEECCRGMYISSNNDKIEIQSEVKHERCYLKSGDLHKENKCIMEISEDVERKALQAKLDKMVKDLEEVRLLNSHFQEDRLLQLSHQKQTEIVCEQVEMETANTILHLQEEVAALQFELDERLHCMIQENKVLKNTIAAKEDEIRSLSVEWEKATFELTRFLLDGSRSLKNASSQIESIACSFPQANVCISEDVQRAAKVCMEKEETIELLQKSLEDAQKMVTEMGQKLSSLKGAAIALSELQHLDNDETKEEISFCMRLDEQTNMVEMLERKLIFKEIQVKEAENCANAAFLVIKWLTDQKATDKTERNIPISILGTPAGMASQKSSDTKVNALGQEDVITELELARLGILESENAIEAFYADTEMHIVALETNISEVSDEYKELVQNLVSELREMRKKYMELREHSKVSQFCTVESLSLEAHKYLKSKDIYHMILEIKNELTVANGRLKITEDFIYTKANVYDCPSADKSLEDEDEWSTDSTTSSCDSSTESFASGNKLWALEGQTGDLKVKEGSVLQSADQDPEESKWVLKTFTDSKGATFCLKKELEMALDAFNKLYVRLATLISELDIGGCSQPAGLKQLVPLFESGTESSYGCHATKKVVSDQKSDFASSFLTKFEEAHATVKEADVMLNALMEANENAKELTGLWKQTGEELMLEKASFIEEVEHLKNSVRLKERENELLQDQSRYNLVEIAKSLSLLEECFMQLKSEVEDRFKVLYADTFSMGREIHCFISKSRSLLEEICAETLEKQFAIFVLHQCLTGELIHKIPCFNVGSGFRSSQQQEGLSITNKQQKMWSSCEDDIALTSNISKDDNDQSGVTNLKAGELSLSRDSLMHENLSLKEELQRKDALLEGLHFDFRMLQESASNTMDIKDETEKLIKSLSQIQNELKIKTCQLDDMLFQHKKLEDHLTDTERALLLSNSNLEQAKDTINTLSEQNFELKVLLNDLYLKNSEANEQLEEQKEVVKGLEKEILHLTSSMETKLLCQVEGIGDELRRVISERDGLLEEVASLNDKLEMAYAISDEHEAISIEARQESEASKMYAEQKEEEVKILERSVEELECTINVLEKKVYEMNDEVERHRLIRDALELELQALRHRLLTVENFSENVDSENMNSEQAENLISRQLQSRLLELHEAHNKIKLLEEERAEQDKEIKQCKEYISELVLHAEAQTSQYQQKYKTLEAMVCEVKADKTDSASTAAALEKSERSSIRTRGSSSPFRCISSLVQQMNTEKDQELSIARHRIEELEALAASRQKEVCLLNTRVAAAESMTHDVIRDLLGVKLDMTNYANLIEQYQVQKLVEEAHQQTEEFQEKEQEILNLRKQITDLMGERQSCISEINKKEGDIAAAQMTLQQLQDRDQLLSAQNEMLKVDKTNLKRRVAELDEMVKTILGTPTIHQPIQHPHTSKPKNNSSLKLHEIDFTKRLEQSEKHFSRVNGELAQYFKSAGGGGGHPRDKRVSR >ONI27871 pep chromosome:Prunus_persica_NCBIv2:G1:8693892:8706644:-1 gene:PRUPE_1G108800 transcript:ONI27871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESSTVRFPARNVSRSSQSEPNENEFEASSNPIHFPPPRTPLNSIADPAQLQKELHELDFDSQPKFEAIRSGRYSLSDRKLEAPDRAGNGGLSYGTPRVSGRGGKAHSEPNSAQSTPARNGSRASIGGAICTGSKAPQYNGGRAGSCSRISREISVVNSEVLTQVPHFELAEDSSFWTDHNVQVLIRIRPLSNIERASQGHGGCLKQESAKTLVWHGHPETRFTFDHIACETISQEKLFKVAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIYEVEGQLNEDCGITPRIFEYLFKRIRVEEESRTEEQLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVHVENLTEYNVRDVNDVVKLLLQGASNRKMAATHMNSESSRSHSVFTCIIESRWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDITALQQQIQQLKGQLSFLMKHHNISWSSSSGVPSTEEPRFNKLPEEYDDSREDKMPTDNLKLPSIRNKKMKCMDTILVGALRREKMADSAVQKLVAEIEDMNRLVCQSEEDAGHAKMMLRFREEKIKRLELLTDGMLSAEKYLMEENKALLEEIQLLQARFESNPELTRYSVENCRLLEQLKLYQKFYEHGERETLLAEVSELRNQLLDILQGKLPFSTENENQVGKHFLNSDTIKELEDCRNMNSKLIREVDELQLELQKYMNSSQAASGSVRDSFSKDTEEFRQSDKYSMVETLSMGSDSGDETASYSQEECCRGMYISSNNDKIEIQSEVKHERCYLKSGDLHKENKCIMEISEDVERKALQAKLDKMVKDLEEVRLLNSHFQEDRLLQLSHQKQTEIVCEQVEMETANTILHLQEEVAALQFELDERLHCMIQENKVLKNTIAAKEDEIRSLSVEWEKATFELTRFLLDGSRSLKNASSQIESIACSFPQANVCISEDVQRAAKVCMEKEETIELLQKSLEDAQKMVTEMGQKLSSLKGAAIALSELQHLDNDETKEEISFCMRLDEQTNMVEMLERKLIFKEIQVKEAENCANAAFLVIKWLTDQKATDKTERNIPISILGTPAGMASQKSSDTKVNALGQEDVITELELARLGILESENAIEAFYADTEMHIVALETNISEVSDEYKELVQNLVSELREMRKKYMELREHSKVSQFCTVESLSLEAHKYLKSKDIYHMILEIKNELTVANGRLKITEDFIYTKANVYDCPSADKSLEDEDEWSTDSTTSSCDSSTESFASGNKLWALEGQTGDLKVKEGSVLQSADQDPEESKWVLKTFTDSKGATFCLKKELEMALDAFNKLYVRLATLISELDIGGCSQPAGLKQLVPLFESGTESSYGCHATKKVVSDQKSDFASSFLTKFEEAHATVKEADVMLNALMEANENAKELTGLWKQTGEELMLEKASFIEEVEHLKNSVRLKERENELLQDQSRYNLVEIAKSLSLLEECFMQLKSEVEDRFKVLYADTFSMGREIHCFISKSRSLLEEICAETLEKQFAIFVLHQCLTGELIHKIPCFNVGSGFRSSQQQEGLSITNKQQKMWSSCEDDIALTSNISKDDNDQSGVTNLKAGELSLSRDSLMHENLSLKEELQRKDALLEGLHFDFRMLQESASNTMDIKDETEKLIKSLSQIQNELKIKTCQLDDMLFQHKKLEDHLTDTERALLLSNSNLEQAKDTINTLSEQNFELKVLLNDLYLKNSEANEQLEEQKEVVKGLEKEILHLTSSMETKLLCQVEGIGDELRRVISERDGLLEEVASLNDKLEMAYAISDEHEAISIEARQESEASKMYAEQKEEEVKILERSVEELECTINVLEKKVYEMNDEVERHRLIRDALELELQALRHRLLTVENFSENVDSENMNSEQAENLISRQLQSRLLELHEAHNKIKLLEEERAEQDKEIKQCKEYISELVLHAEAQTSQYQQKYKTLEAMVCEVKADKTDSASTAAALEKSERSSIRTRGSSSPFRCISSLVQQMNTEKDQELSIARHRIEELEALAASRQKEVCLLNTRVAAAESMTHDVIRDLLGVKLDMTNYANLIEQYQVQKLVEEAHQQTEEFQEKEQEILNLRKQITDLMGERQSCISEINKKEGDIAAAQMTLQQLQDRDQLLSAQNEMLKVDKTNLKRRVAELDEMVKTILGTPTIHQPIQHPHTSKPKNNSSLKLHEIDFTKRLEQSEKHFSRVNGELAQYFKSAGGGGGHPRDKRVSR >ONI27872 pep chromosome:Prunus_persica_NCBIv2:G1:8694864:8706410:-1 gene:PRUPE_1G108800 transcript:ONI27872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESSTVRFPARNVSRSSQSEPNENEFEASSNPIHFPPPRTPLNSIADPAQLQKELHELDFDSQPKFEAIRSGRYSLSDRKLEAPDRAGNGGLSYGTPRVSGRGGKAHSEPNSAQSTPARNGSRASIGGAICTGSKAPQYNGGRAGSCSRISREISVVNSEVLTQVPHFELAEDSSFWTDHNVQVLIRIRPLSNIERASQGHGGCLKQESAKTLVWHGHPETRFTFDHIACETISQEKLFKVAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIYEVEGQLNEDCGITPRIFEYLFKRIRVEEESRTEEQLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVHVENLTEYNVRDVNDVVKLLLQGASNRKMAATHMNSESSRSHSVFTCIIESRWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDITALQQQIQQLKGQLSFLMKHHNISWSSSSGVPSTEEPRFNKLPEEYDDSREDKMPTDNLKLPSIRNKKMKCMDTILVGALRREKMADSAVQKLVAEIEDMNRLVCQSEEDAGHAKMMLRFREEKIKRLELLTDGMLSAEKYLMEENKALLEEIQLLQARFESNPELTRYSVENCRLLEQLKLYQKFYEHGERETLLAEVSELRNQLLDILQGKLPFSTENENQVGKHFLNSDTIKELEDCRNMNSKLIREVDELQLELQKYMNSSQAASGSVRDSFSKDTEEFRQSDKYSMVETLSMGSDSGDETASYSQEECCRGMYISSNNDKIEIQSEVKHERCYLKSGDLHKENKCIMEISEDVERKALQAKLDKMVKDLEEVRLLNSHFQEDRLLQLSHQKQTEIVCEQVEMETANTILHLQEEVAALQFELDERLHCMIQENKVLKNTIAAKEDEIRSLSVEWEKATFELTRFLLDGSRSLKNASSQIESIACSFPQANVCISEDVQRAAKVCMEKEETIELLQKSLEDAQKMVTEMGQKLSSLKGAAIALSELQHLDNDETKEEISFCMRLDEQTNMVEMLERKLIFKEIQVKEAENCANAAFLVIKWLTDQKATDKTERNIPISILGTPAGMASQKSSDTKVNALGQEDVITELELARLGILESENAIEAFYADTEMHIVALETNISEVSDEYKELVQNLVSELREMRKKYMELREHSKVSQFCTVESLSLEAHKYLKSKDIYHMILEIKNELTVANGRLKITEDFIYTKANVYDCPSADKSLEDEDEWSTDSTTSSCDSSTESFASGNKLWALEGQTGDLKVKEGSVLQSADQDPEESKWVLKTFTDSKGATFCLKKELEMALDAFNKLYVRLATLISELDIGGCSQPAGLKQLVPLFESGTESSYGCHATKKVVSDQKSDFASSFLTKFEEAHATVKEADVMLNALMEANENAKELTGLWKQTGEELMLEKASFIEEVEHLKNSVRLKERENELLQDQSRYNLVEIAKSLSLLEECFMQLKSEVEDRFKVLYADTFSMGREIHCFISKSRSLLEEICAETLEKQFAIFVLHQCLTGELIHKIPCFNVGSGFRSSQQQEGLSITNKQQKMWSSCEDDIALTSNISKDDNDQSGVTNLKAGELSLSRDSLMHENLSLKEELQRKDALLEGLHFDFRMLQESASNTMDIKDETEKLIKSLSQIQNELKIKTCQLDDMLFQHKKLEDHLTDTERALLLSNSNLEQAKDTINTLSEQNFELKVLLNDLYLKNSEANEQLEEQKEVVKGLEKEILHLTSSMETKLLCQVEGIGDELRRVISERDGLLEEVASLNDKLEMAYAISDEHEAISIEARQESEASKMYAEQKEEEVKILERSVEELECTINVLEKKVYEMNDEVERHRLIRDALELELQALRHRLLTVENFSENVDSENMNSEQAENLISRQLQSRLLELHEAHNKIKLLEEERAEQDKEIKQCKEYISELVLHAEAQTSQYQQKYKTLEAMVCEVKADKTDSASTAAALEKSERSSIRTRGSSSPFRCISSLVQQMNTEKDQELSIARHRIEELEALAASRQKEVCLLNTRVAAAESMTHDVIRDLLGVKLDMTNYANLIEQYQVQKLVEEAHQQTEEFQEKEQEILNLRKQITDLMGERQSCISEINKKEGDIAAAQMTLQQLQDRDQLLSAQNEMLKVDKTNLKRRVAELDEMVKTILGTPTIHQPIQHPHTSKPKNNSSLKLHEIDFTKRLEQSEKHFSRVNGELAQYFKSAGGGGGHPRDKRVSR >ONI27867 pep chromosome:Prunus_persica_NCBIv2:G1:8694864:8706410:-1 gene:PRUPE_1G108800 transcript:ONI27867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESSTVRFPARNVSRSSQSEPNENEFEASSNPIHFPPPRTPLNSIADPAQLQKELHELDFDSQPKFEAIRSGRYSLSDRKLEAPDRAGNGGLSYGTPRVSGRGGKAHSEPNSAQSTPARNGSRASIGGAICTGSKAPQYNGGRAGSCSRISREISVVNSEVLTQVPHFELAEDSSFWTDHNVQVLIRIRPLSNIERASQGHGGCLKQESAKTLVWHGHPETRFTFDHIACETISQEKLFKVAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIYEVEGQLNEDCGITPRIFEYLFKRIRVEEESRTEEQLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVHVENLTEYNVRDVNDVVKLLLQGASNRKMAATHMNSESSRSHSVFTCIIESRWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDITALQQQIQQLKGQLSFLMKHHNISWSSSSGVPSTEEPRFNKLPEEYDDSREDKMPTDNLKLPSIRNKKMKCMDTILVGALRREKMADSAVQKLVAEIEDMNRLVCQSEEDAGHAKMMLRFREEKIKRLELLTDGMLSAEKYLMEENKALLEEIQLLQARFESNPELTRYSVENCRLLEQLKLYQKFYEHGERETLLAEVSELRNQLLDILQGKLPFSTENENQNSDTIKELEDCRNMNSKLIREVDELQLELQKYMNSSQAASGSVRDSFSKDTEEFRQSDKYSMVETLSMGSDSGDETASYSQEECCRGMYISSNNDKIEIQSEVKHERCYLKSGDLHKENKCIMEISEDVERKALQAKLDKMVKDLEEVRLLNSHFQEDRLLQLSHQKQTEIVCEQVEMETANTILHLQEEVAALQFELDERLHCMIQENKVLKNTIAAKEDEIRSLSVEWEKATFELTRFLLDGSRSLKNASSQIESIACSFPQANVCISEDVQRAAKVCMEKEETIELLQKSLEDAQKMVTEMGQKLSSLKGAAIALSELQHLDNDETKEEISFCMRLDEQTNMVEMLERKLIFKEIQVKEAENCANAAFLVIKWLTDQKATDKTERNIPISILGTPAGMASQKSSDTKVNALGQEDVITELELARLGILESENAIEAFYADTEMHIVALETNISEVSDEYKELVQNLVSELREMRKKYMELREHSKVSQFCTVESLSLEAHKYLKSKDIYHMILEIKNELTVANGRLKITEDFIYTKANVYDCPSADKSLEDEDEWSTDSTTSSCDSSTESFASGNKLWALEGQTGDLKVKEGSVLQSADQDPEESKWVLKTFTDSKGATFCLKKELEMALDAFNKLYVRLATLISELDIGGCSQPAGLKQLVPLFESGTESSYGCHATKKVVSDQKSDFASSFLTKFEEAHATVKEADVMLNALMEANENAKELTGLWKQTGEELMLEKASFIEEVEHLKNSVRLKERENELLQDQSRYNLVEIAKSLSLLEECFMQLKSEVEDRFKVLYADTFSMGREIHCFISKSRSLLEEICAETLEKQFAIFVLHQCLTGELIHKIPCFNVGSGFRSSQQQEGLSITNKQQKMWSSCEDDIALTSNISKDDNDQSGVTNLKAGELSLSRDSLMHENLSLKEELQRKDALLEGLHFDFRMLQESASNTMDIKDETEKLIKSLSQIQNELKIKTCQLDDMLFQHKKLEDHLTDTERALLLSNSNLEQAKDTINTLSEQNFELKVLLNDLYLKNSEANEQLEEQKEVVKGLEKEILHLTSSMETKLLCQVEGIGDELRRVISERDGLLEEVASLNDKLEMAYAISDEHEAISIEARQESEASKMYAEQKEEEVKILERSVEELECTINVLEKKVYEMNDEVERHRLIRDALELELQALRHRLLTVENFSENVDSENMNSEQAENLISRQLQSRLLELHEAHNKIKLLEEERAEQDKEIKQCKEYISELVLHAEAQTSQYQQKYKTLEAMVCEVKADKTDSASTAAALEKSERSSIRTRGSSSPFRCISSLVQQMNTEKDQELSIARHRIEELEALAASRQKEVCLLNTRVAAAESMTHDVIRDLLGVKLDMTNYANLIEQYQVQKLVEEAHQQTEEFQEKEQEILNLRKQITDLMGERQSCISEINKKEGDIAAAQMTLQQLQDRDQLLSAQNEMLKVDKTNLKRRVAELDEMVKTILGTPTIHQPIQHPHTSKPKNNSSLKLHEIDFTKRLEQSEKHFSRVNGELAQYFKSAGGGGGHPRDKRVSR >ONI27873 pep chromosome:Prunus_persica_NCBIv2:G1:8694864:8704628:-1 gene:PRUPE_1G108800 transcript:ONI27873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATHMNSESSRSHSVFTCIIESRWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDITALQQQIQQLKGQLSFLMKHHNISWSSSSGVPSTEEPRFNKLPEEYDDSREDKMPTDNLKLPSIRNKKMKCMDTILVGALRREKMADSAVQKLVAEIEDMNRLVCQSEEDAGHAKMMLRFREEKIKRLELLTDGMLSAEKYLMEENKALLEEIQLLQARFESNPELTRYSVENCRLLEQLKLYQKFYEHGERETLLAEVSELRNQLLDILQGKLPFSTENENQNSDTIKELEDCRNMNSKLIREVDELQLELQKYMNSSQAASGSVRDSFSKDTEEFRQSDKYSMVETLSMGSDSGDETASYSQEECCRGMYISSNNDKIEIQSEVKHERCYLKSGDLHKENKCIMEISEDVERKALQAKLDKMVKDLEEVRLLNSHFQEDRLLQLSHQKQTEIVCEQVEMETANTILHLQEEVAALQFELDERLHCMIQENKVLKNTIAAKEDEIRSLSVEWEKATFELTRFLLDGSRSLKNASSQIESIACSFPQANVCISEDVQRAAKVCMEKEETIELLQKSLEDAQKMVTEMGQKLSSLKGAAIALSELQHLDNDETKEEISFCMRLDEQTNMVEMLERKLIFKEIQVKEAENCANAAFLVIKWLTDQKATDKTERNIPISILGTPAGMASQKSSDTKVNALGQEDVITELELARLGILESENAIEAFYADTEMHIVALETNISEVSDEYKELVQNLVSELREMRKKYMELREHSKVSQFCTVESLSLEAHKYLKSKDIYHMILEIKNELTVANGRLKITEDFIYTKANVYDCPSADKSLEDEDEWSTDSTTSSCDSSTESFASGNKLWALEGQTGDLKVKEGSVLQSADQDPEESKWVLKTFTDSKGATFCLKKELEMALDAFNKLYVRLATLISELDIGGCSQPAGLKQLVPLFESGTESSYGCHATKKVVSDQKSDFASSFLTKFEEAHATVKEADVMLNALMEANENAKELTGLWKQTGEELMLEKASFIEEVEHLKNSVRLKERENELLQDQSRYNLVEIAKSLSLLEECFMQLKSEVEDRFKVLYADTFSMGREIHCFISKSRSLLEEICAETLEKQFAIFVLHQCLTGELIHKIPCFNVGSGFRSSQQQEGLSITNKQQKMWSSCEDDIALTSNISKDDNDQSGVTNLKAGELSLSRDSLMHENLSLKEELQRKDALLEGLHFDFRMLQESASNTMDIKDETEKLIKSLSQIQNELKIKTCQLDDMLFQHKKLEDHLTDTERALLLSNSNLEQAKDTINTLSEQNFELKVLLNDLYLKNSEANEQLEEQKEVVKGLEKEILHLTSSMETKLLCQVEGIGDELRRVISERDGLLEEVASLNDKLEMAYAISDEHEAISIEARQESEASKMYAEQKEEEVKILERSVEELECTINVLEKKVYEMNDEVERHRLIRDALELELQALRHRLLTVENFSENVDSENMNSEQAENLISRQLQSRLLELHEAHNKIKLLEEERAEQDKEIKQCKEYISELVLHAEAQTSQYQQKYKTLEAMVCEVKADKTDSASTAAALEKSERSSIRTRGSSSPFRCISSLVQQMNTEKDQELSIARHRIEELEALAASRQKEVCLLNTRVAAAESMTHDVIRDLLGVKLDMTNYANLIEQYQVQKLVEEAHQQTEEFQEKEQEILNLRKQITDLMGERQSCISEINKKEGDIAAAQMTLQQLQDRDQLLSAQNEMLKVDKTNLKRRVAELDEMVKTILGTPTIHQPIQHPHTSKPKNNSSLKLHEIDFTKRLEQSEKHFSRVNGELAQYFKSAGGGGGHPRDKRVSR >ONI27869 pep chromosome:Prunus_persica_NCBIv2:G1:8694864:8706410:-1 gene:PRUPE_1G108800 transcript:ONI27869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESSTVRFPARNVSRSSQSEPNENEFEASSNPIHFPPPRTPLNSIADPAQLQKELHELDFDSQPKFEAIRSGRYSLSDRKLEAPDRAGNGGLSYGTPRVSGRGGKAHSEPNSAQSTPARNGSRASIGGAICTGSKAPQYNGGRAGSCSRISREISVVNSEVLTQVPHFELAEDSSFWTDHNVQVLIRIRPLSNIERASQGHGGCLKQESAKTLVWHGHPETRFTFDHIACETISQEKLFKVAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIYEVEGQLNEDCGITPRIFEYLFKRIRVEEESRTEEQLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVHVENLTEYNVRDVNDVVKLLLQGASNRKMAATHMNSESSRSHSVFTCIIESRWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDITALQQQIQQLKGQLSFLMKHHNISWSSSSGVPSTEEPRFNKLPEEYDDSREDKMPTDNLKLPSIRNKKMKCMDTILVGALRREKMADSAVQKLVAEIEDMNRLVCQSEEDAGHAKMMLRFREEKIKRLELLTDGMLSAEKYLMEENKALLEEIQLLQARFESNPELTRYSVENCRLLEQLKLYQKFYEHGERETLLAEVSELRNQLLDILQGKLPFSTENENQVGKHFLNSDTIKELEDCRNMNSKLIREVDELQLELQKYMNSSQAASGSVRDSFSKDTEEFRQSDKYSMVETLSMGSDSGDETASYSQEECCRGMYISSNNDKIEIQSEVKHERCYLKSGDLHKENKCIMEISEDVERKALQAKLDKMVKDLEEVRLLNSHFQEDRLLQLSHQKQTEIVCEQVEMETANTILHLQEEVAALQFELDERLHCMIQENKVLKNTIAAKEDEIRSLSVEWEKATFELTRFLLDGSRSLKNASSQIESIACSFPQANVCISEDVQRAAKVCMEKEETIELLQKSLEDAQKMVTEMGQKLSSLKGAAIALSELQHLDNDETKEEISFCMRLDEQTNMVEMLERKLIFKEIQVKEAENCANAAFLVIKWLTDQKATDKTERNIPISILGTPAGMASQKSSDTKVNALGQEDVITELELARLGILESENAIEAFYADTEMHIVALETNISEVSDEYKELVQNLVSELREMRKKYMELREHSKVSQFCTVESLSLEAHKYLKSKDIYHMILEIKNELTVANGRLKITEDFIYTKANVYDCPSADKSLEDEDEWSTDSTTSSCDSSTESFASGNKLWALEGQTGDLKVKEGSVLQSADQDPEESKWVLKTFTDSKGATFCLKKELEMALDAFNKLYVRLATLISELDIGGCSQPAGLKQLVPLFESGTESSYGCHATKKVVSDQKSDFASSFLTKFEEAHATVKEADVMLNALMEANENAKELTGLWKQTGEELMLEKASFIEEVEHLKNSVRLKERENELLQDQSRYNLVEIAKSLSLLEECFMQLKSEVEDRFKVLYADTFSMGREIHCFISKSRSLLEEICAETLEKQFAIFVLHQCLTGELIHKIPCFNVGSGFRSSQQQEGLSITNKQQKMWSSCEDDIALTSNISKDDNDQSGVTNLKAGELSLSRDSLMHENLSLKEELQRKDALLEGLHFDFRMLQESASNTMDIKDETEKLIKSLSQIQNELKIKTCQLDDMLFQHKKLEDHLTDTERALLLSNSNLEQAKDTINTLSEQNFELKVLLNDLYLKNSEANEQLEEQKEVVKGLEKEILHLTSSMETKLLCQVEGIGDELRRVISERDGLLEEVASLNDKLEMAYAISDEHEAISIEARQESEASKMYAEQKEEEVKILERSVEELECTINVLEKKVYEMNDEVERHRLIRDALELELQALRHRLLTVENFSENVDSENMNSEQAENLISRQLQSRLLELHEAHNKIKLLEEERAEQDKEIKQCKEYISELVLHAEAQTSQYQQKYKTLEAMVCEVKADKTDSASTAAALEKSERSSIRTRGSSSPFRCISSLVQQMNTEKDQELSIARHRIEELEALAASRQKEVCLLNTRVAAAESMTHDVIRDLLGVKLDMTNYANLIEQYQVQKLVEEAHQQTEEFQEKEQEILNLRKQITDLMGERQSCISEINKKEGDIAAAQMTLQQLQDRDQLLSAQNEMLKVDKTNLKRRVAELDEMVKTILGTPTIHQPIQHPHTSKPKNNSSLKLHEIDFTKRLEQSEKHFSRVNGELAQYFKSAGGGGGHPRDKRVSR >ONI27874 pep chromosome:Prunus_persica_NCBIv2:G1:8695539:8706644:-1 gene:PRUPE_1G108800 transcript:ONI27874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESSTVRFPARNVSRSSQSEPNENEFEASSNPIHFPPPRTPLNSIADPAQLQKELHELDFDSQPKFEAIRSGRYSLSDRKLEAPDRAGNGGLSYGTPRVSGRGGKAHSEPNSAQSTPARNGSRASIGGAICTGSKAPQYNGGRAGSCSRISREISVVNSEVLTQVPHFELAEDSSFWTDHNVQVLIRIRPLSNIERASQGHGGCLKQESAKTLVWHGHPETRFTFDHIACETISQEKLFKVAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIYEVEGQLNEDCGITPRIFEYLFKRIRVEEESRTEEQLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVHVENLTEYNVRDVNDVVKLLLQGASNRKMAATHMNSESSRSHSVFTCIIESRWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDITALQQQIQQLKGQLSFLMKHHNISWSSSSGVPSTEEPRFNKLPEEYDDSREDKMPTDNLKLPSIRNKKMKCMDTILVGALRREKMADSAVQKLVAEIEDMNRLVCQSEEDAGHAKMMLRFREEKIKRLELLTDGMLSAEKYLMEENKALLEEIQLLQARFESNPELTRYSVENCRLLEQLKLYQKFYEHGERETLLAEVSELRNQLLDILQGKLPFSTENENQVGKHFLNSDTIKELEDCRNMNSKLIREVDELQLELQKYMNSSQAASGSVRDSFSKDTEEFRQSDKYSMVETLSMGSDSGDETASYSQEECCRGMYISSNNDKIEIQSEVKHERCYLKSGDLHKENKCIMEISEDVERKALQAKLDKMVKDLEEVRLLNSHFQEDRLLQLSHQKQTEIVCEQVEMETANTILHLQEEVAALQFELDERLHCMIQENKVLKNTIAAKEDEIRSLSVEWEKATFELTRFLLDGSRSLKNASSQIESIACSFPQANVCISEDVQRAAKVCMEKEETIELLQKSLEDAQKMVTEMGQKLSSLKGAAIALSELQHLDNDETKEEISFCMRLDEQTNMVEMLERKLIFKEIQVKEAENCANAAFLVIKWLTDQKATDKTERNIPISILGTPAGMASQKSSDTKVNALGQEDVITELELARLGILESENAIEAFYADTEMHIVALETNISEVSDEYKELVQNLVSELREMRKKYMELREHSKVSQFCTVESLSLEAHKYLKSKDIYHMILEIKNELTVANGRLKITEDFIYTKANVYDCPSADKSLEDEDEWSTDSTTSSCDSSTESFASGNKLWALEGQTGDLKVKEGSVLQSADQDPEESKWVLKTFTDSKGATFCLKKELEMALDAFNKLYVRLATLISELDIGGCSQPAGLKQLVPLFESGTESSYGCHATKKVVSDQKSDFASSFLTKFEEAHATVKEADVMLNALMEANENAKELTGLWKQTGEELMLEKASFIEEVEHLKNSVRLKERENELLQDQSRYNLVEIAKSLSLLEECFMQLKSEVEDRFKVLYADTFSMGREIHCFISKSRSLLEEICAETLEKQFAIFVLHQCLTGELIHKIPCFNVGSGFRSSQQQEGLSITNKQQKMWSSCEDDIALTSNISKDDNDQSGVTNLKAGELSLSRDSLMHENLSLKEELQRKDALLEGLHFDFRMLQESASNTMDIKDETEKLIKSLSQIQNELKIKTCQLDDMLFQHKKLEDHLTDTERALLLSNSNLEQAKDTINTLSEQNFELKVLLNDLYLKNSEANEQLEEQKEVVKGLEKEILHLTSSMETKLLCQVEGIGDELRRVISERDGLLEEVASLNDKLEMAYAISDEHEAISIEARQESEASKMYAEQKEEEVKILERSVEELECTINVLEKKVYEMNDEVERHRLIRDALELELQALRHRLLTVENFSENVDSENMNSEQAENLISRQLQSRLLELHEAHNKIKLLEEERAEQDKEIKQCKEYISELVLHAEAQTSQYQQKYKTLEAMVCEVKADKTDSASTAAALEKSERSSIRTRGSSSPFRCISSLVQQMNTEKDQELSIARHRIEELEALAASRQKEVKCPFCLVSVLECFCRNNC >ONI27870 pep chromosome:Prunus_persica_NCBIv2:G1:8694864:8706410:-1 gene:PRUPE_1G108800 transcript:ONI27870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESSTVRFPARNVSRSSQSEPNENEFEASSNPIHFPPPRTPLNSIADPAQLQKELHELDFDSQPKFEAIRSGRYSLSDRKLEAPDRAGNGGLSYGTPRVSGRGGKAHSEPNSAQSTPARNGSRASIGGAICTGSKAPQYNGGRAGSCSRISREISVVNSEVLTQVPHFELAEDSSFWTDHNVQVLIRIRPLSNIERASQGHGGCLKQESAKTLVWHGHPETRFTFDHIACETISQEKLFKVAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMMGEIYEVEGQLNEDCGITPRIFEYLFKRIRVEEESRTEEQLKYSCKCSFLEIYNEQITDLLEPSSTNLQLREDLKKGVHVENLTEYNVRDVNDVVKLLLQGASNRKMAATHMNSESSRSHSVFTCIIESRWEKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICSANETLSTLKFAQRAKLIQNNAKVNEDASGDITALQQQIQQLKGQLSFLMKHHNISWSSSSGVPSTEEPRFNKLPEEYDDSREDKMPTDNLKLPSIRNKKMKCMDTILVGALRREKMADSAVQKLVAEIEDMNRLVCQSEEDAGHAKMMLRFREEKIKRLELLTDGMLSAEKYLMEENKALLEEIQLLQARFESNPELTRYSVENCRLLEQLKLYQKFYEHGERETLLAEVSELRNQLLDILQGKLPFSTENENQVGKHFLNSDTIKELEDCRNMNSKLIREVDELQLELQKYMNSSQAASGSVRDSFSKDTEEFRQSDKYSMVETLSMGSDSGDETASYSQEECCRGMYISSNNDKIEIQSEVKHERCYLKSGDLHKENKCIMEISEDVERKALQAKLDKMVKDLEEVRLLNSHFQEDRLLQLSHQKQTEIVCEQVEMETANTILHLQEEVAALQFELDERLHCMIQENKVLKNTIAAKEDEIRSLSVEWEKATFELTRFLLDGSRSLKNASSQIESIACSFPQANVCISEDVQRAAKVCMEKEETIELLQKSLEDAQKMVTEMGQKLSSLKGAAIALSELQHLDNDETKEEISFCMRLDEQTNMVEMLERKLIFKEIQVKEAENCANAAFLVIKWLTDQKATDKTERNIPISILGTPAGMASQKSSDTKVNALGQEDVITELELARLGILESENAIEAFYADTEMHIVALETNISEVSDEYKELVQNLVSELREMRKKYMELREHSKVSQFCTVESLSLEAHKYLKSKDIYHMILEIKNELTVANGRLKITEDFIYTKANVYDCPSADKSLEDEDEWSTDSTTSSCDSSTESFASGNKLWALEGQTGDLKVKEGSVLQSADQDPEESKWVLKTFTDSKGATFCLKKELEMALDAFNKLYVRLATLISELDIGGCSQPAGLKQLVPLFESGTESSYGCHATKKVVSDQKSDFASSFLTKFEEAHATVKEADVMLNALMEANENAKELTGLWKQTGEELMLEKASFIEEVEHLKNSVRLKERENELLQDQSRYNLVEIAKSLSLLEECFMQLKSEVEDRFKVLYADTFSMGREIHCFISKSRSLLEEICAETLEKQFAIFVLHQCLTGELIHKIPCFNVGSGFRSSQQQEGLSITNKQQKMWSSCEDDIALTSNISKDDNDQSGVTNLKAGELSLSRDSLMHENLSLKEELQRKDALLEGLHFDFRMLQESASNTMDIKDETEKLIKSLSQIQNELKIKTCQLDDMLFQHKKLEDHLTDTERALLLSNSNLEQAKDTINTLSEQNFELKVLLNDLYLKNSEANEQLEEQKEVVKGLEKEILHLTSSMETKLLCQVEGIGDELRRVISERDGLLEEVASLNDKLEMAYAISDEHEAISIEARQESEASKMYAEQKEEEVKILERSVEELECTINVLEKKVYEMNDEVERHRLIRDALELELQALRHRLLTVENFSENVDSENMNSEQAENLISRQLQSRLLELHEAHNKIKLLEEERAEQDKEIKQCKEYISELVLHAEAQTSQYQQKYKTLEAMVCEVKADKTDSASTAAALEKSERSSIRTRGSSSPFRCISSLVQQMNTEKDQELSIARHRIEELEALAASRQKEVCLLNTRVAAAESMTHDVIRDLLGVKLDMTNYANLIEQYQVQKLVEEAHQQTEEFQEKEQEILNLRKQITDLMGERQSCISEINKKEGDIAAAQMTLQQLQDRDQLLSAQNEMLKVDKTNLKRRVAELDEMVKTILGTPTIHQPIQHPHTSKPKNNSSLKLHEIDFTKRLEQSEKHFSRVNGELAQYFKSAGGGGGHPRDKRVSR >ONI28900 pep chromosome:Prunus_persica_NCBIv2:G1:13624273:13634866:-1 gene:PRUPE_1G167500 transcript:ONI28900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVSIVSVSASDWVNQMATTIFLTPNSILRNSFDCGHALQFLCSNHKFRSRQIFLRKCYGSRGGFSLNRGFRLFCQSKTEEMQIRRYSPFLESVLLDANDASVSNEWRAVPDIWRSSAEKYGDRVALVDPYHDPPSNLTYKQLEQEILDFSEGLRVVGVKPEEKIALFADNSCRWLVADQGIMATGAINVARGSRSSVEELSQIYNHSDSVALAVDSPELLNRFSEEFYSKPIKFIVLLWGEKSSLVSEGKVPIFNYKEILDLGRDSRKIMLDSDDKQQYCLHKPIKPDDVAALVYTSGTSGNPKGVILTHRNLMHQIKNLSDIVPAVGGDKFLSMLPPWHCYERACEYFAFTCGIEQFYTNVRKLKDDLRQYQPNYVISVPLVYETLYSGIQKQISSSSTVLKFVALTFIRISLAYMEFKRIYEGTYLTRNQKQPPYLVSISDWLWARVIAALLWPLHVLGKKLVYRNIHSALGISKAGISGGGFLPSHVDKFFEAIDVKLLNGYGLTETSPVVAARRPNCNVVGSVGHPIRHIEFKVVDSETSEVLPPGLSGILKVRGPPVMKGYYKNPGATKHVLDDDGWLNTGDIGWIAPHHSTGRSRHCGGVVVLEGRAKDTIVLSTGENIEPAELEEAALRSSLIQQIVVIGQDQRRLGAIIVPNKEEALLAAKKLSLVDIDASDLSNDRMTNLLYEELRKWTSGRSFQIGPILIADEPFTIDSGLMTPTMKIRRDRIVAQYKDQIDNLFK >ONI28899 pep chromosome:Prunus_persica_NCBIv2:G1:13624515:13634418:-1 gene:PRUPE_1G167500 transcript:ONI28899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVSIVSVSASDWVNQMATTIFLTPNSILRNSFDCGHALQFLCSNHKFRSRQIFLRKCYGSRGGFSLNRGFRLFCQSKTEEMQIRRYSPFLESVLLDANDASVSNEWRAVPDIWRSSAEKYGDRVALVDPYHDPPSNLTYKQLEQEILDFSEGLRVVGVKPEEKIALFADNSCRWLVADQGIMATGAINVARGSRSSVEELSQIYNHSDSVALAVDSPELLNRFSEEFYSKPIKFIVLLWGEKSSLVSEGKVPIFNYKEILDLGRDSRKIMLDSDDKQQYCLHKPIKPDDVAALVYTSGTSGNPKGVILTHRNLMHQIKNLSDIVPAVGGDKFLSMLPPWHCYERACEYFAFTCGIEQFYTNVRKLKDDLRQYQPNYVISVPLVYETLYSGIQKQISSSSTVLKFVALTFIRISLAYMEFKRIYEGTYLTRNQKQPPYLVSISDWLWARVIAALLWPLHVLGKKLVYRNIHSALGISKAGISGGGFLPSHVDKFFEAIDVKLLNGYGLTETSPVVAARRPNCNVVGSVGHPIRHIEFKVVDSETSEVLPPGLSGILKVRGPPVMKGYYKNPGATKHVLDDDGWLNTGDIGWIAPHHSTGRSRHCGGVVVLEGRAKDTIVLSTGENIEPAELEEAALRSSLIQQIVVIGQDQRRLGAIIVPNKEEALLAAKKLSLVDIDASDLSNDRMTNLLYEELRKWTSGRSFQIGPILIADEPFTIDSGLMTPTMKIRRDRIVAQYKDQIDNLFK >ONI28895 pep chromosome:Prunus_persica_NCBIv2:G1:13624273:13634866:-1 gene:PRUPE_1G167500 transcript:ONI28895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVSIVSVSASDWVNQMATTIFLTPNSILRNSFDCGHALQFLCSNHKFRSRQIFLRKCYGSRGGFSLNRGFRLFCQSKTEEMQIRRYSPFLESVLLDANDASVSNEWRAVPDIWRSSAEKYGDRVALVDPYHDPPSNLTYKQLEQEILDFSEGLRVVGVKPEEKIALFADNSCRWLVADQGIMATGAINVARGSRSSVEELSQIYNHSDSVALAVDSPELLNRFSEEFYSKPIKFIVLLWGEKSSLVSEGKVPIFNYKEILDLGRDSRKIMLDSDDKQQYCLHKPIKPDDVAALVYTSGTSGNPKGVILTHRNLMHQIKNLSDIVPAVGGDKFLSMLPPWHCYERACEYFAFTCGIEQFYTNDDLRQYQPNYVISVPLVYETLYSGIQKQISSSSTVLKFVALTFIRISLAYMEFKRIYEGTYLTRNQKQPPYLVSISDWLWARVIAALLWPLHVLGKKLVYRNIHSALGISKAGISGGGFLPSHVDKFFEAIDVKLLNGYGLTETSPVVAARRPNCNVVGSVGHPIRHIEFKVVDSETSEVLPPGLSGILKVRGPPVMKGYYKNPGATKHVLDDDGWLNTGDIGWIAPHHSTGRSRHCGGVVVLEGRAKDTIVLSTGENIEPAELEEAALRSSLIQQIVVIGQDQRRLGAIIVPNKEEALLAAKKLSLVDIDASDLSNDRMTNLLYEELRKWTSGRSFQIGPILIADEPFTIDSGLMTPTMKIRRDRIVAQYKDQIDNLFK >ONI28898 pep chromosome:Prunus_persica_NCBIv2:G1:13624273:13634868:-1 gene:PRUPE_1G167500 transcript:ONI28898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVSIVSVSASDWVNQMATTIFLTPNSILRNSFDCGHALQFLCSNHKFRSRQIFLRKCYGSRGGFSLNRGFRLFCQSKTEEMQIRRYSPFLESVLLDANDASVSNEWRAVPDIWRSSAEKYGDRVALVDPYHDPPSNLTYKQLEQEILDFSEGLRVVGVKPEEKIALFADNSCRWLVADQGIMATGAINVARGSRSSVEELSQIYNHSDSVALAVDSPELLNRFSEEFYSKPIKFIVLLWGEKSSLVSEGKVPIFNYKEILDLGRDSRKIMLDSDDKQQYCLHKPIKPDDVAALVYTSGTSGNPKGVILTHRNLMHQIKNLSDIVPAVGGDKFLSMLPPWHCYERACEYFAFTCGIEQFYTNVRKLKDDLRQYQPNYVISVPLVYETLYSGIQKQISSSSTVLKFVALTFIRISLAYMEFKRIYEGTYLTRNQKQPPYLVSISDWLWARVIAALLWPLHVLGKKLVYRNIHSALGISKAGISGGGFLPSHVDKFFEAIDVKLLNGYGLTETSPVVAARRPNCNVVGSVGHPIRHIEFKVVDSETSEVLPPGLSGILKVRGPPVMKGYYKNPGATKHVLDDDGWLNTGDIGWIAPHHSTGRSRHCGGVVVLEGRAKDTIVLSTGENIEPAELEEAALRSSLIQQIVVIGQDQRRLGAIIVPNKEEALLAAKKLSLVDIDASDLSNDRMTNLLYEELRKWTSGRSFQIGPILIADEPFTIDSGLMTPTMKIRRDRIVAQYKDQIDNLFK >ONI28896 pep chromosome:Prunus_persica_NCBIv2:G1:13624273:13634912:-1 gene:PRUPE_1G167500 transcript:ONI28896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVSIVSVSASDWVNQMATTIFLTPNSILRNSFDCGHALQFLCSNHKFRSRQIFLRKCYGSRGGFSLNRGFRLFCQSKTEEMQIRRYSPFLESVLLDANDASVSNEWRAVPDIWRSSAEKYGDRVALVDPYHDPPSNLTYKQLEQEILDFSEGLRVVGVKPEEKIALFADNSCRWLVADQGIMATGAINVARGSRSSVEELSQIYNHSDSVALAVDSPELLNRFSEEFYSKPIKFIVLLWGEKSSLVSEGKVPIFNYKEILDLGRDSRKIMLDSDDKQQYCLHKPIKPDDVAALVYTSGTSGNPKGVILTHRNLMHQIKNLSDIVPAVGGDKFLSMLPPWHCYERACEYFAFTCGIEQFYTNVRKLKDDLRQYQPNYVISVPLVYETLYSGIQKQISSSSTVLKFVALTFIRISLAYMEFKRIYEGTYLTRNQKQPPYLVSISDWLWARVIAALLWPLHVLGKKLVYRNIHSALGISKAGISGGGFLPSHVDKFFEAIDVKLLNGYGLTETSPVVAARRPNCNVVGSVGHPIRHIEFKVVDSETSEVLPPGLSGILKVRGPPVMKGYYKNPGATKHVLDDDGWLNTGDIGWIAPHHSTGRSRHCGGVVVLEGRAKDTIVLSTGENIEPAELEEAALRSSLIQQIVVIGQDQRRLGAIIVPNKEEALLAAKKLSLVDIDASDLSNDRMTNLLYEELRKWTSGRSFQIGPILIADEPFTIDSGLMTPTMKIRRDRIVAQYKDQIDNLFK >ONI28894 pep chromosome:Prunus_persica_NCBIv2:G1:13624273:13634866:-1 gene:PRUPE_1G167500 transcript:ONI28894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVSIVSVSASDWVNQMATTIFLTPNSILRNSFDCGHALQFLCSNHKFRSRQIFLRKCYGSRGGFSLNRGFRLFCQSKTEEMQIRRYSPFLESVLLDANDASVSNEWRAVPDIWRSSAEKYGDRVALVDPYHDPPSNLTYKQLEQEILDFSEGLRVVGVKPEEKIALFADNSCRWLVADQGIMATGAINVARGSRSSVEELSQIYNHSDSVALAVDSPELLNRFSEEFYSKPIKFIVLLWGEKSSLVSEGKVPIFNYKEILDLGRDSRKIMLDSDDKQQYCLHKPIKPDDVAALVYTSGTSGNPKGVILTHRNLMHQIKNLSDIVPAVGGDKFLSMLPPWHCYERACEYFAFTCGIEQFYTNDDLRQYQPNYVISVPLVYETLYSGIQKQISSSSTVLKFVALTFIRISLAYMEFKRIYEGTYLTRNQKQPPYLVSISDWLWARVIAALLWPLHVLGKKLVYRNIHSALGISKAGISGGGFLPSHVDKFFEAIDVKLLNGYGLTETSPVVAARRPNCNVVGSVGHPIRHIEFKVVDSETSEVLPPGLSGILKVRGPPVMKGYYKNPGATKHVLDDDGWLNTGDIGWIAPHHSTGRSRHCGGVVVLEGRAKDTIVLSTGENIEPAELEEAALRSSLIQQIVVIGQDQRRLGAIIVPNKEEALLAAKKLSLVDIDASDLSNDRMTNLLYEELRKWTSGRSFQIGPILIADEPFTIDSGLMTPTMKIRRDRIVAQYKDQIDNLFK >ONI28897 pep chromosome:Prunus_persica_NCBIv2:G1:13624273:13634867:-1 gene:PRUPE_1G167500 transcript:ONI28897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVSIVSVSASDWVNQMATTIFLTPNSILRNSFDCGHALQFLCSNHKFRSRQIFLRKCYGSRGGFSLNRGFRLFCQSKTEEMQIRRYSPFLESVLLDANDASVSNEWRAVPDIWRSSAEKYGDRVALVDPYHDPPSNLTYKQLEQEILDFSEGLRVVGVKPEEKIALFADNSCRWLVADQGIMATGAINVARGSRSSVEELSQIYNHSDSVALAVDSPELLNRFSEEFYSKPIKFIVLLWGEKSSLVSEGKVPIFNYKEILDLGRDSRKIMLDSDDKQQYCLHKPIKPDDVAALVYTSGTSGNPKGVILTHRNLMHQIKNLSDIVPAVGGDKFLSMLPPWHCYERACEYFAFTCGIEQFYTNVRKLKDDLRQYQPNYVISVPLVYETLYSGIQKQISSSSTVLKFVALTFIRISLAYMEFKRIYEGTYLTRNQKQPPYLVSISDWLWARVIAALLWPLHVLGKKLVYRNIHSALGISKAGISGGGFLPSHVDKFFEAIDVKLLNGYGLTETSPVVAARRPNCNVVGSVGHPIRHIEFKVVDSETSEVLPPGLSGILKVRGPPVMKGYYKNPGATKHVLDDDGWLNTGDIGWIAPHHSTGRSRHCGGVVVLEGRAKDTIVLSTGENIEPAELEEAALRSSLIQQIVVIGQDQRRLGAIIVPNKEEALLAAKKLSLVDIDASDLSNDRMTNLLYEELRKWTSGRSFQIGPILIADEPFTIDSGLMTPTMKIRRDRIVAQYKDQIDNLFK >ONI28901 pep chromosome:Prunus_persica_NCBIv2:G1:13624273:13634866:-1 gene:PRUPE_1G167500 transcript:ONI28901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVSIVSVSASDWVNQMATTIFLTPNSILRNSFDCGHALQFLCSNHKFRSRQIFLRKCYGSRGGFSLNRGFRLFCQSKTEEMQIRRYSPFLESVLLDANDASVSNEWRAVPDIWRSSAEKYGDRVALVDPYHDPPSNLTYKQLEQEILDFSEGLRVVGVKPEEKIALFADNSCRWLVADQGIMATGAINVARGSRSSVEELSQIYNHSDSVALAVDSPELLNRFSEEFYSKPIKFIVLLWGEKSSLVSEGKVPIFNYKEILDLGRDSRKIMLDSDDKQQYCLHKPIKPDDVAALVYTSGTSGNPKGVILTHRNLMHQIKNLSDIVPAVGGDKFLSMLPPWHCYERACEYFAFTCGIEQFYTNVRKLKDDLRQYQPNYVISVPLVYETLYSGIQKQISSSSTVLKFVALTFIRISLAYMEFKRIYEGTYLTRNQKQPPYLVSISDWLWARVIAALLWPLHVLGKKLVYRNIHSALGISKAGISGGGFLPSHVDKFFEAIDVKLLNGYGLTETSPVVAARRPNCNVVGSVGHPIRHIEFKVVDSETSEVLPPGLSGILKVRGPPVMKGYYKNPGATKHVLDDDGWLNTGDIGWIAPHHSTGRSRHCGGVVVLEGRAKDTIVLSTGENIEPAELEEAALRSSLIQQIVVIGQDFRAFISNWTNLNCG >ONI30102 pep chromosome:Prunus_persica_NCBIv2:G1:24672917:24677929:-1 gene:PRUPE_1G231700 transcript:ONI30102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI30101 pep chromosome:Prunus_persica_NCBIv2:G1:24672917:24677929:-1 gene:PRUPE_1G231700 transcript:ONI30101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI30112 pep chromosome:Prunus_persica_NCBIv2:G1:24674035:24678264:-1 gene:PRUPE_1G231700 transcript:ONI30112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKHCNWSYPSRAFQALLITMVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAVSVTPNRVR >ONI30103 pep chromosome:Prunus_persica_NCBIv2:G1:24672507:24678806:-1 gene:PRUPE_1G231700 transcript:ONI30103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI30111 pep chromosome:Prunus_persica_NCBIv2:G1:24672507:24678745:-1 gene:PRUPE_1G231700 transcript:ONI30111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI30109 pep chromosome:Prunus_persica_NCBIv2:G1:24672507:24678734:-1 gene:PRUPE_1G231700 transcript:ONI30109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI30100 pep chromosome:Prunus_persica_NCBIv2:G1:24672507:24678761:-1 gene:PRUPE_1G231700 transcript:ONI30100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKHCNWSYPSRAFQALLITMVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI30105 pep chromosome:Prunus_persica_NCBIv2:G1:24672507:24678716:-1 gene:PRUPE_1G231700 transcript:ONI30105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI30104 pep chromosome:Prunus_persica_NCBIv2:G1:24672507:24678799:-1 gene:PRUPE_1G231700 transcript:ONI30104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI30106 pep chromosome:Prunus_persica_NCBIv2:G1:24672507:24678733:-1 gene:PRUPE_1G231700 transcript:ONI30106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI30110 pep chromosome:Prunus_persica_NCBIv2:G1:24672507:24678738:-1 gene:PRUPE_1G231700 transcript:ONI30110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI30108 pep chromosome:Prunus_persica_NCBIv2:G1:24672781:24678264:-1 gene:PRUPE_1G231700 transcript:ONI30108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI30107 pep chromosome:Prunus_persica_NCBIv2:G1:24672507:24678741:-1 gene:PRUPE_1G231700 transcript:ONI30107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGIRTKSRKSTAVQVDYLIHVQEIKPWPSSKALRSVQSVLLQWENGDQVSGSFTCNVGDGKIEFGESFTLPVTLYREKSRKSTVRDTYQKNNLEFYLYEPRKDKAVKGQLLASAVINLADYGIIIETRNVSTPLNWKKSFKSSAQPVLYVNVQPCVKPSSSLSPKGSLSREVSLENDGTESVPESMNDGNDEIASFTDDDEDDDDGVSSHSSHTVTSSAFEKTVSSLPSSSEKNESESTTDSTRRLYGEPAVESIAASASTGATPVAKAFKNQNGSSSPSSSIGSSSILLNPANDPASLPNVPRESSMPTLKKSLTSSVQSSSSSFGHQENHQKSGNHNIKDNRIHKTLSNSSARMHENSQVGNIVSNHATEGASSSTPIQEDTDSVFASNADSQANREDGHLLKVKEYSFDDKLASRFSQDATRKQVRLKSETFTISRNTVGVQGSKVKSNELKHVKSLQLPFVSAQNNRLPSNNEFVEKSKEADIPEDIHVCGMISGTSEREETTTRFSDSKVDLESTIELLKEELREAAAVEVGLYSVAAEHGSSANKIHAPARRLSRFYFNACKTSSQAKKGNAARAAITGLILVSKACGNDVPRLTFWLSNSIVLRGIISQSLGKPQISARPRTKINAGGLLSAKNGFPLHKEENDRTLESFDTWEDPQIFMAALEKFEGWIFSRIVESVWWQNMTPYMQSAAAKGSSSRKTYGRKYGLGGHEQGNFSMELWKKAFKDACERLCPARAGGHECGCLPLLARLVMERLVDRLDVAMFNAILRENAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGSWSRWLTDLFGIDDSDAPDDDTELSDQKRLNCDTSFKAFRLLNALSDLMMLPFDMLADKSTRKEVCPTFGAPLIKRVLYNFVSDEFCPDPIPEAVFEALDYEENLEAEIESASSFPCAANPTVYSPPPAASIIGIIGEVGSPTLLRSGSSVVKKSYTSDDELDELDSPMTAIIIDNSPVSPGSLTANSVLKSKGGRKVVRYQLLREVWKDSE >ONI28812 pep chromosome:Prunus_persica_NCBIv2:G1:13089274:13090882:-1 gene:PRUPE_1G162900 transcript:ONI28812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCWSLNWLRWLIKFTELDNRSCLACQSFFKLFLSNGAGSQRFCSEVHIGHAGHEIRTCTGPNSGFRSASHVWKKGGVQDVVSFPKCFHLYDRVGKPRVGHDERYRIPRIPAILELCIQAGLEIDEYPTKRRTRPVYCIEGRIVDFEMVNKMDEMDRNSYPGIVDTLVDPDVGTNMEELRNSASPSHTNCVDKSSDKVGKSLTELSSTTMGSWFEMISGAKNIMEKYSVKTCGYCLEVQVGPKGHKVRMCKASKHQSRNGLHAWQEATIEDFVGLNYVWHVRDVNCPALDNNLKRYYGKAPAVVELCVQAGAPVPDEYRSMMRLDVASPERDEVDLVA >ONI28808 pep chromosome:Prunus_persica_NCBIv2:G1:13089054:13092432:-1 gene:PRUPE_1G162900 transcript:ONI28808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKHLAKAFARKIVILAGPESPHSMQRRIIWKTYNFTRFYCQLDQKACFESERTLTLVGDSDDPLYADVPRPPRKMSERKPYPTPMKVLIQRAKEEKEARKAQPCRMLEEPPDNGLLVPELVEVAHQVYRARQSVLFGLSKLLQVVPVQRCRFCSEVHIGHAGHEIRTCTGPNSGFRSASHVWKKGGVQDVVSFPKCFHLYDRVGKPRVGHDERYRIPRIPAILELCIQAGLEIDEYPTKRRTRPVYCIEGRIVDFEMVNKMDEMDRNSYPGIVDTLVDPDVGTNMEELRNSASPSHTNCVDKSSDKVGKSLTELSSTTMGSWFEMISGAKNIMEKYSVKTCGYCLEVQVGPKGHKVRMCKASKHQSRNGLHAWQEATIEDFVGLNYVWHVRDVNCPALDNNLKRYYGKAPAVVELCVQAGAPVPDEYRSMMRLDVASPERDEVDLVA >ONI28810 pep chromosome:Prunus_persica_NCBIv2:G1:13089054:13092432:-1 gene:PRUPE_1G162900 transcript:ONI28810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRIIWKTYNFTRFYCQLDQKACFESERTLTLVGDSDDPLYADVPRPPRKMSERKPYPTPMKVLIQRAKEEKEARKAQPCRMLEEPPDNGLLVPELVEVAHQVYRARQSVLFGLSKLLQVVPVQRCRFCSEVHIGHAGHEIRTCTGPNSGFRSASHVWKKGGVQDVVSFPKCFHLYDRVGKPRVGHDERYRIPRIPAILELCIQAGLEIDEYPTKRRTRPVYCIEGRIVDFEMVNKMDEMDRNSYPGIVDTLVDPDVGTNMEELRNSASPSHTNCVDKSSDKVGKSLTELSSTTMGSWFEMISGAKNIMEKYSVKTCGYCLEVQVGPKGHKVRMCKASKHQSRNGLHAWQEATIEDFVGLNYVWHVRDVNCPALDNNLKRYYGKAPAVVELCVQAGAPVPDEYRSMMRLDVASPERDEVDLVA >ONI28809 pep chromosome:Prunus_persica_NCBIv2:G1:13089054:13092504:-1 gene:PRUPE_1G162900 transcript:ONI28809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRIIWKTYNFTRFYCQLDQKACFESERTLTLVGDSDDPLYADVPRPPRKMSERKPYPTPMKVLIQRAKEEKEARKAQPCRMLEEPPDNGLLVPELVEVAHQVYRARQSVLFGLSKLLQVVPVQRCRFCSEVHIGHAGHEIRTCTGPNSGFRSASHVWKKGGVQDVVSFPKCFHLYDRVGKPRVGHDERYRIPRIPAILELCIQAGLEIDEYPTKRRTRPVYCIEGRIVDFEMVNKMDEMDRNSYPGIVDTLVDPDVGTNMEELRNSASPSHTNCVDKSSDKVGKSLTELSSTTMGSWFEMISGAKNIMEKYSVKTCGYCLEVQVGPKGHKVRMCKASKHQSRNGLHAWQEATIEDFVGLNYVWHVRDVNCPALDNNLKRYYGKAPAVVELCVQAGAPVPDEYRSMMRLDVASPERDEVDLVA >ONI28811 pep chromosome:Prunus_persica_NCBIv2:G1:13089274:13090882:-1 gene:PRUPE_1G162900 transcript:ONI28811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCWSLNWLRWLIKFTELDNRSCLACQSFFKLFLSNGAGSQRFCSEVHIGHAGHEIRTCTGPNSGFRSASHVWKKGGVQDVVSFPKCFHLYDRVGKPRVGHDERYRIPRIPAILELCIQAGLEIDEYPTKRRTRPVYCIEGRIVDFEMVNKMDEMDRNSYPGIVDTLVDPDVGTNMEELRNSASPSHTNCVDKSSDKVGKSLTELSSTTMGSWFEMISGAKNIMEKYSVKTCGYCLEVQVGPKGHKVRMCKASKHQSRNGLHAWQEATIEDFVGLNYVWHVRDVNCPALDNNLKRYYGKAPAVVELCVQAGAPVPDEYRSMMRLDVASPERDEVDLVA >ONI30596 pep chromosome:Prunus_persica_NCBIv2:G1:27003181:27004491:-1 gene:PRUPE_1G260900 transcript:ONI30596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVPYPLPCHTSTEESHEDHSNISNHLLQSEASTSSSSSLSSQPSLPSVPSLTTSISNQLQLVLEAPTTHHLCIATLKTQTSSSYVCSLALSGNFLYSGLSNGQIIAWSRLRPSSPNKVVATTNSTVKSLAVVGELLFSAHQDHKIRVWKIDINLIKNPHDHQKHFKCIATLPTLKDRVPRFFSPKDYVQIRRHKKCTWVHHVDTVSALAISNDGSFLYSASWDRTFKIWRTSDFRCLESVSNAHDDAINAIASSRDGEFVYTCSADKKIKVWKKVNKSVVLDATLERHKSAVNALAFSSDGSVLYSGACDRSILVWERDRGVEGGGMVVVGALRGHSKAILCLAVVADLVFSGSADCSVRVWRRSGRLVDDDHNRSIGYSCLAVLEGHRKPVKCLTAACNNRSEDSDDSGDSFLVYSGSLDCDIKLWQIHVPFF >ONI26584 pep chromosome:Prunus_persica_NCBIv2:G1:2282973:2284827:1 gene:PRUPE_1G032800 transcript:ONI26584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRNPPVLLFILSVLSLSIPWTTAGTVSIDRFLQCLTKYPHPAHTIQESIYTPQNSSFQSVLVAHINNRRYSTAATPKPLAIIAAKNESHVQATVLCAKHHGLQIKIRSGGHDFEGLSYTSDVPFVILDMFNINSIDVNVADKSAWVHSGATLGEVYYAIGTKTNVYGFPAGICPTVGAGGHFSGGGYGFLMRKYGLSVDNIVDARLVTANGRILDRKSMGEDLFWAIRGGGGASFGVILSWKLKLVPVPPKVTVFNLTKTIEEGVTDLVYKWQTLAPQLPKDVFLRAQPQVKNIDTKGNKTVGVSFIGHFLGTSDKVVALLNESFPELGLQRKDCYEVSWVESTVFWAESPIGTPIEILLSKPTEPETFYKGKSDYVKEPIPKHVFDSIWKKMIEIEHIWLDWNPYGGRMSEISESATPYPHRAGNLFFALYYSSWYDEGIEATNKYVRLTRELYDMMTPYVSKNPREAFQNYRDLDIGANQDNKTTFETATLYGRKYFKGNFDRLVRVKTMVDPHNFFKHKQSIPPL >ONI35420 pep chromosome:Prunus_persica_NCBIv2:G1:43733722:43740713:-1 gene:PRUPE_1G535100 transcript:ONI35420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETQSTNSHHEFPETPIPCSVLGPEKHPKQLDYGDDNDDDDSTLSALCVPGSRLVRTGFVHSTCSDNLVLFVNAGGEALNENRNIGIQVEPDRFFQGGNVLRTDETIDGSDVPSIYQSARFGNFSYRFENLSPGDYFVDLHFAEIVYTNGPKGMRVFDVLMQEEKVLSGIDIYSIVGANKALQLVDVRVSVWEDESISIRFVGVNGSPIVGGICIKRAATLPASKVEHGRLVCNNCTAEIEISSAQEKYIRLEYTAKYEKKIEELGTQCQVKTDESHEAWMSLTSANKQLQNVTMQLDNKMYESHCLDQAREETAAKLRDISGKYEHDKRLWTAVADNLERKVKSIKKEYSQLYSEVHEFTDAIPELNNMVSAVQAMVAQSDDLKLKFSEEQAKRKILYNQIQEAKGNIRVFCRCRPLRKEDLLAGQATVVQFDVAKDGELGILTSGSTKKIFRFDRIYTPKDDQVDVFADASPMIISVLDGYNVCILAYGQTGTGKTFTMEGSDTNRGVNYRTLEQLFKIADERKETFIYNISVSVLEVYNEQIRDLLATSPTSKRLEMRQAPEGVHHIPGIVEAKVEGIKEAWNVLQAGSSARAVGSNNVNEHSSRSHCILCIMVKAKNLISGECTMSKLWLVDLAGSERLAKTEVQGERLKEAQNINRSLSALGDVISALATKRSHIPYRNSKLTHLLHDSLGGDAKTLMFVQISPSEQDLSETLSSLNFATRVRGVELGPARKQIDMGEVQKLRQMLDKLKQELRFKDDALQKLEENFQNLEDKAKEECQLSRSQQERINELEDHIAVKIDLCRRLENQLLKLSEEANGKSETCLNLQKKVKELEDKLNEQEHVDSMILQNKVKELENKLKERTQVYEHHSAIVQGKVQELEKKLIMEENNKGTQLLQQKVKELEEKLRRNEQGHVISTFSAEKSGATPKERKTWFRCDTISDIDPLRLRSLSYSNQMTNQESVLLKGTNSLRALRRRRELQSKGNENLMFPATLVDRKMLSAESRTEMSGDQKALARITRSIKPSTSAQKAGPNNKNYKDQVPAVKQSRIWLR >ONI27252 pep chromosome:Prunus_persica_NCBIv2:G1:5492103:5498085:-1 gene:PRUPE_1G076400 transcript:ONI27252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPIESTLPESSSASSPYVPERSEAENRRFKDLRSVQWRINLGILPSSSSSSIDDLRRVTADSRRRYAGLRRRLLVDPHPKKDGSCSPDLSIDNPLSQNPDSTWGRFFRNAELEKMVDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLRHPECGYRQGMHELLAPLLYVLHFDVEHLSQVRNLYEDHFTDKFDGLSFHENDLTYNFEFKNSPDSMENENGAHGNAFKLKSLDELDPEIQTIVMLSDAYGAEGELGIILSEKFMEHDAYCMFHALMSGAHGSVSMAEFFSPSPAVGSHTSLPPVIEASASLYYLLSLVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLADLLIIWDEIFASDNSKLDKGSADDAASSFGILSTPRGAFISAMAVSMLLYLRSSLLASENATLCLQRLLNFPEKIDLKKLIQKAKSLQDLALKNNSSSLLFSYIGPYEHSKSMAVRGHSLSVDSFSPKTPLNLVPESYWEEKWRVLHREEELRQDGLEKQVPSQKKRWTEKVKLSLSRTESDPSPSKPENGKKNPRFSVRRRLLQDLSRELSSEEDGEKLGSHEDELSSEVEVNKEDGFSKDPTSATENRCLNENPASEENSSVFSDPTSPRSGANDHEPESEKSSVGSNLSVDENYDNSRDVSEDPPLLVSDPSKGVSQTSECNNHSMGNSVTGKERKLLSGKFPRFWKFGWNAPGEGTSEKGHNALEATKSSSCEGNQNTTSSSVAEGSCNYLVSSKEEAVDQNVMGTLRNLGHSMLEHIQVIESVFQQDRGVQVGPLENFSKNTLVGKGQVTAVTALKELRKISNLLSEM >ONI27251 pep chromosome:Prunus_persica_NCBIv2:G1:5492208:5498030:-1 gene:PRUPE_1G076400 transcript:ONI27251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPIESTLPESSSASSPYVPERSEAENRRFKDLRSVQWRINLGILPSSSSSSIDDLRRVTADSRRRYAGLRRRLLVDPHPKKDGSCSPDLSIDNPLSQNPDSTWGRFFRNAELEKMVDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLRHPECGYRQGMHELLAPLLYVLHFDVEHLSQVRNLYEDHFTDKFDGLSFHENDLTYNFEFKNSPDSMENENGAHGNAFKLKSLDELDPEIQTIVMLSDAYGAEGELGIILSEKFMEHDAYCMFHALMSGAHGSVSMAEFFSPSPAVGSHTSLPPVIEASASLYYLLSLVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLADLLIIWDEIFASDNSKLDKGSADDAASSFGILSTPRGAFISAMAVSMLLYLRSSLLASENATLCLQRLLNFPEKIDLKKLIQKAKSLQDLALKNNSSSLLFSYIGPYEHSKSMAVRGHSLSVDSFSPKTPLNLVPESYWEEKWRVLHREEELRQDGLEKQVPSQKKRWTEKVKLSLSRTESDPSPSKPENGKKNPRFSVRRRLLQDLSRELSSEEDGEKLGSHEDELSSEVEVNKEDGFSKDPTSATENRCLNENPASEENSSVFSDPTSPRSGANDHEPESEKSSVGSNLSVDENYDNSRDVSEDPPLLVSDPSKGVSQTSECNNHSMGNSVTGKERKLLSGKFPRFWKFGWNAPGEGTSEKGHNALEATKSSSCEGNQNTTSSSVAEGSCNYLVSSKEEAVDQNVMGTLRNLGHSMLEHIQVIESVFQQDRGVQVGPLENFSKNTLVGKGQVTAVTALKELRKISNLLSEM >ONI36184 pep chromosome:Prunus_persica_NCBIv2:G1:46759400:46767137:1 gene:PRUPE_1G573900 transcript:ONI36184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKYEYVKSYEVDDEVFLPNFIVVQINGRGFKRFSQVHEFEKPNDEVALNLMNSCAICVSEEFPDVVFLYGFSDEYSFVFKKTSKFYQRRASKVESIIVSFFTSVYVMKWREFFPHKELRYSPSFHARVISCASIEVLQSYLLWRQTNCHTHNLHNTCLWELIKCGKTESEALELLRGTSKEEKNDLLFGNFEINYQKLNPMFRQGSCILKTEVIDIVKHRENGSPVRRLRKKSSIVHSKNIAGKCFWNNHTGLLKELGSFTNDIGKVEPDYVRSFQFKKILMPSTWIVIRIDGCHFHRFSDVHEFVKPNDKQALNLMNACAVAVVKEFPDIVFSYGVSDEYSFVLKNDSHFYQRQASEIVSAIVSLFTSIYITKWKDFFHQKELKYPPYFDGRAVCYPSVEILRDYLAWRQVDCHINNQYNTCFWELVKSGKSKSEAQNFLKGTQTGDKEKLLKQFGIDYHKLPAMFRQGSSIFWDKEDITMMQEKEKPGGNSLKRVIVEHCNIIEPSFWAAHPTILYR >ONI32342 pep chromosome:Prunus_persica_NCBIv2:G1:33322891:33326679:-1 gene:PRUPE_1G362200 transcript:ONI32342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGDYTSAPYYQYPPLQNPNPNPIPNPTDPHQNPYASAPPFTTSDYSLYSQSYPPYSLNPDPAPPTAPSYTPPPISNPNLQTFNPTPQPPSFPPFETHAPYQPPPQQQSYHPPYDQNQSAPSYATLPPTIPANPNHNSNPSSPYSSAYSGPFSQPPSSVPPVYENPYESSVKFEHGGVGSSYLDDRYGSYNRTRSDLGSDLYGKRYDSGRDEAYGDGVYAYQGDKVEPYGARGTAPKSSGSSLFDDYGRSISFPSGKNSSVSSGKIVRAVPKADTQEDAKSGVLKFRVKLLAESGGQSTMDVLCQIGLDGIRMLDPATSRTLRIYPLETVTRCEVTDSSTFAFWSKSSVDIEPRRIRLQSNSYTTNTLLDTVTAATVQLKEMGGRIKPTESLKPSEQSAERKKGLTDWMNIIKPGNEEKDHWVPDEAVTKCTACGTDFGAFIRKHHCRNCGDIFCDKCTHGRIALTADENAPQVRVCDRCLGTRSVVQVFAPLCLDN >ONI32341 pep chromosome:Prunus_persica_NCBIv2:G1:33321447:33326525:-1 gene:PRUPE_1G362200 transcript:ONI32341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGDYTSAPYYQYPPLQNPNPNPIPNPTDPHQNPYASAPPFTTSDYSLYSQSYPPYSLNPDPAPPTAPSYTPPPISNPNLQTFNPTPQPPSFPPFETHAPYQPPPQQQSYHPPYDQNQSAPSYATLPPTIPANPNHNSNPSSPYSSAYSGPFSQPPSSVPPVYENPYESSVKFEHGGVGSSYLDDRYGSYNRTRSDLGSDLYGKRYDSGRDEAYGDGVYAYQGDKVEPYGARGTAPKSSGSSLFDDYGRSISFPSGKNSSVSSGKIVRAVPKADTQEDAKSGVLKFRVKLLAESGGQSTMDVLCQIGLDGIRMLDPATSRTLRIYPLETVTRCEVTDSSTFAFWSKSSVDIEPRRIRLQSNSYTTNTLLDTVTAATVQLKEMGGRIKPTESLKPSEQSAERKKGLTDWMNIIKPGNEEKDHWVPDEAVTKCTACGTDFGAFIRKHHCRNCGDIFCDKCTHGRIALTADENAPQVRVCDRCLGTRSVVQAEVTQRLSNAKEVSSKPAGLQSHEDLAKKLQEEMEKNRKESSGSKSDGSGRRMREVACPTCTVHLQVQVPSSGSETIECGVCQNPFLVSAH >ONI32340 pep chromosome:Prunus_persica_NCBIv2:G1:33321109:33326679:-1 gene:PRUPE_1G362200 transcript:ONI32340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGDYTSAPYYQYPPLQNPNPNPIPNPTDPHQNPYASAPPFTTSDYSLYSQSYPPYSLNPDPAPPTAPSYTPPPISNPNLQTFNPTPQPPSFPPFETHAPYQPPPQQQSYHPPYDQNQSAPSYATLPPTIPANPNHNSNPSSPYSSAYSGPFSQPPSSVPPVYENPYESSVKFEHGGVGSSYLDDRYGSYNRTRSDLGSDLYGKRYDSGRDEAYGDGVYAYQGDKVEPYGARGTAPKSSGSSLFDDYGRSISFPSGKNSSVSSGKIVRAVPKADTQEDAKSGVLKFRVKLLAESGGQSTMDVLCQIGLDGIRMLDPATSRTLRIYPLETVTRCEVTDSSTFAFWSKSSVDIEPRRIRLQSNSYTTNTLLDTVTAATVQLKEMGGRIKPTESLKPSEQSAERKKGLTDWMNIIKPGNEEKDHWVPDEAVTKCTACGTDFGAFIRKHHCRNCGDIFCDKCTHGRIALTADENAPQVRVCDRCLAEVTQRLSNAKEVSSKPAGLQSHEDLAKKLQEEMEKNRKESSGSKSDGSGRRMREVACPTCTVHLQVQVPSSGSETIECGVCQNPFLVSAH >ONI29145 pep chromosome:Prunus_persica_NCBIv2:G1:16178676:16182422:-1 gene:PRUPE_1G182900 transcript:ONI29145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29131 pep chromosome:Prunus_persica_NCBIv2:G1:16178667:16182531:-1 gene:PRUPE_1G182900 transcript:ONI29131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29132 pep chromosome:Prunus_persica_NCBIv2:G1:16178854:16179684:-1 gene:PRUPE_1G182900 transcript:ONI29132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29144 pep chromosome:Prunus_persica_NCBIv2:G1:16178854:16182941:-1 gene:PRUPE_1G182900 transcript:ONI29144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29140 pep chromosome:Prunus_persica_NCBIv2:G1:16178606:16182941:-1 gene:PRUPE_1G182900 transcript:ONI29140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29143 pep chromosome:Prunus_persica_NCBIv2:G1:16178854:16179684:-1 gene:PRUPE_1G182900 transcript:ONI29143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29134 pep chromosome:Prunus_persica_NCBIv2:G1:16178676:16182392:-1 gene:PRUPE_1G182900 transcript:ONI29134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29135 pep chromosome:Prunus_persica_NCBIv2:G1:16178660:16182422:-1 gene:PRUPE_1G182900 transcript:ONI29135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29137 pep chromosome:Prunus_persica_NCBIv2:G1:16178643:16182941:-1 gene:PRUPE_1G182900 transcript:ONI29137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29141 pep chromosome:Prunus_persica_NCBIv2:G1:16178607:16181494:-1 gene:PRUPE_1G182900 transcript:ONI29141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29138 pep chromosome:Prunus_persica_NCBIv2:G1:16178666:16182941:-1 gene:PRUPE_1G182900 transcript:ONI29138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29139 pep chromosome:Prunus_persica_NCBIv2:G1:16178854:16179684:-1 gene:PRUPE_1G182900 transcript:ONI29139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29142 pep chromosome:Prunus_persica_NCBIv2:G1:16178666:16182491:-1 gene:PRUPE_1G182900 transcript:ONI29142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29133 pep chromosome:Prunus_persica_NCBIv2:G1:16178676:16180869:-1 gene:PRUPE_1G182900 transcript:ONI29133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI29136 pep chromosome:Prunus_persica_NCBIv2:G1:16178660:16182491:-1 gene:PRUPE_1G182900 transcript:ONI29136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDGSNRYGATNEIIDLDGAADVGIFEPPNSDVNWSNAVQGQGGGRGESDVGISSCNNLVVDCSNGGQSNIYKNKITAKKGESDVGISSFNNLVVDCSNGGHGQGSREFEGICHNIDCNEITADRSKNVGCENFGNVNYNCKTTSTKDHGKAAGGSSSAQGQGGGGGKKPERDGLNIHRNNIAGDRVPKAYHNFSNFECNLKTTNTEDDGNTNESNISKNKITARYGSSYVGMFNFHNVYVNAGQGQGGCEGNESFFKHKGIGLMLPLDSSAFV >ONI36084 pep chromosome:Prunus_persica_NCBIv2:G1:46384077:46386843:1 gene:PRUPE_1G568900 transcript:ONI36084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWLTLFAMTMAMIFGVRFEYDAPHDPFDDLLAAYGMPVNIILESPINKAGRLQAVYVNSGSSNGYYEVKGHAKVPIGPKGFQQMWRELHQSGGVLQQGTEAVAWHENCGIPSLVEGLPANSRKIGVLSGKGRGTDLDTYILAAPSDQTLVFVVGEFANEGIENYIDEYVEVSGYSLAPSVCVGHICCALGKKWNIC >ONI36083 pep chromosome:Prunus_persica_NCBIv2:G1:46383820:46386843:1 gene:PRUPE_1G568900 transcript:ONI36083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPRNDDEPTAEVDSQMPPGVVFLLTGLELVEIADSHALEPEFEYDAPHDPFDDLLAAYGMPVNIILESPINKAGRLQAVYVNSGSSNGYYEVKGHAKVPIGPKGFQQMWRELHQSGGVLQQGTEAVAWHENCGIPSLVEGLPANSRKIGVLSGKGRGTDLDTYILAAPSDQTLVFVVGEFANEGIENYIDEYVEVSGYSLAPSVCVGHICCALGKKWNIC >ONI29089 pep chromosome:Prunus_persica_NCBIv2:G1:15693614:15705317:-1 gene:PRUPE_1G180500 transcript:ONI29089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPGLAVILQAALSPNPDERKAAEQSLNQFQYTPQHLVRLLQIIVDGNCDMAVRQVGSIHFKNFIAKNWSPLDPDEQQKISQSDKDVVRDHILVFVTQVPPLLRVQLGECLKTIIHADYPEQWPHLLDWVKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVEETFPPLLNIFSRLVQIPNPSLEVADLIKLICKIFWSSIYLEIPKQLFDANVFNAWMMLFLNILERPVPLEGQPSDPELRKAWGWWKVKKWTVHILNRLYTRFGDLKLQNPENRAFAQMFQKNYAGKILECHLNLLNVIRTGGYLPDRVINLVLQYLSNSISKNSMYNLLQPRLDVLLFEIVFPLMCFNDNDLKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLHKFIQFIVEIFKRYDEAPVEYKPYRQKDGALLAIGALCDRLKQTEPYKSELERMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHINFSDSNNFRKALHSVVAGMRDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDDADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMMEALSEWAIDFFSNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMIAAIMADKNMEDSDIEPAPKLIQVVFQNCRGQVDQWVEPYLRISVERLRRAEKSYLKCLLIQVIADALYYNAAFTLSILQKLGVATEIFNLWFQMLQQVKKSGVRANFKREHDKKVCCLGLTSLLTLTAEQLPGEALGRVFRATLDLLVAYKEQVAEAAKEEEAEDDDDMDGFQTDDDDDFGDGSDKEMGVDAEDGDEADSMKLQKLAAQAKSFRPSDEFDEDSDDDFSDDEELQSPIDEVDPFILFVDAVKGMQASDPLRFQSLTQTLDFHYQALANGVAQHAEQRRAEIEKEKMEKASAATAS >ONI29088 pep chromosome:Prunus_persica_NCBIv2:G1:15693588:15705329:-1 gene:PRUPE_1G180500 transcript:ONI29088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPGLAVILQAALSPNPDERKAAEQSLNQFQYTPQHLVRLLQIIVDGNCDMAVRQVGSIHFKNFIAKNWSPLDPDEQQKISQSDKDVVRDHILVFVTQVPPLLRVQLGECLKTIIHADYPEQWPHLLDWVKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVEETFPPLLNIFSRLVQIPNPSLEVADLIKLICKIFWSSIYLEIPKQLFDANVFNAWMMLFLNILERPVPLEGQPSDPELRKAWGWWKVKKWTVHILNRLYTRFGDLKLQNPENRAFAQMFQKNYAGKILECHLNLLNVIRTGGYLPDRVINLVLQYLSNSISKNSMYNLLQPRLDVLLFEIVFPLMCFNDNDLKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLHKFIQFIVEIFKRYDEAPVEYKPYRQKDGALLAIGALCDRLKQTEPYKSELERMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHINFSDSNNFRKALHSVVAGMRDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDDADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMMEALSEWAIDFFSNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMIAAIMADKNMEDSDIEPAPKLIQVVFQNCRGQVDQWVEPYLRISVERLRRAEKSYLKCLLIQVIADALYYNAAFTLSILQKLGVATEIFNLWFQMLQQVKKSGVRANFKREHDKKVCCLGLTSLLTLTAEQLPGEALGRVFRATLDLLVAYKEQVAEAAKEEEAEDDDDMDGFQTDDDDDFGDGSDKEMGVDAEDGDEADSMKLQKLAAQAKSFRPSDEFDEDSDDDFSDDEELQSPIDEVDPFILFVDAVKGMQASDPLRFQSLTQTLDFHYQALANGVAQHAEQRRAEIEKEKMEKASAATAS >ONI29087 pep chromosome:Prunus_persica_NCBIv2:G1:15693631:15705300:-1 gene:PRUPE_1G180500 transcript:ONI29087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPGLAVILQAALSPNPDERKAAEQSLNQFQYTPQHLVRLLQIIVDGNCDMAVRQVGSIHFKNFIAKNWSPLDPDEQQKISQSDKDVVRDHILVFVTQVPPLLRVQLGECLKTIIHADYPEQWPHLLDWVKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVEETFPPLLNIFSRLVQIPNPSLEVADLIKLICKIFWSSIYLEIPKQLFDANVFNAWMMLFLNILERPVPLEGQPSDPELRKAWGWWKVKKWTVHILNRLYTRFGDLKLQNPENRAFAQMFQKNYAGKILECHLNLLNVIRTGGYLPDRVINLVLQYLSNSISKNSMYNLLQPRLDVLLFEIVFPLMCFNDNDLKLWDEDPHEYVRKGYDLYSPRTASMDFVSELVRKRGKENLHKFIQFIVEIFKRYDEAPVEYKPYRQKDGALLAIGALCDRLKQTEPYKSELERMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHINFSDSNNFRKALHSVVAGMRDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDDADDPGALAAVGCLRAISTILESVSRLPHLFVQVEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMMEALSEWAIDFFSNILVPLDNYISRGTAHFLTCKEPDYQQSLWNMIAAIMADKNMEDSDIEPAPKLIQVVFQNCRGQVDQWVEPYLRISVERLRRAEKSYLKCLLIQVIADALYYNAAFTLSILQKLGVATEIFNLWFQMLQQVKKSGVRANFKREHDKKVCCLGLTSLLTLTAEQLPGEALGRVFRATLDLLVAYKEQVAEAAKEEEAEDDDDMDGFQTDDDDDFGDGSDKEMGVDAEDGDEADSMKLQKLAAQAKSFRPSDEFDEDSDDDFSDDEELQSPIDEVDPFILFVDAVKGMQASDPLRFQSLTQTLDFHYQALANGVAQHAEQRRAEIEKEKMEKASAATAS >ONI29689 pep chromosome:Prunus_persica_NCBIv2:G1:22277013:22285140:-1 gene:PRUPE_1G208800 transcript:ONI29689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRIWVHWVIMALHVVAIVIFTRGFLLTRTELPFYSNCSDVLQSPCSFSVNENQNQNQNQNDTVDQNQQRCWSKPAIGRLVIIVFDALRFDFVAPSTFFQESKPWMDKLQFVQDLAAKNASSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNLIHQLAKNGKRVVMMGDDTWMQLFPNHFEKSFPYPSFNVRDLDTVDNGCIEHLLPFLHQEDWDVLIAHFLGVDHAGHIFGVDSVQMIEKLEQYNSILQKVVEALESQSAPGGLHENTLLLVMGDHGQTINGDHGGGSAEEVETSIFALSFKNPPSPLPSEFDTSSCGLDLGRRNICFSSIQQLDFAVTVSALLGIPFPFGSIGRVNPQLYALGAGTWHFEDTAGNYQNKSKLEEWMLNYANVLCTNSWQVKRYIDIYSASSVIGFSNEDLLRIASMYAKAEEKWSHATQKLLLHKKESHNELLPALRRQIDLYSEFLASVAELARSKWTEFNLKMMGTGLGIMLISLLIHFLAIKKVKEQYGFSFTSSGDSGISFGLIFSCFMVVIRACSFLSNSFILEEGKVACFLLATTALVKMRYSIMKKKMILEAFVFLLLITICRFTIEVGLSKQAPSSEFMNAYPSWMLRIAAGFPIWNFVAEALPVVALILLAFLLLHWASESDRLNLAWVLKGTGRSYIPRLIYAIGFGQLLLLTFNQLFSKRKSSDHSNLLYIKTVAMFSAWSSTIIILLGKQGPWVALAFIIGGYCIMRLDNIELDVKDGGNWKRMLDPVPVTQWSLFAVCLFFCTGHWCAFDGLRYGAAFTGFEEFLLVPQAILLTMDTFGFSLILPIFGVPFLVARLGQSDKGKKFILLRLSLVYMIYGLIMATSVTATIICVTMHRRHLMVWGLFAPKFVFDVAGLILTDILVCLASHYYFSEVEDDALQDLYTKK >ONI29690 pep chromosome:Prunus_persica_NCBIv2:G1:22276993:22285140:-1 gene:PRUPE_1G208800 transcript:ONI29690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRIWVHWVIMALHVVAIVIFTRGFLLTRTELPFYSNCSDVLQSPCSFSVNENQNQNQNQNDTVDQNQQRCWSKPAIGRLVIIVFDALRFDFVAPSTFFQESKPWMDKLQFVQDLAAKNASSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNLIHQLAKNGKRVVMMGDDTWMQLFPNHFEKSFPYPSFNVRDLDTVDNGCIEHLLPFLHQEDWDVLIAHFLGVDHAGHIFGVDSVQMIEKLEQYNSILQKVVEALESQSAPGGLHENTLLLVMGDHGQTINGDHGGGSAEEVETSIFALSFKNPPSPLPSEFDTSSCGLDLGRRNICFSSIQQLDFAVTVSALLGIPFPFGSIGRVNPQLYALGAGTWHFEDTAGNYQNKSKLEEWMLNYANVLCTNSWQVKRYIDIYSASSVIGFSNEDLLRIASMYAKAEEKWSHATQKLLLHKKESHNELLPALRRQIDLYSEFLASVAELARSKWTEFNLKMMGTGLGIMLISLLIHFLAIKKVKEQYGFSFTSSGDSGISFGLIFSCFMVVIRACSFLSNSFILEEGKVACFLLATTALVKMRYSIMKKKMILEAFVFLLLITICRFTIEVGLSKQAPSSEFMNAYPSWMLRIAAGFPIWNFVAEALPVVALILLAFLLREAITRSSSKGIWKYIIMGTNLSYMLIAVHWASESDRLNLAWVLKGTGRSYIPRLIYAIGFGQLLLLTFNQLFSKRKSSDHSNLLYIKTVAMFSAWSSTIIILLGKQGPWVALAFIIGGYCIMRLDNIELDVKDGGNWKRMLDPVPVTQWSLFAVCLFFCTGHWCAFDGLRYGAAFTGFEEFLLVPQAILLTMDTFGFSLILPIFGVPFLVARLGQSDKGKKFILLRLSLVYMIYGLIMATSVTATIICVTMHRRHLMVWGLFAPKFVFDVAGLILTDILVCLASHYYFSEVEDDALQDLYTKK >ONI29688 pep chromosome:Prunus_persica_NCBIv2:G1:22277013:22285140:-1 gene:PRUPE_1G208800 transcript:ONI29688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRIWVHWVIMALHVVAIVIFTRGFLLTRTELPFYSNCSDVLQSPCSFSVNENQNQNQNQNDTVDQNQQRCWSKPAIGRLVIIVFDALRFDFVAPSTFFQESKPWMDKLQFVQDLAAKNASSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNLIHQLAKNGKRVVMMGDDTWMQLFPNHFEKSFPYPSFNVRDLDTVDNGCIEHLLPFLHQEDWDVLIAHFLGVDHAGHIFGVDSVQMIEKLEQYNSILQGRRNICFSSIQQLDFAVTVSALLGIPFPFGSIGRVNPQLYALGAGTWHFEDTAGNYQNKSKLEEWMLNYANVLCTNSWQVKRYIDIYSASSVIGFSNEDLLRIASMYAKAEEKWSHATQKLLLHKKESHNELLPALRRQIDLYSEFLASVAELARSKWTEFNLKMMGTGLGIMLISLLIHFLAIKKVKEQYGFSFTSSGDSGISFGLIFSCFMVVIRACSFLSNSFILEEGKVACFLLATTALVKMRYSIMKKKMILEAFVFLLLITICRFTIEVGLSKQAPSSEFMNAYPSWMLRIAAGFPIWNFVAEALPVVALILLAFLLREAITRSSSKGIWKYIIMGTNLSYMLIAVHWASESDRLNLAWVLKGTGRSYIPRLIYAIGFGQLLLLTFNQLFSKRKSSDHSNLLYIKTVAMFSAWSSTIIILLGKQGPWVALAFIIGGYCIMRLDNIELDVKDGGNWKRMLDPVPVTQWSLFAVCLFFCTGHWCAFDGLRYGAAFTGFEEFLLVPQAILLTMDTFGFSLILPIFGVPFLVARLGQSDKGKKFILLRLSLVYMIYGLIMATSVTATIICVTMHRRHLMVWGLFAPKFVFDVAGLILTDILVCLASHYYFSEVEDDALQDLYTKK >ONI29691 pep chromosome:Prunus_persica_NCBIv2:G1:22277636:22284388:-1 gene:PRUPE_1G208800 transcript:ONI29691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLQFVQDLAAKNASSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNLIHQLAKNGKRVVMMGDDTWMQLFPNHFEKSFPYPSFNVRDLDTVDNGCIEHLLPFLHQEDWDVLIAHFLGVDHAGHIFGVDSVQMIEKLEQYNSILQKVVEALESQSAPGGLHENTLLLVMGDHGQTINGDHGGGSAEEVETSIFALSFKNPPSPLPSEFDTSSCGLDLGRRNICFSSIQQLDFAVTVSALLGIPFPFGSIGRVNPQLYALGAGTWHFEDTAGNYQNKSKLEEWMLNYANVLCTNSWQVKRYIDIYSASSVIGFSNEDLLRIASMYAKAEEKWSHATQKLLLHKKESHNELLPALRRQIDLYSEFLASVAELARSKWTEFNLKMMGTGLGIMLISLLIHFLAIKKVKEQYGFSFTSSGDSGISFGLIFSCFMVVIRACSFLSNSFILEEGKVACFLLATTALVKMRYSIMKKKMILEAFVFLLLITICRFTIEVGLSKQAPSSEFMNAYPSWMLRIAAGFPIWNFVAEALPVVALILLAFLLREAITRSSSKGIWKYIIMGTNLSYMLIAVHWASESDRLNLAWVLKGTGRSYIPRLIYAIGFGQLLLLTFNQLFSKRKSSDHSNLLYIKTVAMFSAWSSTIIILLGKQGPWVALAFIIGGYCIMRLDNIELDVKDGGNWKRMLDPVPVTQWSLFAVCLFFCTGHWCAFDGLRYGAAFTGFEEFLLVPQAILLTMDTFGFSLILPIFGVPFLVARLGQSDKGKKFILLRLSLVYMIYGLIMATSVTATIICVTMHRRHLMVWGLFAPKFVFDVAGLILTDILVCLASHYYFSEVEDDALQDLYTKK >ONI27409 pep chromosome:Prunus_persica_NCBIv2:G1:6248905:6253891:1 gene:PRUPE_1G084200 transcript:ONI27409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGGKSYVSAPPAFSTDAKRLLVCTGTVVSIFSTSTGLQIASLEAHNALVTSVIVVPGNKALSFCWTASLDGTLRYWDFAVAELMKTIDIKMPIFSMVIPSFLGQPEKGMQESALPVHVFAYLSVENTQVQANAPKALRGQIRKCDLTESRLGGGVFAETREPEVITVSPSGKFFGIRNKRKIHIWRVPAIDSKLLVSKKITLHHTRNLTVLAFHPTKTIVAAGDVSGRILTWRGFGNRTFSDGGKLENGTSKNNEEERPGVRGDDDADSCTTWHWHPAGINVLSFSSDGAYLYSGGVEGVLVVWQLDTGKKKFLPRIGSPLLYLTDSSDPSLSSISCADNQIHILKMPLMEIVKSISGIKLPYSVPEIYEGICNRFAFDHTAGLVALRTENYRIQFYSLFDDREVSEGCRNFFSGFPSHPSYGCQFIIWWGFQDLGLQ >ONI27408 pep chromosome:Prunus_persica_NCBIv2:G1:6248486:6257229:1 gene:PRUPE_1G084200 transcript:ONI27408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGGKSYVSAPPAFSTDAKRLLVCTGTVVSIFSTSTGLQIASLEAHNALVTSVIVVPGNKALSFCWTASLDGTLRYWDFAVAELMKTIDIKMPIFSMVIPSFLGQPEKGMQESALPVHVFAYLSVENTQVQANAPKALRGQIRKCDLTESRLGGGVFAETREPEVITVSPSGKFFGIRNKRKIHIWRVPAIDSKLLVSKKITLHHTRNLTVLAFHPTKTIVAAGDVSGRILTWRGFGNRTFSDGGKLENGTSKNNEEERPGVRGDDDADSCTTWHWHPAGINVLSFSSDGAYLYSGGVEGVLVVWQLDTGKKKFLPRIGSPLLYLTDSSDPSLSSISCADNQIHILKMPLMEIVKSISGIKLPYSVPEIYEGICNRFAFDHTAGLVALRTENYRIQFYSLFDDREVSEVQVWERNHQPGDEIRVIVTLVCLSGDGSMMSTVEVKLPEEGLGGLVCLKFWASGSQNKNFTMSTIVYEPHRDAGISSLAFHPTRRMVVSSSYGGDFKIWVCSDEIQQKREALQHSGWMCHAVGSYKKKPMTAAAFSADGSVLAVAAETVITLWDPDNNALVAVIGEAQMPIVTLSFAGKSEYLVSVSQGSKPQLSVWSMSKLSEFWSYKLHIEDLTCAPDSSSFAVLALLPKSSAGMGSNEPSLVGKDGVILLFNATDPVPLTMWSVRKAKGGGLAFLNGNQHSFQYNILDGKPPQTLLVYMNGDHEYVIFDPYGNETNELSLTKRESHVALDETGQFGYASIYGELPRFDLKRDQAFLAPSAPSQRPWETLFSGSSHNLPPLTKLCSEFMESLLEKRTATVE >ONI27410 pep chromosome:Prunus_persica_NCBIv2:G1:6248905:6253891:1 gene:PRUPE_1G084200 transcript:ONI27410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGGKSYVSAPPAFSTDAKRLLVCTGTVVSIFSTSTGLQIASLEAHNALVTSVIVVPGNKALSFCWTASLDGTLRYWDFAVAELMKTIDIKMPIFSMVIPSFLGQPEKGMQESALPVHVFAYLSVENTQVQANAPKALRGQIRKCDLTESRLGGGVFAETREPEVITVSPSGKFFGIRNKRKIHIWRVPAIDSKLLVSKKITLHHTRNLTVLAFHPTKTIVAAGDVSGRILTWRGFGNRTFSDGGKLENGTSKNNEEERPGVRGDDDADSCTTWHWHPAGINVLSFSSDGAYLYSGGVEGVLVVWQLDTGKKKFLPRIGSPLLYLTDSSDPSLSSISCADNQIHILKMPLMEIVKSISGIKLPYSVPEIYEGICNRFAFDHTAGLVALRTENYRIQFYSLFDDREVSEGCRNFFSGFPSHPSYGCQFIIWWGFQDLGLQ >ONI30163 pep chromosome:Prunus_persica_NCBIv2:G1:24843056:24851323:-1 gene:PRUPE_1G234500 transcript:ONI30163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESQGNSEKMSKQKRERSLLAVSGAAALLVLAVNLGINAFNSHRKNRKNKDLQGSKVRVNLSASEILKLADRIITKSKEVHDAVTYMNVISPLAELEAQQFPLVQSCVFPKMVTTSDDVHKASAEAERRIDTHLLACSKREDVYRVVKAFAARGEWVNAEAKNYTQALMRDFERNGLNLTLTKREEMQRVRIQIDKLSLQYIQNLTEDSTFLLFAETELAGLPPEFLKSLDKVTDGKFKVTLKSHHVGAVLELCEVGTTRRMVAVAYGKRCGEVNLSILEDLVQLRHKFARLLGYSSYADCAVDLRMAKTPSKVFEFLEDISNSLTDSANMELSMLKDLKRKEEGDHPFGIEDLLYYVKKAEAQQFNVDFGALKQYFPVNLVLSGVFKIVQDLFGLRFEEIADAEVWHSDVCVYSVFDLSSGELLGHFYLDMYIREGKYNNTCVVALQNGALSSNGSRQVQHICNRASFARFSGLGFDQDFVEVPALVLENWCYESFTLKLISGFHQDITKPIKDEMCKAIKRWRCSFSALKMRQEILYSLFDQIIHSAENVDIVELFKHLHPRILLGLPMLEDVNPASRFPCSAIGHEAACYSRIWSEVFAADIFASKFHDSYLNQYVGMQFRNKVLAPGGAKEPIEVLTSFLGREPSTQAFIDSKSQYRL >ONI30169 pep chromosome:Prunus_persica_NCBIv2:G1:24842946:24849940:-1 gene:PRUPE_1G234500 transcript:ONI30169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFERNGLNLTLTKREEMQRVRIQIDKLSLQYIQNLTEDSTFLLFAETELAGLPPEFLKSLDKVTDGKFKVTLKSHHVGAVLELCEVGTTRRMVAVAYGKRCGEVNLSILEDLVQLRHKFARLLGYSSYADCAVDLRMAKTPSKVFEFLEDISNSLTDSANMELSMLKDLKRKEEGDHPFGIEDLLYYVKKAEAQQFNVDFGALKQYFPVNLVLSGVFKIVQDLFGLRFEEIADAEVWHSDVCVYSVFDLSSGELLGHFYLDMYIREGKYNNTCVVALQNGALSSNGSRQIPVVLMIAQLQKDVSGHPALLRFSEVVDLFHEFGHVVQHICNRASFARFSGLGFDQDFVEVPALVLENWCYESFTLKLISGFHQDITKPIKDEMCKAIKRWRCSFSALKMRQEILYSLFDQIIHSAENVDIVELFKHLHPRILLGLPMLEDVNPASRFPCSAIGHEAACYSRIWSEVFAADIFASKFHDSYLNQYVGMQFRNKVLAPGGAKEPIEVLTSFLGREPSTQAFIDSKSQYRL >ONI30167 pep chromosome:Prunus_persica_NCBIv2:G1:24843056:24851305:-1 gene:PRUPE_1G234500 transcript:ONI30167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESQGNSEKMSKQKRERSLLAVSGAAALLVLAVNLGINAFNSHRKNRKNKDLQGSKVRVNLSASEILKLADRIITKSKEVHDAVASIPLDKVTYMNVISPLAELEAQQFPLVQSCVFPKMVTTSDDVHKASAEAERRIDTHLLACSKREDVYRVVKAFAARGEWVNAEAKNYTQALVGSKNAFAVFLWMRDFERNGLNLTLTKREEMQRVRIQIDKLSLQYIQNLTEDSTFLLFAETELAGLPPEFLKSLDKVTDGKFKVTLKSHHVGAVLELCEVGTTRRMVAVAYGKRCGEVNLSILEDLVQLRHKFARLLGYSSYADCAVDLRMAKTPSKVFEFLEDISNSLTDSANMELSMLKDLKRKEEGDHPFGIEDLLYYVKKAEAQQFNVDFGALKQYFPVNLVLSGVFKIVQDLFGLRFEEIADAEVWHSDVCVYSVFDLSSGELLGHFYLDMYIREGKYNNTCVVALQNGALSSNGSRQIPVVLMIAQLQKDVSGHPALLRFSEVVDLFHEFGHVVQHICNRASFARFSGLGFDQDFVEVPALVLENWCYESFTLKLISGFHQDITKPIKDEMCKAIKRWRCSFSALKMRQEILYSLFDQIIHSAENVDIVELFKHLHPRILLGLPMLEDVNPASRFPCSAIGHEAACYSRIWSEVFAADIFASKFHDSYLNQYVGMQFRNKVLAPGGAKEPIEVLTSFLGREPSTQAFIDSKSQYRL >ONI30164 pep chromosome:Prunus_persica_NCBIv2:G1:24843438:24851169:-1 gene:PRUPE_1G234500 transcript:ONI30164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESQGNSEKMSKQKRERSLLAVSGAAALLVLAVNLGINAFNSHRKNRKNKDLQGSKVRVNLSASEILKLADRIITKSKEVHDAVTYMNVISPLAELEAQQFPLVQSCVFPKMVTTSDDVHKASAEAERRIDTHLLACSKREDVYRVVKAFAARGEWVNAEAKNYTQALMRDFERNGLNLTLTKREEMQRVRIQIDKLSLQYIQNLTEDSTFLLFAETELAGLPPEFLKSLDKVTDGKFKVTLKSHHVGAVLELCEVGTTRRMVAVAYGKRCGEVNLSILEDLVQLRHKFARLLGYSSYADCAVDLRMAKTPSKVFEFLEDISNSLTDSANMELSMLKDLKRKEEGDHPFGIEDLLYYVKKAEAQQFNVDFGALKQYFPVNLVLSGVFKIVQDLFGLRFEEIADAEVWHSDVCVYSVFDLSSGELLGHFYLDMYIREGKYNNTCVVALQNGALSSNGSRQIPVVLMIAQLQKDVSGHPALLRFSEVVDLFHEFGHVVQHICNRASFARFSGLGFDQDFVEVPALVLENWCYESFTLKLISGFHQDITKPIKDEMCKAIKRWRCSFSALKMRQEILYSLFDQIIHSAENVDIVELFKHLHPRILLGLPMLEDVNPASRFPCSAIGHEAACYSRIWSEVFAADIFASKFHDSYLNQYVGMQFRNKVLAPGGAKEPIEVLTSFLGREPSTQAFIDSKSQYRL >ONI30165 pep chromosome:Prunus_persica_NCBIv2:G1:24843056:24851323:-1 gene:PRUPE_1G234500 transcript:ONI30165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESQGNSEKMSKQKRERSLLAVSGAAALLVLAVNLGINAFNSHRKNRKNKDLQGSKVRVNLSASEILKLADRIITKSKEVHDAVASIPLDKVTYMNVISPLAELEAQQFPLVQSCVFPKMVTTSDDVHKASAEAERRIDTHLLACSKREDVYRVVKAFAARGEWVNAEAKNYTQALMRDFERNGLNLTLTKREEMQRVRIQIDKLSLQYIQNLTEDSTFLLFAETELAGLPPEFLKSLDKVTDGKFKVTLKSHHVGAVLELCEVGTTRRMVAVAYGKRCGEVNLSILEDLVQLRHKFARLLGYSSYADCAVDLRMAKTPSKVFEFLEDISNSLTDSANMELSMLKDLKRKEEGDHPFGIEDLLYYVKKAEAQQFNVDFGALKQYFPVNLVLSGVFKIVQDLFGLRFEEIADAEVWHSDVCVYSVFDLSSGELLGHFYLDMYIREGKYNNTCVVALQNGALSSNGSRQVQHICNRASFARFSGLGFDQDFVEVPALVLENWCYESFTLKLISGFHQDITKPIKDEMCKAIKRWRCSFSALKMRQEILYSLFDQIIHSAENVDIVELFKHLHPRILLGLPMLEDVNPASRFPCSAIGHEAACYSRIWSEVFAADIFASKFHDSYLNQYVGMQFRNKVLAPGGAKEPIEVLTSFLGREPSTQAFIDSKSQYRL >ONI30168 pep chromosome:Prunus_persica_NCBIv2:G1:24842946:24850084:-1 gene:PRUPE_1G234500 transcript:ONI30168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFERNGLNLTLTKREEMQRVRIQIDKLSLQYIQNLTEDSTFLLFAETELAGLPPEFLKSLDKVTDGKFKVTLKSHHVGAVLELCEVGTTRRMVAVAYGKRCGEVNLSILEDLVQLRHKFARLLGYSSYADCAVDLRMAKTPSKVFEFLEDISNSLTDSANMELSMLKDLKRKEEGDHPFGIEDLLYYVKKAEAQQFNVDFGALKQYFPVNLVLSGVFKIVQDLFGLRFEEIADAEVWHSDVCVYSVFDLSSGELLGHFYLDMYIREGKYNNTCVVALQNGALSSNGSRQIPVVLMIAQLQKDVSGHPALLRFSEVVDLFHEFGHVVQHICNRASFARFSGLGFDQDFVEVPALVLENWCYESFTLKLISGFHQDITKPIKDEMCKAIKRWRCSFSALKMRQEILYSLFDQIIHSAENVDIVELFKHLHPRILLGLPMLEDVNPASRFPCSAIGHEAACYSRIWSEVFAADIFASKFHDSYLNQYVGMQFRNKVLAPGGAKEPIEVLTSFLGREPSTQAFIDSKSQYRL >ONI30166 pep chromosome:Prunus_persica_NCBIv2:G1:24843056:24851447:-1 gene:PRUPE_1G234500 transcript:ONI30166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESQGNSEKMSKQKRERSLLAVSGAAALLVLAVNLGINAFNSHRKNRKNKDLQGSKVRVNLSASEILKLADRIITKSKEVHDAVASIPLDKVTYMNVISPLAELEAQQFPLVQSCVFPKMVTTSDDVHKASAEAERRIDTHLLACSKREDVYRVVKAFAARGEWVNAEAKNYTQALMRDFERNGLNLTLTKREEMQRVRIQIDKLSLQYIQNLTEDSTFLLFAETELAGLPPEFLKSLDKVTDGKFKVTLKSHHVGAVLELCEVGTTRRMVAVAYGKRCGEVNLSILEDLVQLRHKFARLLGYSSYADCAVDLRMAKTPSKVFEFLEDISNSLTDSANMELSMLKDLKRKEEGDHPFGIEDLLYYVKKAEAQQFNVDFGALKQYFPVNLVLSGVFKIVQDLFGLRFEEIADAEVWHSDVCVYSVFDLSSGELLGHFYLDMYIREGKYNNTCVVALQNGALSSNGSRQIPVVLMIAQLQKDVSGHPALLRFSEVVDLFHEFGHVVQHICNRASFARFSGLGFDQDFVEVPALVLENWCYESFTLKLISGFHQDITKPIKDEMCKAIKRWRCSFSALKMRQEILYSLFDQIIHSAENVDIVELFKHLHPRILLGLPMLEDVNPASRFPCSAIGHEAACYSRIWSEVFAADIFASKFHDSYLNQYVGMQFRNKVLAPGGAKEPIEVLTSFLGREPSTQAFIDSKSQYRL >ONI30170 pep chromosome:Prunus_persica_NCBIv2:G1:24843056:24851322:-1 gene:PRUPE_1G234500 transcript:ONI30170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESQGNSEKMSKQKRERSLLAVSGAAALLVLAVNLGINAFNSHRKNRKNKDLQGSKVRVNLSASEILKLADRIITKSKEVHDAVASIPLDKVTYMNVISPLAELEAQQFPLVQSCVFPKMVTTSDDVHKASAEAERRIDTHLLACSKREDVYRVVKAFAARGEWVNAEAKNYTQALMRDFERNGLNLTLTKREEMQRVRIQIDKLSLQYIQNLTEDSTFLLFAETELAGLPPEFLKSLDKVTDGKFKVTLKSHHVGAVLELCEVGTTRRMVAVAYGKRCGEVNLSILEDLVQLRHKFARLLGYSSYADCAVDLRMAKTPSKVFEFLEDISNSLTDSANMELSMLKDLKRKEEGDHPFGIEDLLYYVKKAEAQQFNVDFGALKQYFPVNLVLSGVFKIVQDLFGLRFEEIADAEVWHSDVCVYSVFDLSSGELLGHFYLDMYIREGKYNNTCVVALQNGALSSNGSRQIPVVLMIAQLQKDVSGHPALLRFSEVVDLFHEFGHVVQHICNRASFARFSGLGFDQDFVEVPALVLENWCYESFTLKLISGFHQDITKPIKDEMCKAIKRWRCSFSALKMRQEILYSLFDQIIHSAENVDIVELFKHLHPRVCQC >ONI27290 pep chromosome:Prunus_persica_NCBIv2:G1:5602616:5608150:-1 gene:PRUPE_1G077700 transcript:ONI27290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGQWRLGMGDMQIVPGARHRPPLKRPLWIIVLVSLVSIFVICAFLYPPQSSAACYIFSSRGCKAITDWLPPAPAREYTDAEIASRIVIREILSAPPIQSKNPKIAFMFLTPSALPFEKLWDKFFHGHEGRFSVYVHASKEKAIHLSRYFFNREIRSDPVIWGKISMVDAERRLLVHALQDPDNQHFVLLSESCVPLYTFDYIYDYLMNTNISYVDCFEDPGPHGNGRYSEHMLPEIEKKDFRKGAQWFTMKRQHAVIVMADGLYYSKFRDYCRPGLDGRNCIADEHYLPTFFNIIDPGGIANWSVTHVDWSERKWHPKLYKGHDITSDLLKNITSVDVSVHVTSDEKRVVQKWPCLWNGIQRPCYLFARKFHPETLNNLLHLFANYTTI >ONI27288 pep chromosome:Prunus_persica_NCBIv2:G1:5602624:5608150:-1 gene:PRUPE_1G077700 transcript:ONI27288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGQWRLGMGDMQIVPGARHRPPLKRPLWIIVLVSLVSIFVICAFLYPPQSSAACYIFSSRGCKAITDWLPPAPAREYTDAEIASRIVIREILSAPPIQSKNPKIAFMFLTPSALPFEKLWDKFFHGHEGRFSVYVHASKEKVIWGKISMVDAERRLLVHALQDPDNQHFVLLSESCVPLYTFDYIYDYLMNTNISYVDCFEDPGPHGNGRYSEHMLPEIEKKDFRKGAQWFTMKRQHAVIVMADGLYYSKFRDYCRPGLDGRNCIADEHYLPTFFNIIDPGGIANWSVTHVDWSERKWHPKLYKGHDITSDLLKNITSVDVSVHVTSDEKRVVQKWPCLWNGIQRPCYLFARKFHPETLNNLLHLFANYTTI >ONI27289 pep chromosome:Prunus_persica_NCBIv2:G1:5602624:5608150:-1 gene:PRUPE_1G077700 transcript:ONI27289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGQWRLGMGDMQIVPGARHRPPLKRPLWIIVLVSLVSIFVICAFLYPPQSSAACYIFSSRGCKAITDWLPPAPAREYTDAEIASRIVIREILSAPPIQSKNPKIAFMFLTPSALPFEKLWDKFFHGHEGRFSVYVHASKEKAIHLSRYFFNREIRSDPVIWGKISMVDAERRLLVHALQDPDNQHFVLLSESCVPLYTFDYIYDYLMNTNISYVDCFEDPGPHGNGRYSEHMLPEIEKKDFRKGAQWFTMKRQHAVIVMADGLYYSKFRDYCRIIDPGGIANWSVTHVDWSERKWHPKLYKGHDITSDLLKNITSVDVSVHVTSDEKRVVQKWPCLWNGIQRPCYLFARKFHPETLNNLLHLFANYTTI >ONI29751 pep chromosome:Prunus_persica_NCBIv2:G1:22639029:22640010:1 gene:PRUPE_1G213000 transcript:ONI29751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSLYSFVPLPQPFLYTLAIPSIISLSKFFFFSPLAANFICPTPPRLPLSSQSKASQRLFLSPIPRSTCSLCQISFLSGI >ONI29752 pep chromosome:Prunus_persica_NCBIv2:G1:22639029:22640144:1 gene:PRUPE_1G213000 transcript:ONI29752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSLYSFVPLPQPFLYTLAIPSIISLSKFFFFSPLAANFICPTPPRLPLSSQSKASQRLFLSPIPRSTCSLCQISFLSGI >ONI29753 pep chromosome:Prunus_persica_NCBIv2:G1:22639029:22640035:1 gene:PRUPE_1G213000 transcript:ONI29753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSLYSFVPLPQPFLYTLAIPSIISLSKFFFFSPLAANFICPTPPRLPLSSQSKASQRLFLSPIPRSTCSLCQISFLSGI >ONI28364 pep chromosome:Prunus_persica_NCBIv2:G1:10953550:10958872:-1 gene:PRUPE_1G139700 transcript:ONI28364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISISFCPYAMARPRVPQTRKPPVKKQTVRSPNPKPSHKLKARTGAKQLSSIGVEATTYTRLPPREDFSLPSLDSSSFEFSSEVKLSESNVALKVEKEIDNLSSEEEEEEEEEEEKGLDGNLGVNYSQFEVFEGTYDSELDEEDDDSDIEGFSDDKLESVYQNDDGELLGFKEGETVNLTDNEGKLEEGEVKEKGVPAVMRCFDRAKIFAKAGDGGNGVVAMRREKFVPLGGPSGGDGGRGGNVYMEVDGSMNSLLPFRNSVHFRAGRGDHGRGQSQNGAKGEDVVIKVAPGTVVREAGKEEVLLELLHPGQLALLLPGGRGGRGNASFKSGTNKVPRIAENGAEGPEMWLELELKLVADIGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALIHVVDGSSQQPEFEFDAVRLELELFSPEIAEKPYLVAFNKMDLPDAYENWQSFKESLEARGIEVFCMSAVKREGTHEVSSAAYQLLRENKMAEDKLAEDPVNLNHVAEMVRKQQTASINEFEITHDSTTNTWHVVGSGLQRFVQMTNWRYVDSGRRFQHVLEACGVNKSLIKLGVKEGDTVIVGDMEMIWHDAADTSGFSNFRKGSTETTKWPQWK >ONI28363 pep chromosome:Prunus_persica_NCBIv2:G1:10952790:10958747:-1 gene:PRUPE_1G139700 transcript:ONI28363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISISFCPYAMARPRVPQTRKPPVKKQTVRSPNPKPSHKLKARTGAKQLSSIGVEATTYTRLPPREDFSLPSLDSSSFEFSSEVKLSESNVALKVEKEIDNLSSEEEEEEEEEEEKGLDGNLGVNYSQFEVFEGTYDSELDEEDDDSDIEGFSDDKLESVYQNDDGELLGFKEGETVNLTDNEGKLEEGEVKEKGVPAVMRCFDRAKIFAKAGDGGNGVVAMRREKFVPLGGPSGGDGGRGGNVYMEVDGSMNSLLPFRNSVHFRAGRGDHGRGQSQNGAKGEDVVIKVAPGTVVREAGKEEVLLELLHPGQLALLLPGGRGGRGNASFKSGTNKVPRIAENGAEGPEMWLELELKLVADIGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALIHVVDGSSQQPEFEFDAVRLELELFSPEIAEKPYLVAFNKMDLPDAYENWQSFKESLEARGIEVFCMSAVKREGTHEVSSAAYQLLRENKMAEDKLADPVNLNHVAEMVRKQQTASINEFEITHDSTTNTWHVVGSGLQRFVQMTNWRYVDSGRRFQHVLEACGVNKSLIKLGVKEGDTVIVGDMEMIWHDAADTSGFSNFRKGSTETTKWPQWK >ONI28361 pep chromosome:Prunus_persica_NCBIv2:G1:10951466:10958747:-1 gene:PRUPE_1G139700 transcript:ONI28361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISISFCPYAMARPRVPQTRKPPVKKQTVRSPNPKPSHKLKARTGAKQLSSIGVEATTYTRLPPREDFSLPSLDSSSFEFSSEVKLSESNVALKVEKEIDNLSSEEEEEEEEEEEKGLDGNLGVNYSQFEVFEGTYDSELDEEDDDSDIEGFSDDKLESVYQNDDGELLGFKEGETVNLTDNEGKLEEGEVKEKGVPAVMRCFDRAKIFAKAGDGGNGVVAMRREKFVPLGGPSGGDGGRGGNVYMEVDGSMNSLLPFRNSVHFRAGRGDHGRGQSQNGAKGEDVVIKVAPGTVVREAGKEEVLLELLHPGQLALLLPGGRGGRGNASFKSGTNKVPRIAENGAEGPEMWLELELKLVADIGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALIHVVDGSSQQPEFEFDAVRLELELFSPEIAEKPYLVAFNKMDLPDAYENWQSFKESLEARGIEVFCMSAVKREGTHEVSSAAYQLLRENKMAEDKLADPVNLNHVAEMVRKQQTASINEFEITHDSTTNTWHVVGSGLQRFVQMTNWRYVDSGRRFQHVLEACGVNKSLIKLGVKEGDTVIVGDMEMIWHDAADTSGFSNFRKGSTETTKWPQWK >ONI28362 pep chromosome:Prunus_persica_NCBIv2:G1:10954453:10958705:-1 gene:PRUPE_1G139700 transcript:ONI28362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISISFCPYAMARPRVPQTRKPPVKKQTVRSPNPKPSHKLKARTGAKQLSSIGVEATTYTRLPPREDFSLPSLDSSSFEFSSEVKLSESNVALKVEKEIDNLSSEEEEEEEEEEEKGLDGNLGVNYSQFEVFEGTYDSELDEEDDDSDIEGFSDDKLESVYQNDDGELLGFKEGETVNLTDNEGKLEEGEVKEKGVPAVMRCFDRAKIFAKAGDGGNGVVAMRREKFVPLGGPSGGDGGRGGNVYMEVDGSMNSLLPFRNSVHFRAGRGDHGRGQSQNGAKGEDVVIKVAPGTVVREAGKEEVLLELLHPGQLALLLPGGRGGRGNASFKSGTNKVPRIAENGAEGPEMWLELELKLVADIGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALIHVVDGSSQQPEFEFDAVRLELELFSPEIAEKPYLVAFNKMDLPDAYENWQSFKESLEARGIEVFCMSAVKREGTHEVSSAAYQLLRENKMAEDKLADPVNLNHVAEMVRKQQTASINEFEITHDSTTNTWHVVGSGLQRFVQMTNWRYVDSGRRFQHVLEACGVNKSLIKLGVKEGDTVIVGDMEMIWHDAADTSGFSNFRKGSTETTKWPQWK >ONI28366 pep chromosome:Prunus_persica_NCBIv2:G1:10949034:10958747:-1 gene:PRUPE_1G139700 transcript:ONI28366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISISFCPYAMARPRVPQTRKPPVKKQTVRSPNPKPSHKLKARTGAKQLSSIGVEATTYTRLPPREDFSLPSLDSSSFEFSSEVKLSESNVALKVEKEIDNLSSEEEEEEEEEEEKGLDGNLGVNYSQFEVFEGTYDSELDEEDDDSDIEGFSDDKLESVYQNDDGELLGFKEGETVNLTDNEGKLEEGEVKEKGVPAVMRCFDRAKIFAKAGDGGNGVVAMRREKFVPLGGPSGGDGGRGGNVYMEVDGSMNSLLPFRNSVHFRAGRGDHGRGQSQNGAKGEDVVIKVAPGTVVREAGKEEVLLELLHPGQLALLLPGGRGGRGNASFKSGTNKVPRIAENGAEGPEMWLELELKLVADIGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALIHVVDGSSQQPEFEFDAVRLELELFSPEIAEKPYLVAFNKMDLPDAYENWQSFKESLEARGIEVFCMSAVKREGTHEVSSAAYQLLRENKMAEDKLAEDPVNLNHVAEMVRKQQTASINEFEITHDSTTNTWHVVGSGLQRFVQMTNWRYVDSGRRFQHVLEACGVNKSLIKLGVKEGDTVIVGDMEMIWHDAADTSGFSNFRKGSTETTKWPQWK >ONI28367 pep chromosome:Prunus_persica_NCBIv2:G1:10951120:10958825:-1 gene:PRUPE_1G139700 transcript:ONI28367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISISFCPYAMARPRVPQTRKPPVKKQTVRSPNPKPSHKLKARTGAKQLSSIGVEATTYTRLPPREDFSLPSLDSSSFEFSSEVKLSESNVALKVEKEIDNLSSEEEEEEEEEEEKGLDGNLGVNYSQFEVFEGTYDSELDEEDDDSDIEGFSDDKLESVYQNDDGELLGFKEGETVNLTDNEGKLEEGEVKEKGVPAVMRCFDRAKIFAKAGDGGNGVVAMRREKFVPLGGPSGGDGGRGGNVYMEVDGSMNSLLPFRNSVHFRAGRGDHGRGQSQNGAKGEDVVIKVAPGTVVREAGKEEVLLELLHPGQLALLLPGGRGGRGNASFKSGTNKVPRIAENGAEGPEMWLELELKLVADIGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALIHVVDGSSQQPEFEFDAVRLELELFSPEIAEKPYLVAFNKMDLPDAYENWQSFKESLEARGIEVFCMSAVKREGTHEVSSAAYQLLRENKMAEDKLAEDPVNLNHVAEMVRKQQTASINEFEITHDSTTNTWHVVGSGLQRFVQMTNWRYVDSGRRFQHVLEACGVNKSLIKLGVKEGDTVIVGDMEMIWHDAADTSGFSNFRKGSTETTKWPQWK >ONI28368 pep chromosome:Prunus_persica_NCBIv2:G1:10952627:10958872:-1 gene:PRUPE_1G139700 transcript:ONI28368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISISFCPYAMARPRVPQTRKPPVKKQTVRSPNPKPSHKLKARTGAKQLSSIGVEATTYTRLPPREDFSLPSLDSSSFEFSSEVKLSESNVALKVEKEIDNLSSEEEEEEEEEEEKGLDGNLGVNYSQFEVFEGTYDSELDEEDDDSDIEGFSDDKLESVYQNDDGELLGFKEGETVNLTDNEGKLEEGEVKEKGVPAVMRCFDRAKIFAKAGDGGNGVVAMRREKFVPLGGPSGGDGGRGGNVYMEVDGSMNSLLPFRNSVHFRAGRGDHGRGQSQNGAKGEDVVIKVAPGTVVREAGKEEVLLELLHPGQLALLLPGGRGGRGNASFKSGTNKVPRIAENGAEGPEMWLELELKLVADIGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALIHVVDGSSQQPEFEFDAVRLELELFSPEIAEKPYLVAFNKMDLPDAYENWQSFKESLEARGIEVFCMSAVKREGTHEVSSAAYQLLRENKMAEDKLAEDPVNLNHVAEMVRKQQTASINEFEITHDSTTNTWHVVGSGLQRFVQMTNWRYVDSGRRFQHVLEACGVNKSLIKLGVKEGDTVIVGDMEMIWHDAADTSGFSNFRKGSTETTKWPQWK >ONI28365 pep chromosome:Prunus_persica_NCBIv2:G1:10952627:10958835:-1 gene:PRUPE_1G139700 transcript:ONI28365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISISFCPYAMARPRVPQTRKPPVKKQTVRSPNPKPSHKLKARTGAKQLSSIGVEATTYTRLPPREDFSLPSLDSSSFEFSSEVKLSESNVALKVEKEIDNLSSEEEEEEEEEEEKGLDGNLGVNYSQFEVFEGTYDSELDEEDDDSDIEGFSDDKLESVYQNDDGELLGFKEGETVNLTDNEGKLEEGEVKEKGVPAVMRCFDRAKIFAKAGDGGNGVVAMRREKFVPLGGPSGGDGGRGGNVYMEVDGSMNSLLPFRNSVHFRAGRGDHGRGQSQNGAKGEDVVIKVAPGTVVREAGKEEVLLELLHPGQLALLLPGGRGGRGNASFKSGTNKVPRIAENGAEGPEMWLELELKLVADIGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALIHVVDGSSQQPEFEFDAVRLELELFSPEIAEKPYLVAFNKMDLPDAYENWQSFKESLEARGIEVFCMSAVKREGTHEVSSAAYQLLRENKMAEDKLAEDPVNLNHVAEMVRKQQTASINEFEITHDSTTNTWHVVGSGLQRFVQMTNWRYVDSGRRFQHVLEACGVNKSLIKLGVKEGDTVIVGDMEMIWHDAADTSGFSNFRKGSTETTKWPQWK >ONI31301 pep chromosome:Prunus_persica_NCBIv2:G1:29862643:29866975:1 gene:PRUPE_1G305000 transcript:ONI31301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPRRVMTWRSVAKSLQPLLAHASLFTFTIFLVLKLHHAVRYHWWIIFSPLWLFHAVVARGRFSLPAPKLPNDRNWAPFHAVMATPLLVAFELMLCIYLESKYVVSLKIVFAPLVALELAILFDNIRMCKALMPSDDEHVSDDVIWETLPHFWISISMVFLTAATIFTLLKINGDISVLGWWDLFINFGIAECFAFLVCTKWYNPAIHRHAHIQEPCSPSMTIRYLDWNSGLVVSSDEDQDESAVCSLQDIGGHIMKIPLICFQILLFMHLEGRPSVARHISIPVLFTPLLLLQGAGVLFAAYRLTEKILLLVHNGVFSGRYLDITSKVREYFGFFRHGSRFLGWWSIDEGSREEQARLYCAGASGSVLLLPFITAFIFCNI >ONI31299 pep chromosome:Prunus_persica_NCBIv2:G1:29862643:29868553:1 gene:PRUPE_1G305000 transcript:ONI31299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPRRVMTWRSVAKSLQPLLAHASLFTFTIFLVLKLHHAVRYHWWIIFSPLWLFHAVVARGRFSLPAPKLPNDRNWAPFHAVMATPLLVAFELMLCIYLESKYVVSLKIVFAPLVALELAILFDNIRMCKALMPSDDEHVSDDVIWETLPHFWISISMVFLTAATIFTLLKINGDISVLGWWDLFINFGIAECFAFLVCTKWYNPAIHRHAHIQEPCSPSMTIRYLDWNSGLVVSSDEDQDESAVCSLQDIGGHIMKIPLICFQILLFMHLEGRPSVARHISIPVLFTPLLLLQGAGVLFAAYRLTEKILLLVHNGVFSGRYLDITSKVREYFGFFRHGSRFLGWWSIDEGSREEQARLYCAGASGFGNCKLHSVSKQKSRNLASRSMKDFRMKRFYVEYASRSRLI >ONI31298 pep chromosome:Prunus_persica_NCBIv2:G1:29862643:29868553:1 gene:PRUPE_1G305000 transcript:ONI31298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPRRVMTWRSVAKSLQPLLAHASLFTFTIFLVLKLHHAVRYHWWIIFSPLWLFHAVVARGRFSLPAPKLPNDRNWAPFHAVMATPLLVAFELMLCIYLESKYVVSLKIVFAPLVALELAILFDNIRMCKALMPSDDEHVSDDVIWETLPHFWISISMVFLTAATIFTLLKINGDISVLGWWDLFINFGIAECFAFLVCTKWYNPAIHRHAHIQEPCSPSMTIRYLDWNSGLVVSSDEDQDESAVCSLQDIGGHIMKIPLICFQILLFMHLEGRPSVARHISIPVLFTPLLLLQGAGVLFAAYRLTEKILLLVHNGVFSGRYLDITSKVREYFGFFRHGSRFLGWWSIDEGSREEQARLYCAGASGYDTFSPDIVKKLPRSDLVQEIWKLQAALSEQTEITKFSQQEYERLQNEKILCRVCFEEQINIVLLPCRHHVLCSTCCAKCKKCPICRVSIEHRLPIYHV >ONI31300 pep chromosome:Prunus_persica_NCBIv2:G1:29862907:29867268:1 gene:PRUPE_1G305000 transcript:ONI31300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPRRVMTWRSVAKSLQPLLAHASLFTFTIFLVLKLHHAVRYHWWIIFSPLWLFHAVVARGRFSLPAPKLPNDRNWAPFHAVMATPLLVAFELMLCIYLESKYVVSLKIVFAPLVALELAILFDNIRMCKALMPSDDEHVSDDVIWETLPHFWISISMVFLTAATIFTLLKINGDISVLGWWDLFINFGIAECFAFLVCTKWYNPAIHRHAHIQEPCSPSMTIRYLDWNSGLVVSSDEDQDESAVCSLQDIGGHIMKIPLICFQILLFMHLEGRPSVARHISIPVLFTPLLLLQGAGVLFAAYRLTEKILLLVHNGVFSGRYLDITSKVREYFGFFRHGSRFLGWWSIDEGSREEQARLYCAGASGYDTFSPDIVKKLPRSDLVQEIWKLQAALSEQTEITKFSQQEYERLQNVMVQMTCCFPHNEYTNIFLLIS >ONI32481 pep chromosome:Prunus_persica_NCBIv2:G1:33763656:33768745:-1 gene:PRUPE_1G369900 transcript:ONI32481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32491 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGYFMVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32482 pep chromosome:Prunus_persica_NCBIv2:G1:33763759:33768746:-1 gene:PRUPE_1G369900 transcript:ONI32482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32492 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGYFMVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32484 pep chromosome:Prunus_persica_NCBIv2:G1:33763656:33768739:-1 gene:PRUPE_1G369900 transcript:ONI32484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32500 pep chromosome:Prunus_persica_NCBIv2:G1:33763759:33768683:-1 gene:PRUPE_1G369900 transcript:ONI32500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGSKSMR >ONI32476 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32499 pep chromosome:Prunus_persica_NCBIv2:G1:33763759:33768683:-1 gene:PRUPE_1G369900 transcript:ONI32499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGSKSMR >ONI32486 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32472 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32498 pep chromosome:Prunus_persica_NCBIv2:G1:33763759:33768683:-1 gene:PRUPE_1G369900 transcript:ONI32498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGSKSMR >ONI32478 pep chromosome:Prunus_persica_NCBIv2:G1:33763759:33768667:-1 gene:PRUPE_1G369900 transcript:ONI32478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32477 pep chromosome:Prunus_persica_NCBIv2:G1:33763759:33768667:-1 gene:PRUPE_1G369900 transcript:ONI32477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32496 pep chromosome:Prunus_persica_NCBIv2:G1:33765023:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDE >ONI32479 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32494 pep chromosome:Prunus_persica_NCBIv2:G1:33765023:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDE >ONI32474 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32485 pep chromosome:Prunus_persica_NCBIv2:G1:33764542:33768556:-1 gene:PRUPE_1G369900 transcript:ONI32485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32487 pep chromosome:Prunus_persica_NCBIv2:G1:33763759:33768212:-1 gene:PRUPE_1G369900 transcript:ONI32487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32490 pep chromosome:Prunus_persica_NCBIv2:G1:33763759:33768535:-1 gene:PRUPE_1G369900 transcript:ONI32490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGYFMVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32489 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGYFMVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32480 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32471 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32483 pep chromosome:Prunus_persica_NCBIv2:G1:33763656:33768690:-1 gene:PRUPE_1G369900 transcript:ONI32483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32495 pep chromosome:Prunus_persica_NCBIv2:G1:33765023:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDE >ONI32475 pep chromosome:Prunus_persica_NCBIv2:G1:33763759:33768683:-1 gene:PRUPE_1G369900 transcript:ONI32475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32501 pep chromosome:Prunus_persica_NCBIv2:G1:33763759:33768683:-1 gene:PRUPE_1G369900 transcript:ONI32501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGSKSMR >ONI32497 pep chromosome:Prunus_persica_NCBIv2:G1:33765023:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDE >ONI32488 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33768044:-1 gene:PRUPE_1G369900 transcript:ONI32488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGYFMVHNAAQGIASLACSSSIGANKVISQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32493 pep chromosome:Prunus_persica_NCBIv2:G1:33764637:33767541:-1 gene:PRUPE_1G369900 transcript:ONI32493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFELKLEFENVGVCSQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32473 pep chromosome:Prunus_persica_NCBIv2:G1:33763759:33768667:-1 gene:PRUPE_1G369900 transcript:ONI32473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQRPPPDPVAVLRGHRASVMDLCFHPSQPLLCTGSADGELRIWDTIQHRTILSAGQGRDGTVKCWDIEDGGLSRTPSVTIKTNSYHFCKLSLVKRPHSSSKRVYGTTHNDSDEIRVRENADADALDNSREKFQEYLPEQSSTFEENTQVEGSKYVAVAGEQPSEVEIWDLNTAERFARLPQSCVAGSSGISTTERGMCMAVQAFSPSESQGFLNVLVGYEDGSMLWWDIRNPGVPLTSVKFHSEPVLSLCVDGSCNGGVSGAADDKVVLYSLDHSMGTCVIKKEISLQRPGISSTSIRPDGKIFATAGWDHRVRVYNYRKGKALAILKYHHATCNAVSYSPDCKLMASASEDTTVALWELYPPQT >ONI32726 pep chromosome:Prunus_persica_NCBIv2:G1:34386252:34390979:1 gene:PRUPE_1G382200 transcript:ONI32726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFSQREGLLLGYSPQSFFMNSASSSPSTSNKNSDVDFHDVFGGPPRRSSIHETRYSFSIVTDNNTLKRVVDDDDDEDNEAWSGSTEKPVFGDESMNRRRTQSDNFFDDIFRGNSSVSSSPRRLQRDPFSSAPGSRVLSPARPLPPKAEPSAASSLSRQFSLPTRLTKGVDLPTIGSTSRTTSLSRFSSHSIQSPEDLKNDIRSREFSGSGEGCSDLATSDKADTGGNLEQDSKSKESPTSSSPFQFHFSIYKWASKGVPIAMPLGRRTGSRGQKRTNNKEHSNTNECAANESMARQSPKSTLPNIDFPSDDHPHPLADADADADTRSPTLEPNKQENDLLLDESGPAKVEPCQFFEELILPVAESEPFSRLHKTIEDVSGNTVSCRRSEDIEPYPLLETASGKAPQKESPVLLEQVSEPDLKPNSSLFFDDDFQQGNDEIFKVGGRVKGTKKSSVDVGSNKIAKNQNGKRSSLTNVDVDKASFQGSPRNSRDNLGRSKVKGKVKDFVKMFNQEVLCKPAYDGDLGSQRYKTKEKGAFRAENEASVSAARMFEDLQKSNVKSPFLNASIMMNEDLKQLEKEYCEAKTASYVLSNAPGQDVSASSSGSVPHDPMAITGDADECFPVKELTQDEKKQPQAGENHEEIQAIDAKIRQWSRGKEGNIRSLLTTMQYVLWPESGWKTVPLVDVIEGNSVKRAYQRALLCLHPDKLQQKGAASHHKYIAAKVFDILQEAWNHFNSLGAL >ONI32727 pep chromosome:Prunus_persica_NCBIv2:G1:34386252:34390979:1 gene:PRUPE_1G382200 transcript:ONI32727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFSQREGLLLGYSPQSFFMNSASSSPSTSNKNSDVDFHDVFGGPPRRSSIHETRYSFSIVTDNNTLKRVVDDDDDEDNEAWSGSTEKPVFGDESMNRRRTQSDNFFDDIFRGNSSVSSSPRRLQRDPFSSAPGSRVLSPARPLPPKAEPSAASSLSRQFRLTKGVDLPTIGSTSRTTSLSRFSSHSIQSPEDLKNDIRSREFSGSGEGCSDLATSDKADTGGNLEQDSKSKESPTSSSPFQFHFSIYKWASKGVPIAMPLGRRTGSRGQKRTNNKEHSNTNECAANESMARQSPKSTLPNIDFPSDDHPHPLADADADADTRSPTLEPNKQENDLLLDESGPAKVEPCQFFEELILPVAESEPFSRLHKTIEDVSGNTVSCRRSEDIEPYPLLETASGKAPQKESPVLLEQVSEPDLKPNSSLFFDDDFQQGNDEIFKVGGRVKGTKKSSVDVGSNKIAKNQNGKRSSLTNVDVDKASFQGSPRNSRDNLGRSKVKGKVKDFVKMFNQEVLCKPAYDGDLGSQRYKTKEKGAFRAENEASVSAARMFEDLQKSNVKSPFLNASIMMNEDLKQLEKEYCEAKTASYVLSNAPGQDVSASSSGSVPHDPMAITGDADECFPVKELTQDEKKQPQAGENHEEIQAIDAKIRQWSRGKEGNIRSLLTTMQYVLWPESGWKTVPLVDVIEGNSVKRAYQRALLCLHPDKLQQKGAASHHKYIAAKVFDILQEAWNHFNSLGAL >ONI27125 pep chromosome:Prunus_persica_NCBIv2:G1:4919858:4923162:-1 gene:PRUPE_1G069000 transcript:ONI27125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDDFDLMAPLLFVCFFFFLITVGPRFLSYGRLLSLRTIINLAWDNISFCHRKCAVIIWKKTCN >ONI27425 pep chromosome:Prunus_persica_NCBIv2:G1:6339016:6344339:-1 gene:PRUPE_1G085300 transcript:ONI27425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDADFDLRPSPQSAFAIGEYAFADVGNLEHCIKYLNQTLVTFGFPASLDLFANDPVSVARTCNCMYSLIQQRQRDVEFRESANEQRQRLLSDISRLEAKVERLEGQLQAKDREIATITRTEAKATAAFKSQIDKLQQERDEFQRMVIGNQQVRTQQMHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNEFYKKIVDTYEAKNQELIAENADLKALLRSMQVDMRDFINAPNGQSKQSLAANERVETDHTQSPLGGRTDVFDLPFHMARDQIEESLRNKMASIKERMVQLQDAQKEAEVTSEVTERELELEAQLVEARSIIQEQASIMSKQLAKSERPRESIISSPAEIMACLEYQVYFGNPRSVCGFENGHLGS >ONI27424 pep chromosome:Prunus_persica_NCBIv2:G1:6338419:6344624:-1 gene:PRUPE_1G085300 transcript:ONI27424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDADFDLRPSPQSAFAIGEYAFADVGNLEHCIKYLNQTLVTFGFPASLDLFANDPVSVARTCNCMYSLIQQRQRDVEFRESANEQRQRLLSDISRLEAKVERLEGQLQAKDREIATITRTEAKATAAFKSQIDKLQQERDEFQRMVIGNQQVRTQQMHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNEFYKKIVDTYEAKNQELIAENADLKALLRSMQVDMRDFINAPNGQSKQSLAANERVETDHTQSPLGGRTDVFDLPFHMARDQIEESLRNKMASIKERMVQLQDAQKEAEVTSEVTERELELEAQLVEARSIIQEQASIMSKQLAKSERPRNLNGHFNSGRESIISSPAEGVRN >ONI27426 pep chromosome:Prunus_persica_NCBIv2:G1:6338429:6344530:-1 gene:PRUPE_1G085300 transcript:ONI27426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDADFDLRPSPQSAFAIGEYAFADVGNLEHCIKYLNQTLVTFGFPASLDLFANDPVSVARTCNCMYSLIQQRQRDVEFRESANEQRQRLLSDISRLEAKVERLEGQLQAKDREIATITRTEAKATAAFKSQIDKLQQERDEFQRMVIGNQQVRTQQMHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNEFYKKIVDTYEAKNQELIAENADLKALLRSMQVDMRDFINAPNGQSKQSLAANERVETDHTQSPLGGRTDVFDLPFHMARDQIEESLRNKMASIKERMVQLQDAQKEAEVTSEVTERELELEAQLVEARSIIQEQE >ONI27427 pep chromosome:Prunus_persica_NCBIv2:G1:6340231:6344530:-1 gene:PRUPE_1G085300 transcript:ONI27427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDADFDLRPSPQSAFAIGEYAFADVGNLEHCIKYLNQTLVTFGFPASLDLFANDPVSVARTCNCMYSLIQQRQRDVEFRESANEQRQRLLSDISRLEAKVERLEGQLQAKDREIATITRTEAKATAAFKSQIDKLQQERDEFQRMVIGNQQVRTQQMHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNEFYKKIVDTYEAKNQELIAENADLKALLRSMQVDMRDFINAPNGQSKQSLAANERVETDHTQSPLGGRTDVFDLPFHMARDQIEESLRNKMASIKERMVQLQDAQKEAEVTSEVTERELELEAQLVEARSIIQEQVLFFYSYASEIRCEAIYVLIGYRLNEFSLNESLNALYKTSHLS >ONI28220 pep chromosome:Prunus_persica_NCBIv2:G1:10387303:10389594:1 gene:PRUPE_1G132000 transcript:ONI28220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKKKMIIIGVVVLLVVVIAVGVTVGIMKSKAKKLAKSAAKPAKSKKAIEAICQPTSYKETCQSSLEKVAGDTTDPKELVKAGFQVAADKVNEVIQNSDTLKDLAKDPMASQALDVCKEMLNTAVEDLLGAVDKMGPFEISKVDDYLEDLKVWLSAAVNHQATCVDAFKDTKGEAGDKMKGFLKTAQELTSNGLAMVTQISSLLGSLNLNSHRRLLSAHSKRNYQAVPSWMGARQLQLLTATPQSLKPNVVVAQDGSGKYKTIKEALKEVPTKSNETFVIYIKEGVYKEEIIIDRQMTNVFMIGDGPTKTKISGSKNKADGTATMHTATFAAVGDYFVAKDIRFENTAGHIKHQAVALRVQSDFSIFYNCHMDAYQDTLYTQTYRQYYRDCTITGTVDFIFGDGVALFQNCKMLVRKPGDSQSCMVTAQGRTDKNEITAIVLQNCTITGEPEYMAVKDKNKAYLGRPWKDFARVVVMNSQIDEAIQPEGWIEWAGSQFHNTCFLGEYGNRGPRADMAQRVKWPSLKKLTADQAATFTPAKLFEGDRWIKPSGVPYVSGMVSA >ONI26822 pep chromosome:Prunus_persica_NCBIv2:G1:3402160:3405429:-1 gene:PRUPE_1G048200 transcript:ONI26822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVSIQPPRPPPQPPNADPRILHARAITVANIDRSLRNNLITLYSKSNLLSYSLRLFHQISSPNVVSWTAVISAHCNTLFALQHFVSMLRHPTFPNQRTFASLFKTCASLPSLSFGLALHSLSLKLGVSAQPFSGSALIHFYSKCRLPIEARKMLDEIPHKDEVCYAAVIVGLAQNSRPVEALSTFADMKCSDIGSTMYSVSGALRAAKELAVLEQCRIIHSHAIVTGLDGNVIVGTALIDSYGKAGLVSDARQVFDENLSSMNIVGWNVMLAGYAQLGDTKLMLEVFNAMEARGLVPDEYSFLAILTSFCNAGLVSETDWWLTRMKVDYGLEPAIEHYTCLVGAMGRAGQLEEAERAALTMPLIPDAAVWRSLLSSSAYHKAPDFARSMAKRLLEIDPHDDSAYVIAANVLSNAGRWDEVAEVRKMMKDRRVQKEGGRSWIEVRGKIHVFFARDRRHERMNEIYAKLVELMEEIGKLGYVPVWDEILHEVGEWEKKEALWYHSEKLAVAFAVVSGAAPPGKSIRIVKNLRICRDCHEAFKYICRVLEREIIVRDVNRYHRFVNGSCTCGDIW >ONI30318 pep chromosome:Prunus_persica_NCBIv2:G1:25756326:25763228:1 gene:PRUPE_1G244100 transcript:ONI30318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYQAQQRYMRPQPPATSDPYQYQQQQQQQQPRPQWYSNHFQYPSPQQPQWAPPPRADHLPPPGSYPPPPLPHPYPPPYPPNHHFPPPPPPSRPHLPSHLPPPPPIPPPPHSYPQHTQEWGAAPSWPNNQGWDYPAHNNQEDWEAKAKAWVDARASTENQHQQLQFPPAGRVEEQSHYHDQYPQNVDSHYSGTHHQSLSASSYQQVPVSGTPTHQPLGIRPQETSSSYAHHAVRDGMPAVDTNSVFHRQGNLSTSPSVHQQEVPSSYSSVTGNEGSAAQEEQYHMQPSQPMLFAYGSQSADPTTNLADQPLEFAPGFNSDHGLHLQPSYSYHDPATTVPSMNTWSTSVAPGVGYPSSLPVPSGPQHDPSMAIPSPVPGHAPPSFGSFPGLSLQPTIPSAGPPFGLTAGTAVHPTAAFPGDAYGVSTVSERPKKASVPNWLREEIKKAVITSSSMDHPKEETQSIEDEGVDRSFGKGDQADSKSIDSSRSTEEEDDEDQVEAARTAAINQEIKRVLTEVLLKVTDDLFDEIATKVLTEDDLTLEVEQSTLTSNHKLLPSPPAVSALKASAKVLIPAKSKESETEDVSEKSSSSSPGNVLGLANYASDDDDVDGDIQSSVMPNSGKDAILQHSTVKKPSNVRQDTTTNDSSLVGLEEHGRSQTILESDLGKTSSLESKYNNSAAISDISYNRQKNVESNAAKNLPDGTTASRLKDTVGTLKPDLHKENVNVKKTSKDDSQGRESRMKPDKHDRHESKNSSVTDFNKDLESGKIRTVEKGDGNRRGHDERHSRKERTDDRNGSREKVKEQSLKPVEKAQESESRKRSSHADDKEDRRETERLHSSSAKEDNNRKREQAKDKEDDRSRRKHSTDSSRHKRRRSSSVGSRGRNSKDNLVNHANDSSDEASDDSKRKHSRRRNLSPSPVRSRRRQVSRSPHSKHSQRRHSPYSSVDSKRGRRSRSRSPVRRQR >ONI30320 pep chromosome:Prunus_persica_NCBIv2:G1:25756341:25763228:1 gene:PRUPE_1G244100 transcript:ONI30320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYQAQQRYMRPQPPATSDPYQYQQQQQQQQPRPQWYSNHFQYPSPQQPQWAPPPRADHLPPPGSYPPPPLPHPYPPPYPPNHHFPPPPPPSRPHLPSHLPPPPPIPPPPHSYPQHTQEWGAAPSWPNNQGWDYPAHNNQEDWEAKAKAWVDARASTENQHQQLQFPPAGRVEEQSHYHDQYPQNVDSHYSGTHHQSLSASSYQQVPVSGTPTHQPLGIRPQETSSSYAHHAVRDGMPAVDTNSVFHRQGNLSTSPSVHQQEVPSSYSSVTGNEGSAAQEEQYHMQPSQPMLFAYGSQSADPTTNLADQPLEFAPGFNSDHGLHLQPSYSYHDPATTVPSMNTWSTSVAPGVGYPSSLPVPSGPQHDPSMAIPSPVPGHAPPSFGSFPGLSLQPTIPSAGPPFGLTAGTAVHPTAAFPGDAYGVSTVSERPKKASVPNWLREEIKKAVITSSSMDHPKEETQSIEDEGVDRSFGKGDQADSKSIDSSRSTEEEDDEDQVEAARTAAINQEIKRVLTEVLLKVTDDLFDEIATKVLTEDDLTLEVEQSTLTSNHKLLPSPPAVSALKASAKVLIPAKSKESETEDVSEKSSSSSPGNVLGLANYASDDDDVDGDIQSSVMPNSGKDAILQHSTVKKPSNVRQDTTTNDSSLVGLEEHGRSQTILESDLGKTSSLESKYNNSAAISDISYNRQKNVESNAAKNLPDGTTASRLKDTVGTLKPDLHKENVNVKKTSKDDSQGRESRMKPDKHDRHESKNSSVTDFNKDLESGKIRTVEKGDGNRRGHDERHSRKERTDDRNGSREKVKEQSLKPVEKAQESESRKRSSHADDKEDRRETERLHSSSAKEDNNRKREQAKDKEDDRSRRKHSTDSSRHKRRRSSSVGSRGRNSKDNLVNHANDSSDEASDDSKRKHSRRRNLSPSPVRSRRRYHLSEICVRFLFLCLHRKVQPIVF >ONI30321 pep chromosome:Prunus_persica_NCBIv2:G1:25758601:25762550:1 gene:PRUPE_1G244100 transcript:ONI30321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNEGSAAQEEQYHMQPSQPMLFAYGSQSADPTTNLADQPLEFAPGFNSDHGLHLQPSYSYHDPATTVPSMNTWSTSVAPGVGYPSSLPVPSGPQHDPSMAIPSPVPGHAPPSFGSFPGLSLQPTIPSAGPPFGLTAGTAVHPTAAFPGDAYGVSTVSERPKKASVPNWLREEIKKAVITSSSMDHPKEETQSIEDEGVDRSFGKGDQADSKSIDSSRSTEEEDDEDQVEAARTAAINQEIKRVLTEVLLKVTDDLFDEIATKVLTEDDLTLEVEQSTLTSNHKLLPSPPAVSALKASAKVLIPAKSKESETEDVSEKSSSSSPGNVLGLANYASDDDDVDGDIQSSVMPNSGKDAILQHSTVKKPSNVRQDTTTNDSSLVGLEEHGRSQTILESDLGKTSSLESKYNNSAAISDISYNRQKNVESNAAKNLPDGTTASRLKDTVGTLKPDLHKENVNVKKTSKDDSQGRESRMKPDKHDRHESKNSSVTDFNKDLESGKIRTVEKGDGNRRGHDERHSRKERTDDRNGSREKVKEQSLKPVEKAQESESRKRSSHADDKEDRRETERLHSSSAKEDNNRKREQAKDKEDDRSRRKHSTDSSRHKRRRSSSVGSRGRNSKDNLVNHANDSSDEASDDSKRKHSRRRNLSPSPVRSRRRQVSRSPHSKHSQRRHSPYSSVDSKRGRRSRSRSPVRRQR >ONI30319 pep chromosome:Prunus_persica_NCBIv2:G1:25756341:25763228:1 gene:PRUPE_1G244100 transcript:ONI30319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYQAQQRYMRPQPPATSDPYQYQQQQQQQQPRPQWYSNHFQYPSPQQPQWAPPPRADHLPPPGSYPPPPLPHPYPPPYPPNHHFPPPPPPSRPHLPSHLPPPPPIPPPPHSYPQHTQEWGAAPSWPNNQGWDYPAHNNQEDWEAKAKAWVDARASTENQHQQLQFPPAGRVEEQSHYHDQYPQNVDSHYSGTHHQSLSASSYQQVPVSGTPTHQPLGIRPQETSSSYAHHAVRDGMPAVDTNSVFHRQGNLSTSPSVHQQEVPSSYSSVTGNEGSAAQEEQYHMQPSQPMLFAYGSQSADPTTNLADQPLEFAPGFNSDHGLHLQPSYSYHDPATTVPSMNTWSTSVAPGVGYPSSLPVPSGPQHDPSMAIPSPVPGHAPPSFGSFPGLSLQPTIPSAGPPFGLTAGTAVHPTAAFPGDAYGVSTVSERPKKASVPNWLREEIKKAVITSSSMDHPKEETQSIEDEGVDRSFGKGDQADSKSIDSSRSTEEEDDEDQVEAARTAAINQEIKRVLTEVLLKVTDDLFDEIATKVLTEDDLTLEVEQSTLTSNHKLLPSPPAVSALKASAKVLIPAKSKESETEDVSEKSSSSSPGNVLGLANYASDDDDVDGDIQSSVMPNSGKDAILQHSTVKKPSNVRQDTTTNDSSLVGLEEHGRSQTILESDLGKTSSLESKYNNSAAISDISYNRQKNVESNAAKNLPDGTTASRLKDTVGTLKPDLHKENVNVKKTSKDDSQGRESRMKPDKHDRHESKNSSVTDFNKDLESGKIRTVEKGDGNRRGHDERHSRKERTDDRNGSREKVKEQSLKPVEKAQESESRKRSSHADDKEDRRETERLHSSSAKEDNNRKREQAKDKEDDRSRRKHSTDSSRHKRRRSSSVGSRGRNSKDNLVNHANDSSDEASDDSKRKHSRRRNLSPSPVRSRRRGRRSRSRSPVRRQR >ONI31022 pep chromosome:Prunus_persica_NCBIv2:G1:28782544:28785205:-1 gene:PRUPE_1G288100 transcript:ONI31022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQSAHPHTLETVGHAFVKQYYDLFCQPEFLHRFYHESSVLSRPEPDGKALKTVTTLQDINSMILSLDYNNCHLQILSADYQFSLNGGVIILVTGHLTGKDNVQRKFTQTFFLAPQDTGFFVLNDIFKYVDGVVENIVVDQSTPEASLAPNHEPSVVADQPELNQTTSVEVNNTNEKELNNTHGKESINVLENGQETVTENSVVAENPVESRQNVAPQVTEAAASNTQKDAPKKSFASVVNALNGNNAPFLMRTRPTKPVERPREPVTPVALPPQNNSAPEKNNVPAGKSYAIFVAKLPMNATVEELEKVFKQFGPIKRDGIQVRSNKQQGTCFGFVEFESAKAMQTALEASVKYGNFELRVEERRANNNERGRYPSGRGGYRNDSFRGRENYTGDRGNYTGGQGYGRSDSEKSEHREFSGQTRGNAGRNGEAYQRPYQNGGKAARQTGKVVT >ONI31019 pep chromosome:Prunus_persica_NCBIv2:G1:28781801:28786024:-1 gene:PRUPE_1G288100 transcript:ONI31019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQSAHPHTLETVGHAFVKQYYDLFCQPEFLHRFYHESSVLSRPEPDGKALKTVTTLQDINSMILSLDYNNCHLQILSADYQFSLNGGVIILVTGHLTGKDNVQRKFTQTFFLAPQDTGFFVLNDIFKYVDGVVENIVVDQSTPEASLAPNHEPSVVADQPELNQTTSVEVNNTNEKELNNTHGKESINVLENGQETVTENSVVAENPVESRQNVAPQVTEAAASNTQKDAPKKSFASVVNALNGNNAPFLMRTRPTKPVERPREPVTPVALPPQNNSAPEKNNVPAGKSYAIFVAKLPMNATVEELEKVFKQFGPIKRDGIQVRSNKQQGTCFGFVEFESAKAMQTALEASVKYGNFELRVEERRANNNERGRYPSGRGGYRNDSFRGRENYTGDRGNYTGGQGYGRSDSEKSEHREFSGQTRGNAGRNGEAYQRPYQNGGKAARQTGKVHSCHTLFSHGPYVCSAAAGLFVILISKVSVKSNRVYYQHVATRCVGESFVSTSTSMTCPIRSSPISYFFCLAISFPAL >ONI31024 pep chromosome:Prunus_persica_NCBIv2:G1:28782544:28785205:-1 gene:PRUPE_1G288100 transcript:ONI31024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQSAHPHTLETVGHAFVKQYYDLFCQPEFLHRFYHESSVLSRPEPDGKALKTVTTLQDINSMILSLDYNNCHLQILSADYQFSLNGGVIILVTGHLTGKDNVQRKFTQTFFLAPQDTGFFVLNDIFKYVDGVVENIVVDQSTPEASLAPNHVVTEPSVVADQPELNQTTSVEVNNTNEKELNNTHGKESINVLENGQETVTENSVVAENPVESRQNVAPQVTEAAASNTQKDAPKKSFASVVNALNGNNAPFLMRTRPTKPVERPREPVTPVALPPQNNSAPEKNNVPAGKSYAIFVAKLPMNATVEELEKVFKQFGPIKRDGIQVRSNKQQGTCFGFVEFESAKAMQTALEASVKYGNFELRVEERRANNNERGRYPSGRGGYRNDSFRGRENYTGDRGNYTGGQGYGRSDSEKSEHREFSGQTRGNAGRNGEAYQRPYQNGGKAARQTGKVVT >ONI31020 pep chromosome:Prunus_persica_NCBIv2:G1:28781801:28786031:-1 gene:PRUPE_1G288100 transcript:ONI31020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQSAHPHTLETVGHAFVKQYYDLFCQPEFLHRFYHESSVLSRPEPDGKALKTVTTLQDINSMILSLDYNNCHLQILSADYQFSLNGGVIILVTGHLTGKDNVQRKFTQTFFLAPQDTGFFVLNDIFKYVDGVVENIVVDQSTPEASLAPNHVVTEPSVVADQPELNQTTSVEVNNTNEKELNNTHGKESINVLENGQETVTENSVVAENPVESRQNVAPQVTEAAASNTQKDAPKKSFASVVNALNGNNAPFLMRTRPTKPVERPREPVTPVALPPQNNSAPEKNNVPAGKSYAIFVAKLPMNATVEELEKVFKQFGPIKRDGIQVRSNKQQGTCFGFVEFESAKAMQTALEASVKYGNFELRVEERRANNNERGRYPSGRGGYRNDSFRGRENYTGDRGNYTGGQGYGRSDSEKSEHREFSGQTRGNAGRNGEAYQRPYQNGGKAARQTGKVHSCHTLFSHGPYVCSAAAGLFVILISKVSVKSNRVYYQHVATRCVGESFVSTSTSMTCPIRSSPISYFFCLAISFPAL >ONI31021 pep chromosome:Prunus_persica_NCBIv2:G1:28781801:28785986:-1 gene:PRUPE_1G288100 transcript:ONI31021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQSAHPHTLETVGHAFVKQYYDLFCQPEFLHRFYHESSVLSRPEPDGKALKTVTTLQDINSMILSLDYNNCHLQILSADYQFSLNGGVIILVTGHLTGKDNVQRKFTQTFFLAPQDTGFFVLNDIFKYVDGVVENIVVDQSTPEASLAPNHEPSVVADQPELNQTTSVEVNNTNEKELNNTHGKESINVLENGQETVTENSVVAENPVESRQNVAPQVTEAAASNTQKDAPKKSFASVVNALNGNNAPFLMRTRPTKPVERPREPVTPVALPPQNNSAPEKNNVPAGKSYAIFVAKLPMNATVEELEKVFKQFGPIKRDGIQVRSNKGTCFGFVEFESAKAMQTALEASVKYGNFELRVEERRANNNERGRYPSGRGGYRNDSFRGRENYTGDRGNYTGGQGYGRSDSEKSEHREFSGQTRGNAGRNGEAYQRPYQNGGKAARQTGKVVT >ONI31023 pep chromosome:Prunus_persica_NCBIv2:G1:28782544:28785205:-1 gene:PRUPE_1G288100 transcript:ONI31023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQSAHPHTLETVGHAFVKQYYDLFCQPEFLHRFYHESSVLSRPEPDGKALKTVTTLQDINSMILSLDYNNCHLQILSADYQFSLNGGVIILVTGHLTGKDNVQRKFTQTFFLAPQDTGFFVLNDIFKYVDGVVENIVVDQSTPEASLAPNHVVTEPSVVADQPELNQTTSVEVNNTNEKELNNTHGKESINVLENGQETVTENSVVAENPVESRQNVAPQVTEAAASNTQKDAPKKSFASVVNALNGNNAPFLMRTRPTKPVERPREPVTPVALPPQNNSAPEKNNVPAGKSYAIFVAKLPMNATVEELEKVFKQFGPIKRDGIQVRSNKGTCFGFVEFESAKAMQTALEASVKYGNFELRVEERRANNNERGRYPSGRGGYRNDSFRGRENYTGDRGNYTGGQGYGRSDSEKSEHREFSGQTRGNAGRNGEAYQRPYQNGGKAARQTGKVVT >ONI35199 pep chromosome:Prunus_persica_NCBIv2:G1:42779380:42781677:-1 gene:PRUPE_1G521800 transcript:ONI35199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILSHQPCFSLVLLNPPKGFIYKITRECILGFIVCSVMERYEIVKDIGTGNFGVARLVRDKFTKELFAVKFVERGQKIAEHVRREIMNHRSLEHPNIVRFKEVLLTPTHLAIVMEYAEGGELFERIHSAGRFSEDEARYFFQQLISGVSYCHSMHICHRDLKLENTLLDGSTAPRVKICDFGHSKSLLHSQPKSAVGTPAYIAPEVLSKSNYDGKTLQISDVWSCGVTLYVMIVGSYPFEDPEEPINFKKTIERILSVHYSIPDFIRVSKECRHLLSLIFVANPEKRITIPEIESHPWFVKNLPIELMEGVGSWQCKDANNPSQSVEEVLSIIQQARNPSEEAPNAAGRPLMGSKSMDL >ONI35198 pep chromosome:Prunus_persica_NCBIv2:G1:42779310:42781740:-1 gene:PRUPE_1G521800 transcript:ONI35198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILSHQPCFSLVLLNPPKGFIYKITRECILGFIVCSVMERYEIVKDIGTGNFGVARLVRDKFTKELFAVKFVERGQKIAEHVRREIMNHRSLEHPNIVRFKEVLLTPTHLAIVMEYAEGGELFERIHSAGRFSEDEARYFFQQLISGVSYCHSMHICHRDLKLENTLLDGSTAPRVKICDFGHSKSLLHSQPKSAVGTPAYIAPEVLSKSNYDGKISDVWSCGVTLYVMIVGSYPFEDPEEPINFKKTIERILSVHYSIPDFIRVSKECRHLLSLIFVANPEKRITIPEIESHPWFVKNLPIELMEGVGSWQCKDANNPSQSVEEVLSIIQQARNPSEEAPNAAGRPLMGSKSMDL >ONI33384 pep chromosome:Prunus_persica_NCBIv2:G1:36375709:36376473:-1 gene:PRUPE_1G421000 transcript:ONI33384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNIDEYKYPVSSSKGRGPCIPGRDLSSEGEPASSCEQSCEETRSRSRSSIPWQREESLALGFDISIGPCFYYLYTVQSRFMLVCIWRTWSTTCSLIVNTVA >ONI35948 pep chromosome:Prunus_persica_NCBIv2:G1:45899271:45901555:1 gene:PRUPE_1G561900 transcript:ONI35948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTHNPGSSSPLTSFGRSIWSRREPFHSIEADHESSEQELELQSFQKLVVDLFHDLSAVSADELLSIAWIRKLLDVFVCCLDEFRVLLLKNKAQVSKPPLDHWADEYLDRSLKALDICNATCDGIEKIRTWHKHLEIVMCALESRKRAFSEGQFRRARKALMDLTLEMLDERDSGSVFSHRNWSFGRNNPRKDARRRQHSSGNSSGHSRSHSWSISNSWSAAKQLQSIANNLIAPRGNEIIATNGLAGSVYTMSSVLTFVLSALVAAIPCQDRGLGTHFSIPQQYSWGIPLISLHERIIEESKKRERQNSNGLLREIYHVERCARHMTDLVDVVQFPLTEEQKVEVEQELQELASICEKFKNGLDPLERQIREVFRRIMNCRTEGLEILSRANNLE >ONI35946 pep chromosome:Prunus_persica_NCBIv2:G1:45899271:45901555:1 gene:PRUPE_1G561900 transcript:ONI35946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMFMFLEYPEAFVVGILWVVTMPSTHNPGSSSPLTSFGRSIWSRREPFHSIEADHESSEQELELQSFQKLVVDLFHDLSAVSADELLSIAWIRKLLDVFVCCLDEFRVLLLKNKAQVSKPPLDHWADEYLDRSLKALDICNATCDGIEKIRTWHKHLEIVMCALESRKRAFSEGQFRRARKALMDLTLEMLDERDSGSVFSHRNWSFGRNNPRKDARRRQHSSGNSSGHSRSHSWSISNSWSAAKQLQSIANNLIAPRGNEIIATNGLAGSVYTMSSVLTFVLSALVAAIPCQDRGLGTHFSIPQQYSWGIPLISLHERIIEESKKRERQNSNGLLREIYHVERCARHMTDLVDVVQFPLTEEQKVEVEQELQELASICEKFKNGLDPLERQIREVFRRIMNCRTEGLEILSRANNLE >ONI35949 pep chromosome:Prunus_persica_NCBIv2:G1:45899502:45901555:1 gene:PRUPE_1G561900 transcript:ONI35949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTHNPGSSSPLTSFGRSIWSRREPFHSIEADHESSEQELELQSFQKLVVDLFHDLSAVSADELLSIAWIRKLLDVFVCCLDEFRVLLLKNKAQVSKPPLDHWADEYLDRSLKALDICNATCDGIEKIRTWHKHLEIVMCALESRKRAFSEGQFRRARKALMDLTLEMLDERDSGSVFSHRNWSFGRNNPRKDARRRQHSSGNSSGHSRSHSWSISNSWSAAKQLQSIANNLIAPRGNEIIATNGLAGSVYTMSSVLTFVLSALVAAIPCQDRGLGTHFSIPQQYSWGIPLISLHERIIEESKKRERQNSNGLLREIYHVERCARHMTDLVDVVQFPLTEEQKVEVEQELQELASICEKFKNGLDPLERQIREVFRRIMNCRTEGLEILSRANNLE >ONI35947 pep chromosome:Prunus_persica_NCBIv2:G1:45899271:45901555:1 gene:PRUPE_1G561900 transcript:ONI35947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMFMFLEYPEAFVVGILWVVTMPSTHNPGSSSPLTSFGRSIWSRREPFHSIEADHESSEQELELQSFQKLVVDLFHDLSAVSADELLSIAWIRKLLDVFVCCLDEFRVLLLKNKAQVSKPPLDHWADEYLDRSLKALDICNATCDGIEKIRTWHKHLEIVMCALESRKRAFSEGQFRRARKALMDLTLEMLDERDSGSVFSHRNWSFGRNNPRKDARRRQHSSGNSSGHSRSHSWSISNSWSAAKQLQSIANNLIAPRGNEIIATNGLAGSVYTMSSVLTFVLSALVAAIPCQDRGLGTHFSIPQQYSWGIPLISLHERIIEESKKRERQNSNGLLREIYHVERCARHMTDLVDVVQFPLTEEQKVEVEQELQELASICEKFKNGLDPLERQIREVFRRIMNCRTEGLEILSRANNLE >ONI27455 pep chromosome:Prunus_persica_NCBIv2:G1:6539460:6539843:-1 gene:PRUPE_1G087800 transcript:ONI27455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDKWSLLSRLRNAVKKVSFLLHLNVNRWRIASMIGRTASGNHRLRSFNDRPGLTAACADDETSSEDSGSSRGHLQRTISYPSDQDDIDQRAEMFIANFRRQLQMERQISLELRYCRGNSFDLKSP >ONI27880 pep chromosome:Prunus_persica_NCBIv2:G1:8729101:8730386:-1 gene:PRUPE_1G109200 transcript:ONI27880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDASGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVIDSNDRDRVVEARDEMHRMLNEDELREAVLLVFANKQDLPNAMNAAEITDKLNLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNITSKAC >ONI27878 pep chromosome:Prunus_persica_NCBIv2:G1:8728306:8730893:-1 gene:PRUPE_1G109200 transcript:ONI27878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDASGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVIDSNDRDRVVEARDEMHRMLNEDELREAVLLVFANKQDLPNAMNAAEITDKLNLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNITSKV >ONI27879 pep chromosome:Prunus_persica_NCBIv2:G1:8728306:8730893:-1 gene:PRUPE_1G109200 transcript:ONI27879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDASGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVIDSNDRDRVVEARDEMHRMLNEDELREAVLLVFANKQDLPNAMNAAEITDKLNLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNITSKV >ONI27881 pep chromosome:Prunus_persica_NCBIv2:G1:8729101:8730386:-1 gene:PRUPE_1G109200 transcript:ONI27881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDASGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVIDSNDRDRVVEARDEMHRMLNEDELREAVLLVFANKQDLPNAMNAAEITDKLNLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNITSKAC >ONI26132 pep chromosome:Prunus_persica_NCBIv2:G1:519183:520382:-1 gene:PRUPE_1G006000 transcript:ONI26132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPPYSFNILRLGYSIVSCTFASTTKRFLVGDLKPSHISLQNHLLHRPITSKISADQHNFTVAYLINSCGLSPEDAISSSKWVELQSSQGADSVLALLSNHGLSKTQISKVVRLRPAILVADPEKTLLPKLEFFSSVVVSRQDLGRILCFNPHLLARSLENQIIPAYKFLRSLISEENVVAVLKRSSWIFLQNRRKSVVPNIELLRELGMPQSCIALLLAHNTQVLMHKHENFAAAVEEVKAMGFDMKKSTCVMALKALCGESSKFIWNRSGEIYKRSWGWSDDDVISAFRKIPGCMILSEKKIMQVMDFLVNKMGWPSRVFAAYPMILCFSLEKRIIPRCSVVKVLLLKGLIDEDFSFSSVLLPPEKHFLERFVTRYINQLPMLSDVYHRKLDVKDV >ONI34444 pep chromosome:Prunus_persica_NCBIv2:G1:40246343:40251605:-1 gene:PRUPE_1G482400 transcript:ONI34444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRSPPKHRHDGTSPLPLGMDWSPPPRKWNGRDTVWPHDPRTGWSYCVIIPSWVVLPKSRNSDPVVFYRVQVGVQSPEGITTTSGVLRRFNDFLKLFNDLKKAFPKKNLPPTPPKGLLRMKSRELLEERRCSLEAWMTKLLSDIDISRSVAVASFLELESAARSSFQDASQNSSEVNSLQSPPNSALPVIVGSSSIASDYGSDTAYETSELGTPRLARDDSSEIGIEDLLLDEDLTSPIEKLVKYGMANIDEGLFMGQTILEQLEGLPKHKVHARNVNNVIGKDTYNGNASKTSIQARNGMELFSAPEHSKVFGHSRKLSNESVGSDVSSQRGSEMSNSGVPNSSGDGSFDLVGGAEVSSITEILGNAELQTSGGTQVVLPLDQRHKLSRVLLTMQRRLVTAKTDMEDLIARLNQEIAVKDYLTTKVKDLEVELETTRQKSKENLQQAILIERERFTKMQWDMEELRRKSLEMELKLKSEQDNKSCADTTKDSTVQEKDIMPQELDPYKEQLENLSKRYEELEAKSKADIKVLVKEVKFLRSSQKELKYELSKSLKEKSEAEKLLQQEKQTSKLGETARKRLLHDCRILHKQLEECNINFPEDPSMLPEASDLLATSDNRISLLLSEVQLLAQDNGATTDVGEVDNFVDDTRTTDDELRKMLADIFSENASLRKQVNSVIRQACKMDIPS >ONI34443 pep chromosome:Prunus_persica_NCBIv2:G1:40246037:40252159:-1 gene:PRUPE_1G482400 transcript:ONI34443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRSPPKHRHDGTSPLPLGMDWSPPPRKWFYRVQVGVQSPEGITTTSGVLRRFNDFLKLFNDLKKAFPKKNLPPTPPKGLLRMKSRELLEERRCSLEAWMTKLLSDIDISRSVAVASFLELESAARSSFQDASQNSSEVNSLQSPPNSALPVIVGSSSIASDYGSDTAYETSELGTPRLARDDSSEIGIEDLLLDEDLTSPIEKLVKYGMANIDEGLFMGQTILEQLEGLPKHKVHARNVNNVIGKDTYNGNASKTSIQARNGMELFSAPEHSKVFGHSRKLSNESVGSDVSSQRGSEMSNSGVPNSSGDGSFDLVGGAEVSSITEILGNAELQTSGGTQVVLPLDQRHKLSRVLLTMQRRLVTAKTDMEDLIARLNQEIAVKDYLTTKVKDLEVELETTRQKSKENLQQAILIERERFTKMQWDMEELRRKSLEMELKLKSEQDNKSCADTTKDSTVQEKDIMPQELDPYKEQLENLSKRYEELEAKSKADIKVLVKEVKFLRSSQKELKYELSKSLKEKSEAEKLLQQEKQTSKLGETARKRLLHDCRILHKQLEECNINFPEDPSMLPEASDLLATSDNRISLLLSEVQLLAQDNGATTDVGEVDNFVDDTRTTDDELRKMLADIFSENASLRKQVNSVIRQACKMDIPS >ONI35197 pep chromosome:Prunus_persica_NCBIv2:G1:42777625:42779101:-1 gene:PRUPE_1G521700 transcript:ONI35197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNCRMQKSKIQTLYEACNLLFSQKMLPTSQQVQWLKNFLGTFKAIDVGIDEFGLCGSPSTSPRRDKGLICGQSISQITYIHIHECHHFSIGVFCFPAGATLPLHDHPGMTVFSKLLYGSCYVKAYDWINGGATSGFRTFGLAGKVLDAVMRAPCETSVLFPTSGGNIHSFSAVTPCAILDVLAPPYSEELGRPSTYFLEFPVSSLPGYAMLEEGELQDDLVVAGAPYLGPPIDDAGIGCCCLLDFASV >ONI35331 pep chromosome:Prunus_persica_NCBIv2:G1:43363991:43371569:1 gene:PRUPE_1G530500 transcript:ONI35331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKDSFVDTVAENLLDRLEDCKKTFPTALCLGGSLEAVRRLLRGRGAIERLIMMSTSHDMVKLCRDAEHGIQSENIETSFMVGDEEFLPVKESSLDLVISCLGLHWTNDLPGAMIQSRLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRISPLAQVRDAGNLLTRAGFSLPGVDVDEFVVRYPSALDLVEHLRAMGETNALLQRNTILKRETALATAAIYDSMFAAEDGTIPATFQVIYMTGWRDHPSQQRAKRRGSATVSFQDIHKQFGSES >ONI35330 pep chromosome:Prunus_persica_NCBIv2:G1:43364268:43371569:1 gene:PRUPE_1G530500 transcript:ONI35330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKDSFVDTVAENLLDRLEDCKKTFPTALCLGGSLEAVRRLLRGRGAIERLIMMSTSHDMVKLCRDAEHGIQSENIETSFMVGDEEFLPVKESSLDLVISCLGLHWTNDLPGAMIQSRLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRISPLAQVRDAGNLLTRAGFSLPGVDVDEFVVRYPSALDLVEHLRAMGETNALLQRNTILKRETALATAAIYDSMFAAEDGTIPATFQVIYMTGWRDHPSQQRAKRRGSATVSFQDIHKQFGSES >ONI35329 pep chromosome:Prunus_persica_NCBIv2:G1:43363991:43371569:1 gene:PRUPE_1G530500 transcript:ONI35329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLSSLCRSSWLSRTRREAPHSILFSKTLCTDNSDGVQSSRIKIFDRHFRRKQLDRAAWLMRSKDSFVDTVAENLLDRLEDCKKTFPTALCLGGSLEAVRRLLRGRGAIERLIMMSTSHDMVKLCRDAEHGIQSENIETSFMVGDEEFLPVKESSLDLVISCLGLHWTNDLPGAMIQSRLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRISPLAQVRDAGNLLTRAGFSLPGVDVDEFVVRYPSALDLVEHLRAMGETNALLQRNTILKRETALATAAIYDSMFAAEDGTIPATFQVIYMTGWRDHPSQQRAKRRGSATVSFQDIHKQFGSES >ONI27877 pep chromosome:Prunus_persica_NCBIv2:G1:8721133:8729003:1 gene:PRUPE_1G109100 transcript:ONI27877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAQIAQLLNETLSHDCSAVRTATEALDRLSQLPQFPYYLLSISTGGQDQGQKVAAAAYLKNFTRRNVDCDNPNSKSNVSKEFKDQLLRALLQSEQSVVKILVEVFRIVVVAEFVKQNSWPELVPDLRSAIQNSNLINNGANSQWNTINALTILQTLLRPFQYFLNPKVAKEPIPPQLELIAKDILVPLLTAFHHFVEKALGTHGTTNVETENVLLVVCKCIYFTVRSHMPSALVPLLPSFCHDLIAILGSLSFDCLVTPQNGYLMRLKTGKRSLLIFCTLITRHRKHSDKLMPDMIKCVLNIVKYSKDVGRLDFLSERILSLAFDMISHVLETGPGWRLVSPHFSYLLDSAIFQALVMNEKDTSEWEEDADEYIRKNLPSDIEEISGWREDLFTARKSAINLIGVISVSKGPQLGTSANGSSVSSKRKKSEKNKRNNQRCSIGELLVLPFLSKFPIPSDVNSSQTRIQNDYFGVLMAYGGLLDFLREQQPAYATTLVQTRVLPLYKLSVSLPYLVATANWVLGELASCLPEEMSTDVYSSLLKALVMPDSGDISCYPVRVSAAAAIMGLLDNEYPPPEWLPLLQVVIGRIGNNEEESSILFQLLSSVVEAGNENVVFHIPYIVSTLVVAISKCIPSDLKPWPQMVEKGFETLAVMDQSWETFTGEESEENESSEKWVSGRVTIARAFSALLQQAWLTPMHSLGQEGEALPPPSCLDSASRLLQSIMLSVTESNVLLELKVSELLLVWAYLIADWHAWEESEDMSVFECITGVVSLHRKYELKNFIVGRMPYPPAPPVPERSIIEGIGTFVSEAALHYPSATWEACSCIHILLHVPSYSSETEGVKQSLAIAFCQATYSRFREVKSKPGPLWKPLLLAISSCYLCYPEVVEGILEKDGDGGFETWVSALGLVSTSSFKPGLSTEPEIKLIVLALAEVVERLLVLGKSSGALLRECFTSLMEASVRLNEVRKEQEEDGEEETEDDDDDDEIEEEDDDQDSEDDEYEETEEEFLNRYAEAALALENGTGIEEGDIEDEDREMDFKQGCLEEIDLQRVVSSLLERYHPIVIQGQAFPPQLISSFLDAFPQCRSFFQHSS >ONI30279 pep chromosome:Prunus_persica_NCBIv2:G1:25577931:25580949:-1 gene:PRUPE_1G241800 transcript:ONI30279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHSSTPIISSFSFLAIIASLLTVAVLPATVSAVPLSTSSRWIVDESGQRVKLACVNWVSHLEPVVAEGLSKQPVDAISKRIASLGFNCVRLTWPTFLATNDTLASVTVRQSFQSLGLSESIAGLQSNNPSIIDLTLIQAYQAVVSSLGKNNVMVILDNQVSKPGWCCSSFDGNGFFGDQYFSPDIWIKGLTRMATLFKGVANVVGMSLRNELRGPKQNVDDWYKYMQRGAEAVHSANPDVLVILSGLSYDKDLSFLADRPVSLTFSGKTVYEVHWYGFSDGQAWKSGNPNQVCGSVVNNMKRQAGFLLEKGFPLFVSEFGVDQRGTNVNDNRYLNCFMATAAELDVDFALWTLDGSYYLKDGVLGMNEYYGVLNWDWSDIRNSSLSQRLSVLQSPFQGPGLSQSRLHKIIFHPATGLCLLKVGWLGPLKLGSCSQSGAWSYSSKKILSLKGTYFCIQADELEKPAQVGIICTTPNSQWDTISDSGLHLSSKTLNGTDVCLDVDSSNTIVASSCKCLGRDSSCDPESQWFKLVDSTITSTSSSPML >ONI30278 pep chromosome:Prunus_persica_NCBIv2:G1:25577537:25581283:-1 gene:PRUPE_1G241800 transcript:ONI30278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHSSTPIISSFSFLAIIASLLTVAVLPATVSAVPLSTSSRWIVDESGQRVKLACVNWVSHLEPVVAEGLSKQPVDAISKRIASLGFNCVRLTWPTFLATNDTLASVTVRQSFQSLGLSESIAGLQSNNPSIIDLTLIQAYQAVVSSLGKNNVMVILDNQVSKPGWCCSSFDGNGFFGDQYFSPDIWIKGLTRMATLFKGVANVVGMSLRNELRGPKQNVDDWYKYMQRGAEAVHSANPDVLVILSGLSYDKDLSFLADRPVSLTFSGKTVYEVHWYGFSDGQAWKSGNPNQVCGSVVNNMKRQAGFLLEKGFPLFVSEFGVDQRGTNVNDNRYLNCFMATAAELDVDFALWTLDGSYYLKDGVLGMNEYYGVLNWDWSDIRNSSLSQRLSVLQSPFQGPGLSQSRLHKIIFHPATGLCLLKVGWLGPLKLGSCSQSGAWSYSSKKILSLKGTYFCIQADELEKPAQVGIICTTPNSQWDTISDSGLHLSSKTLNGTDVCLDVDSSNTIVASSCKCLGRDSSCDPESQWFKLVDSTITSTSSSPML >ONI31953 pep chromosome:Prunus_persica_NCBIv2:G1:32034049:32039486:-1 gene:PRUPE_1G340900 transcript:ONI31953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPAFISFRSSYAVPLCPYSSNRGFIFKKSSFSYRRKYYISCSSCSRKPSSLRIGASSAVNGPVDVDCEMNWDDFWGNSEFVEVVGIGSRKDAVLDFCLESPFQFSCLRFWQILIKDPLIVQLQRRIFGKDATPMNFEAPLSLKSCSKAIILVASAGYGLDLMIAIDILRKIRSANGFVVTIIMKPFSFEGQRRQDEVKDLMEKLLDHTNLFICIDTDMLLKKDLVTLDEAVKTANNAVLLAVTAVSVLTSDIHRKLIDASHDDVKMIEVSEVIKILEGYKEAKIGFGGGYNIETSILRSLYDCPFLSVGVKDWDGMIICILASSGTIDNSDVQTILRTFRQSMEYEGEILISTIHEPSLEPNLVVTTVLIVGFAPKQASQKSSIFSGLAQHFPFIFDLFSRHQWQSNDTQKNPSPENARFSEEIDSPDSSEMGNRNAVDVVAEGFDKYSEGPQADDFQFSRNYRGSEQNDDGMFEATDSSSSYDPITEELPAFQRQPLVSWNLGPGYQLAKDWAKERAAEDGATVILDNLSTFCLPVGVRPPEELKDSVNISFPTQNPETKTENDVKAQPAVNLSMSSWNSFTDTGLEAVKEFYNTASTLVKGKDADNPKKQGNLSVRAASMLEAERDSPKKWSPVVEMQYRGGIYEGRCQGGLPEGKGRLLLGDGSIYDGMWRYGKRSGLGTFYFSNGDVFQGSWRDDVMHGKGWLYFHTGDRWFANFWKGKANGEGRFYSKAGDVFFGHFQDGWRHGHFICIDVDGTRCFENWDRGVLVSQKLDSDIGEG >ONI31952 pep chromosome:Prunus_persica_NCBIv2:G1:32033788:32039872:-1 gene:PRUPE_1G340900 transcript:ONI31952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPAFISFRSSYAVPLCPYSSNRGFIFKKSSFSYRRKYYISCSSCSRKPSSLRIGASSAVNGPVDVDCEMNWDDFWGNSEFVEVVGIGSRKDAVLDFCLESPFQFSCLRFWQILIKDPLIVQLQRRIFGKDATPMNFEAPLSLKSCSKAIILVASAGYGLDLMIAIDILRKIRSANGFVVTIIMKPFSFEGQRRQDEVKDLMEKLLDHTNLFICIDTDMLLKKDLVTLDEAVKTANNAVLLAVTAVSVLTSDIHRKLIDASHDDVKMIEVSEVIKILEGYKEAKIGFGGGYNIETSILRSLYDCPFLSVGVKDWDGMIICILASSGTIDNSDVQTILRTFRQSMEYEGEILISTIHEPSLEPNLVVTTVLIVGFAPKQASQKSSIFSGLAQHFPFIFDLFSRHQWQSNDTQKNPSPENARFSEEIDSPDSSEMGNRNAVDVVAEGFDKYSEGPQADDFQFSRGSEQNDDGMFEATDSSSSYDPITEELPAFQRQPLVSWNLGPGYQLAKDWAKERAAEDGATVILDNLSTFCLPVGVRPPEELKDSVNISFPTQNPETKTENDVKAQPAVNLSMSSWNSFTDTGLEAVKEFYNTASTLVKGKDADNPKKQGNLSVRAASMLEAERDSPKKWSPVVEMQYRGGIYEGRCQGGLPEGKGRLLLGDGSIYDGMWRYGKRSGLGTFYFSNGDVFQGSWRDDVMHGKGWLYFHTGDRWFANFWKGKANGEGRFYSKAGDVFFGHFQDGWRHGHFICIDVDGTRCFENWDRGVLVSQKLDSDIGEG >ONI30434 pep chromosome:Prunus_persica_NCBIv2:G1:26281821:26286306:1 gene:PRUPE_1G251200 transcript:ONI30434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFSFRSLTFMLLIALLVWSSNFEACMARRGRHWRQSRAASASSVSKKKGKGSHGGGSSHHNSGGISGSKPKSPSHNKAPSPSHNKAPSPSHNKAPSPPQLPKPRDDTPPSTPHKGSSSFNVLDFGAKGDGSTDDTKAFQAAWAAACKVEASQIIVPAAYKFLVGPISFSGPYCQPNILFQLDGTIVAPTDSKSWGKGLLQWLEFTKLRGITVQGKGLIDGRGSSWWQDTPYGDPIDDDFKLIVPLNSTAQQHPPMPIRSELSGKMPSIKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCAGVLVHDMSVSSPGNSPNTDGIHLQNSKQVLIHTTNLACGDDCISIQTGCSDVYVHNVNCGPGHGISIGSLGRDNTKACVSNITVRDIIMHNTMNGVRVKTWQGGSGSVQGVLFSNIQVSEVQLPIVIDQFYCDKSQCKNQTSAVALSGITYERIRGTYTVKPVHFACSDSLPCVDVTLNGIELKPVQEQYHMYEPFCWQTFGELRTPTVPPIACLQIGKPSSNRVNSDHDVC >ONI33902 pep chromosome:Prunus_persica_NCBIv2:G1:38050526:38053380:-1 gene:PRUPE_1G452200 transcript:ONI33902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARSEVGDYTIFMGLDKFENEELIKYGFPEDIWFHVDKMSSAHVYVRLHKGQTIDGISEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWSNLKKTASMDVGQVGFYNSKMVRTVRVEKRINEVVNRLNRTKVERKPDLKAEREAVNAAERAERKQLLRDKKRREEMERLEKERQAELRSYKGLMVSENMTSNKQIASANKSLQELEEDFM >ONI33903 pep chromosome:Prunus_persica_NCBIv2:G1:38051045:38053214:-1 gene:PRUPE_1G452200 transcript:ONI33903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARSEVGDYTIFMGLDKFENEELIKYGFPEDIWFHVDKMSSAHVYVRLHKGQTIDGISEGLLEDCAQLVKANSIQGNKVNNVDVVYTPWSNLKKTASMDVGQVGFYNSKMVRTVRVEKRINEVVNRLNRTKVERKPDLKAEREAVNAAERAERKQLLRDKVSSSSSLGQYEKRREEMERLEKERQAELRSYKGLMVSENMTSNKQIASANKSLQELEEDFM >ONI27439 pep chromosome:Prunus_persica_NCBIv2:G1:6439461:6442625:-1 gene:PRUPE_1G086500 transcript:ONI27439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYWTSLASLLGVLAFCQSLLQTVFPPELRFAFVKLFNKIFHWFSSYYYFDITEIDGVNTNELYNAVQLYLSSTVSISGSRLSLTRALNSSAITFGLSNNDCMVDAFNGVTVLWEHVVTQRQSQTFSWRPLPEEKRGFTLRIKKKDKYLILNSYLDFIMDKANEIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPKVKKEIMNDLKDFANGQAFYQKTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDIYDLELTEVHTNSELRKLLMKTSSKSIIVIEDIDCSINLTNRKKNNGGGGGASARTYYDSMEMRGGGGGVSVPGEEGGGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSHCSYPALKILLKNYLGYEEGDLDEEIGKEFEGVLEKAGMTPADVSEVLIKNRRDKGNAVRELLEALKARAEKNNAGGGSREKVNGGHDLEEEEEEEEQEKRALESPKEEGCDFVEDSCKKGDEKDPKEDNEIIEK >ONI27700 pep chromosome:Prunus_persica_NCBIv2:G1:7998386:8000690:-1 gene:PRUPE_1G100300 transcript:ONI27700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITSSLQTSNLRSAFLGERNGFSVCSVSVTHVGFLKKTIECKESRIGKQPIEVPSNVSIKLEGQALEVKGPLGQLSMTYPREVLVQKEDSGFLRVRKALETRRANQMHGLFRTLTDNMVVGVSKGFEKRLQLVGVGYRATLEGRILVLSLGFSHPVRMDIPDGIQVKVEENTRVVVSGYDKSAIGQFAASIRKWRPPEPYKGKGVKYADEIIRRKEGKAGKKK >ONI26766 pep chromosome:Prunus_persica_NCBIv2:G1:3152738:3153046:-1 gene:PRUPE_1G044100 transcript:ONI26766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDKEKECLTMPQALGICMYFCFTGAIWGSFCLIKIMKSNEDHKLSLTLVVYFIVVRHILVIFFFAVTMKFFVEVVYEVITRQRKVEPPSTVEAGECKSMV >ONI26765 pep chromosome:Prunus_persica_NCBIv2:G1:3152163:3153857:-1 gene:PRUPE_1G044100 transcript:ONI26765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDKEKECLTMPQALGICMYFCFTGAIWGSFCLIKIMKSNEDHKLSLTLVVYFIVVRHILVIFFFAVTMKFFVEVVYEVITRQRKVEPPSTVEAGECKSMV >ONI28734 pep chromosome:Prunus_persica_NCBIv2:G1:12560979:12566290:-1 gene:PRUPE_1G158500 transcript:ONI28734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPGLYNRQHAKQAGAVKGMIGRLLIAVLVVICTISFLVLWTSNGGGPSGFRPELNLDKLWRSADSGGWRPSSAPRSNWPPPPRETNGYLRVRCNGGLNQQRAAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFIMSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALAKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRSQGHFMSIHLRFEMDMLAFAGCFDIFNLKEQEILKKYRKENFAPKKLVYEERRAIGKCPLTPEEVGHILRAMGFNNSTRIYLAAGELFGGERFMKPFRVLFPHLENHSSVDPADELAENTQGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFVDLEKGRTTGFEEAVRQAMLNTNLGGPHKRIPPDSFYTNSWPECFCQTSPKNPAHKCPPDNVLEILNSQLGEATDDLESVPESNSTISVA >ONI28735 pep chromosome:Prunus_persica_NCBIv2:G1:12560979:12566290:-1 gene:PRUPE_1G158500 transcript:ONI28735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPGLYNRQHAKQAGAVKGMIGRLLIAVLVVICTISFLVLWTSNGGGPSGFRPELNLDKLWRSADSGGWRPSSAPRSNWPPPPRETNGYLRVRCNGGLNQQRAAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFIMSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALAKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRSQGHFMSIHLRFEMDMLAFAGCFDIFNLKEQEILKKYRKENFAPKKLVYEERRAIGKCPLTPEEVGHILRAMGFNNSTRIYLAAGELFGGERFMKPFRVLFPHLENHSSVDPADELAENTQGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFVDLEKGRTTGFEEAVRQAMLNTNLGGPHKRIPPDSFYTNSWPECFCQTSPKNPAHKCPPDNVLEILNSQLGEATDDLESVPESNSTISVA >ONI28737 pep chromosome:Prunus_persica_NCBIv2:G1:12561724:12565224:-1 gene:PRUPE_1G158500 transcript:ONI28737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCNKIVSCSKKCNSGTACYVFVETLTLNFLTMYMQLNLDKLWRSADSGGWRPSSAPRSNWPPPPRETNGYLRVRCNGGLNQQRAAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFIMSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALAKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRSQGHFMSIHLRFEMDMLAFAGCFDIFNLKEQEILKKYRKENFAPKKLVYEERRAIGKCPLTPEEVGHILRAMGFNNSTRIYLAAGELFGGERFMKPFRVLFPHLENHSSVDPADELAENTQGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFVDLEKGRTTGFEEAVRQAMLNTNLGGPHKRIPPDSFYTNSWPECFCQTSPKNPAHKCPPDNVLEILNSQLGEATDDLESVPESNSTISVA >ONI28736 pep chromosome:Prunus_persica_NCBIv2:G1:12561724:12565224:-1 gene:PRUPE_1G158500 transcript:ONI28736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCNKIVSCSKKCNSGTACYVFVETLTLNFLTMYMQLNLDKLWRSADSGGWRPSSAPRSNWPPPPRETNGYLRVRCNGGLNQQRAAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFIMSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALAKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRSQGHFMSIHLRFEMDMLAFAGCFDIFNLKEQEILKKYRKENFAPKKLVYEERRAIGKCPLTPEEVGHILRAMGFNNSTRIYLAAGELFGGERFMKPFRVLFPHLENHSSVDPADELAENTQGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFVDLEKGRTTGFEEAVRQAMLNTNLGGPHKRIPPDSFYTNSWPECFCQTSPKNPAHKCPPDNVLEILNSQLGEATDDLESVPESNSTISVA >ONI35132 pep chromosome:Prunus_persica_NCBIv2:G1:42569877:42573953:-1 gene:PRUPE_1G518200 transcript:ONI35132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIERKKHVYLAKLAEQAERYDEMVEAMKKVSKLDVELTVEERNLVSVGYKNVIGARRASWRILSSVEQKEEAKGSEQNAMRIKEYRQRVEDELAKICHDILTVIDYHLLPSASTGESTVFYQKMKGDYYRYLAEFKAGDDRKEVADQSLKAYEVANDTADSELPTTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLKDNLTLWTSDLPEEGGEQSKVEEPPPKS >ONI31803 pep chromosome:Prunus_persica_NCBIv2:G1:31429235:31432157:-1 gene:PRUPE_1G331900 transcript:ONI31803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSHQTLVFLWVFLLATVSFLATPSASAINSFVFGGCSQQKYLPGSPYESKVNSLLTSLVNSAMFTTYNNFTIPGSSSQDTVYGLFQCRGDLSNNDCAQCVARSVSQLGNLCLNSCGGALQLEGCFIKYDNSTFLGVEDKTVVIKKCGQSIGFDSDVLTRRDAVLGYLGTGDGTYRPYRVSGSGNVQGVAQCVGDLSPSECQDCLSEAIAQLKSGCGPSAWGDMFLAKCYARYSQGGYHTNGGHDYHNDDDDDDDDDELEKTLAILIGVIAGVALLVVFLSYFRKYLCEEEKCGK >ONI31359 pep chromosome:Prunus_persica_NCBIv2:G1:30038502:30040467:1 gene:PRUPE_1G308500 transcript:ONI31359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSDSTDAIVLRYLNEQNRPLNSQNVADALQKYNLKKAAIQKALDTLADNGKISFKEYGKQKVYLARQDQLDIPNSEELSRMKQENEKLQEQLGEQKRSISEVEGEIKALQSNLTLEQIREKEAKLTNEVNEMEDRLEKLRGGVTLVKPEDRKAVEQMVYEKISQWRKRKRMFKDLWDSITENSPKDVKEFKEEIGLEYDEDVGVSLQSYCDLLQRGKKRSSGDLQQQCAKRPPLQ >ONI34569 pep chromosome:Prunus_persica_NCBIv2:G1:40640954:40643139:1 gene:PRUPE_1G488100 transcript:ONI34569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKSCESSFQDYYADTCKQCHEHHKAKVAFLGATHPASFSDVVLFASDDEAAGPIPAHKAVLVSRSPVFRAMFENEMEESLSGTIKIGEVSYRTLGAFVNYLYTAEVRLDQQFACDLFVMAEKYQVQHLKDYCQTFLLANLNCDNSLSTYTLAHRHNAKQIIDAALIVITDNMDKLTSRKEYAKLKEKDPQLVIEIYEAFLSRHSSFSCVRTVYSPFTFP >ONI31789 pep chromosome:Prunus_persica_NCBIv2:G1:31377504:31382349:1 gene:PRUPE_1G331000 transcript:ONI31789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNVLCLPGNVSAFRVTLAFLLLISLLLHPISAGHDYHDALRKSILFFEGQRSGKLPPDQRLKWRRDSALHDGSTAGVDLTGGYYDAGDNIKFGFPMAFTTTLLAWSVIDFGRTMGPELKNTVGAVKWGTDYLLKATAVPDVVFVQVGDPYSDHNCWERPEDMDTSRAVYKIDKNHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFEFADRHRGAYSASLRSAVCPFYCDVNGFQDELLWGAAWLHKASRRRVYREYIVKNEVVLRAGDTINEFGWDNKHAGINILISKEVLMGKADYFQSFKQNADEFICSILPGLSHPQVQYSPGGLIFKPGGSNMQHVTSLSFLLLAYSNYLSHANKVVPCGQTSASPAFLKQLAKRQVDYILGDNPLMMSYMVGYGARYPQRIHHRGSSLPSVQVHPAHIGCKAGSRYFLSPNPNPNLLVGAVVGGPNSSDAFPDSRPFFQESEPTTYINAPLVGLLAYFSAHY >ONI31791 pep chromosome:Prunus_persica_NCBIv2:G1:31377595:31380248:1 gene:PRUPE_1G331000 transcript:ONI31791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNVLCLPGNVSAFRVTLAFLLLISLLLHPISAGHDYHDALRKSILFFEGQRSGKLPPDQRLKWRRDSALHDGSTAGVDLTGGYYDAGDNIKFGFPMAFTTTLLAWSVIDFGRTMGPELKNTVGAVKWGTDYLLKATAVPDVVFVQVGDPYSDHNCWERPEDMDTSRAVYKIDKNHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFEFADRHRGAYSASLRSAVCPFYCDVNGFQDELLWGAAWLHKASRRRVYREYIVKNEVVLRAGDTINEFGWDNKHAGINILISKVG >ONI31790 pep chromosome:Prunus_persica_NCBIv2:G1:31377504:31382349:1 gene:PRUPE_1G331000 transcript:ONI31790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNVLCLPGNVSAFRVTLAFLLLISLLLHPISAGHDYHDALRKSILFFEGQRSGKLPPDQRLKWRRDSALHDGSTAGVDLTGGYYDAGDNIKFGFPMAFTTTLLAWSVIDFGRTMGPELKNTVGAVKWGTDYLLKATAVPDVVFVQVGDPYSDHNCWERPEDMDTSRAVYKIDKNHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFEFADRHRGAYSASLRSAVCPFYCDVNGFQDELLWGAAWLHKASRRRVYREYIVKNEVVLRAGDTINEFGWDNKHAGINILISKVDYILGDNPLMMSYMVGYGARYPQRIHHRGSSLPSVQVHPAHIGCKAGSRYFLSPNPNPNLLVGAVVGGPNSSDAFPDSRPFFQESEPTTYINAPLVGLLAYFSAHY >ONI27146 pep chromosome:Prunus_persica_NCBIv2:G1:4994699:4999583:1 gene:PRUPE_1G070400 transcript:ONI27146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSSISDQVIIFPSKHERNEMACNQETKPELLEHRGPLLLIWQDPVRGGAGERVHYYGRIFSLQTFQLSKTKRKATLSRRLVNTQQQAQLLLHCIRKKKSMSFFRKLLKGLLGGEDEHECYYGEDVPEFYYGCYNQLPELHYRDYRYDNSHRYLLPPPKPPSTPSKPSCLPLIHPSSSPKPPTLCRKPQSSSLDTSSSSPKFVTSLSKPLRASLFRPRPSSSKPHPLSPKPPTSSSRPSPSSPNRTPFSSGPPFSSDKLPPIFKTFLSPGKKNYVWVDKGSLPIFMIPGDIKDLIKNDIVPKVLNQPLSLTTYKDYFAVLLYAEEFYFERIEEQSLIEGLYISRGFEFEDDFYVHHDSTQKYDVSFSFNRVCLKRAYQAVQYASDALFRNFLFPDCISPLLSACHKLDADQLSAVRHILSIQGSPPYLVAGQLCVERNAFWPSKTGVVVCEAVHQLCQMSTENRILIRAHNNHCCDVLMRSLLKVIPESDMFRANAAFREIDGVPEDILPSCLYKEPYFSCPPTEELKKFRVIFSTFTSSFRLHDEGLNAGHFSHIFLVDASSAIEPETVVALTFADKNTTVIVTGERGNRSHWVRADIAREKGLKISLPYRSLNPMFITQLDLHSKSRTTSKGYN >ONI27768 pep chromosome:Prunus_persica_NCBIv2:G1:8333549:8340160:-1 gene:PRUPE_1G104100 transcript:ONI27768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENHANGNVIREQGTAASNGHSAVVEDSQNNPQDRSKSKEDGTKTVPYYKLFSFADSLDYLLMSVGTISAIGNGVCMPLMTIIFGDVINSFGGSGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGTFIQLIATFVGGFVIAFIKGWLLTLVMLSSIPLLVLSGAFMGILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAITNYNNSLIKAYNSGVQEGLASGFGMGSVMLIMMCSYALAIWFGGKMILEKGYTGGEVINVVFAVLTGSMSLGQASPCLSAFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESESIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGPHSELIKDPEGAYSQLIMLQEMSSVSEQTAVNDHERLSSVDSRRHSSQRFSNLRSISRGSSGRENSNRHSFSISYGVPTAVDSLETASAGRHTPASASSRVSPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFAILISSVIKTFYEPPPQLRKDSKFWSLIFIVLGVATFIAMPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDPEHSSGAIGARLSADAASLRGVVGDALGLLVENSATAIAGLCIAFVANWQLAFIILVLMPLLGLTGYAQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAIGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPIFQDLCLTIHHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGFEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKGADVIAVVKNGVIAEKGKHETLIGIKDGIYASLVALHASASS >ONI27770 pep chromosome:Prunus_persica_NCBIv2:G1:8333549:8340164:-1 gene:PRUPE_1G104100 transcript:ONI27770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENHANGNVIREQGTAASNGHSAVVEDSQNNPQDRSKSKEDGTKTVPYYKLFSFADSLDYLLMSVGTISAIGNGVCMPLMTIIFGDVINSFGGSGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGTFIQLIATFVGGFVIAFIKGWLLTLVMLSSIPLLVLSGAFMGILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAITNYNNSLIKAYNSGVQEGLASGFGMGSVMLIMMCSYALAIWFGGKMILEKGYTGGEVINVVFAVLTGSMSLGQASPCLSAFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESESIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGPHSELIKDPEGAYSQLIMLQEMSSVSEQTAVNDHERLSSVDSRRHSSQRFSNLRSISRGSSGRENSNRHSFSISYGVPTAVDSLETASAGRHTPASASSRVSPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFAILISSVIKTFYEPPPQLRKDSKFWSLIFIVLGVATFIAMPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDPEHSSGAIGARLSADAASLRGVVGDALGLLVENSATAIAGLCIAFVANWQLAFIILVLMPLLGLTGYAQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAIGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPIFQDLCLTIHHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGFEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKGADVIAVVKNGVIAEKGKHETLIGIKDGIYASLVALHASASS >ONI27771 pep chromosome:Prunus_persica_NCBIv2:G1:8333804:8339319:-1 gene:PRUPE_1G104100 transcript:ONI27771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENHANGNVIREQGTAASNGHSAVVEDSQNNPQDRSKSKEDGTKTVPYYKLFSFADSLDYLLMSVGTISAIGNGVCMPLMTIIFGDVINSFGGSGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGTFIQLIATFVGGFVIAFIKGWLLTLVMLSSIPLLVLSGAFMGILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAITNYNNSLIKAYNSGVQEGLASGFGMGSVMLIMMCSYALAIWFGGKMILEKGYTGGEVINVVFAVLTGSMSLGQASPCLSAFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESESIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGPHSELIKDPEGAYSQLIMLQEMSSVSEQTAVNDHERLSSVDSRRHSSQRFSNLRSISRGSSGRENSNRHSFSISYGVPTAVDSLETASAGRHTPASASSRVSPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFAILISSVIKTFYEPPPQLRKDSKFWSLIFIVLGVATFIAMPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDPEHSSGAIGARLSADAASLRGVVGDALGLLVENSATAIAGLCIAFVANWQLAFIILVLMPLLGLTGYAQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAIGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPIFQDLCLTIHHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGFEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKGADVIAVVKNGVIAEKGKHETLIGIKDGIYASLVALHASASS >ONI27769 pep chromosome:Prunus_persica_NCBIv2:G1:8333549:8340267:-1 gene:PRUPE_1G104100 transcript:ONI27769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENHANGNVIREQGTAASNGHSAVVEDSQNNPQDRSKSKEDGTKTVPYYKLFSFADSLDYLLMSVGTISAIGNGVCMPLMTIIFGDVINSFGGSGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGTFIQLIATFVGGFVIAFIKGWLLTLVMLSSIPLLVLSGAFMGILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAITNYNNSLIKAYNSGVQEGLASGFGMGSVMLIMMCSYALAIWFGGKMILEKGYTGGEVINVVFAVLTGSMSLGQASPCLSAFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESESIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGPHSELIKDPEGAYSQLIMLQEMSSVSEQTAVNDHERLSSVDSRRHSSQRFSNLRSISRGSSGRENSNRHSFSISYGVPTAVDSLETASAGRHTPASASSRVSPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFAILISSVIKTFYEPPPQLRKDSKFWSLIFIVLGVATFIAMPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDPEHSSGAIGARLSADAASLRGVVGDALGLLVENSATAIAGLCIAFVANWQLAFIILVLMPLLGLTGYAQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAIGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPIFQDLCLTIHHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGFEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKGADVIAVVKNGVIAEKGKHETLIGIKDGIYASLVALHASASS >ONI33725 pep chromosome:Prunus_persica_NCBIv2:G1:37590892:37601353:1 gene:PRUPE_1G443300 transcript:ONI33725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHPPTLHFAFFYILIALTTNPRLLLASDDDEFSIIDSDANLFHQDYSPPAPPPPPPHPPSVSCTDDLGGVGTLDATCKIVADTNLTSDVYIEGKGNFYILPGVRFYCSSPGCVVIVNITGNFSLGNSSSILAGAFELTAQNASFLDGSAVNTTALAGKPPAQTSGTPQGIEGAGGGHGGRGACCLVDETKLPEDVWGGDAYSWSTLQGPRSFGSRGGSTSREVDYGGLGGGRVWLEIKKFLVVNGSVLAEGGDGGTKGGGGSGGSIHIKARKMTGNGRISACGGNGYAGGGGGRVSVDVFSRHDDPKIFVHGGGSYACPENAGAAGTLYDAVPRSLFVNNHNKSTDTETLLLEFPFHPLWTNVYIENKARATVPLLWSRVQVQGQISLLSDGVLSFGLPHYASSEFELLAEELLMSDSVIKVYGALRMSVKMFLMWNSKMLIDGGGEEAVETSLLEASNLVVLRESSVIHSNANLGVHGQGLLNLSGPGDWIQAQRLVLSLFYSIHVGPGSVLRGPLENATTDSLTPKLYCENKDCPSELLHPPEDCNVNSSLSFTLQICRVEDIIIEGLVKGSVVHFHRARTIAIQSSGAISASGMGCTGGIGSGNILSNGSGSGGGHGGKGGIACYNGSCVEGGISYGNEELPCELGSGSGNDISAGSTAGGGIIVMGSSEHPLSSLSVEGSMTTDGESFERTTLKEKFPLVDSLSGGPGGGSGGSILLFLRTLALGESAILSSVGGYSSSIGGGGGGGGRIHFHWSDIPTGDVYQPIASVEGSILSGGGEGRDQGGAGEDGTVTGKDCPKGLYGTFCEECPAGTYKNVIGSDRALCHHCPADELPLRAIYISVRGGVAEAPCPFKCISDRYHMPHCYTALEELIYTFGGPWLFGLLLIGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFGKPWHLPHTPPEQVKEIVYEGPFNTFVDEINSIATYQWWEGAMYSILSVLAYPLAWSWQHWRRRLKLQRLREFVRSEYDHACLRSCRSRALYEGIKVAATSDLMLAYVDFFLGGDEKRTDLPPRLHQRFPVSLPFGGDGSYMAPFSLHSDNIVTSLMSQSVPPTTWYRMVAGLNAQLRLVCRGRLRVTLHPVLRWLESYANPALKIYGVRVDLAWFQATACGYCHYGLVVDALEEDSDPASAVSIDGAIRTEESRIYKEDSLGHLREPLISQSHRSSENLMRRKRTYGGIIEANNLQMLEEKRDIFYLLSFILHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLADVFLVLFILPLGILLPFPAGINALFSHGPRRSAGLARVHALWNLTSLINVVVAFVCGYVHYNTQSSNKIHQFQPWNISMDESEWWIFPAGLLLCKIFQSQLINWHVANLEIQDRSLYSNDVELFWQS >ONI33724 pep chromosome:Prunus_persica_NCBIv2:G1:37590892:37601353:1 gene:PRUPE_1G443300 transcript:ONI33724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHPPTLHFAFFYILIALTTNPRLLLASDDDEFSIIDSDANLFHQDYSPPAPPPPPPHPPSVSCTDDLGGVGTLDATCKIVADTNLTSDVYIEGKGNFYILPGVRFYCSSPGCVVIVNITGNFSLGNSSSILAGAFELTAQNASFLDGSAVNTTALAGKPPAQTSGTPQGIEGAGGGHGGRGACCLVDETKLPEDVWGGDAYSWSTLQGPRSFGSRGGSTSREVDYGGLGGGRVWLEIKKFLVVNGSVLAEGGDGGTKGGGGSGGSIHIKARKMTGNGRISACGGNGYAGGGGGRVSVDVFSRHDDPKIFVHGGGSYACPENAGAAGTLYDAVPRSLFVNNHNKSTDTETLLLEFPFHPLWTNVYIENKARATVPLLWSRVQVQGQISLLSDGVLSFGLPHYASSEFELLAEELLMSDSVIKVYGALRMSVKMFLMWNSKMLIDGGGEEAVETSLLEASNLVVLRESSVIHSNANLGVHGQGLLNLSGPGDWIQAQRLVLSLFYSIHVGPGSVLRGPLENATTDSLTPKLYCENKDCPSELLHPPEDCNVNSSLSFTLQICRVEDIIIEGLVKGSVVHFHRARTIAIQSSGAISASGMGCTGGIGSGNILSNGSGSGGGHGGKGGIACYNGSCVEGGISYGNEELPCELGSGSGNDISAGSTAGGGIIVMGSSEHPLSSLSVEGSMTTDGESFERTTLKEKFPLVDSLSGGPGGGSGGSILLFLRTLALGESAILSSVGGYSSSIGGGGGGGGRIHFHWSDIPTGDVYQPIASVEGSILSGGGEGRDQGGAGEDGTVTGKDCPKGLYGTFCEECPAGTYKNVIGSDRALCHHCPADELPLRAIYISVRGGVAEAPCPFKCISDRYHMPHCYTALEELIYTFGGPWLFGLLLIGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFGKPWHLPHTPPEQVKEIVYEGPFNTFVDEINSIATYQWWEGAMYSILSVLAYPLAWSWQHWRRRLKLQRLREFVRSEYDHACLRSCRSRALYEGIKVAATSDLMLAYVDFFLGGDEKRTDLPPRLHQRFPVSLPFGGDGSYMAPFSLHSDNIVTSLMSQSVPPTTWYRMVAGLNAQLRLVCRGRLRVTLHPVLRWLESYANPALKIYGVRVDLAWFQATACGYCHYGLVVDALEEDSDPASAVSIDGAIRTEESRANSIYKEDSLGHLREPLISQSHRSSENLMRRKRTYGGIIEANNLQMLEEKRDIFYLLSFILHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLADVFLVLFILPLGILLPFPAGINALFSHGPRRSAGLARVHALWNLTSLINVVVAFVCGYVHYNTQSSNKIHQFQPWNISMDESEWWIFPAGLLLCKIFQSQLINWHVANLEIQDRSLYSNDVELFWQS >ONI33726 pep chromosome:Prunus_persica_NCBIv2:G1:37590892:37601353:1 gene:PRUPE_1G443300 transcript:ONI33726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHPPTLHFAFFYILIALTTNPRLLLASDDDEFSIIDSDANLFHQDYSPPAPPPPPPHPPSVSCTDDLGGVGTLDATCKIVADTNLTSDVYIEGKGNFYILPGVRFYCSSPGCVVIVNITGNFSLGNSSSILAGAFELTAQNASFLDGSAVNTTALAGKPPAQTSGTPQGIEGAGGGHGGRGACCLVDETKLPEDVWGGDAYSWSTLQGPRSFGSRGGSTSREVDYGGLGGGRVWLEIKKFLVVNGSVLAEGGDGGTKGGGGSGGSIHIKARKMTGNGRISACGGNGYAGGGGGRVSVDVFSRHDDPKIFVHGGGSYACPENAGAAGTLYDAVPRSLFVNNHNKSTDTETLLLEFPFHPLWTNVYIENKARATVPLLWSRVQVQGQISLLSDGVLSFGLPHYASSEFELLAEELLMSDSVIKVYGALRMSVKMFLMWNSKMLIDGGGEEAVETSLLEASNLVVLRESSVIHSNANLGVHGQGLLNLSGPGDWIQAQRLVLSLFYSIHVGPGSVLRGPLENATTDSLTPKLYCENKDCPSELLHPPEDCNVNSSLSFTLQICRVEDIIIEGLVKGSVVHFHRARTIAIQSSGAISASGMGCTGGIGSGNILSNGSGSGGGHGGKGGIACYNGSCVEGGISYGNEELPCELGSGSGNDISAGSTAGGGIIVMGSSEHPLSSLSVEGSMTTDGESFERTTLKEKFPLVDSLSGGPGGGSGGSILLFLRTLALGESAILSSVGGYSSSIGGGGGGGGRIHFHWSDIPTGDVYQPIASVEGSILSGGGEGRDQGGAGEDGTVTGKDCPKGLYGTFCEECPAGTYKNVIGSDRALCHHCPADELPLRAIYISVRGGVAEAPCPFKCISDRYHMPHCYTALEELIYTFGGPWLFGLLLIGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFGKPWHLPHTPPEQVKEIVYEGPFNTFVDEINSIATYQWWEGAMYSILSVLAYPLAWSWQHWRRRLKLQRLREFVRSEYDHACLRSCRSRALYEGIKVAATSDLMLAYVDFFLGGDEKRTDLPPRLHQRFPVSLPFGGDGSYMAPFSLHSDNIVTSLMSQSVPPTTWYRMVAGLNAQLRLVCRGRLRVTLHPVLRWLESYANPALKIYGVRVDLAWFQATACGYCHYGLVVDALEEDSDPASAVSIDGAIRTEESRISLV >ONI33727 pep chromosome:Prunus_persica_NCBIv2:G1:37590864:37601353:1 gene:PRUPE_1G443300 transcript:ONI33727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSVIKVYGALRMSVKMFLMWNSKMLIDGGGEEAVETSLLEASNLVVLRESSVIHSNANLGVHGQGLLNLSGPGDWIQAQRLVLSLFYSIHVGPGSVLRGPLENATTDSLTPKLYCENKDCPSELLHPPEDCNVNSSLSFTLQICRVEDIIIEGLVKGSVVHFHRARTIAIQSSGAISASGMGCTGGIGSGNILSNGSGSGGGHGGKGGIACYNGSCVEGGISYGNEELPCELGSGSGNDISAGSTAGGGIIVMGSSEHPLSSLSVEGSMTTDGESFERTTLKEKFPLVDSLSGGPGGGSGGSILLFLRTLALGESAILSSVGGYSSSIGGGGGGGGRIHFHWSDIPTGDVYQPIASVEGSILSGGGEGRDQGGAGEDGTVTGKDCPKGLYGTFCEECPAGTYKNVIGSDRALCHHCPADELPLRAIYISVRGGVAEAPCPFKCISDRYHMPHCYTALEELIYTFGGPWLFGLLLIGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFGKPWHLPHTPPEQVKEIVYEGPFNTFVDEINSIATYQWWEGAMYSILSVLAYPLAWSWQHWRRRLKLQRLREFVRSEYDHACLRSCRSRALYEGIKVAATSDLMLAYVDFFLGGDEKRTDLPPRLHQRFPVSLPFGGDGSYMAPFSLHSDNIVTSLMSQSVPPTTWYRMVAGLNAQLRLVCRGRLRVTLHPVLRWLESYANPALKIYGVRVDLAWFQATACGYCHYGLVVDALEEDSDPASAVSIDGAIRTEESRANSIYKEDSLGHLREPLISQSHRSSENLMRRKRTYGGIIEANNLQMLEEKRDIFYLLSFILHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLADVFLVLFILPLGILLPFPAGINALFSHGPRRSAGLARVHALWNLTSLINVVVAFVCGYVHYNTQSSNKIHQFQPWNISMDESEWWIFPAGLLLCKIFQSQLINWHVANLEIQDRSLYSNDVELFWQS >ONI33728 pep chromosome:Prunus_persica_NCBIv2:G1:37590864:37601353:1 gene:PRUPE_1G443300 transcript:ONI33728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSVIKVYGALRMSVKMFLMWNSKMLIDGGGEEAVETSLLEASNLVVLRESSVIHSNANLGVHGQGLLNLSGPGDWIQAQRLVLSLFYSIHVGPGSVLRGPLENATTDSLTPKLYCENKDCPSELLHPPEDCNVNSSLSFTLQICRVEDIIIEGLVKGSVVHFHRARTIAIQSSGAISASGMGCTGGIGSGNILSNGSGSGGGHGGKGGIACYNGSCVEGGISYGNEELPCELGSGSGNDISAGSTAGGGIIVMGSSEHPLSSLSVEGSMTTDGESFERTTLKEKFPLVDSLSGGPGGGSGGSILLFLRTLALGESAILSSVGGYSSSIGGGGGGGGRIHFHWSDIPTGDVYQPIASVEGSILSGGGEGRDQGGAGEDGTVTGKDCPKGLYGTFCEECPAGTYKNVIGSDRALCHHCPADELPLRAIYISVRGGVAEAPCPFKCISDRYHMPHCYTALEELIYTFGGPWLFGLLLIGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFGKPWHLPHTPPEQVKEIVYEGPFNTFVDEINSIATYQWWEGAMYSILSVLAYPLAWSWQHWRRRLKLQRLREFVRSEYDHACLRSCRSRALYEGIKVAATSDLMLAYVDFFLGGDEKRTDLPPRLHQRFPVSLPFGGDGSYMAPFSLHSDNIVTSLMSQSVPPTTWYRMVAGLNAQLRLVCRGRLRVTLHPVLRWLESYANPALKIYGVRVDLAWFQATACGYCHYGLVVDALEEDSDPASAVSIDGAIRTEESRISLV >ONI26939 pep chromosome:Prunus_persica_NCBIv2:G1:4042412:4048752:1 gene:PRUPE_1G056600 transcript:ONI26939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSAEAVEAMAEVVSWEEVPESLEHKRIDYLAERKRSLRARYAYGIIFLITNLWAWFVRDYAQRVLPELHYMKSCGSGGNDCFHTLGVLRVSLGSFIFFFLMFLTTSKTRKLCEARNAWHSGWWGLKFFILLISMVVPLFVPSYSLQLYGEFARIGAGIFLLLQLISVIQFINWWNKYWMPDEQKKQSCSLGLFMSTLFYIASMSGIAFMYSSHAMKSPCILNIFFITWTTILLIVMMVISLHSKVNRGLLSSGIMASYIVFLCWSAIRSEPANEECNRQKQGNGNGDWSTILGFLIAICSIVMATFSTGIDSQSFQFQKDEVKEDDDIPYKYGFFHLTFSLGAMYFAMLFISWNLNNSAKKWSIDVGWTSTWVKIVNEWFAASIFLWTLISPVLRQSEVMDHEEPVQEIDNSAVP >ONI26941 pep chromosome:Prunus_persica_NCBIv2:G1:4042374:4048753:1 gene:PRUPE_1G056600 transcript:ONI26941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSAEAVEAMAEVVSWEEVPESLEHKRIDYLAERKRSLRARYAYGIIFLITNLWAWFVRDYAQRVLPELHYMKSCGSGGNDCFHTLGVLRVSLGSFIFFFLMFLTTSKTRKLCEARNAWHSGWWGLKFFILLISMVVPLFVPSYSLQLYGEFARIGAGIFLLLQLISVIQFINWWNKYWMPDEQKKQSCSLGLFMSTLFYIASMSGIAFMYSSHAMKSPCILNIFFITWTTILLIVMMVISLHSKVNRGLLSSGIMASYIVFLCWSAIRSEPANEECNRQKQGNGNGDWSTILGFLIAICSIVMATFSTGIDSQSFQVEH >ONI26938 pep chromosome:Prunus_persica_NCBIv2:G1:4042375:4048752:1 gene:PRUPE_1G056600 transcript:ONI26938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSAEAVEAMAEVVSWEEVPESLEHKRIDYLAERKRSLRARYAYGIIFLITNLWAWFVRDYAQRVLPELHYMKSCGSGGNDCFHTLGVLRVSLGSFIFFFLMFLTTSKTRKLCEARNAWHSGWWGLKFFILLISMVVPLFVPSYSLQLYGEFARIGAGIFLLLQLISVIQFINWWNKYWMPDEQKKQSCSLGLFMSTLFYIASMSGIAFMYSSHAMKSPCILNIFFITWTTILLIVMMVISLHSKVNRGLLSSGIMASYIVFLCWSAIRSEPANEECNRQKQGNGNGDWSTILGFLIAICSIVMATFSTGIDSQSFQFQKDEVKEDDDIPYKYGFFHLTFSLGAMYFAMLFISWNLNNSAKKWSIDVGWTSTWVKIVNEWFAASIFLWTLISPVLRQSEVMDHEEPVQEIDNSAVP >ONI26940 pep chromosome:Prunus_persica_NCBIv2:G1:4042412:4048753:1 gene:PRUPE_1G056600 transcript:ONI26940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSAEAVEAMAEVVSWEEVPESLEHKRIDYLAERKRSLRARYAYGIIFLITNLWAWFVRDYAQRVLPELHYMKSCGSGGNDCFHTLGVLRVSLGSFIFFFLMFLTTSKTRKLCEARNAWHSGWWGLKFFILLISMVVPLFVPSYSLQLYGEFARIGAGIFLLLQLISVIQFINWWNKYWMPDEQKKQSCSLGLFMSTLFYIASMSGIAFMYSSHAMKSPCILNIFFITWTTILLIVMMVISLHSKVNRGLLSSGIMASYIVFLCWSAIRSEPANEECNRQKQGNGNGDWSTILGFLIAICSIVMATFSTGIDSQSFQVEH >ONI36100 pep chromosome:Prunus_persica_NCBIv2:G1:46429952:46432429:-1 gene:PRUPE_1G569400 transcript:ONI36100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSFSNVLFLTRHSLRFYSPYSLFSFKALIHHISNAFLQFNPKLLNPHFVSKLQPNHVHHIPLSLQSNSISAYHLLDWSCNSPGLHHSPQSFCALTHLLLRHRKLAPASHLFNTMVRQFGTHFHFFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSAYVLSRMLTFLVDSNCVHVILDLYGQVCKALRGQCFCAYEFVMVALLNKGKVETGVDFHSAVIEGGFVVDIVACNKILKRLCKENLIGVGEDFFNVLMMGGPEPNVVTFSTMINAYCKDEKLEEAIKLYKVMIEKGVSPDLVVYSILVDGLFKAGKLEEGLRLFSEALGSDIRLDVVIFSSVMDAYVRIGDLVKSVEVYGRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYESMIKTGYEPDIILYGVLVNGLCKQGLMGDALRFFFQAVYRGVKPNVYTFNMLIDGCCRLKRLSDAVKVFIQMGVYNVKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVMHCTLIDGCCKQKHVYYGLRILEMMRRNGVSPDIAIYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLEAAVQLFQKLIQGQCKPNAITCTILIDAFCKEGNMDDAMLMFDKMREKDPEPNLVTYSCLIDGYFKSENMKSAFELHEEMLKNISPNRVSYSILMDGLCKRGLTERASLVFHCAIERGLLLDVIAYGILIRGYCKVGRMAEALILYGHMLISGIMPDAVIQRTITEHILEADQQK >ONI36101 pep chromosome:Prunus_persica_NCBIv2:G1:46423741:46433489:-1 gene:PRUPE_1G569400 transcript:ONI36101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLGVPVSAYVLSRMLTFLVDSNCVHVILDLYGQVCKALRGQCFCAYEFVMVALLNKGKVETGVDFHSAVIEGGFVVDIVACNKILKRLCKENLIGVGEDFFNVLMMGGPEPNVVTFSTMINAYCKDEKLEEAIKLYKVMIEKGVSPDLVVYSILVDGLFKAGKLEEGLRLFSEALGSDIRLDVVIFSSVMDAYVRIGDLVKSVEVYGRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYESMIKTGYEPDIILYGVLVNGLCKQGLMGDALRFFFQAVYRGVKPNVYTFNMLIDGCCRLKRLSDAVKVFIQMGVYNVKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVMHCTLIDGCCKQKHVYYGLRILEMMRRNGVSPDIAIYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLEAAVQLFQKLIQGQCKPNAITCTILIDAFCKEGNMDDAMLMFDKMREKDPEPNLVTYSCLIDGYFKSENMKSAFELHEEMLKNISPNRVSYSILMDGLCKRGLTERASLVFHCAIERGLLLDVIAYGILIRGYCKVGRMAEALILYGHMLISGIMPDAVIQRTITEHILEADQQK >ONI36099 pep chromosome:Prunus_persica_NCBIv2:G1:46423741:46433489:-1 gene:PRUPE_1G569400 transcript:ONI36099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSFSNVLFLTRHSLRFYSPYSLFSFKALIHHISNAFLQFNPKLLNPHFVSKLQPNHVHHIPLSLQSNSISAYHLLDWSCNSPGLHHSPQSFCALTHLLLRHRKLAPASHLFNTMVRQFGTHFHFFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSAYVLSRMLTFLVDSNCVHVILDLYGQVCKALRGQCFCAYEFVMVALLNKGKVETGVDFHSAVIEGGFVVDIVACNKILKRLCKENLIGVGEDFFNVLMMGGPEPNVVTFSTMINAYCKDEKLEEAIKLYKVMIEKGVSPDLVVYSILVDGLFKAGKLEEGLRLFSEALGSDIRLDVVIFSSVMDAYVRIGDLVKSVEVYGRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYESMIKTGYEPDIILYGVLVNGLCKQGLMGDALRFFFQAVYRGVKPNVYTFNMLIDGCCRLKRLSDAVKVFIQMGVYNVKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVMHCTLIDGCCKQKHVYYGLRILEMMRRNGVSPDIAIYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLEAAVQLFQKLIQGQCKPNAITCTILIDAFCKEGNMDDAMLMFDKMREKDPEPNLVTYSCLIDGYFKSENMKSAFELHEEMLKNISPNRVSYSILMDGLCKRGLTERASLVFHCAIERGLLLDVIAYGILIRGYCKVGRMAEALILYGHMLISGIMPDAVIQRTITEHILEADQQK >ONI36102 pep chromosome:Prunus_persica_NCBIv2:G1:46429952:46431922:-1 gene:PRUPE_1G569400 transcript:ONI36102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLGVPVSAYVLSRMLTFLVDSNCVHVILDLYGQVCKALRGQCFCAYEFVMVALLNKGKVETGVDFHSAVIEGGFVVDIVACNKILKRLCKENLIGVGEDFFNVLMMGGPEPNVVTFSTMINAYCKDEKLEEAIKLYKVMIEKGVSPDLVVYSILVDGLFKAGKLEEGLRLFSEALGSDIRLDVVIFSSVMDAYVRIGDLVKSVEVYGRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYESMIKTGYEPDIILYGVLVNGLCKQGLMGDALRFFFQAVYRGVKPNVYTFNMLIDGCCRLKRLSDAVKVFIQMGVYNVKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVMHCTLIDGCCKQKHVYYGLRILEMMRRNGVSPDIAIYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLEAAVQLFQKLIQGQCKPNAITCTILIDAFCKEGNMDDAMLMFDKMREKDPEPNLVTYSCLIDGYFKSENMKSAFELHEEMLKNISPNRVSYSILMDGLCKRGLTERASLVFHCAIERGLLLDVIAYGILIRGYCKVGRMAEALILYGHMLISGIMPDAVIQRTITEHILEADQQK >ONI36098 pep chromosome:Prunus_persica_NCBIv2:G1:46429952:46432429:-1 gene:PRUPE_1G569400 transcript:ONI36098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSFSNVLFLTRHSLRFYSPYSLFSFKALIHHISNAFLQFNPKLLNPHFVSKLQPNHVHHIPLSLQSNSISAYHLLDWSCNSPGLHHSPQSFCALTHLLLRHRKLAPASHLFNTMVRQFGTHFHFFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSAYVLSRMLTFLVDSNCVHVILDLYGQVCKALRGQCFCAYEFVMVALLNKGKVETGVDFHSAVIEGGFVVDIVACNKILKRLCKENLIGVGEDFFNVLMMGGPEPNVVTFSTMINAYCKDEKLEEAIKLYKVMIEKGVSPDLVVYSILVDGLFKAGKLEEGLRLFSEALGSDIRLDVVIFSSVMDAYVRIGDLVKSVEVYGRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYESMIKTGYEPDIILYGVLVNGLCKQGLMGDALRFFFQAVYRGVKPNVYTFNMLIDGCCRLKRLSDAVKVFIQMGVYNVKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVMHCTLIDGCCKQKHVYYGLRILEMMRRNGVSPDIAIYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLEAAVQLFQKLIQGQCKPNAITCTILIDAFCKEGNMDDAMLMFDKMREKDPEPNLVTYSCLIDGYFKSENMKSAFELHEEMLKNISPNRVSYSILMDGLCKRGLTERASLVFHCAIERGLLLDVIAYGILIRGYCKVGRMAEALILYGHMLISGIMPDAVIQRTITEHILEADQQK >ONI36097 pep chromosome:Prunus_persica_NCBIv2:G1:46429528:46433489:-1 gene:PRUPE_1G569400 transcript:ONI36097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSFSNVLFLTRHSLRFYSPYSLFSFKALIHHISNAFLQFNPKLLNPHFVSKLQPNHVHHIPLSLQSNSISAYHLLDWSCNSPGLHHSPQSFCALTHLLLRHRKLAPASHLFNTMVRQFGTHFHFFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSAYVLSRMLTFLVDSNCVHVILDLYGQVCKALRGQCFCAYEFVMVALLNKGKVETGVDFHSAVIEGGFVVDIVACNKILKRLCKENLIGVGEDFFNVLMMGGPEPNVVTFSTMINAYCKDEKLEEAIKLYKVMIEKGVSPDLVVYSILVDGLFKAGKLEEGLRLFSEALGSDIRLDVVIFSSVMDAYVRIGDLVKSVEVYGRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYESMIKTGYEPDIILYGVLVNGLCKQGLMGDALRFFFQAVYRGVKPNVYTFNMLIDGCCRLKRLSDAVKVFIQMGVYNVKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVMHCTLIDGCCKQKHVYYGLRILEMMRRNGVSPDIAIYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLEAAVQLFQKLIQGQCKPNAITCTILIDAFCKEGNMDDAMLMFDKMREKDPEPNLVTYSCLIDGYFKSENMKSAFELHEEMLKNISPNRVSYSILMDGLCKRGLTERASLVFHCAIERGLLLDVIAYGILIRGYCKVGRMAEALILYGHMLISGIMPDAVIQRTITEHILEADQQK >ONI33184 pep chromosome:Prunus_persica_NCBIv2:G1:35852381:35854495:-1 gene:PRUPE_1G410100 transcript:ONI33184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILASRFHMGRQPLVIVADAELCREVGIKKFKDIPNRSMPSPIQACPLHQKGLFFTRDARWSTMRNTLVSLYQPSHLASLVPTMQSFVETATRNIDPSKEEEDITFSKISLALATDTIAQAAFGVNFGLSKPQSTSDSVNKIDGGRQDKNDAVSKFTDQYVYSVAQVKMDLTGSLSIILGLLFPILQEPFRQILKRIPGTMDWKIERTNDNLSGRLDEIVEKRMKDSDRGSKDFLSLILNARESEKVSKKVFTPDYISALTYEHLVAGSATTAFTLSTTVYLISQYPEVEKKLLEELDAFGPADQMPTAHDLQNKFPYVDQVIKESMRLYPVSPLIARETSSEVEIGGYVLPKGTWVWFGVGVIAKDPKNFPEPNKFKPERFDPNCKEEKERHPYAFLPFGIGPRACLGQKFALQEIKLALIHLYRKYVFRHSPNMEKPLEFEFGIILDFKNGVKLRALKRTQKF >ONI33183 pep chromosome:Prunus_persica_NCBIv2:G1:35852416:35855374:-1 gene:PRUPE_1G410100 transcript:ONI33183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMELFTNVSLVSAPTIFTVLAMLVGVLGYLYGPYWGVRKVPGPPVIPLVGHLPLMAKYGPDLFQILAKQYGPIFRFHMGRQPLVIVADAELCREVGIKKFKDIPNRSMPSPIQACPLHQKGLFFTRDARWSTMRNTLVSLYQPSHLASLVPTMQSFVETATRNIDPSKEEEDITFSKISLALATDTIAQAAFGVNFGLSKPQSTSDSVNKIDGGRQDKNDAVSKFTDQYVYSVAQVKMDLTGSLSIILGLLFPILQEPFRQILKRIPGTMDWKIERTNDNLSGRLDEIVEKRMKDSDRGSKDFLSLILNARESEKVSKKVFTPDYISALTYEHLVAGSATTAFTLSTTVYLISQYPEVEKKLLEELDAFGPADQMPTAHDLQNKFPYVDQVIKESMRLYPVSPLIARETSSEVEIGGYVLPKEEQGTWVWFGVGVIAKDPKNFPEPNKFKPERFDPNCKEEKERHPYAFLPFGIGPRACLGQKFALQEIKLALIHLYRKYVFRHSPNMEKPLEFEFGIILDFKNGVKLRALKRTQKF >ONI33185 pep chromosome:Prunus_persica_NCBIv2:G1:35852386:35854815:-1 gene:PRUPE_1G410100 transcript:ONI33185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPLVIVADAELCREVGIKKFKDIPNRSMPSPIQACPLHQKGLFFTRDARWSTMRNTLVSLYQPSHLASLVPTMQSFVETATRNIDPSKEEEDITFSKISLALATDTIAQAAFGVNFGLSKPQSTSDSVNKIDGGRQDKNDAVSKFTDQYVYSVAQVKMDLTGSLSIILGLLFPILQEPFRQILKRIPGTMDWKIERTNDNLSGRLDEIVEKRMKDSDRGSKDFLSLILNARESEKVSKKVFTPDYISALTYEHLVAGSATTAFTLSTTVYLISQYPEVEKKLLEELDAFGPADQMPTAHDLQNKFPYVDQVIKESMRLYPVSPLIARETSSEVEIGGYVLPKGTWVWFGVGVIAKDPKNFPEPNKFKPERFDPNCKEEKERHPYAFLPFGIGPRACLGQKFALQEIKLALIHLYRKYVFRHSPNMEKPLEFEFGIILDFKNGVKLRALKRTQKF >ONI33182 pep chromosome:Prunus_persica_NCBIv2:G1:35852390:35855374:-1 gene:PRUPE_1G410100 transcript:ONI33182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMELFTNVSLVSAPTIFTVLAMLVGVLGYLYGPYWGVRKVPGPPVIPLVGHLPLMAKYGPDLFQILAKQYGPIFRFHMGRQPLVIVADAELCREVGIKKFKDIPNRSMPSPIQACPLHQKGLFFTRDARWSTMRNTLVSLYQPSHLASLVPTMQSFVETATRNIDPSKEEEDITFSKISLALATDTIAQAAFGVNFGLSKPQSTSDSVNKIDGGRQDKNDAVSKFTDQYVYSVAQVKMDLTGSLSIILGLLFPILQEPFRQILKRIPGTMDWKIERTNDNLSGRLDEIVEKRMKDSDRGSKDFLSLILNARESEKVSKKVFTPDYISALTYEHLVAGSATTAFTLSTTVYLISQYPEVEKKLLEELDAFGPADQMPTAHDLQNKFPYVDQVIKESMRLYPVSPLIARETSSEVEIGGYVLPKGTWVWFGVGVIAKDPKNFPEPNKFKPERFDPNCKEEKERHPYAFLPFGIGPRACLGQKFALQEIKLALIHLYRKYVFRHSPNMEKPLEFEFGIILDFKNGVKLRALKRTQKF >ONI33825 pep chromosome:Prunus_persica_NCBIv2:G1:37835925:37836445:1 gene:PRUPE_1G447800 transcript:ONI33825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEESATLPNGGSLSRKVVTVAAGEAHTLALTGDGFVYSWGRGMFGRLGTGAEADELFPVRIKFNDPRSAEERRLKLVGVAAGSYHSLALAEVLADFCGRL >ONI28856 pep chromosome:Prunus_persica_NCBIv2:G1:13284276:13289004:-1 gene:PRUPE_1G164900 transcript:ONI28856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQAANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYEVLKSLPNAEKVTPDNQSEELQAVSS >ONI28854 pep chromosome:Prunus_persica_NCBIv2:G1:13284276:13289004:-1 gene:PRUPE_1G164900 transcript:ONI28854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQAANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYESLPNAEKVTPDNQSEELQAVSS >ONI28848 pep chromosome:Prunus_persica_NCBIv2:G1:13284276:13289004:-1 gene:PRUPE_1G164900 transcript:ONI28848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYEVLKSLPNAEKVTPDNQSEELQAVSS >ONI28857 pep chromosome:Prunus_persica_NCBIv2:G1:13284276:13289004:-1 gene:PRUPE_1G164900 transcript:ONI28857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQAANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYEVLKSLPNAEKVTPDNQSEELQAVSS >ONI28860 pep chromosome:Prunus_persica_NCBIv2:G1:13284767:13289004:-1 gene:PRUPE_1G164900 transcript:ONI28860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQAANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQQNY >ONI28859 pep chromosome:Prunus_persica_NCBIv2:G1:13284690:13289249:-1 gene:PRUPE_1G164900 transcript:ONI28859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQQNY >ONI28852 pep chromosome:Prunus_persica_NCBIv2:G1:13283614:13289249:-1 gene:PRUPE_1G164900 transcript:ONI28852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYEVLKSLPNAEKVTPDNQSEELQAVSS >ONI28851 pep chromosome:Prunus_persica_NCBIv2:G1:13283614:13289249:-1 gene:PRUPE_1G164900 transcript:ONI28851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYEVLKSLPNAEKVTPDNQSEELQAVSS >ONI28847 pep chromosome:Prunus_persica_NCBIv2:G1:13283950:13289249:-1 gene:PRUPE_1G164900 transcript:ONI28847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYESLPNAEKVTPDNQSEELQAVSS >ONI28855 pep chromosome:Prunus_persica_NCBIv2:G1:13284276:13289004:-1 gene:PRUPE_1G164900 transcript:ONI28855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQAANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYEVLKSLPNAEKVTPDNQSEELQAVSS >ONI28853 pep chromosome:Prunus_persica_NCBIv2:G1:13284276:13289004:-1 gene:PRUPE_1G164900 transcript:ONI28853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQAANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYESLPNAEKVTPDNQSEELQAVSS >ONI28850 pep chromosome:Prunus_persica_NCBIv2:G1:13284276:13289004:-1 gene:PRUPE_1G164900 transcript:ONI28850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYEVLKSLPNAEKVTPDNQSEELQAVSS >ONI28846 pep chromosome:Prunus_persica_NCBIv2:G1:13283951:13289249:-1 gene:PRUPE_1G164900 transcript:ONI28846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYESLPNAEKVTPDNQSEELQAVSS >ONI28858 pep chromosome:Prunus_persica_NCBIv2:G1:13283614:13289249:-1 gene:PRUPE_1G164900 transcript:ONI28858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQAANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYEVLKSLPNAEKVTPDNQSEELQAVSS >ONI28849 pep chromosome:Prunus_persica_NCBIv2:G1:13284276:13289004:-1 gene:PRUPE_1G164900 transcript:ONI28849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQSLENKSKSKKTILSELELDDEPFTEEDLQAIEAAFEAATSSSLPKKRRPSPYDDSDGDDKTQQHHCRIARRRLPSSVLALQHPNAFSLSPCRQANIRMRYPVMKFGGQITYSRTAVQVEKAAMEVLKIIEAKEKQAGQTAVGFDIEWRPTFQRGVPPRKAAVMQICVDTSCCHVMHIVHSGIPQSLQLLLEDASILKVGLGIAGDSVKVFKDYNVSTKAVEDLKYLAKRKLGGGLQNWGLASLTEKLICKQLLKPNKIRLGNWEAKFLSKEQLEYAAIDAFTSWHLYEVLKSLPNAEKVTPDNQSEELQAVSS >ONI36166 pep chromosome:Prunus_persica_NCBIv2:G1:46704879:46710715:-1 gene:PRUPE_1G572900 transcript:ONI36166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAAAATSRAFLFSHHYHSLPFFFPSFLTASRLPTLHRNNLRNPILLSTVKCLRSSSGRQNVVEILEERGLLESLTSEHLRQACSDPSLPPLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHHPVALIGGATARIGDPSGKSFERPELDLDTLSLNTSGVSNNITRILGGISILNNYEWWKEVRLLEFLKDVGRYARVGSMIAKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFQNEGINVQIGGSDQWGNITAGTELIRKILRADAAAYGLTFPLLLKSDGTKFGKSEDGAVWLSPSMLSPYKFYQYLFSVPDADVVRFLKILTFMDMDEIKQLENEMKGPGYLPNTVQRRLAEEVTRFVHGQDGLDEALKATEALRPGADTKLDWKTIQAISEDVPSCSFPYNQVLDLSLVDLSVSSGLLDSKSAARRLLKQGGLYLNNSRVDNENKRVEPQDIVDGKLLLLSAGKKNKVLVQILNDT >ONI36164 pep chromosome:Prunus_persica_NCBIv2:G1:46704878:46710717:-1 gene:PRUPE_1G572900 transcript:ONI36164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAAAATSRAFLFSHHYHSLPFFFPSFLTASRLPTLHRNNLRNPILLSTVKCLRSSSGRQNVVEILEERGLLESLTSEHLRQACSDPSLPPLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHHPVALIGGATARIGDPSGKSFERPELDLDTLSLNTSGVSNNITRILGGISILNNYEWWKEVRLLEFLKDVGRYARVGSMIAKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFQNEGINVQIGGSDQWGNITAGTELIRKILRADAAAYGLTFPLLLKSDGTKFGKSEDGAVWLSPSMLSPYKFYQYLFSVPDADVVRFLKILTFMDMDEIKQLENEMKGPGYLPNTVQRRLAEEVTRFVHGQDGLDEALKATEALRPGADTKLDWKTIQAISEDVPSCSFPYNQVLDLSLVDLSVSSGLLDSKSAARRLLKQGGLYLNNSRVDNENKRVEPQDIVDGKLLLLSAGKKNKVLVQILNDT >ONI36165 pep chromosome:Prunus_persica_NCBIv2:G1:46704873:46710717:-1 gene:PRUPE_1G572900 transcript:ONI36165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAAAATSRAFLFSHHYHSLPFFFPSFLTASRLPTLHRNNLRNPILLSTVKCLRSSSGRQNVVEILEERGLLESLTSEHLRQACSDPSLPPLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHHPVALIGGATARIGDPSGKSFERPELDLDTLSLNTSGVSNNITRILGGISILNNYEWWKEVRLLEFLKDVGRYARVGSMIAKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFQNEGINVQIGGSDQWGNITAGTELIRKILRADAAAYGLTFPLLLKSDGTKFGKSEDGAVWLSPSMLSPYKFYQYLFSVPDADVVRFLKILTFMDMDEIKQLENEMKGPGYLPNTVQRRLAEEVTRFVHGQDGLDEALKATEALRPGADTKLDWKTIQAISEDVPSCSFPYNQVLDLSLVDLSVSSGLLDSKSAARRLLKQGGLYLNNSRVDNENKRVEPQDIVDGKLLLLSAGKKNKVLVQILNDT >ONI36162 pep chromosome:Prunus_persica_NCBIv2:G1:46706633:46710716:-1 gene:PRUPE_1G572900 transcript:ONI36162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAAAATSRAFLFSHHYHSLPFFFPSFLTASRLPTLHRNNLRNPILLSTVKCLRSSSGRQNVVEILEERGLLESLTSEHLRQACSDPSLPPLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHHPVALIGGATARIGDPSGKSFERPELDLDTLSLNTSGVSNNITRILGGISILNNYEWWKEVRLLEFLKDVGRYARVGSMIAKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFQNEGINVQIGGSDQWGNITAGTELIRKILRADAAAYGLTFPLLLKSDGTKFGKSEDGAVWLSPSMLSPYKFYQYLFSVPDADVVRFLKILTFMDMDEIKQLENEMKGPGYLPNTVQRRLAEEVTRFVHGQDGLDEALKATEALRPGADTKLDWKTIQAISEDVPSCSFPYNQVLDLSLVDLSVSSGLLDSKSAARRLLKQGGLYLNNSRVDNENKRVEPQDIVDGKLLLLSAGKKNKVLVQILNDT >ONI36167 pep chromosome:Prunus_persica_NCBIv2:G1:46707060:46708517:-1 gene:PRUPE_1G572900 transcript:ONI36167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAAAATSRAFLFSHHYHSLPFFFPSFLTASRLPTLHRNNLRNPILLSTVKCLRSSSGRQNVVEILEERGLLESLTSEHLRQACSDPSLPPLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHHPVALIGGATARIGDPSGKSFERPELDLDTLSLNTSGVSNNITRILGGISILNNYEWWKEVRLLEFLKDVGRYARVGSMIAKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFQNEGINVQIGGSDQWGNITAGTELIRKILRADAAAYGLTFPLLLKSDGTKFGKSEDGAVWLSPSMLSPYKFYQYLFSVPDADVVRFLKILTFMDMDEIKQLENEMKGPGYLPNTVQRRLAEEVTRFVHGQDGLDEALKATEALRPGADTKLDWKTIQAISEDVPSCSFPYNQVLDLSLVDLSVSSGLLDSKSAARRLLKQGGLYLNNSRVDNENKRVEPQDIVDGKLLLLSAGKKNKVLVQILNDT >ONI36163 pep chromosome:Prunus_persica_NCBIv2:G1:46704867:46710454:-1 gene:PRUPE_1G572900 transcript:ONI36163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAAAATSRAFLFSHHYHSLPFFFPSFLTASRLPTLHRNNLRNPILLSTVKCLRSSSGRQNVVEILEERGLLESLTSEHLRQACSDPSLPPLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHHPVALIGGATARIGDPSGKSFERPELDLDTLSLNTSGVSNNITRILGGISILNNYEWWKEVRLLEFLKDVGRYARVGSMIAKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFQNEGINVQIGGSDQWGNITAGTELIRKILRADAAAYGLTFPLLLKSDGTKFGKSEDGAVWLSPSMLSPYKFYQYLFSVPDADVVRFLKILTFMDMDEIKQLENEMKGPGYLPNTVQRRLAEEVTRFVHGQDGLDEALKATEALRPGADTKLDWKTIQAISEDVPSCSFPYNQVLDLSLVDLSVSSGLLDSKSAARRLLKQGGLYLNNSRVDNENKRVEPQDIVDGKLLLLSAGKKNKVLVQILNDT >ONI36161 pep chromosome:Prunus_persica_NCBIv2:G1:46704874:46710715:-1 gene:PRUPE_1G572900 transcript:ONI36161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAAAATSRAFLFSHHYHSLPFFFPSFLTASRLPTLHRNNLRNPILLSTVKCLRSSSGRQNVVEILEERGLLESLTSEHLRQACSDPSLPPLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHHPVALIGGATARIGDPSGKSFERPELDLDTLSLNTSGVSNNITRILGGISILNNYEWWKEVRLLEFLKDVGRYARVGSMIAKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFQNEGINVQIGGSDQWGNITAGTELIRKILRADAAAYGLTFPLLLKSDGTKFGKSEDGAVWLSPSMLSPYKFYQYLFSVPDADVVRFLKILTFMDMDEIKQLENEMKGPGYLPNTVQRRLAEEVTRFVHGQDGLDEALKATEALRPGADTKLDWKTIQAISEDVPSCSFPYNQVLDLSLVDLSVSSGLLDSKSAARRLLKQGGLYLNNSRVDNENKRVEPQDIVDGKLLLLSAGKKNKVLVQILNDT >ONI36168 pep chromosome:Prunus_persica_NCBIv2:G1:46704879:46710715:-1 gene:PRUPE_1G572900 transcript:ONI36168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAAAATSRAFLFSHHYHSLPFFFPSFLTASRLPTLHRNNLRNPILLSTVKCLRSSSGRQNVVEILEERGLLESLTSEHLRQACSDPSLPPLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHHPVALIGGATARIGDPSGKSFERPELDLDTLSLNTSGVSNNITRILGGISILNNYEWWKEVRLLEFLKDVGRYARVGSMIAKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFQNEGINVQIGGSDQWGNITAGTELIRKILRADAAAYGLTFPLLLKSDGTKFGKSEDGAVWLSPSMLSPYKFYQYLFSVPDADVVRFLKILTFMDMDEIKQLENEMKGPGYLPNTVQRRLAEEVTRFVHGQDGLDEALKATEALRPGADTKLDWKTIQAISEDVPSCSFPYNQVLDLSLVDLSVSSGLLDSKSAARRLLKQGGLYLNNSRVDNENKRVEPQDIVDGKLLLLSAGKKNKVLVQILNDT >ONI35241 pep chromosome:Prunus_persica_NCBIv2:G1:43012872:43015995:-1 gene:PRUPE_1G524900 transcript:ONI35241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALVSVVLQQLASITLEQIEEEVNLVVGVDQEVQNLILHLQAVQGLLQDAEERQVKEANVKNWLYNLKDVSYQINDVLDEWNTAILKHMGKQEKEGENNDLVLAKRNKVRFSIPSFLRNILICFGQIGDRIIMRHDIAKRIKEINERLNWIAILRNNYNFQSTVRGTEQIERSKTTSFVNVSTIIGREEEKNKVLSMLKSESGHQLVIPIVGMGGLGKTAFAQSVYNDQNVTTHFHKRIWVCVSDPFEEIKIAKAIIEVLKKDDDRKNSNVFQTLSECISENIDGIKFLLVLDDVWNPTMWDPLKAALQKGDANSRILVTTRNNTVAFMMEATNDHMINLNKLSDRDCLELFHRIAFFDKEKDESKLFDEDIKNKIAKKVDGLPLAAKTLASLMRYKKTRNEWVAVLESKMWELEEVEQQVFQSLLLSYYDLTPAVRRCLLFCVVFPKDYEFDRNELIECWMSQEYLSMKGDKEKERMIGQQYFDNLVMRSFFQDFVKDEVDGDIISCKMHDIVHDFLQFLTKNECFIMEVAESCKEKNMVVDNKVRHLNLMSTYNDSFPVSIYNCKGLRTLVISTRKLPPLPSDSFSKLKSIRTLKLTENSIKEVPESIGGLVHLRYLDLSENEELEELPNSVGNLFNLETLRLIGCEGLRELPVSLRKLVNLKHLYILGCESLKVPKEIGRLRNLQILDYLYLKDGGEDDEGIFKLGDLGNLEQLQGSLYIANLKSAKDGSEAKNTELGNKKNLLHLILHFGHHYRGDPERAEADLKDEEILNGFQVHTNLESLNIRNYQSTSLCPSWMMSCHNLRRLEFSEVPFCGVLAPLGKLPSFEYLQIRWMQSVKKVGVEFLGITGKTPQTLIKSFPKLKTLKFENMLQWEEWEGVEEEDSKITIMPSLLYLEIYHCPWLKALPNFLWKTPLRELSIEWNCWILAEWFKTRCGTEWVKKASHVQNIKINGKFVKKDGVGMLEED >ONI29345 pep chromosome:Prunus_persica_NCBIv2:G1:18318106:18341408:1 gene:PRUPE_1G194100 transcript:ONI29345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSKKKKRGGGGSGKKKKALKDHGAYVGGDDNELLSEEITALCAIFQDDCKVMSGSHPQIIIKLRPHSKDMGYEDLDVSALLLVRCLPGYPYKCPKLQITPEKGLSQSDADRLLSLIHDQANSNAREGRVMIFNLVETAQEFLSEVVPVSQSHGSVICPTTGSSAQLFQKDIAISSNKKGPFVYGFIDLFSGSGESWNWGFGVDETSGINPSVPSHTGDGSKVKHEIQEKKLDRHAEPLNLQDIKKSSLLSSTVKLDSLEEDSEDGNKSIASTNSSRFLLEELVGSGGKAEKENLVLEEDSTEDDCEFGSEQSESLSFASLGHDQVSQTVKKDLIMVHLLRLACTSKGPLADALPQITTELENLGILSEWGRDLASKPPSLLNRTFNHAFREHMVSSRVSQFWEPTSDFEGPSTSLPSSRYLSDFEELQSLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGIVGAHGDTTWGSMTAASSTFSFKGTNSADALGHENKLESTYLYIQMEYCPRTLRQVFESYSRFDKELAWHLCRQIVEGLAHIHGQGIIHRDLTPSNIFFDARNDIKIGDFGLAKFLKLEQLDQEPSFPPDTAGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHHVLSDLKQKGELPPAWVAEFPEQASLLRRLMSPSPSDRPSATELLKHAFPPRMESELLDNILRTMQTSEDRSVYDKVLNAIFDEEMLSMKDQQHHDGRLGSVSDISAIQYADLHTEARDYVVDITREVFRQHCAKHLEVITMRLLDDCQQFNRNTVKLLTHGGDMLELCHELRLPFVSWVVSSQKSSFKRYEVSYVHRRPVGHSPPSRYLQGDFDIIGGASALTEAEVIKVTRDIVAPFFNSDFCDIHLNHGDLLEAIWSWVGVKSEHRQKVAEELNLPEAVVNRLQTVGLRFCGAADQALARLRGALPTDKPTRKALDELSDLYSHLRVWRIERHVYINPLMPPTEGYHRDLFFQVYLVKDNNPGSLTEGTLLAVGGRYDYLLRQMWGLEHKSSPPGAVGASLALETIIQHSPVDVKPIRYEVSNDVLVCSKGGGGLLAERMELVAELWEENIKAEFVPIPDPSLTEQYEYANEHDIKCLVIITDTGVSQKGSVKVRHLELKKEKEVERSNLVRFLLDAMAIQFKNPSIWN >ONI29344 pep chromosome:Prunus_persica_NCBIv2:G1:18318106:18341408:1 gene:PRUPE_1G194100 transcript:ONI29344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSKKKKRGGGGSGKKKKALKDHGAYVGGDDNELLSEEITALCAIFQDDCKVMSGSHPQIIIKLRPHSKDMGYEDLDVSALLLVRCLPGYPYKCPKLQITPEKGLSQSDADRLLSLIHDQANSNAREGRVMIFNLVETAQEFLSEVVPVSQSHGSVICPTTGSSAQLFQKDIAISSNKKGPFVYGFIDLFSGSGESWNWGFGVDETSGINPSVPSHTGDGSKVKHEIQEKKLDRHAEPLNLQDIKKSSLLSSTVKLDSLEEDSEDGNKSIASTNSSRFLLEELVGSGGKAEKENLVLEEDSTEDDCEFGSEQSESLSFASLGHDQVSQTVKKDLIMVHLLRLACTSKGPLADALPQITTELENLGILSEWGRDLASKPPSLLNRTFNHAFREHMVSSRVSQFWEPTSDFEGPSTSLPSSRYLSDFEELQSLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGIVGAHGDTTWGSMTAASSTFSFKGTNSADALGHENKLESTYLYIQMEYCPRTLRQVFESYSRFDKELAWHLCRQIVEGLAHIHGQGIIHRDLTPSNIFFDARNDIKIGDFGLAKFLKLEQLDQEPSFPPDTAGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHHVLSDLKQKGELPPAWVAEFPEQASLLRRLMSPSPSDRPSATELLKHAFPPRMESELLDNILRTMQTSEDRSVYDKVLNAIFDEEMLSMKDQQHHDGRLGSVSDISAIQYADLHTEARDYVVDITREVFRQHCAKHLEVITMRLLDDCQQFNRNTVKLLTHGGDMLELCHELRLPFVSWVVSSQKSSFKRYEVSYVHRRPVGHSPPSRYLQGDFDIIGGASALTEAEVIKVTRDIVAPFFNSDFCDIHLNHGDLLEAIWSWVGVKSEHRQKVAELLSMMGSLRPQSSERKSKWVVIRRQLLQELNLPEAVVNRLQTVGLRFCGAADQALARLRGALPTDKPTRKALDELSDLYSHLRVWRIERHVYINPLMPPTEGYHRDLFFQVYLVKDNNPGSLTEGTLLAVGGRYDYLLRQMWGLEHKSSPPGAVGASLALETIIQHSPVDVKPIRYEVSNDVLVCSKGGGGLLAERMELVAELWEENIKAEFVPIPDPSLTEQYEYANEHDIKCLVIITDTGVSQKGSVKVRHLELKKEKEVERSNLVRFLLDAMAIQFKNPSIWN >ONI32935 pep chromosome:Prunus_persica_NCBIv2:G1:35077355:35081408:-1 gene:PRUPE_1G394800 transcript:ONI32935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTEKFSEDGENGRVRYGLSSMQGWRATMEDAHAAYPDLDTSTSFFGVYDGHGGKVVAKFCAKYLHRQVLKNEAYAAGDIGTSVQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRSSEGNDQADDWAFEEGPHSDFTGPTSGSTACVAILRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLELEKERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTACPDINTVELCDDDEFIVLACDGIWDCMSSQQVVDYVHEQLVSESKLSVVCERVLDRCLAPTTAGGEGCDNMTMIIVQFVQLKKPLQSAGSADERPSPTEEAGTGSDSKAGESEPK >ONI32936 pep chromosome:Prunus_persica_NCBIv2:G1:35077071:35082469:-1 gene:PRUPE_1G394800 transcript:ONI32936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTEKFSEDGENGRVRYGLSSMQGWRATMEDAHAAYPDLDTSTSFFGVYDGHGGKVVAKFCAKYLHRQVLKNEAYAAGDIGTSVQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRSSEGNDQADDWAFEEGPHSDFTGPTSGSTACVAILRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLELEKERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTACPDINTVELCDDDEFIVLACDGIWDCMSSQQVVDYVHEQLVSESKLSVVCERVLDRCLAPTTAGGEGCDNMTMIIVQFVQLKKPLQSAGSADERPSPTEEAGTGSDSKAGESEPK >ONI32442 pep chromosome:Prunus_persica_NCBIv2:G1:33663318:33669391:-1 gene:PRUPE_1G368000 transcript:ONI32442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWPWKKKSSDKAAAEKAAAAADSFATEAEQDKYKKPNYVQISVEQYSHLTGLEDQVKTYEDQVKTYEDQVQSLEDEITDLNEKLSAANTEMTNKESLVKQHTKVAEEAVSGWEKAEAEALALKTHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEDHEQKLQEVVFSKTKQCEKIKLELEAKISNLDQELLRSAAENAAISRSLQERSNMLFKINEEKSQAEAEIELFKSNIESCEREINSLKYELHLASKELEIRNEEKDMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGETRLRRSPVKPSSPHMSPVTEFSLDNVQKFHKENEFLTERLLAMEEETKMLKEALTKRNSELQTSRGMCAQTVSKLQTLEAQLQINNQQKGSPKSVVQITTEGSSSQNASNPPSLTSLSEDGNDDDRSCAESWATTLGSDLSHIRKEKSNQKSNKAENQNHLNLMDDFLEMEKLACLPNDSNGAVSISSGPNNKTSERENHDASGDVTAEKDIQSEQQQDLSPLEGDQASSNVKLSGLSPESDENQLPLVKLRSKISMLLELLSKDTDFGKVIEDIKHVVQEAQDTLHPHTVNCISEEVHSSDAICDRQANPEDSRLTTEKEITLSQPARGTMELMSEDLASAISLINDFVLFLGKEVMGVHDTFPDGNELSHKIEEFSGAFNKAIHGNLSLADFVLGLSHVLANVGELKFNVLGYKGVETETNSPDCIDKVALPENKVVEKDSSERYQNVCVHISNHSNPEVPDDGNLVSGYESNAAPCKISLEEFEQIKSQKDNLAMDLERCNETLEMTKSQLQETEQLLAEAKSQFASAQNSNSLAETQLRCMAESYRSLEARAEELEAELKLLQVRTETLESELQEEKRNHQDALARCTELQEQLKRNELLAAETEFKTKQDRELADAAEKLAECQETIFLLGKQLKSLHPQTEHMGSPFSERSQKGEGYTEDVPTTTVRDSDQAEMEGTAFANVNRVGSESPVNLYNTPCSPSDTEANTLLKSPVNSKYPKHRPTKSTSSSASSTPTPEKHQRGFSRFFSSKAKNGY >ONI32444 pep chromosome:Prunus_persica_NCBIv2:G1:33663318:33667868:-1 gene:PRUPE_1G368000 transcript:ONI32444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKESLVKQHTKVAEEAVSGWEKAEAEALALKTHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEDHEQKLQEVVFSKTKQCEKIKLELEAKISNLDQELLRSAAENAAISRSLQERSNMLFKINEEKSQAEAEIELFKSNIESCEREINSLKYELHLASKELEIRNEEKDMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGETRLRRSPVKPSSPHMSPVTEFSLDNVQKFHKENEFLTERLLAMEEETKMLKEALTKRNSELQTSRGMCAQTVSKLQTLEAQLQINNQQKGSPKSVVQITTEGSSSQNASNPPSLTSLSEDGNDDDRSCAESWATTLGSDLSHIRKEKSNQKSNKAENQNHLNLMDDFLEMEKLACLPNDSNGAVSISSGPNNKTSERENHDASGDVTAEKDIQSEQQQDLSPLEGDQASSNVKLSGLSPESDENQLPLVKLRSKISMLLELLSKDTDFGKVIEDIKHVVQEAQDTLHPHTVNCISEEVHSSDAICDRQANPEDSRLTTEKEITLSQPARGTMELMSEDLASAISLINDFVLFLGKEVMGVHDTFPDGNELSHKIEEFSGAFNKAIHGNLSLADFVLGLSHVLANVGELKFNVLGYKGVETETNSPDCIDKVALPENKVVEKDSSERYQNVCVHISNHSNPEVPDDGNLVSGYESNAAPCKISLEEFEQIKSQKDNLAMDLERCNETLEMTKSQLQETEQLLAEAKSQFASAQNSNSLAETQLRCMAESYRSLEARAEELEAELKLLQVRTETLESELQEEKRNHQDALARCTELQEQLKRNELLAAETEFKTKQDRELADAAEKLAECQETIFLLGKQLKSLHPQTEHMGSPFSERSQKGEGYTEDVPTTTVRDSDQAEMEGTAFANVNRVGSESPVNLYNTPCSPSDTEANTLLKSPVNSKYPKHRPTKSTSSSASSTPTPEKHQRGFSRFFSSKAKNGY >ONI32443 pep chromosome:Prunus_persica_NCBIv2:G1:33663117:33669445:-1 gene:PRUPE_1G368000 transcript:ONI32443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWPWKKKSSDKAAAEKAAAAADSFATEAEQDKYKKPNYVQISVEQYSHLTGLEDQVKTYEDQVKTYEDQVQSLEDEITDLNEKLSAANTEMTNKESLVKQHTKVAEEAVSGWEKAEAEALALKTHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEDHEQKLQEVVFSKTKQCEKIKLELEAKISNLDQELLRSAAENAAISRSLQERSNMLFKINEEKSQAEAEIELFKSNIESCEREINSLKYELHLASKELEIRNEEKDMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGETRLRRSPVKPSSPHMSPVTEFSLDNVQKFHKENEFLTERLLAMEEETKMLKEALTKRNSELQTSRGMCAQTVSKLQTLEAQLQINNQQKGSPKSVVQITTEGSSSQNASNPPSLTSLSEDGNDDDRSCAESWATTLGSDLSHIRKEKSNQKSNKAENQNHLNLMDDFLEMEKLACLPNDSNGAVSISSGPNNKTSERENHDASGDVTAEKDIQSEQQQDLSPLEGDQASSNVKLSGLSPESDENQLPLVKLRSKISMLLELLSKDTDFGKVIEDIKHVVQEAQDTLHPHTVNCISEEVHSSDAICDRQANPEDSRLTTEKEITLSQPARGTMELMSEDLASAISLINDFVLFLGKEVMGVHDTFPDGNELSHKIEEFSGAFNKAIHGNLSLADFVLGLSHVLANVGELKFNVLGYKGVETETNSPDCIDKVALPENKVVEKDSSERYQNVCVHISNHSNPEVPDDGNLVSGYESNAAPCKISLEEFEQIKSQKDNLAMDLERCNETLEMTKSQLQETEQLLAEAKSQFASAQNSNSLAETQLRCMAESYRSLEARAEELEAELKLLQVRTETLESELQEEKRNHQDALARCTELQEQLKRNELLAAETEFKTKQDRELADAAEKLAECQETIFLLGKQLKSLHPQTEHMGSPFSERSQKGEGYTEDVPTTTVRDSDQAEMEGTAFANVNRVGSESPVNLYNTPCSPSDTEANTLLKSPVNSKYPKHRPTKSTSSSASSTPTPEKHQRGFSRFFSSKAKNGY >ONI35943 pep chromosome:Prunus_persica_NCBIv2:G1:45892360:45893460:1 gene:PRUPE_1G561800 transcript:ONI35943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDSFGRLHTYLRISLTERCNLRCQYCMPAEGVDLTPSPKILSQNEIVRLANLFVSSGVEKIRLTGGEPTIRKDIEDICLHLSNLNGLKTLAITTNGITLARKLPKLKECGLTSVNISLDTLVPAKFEFMTRRKGHQKVMESINAAINLGYNPVKVNCVVMRGFNDDEICDFVELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVR >ONI35939 pep chromosome:Prunus_persica_NCBIv2:G1:45890662:45894350:1 gene:PRUPE_1G561800 transcript:ONI35939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAFRYCQRASQSGLSTLEEKRRQQNSLILHIKLPSAFESSYVSVVSNTNMMKRHVFKFARSRMGFADFNCFLADFETGFCSTSRNSDSKGLLRHQMNASTPRMCSTSCAKVPEDLPKDNPVSDMLLDSFGRLHTYLRISLTERCNLRCQYCMPAEGVDLTPSPKILSQNEIVRLANLFVSSGVEKIRLTGGEPTIRKDIEDICLHLSNLNGLKTLAITTNGITLARKLPKLKECGLTSVNISLDTLVPAKFEFMTRRKGHQKVMESINAAINLGYNPVKVNCVVMRGFNDDEICDFVELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVKRFPSLKRLQDHPTDTAKNFRIDEHAGVVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSHLPLSSGSYRNSYTCVIISNNAKLPWAIKAYFLSIYAYLIFA >ONI35944 pep chromosome:Prunus_persica_NCBIv2:G1:45891684:45896845:1 gene:PRUPE_1G561800 transcript:ONI35944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEGVDLTPSPKILSQNEIVRLANLFVSSGVEKIRLTGGEPTIRKDIEDICLHLSNLNGLKTLAITTNGITLARKLPKLKECGLTSVNISLDTLVPAKFEFMTRRKGHQKVMESINAAINLGYNPVKVNCVVMRGFNDDEICDFVELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVKRFPSLKRLQDHPTDTAKNFRIDEHAGVVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRQGANDHELREIIGSAVKRKKASHAGMFDIAKTANRPMIHIGG >ONI35941 pep chromosome:Prunus_persica_NCBIv2:G1:45891738:45896845:1 gene:PRUPE_1G561800 transcript:ONI35941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASTPRMCSTSCAKVPEDLPKDNPVSDMLLDSFGRLHTYLRISLTERCNLRCQYCMPAEGVDLTPSPKILSQNEIVRLANLFVSSGVEKIRLTGGEPTIRKDIEDICLHLSNLNGLKTLAITTNGITLARKLPKLKECGLTSVNISLDTLVPAKFEFMTRRKGHQKVMESINAAINLGYNPVKVSLRDPLRQGANDHELREIIGSAVKRKKASHAGMFDIAKTANRPMIHIGG >ONI35937 pep chromosome:Prunus_persica_NCBIv2:G1:45890662:45896845:1 gene:PRUPE_1G561800 transcript:ONI35937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAFRYCQRASQSGLSTLEEKRRQQNSLILHIKLPSAFESSYVSVVSNTNMMKRHVFKFARSRMGFADFNCFLADFETGFCSTSRNSDSKGLLRHQMNASTPRMCSTSCAKVPEDLPKDNPVSDMLLDSFGRLHTYLRISLTERCNLRCQYCMPAEGVDLTPSPKILSQNEIVRLANLFVSSGVEKIRLTGGEPTIRKDIEDICLHLSNLNGLKTLAITTNGITLARKLPKLKECGLTSVNISLDTLVPAKFEFMTRRKGHQKVMESINAAINLGYNPVKVSLRDPLRQGANDHELREIIGSAVKRKKASHAGMFDIAKTANRPMIHIGG >ONI35936 pep chromosome:Prunus_persica_NCBIv2:G1:45890662:45896845:1 gene:PRUPE_1G561800 transcript:ONI35936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAFRYCQRASQSGLSTLEEKRRQQNSLILHIKLPSAFESSYVSVVSNTNMMKRHVFKFARSRMGFADFNCFLADFETGFCSTSRNSDSKGLLRHQMNASTPRMCSTSCAKVPEDLPKDNPVSDMLLDSFGRLHTYLRISLTERCNLRCQYCMPAEGVDLTPSPKILSQNEIVRLANLFVSSGVEKIRLTGGEPTIRKDIEDICLHLSNLNGLKTLAITTNGITLARKLPKLKECGLTSVNISLDTLVPAKFEFMTRRKGHQKVMESINAAINLGYNPVKVKRFPSLKRLQDHPTDTAKNFRIDEHAGVVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRQGANDHELREIIGSAVKRKKASHAGMFDIAKTANRPMIHIGG >ONI35940 pep chromosome:Prunus_persica_NCBIv2:G1:45891690:45896845:1 gene:PRUPE_1G561800 transcript:ONI35940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASTPRMCSTSCAKVPEDLPKDNPVSDMLLDSFGRLHTYLRISLTERCNLRCQYCMPAEGVDLTPSPKILSQNEIVRLANLFVSSGVEKIRLTGGEPTIRKDIEDICLHLSNLNGLKTLAITTNGITLARKLPKLKECGLTSVNISLDTLVPAKFEFMTRRKGHQKVMESINAAINLGYNPVKVNCVVMRGFNDDEICDFVELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVKRFPSLKRLQDHPTDTAKNFRIDEHAGVVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRQGANDHELREIIGSAVKRKKASHAGMFDIAKTANRPMIHIGG >ONI35935 pep chromosome:Prunus_persica_NCBIv2:G1:45890662:45896845:1 gene:PRUPE_1G561800 transcript:ONI35935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAFRYCQRASQSGLSTLEEKRRQQNSLILHIKLPSAFESSYVSVVSNTNMMKRHVFKFARSRMGFADFNCFLADFETGFCSTSRNSDSKGLLRHQMNASTPRMCSTSCAKVPEDLPKDNPVSDMLLDSFGRLHTYLRISLTERCNLRCQYCMPAEGVDLTPSPKILSQNEIVRLANLFVSSGVEKIRLTGGEPTIRKDIEDICLHLSNLNGLKTLAITTNGITLARKLPKLKECGLTSVNISLDTLVPAKFEFMTRRKGHQKVMESINAAINLGYNPVKVNCVVMRGFNDDEICDFVELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVKRFPSLKRLQDHPTDTAKNFRIDEHAGVVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRQGANDHELREIIGSAVKRKKASHAGMFDIAKTANRPMIHIGG >ONI35945 pep chromosome:Prunus_persica_NCBIv2:G1:45891324:45896845:1 gene:PRUPE_1G561800 transcript:ONI35945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEGVDLTPSPKILSQNEIVRLANLFVSSGVEKIRLTGGEPTIRKDIEDICLHLSNLNGLKTLAITTNGITLARKLPKLKECGLTSVNISLDTLVPAKFEFMTRRKGHQKVMESINAAINLGYNPVKVNCVVMRGFNDDEICDFVELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVKRFPSLKRLQDHPTDTAKNFRIDEHAGVVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRQGANDHELREIIGSAVKRKKASHAGMFDIAKTANRPMIHIGG >ONI35938 pep chromosome:Prunus_persica_NCBIv2:G1:45890662:45895238:1 gene:PRUPE_1G561800 transcript:ONI35938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAFRYCQRASQSGLSTLEEKRRQQNSLILHIKLPSAFESSYVSVVSNTNMMKRHVFKFARSRMGFADFNCFLADFETGFCSTSRNSDSKGLLRHQMNASTPRMCSTSCAKVPEDLPKDNPVSDMLLDSFGRLHTYLRISLTERCNLRCQYCMPAEGVDLTPSPKILSQNEIVRLANLFVSSGVEKIRLTGGEPTIRKDIEDICLHLSNLNGLKTLAITTNGITLARKLPKLKECGLTSVNISLDTLVPAKFEFMTRRKGHQKVMESINAAINLGYNPVKVNCVVMRGFNDDEICDFVELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVKRFPSLKRLQDHPTDTAKNFRIDEHAGVVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEK >ONI35942 pep chromosome:Prunus_persica_NCBIv2:G1:45891689:45894350:1 gene:PRUPE_1G561800 transcript:ONI35942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASTPRMCSTSCAKVPEDLPKDNPVSDMLLDSFGRLHTYLRISLTERCNLRCQYCMPAEGVDLTPSPKILSQNEIVRLANLFVSSGVEKIRLTGGEPTIRKDIEDICLHLSNLNGLKTLAITTNGITLARKLPKLKECGLTSVNISLDTLVPAKFEFMTRRKGHQKVMESINAAINLGYNPVKVNCVVMRGFNDDEICDFVELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVKRFPSLKRLQDHPTDTAKNFRIDEHAGVVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSHLPLSSGSYRNSYTCVIISNNAKLPWAIKAYFLSIYAYLIFA >ONI28803 pep chromosome:Prunus_persica_NCBIv2:G1:13039090:13043870:-1 gene:PRUPE_1G162500 transcript:ONI28803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGASSSSAPFTKSWKYHVFLSFRGFDTRSNFTSHLYSALRREGINTFMDDDELRRGEEISNALLTAIEDSKISVVVFSENYASSKWCLDELVKILDCKESNQQLVIPVFYKVNPSDVRNQRGSFRDALANMDCNNLEKVNRWKEALSQAGKLAGFTLSDEYRSEAELIHKIVQDISQQVRDRTYLYVTEYPVRMCHPVEYILKLLDLGEKDVRMAGLWGTGGIGKTTIAKAVYNSIAHEFEGFCFLESVRECSMSHGGLAKLQKTLLFEILGGRKLKVTNVDKGVTMIKEWLRGRKVLLVLDDVDDMEQLHKLVGACDWFGVGSRIIITTRDKQLLTAHHVNLIHEVKILDDPEALELFCWHAFKRSEPPLGDYVKLAERAIRYAQGLPLALKVLGSCLCGGSTDKWEAALNGFKSTKIQDVLKISSDALDDDGVKEVFLDIACFFKGRNKKRVTELLVACGLNAGYGIEVLIEKALISVKLDYIEMHDLLEEMGKDIVEQESPTEAGGRSRLWSHENIEHVLANNTHFTKLTSLNFTGSLFLTEIPDLSSSQNLRSLNANGCTSLVKVHPSVGYLDRLEVLSFCHCHKLRKFPNKVRLKSLKKFHLFGCIKLKSFPEIVDKMESLNELDLGVTGIRELPASIGHLIRLKELGLRGSAIKELPSSVGNLTALQILGLGGSAIEELPSSIGNLTKLLRLDLCKCENLANLPQSIYELQNLLFINLDGCPKLVTLPNNLISEVLSSAESLPLKVRTKAYISYGRCSLDFKECNVSDIDSLENFCWWSNLRKINLSQSNFVRLPVCISKCVNLRELYLSGCKKLVEILGELPASIERISMADCISLERFSTLSKILEDGDMQLIKFMNLSNCHGLCDNLGLDVSNMAKLFNEVKRSKGIEVKLSGNEVPEWFTFRNYFNDHVRDYDDDDCTNYELPIEIPRTSVLENTELVLCAVWEITESFVDICFLRFYMSNYFQKSDWHISQGETRAGNVWLKCVPLMLNDESTPPIFRVVVYGGGLRIKSIGAHLAHISMDGKHN >ONI28804 pep chromosome:Prunus_persica_NCBIv2:G1:13039481:13043653:-1 gene:PRUPE_1G162500 transcript:ONI28804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGASSSSAPFTKSWKYHVFLSFRGFDTRSNFTSHLYSALRREGINTFMDDDELRRGEEISNALLTAIEDSKISVVVFSENYASSKWCLDELVKILDCKESNQQLVIPVFYKVNPSDVRNQRGSFRDALANMDCNNLEKVNRWKEALSQAGKLAGFTLSDEYRSEAELIHKIVQDISQQVRDRTYLYVTEYPVRMCHPVEYILKLLDLGEKDVRMAGLWGTGGIGKTTIAKAVYNSIAHEFEGFCFLESVRECSMSHGGLAKLQKTLLFEILGGRKLKVTNVDKGVTMIKEWLRGRKVLLVLDDVDDMEQLHKLVGACDWFGVGSRIIITTRDKQLLTAHHVNLIHEVKILDDPEALELFCWHAFKRSEPPLGDYVKLAERAIRYAQGLPLALKVLGSCLCGGSTDKWEAALNGFKSTKIQDVLKISSDALDDDGVKEVFLDIACFFKGRNKKRVTELLVACGLNAGYGIEVLIEKALISVKLDYIEMHDLLEEMGKDIVEQESPTEAGGRSRLWSHENIEHVLANNTGTNQITRIVLNFPKRDDDKRFMLNFLDGDDERQLNFHNPYEICLNADSFSKMKNLKIFIIYNACISGDIDYLPNSLRVLDWCGCPFQSFPPSFRPKQLVVLNMLCNRIKQLGEGLKHFTKLTSLNFTGSLFLTEIPDLSSSQNLRSLNANGCTSLVKVHPSVGYLDRLEVLSFCHCHKLRKFPNKVRLKSLKKFHLFGCIKLKSFPEIVDKMESLNELDLGVTGIRELPASIGHLIRLKELGLRGSAIKELPSSVGNLTALQILGLGGSAIEELPSSIGNLTKLLRLDLCKCENLANLPQSIYELQNLLFINLDGCPKLVTLPNNLISEVLSSAESLPLKVRTKAYISYGRCSLDFKECNVSDIDSLENFCWWSNLRKINLSQSNFVRLPVCISKCVNLRELYLSGCKKLVEILGELPASIERISMADCISLERFSTLSKILEDGDMQLIKFMNLSNCHGLCDNLGLDVSNMAKLFNEVKRSKGIEVKLSGNEVPEWFTFRNYFNDHVRDYDDDDCTNYELPIEIPRTSVLENTELVLCAVWEITESFVDICFLRFYMSNYFQKSDWHISQGETRAGNVWLKCVPLMLNDESTPPIFRVVVYGGGLRIKSIGAHLAHISMDGKHN >ONI36025 pep chromosome:Prunus_persica_NCBIv2:G1:46179241:46181639:-1 gene:PRUPE_1G565800 transcript:ONI36025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHGCPNGNANIWCFVLALLITIASFAPNPSFAKKTKVDGLKLNVIDGCWRWNSDWRRNRQELALCSVGFSGKMSNNIGRDVIYYQVTEPSDSALDPKPGTLRYAVTMIKGKKWITFRRDMHIRLDKPLLISSFTAIDGRGASVHIAGNACLLVFQASNIIIHGLRIHHCRPQPPSSVMGPEGKIMPIGQVDGDAIRLVTASKVWIDHNTLYECQDGLLDVTRGSTHITISNNWFRDQDKVMLLGHDDGYFRDKNMRVTVVYNHFGPNCNQRMPRIRYGYAHVVNNLYREWSQYAIGGSMNPSVKSEANLFIAPKSGNKKEITWRKDSIGDKESWKFYSVGDIFENGASFVETGAGRAKPNYNREQTFPVVNAKSVRSLTRSSGALICIKRSRC >ONI28611 pep chromosome:Prunus_persica_NCBIv2:G1:11948439:11953762:1 gene:PRUPE_1G151400 transcript:ONI28611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTWGSALRITLLLLLVAAVATACFTLPVEKILKDFLLWVEQDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATVGAGAAFLLGRTIGRSFVVSRLKDYPQFRAVAIAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPIGQYMLASWLGMMPITFALVYVGTTLKDLSDVTHGWGEFSKTRWAFIVLGLAVSVILMICVTRVAKAALEKALAENEDIDINATPELPVVAEAPAHLNQPLLIKIDPSEDNHEK >ONI28612 pep chromosome:Prunus_persica_NCBIv2:G1:11948737:11952396:1 gene:PRUPE_1G151400 transcript:ONI28612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTWGSALRITLLLLLVAAVATACFTLPVEKILKDFLLWVEQDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATVGAGAAFLLGRTIGRSFVVSRLKDYPQFRAVAIAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPIGQYMLASWLGMMPITFALVYVGTTLKDLSDVTHGWGEFSKTRWAFIVLGLAVSGKLQNLNKHTQAFSLGHLLFFSFLVGWEHGNYYVRQRCLSSYYHNMISFFQLKIQIMLLKQGRTK >ONI31081 pep chromosome:Prunus_persica_NCBIv2:G1:28939249:28941042:1 gene:PRUPE_1G290800 transcript:ONI31081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIGTKLPGFCLNRIRPHVRVRSPTIQSKVDAPSDVTKNNADKKAENSGNVGEEKAGGNGAIARKIMIVVDSSLEAKGALQWALSHTVQSQDKLVLLHVTKPSSSKQAAGEEPSKDVAPRAYQLVHSLRNMCQLKRPEVQIEVAVVVEGNKEKGPTILEEARKQEVALLVLGQKKRSTTWRLLMMWAGNRVGGGGGSSVVEYCIQNASCMAVAVRRKSRKVGGYLITTKRQKDFWLLA >ONI31082 pep chromosome:Prunus_persica_NCBIv2:G1:28939256:28941042:1 gene:PRUPE_1G290800 transcript:ONI31082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIGTKLPGFCLNRIRPHVRVRSPTIQSKVDAPSDVTKNNADKKAENSGNVGEEKAGGNGAIARKIMIVVDSSLEAKGALQWALSHTVQSQDKLVLLHVTKPSSSKQAGEEPSKDVAPRAYQLVHSLRNMCQLKRPEVQIEVAVVVEGNKEKGPTILEEARKQEVALLVLGQKKRSTTWRLLMMWAGNRVGGGGGSSVVEYCIQNASCMAVAVRRKSRKVGGYLITTKRQKDFWLLA >ONI30821 pep chromosome:Prunus_persica_NCBIv2:G1:28099699:28102054:1 gene:PRUPE_1G275200 transcript:ONI30821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAVQMSPFSHPKTNTNTPVSLIPKCTSLRELKQIQAFSIKTHLQYDISVLTKLINFCTLNPTGTSMDYAHHLFDQIPHPDIVVFNTMARGYARSHAPFRAISLFAHILSSDLFPDDYTFASLLKACASSKALEEGRQLHCFAIKCGLHLNIYVCPTLINMYTECNDVDAARRVFDKIPDPCVVVHNAMIKGYARSSRPNEALALFRELQASNLKPTDVTMLSALSSCALLGALDLGKWIHEYVKKNRFDRYVKVNTALIDMYAKCGSLEDAVSVFEDMSVKDTQAWSAMIVAYATHGNGSKALSMFEEMKKARIRPDEITFLGLLYACSHAGFVEEGCKYFYSMSERYGIVPGIKHYGCMVDLLGRSGRLGEAYKFIDELPITPTPIFWRTLLSACGSHGDVDMGMRVLEQIFALDDSHGGDYVIISNLCARAGRWEDVDRLRKLMRDRGIVKIPGCSSIEVNNVVHEFFSGDGERSVSTVLHQAVDKLVEELKLAGYVPDTSLVFHSNMEDKDREVSLRYHSEKLAIAYGLLNTPPGATIRVVKNLRVCGDCHSAAKYISLIFNRQIILRDVQRFHHFKEGKCSCGDYW >ONI28975 pep chromosome:Prunus_persica_NCBIv2:G1:14456264:14457994:-1 gene:PRUPE_1G173000 transcript:ONI28975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPAIWNNQNTTIFCDMCIKEVEAGNRLGTHFKKEGWENVRISLSKEIGAEYDKSQLKNKWDALKEQWKIWKELIGKETGLGKGISPDMEEKFDRMFMNTTATGDHAWAPLSGVLPTHDSSECDGILLNSGDDSDDPVYVPDETIQVTEKSCGKGKKRTNQQLDTQVKKEKNGNRVATKVGKVGGVVKLSQQIERMVDVIENRSTTSVMMKRSQGSSIAEVMKVVLSLPSAGPGSHLVIPKSREKRNVHKYGRS >ONI29288 pep chromosome:Prunus_persica_NCBIv2:G1:17664502:17664783:-1 gene:PRUPE_1G191300 transcript:ONI29288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYGGLAVRGGLVIDVADLHPLEFSKAARSSRPMSICSFLLVRCTRPTCRVFIGQMSSVCAERQHHIILCHKNFNGTLNFNKNEFVEEAQNLG >ONI36128 pep chromosome:Prunus_persica_NCBIv2:G1:46491999:46498529:1 gene:PRUPE_1G570900 transcript:ONI36128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFEFPKLSRNDIVTILADSQIIAISDRDLVNPNPDFVADLFARILSHIDFLHEEDYEQVEFAALEQLENPDLHVDSARSMKLYNRMKEVVALVDCPKRFTLKDLIRPETDRTEYFLSAILNFCLHRETKMNILTKVVDQVTDIDEQRNRWEDRISQLNAEIAEYNEAREKELPLVQEVDAKVKELRQTIAGLNNQQMSLRTSLRKLKEKTGEMEEKISSAEFALVQSVQESANLRSRIVQSPDKLQVSKKLSKHLAQMQAIQEQVNSAKSVDRDFKAVKAKLADDGVLSRSLQAKLVEREGKVEQFNELKKQLEKDRDLKFEEASKELNNVKLEVESRRRDMEARQRDVEAAVAEVDSITAKTTSIKESGASHQKQLARKCEEIMKEFYQYQNSIRFLLPMPDGERQ >ONI36127 pep chromosome:Prunus_persica_NCBIv2:G1:46491795:46498565:1 gene:PRUPE_1G570900 transcript:ONI36127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFEFPKLSRNDIVTILADSQIIAISDRDLVNPNPDFVADLFARILSHIDFLHEEDYEQVEFAALEQLENPDLHVDSARSMKLYNRMKEVVALVDCPKRFTLKDLIRPETDRTEYFLSAILNFCLHRETKMNILTKVVDQVTDIDEQRNRWEDRISQLNAEIAEYNEAREKELPLVQEVDAKVKELRQTIAGLNNQQMSLRTSLRKLKEKTGEMEEKISSAEFALVQSVQESANLRSRIVQSPDKLQRALEEKKSVREEAKNFERSAMQSFKEKTDVDEVYTKVSKKLSKHLAQMQAIQEQVNSAKSVDRDFKAVKAKLADDGVLSRSLQAKLVEREGKVEQFNELKKQLEKDRDLKFEEASKELNNVKLEVESRRRDMEARQRDVEAAVAEVDSITAKTTSIKESGASHQKQLARKCEEIMKEFYQYQNSIRFLLPMPDGERQ >ONI36532 pep chromosome:Prunus_persica_NCBIv2:G1:47811053:47814785:-1 gene:PRUPE_1G588300 transcript:ONI36532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREVREYTNLSDPKDKKWGKGKDKIDDEDVTFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36538 pep chromosome:Prunus_persica_NCBIv2:G1:47811053:47814611:-1 gene:PRUPE_1G588300 transcript:ONI36538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSHSNAWLPRDLGFFYWVVDASQMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36530 pep chromosome:Prunus_persica_NCBIv2:G1:47810660:47816201:-1 gene:PRUPE_1G588300 transcript:ONI36530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREVREYTNLSDPKDKKWGKGKDKIDDEDVTFQRMVAKMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36527 pep chromosome:Prunus_persica_NCBIv2:G1:47810659:47814983:-1 gene:PRUPE_1G588300 transcript:ONI36527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLPCFIYDAVYCFTLLNQEKLSALRNCHNHISFIFKVSAMAGREVREYTNLSDPKDKKWGKGKDKIDDEDVTFQRMVAKMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36537 pep chromosome:Prunus_persica_NCBIv2:G1:47811053:47814611:-1 gene:PRUPE_1G588300 transcript:ONI36537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSHSNAWLPSQMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36536 pep chromosome:Prunus_persica_NCBIv2:G1:47811053:47814611:-1 gene:PRUPE_1G588300 transcript:ONI36536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSHSNAWLPRCKRLQENVEDTFMAEAMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36531 pep chromosome:Prunus_persica_NCBIv2:G1:47810851:47816201:-1 gene:PRUPE_1G588300 transcript:ONI36531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREVREYTNLSDPKDKKWGKGKDKIDDEDVTFQRMVAKMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36534 pep chromosome:Prunus_persica_NCBIv2:G1:47810851:47816181:-1 gene:PRUPE_1G588300 transcript:ONI36534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREVREYTNLSDPKDKKWGKGKDKIDDEDVTFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36541 pep chromosome:Prunus_persica_NCBIv2:G1:47811053:47814611:-1 gene:PRUPE_1G588300 transcript:ONI36541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSHSNAWLPRDLGFFYWVVDASQMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36533 pep chromosome:Prunus_persica_NCBIv2:G1:47810660:47816216:-1 gene:PRUPE_1G588300 transcript:ONI36533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREVREYTNLSDPKDKKWGKGKDKIDDEDVTFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36529 pep chromosome:Prunus_persica_NCBIv2:G1:47810851:47816188:-1 gene:PRUPE_1G588300 transcript:ONI36529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREVREYTNLSDPKDKKWGKGKDKIDDEDVTFQRMVAKMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36528 pep chromosome:Prunus_persica_NCBIv2:G1:47811053:47814908:-1 gene:PRUPE_1G588300 transcript:ONI36528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLPCFIYDAVYCFTLLNQEKLSALRNCHNHISFIFKVSAMAGREVREYTNLSDPKDKKWGKGKDKIDDEDVTFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36542 pep chromosome:Prunus_persica_NCBIv2:G1:47810851:47816202:-1 gene:PRUPE_1G588300 transcript:ONI36542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36535 pep chromosome:Prunus_persica_NCBIv2:G1:47810851:47816181:-1 gene:PRUPE_1G588300 transcript:ONI36535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREVREYTNLSDPKDKKWGKGKDKIDDEDVTFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36543 pep chromosome:Prunus_persica_NCBIv2:G1:47810851:47816201:-1 gene:PRUPE_1G588300 transcript:ONI36543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36540 pep chromosome:Prunus_persica_NCBIv2:G1:47810851:47816181:-1 gene:PRUPE_1G588300 transcript:ONI36540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSHSNAWLPRDLGFFYWVVDASQMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI36539 pep chromosome:Prunus_persica_NCBIv2:G1:47811053:47814611:-1 gene:PRUPE_1G588300 transcript:ONI36539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSHSNAWLPRDLGFFYWVVDASQMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKQKSGIRQQDLLKKVIEIKPKRHKVSSRSDGNQSHPNLIVSASTNLESENDQVRDKVHVSSSHRIKGESEGKTTAKSLLGLAYASSDNEED >ONI29342 pep chromosome:Prunus_persica_NCBIv2:G1:18269398:18271716:1 gene:PRUPE_1G193900 transcript:ONI29342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGISPKQYFNLPKPQRVSFDDRLKDGLRVLLQEETRKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSQHFEDDTAKNGKHQEEKVNKVVDYSNMEAPSSLQTLCRYVETTLVPQDKTLHFTIDKEVFGLERDTFLLPEDITQFAGMEEIGATVIAVYMRYLHDVLKQANMCTMVGFIDPATVSASSGTIADRSRLVASRLQKTDGEQIFLMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSAIKIYNSHIARSGRKAVIWKILSGTPKQPSNVECGYYVMRFMKDIIMDPSLGFEKKYAKGKQEEPYPQEAIDEVRNEWAEFVCLQLE >ONI30794 pep chromosome:Prunus_persica_NCBIv2:G1:27970051:27972496:1 gene:PRUPE_1G273200 transcript:ONI30794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEVSDELLGTFVPVLIYWAYSGIYVVLDSFENYRLHTRKDEDEKNLVSKRTVVKGVLLQQTIQAIVAILLFTVTGNDDGDSGVKPSFIDLARQFVTAMIVLDTWQYFMHRYMHHNKFLYKHIHSQHHRLVVPYAFGALYNHPVEGLLLDTIGGALSFLLSGMSPRASIFFFSFATIKTVDDHCGLWLPGNLFHVFFSNNTAYHDVHHQLYGSKYNFSQPFFVMWDRILGTHMPYSLEKRAGGGFEVRPKKVDKEN >ONI36474 pep chromosome:Prunus_persica_NCBIv2:G1:47621952:47631205:-1 gene:PRUPE_1G586700 transcript:ONI36474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSSSAAAAMGVSDPSQLHLKKELTQIRKAARVLRDPGTTSSWRSPLASSSRSVGVAAAAAAAAAASAMTSSTWNNNGNSTTPTGNRNNGSVKRVFLHNWKSSKSSRNNDNDDDDYGDGDYDDDDDDDDDDGIDASSSVAALSVDDSLSDARTGADGDSRSDTQTYSRSSSMMLRRRYAHLLPPVKNTKKTSQKTDTHSDVLSKYQQKELILGRNLVSSRKSVEGHPSMAVRSSRTRDDLVDQSDDTEDYCNSEDLRRISGASPLLSKLKKKNWSKFRRDNSIRREDSSYSYSTPALSTSSYNRYHVRNPSTVGSWDGTTTSMNDGDDEVDDHLEFPGRQGCGIPCYWSKRTPKHKSMYGSCCSPSLSDTFRRKGSIIFCGSQNIYPRRRQSSSGSHKQRIASRSAQGVLPLLTNSGEGRGGSSLGTGRSDDELSTNFGELDLEALSRLDGRRWSSSCRSQEGLEIVTLNGGGEEEGSPENIRSFSQKYKPMFFGELVGQNIVVQSLINAIERGRIAPVYLFQGPRGTGKTSAARIFTASLNCLAPDETKPCGYCRECSDFVSGKNKDLLEVDGTNKKGIDKVRYLLKTLSMAPPSASSRYKVFVIDECHLLPSKTWLAFLKYLEEPPQRVVFIFITTDLDNVPRTIQSRCQKYLFNKIKDSDIVARLRKISAEENLDVETDALELIALNADGSLRDAETMLDQLSLLGKRISTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNINDVKHDSFFGDRNLTEAELERLKHALKILSEAEKQLRVSSERSTWFTATLLQLGSMPSPDLTHSCSRRHSCKTTEDDSSSASREAASYKQLEGQYMLHKSTSHASLQKTLNGNSNHQRDSLSRKNGFGFNTKPSHGQIVESGASTPLHDEDMAGNVILRCVNSERLEDVWAQCIERCHSKTLRQLLHSHGKLVSISEAEGVLVAYVAFEDGSIKSRAERFVSSITNSMEVVLRRNVEQLIGKGKELDQMPQTVIQIALCFLMGPASLPLIHPT >ONI36472 pep chromosome:Prunus_persica_NCBIv2:G1:47622720:47629452:-1 gene:PRUPE_1G586700 transcript:ONI36472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSSSAAAAMGVSDPSQLHLKKELTQIRKAARVLRDPGTTSSWRSPLASSSRSVGVAAAAAAAAAASAMTSSTWNNNGNSTTPTGNRNNGSVKRVFLHNWKSSKSSRNNDNDDDDYGDGDYDDDDDDDDDDGIDASSSVAALSVDDSLSDARTGADGDSRSDTQTYSRSSSMMLRRRYAHLLPPVKNTKKTSQKTDTHSDVLSKYQQKELILGRNLVSSRKSVEGHPSMAVRSSRTRDDLVDQSDDTEDYCNSEDLRRISGASPLLSKLKKKNWSKFRRDNSIRREDSSYSYSTPALSTSSYNRYHVRNPSTVGSWDGTTTSMNDGDDEVDDHLEFPGRQGCGIPCYWSKRTPKHKSMYGSCCSPSLSDTFRRKGSIIFCGSQNIYPRRRQSSSGSHKQRIASRSAQGVLPLLTNSGEGRGGSSLGTGRSDDELSTNFGELDLEALSRLDGRRWSSSCRSQEGLEIVTLNGGGEEEGSPENIRSFSQKYKPMFFGELVGQNIVVQSLINAIERGRIAPVYLFQGPRGTGKTSAARIFTASLNCLAPDETKPCGYCRECSDFVSGKNKDLLEVDGTNKKGIDKVRYLLKTLSMAPPSASSRYKVFVIDECHLLPSKTWLAFLKYLEEPPQRVVFIFITTDLDNVPRTIQSRCQKYLFNKIKDSDIVARLRKISAEENLDVETDALELIALNADGSLRDAETMLDQLSLLGKRISTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNINDVKHDSFFGDRNLTEAELERLKHALKILSEAEKQLRVSSERSTWFTATLLQLGSMPSPDLTHSCSRRHSCKTTEDDSSSASREAASYKQLEGQYMLHKSTSHASLQKTLNGNSNHQRDSLSRKNGFGFNTKPSHGQIVESGASTPLHDEDMAGNVILRCVNSERLEDVWAQCIERCHSKTLRQLLHSHGKLVSISEAEGVLVAYVAFEDGSIKSRAERFVSSITNSMEVVLRRNVEVRIVHLPGGEAFLNGPSPAHLPGTVAAIDRERKRVGSNATDGYSNCSLFLDGTRKSTSDSSDVIAEGNAETSATRERRQEIPMQRIESIIRDQRLETAWLQVAEKGTPGSLSRLKPEKNQVLPQDGIYYEDQMESLNSMRLSSQQWEDGLNHEVKILKVNSGRDAQKDQTGRKVDHYPMSPSLLHDSNFVGNSNKDNLQQGNFCFNGLHFCCPAICFSCVFNLFLRCMISGSGFLFFF >ONI36473 pep chromosome:Prunus_persica_NCBIv2:G1:47622720:47629422:-1 gene:PRUPE_1G586700 transcript:ONI36473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSDPSQLHLKKELTQIRKAARVLRDPGTTSSWRSPLASSSRSVGVAAAAAAAAAASAMTSSTWNNNGNSTTPTGNRNNGSVKRVFLHNWKSSKSSRNNDNDDDDYGDGDYDDDDDDDDDDGIDASSSVAALSVDDSLSDARTGADGDSRSDTQTYSRSSSMMLRRRYAHLLPPVKNTKKTSQKTDTHSDVLSKYQQKELILGRNLVSSRKSVEGHPSMAVRSSRTRDDLVDQSDDTEDYCNSEDLRRISGASPLLSKLKKKNWSKFRRDNSIRREDSSYSYSTPALSTSSYNRYHVRNPSTVGSWDGTTTSMNDGDDEVDDHLEFPGRQGCGIPCYWSKRTPKHKSMYGSCCSPSLSDTFRRKGSIIFCGSQNIYPRRRQSSSGSHKQRIASRSAQGVLPLLTNSGEGRGGSSLGTGRSDDELSTNFGELDLEALSRLDGRRWSSSCRSQEGLEIVTLNGGGEEEGSPENIRSFSQKYKPMFFGELVGQNIVVQSLINAIERGRIAPVYLFQGPRGTGKTSAARIFTASLNCLAPDETKPCGYCRECSDFVSGKNKDLLEVDGTNKKGIDKVRYLLKTLSMAPPSASSRYKVFVIDECHLLPSKTWLAFLKYLEEPPQRVVFIFITTDLDNVPRTIQSRCQKYLFNKIKDSDIVARLRKISAEENLDVETDALELIALNADGSLRDAETMLDQLSLLGKRISTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNINDVKHDSFFGDRNLTEAELERLKHALKILSEAEKQLRVSSERSTWFTATLLQLGSMPSPDLTHSCSRRHSCKTTEDDSSSASREAASYKQLEGQYMLHKSTSHASLQKTLNGNSNHQRDSLSRKNGFGFNTKPSHGQIVESGASTPLHDEDMAGNVILRCVNSERLEDVWAQCIERCHSKTLRQLLHSHGKLVSISEAEGVLVAYVAFEDGSIKSRAERFVSSITNSMEVVLRRNVEVRIVHLPGGEAFLNGPSPAHLPGTVAAIDRERKRVGSNATDGYSNCSLFLDGTRKSTSDSSDVIAEGNAETSATRERRQEIPMQRIESIIRDQRLETAWLQVAEKGTPGSLSRLKPEKNQVLPQDGIYYEDQMESLNSMRLSSQQWEDGLNHEVKILKVNSGRDAQKDQTGRKVDHYPMSPSLLHDSNFVGNSNKDNLQQGNFCFNGLHFCCPAICFSCVFNLFLRCMISGSGFLFFF >ONI36470 pep chromosome:Prunus_persica_NCBIv2:G1:47622313:47629452:-1 gene:PRUPE_1G586700 transcript:ONI36470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSSSAAAAMGVSDPSQLHLKKELTQIRKAARVLRDPGTTSSWRSPLASSSRSVGVAAAAAAAAAASAMTSSTWNNNGNSTTPTGNRNNGSVKRVFLHNWKSSKSSRNNDNDDDDYGDGDYDDDDDDDDDDGIDASSSVAALSVDDSLSDARTGADGDSRSDTQTYSRSSSMMLRRRYAHLLPPVKNTKKTSQKTDTHSDVLSKYQQKELILGRNLVSSRKSVEGHPSMAVRSSRTRDDLVDQSDDTEDYCNSEDLRRISGASPLLSKLKKKNWSKFRRDNSIRREDSSYSYSTPALSTSSYNRYHVRNPSTVGSWDGTTTSMNDGDDEVDDHLEFPGRQGCGIPCYWSKRTPKHKSMYGSCCSPSLSDTFRRKGSIIFCGSQNIYPRRRQSSSGSHKQRIASRSAQGVLPLLTNSGEGRGGSSLGTGRSDDELSTNFGELDLEALSRLDGRRWSSSCRSQEGLEIVTLNGGGEEEGSPENIRSFSQKYKPMFFGELVGQNIVVQSLINAIERGRIAPVYLFQGPRGTGKTSAARIFTASLNCLAPDETKPCGYCRECSDFVSGKNKDLLEVDGTNKKGIDKVRYLLKTLSMAPPSASSRYKVFVIDECHLLPSKTWLAFLKYLEEPPQRVVFIFITTDLDNVPRTIQSRCQKYLFNKIKDSDIVARLRKISAEENLDVETDALELIALNADGSLRDAETMLDQLSLLGKRISTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNINDVKHDSFFGDRNLTEAELERLKHALKILSEAEKQLRVSSERSTWFTATLLQLGSMPSPDLTHSCSRRHSCKTTEDDSSSASREAASYKQLEGQYMLHKSTSHASLQKTLNGNSNHQRDSLSRKNGFGFNTKPSHGQIVESGASTPLHDEDMAGNVILRCVNSERLEDVWAQCIERCHSKTLRQLLHSHGKLVSISEAEGVLVAYVAFEDGSIKSRAERFVSSITNSMEVVLRRNVEVRIVHLPGGEAFLNGPSPAHLPGTVAAIDRERKRVGSNATDGYSNCSLFLDGTRKSTSDSSDVIAEGNAETSATRERRQEIPMQRIESIIRDQRLETAWLQVAEKGTPGSLSRLKPEKNQVLPQDGIYYEDQMESLNSMRLSSQQWEDGLNHEVKILKVNSGRDAQKDQTGRKVDHYPMSPSLLHDSNFVGNSNKDNLGDESGSGKGGCSGFFHCYNTKPRKRGKVKGTAVAVQPRKGRRLSLFGECGKKSRKTESRHTR >ONI36471 pep chromosome:Prunus_persica_NCBIv2:G1:47621952:47631205:-1 gene:PRUPE_1G586700 transcript:ONI36471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSDPSQLHLKKELTQIRKAARVLRDPGTTSSWRSPLASSSRSVGVAAAAAAAAAASAMTSSTWNNNGNSTTPTGNRNNGSVKRVFLHNWKSSKSSRNNDNDDDDYGDGDYDDDDDDDDDDGIDASSSVAALSVDDSLSDARTGADGDSRSDTQTYSRSSSMMLRRRYAHLLPPVKNTKKTSQKTDTHSDVLSKYQQKELILGRNLVSSRKSVEGHPSMAVRSSRTRDDLVDQSDDTEDYCNSEDLRRISGASPLLSKLKKKNWSKFRRDNSIRREDSSYSYSTPALSTSSYNRYHVRNPSTVGSWDGTTTSMNDGDDEVDDHLEFPGRQGCGIPCYWSKRTPKHKSMYGSCCSPSLSDTFRRKGSIIFCGSQNIYPRRRQSSSGSHKQRIASRSAQGVLPLLTNSGEGRGGSSLGTGRSDDELSTNFGELDLEALSRLDGRRWSSSCRSQEGLEIVTLNGGGEEEGSPENIRSFSQKYKPMFFGELVGQNIVVQSLINAIERGRIAPVYLFQGPRGTGKTSAARIFTASLNCLAPDETKPCGYCRECSDFVSGKNKDLLEVDGTNKKGIDKVRYLLKTLSMAPPSASSRYKVFVIDECHLLPSKTWLAFLKYLEEPPQRVVFIFITTDLDNVPRTIQSRCQKYLFNKIKDSDIVARLRKISAEENLDVETDALELIALNADGSLRDAETMLDQLSLLGKRISTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNINDVKHDSFFGDRNLTEAELERLKHALKILSEAEKQLRVSSERSTWFTATLLQLGSMPSPDLTHSCSRRHSCKTTEDDSSSASREAASYKQLEGQYMLHKSTSHASLQKTLNGNSNHQRDSLSRKNGFGFNTKPSHGQIVESGASTPLHDEDMAGNVILRCVNSERLEDVWAQCIERCHSKTLRQLLHSHGKLVSISEAEGVLVAYVAFEDGSIKSRAERFVSSITNSMEVVLRRNVEVRIVHLPGGEAFLNGPSPAHLPGTVAAIDRERKRVGSNATDGYSNCSLFLDGTRKSTSDSSDVIAEGNAETSATRERRQEIPMQRIESIIRDQRLETAWLQVAEKGTPGSLSRLKPEKNQVLPQDGIYYEDQMESLNSMRLSSQQWEDGLNHEVKILKVNSGRDAQKDQTGRKVDHYPMSPSLLHDSNFVGNSNKDNLGDESGSGKGGCSGFFHCYNTKPRKRGKVKGTAVAVQPRKGRRLSLFGECGKKSRKTESRHTR >ONI29668 pep chromosome:Prunus_persica_NCBIv2:G1:22218067:22220894:-1 gene:PRUPE_1G208100 transcript:ONI29668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQASSSYKGAVKFRMPTAQNLVPIRLDLDVDGQKFKDTFTWNPSDPDSEIVVFARRTAKDLKLPPGFITVMASAIQSQIADFRSLEGQDMYPGERIVPIKLDLRVNHTLIRDQFLWDLNNFESDPEEFAKTFCADLGIQDPEVGPAIAFSIREQLYEIAVQSVASARENRISKKGRRGAEYTPVSKAGSTGLDLVKLFGHKSSVVRKRKEWDVYEPIVDLLSSEEVDALQAKEERLTR >ONI29670 pep chromosome:Prunus_persica_NCBIv2:G1:22218755:22221033:-1 gene:PRUPE_1G208100 transcript:ONI29670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQASSSYKGAVKFRMPTAQNLVPIRLDLDVDGQKFKDTFTWNPSDPDSEIVVFARRTAKDLKLPPGFITVMASAIQIADFRSLEGQDMYPGERIVPIKLDLRVNHTLIRDQFLWDLNNFESDPEEFAKTFCADLGIQDPEVGPAIAFSIREQLYEIAVQSVASARENRISKKGRRGAEYTPVSKAGSTGLDLVKLFGHKSSVVRSQQLIFF >ONI29671 pep chromosome:Prunus_persica_NCBIv2:G1:22218882:22220894:-1 gene:PRUPE_1G208100 transcript:ONI29671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQASSSYKGAVKFRMPTAQNLVPIRLDLDVDGQKFKDTFTWNPSDPDSEIVVFARRTAKDLKLPPGFITVMASAIQSQIADFRSLEGQDMYPGERIVPIKLDLRVNHTLIRDQFLWDLNNFESDPEEFAKTFCADLGIQDPEVGPAIAFSIREQLYEIAVQSVASARENRISKKGRRGAEYTPVSKAGSTGLDLVKLFGHKSSVVRSQQLIFF >ONI29667 pep chromosome:Prunus_persica_NCBIv2:G1:22217062:22221033:-1 gene:PRUPE_1G208100 transcript:ONI29667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQASSSYKGAVKFRMPTAQNLVPIRLDLDVDGQKFKDTFTWNPSDPDSEIVVFARRTAKDLKLPPGFITVMASAIQIADFRSLEGQDMYPGERIVPIKLDLRVNHTLIRDQFLWDLNNFESDPEEFAKTFCADLGIQDPEVGPAIAFSIREQLYEIAVQSVASARENRISKKGRRGAEYTPVSKAGSTGLDLVKLFGHKSSVVRKRKEWDVYEPIVDLLSSEEVDALQAKEERLTR >ONI29669 pep chromosome:Prunus_persica_NCBIv2:G1:22218067:22220536:-1 gene:PRUPE_1G208100 transcript:ONI29669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPDSEIVVFARRTAKDLKLPPGFITVMASAIQSQIADFRSLEGQDMYPGERIVPIKLDLRVNHTLIRDQFLWDLNNFESDPEEFAKTFCADLGIQDPEVGPAIAFSIREQLYEIAVQSVASARENRISKKGRRGAEYTPVSKAGSTGLDLVKLFGHKSSVVRKRKEWDVYEPIVDLLSSEEVDALQAKEERLTR >ONI33194 pep chromosome:Prunus_persica_NCBIv2:G1:35870402:35871925:-1 gene:PRUPE_1G410400 transcript:ONI33194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAVKEEEIVTCTVGSSSSSSSSFSPQPIEGLHEVGPPPFLTKTFEMVEDPSTDAIVSWSRARNSFVVWDSHKFSTTLLPRYFKHGNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQRHLLKTIKRRRHMSQSMQQEGGGGACVELGQYGLETELERLKRDRNVLMTEIVRLRQQQQNSKEQVMAMEGRLQTTEKKQQQIMAFLAKALNSPSFIQNLVEKKARNKELRGMEIGRKRRLSASPSVENLQEKPKTHVADYSASQDQGELETIGSQIETFFSAAALDNESSSDIIDPHSSSVGGNFGIVNETTWEELWSDELIGGNPEEDVIVVGDESDIDVAVEDLVAEPADWGEDLQELVDQMGYLIRSKP >ONI33197 pep chromosome:Prunus_persica_NCBIv2:G1:35869658:35872190:-1 gene:PRUPE_1G410400 transcript:ONI33197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAVKEEEIVTCTVGSSSSSSSSFSPQPIEGLHEVGPPPFLTKTFEMVEDPSTDAIVSWSRARNSFVVWDSHKFSTTLLPRYFKHGNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQRHLLKTIKRRRHMSQSMQQEGGGGACVELGQYGLETELERLKRDRNVLMTEIVRLRQQQQNSKEQVMAMEGRLQTTEKKQQQIMAFLAKALNSPSFIQNLVEKKARNKELRGMEIGRKRRLSASPSVENLQEKPKTHVADYSASQDQGELETIGSQIETFFSAAALDNESSSDIIDPHSSSVGGNFGIVNETTWEELWSDELIGGNPEEDVIVVGDESDIDVAVEDLVAEPADWGEDLQELVDQMGYLIRSKP >ONI33191 pep chromosome:Prunus_persica_NCBIv2:G1:35869592:35872208:-1 gene:PRUPE_1G410400 transcript:ONI33191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAVKEEEIVTCTVGSSSSSSSSFSPQPIEGLHEVGPPPFLTKTFEMVEDPSTDAIVSWSRARNSFVVWDSHKFSTTLLPRYFKHGNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQRHLLKTIKRRRHMSQSMQQEGGGGACVELGQYGLETELERLKRDRNVLMTEIVRLRQQQQNSKEQVMAMEGRLQTTEKKQQQIMAFLAKALNSPSFIQNLVEKKARNKELRGMEIGRKRRLSASPSVENLQEKPKTHVADYSASQDQGELETIGSQIETFFSAAALDNESSSDIIDPHSSSVGGNFGIVNETTWEELWSDELIGGNPEEDVIVVGDESDIDVAVEDLVAEPADWGGPADGDL >ONI33193 pep chromosome:Prunus_persica_NCBIv2:G1:35869609:35872208:-1 gene:PRUPE_1G410400 transcript:ONI33193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAVKEEEIVTCTVGSSSSSSSSFSPQPIEGLHEVGPPPFLTKTFEMVEDPSTDAIVSWSRARNSFVVWDSHKFSTTLLPRYFKHGNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQRHLLKTIKRRRHMSQSMQQEGGGGACVELGQYGLETELERLKRDRNVLMTEIVRLRQQQQNSKEQVMAMEGRLQTTEKKQQQIMAFLAKALNSPSFIQNLVEKKARNKELRGMEIGRKRRLSASPSVENLQEKPKTHVADYSASQDQGELETIGSQIETFFSAAALDNESSSDIIDPHSSSVGGNFGIVNETTWEELWSDELIGGNPEEDVIVVGDESDIDVAVEDLVAEPADWA >ONI33195 pep chromosome:Prunus_persica_NCBIv2:G1:35870402:35871925:-1 gene:PRUPE_1G410400 transcript:ONI33195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAVKEEEIVTCTVGSSSSSSSSFSPQPIEGLHEVGPPPFLTKTFEMVEDPSTDAIVSWSRARNSFVVWDSHKFSTTLLPRYFKHGNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQRHLLKTIKRRRHMSQSMQQEGGGGACVELGQYGLETELERLKRDRNVLMTEIVRLRQQQQNSKEQVMAMEGRLQTTEKKQQQIMAFLAKALNSPSFIQNLVEKKARNKELRGMEIGRKRRLSASPSVENLQEKPKTHVADYSASQDQGELETIGSQIETFFSAAALDNESSSDIIDPHSSSVGGNFGIVNETTWEELWSDELIGGNPEEDVIVVGDESDIDVAVEDLVAEPADWGEDLQELVDQMGYLIRSKP >ONI33190 pep chromosome:Prunus_persica_NCBIv2:G1:35869392:35872190:-1 gene:PRUPE_1G410400 transcript:ONI33190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAVKEEEIVTCTVGSSSSSSSSFSPQPIEGLHEVGPPPFLTKTFEMVEDPSTDAIVSWSRARNSFVVWDSHKFSTTLLPRYFKHGNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQRHLLKTIKRRRHMSQSMQQEGGGGACVELGQYGLETELERLKRDRNVLMTEIVRLRQQQQNSKEQVMAMEGRLQTTEKKQQQIMAFLAKALNSPSFIQNLVEKKARNKELRGMEIGRKRRLSASPSVENLQEKPKTHVADYSASQDQGELETIGSQIETFFSAAALDNESSSDIIDPHSSSVGGNFGIVNETTWEELWSDELIGGNPEEDVIVVGDESDIDVAVEDLVAEPADWGGPADGDL >ONI33192 pep chromosome:Prunus_persica_NCBIv2:G1:35869648:35872190:-1 gene:PRUPE_1G410400 transcript:ONI33192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAVKEEEIVTCTVGSSSSSSSSFSPQPIEGLHEVGPPPFLTKTFEMVEDPSTDAIVSWSRARNSFVVWDSHKFSTTLLPRYFKHGNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQRHLLKTIKRRRHMSQSMQQEGGGGACVELGQYGLETELERLKRDRNVLMTEIVRLRQQQQNSKEQVMAMEGRLQTTEKKQQQIMAFLAKALNSPSFIQNLVEKKARNKELRGMEIGRKRRLSASPSVENLQEKPKTHVADYSASQDQGELETIGSQIETFFSAAALDNESSSDIIDPHSSSVGGNFGIVNETTWEELWSDELIGGNPEEDVIVVGDESDIDVAVEDLVAEPADWA >ONI33196 pep chromosome:Prunus_persica_NCBIv2:G1:35869620:35872190:-1 gene:PRUPE_1G410400 transcript:ONI33196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAVKEEEIVTCTVGSSSSSSSSFSPQPIEGLHEVGPPPFLTKTFEMVEDPSTDAIVSWSRARNSFVVWDSHKFSTTLLPRYFKHGNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQRHLLKTIKRRRHMSQSMQQEGGGGACVELGQYGLETELERLKRDRNVLMTEIVRLRQQQQNSKEQVMAMEGRLQTTEKKQQQIMAFLAKALNSPSFIQNLVEKKARNKELRGMEIGRKRRLSASPSVENLQEKPKTHVADYSASQDQGELETIGSQIETFFSAAALDNESSSDIIDPHSSSVGGNFGIVNETTWEELWSDELIGGNPEEDVIVVGDESDIDVAVEDLVAEPADWGEDLQELVDQMGYLIRSKP >ONI30069 pep chromosome:Prunus_persica_NCBIv2:G1:24227144:24229766:-1 gene:PRUPE_1G229300 transcript:ONI30069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTSGLESAAPLVGASSGFLDGFADAPSFEVPTTNDFDGFQKEAIQMVKPAKGTTTLAFIFKDGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGMRFSVGSGSPYAYGVLDDGYRYDMSVEEAAELARRAIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYHYYPVVEGAVEQEMVEVAAA >ONI30070 pep chromosome:Prunus_persica_NCBIv2:G1:24226861:24230078:-1 gene:PRUPE_1G229300 transcript:ONI30070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTSGLESAAPLVGASSGFLDGFADAPSFEVPTTNDFDGFQKEAIQMVKPAKGTTTLAFIFKDGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGMRFSVGSGSPYAYGVLDDGYRYDMSVEEAAELARRAIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYHYYPVVEGAVEQEMVEVAAA >ONI30071 pep chromosome:Prunus_persica_NCBIv2:G1:24227314:24229747:-1 gene:PRUPE_1G229300 transcript:ONI30071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDTSGLESAAPLVGASSGFLDGFADAPSFEVPTTNDFDGFQKEAIQMVKPAKGTTTLAFIFKDGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKVCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGMRFSVGSGSPYAYGVLDDGYRYDMSVEEAAELARRAIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYHYYPVVEGAVEQEMVEVAAA >ONI33633 pep chromosome:Prunus_persica_NCBIv2:G1:37259856:37260523:1 gene:PRUPE_1G437200 transcript:ONI33633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDTNYKVPNNVPYTEQQIMNVFKSHDKNGDAQLSKEELKEAFKELGSKCPPLRAWFAKNYADDNRDGFISIDKELSKLVKYVLKLNYTLH >ONI33289 pep chromosome:Prunus_persica_NCBIv2:G1:36098382:36104593:1 gene:PRUPE_1G415100 transcript:ONI33289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDCLRHTHTKDTHNPNTRDKTGGDASASLLLRASPDAIRHATTSSDFVLQWGNRKRLRCMKIQVKDKGKDDSDAPVTRTTVRVDRRVVRPDKDPANQPSTAGNSNQTNGYLNLRQRPLSPQQPPLQRVLRNSETTTSAMRGQSNGGLRGIVSPDNRGGAHDKKGNHHHHNHHHHNNENNNTKSAASSDTAHDSKKGGGGSSSGSGEAALAPPMVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKRPRGLKAMGNVESDSE >ONI28039 pep chromosome:Prunus_persica_NCBIv2:G1:9312212:9313213:1 gene:PRUPE_1G118700 transcript:ONI28039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERRIGVAVDFSAGSRAALKWAVDNVARQGDFLILVVVRPEENYEQGEMQLWGVTGSPLIPVVEFSDANVMKKYKVNPDPETLDIANTAAKQKEITVVMKIYWGDAREKIIEAIDKIPLSLLVIGNRGLGKLKRYQSQTQINYLLMHEFEFVLQPHVCCFGIFEFG >ONI28038 pep chromosome:Prunus_persica_NCBIv2:G1:9312212:9313983:1 gene:PRUPE_1G118700 transcript:ONI28038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERRIGVAVDFSAGSRAALKWAVDNVARQGDFLILVVVRPEENYEQGEMQLWGVTGSPLIPVVEFSDANVMKKYKVNPDPETLDIANTAAKQKEITVVMKIYWGDAREKIIEAIDKIPLSLLVIGNRGLGKLKRVIMGSVSNHVVNNASCPVTVVKNNPDQES >ONI32234 pep chromosome:Prunus_persica_NCBIv2:G1:32981772:32982047:-1 gene:PRUPE_1G355200 transcript:ONI32234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDHHPKVKEEREKQRREEVKQKEKEDGSRMKPLTYEAYGGGMYGRDEGETEIAAKPKANKPLARETQSADGPDEATTGPSQASTPTFHR >ONI27055 pep chromosome:Prunus_persica_NCBIv2:G1:4619268:4627927:-1 gene:PRUPE_1G065000 transcript:ONI27055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPTVAKRKEPEGSEITENPIHESPQKRRHLTRTCVHEVAIPSEYTSTKGESVHGTLSNPVYNGKAAKTYEFTLDPFQQISVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFKDVGLMTGDVTISPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFMPPAVKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPVGGNGLYLVVDENELFREENFVKLHDTFSKQKSDGHRSSNGKASGRTAKGGTASGGSDIFKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNTQEEKDDVEDVFRKAVLCLNEEDRQLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDDRGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLLSRAEGQFTAEHVIKNSFHQFQHEKALPDIGKKVSNLEQEVAILDASGEAEVAEYHKIKLDIAQLEKKMMTEITRPERVLYFLLPGRLVKIREGGTDWGWGVVVNVVKKPSSALGSLPSRGGGYIVDTLLHCSPGSSENSSQPKPCPPRPGEKGEMHVVPVQLPLISALSKLRISIPSDLRPLEARQSILLAVQELGTRFPQGLPKLNPVKDMGIEDPEIVDLVNQIEALEQKLYAHPLHKSQDVQQIKCFQRKAEVDHEIQQLKSKMRESQLQKFRDELKNRSRVLRKLGHIDTEDVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQIAALASCFIPGDKSNEQIQLRTELARPLQQLQESARRIAEIQHECKLEVNVDEYVESTVRPYLMDVIYCWSKGASFADVTQMTDIFEGSIIRSARRLDEFLNQLRTAAHAVGEVALEEKFAGASESLRRGIMFANSLYL >ONI27058 pep chromosome:Prunus_persica_NCBIv2:G1:4619029:4629033:-1 gene:PRUPE_1G065000 transcript:ONI27058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQFPVSTLRPRISVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFKDVGLMTGDVTISPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFMPPAVKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPVGGNGLYLVVDENELFREENFVKLHDTFSKQKSDGHRSSNGKASGRTAKGGTASGGSDIFKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNTQEEKDDVEDVFRKAVLCLNEEDRQLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDDRGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLLSRAEGQFTAEHVIKNSFHQFQHEKALPDIGKKVSNLEQEVAILDASGEAEVAEYHKIKLDIAQLEKKMMTEITRPERVLYFLLPGRLVKIREGGTDWGWGVVVNVVKKPSSALGSLPSRGGGYIVDTLLHCSPGSSENSSQPKPCPPRPGEKGEMHVVPVQLPLISALSKLRISIPSDLRPLEARQSILLAVQELGTRFPQGLPKLNPVKDMGIEDPEIVDLVNQIEALEQKLYAHPLHKSQDVQQIKCFQRKAEVDHEIQQLKSKMRESQLQKFRDELKNRSRVLRKLGHIDTEDVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQIAALASCFIPGDKSNEQIQLRTELARPLQQLQESARRIAEIQHECKLEVNVDEYVESTVRPYLMDVIYCWSKGASFADVTQMTDIFEGSIIRSARRLDEFLNQLRTAAHAVGEVALEEKFAGASESLRRGIMFANSLYL >ONI27057 pep chromosome:Prunus_persica_NCBIv2:G1:4619014:4628515:-1 gene:PRUPE_1G065000 transcript:ONI27057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPTVAKRKEPEGSEITENPIHESPQKRRHLTRTCVHEVAIPSEYTSTKGESVHGTLSNPVYNGKAAKTYEFTLDPFQQISVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFKDVGLMTGDVTISPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFMPPAVKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPVGGNGLYLVVDENELFREENFVKLHDTFSKQKSDGHRSSNGKASGRTAKGGTASGGSDIFKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNTQEEKDDVEDVFRKAVLCLNEEDRQLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDDRGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLLSRAEGQFTAEHVIKNSFHQFQHEKALPDIGKKVSNLEQEVAILDASGEAEVAEYHKIKLDIAQLEKKMMTEITRPERVLYFLLPGRLVKIREGGTDWGWGVVVNVVKKPSSALGSLPSRGGGYIVDTLLHCSPGSSENSSQPKPCPPRPGEKGEMHVVPVQLPLISALSKLRISIPSDLRPLEARQSILLAVQELGTRFPQGLPKLNPVKDMGIEDPEIVDLVNQIEALEQKLYAHPLHKSQDVQQIKCFQRKAEVDHEIQQLKSKMRESQLQKFRDELKNRSRVLRKLGHIDTEDVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQIAALASCFIPGDKSNEQIQLRTELARPLQQLQESARRIAEIQHECKLEVNVDEYVESTVRPYLMDVIYCWSKGASFADVTQMTDIFEGSIIRSARRLDEFLNQLRTAAHAVGEVALEEKFAGASESLRRGIMFANSLYL >ONI27053 pep chromosome:Prunus_persica_NCBIv2:G1:4619029:4628496:-1 gene:PRUPE_1G065000 transcript:ONI27053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPTVAKRKEPEGSEITENPIHESPQKRRHLTRTCVHEVAIPSEYTSTKGESVHGTLSNPVYNGKAAKTYEFTLDPFQQISVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFKDVGLMTGDVTISPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFMPPAVKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPVGGNGLYLVVDENELFREENFVKLHDTFSKQKSDGHRSSNGKASGRTAKGGTASGGSDIFKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNTQEEKDDVEDVFRKAVLCLNEEDRQLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDDRGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLLSRAEGQFTAEHVIKNSFHQFQHEKALPDIGKKVSNLEQEVAILDASGEAEVAEYHKIKLDIAQLEKKMMTEITRPERVLYFLLPGRLVKIREGGTDWGWGVVVNVVKKPSSALGSLPSRGGGYIVDTLLHCSPGSSENSSQPKPCPPRPGEKGEMHVVPVQLPLISALSKLRISIPSDLRPLEARQSILLAVQELGTRFPQGLPKLNPVKDMGIEDPEIVDLVNQIEALEQKLYAHPLHKSQDVQQIKCFQRKAEVDHEIQQLKSKMRESQLQKFRDELKNRSRVLRKLGHIDTEDVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQIAALASCFIPGDKSNEQIQLRTELARPLQQLQESARRIAEIQHECKLEVNVDEYVESTVRPYLMDVIYCWSKLRTAAHAVGEVALEEKFAGASESLRRGIMFANSLYL >ONI27056 pep chromosome:Prunus_persica_NCBIv2:G1:4619014:4629032:-1 gene:PRUPE_1G065000 transcript:ONI27056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPTVAKRKEPEGSEITENPIHESPQKRRHLTRTCVHEVAIPSEYTSTKGESVHGTLSNPVYNGKAAKTYEFTLDPFQQISVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFKDVGLMTGDVTISPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFMPPAVKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPVGGNGLYLVVDENELFREENFVKLHDTFSKQKSDGHRSSNGKASGRTAKGGTASGGSDIFKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNTQEEKDDVEDVFRKAVLCLNEEDRQLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDDRGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLLSRAEGQFTAEHVIKNSFHQFQHEKALPDIGKKVSNLEQEVAILDASGEAEVAEYHKIKLDIAQLEKKMMTEITRPERVLYFLLPGRLVKIREGGTDWGWGVVVNVVKKPSSALGSLPSRGGGYIVDTLLHCSPGSSENSSQPKPCPPRPGEKGEMHVVPVQLPLISALSKLRISIPSDLRPLEARQSILLAVQELGTRFPQGLPKLNPVKDMGIEDPEIVDLVNQIEALEQKLYAHPLHKSQDVQQIKCFQRKAEVDHEIQQLKSKMRESQLQKFRDELKNRSRVLRKLGHIDTEDVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQIAALASCFIPGDKSNEQIQLRTELARPLQQLQESARRIAEIQHECKLEVNVDEYVESTVRPYLMDVIYCWSKGASFADVTQMTDIFEGSIIRSARRLDEFLNQLRTAAHAVGEVALEEKFAGASESLRRGIMFANSLYL >ONI27054 pep chromosome:Prunus_persica_NCBIv2:G1:4619029:4628496:-1 gene:PRUPE_1G065000 transcript:ONI27054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPTVAKRKEPEGSEITENPIHESPQKRRHLTRTCVHEVAIPSEYTSTKGESVHGTLSNPVYNGKAAKTYEFTLDPFQQISVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFKDVGLMTGDVTISPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFMPPAVKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPVGGNGLYLVVDENELFREENFVKLHDTFSKQKSDGHRSSNGKASGRTAKGGTASGGSDIFKIVKMIMERKFQPVIIFSFSRRECEQHAMSMSKLDFNTQEEKDDVEDVFRKAVLCLNEEDRQLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDDRGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLLSRAEGQFTAEHVIKNSFHQFQHEKALPDIGKKVSNLEQEVAILDASGEAEVAEYHKIKLDIAQLEKKMMTEITRPERVLYFLLPGRLVKIREGGTDWGWGVVVNVVKKPSSALGSLPSRGGGYIVDTLLHCSPGSSENSSQPKPCPPRPGEKGEMHVVPVQLPLISALSKLRISIPSDLRPLEARQSILLAVQELGTRFPQGLPKLNPVKDMGIEDPEIVDLVNQIEALEQKLYAHPLHKSQDVQQIKCFQRKAEVDHEIQQLKSKMRESQLQKFRDELKNRSRVLRKLGHIDTEDVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQIAALASCFIPGDKSNEQIQLRTELARPLQQLQESARRIAEIQHECKLEVNVDEYVESTVRPYLMDVIYCWSKLRTAAHAVGEVALEEKFAGASESLRRGIMFANSLYL >ONI28214 pep chromosome:Prunus_persica_NCBIv2:G1:10362006:10366817:1 gene:PRUPE_1G131700 transcript:ONI28214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSTYILVSLIFRCFMQEVCAGEEAIVLPPFVALAFRPRPGVWEYVRVNVYELSVDHLTVAEYLQFKEELIDGECNDNYVLELDFEPFNAAFPRPTRSSSIGNGVQYLNRHLSSIMFSKKESLEPLLDFLRTHKHDGHAMMLNDRIHSILRLQSALAKAEEYLSKFPPTTPYSEFQFDLQGMGFERGWGDTAQRVSEMVHLLLEILQAPDPSTLESFLGRIPMVFNVVIVSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQNQGLGVIPKILIVTRLIPDAKGTTCNQRLERVSSTEHTHILRVPFRTKNGILRKWLSRFDVWPYLETFAEDASNEIAAVFQGVPDLIIGNYSDGNLVATLLSYKLGITQCNIAHALEKTKHPDFDIYWKKHEDKYHFSSQFTADLIAMNNADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSEKERRLTALHGSIEELLYGAEQNEEHIGILSDRSKRIVFSMARLDRVKNLTGLVEFYAKSTKLRELVNLVVVGGYIDVKNCRDREEMAEIEKMHGLIKKYNLSGQFRWIVTQMNHARNGELYRYVADTKGVFVQPAFYEAFGLTVVEAMSCGLPTFATCHGGPAEIIEHGISGFHIDPYHPDQVAALLVDFFDQCQKDPGYWERISETGLKRIFERYTWKIYSERLLNLAGVYGFWKHVSKLERRETRRYLEMFYILTYRNLVKSISLAVDEQH >ONI28212 pep chromosome:Prunus_persica_NCBIv2:G1:10355314:10373098:1 gene:PRUPE_1G131700 transcript:ONI28212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWIQNTLAAHCNELVSLLYRFVDRGRGILQSYQIIDELEKVIQEDEGLQKLKDSPFSKVLQSAQEAIVLPPFVALAFRPRPGVWEYVRVNVYELSVDHLTVAEYLQFKEELIDGECNDNYVLELDFEPFNAAFPRPTRSSSIGNGVQYLNRHLSSIMFSKKESLEPLLDFLRTHKHDGHAMMLNDRIHSILRLQSALAKAEEYLSKFPPTTPYSEFQFDLQGMGFERGWGDTAQRVSEMVHLLLEILQAPDPSTLESFLGRIPMVFNVVIVSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQNQGLGVIPKILIVTRLIPDAKGTTCNQRLERVSSTEHTHILRVPFRTKNGILRKWLSRFDVWPYLETFAEDASNEIAAVFQGVPDLIIGNYSDGNLVATLLSYKLGITQCNIAHALEKTKYPDSDIYWKKHEDKYHFSSQFTADLIAMNNADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSEKERRLTALHGSIEELLYGAEQNEEHIGILSDRSKPIVFSMARLDRVKNLTGLVECYGKSTKLRELVNLVVVGGYIDAKNSRDREEVAEIEKMHDLVKKYNLSGQFRWIAAQMNRARNGELYRYIADTKGVFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGISGFHIDPYHQDQVAALLIDFFDQCQKHPGYWEKISEAGLKRIYERYTWKIYSERLLNLAGVYGFWKHVSKLERRETRRYLDMFYILKYRNLVKSIPLAVDEQH >ONI28215 pep chromosome:Prunus_persica_NCBIv2:G1:10365831:10373098:1 gene:PRUPE_1G131700 transcript:ONI28215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIFRVLTYYLLQPAFYEAFGLTVVEAMSCGLPTFATCHGGPAEIIEHGISGFHIDPYHPDQVAALLVDFFDQCQKDPGYWERISETGLKRIFERILRPLQRLAPLKHRQVPLHTYNRHSLSLSVIGPKQWPFLSPFSSLVFCNFRLAIYMLYVSLLSSPSPSPSPSPSPPVSTFSVSLVVPVSTMRKSIEDTLAAHRNELVSLLSRYVDRGNGILQPHQMINELENVIAEDEGMQKLKDSPFSIVLQSAQEAIVQTPFVALALRPRPGVWEYVRVNVYELSVDLLSVAEYLWFKEELLDGECNDKYVLELDLEPFNATFPRPTRSSSIGNGVQFLNRHLSSIMFRNKESLEPLLDFLRTHKHDGHAMMLNDRIQSIPRLQSALAKAEEYLSKLLATTPYSDFEFDLQGMGFERGWGDTAQRVSEMVHLLLEILQAPDPSTLETFLGRIPMVFNVVIVSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQNQGLDVIPKILIVTRLIPDAKGTTCNQRLERISGTEHTHILRVPFRTENGIMRKWISRFDVWPYLETFAEDASNEIAAELQGVPDLIIGNYSDGNLVATLLSNKLGISQCNIAHALEKTKYPDSDIYWKKHEDKYHFSSQFTADLIAMNNADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSEKERRLTALHGSIEELLYGAEQNEEHIGILSDRSKPIVFSMARLDRVKNLTGLVECYGKSTKLRELVNLVVVGGYIDAKNSRDREEVAEIEKMHDLVKKYNLSGQFRWIAAQMNRARNGELYRYIADTKGVFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGISGFHIDPYHQDQVAALLIDFFDQCQKHPGYWEKISEAGLKRIYERYTWKIYSERLLNLAGVYGFWKHVSKLERRETRRYLDMFYILKYRNLVKSIPLAVDEQH >ONI28213 pep chromosome:Prunus_persica_NCBIv2:G1:10355300:10366817:1 gene:PRUPE_1G131700 transcript:ONI28213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWIQNTLAAHCNELVSLLYRFVDRGRGILQSYQIIDELEKVIQEDEGLQKLKDSPFSKVLQSAQEAIVLPPFVALAFRPRPGVWEYVRVNVYELSVDHLTVAEYLQFKEELIDGECNDNYVLELDFEPFNAAFPRPTRSSSIGNGVQYLNRHLSSIMFSKKESLEPLLDFLRTHKHDGHAMMLNDRIHSILRLQSALAKAEEYLSKFPPTTPYSEFQFDLQGMGFERGWGDTAQRVSEMVHLLLEILQAPDPSTLESFLGRIPMVFNVVIVSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQNQGLGVIPKILIVTRLIPDAKGTTCNQRLERVSSTEHTHILRVPFRTKNGILRKWLSRFDVWPYLETFAEDASNEIAAVFQGVPDLIIGNYSDGNLVATLLSYKLGITQCNIAHALEKTKHPDFDIYWKKHEDKYHFSSQFTADLIAMNNADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSEKERRLTALHGSIEELLYGAEQNEEHIGILSDRSKRIVFSMARLDRVKNLTGLVEFYAKSTKLRELVNLVVVGGYIDVKNCRDREEMAEIEKMHGLIKKYNLSGQFRWIVTQMNHARNGELYRYVADTKGVFVQPAFYEAFGLTVVEAMSCGLPTFATCHGGPAEIIEHGISGFHIDPYHPDQVAALLVDFFDQCQKDPGYWERISETGLKRIFERYTWKIYSERLLNLAGVYGFWKHVSKLERRETRRYLEMFYILTYRNLVKSISLAVDEQH >ONI32786 pep chromosome:Prunus_persica_NCBIv2:G1:34573510:34576099:1 gene:PRUPE_1G385600 transcript:ONI32786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHSSKPTESWIWACATVKRNGSCLCRVYVFPFGLVFIGMWTAYSSVLFFLGLPFFLDIGSVYCQLAHEARLNGGCF >ONI27348 pep chromosome:Prunus_persica_NCBIv2:G1:5967876:5979355:1 gene:PRUPE_1G081400 transcript:ONI27348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFTGAGQKAGLEIWRIENFRPAPVPKSSYGNFFMGDSYVILKTTASKSGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETAKFLSNFKPCIIPQEGGVASGFKRAEAEEHKTRLFVCKGKHVVHVKEVPFARSSLSHDDIFILDTQSKIFQFNGSNSSIQERAKALEVLQYIKDTYHDGKCEIASIEDGKLMADAESGEFWGLFGGFAPLPRKTATNEDKCFDSYPTKLLCVEKGKAEPVEADSLMRDLLDTNKCYLLDCGLEMFVWMGRNTSLDERRSASGAAEELVRGPDRSKCHIIRVIEGFETVMFRSKFDSWPQTTDVAVSEDGRGKVAALLKRQGVDVKGLLKADPVKEEPQPYIDCTGNLQVWRVNGQEKILLPPSDQSKFYSGDCYIFHYSYPGEDKEEHLIGTWFGKQSVEEERASAISLASKVVESLKFLAAQARIYEGSEPIQFYSIFQSIIVLKGGLSDGYKNYVAEKQVPDETYQEDGVALFRVQGTGPDNMQAIQVDAVASSLNSSYCYILHSGSTVFTWSGGLANSDDQELVERQLDLIKPNLQSKTQKENVESEQFWDLLGGKSEYPSQKIVRSAESDPRLFSCTFSNGNLKVVEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVNSKDRMHALTIGEKFIEHDFLMEKLSREASIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTILKNGGTPTLNKPKRRAPVSYGGRSSVPEKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANARNLSTPPPMVRKLYPKSVTPDSSKLASKSSAIASLTAGFEKPGPARESNIPRSPKMNSGAPKPKPETNNKENSMTTRLETLTIEEDVKEGEAEDEGLPVYPYEHLKTTSSDPITDIDVTKREIYLSSEEFRENFGMAKDAFYKLPKWKQNKLKMALYLF >ONI27351 pep chromosome:Prunus_persica_NCBIv2:G1:5968630:5979355:1 gene:PRUPE_1G081400 transcript:ONI27351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFTGAGQKAGLEIWRIENFRPAPVPKSSYGNFFMGDSYVILKTTASKSGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETAKFLSNFKPCIIPQEGGVASGFKRAEAEEHKTRLFVCKGKHVVHVKEVPFARSSLSHDDIFILDTQSKIFQFNGSNSSIQERAKALEVLQYIKDTYHDGKCEIASIEDGKLMADAESGEFWGLFGGFAPLPRKTATNEDKCFDSYPTKLLCVEKGKAEPVEADSLMRDLLDTNKCYLLDCGLEMFVWMGRNTSLDERRSASGAAEELVRGPDRSKCHIIRVIEGFETVMFRSKFDSWPQTTDVAVSEDGRGKVAALLKRQGVDVKGLLKADPVKEEPQPYIDCTGNLQVWRVNGQEKILLPPSDQSKFYSGDCYIFHYSYPGEDKEEHLIGTWFGKQSVEEERASAISLASKVVESLKFLAAQARIYEGSEPIQFYSIFQSIIVLKGGLSDGYKNYVAEKQVPDETYQEDGVALFRVQGTGPDNMQAIQVDAPNLQSKTQKENVESEQFWDLLGGKSEYPSQKIVRSAESDPRLFSCTFSNGNLKVVEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVNSKDRMHALTIGEKFIEHDFLMEKLSREASIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTILKNGGTPTLNKPKRRAPVSYGGRSSVPEKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANARNLSTPPPMVRKLYPKSVTPDSSKLASKSSAIASLTAGFEKPGPARESNIPRSPKMNSGAPKPKPETNNKENSMTTRLETLTIEEDVKEGEAEDEGLPVYPYEHLKTTSSDPITDIDVTKREIYLSSEEFRENFGMAKDAFYKLPKWKQNKLKMALYLF >ONI27342 pep chromosome:Prunus_persica_NCBIv2:G1:5967876:5979355:1 gene:PRUPE_1G081400 transcript:ONI27342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFTGAGQKAGLEIWRIENFRPAPVPKSSYGNFFMGDSYVILKTTASKSGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETAKFLSNFKPCIIPQEGGVASGFKRAEAEEHKTRLFVCKGKHVVHVKEVPFARSSLSHDDIFILDTQSKIFQFNGSNSSIQERAKALEVLQYIKDTYHDGKCEIASIEDGKLMADAESGEFWGLFGGFAPLPRKTATNEDKCFDSYPTKLLCVEKGKAEPVEADSLMRDLLDTNKCYLLDCGLEMFVWMGRNTSLDERRSASGAAEELVRGPDRSKCHIIRVIEGFETVMFRSKFDSWPQTTDVAVSEDGRGKVAALLKRQGVDVKGLLKADPVKEEPQPYIDCTGNLQVWRVNGQEKILLPPSDQSKFYSGDCYIFHYSYPGEDKEEHLIGTWFGKQSVEEERASAISLASKVVESLKFLAAQARIYEGSEPIQFYSIFQSIIVLKGGLSDGYKNYVAEKQVPDETYQEDGVALFRVQGTGPDNMQAIQVDAVASSLNSSYCYILHSGSTVFTWSGGLANSDDQELVERQLDLIKPNLQSKTQKENVESEQFWDLLGGKSEYPSQKIVRSAESDPRLFSCTFSNGNLKVVEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVNSKDRMHALTIGEKFIEHDFLMEKLSREASIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTILKNGGTPTLNKPKRRAPVSYGGRSSVPEKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANARNLSTPPPMVRKLYPKSVTPDSSKLASKSSAIASLTAGFEKPGPARESNIPRSPKMNSGAPKPKPETNNKENSMTTRLETLTIEEDVKEGEAEDEGLPVYPYEHLKTTSSDPITDIDVTKREIYLSSEEFRENFGMAKDAFYKLPKWKQNKLKMALYLF >ONI27346 pep chromosome:Prunus_persica_NCBIv2:G1:5967876:5979355:1 gene:PRUPE_1G081400 transcript:ONI27346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFTGAGQKAGLEIWRIENFRPAPVPKSSYGNFFMGDSYVILKTTASKSGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETAKFLSNFKPCIIPQEGGVASGFKRAEAEEHKTRLFVCKGKHVVHVKEVPFARSSLSHDDIFILDTQSKIFQFNGSNSSIQERAKALEVLQYIKDTYHDGKCEIASIEDGKLMADAESGEFWGLFGGFAPLPRKTATNEDKCFDSYPTKLLCVEKGKAEPVEADSLMRDLLDTNKCYLLDCGLEMFVWMGRNTSLDERRSASGAAEELVRGPDRSKCHIIRVIEGFETVMFRSKFDSWPQTTDVAVSEDGRGKVAALLKRQGVDVKGLLKADPVKEEPQPYIDCTGNLQVWRVNGQEKILLPPSDQSKFYSGDCYIFHYSYPGEDKEEHLIGTWFGKQSVEEERASAISLASKVVESLKFLAAQARIYEGSEPIQFYSIFQSIIVLKGGLSDGYKNYVAEKQVPDETYQEDGVALFRVQGTGPDNMQAIQVDAVASSLNSSYCYILHSGSTVFTWSGGLANSDDQELVERQLDLIKPNLQSKTQKENVESEQFWDLLGGKSEYPSQKIVRSAESDPRLFSCTFSNGNLKVVEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVNSKDRMHALTIGEKFIEHDFLMEKLSREASIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTILKNGGTPTLNKPKRRAPVSYGGRSSVPEKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANARNLSTPPPMVRKLYPKSVTPDSSKLASKSSAIASLTAGFEKPGPARESNIPRSPKMNSGAPKPKPETNNKENSMTTRLETLTIEEDVKEGEAEDEGLPVYPYEHLKTTSSDPITDIDVTKREIYLSSEEFRENFGMAKDAFYKLPKWKQNKLKMALYLF >ONI27350 pep chromosome:Prunus_persica_NCBIv2:G1:5970348:5979351:1 gene:PRUPE_1G081400 transcript:ONI27350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFTGAGQKAGLEIWRIENFRPAPVPKSSYGNFFMGDSYVILKTTASKSGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETAKFLSNFKPCIIPQEGGVASGFKRAEAEEHKTRLFVCKGKHVVHVKEVPFARSSLSHDDIFILDTQSKIFQFNGSNSSIQERAKALEVLQYIKDTYHDGKCEIASIEDGKLMADAESGEFWGLFGGFAPLPRKTATNEDKCFDSYPTKLLCVEKGKAEPVEADSLMRDLLDTNKCYLLDCGLEMFVWMGRNTSLDERRSASGAAEELVRGPDRSKCHIIRVIEGFETVMFRSKFDSWPQTTDVAVSEDGRGKVAALLKRQGVDVKGLLKADPVKEEPQPYIDCTGNLQVWRVNGQEKILLPPSDQSKFYSGDCYIFHYSYPGEDKEEHLIGTWFGKQSVEEERASAISLASKVVESLKFLAAQARIYEGSEPIQFYSIFQSIIVLKGGLSDGYKNYVAEKQVPDETYQEDGVALFRVQGTGPDNMQAIQVDAVASSLNSSYCYILHSGSTVFTWSGGLANSDDQELVERQLDLIKPNLQSKTQKENVESEQFWDLLGGKSEYPSQKIVRSAESDPRLFSCTFSNDHELKNEMNKIFNLHGILMLSCIKVVEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVNSKDRMHALTIGEKFIEHDFLMEKLSREASIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTILKNGGTPTLNKPKRRAPVSYGGRSSVPEKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANARNLSTPPPMVRKLYPKSVTPDSSKLASKSSAIASLTAGFEKPGPARESNIPRSPKMNSGAPKPKPETNNKENSMTTRLETLTIEEDVKEGEAEDEGLPVYPYEHLKTTSSDPITDIDVTKREIYLSSEEFRENFGMAKDAFYKLPKWKQNKLKMALYLF >ONI27345 pep chromosome:Prunus_persica_NCBIv2:G1:5967876:5979355:1 gene:PRUPE_1G081400 transcript:ONI27345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFTGAGQKAGLEIWRIENFRPAPVPKSSYGNFFMGDSYVILKTTASKSGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETAKFLSNFKPCIIPQEGGVASGFKRAEAEEHKTRLFVCKGKHVVHVKEVPFARSSLSHDDIFILDTQSKIFQFNGSNSSIQERAKALEVLQYIKDTYHDGKCEIASIEDGKLMADAESGEFWGLFGGFAPLPRKTATNEDKCFDSYPTKLLCVEKGKAEPVEADSLMRDLLDTNKCYLLDCGLEMFVWMGRNTSLDERRSASGAAEELVRGPDRSKCHIIRVIEGFETVMFRSKFDSWPQTTDVAVSEDGRGKVAALLKRQGVDVKGLLKADPVKEEPQPYIDCTGNLQVWRVNGQEKILLPPSDQSKFYSGDCYIFHYSYPGEDKEEHLIGTWFGKQSVEEERASAISLASKVVESLKFLAAQARIYEGSEPIQFYSIFQSIIVLKGGLSDGYKNYVAEKQVPDETYQEDGVALFRVQGTGPDNMQAIQVDAVASSLNSSYCYILHSGSTVFTWSGGLANSDDQELVERQLDLIKPNLQSKTQKENVESEQFWDLLGGKSEYPSQKIVRSAESDPRLFSCTFSNGNLKVVEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVNSKDRMHALTIGEKFIEHDFLMEKLSREASIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTILKNGGTPTLNKPKRRAPVSYGGRSSVPEKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANARNLSTPPPMVRKLYPKSVTPDSSKLASKSSAIASLTAGFEKPGPARESNIPRSPKMNSGAPKPKPETNNKENSMTTRLETLTIEEDVKEGEAEDEGLPVYPYEHLKTTSSDPITDIDVTKREIYLSSEEFRENFGMAKDAFYKLPKWKQNKLKMALYLF >ONI27347 pep chromosome:Prunus_persica_NCBIv2:G1:5968613:5979407:1 gene:PRUPE_1G081400 transcript:ONI27347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFTGAGQKAGLEIWRIENFRPAPVPKSSYGNFFMGDSYVILKTTASKSGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETAKFLSNFKPCIIPQEGGVASGFKRAEAEEHKTRLFVCKGKHVVHVKEVPFARSSLSHDDIFILDTQSKIFQFNGSNSSIQERAKALEVLQYIKDTYHDGKCEIASIEDGKLMADAESGEFWGLFGGFAPLPRKTATNEDKCFDSYPTKLLCVEKGKAEPVEADSLMRDLLDTNKCYLLDCGLEMFVWMGRNTSLDERRSASGAAEELVRGPDRSKCHIIRVIEGFETVMFRSKFDSWPQTTDVAVSEDGRGKVAALLKRQGVDVKGLLKADPVKEEPQPYIDCTGNLQVWRVNGQEKILLPPSDQSKFYSGDCYIFHYSYPGEDKEEHLIGTWFGKQSVEEERASAISLASKVVESLKFLAAQARIYEGSEPIQFYSIFQSIIVLKGGLSDGYKNYVAEKQVPDETYQEDGVALFRVQGTGPDNMQAIQVDAVASSLNSSYCYILHSGSTVFTWSGGLANSDDQELVERQLDLIKPNLQSKTQKENVESEQFWDLLGGKSEYPSQKIVRSAESDPRLFSCTFSNGNLKVVEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVNSKDRMHALTIGEKFIEHDFLMEKLSREASIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTILKNGGTPTLNKPKRRAPVSYGGRSSVPEKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANARNLSTPPPMVRKLYPKSVTPDSSKLASKSSAIASLTAGFEKPGPARESNIPRSPKMNSGAPKPKPETNNKENSMTTRLETLTIEEDVKEGEAEDEGLPVYPYEHLKTTSSDPITDIDVTKREIYLSSEEFRENFGMAKDAFYKLPKWKQNKLKMALYLF >ONI27349 pep chromosome:Prunus_persica_NCBIv2:G1:5968349:5979407:1 gene:PRUPE_1G081400 transcript:ONI27349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFTGAGQKAGLEIWRIENFRPAPVPKSSYGNFFMGDSYVILKTTASKSGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETAKFLSNFKPCIIPQEGGVASGFKRAEAEEHKTRLFVCKGKHVVHVKEVPFARSSLSHDDIFILDTQSKIFQFNGSNSSIQERAKALEVLQYIKDTYHDGKCEIASIEDGKLMADAESGEFWGLFGGFAPLPRKTATNEDKCFDSYPTKLLCVEKGKAEPVEADSLMRDLLDTNKCYLLDCGLEMFVWMGRNTSLDERRSASGAAEELVRGPDRSKCHIIRVIEGFETVMFRSKFDSWPQTTDVAVSEDGRGKVAALLKRQGVDVKGLLKADPVKEEPQPYIDCTGNLQVWRVNGQEKILLPPSDQSKFYSGDCYIFHYSYPGEDKEEHLIGTWFGKQSVEEERASAISLASKVVESLKFLAAQARIYEGSEPIQFYSIFQSIIVLKGGLSDGYKNYVAEKQVPDETYQEDGVALFRVQGTGPDNMQAIQVDAVASSLNSSYCYILHSGSTVFTWSGGLANSDDQELVERQLDLIKPNLQSKTQKENVESEQFWDLLGGKSEYPSQKIVRSAESDPRLFSCTFSNGNLKVVEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVNSKDRMHALTIGEKFIEHDFLMEKLSREASIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTILKNGGTPTLNKPKRRAPVSYGGRSSVPEKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANARNLSTPPPMVRKLYPKSVTPDSSKLASKSSAIASLTAGFEKPGPARESNIPRSPKMNSGAPKPKPETNNKENSMTTRLETLTIEEDVKEGEAEDEGLPVYPYEHLKTTSSDPITDIDVTKREIYLSSEEFRENFGMAKDAFYKLPKWKQNKLKMALYLF >ONI27343 pep chromosome:Prunus_persica_NCBIv2:G1:5969195:5979355:1 gene:PRUPE_1G081400 transcript:ONI27343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFTGAGQKAGLEIWRIENFRPAPVPKSSYGNFFMGDSYVILKTTASKSGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETAKFLSNFKPCIIPQEGGVASGFKRAEAEEHKTRLFVCKGKHVVHVKEVPFARSSLSHDDIFILDTQSKIFQFNGSNSSIQERAKALEVLQYIKDTYHDGKCEIASIEDGKLMADAESGEFWGLFGGFAPLPRKTATNEDKCFDSYPTKLLCVEKGKAEPVEADSLMRDLLDTNKCYLLDCGLEMFVWMGRNTSLDERRSASGAAEELVRGPDRSKCHIIRVIEGFETVMFRSKFDSWPQTTDVAVSEDGRGKVAALLKRQGVDVKGLLKADPVKEEPQPYIDCTGNLQVWRVNGQEKILLPPSDQSKFYSGDCYIFHYSYPGEDKEEHLIGTWFGKQSVEEERASAISLASKVVESLKFLAAQARIYEGSEPIQFYSIFQSIIVLKGGLSDGYKNYVAEKQVPDETYQEDGVALFRVQGTGPDNMQAIQVDAVASSLNSSYCYILHSGSTVFTWSGGLANSDDQELVERQLDLIKPNLQSKTQKENVESEQFWDLLGGKSEYPSQKIVRSAESDPRLFSCTFSNGNLKVVEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVNSKDRMHALTIGEKFIEHDFLMEKLSREASIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTILKNGGTPTLNKPKRRAPVSYGGRSSVPEKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANARNLSTPPPMVRKLYPKSVTPDSSKLASKSSAIASLTAGFEKPGPARESNIPRSPKMNSGAPKPKPETNNKENSMTTRLETLTIEEDVKEGEAEDEGLPVYPYEHLKTTSSDPITDIDVTKREIYLSSEEFRENFGMAKDAFYKLPKWKQNKLKMALYLF >ONI27344 pep chromosome:Prunus_persica_NCBIv2:G1:5967876:5979355:1 gene:PRUPE_1G081400 transcript:ONI27344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMRDLDPAFTGAGQKAGLEIWRIENFRPAPVPKSSYGNFFMGDSYVILKTTASKSGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETAKFLSNFKPCIIPQEGGVASGFKRAEAEEHKTRLFVCKGKHVVHVKEVPFARSSLSHDDIFILDTQSKIFQFNGSNSSIQERAKALEVLQYIKDTYHDGKCEIASIEDGKLMADAESGEFWGLFGGFAPLPRKTATNEDKCFDSYPTKLLCVEKGKAEPVEADSLMRDLLDTNKCYLLDCGLEMFVWMGRNTSLDERRSASGAAEELVRGPDRSKCHIIRVIEGFETVMFRSKFDSWPQTTDVAVSEDGRGKVAALLKRQGVDVKGLLKADPVKEEPQPYIDCTGNLQVWRVNGQEKILLPPSDQSKFYSGDCYIFHYSYPGEDKEEHLIGTWFGKQSVEEERASAISLASKVVESLKFLAAQARIYEGSEPIQFYSIFQSIIVLKGGLSDGYKNYVAEKQVPDETYQEDGVALFRVQGTGPDNMQAIQVDAVASSLNSSYCYILHSGSTVFTWSGGLANSDDQELVERQLDLIKPNLQSKTQKENVESEQFWDLLGGKSEYPSQKIVRSAESDPRLFSCTFSNGNLKVVEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVNSKDRMHALTIGEKFIEHDFLMEKLSREASIYIVMEGSEPPFFTRFFSWDSAKSSMHGNSFQRKLTILKNGGTPTLNKPKRRAPVSYGGRSSVPEKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENANARNLSTPPPMVRKLYPKSVTPDSSKLASKSSAIASLTAGFEKPGPARESNIPRSPKMNSGAPKPKPETNNKENSMTTRLETLTIEEDVKEGEAEDEGLPVYPYEHLKTTSSDPITDIDVTKREIYLSSEEFRENFGMAKDAFYKLPKWKQNKLKMALYLF >ONI31874 pep chromosome:Prunus_persica_NCBIv2:G1:31718418:31719761:-1 gene:PRUPE_1G336200 transcript:ONI31874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSWRRLRPGRRASKELQLGEPADVEMELTIPNHFRCPISLDLMKDPVTLSTGITYDRQSIETWIEAGNLTCPITNQALTCLEPIPNHTILKMIQDWCVQKKSFGIERIPTPRIPITSLQVSEILSRITTAAASHGQKTDDCRDLVRKIKALTKESERNKRCVVASGTGSVLAAAFAALSSSQRQNVAVLEEILSALTLVFPLDGEACSYLGSAASLHCMVWFLESGDLSHRRNAALVLKESLSSDHQKIDALLEIEGALEALVKLIKEPVCPTSTKASLVVMYNMVTNNFTSSLSKDKIKERLVEMGLVSLLSEILVDAERSICEKALGVLDALCGSKGGREKAYHHALTMPVVVKKILRVSDLATEFSVSILWKLCKNEGREDGGVLVEVLQVGAFQKLLLLLQLGCAERTKDKATELLKMLNIHRERLECIDSTDFKALKRSF >ONI30888 pep chromosome:Prunus_persica_NCBIv2:G1:28351214:28356133:1 gene:PRUPE_1G279800 transcript:ONI30888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSLGINSGLCVLFFTLYSILRKQPSNYEVYMPKLLAEGESNTSSIFNIERLIPSPDWVKTAWQLTEDDLLSSSGLDAVVFMRLINFSLRVFLFAGVIGVFVLLPINCSGNQLEYVDFTDLSNNSLDVFTISNVNNGSSKLWIHFVAVYLVTIFVCCLLYYEYKYISQRRIDYFLSSKPQPHQFTILVRSIPVSAGSGVSEKVDSFFREYHPSTYLSHIVVRRTNKLQSLINDAKKLYTRLIHLQSDPNQQKYKRSSCFGLFGRKVNLVDHYEKKLEDIEENVRMEQSEVSLAGEVCLRSAFVSFKSRYGAAVALHLQQSTNPTHWVTEQAPEPHDVYWPFFSSSFLRRWISKLVVILACILLTVLFLIPVVVVQGLTNLNQLEVWFPFLTSVLTITFVSQVITGYLPSLILLLFLKIVPPVMEFLSSIQGFISNSDIQKSACSKVLWFTIWNIFFATVFSGSVLYKVSLFLDPKNIPSRLAVAVPAQASFFIAYVVTSGWTSTSSELFRIFPLLWSLIKRPFTDSRDTELEVPGIPYHSHTPRILFFVLLGITYFFLAPLILPFLLVYLCLGYIIYRNQFINVYAPQYETAGKFWPIVHNSMIFSLVLMHAIAIGIFSLKKLSLATTLVFPLPVLTLLFNEYCRKRFLPNFVAYPAESLIRKDRQDENDPTMPEFLDELISLYQDPALMPIHYSGNSDRLNSPLLSSVHQAEV >ONI30890 pep chromosome:Prunus_persica_NCBIv2:G1:28350948:28357437:1 gene:PRUPE_1G279800 transcript:ONI30890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSLGINSGLCVLFFTLYSILRKQPSNYEVYMPKLLAEGESNTSSIFNIERLIPSPDWVKTAWQLTEDDLLSSSGLDAVVFMRLINFSLRVFLFAGVIGVFVLLPINCSGNQLEYVDFTDLSNNSLDVFTISNVNNGSSKLWIHFVAVYLVTIFVCCLLYYEYKYISQRRIDYFLSSKPQPHQFTILVRSIPVSAGSGVSEKVDSFFREYHPSTYLSHIVVRRTNKLQSLINDAKKLYTRLIHLQSDPNQQKYKRSSCFGLFGRKVNLVDHYEKKLEDIEENVRMEQSEVSLAGEEVRSAFVSFKSRYGAAVALHLQQSTNPTHWVTEQAPEPHDVYWPFFSSSFLRRWISKLVVILACILLTVLFLIPVVVVQGLTNLNQLEVWFPFLTSVLTITFVSQVITGYLPSLILLLFLKIVPPVMEFLSSIQGFISNSDIQKSACSKVLWFTIWNIFFATVFSGSVLYKVSLFLDPKNIPSRLAVAVPAQASFFIAYVVTSGWTSTSSELFRIFPLLWSLIKRPFTDSRDTELEVPGIPYHSHTPRILFFVLLGITYFFLAPLILPFLLVYLCLGYIIYRNQFINVYAPQYETAGKFWPIVHNSMIFSLVLMHAIAIGIFSLKKLSLATTLVFPLPVLTLLFNEYCRKRFLPNFVAYPAESLIRKDRQDENDPTMPEFLDELISLYQDPALMPIHYSGNSDRLNSPLLSSVHQAEV >ONI30892 pep chromosome:Prunus_persica_NCBIv2:G1:28351221:28355177:1 gene:PRUPE_1G279800 transcript:ONI30892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSLGINSGLCVLFFTLYSILRKQPSNYEVYMPKLLAEGESNTSSIFNIERLIPSPDWVKTAWQLTEDDLLSSSGLDAVVFMRLINFSLRVFLFAGVIGVFVLLPINCSGNQLEYVDFTDLSNNSLDVFTISNVNNGSSKLWIHFVAVYLVTIFVCCLLYYEYKYISQRRIDYFLSSKPQPHQFTILVRSIPVSAGSGVSEKVDSFFREYHPSTYLSHIVVRRTNKLQSLINDAKKLYTRLIHLQSDPNQQKYKRSSCFGLFGRKVNLVDHYEKKLEDIEENVRMEQSEVSLAGEEVRSAFVSFKSRYGAAVALHLQQSTNPTHWVTEQAPEPHDVYWPFFSSSFLRRWISKLVVILACILLTVLFLIPVVVVQGLTNLNQLEVWFPFLTSVLTMLLFSLLM >ONI30891 pep chromosome:Prunus_persica_NCBIv2:G1:28350806:28358794:1 gene:PRUPE_1G279800 transcript:ONI30891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSLGINSGLCVLFFTLYSILRKQPSNYEVYMPKLLAEGESNTSSIFNIERLIPSPDWVKTAWQLTEDDLLSSSGLDAVVFMRLINFSLRVFLFAGVIGVFVLLPINCSGNQLEYVDFTDLSNNSLDVFTISNVNNGSSKLWIHFVAVYLVTIFVCCLLYYEYKYISQRRIDYFLSSKPQPHQFTILVRSIPVSAGSGVSEKVDSFFREYHPSTYLSHIVVRRTNKLQSLINDAKKLYTRLIHLQSDPNQQKYKRSSCFGLFGRKVNLVDHYEKKLEDIEENVRMEQSEVSLAGEASFFIAYVVTSGWTSTSSELFRIFPLLWSLIKRPFTDSRDTELEVPGIPYHSHTPRILFFVLLGITYFFLAPLILPFLLVYLCLGYIIYRNQFINVYAPQYETAGKFWPIVHNSMIFSLVLMHAIAIGIFSLKKLSLATTLVFPLPVLTLLFNEYCRKRFLPNFVAYPAESLIRKDRQDENDPTMPEFLDELISLYQDPALMPIHYSGNSDRLNSPLLSSVHQAEV >ONI30889 pep chromosome:Prunus_persica_NCBIv2:G1:28350740:28358794:1 gene:PRUPE_1G279800 transcript:ONI30889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSLGINSGLCVLFFTLYSILRKQPSNYEVYMPKLLAEGESNTSSIFNIERLIPSPDWVKTAWQLTEDDLLSSSGLDAVVFMRLINFSLRVFLFAGVIGVFVLLPINCSGNQLEYVDFTDLSNNSLDVFTISNVNNGSSKLWIHFVAVYLVTIFVCCLLYYEYKYISQRRIDYFLSSKPQPHQFTILVRSIPVSAGSGVSEKVDSFFREYHPSTYLSHIVVRRTNKLQSLINDAKKLYTRLIHLQSDPNQQKYKRSSCFGLFGRKVNLVDHYEKKLEDIEENVRMEQSEVSLAGEEVRSAFVSFKSRYGAAVALHLQQSTNPTHWVTEQAPEPHDVYWPFFSSSFLRRWISKLVVILACILLTVLFLIPVVVVQGLTNLNQLEVWFPFLTSVLTITFVSQVITGYLPSLILLLFLKIVPPVMEFLSSIQGFISNSDIQKSACSKVLWFTIWNIFFATVFSGSVLYKVSLFLDPKNIPSRLAVAVPAQASFFIAYVVTSGWTSTSSELFRIFPLLWSLIKRPFTDSRDTELEVPGIPYHSHTPRILFFVLLGITYFFLAPLILPFLLVYLCLGYIIYRNQFINVYAPQYETAGKFWPIVHNSMIFSLVLMHAIAIGIFSLKKLSLATTLVFPLPVLTLLFNEYCRKRFLPNFVAYPAESLIRKDRQDENDPTMPEFLDELISLYQDPALMPIHYSGNSDRLNSPLLSSVHQAEV >ONI32307 pep chromosome:Prunus_persica_NCBIv2:G1:33231505:33236183:-1 gene:PRUPE_1G360200 transcript:ONI32307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDMNLKINHDCQAVSNGAAASDTDTVSSSRVSSLLASKDRDFLLSPTGNQVKVCDLEGKIIGLYFSANWYPPCWNFNQVLVGIYEQLKSSGSNFEIVYVSSDEDADAFNIYHACMPWLAIPFSDLDTKKALNRRFDIEGIPSLVILHPNDNKDEATLRDGVELIYRYGVEAFPFTKQRLEELQDEERARHENQTLTNLLTNHDRDNLLGHPTPEQVPVASLVGKTIGLYFSAHWCRPCVNFTPRLISIYQKIKEQMLVDGDQDGEDFEIVFVSSDRDQASFDSYFDTMPWLALPFGDPNIKQLVKHFDVKGIPCLVILGPDGKTVTKQGRNLINLYQENAYPFTEAKLELLEKKMDEEAKSLPRSVYHGGHRHELNLVSEGNGGGPFICCDCDEQGSGWAYQCLECGYEVHPKCVTVTVTVAASSNR >ONI32308 pep chromosome:Prunus_persica_NCBIv2:G1:33231505:33234598:-1 gene:PRUPE_1G360200 transcript:ONI32308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLAIPFSDLDTKKALNRRFDIEGIPSLVILHPNDNKDEATLRDGVELIYRYGVEAFPFTKQRLEELQDEERARHENQTLTNLLTNHDRDNLLGHPTPEQVPVASLVGKTIGLYFSAHWCRPCVNFTPRLISIYQKIKEQMLVDGDQDGEDFEIVFVSSDRDQASFDSYFDTMPWLALPFGDPNIKQLVKHFDVKGIPCLVILGPDGKTVTKQGRNLINLYQENAYPFTEAKLELLEKKMDEEAKSLPRSVYHGGHRHELNLVSEGNGGGPFICCDCDEQGSGWAYQCLECGYEVHPKCVTVTVTVAASSNR >ONI27738 pep chromosome:Prunus_persica_NCBIv2:G1:8210009:8210399:1 gene:PRUPE_1G102700 transcript:ONI27738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQCFFSFLFLIIICRPKTLEVMSAMFSWEGFRVSTVTVEMVLISCAKKYFYCPELHICILKSPQPKVVIDQYIPE >ONI32271 pep chromosome:Prunus_persica_NCBIv2:G1:33087877:33093056:-1 gene:PRUPE_1G357500 transcript:ONI32271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYARHANAITPRLPTNHAPFLSLLNTTSNTSSSHSSSSSSSSSSVGIGRRLVFAQTQPPSISISSPLKSLRFLSRNVNKKKRSSVRSLSFLSKPRMGSLCALDVPLQYPRVRRDESVIDDYHGVKIADPYRWLEDPHSEETKDFVQKQVELTQSVLKECDTRGKLSEKITKLFDHPRYDPPYREGNKYFYSHNTGLQAQNVIYVQDSLDGEPEVLLDPNTLSEDGTVSLNTHSVSKDAKYMAYALSTSGSDWVTIKVMRVEDKKIEPDTLSWVKFSGISWTHDNKGFFYSRYPAPKEGKDIDAGTETNANLYHEVYYHFVGTDQSKDILCWKDPENPKYLFGATVTADGKYIILSIDEGCDPVNKFYYCDMSALPNGLEGLREKNDLLPVLKLIDTFDAMYNLIANDDTVFTFLTNKDAPKYKLVRVDLKEPTVWTDVLQEAEKDVLGSVCAVNGSQMIVSYLSDVKCVLQIRDLNSGNLLHQLPLDIGSVSGISGRREDSTAFFGFTSFLTPGIIYQCNLVNEIPDVKVFREITVPGFDRSEFQVDQVFIPSKDGTEIPMFVVARKNIPLDGSHPCLLYGYGGFDISITPYFSVSRIVLTRHLGAVFCIANIRGGGEYGEEWHKSGSLAKKQNCFDDFISAAEYLVSAGYTQPSKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDKEEEFQWLIKYSPLHNVRRPWELHASQPHQYPSTMLLTADHDDRVVPLHTLKLLATLQYVLSTSLEKSPQTNPIIGRIECKAGHGSGRPTQKMIDEAADRYSFMAKMLGAIWIE >ONI33937 pep chromosome:Prunus_persica_NCBIv2:G1:38195530:38197498:1 gene:PRUPE_1G454600 transcript:ONI33937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRISAVSVRELEDFFVPTLLNCKNTSGLKKIHAQIVKFSLSQSNFLVTKMVDVCDNSGNLGYASLLFKQVLEPNVFLFNAMIRAYTHHQMYDLAVTLYKQMPRYSQAENPIFPDKFTFPFIIKSCAGLFCEGLGTQIHAQVRKFGPKSHLLIENALLDLYTKCENLTDAHKVFDGMTEMDVVSWNSLLSGYARLGQMRKARGVFEEIPNKTIVSWTTMISGYTRIGCYADALDIFRQMQVLGIEADEISIVSVLPACAQLGALEVGKWIHMYSDKKRLLQSTCVCNALIEMYVKCGCVDQAWQLFDKMLERDVISWSTMIAGLANHGKAREAIKLFQGMQRAKVKPNGITFLGLLSACTHAGLWNEGVKYFDSMRENYNIEPEIEHYGCLVDLLGRAGHLDQAFDRVKKMPMKPESKIWGSLLSSCRTHCNLEIAITAMEHLSVLEPDDAGNYVLLSNIYADLGKWEDVSRMRKLIRSKSMKRTPGCSLIEVNNVVMEFVSGNDSKPFAKDIFSMLELLVLQHNIPDDKIEAIPEDCSHFVC >ONI29038 pep chromosome:Prunus_persica_NCBIv2:G1:15063275:15068667:1 gene:PRUPE_1G176900 transcript:ONI29038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQQAYQFDYMGDEGDMSDFVEDVEEENQGGDAGLDEYDMLTKMSDTSSAQARKGKDIQGIPWDRLNITREKYRLTRLEQYRNYENIPASGEAVDKECKQMQKGGNFYEFFHNTRLVKPTILHFQLRNLVWATSKHDVYLMSSYSVMHWSSLSGSLSEVLNFAGHVAPTEKHAGSLLEGFMQTQISTLAVKDNFLVAGGFQGELTCKSLDKQGVSFCTRTTYDDNAITNAVEIYDRLGGGMHFMASNNDCGIREYDMEKFQLLNHFRFPWPVNHTSISPDRRVVAVVGDHVDALLMDSQNGKTIAKVVGHLDYSFASAWHPDGRIFATGNQDKTSRVWDIRNLSSPIAVLKNNLGAARSIRFSSDGQFMVVAEPADFVHIYSTKADYKVRQEIDFFGEISGVSVSPDDESLYIGIWDRTYASLLQYNRRHTYGYLDSYF >ONI29036 pep chromosome:Prunus_persica_NCBIv2:G1:15063740:15068667:1 gene:PRUPE_1G176900 transcript:ONI29036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQQAYQFDYMGDEGDMSDFVEDVEEENQGGDAGLDEYDMLTKMSDTSSAQARKGKDIQGIPWDRLNITREKYRLTRLEQYRNYENIPASGEAVDKECKQMQKGGNFYEFFHNTRLVKPTILHFQLRNLVWATSKHDVYLMSSYSVMHWSSLSGSLSEVLNFAGHVAPTEKHAGSLLEGFMQTQISTLAVKDNFLVAGGFQGELTCKSLDKQGVSFCTRTTYDDNAITNAVEIYDRLGGGMHFMASNNDCGIREYDMEKFQLLNHFRFPWPVNHTSISPDRRVVAVVGDHVDALLMDSQNGKTIAKVVGHLDYSFASAWHPDGRIFATGNQDKTSRVWDIRNLSSPIAVLKNNLGAARSIRFSSDGQFMVVAEPADFVHIYSTKADYKVRQEIDFFGEISGVSVSPDDESLYIGIWDRTYASLLQYNRRHTYGYLDSYF >ONI29037 pep chromosome:Prunus_persica_NCBIv2:G1:15063151:15068667:1 gene:PRUPE_1G176900 transcript:ONI29037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQQAYQFDYMGDEGDMSDFVEDVEEENQGGDAGLDEYDMLTKMSDTSSAQARKGKDIQGIPWDRLNITREKYRLTRLEQYRNYENIPASGEAVDKECKQMQKGGNFYEFFHNTRLVKPTILHFQLRNLVWATSKHDVYLMSSYSVMHWSSLSGSLSEVLNFAGHVAPTEKHAGSLLEGFMQTQISTLAVKDNFLVAGGFQGELTCKSLDKQGVSFCTRTTYDDNAITNAVEIYDRLGGGMHFMASNNDCGIREYDMEKFQLLNHFRFPWPVNHTSISPDRRVVAVVGDHVDALLMDSQNGKTIAKVVGHLDYSFASAWHPDGRIFATGNQDKTSRVWDIRNLSSPIAVLKNNLGAARSIRFSSDGQFMVVAEPADFVHIYSTKADYKVRQEIDFFGEISGVSVSPDDESLYIGIWDRTYASLLQYNRRHTYGYLDSYF >ONI30345 pep chromosome:Prunus_persica_NCBIv2:G1:25938082:25939485:1 gene:PRUPE_1G245900 transcript:ONI30345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHASGFQQQSYGMRQEAQQQSYSMHQEAAWHSKDDYRNNHISAFSGVQIVPSSCLNSQLLKHNLMFDGSQALQLHDGSWHAQNMNQNGWCGSYNHASGAKNLMPLIGVTNASQFSQGAVFNNAMENVECETIFHEVHVPATMRVQEMRYERSVWGNHDGLQYCHGFDDNVRWKRNEGWKSEWLSKGA >ONI27462 pep chromosome:Prunus_persica_NCBIv2:G1:6629032:6630308:-1 gene:PRUPE_1G088500 transcript:ONI27462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASASNVSAILLLFLSIISTSRVAFCGKFYQDFYITWGGDDHSKMLNNGELLTVSLDNVSGSAFESYNQYLYAKIDMQIKLVAGNSAGTVTTFYLSSTGSYHDEIDFEFLGNLSGDPYILHTNVFCQGKGNREQQFYLWFDPTADFHTYSILWNPQNIIFLVDGLPIRQFKNLESYGIPFPNYQPMRLYSSLWNADNWATRGGLVKIDWTQSPFTASYMNFNADGCIWSYGTSSCDSNSPNSNANKGAWYWEKLDYSDQGKMAWVQNNYMIYNYCTDTKRFPQGFAPECYLTNLP >ONI34792 pep chromosome:Prunus_persica_NCBIv2:G1:41345653:41359463:-1 gene:PRUPE_1G499400 transcript:ONI34792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSALQLVSEIGTNLRRQARPNKDFIVKSLRQAASSLSQLEQASSPEALKKLKPLTEAIVHGLLQHRDKDVRLLVAICVTEMFRVMAPEPPFVDKYLRDVFKLILSTFTELADTASPLFSRRAKIVETVARCKCCVIMLDIDCSDLVLEMFNIFFSVARVHHQQTLINDVLSVMVHILNEEASQPLLDVVLQNLVKEGRDADSASSQLAVSVIQTCADKLESFVCGFLTSCILDRDAVGSELKEFYHEIIFKIFKCAPQMLLAVIPNLTQELLTDQVDVRLKAVNLIGKLFTLPDHHIAQRYQDLFIEFLKRFSDKSVEVRVSALQCAKVCYMTNPSGVESQEVLSSLEGRLLDFDDRVRTQAVIVACDLAMYNMRCFPPKLISQTTERLRDKKIPVRKKALQKMMEVYRDYCNKCSEGYMTISDHFEQIPCKVLMLCFDKDCMEFRSQNMELVLAEDLFPAVLSVEERTRHWIHLFSLFTPLHIKALNSILSQKQRLQSEMRTYLAIRKKEKGNNSEEMQKRYKVSFSKMAVSFADPSKAEECFHKLNQMKDNNIFNSLALLLDELQFTDARTSRDTFLNMIGEKHQNFEFLRTLSSKCSYNIFSSEHVRCILYDVSSKSPVNKHLEAASIRLLLAITSFFPVLLRGSESQFRMLLEESDPINEKLIEVLAKAGTHISVKLSEIYPFLKRVCLEGNRVQSKYAVSAVAALVDTSKQFIFSSLCKELVDSLVGGQNIPTVLQSLGCLAQYSVSTFESQDGEITPCIYQKIFQVGSSDFVDSFNDVSGCSDSCKLKIYGLKALVKSFLPHRGTQIKRQINVLWDILSTMLQKGETAEGITSCENDKACIRLAAAKSVLRLSRRWDFHISPEIFHFTISMAKDDSPLVRRLFLDKAHKLLKEHAIPSRYACAFAMATSDCLKDLQDDSLKYMAEFVKDYSREAQLHQISGVQEGLITDFPAYIVVFLIHLLAHDTSFPPMDSLDEETYARFCSPLFVLLQALINASNADGALDNVKDSVLYLICIFRAIKRSEDVIDVERTPKLHVLADIGHSFVTLTNHNGLSASHAPGQILLPSSLYKSNSRCLTQSCFDEHFVKRVIQIFKSNISLPASTLPKRGRKCQEDRTQADVVKDNKLILASCKIVNLSKDGRAEAQKPEKEGNSTGGRRRKRALSPSAPGSVAFHDCSNNDYPSGVSKKSETSLEKEILSSCDSVATISSLGGSNVSIQNFKSNTIDVEHSNHPRAKLKGPCSLKEISKKAEALVGQRIKFLSPVDKCFYSGTVDGYNSQNNTHKITCDSSGDVQLVCLASESWETISDDSLEEKKQKVGRKASVDTSASEITDMNEAAVPRRTRRQKKLN >ONI34796 pep chromosome:Prunus_persica_NCBIv2:G1:41345881:41359185:-1 gene:PRUPE_1G499400 transcript:ONI34796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSALQLVSEIGTNLRRQARPNKDFIVKSLRQAASSLSQLEQASSPEALKKLKPLTEAIVHGLLQHRDKDVRLLVAICVTEMFRVMAPEPPFVDKYLRDVFKLILSTFTELADTASPLFSRRAKIVETVARCKCCVIMLDIDCSDLVLEMFNIFFSVARVHHQQTLINDVLSVMVHILNEEASQPLLDVVLQNLVKEGRDADSASSQLAVSVIQTCADKLESFVCGFLTSCILDRDAVGSELKEFYHEIIFKIFKCAPQMLLAVIPNLTQELLTDQVDVRLKAVNLIGKLFTLPDHHIAQRYQDLFIEFLKRFSDKSVEVRVSALQCAKVCYMTNPSGVESQEVLSSLEGRLLDFDDRVRTQAVIVACDLAMYNMRCFPPKLISQTTERLRDKKIPVRKKALQKMMEVYRDYCNKCSEGYMTISDHFEQIPCKVLMLCFDKDCMEFRSQNMELVLAEDLFPAVLSVEERTRHWIHLFSLFTPLHIKALNSILSQKQRLQSEMRTYLAIRKKEKGNNSEEMQKRYKVSFSKMAVSFADPSKAEECFHKLNQMKDNNIFNSLALLLDELQFTDARTSRDTFLNMIGEKHQNFEFLRTLSSKCSYNIFSSEHVRCILYDVSSKSPVNKHLEAASIRLLLAITSFFPVLLRGSESQFRMLLEESDPINEKLIEVLAKAGTHISVKLSEIYPFLKRVCLEGNRVQSKYAVSAVAALVDTSKQFIFSSLCKELVDSLVGGQNIPTVLQSLGCLAQYSVSTFESQDGEITPCIYQKIFQVGSSDFVDSFNDVSGCSDSCKLKIYGLKALVKSFLPHRGTQIKRQINVLWDILSTMLQKGETAEGITSCENDKACIRLAAAKSVLRLSRRWDFHISPEIFHFTISMAKLFSHDTFQDDSPLVRRLFLDKAHKLLKEHAIPSRYACAFAMATSDCLKDLQDDSLKYMAEFVKDYSREAQLHQISGVQEGLITDFPAYIVVFLIHLLAHDTSFPPMDSLDEETYARFCSPLFVLLQALINASNADGALDNVKDSVLYLICIFRAIKRSEDVIDVERTPKLHVLADIGHSFVTLTNHNGLSASHAPGQILLPSSLYKSNSRCLTQSCFDEHFVKRVIQIFKSNISLPASTLPKRGRKCQEDRTQADVVKDNKLILASCKIVNLSKDGRAEAQKPEKEGNSTGGRRRKRALSPSAPGSVAFHDCSNNDYPSGVSKKSETSLEKEILSSCDSVATISSLGGSNVSIQNFKSNTIDVEHSNHPRAKLKGPCSLKEISKKAEALVGQRIKFLSPVDKCFYSGTVDGYNSQNNTHKITCDSSGDVQLVCLASESWETISDDSLEEKKQKVGRKASVDTSASEITDMNEAAVRLKNSKTKET >ONI34797 pep chromosome:Prunus_persica_NCBIv2:G1:41350772:41359428:-1 gene:PRUPE_1G499400 transcript:ONI34797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSALQLVSEIGTNLRRQARPNKDFIVKSLRQAASSLSQLEQASSPEALKKLKPLTEAIVHGLLQHRDKDVRLLVAICVTEMFRVMAPEPPFVDKYLRDVFKLILSTFTELADTASPLFSRRAKIVETVARCKCCVIMLDIDCSDLVLEMFNIFFSVARVHHQQTLINDVLSVMVHILNEEASQPLLDVVLQNLVKEGRDADSASSQLAVSVIQTCADKLESFVCGFLTSCILDRDAVGSELKEFYHEIIFKIFKCAPQMLLAVIPNLTQELLTDQVDVRLKAVNLIGKLFTLPDHHIAQRYQDLFIEFLKRFSDKSVEVRVSALQCAKVCYMTNPSGVESQEVLSSLEGRLLDFDDRVRTQAVIVACDLAMYNMRCFPPKLISQTTERLRDKKIPVRKKALQKMMEVYRDYCNKCSEGYMTISDHFEQIPCKVLMLCFDKDCMEFRSQNMELVLAEDLFPAVLSVEERTRHWIHLFSLFTPLHIKALNSILSQKQRLQSEMRTYLAIRKKEKGNNSEEMQKRYKVSFSKMAVSFADPSKAEECFHKLNQMKDNNIFNSLALLLDELQFTDARTSRDTFLNMIGEKHQNFEFLRTLSSKCSYNIFSSEHVRCILYDVSSKSPVNKHLEAASIRLLLAITSFFPVLLRGSESQFRMLLEESDPINEKLIEVLAKAGTHISVKLSEIYPFLKRVCLEGNRVQSKYAVSAVAALVDTSKQFIFSSLCKELVDSLVGGQNIPTVLQSLGCLAQYSVSTFESQDGEITPCIYQKIFQVGSSDFVDSFNDVSGCSDSCKLKIYGLKALVKSFLPHRGTQIKRQINVLWDILSTMLQKGETAEGITSCSGRGML >ONI34795 pep chromosome:Prunus_persica_NCBIv2:G1:41346114:41359185:-1 gene:PRUPE_1G499400 transcript:ONI34795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSALQLVSEIGTNLRRQARPNKDFIVKSLRQAASSLSQLEQASSPEALKKLKPLTEAIVHGLLQHRDKDVRLLVAICVTEMFRVMAPEPPFVDKYLRDVFKLILSTFTELADTASPLFSRRAKIVETVARCKCCVIMLDIDCSDLVLEMFNIFFSVARVHHQQTLINDVLSVMVHILNEEASQPLLDVVLQNLVKEGRDADSASSQLAVSVIQTCADKLESFVCGFLTSCILDRDAVGSELKEFYHEIIFKIFKCAPQMLLAVIPNLTQELLTDQVDVRLKAVNLIGKLFTLPDHHIAQRYQDLFIEFLKRFSDKSVEVRVSALQCAKVCYMTNPSGVESQEVLSSLEGRLLDFDDRVRTQAVIVACDLAMYNMRCFPPKLISQTTERLRDKKIPVRKKALQKMMEVYRDYCNKCSEGYMTISDHFEQIPCKVLMLCFDKDCMEFRSQNMELVLAEDLFPAVLSVEERTRHWIHLFSLFTPLHIKALNSILSQKQRLQSEMRTYLAIRKKEKGNNSEEMQKRYKVSFSKMAVSFADPSKAEECFHKLNQMKDNNIFNSLALLLDELQFTDARTSRDTFLNMIGEKHQNFEFLRTLSSKCSYNIFSSEHVRCILYDVSSKSPVNKHLEAASIRLLLAITSFFPVLLRGSESQFRMLLEESDPINEKLIEVLAKAGTHISVKLSEIYPFLKRVCLEGNRVQSKYAVSAVAALVDTSKQFIFSSLCKELVDSLVGGQNIPTVLQSLGCLAQYSVSTFESQDGEITPCIYQKIFQVGSSDFVDSFNDVSGCSDSCKLKIYGLKALVKSFLPHRGTQIKRQINVLWDILSTMLQKGETAEGITSCENDKACIRLAAAKSVLRLSRRWDFHISPEIFHFTISMAKLFSHDTFQDDSPLVRRLFLDKAHKLLKEHAIPSRYACAFAMATSDCLKDLQDDSLKYMAEFVKDYSREAQLHQISGVQEGLITDFPAYIVVFLIHLLAHDTSFPPMDSLDEETYARFCSPLFVLLQALINASNADGALDNVKDSVLYLICIFRAIKRSEDVIDVERTPKLHVLADIGHSFVTLTNHNGLSASHAPGQILLPSSLYKSNSRCLTQSCFDEHFVKRVIQIFKSNISLPASTLPKRGRKCQEDRTQADVVKDNKLILASCKIVNLSKDGRAEAQKPEKEGNSTGGRRRKRALSPSAPGSVAFHDCSNNDYPSGVSKKSETSLEKEILSSCDSVATISSLGGSNVSIQNFKSNTIDVEHSNHPRAKLKGPCSLKEISKKAEALVGQRIKFLSPVDKCFYSGTVDGYNSQNNTHKITCDSSGDVQLVCLASESWETISDDSLEEKQKQKVGRKASVDTSASEITDMNEAAVPRRTRRQKKLN >ONI34794 pep chromosome:Prunus_persica_NCBIv2:G1:41345653:41359325:-1 gene:PRUPE_1G499400 transcript:ONI34794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSALQLVSEIGTNLRRQARPNKDFIVKSLRQAASSLSQLEQASSPEALKKLKPLTEAIVHGLLQHRDKDVRLLVAICVTEMFRVMAPEPPFVDKYLRDVFKLILSTFTELADTASPLFSRRAKIVETVARCKCCVIMLDIDCSDLVLEMFNIFFSVARVHHQQTLINDVLSVMVHILNEEASQPLLDVVLQNLVKEGRDADSASSQLAVSVIQTCADKLESFVCGFLTSCILDRDAVGSELKEFYHEIIFKIFKCAPQMLLAVIPNLTQELLTDQVDVRLKAVNLIGKLFTLPDHHIAQRYQDLFIEFLKRFSDKSVEVRVSALQCAKVCYMTNPSGVESQEVLSSLEGRLLDFDDRVRTQAVIVACDLAMYNMRCFPPKLISQTTERLRDKKIPVRKKALQKMMEVYRDYCNKCSEGYMTISDHFEQIPCKVLMLCFDKDCMEFRSQNMELVLAEDLFPAVLSVEERTRHWIHLFSLFTPLHIKALNSILSQKQRLQSEMRTYLAIRKKEKGNNSEEMQKRYKVSFSKMAVSFADPSKAEECFHKLNQMKDNNIFNSLALLLDELQFTDARTSRDTFLNMIGEKHQNFEFLRTLSSKCSYNIFSSEHVRCILYDVSSKSPVNKHLEAASIRLLLAITSFFPVLLRGSESQFRMLLEESDPINEKLIEVLAKAGTHISVKLSEIYPFLKRVCLEGNRVQSKYAVSAVAALVDTSKQFIFSSLCKELVDSLVGGQNIPTVLQSLGCLAQYSVSTFESQDGEITPCIYQKIFQVGSSDFVDSFNDVSGCSDSCKLKIYGLKALVKSFLPHRGTQIKRQINVLWDILSTMLQKGETAEGITSCENDKACIRLAAAKSVLRLSRRWDFHISPEIFHFTISMAKLFSHDTFQDDSPLVRRLFLDKAHKLLKEHAIPSRYACAFAMATSDCLKDLQDDSLKYMAEFVKDYSREAQLHQISGVQEGLITDFPAYIVVFLIHLLAHDTSFPPMDSLDEETYARFCSPLFVLLQALINASNADGALDNVKDSVLYLICIFRAIKRSEDVIDVERTPKLHVLADIGHSFVTLTNHNGLSASHAPGQILLPSSLYKSNSRCLTQSCFDEHFVKRVIQIFKSNISLPASTLPKRGRKCQEDRTQADVVKDNKLILASCKIVNLSKDGRAEAQKPEKEGNSTGGRRRKRALSPSAPGSVAFHDCSNNDYPSGVSKKSETSLEKEILSSCDSVATISSLGGSNVSIQNFKSNTIDVEHSNHPRAKLKGPCSLKEISKKAEALVGQRIKFLSPVDKCFYSGTVDGYNSQNNTHKITCDSSGDVQLVCLASESWETISDDSLEEKKQKVGRKASVDTSASEITDMNEAAVPRRTRRQKKLN >ONI34790 pep chromosome:Prunus_persica_NCBIv2:G1:41345818:41359324:-1 gene:PRUPE_1G499400 transcript:ONI34790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSALQLVSEIGTNLRRQARPNKDFIVKSLRQAASSLSQLEQASSPEALKKLKPLTEAIVHGLLQHRDKDVRLLVAICVTEMFRVMAPEPPFVDKYLRDVFKLILSTFTELADTASPLFSRRAKIVETVARCKCCVIMLDIDCSDLVLEMFNIFFSVARVHHQQTLINDVLSVMVHILNEEASQPLLDVVLQNLVKEGRDADSASSQLAVSVIQTCADKLESFVCGFLTSCILDRDAVGSELKEFYHEIIFKIFKCAPQMLLAVIPNLTQELLTDQVDVRLKAVNLIGKLFTLPDHHIAQRYQDLFIEFLKRFSDKSVEVRVSALQCAKVCYMTNPSGVESQEVLSSLEGRLLDFDDRVRTQAVIVACDLAMYNMRCFPPKLISQTTERLRDKKIPVRKKALQKMMEVYRDYCNKCSEGYMTISDHFEQIPCKVLMLCFDKDCMEFRSQNMELVLAEDLFPAVLSVEERTRHWIHLFSLFTPLHIKALNSILSQKQRLQSEMRTYLAIRKKEKGNNSEEMQKRYKVSFSKMAVSFADPSKAEECFHKLNQMKDNNIFNSLALLLDELQFTDARTSRDTFLNMIGEKHQNFEFLRTLSSKCSYNIFSSEHVRCILYDVSSKSPVNKHLEAASIRLLLAITSFFPVLLRGSESQFRMLLEESDPINEKLIEVLAKAGTHISVKLSEIYPFLKRVCLEGNRVQSKYAVSAVAALVDTSKQFIFSSLCKELVDSLVGGQNIPTVLQSLGCLAQYSVSTFESQDGEITPCIYQKIFQVGSSDFVDSFNDVSGCSDSCKLKIYGLKALVKSFLPHRGTQIKRQINVLWDILSTMLQKGETAEGITSCENDKACIRLAAAKSVLRLSRRWDFHISPEIFHFTISMAKDDSPLVRRLFLDKAHKLLKEHAIPSRYACAFAMATSDCLKDLQDDSLKYMAEFVKDYSREAQLHQISGVQEGLITDFPAYIVVFLIHLLAHDTSFPPMDSLDEETYARFCSPLFVLLQALINASNADGALDNVKDSVLYLICIFRAIKRSEDVIDVERTPLHVLADIGHSFVTLTNHNGLSASHAPGQILLPSSLYKSNSRCLTQSCFDEHFVKRVIQIFKSNISLPASTLPKRGRKCQEDRTQADVVKDNKLILASCKIVNLSKDGRAEAQKPEKEGNSTGGRRRKRALSPSAPGSVAFHDCSNNDYPSGVSKKSETSLEKEILSSCDSVATISSLGGSNVSIQNFKSNTIDVEHSNHPRAKLKGPCSLKEISKKAEALVGQRIKFLSPVDKCFYSGTVDGYNSQNNTHKITCDSSGDVQLVCLASESWETISDDSLEEKKQKVGRKASVDTSASEITDMNEAAVPRRTRRQKKLN >ONI34793 pep chromosome:Prunus_persica_NCBIv2:G1:41346114:41359185:-1 gene:PRUPE_1G499400 transcript:ONI34793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSALQLVSEIGTNLRRQARPNKDFIVKSLRQAASSLSQLEQASSPEALKKLKPLTEAIVHGLLQHRDKDVRLLVAICVTEMFRVMAPEPPFVDKYLRDVFKLILSTFTELADTASPLFSRRAKIVETVARCKCCVIMLDIDCSDLVLEMFNIFFSVARVHHQQTLINDVLSVMVHILNEEASQPLLDVVLQNLVKEGRDADSASSQLAVSVIQTCADKLESFVCGFLTSCILDRDAVGSELKEFYHEIIFKIFKCAPQMLLAVIPNLTQELLTDQVDVRLKAVNLIGKLFTLPDHHIAQRYQDLFIEFLKRFSDKSVEVRVSALQCAKVCYMTNPSGVESQEVLSSLEGRLLDFDDRVRTQAVIVACDLAMYNMRCFPPKLISQTTERLRDKKIPVRKKALQKMMEVYRDYCNKCSEGYMTISDHFEQIPCKVLMLCFDKDCMEFRSQNMELVLAEDLFPAVLSVEERTRHWIHLFSLFTPLHIKALNSILSQKQRLQSEMRTYLAIRKKEKGNNSEEMQKRYKVSFSKMAVSFADPSKAEECFHKLNQMKDNNIFNSLALLLDELQFTDARTSRDTFLNMIGEKHQNFEFLRTLSSKCSYNIFSSEHVRCILYDVSSKSPVNKHLEAASIRLLLAITSFFPVLLRGSESQFRMLLEESDPINEKLIEVLAKAGTHISVKLSEIYPFLKRVCLEGNRVQSKYAVSAVAALVDTSKQFIFSSLCKELVDSLVGGQNIPTVLQSLGCLAQYSVSTFESQDGEITPCIYQKIFQVGSSDFVDSFNDVSGCSDSCKLKIYGLKALVKSFLPHRGTQIKRQINVLWDILSTMLQKGETAEGITSCENDKACIRLAAAKSVLRLSRRWDFHISPEIFHFTISMAKDDSPLVRRLFLDKAHKLLKEHAIPSRYACAFAMATSDCLKDLQDDSLKYMAEFVKDYSREAQLHQISGVQEGLITDFPAYIVVFLIHLLAHDTSFPPMDSLDEETYARFCSPLFVLLQALINASNADGALDNVKDSVLYLICIFRAIKRSEDVIDVERTPKLHVLADIGHSFVTLTNHNGLSASHAPGQILLPSSLYKSNSRCLTQSCFDEHFVKRVIQIFKSNISLPASTLPKRGRKCQEDRTQADVVKDNKLILASCKIVNLSKDGRAEAQKPEKEGNSTGGRRRKRALSPSAPGSVAFHDCSNNDYPSGVSKKSETSLEKEILSSCDSVATISSLGGSNVSIQNFKSNTIDVEHSNHPRAKLKGPCSLKEISKKAEALVGQRIKFLSPVDKCFYSGTVDGYNSQNNTHKITCDSSGDVQLVCLASESWETISDDSLEEKQKQKVGRKASVDTSASEITDMNEAAVPRRTRRQKKLN >ONI34791 pep chromosome:Prunus_persica_NCBIv2:G1:41346114:41359185:-1 gene:PRUPE_1G499400 transcript:ONI34791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSALQLVSEIGTNLRRQARPNKDFIVKSLRQAASSLSQLEQASSPEALKKLKPLTEAIVHGLLQHRDKDVRLLVAICVTEMFRVMAPEPPFVDKYLRDVFKLILSTFTELADTASPLFSRRAKIVETVARCKCCVIMLDIDCSDLVLEMFNIFFSVARVHHQQTLINDVLSVMVHILNEEASQPLLDVVLQNLVKEGRDADSASSQLAVSVIQTCADKLESFVCGFLTSCILDRDAVGSELKEFYHEIIFKIFKCAPQMLLAVIPNLTQELLTDQVDVRLKAVNLIGKLFTLPDHHIAQRYQDLFIEFLKRFSDKSVEVRVSALQCAKVCYMTNPSGVESQEVLSSLEGRLLDFDDRVRTQAVIVACDLAMYNMRCFPPKLISQTTERLRDKKIPVRKKALQKMMEVYRDYCNKCSEGYMTISDHFEQIPCKVLMLCFDKDCMEFRSQNMELVLAEDLFPAVLSVEERTRHWIHLFSLFTPLHIKALNSILSQKQRLQSEMRTYLAIRKKEKGNNSEEMQKRYKVSFSKMAVSFADPSKAEECFHKLNQMKDNNIFNSLALLLDELQFTDARTSRDTFLNMIGEKHQNFEFLRTLSSKCSYNIFSSEHVRCILYDVSSKSPVNKHLEAASIRLLLAITSFFPVLLRGSESQFRMLLEESDPINEKLIEVLAKAGTHISVKLSEIYPFLKRVCLEGNRVQSKYAVSAVAALVDTSKQFIFSSLCKELVDSLVGGQNIPTVLQSLGCLAQYSVSTFESQDGEITPCIYQKIFQVGSSDFVDSFNDVSGCSDSCKLKIYGLKALVKSFLPHRGTQIKRQINVLWDILSTMLQKGETAEGITSCENDKACIRLAAAKSVLRLSRRWDFHISPEIFHFTISMAKDDSPLVRRLFLDKAHKLLKEHAIPSRYACAFAMATSDCLKDLQDDSLKYMAEFVKDYSREAQLHQISGVQEGLITDFPAYIVVFLIHLLAHDTSFPPMDSLDEETYARFCSPLFVLLQALINASNADGALDNVKDSVLYLICIFRAIKRSEDVIDVERTPLHVLADIGHSFVTLTNHNGLSASHAPGQILLPSSLYKSNSRCLTQSCFDEHFVKRVIQIFKSNISLPASTLPKRGRKCQEDRTQADVVKDNKLILASCKIVNLSKDGRAEAQKPEKEGNSTGGRRRKRALSPSAPGSVAFHDCSNNDYPSGVSKKSETSLEKEILSSCDSVATISSLGGSNVSIQNFKSNTIDVEHSNHPRAKLKGPCSLKEISKKAEALVGQRIKFLSPVDKCFYSGTVDGYNSQNNTHKITCDSSGDVQLVCLASESWETISDDSLEEKQKQKVGRKASVDTSASEITDMNEAAVPRRTRRQKKLN >ONI31317 pep chromosome:Prunus_persica_NCBIv2:G1:29925145:29929828:1 gene:PRUPE_1G306000 transcript:ONI31317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAGLQLSAPSAHGQGQVLLSSVKSSLFSGAPLRFSLPTSGREPFTRKQLVVFARRLSGLEEAMRIKRERESQQLTPKVKRRPPLRRGRVSPELPVPDHIPRPPYVGSSELPEIASELQIPGSQGIADMRAACELAARVLDSAGKLVRPSITTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQSGDIINIDVTVYLNVTEECLERGIAVCKDGASFKKIGKRISEHAEKYGYGVVERFVGHGVGTVFHSEPLILHHRNDQSGCMVEGQTFTIEPILTMGSIECKTWPDNWTTLTADGSPAAQFEHTILITRTGAEILTIC >ONI31316 pep chromosome:Prunus_persica_NCBIv2:G1:29925145:29929827:1 gene:PRUPE_1G306000 transcript:ONI31316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAGLQLSAPSAHGQGQVLLSSVKSSLFSGAPLRFSLPTSGREPFTRKQLVVFARRLSGLEEAMRIKRERESQQLTPKVKRRPPLRRGRVSPELPVPDHIPRPPYVGSSELPEIASELQIPGSQGIADMRAACELAARVLDSAGKLVRPSITTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQSGDIINIDVTVYLNGYHGDTSKTFLCGDVSDAIKQLVKVTEECLERGIAVCKDGASFKKIGKRISEHAEKYGYGVVERFVGHGVGTVFHSEPLILHHRNDQSGCMVEGQTFTIEPILTMGSIECKTWPDNWTTLTADGSPAAQFEHTILITRTGAEILTIC >ONI31318 pep chromosome:Prunus_persica_NCBIv2:G1:29925145:29929827:1 gene:PRUPE_1G306000 transcript:ONI31318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAGLQLSAPSAHGQGQVLLSSVKSSLFSGAPLRFSLPTSGREPFTRKQLVVFARRLSGLEEAMRIKRERESQQLTPKVKRRPPLRRGRVSPELPVPDHIPRPPYVGSSELPEIASELQIPGSQGIADMRAACELAARVLDSAGKLVRPSITTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQSGDIINIDVTVYLNGYHGDTSKTFLCGDVSDAIKQLVKVTEECLERGIAVCKDGASFKKIGKRIRQ >ONI31319 pep chromosome:Prunus_persica_NCBIv2:G1:29925145:29929827:1 gene:PRUPE_1G306000 transcript:ONI31319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAGLQLSAPSAHGQGQVLLSSVKSSLFSGAPLRFSLPTSGREPFTRKQLVVFARRLSGLEEAMRIKRERESQQLTPKVKRRPPLRRGRVSPELPVPDHIPRPPYVGSSELPEIASELQIPGSQGIADMRAACELAARVLDSAGKLVRPSITTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQSGDIINIDVTVYLNVTEECLERGIAVCKDGASFKKIGKRIRQ >ONI35463 pep chromosome:Prunus_persica_NCBIv2:G1:43889045:43896254:-1 gene:PRUPE_1G537300 transcript:ONI35463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFHVRSGREPKGDVFSVSKTWLSVKLCFIILLIQAVTFPAAAAQNITGLQLHIREQDVVMDNGILQVTLSKPAGMVTGIQYNGVDNLLEILNEDSNRGYWDLVWDAPEIKRKKGEFDRMETTNFTVIVETENQIELSFTRMWDPSLEGKVVPLNIDKRFVMLRNSSGFYTYGIYEHLKDWPAFNLTNTRIGFKLRKDKFHYMAMSDNRQRYMPLPDDRTAERGQPLAYPEAVLLVNPVEPEFKGEVDDKYQYSIENKDNRVHGWISTVTDPPMGFWQITPSEEFKSGGPLKQCLTSHVGPITLAVKISLKLDLGCHKGDRESTSIVIFHSTHYSGADLILQFGPNEPWKKVFGPVFIHLNSLVNGDDPLKRLWEDAKQQMEVEVQSWPYDFPASKDFLPLDQRGSVSGRLLVHDRYISDEDLPGNGTLVGLAAPGDVGSWQFECKGYQFWTKADEKGCFSITGIRPGTYNLFAYVPGLIGDYRYEAEVTISPGSDKDVGILVYEPPRSGPTLWEIGIPDRTAAEFFVPDPNPKYINKLYLNHTDRFRQYGLWERYADLYPHNDMVYTVGVSDYRKDFFFAQVTRKTDNNTYQGSTWQIKFKLDIVDKNYTYKLRIALATAHVSDLQVRINDLRPSNPHFSTGPIGNDNTIARHGIHGLYRLYNVDVPGVQLVEGNNTIFLTRTLSTSPFQGIMYDYIRLEGPLSSKSNNLGFSSK >ONI35462 pep chromosome:Prunus_persica_NCBIv2:G1:43889045:43896254:-1 gene:PRUPE_1G537300 transcript:ONI35462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFHVRSGREPKGDVFSVSKTWLSVKLCFIILLIQAVTFPAAAAQNITGLQLHIREQDVVMDNGILQVTLSKPAGMVTGIQYNGVDNLLEILNEDSNRGYWDLVWDAPEIKRKKGEFDRMETTNFTVIVETENQIELSFTRMWDPSLEGKVVPLNIDKRFVMLRNSSGFYTYGIYEHLKDWPAFNLTNTRIGFKLRKDKFHYMAMSDNRQRYMPLPDDRTAERGQPLAYPEAVLLVNPVEPEFKGEVDDKYQYSIENKDNRVHGWISTVTDPPMGFWQITPSEEFKSGGPLKQCLTSHVGPITLAGDRESTSIVIFHSTHYSGADLILQFGPNEPWKKVFGPVFIHLNSLVNGDDPLKRLWEDAKQQMEVEVQSWPYDFPASKDFLPLDQRGSVSGRLLVHDRYISDEDLPGNGTLVGLAAPGDVGSWQFECKGYQFWTKADEKGCFSITGIRPGTYNLFAYVPGLIGDYRYEAEVTISPGSDKDVGILVYEPPRSGPTLWEIGIPDRTAAEFFVPDPNPKYINKLYLNHTDRFRQYGLWERYADLYPHNDMVYTVGVSDYRKDFFFAQVTRKTDNNTYQGSTWQIKFKLDIVDKNYTYKLRIALATAHVSDLQVRINDLRPSNPHFSTGPIGNDNTIARHGIHGLYRLYNVDVPGVQLVEGNNTIFLTRTLSTSPFQGIMYDYIRLEGPLSSKSNNLGFSSK >ONI35461 pep chromosome:Prunus_persica_NCBIv2:G1:43888906:43896399:-1 gene:PRUPE_1G537300 transcript:ONI35461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFHVRSGREPKGDVFSVSKTWLSVKLCFIILLIQAVTFPAAAAQNITGLQLHIREQDVVMDNGILQVTLSKPAGMVTGIQYNGVDNLLEILNEDSNRGYWDLVWDAPEIKRKKGEFDRMETTNFTVIVETENQIELSFTRMWDPSLEGKVVPLNIDKRFVMLRNSSGFYTYGIYEHLKDWPAFNLTNTRIGFKLRKDKFHYMAMSDNRQRYMPLPDDRTAERGQPLAYPEAVLLVNPVEPEFKGEVDDKYQYSIENKDNRVHGWISTVTDPPMGFWQITPSEEFKSGGPLKQCLTSHVGPITLAIFHSTHYSGADLILQFGPNEPWKKVFGPVFIHLNSLVNGDDPLKRLWEDAKQQMEVEVQSWPYDFPASKDFLPLDQRGSVSGRLLVHDRYISDEDLPGNGTLVGLAAPGDVGSWQFECKGYQFWTKADEKGCFSITGIRPGTYNLFAYVPGLIGDYRYEAEVTISPGSDKDVGILVYEPPRSGPTLWEIGIPDRTAAEFFVPDPNPKYINKLYLNHTDRFRQYGLWERYADLYPHNDMVYTVGVSDYRKDFFFAQVTRKTDNNTYQGSTWQIKFKLDIVDKNYTYKLRIALATAHVSDLQVRINDLRPSNPHFSTGPIGNDNTIARHGIHGLYRLYNVDVPGVQLVEGNNTIFLTRTLSTSPFQGIMYDYIRLEGPLSSKSNNLGFSSK >ONI35464 pep chromosome:Prunus_persica_NCBIv2:G1:43888906:43895016:-1 gene:PRUPE_1G537300 transcript:ONI35464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTNFTVIVETENQIELSFTRMWDPSLEGKVVPLNIDKRFVMLRNSSGFYTYGIYEHLKDWPAFNLTNTRIGFKLRKDKFHYMAMSDNRQRYMPLPDDRTAERGQPLAYPEAVLLVNPVEPEFKGEVDDKYQYSIENKDNRVHGWISTVTDPPMGFWQITPSEEFKSGGPLKQCLTSHVGPITLAGDRESTSIVIFHSTHYSGADLILQFGPNEPWKKVFGPVFIHLNSLVNGDDPLKRLWEDAKQQMEVEVQSWPYDFPASKDFLPLDQRGSVSGRLLVHDRYISDEDLPGNGTLVGLAAPGDVGSWQFECKGYQFWTKADEKGCFSITGIRPGTYNLFAYVPGLIGDYRYEAEVTISPGSDKDVGILVYEPPRSGPTLWEIGIPDRTAAEFFVPDPNPKYINKLYLNHTDRFRQYGLWERYADLYPHNDMVYTVGVSDYRKDFFFAQVTRKTDNNTYQGSTWQIKFKLDIVDKNYTYKLRIALATAHVSDLQVRINDLRPSNPHFSTGPIGNDNTIARHGIHGLYRLYNVDVPGVQLVEGNNTIFLTRTLSTSPFQGIMYDYIRLEGPLSSKSNNLGFSSK >ONI29586 pep chromosome:Prunus_persica_NCBIv2:G1:20219845:20220352:1 gene:PRUPE_1G203100 transcript:ONI29586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISFFFTTQHFPVKLYSWLSLFMEVYPSWVNPATCFFFLCETHISFGGGKKEKVLWISSAVVVFR >ONI33880 pep chromosome:Prunus_persica_NCBIv2:G1:38004497:38011162:-1 gene:PRUPE_1G451300 transcript:ONI33880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNYMVERATSDMLIGPDWAMNIEICDMCNHDPVQAKDVVKGIKKRLGSRNSKVQLLALTLLETIIKNCGDIVHMHVAEKDLLHEMVKIVKKKPDYHVKEKILILIDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPQRSDSAAPPVFTPPQTQPLTSFPQNLQNPDFPKDAAEPSAEAEFPTLSLTEIQNARGIMDVLAEMLSAIDPGNKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRLLAKHEAIASGNPVQPDKPKSGPSGALVDVGGPLVDTGNDNKQLDGRSTSSAGAGSNPLNQLLLTAPPATNGPTPTTKVDPKMDLLSGDDFGSPKADSLALVPVSGQQPTTPASQQNALALMDMFGSDNAPNSVNVASQINPSPPQFQQQPNFQASQGGFYPNGSAPNMGAPQYEQAQYTQGSPTNGSLPPPPWEAQSTDDGIPVAGPRYPQSPVQVTTKVVVTHGQGGFNPQGPQLTGSDQVVGMYIQPITTTHLSAINNQVGPSSHLGLPAQPVQGPYTGMTPQAIQAGQMTSMYPQQMYGNQMVPYGYDQQQQQQQQQHQAYALQQQQHQQAYALQQQQQYALQQQQQAYALQQQMYGLSVRDDNSMKNSYQVSAPSYVTPSKPSRPEDKLFGDLVDFAKLKPTKPTSGAAGSV >ONI33881 pep chromosome:Prunus_persica_NCBIv2:G1:38004845:38010946:-1 gene:PRUPE_1G451300 transcript:ONI33881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNYMVERATSDMLIGPDWAMNIEICDMCNHDPVQAKDVVKGIKKRLGSRNSKVQLLALTLLETIIKNCGDIVHMHVAEKDLLHEMVKIVKKKPDYHVKEKILILIDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPQRSDSAAPPVFTPPQTQPLTSFPQNLQNPDFPKDAAEPSAEAEFPTLSLTEIQNARGIMDVLAEMLSAIDPGNKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRLLAKHEAIASGNPVQPDKPKSGPSGALVDVGGPLVDTGNDNKQLDGRSTSSAGAGSNPLNQLLLTAPPATNGPTPTTKVDPKMDLLSGDDFGSPKADSLALVPVSGQQPTTPASQQNALALMDMFGSDNAPNSVNVASQINPSPPQFQQQPNFQASQGGFYPNGSAPNMGAPQYEQAQYTQGAGPAWNGQIVQSQQPPSPGYGSPTNGSLPPPPWEAQSTDDGIPVAGPRYPQSPVQVTTKVVVTHGQGGFNPQGPQLTGSDQVVGMYIQPITTTHLSAINNQVGPSSHLGLPAQPVQGPYTGMTPQAIQAGQMTSMYPQQMYGNQMVPYGYDQQQQQQQQQHQAYALQQQQHQQAYALQQQQQYALQQQQQAYALQQQMYGLSVRDDNSMKNSYQVSAPSYVTPSKPSRPEDKLFGDLVDFAKLKPTKPTSGAAGSV >ONI34940 pep chromosome:Prunus_persica_NCBIv2:G1:41864904:41869253:-1 gene:PRUPE_1G507300 transcript:ONI34940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILRTSLLPGNPVLIESSLSQKPNNSHKPKFIFQASIDTTNGSTGTTLSNQANPQTHVSFASKKTTKLSNNPRAGTNSEIGHCISLLKSNPVCDCRQIHAQALKLNAFEENGWIGNKLAMLYSKNKEFLDYARKLFNDIPKRKIPVYASLISAYCRSEQWDDLFLVFRLMVDEGMLPDKYVVPTVLKACALVRMLRTGKMIHGFVIRMGMNSDVFVGNALIDFYANCGDFGFALSVFDAMGERDVVSWTALVSAFMNEGLFEEAIEVFKSMQLNGVKPDLISWNALVSGFAHNGEIDLALQYLEAMQEEGLRPRANTWNGIISGCIQNEYFEGALDAFYNMLCFPEDPNFVTIASILPACAGLKDLNLGRAVHGFALKRQLCGNMHVEGSLIDVYSKCGMKDYAENIFSKAENKSIAMWNEMIAVYVNAGDAKKGLELLRVMHHGGLKPDVVSYNTILAGHARNGQINEAYELFYEMVRMELKPNIISFNVLISGFQQFGLSFEALKLFQTMQSPLNGCMGNDVLHESTQPNSITIAGALAACADLNLLCQGKQIHGYALKNDFEPNIYISSALVDMYSKCLDIVSATKVFRRTEDRNTICWNTLIAGHVHNMQLDRALELFCEMLEEGLGPSSITLMILLLTCGDMEALRFGRELHGHIIKSKLDQSNYALTSALIGMYAKCGRIKDAKSLFDFEVKKDASVWNSMLSANSTNGMAKRAIALFGEMELAAPVPEI >ONI34941 pep chromosome:Prunus_persica_NCBIv2:G1:41864967:41869253:-1 gene:PRUPE_1G507300 transcript:ONI34941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILRTSLLPGNPVLIESSLSQKPNNSHKPKFIFQASIDTTNGSTGTTLSNQANPQTHVSFASKKTTKLSNNPRAGTNSEIGHCISLLKSNPVCDCRQIHAQALKLNAFEENGWIGNKLAMLYSKNKEFLDYARKLFNDIPKRKIPVYASLISAYCRSEQWDDLFLVFRLMVDEGMLPDKYVVPTVLKACALVRMLRTGKMIHGFVIRMGMNSDVFVGNALIDFYANCGDFGFALSVFDAMGERDVVSWTALVSAFMNEGLFEEAIEVFKSMQLNGVKPDLISWNALVSGFAHNGEIDLALQYLEAMQEEGLRPRANTWNGIISGCIQNEYFEGALDAFYNMLCFPEDPNFVTIASILPACAGLKDLNLGRAVHGFALKRQLCGNMHVEGSLIDVYSKCGMKDYAENIFSKAENKSIAMWNEMIAVYVNAGDAKKGLELLRVMHHGGLKPDVVSYNTILAGHARNGQINEAYELFYEMVRMELKPNIISFNVLISGFQQFGLSFEALKLFQTMQSPLNGCMGNDVLHESTQPNSITIAGALAACADLNLLCQGKQIHGYALKNDFEPNIYISSALVDMYSKCLDIVSATKVFRRTEDRNTICWNTLIAGHVHNMQLDRALELFCEMLEEGLGPSSITLMILLLTCGDMEALRFGRELHGHIIKSKLDQSNYALTSALIGMYAKCGRIKDAKSLFDFEVKKDASVWNSMLSANSTNGMAKRAIALFGEMELAAPVPEI >ONI34942 pep chromosome:Prunus_persica_NCBIv2:G1:41864952:41869253:-1 gene:PRUPE_1G507300 transcript:ONI34942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILRTSLLPGNPVLIESSLSQKPNNSHKPKFIFQASIDTTNGSTGTTLSNQANPQTHVSFASKKTTKLSNNPRAGTNSEIGHCISLLKSNPVCDCRQIHAQALKLNAFEENGWIGNKLAMLYSKNKEFLDYARKLFNDIPKRKIPVYASLISAYCRSEQWDDLFLVFRLMVDEGMLPDKYVVPTVLKACALVRMLRTGKMIHGFVIRMGMNSDVFVGNALIDFYANCGDFGFALSVFDAMGERDVVSWTALVSAFMNEGLFEEAIEVFKSMQLNGVKPDLISWNALVSGFAHNGEIDLALQYLEAMQEEGLRPRANTWNGIISGCIQNEYFEGALDAFYNMLCFPEDPNFVTIASILPACAGLKDLNLGRAVHGFALKRQLCGNMHVEGSLIDVYSKCGMKDYAENIFSKAENKSIAMWNEMIAVYVNAGDAKKGLELLRVMHHGGLKPDVVSYNTILAGHARNGQINEAYELFYEMVRMELKPNIISFNVLISGFQQFGLSFEALKLFQTMQSPLNGCMGNDVLHESTQPNSITIAGALAACADLNLLCQGKQIHGYALKNDFEPNIYISSALVDMYSKCLDIVSATKVFRRTEDRNTICWNTLIAGHVHNMQLDRALELFCEMLEEGLGPSSITLMILLLTCGDMEALRFGRELHGHIIKSKLDQSNYALTSALIGMYAKCGRIKDAKSLFDFEVKKDASVWNSMLSANSTNGMAKRAIALFGEMELAAPVPEI >ONI29053 pep chromosome:Prunus_persica_NCBIv2:G1:15205630:15220565:-1 gene:PRUPE_1G177800 transcript:ONI29053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPQKHQNDDASIDIHTSTPLRYLSLDHVYSATSPCVSASGSSNVMSKKVKARKLNHFDDGDQNHQKPSPKPSIVNVYSRRAKRPRHYERSSSFFDALVARNESPAAAVKVEEADGDDEFERGLEKKKRKLGINELLKLGVDSSILCNLDGPRLRDSRSNHKLDRSKNGEKLRLKKRNSSVSCEKILSDPSSVKKWVGLSFSDVDPKTFIGLQCKVYWPLDANSYSGRIVGYNSDTNRHQVEYEDGDEEDLILSNERIKFYISREEMESLNLSYSLKSMDNDVYDYNEMVVLAASLDDCQELEPGDIIWAKLTGYAMWPAIVVDESLIGDRKGLTKSLGGRSVPVQFFGTHDFARIKVKQAISFLKGLLSSFHLKCKKPGFIKSLEEAKMYLNEQKLPRRMLRLQNGINIDECESVSGEDEVSADSGEGCLDDVRILRTLDRLGTSPYVIGDLQITNLGKFVRDSEYFQDEKDIWPEGYTALRKFTSISDPTVRTLYKMEVLRDTESKIRPLFKVTLDTGEQFKGSTPSACWNKIYKRIRKTQNTSLVGSNANANSGLEGTYQSGSHMFGFSIPEVAKLIQGLIKSKLSSKLPKCKLASRRYRDVPVGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVGGVLWLCNLCRPGAPEPAPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLSRINKDRWKLLCIICGVSYGACIQCSNNTCCAAYHPLCARAAGLCVELEDEDRLHLLSVEDDEEDQCIRLLSFCKKHRQPTNDRSAADDRIGRTVRRCSDYTPPSNPSGCARTEPYNYFCRRGRKEPEAIAAASLKRLFVENQPYLVGGYSQHQLSSNSRPPNGVVGSKFCSNLQRLKASQLDAPNDILSMAEKYKYMRDTFRKRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPPVADRREHFIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVNNDEHIIIFAKRDIKRWEELTYDYRFFSIDEQLACYCGFPRCRGVVNDVEAEERATKHYAPRSELINWSGE >ONI29052 pep chromosome:Prunus_persica_NCBIv2:G1:15205630:15220531:-1 gene:PRUPE_1G177800 transcript:ONI29052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPQKHQNDDASIDIHTSTPLRYLSLDHVYSATSPCVSASGSSNVMSKKVKARKLNHFDDGDQNHQKPSPKPSIVNVYSRRAKRPRHYERSSSFFDALVARNESPAAAVKVEEADGDDEFERGLEKKKRKLGINELLKLGVDSSILCNLDGPRLRDSRSNHKLDRSKNGEKLRLKKRNSSVSCEKILSDPSSVKKWVGLSFSDVDPKTFIGLQCKVYWPLDANSYSGRIVGYNSDTNRHQVEYEDGDEEDLILSNERIKFYISREEMESLNLSYSLKSMDNDVYDYNEMVVLAASLDDCQELEPGDIIWAKLTGYAMWPAIVVDESLIGDRKGLTKSLGGRSVPVQFFGTHDFARIKVKQAISFLKGLLSSFHLKCKKPGFIKSLEEAKMYLNEQKLPRRMLRLQNGINIDECESVSGEDEVSADSGEGCLDDVRILRTLDRLGTSPYVIGDLQITNLGKFVRDSEYFQDEKDIWPEGYTALRKFTSISDPTVRTLYKMEVLRDTESKIRPLFKVTLDTGEQFKGSTPSACWNKIYKRIRKTQNTSLVGSNANANSGLEGTYQSGSHMFGFSIPEVAKLIQGLIKSKLSSKLPKCKLASRRYRDVPVGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVGGVLWLCNLCRPGAPEPAPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLSRINKDRWKLLCIICGVSYGACIQCSNNTCCAAYHPLCARAAGLCVELEDEDRLHLLSVEDDEEDQCIRLLSFCKKHRQPTNDRSAADDRIGRTVRRCSDYTPPSNPSGCARTEPYNYFCRRGRKEPEAIAAASLKRLFVENQPYLVGGYSQHQLSSNSRPPNGVVGSKFCSNLQRLKASQLDAPNDILSMAEKYKYMRDTFRKRLAFGKSGIHGFGIFAKHPHRAGDMGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVNNDEHIIIFAKRDIKRWEELTYDYRFFSIDEQLACYCGFPRCRGVVNDVEAEERATKHYAPRSELINWSGE >ONI29054 pep chromosome:Prunus_persica_NCBIv2:G1:15207051:15219534:-1 gene:PRUPE_1G177800 transcript:ONI29054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKHSVYWPLDANSYSGRIVGYNSDTNRHQVEYEDGDEEDLILSNERIKFYISREEMESLNLSYSLKSMDNDVYDYNEMVVLAASLDDCQELEPGDIIWAKLTGYAMWPAIVVDESLIGDRKGLTKSLGGRSVPVQFFGTHDFARIKVKQAISFLKGLLSSFHLKCKKPGFIKSLEEAKMYLNEQKLPRRMLRLQNGINIDECESVSGEDEVSADSGEGCLDDVRILRTLDRLGTSPYVIGDLQITNLGKFVRDSEYFQDEKDIWPEGYTALRKFTSISDPTVRTLYKMEVLRDTESKIRPLFKVTLDTGEQFKGSTPSACWNKIYKRIRKTQNTSLVGSNANANSGLEGTYQSGSHMFGFSIPEVAKLIQGLIKSKLSSKLPKCKLASRRYRDVPVGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVGGVLWLCNLCRPGAPEPAPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLSRINKDRWKLLCIICGVSYGACIQCSNNTCCAAYHPLCARAAGLCVELEDEDRLHLLSVEDDEEDQCIRLLSFCKKHRQPTNDRSAADDRIGRTVRRCSDYTPPSNPSGCARTEPYNYFCRRGRKEPEAIAAASLKRLFVENQPYLVGGYSQHQLSSNSRPPNGVVGSKFCSNLQRLKASQLDAPNDILSMAEKYKYMRDTFRKRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPPVADRREHFIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVNNDEHIIIFAKRDIKRWEELTYDYRFFSIDEQLACYCGFPRCRGVVNDVEAEERATKHYAPRSELINWSGE >ONI29051 pep chromosome:Prunus_persica_NCBIv2:G1:15205842:15220283:-1 gene:PRUPE_1G177800 transcript:ONI29051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPQKHQNDDASIDIHTSTPLRYLSLDHVYSATSPCVSASGSSNVMSKKVKARKLNHFDDGDQNHQKPSPKPSIVNVYSRRAKRPRHYERSSSFFDALVARNESPAAAVKVEEADGDDEFERGLEKKKRKLGINELLKLGVDSSILCNLDGPRLRDSRSNHKLDRSKNGEKLRLKKRNSSVSCEKILSDPSSVKKWVGLSFSDVDPKTFIGLQCKVYWPLDANSYSGRIVGYNSDTNRHQVEYEDGDEEDLILSNERIKFYISREEMESLNLSYSLKSMDNDVYDYNEMVVLAASLDDCQELEPGDIIWAKLTGYAMWPAIVVDESLIGDRKGLTKSLGGRSVPVQFFGTHDFARIKVKQAISFLKGLLSSFHLKCKKPGFIKSLEEAKMYLNEQKLPRRMLRLQNGINIDECESVSGEDEVSADSGEGCLDDVRILRTLDRLGTSPYVIGDLQITNLGKFVRDSEYFQDEKDIWPEGYTALRKFTSISDPTVRTLYKMEVLRDTESKIRPLFKVTLDTGEQFKGSTPSACWNKIYKRIRKTQNTSLVGSNANANSGLEGTYQSGSHMFGFSIPEVAKLIQGLIKSKLSSKLPKCKLASRRYRDVPVGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVGGVLWLCNLCRPGAPEPAPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLSRINKDRWKLLCIICGVSYGACIQCSNNTCCAAYHPLCARAAGLCVELEDEDRLHLLSVEDDEEDQCIRLLSFCKKHRQPTNDRSAADDRIGRTVRRCSDYTPPSNPSGCARTEPYNYFCRRGRKEPEAIAAASLKRLFVENQPYLVGGYSQHQLSSNSRPPNGVVGSKFCSNLQRLKASQLDAPNDILSMAEKYKYMRDTFRKRLAFGKSGIHGFGIFAKHPHRAGDMGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVNNDEHIIIFAKRDIKRWEELTYDYRFFSIDEQLACYCGFPRCRGVVNDVEAEERATKHYAPRSELINWSGE >ONI35610 pep chromosome:Prunus_persica_NCBIv2:G1:44562020:44564637:-1 gene:PRUPE_1G545600 transcript:ONI35610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >ONI35609 pep chromosome:Prunus_persica_NCBIv2:G1:44562282:44564690:-1 gene:PRUPE_1G545600 transcript:ONI35609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >ONI33163 pep chromosome:Prunus_persica_NCBIv2:G1:35805037:35805479:1 gene:PRUPE_1G409100 transcript:ONI33163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYSRSKSYGTGSGTMQMENYYGPPRPPTSYELRSYSVSYAQTQMANNNNRDFKLKKGKSTSGSSSKSNWGLMDPELQRKKRVASYKMYSVEGKMKGSFRKSFRWLKDKCTQVVYGWW >ONI27233 pep chromosome:Prunus_persica_NCBIv2:G1:5390464:5394776:1 gene:PRUPE_1G075200 transcript:ONI27233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLPLGAEWGFGIWNLCFYAVDLQSLWRSFLEKNSEEEFGGCSTKTVISHEVGATFGFATSVIYETPISNI >ONI30117 pep chromosome:Prunus_persica_NCBIv2:G1:24691694:24695147:1 gene:PRUPE_1G232000 transcript:ONI30117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFRNSSAVPLSGKAHVFPVDYEAEVSQRLVDASHDSDLKSACECLGDPFVDVNFVGTVCLKSKKTEIVVQGESAHEVRVEYEEFKTQVTALFLAAHSGNLTLVRKLLGYGANVNQKLFRGYATTAAVREDHLEILEVLVNGGASQQACEEALLEASYLGRARPAEMLMGSDLIRPQAAIHALVSACCRGFVHVVDTLIKCGVDVDATDRALLQSCRPSLYTNVHCNALVAAIVSRQISVVRLLLQAGVRTDIKVSLGGWSWDVSTGEEFRVGAGLAEPYSVTWCAVEYFEASGAILRLLLQHLSPNIPHFGRTLIHHAILCNNERAVDVLLNSGADVEVPIKTTTSKTDCPIHLASRLGLPAVLQRLINDGCDVNSQTGSGETALMICARYKHQECLKILAADGADFGLVNSSGHSASSIAESARWALGFRQAVLDMIRSGKDVQSSNRSIFSPLMFVTRANDVEALKKLIEGADIDLDEQDENGNSAVMIAAAGGYLEAFKLLIHAGADMNLENKHGQNIKELLEINQNGAEFEKLMVKHAPRKKFDSAVAFYTLHQAAQHGDFDFVHTLIIRGQDINAPDADGYTPLMLAARGGHAMVCGLLISFEARCDIVNARHETALLLARKSGTGKDAENVILDELARKLVLGGTHVKKHTKCGKGAPHRKVLKMVGSVGILQWGKSSKRKVICKKAEVGASDSFRWNRRRKFDTDEPGLFHVVTTKNKELHFVCESGIEMAQLWVRGIKLVTMKAVFGNWQE >ONI30116 pep chromosome:Prunus_persica_NCBIv2:G1:24691694:24695147:1 gene:PRUPE_1G232000 transcript:ONI30116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFRNSSAVPLSGKAHVFPVDYEAEVSQRLVDASHDSDLKSACECLGDPFVDVNFVGTVCLKSKKTEIVVQGESAHEVRVEYEEFKTQVTALFLAAHSGNLTLVRKLLGYGANVNQKLFRGYATTAAVREDHLEILEVLVNGGASQQACEEALLEASYLGRARPAEMLMGSDLIRPQAAIHALVSACCRGFVHVVDTLIKCGVDVDATDRALLQSCRPSLYTNVHCNALVAAIVSRQISVVRLLLQQAGVRTDIKVSLGGWSWDVSTGEEFRVGAGLAEPYSVTWCAVEYFEASGAILRLLLQHLSPNIPHFGRTLIHHAILCNNERAVDVLLNSGADVEVPIKTTTSKTDCPIHLASRLGLPAVLQRLINDGCDVNSQTGSGETALMICARYKHQECLKILAADGADFGLVNSSGHSASSIAESARWALGFRQAVLDMIRSGKDVQSSNRSIFSPLMFVTRANDVEALKKLIEGADIDLDEQDENGNSAVMIAAAGGYLEAFKLLIHAGADMNLENKHGQNIKELLEINQNGAEFEKLMVKHAPRKKFDSAVAFYTLHQAAQHGDFDFVHTLIIRGQDINAPDADGYTPLMLAARGGHAMVCGLLISFEARCDIVNARHETALLLARKSGTGKDAENVILDELARKLVLGGTHVKKHTKCGKGAPHRKVLKMVGSVGILQWGKSSKRKVICKKAEVGASDSFRWNRRRKFDTDEPGLFHVVTTKNKELHFVCESGIEMAQLWVRGIKLVTMKAVFGNWQE >ONI26236 pep chromosome:Prunus_persica_NCBIv2:G1:897629:901003:-1 gene:PRUPE_1G011700 transcript:ONI26236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDISYSNGTNNGNGNGNGNGNGNGNGVNGKAHSVLNGYRKSCWYEEEIEENLRWSFALNSILHTGATPYQDIALLDTKPFGKALVIDGKLQSAETDEFIYHECLVHPPLLHHPNPKSIFIMGGGEGSTARELLRHRTVEKVVMCDIDEEVVEFCKSYLIVNSEAFCDPRLELIINDARAELEHREEQYDVIIGDLADPIEGGPCYKLYTKSFYELIVKPRLSKEGIFVTQAGPAGIFSHTEVFSCIYNTLRQVFKYVVPYSAHVPSYADIWGWIMASDTPFELSADELDLRIKQRIIGENRYLDGKTLSSASTLSKAVRQSMDNETHVYTEGTARFIYGHGHGSAYKQN >ONI34489 pep chromosome:Prunus_persica_NCBIv2:G1:40347901:40354141:-1 gene:PRUPE_1G484400 transcript:ONI34489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLSRDLIFLILQLLEEEKFKDTLHKLEQESGLFFNLKYFEELVLGGNWDEVERYLLGFTKVDDNRYSMKVFFEIRKQKYLEALDKQDRAKAVDILVQDLKVFAGFNEDLFKEITQLLTLDNFRENAQLASYRDTKTARAIMVIELKKLIEANPLFREKTQFPNLRTSRLRMLINQSLNWQHSLCSNPRQNPDIRTLFVDHSCKNSNDSFAQLTANNQLMGSVQRVDGFLPMGSVQTANSQLMGSVNGSFQPTPAPVHPPFSAWMSIPSTVNHPAASGGGIGFGSLTNPASSLRGPGDSDDFFRTRFSGVSDRVMLPGINPGQSSTTFNATEFPKTVARTLNQGSAPTSMDFHPVQHTLLLVGTNVGDISLWEVSSREKLVSRNFQVWDIGASSMMLKASLIKDPCVSVNRILWSPDGSLFGVAYSKHIMQLYTYLGGNEIRQHLEIDAHVGSINDLAFCNPTKQLSVITCGDDKAIKVWDASNGSKLYSFEGHDAPVHSVCPHNKENVHFIFSTSVDGKIRAWLYDNLGSRVDYDAPGRSCTTMVYSADGKRLFSCGTSKDGESHVVEWNENEGVIKRNYVGFQKHSLGVVQFDTTKNKFLAVGDDYAIKVWDMDNTNLLTNIDAEGGLPASPCIRFNKEGSLLAVSANENRVKILATMDGLRLMRTYESHSLISLRNASETVTKNGSTINLDDVKPRLTEEVNTRIWKLTEISETAQLRSLRLSAMMKSDKISRLTYSNSGSAILALGSNAIHLLWRWPRGDHNSSIKATTKVTPQLVQPTSGILMTNDLTGAKPEYALPSFALSKNDSYVMSTSGGKLSLFNMMTFKTMTTFMCPPPVATSLAFHPDDNNIIAVGMDDSTVHIYNARVDEVKNKLKGHSKRVTGLAFSHVLHTLVSLGADAQLIVWNSDKWERQKNCFLQIPAGRTPATMFETQLQYHKDQIHFLVIHETQLVVYETSKLECEKQWVVGESAAPISHAAFSCDSQLVYASFQDATVRVFNASNLQVQCQINPNAYLPPDFSSASYPLVVAAHPQEPNQFAVGLTDGAVVIVEPLESEDKWGVPPPVDNGLQSVFHTTSPVNASSLDQP >ONI34488 pep chromosome:Prunus_persica_NCBIv2:G1:40347901:40354141:-1 gene:PRUPE_1G484400 transcript:ONI34488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLSRDLIFLILQLLEEEKFKDTLHKLEQESGLFFNLKYFEELVLGGNWDEVERYLLGFTKVDDNRYSMKVFFEIRKQKYLEALDKQDRAKAVDILVQDLKVFAGFNEDLFKEITQLLTLDNFRENAQLASYRDTKTARAIMVIELKKLIEANPLFREKTQFPNLRTSRLRMLINQSLNWQHSLCSNPRQNPDIRTLFVDHSCKNSNDSFAQLTANNQLMGSVQRVDGFLPMGSVQTANSQLMGSVNGSFQPTPAPVHPPFSAWMSIPSTVNHPAASGGGIGFGSLTNPASSLRGPGDSDDFFRTRFSGVSDRVMLPGINPGQSSTTFNATEFPKTVARTLNQGSAPTSMDFHPVQHTLLLVGTNVGDISLWEVSSREKLVSRNFQVWDIGASSMMLKASLIKDPCVSVNRILWSPDGSLFGVAYSKHIMQLYTYLGGNEIRQHLEIDAHVGSINDLAFCNPTKQLSVITCGDDKAIKVWDASNGSKLYSFEGHDAPVHSVCPHNKENVHFIFSTSVDGKIRAWLYDNLGSRVDYDAPGRSCTTMVYSADGKRLFSCGTSKDGESHVVEWNENEGVIKRNYVGFQKHSLGVVQFDTTKNKFLAVGDDYAIKVWDMDNTNLLTNIDAEGGLPASPCIRFNKEGSLLAVSANENRVKILATMDGLRLMRTYESHSLISLRNASETVTKNGSTINLDDVKPRLTEEVNTRIWKLTEISETAQLRSLRLSAMMKSDKISRLTYSNSGSAILALGSNAIHLLWRWPRGDHNSSIKATTKVTPQLVQPTSGILMTNDLTGAKPEYALPSFALSKNDSYVMSTSGGKLSLFNMMTFKTMTTFMCPPPVATSLAFHPDDNNIIAVGMDDSTVHIYNARVDEVKNKLKGHSKRVTGLAFSHVLHTLVSLGADAQLIVWNSDKWERQKNCFLQIPAGRTPATMFETQLQYHKDQIHFLVIHETQLVVYETSKLECEKQWVVGESAAPISHAAFSCDSQLVYASFQDATVRVFNASNLQVQCQINPNAYLPPDFSSASYPLVVAAHPQEPNQFAVGLTDGAVVIVEPLESEDKWGVPPPVDNGLQSVFHTTSPVNASSLDQP >ONI34492 pep chromosome:Prunus_persica_NCBIv2:G1:40347901:40354141:-1 gene:PRUPE_1G484400 transcript:ONI34492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLSRDLIFLILQLLEEEKFKDTLHKLEQESGLFFNLKYFEELVLGGNWDEVERYLLGFTKVDDNRYSMKVFFEIRKQKYLEALDKQDRAKAVDILVQDLKVFAGFNEDLFKEITQLLTLDNFRENAQLASYRDTKTARAIMVIELKKLIEANPLFREKTQFPNLRTSRLRMLINQSLNWQHSLCSNPRQNPDIRTLFVDHSCKNSNDSFAQLTANNQLMGSVQRVDGFLPMGSVQTANSQLMGSVNGSFQPTPAPVHPPFSAWMSIPSTVNHPAASGGGIGFGSLTNPASSLRGPGDSDDFFRTRFSGVSDRVMLPGINPGQSSTTFNATEFPKTVARTLNQGSAPTSMDFHPVQHTLLLVGTNVGDISLWEVSSREKLVSRNFQVWDIGASSMMLKASLIKDPCVSVNRILWSPDGSLFGVAYSKHIMQLYTYLGGNEIRQHLEIDAHVGSINDLAFCNPTKQLSVITCGDDKAIKVWDASNGSKLYSFEGHDAPVHSVCPHNKENVHFIFSTSVDGKIRAWLYDNLGSRVDYDAPGRSCTTMVYSADGKRLFSCGTSKDGESHVVEWNENEGVIKRNYVGFQKHSLGVVQFDTTKNKFLAVGDDYAIKVWDMDNTNLLTNIDAEGGLPASPCIRFNKEGSLLAVSANENRVKILATMDGLRLMRTYESHSLISLRNASETVTKNGSTINLDDVKPRLTEEVNTRIWKLTEISETAQLRSLRLSAMMKSDKISRLTYSNSGSAILALGSNAIHLLWRWPRGDHNSSIKATTKVTPQLVQPTSGILMTNDLTGAKPEYALPSFALSKNDSYVMSTSGGKLSLFNMMTFKTMTTFMCPPPVATSLAFHPDDNNIIAVGMDDSTVHIYNARVDEVKNKLKGHSKRVTGLAFSHVLHTLVSLGADAQLIVWNSDKWERQKNCFLQIPAGRTPATMFETQLQYHKDQIHFLVIHETQLVVYETSKLECEKQWVVGESAAPISHAAFSCDSQLVYASFQDATVRVFNASNLQVQCQINPNAYLPPDFSSASYPLVVAAHPQEPNQFAVGLTDGAVVIVEPLESEDKWGVPPPVDNGLQSVFHTTSPVNASSLDQP >ONI34490 pep chromosome:Prunus_persica_NCBIv2:G1:40347676:40354933:-1 gene:PRUPE_1G484400 transcript:ONI34490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLSRDLIFLILQLLEEEKFKDTLHKLEQESGLFFNLKYFEELVLGGNWDEVERYLLGFTKVDDNRYSMKVFFEIRKQKYLEALDKQDRAKAVDILVQDLKVFAGFNEDLFKEITQLLTLDNFRENAQLASYRDTKTARAIMVIELKKLIEANPLFREKTQFPNLRTSRLRMLINQSLNWQHSLCSNPRQNPDIRTLFVDHSCKNSNDSFAQLTANNQLMGSVQRVDGFLPMGSVQTANSQLMGSVNGSFQPTPAPVHPPFSAWMSIPSTVNHPAASGGGIGFGSLTNPASSLRGPGDSDDFFRTRFSGVSDRVMLPGINPGQSSTTFNATEFPKTVARTLNQGSAPTSMDFHPVQHTLLLVGTNVGDISLWEVSSREKLVSRNFQVWDIGASSMMLKASLIKDPCVSVNRILWSPDGSLFGVAYSKHIMQLYTYLGGNEIRQHLEIDAHVGSINDLAFCNPTKQLSVITCGDDKAIKVWDASNGSKLYSFEGHDAPVHSVCPHNKENVHFIFSTSVDGKIRAWLYDNLGSRVDYDAPGRSCTTMVYSADGKRLFSCGTSKDGESHVVEWNENEGVIKRNYVGFQKHSLGVVQFDTTKNKFLAVGDDYAIKVWDMDNTNLLTNIDAEGGLPASPCIRFNKEGSLLAVSANENRVKILATMDGLRLMRTYESHSLISLRNASETVTKNGSTINLDDVKPRLTEEVNTRIWKLTEISETAQLRSLRLSAMMKSDKISRLTYSNSGSAILALGSNAIHLLWRWPRGDHNSSIKATTKVTPQLVQPTSGILMTNDLTGAKPEYALPSFALSKNDSYVMSTSGGKLSLFNMMTFKTMTTFMCPPPVATSLAFHPDDNNIIAVGMDDSTVHIYNARVDEVKNKLKGHSKRVTGLAFSHVLHTLVSLGADAQLIVWNSDKWERQKNCFLQIPAGRTPATMFETQLQYHKDQIHFLVIHETQLVVYETSKLECEKQWVVGESAAPISHAAFSCDSQLVYASFQDATVRVFNASNLQVQCQINPNAYLPPDFSSASYPLVVAAHPQEPNQFAVGLTDGAVVIVEPLESEDKWGVPPPVDNGLQSVFHTTSPVNASSLDQP >ONI34491 pep chromosome:Prunus_persica_NCBIv2:G1:40347676:40354933:-1 gene:PRUPE_1G484400 transcript:ONI34491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLSRDLIFLILQLLEEEKFKDTLHKLEQESGLFFNLKYFEELVLGGNWDEVERYLLGFTKVDDNRYSMKVFFEIRKQKYLEALDKQDRAKAVDILVQDLKVFAGFNEDLFKEITQLLTLDNFRENAQLASYRDTKTARAIMVIELKKLIEANPLFREKTQFPNLRTSRLRMLINQSLNWQHSLCSNPRQNPDIRTLFVDHSCKNSNDSFAQLTANNQLMGSVQRVDGFLPMGSVQTANSQLMGSVNGSFQPTPAPVHPPFSAWMSIPSTVNHPAASGGGIGFGSLTNPASSLRGPGDSDDFFRTRFSGVSDRVMLPGINPGQSSTTFNATEFPKTVARTLNQGSAPTSMDFHPVQHTLLLVGTNVGDISLWEVSSREKLVSRNFQVWDIGASSMMLKASLIKDPCVSVNRILWSPDGSLFGVAYSKHIMQLYTYLGGNEIRQHLEIDAHVGSINDLAFCNPTKQLSVITCGDDKAIKVWDASNGSKLYSFEGHDAPVHSVCPHNKENVHFIFSTSVDGKIRAWLYDNLGSRVDYDAPGRSCTTMVYSADGKRLFSCGTSKDGESHVVEWNENEGVIKRNYVGFQKHSLGVVQFDTTKNKFLAVGDDYAIKVWDMDNTNLLTNIDAEGGLPASPCIRFNKEGSLLAVSANENRVKILATMDGLRLMRTYESHSLISLRNASETVTKNGSTINLDDVKPRLTEEVNTRIWKLTEISETAQLRSLRLSAMMKSDKISRLTYSNSGSAILALGSNAIHLLWRWPRGDHNSSIKATTKVTPQLVQPTSGILMTNDLTGAKPEYALPSFALSKNDSYVMSTSGGKLSLFNMMTFKTMTTFMCPPPVATSLAFHPDDNNIIAVGMDDSTVHIYNARVDEVKNKLKGHSKRVTGLAFSHVLHTLVSLGADAQLIVWNSDKWERQKNCFLQIPAGRTPATMFETQLQYHKDQIHFLVIHETQLVVYETSKLECEKQWVVGESAAPISHAAFSCDSQLVYASFQDATVRVFNASNLQVQCQINPNAYLPPDFSSASYPLVVAAHPQEPNQFAVGLTDGAVVIVEPLESEDKWGVPPPVDNGLQSVFHTTSPVNASSLDQP >ONI34558 pep chromosome:Prunus_persica_NCBIv2:G1:40615994:40621360:-1 gene:PRUPE_1G487600 transcript:ONI34558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEEGKSNGGITVCTEKVQSLTSEAKCGSTECTEKVQSLTSEANGGNTECTEKVQSWKSEANGGSTECTEKVQTWKSEANDGSTECTHKAQCLKSEANGFEFRVCKNFAEGRSDASEVVRTYKRRRRAGSSWDSRSQEYGGADVESSSQLADQRLKEPVDTAIQNNSCEQVHLQTNSSDACSDRHWRNAVLDSMYQSLGDDEGGVQVCIREAIVHFRDIDHTTRESGDNDADRHQCFFPTRSILNGPHSAANGQAGVILNGSSNKTNYPTVTAMCQRAFFNVLVSENFASLCKLLLENFQGIKADSIFDLNLINSRMKKGDYEHSPMLFSHDMQQIWRKLQGIGTNLISLAKSLSDMSRSSYKEQVGGSVRNTFEGGKDEFYAFESDFHTKLEQTEDCAVHSVYTCMHCGGKADGKDCLVCDSCEDMYHISCIQPAVKEIPLKSWYCLSCTASGVRSSHENCVVCEKLNVPKTLVDGVGGESVSTDEETVNEMGENSNFNTDDGIQPSEASKDLNICKTCGMEVEKSDKLKICGHPYCPKKYYHERCLTTKELKSYGPCWYCYSCLCRACLTDRDDDIIVLCDGCDHGYHIYCMDPPRIAIPSGKWFCRKCRAAIQVIRRTRKAHDKNEKKQKKNSEGSRKLNEKRADRESGQGRGGMELLVYAVKTLDHEEDMMSKKE >ONI34559 pep chromosome:Prunus_persica_NCBIv2:G1:40615975:40621417:-1 gene:PRUPE_1G487600 transcript:ONI34559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEEGKSNGGITVCTEKVQSLTSEAKCGSTECTEKVQSLTSEANGGNTECTEKVQSWKSEANGGSTECTEKVQTWKSEANDGSTECTHKAQCLKSEANGFEFRVCKNFAEGRSDASEVVRTYKRRRRAGSSWDSRSQEYGGADVESSSQLADQRLKEPVDTAIQNNSCEQVHLQTNSSDACSDRHWRNAVLDSMYQSLGDDEGGVQVCIREAIVHFRDIDHTTRVKESGDNDADRHQCFFPTRSILNGPHSAANGQAGVILNGSSNKTNYPTVTAMCQRAFFNVLVSENFASLCKLLLENFQGIKADSIFDLNLINSRMKKGDYEHSPMLFSHDMQQIWRKLQGIGTNLISLAKSLSDMSRSSYKEQVGGSVRNTFEGGKDEFYAFESDFHTKLEQTEDCAVHSVYTCMHCGGKADGKDCLVCDSCEDMYHISCIQPAVKEIPLKSWYCLSCTASGVRSSHENCVVCEKLNVPKTLVDGVGGESVSTDEETVNEMGENSNFNTDDGIQPSEASKDLNICKTCGMEVEKSDKLKICGHPYCPKKYYHERCLTTKELKSYGPCWYCYSCLCRACLTDRDDDIIVLCDGCDHGYHIYCMDPPRIAIPSGKWFCRKCRAAIQVIRRTRKAHDKNEKKQKKNSEGSRKLNEKRADRESGQGRGGMELLVYAVKTLDHEEDMMSKKE >ONI34560 pep chromosome:Prunus_persica_NCBIv2:G1:40615983:40621454:-1 gene:PRUPE_1G487600 transcript:ONI34560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEEGKSNGGITVCTEKVQSLTSEAKCGSTECTEKVQSLTSEANGGNTECTEKVQSWKSEANGGSTECTEKVQTWKSEANDGSTECTHKAQCLKSEANGFEFRVCKNFAEGRSDASEVVRTYKRRRRAGSSWDSRSQEYGGADVESSSQLADQRLKEPVDTAIQNNSCEQVHLQTNSSDACSDRHWRNAVLDSMYQSLGDDEGGVQVCIREAIVHFRDIDHTTRVKESGDNDADRHQCFFPTRSILNGPHSAANGQAGVILNGSSNKTNYPTVTAMCQRAFFNVLVSENFASLCKLLLENFQGIKADSIFDLNLINSRMKKGDYEHSPMLFSHDMQQIWRKLQGIGTNLISLAKSLSDMSRSSYKEQVGGSVRNTFEGGKDEFYAFESDFHTKLEQTEDCAVHSVYTCMHCGGKADGKDCLVCDSCEDMYHISCIQPAVKEIPLKSWYCLSCTASGVRSSHENCVVCEKLNVPKTLVDGVGGESVSTDEETVNEMGENSNFNTDDGIQPSEASKDLNICKTCGMEVEKSDKLKICGHPYCPKKYYHERCLTTKELKSYGPCWYCYSCLCRACLTDRDDDIIVLCDGCDHGYHIYCMDPPRIAIPSGKWFCRKCRAAIQVIRRTRKAHDKNEKKQKKNSEGSRKLNEKRADRESGQGRGGMELLVYAVKTLDHEEDMMSKKE >ONI34561 pep chromosome:Prunus_persica_NCBIv2:G1:40615991:40621168:-1 gene:PRUPE_1G487600 transcript:ONI34561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEEGKSNGGITVCTEKVQSLTSEAKCGSTECTEKVQSLTSEANGGNTECTEKVQSWKSEANGGSTECTEKVQTWKSEANDGSTECTHKAQCLKSEANGFEFRVCKNFAEGRSDASEVVRTYKRRRRAGSSWDSRSQEYGGADVESSSQLADQRLKEPVDTAIQNNSCEQVHLQTNSSDACSDRHWRNAVLDSMYQSLGDDEGGVQVCIREAIVHFRDIDHTTRVKESGDNDADRHQCFFPTRSILNGPHSAANGQAGVILNGSSNKTNYPTVTAMCQRAFFNVLVSENFASLCKLLLENFQGIKADSIFDLNLINSRMKKGDYEHSPMLFSHDMQQIWRKLQGIGTNLISLAKSLSDMSRSSYKEQVGGSVRNTFEGGKDEFYAFESDFHTKLEQTEDCAVHSVYTCMHCGGKADGKDCLVCDSCEDMYHISCIQPAVKEIPLKSWYCLSCTASGVRSSHENCVVCEKLNVPKTLVDGVGGESVSTDEETVNEMGENSNFNTDDGIQPSEASKDLNICKTCGMEVEKSDKLKICGHPYCPKKYYHERCLTTKELKSYGPCWYCYSCLCRACLTDRDDDIIVLCDGCDHGYHIYCMDPPRIAIPSGKWFCRKCRAAIQVIRRTRKAHDKNEKKQKKNSEGSRKLNEKRADRESGQGRGGMELLVYAVKTLDHEEDMMSKKE >ONI34562 pep chromosome:Prunus_persica_NCBIv2:G1:40615978:40621368:-1 gene:PRUPE_1G487600 transcript:ONI34562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEEGKSNGGITVCTEKVQSLTSEAKCGSTECTEKVQSLTSEANGGNTECTEKVQSWKSEANGGSTECTEKVQTWKSEANDGSTECTHKAQCLKSEANGFEFRVCKNFAEGRSDASEVVRTYKRRRRAGSSWDSRSQEYGGADVESSSQLADQRLKEPVDTAIQNNSCEQVHLQTNSSDACSDRHWRNAVLDSMYQSLGDDEGGVQVCIREAIVHFRDIDHTTRVKESGDNDADRHQCFFPTRSILNGPHSAANGQAGVILNGSSNKTNYPTVTAMCQRAFFNVLVSENFASLCKLLLENFQGIKADSIFDLNLINSRMKKGDYEHSPMLFSHDMQQIWRKLQGIGTNLISLAKSLSDMSRSSYKEQVGGSVRNTFEGGKDEFYAFESDFHTKLEQTEDCAVHSVYTCMHCGGKADGKDCLVCDSCEDMYHISCIQPAVKEIPLKSWYCLSCTASGVRSSHENCVVCEKLNVPKTLVDGVGGESVSTDEETVNEMGENSNFNTDDGIQPSEASKDLNICKTCGMEVEKSDKLKICGHPYCPKKYYHERCLTTKELKSYGPCWYCYSCLCRACLTDRDDDIIVLCDGCDHGYHIYCMDPPRIAIPSGKWFCRKCRAAIQVIRRTRKAHDKNEKKQKKNSEGSRKLNEKRADRESGQGRGGMELLVYAVKTLDHEEDMMSKKE >ONI34563 pep chromosome:Prunus_persica_NCBIv2:G1:40616366:40620105:-1 gene:PRUPE_1G487600 transcript:ONI34563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKEPVDTAIQNNSCEQVHLQTNSSDACSDRHWRNAVLDSMYQSLGDDEGGVQVCIREAIVHFRDIDHTTRVKESGDNDADRHQCFFPTRSILNGPHSAANGQAGVILNGSSNKTNYPTVTAMCQRAFFNVLVSENFASLCKLLLENFQGIKADSIFDLNLINSRMKKGDYEHSPMLFSHDMQQIWRKLQGIGTNLISLAKSLSDMSRSSYKEQVGGSVRNTFEGGKDEFYAFESDFHTKLEQTEDCAVHSVYTCMHCGGKADGKDCLVCDSCEDMYHISCIQPAVKEIPLKSWYCLSCTASGVRSSHENCVVCEKLNVPKTLVDGVGGESVSTDEETVNEMGENSNFNTDDGIQPSEASKDLNICKTCGMEVEKSDKLKICGHPYCPKKYYHERCLTTKELKSYGPCWYCYSCLCRACLTDRDDDIIVLCDGCDHGYHIYCMDPPRIAIPSGKWFCRKCRAAIQVIRRTRKAHDKNEKKQKKNSEGSRKLNEKRADRESGQGRGGMELLVYAVKTLDHEEDMMSKKE >ONI31758 pep chromosome:Prunus_persica_NCBIv2:G1:31268448:31271160:-1 gene:PRUPE_1G329200 transcript:ONI31758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNHIPNPEDKTAMAVTRAAVLENSADLGIVFDTDVDRSGVVDNKGNPINGDKLIALISAIVLREHPGTTIVTDARTSMALTRFITNRGGNHCLYRVGYRNVIDKGVQLNKEGIETHLMMETSGHGALKENHFLDDGAYMVVKIIIEMVRMKLAGSDEGVGNIIKDLEEPAESIELRINVVSEPRYAKEKAIEAIETFREYVEEGRLEGWELDSCGDCWVSEGCLVDSNDTSAAAVDAHMYRAKVSDEEHGQHGWVHIRQSIHNPNIAVNMQSSVPGCCQTMTRVLLDKFIIANGMDKSLDISQIEKYAKSGIVG >ONI31759 pep chromosome:Prunus_persica_NCBIv2:G1:31268448:31271160:-1 gene:PRUPE_1G329200 transcript:ONI31759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNHIPNPEDKTAMAVTRAAVLENSADLGIVFDTDVDRSGVVDNKGNPINGDKLIALISAIVLREHPGTTIVTDARTSMALTRFITNRGGNHCLYRVGYRNVIDKGVQLNKEGIETHLMMETSGHGALKENHFLDDGAYMVVKIIIEMVRMKLAGSDEGVGNIIKDLEEPAESIELRINVVSEPRYAKEKAIEAIETFREYVEEGRLEGWELDSCGDCWVSEGCLVDSNDTSAAAVDAHMYRAKVSDEEHGQHGWVHIRQSIHNPNIAVNMQSSVPGCCQTMTRVLLDKFIIANGMDKSLDISQIEKYAKSGIVG >ONI31757 pep chromosome:Prunus_persica_NCBIv2:G1:31268555:31270366:-1 gene:PRUPE_1G329200 transcript:ONI31757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASHLPYTRNGLKFFTKKGGLSSPDVEDICDKAARKYANRLTKVSILLNIPLSRVDFMSTYAKHLRDIIKERVNHPLHYETPLQGFQIIVNAGNGSGGFFTWDVLDKLGADTFGSLHLKPDGMFPNHIPNPEDKTAMAVTRAAVLENSADLGIVFDTDVDRSGVVDNKGNPINGDKLIALISAIVLREHPGTTIVTDARTSMALTRFITNRGGNHCLYRVGYRNVIDKGVQLNKEGIETHLMMETSGHGALKENHFLDDGAYMVVKIIIEMVRMKLAGSDEGVGNIIKDLEEPAESIELRINVVSEPRYAKEKAIEAIETFREYVEEGRLEGWELDSCGDCWVSEGCLVDSNDTSAAAVDAHMYRAKVSDEEHGQHGWVHIRQSIHNPNIAVNMQSSVPGCCQTMTRVLLDKFIIANGMDKSLDISQIEKYAKSGIVG >ONI31756 pep chromosome:Prunus_persica_NCBIv2:G1:31268448:31271163:-1 gene:PRUPE_1G329200 transcript:ONI31756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSSISLQTNAQKPCFPSKSAPRISHTNLSFPCSLPLSTKFVCVKSSSTAKYYNEVVVDEEMDRIRRLQNGSDVRGVALEGEKGRTVDLTPPAVEAIAESFGEWVINGLEKERGHPVENVGVSLGRDPRISGASLSVAVFSGLARAGCLVFDMGLATTPACFMSTIFPSFAYDASIMMTASHLPYTRNGLKFFTKKGGLSSPDVEDICDKAARKYANRLTKVSILLNIPLSRVDFMSTYAKHLRDIIKERVNHPLHYETPLQGFQIIVNAGNGSGGFFTWDVLDKLGADTFGSLHLKPDGMFPNHIPNPEDKTAMAVTRAAVLENSADLGIVFDTDVDRSGVVDNKGNPINGDKLIALISAIVLREHPGTTIVTDARTSMALTRFITNRGGNHCLYRVGYRNVIDKGVQLNKEGIETHLMMETSGHGALKENHFLDDGAYMVVKIIIEMVRMKLAGSDEGVGNIIKDLEEPAESIELRINVVSEPRYAKEKAIEAIETFREYVEEGRLEGWELDSCGDCWVSEGCLVDSNDTSAAAVDAHMYRAKVSDEEHGQHGWVHIRQSIHNPNIAVNMQSSVPGCCQTMTRVLLDKFIIANGMDKSLDISQIEKYAKSGIVG >ONI30670 pep chromosome:Prunus_persica_NCBIv2:G1:27376441:27384140:-1 gene:PRUPE_1G265900 transcript:ONI30670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSSAPSTSSLHLAMAAFVGASLMAVSAFYIHKRSVDQVLQRLIEIRRKPSRISDNRSATEDGREESYIEDGEERGFESDGEVTDVAIDRNMRPRSVDDKALQSYRISSSLPNVASRSTDWMEEEAKFDPPPNFRPPRFSSSLDKLNFIPSGLPLLRTDQRTGEGQSGNHSGSNTRMTPIGRLMTPRSQAGNAFESIADSDEEGTEFANEDDDTFNYGNVDSLDNTVTSVYQNEVLRKSDVKNFIQDRMYQVTSTEAKSGVDLQGDGKVDTASGNSVKNDHNFTSIVLPLSASMHESISKEEEEVHKMIRECLDLRKRYLYREEVAPWTVARTDSIASEKKSDPFHFEPVEASTHCFRMEDGVIHVYASENDTVDIFPVASSTAFFTDMHYLLKVLSIGNVRSACHHRLRFLEEKFRVHLLLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLSFIKSKLKKEPDEVVIFRDGKYLTLKEVFESLDLTGHDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASRYQLPRLYNIYKKMGIVTSFQNILDNVFIPLFEATVNPNSHPQLHLFLMQVVGFDVVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAGFLLCHNISHGINLRKTPVLQYLYYLAQVGLLMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSSDDPLQIHLTKEPLVEEYSVAAQVWKLSACDLCEVARNSVYQSGFSHVAKSHWLGSKYFLRGPEGNDMQKTNVPHLRIAFRHETWKEEIQYIYAGKAKFPVETDP >ONI30674 pep chromosome:Prunus_persica_NCBIv2:G1:27376441:27384140:-1 gene:PRUPE_1G265900 transcript:ONI30674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQVTSTEAKSGVDLQGDGKVDTASGNSVKNDHNFTSIVLPLSASMHESISKEEEEVHKMIRECLDLRKRYLYREEVAPWTVARTDSIASEKKSDPFHFEPVEASTHCFRMEDGVIHVYASENDTVDIFPVASSTAFFTDMHYLLKVLSIGNVRSACHHRLRFLEEKFRVHLLLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLSFIKSKLKKEPDEVVIFRDGKYLTLKEVFESLDLTGHDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASRYQMAEYRISVYGRKQSEWDQLASWFVNNSIYSENAVWLIQLPRLYNIYKKMGIVTSFQNILDNVFIPLFEATVNPNSHPQLHLFLMQVVGFDVVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAGFLLCHNISHGINLRKTPVLQYLYYLAQVGLLMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSSDDPLQIHLTKEPLVEEYSVAAQVWKLSACDLCEVARNSVYQSGFSHVAKSHWLGSKYFLRGPEGNDMQKTNVPHLRIAFRHETWKEEIQYIYAGKAKFPVETDP >ONI30672 pep chromosome:Prunus_persica_NCBIv2:G1:27377034:27382987:-1 gene:PRUPE_1G265900 transcript:ONI30672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVNSNLQNSSAVLRKSDVKNFIQDRMYQVTSTEAKSGVDLQGDGKVDTASGNSVKNDHNFTSIVLPLSASMHESISKEEEEVHKMIRECLDLRKRYLYREEVAPWTVARTDSIASEKKSDPFHFEPVEASTHCFRMEDGVIHVYASENDTVDIFPVASSTAFFTDMHYLLKVLSIGNVRSACHHRLRFLEEKFRVHLLLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLSFIKSKLKKEPDEVVIFRDGKYLTLKEVFESLDLTGHDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASRYQMAEYRISVYGRKQSEWDQLASWFVNNSIYSENAVWLIQLPRLYNIYKKMGIVTSFQNILDNVFIPLFEATVNPNSHPQLHLFLMQVVGFDVVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAGFLLCHNISHGINLRKTPVLQYLYYLAQVGLLMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSSDDPLQIHLTKEPLVEEYSVAAQVWKLSACDLCEVARNSVYQSGFSHVAKSHWLGSKYFLRGPEGNDMQKTNVPHLRIAFRHETWKEEIQYIYAGKAKFPVETDP >ONI30671 pep chromosome:Prunus_persica_NCBIv2:G1:27377034:27383965:-1 gene:PRUPE_1G265900 transcript:ONI30671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSSAPSTSSLHLAMAAFVGASLMAVSAFYIHKRSVDQVLQRLIEIRRKPSRISDNRSATEDGREESYIEDGEERGFESDGEVTDVAIDRNMRPRSVDDKALQSYRISSSLPNVASRSTDWMEEEAKFDPPPNFRPPRFSSSLDKLNFIPSGLPLLRTDQRTGEGQSGNHSGSNTRMTPIGRLMTPRSQAGNAFESIADSDEEGTEFANEDDDTFNYGNVDSLDNTVTSVYQNEVLRKSDVKNFIQDRMYQVTSTEAKSGVDLQGDGKVDTASGNSVKNDHNFTSIVLPLSASMHESISKEEEEVHKMIRECLDLRKRYLYREEVAPWTVARTDSIASEKKSDPFHFEPVEASTHCFRMEDGVIHVYASENDTVDIFPVASSTAFFTDMHYLLKVLSIGNVRSACHHRLRFLEEKFRVHLLLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLSFIKSKLKKEPDEVVIFRDGKYLTLKEVFESLDLTGHDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASRYQMAEYRISVYGRKQSEWDQLASWFVNNSIYSENAVWLIQLPRLYNIYKKMGIVTSFQNILDNVFIPLFEATVNPNSHPQLHLFLMQVVGFDVVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAGFLLCHNISHGINLRKTPVLQYLYYLAQVGLLMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSSDDPLQIHLTKEPLVEEYSVAAQVWKLSACDLCEVARNSVYQSGFSHVAKSHWLGSKYFLRGPEGNDMQKTNVPHLRIAFRHETWKEEIQYIYAGKAKFPVETDP >ONI30669 pep chromosome:Prunus_persica_NCBIv2:G1:27377034:27383965:-1 gene:PRUPE_1G265900 transcript:ONI30669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSSAPSTSSLHLAMAAFVGASLMAVSAFYIHKRSVDQVLQRLIEIRRKPSRISDNRSATEDGREESYIEDGEERGFESDGEVTDVAIDRNMRPRSVDDKALQSYRISSSLPNVASRSTDWMEEEAKFDPPPNFRPPRFSSSLDKLNFIPSGLPLLRTDQRTGEGQSGNHSGSNTRMTPIGRLMTPRSQAGNAFESIADSDEEGTEFANEDDDTFNYGNVDSLDNTVTSVYQNEDRMYQVTSTEAKSGVDLQGDGKVDTASGNSVKNDHNFTSIVLPLSASMHESISKEEEEVHKMIRECLDLRKRYLYREEVAPWTVARTDSIASEKKSDPFHFEPVEASTHCFRMEDGVIHVYASENDTVDIFPVASSTAFFTDMHYLLKVLSIGNVRSACHHRLRFLEEKFRVHLLLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLSFIKSKLKKEPDEVVIFRDGKYLTLKEVFESLDLTGHDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASRYQMAEYRISVYGRKQSEWDQLASWFVNNSIYSENAVWLIQLPRLYNIYKKMGIVTSFQNILDNVFIPLFEATVNPNSHPQLHLFLMQVVGFDVVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAGFLLCHNISHGINLRKTPVLQYLYYLAQVGLLMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSSDDPLQIHLTKEPLVEEYSVAAQVWKLSACDLCEVARNSVYQSGFSHVAKSHWLGSKYFLRGPEGNDMQKTNVPHLRIAFRHETWKEEIQYIYAGKAKFPVETDP >ONI30673 pep chromosome:Prunus_persica_NCBIv2:G1:27377034:27382619:-1 gene:PRUPE_1G265900 transcript:ONI30673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQDVNSNLQNSSAVLRKSDVKNFIQDRMYQVTSTEAKSGVDLQGDGKVDTASGNSVKNDHNFTSIVLPLSASMHESISKEEEEVHKMIRECLDLRKRYLYREEVAPWTVARTDSIASEKKSDPFHFEPVEASTHCFRMEDGVIHVYASENDTVDIFPVASSTAFFTDMHYLLKVLSIGNVRSACHHRLRFLEEKFRVHLLLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLSFIKSKLKKEPDEVVIFRDGKYLTLKEVFESLDLTGHDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASRYQMAEYRISVYGRKQSEWDQLASWFVNNSIYSENAVWLIQLPRLYNIYKKMGIVTSFQNILDNVFIPLFEATVNPNSHPQLHLFLMQVVGFDVVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAGFLLCHNISHGINLRKTPVLQYLYYLAQVGLLMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSSDDPLQIHLTKEPLVEEYSVAAQVWKLSACDLCEVARNSVYQSGFSHVAKSHWLGSKYFLRGPEGNDMQKTNVPHLRIAFRHETWKEEIQYIYAGKAKFPVETDP >ONI30675 pep chromosome:Prunus_persica_NCBIv2:G1:27377034:27382532:-1 gene:PRUPE_1G265900 transcript:ONI30675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQVTSTEAKSGVDLQGDGKVDTASGNSVKNDHNFTSIVLPLSASMHESISKEEEEVHKMIRECLDLRKRYLYREEVAPWTVARTDSIASEKKSDPFHFEPVEASTHCFRMEDGVIHVYASENDTVDIFPVASSTAFFTDMHYLLKVLSIGNVRSACHHRLRFLEEKFRVHLLLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLSFIKSKLKKEPDEVVIFRDGKYLTLKEVFESLDLTGHDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASRYQMAEYRISVYGRKQSEWDQLASWFVNNSIYSENAVWLIQLPRLYNIYKKMGIVTSFQNILDNVFIPLFEATVNPNSHPQLHLFLMQVVGFDVVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAGFLLCHNISHGINLRKTPVLQYLYYLAQVGLLMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSSDDPLQIHLTKEPLVEEYSVAAQVWKLSACDLCEVARNSVYQSGFSHVAKSHWLGSKYFLRGPEGNDMQKTNVPHLRIAFRHETWKEEIQYIYAGKAKFPVETDP >ONI30668 pep chromosome:Prunus_persica_NCBIv2:G1:27376441:27384140:-1 gene:PRUPE_1G265900 transcript:ONI30668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSSAPSTSSLHLAMAAFVGASLMAVSAFYIHKRSVDQVLQRLIEIRRKPSRISDNRSATEDGREESYIEDGEERGFESDGEVTDVAIDRNMRPRSVDDKALQSYRISSSLPNVASRSTDWMEEEAKFDPPPNFRPPRFSSSLDKLNFIPSGLPLLRTDQRTGEGQSGNHSGSNTRMTPIGRLMTPRSQAGNAFESIADSDEEGTEFANEDDDTFNYGNVDSLDNTVTSVYQNEGDGKVDTASGNSVKNDHNFTSIVLPLSASMHESISKEEEEVHKMIRECLDLRKRYLYREEVAPWTVARTDSIASEKKSDPFHFEPVEASTHCFRMEDGVIHVYASENDTVDIFPVASSTAFFTDMHYLLKVLSIGNVRSACHHRLRFLEEKFRVHLLLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLSFIKSKLKKEPDEVVIFRDGKYLTLKEVFESLDLTGHDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASRYQMAEYRISVYGRKQSEWDQLASWFVNNSIYSENAVWLIQLPRLYNIYKKMGIVTSFQNILDNVFIPLFEATVNPNSHPQLHLFLMQVVGFDVVDDESKPERRPTKHMPTPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAGFLLCHNISHGINLRKTPVLQYLYYLAQVGLLMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSSDDPLQIHLTKEPLVEEYSVAAQVWKLSACDLCEVARNSVYQSGFSHVAKSHWLGSKYFLRGPEGNDMQKTNVPHLRIAFRHETWKEEIQYIYAGKAKFPVETDP >ONI32569 pep chromosome:Prunus_persica_NCBIv2:G1:33972035:33976068:1 gene:PRUPE_1G373700 transcript:ONI32569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQDSPPNPASSIFHQFIISDSIAAQNHLESQHLDAYESSFRNRNTFPQSLGVLPSLHSLGERMSRSVALVQAPTGAEDSDMNNQTRHLMDLPRAATENQAQRLSLSLGSHMLVPSVQYRQRSMNSDLMSHNHFIIGEESGEACNPGVEHVSDEYCFIGSALASSSNSLSRSCSTSYGTESLADVIGNSRYLKPAQSLLDEIVNVGGKQVDISNEKHVGKLYGEGRRGAMGFSSELKAELCCNGLMSADKHELQARLANLITLLEQVEDRCEKYYHQMEEVMSSFEMVVGEGAAKSYTALALKAMSRHFCSLRDAIVSHIYAEKRKLLQDVPKISSGLSQLNLFDRECRHKRMSLQQLGIFQSQRQAFRPIRGLPETSVAILRTWLFEHFLHPYPNDSEKLLLASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFGESSEDSNSFAGGSMTGEGNTDHTED >ONI32570 pep chromosome:Prunus_persica_NCBIv2:G1:33972036:33976037:1 gene:PRUPE_1G373700 transcript:ONI32570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQDSPPNPASSIFHQFIISDSIAAQNHLESQHLDAYESSFRNRNTFPQSLGVLPSLHSLGERMSRSVALVQAPTGAEDSDMNNQTRHLMDLPRAATENQAQRLSLSLGSHMLVPSVQYRQRSMNSDLMSHNHFIIGEESGEACNPGVEHVSDEYCFIGSALASSSNSLSRSCSTSYGTESLADVIGNSRYLKPAQSLLDEIVNVGGKQVDISNEKHVGKLYGEGRRGAMGFSSELKAELCCNGLMSADKHELQARLANLITLLEQVEDRCEKYYHQMEEVMSSFEMVVGEGAAKSYTALALKAMSRHFCSLRDAIVSHIYAEKRKLLQDVPKISSGLSQLNLFDRECRHKRMSLQQLGIFQSQRQAFRPIRGLPETSVAILRTWLFEHFLHPYPNDSEKLLLASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFGESSEDSNSFAGGSMTGEGNTDHTED >ONI32572 pep chromosome:Prunus_persica_NCBIv2:G1:33973129:33975945:1 gene:PRUPE_1G373700 transcript:ONI32572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVALVQAPTGAEDSDMNNQTRHLMDLPRAATENQAQRLSLSLGSHMLVPSVQYRQRSMNSDLMSHNHFIIGEESGEACNPGVEHVSDEYCFIGSALASSSNSLSRSCSTSYGTESLADVIGNSRYLKPAQSLLDEIVNVGGKQVDISNEKHVGKLYGEGRRGAMGFSSELKAELCCNGLMSADKHELQARLANLITLLEQVEDRCEKYYHQMEEVMSSFEMVVGEGAAKSYTALALKAMSRHFCSLRDAIVSHIYAEKRKLLQDVPKISSGLSQLNLFDRECRHKRMSLQQLGIFQSQRQAFRPIRGLPETSVAILRTWLFEHFLHPYPNDSEKLLLASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFGESSEDSNSFAGGSMTGEGNTDHTED >ONI32571 pep chromosome:Prunus_persica_NCBIv2:G1:33973188:33975945:1 gene:PRUPE_1G373700 transcript:ONI32571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVALVQAPTGAEDSDMNNQTRHLMDLPRAATENQAQRLSLSLGSHMLVPSVQYRQRSMNSDLMSHNHFIIGEESGEACNPGVEHVSDEYCFIGSALASSSNSLSRSCSTSYGTESLADVIGNSRYLKPAQSLLDEIVNVGGKQVDISNEKHVGKLYGEGRRGAMGFSSELKAELCCNGLMSADKHELQARLANLITLLEQVEDRCEKYYHQMEEVMSSFEMVVGEGAAKSYTALALKAMSRHFCSLRDAIVSHIYAEKRKLLQDVPKISSGLSQLNLFDRECRHKRMSLQQLGIFQSQRQAFRPIRGLPETSVAILRTWLFEHFLHPYPNDSEKLLLASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFGESSEDSNSFAGGSMTGEGNTDHTED >ONI32568 pep chromosome:Prunus_persica_NCBIv2:G1:33973188:33975945:1 gene:PRUPE_1G373700 transcript:ONI32568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQDSPPNPASSIFHQFIISDSIAAQNHLESQHLDAYESSFRNRNTFPQSLGVLPSLHSLGERMSRSVALVQAPTGAEDSDMNNQTRHLMDLPRAATENQAQRLSLSLGSHMLVPSVQYRQRSMNSDLMSHNHFIIGEESGEACNPGVEHVSDEYCFIGSALASSSNSLSRSCSTSYGTESLADVIGNSRYLKPAQSLLDEIVNVGGKQVDISNEKHVGKLYGEGRRGAMGFSSELKAELCCNGLMSADKHELQARLANLITLLEQVEDRCEKYYHQMEEVMSSFEMVVGEGAAKSYTALALKAMSRHFCSLRDAIVSHIYAEKRKLLQDVPKISSGLSQLNLFDRECRHKRMSLQQLGIFQSQRQAFRPIRGLPETSVAILRTWLFEHFLHPYPNDSEKLLLASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFGESSEDSNSFAGGSMTGEGNTDHTED >ONI33328 pep chromosome:Prunus_persica_NCBIv2:G1:36207463:36208976:-1 gene:PRUPE_1G417300 transcript:ONI33328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSSQTVARIPVVDFSKADCLKPGTSSWLSARKDVCRALEELGCFMAILPSKVPPELHKTIFNAFDELFNFPVDTPDNPLRAGYVTSCSGQNTFGIINGTNPQETQEFTHLFWPNGNDQFRETADLYAKVMAEIDEAVTRMVFENYGVEKYHDDHFRSTFYYLRLIKYEVPKKLGVDVGLRSHTDKTFSSILHQNHVTGLEINTKTNEWVVFDPLPSSVIFMAGDVFQVWSNDRVRPCRHRVTLKENEVRYSFGLFSLHKGVIHVPDELLDKDHPLRYKPFNHLEFLRAQKDLSETEYAAKAYCAI >ONI27244 pep chromosome:Prunus_persica_NCBIv2:G1:5454525:5455019:-1 gene:PRUPE_1G075900 transcript:ONI27244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTKRNPTLFLSRSETNPHLIGRHPLALCLSLDSSLGSLSLFTSLSLLESRETHSLSPNRTKHHPILLVFGANPCKLWRALVHSK >ONI27864 pep chromosome:Prunus_persica_NCBIv2:G1:8686172:8694424:1 gene:PRUPE_1G108700 transcript:ONI27864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRKYLPTQQLAGSLFLFDRKALRYFRKDGHRWRKKKDGKTVKEAHEKLKAGSVDVLHCYYAHGEDNSNFQRRSYWMLDMHLQHIVLVHYRNVGEAYQSGVPCLLADPGSQVASPQSVSAPFSAQANSPAPTGQTSFASSPNRVDWNGKTLSTEFEDVDSGGDAGTSSVAQSMFGSVLHNASLHSQVGGFPESFRDPLSSWYDGPKFAHGAGSSVWNGMDSSTRNERSMHDQNLFVEAPNRADFITHKLPDARLDVDCRVNNVTCKDKLTTDIDVQVATASSQREPQVSKEHDFNVFHPQVQDFSDPQVVVNSSNQVEENSRDGGVQNAESVELKKLDSFGRWMDKEIGVDCDDSLMASDSGNYWSPLDAENGDKEVSSLSHHMHLDIESLGPSLSQEQLFSIHDFSPDWAYSETETKVLIVGSFLGSKKHTTETKWGCMFGEIEVSAEVLSNNVIRCQTPLHAPGCVPFYVTCRNRLACSEVREFEYREKPIGIAINTSKHDELRFQIRLAKLVSLGSERKWLECTALDCDKCKLKSSIFSMRNNRESDWETIDGASVPCKSDHLTHRDVLIQNLLKDRLCEWLVCKLHEGGKGPHVLDNEGQGVLHLTAALGYEWAMGPIIASGISPNFRDARGRTGLHWASYFGREETVIALLRLGAAPGAVEDPTSAFPGGQTAADLASSRGHKGIAGYLAEADLTSHLETLTMNENIVNNVAATIAAEKAIETADVVVDEQYSLKSSMAAVRKSAHAAALIQEAFRTRSFRQRQLTKSGTDVSEVQSHDLIARRSLKRVQKFAHYEDYLHVAAALKIQQNYRGWKGRKDFLKIRDRIVKIQAHVRGHQVRKNYKKVVWSVGILEKVILRWRRKGAGLRGFRVEKAIEDVSSEVKKNDDYEFLSVGRKQKYAGVEKALSRVRSMARQPEAREQYMRLLSKFEKLKMADGESPASNQIESSDERVLDEVLLALTEGQ >ONI27860 pep chromosome:Prunus_persica_NCBIv2:G1:8686253:8694389:1 gene:PRUPE_1G108700 transcript:ONI27860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRKYLPTQQLDLAQILQEAKERWLRPAEICEILRNFQNFELTADPPVRPPAGSLFLFDRKALRYFRKDGHRWRKKKDGKTVKEAHEKLKAGSVDVLHCYYAHGEDNSNFQRRSYWMLDMHLQHIVLVHYRNVGEAYQSGVPCLLADPGSQVASPQSVSAPFSAQANSPAPTGQTSFASSPNRVDWNGKTLSTEFEDVDSGGDAGTSSVAQSMFGSVLHNASLHSQVGVPLDLLPFHSVSNFGFPESFRDPLSSWYDGPKFAHGAGSSVWNGMDSSTRNERSMHDQNLFVEAPNRADFITHKLPDARLDVDCRVNNVTCKDKLTTDIDVQVATASSQREPQVSKEHDFNVFHPQVQDFSDPQVVVNSSNQVEENSRDGGVQNAESVELKKLDSFGRWMDKEIGVDCDDSLMASDSGNYWSPLDAENGDKEVSSLSHHMHLDIESLGPSLSQEQLFSIHDFSPDWAYSETETKVLIVGSFLGSKKHTTETKWGCMFGEIEVSAEVLSNNVIRCQTPLHAPGCVPFYVTCRNRLACSEVREFEYREKPIGIAINTSKHDELRFQIRLAKLVSLGSERKWLECTALDCDKCKLKSSIFSMRNNRESDWETIDGASVPCKSDHLTHRDVLIQNLLKDRLCEWLVCKLHEGGKGPHVLDNEGQGVLHLTAALGYEWAMGPIIASGISPNFRDARGRTGLHWASYFGREETVIALLRLGAAPGAVEDPTSAFPGGQTAADLASSRGHKGIAGYLAEADLTSHLETLTMNENIVNNVAATIAAEKAIETADVVVDEQYSLKSSMAAVRKSAHAAALIQEAFRTRSFRQRQLTKSGTDVSEVQSHDLIARRSLKRVQKFAHYEDYLHVAAALKIQQNYRGWKGRKDFLKIRDRIVKIQAHVRGHQVRKNYKKVVWSVGILEKVILRWRRKGAGLRGFRVEKAIEDVSSEVKKNDDYEFLSVGRKQKYAGVEKALSRVRSMARQPEAREQYMRLLSKFEKLKMADGESPASNQIESSDERVLDEVLLALTEGQ >ONI27862 pep chromosome:Prunus_persica_NCBIv2:G1:8686178:8694436:1 gene:PRUPE_1G108700 transcript:ONI27862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRKYLPTQQLDLAQILQEAKERWLRPAEICEILRNFQNFELTADPPVRPPAGSLFLFDRKALRYFRKDGHRWRKKKDGKTVKEAHEKLKAGSVDVLHCYYAHGEDNSNFQRRSYWMLDMHLQHIVLVHYRNVGEAYQSGVPCLLADPGSQVASPQSVSAPFSAQANSPAPTGQTSFASSPNRVDWNGKTLSTEFEDVDSGGDAGTSSVAQSMFGSVLHNASLHSQVGGFPESFRDPLSSWYDGPKFAHGAGSSVWNGMDSSTRNERSMHDQNLFVEAPNRADFITHKLPDARLDVDCRVNNVTCKDKLTTDIDVQVATASSQREPQVSKEHDFNVFHPQVQDFSDPQVVVNSSNQVEENSRDGGVQNAESVELKKLDSFGRWMDKEIGVDCDDSLMASDSGNYWSPLDAENGDKEVSSLSHHMHLDIESLGPSLSQEQLFSIHDFSPDWAYSETETKVLIVGSFLGSKKHTTETKWGCMFGEIEVSAEVLSNNVIRCQTPLHAPGCVPFYVTCRNRLACSEVREFEYREKPIGIAINTSKHDELRFQIRLAKLVSLGSERKWLECTALDCDKCKLKSSIFSMRNNRESDWETIDGASVPCKSDHLTHRDVLIQNLLKDRLCEWLVCKLHEGGKGPHVLDNEGQGVLHLTAALGYEWAMGPIIASGISPNFRDARGRTGLHWASYFGREETVIALLRLGAAPGAVEDPTSAFPGGQTAADLASSRGHKGIAGYLAEADLTSHLETLTMNENIVNNVAATIAAEKAIETADVVVDEQYSLKSSMAAVRKSAHAAALIQEAFRTRSFRQRQLTKSGTDVSEVQSHDLIARRSLKRVQKFAHYEDYLHVAAALKIQQNYRGWKGRKDFLKIRDRIVKIQAHVRGHQVRKNYKKVVWSVGILEKVILRWRRKGAGLRGFRVEKAIEDVSSEVKKNDDYEFLSVGRKQKYAGVEKALSRVRSMARQPEAREQYMRLLSKFEKLKMADGESPASNQIESSDERVLDEVLLALTEGQ >ONI27863 pep chromosome:Prunus_persica_NCBIv2:G1:8686161:8694424:1 gene:PRUPE_1G108700 transcript:ONI27863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRKYLPTQQLDLAQILQEAKERWLRPAEICEILRNFQNFELTADPPVRPPAGSLFLFDRKALRYFRKDGHRWRKKKDGKTVKEAHEKLKAGSVDVLHCYYAHGEDNSNFQRRSYWMLDMHLQHIVLVHYRNVGEAYQSGVPCLLADPGSQVASPQSVSAPFSAQANSPAPTGQTSFASSPNRVDWNGKTLSTEFEDVDSGGDAGTSSVAQSMFGSVLHNASLHSQVGGFPESFRDPLSSWYDGPKFAHGAGSSVWNGMDSSTRNERSMHDQNLFVEAPNRADFITHKLPDARLDVDCRVNNVTCKDKLTTDIDVQVATASSQREPQVSKEHDFNVFHPQVQDFSDPQVVVNSSNQVEENSRDGGVQNAESVELKKLDSFGRWMDKEIGVDCDDSLMASDSGNYWSPLDAENGDKEVSSLSHHMHLDIESLGPSLSQEQLFSIHDFSPDWAYSETETKVLIVGSFLGSKKHTTETKWGCMFGEIEVSAEVLSNNVIRCQTPLHAPGCVPFYVTCRNRLACSEVREFEYREKPIGIAINTSKHDELRFQIRLAKLVSLGSERKWLECTALDCDKCKLKSSIFSMRNNRESDWETIDGASVPCKSDHLTHRDVLIQNLLKDRLCEWLVCKLHEGGKGPHVLDNEGQGVLHLTAALGYEWAMGPIIASGISPNFRDARGRTGLHWASYFGREETVIALLRLGAAPGAVEDPTSAFPGGQTAADLASSRGHKGIAGYLAEADLTSHLETLTMNENIVNNVAATIAAEKAIETADVVVDEQYSLKSSMAAVRKSAHAAALIQEAFRTRSFRQRQLTKSGTDVSEVQSHDLIARRSLKRVQKFAHYEDYLHVAAALKIQQNYRGWKGRKDFLKIRDRIVKIQAHVRGHQVRKNYKKVVWSVGILEKVILRWRRKGAGLRGFRVEKAIEDVSSEVKKNDDYEFLSVGRKQKYAGVEKALSRVRSMARQPEAREQYMRLLSKFEKLKMADGESPASNQIESSDERVLDEVLLALTEGQ >ONI27861 pep chromosome:Prunus_persica_NCBIv2:G1:8686351:8694424:1 gene:PRUPE_1G108700 transcript:ONI27861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRKYLPTQQLDLAQILQEAKERWLRPAEICEILRNFQNFELTADPPVRPPAGSLFLFDRKALRYFRKDGHRWRKKKDGKTVKEAHEKLKAGSVDVLHCYYAHGEDNSNFQRRSYWMLDMHLQHIVLVHYRNVGEAYQSGVPCLLADPGSQVASPQSVSAPFSAQANSPAPTGQTSFASSPNRVDWNGKTLSTEFEDVDSGGDAGTSSVAQSMFGSVLHNASLHSQVGGFPESFRDPLSSWYDGPKFAHGAGSSVWNGMDSSTRNERSMHDQNLFVEAPNRADFITHKLPDARLDVDCRVNNVTCKDKLTTDIDVQVATASSQREPQVSKEHDFNVFHPQVQDFSDPQVVVNSSNQVEENSRDGGVQNAESVELKKLDSFGRWMDKEIGVDCDDSLMASDSGNYWSPLDAENGDKEVSSLSHHMHLDIESLGPSLSQEQLFSIHDFSPDWAYSETETKVLIVGSFLGSKKHTTETKWGCMFGEIEVSAEVLSNNVIRCQTPLHAPGCVPFYVTCRNRLACSEVREFEYREKPIGIAINTSKHDELRFQIRLAKLVSLGSERKWLECTALDCDKCKLKSSIFSMRNNRESDWETIDGASVPCKSDHLTHRDVLIQNLLKDRLCEWLVCKLHEGGKGPHVLDNEGQGVLHLTAALGYEWAMGPIIASGISPNFRDARGRTGLHWASYFGREETVIALLRLGAAPGAVEDPTSAFPGGQTAADLASSRGHKGIAGYLAEADLTSHLETLTMNENIVNNVAATIAAEKAIETADVVVDEQYSLKSSMAAVRKSAHAAALIQEAFRTRSFRQRQLTKSGTDVSEVQSHDLIARRSLKRVQKFAHYEDYLHVAAALKIQQNYRGWKGRKDFLKIRDRIVKIQAHVRGHQVRKNYKKVVWSVGILEKVILRWRRKGAGLRGFRVEKAIEDVSSEVKKNDDYEFLSVGRKQKYAGVEKALSRVRSMARQPEAREQYMRLLSKFEKLKMADGESPASNQIESSDERVLDEVLLALTEGQ >ONI29869 pep chromosome:Prunus_persica_NCBIv2:G1:23298121:23306201:-1 gene:PRUPE_1G218100 transcript:ONI29869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVPAAHQNLSKQHHRYLYSGYGGCGANYNTAEDQSFGLMSTTSQVEEGYEYNYYCCNNNSSNNNNNINSIVTESDHLHHHLAAIDPMAEDESRTNSVNEAGSSSKDAPDDRDNEGWLQLTIGGSNNHQPAATVDQTPRGLRDTLSGPGLIELDLLPPGQDAALSSSIRQVRSMQHHHMPPMFLVPPEIRPLSSSSSARLQFHSNFSTSATSLYFQHPGPGMSTSSSSLFPHHEGNWQFRPTVPHNNIGMASTSSSSYSTSSFTQLGSPYFPRPLHHQYHHDMNVAGPSLDFRVVDPPRRPQSGIWFMLQASQNQEKEPFLPQIPKSYLRIKDRRMTIRLIKKYLVNKLRLDSESEVEITCRGQQLLPFLTLQHVRDNIWSLREAPALLPDSSTTATDHVMVLHYARTASTSASN >ONI32751 pep chromosome:Prunus_persica_NCBIv2:G1:34454706:34461045:1 gene:PRUPE_1G383600 transcript:ONI32751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMIRCRPCTFLRFGSKLRVAVAILKVILLVIWLETSNAQSQENTLQGQDPEWLSESVASHSCIHDQILKQRRRPGRKVYTVTPQVYEGSGISQALHQKGRALLGISKCSVQQKDVKRPIRIYLNYDAVGHSPDRDCRNVGDIVKLGEPPVMYSVLGSPSCNPHGDPPISGDCWYNCTLDDIAGKDKRQRLRKALGQTADWFKRALAVEPVRGNLRLSGYSACGQDGGVQLPRQYVEEGVAEADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTEQIMDEKLGRMVTRVVLPRVVMHSRYHYAAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLDWGRNQGTEFVTSPCNLWKGAYHCNTTQLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARPPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCVNNSLEVAVDGMWKVCPEAGGPLQFPGFNGELLCPSYHELCSTSLVPGTGQCPKSCNFNGDCVEGRCHCFLGFHGSDCSKRTCPSNCSGRGNCLSNGLCECGNGYTGIDCSTAVCDEQCSLHGGVCDDGVCEFRCSDYAGYSCQNSTLLQSSLKVCKDVLENVNSGAGQHCAPSEPSILQQLEDVVVMPNYHRLFPGGARKLFSIFGTSYCDMTAKQLACWISIQKCDKDGDNRLRVCYSACQSYNSACGASLDCSDQTLFSSKDEAEGQCTGSSEMKTSWISRIYSLFSSNSSSKGTSVKNRQL >ONI28821 pep chromosome:Prunus_persica_NCBIv2:G1:13125665:13127410:1 gene:PRUPE_1G163300 transcript:ONI28821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYGLAISGSDSCFYIPTTHTAISTRRPIPDRKPNFLFAKPDFSFRSGFGSLRLEAKAAINDGVMVAEHKELTFYELLGIPESGSVTQIKQAYKLLARKYHPDVSPPDRVEENTEKFIRVQEAYETLSDSRRRAMYDRDMARGLHLAFSARRCQYDEGMEEKGDWKNRWQSQLSGLKRRSMNKNAREHMSWGARMRRQREGSSEKQ >ONI28822 pep chromosome:Prunus_persica_NCBIv2:G1:13125665:13127410:1 gene:PRUPE_1G163300 transcript:ONI28822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYGLAISGSDSCFYIPTTHTAISTRRPIPDRKPNFLFAKPDFSFRSGFGSLRLEAKAAINDGVMVAEHKELTFYELLGIPESGSVTQIKQAYKLLARKYHPDVSPPDRVEENTEKFIRVQEAYETLSDSRRRAMYDRDMARGLHLAFSARRCQYDEGMEEKGDWKNRWQSQLSGLKRRSMNKNAREHMSWGARMRRQREGSSEKQ >ONI34538 pep chromosome:Prunus_persica_NCBIv2:G1:40493415:40496121:-1 gene:PRUPE_1G486300 transcript:ONI34538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQGPKSLLALIETCTSLNQLKQIHAKSIISGLSYNHFILTQIANSFLFPQSLNYATRILVQTQEPSIFIYNSMIKAYSQSETPFVALSMYNNMRVRENAVGDQFTYPFVFKACASELAVEKGREVHGVVVRIGFDSDRFLCSSLLNFYGVCGKIESARQVFDEFKAKDVVFWNAMIMGYARNGMALEACEVFREMVKVGEVEANEGAILALISACTVSKNLKLGREIHGYVRKEVTFGLSVKLGAAMVDLYAKCGCLDYAKRVFEGMPEKNSVVWNSLISGYSLNGFSREAIDLFKDMCFWDVKPDRYTISGLLSACAQTGAINLGNWVRKFAEKNGLWDEFIGTSLVDLYAKCGSIEAAREVFDQMDKKTVATWNTILSGYASNGQAGSAIELFDEMRKSGAIPDSITFLSILHACAHAGLVEIGKQYFDSMVKNDKITPKVEHYGCMVDLLGRAALLKEARELIERMDIEPNVIVWGSLFNACSIHGDIEVGEWAADHIFNLEAMDGGSYVLLANMYAAAGRFDRVEAVRQAMVDASFCKLPGCSMIEIGDVVHEFLVADKTHPKSEEIYSVLDELSDKLKTATGSMHLPALAGEHLESS >ONI34537 pep chromosome:Prunus_persica_NCBIv2:G1:40493370:40496136:-1 gene:PRUPE_1G486300 transcript:ONI34537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQGPKSLLALIETCTSLNQLKQIHAKSIISGLSYNHFILTQIANSFLFPQSLNYATRILVQTQEPSIFIYNSMIKAYSQSETPFVALSMYNNMRVRENAVGDQFTYPFVFKACASELAVEKGREVHGVVVRIGFDSDRFLCSSLLNFYGVCGKIESARQVFDEFKAKDVVFWNAMIMGYARNGMALEACEVFREMVKVGEVEANEGAILALISACTVSKNLKLGREIHGYVRKEVTFGLSVKLGAAMVDLYAKCGCLDYAKRVFEGMPEKNSVVWNSLISGYSLNGFSREAIDLFKDMCFWDVKPDRYTISGLLSACAQTGAINLGNWVRKFAEKNGLWDEFIGTSLVDLYAKCGSIEAAREVFDQMDKKTVATWNTILSGYASNGQAGSAIELFDEMRKSGAIPDSITFLSILHACAHAGLVEIGKQYFDSMVKNDKITPKVEHYGCMVDLLGRAALLKEARELIERMDIEPNVIVWGSLFNACSIHGDIEVGEWAADHIFNLEAMDGGSYVLLANMYAAAGRFDRVEAVRQAMVDASFCKLPGCSMIEIGDVVHEFLVADKTHPKSEEIYSVLDELSDKLKTATGSMHLPALAGEHLESS >ONI34536 pep chromosome:Prunus_persica_NCBIv2:G1:40493415:40496000:-1 gene:PRUPE_1G486300 transcript:ONI34536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQGPKSLLALIETCTSLNQLKQIHAKSIISGLSYNHFILTQIANSFLFPQSLNYATRILVQTQEPSIFIYNSMIKAYSQSETPFVALSMYNNMRVRENAVGDQFTYPFVFKACASELAVEKGREVHGVVVRIGFDSDRFLCSSLLNFYGVCGKIESARQVFDEFKAKDVVFWNAMIMGYARNGMALEACEVFREMVKVGEVEANEGAILALISACTVSKNLKLGREIHGYVRKEVTFGLSVKLGAAMVDLYAKCGCLDYAKRVFEGMPEKNSVVWNSLISGYSLNGFSREAIDLFKDMCFWDVKPDRYTISGLLSACAQTGAINLGNWVRKFAEKNGLWDEFIGTSLVDLYAKCGSIEAAREVFDQMDKKTVATWNTILSGYASNGQAGSAIELFDEMRKSGAIPDSITFLSILHACAHAGLVEIGKQYFDSMVKNDKITPKVEHYGCMVDLLGRAALLKEARELIERMDIEPNVIVWGSLFNACSIHGDIEVGEWAADHIFNLEAMDGGSYVLLANMYAAAGRFDRVEAVRQAMVDASFCKLPGCSMIEIGDVVHEFLVADKTHPKSEEIYSVLDELSDKLKTATGSMHLPALAGEHLESS >ONI30439 pep chromosome:Prunus_persica_NCBIv2:G1:26300424:26303479:1 gene:PRUPE_1G251500 transcript:ONI30439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >ONI30440 pep chromosome:Prunus_persica_NCBIv2:G1:26300319:26303332:1 gene:PRUPE_1G251500 transcript:ONI30440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >ONI30438 pep chromosome:Prunus_persica_NCBIv2:G1:26300062:26303479:1 gene:PRUPE_1G251500 transcript:ONI30438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >ONI28133 pep chromosome:Prunus_persica_NCBIv2:G1:9894031:9894652:-1 gene:PRUPE_1G125500 transcript:ONI28133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMDEMRSTYVSPYGPSGDRSFDNEGYAWESKKNSSHKSSSIYSFSQSELKRQRRVAKYKSYVVEAKFKSALKNGVRWFKNKYFALVYA >ONI31478 pep chromosome:Prunus_persica_NCBIv2:G1:30482425:30486439:1 gene:PRUPE_1G315700 transcript:ONI31478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAAQSSDRHTVRDGICHAGAGAAAGAMAATFVCPLDVIKTRLQVHGMPPGQRGSIIITSLQNILKTEGLKGMYRGLSPTILALLPNWAVYFTVYEQLKGLLQSHVDGSSELTIGANMIAAAGAGAATAITTNPLWVVKTRLQTQGMRPGVIPYKSMRSAFTRIATEEGMRGLYSGILPSLAGISHVAIQFPAYEKIKSFMAKRDNTTVDKLNPGNVAIASSISKVIASVITYPHEVVRSRLQEQGQARHIEPQYAGVIDCTKKVFQKEGLRGFYNGCATNLLRTTPSAVITFTSYEMIDRFLRRVVLKEKEHSNGRPKPDGHAESQQGNGGNERVVNNSDLRQSQSQTNQRTPIPLANKEQLAARH >ONI31476 pep chromosome:Prunus_persica_NCBIv2:G1:30482350:30486441:1 gene:PRUPE_1G315700 transcript:ONI31476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVVEIAEKRDQGQAPLFALKRLESALTMGGGAAQSSDRHTVRDGICHAGAGAAAGAMAATFVCPLDVIKTRLQVHGMPPGQRGSIIITSLQNILKTEGLKGMYRGLSPTILALLPNWAVYFTVYEQLKGLLQSHVDGSSELTIGANMIAAAGAGAATAITTNPLWVVKTRLQTQGMRPGVIPYKSMRSAFTRIATEEGMRGLYSGILPSLAGISHVAIQFPAYEKIKSFMAKRDNTTVDKLNPGNVAIASSISKVIASVITYPHEVVRSRLQEQGQARHIEPQYAGVIDCTKKVFQKEGLRGFYNGCATNLLRTTPSAVITFTSYEMIDRFLRRVVLKEKEHSNGRPKPDGHAESQQGNGGNERVVNNSDLRQSQSQTNQRTPIPLANKEQLAARH >ONI31477 pep chromosome:Prunus_persica_NCBIv2:G1:30482425:30486440:1 gene:PRUPE_1G315700 transcript:ONI31477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAAQSSDRHTVRDGICHAGAGAAAGAMAATFVCPLDVIKTRLQVHGMPPGQRGSIIITSLQNILKTEGLKGMYRGLSPTILALLPNWAVYFTVYEQLKGLLQSHVDGSSELTIGANMIAAAGAGAATAITTNPLWVVKTRLQTQGMRPGVIPYKSMRSAFTRIATEEGMRGLYSGILPSLAGISHVAIQFPAYEKIKSFMAKRDNTTVDKLNPGNVAIASSISKVIASVITYPHEVVRSRLQEQGQARHIEPQYAGVIDCTKKVFQKEGLRGFYNGCATNLLRTTPSAVITFTSYEMIDRFLRRVVLKEKEHSNGRPKPDGHAESQQGNGGNERVVNNSDLRQSQSQTNQRTPIPLANKEQLAARH >ONI31479 pep chromosome:Prunus_persica_NCBIv2:G1:30483039:30486212:1 gene:PRUPE_1G315700 transcript:ONI31479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATPVQAPPLVSFSLRFSISRVPFLWERIGNLMNFSFLSANWLYLSFAGAMAATFVCPLDVIKTRLQVHGMPPGQRGSIIITSLQNILKTEGLKGMYRGLSPTILALLPNWAVYFTVYEQLKGLLQSHVDGSSELTIGANMIAAAGAGAATAITTNPLWVVKTRLQTQGMRPGVIPYKSMRSAFTRIATEEGMRGLYSGILPSLAGISHVAIQFPAYEKIKSFMAKRDNTTVDKLNPGNVAIASSISKVIASVITYPHEVVRSRLQEQGQARHIEPQYAGVIDCTKKVFQKEGLRGFYNGCATNLLRTTPSAVITFTSYEMIDRFLRRVVLKEKEHSNGRPKPDGHAESQQGNGGNERVVNNSDLRQSQSQTNQRTPIPLANKEQLAARH >ONI31480 pep chromosome:Prunus_persica_NCBIv2:G1:30482425:30486422:1 gene:PRUPE_1G315700 transcript:ONI31480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATPVQAPPLVLWRQRSCALWMSSRLGYRSMACLRDKEVYFTVYEQLKGLLQSHVDGSSELTIGANMIAAAGAGAATAITTNPLWVVKTRLQTQGMRPGVIPYKSMRSAFTRIATEEGMRGLYSGILPSLAGISHVAIQFPAYEKIKSFMAKRDNTTVDKLNPGNVAIASSISKVIASVITYPHEVVRSRLQEQGQARHIEPQYAGVIDCTKKVFQKEGLRGFYNGCATNLLRTTPSAVITFTSYEMIDRFLRRVVLKEKEHSNGRPKPDGHAESQQGNGGNERVVNNSDLRQSQSQTNQRTPIPLANKEQLAARH >ONI31481 pep chromosome:Prunus_persica_NCBIv2:G1:30483487:30486422:1 gene:PRUPE_1G315700 transcript:ONI31481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYALSSRSSIIITSLQNILKTEGLKGMYRGLSPTILALLPNWAVYFTVYEQLKGLLQSHVDGSSELTIGANMIAAAGAGAATAITTNPLWVVKTRLQTQGMRPGVIPYKSMRSAFTRIATEEGMRGLYSGILPSLAGISHVAIQFPAYEKIKSFMAKRDNTTVDKLNPGNVAIASSISKVIASVITYPHEVVRSRLQEQGQARHIEPQYAGVIDCTKKVFQKEGLRGFYNGCATNLLRTTPSAVITFTSYEMIDRFLRRVVLKEKEHSNGRPKPDGHAESQQGNGGNERVVNNSDLRQSQSQTNQRTPIPLANKEQLAARH >ONI27488 pep chromosome:Prunus_persica_NCBIv2:G1:6775489:6778208:-1 gene:PRUPE_1G090000 transcript:ONI27488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEEQAAGLESALIQVPQEQSLLSRKQVPVKDEIVEEVKKQLLLAGPLVASNFLLFGMQLISVMYVGHVGELALAGASMATSFASVTGLSLTRGMGSALDTFCGQSYGAKQYHMLGIHMQRAMLVLLLVNIPLAFVWANTGHILEFLGQDPEISAAAGNYACFLIPSLFTYAILESHARFLQAQNNVVPLIVSTGVATLVHLLNCWVLVYKTSLGYRGAALATSVTYSINTLLLALYVRVSPSCKLTWTGFSKEAFHGIPNFLKLSIPSAVMVSLEVWSFEMIVLLSGFLPNPKLETSALSISFNTCLMVYMIPLAFSGTVSTRVSNQLGAGQPRMVCIAICVALSIVVTEGIVAAAVMILARNVWGYCYSSEKEVVKYVGEMLIFVALSHFFDGPQSVLSGVIRGSGQQKIGVYVNLGAYYLIGIPTAVLLAFVQHIGGKGLWIGIIMALFVQALSLAIIVICTDWEKEVKKASDSVFNRVTVAESSS >ONI30442 pep chromosome:Prunus_persica_NCBIv2:G1:26308952:26314372:-1 gene:PRUPE_1G251700 transcript:ONI30442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATFSHGTAGVSFSISLPKSKNTKMIIASVRDLCLQLISSAFQRCHASEDLCRLSVILKRSPQSDPHSVLISVSDTGVGSCLEEFGGVKLHKEAFGAQIWGIADDEIYHYQFNLKENVSARRLTRLPSNPKNGLKFSGTEVCLSIIESIDVLVAEFSLFFQKILILKIPNVAIELVVEHGDDPGSRYVNVFLANEWNPLSIPASNLERLKSGLEDYVFKHGNTLSTKCELCFSSWEHLKVGSGVACCTESCKYSGSVMEAVIVISEISEVASTCPRTHGLKTEVLYFKDFSPCPIPQSSLKALTSIDWKSYGLSFGSVVVQGGFALVEWENLPTYVQIDIVLHHYHEQIPSGRLRTRRDQNLIKKAIRLALDDLKDNYAGVLLSAQALKIRSYAPDLAKTISSLILSSNDSEFRKECFSFLGLPSQGVGGEVLDDCIKERLITVVEMNDRDPQRSKEIPTFLFEDDHMQDSGSQDEEFEVEDAFSSMDLC >ONI30444 pep chromosome:Prunus_persica_NCBIv2:G1:26311536:26314338:-1 gene:PRUPE_1G251700 transcript:ONI30444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATFSHGTAGVSFSISLPKSKNTKMIIASVRDLCLQLISSAFQRCHASEDLCRLSVILKRSPQSDPHSVLISVSDTGVGSCLEEFGGVKLHKEAFGAQIWDGLLFVTATSIADDEIYHYQFNLKENVSARRLTRLPSNPKNGLKFSGTEVCLSIIESIDVLVAEFSLFFQKILILKIPNVAIELVVEHGDDPGSRYVNVFLANEWNPLSIPASNLERLKSGLEDYVFKHGNTLSTKCELCFSSWEHLKVGSGVACCTESCKYSGSVMEAVIVISEISEVASTCPRTHGLKTEVLYFKDFSPCPIPQSSLKALTSIDWKSYGLSFGSVVVQGGFALVEWENLPTYVQIDIVLHHYHEQYPNLDSILNFL >ONI30443 pep chromosome:Prunus_persica_NCBIv2:G1:26310184:26314338:-1 gene:PRUPE_1G251700 transcript:ONI30443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATFSHGTAGVSFSISLPKSKNTKMIIASVRDLCLQLISSAFQRCHASEDLCRLSVILKRSPQSDPHSVLISVSDTGVGSCLEEFGGVKLHKEAFGAQIWDGLLFVTATSIADDEIYHYQFNLKENVSARRLTRLPSNPKNGLKFSGTEVCLSIIESIDVLVAEFSLFFQKILILKIPNVAIELVVEHGDDPGSRYVNVFLANEWNPLSIPASNLERLKSGLEDYVFKHGNTLSTKCELCFSSWEHLKVGSGVACCTESCKYSGSVMEAVIVISEISEVASTCPRTHGLKTEVLYFKDFSPCPIPQSSLKALTSIDWKSYGLSFGSVVVQGGFALVEWENLPTYVQIDIVLHHYHEQIPSGRLRTRRDQNLIKKAIRLALDDLKDNYAGVLLSAQALKIRSYAPDLAKTISSLILSSNDSEFRKECFSFLGLPSQGVGGEVLDDCIKERLITVVEMNDRDPQRSKEIPTFLFEDDHMQDSGSQDEEFEVEDAFSSMDLC >ONI31079 pep chromosome:Prunus_persica_NCBIv2:G1:28929210:28932070:1 gene:PRUPE_1G290600 transcript:ONI31079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKRIENKINRQVTFSKRRTGLLKKAHEISVLCDAQVALVVFSNKGKLCEYATDSCMDQILDRYERYSYAERQLVEPDIESQCNWTFEYSRLKAKVELLQRNQRHYLGEDLDSLTLKEIQSLEHQLETALKQIRSRKNQLMHESISELQRKERAMQEQNNLLAKKIKEKEKAAAEEVHNWEQQNNGLNLLPQPLPCLNMGGTQQDEFLQVRRNQLDLTLEPLYSCNLGCFAA >ONI28915 pep chromosome:Prunus_persica_NCBIv2:G1:13723547:13727246:-1 gene:PRUPE_1G168500 transcript:ONI28915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLKTLQALCLTLSLLLLTTTSTTADDSDVMSKLAEGLKNTPKDWSTGKTYCDWEGIKCDGNSVTSINLASKSLSGSLPSNLNSLTKLTTLSLQSNSLSGPFPSLANLSLLQEIYLDTNNFTSIPSGCFQGLSSLQVLSMSQNINLVPWVLPTELTQASSLVTLAAGNANLYGSLPNIFDSFPNLQNLRLSYNNFSGFLPKSFSGSGIQNLWLNNQQFGLSGTIEVLSNMTQLNQVWLHKNQFTGPIPDISKCKTLFDLQLRDNLLTGIVPATLMSSTAIQNVSLDNNKLQGPLPVFGSNVTKATFDGTNSFCQTKPGPCDPQVNTLLEVAGALAYPSLLAESWEGNNACDGWSFVVCDTQGKVITVNFENKHFTGIISPAFANLTSLKNLVLKNNNLTGSIPASLLTLQQLQLLDVSNNNLFGEIPKFPYTVKLITTGNVLIGTTPSSGGGGGGTPSGSGSNGTTPNGSPAPASGGSSVSPGMIAGIVIAVVIFIVVVLFVSIKCYASKKHGKFGRVDNPMNGIEIAKSDVMSSANGYNGVQSDLHSQSSGDLHVFEGGNVAISIHVLRDVTNNFSEDNILGRGGFGVVYKGELHDGTKIAVKRMESVAVGTKGLNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLTQHLFDWREIGVPPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMELMSGRKALDDTMPDERSHLVSWFRRVLVNKENIPKAIDQTLDPDEETMGSIYKVAELAGHCTAREPYQRPDMGHAVNILGPLVEHWKPTTNEEEENSGIDLHMSLPQALQRWQANEGTSRMFDDLSYSQTQSSIPSKPSGFADSFDSMDCR >ONI28914 pep chromosome:Prunus_persica_NCBIv2:G1:13722496:13727094:-1 gene:PRUPE_1G168500 transcript:ONI28914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLKTLQALCLTLSLLLLTTTSTTADDSDVMSKLAEGLKNTPKDWSTGKTYCDWEGIKCDGNSVTSINLASKSLSGSLPSNLNSLTKLTTLSLQSNSLSGPFPSLANLSLLQEIYLDTNNFTSIPSGCFQGLSSLQVLSMSQNINLVPWVLPTELTQASSLVTLAAGNANLYGSLPNIFDSFPNLQNLRLSYNNFSGFLPKSFSGSGIQNLWLNNQQFGLSGTIEVLSNMTQLNQVWLHKNQFTGPIPDISKCKTLFDLQLRDNLLTGIVPATLMSSTAIQNVSLDNNKLQGPLPVFGSNVTKATFDGTNSFCQTKPGPCDPQVNTLLEVAGALAYPSLLAESWEGNNACDGWSFVVCDTQGKVITVNFENKHFTGIISPAFANLTSLKNLVLKNNNLTGSIPASLLTLQQLQLLDVSNNNLFGEIPKFPYTVKLITTGNVLIGTTPSSGGGGGGTPSGSGSNGTTPNGSPAPASGGSSVSPGMIAGIVIAVVIFIVVVLFVSIKCYASKKHGKFGRVDNPMNGIEIAKSDVMSSANGYNGVQSDLHSQSSGDLHVFEGGNVAISIHVLRDVTNNFSEDNILGRGGFGVVYKGELHDGTKIAVKRMESVAVGTKGLNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLTQHLFDWREIGVPPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMELMSGRKALDDTMPDERSHLVSWFRRVLVNKENIPKAIDQTLDPDEETMGSIYKVAELAGHCTAREPYQRPDMGHAVNILGPLVEHWKPTTNEEEENSGIDLHMSLPQALQRWQANEGTSRMFDDLSYSQTQSSIPSKPSGFADSFDSMDCR >ONI27220 pep chromosome:Prunus_persica_NCBIv2:G1:5341126:5352491:-1 gene:PRUPE_1G074600 transcript:ONI27220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLMQLCYVAFDILYVGDTSVIHQSLKERHELLQKVVKPLKGRLEILVPNGGLNTHRSEGDPCWSLIARSVDEVERFFKETIENRDEGIVLKDLGSKWEPSDRSGKWLKLKPDYIRAGSDLDVLIIGGYYGSGRRGGEVAQFLVGLAERPVPNTYPRKFVSFCRVGTGLSDEDLEAVATKLKPYLRKYEYPRKAPPSFYQVTNNSKERPDVWVESPEKSIILSITSDIRTIRSEVFSAPYSLRFPRIDRVRYDKPWHECLDVESFIELVHSSNGTTQRGTDYGALQDTKTKHKKSSRKEEKKNLSVVPSHLVQTDVSSVKEDTLLFSNMMFYFVNVPPTNSLDSLHKLVVENGGTFSMNLNNAVTHCVAADSKGIKYQAAKRHGDIIHFSWVLDCCSQKRLLALQPKYYLFISESSKMKLQEEIDEFSDSYYWDLDLADIKQLLSNMYRSEDSKTIDHYKKKYCPMEKWSRFHGCCIYFIPSTHSLKPDWEVLLRFTLRRLKIQVLISAGRVTDSIAHATHLVVLSVQGLDVDSGTLLQSFTESEKRFLHNKKLHIVGSQWLEDCLERDQRLQEETYSLKPNGWEEFSIEEWKHDMDLEEAPSDVDTLQINNSSASPNQEGKEIGGKEAPENYRILASPERESKRKRGRPALTSMNKGKTGVNQARRTRVRIGRKPAKISGNESDEGGSHDNTLRAEEVDKEARKHGMMSDASLDIQENEAIKDSESLQRDNAAVQEVAEDISFEDHSYKIPNVEMIEKCNIEDSGKPEKLEVMADPVQAMLFDMIPSLAMKKVETTNTSIEKEKPAVDLNAGPSKKKKVSYKDVAGELLKDW >ONI27219 pep chromosome:Prunus_persica_NCBIv2:G1:5341126:5357394:-1 gene:PRUPE_1G074600 transcript:ONI27219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEETKFSVLCSLFNWMQRSKTSTLKRSKFRKFLDTFCKPADYFDSIRLILPSLDRERGSYGLKESVLATCLIDALGMARDSEDALRLINWRKGGAKTGVNAGNFSLVAAEVLQRRQGVSSGGLTIKELNDLLDRLASSENRAEKTSVLSTLIKKTNAQEMKWIVMIILKDLKLGTSEKSIFHEFHPDAEDLFNVTCDLKLVCEKLRDRNQRHKRQDIEVGKAVRPQLAMRVGDATIAWKKLHGKEVVVECKFDGDRIQIHKNGTEIHYFSRNFLDHPEYGHAMSDIVIQNVLADRCILDGEMLVWDTSSNRFAEFGSNQEIAKAARDGLDSDRQLCYVAFDILYVGDTSVIHQSLKERHELLQKVVKPLKGRLEILVPNGGLNTHRSEGDPCWSLIARSVDEVERFFKETIENRDEGIVLKDLGSKWEPSDRSGKWLKLKPDYIRAGSDLDVLIIGGYYGSGRRGGEVAQFLVGLAERPVPNTYPRKFVSFCRVGTGLSDEDLEAVATKLKPYLRKYEYPRKAPPSFYQVTNNSKERPDVWVESPEKSIILSITSDIRTIRSEVFSAPYSLRFPRIDRVRYDKPWHECLDVESFIELVHSSNGTTQRGTDYGALQDTKTKHKKSSRKEEKKNLSVVPSHLVQTDVSSVKEDTLLFSNMMFYFVNVPPTNSLDSLHKLVVENGGTFSMNLNNAVTHCVAADSKGIKYQAAKRHGDIIHFSWVLDCCSQKRLLALQPKYYLFISESSKMKLQEEIDEFSDSYYWDLDLADIKQLLSNMYRSEDSKTIDHYKKKYCPMEKWSRFHGCCIYFIPSTHSLKPDWEVLLRFTLRRLKIQVLISAGRVTDSIAHATHLVVLSVQGLDVDSGTLLQSFTESEKRFLHNKKLHIVGSQWLEDCLERDQRLQEETYSLKPNGWEEFSIEEWKHDMDLEEAPSDVDTLQINNSSASPNQEGKEIGGKEAPENYRILASPERESKRKRGRPALTSMNKGKTGVNQARRTRVRIGRKPAKISGNESDEGGSHDNTLRAEEVDKEARKHGMMSDASLDIQENEAIKDSESLQRDNAAVQEVAEDISFEDHSYKIPNVEMIEKCNIEDSGKPEKLEVMADPVQAMLFDMIPSLAMKKVETTNTSIEKEKPAVDLNAGPSKKKKVSYKDVAGELLKDW >ONI33716 pep chromosome:Prunus_persica_NCBIv2:G1:37571889:37577196:-1 gene:PRUPE_1G443100 transcript:ONI33716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEMEIDGSGIVSSNEIKQRGKKNIVRKKKNKSKRTSTKETFHYLPKFRCFSLKSETDGKGNFDMEVEDEGRGKMTPTHLIIMVNGLIGSAQNWKYAAKQFLKRYPEDVIVHCSECNCSMLTFDGVDVMGERLAEEVISVIKRHPSVQKISFVGHSLGGLIARYAIGRLYERDLTREQSQENGECRSDGVEDPLLEHKVKGKIAGLEPVNFITSATPHLGTRGHKQVPVFCGFQPLEKVAARTSWCLGRSGRHLFLTDRDKGKPPLLIQMVNDTEDLNFLSALQSFRRRVAYANVLHDHLVGWSTSSLRRRKELPKTIKTDSTQEEVSFEAKASGCKHIDLEEEMIRSLTKMSWERVDVSFKGSKQRYLAHSTIQVKTYYLHSDGADVIQHMVDNFVV >ONI33720 pep chromosome:Prunus_persica_NCBIv2:G1:37573294:37576065:-1 gene:PRUPE_1G443100 transcript:ONI33720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFCLLDWFSFIAIIFFFKVISVIKRHPSVQKISFVGHSLGGLIARYAIGRLYERDLTREQSQENGECRSDGVEDPLLEHKVKGKIAGLEPVNFITSATPHLGTRGHKQVPVFCGFQPLEKVAARTSWCLGRSGRHLFLTDRDKGKPPLLIQMVNDTEDLNFLSALQSFRRRVAYANVLHDHLVGWSTSSLRRRKELPKLKHLSKDDKYPHIVNVKTIKTDSTQEEVSFEAKASGCKHIDLEEEMIRSLTKMSWERVDVSFKGSKQRYLAHSTIQVKTYYLHSDGADVIQHMVDNFVV >ONI33718 pep chromosome:Prunus_persica_NCBIv2:G1:37571889:37577196:-1 gene:PRUPE_1G443100 transcript:ONI33718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFDGVDVMGERLAEEVISVIKRHPSVQKISFVGHSLGGLIARYAIGRLYERDLTREQSQENGECRSDGVEDPLLEHKVKGKIAGLEPVNFITSATPHLGTRGHKQVPVFCGFQPLEKVAARTSWCLGRSGRHLFLTDRDKGKPPLLIQMVNDTEDLNFLSALQSFRRRVAYANVLHDHLVGWSTSSLRRRKELPKLKHLSKDDKYPHIVNVKTIKTDSTQEEVSFEAKASGCKHIDLEEEMIRSLTKMSWERVDVSFKGSKQRYLAHSTIQVKTYYLHSDGADVIQHMVDNFVV >ONI33717 pep chromosome:Prunus_persica_NCBIv2:G1:37573294:37576766:-1 gene:PRUPE_1G443100 transcript:ONI33717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEMEIDGSGIVSSNEIKQRGKKNIVRKKKNKSKRTSTKETFHYLPKFRCFSLKSETDGKGNFDMEVEDEGRGKMTPTHLIIMVNGLIGSAQNWKYAAKQFLKRYPEDVIVHCSECNCSMLTFDGVDVMGERLAEEVISVIKRHPSVQKISFVGHSLGGLIARYAIGRLYERDLTREQSQENGECRSDGVEDPLLEHKVKGKIAGLEPVNFITSATPHLGTRGHKQVPVFCGFQPLEKVAARTSWCLGRSGRHLFLTDRDKGKPPLLIQMVNDTEDLNFLSALQSFRRRVAYANVLHDHLVGWSTSSLRRRKELPKLKHLSKDDKYPHIVNVKTIKTDSTQEEVSFEAKASGCKHIDLEEEMIRSLTKMSWERVDVSFKGSKQRYLAHSTIQVKTYYLHSDGADVIQHMVDNFVV >ONI33719 pep chromosome:Prunus_persica_NCBIv2:G1:37571889:37577196:-1 gene:PRUPE_1G443100 transcript:ONI33719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTFDGVDVMGERLAEEVISVIKRHPSVQKISFVGHSLGGLIARYAIGRLYERDLTREQSQENGECRSDGVEDPLLEHKVKGKIAGLEPVNFITSATPHLGTRGHKQVPVFCGFQPLEKVAARTSWCLGRSGRHLFLTDRDKGKPPLLIQMVNDTEDLNFLSALQSFRRRVAYANVLHDHLVGWSTSSLRRRKELPKLKHLSKDDKYPHIVNVKTIKTDSTQEEVSFEAKASGCKHIDLEEEMIRSLTKMSWERVDVSFKGSKQRYLAHSTIQVKTYYLHSDGADVIQHMVDNFVV >ONI29254 pep chromosome:Prunus_persica_NCBIv2:G1:17536042:17539150:-1 gene:PRUPE_1G189800 transcript:ONI29254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLDLHRKLALHWFILKWLLSGMIAPAILEPVDDGFTLRKRKRMMKKNISAV >ONI29261 pep chromosome:Prunus_persica_NCBIv2:G1:17537981:17539121:-1 gene:PRUPE_1G189800 transcript:ONI29261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLCS >ONI29265 pep chromosome:Prunus_persica_NCBIv2:G1:17537981:17539121:-1 gene:PRUPE_1G189800 transcript:ONI29265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLCS >ONI29253 pep chromosome:Prunus_persica_NCBIv2:G1:17535857:17539150:-1 gene:PRUPE_1G189800 transcript:ONI29253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLDLHRKLALHWFILKWLLSGMIAPAILEPVDDGFTLRKRKRMMKKNISAV >ONI29263 pep chromosome:Prunus_persica_NCBIv2:G1:17537981:17539121:-1 gene:PRUPE_1G189800 transcript:ONI29263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLCS >ONI29256 pep chromosome:Prunus_persica_NCBIv2:G1:17536010:17539150:-1 gene:PRUPE_1G189800 transcript:ONI29256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLDLHRKLALHWFILKWLLSGMIAPAILEPVDDGFTLRKRKRMMKKNISAV >ONI29260 pep chromosome:Prunus_persica_NCBIv2:G1:17536137:17539150:-1 gene:PRUPE_1G189800 transcript:ONI29260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLDLHRKLALHWFILKWLLSGMIAPAILEPVDDGFTLRKRKRMMKKNISGLR >ONI29264 pep chromosome:Prunus_persica_NCBIv2:G1:17537981:17539121:-1 gene:PRUPE_1G189800 transcript:ONI29264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLCS >ONI29257 pep chromosome:Prunus_persica_NCBIv2:G1:17536137:17539150:-1 gene:PRUPE_1G189800 transcript:ONI29257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLDLHRKLALHWFILKWLLSGMIAPAILEPVDDGFTLRKRKRMMKKNISAV >ONI29262 pep chromosome:Prunus_persica_NCBIv2:G1:17537981:17539121:-1 gene:PRUPE_1G189800 transcript:ONI29262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLCS >ONI29259 pep chromosome:Prunus_persica_NCBIv2:G1:17535864:17539150:-1 gene:PRUPE_1G189800 transcript:ONI29259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLDLHRKLALHWFILKWLLSGMIAPAILEPVDDGFTLRKRKRMMKKNISAV >ONI29255 pep chromosome:Prunus_persica_NCBIv2:G1:17536137:17539150:-1 gene:PRUPE_1G189800 transcript:ONI29255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLDLHRKLALHWFILKWLLSGMIAPAILEPVDDGFTLRKRKRMMKKNISAV >ONI29258 pep chromosome:Prunus_persica_NCBIv2:G1:17536034:17539150:-1 gene:PRUPE_1G189800 transcript:ONI29258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLDLHRKLALHWFILKWLLSGMIAPAILEPVDDGFTLRKRKRMMKKNISAV >ONI29266 pep chromosome:Prunus_persica_NCBIv2:G1:17537981:17539121:-1 gene:PRUPE_1G189800 transcript:ONI29266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQESFREMEGFTCGTESWVSSIRKNPDEQTHQSLQTSSSSEFMLGIGEEEWPKLNSLKSKKKKKKNQVLLEGYVESADEDDLSRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKFMDENQKSPENSPTTVDSCPSVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLCS >ONI31996 pep chromosome:Prunus_persica_NCBIv2:G1:32232854:32235033:-1 gene:PRUPE_1G343900 transcript:ONI31996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPCFEEDRPGHGKMVDVQLKGSACRIKKCALELLSIGSDLMNEGQSSWELLGRDLRLKSTFLYCDLNQMISCAPREQKRALTELANKLFCSIEELDHAMKIRSVPLTQDRYNQAAVVLQEVMALAP >ONI31999 pep chromosome:Prunus_persica_NCBIv2:G1:32232854:32234643:-1 gene:PRUPE_1G343900 transcript:ONI31999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVQLKGSACRIKKCALELLSIGSDLMNEGQSSWELLGRDLRLKSTFLYCDLNQMISCAPREQKRALTELANKLFCSIEELDHAMKIRSVPLTQDRYNQAAVVLQEVMALAP >ONI31997 pep chromosome:Prunus_persica_NCBIv2:G1:32233592:32234043:-1 gene:PRUPE_1G343900 transcript:ONI31997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVQLKGSACRIKKCALELLSIGSDLMNEGQSSWELLGRDLRLKSTFLYCDLNQMISCAPREQKRALTELANKLFCSIEELDHAMKIRSVPLTQDRYNQAAVVLQEVMALAP >ONI31998 pep chromosome:Prunus_persica_NCBIv2:G1:32233592:32234043:-1 gene:PRUPE_1G343900 transcript:ONI31998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVQLKGSACRIKKCALELLSIGSDLMNEGQSSWELLGRDLRLKSTFLYCDLNQMISCAPREQKRALTELANKLFCSIEELDHAMKIRSVPLTQDRYNQAAVVLQEVMALAP >ONI32000 pep chromosome:Prunus_persica_NCBIv2:G1:32232854:32235033:-1 gene:PRUPE_1G343900 transcript:ONI32000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVQLKGSACRIKKCALELLSIGSDLMNEGQSSWELLGRDLRLKSTFLYCDLNQMISCAPREQKRALTELANKLFCSIEELDHAMKIRSVPLTQDRYNQAAVVLQEVMALAP >ONI26262 pep chromosome:Prunus_persica_NCBIv2:G1:1015696:1017865:-1 gene:PRUPE_1G013400 transcript:ONI26262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHVLLAAKTFPPVISRTRENTLKPAKEYKVQSRCELPSQEASSSRRHFVAGAMIVTILTINYGLAPSPVWADDKSDDEEEKDGVIGALKSLFDPNEKTKSGKVLPKAYLKSAKEVVKTLRESLNEDPKDNAKFRRTADAAKESIREYLGNWRGQQEVAQEESYVEIEKAIRSLAGFYSKAGPSAPLPEEVKSEILNDLNTAEAFL >ONI36413 pep chromosome:Prunus_persica_NCBIv2:G1:47408490:47414064:1 gene:PRUPE_1G583800 transcript:ONI36413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEENSIEIDKKREMKLRQPLLVKNRRNHTSQHAIVGANICPIESLDYEIIENDLFKQDWRSRTRVEILQYVSLKWTLVLLIGLSTGLVGFFNNLAVENIAGFKLFLTNNLMLQEKYYQAFAAFACCNMVLAAVAAALCAYISPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSVFGVAAGFVVGKEGPMVHTGACIASLFGQGGSRKYHLTWKCLRYFKNDRDRRDLITCGAAAGVAAAFCAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVLLRGFIEFCKGGKCGLFGEGGLIMFDVNSAKVAYSSTDLLAVISVGAIGGILGSLYNFLVDKVLRTYSIINKRGPSCKILLVVTISLLTSCCSYGLPWLSPCIPCPRRLEDHCPTIGRSGNYKNFQCPPKHYNGLASLFFSTNDDAIRKVLSSSSDGEFHLSTLFGFFVAVYCLGIVTYGIAVPSGLFIPVILAGSSYGRLVGTLLGSHCELDAGLFSLLGAASFLGGTMRTTVSLCVILLELTNNLLMLPLMMLVLLISKSVADCFNKGVYDQIVKMKGLPYMEAHSEPYMRHLVASDVLSGPLVSFSGVEKVGNILHALKTRAHNGFPVIDEPPFSNAAELCGLVLRSHLLVLLKEKKFTKLRVLMGSADIMDRLKAHDFGKAGLGKGVRLEDLDIEEEEMEMYIDLHPIANTSPYTVVETMSLAKAAIFFRELGLRHLLVVPKTPGKPPVVGILTRHDFMAEHILGLYPHFNPHD >ONI28455 pep chromosome:Prunus_persica_NCBIv2:G1:11211440:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPCEAKSLICIRLLIHCPVAWSFSNIQSLYLCKSPRR >ONI28435 pep chromosome:Prunus_persica_NCBIv2:G1:11209601:11217202:-1 gene:PRUPE_1G142600 transcript:ONI28435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVADRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28451 pep chromosome:Prunus_persica_NCBIv2:G1:11210265:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMILIAKQRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28448 pep chromosome:Prunus_persica_NCBIv2:G1:11210265:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMILIAKQRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28440 pep chromosome:Prunus_persica_NCBIv2:G1:11209997:11217200:-1 gene:PRUPE_1G142600 transcript:ONI28440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVADRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMILIAKQRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28438 pep chromosome:Prunus_persica_NCBIv2:G1:11210005:11217200:-1 gene:PRUPE_1G142600 transcript:ONI28438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVADRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28450 pep chromosome:Prunus_persica_NCBIv2:G1:11210265:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMILIAKQRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28442 pep chromosome:Prunus_persica_NCBIv2:G1:11210265:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28453 pep chromosome:Prunus_persica_NCBIv2:G1:11210265:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMILIAKQRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28439 pep chromosome:Prunus_persica_NCBIv2:G1:11209997:11217200:-1 gene:PRUPE_1G142600 transcript:ONI28439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVADRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMILIAKQRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28437 pep chromosome:Prunus_persica_NCBIv2:G1:11209601:11217202:-1 gene:PRUPE_1G142600 transcript:ONI28437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVADRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28436 pep chromosome:Prunus_persica_NCBIv2:G1:11209894:11217202:-1 gene:PRUPE_1G142600 transcript:ONI28436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVADRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28444 pep chromosome:Prunus_persica_NCBIv2:G1:11210265:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28454 pep chromosome:Prunus_persica_NCBIv2:G1:11211307:11217202:-1 gene:PRUPE_1G142600 transcript:ONI28454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPCEAKSLICIRLLIHCPVAWSFSNIQSLYLCKSPRR >ONI28445 pep chromosome:Prunus_persica_NCBIv2:G1:11209932:11217200:-1 gene:PRUPE_1G142600 transcript:ONI28445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28443 pep chromosome:Prunus_persica_NCBIv2:G1:11210265:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28456 pep chromosome:Prunus_persica_NCBIv2:G1:11211440:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPCEAKSLICIRLLIHCPVAWSFSNIQSLYLCKSPRR >ONI28452 pep chromosome:Prunus_persica_NCBIv2:G1:11210265:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMILIAKQRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28446 pep chromosome:Prunus_persica_NCBIv2:G1:11210005:11217200:-1 gene:PRUPE_1G142600 transcript:ONI28446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28449 pep chromosome:Prunus_persica_NCBIv2:G1:11210265:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMILIAKQRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28447 pep chromosome:Prunus_persica_NCBIv2:G1:11210005:11217200:-1 gene:PRUPE_1G142600 transcript:ONI28447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI28441 pep chromosome:Prunus_persica_NCBIv2:G1:11210265:11216119:-1 gene:PRUPE_1G142600 transcript:ONI28441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLASGSVTQSIAEEVGHQKFDAQMICRELSDANEANLLDEEDMHVFGLKPMDDPLHLVCCNACKRPVKASQYVEHAELCRSLNAMQETTLEPDGSMGQRKPPRKEKKKLLTAYANQSTSVGELERSESVEADDIAVSQPQLDGQIGMNSCCFMETKRNSAYVDATYMMDGSGVSPGNTNGSTCVMLPPTKRSKMVAGEQLPLSDDIGIVSAVSKLTSTQDACPYRDSSKGVISVSDIPNDSALKYKKSGQALECCMPIKDCPLPLATKVYYSQKSNRLRSALCHLYHEAVASTKELCSDMRDSQSLPSMRKPDQILAQNSEVCLGNSVGSLPDGDFSNQFPVDNVPRPQVAGVGLTRSKILSKPYSFAGSSGQSLGTMQQQNGSVHVI >ONI29078 pep chromosome:Prunus_persica_NCBIv2:G1:15531797:15533902:1 gene:PRUPE_1G179700 transcript:ONI29078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQEHIVMLPFMAQGHLIPFLALARKIQQRTGFTITIASTTLNIQYLSATIASTSNSQSDSNIHLAELSFCSTDYGLPPNAESTEDLPLSKIGDFVAASTSLEAPAHRLILDIIAKEGRPPLCIVSDMYFGWAANLANNLSTVHVTFTTGGAYGSAALVSIWLSLPHRCTASDEFPVPGFPESYRFHISQLNPYLKAADGKDSGSRIFQPQISLSTKSFGWLCSTVEAIEPFGLEILRNYLRLPVWSIGPLLPTDALKNSSTLDSSVSRQRAGKELGIPAETCLKWLDSHGSDSVIYISFGSQNTISATQMMELAIALEESGRPFIWVIRPPVGYDMKGEFRVEWLPQGFEDRMSKRKQGLLVHNWAPQLEILSHKSTSVFVSHCGWNSVMESLSQGVPIIGWPLGAEQAFNSKMLVEEMGVSVELTRGGQSDIARKEVKRLIELVMDKSGKGGEMREKACEIKEQIRAAIREEAEDKGSFVKAMDDFVATILSTRQGL >ONI26120 pep chromosome:Prunus_persica_NCBIv2:G1:447859:451081:-1 gene:PRUPE_1G005000 transcript:ONI26120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLARSICFYLLTISSFLFSQARAEGTASVFFIDSSTHRFLRSPSSNDVVKPDSMLLPEVSAAVSVLLGFAPPVTLSAAGSAKLNEVLMPNPFNRPRAVFVLEVRGIDDPSLIVKDNAMFSSAYSANIDFGSNKADIELPDQGEVSVFSLDEQLADYTVKEIGDFASWMGGSYVVDTLEPLNGELSIPLANGDNLKLHMSKEADRIFTTSLLSLIRNFRSAMEMHQDLSHSIHSPAELLTGCFDRIKVFCKSSMELKVLLMEWSCYLLHCPRYLTHSMMHTKVKLLELSFSMELHLWSQGRC >ONI26116 pep chromosome:Prunus_persica_NCBIv2:G1:447248:451081:-1 gene:PRUPE_1G005000 transcript:ONI26116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLARSICFYLLTISSFLFSQAEGTASVFFIDSSTHRFLRSPSSNDVVKPDSMLLPEVSAAVSVLLGFAPPVTLSAAGSAKLNEVLMPNPFNRPRAVFVLEVRGIDDPSLIVKDNAMFSSAYSANIDFGSNKADIELPDQGEVSVFSLDEQLADYTVKEIGDFASWMGGSYVVDTLEPLNGELSIPLANGDNLKLHMSKEADRIFTTSLLSLIRNFRSAMEMHQDLSHSIHSPAELLTGCFDRIKVLQEQYGTEGAAHGVELLLATLSKIFDSLDDAYKGQIVGAILFNGVAPVESGKMLNVMFTCQPSPRWLAEKEVPKDTKIVQVLLVRRTLAWITGIILIISTLLGVCFLLNMPVTRDTLLYSNVKLD >ONI26117 pep chromosome:Prunus_persica_NCBIv2:G1:446728:451273:-1 gene:PRUPE_1G005000 transcript:ONI26117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLARSICFYLLTISSFLFSQAEGTASVFFIDSSTHRFLRSPSSNDVVKPDSMLLPEVSAAVSVLLGFAPPVTLSAAGSAKLNEVLMPNPFNRPRAVFVLEVRGIDDPSLIVKDNAMFSSAYSANIDFGSNKADIELPDQGEVSVFSLDEQLADYTVKEIGDFASWMGGSYVVDTLEPLNGELSIPLANGDNLKLHMSKEADRIFTTSLLSLIRNFRSAMEMHQDLSHSIHSPAELLTGCFDRIKVLQEQYGTEGAAHGVELLLATLSKIFDSLDDAYKGQIVGAILFNGVAPVESGKMLNVMFTCQPSPRWLAEKEVPKDTKIVQVLLVRRTLAWITGIILIISTLLGVCFLLNMPVTRDTLLYSNVKLD >ONI26118 pep chromosome:Prunus_persica_NCBIv2:G1:446773:451123:-1 gene:PRUPE_1G005000 transcript:ONI26118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLARSICFYLLTISSFLFSQARAEGTASVFFIDSSTHRFLRSPSSNDVVKPDSMLLPEVSAAVSVLLGFAPPVTLSAAGSAKLNEVLMPNPFNRPRAVFVLEVRGIDDPSLIVKDNAMFSSAYSANIDFGSNKADIELPDQGEVSVFSLDEQLADYTVKEIGDFASWMGGSYVVDTLEPLNGELSIPLANGDNLKLHMSKEADRIFTTSLLSLIRNFRSAMEMHQDLSHSIHSPAELLTGCFDRIKVLQEQYGTEGAAHGVELLLATLSKIFDSLDDAYKGQIVGAILFNGVAPVESGKMLNVMFTCQPSPRWLAEKEVPKDTKIVQVLLVRRTLAWITGIILIISTLLGVCFLLNMPVTRDTLLYSNVKLD >ONI26119 pep chromosome:Prunus_persica_NCBIv2:G1:446728:451273:-1 gene:PRUPE_1G005000 transcript:ONI26119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLARSICFYLLTISSFLFSQARAEGTASVFFIDSSTHRFLRSPSSNDVVKPDSMLLPEVSAAVSVLLGFAPPVTLSAAGSAKLNEVLMPNPFNRPRAVFVLEVRGIDDPSLIVKDNAMFSSAYSANIDFGSNKADIELPDQGEVSVFSLDEQLADYTVKEIGDFASWMGGSYVVDTLEPLNGELSIPLANGDNLKLHMSKEADRIFTTSLLSLIRNFRSAMEMHQDLSHSIHSPAELLTGCFDRIKVLQEQYGTEGAAHGVELLLATLSKIFDSLDDAYKGQIVGAILFNGVAPVESGKMLNVMFTCQPSPRWLAEKEVPKDTKIVQVLLVRRTLAWITGIILIISTLLGVCFLLNMPVTRDTLLYSNVKLD >ONI33065 pep chromosome:Prunus_persica_NCBIv2:G1:35524249:35539509:-1 gene:PRUPE_1G403500 transcript:ONI33065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRGSKVWVEDRDLAWAPAEVADCRGKQVQVINASGKKVLASAEKLFPRDADEDEHGGVDDMTKLTYLNEPGVLYNLQRRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADASYRAMMNDGQSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAGDERTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGKDAEKYKLGHPSHFHYLNQSKVYELDGVSNAEEYMKTRTAMDIVGISHEDQEAIFRTLAAILHLGNIEFSPGKEHDSSVLKDQKSSFHMQMAANLFMCDMNLLLATLCTRTIQTREGIIIKALDCNAAVSSRDALAKTVYARLFDWLVDKINTTVGQDLNSQIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYSKEEIDWSYIEFIDNQDVLDLIEKKPVGIIALLDEACMFPKSTHQSFSTRLFQLFRAHPRLEKAKFSETDFTMSHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCPFVAGLFCSLPEESSRSSYKFSSVATRFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQKFENPSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLTPEFMYGSYDEKATTEKILKKLKLENFQLGRTKVFLRAGQIGVLDSRRTDVLDNAAKRIQRQLRTFVARRDFVSTRAAALGLQAFCRGCLARVLYAVKREAAAAILIQKHVRRWLLKEAYMELYSAATVIQSNIRGFSIRQRFLHGKKHKAATFIQARWRMCKVRSAFQHHQASIVAIQSLWRRKLARRELRRLKQEANESGALRLAKSKLEKQLEDLTWRLHLEKRLRVSNEEAKSVEISKLQKVLESLSLELDASKLATINECNKTAVLQNQLELSVKEKSALERELIGMAELRRENAFLKSSMDALDKKNSALETELLKVRKDSTDTIQKLQEFEQKCYQLQQNVKSLEEKLLLLEDENHIMRQKALSVSAKSNRRGFEKSVTEKNPGALVPLTDQKPAFESPTPTKLIAPFSHGLSESRRSKLAVERHQENYEFLSRCVKEDLGFKDSKPLAACIIYKCLLQWHAFESERTVIFDHIIEGINDVLKVGDENITLPYWLSNASALLCLLQRNLRPNGFTATQRSGSSGLAIRIAQGLTSPLKYIGYEDGMSHLEARYPAILFKQQLTACVEKIFGLMRDSLKKELAPLLGSCIQAPKAARVHAGKSSRSPGNAPQQLPGSQWDNIIKFLDTLMSRLRGNHVPSFFIRKLITQVFSFINMSLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNTGEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLDEIRQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVAQMREILNKDNQNLTSNSFLLDDDLSIPFSTEDIDKAIPLIDPSDIELPSFLSAYSCVQFLVRPQN >ONI33066 pep chromosome:Prunus_persica_NCBIv2:G1:35524536:35539159:-1 gene:PRUPE_1G403500 transcript:ONI33066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRGSKVWVEDRDLAWAPAEVADCRGKQVQVINASGKKVLASAEKLFPRDADEDEHGGVDDMTKLTYLNEPGVLYNLQRRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADASYRAMMNDGQSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAGDERTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGKDAEKYKLGHPSHFHYLNQSKVYELDGVSNAEEYMKTRTAMDIVGISHEDQEAIFRTLAAILHLGNIEFSPGKEHDSSVLKDQKSSFHMQMAANLFMCDMNLLLATLCTRTIQTREGIIIKALDCNAAVSSRDALAKTVYARLFDWLVDKINTTVGQDLNSQIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYSKEEIDWSYIEFIDNQDVLDLIEKKPVGIIALLDEACMFPKSTHQSFSTRLFQLFRAHPRLEKAKFSETDFTMSHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCPFVAGLFCSLPEESSRSSYKFSSVATRFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQKFENPSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLTPEFMYGSYDEKATTEKILKKLKLENFQLGRTKVFLRAGQIGVLDSRRTDVLDNAAKRIQRQLRTFVARRDFVSTRAAALGLQAFCRGCLARVLYAVKREAAAAILIQKHVRRWLLKEAYMELYSAATVIQSNIRGFSIRQRFLHGKKHKAATFIQARWRMCKVRSAFQHHQASIVAIQSLWRRKLARRELRRLKQEANESGALRLAKSKLEKQLEDLTWRLHLEKRLRVSNEEAKSVEISKLQKVLESLSLELDASKLATINECNKTAVLQNQLELSVKEKSALERELIGMAELRRENAFLKSSMDALDKKNSALETELLKVRKDSTDTIQKLQEFEQKCYQLQQNVKRCFSDIHNPLSSVYFSLVNLEEKLLLLEDENHIMRQKALSVSAKSNRRGFEKSVTEKNPGALVPLTDQKPAFESPTPTKLIAPFSHGLSESRRSKLAVERHQENYEFLSRCVKEDLGFKDSKPLAACIIYKCLLQWHAFESERTVIFDHIIEGINDVLKVGDENITLPYWLSNASALLCLLQRNLRPNGFTATQRSGSSGLAIRIAQGLTSPLKYIGYEDGMSHLEARYPAILFKQQLTACVEKIFGLMRDSLKKELAPLLGSCIQAPKAARVHAGKSSRSPGNAPQQLPGSQWDNIIKFLDTLMSRLRGNHVPSFFIRKLITQVFSFINMSLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNTGEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLDEIRQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVAQMREILNKDNQNLTSNSFLLDDDLSIPFSTEDIDKAIPLIDPSDIELPSFLSAYSCVQFLVRPQN >ONI33068 pep chromosome:Prunus_persica_NCBIv2:G1:35524252:35535876:-1 gene:PRUPE_1G403500 transcript:ONI33068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAANLFMCDMNLLLATLCTRTIQTREGIIIKALDCNAAVSSRDALAKTVYARLFDWLVDKINTTVGQDLNSQIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYSKEEIDWSYIEFIDNQDVLDLIEKKPVGIIALLDEACMFPKSTHQSFSTRLFQLFRAHPRLEKAKFSETDFTMSHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCPFVAGLFCSLPEESSRSSYKFSSVATRFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQKFENPSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLTPEFMYGSYDEKATTEKILKKLKLENFQLGRTKVFLRAGQIGVLDSRRTDVLDNAAKRIQRQLRTFVARRDFVSTRAAALGLQAFCRGCLARVLYAVKREAAAAILIQKHVRRWLLKEAYMELYSAATVIQSNIRGFSIRQRFLHGKKHKAATFIQARWRMCKVRSAFQHHQASIVAIQSLWRRKLARRELRRLKQEANESGALRLAKSKLEKQLEDLTWRLHLEKRLRVSNEEAKSVEISKLQKVLESLSLELDASKLATINECNKTAVLQNQLELSVKEKSALERELIGMAELRRENAFLKSSMDALDKKNSALETELLKVRKDSTDTIQKLQEFEQKCYQLQQNVKSLEEKLLLLEDENHIMRQKALSVSAKSNRRGFEKSVTEKNPGALVPLTDQKPAFESPTPTKLIAPFSHGLSESRRSKLAVERHQENYEFLSRCVKEDLGFKDSKPLAACIIYKCLLQWHAFESERTVIFDHIIEGINDVLKVGDENITLPYWLSNASALLCLLQRNLRPNGFTATQRSGSSGLAIRIAQGLTSPLKYIGYEDGMSHLEARYPAILFKQQLTACVEKIFGLMRDSLKKELAPLLGSCIQAPKAARVHAGKSSRSPGNAPQQLPGSQWDNIIKFLDTLMSRLRGNHVPSFFIRKLITQVFSFINMSLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNTGEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLDEIRQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVAQMREILNKDNQNLTSNSFLLDDDLSIPFSTEDIDKAIPLIDPSDIELPSFLSAYSCVQFLVRPQN >ONI33067 pep chromosome:Prunus_persica_NCBIv2:G1:35524259:35539474:-1 gene:PRUPE_1G403500 transcript:ONI33067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRGSKVWVEDRDLAWAPAEVADCRGKQVQVINASGKKVLASAEKLFPRDADEDEHGGVDDMTKLTYLNEPGVLYNLQRRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADASYRAMMNDGQSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAGDERTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGKDAEKYKLGHPSHFHYLNQSKVYELDGVSNAEEYMKTRTAMDIVGISHEDQEAIFRTLAAILHLGNIEFSPGKEHDSSVLKDQKSSFHMQMAANLFMCDMNLLLATLCTRTIQTREGIIIKALDCNAAVSSRDALAKTVYARLFDWLVDKINTTVGQDLNSQIQIGVLDIYGFECFKDNSFEQFCINFANEKLQQHFNEHVFKMEQEEYSKEEIDWSYIEFIDNQDVLDLIEKKPVGIIALLDEACMFPKSTHQSFSTRLFQLFRAHPRLEKAKFSETDFTMSHYAGKVTYHTDTFLDKNRDYVVVEHCNLLSSSKCPFVAGLFCSLPEESSRSSYKFSSVATRFKQQLQALMETLNSTEPHYIRCVKPNSLNRPQKFENPSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLTPEFMYGSYDEKATTEKILKKLKLENFQLGRTKVFLRAGQIGVLDSRRTDVLDNAAKRIQRQLRTFVARRDFVSTRAAALGLQAFCRGCLARVLYAVKREAAAAILIQKHVRRWLLKEAYMELYSAATVIQSNIRGFSIRQRFLHGKKHKAATFIQARWRMCKVRSAFQHHQASIVAIQSLWRRKLARRELRRLKQEANESGALRLAKSKLEKQLEDLTWRLHLEKRLRVSNEEAKSVEISKLQKVLESLSLELDASKLATINECNKTAVLQNQLELSVKEKSALERELIGMAELRRENAFLKSSMDALDKKNSALETELLKVRKDSTDTIQKLQEFEQKCYQLQQNVKRCFSDIHNPLSSVYFSLVNLEEKLLLLEDENHIMRQKALSVSAKSNRRGFEKSVTEKNPGALVPLTDQKPAFESPTPTKLIAPFSHGLSESRRSKLAVERHQENYEFLSRCVKEDLGFKDSKPLAACIIYKCLLQWHAFESERTVIFDHIIEGINDVLKVGDENITLPYWLSNASALLCLLQRNLRPNGFTATQRSGSSGLAIRIAQGLTSPLKYIGYEDGMSHLEARYPAILFKQQLTACVEKIFGLMRDSLKKELAPLLGSCIQAPKAARVHAGKSSRSPGNAPQQLPGSQWDNIIKFLDTLMSRLRGNHVPSFFIRKLITQVFSFINMSLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNTGEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLDEIRQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVAQMREILNKDNQNLTSNSFLLDDDLSIPFSTEDIDKAIPLIDPSDIELPSFLSAYSCVQFLVRPQN >ONI26777 pep chromosome:Prunus_persica_NCBIv2:G1:3219846:3221032:-1 gene:PRUPE_1G045000 transcript:ONI26777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLSLLAMLLFLLLASTSKAHASVFDVMSATYSAKPGSDVSKALAKAWSDACASPSRDIQVKRSNFQRSLPIEMQVRGTLQALADAGQLTRPDTWVGFQYIDMLTLSGGGTFDGQGALAWGQNDCHKNKNCKPIPINLRFEFLTHSKVQDITSLNSKNTFQHLTITAPDESRNTDGIHIGASTSINITHAKIGTGDDCASIGDDSHQITVTDVTCGPGHGISIGSLGRYKEEKDVTGIIVKNCTLTNTQNDVRIKTWPDSPSPSTASDIHYEDITMVNVSKPILIDQLYCPYTRCDQKPPSKVKISNVSFKNIRGSSFTPLAVKLVCTRGIPCENVELTNIDLTYGGDQGPLTSLCSNVKPRITDVIKALACATSSLVPLPLSKK >ONI29060 pep chromosome:Prunus_persica_NCBIv2:G1:15290862:15291239:-1 gene:PRUPE_1G178300 transcript:ONI29060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSREMTILCWNVRGLGNPRTFRALKYLLRDKKTDVIFLSETKKTIQQMAGVSAQLGAMGHFSVSRNSRAGGLALLWQHGVKVSIRSISSGHIDVMIEGVAQTCFHFTGFYGNPELASRKYSWE >ONI34269 pep chromosome:Prunus_persica_NCBIv2:G1:39272741:39274063:-1 gene:PRUPE_1G472200 transcript:ONI34269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYHGTELGMKKRSIMFPVGRIHRLLKSRVSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKD >ONI34268 pep chromosome:Prunus_persica_NCBIv2:G1:39272424:39274804:-1 gene:PRUPE_1G472200 transcript:ONI34268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLIMGKSPAINKDKDKDKKKPISRSSRAGLQFPVGRIHRLLKSRVSANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKD >ONI36015 pep chromosome:Prunus_persica_NCBIv2:G1:46127770:46130765:1 gene:PRUPE_1G565000 transcript:ONI36015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFVFFGNSGSKSMEPRAALSKAVDEASKLAGKQQYEKRAQNVVEEKNLAVAISVHERVGKYIYIMDCHGCPNGNANIWRFVLCLLIAIAGFAPNPSLAKKTKVDGLKLNVIDGCWRWNSDWRSNRQELALCSVGFSGKMSNNIGRDVIYYQVTDPSDNALDPKPGTLRYGVTMIKGKKWITFQRDMRIRLDKPLLISSFTAIDGRGANVHIAGNACLLVFQVQGKIMPIGQVDGDAIRLVTASKVWIDHNTLYECQDGLLDVTRGSTHITISNNWFRDQDKVMLLGHDDGYFRDKNMRVTVVYNHFGPNCNQRMPRIRYGYAHVVNNLYREWSQYAIGGSMNPSVKSEANLFIAPKSGNKKEITWRKDSVGDKESWNTTTKKQKDDGKSPSCIWFFNHYKEKPFWRPPVLVAKNCQFVAKTL >ONI28510 pep chromosome:Prunus_persica_NCBIv2:G1:11387427:11392694:1 gene:PRUPE_1G145000 transcript:ONI28510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRTRFGKDNSACDSGNAVEGSGSSKGPNEVSNDFDHEIAQLTKHRSRPHQLLSQDMPGKSRLLVSTMKMLVGRESNHSGRGRFSSADGCHVLSRYLPINGPWGVDESTSPAYVSQFSNDGLFFVAGFQGGHIKIYNVDKGWKVQKDILTKSLRWTITDTSLSPDQRYLVYASMTPTVNIVNVGSSMTESLANVTEIHEGLDFSVDGDEDEFGIFSVRFSTDGRELVAASSDASIYVYDLQANKVNLRIPAHSSDVNTVCFADETGHLIYSGSDDNLCKVWDRRCLITKGQAAGVLMGHLEGVTFLDSRGDGRYLISNGKDQTTKLWDIRKMSSRAMYSPRLRDHDWDYRWMEYPAHAKTLKHPNDQSLATYRGHGVLRTLIRCYFSPAYSTGQKYIYTGSSDHCVYIYDLVTGAQVARLNHHEGPVRDCSWHPLYPMLVSSSWDGTIARWEFPGDDQVPTLERPRARRRRGYYNY >ONI28511 pep chromosome:Prunus_persica_NCBIv2:G1:11387427:11392694:1 gene:PRUPE_1G145000 transcript:ONI28511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRTRFGKDNSACDSGNAVEGSGSSKGPNEVSNDFDHEIAQLTKHRSRPHQLLSQDMPGKSRLLVSTMKMLVGRESNHSGRGRFSSADGCHVLSRYLPINGPWGVDESTSPAYVSQFSNDGLFFVAGFQGGHIKIYNVDKGWKVQKDILTKSLRWTITDTSLSPDQRYLVYASMTPTVNIVNVGSSMTESLANVTEIHEGLDFSVDGDEDEFGIFSVRFSTDGRELVAASSDASIYVYDLQANKVNLRIPAHSSDVNTVCFADETGHLIYSGSDDNLCKVWDRRCLITKGQAAGVLMGHLEGVTFLDSRGDGRYLISNGKDQTTKLWDIRKMSSRAMYPRLRDHDWDYRWMEYPAHAKTLKHPNDQSLATYRGHGVLRTLIRCYFSPAYSTGQKYIYTGSSDHCVYIYDLVTGAQVARLNHHEGPVRDCSWHPLYPMLVSSSWDGTIARWEFPGDDQVPTLERPRARRRRGYYNY >ONI29243 pep chromosome:Prunus_persica_NCBIv2:G1:17447905:17455673:-1 gene:PRUPE_1G189300 transcript:ONI29243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLTSLTYKGSIPEAITEAKKQRKLFVVYISGKNDESSRLENSTWTDVNVADSVAKYCLLLHIPEESTDAANFSAIYPQKSIPCITAIGYNGVQIWQNEGFVSAEVLASSLEKAWLGIHIQETTATVLSAALASNNSEPSTSGVPNTVSTDEGSSSSTNQGRSSSAAVPLPSIDTNDQSPDAIDAVSDTVKKNKGRDCRVEEKNNDLGYKTSSKSFDANGLECVGDEQSSSPMKSAQGVQDIDMEDLNNSGADNVSSIAEVGYSGPEKTTLNHSGVSGEASQAYSSEKNEALQVERGEVKDDKKVDAFEKCTEVSKSSDVHLNIRLPNGVSLKQKFSVTSTVRMVKDYVDENQGSGIGTYDLAIPYPRKVFSNQELNESLSDLGLFDRQALIVVPHQQGTSYQRGRSAFSEQIDSRNTGSSSNGSNGGYFSYVKGFLSYFNPLSYFGGGANSSSSGQQSQNGMWEYSPNSARQNNSTESISTSSRNEGKKNRQPPASGFGSNIHTLKHDEDDERFSDRNAFWNGNSTQYGGGNDDGK >ONI29246 pep chromosome:Prunus_persica_NCBIv2:G1:17448023:17456604:-1 gene:PRUPE_1G189300 transcript:ONI29246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLTSLTYKGSIPEAITEAKKQRKLFVVYISGKNDESSRLENSTWTDVNVADSVAKYCLLLHIPEESTDAANFSAIYPQKSIPCITAIGYNGVQIWQNEGFVSAEVLASSLEKAWLGIHIQETTATVLSAALASNNSEPSTSGVPNTVSTDEGSSSSTNQGRSSSAAVPLPSIDTNDQSPDAIDAVSDTVKKNKGRDCRVEEKNNDLGYKTSSKSFDANGLECVGDEQSSSPMKSAQGVQDIDMEDLNNSGADNVSSIAEVGYSGPEKTTLNHSGVSGEASQAYSSEKNEALQVERGEVKDDKKVDAFEKCTEVSKSSDVHLNIRLPNGVSLKQKFSVTSTVRMVKDYVDENQGSGIGTYDLAIPYPRKVFSNQELNESLSDLGLFDRQALIVVPHQQGTSYQRGRSAFSEQIDSRNTGSSSNGSNGGYFSYVKGFLSYFNPLSYFGGGANSSSSGQQSQNGMWEYKLTSRENKL >ONI29244 pep chromosome:Prunus_persica_NCBIv2:G1:17448023:17456638:-1 gene:PRUPE_1G189300 transcript:ONI29244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLTSLTYKGSIPEAITEAKKQRKLFVVYISGKNDESSRLENSTWTDVNVADSVAKYCLLLHIPEESTDAANFSAIYPQKSIPCITAIGYNGVQIWQNEGFVSAEVLASSLEKAWLGIHIQETTATVLSAALASNNSEPSTSGVPNTVSTDEGSSSSTNQGRSSSAAVPLPSIDTNDQSPDAIDAVSDTVKKNKGRDCRVEEKNNDLGYKTSSKSFDANGLECVGDEQSSSPMKSAQGVQDIDMEDLNNSGADNVSSIAEVGYSGPEKTTLNHSGVSGEASQAYSSEKNEALQVERGEVKDDKKVDAFEKCTEVSKSSDVHLNIRLPNGVSLKQKFSVTSTVRMVKDYVDENQGSGIGTYDLAIPYPRKVFSNQELNESLSDLGLFDRQALIVVPHQQGTSYQRGRSAFSEQIDSRNTGSSSNGSNGGYFSYVKGFLSYFNPLSYFGGGANSSSSGQQSQNGMWEYSPNSARQNNSTESISTSSRNEGKKNRQPPASGFGSNIHTLKHDEDDERFSDRNAFWNGNSTQYGGGNDDGK >ONI29248 pep chromosome:Prunus_persica_NCBIv2:G1:17448998:17455353:-1 gene:PRUPE_1G189300 transcript:ONI29248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLTSLTYKGSIPEAITEAKKQRKLFVVYISGKNDESSRLENSTWTDVNVADSVAKYCLLLHIPEESTDAANFSAIYPQKSIPCITAIGYNGVQIWQNEGFVSAEVLASSLEKAWLGIHIQETTATVLSAALASNNSEPSTSGVPNTVSTDEGSSSSTNQGRSSSAAVPLPSIDTNDQSPDAIDAVSDTVKKNKGRDCRVEEKNNDLGYKTSSKSFDANGLECVGDEQSSSPMKSAQGVQDIDMEDLNNSGADNVSSIAEVGYSGPEKTTLNHSGVSGEASQAYSSEKNEALQVERGEVKDDKKVDAFEKCTEVSKSSDVHLNIRLPNGVSLKQKFSVTSTVRMVKDYVDENQGSGIGTYDLAIPYPRKVFSNQELNESLSDLGLFDRQALIVVPHQQGTSYQRGRSAFSEQIDSRNTGSSSNGSNGGYFSYVKGFLSYFNPLSYFGGGANSSSSGQQSQNGMWEYIPKCSTQ >ONI29245 pep chromosome:Prunus_persica_NCBIv2:G1:17448908:17455353:-1 gene:PRUPE_1G189300 transcript:ONI29245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLTSLTYKGSIPEAITEAKKQRKLFVVYISGKNDESSRLENSTWTDVNVADSVAKYCLLLHIPEESTDAANFSAIYPQKSIPCITAIGYNGVQIWQNEGFVSAEVLASSLEKAWLGIHIQETTATVLSAALASNNSEPSTSGVPNTVSTDEGSSSSTNQGRSSSAAVPLPSIDTNDQSPDAIDAVSDTVKKNKGRDCRVESSSPMKSAQGVQDIDMEDLNNSGADNVSSIAEVGYSGPEKTTLNHSGVSGEASQAYSSEKNEALQVERGEVKDDKKVDAFEKCTEVSKSSDVHLNIRLPNGVSLKQKFSVTSTVRMVKDYVDENQGSGIGTYDLAIPYPRKVFSNQELNESLSDLGLFDRQALIVVPHQQGTSYQRGRSAFSEQIDSRNTGSSSNGSNGGYFSYVKGFLSYFNPLSYFGGGANSSSSGQQSQNGMWEYKLTSRENKL >ONI29247 pep chromosome:Prunus_persica_NCBIv2:G1:17448908:17455353:-1 gene:PRUPE_1G189300 transcript:ONI29247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLTSLTYKGSIPEAITEAKKQRKLFVVYISGKNDESSRLENSTWTDVNVADSVAKYCLLLHIPEESTDAANFSAIYPQKSIPCITAIGYNGVQIWQNEGFVSAEVLASSLEKAWLGIHIQETTATVLSAALASNNSEPSTSGVPNTVSTDEGSSSSTNQGRSSSAAVPLPSIDTNDQSPDAIDAVSDTVKKNKGRDCRVEEKNNDLGYKTSSKSFDANGLECVGDEQSSSPMKSAQGVQDIDMEDLNNSGADNVSSIAEVGYSGPEKTTLNHSGVSGEASQAYSSEKNEALQVERGEVKDDKKVDAFEKCTEVSKSSDVHLNIRLPNGVSLKQKFSVTSTVRMVKDYVDENQGSGIGTYDLAIPYPRKVFSNQELNESLSDLGLFDRQALIVVPHQQGTSYQRGRSAFSEQIDSRNTGSSSNGSNGGYFSYVKGFLSYFNPLSYFGGGANSSSSGQQSQNGMWEYKLTSRENKL >ONI29242 pep chromosome:Prunus_persica_NCBIv2:G1:17448023:17456604:-1 gene:PRUPE_1G189300 transcript:ONI29242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLTSLTYKGSIPEAITEAKKQRKLFVVYISGKNDESSRLENSTWTDVNVADSVAKYCLLLHIPEESTDAANFSAIYPQKSIPCITAIGYNGVQIWQNEGFVSAEVLASSLEKAWLGIHIQETTATVLSAALASNNSEPSTSGVPNTVSTDEGSSSSTNQGRSSSAAVPLPSIDTNDQSPDAIDAVSDTVKKNKGRDCRVESSSPMKSAQGVQDIDMEDLNNSGADNVSSIAEVGYSGPEKTTLNHSGVSGEASQAYSSEKNEALQVERGEVKDDKKVDAFEKCTEVSKSSDVHLNIRLPNGVSLKQKFSVTSTVRMVKDYVDENQGSGIGTYDLAIPYPRKVFSNQELNESLSDLGLFDRQALIVVPHQQGTSYQRGRSAFSEQIDSRNTGSSSNGSNGGYFSYVKGFLSYFNPLSYFGGGANSSSSGQQSQNGMWEYSPNSARQNNSTESISTSSRNEGKKNRQPPASGFGSNIHTLKHDEDDERFSDRNAFWNGNSTQYGGGNDDGK >ONI32279 pep chromosome:Prunus_persica_NCBIv2:G1:33126122:33131599:1 gene:PRUPE_1G358000 transcript:ONI32279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDWTQLPPELVESIAKKLTIYADYLRFRVVCHSWLACVPKTPQHLPPQLPWLMLPQSQPNQTHRAFFNLSNSRVHFLHLPEASHRKRRCGSSHGWLVILHETPTVLLVNPLTRAKRHLPPLSAFPNVVRFDYSEVGREYALQSSSGDVYTRSLAQMRDSFLKKVVLSSSPLEASGFTALAILSQTGDLAYCRDGDQSWTFIDGARSFSEDVISVNGLFYAVDSKGVVAVCDVEGPSAPRVAIIPTPRLDDAADMRYLVNLGADLLLVSRYLEIERWDNTNVNYRTTGFVVFRMNWAGARWEKVENLGERMVFIGENSSFSLSASDFPGSVGNCIYFTDDYSESNYESGLGGYDSGIFRIWDGTIQELPPYPRNSNYEVHWPPGSLPLWVIPNPC >ONI30376 pep chromosome:Prunus_persica_NCBIv2:G1:26026889:26029915:-1 gene:PRUPE_1G247400 transcript:ONI30376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLNPEPSAFTSISSISTAKPRNLTHSHTQAFLKLPANNGHLSNGRRLALTTRATLDSVKIDQSGISETDIRNPTVSSSYRSSNLPKPNQTVLDAQARVCTGPTQTRPLGEDQAFKVLDTIYRSVKGELIDEEPVSKSQLGAFFAAMAIRANAFPEGTQWSEGESRAMNQFWPLLVRALPADVVFIADPEGSLMGTGSSIGPQFVGNGTREMRLVGVLREVLAGGHLGFEEVQGVLRDVLPLKSMEDGTSTGVSEALLSALLIGQRMNRETDRELKAYCLAFDDELGATPIADVKSLTHYGEPYDGNTRFFRSTLFVAAVRSCYAESSLLHGVEWMPPKGGVTEEQMLKFMGANTSLTPLQAKILLEDDEIGFAYISQREARPSLYSLVKLREHIKKRPPLATTEKVQQFVKARGKEAIVTGFYHEGYEEPLLMLMKRRGVNSGLVVKGEEGALSMTTRLRSVNSSRGIPVNYCSGFRSLSMASACEVDGSCIKFLPSKLKPESWFAGVSRESFSLEVNARDYGFEPTDTPRTDRSVSKNIELGLTALRGNKGPAYDRIVLNAGMVDHLLGCDGAEDIYVALDRAREAIDSGKALKRLLDYIKISNEVG >ONI30373 pep chromosome:Prunus_persica_NCBIv2:G1:26026634:26030007:-1 gene:PRUPE_1G247400 transcript:ONI30373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLNPEPSAFTSISSISTAKPRNLTHSHTQAFLKLPANNGHLSNGRRLALTTRATLDSVKIDQSGISETDIRNPTVSSSYRSSNLPKPNQTVLDAQARVCTGPTQTRPLGEDQAFKVLDTIYRSVKGELIDEEPVSKSQLGAFFAAMAIRANAFPEGTQWSEGESRAMNQFWPLLVRALPADVVFIADPEGSLMGTGSSIGPQFVGNGTREMRLVGVLREVLAGGHLGFEEVQGVLRDVLPLKSMEDGTSTGVSEALLSALLIGQRMNRETDRELKAYCLAFDDELGATPIADVKSLTHYGEPYDGNTRFFRSTLFVAAVRSCYAESSLLHGVEWMPPKGGVTEEQMLKFMGANTSLTPLQAKILLEDDEIGFAYISQREARPSLYSLVKLREHIKKRPPLATTEKVQQFVKARGKEAIVTGFYHEGYEEPLLMLMKRRGVNSGLVVKGEEGALSMTTRLRSVNSSRGIPVNYCSGFRSLSMASACEVDGVSRESFSLEVNARDYGFEPTDTPRTDRSVSKNIELGLTALRGNKGPAYDRIVLNAGMVDHLLGCDGAEDIYVALDRAREAIDSGKALKRLLDYIKISNEVG >ONI30374 pep chromosome:Prunus_persica_NCBIv2:G1:26026249:26030019:-1 gene:PRUPE_1G247400 transcript:ONI30374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLNPEPSAFTSISSISTAKPRNLTHSHTQAFLKLPANNGHLSNGRRLALTTRATLDSVKIDQSGISETDIRNPTVSSSYRSSNLPKPNQTVLDAQARVCTGPTQTRPLGEDQAFKVLDTIYRSVKGELIDEEPVSKSQLGAFFAAMAIRANAFPEGTQWSEGESRAMNQFWPLLVRALPADVVFIADPEGSLMGTGSSIGPQFVGNGTREMRLVGVLREVLAGGHLGFEEVQGVLRDVLPLKSMEDGTSTGVSEALLSALLIGQRMNRETDRELKAYCLAFDDELGATPIADVKSLTHYGEPYDGNTRFFRSTLFVAAVRSCYAESSLLHGVEWMPPKGGVTEEQMLKFMGANTSLTPLQAKILLEDDEIGFAYISQREARPSLYSLVKLREHIKKRPPLATTEKVQQFVKARGKEAIVTGFYHEGYEEPLLMLMKRRGVNSGLVVKGEEGALSMTTRLRSVNSSRGIPVNYCSGFRSLSMASACEVDGVSRESFSLEVNARDYGFEPTDTPRTDRSVSKNIELGLTALRGNKGPAYDRIVLNAGMVDHLLGCDGAEDIYVALDRAREAIDSGKALKRLLDYIKISNEVG >ONI30377 pep chromosome:Prunus_persica_NCBIv2:G1:26026889:26029915:-1 gene:PRUPE_1G247400 transcript:ONI30377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLNPEPSAFTSISSISTAKPRNLTHSHTQAFLKLPANNGHLSNGRRLALTTRATLDSVKIDQSGISETDIRNPTVSSSYRSSNLPKPNQTVLDAQARVCTGPTQTRPLGEDQAFKVLDTIYRSVKGELIDEEPVSKSQLGAFFAAMAIRANAFPEGTQWSEGESRAMNQFWPLLVRALPADVVFIADPEGSLMGTGSSIGPQFVGNGTREMRLVGVLREVLAGGHLGFEEVQGVLRDVLPLKSMEDGTSTGVSEALLSALLIGQRMNRETDRELKAYCLAFDDELGATPIADVKSLTHYGEPYDGNTRFFRSTLFVAAVRSCYAESSLLHGVEWMPPKGGVTEEQMLKFMGANTSLTPLQAKILLEDDEIGFAYISQREARPSLYSLVKLREHIKKRPPLATTEKVQQFVKARGKEAIVTGFYHEGYEEPLLMLMKRRGVNSGLVVKGEEGALSMTTRLRSVNSSRGIPVNYCSGFRSLSMASACEVDGSCIKFLPSKLKPESWFAGVSRESFSLEVNARDYGFEPTDTPRTDRSVSKNIELGLTALRGNKGPAYDRIVLNAGMVDHLLGCDGAEDIYVALDRAREAIDSGKALKRLLDYIKISNEVG >ONI30372 pep chromosome:Prunus_persica_NCBIv2:G1:26026268:26030007:-1 gene:PRUPE_1G247400 transcript:ONI30372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLNPEPSAFTSISSISTAKPRNLTHSHTQAFLKLPANNGHLSNGRRLALTTRATLDSVKIDQSGISETDIRNPTVSSSYRSSNLPKPNQTVLDAQARVCTGPTQTRPLGEDQAFKVLDTIYRSVKGELIDEEPVSKSQLGAFFAAMAIRANAFPEGTQWSEGESRAMNQFWPLLVRALPADVVFIADPEGSLMGTGSSIGPQFVGNGTREMRLVGVLREVLAGGHLGFEEVQGVLRDVLPLKSMEDGTSTGVSEALLSALLIGQRMNRETDRELKAYCLAFDDELGATPIADVKSLTHYGEPYDGNTRFFRSTLFVAAVRSCYAESSLLHGVEWMPPKGGVTEEQMLKFMGANTSLTPLQAKILLEDDEIGFAYISQREARPSLYSLVKLREHIKKRPPLATTEKVQQFVKARGKEAIVTGFYHEGYEEPLLMLMKRRGVNSGLVVKGEEGALSMTTRLRSVNSSRGIPVNYCSGFRSLSMASACEVDGVSRESFSLEVNARDYGFEPTDTPRTDRSVSKNIELGLTALRGNKGPAYDRIVLNAGMVDHLLGCDGAEDIYVALDRAREAIDSGKALKRLLDYIKISNEVG >ONI30375 pep chromosome:Prunus_persica_NCBIv2:G1:26026264:26030007:-1 gene:PRUPE_1G247400 transcript:ONI30375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLNPEPSAFTSISSISTAKPRNLTHSHTQAFLKLPANNGHLSNGRRLALTTRATLDSVKIDQSGISETDIRNPTVSSSYRSSNLPKPNQTVLDAQARVCTGPTQTRPLGEDQAFKVLDTIYRSVKGELIDEEPVSKSQLGAFFAAMAIRANAFPEGTQWSEGESRAMNQFWPLLVRALPADVVFIADPEGSLMGTGSSIGPQFVGNGTREMRLVGVLREVLAGGHLGFEEVQGVLRDVLPLKSMEDGTSTGVSEALLSALLIGQRMNRETDRELKAYCLAFDDELGATPIADVKSLTHYGEPYDGNTRFFRSTLFVAAVRSCYAESSLLHGVEWMPPKGGVTEEQMLKFMGANTSLTPLQAKILLEDDEIGFAYISQREARPSLYSLVKLREHIKKRPPLATTEKVQQFVKARGKEAIVTGFYHEGYEEPLLMLMKRRGVNSGLVVKGEEGALSMTTRLRSVNSSRGIPVNYCSGFRSLSMASACEVDGSCIKFLPSKLKPESWFAGVSRESFSLEVNARDYGFEPTDTPRTDRSVSKNIELGLTALRGNKGPAYDRIVLNAGMVDHLLGCDGAEDIYVALDRAREAIDSGKALKRLLDYIKISNEVG >ONI32136 pep chromosome:Prunus_persica_NCBIv2:G1:32639493:32648967:-1 gene:PRUPE_1G350600 transcript:ONI32136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHADYLHDFFYSTWRYCSFLSGLVGSLISKNCADVIGLPSRNSLSKLVEERETQLSTFMDQREFIDISSSDSETEREERESVNSRILPPWASGTGSNPSKDYAGQSRKVPSPRRAYASNGSSPNFNHHAQVKQKFHPSSSDDIRTSSRQAARAHFGNLEQPQDSRIANISVKDYEKISSQRDLKRTLPPSLQNARDNMAHSQFGDTYGTNGKGFMRDHSTRGNANEFVRPESSGSRVLPPTFMHGKSFSTSQFASSSDPPYHPGIGEERVTDSDERLIYQAALEDLNQPKVEATLPDGLLSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTISMIALIQMQRFLDSQSKSKDLGNHKTEALNLDDDEDNGSGGLDTVNKTEESDDIRSTPEVSTSARSFKKQRPAAGTLVVCPASVLRQWARELDDKVAEEAKLRVLIYHGGSRTKNPEELAGYDVVLTTYSIVTNEVPKQPLVDDDESDEKNGEKYGISSEFSINKKRKKAPVVSKKGKKGRKGIDSSSFDCSSGPLARVGWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYDPYAVYKSFYSTIKVPISRNSIHGYKKLQAVLRAIMLRRTKGTLIDGQPIIELPPKTIHLSKVEFSSEERAFYTKLEADSRTKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGYDSDCVGKDSVKMARQLPRDMLLDLLHLLETSLALCRVCNDPPEDPVVTMCGHVFCYQCVSEYLTGDDNMCPAIECKEQVGPDNVFSKSTLISCLSNDLDGSSMNSRSDEKSIVVQNEYSSSKIRAVIKILQSHCQLNDSNSETYNSTGRNGDPYFGTEITDSSYSGVDVVKHTTVVSNSPNDGPIKAIIFSQWTSMLDLVETSLNQYCIQYRRLDGTMSLASRDRGVKDFNTDPEITVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVTRLTIKDTVEDRILALQEEKRKMVASAFGEDHSGGSAARLTVEDLRYLFMV >ONI32137 pep chromosome:Prunus_persica_NCBIv2:G1:32639471:32649007:-1 gene:PRUPE_1G350600 transcript:ONI32137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHADYLHDFFYSTWRYCSFLSGLVGSLISKNCADVIGLPSRNSLSKLVEERETQLSTFMDQREFIDISSSDSETEREERESVNSRILPPWASGTGSNPSKDYAGQSRKVPSPRRAYASNGSSPNFNHHAQVKQKFHPSSSDDIRTSSRQAARAHFGNLEQPQDSRIANISVKDYEKISSQRDLKRTLPPSLQNARDNMAHSQFGDTYGTNGKGFMRDHSTRGNANEFVRPESSGSRVLPPTFMHGKSFSTSQFASSSDPPYHPGIGEERVTDSDERLIYQAALEDLNQPKVEATLPDGLLSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTISMIALIQMQRFLDSQSKSKDLGNHKTEALNLDDDEDNGSGGLDTVNKTEESDDIRSTPEVSTSARSFKKQRPAAGTLVVCPASVLRQWARELDDKVAEEAKLRVLIYHGGSRTKNPEELAGYDVVLTTYSIVTNEVPKQPLVDDDESDEKNGEKYGISSEFSINKKRKKAPVVSKKGKKGRKGIDSSSFDCSSGPLARVGWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYDPYAVYKSFYSTIKVPISRNSIHGYKKLQAVLRAIMLRRTKGTLIDGQPIIELPPKTIHLSKVEFSSEERAFYTKLEADSRTKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGYDSDCVGKDSVKMARQLPRDMLLDLLHLLETSLALCRVCNDPPEDPVVTMCGHVFCYQCVSEYLTGDDNMCPAIECKEQVGPDNVFSKSTLISCLSNDLDGSSMNSRSDEKSIVVQNEYSSSKIRAVIKILQSHCQLNDSNSETYNSTGRNGDPYFGTEITDSSYSGVDVVKHTTVVSNSPNDGPIKAIIFSQWTSMLDLVETSLNQYCIQYRRLDGTMSLASRDRGVKDFNTDPEITVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVTRLTIKDTVEDRILALQEEKRKMVASAFGEDHSGGSAARLTVEDLRYLFMV >ONI32139 pep chromosome:Prunus_persica_NCBIv2:G1:32639850:32646779:-1 gene:PRUPE_1G350600 transcript:ONI32139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQREFIDISSSDSETEREERESVNSRILPPWASGTGSNPSKDYAGQSRKVPSPRRAYASNGSSPNFNHHAQVKQKFHPSSSDDIRTSSRQAARAHFGNLEQPQDSRIANISVKDYEKISSQRDLKRTLPPSLQNARDNMAHSQFGDTYGTNGKGFMRDHSTRGNANEFVRPESSGSRVLPPTFMHGKSFSTSQFASSSDPPYHPGIGEERVTDSDERLIYQAALEDLNQPKVEATLPDGLLSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTISMIALIQMQRFLDSQSKSKDLGNHKTEALNLDDDEDNGSGGLDTVNKTEESDDIRSTPEVSTSARSFKKQRPAAGTLVVCPASVLRQWARELDDKVAEEAKLRVLIYHGGSRTKNPEELAGYDVVLTTYSIVTNEVPKQPLVDDDESDEKNGEKYGISSEFSINKKRKKAPVVSKKGKKGRKGIDSSSFDCSSGPLARVGWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYDPYAVYKSFYSTIKVPISRNSIHGYKKLQAVLRAIMLRRTKGTLIDGQPIIELPPKTIHLSKVEFSSEERAFYTKLEADSRTKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGYDSDCVGKDSVKMARQLPRDMLLDLLHLLETSLALCRVCNDPPEDPVVTMCGHVFCYQCVSEYLTGDDNMCPAIECKEQVGPDNVFSKSTLISCLSNDLDGSSMNSRSDEKSIVVQNEYSSSKIRAVIKILQSHCQLNDSNSETYNSTGRNGDPYFGTEITDSSYSGVDVVKHTTVVSNSPNDGPIKAIIFSQWTSMLDLVETSLNQYCIQYRRLDGTMSLASRDRGVKDFNTDPEITVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVTRLTIKDTVEDRILALQEEKRKMVASAFGEDHSGGSAARLTVEDLRYLFMV >ONI32138 pep chromosome:Prunus_persica_NCBIv2:G1:32639493:32648967:-1 gene:PRUPE_1G350600 transcript:ONI32138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQREFIDISSSDSETEREERESVNSRILPPWASGTGSNPSKDYAGQSRKVPSPRRAYASNGSSPNFNHHAQVKQKFHPSSSDDIRTSSRQAARAHFGNLEQPQDSRIANISVKDYEKISSQRDLKRTLPPSLQNARDNMAHSQFGDTYGTNGKGFMRDHSTRGNANEFVRPESSGSRVLPPTFMHGKSFSTSQFASSSDPPYHPGIGEERVTDSDERLIYQAALEDLNQPKVEATLPDGLLSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTISMIALIQMQRFLDSQSKSKDLGNHKTEALNLDDDEDNGSGGLDTVNKTEESDDIRSTPEVSTSARSFKKQRPAAGTLVVCPASVLRQWARELDDKVAEEAKLRVLIYHGGSRTKNPEELAGYDVVLTTYSIVTNEVPKQPLVDDDESDEKNGEKYGISSEFSINKKRKKAPVVSKKGKKGRKGIDSSSFDCSSGPLARVGWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYDPYAVYKSFYSTIKVPISRNSIHGYKKLQAVLRAIMLRRTKGTLIDGQPIIELPPKTIHLSKVEFSSEERAFYTKLEADSRTKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGYDSDCVGKDSVKMARQLPRDMLLDLLHLLETSLALCRVCNDPPEDPVVTMCGHVFCYQCVSEYLTGDDNMCPAIECKEQVGPDNVFSKSTLISCLSNDLDGSSMNSRSDEKSIVVQNEYSSSKIRAVIKILQSHCQLNDSNSETYNSTGRNGDPYFGTEITDSSYSGVDVVKHTTVVSNSPNDGPIKAIIFSQWTSMLDLVETSLNQYCIQYRRLDGTMSLASRDRGVKDFNTDPEITVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVTRLTIKDTVEDRILALQEEKRKMVASAFGEDHSGGSAARLTVEDLRYLFMV >ONI29447 pep chromosome:Prunus_persica_NCBIv2:G1:19105689:19109211:-1 gene:PRUPE_1G198700 transcript:ONI29447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTCPTMLSLSRISSNSLPYLPSRSPAKVTRIRATSADTGHSQPPSSSSEKKNPLAVVWDAPRTIWKATLRPLSDFGFGRRSIWEGGVGLFLVSGAVLLALSLAWLRGYQLRSRFRKYFAVFEFTQACGISTGTPVRIRGVNVGSVVRVNSSLESIEAVVEVEDDKTVIPRNSLIEVNQSGLLMETRIDITPRYPIPNIAVGPLHPECDKEGLIVCDRQKMKGYQGVSLDALVGIFTRLGREVEEIGIANTYSLVERVASVVEEAKPLLIKIQAMAEDVQPLLAEFRDSGLLKEVDSLTRSLTQVSEDVRKVHSSIMTPENTELIQKSVYTLIFTLKNIENLSSDILGFTGDEATRKNLKLLIKSLSRLL >ONI29448 pep chromosome:Prunus_persica_NCBIv2:G1:19104911:19109305:-1 gene:PRUPE_1G198700 transcript:ONI29448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTCPTMLSLSRISSNSLPYLPSRSPAKVTRIRATSADTGHSQPPSSSSEKKNPLAVVWDAPRTIWKATLRPLSDFGFGRRSIWEGGVGLFLVSGAVLLALSLAWLRGYQLRSRFRKYFAVFEFTQACGISTGTPVRIRGVNVGSVVRVNSSLESIEAVVEVEDDKTVIPRNSLIEVNQSGLLMETRIDITPRYPIPNIAVGPLHPECDKEGLIVCDRQKMKGYQGVSLDALVGIFTRLGREVEEIGIANTYSLVERVASVVEEAKPLLIKIQAMAEDVQPLLAEFRDSGLLKEVDSLTRSLTQVSEDVRKVHSSIMTPENTELIQKSVYTLIFTLKNIENLSSDILGFTGDEATRKNLKLLIKSLSRLL >ONI29449 pep chromosome:Prunus_persica_NCBIv2:G1:19106724:19108983:-1 gene:PRUPE_1G198700 transcript:ONI29449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTCPTMLSLSRISSNSLPYLPSRSPAKVTRIRATSADTGHSQPPSSSSEKKNPLAVVWDAPRTIWKATLRPLSDFGFGRRSIWEGGVGLFLVSGAVLLALSLAWLRGYQLRSRFRKYFAVFEFTQACGISTGTPVRIRGVNVGSVVRVNSSLESIEAVVEVEDDKTVIPRNSLIEVNQSGLLMETRIDITPRYPIPNIAVGPLHPECDKEGLIVCDRQKMKGYQGVSLDALVGIFTRLGREVEEIGIANTYSLVERVASVVEEAKPLLIKIQAMAEDVQPLLAEFRDSGLLKEVDSLTRSLTQVSEDVR >ONI29450 pep chromosome:Prunus_persica_NCBIv2:G1:19106852:19108983:-1 gene:PRUPE_1G198700 transcript:ONI29450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTCPTMLSLSRISSNSLPYLPSRSPAKVTRIRATSADTGHSQPPSSSSEKKNPLAVVWDAPRTIWKATLRPLSDFGFGRRSIWEGGVGLFLVSGAVLLALSLAWLRGYQLRSRFRKYFAVFEFTQACGISTGTPVRIRGVNVGSVVRVNSSLESIEAVVEVEDDKTVIPRNSLIEVNQSGLLMETRIDITPRYPIPNIAVGPLHPECDKEGLIVCDRQKMKGYQGVSLDALVGIFTRLGREVEEIGIANTYSLVERVASVVEEAKPLLIK >ONI29446 pep chromosome:Prunus_persica_NCBIv2:G1:19104998:19109211:-1 gene:PRUPE_1G198700 transcript:ONI29446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTCPTMLSLSRISSNSLPYLPSRSPAKVTRIRATSADTGHSQPPSSSSEKKNPLAVVWDAPRTIWKATLRPLSDFGFGRRSIWEGGVGLFLVSGAVLLALSLAWLRGYQLRSRFRKYFAVFEFTQACGISTGTPVRIRGVNVGSVVRVNSSLESIEAVVEVEDDKTVIPRNSLIEVNQSGLLMETRIDITPRYPIPNIAVGPLHPECDKEGLIVCDRQKMKGYQGVSLDALVGIFTRLGREVEEIGIANTYSLVERVASVVEEAKPLLIKIQAMAEDVQPLLAEFRDSGLLKEVDSLTRSLTQVSEDVRKVHSSIMTPENTELIQKSVYTLIFTLKNIENLSSDILGFTGDEATRKNLKLLIKSLSRLL >ONI28669 pep chromosome:Prunus_persica_NCBIv2:G1:12128827:12133729:1 gene:PRUPE_1G154200 transcript:ONI28669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDFVDLLRESLVRTQRREDGGGGRGGGGFGDLVGKIGSSIRKSRIGLFSKSPVRALPPAASKDDAQPIRWRKGELIGSGAFGRVYMGMNLDSGELIAVKQVLIAANSASKEKTQAHIRELEEEVKLLKNLSHPNIVRYLGTAREDDSLNILLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSAEAKDFLLKCLEKEPNLRCAASELVQHPFVTGDYQEPRPVIRTSFMEAGNETATPGTDLKNFMNPSIRRSTCAGLKDICDMGTVRCSTVYPGSFSGGRSRWGATNNDDDDMCQIDDKDDIMLGSFAKFKSVVGPDDLNKSFNPMCEPTDDWPRKFDESLELERSGINFSPCQTIHEAYGTTGASDKVESEFTFPCGPSAEDDEEVTESKIRAFLDEKALDLKKLQTPLYEEFFSSMNGVGPPGAIGNADCVRVSNNLNLPPKSKSPSRAPSRRFSTAVDAAVPGKNIKNVSNTSGVHSRILHEIQPPQHSEWKQLPDDQKESFSLSASFSERQRKWKEELDQELERKREMMRQAGLGTNSPSPNNRILSRQRERLQAVFPGK >ONI28670 pep chromosome:Prunus_persica_NCBIv2:G1:12128873:12133729:1 gene:PRUPE_1G154200 transcript:ONI28670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDFVDLLRESLVRTQRREDGGGGRGGGGFGDLVGKIGSSIRKSRIGLFSKSPVRALPPAASKDDAQPIRWRKGELIGSGAFGRVYMGMNLDSGELIAVKQVLIAANSASKEKTQAHIRELEEEVKLLKNLSHPNIVRYLGTAREDDSLNILLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSAEAKDFLLKCLEKEPNLRCAASELVQHPFVTGDYQEPRPVIRTSFMEAGNETATPGTDLKNLRSTCAGLKDICDMGTVRCSTVYPGSFSGGRSRWGATNNDDDDMCQIDDKDDIMLGSFAKFKSVVGPDDLNKSFNPMCEPTDDWPRKFDESLELERSGINFSPCQTIHEAYGTTGASDKVESEFTFPCGPSAEDDEEVTESKIRAFLDEKALDLKKLQTPLYEEFFSSMNGVGPPGAIGNADCVRVSNNLNLPPKSKSPSRAPSRRFSTAVDAAVPGKNIKNVSNTSGVHSRILHEIQPPQHSEWKQLPDDQKESFSLSASFSERQRKWKEELDQELERKREMMRQAGLGTNSPSPNNRILSRQRERLQAVFPGK >ONI34176 pep chromosome:Prunus_persica_NCBIv2:G1:38911932:38914646:1 gene:PRUPE_1G466700 transcript:ONI34176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGLSSLNPYAAAYIPLSKRETDDRMYVTTKDSSRSNEAVWFGTPQNNSQNQPHSKSYLESDAPGTARLPSPKSFAVKSYPAHGSYGSSSQNVNEVTAHEDFDMALDYLEMTFPGISDQSLTDVYLANKGDLDATIDMLNQLEFYTVESSESLPDTLDIGDVSESGLPGNSAWKLKNVAGEASGSPKS >ONI34178 pep chromosome:Prunus_persica_NCBIv2:G1:38912952:38914517:1 gene:PRUPE_1G466700 transcript:ONI34178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGLSSLNPYAAAYIPLSKRETDDRMYVTTKDSSRSNEAVWFGTPQNNSQNQPHSKSYLESDAPGTARLPSPKSFAVKSYPAHGSYGSSSQNVNEVTAHEDFDMALDYLEMTFPGISDQSLTDVYLANKGDLDATIDMLNQLELSLLKVFQTLWTLEMFLNLGCQAIVHGN >ONI34177 pep chromosome:Prunus_persica_NCBIv2:G1:38911990:38914520:1 gene:PRUPE_1G466700 transcript:ONI34177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGLSSLNPYAAAYIPLSKRETDDRMYVTTKDSSRSNEAVWFGTPQNNSQNQPHSKSYLESDAPGTARLPSPKSFAVKSYPAHGSYGSSSQNVNEVTAHEDFDMALDYLEMTFPGISDQSLTDVYLANKGDLDATIDMLNQLEFYTVESSESLPDTLDIGDVSESGLPGNSAWKLKNVAGEASGSPKS >ONI34175 pep chromosome:Prunus_persica_NCBIv2:G1:38911941:38914683:1 gene:PRUPE_1G466700 transcript:ONI34175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGLSSLNPYAAAYIPLSKRETDDRMYVTTKDSSRSNEAVWFGTPQNNSQNQPHSKSYLESDAPGTARLPSPKSFAVKSYPAHGSYGSSSQNVNEVTAHEDFDMALDYLEMTFPGISDQSLTDVYLANKGDLDATIDMLNQLEFYTVESSESLPDTLDIGDVSESGLPGNSAWKLKNVAGEASGSPKS >ONI33023 pep chromosome:Prunus_persica_NCBIv2:G1:35396108:35396791:1 gene:PRUPE_1G400800 transcript:ONI33023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTISLRKVNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDAKKKEDEIARMQEKYGVSTKDK >ONI35550 pep chromosome:Prunus_persica_NCBIv2:G1:44284403:44288755:-1 gene:PRUPE_1G542100 transcript:ONI35550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVREITAAEFLQGTNRQSLFLHRNSVKQRSHVLWGKLQGRSSALGFDKKKVLLSCRGRQKPRAVISGGVSVSKDEQSSLIERPASEVIHFYRVPLIQESAKSELLKTVQTKISNQIVGLKTEQCFNIGLDLQLSSEKLSVLKWLLQETYEPENLGAESFLEKKKQEGLNTVIVEVGPRLSFTTAWSSNAVSICKACGLSEVTRLERSRRYLLFSKGTLPDHQVNEFAAMVHDRMTECVYTQKLTSFETSVVPEEVRCIPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIIIDGQPMDRTLMQIVKSTLQANPNNSVIGFKDNSSAIQGFLVKQMRPVQPGSTSPLNIANRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSYVVAATAGYCVGNLNMEGSYAPWEDSSFVYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGDRREWLKPIMFSGGIGQIDHTHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRSCIEMGENNPIISIHDQGAGGNCNVVKEIIYPKGGQIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDLLQSICERERVSMAVIGSINGEGRIVLIDSFAIQRCHSSGLPPPPLAVDLELEKVLGDMPQKTFEFHRMTDSRESLDIAPGITVMDLLSRVLRLPSVCSKRFLTSKVDRCVTGLVAQQQTVGPLQIPLSDVAVISQSFTDLTGGACAIGEQPIKGLLDPKAMARLSVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAATALSDAMIKLGIAIDGGKDSLSMAAHVAGEVVKAPGNLVISVYCTCPDITKTVTPDLKLGDDGVLLHIDLAKGKRRLGGSALAQAFDQIGNDCPDLEDVPYLKRVFEGVQVLLDDELISAGHDISDGGLLVCALEMAFSGNHGIIFDLTSHGKGLFQTLFAEELGLIIEVSKRNLDLIMEKLKSDSISAEIIGKVTAAPSIELKVDGVTHLNESTSFLRDLWEETSFQLEKFQRLASCVDSEKEWLKDRHEPSWGLSFTPSFTDEKYMTIACKPKVAVIREEGSNGDREMAAAFYASGFEPWDVTMSDLLNGVISLDEFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQIGGVLGGGGDPSQPRFIHNESGRFECRFTSVTIKDSPAIMFRGMEGSTLGVWAAHGEGRAYFPDDGVLDLMLHSKLAPVRYCDDDGNETELYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKQWNVDKKGPSPWLRMFQNAREWCS >ONI35552 pep chromosome:Prunus_persica_NCBIv2:G1:44284163:44288843:-1 gene:PRUPE_1G542100 transcript:ONI35552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVREITAAEFLQGTNRQSLFLHRNSVKQRSHVLWGKLQGRSSALGFDKKKVLLSCRGRQKPRAVISGGVSVSKDEQSSLIERPASEVIHFYRVPLIQESAKSELLKTVQTKISNQIVGLKTEQCFNIGLDLQLSSEKLSVLKWLLQETYEPENLGAESFLEKKKQEGLNTVIVEVGPRLSFTTAWSSNAVSICKACGLSEVTRLERSRRYLLFSKGTLPDHQVNEFAAMVHDRMTECVYTQKLTSFETSVVPEEVRCIPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIIIDGQPMDRTLMQIVKSTLQANPNNSVIGFKDNSSAIQGFLVKQMRPVQPGSTSPLNIANRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSYVVAATAGYCVGNLNMEGSYAPWEDSSFVYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGDRREWLKPIMFSGGIGQIDHTHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRSCIEMGENNPIISIHDQGAGGNCNVVKEIIYPKGGQIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDLLQSICERERVSMAVIGSINGEGRIVLIDSFAIQRCHSSGLPPPPLAVDLELEKVLGDMPQKTFEFHRMTDSRESLDIAPGITVMDLLSRVLRLPSVCSKRFLTSKVDRCVTGLVAQQQTVGPLQIPLSDVAVISQSFTDLTGGACAIGEQPIKGLLDPKAMARLSVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAATALSDAMIKLGIAIDGGKDSLSMAAHVAGEVVKAPGNLVISVYCTCPDITKTVTPDLKLGDDGVLLHIDLAKGKRRLGGSALAQAFDQIGNDCPDLEDVPYLKRVFEGVQVLLDDELISAGHDISDGGLLVCALEMAFSGNHGIIFDLTSHGKGLFQTLFAEELGLIIEVSKRNLDLIMEKLKSDSISAEIIGKVTAAPSIELKVDGVTHLNESTSFLRDLWEETSFQLEKFQRLASCVDSEKEWLKDRHEPSWGLSFTPSFTDEKYMTIACKPKVAVIREEGSNGDREMAAAFYASGFEPWDVTMSDLLNGVISLDEFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQIGGVLGGGGDPSQPRFIHNESGRFECRFTSVTIKDSPAIMFRGMEGSTLGVWAAHGEGRAYFPDDGVLDLMLHSKLAPVRYCDDDGNETELYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKQWNVDKKGPSPWLRMFQNAREWCS >ONI35551 pep chromosome:Prunus_persica_NCBIv2:G1:44284403:44288755:-1 gene:PRUPE_1G542100 transcript:ONI35551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVREITAAEFLQGTNRQSLFLHRNSVKQRSHVLWGKLQGRSSALGFDKKKVLLSCRGRQKPRAVISGGVSVSKDEQSSLIERPASEVIHFYRVPLIQESAKSELLKTVQTKISNQIVGLKTEQCFNIGLDLQLSSEKLSVLKWLLQETYEPENLGAESFLEKKKQEGLNTVIVEVGPRLSFTTAWSSNAVSICKACGLSEVTRLERSRRYLLFSKGTLPDHQVNEFAAMVHDRMTECVYTQKLTSFETSVVPEEVRCIPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIIIDGQPMDRTLMQIVKSTLQANPNNSVIGFKDNSSAIQGFLVKQMRPVQPGSTSPLNIANRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSYVVAATAGYCVGNLNMEGSYAPWEDSSFVYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGDRREWLKPIMFSGGIGQIDHTHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRSCIEMGENNPIISIHDQGAGGNCNVVKEIIYPKGGQIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDLLQSICERERVSMAVIGSINGEGRIVLIDSFAIQRCHSSGLPPPPLAVDLELEKVLGDMPQKTFEFHRMTDSRESLDIAPGITVMDLLSRVLRLPSVCSKRFLTSKVDRCVTGLVAQQQTVGPLQIPLSDVAVISQSFTDLTGGACAIGEQPIKGLLDPKAMARLSVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAATALSDAMIKLGIAIDGGKDSLSMAAHVAGEVVKAPGNLVISVYCTCPDITKTVTPDLKLGDDGVLLHIDLAKGKRRLGGSALAQAFDQIGNDCPDLEDVPYLKRVFEGVQVLLDDELISAGHDISDGGLLVCALEMAFSGNHGIIFDLTSHGKGLFQTLFAEELGLIIEVSKRNLDLIMEKLKSDSISAEIIGKVTAAPSIELKVDGVTHLNESTSFLRDLWEETSFQLEKFQRLASCVDSEKEWLKDRHEPSWGLSFTPSFTDEKYMTIACKPKVAVIREEGSNGDREMAAAFYASGFEPWDVTMSDLLNGVISLDEFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQIGGVLGGGGDPSQPRFIHNESGRFECRFTSVTIKDSPAIMFRGMEGSTLGVWAAHGEGRAYFPDDGVLDLMLHSKLAPVRYCDDDGNETELYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKQWNVDKKGPSPWLRMFQNAREWCS >ONI35549 pep chromosome:Prunus_persica_NCBIv2:G1:44283510:44289257:-1 gene:PRUPE_1G542100 transcript:ONI35549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVREITAAEFLQGTNRQSLFLHRNSVKQRSHVLWGKLQGRSSALGFDKKKVLLSCRGRQKPRAVISGGVSVSKDEQSSLIERPASEVIHFYRVPLIQESAKSELLKTVQTKISNQIVGLKTEQCFNIGLDLQLSSEKLSVLKWLLQETYEPENLGAESFLEKKKQEGLNTVIVEVGPRLSFTTAWSSNAVSICKACGLSEVTRLERSRRYLLFSKGTLPDHQVNEFAAMVHDRMTECVYTQKLTSFETSVVPEEVRCIPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIIIDGQPMDRTLMQIVKSTLQANPNNSVIGFKDNSSAIQGFLVKQMRPVQPGSTSPLNIANRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSYVVAATAGYCVGNLNMEGSYAPWEDSSFVYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGDRREWLKPIMFSGGIGQIDHTHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRSCIEMGENNPIISIHDQGAGGNCNVVKEIIYPKGGQIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDLLQSICERERVSMAVIGSINGEGRIVLIDSFAIQRCHSSGLPPPPLAVDLELEKVLGDMPQKTFEFHRMTDSRESLDIAPGITVMDLLSRVLRLPSVCSKRFLTSKVDRCVTGLVAQQQTVGPLQIPLSDVAVISQSFTDLTGGACAIGEQPIKGLLDPKAMARLSVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAATALSDAMIKLGIAIDGGKDSLSMAAHVAGEVVKAPGNLVISVYCTCPDITKTVTPDLKLGDDGVLLHIDLAKGKRRLGGSALAQAFDQIGNDCPDLEDVPYLKRVFEGVQVLLDDELISAGHDISDGGLLVCALEMAFSGNHGIIFDLTSHGKGLFQTLFAEELGLIIEVSKRNLDLIMEKLKSDSISAEIIGKVTAAPSIELKVDGVTHLNESTSFLRDLWEETSFQLEKFQRLASCVDSEKEWLKDRHEPSWGLSFTPSFTDEKYMTIACKPKVAVIREEGSNGDREMAAAFYASGFEPWDVTMSDLLNGVISLDEFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQIGGVLGGGGDPSQPRFIHNESGRFECRFTSVTIKDSPAIMFRGMEGSTLGVWAAHGEGRAYFPDDGVLDLMLHSKLAPVRYCDDDGNETELYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKQWNVDKKGPSPWLRMFQNAREWCS >ONI35548 pep chromosome:Prunus_persica_NCBIv2:G1:44284163:44289257:-1 gene:PRUPE_1G542100 transcript:ONI35548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVREITAAEFLQGTNRQSLFLHRNSVKQRSHVLWGKLQGRSSALGFDKKKVLLSCRGRQKPRAVISGGVSVSKDEQSSLIERPASEVIHFYRVPLIQESAKSELLKTVQTKISNQIVGLKTEQCFNIGLDLQLSSEKLSVLKWLLQETYEPENLGAESFLEKKKQEGLNTVIVEVGPRLSFTTAWSSNAVSICKACGLSEVTRLERSRRYLLFSKGTLPDHQVNEFAAMVHDRMTECVYTQKLTSFETSVVPEEVRCIPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIIIDGQPMDRTLMQIVKSTLQANPNNSVIGFKDNSSAIQGFLVKQMRPVQPGSTSPLNIANRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSYVVAATAGYCVGNLNMEGSYAPWEDSSFVYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGDRREWLKPIMFSGGIGQIDHTHISKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRSCIEMGENNPIISIHDQGAGGNCNVVKEIIYPKGGQIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDLLQSICERERVSMAVIGSINGEGRIVLIDSFAIQRCHSSGLPPPPLAVDLELEKVLGDMPQKTFEFHRMTDSRESLDIAPGITVMDLLSRVLRLPSVCSKRFLTSKVDRCVTGLVAQQQTVGPLQIPLSDVAVISQSFTDLTGGACAIGEQPIKGLLDPKAMARLSVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAATALSDAMIKLGIAIDGGKDSLSMAAHVAVTPDLKLGDDGVLLHIDLAKGKRRLGGSALAQAFDQIGNDCPDLEDVPYLKRVFEGVQVLLDDELISAGHDISDGGLLVCALEMAFSGNHGIIFDLTSHGKGLFQTLFAEELGLIIEVSKRNLDLIMEKLKSDSISAEIIGKVTAAPSIELKVDGVTHLNESTSFLRDLWEETSFQLEKFQRLASCVDSEKEWLKDRHEPSWGLSFTPSFTDEKYMTIACKPKVAVIREEGSNGDREMAAAFYASGFEPWDVTMSDLLNGVISLDEFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQIGGVLGGGGDPSQPRFIHNESGRFECRFTSVTIKDSPAIMFRGMEGSTLGVWAAHGEGRAYFPDDGVLDLMLHSKLAPVRYCDDDGNETELYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKQWNVDKKGPSPWLRMFQNAREWCS >ONI29738 pep chromosome:Prunus_persica_NCBIv2:G1:22514290:22518561:-1 gene:PRUPE_1G212100 transcript:ONI29738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVVSLREGEAELTNQHLKERKASWAKLRRVDSLSLEAGRVSTTRSHGSQVSWQRTLSLAFQSIGIVYGDIGTSPLYVFSSTFTDGIDNVDDILGVLSLIIYTIALVPMLKYVFIVLWANDNGDGGTFALYSLMCRYAKVSLIPNNQPEDRELSNYKLETPSNELKRAQTIKKKLENSKMAQYVLFLVTIMGTSMVIGDGVLTPCISVLSAVSGIKSLGTDAVVGISIVILIVLFAAQRFGTDKVGYTFAPIILLWFLLISGIGLYNLFKHDVGVLRAFNPKYIIDFFKRNGKKGWVSLGGVFLCITGTEAMFADLGHFNVKAIQISFSCITFPALITAYSGQAAFLRKFPGKVEHTFYDSIPDPLYWPTFVIAVAAAIIASQAMISGAFSIISQSLSLGCFPRVKVVHTSAKYEGQVYIPEINYLLMVACVIVTAAFKTTGKIGNAYGIAVVSVMVITTCLLTLIMLVIWKTSIWLIALFFVVFISIEVVYSSAVLYKFAQGGFLPLVFASLLMAIMGIWHYVHKQKYMFELNNKVSTEYMKQLACNPNINRVPGIGLLYSELVQGIPPIFSHFVNNVPSIHSVIVIVSIKPIPFSKVALEERFLFRQLEPREYRMFRCVARYGYNDRVEEPEEFERQLVENLKEFIRHEVHEHFVLDGGVTEKTEEARKDGESFNGEESAQQVNPPRVSTGSIQSFNAARSVNSSSRIVSAPIKGAEEEIQFVQGAMKDGIVYLLGETEVLAAQNSSLFKKFVVNYAYDFLRKNFRQGEQVMAIPRTRLLKVGMTYEI >ONI33158 pep chromosome:Prunus_persica_NCBIv2:G1:35783317:35787286:1 gene:PRUPE_1G408800 transcript:ONI33158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESENAAEDSSAVASLLPLASVSQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVGNYRAFIAAADALLAIRDEVSSIDNHLESLIGEIPKLTSGCTEFVESAEQILEKRKLNQTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVCKLSTVHPKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCREAWLTGILEDLDQRNAYEYLKGMINCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHIITSHLKTLKILLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPSLFEEAVLNLFSKNMSTAVENFQVVLDSHRWVPLPSVGFSSNSVGDESQDDVTPPSSLMEHPPLAVFVNGVSAAMNDLRPCAPISLKHVLAQELIKGLQTVSDSLLRYNTTRMLRENESGLFLSLCRAFIEVTYPHCATCFGRCYPGGAALIMDAKNLYDGIGRLLTVTPSRGPSKPVGDGNGDADGDGNEKSVTENGDLPVVENGVTPVVEKTDITIADEREKTENTDADEREQKSPTSQTEERRSNV >ONI29398 pep chromosome:Prunus_persica_NCBIv2:G1:18688396:18689676:-1 gene:PRUPE_1G196000 transcript:ONI29398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGHCVSIRGKFGPVRKPRASTREGCKAMIHVKYDKSSKWVITKFIKDHNHPLVVSPREARQTMDEKDKKIQELTAELRNKKRLCTTYQEQLTAFTKIVEEYSDQLSKKVQNVVSNLKEFESIDRELSQHR >ONI29393 pep chromosome:Prunus_persica_NCBIv2:G1:18686999:18690477:-1 gene:PRUPE_1G196000 transcript:ONI29393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEGARAVESSSSQALVLYEADSILEPYEGMEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGHCVSIRGKFGPVRKPRASTREGCKAMIHVKYDKSSKWVITKFIKDHNHPLVVSPREARQTMFPKLSNEDN >ONI29394 pep chromosome:Prunus_persica_NCBIv2:G1:18688396:18690477:-1 gene:PRUPE_1G196000 transcript:ONI29394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEGARAVESSSSQALVLYEADSILEPYEGMEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGHCVSIRGKFGPVRKPRASTREGCKAMIHVKYDKSSKWVITKFIKDHNHPLVVSPREARQTMDEKDKKIQELTAELRNKKRLCTTYQEQLTAFTKIVEEYSDQLSKKVQNVVSNLKEFESIDRELSQHR >ONI29397 pep chromosome:Prunus_persica_NCBIv2:G1:18683810:18690609:-1 gene:PRUPE_1G196000 transcript:ONI29397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGHCVSIRGKFGPVRKPRASTREGCKAMIHVKYDKSSKWVITKFIKDHNHPLVVSPREARQTMDEKDKKIQELTAELRNKKRLCTTYQEQLTAFTKIVEEYSDQLSKKVQNVVSNLKEFESIDRELSQHR >ONI29396 pep chromosome:Prunus_persica_NCBIv2:G1:18688396:18690477:-1 gene:PRUPE_1G196000 transcript:ONI29396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEGARAVESSSSQALVLYEADSILEPYEGMEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGHCVSIRGKFGPVRKPRASTREGCKAMIHVKYDKSSKWVITKFIKDHNHPLVVSPREARQTMDEKDKKIQELTAELRNKKRLCTTYQEQLTAFTKIVEEYSDQLSKKVQNVVSNLKEFESIDRELSQHR >ONI29395 pep chromosome:Prunus_persica_NCBIv2:G1:18683810:18690609:-1 gene:PRUPE_1G196000 transcript:ONI29395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEGARAVESSSSQALVLYEADSILEPYEGMEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGHCVSIRGKFGPVRKPRASTREGCKAMIHVKYDKSSKWVITKFIKDHNHPLVVSPREARQTMDEKDKKIQELTAELRNKKRLCTTYQEQLTAFTKIVEEYSDQLSKKVQNVVSNLKEFESIDRELSQHR >ONI29392 pep chromosome:Prunus_persica_NCBIv2:G1:18683810:18690609:-1 gene:PRUPE_1G196000 transcript:ONI29392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEGARAVESSSSQALVLYEADSILEPYEGMEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGHCVSIRGKFGPVRKPRASTREGCKAMIHVKYDKSSKWVITKFIKDHNHPLVVSPREARQTMCRLQP >ONI29638 pep chromosome:Prunus_persica_NCBIv2:G1:21083509:21087200:1 gene:PRUPE_1G207100 transcript:ONI29638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSSLCNAGARFSGEEGIDVRNMTEHKRSPCSVDQSNFTSLASKRHKTDLSISTKERKEKLGERIVALQQLVSPYGKTDTASVLLEAMDYIRFLHEQVKVLSAPYLESTPTAKMQVSLSWM >ONI29637 pep chromosome:Prunus_persica_NCBIv2:G1:21083509:21087593:1 gene:PRUPE_1G207100 transcript:ONI29637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSSLCNAGARFSGEEGIDVRNMTEHKRSPCSVDQSNFTSLASKRHKTDLSISTKERKEKLGERIVALQQLVSPYGKTDTASVLLEAMDYIRFLHEQVKVLSAPYLESTPTAKMQELEPYSLRSRGLCLVPVSCTAGVAQSNGADIWAPIKNTSPKFEDAISQFH >ONI35249 pep chromosome:Prunus_persica_NCBIv2:G1:43048672:43050171:1 gene:PRUPE_1G525500 transcript:ONI35249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPYYSPPPPSPPLPCSPPPPPPPAPCNPVSSPPPPHHHHHHHHHHHPPKHYNSHPPPPPPLPPPPHHHHDYPKPPTPSPPHHHHDYPKPPTASPPPPHHHDYPKPPPPSPTPPHHHDYPKPPPPCPPPPHHHDYPKPPTYSPPQAVPPSVPAQPPTKHEAPPPEYVHPPKPSPTIPPPQSPPKAYPPKSNQPPVHPPKPYPPKASPPSHPPKPYPPKSSPPKSQPPVHPPKPYPPKSSPPQSSHPPVQPPKPYPPKSSPPKPSHPPKPYPPKSSPPKASPPKSTYPPKAAPPKSAYPPKGATPPAFPPGYYTPPTPFNAVPPSSEIVAPPPGKNHTTVIAVCVSLGGAFFLAFLLVGLFCFAKKKKKRVMVPAAVPCIEEEEHQPEAVPAVGGGAYGAAPPPVAVPVEQHGYGGGGGAAEIGPSGPTAYAPPGHHPSY >ONI28524 pep chromosome:Prunus_persica_NCBIv2:G1:11433000:11434910:1 gene:PRUPE_1G145700 transcript:ONI28524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISISFCPYAMARPRVPRTRIPPVKKQTVRSPNPNPSHKLKARTGAKQLSSIGVEATTYTVEKEIDNLSSEEEEEEEEEEEEKGLDGHLGLNYGQFEVFEGTYDSELDEEDDDSDIEGFSDDKLESVYQNDDGELLGFKEGEAVNLSDNEVKLEEGEVKEKGVPAVMRCFDRAKIFAKAGDGGNGVVAMRREKFVPLGGPSGGNGGRGGNVYMEVDGSMNSLLPFRNSVHFRAGRGDHGRGQSQNGAKGEDVVIKVAPGTVVLEAVKEEVLLELLHPGQRPLLLPGGRGVRGNASFKSGTNKVPRIAENGAEGPEMWLELELKLVADIGIVGAPNAGKSTLLSVISAAQSTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEEAHRGFGLGHEFLRHTERCSAWYKL >ONI26091 pep chromosome:Prunus_persica_NCBIv2:G1:343325:352419:1 gene:PRUPE_1G003200 transcript:ONI26091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDIDQVVDVPDTPDRLASRHITGRESVGKVCNSPLACDLSNPDVVDENYVNGLKVRGRFVSENGHNRRIVIHPPTNLSNLDIKSCSNSNVDNSSASHNAHIFRRATVGKSSSREAKYSTGIENMDEGKAMTIKVPSKSSACHENAKFFDLTKHNGHTQLTKKVFPHVELEDNVAEDKRKGQVALIGSSSVDCISSHAERSRNAPKGKEKIDGSTKGFGLAVALDKGVGLSIDPEHKMGQQMPVPLHSVMQPRVSGQKRLVRNGCISPHNIATRTKQLAEQRSNSSKDIEQSHTGNADSNGSPYVIDINDIVTEGNNSERLKGKGKGVIIHSPTPKANNARIIRTSGSGLVNNNKEANGIRDVSSNISGRSEGLGGWRTTRNRSSQIDYFLPDSTEPLPRRTNGVGNFVNQHQNRVQRRDTRSRGNRRTEDDVAEELNATQKASGLVSELDRIPGSCHAAKTVTKRQRKQGLSLGNHAECSTSVSGDSDIAFLGSSENSKSSRIQRPQFQGRSSRVIEVDDLSPAAGHSVPQGFDSMNDDSNARARQLEADEILARELQEQLYHEVPISGGGEIDEHLAWALQQEENASNTSRGSHNLSHRRGSTILHSNRQSRPESFQNHSNRRGTQARVATSSRMAQLRTRFRNQSPRVSTRGRNMRFPLDMDLDMRLNILEAIEAAFDDLGEVGLDNRMLRVQRDFNDNDYEMLLALDDNNHQHAGATVHQINNLPQSTVQSDASEEACAICLETPTIGETVRHLPCLHRFHKDCIDPWLGRRTSCPICKCSIT >ONI26088 pep chromosome:Prunus_persica_NCBIv2:G1:343712:352121:1 gene:PRUPE_1G003200 transcript:ONI26088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDIDQVVDVPDTPDRLASRHITGRESVGKVCNSPLACDLSNPDVVDENYVNGLKVRGRFVSENGHNRRIVIHPPTNLSNLDIKSCSNSNVDNSSASHNAHIFRRATVGKSSSREAKYSTGIENMDEGKAMTIKVPSKSSACHENAKFFDLTKHNGHTQLTKKVFPHVELEDNVAEDKRKGQVALIGSSSVDCISSHAERSRNAPKGKEKIDGSTKGFGLAVALDKGVGLSIDPEHKMGQQMPVPLHSVMQPRVSGQKRLVRNGCISPHNIATRTKQLAEQRSNSSKDIEQSHTGNADSNGSPYVIDINDIVTEGNNSERLKGKGKGVIIHSPTPKANNARIIRTSGSGLVNNNKEANGIRDVSSNISGRSEGLGGWRTTRNRSSQIDYFLPDSTEPLPRRTNGVGNFVNQHQNRVQRRDTRSRGNRRTEDDVAEELNATQKASGLVSELDRIPGSCHAAKTVTKRQRKQGLSLGNHAECSTSVSGDSDIAFLGSSENSKSSRIQRPQFQGRSSRVIEVDDLSPAAGHSVPQGFDSMNDDSNARARQLEADEILARELQEQLYHEVPISGGGEQIDEHLAWALQQEENASNTSRGSHNLSHRRGSTILHSNRQSRPESFQNHSNRRGTQARVATSSRMAQLRTRFRNQSPRVSTRGRNMRFPLDMDLDMRLNILEAIEAAFDDLGEVGLDNRMLRVQRDFNDNDYEMLLALDDNNHQHAGATVHQINNLPQSTVQSDASEEACAICLETPTIGETVRHLPCLHRFHKDCIDPWLGRRTSCPICKCSIT >ONI26089 pep chromosome:Prunus_persica_NCBIv2:G1:344971:352082:1 gene:PRUPE_1G003200 transcript:ONI26089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDIDQVVDVPDTPDRLASRHITGRESVGKVCNSPLACDLSNPDVVDENYVNGLKVRGRFVSENGHNRRIVIHPPTNLSNLDIKSCSNSNVDNSSASHNAHIFRRATVGKSSSREAKYSTGIENMDEGKAMTIKVPSKSSACHENAKFFDLTKHNGHTQLTKKVFPHVELEDNVAEDKRKGQVALIGSSSVDCISSHAERSRNAPKGKEKIDGSTKGFGLAVALDKGVGLSIDPEHKMGQQMPVPLHSVMQPRVSGQKRLVRNGCISPHNIATRTKQLAEQRSNSSKDIEQSHTGNADSNGSPYVIDINDIVTEGNNSERLKGKGKGVIIHSPTPKANNARIIRTSGSGLVNNNKEANGIRDVSSNISGRSEGLGGWRTTRNRSSQIDYFLPDSTEPLPRRTNGVGNFVNQHQNRVQRRDTRSRGNRRTEDDVAEELNATQKASGLVSELDRIPGSCHAAKTVTKRQRKQGLSLGNHAECSTSVSGDSDIAFLGSSENSKSSRIQRPQFQGRSSRVIEVDDLSPAAGHSVPQGFDSMNDDSNARARQLEADEILARELQEQLYHEVPISGGGEQIDEHLAWALQQEENASNTSRGSHNLSHRRGSTILHSNRQSRPESFQNHSNRRGTQARVATSSRMAQLRTRFRNQSPRVSTRGRNMRFPLDMDLDMRLNILEAIEAAFDDLGEVGLDNRMLRVQRDFNDNDYEMLLALDDNNHQHAGATVHQINNLPQSTVQSDASEEACAICLETPTIGETVRHLPCLHRFHKDCIDPWLGRRTSCPICKCSIT >ONI26090 pep chromosome:Prunus_persica_NCBIv2:G1:344971:352158:1 gene:PRUPE_1G003200 transcript:ONI26090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDIDQVVDVPDTPDRLASRHITGRESVGKVCNSPLACDLSNPDVVDENYVNGLKVRGRFVSENGHNRRIVIHPPTNLSNLDIKSCSNSNVDNSSASHNAHIFRRATVGKSSSREAKYSTGIENMDEGKAMTIKVPSKSSACHENAKFFDLTKHNGHTQLTKKVFPHVELEDNVAEDKRKGQVALIGSSSVDCISSHAERSRNAPKGKEKIDGSTKGFGLAVALDKGVGLSIDPEHKMGQQMPVPLHSVMQPRVSGQKRLVRNGCISPHNIATRTKQLAEQRSNSSKDIEQSHTGNADSNGSPYVIDINDIVTEGNNSERLKGKGKGVIIHSPTPKANNARIIRTSGSGLVNNNKEANGIRDVSSNISGRSEGLGGWRTTRNRSSQIDYFLPDSTEPLPRRTNGVGNFVNQHQNRVQRRDTRSRGNRRTEDDVAEELNATQKASGLVSELDRIPGSCHAAKTVTKRQRKQGLSLGNHAECSTSVSGDSDIAFLGSSENSKSSRIQRPQFQGRSSRVIEVDDLSPAAGHSVPQGFDSMNDDSNARARQLEADEILARELQEQLYHEVPISGGGEIDEHLAWALQQEENASNTSRGSHNLSHRRGSTILHSNRQSRPESFQNHSNRRGTQARVATSSRMAQLRTRFRNQSPRVSTRGRNMRFPLDMDLDMRLNILEAIEAAFDDLGEVGLDNRMLRVQRDFNDNDYEMLLALDDNNHQHAGATVHQINNLPQSTVQSDASEEACAICLETPTIGETVRHLPCLHRFHKDCIDPWLGRRTSCPICKCSIT >ONI26092 pep chromosome:Prunus_persica_NCBIv2:G1:343517:352175:1 gene:PRUPE_1G003200 transcript:ONI26092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDIDQVVDVPDTPDRLASRHITGRESVGKVCNSPLACDLSNPDVVDENYVNGLKVRGRFVSENGHNRRIVIHPPTNLSNLDIKSCSNSNVDNSSASHNAHIFRRATVGKSSSREAKYSTGIENMDEGKAMTIKVPSKSSACHENAKFFDLTKHNGHTQLTKKVFPHVELEDNVAEDKRKGQVALIGSSSVDCISSHAERSRNAPKGKEKIDGSTKGFGLAVALDKGVGLSIDPEHKMGQQMPVPLHSVMQPRVSGQKRLVRNGCISPHNIATRTKQLAEQRSNSSKDIEQSHTGNADSNGSPYVIDINDIVTEGNNSERLKGKGKGVIIHSPTPKANNARIIRTSGSGLVNNNKEANGIRDVSSNISGRSEGLGGWRTTRNRSSQIDYFLPDSTEPLPRRTNGVGNFVNQHQNRVQRRDTRSRGNRRTEDDVAEELNATQKASGLVSELDRIPGSCHAAKTVTKRQRKQGLSLGNHAECSTSVSGDSDIAFLGSSENSKSSRIQRPQFQGRSSRVIEVDDLSPAAGHSVPQGFDSMNDDSNARARQLEADEILARELQEQLYHEVPISGGGEIDEHLAWALQQEENASNTSRGSHNLSHRRGSTILHSNRQSRPESFQNHSNRRGTQARVATSSRMAQLRTRFRNQSPRVSTRGRNMRFPLDMDLDMRLNILEAIEAAFDDLGEVGLDNRMLRVQRDFNDNDYEMLLALDDNNHQHAGATVHQINNLPQSTVQSDASEEACAICLETPTIGETVRHLPCLHRFHKDCIDPWLGRRTSCPICKCSIT >ONI30419 pep chromosome:Prunus_persica_NCBIv2:G1:26226155:26229973:-1 gene:PRUPE_1G250100 transcript:ONI30419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKEHFKRFGGRELPLKSAQITESTDLNELVEKESWLSSSKLVVKPDMLFGKRGKSGLVALNLDFAQVATFVKERLGKEVEMGGCKGPITTFIVEPFIPHNEEFYLNIVSDRLGNSISFSECGGIDIEENWDKVKTIFVPTGTPFTSEVSAPLVATLPLEIKGELEDFIKVVFTLFQDLDFTFLEMNPFALVDGKPYPLDMRGELDDTAAFKNFKKWGSIEFPMPFGRVMSSTEKFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFADELGNYAEYSGAPNEEEVLQYARVVIDCATSDPDGRKRALVIGGGIANFTDVAATFNGIIRALKEKESKLKAARMHLYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICKQAIQCISVAA >ONI30418 pep chromosome:Prunus_persica_NCBIv2:G1:26226155:26230236:-1 gene:PRUPE_1G250100 transcript:ONI30418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKEHFKRFGGRELPLKSAQITESTDLNELVEKESWLSSSKLVVKPDMLFGKRGKSGLVALNLDFAQVATFVKERLGKEVEMGGCKGPITTFIVEPFIPHNEEFYLNIVSDRLGNSISFSECGGIDIEENWDKVKTIFVPTGTPFTSEVSAPLVATLPLEIKGELEDFIKVVFTLFQDLDFTFLEMNPFALVDGKPYPLDMRGELDDTAAFKNFKKWGSIEFPMPFGRVMSSTEKFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGFADELGNYAEYSGAPNEEEVLQYARVVIDCATSDPDGRKRALVIGGGIANFTDVAATFNGIIRALKEKESKLKAARMHLYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICKQAIQCISVAA >ONI33638 pep chromosome:Prunus_persica_NCBIv2:G1:37274129:37275151:-1 gene:PRUPE_1G437500 transcript:ONI33638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHQQEKTREKAVGTRHEEERLKVEGIGKELRQAAAKQVQQPSTAPASPPSASSSPSHDFSFTISLHSSSTSIPDHHNKAKSTPPSFAIDLSPADDIFFHGHLLPLQLLSHLPVSPRSSTNSLDSFTLPIKDLSDDDQRPTKESNNNANNCSTSIGRNKNIINNKNNSCRSINQISPHHSNKSEARGRTKSKSFSLFGLPKWRKDCEDREKEDKEMKHKRKMRFDVSHILKRYVRMVRPLLLFRGRKEKGQFRRQPYSFSGNLSLRNKHELRGRRGELSAPASMRTSPTNSGLLVATSATNLPSSTIDSTMEELQAAIQAAIAHCKNSIASATEEKIKC >ONI27775 pep chromosome:Prunus_persica_NCBIv2:G1:8409291:8415676:-1 gene:PRUPE_1G104400 transcript:ONI27775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENPADGNVIKEQGTAASNGHSAVVEDSQNSPQDTSKSKEDGTKTVPYYKLFSFADSLDFLLMSVGTISAIGNGTSLPLMTIIFGDVINSFGQSGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQASRIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGSFIQLIATFVGGFVIAFIKGWLLTLVMLSSIPLLVLSGAIMGILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAIANYNNSLIKAYNSGVQEGLASGFGIGSVMLIIMCSYALAIWFGGKMILEKGYTGGEVINVVFAVLTGSMSLGQASPCLSAFSAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVHFSYPARPDEQIFHGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGPHSELIKDPEGAYSQLIRLQEMSSVSEQTVVNDHERLSSVDSRRHSSQRFSNLRSVSRGSSGRGNSNRHSFSISYGVPTAVSSLETTSAGCDIPASASSGVPPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFGILISSVIKTFYEPPPQLRKDSKFWALIFIVLGVVTFIALPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDPEHSSGAIGARLSADAASLRALVGDALGLNGYVQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALTMTAVGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPVFQDLCLTIRHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGVEIQKLQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFICSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKSADVIAVVKNGVIAEKGKHETLIGIKDGIYASLVALHASASS >ONI27778 pep chromosome:Prunus_persica_NCBIv2:G1:8409272:8415996:-1 gene:PRUPE_1G104400 transcript:ONI27778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENPADGNVIKEQGTAASNGHSAVVEDSQNSPQDTSKSKEDGTKTVPYYKLFSFADSLDFLLMSVGTISAIGNGTSLPLMTIIFGDVINSFGQSGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQASRIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGSFIQLIATFVGGFVIAFIKGWLLTLVMLSSIPLLVLSGAIMGILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAIANYNNSLIKAYNSGVQEGLASGFGIGSVMLIIMCSYALAIWFGGKMILEKGYTGGEVINVVFAVLTGSMSLGQASPCLSAFSAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVHFSYPARPDEQIFHGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGPHSELIKDPEGAYSQLIRLQEMSSVSEQTVVNDHERLSSVDSRRHSSQRFSNLRSVSRGSSGRGNSNRHSFSISYGVPTAVSSLETTSAGCDIPASASSGVPPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFGILISSVIKTFYEPPPQLRKDSKFWALIFIVLGVVTFIALPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDPEHSSGAIGARLSADAASLRALVGDALGLLVENSATAIAGLCIAFVANWQLALIILVLLPLLGLNGYVQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALTMTAVGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPVFQDLCLTIRHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGVEIQKLQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFICSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKSADVIAVVKNGVIAEKGKHETLIGIKDGIYASLVALHASASS >ONI27779 pep chromosome:Prunus_persica_NCBIv2:G1:8409270:8415247:-1 gene:PRUPE_1G104400 transcript:ONI27779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENPADGNVIKEQGTAASNGHSAVVEDSQNSPQDTSKSKEDGTKTVPYYKLFSFADSLDFLLMSVGTISAIGNGTSLPLMTIIFGDVINSFGQSGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQASRIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGSFIQLIATFVGGFVIAFIKGWLLTLVMLSSIPLLVLSGAIMGILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAIANYNNSLIKAYNSGVQEGLASGFGIGSVMLIIMCSYALAIWFGGKMILEKGYTGGEVINVVFAVLTGSMSLGQASPCLSAFSAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVHFSYPARPDEQIFHGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGPHSELIKDPEGAYSQLIRLQEMSSVSEQTVVNDHERLSSVDSRRHSSQRFSNLRSVSRGSSGRGNSNRHSFSISYGVPTAVSSLETTSAGCDIPASASSGVPPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFGILISSVIKTFYEPPPQLRKDSKFWALIFIVLGVVTFIALPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDPEHSSGAIGARLSADAASLRALVGDALGLLVENSATAIAGLCIAFVANWQLALIILVLLPLLGLNGYVQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALTMTAVGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPVFQDLCLTIRHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGVEIQKLQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFICSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKSADVIAVVKNGVIAEKGKHETLIGIKDGIYASLVALHASASS >ONI27777 pep chromosome:Prunus_persica_NCBIv2:G1:8409550:8415866:-1 gene:PRUPE_1G104400 transcript:ONI27777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENPADGNVIKEQGTAASNGHSAVVEDSQNSPQDTSKSKEDGTKTVPYYKLFSFADSLDFLLMSVGTISAIGNGTSLPLMTIIFGDVINSFGQSGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQASRIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGSFIQLIATFVGGFVIAFIKGWLLTLVMLSSIPLLVLSGAIMGILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAIANYNNSLIKAYNSGVQEGLASGFGIGSVMLIIMCSYALAIWFGGKMILEKGYTGGEVINVVFAVLTGSMSLGQASPCLSAFSAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVHFSYPARPDEQIFHGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGPHSELIKDPEGAYSQLIRLQEMSSVSEQTVVNDHERLSSVDSRRHSSQRFSNLRSVSRGSSGRGNSNRHSFSISYGVPTAVSSLETTSAGCDIPASASSGVPPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFGILISSVIKTFYEPPPQLRKDSKFWALIFIVLGVVTFIALPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDPEHSSGAIGARLSADAASLRALVGDALGLLVENSATAIAGLCIAFVANWQLALIILVLLPLLGLNGYVQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALTMTAVGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPVFQDLCLTIRHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGVEIQKLQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFICSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVSADVIAVVKNGVIAEKGKHETLIGIKDGIYASLVALHASASS >ONI27776 pep chromosome:Prunus_persica_NCBIv2:G1:8409291:8415908:-1 gene:PRUPE_1G104400 transcript:ONI27776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENPADGNVIKEQGTAASNGHSAVVEDSQNSPQDTSKSKEDGTKTVPYYKLFSFADSLDFLLMSVGTISAIGNGTSLPLMTIIFGDVINSFGQSGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQASRIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGSFIQLIATFVGGFVIAFIKGWLLTLVMLSSIPLLVLSGAIMGILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAIANYNNSLIKAYNSGVQEGLASGFGIGSVMLIIMCSYALAIWFGGKMILEKGYTGGEVINVVFAVLTGSMSLGQASPCLSAFSAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVHFSYPARPDEQIFHGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGPHSELIKDPEGAYSQLIRLQEMSSVSEQTVVNDHERLSSVDSRRHSSQRFSNLRSVSRGSSGRGNSNRHSFSISYGVPTAVSSLETTSAGCDIPASASSGVPPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFGILISSVIKTFYEPPPQLRKDSKFWALIFIVLGVVTFIALPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDPEHSSGAIGARLSADAASLRALVGDALGLNGYVQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALTMTAVGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPVFQDLCLTIRHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGVEIQKLQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFICSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKSADVIAVVKNGVIAEKGKHETLIGIKDGIYASLVALHASASS >ONI26901 pep chromosome:Prunus_persica_NCBIv2:G1:3769193:3777383:1 gene:PRUPE_1G054000 transcript:ONI26901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAGISLEGLCDGNSHDVLVELGGMGGGGKLHLEVNYKSFDEIEEGKMWWRRVPFVSDFLRKTGFEPALKMLAGSDTVQAREFVEYAFGQLKSFNNAYLLKNLISSSDENNTEGTRKSNNSAGVSDVPSQMEGIAEGSLNNTGFKEGSNSDDSNADNGGVENGYAPEPVKQLGEERQSNKNFWRNFANEINQNVVEKFGRPIPEKLKWDGFDLLNKVGLQSRKIAEASYIDSGLATPEGVDVDNDKISGPLSVSMIQSSLPDIKEATRDLVRQTDSVLGTLMVLTAAVSQSNKEANLAGRSKIKEEDTSNVEDDALTYPINEKLASSQGAQEMKELFSTAESAMEAWAMLATSMGHPSFIKSEFEKLCFLDNATTDTQVAIWCDSSRKRLVIAFRGTEQARWKDLRTDLMLAPAGLNPERIGGDFKEEVQVHSGFLSAYDSVRIRIISLMKLAIGYIDDLAEPLHKWHVYVTGHSLGGALSTLLALELSSSQLAKRGVISVTMYNFGSPRVGNKKFAEVYNEKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLATGDLRNALDNIELSGDGYQGDVIGEYTPDALISEFMKGEMELIEKILETEINIFSSIRDGTALMQHMEDFYYITLLENVRSNYQVAAARAVSDEQKNIKIS >ONI26903 pep chromosome:Prunus_persica_NCBIv2:G1:3772766:3777305:1 gene:PRUPE_1G054000 transcript:ONI26903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAIGYIDDLAEPLHKWHVYVTGHSLGGALSTLLALELSSSQLAKRGVISVTMYNFGSPRVGNKKFAEVYNEKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLATGDLRNALDNIELSGDGYQGDVIGEYTPDALISEFMKGEMELIEKILETEINIFSSIRDGTALMQHMEDFYYITLLENVRSNYQVAAARAVSDEQKNIKIS >ONI26900 pep chromosome:Prunus_persica_NCBIv2:G1:3768606:3777383:1 gene:PRUPE_1G054000 transcript:ONI26900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEPTWNENFSFNIKQPPTINLQVAAWDANLVTPHKRMGNAGISLEGLCDGNSHDVLVELGGMGGGGKLHLEVNYKSFDEIEEGKMWWRRVPFVSDFLRKTGFEPALKMLAGSDTVQAREFVEYAFGQLKSFNNAYLLKNLISSSDENNTEGTRKSNNSAGVSDVPSQMEGIAEGSLNNTGFKEGSNSDDSNADNGGVENGYAPEPVKQLGEERQSNKNFWRNFANEINQNVVEKFGRPIPEKLKWDGFDLLNKVGLQSRKIAEASYIDSGLATPEGVDVDNDKISGPLSVSMIQSSLPDIKEATRDLVRQTDSVLGTLMVLTAAVSQSNKEANLAGRSKIKEEDTSNVEDDALTYPINEKLASSQGAQEMKELFSTAESAMEAWAMLATSMGHPSFIKSEFEKLCFLDNATTDTQVAIWCDSSRKRLVIAFRGTEQARWKDLRTDLMLAPAGLNPERIGGDFKEEVQVHSGFLSAYDSVRIRIISLMKLAIGYIDDLAEPLHKWHVYVTGHSLGGALSTLLALELSSSQLAKRGVISVTMYNFGSPRVGNKKFAEVYNEKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLATGDLRNALDNIELSGDGYQGDVIGEYTPDALISEFMKGEMELIEKILETEINIFSSIRDGTALMQHMEDFYYITLLENVRSNYQVAAARAVSDEQKNIKIS >ONI26899 pep chromosome:Prunus_persica_NCBIv2:G1:3766757:3777383:1 gene:PRUPE_1G054000 transcript:ONI26899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQTHHYNFQFHRCVCSLSPKLHGLQNPKLSLRFPISFSGKVRVTFRGNGKGRDGIYSLCCLCRAGSEVEKVSAEEGNERPPFDINLAVVLAGFAFEAYSSPPDNIGRREVDAADCKTVYLSESFVREIYDGELSVKLKKGLNLPAMDPWGTSDPYVVMQLDGQVVKSKVKWGTKEPTWNENFSFNIKQPPTINLQVAAWDANLVTPHKRMGNAGISLEGLCDGNSHDVLVELGGMGGGGKLHLEVNYKSFDEIEEGKMWWRRVPFVSDFLRKTGFEPALKMLAGSDTVQAREFVEYAFGQLKSFNNAYLLKNLISSSDENNTEGTRKSNNSAGVSDVPSQMEGIAEGSLNNTGFKEGSNSDDSNADNGGVENGYAPEPVKQLGEERQSNKNFWRNFANEINQNVVEKFGRPIPEKLKWDGFDLLNKVGLQSRKIAEASYIDSGLATPEGVDVDNDKISGPLSVSMIQSSLPDIKEATRDLVRQTDSVLGTLMVLTAAVSQSNKEANLAGRSKIKEEDTSNVEDDALTYPINEKLASSQGAQEMKELFSTAESAMEAWAMLATSMGHPSFIKSEFEKLCFLDNATTDTQVAIWCDSSRKRLVIAFRGTEQARWKDLRTDLMLAPAGLNPERIGGDFKEEVQVHSGFLSAYDSVRIRIISLMKLAIGYIDDLAEPLHKWHVYVTGHSLGGALSTLLALELSSSQLAKRGVISVTMYNFGSPRVGNKKFAEVYNEKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLATGDLRNALDNIELSGDGYQGDVIGEYTPDALISEFMKGEMELIEKILETEINIFSSIRDGTALMQHMEDFYYITLLENVRSNYQVAAARAVSDEQKNIKIS >ONI26902 pep chromosome:Prunus_persica_NCBIv2:G1:3772215:3777301:1 gene:PRUPE_1G054000 transcript:ONI26902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAIGYIDDLAEPLHKWHVYVTGHSLGGALSTLLALELSSSQLAKRGVISVTMYNFGSPRVGNKKFAEVYNEKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLATGDLRNALDNIELSGDGYQGDVIGEYTPDALISEFMKGEMELIEKILETEINIFSSIRDGTALMQHMEDFYYITLLENVRSNYQVAAARAVSDEQKNIKIS >ONI30289 pep chromosome:Prunus_persica_NCBIv2:G1:25625915:25627699:-1 gene:PRUPE_1G242400 transcript:ONI30289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITPLILCLPFLLLPLLVLLKRNKQQHKNPQKHYPPSPPKLPLIGNLHQLGSSPHQSLWQLSKKYGPVMLLHLGRVPTLVISSAEAAKEALKTNDLHCCSRPSSAGCRRLTYNYLDVAFAPYGEYWREIRKICVLELFSVKRVQSYWSVREEEVDRLVNSISSSSSSGSPVDLTEKLFAFTASIIFRIAYGTTFKGSKFEHAKNIHELIHDTEAMLGGLSGADYFPSWIGWIIDRVSGVHKEFDRISSELDGLFQQVIDDHLRAGTEVEKDHEDIVDVLLKIVREQTGFGAAQLGHNNIKGVLLNLFLGGIDTSAITMEWAMAELARKPKLMKKAQEEVRRCIGNKGKVTEGDTDELQYLKMVIKETFRLHPPAPMILPRETMSHFKIQGYDVDPKTLVFVNDWAIARDPESWKDPEEFVPERFDGSSIDYKGQHFEFLPFGAGRRICPGMYMGTTTVELGLANLLYWFDWKLPNGMKEEDISMEEATGLALTISKKTVLHLVPVKLSQET >ONI33349 pep chromosome:Prunus_persica_NCBIv2:G1:36270161:36274104:-1 gene:PRUPE_1G418500 transcript:ONI33349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHDSEDGGFQHRNEGSLNCPSSGMSTNPLPEKVSQITMSSVSMFKPSNGADPFFGSGWDPIVSLSQSDNFGGSSVVSHREFSNPPYPVALENPGMSSTSHLVQYPSDSSYVEMVPKLPCFGSGSFSEMVGSFGLSECAQIANPGCAANYNPHREGAPERTSTIGAQSHDDRQISEEGALGSSPSGKRRKRVPESNSAFSPNKNAEGEINKDLSGESSDYLKEQDEKKAKVEDNTAANLRGKQMGKQAKESSQSGDAKDSYIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDIERILSKDILNSRSGSGAIFGFSPGISSSHPYPHGMFPGNLPSMPSSAPQFPSLPQTVLDSELQGLFHMGFDSSSAIDNLGQNGRLKSEL >ONI33351 pep chromosome:Prunus_persica_NCBIv2:G1:36270642:36272723:-1 gene:PRUPE_1G418500 transcript:ONI33351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHDSEDGGFQHRNEGSLNCPSSGMSTNPLPEKVSQITMSSVSMFKPSNGADPFFGSGWDPIVSLSQSDNFGGSSVVSHREFSNPPYPVALENPGMSSTSHLVQYPSDSSYVEMVPKLPCFGSGSFSEMVGSFGLSECAQIANPGCAANYNPHREGAPERTSTIGAQSHDDRQISEEGALGSSPSGKRRKRVPESNSAFSPNKNAEGEINKDLSGESSDYLKEQDEKKAKVEDNTAANLRGKQMGKQAKESSQSGDAKDSYIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDIERILSKDILNSRSGSGAIFGFSPGISSSHPYPHGMFPGNLPSMPSSAPQFPSLPQTVLDSELQGLFHMGFDSSSAIDNLGQNAGRLKSEL >ONI33350 pep chromosome:Prunus_persica_NCBIv2:G1:36270642:36272723:-1 gene:PRUPE_1G418500 transcript:ONI33350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHDSEDGGFQHRNEGSLNCPSSGMSTNPLPEKVSQITMSSVSMFKPSNGADPFFGSGWDPIVSLSQSDNFGGSSVVSHREFSNPPYPVALENPGMSSTSHLVQYPSDSSYVEMVPKLPCFGSGSFSEMVGSFGLSECAQIANPGCAANYNPHREGAPERTSTIGAQSHDDRQISEEGALGSSPSGKRRKRVPESNSAFSPNKNAEGEINKDLSGESSDYLKEQDEKKAKVEDNTAANLRGKQMGKQAKESSQSGDAKDSYIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDIERILSKDILNSRSGSGAIFGFSPGISSSHPYPHGMFPGNLPSMPSSAPQFPSLPQTVLDSELQGLFHMGFDSSSAIDNLGQNAGRLKSEL >ONI33348 pep chromosome:Prunus_persica_NCBIv2:G1:36270141:36274150:-1 gene:PRUPE_1G418500 transcript:ONI33348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHDSEDGGFQHRNEGSLNCPSSGMSTNPLPEKVSQITMSSVSMFKPSNGADPFFGSGWDPIVSLSQSDNFGGSSVVSHREFSNPPYPVALENPGMSSTSHLVQYPSDSSYVEMVPKLPCFGSGSFSEMVGSFGLSECAQIANPGCAANYNPHREGAPERTSTIGAQSHDDRQISEEGALGSSPSGKRRKRVPESNSAFSPNKNAEGEINKDLSGESSDYLKEQDEKKAKVEDNTAANLRGKQMGKQAKESSQSGDAKDSYIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDIERILSKDILNSRSGSGAIFGFSPGISSSHPYPHGMFPGNLPSMPSSAPQFPSLPQTVLDSELQGLFHMGFDSSSAIDNLGQNGRLKSEL >ONI34414 pep chromosome:Prunus_persica_NCBIv2:G1:40164553:40167673:-1 gene:PRUPE_1G481000 transcript:ONI34414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTCTVSLISSTKSSSTFPLKFNFPAKSPSTVNLRANPLTAANAASSGKDLVLGRRGLVFTLTATLPLLLPFSECIEAFAAKAVESDISEYELVKEEIRKVVTKGKAAGVLRLVFHDAGTFQVDENSGGMNGSVIYELDRPENKGLKSPFKILEKAKSECCANVWMFPKLVSWADMISVAGAEAVSICGGPTIQVSLGRLDSKEPDPEGKLPAESLDAFALRQSFQTKGFSTQELVALSGAHTIGNKGFGSPTVFDNTYFKILLEKPSSGSMIGLPSDRALAKDDECLRWITKYAEDQDVFFEDFKKAYVKLVNSGARWKSL >ONI28763 pep chromosome:Prunus_persica_NCBIv2:G1:12729538:12731573:1 gene:PRUPE_1G159800 transcript:ONI28763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLEQLEDILGTLEGFTVKENWDRFFTIRGSDNEFEWYAQWADLRGPLLAHLSKLQSQTLPLQILVPGCGVSNLSDHLYDAGFKAITNIDFSEIAVSDMLQRNACQRPGMKWQVMDMTTMQFEDESFDVVVDKGGLDALIEPEFGLKKGNQYLSDVNRVLKSGGKFVCFTWGFCDVLGLLFSNFRLGWKMGIYAMPLKPNCTKPNDLQTFMVVAEKETPSALHQITSTFTISHGNQTCSLLEALENENRVRKEYSTAGSDMAVLT >ONI31221 pep chromosome:Prunus_persica_NCBIv2:G1:29441555:29447928:1 gene:PRUPE_1G299100 transcript:ONI31221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDMSGVDSHQRRQGLLKDQVRLVKKKDSHYEIVPIQSPLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKILNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNVNDLKAGKEVQVPVYDFKSSSRTGFRTVEVPSSRIVIIEGIYALSEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSAKDLSADQIKAVFSEDHTEAKEETYDIYLLPPGEDPESCQSYLRMRDKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSNDGVCVKIDWLEQLNRRYIQVQGKDRVLVRCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPDDLKTRLSLDEDLVSSPKEALSRASADRVAMRNKHLKSGMSQSYTTQRDKSTSKLTGYASNSQRFDERNSESSATLASQGVVTQLSEQMSSLNDRMDEFTNRVEELNSKLAVKKSSPSQQNMALQAETCNGSVPTSYFISGLGNGSLTGSILPNSSSSSQLAKESSVMEEMSSIARGQRQIMHQLDNLSNLLRENMGERNRPVRTNSRKSTIAQPDQPLTVPLAITLAVGVLGLIIYKGIFTRN >ONI31215 pep chromosome:Prunus_persica_NCBIv2:G1:29441451:29447844:1 gene:PRUPE_1G299100 transcript:ONI31215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCSYRSKSIFCGSGGDCFTGKGSNGIRYGGPSGAGKTVFTEKILNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNVNDLKAGKEVQVPVYDFKSSSRTGFRTVEVPSSRIVIIEGIYALSEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSAKDLSADQIKAVFSEDHTEAKEETYDIYLLPPGEDPESCQSYLRMRDKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSNDGVCVKIDWLEQLNRRYIQVQGKDRVLVRCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPDDLKTRLSLDEDLVSSPKEALSRASADRVAMRNKHLKSGMSQSYTTQRDKSTSKLTGYASNSQRFDERNSESSATLASQGVVTQLSEQMSSLNDRMDEFTNRVEELNSKLAVKKSSPSQQNMALQAETCNGSVPTSYFISGLGNGSLTGSILPNSSSSSQLAKESSVMEEMSSIARGQRQIMHQLDNLSNLLRENMGERNRPVRTNSRKSTIAQPDQPLTVPLAITLAVGVLGLIIYKGIFTRN >ONI31218 pep chromosome:Prunus_persica_NCBIv2:G1:29441024:29447844:1 gene:PRUPE_1G299100 transcript:ONI31218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDMSGVDSHQRRQGLLKDQVRLVKKKDSHYEIVPIQSPLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKILNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNVNDLKAGKEVQVPVYDFKSSSRTGFRTVEVPSSRIVIIEGIYALSEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSAKDLSADQIKAVFSEDHTEAKEETYDIYLLPPGEDPESCQSYLRMRDKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSNDGVCVKIDWLEQLNRRYIQVQGKDRVLVRCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPDDLKTRLSLDEDLVSSPKEALSRASADRVAMRNKHLKSGMSQSYTTQRDKSTSKLTGYASNSQRFDERNSESSATLASQGVVTQLSEQMSSLNDRMDEFTNRVEELNSKLAVKKSSPSQQNMALQAETCNGSVPTSYFISGLGNGSLTGSILPNSSSSSQLAKESSVMEEMSSIARGQRQIMHQLDNLSNLLRENMGERNRPVRTNSRKSTIAQPDQPLTVPLAITLAVGVLGLIIYKGIFTRN >ONI31222 pep chromosome:Prunus_persica_NCBIv2:G1:29441022:29447844:1 gene:PRUPE_1G299100 transcript:ONI31222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNVNDLKAGKEVQVPVYDFKSSSRTGFRTVEVPSSRIVIIEGIYALSEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSAKDLSADQIKAVFSEDHTEAKEETYDIYLLPPGEDPESCQSYLRMRDKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSNDGVCVKIDWLEQLNRRYIQVQGKDRVLVRCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPDDLKTRLSLDEDLVSSPKEALSRASADRVAMRNKHLKSGMSQSYTTQRDKSTSKLTGYASNSQRFDERNSESSATLASQGVVTQLSEQMSSLNDRMDEFTNRVEELNSKLAVKKSSPSQQNMALQAETCNGSVPTSYFISGLGNGSLTGSILPNSSSSSQLAKESSVMEEMSSIARGQRQIMHQLDNLSNLLRENMGERNRPVRTNSRKSTIAQPDQPLTVPLAITLAVGVLGLIIYKGIFTRN >ONI31220 pep chromosome:Prunus_persica_NCBIv2:G1:29440989:29447928:1 gene:PRUPE_1G299100 transcript:ONI31220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDMSGVDSHQRRQGLLKDQVRLVKKKDSHYEIVPIQSPLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKILNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNVNDLKAGKEVQVPVYDFKSSSRTGFRTVEVPSSRIVIIEGIYALSEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSAKDLSADQIKAVFSEDHTEAKEETYDIYLLPPGEDPESCQSYLRMRDKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSNDGVCVKIDWLEQLNRRYIQVQGKDRVLVRCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPDDLKTRLSLDEDLVSSPKEALSRASADRVAMRNKHLKSGMSQSYTTQRDKSTSKLTGYASNSQRFDERNSESSATLASQGVVTQLSEQMSSLNDRMDEFTNRVEELNSKLAVKKSSPSQQNMALQAETCNGSVPTSYFISGLGNGSLTGSILPNSSSSSQLAKESSVMEEMSSIARGQRQIMHQLDNLSNLLRENMGERNRPVRTNSRKSTIAQPDQPLTVPLAITLAVGVLGLIIYKGIFTRN >ONI31219 pep chromosome:Prunus_persica_NCBIv2:G1:29442923:29447510:1 gene:PRUPE_1G299100 transcript:ONI31219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDMSGVDSHQRRQGLLKDQVRLVKKKDSHYEIVPIQSPLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKILNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNVNDLKAGKEVQVPVYDFKSSSRTGFRTVEVPSSRIVIIEGIYALSEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSAKDLSADQIKAVFSEDHTEAKEETYDIYLLPPGEDPESCQSYLRMRDKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSNDGVCVKIDWLEQLNRRYIQVQGKDRVLVRCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPDDLKTRLSLDEDLVSSPKEALSRASADRVAMRNKHLKSGMSQSYTTQRDKSTSKLTGYASNSQRFDERNSESSATLASQGVVTQLSEQMSSLNDRMDEFTNRVEELNSKLAVKKSSPSQQNMALQAETCNGSVPTSYFISGLGNGSLTGSILPNSSSSSQLAKESSVMEEMSSIARGQRQIMHQLDNLSNLLRENMGERNRPVRTNSRKSTIAQPDQPLTVPLAITLAVGVLGLIIYKGIFTRN >ONI31216 pep chromosome:Prunus_persica_NCBIv2:G1:29440986:29447844:1 gene:PRUPE_1G299100 transcript:ONI31216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDMSGVDSHQRRQGLLKDQVRLVKKKDSHYEIVPIQSPLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKILNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNVNDLKAGKEVQVPVYDFKSSSRTGFRTVEVPSSRIVIIEGIYALSEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSAKDLSADQIKAVFSEDHTEAKEETYDIYLLPPGEDPESCQSYLRMRDKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSNDGVCVKIDWLEQLNRRYIQVQGKDRVLVRCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPDDLKTRLSLDEDLVSSPKEALSRASADRVAMRNKHLKSGMSQSYTTQRDKSTSKLTGYASNSQRFDERNSESSATLASQGVVTQLSEQMSSLNDRMDEFTNRVEELNSKLAVKKSSPSQQNMALQAETCNGSVPTSYFISGLGNGSLTGSILPNSSSSSQLAKESSVMEEMSSIARGQRQIMHQLDNLSNLLRENMGERNRPVRTNSRKSTIAQPDQPLTVPLAITLAVGVLGLIIYKGIFTRN >ONI31214 pep chromosome:Prunus_persica_NCBIv2:G1:29441451:29447844:1 gene:PRUPE_1G299100 transcript:ONI31214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCSYRSKSIFCGSGGDCFTGKGSNGIRYGEGASIIFMAQDMSGVDSHQRRQGLLKDQVRLVKKKDSHYEIVPIQSPLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKILNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNVNDLKAGKEVQVPVYDFKSSSRTGFRTVEVPSSRIVIIEGIYALSEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSAKDLSADQIKAVFSEDHTEAKEETYDIYLLPPGEDPESCQSYLRMRDKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSNDGVCVKIDWLEQLNRRYIQVQGKDRVLVRCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPDDLKTRLSLDEDLVSSPKEALSRASADRVAMRNKHLKSGMSQSYTTQRDKSTSKLTGYASNSQRFDERNSESSATLASQGVVTQLSEQMSSLNDRMDEFTNRVEELNSKLAVKKSSPSQQNMALQAETCNGSVPTSYFISGLGNGSLTGSILPNSSSSSQLAKESSVMEEMSSIARGQRQIMHQLDNLSNLLRENMGERNRPVRTNSRKSTIAQPDQPLTVPLAITLAVGVLGLIIYKGIFTRN >ONI31217 pep chromosome:Prunus_persica_NCBIv2:G1:29441024:29447844:1 gene:PRUPE_1G299100 transcript:ONI31217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDMSGVDSHQRRQGLLKDQVRLVKKKDSHYEIVPIQSPLSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKILNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNVNDLKAGKEVQVPVYDFKSSSRTGFRTVEVPSSRIVIIEGIYALSEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSAKDLSADQIKAVFSEDHTEAKEETYDIYLLPPGEDPESCQSYLRMRDKDGKYSLMFEEWVTDNPFVISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSNDGVCVKIDWLEQLNRRYIQVQGKDRVLVRCVAEQLGLEGSYIPRTYIEQIQLEKLVNEVMALPDDLKTRLSLDEDLVSSPKEALSRASADRVAMRNKHLKSGMSQSYTTQRDKSTSKLTGYASNSQRFDERNSESSATLASQGVVTQLSEQMSSLNDRMDEFTNRVEELNSKLAVKKSSPSQQNMALQAETCNGSVPTSYFISGLGNGSLTGSILPNSSSSSQLAKESSVMEEMSSIARGQRQIMHQLDNLSNLLRENMGERNRPVRTNSRKSTIAQPDQPLTVPLAITLAVGVLGLIIYKGIFTRN >ONI27489 pep chromosome:Prunus_persica_NCBIv2:G1:6789615:6792384:-1 gene:PRUPE_1G090100 transcript:ONI27489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQAAGLESPLLPALQEHESQATKGRLSKDDIIKEVKKQLSLAGPLVSSNFLLFGMQVISVMYVGHLGELALAGASMATSFASVTGLSLIIGMSSALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGTATMLHLIVCWVLVYKTSLGYRGAAVANSISYWINALALVIYVRVSPYCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMIYMIPMAFSGTASTRVSNLLGAGQPRLAVLAVRVALSIVILEGILIGTVLILGRKVWGYCYSSEMEVVNYVGEMLILVAISHFFDGLQSVLSGVIRGSGQQKIGAYVNLGAYYLMGIPTAVLLAFVLHLGGKGLWTGIIVALVVQALFLAIIITCTDWEKEVKKASDRVYNTMTVADAL >ONI27492 pep chromosome:Prunus_persica_NCBIv2:G1:6789387:6792573:-1 gene:PRUPE_1G090100 transcript:ONI27492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGTATMLHLIVCWVLVYKTSLGYRGAAVANSISYWINALALVIYVRVSPYCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMIYMIPMAFSGTASTRVSNLLGAGQPRLAVLAVRVALSIVILEGILIGTVLILGRKVWGYCYSSEMEVVNYVGEMLILVAISHFFDGLQSVLSGVIRGSGQQKIGAYVNLGAYYLMGIPTAVLLAFVLHLGGKGLWTGIIVALVVQALFLAIIITCTDWEKEVKKASDRVYNTMTVADAL >ONI27491 pep chromosome:Prunus_persica_NCBIv2:G1:6789323:6792573:-1 gene:PRUPE_1G090100 transcript:ONI27491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAILESWHLQIGMSSALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGTATMLHLIVCWVLVYKTSLGYRGAAVANSISYWINALALVIYVRVSPYCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMIYMIPMAFSGTASTRVSNLLGAGQPRLAVLAVRVALSIVILEGILIGTVLILGRKVWGYCYSSEMEVVNYVGEMLILVAISHFFDGLQSVLSGVIRGSGQQKIGAYVNLGAYYLMGIPTAVLLAFVLHLGGKGLWTGIIVALVVQALFLAIIITCTDWEKEVKKASDRVYNTMTVADAL >ONI27493 pep chromosome:Prunus_persica_NCBIv2:G1:6789652:6792384:-1 gene:PRUPE_1G090100 transcript:ONI27493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQAAGLESPLLPALQEHESQATKGRLSKDDIIKEVKKQLSLAGPLVSSNFLLFGMQVISVMYVGHLGELALAGASMATSFASVTGLSLIIGMSSALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGTATMLHLIVCWVLVYKTSLGYRGAAVANSISYWINALALVIYVRVSPYCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMIYMIPMAFSGTASTRVSNLLGAGQPRLAVLAVRVALSIVILEGILIGTVLILGRKVWGYCYSSEMEVVNYVGEMLILVAISHFFDGLQSVLSGVIRGSGQQKIGAYVNLGAYYLMGIPTAVLLAFVLHLGGKVTCSRSLDRNYCGSSCPGAISCNHNHMHRLGERSEESLR >ONI27494 pep chromosome:Prunus_persica_NCBIv2:G1:6789387:6792573:-1 gene:PRUPE_1G090100 transcript:ONI27494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQAAGLESPLLPALQEHESQATKGRLSKDDIIKEVKKQLSLAGPLVSSNFLLFGMQVISVMYVGHLGELALAGASMATSFASVTGLSLIIGMSSALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGTATMLHLIVCWVLVYKTSLGYRGAAVANSISYWINALALVIYVRVSPYCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMIYMIPMAFSGTASTRVSNLLGAGQPRLAVLAVS >ONI27490 pep chromosome:Prunus_persica_NCBIv2:G1:6787836:6792573:-1 gene:PRUPE_1G090100 transcript:ONI27490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQAAGLESPLLPALQEHESQATKGRLSKDDIIKEVKKQLSLAGPLVSSNFLLFGMQVISVMYVGHLGELALAGASMATSFASVTGLSLIIGMSSALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGTATMLHLIVCWVLVYKTSLGYRGAAVANSISYWINALALVIYVRVSPYCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMIYMIPMAFSGTASTRVSNLLGAGQPRLAVLAVRVALSIVILEGILIGTVLILGRKVWGYCYSSEMEVVNYVGEMLILVAISHFFDGLQSVLSGVIRGSGQQKIGAYVNLGAYYLMGIPTAVLLAFVLHLGGKGLWTGIIVALVVQALFLAIIITCTDWEKEVKKASDRVYNTMTVADAL >ONI28351 pep chromosome:Prunus_persica_NCBIv2:G1:10900709:10911856:-1 gene:PRUPE_1G139300 transcript:ONI28351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSRSVNKRFSYMNEAASNKYGDNANKTGQKKRKLSDMLGPQWTKEELENFYEAYRKYGKDWKKVASVVRHRSVEMVEALYSMNKAYLSLPEGVASVVGLIAMMTDHYCVLGGSDSEQENNEDAETSRKPQKHARSKLRSESSKGLEGHIPDFSESHPMASTSDCLSLLKNRRSGIRPHAVKKRTPRVPVAYSNDQDNSRKYSSPARQGLKLNADANNNDVAHEIALALTEASHRGGSPLVSWTPKRKAKGTTPSPVRNGERMCVESEVTNARLHGCEMDEGGCELSLGSTEADNDYYDRNEKYAMGREGTGTLEVQQRRKRYFVKKKEVDESKNKHVEDIKEACSGTEEGQKLGAIKGKLDTKVAKSARSFYKDTRKKSKKALIGGDEGSPFDALQTLADLSLMMPEAADTESSAHVKEDNFNIANKSKLKGSRPIPGVEHAVFKTSKLGKLGEGVHQSNSGLQKRKQKSLSFKIYNEAQTDCYASDNEKIEATVEVKKSASKGKRSSHYTTHPKQGKLVKKTLWNASTTIDRKREENNSGLSTVQVPSANPANLPTKNKGKWEMDMQKSSIQKDTKSPESILDDQPDKLGPSFRNRELNIKEKLSNCLSRYQVRRWCAFEWFYSAIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGRPRRFSEQFLKEEKEKLNQYRESVRTHYAELNAGTREGLPTDLARPLSVGQHVIAFHPRAREIHNGIVLTVDHSRCSVQFDQPELGVEYIMDVDCMPLHPAENLPASFRKHNVTVNRYIENLKELKINEQLKEGTTKGYMKISSSDKLVKSSSFNVQAKVGPGETASTRVANYQPSIPAQTQAKEADVQAIYELTRALDKKEAVVSELRRMNDEVFENQRDEDNSIRDSEPFKKEYAAVLLQLSQVNDQVSSALLCLRQRNTYRGSSPHTVVKTMDNLSGPGSLSNSYGYSCDVQESASHMREIVESSRAKAHKMVDAAMQAFSSLRKENNFDKIEEVIDFVSNRLSDDAGMLAMGSSTTLADPIPFSQDQLTSCTSKPLATGCAHDPPKSNNLSNQSEEKLLSDLIVNCVAAFMMIQTCTARQFPPADVAQVLDHAVTSLQPFCPQNLSVYGEIQKCMGIIRNQIMALVPT >ONI28352 pep chromosome:Prunus_persica_NCBIv2:G1:10900719:10910674:-1 gene:PRUPE_1G139300 transcript:ONI28352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSRSVNKRFSYMNEAASNKYGDNANKTGQKKRKLSDMLGPQWTKEELENFYEAYRKYGKDWKKVASVVRHRSVEMVEALYSMNKAYLSLPEGVASVVGLIAMMTDHYCVLGGSDSEQENNEDAETSRKPQKHARSKLRSESSKGLEGHIPDFSESHPMASTSDCLSLLKNRRSGIRPHAVKKRTPRVPVAYSNDQDNSRKYSSPARQGLKLNADANNNDVAHEIALALTEASHRGGSPLVSWTPKRKAKGTTPSPVRNGERMCVESEVTNARLHGCEMDEGGCELSLGSTEADNDYYDRNEKYAMGREGTGTLEVQQRRKRYFVKKKEVDESKNKHVEDIKEACSGTEEGQKLGAIKGKLDTKVAKSARSFYKDTRKKSKKALIGGDEGSPFDALQTLADLSLMMPEAADTESSAHVKEDNFNIANKSKLKGSRPIPGVEHAVFKTSKLGKLGEGVHQSNSGLQKRKQKSLSFKIYNEAQTDCYASDNEKIEATVEVKKSASKGKRSSHYTTHPKQGKLVKKTLWNASTTIDRKREENNSGLSTVQVPSANPANLPTKNKGKWEMDMQKSSIQKDTKSPESILDDQPDKLGPSFRNRELNIKEKLSNCLSRYQVRRWCAFEWFYSAIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGRPRRFSEQFLKEEKEKLNQYRESVRTHYAELNAGTREGLPTDLARPLSVGQHVIAFHPRAREIHNGIVLTVDHSRCSVQFDQPELGVEYIMDVDCMPLHPAENLPASFRKHNVTVNRYIENLKELKINEQLKEGTTKGYMKISSSDKLVKSSSFNVQAKVGPGETASTRVANYQPSIPAQTQAKEADVQAIYELTRALDKKEAVVSELRRMNDEVFENQRDEDNSIRDSEPFKKEYAAVLLQLSQVNDQVSSALLCLRQRNTYRGSSPHTVVKTMDNLSGPGSLSNSYGYSCDVQESASHMREIVESSRAKAHKMVDAAMQAFSSLRKENNFDKIEEVIDFVSNRLSDDAGMLAMGSSTTLADPIPFSQDQLTSCTSKPLATGCAHDPPKSNNLSNQSEEKLLSDLIVNCVAAFMMIQTCTARQFPPADVAQVLDHAVTSLQPFCPQNLSVYGEIQKCMGIIRNQIMALVPT >ONI28357 pep chromosome:Prunus_persica_NCBIv2:G1:10903913:10910630:-1 gene:PRUPE_1G139300 transcript:ONI28357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSRSVNKRFSYMNEAASNKYGDNANKTGQKKRKLSDMLGPQWTKEELENFYEAYRKYGKDWKKVASVVRHRSVEMVEALYSMNKAYLSLPEGVASVVGLIAMMTDHYCVLGGSDSEQENNEDAETSRKPQKHARSKLRSESSKGLEGHIPDFSESHPMASTSDCLSLLKNRRSGIRPHAVKKRTPRVPVAYSNDQDNSRKYSSPARQGLKLNADANNNDVAHEIALALTEASHRGGSPLVSWTPKRKAKGTTPSPVRNGERMCVESEVTNARLHGCEMDEGGCELSLGSTEADNDYYDRNEKYAMGREGTGTLEVQQRRKRYFVKKKEVDESKNKHVEDIKEACSGTEEGQKLGAIKGKLDTKVAKSARSFYKDTRKKSKKALIGGDEGSPFDALQTLADLSLMMPEAADTESSAHVKEDNFNIANKSKLKGSRPIPGVEHAVFKTSKLGKLGEGVHQSNSGLQKRKQKSLSFKIYNEAQTDCYASDNEKIEATVEVKKSASKGKRSSHYTTHPKQGKLVKKTLWNASTTIDRKREENNSGLSTVQVPSANPANLPTKNKGKWEMDMQKSSIQKDTKSPESILDDQPDKLGPSFRNRELNIKEKLSNCLSRYQVRRWCAFEWFYSAIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGRPRRFSEQFLKEEKEKLNQYRESVRTHYAELNAGTREGLPTDLARPLSVGQHVIAFHPRAREIHNGIVLTVDHSRCSVQFDQPELGVEYIMVICFRH >ONI28350 pep chromosome:Prunus_persica_NCBIv2:G1:10900946:10910630:-1 gene:PRUPE_1G139300 transcript:ONI28350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSRSVNKRFSYMNEAASNKYGDNANKTGQKKRKLSDMLGPQWTKEELENFYEAYRKYGKDWKKVASVVRHRSVEMVEALYSMNKAYLSLPEGVASVVGLIAMMTDHYCVLGGSDSEQENNEDAETSRKPQKHARSKLRSESSKGLEGHIPDFSESHPMASTSDCLSLLKNRRSGIRPHAVKKRTPRVPVAYSNDQDNSRKYSSPARQGLKLNADANNNDVAHEIALALTEASHRGGSPLVSWTPKRKAKGTTPSPVRNGERMCVESEVTNARLHGCEMDEGGCELSLGSTEADNDYYDRNEKYAMGREGTGTLEVQQRRKRYFVKKKEVDESKNKHVEDIKEACSGTEEGQKLGAIKGKLDTKVAKSARSFYKDTRKKSKKALIGGDEGSPFDALQTLADLSLMMPEAADTESSAHVKEDNFNIANKSKLKGSRPIPGVEHAVFKTSKLGKLGEGVHQSNSGLQKRKQKSLSFKIYNEAQTDCYASDNEKIEATVEVKKSASKGLSTVQVPSANPANLPTKNKGKWEMDMQKSSIQKDTKSPESILDDQPDKLGPSFRNRELNIKEKLSNCLSRYQVRRWCAFEWFYSAIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGRPRRFSEQFLKEEKEKLNQYRESVRTHYAELNAGTREGLPTDLARPLSVGQHVIAFHPRAREIHNGIVLTVDHSRCSVQFDQPELGVEYIMDVDCMPLHPAENLPASFRKHNVTVNRYIENLKELKINEQLKEGTTKGYMKISSSDKLVSTGVPGYILPSNHRINKSSKQTGVKSSSFNVQAKVGPGETASTRVANYQPSIPAQTQAKEADVQAIYELTRALDKKEAVVSELRRMNDEVFENQRDEDNSIRDSEPFKKEYAAVLLQLSQVNDQVSSALLCLRQRNTYRGSSPHTVVKTMDNLSGPGSLSNSYGYSCDVQESASHMREIVESSRAKAHKMVDAAMQAFSSLRKENNFDKIEEVIDFVSNRLSDDAGMLAMGSSTTLADPIPFSQDQLTSCTSKPLATGCAHDPPKSNNLSNQSEEKLLSDLIVNCVAAFMMIQTCTARQFPPADVAQVLDHAVTSLQPFCPQNLSVYGEIQKCMGIIRNQIMALVPT >ONI28354 pep chromosome:Prunus_persica_NCBIv2:G1:10900946:10910630:-1 gene:PRUPE_1G139300 transcript:ONI28354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSRSVNKRFSYMNEAASNKYGDNANKTGQKKRKLSDMLGPQWTKEELENFYEAYRKYGKDWKKVASVVRHRSVEMVEALYSMNKAYLSLPEGVASVVGLIAMMTDHYCVLGGSDSEQENNEDAETSRKPQKHARSKLRSESSKGLEGHIPDFSESHPMASTSDCLSLLKNRRSGIRPHAVKKRTPRVPVAYSNDQDNSRKYSSPARQGLKLNADANNNDVAHEIALALTEASHRGGSPLVSWTPKRKAKGTTPSPVRNGERMCVESEVTNARLHGCEMDEGGCELSLGSTEADNDYYDRNEKYAMGREGTGTLEVQQRRKRYFVKKKEVDESKNKHVEDIKEACSGTEEGQKLGAIKGKLDTKVAKSARSFYKDTRKKSKKALIGGDEGSPFDALQTLADLSLMMPEAADTESSAHVKEDNFNIANKSKLKGSRPIPGVEHAVFKTSKLGKLGEGVHQSNSGLQKRKQKSLSFKIYNEAQTDCYASDNEKIEATVEVKKSASKGKRSSHYTTHPKQGKLVKKTLWNASTTIDRKREENNSGLSTVQVPSANPANLPTKNKGKWEMDMQKSSIQKDTKSPESILDDQPDKLGPSFRNRELNIKEKLSNCLSRYQVRRWCAFEWFYSAIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGRPRRFSEQFLKEEKEKLNQYRESVRTHYAELNAGTREGLPTDLARPLSVGQHVIAFHPRAREIHNGIVLTVDHSRCSVQFDQPELGVEYIMDVDCMPLHPAENLPASFRKHNVTVNRYIENLKELKINEQLKEGTTKGYMKISSSDKLVSTGVPGYILPSNHRINKSSKQTGVKSSSFNVQAKVGPGETASTRVANYQPSIPAQTQAKEADVQAIYELTRALDKKEAVVSELRRMNDEVFENQRDEDNSIRDSEPFKKEYAAVLLQLSQVNDQVSSALLCLRQRNTYRGSSPHTVVKTMDNLSGPGSLSNSYGYSCDVQESASHMREIVESSRAKAHKMVDAAMQAFSSLRKENNFDKIEEVIDFVSNRLSDDAGMLAMGSSTTLADPIPFSQDQLTSCTSKPLATGCAHDPPKSNNLSNQSEEKLLSDLIVNCVAAFMMIQTCTARQFPPADVAQVLDHAVTSLQPFCPQNLSVYGEIQKCMGIIRNQIMALVPT >ONI28349 pep chromosome:Prunus_persica_NCBIv2:G1:10900719:10911856:-1 gene:PRUPE_1G139300 transcript:ONI28349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSRSVNKRFSYMNEAASNKYGDNANKTGQKKRKLSDMLGPQWTKEELENFYEAYRKYGKDWKKVASVVRHRSVEMVEALYSMNKAYLSLPEGVASVVGLIAMMTDHYCVLGGSDSEQENNEDAETSRKPQKHARSKLRSESSKGLEGHIPDFSESHPMASTSDCLSLLKNRRSGIRPHAVKKRTPRVPVAYSNDQDNSRKYSSPARQGLKLNADANNNDVAHEIALALTEASHRGGSPLVSWTPKRKAKGTTPSPVRNGERMCVESEVTNARLHGCEMDEGGCELSLGSTEADNDYYDRNEKYAMGREGTGTLEVQQRRKRYFVKKKEVDESKNKHVEDIKEACSGTEEGQKLGAIKGKLDTKVAKSARSFYKDTRKKSKKALIGGDEGSPFDALQTLADLSLMMPEAADTESSAHVKEDNFNIANKSKLKGSRPIPGVEHAVFKTSKLGKLGEGVHQSNSGLQKRKQKSLSFKIYNEAQTDCYASDNEKIEATVEVKKSASKGLSTVQVPSANPANLPTKNKGKWEMDMQKSSIQKDTKSPESILDDQPDKLGPSFRNRELNIKEKLSNCLSRYQVRRWCAFEWFYSAIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGRPRRFSEQFLKEEKEKLNQYRESVRTHYAELNAGTREGLPTDLARPLSVGQHVIAFHPRAREIHNGIVLTVDHSRCSVQFDQPELGVEYIMDVDCMPLHPAENLPASFRKHNVTVNRYIENLKELKINEQLKEGTTKGYMKISSSDKLVKSSSFNVQAKVGPGETASTRVANYQPSIPAQTQAKEADVQAIYELTRALDKKEAVVSELRRMNDEVFENQRDEDNSIRDSEPFKKEYAAVLLQLSQVNDQVSSALLCLRQRNTYRGSSPHTVVKTMDNLSGPGSLSNSYGYSCDVQESASHMREIVESSRAKAHKMVDAAMQAFSSLRKENNFDKIEEVIDFVSNRLSDDAGMLAMGSSTTLADPIPFSQDQLTSCTSKPLATGCAHDPPKSNNLSNQSEEKLLSDLIVNCVAAFMMIQTCTARQFPPADVAQVLDHAVTSLQPFCPQNLSVYGEIQKCMGIIRNQIMALVPT >ONI28356 pep chromosome:Prunus_persica_NCBIv2:G1:10903898:10911856:-1 gene:PRUPE_1G139300 transcript:ONI28356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSRSVNKRFSYMNEAASNKYGDNANKTGQKKRKLSDMLGPQWTKEELENFYEAYRKYGKDWKKVASVVRHRSVEMVEALYSMNKAYLSLPEGVASVVGLIAMMTDHYCVLGGSDSEQENNEDAETSRKPQKHARSKLRSESSKGLEGHIPDFSESHPMASTSDCLSLLKNRRSGIRPHAVKKRTPRVPVAYSNDQDNSRKYSSPARQGLKLNADANNNDVAHEIALALTEASHRGGSPLVSWTPKRKAKGTTPSPVRNGERMCVESEVTNARLHGCEMDEGGCELSLGSTEADNDYYDRNEKYAMGREGTGTLEVQQRRKRYFVKKKEVDESKNKHVEDIKEACSGTEEGQKLGAIKGKLDTKVAKSARSFYKDTRKKSKKALIGGDEGSPFDALQTLADLSLMMPEAADTESSAHVKEDNFNIANKSKLKGSRPIPGVEHAVFKTSKLGKLGEGVHQSNSGLQKRKQKSLSFKIYNEAQTDCYASDNEKIEATVEVKKSASKGKRSSHYTTHPKQGKLVKKTLWNASTTIDRKREENNSGLSTVQVPSANPANLPTKNKGKWEMDMQKSSIQKDTKSPESILDDQPDKLGPSFRNRELNIKEKLSNCLSRYQVRRWCAFEWFYSAIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRRPRRFSEQFLKEEKEKLNQYRESVRTHYAELNAGTREGLPTDLARPLSVGQHVIAFHPRAREIHNGIVLTVDHSRCSVQFDQPELGVEYIMVICFRH >ONI28355 pep chromosome:Prunus_persica_NCBIv2:G1:10903063:10911856:-1 gene:PRUPE_1G139300 transcript:ONI28355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSRSVNKRFSYMNEAASNKYGDNANKTGQKKRKLSDMLGPQWTKEELENFYEAYRKYGKDWKKVASVVRHRSVEMVEALYSMNKAYLSLPEGVASVVGLIAMMTDHYCVLGGSDSEQENNEDAETSRKPQKHARSKLRSESSKGLEGHIPDFSESHPMASTSDCLSLLKNRRSGIRPHAVKKRTPRVPVAYSNDQDNSRKYSSPARQGLKLNADANNNDVAHEIALALTEASHRGGSPLVSWTPKRKAKGTTPSPVRNGERMCVESEVTNARLHGCEMDEGGCELSLGSTEADNDYYDRNEKYAMGREGTGTLEVQQRRKRYFVKKKEVDESKNKHVEDIKEACSGTEEGQKLGAIKGKLDTKVAKSARSFYKDTRKKSKKALIGGDEGSPFDALQTLADLSLMMPEAADTESSAHVKEDNFNIANKSKLKGSRPIPGVEHAVFKTSKLGKLGEGVHQSNSGLQKRKQKSLSFKIYNEAQTDCYASDNEKIEATVEVKKSASKGKRSSHYTTHPKQGKLVKKTLWNASTTIDRKREENNSGLSTVQVPSANPANLPTKNKGKWEMDMQKSSIQKDTKSPESILDDQPDKLGPSFRNRELNIKEKLSNCLSRYQVRRWCAFEWFYSAIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGRPRRFSEQFLKEEKEKLNQYRESVRTHYAELNAGTREGLPTDLARPLSVGQHVIAFHPRAREIHNGIVLTVDHSRCSVQFDQPELGVEYIMDVDCMPLHPAENLPASFRKHNVTVNRYIENLKELKINEQLKEGTTKGYMKISSSDKLVSTGVPGYILPSNHRINKSSKQTGVSCDGL >ONI28353 pep chromosome:Prunus_persica_NCBIv2:G1:10900946:10910630:-1 gene:PRUPE_1G139300 transcript:ONI28353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSRSVNKRFSYMNEAASNKYGDNANKTGQKKRKLSDMLGPQWTKEELENFYEAYRKYGKDWKKVASVVRHRSVEMVEALYSMNKAYLSLPEGVASVVGLIAMMTDHYCVLGGSDSEQENNEDAETSRKPQKHARSKLRSESSKGLEGHIPDFSESHPMASTSDCLSLLKNRRSGIRPHAVKKRTPRVPVAYSNDQDNSRKYSSPARQGLKLNADANNNDVAHEIALALTEASHRGGSPLVSWTPKRKAKGTTPSPVRNGERMCVESEVTNARLHGCEMDEGGCELSLGSTEADNDYYDRNEKYAMGREGTGTLEVQQRRKRYFVKKKEVDESKNKHVEDIKEACSGTEEGQKLGAIKGKLDTKVAKSARSFYKDTRKKSKKALIGGDEGSPFDALQTLADLSLMMPEAADTESSAHVKEDNFNIANKSKLKGSRPIPGVEHAVFKTSKLGKLGEGVHQSNSGLQKRKQKSLSFKIYNEAQTDCYASDNEKIEATVEVKKSASKGKRSSHYTTHPKQGKLVKKTLWNASTTIDRKREENNSGLSTVQVPSANPANLPTKNKGKWEMDMQKSSIQKDTKSPESILDDQPDKLGPSFRNRELNIKEKLSNCLSRYQVRRWCAFEWFYSAIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVIRSSLGRPRRFSEQFLKEEKEKLNQYRESVRTHYAELNAGTREGLPTDLARPLSVGQHVIAFHPRAREIHNGIVLTVDHSRCSVQFDQPELGVEYIMDVDCMPLHPAENLPASFRKHNVTVNRYIENLKELKINEQLKEGTTKGYMKISSSDKLVSTGVPGYILPSNHRINKSSKQTGVKSSSFNVQAKVGPGETASTRVANYQPSIPAQTQAKEADVQAIYELTRALDKKEAVVSELRRMNDEVFENQRDEDNSIRDSEPFKKEYAAVLLQLSQVNDQVSSALLCLRQRNTYRGSSPHTVVKTMDNLSGPGSLSNSYGYSCDVQESASHMREIVESSRAKAHKMVDAAMQAFSSLRKENNFDKIEEVIDFVSNRLSDDAGMLAMGSSTTLADPIPFSQDQLTSCTSKPLATGCAHDPPKSNNLSNQSEEKLLSDLIVNCVAAFMMIQTCTARQFPPADVAQVLDHAVTSLQPFCPQNLSVYGEIQKCMGIIRNQIMALVPT >ONI31306 pep chromosome:Prunus_persica_NCBIv2:G1:29882497:29885371:-1 gene:PRUPE_1G305200 transcript:ONI31306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARNFRRRADDDDDKNDDPNDTGTPATIPTVKSSSKPSSSSSSKPKKPHNQAPKLLSFVDDEESAAAPSRSSSSKPDKPSSRLGKPSSAHKMTALKDRLAHTSSVSTSLPSNVQPQAGTYTKEALRELQKNTRTLASSRPSSEPTIVLKGLVKPTGTISDTLREARELDSDNDEEQEKERASLFRRDKDDAEARLASMGIDKAKGSSGLFPDQATINAIRAKRERLRKSRAAAPDFISLDSGSNHGAAEGLSDEEPEFRGRIAIFGDNMEGSKKGVFEDVDDRAADAVLRQKSIDRDEDEDEEEKIWEEEQFRKGLGKRMDDGSSIGVVSTSAPVVQSVPQPKATYSAMAGYSSVQSVPVGPSIGGAIGASQGSNVMSIKAQAEIAKKALEENVMKLKESHGRTMLSLTKTDENLSSSLLNITALEKSLSAADEKYKFTQKLRDFVSVLCNFLQVKAPLIEELEEEMQKIHEQRASATLERRSADDDEMMEVEAAVKAAMSIFSKEGSSAEIIAAAKSAAQAATTAEREQTNLPVKLDEFGRDMNLQKRRDMKGRSEAHQHRKRRYESKRLSSMEVDSTHRTIEGESSTDESDSESNAYHKHRQLVLETAAQVFSDAAEEYSKLSLVKERFEEWKTDYASSYRDAYMSLSAPAIFSPYVRLELVKWDPLREKTDFLNMSWYLCINLKWKIFHTFYTDLLLLLGVACLVARLLG >ONI31303 pep chromosome:Prunus_persica_NCBIv2:G1:29879312:29885841:-1 gene:PRUPE_1G305200 transcript:ONI31303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARNFRRRADDDDDKNDDPNDTGTPATIPTVKSSSKPSSSSSSKPKKPHNQAPKLLSFVDDEESAAAPSRSSSSKPDKPSSRLGKPSSAHKMTALKDRLAHTSSVSTSLPSNVQPQAGTYTKEALRELQKNTRTLASSRPSSEPTIVLKGLVKPTGTISDTLREARELDSDNDEEQEKERASLFRRDKDDAEARLASMGIDKAKGSSGLFPDQATINAIRAKRERLRKSRAAAPDFISLDSGSNHGAAEGLSDEEPEFRGRIAIFGDNMEGSKKGVFEDVDDRAADAVLRQKSIDRDEDEDEEEKIWEEEQFRKGLGKRMDDGSSIGVVSTSAPVVQSVPQPKATYSAMAGYSSVQSVPVGPSIGGAIGASQGSNVMSIKAQAEIAKKALEENVMKLKVKAPLIEELEEEMQKIHEQRASATLERRSADDDEMMEVEAAVKAAMSIFSKEGSSAEIIAAAKSAAQAATTAEREQTNLPVKLDEFGRDMNLQKRRDMKGRSEAHQHRKRRYESKRLSSMEVDSTHRTIEGESSTDESDSESNAYHKHRQLVLETAAQVFSDAAEEYSKLSLVKERFEEWKTDYASSYRDAYMSLSAPAIFSPYVRLELVKWDPLREKTDFLNMSWHSLLADYNLPEDGSDFAPDDADANLVPDLVEKVALPILLHQVVHCWDILSTRETKNAVAATSVVTDYVPPSSEALADLLVAIRTRLADAVTNLTVPTWSPLVLTAVPNAARIAAYRFGLSVRLMKNICLWKEILAFPVLEKLAIEELLCGKVLPHVRSIAANVHDAITRTERIVASLSGVWAGSNVTGDRRKLQSLVDYVLSLGRTLEKKHSLGVTQSEISGLARRLKKMLVDLNEYDKARDLTRTFNLKEAL >ONI31305 pep chromosome:Prunus_persica_NCBIv2:G1:29879312:29885841:-1 gene:PRUPE_1G305200 transcript:ONI31305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARNFRRRADDDDDKNDDPNDTGTPATIPTVKSSSKPSSSSSSKPKKPHNQAPKLLSFVDDEESAAAPSRSSSSKPDKPSSRLGKPSSAHKMTALKDRLAHTSSVSTSLPSNVQPQAGTYTKEALRELQKNTRTLASSRPSSEPTIVLKGLVKPTGTISDTLREARELDSDNDEEQEKERASLFRRDKDDAEARLASMGIDKAKGSSGLFPDQATINAIRAKRERLRKSRAAAPDFISLDSGSNHGAAEGLSDEEPEFRGRIAIFGDNMEGSKKGVFEDVDDRAADAVLRQKSIDRDEDEDEEEKIWEEEQFRKGLGKRMDDGSSIGVVSTSAPVVQSVPQPKATYSAMAGYSSVQSVPVGPSIGGAIGASQGSNVMSIKAQAEIAKKALEENVMKLKESHGRTMLSLTKTDENLSSSLLNITALEKSLSAADEKYKFTQKLRDFVSVLCNFLQVKAPLIEELEEEMQKIHEQRASATLERRSADDDEMMEVEAAVKAAMSIFSKEGSSAEIIAAAKSAAQAATTAEREQTNLPVKLDEFGRDMNLQKRRDMKGRSEAHQHRKRRYESKRLSSMEVDSTHRTIEGESSTDESDSESNAYHKHRQLVLETAAQVFSDAAEEYSKLSLVKERFEEWKTDYASSYRDAYMSLSAPAIFSPYAFIVSGL >ONI31304 pep chromosome:Prunus_persica_NCBIv2:G1:29879809:29885371:-1 gene:PRUPE_1G305200 transcript:ONI31304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARNFRRRADDDDDKNDDPNDTGTPATIPTVKSSSKPSSSSSSKPKKPHNQAPKLLSFVDDEESAAAPSRSSSSKPDKPSSRLGKPSSAHKMTALKDRLAHTSSVSTSLPSNVQPQAGTYTKEALRELQKNTRTLASSRPSSEPTIVLKGLVKPTGTISDTLREARELDSDNDEEQEKERASLFRRDKDDAEARLASMGIDKAKGSSGLFPDQATINAIRAKRERLRKSRAAAPDFISLDSGSNHGAAEGLSDEEPEFRGRIAIFGDNMEGSKKGVFEDVDDRAADAVLRQKSIDRDEDEDEEEKIWEEEQFRKGLGKRMDDGSSIGVVSTSAPVVQSVPQPKATYSAMAGYSSVQSVPVGPSIGGAIGASQGSNVMSIKAQAEIAKKALEENVMKLKESHGRTMLSLTKTDENLSSSLLNITALEKSLSAADEKYKFTQKLRDFVSVLCNFLQVKAPLIEELEEEMQKIHEQRASATLERRSADDDEMMEVEAAVKAAMSIFSKEGSSAEIIAAAKSAAQAATTAEREQTNLPVKLDEFGRDMNLQKRRDMKGRSEAHQHRKRRYESKRLSSMEVDSTHRTIEGESSTDESDSESNAYHKHRQLVLETAAQVFSDAAEEYSKLSLVKERFEEWKTDYASSYRDAYMSLSAPAIFSPYVRLELVKWDPLREKTDFLNMSWHSLLADYNLPEDGSDFAPDDADANLVPDLVEKVALPILLHQVVHCWDILSTRETKNAVAATSVVTDYVPPSSEALADLLVAIRTRLADAVTNLTVPTWSPLVLTAVPNAARIAAYRFGLSVRLMKNICLWKEILAFPVLEKLAIEELLCGKVLPHVRSIAANVHDAITRTERIVASLSGVWAGSNVTGDRRKLQSLVDYVLSLGRTLEKKHSLGVTQSEISGLARRLKKMLVDLNEYDKARDLTRTFNLKEAL >ONI36434 pep chromosome:Prunus_persica_NCBIv2:G1:47482548:47491814:1 gene:PRUPE_1G585000 transcript:ONI36434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLADPVAKEGEADAEPKMERLAEEKGTNRKEKRKAMKKMKRKQTRKEMALKEREEEEAILNDPQELMKIKLMEQEEAERSERERKLFEERERAWIEAMEIKRKKQIEEEEEEEERRMKALEEEELSRKQQVENENDSNEVDDWDYIEEGPAEIIWQGNEIIVKKKRIKVPKRNTDQQSRKEDCDRPTSNPLPPQSEAFSDYKNSSMSAQQLIENVAQQVPHFGTEQDKAHCPFHLKTGACRFGQRCSRVHFYPDKSSTLLIKNMYNGPGLAWEQDEVLEHTDEEVERCYEEFYEDVHTEFLKFGEIINFKVCRNGAFHLRGNVYIHYKSLDSAVLAHQSINGRYFAGKQISCEFINVTRWKVAICGEYMKSRYKTCSHGTACNFIHCFRNPGGDYEWGDSDRPAPKYWVRKMVALFGYSDADEKQMVEENFGRLRNFSKMTMADSERYGLRRSRSRGRDYSSFIGSGRRYDSEDYVLEDAERQRHTGDDRHRGKTLDEDRCEENKNLKDYHCRKSRRSDTEFDRQLLDREEDRDRHHGHTRKSSRQQNRDHKNKTYETESDGDLSDRARNRVAQHGCTRESSSQQRKGEFLDEYGDWQNKNHEVDRDWSDRDKDIDAYQDNRKSSGHKRKVGCPDNHNDSKNRAHDMDEEWSDSNSKGGKHHSRRKRFGHISKASEFSNHRERTTRSCSYELSDDLLENDAERNLSHARKRSKRLDEVSDISDEGRVPTQNLEHRHDRLSLEMREAEALVKKLKSDGTHESSSLGQDGERYRSHDFDIRCISDVHMDKQDRWEPEDGSVEIFHNSKTKAGSSESYESGRPGPYNVRGESSDFDSEDKVSQEDQYESDKVAHSKHRKSRRKSTHDNRKRDSENRSLCSSQSSHRRHSNRLEATDSSEDNNESGRKHNQKHHADHRSRDHKRDHKSRRLAT >ONI32583 pep chromosome:Prunus_persica_NCBIv2:G1:34000399:34001332:-1 gene:PRUPE_1G374400 transcript:ONI32583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGNSSGSTQLQNSGSEGDLQHLMDQRKRKRMQSNRESARRSRMRKQQHLDDLMGQVTQLRKENNQILTSINITTQHLMNVEAENSVLKAQMEELSQRLESLTEILNYINSSNGVFETHEPNNTLLHHHQASASADHNSFINPWNLLYVNQPIMATADMLHQY >ONI27660 pep chromosome:Prunus_persica_NCBIv2:G1:7882388:7885811:-1 gene:PRUPE_1G098700 transcript:ONI27660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRKIPSSFERRPIQSPGMMRHGPLPGLGPPPGHRPFEALPRPELLENKIAYQAAEIKQLTGDNHRLAATHVDLRQDLLAAEEELQRLKAHMRSIQTESDIQMRGLLDKIAKREADIEAGEGVKKELQKAHMEAQTLVAARQELTIQIRKATEELQKARLDVEKLPGLHAELDSLRREHHRLRSTFEYEKGLNIEEVEQMKAMEKNLIGMAREVERLRDEVSNAEKRAHGAGVYFDGYGRPYVSTGVRPPGEGTIPYASSSGIAAGAVVPTAAGGAIWGVAYDPSLDTAAAGVAISNAGLGAAPRGYDSTLSR >ONI27659 pep chromosome:Prunus_persica_NCBIv2:G1:7882388:7885769:-1 gene:PRUPE_1G098700 transcript:ONI27659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRKIPSSFERRPIQSPGMMRHGPLPGLGPPPGHRPFEALPRPELLENKIAYQAAEIKQLTGDNHRLAATHVDLRQDLLAAEEELQRLKAHMRSIQTESDIQMRGLLDKIAKREADIEAGEGVKKELQKAHMEAQTLVAARQELTIQIRKATEELQKARLDVEKLPGLHAELDSLRREHHRLRSTFEYEKGLNIEEVEQMKAMEKNLIGMAREVERLRDEVSNAEKRAHGAGVYFDGYGRPYVSTGVRPPGEGTIPYASSSGIAAGAVVPTAAGGAIWGVAYDPSLDTAAAGVAISNAGLGAAPRGYDSTLSR >ONI27662 pep chromosome:Prunus_persica_NCBIv2:G1:7882437:7885769:-1 gene:PRUPE_1G098700 transcript:ONI27662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRKIPSSFERRPIQSPGMMRHGPLPGLGPPPGHRPFEALPRPELLENKIAYQAAEIKQLTGDNHRLAATHVDLRQDLLAAEEELQRLKAHMRSIQTESDIQMRGLLDKIAKREADIEAGEGVKKELQKAHMEAQTLVAARQELTIQIRKATEELQKARLDVEKLPGLHAELDSLRREHHRLRSTFEYEKGLNIEEVEQMKAMEKNLIGMAREVERLRDEVSNAEKRAHAPNAHAGGYTNPDSYYPVNMQGAGVYFDGYGRPYVSTGVRPPGEGTIPYASSSGIAAGAVVPTAAGGAIWGVAYDPSLDTAAAGVAISNAGLGAAPRGYDSTLSR >ONI27661 pep chromosome:Prunus_persica_NCBIv2:G1:7882385:7885769:-1 gene:PRUPE_1G098700 transcript:ONI27661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRKIPSSFERRPIQSPGMMRHGPLPGLGPPPGHRPFEALPRPELLENKIAYQAAEIKQLTGDNHRLAATHVDLRQDLLAAEEELQRLKAHMRSIQTESDIQMRGLLDKIAKREADIEAGEGVKKELQKAHMEAQTLVAARQELTIQIRKATEELQKARLDVEKLPGLHAELDSLRREHHRLRSTFEYEKGLNIEEVEQMKAMEKNLIGMAREVERLRDEVSNAEKRAHAPNAHAGGYTNPDSYYPVNMQGAGVYFDGYGRPYVSTGVRPPGEGTIPYASSSGIAAGAVVPTAAGGAIWGVAYDPSLDTAAAGVAISNAGLGAAPRGYDSTLSR >ONI27663 pep chromosome:Prunus_persica_NCBIv2:G1:7882385:7885811:-1 gene:PRUPE_1G098700 transcript:ONI27663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRKIPSSFERRPIQSPGMMRHGPLPGLGPPPGHRPFEALPRPELLENKIAYQAAEIKQLTGDNHRLAATHVDLRQDLLAAEEELQRLKAHMRSIQTESDIQMRGLLDKIAKREADIEAGEGVKKELQKAHMEAQTLVAARQELTIQIRKATEELQKARLDVEKLPGLHAELDSLRREHHRLRSTFEYEKGLNIEEVEQMKAMEKNLIGMAREVERLRDEVSNAEKRAHAPNAHAGGYTNPDSYYPVNMQGAGVYFDGYGRPYVSTGVRPPGEGTIPYASSSGIAAGAVVPTAAGGAIWGVAYDPSLDTAAAGVAISNAGLGAAPRGYDSTLSR >ONI27063 pep chromosome:Prunus_persica_NCBIv2:G1:4689214:4689414:1 gene:PRUPE_1G065400 transcript:ONI27063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNGAACGRLYTVRCIGGTNRMAKPCTQVSVVIVKIVEYCPSGCRGTINLSREGFVVIANPDQMF >ONI34533 pep chromosome:Prunus_persica_NCBIv2:G1:40477421:40478090:1 gene:PRUPE_1G486100 transcript:ONI34533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPPEKLASIHEVSTPPEESYDDFDEEEIAPSSSCGCLWGFYFRALRGRSNIGTQRYLLQQQGGDQVKENWLVENAKKVREFSEVLAGPKWKNFIRSFSTIYKKRRVQFQYDLQSYALNFDDGGFDREIEGNSYLHFSNAGYASCPGMNNAKF >ONI30398 pep chromosome:Prunus_persica_NCBIv2:G1:26123660:26134401:-1 gene:PRUPE_1G248900 transcript:ONI30398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGHNLLNQSLLQSKINSSGIPANTLFQAKSVHQVAAQARKSPISKKFCGNNLNVQKPKSAMGSRHPATAVPRAVLTTDPPSDQLAGKFNLGGNIELQVYVNASSPGSATQVEIRVTYSGHSLTLHWGGIQDRKEKWVLPSRRPDGTKVYKNKALRTPFQKSGSICLLKIEIDDPAIQAIEFLIVDESQNRWFKNNGDNFHVKLPAKEKLISNASVPEELVQIQAYLRWERKGKQMYTPEQEKVEYEAARSELLEEVARGTSIQDLQARLTKKHDGGKIEEPSLSETKRIPEDLVQIQSYIRWEKAGKPNYSPEEQHREFEEARQELQRELEKGASLDEIRKKITKGEIQTKVAKKFESKQVFRTDRIQRKKRDFMQIINKQTAKIVDEAKIVDKEHSVKPKPLTAVELFAKAREEQDGGSVLRKYTFKLNDKDLLVLVTKPAGKTKVHLATDFKEPLTLHWALSKNKAGEWSEPPPNALPQGSVSLKGAAETQFQSSADSTYEVQSLEIEIEVESFKGMPFVLCSAGNWIKNQGSDFYVDFGVELKKVQKDAGDGKGTAKGLLDKIAEQESEAQKSFMHRFNIAADLINQATDSGELGLAGILVWMRFMAMRQLIWNKNYNVKPREISKAQNRLTDLLQSVYASHPQYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNECKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKNDFDIGVYWKTLNDNGITKERLLSYDRAIHNEPNFRRDQKEGLLRDLGHYMRTLKAVHSGADLESAIQNCMGYKSEGQGFMVGVKINPISGLPSEFPDLLRFVLEHVEDRNVEVLIEGLLEARQMLWPLLSKPHDRLRDLLFLDIALDSTVRTAIERGYEELNNAGPEKIMYFISLVLENLALSSDDNEDLVYCLKGWDHAINMLKSNSDDWALYAKSILDRTRLALANKAESYLSVLQPSAEYLGSQLGVDQSAVNIFTEEIIRAGSAASLSSLLNRLDPVLRKTAHLGSWQVISPLEVVGYVVVVDELLTVQNKVYSKPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPNILADLQASEGKLLRIKPTPADITYSEVNEGELEDASSTHSTEDIPSLTLVRKQFTGRYAISSDEFTSETVGAKSRNIAYIKGKLPSWIGIPTSVALPFGVFEKVLSEDSNKAVAEKLGTLKKKLKDEDFDSLREIRETVLQLAAPPQLVQELRTKMQSSGMPWPGDEGEQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFISKKNDLDSPQCSYG >ONI30395 pep chromosome:Prunus_persica_NCBIv2:G1:26123294:26135276:-1 gene:PRUPE_1G248900 transcript:ONI30395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGHNLLNQSLLQSKINSSGIPANTLFQAKSVHQVAAQARKSPISKKFCGNNLNVQKPKSAMGSRHPATAVPRAVLTTDPPSDLAGKFNLGGNIELQVYVNASSPGSATQVEIRVTYSGHSLTLHWGGIQDRKEKWVLPSRRPDGTKVYKNKALRTPFQKSGSICLLKIEIDDPAIQAIEFLIVDESQNRWFKNNGDNFHVKLPAKEKLISNASVPEELVQIQAYLRWERKGKQMYTPEQEKVEYEAARSELLEEVARGTSIQDLQARLTKKHDGGKIEEPSLSETKRIPEDLVQIQSYIRWEKAGKPNYSPEEQHREFEEARQELQRELEKGASLDEIRKKITKGEIQTKVAKKFESKQVFRTDRIQRKKRDFMQIINKQTAKIVDEAKIVDKEHSVKPKPLTAVELFAKAREEQDGGSVLRKYTFKLNDKDLLVLVTKPAGKTKVHLATDFKEPLTLHWALSKNKAGEWSEPPPNALPQGSVSLKGAAETQFQSSADSTYEVQSLEIEIEVESFKGMPFVLCSAGNWIKNQGSDFYVDFGVELKKVQKDAGDGKGTAKGLLDKIAEQESEAQKSFMHRFNIAADLINQATDSGELGLAGILVWMRFMAMRQLIWNKNYNVKPREISKAQNRLTDLLQSVYASHPQYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNECKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKNDFDIGVYWKTLNDNGITKERLLSYDRAIHNEPNFRRDQKEGLLRDLGHYMRTLKAVHSGADLESAIQNCMGYKSEGQGFMVGVKINPISGLPSEFPDLLRFVLEHVEDRNVEVLIEGLLEARQMLWPLLSKPHDRLRDLLFLDIALDSTVRTAIERGYEELNNAGPEKIMYFISLVLENLALSSDDNEDLVYCLKGWDHAINMLKSNSDDWALYAKSILDRTRLALANKAESYLSVLQPSAEYLGSQLGVDQSAVNIFTEEIIRAGSAASLSSLLNRLDPVLRKTAHLGSWQVISPLEVVGYVVVVDELLTVQNKVYSKPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPNILADLQASEGKLLRIKPTPADITYSEVNEGELEDASSTHSTEDIPSLTLVRKQFTGRYAISSDEFTSETVGAKSRNIAYIKGKLPSWIGIPTSVALPFGVFEKVLSEDSNKAVAEKLGTLKKKLKDEDFDSLREIRETVLQLAAPPQLVQELRTKMQSSGMPWPGDEGEQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFISKKNDLDSPQVLGYPSKPVGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLMVDGNFRKSILSSIARAGSAIEELYGSPQDIEGVIRDGKLYVVQTRPQV >ONI30397 pep chromosome:Prunus_persica_NCBIv2:G1:26123312:26135268:-1 gene:PRUPE_1G248900 transcript:ONI30397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGHNLLNQSLLQSKINSSGIPANTLFQAKSVHQVAAQARKSPISKKFCGNNLNVQKPKSAMGSRHPATAVPRAVLTTDPPSDLAGKFNLGGNIELQVYVNASSPGSATQVEIRVTYSGHSLTLHWGGIQDRKEKWVLPSRRPDGTKVYKNKALRTPFQKSGSICLLKIEIDDPAIQAIEFLIVDESQNRWFKNNGDNFHVKLPAKEKLISNASVPEELVQIQAYLRWERKGKQMYTPEQEKVEYEAARSELLEEVARGTSIQDLQARLTKKHDGGKIEEPSLSETKRIPEDLVQIQSYIRWEKAGKPNYSPEEQHREFEEARQELQRELEKGASLDEIRKKITKGEIQTKVAKKFESKQVFRTDRIQRKKRDFMQIINKQTAKIVDEAKIVDKEHSVKPKPLTAVELFAKAREEQDGGSVLRKYTFKLNDKDLLVLVTKPAGKTKVHLATDFKEPLTLHWALSKNKAGEWSEPPPNALPQGSVSLKGAAETQFQSSADSTYEVQSLEIEIEVESFKGMPFVLCSAGNWIKNQGSDFYVDFGVELKKVQKDAGDGKGTAKGLLDKIAEQESEAQKSFMHRFNIAADLINQATDSGELGLAGILVWMRFMAMRQLIWNKNYNVKPREISKAQNRLTDLLQSVYASHPQYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNECKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKNDFDIGVYWKTLNDNGITKERLLSYDRAIHNEPNFRRDQKEGLLRDLGHYMRTLKAVHSGADLESAIQNCMGYKSEGQGFMVGVKINPISGLPSEFPDLLRFVLEHVEDRNVEVLIEGLLEARQMLWPLLSKPHDRLRDLLFLDIALDSTVRTAIERGYEELNNAGPEKIMYFISLVLENLALSSDDNEDLVYCLKGWDHAINMLKSNSDDWALYAKSILDRTRLALANKAESYLSVLQPSAEYLGSQLGVDQSAVNIFTEEIIRAGSAASLSSLLNRLDPVLRKTAHLGSWQVISPLEVVGYVVVVDELLTVQNKVYSKPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPNILADLQASEGKLLRIKPTPADITYSEVNEGELEDASSTHSTEDIPSLTLVRKQFTGRYAISSDEFTSETVGAKSRNIAYIKGKLPSWIGIPTSVALPFGVFEKVLSEDSNKAVAEKLGTLKKKLKDEDFDSLREIRETVLQLAAPPQLVQELRTKMQSSGMPWPGDEGEQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFISKKNDLDSPQCSYG >ONI30396 pep chromosome:Prunus_persica_NCBIv2:G1:26123470:26134401:-1 gene:PRUPE_1G248900 transcript:ONI30396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSVGHNLLNQSLLQSKINSSGIPANTLFQAKSVHQVAAQARKSPISKKFCGNNLNVQKPKSAMGSRHPATAVPRAVLTTDPPSDQLAGKFNLGGNIELQVYVNASSPGSATQVEIRVTYSGHSLTLHWGGIQDRKEKWVLPSRRPDGTKVYKNKALRTPFQKSGSICLLKIEIDDPAIQAIEFLIVDESQNRWFKNNGDNFHVKLPAKEKLISNASVPEELVQIQAYLRWERKGKQMYTPEQEKVEYEAARSELLEEVARGTSIQDLQARLTKKHDGGKIEEPSLSETKRIPEDLVQIQSYIRWEKAGKPNYSPEEQHREFEEARQELQRELEKGASLDEIRKKITKGEIQTKVAKKFESKQVFRTDRIQRKKRDFMQIINKQTAKIVDEAKIVDKEHSVKPKPLTAVELFAKAREEQDGGSVLRKYTFKLNDKDLLVLVTKPAGKTKVHLATDFKEPLTLHWALSKNKAGEWSEPPPNALPQGSVSLKGAAETQFQSSADSTYEVQSLEIEIEVESFKGMPFVLCSAGNWIKNQGSDFYVDFGVELKKVQKDAGDGKGTAKGLLDKIAEQESEAQKSFMHRFNIAADLINQATDSGELGLAGILVWMRFMAMRQLIWNKNYNVKPREISKAQNRLTDLLQSVYASHPQYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNECKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKNDFDIGVYWKTLNDNGITKERLLSYDRAIHNEPNFRRDQKEGLLRDLGHYMRTLKAVHSGADLESAIQNCMGYKSEGQGFMVGVKINPISGLPSEFPDLLRFVLEHVEDRNVEVLIEGLLEARQMLWPLLSKPHDRLRDLLFLDIALDSTVRTAIERGYEELNNAGPEKIMYFISLVLENLALSSDDNEDLVYCLKGWDHAINMLKSNSDDWALYAKSILDRTRLALANKAESYLSVLQPSAEYLGSQLGVDQSAVNIFTEEIIRAGSAASLSSLLNRLDPVLRKTAHLGSWQVISPLEVVGYVVVVDELLTVQNKVYSKPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPNILADLQASEGKLLRIKPTPADITYSEVNEGELEDASSTHSTEDIPSLTLVRKQFTGRYAISSDEFTSETVGAKSRNIAYIKGKLPSWIGIPTSVALPFGVFEKVLSEDSNKAVAEKLGTLKKKLKDEDFDSLREIRETVLQLAAPPQLVQELRTKMQSSGMPWPGDEGEQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFISKKNDLDSPQVLGYPSKPVGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLMVDGNFRKSILSSIARAGSAIEELYGSPQDIEGVIRDGKLYVVQTRPQV >ONI30394 pep chromosome:Prunus_persica_NCBIv2:G1:26123470:26134446:-1 gene:PRUPE_1G248900 transcript:ONI30394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLVSSELRRVSTNMSNSVGHNLLNQSLLQSKINSSGIPANTLFQAKSVHQVAAQARKSPISKKFCGNNLNVQKPKSAMGSRHPATAVPRAVLTTDPPSDQLAGKFNLGGNIELQVYVNASSPGSATQVEIRVTYSGHSLTLHWGGIQDRKEKWVLPSRRPDGTKVYKNKALRTPFQKSGSICLLKIEIDDPAIQAIEFLIVDESQNRWFKNNGDNFHVKLPAKEKLISNASVPEELVQIQAYLRWERKGKQMYTPEQEKVEYEAARSELLEEVARGTSIQDLQARLTKKHDGGKIEEPSLSETKRIPEDLVQIQSYIRWEKAGKPNYSPEEQHREFEEARQELQRELEKGASLDEIRKKITKGEIQTKVAKKFESKQVFRTDRIQRKKRDFMQIINKQTAKIVDEAKIVDKEHSVKPKPLTAVELFAKAREEQDGGSVLRKYTFKLNDKDLLVLVTKPAGKTKVHLATDFKEPLTLHWALSKNKAGEWSEPPPNALPQGSVSLKGAAETQFQSSADSTYEVQSLEIEIEVESFKGMPFVLCSAGNWIKNQGSDFYVDFGVELKKVQKDAGDGKGTAKGLLDKIAEQESEAQKSFMHRFNIAADLINQATDSGELGLAGILVWMRFMAMRQLIWNKNYNVKPREISKAQNRLTDLLQSVYASHPQYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNECKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKNDFDIGVYWKTLNDNGITKERLLSYDRAIHNEPNFRRDQKEGLLRDLGHYMRTLKAVHSGADLESAIQNCMGYKSEGQGFMVGVKINPISGLPSEFPDLLRFVLEHVEDRNVEVLIEGLLEARQMLWPLLSKPHDRLRDLLFLDIALDSTVRTAIERGYEELNNAGPEKIMYFISLVLENLALSSDDNEDLVYCLKGWDHAINMLKSNSDDWALYAKSILDRTRLALANKAESYLSVLQPSAEYLGSQLGVDQSAVNIFTEEIIRAGSAASLSSLLNRLDPVLRKTAHLGSWQVISPLEVVGYVVVVDELLTVQNKVYSKPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPNILADLQASEGKLLRIKPTPADITYSEVNEGELEDASSTHSTEDIPSLTLVRKQFTGRYAISSDEFTSETVGAKSRNIAYIKGKLPSWIGIPTSVALPFGVFEKVLSEDSNKAVAEKLGTLKKKLKDEDFDSLREIRETVLQLAAPPQLVQELRTKMQSSGMPWPGDEGEQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFISKKNDLDSPQVLGYPSKPVGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLMVDGNFRKSILSSIARAGSAIEELYGSPQDIEGVIRDGKLYVVQTRPQV >ONI32357 pep chromosome:Prunus_persica_NCBIv2:G1:33404280:33408983:1 gene:PRUPE_1G363300 transcript:ONI32357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSCCQILTICFMIFLLCSACGGYSDLHALLKLKSAMIGPKGSGLEDWNTSSLSPSSHCSFSGVSCDRDFRVVALNVSNQPLLGTLPPEIGLLNKLVNLTIAGDNITGRLPMQMANLTALRHLNISNNVFRGRFPGNITLQMTELQVLDAYNNNFTGTLPLEIVNLKNLKHLQLGGNYFTGNIPETYSEMQSLEHFGLNGNWLTGKFPASLARLKNLKEMYVGYFNSYDGGIPPELGSLSSLQVLDMASCNLSGTIPTNLSLLKNLNSLFLQVNRLSGGIPPELSGLVSLMSLDLSINDLTGEIPQSFSELKNITLINLYKNNLYGPIPRFVGDFPHLEVLQVWENNFTFELPENLGRNGRLKDLDITGNHITGLIPRDLCKGGQLKTAILMDNHFFGPIPEELGRCKSLVKIRMMKNTLTGTIPAGIFSLPNVSMIELNDNYLSGQLPEQMSGGLLGILTLSRNRISGKIPPAIGNLKSLQTLSLEMNRFSGEIPTEIFDLKSLSKINISANNLSSEIPASISQCSSLALADLSRNNLIGEIPRDIYKLRVLSILNLSSNQLTGEIPNEIRNMTSLTTLDLSDNNFIGKIPTGGQFMVFNDTSFAGNPYLCSPQRHVQCPSFPHHKAFGSSRIALVVIGLATVLLFLFITVYRMRRREMHKSRAWRLTAFQRLDFKAEDVLECLKEENIIGKGGAGIVYRGSMPDGVDVAIKRLVGRGTGRNCNDHGFSAEIKTLGRIRHRNIVRLLGYVSNKDTNLLLYEYMPNGSLGELLHGSKGGHLQWERRYRIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDSDLEAHVADFGLAKFLQDAGASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVRWVRKTTSELSQPSDAASVLAVVDARLCGYPLAGVIHLFKIAMMCVEDESSARPTMREVVHMLTNPPRSAPSLLNL >ONI29924 pep chromosome:Prunus_persica_NCBIv2:G1:23666752:23668619:1 gene:PRUPE_1G222600 transcript:ONI29924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVSLAVAPRTEPDTTTTKPGSNSQEKPQHRQQQQAAVGGVMGSLRVIELQLVAFIMVFSASGLVPLFDLIFPAFASAYLLALSRLAFPAHGTVTSSSQEIFQGSRFFRVYVIVGTTTGLFLPLAYVLGGFARGDEHAVRSATPHLFLLSFQILTENVISGLSLFSPPVRALVPLLYTVRRIFVILDWTRDVWLNKTLPANAQLKDTAWFWFGRTLAIANFLYFSINLFGFLIPRFLPRAFERYFKERDEVHAKMAEDKRSEAAANKSEPVSDKKAA >ONI29925 pep chromosome:Prunus_persica_NCBIv2:G1:23666854:23668620:1 gene:PRUPE_1G222600 transcript:ONI29925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVSLAVAPRTEPDTTTTKPGSNSQEKPQHRQQQQAAVGGVMGSLRVIELQLVAFIMVFSASGLVPLFDLIFPAFASAYLLALSRLAFPAHGTVTSSSQEIFQGSRFFRVYVIVGTTTGLFLPLAYVLGGFARGDEHAVRSATPHLFLLSFQILTENVISGLSLFSPPVRALVPLLYTVRRIFVILDWTRDVWLNKTLPANAQLKDTAWFWFGRTLAIANFLYFSINLFGFLIPRFLPRAFERYFKERDEVHAKMAEDKRSEAAANKSEPVSDKKAA >ONI29923 pep chromosome:Prunus_persica_NCBIv2:G1:23666854:23668619:1 gene:PRUPE_1G222600 transcript:ONI29923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVSLAVAPRTEPDTTTTKPGSNSQEKPQHRQQQQAAVGGVMGSLRVIELQLVAFIMVFSASGLVPLFDLIFPAFASAYLLALSRLAFPAHGTVTSSSQEIFQGSRFFRVYVIVGTTTGLFLPLAYVLGGFARGDEHAVRSATPHLFLLSFQILTENVISGLSLFSPPVRALVPLLYTVRRIFVILDWTRDVWLNKTLPANAQLKDTAWFWFGRTLAIANFLYFSINLFGFLIPRFLPRAFERYFKERDEVHAKMAEDKRSEAAANKSEPVSDKKAA >ONI33307 pep chromosome:Prunus_persica_NCBIv2:G1:36135529:36139146:1 gene:PRUPE_1G416100 transcript:ONI33307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPPPYQTHLPLSPDPGEKPLNSEPQLPLVPIHVVTRASQLPAEFLEPSAERQLVIGFDCEGVDLCRHGTLCIMQLAFPDAIYLVDAIQGGAMLIQACKPALESSYITKVIHDCKRDSEALYFQFGIKLNNVVDTQIAYSLIEEQEGRKRLLDDYISFVGLLADPRYCGISYLEKEEVRFLLRQDPNFWTYRPLSEQMVRAAADDVRFLLYIYYKMMEKLNQQSLWYLAVRGALYCRCFCINDNNFADWPPLPPIPADNLIVDGNAPEEEILSVLDVPPGKMGRVIGRRGASILSIKESCNAEILIGGDKGPPDKVFIIGPVKQVRKAEAMLRGKMMDVYY >ONI33309 pep chromosome:Prunus_persica_NCBIv2:G1:36135722:36139156:1 gene:PRUPE_1G416100 transcript:ONI33309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAFPDAIYLVDAIQGGAMLIQACKPALESSYITKVIHDCKRDSEALYFQFGIKLNNVVDTQIAYSLIEEQEGRKRLLDDYISFVGLLADPRYCGISYLEKEEVRFLLRQDPNFWTYRPLSEQMVRAAADDVRFLLYIYYKMMEKLNQQSLWYLAVRGALYCRCFCINDNNFADWPPLPPIPDNLIVDGNAPEEEILSVLDVPPGKMGRVIGRRGASILSIKESCNAEILIGGDKGPPDKVFIIGPVKQVRKAEAMLRGKMMDVYY >ONI33308 pep chromosome:Prunus_persica_NCBIv2:G1:36135529:36139192:1 gene:PRUPE_1G416100 transcript:ONI33308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPPPYQTHLPLSPDPGEKPLNSEPQLPLVPIHVVTRASQLPAEFLEPSAERQLVIGFDCEGVDLCRHGTLCIMQLAFPDAIYLVDAIQGGAMLIQACKPALESSYITKVIHDCKRDSEALYFQFGIKLNNVVDTQIAYSLIEEQEGRKRLLDDYISFVGLLADPRYCGISYLEKEEVRFLLRQDPNFWTYRPLSEQMVRAAADDVRFLLYIYYKMMEKLNQQSLWYLAVRGALYCRCFCINDNNFADWPPLPPIPDNLIVDGNAPEEEILSVLDVPPGKMGRVIGRRGASILSIKESCNAEILIGGDKGPPDKVFIIGPVKQVRKAEAMLRGKMMDVYY >ONI33636 pep chromosome:Prunus_persica_NCBIv2:G1:37264210:37270996:-1 gene:PRUPE_1G437400 transcript:ONI33636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYTVSGPFHPFGGAIDIIVVEQEDGSFKSSAWNVKFGKFQGVLKTKEKVVNINVNGEDANFHMYLNHKGEAYFLREVEEGEAVLYPSSSSDENDERSQEKRQPLKTQSCNYGAESLATVDQIDTPNGKILARTNSRKSRILGLFGSRSMKGRKCKEEEGDDSTVSKVDSLERAEFAANLLEVKWSTSLATNKPRKNSASHFSSPNMLVNEEMRIDSEQTRASSSLPLSRVSSDVDESMIEATEHDGKAIAVISNITGSDPQIVHSVELEACPGKHSAEQKDVLLPGCDISEKADGIDRVHSFIYCESSESSVVGMDGSSEQTHERLYIARGGSGQVHVHAETVHAIAEFLSKDIDTEQLVEDISMKVQPVEGPETYSPETAAHSCTGNYNMDLEGPVKVPECYDEQMVHKNPLPDSVEEVESQSISSLNDSGHQVQDEKDRKDEDITCDLQTPSGSINGGVVTEAASVLPPLKLEEQQFLFSDDEIRMTEVQCIKSCSPSCVDGENSLSCSPKDNKESVTTNYESYSSPEKFVQENPSNDFEKSIENLRATSAAIGIPRRHKAADKEVGRLVESLPNMRPQTDKLSVLDLQNPLSRSLDSSANPLKWIYQGKHDLSCRKLEGDEEQQLALEIPGIENAQGSAELKDIPVSPVGDPSNASVASTGSWTLWPFAFRRSNSSKAIQPDLNDGRNPDAENASESTVGMDGDKDMLSPKGMKKTERVLTPTSEQLASLNLKEGRNTVTFRFSTAMLGKQEVDARIYLWKWNTRIVISDVDGTITKSDVLGQFMPMVGVDWSQTGVTHLFSAIKENGYQLLFLSARAISQAYHTRQFLFNLKQDGKALPDGPVVISPDGLFPSLFREGKWRAPHEFKISCLEDIKSLFPSDCNPFYAGFGNRDTDEFSYLKVGIPKGKIFIINPKGEVAVNRSIDTRSYTSLHALVNGMFPPTNSSEQEDYNSWNFWKLPPPDIDV >ONI33635 pep chromosome:Prunus_persica_NCBIv2:G1:37264210:37270996:-1 gene:PRUPE_1G437400 transcript:ONI33635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYTVSGPFHPFGGAIDIIVVEQEDGSFKSSAWNVKFGKFQGVLKTKEKVVNINVNGEDANFHMYLNHKGEAYFLREVEEGEAVLYPSSSSDENDERSQEKRQPLKTQSCNYGAESLATVDQIDTPNGKILARTNSRKSRILGLFGSRSMKGRKCKEEEGDDSTVSKVDSLERAEFAANLLEVKWSTSLATNKPRKNSASHFSSPNMLVNEEMRIDSEQTRASSSLPLSRVSSDVDESMIEATEHDGKAIAVISNITGSDPQIVHSVELEACPGKHSAEQKDVLLPGCDISEKADGIDRVHSFIYCESSESSVVGMDGSSEQTHERLYIARGGSGQVHVHAETVHAIAEFLSKDIDTEQLVEDISMKVQPVEGPETYSPETAAHSCTGNYNMDLEGPVKVPECYDEQMVHKNPLPDSVEEVESQSISSLNDSGHQVQDEKDRKDEDITCDLQTPSGSINGGVVTEAASVLPPLKLEEQQFLFSDDEIRMTEVQCIKSCSPSCVDGENSLSCSPKDNKESVTTNYESYSSPEKFVQENPSNDFEKSIENLRATSAAIGIPRRHKAADKEVGRLVESLPNMRPQTDKLSVLDLQNPLSRSLDSSANPLKWIYQGKHDLSCRKLEGDEEQQLALEIPGIENAQGSAELKDIPVSPVGDPSNASVASTGSWTLWPFAFRRSNSSKAIQPDLNDGRNPDAENASESTVGMDGDKDMLSPKGMKKTERVLTPTSEQLASLNLKEGRNTVTFRFSTAMLGKQEVDARIYLWKWNTRIVISDVDGTITKSDVLGQFMPMVGVDWSQTGVTHLFSAIKENGYQLLFLSARAISQAYHTRQFLFNLKQDGKALPDGPVVISPDGLFPSLFREVIRRAPHEFKISCLEDIKSLFPSDCNPFYAGFGNRDTDEFSYLKVGIPKGKIFIINPKGEVAVNRSIDTRSYTSLHALVNGMFPPTNSSEQEDYNSWNFWKLPPPDIDV >ONI33637 pep chromosome:Prunus_persica_NCBIv2:G1:37265621:37269989:-1 gene:PRUPE_1G437400 transcript:ONI33637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYTVSGPFHPFGGAIDIIVVEQEDGSFKSSAWNVKFGKFQGVLKTKEKVVNINVNGEDANFHMYLNHKGEAYFLREVEEGEAVLYPSSSSDENDERSQEKRQPLKTQSCNYGAESLATVDQIDTPNGKILARTNSRKSRILGLFGSRSMKGRKCKEEEGDDSTVSKVDSLERAEFAANLLEVKWSTSLATNKPRKNSASHFSSPNMLVNEEMRIDSEQTRASSSLPLSRVSSDVDESMIEATEHDGKAIAVISNITGSDPQIVHSVELEACPGKHSAEQKDVLLPGCDISEKADGIDRVHSFIYCESSESSVVGMDGSSEQTHERLYIARGGSGQVHVHAETVHAIAEFLSKDIDTEQLVEDISMKVQPVEGPETYSPETAAHSCTGNYNMDLEGPVKVPECYDEQMVHKNPLPDSVEEVESQSISSLNDSGHQVQDEKDRKDEDITCDLQTPSGSINGGVVTEAASVLPPLKLEEQQFLFSDDEIRMTEVQCIKSCSPSCVDGENSLSCSPKDNKESVTTNYESYSSPEKFVQENPSNDFEKSIENLRATSAAIGIPRRHKAADKEVGRLVESLPNMRPQTDKLSVLDLQNPLSRSLDSSANPLKWIYQGKHDLSCRKLEGDEEQQLALEIPGIENAQGSAELKDIPVSPVGDPSNASVASTGSWTLWPFAFRRSNSSKAIQPDLNDGRNPDAENASESTVGMDGDKDMLSPKGMKKTERVLTPTSEQLASLNLKEGRNTVTFRFSTAMLGKQEVDARIYLWKWNTRIVISDVDGTITKSDVLGQFMPMVGVDWSQTGVTHLFSAIKENGYQLLFLSARAISQAYHTRQFLFNLKQVLYN >ONI26618 pep chromosome:Prunus_persica_NCBIv2:G1:2448869:2456899:-1 gene:PRUPE_1G035000 transcript:ONI26618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKTVVPSQLLSERGSNLVVIKPGSANIRIGLASQDTPLNIAHCIARHTTQVPRRNVQDQLLNSQVSTAQYMEREKAYDTIASFLKIPFLDEEVANNSYPRKMGRVDGYNPQNSRKEPAFDWTDVYEKDPSSSSSLESSENKGTASEALGQLEDENTEELGSSKHKYKKVIFGEEAQKISPMAPYTLRRPIRRGHLNISQHYPMQQVLEDVHAIWDFILTEKLHIPLRERNMYAAMLVVPETFDSREIKELLSIVLRDLRFSSAVVHQEGLAAAFGNGLSTACVVKMGAQVTSVICVEDGVTLPTTEKTLPFGGEDISRCFLWTQRHHQTWPQIRTDMFAKPIDLLMLNRLKESYCEIKEGELDAVGIVHSYEDDLPAGSHKTRLTALNVPPMGLFFPMLLVPDAYPPPPSVWFRDYEDMLEDTWHMEFPRRPEMPDGMYPSMNVGYPMWDNYPVFAAKPKKEEKVGLAEAITSSILSTGRIDLQRKLFCSIQLVGGVALTRGLVPAVEERVLHTIPSNEAIDTVEVLQPRANPTFVSWKGGAVSKAPSTFLAYSCMHLL >ONI26616 pep chromosome:Prunus_persica_NCBIv2:G1:2448034:2457118:-1 gene:PRUPE_1G035000 transcript:ONI26616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKTVVPSQLLSERGSNLVVIKPGSANIRIGLASQDTPLNIAHCIARHTTQVPRRNVQDQLLNSQVSTAQYMEREKAYDTIASFLKIPFLDEEVANNSYPRKMGRVDGYNPQNSRKEPAFDWTDVYEKDPSSSSSLESSENKGTASEALGQLEDENTEELGSSKHKYKKVIFGEEAQKISPMAPYTLRRPIRRGHLNISQHYPMQQVLEDVHAIWDFILTEKLHIPLRERNMYAAMLVVPETFDSREIKELLSIVLRDLRFSSAVVHQEGLAAAFGNGLSTACVVKMGAQVTSVICVEDGVTLPTTEKTLPFGGEDISRCFLWTQRHHQTWPQIRTDMFAKPIDLLMLNRLKESYCEIKEGELDAVGIVHSYEDDLPAGSHKTRLTALNVPPMGLFFPMLLVPDAYPPPPSVWFRDYEDMLEDTWHMEFPRRPEMPDGMYPSMNVGYPMWDNYPVFAAKPKKEEKVGLAEAITSSILSTGRIDLQRKLFCSIQLVGGVALTRGLVPAVEERVLHTIPSNEAIDTVEVLQPRANPTFVSWKGGAILGILDFGRDAWIHRDDWIRNGIHIGSGRKYKDSYFLQAQAMCYINS >ONI26615 pep chromosome:Prunus_persica_NCBIv2:G1:2448034:2457118:-1 gene:PRUPE_1G035000 transcript:ONI26615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKTVVPSQLLSERGSNLVVIKPGSANIRIGLASQDTPLNIAHCIARHTTQVPRRNVQDQLLNSQVSTAQYMEREKAYDTIASFLKIPFLDEEVANNSYPRKMGRVDGYNPQNSRKEPAFDWTDVYEKDPSSSSSLESSENKGTASEALGQLEDENTEELGSSKHKYKKVIFGEEAQKISPMAPYTLRRPIRRGHLNISQHYPMQQVLEDVHAIWDFILTEKLHIPLRERNMYAAMLVVPETFDSREIKELLSIVLRDLRFSSAVVHQEGLAAAFGNGLSTACVVKMGAQVTSVICVEDGVTLPTTEKTLPFGGEDISRCFLWTQRHHQTWPQIRTDMFAKPIDLLMLNRLKESYCEIKEGELDAVGIVHSYEDDLPAGSHKTRLTALNVPPMGLFFPMLLVPDAYPPPPSVWFRDYEDMLEDTWHMEFPRRPEMPDGMYPSMNVGYPMWDNYPVFAAKPKKEEKVGLAEAITSSILSTGRIDLQRKLFCSIQLVGGVALTRGLVPAVEERVLHTIPSNEAIDTVEVLQPRANPTFVSWKGGAILGILDFGRDAWIHRDDWIRNGIHIGSGRKYKDSYFLQAQAMCYINS >ONI26617 pep chromosome:Prunus_persica_NCBIv2:G1:2448869:2456899:-1 gene:PRUPE_1G035000 transcript:ONI26617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKTVVPSQLLSERGSNLVVIKPGSANIRIGLASQDTPLNIAHCIARHTTQVPRRNVQDQLLNSQVSTAQYMEREKAYDTIASFLKIPFLDEEVANNSYPRKMGRVDGYNPQNSRKEPAFDWTDVYEKDPSSSSSLESSENKGTASEALGQLEDENTEELGSSKHKYKKVIFGEEAQKISPMAPYTLRRPIRRGHLNISQHYPMQQVLEDVHAIWDFILTEKLHIPLRERNMYAAMLVVPETFDSREIKELLSIVLRDLRFSSAVVHQEGLAAAFGNGLSTACVVKMGAQVTSVICVEDGVTLPTTEKTLPFGGEDISRCFLWTQRHHQTWPQIRTDMFAKPIDLLMLNRLKESYCEIKEGELDAVGIVHSYEDDLPAGSHKTRLTALNVPPMGLFFPMLLVPDAYPPPPSVWFRDYEDMLEDTWHMEFPRRPEMPDGMYPSMNVGYPMWDNYPVFAAKPKKEEKVGLAEAITSSILSTGRIDLQRKLFCSIQLVGGVALTRGLVPAVEERVLHTIPSNEAIDTVEVLQPRANPTFVSWKGGAVSKAPSTFLAYSCMHLL >ONI35860 pep chromosome:Prunus_persica_NCBIv2:G1:45537527:45538766:-1 gene:PRUPE_1G557800 transcript:ONI35860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTGAVLNGLNSPFLCGGKRSQALLSAGFGTTRVGGGPAAPKRFIVVAAAAPKKSWIPAVKASNNFIDPEWLDGSLPGDYGFDPLGLGKDPGFLKWYREAELIHGRWAMAAVVGIFVGQAWSGVPWFEAGADPSAIAPFSFGSLLGTQLLLMGWVESKRWVDFYNPESQSIEWATPWSKTSENFANATGEQGYPGGKFFDPLGFAGSIKNGVYIPDSEKLERLKLAEIKHARLAMVAMLIFYFEAGQGKTPLGALGL >ONI35554 pep chromosome:Prunus_persica_NCBIv2:G1:44302418:44307089:1 gene:PRUPE_1G542300 transcript:ONI35554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTNRENHLRLYLLFVLCLLSNTKAAAVDELQILLKLKSTFQNSNTNSSIFSTWNSSNPLCSFSGIVCNEKNSVREIELSNGNLSGFLALDEICQLQSLEKLALGFNSLNGTIKEDLNNCTKLKYLDLGNNLFTGSFPEISSLSELQHLHLNHSGISGTFPWKSLNNMTGLIRLSLGDNTFDQSSFPSEIFNLKNLTWLYLANCSLRGSIPKSIGNLTELINLELSDNNMVGEIPSEIGKLTKLWQLELYRNQLNGTLPFGLRNLINLENFDASENLLEGDLSELGFLKNVVSLQLYNNGLSGEVPAEFGEFKKLVNLSLYTNKLTGTLPQKLGSWSKVDFIDVSENFLTGTIPPDMCKMGTMRGLLFLQNKFTGEIPQNYAKCSTLKRFRVNNNSLSGVVPAGIWGLPNAEIIDLTSNQFEGMITSDIKSAKMLAQLFVSYNRLSGELPDEISEATSLVSIVLNNNRFSGKIPGTIGDMKHLGTLYLQNNMFSASIPKSLGSCLFLSDLNIANNLLSGNLPSSLGSLPTLNSLNLSQNQLSGQIPESLASLRLSILDLSQNRLTGAIPDTLSIAAYNGSFSGNPGLCSMNINSFPRCSSSSGMSKDVRTLIICFSVGSAILLVSLTCFLFLKKSEKDDDRSLKEESWDLKSFHVLSFTEGEILDSITQENLIGKGGSGNVYRVVLANGKELAVKHIWNTDPSGKKKFKSTTPMLVKRGGKSKEYDAEVETLSSIRHVNVVKLYCSITSEDSSLLVYEYMPNGSLWDRLHMCQKMKLDWETRYEIAVGAAKGLEYLHHGLERLVMHRDVKSSNILLDEFLKPRIADFGLAKIVQASAGKDSTHVVAGTHGYIAPEYGYTYKVNEKSDVYSFGVVLMELVTGKRPIEPEFGENKDIVSWVCSMLKSRESILSMVDSYLPEAYKEEAIKVLRIAILCTARLPELRPSMRSVVQMLEEAHETFKLMGIVISKDGTAYNKKMEVLKGTEK >ONI30235 pep chromosome:Prunus_persica_NCBIv2:G1:25367412:25368819:1 gene:PRUPE_1G239200 transcript:ONI30235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQEIFIVATSTVGFISLCKPIISFLRWVWVVFLRPPKNLKDYGSWALITGSTDGIGKALAFEMASKGLNLVLVGRNPSKLEATSTELHEKYGGQIQNKNIVIDLAKFSGEEIGNAIEEGIKGLDVGILINNAGVDLELMESTMKVNIEGATWVTKAVLPIMLKKKKGAIVNIGSASSEILPSYPLYTVYAASKAYISMFSKSISLEYKKHGIDVQCQIPMLVATKMTKLKPSSFFVASPEMYSKASMRWIGYEHLCTPYWGHSVQWLIIHVLPDVLLNAIILRYFIGMRRRGQLKEAQNKSKQM >ONI27792 pep chromosome:Prunus_persica_NCBIv2:G1:8433328:8435235:1 gene:PRUPE_1G104800 transcript:ONI27792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWTCRSSRTTVSEAVVPIVEPIDVRVDTCNAIDQIDMELHETPLVSVLGSSSDDKHPKAAQQWENTITGVDQRFNSFGEFREALHKFSIAHGFAYRYKKNDSHRVTVKCKSQGCPWRIYASRLSTTQLICIKKMNTDHTCEGAAVKAGYRATRGWVGSIIKEKLKVSPNYKPKDIADDIKREYGIQLNYSQAWRAKEIAREQLQGSYKEAYNQLPYFCERIKETNPGSVAAFTTKEDSSFHRFFVSFHASIVGFREGCRPLIFLDSTPLNSKYQGVLLAAIAADGDDGIFPVAFAVVDAETDDNWHWFLLELKSAVSISQQITFVADVQNGLKKSLTEVFDKCYHCYCLRHLAEKLNKDLKGQFSHEARRFMINDFYAAAYAPKLEAFQRSADNIKGISPEAYNWVIQSGPEHWANAFSGGARYNHMTSNFGQQFYSWVSEAHELPITQMIDVLRGKTMEAFYSRRVESNQWVTRLTPSKEEKLQKETTIARSLQVLLSQGSTFEVRGESVDIVDIDHWDCSCKGWQLTGLPCCHAIAVFECIGRNPYDYCSRYFTVESYRSTYAESIHPVPNVDRPLPGESSLAAVTVTPPPTRRPPGRPKMKQAESLDIIKRQLQCSKCKGLGHNKKTCKDS >ONI27790 pep chromosome:Prunus_persica_NCBIv2:G1:8431830:8435435:1 gene:PRUPE_1G104800 transcript:ONI27790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKYFLPGNKKTLITISKDKDLQRMVNFLGDTATVDVFVMSEEAAARNVSNMPASRSSRTTVSEAVVPIVEPIDVRVDTCNAIDQIDMELHETPLVSVLGSSSDDKHPKAAQQWENTITGVDQRFNSFGEFREALHKFSIAHGFAYRYKKNDSHRVTVKCKSQGCPWRIYASRLSTTQLICIKKMNTDHTCEGAAVKAGYRATRGWVGSIIKEKLKVSPNYKPKDIADDIKREYGIQLNYSQAWRAKEIAREQLQGSYKEAYNQLPYFCERIKETNPGSVAAFTTKEDSSFHRFFVSFHASIVGFREGCRPLIFLDSTPLNSKYQGVLLAAIAADGDDGIFPVAFAVVDAETDDNWHWFLLELKSAVSISQQITFVADVQNGLKKSLTEVFDKCYHCYCLRHLAEKLNKDLKGQFSHEARRFMINDFYAAAYAPKLEAFQRSADNIKGISPEAYNWVIQSGPEHWANAFSGGARYNHMTSNFGQQFYSWVSEAHELPITQMIDVLRGKTMEAFYSRRVESNQWVTRLTPSKEEKLQKETTIARSLQVLLSQGSTFEVRGESVDIVDIDHWDCSCKGWQLTGLPCCHAIAVFECIGRNPYDYCSRYFTVESYRSTYAESIHPVPNVDRPLPGESSLAAVTVTPPPTRRPPGRPKMKQAESLDIIKRQLQCSKCKGLGHNKKTCKDS >ONI27789 pep chromosome:Prunus_persica_NCBIv2:G1:8431829:8435435:1 gene:PRUPE_1G104800 transcript:ONI27789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKVIAICQSGGEFVTNKDGSLSYTGGEAYAIDIDQQTLLGDFKSEIADMFNCSAETMSIKYFLPGNKKTLITISKDKDLQRMVNFLGDTATVDVFVMSEEAAARNVSNMPASRSSRTTVSEAVVPIVEPIDVRVDTCNAIDQIDMELHETPLVSVLGSSSDDKHPKAAQQWENTITGVDQRFNSFGEFREALHKFSIAHGFAYRYKKNDSHRVTVKCKSQGCPWRIYASRLSTTQLICIKKMNTDHTCEGAAVKAGYRATRGWVGSIIKEKLKVSPNYKPKDIADDIKREYGIQLNYSQAWRAKEIAREQLQGSYKEAYNQLPYFCERIKETNPGSVAAFTTKEDSSFHRFFVSFHASIVGFREGCRPLIFLDSTPLNSKYQGVLLAAIAADGDDGIFPVAFAVVDAETDDNWHWFLLELKSAVSISQQITFVADVQNGLKKSLTEVFDKCYHCYCLRHLAEKLNKDLKGQFSHEARRFMINDFYAAAYAPKLEAFQRSADNIKGISPEAYNWVIQSGPEHWANAFSGGARYNHMTSNFGQQFYSWVSEAHELPITQMIDVLRGKTMEAFYSRRVESNQWVTRLTPSKEEKLQKETTIARSLQVLLSQGSTFEVRGESVDIVDIDHWDCSCKGWQLTGLPCCHAIAVFECIGRNPYDYCSRYFTVESYRSTYAESIHPVPNVDRPLPGESSLAAVTVTPPPTRRPPGRPKMKQAESLDIIKRQLQCSKCKGLGHNKKTCKDS >ONI27791 pep chromosome:Prunus_persica_NCBIv2:G1:8433328:8435235:1 gene:PRUPE_1G104800 transcript:ONI27791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWTCRSSRTTVSEAVVPIVEPIDVRVDTCNAIDQIDMELHETPLVSVLGSSSDDKHPKAAQQWENTITGVDQRFNSFGEFREALHKFSIAHGFAYRYKKNDSHRVTVKCKSQGCPWRIYASRLSTTQLICIKKMNTDHTCEGAAVKAGYRATRGWVGSIIKEKLKVSPNYKPKDIADDIKREYGIQLNYSQAWRAKEIAREQLQGSYKEAYNQLPYFCERIKETNPGSVAAFTTKEDSSFHRFFVSFHASIVGFREGCRPLIFLDSTPLNSKYQGVLLAAIAADGDDGIFPVAFAVVDAETDDNWHWFLLELKSAVSISQQITFVADVQNGLKKSLTEVFDKCYHCYCLRHLAEKLNKDLKGQFSHEARRFMINDFYAAAYAPKLEAFQRSADNIKGISPEAYNWVIQSGPEHWANAFSGGARYNHMTSNFGQQFYSWVSEAHELPITQMIDVLRGKTMEAFYSRRVESNQWVTRLTPSKEEKLQKETTIARSLQVLLSQGSTFEVRGESVDIVDIDHWDCSCKGWQLTGLPCCHAIAVFECIGRNPYDYCSRYFTVESYRSTYAESIHPVPNVDRPLPGESSLAAVTVTPPPTRRPPGRPKMKQAESLDIIKRQLQCSKCKGLGHNKKTCKDS >ONI27794 pep chromosome:Prunus_persica_NCBIv2:G1:8431830:8435435:1 gene:PRUPE_1G104800 transcript:ONI27794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHETPLVSVLGSSSDDKHPKAAQQWENTITGVDQRFNSFGEFREALHKFSIAHGFAYRYKKNDSHRVTVKCKSQGCPWRIYASRLSTTQLICIKKMNTDHTCEGAAVKAGYRATRGWVGSIIKEKLKVSPNYKPKDIADDIKREYGIQLNYSQAWRAKEIAREQLQGSYKEAYNQLPYFCERIKETNPGSVAAFTTKEDSSFHRFFVSFHASIVGFREGCRPLIFLDSTPLNSKYQGVLLAAIAADGDDGIFPVAFAVVDAETDDNWHWFLLELKSAVSISQQITFVADVQNGLKKSLTEVFDKCYHCYCLRHLAEKLNKDLKGQFSHEARRFMINDFYAAAYAPKLEAFQRSADNIKGISPEAYNWVIQSGPEHWANAFSGGARYNHMTSNFGQQFYSWVSEAHELPITQMIDVLRGKTMEAFYSRRVESNQWVTRLTPSKEEKLQKETTIARSLQVLLSQGSTFEVRGESVDIVDIDHWDCSCKGWQLTGLPCCHAIAVFECIGRNPYDYCSRYFTVESYRSTYAESIHPVPNVDRPLPGESSLAAVTVTPPPTRRPPGRPKMKQAESLDIIKRQLQCSKCKGLGHNKKTCKDS >ONI27793 pep chromosome:Prunus_persica_NCBIv2:G1:8431829:8435435:1 gene:PRUPE_1G104800 transcript:ONI27793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWTCRSSRTTVSEAVVPIVEPIDVRVDTCNAIDQIDMELHETPLVSVLGSSSDDKHPKAAQQWENTITGVDQRFNSFGEFREALHKFSIAHGFAYRYKKNDSHRVTVKCKSQGCPWRIYASRLSTTQLICIKKMNTDHTCEGAAVKAGYRATRGWVGSIIKEKLKVSPNYKPKDIADDIKREYGIQLNYSQAWRAKEIAREQLQGSYKEAYNQLPYFCERIKETNPGSVAAFTTKEDSSFHRFFVSFHASIVGFREGCRPLIFLDSTPLNSKYQGVLLAAIAADGDDGIFPVAFAVVDAETDDNWHWFLLELKSAVSISQQITFVADVQNGLKKSLTEVFDKCYHCYCLRHLAEKLNKDLKGQFSHEARRFMINDFYAAAYAPKLEAFQRSADNIKGISPEAYNWVIQSGPEHWANAFSGGARYNHMTSNFGQQFYSWVSEAHELPITQMIDVLRGKTMEAFYSRRVESNQWVTRLTPSKEEKLQKETTIARSLQVLLSQGSTFEVRGESVDIVDIDHWDCSCKGWQLTGLPCCHAIAVFECIGRNPYDYCSRYFTVESYRSTYAESIHPVPNVDRPLPGESSLAAVTVTPPPTRRPPGRPKMKQAESLDIIKRQLQCSKCKGLGHNKKTCKDS >ONI34860 pep chromosome:Prunus_persica_NCBIv2:G1:41584227:41587958:-1 gene:PRUPE_1G502900 transcript:ONI34860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGYRGTNDSELRRSVSNDRTSSATTSLRRRSFSLSGAQPSQIDDDIESERVSEAGDIGDRALHSNRHSESGSIRLLPSEDIQLQSYGFWGRDPVTSSAISPVSPLPEEIISPLSIDAVVCHEDKKQETTTKLPKLLEYGSCMTHLAVFGILGVLTRYLLQKLFGPGVVGVTSNQTILYLDLPSNMVGSFLMGWFGVVFKGDISYMSDHLAIGLSTGYLGSLTTFSGWNQKMLELSVEGHWVFVLLGFLIGLFLAAYSIIVGVETAKGFRKLLERSSGCGITSSGTSWRVDSHKRHLVVLAVFSLMLISLWSVSGVLLREEFSSDSSEAQLWLACIVGPLGVWIRWFLARLNGRGLGRMGLLKWFPFGTLIANVSAACVMAALSTVKKEVDTKTCDIVATGIQFGLLGCLSTVSTFIAEFNAMRESKYPWRAYTYAMVTICTSFGLGTLIYSVPVWTKGYK >ONI34868 pep chromosome:Prunus_persica_NCBIv2:G1:41584972:41587040:-1 gene:PRUPE_1G502900 transcript:ONI34868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLAVFGILGVLTRYLLQKLFGPGVVGVTSNQTILYLDLPSNMVGSFLMGWFGVVFKGDISYMSDHLAIGLSTGYLGSLTTFSGWNQKMLELSVEGHWVFVLLGFLIGLFLAAYSIIVGVETAKGFRKLLERSSGCGITSSGTSWRVDSHKRHLVVLAVFSLMLISLWSVSGVLLREEFSSDSSEAQLWLACIVGPLGVWIRWFLARLNGRGLGRMGLLKWFPFGTLIANVSAACVMAALSTVKKEPLSRIPEVHCLLRQNFVLPSPSTQLRFTSGICPEVHHRARSCGFILQCLAEMSKSPPNHVDFVVAHLSTFFSVSFHS >ONI34867 pep chromosome:Prunus_persica_NCBIv2:G1:41584972:41587561:-1 gene:PRUPE_1G502900 transcript:ONI34867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGYRGTNDSELRRSVSNDRTSSATTSLRRRSFSLSGAQPSQIDDDIESERVSEAGDIGDRALHSNRHSESGSIRLLPSEDIQLQSYGFWGRDPVTSSAISPVSPLPEEIISPLSIDAVVCHEDKKQETTTKLPKLLEYGSCMTHLAVFGILGVLTRYLLQKLFGPGVVGVTSNQTILYLDLPSNMVGSFLMGWFGVVFKGDISYMSDHLAIGLSTGYLGSLTTFSGWNQKMLELSVEGHWVFVLLGFLIGLFLAAYSIIVGVETAKGFRKLLERSSGCGITSSGTSWRVDSHKRHLVVLAVFSLMLISLWSVSGVLLREEFSSDSSEAQLWLACIVGPLGVWIRWFLARLNGRGLGRMGLLKWFPFGTLIANVSAACVMAALSTVKKEPLSRIPEVHCLLRQNFVLPSPSTQLRFTSGICPEVHHRARSCGFILQCLAEMSKSPPNHVDFVVAHLSTFFSVSFHS >ONI34862 pep chromosome:Prunus_persica_NCBIv2:G1:41584052:41587657:-1 gene:PRUPE_1G502900 transcript:ONI34862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGYRGTNDSELRRSVSNDRTSSATTSLRRRSFSLSGAQPSQIDDDIESERVSEAGDIGDRALHSNRHSESGSIRLLPSEDIQLQSYGFWGRDPVTSSAISPVSPLPEEIISPLSIDAVVCHEDKKQETTTKLPKLLEYGSCMTHLAVFGILGVLTRYLLQKLFGPGVVGVTSNQTILYLDLPSNMVGSFLMGWFGVVFKGDISYMSDHLAIGLSTGYLGSLTTFSGWNQKMLELSVEGHWVFVLLGFLIGLFLAAYSIIVGVETAKGFRKLLERSSGCGITSSGTSWRVDSHKRHLVVLAVFSLMLISLWSVSGVLLREEFSSDSSEAQLWLACIVGPLGVWIRWFLARLNGRGLGRMGLLKWFPFGTLIANVSAACVMAALSTVKKEVDTKTCDIVATGIQFGLLGCLSTVSTFIAEFNAMRESKYPWRAYTYAMVTICTSFGLGTLIYSVPVWTKGYK >ONI34866 pep chromosome:Prunus_persica_NCBIv2:G1:41584051:41587657:-1 gene:PRUPE_1G502900 transcript:ONI34866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLAVFGILGVLTRYLLQKLFGPGVVGVTSNQTILYLDLPSNMVGSFLMGWFGVVFKGDISYMSDHLAIGLSTGYLGSLTTFSGWNQKMLELSVEGHWVFVLLGFLIGLFLAAYSIIVGVETAKGFRKLLERSSGCGITSSGTSWRVDSHKRHLVVLAVFSLMLISLWSVSGVLLREEFSSDSSEAQLWLACIVGPLGVWIRWFLARLNGRGLGRMGLLKWFPFGTLIANVSAACVMAALSTVKKEVDTKTCDIVATGIQFGLLGCLSTVSTFIAEFNAMRESKYPWRAYTYAMVTICTSFGLGTLIYSVPVWTKGYK >ONI34863 pep chromosome:Prunus_persica_NCBIv2:G1:41583947:41589441:-1 gene:PRUPE_1G502900 transcript:ONI34863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGYRGTNDSELRRSVSNDRTSSATTSLRRRSFSLSGAQPSQIDDDIESERVSEAGDIGDRALHSNRHSESGSIRLLPSEDIQLQSYGFWGRDPVTSSAISPVSPLPEEIISPLSIDAVVCHEDKKQETTTKLPKLLEYGSCMTHLAVFGILGVLTRYLLQKLFGPGVVGVTSNQTILYLDLPSNMVGSFLMGWFGVVFKGDISYMSDHLAIGLSTGYLGSLTTFSGWNQKMLELSVEGHWVFVLLGFLIGLFLAAYSIIVGVETAKGFRKLLERSSGCGITSSGTSWRVDSHKRHLVVLAVFSLMLISLWSVSGVLLREEFSSDSSEAQLWLACIVGPLGVWIRWFLARLNGRGLGRMGLLKWFPFGTLIANVSAACVMAALSTVKKEPLSRIPEVHCLLRQNFVLPSPSTQLRFTSGICPEVHHRARSCGFILQCLAEMSKSPPNHVDFVVAHLSTFFSVDTKTCDIVATGIQFGLLGCLSTVSTFIAEFNAMRESKYPWRAYTYAMVTICTSFGLGTLIYSVPVWTKGYK >ONI34861 pep chromosome:Prunus_persica_NCBIv2:G1:41583947:41589495:-1 gene:PRUPE_1G502900 transcript:ONI34861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGYRGTNDSELRRSVSNDRTSSATTSLRRRSFSLSGAQPSQIDDDIESERVSEAGDIGDRALHSNRHSESGSIRLLPSEDIQLQSYGFWGRDPVTSSAISPVSPLPEEIISPLSIDAVVCHEDKKQETTTKLPKLLEYGSCMTHLAVFGILGVLTRYLLQKLFGPGVVGVTSNQTILYLDLPSNMVGSFLMGWFGVVFKGDISYMSDHLAIGLSTGYLGSLTTFSGWNQKMLELSVEGHWVFVLLGFLIGLFLAAYSIIVGVETAKGFRKLLERSSGCGITSSGTSWRVDSHKRHLVVLAVFSLMLISLWSVSGVLLREEFSSDSSEAQLWLACIVGPLGVWIRWFLARLNGRGLGRMGLLKWFPFGTLIANVSAACVMAALSTVKKEVDTKTCDIVATGIQFGLLGCLSTVSTFIAEFNAMRESKYPWRAYTYAMVTICTSFGLGTLIYSVPVWTKGYK >ONI34865 pep chromosome:Prunus_persica_NCBIv2:G1:41584108:41589293:-1 gene:PRUPE_1G502900 transcript:ONI34865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLAVFGILGVLTRYLLQKLFGPGVVGVTSNQTILYLDLPSNMVGSFLMGWFGVVFKGDISYMSDHLAIGLSTGYLGSLTTFSGWNQKMLELSVEGHWVFVLLGFLIGLFLAAYSIIVGVETAKGFRKLLERSSGCGITSSGTSWRVDSHKRHLVVLAVFSLMLISLWSVSGVLLREEFSSDSSEAQLWLACIVGPLGVWIRWFLARLNGRGLGRMGLLKWFPFGTLIANVSAACVMAALSTVKKEVDTKTCDIVATGIQFGLLGCLSTVSTFIAEFNAMRESKYPWRAYTYAMVTICTSFGLGTLIYSVPVWTKGYK >ONI34864 pep chromosome:Prunus_persica_NCBIv2:G1:41584227:41589373:-1 gene:PRUPE_1G502900 transcript:ONI34864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGYRGTNDSELRRSVSNDRTSSATTSLRRRSFSLSGAQPSQIDDDIESERVSEAGDIGDRALHSNRHSESGSIRLLPSEDIQLQSYGFWGRDPVTSSAISPVSPLPEEIISPLSIDAVVCHEDKKQETTTKLPKLLEYGSCMTHLAVFGILGVLTRYLLQKLFGPGVVGVTSNQTILYLDLPSNMVGSFLMGWFGVVFKGDISYMSDHLAIGLSTGYLGSLTTFSGWNQKMLELSVEGHWVFVLLGFLIGLFLAAYSIIVGVETAKGFRKLLERSSGCGITSSGTSWRVDSHKRHLVVLAVFSLMLISLWSVSGVLLREEFSSDSSEAQLWLACIVGPLGVWIRWFLARLNGRGLGRMGLLKWFPFGTLIANVSAACVMAALSTVKKEPLSRIPEVHCLLRQNFVLPSPSTQLRFTSGICPEVHHRARSCGFILQCLAEMSKSPPNHVDFVVAHLSTFFSVDTKTCDIVATGIQFGLLGCLSTVSTFIAEFNAMRESKYPWRAYTYAMVTICTSFGLGTLIYSVPVWTKGYK >ONI31025 pep chromosome:Prunus_persica_NCBIv2:G1:28789325:28789952:-1 gene:PRUPE_1G288200 transcript:ONI31025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHKAQNKYHARDLDPSKLPKGRKPENQQKKSIRCNTCGNYISEGTKFNSRKEDAVGENYFEEQILRFYFKCPKCSVELVMRTDPQNSDYVVEAGARRNFEPWRNEDKELDEENKKREAEENGDNKKSLENRTRDSKREMNNDATNVSDDDKWKALEREHKVAVKEKSIQEEDEATLKSVVFHNSKDYVRR >ONI33108 pep chromosome:Prunus_persica_NCBIv2:G1:35675909:35676356:-1 gene:PRUPE_1G406000 transcript:ONI33108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASMAIPLMSATQNGLNQSNSASLFKSVPVRPSKKAAFVKPKSKGMLKVEASFKEKAVTGLTAAALTASMVIPEVAEAAGSGLTPSLNNFLLSIAAGGVVLTAIIGAVVGVSNFDPVKRT >ONI30285 pep chromosome:Prunus_persica_NCBIv2:G1:25590125:25594062:-1 gene:PRUPE_1G242100 transcript:ONI30285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKDSSPRLFSHGGSTASSSSWDQYGYPQPSRPPQNPYCTPQHHYAPSPSFNHVSRPVTVPNPRRRLDRKYSKIADNYSSLDEVTSALAQAGLESSNLIVGIDFTKSNEWTGSRSFNRKSLHHIGNVQNPYEQAISIIGKTLSVFDEDNLIPCFGFGDASTHDQDVFSFYQDEHYCNGFEEVLARYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTKHGQLSSQEQKTIDAIVKASVYPLSIILVGIGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKKVDLSRKQTEFALSALMEIPSQYKATLELGILGTRNGNYPNMVPLPPPHYAAVSSGSSNTKLYSNSNSFQQRTAPYAGYDNAGASTPSYTGYDSQVCPICLTNPKDMAFGCGHQTCCDCGEYLELCPICRSSIQTRIRLY >ONI30283 pep chromosome:Prunus_persica_NCBIv2:G1:25590177:25594062:-1 gene:PRUPE_1G242100 transcript:ONI30283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKDSSPRLFSHGGSTASSSSWDQYGYPQPSRPPQNPYCTPQHHYAPSPSFNHVSRPVTVPNPRRRLDRKYSKIADNYSSLDEVTSALAQAGLESSNLIVGIDFTKSNEWTGSRSFNRKSLHHIGNVQNPYEQAISIIGKTLSVFDEDNLIPCFGFGDASTHDQDVFSFYQDEHYCNGFEEVLARYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTKHGQLSSQEQKTIDAIVKASVYPLSIILVGIGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKKVDLSRKQTEFALSALMEIPSQYKATLELGILGTRNGNYPNMVPLPPPHYAAVSSGSSNTKLYSNSNSFQQRTAPYAGYDNAGASTPSYTGYDSQVCPICLTNPKDMAFGCGHQTCCDCGEYLELCPICRSSIQTRIRLY >ONI30284 pep chromosome:Prunus_persica_NCBIv2:G1:25590177:25593774:-1 gene:PRUPE_1G242100 transcript:ONI30284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSSKDSSPRLFSHGGSTASSSSWDQYGYPQPSRPPQNPYCTPQHHYAPSPSFNHVSRPVTVPNPRRRLDRKYSKIADNYSSLDEVTSALAQAGLESSNLIVGIDFTKSNEWTGSRSFNRKSLHHIGNVQNPYEQAISIIGKTLSVFDEDNLIPCFGFGDASTHDQDVFSFYQDEHYCNGFEEVLARYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTKHGQLSSQEQKTIDAIVKASVYPLSIILVGIGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKKVDLSRKQTEFALSALMEIPSQYKATLELGILGTRNGNYPNMVPLPPPHYAAVSSGSSNTKLYSNSNSFQQRTAPYAGYDNAGASTPSYTGYDSQVCPICLTNPKDMAFGCGHQTCCDCGEYLELCPICRSSIQTRIRLY >ONI26200 pep chromosome:Prunus_persica_NCBIv2:G1:728129:733831:1 gene:PRUPE_1G009000 transcript:ONI26200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFFNFISFYNTIMKLIFLGSSFSIVWYIRRHRIVRRSYDKDQDTFRHLFLLLPCLLLALVINERFTFKEVLWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEEHYVHWITWIAGTIQTLLYGDFFYYYFQSWKNNVRLELPA >ONI26201 pep chromosome:Prunus_persica_NCBIv2:G1:729242:733831:1 gene:PRUPE_1G009000 transcript:ONI26201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIFLGSSFSIVWYIRRHRIVRRSYDKDQDTFRHLFLLLPCLLLALVINERFTFKEVLWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEEHYVHWITWIAGTIQTLLYGDFFYYYFQSWKNNVRLELPA >ONI26614 pep chromosome:Prunus_persica_NCBIv2:G1:2446418:2447221:1 gene:PRUPE_1G034900 transcript:ONI26614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLEKRGDLFFLTLTCDDEHRLSLTLIDSLLSALSQAKSQATRGSVLVTTAHGKFFSNGFDLGWAQAAGSASAARARLSQMVAAFKPVVAALLSLPMPTVAAVQGHAAAAGFLLALSHDYFLMRRDRGVLYMSEVDLGLPFPDYFTAAFLAKIGSVSGRRDVMLRGMKLKGDEAVKLGIVESAHDSAESTVEAAVRLGEQLAKRKWNGDVYAEIRKSLYPELCGVVGVGVASPKAKL >ONI29851 pep chromosome:Prunus_persica_NCBIv2:G1:23021335:23026772:-1 gene:PRUPE_1G217600 transcript:ONI29851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAGSNCTGWNNFKEIEETLGGLMGKDESAKVVEDVEEGEISDSTSVEEISEEDFVKQEKQEAKVPPMESKSNGGDASRVWTMRDIYNYPGFRGYGSGLANLAWAQAVQNKPLNELFVMDVDSEEKLKRSSSSLSVNSSSREDRSNAKEMDKVVIVDSGDEMDVEKEEGELEEGEIDLDSEPADNENAAAGEAKDGVLNSNNMNIDSSVTDLKNDPPLFSPEQMKEIGVMISSVDFRDVLVRTKAGIKENEIQIIDEVNNKDSDASAVNASHALTSSANFASDSAVVHNNPIMLSEVPRPGVSSLKGRGVLLPLLDLHKDHDADSLPSPTRETPSCFPVQNTLVVADGMVKSASDTATARVALNAEDSRLHSYETEALKAVSSYQQKFNRSSFLMSERLPSPTPSEDGGNGDDDTGGEVSSSFASNLRTSCPPISGRQIVSPSPIPVGSPSMQGRATAKSAAPPNSEPSMTIKASAKSRDPRLRFANSDMGALNLNQQPSTVVHSAPKVDSVITLSSRKQKPLEESRFDGPALKRQRNALENSGIVGDAKTASGSGGWLEDIGGVGPHLNSKNQTVENAETDPRNVVKVLSSPSTVDCNTNGPNSANEHVSLMGASMASLPELLKDIAVNPTMLLNLLKMGQQQRVASEAHQKSADPPKTMTHPTSSSSILVSAALGNVPSKTSGILQTPAGTLPVSSQKALMDESGKVRMKPRDPRRALHGNALQKSGSLGQEQFRNIIPPLSAIQGNKDNLNGQADKKLVTSQSLDAPDITRQFTKNLKNIADIMSVSNVSTSPAIASQSVSSQLVPIKPERIDLKPEEQRPESISASEAAAAGPSRSPVMWGDVEHLFEGYDDQQKAAIQRERTRRIEEQKKMFAAHKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPQRHLFRFHHMGMWTKLRPGIWNFLEKASQLFELHLYTMGNKLYATEMAKVLDPTGALFAGRVISRGDDGDPEDGDERIPKSKDLEGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYTYFPCSRRQFGLLGPSLLEIDHDERQEDGTLASSLAVIEKIHQLFFSHSSLDEADVRNILASEQRKILAGCRIVFSRVFPVGEVKPHLHPLWQTAEQFGAVCTNQIDDQVTHVVANSLGTDKVNWALSSGKYVVHPGWVEASALLYRRANEQDFAIKP >ONI33268 pep chromosome:Prunus_persica_NCBIv2:G1:36028970:36030115:1 gene:PRUPE_1G413500 transcript:ONI33268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASRAPPYGLTQEEFAEVESLIKTYHKFEPSPNTCTSLIAQKIDAPASHVWPLVRSFDNPQKYKHFIKSCNMKGDGGVGSIREVTVVSGLPASTSTERLEILDDEKHIISFRVVGGEHRLNNYRSVTSVTEFVDGRDGVSDQVYSIVLESYIVDIPEGNTGEDTKMFVDTVIKLNLQKLGVVAMNSAHGIGGQEIEES >ONI32937 pep chromosome:Prunus_persica_NCBIv2:G1:35083671:35085310:1 gene:PRUPE_1G394900 transcript:ONI32937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQPGSPSAAQLVLEEELVKCYCCGLTEECTLEYIATVKERHQGRWICGLCAEAIKDENSRSHRGLSDEEAMKRHASFRDEFRLSSPPTKSAEELISAMKQLLRRTLNSLKKEGLGCQPALSRSKSFFVHVAKAQAD >ONI28973 pep chromosome:Prunus_persica_NCBIv2:G1:14441674:14444534:-1 gene:PRUPE_1G172800 transcript:ONI28973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEIGRKKKTAAAAKKDTPEVIGEATTEEAKKSNHVARKLEKRQQGRTLDGHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAGAAA >ONI28972 pep chromosome:Prunus_persica_NCBIv2:G1:14441615:14444660:-1 gene:PRUPE_1G172800 transcript:ONI28972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEIGRKKKTAAAAKKDTPEEGEATTEEAKKSNHVARKLEKRQQGRTLDGHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAGAAA >ONI31620 pep chromosome:Prunus_persica_NCBIv2:G1:30825221:30827499:-1 gene:PRUPE_1G322300 transcript:ONI31620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYLMELYRDNLEYSGDVMSAIVPLMKLLSLTVIGLVLSHPKSQMIPRATFKLLSKLVFALFLPCLIFTELGESITLKNFVQWWFIPVNVLVSTLIGCLLGYLVVIICRPPAELNRFTIIMTAFGNTGNLPLAVVGSVCHTPKNPFGPHCHSRGVAYVSFAQWVAVILVYTLVYHMMEPPLEYYEIVEEGVEIDETQTNDMSRPLLVEAEWPGIEEKETEHSKTPFIARVFKSISSVSQSTLQDADLSGEGGGNSPRSIRCLAEPRVVRRMRIVAEQTPIRHILQPPTIASLFAIIIGTVPLLKAFFFGDDAPLSFLTDSLEILAGAMVPSVMLILGGMLAEGPNDSTLGLRTTIGLCF >ONI31618 pep chromosome:Prunus_persica_NCBIv2:G1:30825273:30827306:-1 gene:PRUPE_1G322300 transcript:ONI31618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYLMELYRDNLEYSGDVMSAIVPLMKLLSLTVIGLVLSHPKSQMIPRATFKLLSKLVFALFLPCLIFTELGESITLKNFVQWWFIPVNVLVSTLIGCLLGYLVVIICRPPAELNRFTIIMTAFGNTGNLPLAVVGSVCHTPKNPFGPHCHSRGVAYVSFAQWVAVILVYTLVYHMMEPPLEYYEIVEEGVEIDETQTNDMSRPLLVEAEWPGIEEKETEHSKTPFIARVFKSISSVSQSTLQDADLSGEGGGNSPRSIRCLAEPRVVRRMRIVAEQTPIRHILQPPTIASLFAIIIGTVPLLKAFFFGDDAPLSFLTDSLEILAGAMVPSVMLILGGMLAEGPNDSTLGLRTTIGITVARLLLLPMLGIGIVALADKLNFLVDGDAMYRFVLLMQYTTPSAILLGAIASLRGYAVSEASALLFWQHVFALFSLSLYIVIYFKLVTYV >ONI31619 pep chromosome:Prunus_persica_NCBIv2:G1:30825223:30827467:-1 gene:PRUPE_1G322300 transcript:ONI31619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYLMELYRDNLEYSGDVMSAIVPLMKLLSLTVIGLVLSHPKSQMIPRATFKLLSKLVFALFLPCLIFTELGESITLKNFVQWWFIPVNVLVSTLIGCLLGYLVVIICRPPAELNRFTIIMTAFGNTGNLPLAVVGSVCHTPKNPFGPHCHSRGVAYVSFAQWVAVILVYTLVYHMMEPPLEYYEIVEEGVEIDETQTNDMSRPLLVEAEWPGIEEKETEHSKTPFIARVFKSISSVSQSTLQDADLSGEGGGNSPRSIRCLAEPRVVRRMRIVAEQTPIRHILQPPTIASLFAIIIGTVPLLKAFFFGDDAPLSFLTDSLEILAGAMVPSVMLILGGMLAEGPNDSTLGLRTTIGLCF >ONI31617 pep chromosome:Prunus_persica_NCBIv2:G1:30825157:30827499:-1 gene:PRUPE_1G322300 transcript:ONI31617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYLMELYRDNLEYSGDVMSAIVPLMKLLSLTVIGLVLSHPKSQMIPRATFKLLSKLVFALFLPCLIFTELGESITLKNFVQWWFIPVNVLVSTLIGCLLGYLVVIICRPPAELNRFTIIMTAFGNTGNLPLAVVGSVCHTPKNPFGPHCHSRGVAYVSFAQWVAVILVYTLVYHMMEPPLEYYEIVEEGVEIDETQTNDMSRPLLVEAEWPGIEEKETEHSKTPFIARVFKSISSVSQSTLQDADLSGEGGGNSPRSIRCLAEPRVVRRMRIVAEQTPIRHILQPPTIASLFAIIIGTVPLLKAFFFGDDAPLSFLTDSLEILAGAMVPSVMLILGGMLAEGPNDSTLGLRTTIGITVARLLLLPMLGIGIVALADKLNFLVDGDAMYRFVLLMQYTTPSAILLGAIASLRGYAVSEASALLFWQHVFALFSLSLYIVIYFKLVTYV >ONI34374 pep chromosome:Prunus_persica_NCBIv2:G1:40064788:40069844:-1 gene:PRUPE_1G479100 transcript:ONI34374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSPFAHVKHFPLFHVAGRISGHVTSSSTSQLSEFDLLCRRMRKASSISALLFLGFVRSPSSKLRFNALRSYCDRISPSSIKVPGPLTQYKSLVEQGKLQHDPNQEAVAFQLEKLLGRLEQYEKEMAEYHERLAKWSTERENERCKLLMEEAESQQKDDVWKSVTNQGNKFVQRWLSRKKPENVEPGVGKWVSYLNRERKLDSVVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSATEGIVKHRKRYHFHEAMLKINEQMHQIWKNQVEEKSLHSSLSNWVMNLPFDSRVKEWVAAEERYKQEVQMKNILPAVADKFIIDWQADQKGGSILCFDEIQTVDVFAIVALSGIVSRLLSNGTVLVATSNRAPKDLNQDGMQREIFQKFVNKMGEHCENVLIGSEIDYRRVIAQSQRSMGQVHYFWPSDSIALEKFEKKWHEVTNQVGGQITSSTIRVMFGRTLEVPESSNGVARFTFEYLCGRPLGAADYIAVAENYHTVFISGIPMMSMRIRDKARRFITLVDELYNHHCRLFCSAASSIDELFQGTEEGALFDLESFQFETETEGSKLRRNVLAEGSVSSGGAPTGIVSMLSGQEEMFAFRRAVSRLIEMQTPVYLEGVHSLHPHFQRQRREFENIYTGNIQPQLSF >ONI34375 pep chromosome:Prunus_persica_NCBIv2:G1:40064822:40069660:-1 gene:PRUPE_1G479100 transcript:ONI34375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKASSISALLFLGFVRSPSSKLRFNALRSYCDRISPSSIKVPGPLTQYKSLVEQGKLQHDPNQEAVAFQLEKLLGRLEQYEKEMAEYHERLAKWSTERENERCKLLMEEAESQQKDDVWKSVTNQGNKFVQRWLSRKKPENVEPGVGKWVSYLNRERKLDSVVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSATEGIVKHRKRYHFHEAMLKINEQMHQIWKNQVEEKSLHSSLSNWVMNLPFDSRVKEWVAAEERYKQEVQMKNILPAVADKFIIDWQADQKGGSILCFDEIQTVDVFAIVALSGIVSRLLSNGTVLVATSNRAPKDLNQDGMQREIFQKFVNKMGEHCENVLIGSEIDYRRVIAQSQRSMGQVHYFWPSDSIALEKFEKKWHEVTNQVGGQITSSTIRVMFGRTLEVPESSNGVARFTFEYLCGRPLGAADYIAVAENYHTVFISGIPMMSMRIRDKARRFITLVDELYNHHCRLFCSAASSIDELFQGTEEGALFDLESFQFETETEGSKLRRNVLAEGSVSSGGAPTGIVSMLSGQEEMFAFRRAVSRLIEMQTPVYLEGVHSLHPHFQRQRREFENIYTGNIQPQLSF >ONI34377 pep chromosome:Prunus_persica_NCBIv2:G1:40064979:40069102:-1 gene:PRUPE_1G479100 transcript:ONI34377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAESQQKDDVWKSVTNQGNKFVQRWLSRKKPENVEPGVGKWVSYLNRERKLDSVVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSATEGIVKHRKRYHFHEAMLKINEQMHQIWKNQVEEKSLHSSLSNWVMNLPFDSRVKEWVAAEERYKQEVQMKNILPAVADKFIIDWQADQKGGSILCFDEIQTVDVFAIVALSGIVSRLLSNGTVLVATSNRAPKDLNQDGMQREIFQKFVNKMGEHCENVLIGSEIDYRRVIAQSQRSMGQVHYFWPSDSIALEKFEKKWHEVTNQVGGQITSSTIRVMFGRTLEVPESSNGVARFTFEYLCGRPLGAADYIAVAENYHTVFISGIPMMSMRIRDKARRFITLVDELYNHHCRLFCSAASSIDELFQGTEEGALFDLESFQFETETEGSKLRRNVLAEGSVSSGGAPTGIVSMLSGQEEMFAFRRAVSRLIEMQTPVYLEGVHSLHPHFQRQRREFENIYTGNIQPQLSF >ONI34376 pep chromosome:Prunus_persica_NCBIv2:G1:40064822:40069650:-1 gene:PRUPE_1G479100 transcript:ONI34376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFVLTVIESRLPRLKFQYKSLVEQGKLQHDPNQEAVAFQLEKLLGRLEQYEKEMAEYHERLAKWSTERENERCKLLMEEAESQQKDDVWKSVTNQGNKFVQRWLSRKKPENVEPGVGKWVSYLNRERKLDSVVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSATEGIVKHRKRYHFHEAMLKINEQMHQIWKNQVEEKSLHSSLSNWVMNLPFDSRVKEWVAAEERYKQEVQMKNILPAVADKFIIDWQADQKGGSILCFDEIQTVDVFAIVALSGIVSRLLSNGTVLVATSNRAPKDLNQDGMQREIFQKFVNKMGEHCENVLIGSEIDYRRVIAQSQRSMGQVHYFWPSDSIALEKFEKKWHEVTNQVGGQITSSTIRVMFGRTLEVPESSNGVARFTFEYLCGRPLGAADYIAVAENYHTVFISGIPMMSMRIRDKARRFITLVDELYNHHCRLFCSAASSIDELFQGTEEGALFDLESFQFETETEGSKLRRNVLAEGSVSSGGAPTGIVSMLSGQEEMFAFRRAVSRLIEMQTPVYLEGVHSLHPHFQRQRREFENIYTGNIQPQLSF >ONI34378 pep chromosome:Prunus_persica_NCBIv2:G1:40064822:40069844:-1 gene:PRUPE_1G479100 transcript:ONI34378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSPFAHVKHFPLFHVAGRISGHVTSSSTSQLSEFDLLCRRMRKASSISALLFLGFVRSPSSKLRFNALRSYCDRISPSSIKVPGPLTQYKSLVEQGKLQHDPNQEAVAFQLEKLLGRLEQYEKEMAEYHERLAKWSTERENERCKLLMEEAESQQKDDVWKSVTNQGNKFVQRWLSRKKPENVEPGVGKWVSYLNRERKLDSVVGRRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSATEGIVKHRKRYHFHEAMLKINEQMHQIWKNQVEEKSLHSSLSNWVMNLPFDSRVKEWVAAEERYKQEVQMKNILPAVADKFIIDWQADQKGGSILCFDEIQTVDVFAIVALSGIVSRLLSNGTVLVATSNRAPKDLNQDGMQREIFQKFVNKMGEHCENVLIGSEIDYRRVIAQSQRSMGQVHYFWPSDSIALEKFEKKWHEVTNQVGGQITSSTIRVMFGRTLEVPESSNGVARFTFEYLCGRPLGAADYIAVAENYHTVFISGIPMMSMRIRDKLLLLTNYFKELKRVHFLIWRVSSLKQRQRVQSFDAMF >ONI32170 pep chromosome:Prunus_persica_NCBIv2:G1:32748205:32751957:1 gene:PRUPE_1G352200 transcript:ONI32170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMRKKDADGAVVPTTTIVMALMLFSFISPPMLMGVSASQRTRMMVPETQEQHRRNLLANGLALTPPMGWNSWNHFNCKIDEKIIKATADALISTGLSKLGYTYINIDDCWAEIARDDKGNLAPKKSTFPSGIKSLADYVHSKGLKLGIYSDAGYFTCSKTMPGSLGHEEQDANTFAAWGIDYLKYDNCNNDGSTPTARYPVMTRALMKAGRPIFFSLCEWGDMHPALWGAKVGNSWRTTKDISDTWESMVSRADMNEIYAEFARPGGWNDPDMLEVGNGGMTKDEYVVHFSIWAISKAPLLLGCDVRNITKETMEIVANKEVISVNQDPLGVQAKKVRMEGDLEVWAGPLSGYRVALLLVNQGPSRTSITANWDDVGIPTNSVVEARDLWEHKTLNARFVGNLTATVGSHACKMYVLKPVS >ONI32171 pep chromosome:Prunus_persica_NCBIv2:G1:32748435:32751608:1 gene:PRUPE_1G352200 transcript:ONI32171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMRKKDADGAVVPTTTIVMALMLFSFISPPMLMGVSASQRTRMMVPETQEQHRRNLLANGLALTPPMGWNSWNHFNCKIDEKIIKATADALISTGLSKLGYTYINIDDCWAEIARDDKGNLAPKKSTFPSGIKSLADYVHSKGLKLGIYSDAGYFTCSKTMPGSLGHEEQDANTFAAWGIDYLKYDNCNNDGSTPTARYPVMTRALMKAGRPIFFSLCECMVSRADMNEIYAEFARPGGWNDPDMLEVGNGGMTKDEYVVHFSIWAISKAPLLLGCDVRNITKETMEIVANKEVISVNQDPLGVQAKKVRMEGDLEVWAGPLSGYRVALLLVNQGPSRTSITANWDDVGIPTNSVVEARDLWEHKTLNARFVGNLTATVGSHACKMYVLKPVS >ONI33342 pep chromosome:Prunus_persica_NCBIv2:G1:36244161:36247167:1 gene:PRUPE_1G418000 transcript:ONI33342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDDGNRNRNKNGPRTPPNRPYQEREEDMKIWGILVFGLIGATATTLALFRSQSSWKGGSGSSFRTSFQEEAWKKYNRRMQEEYEEELERVERIRRMQSVFNRERNKYKSSYESWRENGQGAYHQHFQREDWYWKTDTSFKDRRNNYRKTPRENASYLLSHHYSVLGLDRFRKAPYTDAEIKTAFRTKAKQFHPDQNQDNKEAAEAKFKEVMTSYEAIKKERGNTNL >ONI33341 pep chromosome:Prunus_persica_NCBIv2:G1:36244161:36247167:1 gene:PRUPE_1G418000 transcript:ONI33341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDDGNRNRNKNGPRTPPNRPYQEREEDMKIWGILVFGLIGATATTLAVSQLRRTVDWVYTQLFRSQSSWKGGSGSSFRTSFQEEAWKKYNRRMQEEYEEELERVERIRRMQSVFNRERNKYKSSYESWRENGQGAYHQHFQREDWYWKTDTSFKDRRNNYRKTPRENASYLLSHHYSVLGLDRFRKAPYTDAEIKTAFRTKAKQFHPDQNQDNKEAAEAKFKEVMTSYEAIKKERGNTNL >ONI30910 pep chromosome:Prunus_persica_NCBIv2:G1:28437600:28440515:-1 gene:PRUPE_1G281000 transcript:ONI30910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAALKFENPIRDAISRIRFAPKSDSLLISSWDSSLRLYDVGNSALRLEASSEAALLDCCFQNESVAFSAGSDGFIRRYDLHSGIHDSIGNHDDTSTCVGYSDETCQVITAGLDKKILSWDTRTPKGIACVRNMDSEVMSMSLSGFDMMVAVGASIYVYDLRRLEKPFQSKDSHMGVQIVCVSSIPYAKGFAVGSVDGRVALEISCPSNSDDIRYMFRCHPKSTDSKYHLVSVNDIVFNPIIYGAFVTGDNEGYVTAWDATSKRRLFQLPRYPNSVASLSYDHKGQLLAVASSYTYQEANEIEEPPLVFIHEVDNDNMRSVSVGSSSRR >ONI30911 pep chromosome:Prunus_persica_NCBIv2:G1:28437600:28440493:-1 gene:PRUPE_1G281000 transcript:ONI30911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAALKFENPIRDAISRIRFAPKSDSLLISSWDSSLRLYDVGNSALRLEASSEAALLDCCFQNESVAFSAGSDGFIRRYDLHSGIHDSIGNHDDTSTCVGYSDETCQVITAGLDKKILSWDTRTPKGIACVRNMDSEVMSMSLSGFDMMVAVGASIYVYDLRRLEKPFQSKDSHMGVQIVCVSSIPYAKGFAVGSVDGRVALEISCPSNSDDIRYMFRCHPKSTDSKYHLVSVNDIVFNPIIYGAFVTGDNEGYVTAWDATSKRRLFQLPRYPNSVASLSYDHKGQLLAVASSYTYQEANEIEEPPLVFIHEVDNDNMRSVSVGSSSRR >ONI30912 pep chromosome:Prunus_persica_NCBIv2:G1:28438112:28440493:-1 gene:PRUPE_1G281000 transcript:ONI30912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAALKFENPIRDAISRIRFAPKSDSLLISSWDSSLRLYDVGNSALRLEASSEAALLDCCFQNESVAFSAGSDGFIRRYDLHSGIHDSIGNHDDTSTCVGYSDETCQVITAGLDKKILSWDTRTPKGIACVRNMDSEVMSMSLSGFDMMVAVGASIYVYDLRRLEKPFQSKDSHMGVQIVCVSSIPYAKGFAVGSVDGRVALEISCPSNSDDIRYMFRCHPKSTDSKYHLVSVNDIVFNPMYV >ONI26595 pep chromosome:Prunus_persica_NCBIv2:G1:2327796:2336254:1 gene:PRUPE_1G033500 transcript:ONI26595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHDLPFIAGQEVESRSFIAGFRGAWFRCKIIEIAWRMGQISTSLEYFDFPGEKITWTKIYQASRAYSKKSSKSRKLQLMLRPYFPPVYHETTMPDVNTIAEVVVLVGDVWKVGDLVDWWKDGCYWSGRVTEVLGNEKVKIELPPPPVGEGCSYEVSCKDLRPSLDWSPENGWTVPTTESENGHPCARIMKPCNQGGISSLTARSVGDGRKGVQAIAGASHPSSQVSASSLQPLDGLEEMAKQPLGAITAKLTPTPETNVDSDMADSGIGKTSCSDSVSSSHIKNASKGMGRSTTGKDRVDNNGSLKKLKTDQSIVLNSMSSDTLEGAILDLEELVNRVKWMKGVLEIGMPLTSAMRPTWKFL >ONI26592 pep chromosome:Prunus_persica_NCBIv2:G1:2327796:2337046:1 gene:PRUPE_1G033500 transcript:ONI26592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHDLPFIAGQEVESRSFIAGFRGAWFRCKIIEIAWRMGQISTSLEYFDFPGEKITWTKIYQASRAYSKKSSKSRKLQLMLRPYFPPVYHETTMPDVNTIAEVVVLVGDVWKVGDLVDWWKDGCYWSGRVTEVLGNEKVKIELPPPPVGEGCSYEVSCKDLRPSLDWSPENGWTVPTTESENGHPCARIMKPCNQGGISSLTARSVGDGRKGVQAIAGASHPSSQVSASSLQPLDGLEEMAKQPLGAITAKLTPTPETNVDSDMADSGIGKTSCSDSVSSSHIKNASKGMGRSTTGKDRVDNNGSLKKLKTDQSIVLNSMSSDTLEGAILDLEELVNRVKWMKGVLEIGMPLTSAMRPTWKFL >ONI26593 pep chromosome:Prunus_persica_NCBIv2:G1:2327795:2337046:1 gene:PRUPE_1G033500 transcript:ONI26593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHDLPFIAGQEVESRSFIAGFRGAWFRCKIIEIAWRMGQISTSLEYFDFPGEKITWTKIYQASRAYSKKSSKSRKLQLMLRPYFPPVYHETTMPDVNTIAEVVVLVGDVWKVGDLVDWWKDGCYWSGRVTEVLGNEKVKIELPPPPVGEGCSYEVSCKDLRPSLDWSPENGWTVPTTESENGHPCARIMKPCNQGGISSLTARSVGDGRKGVQAIAGASHPSSQVSASSLQPLDGLEEMAKQPLGAITAKLTPTPETNVDSDMADSGIGKTSCSDSVSSSHIKNASKGMGRSTTGKDRVDNNGSLKKLKTDQSIVLNSMSSDTLEGAILDLEELVNRVKWMKGVLEIGMPLTSAMRPTWKFL >ONI26597 pep chromosome:Prunus_persica_NCBIv2:G1:2332102:2337046:1 gene:PRUPE_1G033500 transcript:ONI26597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPYFPPVYHETTMPDVNTIAEVVVLVGDVWKVGDLVDWWKDGCYWSGRVTEVLGNEKVKIELPPPPVGEGCSYEVSCKDLRPSLDWSPENGWTVPTTESENGHPCARIMKPCNQGGISSLTARSVGDGRKGVQAIAGASHPSSQVSASSLQPLDGLEEMAKQPLGAITAKLTPTPETNVDSDMADSGIGKTSCSDSVSSSHIKNASKGMGRSTTGKDRVDNNGSLKKLKTDQSIVLNSMSSDTLEGAILDLEELVNRVKWMKGVLEIGMPLTSAMRPTWKFL >ONI26596 pep chromosome:Prunus_persica_NCBIv2:G1:2332102:2335793:1 gene:PRUPE_1G033500 transcript:ONI26596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPYFPPVYHETTMPDVNTIAEVVVLVGDVWKVGDLVDWWKDGCYWSGRVTEVLGNEKVKIELPPPPVGEGCSYEVSCKDLRPSLDWSPENGWTVPTTESENGHPCARIMKPCNQGGISSLTARSVGDGRKGVQAIAGASHPSSQVSASSLQPLDGLEEMAKQPLGAITAKLTPTPETNVDSDMADSGIGKTSCSDSVSSSHIKNASKGMGRSTTGKDRVDNNGSLKKLKTDQSIVLNSMSSDTLEGAILDLEELVNRVKWMKGVLEIGMPLTSAMRPTWKFL >ONI26594 pep chromosome:Prunus_persica_NCBIv2:G1:2327795:2337046:1 gene:PRUPE_1G033500 transcript:ONI26594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHDLPFIAGQEVESRSFIAGFRGAWFRCKIIEIAWRMGQISTSLEYFDFPGEKITWTKIYQASRAYSKKSSKSRKLQLMLRPYFPPVYHETTMPDVNTIAEVVVLVGDVWKVGDLVDWWKDGCYWSGRVTEVLGNEKVKIELPPPPVGEGCSYEVSCKDLRPSLDWSPENGWTVPTTESENGHPCARIMKPCNQGGISSLTARSVGDGRKGVQAIAGASHPSSQVSASSLQPLDGLEEMAKQPLGAITAKLTPTPETNVDSDMADSGIGKTSCSDSVSSSHIKNASKGMGRSTTGKDRVDNNGSLKKLKTDQSIVLNSMSSDTLEGAILDLEELVNRVKWMKGVLEIGMPLTSAMRPTWKFL >ONI30332 pep chromosome:Prunus_persica_NCBIv2:G1:25820534:25824380:1 gene:PRUPE_1G244800 transcript:ONI30332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDEVIWQVIRHKHCSFMSKIETGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLMYWPKFLVHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKSIERELLERLKKGVYGDAYKDIYNYPFDKYQKVLEGEEMQLDTEREEEEEEPEIEYVEGYDELEEEDDIEDFAGFSMDNSHADDDFVGSDEEAEAVIRKRERKESAFASRRFEKDEPAAKSKKPRVLVEVEHEDAGVRQKAVH >ONI30331 pep chromosome:Prunus_persica_NCBIv2:G1:25820534:25824380:1 gene:PRUPE_1G244800 transcript:ONI30331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNDEVIWQVIRHKHCSFMSKIETGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLMYWPKFLVHKTKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKSIERELLERLKKGVYGDAYKDIYNYPFDKYQKVLEGEEMQLDTEREEEEEQEPEIEYVEGYDELEEEDDIEDFAGFSMDNSHADDDFVGSDEEAEAVIRKRERKESAFASRRFEKDEPAAKSKKPRVLVEVEHEDAGVRQKAVH >ONI32723 pep chromosome:Prunus_persica_NCBIv2:G1:34379837:34383181:1 gene:PRUPE_1G382000 transcript:ONI32723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSHLSKLRTALQRSIVGQEGGLQDSLDGLIGQGKLLFGNSKLFQSRPFSTISDLHAFLSPGTVFAARSDSQLVNQRKNISVVGEISRIISTPSVSGPSLQVCGYHIDCALSEPCQFITRSKFQNKPMAACGSRTVVGGCYPDNFTSRRGLLSMVPESSCTFYNNRKGSDCFQAASMSLKKRGLSNTNAIFGYFIYEVGKRWSNSSPTKGSGSREFHSSSTCLSAGTAHDVSFDNSAPEEQLSSSADSSDRKVTDGKSLKLTSGSYYLPHPDKEETGGEDAHFICVNEQAIGVADGVGGWADLGVNSGLYSRELMSNSVAAVQEEPKGSVDPARVLEKAHSSTKAKGSSTACIIALTEQPDVVKLTSEV >ONI32722 pep chromosome:Prunus_persica_NCBIv2:G1:34379837:34383181:1 gene:PRUPE_1G382000 transcript:ONI32722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSHLSKLRTALQRSIVGQEGGLQDSLDGLIGQGKLLFGNSKLFQSRPFSTISDLHAFLSPGTVFAARSDSQLVNQRKNISVVGEISRIISTPSVSGPSLQVCGYHIDCALSEPCQFITRSKFQNKPMAACGSRTVVGGCYPDNFTSRRGLLSMVPESSCTFYNNRKGSDCFQAASMSLKKRGLSNTNAIFGYFIYEVGKRWSNSSPTKGSGSREFHSSSTCLSAGTAHDVSFDNSAPEEQLSSSADSSDRKVTDGKSLKLTSGSYYLPHPDKEETGGEDAHFICVNEQAIGVADGVGGWADLGVNSGLYSRELMSNSVAAVQEEPKGSVDPARVLEKAHSSTKAKGSSTACIIALTEQGIHAINLGDSGFIVVRDGCTVFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFTVPVAPGDVIIAGTDGLFDNLYNNEITAVVVHAIRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYVTSSSDA >ONI32724 pep chromosome:Prunus_persica_NCBIv2:G1:34380650:34381897:1 gene:PRUPE_1G382000 transcript:ONI32724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSHLSKLRTALQRSIVGQEGGLQDSLDGLIGQGKLLFGNSKLFQSRPFSTISDLHAFLSPGTVFAARSDSQLVNQRKNISVVGEISRIISTPSVSGPSLQVCGYHIDCALSEPCQFITRSKFQNKPMAACGSRTVVGGCYPDNFTSRRGLLSMVPESSCTFYNNRKGSDCFQAASMSLKKRGLSNTNAIFGYFIYEVGKRWSNSSPTKGSGSREFHSSSTCLSAGTAHDVSFDNSAPEEQLSSSADSSDRKVTDGKSLKLTSGSYYLPHPDKEETGGEDAHFICVNEQAIGVADGVGGWADLGVNSGLYSRELMSNSVAAVQEEPKGSVDPARVLEKAHSSTKAKGSSTACIIALTEQVWNFPSF >ONI33775 pep chromosome:Prunus_persica_NCBIv2:G1:37699184:37703835:-1 gene:PRUPE_1G445200 transcript:ONI33775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVFSHFLANSSPRLATSLRCYGGFQIRRWKRPSSNPQHRLLSARNGSGFRCCCSASLLQPAGPETSPSSVKKRIVSGVQPTGSIHLGNYLGAIRNWILLQNTYDTFFFIVDLHAITLPYDAQQLSKATRDTAALYLACGVDTSKASVFVQSHVRAHAELMWLLSSVTPVGWLNRMIQFKEKSRKAGDENVGVGLLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNHLFGGRKWKKLGGRGGSVFKVPEPLIPPVGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDHGI >ONI33773 pep chromosome:Prunus_persica_NCBIv2:G1:37699184:37703835:-1 gene:PRUPE_1G445200 transcript:ONI33773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVFSHFLANSSPRLATSLCYGGFQIRRWKRPSSNPQHRLLSARNGSGFRCCCSASLLQPAGPETSPSSVKKRIVSGVQPTGSIHLGNYLGAIRNWILLQNTYDTFFFIVDLHAITLPYDAQQLSKATRDTAALYLACGVDTSKASVFVQSHVRAHAELMWLLSSVTPVGWLNRMIQFKEKSRKAGDENVGVGLLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNHLFGGRKWKKLGGRGGSVFKVPEPLIPPVGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDHGI >ONI33770 pep chromosome:Prunus_persica_NCBIv2:G1:37698074:37703835:-1 gene:PRUPE_1G445200 transcript:ONI33770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVFSHFLANSSPRLATSLRCYGGFQIRRWKRPSSNPQHRLLSARNGSGFRCCCSASLLQPAGPETSPSSVKKRIVSGVQPTGSIHLGNYLGAIRNWILLQNTYDTFFFIVDLHAITLPYDAQQLSKATRDTAALYLACGVDTSKASVFVQSHVRAHAELMWLLSSVTPVGWLNRMIQFKEKSRKAGDENVGVGLLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNHLFGGRKWKKLGGRGGSVFKVPEPLIPPVGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGMEFDNPERPECNNLLSIYQLISGKTKEEVAEECRDMNWGTFKSLLADALIDHLQPIQKVLRKLQV >ONI33772 pep chromosome:Prunus_persica_NCBIv2:G1:37697608:37704005:-1 gene:PRUPE_1G445200 transcript:ONI33772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVFSHFLANSSPRLATSLCYGGFQIRRWKRPSSNPQHRLLSARNGSGFRCCCSASLLQPAGPETSPSSVKKRIVSGVQPTGSIHLGNYLGAIRNWILLQNTYDTFFFIVDLHAITLPYDAQQLSKATRDTAALYLACGVDTSKASVFVQSHVRAHAELMWLLSSVTPVGWLNRMIQFKEKSRKAGDENVGVGLLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNHLFGGRKWKKLGGRGGSVFKVPEPLIPPVGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDHGI >ONI33769 pep chromosome:Prunus_persica_NCBIv2:G1:37697608:37704005:-1 gene:PRUPE_1G445200 transcript:ONI33769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVFSHFLANSSPRLATSLCYGGFQIRRWKRPSSNPQHRLLSARNGSGFRCCCSASLLQPAGPETSPSSVKKRIVSGVQPTGSIHLGNYLGAIRNWILLQNTYDTFFFIVDLHAITLPYDAQQLSKATRDTAALYLACGVDTSKASVFVQSHVRAHAELMWLLSSVTPVGWLNRMIQFKEKSRKAGDENVGVGLLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNHLFGGRKWKKLGGRGGSVFKVPEPLIPPVGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGMEFDNPERPECNNLLSIYQLISGKTKEEVAEECRDMNWGTFKSLLADALIDHLQPIQKVLRKLQV >ONI33767 pep chromosome:Prunus_persica_NCBIv2:G1:37698021:37703835:-1 gene:PRUPE_1G445200 transcript:ONI33767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVFSHFLANSSPRLATSLCYGGFQIRRWKRPSSNPQHRLLSARNGSGFRCCCSASLLQPAGPETSPSSVKKRIVSGVQPTGSIHLGNYLGAIRNWILLQNTYDTFFFIVDLHAITLPYDAQQLSKATRDTAALYLACGVDTSKASVFVQSHVRAHAELMWLLSSVTPVGWLNRMIQFKEKSRKAGDENVGVGLLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNHLFGGRKWKKLGGRGGSVFKVPEPLIPPVGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGMEFDNPERPECNNLLSIYQLISGKTKEEVAEECRDMNWGTFKSLLADALIDHLQPIQVRYEEIISDSAYLDGILAEGATKAAGIADATLHNVYQAMGFLRR >ONI33768 pep chromosome:Prunus_persica_NCBIv2:G1:37698021:37703835:-1 gene:PRUPE_1G445200 transcript:ONI33768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVFSHFLANSSPRLATSLRCYGGFQIRRWKRPSSNPQHRLLSARNGSGFRCCCSASLLQPAGPETSPSSVKKRIVSGVQPTGSIHLGNYLGAIRNWILLQNTYDTFFFIVDLHAITLPYDAQQLSKATRDTAALYLACGVDTSKASVFVQSHVRAHAELMWLLSSVTPVGWLNRMIQFKEKSRKAGDENVGVGLLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNHLFGGRKWKKLGGRGGSVFKVPEPLIPPVGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGMEFDNPERPECNNLLSIYQLISGKTKEEVAEECRDMNWGTFKSLLADALIDHLQPIQVRYEEIISDSAYLDGILAEGATKAAGIADATLHNVYQAMGFLRR >ONI33774 pep chromosome:Prunus_persica_NCBIv2:G1:37697608:37704005:-1 gene:PRUPE_1G445200 transcript:ONI33774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVFSHFLANSSPRLATSLRCYGGFQIRRWKRPSSNPQHRLLSARNGSGFRCCCSASLLQPAGPETSPSSVKKRIVSGVQPTGSIHLGNYLGAIRNWILLQNTYDTFFFIVDLHAITLPYDAQQLSKATRDTAALYLACGVDTSKASVFVQSHVRAHAELMWLLSSVTPVGWLNRMIQFKEKSRKAGDENVGVGLLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNHLFGGRKWKKLGGRGGSVFKVPEPLIPPVGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDHGI >ONI33771 pep chromosome:Prunus_persica_NCBIv2:G1:37698130:37703835:-1 gene:PRUPE_1G445200 transcript:ONI33771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVVFSHFLANSSPRLATSLCYGGFQIRRWKRPSSNPQHRLLSARNGSGFRCCCSASLLQPAGPETSPSSVKKRIVSGVQPTGSIHLGNYLGAIRNWILLQNTYDTFFFIVDLHAITLPYDAQQLSKATRDTAALYLACGVDTSKASVFVQSHVRAHAELMWLLSSVTPVGWLNRMIQFKEKSRKAGDENVGVGLLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNHLFGGRKWKKLGGRGGSVFKVPEPLIPPVGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPGMEFDNPERPECNNLLSIYQLISGKTKEEVAEECRDMNWGTFKSLLADALIDHLQPIQVSTSKYDAFSIGSLRGNHF >ONI29292 pep chromosome:Prunus_persica_NCBIv2:G1:17712894:17717916:-1 gene:PRUPE_1G191600 transcript:ONI29292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNSGGNLSFEIQGKPFQFQVRNEIERKTKLLNDILHSPSTSKMFQIRVSSPSTVAFAIGRIQFNRMPKTRFSAIQSKTEIPTSEPNPGSEGSNDGSSPELRVFARRKRFKKTEEVQKIHLEVQPHAPKLASPPDIEEFAYTKVSASTNSIDTGKPPANWEKVLEGIRKMRSSEDAPVDSMGCEKAGSALPPKERRFAVLVSSLLSSQTKDHVTHGAIQRLLQNNLLAADSIDKAEEATIKSLIYPVGFYTRKATNLKKIAKICLTKYDGDIPSSLDELLSLPGIGPKMAHLVMNVGWNNVQGICVDTHVHRISNRLGWVSREGRKQKTSNPEETREALQLWLPKEEWDPINPLLVGFGQTVCTPLRPHCGVCNVSKFCPSAFKEASSPSSKSKKSGLSKKL >ONI29291 pep chromosome:Prunus_persica_NCBIv2:G1:17712582:17717946:-1 gene:PRUPE_1G191600 transcript:ONI29291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNSGGNLSFEIQGKPFQFQVRNEIERKTKLLNDILHSPSTSKMFQIRVSSPSTVAFAIGRIQFNRMPKTRFSAIQSKTEIPTSEPNPGSEGSNDGSSPELRVFARRKRFKKTEEVQKIHLEVQPHAPKLASPPDIEEFAYTKVSASTNSIDTGKPPANWEKVLEGIRKMRSSEDAPVDSMGCEKAGSALPPKERRFAVLVSSLLSSQTKDHVTHGAIQRLLQNNLLAADSIDKAEEATIKSLIYPVGFYTRKATNLKKIAKICLTKYDGDIPSSLDELLSLPGIGPKMAHLVMNVGWNNVQGICVDTHVHRISNRLGWVSREGRKQVGFGQTVCTPLRPHCGVCNVSKFCPSAFKEASSPSSKSKKSGLSKKL >ONI33181 pep chromosome:Prunus_persica_NCBIv2:G1:35845465:35847721:-1 gene:PRUPE_1G410000 transcript:ONI33181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTILSVYQPSHLASLVPTMQSYIESATQKLDDCSKEEEEVTFSNISLRLATDVIGQAAFGVNFGLSKPQSITDSINKQIGGQDINIDEVSDFINQHIYSTTQLKLDFSGSLSIILGLLVPILQAPFWQILKRIPGTMDRKIERNNQKLTSRLDQIVEKRMKDSGGRGSKNFLSLILNARESETVSKNFFTPDYISALTYEHLLAGSATTSFTLSSVVYLVAAHPEVEKKLLAEIDGFGPPAQMPTAHDLQHKFPYMDQVIKEAMRFYMVSPLVARETSRQVEIGGYLLPKGTWVWLALGVLAKDPKNFPEPHKFKPERFDPSCKEEKQRHPYAFIPFGLGPRSCIGQKFSLQEIKLSLIHLYRKYVFRHTPNMEIPLELEFGIVLKFKYGIKLRVIKRT >ONI27320 pep chromosome:Prunus_persica_NCBIv2:G1:5788552:5802831:-1 gene:PRUPE_1G079600 transcript:ONI27320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQSAQNPRFGKRKIPNKFNTGRKTRRLEEENVAHSPSSTSSGELPKEESNGVSSEDEMVYKEPSMYDKLLMTLGSSSKSVAAAYKQRKRQEEGLSDSETDEDDGTESSSDSDEEDDSEEGVDDEFPMKGKPKDPEIDGIEEQSEDAETEDDLETSDLDDQESSDSGVHDLGISRPSAVEASMCLSSFDVHFGHKLTKAEVENLSKKKWKYEWEVPAVGMSKGKWAGTGECFIKDDNPNSGYGLKPKLYKHWLDVYNTSGGNNFHSSRQRLFFSLFNSYRDILHCNKKPFYHRGSDEDASAMDAYIMHCLNHVFRSRDLVMKNDAKVSKRQETDDNEILADDNFLDHGFTRPKVLIILPIASIALRVVKRLIQLTPSAHKVNVEHMDRFSREFGTEEDHDNEDEKEIPPGDQDYGNVKPHKSTKPGDFQVLFGGNSKDDFMVGIKFTKRSIKLYSDFYTSDMIVASSVGLLKKIDEAKVNKEKDVDYLSSIEVLIVDHADVITMQNWNFLKSVVEQLNHIPSKQHGTDVMRIRQWYLDGYASFYRQTILLSYYSTPDINSLFNKNCNNYHGKVKLVHEHKGVLTKVVLQVRQIYQRFDADSIEHFDDARFEYFTTKVFPKIKDSIEGGIMIFISSYLEYVRVRNFLKSQSASFCLLHEYAGQSDISRARVQFFEGKRKIMLYTERSHFYHRYKIRGIQNLIIYSLPERKEFYPEVVNMLDAHDMACTVLFSHFDLLRLERIVGMAPAKRMVTSKMSMFSFS >ONI27319 pep chromosome:Prunus_persica_NCBIv2:G1:5788158:5804266:-1 gene:PRUPE_1G079600 transcript:ONI27319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQSAQNPRFGKRKIPNKFNTGRKTRRLEEENVAHSPSSTSSGELPKEESNGVSSEDEMVYKEPSMYDKLLMTLGSSSKSVAAAYKQRQEEGLSDSETDEDDGTESSSDSDEEDDSEEGVDDEFPMKGKPKDPEIDGIEEQSEDAETEDDLETSDLDDQESSDSGVHDLGISRPSAVEASMCLSSFDVHFGHKLTKAEVENLSKKKWKYEWEVPAVGMSKGKWAGTGECFIKDDNPNSGYGLKPKLYKHWLDVYNTSGGNNFHSSRQRLFFSLFNSYRDILHCNKKPFYHRGSDEDASAMDAYIMHCLNHVFRSRDLVMKNDAKVSKRQETDDNEILADDNFLDHGFTRPKVLIILPIASIALRVVKRLIQLTPSAHKVNVEHMDRFSREFGTEEDHDNEDEKEIPPGDQDYGNVKPHKSTKPGDFQVLFGGNSKDDFMVGIKFTKRSIKLYSDFYTSDMIVASSVGLLKKIDEAKVNKEKDVDYLSSIEVLIVDHADVITMQNWNFLKSVVEQLNHIPSKQHGTDVMRIRQWYLDGYASFYRQTILLSYYSTPDINSLFNKNCNNYHGKVKLVHEHKGVLTKVVLQVRQIYQRFDADSIEHFDDARFEYFTTKVFPKIKDSIEGGIMIFISSYLEYVRVRNFLKSQSASFCLLHEYAGQSDISRARVQFFEGKRKIMLYTERSHFYHRYKIRGIQNLIIYSLPERKEFYPEVVNMLDAHDMACTVLFSHFDLLRLERIVGMAPAKRMVTSKMSMFSFS >ONI28780 pep chromosome:Prunus_persica_NCBIv2:G1:12857809:12863575:1 gene:PRUPE_1G161100 transcript:ONI28780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDPIPSSEPLDLNTIQRQVRELEEIIESCRQDDASELSPSDSDDLIRNCGLLLQSRVEQIVSECSDVGLLEDQEFEAYVGRFEQELNSVEAESTKVSNGIEDLIRTHGEDFNRLGTDLAQLKCSLDFVEEKDLEKAKLGADVDYHKCGKDLLDPMNVNADKFELLELENQIEKNNIILKSLQDLECTLKWLDNTEQIEDAVTGLKVIAFEGNCVRLSLRTYIPKLEDLFSPKKVGDATEPSEVNHELLIELLEGTMGLRNVEIFPNDVYINDILDAAKSLRKSSLQWFVTKVQDRIVLCTMRRLVVKNENKSRHSLEYLDKDETVVAHVVGGVDAFIKVPQGWPLLSSPLKLIYLKSSDQHSKGISLSFLCTVQELANSLAVRIRQTLSSFVDAIEKILVEQMCSEIHGDASEQ >ONI28781 pep chromosome:Prunus_persica_NCBIv2:G1:12857809:12863575:1 gene:PRUPE_1G161100 transcript:ONI28781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDPIPSSEPLDLNTIQRQVRELEEIIESCRQDDASELSPSDSDDLIRNCGLLLQSRVEQIVSECSDVGLLEDQEFEAYVGRFEQELNSVEAESTKVSNGIEDLIRTHGEDFNRLGTDLAQLKCSLDFVEEKDLEKAKLGADVDYHKCGKDLLDPMNVNADKFELLELENQIEKNNIILKSLQDLECTLKWLDNTEQIEDAVTGLKVIAFEGNCVRLSLRTYIPKLEDLFSPKKVGDATEPSEVNHELLIELLEGTMGLRNVEEIFIAVVCNKSARQNSSMHHEATSGKK >ONI30499 pep chromosome:Prunus_persica_NCBIv2:G1:26495180:26498294:1 gene:PRUPE_1G254200 transcript:ONI30499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMWMRRILLRQNSLPLLKPPMACLLTPATLQKPISSPFLKPSPPTLAPLASSHRRSLSTATACSLPDPLVSLDGISSYFGGDDGVGTSNKGLKVLLKGMTYDELEKWVQSHGYRPGQALMLWKRLYGNGIWAHHSDQLEGLNKDFKKMLSENAEFKALSLKQVITAADGTRKILFTLEDGLVIETVVIPSDSSRNTVCVSSQVGCAMNCQFCYTGRMGLRRHLTAAEIVEQAVFARCLLTNEVGDITNVVFMGMGEPLQNIDNVLKATDIMLHDQGLHFSPRKITVSTSGLVPQLRRFLKASNCALAVSLNATTDEVRNWIMPINRKYNIGLLLQTLREELRFKNNYKVLFEYVMLAGVNDSIEDARRLADLVRGIPCKINLLSFNPHGGSQFRPTSDEKMIEFRNLLAEAGCVVFLRSSRGDDQMAACGQLGNPGAIQAPLLRVPEQFKMAMEVSL >ONI33982 pep chromosome:Prunus_persica_NCBIv2:G1:38306208:38307940:1 gene:PRUPE_1G457000 transcript:ONI33982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEFEIIFVSFDRDEEKFNEHFKCMPWLTIPFDANLHKRLSDLYHVDRIPSLISLSSDGISVEEDLVGLIEDYGAEAFPFTKNRREELKAADEAKREGGKLEELLAHQGRNYVMSSDGREVSVSKLIGKTIGLYFGAHWSPPCRAFTSKLIEAYNELMASSDQDLEIILVSTDRDLKEFELNISCMPWLAIPYQDKTRQDLCRIFDIKVIPALVLIGPDGKAISTNGKAMISLYGAKAFPFTEFRIKELEAALRKEGEALPPQVKDIKHDHLLKLDMAKAYVCDYCKKQGRFWAFSCGVCDYDLHPTCVEKSF >ONI33979 pep chromosome:Prunus_persica_NCBIv2:G1:38305160:38307940:1 gene:PRUPE_1G457000 transcript:ONI33979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSSNIATILESQGVEFLLSGDRKVPLSSCNGKTVCLFFSANWCRPCKALTPQLVRLYDVLKMEGKEFEIIFVSFDRDEEKFNEHFKCMPWLTIPFDANLHKRLSDLYHVDRIPSLISLSSDGISVEEDLVGLIEDYGAEAFPFTKNRREELKAADEAKREGGKLEELLAHQGRNYVMSSDGREVSVSKLIGKTIGLYFGAHWSPPCRAFTSKLIEAYNELMASSDQDLEIILVSTDRDLKEFELNISCMPWLAIPYQDKTRQDLCRIFDIKVIPALVLIGPDGKAISTNGKAMISLYGAKAFPFTEFRIKELEAALRKEGEALPPQVKDIKHDHLLKLDMAKAYVCDYCKKQGRFWAFSCGVCDYDLHPTCVEKSF >ONI33978 pep chromosome:Prunus_persica_NCBIv2:G1:38305160:38307940:1 gene:PRUPE_1G457000 transcript:ONI33978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSSNIATILESQGVEFLLSGDRKVPLSSCNGKTVCLFFSANWCRPCKALTPQLVRLYDVLKMEGKEFEIIFVSFDRDEEKFNEHFKCMPWLTIPFDANLHKRLSDLYHVDRIPSLISLSSDGISVEEDLVGLIEDYGAEAFPFTKNRREELKAADEAKREGGKLEELLAHQGRNYVMSSDGREVSVSKLIGKTIGLYFGAHWSPPCRAFTSKLIEAYNELMASSDQDLEIILVSTDRDLKEFELNISCMPWLAIPYQDKTRQDLCRIFDIKVIPALVLIGPDGKAISTNGKAMISLYGAKAFPFTEFRIKELEAALRKEGEALPPQVKDIKHDHLLKLDMAKAYVCDYCKKQGRFWAFSCGVCDYDLHPTCVEKSF >ONI33981 pep chromosome:Prunus_persica_NCBIv2:G1:38306168:38307940:1 gene:PRUPE_1G457000 transcript:ONI33981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEFEIIFVSFDRDEEKFNEHFKCMPWLTIPFDANLHKRLSDLYHVDRIPSLISLSSDGISVEEDLVGLIEDYGAEAFPFTKNRREELKAADEAKREGGKLEELLAHQGRNYVMSSDGREVSVSKLIGKTIGLYFGAHWSPPCRAFTSKLIEAYNELMASSDQDLEIILVSTDRDLKEFELNISCMPWLAIPYQDKTRQDLCRIFDIKVIPALVLIGPDGKAISTNGKAMISLYGAKAFPFTEFRIKELEAALRKEGEALPPQVKDIKHDHLLKLDMAKAYVCDYCKKQGRFWAFSCGVCDYDLHPTCVEKSF >ONI33980 pep chromosome:Prunus_persica_NCBIv2:G1:38306035:38307988:1 gene:PRUPE_1G457000 transcript:ONI33980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEFEIIFVSFDRDEEKFNEHFKCMPWLTIPFDANLHKRLSDLYHVDRIPSLISLSSDGISVEEDLVGLIEDYGAEAFPFTKNRREELKAADEAKREGGKLEELLAHQGRNYVMSSDGREVSVSKLIGKTIGLYFGAHWSPPCRAFTSKLIEAYNELMASSDQDLEIILVSTDRDLKEFELNISCMPWLAIPYQDKTRQDLCRIFDIKVIPALVLIGPDGKAISTNGKAMISLYGAKAFPFTEFRIKELEAALRKEGEALPPQVKDIKHDHLLKLDMAKAYVCDYCKKQGRFWAFSCGVCDYDLHPTCVEKSF >ONI33095 pep chromosome:Prunus_persica_NCBIv2:G1:35640810:35642163:-1 gene:PRUPE_1G405300 transcript:ONI33095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPCCSKEGVKKGPWTAQEDKLLADYIRDHGEGKWSSLQKQAGLKRCGKSCRLRWLNYLRPNIKRGNITQDEEDLIIRLHKLLGNRWSLIAGRIPGRTDNEIKNYWNTNLCKKPLPNNFVPCRPSEEASSDPQQEQQSKAEDKLSNVPKYNNQNAESESSEGSLSSSATREEEDYSSHDLWMNFYTREMSLSQFLDTDFSNMSNLFAGVIVGGYSSTKPMHDDDVEADFGAQLAAFTDLAEEWIM >ONI35666 pep chromosome:Prunus_persica_NCBIv2:G1:44883573:44887128:1 gene:PRUPE_1G549000 transcript:ONI35666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPPTIPLLTPYKLGKFNLSHRVVLAPLTRQRSYHNVPQPHAILYYSQRTSNGGLLIAEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKDGVFFCQIWHVGRVSNSGYQPNGQAPISSTDKPIRLNGIDASEFTPPRRLRTDEIPQIVNDFRLAAKNAIEAGFDGVEIHGAHGYLLDQFLKDQVNDRTDQYGGSLENRCRFPLEVVEAVVNEIGADKVGIRLSPFADYMDSGDSNPNALGLYMANSLNKYGILYCHMVEPRMKTVGEKSESPHSLLPMRKAFNGTFIAAGGFDREDGNKAVAEGHADLIAYGRWFLANPDLPKRFELNAPLNKYNRDTFYISDPVIGYTDYPFLETTA >ONI33325 pep chromosome:Prunus_persica_NCBIv2:G1:36193572:36194771:1 gene:PRUPE_1G417000 transcript:ONI33325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKAHVEAKENGFSDVLFLDSVHQRYVEETSTANIFLVKDTIISTPALEEGTVLPGVTRKCVTEIACSQGYQVEERLVSVEELFEADEVFCTGNAVHLSRVGSITYLGKKVSCCRVSATAPGAVKYTNGSYRRQVVLDSNLEVGRIDHGC >ONI29930 pep chromosome:Prunus_persica_NCBIv2:G1:23689181:23689644:1 gene:PRUPE_1G223000 transcript:ONI29930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTWEGVEIGEEVILSDIINMYYKFFFFFSGRGGGDAKEFGIHLFVKTRHKCRIYQETLNVEPCNYFFSGIAHKYIWGMAVSAPQVLSKIS >ONI29988 pep chromosome:Prunus_persica_NCBIv2:G1:23894607:23897000:-1 gene:PRUPE_1G225300 transcript:ONI29988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNIQEKDIMNGKLKDIVNGEIQELASGSLPKSPTQDGSPKKDGRPSSMVIKKAHTMFPAHLIAEAISSLRGLDLRWSGPITPSEMLYVQQYIFAKYPQYCNGLVEEVEKLEIDNLSINEESSATTPQEKLKSPKSVTPKELSPFSTTHSDLDRTQMEPSRLLDILTKKSSFQGNFVSIPEVQASNRALKQCGLQEEDYLVLFMANYKDAMVMIGESYPFFRGNYYMTIVSDETDAIREFASNKETRIISAPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPATVNGTKYSMHWISEAHRNSWHVLLDATGLVLGEDRLTLALYRPDFVLCTLNNTHTQPSSITCLLVRRKTFDTMAHTA >ONI29985 pep chromosome:Prunus_persica_NCBIv2:G1:23894654:23897000:-1 gene:PRUPE_1G225300 transcript:ONI29985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNIQEKDIMNGKLKDIVNGEIQELASGSLPKSPTQDGSPKKDGRPSSMVIKQYIFAKYPQYCNGLVEEVEKLEIDNLSINEESSATTPQEKLKSPKSVTPKELSPFSTTHSDLDRTQMEPSRLLDILTKKSSFQGNFVSIPEVQASNRALKQCGLQEEDYLVLFMANYKDAMVMIGESYPFFRGNYYMTIVSDETDAIREFASNKETRIISAPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPATVNGTKYSMHWISEAHRNSWHVLLDATGLVLGEDRLTLALYRPDFVLCTLNNTHTQPSSITCLLVRRKTFDTMAHTA >ONI29986 pep chromosome:Prunus_persica_NCBIv2:G1:23894654:23897000:-1 gene:PRUPE_1G225300 transcript:ONI29986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNIQEKDIMNGKLKDIVNGEIQELASGSLPKSPTQDGSPKKDGRPSSMVIKQYIFAKYPQYCNGLVEEVEKLEIDNLSINEESSATTPQEKLKSPKSVTPKELSPFSTTHSDLDRTQMEPSRLLDILTKKSSFQGNFVSIPEVQASNRALKQCGLQEEDYLVLFMANYKDAMVMIGESYPFFRGNYYMTIVSDETDAIREFASNKETRIISAPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPATVNGTKYSMHWISEAHRNSWHVLLDATGLVLGEDRLTLALYRPDFVLCTLNNTHTQPSSITCLLVRRKTFDTMAHTA >ONI29987 pep chromosome:Prunus_persica_NCBIv2:G1:23894592:23897017:-1 gene:PRUPE_1G225300 transcript:ONI29987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNIQEKDIMNGKLKDIVNGEIQELASGSLPKSPTQDGSPKKDGRPSSMVIKKAHTMFPAHLIAEAISSLRGLDLRWSGPITPSEMLYVQQYIFAKYPQYCNGLVEEVEKLEIDNLSINEESSATTPQEKLKSPKSVTPKELSPFSTTHSDLDRTQMEPSRLLDILTKKSSFQGNFVSIPEVQASNRALKQCGLQEEDYLVLFMANYKDAMVMIGESYPFFRGNYYMTIVSDETDAIREFASNKETRIISAPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPATVNGTKYSMHWISEAHRNSWHVLLDATGLVLGEDRLTLALYRPDFVLCTLNNTHTQPSSITCLLVRRKTFDTMAHTA >ONI29989 pep chromosome:Prunus_persica_NCBIv2:G1:23894853:23896159:-1 gene:PRUPE_1G225300 transcript:ONI29989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNIQEKDIMNGKLKDIVNGEIQELASGSLPKSPTQDGSPKKDGRPSSMVIKKAHTMFPAHLIAEAISSLRGLDLRWSGPITPSEMLYVQQYIFAKYPQYCNGLVEEVEKLEIDNLSINEESSATTPQEKLKSPKSVTPKELSPFSTTHSDLDRTQMEPSRLLDILTKKSSFQGNFVSIPEVQASNRALKQCGLQEEDYLVLFMANYKDAMVMIGESYPFFRGNYYMTIVSDETDAIREFASNKETRIISAPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPATVNGTKYSMHWISEAHRNSWHVLLDATGLVLGEDRLTLALYRPDFVLCTLNNTHTQPSSITCLLVRRKTFDTMAHTA >ONI34487 pep chromosome:Prunus_persica_NCBIv2:G1:40344761:40347070:-1 gene:PRUPE_1G484300 transcript:ONI34487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQSLCSNSLVLDGFLSSVVQAMVAEAAIAAAKSIALSFWMMGSIPNTTTVFPKEPEELTGFPITELFAVEKPGPENKDASETEDDDDDDDDDDDDAAAGDDQDDDGGDADDASGEENEGKANPEDEPEANGDGVSEEDGDDDDDDDDDDDDDDGDDGEEDTEDDEEDEEDEEEEIPQPPAKRRK >ONI32416 pep chromosome:Prunus_persica_NCBIv2:G1:33597634:33602421:1 gene:PRUPE_1G366800 transcript:ONI32416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDPNRLLRPSQFEFQLLHSHSQTQNHQNHPSYLLPSLPSSQRLLPHSFPAFAPSIDSGHHCLSDSAFASHADAHYAFAKLALRPKKEIDDVAQIGGHEAAAGPSKALQDPSLSVPQSCTGRKHNPKSKVPKHTKAGTQRSTADSHNGLNPAIGCRYDSSLGLLTKKFVSLIQEAKDRTLDLNKTAEVLEVQKRRIYDITNVLEGINLIEKTSKNHIRWKCYDGSTPGELDDQVSRIQDEVESLYAEECRLDDAIREKLELLRALEEDEKNKKFLFLTEEDILSPCCFQNQTLIAIKAPQASCIEVIDPDDEEDTCFPQKQFRMIVRSTIGPIHLYLLSKYQGQRENIAVKQAKSMGSSSWNSSDYSRVEGGGLSSYHQGNKKDSSEILSLPGSEASSGIQKIIPSHVNVNDDYWFRSEHEVSLTDLWGNGAFV >ONI32417 pep chromosome:Prunus_persica_NCBIv2:G1:33597640:33602421:1 gene:PRUPE_1G366800 transcript:ONI32417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDPNRLLRPSQFEFQLLHSHSQTQNHQNHPSYLLPSLPSSQRLLPHSFPAFAPSIDSGHHCLSDSAFASHADAHYAFAKLALRPKKEIDDVAQIGGHEAAAGPSKALQDPSLSVPQSCTGRKHNPKSKVPKHTKAGTQRSTADSHNGLNPAIGCRYDSSLGLLTKKFVSLIQEAKDRTLDLNKTAEVLEVQKRRIYDITNVLEGINLIEKTSKNHIRWKCYDGSTPGELDDQVSRIQDEVESLYAEECRLDDAIREKLELLRALEEDEKNKKFLFLTEEDILSPCCFQNQTLIAIKAPQASCIEVIDPDDEEDTCFPQKQFRMIVRSTIGPIHLYLLSKYQGQRENIAVKQAKSMGSSSWNSSDYSRVEGGGLSSYHQGNKKDSSEILSLPGSEASSGIQKIIPSHVNVNDDYWFRSEHEVSLTDLWGNGAFV >ONI32415 pep chromosome:Prunus_persica_NCBIv2:G1:33597634:33602421:1 gene:PRUPE_1G366800 transcript:ONI32415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDPNRLLRPSQFEFQLLHSHSQTQNHQNHPSYLLPSLPSSQRLLPHSFPAFAPSIDSGHHCLSDSAFASHADAHYAFAKLALRPKKEIDDVAQIGGHEAAAGPSKALQDPSLSVPQSCTGRKHNPKSKVPKHTKAGTQRSTADSHNGLNPAIGCRYDSSLGLLTKKFVSLIQEAKDRTLDLNKTAEVLEVQKRRIYDITNVLEGINLIEKTSKNHIRWKCYDGSTPGELDDQVSRIQDEVESLYAEECRLDDAIREKLELLRALEEDEKNKKFLFLTEEDILSPCCFQNQTLIAIKAPQASCIEVIDPDDEEDTCFPQKQFRMIVRSTIGPIHLYLLSKYQGQRENIAVKQAKSMGSSSWNSSDYSRVEGGGLSSYHQGNKKDSSEILSLPGSEASSGIQKIIPSHVNVNDDYWFRSEHEVSLTDLWAN >ONI32418 pep chromosome:Prunus_persica_NCBIv2:G1:33597929:33601559:1 gene:PRUPE_1G366800 transcript:ONI32418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDPNRLLRPSQFEFQLLHSHSQTQNHQNHPSYLLPSLPSSQRLLPHSFPAFAPSIDSGHHCLSDSAFASHADAHYAFAKLALRPKKEIDDVAQIGGHEAAAGPSKALQDPSLSVPQSCTGRKHNPKSKVPKHTKAGTQRSTADSHNGLNPAIGCRYDSSLGLLTKKFVSLIQEAKDRTLDLNKTAEVLEVQKRRIYDITNVLEGINLIEKTSKNHIRWKCYDGSTPGELDDQVSRIQDEVESLYAEECRLDDAIREKLELLRALEEDEKNKKFLFLTEEDILSPCCFQNQTLIAIKAPQASCIEVIDPDDEEDTCFPQKQFRMIVRSTIGPIHLYLLSKYQGQRENIAVKQAKSMGSSSWNSSDYSRVEGGGLSSYHQGNKKDSSEILSLPGSEASSGIQKIIPSHVNVNDDYWFRSEHEVSLTDLWG >ONI32414 pep chromosome:Prunus_persica_NCBIv2:G1:33597634:33602421:1 gene:PRUPE_1G366800 transcript:ONI32414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDPNRLLRPSQFEFQLLHSHSQTQNHQNHPSYLLPSLPSSQRLLPHSFPAFAPSIDSGHHCLSDSAFASHADAHYAFAKLALRPKKEIDDVAQIGGHEAAAGPSKALQDPSLSVPQSCTGRKHNPKSKVPKHTKAGTQRSTADSHNGLNPAIGCRYDSSLGLLTKKFVSLIQEAKDRTLDLNKTAEVLEVQKRRIYDITNVLEGINLIEKTSKNHIRWKCYDGSTPGELDDQVSRIQDEVESLYAEECRLDDAIREKLELLRALEEDEKNKKFLFLTEEDILSPCCFQNQTLIAIKAPQASCIEVIDPDDEEDTCFPQKQFRMIVRSTIGPIHLYLLSKYQGQRENIAVKQAKSMGSSSWNSSDYSRVEGGGLSSYHQGNKKDSSEILSLPGSEASSGIQKIIPSHVNVNDDYWFRSEHEVSLTDLWAN >ONI35269 pep chromosome:Prunus_persica_NCBIv2:G1:43110112:43112973:-1 gene:PRUPE_1G526600 transcript:ONI35269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFGNAAPYRSREGLSTRPAASSDEIQLRIDPMHADLDDEITGLRSQVRRLRNVAQDIEAEAKFQNEFLNQLHMTLMKGQAGLKNNVRRLNKSIVQSGANHVVHVVVFALICFFMVYLWSKMFR >ONI26763 pep chromosome:Prunus_persica_NCBIv2:G1:3146862:3147302:1 gene:PRUPE_1G043900 transcript:ONI26763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKGIWQLYFVVLFLALSFGLGTPWRWPSTPFTKNFVRIVNNLSNNQTFTSHCKSKDNDIGLHTLAPNEQYEWKFRVNIFSSTLYFCNFWYKDYHNVFDAFEAKYEFIYDCGGAHCVWKAKDDGIYLTQGQTMEDKKWYDWKKE >ONI27695 pep chromosome:Prunus_persica_NCBIv2:G1:7972503:7976780:-1 gene:PRUPE_1G100000 transcript:ONI27695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIARSISQCRSALQHQACQYSSGFVRRQKLLTNTYSGFVYKHANNAEFDIFQRPRFSVISRALSIDAAHVSNGDENRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDELVQSADACRLDRYTASAKSGRSRWLWSRFIPQSSSSPVKGLYLYGGVGTGKTMLMDLFYDQLKCSWRKKRIHFHDFMLDVHRCLRKHKGVEDPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGIILVSTSNRAPDKLYEGGLQRDLFLPFIASLKERCVVHEIGSAVDYRKLTSAEQGFYFVGKDLSGFLIQKFQQLIGEHEAGPQEAEVVMGRTLKVPLGADGIAYFPFEELCDRPMGAADYFGLCKKYHTLALEGVPIFGLHNRTAAYR >ONI27688 pep chromosome:Prunus_persica_NCBIv2:G1:7971481:7976780:-1 gene:PRUPE_1G100000 transcript:ONI27688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIARSISQCRSALQHQACQYSSGFVRRQKLLTNTYSGFVYKHANNAEFDIFQRPRFSVISRALSIDAAHVSNGDENRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDELVQSADACRLDRYTASAKSGRSRWLWSRFIPQSSSSPVKGLYLYGGVGTGKTMLMDLFYDQLWRKKRIHFHDFMLDVHRCLRKHKGVEDPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGIILVSTSNRAPDKLYEGGLQRDLFLPFIASLKERCVVHEIGSAVDYRKLTSAEQGFYFVGKDLSGFLIQKFQQLIGEHEAGPQEAEVVMGRTLKVPLGADGIAYFPFEELCDRPMGAADYFGLCKKYHTLALEGVPIFGLHNRTAAYRFVTLVDVMYENKARLLCTAEGTPFELFEKIVTISDAQQMAPRTSSRSRKNDDSGLCVDNELGFAKERTISRLTEMNSKEYLEHHAATIGEKSSQEGAIHDKTVQA >ONI27693 pep chromosome:Prunus_persica_NCBIv2:G1:7971118:7977631:-1 gene:PRUPE_1G100000 transcript:ONI27693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIARSISQCRSALQHQACQYSSGFVRRQKLLTNTYSGFVYKHANNAEFDIFQRPRFSVISRALSIDAAHVSNGVADENRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDELVQSADACRLDRYTASAKSGRSRWLWSRFIPQSSSSPVKGLYLYGGVGTGKTMLMDLFYDQLKCSWRKKRIHFHDFMLDVHRCLRKHKGVEDPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGIILVSTSNRAPDKLYEGGLQRDLFLPFIASLKERCVVHEIGSAVDYRKLTSAEQGFYFVGKDLSGFLIQKFQQLIGEHEAGPQEAEVVMGRTLKVPLGADGIAYFPFEELCDRPMGAADYFGLCSDVREQGQTVVYS >ONI27694 pep chromosome:Prunus_persica_NCBIv2:G1:7971118:7977631:-1 gene:PRUPE_1G100000 transcript:ONI27694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIARSISQCRSALQHQACQYSSGFVRRQKLLTNTYSGFVYKHANNAEFDIFQRPRFSVISRALSIDAAHVSNGDENRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDELVQSADACRLDRYTASAKSGRSRWLWSRFIPQSSSSPVKGLYLYGGVGTGKTMLMDLFYDQLWRKKRIHFHDFMLDVHRCLRKHKGVEDPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGIILVSTSNRAPDKLYEGGLQRDLFLPFIASLKERCVVHEIGSAVDYRKLTSAEQGFYFVGKDLSGFLIQKFQQLIGEHEAGPQEAEVVMGRTLKVPLGADGIAYFPFEELCDRPMGAADYFGLCKKYHTLALEGVPIFGLHNRTAAYR >ONI27689 pep chromosome:Prunus_persica_NCBIv2:G1:7971481:7976780:-1 gene:PRUPE_1G100000 transcript:ONI27689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIARSISQCRSALQHQACQYSSGFVRRQKLLTNTYSGFVYKHANNAEFDIFQRPRFSVISRALSIDAAHVSNGDENRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDELVQSADACRLDRYTASAKSGRSRWLWSRFIPQSSSSPVKGLYLYGGVGTGKTMLMDLFYDQLKCSWRKKRIHFHDFMLDVHRCLRKHKGVEDPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGIILVSTSNRAPDKLYEGGLQRDLFLPFIASLKERCVVHEIGSAVDYRKLTSAEQGFYFVGKDLSGFLIQKFQQLIGEHEAGPQEAEVVMGRTLKVPLGADGIAYFPFEELCDRPMGAADYFGLCKKYHTLALEGVPIFGLHNRTAAYRFVTLVDVMYENKARLLCTAEGTPFELFEKIVTISDAQQMAPRTSSRSRKNDDSGLCVDNELGFAKERTISRLTEMNSKEYLEHHAATIGEKSSQEGAIHDKTVQA >ONI27690 pep chromosome:Prunus_persica_NCBIv2:G1:7971481:7976780:-1 gene:PRUPE_1G100000 transcript:ONI27690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIARSISQCRSALQHQACQYSSGFVRRQKLLTNTYSGFVYKHANNAEFDIFQRPRFSVISRALSIDAAHVSNGVADENRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDELVQSADACRLDRYTASAKSGRSRWLWSRFIPQSSSSPVKGLYLYGGVGTGKTMLMDLFYDQLWRKKRIHFHDFMLDVHRCLRKHKGVEDPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGIILVSTSNRAPDKLYEGGLQRDLFLPFIASLKERCVVHEIGSAVDYRKLTSAEQGFYFVGKDLSGFLIQKFQQLIGEHEAGPQEAEVVMGRTLKVPLGADGIAYFPFEELCDRPMGAADYFGLCKKYHTLALEGVPIFGLHNRTAAYRFVTLVDVMYENKARLLCTAEGTPFELFEKIVTISDAQQMAPRTSSRSRKNDDSGLCVDNELGFAKERTISRLTEMNSKEYLEHHAATIGEKSSQEGAIHDKTVQA >ONI27692 pep chromosome:Prunus_persica_NCBIv2:G1:7971118:7977631:-1 gene:PRUPE_1G100000 transcript:ONI27692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIARSISQCRSALQHQACQYSSGFVRRQKLLTNTYSGFVYKHANNAEFDIFQRPRFSVISRALSIDAAHVSNGDENRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDELVQSADACRLDRYTASAKSGRSRWLWSRFIPQSSSSPVKGLYLYGGVGTGKTMLMDLFYDQLKCSWRKKRIHFHDFMLDVHRCLRKHKGVEDPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGIILVSTSNRAPDKLYEGGLQRDLFLPFIASLKERCVVHEIGSAVDYRKLTSAEQGFYFVGKDLSGFLIQKFQQLIGEHEAGPQEAEVVMGRTLKVPLGADGIAYFPFEELCDRPMGAADYFGLCSDVREQGQTVVYS >ONI27691 pep chromosome:Prunus_persica_NCBIv2:G1:7971481:7976780:-1 gene:PRUPE_1G100000 transcript:ONI27691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIARSISQCRSALQHQACQYSSGFVRRQKLLTNTYSGFVYKHANNAEFDIFQRPRFSVISRALSIDAAHVSNGVADENRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDELVQSADACRLDRYTASAKSGRSRWLWSRFIPQSSSSPVKGLYLYGGVGTGKTMLMDLFYDQLKCSWRKKRIHFHDFMLDVHRCLRKHKGVEDPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGIILVSTSNRAPDKLYEGGLQRDLFLPFIASLKERCVVHEIGSAVDYRKLTSAEQGFYFVGKDLSGFLIQKFQQLIGEHEAGPQEAEVVMGRTLKVPLGADGIAYFPFEELCDRPMGAADYFGLCKKYHTLALEGVPIFGLHNRTAAYRFVTLVDVMYENKARLLCTAEGTPFELFEKIVTISDAQQMAPRTSSRSRKNDDSGLCVDNELGFAKERTISRLTEMNSKEYLEHHAATIGEKSSQEGAIHDKTVQA >ONI27697 pep chromosome:Prunus_persica_NCBIv2:G1:7972503:7976780:-1 gene:PRUPE_1G100000 transcript:ONI27697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIARSISQCRSALQHQACQYSSGFVRRQKLLTNTYSGFVYKHANNAEFDIFQRPRFSVISRALSIDAAHVSNGVADENRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDELVQSADACRLDRYTASAKSGRSRWLWSRFIPQSSSSPVKGLYLYGGVGTGKTMLMDLFYDQLKCSWRKKRIHFHDFMLDVHRCLRKHKGVEDPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGIILVSTSNRAPDKLYEGGLQRDLFLPFIASLKERCVVHEIGSAVDYRKLTSAEQGFYFVGKDLSGFLIQKFQQLIGEHEAGPQEAEVVMGRTLKVPLGADGIAYFPFEELCDRPMGAADYFGLCKKYHTLALEGVPIFGLHNRTAAYR >ONI27696 pep chromosome:Prunus_persica_NCBIv2:G1:7971118:7977631:-1 gene:PRUPE_1G100000 transcript:ONI27696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIARSISQCRSALQHQACQYSSGFVRRQKLLTNTYSGFVYKHANNAEFDIFQRPRFSVISRALSIDAAHVSNGVADENRAGPLVEYERRIAAGELVDGDACQVGTLRELQRLYDELVQSADACRLDRYTASAKSGRSRWLWSRFIPQSSSSPVKGLYLYGGVGTGKTMLMDLFYDQLWRKKRIHFHDFMLDVHRCLRKHKGVEDPLEVVAGEISDDAILLCLDEFMVTDVADALILNRLFGHLFSNGIILVSTSNRAPDKLYEGGLQRDLFLPFIASLKERCVVHEIGSAVDYRKLTSAEQGFYFVGKDLSGFLIQKFQQLIGEHEAGPQEAEVVMGRTLKVPLGADGIAYFPFEELCDRPMGAADYFGLCKKYHTLALEGVPIFGLHNRTAAYR >ONI31990 pep chromosome:Prunus_persica_NCBIv2:G1:32214006:32216522:1 gene:PRUPE_1G343500 transcript:ONI31990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAPATGCYKCGRPGHWSRDCPSSAPAPNSNSNPDPNPNPNPNPNPNPKPTNPNSSSYSFKSGTGVGGSGASKAKKVSVPKTRPKLTPQLLLSDDGLGYVLRHFPRAFKYRGRGNEVRDLGNLIGLYTEWHSRLLPYYSFDQFVHKVEQVASTRQVKMSLRELRERVASGGDPTKLREPPVEQGNPNDQQETLNPEGPSHQPGGLSSGNHDADDMQEDMLHEIYEKATEEPSEHLHGDMVAASISAPGSFLKELTNQFPNNEASESKENQMTDEQRARMEASRLKALEKAAARRRQLQVA >ONI35059 pep chromosome:Prunus_persica_NCBIv2:G1:42195522:42199522:1 gene:PRUPE_1G512600 transcript:ONI35059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESENPLLNEFCAADAGAAAQSRRLLIRFKGPGPCPVPDPNAGQCLKQSVNVCKSFNRNRIDDNGIQESSDAKHVARSAKIPEFSTNTLMSSKHDNGSMKLLSGFVEQNQFKANTLCRSTRGDTAAKARKRTRLPLGLPTSSKLKRSKRSLSAERRTSIDDLPNVILAEILCRLACNKYVFQCKTVSKRWCTLIEDPYFIGCFVRIQSYKGIPKIRTLITKRAVEFRPIQTWALNMFMRFHRLMEKPVVVATYNDLVLCCMTEDYQHIYYICNACTMQYVVLPPTPSRCHKSVWVGFICNVPDYKCEEDDWKGNNFQHNVECRYTVVRILPPVEFENGEKKCDTFKLNVEIFSSDTGEWRESVVSSPQHFNFGRLKELSFTYNGMLYWLTEQDFIVIGLGPFYDNDGTSSSNNNGDGIIDHKLGFTIFEETLDFGFMLQYSGVCRGYVLLCNMSMLSRSLYVYELKQSQDDGGAAAGKKLYLSKRRVYSFDAEMIPFKSNMLLIAFDPSNKDIFYLRVDDDIIKWNVHTGKWSKISRHWVKRCDYYTVVLPGWPTSIPRLPQQQQQQRAHLC >ONI26401 pep chromosome:Prunus_persica_NCBIv2:G1:1535139:1539263:-1 gene:PRUPE_1G022500 transcript:ONI26401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATLKAEEQSQLQLVEREDIDDEEDLFEAIDKLIAQGINAGDIKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVEKICEAAEKIVNYGYITGSDALTRRKSVIRITTGSQALDELLGGGIETMSITEAFGEFRSGKTQLAHTLCVCTQLPTNMKGGNGKVAYIDTEGTFRPDRIVPIAERFGLDPGAVLDNIIYARAYTYEHQHNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELADRQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRVCKVYDAPNLPEAEAVFQITAGGIADAKD >ONI26402 pep chromosome:Prunus_persica_NCBIv2:G1:1536048:1539263:-1 gene:PRUPE_1G022500 transcript:ONI26402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATLKAEEQSQLQLVEREDIDDEEDLFEAIDKLIAQGINAGDIKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVEKICEAAEKIVNYGYITGSDALTRRKSVIRITTGSQALDELLGGGIETMSITEAFGEFRSGKTQLAHTLCVCTQLPTNMKGGNGKVAYIDTEGTFRPDRIVPIAERFGLDPGAVLDNIIYARAYTYEHQHNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELADRQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRVCKVYDAPNLPEAEAISFILTFSVITIL >ONI26458 pep chromosome:Prunus_persica_NCBIv2:G1:1839105:1840662:1 gene:PRUPE_1G026400 transcript:ONI26458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGVPQTPPPSTAATTTTLLGKYKLGRILGRGSFAKVYKAQSLVDNTAVAIKIIDKPQIDAVMEPRILREISAMRRLHHHPNILKIHEVLATKSKIYLVVELATGGELFAKISRHGKLPESLSRRYFQQLVSALHFCHQNGVAHRDVKPQNLLLDKNGNLKVSDFGLSALPDQLKNGLLQTACGTPAYTAPEVVYRRGYDGSKADAWSCGVILFVLLAGRLPFEDSNLAAMYKKVQRREYEIPGWISKPAGRIIYQLLDPNPNTRLGIEALMEKAWFKKSMDLGLTGAFEPEEEKSKCDAVPCGMNAFDIISMSSGLDLSGLFEVESRMERRFTAEAAAETVVEKVAEVGERLGYKVEKGKSGVNVGLGKRGKGVMTKVIMAVEVKEVALSLVLGEVKMAEGGGVELDQEARLWEELRAGLQDFVVSWHNVVV >ONI32603 pep chromosome:Prunus_persica_NCBIv2:G1:34050991:34053231:-1 gene:PRUPE_1G375300 transcript:ONI32603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCSQENLPSPKTILSAAASLAASAILFRTIANDLIPDVVQNSFYSRLQKLSSQITVVVEELDGLTPNEMFEAANLYLGTLLSTSTRRIKVNKPEKEKQLLVTIDKNQGLVDCFKGVNFKWVLVSSQKPASNGKREDHSQSEVRQLELSFHKKHRDMVLSSYLPYILNKSKEIKEERKVVKLHTVDYNGTDYWGSINLDHPATFDTMAMDPEIKKALIEDLDRFRERKEYYRRVGKAWKRGYLLFGPPGTVQCNSDLRRLLIGTKSRSILVIEDIDCSVELQNRDAENEPKTVEDDKITLSGLLNFIDGLWSTCGEERIIVFTTNRKDRLDPALLRPGRMDQHIHMSYCTFSGFKTLAYNYLRIQEHPLFGDIEKLLDYYKAQATPAEVAGELLKSDDAQVSLQGLITFLQSKNKMETAWQDITVGK >ONI32604 pep chromosome:Prunus_persica_NCBIv2:G1:34050991:34053188:-1 gene:PRUPE_1G375300 transcript:ONI32604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCSQENLPSPKTILSAAASLAASAILFRTIANDLIPDVVQNSFYSRLQKLSSQITVVVEELDGLTPNEMFEAANLYLGTLLSTSTRRIKVNKPEKEKQLLVTIDKNQGLVDCFKGVNFKWVLVSSQKPASNGKREDHSQSEVRQLELSFHKKHRDMVLSSYLPYILNKSKEIKEERKVVKLHTVDYNGTDYWGSINLDHPATFDTMAMDPEIKKALIEDLDRFRERKEYYRRVGKAWKRGYLLFGPPGTGKSSLVAAMANYLKFDIFDLDLKEVQCNSDLRRLLIGTKSRSILVIEDIDCSVELQNRDAENEPKTVEDDKITLSGLLNFIDGLWSTCGEERIIVFTTNRKDRLDPALLRPGRMDQHIHMSYCTFSGFKTLAYNYLRIQEHPLFGDIEKLLDYYKAQATPAEVAGELLKSDDAQVSLQGLITFLQSKNKMETAWQDITVGK >ONI28196 pep chromosome:Prunus_persica_NCBIv2:G1:10126675:10128047:1 gene:PRUPE_1G130200 transcript:ONI28196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLLYDTVTVATTAQGLGFAKFLIRHHDLTSNNQISITDSHPDQSSPSNGVPQISAVIFPVDAVAPPRVRPNSHKTATRTLLRKKRRTRRRSSSGDDLNDGGEEDGGFFGGDGPFFNGGWGGSGGGGGGWNFDRFGGHNWDESSSSSPSDPAFDFVYEVMCWIALSNCVHFAFKRVIRIVADGLGDAERAKVPMRLTSVC >ONI30825 pep chromosome:Prunus_persica_NCBIv2:G1:28114450:28119534:1 gene:PRUPE_1G275500 transcript:ONI30825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSYGGIVAASLFMLLVLRYGILNYPTGDSFLTNALYTNTSNPLEWLNTVPPAVQNPENASQVISAEIIVFSLFAQRNVSNEEQQTLQTWNLLKHLINQAQGLPHAVDAIKEAGGAWNSLMASVEEQRLVYTNESSPGKAKEKQCPYFLNKMNGTGIDNNVHKLRVPCGLTQGSSITVIGVPNGLVGNFRIELTGEPLPGEPDPPVILHYNVRLNGDKLTEDPVIVQNTWTVAHDWGEEERCPSPTPEKNNKVDELDQCNKIVGKTEEQRIRSNVSRQSSTVQDGSKSRRYFPFKQGYPFVATLRVGSEGIQMTVDGKHLTSFAFRETLEPWLVSELRISGDLKLISVLASGLPSSEDSEHIINLEELKSAPLSPHRRLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVSVRFFVGLHKNQIVNEELWNEAQTYGDIQLMPFVDYYGLITWKTLAICIFGTEVVSAKFVMKTDDDAFVRVDEVLASLNRIKVTRGLLYGLINSDSRPHRNPDSKWYISPEEWPEQTYPPWAHGPGYVVSNDIAKAVSARYKKGSLKMFKLEDVAMGIWIADMKKEGLNVQYVKEEKVYNEGCKDGYVVAHYQGPREMLCLWQKIQEGQVAKCCGGDR >ONI30827 pep chromosome:Prunus_persica_NCBIv2:G1:28115769:28119487:1 gene:PRUPE_1G275500 transcript:ONI30827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSYGGIVAASLFMLLVLRYGILNYPTGDSFLTNALYTNTSNPLEWLNTVPPAVQNPENASQVISAEIIVFSLFAQRNVSNEEQQTLQTWNLLKHLINQAQGLPHAVDAIKEAGGAWNSLMASVEEQRLVYTNESSPGKAKEKQCPYFLNKMNGTGIDNNVHKLRVPCGLTQGSSITVIGVPNGLVGNFRIELTGEPLPGEPDPPVILHYNVRLNGDKLTEDPVIVQNTWTVAHDWGEEERCPSPTPEKNNKVDELDQCNKIVGKTEEQRIRSNVSRQSSTVQDGSKSRRYFPFKQGYPFVATLRVGSEGIQMTVDGKHLTSFAFRETLEPWLVSELRISGDLKLISVLASGLPSSEDSEHIINLEELKSAPLSPHRRLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVSVRFFVGLHKNQIVNEELWNEAQTYGDIQLMPFVDYYGLITWKTLAICIFGTEVVSAKFVMKTDDDAFVRVDEVLASLNRIKVTRGLLYGLINSDSRPHRNPDSKWYISPEEWPEQTYPPWAHGPGYVVSNDIAKAVSARYKKGSLKMFKLEDVAMGIWIADMKKEGLNVQYVKEEKVYNEGCKDGYVVAHYQGPREMLCLWQKIQEGQVAKCCGGDR >ONI30824 pep chromosome:Prunus_persica_NCBIv2:G1:28114440:28119534:1 gene:PRUPE_1G275500 transcript:ONI30824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSYGGIVAASLFMLLVLRYGILNYPTGDSFLTNALYTNTSNPLEWLNTVPPAVQNPENASQVISAEIIVFSLFAQRNVSNEEQQTLQTWNLLKHLINQAQGLPHAVDAIKEAGGAWNSLMASVEEQRLVYTNESSPGKAKEKQCPYFLNKMNGTGIDNNVHKLRVPCGLTQGSSITVIGVPNGLVGNFRIELTGEPLPGEPDPPVILHYNVRLNGDKLTEDPVIVQNTWTVAHDWGEEERCPSPTPEKNNKVDELDQCNKIVGKTEEQRIRSNVSRQSSTVQDGSKSRRYFPFKQGYPFVATLRVGSEGIQMTVDGKHLTSFAFRETLEPWLVSELRISGDLKLISVLASGLPSSEDSEHIINLEELKSAPLSPHRRLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVSVRFFVGLHKNQIVNEELWNEAQTYGDIQLMPFVDYYGLITWKTLAICIFGTEVVSAKFVMKTDDDAFVRVDEVLASLNRIKVTRGLLYGLINSDSRPHRNPDSKWYISPEEWPEQTYPPWAHGPGYVVSNDIAKAVSARYKKGSLKMFKLEDVAMGIWIADMKKEGLNVQYVKEEKVYNEGCKDGYVVAHYQGPREMLCLWQKIQEGQVAKCCGGDRE >ONI30826 pep chromosome:Prunus_persica_NCBIv2:G1:28115904:28119068:1 gene:PRUPE_1G275500 transcript:ONI30826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSYGGIVAASLFMLLVLRYGILNYPTGDSFLTNALYTNTSNPLEWLNTVPPAVQNPENASQVISAEIIVFSLFAQRNVSNEEQQTLQTWNLLKHLINQAQGLPHAVDAIKEAGGAWNSLMASVEEQRLVYTNESSPGKAKEKQCPYFLNKMNGTGIDNNVHKLRVPCGLTQGSSITVIGVPNGLVGNFRIELTGEPLPGEPDPPVILHYNVRLNGDKLTEDPVIVQNTWTVAHDWGEEERCPSPTPEKNNKVDELDQCNKIVGKTEEQRIRSNVSRQSSTVQDGSKSRRYFPFKQGYPFVATLRVGSEGIQMTVDGKHLTSFAFRETLEPWLVSELRISGDLKLISVLASGLPSSEDSEHIINLEELKSAPLSPHRRLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVSVRFFVGLHKNQIVNEELWNEAQTYGDIQLMPFVDYYGLITWKTLAICIFGTEVVSAKFVMKTDDDAFVRVDEVLASLNRIKVTRGLLYGLINSDSRPHRNPDSKWYISPEEWPEQTYPPWAHGPGYVVSNDIAKAVSARYKKGSLKMFKLEDVAMGIWIADMKKEGLNVQYVKEEKVYNEGCKDGYVVAHYQGPREMLCLWQKIQEGQVAKCCGGDR >ONI35308 pep chromosome:Prunus_persica_NCBIv2:G1:43271123:43273766:1 gene:PRUPE_1G529000 transcript:ONI35308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIITYFTKPFSVSPLAFKRCLDHISWLFSQESYTSQLCHLDFILSLSFFYHLSVSNSNITSMAEALLSVVLEQLVSLTRKQIEEEVRLVVNVDREVENLIFHFNAIQAVLQDAEERQVKEANVRNWLRNLEDVSYDINDVLDEWNTELLKHQIEKEEEKEGKNVALTKRKVCFFIPSPSVCFGSIGKVIMRRDIAVRIKEINEKLSEIAILRKNYNFLENTIRATEKLERVETSSFVQVSSIIGREEEKNRLMSMLLSESSQQGKSPFVIPIVGMGGLGKTAFAQLVYNDENIKTHFKPRIWVCVSDPFEEIKIARAIAEALNKDDNRINSTSLQPLLECINEYISGKKFLIFLDDVWTPTISNWEPLMEALQNSAIGSRILVTTRKETVATVMGAPADHVIHLTILSDQDCLQLFNKIAFFNRERDDQLEDIGRKIVKKCNGLPLAAKTLASLMRYKKTRKEWVDVLDNKIWDYKKNDLIEYWMSQEYLSMKGDSEKEIIIGQQYFDNLVMRSFFQDFGKDRVNDDIIACKMHDILHDFVQFVTKNECFIMEMAESCKEKNMVVDNKVRHLNIMSTYNDSFPVSIYNCKGLRTLVISTSKLPLLPSDSFSKLKSIRTLKLNENSIKEVPESIGGLVHLRYLDLSQNKELKELPDSVGNLFNLETLRLIDCFKLRELPVSLRKLVNLKHLYIEGCYTLKVPKEIGRLRNLQILDWLCLQDGGDDDEGIFKLGDLGNLEQLQGSLCIRNLNLAKDGSEAKNAELVNKKNLLHLTLHFLYGISEPNLKHEEILNGFQVHTNLESLTIWGNHTTTLCPSWMMSCHNLRRLEFSYVPFCGVLAPPVP >ONI27379 pep chromosome:Prunus_persica_NCBIv2:G1:6132642:6135800:1 gene:PRUPE_1G082600 transcript:ONI27379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRLRLTPIYGDDDMITHVIGIQFFTEADIDLGPVPGTSIKESAKSSDRFRSYLSAFRPAVLLGERNICRGVCGMLQLSDEVLALKILSKLAPRDIASIGSVCRRLYDLSKNEDLWRMVCQNAWGSETTRVLEAVPGAKRLGWGRLARELTTLEAATWRKVTVGGSVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPVAWKPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEDEPCWRCVTGSGMPGAGNPGGMAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLANSVI >ONI27376 pep chromosome:Prunus_persica_NCBIv2:G1:6127561:6135802:1 gene:PRUPE_1G082600 transcript:ONI27376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSNSDLSAEEEEDEEGSLIFNDDDEVSGPGPGPLPFPVENMLRTAPCGFVVTDALDPDHPIIYVNTVFEMVTGYRAEEVLGRNCRFLQYRGPFAKRRHPLVDSAVVSEIKRCLEEGIEFQGELLNFRKDGSPMMNRLRLTPIYGDDDMITHVIGIQFFTEADIDLGPVPGTSIKESAKSSDRFRSYLSAFRPAVLLGERNICRGVCGMLQLSDEVLALKILSKLAPRDIASIGSVCRRLYDLSKNEDLWRMVCQNAWGSETTRVLEAVPGAKRLGWGRLARELTTLEAATWRKVTVGGSVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPVAWKPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEDEPCWRCVTGSGMPGAGNPGGMAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLANSVI >ONI27375 pep chromosome:Prunus_persica_NCBIv2:G1:6127561:6135802:1 gene:PRUPE_1G082600 transcript:ONI27375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSNSDLSAEEEEDEEGSLIFNDDDEVSGPGPGPLPFPVENMLRTAPCGFVVTDALDPDHPIIYVNTVFEMVTGYRAEEVLGRNCRFLQYRGPFAKRRHPLVDSAVVSEIKRCLEEGIEFQGELLNFRKDGSPMMNRLRLTPIYGDDDMITHVIGIQFFTEADIDLGPVPGTSIKESAKSSDRFRSYLSAFRPAVLLGERNICRGVCGMLQLSDEVLALKILSKLAPRDIASIGSVCRRLYDLSKNEDLWRMVCQNAWGSETTRVLEAVPGAKRLGWGRLARELTTLEAATWRKVTVGGSVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPVAWKPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEDEPCWRCVTGSGMPGAGNPGGMAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLANSVI >ONI27377 pep chromosome:Prunus_persica_NCBIv2:G1:6127561:6135800:1 gene:PRUPE_1G082600 transcript:ONI27377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRLRLTPIYGDDDMITHVIGIQFFTEADIDLGPVPGTSIKESAKSSDRFRSYLSAFRPAVLLGERNICRGVCGMLQLSDEVLALKILSKLAPRDIASIGSVCRRLYDLSKNEDLWRMVCQNAWGSETTRVLEAVPGAKRLGWGRLARELTTLEAATWRKVTVGGSVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPVAWKPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEDEPCWRCVTGSGMPGAGNPGGMAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLANSVI >ONI27378 pep chromosome:Prunus_persica_NCBIv2:G1:6127561:6135800:1 gene:PRUPE_1G082600 transcript:ONI27378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRLRLTPIYGDDDMITHVIGIQFFTEADIDLGPVPGTSIKESAKSSDRFRSYLSAFRPAVLLGERNICRGVCGMLQLSDEVLALKILSKLAPRDIASIGSVCRRLYDLSKNEDLWRMVCQNAWGSETTRVLEAVPGAKRLGWGRLARELTTLEAATWRKVTVGGSVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPVAWKPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEDEPCWRCVTGSGMPGAGNPGGMAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLANSVI >ONI29873 pep chromosome:Prunus_persica_NCBIv2:G1:23337738:23339949:-1 gene:PRUPE_1G218500 transcript:ONI29873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVPLLRPFSLSPSYLEKNPLPPCHLSRSSNLPNIPPNKQFSKQFASMSRATVELDFFGMDQHRDPSSPSKSQFQKFLHRQRSFRGIQNAMYKIKPQVLKSVIASGSVLLNHHQHGSETPMASRKSFSVPSSPKAEQIPFPSLPVYIPTGTSVSSFMPAPAAAAAASEKLEETTTPLTIFYNGTVSVFNVPRDKAESLLKLALEGNSAKAAESALAVDSKLALHSSDQQQLLDPLDGDLPIARRKSLQRFLEKRKERLNSVSPFASHA >ONI31320 pep chromosome:Prunus_persica_NCBIv2:G1:29929935:29931086:1 gene:PRUPE_1G306100 transcript:ONI31320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSLKAISSPYISNSIRSPSPSQAQRLLGFNLSHSYPTLKSTPTLQGFPNSKNFTSTAFFSQKKKEPADSSRPTKVQELHVYEINERDRGSPAYLRLSKKEVNSLGDLVPFTNKIYSGDLEKRLGVTAGLCVLIQNVPEKKGDRYEAIYSFYFGDYGHISVQGAYLTYEDTYLAVTGGSGIFEGVYGQVKLKQIVFPIKLFYTFYLKGISDLPVELTGGKPVVPSPTIEPSPAAKATEPNATIPNFTN >ONI31826 pep chromosome:Prunus_persica_NCBIv2:G1:31516673:31519140:-1 gene:PRUPE_1G332800 transcript:ONI31826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGLKLLQERAKTGSFWWPYISSLPETFNIPIFFPGEDIKNLQYAPLLYQVNKRCRFLLDFEQEVKCALENLKPNDHPFGGQAVDASSLGWAMSAVSSRAFRLYGKKLSGEINNDVPMMLPLIDMCNHSFKPNAIILQDQDDRDVTMLVKVVAETGIKQNDCLVLNYGCLNNDLFLLDYGFVIPSNPYDCIELKYDGALLDAASMAAGVSSPNFSAPSPWQKEILCQLKLDGEAPLLKVCLGGSELVEGRLLAALRVVLASDMETVQKLDLDKLKSISVEAPIGIANELAAFRTIIALCVIALGHFPTKIMEDESLLQKGVSDSTQLAIQFRIEKKSVIIDVMRDLTRRVKLLASKETATAQG >ONI31825 pep chromosome:Prunus_persica_NCBIv2:G1:31516386:31519241:-1 gene:PRUPE_1G332800 transcript:ONI31825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGLKLLQERAKTGSFWWPYISSLPETFNIPIFFPGEDIKNLQYAPLLYQVNKRCRFLLDFEQEVKCALENLKPNDHPFGGQAVDASSLGWAMSAVSSRAFRLYGKKLSGEINNDVPMMLPLIDMCNHSFKPNAIILQDQDDRDVTMLVKVVAETGIKQNDCLVLNYGCLNNDLFLLDYGFVIPSNPYDCIELKYDGALLDAASMAAGVSSPNFSAPSPWQKEILCQLKLDGEAPLLKVCLGGSELVEGRLLAALRVVLASDMETVQKLDLDKLKSISVEAPIGIANELAAFRTIIALCVIALGHFPTKIMEDESLLQKGVSDSTQLAIQFRIEKKSVIIDVMRDLTRRVKLLASKETATAQG >ONI31824 pep chromosome:Prunus_persica_NCBIv2:G1:31516673:31519614:-1 gene:PRUPE_1G332800 transcript:ONI31824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLTLLSSIWLATFLHLAEELWAMKLGLKLLQERAKTGSFWWPYISSLPETFNIPIFFPGEDIKNLQYAPLLYQVNKRCRFLLDFEQEVKCALENLKPNDHPFGGQAVDASSLGWAMSAVSSRAFRLYGKKLSGEINNDVPMMLPLIDMCNHSFKPNAIILQDQDDRDVTMLVKVVAETGIKQNDCLVLNYGCLNNDLFLLDYGFVIPSNPYDCIELKYDGALLDAASMAAGVSSPNFSAPSPWQKEILCQLKLDGEAPLLKVCLGGSELVEGRLLAALRVVLASDMETVQKLDLDKLKSISVEAPIGIANELAAFRTIIALCVIALGHFPTKIMEDESLLQKGVSDSTQLAIQFRIEKKSVIIDVMRDLTRRVKLLASKETATAQG >ONI31823 pep chromosome:Prunus_persica_NCBIv2:G1:31516386:31520261:-1 gene:PRUPE_1G332800 transcript:ONI31823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKMVMASLTAFRPLTCGASTSTSYPGRLAPYPPDLIKWVRREGGFVHEAVKIAQDSSFGLGLVASEEIPKGSELIVLPEHVPLRFGSLESEGGDGGLDSVIVNLARHVPEELWAMKLGLKLLQERAKTGSFWWPYISSLPETFNIPIFFPGEDIKNLQYAPLLYQVNKRCRFLLDFEQEVKCALENLKPNDHPFGGQAVDASSLGWAMSAVSSRAFRLYGKKLSGEINNDVPMMLPLIDMCNHSFKPNAIILQDQDDRDVTMLVKVVAETGIKQNDCLVLNYGCLNNDLFLLDYGFVIPSNPYDCIELKYDGALLDAASMAAGVSSPNFSAPSPWQKEILCQLKLDGEAPLLKVCLGGSELVEGRLLAALRVVLASDMETVQKLDLDKLKSISVEAPIGIANELAAFRTIIALCVIALGHFPTKIMEDESLLQKGVSDSTQLAIQFRIEKKSVIIDVMRDLTRRVKLLASKETATAQG >ONI32787 pep chromosome:Prunus_persica_NCBIv2:G1:34581946:34592145:1 gene:PRUPE_1G385700 transcript:ONI32787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDQELRRACEAAIEGTKQSVVMSIRVAKSRGIWGKTHKLGRDMAKPRVLALSVKSKGQKTKAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVLTNDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDALGHLPKVVGIDVVEMALWAKENTPAVTNQGNMQEGPAASTVTERDLKVTVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELLALEAANVHAILESEPLIDEVLQGLDAATNCVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNRALIEELDKLLLGLRVPSEYAACLTGGLFDEARMLQNVEACEWLAGALRSLEVPNLDPIYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFSSLVEFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCDSLNLLLRREAREFANELRASTKASRNPTVWLEASAGSGQNVNAADTSTVSEAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGTANGDKSDDTNDDDLGIMDIDDNDSKAGKNSGELAALNESLQNLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLEKIAQTEPKYADLFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMYTIPPEEIPFQLGLAKMDLRKMLKSSLSGLDKSITAMYKKLQKNMTSEELLPSLWDKCKKEFLDKYESFAQLVAKIYPTETIPTVVEMRDLLASM >ONI32790 pep chromosome:Prunus_persica_NCBIv2:G1:34584975:34592145:1 gene:PRUPE_1G385700 transcript:ONI32790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGEAEAFSERLKRELLALEAANVHAILESEPLIDEVLQGLDAATNCVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNRALIEELDKLLLGLRVPSEYAACLTGGLFDEARMLQNVEACEWLAGALRSLEVPNLDPIYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFSSLVEFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCDSLNLLLRREAREFANELRASTKASRNPTVWLEASAGSGQNVNAADTSTVSEAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGTANGDKSDDTNDDDLGIMDIDDNDSKAGKNSGELAALNESLQNLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLEKIAQTEPKYADLFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMYTIPPEEIPFQLGLAKMDLRKMLKSSLSGLDKSITAMYKKLQKNMTSEELLPSLWDKCKKEFLDKYESFAQLVAKIYPTETIPTVVEMRDLLASM >ONI32789 pep chromosome:Prunus_persica_NCBIv2:G1:34583617:34592145:1 gene:PRUPE_1G385700 transcript:ONI32789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMCNGPAKLYKLKHLSKVEVLTNDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDALGHLPKVVGIDVVEMALWAKENTPAVTNQGNMQEGPAASTVTERDLKVTVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELLALEAANVHAILESEPLIDEVLQGLDAATNCVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNRALIEELDKLLLGLRVPSEYAACLTGGLFDEARMLQNVEACEWLAGALRSLEVPNLDPIYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFSSLVEFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCDSLNLLLRREAREFANELRASTKASRNPTVWLEASAGSGQNVNAADTSTVSEAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGTANGDKSDDTNDDDLGIMDIDDNDSKAGKNSGELAALNESLQNLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLEKIAQTEPKYADLFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMYTIPPEEIPFQLGLAKMDLRKMLKSSLSGLDKSITAMYKKLQKNMTSEELLPSLWDKCKKEFLDKYESFAQLVAKIYPTETIPTVVEMRDLLASM >ONI32788 pep chromosome:Prunus_persica_NCBIv2:G1:34581946:34592145:1 gene:PRUPE_1G385700 transcript:ONI32788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDQELRRACEAAIEGTKQSVVMSIRVAKSRGIWGKTHKLGRDMAKPRVLALSVKSKGQKTKAFLRVLKYSTGGVLEGFDNLRSQSVAPPQWTMRNIDDRNRLLLCILNICKDALGHLPKVVGIDVVEMALWAKENTPAVTNQGNMQEGPAASTVTERDLKVTVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELLALEAANVHAILESEPLIDEVLQGLDAATNCVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNRALIEELDKLLLGLRVPSEYAACLTGGLFDEARMLQNVEACEWLAGALRSLEVPNLDPIYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFSSLVEFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCDSLNLLLRREAREFANELRASTKASRNPTVWLEASAGSGQNVNAADTSTVSEAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGTANGDKSDDTNDDDLGIMDIDDNDSKAGKNSGELAALNESLQNLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLEKIAQTEPKYADLFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMYTIPPEEIPFQLGLAKMDLRKMLKSSLSGLDKSITAMYKKLQKNMTSEELLPSLWDKCKKEFLDKYESFAQLVAKIYPTETIPTVVEMRDLLASM >ONI29368 pep chromosome:Prunus_persica_NCBIv2:G1:18504972:18506668:1 gene:PRUPE_1G195200 transcript:ONI29368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGVEGYGGPQGPRKLLDNGDFGLATWEHQSPSPLLRVLQSNTSSLGCCKHPSSHQPFQQPPYHFPSSIQLLQAFQRPSQPFLSYHNHFFYFSIIEILNFPYKASQTITPSSSFLLIPF >ONI30545 pep chromosome:Prunus_persica_NCBIv2:G1:26748159:26751001:1 gene:PRUPE_1G257300 transcript:ONI30545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESILPFLGMVMAILAQAANMIVNKAAMSKGSNKYIMVVYANGLSTLILLPPALFFHYRSGRPPLTFSILCRFFMLALFGCSAQIFGYVGIEYSSATLGTAMLNLIPAFTFTLAIIFRMEKVQWRSSSSKAKILGTTASIAGAFVVTFYKGQPIMLLPSSHPPNLFSSKSNWVLGGLFLAADSFSSSLWYILQASTLKKYPAVVVIVCFQSLFTTIQSALFTLIAVKDASAWELRLDMGLIAVLYTAIVSIVLRYTLTTWCVWKAGALFCSMFKPLGIIFGVIMGAIFLGDPFYFGSLVGALMIVTGFYAVMWGKAKEEKLVEGGSRWESLGQNNVPLLQNNIQEKHNCTSIL >ONI33779 pep chromosome:Prunus_persica_NCBIv2:G1:37710591:37715566:-1 gene:PRUPE_1G445400 transcript:ONI33779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLKEIRNGGHSVADTESKAGLGGGVEDVYGEDCATEDQVLTPWTASVASGYTLLRDPHYNKGLAFTEKERDAHYLRGLLPPAILTQELQEKKLVQNLRQYEVPLHRYIAMMDLQERNEGLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRHPQGLYISLREKGKILEVLKNWPERGIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVPPSACLPITIDVGTNNEKLLNDEFYIGIKQKRATGQEYAELLEEFMTAVKQNYGEKVLVQFEDFANHNAFELLSKYSKTHLVFNDDIQGTASVVLAGLISSLKLLGGQLADHTFLFLGAGEAGTGIAELIALEISKKTNAPLEETRKKVWLVDSKGLIVKSRIGSLQHFKKPWAHDHEPIKELVDAVKAIKPTVLIGTSGVGKTFTREVVETMASLNEKPLILALSNPTSQSECTAEEAYAWTKGRAIFASGSPFDPVEYEKKLLVPGQANNAYIFPGFGLGLIMAGAIRVHDDMLLAASEALAAQVTQENYDKGMIYPPFTNIRKISANIAAKVAAKVYELGLASNLPRPKYLVKYAESCMYSPLYRSYR >ONI33781 pep chromosome:Prunus_persica_NCBIv2:G1:37710406:37715655:-1 gene:PRUPE_1G445400 transcript:ONI33781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLKEIRNGGHSVADTESKAGLGGGVEDVYGEDCATEDQVLTPWTASVASGYTLLRDPHYNKGLAFTEKERDAHYLRGLLPPAILTQELQEKKLVQNLRQYEVPLHRYIAMMDLQERNEGLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRHPQGLYISLREKGKILEVLKNWPERGIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVPPSACLPITIDVGTNNEKLLNDEFYIGIKQKRATGQEYAELLEEFMTAVKQNYGEKVLVQFEDFANHNAFELLSKYSKTHLVFNDDIQGTASVVLAGLISSLKLLGGQLADHTFLFLGAGEAGTGIAELIALEISKKTNAPLEETRKKVWLVDSKGLIVKSRIGSLQHFKKPWAHDHEPIKELVDAVKAIKPTVLIGTSGVGKTFTREVVETMASLNEKPLILALSNPTSQSECTAEEAYAWTKGRAIFASGSPFDPVEYEKKLLVPGQANNAYIFPGFGLGLIMAGAIRVHDDMLLAASEALAAQVTQENYDKGMIYPPFTNIRKISANIAAKVAAKVYELGLASNLPRPKYLVKYAESCMYSPLYRSYR >ONI33780 pep chromosome:Prunus_persica_NCBIv2:G1:37710374:37715629:-1 gene:PRUPE_1G445400 transcript:ONI33780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLKEIRNGGHSVADTESKAGLGGGVEDVYGEDCATEDQVLTPWTASVASGYTLLRDPHYNKGLAFTEKERDAHYLRGLLPPAILTQELQEKKLVQNLRQYEVPLHRYIAMMDLQERNEGLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRHPQGLYISLREKGKILEVLKNWPERGIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVPPSACLPITIDVGTNNEKLLNDEFYIGIKQKRATGQEYAELLEEFMTAVKQNYGEKVLVQFEDFANHNAFELLSKYSKTHLVFNDDIQGTASVVLAGLISSLKLLGGQLADHTFLFLGAGEAGTGIAELIALEISKKTNAPLEETRKKVWLVDSKGLIVKSRIGSLQHFKKPWAHDHEPIKELVDAVKAIKPTVLIGTSGVGKTFTREVVETMASLNEKPLILALSNPTSQSECTAEEAYAWTKGRAIFASGSPFDPVEYEKKLLVPGQANNAYIFPGFGLGLIMAGAIRVHDDMLLAASEALAAQVTQENYDKGMIYPPFTNIRKISANIAAKVAAKVYELGLASNLPRPKYLVKYAESCMYSPLYRSYR >ONI33778 pep chromosome:Prunus_persica_NCBIv2:G1:37710177:37716082:-1 gene:PRUPE_1G445400 transcript:ONI33778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLSRHNFLKRLVREQKVGALMGSLLKEIRNGGHSVADTESKAGLGGGVEDVYGEDCATEDQVLTPWTASVASGYTLLRDPHYNKGLAFTEKERDAHYLRGLLPPAILTQELQEKKLVQNLRQYEVPLHRYIAMMDLQERNEGLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRHPQGLYISLREKGKILEVLKNWPERGIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVPPSACLPITIDVGTNNEKLLNDEFYIGIKQKRATGQEYAELLEEFMTAVKQNYGEKVLVQFEDFANHNAFELLSKYSKTHLVFNDDIQGTASVVLAGLISSLKLLGGQLADHTFLFLGAGEAGTGIAELIALEISKKTNAPLEETRKKVWLVDSKGLIVKSRIGSLQHFKKPWAHDHEPIKELVDAVKAIKPTVLIGTSGVGKTFTREVVETMASLNEKPLILALSNPTSQSECTAEEAYAWTKGRAIFASGSPFDPVEYEKKLLVPGQANNAYIFPGFGLGLIMAGAIRVHDDMLLAASEALAAQVTQENYDKGMIYPPFTNIRKISANIAAKVAAKVYELGLASNLPRPKYLVKYAESCMYSPLYRSYR >ONI33782 pep chromosome:Prunus_persica_NCBIv2:G1:37710407:37715027:-1 gene:PRUPE_1G445400 transcript:ONI33782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGYTLLRDPHYNKGLAFTEKERDAHYLRGLLPPAILTQELQEKKLVQNLRQYEVPLHRYIAMMDLQERNEGLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRHPQGLYISLREKGKILEVLKNWPERGIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVPPSACLPITIDVGTNNEKLLNDEFYIGIKQKRATGQEYAELLEEFMTAVKQNYGEKVLVQFEDFANHNAFELLSKYSKTHLVFNDDIQGTASVVLAGLISSLKLLGGQLADHTFLFLGAGEAGTGIAELIALEISKKTNAPLEETRKKVWLVDSKGLIVKSRIGSLQHFKKPWAHDHEPIKELVDAVKAIKPTVLIGTSGVGKTFTREVVETMASLNEKPLILALSNPTSQSECTAEEAYAWTKGRAIFASGSPFDPVEYEKKLLVPGQANNAYIFPGFGLGLIMAGAIRVHDDMLLAASEALAAQVTQENYDKGMIYPPFTNIRKISANIAAKVAAKVYELGLASNLPRPKYLVKYAESCMYSPLYRSYR >ONI30203 pep chromosome:Prunus_persica_NCBIv2:G1:25205182:25207387:1 gene:PRUPE_1G237600 transcript:ONI30203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGMSLNAVVRLPLSSSRTHEDGLVRHSLVSTTTTTQKAEQRQGRKLVVEAKSKRGMMARQFQAKKPPPPAMPKIEDDGNPRFVVFMRMANVYLWYPLSVISGGTTAKIMVAAKDNFLGKYIYKDTLARNLAAVIYRDEKEIQKTAFKQYRVLRSATDFRYGYKIVENGNMRAALSTSDVIELPTKDKLKTTFDKVKDFFGDAKESFGKLTTLNLSESEESEEKSAEQEKVKG >ONI35334 pep chromosome:Prunus_persica_NCBIv2:G1:43384737:43386989:-1 gene:PRUPE_1G530700 transcript:ONI35334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPIRSKARRILSTMAAVRPSSTCSNYRRKSSASNLTNSAEVEQGTHVELLPSVKRQPVRRLEASSDSMELAHECSNCEGENVSKANNGPDLFGEMKERFLSFKKHKFLKESEHFQTLAQAQAPKFMVIACADSRVCPSNILGFQPGEAFMIRNVANLVPPFENEASETNAALEFAVNTLEVKNILVIGHSSCAGIETLMRMQDDGDSSSLTHSWVINAKVAKLRTKAVAPHLSFDQQCRHCEKESINSSLLNLRTYPWIEDRAKKEMLSLHGGYYDFLRCTFEKWTLDMNGIRPVGGGRYLDKDRELWG >ONI35333 pep chromosome:Prunus_persica_NCBIv2:G1:43383892:43387238:-1 gene:PRUPE_1G530700 transcript:ONI35333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPIRSKARRILSTMAAVRPSSTCSNYRRKSSASNLTNSAEVEQGTHVELLPSVKRQPVRRLEASSDSMELAHECSNCEGENVSKANNGPDLFGEMKERFLSFKKHKFLKESEHFQTLAQAQAPKFMVIACADSRVCPSNILGFQPGEAFMIRNVANLVPPFENEASETNAALEFAVNTLEVKNILVIGHSSCAGIETLMRMQDDGDSSLTHSWVINAKVAKLRTKAVAPHLSFDQQCRHCEKESINSSLLNLRTYPWIEDRAKKEMLSLHGGYYDFLRCTFEKWTLDMNGIRPVGGGRYLDKDRELWG >ONI30514 pep chromosome:Prunus_persica_NCBIv2:G1:26569795:26571820:-1 gene:PRUPE_1G254800 transcript:ONI30514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNAKTADEDSESSVLLPTVSATTPNKIPNDSFHLAYIIYFTLGAGYLLPWNAFITAVDYFAYLYPDESVDRIFAVAYFVVGLVCLLIIIFYAHKSDAYVRINVGLGLFVVCLLIVPLMDVFYIKGRVGLYDGFYVTVATVALSGLADALVQGSLIGAASELPETYMQAVVAGTAGSGVLVSALRIITKAVYPQNADGLRKSANLYFAVGIVVMVICLVFYNVAPRLPVMKYYAELKTQAINEEKEENGPLTPSVLRSTLWRVVDRVKWYGSGIILIYVVTLAIFPGYITEDVHSQILKDWYPIILITGYNVFDLIGKSLTSVYLLENSKVAIGGTVIRLLFFPLFYGCLHGPKFFRTEIPVTILTCLLGLTNGYLTSVLMILAPKIVQLQHAETAGIVIVLFLVVGLAVGSVVSWFWVI >ONI33557 pep chromosome:Prunus_persica_NCBIv2:G1:37005891:37009746:1 gene:PRUPE_1G432200 transcript:ONI33557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQHQPPVTGPNGVAANAGGAPGQFVSTSLYVGDLDQNVTDSQLYDLFNQVGQVVSVRVCRDLSTRRSLGYGYVNYSNPQDAARALDVLNFTPLNNKSIRIMYSHRDPSIRKSGTGNIFIKNLDKAIDHKALHDTFSSFGNILSCKIATDGTGQSKGYGFVQFDSEEAAQSAIDKLNGMLINDKPVFVGHFLRKQERDGAWNKTKFNNVYVKNISESTTEDDLKKIFGEHGQITSVVVMRDGDGKSRCFGFVNFEDPDAAAKAVDNLNGKKFDEKEWYVGKAQKKYERELELKERFEQSMKEASDKFQGVNLYIKNLDDSISDEKLKELFSEYGTVTSCKVMRDPDGNSRGSGFVAFSTTEEASRALAEMNGKMVVSKPLYVALAQRKEERRARLQAQFSQMRPVAMAPSVAPRMPMYPPGAPGLGQQFLYGQAPPSIIPPQAGFGYQQQLVPGIRPGGAPMPNFFVPMVQQGPQGQRPGGRRGAGPVQQNQQPVPMMQQHMLPRGRVYRYPPGRNMQEVPFSGVPSGMLSVPYDMGGIPMRDAAGGQGVPALATALANASTEQQRTMLGEALYPLVDQLEHESAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNVAQQQANSSADQLSSLSLNDNLVS >ONI33556 pep chromosome:Prunus_persica_NCBIv2:G1:37005891:37014202:1 gene:PRUPE_1G432200 transcript:ONI33556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQHQPPVTGPNGVAANAGGAPGQFVSTSLYVGDLDQNVTDSQLYDLFNQVGQVVSVRVCRDLSTRRSLGYGYVNYSNPQDAARALDVLNFTPLNNKSIRIMYSHRDPSIRKSGTGNIFIKNLDKAIDHKALHDTFSSFGNILSCKIATDGTGQSKGYGFVQFDSEEAAQSAIDKLNGMLINDKPVFVGHFLRKQERDGAWNKTKFNNVYVKNISESTTEDDLKKIFGEHGQITSVVVMRDGDGKSRCFGFVNFEDPDAAAKAVDNLNGKKFDEKEWYVGKAQKKYERELELKERFEQSMKEASDKFQGVNLYIKNLDDSISDEKLKELFSEYGTVTSCKVMRDPDGNSRGSGFVAFSTTEEASRALAEMNGKMVVSKPLYVALAQRKEERRARLQAQFSQMRPVAMAPSVAPRMPMYPPGAPGLGQQFLYGQAPPSIIPPQAGFGYQQQLVPGIRPGGAPMPNFFVPMVQQGPQGQRPGGRRGAGPVQQNQQPVPMMQQHMLPRGRVYRYPPGRNMQEVPFSGVPSGMLSVPYDMGGIPMRDAAGGQGVPALATALANASTEQQRTMLGEALYPLVDQLEHESAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRNVAQQQANSSADQLSSLSLNDNLVS >ONI33703 pep chromosome:Prunus_persica_NCBIv2:G1:37505920:37506294:-1 gene:PRUPE_1G441900 transcript:ONI33703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPLDQQPPPDFVLQQNANPHSPHGSIGAVVAVLVVVIILGVVAVVIGRLCSGRSIIGYGHYDLESWAETKCSSCIDGRINPPLSRPNVSCSSVSTSTPAQTHQGSELQQEEQSPQTPQPANL >ONI35416 pep chromosome:Prunus_persica_NCBIv2:G1:43698418:43700730:1 gene:PRUPE_1G534700 transcript:ONI35416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISEKNRREISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRNYLNLPSEIVPATLKKQAKPPGRPLGPSGDRPRGPPRFDGGERRFGDRDGYRSGPRAPGGDFGDKGGAPADYRPSFGGSRPGFGRGAGGSGGAGGFGAGPASSDLS >ONI29080 pep chromosome:Prunus_persica_NCBIv2:G1:15585771:15586531:1 gene:PRUPE_1G179900 transcript:ONI29080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMKGFHQKLLVVVAVVLVALASNGGFVQVCDAQNICNVSLNGLMTCRPAVTAPNPAPPTTACCSALSHADMGCLCSYKNSNLLPSLGIDPNLALQLPAKCRLPHPANC >ONI35774 pep chromosome:Prunus_persica_NCBIv2:G1:45284728:45290304:1 gene:PRUPE_1G554500 transcript:ONI35774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPRTQKSKPGPGSPIIFLVICIATIALLFLVSSLISTSEFSLSSLKTLESRLKVKNINQNHQTGHEKYLYWGDRIDCPGKHCESCAGLGHQESSLRCALEEAMFLQRTFVMPSRMCINPIHNNNEILHHSHSAISEERWTANSCSMDSLYDIDLISGTVPVILDNSKMWYRVVATSMKLGGRGAAHVEGGTRVDLRENSSFSNLLLINRTASPLAWFMECKDRKNRSAIILPYTFLPSMAAKKLRNAADKIKALLGDYDAIHVRRGDIIKTRKDRFGVNRTLHPHVDRDTHPEFILRRIEKWVPSGRTLFIASNERTPGFFSPLSVRYKLAYSSNYSHILEPLIENNYQLFMIERIIMMGAKTFINTFKEGDTGLSLTDDPKKNTKLWQIPVYSFDEEGS >ONI35776 pep chromosome:Prunus_persica_NCBIv2:G1:45284692:45290304:1 gene:PRUPE_1G554500 transcript:ONI35776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPRTQKSKPGPGSPIIFLVICIATIALLFLVSSLISTSEFSLSSLKTLESRLKVKNINQNHQTGHEKYLYWGDRIDCPGKHCESCAGLGHQESSLRCALEEAMFLQRWTANSCSMDSLYDIDLISGTVPVILDNSKMWYRVVATSMKLGGRGAAHVEGGTRVDLRENSSFSNLLLINRTASPLAWFMECKDRKNRSAIILPYTFLPSMAAKKLRNAADKIKALLGDYDAIHVRRGDIIKTRKDRFGVNRTLHPHVDRDTHPEFILRRIEKWVPSGRTLFIASNERTPGFFSPLSVRYKLAYSSNYSHILEPLIENNYQLFMIERIIMMGAKTFINTFKEGDTGLSLTDDPKKNTKLWQIPVYSFDEEGS >ONI35775 pep chromosome:Prunus_persica_NCBIv2:G1:45284604:45290309:1 gene:PRUPE_1G554500 transcript:ONI35775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPRTQKSKPGPGSPIIFLVICIATIALLFLVSSLISTSEFSLSSLKTLESRLKVKNINQNHQTGHEKYLYWGDRIDCPGKHCESCAGLGHQESSLRCALEEAMFLQRTFVMPSRMCINPIHNNNEILHHSHSAISEERWTANSCSMDSLYDIDLISGTVPVILDNSKMWYRVVATSMKLGGRGAAHVEGGTRVDLRENSSFSNLLLINRTASPLAWFMECKDRKNRSAIILPYTFLPSMAAKKLRNAADKIKALLGDYDAIHVRRGDIIKTRKDRFGVNRTLHPHVDRDTHPEFILRRIEKWVPSGRTLFIASNERTPGFFSPLSVRYKLAYSSNYSHILEPLIENNYQLFMIERIIMMGAKTFINTFKEGDTGLSLTDDPKKNTKLWQIPVYSFDEEGS >ONI30245 pep chromosome:Prunus_persica_NCBIv2:G1:25393395:25398414:1 gene:PRUPE_1G239800 transcript:ONI30245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATASGTPTIQYHNIPDQPITTIVVATPVPTFERRQRHCFGTSIPGEFPLAANPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFAPDFELSISELAALDMCQKRGIFKPMTAEQRQELKQRCGGSWKLVLKFLLAGEACFRREKSQAIAGPGHSIAVTSKGTVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIVQAAAGAGRTMLISDAGKVYAFGKDSFGETEYGVQGSKLVTTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGNDSKLGHQTEPNDVEPHPLLGALENVPVVQIAAGYCYLLALACQPNGMSVYSVGCGLGGKLGHGSRTDEKQPRLIEQFQLLNLQPMVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSNVKAVHVATGDYTTFVVSKDGDVYSFGCGESASLGHSTATDGQGNRHANVLAPELVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVANQTERGNPEQVDIDLR >ONI30243 pep chromosome:Prunus_persica_NCBIv2:G1:25393426:25398414:1 gene:PRUPE_1G239800 transcript:ONI30243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATASGTPTIQYHNIPDQPITTIVVATPVPTFERRQRHCFGTSIPGEFPLAANPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFAPDFELSISELAALDMCQKRGIFKPMTAEQRQELKQRCGGSWKLVLKFLLAGEACFRREKSQAIAGPGHSIAVTSKGTVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIVQAAAGAGRTMLISDAGKVYAFGKDSFGETEYGVQGSKLVTTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGNDSKLGHQTEPNDVEPHPLLGALENVPVVQIAAGYCYLLALACQPNGMSVYSVGCGLGGKLGHGSRTDEKQPRLIEQFQLLNLQPMVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSNVKAVHVATGDYTTFVVSKDGDVYSFGCGESASLGHSTATDGQGNRHANVLAPELVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVANQTERGNPEQVDIDLR >ONI30247 pep chromosome:Prunus_persica_NCBIv2:G1:25393426:25398414:1 gene:PRUPE_1G239800 transcript:ONI30247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQKRGIFKPMTAEQRQELKQRCGGSWKLVLKFLLAGEACFRREKSQAIAGPGHSIAVTSKGTVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIVQAAAGAGRTMLISDAGKVYAFGKDSFGETEYGVQGSKLVTTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGNDSKLGHQTEPNDVEPHPLLGALENVPVVQIAAGYCYLLALACQPNGMSVYSVGCGLGGKLGHGSRTDEKQPRLIEQFQLLNLQPMVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSNVKAVHVATGDYTTFVVSKDGDVYSFGCGESASLGHSTATDGQGNRHANVLAPELVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVANQTERGNPEQVDIDLR >ONI30246 pep chromosome:Prunus_persica_NCBIv2:G1:25393426:25396674:1 gene:PRUPE_1G239800 transcript:ONI30246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATASGTPTIQYHNIPDQPITTIVVATPVPTFERRQRHCFGTSIPGEFPLAANPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFAPDFELSISELAALDMCQKRGIFKPMTAEQRQELKQRCGGSWKLVLKFLLAGEACFRREKSQAIAGPGHSIAVTSKGTVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIVQAAAGAGRTMLISDAGKVYAFGKDSFGETEYGVQGSKLVTTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGNDSKLGHQTEPNDVEPHPLLGALENVPVVQIAAGYCYLLALACQPNGMSVYSVGCGLGGKLGHGSRTDEKQPRLIEQFQLLNLQPMVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSNVKAVHVATGDYTTFVVSKDGDVYSFGCGESASLGHSTATDGQVLVITALFPISYIT >ONI30242 pep chromosome:Prunus_persica_NCBIv2:G1:25393426:25398414:1 gene:PRUPE_1G239800 transcript:ONI30242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATASGTPTIQYHNIPDQPITTIVVATPVPTFERRQRHCFGTSIPGEFPLAANPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFAPDFELSISELAALDMCQKRGIFKPMTAEQRQELKQRCGGSWKLVLKFLLAGEACFRREKSQAIAGPGHSIAVTSKGTVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIVQAAAGAGRTMLISDAGKVYAFGKDSFGETEYGVQGSKLVTTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGNDSKLGHQTEPNDVEPHPLLGALENVPVVQIAAGYCYLLALACQPNGMSVYSVGCGLGGKLGHGSRTDEKQPRLIEQFQLLNLQPMVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSNVKAVHVATGDYTTFVVSKDGDVYSFGCGESASLGHSTATDGQGNRHANVLAPELVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVANQTERGNPEQVDIDLR >ONI30244 pep chromosome:Prunus_persica_NCBIv2:G1:25393426:25398414:1 gene:PRUPE_1G239800 transcript:ONI30244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATASGTPTIQYHNIPDQPITTIVVATPVPTFERRQRHCFGTSIPGEFPLAANPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFAPDFELSISELAALDMCQKRGIFKPMTAEQRQELKQRCGGSWKLVLKFLLAGEACFRREKSQAIAGPGHSIAVTSKGTVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIVQAAAGAGRTMLISDAGKVYAFGKDSFGETEYGVQGSKLVTTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGNDSKLGHQTEPNDVEPHPLLGALENVPVVQIAAGYCYLLALACQPNGMSVYSVGCGLGGKLGHGSRTDEKQPRLIEQFQLLNLQPMVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSNVKAVHVATGDYTTFVVSKDGDVYSFGCGESASLGHSTATDGQGNRHANVLAPELVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVANQTERGNPEQVDIDLR >ONI35856 pep chromosome:Prunus_persica_NCBIv2:G1:45511624:45515590:-1 gene:PRUPE_1G557400 transcript:ONI35856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEWKEKTSWRRKTEREKERNTMMRMSERVSWCWALIVLLALDCSGVVYSSSSSWAVDGKVLELDDSNFDSAISALDLVLVDFYAPWCGHCKRLSPQLDEAAPLLADLKQPIVIAKLNADKFTSLARKYEIDAYPTLKLFMHGVPIEYNGPRKADSLVRYLKKFAAPDVSILDSDSAISDFVQAAGTYFPIYIGFGLNESLISKLAIKYKKKAWFSVAKDFSEDVMVLYDFDKLPALASLHPTYNEHNIFYGPYEEEFLEEFIRHSLFPLAMPINYETLKLLNDDERKIVLTIVEDEDEEKSKKLIKILKSAASANRDFVFGYVGIKQWEDFADTFGANKKTRLPKMVVWDRMEEYFTVNGSESIDEEDQASQVSQFLEGYKEGRIIKERIGGPSFMGFMSSFIGIGTVYIIGFVVVVMMLIRSINKGDDEHPAVATGDQIDHASSEAENREHKSGEKED >ONI26696 pep chromosome:Prunus_persica_NCBIv2:G1:2872155:2875084:1 gene:PRUPE_1G040300 transcript:ONI26696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPEFNPSNASNSSSININTTTTTTTTSSNTVPAASPSSATSSTLSRYENQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPQSSSTTSALPVQAILPPTPPPAPPGAS >ONI31800 pep chromosome:Prunus_persica_NCBIv2:G1:31417328:31420005:-1 gene:PRUPE_1G331600 transcript:ONI31800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKFKEFIKNPFSSSSSGKFKGQGRVLGSSSSGPANSALLRQSQAPQSKPKPNPVSNSNSNSSSSAASPNPKPSPQKTQNLDQNKPEFSNNSKPNRKENGFDPFDSLITPGKRSQNGYTLNVYECPICGQSFRSEDEVSVHVDTCVNTSVDNKSAEGLGVSGSEDIGVGSSRSELEARIGTFASGNPATGSVEVVLRLLRNIVKEPENVKFRKIRMSNPKIREAIGEVVGGIELLEFVGFELKEEEGEMWAMMEVPSEEQISLMKNGIALLEPPKVQELQKIENSPSIDTPKVDEQIEPKKVDRQTKVFFSVSESVAARIELPESFYNLSAMELKREAETRKKKIEDSQMLIPRSYKEKQAKAAKNRYRRTVVRIQFPDGVVLQGVFSPWESTSSLYEIMISL >ONI31799 pep chromosome:Prunus_persica_NCBIv2:G1:31416639:31420005:-1 gene:PRUPE_1G331600 transcript:ONI31799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKFKEFIKNPFSSSSSGKFKGQGRVLGSSSSGPANSALLRQSQAPQSKPKPNPVSNSNSNSSSSAASPNPKPSPQKTQNLDQNKPEFSNNSKPNRKENGFDPFDSLITPGKRSQNGYTLNVYECPICGQSFRSEDEVSVHVDTCVNTSVDNKSAEGLGVSGSEDIGVGSSRSELEARIGTFASGNPATGSVEVVLRLLRNIVKEPENVKFRKIRMSNPKIREAIGEVVGGIELLEFVGFELKEEEGEMWAMMEVPSEEQISLMKNGIALLEPPKVQELQKIENSPSIDTPKVDEQIEPKKVDRQTKVFFSVSESVAARIELPESFYNLSAMELKREAETRKKKIEDSQMLIPRSYKEKQAKAAKNRYRRTVVRIQFPDGVVLQGVFSPWESTSSLYEYVSSALKEPSLEFELLNPVAIKRRVIPHFPRAGEKAATLADEDLVPSALVKFKPIETDSVVFTGLCNELLEISEPLTSGSAVAPV >ONI33525 pep chromosome:Prunus_persica_NCBIv2:G1:36903670:36911240:-1 gene:PRUPE_1G430600 transcript:ONI33525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRMGTLNPTLLRSISFLCVRVQHRRLGLGLVGPSGRAWCSGASESTRAAGPEGVLSSADKSTLRGVLSVKEAPKYPRWDDPDYCKWKDKEGEILNDIEPLILLAKEILHSDRYMDGERLSAEDEKVVVERLLAHHPHSEDKIGCGIDSIMVDRHPQFRHSRCLFVIRTDGIWIDFSYQKCLRAYIRDKYPSHAERFIREHFKRGSG >ONI28878 pep chromosome:Prunus_persica_NCBIv2:G1:13488480:13490065:1 gene:PRUPE_1G166100 transcript:ONI28878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSSLLGVNNGVNLLVNKQVHPIRIVKINRTEHPCSDRYIYIHEGLPSRFNYDFLNNCESLTAGAMTVSNISNMCPYFVNLGLGPVIESSEGVLANESWFSTNQFLLEVIFHNRMRQYECLTENSTLASAIYVPFYAGIDASVHLRDPNLTARDSSAKDLVRWLSSKPEWKKMWGSDHFFVAGRVSWDFRRRRDNSSNWGSKLRFLPESMNMSMLSIEGSAWKNDLAIPYPTSFHPKEDSEVVQWQNRVRKQERPHLFAFVGAPRARQKNSIRGKLIDQCQASTNCKFLHCRKNNCDNPVTIMKVFQSSVYCLQPPGDTSTRRSTFDSFVAGCIPVFFQPASAYTQYLWHLPKNHTKYSVFIPVRSAEDLKEGDIEKVLLGISKDRELAMREEVIRLIPKLVYADPRSRLKTQDAFDLAVQGILERIGNVRKEGD >ONI31661 pep chromosome:Prunus_persica_NCBIv2:G1:30948486:30949995:-1 gene:PRUPE_1G324200 transcript:ONI31661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIASRPSFLSFIVIVLTILLGPIPTLTQAGKPHHINFRSPNLYPEGVTYDPSAQHFIVGSLHHRIIVSVSDAGIADTLISDPTLPENVSVVGLTVDSVNNRLLANIHALAPLPEFNALAAYDLRTRQRLFLSPLPSDDVSDGTRQIANDVAMDFKGNAYVTNSAGNFIWKVNAQGEASIFSKSRAFTAQPVDRDLPYSFCGLNGVAYNSKGYLLVVQSNTGKMFKVDAEDGTARLVLLPEDMHFADGIAIRSDGVVLVVSHKTLWFLKSQDSWGEGAVYDKIDLDPKGFPTSVAVGAEDRAYVLRGHVMEGMTGNVEREEFSIAEVRSVKESKEESVWIFVLIGLGLAYFLFWRFQMRQLVGNLNKKTN >ONI35761 pep chromosome:Prunus_persica_NCBIv2:G1:45211540:45213565:-1 gene:PRUPE_1G553400 transcript:ONI35761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSWTNQRRTQPLLQCWCWEGHCSSALSHSLVSINYGEPWELPAFNQYHQNQMTNYRSDFNFERKERRNLSYKHFSSRTFLQGDTFFLGIFRTLDLLSPLLVTLMVRFLYAKENL >ONI32276 pep chromosome:Prunus_persica_NCBIv2:G1:33116486:33121419:-1 gene:PRUPE_1G357800 transcript:ONI32276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRGNDSNENSQQTDIALRNGREILFQAFNWESHKHDWWRNLERKVPDMGRSGFTSAWLPPATHSFAPEGYLPQNLYSLNSKYGSEHLLKGLLQKMKQHKVRPMADIVINHRVGTTQGHGGMYNRYDGISLSWDEHAVTSCTGGLGNRSTGDNFHGVPNIDHTQLFVRKDITAWLQWLRNNVGFQDFRFDFARGYSAKYVKEYIEGAKPIFSVGEYWDSCNYNGHGLDYNQDSHRQRIINWIDGAGQLSTAFDFTTKGILQEAVKGQLWRLRDPQGKPPGVIGWWPSRAVTFLDNHDTGSTQAHWPFPSNHIMEGYAYILTHPGIPSVFYDHFYDWSDSIHDQIVKLIDIRKHQDIHSRSSIRILEAQPNLYSAIIGEKVCMKIGDSSWCPTGREWTLATCGHRYAIWHK >ONI32277 pep chromosome:Prunus_persica_NCBIv2:G1:33116436:33121513:-1 gene:PRUPE_1G357800 transcript:ONI32277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRGNDSNENSQQTDIGAALRNGREILFQAFNWESHKHDWWRNLERKVPDMGRSGFTSAWLPPATHSFAPEGYLPQNLYSLNSKYGSEHLLKGLLQKMKQHKVRPMADIVINHRVGTTQGHGGMYNRYDGISLSWDEHAVTSCTGGLGNRSTGDNFHGVPNIDHTQLFVRKDITAWLQWLRNNVGFQDFRFDFARGYSAKYVKEYIEGAKPIFSVGEYWDSCNYNGHGLDYNQDSHRQRIINWIDGAGQLSTAFDFTTKGILQEAVKGQLWRLRDPQGKPPGVIGWWPSRAVTFLDNHDTGSTQAHWPFPSNHIMEGYAYILTHPGIPSVFYDHFYDWSDSIHDQIVKLIDIRKHQDIHSRSSIRILEAQPNLYSAIIGEKVCMKIGDSSWCPTGREWTLATCGHRYAIWHK >ONI28844 pep chromosome:Prunus_persica_NCBIv2:G1:13252906:13253626:-1 gene:PRUPE_1G164700 transcript:ONI28844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLGRFIRIDWCMLEWTVVRSRSSPSGSDSTFGVETHVLPTWSPCSETTTLWPSRQGSSAATRPPAQWVESEVHGGVVDEGLALKNPRLLQQRNDLLYVATSCSRGSAAARWTGRGRTRGSMPIILILKMKLVCGLWRCRSRNRFLRLLIHSFASLSVFHCFFLWNWKAYNKAFF >ONI28251 pep chromosome:Prunus_persica_NCBIv2:G1:10602602:10612696:-1 gene:PRUPE_1G134300 transcript:ONI28251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKTERFSDIVFSWSLEDIFNENLYKNQVEKIPESFHSVWQYFGSYIHPLLEETRAQVHSSMETIDKAPFAEVVGFEECNPHGVGAYGRNVYDIKVDCWRNRFSDRGKEPYKTLPGDLFVLADAKPETVSDLQRVARSWAFVSVTNVSENENEDDTTSLYFKVKASREFEVNDSTHTSLFLVFLVNLIPNGRIWKALHMSGNQKIIKEVLCTNSVAQKNNYLCSETNDGIRDKWLVESSSWGLNESQTGAVLACLEMLHCDSKPTVQLIWGPPGTGKTKTTATLLFTLLQMNCRTVICAPTNVAITEIASRFLEIVTKTESKSLFCSLGEVLLFGNKERLKVGAHIEDIYLNYRVKKLGECLGPVTGWRSCFASMIDFLEDCVSHYHVFQKNELTEEKEHNGVTELKEKECRSDTELIKGKCKSFLEFFRDRFVSTALPLRYCISTLCTHIAKNYISEHNFQNMISLIRLVETIEFLLVQDNVVSEALELLCSRSEFENVAESSFVDNTFLLGIKIGECLSVLRTLQDSLSRLHLPNVRNEESLMEFCFQRASLIFCTVSSSYKLHRVEMEPLTIAVIDEAAQLKECESTITLQLPGVKHAVLVGDECQLLATVKSNVSNEAAFCRSLFERLSSMGHSKHLLNMQYRMHPSISFFSNSNFYNNQILEAPIVKKRSHEKHYLPGSMFGPFSFINVIGGMEEKDEDGHSPKNLVEVSIILELLQNLYKVAVQDKLRQKYEKIYGFTVKVRTVEGFQGGEEDVIIMSTVRSNSHQSLDFISQPQRVNVALTRARHCLWILGHERTLLDSESVWKALVLDAKSRQCFFNADEDKNLAKAILEVKKEFDQFDDLLNADSILFRSSRWKVLFSDNFLESFKMLESIRLKKSVLNLLLKLYSGWRPKNRNVGIICGSSSPILRQYKFEGLYIVCTTDIAKDVKYVQILKIWDILPLQDIPKLVNRLESILTRYTDDFINRTSDLEVPKSWPLSLDVPRFKDLSITENQSDLVGGDDSDGRNYVENSQVSESLLLMKFYSLSSGVEMEIMLYHRSSFIVGRSGTGKTTILTMKLFQNEQCHQLAVQGCHSSQNSINQSSSATMGRTPLRQLFVTKHVLHLKSFACGGGSDSTERSLIDMADFDEDEAQFKDMKDSFHDIPPNSYPLVITFHKFLMMLDGTPSAEMRETNSYFERFLDATKLTHSQLQSSRSVALETFIRTKEVKYERFSSSYWPHFNIQLTKKLDASRVFTEIISHIKGGLGSIEAGDGKLTREDYVQLSEGRTSNLSKQKREEIYDIFQAYEKMKMENGDFDLADFVIDLHRRLRSEKYGGDQMDFVYIDEVQDLTISQIALFKHMCSNVEEGFIFSGDTAQTIARGIDFRFQDIRHLFHNKFVLESRSNKLEERKEKGQISKMFHLTQNFRTHTGVLKLSQSIVEVIYRFFPHYIDVLKPETSLIYGEAPVLLKSGENENAIIKLFGNCATGSGNIVGCGAEQVILVRDDAARKEVSMFVGKHALVLTIVECKGLEFMDVLLYNFFGSSPLKNQWRVIYDYMKEEDLLDSTLPQRFPSFNEAKHNILCSELKQLYVAVTRTRQRLWICENVEELSKPMFDYWKKKCLVQVRQLDDSLAQAMQVASSPEEWKSQGIKLYHEHNYEMAIICFQRAGDTYWERSSKAANLKAMADRMRTSNPDKANSILREAAEIFDDLGKADSAARCFSDLGDYERAAWIYMDKGGMSDLERAAECFSLAGCYKDAADAYAKGNFFSECLTVCAKGKLFEMGLQYINYWKKHATEDCVVARRGEGIDKIEQEFLESCALHYYELKDNRSMMKFVNAFDSIILMRNFLKKLDSLDELLLLEEEHGNYLEAAEIAKLKGDILLEADFLEKAGKSREASLHILFYVLANSLWSNGRKGWPIRHISQKKELLSKAKSFAKNKTESFYELVCTEVDILLNEQSDLALIKNHMSVCQRHKSIKGELLSARKILDAHISSSSNNYGDLCFDYLGVWRLYHNLSPVYVLLISDADWIRGLDKRCFKKHGNLVSVDVHQLVSAARKYWSSEMLSVGMKVLDKLENLYNKFPKKNADPVFCQSRCLTHICEVSVYLLQSKCLKLRDQDTERLQRRVKFSTESVVTNIFPMDWRSSLKENMISLRRTDALKNALEQVIVEYTSSKTELSFGQIGRLVMVILGSGKLNSELYEKLVEKLDRHQPWEEFIENLCGNIGPGNSSQEPREVSVMLKFCDALVDTYNVNWRVVNDYISPGCFLYLVERLVIWATCFKGYAITTSSCFIEWLIYQEEDADVSSIVADVQPSLVAILNVVRECVFNKRDMVDWIKKTNENWKNYYSQLILRFVVVLCLVYVNFGTGQDILYDLLGRGFITEQLPWEFYDALKRRRIHKSFSINVSVLAAAFQKISNTLVIASFGSDCSRFLCSDAIFVDMKANRSRDDILRKLFPKPHVLQASQDTSVESGANSSKILPSNSDVVEPLETGDAGGVASHSIGEKHCTDMPSTATSKPQPISSSASQPKASDTQIPSNPEPKETVPDPNAEKADASASSVQGTEASNQVAISPQYSSQKLVIDETISTSPIDKESHTVIDTTPQSEVLETQVASTSE >ONI26515 pep chromosome:Prunus_persica_NCBIv2:G1:2153537:2156762:-1 gene:PRUPE_1G030000 transcript:ONI26515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVQLPWNVVIPAESLDIKGLMLQKSIVVQLLDEFASRKATKDLGYLLALTTLESIGEGRVREHTGDVLFPVVFSGVTFKLFRGEIAEGVVHKVLKHGVFLSCGPVENIYLSKLKMPDYDYVPGENPVFMNSKMSKIEKGFTVRFIVIGTKWLESEREFQALVGLHADYLGPVS >ONI26512 pep chromosome:Prunus_persica_NCBIv2:G1:2153753:2154283:-1 gene:PRUPE_1G030000 transcript:ONI26512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVQLPWNVVIPAESLDIKGLMLQKSIVVQLLDEFASRKATKDLGYLLALTTLESIGEGRVREHTGDVLFPVVFSGVTFKLFRGEIAEGVVHKVLKHGVFLSCGPVENIYLSKLKMPDYDYVPGENPVFMNSKMSKIEKGFTVRFIVIGTKWLESEREFQALVGLHADYLGPVS >ONI26514 pep chromosome:Prunus_persica_NCBIv2:G1:2153537:2156763:-1 gene:PRUPE_1G030000 transcript:ONI26514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVQLPWNVVIPAESLDIKGLMLQKSIVVQLLDEFASRKATKDLGYLLALTTLESIGEGRVREHTGDVLFPVVFSGVTFKLFRGEIAEGVVHKVLKHGVFLSCGPVENIYLSKLKMPDYDYVPGENPVFMNSKMSKIEKGFTVRFIVIGTKWLESEREFQALVGLHADYLGPVS >ONI26513 pep chromosome:Prunus_persica_NCBIv2:G1:2153537:2155830:-1 gene:PRUPE_1G030000 transcript:ONI26513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVQLPWNVVIPAESLDIKGLMLQKSIVVQLLDEFASRKATKDLGYLLALTTLESIGEGRVREHTGDVLFPVVFSGVTFKLFRGEIAEGVVHKVLKHGVFLSCGPVENIYLSKLKMPDYDYVPGENPVFMNSKMSKIEKGFTVRFIVIGTKWLESEREFQALVGLHADYLGPVS >ONI26716 pep chromosome:Prunus_persica_NCBIv2:G1:2943978:2950693:1 gene:PRUPE_1G041100 transcript:ONI26716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSGNRPMLDGNEEKAIVLYQPDASQPEANGSTAQGENSKVQLLKVLETRKTMLQKEQGMAFARAVAAGFDIDHLPPLISFAECFGASRLMDACRRYKELWKRKHETGQWLEIEAAETVATRSEFSAMNASGIMLSSVTNKQNEVAWENNGKSTSEEKLPVDHQQPLSHQEYFPGQFPHQMFPPWPVHSSPGALPVYPPYPMQGMPYYQNYPGNSPFFQPPYPTVEDPRLNQGQRMKQKRHSMDSANGNLESETLETDGLRTRSSDDAELENESLKSRESRKKGSRSGKKQSGTVVIRNINYITSKGKNSSDSESQSTSDSQTDEEGGSFQGGIPDMKVISSRKSSKRKGNHKQSIDRFNSSEKEEMVSMKEGDEGNWQAFQNFLLRDPDEDRRDLDQGMFSMEKKGQLKRRQNTLGDDPLISGGLQRGEIQEGSTTDINKYSGNVTRLQKSSNDALLISAREDQLGHSRSIDGQMDLRSTEIDGRRGGYRRNANDDFMIHRRDSQSGFTTSPSDPLAVNGFDRATYSMDRRSSNNVDDDSYIVPFRSISLDHVENNDRNAIDMGSEFPSAVQKAENMAQVNYEPDELTLMPERGAEKGSIGYDPALDYEMQVHAKEGASLDKKQKEVVSDNKQGSKKADKDRKSKLVSDTSDKKIGGPIRKGKTSKLSPLDEARARAEKLRSFKADLQKMKKEKEEEEMKRLEALKIQRQKRIAARGGGIPAQSPLPSQQTRKQGLTKLSPSTHKGSKFSDSDPGSSSPLQRVPIKTPSMGSADSHKTSKSSKLNSGIHSAGNRLSRSASSLPEKNDNVGVTSDAKPSMARIRRLSEPKVTNSHHVSSVKPRSTVTVSKPKVSDGPESKKISAIVNYDKSKAATLPELKIRTSKGPDVAQSTSTTRGTTQKDNSLKSTSEGAQLKRNDDKISHHNDGDDNTVIEKTVVMLEKSSIPIVHASEESLRDAKGHNIREKTEVVSEYAAIRAPVYPPTIATIDREPTNDLLKQQVQSHEAARSNMEKEPEIFSSNSTVEKPYQVPYVRVSSLEDPCTHNSEYGKAPPTSLETGATGTVTMKALVSDSSNLKLEKIPEAIERPQVKESSKGFRRLLKFGRKNHGSSSGERNVESDNVSTNGSEVDDNGINTVSSSEVFTLKNLISQDETPNSSATLKSSRHFSLLSPFRSKTSEKKLATS >ONI26715 pep chromosome:Prunus_persica_NCBIv2:G1:2942779:2950693:1 gene:PRUPE_1G041100 transcript:ONI26715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGYSIILEPESGSDATWFTKSTVERFVRFVSTPEVLERVYTLESEILQIEEAIAIQGNNDMALNPVEENHGKPVDSIEGNRPMLDGNEEKAIVLYQPDASQPEANGSTAQGENSKVQLLKVLETRKTMLQKEQGMAFARAVAAGFDIDHLPPLISFAECFGASRLMDACRRYKELWKRKHETGQWLEIEAAETVATRSEFSAMNASGIMLSSVTNKQNEVAWENNGKSTSEEKLPVDHQQPLSHQEYFPGQFPHQMFPPWPVHSSPGALPVYPPYPMQGMPYYQNYPGNSPFFQPPYPTVEDPRLNQGQRMKQKRHSMDSANGNLESETLETDGLRTRSSDDAELENESLKSRESRKKGSRSGKKQSGTVVIRNINYITSKGKNSSDSESQSTSDSQTDEEGGSFQGGIPDMKVISSRKSSKRKGNHKQSIDRFNSSEKEEMVSMKEGDEGNWQAFQNFLLRDPDEDRRDLDQGMFSMEKKGQLKRRQNTLGDDPLISGGLQRGEIQEGSTTDINKYSGNVTRLQKSSNDALLISAREDQLGHSRSIDGQMDLRSTEIDGRRGGYRRNANDDFMIHRRDSQSGFTTSPSDPLAVNGFDRATYSMDRRSSNNVDDDSYIVPFRSISLDHVENNDRNAIDMGSEFPSAVQKAENMAQVNYEPDELTLMPERGAEKGSIGYDPALDYEMQVHAKEGASLDKKQKEVVSDNKQGSKKADKDRKSKLVSDTSDKKIGGPIRKGKTSKLSPLDEARARAEKLRSFKADLQKMKKEKEEEEMKRLEALKIQRQKRIAARGGGIPAQSPLPSQQTRKQGLTKLSPSTHKGSKFSDSDPGSSSPLQRVPIKTPSMGSADSHKTSKSSKLNSGIHSAGNRLSRSASSLPEKNDNVGVTSDAKPSMARIRRLSEPKVTNSHHVSSVKPRSTVTVSKPKVSDGPESKKISAIVNYDKSKAATLPELKIRTSKGPDVAQSTSTTRGTTQKDNSLKSTSEGAQLKRNDDKISHHNDGDDNTVIEKTVVMLEKSSIPIVHASEESLRDAKGHNIREKTEVVSEYAAIRAPVYPPTIATIDREPTNDLLKQQVQSHEAARSNMEKEPEIFSSNSTVEKPYQVPYVRVSSLEDPCTHNSEYGKAPPTSLETGATGTVTMKALVSDSSNLKLEKIPEAIERPQVKESSKGFRRLLKFGRKNHGSSSGERNVESDNVSTNGSEVDDNGINTVSSSEVFTLKNLISQDETPNSSATLKSSRHFSLLSPFRSKTSEKKLATS >ONI26714 pep chromosome:Prunus_persica_NCBIv2:G1:2941992:2950710:1 gene:PRUPE_1G041100 transcript:ONI26714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTRLDSALFQLTPTRTRYDLVISANGKTEKIASGLLNPFLSHLKTAQEQMAKGGYSIILEPESGSDATWFTKSTVERFVRFVSTPEVLERVYTLESEILQIEEAIAIQGNNDMALNPVEENHGKPVDSIEGNRPMLDGNEEKAIVLYQPDASQPEANGSTAQGENSKVQLLKVLETRKTMLQKEQGMAFARAVAAGFDIDHLPPLISFAECFGASRLMDACRRYKELWKRKHETGQWLEIEAAETVATRSEFSAMNASGIMLSSVTNKQNEVAWENNGKSTSEEKLPVDHQQPLSHQEYFPGQFPHQMFPPWPVHSSPGALPVYPPYPMQGMPYYQNYPGNSPFFQPPYPTVEDPRLNQGQRMKQKRHSMDSANGNLESETLETDGLRTRSSDDAELENESLKSRESRKKGSRSGKKQSGTVVIRNINYITSKGKNSSDSESQSTSDSQTDEEGGSFQGGIPDMKVISSRKSSKRKGNHKQSIDRFNSSEKEEMVSMKEGDEGNWQAFQNFLLRDPDEDRRDLDQGMFSMEKKGQLKRRQNTLGDDPLISGGLQRGEIQEGSTTDINKYSGNVTRLQKSSNDALLISAREDQLGHSRSIDGQMDLRSTEIDGRRGGYRRNANDDFMIHRRDSQSGFTTSPSDPLAVNGFDRATYSMDRRSSNNVDDDSYIVPFRSISLDHVENNDRNAIDMGSEFPSAVQKAENMAQVNYEPDELTLMPERGAEKGSIGYDPALDYEMQVHAKEGASLDKKQKEVVSDNKQGSKKADKDRKSKLVSDTSDKKIGGPIRKGKTSKLSPLDEARARAEKLRSFKADLQKMKKEKEEEEMKRLEALKIQRQKRIAARGGGIPAQSPLPSQQTRKQGLTKLSPSTHKGSKFSDSDPGSSSPLQRVPIKTPSMGSADSHKTSKSSKLNSGIHSAGNRLSRSASSLPEKNDNVGVTSDAKPSMARIRRLSEPKVTNSHHVSSVKPRSTVTVSKPKVSDGPESKKISAIVNYDKSKAATLPELKIRTSKGPDVAQSTSTTRGTTQKDNSLKSTSEGAQLKRNDDKISHHNDGDDNTVIEKTVVMLEKSSIPIVHASEESLRDAKGHNIREKTEVVSEYAAIRAPVYPPTIATIDREPTNDLLKQQVQSHEAARSNMEKEPEIFSSNSTVEKPYQVPYVRVSSLEDPCTHNSEYGKAPPTSLETGATGTVTMKALVSDSSNLKLEKIPEAIERPQVKESSKGFRRLLKFGRKNHGSSSGERNVESDNVSTNGSEVDDNGINTVSSSEVFTLKNLISQDETPNSSATLKSSRHFSLLSPFRSKTSEKKLATS >ONI34058 pep chromosome:Prunus_persica_NCBIv2:G1:38551080:38555121:-1 gene:PRUPE_1G461100 transcript:ONI34058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRR >ONI34065 pep chromosome:Prunus_persica_NCBIv2:G1:38552218:38553595:-1 gene:PRUPE_1G461100 transcript:ONI34065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34071 pep chromosome:Prunus_persica_NCBIv2:G1:38551080:38555121:-1 gene:PRUPE_1G461100 transcript:ONI34071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34072 pep chromosome:Prunus_persica_NCBIv2:G1:38551080:38555122:-1 gene:PRUPE_1G461100 transcript:ONI34072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34062 pep chromosome:Prunus_persica_NCBIv2:G1:38552218:38553595:-1 gene:PRUPE_1G461100 transcript:ONI34062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34067 pep chromosome:Prunus_persica_NCBIv2:G1:38552218:38553595:-1 gene:PRUPE_1G461100 transcript:ONI34067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34066 pep chromosome:Prunus_persica_NCBIv2:G1:38551080:38555119:-1 gene:PRUPE_1G461100 transcript:ONI34066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34070 pep chromosome:Prunus_persica_NCBIv2:G1:38552218:38553595:-1 gene:PRUPE_1G461100 transcript:ONI34070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34057 pep chromosome:Prunus_persica_NCBIv2:G1:38551080:38555110:-1 gene:PRUPE_1G461100 transcript:ONI34057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRR >ONI34064 pep chromosome:Prunus_persica_NCBIv2:G1:38551080:38555123:-1 gene:PRUPE_1G461100 transcript:ONI34064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34061 pep chromosome:Prunus_persica_NCBIv2:G1:38551080:38555110:-1 gene:PRUPE_1G461100 transcript:ONI34061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34068 pep chromosome:Prunus_persica_NCBIv2:G1:38552218:38553595:-1 gene:PRUPE_1G461100 transcript:ONI34068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34069 pep chromosome:Prunus_persica_NCBIv2:G1:38552218:38553595:-1 gene:PRUPE_1G461100 transcript:ONI34069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34063 pep chromosome:Prunus_persica_NCBIv2:G1:38552218:38553595:-1 gene:PRUPE_1G461100 transcript:ONI34063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34060 pep chromosome:Prunus_persica_NCBIv2:G1:38551080:38555123:-1 gene:PRUPE_1G461100 transcript:ONI34060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRR >ONI34074 pep chromosome:Prunus_persica_NCBIv2:G1:38552218:38553595:-1 gene:PRUPE_1G461100 transcript:ONI34074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34059 pep chromosome:Prunus_persica_NCBIv2:G1:38551080:38555121:-1 gene:PRUPE_1G461100 transcript:ONI34059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRR >ONI34075 pep chromosome:Prunus_persica_NCBIv2:G1:38551080:38555121:-1 gene:PRUPE_1G461100 transcript:ONI34075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34073 pep chromosome:Prunus_persica_NCBIv2:G1:38552218:38553595:-1 gene:PRUPE_1G461100 transcript:ONI34073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34076 pep chromosome:Prunus_persica_NCBIv2:G1:38552089:38555122:-1 gene:PRUPE_1G461100 transcript:ONI34076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSSRRRRSRFYDN >ONI34056 pep chromosome:Prunus_persica_NCBIv2:G1:38551080:38555124:-1 gene:PRUPE_1G461100 transcript:ONI34056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDSNERGVGLQPSSFNMEDVELNTNWEDVTCPICLDFPHNGVLLQCSSYEKGCHAFVCDTNHLHSNCLDRFKSAYGMSSPSKSDVSPAVNTEQMASEEDCRPTCPLCRGEVTGWVVVDKARRHLDVKKRCCEEHQCTFMGTYLELQKHAQLEHPHSRPSKIDPARQLDWDNFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDETGDEFEDFHGDERNWWTSCILYQVFDNLRNSRNRRRSRVGDARRGSRRASYDNSNSDEGSVTSVEFPEYRADETDDDFMSTNGSSRGGSSHRSA >ONI32541 pep chromosome:Prunus_persica_NCBIv2:G1:33879093:33881341:1 gene:PRUPE_1G371800 transcript:ONI32541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVGSASAKRMCCSVPERLQLHGAMLALQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLMLLPFAYFLEKYDRPAITLNFLVQFFLLALIGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAAVLRIEHVRLNRKDGIAKVIGTIFCVAGASVITLYKGPTIYSPTPPLQMMRLMGNATSIVSSSSSLSSSSSSSAIMSTLGDANGKSWTLGCLYLIGHCLSWSGWLVFQAPVLKKYPARLSVTSYTCFFGLIQFIVIAAIFERDAQAWIFHNGGEVFSILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASVALGEEFYLGGIIGAVLIIVGLYLVLWGKNEERKFGLIAQANSRAGILSTPEHANNRKSQAKTSLTQPLIPPSTENV >ONI32542 pep chromosome:Prunus_persica_NCBIv2:G1:33879050:33881684:1 gene:PRUPE_1G371800 transcript:ONI32542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVGSASAKRMCCSVPERLQLHGAMLALQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLMLLPFAYFLEKKDRPAITLNFLVQFFLLALIGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAAVLRIEHVRLNRKDGIAKVIGTIFCVAGASVITLYKGPTIYSPTPPLQMMRLMGNATSIVSSSSSLSSSSSSSAIMSTLGDANGKSWTLGCLYLIGHCLSWSGWLVFQAPVLKKYPARLSVTSYTCFFGLIQFIVIAAIFERDAQAWIFHNGGEVFSILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASVALGEEFYLGGIIGAVLIIVGLYLVLWGKNEERKFGLIAQANSRAGILSTPEHANNRKSQAKTSLTQPLIPPSTENV >ONI28274 pep chromosome:Prunus_persica_NCBIv2:G1:10666089:10669754:-1 gene:PRUPE_1G135200 transcript:ONI28274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALTSNRKRGDECLSLNSAHPSPYSQNFQISKRPRFSYVKQIPDRPILSSNSVASRVSRYPDIKPPLTRVHAPCRTGKFGFFSNREDSSPKTRGVHEKERSGVIMGSLLSFHLEKAKSRALDTFRYLNKGKEVIELDEEVETDRVSEDSSIEEVLAIEEDDREGPSVVDYVQELDTKMVDCGPQGTQPSASSVVSDLTTLTNGPFKVDSAGKMLDSLSLNREVEVPSVVVYKQLLESVERRTPKLEHLHSQIEFNVSKLSLLESLRPQKKPVKVVPDEPFVALRKEEMAEVEQAFSSANRRRVLVTHENSNIEITGEMLQCLRPRAWLNDEVINVYFELLKEREKREPQNFLKCHFFNTFFYKKLIGGKSNYDYKSVRRWTTQKKLGYSLIDCDKIFVPIHKEIHWCLAVINKAEEKLQYLDSLKGRDTQVMNRLAKYYVDEVKDKCGKDINLSSWKLEYVEDLPEQENGFDCGVFMIKYADFYSRGLDLCFKQEHMPYFRLRTAKEILKLRAD >ONI28276 pep chromosome:Prunus_persica_NCBIv2:G1:10667669:10670279:-1 gene:PRUPE_1G135200 transcript:ONI28276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALTSNRKRGDECLSLNSAHPSPYSQNFQISKRPRFSYVKQIPDRPILSSNSVASRVSRYPDIKPPLTRVHAPCRTGKFGFFSNREDSSPKTRGVHEKERSGVIMGSLLSFHLEKAKSRALDTFRYLNKGKEVIELDEEVETDRVSEDSSIEEVLAIEEDDREGPSVVDYVQELDTKMVDCGPQGTQPSASSVVSDLTTLTNGPFKVDSAGKMLDSLSLNREVEVPSVVVYKQLLESVERRTPKLEHLHSQIEFNVSKLSLLESLRPQKKPVKVVPDEPFVALRKEEMAEVEQAFSSANRRRVLVTHENSNIEITGEMLQCLRPRAWLNDEVINVYFELLKEREKREPQNFLKCHFFNTFFYKKARRLGWAESSAMCLLG >ONI28273 pep chromosome:Prunus_persica_NCBIv2:G1:10665664:10670278:-1 gene:PRUPE_1G135200 transcript:ONI28273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALTSNRKRGDECLSLNSAHPSPYSQNFQISKRPRFSYVKQIPDRPILSSNSVASRVSRYPDIKPPLTRVHAPCRTGKFGFFSNREDSSPKTRGVHEKERSGVIMGSLLSFHLEKAKSRALDTFRYLNKGKEVIELDEEVETDRVSEDSSIEEVLAIEEDDREGPSVVDYVQELDTKMVDCGPQGTQPSASSVVSDLTTLTNGPFKVDSAGKMLDSLSLNREVEVPSVVVYKQLLESVERRTPKLEHLHSQIEFNVSKLSLLESLRPQKKPVKVVPDEPFVALRKEEMAEVEQAFSSANRRRVLVTHENSNIEITGEMLQCLRPRAWLNDELIGGKSNYDYKSVRRWTTQKKLGYSLIDCDKIFVPIHKEIHWCLAVINKAEEKLQYLDSLKGRDTQVMNRLAKYYVDEVKDKCGKDINLSSWKLEYVEDLPEQENGFDCGVFMIKYADFYSRGLDLCFKQEHMPYFRLRTAKEILKLRAD >ONI26818 pep chromosome:Prunus_persica_NCBIv2:G1:3375123:3375873:1 gene:PRUPE_1G047800 transcript:ONI26818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGRQRQSLRNGSEEQSLLSRVSQSGLVQQTKRAANDASLVTKKLMKSTGKAAWILGTTFLILGVPLIIAMDREQQFNELELQQANILGSPSSLK >ONI28608 pep chromosome:Prunus_persica_NCBIv2:G1:11934382:11939408:1 gene:PRUPE_1G151200 transcript:ONI28608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSSSSSASSSSSTGSWFSGVVRGRSDRSGSVKMGSDSVSGGSGDFSGSVVRKNQFRGVLFKYGPKPIQVAFKTGDYRQQVIFIGGLTDGFLATDYLEPLAIALDKEKWSLVQPLLSSSYSGYGTSSLQQDAMELDQLISHFINKEDSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYRATLPETAAMIDLASTMISEGRGSELLPSEADPGAPITAYRYHSLCAYNGDDDLFSSDLTDDQLRLRLGHMANTPCQVMYSMADEYVPDYVDKKALVQRLCKAMGGAEKVEIEYGNHSLSNRVDEAVHAIIDFVKREGPKGWDDPWH >ONI28607 pep chromosome:Prunus_persica_NCBIv2:G1:11933978:11939408:1 gene:PRUPE_1G151200 transcript:ONI28607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLDLPVPVAPITKITLSFRRKNGSMLMLFRLTREEKEKIKTHVAFKTGDYRQQVIFIGGLTDGFLATDYLEPLAIALDKEKWSLVQPLLSSSYSGYGTSSLQQDAMELDQLISHFINKEDSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYRATLPETAAMIDLASTMISEGRGSELLPSEADPGAPITAYRYHSLCAYNGDDDLFSSDLTDDQLRLRLGHMANTPCQVMYSMADEYVPDYVDKKALVQRLCKAMGGAEKVEIEYGNHSLSNRVDEAVHAIIDFVKREGPKGWDDPWH >ONI33736 pep chromosome:Prunus_persica_NCBIv2:G1:37624915:37627881:1 gene:PRUPE_1G443900 transcript:ONI33736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAENQAQEKASSEVSATETRRWTLNDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIVQVDLKFPPKPIVSSHAKDLISQMLVKDSSQRLPLHKLLEHPWIVQNAEPSGVYRI >ONI32712 pep chromosome:Prunus_persica_NCBIv2:G1:34350660:34355360:1 gene:PRUPE_1G381400 transcript:ONI32712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEDFELFSEEAAPPVRETKLKRLKKAIRRVSISEEPLLDKSESGRVNSSDFEALSLEESNEPSRSRIGSESLRGESEINPGFDGFCGEAETNSGFDGLGGEGEANSGLDGLGGEGEANSGLDGLGGEGEANSGSDGLGGEGEMNSSFDGLGGGEDGSGAKRVLDFGSLVEEFREEVEDRNQEMSEESGDVRMNEPDKKRRSSDGFEEDKGKKKSKRAKSEITAAKGKRRTEKERRDHLKQLHAESQRLLRETRDAAFKPIPLVQKPISSVLEKIRKRKLEVLQKCSGTVMGSQLHALEEPIASQTVMGSIANGMSMDRSNDDEEQSSSENISSQMDVDKDSKQAFRAPIDDTQELFSDSQTTDSKDDLPNEAPSSPLEEVFAPSILAMNLKLDSAPPYDVSSDEEDDNDKENINPHLTGVSDLPSSPIGDPVKAFVDDEAEEEDDSDHDLFRFQDSEEDEEDGDAAELNDMIATGYEERPMDSERRNELHQKWLEQQDASGTEKLMQKLKFGSKLKETTLLEEKDADGEKDADIEEYADGEEDANGEEDADGEEDEYGGEDTNAVQMSLRQIKQMIPLMFTDNDDAYLSSDDDETEKRLAKQCLSEKAEEQATFLSPAEDESCREVFGFIKKLNVVPDTKKAKTPSFSSVPLMGGDRDISSKSSFLGRGSNHSLPSSRKHGLGTVRSIIFGRGGSNSRSTSSVLEESSDTIQRENPPTRTTSARLSNSKVTTSSQKSSAEAVVQTDSSAEAVPKANTSAEAVAQTDASAEAGGKNTGTSPSLLKILRQSSLHAKRCTEDTMIGQTQIESVLASFKVGRKPVQTKRRT >ONI32711 pep chromosome:Prunus_persica_NCBIv2:G1:34350660:34355369:1 gene:PRUPE_1G381400 transcript:ONI32711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEMDSDEDFELFSEEAAPPVRETKLKRLKKAIRRVSISEEPLLDKSESGRVNSSDFEALSLEESNEPSRSRIGSESLRGESEINPGFDGFCGEAETNSGFDGLGGEGEANSGLDGLGGEGEANSGLDGLGGEGEANSGSDGLGGEGEMNSSFDGLGGGEDGSGAKRVLDFGSLVEEFREEVEDRNQEMSEESGDVRMNEPDKKRRSSDGFEEDKGKKKSKRAKSEITAAKGKRRTEKERRDHLKQLHAESQRLLRETRDAAFKPIPLVQKPISSVLEKIRKRKLEVLQKCSGTVMGSQLHALEEPIASQTVMGSIANGMSMDRSNDDEEQSSSENISSQMDVDKDSKQAFRAPIDDTQELFSDSQTTDSKDDLPNEAPSSPLEEVFAPSILAMNLKLDSAPPYDVSSDEEDDNDKENINPHLTGVSDLPSSPIGDPVKAFVDDEAEEEDDSDHDLFRFQDSEEDEEDGDAAELNDMIATGYEERPMDSERRNELHQKWLEQQDASGTEKLMQKLKFGSKLKETTLLEEKDADGEKDADIEEYADGEEDANGEEDADGEEDEYGGEDTNAVQMSLRQIKQMIPLMFTDNDDAYLSSDDDETEKRLAKQCLSEKAEEQATFLSPAEDESCREVFGFIKKLNVVPDTKKAKTPSFSSVPLMGGDRDISSKSSFLGRGSNHSLPSSRKHGLGTVRSIIFGRGGSNSRSTSSVLEESSDTIQRENPPTRTTSARLSNSKVTTSSQKSSAEAVVQTDSSAEAVPKANTSAEAVAQTDASAEAGGKNTGTSPSLLKILRQSSLHAKRCTEDTMIGQTQIESVLASFKVGRKPVQTKRRT >ONI28989 pep chromosome:Prunus_persica_NCBIv2:G1:14644373:14654823:1 gene:PRUPE_1G174100 transcript:ONI28989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQWACVSAANLSCQASIINTQKLRNTPRCDAFSFKGSEFMAQSCRFLSPQTIYGRPRNGACPLKVVCVDYPRPDLDNTANFLEAAYFSSTFRASPRPAKPLKVVIAGAGLAGLATAKYLADAGHKPILLEARDVLGGKVAAWKDKDGDWYETGLHIFFGAYPNIQNLFGELGIDDRLQWKEHSMIFAMPSKPGEFSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKIKFAIGLLPAILGGQAYVEAQDGLSVKDWMRKQGIPDRVTTEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGSPPERLCAPIVDHIQSLGGEVRINSRIQRIELNKDGTVKSFVLNNGSMIEADAYVFATPVDILKLLLPDNWKEIPYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWISCSDSEIIDATLKELAKLFPDEIAVDQSKAKILKYHVVKTPRSVYKTVPGCEPCRPLQRSPLEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQDYELLVARGQTRVAEASVR >ONI28990 pep chromosome:Prunus_persica_NCBIv2:G1:14644382:14654083:1 gene:PRUPE_1G174100 transcript:ONI28990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQWACVSAANLSCQASIINTQKLRNTPRCDAFSFKGSEFMAQSCRFLSPQTIYGRPRNGACPLKVVCVDYPRPDLDNTANFLEAAYFSSTFRASPRPAKPLKVVIAGAGLAGLATAKYLADAGHKPILLEARDVLGGKVAAWKDKDGDWYETGLHIFFGAYPNIQNLFGELGIDDRLQWKEHSMIFAMPSKPGEFSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKIKFAIGLLPAILGGQAYVEAQDGLSVKDWMRKQGIPDRVTTEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGSPPERLCAPIVDHIQSLGGEVRINSRIQRIELNKDGTVKSFVLNNGSMIEADAYVFATPVDILKLLLPDNWKEIPYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWISCSDSEIIDATLKELAKLFPDEIAVDQSKAKILKYHVVKTPRSVYKTVPGCEPCRPLQRSPLEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQVISIRTPEFIWHDEHSCSSMSEFT >ONI26554 pep chromosome:Prunus_persica_NCBIv2:G1:2190554:2195744:-1 gene:PRUPE_1G030800 transcript:ONI26554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSGNVDREGADILLIESDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26539 pep chromosome:Prunus_persica_NCBIv2:G1:2190341:2195744:-1 gene:PRUPE_1G030800 transcript:ONI26539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSGNVDREGADILLIESDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGLPAAETRNCSQLKIIYERLQGIYF >ONI26550 pep chromosome:Prunus_persica_NCBIv2:G1:2190554:2195744:-1 gene:PRUPE_1G030800 transcript:ONI26550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSGNVDREGADILLIESDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26536 pep chromosome:Prunus_persica_NCBIv2:G1:2189871:2198222:-1 gene:PRUPE_1G030800 transcript:ONI26536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGLPAAETRNCSQLKIIYERLQGIYF >ONI26548 pep chromosome:Prunus_persica_NCBIv2:G1:2190554:2195744:-1 gene:PRUPE_1G030800 transcript:ONI26548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26541 pep chromosome:Prunus_persica_NCBIv2:G1:2189630:2198222:-1 gene:PRUPE_1G030800 transcript:ONI26541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSGNVDREGADILLIESDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGLPAAETRNCSQLKIIYERLQGIYF >ONI26545 pep chromosome:Prunus_persica_NCBIv2:G1:2190063:2196399:-1 gene:PRUPE_1G030800 transcript:ONI26545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26543 pep chromosome:Prunus_persica_NCBIv2:G1:2190035:2198222:-1 gene:PRUPE_1G030800 transcript:ONI26543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSGNVDREGADILLIESDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGLPAAETRNCSQLKIIYERLQGIYF >ONI26544 pep chromosome:Prunus_persica_NCBIv2:G1:2190554:2195744:-1 gene:PRUPE_1G030800 transcript:ONI26544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26538 pep chromosome:Prunus_persica_NCBIv2:G1:2190063:2198222:-1 gene:PRUPE_1G030800 transcript:ONI26538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGLPAAETRNCSQLKIIYERLQGIYF >ONI26552 pep chromosome:Prunus_persica_NCBIv2:G1:2190554:2195744:-1 gene:PRUPE_1G030800 transcript:ONI26552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSGNVDREGADILLIESDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26546 pep chromosome:Prunus_persica_NCBIv2:G1:2190063:2198222:-1 gene:PRUPE_1G030800 transcript:ONI26546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26534 pep chromosome:Prunus_persica_NCBIv2:G1:2189940:2198222:-1 gene:PRUPE_1G030800 transcript:ONI26534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGLPAAETRNCSQLKIIYERLQGIYF >ONI26537 pep chromosome:Prunus_persica_NCBIv2:G1:2189725:2198222:-1 gene:PRUPE_1G030800 transcript:ONI26537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGLPAAETRNCSQLKIIYERLQGIYF >ONI26551 pep chromosome:Prunus_persica_NCBIv2:G1:2190554:2195744:-1 gene:PRUPE_1G030800 transcript:ONI26551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSGNVDREGADILLIESDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26535 pep chromosome:Prunus_persica_NCBIv2:G1:2189726:2198222:-1 gene:PRUPE_1G030800 transcript:ONI26535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGLPAAETRNCSQLKIIYERLQGIYF >ONI26555 pep chromosome:Prunus_persica_NCBIv2:G1:2190554:2195744:-1 gene:PRUPE_1G030800 transcript:ONI26555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSGNVDREGADILLIESDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26547 pep chromosome:Prunus_persica_NCBIv2:G1:2190554:2195744:-1 gene:PRUPE_1G030800 transcript:ONI26547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26549 pep chromosome:Prunus_persica_NCBIv2:G1:2190554:2195744:-1 gene:PRUPE_1G030800 transcript:ONI26549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26542 pep chromosome:Prunus_persica_NCBIv2:G1:2190063:2198222:-1 gene:PRUPE_1G030800 transcript:ONI26542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSGNVDREGADILLIESDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGLPAAETRNCSQLKIIYERLQGIYF >ONI26553 pep chromosome:Prunus_persica_NCBIv2:G1:2190554:2195744:-1 gene:PRUPE_1G030800 transcript:ONI26553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSGNVDREGADILLIESDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGL >ONI26540 pep chromosome:Prunus_persica_NCBIv2:G1:2190063:2196399:-1 gene:PRUPE_1G030800 transcript:ONI26540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDNENIEPGTDLGLALGYSNQCIQRILHGVSGAGANAGSRIHMTFVAAEPLSELVWSKDKGPSNVTLSPPQSNTGGRSSTDKPIDEENFITPQTSSHLRSEAACKDMTMSPTSDAGIMPACGSSCEHETGTGGNVEEVKAAVEVSVPYNQEGIYPPVNFQVDEIPETRENDFPTLSGNVDREGADILLIESDQILPFVEQNEPLLGDPDGGDRHADVGNQKMEMDLVSTSEVHPVNESKASGAPVENQRPQGRRPLEKMEITAENDLQNLKSEHAYGAESQILGLESSPGVKDKFEQDVEVLPGNKSVLVKDSPTNSKIHKYQWKGKEKALSYGDLNGRMSEDEDDSHESVESCNSAGLFSLGKKRWNFEDEFIVGSKRFRKQIQETPTCISYIRQDSSFMNWMSSMVKGFSKSMQDEAPSLALTLAHPDHGHAHSDKKLITCNKNQDAGLKNIGFQSIFQSLYCPKAEQQEARMLNDNHQIGEISAELESNTTPKAFHGEKINLSRVLLSVGKFKKSSSGNEVRSAARTKSSSEKAAGIQEKGNTNSAEEKNPCNFRFHKNKDRASSNSSLGKRKKKSVEDVESSLQSEGKTTDKFGRRSALLESLWITRFTQKTPAPSLILNRYIQSTDGVLECSDDRKNVGDKEQSAEDLVIVIGNDPQNCVADNEGSSAFNNKGQNDQKSMSKFNPIFPSPKFRGSEAMASSFARRLDALKHITPSGATGNAAYGNMTCFFCGRKGHHLRECSEITDTELQELLSKCKSYNGAEHLPSFCIRCSRCSHWATACPNAPSMGESQLDCNVSCLDYYCSQSEMKHNSRNDVKLLTGKESEFQSSVAHTLFDEDDSRIEADLNLSWKTNKMIVSKKMRSHPNSVKEYSSSSLGENKLMPLSKFVNAQISDVPKGIFDSVRRLRLSRTDVVKWMNSHTSLSQLEGFFLRLRLGKWEEGLGGTGYYVSCITGSQRETCPQNVDSIAVVVGGIKCLVKSQYVSNHDFLEDELKAWWSATSKGNGKLPSEEDLREQVKRKTMLGLPAAETRNCSQLKIIYERLQGIYF >ONI30923 pep chromosome:Prunus_persica_NCBIv2:G1:28462795:28464227:-1 gene:PRUPE_1G281600 transcript:ONI30923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWISCTRSHRYYDFCFINGSTILDPTTSTSFMADPASPPLVEKIKPYPRKFENSTMSGIKELILTSGPRGPKCQIQHNAPALVFSAGKYTGNARDWWVSRYGDLLRTFIKHPIINLDNDTANHCFTSASVGLISHGLVTINPKLLHTPKTLQAAEKVGFEVVVFVPSSTTDMHVAYNLLSKSHAMVGVHGAAMTHLLFMRPGSVFMQIVHLGTHWLANVCYESPARAMELQYIDYRINVEESSLVDKYGKDDIVVKDPVSKMGSNWSMEIMKIYLKEQNVKLDLVRFNWVLKAAYDKAKKFMDKEG >ONI33370 pep chromosome:Prunus_persica_NCBIv2:G1:36340691:36343802:-1 gene:PRUPE_1G419800 transcript:ONI33370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDGEETPSRFELLSMVKKHSSLLGKTVVDADDVSDVEMDHKFWHDVLDLYFIRGKDSRGRQDDDLVFFVRKLSSYGHGSSDESVSPYFVRRWAPKLDNLIGENNVEVDWRRSYYLNLIAHTSFTVTVAICSHQDLRNHQAEQGTPLSPIYKVVKTVYASPSRVNFQLDSRKEVETTPAYPDICFAIDDFDSTFDAVVLTETDHCYCVILNAHDGAAFPRVKEEDGCSSSDSSSLRVETNSSKTKNTKLTLFSGFVSYQMVRDAYDAGKSRFGNLLGHSPGKQDRLYMKGPGGRGEVEVAVSGVADQSQQDLGPFSPVISKQGFGIGSIVRKAASVASVAAKNAYAAAASSHSFDDEMVPLKCCLMSITLPWEHIAYDLLFKVRLLFPAANE >ONI33369 pep chromosome:Prunus_persica_NCBIv2:G1:36340007:36343996:-1 gene:PRUPE_1G419800 transcript:ONI33369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDGEETPSRFELLSMVKKHSSLLGKTVVDADDVSDVEMDHKFWHDVLDLYFIRGKDSRGRQDDDLVFFVRKLSSYGHGSSDESVSPYFVRRWAPKLDNLIGENNVEVDWRRSYYLNLIAHTSFTVTVAICSHQDLRNHQAEQGTPLSPIYKVVKTVYASPSRVNFQLDSRKEVETTPAYPDICFAIDDFDSTFDAVVLTETDHCYCVILNAHDGAAFPRVKEEDGCSSSDSSSLRVETNSSKTKNTKLTLFSGFVSYQMVRDAYDAGKSRFGNLLGHSPGKQDRLYMKGPGGRGEVEVAVSGVADQSQQDLGPFSPVISKQGFGIGSIVRKAASVASVAAKNAYAAAASSHSFDDEMVPLKCCLMSITLPWEHIAYDLLFKGTPPVNL >ONI33371 pep chromosome:Prunus_persica_NCBIv2:G1:36340038:36343970:-1 gene:PRUPE_1G419800 transcript:ONI33371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDGEETPSRFELLSMVKKHSSLLGKTVVDADDVSDVEMDHKFWHDVLDLYFIRGKDSRGRQDDDLVFFVRKLSSYGHGSSDESVSPYFVRRWAPKLDNLIGENNVEVDWRRSYYLNLIAHTSFTVTVAICSHQDLRNHQAEQGTPLSPIYKVVKTVYASPSRVNFQLDSRKEVETTPAYPDICFAIDDFDSTFDAVVLTETDHCYCVILNAHDGAAFPRVKEEDGCSSSDSSSLRVETNSSKTKNTKDLSAIKWFEMHMMLASLDLGTFLVIPLANKTDFT >ONI33368 pep chromosome:Prunus_persica_NCBIv2:G1:36339577:36343996:-1 gene:PRUPE_1G419800 transcript:ONI33368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDGEETPSRFELLSMVKKHSSLLGKTVVDADDVSDVEMDHKFWHDVLDLYFIRGKDSRGRQDDDLVFFVRKLSSYGHGSSDESVSPYFVRRWAPKLDNLIGENNVEVDWRRSYYLNLIAHTSFTVTVAICSHQDLRNHQAEQGTPLSPIYKVVKTVYASPSRVNFQLDSRKEVETTPAYPDICFAIDDFDSTFDAVVLTETDHCYCVILNAHDGAAFPRVKEEDGCSSSDSSSLRVETNSSKTKNTKLTLFSGFVSYQMVRDAYDAGKSRFGNLLGHSPGKQDRLYMKGPGGRGEVEVAVSGVADQSQQDLGPFSPVISKQGFGIGSIVRKAASVASVAAKNAYAAAASSHSFDDEMVPLKCCLMSITLPWEHIAYDLLFKV >ONI33961 pep chromosome:Prunus_persica_NCBIv2:G1:38258745:38267106:1 gene:PRUPE_1G456000 transcript:ONI33961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDEESEGVSASRNHLVFAYYVTGHGFGHATRVVEVVRHLILAGHDVHVVTGAPDFVFTSEIESPRLFIRKVLLDCGAVQADALTVDRLASLAKYSETAVVPRASILKTEVEWLTSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGSNHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVVDVPLVVRRIRRSRKEVRQELGIEDDVKLVILNFGGQPAGWKLKVEFLPPGWLCLVCGGSDTQELPPNFIKLAKDAYTPDFMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDMAIPEWYANAESELGMGSPTCEMSEKSSLMNSCTEDFEILHGDLQGLSDTMTFLKSLAELDSMYDSDKSAEKRQMRERKAAAGLFNWEDEIFVARAPGRLDVMGGIADYSGSLVLQMPIKEACHVAVQRNHPSKHRLWKHALVRQQAEGKNPTPVLQIVSYGSELSNRGPTFDMDLADFMDGDQPMSYEKAKKYFSQDPSQKWAAYVAGVILVLMTELGIRFEESISLLVSSTVPEGKGVSSSASVEVATMSAIAASHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMLCQPAEVLGLVEIPGHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKMIKCAASAILSRSSGAENGPNPDELEDNGFELLETEASLDYLCNLSPHRYEALYVKMLPESILGETFLVKYDGHNDPVTVIDPNRNYGVTAPAKHPIYENFRVKAFKALLTSANSDDQLTALGELLYQCHYSYSACGLGSDGTNRLVRLVQEMQHSKASKSGDGTLYGAKITGGGSGGTVCAVGRNSLQSSQQILEIQQRYKDATGYLPYIFEGSSPGAGKFGYLRIRRRPCVNPNE >ONI33965 pep chromosome:Prunus_persica_NCBIv2:G1:38259093:38267106:1 gene:PRUPE_1G456000 transcript:ONI33965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDEESEGVSASRNHLVFAYYVTGHGFGHATRVVEVVRHLILAGHDVHVVTGAPDFVFTSEIESPRLFIRKVLLDCGAVQADALTVDRLASLAKYSETAVVPRASILKTEVEWLTSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGSNHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVVDVPLVVRRIRRSRKEVRQELGIEDDVKLVILNFGGQPAGWKLKVEFLPPGWLCLVCGGSDTQELPPNFIKLAKDAYTPDFMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDMAIPEWYANAESELGMGSPTCEMSEKSSLMNSCTEDFEILHGDLQGLSDTMTFLKSLAELDSMYDSDKSAEKRQMRERKAAAGLFNWEDEIFVARAPGRLDVMGGIADYSGSLVLQMPIKEACHVAVQRNHPSKHRLWKHALVRQQAEGKNPTPVLQIVSYGSELSNRGPTFDMDLADFMDGDQPMSYEKAKKYFSQDPSQKWAAYVAGVILVLMTELGIRFEESISLLVSSTVPEGKGVSSSASVEVATMSAIAASHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMLCQPAEVLGLVEIPGHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKMIKCAASAILSRSSGAENGPNPDELEDNGFELLETEASLDYLCNLSPHRYEALYVKMLPESILGETFLVKYDGHNDPVTVIDPNRNYGVTAPAKHPIYENFRVKAFKALLTSANSDDQLTALGELLYQHRSQVLRIKATRFTSFRRTDNWRTSTNKSRATCWLDSN >ONI33962 pep chromosome:Prunus_persica_NCBIv2:G1:38258507:38267106:1 gene:PRUPE_1G456000 transcript:ONI33962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDEESEGVSASRNHLVFAYYVTGHGFGHATRVVEVVRHLILAGHDVHVVTGAPDFVFTSEIESPRLFIRKVLLDCGAVQADALTVDRLASLAKYSETAVVPRASILKTEVEWLTSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGSNHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVVDVPLVVRRIRRSRKEVRQELGIEDDVKLVILNFGGQPAGWKLKVEFLPPGWLCLVCGGSDTQELPPNFIKLAKDAYTPDFMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDMAIPEWYANAESELGMGSPTCEMSEKSSLMNSCTEDFEILHGDLQGLSDTMTFLKSLAELDSMYDSDKSAEKRQMRERKAAAGLFNWEDEIFVARAPGRLDVMGGIADYSGSLVLQMPIKEACHVAVQRNHPSKHRLWKHALVRQQAEGKNPTPVLQIVSYGSELSNRGPTFDMDLADFMDGDQPMSYEKAKKYFSQDPSQKWAAYVAGVILVLMTELGIRFEESISLLVSSTVPEGKGVSSSASVEVATMSAIAASHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMLCQPAEVLGLVEIPGHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKMIKCAASAILSRSSGAENGPNPDELEDNGFELLETEASLDYLCNLSPHRYEALYVKMLPESILGETFLVKYDGHNDPVTVIDPNRNYGVTAPAKHPIYENFRVKAFKALLTSANSDDQLTALGELLYQCHYSYSACGLGSDGTNRLVRLVQEMQHSKASKSGDGTLYGAKITGGGSGGTVCAVGRNSLQSSQQILEIQQRYKDATGYLPYIFEGSSPGAGKFGYLRIRRRPCVNPNE >ONI33960 pep chromosome:Prunus_persica_NCBIv2:G1:38258544:38267106:1 gene:PRUPE_1G456000 transcript:ONI33960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDEESEGVSASRNHLVFAYYVTGHGFGHATRVVEVVRHLILAGHDVHVVTGAPDFVFTSEIESPRLFIRKVLLDCGAVQADALTVDRLASLAKYSETAVVPRASILKTEVEWLTSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGSNHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVVDVPLVVRRIRRSRKEVRQELGIEDDVKLVILNFGGQPAGWKLKVEFLPPGWLCLVCGGSDTQELPPNFIKLAKDAYTPDFMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDMAIPEWYANAESELGMGSPTCEMSEKSSLMNSCTEDFEILHGDLQGLSDTMTFLKSLAELDSMYDSDKSAEKRQMRERKAAAGLFNWEDEIFVARAPGRLDVMGGIADYSGSLVLQMPIKEACHVAVQRNHPSKHRLWKHALVRQQAEGKNPTPVLQIVSYGSELSNRGPTFDMDLADFMDGDQPMSYEKAKKYFSQDPSQKWAAYVAGVILVLMTELGIRFEESISLLVSSTVPEGKGVSSSASVEVATMSAIAASHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMLCQPAEVLGLVEIPGHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKMIKCAASAILSRSSGAENGPNPDELEDNGFELLETEASLDYLCNLSPHRYEALYVKMLPESILGETFLVKYDGHNDPVTVIDPNRNYGVTAPAKHPIYENFRVKAFKALLTSANSDDQLTALGELLYQCHYSYSACGLGSDGTNRLVRLVQEMQHSKASKSGDGTLYGAKITGGGSGGTVCAVGRNSLQSSQQILEIQQRYKDATGYLPYIFEGSSPGAGKFGYLRIRRRPCVNPNE >ONI33966 pep chromosome:Prunus_persica_NCBIv2:G1:38259220:38266219:1 gene:PRUPE_1G456000 transcript:ONI33966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDEESEGVSASRNHLVFAYYVTGHGFGHATRVVEVVRHLILAGHDVHVVTGAPDFVFTSEIESPRLFIRKVLLDCGAVQADALTVDRLASLAKYSETAVVPRASILKTEVEWLTSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGSNHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVVDVPLVVRRIRRSRKEVRQELGIEDDVKLVILNFGGQPAGWKLKVEFLPPGWLCLVCGGSDTQELPPNFIKLAKDAYTPDFMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDMAIPEWYANAESELGMGSPTCEMSEKSSLMNSCTEDFEILHGDLQGLSDTMTFLKSLAELDSMYDSDKSAEKRQMRERKAAAGLFNWEDEIFVARAPGRLDVMGGIADYSGSLVLQMPIKEACHVAVQRNHPSKHRLWKHALVRQQAEGKNPTPVLQIVSYGSELSNRGPTFDMDLADFMDGDQPMSYEKAKKYFSQDPSQKWAAYVAGVILVLMTELGIRFEESISLLVSSTVPEGKGVSSSASVEVATMSAIAASHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMLCQPAEVLGLVEIPGHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKMIKCAASAILSRSSGAENGPNPDELEDNGFELLETEASLDYLCNLSPHRYEALYVKMLPESILGETFLVKYDGHNDPVTVIDPNRNYGVTAPAKHPIYENFRVKAFKALLTSANSDDQLTALGELLYQESSTTHKSHQVHILSSYR >ONI33963 pep chromosome:Prunus_persica_NCBIv2:G1:38258544:38267106:1 gene:PRUPE_1G456000 transcript:ONI33963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDEESEGVSASRNHLVFAYYVTGHGFGHATRVVEVVRHLILAGHDVHVVTGAPDFVFTSEIESPRLFIRKVLLDCGAVQADALTVDRLASLAKYSETAVVPRASILKTEVEWLTSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGSNHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVVDVPLVVRRIRRSRKEVRQELGIEDDVKLVILNFGGQPAGWKLKVEFLPPGWLCLVCGGSDTQELPPNFIKLAKDAYTPDFMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDMAIPEWYANAESELGMGSPTCEMSEKSSLMNSCTEDFEILHGDLQGLSDTMTFLKSLAELDSMYDSDKSAEKRQMRERKAAAGLFNWEDEIFVARAPGRLDVMGGIADYSGSLVLQMPIKEACHVAVQRNHPSKHRLWKHALVRQQAEGKNPTPVLQIVSYGSELSNRGPTFDMDLADFMDGDQPMSYEKAKKYFSQDPSQKWAAYVAGVILVLMTELGIRFEESISLLVSSTVPEGKGVSSSASVEVATMSAIAASHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMLCQPAEVLGLVEIPGHIRFWGIDSGIRHSVGGADYGSVRIGAFMGRKMIKCAASAILSRSSGAENGPNPDELEDNGFELLETEASLDYLCNLSPHRYEALYVKMLPESILGETFLVKYDGHNDPVTVIDPNRNYGVTAPAKHPIYENFRVKAFKALLTSANSDDQLTALGELLYQCHYSYSACGLGSDGTNRLVRLVQEMQHSKASKSGDGTLYGAKITGGGSGGTVCAVGRNSLQSSQQILEIQQRYKDATGYLPYIFEGSSPGAGKFGYLRIRRRPCVNPNE >ONI33964 pep chromosome:Prunus_persica_NCBIv2:G1:38258544:38267106:1 gene:PRUPE_1G456000 transcript:ONI33964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDEESEGVSASRNHLVFAYYVTGHGFGHATRVVEVVRHLILAGHDVHVVTGAPDFVFTSEIESPRLFIRKVLLDCGAVQADALTVDRLASLAKYSETAVVPRASILKTEVEWLTSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGSNHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVVDVPLVVRRIRRSRKEVRQELGIEDDVKLVILNFGGQPAGWKLKVEFLPPGWLCLVCGGSDTQELPPNFIKLAKDAYTPDFMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYQGGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIILGYQLQRVPGRDMAIPEWYANAESELGMGSPTCEMSEKSSLMNSCTEDFEILHGDLQGLSDTMTFLKSLAELDSMYDSDKSAEKRQMRERKAAAGLFNWEDEIFVARAPGRLDVMGGIADYSGSLVLQMPIKEACHVAVQRNHPSKHRLWKHALVRQQAEGKNPTPVLQIVSYGSELSNRGPTFDMDLADFMDGDQPMSYEKAKKYFSQDPSQKWAAYVAGVILVLMTELGIRFEESISLLVSSTVPEGKGVSSSASVEVATMSAIAASHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMLCQPAEVLGLVEIPGHIRFWGIDSGIRHRYEALYVKMLPESILGETFLVKYDGHNDPVTVIDPNRNYGVTAPAKHPIYENFRVKAFKALLTSANSDDQLTALGELLYQCHYSYSACGLGSDGTNRLVRLVQEMQHSKASKSGDGTLYGAKITGGGSGGTVCAVGRNSLQSSQQILEIQQRYKDATGYLPYIFEGSSPGAGKFGYLRIRRRPCVNPNE >ONI26397 pep chromosome:Prunus_persica_NCBIv2:G1:1518144:1519369:-1 gene:PRUPE_1G022200 transcript:ONI26397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGVTVGVKGTPEYVRACCEASLKRLAVSYIDLYYQHRVDVSVPIEDTIEELKKLVNEGKIRYIGLSEASVDTISRAHAVHPITAVQMEYSLWSREIENEITQLCRKLGIGIVSYSPLGRGLFGGKAVVESLPADCLLSKHPRFNGENLEKNKLLYSKLATLAAKHACTAPQLALAWLLHHGNDNIPIPGTTKVKNLDTIVGSLDVELTKEDLKEICDAVKIDEVRGDR >ONI26396 pep chromosome:Prunus_persica_NCBIv2:G1:1518144:1519835:-1 gene:PRUPE_1G022200 transcript:ONI26396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKPQVQIPTVKLGSQGLEVSRLGYGYSGLSGKYNSPLSHEAGCSVIKEAFERGITFFDTSDIYGQNHDNEILVGKGTPEYVRACCEASLKRLAVSYIDLYYQHRVDVSVPIEDTIEELKKLVNEGKIRYIGLSEASVDTISRAHAVHPITAVQMEYSLWSREIENEITQLCRKLGIGIVSYSPLGRGLFGGKAVVESLPADCLLSKHPRFNGENLEKNKLLYSKLATLAAKHACTAPQLALAWLLHHGNDNIPIPGTTKVKNLDTIVGSLDVELTKEDLKEICDAVKIDEVRGDR >ONI33895 pep chromosome:Prunus_persica_NCBIv2:G1:38029681:38033361:1 gene:PRUPE_1G451800 transcript:ONI33895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESRDGGSVFAHIPKVPEVPLYALQVAYGKDPSPLKLNLGFGVYRTEDGKPFILNVVRRVERLLVNDMSNFKEYLPITGIAEFNRWSARLILGADSPAIKENRVTTVQCLSGTGSLRIGAEFLAKYYPQHHTVYMSQPTYGNHPNLFSVAGLAIKTYRYYDPGTCGLDFQGMLEDLQSAPSGAIVLLQACAHNPTGVDPTIPQWEQIRQLMRSKGLLPFFDSAYQVCKTADVASHVESQLKLVIRPMYSNPPIHGAAIAAAILKDRDLYNEWSIEVKVMNDRLISMRQHLFDALCDKGTPGDWSHITKHVGMFTFSGLNPAQVAFMTKEYHIYMPSDGRINMAGLGPKTVPLLVDAIHAAVTTLCLN >ONI33894 pep chromosome:Prunus_persica_NCBIv2:G1:38029681:38033360:1 gene:PRUPE_1G451800 transcript:ONI33894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESRDGGSVFAHIPKVPEVPLYALQVAYGKDPSPLKLNLGFGVYRTEDGKPFILNVVRRVERLLVNDMSNFKEYLPITGIAEFNRWSARLILGADSPAIKENRVTTVQCLSGTGSLRIGAEFLAKYYPQHHTVYMSQPTYGNHPNLFSVAGLAIKTYRYYDPGTCGLDFQGMLEDLQSAPSGAIVLLQACAHNPTGVDPTIPQWEQIRQLMRSKGLLPFFDSAYQGFVSGNLDDDAQSIRLFVADGGECLIAQSYSKIMGLYGERVGALSIVCKTADVASHVESQLKLVIRPMYSNPPIHGAAIAAAILKDRDLYNEWSIEVKVMNDRLISMRQHLFDALCDKGTPGDWSHITKHVGMFTFSGLNPAQVAFMTKEYHIYMPSDGRINMAGLGPKTVPLLVDAIHAAVTTLCLN >ONI33896 pep chromosome:Prunus_persica_NCBIv2:G1:38029681:38033361:1 gene:PRUPE_1G451800 transcript:ONI33896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCPILRSIFLLPESQNSTDGVLDSFWVLTGMLEDLQSAPSGAIVLLQACAHNPTGVDPTIPQWEQIRQLMRSKGLLPFFDSAYQGFVSGNLDDDAQSIRLFVADGGECLIAQSYSKIMGLYGERVGALSIVCKTADVASHVESQLKLVIRPMYSNPPIHGAAIAAAILKDRDLYNEWSIEVKVMNDRLISMRQHLFDALCDKGTPGDWSHITKHVGMFTFSGLNPAQVAFMTKEYHIYMPSDGRINMAGLGPKTVPLLVDAIHAAVTTLCLN >ONI28011 pep chromosome:Prunus_persica_NCBIv2:G1:9211970:9218421:-1 gene:PRUPE_1G116900 transcript:ONI28011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPPPEELLKKIQVLEAGHAHLKQEMSKLRHSDDVKSEHQRAHSVSPQRSRLSSVPKRRVGAGAGGGGGGSGGFDAAAWKKGSTSFRHSSPLQRESRSHDPPTGGTFRGGGGSASSGGGSGSGPSAVNFTDRQYLNILQSIGQSVHIFDLNGHIIYWNKSAENLYGFSAAEALGKSPIELLVEPQDVAVANNIVHRVTKGESWTGQFPVKNKFGERFTAIVTNTPFYDDDGTFIGIISVSSDIRPFQETRIPLSGAKHPESDSSYSRSRASVTAKLGLDPQQPLQNAIASKLTNLASKVSNKVKSRIRAGENNMDREGGSGDSHYSDHGSDSLFSDHREDANSSGASTPRGDMPPSPFGVFSQIDEKSPGKPSRDSGDESEGKPSIQKIISTKAEAWMGKKGLSWPWKGNEPEGSDAKTTRFVWPWLHNEQENDSVHQKSYFGSKPESQVNENNRTANNEASGSWTSSFNVNSTSSASSCGSTSSSAVNKVDVDSDCLDYEILWEDLIIGEQVGQGSCGTVYHGLWYGSDVAVKVFSKQEYAEDVILSFRQEVSLMKRLRHPNVLLFMGAVTSPLRLCIVTEFLPRGSLFRLLQRNTSKLDWRRRVHMAIDIARGMNYLHHFNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHDTFLTTKTGKGTPQWMAPEVLRNEPSDEKSDIYSYGVILWELVTEKIPWDNLNSMQVIGAVGFMDQRLEIPKDVDPQWASMIESCWQSDAASRPTFQELLEKLRDMQRQYALQFQAARSAAGDSTQKEL >ONI26194 pep chromosome:Prunus_persica_NCBIv2:G1:718804:721779:1 gene:PRUPE_1G008800 transcript:ONI26194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSHSKQLSSLFLVISMVGMLGTFINIVGVDGATASSSSSSSSTWCVARSDATTQALQTGLDYACGAGADCSPLQSTGLCYLPNTIQAHASYAFNSYYQRKAMAPGSCDFAGTSTIAQTDPSYGSCVYPSSASTAGGTATPTTPPATGIFSAPTTPTTPTFGGGSVTGFTPGMTPTIPDSDDNSKASLESMIPTILMHVSFMFVLSFTLN >ONI35311 pep chromosome:Prunus_persica_NCBIv2:G1:43284223:43291374:1 gene:PRUPE_1G529100 transcript:ONI35311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIIWWKSIGVKVEGILRQAADVDDVESRVREYEQGKVEFSPEEDPHIIADCVKYVLRELPSSPVPASCCNALLEAFRKSGNDRGGRINAMRTTICDTFPEPNRRLLQRILLMMQTVAAHKAVNRMSCSAVAACMAPLLLRPLLAGDCEVDNDFDMGGDGSVQLLQAAAAANHAQAIVITLLEEYDNIFGEGDLSPELYSDTEESESETEGASDEGDYYDDETDAETDDDVEIVSDGTCSESGDSGHSDLHNDKDGDDFSSGSKSLDGDDNIKAQKLSSSSLKTLQPQHDVQKNENELVSSKNNSAELANESAVVGDVSRETSSVQQPIVHGLPSIQKSSTISNGPALGTRGRTAWGRTAAKKNLSMESIDYSLEEEDEIQMLEITKSELENRIAEEVQGNAALQASLERQKTALRERRLALEQDVARLQEELQKERDLTAALEAGLHISGGCVPNLSTVDEKTRAELHEIAQAEANVANLKKKVDDLGVQLNQQRERNHGSMADASTLSQHNRDLHAKPNTMDKKQDSEAIAPSRDESSRSKGGTTSAITKLTSRLNFLKERRSQIANEIQGRGSGQPAQNLDKNQSIQYSDKSLETTEKPEKLRKGESHSYAERGRKSESQQQHGLDRGKSESHLSVSVEKGRIVESKTFGSPRADSRS >ONI35309 pep chromosome:Prunus_persica_NCBIv2:G1:43278748:43291374:1 gene:PRUPE_1G529100 transcript:ONI35309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRIAETPQGEGNAPPPPPPPGGPDRPESQPSRSGNTIFKSGPLFISSKGIGWTSWKKRWFILTRTSLVFFRSDPSAALKGSEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKAETLEDLHEWKTALENALAQAPSGGAHAMGQNGILGNEKTDSVVGSLDQSKEKKPAKSTVIGVPVLLALEDVDGAPSFLEKALRFVEEYGVKVEGILRQAADVDDVESRVREYEQGKVEFSPEEDPHIIADCVKYVLRELPSSPVPASCCNALLEAFRKSGNDRGGRINAMRTTICDTFPEPNRRLLQRILLMMQTVAAHKAVNRMSCSAVAACMAPLLLRPLLAGDCEVDNDFDMGGDGSVQLLQAAAAANHAQAIVITLLEEYDNIFGEGDLSPELYSDTEESESETEGASDEGDYYDDETDAETDDDVEIVSDGTCSESGDSGHSDLHNDKDGDDFSSGSKSLDGDDNIKAQKLSSSSLKTLQPQHDVQKNENELVSSKNNSAELANESAVVGDVSRETSSVQQPIVHGLPSIQKSSTISNGPALGTRGRTAWGRTAAKKNLSMESIDYSLEEEDEIQMLEITKSELENRIAEEVQGNAALQASLERQKTALRERRLALEQDVARLQEELQKERDLTAALEAGLHISGGCVPNLSTVDEKTRAELHEIAQAEANVANLKKKVDDLGVQLNQQRERNHGSMADASTLSQHNRDLHAKPNTMDKKQDSEAIAPSRDESSRSKDTQTDGAEKHRSNYRSVVLPTDSCAVEPMGPKPSAPSNSKKSGTGGEGGTTSAITKLTSRLNFLKERRSQIANEIQGRGSGQPAQNLDKNQSIQYSDKSLETTEKPEKLRKGESHSYAERGRKSESQQQHGLDRGKSESHLSVSVEKGRIVESKTFGSPRADSRS >ONI35310 pep chromosome:Prunus_persica_NCBIv2:G1:43284223:43291374:1 gene:PRUPE_1G529100 transcript:ONI35310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIIWWKSIGVKVEGILRQAADVDDVESRVREYEQGKVEFSPEEDPHIIADCVKYVLRELPSSPVPASCCNALLEAFRKSGNDRGGRINAMRTTICDTFPEPNRRLLQRILLMMQTVAAHKAVNRMSCSAVAACMAPLLLRPLLAGDCEVDNDFDMGGDGSVQLLQAAAAANHAQAIVITLLEEYDNIFGEGDLSPELYSDTEESESETEGASDEGDYYDDETDAETDDDVEIVSDGTCSESGDSGHSDLHNDKDGDDFSSGSKSLDGDDNIKAQKLSSSSLKTLQPQHDVQKNENELVSSKNNSAELANESAVVGDVSRETSSVQQPIVHGLPSIQKSSTISNGPALGTRGRTAWGRTAAKKNLSMESIDYSLEEEDEIQMLEITKSELENRIAEEVQGNAALQASLERQKTALRERRLALEQDVARLQEELQKERDLTAALEAGLHISGGCVPNLSTVDEKTRAELHEIAQAEANVANLKKKVDDLGVQLNQQRERNHGSMADASTLSQHNRDLHAKPNTMDKKQDSEAIAPSRDESSRSKDTQTDGAEKHRSNYRSVVLPTDSCAVEPMGPKPSAPSNSKKSGTGGEGGTTSAITKLTSRLNFLKERRSQIANEIQGRGSGQPAQNLDKNQSIQYSDKSLETTEKPEKLRKGESHSYAERGRKSESQQQHGLDRGKSESHLSVSVEKGRIVESKTFGSPRADSRS >ONI33209 pep chromosome:Prunus_persica_NCBIv2:G1:35908471:35910962:1 gene:PRUPE_1G411100 transcript:ONI33209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKKYISQEDLSKHNKPGDLWISIQGKIYNVSDWANYHPGGELPLLNLAGQDVTDAFVAYHPGSAWQHLDQFFTGSYLEGYSVSEVSKDYRKLVNEFTKMGLFENRGLGVHFSLFLVAMLFSLSVYGVLYSDSTWVHLGSGGLMGFLWIQSGWHGHDSGHYQIIRSERLNRFAQILTGNCLAGISIAWWKRNHNAHHIACNSLEFDPDLQHMPFFAVSSKLFNSLTSYFYDRKMNFDAFTRTLVSYQHWTFYPVMCLARLNLFAQSFLLLSSKRLRVNNRVQEILGLLVFWIWYPLLVSCLPNWGERVMFVVTSFSVTGIQHVQFCLNHFSSSVYVGTPIGNDWCENQTNGSLDIECPSWMDWFHGGLQFQIEHHLFPRLPRGNLRKVAPLVKELCKKHKLPYKSVSFLKANELTIGTLRTAALQARDLASPVPKNLVWEAVHTYG >ONI34985 pep chromosome:Prunus_persica_NCBIv2:G1:41947974:41951370:1 gene:PRUPE_1G508800 transcript:ONI34985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEASTKENVTSSLAAQKLARDTLDLQKSQGGEPSREIESVSISIGGPKLENNGEDGLVEKMDVSGDLKSKEQGKKLDSNPSHSGVGEKIDERMDLESKAQEKDSDSNKEQNDSGHKVASTAQEEKLHNDGHDNLGKKTDQGKDLESKAQEETNQTTDKILGEEEELEPVFDGTEVPGMEANRSMSTHTLDLDSETQGVVKKAVALTNLVKIKGVVVVSTFLRRLSGKRDEDEQDVLDNADKNASDSTKDNEAGEVSQKTVDRSAWNPLSFIRTSQDGDAENKAEQREEVIEEPAQAIAIKGRVILYTRLGCQDCKEARLFLYRKKLRYVEINIDVFPSRKLELEKIAGSSSVPKVFFNEVLIGGLSELKGLNESGKFDEKIDYLISEPPSFEAPLPPLSGEDDLSNSGAIDELALIARKMKEFVIVKDRFYKMRRFTNCFSGSEAVDFLAEDQYLEREEAIEFGRKLASKLFFHHVLEENLFEDGNHLYRFLDDDPIVSQCHNIPRGIIDVKPKPILDISSRLRFLFYAILEAYVSEDGKHVDYRSIHGSEEFARYLRIVEELQRVEVKDMQREEKLAFFINLYNLMAIHAILVWGHPAGAIERKRLFGDFKYVVGGSTYSLSAIQNGILRGNQRPPYNLMKPFGAKDKRSMVTLPYSEPLIHFALVCGTRSGPALRCYSPGDIDKELMEAARNFLRNGGLIIDFDTKVASASKILKCVDFGKNEVEVLKHSSNYLEPAVSEALLESLAKSQLKVMYQPYDWGVNC >ONI34984 pep chromosome:Prunus_persica_NCBIv2:G1:41946517:41951779:1 gene:PRUPE_1G508800 transcript:ONI34984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEASTKENVTSSLAAQKLARDTLDLQKSQGGEPSREIESVSISIGGPKLENNGEDGLVEKMDVSGDLKSKEQGKKLDSNPSHSGVGEKIDERMDLESKAQEKDSDSNKEQNDSGHKVASTAQEEKLHNDGHDNLGKKTDQGKDLESKAQEETNQTTDKILGEEEELEPVFDGTEVPGMEANRSMSTHTLDLDSETQGVVKKAVALTNLVKIKGVVVVSTFLRRLSGKRDEDEQDVLDNADKNASDSTKDNEAGEVSQKTVDRSAWNPLSFIRTSQDGDAENKAEQREEVIEEPAQAIAIKGRVILYTRLGCQDCKEARLFLYRKKLRYVEINIDVFPSRKLELEKIAGSSSVPKVFFNEVLIGGLSELKGLNESGKFDEKIDYLISEPPSFEAPLPPLSGEDDLSNSGAIDELALIARKMKEFVIVKDRFYKMRRFTNCFSGSEAVDFLAEDQYLEREEAIEFGRKLASKLFFHHVLEENLFEDGNHLYRFLDDDPIVSQCHNIPRGIIDVKPKPILDISSRLRFLFYAILEAYVSEDGKHVDYRSIHGSEEFARYLRIVEELQRVEVKDMQREEKLAFFINLYNLMAIHAILVWGHPAGAIERKRLFGDFKYVVGGSTYSLSAIQNGILRGNQRPPYNLMKPFGAKDKRSMVTLPYSEPLIHFALVCGTRSGPALRCYSPGDIDKELMEAARNFLRNGGLIIDFDTKVASASKILKWFSVDFGKNEVEVLKHSSNYLEPAVSEALLESLAKSQLKVMYQPYDWGVNC >ONI29784 pep chromosome:Prunus_persica_NCBIv2:G1:22763617:22767667:-1 gene:PRUPE_1G214600 transcript:ONI29784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLSAFITSSTSFGHCFFHRLTLRHSHKTLASPLAPCFRRHFTPTMAAPEDSLRKALADKQSEVENQGNQVRSLKAGKAAKSEIDAAIEALNALKLQKASIEKDLQATLSSADGSLNREAFRQAVSNTLERRLFYIKSFNIYGGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCNDKLQKDLNITAEKAKELKHVLAMLDDFSAEELGAKIKEYGIVSPDTKNPLSDPYPFNLMFQTSIGPSGLIPGYLRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFSEVAELEFLMFPRELQMSGESAKAIRLDEAVAKGIVNNQTLGYFIGRVYLFLTRLGIDEKRLRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHSEKSGEALVAHEKFPEPREVEVLVISPVKKEIGLAFKGSQKNVVEALESMKEKEAFALKADLESKGEVDFYVCTLGKNVSIKKNMVKISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSYYTRASKAGNEQLNVFAFPSIVAPIKCTVFPLVQNQKYEDIAKDISKSLTVAGISHKIDITGTSIGKRYARTDELGVPFAITVDTTSSVTIRERDSKDQIRVDVKEAASVVKEVTEGLRSWADVWATFPHHSSASADE >ONI33381 pep chromosome:Prunus_persica_NCBIv2:G1:36365284:36367376:-1 gene:PRUPE_1G420700 transcript:ONI33381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPGLKLVLLVSLVSSMAVMVYTCQAASNLHPLILIPGNGGNQLEARLTSKYKPSSLLCSRWYPFQRKKDGWFRLWFDPSVLLAPFTSCFAERMTLYYDPDLDDYQNAPGIETRVPHFGSTQSLLYLDPNLKRITGYMAPLVESLENIGYVNEKTLFGAPYDFRYGLAPEDHPAHVGSKFLQDLKDLIENASTSNGGRPVILVSHSLGGLFALHLLNRNTPSWRRKFIKHFVSLSTPWGGTVDEMLTFASGNTLGVPLVDPLLVREEQRSSESNLWLMPNPKLFGRKTPLVITPSATYSASDIPQFLSDIGFEQGVHPFKTRVLGLMDQLVAPGVPITCVFGSGVKTVETLFYGNRGFDEQPEIVYGDGDGTVNMVSLMAHESLWSDVKNQTLKTIRIPGVSHTAILKDEGALDQIVREISGINSQLSFVENVISVE >ONI35665 pep chromosome:Prunus_persica_NCBIv2:G1:44877999:44881820:1 gene:PRUPE_1G548900 transcript:ONI35665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPPTIPLLTPYKLGKFNLSHRVVLAPLTRRRSYHNVPQPHAILCYSQRTSNGGLLIAEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKDGVFFCQIWHVGRVSNSGYQPNGQAPISSTDKPIRLNGIDTSEFTPPRRLRTDEIPQIVNDFRLAAKNAIEAGFDGVEIHGGHGYLLDQFLKDQVNDRTDQYGGSLENRCRFPLEVVEAVVKEIGADKVGIKLSPFDDYTDSGDSNPNALGLYMANSLNKYGILYCHMAEPRMETVGEKSESHQSLLPMREAFNGTFIAAGGFDREDGNKAVAEGHADLIAYGRWFLANPDLPKRFELNAPLNMYNRDTFYLSDPVIGYTDYPFLETTA >ONI36403 pep chromosome:Prunus_persica_NCBIv2:G1:47384705:47392608:1 gene:PRUPE_1G583500 transcript:ONI36403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRQVVKRASYKSKIKDPGTPGVLTMTENKFVFRPNDPSSAAKLDVEFKHITGHKNTKEGTDKPPWLNLSDKDRSYIFEFGSFHDLHVCRDFVGNALAKSGEAAKASSAAKPTSAAKTTSEKSGVTLPDEQLSTAEMQLRMKLLQEDSELQKLHMQFVISGVLTESEFWATRKKLLDGDSRTKSKQRVGFRSSMILDTKPMTDGRMNKVTFNLTPEIKYQIFALKPAVHQAFLTLVPSKMTEKDFWTKYFRAEYLHSTRNAVAAAAEAAEDEELAIFLKEDAILASEARRKIRRVDPTLDMEADQGDDYTHLPDHGIFRDGSKDVTELQNELYRRTLSQDLNRQGAVVLQGRTVDVDLEDPRTVAEALMQSRRESDESAEQERLDRITRMTEIEDLQEHHDHPVAQLCIKDPRDYFDTQQVNALKTLDDSRTGTEQKKCSLTTEEAYGSLREAISKIKSIGLKNSTVAPEIAITVLNGLTQNISSTKYQLGKNPQDSVLDSLPNKTKEELLHHWISIQELLRHFWSSYPITTTYLSTKVGRLKDAMSQIYRQLEEIKQSDFRHQVSLLVRPMHQALDAAFQHFDADLQKRAARSGGETPNGNS >ONI36406 pep chromosome:Prunus_persica_NCBIv2:G1:47388194:47392589:1 gene:PRUPE_1G583500 transcript:ONI36406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVTFNLTPEIKYQIFALKPAVHQAFLTLVPSKMTEKDFWTKYFRAEYLHSTRNAVAAAAEAAEDEELAIFLKEDAILASEARRKIRRVDPTLDMEADQGDDYTHLPDHGIFRDGSKDVTELQNELYRRTLSQDLNRQGAVVLQGRTVDVDLEDPRTVAEALMQSRRESDESAEQERLDRITRMTEIEDLQEHHDHPVAQLCIKDPRDYFDTQQVNALKTLDDSRTGTEQKKCSLTTEEAYGSLREAISKIKSIGLKNSTVAPEIAITVLNGLTQNISSTKYQLGKNPQDSVLDSLPNKTKEELLHHWISIQELLRHFWSSYPITTTYLSTKVGRLKDAMSQIYRQLEEIKQSDFRHQVSLLVRPMHQALDAAFQHFDADLQKRAARSGGETPNGNS >ONI36405 pep chromosome:Prunus_persica_NCBIv2:G1:47387431:47392589:1 gene:PRUPE_1G583500 transcript:ONI36405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVISGVLTESEFWATRKKLLDGDSRTKSKQRVGFRSSMILDTKPMTDGRMNKVTFNLTPEIKYQIFALKPAVHQAFLTLVPSKMTEKDFWTKYFRAEYLHSTRNAVAAAAEAAEDEELAIFLKEDAILASEARRKIRRVDPTLDMEADQGDDYTHLPDHGIFRDGSKDVTELQNELYRRTLSQDLNRQGAVVLQGRTVDVDLEDPRTVAEALMQSRRESDESAEQERLDRITRMTEIEDLQEHHDHPVAQLCIKDPRDYFDTQQVNALKTLDDSRTGTEQKKCSLTTEEAYGSLREAISKIKSIGLKNSTVAPEIAITVLNGLTQNISSTKYQLGKNPQDSVLDSLPNKTKEELLHHWISIQELLRHFWSSYPITTTYLSTKVGRLKDAMSQIYRQLEEIKQSDFRHQVSLLVRPMHQALDAAFQHFDADLQKRAARSGGETPNGNS >ONI36404 pep chromosome:Prunus_persica_NCBIv2:G1:47384705:47392589:1 gene:PRUPE_1G583500 transcript:ONI36404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRQVVKRASYKSKIKDPGTPGVLTMTENKFVFRPNDPSSAAKLDVEFKHITGHKNTKEGTDKPPWLNLSDKDRSYIFEFGSFHDLHVCRDFVGNALAKSGEAAKASSAAKPTSAAKTTSEKSGVTLPDEQLSTAEMQLRMKLLQEDSELQKLHMQFVISGVLTESEFWATRKKLLDGDSRTKSKQRVGFRSSMILDTKPMTDGRMNKVTFNLTPEIKYQIFALKPAVHQAFLTLVPSKMTEKDFWTKYFRAEYLHSTRNAVAAAAEAAEDEELAIFLKEDAILASEARRKIRRVDPTLDMEADQGDDYTHLPDHGIFRDGSKDVTELQNELYRRTLSQDLNRQGAVVLQGRTVDVDLEDPRTVAEALMQSRRESDESAEQERLDRITRMTEIEDLQEHHDHPVAQLCIKDPRDYFDTQQVNALKTLDDSRTGTEQKKCSLTTEEAYGSLREAISKIKSIGLKNSTVAPEIAITVLNGLTQNISSTKYQLGKNPQDSVLDSLPNKTKEELLHHWISIQELLRHFWSSYPITTTYLSTKVGRLKDAMSQIYRQLEEIKQSDFRHQVSLLVRPMHQALDAAFQHFDADLQKRAARSGGETPNGNS >ONI28468 pep chromosome:Prunus_persica_NCBIv2:G1:11222941:11229040:1 gene:PRUPE_1G142700 transcript:ONI28468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVSLGVQDSEANHGPVNIPRPTSLRMLLSSPSHTVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPVEQNDHQWH >ONI28470 pep chromosome:Prunus_persica_NCBIv2:G1:11224323:11228930:1 gene:PRUPE_1G142700 transcript:ONI28470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLQNELIYLNLSQEFQVKKKQFFRNFMTIMTFGAVGTLISFAIISLGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRQVIFNVILSSLLCLFLQFTKIRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVSLGVQDSEANHGPVNIPRPTSLRMLLSSPSHTVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPVEQNDHQWH >ONI28469 pep chromosome:Prunus_persica_NCBIv2:G1:11222941:11229040:1 gene:PRUPE_1G142700 transcript:ONI28469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVSLGVQDSEANHGPVNIPRPTSLRMLLSSPSHTVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPVEQNDHQWH >ONI28466 pep chromosome:Prunus_persica_NCBIv2:G1:11222941:11229040:1 gene:PRUPE_1G142700 transcript:ONI28466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVWIEIGFSVHLFIHSRIWGVVTAFYTR >ONI28462 pep chromosome:Prunus_persica_NCBIv2:G1:11222937:11229040:1 gene:PRUPE_1G142700 transcript:ONI28462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVSSLSERSVEMLMTSDHTSVVSMNLFVALLCACILLGHLLEESRWMNESITALAIGLCTGVIILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMTFGAVGTLISFAIISLGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVSLGVQDSEANHGPVNIPRPTSLRMLLSSPSHTVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPVEQNDHQWH >ONI28465 pep chromosome:Prunus_persica_NCBIv2:G1:11223350:11229040:1 gene:PRUPE_1G142700 transcript:ONI28465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVWIEIGFSVHLFIHSRIWGVVTAFYTR >ONI28457 pep chromosome:Prunus_persica_NCBIv2:G1:11223350:11228989:1 gene:PRUPE_1G142700 transcript:ONI28457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVSSLSERSVEMLMTSDHTSVVSMNLFVALLCACILLGHLLEESRWMNESITALAIGLCTGVIILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMTFGAVGTLISFAIISLGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVWIEIGFSVHLFIHSRIWGVVTAFYTR >ONI28467 pep chromosome:Prunus_persica_NCBIv2:G1:11222941:11229040:1 gene:PRUPE_1G142700 transcript:ONI28467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVWIEIGFSVHLFIHSRIWGVVTAFYTR >ONI28471 pep chromosome:Prunus_persica_NCBIv2:G1:11224021:11229040:1 gene:PRUPE_1G142700 transcript:ONI28471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLQNELIYLNLSQEFQVKKKQFFRNFMTIMTFGAVGTLISFAIISLGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVSLGVQDSEANHGPVNIPRPTSLRMLLSSPSHTVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPVEQNDHQWH >ONI28463 pep chromosome:Prunus_persica_NCBIv2:G1:11222933:11229040:1 gene:PRUPE_1G142700 transcript:ONI28463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVSSLSERSVEMLMTSDHTSVVSMNLFVALLCACILLGHLLEESRWMNESITALAIGLCTGVIILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMTFGAVGTLISFAIISLGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVSLGVQDSEANHGPVNIPRPTSLRMLLSSPSHTVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPVEQNDHQWH >ONI28461 pep chromosome:Prunus_persica_NCBIv2:G1:11222935:11229040:1 gene:PRUPE_1G142700 transcript:ONI28461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVSSLSERSVEMLMTSDHTSVVSMNLFVALLCACILLGHLLEESRWMNESITALAIGLCTGVIILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMTFGAVGTLISFAIISLGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVSLGVQDSEANHGPVNIPRPTSLRMLLSSPSHTVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPVEQNDHQWH >ONI28458 pep chromosome:Prunus_persica_NCBIv2:G1:11222941:11228989:1 gene:PRUPE_1G142700 transcript:ONI28458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVSSLSERSVEMLMTSDHTSVVSMNLFVALLCACILLGHLLEESRWMNESITALAIGLCTGVIILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMTFGAVGTLISFAIISLGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVWIEIGFSVHLFIHSRIWGVVTAFYTR >ONI28460 pep chromosome:Prunus_persica_NCBIv2:G1:11222942:11228930:1 gene:PRUPE_1G142700 transcript:ONI28460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVSSLSERSVEMLMTSDHTSVVSMNLFVALLCACILLGHLLEESRWMNESITALAIGLCTGVIILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMTFGAVGTLISFAIISLGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRQVIFNVILSSLLCLFLQFTKIRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVSLGVQDSEANHGPVNIPRPTSLRMLLSSPSHTVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPVEQNDHQWH >ONI28459 pep chromosome:Prunus_persica_NCBIv2:G1:11222941:11228989:1 gene:PRUPE_1G142700 transcript:ONI28459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVSSLSERSVEMLMTSDHTSVVSMNLFVALLCACILLGHLLEESRWMNESITALAIGLCTGVIILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMTFGAVGTLISFAIISLGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVWIEIGFSVHLFIHSRIWGVVTAFYTR >ONI28464 pep chromosome:Prunus_persica_NCBIv2:G1:11222926:11229040:1 gene:PRUPE_1G142700 transcript:ONI28464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVSSLSERSVEMLMTSDHTSVVSMNLFVALLCACILLGHLLEESRWMNESITALAIGLCTGVIILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMTFGAVGTLISFAIISLGAMHFFHKFNIGSLKIGDYLALGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINTSTALQFIGNFLYLFAASTILGVVAGLLSAYVIKKLYFGRHSTDREVALMILMAYLSYILSELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGKSIAVSSILLALVLVGRAAFVFPLSFLTNLTKKSPSDKISLKQQVTIWWAGLMRGSVSMALAYNQFTRSGHTDLRANAIMITSTITVVLFSTVVFGLMTKPLVRILLPSSKHIGSMISSEPSSPKSITVPLLSNGQDSEVSLGVQDSEANHGPVNIPRPTSLRMLLSSPSHTVHHYWRKFDNAFMRPVFGGRGFVPYVPGSPVEQNDHQWH >ONI30177 pep chromosome:Prunus_persica_NCBIv2:G1:24890926:24892523:-1 gene:PRUPE_1G235100 transcript:ONI30177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLQISSSHSVASLKCLHGRVPSHNIKPASASFATHKVGVFNSSRLSVCGFCRTSRPNKVAMGAIVISATSNTCCTRFCSFHQKAVEFLSSRKIGANVIVRPFSTCTSPVISPIFPLVMRPPSSLVMATQLSPSDAPQRSEEWFALRRDKLTTSTFSTALGFWKGNRRPELWHEKVFESEKQIVEASKRAMEWGVLNEEVAIGKYKSITGREVNSYGFATHTEERLGWVGASPDGLLDGLIDCFQGGGILEVKCPYNKGKPEKGLPWSTMPFYYMPQVQGQMEIMDREWVDLYCWTPNGSTIFRVCRDRSYWNLMHGILREFWWENVIPAREALLLGKEEEAKQYIPTSTHKQTGLAIVKSLKLASEAKLLCREIAGHVEFF >ONI30178 pep chromosome:Prunus_persica_NCBIv2:G1:24890738:24892629:-1 gene:PRUPE_1G235100 transcript:ONI30178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIVISATSNTCCTRFCSFHQKAVEFLSSRKIGANVIVRPFSTCTSPVISPIFPLVMRPPSSLVMATQLSPSDAPQRSEEWFALRRDKLTTSTFSTALGFWKGNRRPELWHEKVFESEKQIVEASKRAMEWGVLNEEVAIGKYKSITGREVNSYGFATHTEERLGWVGASPDGLLDGLIDCFQGGGILEVKCPYNKGKPEKGLPWSTMPFYYMPQVQGQMEIMDREWVDLYCWTPNGSTIFRVCRDRSYWNLMHGILREFWWENVIPAREALLLGKEEEAKQYIPTSTHKQTGLAIVKSLKLASEAKLLCREIAGHVEFF >ONI33678 pep chromosome:Prunus_persica_NCBIv2:G1:37417878:37420215:1 gene:PRUPE_1G440400 transcript:ONI33678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATTDTVVIKAPKKSPLILRMVVLLFAMVCGVYICLVCLKQINTHTKTKFLNIIAINHQNQINQSCQVPDLEKSEIGYVHYPKPQTFKRKECACNPVRYFAILSMQRSGSGWFETLLNSHINVSSNGEIFSVRDRRLNVSSILKNMDKVYNLDWFSSASKNECNAAVGFKWMLNQGLMENHEEILKYFKKKGVSAIFLFRRNLLRRMISVLANSYDKDAKPLNGTHKSHVHSPLEAEILAKYKPKINATLLIRDLAQDEEAAAKAVEYFNATRHIVVYYEDVLNNRTKLNEVQDFLRLPHRELKSRQVKIHTTPLSNQVENWETVEKTLKGTSYETFLHADYQLHSSPQSALIHFT >ONI33677 pep chromosome:Prunus_persica_NCBIv2:G1:37417275:37420215:1 gene:PRUPE_1G440400 transcript:ONI33677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYLSSLHRMDEEEICFFNKDTVVIKAPKKSPLILRMVVLLFAMVCGVYICLVCLKQINTHTKTKFLNIIAINHQNQINQSCQVPDLEKSEIGYVHYPKPQTFKRKECACNPVRYFAILSMQRSGSGWFETLLNSHINVSSNGEIFSVRDRRLNVSSILKNMDKVYNLDWFSSASKNECNAAVGFKWMLNQGLMENHEEILKYFKKKGVSAIFLFRRNLLRRMISVLANSYDKDAKPLNGTHKSHVHSPLEAEILAKYKPKINATLLIRDLAQDEEAAAKAVEYFNATRHIVVYYEDVLNNRTKLNEVQDFLRLPHRELKSRQVKIHTTPLSNQVENWETVEKTLKGTSYETFLHADYQLHSSPQSALIHFT >ONI30228 pep chromosome:Prunus_persica_NCBIv2:G1:25325957:25329034:1 gene:PRUPE_1G238700 transcript:ONI30228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLAVNFWRSTVNNAAFTTPLSWGSSIFISGNLIGLYDAQKVLPAKLCSGVSISPRAFASRNSVKKLRRDGEARKRVADKSTASEDDYVQIDKKVDPSDNLAAEELVVFPPRGAVLQACTVTSGLIAALGIIIRQASHVASIEGLPVFDCSLDISFDFEVWYLELITGLVIVISLCRYLLLKTWPDFAESSEAANQQVLSSLQPLDYIIVAFLPGISEELLFRGALLPLFGSNWRSALAVAIIFGVLHLGSGRKYSFAIWATFVGLVYGYATIVSSSLIVPMAAHAVNNLVGGISWRYRSGSPRRL >ONI30227 pep chromosome:Prunus_persica_NCBIv2:G1:25325878:25329194:1 gene:PRUPE_1G238700 transcript:ONI30227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLAVNFWRSTVNNAAFTTPLSWGSSIFISGNLIGLYDAQKVLPAKLCSGVSISPRAFASRNSVKKLRRDGEARKRVADKSTASEDDYVQIDKKVDPSDNLAAEELVVFPPRGAVLQACTVTSGLIAALGIIIRQASHVASIEGLPVFDCSLDISFDFEVWYLELITGLVIVISLCRYLLLKTWPDFAESSEAANQQVLSSLQPLDYIIVAFLPGISEELLFRGALLPLFGSNWRSALAVAIIFGVLHLGSGRKYSFAIWATFVGLVYGYATIVSSSLIVPMAAHAVNNLVGGISWRYRSGSPRRL >ONI30230 pep chromosome:Prunus_persica_NCBIv2:G1:25325957:25329226:1 gene:PRUPE_1G238700 transcript:ONI30230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLAVNFWRSTVNNAAFTTPLSWGSSIFISGNLIGLYDAQKVLPAKLCSGVSISPRAFASRNSVKKLRRDGEARKRVADKSTASEDDYVQIDKKVDPSDNLAAEELVVFPPRGAVLQACTVTSGLIAALGIIIRQASHVASIEGLPVFDCSLDISFDFEVWYLELITGLVIVISLCRYLLLKTWPDFAESSEAANQQELLFRGALLPLFGSNWRSALAVAIIFGVLHLGSGRKYSFAIWATFVGLVYGYATIVSSSLIVPMAAHAVNNLVGGISWRYRSGSPRRL >ONI30229 pep chromosome:Prunus_persica_NCBIv2:G1:25325925:25329137:1 gene:PRUPE_1G238700 transcript:ONI30229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLAVNFWRSTVNNAAFTTPLSWGSSIFISGNLIGLYDAQKVLPAKLCSGVSISPRAFASRNSVKKLRRDGEARKRVADKSTASEDDYVQIDKKVDPSDNLAAEELVVFPPRGAVLQACTVTSGLIAALGIIIRQASHVASIEGLPVFDCSLDISFDFEVWYLELITGLVIVISLCRYLLLKTWPDFAESSEAANQQELLFRGALLPLFGSNWRSALAVAIIFGVLHLGSGRKYSFAIWATFVGLVYGYATIVSSSLIVPMAAHAVNNLVGGISWRYRSGSPRRL >ONI30226 pep chromosome:Prunus_persica_NCBIv2:G1:25325952:25329226:1 gene:PRUPE_1G238700 transcript:ONI30226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLAVNFWRSTVNNAAFTTPLSWGSSIFISGNLIGLYDAQKVLPAKLCSGVSISPRAFASRNSVKKLRRDGEARKRVADKSTASEDDYVQIDKKVDPSDNLAAEELVVFPPRGAVLQACTVTSGLIAALGIIIRQASHVASIEGLPVFDCSLDISFDFEVWYLELITGLVIVISLCRYLLLKTWPDFAESSEAANQQVLSSLQPLDYIIVAFLPGISEELLFRGALLPLFGSNWRSALAVAIIFGVLHLGSGRKYSFAIWATFVGLVYGYATIVSSSLIVPMAAHAVNNLVGGISWRYRSGSPRRL >ONI32390 pep chromosome:Prunus_persica_NCBIv2:G1:33521063:33523187:-1 gene:PRUPE_1G364900 transcript:ONI32390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSETMVMEQRELFPCSPNPSVSEMEVADILLQLPDMIYQFESPLPIPLSLGWGCKSKRSALAVKNDHASTLQRLRHLGLPPCWSKLLRCCFTPKSLAKSPVPLLSNAIKVETSSPDTPLSFEPSESDDKPQQLRRRVLGKRKREEWSKIIDELTEEKESVQLELQKVERHYVDLNDFNLELKAMKEKEEESRLGIDPSLNNAVVPLCQPAQQSPPVAAAAAAAANQDQYHQQHREQIHPPPSVMHYQHQLQLIMDQTAQTQRREICENLRYGYPSNSSTQGPSSISLASSGGSASGLMRMVNCNNNVVGPRGLNLCFKNTIVMSSSQPYDLTLVNSTRFVAAQNRQKRLEYCRLKRPRFATTLR >ONI32388 pep chromosome:Prunus_persica_NCBIv2:G1:33521063:33523187:-1 gene:PRUPE_1G364900 transcript:ONI32388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSETMVMEQRELFPCSPNPSVSEMEVADILLQLPDMIYQFESPLPIPLSLGWGCKSKRSALAVKNDHASTLQRLRHLGLPPCWSKLLRCCFTPKSLAKSPVPLLSNAIKVETSSPDTPLSFEPSESDDKPQQLRRRVLGKRKREEWSKIIDELTEEKESVQLKVERHYVDLNDFNLELKAMKEKEEESRLGIDPSLNNAVVPLCQPAQQSPPVAAAAAAAANQDQYHQQHREQIHPPPSVMHYQHQLQLIMDQTAQTQRREICENLRYGYPSNSSTQGPSSISLASSGGSASGLMRMVNCNNNVVGPRGLNLCFKNTIVMSSSQPYDLTLVNSTRFVAAQNRQKRLEYCRLKRPRFATTLR >ONI32391 pep chromosome:Prunus_persica_NCBIv2:G1:33521294:33522899:-1 gene:PRUPE_1G364900 transcript:ONI32391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSETMVMEQRELFPCSPNPSVSEMEVADILLQLPDMIYQFESPLPIPLSLGWGCKSKRSALAVKNDHASTLQRLRHLGLPPCWSKLLRCCFTPKSLAKSPVPLLSNAIKVETSSPDTPLSFEPSESDDKPQQLRRRVLGKRKREEWSKIIDELTEEKESVQLELQKVERHYVDLNDFNLELKAMKEKLMGLGHKQEEESRLGIDPSLNNAVVPLCQPAQQSPPVAAAAAAAANQDQYHQQHREQIHPPPSVMHYQHQLQLIMDQTAQTQRREICENLRYGYPSNSSTQGPSSISLASSGGSASGLMRMVNCNNNVVGPRGLNLCFKNTIVMSSSQPYDLTLVNSTRFVAAQNRQKRLEYCRLKRPRFATTLR >ONI32389 pep chromosome:Prunus_persica_NCBIv2:G1:33521294:33522899:-1 gene:PRUPE_1G364900 transcript:ONI32389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSETMVMEQRELFPCSPNPSVSEMEVADILLQLPDMIYQFESPLPIPLSLGWGCKSKRSALAVKNDHASTLQRLRHLGLPPCWSKLLRCCFTPKSLAKSPVPLLSNAIKVETSSPDTPLSFEPSESDDKPQQLRRRVLGKRKREEWSKIIDELTEEKESVQLKVERHYVDLNDFNLELKAMKEKLMGLGHKQEEESRLGIDPSLNNAVVPLCQPAQQSPPVAAAAAAAANQDQYHQQHREQIHPPPSVMHYQHQLQLIMDQTAQTQRREICENLRYGYPSNSSTQGPSSISLASSGGSASGLMRMVNCNNNVVGPRGLNLCFKNTIVMSSSQPYDLTLVNSTRFVAAQNRQKRLEYCRLKRPRFATTLR >ONI29405 pep chromosome:Prunus_persica_NCBIv2:G1:18768317:18771430:1 gene:PRUPE_1G196700 transcript:ONI29405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGVPATGKGLIVSFGEMLIDFVPTESGVSLAEAPGFLKAPGGAPANVAIAVARLGGKAAFVGKLGDDEFGHMLAGILKQYGVTGEGILFDQGARTALAFVTLRADGEREFMFYRNPSADMLLKPDELNLELIKSAKVFHYGSISLIVEPCRSAHLKAMEVAKDAGALLSYDPNLRLPLWPSAEEARKQIMSIWEKADLIKISDVELEFLTGNPKIDDENALTLWKDNLKLLLVTLGENGCRYYTKHFRGSVEAFHVKTVDTTGAGDSFVGALLAKIVDDQSILEDEQRLRGVLKFANACGAITTTKKGAIPALPSESEAFTLIKGA >ONI31472 pep chromosome:Prunus_persica_NCBIv2:G1:30455294:30456060:-1 gene:PRUPE_1G315300 transcript:ONI31472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLTEASFALDFYYQNCSVPVSCGREDISYPFYIQGKQDPFCGYPGFQLSCHGDGEDAYPLLQLSGNNYTSHNIDYQRKSLISTACNNDISSLHNLTLPNDQFELASNQADFFLLYNCNSSVVESFPKYKVGCFDQATNKTRKVLSRGFDLNWFASDCSRCQDSGGRCGFNYTTYHFRCLCPRGTFSWRCGSYDKG >ONI31842 pep chromosome:Prunus_persica_NCBIv2:G1:31595187:31598358:-1 gene:PRUPE_1G334000 transcript:ONI31842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMANKYEEEEEAEEIQNFAKRLKCSRYEEHQEEEEEEEEESELALKLGMVVYPMKPASFVVSDALEADGPIIYVNKVFETFTGYCAHEVLGRNCRFLQYRDPHAQRRHPLVDPVVVSEIRRCLEEGVEFQGELLNFRKDGTPLVNRLRLKPIHDDNGTVTHIIGIQVFSEAKIDLSSVSYPVYKETCNQQYDQSGKYSLMSGQTPFTQYQEICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGREVTGTLELMTNKLGWGRLARELTTLEAVCWRKFTVGGAVEPSRCNFSACAVGNRLVLFGGEGVDMQPMDDTFVLNLDAADPEWRRVSVKSSPPGRWGHTLSCLNGSWLVVFGGCGREGLLNDVFILDLDAKQPTWKEVFGGTPPLPRSWHSSCTVEGSKLVVSGGCTDAGVLLSDTYLLDLTTDHPTWKEIPTSWAPPSRLGHSLSVYGRSKILMFGGLANSGHLRLRSGETYTIDLEDENPQWRQLECNAFTSIGSQSSVVPPPRLDHVAVSMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNDLHELCLASRQD >ONI29386 pep chromosome:Prunus_persica_NCBIv2:G1:18661783:18665653:-1 gene:PRUPE_1G195900 transcript:ONI29386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQMDDQNGTMYTSEGGETTIVEVSTNQEPYEGMLFESEDAARVFYDDYASRVGFLTRVLSSRKSERDGSIISRGLGCRGVSDNGRKVMQECAQREFCTAMVLLRQEKPGSWVVKKFLKDHNHPLVVQSQKSRRTLDEKDKKIQELTAQLRVKKRLSAAYREQLLAFMKDVEDHNNHLSIKLQSVFDNLKVLEAKTVGFT >ONI29387 pep chromosome:Prunus_persica_NCBIv2:G1:18661783:18665653:-1 gene:PRUPE_1G195900 transcript:ONI29387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQNGTMYTSEGGETTIVEVSTNQEPYEGMLFESEDAARVFYDDYASRVGFLTRVLSSRKSERDGSIISRGLGCRGVSDNGRKVMQECAQREFCTAMVLLRQEKPGSWVVKKFLKDHNHPLVVQSQKSRRTLDEKDKKIQELTAQLRVKKRLSAAYREQLLAFMKDVEDHNNHLSIKLQSVFDNLKVLEAKTVGFT >ONI29389 pep chromosome:Prunus_persica_NCBIv2:G1:18662978:18664381:-1 gene:PRUPE_1G195900 transcript:ONI29389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQNGTMYTSEGGETTIVEVSTNQEPYEGMLFESEDAARVFYDDYASRVGFLTRVLSSRKSERDGSIISRGLGCRGVSDNGRKVMQECAQREFCTAMVLLRQEKPGSWVVKKFLKDHNHPLVVQSQKSRRTLSDPSIF >ONI29390 pep chromosome:Prunus_persica_NCBIv2:G1:18663416:18665653:-1 gene:PRUPE_1G195900 transcript:ONI29390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQMDDQNGTMYTSEGGETTIVEVSTNQEPYEGMLFESEDAARVFYDDYASRVGFLTRVLSSRKSERDGSIISRGLGCRGVSDNGRKVMQECAQREFCTAMVLLRQEKPGSWVVKKFLKDHNHPLVVQSQKSRRTLVSLSYVAYVLM >ONI29388 pep chromosome:Prunus_persica_NCBIv2:G1:18662978:18665532:-1 gene:PRUPE_1G195900 transcript:ONI29388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQMDDQNGTMYTSEGGETTIVEVSTNQEPYEGMLFESEDAARVFYDDYASRVGFLTRVLSSRKSERDGSIISRGLGCRGVSDNGRKVMQECAQREFCTAMVLLRQEKPGSWVVKKFLKDHNHPLVVQSQKSRRTLSDPSIF >ONI29391 pep chromosome:Prunus_persica_NCBIv2:G1:18663947:18664381:-1 gene:PRUPE_1G195900 transcript:ONI29391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQNGTMYTSEGGETTIVEVSTNQEPYEGMLFESEDAARVFYDDYASRVGFLTRVLSSRKSERDGSIISRGLGCRGVSDNGRKVMQECAQREFCTAMVLLRQEKPGSWVVKKFLKDHNHPLVVQSQKSRRTLVSLSYVAYVLM >ONI28500 pep chromosome:Prunus_persica_NCBIv2:G1:11334577:11336155:-1 gene:PRUPE_1G144200 transcript:ONI28500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKKKKATVFIRLVSAAGTGFFYVKRKPTKVTEKLEFRKFDPRVNRHVLFTEAKMK >ONI28501 pep chromosome:Prunus_persica_NCBIv2:G1:11334803:11336155:-1 gene:PRUPE_1G144200 transcript:ONI28501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKKKKATVFIRLVSAAGTGFFYVKRKPTKVTEKLEFRKFDPRVNRHVLFTEAKMK >ONI28751 pep chromosome:Prunus_persica_NCBIv2:G1:12626657:12642875:-1 gene:PRUPE_1G159200 transcript:ONI28751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRPSSSSGGAWGQPPQQPQGTNFYLQNPINSSYIYPSNTPFIPHNAHNPYQNFPPNNVPIQSPKFPVQNPGFNPPPQQFSNPAGFRPPNSRDMLERIDRAVGKARDEHAAAGENVSAWKVSQSALLMLKVDCWSSLGFQMQQVPTLHRLMLTEGKINAFIHCFVGARRITSLYDLEVAICKNEGIEQFEELGLGPLLRHPLVMHYFSVKSDTTEVFKITSGEMIYLLSEFMDTCENKDIRVEEYLDFIVKKRSVASKEALGIRIHSMGMHISAIRKARNLEISTLKKLEKAFQPNSDKKDRKFPLLSAEKKELDKRFSTISQRVESFSPIHKDFCGKHIRFDPSSSEDEGRDDYLSEENDENNDHVTGSQVNFSSQSVKSSDRVSSCPYPSVIEERRRLGLSELSPASGSQKHNDSSGSVKKKRKSEHINSAISMPHKLRKRDKVQDALPMENGRETNEVSNLPENDLSIDNNDLRMFITTWKEACLEYTVDEVLDRMLQFNNTKAQKRKKIKSMFSLYPLIGLLNVAVSSIKCGMWDSMYDTFQTIGQYELTDSITDNCPEYVNIDVEPSTKDEPRIKDPPVINERIVEHIQSVSVEDIIRKVTVYFESDQGKHNNGQSLLEKTFIFLRKLCNCEVWLVKEFCVKEFKSLGYGEFLMFLEKYACLLPHELCKFLTGDLSGKCPFEVCMLQHHLVVLVSQALNSLWEDEKVTKQNIVLLLRKQFPLVCFKTIENGSVEDFLSIVGKHKNAASSKCVLFSMALCGTSYAIESSLHIENVLWKRTSVNTDSGQKAKSHETVTSKDAIEVLLTAPVMSDLNLWSHWDLLFAPSLGPLVPWLLNEVNTDELLCLVTKGGKVIRLDHSATVDSFLESALQGSSFQTAVKMLSLFSLVGGEKHVPVSLLKIHIKHAFEVIQKNYLDDIELQDNKNSINYGKALSGQKMVGEVATGKFCSKLHKDLSKMNIATTVISRFFLECLGYLPAEFRYFAADVLLSGMQSVVKHAASAVLNECSQSEQRLMLHEVGLSLGVVEWINDYYAFCSSDATGLFISGASCFNAIRYETGSSSKNMQDVSDKFSVRADEHKGGCTDICLKVGGAEASDASIGSGYTQHPTELNEHEDAAQVIESIRRDEFGLDSGLSSVESIMLKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNTYPTNVEPTLTFILQESGIIVLNNERGFSSRNIRALCDVGSSTKKGSNAGYIGQKGIGFKSVFRVTDAPEIHSNGFHIKFDISEGQIGFVLPTVVPPCNVDLFSRLTSSDHDQSDNNCWNTCVVLPFRSKISDGTVMKSIINMFSDLHPSLLLFLHRLQCIKFRNLLDDSLTVMRKEILGDGIVKVSHGKEKMTWFVVSQKLQADFIRSDVQTTEISIAFTLKESDNGDYDPDLVQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEFPGLFVNAERSFCALPCFKENPGRAVTAYMSFVPLVGEVHGFFSSLPRLIVSRLRMTNCLLLEGGNNEWVPPCKVLRGWNEHAHSLLPDSLLREHLDLGFLDRNIVLPDPLSNAIGIVEYGPKVLLQVMVSLCHTQNGLKSMGLGWLASWLSELYAMSFNFSVEASFDPRIQMELIENLRKIPFIPLSDGTYGAVDEGPIWLHFDALSNGLEAQDGLESFPNLYAKLRIVSPALISTVSADMSSMDVTVDKLTCMLCRIGVQRLSAHEIVKVHILPAISDDRITDWDKNLVIEYLCFVMFHIQSSCSDCNVEREYIISEIRDKAYISTNYGFKRPSEVSIHFSKEFGNPVDIKKLINMVDIKWHEVDISYLGHPVTKPLPCELKKWREFFQQIGIMDFVKVVQVEKGIADISDVLVKNVIWDKDLISLRSNVTDWESPELVNLLSLLARDGNKKGCEYLLEILDTLWDDCYGEKTTCYCASKSETDRRPFKSSFISSICDVEWVVSTMDDVLHYPKDLYHDCDAVHSILGASAPFAMPKVRSEKFVLDIGFKTTVSLDDVLEVLKLWRRENPFSASLAQMFKFYTLIWNEMAASKEKIAEAFHSGPSIFVPHTSSFRHEDVVSGTLLSPEEVYWHDSTSFVDQIREIHRQCSSAGVTHGPLNKTLCNFYPGLHDFFVDGCGVHETPPLRSYLQILLHLSNVALPSQAANAVFQVFLKWTDGLKSGLSAEDVVYLKDSLTKIECTVLPTVQDKWVSVHPSFGLVCWCDNKKLSKQFKHLDCIDFLYFGELSKDDEEMLCTKVSILMHTLGIPALSEVVTREAIYYSMEDSSFKAALLDWALPYAQRYLHGVHPDKYSQLKQSEFDILNHLQVVVVEKLFYRNVIKSTGNESKKRVKCSCLLTGSILYTTQESDSHALFMELSRLFFNGNPELHLANFLHMITTMAESGSTEEQTEFFILNSQNVPKLPDGESVWCLSSVHSLIESDKSLETSFNSPEVDEQNSWKSKSKARNWPPVDWKIAPGFGYARANGFKTQAVSQPNTALENKVGDDSEGISRQTDDLTPISVDSNWTIEGCLATTSAAFVLPDSNHLQEHCGEAGNEADFPMHMECNPVSFDLVSDPSDFGSSNFSKRDQLRFGTPNSTQANLTGRLGELVAFKYFVQKAGKSVVKWVNEHHETGLPYDIVIGDKENNKEFIEVKATKSARKDWFEISMRELQFAIEKAEAFSIAHVILLGNNVARVSVYNNLAKLCQLHKLRLAVLLPEQQREFSIVSQS >ONI28752 pep chromosome:Prunus_persica_NCBIv2:G1:12627202:12642734:-1 gene:PRUPE_1G159200 transcript:ONI28752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERIDRAVGKARDEHAAAGENVSAWKVSQSALLMLKVDCWSSLGFQMQQVPTLHRLMLTEGKINAFIHCFVGARRITSLYDLEVAICKNEGIEQFEELGLGPLLRHPLVMHYFSVKSDTTEVFKITSGEMIYLLSEFMDTCENKDIRVEEYLDFIVKKRSVASKEALGIRIHSMGMHISAIRKARNLEISTLKKLEKAFQPNSDKKDRKFPLLSAEKKELDKRFSTISQRVESFSPIHKDFCGKHIRFDPSSSEDEGRDDYLSEENDENNDHVTGSQVNFSSQSVKSSDRVSSCPYPSVIEERRRLGLSELSPASGSQKHNDSSGSVKKKRKSEHINSAISMPHKLRKRDKVQDALPMENGRETNEVSNLPENDLSIDNNDLRMFITTWKEACLEYTVDEVLDRMLQFNNTKAQKRKKIKSMFSLYPLIGLLNVAVSSIKCGMWDSMYDTFQTIGQYELTDSITDNCPEYVNIDVEPSTKDEPRIKDPPVINERIVEHIQSVSVEDIIRKVTVYFESDQGKHNNGQSLLEKTFIFLRKLCNCEVWLVKEFCVKEFKSLGYGEFLMFLEKYACLLPHELCKFLTGDLSGKCPFEVCMLQHHLVVLVSQALNSLWEDEKVTKQNIVLLLRKQFPLVCFKTIENGSVEDFLSIVGKHKNAASSKCVLFSMALCGTSYAIESSLHIENVLWKRTSVNTDSGQKAKSHETVTSKDAIEVLLTAPVMSDLNLWSHWDLLFAPSLGPLVPWLLNEVNTDELLCLVTKGGKVIRLDHSATVDSFLESALQGSSFQTAVKMLSLFSLVGGEKHVPVSLLKIHIKHAFEVIQKNYLDDIELQDNKNSINYGKALSGQKMVGEVATGKFCSKLHKDLSKMNIATTVISRFFLECLGYLPAEFRYFAADVLLSGMQSVVKHAASAVLNECSQSEQRLMLHEVGLSLGVVEWINDYYAFCSSDATGLFISGASCFNAIRYETGSSSKNMQDVSDKFSVRADEHKGGCTDICLKVGGAEASDASIGSGYTQHPTELNEHEDAAQVIESIRRDEFGLDSGLSSVESIMLKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNTYPTNVEPTLTFILQESGIIVLNNERGFSSRNIRALCDVGSSTKKGSNAGYIGQKGIGFKSVFRVTDAPEIHSNGFHIKFDISEGQIGFVLPTVVPPCNVDLFSRLTSSDHDQSDNNCWNTCVVLPFRSKISDGTVMKSIINMFSDLHPSLLLFLHRLQCIKFRNLLDDSLTVMRKEILGDGIVKVSHGKEKMTWFVVSQKLQADFIRSDVQTTEISIAFTLKESDNGDYDPDLVQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEFPGLFVNAERSFCALPCFKENPGRAVTAYMSFVPLVGEVHGFFSSLPRLIVSRLRMTNCLLLEGGNNEWVPPCKVLRGWNEHAHSLLPDSLLREHLDLGFLDRNIVLPDPLSNAIGIVEYGPKVLLQVMVSLCHTQNGLKSMGLGWLASWLSELYAMSFNFSVEASFDPRIQMELIENLRKIPFIPLSDGTYGAVDEGPIWLHFDALSNGLEAQDGLESFPNLYAKLRIVSPALISTVSADMSSMDVTVDKLTCMLCRIGVQRLSAHEIVKVHILPAISDDRITDWDKNLVIEYLCFVMFHIQSSCSDCNVEREYIISEIRDKAYISTNYGFKRPSEVSIHFSKEFGNPVDIKKLINMVDIKWHEVDISYLGHPVTKPLPCELKKWREFFQQIGIMDFVKVVQVEKGIADISDVLVKNVIWDKDLISLRSNVTDWESPELVNLLSLLARDGNKKGCEYLLEILDTLWDDCYGEKTTCYCASKSETDRRPFKSSFISSICDVEWVVSTMDDVLHYPKDLYHDCDAVHSILGASAPFAMPKVRSEKFVLDIGFKTTVSLDDVLEVLKLWRRENPFSASLAQMFKFYTLIWNEMAASKEKIAEAFHSGPSIFVPHTSSFRHEDVVSGTLLSPEEVYWHDSTSFVDQIREIHRQCSSAGVTHGPLNKTLCNFYPGLHDFFVDGCGVHETPPLRSYLQILLHLSNVALPSQAANAVFQVFLKWTDGLKSGLSAEDVVYLKDSLTKIECTVLPTVQDKWVSVHPSFGLVCWCDNKKLSKQFKHLDCIDFLYFGELSKDDEEMLCTKVSILMHTLGIPALSEVVTREAIYYSMEDSSFKAALLDWALPYAQRYLHGVHPDKYSQLKQSEFDILNHLQVVVVEKLFYRNVIKSTGNESKKRVKCSCLLTGSILYTTQESDSHALFMELSRLFFNGNPELHLANFLHMITTMAESGSTEEQTEFFILNSQNVPKLPDGESVWCLSSVHSLIESDKSLETSFNSPEVDEQNSWKSKSKARNWPPVDWKIAPGFGYARANGFKTQAVSQPNTALENKVGDDSEGISRQTDDLTPISVDSNWTIEGCLATTSAAFVLPDSNHLQEHCGEAGNEADFPMHMECNPVSFDLVSDPSDFGSSNFSKRDQLRFGTPNSTQANLTGRLGELVAFKYFVQKAGKSVVKWVNEHHETGLPYDIVIGDKENNKEFIEVKATKSARKDWFEISMRELQFAIEKAEAFSIAHVILLGNNVARVSVYNNLAKLCQLHKLRLAVLLPEQQREFSIVSQS >ONI34205 pep chromosome:Prunus_persica_NCBIv2:G1:38989125:38997104:-1 gene:PRUPE_1G468400 transcript:ONI34205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34210 pep chromosome:Prunus_persica_NCBIv2:G1:38989125:38997104:-1 gene:PRUPE_1G468400 transcript:ONI34210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKQRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34211 pep chromosome:Prunus_persica_NCBIv2:G1:38989165:38996811:-1 gene:PRUPE_1G468400 transcript:ONI34211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKQRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34208 pep chromosome:Prunus_persica_NCBIv2:G1:38989167:38997104:-1 gene:PRUPE_1G468400 transcript:ONI34208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKQRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34206 pep chromosome:Prunus_persica_NCBIv2:G1:38989451:38996604:-1 gene:PRUPE_1G468400 transcript:ONI34206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34203 pep chromosome:Prunus_persica_NCBIv2:G1:38989451:38996604:-1 gene:PRUPE_1G468400 transcript:ONI34203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34207 pep chromosome:Prunus_persica_NCBIv2:G1:38989451:38996604:-1 gene:PRUPE_1G468400 transcript:ONI34207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34214 pep chromosome:Prunus_persica_NCBIv2:G1:38989167:38997104:-1 gene:PRUPE_1G468400 transcript:ONI34214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNISTQIKMTLSAPATRMVRYATGVLTMAAAQVCSRVVQHR >ONI34215 pep chromosome:Prunus_persica_NCBIv2:G1:38990641:38996604:-1 gene:PRUPE_1G468400 transcript:ONI34215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNISTQIKMTLSAPATRMVRYATGVLTMAAAQVCSRVVQHR >ONI34209 pep chromosome:Prunus_persica_NCBIv2:G1:38989451:38996604:-1 gene:PRUPE_1G468400 transcript:ONI34209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKQRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34217 pep chromosome:Prunus_persica_NCBIv2:G1:38990641:38996604:-1 gene:PRUPE_1G468400 transcript:ONI34217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKQRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNISTQIKMTLSAPATRMVRYATGVLTMAAAQVCSRVVQHR >ONI34202 pep chromosome:Prunus_persica_NCBIv2:G1:38989167:38997104:-1 gene:PRUPE_1G468400 transcript:ONI34202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34213 pep chromosome:Prunus_persica_NCBIv2:G1:38989451:38996604:-1 gene:PRUPE_1G468400 transcript:ONI34213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKQRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34204 pep chromosome:Prunus_persica_NCBIv2:G1:38989165:38996811:-1 gene:PRUPE_1G468400 transcript:ONI34204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34201 pep chromosome:Prunus_persica_NCBIv2:G1:38989247:38996604:-1 gene:PRUPE_1G468400 transcript:ONI34201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMNNLQQRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34212 pep chromosome:Prunus_persica_NCBIv2:G1:38989451:38996604:-1 gene:PRUPE_1G468400 transcript:ONI34212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKQRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNPGYSLRTFMGHSASVMSLDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKGGTAQMRFQPRHGRFLAAAADNVVSILDVETQACRHSLQGHSKPVHSVCWDPSGEFLASVSEDSVRVWTLRSGGEGECVHELSCNGSKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKTMTLSAHDGLIAALAMSTVTGLVASASHDKFVKLWK >ONI34216 pep chromosome:Prunus_persica_NCBIv2:G1:38989167:38997104:-1 gene:PRUPE_1G468400 transcript:ONI34216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQQQQQQQQQQSQQPQHSQQQQQQQQQHMQMQQLLLHRHAQQQQQQQQQQQQQQQQQQQQQQQQQQPQQQQQQPQPQQRRDGVHFLNGTTNGLVGNDPLMRQNPGTANAMATKMYEEKLKLPLQRDSLDDASMKQRFGENVGQILDQNHASILKSAAAAGQPSGQVLHGTAGGMTQQVQARNQQLPGSTPDIKTEINPVLNPRAACPEGSLIGIPGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDESRRLRMLMNNRSMGLGKDGLPNSVGDVGSPLQAAGPIMPRGDTDMLIKLKMAHLHQQQNSNPQQQQQQLQQHNLSAQQSQSSNLNPHQQDKIGGAGSITMDGSISNSFRGNDQVSKNQAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGSSSKPLMMFGPDGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDVDPRDAVGRCMDVSKGFTFTEVHSVKASTTKVNSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLATSSFDKTVRVWDADNISTQIKMTLSAPATRMVRYATGVLTMAAAQVCSRVVQHR >ONI28079 pep chromosome:Prunus_persica_NCBIv2:G1:9569863:9583525:1 gene:PRUPE_1G121900 transcript:ONI28079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKFPSRDAPSVTMKPLSFLSKVHDNNRSEDLETSPAKEPDVDIDLREVYFLIMHFLSAGPCHRTCVQFWNELLEHQLLPRRYHAWYSRNGLHSGDENDDGKSFPLNYNMLVHRYPHIENDHLVKLLKQLISSTAPPSRGMSGGNAPNAADVPTLLGQRSFSLLTYERDQVNKEMKRPPAHMRWPHAKAHQVHGLSLREIGGGFTRHHRAPSIRAASYAIAKPLTMFQKMKNTTRLRGHRNAVYCATFDRSGRYVITGSDDRLVKIWLMETAFCLASCRGHEGDITDLAVSSNNVLVASSSNDTIIRVWRLPDGLPISVLRGHTGAVTAITFNPRPGSMYQLLSSSDDGTCRIWDARNSQVSPRIYIPRPSDAIVGRNSGPFSSTVSQSHQIFCCAFNANGTFFVTGSSDTLARVWTASKPGSDESDQPNHEIDVLSGHENDVNYVQFSGCAVVSRFMAADTSKEENIPKFKNSWFNHDNIVTCSRDGSAIIWIPRSRRSHGKAGRWTRAYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMITWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHSESTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGMPIRIFETSQFRLVDGKFSPDGTSIILSDDVGQLYILDSGQGESHNDAKYDQFFLGDYRPIIHDSFGNVLDQETQLSAYRRNMQDLLCDSGMIPYEEPYQSAYQKRRLGALGSEWRPSSLRLAVGPDFSVDPDFQMLPIADLDMLAEPMPEFVDAMDWEPQNEMQSDDTDSEYNITEDYSTGGEQGSLSSNPSIDPECSEEDSEAEDAQMDGLRRSKRKKQKADVEVMSSSGRCVKRKNLDECAGNPFRNNRMRKSRHGRKASRKKSSTSKSLRPQRAAALNALTLFSKITGRSADGEDEDGSEDDMSGSESTLQDSNIESDGSDKQNQPTKHSKGKEFSLDESEDMVKPNERPEFPINAGNRRRLVLKLPRRDSNKLVSRESTVHNCGNQDDLVHQSCRVPQEATEANNNISSQDPGSSPGDEKCSIFGTAVGGQLYKVENHVDLTENYKNGRISWGGSRVRTSKRLRSGESMSLDALARASATVVGNEKEYSKPENDFGTMSPQSESQMYGDTMAVGNEETIGASTSEGLNGETNAKEQSGFSECKDHDQSPKSVHMAPWDASTSSCLDKDRTIFSPEQNEKLTTVSTKLRLRRISRDPSPCKQEMFSVVENLENGRCNTLHESLSSMEQDPVVPEDDGTPKFIPDDRYNGSRESDNQSDKNVISGIHESVESHLNKNKMFSAVYRRVKPHRGRINLEGDSGIKEEGCLYTSNTSNHNLIAGVDFNDDSVDGGRRTRSMGLKASAHDPSSVDHDDKMGQGHEPGYTFRSNQKSSMDKFQLRNEEQGSSSRTTVGLRSTRNRRSSYRDMNPMDRRKSHQSARKVSWLMLSTHEESSRYIPQLGDEVVYLRQGHQEYFELGGLRENPPWTFIKGRIRAVEFCKVEDLEYSSLAGSGDSCCKLTLQFVDPTSDVYGKYFKMTLPEVTGFPDFIVERTRYVSSIERNWACRDHCKVWWKNEGEDDGKWWEGRIKLKQSKSTNFPDSPWEMYTVQYKCDPSDAQLHSPWELFDSNTQWEEPRIDDKSKMKLLSAFAKLERSADSRQDSFGVDKLKQLQLKPKFTNWCAVPISLEVIQSRLENNYYRNLEALKHDFKVMLLNAETYLESNAVKRTSDKELLAKLKCISDWFTQTISSL >ONI28080 pep chromosome:Prunus_persica_NCBIv2:G1:9569863:9583525:1 gene:PRUPE_1G121900 transcript:ONI28080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKFPSRDAPSVTMKPLSFLSKVHDNNRSEDLETSPAKEPDVDIDLREVYFLIMHFLSAGPCHRTCVQFWNELLEHQLLPRRYHAWYSRNGLHSGDENDDGKSFPLNYNMLVHRYPHIENDHLVKLLKQLISSTAPPSRGMSGGNAPNAADVPTLLGQRSFSLLTYERDQVNKEMKRPPAHMRWPHAKAHQVHGLSLREIGGGFTRHHRAPSIRAASYAIAKPLTMFQKMKNTTRLRGHRNAVYCATFDRSGRYVITGSDDRLVKIWLMETAFCLASCRGHEGDITDLAVSSNNVLVASSSNDTIIRVWRLPDGLPISVLRGHTGAVTAITFNPRPGSMYQLLSSSDDGTCRIWDARNSQVSPRIYIPRPSDAIVGRNSGPFSSTVSQSHQIFCCAFNANGTFFVTGSSDTLARVWTASKPGSDESDQPNHEIDVLSGHENDVNYVQFSGCAVVSRFMAADTSKEENIPKFKNSWFNHDNIVTCSRDGSAIIWIPRSRRSHGKAGRWTRAYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMITWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHSESTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGMPIRIFETSQFRLVDGKFSPDGTSIILSDDVGQLYILDSGQGESHNDAKYDQETQLSAYRRNMQDLLCDSGMIPYEEPYQSAYQKRRLGALGSEWRPSSLRLAVGPDFSVDPDFQMLPIADLDMLAEPMPEFVDAMDWEPQNEMQSDDTDSEYNITEDYSTGGEQGSLSSNPSIDPECSEEDSEAEDAQMDGLRRSKRKKQKADVEVMSSSGRCVKRKNLDECAGNPFRNNRMRKSRHGRKASRKKSSTSKSLRPQRAAALNALTLFSKITGRSADGEDEDGSEDDMSGSESTLQDSNIESDGSDKQNQPTKHSKGKEFSLDESEDMVKPNERPEFPINAGNRRRLVLKLPRRDSNKLVSRESTVHNCGNQDDLVHQSCRVPQEATEANNNISSQDPGSSPGDEKCSIFGTAVGGQLYKVENHVDLTENYKNGRISWGGSRVRTSKRLRSGESMSLDALARASATVVGNEKEYSKPENDFGTMSPQSESQMYGDTMAVGNEETIGASTSEGLNGETNAKEQSGFSECKDHDQSPKSVHMAPWDASTSSCLDKDRTIFSPEQNEKLTTVSTKLRLRRISRDPSPCKQEMFSVVENLENGRCNTLHESLSSMEQDPVVPEDDGTPKFIPDDRYNGSRESDNQSDKNVISGIHESVESHLNKNKMFSAVYRRVKPHRGRINLEGDSGIKEEGCLYTSNTSNHNLIAGVDFNDDSVDGGRRTRSMGLKASAHDPSSVDHDDKMGQGHEPGYTFRSNQKSSMDKFQLRNEEQGSSSRTTVGLRSTRNRRSSYRDMNPMDRRKSHQSARKVSWLMLSTHEESSRYIPQLGDEVVYLRQGHQEYFELGGLRENPPWTFIKGRIRAVEFCKVEDLEYSSLAGSGDSCCKLTLQFVDPTSDVYGKYFKMTLPEVTGFPDFIVERTRYVSSIERNWACRDHCKVWWKNEGEDDGKWWEGRIKLKQSKSTNFPDSPWEMYTVQYKCDPSDAQLHSPWELFDSNTQWEEPRIDDKSKMKLLSAFAKLERSADSRQDSFGVDKLKQLQLKPKFTNWCAVPISLEVIQSRLENNYYRNLEALKHDFKVMLLNAETYLESNAVKRTSDKELLAKLKCISDWFTQTISSL >ONI26679 pep chromosome:Prunus_persica_NCBIv2:G1:2820211:2825189:1 gene:PRUPE_1G039500 transcript:ONI26679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIYHADKYRKGACENCGAMTHSAKSCMERPRKKGAKWTNFHIAPDEKIEMFELDYDGKRDRWNGFDAATYARVIERYEARDEARRKYLKEQQLKKLEEKNNKQNVEEEVSDDDEDNDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQYRVSGQALEFKKLNIHAWEAFEKGQDIHMQAAPSQAELLYKNFKVIKDKLKSRMKDAIMEKYGNSATEEVLPKELLLGQSEKQVEYDRAGRVVKGMETSLPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEEAADLMKANVARKAASEEMPTPTEGKRLATWGTDIPDDLVLDQKKLDDALKKEDKRRKEEKDERKRKYNVKWDDEVTLEEMEAYRMKKIHNDDPMKDFLH >ONI26681 pep chromosome:Prunus_persica_NCBIv2:G1:2820853:2824975:1 gene:PRUPE_1G039500 transcript:ONI26681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGGRRMGLRNVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIYHADKYRKGACENCGAMTHSAKSCMERPRKKGAKWTNFHIAPDEKIEMFELDYDGKRDRWNGFDAATYARVIERYEARDEARRKYLKEQQLKKLEEKNNKQNVEEEVSDDDEDNDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQYRVSGQALEFKKLNIHAWEAFEKGQDIHMQAAPSQAELLYKNFKVIKDKLKSRMKDAIMEKYGNSATEEVLPKELLLGQSEKQVEYDRAGRVVKGMETSLPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEEAADLMKANVARKAASEEMPTPTEGKRLATWGTDIPDDLVLDQKKLDDALKKEDKRRKEEKDERKRKYNVKWDDEVTLEEMEAYRMKKIHNDDPMKDFLH >ONI26683 pep chromosome:Prunus_persica_NCBIv2:G1:2821177:2825236:1 gene:PRUPE_1G039500 transcript:ONI26683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLLMVLWAHNIRISTNVQHCCGAMTHSAKSCMERPRKKGAKWTNFHIAPDEKIEMFELDYDGKRDRWNGFDAATYARVIERYEARDEARRKYLKEQQLKKLEEKNNKQNVEEEVSDDDEDNDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQYRVSGQALEFKKLNIHAWEAFEKGQDIHMQAAPSQAELLYKNFKVIKDKLKSRMKDAIMEKYGNSATEEVLPKELLLGQSEKQVEYDRAGRVVKGMETSLPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEEAADLMKANVARKAASEEMPTPTEGKRLATWGTDIPDDLVLDQKKLDDALKKEDKRRKEEKDERKRKYNVKWDDEVTLEEMEAYRMKKIHNDDPMKDFLH >ONI26680 pep chromosome:Prunus_persica_NCBIv2:G1:2820204:2825271:1 gene:PRUPE_1G039500 transcript:ONI26680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPGMTRLLMVLWAHNIRISTNVQHCCGAMTHSAKSCMERPRKKGAKWTNFHIAPDEKIEMFELDYDGKRDRWNGFDAATYARVIERYEARDEARRKYLKEQQLKKLEEKNNKQNVEEEVSDDDEDNDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQYRVSGQALEFKKLNIHAWEAFEKGQDIHMQAAPSQAELLYKNFKVIKDKLKSRMKDAIMEKYGNSATEEVLPKELLLGQSEKQVEYDRAGRVVKGMETSLPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEEAADLMKANVARKAASEEMPTPTEGKRLATWGTDIPDDLVLDQKKLDDALKKEDKRRKEEKDERKRKYNVKWDDEVTLEEMEAYRMKKIHNDDPMKDFLH >ONI26685 pep chromosome:Prunus_persica_NCBIv2:G1:2821802:2825189:1 gene:PRUPE_1G039500 transcript:ONI26685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSAKSCMERPRKKGAKWTNFHIAPDEKIEMFELDYDGKRDRWNGFDAATYARVIERYEARDEARRKYLKEQQLKKLEEKNNKQNVEEEVSDDDEDNDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQYRVSGQALEFKKLNIHAWEAFEKGQDIHMQAAPSQAELLYKNFKVIKDKLKSRMKDAIMEKYGNSATEEVLPKELLLGQSEKQVEYDRAGRVVKGMETSLPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEEAADLMKANVARKAASEEMPTPTEGKRLATWGTDIPDDLVLDQKKLDDALKKVILWLKFPSYLS >ONI26684 pep chromosome:Prunus_persica_NCBIv2:G1:2820211:2825189:1 gene:PRUPE_1G039500 transcript:ONI26684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSAKSCMERPRKKGAKWTNFHIAPDEKIEMFELDYDGKRDRWNGFDAATYARVIERYEARDEARRKYLKEQQLKKLEEKNNKQNVEEEVSDDDEDNDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQYRVSGQALEFKKLNIHAWEAFEKGQDIHMQAAPSQAELLYKNFKVIKDKLKSRMKDAIMEKYGNSATEEVLPKELLLGQSEKQVEYDRAGRVVKGMETSLPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEEAADLMKANVARKAASEEMPTPTEGKRLATWGTDIPDDLVLDQKKLDDALKKEDKRRKEEKDERKRKYNVKWDDEVTLEEMEAYRMKKIHNDDPMKDFLH >ONI26682 pep chromosome:Prunus_persica_NCBIv2:G1:2820211:2825272:1 gene:PRUPE_1G039500 transcript:ONI26682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGGRRMGLRNVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPGMTRLLMVLWAHNIRISTNVQHCCGAMTHSAKSCMERPRKKGAKWTNFHIAPDEKIEMFELDYDGKRDRWNGFDAATYARVIERYEARDEARRKYLKEQQLKKLEEKNNKQNVEEEVSDDDEDNDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQYRVSGQALEFKKLNIHAWEAFEKGQDIHMQAAPSQAELLYKNFKVIKDKLKSRMKDAIMEKYGNSATEEVLPKELLLGQSEKQVEYDRAGRVVKGMETSLPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEEAADLMKANVARKAASEEMPTPTEGKRLATWGTDIPDDLVLDQKKLDDALKKEDKRRKEEKDERKRKYNVKWDDEVTLEEMEAYRMKKIHNDDPMKDFLH >ONI28130 pep chromosome:Prunus_persica_NCBIv2:G1:9882772:9887681:-1 gene:PRUPE_1G125400 transcript:ONI28130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKEGSKSGAGHVGGFFQLFDWTAKSRKKLFSSKSDLPESSKQGKKSYGNLPMTRQHLVDEDETGVAPSVKGSSDYSCASSVTDEEGFGTKAPSVVARLMGLDSLPTSNSLEPYSTPFFDTQSLQDAPYHRGNIDCYHDDQLRYSGNLLKNMEGPTRNPLEAKPQKLRPIERFQTETLPPRSAKSIPITHHKLLSPIKNPGFVPTKNAAHIMEAAAKIMEQGPQTTAKAKMPLVGCSSVPLKVQALKEKVEASRKVPLVGSASETLKGRDLKDKVEAGYKIPRPSEVSRKPVESNAAKYLRGQSLNKSWNGSVDLSFGASSDTEETRGKSISLAIQAKVNVQKRGQNLSRNRSLVGQKEQSEVSSNQSFRSQPNVQKNLHKKPSTHNASGALRQNNQKQNCLVDKEKLPSKPLVSNSQGRKVLSGDSSSGRHKSSIRSSGNSKIGSRKLGSEAMDSDKEVSYSNARNYPRKKRSIDGNFQYNKDRTVGDMLSEKNQKPVQSNPITDRNYSWAEDSRKKGMDVVSFTFTAPLTRSLPGTEISAQVAQKNTSLCMDHGGKRLLLDKDSMKLSSLGYNVIGGDALSMLLEQKLRELSYGTKSSSHDSMKEGSASTASTFDLKPKFNAVSSMQRLNDQRDQQLVTEKLGGRYEADFSFADSPAFRLKQNFQGVNKTDEYSSSHGEAGLLLSGRHPSPVSVLEPSFSNESYDSSISTDSNSTEASRLCSSVQAQEVHVFSSSKKFHSVEADTELLDSASSTSTGTVARNHAATVYMPEPLRSNEWELEYIKGTLCNVELMFRDFSLGRAREIINPHLFNLLESRRGQLEGDGGESRLRRKELFDCTSECLDLRCRRYVGGGYRSWVKGVAMVKRKGTLAEEVYKEFSCWRGLWDCMVDELVDKDMSNPYGRWLDFETDAFELGVEVEDQIFNSLVDEVVADILEL >ONI28131 pep chromosome:Prunus_persica_NCBIv2:G1:9882880:9887657:-1 gene:PRUPE_1G125400 transcript:ONI28131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKEGSKSGAGHVGGFFQLFDWTAKSRKKLFSSKSDLPESSKQGKKSYGNLPMTRQHLVDEDETGVAPSVKGSSDYSCASSVTDEEGFGTKAPSVVARLMGLDSLPTSNSLEPYSTPFFDTQSLQDAPYHRGNIDCYHDDQLRYSGNLLKNMEGPTRNPLEAKPQKLRPIERFQTETLPPRSAKSIPITHHKLLSPIKNPGFVPTKNAAHIMEAAAKIMEQGPQTTAKAKMPLVGCSSVPLKVQALKEKVEASRKVPLVGSASETLKGRDLKDKVEAGYKIPRPSEVSRKPVESNAAKYLRGQSLNKSWNGSVDLSFGASSDTEETRGKSISLAIQAKVNVQKRGQNLSRNRSLVGQKEQSEVSSNQSFRSQPNVQKNLHKKPSTHNASGALRQNNQKQNCLVDKEKLPSKPLVSNSQGRKVLSGDSSSGRHKSSIRSSGNSKIGSRKLGSEAMDSDKEVSYSNARNYPRKKRSIDGNFQYNKDRTVGDMLSEKNQKPVQSNPITDRNYSWAEDSRKKGMDVVSFTFTAPLTRSLPGTEISAQVAQKNTSLCMDHGGKRLLLDKDSMKLSSLGYNVIGGDALSMLLEQKLRELSYGTKSSSHDSMKEGSASTASTFDLKPKFNAVSSMQRLNDQRDQQLVTEKLGGRYEADFSFADSPAFRLKQNFQGVNKTDEYSSSHGEAGLLLSGRHPSPVSVLEPSFSNESYDSSISTDSNSTEASRLCSSVQAQEVHVFSSSKKFHSVEADTELLDSASSTSTGTVARNHAATVYMPEPLRSNEWELEYIKGTLCNVELMFRDFSLGRAREIINPHLFNLLESRRGQLEGDGGESRLRRKELFDCTSECLDLRCRRYVGGGYRSWVKGVAMVKRKGTLAEEVYKEFSCWRGLWDCMVDELVDKDMSNPYGRWLDFETDAFELGVEVEDQIFNSLVDEVVADILEL >ONI28132 pep chromosome:Prunus_persica_NCBIv2:G1:9882772:9887752:-1 gene:PRUPE_1G125400 transcript:ONI28132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKEGSKSGAGHVGGFFQLFDWTAKSRKKLFSSKSDLPESSKQGKKSYGNLPMTRQHLVDEDETGVAPSVKGSSDYSCASSVTDEEGFGTKAPSVVARLMGLDSLPTSNSLEPYSTPFFDTQSLQDAPYHRGNIDCYHDDQLRYSGNLLKNMEGPTRNPLEAKPQKLRPIERFQTETLPPRSAKSIPITHHKLLSPIKNPGFVPTKNAAHIMEAAAKIMEQGPQTTAKAKMPLVGCSSVPLKVQALKEKVEASRKVPLVGSASETLKGRDLKDKVEAGYKIPRPSEVSRKPVESNAAKYLRGQSLNKSWNGSVDLSFGASSDTEETRGKSISLAIQAKVNVQKRGQNLSRNRSLVGQKEQSEVSSNQSFRSQPNVQKNLHKKPSTHNASGALRQNNQKQNCLVDKEKLPSKPLVSNSQGRKVLSGDSSSGRHKSSIRSSGNSKIGSRKLGSEAMDSDKEVSYSNARNYPRKKRSIDGNFQYNKDRTVGDMLSEKNQKPVQSNPITDRNYSWAEDSRKKGMDVVSFTFTAPLTRSLPGTEISAQVAQKNTSLCMDHGGKRLLLDKDSMKLSSLGYNVIGGDALSMLLEQKLRELSYGTKSSSHDSMKEGSASTASTFDLKPKFNAVSSMQRLNDQRDQQLVTEKLGGRYEADFSFADSPAFRLKQNFQGVNKTDEYSSSHGEAGLLLSGRHPSPVSVLEPSFSNESYDSSISTDSNSTEASRLCSSVQAQEVHVFSSSKKFHSVEADTELLDSASSTSTGTVARNHAATVYMPEPLRSNEWELEYIKGTLCNVELMFRDFSLGRAREIINPHLFNLLESRRGQLEGDGGESRLRRKELFDCTSECLDLRCRRYVGGGYRSWVKGVAMVKRKGTLAEEVYKEFSCWRGLWDCMVDELVDKDMSNPYGRWLDFETDAFELGVEVEDQIFNSLVDEVVADILEL >ONI30772 pep chromosome:Prunus_persica_NCBIv2:G1:27876139:27879759:1 gene:PRUPE_1G271900 transcript:ONI30772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGRSCLLSRVFSSSCQPESKWPYMSYRRLEDFANGKRPLGFDGEDDQERRERKLCKLLNCCEMVETTQSSSEQSEDQQQAGVPSDSDSLIHRIGRDNSISCLIRCSRSAYGLIACLNKSFRSLVRTGELYKLRRQNDVIEHWIYFSCHLLEWEAFDPNQRRWMHLPRMTSNECFMCSDKESLAVGTELLVFGKEVTSHVIFRYSVLTNSWSSGMRMNAPRCLFGSASLKEIAILAGGCNSLGSILSSAELYNSETQTWETLPSMNKPRKMCSGVFMDEKFYVIGGIGGSDSKVLTCGEEYDLKARTWTEIPNMSPGRTGAAGEPDMPATGEAPPLVAVVNNELYAADYADMEVRKYDKERRLWLTVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRALGEGFIEINAWVPSEGPPQWNLLARKQSVSFSNFY >ONI30774 pep chromosome:Prunus_persica_NCBIv2:G1:27876139:27879759:1 gene:PRUPE_1G271900 transcript:ONI30774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGRSCLLSRVFSSSCQPESKWPYMSYRRLEDFANGKRPLGFDGEDDQERRERKLCKLLNCCEMVETTQSSSEQSEDQQQAGVPSDSDSLIHRIGRDNSISCLIRCSRSAYGLIACLNKSFRSLVRTGELYKLRRQNDVIEHWIYFSCHLLEWEAFDPNQRRWMHLPRMTSNECFMCSDKESLAVGTELLVFGKEVTSHVIFRYSVLTNSWSSGMRMNAPRCLFGSASLKEIAILAGGCNSLGSILSSAELYNSETQTWETLPSMNKPRKMCSGVFMDEKFYVIGGIGGSDSKVLTCGEEYDLKARTWTEIPNMSPGRTGAAGEPDMPATGEAPPLVAVVNNELYAADYADMEVRKYDKERRLWLTVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRALGEGFIEINAWVPSEGPPQWNLLARKQSGNFVYNCAVMGC >ONI30771 pep chromosome:Prunus_persica_NCBIv2:G1:27876137:27879759:1 gene:PRUPE_1G271900 transcript:ONI30771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGRSCLLSRVFSSSCQPESKWPYMSYRRLEDFANGKRPLGFDGEDDQERRERKLCKLLNCCEMVETTQSSSEQSEDQQQAGVPSDSDSLIHRIGRDNSISCLIRCSRSAYGLIACLNKSFRSLVRTGELYKLRRQNDVIEHWIYFSCHLLEWEAFDPNQRRWMHLPRMTSNECFMCSDKESLAVGTELLVFGKEVTSHVIFRYSVLTNSWSSGMRMNAPRCLFGSASLKEIAILAGGCNSLGSILSSAELYNSETQTWETLPSMNKPRKMCSGVFMDEKFYVIGGIGGSDSKVLTCGEEYDLKARTWTEIPNMSPGRTGAAGEPDMPATGEAPPLVAVVNNELYAADYADMEVRKYDKERRLWLTVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRALGEGFIEINAWVPSEGPPQWNLLARKQSGVYELEGKESRCRKM >ONI30773 pep chromosome:Prunus_persica_NCBIv2:G1:27877270:27878592:1 gene:PRUPE_1G271900 transcript:ONI30773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGRSCLLSRVFSSSCQPESKWPYMSYRRLEDFANGKRPLGFDGEDDQERRERKLCKLLNCCEMVETTQSSSEQSEDQQQAGVPSDSDSLIHRIGRDNSISCLIRCSRSAYGLIACLNKSFRSLVRTGELYKLRRQNDVIEHWIYFSCHLLEWEAFDPNQRRWMHLPRMTSNECFMCSDKESLAVGTELLVFGKEVTSHVIFRYSVLTNSWSSGMRMNAPRCLFGSASLKEIAILAGGCNSLGSILSSAELYNSETQTWETLPSMNKPRKMCSGVFMDEKFYVIGGIGGSDSKVLTCGEEYDLKARTWTEIPNMSPGRTGAAGEPDMPATGEAPPLVAVVNNELYAADYADMEVRKYDKERRLWLTVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRALGEGFIEINAWVPSEGPPQWNLLARKQSGNFVYNCAVMGC >ONI26348 pep chromosome:Prunus_persica_NCBIv2:G1:1346049:1347329:1 gene:PRUPE_1G019200 transcript:ONI26348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPTSSPSSSRLGPVTPFYDSANSAANSVPVVKSVINFLDDRYFSDVDGQDAETEVSREEAEAASWLLPNMKAMENPDLNSGDYFLPEIVPYLDLDYGHVDPFYLFWYLFGILLLICFMVRHMLEKLGWVERERERERERGGFGGRGRSNRGGFDGRGGSDGPRHQKKGFYF >ONI27724 pep chromosome:Prunus_persica_NCBIv2:G1:8122832:8135752:-1 gene:PRUPE_1G101800 transcript:ONI27724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTGKAKPHKAKGDKKKKEEKVLPTVIEISIETPEDSQVTLKGISTDRILDVRKLLAVNVETCHLTNFSLSHEVRGPRLKDSVDILSLKPCHLNIIEDDYTEQQAVVHIRRLVDIVACTTSFGTSSASSPKTPGSGRSNSKESGLEESEAPQPPNVDEPNADPKTKVSGPVPIAGADPAVSMYPPPKLGQFYDFFSLSHLTPPLHYIRRSTRPFLEDKKEDDLFQIDVRVCSGKPTTIVASRKGFYPAGKRGLITHSLVALLQQTSRPFDAAYNAVMKAFTEHNKFGNLPYGFRANTWVVPPVVADNPSVFPPLPLEDENWGGNGGGQGRNGKHDYRPWAKEFAILKAMPCSTAEERQIRDRKAFLLHSLFVDVSVLKAVAAVKRLVESNQRSLNDPTLSILHEERVGDLIIKVTRDIPDASIKVDCKNDGSQVLGLSQEEVTQRNLLKGITADESATVHDTATLGVVVVRHCGFTAVVKVSNEVNWEGKHVPKDIEIEDQPEGGANALNVNSLRLLLQQSSPPQSSNTVPRTQSTDFENLRSSRSLVKKVLEESLLRLQGGPTNHTKSIRWELGACWVQHLQNQGSGKTESKKTEEAKTEPAVKGLGKQGGLLKEIKKKMDVRSSKTEQGKELIGTNKIDTTSQEELEKRDAEKEIIWRKLLPDASYLRLKESDTGLHLQLPDELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMNSLGRVVELADKLPHVQSLCIHEMVVRAYKHILQAVVAAVDNVADLAASIAACLNILLGTPSTENGDADITYDDTLKWKWVETFLLKRFGWQWKHETVKDLRKYAILRGLSHKVGLELVPRDYDMDTLSPFRKSDIVSMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNFGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYTLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDSDMKAREAQRKARAKVKGKPGQNWEVGSDEYQKDEILLPSHPVAENSSDKENQSEPQFAEPRNEKSASNLLDQSIIFDTKDDLAEDDTSDEGWQEAVPKGRSPVGRKSTVSRRPSLEKLNTNFINASQSSRYRGKPNNFTSPKTSPNEAAASTGPALPISKKYVKSASFNLKPNNSSISASGGPERLSNPKSAPATPASIDQVAKSASVASQISVQSAGKLFSYKEVALAPPGTIVKAVAEKLPKGSLPIVQTSQVGQETPATDVTMGEVTTVKDVEEEKNQKRTGEKQVLASEKIPVDVVQTKVQSSAVKESLEVLKHASIGVQVEAEIIEWKNTVSEDAQVENVAVANLKVENSDTSQGPNTTLESGRLEAPVLHSSPDSEPSSVLAENTAQLLDKNPINSKIKVEGDGKPDDIPNDDVVKPAPTDGEKLDEQESGKESTKKLSAAAPPFNPSLIPVFGSVPVAGFKDHGGILPPPVNIPPMLAVSPVRRSPHQSATARVPYGPRLSGGYNRSGSRVSRNKHNFQNGEHTGDGNHFSPPRIMNPHAAEFVPGQPWVPNGYPVSPNGYPMSPNSIPVSPNGYPASPNDIPVNQSGFPTSPISSEDSSNVVNADLGVETNIEGEAKENDENYSVEVGAEKHKIDGEPEEEQSVDNVKTHPEIEENPIDTDTVPCDTVVAKETSNLVVEENASKCWGDYSDSEAEVIEVAI >ONI36443 pep chromosome:Prunus_persica_NCBIv2:G1:47545005:47553523:-1 gene:PRUPE_1G585700 transcript:ONI36443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGGEELSIEELASNLSTYKDQLHQVKELLADDPVNSEYADMERELNEVIALTEELLATAKQNQSSGIDFGTSDSASPSLPQTQRNENDSGSISDYHDKFPPGTKVQAVWSEDGEWYDATIESLTPNGYFVTYEGWGNREEVDPANVRPIQEGAVNALLEAERVAEATKQAIKRKIAQAASIDFQSRSLPAKLRIEPDDPEDVKATKRKKIHSFKSKMRMEQLEVTQNKRQNAWQQFQTTKGKTKKIGFFSGRKRESIFKSPDDPFGKVGVTGSGKGLTDFQKREKHLHLKGGTAENDDEAP >ONI36444 pep chromosome:Prunus_persica_NCBIv2:G1:47545029:47553508:-1 gene:PRUPE_1G585700 transcript:ONI36444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGGEELSIEELASNLSTYKDQLHQVKELLADDPVNSEYADMERELNEVIALTEELLATAKQNQSSGIDFGTSDSASPSLPQTQRNENDSGSISDYHDKFPPGTKVQAVWSEDGEWYDATIESLTPNGYFVTYEGWGNREEVDPANVRPIQEGAVNALLEAERVAEATKQAIKRKIAQAASIDFQSRSLPAKLRIEPDDPEDVKATKRKKIHSFKSKMRMEQLEVTQNKRQNAWQQFQTTKGKTKKIGFFSGRKRESIFKSPDDPFGKVGVTGSGKGLTDFQKREKHLHLKGGTAENDDEAP >ONI36445 pep chromosome:Prunus_persica_NCBIv2:G1:47545465:47550035:-1 gene:PRUPE_1G585700 transcript:ONI36445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQVIALTEELLATAKQNQSSGIDFGTSDSASPSLPQTQRNENDSGSISDYHDKFPPGTKVQAVWSEDGEWYDATIESLTPNGYFVTYEGWGNREEVDPANVRPIQEGAVNALLEAERVAEATKQAIKRKIAQAASIDFQSRSLPAKLRIEPDDPEDVKATKRKKIHSFKSKMRMEQLEVTQNKRQNAWQQFQTTKGKTKKIGFFSGRKRESIFKSPDDPFGKVGVTGSGKGLTDFQKREKHLHLKGGTAENDDEAP >ONI36446 pep chromosome:Prunus_persica_NCBIv2:G1:47545029:47553500:-1 gene:PRUPE_1G585700 transcript:ONI36446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQVIALTEELLATAKQNQSSGIDFGTSDSASPSLPQTQRNENDSGSISDYHDKFPPGTKVQAVWSEDGEWYDATIESLTPNGYFVTYEGWGNREEVDPANVRPIQEGAVNALLEAERVAEATKQAIKRKIAQAASIDFQSRSLPAKLRIEPDDPEDVKATKRKKIHSFKSKMRMEQLEVTQNKRQNAWQQFQTTKGKTKKIGFFSGRKRESIFKSPDDPFGKVGVTGSGKGLTDFQKREKHLHLKGGTAENDDEAP >ONI28835 pep chromosome:Prunus_persica_NCBIv2:G1:13214897:13221219:-1 gene:PRUPE_1G164000 transcript:ONI28835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLTFATEGILTKLTSLAAHEISLAWGFKAELNRLRKTLSTIEGYLADVDQGPQGRSKSVEDWVTNLKRLAQDADDVLDEFNYELLRRKVEIRNHMKKKVLNFFSFSNPVAFRLKIAHKIQKINASLKKLKSDASVIGLVSNKIDAVPQGIRGRIQTDSFPEKDGIIVGREEVVSNIVTTLTNSNINQENLAVMAIVGMAGLGKTTLAKSVYNDNSVIKYFEKRIWVCVSDPFNINVILICMLESLNPSKATVRENQDALVKYLEEELREKRYLLVLDDVWNEDSEKWESLMSYLSKLNSPGSKIIVTTRSGIVASLTETLPRPELKLLSTDECWFILKHVVCSDGSSDIPHDLERIGREIAKNCEGLPLMAKVLGGILHSKKSTSEWSRIKGNRIWDLPKTEDKIMSVLKLSFDNLESPTLKQCFSYCSAFMKDAEMERDDLIQLWMAQGFLHPSPEKSNLEMEDIGNEYFDILFQSSLFQNATVDDDGIVTGCKMHDLVHDLAECVSESRSMMPDFQEIQDIATPIIERIPEGSSGKLRSLFSNAEALPRNMLPGFKALRVLNLYEADIKELPSSIEKLKHLRYLNISETRIERLPNSIGKLYNLQTLRATYCDLEEFPKDIQNLINLRYVYCDAGAKFPVGVLGRLTSLRKLPYSYKDYKVMGREIEELAALNQLKGKLIICNLEHVRNGDEARIAKLKDKKNVRHFLFKWTKNRSTTNNNEEDHVLEGLQPHSELERLEIRYFMGSKFPSWMIELDNLKEIKLRGCEKVPTLGHLPHLTVVWIDVMNNLKCVGAEIYGSDLVYNKTGIKEVVVFPALKELHIHDCKELIEWMEAPEQVMVFPCLEKLDIRNCPNLRKVPSHFLSLKKLKIQGNKELTCLPKGMLLKIEGMEIRDCEKLTCIAPDVFGCCAYLRKLVAENCPSLQSIPDLNLFTSLRELSIENCERLESLVSSGPVYVVQLFIDGSSALQSIPDLHSFTSLRELSIQNCERLESLVSNGPVSVVELSIIKCSGLESIPALNLFTSLRELIIERCWRLESLASNGPVSVVKLSIDKCWDLQSIPALKLFTSLRELIIEGCRRLESLVSSGLVYVVKLSIDKCWGLQSIPALNLFTSLCELSIKNCERLKSLVSSGPVSVVKLSIDKCWGLQSIPTLNLFTSLLKLSIQNCGRLESLMSSEPISVVELSIIKCSGLKSIPTLNLFTSLHKLIIEGCRRLESLVSSGLQLPASLVHLEIRDVPNIESLPSLDNLTSLSELVIVNCGKLKYLPTGLHCYTSLKTLELGGIWEELDSFPDFHLGHSVQSIPDLNLFTSLGKLIIKRYWRLESLVSSGLQLPASLVHLEIRDAPNLESLPSLDNLTSLSELVIVNCGKLKYLPIGLHCCSSLKTLELGVIWEELDSFPDFHLGSSQLQRLELLGWPKLKSLPQQIQHLTSLTYLWIEGFDGVEALEDWLGNLTSLGTLQIWRCKKLMYLPSVTAMQRLTKLQILGISGCPLLKERCTEDSGPEWPKISHIPYITGK >ONI28834 pep chromosome:Prunus_persica_NCBIv2:G1:13214112:13221219:-1 gene:PRUPE_1G164000 transcript:ONI28834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLTFATEGILTKLTSLAAHEISLAWGFKAELNRLRKTLSTIEGYLADVDQGPQGRSKSVEDWVTNLKRLAQDADDVLDEFNYELLRRKVEIRNHMKKKVLNFFSFSNPVAFRLKIAHKIQKINASLKKLKSDASVIGLVSNKIDAVPQGIRGRIQTDSFPEKDGIIVGREEVVSNIVTTLTNSNINQENLAVMAIVGMAGLGKTTLAKSVYNDNSVIKYFEKRIWVCVSDPFNINVILICMLESLNPSKATVRENQDALVKYLEEELREKRYLLVLDDVWNEDSEKWESLMSYLSKLNSPGSKIIVTTRSGIVASLTETLPRPELKLLSTDECWFILKHVVCSDGSSDIPHDLERIGREIAKNCEGLPLMAKVLGGILHSKKSTSEWSRIKGNRIWDLPKTEDKIMSVLKLSFDNLESPTLKQCFSYCSAFMKDAEMERDDLIQLWMAQGFLHPSPEKSNLEMEDIGNEYFDILFQSSLFQNATVDDDGIVTGCKMHDLVHDLAECVSESRSMMPDFQEIQDIATPIIERIPEGSSGKLRSLFSNAEALPRNMLPGFKALRVLNLYEADIKELPSSIEKLKHLRYLNISETRIERLPNSIGKLYNLQTLRATYCDLEEFPKDIQNLINLRYVYCDAGAKFPVGVLGRLTSLRKLPYSYKDYKVMGREIEELAALNQLKGKLIICNLEHVRNGDEARIAKLKDKKNVRHFLFKWTKNRSTTNNNEEDHVLEGLQPHSELERLEIRYFMGSKFPSWMIELDNLKEIKLRGCEKVPTLGHLPHLTVVWIDVMNNLKCVGAEIYGSDLVYNKTGIKEVVVFPALKELHIHDCKELIEWMEAPEQVMVFPCLEKLDIRNCPNLRKVPSHFLSLKKLKIQGNKELTCLPKGMLLKIEGMEIRDCEKLTCIAPDVFGCCAYLRKLVAENCPSLQSIPDLNLFTSLRELSIENCERLESLVSSGPVYVVQLFIDGSSALQSIPDLHSFTSLRELSIQNCERLESLVSNGPVSVVELSIIKCSGLESIPALNLFTSLRELIIERCWRLESLASNGPVSVVKLSIDKCWDLQSIPALKLFTSLRELIIEGCRRLESLVSSGLVYVVKLSIDKCWGLQSIPALNLFTSLCELSIKNCERLKSLVSSGPVSVVKLSIDKCWGLQSIPTLNLFTSLLKLSIQNCGRLESLMSSEPISVVELSIIKCSGLKSIPTLNLFTSLHKLIIEGCRRLESLVSSGLQLPASLVHLEIRDVPNIESLPSLDNLTSLSELVIVNCGKLKYLPTGLHCYTSLKTLELGGIWEELDSFPDFHLGHSVQSIPDLNLFTSLGKLIIKRYWRLESLVSSGLQLPASLVHLEIRDAPNLESLPSLDNLTSLSELVIVNCGKLKYLPIGLHCCSSLKTLELGVIWEELDSFPDFHLGSSQLQRLELLGWPKLKSLPQQIQHLTSLTYLWIEGFDGVEALEDWLGNLTSLGTLQIWRCKKLMYLPSVTAMQRLTKLQILGISGCPLLKERCTEDSGPEWPKISHIPYITGK >ONI28836 pep chromosome:Prunus_persica_NCBIv2:G1:13215354:13220997:-1 gene:PRUPE_1G164000 transcript:ONI28836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLTFATEGILTKLTSLAAHEISLAWGFKAELNRLRKTLSTIEGYLADVDQGPQGRSKSVEDWVTNLKRLAQDADDVLDEFNYELLRRKVEIRNHMKKKVLNFFSFSNPVAFRLKIAHKIQKINASLKKLKSDASVIGLVSNKIDAVPQGIRGRIQTDSFPEKDGIIVGREEVVSNIVTTLTNSNINQENLAVMAIVGMAGLGKTTLAKSVYNDNSVIKYFEKRIWVCVSDPFNINVILICMLESLNPSKATVRENQDALVKYLEEELREKRYLLVLDDVWNEDSEKWESLMSYLSKLNSPGSKIIVTTRSGIVASLTETLPRPELKLLSTDECWFILKHVVCSDGSSDIPHDLERIGREIAKNCEGLPLMAKVLGGILHSKKSTSEWSRIKGNRIWDLPKTEDKIMSVLKLSFDNLESPTLKQCFSYCSAFMKDAEMERDDLIQLWMAQGFLHPSPEKSNLEMEDIGNEYFDILFQSSLFQNATVDDDGIVTGCKMHDLVHDLAECVSESRSMMPDFQEIQDIATPIIERIPEGSSGKLRSLFSNAEALPRNMLPGFKALRVLNLYEADIKELPSSIEKLKHLRYLNISETRIERLPNSIGKLYNLQTLRATYCDLEEFPKDIQNLINLRYVYCDAGAKFPVGVLGRLTSLRKLPYSYKDYKVMGREIEELAALNQLKGKLIICNLEHVRNGDEARIAKLKDKKNVRHFLFKWTKNRSTTNNNEEDHVLEGLQPHSELERLEIRYFMGSKFPSWMIELDNLKEIKLRGCEKVPTLGHLPHLTVVWIDVMNNLKCVGAEIYGSDLVYNKTGIKEVVVFPALKELHIHDCKELIEWMEAPEQVMVFPCLEKLDIRNCPNLRKVPSHFLSLKKLKIQGNKELTCLPKGMLLKIEGMEIRDCEKLTCIAPDVFGCCAYLRKLVAENCPSLQSIPDLNLFTSLRELSIENCERLESLVSSGPVYVVQLFIDGSSALQSIPDLHSFTSLRELSIQNCERLESLVSNGPVSVVELSIIKCSGLESIPALNLFTSLRELIIERCWRLESLASNGPVSVVKLSIDKCWDLQSIPALKLFTSLRELIIEGCRRLESLVSSGLVYVVKLSIDKCWGLQSIPALNLFTSLCELSIKNCERLKSLVSSGPVSVVKLSIDKCWGLQSIPTLNLFTSLLKLSIQNCGRLESLMSSEPISVVELSIIKCSGLKSIPTLNLFTSLHKLIIEGCRRLESLVSSGLQLPASLVHLEIRDVPNIESLPSLDNLTSLSELVIVNCGKLKYLPTGLHCYTSLKTLELGGIWEELDSFPDFHLGHSVQSIPDLNLFTSLGKLIIKRYWRLESLVSSGLQLPASLVHLEIRDAPNLESLPSLDNLTSLSELVIVNCGKLKYLPIGLHCCSSLKTLELGVIWEELDSFPDFHLGSSQLQRLELLGWPKLKSLPQQIQHLTSLTYLWIEGFDGVEALEDWLGNLTSLGTLQIWRCKKLMYLPSVTAMQRLTKLQILGISGCPLLKERCTEDSGPEWPKISHIPYITGK >ONI28833 pep chromosome:Prunus_persica_NCBIv2:G1:13214032:13221279:-1 gene:PRUPE_1G164000 transcript:ONI28833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLTFATEGILTKLTSLAAHEISLAWGFKAELNRLRKTLSTIEGYLADVDQGPQGRSKSVEDWVTNLKRLAQDADDVLDEFNYELLRRKVEIRNHMKKKVLNFFSFSNPVAFRLKIAHKIQKINASLKKLKSDASVIGLVSNKIDAVPQGIRGRIQTDSFPEKDGIIVGREEVVSNIVTTLTNSNINQENLAVMAIVGMAGLGKTTLAKSVYNDNSVIKYFEKRIWVCVSDPFNINVILICMLESLNPSKATVRENQDALVKYLEEELREKRYLLVLDDVWNEDSEKWESLMSYLSKLNSPGSKIIVTTRSGIVASLTETLPRPELKLLSTDECWFILKHVVCSDGSSDIPHDLERIGREIAKNCEGLPLMAKVLGGILHSKKSTSEWSRIKGNRIWDLPKTEDKIMSVLKLSFDNLESPTLKQCFSYCSAFMKDAEMERDDLIQLWMAQGFLHPSPEKSNLEMEDIGNEYFDILFQSSLFQNATVDDDGIVTGCKMHDLVHDLAECVSESRSMMPDFQEIQDIATPIIERIPEGSSGKLRSLFSNAEALPRNMLPGFKALRVLNLYEADIKELPSSIEKLKHLRYLNISETRIERLPNSIGKLYNLQTLRATYCDLEEFPKDIQNLINLRYVYCDAGAKFPVGVLGRLTSLRKLPYSYKDYKVMGREIEELAALNQLKGKLIICNLEHVRNGDEARIAKLKDKKNVRHFLFKWTKNRSTTNNNEEDHVLEGLQPHSELERLEIRYFMGSKFPSWMIELDNLKEIKLRGCEKVPTLGHLPHLTVVWIDVMNNLKCVGAEIYGSDLVYNKTGIKEVVVFPALKELHIHDCKELIEWMEAPEQVMVFPCLEKLDIRNCPNLRKVPSHFLSLKKLKIQGNKELTCLPKGMLLKIEGMEIRDCEKLTCIAPDVFGCCAYLRKLVAENCPSLQSIPDLNLFTSLRELSIENCERLESLVSSGPVYVVQLFIDGSSALQSIPDLHSFTSLRELSIQNCERLESLVSNGPVSVVELSIIKCSGLESIPALNLFTSLRELIIERCWRLESLASNGPVSVVKLSIDKCWDLQSIPALKLFTSLRELIIEGCRRLESLVSSGLVYVVKLSIDKCWGLQSIPALNLFTSLCELSIKNCERLKSLVSSGPVSVVKLSIDKCWGLQSIPTLNLFTSLLKLSIQNCGRLESLMSSEPISVVELSIIKCSGLKSIPTLNLFTSLHKLIIEGCRRLESLVSSGLQLPASLVHLEIRDVPNIESLPSLDNLTSLSELVIVNCGKLKYLPTGLHCYTSLKTLELGGIWEELDSFPDFHLGHSVQSIPDLNLFTSLGKLIIKRYWRLESLVSSGLQLPASLVHLEIRDAPNLESLPSLDNLTSLSELVIVNCGKLKYLPIGLHCCSSLKTLELGVIWEELDSFPDFHLGSSQLQRLELLGWPKLKSLPQQIQHLTSLTYLWIEGFDGVEALEDWLGNLTSLGTLQIWRCKKLMYLPSVTAMQRLTKLQILGISGCPLLKERCTEDSGPEWPKISHIPYITGTLSLQGNASRYLWILYPHLFRGPEGVGREILM >ONI32981 pep chromosome:Prunus_persica_NCBIv2:G1:35192136:35194661:1 gene:PRUPE_1G397300 transcript:ONI32981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFWKYYSGEEVAPFPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIYNRHNYCRGHYERPPYNESTIRSIYHVREYDVHKLMQVEEPIDIFLSHDWPVGITDCGDWKKLVRDKPFFEKEVQERNLGSKPAAQLLEKLKPPYWFSAHLHCKFAALVQHEEDGSVTNFLALDKCQPGRKFLQVIEIESEPGPYEIQYDEEWLEITRRFNSNFPLTNRSANFWNVHLDKQDCRQWVRRNLQARGARPFEFTQTAPPYNPSNSVLSGTFPEYTRNPQTESLLQFLELPYLLDNTSESSQVPRSPLPLIRTGSLEDNSEDIPIDDVDDVDELEELAEDAVDTKTENI >ONI32979 pep chromosome:Prunus_persica_NCBIv2:G1:35191797:35194647:1 gene:PRUPE_1G397300 transcript:ONI32979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVEGCMHGDLDNVYRTLQHYEKLHSTKIDLLLCCGDFQAVRNEKDLESLNVPRKYRDMKTFWKYYSGEEVAPFPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIYNRHNYCRGHYERPPYNESTIRSIYHVREYDVHKLMQVEEPIDIFLSHDWPVGITDCGDWKKLVRDKPFFEKEVQERNLGSKPAAQLLEKLKPPYWFSAHLHCKFAALVQHEEDGSVTNFLALDKCQPGRKFLQECTS >ONI32982 pep chromosome:Prunus_persica_NCBIv2:G1:35192403:35194288:1 gene:PRUPE_1G397300 transcript:ONI32982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFWKYYSGEEVAPFPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIYNRHNYCRGHYERPPYNESTIRSIYHVREYDVHKLMQVEEPIDIFLSHDWPVGITDCGDWKKLVRDKPFFEKEVQERNLGSKPAAQLLEKLKPPYWFSAHLHCKFAALVQHEEDGSVTNFLALDKCQPGRKFLQVIEIESEPGPYEIQYDEEWLEITRRFNSNFPLTNRSANFWNVHLDKQDCRQWVRRNLQARGARPFEFTQTAPPYNPSNSVLSGTFPEYTRNPQTESLLQFLELPYLLDNTSESSQVPRSPLPLIRTGSLEDNSEDIPIDDVDDVDELEELAEDAVDTKTENI >ONI32983 pep chromosome:Prunus_persica_NCBIv2:G1:35192898:35194660:1 gene:PRUPE_1G397300 transcript:ONI32983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVEEPIDIFLSHDWPVGITDCGDWKKLVRDKPFFEKEVQERNLGSKPAAQLLEKLKPPYWFSAHLHCKFAALVQHEEDGSVTNFLALDKCQPGRKFLQVIEIESEPGPYEIQYDEEWLEITRRFNSNFPLTNRSANFWNVHLDKQDCRQWVRRNLQARGARPFEFTQTAPPYNPSNSVLSGTFPEYTRNPQTESLLQFLELPYLLDNTSESSQVPRSPLPLIRTGSLEDNSEDIPIDDVDDVDELEELAEDAVDTKTENI >ONI32980 pep chromosome:Prunus_persica_NCBIv2:G1:35191797:35194647:1 gene:PRUPE_1G397300 transcript:ONI32980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTMSTEPSNTTKNFTAPKSTFSSAAATFRYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIYNRHNYCRGHYERPPYNESTIRSIYHVREYDVHKLMQVEEPIDIFLSHDWPVGITDCGDWKKLVRDKPFFEKEVQERNLGSKPAAQLLEKLKPPYWFSAHLHCKFAALVQHEEDGSVTNFLALDKCQPGRKFLQVIEIESEPGPYEIQYDEEWLEITRRFNSNFPLTNRSANFWNVHLDKQDCRQWVRRNLQARGARPFEFTQTAPPYNPSNSVLSGTFPEYTRNPQTESLLQFLELPYLLDNTSESSQVPRSPLPLIRTGSLEDNSEDIPIDDVDDVDELEELAEDAVDTKTENI >ONI32978 pep chromosome:Prunus_persica_NCBIv2:G1:35191797:35194647:1 gene:PRUPE_1G397300 transcript:ONI32978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVEGCMHGDLDNVYRTLQHYEKLHSTKIDLLLCCGDFQAVRNEKDLESLNVPRKYRDMKTFWKYYSGEEVAPFPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIYNRHNYCRGHYERPPYNESTIRSIYHVREYDVHKLMQVEEPIDIFLSHDWPVGITDCGDWKKLVRDKPFFEKEVQERNLGSKPAAQLLEKLKPPYWFSAHLHCKFAALVQHEEDGSVTNFLALDKCQPGRKFLQVIEIESEPGPYEIQYDEEWLEITRRFNSNFPLTNRSANFWNVHLDKQDCRQWVRRNLQARGARPFEFTQTAPPYNPSNSVLSGTFPEYTRNPQTESLLQFLELPYLLDNTSESSQVPRSPLPLIRTGSLEDNSEDIPIDDVDDVDELEELAEDAVDTKTENI >ONI27037 pep chromosome:Prunus_persica_NCBIv2:G1:4564070:4567282:1 gene:PRUPE_1G064000 transcript:ONI27037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVLIQYNHTDPCSFARWTTKECFQFMSARPWQQVMDFYSDVVKDRKPLSALLGKACLSKSNINNRLESLPPSQDKGGRWARLTFKIVVSYHGGSFDGWQRQPGLNTVQSVVEKSLGKFVDERKAQMLKDKGLPLEGAALVAGRTDKGVTAVHQVCSFYTWRKDVKSQDITDAINSAAPGKLRVVSVTEVSRAFHPNFTAKWRHYLYIFPFNDEEDMEQSNQSGENAQNFKSLENYHEHKNGCDQCNEANVGNLVINDNEDLETLKPREFTVSRVNQLLQKLEGKLLSYKMFARDTKPSRNE >ONI27030 pep chromosome:Prunus_persica_NCBIv2:G1:4564070:4569380:1 gene:PRUPE_1G064000 transcript:ONI27030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVLIQYNHTDPCSFARWTTKECFQFMSARPWQQVMDFYSDVVKDRKPLSALLGKACLSKSNINNRLESLPPSQDKGGRWARLTFKIVVSYHGGSFDGWQRQPGLNTVQSVVEKSLGKFVDERKAQMLKDKGLPLEGAALVAGRTDKGVTAVHQVCSFYTWRKDVKSQDITDAINSAAPGKLRVVSVTEVSRAFHPNFTAKWRHYLYIFPFNDEEDMEQSNQSGENAQNFKSLENYHEHKNGCDQCNEANVGNLVINDNEDLETLKPREFTVSRVNQLLQKLEGKLLSYKMFARDTKPSRNEGPPTECFLYHARAKESKLPCSEHEKGRRVMCIELVANRFLRRMVRVLVATSIREAAAGADEDALLKLMDATCRRATAPPAPPDGLCLVDVGYEEFDPQDCLILKE >ONI27031 pep chromosome:Prunus_persica_NCBIv2:G1:4564070:4569380:1 gene:PRUPE_1G064000 transcript:ONI27031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVLIQYNHTDPCSFARWTTKECFQFMSARPWQQVMDFYSDVVKDRKPLSALLGKACLSKSNINNRLESLPPSQDKGGRWARLTFKIVVSYHGGSFDGWQRQPGLNTVQSVVEKSLGKFVDERKAQMLKDKGLPLEGAALVAGRTDKGVTAVHQVCSFYTWRKDVKSQDITDAINSAAPGKLRVVSVTEVSRAFHPNFTAKWRHYLYIFPFNDEEDMEQSNQSGENAQNFKSLENYHEHKNGCDQCNEANVGNLVINDNEDLETLKPREFTVSRVNQLLQKLEGKLLSYKMFARDTKPSRNEGPPTECFLYHARAKESKLPCSEHEKGRRVMCIELVANRFLRRIYCISTNFYGVLRSQN >ONI27034 pep chromosome:Prunus_persica_NCBIv2:G1:4564070:4569477:1 gene:PRUPE_1G064000 transcript:ONI27034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVLIQYNHTDPCSFARWTTKECFQFMSARPWQQVMDFYSDVVKDRKPLSALLGKACLSKSNINNRLESLPPSQDKGGRWARLTFKIVVSYHGGSFDGWQRQPGLNTVQSVVEKSLGKFVDERKAQMLKDKGLPLEGAALVAGRTDKGVTAVHQVCSFYTWRKDVKSQDITDAINSAAPGKLRVVSVTEGSANRVFSLPCSSKRIQTTMFRA >ONI27032 pep chromosome:Prunus_persica_NCBIv2:G1:4565056:4568846:1 gene:PRUPE_1G064000 transcript:ONI27032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVLIQYNHTDPCSFARWTTKECFQFMSARPWQQVMDFYSDVVKDRKPLSALLGKACLSKSNINNRLESLPPSQDKGGRWARLTFKIVVSYHGGSFDGWQRQPGLNTVQSVVEKSLGKFVDERKAQMLKDKGLPLEGAALVAGRTDKGVTAVHQVCSFYTWRKDVKSQDITDAINSAAPGKLRVVSVTEVSRAFHPNFTAKWRHYLYIFPFNDEEDMEQSNQSGENAQNFKSLENYHEHKNGCDQCNEANVGNLVINDNEDLETLKPREFTVSRVNQLLQKLEGKLLSYKMFARDTKPSRNEGPPTECFLYHARAKESKLPCSEHEKGRRVMCIELVANRFLRRIYCISTNFYGVLRSQN >ONI27036 pep chromosome:Prunus_persica_NCBIv2:G1:4564070:4569380:1 gene:PRUPE_1G064000 transcript:ONI27036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVLIQYNHTDPCSFARWTTKECFQFMSARPWQQVMDFYSDVVKDRKPLSALLGKACLSKSNINNRLESLPPSQDKGGRWARLTFKIVVSYHGGSFDGWQRQPGLNTVQSVVEKSLGKFVDERKAQMLKDKGLPLEGAALVAGRTDKGVTAVHQVCSFYTWRKDVKSQDITDAINSAAPGKLRVVSVTEGSANRVFSLPCSSKRIQTTMFRA >ONI27029 pep chromosome:Prunus_persica_NCBIv2:G1:4564070:4569477:1 gene:PRUPE_1G064000 transcript:ONI27029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVLIQYNHTDPCSFARWTTKECFQFMSARPWQQVMDFYSDVVKDRKPLSALLGKACLSKSNINNRLESLPPSQDKGGRWARLTFKIVVSYHGGSFDGWQRQPGLNTVQSVVEKSLGKFVDERKAQMLKDKGLPLEGAALVAGRTDKGVTAVHQVCSFYTWRKDVKSQDITDAINSAAPGKLRVVSVTEVSRAFHPNFTAKWRHYLYIFPFNDEEDMEQSNQSGENAQNFKSLENYHEHKNGCDQCNEANVGNLVINDNEDLETLKPREFTVSRVNQLLQKLEGKLLSYKMFARDTKPSRNEGPPTECFLYHARAKESKLPCSEHEKGRRVMCIELVANRFLRRMVRVLVATSIREAAAGADEDALLKLMDATCRRATAPPAPPDGLCLVDVGYEEFDPQDCLILKE >ONI27033 pep chromosome:Prunus_persica_NCBIv2:G1:4564070:4569362:1 gene:PRUPE_1G064000 transcript:ONI27033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVLIQYNHTDPCSFARWTTKECFQFMSARPWQQVMDFYSDVVKDRKPLSALLGKACLSKSNINNRLESLPPSQDKGGRWARLTFKIVVSYHGGSFDGWQRQPGLNTVQSVVEKSLGKFVDERKAQMLKDKGLPLEGAALVAGRTDKGVTAVHQVCSFYTWRKDVKSQDITDAINSAAPGKLRVVSVTEGSANRVFSLPCSSKRIQTTMFRA >ONI27035 pep chromosome:Prunus_persica_NCBIv2:G1:4564070:4569362:1 gene:PRUPE_1G064000 transcript:ONI27035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVLIQYNHTDPCSFARWTTKECFQFMSARPWQQVMDFYSDVVKDRKPLSALLGKACLSKSNINNRLESLPPSQDKGGRWARLTFKIVVSYHGGSFDGWQRQPGLNTVQSVVEKSLGKFVDERKAQMLKDKGLPLEGAALVAGRTDKGVTAVHQVCSFYTWRKDVKSQDITDAINSAAPGKLRVVSVTEGSANRVFSLPCSSKRIQTTMFRA >ONI28244 pep chromosome:Prunus_persica_NCBIv2:G1:10576450:10578107:1 gene:PRUPE_1G133800 transcript:ONI28244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIQEYILIYPELIISTAICSTMAAAIAGLILMYLIHKRKPKVYLLDFSCYQPPNSCRLPMSMFLENVLLDGTDPDSIAFQVKILEKSGFSEETCIPPSLTCLPIRKSLSFALEEVTTVMFSAVSNLFEKHNINPRAVDILISNSSLFCPTPSLSTLIINKFRMRSNIKNFNLSGMGCSAGLIAVSLAKDLLRVHSNSLALIVSTETLVLNWYKGKVPSMLLTNCLFRMGGAAMLMSSRKKDKGRAKYELQHLVRTNKAQDDQSHACVFQDMDPEHKQGISISKDILHVAGDALKANIGALGPMVLPISEQIRYGISILIRKIWSQRRRKTRIPNFKKAFEHFCIHAGGRAVIQGIERNLELRKEDVEASKMTLYRFGNTSSSSLWYELSYIEAKGKMKKGDRVWQIAFGSGFKCNSAVWKCVAKVGAERENVWRDIIHSYPVEIPN >ONI34570 pep chromosome:Prunus_persica_NCBIv2:G1:40644167:40645375:1 gene:PRUPE_1G488200 transcript:ONI34570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCISCEGRYDGDDAGTCKQCHEDHKAKVAFLTTTHPSSFSDIFLFASDDKEAARPVPAHKAVLVSRSPVLRAMLENEMEESRSGTIQIGEVSYGALYTFVNYLYTAEVCLDKQLACDLLVMAEIYQVQHLKNYCQKFLVSNLNLDNSLLTYTFAHQHNAKPVIHAALTLIIDNMDKLTAREEYAELKEKDPQLVFEIYEAYLSKQVNKAAAEKAVAKLEANMYEGQ >ONI33498 pep chromosome:Prunus_persica_NCBIv2:G1:36796639:36798227:-1 gene:PRUPE_1G428400 transcript:ONI33498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAGLEKKMARLMAKMDCFLQRSVEERRRILSPNLASNGIEGNMLMIDNLLSMQLLKNNQQTEPEFYTDEIIKGIILVTHTSLMGNGEVRDEIETKIGEKRALEGQDLPKLCNLQNVQCETLRLYPPFPILVPREDSEDFVVGGFDVPRHTMRVVNAWAIHRDPEVWEDPTKRGCPGAGLANRLVELALGSLVQSFEWERIGEDMVDMSEGLGLMMPRVKPLEAMCKPRPFTLASM >ONI34876 pep chromosome:Prunus_persica_NCBIv2:G1:41631680:41635167:1 gene:PRUPE_1G503500 transcript:ONI34876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVYLNRIALALRQCGRVRASNHGKSFHCQLIKLGVWNDVFLANNLISMYVGFPCLEDARKVFDEMPDKNVVTWTTMVSGYTNCGKPEKAVRLYNQMLESDSETPNGFMYSAVLKACGMVGYIRTGKLIHERISSDRLEFDTVLMNALLDMYVKCGSLSDAKKVFDDMSSKNTTSWNTIISGYTKADLMDEAVNLFHQMQEPNVVSWNSIIAGFANNGSPRAFEFMCLMHREGLRLDGFTFPCALKTCGRHGLLASGKQIHCYATKSGFESDCFTVSALVDMYSNCNGLTEAIKLFDQHSRCNASISDSLALWNSMLSGYVINEHNSAALDLVSKIHCSGACMDSYTFSGALKACISLLNLRLGRQVHGLVVTTGYELYHIVGSILIDLYARLGNIKEALGLFDRLPKKDTVAWSGLIIGCATKGLSWLAFSLFRDMVYLDIEVDQFVISFILKVCSSLTSLGSGKQVHAFCVKSGYESEEVVVTSLLDVYSKCGEIEDGLALFDSLEERDTVCWTGIIVGCGQNGRAEEAIRLFHQMIEAGLKPNEITYLGVLSACRHAGLVEEARTIFNSMKIEHGVEPGLEHYYCMVDILGQAGYFKEAEQLIAEMPFEPDPIIWRTLLGACGTHKNTELVNVIADHILTTLPEDPSTYVTLSNVYAELGMWNDLSKVRAAVKKVGAKEAGRSWIEV >ONI34877 pep chromosome:Prunus_persica_NCBIv2:G1:41631592:41635193:1 gene:PRUPE_1G503500 transcript:ONI34877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVYLNRIALALRQCGRVRASNHGKSFHCQLIKLGVWNDVFLANNLISMYVGFPCLEDARKVFDEMPDKNVVTWTTMVSGYTNCGKPEKAVRLYNQMLESDSETPNGFMYSAVLKACGMVGYIRTGKLIHERISSDRLEFDTVLMNALLDMYVKCGSLSDAKKVFDDMSSKNTTSWNTIISGYTKADLMDEAVNLFHQMQEPNVVSWNSIIAGFANNGSPRAFEFMCLMHREGLRLDGFTFPCALKTCGRHGLLASGKQIHCYATKSGFESDCFTVSALVDMYSNCNGLTEAIKLFDQHSRCNASISDSLALWNSMLSGYVINEHNSAALDLVSKIHCSGACMDSYTFSGALKACISLLNLRLGRQVHGLVVTTGYELYHIVGSILIDLYARLGNIKEALGLFDRLPKKDTVAWSGLIIGCATKGLSWLAFSLFRDMVYLDIEVDQFVISFILKVCSSLTSLGSGKQVHAFCVKSGYESEEVVVTSLLDVYSKCGEIEDGLALFDSLEERDTVCWTGIIVGCGQNGRAEEAIRLFHQMIEAGLKPNEITYLGVLSACRHAGLVEEARTIFNSMKIEHGVEPGLEHYYCMVDILGQAGYFKEAEQLIAEMPFEPDPIIWRTLLGACGTHKNTELVNVIADHILTTLPEDPSTYVTLSNVYAELGMWNDLSKVRAAVKKVGAKEAGRSWIEV >ONI26493 pep chromosome:Prunus_persica_NCBIv2:G1:2014171:2015541:-1 gene:PRUPE_1G028600 transcript:ONI26493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMSRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI26494 pep chromosome:Prunus_persica_NCBIv2:G1:2014171:2015541:-1 gene:PRUPE_1G028600 transcript:ONI26494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKKANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI26495 pep chromosome:Prunus_persica_NCBIv2:G1:2014322:2015541:-1 gene:PRUPE_1G028600 transcript:ONI26495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKKANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKVRRNYLHTFHVVFCIINDGHVKLTSLNGYTTSVMKNIVVCD >ONI29218 pep chromosome:Prunus_persica_NCBIv2:G1:17199210:17199824:1 gene:PRUPE_1G187800 transcript:ONI29218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLNFILQLFKMVHWLWLLFVLIFYLTTSSKGKTKGSIPEISLLDAFKNLLCHPEIKAVTDLGTWKKQLTPNREDNSSPLPI >ONI26264 pep chromosome:Prunus_persica_NCBIv2:G1:1021118:1029808:1 gene:PRUPE_1G013600 transcript:ONI26264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASHPKGPPHKPSSSSSASNRKSRWESSPNPAAAATAITTKNNPSDPKPAKPNSGPSPKPGATSTPSHPKHPPSAPSPGPAPFPFPDPAAFGPPPPPVYGFHMLERRTFVLADGSVRSYFALPPDYQEFPPPMDPSGRFLPFGPGGPPGPGPDYWNSLGLDGRGPAEGPAKRKYAEEEDQRDKAGEFGMRRPQFMQHANPNGFPVGPGSRGEFLAETSSPFRREAADQGRGGEEARANKYMRIGGGGYESAGFRLGGGGGGGGGENVVHKHVQVDQSALKKAFLNYVKLIHENTQQRKIYLEDGKNGRLHCLACARSSKDFPDMHSLIMHSYNSDNADLRVDHLGLHKALCVLMGWDYLKPPDNSKAYQFLSAEEAAANVDDLIMWPPVVIIHNTVTGKSKDGRMEGLGNKAMDSIIRDLGFGSGKSKSLYGRDGHLGMTLVKFSGDEAGLKEAMRMAEFFVKDNHGRRAWARVQPPTLGSKDDENNPYLVKLDEKTREKKRILYGYLGTAYDLDKVDFDTRKKVAIESLRENKSTK >ONI35118 pep chromosome:Prunus_persica_NCBIv2:G1:42514987:42516246:-1 gene:PRUPE_1G517100 transcript:ONI35118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTHNMLSSNIKGVTNGFPLRIEIEKVVEKQVDFNADFLRNMFPKIEWKALVGAARTLGYVELPEEVEPSRLDSEDFLRKFHHALLELHLEEGALVCPETGRRFPVSKGIPNMLLHEDEV >ONI34051 pep chromosome:Prunus_persica_NCBIv2:G1:38538905:38545483:-1 gene:PRUPE_1G460900 transcript:ONI34051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISRSRQALESRSGGKIVRPRRVAGARTPYDRPRLANPGPENPNWFSRLIYSPTRMIASGAGKIISSVFSPDSSSSSSSEDGTDDEDVDDDDISTQEDDGLNKRNGTSGKLSFFRKEPPATLGKSDNKHVIEQLLMQETFSREECDRLIKIIKSRVVGFTTAEDAENTRPNVDTPDFCGTAVTEAKKWLKERRLGSSSKSDSDHGTCTLNSLMFPQGAEDEGGSPVDVAKLYMRARPPWASPSIKHGELRSPSSTGMQLFNEETPYSIGGNSVSTLKLKRDSRATGSWNIQDEIRRVRSKATEELLRSLPSTRIDWSASTLGNRSTSGYLVDGKQEVEMGDKIHNSKNSIGANMNLSSGVTTSYGSNVSEKTQYELQKEALPLPAIISSEQNQDSDVAAIDGKGGSQNALEGVLTTGQRLKASEDMKTAPSLLKGVISVSDLNMIRHGKLVCDTVAGDFGGQKNSNWTEQRNTDIGGTSEVGDSKLHDITCSTTGEVTGSRSAYTTNGFPSSVASLSAPDLGIEENPILNGETNPVTSSHEKVAVDLTVEEEAHEFFNNATVEVANKNENDVDGTKENDGVPLSEASIEVPESIENYMDVTKDNDFVTTGSQNSSSMADEYFSQELTQPNSKSTPVVEKQKGKRLSRYNRRGRSRGK >ONI34050 pep chromosome:Prunus_persica_NCBIv2:G1:38538897:38545484:-1 gene:PRUPE_1G460900 transcript:ONI34050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISRSRQALESRSGGKIVRPRRVAGARTPYDRPRLANPGPENPNWFSRLIYSPTRMIASGAGKIISSVFSPDSSSSSSSEDGTDDEDVDDDDISTQEDDGLNKRNGTSGKLSFFRKEPPATLGKSDNKHVIEQLLMQETFSREECDRLIKIIKSRVVGFTTAEDAENTRPNVDTPDFCGTAVTEAKKWLKERRLGSSSKSDSDHGTCTLNSLMFPQGAEDEGGSPVDVAKLYMRARPPWASPSIKHGELRSPSSTGMQLFNEETPYSIGGNSVSTLKLKRDSRATGSWNIQDEIRRVRSKATEELLRSLPSTRIDWSASTLGNRSTSGYLVDGKQEVEMGDKIHNSKNSIGANMNLSSGVTTSYGSNVSEKTQYELQKEALPLPAIISSEQNQDSDVAAIDGKGGSQNALEGVLTTGQRLKASEDMKTAPSLLKGVISVSDLNMISDTVAGDFGGQKNSNWTEQRNTDIGGTSEVGDSKLHDITCSTTGEVTGSRSAYTTNGFPSSVASLSAPDLGIEENPILNGETNPVTSSHEKVAVDLTVEEEAHEFFNNATVEVANKNENDVDGTKENDGVPLSEASIEVPESIENYMDVTKDNDFVTTGSQNSSSMADEYFSQELTQPNSKSTPVVEKQKGKRLSRYNRRGRSRGK >ONI34052 pep chromosome:Prunus_persica_NCBIv2:G1:38538913:38545421:-1 gene:PRUPE_1G460900 transcript:ONI34052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETFSREECDRLIKIIKSRVVGFTTAEDAENTRPNVDTPDFCGTAVTEAKKWLKERRLGSSSKSDSDHGTCTLNSLMFPQGAEDEGGSPVDVAKLYMRARPPWASPSIKHGELRSPSSTGMQLFNEETPYSIGGNSVSTLKLKRDSRATGSWNIQDEIRRVRSKATEELLRSLPSTRIDWSASTLGNRSTSGYLVDGKQEVEMGDKIHNSKNSIGANMNLSSGVTTSYGSNVSEKTQYELQKEALPLPAIISSEQNQDSDVAAIDGKGGSQNALEGVLTTGQRLKASEDMKTAPSDTVAGDFGGQKNSNWTEQRNTDIGGTSEVGDSKLHDITCSTTGEVTGSRSAYTTNGFPSSVASLSAPDLGIEENPILNGETNPVTSSHEKVAVDLTVEEEAHEFFNNATVEVANKNENDVDGTKENDGVPLSEASIEVPESIENYMDVTKDNDFVTTGSQNSSSMADEYFSQELTQPNSKSTPVVEKQKGKRLSRYNRRGRSRGK >ONI34049 pep chromosome:Prunus_persica_NCBIv2:G1:38538897:38545483:-1 gene:PRUPE_1G460900 transcript:ONI34049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISRSRQALESRSGGKIVRPRRVAGARTPYDRPRLANPGPENPNWFSRLIYSPTRMIASGAGKIISSVFSPDSSSSSSSEDGTDDEDVDDDDISTQEDDGLNKRNGTSGKLSFFRKEPPATLGKSDNKHVIEQLLMQETFSREECDRLIKIIKSRVVGFTTAEDAENTRPNVDTPDFCGTAVTEAKKWLKERRLGSSSKSDSDHGTCTLNSLMFPQGAEDEGGSPVDVAKLYMRARPPWASPSIKHGELRSPSSTGMQLFNEETPYSIGGNSVSTLKLKRDSRATGSWNIQDEIRRVRSKATEELLRSLPSTRIDWSASTLGNRSTSGYLVDGKQEVEMGDKIHNSKNSIGANMNLSSGVTTSYGSNVSEKTQYELQKEALPLPAIISSEQNQDSDVAAIDGKGGSQNALEGVLTTGQRLKASEDMKTAPSDTVAGDFGGQKNSNWTEQRNTDIGGTSEVGDSKLHDITCSTTGEVTGSRSAYTTNGFPSSVASLSAPDLGIEENPILNGETNPVTSSHEKVAVDLTVEEEAHEFFNNATVEVANKNENDVDGTKENDGVPLSEASIEVPESIENYMDVTKDNDFVTTGSQNSSSMADEYFSQELTQPNSKSTPVVEKQKGKRLSRYNRRGRSRGK >ONI34053 pep chromosome:Prunus_persica_NCBIv2:G1:38538913:38545469:-1 gene:PRUPE_1G460900 transcript:ONI34053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETFSREECDRLIKIIKSRVVGFTTAEDAENTRPNVDTPDFCGTAVTEAKKWLKERRLGSSSKSDSDHGTCTLNSLMFPQGAEDEGGSPVDVAKLYMRARPPWASPSIKHGELRSPSSTGMQLFNEETPYSIGGNSVSTLKLKRDSRATGSWNIQDEIRRVRSKATEELLRSLPSTRIDWSASTLGNRSTSGYLVDGKQEVEMGDKIHNSKNSIGANMNLSSGVTTSYGSNVSEKTQYELQKEALPLPAIISSEQNQDSDVAAIDGKGGSQNALEGVLTTGQRLKASEDMKTAPSLLKGVISVSDLNMIRHGKLVCDTVAGDFGGQKNSNWTEQRNTDIGGTSEVGDSKLHDITCSTTGEVTGSRSAYTTNGFPSSVASLSAPDLGIEENPILNGETNPVTSSHEKVAVDLTVEEEAHEFFNNATVEVANKNENDVDGTKENDGVPLSEASIEVPESIENYMDVTKDNDFVTTGSQNSSSMADEYFSQELTQPNSKSTPVVEKQKGKRLSRYNRRGRSRGK >ONI34054 pep chromosome:Prunus_persica_NCBIv2:G1:38540162:38545341:-1 gene:PRUPE_1G460900 transcript:ONI34054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISRSRQALESRSGGKIVRPRRVAGARTPYDRPRLANPGPENPNWFSRLIYSPTRMIASGAGKIISSVFSPDSSSSSSSEDGTDDEDVDDDDISTQEDDGLNKRNGTSGKLSFFRKEPPATLGKSDNKHVIEQLLMQETFSREECDRLIKIIKSRVVGFTTAEDAENTRPNVDTPDFCGTAVTEAKKWLKERRLGSSSKSDSDHGTCTLNSLMFPQGAEDEGGSPVDVAKLYMRARPPWASPSIKHGELRSPSSTGMQLFNEETPYSIGGNSVSTLKLKRDSRATGSWNIQDEIRRVRSKATEELLRSLPSTRIDWSASTLGNRSTSGYLVDGKQEVEMGDKIHNSKNSIGANMNLSSGVTTSYGSNVSEKTQYELQKEALPLPAIISSEQNQDSDVAAIDGKGGSQNALEGVLTTGQRLKASEDMKTAPSLLKGVISVSDLNMIRHGKLVW >ONI35760 pep chromosome:Prunus_persica_NCBIv2:G1:45200661:45203840:-1 gene:PRUPE_1G553300 transcript:ONI35760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALSHSHLDLYGWLSLNLSVAGFLSTSLWQGWKLESCSFLIMIWDFKLMAFRLHIVQTKSTGFLKEDARRWR >ONI28281 pep chromosome:Prunus_persica_NCBIv2:G1:10676434:10681727:1 gene:PRUPE_1G135500 transcript:ONI28281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRKQGIRHFHLNCCNIYTFSKRFSSLPNGPSSEHDRKVVSSMDRVSCDNSRKVEGVRQVVDDVCQVLEGRPWGPTLENALSMLDNKPQSESVIGVLRRLKDVGLAFNYFRWCERQTDQAHCPEAYNSLLMVMARSRNFKFLEQVLEEMSIAGIGPFNNVCIELVVSCVKSQKLREAFNLLQTMRKFKFRPAFSAYTTLIGALSTVPESDLMLTLFHQMQEIGYEVTVHLFTTIIRVFAKEGRVDAALSLLDEMKSNSFNADVVLYNVCIDCFGKVGKVDMAWKFFHEMRMHGMVPDDVTYTSMIGVLCKAERLGEAVELFEEMDVNRKVPCVYAYNTMIMGYGSAGRFEEAYSLFERQKRKGCIPSVIAYNCVLTCLGKKGRVEEALRIFDEMKKDAAPNLPTYNILIDMLCKAGNLEAALRVRDAMKEAGLYPNVMTVNIMIDRLCKAQKLDDACSIFEGMDHKVCTPDAVTFCSLIDGLGKQGKVDNAYRLYEKMLDSDQIPNAIVYTSLIRNFFRCGRKEDGHKIYKEMVRRGCSPDLMLLNTYMDCVFKAGEIDKGRFLFEEIKAQGFIPDVRSYSILIHGLVKAGLAHETYELFHAMKDQGCVLDTRAYNAIIDGFCKCGKVNKAYQLLEEMKTKGHQPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVELNVIIYSSLVDGFGKVGRIDEAYLIMEELMQKGLAPNVYTYNCLLDALVKAGEIDEAIVCFQSMKDLKCTPNHVTYSILINGLCRVRKFNKAFVYWQEMKKQGLQPNTITYTTMISGLAKAGNIRDASGLFERFKASGGILDSASYNAMIEGLSSGNRAMEAYALFEETRQKGCHIHTKTCVVLLDALHKAECLEQAAIVGAVLRETAKSQHASRSW >ONI28282 pep chromosome:Prunus_persica_NCBIv2:G1:10677610:10681726:1 gene:PRUPE_1G135500 transcript:ONI28282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSFNADVVLYNVCIDCFGKVGKVDMAWKFFHEMRMHGMVPDDVTYTSMIGVLCKAERLGEAVELFEEMDVNRKVPCVYAYNTMIMGYGSAGRFEEAYSLFERQKRKGCIPSVIAYNCVLTCLGKKGRVEEALRIFDEMKKDAAPNLPTYNILIDMLCKAGNLEAALRVRDAMKEAGLYPNVMTVNIMIDRLCKAQKLDDACSIFEGMDHKVCTPDAVTFCSLIDGLGKQGKVDNAYRLYEKMLDSDQIPNAIVYTSLIRNFFRCGRKEDGHKIYKEMVRRGCSPDLMLLNTYMDCVFKAGEIDKGRFLFEEIKAQGFIPDVRSYSILIHGLVKAGLAHETYELFHAMKDQGCVLDTRAYNAIIDGFCKCGKVNKAYQLLEEMKTKGHQPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVELNVIIYSSLVDGFGKVGRIDEAYLIMEELMQKGLAPNVYTYNCLLDALVKAGEIDEAIVCFQSMKDLKCTPNHVTYSILINGLCRVRKFNKAFVYWQEMKKQGLQPNTITYTTMISGLAKAGNIRDASGLFERFKASGGILDSASYNAMIEGLSSGNRAMEAYALFEETRQKGCHIHTKTCVVLLDALHKAECLEQAAIVGAVLRETAKSQHASRSW >ONI28283 pep chromosome:Prunus_persica_NCBIv2:G1:10677055:10681726:1 gene:PRUPE_1G135500 transcript:ONI28283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSFNADVVLYNVCIDCFGKVGKVDMAWKFFHEMRMHGMVPDDVTYTSMIGVLCKAERLGEAVELFEEMDVNRKVPCVYAYNTMIMGYGSAGRFEEAYSLFERQKRKGCIPSVIAYNCVLTCLGKKGRVEEALRIFDEMKKDAAPNLPTYNILIDMLCKAGNLEAALRVRDAMKEAGLYPNVMTVNIMIDRLCKAQKLDDACSIFEGMDHKVCTPDAVTFCSLIDGLGKQGKVDNAYRLYEKMLDSDQIPNAIVYTSLIRNFFRCGRKEDGHKIYKEMVRRGCSPDLMLLNTYMDCVFKAGEIDKGRFLFEEIKAQGFIPDVRSYSILIHGLVKAGLAHETYELFHAMKDQGCVLDTRAYNAIIDGFCKCGKVNKAYQLLEEMKTKGHQPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVELNVIIYSSLVDGFGKVGRIDEAYLIMEELMQKGLAPNVYTYNCLLDALVKAGEIDEAIVCFQSMKDLKCTPNHVTYSILINGLCRVRKFNKAFVYWQEMKKQGLQPNTITYTTMISGLAKAGNIRDASGLFERFKASGGILDSASYNAMIEGLSSGNRAMEAYALFEETRQKGCHIHTKTCVVLLDALHKAECLEQAAIVGAVLRETAKSQHASRSW >ONI28285 pep chromosome:Prunus_persica_NCBIv2:G1:10677055:10681726:1 gene:PRUPE_1G135500 transcript:ONI28285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSFNADVVLYNVCIDCFGKVGKVDMAWKFFHEMRMHGMVPDDVTYTSMIGVLCKAERLGEAVELFEEMDVNRKVPCVYAYNTMIMGYGSAGRFEEAYSLFERQKRKGCIPSVIAYNCVLTCLGKKGRVEEALRIFDEMKKDAAPNLPTYNILIDMLCKAGNLEAALRVRDAMKEAGLYPNVMTVNIMIDRLCKAQKLDDACSIFEGMDHKVCTPDAVTFCSLIDGLGKQGKVDNAYRLYEKMLDSDQIPNAIVYTSLIRNFFRCGRKEDGHKIYKEMVRRGCSPDLMLLNTYMDCVFKAGEIDKGRFLFEEIKAQGFIPDVRSYSILIHGLVKAGLAHETYELFHAMKDQGCVLDTRAYNAIIDGFCKCGKVNKAYQLLEEMKTKGHQPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVELNVIIYSSLVDGFGKVGRIDEAYLIMEELMQKGLAPNVYTYNCLLDALVKAGEIDEAIVCFQSMKDLKCTPNHVTYSILINGLCRVRKFNKAFVYWQEMKKQGLQPNTITYTTMISGLAKAGNIRDASGLFERFKASGGILDSASYNAMIEGLSSGNRAMEAYALFEETRQKGCHIHTKTCVVLLDALHKAECLEQAAIVGAVLRETAKSQHASRSW >ONI28284 pep chromosome:Prunus_persica_NCBIv2:G1:10677055:10681726:1 gene:PRUPE_1G135500 transcript:ONI28284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNSFNADVVLYNVCIDCFGKVGKVDMAWKFFHEMRMHGMVPDDVTYTSMIGVLCKAERLGEAVELFEEMDVNRKVPCVYAYNTMIMGYGSAGRFEEAYSLFERQKRKGCIPSVIAYNCVLTCLGKKGRVEEALRIFDEMKKDAAPNLPTYNILIDMLCKAGNLEAALRVRDAMKEAGLYPNVMTVNIMIDRLCKAQKLDDACSIFEGMDHKVCTPDAVTFCSLIDGLGKQGKVDNAYRLYEKMLDSDQIPNAIVYTSLIRNFFRCGRKEDGHKIYKEMVRRGCSPDLMLLNTYMDCVFKAGEIDKGRFLFEEIKAQGFIPDVRSYSILIHGLVKAGLAHETYELFHAMKDQGCVLDTRAYNAIIDGFCKCGKVNKAYQLLEEMKTKGHQPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVELNVIIYSSLVDGFGKVGRIDEAYLIMEELMQKGLAPNVYTYNCLLDALVKAGEIDEAIVCFQSMKDLKCTPNHVTYSILINGLCRVRKFNKAFVYWQEMKKQGLQPNTITYTTMISGLAKAGNIRDASGLFERFKASGGILDSASYNAMIEGLSSGNRAMEAYALFEETRQKGCHIHTKTCVVLLDALHKAECLEQAAIVGAVLRETAKSQHASRSW >ONI27612 pep chromosome:Prunus_persica_NCBIv2:G1:7672627:7675103:1 gene:PRUPE_1G096500 transcript:ONI27612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTMSVIVLVLHLFVLHLQYSEVHSLSNNHPHDFSYLKFVYNASDPQLEGTYDYIVVGGGTSGCSLAATLSEKYSVLVLERGTLPTAYPNLLTTDGFVYNLQQEDNGQTPVQRFVSEDGIDNVRGRVLGGTSMINAGVYARANISFYNQSGIEWDMDLVNKTYKWIEDTIVVRPNWQQWQALAGDGLFEAGVSPRNGFSLDHEPGIRLTGSTFDNNGTRHAADELLNNGDANNLRVGVHATVEKIIFSNRNQLGKPAAVGVQYSDANLQSHQAFIHSKGEVILSAGTIGTPQLLLLSGVGPESYLSSLKIKVYHDNPYVGQYVYDNPRNFVNILPPKPLKPSYVTKLGITDDFYQCSISMSNYSTPPFSLFPSPSYPLPPSSFAHIVNKISGPLSYGYVTLRSSIDVRVAPNVKFNYFSNPIDLSHCVSGMKNIGDFLRTDSLKPYRANPDLPGIDGFNFLGIPLPKNQSDDASFKTFCQDAVASYWHYHGGCLVEKVVDDGLRVMGIDALRVVDATTFPSMPASHPQGFYMMLGRYMGIKIMQDR >ONI27613 pep chromosome:Prunus_persica_NCBIv2:G1:7672627:7674753:1 gene:PRUPE_1G096500 transcript:ONI27613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTMSVIVLVLHLFVLHLQYSEVHSLSNNHPHDFSYLKFVYNASDPQLEGTYDYIVVGGGTSGCSLAATLSEKYSVLVLERGTLPTAYPNLLTTDGFVYNLQQEDNGQTPVQRFVSEDGIDNVRGRVLGGTSMINAGVYARANISFYNQSGIEWDMDLVNKTYKWIEDTIVVRPNWQQWQALAGDGLFEAGVSPRNGFSLDHEPGIRLTGSTFDNNGTRHAADELLNNGDANNLRVGVHATVEKIIFSNRNQLGKPAAVGVQYSDANLQSHQAFIHSKGEVILSAGTIGTPQLLLLSGVGPESYLSSLKIKVYHDNPYVGQYVYDNPRNFVNILPPKPLKPSYVTKLGITDDFYQCSISMSNYSTPPFSLFPSPSYPLPPSSFAHIVNKISGPLSYGYVTLRSSIDVRVAPNVKFNYFSNPIDLSHCVSGMKNIGDFLRTDSLKPYRANPDLPGIDGFNFLGIPLPKNQSDDASFKTFCQDAVASYWHYHGGCLVEKVVDDGLRVMGIDALRVVDATTFPSMPASHPQGFYMMLGRYVLQTTRNSEELFC >ONI31600 pep chromosome:Prunus_persica_NCBIv2:G1:30800744:30805056:1 gene:PRUPE_1G321800 transcript:ONI31600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALWLLILSLFSLTFTLSASSSSWGSRSILRAVNTDNADVHDYAVELNATNFDEVLRDTPASFAVVEFFAHWCPACRNYKPQYEKVARLFNGPDAVHPGIILMTRVDCASKINTKLCDNFSVGHYPMLFWGPPSKFASAGWEPTQTKSDIRVIDDGRTADRLLSWINKQTGSSFALDDQKFENEHLSSNVSDPGQIARAVYDVEEATSTAFEIILGHKMIKSNTRASLVKFLQLLVAHHPSRRCRKGSAEVLVNFDDLCPLDMWSADRQEDQDAQAALGNFQICGRDVPRGYWMFCRGSKNDTRGFSCGLWVLLHSLSVRIEDGESNFAFTTICDFVHNFFICEECRRHFYDMCSSVSTPFNKSRDFALWLWSAHNKVNERLIKEEASLGTADPKFPKMIWPLRQFCPSCYLSRSQKNDGIEWDQDEVFKFLKEYYGKTLVSLYKDKGINGNDGTNRVLEDLVPSTNAIVVPLGAALAVAVASCAFGALACYWRSQQKSRKYFHHLRSLKNI >ONI31599 pep chromosome:Prunus_persica_NCBIv2:G1:30800744:30805056:1 gene:PRUPE_1G321800 transcript:ONI31599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALWLLILSLFSLTFTLSASSSSWGSRSILRAVNTDNADVHDYAVELNATNFDEVLRDTPASFAVVEFFAHWCPACRNYKPQYEKVARLFNGPDAVHPGIILMTRVDCASKINTKLCDNFSVGHYPMLFWGPPSKFASAGWEPTQTKSDIRVIDDGRTADRLLSWINKQTGSSFALDDQKFENEHLSSNVSDPGQIARAVYDVEEATSTAFEIILGHKMIKSNTRASLVKFLQLLVAHHPSRRCRKGSAEVLVNFDDLCPLDMWSADRQEDQDAQAALGNFQICGRDVPRGYWMFCRGSKNDTRGFSCGLWVLLHSLSVRIEDGESNFAFTTICDFVHNFFICEECRRHFYDMCSSVSTPFNKSRDFALWLWSAHNKVNERLIKEEASLGTADPKFPKMIWPLRQFCPSCYLSRSQKNDGIEWDQDEVFKFLKEYYGKTLVSLYKDKGINGNDGTNRVLEDLVPSTNAIVVPLGAALAVAVASCAFGALACYWRSQQKSRKPRRSWS >ONI31526 pep chromosome:Prunus_persica_NCBIv2:G1:30593197:30596705:1 gene:PRUPE_1G318000 transcript:ONI31526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEFSEELEPLFDYRRVQPLNVVYLDDDDFDAPSASPPKRRKISDSAVEKVDDTVKAVNVIDCGDKEEEDWLPPPPKVSLDTKLRGEDSTLRELRLKKQELASLAQSAENVMRAVEESVKKELSDSLEAVAEKPSKPRCERNKIVLSIQDKDGAKQFRIYVDDKFERLFKMYADKAKLDLKSLVFCFDGDKIGPAATPDALGMEDHDIIEVHVTSS >ONI36183 pep chromosome:Prunus_persica_NCBIv2:G1:46753154:46756753:1 gene:PRUPE_1G573800 transcript:ONI36183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQRQLLKKALGEAKTKIGGSHFCHRPSLCCSFSTLPSSGADHEAALPLLPPFGYEPKPYNGPRGDEVFQKRKKFLGPSLFHYYQNPLNIVEGKMQYLFDENGRRYLDAFAGIVTVSCGHCHPEVLNAIMEQSKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGSLDMISLRNAYHGGSSNTIGLTALNTWKYPIPQGDIHHVVNPDPYHGIFGSDAKSYVKDVQDHIDYGTSGKVAGFISETIQGVGGAVELAPGYLKLVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLAQKIQFNTFGGNPVCSAGGLAVLRVIDKEKRQVHCADVGSHLLGRLRDLQQRYESNYPLNSMSLEFSLL >ONI36182 pep chromosome:Prunus_persica_NCBIv2:G1:46753154:46758358:1 gene:PRUPE_1G573800 transcript:ONI36182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQRQLLKKALGEAKTKIGGSHFCHRPSLCCSFSTLPSSGADHEAALPLLPPFGYEPKPYNGPRGDEVFQKRKKFLGPSLFHYYQNPLNIVEGKMQYLFDENGRRYLDAFAGIVTVSCGHCHPEVLNAIMEQSKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGSLDMISLRNAYHGGSSNTIGLTALNTWKYPIPQGDIHHVVNPDPYHGIFGSDAKSYVKDVQDHIDYGTSGKVAGFISETIQGVGGAVELAPGYLKLVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLAQKIQFNTFGGNPVCSAGGLAVLRVIDKEKRQVHCADVGSHLLGRLRDLQQRYEIIGDVRGRGLMVGIELVTDRKEKTPAKAETTVLFEKLRELGVLVGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYSMSKL >ONI31865 pep chromosome:Prunus_persica_NCBIv2:G1:31682911:31684186:1 gene:PRUPE_1G335500 transcript:ONI31865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECSYQNIKNPQPEPEDEEEEYTAVKEKLDLPPDPLLRRCSSERFNPTSPPPPPFYSFNSHHNQLQCPNAASPASASTDKASGAAALSSYASTLRRSVSNPKPSSCSPALKTFSRQSSSSSGDEDDNDDATPNSKRLRRIKYRVREMSLWFQQVMLENEDDDEEEEEELELEPPQEQHHQQNGDTTELQVDSDINFAESVSVERMGDGLVIHFRCHCGVPYQFLLAGGNCYYKLM >ONI28830 pep chromosome:Prunus_persica_NCBIv2:G1:13181354:13186230:-1 gene:PRUPE_1G163700 transcript:ONI28830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLKLSFDNLESPALKQCFSYCSAFMKDAEMQRDDLIQLWMAQGFLHPSPEKSNLEMEDIGNEYFDILFQSSLFQNATVDDDGIVTECKMHDLVHDLAERASESRSMMRDFHKNQDVATPNIERIPKGSSGKLRSLFLNAEALPRNMLPGFKALRVLKLYDENIEELPSSIGKLKHLRYLDISFTEIKRLPNSIGKLYNLQTLRATYCGLEEFPKDVQNLINLRYVYCDEGTKFPVGVLGRLTSLRKLPCSYEDYKVMGREIEELAVLNQLKGKLIICNLEHVRNGDEARKAKLKDKKNVCHFLFKWTKNRSTTNNNEEDVLEGLQPHSELERLEIRYFMGTEFPSWMIKLDNLKQIRLKGCNRCEKVPTLGHLPHLTVVWIGGMDNLKCVGEEIYGNDVFPALKELCIRNCKELIEWMEAPKQVMVFPCLEKLDIENCIKLRKVPSHFPSLKNLMIEGNEELTCVPEGMLLKTEGMEIMDCEKLTCIAPDVFRCCASLRNLVVENCPSLQSIPALNLFTSLRELSVKNCERLKSLVSSGPVSIVELSIIKCSGIQSIPALNLFTSLRELSIEYCRRFESLVSSGPVSIVKLSIIKCWRLRSIPTLNVFTSLRELSIEYCQRLESLASSGPISVVELLRIRVCSGLQSIPALNLFISLRELSIEYCGRLESLVSSGPVSIVELLRIRVCSGLQSIPALNLFTSLRELSIENCERLESLVSSGPISVVELSIIKCIGLQFIPPLNLFTSLHKLSIEYCRRLENLVSSGSVSVVELSIIKCSGLQFIPPLNFFTSLHELSIEYCRGVRNLGSNEPVSIVKLNIIKCWRLRSIPALNLFLVELKIRDAPNLESLPSLDDFTSLSELVIVNCGKLKYLPSLISTSLKTLELGGFWKELDSFPDFHLGTGSSKLQKLELNGWPKLKSLPHQIQHFTSLTYLWIECFDGVEALEDWLGNLTSLGTLEVWRCKKLMYLPSVTAMQRLTKLQTLEFWECPLLKERCTKDSGPEWPKISHIPHIKIR >ONI28829 pep chromosome:Prunus_persica_NCBIv2:G1:13181354:13189076:-1 gene:PRUPE_1G163700 transcript:ONI28829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESVLTFATEGILTKLISLAAQEISLAWGFKAELNRLRKTLSTIEGYLADVAQGPQGRSKSVEDWVTNLKRLAQDADDVLDEFNYELLRRKVEIRNHMKKKVLNFFSLSNPVAFRLKIAHKIQKINASLADLKSEASVIGLVSKEKDATPERIRGRIQTDSFPEKDGIIVGREDVLSNIVTTLTNSNINQENIAVMAIVGMAGLGKTTLAKSVYNDNSIKGYFDPKVWVCVSDPFDVKLILISMLESLSPLKAAVKQSLDALLKHLQEELKGKKYLLVLDDVWNDDSRQWNDLMDRLLKLDSARGSTIIVTTRSAKVASISEKKLPRQDLELLSTDECWSILKHAACSNGSSDIPLHLERIGREIAKNCEGLPLMAKVLGGILHSKKSTSEWSRIKDSRIWDLPKAEDRIMSVLKLSFDNLESPALKQCFSYCSAFMKDAEMQRDDLIQLWMAQGFLHPSPEKSNLEMEDIGNEYFDILFQSSLFQNATVDDDGIVTECKMHDLVHDLAERASESRSMMRDFHKNQDVATPNIERIPKGSSGKLRSLFLNAEALPRNMLPGFKALRVLKLYDENIEELPSSIGKLKHLRYLDISFTEIKRLPNSIGKLYNLQTLRATYCGLEEFPKDVQNLINLRYVYCDEGTKFPVGVLGRLTSLRKLPCSYEDYKVMGREIEELAVLNQLKGKLIICNLEHVRNGDEARKAKLKDKKNVCHFLFKWTKNRSTTNNNEEDVLEGLQPHSELERLEIRYFMGTEFPSWMIKLDNLKQIRLKGCNRCEKVPTLGHLPHLTVVWIGGMDNLKCVGEEIYGNDVFPALKELCIRNCKELIEWMEAPKQVMVFPCLEKLDIENCIKLRKVPSHFPSLKNLMIEGNEELTCVPEGMLLKTEGMEIMDCEKLTCIAPDVFRCCASLRNLVVENCPSLQSIPALNLFTSLRELSVKNCERLKSLVSSGPVSIVELSIIKCSGIQSIPALNLFTSLRELSIEYCRRFESLVSSGPVSIVKLSIIKCWRLRSIPTLNVFTSLRELSIEYCQRLESLASSGPISVVELLRIRVCSGLQSIPALNLFISLRELSIEYCGRLESLVSSGPVSIVELLRIRVCSGLQSIPALNLFTSLRELSIENCERLESLVSSGPISVVELSIIKCIGLQFIPPLNLFTSLHKLSIEYCRRLENLVSSGSVSVVELSIIKCSGLQFIPPLNFFTSLHELSIEYCRGVRNLGSNEPVSIVKLNIIKCWRLRSIPALNLFLVELKIRDAPNLESLPSLDDFTSLSELVIVNCGKLKYLPSLISTSLKTLELGGFWKELDSFPDFHLGTGSSKLQKLELNGWPKLKSLPHQIQHFTSLTYLWIECFDGVEALEDWLGNLTSLGTLEVWRCKKLMYLPSVTAMQRLTKLQTLEFWECPLLKERCTKDSGPEWPKISHIPHIKIR >ONI34133 pep chromosome:Prunus_persica_NCBIv2:G1:38732581:38735020:1 gene:PRUPE_1G464100 transcript:ONI34133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQSKEQESESNKLKERIEKLGKTVTDLETAMMLLVMGYIFFQGAIFASVFHNPSNMSCEYWWIPFSLSCLICVIFAIPFQSYVANWERTKHHHSSAFLKQDLLNHKMVTSSSVETRMYDQPQSQSPPQLQGILKSDRAQVYQRNAFIYLVSLALLAYTILILKACGSIPCHGDATP >ONI34134 pep chromosome:Prunus_persica_NCBIv2:G1:38732567:38735024:1 gene:PRUPE_1G464100 transcript:ONI34134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQSKEQESESNKLKERIEKLGKTVTDLETAMMLLVMGYIFFQGAIFASVFHNPSNMSCEYWWIPFSLSCLICVIFAIPFQSYVANWERTKHHHSSAFLKQDLLNHKMVTSSSVETRMYDQPQSQSPPQLQGILKSDRAQVYQRNAFIYLVSLALLAYTILILKACGSIPCHGDATP >ONI34132 pep chromosome:Prunus_persica_NCBIv2:G1:38732567:38735024:1 gene:PRUPE_1G464100 transcript:ONI34132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQSKEQESESNKLKERIEKLGKTVTDLETAMMLLVMGYIFFQGAIFASVFHNPSNMSCEYWWIPFSLSCLICVIFAIPFQSYVANWERTKHHHSSAFLKQDLLNHKMVTSSSVETRMYDQPQSQSPPQLQGILKSDRAQVYQRNAFIYLVSLALLAYTILILKACGSIPCHGDATP >ONI31741 pep chromosome:Prunus_persica_NCBIv2:G1:31155671:31157327:1 gene:PRUPE_1G327700 transcript:ONI31741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKEKPSYTLFNILPYPYQISKLISISMALHGFIGTQIELKSPADKFYKIFKGQAHLIPNVSSGHIKGVQVHEGDWETHGSVKIWNYHLGDEVRTIKEKVEYDDKNMAATHIGLDGEVFKYYKSFKGIYQFAQKGDVCVASLTIHYEKRNADVEAPDRYVGLMVTLVRDLDAHFAKA >ONI32034 pep chromosome:Prunus_persica_NCBIv2:G1:32317179:32319680:1 gene:PRUPE_1G345300 transcript:ONI32034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFCSRSLLHACFGYSRFVTFFFLDLFWSPSKSPCCTIEIWVCCNRHKRIIFSIRPSLPKMSDLETPLRPKRKTAVVDFLVQFRWIVVIFVVLPISFTIYFLTYLGDVRSEMKSYKRRQKEHDENVQKVVKRLKERNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNVLEIDRERMIAKVEPLVNMGQITRVTVPLNLALAVVAEFDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGRVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPIKEYMRLTYKPVVGNLQDIAQAYSDSFAPRDGDQDNPEKVPDFVETMIYTPTEAVCMTGRYASKEEAKKKGNVINEVGWWFKPWFYQHAQKALKKGEFVEYIPTREYYHRHTRSIYWEGKLILPFADQWWFRFFLGWMMPPKISLLKATQGEAIRNYYHDMHVIQDMLVPLYKVGNALEWVHHEMEVYPIWLCPHRMYKSPIKTMIYTEPGFEHHHRQGDTHYSQMYTDVGVYYAPGPVLRGEVFDGSEAVRRMESWLIENHGFQPQYAVSELDEKNFWRMFDAGHYEYCRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAILETPSAEVDEPVDY >ONI31900 pep chromosome:Prunus_persica_NCBIv2:G1:31834287:31835736:-1 gene:PRUPE_1G337800 transcript:ONI31900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIIPPMPPSARDDALHLYRAFKGFGCDTAAVINILGHRNATQRAAIQKEYHATYSEDLNKRLSSELSGELKRAVIMWMPDPATRDANIVRHALEGDVDLKAATEVICSRTPSQMRQFKQIYFSSFDVDLEDDLATKLSSGDHKKLLVAYISVPRYEGPEYDQAMVQKDAELLYKAGEKKLGTDEKVFIQIFSERSRAHLVAVGSAYQSLYGHSLEKAITKETSGYFFNALLTILQCADHPGKYFARVLRKAMKGLGTDDPTLIRVIVTRAEIDMQYIKAEYRNQYGKSLHDAVQSDTSGHYKAFLLYLLGTNP >ONI31901 pep chromosome:Prunus_persica_NCBIv2:G1:31834273:31835736:-1 gene:PRUPE_1G337800 transcript:ONI31901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIIPPMPPSARDDALHLYRAFKGFGCDTAAVINILGHRNATQRAAIQKEYHATYSEDLNKRLSSELSGELKRAVIMWMPDPATRDANIVRHALEGDVDLKAATEVICSRTPSQMRQFKQIYFSSFDVDLEDDLATKLSSGDHKKLLVAYISVPRYEGPEYDQAMVQKDAELLYKAGEKKLGTDEKVFIQIFSERSRAHLVAVGSAYQSLYGHSLEKAITKETSGYFFNALLTILQCADHPGFTQGNEGFGYG >ONI33198 pep chromosome:Prunus_persica_NCBIv2:G1:35881093:35882525:1 gene:PRUPE_1G410500 transcript:ONI33198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLRRCTNDVVHLGLSPSPTPPSPPGPPSNNPSSLSIDVAESAETRIRRLISEHPVIIFSRTSCCMCHVMKKLLATIGVHPTVIELDDHEIAALPSSSSDDNNNEEQQHQQQPCNTPPAVFIGGTCVGGLESLVALHLSGHLVPKLVQVGVLWCRIRDMFAELRTSIASDPRPTTSI >ONI33199 pep chromosome:Prunus_persica_NCBIv2:G1:35881280:35881910:1 gene:PRUPE_1G410500 transcript:ONI33199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLRRCTNDVVHLGLSPSPTPPSPPGPPSNNPSSLSIDVAESAETRIRRLISEHPVIIFSRTSCCMCHVMKKLLATIGVHPTVIELDDHEIAALPSSSSDDNNNEEQQHQQQPCNTPPAVFIGGTCVGGLESLVALHLSGHLVPKLVQVGVLWGKK >ONI29061 pep chromosome:Prunus_persica_NCBIv2:G1:15304141:15307207:1 gene:PRUPE_1G178400 transcript:ONI29061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAILSSHGGHRSKAHTLFLPLFLTIEILSLSSLLAEANQASSPSHFSKFSRGGPSKEANNNILSFCKKTPHQAACESIFISSSSKTSTTYKEAPQTLENLFVHSVEFSISKAHLARALAYNFAISHRQNAHQPHLLGGINDCLELLEDSIDSLDNVIRKHDKSTKSSTYGHVDDDVQTWLSAALTNQETCLESLENDNSKVDKGLMETSAKNLSQFISNSLSLFMMTSRTKNTNPRPNVVGGRRLLSDDFPTWVSGTERKLLEGSVDELEAHAVVALDGSGTHKSIGEALGLVASLEESGTTGGRSVIHVKAGTYYEYIKIPTKQKNVMLIGDGLGKTIIVGSRSSEAGWTTYQSATVAAMGDGFIARDITIVNSAGPAKQQAVALRVGADKSVIFRCSIVGYQDTLYTHSKRQFYRETQIYGTVDFIFGNSAVVFQNCNIFARKPASSGHKNFVTAQGRSSPDQNTGISIHNCKISAASDLAPVKSNYETYLGRPWKQYSRTVVMQSYLDESINRAGWSPWAGGFGLTTLYYGEYLNFGPGASTSGRVQWPGYHASITTTVAQGFTVGGFISGNLWLPSTGVSFDSGLIS >ONI30935 pep chromosome:Prunus_persica_NCBIv2:G1:28520327:28523690:1 gene:PRUPE_1G282600 transcript:ONI30935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFASNAITSIGLTRSSSEPSRVCLDSSDDEVCSNSSQEEGLECPICLESFNIVENVPHVLWCGHTLCRNCVLGLQWALFKFSSQKFKIPFFIACPWCHLLSLRLVYKGNLKFPRKNFFLLWMIESFNGERVKFGSALSRENQQIGSPRASLAIGNQTGNGNLRRTHSSCPSLSRYNHDDRSSRVERHHFSLQKSLESFIHITSKFPLVVVFLLIVFFAIPGCAIILALYLLLTVVFAIPSFFLLYFSYPILERLVREITS >ONI30934 pep chromosome:Prunus_persica_NCBIv2:G1:28520327:28523690:1 gene:PRUPE_1G282600 transcript:ONI30934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFASNAITSIGLTRSSSEPSRVCLDSSDDEVCSNSSQEEGLECPICLESFNIVENVPHVLWCGHTLCRNCVLGLQWALFKFSSQKFKIPFFIACPWCHLLSLRLVYKGNLKFPRKNFFLLWMIESFNGERVKFGSALSRENQQIGSPRASLAIGNQTGNGNLRRTHSSCPSLSRYNHDDRSSRVERHHFSLQKSLESFIHITSKFPLVVVFLLIVFFAIPGCAIILALYLLLTVVFAIPSFFLLYFSYPILERLVREITS >ONI30936 pep chromosome:Prunus_persica_NCBIv2:G1:28521514:28523690:1 gene:PRUPE_1G282600 transcript:ONI30936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFASNAITSIGLTRSSSEPSRVCLDSSDDEVCSNSSQEEGLECPICLESFNIVENVPHVLWCGHTLCRNCVLGLQWALFKFSSQKFKIPFFIACPWCHLLSLRLVYKGNLKFPRKNFFLLWMIESFNGERVKFGSALSRENQQIGSPRASLAIGNQTGNGNLRRTHSSCPSLSRYNHDDRSSRVERHHFSLQKSLESFIHITSKFPLVVVFLLIVFFAIPGCAIILALYLLLTVVFAIPSFFLLYFSYPILERLVREITS >ONI31665 pep chromosome:Prunus_persica_NCBIv2:G1:30965898:30967440:1 gene:PRUPE_1G324600 transcript:ONI31665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAADNIINPLILSFSTLFLVSILLSFPATTTSDNPCAYPCYPPPTGTGSTTPVTTATPPPPSTQTGSYPPPPTVYNNPTGSGYSSYNPPPSTYNGGGGYGSGANPPPDPILPYFPFYFKNGARGNGDASSATPLITRSSTLFNTIATTNILLVFVFFFFL >ONI29775 pep chromosome:Prunus_persica_NCBIv2:G1:22743238:22745290:-1 gene:PRUPE_1G214100 transcript:ONI29775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISAVGSPPRPLSSSPVGKSSHPVRRFALTFSLMRQRQRRPQSLLVPEPEKFSDSGAVGGSNPIERRSFVFSSIGIVAAAFCNASKDGVALASQFADMPALRGKDYGKSKMSYPDYTETASGLQYKDLRLGDGPKPKVGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKAFFKFRVGSQEVIPAFEEAVSGMALGGIRRIIVPPELGYPENDYNKSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELIKIIPN >ONI29776 pep chromosome:Prunus_persica_NCBIv2:G1:22743482:22745290:-1 gene:PRUPE_1G214100 transcript:ONI29776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISAVGSPPRPLSSSPVGKSSHPVRRFALTFSLMRQRQRRPQSLLVPEPEKFSDSGGSNPIERRSFVFSSIGIVAAAFCNASKDGVALASQFADTLRGKDYGKSKMSYPDYTETASGLQYKDLRLGDGPKPKVGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKAFFKFRVGSQEVIPAFEEAVSGMALGGIRSDAGS >ONI29777 pep chromosome:Prunus_persica_NCBIv2:G1:22743482:22745290:-1 gene:PRUPE_1G214100 transcript:ONI29777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISAVGSPPRPLSSSPVGKSSHPVRRFALTFSLMRQRQRRPQSLLVPEPEKFSDSGGSNPIERRSFVFSSIGIVAAAFCNASKDGVALASQFADMPALRGKDYGKSKMSYPDYTETASGLQYKDLRLGDGPKPKVGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKAFFKFRVGSQEVIPAFEEAVSGMALGGIRSDAGS >ONI29778 pep chromosome:Prunus_persica_NCBIv2:G1:22743482:22745290:-1 gene:PRUPE_1G214100 transcript:ONI29778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISAVGSPPRPLSSSPVGKSSHPVRRFALTFSLMRQRQRRPQSLLVPEPEKFSDSGAVGGSNPIERRSFVFSSIGIVAAAFCNASKDGVALASQFADMPALRGKDYGKSKMSYPDYTETASGLQYKDLRLGDGPKPKVGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKAFFKFRVGSQEVIPAFEEAVSGMALGGIRSDAGS >ONI29774 pep chromosome:Prunus_persica_NCBIv2:G1:22742737:22745433:-1 gene:PRUPE_1G214100 transcript:ONI29774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISAVGSPPRPLSSSPVGKSSHPVRRFALTFSLMRQRQRRPQSLLVPEPEKFSDSGGSNPIERRSFVFSSIGIVAAAFCNASKDGVALASQFADMPALRGKDYGKSKMSYPDYTETASGLQYKDLRLGDGPKPKVGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKAFFKFRVGSQEVIPAFEEAVSGMALGGIRRIIVPPELGYPENDYNKSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELIKIIPN >ONI29773 pep chromosome:Prunus_persica_NCBIv2:G1:22742737:22745433:-1 gene:PRUPE_1G214100 transcript:ONI29773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISAVGSPPRPLSSSPVGKSSHPVRRFALTFSLMRQRQRRPQSLLVPEPEKFSDSGGSNPIERRSFVFSSIGIVAAAFCNASKDGVALASQFADTLRGKDYGKSKMSYPDYTETASGLQYKDLRLGDGPKPKVGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKAFFKFRVGSQEVIPAFEEAVSGMALGGIRRIIVPPELGYPENDYNKSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELIKIIPN >ONI35345 pep chromosome:Prunus_persica_NCBIv2:G1:43410880:43413132:-1 gene:PRUPE_1G531000 transcript:ONI35345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNRVEAERLLGIAEKLLHSRDLSSCRDFAILAQETEPLLEGSDQILAVADVLLAADKRVNNHHDWYAVLQVDRRSEDQDLIKRSYRRLALLLHPDKNKYAYAEHAFKLVADAWAVLSDPTRKPIYDNELGPFSRVDLSAPNSNKLPVRRVNRSRNDADLTNDGEHHQQQRSRLSTFWTTCPYCYVLYEYPRVYENCCLRCQNCKRGFEAVVVPNLPPLVQGQEAYYCCWAFFPMGFVGGTHSNGGKGKAAPAAAAAAFPNWMPPVFSTTPPQSKTPVAATAVPVVANSGGVMDVSDGNPDLGTNQKKRGRPRKVI >ONI33139 pep chromosome:Prunus_persica_NCBIv2:G1:35747334:35753338:1 gene:PRUPE_1G408000 transcript:ONI33139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIPDFSAEAMENYGLVTYRDAALLFDEQHSAASNKQNVAVAVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDNLFPEWQIWTQFLDECTGGLRLDALEESHPIEVEINHADEVDEIFDDISYTKGAFLILMLQSYLGAECFQRSLASYIRKHASSNAKTEDLWAALEEGSGEPVNKLMNSWTQQKGYPVVSIKIKDQKLEFDQTHFLSSGSQGDGQWIVPITLCCGSYNVRKSFLLQTKSRTLDIKEFLGCSISETGSRGSNENNALCSWIKVNVDQTGFYRVKYDEELADKLRNAIENKYLSATDRFGVLDDSFALSMACQQSFASLLTLLDAYREELDFTVLSNLITISYKLARIAADAVPELLDLINQFLIGLLQYSAQKLGWDPKPGESHLDAMLRGEILAALAVFGHDLTINEASRRFHAFLDDRNTALLPADIRKAVYVAVMRRVSTSNRSGYESLLRVYRETDLSQEKNRILSSLASCPDPIITLEVLNFLLTSEVRSQDAIMGLYVSSKGRETAWTWLKDNWEHISNTWSSGFLMTCFVTAIVSPFASIEKVKEIDEFFKARPNPSITRTLKQSIERVRINAKWVQSVDSEKNLADVVKELVHRKY >ONI33138 pep chromosome:Prunus_persica_NCBIv2:G1:35747021:35753338:1 gene:PRUPE_1G408000 transcript:ONI33138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVEEKVDGHLKTVSYLESPIMSTYLVAIVVGLFDYVEDHTSDGVKVRVYCQVGKANQGKFALSVAVRTLELYKEYFAVPYSLPKLDMVAIPDFSAEAMENYGLVTYRDAALLFDEQHSAASNKQNVAVAVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDNLFPEWQIWTQFLDECTGGLRLDALEESHPIEVEINHADEVDEIFDDISYTKGAFLILMLQSYLGAECFQRSLASYIRKHASSNAKTEDLWAALEEGSGEPVNKLMNSWTQQKGYPVVSIKIKDQKLEFDQTHFLSSGSQGDGQWIVPITLCCGSYNVRKSFLLQTKSRTLDIKEFLGCSISETGSRGSNENNALCSWIKVNVDQTGFYRVKYDEELADKLRNAIENKYLSATDRFGVLDDSFALSMACQQSFASLLTLLDAYREELDFTVLSNLITISYKLARIAADAVPELLDLINQFLIGLLQYSAQKLGWDPKPGESHLDAMLRGEILAALAVFGHDLTINEASRRFHAFLDDRNTALLPADIRKAVYVAVMRRVSTSNRSGYESLLRVYRETDLSQEKNRILSSLASCPDPIITLEVLNFLLTSEVRSQDAIMGLYVSSKGRETAWTWLKDNWEHISNTWSSGFLMTCFVTAIVSPFASIEKVKEIDEFFKARPNPSITRTLKQSIERVRINAKWVQSVDSEKNLADVVKELVHRKY >ONI33137 pep chromosome:Prunus_persica_NCBIv2:G1:35746996:35753338:1 gene:PRUPE_1G408000 transcript:ONI33137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFKGQPRLPIFAVPKQYDIRLKPDLTACKFGGSVAVDLDIVADTRFIVLNAAKLSVNAGSVSFTHQDSSKVFNPSKLEIFEEDGILVLEFGEKLPFGLGVLAISFEGILNDNMKGFYRSTYEHNAEKKNMAVTQFEPVDARRCFPCWDEPAWKATFKITLADVPSELVTLSNMPVVEEKVDGHLKTVSYLESPIMSTYLVAIVVGLFDYVEDHTSDGVKVRVYCQVGKANQGKFALSVAVRTLELYKEYFAVPYSLPKLDMVAIPDFSAEAMENYGLVTYRDAALLFDEQHSAASNKQNVAVAVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDNLFPEWQIWTQFLDECTGGLRLDALEESHPIEVEINHADEVDEIFDDISYTKGAFLILMLQSYLGAECFQRSLASYIRKHASSNAKTEDLWAALEEGSGEPVNKLMNSWTQQKGYPVVSIKIKDQKLEFDQTHFLSSGSQGDGQWIVPITLCCGSYNVRKSFLLQTKSRTLDIKEFLGCSISETGSRGSNENNALCSWIKVNVDQTGFYRVKYDEELADKLRNAIENKYLSATDRFGVLDDSFALSMACQQSFASLLTLLDAYREELDFTVLSNLITISYKLARIAADAVPELLDLINQFLIGLLQYSAQKLGWDPKPGESHLDAMLRGEILAALAVFGHDLTINEASRRFHAFLDDRNTALLPADIRKAVYVAVMRRVSTSNRSGYESLLRVYRETDLSQEKNRILSSLASCPDPIITLEVLNFLLTSEVRSQDAIMGLYVSSKGRETAWTWLKDNWEHISNTWSSGFLMTCFVTAIVSPFASIEKVKEIDEFFKARPNPSITRTLKQSIERVRINAKWVQSVDSEKNLADVVKELVHRKY >ONI31571 pep chromosome:Prunus_persica_NCBIv2:G1:30703576:30706023:1 gene:PRUPE_1G320000 transcript:ONI31571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSCASISNLNFIRSRSHNCFQRLGPMRNQLGSVRVHHSRRPRLELNGLRSRKSLRNDVVCFAVDDDLREKQQEFGAGSGIGSAVEDRPATDVVDGSKEENGSAVYNFLYPSQELLPDDKEMSIFDHLEELRQRIFISVLAVGVSMLGCFAYSKELIIFLEAPVKDQGVKFLQLAPGEFFFTTLKVSGYCGLLLGSPIILYELIAFVLPGLTREERKFLGPIVLGSSVLFYAGISFSYFVLTPAALNFFVTYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQFLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVVTPSTDPLTQVLLAAPLLGLYFGGAWVVKLTGR >ONI34694 pep chromosome:Prunus_persica_NCBIv2:G1:41028227:41034496:-1 gene:PRUPE_1G493800 transcript:ONI34694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEEEKQTAKPVFDEEAASALAKELRATFASAKTRSYQWRESQLKSLLKLTTSNEPAIVDALRSDLSKPELESQVYEISMLKNSCKLALKELRNWMKPEKAKTSLTTFPSSAEIVSEPLGVILIISAWNYPFLLSLDPVVGAIAAGNAVVLKPSELAPATSSLLAKLVGEYMDSSSIRVVEGAVAETSALLEQKWDKICYTGNGRVGRIVMTSAAKHLTPVLLELGGKSPVVVDSGINLQVATRRIIVGKWGCNNGQACISPDYIVTTKDFAPKLVDSLKCELENFYGKNPLESKDLSRIVNSNHFARLIKLLDEDKVSGKIVLGGERDKTNLRIAPTILLDVSRDSLIMNEEIFGPLLPILTLAVPSLPFGGVGESGMGAYHGKFSFDAFSHKKAVVYRGFAGDASVRYPPYTKGKLRLLKALIGGGILSIIRALFGWSKA >ONI34692 pep chromosome:Prunus_persica_NCBIv2:G1:41028227:41037620:-1 gene:PRUPE_1G493800 transcript:ONI34692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVEEQKVHFDSKDALTMVEELRGSYGSGKTRSYEWRESQLKNILKVAANHEQEIVDALRSDLSKPEFEAYVQEISMLKNSCKLALKELRNWMKPEKAKTSLTTFPSSAEIVSEPLGVILIISAWNYPFLLSLDPVVGAIAAGNAVVLKPSELAPATSSLLAKLVGEYMDSSSIRVVEGAVAETSALLEQKWDKICYTGNGRVGRIVMTSAAKHLTPVLLELGGKSPVVVDSGINLQVATRRIIVGKWGCNNGQACISPDYIVTTKDFAPKLVDSLKCELENFYGKNPLESKDLSRIVNSNHFARLIKLLDEDKVSGKIVLGGERDKTNLRIAPTILLDVSRDSLIMNEEIFGPLLPILTLAVPSLPFGGVGESGMGAYHGKFSFDAFSHKKAVVYRGFAGDASVRYPPYTKGKLRLLKALIGGGILSIIRALFGWSKA >ONI34695 pep chromosome:Prunus_persica_NCBIv2:G1:41028227:41034695:-1 gene:PRUPE_1G493800 transcript:ONI34695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEEEKQTAKPVFDEEAASALAKELRATFASAKTRSYQWRESQLKSLLKLTTSNEPAIVDALRSDLSKPELESQVYEISMLKNSCKLALKELRNWMKPEKAKTSLTTFPSSAEIVSEPLGVILIISAWNYPFLLSLDPVVGAIAAGNAVVLKPSELAPATSSLLAKLVGEYMDSSSIRVVEGAVAETSALLEQKWDKICYTGNGRVGRIVMTSAAKHLTPVLLELGGKSPVVVDSGINLQVATRRIIVGKWGCNNGQACISPDYIVTTKDFAPKLVDSLKCELENFYGKNPLESKDLSRIVNSNHFARLIKLLDEDKVSGKIVLGGERDKTNLRIAPTILLDVSRDSLIMNEEIFGPLLPILTVDRVEESFDLINSGTKPLAAYLFTNNKKLKEHFVRTVSAGGLVVNDTTIHLAVPSLPFGGVGESGMGAYHGKFSFDAFSHKKAVVYRGFAGDASVRYPPYTKGKLRLLKALIGGGILSIIRALFGWSKA >ONI34693 pep chromosome:Prunus_persica_NCBIv2:G1:41028624:41037298:-1 gene:PRUPE_1G493800 transcript:ONI34693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVEEQKVHFDSKDALTMVEELRGSYGSGKTRSYEWRESQLKNILKVAANHEQEIVDALRSDLSKPEFEAYVQEISMLKNSCKLALKELRNWMKPEKAKTSLTTFPSSAEIVSEPLGVILIISAWNYPFLLSLDPVVGAIAAGNAVVLKPSELAPATSSLLAKLVGEYMDSSSIRVVEGAVAETSALLEQKWDKICYTGNGRVGRIVMTSAAKHLTPVLLELGGKSPVVVDSGINLQVATRRIIVGKWGCNNGQACISPDYIVTTKDFAPKLVDSLKCELENFYGKNPLESKDLSRIVNSNHFARLIKLLDEDKVSGKIVLGGERDKTNLRIAPTILLDVSRDSLIMNEEIFGPLLPILTVDRVEESFDLINSGTKPLAAYLFTNNKKLKEHFVRTVSAGGLVVNDTTIHLAVPSLPFGGVGESGMGAYHGKFSFDAFSHKKAVVYRGFAGDASVRYPPYTKGKLRLLKALIGGGILSIIRALFGWSKA >ONI32060 pep chromosome:Prunus_persica_NCBIv2:G1:32402193:32417430:1 gene:PRUPE_1G346700 transcript:ONI32060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNARRIKCRNQRWVLQLSKYSIRPNYIDQGSSQSLVHKTVSGNHGSHGSFIRSRLIDSFSLRSVVPGYSCTGLLVRSNTCFKGSQLRPYSSEGDGRNASENNRVPVKSDADVDKGKTSQEKAREDARHFDAHALLGEQDQKEWLNSQKLTNDSKKKESPFLTRREKFKNEFSRRIVPWEKITVSWDTFPYYIHEPTKNLLVECAAAHFKHKNFTSTYGSRLTSSSGRILLQSAPGTELYRERLVRALAKDLQVPLLVLDSSVLAPYDFGDDCQSESESDDDVEESTSDSENEDDVNHEEDWTSSNEAKSDISDKDEDDAHARAEAALKKLVPIEDFAKMVSGESESSSESSKSEAAETSDKSKRPLKKGDRVKYIGPSLHVEADNRPLPKGQRGEVYEVSGDRVAVLLDVKEKTGSEVDKEEKEGEQPANPPVYWILAKDIEHVPDTQTEDCYIAMEALSEVLHAKQPLIVYFPDSSQWLSRAVPKSDRKDFVSKVQEIFDGLSGPVVLICGQNKIESGSKEKEKFTMILPNFGRLAKLPLSLKRLTEGLKATKRSDDNEIYKLFTNVFCLYPPKEEEELQTFNKQVEEDGRIVISRSNLNELHEVLEENELSCTDLLLVDTDGVILTKRKAEKVVGWAKNHYLSSCLLPSIKGERLHLPRKSLEIAISRLKEQETLSRKPSQNLKNLAKDEYESNFVSAVVPPGEIGVRFDDIGALEDVKRALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILRIFLAQENLEPGFQFEKLSHATEGYSGSDLKNLCIAAAYRPVQELLEDEKKESRSDVSPALRPLNLDDFIQSKAKVGPSISYDASSMNELRKWNEQYGEGGSRRKSPFGF >ONI32057 pep chromosome:Prunus_persica_NCBIv2:G1:32402604:32417429:1 gene:PRUPE_1G346700 transcript:ONI32057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNARRIKCRNQRWVLQLSKYSIRPNYIDQGSSQSLVHKTVSGNHGSHGSFIRSRLIDSFSLRSVVPGYSCTGLLVRSNTCFKGSQLRPYSSEGDGRNASENNRVPVKSDADVDKGKTSQEKAREDARHFDAHALLGEQDQKEWLNSQKLTNDSKKKESPFLTRREKFKNEFSRRIVPWEKITVSWDTFPYYIHEPTKNLLVECAAAHFKHKNFTSTYGSRLTSSSGRILLQSAPGTELYRERLVRALAKDLQVPLLVLDSSVLAPYDFGDDCQSESESDDDVEESTSDSENEDDVNHEEDWTSSNEAKSDISDKDEDDAHARAEAALKKLVPIEDFAKMVSGESESSSESSKSEAAETSDKSKRPLKKGDRVKYIGPSLHVEADNRVLLGKISTSDGPRNAYTIFRGRPLPKGQRGEVYEVSGDRVAVLLDVKEKTGSEVDKEEKEGEQPANPPVYWILAKDIEHVPDTQTEDCYIAMEALSEVLHAKQPLIVYFPDSSQWLSRAVPKSDRKDFVSKVQEIFDGLSGPVVLICGQNKIESGSKEKEKFTMILPNFGRLAKLPLSLKRLTEGLKATKRSDDNEIYKLFTNVFCLYPPKEEEELQTFNKQVEEDGRIVISRSNLNELHEVLEENELSCTDLLLVDTDGVILTKRKAEKVVGWAKNHYLSSCLLPSIKGERLHLPRKSLEIAISRLKEQETLSRKPSQNLKNLAKDEYESNFVSAVVPPGEIGVRFDDIGALEDVKRALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILRIFLAQENLEPGFQFEKLSHATEGYSGSDLKNLCIAAAYRPVQELLEDEKKESRSDVSPALRPLNLDDFIQSKAKVGPSISYDASSMNELRKWNEQYGEGGSRRKSPFGF >ONI32055 pep chromosome:Prunus_persica_NCBIv2:G1:32402202:32417430:1 gene:PRUPE_1G346700 transcript:ONI32055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNARRIKCRNQRWVLQLSKYSIRPNYIDQGSSQSLVHKTVSGNHGSHGSFIRSRLIDSFSLRSVVPGYSCTGLLVRSNTCFKGSQLRPYSSEGDGRNASENNRVPVKSDADVDKGKTSQEKAREDARHFDAHALLGEQDQKEWLNSQKLTNDSKKKESPFLTRREKFKNEFSRRIVPWEKITVSWDTFPYYIHEPTKNLLVECAAAHFKHKNFTSTYGSRLTSSSGRILLQSAPGTELYRERLVRALAKDLQVPLLVLDSSVLAPYDFGDDCQSESESDDDVEESTSDSENEDDVNHEEDWTSSNEAKSDISDKDEDDAHARAEAALKKLVPIEDFAKMVSGESESSSESSKSEAAETSDKSKRPLKKGDRVKYIGPSLHVEADNRVLLGKISTSDGPRNAYTIFRGRPLPKGQRGEVYEVSGDRVAVLLDVKEKTGSEVDKEEKEGEQPANPPVYWILAKDIEHVPDTQTEDCYIAMEALSEVLHAKQPLIVYFPDSSQWLSRAVPKSDRKDFVSKVQEIFDGLSGPVVLICGQNKIESGSKEKEKFTMILPNFGRLAKLPLSLKRLTEGLKATKRSDDNEIYKLFTNVFCLYPPKEEEELQTFNKQVEEDGRIVISRSNLNELHEVLEENELSCTDLLLVDTDGVILTKRKAEKVVGWAKNHYLSSCLLPSIKGERLHLPRKSLEIAISRLKEQETLSRKPSQNLKNLAKDEYESNFVSAVVPPGEIGVRFDDIGALEDVKRALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILRIFLAQENLEPGFQFEKLSHATEGYSGSDLKNLCIAAAYRPVQELLEDEKKESRSDVSPALRPLNLDDFIQSKAKVGPSISYDASSMNELRKWNEQYGEGGSRRKSPFGF >ONI32059 pep chromosome:Prunus_persica_NCBIv2:G1:32402202:32417430:1 gene:PRUPE_1G346700 transcript:ONI32059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNARRIKCRNQRWVLQLSKYSIRPNYIDQGSSQSLVHKTVSGNHGSHGSFIRSRLIDSFSLRSVVPGYSCTGLLVRSNTCFKGSQLRPYSSEGDGRNASENNRVPVKSDADVDKGKTSQEKAREDARHFDAHALLGEQDQKEWLNSQKLTNDSKKKESPFLTRREKFKNEFSRRIVPWEKITVSWDTFPYYIHEPTKNLLVECAAAHFKHKNFTSTYGSRLTSSSGRILLQSAPGTELYRERLVRALAKDLQVPLLVLDSSVLAPYDFGDDCQSESESDDDVEESTSDSENEDDVNHEEDWTSSNEAKSDISDKDEDDAHARAEAALKKLVPIEDFAKMVSGESESSSESSKSEAAETSDKSKRPLKKGDRVKYIGPSLHVEADNRPLPKGQRGEVYEVSGDRVAVLLDVKEKTGSEVDKEEKEGEQPANPPVYWILAKDIEHVPDTQTEDCYIAMEALSEVLHAKQPLIVYFPDSSQWLSRAVPKSDRKDFVSKVQEIFDGLSGPVVLICGQNKIESGSKEKEKFTMILPNFGRLAKLPLSLKRLTEGLKATKRSDDNEIYKLFTNVFCLYPPKEEEELQTFNKQVEEDGRIVISRSNLNELHEVLEENELSCTDLLLVDTDGVILTKRKAEKVVGWAKNHYLSSCLLPSIKGERLHLPRKSLEIAISRLKEQETLSRKPSQNLKNLAKDEYESNFVSAVVPPGEIGVRFDDIGALEDVKRALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILRIFLAQENLEPGFQFEKLSHATEGYSGSDLKNLCIAAAYRPVQELLEDEKKESRSDVSPALRPLNLDDFIQSKAKVGPSISYDASSMNELRKWNEQYGEGGSRRKSPFGF >ONI32058 pep chromosome:Prunus_persica_NCBIv2:G1:32402604:32417429:1 gene:PRUPE_1G346700 transcript:ONI32058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNARRIKCRNQRWVLQLSKYSIRPNYIDQGSSQSLVHKTVSGNHGSHGSFIRSRLIDSFSLRSVVPGYSCTGLLVRSNTCFKGSQLRPYSSEGDGRNASENNRVPVKSDADVDKGKTSQEKAREDARHFDAHALLGEQDQKEWLNSQKLTNDSKKKESPFLTRREKFKNEFSRRIVPWEKITVSWDTFPYYIHEPTKNLLVECAAAHFKHKNFTSTYGSRLTSSSGRILLQSAPGTELYRERLVRALAKDLQVPLLVLDSSVLAPYDFGDDCQSESESDDDVEESTSDSENEDDVNHEEDWTSSNEAKSDISDKDEDDAHARAEAALKKLVPIEDFAKMVSGESESSSESSKSEAAETSDKSKRPLKKGDRVKYIGPSLHVEADNRPLPKGQRGEVYEVSGDRVAVLLDVKEKTGSEVDKEEKEGEQPANPPVYWILAKDIEHVPDTQTEDCYIAMEALSEVLHAKQPLIVYFPDSSQWLSRAVPKSDRKDFVSKVQEIFDGLSGPVVLICGQNKIESGSKEKEKFTMILPNFGRLAKLPLSLKRLTEGLKATKRSDDNEIYKLFTNVFCLYPPKEEEELQTFNKQVEEDGRIVISRSNLNELHEVLEENELSCTDLLLVDTDGVILTKRKAEKVVGWAKNHYLSSCLLPSIKGERLHLPRKSLEIAISRLKEQETLSRKPSQNLKNLAKDEYESNFVSAVVPPGEIGVRFDDIGALEDVKRALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILRIFLAQENLEPGFQFEKLSHATEGYSGSDLKNLCIAAAYRPVQELLEDEKKESRSDVSPALRPLNLDDFIQSKAKVGPSISYDASSMNELRKWNEQYGEGGSRRKSPFGF >ONI32056 pep chromosome:Prunus_persica_NCBIv2:G1:32402200:32417429:1 gene:PRUPE_1G346700 transcript:ONI32056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNARRIKCRNQRWVLQLSKYSIRPNYIDQGSSQSLVHKTVSGNHGSHGSFIRSRLIDSFSLRSVVPGYSCTGLLVRSNTCFKGSQLRPYSSEGDGRNASENNRVPVKSDADVDKGKTSQEKAREDARHFDAHALLGEQDQKEWLNSQKLTNDSKKKESPFLTRREKFKNEFSRRIVPWEKITVSWDTFPYYIHEPTKNLLVECAAAHFKHKNFTSTYGSRLTSSSGRILLQSAPGTELYRERLVRALAKDLQVPLLVLDSSVLAPYDFGDDCQSESESDDDVEESTSDSENEDDVNHEEDWTSSNEAKSDISDKDEDDAHARAEAALKKLVPIEDFAKMVSGESESSSESSKSEAAETSDKSKRPLKKGDRVKYIGPSLHVEADNRVLLGKISTSDGPRNAYTIFRGRPLPKGQRGEVYEVSGDRVAVLLDVKEKTGSEVDKEEKEGEQPANPPVYWILAKDIEHVPDTQTEDCYIAMEALSEVLHAKQPLIVYFPDSSQWLSRAVPKSDRKDFVSKVQEIFDGLSGPVVLICGQNKIESGSKEKEKFTMILPNFGRLAKLPLSLKRLTEGLKATKRSDDNEIYKLFTNVFCLYPPKEEEELQTFNKQVEEDGRIVISRSNLNELHEVLEENELSCTDLLLVDTDGVILTKRKAEKVVGWAKNHYLSSCLLPSIKGERLHLPRKSLEIAISRLKEQETLSRKPSQNLKNLAKDEYESNFVSAVVPPGEIGVRFDDIGALEDVKRALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRMKILRIFLAQENLEPGFQFEKLSHATEGYSGSDLKNLCIAAAYRPVQELLEDEKKESRSDVSPALRPLNLDDFIQSKAKVGPSISYDASSMNELRKWNEQYGEGGSRRKSPFGF >ONI27569 pep chromosome:Prunus_persica_NCBIv2:G1:7466454:7472826:-1 gene:PRUPE_1G095100 transcript:ONI27569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAYLALSRLGIYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKILRYTQYASVGFAIVQAIGQVFYLRPYVNDFSTEWVLSSVTLLTLGSVLTTYIGERISDLKLGNGTSLLIFTSIISYFPASVGRTVAQAFQDGNYVGLAAITVSFFLLVLGIVYVQEAERKIPLNYASRYNSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLAALKNTAVALNPGGSLYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSAFLAILAAGPSVIEQVTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYNIDKFGP >ONI27568 pep chromosome:Prunus_persica_NCBIv2:G1:7465967:7474504:-1 gene:PRUPE_1G095100 transcript:ONI27568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVRGALSSSPLCFSNPHSHSFSYLTSKASPRLKRSVCKASFSVQRKPNTNSTTSWKLGVLSNRTEAPVFDPLGINSDCNSGLNATWESFLGLLSQKLGSASSSKKEKPTSARGLAAAIEDSSIDFGDFFRGPLPGKFLMLLAYLALSRLGIYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKILRYTQYASVGFAIVQAIGQVFYLRPYVNDFSTEWVLSSVTLLTLGSVLTTYIGERISDLKLGNGTSLLIFTSIISYFPASVGRTVAQAFQDGNYVGLAAITVSFFLLVLGIVYVQEAERKIPLNYASRYNSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLAALKNTAVALNPGGSLYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSAFLAILAAGPSVIEQVTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYNIDKFGP >ONI27570 pep chromosome:Prunus_persica_NCBIv2:G1:7465967:7474484:-1 gene:PRUPE_1G095100 transcript:ONI27570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAYLALSRLGIYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKILRYTQYASVGFAIVQAIGQVFYLRPYVNDFSTEWVLSSVTLLTLGSVLTTYIGERISDLKLGNGTSLLIFTSIISYFPASVGRTVAQAFQDGNYVGLAAITVSFFLLVLGIVYVQEAERKIPLNYASRYNSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLAALKNTAVALNPGGSLYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSAFLAILAAGPSVIEQVTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYNIDKFGP >ONI27572 pep chromosome:Prunus_persica_NCBIv2:G1:7465956:7474576:-1 gene:PRUPE_1G095100 transcript:ONI27572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITVRGALSSSPLCFSNPHSHSFSYLTSKASPRLKRSVCKASFSVQRKPNTNSTTSWKLGVLSNRTEAPVFDPLGINSDCNSGLNATWESFLGLLSQKLGSASSSKKEKPTSARGLAAAIEDSSIDFGDFFRGPLPGKFLMLLAYLALSRLGIYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKILRYTQYASVGFAIVQAIGQVFYLRPYVNDFSTEWVLSSVTLLTLGSVLTTYIGERISDLKLGNGTSLLIFTSIISYFPASVGRTVAQAFQDGNYVGLAAITVSFFLLVLGIVYVQEAERKIPLNYASRYNSSRSGGLQRSAYLPFKVLSISPPTSS >ONI27571 pep chromosome:Prunus_persica_NCBIv2:G1:7466454:7472826:-1 gene:PRUPE_1G095100 transcript:ONI27571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAYLALSRLGIYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKILRYTQYASVGFAIVQAIGQVFYLRPYVNDFSTEWVLSSVTLLTLGSVLTTYIGERISDLKLGNGTSLLIFTSIISYFPASVGRTVAQAFQDGNYVGLAAITVSFFLLVLGIVYVQEAERKIPLNYASRYNSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLAALKNTAVALNPGGSLYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSAFLAILAAGPSVIEQVTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYNIDKFGP >ONI34247 pep chromosome:Prunus_persica_NCBIv2:G1:39199233:39199982:1 gene:PRUPE_1G470900 transcript:ONI34247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDSYGLGEKSNSIVPCKTLIQVMKYSAPPSGEYMKGLQAHTDKQFSTILCDDQVSGLEFETKDGQWNKLSLSPSSFIFLVGDPLMAWSNGRMHPVKPRAFAVPVEGTIIKAPKELVDEEYPQILKEFEYMDFTKFSYSEEGRAIDSARQVFVFAGISTREQDNGSGRT >ONI31438 pep chromosome:Prunus_persica_NCBIv2:G1:30333168:30335190:-1 gene:PRUPE_1G313100 transcript:ONI31438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQLQSLRAFSVADRTHVTLPSSRVIRTSLHLHRPSISCPTTRPRLRSLPTVRSCTALAFDFKGGKGMSEFLDVELKVRDYELDQYGVVNNAVYASYCQHGRHELLERVGISADAVARTGDALALSELSLKFLAPLRSGDKFVIKVRLSGSSAARLYFDHFIFKLPNQEPILEAKATAVWLDKSYRPVRIPPEVKSKFVQFLRHEES >ONI35486 pep chromosome:Prunus_persica_NCBIv2:G1:44051758:44057430:-1 gene:PRUPE_1G538900 transcript:ONI35486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFLLRQAYALYLVSSTIEPDLLIFISSLSSSPLLLWTPPTLKFPLILASLRLHSPSFALFYCLYGFRAPNFAASGRNIRFVFELTVCLAAEKILRNYKRKRRWNWESCNYGLITCPTRLKVDIMKEGDFVSETRTWALKSAYQKLILKCGICDLPS >ONI27628 pep chromosome:Prunus_persica_NCBIv2:G1:7762805:7765680:1 gene:PRUPE_1G097300 transcript:ONI27628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSPHPLPTQFSVSPASLRVRRPPITACQLCTHKQSVRQFDQSILTVAEGSSESELWAAACLRVRSFYHFKPSMFGLQDHRRYLAERELEAMKERVGGKRKGFRKVSCINATVPLSQISSPSVSDDFCSSCKFNNNGEDRVVVGTLDLNQCVSLPDEITGNRPEGIGADFARAYLSNVCVAKELHRNGLGYALVAKSKLVAQEWDNALIFLCFTWCAHSWIVYPKGK >ONI27632 pep chromosome:Prunus_persica_NCBIv2:G1:7763280:7765460:1 gene:PRUPE_1G097300 transcript:ONI27632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERVGGKRKGFRKVSCINATVPLSQISSPSVSDDFCSSCKFNNNGEDRVVVGTLDLNQCVSLPDEITGNRPEGIGADFARAYLSNVCVAKELHRNGLGYALVAKSKLVAQEWGISDLYVHVAVDNEPAKKLYMKSGFVYEKDEPAWQARFLDRPRRILLWFGIPGVQL >ONI27630 pep chromosome:Prunus_persica_NCBIv2:G1:7761009:7765680:1 gene:PRUPE_1G097300 transcript:ONI27630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERVGGKRKGFRKVSCINATVPLSQISSPSVSDDFCSSCKFNNNGEDRVVVGTLDLNQCVSLPDEITGNRPEGIGADFARAYLSNVCVAKELHRNGLGYALVAKSKLVAQEWGISDLYVHVAVDNEPAKKLYMKSGFVYEKDEPAWQARFLDRPRRILLWFGIPGVQL >ONI27629 pep chromosome:Prunus_persica_NCBIv2:G1:7762805:7764980:1 gene:PRUPE_1G097300 transcript:ONI27629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSPHPLPTQFSVSPASLRVRRPPITACQLCTHKQSVRQFDQSILTVAEGSSESELWAAACLRVRSFYHFKPSMFGLQDHRRYLAERELEAMKERVGGKRKGFRKVSCINATVPLSQISSPSVSDDFCSSCKGIGADFARAYLSNVCVAKELHRNGLGYALVAKSKLVAQEWDNALIFLCFTWCAHSWIVYPKGK >ONI27624 pep chromosome:Prunus_persica_NCBIv2:G1:7762805:7765680:1 gene:PRUPE_1G097300 transcript:ONI27624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSPHPLPTQFSVSPASLRVRRPPITACQLCTHKQSVRQFDQSILTVAEGSSESELWAAACLRVRSFYHFKPSMFGLQDHRRYLAERELEAMKERVGGKRKGFRKVSCINATVPLSQISSPSVSDDFCSSCKFNNNGEDRVVVGTLDLNQCVSLPDEITGNRPEGIGADFARAYLSNVCVAKELHRNGLGYALVAKSKLVAQEWGISDLYVHVAVDNEPAKKLYMKSGFVYEKDEPAWQARFLDRPRRILLWFGIPGVQL >ONI27631 pep chromosome:Prunus_persica_NCBIv2:G1:7763280:7765460:1 gene:PRUPE_1G097300 transcript:ONI27631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERVGGKRKGFRKVSCINATVPLSQISSPSVSDDFCSSCKFNNNGEDRVVVGTLDLNQCVSLPDEITGNRPEGIGADFARAYLSNVCVAKELHRNGLGYALVAKSKLVAQEWGISDLYVHVAVDNEPAKKLYMKSGFVYEKDEPAWQARFLDRPRRILLWFGIPGVQL >ONI27627 pep chromosome:Prunus_persica_NCBIv2:G1:7762805:7764980:1 gene:PRUPE_1G097300 transcript:ONI27627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSPHPLPTQFSVSPASLRVRRPPITACQLCTHKQSVRQFDQSILTVAEGSSESELWAAACLRVRSFYHFKPSMFGLQDHRRYLAERELEAMKERVGGKRKGFRKVSCINATVPLSQISSPSVSDDFCSSCKFNNNGEDRVVVGTLDLNQCVSLPDEITGNRPEGIGADFARAYLSNVCVAKELHRNGLGYALVAKSKLVAQEWDNALIFLCFTWCAHSWIVYPKGK >ONI27625 pep chromosome:Prunus_persica_NCBIv2:G1:7762805:7765680:1 gene:PRUPE_1G097300 transcript:ONI27625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSPHPLPTQFSVSPASLRVRRPPITACQLCTHKQSVRQFDQSILTVAEGSSESELWAAACLRVRSFYHFKPSMFGLQDHRRYLAERELEAMKERVGGKRKGFRKVSCINATVPLSQISSPSVSDDFCSSCKGIGADFARAYLSNVCVAKELHRNGLGYALVAKSKLVAQEWGISDLYVHVAVDNEPAKKLYMKSGFVYEKDEPAWQARFLDRPRRILLWFGIPGVQL >ONI27626 pep chromosome:Prunus_persica_NCBIv2:G1:7762805:7765680:1 gene:PRUPE_1G097300 transcript:ONI27626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSPHPLPTQFSVSPASLRVRRPPITACQLCTHKQSVRQFDQSILTVAEGSSESELWAAACLRVRSFYHFKPSMFGLQDHRRYLAERELEAMKERVGGKRKGFRKVSCINATVPLSQISSPSVSDDFCSSCKFNNNGEDRVVVGTLDLNQCVSLPDEITGNRPEA >ONI33272 pep chromosome:Prunus_persica_NCBIv2:G1:36038054:36043137:-1 gene:PRUPE_1G413900 transcript:ONI33272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSDSVSVDMEGVSLGGKEHLVKTCLGYVSVAVLGDQDKPALVTYPDLALNHMSCFQGLLFCPEACSLLLHNFCIYHISPPGHELGASAISLDDSVYSVDDLVDQIAEVLDFFGLGAVMCMGVTAGAYILTRFAMKYRSRVMGLILVSPICKAASWTEWLYNKVMSNLLYFYGMCGVVKEILLKRYFSKEVRGGVHIPESDIVQACRRSLDERQSSNVWRFLEAMNGRPDISEGLRKLQCRSLIFVGDSSPFHSEALYMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPIEYFLMGYGLYRPSQSSVSPRSPLSPSCIAPELLSPESMGLKLKPIKTRVRDPHERS >ONI29935 pep chromosome:Prunus_persica_NCBIv2:G1:23730079:23736680:1 gene:PRUPE_1G223400 transcript:ONI29935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVRSQRKLIVNDVVSTLPLYRSAPALEVRLEEFELFALDRLRVLKGISDALSRGRKPEEMEKLAIDLWKTNMRHPQASEVINKDKISHFVLRLVYCRTEDLRKWFLSMETALFRYRFKREKQEAQRALMAEFDLPYKAVSSPEFESVKEKLVLVSRSLGQSSPTADDIFFKVPFEEVPELVAGRRVFISKGHAYIAMSQVVSLVATQFRSHLSKALILTNRKWTTTIAEQEKDRLTPIVEALSSSYLGPDYSQLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSRKVGAERFDKEYSYGIRHNYGREGKRTDYTPYSCQKIISSTPGVGDHHGCPYRNFSEENLRAALNKMGVTGRAVGDVMDKVRNRHYQLACTLTFEAVHGSSCDAGINHPNQYFSDSQKIMQSKNQSAA >ONI29934 pep chromosome:Prunus_persica_NCBIv2:G1:23730079:23736680:1 gene:PRUPE_1G223400 transcript:ONI29934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVRSQRKLIVNDVVSTLPLYRSAPALEVRLEEFELFALDRLRVLKGISDALSRGRKPEEMEKLAIDLWKTNMRHPQASEVINKDKISHFVLRLVYCRTEDLRKWFLSMETALFRYRFKREKQEAQRALMAEFDLPYKAVSSPEFESVKEKLVLVSRSLGQSSPTADDIFFKVPFEEVPELVAGRRVFISKGHAYIAMSQVVSLVATQFRSHLSKALILTNRKWTTTIAEQEKDRLTPIVEALSSSYLGPDYSQPKEFAQISLKDIDDVAKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSRKVGAERFDKEYSYGIRHNYGREGKRTDYTPYSCQKIISSTPGVGDHHGCPYRNFSEENLRAALNKMGVTGRAVGDVMDKVRNRHYQLACTLTFEAVHGSSCDAGINHPNQYFSDSQKIMQSKNQSAA >ONI35169 pep chromosome:Prunus_persica_NCBIv2:G1:42680643:42684196:-1 gene:PRUPE_1G520000 transcript:ONI35169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGLTKKPHAVCIPYPAQGHITPMLQLTKLLHYKGFHITFVNTEFNHRRLLKSRGPNSLDGLPSFRFETIPDGLPPTDINATQDVTALCVSTSKNCLAPFRDLLSKLNSLPDSPPVTCIVSDGGMTFTLDAAQELGIPEVILQTLSACGFMCYLQCRPLIEKGLIPLRDASYLTNGYLDTEIDWIPGMRGIRLRDIPSFIRITDPNDFMLDFILVEIARAKRASAIILNTFDALEHEVLDGLSTLLPPVYSVGPLHLQLNHIPADNELKSIGSNLWTEEPVCLDWLDSKEPNSVVYVNFGSITVMTAEQLIEFAWGLANSNQTFFWVVRPDLVGGEAAVVPPEFMEETKERGLLASWCPQEQVLSHAAVGGFLTHSGWNSTLESLCGGVPMICWPFFAEQQTNCRFCCREWGIGMEIEGDVKRNYVEGLVRKLMAGEEGKEMRKKALEWKKLAKEATTGPNGFSFVGLDKLVNQMLLSTRN >ONI34308 pep chromosome:Prunus_persica_NCBIv2:G1:39368045:39370793:1 gene:PRUPE_1G474000 transcript:ONI34308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSLPSTSGVSNLLKIIEKGAGLIYIRDRASSGNKKDSLWLIVAVPLASHLAFVSISLYCFVRWRRWRREDQARRREEGIINPDHEGRGAINMNVGRPKDQELPFFGISTIKAATNDFAKANKLGEDSTKRVLLDWRKRMNIIEGIAQGLLYLHKYSRLRIIHRDMKTSNILLDCDMNPKISDFGMARIFGDNDTRGQTNRVVGTFGYMSPEYAVDGRFSEKSDVFSFGVMLFEIISGKKNIAFFEADHSLNLLGIAWNLWKEGKSMELMDSTLSSSCSSTEVTRCIQMGLLCVQEKAMDRPTMSDVVSMLSNKTMALPLPKEPAFFSRSSDAESSSSRKRCHSGNDITISDVDGR >ONI34307 pep chromosome:Prunus_persica_NCBIv2:G1:39368045:39370793:1 gene:PRUPE_1G474000 transcript:ONI34307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSLPSTSGVSVSSIGISDCQTLCKANCSCAGFASLQNESTCQLYYGSKQNLLKIIEKGAGLIYIRDRASSGNKKDSLWLIVAVPLASHLAFVSISLYCFVRWRRWRREDQARRREEGIINPDHEGRGAINMNVGRPKDQELPFFGISTIKAATNDFAKANKLGEDSTKRVLLDWRKRMNIIEGIAQGLLYLHKYSRLRIIHRDMKTSNILLDCDMNPKISDFGMARIFGDNDTRGQTNRVVGTFGYMSPEYAVDGRFSEKSDVFSFGVMLFEIISGKKNIAFFEADHSLNLLGIAWNLWKEGKSMELMDSTLSSSCSSTEVTRCIQMGLLCVQEKAMDRPTMSDVVSMLSNKTMALPLPKEPAFFSRSSDAESSSSRKRCHSGNDITISDVDGR >ONI34310 pep chromosome:Prunus_persica_NCBIv2:G1:39369510:39371025:1 gene:PRUPE_1G474000 transcript:ONI34310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKSLDSFIFDSTKRVLLDWRKRMNIIEGIAQGLLYLHKYSRLRIIHRDMKTSNILLDCDMNPKISDFGMARIFGDNDTRGQTNRVVGTFGYMSPEYAVDGRFSEKSDVFSFGVMLFEIISGKKNIAFFEADHSLNLLGIAWNLWKEGKSMELMDSTLSSSCSSTEVTRCIQMGLLCVQEKAMDRPTMSDVVSMLSNKTMALPLPKEPAFFSRSSDAESSSSRKRCHSGNDITISDVDGR >ONI34309 pep chromosome:Prunus_persica_NCBIv2:G1:39368723:39371025:1 gene:PRUPE_1G474000 transcript:ONI34309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGRPKDQELPFFGISTIKAATNDFAKANKLGEGGFGPVYKGKLLPQGQEIAVKRLSKISRQGLNEFKNEISVICRLQHRNLVRLLGCCIEAEESILIYEYMPNKSLDSFIFDSTKRVLLDWRKRMNIIEGIAQGLLYLHKYSRLRIIHRDMKTSNILLDCDMNPKISDFGMARIFGDNDTRGQTNRVVGTFGYMSPEYAVDGRFSEKSDVFSFGVMLFEIISGKKNIAFFEADHSLNLLGIAWNLWKEGKSMELMDSTLSSSCSSTEVTRCIQMGLLCVQEKAMDRPTMSDVVSMLSNKTMALPLPKEPAFFSRSSDAESSSSRKRCHSGNDITISDVDGR >ONI31586 pep chromosome:Prunus_persica_NCBIv2:G1:30771899:30778395:1 gene:PRUPE_1G321000 transcript:ONI31586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASTSGTATNVMLAIFEKKTTQIDLYRPLRNYIAFNYSEREAQNLEDDLQTVKQLRSDLERQPDPSLTTRRDLLQNYYKALCLVETRFPISPDKDHINTITFVWQDAFKNKQKASQQNIHLEKAAVLFNLGAVYSQIGLSYDRATVDGRRLASHAFIASAGAFAFLRDNAATKASIGSSTTVDLSVECAGMLERLMLAQAQECVFENTIAKGSTPGVCAKISRQVGLYYEEALAACNVPPLNQHFDRAWISHMQLKAALFYAEACYRYGLELHEKEEIAEEIARLKSGISALSEAKKSTKGAAAQILDAISKLEANLNRNLERAVKENDRVYLMRVPSPSSLPPLPAFSMVKPLAMNEVLDASKEKMFASLVPDSSAKNLSRYTEMVDDIIRTQAEKLQQASELTRVRLKEMDLPESILALEGNFTLPTDLKEDVEAVQISGGPAGLEAELQQLRDLRRVNQEILIHIEELLQKEAREDAQFKSQFGARWTRPQSSTLTKNLQDRLNRFAANLKQAADSDARIERSVREHSALMSILDRRPIESALPTLARPIMSLDANEDAILGALKQSLRQLETLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSYEDLFRKEISKYDHICEDIAQNIEAQEQLLLQIQAQNDEFAAIFNLEDYKASREKCYKQIQAAIAKFREIKDNINEGLKFYVTLQDAITNVKQQCSDFVMTRNIQCQEMIEDVHKQMAGLNFQDAKSTGTYNNSYPSVGHQAQRPVSQQQTDPRPQTPYYHPPEQPAMAGYAHPPPYSSTQQMPSPYVLPPQTGSPYAPSQAGSPYPPPQAGSPYPPPQAQQQPPANHDYGQPAYPGWRGPYYNSHAQQAGSHPRPPYTIPGQYRPPQQGGYYKQQ >ONI31587 pep chromosome:Prunus_persica_NCBIv2:G1:30771750:30778449:1 gene:PRUPE_1G321000 transcript:ONI31587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASTSGTATNVMLAIFEKKTTQIDLYRPLRNYIAFNYSEREAQNLEDDLQTVKQLRSDLERQPDPSLTTRRDLLQNYYKALCLVETRFPISPDKDHINTITFVWQDAFKNKQKASQQNIHLEKAAVLFNLGAVYSQIGLSYDRATVDGRRLASHAFIASAGAFAFLRDNAATKASIGSSTTVDLSVECAGMLERLMLAQAQECVFENTIAKGSTPGVCAKISRQVGLYYEEALAACNVPPLNQHFDRAWISHMQLKAALFYAEACYRYGLELHEKEEIAEEIARLKSGISALSEAKKSTKGAAAQILDAISKLEANLNRNLERAVKENDRVYLMRVPSPSSLPPLPAFSMVKPLAMNEVLDASKEKMFASLVPDSSAKNLSRYTEMVDDIIRTQAEKLQQASELTRVRLKEMDLPESILALEGNFTLPTDLKEDVEAVQISGGPAGLEAELQQLRDLRRVNQEILIHIEELLQKEAREDAQFKSQFGARWTRPQSSTLTKNLQDRLNRFAANLKQAADSDARIERSVREHSALMSILDRRPIESALPTLARPIMSLDANEDAILGALKQSLRQLETLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSYEDLFRKEISKYDHICEDIAQNIEAQEQLLLQIQAQNDEFAAIFNLEDYKASREKCYKQIQAAIAKFREIKDNINEGLKFYVTLQDAITNVKQQCSDFVMTRNIQCQEMIEDVHKQMAGLNFQDAKSTGTYNNSYPSVGHQAQRPVSQQQTDPRPQTPYYHPPEQPAMAGYAHPPPYSSTQQMPSPYVLPPQTGSPYAPSQAGSPYPPPQAGSPYPPPQAQQQPPANHDYGQPAYPGWRGPYYNSHAQQAGSHPRPPYTIPGQYRPPQQGGYYKQQ >ONI32815 pep chromosome:Prunus_persica_NCBIv2:G1:34685539:34687522:-1 gene:PRUPE_1G387700 transcript:ONI32815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSFPLTIISVFVSLIFLLRAFVRKAAVVGSKSREAPEPAGAWPIIGHLHLLGGGDQLLYRTLGAMADKYGSAFNIRLGSRRAFVVSSWEVAKECFTFNDKALASRPTTVAAKHMGYNYAVFGFAPYSPFWREMRKIATIELLSNRRLEMLKHVRTSELDTGIKELQNLWVQNGSSRPVVVELNRWLEELTLNVVVRMVAGKRYFGASAKCDDGDEARRCQKAIGQFFHLIGIFVVSDALPFLWWLDLQGHEKAMKKTAKDLDAILGGWLDEHRQRRVLSHEKGKTEADEDFIDVMLSLQEEGQLSNFQHSSDTSIKSTCLAIILGGSDTTAGTLTWAISLLLNNPHVMKKAQEELELQVGTERQVDESDIKNLVYLQAIIKETLRLYPAGPLLGPREALEDCTVAGYHVPAGTRLVVNVWKIQRDPSVWENPSAFEPERFLTSHGHVDVRGQQFELMPFGSGRRSCPGVSFAMQVLHLTLARLLHAFEFETPLGQRIDMTESPGLTIPKATPLEVLLTPRLPGNLYL >ONI33989 pep chromosome:Prunus_persica_NCBIv2:G1:38332428:38342436:-1 gene:PRUPE_1G457500 transcript:ONI33989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIHFQRTLSLTAPTSSASSFVCSNTLASSALRLRRSMAKLQYRAFETYPCVCLPSSPILTASKYRNYSQLKLKKSGGSCMIMINPAKVGPSFHSFRRSGDGSERAFSNLKPGSLNSLGPGNVISPGSFGSVANYSIGGFAKRFFSQVPHVTSKLESVGAQNGKYSVSTSFFKQLSKRRKREKALAAQSKNEATISSISKDVDVEASTERQIGSEKGDGKLGSFLSNSLIINDNHVPKVKGKKRSKSKKTKEQISAANAPAEAAATQSSKGTSQTKKSGSTKSGKKSAQDSELNFTGKVPVEISDGSSIKPQPKKKSGNSSRKGKSITSDSKSPQKHIPQKMGKVKPQGKMTLKQLYPATGRTVVVVESATKAKVIQGYLGDMFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALTGAENLILASDPDREGEAIAWHIIEMLQQQDALREDINVARVVFHEITETSIKSALQAPREIDENLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALALLCDREMEIDEFKQQEYWTAEIELNQKEPGSSVNAPDFSAHLTHFDSKKLNQFSIDSHTKAKEIEQQINSQNFQVVSSKKNKMRKNPPTPYITSTLQQDAANKLHFSAAYTMKLAQKLYEGVQLSDGKAAGLITYMRTDGLHISDEACKDVRSLVIQRYGQNFASESPRKFFKKVKNAQEAHEAIRPTDVRRLPSLFRGMLDEDSLKLYTLIWCRTVACQMEPATIEQIQLDIGNAGESIVFRSSCSRVEFLGYQAVFEDVEAQAVKYKENEGNSRNEGFGLLNSLKRGDPLYVGKVELKEHHTQPPARYSEASLVKKLEELGIGRPSTYASTLKVLQDRNYVTVKSRVLHPEFRGRMVSAFLGHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSAYCDRTSTVHIHQVEKMMEKKFGDFLFASLPDQSRTCPCCMEGNLIFKVSRFGAGYFIGCDQHPKCKYIAKTLYGDDEEEESTPKNSSMEEPKVLGLSPGSNEKVLLKNGPYGSYVQLGEDRKGNSPKRASVSHIKDVGSITLEDALELMRYPVTLGNHPEDGQPVIIKVARVGFAVRHRRTIASVPKVYKNKKPSEITLEDALELLSSSNVRRSGRPKSKPKVEEEVMLEAV >ONI33988 pep chromosome:Prunus_persica_NCBIv2:G1:38331940:38342572:-1 gene:PRUPE_1G457500 transcript:ONI33988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIHFQRTLSLTAPTSSASSFVCSNTLASSALRLRRSMAKLQYRAFETYPCVCLPSSPILTASKYRNYSQLKLKKSGGSCMIMINPAKVGPSFHSFRRSGDGSERAFSNLKPGSLNSLGPGNVISPGSFGSVANYSIGGFAKRFFSQVPHVTSKLESVGAQNGKYSVSTSFFKQLSKRRKREKALAAQSKNEATISSISKDVDVEASTERQIGSEKGDGKLGSFLSNSLIINDNHVPKVKGKKRSKSKKTKEQISAANAPAEAAATQSSKGTSQTKKSGSTKSGKKSAQDSELNFTGKVPVEISDGSSIKPQPKKKSGNSSRKGKSITSDSKSPQKHIPQKMGKVKPQGKMTLKQLYPATGRTVVVVESATKAKVIQGYLGDMFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALTGAENLILASDPDREGEAIAWHIIEMLQQQDALREDINVARVVFHEITETSIKSALQAPREIDENLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALALLCDREMEIDEFKQQEYWTAEIELNQKEPGSSVNAPDFSAHLTHFDSKKLNQFSIDSHTKAKEIEQQINSQNFQVVSSKKNKMRKNPPTPYITSTLQQDAANKLHFSAAYTMKLAQKLYEGVQLSDGKAAGLITYMRTDGLHISDEACKDVRSLVIQRYGQNFASESPRKFFKKVKNAQEAHEAIRPTDVRRLPSLFRGMLDEDSLKLYTLIWCRTVACQMEPATIEQIQLDIGNAGESIVFRSSCSRVEFLGYQAVFEAVKYKENEGNSRNEGFGLLNSLKRGDPLYVGKVELKEHHTQPPARYSEASLVKKLEELGIGRPSTYASTLKVLQDRNYVTVKSRVLHPEFRGRMVSAFLGHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSAYCDRTSTVHIHQVEKMMEKKFGDFLFASLPDQSRTCPCCMEGNLIFKVSRFGAGYFIGCDQHPKCKYIAKTLYGDDEEEESTPKNSSMEEPKVLGLSPGSNEKVLLKNGPYGSYVQLGEDRKGNSPKRASVSHIKDVGSITLEDALELMRYPVTLGNHPEDGQPVIIKVARVGFAVRHRRTIASVPKVYKNKKPSEITLEDALELLSSSNVRRSGRPKSKPKVEEEVMLEAV >ONI33990 pep chromosome:Prunus_persica_NCBIv2:G1:38331940:38342202:-1 gene:PRUPE_1G457500 transcript:ONI33990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILILAVIKAMLQYRAFETYPCVCLPSSPILTASKYRNYSQLKLKKSGGSCMIMINPAKVGPSFHSFRRSGDGSERAFSNLKPGSLNSLGPGNVISPGSFGSVANYSIGGFAKRFFSQVPHVTSKLESVGAQNGKYSVSTSFFKQLSKRRKREKALAAQSKNEATISSISKDVDVEASTERQIGSEKGDGKLGSFLSNSLIINDNHVPKVKGKKRSKSKKTKEQISAANAPAEAAATQSSKGTSQTKKSGSTKSGKKSAQDSELNFTGKVPVEISDGSSIKPQPKKKSGNSSRKGKSITSDSKSPQKHIPQKMGKVKPQGKMTLKQLYPATGRTVVVVESATKAKVIQGYLGDMFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALTGAENLILASDPDREGEAIAWHIIEMLQQQDALREDINVARVVFHEITETSIKSALQAPREIDENLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALALLCDREMEIDEFKQQEYWTAEIELNQKEPGSSVNAPDFSAHLTHFDSKKLNQFSIDSHTKAKEIEQQINSQNFQVVSSKKNKMRKNPPTPYITSTLQQDAANKLHFSAAYTMKLAQKLYEGVQLSDGKAAGLITYMRTDGLHISDEACKDVRSLVIQRYGQNFASESPRKFFKKVKNAQEAHEAIRPTDVRRLPSLFRGMLDEDSLKLYTLIWCRTVACQMEPATIEQIQLDIGNAGESIVFRSSCSRVEFLGYQAVFEDVEAQAVKYKENEGNSRNEGFGLLNSLKRGDPLYVGKVELKEHHTQPPARYSEASLVKKLEELGIGRPSTYASTLKVLQDRNYVTVKSRVLHPEFRGRMVSAFLGHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSAYCDRTSTVHIHQVEKMMEKKFGDFLFASLPDQSRTCPCCMEGNLIFKVSRFGAGYFIGCDQHPKCKYIAKTLYGDDEEEESTPKNSSMEEPKVLGLSPGSNEKVLLKNGPYGSYVQLGEDRKGNSPKRASVSHIKDVGSITLEDALELMRYPVTLGNHPEDGQPVIIKVARVGFAVRHRRTIASVPKVYKNKKPSEITLEDALELLSSSNVRRSGRPKSKPKVEEEVMLEAV >ONI33991 pep chromosome:Prunus_persica_NCBIv2:G1:38332428:38340912:-1 gene:PRUPE_1G457500 transcript:ONI33991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKPQGKMTLKQLYPATGRTVVVVESATKAKVIQGYLGDMFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALTGAENLILASDPDREGEAIAWHIIEMLQQQDALREDINVARVVFHEITETSIKSALQAPREIDENLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALALLCDREMEIDEFKQQEYWTAEIELNQKEPGSSVNAPDFSAHLTHFDSKKLNQFSIDSHTKAKEIEQQINSQNFQVVSSKKNKMRKNPPTPYITSTLQQDAANKLHFSAAYTMKLAQKLYEGVQLSDGKAAGLITYMRTDGLHISDEACKDVRSLVIQRYGQNFASESPRKFFKKVKNAQEAHEAIRPTDVRRLPSLFRGMLDEDSLKLYTLIWCRTVACQMEPATIEQIQLDIGNAGESIVFRSSCSRVEFLGYQAVFEDVEAQAVKYKENEGNSRNEGFGLLNSLKRGDPLYVGKVELKEHHTQPPARYSEASLVKKLEELGIGRPSTYASTLKVLQDRNYVTVKSRVLHPEFRGRMVSAFLGHHFSEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSAYCDRTSTVHIHQVEKMMEKKFGDFLFASLPDQSRTCPCCMEGNLIFKVSRFGAGYFIGCDQHPKCKYIAKTLYGDDEEEESTPKNSSMEEPKVLGLSPGSNEKVLLKNGPYGSYVQLGEDRKGNSPKRASVSHIKDVGSITLEDALELMRYPVTLGNHPEDGQPVIIKVARVGFAVRHRRTIASVPKVYKNKKPSEITLEDALELLSSSNVRRSGRPKSKPKVEEEVMLEAV >ONI27091 pep chromosome:Prunus_persica_NCBIv2:G1:4836332:4845974:1 gene:PRUPE_1G067700 transcript:ONI27091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQHQLTNCSTWSTAPKLHHLLHQNPQRIAFSNGRRQKSNQKKKSLNWRMRGFGNETPVLVVKASNGGAGTDVVETAVVDRPKLRRFQVSQGHPSPFGATTRDGGVNFAVYSANAVSVTLCLITLSDLEEDKVTEQLSLDPLTNKTGNVWHVFLKGDFKDTLYGYKFEGKFSPEEGLYYDSSKIVLDPYAKAVIRRGEYGKLSPDGNCWPQMAGTVPSFDDEFDWEGDLPLNYPQKDLIIYEIHVRGFTRHESSMAELPGTYLGLVEKLDHLKELGINCIELMPCHEFNELEYFGYNSVLGDYKVNFWGYSTVNYFSPMIRYSSAGIRNCGRDAINEVKFLIKEAHKRGIEVIMDVVFNHTAEGNENGPILSFRGADNSIYYMLAHKGEFYNYSGCGNTFNCNHPVVRQFIVDCLRYWVTEMHVDGFRFDLASIMTRGSSLWDATNVYGVPIEGDLLTTGTPLANPPLVDMISNDPILRGVKLIAEAWDTGGLYQVGMFPHWGIWSEWNGKYRDTVRQFIKGTDGFSGALAECLCGSPNLYQKGGRRPWNSINFVCAHDGFTLADLVTYNNKHNLANGEDNNDGESHNNSWNCGQGVPMIYMGDEYGHTKGGNNNTYCHDNYINYFRWDKKEESSLDFFRFCCLMTKFRQECESLGLNDFPTAERLQWHGHAPGVPDWSETSRFVAFTLMDSVKRELYIAFNASHLPATITLPERPGYRWDPLVDTSKPAPFDFLSSDLPGRDIAVKQYAHFLDANLYPMLSYSSIILFLSPVDP >ONI27090 pep chromosome:Prunus_persica_NCBIv2:G1:4836332:4845974:1 gene:PRUPE_1G067700 transcript:ONI27090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQHQLTNCSTWSTAPKLHHLLHQNPQRIAFSNGRRQKSNQKKKSLNWRMRGFGNETPVLVVKASNGGAGTDVVETAVVDRPKLRRFQVSQGHPSPFGATTRDGGVNFAVYSANAVSVTLCLITLSDLEEDKVTEQLSLDPLTNKTGNVWHVFLKGDFKDTLYGYKFEGKFSPEEGLYYDSSKIVLDPYAKAVIRRGEYGKLSPDGNCWPQMAGTVPSFDDEFDWEGDLPLNYPQKDLIIYEIHVRGFTRHESSMAELPGTYLGLVEKLDHLKELGINCIELMPCHEFNELEYFGYNSVLGDYKVNFWGYSTVNYFSPMIRYSSAGIRNCGRDAINEVKFLIKEAHKRGIEVIMDVVFNHTAEGNENGPILSFRGADNSIYYMLAHKGEFYNYSGCGNTFNCNHPVVRQFIVDCLRYWVTEMHVDGFRFDLASIMTRGSSLWDATNVYGVPIEGDLLTTGTPLANPPLVDMISNDPILRGVKLIAEAWDTGGLYQVGMFPHWGIWSEWNGKYRDTVRQFIKGTDGFSGALAECLCGSPNLYQKGGRRPWNSINFVCAHDGFTLADLVTYNNKHNLANGEDNNDGESHNNSWNCGQEGEFARISVKKLRKRQMRNFFVCLMVSQGVPMIYMGDEYGHTKGGNNNTYCHDNYINYFRWDKKEESSLDFFRFCCLMTKFRQECESLGLNDFPTAERLQWHGHAPGVPDWSETSRFVAFTLMDSVKRELYIAFNASHLPATITLPERPGYRWDPLVDTSKPAPFDFLSSDLPGRDIAVKQYAHFLDANLYPMLSYSSIILFLSPVDP >ONI34390 pep chromosome:Prunus_persica_NCBIv2:G1:40108026:40112831:-1 gene:PRUPE_1G479900 transcript:ONI34390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDDVYEEELVDYEEEEEKAPDSAAKVNGEAPKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKLHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLSECNFPSICIHSGMNQEERLKRYKGFKEGHNRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAADSDVLNDVQERFEVDIKELPEQIDTATYMPS >ONI34387 pep chromosome:Prunus_persica_NCBIv2:G1:40107581:40113148:-1 gene:PRUPE_1G479900 transcript:ONI34387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDDVYEEELVDYEEEEEKAPDSAAKVNGEAPKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKLHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLSECNFPSICIHSGMNQEERLKRYKGFKEGHNRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAADSDVLNDVQERFEVDIKELPEQIDTATYMPS >ONI34388 pep chromosome:Prunus_persica_NCBIv2:G1:40107379:40113148:-1 gene:PRUPE_1G479900 transcript:ONI34388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDDVYEEELVDYEEEEEKAPDSAAKVNGEAPKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKLHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLSECNFPSICIHSGMNQEERLKRYKGFKEGHNRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAADSDVLNDVQERFEVDIKELPEQIDTATYMPS >ONI34392 pep chromosome:Prunus_persica_NCBIv2:G1:40107379:40112221:-1 gene:PRUPE_1G479900 transcript:ONI34392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKLHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLSECNFPSICIHSGMNQEERLKRYKGFKEGHNRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAADSDVLNDVQERFEVDIKELPEQIDTATYMPS >ONI34389 pep chromosome:Prunus_persica_NCBIv2:G1:40108026:40112831:-1 gene:PRUPE_1G479900 transcript:ONI34389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDDVYEEELVDYEEEEEKAPDSAAKVNGEAPKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKLHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLSECNFPSICIHSGMNQEERLKRYKGFKEGHNRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAADSDVLNDVQERFEVDIKELPEQIDTATYMPS >ONI34391 pep chromosome:Prunus_persica_NCBIv2:G1:40107581:40112898:-1 gene:PRUPE_1G479900 transcript:ONI34391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDDVYEEELVDYEEEEEKAPDSAAKVNGEAPKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKLHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLSECNFPSICIHSGMNQEERLKRYKGFKEGHNRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAADSDVLNDVQERFEVDIKELPEQIDTATYMPS >ONI34386 pep chromosome:Prunus_persica_NCBIv2:G1:40107606:40112991:-1 gene:PRUPE_1G479900 transcript:ONI34386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDDVYEEELVDYEEEEEKAPDSAAKVNGEAPKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVSALVLCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVNIKLHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLSECNFPSICIHSGMNQEERLKRYKGFKEGHNRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSAADSDVLNDERFEVDIKELPEQIDTATYMPS >ONI35167 pep chromosome:Prunus_persica_NCBIv2:G1:42674777:42677556:-1 gene:PRUPE_1G519800 transcript:ONI35167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFNALTSEKPHAVCMPFPAQGHINPMLKLAKLLHFKGFHITFVNTEFIHKRLLKSQGPNSLNGLPTFRFKMIPDGLPPADANVAAQHMPSLCQSIRKHCLQPFRGLLSKLKSSPNSPPVTCLVSDGIMNFSLDAAQELGIPGVIFWTTSACAFMGYIQYGPLIQKGLVPLKDARYLTNGYLDTVIDWIPGMKSIRLKDIPSFIRTTDPNDPILDFIMVDTERAKRASAIILNTFDALEHEVLDALSTLLPPIYCIGPLYLQLDHIPADNELKSIGSNLWTEETECLQWLDSKEPNSVVYVNFGSSTVMTSEQLTEFAWGLANSNKAFFWVIRPDLVCEGKSVVVAPEFVEETKERGLLASWCPQEQVLIHPAIGGFLTHCGWNSTIESVGGGVPMICWPFNADQQTNCRFCCSEWGIGLEIEGDVKRYYIEGLVRELMEGGEGKKLRKKALEWKKLAKEATTGSNGSSFLDLDQMVNKVLLSPRN >ONI30650 pep chromosome:Prunus_persica_NCBIv2:G1:27276555:27278882:1 gene:PRUPE_1G264400 transcript:ONI30650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKVALERQLSYVMNLIIETLHGLESFLIIFTIITQKNAGSCKIMLINHTFCVVIITTNLDMVKKLYFSQKFNQKSNAA >ONI36066 pep chromosome:Prunus_persica_NCBIv2:G1:46300267:46301834:1 gene:PRUPE_1G567500 transcript:ONI36066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQAHRPRVIVNGIRRMRTFRYFWCQHCQRTIRIASSNPYAILCPFCSTEFNHELDILSPRLVNDHLTLSPAAQLLQTLSLILDPMHRRAREQTENEDHGRSWITLQFDRPPRSSPRQIVPPLVPLANNSEDVVNDVTGGTWTVENDCPGPPPAPASAIQALPLVKVTEGQMRNEPTCPVCKEVFAVGGEVREMPCKHLYHSDCILPWLHIHNTCPVCRYELPEEGTSCDNNNNEFEEYSDENNLVESIKWWWKQFLCLWPFRALDNWRQRYLDNQQDRTWWTSWIFL >ONI36065 pep chromosome:Prunus_persica_NCBIv2:G1:46300277:46301685:1 gene:PRUPE_1G567500 transcript:ONI36065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQAHRPRVIVNGIRRMRTFRYFWCQHCQRTIRIASSNPYAILCPFCSTEFNHELDILSPRLVNDHLTLSPAAQLLQTLSLILDPMHRRAREQTENEDHGRSWITLQFDRPPRSSPRQIVPPLVPLANNSEDVVNDVTGGTWTVENDCPGPPPAPASAIQALPLVKVTEGQMRNEPTCPVCKEVFAVGGEVREMPCKHLYHSDCILPWLHIHNTCPVCRYELPEEGTSCDNNNNEFEEYSDENNLVESIKWWWKQFLCLWPFRALDNWRQRYLDNQQDRTWWTSWIFL >ONI27999 pep chromosome:Prunus_persica_NCBIv2:G1:9156384:9158795:-1 gene:PRUPE_1G116100 transcript:ONI27999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSDRATISLGLVFQISTISFVLWKICLAQDVPANFVFGDSLVDVGNNNHIVSLSKANYVPNGIDFGKPTGRYTNGRTIVDIIGQQLGFKVFPPPYLSPSTTGPRILQGVNYASGGGGILNDTGKIFVGRINLDAQIDNFANTRQDIVSRIGLPAALKLFSRALFSVTMGSNDFINNYLAPVISIPEQKLISPEVFVAILISRYRLQLTRLYNLGARKIIVTNVGPTGCIPFERETTLAAGDFCVEKPNRLARLFNIQLKSLVKELSTNLEGSKFVYADVYRIVDDIIQNHISYGFENANSACCYVAGRFGGLIPCGPPSKVCRDRSKYIFWDPYHPSDAANAIIARRLLYGDTNDISPMNVVQLNQS >ONI28000 pep chromosome:Prunus_persica_NCBIv2:G1:9156620:9158080:-1 gene:PRUPE_1G116100 transcript:ONI28000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSDRATISLGLVFQISTISFVLWKICLAQDVPANFVFGDSLVDVGNNNHIVSLSKANYVPNGIDFGKPTGRYTNGRTIVDIIGQQLGFKVFPPPYLSPSTTGPRILQGVNYASGGGGILNDTGKIFVGRINLDAQIDNFANTRQDIVSRIGLPAALKLFSRALFSVTMGSNDFINNYLAPVISIPEQKLISPEVFVAILISRYRLQLTVNRLYNLGARKIIVTNVGPTGCIPFERETTLAAGDFCVEKPNRLARLFNIQLKSLVKELSTNLEGSKFVYADVYRIVDDIIQNHISYGFENANSACCYVAGRFGGLIPCGPPSKVCRDRSKYIFWDPYHPSDAANAIIARRLLYGDTNDISPMNVVQLNQS >ONI27998 pep chromosome:Prunus_persica_NCBIv2:G1:9156384:9158795:-1 gene:PRUPE_1G116100 transcript:ONI27998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSDRATISLGLVFQISTISFVLWKICLAQDVPANFVFGDSLVDVGNNNHIVSLSKANYVPNGIDFGKPTGRYTNGRTIVDIIGQQLGFKVFPPPYLSPSTTGPRILQGVNYASGGGGILNDTGKIFVGRINLDAQIDNFANTRQDIVSRIGLPAALKLFSRALFSVTMGSNDFINNYLAPVISIPEQKLISPEVFVAILISRYRLQLTRLYNLGARKIIVTNVGPTGCIPFERETTLAAGDFCVEKPNRLARLFNIQLKSLVKELSTNLEGSKFVYADVYRIVDDIIQNHISYGFENANSACCYVAGRFGGLIPCGPPSKVCRDRSKYIFWDPYHPSDAANAIIARRLLYGDTNDISPMNVVQLNQS >ONI36274 pep chromosome:Prunus_persica_NCBIv2:G1:47116511:47121656:-1 gene:PRUPE_1G579100 transcript:ONI36274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKKIEKGEPISTVLTADSVTGLSQQEVVSGKDGIPSDPIPSMSSLLDSNSSIKGETDQDSVGEHGVYYQPTSCYNYYYPGYNGSFTQMDDHGYLHANNQHTGVQSDNGSMVYYLPGYNPYAPGTLMGIDGQGVGQQQYFPSSGYMQPPVSYGSEAAPCYSWDTTFVGDVSTAANSGFGNVKVGPGSAALSKSGGFISTKTNGNLHSRFSKSLPHTQPFKSLNKVSHLGNDFSAGLLKGYNPAGRFSSFANQKYGLFPPNGHMNYKSNARILNGNDRFKSRENYNRNEDFESSTELTRGPRSRNKSAPLDSAIEKEELSFTVHRDQYNLPDFQTDYEKAKFYVIKSYSEDDVHKSIKYDVWASTPNGNKKLDASFRDAESKSRETGTQCPIFLFFSVNGSGQFIGLAEMAGQVDFNKDMDFWQVDKWSGFFPVKWHVIKDIPNTQLRHIILENNDNRPVTFTRDTQEIGLKQGLEMLNIFKSYTAKTSLLDDFIFYEDREKSLKAKRSSKPATLKMETYDNNDITKHINSGGRNVDDESAGIRMASDRASLISLTKNLSLNGCP >ONI36273 pep chromosome:Prunus_persica_NCBIv2:G1:47116148:47122808:-1 gene:PRUPE_1G579100 transcript:ONI36273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKKIEKGEPISTVLTADSVTGLSQQEVVSGKDGIPSDPIPSMSSLLDSNSSIKGYNGSFTQMDDHGYLHANNQHTGVQSDNGSMVYYLPGYNPYAPGTLMGIDGQGVGQQQYFPSSGYMQPPVSYGSEAAPCYSWDTTFVGDVSTAANSGFGNVKVGPGSAALSKSGGFISTKTNGNLHSRFSKSLPHTQPFKSLNKVSHLGNDFSAGLLKGYNPAGRFSSFANQKYGLFPPNGHMNYKSNARILNGNDRFKSRENYNRNEDFESSTELTRGPRSRNKSAPLDSAIEKEELSFTVHRDQYNLPDFQTDYEKAKFYVIKSYSEDDVHKSIKYDVWASTPNGNKKLDASFRDAESKSRETGTQCPIFLFFSVNGSGQFIGLAEMAGQVDFNKDMDFWQVDKWSGFFPVKWHVIKDIPNTQLRHIILENNDNRPVTFTRDTQEIGLKQGLEMLNIFKSYTAKTSLLDDFIFYEDREKSLKAKRSSKPATLKMETYDNNDITKHINSGGRNVDDESAGIRMASDRASLISLTKNLSLNGCP >ONI36278 pep chromosome:Prunus_persica_NCBIv2:G1:47116148:47121681:-1 gene:PRUPE_1G579100 transcript:ONI36278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLDSNSSIKGYNGSFTQMDDHGYLHANNQHTGVQSDNGSMVYYLPGYNPYAPGTLMGIDGQGVGQQQYFPSSGYMQPPVSYGSEAAPCYSWDTTFVGDVSTAANSGFGNVKVGPGSAALSKSGGFISTKTNGNLHSRFSKSLPHTQPFKSLNKVSHLGNDFSAGLLKGYNPAGRFSSFANQKYGLFPPNGHMNYKSNARILNGNDRFKSRENYNRNEDFESSTELTRGPRSRNKSAPLDSAIEKEELSFTVHRDQYNLPDFQTDYEKAKFYVIKSYSEDDVHKSIKYDVWASTPNGNKKLDASFRDAESKSRETGTQCPIFLFFSVNGSGQFIGLAEMAGQVDFNKDMDFWQVDKWSGFFPVKWHVIKDIPNTQLRHIILENNDNRPVTFTRDTQEIGLKQGLEMLNIFKSYTAKTSLLDDFIFYEDREKSLKAKRSSKPATLKMETYDNNDITKHINSGGRNVDDESAGIRMASDRASLISLTKNLSLNGCP >ONI36280 pep chromosome:Prunus_persica_NCBIv2:G1:47116511:47120213:-1 gene:PRUPE_1G579100 transcript:ONI36280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTINLLAVTIIITQVRSGYNGSFTQMDDHGYLHANNQHTGVQSDNGSMVYYLPGYNPYAPGTLMGIDGQGVGQQQYFPSSGYMQPPVSYGSEAAPCYSWDTTFVGDVSTAANSGFGNVKVGPGSAALSKSGGFISTKTNGNLHSRFSKSLPHTQPFKSLNKVSHLGNDFSAGLLKGYNPAGRFSSFANQKYGLFPPNGHMNYKSNARILNGNDRFKSRENYNRNEDFESSTELTRGPRSRNKSAPLDSAIEKEELSFTVHRDQYNLPDFQTDYEKAKFYVIKSYSEDDVHKSIKYDVWASTPNGNKKLDASFRDAESKSRETGTQCPIFLFFSVNGSGQFIGLAEMAGQVDFNKDMDFWQVDKWSGFFPVKWHVIKDIPNTQLRHIILENNDNRPVTFTRDTQEIGLKQGLEMLNIFKSYTAKTSLLDDFIFYEDREKSLKAKRSSKPATLKMETYDNNDITKHINSGGRNVDDESAGIRMASDRASLISLTKNLSLNGCP >ONI36275 pep chromosome:Prunus_persica_NCBIv2:G1:47116148:47122747:-1 gene:PRUPE_1G579100 transcript:ONI36275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKKIEKGEPISTVLTADSVTGLSQQEVVSGKDGIPSDPIPSMSSLLDSNSSIKGETDQDSVGEHGVYYQPTSCYNYYYPGYNGSFTQMDDHGYLHANNQHTGVQSDNGSMVYYLPGYNPYAPGTLMGIDGQGVGQQQYFPSSGYMQPPVSYGSEAAPCYSWDTTFVGDVSTAANSGFGNVKVGPGSAALSKSGGFISTKTNGNLHSRFSKSLPHTQPFKSLNKVSHLGNDFSAGLLKGYNPAGRFSSFANQKYGLFPPNGHMNYKSNARILNGNDRFKSRENYNRNEDFESSTELTRGPRSRNKSAPLDSAIEKEELSFTVHRDQYNLPDFQTDYEKAKFYVIKSYSEDDVHKSIKYDVWASTPNGNKKLDASFRDAESKSRETGTQCPIFLFFSVNGSGQFIGLAEMAGQVDFNKDMDFWQVDKWSGFFPVKWHVIKDIPNTQLRHIILENNDNRPVTFTRDTQEIGLKQGLEMLNIFKSYTAKTSLLDDFIFYEDREKSLKAKRSSKPATLKMETYDNNDITKHINSGGRNVDDESAGIRMASDRASLISLTKNLSLNGCP >ONI36277 pep chromosome:Prunus_persica_NCBIv2:G1:47116148:47120280:-1 gene:PRUPE_1G579100 transcript:ONI36277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLDSNSSIKGYNGSFTQMDDHGYLHANNQHTGVQSDNGSMVYYLPGYNPYAPGTLMGIDGQGVGQQQYFPSSGYMQPPVSYGSEAAPCYSWDTTFVGDVSTAANSGFGNVKVGPGSAALSKSGGFISTKTNGNLHSRFSKSLPHTQPFKSLNKVSHLGNDFSAGLLKGYNPAGRFSSFANQKYGLFPPNGHMNYKSNARILNGNDRFKSRENYNRNEDFESSTELTRGPRSRNKSAPLDSAIEKEELSFTVHRDQYNLPDFQTDYEKAKFYVIKSYSEDDVHKSIKYDVWASTPNGNKKLDASFRDAESKSRETGTQCPIFLFFSVNGSGQFIGLAEMAGQVDFNKDMDFWQVDKWSGFFPVKWHVIKDIPNTQLRHIILENNDNRPVTFTRDTQEIGLKQGLEMLNIFKSYTAKTSLLDDFIFYEDREKSLKAKRSSKPATLKMETYDNNDITKHINSGGRNVDDESAGIRMASDRASLISLTKNLSLNGCP >ONI36276 pep chromosome:Prunus_persica_NCBIv2:G1:47116148:47122747:-1 gene:PRUPE_1G579100 transcript:ONI36276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKKIEKGEPISTVLTADSVTGLSQQEVVSGKDGIPSDPIPSMSSLLDSNSSIKGETDQDSVGEHGVYYQPTSCYNYYYPAGYNGSFTQMDDHGYLHANNQHTGVQSDNGSMVYYLPGYNPYAPGTLMGIDGQGVGQQQYFPSSGYMQPPVSYGSEAAPCYSWDTTFVGDVSTAANSGFGNVKVGPGSAALSKSGGFISTKTNGNLHSRFSKSLPHTQPFKSLNKVSHLGNDFSAGLLKGYNPAGRFSSFANQKYGLFPPNGHMNYKSNARILNGNDRFKSRENYNRNEDFESSTELTRGPRSRNKSAPLDSAIEKEELSFTVHRDQYNLPDFQTDYEKAKFYVIKSYSEDDVHKSIKYDVWASTPNGNKKLDASFRDAESKSRETGTQCPIFLFFSVNGSGQFIGLAEMAGQVDFNKDMDFWQVDKWSGFFPVKWHVIKDIPNTQLRHIILENNDNRPVTFTRDTQEIGLKQGLEMLNIFKSYTAKTSLLDDFIFYEDREKSLKAKRSSKPATLKMETYDNNDITKHINSGGRNVDDESAGIRMASDRASLISLTKNLSLNGCP >ONI36279 pep chromosome:Prunus_persica_NCBIv2:G1:47116148:47122747:-1 gene:PRUPE_1G579100 transcript:ONI36279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLDSNSSIKGETDQDSVGEHGVYYQPTSCYNYYYPGYNGSFTQMDDHGYLHANNQHTGVQSDNGSMVYYLPGYNPYAPGTLMGIDGQGVGQQQYFPSSGYMQPPVSYGSEAAPCYSWDTTFVGDVSTAANSGFGNVKVGPGSAALSKSGGFISTKTNGNLHSRFSKSLPHTQPFKSLNKVSHLGNDFSAGLLKGYNPAGRFSSFANQKYGLFPPNGHMNYKSNARILNGNDRFKSRENYNRNEDFESSTELTRGPRSRNKSAPLDSAIEKEELSFTVHRDQYNLPDFQTDYEKAKFYVIKSYSEDDVHKSIKYDVWASTPNGNKKLDASFRDAESKSRETGTQCPIFLFFSVNGSGQFIGLAEMAGQVDFNKDMDFWQVDKWSGFFPVKWHVIKDIPNTQLRHIILENNDNRPVTFTRDTQEIGLKQGLEMLNIFKSYTAKTSLLDDFIFYEDREKSLKAKRSSKPATLKMETYDNNDITKHINSGGRNVDDESAGIRMASDRASLISLTKNLSLNGCP >ONI27573 pep chromosome:Prunus_persica_NCBIv2:G1:7496114:7501239:-1 gene:PRUPE_1G095200 transcript:ONI27573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGLSTIQQTLTPEAASVLNHSIAEAGRRNHGQTTPLHVAATLLSSPTGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTAQNMSPGMEPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNSSAAAASSAAVNSSPIGLGFRPGGPPAAPPGSRNLYLNPRLQPQGAAAAQSGQHRGEEVKRVGDILLKAKKRNPVLVGDSEPEAVTKEVLRRIENRELGEGPLKNVEVVHLEKEVSLDKNQIVGKMKELGGLVETRMANSNGGGVILNLGDLKWLVEQPGSFGGVPGSGPVQQQLVSEAGRAAVVEMGRLLARFGEGGGNGGRLWLIGTATCETYLRCQVYHPSMETDWDLQAVPIAARTPLSGLFPRIGTSNGILSSSVESLSPLKSFPTTSIAQPRLLSENLDPTRRASRCPQCTQSYEQELAKLVAKESEKSSEAAQPPLPQWLQNAKARDGHAKTLDETQTKDQDPILKQKTEELQKEWRDTCVRLHPSFHQHSITSDRIAPTALSMTGLYNPHLLARQPFQPKSHLNKNLGALQLNTNPLTSQPSERAVSQPGSPVRTELVLGQTEVTETTPDQAHKERIRDFLGCMPSEPQSKPIELQTDDKQSCQVDADSFKKLYKGLMEVWWQQEAATAVAETVTKCKLGNGRRRGAGSRGDMWLLFMGPDSVGKKKMASALSELVSRSNPVMISLGSQRSNLQSDMSFRGKTVVDRIAEAVKGNPCAVIMLEDINEADMIACGSIKRAMDRGRLADSYGREISLGNVIFILTANWLPEHLRPLSKGNSLEEKLASIARSSWQLKLSVCGRTAKRRPNWLQDDDRATKPRKETGSALGFDLNEAADTEDDRADGSHNSSDLTVDHEDDSRLNSRPLLTITTSAVPRELLDTVDGAIAFKPVDFNPIRLNITNSIRKRFSKILGEGVSLELREDAVEKILSGIWLGRTGLEEWAEKVLVPSLQQLKSCLGGTNSMSASESMVVRLESDGNSDCRGTGDCLPSSINVVVVPDGLRQQ >ONI27574 pep chromosome:Prunus_persica_NCBIv2:G1:7496115:7501239:-1 gene:PRUPE_1G095200 transcript:ONI27574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGLSTIQQTLTPEAASVLNHSIAEAGRRNHGQTTPLHVAATLLSSPTGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTAQNMSPGMEPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNSSAAAASSAAVNSSPIGLGFRPGGPPAAPPGSRNLYLNPRLQPQGAAAAQSGQHRGEEVKRVGDILLKAKKRNPVLVGDSEPEAVTKEVLRRIENRELGEGPLKNVEVVHLEKEVSLDKNQIVGKMKELGGLVETRMANSNGGGVILNLGDLKWLVEQPGSFGGVPGSGPVQQQLVSEAGRAAVVEMGRLLARFGEGGGNGGRLWLIGTATCETYLRCQVYHPSMETDWDLQAVPIAARTPLSGLFPRIGTSNGILSSSVESLSPLKSFPTTSIAQPRLLSENLDPTRRASRCPQCTQSYEQELAKLVAKESEKSSEAAQPPLPQWLQNAKARDGHAKTLDETQTKDQDPILKQKTEELQKEWRDTCVRLHPSFHQHSITSDRIAPTALSMTGLYNPHLLARQPFQPKSHLNKNLGALQLNTNPLTSQPSERAVSQPGSPVRTELVLGQTEVTETTPDQAHKERIRDFLGCMPSEPQSKPIELQTDDKQSCQVDADSFKKLYKGLMEVWWQQEAATAVAETVTKCKLGNGRRRGAGSRGDMWLLFMGPDSVGKKKMASALSELVSRSNPVMISLGSQRSNLQSDMSFRGKTVVDRIAEAVKGNPCAVIMLEDINEADMIACGSIKRAMDRGRLADSYGREISLGNVIFILTANWLPEHLRPLSKGNSLEEKLASIARSSWQLKLSVCGRTAKRRPNWLQDDDRATKPRKETGSALGFDLNEAADTEDDRADGSHNSSDLTVDHEDDSRLNSRPLLTITTSAVPRELLDTVDGAIAFKPVDFNPIRLNITNSIRKRFSKILGEGVSLELREDAVEKILSGIWLGRTGLEEWAEKVLVPSLQQLKSCLGGTNSMSASESMVVRLESDGNSDCRGTGDCLPSSINVVVVPDGLRQQ >ONI31880 pep chromosome:Prunus_persica_NCBIv2:G1:31753478:31756625:1 gene:PRUPE_1G336500 transcript:ONI31880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPNLNLEYENDSEVSSQENFNIPLQEESHDLSKDSTTTSSCLTNQTNLQQQDPGPISLNLTLQFSSRDIELKGTGETSSTEGAAPPTSEATMPRVFSCNYCKRKFYSSQALGGHQNAHKRERTMAKRAMRMGMFPDRYTSLASLPLHGSAASAFRSLGIEAHAAVHQNIMIPSDQRLPVPDTRGVARFQQGYFGVPMFMEEDDVGMFWPGSFRQVGEGVGGRHSNMQFSQNPTMNSGGSTMPPNTKTSSSSPDLTLKL >ONI31882 pep chromosome:Prunus_persica_NCBIv2:G1:31752712:31756625:1 gene:PRUPE_1G336500 transcript:ONI31882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPNLNLEYENDSEVSSQENFNIPLQEESHDLSKDSTTTSSCLTNQTNLQQQDPGPISLNLTLQFSSRDIELKGTGETSSTEGAAPPTSEATMPRVFSCNYCKRKFYSSQALGGHQNAHKRERTMAKRAMRMGMFPDRYTSLASLPLHGSAASAFRSLGIEAHAAVHQNIMIPSDQRLPVPDTRGVARFQQGYFGVPMFMEEDDVGMFWPGSFRQVGEGVGGRHSNMQFSQNPTMNSGGSTMPPNTKTSSSSPDLTLKL >ONI31881 pep chromosome:Prunus_persica_NCBIv2:G1:31752712:31756625:1 gene:PRUPE_1G336500 transcript:ONI31881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPNLNLEYENDSEVSSQENFNIPLQEESHDLSKDSTTTSSCLTNQTNLQQQDPGPISLNLTLQFSSRDIELKGTGETSSTEGAAPPTSEATMPRVFSCNYCKRKFYSSQALGGHQNAHKRERTMAKRAMRMGMFPDRYTSLASLPLHGSAASAFRSLGIEAHAAVHQNIMIPSDQRLPVPDTRGVARFQQGYFGVPMFMEEDDVGMFWPGSFRQVGEGVGGRHSNMQFSQNPTMNSGGSTMPPNTKTSSSSPDLTLKL >ONI31884 pep chromosome:Prunus_persica_NCBIv2:G1:31752712:31756625:1 gene:PRUPE_1G336500 transcript:ONI31884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPNLNLEYENDSEVSSQENFNIPLQEESHDLSKDSTTTSSCLTNQTNLQQQDPGPISLNLTLQFSSRDIELKGTGETSSTEGAAPPTSEATMPRVFSCNYCKRKFYSSQALGGHQNAHKRERTMAKRAMRMGMFPDRYTSLASLPLHGSAASAFRSLGIEAHAAVHQNIMIPSDQRLPVPDTRGVARFQQGYFGVPMFMEEDDVGMFWPGSFRQVGEGVGGRHSNMQFSQNPTMNSGGSTMPPNTKTSSSSPDLTLKL >ONI31879 pep chromosome:Prunus_persica_NCBIv2:G1:31752712:31756625:1 gene:PRUPE_1G336500 transcript:ONI31879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPNLNLEYENDSEVSSQENFNIPLQEESHDLSKDSTTTSSCLTNQTNLQQQDPGPISLNLTLQFSSRDIELKGTGETSSTEGAAPPTSEATMPRVFSCNYCKRKFYSSQALGGHQNAHKRERTMAKRAMRMGMFPDRYTSLASLPLHGSAASAFRSLGIEAHAAVHQNIMIPSDQRLPVPDTRGVARFQQGYFGVPMFMEEDDVGMFWPGSFRQVGEGVGGRHSNMQFSQNPTMNSGGSTMPPNTKTSSSSPDLTLKL >ONI31883 pep chromosome:Prunus_persica_NCBIv2:G1:31752712:31756630:1 gene:PRUPE_1G336500 transcript:ONI31883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPNLNLEYENDSEVSSQENFNIPLQEESHDLSKDSTTTSSCLTNQTNLQQQDPGPISLNLTLQFSSRDIELKGTGETSSTEGAAPPTSEATMPRVFSCNYCKRKFYSSQALGGHQNAHKRERTMAKRAMRMGMFPDRYTSLASLPLHGSAASAFRSLGIEAHAAVHQNIMIPSDQRLPVPDTRGVARFQQGYFGVPMFMEEDDVGMFWPGSFRQVGEGVGGRHSNMQFSQNPTMNSGGSTMPPNTKTSSSSPDLTLKL >ONI32223 pep chromosome:Prunus_persica_NCBIv2:G1:32918963:32922497:1 gene:PRUPE_1G354500 transcript:ONI32223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKALVFRHPDRLTFDTIKSRNKGYSAEIAVVTYCRRRQETDDRPKFRILLSFGQHGRELITSELALRILSILSKEQFLPNLDPASLDHTLDKLLIKMVPMENINGRRIVEAGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPETQIMRKVAMSFDPHIWVNIHSGMEALFMPYDHKNTTPDGVSSHQMKSLLEELNHLHCQRRCMIGSGGGSVGYLAHGTATDYMFDIVRVPMAFTFEIYGDGAASSRDCFKMFNPTDFGTFNRVLNEWSAAFFTIFKLGPNQLGENYSKTSVPTLDKWVSIDEYLDGYLVERSSRYGKKMEVLELGMQEIRTYFRLFLLSSVLLLFMFCSRISKGKCARPIVSAIAL >ONI32222 pep chromosome:Prunus_persica_NCBIv2:G1:32916820:32923245:1 gene:PRUPE_1G354500 transcript:ONI32222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLLLSSPLSISLCFFAWLQGFVVVHGNTNLTRSSFTPINRNLYHSSGDLMEEIKALVFRHPDRLTFDTIKSRNKGYSAEIAVVTYCRRRQETDDRPKFRILLSFGQHGRELITSELALRILSILSKEQFLPNLDPASLDHTLDKLLIKMVPMENINGRRIVEAGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPETQIMRKVAMSFDPHIWVNIHSGMEVSGTRDSN >ONI32221 pep chromosome:Prunus_persica_NCBIv2:G1:32916820:32923245:1 gene:PRUPE_1G354500 transcript:ONI32221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLLLSSPLSISLCFFAWLQGFVVVHGNTNLTRSSFTPINRNLYHSSGDLMEEIKALVFRHPDRLTFDTIKSRNKGYSAEIAVVTYCRRRQETDDRPKFRILLSFGQHGRELITSELALRILSILSKEQFLPNLDPASLDHTLDKLLIKMVPMENINGRRIVEAGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPETQIMRKVAMSFDPHIWVNIHSGMEALFMPYDHKNTTPDGVSSHQMKSLLEELNHLHCQRRCMIGSGGGSVGYLAHGTATDYMFDIVRVPMAFTFEIYGDGAASSRDCFKMFNPTDFGTFNRVLNEWSAAFFTIFKLGPNQLGENYSKTSVPTLDKWVSIDEYLDGYLVERSSRYGKKMEVLELGMQEIRTYFRLFLLSSVLLLFMFCSRISKGKCARPIVSAIAL >ONI31350 pep chromosome:Prunus_persica_NCBIv2:G1:30018358:30024479:-1 gene:PRUPE_1G307900 transcript:ONI31350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVDEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMREGDLVNWFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPETLKTELRSIYSQLCQDDMPMVRRSAATNLGKFAATVEPAHLKTDIMSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMALVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEMIDNPHYLYRMTILRAVCLLAPVMGSEITCSKLLPVVVNASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPSLVELSEDPDVDVRFFANQALQAIDHVMMSS >ONI31351 pep chromosome:Prunus_persica_NCBIv2:G1:30018521:30024227:-1 gene:PRUPE_1G307900 transcript:ONI31351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVDEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMREGDLVNWFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPETLKTELRSIYSQLCQDDMPMVRRSAATNLGKFAATVEPAHLKTDIMSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMALVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEMIDNPHYLYRMTILRAVCLLAPVMGSEITCSKLLPVVVNASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPSLVELSEDPDVDVRFFANQALQAIDHVMMSS >ONI31838 pep chromosome:Prunus_persica_NCBIv2:G1:31584397:31588040:1 gene:PRUPE_1G333800 transcript:ONI31838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRETLQLIGHLRRTSQLPSHSTCNKLLHNLISSNCGELSLKILSHLVSKGYNPHRSSFNSVISFLCKLGHISFARKLINSMPRYGCLPDIVTYNSLMDGYCKFSDIDEACLLMKEIRMRGCRPDLVTFNVLLNGFCNVKMKKEALVYIGLMWKSCSPNVVTYSHFIDMFCKMEDFGLGYRLLGDMVEGWGAAEFLPLLLFDDGYCKAGNWEVAFELLEKMRHSSLLPNVVTYNALIKGICIKGMLGRADYLFSKMWEDGFEPNSAVYTSMIDGHLKKGNLDDAVKYMSKMHDQGFSLDVAAYGAVISGLCKNGRLDKVMQFIEDMVGSGLAPDQMLLTTIMDAYFKANLKAASGVYGELLVTLQFFALLQRTSQFPTPFTCNKLLHSLISSNCGELSVKIFCHLLSKGYNPHPSSFNSIISFFCKLGHISFARTLVDSMPRYGCLPDIVTYNSLIDGYCKFCDIDEACLIMRKIRIGGCIPDLGTFNILFNGFCKVKMKKQAFVYMGLMWKSCSPNVVTYSTFIDMFCKTGDLGLGYRVLGGMVKDGVLPNLFAFTSLIDGYCKAGNLEVAFELLEKMRQSSLLPNVVTYNALIKGLCMQGMSERADYLFSKMWEDGVEPNSAVYTSMIDGHLQKGNVDDAMKYMSRMHDQGFNLDVAAYGVVISGLCKNSRLDKAIQFIEDMVSSGLVPDQMLLATIMDAYFKAGNLKAALGVYRELLERGFEPDGVTLSALMDGLCKHGCLKEARGYFCKEKANEISYSVLINGMCKEGNLSEVEKVFREMSEAGFIPDKYVYTSWIAGLCKQGSLPEAFRLKNKMVKEGIIPDLLTYSSLIFGLANAGLMIEAKQVFDDMLKKGITPDSAVFDILIRGYHKEGNDAAISSLYDEMRKRGLVDGEH >ONI31837 pep chromosome:Prunus_persica_NCBIv2:G1:31584497:31588040:1 gene:PRUPE_1G333800 transcript:ONI31837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRETLQLIGHLRRTSQLPSHSTCNKLLHNLISSNCGELSLKILSHLVSKGYNPHRSSFNSVISFLCKLGHISFARKLINSMPRYGCLPDIVTYNSLMDGYCKFSDIDEACLLMKEIRMRGCRPDLVTFNVLLNGFCNVKMKKEALVYIGLMWKSCSPNVVTYSHFIDMFCKMEDFGLGYRLLGDMVEGWGAAEFLPLLLFDDGYCKAGNWEVAFELLEKMRHSSLLPNVVTYNALIKGICIKGMLGRADYLFSKMWEDGFEPNSAVYTSMIDGHLKKGNLDDAVKYMSKMHDQGFSLDVAAYGAVISGLCKNGRLDKVMQFIEDMVGSGLAPDQMLLTTIMDAYFKANLKAASGVYGELLVTLQFFALLQRTSQFPTPFTCNKLLHSLISSNCGELSVKIFCHLLSKGYNPHPSSFNSIISFFCKLGHISFARTLVDSMPRYGCLPDIVTYNSLIDGYCKFCDIDEACLIMRKIRIGGCIPDLGTFNILFNGFCKVKMKKQAFVYMGLMWKSCSPNVVTYSTFIDMFCKTGDLGLGYRVLGGMVKDGVLPNLFAFTSLIDGYCKAGNLEVAFELLEKMRQSSLLPNVVTYNALIKGLCMQGMSERADYLFSKMWEDGVEPNSAVYTSMIDGHLQKGNVDDAMKYMSRMHDQGFNLDVAAYGVVISGLCKNSRLDKAIQFIEDMVSSGLVPDQMLLATIMDAYFKAGNLKAALGVYRELLERGFEPDGVTLSALMDGLCKHGCLKEARGYFCKEKANEISYSVLINGMCKEGNLSEVEKVFREMSEAGFIPDKYVYTSWIAGLCKQGSLPEAFRLKNKMVKEGIIPDLLTYSSLIFGLANAGLMIEAKQVFDDMLKKGITPDSAVFDILIRGYHKEGNDAAISSLYDEMRKRGLVDGEH >ONI31839 pep chromosome:Prunus_persica_NCBIv2:G1:31584397:31588040:1 gene:PRUPE_1G333800 transcript:ONI31839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRETLQLIGHLRRTSQLPSHSTCNKLLHNLISSNCGELSLKILSHLVSKGYNPHRSSFNSVISFLCKLGHISFARKLINSMPRYGCLPDIVTYNSLMDGYCKFSDIDEACLLMKEIRMRGCRPDLVTFNVLLNGFCNVKMKKEALVYIGLMWKSCSPNVVTYSHFIDMFCKMEDFGLGYRLLGDMVEGWGAAEFLPLLLFDDGYCKAGNWEVAFELLEKMRHSSLLPNVVTYNALIKGICIKGMLGRADYLFSKMWEDGFEPNSAVYTSMIDGHLKKGNLDDAVKYMSKMHDQGFSLDVAAYGAVISGLCKNGRLDKVMQFIEDMVGSGLAPDQMLLTTIMDAYFKANLKAASGVYGELLVTLQFFALLQRTSQFPTPFTCNKLLHSLISSNCGELSVKIFCHLLSKGYNPHPSSFNSIISFFCKLGHISFARTLVDSMPRYGCLPDIVTYNSLIDGYCKFCDIDEACLIMRKIRIGGCIPDLGTFNILFNGFCKVKMKKQAFVYMGLMWKSCSPNVVTYSTFIDMFCKTGDLGLGYRVLGGMVKDGVLPNLFAFTSLIDGYCKAGNLEVAFELLEKMRQSSLLPNVVTYNALIKGLCMQGMSERADYLFSKMWEDGVEPNSAVYTSMIDGHLQKGNVDDAMKYMSRMHDQGFNLDVAAYGVVISGLCKNSRLDKAIQFIEDMVSSGLVPDQMLLATIMDAYFKAGNLKAALGVYRELLERGFEPDGVTLSALMDGLCKHGCLKEARGYFCKEKANEISYSVLINGMCKEGNLSEVEKVFREMSEAGFIPDKYVYTSWIAGLCKQGSLPEAFRLKNKMVKEGIIPDLLTYSSLIFGLANAGLMIEAKQVFDDMLKKGITPDSAVFDILIRGYHKEGNDAAISSLYDEMRKRGLVDGEH >ONI34711 pep chromosome:Prunus_persica_NCBIv2:G1:41064861:41067151:-1 gene:PRUPE_1G494200 transcript:ONI34711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMKSDVADSEPQKQQQQQEEEQHFHVLAVDDSLLDRKLLERLLRGSSYQAVTCVESGDEALKYLGLVDDLNQNSTASSSSTSPHSSQVQEGSKVINLIMTDYCMPGMSGYDLLKRVKGSSWKNVPVVVMSSENVPSRISMCLEGGAEEFLLKPLQLSDLKKLQPYLLKSLEHHSCNDNNNNNNVVVNEVGGVDPVDQIKSTACENTVKGNNNNNNVIISKRKAVVAAQTISERRPKMEGLALVV >ONI34710 pep chromosome:Prunus_persica_NCBIv2:G1:41065069:41066758:-1 gene:PRUPE_1G494200 transcript:ONI34710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMKSDVADSEPQKQQQQQEEEQHFHVLAVDDSLLDRKLLERLLRGSSYQVTCVESGDEALKYLGLVDDLNQNSTASSSSTSPHSSQVQEGSKVINLIMTDYCMPGMSGYDLLKRVKGSSWKNVPVVVMSSENVPSRISMCLEGGAEEFLLKPLQLSDLKKLQPYLLKSLEHHSCNDNNNNNNVVVNEVGGVDPVDQIKSTACENTVKGNNNNNNVIISKRKAVVAAQTISERRPKMEGLALVV >ONI34713 pep chromosome:Prunus_persica_NCBIv2:G1:41064861:41067268:-1 gene:PRUPE_1G494200 transcript:ONI34713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTPNPKNSSSNKKKNNISMSWLWMIVFWTGSSWRGSLEVLHIKGSKVINLIMTDYCMPGMSGYDLLKRVKGSSWKNVPVVVMSSENVPSRISMCLEGGAEEFLLKPLQLSDLKKLQPYLLKSLEHHSCNDNNNNNNVVVNEVGGVDPVDQIKSTACENTVKGNNNNNNVIISKRKAVVAAQTISERRPKMEGLALVV >ONI34712 pep chromosome:Prunus_persica_NCBIv2:G1:41064861:41067212:-1 gene:PRUPE_1G494200 transcript:ONI34712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTPNPKNSSSNKKKNNISMSWLWMIVFWTGSSWRGSLEVLHIKGSKVINLIMTDYCMPGMSGYDLLKRVKGSSWKNVPVVVMSSENVPSRISMCLEGGAEEFLLKPLQLSDLKKLQPYLLKSLEHHSCNDNNNNNNVVVNEVGGVDPVDQIKSTACENTVKGNNNNNNVIISKRKAVVAAQTISERRPKMEGLALVV >ONI34708 pep chromosome:Prunus_persica_NCBIv2:G1:41064902:41067151:-1 gene:PRUPE_1G494200 transcript:ONI34708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSLKMELMKSDVADSEPQKQQQQQEEEQHFHVLAVDDSLLDRKLLERLLRGSSYQVTCVESGDEALKYLGLVDDLNQNSTASSSSTSPHSSQVQEGSKVINLIMTDYCMPGMSGYDLLKRVKNRHEHDHHETLFLQGDSCFIFLQGSSWKNVPVVVMSSENVPSRISMCLEGGAEEFLLKPLQLSDLKKLQPYLLKSLEHHSCNDNNNNNNVVVNEVGGVDPVDQIKSTACENTVKGNNNNNNVIISKRKAVVAAQTISERRPKMEGLALVV >ONI34709 pep chromosome:Prunus_persica_NCBIv2:G1:41065069:41066779:-1 gene:PRUPE_1G494200 transcript:ONI34709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSLKMELMKSDVADSEPQKQQQQQEEEQHFHVLAVDDSLLDRKLLERLLRGSSYQAVTCVESGDEALKYLGLVDDLNQNSTASSSSTSPHSSQVQEGSKVINLIMTDYCMPGMSGYDLLKRVKGSSWKNVPVVVMSSENVPSRISMCLEGGAEEFLLKPLQLSDLKKLQPYLLKSLEHHSCNDNNNNNNVVVNEVGGVDPVDQIKSTACENTVKGNNNNNNVIISKRKAVVAAQTISERRPKMEGLALVV >ONI34707 pep chromosome:Prunus_persica_NCBIv2:G1:41064845:41067268:-1 gene:PRUPE_1G494200 transcript:ONI34707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSLKMELMKSDVADSEPQKQQQQQEEEQHFHVLAVDDSLLDRKLLERLLRGSSYQVTCVESGDEALKYLGLVDDLNQNSTASSSSTSPHSSQVQEGSKVINLIMTDYCMPGMSGYDLLKRVKGSSWKNVPVVVMSSENVPSRISMCLEGGAEEFLLKPLQLSDLKKLQPYLLKSLEHHSCNDNNNNNNVVVNEVGGVDPVDQIKSTACENTVKGNNNNNNVIISKRKAVVAAQTISERRPKMEGLALVV >ONI27824 pep chromosome:Prunus_persica_NCBIv2:G1:8542147:8549299:1 gene:PRUPE_1G106500 transcript:ONI27824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFGGSARNFYGPMVSDLKAVGKKSLEWDLNDCKWDGDLFTASPLNSIPSDFRSRQLFPVQPETPSNAGLSNSSSSGSDDISPGNEKGKRELEKRRRATFVENEELNNEAGSLNLKLGEQAYPIMEGEVQTGKKTKIVGTTLNRAVCQVEDCKADLSHAKDYHRRHKVCDMHSKATKARVGNVLQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNRRRRKTHPDPVVNGGSLNDERGSSYLLISLLRILSNMHSNSSDQTKDQDLLSHLLRSLANLAGTVDGRSISALLPGSQGLLNSGPSVQTAQKVPDTVSNGCEPSRPSVSASKRDDCVNLEDPLRPIRQCTTVPASDLLQKRISSVDADHRSLQVVSGLQAAKPLPSRDSVPSKSVAPDATMGRMQLNGIDLNNTYDDSQDYLENLGSSHSPVNPGTVSLGFPLWMQQESQKSSPPQTSGTSDSTSTQSPSTSSGEGQSRTDRIVFKLFGKDPNDLPFVLRSQILDWLSHSPSDIESYIRPGCIILTIYLRLEKSTWEELCCHLGSNLKRLLHAANDPFWTTGWVYTRVQQNVAFTYNGQVVLDTPLPLKSHKHCRISYVKPIAVSLSERAQFVVKGFNLSRSTTRLKIMVLAAASFHL >ONI27823 pep chromosome:Prunus_persica_NCBIv2:G1:8542094:8549335:1 gene:PRUPE_1G106500 transcript:ONI27823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFGGSARNFYGPMVSDLKAVGKKSLEWDLNDCKWDGDLFTASPLNSIPSDFRSRQLFPVQPETPSNAGLSNSSSSGSDDISPGNEKGKRELEKRRRATFVENEELNNEAGSLNLKLGEQAYPIMEGEVQTGKKTKIVGTTLNRAVCQVEDCKADLSHAKDYHRRHKVCDMHSKATKARVGNVLQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNRRRRKTHPDPVVNGGSLNDERGSSYLLISLLRILSNMHSNSSDQTKDQDLLSHLLRSLANLAGTVDGRSISALLPGSQGLLNSGPSVQTAQKVPDTVSNGCEPSRPSVSASKRDDCVNLEDPLRPIRQCTTVPASDLLQKRISSVDADHRSLQVVSGLQAAKPLPSRDSVPSKSVAPDATMGRMQLNGIDLNNTYDDSQDYLENLGSSHSPVNPGTVSLGFPLWMQQESQKSSPPQTSGTSDSTSTQSPSTSSGEGQSRTDRIVFKLFGKDPNDLPFVLRSQILDWLSHSPSDIESYIRPGCIILTIYLRLEKSTWEELCCHLGSNLKRLLHAANDPFWTTGWVYTRVQQNVAFTYNGQVVLDTPLPLKSHKHCRISYVKPIAVSLSERAQFVVKGFNLSRSTTRLLCALEGKYLAQETCYDLIDSADTTVEHHEQQCLRFSCSIPNVTGRGFIEVEDHGLSSSFFPFIVADQEVCSEICMLEGAIEVAETADDILREPEKLEAKNLAMDFIHELGWLLHRSHTKFRLGHMDPNLDLFPFRRFRLLMEFSMDHDWCAVVKKLLGILFEGTVDAGEHPSIELALLDMSLLHRAVRRKCRSMVELLLRFVPDTGLDKTGSEQKQQVDRDGNNFLFKPDAVGPMGGLTPLHVAASTDGCEIILDALTDDPGKVGIEAWKYARDGTGLTPNDYACLRGRYSYLHIVQRKISKKLESGQVVLDIPGTILDSNSKQKQSDGHKSSKVASLETEKIEIKAMQGHCKLCEMKLAYGNTRSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVVYVFQPFRWELLKYGPS >ONI33060 pep chromosome:Prunus_persica_NCBIv2:G1:35506577:35511527:1 gene:PRUPE_1G403100 transcript:ONI33060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIVIENMGLLCSRNKHYNEADNEENAQTAEIERRIEQETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYILVIHANVYQTIKILYDGSKELAQNNRETYEISSENKEIGEKLSKIGGRLDYPRLTKELAQDIETLWKDAAIQETYARGNELQVPDCANYFMENLQRLADANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAVSEYDQTLFEDESKNRMMETKELFDWVLKQPCFEKTSFMLFLNKFDIFEKKVLNVPLNVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEELYFQSTTPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >ONI33059 pep chromosome:Prunus_persica_NCBIv2:G1:35505984:35511515:1 gene:PRUPE_1G403100 transcript:ONI33059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIVIENMGLLCSRNKHYNEADNEENAQTAEIERRIEQETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYILVIHANVYQTIKILYDGSKELAQNNRETYEISSENKEIGEKLSKIGGRLDYPRLTKELAQDIETLWKDAAIQETYARGNELQVPDCANYFMENLQRLADANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAVSEYDQTLFEDESKNRMMETKELFDWVLKQPCFEKTSFMLFLNKFDIFEKKVLNVPLNVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEELYFQSTTPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >ONI35444 pep chromosome:Prunus_persica_NCBIv2:G1:43807844:43818004:-1 gene:PRUPE_1G536100 transcript:ONI35444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASGDGDGIFKWLLDEISRREKEAERSLMHRFNIATELTERCKNEGEFGLVGILVWLRFMSCRHLTWNKNYNVKPREISEAQDRFTNLLQRIYLNQPNDREIVRLLMTHVGRGGQGDVGQRIRDEILVVQRNNDCKGGMMEEWHQKLHNNSSPDDVIICEALLNYIKSGFRVDVYWKALNTNGLTKEKLASYDRPIVSEPHFRADTKEGLIHDLTAYLKTLKAVHSGADLESAIEVLVPPNKAHDFTSTGFNYVCDLSPKLQECLKFVKVHLGDEDIVQLMEKLLESRIELRPVLIANHRRLKDILFLDLALDSAVRTTMERGLKNLNFAHLPEIMFFISLVLENVCLSTVNNEDLIYCTKDWYHICELYKPNDGQWALQTKAILDRLQLVLADRSQCHQNKIQPSAKYLGNLLGIQKSAIDTFSEELIRAGSAAILSALINRFYPILRKVANLGCWQVISPVDVCGVVLCVNELRSIQNKVYRKPTILIATRVTGEEEIPDGVVAVLTPDVPDVLSHVSIRARNEKVCFATCFDPNILRDLKSKEGKSISILVKSANIIIRDISSSNFSFKSFGTQSNHQGLKLRKKAFCGKYAISVEEFTSEVVGAKSCNLKFLRGKVPTWIKIPTSVAIPFGAFEKVLSEDFNKDIAYKISSFYKCLKGGDLSKLQSIQETILRMNAPISLTSELKSKMRSSGIPWPGDEGDERWNHAWQAIKKVWASKWNERAFISCRKANLDHENICMAVLVQEIICADYAFVIHTKNPLSGDTSEIYTEIVKGLGETLVGAYPGRAMSFITKKSNLSSPIVIGYPSKPIGLYSKKSIIFRSDSNAEDLEGYAGAGLYDSVIMDKEEKIVLDYSRDRMIIDRAFQVSLFSRIAEVGKIVEGLYGRPQDIEGVVKDGVIYVVQSRPQI >ONI35446 pep chromosome:Prunus_persica_NCBIv2:G1:43814510:43821541:-1 gene:PRUPE_1G536100 transcript:ONI35446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSQSPVPRLHHFELVERMKLQINVSGSSRGRNVRLEFQLSNYANSWILHWGCLFRGNMNWFIPNDRSLGSQAYKQGSLQTPFTKKGELYLLTIELRDPNLHAIEFVLKDGSRERWLKLNHGNFRIEIPETDPTTLMPPIPKELIEQNACLAWESKGRPVSSPQQEKQDYEVALRDLQSQMSKGISLNELQCSFSNSSSKRMVDNREQLRSGMSYPYKRKHNVEQWLQKHSTGSAKNASMPNSALMDLVDKSMGGDDVVSRISYHVGNYEIVVLSKMVRGEYHIFVAMNMRGAIVLHWGVSKLSPGEWLAPPPEILPKKSNLVPGACQTYFTDISTGKGSFQVVDINLQQSNLLGIQFVIWSGGSSWIKNNGTNFFVGVTPVISSGKASGDGDGIFKWLLDEISRREKEAERSLMHRFNIATELTERCKNEGEFGLVGILVWLRFMSCRHLTWNKNYNVKPREISEAQDRFTNLLQRIYLNQPNDREIVRLLMTHVGRGGQGDVGQRIRDEILVVQRNNDCKGGMMEEWHQKLHNNSSPDDVIICEALLNYIKSGFRVDVYWKALNTNGLTKEKLASYDRPIVSEPHFRADTKEGLIHDLTAYLKTLKAVHSGADLESAIEVLVPPNKAHDFTSTGFNYVCDLSPKLQECLKFVKVHLGDEDIVQLMEVMLYYKFSNFDASI >ONI35442 pep chromosome:Prunus_persica_NCBIv2:G1:43807844:43821560:-1 gene:PRUPE_1G536100 transcript:ONI35442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSQSPVPRLHHFELVERMKLQINVSGSSRGRNVRLEFQLSNYANSWILHWGCLFRGNMNWFIPNDRSLGSQAYKQGSLQTPFTKKGELYLLTIELRDPNLHAIEFVLKDGSRERWLKLNHGNFRIEIPETDPTTLMPPIPKELIEQNACLAWESKGRPVSSPQQEKQDYEVALRDLQSQMSKGISLNELQCSFSNSSSKRMVDNREQLRSGMSYPYKRKHNVEQWLQKHSTGSAKNASMPNSALMDLVDKSMGGDDVVSRISYHVGNYEIVVLSKMVRGEYHIFVAMNMRGAIVLHWGVSKLSPGEWLAPPPEILPKKSNLVPGACQTYFTDISTGKGSFQVVDINLQQSNLLGIQFVIWSGGSSWIKNNGTNFFVGVTPVISSGKASGDGDGIFKWLLDEISRREKEAERSLMHRFNIATELTERCKNEGEFGLVGILVWLRFMSCRHLTWNKNYNVKPREISEAQDRFTNLLQRIYLNQPNDREIVRLLMTHVGRGGQGDVGQRIRDEILVVQRNNDCKGGMMEEWHQKLHNNSSPDDVIICEALLNYIKSGFRVDVYWKALNTNGLTKEKLASYDRPIVSEPHFRADTKEGLIHDLTAYLKTLKAVHSGADLESAIEVLVPPNKAHDFTSTGFNYVCDLSPKLQECLKFVKVHLGDEDIVQLMEKLLESRIELRPVLIANHRRLKDILFLDLALDSAVRTTMERGLKNLNFAHLPQEIMFFISLVLENVCLSTVNNEDLIYCTKDWYHICELYKPNDGQWALQTKAILDRLQLVLADRSQCHQNKIQPSAKYLGNLLGIQKSAIDTFSEELIRAGSAAILSALINRFYPILRKVANLGCWQVISPVDVCGVVLCVNELRSIQNKVYRKPTILIATRVTGEEEIPDGVVAVLTPDVPDVLSHVSIRARNEKVCFATCFDPNILRDLKSKEGKSISILVKSANIIIRDISSSNFSFKSFGTQSNHQGLKLRKKAFCGKYAISVEEFTSEVGAKSCNLKFLRGKVPTWIKIPTSVAIPFGAFEKVLSEDFNKDIAYKISSFYKCLKGGDLSKLQSIQETILRMNAPISLTSELKSKMRSSGIPWPGDEGDERWNHAWQAIKKVWASKWNERAFISCRKANLDHENICMAVLVQEIICADYAFVIHTKNPLSGDTSEIYTEIVKGLGETLVGAYPGRAMSFITKKSNLSSPIVIGYPSKPIGLYSKKSIIFRSDSNAEDLEGYAGAGLYDSVIMDKEEKIVLDYSRDRMIIDRAFQVSLFSRIAEVGKIVEGLYGRPQDIEGVVKDGVIYVVQSRPQI >ONI35441 pep chromosome:Prunus_persica_NCBIv2:G1:43808065:43821323:-1 gene:PRUPE_1G536100 transcript:ONI35441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSQSPVPRLHHFELVERMKLQINVSGSSRGRNVRLEFQLSNYANSWILHWGCLFRGNMNWFIPNDRSLGSQAYKQGSLQTPFTKKGELYLLTIELRDPNLHAIEFVLKDGSRERWLKLNHGNFRIEIPETDPTTLMPPIPKELIEQNACLAWESKGRPVSSPQQEKQDYEVALRDLQSQMSKGISLNELQCSFSNSSSKRMVDNREQLRSGMSYPYKRKHNVEQWLQKHSTGSAKNASMPNSALMDLVDKSMGGDDVVSRISYHVGNYEIVVLSKMVRGEYHIFVAMNMRGAIVLHWGVSKLSPGEWLAPPPEILPKKSNLVPGACQTYFTDISTGKGSFQVVDINLQQSNLLGIQFVIWSGGSSWIKNNGTNFFVGVTPVISSGKASGDGDGIFKWLLDEISRREKEAERSLMHRFNIATELTERCKNEGEFGLVGILVWLRFMSCRHLTWNKNYNVKPREISEAQDRFTNLLQRIYLNQPNDREIVRLLMTHVGRGGQGDVGQRIRDEILVVQRNNDCKGGMMEEWHQKLHNNSSPDDVIICEALLNYIKSGFRVDVYWKALNTNGLTKEKLASYDRPIVSEPHFRADTKEGLIHDLTAYLKTLKAVHSGADLESAIEVLVPPNKAHDFTSTGFNYVCDLSPKLQECLKFVKVHLGDEDIVQLMEKLLESRIELRPVLIANHRRLKDILFLDLALDSAVRTTMERGLKNLNFAHLPEIMFFISLVLENVCLSTVNNEDLIYCTKDWYHICELYKPNDGQWALQTKAILDRLQLVLADRSQCHQNKIQPSAKYLGNLLGIQKSAIDTFSEELIRAGSAAILSALINRFYPILRKVANLGCWQVISPVDVCGVVLCVNELRSIQNKVYRKPTILIATRVTGEEEIPDGVVAVLTPDVPDVLSHVSIRARNEKVCFATCFDPNILRDLKSKEGKSISILVKSANIIIRDISSSNFSFKSFGTQSNHQGLKLRKKAFCGKYAISVEEFTSEVVGAKSCNLKFLRGKVPTWIKIPTSVAIPFGAFEKVLSEDFNKDIAYKISSFYKCLKGGDLSKLQSIQETILRMNAPISLTSELKSKMRSSGIPWPGDEGDERWNHAWQAIKKVWASKWNERAFISCRKANLDHENICMAVLVQEIICADYAFVIHTKNPLSGDTSEIYTEIVKGLGETLVGAYPGRAMSFITKKSNLSSPIVIGYPSKPIGLYSKKSIIFRSDSNAEDLEGYAGAGLYDSVIMDKEEKIVLDYSRDRMIIDRAFQVSLFSRIAEVGKIVEGLYGRPQDIEGVVKDGVIYVVQSRPQI >ONI35440 pep chromosome:Prunus_persica_NCBIv2:G1:43807844:43821560:-1 gene:PRUPE_1G536100 transcript:ONI35440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSQSPVPRLHHFELVERMKLQINVSGSSRGRNVRLEFQLSNYANSWILHWGCLFRGNMNWFIPNDRSLGSQAYKQGSLQTPFTKKGELYLLTIELRDPNLHAIEFVLKDGSRERWLKLNHGNFRIEIPETDPTTLMPPIPKELIEQNACLAWESKGRPVSSPQQEKQDYEVALRDLQSQMSKGISLNELQCSFSNSSSKRMVDNREQLRSGMSYPYKRKHNVEQWLQKHSTGSAKNASMPNSALMDLVDKSMGGDDVVSRISYHVGNYEIVVLSKMVRGEYHIFVAMNMRGAIVLHWGVSKLSPGEWLAPPPEILPKKSNLVPGACQTYFTDISTGKGSFQVVDINLQQSNLLGIQFVIWSGGSSWIKNNGTNFFVGVTPVISSGKASGDGDGIFKWLLDEISRREKEAERSLMHRFNIATELTERCKNEGEFGLVGILVWLRFMSCRHLTWNKNYNVKPREISEAQDRFTNLLQRIYLNQPNDREIVRLLMTHVGRGGQGDVGQRIRDEILVVQRNNDCKGGMMEEWHQKLHNNSSPDDVIICEALLNYIKSGFRVDVYWKALNTNGLTKEKLASYDRPIVSEPHFRADTKEGLIHDLTAYLKTLKAVHSGADLESAIEVLVPPNKAHDFTSTGFNYVCDLSPKLQECLKFVKVHLGDEDIVQLMEKLLESRIELRPVLIANHRRLKDILFLDLALDSAVRTTMERGLKNLNFAHLPEIMFFISLVLENVCLSTVNNEDLIYCTKDWYHICELYKPNDGQWALQTKAILDRLQLVLADRSQCHQNKIQPSAKYLGNLLGIQKSAIDTFSEELIRAGSAAILSALINRFYPILRKVANLGCWQVISPVDVCGVVLCVNELRSIQNKVYRKPTILIATRVTGEEEIPDGVVAVLTPDVPDVLSHVSIRARNEKVCFATCFDPNILRDLKSKEGKSISILVKSANIIIRDISSSNFSFKSFGTQSNHQGLKLRKKAFCGKYAISVEEFTSEVVGAKSCNLKFLRGKVPTWIKIPTSVAIPFGAFEKVLSEDFNKTSELKSKMRSSGIPWPGDEGDERWNHAWQAIKKVWASKWNERAFISCRKANLDHENICMAVLVQEIICADYAFVIHTKNPLSGDTSEIYTEIVKGLGETLVGAYPGRAMSFITKKSNLSSPIVIGYPSKPIGLYSKKSIIFRSDSNAEDLEGYAGAGLYDSVIMDKEEKIVLDYSRDRMIIDRAFQVSLFSRIAEVGKIVEGLYGRPQDIEGVVKDGVIYVVQSRPQI >ONI35439 pep chromosome:Prunus_persica_NCBIv2:G1:43807844:43821560:-1 gene:PRUPE_1G536100 transcript:ONI35439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSQSPVPRLHHFELVERMKLQINVSGSSRGRNVRLEFQLSNYANSWILHWGCLFRGNMNWFIPNDRSLGSQAYKQGSLQTPFTKKGELYLLTIELRDPNLHAIEFVLKDGSRERWLKLNHGNFRIEIPETDPTTLMPPIPKELIEQNACLAWESKGRPVSSPQQEKQDYEVALRDLQSQMSKGISLNELQCSFSNSSSKRMVDNREQLRSGMSYPYKRKHNVEQWLQKHSTGSAKNASMPNSALMDLVDKSMGGDDVVSRISYHVGNYEIVVLSKMVRGEYHIFVAMNMRGAIVLHWGVSKLSPGEWLAPPPEILPKKSNLVPGACQTYFTDISTGKGSFQVVDINLQQSNLLGIQFVIWSGGSSWIKNNGTNFFVGVTPVISSGKASGDGDGIFKWLLDEISRREKEAERSLMHRFNIATELTERCKNEGEFGLVGILVWLRFMSCRHLTWNKNYNVKPREISEAQDRFTNLLQRIYLNQPNDREIVRLLMTHVGRGGQGDVGQRIRDEILVVQRNNDCKGGMMEEWHQKLHNNSSPDDVIICEALLNYIKSGFRVDVYWKALNTNGLTKEKLASYDRPIVSEPHFRADTKEGLIHDLTAYLKTLKAVHSGADLESAIEVLVPPNKAHDFTSTGFNYVCDLSPKLQECLKFVKVHLGDEDIVQLMEKLLESRIELRPVLIANHRRLKDILFLDLALDSAVRTTMERGLKNLNFAHLPEIMFFISLVLENVCLSTVNNEDLIYCTKDWYHICELYKPNDGQWALQTKAILDRLQLVLADRSQCHQNKIQPSAKYLGNLLGIQKSAIDTFSEELIRAGSAAILSALINRFYPILRKVANLGCWQVISPVDVCGVVLCVNELRSIQNKVYRKPTILIATRVTGEEEIPDGVVAVLTPDVPDVLSHVSIRARNEKVCFATCFDPNILRDLKSKEGKSISILVKSANIIIRDISSSNFSFKSFGTQSNHQGLKLRKKAFCGKYAISVEEFTSEVGAKSCNLKFLRGKVPTWIKIPTSVAIPFGAFEKVLSEDFNKDIAYKISSFYKCLKGGDLSKLQSIQETILRMNAPISLTSELKSKMRSSGIPWPGDEGDERWNHAWQAIKKVWASKWNERAFISCRKANLDHENICMAVLVQEIICADYAFVIHTKNPLSGDTSEIYTEIVKGLGETLVGAYPGRAMSFITKKSNLSSPIVIGYPSKPIGLYSKKSIIFRSDSNAEDLEGYAGAGLYDSVIMDKEEKIVLDYSRDRMIIDRAFQVSLFSRIAEVGKIVEGLYGRPQDIEGVVKDGVIYVVQSRPQI >ONI35443 pep chromosome:Prunus_persica_NCBIv2:G1:43808065:43821323:-1 gene:PRUPE_1G536100 transcript:ONI35443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSQSPVPRLHHFELVERMKLQINVSGSSRGRNVRLEFQLSNYANSWILHWGCLFRGNMNWFIPNDRSLGSQAYKQGSLQTPFTKKGELYLLTIELRDPNLHAIEFVLKDGSRERWLKLNHGNFRIEIPETDPTTLMPPIPKELIEQNACLAWESKGRPVSSPQQEKQDYEVALRDLQSQMSKGISLNELQCSFSNSSSKRMVDNREQLRSGMSYPYKRKHNVEQWLQKHSTGSAKNASMPNSALMDLVDKSMGGDDVVSRISYHVGNYEIVVLSKMVRGEYHIFVAMNMRGAIVLHWGVSKLSPGEWLAPPPEILPKKSNLVPGACQTYFTDISTGKGSFQVVDINLQQSNLLGIQFVIWSGGSSWIKNNGTNFFVGVTPVISSGKASGDGDGIFKWLLDEISRREKEAERSLMHRFNIATELTERCKNEGEFGLVGILVWLRFMSCRHLTWNKNYNVKPREISEAQDRFTNLLQRIYLNQPNDREIVRLLMTHVGRGGQGDVGQRIRDEILVVQRNNDCKGGMMEEWHQKLHNNSSPDDVIICEALLNYIKSGFRVDVYWKALNTNGLTKEKLASYDRPIVSEPHFRADTKEGLIHDLTAYLKTLKAVHSGADLESAIEVLVPPNKAHDFTSTGFNYVCDLSPKLQECLKFVKVHLGDEDIVQLMEKLLESRIELRPVLIANHRRLKDILFLDLALDSAVRTTMERGLKNLNFAHLPQEIMFFISLVLENVCLSTVNNEDLIYCTKDWYHICELYKPNDGQWALQTKAILDRLQLVLADRSQCHQNKIQPSAKYLGNLLGIQKSAIDTFSEELIRAGSAAILSALINRFYPILRKVANLGCWQVISPVDVCGVVLCVNELRSIQNKVYRKPTILIATRVTGEEEIPDGVVAVLTPDVPDVLSHVSIRARNEKVCFATCFDPNILRDLKSKEGKSISILVKSANIIIRDISSSNFSFKSFGTQSNHQGLKLRKKAFCGKYAISVEEFTSEVVGAKSCNLKFLRGKVPTWIKIPTSVAIPFGAFEKVLSEDFNKDIAYKISSFYKCLKGGDLSKLQSIQETILRMNAPISLTSELKSKMRSSGIPWPGDEGDERWNHAWQAIKKVWASKWNERAFISCRKANLDHENICMAVLVQEIICADYAFVIHTKNPLSGDTSEIYTEIVKGLGETLVGAYPGRAMSFITKKSNLSSPIVIGYPSKPIGLYSKKSIIFRSDSNAEDLEGYAGAGLYDSVIMDKEEKIVLDYSRDRMIIDRAFQVSLFSRIAEVGKIVEGLYGRPQDIEGVVKDGVIYVVQSRPQI >ONI35438 pep chromosome:Prunus_persica_NCBIv2:G1:43807844:43821574:-1 gene:PRUPE_1G536100 transcript:ONI35438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSQSPVPRLHHFELVERMKLQINVSGSSRGRNVRLEFQLSNYANSWILHWGCLFRGNMNWFIPNDRSLGSQAYKQGSLQTPFTKKGELYLLTIELRDPNLHAIEFVLKDGSRERWLKLNHGNFRIEIPETDPTTLMPPIPKELIEQNACLAWESKGRPVSSPQQEKQDYEVALRDLQSQMSKGISLNELQCSFSNSSSKRMVDNREQLRSGMSYPYKRKHNVEQWLQKHSTGSAKNASMPNSALMDLVDKSMGGDDVVSRISYHVGNYEIVVLSKMVRGEYHIFVAMNMRGAIVLHWGVSKLSPGEWLAPPPEILPKKSNLVPGACQTYFTDISTGKGSFQVVDINLQQSNLLGIQFVIWSGGSSWIKNNGTNFFVGVTPVISSGKASGDGDGIFKWLLDEISRREKEAERSLMHRFNIATELTERCKNEGEFGLVGILVWLRFMSCRHLTWNKNYNVKPREISEAQDRFTNLLQRIYLNQPNDREIVRLLMTHVGRGGQGDVGQRIRDEILVVQRNNDCKGGMMEEWHQKLHNNSSPDDVIICEALLNYIKSGFRVDVYWKALNTNGLTKEKLASYDRPIVSEPHFRADTKEGLIHDLTAYLKTLKAVHSGADLESAIEVLVPPNKAHDFTSTGFNYVCDLSPKLQECLKFVKVHLGDEDIVQLMEKLLESRIELRPVLIANHRRLKDILFLDLALDSAVRTTMERGLKNLNFAHLPEIMFFISLVLENVCLSTVNNEDLIYCTKDWYHICELYKPNDGQWALQTKAILDRLQLVLADRSQCHQNKIQPSAKYLGNLLGIQKSAIDTFSEELIRAGSAAILSALINRFYPILRKVANLGCWQVISPVDVCGVVLCVNELRSIQNKVYRKPTILIATRVTGEEEIPDGVVAVLTPDVPDVLSHVSIRARNEKVCFATCFDPNILRDLKSKEGKSISILVKSANIIIRDISSSNFSFKSFGTQSNHQGLKLRKKAFCGKYAISVEEFTSEVGAKSCNLKFLRGKVPTWIKIPTSVAIPFGAFEKVLSEDFNKTSELKSKMRSSGIPWPGDEGDERWNHAWQAIKKVWASKWNERAFISCRKANLDHENICMAVLVQEIICADYAFVIHTKNPLSGDTSEIYTEIVKGLGETLVGAYPGRAMSFITKKSNLSSPIVIGYPSKPIGLYSKKSIIFRSDSNAEDLEGYAGAGLYDSVIMDKEEKIVLDYSRDRMIIDRAFQVSLFSRIAEVGKIVEGLYGRPQDIEGVVKDGVIYVVQSRPQI >ONI35437 pep chromosome:Prunus_persica_NCBIv2:G1:43807844:43821560:-1 gene:PRUPE_1G536100 transcript:ONI35437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSQSPVPRLHHFELVERMKLQINVSGSSRGRNVRLEFQLSNYANSWILHWGCLFRGNMNWFIPNDRSLGSQAYKQGSLQTPFTKKGELYLLTIELRDPNLHAIEFVLKDGSRERWLKLNHGNFRIEIPETDPTTLMPPIPKELIEQNACLAWESKGRPVSSPQQEKQDYEVALRDLQSQMSKGISLNELQCSFSNSSSKRMVDNREQLRSGMSYPYKRKHNVEQWLQKHSTGSAKNASMPNSALMDLVDKSMGGDDVVSRISYHVGNYEIVVLSKMVRGEYHIFVAMNMRGAIVLHWGVSKLSPGEWLAPPPEILPKKSNLVPGACQTYFTDISTGKGSFQVVDINLQQSNLLGIQFVIWSGGSSWIKNNGTNFFVGVTPVISSGKASGDGDGIFKWLLDEISRREKEAERSLMHRFNIATELTERCKNEGEFGLVGILVWLRFMSCRHLTWNKNYNVKPREISEAQDRFTNLLQRIYLNQPNDREIVRLLMTHVGRGGQGDVGQRIRDEILVVQRNNDCKGGMMEEWHQKLHNNSSPDDVIICEALLNYIKSGFRVDVYWKALNTNGLTKEKLASYDRPIVSEPHFRADTKEGLIHDLTAYLKTLKAVHSGADLESAIEVLVPPNKAHDFTSTGFNYVCDLSPKLQECLKFVKVHLGDEDIVQLMEKLLESRIELRPVLIANHRRLKDILFLDLALDSAVRTTMERGLKNLNFAHLPIMFFISLVLENVCLSTVNNEDLIYCTKDWYHICELYKPNDGQWALQTKAILDRLQLVLADRSQCHQNKIQPSAKYLGNLLGIQKSAIDTFSEELIRAGSAAILSALINRFYPILRKVANLGCWQVISPVDVCGVVLCVNELRSIQNKVYRKPTILIATRVTGEEEIPDGVVAVLTPDVPDVLSHVSIRARNEKVCFATCFDPNILRDLKSKEGKSISILVKSANIIIRDISSSNFSFKSFGTQSNHQGLKLRKKAFCGKYAISVEEFTSEVVGAKSCNLKFLRGKVPTWIKIPTSVAIPFGAFEKVLSEDFNKDIAYKISSFYKCLKGGDLSKLQSIQETILRMNAPISLTSELKSKMRSSGIPWPGDEGDERWNHAWQAIKKVWASKWNERAFISCRKANLDHENICMAVLVQEIICADYAFVIHTKNPLSGDTSEIYTEIVKGLGETLVGAYPGRAMSFITKKSNLSSPIVIGYPSKPIGLYSKKSIIFRSDSNAEDLEGYAGAGLYDSVIMDKEEKIVLDYSRDRMIIDRAFQVSLFSRIAEVGKIVEGLYGRPQDIEGVVKDGVIYVVQSRPQI >ONI28052 pep chromosome:Prunus_persica_NCBIv2:G1:9328303:9329555:-1 gene:PRUPE_1G119300 transcript:ONI28052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMMSKLRNLDAYPKINEDFYNRTLSGGVITLASRIDSLSYFVEIEMQSGVDTSQDLISAYEISPIHHSDIRRHFRSICALLRFRRFFCLEASASLKMKRRRLDV >ONI28053 pep chromosome:Prunus_persica_NCBIv2:G1:9328770:9329012:-1 gene:PRUPE_1G119300 transcript:ONI28053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWFVFGRRIDSLSYFVEIEMQSGVDTSQDLISAYEISPIHHSDIRRHFRSICALLRFRRFFCLEASASLKMKRRRLDV >ONI35568 pep chromosome:Prunus_persica_NCBIv2:G1:44391412:44397248:1 gene:PRUPE_1G543300 transcript:ONI35568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANAATQKKLVQSVTVRVPTQTHSSSSSSSASRRSVRSRGSGCCSVRNRIHYPSNFKRSAAPARVMFFQNGSWNDLPDHVIEILRSGFVERKAVVSVEIDGSTFLFDFMRMLQIDSGTGAQRSIAWIDENGKCFFPVAFVCEDLKDGSDSLDSPKRSIDNRVVIPGRVLGKRKTDEVDEDEVTSSIRQRIVDKSSPWPNMKLLKEGEKPYAVGSQVFLSGMRRVDPAAAVTSIHQCVRTGPLDRARYEVFQKQVEMTKVARGVANVVHAWYGASASEIRGILTHGFGGPSKVSGPQSRGVGLYLSHLSAPHLSAGRSEPDDNSEKHAILCRVILGNVEKVEAGSQQCHPSSTEFDTGVDDLRNPKWYVVWSTNMNRHVLPDCVVSYRSSVRVPAQMGRLCSIDALVSRVQKYLTPSKVEELSSLVCDLKVGKLAKSDFVRQFRSVTGDQLKPSVIRELRG >ONI28824 pep chromosome:Prunus_persica_NCBIv2:G1:13133384:13135261:-1 gene:PRUPE_1G163500 transcript:ONI28824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSQENLVYGIKLSSVGPGRITGSDVVHDPSGMDLAMKLHYLRGVYFFSSQAAQGLTIVRMKEATFTWLNVHYRACGRFRRSESGRPYLKCNDCGVRFLEAKCDKTIEEWLEMDLSHQKLLASNQVIGPELFFSPLVLFQVTHFRCGGISLGLSWAHVLGDAFAASDFVNGLGQIMSALEPNRLPNYTTSNKKFQKLENPPPPLSIKQVNPVGDHWITPINCKMETLSFPITATQLNNLQFKILGQNQIHQIPIFELISAIIWQCVAKVRGSEPKLVTICKNDPKERARGEGSNSQIISTVKADFSITDADPKKLATLLVKQAGNERSQIEEAMENDNGVADFVVYGANLTFVNWEDADFYGLEVKGHKPVYVLYNIQGVGDEGTVLLLPGPKDFGVGRGEGRVVNIILPEKEVFGLKSELRKNDLLLENELE >ONI27498 pep chromosome:Prunus_persica_NCBIv2:G1:6806288:6809969:-1 gene:PRUPE_1G090300 transcript:ONI27498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQAAGLESPLLPAPQEHESQTTKGKQTKDEIIKEVKKQLSLAGPLVSSNFLLFGMQVISVMYVGHLGELALAGASMATSFATVTGLSLIIGMSFALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGIATLLHLIVCGVLVYKTSLGYRGAAVANSISYWINALTLVIYVRVSPSCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMVFMIPMAFSGAASTRVSNQLGAGQPRLAVLSVRVALSIVILEGILIGTVLILGRKVWGYCYSKEIEVVNYVGEMLILVAISHFFDGLQSVLSGVIRGSGQQKIGAYVNLGAYYLMGIPTAVVLAFVLHLGGKGLWTGITVALFSQTLFLAIIITRTDWEKEVKKASDRVYDTKTAANVS >ONI27497 pep chromosome:Prunus_persica_NCBIv2:G1:6806288:6809954:-1 gene:PRUPE_1G090300 transcript:ONI27497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQAAGLESPLLPAPQEHESQTTKGKQTKDEIIKEVKKQLSLAGPLVSSNFLLFGMQVISVMYVGHLGELALAGASMATSFATVTGLSLIIGMSFALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGIATLLHLIVCGVLVYKTSLGYRGAAVANSISYWINALTLVIYVRVSPSCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMVFMIPMAFSGAASTRVSNQLGAGQPRLAVLSVRVALSIVILEGILIGTVLILGRKVWGYCYSKEIEVVNYVGEMLILVAISHFFDGLQSVLSGVIRGSGQQKIGAYVNLGAYYLMGIPTAVVLAFVLHLGGKVKKASDRVYDTKTAANVS >ONI27502 pep chromosome:Prunus_persica_NCBIv2:G1:6807160:6809781:-1 gene:PRUPE_1G090300 transcript:ONI27502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQAAGLESPLLPAPQEHESQTTKGKQTKDEIIKEVKKQLSLAGPLVSSNFLLFGMQVISVMYVGHLGELALAGASMATSFATVTGLSLIIGMSFALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGIATLLHLIVCGVLVYKTSLGYRGAAVANSISYWINALTLVIYVRVSPSCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMVFMIPMAFSGAASTRVSNQLGAGQPRLAVLSVRVALSIVILEGILIGTVLILGRKVWGYCYSKEIEVVNYVGEMLILVAISHFFDGLQSVLSGKIFYS >ONI27496 pep chromosome:Prunus_persica_NCBIv2:G1:6806288:6809992:-1 gene:PRUPE_1G090300 transcript:ONI27496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQAAGLESPLLPAPQEHESQTTKGKQTKDEIIKEVKKQLSLAGPLVSSNFLLFGMQIGMSFALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGIATLLHLIVCGVLVYKTSLGYRGAAVANSISYWINALTLVIYVRVSPSCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMVFMIPMAFSGAASTRVSNQLGAGQPRLAVLSVRVALSIVILEGILIGTVLILGRKVWGYCYSKEIEVVNYVGEMLILVAISHFFDGLQSVLSGVIRGSGQQKIGAYVNLGAYYLMGIPTAVVLAFVLHLGGKGLWTGITVALFSQTLFLAIIITRTDWEKEVKKASDRVYDTKTAANVS >ONI27500 pep chromosome:Prunus_persica_NCBIv2:G1:6806288:6809954:-1 gene:PRUPE_1G090300 transcript:ONI27500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQAAGLESPLLPAPQEHESQTTKGKQTKDEIIKEVKKQLSLAGPLVSSNFLLFGMQVISVMYVGHLGELALAGASMATSFATVTGLSLIIGMSFALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGIATLLHLIVCGVLVYKTSLGYRGAAVANSISYWINALTLVIYVRVSPSCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMVFMIPMAFSGAASTRVSNQLGAGQPRLAVLSVS >ONI27499 pep chromosome:Prunus_persica_NCBIv2:G1:6806288:6810045:-1 gene:PRUPE_1G090300 transcript:ONI27499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQAAGLESPLLPAPQEHESQTTKGKQTKDEIIKEVKKQLSLAGPLVSSNFLLFGMQIGMSFALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGIATLLHLIVCGVLVYKTSLGYRGAAVANSISYWINALTLVIYVRVSPSCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMVFMIPMAFSGAASTRVSNQLGAGQPRLAVLSVS >ONI27501 pep chromosome:Prunus_persica_NCBIv2:G1:6807160:6809781:-1 gene:PRUPE_1G090300 transcript:ONI27501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQAAGLESPLLPAPQEHESQTTKGKQTKDEIIKEVKKQLSLAGPLVSSNFLLFGMQIGMSFALDTFCGQSYGAKQYHMLGIHLQRAMLVLLLVCIPLSIIWFNAGHILEFLGQDPEIAAAAGSYARFLIPCLFAYAVNQCHSKFLQSQNNVVPMIVSTGIATLLHLIVCGVLVYKTSLGYRGAAVANSISYWINALTLVIYVRVSPSCKHTWTGFSKDAFHGIVNFLKLSVPSAVMISLEIWSFEMMVLLSGFLPNPKLETSVLSISLNTCSMVFMIPMAFSGAASTRVSNQLGAGQPRLAVLSVRVALSIVILEGILIGTVLILGRKVWGYCYSKEIEVVNYVGEMLILVAISHFFDGLQSVLSGKIFYS >ONI32515 pep chromosome:Prunus_persica_NCBIv2:G1:33813067:33817350:1 gene:PRUPE_1G370600 transcript:ONI32515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRGSRSEKVKRIFHQFDENHDGGLNRDEMAALVVAVNPRVKFSDEQINAILDEVFRTYGDFIDGEKGLTYEGLLRTYDDGAGDVDRDFDALGLELTLDETKASMASEASSSSIVDERLVESQKKQRTAAWAVSPNHGIVFDDTWKIVDDLEILVKRLKAKQAKDGKLKADNIDAFSDAGWSRELGPSSEISDKRVFWEESGHEYAAFVKELGVLRSRADGARSREQAFDGHMAIGRVLYEHQLFKEALVSFKRACELQPVDVRPHFRAGNCLYVLGRYKEAKDEFLLALEAAEAGGNQWAYLLPQIYVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYRAAVKALEEAIFMKPDYADAHCDLASALHAMGDDDKAIVIFQKAIDLKPGHVDALYNLGGLYMDAGRFPRASEMYTRVLAVWPNHWRAQLNKAVSLLGARETEEAKKALKEALKMTNRVELHDAIAHLKQLQKKKVKGNGGANGEGSFVVVEPTKFRTVGERTTLRQDLANALEIRAFQRITRLSRCDVELLKKEMNDGDVPVSYSGTGVPQRSIRKPNLEEILRRLLDFLKPETFQGAVKAINERILSVFDDTGSGRVDLGMFFAVLAPICSGLPEKRKRVAFDALLWRPVNEGGGAQIRKVDATRYIKLLRAIYVPSHGVSEMLELHGEDLSMMSFTEFLVMFDDTDWGFGIMSTLLKLETGDRNRHGNRICSVCRYPIIGSRFKEIKSHFSLCNQCYSEGKVPPALKQEEYKFREYGSEAEAMKDKCKCFTLQSHSDP >ONI35043 pep chromosome:Prunus_persica_NCBIv2:G1:42129546:42134831:1 gene:PRUPE_1G511800 transcript:ONI35043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHSNNEELETKEMDTRSMSSSRNHSQGTPYIHKVGLPPKQKLFKEFTNTIKETFFSDDPLRPFKHQPKSRKFILGVQAIFPIFEWGRGYNLLKFRGDLIAGLTIASLCIPQDIGYSKLANLAPQYGLYSSFVPPLIYAIMGSSRDIAIGPVAVVSLLLGTLLQNEIDPTKNPEDYRRLAFTATFFAGITQATLGILRMGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIRKFTKKADIVSVMQSVFQSAHHGWNWQTMVIGASFLTFLLFAKYIGKKKKNLFWVPAIAPLISVILSTFFVYITHAEKNGVEIVRHIEKGINPPSVNEIFFTGDYLAKGFKIGVVAGMIALTEAIAIGRTFATMKDYQLDGNKEMVALGTMNIVGSMTSCYVSTGSFSRSAVNYMAGCQTAVSNIVMSCVVFLTLQFITPLFKYTPNAILAAIIISAVINLIDFQAAILIWKIDKFDFVACMGAFFGVIFVSVEIGLLIAVSISFAKILLQVTRPRTAILGKIPNTTVYRNIQQYPEATKVPGVMIVRVDSAIYFSNSNYIKERILRWLADEEELLKEAYLPSIEFLIVEMSPVTDIDTSGIHALEELHSSLRKRDIQLVLANPGPVVIDKIHASHVANLIGEDRIFLTVAEAVSSCSPKLVEEA >ONI35040 pep chromosome:Prunus_persica_NCBIv2:G1:42129303:42134831:1 gene:PRUPE_1G511800 transcript:ONI35040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHSNNEELETKEMDTRSMSSSRNHSQGTPYIHKVGLPPKQKLFKEFTNTIKETFFSDDPLRPFKHQPKSRKFILGVQAIFPIFEWGRGYNLLKFRGDLIAGLTIASLCIPQDIGYSKLANLAPQYGLYSSFVPPLIYAIMGSSRDIAIGPVAVVSLLLGTLLQNEIDPTKNPEDYRRLAFTATFFAGITQATLGILRMGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIRKFTKKADIVSVMQSVFQSAHHGWNWQTMVIGASFLTFLLFAKYIGKKKKNLFWVPAIAPLISVILSTFFVYITHAEKNGVEIVRHIEKGINPPSVNEIFFTGDYLAKGFKIGVVAGMIALTEAIAIGRTFATMKDYQLDGNKEMVALGTMNIVGSMTSCYVSTGSFSRSAVNYMAGCQTAVSNIVMSCVVFLTLQFITPLFKYTPNAILAAIIISAVINLIDFQAAILIWKIDKFDFVACMGAFFGVIFVSVEIGLLIAVSISFAKILLQVTRPRTAILGKIPNTTVYRNIQQYPEATKVPGVMIVRVDSAIYFSNSNYIKERILRWLADEEELLKEAYLPSIEFLIVEMSPVTDIDTSGIHALEELHSSLRKRDIQLVLANPGPVVIDKIHASHVANLIGEDRIFLTVAEAVSSCSPKLVEEA >ONI35041 pep chromosome:Prunus_persica_NCBIv2:G1:42130199:42134831:1 gene:PRUPE_1G511800 transcript:ONI35041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHSNNEELETKEMDTRSMSSSRNHSQGTPYIHKVGLPPKQKLFKEFTNTIKETFFSDDPLRPFKHQPKSRKFILGVQAIFPIFEWGRGYNLLKFRGDLIAGLTIASLCIPQDIGYSKLANLAPQYGLYSSFVPPLIYAIMGSSRDIAIGPVAVVSLLLGTLLQNEIDPTKNPEDYRRLAFTATFFAGITQATLGILRMGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIRKFTKKADIVSVMQSVFQSAHHGWNWQTMVIGASFLTFLLFAKYIGKKKKNLFWVPAIAPLISVILSTFFVYITHAEKNGVEIVRHIEKGINPPSVNEIFFTGDYLAKGFKIGVVAGMIALTEAIAIGRTFATMKDYQLDGNKEMVALGTMNIVGSMTSCYVSTGSFSRSAVNYMAGCQTAVSNIVMSCVVFLTLQFITPLFKYTPNAILAAIIISAVINLIDFQAAILIWKIDKFDFVACMGAFFGVIFVSVEIGLLIAVSISFAKILLQVTRPRTAILGKIPNTTVYRNIQQYPEATKVPGVMIVRVDSAIYFSNSNYIKERILRWLADEEELLKEAYLPSIEFLIVEMSPVTDIDTSGIHALEELHSSLRKRDIQLVLANPGPVVIDKIHASHVANLIGEDRIFLTVAEAVSSCSPKLVEEA >ONI35044 pep chromosome:Prunus_persica_NCBIv2:G1:42130850:42134283:1 gene:PRUPE_1G511800 transcript:ONI35044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSIFMVGSNDCSQLENQDIGYSKLANLAPQYGLYSSFVPPLIYAIMGSSRDIAIGPVAVVSLLLGTLLQNEIDPTKNPEDYRRLAFTATFFAGITQATLGILRMGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIRKFTKKADIVSVMQSVFQSAHHGWNWQTMVIGASFLTFLLFAKYIGKKKKNLFWVPAIAPLISVILSTFFVYITHAEKNGVEIVRHIEKGINPPSVNEIFFTGDYLAKGFKIGVVAGMIALTEAIAIGRTFATMKDYQLDGNKEMVALGTMNIVGSMTSCYVSTGSFSRSAVNYMAGCQTAVSNIVMSCVVFLTLQFITPLFKYTPNAILAAIIISAVINLIDFQAAILIWKIDKFDFVACMGAFFGVIFVSVEIGLLIAVSISFAKILLQVTRPRTAILGKIPNTTVYRNIQQYPEATKVPGVMIVRVDSAIYFSNSNYIKERILRWLADEEELLKEAYLPSIEFLIVEMSPVTDIDTSGIHALEELHSSLRKRDIQLVLANPGPVVIDKIHASHVANLIGEDRIFLTVAEAVSSCSPKLVEEA >ONI35042 pep chromosome:Prunus_persica_NCBIv2:G1:42127919:42134831:1 gene:PRUPE_1G511800 transcript:ONI35042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHSNNEELETKEMDTRSMSSSRNHSQGTPYIHKVGLPPKQKLFKEFTNTIKETFFSDDPLRPFKHQPKSRKFILGVQAIFPIFEWGRGYNLLKFRGDLIAGLTIASLCIPQDIGYSKLANLAPQYGLYSSFVPPLIYAIMGSSRDIAIGPVAVVSLLLGTLLQNEIDPTKNPEDYRRLAFTATFFAGITQATLGILRMGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIRKFTKKADIVSVMQSVFQSAHHGWNWQTMVIGASFLTFLLFAKYIGKKKKNLFWVPAIAPLISVILSTFFVYITHAEKNGVEIVRHIEKGINPPSVNEIFFTGDYLAKGFKIGVVAGMIALTEAIAIGRTFATMKDYQLDGNKEMVALGTMNIVGSMTSCYVSTGSFSRSAVNYMAGCQTAVSNIVMSCVVFLTLQFITPLFKYTPNAILAAIIISAVINLIDFQAAILIWKIDKFDFVACMGAFFGVIFVSVEIGLLIAVSISFAKILLQVTRPRTAILGKIPNTTVYRNIQQYPEATKVPGVMIVRVDSAIYFSNSNYIKERILRWLADEEELLKEAYLPSIEFLIVEMSPVTDIDTSGIHALEELHSSLRKRDIQLVLANPGPVVIDKIHASHVANLIGEDRIFLTVAEAVSSCSPKLVEEA >ONI26985 pep chromosome:Prunus_persica_NCBIv2:G1:4280933:4285588:1 gene:PRUPE_1G060800 transcript:ONI26985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGARGSGLVLPKPVTFVTGNAKKLEEVRAILGSSIPFQSLRLDLPELQGEPEEISKEKAKLAALQVNGPVLVEDTCLCFNALKGLPGPYIKWFLQKLGHEGLYNLLMAYEDKSAYALCSFSFSTGPSVEPITFLGKTPGKIVPARGPTDFGWDAMFQPDGYDQTYAEMPKEEKNKISHRSRALALVKSHFAEAGYTFQTNSL >ONI28257 pep chromosome:Prunus_persica_NCBIv2:G1:10634322:10637247:-1 gene:PRUPE_1G134600 transcript:ONI28257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDEALKCVRIAEEAIASGNKGRALKFIKIARRLNQSLQVNELLAACEKIDSGSPASSIGEKGATEIKNEPGVEKLGQGLNGEVSYTEEHVQLIRKIKRNKDYYAILGVEKTCSVEDIRKAYRKLSLKVHPDKNKAPGSEEAFKIVSKAFKCLSDGDSRRQYDQTGLVDEFEYNQQHNVRRRRRRAGHDLFDDDFDPDEIFRAFFGQSDMFRTSHVYRTSRTAGHQREEVQGGGPNIMVLIQLLPFLVIVLLAYLPFSEPNYSLQKTYNYQIPKTTEKHGVEFYVKSEAFDENYPLGSVARSNIENHVIKDYKNVLLHYCRVELQRRHWSKNLPTPHCDKLNNLGVV >ONI28258 pep chromosome:Prunus_persica_NCBIv2:G1:10634324:10637112:-1 gene:PRUPE_1G134600 transcript:ONI28258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDEALKCVRIAEEAIASGNKGRALKFIKIARRLNQSLQVNELLAACEKIDSGSPASSIGEKGATEIKNEPGVEKLGQGLNGEVSYTEEHVQLIRKIKRNKDYYAILGVEKTCSVEDIRKAYRKLSLKVHPDKNKAPGSEEAFKIVSKAFKCLSDGDSRRQYDQTGLVDEFEYNQQHNVRRRRRRAGHDLFDDDFDPDEIFRAFFGQSDMFRTSHVYRTSRTAGHQREEVQGGGPNIMVLIQLLPFLVIVLLAYLPFSEPNYSLQKTYNYQIPKTTEKHGVEFYVKSEAFDENYPLGSVARSNIENHVIKDYKNVLLHYCRVELQRRHWSKNLPTPHCDKLNNLGVV >ONI30501 pep chromosome:Prunus_persica_NCBIv2:G1:26498663:26504706:1 gene:PRUPE_1G254300 transcript:ONI30501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATANNGGYRDRRSMKHVLDNVHGYIYLDPLFLQFIDTEQFQRLRDLKQLGLTHMVYPGAAHSRFEHSLGVYWLAGEAVNKVNFYQGQELGIEEFDRNTVKLAGLLHDIGHGPFSHMFEREFLPRVLDGYEWSHEDMSLKMIDYIVDKHCIDIDSAILKTAKEMILASSEHGPQKSMTEKHFLYDIVANGRTEIDVDKFDYIVRDSRACGIGSGFQFERLMETMRVMDDEICYRANEYLTLHKLFATRADLHRTVYTHPKVKAIELMVVDALCYANADLGIAASIQKPSEFWKLDDSILKWIETSDSDSPSLKEAKNLIQRIRKRDLYQYCNQFSVPKDKLENFKDITPQDIICSQVQNKSGGVTLKEEDVAVSTVKIDLTHGRMNPLERIMIALRNFESQMIA >ONI30502 pep chromosome:Prunus_persica_NCBIv2:G1:26498903:26503690:1 gene:PRUPE_1G254300 transcript:ONI30502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATANNGGYRDRRSMKHVLDNVHGYIYLDPLFLQFIDTEQFQRLRDLKQLGLTHMVYPGAAHSRFEHSLGVYWLAGEAVNKVNFYQGQELGIEEFDRNTVKLAGLLHDIGHGPFSHMFEREFLPRVLDGYEWSHEDMSLKMIDYIVDKHCIDIDSAILKTAKEMILASSEHGPQKSMTEKHFLYDIVANGRTEIDVDKFDYIVRDSRACGIGSGFQFERLMETMRVMDDEICYRANEYLTLHKLFATRADLHRTVYTHPKVKAIELMVVDALCYANADLGIAASIQKPSEFWKLDDSILKWIETSDSDSPSLKEAKNLIQRIRKRDLYQYCNQFSVPKDKLENFKDITPQDIICSQVQNKSGGVTLKEEDVAVSTVKIDLTHGRMNPLERYLKPNLIMIIIKPLFVFL >ONI30500 pep chromosome:Prunus_persica_NCBIv2:G1:26498663:26504706:1 gene:PRUPE_1G254300 transcript:ONI30500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATANNGGYRDRRSMKHVLDNVHGYIYLDPLFLQFIDTEQFQRLRDLKQLGLTHMVYPGAAHSRFEHSLGVYWLAGEAVNKVNFYQGQELGIEEFDRNTVKLAGLLHDIGHGPFSHMFEREFLPRVLDGYEWSHEDMSLKMIDYIVDKHCIDIDSAILKTAKEMILASSEHGPQKSMTEKHFLYDIVANGRTEIDVDKFDYIVRDSRACGIGSGFQFERLMETMRVMDDEICYRANEYLTLHKLFATRADLHRTVYTHPKVKAIELMVVDALCYANADLGIAASIQKPSEFWKLDDSILKWIETSDSDSPSLKEAKNLIQRIRKRDLYQYCNQFSVPKDKLENFKDITPQDIICSQVQNKSGGVTLKEEDVAVSTVKIDLTHGRMNPLERINFFKDYDSSEKFRIPDDRISHLLPRFFQDRIVRVYAKKPELVEAVAEAFENYQVKTYGCKAQVHATPDKKKARKQLEYQYQTDRQ >ONI32303 pep chromosome:Prunus_persica_NCBIv2:G1:33210448:33211123:1 gene:PRUPE_1G359800 transcript:ONI32303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLQKWRIPLRLIKRYDIVFSCRRRLLHEGPDTIEELLDRHIVKKEKHLDDEEEELLNRRRLTSTRREALGLYRDIIRATRFFMWPDSRGVLWRDVLRENARKEFEEARFETDPQIITRLLIGGHDAVQSAIDKLAEKQRQQIQKERGGGD >ONI27390 pep chromosome:Prunus_persica_NCBIv2:G1:6196803:6213179:-1 gene:PRUPE_1G083500 transcript:ONI27390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEKLLKEAKKLPWDDRLFHKNWKVRNEANIDLAALCDSIADPKDPRLREFGPLFRKTLVDSNSPVQEKALDALVAFLRAADADAGRYGKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVDAFLDAMEKAIKNKVSKAVVPAIDVMFQALSEFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELANVTGTARPSRKIRSEQDKEPEREAVSEVVGPGPSEESTGDAPLEIDEYELVDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKRIAPGDFTEICRTLKKLIADVNIAVAVEAIQAIGNLAKGLRTHFSGSSRFLLPGLLEKLKEKKPTLSEALTQTLQAMHTAGCLNLVDIVEDIKTAVKNKVPLVRSLTLNWVTFCIETSNKAVVLKLHKDYVPIFMECLQDGTPEVRDAAFSALAAIAKLVGMRPLERSLEKLDDVRRKKLSEMIMGSEGGTSTSASSATVKSSGVTAPSLETSDSSFVRRSAASMLSGKRPVQAAPGKQKGGSVKSGGSKKVDTTVQPKASKLVETPEDVEPAEMSLEEIESRLGSLIQADTISQLKSSAWKERLEAISSFKQQVESLQDIDQSVELLVRLLCAVPGWSEKNVQVQQQVIEGISHIASTAKKFPKKCVVLCLLGISERVADIKTRTHAMKCLTAFSEAIGPGFVFERLYKIMREHKNPKVLSEGILWMVSAVDDFGVSHLKLKDLIDFCKETGLQSSAAATRNSTIKLLGAIHKFVGPDIKGFLNDVKPALLSALEAEYEKNPFEGASVVLKRNVRATESTSSVSAGGLDSLPREDISGKITPTLLKSLESPDWKVRLESIEAVNKILEEANKRIQPTGTVELFGALRARLYDSNKNLVAATLTAVGNVASAMGAPVEKFSKGILSDVLKCLGDNKKHMRECTLTTLDSWLSAVHLDKMVPYITAAISETKLGAEGRKDLFEWLTRQLSGLSDSSDAFHLLKPASSALTDKSSDVRKAAETCISEILRVSGHESVEKSLRDIQGPALALVERLKPHGSFQESFESRAISMGPTSKSISKAGKSASNGVLKHGSKATSRTIATKGSRLDSIMSQDISVQSQALINVKDSIKEDREKLVVRKFKFEEPRPEQIQDLENDMTKYLREDLHRRLLSPDFKKQVEGLEMLQKALPTIKKEIIEILDILLRWFALQFCKSNTTCLLKVLEFLPDLFDSFRDEAYTLTESEAAIFFPCLIEKLGHNIEKVREKMRELTKQIVQAYTAAKSFPYILEGLHSKNNRTRIECADLVGYLIDHHVAEISGQLKSLQTVANLTAERDGEIRKAALNTLATGYKILGEDIWRYVRKLTDAQKSMLDDRFKWKVREMEKRNEGKPGEARASLRRSVREIGSDVAEQSGEVTRSVSGPALSRRNFGHSEPHMESQLMPHVLSGANGPTDWNEALDIISFGSPEQSVQGMKVVCHELAQSINDPEGGAMDELVRDADRLVSRLADKVAKTFEFSLTGASSRSCKYVLNTLMQTFQNKRLAYAVKETTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPASNETFASRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIYLYLQDLGMEEIRRRAGTDDKPLRMVKTVLHELVKLRGAAIKSHLSMVPIDMKPQPIILDYIDLNLETLAAARMLTSTGSGGQTHWGDSAANNPSSATHSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFSQLQNASEAFRTYIRDGLMQMEKNAAAGRTPSSLPMPTPPPASLNVSSPEFAPLSPVHTNSLVDSKSLNVKSEPTSFNLPPSYTEENRLNNATRGLTENSMVDQRNERYISGVTSGTLDAIRERMKSMQLAASAGNLDQETRPLMYVSDNVNQAVSGQIPRASENPLQSGVLPMDERALSGLQARMERLKSGTIEPL >ONI27392 pep chromosome:Prunus_persica_NCBIv2:G1:6197934:6212734:-1 gene:PRUPE_1G083500 transcript:ONI27392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEKLLKEAKKLPWDDRLFHKNWKVRNEANIDLAALCDSIADPKDPRLREFGPLFRKTLVDSNSPVQEKALDALVAFLRAADADAGRYGKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVDAFLDAMEKAIKNKVSKAVVPAIDVMFQALSEFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELANVTGTARPSRKIRSEQDKEPEREAVSEVVGPGPSEESTGDAPLEIDEYELVDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKRIAPGDFTEICRTLKKLIADVNIAVAVEAIQAIGNLAKGLRTHFSGSSRFLLPGLLEKLKEKKPTLSEALTQTLQAMHTAGCLNLVDIVEDIKTAVKNKVPLVRSLTLNWVTFCIETSNKAVVLKLHKDYVPIFMECLQDGTPEVRDAAFSALAAIAKLVGMRPLERSLEKLDDVRRKKLSEMIMGSEGGTSTSASSATVKSSGVTAPSLETSDSSFVRRSAASMLSGKRPVQAAPGKQKGGSVKSGGSKKVDTTVQPKASKLVETPEDVEPAEMSLEEIESRLGSLIQADTISQLKSSAWKERLEAISSFKQQVESLQDIDQSVELLVRLLCAVPGWSEKNVQVQQQVIEGISHIASTAKKFPKKCVVLCLLGISERVADIKTRTHAMKCLTAFSEAIGPGFVFERLYKIMREHKNPKVLSEGILWMVSAVDDFGVSHLKLKDLIDFCKETGLQSSAAATRNSTIKLLGAIHKFVGPDIKGFLNDVKPALLSALEAEYEKNPFEGASVVLKRNVRATESTSSVSAGGLDSLPREDISGKITPTLLKSLESPDWKVRLESIEAVNKILEEANKRIQPTGTVELFGALRARLYDSNKNLVAATLTAVGNVASAMGAPVEKFSKGILSDVLKCLGDNKKHMRECTLTTLDSWLSAVHLDKMVPYITAAISETKLGAEGRKDLFEWLTRQLSGLSDSSDAFHLLKPASSALTDKSSDVRKAAETCISEILRVSGHESVEKSLRDIQGPALALVERLKPHGSFQESFESRAISMGPTSKSISKAGKSASNGVLKHGSKATSRTIATKGSRLDSIMSQDISVQSQALINVKDSIKEDREKLVVRKFKFEEPRPEQIQDLEQNDMTKYLREDLHRRLLSPDFKKQVEGLEMLQKALPTIKKEIIEILDILLRWFALQFCKSNTTCLLKVLEFLPDLFDSFRDEAYTLTESEAAIFFPCLIEKLGHNIEKVREKMRELTKQIVQAYTAAKSFPYILEGLHSKNNRTRIECADLVGYLIDHHVAEISGQLKSLQTVANLTAERDGEIRKAALNTLATGYKILGEDIWRYVRKLTDAQKSMLDDRFKWKVREMEKRNEGKPGEARASLRRSVREIGSDVAEQSGEVTRSVSGPALSRRNFGHSEPHMESQLMPHVLSGANGPTDWNEALDIISFGSPEQSVQGMKVVCHELAQSINDPEGGAMDELVRDADRLVSRLADKVAKTFEFSLTGASSRSCKYVLNTLMQTFQNKRLAYAVKETTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPASNETFASRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIYLYLQDLGMEEIRRRAGTDDKPLRMVKTVLHELVKLRGAAIKSHLSMVPIDMKPQPIILDYIDLNLETLAAARMLTSTGSGGQTHWGDSAANNPSSATHSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFSQLQNASEAFRTYIRDGLMQMEKNAAAGRTPSSLPMPTPPPASLNVSSPEFAPLSPVHTNSLVDSKSLNVKSEPTSFNLPPSYTEENRLNNATRGLTENSMVDQRNERYISGVTSGTLDAIRERMKSMQLAASAGNLDQETRPLMYVSDNVNQAVSGQIPRASENPLQSGVLPMDERALSGLQARMERLKSGTIEPL >ONI27389 pep chromosome:Prunus_persica_NCBIv2:G1:6196803:6213083:-1 gene:PRUPE_1G083500 transcript:ONI27389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEKLLKEAKKLPWDDRLFHKNWKVRNEANIDLAALCDSIADPKDPRLREFGPLFRKTLVDSNSPVQEKALDALVAFLRAADADAGRYGKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVDAFLDAMEKAIKNKVSKAVVPAIDVMFQALSEFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELANVTGTARPSRKIRSEQDKEPEREAVSEVVGPGPSEESTGDAPLEIDEYELVDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKRIAPGDFTEICRTLKKLIADVNIAVAVEAIQAIGNLAKGLRTHFSGSSRFLLPGLLEKLKEKKPTLSEALTQTLQAMHTAGCLNLVDIVEDIKTAVKNKVPLVRSLTLNWVTFCIETSNKAVVLKLHKDYVPIFMECLQDGTPEVRDAAFSALAAIAKLVGMRPLERSLEKLDDVRRKKLSEMIMGSEGGTSTSASSATVKSSGVTAPSLETSDSSFVRRSAASMLSGKRPVQAAPGKQKGGSVKSGGSKKVDTTVQPKASKLVETPEDVEPAEMSLEEIESRLGSLIQADTISQLKSSAWKERLEAISSFKQQVESLQDIDQSVELLVRLLCAVPGWSEKNVQVQQQVIEGISERVADIKTRTHAMKCLTAFSEAIGPGFVFERLYKIMREHKNPKVLSEGILWMVSAVDDFGVSHLKLKDLIDFCKETGLQSSAAATRNSTIKLLGAIHKFVGPDIKGFLNDVKPALLSALEAEYEKNPFEGASVVLKRNVRATESTSSVSAGGLDSLPREDISGKITPTLLKSLESPDWKVRLESIEAVNKILEEANKRIQPTGTVELFGALRARLYDSNKNLVAATLTAVGNVASAMGAPVEKFSKGILSDVLKCLGDNKKHMRECTLTTLDSWLSAVHLDKMVPYITAAISETKLGAEGRKDLFEWLTRQLSGLSDSSDAFHLLKPASSALTDKSSDVRKAAETCISEILRVSGHESVEKSLRDIQGPALALVERLKPHGSFQESFESRAISMGPTSKSISKAGKSASNGVLKHGSKATSRTIATKGSRLDSIMSQDISVQSQALINVKDSIKEDREKLVVRKFKFEEPRPEQIQDLENDMTKYLREDLHRRLLSPDFKKQVEGLEMLQKALPTIKKEIIEILDILLRWFALQFCKSNTTCLLKVLEFLPDLFDSFRDEAYTLTESEAAIFFPCLIEKLGHNIEKVREKMRELTKQIVQAYTAAKSFPYILEGLHSKNNRTRIECADLVGYLIDHHVAEISGQLKSLQTVANLTAERDGEIRKAALNTLATGYKILGEDIWRYVRKLTDAQKSMLDDRFKWKVREMEKRNEGKPGEARASLRRSVREIGSDVAEQSGEVTRSVSGPALSRRNFGHSEPHMESQLMPHVLSGANGPTDWNEALDIISFGSPEQSVQGMKVVCHELAQSINDPEGGAMDELVRDADRLVSRLADKVAKTFEFSLTGASSRSCKYVLNTLMQTFQNKRLAYAVKETTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPASNETFASRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIYLYLQDLGMEEIRRRAGTDDKPLRMVKTVLHELVKLRGAAIKSHLSMVPIDMKPQPIILDYIDLNLETLAAARMLTSTGSGGQTHWGDSAANNPSSATHSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFSQLQNASEAFRTYIRDGLMQMEKNAAAGRTPSSLPMPTPPPASLNVSSPEFAPLSPVHTNSLVDSKSLNVKSEPTSFNLPPSYTEENRLNNATRGLTENSMVDQRNERYISGVTSGTLDAIRERMKSMQLAASAGNLDQETRPLMYVSDNVNQAVSGQIPRASENPLQSGVLPMDERALSGLQARMERLKSGTIEPL >ONI27391 pep chromosome:Prunus_persica_NCBIv2:G1:6195200:6213086:-1 gene:PRUPE_1G083500 transcript:ONI27391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEKLLKEAKKLPWDDRLFHKNWKVRNEANIDLAALCDSIADPKDPRLREFGPLFRKTLVDSNSPVQEKALDALVAFLRAADADAGRYGKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVDAFLDAMEKAIKNKVSKAVVPAIDVMFQALSEFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELANVTGTARPSRKIRSEQDKEPEREAVSEVVGPGPSEESTGDAPLEIDEYELVDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKRIAPGDFTEICRTLKKLIADVNIAVAVEAIQAIGNLAKGLRTHFSGSSRFLLPGLLEKLKEKKPTLSEALTQTLQAMHTAGCLNLVDIVEDIKTAVKNKVPLVRSLTLNWVTFCIETSNKAVVLKLHKDYVPIFMECLQDGTPEVRDAAFSALAAIAKLVGMRPLERSLEKLDDVRRKKLSEMIMGSEGGTSTSASSATVKSSGVTAPSLETSDSSFVRRSAASMLSGKRPVQAAPGKQKGGSVKSGGSKKVDTTVQPKASKLVETPEDVEPAEMSLEEIESRLGSLIQADTISQLKSSAWKERLEAISSFKQQVESLQDIDQSVELLVRLLCAVPGWSEKNVQVQQQVIEGISHIASTAKKFPKKCVVLCLLGISERVADIKTRTHAMKCLTAFSEAIGPGFVFERLYKIMREHKNPKVLSEGILWMVSAVDDFGVSHLKLKDLIDFCKETGLQSSAAATRNSTIKLLGAIHKFVGPDIKGFLNDVKPALLSALEAEYEKNPFEGASVVLKRNVRATESTSSVSAGGLDSLPREDISGKITPTLLKSLESPDWKVRLESIEAVNKILEEANKRIQPTGTVELFGALRARLYDSNKNLVAATLTAVGNVASAMGAPVEKFSKGILSDVLKCLGDNKKHMRECTLTTLDSWLSAVHLDKMVPYITAAISETKLGAEGRKDLFEWLTRQLSGLSDSSDAFHLLKPASSALTDKSSDVRKAAETCISEILRVSGHESVEKSLRDIQGPALALVERLKPHGSFQESFESRAISMGPTSKSISKAGKSASNGVLKHGSKATSRTIATKGSRLDSIMSQDISVQSQALINVKDSIKEDREKLVVRKFKFEEPRPEQIQDLENDMTKYLREDLHRRLLSPDFKKQVEGLEMLQKALPTIKKEIIEILDILLRWFALQFCKSNTTCLLKVLEFLPDLFDSFRDEAYTLTESEAAIFFPCLIEKLGHNIEKVREKMRELTKQIVQAYTAAKSFPYILEGLHSKNNRTRIECADLVGYLIDHHVAEISGQLKSLQTVANLTAERDGEIRKAALNTLATGYKILGEDIWRYVRKLTDAQKSMLDDRFKWKVREMEKRNEGKPGEARASLRRSVREIGSDVAEQSGEVTRSVSGPALSRRNFGHSEPHMESQLMPHVLSGANGPTDWNEALDIISFGSPEQSVQGMKVVCHELAQSINDPEGGAMDELVRDADRLVSRLADKVAKTFEFSLTGASSRSCKYVLNTLMQTFQNKRLAYAVKETTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPASNETFASRNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIYLYLQDLGMEEIRRRAGTDDKPLRMVKTVLHELVKLRGAAIKSHLSMVPIDMKPQPIILDYIDLNLETLAAARMLTSTGSGGQTHWGDSAANNPSSATHSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFSQLQNASEAFRTYIRDGLMQMEKNAAAGRTPSSLPMPTPPPASLNVSSPEFAPLSPVHTNSLVDSKSLNVKSEPTSFNLPPSYTEENRLNNATRGLTENSMVDQRNERYISGVTSGTLDAIRERMKSMQLAASAGNLDQETRPLMYVSDNVNQAVSGQIPRASENPLQSGVLPMDERALSGLQARMERLKSGTIEPL >ONI28097 pep chromosome:Prunus_persica_NCBIv2:G1:9623694:9631742:-1 gene:PRUPE_1G122800 transcript:ONI28097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLGGSEIHGFHTMQDLDVGTIMEEAKSRWLRPNEIHAILYNYKYFTIYVKPVNLPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDSPTFVRRCYWLLDKSLEHIVLVHYRETQEGSPVTPVNSNNSSSVSDPSAPWLLSEELDSGANTTFCAGENELSEPGDGLTVKNHEKRLHDINTLEWEELLITNDSKGDIVSCYDQQNQVVGNGFISGGASVISAEMSAFGNLTNPTLRSDDVQFNLPDSPYVPTVEYDVNSNVQIRDSIAKTTCDSLDVLVNDGLHSQDSFGRWINQVMADPPGSVEDPALESSSIAAQNSFASPSADHLQSSIPHQIFNITDLSPAWAFSNEKTKILITGFFHQEYLHLAKSDLLCICGDVCLRAEIVQAGVYRCFVPPHLPRVVNLFMSIDGHKPISLVLNFEYRAPVLSDPIISSEENNWEEFQAQMRLAYLLFSSSKSLNIVSNKVSLNALKEAKKFSHRTSHISNSWACLMKAVEDKKSPLPLAKDGLFELILKNRLKDWLLEKVVDSSTTKEYDAYGQGVIHLCAILEYTWAVRLFSWSGLSLDFRDRRGWTALHWAAYCGREKMVAVLLSAGAKPNLVTDPSSENPGGYTAADLAAMKGYDGLAAYLSEKALVEQFKDMSIAGNASGSLQTSSNYGGNSENLSEDEIHLKDTLAAYRTAADAAARIQAAFRENSLKLKAKAVQYSTPEAEARGIIAALKIQHAFRNYDTRKKIKAAARIQYRFRTWKMRQEFLSLRRQAIKIQAAFRGFQVRRQYRKVLWSVGVLEKAVLRWRLKRRGLRGLNVAPVEVDVDQKQESDTEEDFYRASRKQAEERIERSVVRVQAMFRSKKAQEEYSRMKLTHIEAKLEFEELLDPDSNMDS >ONI28096 pep chromosome:Prunus_persica_NCBIv2:G1:9623694:9631742:-1 gene:PRUPE_1G122800 transcript:ONI28096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLGGSEIHGFHTMQGGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDSPTFVRRCYWLLDKSLEHIVLVHYRETQELQGSPVTPVNSNNSSSVSDPSAPWLLSEELDSGANTTFCAGENELSEPGDGLTVKNHEKRLHDINTLEWEELLITNDSKGDIVSCYDQQNQVVGNGFISGGASVISAEMSAFGNLTNPTLRSDDVQFNLPDSPYVPTVEYDVNSNVQIRDSIAKTTCDSLDVLVNDGLHSQDSFGRWINQVMADPPGSVEDPALESSSIAAQNSFASPSADHLQSSIPHQIFNITDLSPAWAFSNEKTKILITGFFHQEYLHLAKSDLLCICGDVCLRAEIVQAGVYRCFVPPHLPRVVNLFMSIDGHKPISLVLNFEYRAPVLSDPIISSEENNWEEFQAQMRLAYLLFSSSKSLNIVSNKVSLNALKEAKKFSHRTSHISNSWACLMKAVEDKKSPLPLAKDGLFELILKNRLKDWLLEKVVDSSTTKEYDAYGQGVIHLCAILEYTWAVRLFSWSGLSLDFRDRRGWTALHWAAYCGREKMVAVLLSAGAKPNLVTDPSSENPGGYTAADLAAMKGYDGLAAYLSEKALVEQFKDMSIAGNASGSLQTSSNYGGNSENLSEDEIHLKDTLAAYRTAADAAARIQAAFRENSLKLKAKAVQYSTPEAEARGIIAALKIQHAFRNYDTRKKIKAAARIQYRFRTWKMRQEFLSLRRQAIKIQAAFRGFQVRRQYRKVLWSVGVLEKAVLRWRLKRRGLRGLNVAPVEVDVDQKQESDTEEDFYRASRKQAEERIERSVVRVQAMFRSKKAQEEYSRMKLTHIEAKLEFEELLDPDSNMDS >ONI28099 pep chromosome:Prunus_persica_NCBIv2:G1:9623357:9632236:-1 gene:PRUPE_1G122800 transcript:ONI28099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLGGSEIHGFHTMQGGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDSPTFVRRCYWLLDKSLEHIVLVHYRETQEGSPVTPVNSNNSSSVSDPSAPWLLSEELDSGANTTFCAGENELSEPGDGLTVKNHEKRLHDINTLEWEELLITNDSKGDIVSCYDQQNQVVGNGFISGGASVISAEMSAFGNLTNPTLRSDDVQFNLPDSPYVPTVEYDVNSNVQIRDSIAKTTCDSLDVLVNDGLHSQDSFGRWINQVMADPPGSVEDPALESSSIAAQNSFASPSADHLQSSIPHQIFNITDLSPAWAFSNEKTKILITGFFHQEYLHLAKSDLLCICGDVCLRAEIVQAGVYRCFVPPHLPRVVNLFMSIDGHKPISLVLNFEYRAPVLSDPIISSEENNWEEFQAQMRLAYLLFSSSKSLNIVSNKVSLNALKEAKKFSHRTSHISNSWACLMKAVEDKKSPLPLAKDGLFELILKNRLKDWLLEKVVDSSTTKEYDAYGQGVIHLCAILEYTWAVRLFSWSGLSLDFRDRRGWTALHWAAYCGRLLFGDSKCGGSTVKFFGQLECLRKLFCGGV >ONI28102 pep chromosome:Prunus_persica_NCBIv2:G1:9624043:9631742:-1 gene:PRUPE_1G122800 transcript:ONI28102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLGGSEIHGFHTMQDLDVGTIMEEAKSRWLRPNEIHAILYNYKYFTIYVKPVNLPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDSPTFVRRCYWLLDKSLEHIVLVHYRETQELQGSPVTPVNSNNSSSVSDPSAPWLLSEELDSGANTTFCAGENELSEPGDGLTVKNHEKRLHDINTLEWEELLITNDSKGDIVSCYDQQNQVVGNGFISGGASVISAEMSAFGNLTNPTLRSDDVQFNLPDSPYVPTVEYDVNSNVQIRDSIAKTTCDSLDVLVNDGLHSQDSFGRWINQVMADPPGSVEDPALESSSIAAQNSFASPSADHLQSSIPHQIFNITDLSPAWAFSNEKTKILITGFFHQEYLHLAKSDLLCICGDVCLRAEIVQAGVYRCFVPPHLPRVVNLFMSIDGHKPISLVLNFEYRAPVLSDPIISSEENNWEEFQAQMRLAYLLFSSSKSLNIVSNKVSLNALKEAKKFSHRTSHISNSWACLMKAVEDKKSPLPLAKDGLFELILKNRLKDWLLEKVVDSSTTKEYDAYGQGVIHLCAILEYTWAVRLFSWSGLSLDFRDRRGWTALHWAAYCGRLLFGDSKCGGSTVKFFGQLECLRKLFCGGV >ONI28098 pep chromosome:Prunus_persica_NCBIv2:G1:9623694:9631742:-1 gene:PRUPE_1G122800 transcript:ONI28098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLGGSEIHGFHTMQDLDVGTIMEEAKSRWLRPNEIHAILYNYKYFTIYVKPVNLPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDSPTFVRRCYWLLDKSLEHIVLVHYRETQELQGSPVTPVNSNNSSSVSDPSAPWLLSEELDSGANTTFCAGENELSEPGDGLTVKNHEKRLHDINTLEWEELLITNDSKGDIVSCYDQQNQVVGNGFISGGASVISAEMSAFGNLTNPTLRSDDVQFNLPDSPYVPTVEYDVNSNVQIRDSIAKTTCDSLDVLVNDGLHSQDSFGRWINQVMADPPGSVEDPALESSSIAAQNSFASPSADHLQSSIPHQIFNITDLSPAWAFSNEKTKILITGFFHQEYLHLAKSDLLCICGDVCLRAEIVQAGVYRCFVPPHLPRVVNLFMSIDGHKPISLVLNFEYRAPVLSDPIISSEENNWEEFQAQMRLAYLLFSSSKSLNIVSNKVSLNALKEAKKFSHRTSHISNSWACLMKAVEDKKSPLPLAKDGLFELILKNRLKDWLLEKVVDSSTTKEYDAYGQGVIHLCAILEYTWAVRLFSWSGLSLDFRDRRGWTALHWAAYCGREKMVAVLLSAGAKPNLVTDPSSENPGGYTAADLAAMKGYDGLAAYLSEKALVEQFKDMSIAGNASGSLQTSSNYGGNSENLSEDEIHLKDTLAAYRTAADAAARIQAAFRENSLKLKAKAVQYSTPEAEARGIIAALKIQHAFRNYDTRKKIKAAARIQYRFRTWKMRQEFLSLRRQAIKIQAAFRGFQVRRQYRKVLWSVGVLEKAVLRWRLKRRGLRGLNVAPVEVDVDQKQESDTEEDFYRASRKQAEERIERSVVRVQAMFRSKKAQEEYSRMKLTHIEAKLEFEELLDPDSNMDS >ONI28095 pep chromosome:Prunus_persica_NCBIv2:G1:9623694:9631742:-1 gene:PRUPE_1G122800 transcript:ONI28095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLGGSEIHGFHTMQGGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDSPTFVRRCYWLLDKSLEHIVLVHYRETQEGSPVTPVNSNNSSSVSDPSAPWLLSEELDSGANTTFCAGENELSEPGDGLTVKNHEKRLHDINTLEWEELLITNDSKGDIVSCYDQQNQVVGNGFISGGASVISAEMSAFGNLTNPTLRSDDVQFNLPDSPYVPTVEYDVNSNVQIRDSIAKTTCDSLDVLVNDGLHSQDSFGRWINQVMADPPGSVEDPALESSSIAAQNSFASPSADHLQSSIPHQIFNITDLSPAWAFSNEKTKILITGFFHQEYLHLAKSDLLCICGDVCLRAEIVQAGVYRCFVPPHLPRVVNLFMSIDGHKPISLVLNFEYRAPVLSDPIISSEENNWEEFQAQMRLAYLLFSSSKSLNIVSNKVSLNALKEAKKFSHRTSHISNSWACLMKAVEDKKSPLPLAKDGLFELILKNRLKDWLLEKVVDSSTTKEYDAYGQGVIHLCAILEYTWAVRLFSWSGLSLDFRDRRGWTALHWAAYCGREKMVAVLLSAGAKPNLVTDPSSENPGGYTAADLAAMKGYDGLAAYLSEKALVEQFKDMSIAGNASGSLQTSSNYGGNSENLSEDEIHLKDTLAAYRTAADAAARIQAAFRENSLKLKAKAVQYSTPEAEARGIIAALKIQHAFRNYDTRKKIKAAARIQYRFRTWKMRQEFLSLRRQAIKIQAAFRGFQVRRQYRKVLWSVGVLEKAVLRWRLKRRGLRGLNVAPVEVDVDQKQESDTEEDFYRASRKQAEERIERSVVRVQAMFRSKKAQEEYSRMKLTHIEAKLEFEELLDPDSNMDS >ONI28100 pep chromosome:Prunus_persica_NCBIv2:G1:9623357:9632236:-1 gene:PRUPE_1G122800 transcript:ONI28100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLGGSEIHGFHTMQGGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDSPTFVRRCYWLLDKSLEHIVLVHYRETQELQGSPVTPVNSNNSSSVSDPSAPWLLSEELDSGANTTFCAGENELSEPGDGLTVKNHEKRLHDINTLEWEELLITNDSKGDIVSCYDQQNQVVGNGFISGGASVISAEMSAFGNLTNPTLRSDDVQFNLPDSPYVPTVEYDVNSNVQIRDSIAKTTCDSLDVLVNDGLHSQDSFGRWINQVMADPPGSVEDPALESSSIAAQNSFASPSADHLQSSIPHQIFNITDLSPAWAFSNEKTKILITGFFHQEYLHLAKSDLLCICGDVCLRAEIVQAGVYRCFVPPHLPRVVNLFMSIDGHKPISLVLNFEYRAPVLSDPIISSEENNWEEFQAQMRLAYLLFSSSKSLNIVSNKVSLNALKEAKKFSHRTSHISNSWACLMKAVEDKKSPLPLAKDGLFELILKNRLKDWLLEKVVDSSTTKEYDAYGQGVIHLCAILEYTWAVRLFSWSGLSLDFRDRRGWTALHWAAYCGRLLFGDSKCGGSTVKFFGQLECLRKLFCGGV >ONI28101 pep chromosome:Prunus_persica_NCBIv2:G1:9623357:9632236:-1 gene:PRUPE_1G122800 transcript:ONI28101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQLGGSEIHGFHTMQDLDVGTIMEEAKSRWLRPNEIHAILYNYKYFTIYVKPVNLPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDSPTFVRRCYWLLDKSLEHIVLVHYRETQEGSPVTPVNSNNSSSVSDPSAPWLLSEELDSGANTTFCAGENELSEPGDGLTVKNHEKRLHDINTLEWEELLITNDSKGDIVSCYDQQNQVVGNGFISGGASVISAEMSAFGNLTNPTLRSDDVQFNLPDSPYVPTVEYDVNSNVQIRDSIAKTTCDSLDVLVNDGLHSQDSFGRWINQVMADPPGSVEDPALESSSIAAQNSFASPSADHLQSSIPHQIFNITDLSPAWAFSNEKTKILITGFFHQEYLHLAKSDLLCICGDVCLRAEIVQAGVYRCFVPPHLPRVVNLFMSIDGHKPISLVLNFEYRAPVLSDPIISSEENNWEEFQAQMRLAYLLFSSSKSLNIVSNKVSLNALKEAKKFSHRTSHISNSWACLMKAVEDKKSPLPLAKDGLFELILKNRLKDWLLEKVVDSSTTKEYDAYGQGVIHLCAILEYTWAVRLFSWSGLSLDFRDRRGWTALHWAAYCGRLLFGDSKCGGSTVKFFGQLECLRKLFCGGV >ONI32735 pep chromosome:Prunus_persica_NCBIv2:G1:34399676:34400763:1 gene:PRUPE_1G382500 transcript:ONI32735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLEPRTLSEGVNTPNHYTSGSFARGCLIYYTVILVAFVLLLPLKMNTCWRSESPWLQSSLIFLNVLLNFRFRVCVIYLFMQHYMKKGLILFYFWYVISSRIVQGERRGVRFQVNREGQTREKDL >ONI36074 pep chromosome:Prunus_persica_NCBIv2:G1:46343711:46344206:1 gene:PRUPE_1G568300 transcript:ONI36074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLIVEKNCDPAKGGRNPATKLADQSNFLDRTCICSRTWQVLGVWLTTLEILPQQTEQGLRLPLSNTKAVYL >ONI32410 pep chromosome:Prunus_persica_NCBIv2:G1:33579724:33584545:-1 gene:PRUPE_1G366500 transcript:ONI32410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGEVLSQKDERDMKEELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPSMTKTQGNFRLRVVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDIVEDSNVEIPEFNVSYKPQKISPKFQSTVRHLLHSKIRDSYTHPQFMSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSIDCVANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLESTKDREQKAAGSYYYLDD >ONI32411 pep chromosome:Prunus_persica_NCBIv2:G1:33579142:33585487:-1 gene:PRUPE_1G366500 transcript:ONI32411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGEVLSQKDERDMKEELCADLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPSMTKTQGNFRLRVVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDIVEDSNVEIPEFNVSYKPQKISPKFQSTVRHLLHSKIRDSYTHPQFMSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSIDCVANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLESTKDREQKAAGSYYYLDD >ONI35392 pep chromosome:Prunus_persica_NCBIv2:G1:43577826:43580457:-1 gene:PRUPE_1G533000 transcript:ONI35392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTGLRLSTVVPATATAEQNMVHELTDMDLAMKLHYIEGLYFFKSDAVEGLTIYDLKKPTFHLLQLYFTASGRIRRSETGRPFIKCNDSGVRIVEAYSEEAMDQWLAMAMEDSSLFNGLTYNQALGPDLGFSPLVFLQFTWFKCGGMSVGLSWASVLGDAFSASAFINMWGKIMAGHLPLKPLHVPVPGKPEFPALSTPNTSSSLKRVDSVGDYWVTPNNCKMRKHTFHVSAKKLDHLLSNQSTKFSAFEVLSAIIWKSLSKIKENSEQTRRVTICTNSHERKYEIPSNNIVLCTVEADFLVTEADVSELVELILNRRAEEKGIVEEMKGLESGSSDFIAYGANLTFVNLEEAEIYGLELKGQKPVYANYSINGVGDEGVVLVLPGPKYGKEEANIHGGRLVTVVLPENEVAQLKTELQREWSTA >ONI33582 pep chromosome:Prunus_persica_NCBIv2:G1:37114959:37120043:1 gene:PRUPE_1G434100 transcript:ONI33582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPKEPVCQSYFFLKPEEASFLELLFLLCSSDVGKRRFIEIECSEEDVHNKVTEFRRRWVIFISVVLQWSLLFFRDPLARTGDVFDKWLNLISANGGLLMFFINRLKGKVVKPDSFTSELSVVGNVDWRIELDDNIKPEDTRYKGALSMMAVKWAYENEDLLKTVVNDHWKMKFLRFYNCWNDYLGRDSTQAFLFQDTTSDPNLIVVAFRGTEPFDADEWSTDFDISWCEFSGVGKAHSGFMKALGMQPNYTWPLELKTEQVNVDQPHRFAYYTIRKKLIDLLQENKSAKFILTGHSLGGALAVMFVAVLAMHGYEREYELLMERLEGVYTFGQPRVGDAKFGGYMKEKLQKYGVRYMRYVYSNDLVARIPYDDKTLFFKHFGPSLYFNSCYKGKVLEEEPNKNYFNPLWVIPKSLNAVWELIRSFILPLRRGSEYKEAWFMRALRVVGLILPGLVAHAPQDYVNLTRLGSLPSLANPNNIIPQENSKVD >ONI33581 pep chromosome:Prunus_persica_NCBIv2:G1:37114959:37120043:1 gene:PRUPE_1G434100 transcript:ONI33581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPKEPVCQSYFFLKPEEASFLELLFLLCSSDVGKRRFIEIECSEEDVHNKVTEFRRRWVIFISVVLQWSLLFFRDPLARTGDVFDKWLNLISANGGLLMFFINRLKGKVVKPDSFTSELSVVGNVDWRIELDDNIKPEDTRYKGALSMMAVKWAYENEDLLKTVVNDHWKMKFLRFYNCWNDYLGRDSTQAFLFQDTTSDPNLIVVAFRGTEPFDADEWSTDFDISWCEFSGVGKAHSGFMKALGMQPNYTWPLELKTEQVNVDQPHRFAYYTIRKKLIDLLQENKSAKFILTGHSLGGALAVMFVAVLAMHGYEREYELLMERLEGVYTFGQPRVGDAKFGGYMKEKLQKYGVRYMRYVYSNDLVARIPYDDKTLFFKHFGPSLYFNSCYKGKVLEEEPNKNYFNPLWVIPKSLNAVWELIRSFILPLRRGSEYKEAWFMRALRVVGLILPGLVAHAPQDYVNLTRLGSLPSLANPNNIIPQENSKVD >ONI33583 pep chromosome:Prunus_persica_NCBIv2:G1:37115386:37119874:1 gene:PRUPE_1G434100 transcript:ONI33583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPKEPVCQSYFFLKPEEASFLELLFLLCSSDVGKRRFIEIECSEEDVHNKVTEFRRRWVIFISVVLQWSLLFFRDPLARTGDVFDKWLNLISANGGLLMFFINRLKGKVVKPDSFTSELSVVGNVDWRIELDDNIKPEDTRYKGALSMMAVKWAYENEDLLKTVVNDHWKMKFLRFYNCWNDYLGRDSTQAFLFQDTTSDPNLIVVAFRGTEPFDADEWSTDFDISWCEFSGVGKAHSGFMKALGMQPNYTWPLELKTEQVNVDQPHRFAYYTIRKKLIDLLQENKSAKFILTGHSLGGALAVMFVAVLAMHGYEREYELLMERLEGVYTFGQPRVGDAKFGGYMKEKLQKYGVRYMRYVYSNDLVARIPYDDKTLFFKHFGPSLYFNSCYKGKVLEEEPNKNYFNPLWVIPKSLNAVWELIRSFILPLRRGSEYKEAWFMRALRVVGLILPGLVAHAPQDYVNLTRLGSLPSLANPNNIIPQENSKVD >ONI33613 pep chromosome:Prunus_persica_NCBIv2:G1:37195054:37197468:1 gene:PRUPE_1G435700 transcript:ONI33613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTEKGDLFQVMSCFAHLQQLEMKLDAVAMVCILHGMKDPMGFCLGLAFHAYGLKSGLSADSLVANGLISLYSRFDKIETAFSLLSEIHEKTLISWNSLISGCVQAGRPSDALEVFFQMKMSGHSPDAITISSLLSGCCQLGYLQFGERLHNYILRNNHEVEDFVGTALIDMYIKCGRIEHAERVFKSIEEPCLATWNSMISGYSLYGLEHEALACFSEMQNQGIKPDKITFLGVLAACTHGGLVQEGRKHFQIMREQFNMVPDLQHYACMVGLFGRAGLFEEALMFIRNMEMEPDFAVWATLLSACCIHQEVKLGEYVARKLFFLDSNNGGFFVLMSNLYAVKGMWDEVARVREMMRESGGDGCSGISVIEMTSLEDMNVNLSSSKSSLNANAWQPLLSLY >ONI33610 pep chromosome:Prunus_persica_NCBIv2:G1:37195142:37197346:1 gene:PRUPE_1G435700 transcript:ONI33610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLASSVGLSTKPSLPSLHSLLNSYIESRNRKQALLLFRQLLEYNVKPNDLTFSLLLKACTSSSSSSSSSALNSVNVKRDANQIHTHLLKSGVDRFMYVSTALLDLYMKLGCVRNAHRLFDDMPDRDVVSWNALICGFSRNGCDFEALKLFVQMCKEGFFPHQTTLVSLVPSCDLEAAEHLFEEIVEKSVVSWNTMIGAYGQNGFFDEAMLAFKRMQEENVPANLVTMVSLLSANADPESTHCHATKTGLVNDASVITSLICQYATRRNTESGKLLYKSLPQKNLVSLTALMSSYTEKGDLFQVMSCFAHLQQLEMKLDAVAMVCILHGMKDPMGFCLGLAFHAYGLKSGLSADSLVANGLISLYSRFDKIETAFSLLSEIHEKTLISWNSLISGCVQAGRPSDALEVFFQMKMSGHSPDAITISSLLSGCCQLGYLQFGERLHNYILRNNHEVEDFVGTALIDMYIKCGRIEHAERVFKSIEEPCLATWNSMISGYSLYGLEHEALACFSEMQNQGIKPDKITFLGVLAACTHGGLVQEGRKHFQIMREQFNMVPDLQHYACMVGLFGRAGLFEEALMFIRNMEMEPDFAVWATLLSACCIHQEVKLGEYVARKLFFLDSNNGGFFVLMSNLYAVKGMWDEVARVREMMRESGGDGCSGISVIEMTSLEDMNVNLSSSKSSLNANAWQPLLSLY >ONI33614 pep chromosome:Prunus_persica_NCBIv2:G1:37195129:37197474:1 gene:PRUPE_1G435700 transcript:ONI33614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTEKGDLFQVMSCFAHLQQLEMKLDAVAMVCILHGMKDPMGFCLGLAFHAYGLKSGLSADSLVANGLISLYSRFDKIETAFSLLSEIHEKTLISWNSLISGCVQAGRPSDALEVFFQMKMSGHSPDAITISSLLSGCCQLGYLQFGERLHNYILRNNHEVEDFVGTALIDMYIKCGRIEHAERVFKSIEEPCLATWNSMISGYSLYGLEHEALACFSEMQNQGIKPDKITFLGVLAACTHGGLVQEGRKHFQIMREQFNMVPDLQHYACMVGLFGRAGLFEEALMFIRNMEMEPDFAVWATLLSACCIHQEVKLGEYVARKLFFLDSNNGGFFVLMSNLYAVKGMWDEVARVREMMRESGGDGCSGISVIEMTSLEDMNVNLSSSKSSLNANAWQPLLSLY >ONI33615 pep chromosome:Prunus_persica_NCBIv2:G1:37195110:37197468:1 gene:PRUPE_1G435700 transcript:ONI33615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTEKGDLFQVMSCFAHLQQLEMKLDAVAMVCILHGMKDPMGFCLGLAFHAYGLKSGLSADSLVANGLISLYSRFDKIETAFSLLSEIHEKTLISWNSLISGCVQAGRPSDALEVFFQMKMSGHSPDAITISSLLSGCCQLGYLQFGERLHNYILRNNHEVEDFVGTALIDMYIKCGRIEHAERVFKSIEEPCLATWNSMISGYSLYGLEHEALACFSEMQNQGIKPDKITFLGVLAACTHGGLVQEGRKHFQIMREQFNMVPDLQHYACMVGLFGRAGLFEEALMFIRNMEMEPDFAVWATLLSACCIHQEVKLGEYVARKLFFLDSNNGGFFVLMSNLYAVKGMWDEVARVREMMRESGGDGCSGISVIEMTSLEDMNVNLSSSKSSLNANAWQPLLSLY >ONI33612 pep chromosome:Prunus_persica_NCBIv2:G1:37195131:37197449:1 gene:PRUPE_1G435700 transcript:ONI33612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTEKGDLFQVMSCFAHLQQLEMKLDAVAMVCILHGMKDPMGFCLGLAFHAYGLKSGLSADSLVANGLISLYSRFDKIETAFSLLSEIHEKTLISWNSLISGCVQAGRPSDALEVFFQMKMSGHSPDAITISSLLSGCCQLGYLQFGERLHNYILRNNHEVEDFVGTALIDMYIKCGRIEHAERVFKSIEEPCLATWNSMISGYSLYGLEHEALACFSEMQNQGIKPDKITFLGVLAACTHGGLVQEGRKHFQIMREQFNMVPDLQHYACMVGLFGRAGLFEEALMFIRNMEMEPDFAVWATLLSACCIHQEVKLGEYVARKLFFLDSNNGGFFVLMSNLYAVKGMWDEVARVREMMRESGGDGCSGISVIEMTSLEDMNVNLSSSKSSLNANAWQPLLSLY >ONI33611 pep chromosome:Prunus_persica_NCBIv2:G1:37195131:37197449:1 gene:PRUPE_1G435700 transcript:ONI33611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAYGQNGFFDEAMLAFKRMQEENVPANLVTMVSLLSANADPESTHCHATKTGLVNDASVITSLICQYATRRNTESGKLLYKSLPQKNLVSLTALMSSYTEKGDLFQVMSCFAHLQQLEMKLDAVAMVCILHGMKDPMGFCLGLAFHAYGLKSGLSADSLVANGLISLYSRFDKIETAFSLLSEIHEKTLISWNSLISGCVQAGRPSDALEVFFQMKMSGHSPDAITISSLLSGCCQLGYLQFGERLHNYILRNNHEVEDFVGTALIDMYIKCGRIEHAERVFKSIEEPCLATWNSMISGYSLYGLEHEALACFSEMQNQGIKPDKITFLGVLAACTHGGLVQEGRKHFQIMREQFNMVPDLQHYACMVGLFGRAGLFEEALMFIRNMEMEPDFAVWATLLSACCIHQEVKLGEYVARKLFFLDSNNGGFFVLMSNLYAVKGMWDEVARVREMMRESGGDGCSGISVIEMTSLEDMNVNLSSSKSSLNANAWQPLLSLY >ONI26392 pep chromosome:Prunus_persica_NCBIv2:G1:1507599:1508781:-1 gene:PRUPE_1G021800 transcript:ONI26392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASMQSILANSVVYGAGKSGSVRVSHLVPAKYATTSHRYPQLRVRSMAENGQEEQPSTTPEASRIPPPPPTPTPSPKRSPKVSTKFSDVFAFSGPAPERINGRLAMVGFVSALAVELSKGQDVFAQISDGGVSLFLATSILLSVASVIPLFKGVSVESKSDGIMTSDAELWNGRLAMLGLVALAFTEYVKGGTLV >ONI28134 pep chromosome:Prunus_persica_NCBIv2:G1:9897443:9898233:1 gene:PRUPE_1G125600 transcript:ONI28134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNKPGLGILELSQGCWSVHDSKSQTQIDDVVFFIHFRNCLLFCDLFQVQAMRIFGCYHCTTSSIITSTTINGCGPTRGNEHWIIDDGDTCC >ONI34875 pep chromosome:Prunus_persica_NCBIv2:G1:41626685:41630036:1 gene:PRUPE_1G503400 transcript:ONI34875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKAKPHHHHHYNGNGGVGGGGNGSESSSSTSPSPPPSPRRHSSTLSHCRRKFRSKPISVVAGFLLLRRFLRLLVVLPLLYVSGLIMCVGPFSGLVGHTPLPGSVYRSHEMFELLWPHIQADNSTPIELSSVWRYRRKLKEQKPCPPSTAGLQLESPGPSVYLIVEVNGGLNQQRSAICNAVALAGLLNAILVIPNFEFHNVWRDPSTFGDIYDEDHFIAALEGHVKVVKELPEMVLERYDHSIANIPTLRVQAWAPVRYYKGEVYPILQRQGVIRIAPFANRLAMNVPPSIQHLRCLANYEALKFSSPISNLARKLVERMTEMSSRTGGKYVSIHLRFEEDMVAFSCCLYDGGEAENSEMNSIRQKGWGDKFKRKGRVTLPGLNRIDGKCPLTPLEVGMMLRGMGFDNSTSIYLASGKIYWAEKHLAPLVKMFPYLHTKESLATPDELAPFKVHILLAFINCVPREYFGIGETITLKSTSPKVYLLWLYFYL >ONI34874 pep chromosome:Prunus_persica_NCBIv2:G1:41626433:41631302:1 gene:PRUPE_1G503400 transcript:ONI34874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKAKPHHHHHYNGNGGVGGGGNGSESSSSTSPSPPPSPRRHSSTLSHCRRKFRSKPISVVAGFLLLRRFLRLLVVLPLLYVSGLIMCVGPFSGLVGHTPLPGSVYRSHEMFELLWPHIQADNSTPIELSSVWRYRRKLKEQKPCPPSTAGLQLESPGPSVYLIVEVNGGLNQQRSAICNAVALAGLLNAILVIPNFEFHNVWRDPSTFGDIYDEDHFIAALEGHVKVVKELPEMVLERYDHSIANIPTLRVQAWAPVRYYKGEVYPILQRQGVIRIAPFANRLAMNVPPSIQHLRCLANYEALKFSSPISNLARKLVERMTEMSSRTGGKYVSIHLRFEEDMVAFSCCLYDGGEAENSEMNSIRQKGWGDKFKRKGRVTLPGLNRIDGKCPLTPLEVGMMLRGMGFDNSTSIYLASGKIYWAEKHLAPLVKMFPYLHTKESLATPDELAPFKGYSSRLAALDYTVCLSSEVFVTTQGGNFPHFLMGHRRFLNGGHAKTIIPDKRKLVVLLHDMSISWKDFKLEMEAMLTESDRKGKIIPRVKKFTKKSSVYSYPLPDCGCLQKHNSTRIDPSAHS >ONI26369 pep chromosome:Prunus_persica_NCBIv2:G1:1453053:1456616:1 gene:PRUPE_1G020700 transcript:ONI26369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLRVQANSGVLTNFDVLKFLESRGASEDSARVFSDVTPSENKVYGYLFGTPARGQTTENINEFKEKCKKYDLAEAEVLNIINTRPSSIVGIYPLIENCDLRLGDTVEELVELVVEVFPSPLPAKTVENDAKTTNVEKIEDQNDNDEGKPENEEEMETIDGS >ONI26372 pep chromosome:Prunus_persica_NCBIv2:G1:1454513:1456280:1 gene:PRUPE_1G020700 transcript:ONI26372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVQANSGVLTNFDVLKFLESRGASEDSARVFSDVTPSENKVYGYLFGTPARGQTTENINEFKEKCKKYDLAEAEVLNIINTRPSSIVGIYPLIENCDLRLGDTVEELVELVVEVFPSPLPAKTVENDAKTTNVEKIEDQNDNDEGKPENEEEMETIDGS >ONI26370 pep chromosome:Prunus_persica_NCBIv2:G1:1452967:1456616:1 gene:PRUPE_1G020700 transcript:ONI26370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVQANSGVLTNFDVLKFLESRGASEDSARVFSDVTPSENKVYGYLFGTPARGQTTENINEFKEKCKKYDLAEAEVLNIINTRPSSIVGIYPLIENCDLRLGDTVEELVELVVEVFPSPLPAKTVENDAKTTNVEKIEDQNDNDEGKPENEEEMETIDGS >ONI26371 pep chromosome:Prunus_persica_NCBIv2:G1:1453053:1456616:1 gene:PRUPE_1G020700 transcript:ONI26371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVQANSGVLTNFDVLKFLESRGASEDSARVFSDVTPSENKVYGYLFGTPARGQTTENINEFKEKCKKYDLAEAEVLNIINTRPSSIVGIYPLIENCDLRLGDTVEELVELVVEVFPSPLPAKTVENDAKTTNVEKIEDQNDNDEGKPENEEEMETIDGS >ONI31412 pep chromosome:Prunus_persica_NCBIv2:G1:30237312:30238000:-1 gene:PRUPE_1G311500 transcript:ONI31412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRRVDVGGATMDQGSRFEGTTATTTTTMTMASKKAKKTIDKAAGKEDVRIDGGNHQLGLVAAENLMAGEENYWGCLSGAVVDEQMSWGSIWMPFWDVEFMGEAHYGLFSDVIWDDDIWGLRTTK >ONI26987 pep chromosome:Prunus_persica_NCBIv2:G1:4289025:4292730:-1 gene:PRUPE_1G060900 transcript:ONI26987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFESKRWVTGAFLAVLLVLCFVAAIAEISGNRNGGTEELQSSSNSSMAARVAEDDESFNKHAVDDPEEVVAMVDMSIRNSTERRKLGFFSCGTGNPIDDCWRCDSNWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPGDDDPVNPRPGTLRHAVIQNEPLWIVFKRDMVIQLKQELIMNSFKTIDGRGVNVHIANGACITIQFVTNIIIHGLHIHDCKPTGNALVRSSPSHFGWRTMADGDAVSIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNHFTHHNEVMLLGHSDSYTRDKAMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYAAPTNPFAKEVI >ONI26986 pep chromosome:Prunus_persica_NCBIv2:G1:4287815:4292890:-1 gene:PRUPE_1G060900 transcript:ONI26986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFESKRWVTGAFLAVLLVLCFVAAIAEISGNRNGGTEELQSSSNSSMAARVAEDDESFNKHAVDDPEEVVAMVDMSIRNSTERRKLGFFSCGTGNPIDDCWRCDSNWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPGDDDPVNPRPGTLRHAVIQNEPLWIVFKRDMVIQLKQELIMNSFKTIDGRGVNVHIANGACITIQFVTNIIIHGLHIHDCKPTGNALVRSSPSHFGWRTMADGDAVSIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNHFTHHNEVMLLGHSDSYTRDKAMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYAAPTNPFAKEVTKRVETPTTQWKSWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSAMVGAITSGSGALPCRRGHPC >ONI26988 pep chromosome:Prunus_persica_NCBIv2:G1:4289483:4292730:-1 gene:PRUPE_1G060900 transcript:ONI26988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFESKRWVTGAFLAVLLVLCFVAAIAEISGNRNGGTEELQSSSNSSMAARVAEDDESFNKHAVDDPEEVVAMVDMSIRNSTERRKLGFFSCGTGNPIDDCWRCDSNWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPGDDDPVNPRPGTLRHAVIQNEPLWIVFKRDMVIQLKQELIMNSFKTIDGRGVNVHIANGACITIQFVTNIIIHGLHIHDCKPTGNALVRSSPSHFGWRTMADGDAVSIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITISNNHFTHHNEVCKFF >ONI27731 pep chromosome:Prunus_persica_NCBIv2:G1:8151598:8156819:1 gene:PRUPE_1G102300 transcript:ONI27731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHMMKSGDLQTTPCTEKVPVKLEMEDALEDEHGPLNKRPKPSPAFQERSVGDNAFAVPPSQYNPLDEPSPLGLRLRKSPSLLELIQMKLAQGGASTTGAVQSENASSAVKKESKATAAVGTTDKLKASNFPASLLRIGGWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPDDGPGTLTVVLARQPLFFRETNPQPRKHTLWQATADFTDGQASIHRQHFLQCPQGLLNKHFEKLIQCDTRLCFLSRQSEIVLESPCFEPRSSAFEDPESKDHGFDPLGNGNGSSVSGFQDVASPSASQSSSLKIDQQDTASMALEHQSREAPSPSSVMDARAIEGNGSSEAVDSRGPKNRVQMKVPGLHPSMSMSDLMSHIGNCISEQMTSGNPSSADQQSEYQDMLEDIAQYLLSDNQFTAASDEKSLMSRVNSLCCLLQKDPGSVQNMVDGESSGEGQDGGSDAQLNHTPDNKSGANTKDPEDDTKDASGGKKATGMSRKDSFGELLFHLPRIASLPSLPKVLFNISEEDCESQAR >ONI29031 pep chromosome:Prunus_persica_NCBIv2:G1:15043672:15054785:1 gene:PRUPE_1G176700 transcript:ONI29031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGRESLIRLVGKRRRCLPNRQSLLSSPTQSSLNLRADENGKFVSIDNVEGSSNQEEQGNTEYSAVGLVDERVTCPVCGNKVSGQSNIINSHLDVCLSRGTKRKLTQRTLLELNFCPDSKAQIRTCYSKELGNDLFHAAHDDSHVQRVVHGLSDISAVEESADDVCGSSLNLMDDMQNQVGMCGNPILKDRINANVDDLSWALKNEVPDVEMTVTLDDISGVILETFIVGRKFCDGNELDLGARISLLRDPDNPKDPNAIKVISSDSGCRKVLGFLPRKLAQYLSPLMEKYFLNFEGSVISVPKNSIDVVPIQIMSHKTGSRGAKVYYNDEAFKCLWKNAQQVIESTKSCPPSAVKYQENFCVFIHDVLKSNSHLLTEDEKNFIELFTSLSDDSQRLFVRLYTRKGPWFRQSAISYPEVLDPEQAVKGLSETGYVSCFGIPNELCDDDIKEILDLLTVSELREILCMLKQNCNRAMRKQDLIVSLISLYKEELCPLLPCMVLDRTGACVRISLKAESLIWRAERLFFLNGEQDLLAFLLVDLGIVKYPTYKCIISQQIFSGRDGLLAYEEAIEVAQIIDEALDGSNIELVIKCIDIADSRISSESTQSLTSESMAPFLSRFSAKYVYSKVVLLGISFLEREHRYSDAIYLLKRLLKCFTCDGRRGYWTLRLSIDLEHMGYLNDSLSVAENGLLDRWVRAGSRVALQRRVLRLGKPPRRWKTPSFAESVKRKIMEVHVQGRPLNSVIGMKSRFYGEDGQQCGVERLALQHYAAEGWQGVHTESGIWLTIFGLLMWDIIFSDIPNVFRTRFQTAPLDLETDSFYLVRKSHIESHLQSIHDGMAEEILITSWELHEGTTCRGVNWDRHSLSELRAAVTCIGGLCLASFCRHLAKDYRSWSSGMPDLLLWRFHGNYRGEAKLVEVKGPRDRLSEQQRAWLLLLMDCGFNAEVCKVSPLL >ONI29033 pep chromosome:Prunus_persica_NCBIv2:G1:15043672:15054785:1 gene:PRUPE_1G176700 transcript:ONI29033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGRESLIRLVGKRRRCLPNRQSLLSSPTQSSLNLRADENGKFVSIDNVEGSSNQEEQGNTEYSAVGLVDERVTCPVCGNKVSGQSNIINSHLDVCLSRGTKRKLTQRTLLELNFCPDSKAQIRTCYSKELGNDLFHAAHDDSHVQRVVHGLSDISAVEESADDVCGSSLNLMDDMQNQVGMCGNPILKDRINANVDDLSWALKNEVPDVEMTVTLDDISGVILETFIVGRKFCDGNELDLGARISLLRDPDNPKDPNAIKVISSDSGCRKVLGFLPRKLAQYLSPLMEKYFLNFEGSVISVPKNSIDVVPIQIMSHKTGSRGAKVYYNDEAFKCLWKNAQQVIESTKSCPPSAVKYQENFCVFIHDVLKSNSHLLTEDEKNFIELFTSLSDDSQRLFVRLYTRKGPWFRQSAISYPEVLDPEQAVKGLSETGYVSCFGIPNELCDDDIKEILDLLTVSELREILCMLKQNCNRAMRKQDLIVSLISLYKEELCPLLPCMVLDRTGACVRISLKAESLIWRAERLFFLNGEQDLLAFLLVDLGIVKYPTYKCIISQQIFSGRDGLLAYEEAIEVAQIIDEALDGSNIELVIKCIDIADSRISSESTQSLTSESMAPFLSRFSAKYVYSKVVLLGISFLEREHRYSDAIYLLKRLLKCFTCDGRRGYWTLRLSIDLEHMGYLNDSLSVAENGLLDRWVRAGSRVALQRRVLRLGKPPRRWKTPSFAESVKRKIMEV >ONI29034 pep chromosome:Prunus_persica_NCBIv2:G1:15043829:15052561:1 gene:PRUPE_1G176700 transcript:ONI29034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGRESLIRLVGKRRRCLPNRQSLLSSPTQSSLNLRADENGKFVSIDNVEGSSNQEEQGNTEYSAVGLVDERVTCPVCGNKVSGQSNIINSHLDVCLSRGTKRKLTQRTLLELNFCPDSKAQIRTCYSKELGNDLFHAAHDDSHVQRVVHGLSDISAVEESADDVCGSSLNLMDDMQNQVGMCGNPILKDRINANVDDLSWALKNEVPDVEMTVTLDDISGVILETFIVGRKFCDGNELDLGARISLLRDPDNPKDPNAIKVISSDSGCRKVLGFLPRKLAQYLSPLMEKYFLNFEGSVISVPKNSIDVVPIQIMSHKTGSRGAKVYYNDEAFKCLWKNAQQVIESTKSCPPSAVKYQENFCVFIHDVLKSNSHLLTEDEKNFIELFTSLSDDSQRLFVRLYTRKGPWFRQSAISYPEVLDPEQAVKGLSETGYVSCFGIPNELCDDDIKEILDLLTVSELREILCMLKQNCNRAMRKQDLIVSLISLYKEELCPLLPCMVLDRTGACVRISLKAESLIWRAERLFFLNGEQDLLAFLLVDLGIVKYPTYKCIISQQIFSGRDGLLAYEEAIEVAQIIDEALDGSNIELVIKCIDIADSRISSESTQSLTSESMAPFLSRFSAKYVYSKVVLLGISFLEREHRYSDAIYLLKRLLKCFTCDGRRGYWTLRLSIDLEHMGYLNDSLSVAENGLLDRWVRAGSRVALQRRVLRLGKPPRRWKTPSFAESVKRKIMEVIT >ONI29032 pep chromosome:Prunus_persica_NCBIv2:G1:15043829:15052869:1 gene:PRUPE_1G176700 transcript:ONI29032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGRESLIRLVGKRRRCLPNRQSLLSSPTQSSLNLRADENGKFVSIDNVEGSSNQEEQGNTEYSAVGLVDERVTCPVCGNKVSGQSNIINSHLDVCLSRGTKRKLTQRTLLELNFCPDSKAQIRTCYSKELGNDLFHAAHDDSHVQRVVHGLSDISAVEESADDVCGSSLNLMDDMQNQVGMCGNPILKDRINANVDDLSWALKNEVPDVEMTVTLDDISGVILETFIVGRKFCDGNELDLGARISLLRDPDNPKDPNAIKVISSDSGCRKVLGFLPRKLAQYLSPLMEKYFLNFEGSVISVPKNSIDVVPIQIMSHKTGSRGAKVYYNDEAFKCLWKNAQQVIESTKSCPPSAVKYQENFCVFIHDVLKSNSHLLTEDEKNFIELFTSLSDDSQRLFVRLYTRKGPWFRQSAISYPEVLDPEQAVKGLSETGYVSCFGIPNELCDDDIKEILDLLTVSELREILCMLKQNCNRAMRKQDLIVSLISLYKEELCPLLPCMVLDRTGACVRISLKAESLIWRAERLFFLNGEQDLLAFLLVDLGIVKYPTYKCIISQQIFSGRDGLLAYEEAIEVAQIIDEALDGSNIELVIKCIDIADSRISSESTQSLTSESMAPFLSRFSAKYVYSKVVLLGISFLEREHRYSDAIYLLKRLLKCFTCDGRRGYWTLRLSIDLEHMGYLNDSLSVAENGLLDRWVRAGSRVALQRRVLRLGKPPRRWKTPSFAESVKRKIMELGSCSRETSKFCDRYEEQVLWRRWAAMWGRAASPAALCCRRMAGCSYREWHLVNYFWSSYVGYYIF >ONI29030 pep chromosome:Prunus_persica_NCBIv2:G1:15043672:15054785:1 gene:PRUPE_1G176700 transcript:ONI29030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGRESLIRLVGKRRRCLPNRQSLLSSPTQSSLNLRADENGKFVSIDNVEGSSNQEEQGNTEYSAVGLVDERVTCPVCGNKVSGQSNIINSHLDVCLSRGTKRKLTQRTLLELNFCPDSKAQIRTCYSKELGNDLFHAAHDDSHVQRVVHGLSDISAVEESADDVCGSSLNLMDDMQNQVGMCGNPILKDRINANVDDLSWALKNEVPDVEMTVTLDDISGVILETFIVGRKFCDGNELDLGARISLLRDPDNPKDPNAIKVISSDSGCRKVLGFLPRKLAQYLSPLMEKYFLNFEGSVISVPKNSIDVVPIQIMSHKTGSRGAKVYYNDEAFKCLWKNAQQVIESTKSCPPSAVKYQENFCVFIHDVLKSNSHLLTEDEKNFIELFTSLSDDSQRLFVRLYTRKGPWFRQSAISYPEVLDPEQAVKGLSETGYVSCFGIPNELCDDDIKEILDLLTVSELREILCMLKQNCNRAMRKQDLIVSLISLYKEELCPLLPCMVLDRTGACVRISLKAESLIWRAERLFFLNGEQDLLAFLLVDLGIVKYPTYKCIISQQIFSGRDGLLAYEEAIEVAQIIDEALDGSNIELVIKCIDIADSRISSESTQSLTSESMAPFLSRFSAKYVYSKVVLLGISFLEREHRYSDAIYLLKRLLKCFTCDGRRGYWTLRLSIDLEHMGYLNDSLSVAENGLLDRWVRAGSRVALQRRVLRLGKPPRRWKTPSFAESVKRKIMEVHVQGRPLNSVIGMKSRFYGEDGQQCGVERLALQHYAAEGWQGVHTESGIWLTIFGLLMWDIIFSDIPNVFRTRFQTAPLDLETDSFYLVRKSHIESHLQSIHDGMAEEILITSWELHEGTTCRGVNWDRHSLSELRAAVTCIGGLCLASFCRHLAKDYRSWSSGMPDLLLWRFHGNYRGEAKLVEVKGPRDRLSEQQRAWLLLLMDCGFNAEKFTV >ONI30429 pep chromosome:Prunus_persica_NCBIv2:G1:26260900:26262034:-1 gene:PRUPE_1G250900 transcript:ONI30429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHPVQMRAVVYSLSPFQQKVMPGLWKDFTGKIHHKVSENWLSATLLLAPLVATYTYVQQYQEKEKLEHRY >ONI30430 pep chromosome:Prunus_persica_NCBIv2:G1:26261247:26262034:-1 gene:PRUPE_1G250900 transcript:ONI30430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHPVQMRAVVYSLSPFQQKVMPGLWKDFTGKIHHKVSENWLSATLLLAPLVATYTYVQQYQEKEKLEHRY >ONI33271 pep chromosome:Prunus_persica_NCBIv2:G1:36037250:36038443:1 gene:PRUPE_1G413800 transcript:ONI33271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVVVFDFDKTIIDWDSDNWVVEELGVKDLFTQLLPTMPWNSLMDRMMRELHSRGMTIEDIAECLKKIPLHPNIASAIKSAHAFGCDLRVLSAANEFFIDTILKHHGLMDCFSEINTNPSIIDEQGRLRIFPYHDFHSSSHGCTICPPSMCKGLIMEKIQASVAADGKKHKQFIYVGDGAPDFCAGLKLEEGDFLMPRRDFPIWDLISANPLFTKAKIYEWNECDELGAVLLNTVNAFFTENKSRSTDQLVPVDCKSQNSSTCLTAHEAFQNALPVPLPRQ >ONI32161 pep chromosome:Prunus_persica_NCBIv2:G1:32714605:32718586:1 gene:PRUPE_1G351900 transcript:ONI32161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASATCFSSQLQVLLPRGPSKSSPFVFPSYNTRRVTITFRPLSLTLRARRPLCVLSAAAKSNSGSNSSVNKTSKEEEAVEEVEEDLPWIQEKALDLVEFTGSVSQAIPGPRVGTSSLPWILALPLAYAGLTFVVAFVKTVKKFSSPRQKRKRLVNKNAMLCKSIDDLFQSGADQPKPDALNQLVQKTGFGMEDILRKYIRYTLNEKPFNPDVVSNLIQLRKASMFDDSQVAEILNEISRRIVRDKGPVVMDISGYTERGFKRKLAVQALFGKVFYLSELPEFCSRDSSLIVKEIFGVTDEDADKLRIHAISESGDMDSLEKMVDASDSDESG >ONI33360 pep chromosome:Prunus_persica_NCBIv2:G1:36306685:36308214:1 gene:PRUPE_1G419100 transcript:ONI33360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLLARVKLVGNGRIINSNNGESVTGGLSNYILSFRCEICGFYGSIITLYIESETWQLLVRTPHYSLFASHSTSSSSICHGKWPPWLAKHVSPSKSSPDRR >ONI28979 pep chromosome:Prunus_persica_NCBIv2:G1:14479370:14481466:-1 gene:PRUPE_1G173300 transcript:ONI28979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDRTATPSWNPSSFGMEMQSNELNCGSQQLPNSLFNANWDNSMDQSDPFESALSSIVSSPAASNAAIAAGKGGGDGEMIRELIGRLGSICNSGEISSHSYMCGNNSTNTSCYSTPLNSSPKLNLSMIDPQMRGNLPIPGNHLPSHPSLAPFQADPGFVERAARFSCFGGGNFGGLNGQVNLNEAELAYRSMPKIDSGKLSRASSNQSLKVAAGSQLGVQESNKSSPQGGNSAPDKKFGRFSRSSTPENAELGDSREGSSVSEQIPGGDMSVKAENVTNSRKRKPVARGKAKETSSSPSVKDGKVVAEKEEPNSKRSKTDEASGNEKAAAKAKIEPSGGSKATGDGAQKQTKDNSEPPEAPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRMDLNMEALLSKEILQSRGSLQNALYQLDSAIPSFPFGYQPQQLPPLHSSSISSGTETQFPESPLNAAMRQSQGMQLPTFDRFGGAAPQVIKQNLAQ >ONI28978 pep chromosome:Prunus_persica_NCBIv2:G1:14478736:14481809:-1 gene:PRUPE_1G173300 transcript:ONI28978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDRTATPSWNPSSFGMEMQSNELNCGSQQLPNSLFNANWDNSMDQSDPFESALSSIVSSPAASNAAIAAGKGGGDGEMIRELIGRLGSICNSGEISSHSYMCGNNSTNTSCYSTPLNSSPKLNLSMIDPQMRGNLPIPGNHLPSHPSLAPFQADPGFVERAARFSCFGGGNFGGLNGQVNLNEAELAYRSMPKIDSGKLSRASSNQSLKVAAGSQLGVQESNKSSPQGGNSAPDKKFGRFSRSSTPENAELGDSREGSSVSEQIPGGDMSVKAENVTNSRKRKPVARGKAKETSSSPSVKDGKVVAEKEEPNSKRSKTDEASGNEKAAAKAKIEPSGGSKATGDGAQKQTKDNSEPPEAPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRMDLNMEALLSKEILQSRGSLQNALYQLDSAIPSFPFGYQPQQLPPLHSSSISSGTETQFPESPLNAAMRQSQGMQLPTFDRFGGAAPQAPQFFEDDLQSVVQMGFGQIQQESLHGSMASAQMKVEL >ONI32581 pep chromosome:Prunus_persica_NCBIv2:G1:33990075:33992263:-1 gene:PRUPE_1G374200 transcript:ONI32581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHIASLVLLGVISWTTAFLFIRKMFPKRSFDFCNRLVSTIHATVAVTLASLSVEDWKCPVCPQASTSSPQQMKALAVSLSYLIYDLVCCLFDKKFNLDNCVHHLVSIVGIGAGLAYQRCGSEMVAALWITELSSPFLHLRELLKELGYRDTDLNLAADISFAAIFTVARMVGGPYLTYVTLSANNPFIIKAMALGLQLVSAFWFYKIVRMVKYKVIKRTGPKQVDAT >ONI32053 pep chromosome:Prunus_persica_NCBIv2:G1:32386983:32389461:-1 gene:PRUPE_1G346500 transcript:ONI32053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFWLVSPSPTFLKPSFSLSRTLSAGASSSSSSSYNPVTITSSSSNAPNTNPYPNASLLLLGPFSQRRRRWSPSCVKFDLRRRAHVSRSSSSASTGAEKDDDEAAAKVSVEGSTWIDLYLPRQARPYAKLARLDKPIGTWLFAWPCMWSITLAATPGVHLPDIKMLTLFAFGALFVRGAACTINDLLDRDIDAMVERTKSRPLASGVLTPFQGLCFLGFQLLLGLGLLLQLNNYSRVLGAAWLLLIFTYPLMKRLTYWPQAYLGLMMNWGGLLGWAAVKGTIDPAIMLPLYISGVFWTLVYDTIYAHQDKEDDVKVGVKSTALRFGDSTKKWITGFGIMCITSLALAGYNAEIGWAYYASLGVASGHLAWQIWTADLSSPLDCNRKFVSNKWFGAIIFIGILLGRLLP >ONI36506 pep chromosome:Prunus_persica_NCBIv2:G1:47722113:47727624:-1 gene:PRUPE_1G587700 transcript:ONI36506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESGDDQELKLYSYWRSTCSFRVRIALNLKGLKYEYKAVNLLKGEQFSPEFTKLNPIGYVPVLVDGDIVVADSFAILIAANIVSSSIQPLQNLAILKYIEEKVSPEEKLEWAKVHIGKGFAALEELLNNYAGRYATGDEVFLADLFLAPQLYAAIGRFHVDMTQFPLLARLHEAYSKIPEFLDALPENQPDAPSS >ONI36507 pep chromosome:Prunus_persica_NCBIv2:G1:47722678:47727363:-1 gene:PRUPE_1G587700 transcript:ONI36507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESGDDQELKLYSYWRSTCSFRVRIALNLKGLKYEYKAVNLLKGEQFSPEFTKLNPIGYVPVLVDGDIVVADSFAILIYLEGKYPQHPLLPQDLQKKAINYQAANIVSSSIQPLQNLAILKYIEEKVSPEEKLEWAKVHIGKGFAALEELLNNYAGRYATGDEVFLADLFLAPQLYAAIGRFHVDMTQFPLLARLHEAYSKIPEFLDALPENQPDAPSS >ONI35770 pep chromosome:Prunus_persica_NCBIv2:G1:45267232:45268877:-1 gene:PRUPE_1G554100 transcript:ONI35770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNKIKHELISHEPNRRVTFKKRKASLFRKLTEITTLCGIIACAVVYDTSDSKMDAWPSPQEAFYVLENFKKLPEQRRGQFMMDQKAFLKKNISRLNGQLERARFKNQALDAELLLIEYLEGKHLSDSSRLGSLLALEDQLAKKISFITNRIEFMEVLEPNEVDAEINSDEMGDQG >ONI32641 pep chromosome:Prunus_persica_NCBIv2:G1:34184968:34186811:-1 gene:PRUPE_1G378200 transcript:ONI32641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRAQPQDNEMRTSGSLSYASSAHHLSTKESSPAAAAAAADHQKRRVGIHNGNNTYATSPHQTLDHHIQHPHPPLQPLIRDPDPDRALAGTPVPSTVLASGGPKSTSKIIRYRECLKNHAANIGGNVFDGCGEFMPSGEEGTLEALKCAACDCHRNFHRKEVDGETTAFSHGSRRSSIMLSPLQLPPPLPSPSSALHHHHHHHQKFSMAPIIQPMNVAFGSGGGGTESSSEDLNVFQSNNAEGGLPMPPFAMSKKRFRTKFTQEQKERMMEFAEKVGWRIQKQDEEEVERFCAEVGVKRQVLRVWMHNNKNSAKKQSDILTTPTTTSLSFENVEAEEEGGGEGGRDNS >ONI32639 pep chromosome:Prunus_persica_NCBIv2:G1:34184622:34186793:-1 gene:PRUPE_1G378200 transcript:ONI32639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKASVMDFRAQPQDNEMRTSGSLSYASSAHHLSTKESSPAAAAAAADHQKRRVGIHNGNNTYATSPHQTLDHHIQHPHPPLQPLIRDPDPDRALAGTPVPSTVLASGGPKSTSKIIRYRECLKNHAANIGGNVFDGCGEFMPSGEEGTLEALKCAACDCHRNFHRKEVDGETTAFSHGSRRSSIMLSPLQLPPPLPSPSSALHHHHHHHQKFSMAPIIQPMNVAFGSGGGGTESSSEDLNVFQSNNAEGGLPMPPFAMSKKRFRTKFTQEQKERMMEFAEKVGWRIQKQDEEEVERFCAEVGVKRQVLRVWMHNNKNSAKKQSDILTTPTTTSLSFENVEAEEEGGGEGGRDNS >ONI32640 pep chromosome:Prunus_persica_NCBIv2:G1:34184968:34186786:-1 gene:PRUPE_1G378200 transcript:ONI32640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRAQPQDNEMRTSGSLSYASSAHHLSTKESSPAAAAAAADHQKRRVGIHNGNNTYATSPHQTLDHHIQHPHPPLQPLIRDPDPDRALAGTPVPSTVLASGGPKSTSKIIRYRECLKNHAANIGGNVFDGCGEFMPSGEEGTLEALKCAACDCHRNFHRKEVDGETTAFSHGSRRSSIMLSPLQLPPPLPSPSSALHHHHHHHQKFSMAPIIQPMNVAFGSGGGGTESSSEDLNVFQSNNAEGGLPMPPFAMSKKRFRTKFTQEQKERMMEFAEKVGWRIQKQDEEEVERFCAEVGVKRQVLRVWMHNNKNSAKKQSDILTTPTTTSLSFENVEAEEEGGGEGGRDNS >ONI32642 pep chromosome:Prunus_persica_NCBIv2:G1:34184643:34186786:-1 gene:PRUPE_1G378200 transcript:ONI32642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRAQPQDNEMRTSGSLSYASSAHHLSTKESSPAAAAAAADHQKRRVGIHNGNNTYATSPHQTLDHHIQHPHPPLQPLIRDPDPDRALAGTPVPSTVLASGGPKSTSKIIRYRECLKNHAANIGGNVFDGCGEFMPSGEEGTLEALKCAACDCHRNFHRKEVDGETTAFSHGSRRSSIMLSPLQLPPPLPSPSSALHHHHHHHQKFSMAPIIQPMNVAFGSGGGGTESSSEDLNVFQSNNAEGGLPMPPFAMSKKRFRTKFTQEQKERMMEFAEKVGWRIQKQDEEEVERFCAEVGVKRQVLRVWMHNNKNSAKKQSDILTTPTTTSLSFENVEAEEEGGGEGGRDNS >ONI34583 pep chromosome:Prunus_persica_NCBIv2:G1:40701350:40703463:-1 gene:PRUPE_1G488900 transcript:ONI34583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSSMALAKFSMLGYVGGGRKEVGKRRGFSISAAQQPEVQEPQEVEVQEGKGLKKEKIKPKGTTPRPVEKQVNVQSKNMGREYGGDWLSSVTRHVRIYAAYIDPETCAFDQTQMDKLTIILDPTKEFVWTSETCNKVYSYFQELVDHYEGAPLTEYTLRLIGSDIEHYIRKLLYDGEIKYNMDARVLNFSMGKPRIMFNNNDIQPQDVQ >ONI35762 pep chromosome:Prunus_persica_NCBIv2:G1:45222201:45228670:1 gene:PRUPE_1G553500 transcript:ONI35762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQVGVRRTSLSQKRGPSAAIKKSVPPENGTSNGTTSKPSSPPHPLSSGGGERTVKKLRLSKALTIPEGTTVSDACRRMAARRVDAVLLTDANALLSGIVTDKDILARVIAEGLRPEQTIVSKIMTRNPIFVNSDSLALEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGANLSAPYAFLETLRERMFKPSLATIIGENTKVAIVSPSDPVYVAAKRMREFRMNSAIIATGNKIQGILTSKDILMRVVAQNLSPELTLVEKVMTPNPECAMLETTILDALHIMHEGKFLHLPVLDRDGSVAACVDVLQITHAAISMVESSSGTANTMANTMMQKFWDSALALEPPDDCDTQSELSALMASDGTDGKFPYPSLGLGNSFAFKFEDLRGRMHRINCGMENLDELLSAVMQRIGAANDHDRPHILFEDDEGDRVLLATDDDLVSAVSHARGVGLKVLRLHLDFTDSGHRTISQSGTTTAQKKGWTSLHTGVLASAAALTSIGVLVYLKRTNL >ONI29720 pep chromosome:Prunus_persica_NCBIv2:G1:22426015:22427806:-1 gene:PRUPE_1G210700 transcript:ONI29720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMKWVIQVNEELSKMEGLTTEKEHWSKGSIYKLPVSITDINKKAYKPQVATFGPYHFDHLNTTEVHKHRALLHFLKRCGKPFELFVNALTEVVQDLKDSYDQLDPGWKDDTARFLQLMILDGCFMLEVVRIASNILDDYALNDPVFSNHGKLHIIPYIKRDMLMIENQLPMLVLNKLVEVESNKDELSVTRLLLKFYYSGTSASNMGKCLHVLDVYRKSLLQSEPQCKTGRCPPAPVNRHDVIIWSATELNEAGIRFKKSKTGSLKDISFSGGILRLPVIIVDDTTESMFLNLIAFERFHVGAGNEVTSYIFFMDNIIDNARDVALLHSKGIVQNALGSDKAVANLFNSLSKDITLDPESSLDAVHKKVYHYCRKPWNEWRANLIHTYFRNPWAVISLIAGVFLFVLTIAQTGYSIIPYYYPNDSPPTCTCVPGAPPHVASSNHAYSTSPSKFILPILISVGWMLTK >ONI30526 pep chromosome:Prunus_persica_NCBIv2:G1:26620767:26621651:-1 gene:PRUPE_1G255800 transcript:ONI30526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPKFAEIKLWFLGTVGLIESAMKIPFRNPNFIALTLITSFPLFCMRLIHELPYNYQPSLIKEAIYQLGILTHDGGNMSPLLLPGCPTRLSRGLHLIVYGVAFAKWAEYSAWWNLSVVVSILEENRGIEAISASSKLTKGNRLRGLIWMLLYSVWRFKLPSVLATWTFPHILASYYLDTSFVCLGKVINWVVLTVYYYDCKTVTTRLP >ONI34356 pep chromosome:Prunus_persica_NCBIv2:G1:39983820:39986332:1 gene:PRUPE_1G477600 transcript:ONI34356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDQAPHDIENPCIPLVTSMGEELDGLSPLSSLCCIYRVPERLRRVSEKAYTPQVVSIGPLHHGKEGLKAMEDHKKRYLQDYIRRTRVSLADYVQKVKDQEAKLRSCYAETIQVSSDEFVRIILVDAAFIIEVLLRYRFDELQDENDCIFNKPYMLQDVWPDMRLLENQLPFFILEELFDPDKIEVSSNNNNIERLSILNLCHNFFKNLMHIEGTDGNMEKLCASKVEHFVDFCRNLHLPLPLKPHAKGRLETLNTPSITELHRAGVKFRVGSPKNLFNIKFANGILKIPKLAISDETELTIRNLLAFEQCHCMENYINDYVVIMDRFVNTAKDVELLVKHGIVENSLGDSSEGSTLINNLADGVIVDPNDFYFAILCADLNKYCRTSWHKWQANLRQNYCNTPWATISIAAAIFLLILTFIQTVCSVISALPSKR >ONI34357 pep chromosome:Prunus_persica_NCBIv2:G1:39983694:39986492:1 gene:PRUPE_1G477600 transcript:ONI34357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDQAPHDIENPCIPLVTSMGEELDGLSPLSSLCCIYRVPERLRRVSEKAYTPQVVSIGPLHHGKEGLKAMEDHKKRYLQDYIRRTRVSLADYVQKVKDQEAKLRSCYAETIQDVWPDMRLLENQLPFFILEELFDPDKIEVSSNNNNIERLSILNLCHNFFKNLMHIEGTDGNMEKLCASKVEHFVDFCRNLHLPLPLKPHAKGRLETLNTPSITELHRAGVKFRVGSPKNLFNIKFANGILKIPKLAISDETELTIRNLLAFEQCHCMENYINDYVVIMDRFVNTAKDVELLVKHGIVENSLGDSSEGSTLINNLADGVIVDPNDFYFAILCADLNKYCRTSWHKWQANLRQNYCNTPWATISIAAAIFLLILTFIQTVCSVISALPSKR >ONI36339 pep chromosome:Prunus_persica_NCBIv2:G1:47253563:47257616:-1 gene:PRUPE_1G581300 transcript:ONI36339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAICGCRSLSLFVLLASISLQFIPGFSDDSSSSKNEKKAVTHSTSSHTGSTLAIIFLGLVVVALFSFFLFKLWQKKKREEQYARLLKLFEEDDELELELGLRD >ONI36340 pep chromosome:Prunus_persica_NCBIv2:G1:47253563:47257640:-1 gene:PRUPE_1G581300 transcript:ONI36340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAICGCRSLSLFVLLASISLQFIPGFSDDSSSSKNEKKAVTHSTSSHTGSTLAIIFLGLVVVALFSFFLFKLWQKKKREEQYARLLKLFEEDDELELELGLRD >ONI36341 pep chromosome:Prunus_persica_NCBIv2:G1:47253563:47257809:-1 gene:PRUPE_1G581300 transcript:ONI36341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAICGCRSLSLFVLLASISLQFIPGFSDDSSSSKNEKKAVTHSTSSHTGSTLAIIFLGLVVVALFSFFLFKLWQKKKREEQYARLLKLFEEDDELELELGLRD >ONI36337 pep chromosome:Prunus_persica_NCBIv2:G1:47253563:47257593:-1 gene:PRUPE_1G581300 transcript:ONI36337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAICGCRSLSLFVLLASISLQFIPGFSDDSSSSKNEKKAVTHSTSSHTGSTLAIIFLGLVVVALFSFFLFKLWQKKKREEQYARLLKLFEEDDELELELGLRD >ONI36338 pep chromosome:Prunus_persica_NCBIv2:G1:47253563:47257810:-1 gene:PRUPE_1G581300 transcript:ONI36338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAICGCRSLSLFVLLASISLQFIPGFSDDSSSSKNEKKAVTHSTSSHTGSTLAIIFLGLVVVALFSFFLFKLWQKKKREEQYARLLKLFEEDDELELELGLRD >ONI27521 pep chromosome:Prunus_persica_NCBIv2:G1:6948733:6954315:1 gene:PRUPE_1G091900 transcript:ONI27521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKAGRILLLLLCLNVVTFGVIAGPQTCPASDLESGCSDSEEWKGEFFPGIPKIKYEGPSSKNPLSFKWYNAEEEILGKKMKDWLRFSVAFWHTFRGTGGDPFGAPTKNWPWEDGTNSVAMAKRRMRANFEFINKLGVDRWCFHDRDIAPDGETLEESNKNLDEVVTLAKELQGSKIRPLWGTAQLFLHPRYMHGGATSPEVGVYAYAAAQVKKAIEVTHYLGGENYVFWGGREGYQSLLNTDMGRELDHLARFLEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATSANFLRKYGLIGEFKLNIECNHATLSGHSCHHELETARLNGLLGNIDANTGDPQTGWDTDQFLTDIAEATLVMLTVVKNGGIAPGGFNFDAKLRRESTDVEDLFIAHISGMDTLARGLRNVVKLIEDGSLDELVRKRYESFDTEIGAHIEAGKGDFEYLEKKAIEWGEPRVPSAKQELAEMLFQSVL >ONI27523 pep chromosome:Prunus_persica_NCBIv2:G1:6948936:6954315:1 gene:PRUPE_1G091900 transcript:ONI27523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKAGRILLLLLCLNVVTFGVIAGPQTCPASDLESGCSDSEEWKGEFFPGIPKIKYEGPSSKNPLSFKWYNAEEEILGKKMKDWLRFSVAFWHTFRGTGGDPFGAPTKNWPWEDGTNSVAMAKRRMRANFEFINKLGVDRWCFHDRDIAPDGETLEESNKNLDEVVTLAKELQGSKIRPLWGTAQLFLHPRYMHGGATSPEVGVYAYAAAQVKKAIEVTHYLGGENYVFWGGREGYQSLLNTDMGRELDHLARFLEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATSANFLRKYGLIGEFKLNIECNHATLSGHSCHHELETARLNGLLGNIDANTGDPQTGWDTDQFLTDIAEATLVMLTVVKNGGIAPGGFNFDAKLRRESTDVEDLFIAHISGMDTLARGLRNVVKLIEDGSLDELVRKRYESFDTEIGAHIEAGKGDFEYLEKKAIEWGEPRVPSAKQELAEMLFQSVL >ONI27524 pep chromosome:Prunus_persica_NCBIv2:G1:6948911:6954317:1 gene:PRUPE_1G091900 transcript:ONI27524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKAGRILLLLLCLNVVTFGVIAGPQTCPASDLESGCSDSEEWKGEFFPGIPKIKYEGPSSKNPLSFKWYNAEEEILGKKMKDWLRFSVAFWHTFRGTGGDPFGAPTKNWPWEDGTNSVAMAKRRMRANFEFINKLGVDRWCFHDRDIAPDGETLEESNKNLDEVVTLAKELQGSKIRPLWGTAQLFLHPRYMHGGATSPEVGVYAYAAAQVKKAIEVTHYLGGENYVFWGGREGYQSLLNTDMGRELDHLARFLEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATSANFLRKYGLIGEFKLNIECNHATLSGHSCHHELETARLNGLLGNIDANTGDPQTGWDTDQFLTDIAEATLVMLTVVKNGGIAPGGFNFDAKLRRESTDVEDLFIAHISGMDTLARGLRNVVKLIEDGSLDELVRKRYESFDTEIGAHIEAGKGDFEYLEKKAIEWGEPRVPSAKQELAEMLFQSVL >ONI27522 pep chromosome:Prunus_persica_NCBIv2:G1:6948936:6954315:1 gene:PRUPE_1G091900 transcript:ONI27522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKAGRILLLLLCLNVVTFGVIAGPQTCPASDLESGCSDSEEWKGEFFPGIPKIKYEGPSSKNPLSFKWYNAEEEILGKKMKDWLRFSVAFWHTFRGTGGDPFGAPTKNWPWEDGTNSVAMAKRRMRANFEFINKLGVDRWCFHDRDIAPDGETLEESNKNLDEVVTLAKELQGSKIRPLWGTAQLFLHPRYMHGGATSPEVGVYAYAAAQVKKAIEVTHYLGGENYVFWGGREGYQSLLNTDMGRELDHLARFLEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATSANFLRKYGLIGEFKLNIECNHATLSGHSCHHELETARLNGLLGNIDANTGDPQTGWDTDQFLTDIAEATLVMLTVVKNGGIAPGGFNFDAKLRRESTDVEDLFIAHISGMDTLARGLRNVVKLIEDGSLDELVRKRYESFDTEIGAHIEAGKGDFEYLEKKAIEWGEPRVPSAKQELAEMLFQSVL >ONI27041 pep chromosome:Prunus_persica_NCBIv2:G1:4584561:4588021:-1 gene:PRUPE_1G064400 transcript:ONI27041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLLLSTTCVSSSLKPQHLSFSSHQPQSQSRALARKIIRKWKQEECFDGKGIYVDCVPLIRSLSRQKMPHVAQELVLEMKSDGLLPSNSTLSALMLCHANNGLFPQAEAIWDEMLHSSFVPSIQVVSELFDAYGNVGCFEKVNEILAQIRSRNLSLFPEVYSLAISCFGKGGQLELMEGTLKEMISRGFPLDSATGNAFIRYYSIFGSLTEMETAYGRLKRSRFLIEEEGIRAMSFAYLKKRKFYRLAELLKNVGLGRRNLGNLSWNLLLLSYAADFKMKSLQREFLRMVEAGFHPDLTTFNIRALAFSRMSLLWDLHLSLEHMKHEKVFPDLVTCGCVVDAYLERRLGKNMYFALNKMNLDDSPLILTDPFVFEVLGKGDFHASSEAFLEFQSQREWTYRRLISVYLKKQYRRNQIFWNY >ONI32516 pep chromosome:Prunus_persica_NCBIv2:G1:33817896:33820208:-1 gene:PRUPE_1G370700 transcript:ONI32516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKKFIDKKKSATFQLLARDSSDPNYDDSPGSDRVFIRVDNSSYPVDALFPEDNPHDASGAGGDDDDPNSIFADAPEDDGGGQDYGQVSGYSAAPSAPQPLPDLVRREILELGFPDDGYNYLLHMREIRSTSTVYHNSKAKLDQLPHDVKAYDASRVRISENEAPNEKVIYSVASKTVNVRLQKAADPEVSALLDDSDLSRFGSDIEDLEEDFVVQANLPAEKEEEEEDMCVDNGQNIVEQSENKNVRNETNGVGTNNVEGAKDNSVADKPRVHRLLDEQFDLLVSQEYASDDDNDDDYGYVAEEDETLAEKLKHATLNGHARDDLELDGGYKAPADLLRDNETVKTKELVDSASEVIRRCAEYAEKYENEDKDADLVIVEESSDESEVWDCETIVSTYSNLDNHPGKIEAPGVARRKKLSETISGALGSANHVITLRGKERLPVDFLPHKKPATEKVKDIGALKTEQQKRKQHGMESKEEKKERKAAVKEERRVARRTKKEIKELYKGEAQHAQRVAAISGPSSIHLM >ONI31941 pep chromosome:Prunus_persica_NCBIv2:G1:32017530:32020269:-1 gene:PRUPE_1G340600 transcript:ONI31941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEREKEKERELSKKEEMAPWEQHSTVISIPRFDYNAPSSLLQHSHSGFLITCPIKREKSATKEAISLLAKYVQCFNRCSSEEEAVSKRRKLSKENTDGEEATANSGDAHDDVQEGPPLPPAKVDAEGDTSPLLSLVKLTKSGLLLFTFPKSTSPDVVDTVSKIMHSVESGSCGSPLWCHRIFPIQATCSLNEKELHEVVSKLVLQFLNNNQKLARPIKLGITEEG >ONI31939 pep chromosome:Prunus_persica_NCBIv2:G1:32017960:32019991:-1 gene:PRUPE_1G340600 transcript:ONI31939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEREKEKERELSKKEEMAPWEQHSTVISIPRFDYNAPSSLLQHSHSGFLITCPIKREKSATKEAISLLAKYVQCFNRCSSEEEAVSKRRKLSKENTDGEEATANSGDAHDDVQEGPPLPPAKVDAEGDTSPLLSLVKLTKSGLLLFTFPKSTSPDVVDTVSKIMHSVESGSCGSPLWCHRIFPIQATCSLNEKELHEVVSKLVLQFLNNNQKLARPIKFAVGYNRRGVETELNTSKEASNAVVLLDRDKCFEIVASAVTGVVSDSVVDLKSPELAVLIELLPLSGVPKESLVVAVSVLPRNVVSVKPRLCIKALVSDTKTKGKKN >ONI31940 pep chromosome:Prunus_persica_NCBIv2:G1:32017960:32019926:-1 gene:PRUPE_1G340600 transcript:ONI31940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATLYCNQHPSLRLQRPFFSSPTLPLWLPHHLPHYFLFISAIINLSEREKSATKEAISLLAKYVQCFNRCSSEEEAVSKRRKLSKENTDGEEATANSGDAHDDVQEGPPLPPAKVDAEGDTSPLLSLVKLTKSGLLLFTFPKSTSPDVVDTVSKIMHSVESGSCGSPLWCHRIFPIQATCSLNEKELHEVVSKLVLQFLNNNQKLARPIKFAVGYNRRGVETELNTSKEASNAVVLLDRDKCFEIVASAVTGVVSDSVVDLKSPELAVLIELLPLSGVPKESLVVAVSVLPRNVVSVKPRLCIKALVSDTKTKGKKN >ONI28389 pep chromosome:Prunus_persica_NCBIv2:G1:10991932:10997437:1 gene:PRUPE_1G140000 transcript:ONI28389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGSSDGEGHEAAQRKIPPASSMLWVRNLRRFIGSGTGLGSEALMELETKRILLDIFKEKQQKSAEAGTIPSFYKKKPEDGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDEQGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPQEMEAYIRGLIPNLAQLRDMPASFVQMYCRIAAQKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSMASAKRVCDIFILLDKDNNGTLSKQELREYADGALTEIIIERVFDEHVRRGKVGGASSREMDFDSYLDFVLALENKDTPEGLTYLFRCLDLNGRGYLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEES >ONI28390 pep chromosome:Prunus_persica_NCBIv2:G1:10991932:10997437:1 gene:PRUPE_1G140000 transcript:ONI28390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAMRPRRGRSRLLRPCCGFGTSAASSDPVPDSDPKLSWKPEDGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDEQGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPQEMEAYIRGLIPNLAQLRDMPASFVQMYCRIAAQKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSMASAKRVCDIFILLDKDNNGTLSKQELREYADGALTEIIIERVFDEHVRRGKVGGASSREMDFDSYLDFVLALENKDTPEGLTYLFRCLDLNGRGYLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEES >ONI28877 pep chromosome:Prunus_persica_NCBIv2:G1:13458067:13462777:1 gene:PRUPE_1G166000 transcript:ONI28877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRIYWLNSKVGAVETESAAYHRITITGTIQSEQSLFTRSEDEILEVISGNHVPEYDTSLDVPSLFSTARNIISASFQTIDNLVQGIQGLDMETINEKSPEPYSSSFCPPIESIRCQMAYYRVPSDTSIEACTLEILKKLSKYSWETKAVLALAAFALEYEEFDQLAKSVGIKRHDVVVELNNLIKATLEVIDYLLKLEWLFRNYDVPSSASSTKDIPVIVYWSILTIVACAMTKVTVLTSYEEDMQYNLSKFLQKIQFILANLRGQLAVCEREIEDQGKTTSEGKEEYLTTELMKYTEFHWQVPESMRNFIPYSAEVTLESAEVTLAEVMHAFKDLVFPDDNVQPLIDGSTKEQVDIGVLSWNSLFILFSSLDIPKLIFQFSNGVMRKRKKRTTIIRLCGSPLWTSGLMPSGRSLSC >ONI28876 pep chromosome:Prunus_persica_NCBIv2:G1:13458067:13462601:1 gene:PRUPE_1G166000 transcript:ONI28876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRIYWLNSKVGAVETESAAYHRITITGTIQSEQSLFTRSEDEILEVISGNHVPEYDTSLDVPSLFSTARNIISASFQTIDNLVQGIQGLDMETINEKSPEPYSSSFCPPIESIRCQMAYYRVPSDTSIEACTLEILKKLSKYSWETKAVLALAAFALEYEEFDQLAKSVGIKRHDVVVELNNLIKATLEVIDYLLKLEWLFRNYDVPSSASSTKDIPVIVYWSILTIVACAMTKVTVLTSYEEDMQYNLSKFLQKIQFILANLRGQLAVCEREIEDQGKTTSEGKEEYLTTELMKYTEFHWQVPESMRNFIPYSAEVTLESAEVTLAEVMHAFKDLVFPDDNVQPLIDGSTKEQGPFMISRHRSAQLEQFVHFVFEPRHSEADISILKRGYEETKKEDNNYKIVWIPIVDKWTDALREKFVVLMSKMPWYTLQYFEPDAACVSKIKEEWHFKDKPMVVVMDSEGEIKNTNALPLIQKYGIEAFPFHEDDEHHEKKGLLARIFHKGVRR >ONI35093 pep chromosome:Prunus_persica_NCBIv2:G1:42394849:42397045:-1 gene:PRUPE_1G515500 transcript:ONI35093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSTCGIQGTLHEFPFFSFPNLEQLNLSVNKLFDTIPPQISYLSKLIYLDLSDNQFSGRIPPEIGLLRNLTILALYQNNFFGDIPYEIGNLKSLVELDLSENQLNGSIPESLGDLTSLAYFNLYSNKLSSTIHNDIGNLKSLVDLELSSNTLSGLIPPNIILSLKRLVISSRRFSVIVMCPRGCPSLTILLSDWREDMRKIDAFKCELLHMFLLNDGWWKTHKLLSQQKLFDWSNPQNLKTCTSLFRVRLHGNQLTSNISQDFGVYPNLHFIDVSHNNFVPPKIAKATKIQELDLSSNRLFGLIPKEFGRLTSLVKLMLNGNQLSGHIPSNLGSLSGLEYLDLSTNKLNESIPTIVGDLFRLHYLNLSHNKLAQAIPLDLGKLFQLTNLDLSHNSLEGWILSEMSNMQCLASVSK >ONI26133 pep chromosome:Prunus_persica_NCBIv2:G1:521275:530423:1 gene:PRUPE_1G006100 transcript:ONI26133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNKTLIKQPPQPELEPDEFREEFKCCVCLDLLYKPVVLGCGHISCFWCVFNAMNAARESYCAVCRRPYNHFPSICQLLHFLLQKLYPEAYKQREKQVREEEKEFGYFSPQFDNPISGSHPTEESNILDNVQSENSESCSSGVGGSPSLVNSPETMLDDEANSKRPTTSLKNVEATGNAAIKEDCLHDNGPENGTHNKVSVSDLLCAACKNLLFQPVVLNCGHVYCKSCINIPVDGVSRCQICQSMHPNGFPSVCLILEHFLEEQYPEIYAHRRSSSIKQADLHAHQRAGQPVSTLSDDYLSGWSGVGQNVHFAIGCDCCGMSPIIGERYKCKDCVEKMGFDLCGACYNAPFKIPGRFNQQHKPEHVLELVPPRIIHVPPYHLDEDGAEFQEDLENIPAAPIPQDLELVPPEIIAMLSYQSDEDGAEFQEDLEIIPAAPIPQDPENGPNNVPNVYPAFVMSVDNLDPDDDSDDGASPDVIQECEGTNQPRN >ONI26134 pep chromosome:Prunus_persica_NCBIv2:G1:522834:530423:1 gene:PRUPE_1G006100 transcript:ONI26134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLYKPVVLGCGHISCFWCVFNAMNAARESYCAVCRRPYNHFPSICQLLHFLLQKLYPEAYKQREKQVREEEKEFGYFSPQFDNPISGSHPTEESNILDNVQSENSESCSSGVGGSPSLVNSPETMLDDEANSKRPTTSLKNVEATGNAAIKEDCLHDNGPENGTHNKVSVSDLLCAACKNLLFQPVVLNCGHVYCKSCINIPVDGVSRCQICQSMHPNGFPSVCLILEHFLEEQYPEIYAHRRSSSIKQADLHAHQRAGQPVSTLSDDYLSGWSGVGQNVHFAIGCDCCGMSPIIGERYKCKDCVEKMGFDLCGACYNAPFKIPGRFNQQHKPEHVLELVPPRIIHVPPYHLDEDGAEFQEDLENIPAAPIPQDLELVPPEIIAMLSYQSDEDGAEFQEDLEIIPAAPIPQDPENGPNNVPNVYPAFVMSVDNLDPDDDSDDGASPDVIQECEGTNQPRN >ONI26135 pep chromosome:Prunus_persica_NCBIv2:G1:521275:530423:1 gene:PRUPE_1G006100 transcript:ONI26135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAARESYCAVCRRPYNHFPSICQLLHFLLQKLYPEAYKQREKQVREEEKEFGYFSPQFDNPISGSHPTEESNILDNVQSENSESCSSGVGGSPSLVNSPETMLDDEANSKRPTTSLKNVEATGNAAIKEDCLHDNGPENGTHNKVSVSDLLCAACKNLLFQPVVLNCGHVYCKSCINIPVDGVSRCQICQSMHPNGFPSVCLILEHFLEEQYPEIYAHRRSSSIKQADLHAHQRAGQPVSTLSDDYLSGWSGVGQNVHFAIGCDCCGMSPIIGERYKCKDCVEKMGFDLCGACYNAPFKIPGRFNQQHKPEHVLELVPPRIIHVPPYHLDEDGAEFQEDLENIPAAPIPQDLELVPPEIIAMLSYQSDEDGAEFQEDLEIIPAAPIPQDPENGPNNVPNVYPAFVMSVDNLDPDDDSDDGASPDVIQECEGTNQPRN >ONI26137 pep chromosome:Prunus_persica_NCBIv2:G1:523522:530423:1 gene:PRUPE_1G006100 transcript:ONI26137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDEANSKRPTTSLKNVEATGNAAIKEDCLHDNGPENGTHNKVSVSDLLCAACKNLLFQPVVLNCGHVYCKSCINIPVDGVSRCQICQSMHPNGFPSVCLILEHFLEEQYPEIYAHRRSSSIKQADLHAHQRAGQPVSTLSDDYLSGWSGVGQNVHFAIGCDCCGMSPIIGERYKCKDCVEKMGFDLCGACYNAPFKIPGRFNQQHKPEHVLELVPPRIIHVPPYHLDEDGAEFQEDLENIPAAPIPQDLELVPPEIIAMLSYQSDEDGAEFQEDLEIIPAAPIPQDPENGPNNVPNVYPAFVMSVDNLDPDDDSDDGASPDVIQECEGTNQPRN >ONI31965 pep chromosome:Prunus_persica_NCBIv2:G1:32095018:32095712:1 gene:PRUPE_1G341500 transcript:ONI31965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSMRLFSTAFVFFLLLAAAGMMGPMVAEARTCESQSNRFKGTCVSTSNCASVCQTEGFPGGHCRGFRRRCFCTKHC >ONI31707 pep chromosome:Prunus_persica_NCBIv2:G1:31034494:31036235:-1 gene:PRUPE_1G325800 transcript:ONI31707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRAIEELDEEGGLSEVAISEFIKREYEDLPVAHEGFLRHHLRKLCESRVVVNLEGRRYNLAVEEGDDGGVVDRESTSERWPGREDRREIERMEEKMKGEEWQSEVMRRLDVQKQEHFEVIENKCEAQGKQMEVNEGQLEMASMELDVEGSSNKEAILGDIESEVYENEVIQGNHHREEQRSGEVYKQNTQAHEIEVIENHFQPQAGKVREIGERVQGQDIEVRTKILELCCHGDENLRTQGDVPLADQLQQSPLQSQEKRRPGRPCKPRKGIESTRALVLLAPEIHHEEEPPRRRGMPRKAKKDMDASTRALMPCGGPQHHDEEQPPRLGDRPPKAKKNMDVSISALLSSDPEHHDEEQPPRRRGRRPKPKPDSETSLAFVSCSDKQQPQYRGRGRPPKPKPNSETSLAVVSCSDKQQQQQPQYRGRGRPPKSKPNSETSLAVVLCSDKQQKQPQYRGRGRHPKPKLDAEKAKNPPQTPQIEQLQQKRRGRGRPSKLQ >ONI31706 pep chromosome:Prunus_persica_NCBIv2:G1:31034190:31036971:-1 gene:PRUPE_1G325800 transcript:ONI31706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHFCSLTLKPQTMATLKPHQPLHHQNIKDQRQKRIICKLRDALLTRIAASSEPSKPIINSEGLKSNIDRRLRELIPTVHTPTHPPYASMIQRAIEELDEEGGLSEVAISEFIKREYEDLPVAHEGFLRHHLRKLCESRVVVNLEGRRYNLAVEEGDDGGVVDRESTSERWPGREDRREIERMEEKMKGEEWQSEVMRRLDVQKQEHFEVIENKCEAQGKQMEVNEGQLEMASMELDVEGSSNKEAILGDIESEVYENEVIQGNHHREEQRSGEVYKQNTQAHEIEVIENHFQPQAGKVREIGERVQGQDIEVRTKILELCCHGDENLRTQGDVPLADQLQQSPLQSQEKRRPGRPCKPRKGIESTRALVLLAPEIHHEEEPPRRRGMPRKAKKDMDASTRALMPCGGPQHHDEEQPPRLGDRPPKAKKNMDVSISALLSSDPEHHDEEQPPRRRGRRPKPKPDSETSLAFVSCSDKQQPQYRGRGRPPKPKPNSETSLAVVSCSDKQQQQQPQYRGRGRPPKSKPNSETSLAVVLCSDKQQKQPQYRGRGRHPKPKLDAEKAKNPPQTPQIEQLQQKRRGRGRPSKLQ >ONI35804 pep chromosome:Prunus_persica_NCBIv2:G1:45343731:45346259:-1 gene:PRUPE_1G555500 transcript:ONI35804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSSSFSNVLFFTCRSLHFYLPYSPFSCKALIHHISNTCLQFKPKLLNSHFVSKLQPNHVHHIPLSLQSNPMSTLRFLDWSHNSLRLHHSPQSFCALIHLLLRHRKLAPASHLFDTMVRQFGTHFHLFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSPYVLSRMLNFLVDSNRVHVILDLYGEVCKALRGQYFCVYEFVMVALLNKSKVETGLDFHSAVIERGFVVDIVACNKILKRLCKESQIGVGEDFFNVLIMGGPEPNVVTFSTMINAYCKDGKLEEAIKLYKVMIEKGISPDLVVYSILVDGLFKAGKLEEGLRLFSEALDSGIKLDVVIFSSVMDSYVRIGDLVKSVEVYRRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYKSMIKTGYEPDIILYGVLVNGLCKEGLMGDALRFFFQAVYRGVKPNIYTFNMLIDGFCRLKRLSDAVNVFIQMGVYNIKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVTYCTLIDGCCKQKHVYFGLRILDMMRRNGVSPDIAVYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLDAAVQLFQKLIQGQGKPNAITCTILIDAFCKEGNMDDAMLMFDKMLEKDPDPNLVTYSCLIDGYFKSENMKSAFDLHEEMLKNISPNIVSYSILMDGLCKRGLTEKASLAFHCAIERGLVPDVIAYGILIRGYCKVGRMAEALILYGRMLISGIMPDAVIQRTITEHILEADQWKYSTAQPHDRQIRSVL >ONI35800 pep chromosome:Prunus_persica_NCBIv2:G1:45343515:45347586:-1 gene:PRUPE_1G555500 transcript:ONI35800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSSSFSNVLFFTCRSLHFYLPYSPFSCKALIHHISNTCLQFKPKLLNSHFVSKLQPNHVHHIPLSLQSNPMSTLRFLDWSHNSLRLHHSPQSFCALIHLLLRHRKLAPASHLFDTMVRQFGTHFHLFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSPYVLSRMLNFLVDSNRVHVILDLYGEVCKALRGQYFCVYEFVMVALLNKSKVETGLDFHSAVIERGFVVDIVACNKILKRLCKESQIGVGEDFFNVLIMGGPEPNVVTFSTMINAYCKDGKLEEAIKLYKVMIEKGISPDLVVYSILVDGLFKAGKLEEGLRLFSEALDSGIKLDVVIFSSVMDSYVRIGDLVKSVEVYRRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYKSMIKTGYEPDIILYGVLVNGLCKEGLMGDALRFFFQAVYRGVKPNIYTFNMLIDGFCRLKRLSDAVNVFIQMGVYNIKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVTYCTLIDGCCKQKHVYFGLRILDMMRRNGVSPDIAVYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLDAAVQLFQKLIQGQGKPNAITCTILIDAFCKEGNMDDAMLMFDKMLEKDPDPNLVTYSCLIDGYFKSENMKSAFDLHEEMLKNISPNIVSYSILMDGLCKRGLTEKASLAFHCAIERGLVPDVIAYGILIRGYCKVGRMAEALILYGRMLISGIMPDAVIQRTITEHILEADQWKYSTAQPHDRQIRSVL >ONI35798 pep chromosome:Prunus_persica_NCBIv2:G1:45343515:45347651:-1 gene:PRUPE_1G555500 transcript:ONI35798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSSSFSNVLFFTCRSLHFYLPYSPFSCKALIHHISNTCLQFKPKLLNSHFVSKLQPNHVHHIPLSLQSNPMSTLRFLDWSHNSLRLHHSPQSFCALIHLLLRHRKLAPASHLFDTMVRQFGTHFHLFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSPYVLSRMLNFLVDSNRVHVILDLYGEVCKALRGQYFCVYEFVMVALLNKSKVETGLDFHSAVIERGFVVDIVACNKILKRLCKESQIGVGEDFFNVLIMGGPEPNVVTFSTMINAYCKDGKLEEAIKLYKVMIEKGISPDLVVYSILVDGLFKAGKLEEGLRLFSEALDSGIKLDVVIFSSVMDSYVRIGDLVKSVEVYRRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYKSMIKTGYEPDIILYGVLVNGLCKEGLMGDALRFFFQAVYRGVKPNIYTFNMLIDGFCRLKRLSDAVNVFIQMGVYNIKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVTYCTLIDGCCKQKHVYFGLRILDMMRRNGVSPDIAVYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLDAAVQLFQKLIQGQGKPNAITCTILIDAFCKEGNMDDAMLMFDKMLEKDPDPNLVTYSCLIDGYFKSENMKSAFDLHEEMLKNISPNIVSYSILMDGLCKRGLTEKASLAFHCAIERGLVPDVIAYGILIRGYCKVGRMAEALILYGRMLISGIMPDAVIQRTITEHILEADQWKYSTAQPHDRQIRSVL >ONI35803 pep chromosome:Prunus_persica_NCBIv2:G1:45343505:45347612:-1 gene:PRUPE_1G555500 transcript:ONI35803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSSSFSNVLFFTCRSLHFYLPYSPFSCKALIHHISNTCLQFKPKLLNSHFVSKLQPNHVHHIPLSLQSNPMSTLRFLDWSHNSLRLHHSPQSFCALIHLLLRHRKLAPASHLFDTMVRQFGTHFHLFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSPYVLSRMLNFLVDSNRVHVILDLYGEVCKALRGQYFCVYEFVMVALLNKSKVETGLDFHSAVIERGFVVDIVACNKILKRLCKESQIGVGEDFFNVLIMGGPEPNVVTFSTMINAYCKDGKLEEAIKLYKVMIEKGISPDLVVYSILVDGLFKAGKLEEGLRLFSEALDSGIKLDVVIFSSVMDSYVRIGDLVKSVEVYRRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYKSMIKTGYEPDIILYGVLVNGLCKEGLMGDALRFFFQAVYRGVKPNIYTFNMLIDGFCRLKRLSDAVNVFIQMGVYNIKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVTYCTLIDGCCKQKHVYFGLRILDMMRRNGVSPDIAVYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLDAAVQLFQKLIQGQGKPNAITCTILIDAFCKEGNMDDAMLMFDKMLEKDPDPNLVTYSCLIDGYFKSENMKSAFDLHEEMLKNISPNIVSYSILMDGLCKRGLTEKASLAFHCAIERGLVPDVIAYGILIRGYCKVGRMAEALILYGRMLISGIMPDAVIQRTITEHILEADQWKYSTAQPHDRQIRSVL >ONI35802 pep chromosome:Prunus_persica_NCBIv2:G1:45343487:45347646:-1 gene:PRUPE_1G555500 transcript:ONI35802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSSSFSNVLFFTCRSLHFYLPYSPFSCKALIHHISNTCLQFKPKLLNSHFVSKLQPNHVHHIPLSLQSNPMSTLRFLDWSHNSLRLHHSPQSFCALIHLLLRHRKLAPASHLFDTMVRQFGTHFHLFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSPYVLSRMLNFLVDSNRVHVILDLYGEVCKALRGQYFCVYEFVMVALLNKSKVETGLDFHSAVIERGFVVDIVACNKILKRLCKESQIGVGEDFFNVLIMGGPEPNVVTFSTMINAYCKDGKLEEAIKLYKVMIEKGISPDLVVYSILVDGLFKAGKLEEGLRLFSEALDSGIKLDVVIFSSVMDSYVRIGDLVKSVEVYRRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYKSMIKTGYEPDIILYGVLVNGLCKEGLMGDALRFFFQAVYRGVKPNIYTFNMLIDGFCRLKRLSDAVNVFIQMGVYNIKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVTYCTLIDGCCKQKHVYFGLRILDMMRRNGVSPDIAVYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLDAAVQLFQKLIQGQGKPNAITCTILIDAFCKEGNMDDAMLMFDKMLEKDPDPNLVTYSCLIDGYFKSENMKSAFDLHEEMLKNISPNIVSYSILMDGLCKRGLTEKASLAFHCAIERGLVPDVIAYGILIRGYCKVGRMAEALILYGRMLISGIMPDAVIQRTITEHILEADQWKYSTAQPHDRQIRSVL >ONI35799 pep chromosome:Prunus_persica_NCBIv2:G1:45343488:45347646:-1 gene:PRUPE_1G555500 transcript:ONI35799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSSSFSNVLFFTCRSLHFYLPYSPFSCKALIHHISNTCLQFKPKLLNSHFVSKLQPNHVHHIPLSLQSNPMSTLRFLDWSHNSLRLHHSPQSFCALIHLLLRHRKLAPASHLFDTMVRQFGTHFHLFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSPYVLSRMLNFLVDSNRVHVILDLYGEVCKALRGQYFCVYEFVMVALLNKSKVETGLDFHSAVIERGFVVDIVACNKILKRLCKESQIGVGEDFFNVLIMGGPEPNVVTFSTMINAYCKDGKLEEAIKLYKVMIEKGISPDLVVYSILVDGLFKAGKLEEGLRLFSEALDSGIKLDVVIFSSVMDSYVRIGDLVKSVEVYRRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYKSMIKTGYEPDIILYGVLVNGLCKEGLMGDALRFFFQAVYRGVKPNIYTFNMLIDGFCRLKRLSDAVNVFIQMGVYNIKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVTYCTLIDGCCKQKHVYFGLRILDMMRRNGVSPDIAVYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLDAAVQLFQKLIQGQGKPNAITCTILIDAFCKEGNMDDAMLMFDKMLEKDPDPNLVTYSCLIDGYFKSENMKSAFDLHEEMLKNISPNIVSYSILMDGLCKRGLTEKASLAFHCAIERGLVPDVIAYGILIRGYCKVGRMAEALILYGRMLISGIMPDAVIQRTITEHILEADQWKYSTAQPHDRQIRSVL >ONI35801 pep chromosome:Prunus_persica_NCBIv2:G1:45343515:45347617:-1 gene:PRUPE_1G555500 transcript:ONI35801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSSSFSNVLFFTCRSLHFYLPYSPFSCKALIHHISNTCLQFKPKLLNSHFVSKLQPNHVHHIPLSLQSNPMSTLRFLDWSHNSLRLHHSPQSFCALIHLLLRHRKLAPASHLFDTMVRQFGTHFHLFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSPYVLSRMLNFLVDSNRVHVILDLYGEVCKALRGQYFCVYEFVMVALLNKSKVETGLDFHSAVIERGFVVDIVACNKILKRLCKESQIGVGEDFFNVLIMGGPEPNVVTFSTMINAYCKDGKLEEAIKLYKVMIEKGISPDLVVYSILVDGLFKAGKLEEGLRLFSEALDSGIKLDVVIFSSVMDSYVRIGDLVKSVEVYRRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYKSMIKTGYEPDIILYGVLVNGLCKEGLMGDALRFFFQAVYRGVKPNIYTFNMLIDGFCRLKRLSDAVNVFIQMGVYNIKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVTYCTLIDGCCKQKHVYFGLRILDMMRRNGVSPDIAVYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLDAAVQLFQKLIQGQGKPNAITCTILIDAFCKEGNMDDAMLMFDKMLEKDPDPNLVTYSCLIDGYFKSENMKSAFDLHEEMLKNISPNIVSYSILMDGLCKRGLTEKASLAFHCAIERGLVPDVIAYGILIRGYCKVGRMAEALILYGRMLISGIMPDAVIQRTITEHILEADQWKYSTAQPHDRQIRSVL >ONI35797 pep chromosome:Prunus_persica_NCBIv2:G1:45343420:45347651:-1 gene:PRUPE_1G555500 transcript:ONI35797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSSSSFSNVLFFTCRSLHFYLPYSPFSCKALIHHISNTCLQFKPKLLNSHFVSKLQPNHVHHIPLSLQSNPMSTLRFLDWSHNSLRLHHSPQSFCALIHLLLRHRKLAPASHLFDTMVRQFGTHFHLFAAFSEISPNYASDSSDLYSFLIENFCRNGMLDSSIETFIHMCKLGVPVSPYVLSRMLNFLVDSNRVHVILDLYGEVCKALRGQYFCVYEFVMVALLNKSKVETGLDFHSAVIERGFVVDIVACNKILKRLCKESQIGVGEDFFNVLIMGGPEPNVVTFSTMINAYCKDGKLEEAIKLYKVMIEKGISPDLVVYSILVDGLFKAGKLEEGLRLFSEALDSGIKLDVVIFSSVMDSYVRIGDLVKSVEVYRRMLKEGISPNPVSYTILINGMCQDGKVMEACGIFGQIVKCGFVPSILTYSSLIDGMCKLGNLKDAFYLYKSMIKTGYEPDIILYGVLVNGLCKEGLMGDALRFFFQAVYRGVKPNIYTFNMLIDGFCRLKRLSDAVNVFIQMGVYNIKPDMVTYTVIIKGISEVGRLKDALVFFFQSLKKGFLPDVVTYCTLIDGCCKQKHVYFGLRILDMMRRNGVSPDIAVYNVLINMLFKESYLEAAQELFEQLTESGPEPDIVTYNTMICGYCSLRRLDAAVQLFQKLIQGQGKPNAITCTILIDAFCKEGNMDDAMLMFDKMLEKDPDPNLVTYSCLIDGYFKSENMKSAFDLHEEMLKNISPNIVSYSILMDGLCKRGLTEKASLAFHCAIERGLVPDVIAYGILIRGYCKVGRMAEALILYGRMLISGIMPDAVIQRTITEHILEADQWKYSTAQPHDRQIRSVL >ONI27152 pep chromosome:Prunus_persica_NCBIv2:G1:5017816:5019697:-1 gene:PRUPE_1G070800 transcript:ONI27152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFVGGDGGRSYEGGVTVFVVFTCLAAAMGGLLFGYDLGISGGVTSMESFLSKFFPSVYHKMKNESAGQNQYCKFDSQLLTLFTSCLYIAALVASFFASSVTRKFGRKISMFVAGLVFLMGSVLNGVANNIVLLIIGRLLLGIGVGFANQSVPVYLAEMAPTKIRGALNMGFQMAITIGILVAGLVNFGTAKIKGGYGWRISLALAAVPSLMMTLAAVFLPDTPNSILERGHPEKAKKMLQKIRGTNNVDEEFQDLLNATEVANRVENQWMNITQPRYRPQLIICFLIPFFQQLTGINVIMFYAPVLFMTLGFGNEASLMSSVITGGVNVVATLVSIFTVDKFGRRILFLQGGVQMIICQIAVGVMIGKEFGVSGEGSFSKGEANWILFFVCAYVAAFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMLFTFLIGQVFLTMLCHLKFGLFFFFAGFVIIMTIFITFFLPETKNVPIEEMNRVWKAHWFWGKYIPDEAVTHGRQDRAA >ONI33844 pep chromosome:Prunus_persica_NCBIv2:G1:37929390:37933424:1 gene:PRUPE_1G449600 transcript:ONI33844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSATLNLLRPSLAPPPSSLRFCDVRNSAASYCTSRRLALFHLGFALPQSQLLGAKASELLRGERNTLEAAPVANLAQAGTAITKENALEWVKNDKRRMLHVVYRVGDLDKTIKFYTECLGMKLLRRRDIPEEQYSNAFLGYGPEDSNFVVELTYSKSFLYYGVDKYNIGTGFGHFGIDVEDVSKSVELIKAKGGKVTREPGPAKSGSTIIASVEDPDGYTFELLERGLSPEPLCQVMLRVGDLDRAINFYKKAFGMELLRKRDYPEYKYTYALMGYGPEDKNPVLELTYNYGITDYDKGNGYAQIAIGTDDVYKSAEAIKLYGGKIVLEPGPLPGINTKITACLDPDGWKSVFVDNVDFMKELE >ONI33847 pep chromosome:Prunus_persica_NCBIv2:G1:37929402:37933424:1 gene:PRUPE_1G449600 transcript:ONI33847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLRRRDIPEEQYSNAFLGYGPEDSNFVVELTYNYGVDKYNIGTGFGHFGIDVEDVSKSVELIKAKGGKVTREPGPAKSGSTIIASVEDPDGYTFELLERGLSPEPLCQVMLRVGDLDRAINFYKKAFGMELLRKRDYPEYKYTYALMGYGPEDKNPVLELTYNYGITDYDKGNGYAQIAIGTDDVYKSAEAIKLYGGKIVLEPGPLPGINTKITACLDPDGWKSVFVDNVDFMKELE >ONI33846 pep chromosome:Prunus_persica_NCBIv2:G1:37929654:37933424:1 gene:PRUPE_1G449600 transcript:ONI33846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVVYRVGDLDKTIKFYTECLGMKLLRRRDIPEEQYSNAFLGYGPEDSNFVVELTYNYGVDKYNIGTGFGHFGIDVEDVSKSVELIKAKGGKVTREPGPAKSGSTIIASVEDPDGYTFELLERGLSPEPLCQVMLRVGDLDRAINFYKKAFGMELLRKRDYPEYKYTYALMGYGPEDKNPVLELTYNYGITDYDKGNGYAQIAIGTDDVYKSAEAIKLYGGKIVLEPGPLPGINTKITACLDPDGWKSVFVDNVDFMKELE >ONI33848 pep chromosome:Prunus_persica_NCBIv2:G1:37929654:37933424:1 gene:PRUPE_1G449600 transcript:ONI33848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIKSVELIKAKGGKVTREPGPAKSGSTIIASVEDPDGYTFELLERGLSPEPLCQVMLRVGDLDRAINFYKKAFGMELLRKRDYPEYKYTYALMGYGPEDKNPVLELTYNYGITDYDKGNGYAQIAIGTDDVYKSAEAIKLYGGKIVLEPGPLPGINTKITACLDPDGWKSVFVDNVDFMKELE >ONI33845 pep chromosome:Prunus_persica_NCBIv2:G1:37929402:37933424:1 gene:PRUPE_1G449600 transcript:ONI33845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSATLNLLRPSLAPPPSSLRFCDVRNSAASYCTSRRLALFHLGFALPQSQLLGAKASELLRGERNTLEAAPVANLAQAGTAITKENALEWVKNDKRRMLHVVYRVGDLDKTIKFYTECLGMKLLRRRDIPEEQYSNAFLGYGPEDSNFVVELTYNYGVDKYNIGTGFGHFGIDVEDVSKSVELIKAKGGKVTREPGPAKSGSTIIASVEDPDGYTFELLERGLSPEPLCQVMLRVGDLDRAINFYKKAFGMELLRKRDYPEYKYTYALMGYGPEDKNPVLELTYNYGITDYDKGNGYAQIAIGTDDVYKSAEAIKLYGGKIVLEPGPLPGINTKITACLDPDGWKSVFVDNVDFMKELE >ONI34647 pep chromosome:Prunus_persica_NCBIv2:G1:40945996:40950441:1 gene:PRUPE_1G492500 transcript:ONI34647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQEQQQRVSTDGGATRMAAIYAEATPPPTKHFDVDHNIDPKDDQQKPGWRKFLAHVGPGFLVSLAYLDPGNLETDLQAGANHRYELLWVILIGLVFALIIQSLSANLGVTTGKHLSELCKAEYPQFVKYCLWLLAEVAVIAADIPEVIGTAFALNILFNVPVWTGVLLTGFSTLLLLGLQRYGVRKLELLIAVLVFVMAACFFGEMGYVKPPASGVLKGMFIPKLNGQGATGDAIALLGALVMPHNLFLHSALVLSRKIPKSVHGINDACRYFLIESGFALFVAFLINVAIVSVSGTVCHDTNLSDKDTKTCSDLTLNSASFLLQNVLGRSSKVIYAIALLASGQSSTITGTYAGQFVMQGFLDIKMKKWARNLMTRCIAITPSLIVSIIGGPSGAGRLIIIASMILSFELPFALIPLLKFSSSTTKMGPHKNSIYIIVVSWILGMGIIGINIYYLSTGFVGWLIHSSLPKVANVFIGIIVFPLMAIYILAVIYLTLRKDSVVTFIEPTKNDPAAQNQMESGIPYSSGPPVPFREDLADIPLPQ >ONI26835 pep chromosome:Prunus_persica_NCBIv2:G1:3442072:3445511:-1 gene:PRUPE_1G049000 transcript:ONI26835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSTPVIGTLLSSFSDSPNGAHHRHFNLTSFSCNSSSPNSHSASGFIEFNPESKSLGLKAIRRAWSDGNLEGLVNSSCDMEEFRNSVAPRTSSSYQDNNSMLETAPSFSIFHSGIVDFQGSDQEPLERAITIGENIESVMGGGDFCFGKKSMGLIEEKGEEEEGLDGIQSLRVEEQVRPASPPMYLAAGLGVQAAGFGWDAWDDELSMANIDESGNGEEYYKRMVDEYPCHPLFLRNYAQALQSKGDFHGAEDYYLRATLANPQDGESLLEYAKLVWQLHHDQDRALSYFERAAQATPEDSRVLGAYASFLWEIEDDQEEADKGDSMNELENTNSKEETKKFSPSSHIAAANGIDMLDTAEAGSRRGDNAEENYKMMIEENPNNALLLRNYAQFLCQSKGDLQGAEQYYLRAMQADPGDSEIMAQYAKLVWELRHDSEKALSYFQRAVQANPEDSHVLAAYARFLWEAEDEEDTVMQGHIQVPLVQGGTMSAVNA >ONI26834 pep chromosome:Prunus_persica_NCBIv2:G1:3442072:3446129:-1 gene:PRUPE_1G049000 transcript:ONI26834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSTPVIGTLLSSFSDSPNGAHHRHFNLTSFSCNSSSPNSHSASGFIEFNPESKSLGLKAIRRAWSDGNLEGLVNSSCDMEEFRNSVAPRTSSSYQDNNSMLETAPSFSIFHSGIVDFQGSDQEPLERAITIGENIESVMGGGDFCFGKKSMGLIEEKGEEEEGLDGIQSLRVEEQVRPASPPMYLAAGLGVQAAGFGWDAWDDELSMANIDESGNGEEYYKRMVDEYPCHPLFLRNYAQALQSKGDFHGAEDYYLRATLANPQDGESLLEYAKLVWQLHHDQDRALSYFERAAQATPEDSRVLGAYASFLWEIEDDQEEADKGDSMNELENTNSKEETKKFSPSSHIAAANGIDMLDTAEAGSRRGDNAEENYKMMIEENPNNALLLRNYAQFLCQSKGDLQGAEQYYLRAMQADPGDSEIMAQYAKLVWELRHDSEKALSYFQRAVQANPEDSHVLAAYARFLWEAEDEEDTVMQGHIQVPLVQGGTMSAVNA >ONI35131 pep chromosome:Prunus_persica_NCBIv2:G1:42568118:42569876:1 gene:PRUPE_1G518100 transcript:ONI35131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVNAVLVAITLALLTCGPRCIYSDDQRLLVNMTLVRNASALGAFCLDGSLPAYHLHRGFGGGARNWLLQFEGGGWCNSTKSCLDRANTRRGSTRYMSKWEVFSGILSNNASLNPDFYNWNRVKLRYCDGASFAGDAVYKNGTSLLYFRGQKIWEAIILDLLPKGLGQARKALLSGSSAGGLSSFLHCNNFTKYLPSTASVKCLSDAGFFLDERDISSNHTMRSFVKDVVSLHGVEKNLDENCTASYLNFPELCFFPQYAMKFITPPFFILNSAYDVYQFHHILVPPSADPQGHWNRCKLNPAACNPQQLNALQEFRRGMIVAVGLSYKYSRRGGFFINSCFAHGQSESQDTWFAADSPRVHNRTIAEAVGDWYFNRRATKEIDCPYPCVTTCHNLIP >ONI35154 pep chromosome:Prunus_persica_NCBIv2:G1:42619320:42622158:1 gene:PRUPE_1G518900 transcript:ONI35154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQHVEALEILLQGLCGVRRENLRVHEICLKSGPNLGAVASEVRLICNLEQTEPTWTVRHIGGAMRGAGAEQISVLVRTMVESKASKNVLRLFYALGYKLDHELLRVGFAFHFQRGTQITVTVSSVNKMLQLHATDEAVPVTPGIQLVEVTAPATSENYTEVVAAVSSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGEELSS >ONI35156 pep chromosome:Prunus_persica_NCBIv2:G1:42619140:42621950:1 gene:PRUPE_1G518900 transcript:ONI35156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQHVEALEILLQGLCGVRRENLRVHEICLKSGPNLGAVASEVRLICNLEQTEPTWTVRHIGGAMRGAGAEQISVLVRTMVESKASKNVLRLFYALGYKLDHELLRVGFAFHFQRGTQITVTVSSVNKMLQLHATDEAVPVTPGIQLVEVTAPATSENYTEVVAAVSSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >ONI35155 pep chromosome:Prunus_persica_NCBIv2:G1:42619231:42622060:1 gene:PRUPE_1G518900 transcript:ONI35155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQHVEALEILLQGLCGVRRENLRVHEICLKSGPNLGAVASEVRLICNLEQTEPTWTVRHIGGAMRGAGAEQISVLVRTMVESKASKNVLRLFYALGYKLDHELLRVGFAFHFQRGTQITVTVSSVNKMLQLHATDEAVPVTPGIQLVEVTAPATSENYTEVVAAVSSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >ONI28126 pep chromosome:Prunus_persica_NCBIv2:G1:9860501:9862264:1 gene:PRUPE_1G125000 transcript:ONI28126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFFRAESILRVQTLTRAGLQWGHRNILLYPCIVSVRNWIGNYYYFSEATTRIRALVCSHSFINHALVNILITYLTDNWSTSHFEMAALVTNVQEGVSGIMVIVLAQILDSPIGSIEFLPLYFTVLRDFSSFLISFLYNLLIPKHWRKATGTLTLVRIGCGLACSMLCCVAAWQVEGKRLRAISNEGLEDDMSTTIPMSISWLLPQFVLLGVMEGLALNGLTDFLADRIANNDALRATYYASHISDLILGVGKLITASTIILFRRSWFHHNINGSRLDRFFELLTYLSLVNLIYYGSYLFSGFMLCKYAL >ONI30582 pep chromosome:Prunus_persica_NCBIv2:G1:26919478:26921333:-1 gene:PRUPE_1G260100 transcript:ONI30582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHHNEYRGHVVVLPYPSQGHINPLLQFAKRLASKGVKATLATTSYTVNSICVPNVGIEPISDGFDQAGFAQATDEDTFLQSFKTNGSRTLSQVLDKYQNSDFPVNCVVYDSFLPWALDVAKKRRIYGASFFTNSATVCSIFCRIHHGLLSLPCKLEDMPLFVPGLPPLNFPDLPTFLKKPDSYPAYLKMKLNQFPDLDRADWVFVNTFEALEGQLIGPMVPSAYLDGQITGDRGYGASLWKPLGEECTKWLEAKPPKSVVYVSFGSMVSLTEKQMEELAMGLKESGVHFLWVVRESERSKLPKEISDSAKEKGLFVTWCNQLEALAHEAIGCFVTHCGWNSILEGLSLGVPMVAVPQWTDQLTNAKFVEEIWEVGVRAKEDEQGVVRKEEFVGCLKKVMEGERSQEIRKNSSMWRELAKKEFSEGGSSDKNITGFVEHLRLANKKGEAKQFLNATD >ONI30583 pep chromosome:Prunus_persica_NCBIv2:G1:26919704:26921310:-1 gene:PRUPE_1G260100 transcript:ONI30583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHHNEYRGHVVVLPYPSQGHINPLLQFAKRLASKGVKATLATTSYTVNSICVPNVGIEPISDGFDQAGFAQATDEDTFLQSFKTNGSRTLSQVLDKYQNSDFPVNCVVYDSFLPWALDVAKKRRIYGASFFTNSATVCSIFCRIHHGLLSLPCKLEDMPLFVPGLPPLNFPDLPTFLKKPDSYPAYLKMKLNQFPDLDRADWVFVNTFEALEGQAANGLSKLFSGKLIGPMVPSAYLDGQITGDRGYGASLWKPLGEECTKWLEAKPPKSVVYVSFGSMVSLTEKQMEELAMGLKESGVHFLWVVRESERSKLPKEISDSAKEKGLFVTWCNQLEALAHEAIGCFVTHCGWNSILEGLSLGVPMVAVPQWTDQLTNAKFVEEIWEVGVRAKEDEQGVVRKEEFVGCLKKVMEGERSQEIRKNSSMWRELAKKEFSEGGSSDKNITGFVEHLRLANKKGEAKQFLNATD >ONI30306 pep chromosome:Prunus_persica_NCBIv2:G1:25660690:25665997:1 gene:PRUPE_1G243000 transcript:ONI30306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLSFPRQVVALCQAPFLLDDPNVGLIFPADAISRAKHYLSITTGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQILNTIIRGEGDGVLVPVPQYPLYSAAITLFGGSLVPYYLEETANWGLDLNNLRQSVAQARSKGITVRAMVIINPGNPTGQCLSEANLREILNFCFHEGLVLLGDEVYQQNIYQDERPFISARKVLMGMGLPISKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKVASIALSPNVPAQIFMGLMVNPPKPGDISYEQFVRESKGILESLRRRARIMTDGFNNCRNIVCNFTEGAMYSFPQIRLPPRAIEAAKRAGKVADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMDSFKKFNDEFMEQYEDHRGYSRM >ONI30305 pep chromosome:Prunus_persica_NCBIv2:G1:25661036:25665997:1 gene:PRUPE_1G243000 transcript:ONI30305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLSFPRQVVALCQAPFLLDDPNVGLIFPADAISRAKHYLSITTGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQILNTIIRGEGDGVLVPVPQYPLYSAAITLFGGSLVPYYLEETANWGLDLNNLRQSVAQARSKGITVRAMVIINPGNPTGQCLSEANLREILNFCFHEGLVLLGDEVYQQNIYQDERPFISARKVLMGMGLPISKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKVASIALSPNVPAQIFMGLMVNPPKPGDISYEQFVRESKGILESLRRRARIMTDGFNNCRNIVCNFTEGAMYSFPQIRLPPRAIEAAKRAGKVADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMDSFKKFNDEFMEQYEDHRGYSRM >ONI30303 pep chromosome:Prunus_persica_NCBIv2:G1:25661036:25665997:1 gene:PRUPE_1G243000 transcript:ONI30303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLSFPRQVVALCQAPFLLDDPNVGLIFPADAISRAKHYLSITTGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQILNTIIRGEGDGVLVPVPQYPLYSAAITLFGGSLVPYYLEETANWGLDLNNLRQSVAQARSKGITVRAMVIINPGNPTGQCLSEANLREILNFCFHEGLVLLGDEVYQQNIYQDERPFISARKVLMGMGLPISKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKVASIALSPNVPAQIFMGLMVNPPKPGDISYEQFVRESKGILESLRRRARIMTDGFNNCRNIVCNFTEGAMYSFPQIRLPPRAIEAAKRAGKVADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMDSFKKFNDEFMEQYEDHRGYSRM >ONI30304 pep chromosome:Prunus_persica_NCBIv2:G1:25661221:25665997:1 gene:PRUPE_1G243000 transcript:ONI30304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLSFPRQVVALCQAPFLLDDPNVGLIFPADAISRAKHYLSITTGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQILNTIIRGEGDGVLVPVPQYPLYSAAITLFGGSLVPYYLEETANWGLDLNNLRQSVAQARSKGITVRAMVIINPGNPTGQCLSEANLREILNFCFHEGLVLLGDEVYQQNIYQDERPFISARKVLMGMGLPISKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKVASIALSPNVPAQIFMGLMVNPPKPGDISYEQFVRESKGILESLRRRARIMTDGFNNCRNIVCNFTEGAMYSFPQIRLPPRAIEAAKRAGKVADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMDSFKKFNDEFMEQYEDHRGYSRM >ONI33882 pep chromosome:Prunus_persica_NCBIv2:G1:38013046:38015588:-1 gene:PRUPE_1G451400 transcript:ONI33882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPSLPKPISQNPHFPTKPAAHSHSLNVIPRNHSFLGHGLLNFQHGSFRARETRVIRSSNQTEAVPDYSIQVSDVPLLTCLEAIEKLKTYRENRHGKQQFLAMYSSIFGGITTDPAAMVVPIDDHMVHRGHGVFDTAAIRDGYLYELDQHLDRILRSASMAKIDIPFDRESIRRILIQTVSASKCKTGSLRYWLSTGPGDFQLSPSGCHQPALYAIVIQDLSPFNSKGVKVVTSSIPIKPPQFATMKSVNYLPNVLSKMEAEEKGAFAAIWLDHDGFIAEGPNMNVAFVTKEKELVMPQFDKILSGCTARRVLVLAEGLVREGKLRGVRIENVTVEEGKKADEMMLIGSGILIRPVVQWDEQVIGDGKEGSLTQILLNLIIEDMKSGPPTVRAPVPY >ONI33883 pep chromosome:Prunus_persica_NCBIv2:G1:38013403:38014736:-1 gene:PRUPE_1G451400 transcript:ONI33883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSIFGGITTDPAAMVVPIDDHMVHRGHGVFDTAAIRDGYLYELDQHLDRILRSASMAKIDIPFDRESIRRILIQTVSASKCKTGSLRYWLSTGPGDFQLSPSGCHQPALYAIVIQDLSPFNSKGVKVVTSSIPIKPPQFATMKSVNYLPNVLSKMEAEEKGAFAAIWLDHDGFIAEGPNMNVAFVTKEKELVMPQFDKILSGCTARRVLVLAEGLVREGKLRGVRIENVTVEEGKKADEMMLIGSGILIRPVVQWDEQVIGDGKEGSLTQILLNLIIEDMKSGPPTVRAPVPY >ONI33884 pep chromosome:Prunus_persica_NCBIv2:G1:38013046:38014885:-1 gene:PRUPE_1G451400 transcript:ONI33884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSIFGGITTDPAAMVVPIDDHMVHRGHGVFDTAAIRDGYLYELDQHLDRILRSASMAKIDIPFDRESIRRILIQTVSASKCKTGSLRYWLSTGPGDFQLSPSGCHQPALYAIVIQDLSPFNSKGVKVVTSSIPIKPPQFATMKSVNYLPNVLSKMEAEEKGAFAAIWLDHDGFIAEGPNMNVAFVTKEKELVMPQFDKILSGCTARRVLVLAEGLVREGKLRGVRIENVTVEEGKKADEMMLIGSGILIRPVVQWDEQVIGDGKEGSLTQILLNLIIEDMKSGPPTVRAPVPY >ONI33885 pep chromosome:Prunus_persica_NCBIv2:G1:38013046:38015450:-1 gene:PRUPE_1G451400 transcript:ONI33885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIDIPFDRESIRRILIQTVSASKCKTGSLRYWLSTGPGDFQLSPSGCHQPALYAIVIQDLSPFNSKGVKVVTSSIPIKPPQFATMKSVNYLPNVLSKMEAEEKGAFAAIWLDHDGFIAEGPNMNVAFVTKEKELVMPQFDKILSGCTARRVLVLAEGLVREGKLRGVRIENVTVEEGKKADEMMLIGSGILIRPVVQWDEQVIGDGKEGSLTQILLNLIIEDMKSGPPTVRAPVPY >ONI33928 pep chromosome:Prunus_persica_NCBIv2:G1:38146474:38148105:1 gene:PRUPE_1G453800 transcript:ONI33928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LALVAAALPLVPQLLYWNSILPNKPMPKALSELVQPGLSLYLQPQTQESSLIPPFYLGTLSDQPLTVSYQKDDVAATDNVFFGYNQDAATAAADKLFFGHNQNVVTAADNIFFGDNHEAAVGNQLLKDPTQEVFFLEKDVQPGTTMKYSLARNSTRATFLHRKTAESIPFSSTKLPDILNQLSLVKPILRGEERYCATSLESMVDFTTSKLGRNIQAISAEVERKGATMLQKYTIKPGVKKLAGKRLIDVFYCHAMGKTRAYMVPLEGADGTSAKAIVVCHVDTSVWHPKNFSLQALNCKPGTVPVCHFLPDAHIACLPN >ONI26796 pep chromosome:Prunus_persica_NCBIv2:G1:3296427:3298154:1 gene:PRUPE_1G046500 transcript:ONI26796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSISATGFKGNLCSSFHGTWATSIVGEDYAMLVKSVPSHVRVGKPIRAQPMMKNVNEGKGIFAPAVVVARNIIGKKRFNQIRGKAIALHSQVITEFCKSIGADAKQRQGLIRLAKKNGERLGFLA >ONI26155 pep chromosome:Prunus_persica_NCBIv2:G1:594870:597085:1 gene:PRUPE_1G007100 transcript:ONI26155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCRGSTNKYSRLDAKLEKKMVEFKRGSLGRSNFKSIDSIIMRFPQFREELKKIRDVFEQYDEDSNGTIDLEELKKCLQKLELHLTAEEIEDLFHSCDMDGSEGIQFNEFIVLLCLIYLLKEPSSSHNTSKIDSPQLEATFDTVIEVFSFLDKNGDGKLNKTDMVKALNEASPLEKSPAHVTRTRFKEMDWSKRGKVSFREFLFAFIDWVGIDTNEEMSPVRSSSK >ONI26154 pep chromosome:Prunus_persica_NCBIv2:G1:594535:597085:1 gene:PRUPE_1G007100 transcript:ONI26154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSTSTGTSHCPYLKSLSNKVGSMLCCRGSTNKYSRLDAKLEKKMVEFKRGSLGRSNFKSIDSIIMRFPQFREELKKIRDVFEQYDEDSNGTIDLEELKKCLQKLELHLTAEEIEDLFHSCDMDGSEGIQFNEFIVLLCLIYLLKEPSSSHNTSKIDSPQLEATFDTVIEVFSFLDKNGDGKLNKTDMVKALNEASPLEKSPAHVTRTRFKEMDWSKRGKVSFREFLFAFIDWVGIDTNEEMSPVRSSSK >ONI26156 pep chromosome:Prunus_persica_NCBIv2:G1:594573:597085:1 gene:PRUPE_1G007100 transcript:ONI26156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCRGSTNKYSRLDAKLEKKMVEFKRGSLGRSNFKSIDSIIMRFPQFREELKKIRDVFEQYDEDSNGTIDLEELKKCLQKLELHLTAEEIEDLFHSCDMDGSEGIQFNEFIVLLCLIYLLKEPSSSHNTSKIDSPQLEATFDTVIEVFSFLDKNGDGKLNKTDMVKALNEASPLEKSPAHVTRTRFKEMDWSKRGKVSFREFLFAFIDWVGIDTNEEMSPVRSSSK >ONI32168 pep chromosome:Prunus_persica_NCBIv2:G1:32728962:32733485:1 gene:PRUPE_1G352100 transcript:ONI32168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKWDDSVATLRAIDLLDEKIMKSASFVILEQKFFELLKKEKMVAALDTLRNEIVPLRINANTVHELAACMISPLQPVQLEPSSQDTDGASTRSKILEKLQKLLPAALFIPGNRLERLVEQALDVQRDACVFHNTFDSDLSLYSDHQCGRHQIPSQTSQILHAHSDEIWFLQFSHNGKYLASSSKDQSSIIWEVKGDGQVSLKHVLTGHQKPVLTVSWSPDDRQLLTCGLEEVIRRWDVTSGECLHVYEKNGVGLISCGWFPDGRGIFSGMADKSICLWDLDGVELECWKGQRTLKISDMAITDDGKRIINICRETTILLLDREAKFERLIEEDEVITSFSLSKDNKFLLVNLINQEIHLWSIESEPKVVSKYKGHKRARFVIRSCFGGFEQAFIASGSEDSQVYIWHRGSGELLLALPGHSGAVNCVSWNPVNLHMLASASDDRTIRVWGLDHLNLRRKVSQSNDIAHLAHQFNGRT >ONI32167 pep chromosome:Prunus_persica_NCBIv2:G1:32728962:32733485:1 gene:PRUPE_1G352100 transcript:ONI32167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGREDSEPPLKRVKVTLTQSKNSSDHSSITDPVASSLGDYMAWPLPSQGDEETIGKTGVIKRSEFIKVITRALYSLGYDKTGAILEEESGIPMHSSLVNLFMQQVMDGKWDDSVATLRAIDLLDEKIMKSASFVILEQKFFELLKKEKMVAALDTLRNEIVPLRINANTVHELAACMISPLQPVQLEPSSQDTDGASTRSKILEKLQKLLPAALFIPGNRLERLVEQALDVQRDACVFHNTFDSDLSLYSDHQCGRHQIPSQTSQILHAHSDEIWFLQFSHNGKYLASSSKDQSSIIWEVKGDGQVSLKHVLTGHQKPVLTVSWSPDDRQLLTCGLEEVIRRWDVTSGECLHVYEKNGVGLISCGWFPDGRGIFSGMADKSICLWDLDGVELECWKGQRTLKISDMAITDDGKRIINICRETTILLLDREAKFERLIEEDEVITSFSLSKDNKFLLVNLINQEIHLWSIESEPKVVSKYKGHKRARFVIRSCFGGFEQAFIASGSEDSQVYIWHRGSGELLLALPGHSGAVNCVSWNPVNLHMLASASDDRTIRVWGLDHLNLRRKVSQSNDIAHLAHQFNGRT >ONI32169 pep chromosome:Prunus_persica_NCBIv2:G1:32728131:32733494:1 gene:PRUPE_1G352100 transcript:ONI32169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKWDDSVATLRAIDLLDEKIMKSASFVILEQKFFELLKKEKMVAALDTLRNEIVPLRINANTVHELAACMISPLQPVQLEPSSQDTDGASTRSKILEKLQKLLPAALFIPGNRLERLVEQALDVQRDACVFHNTFDSDLSLYSDHQCGRHQIPSQTSQILHAHSDEIWFLQFSHNGKYLASSSKDQSSIIWEVKGDGQVSLKHVLTGHQKPVLTVSWSPDDRQLLTCGLEEVIRRWDVTSGECLHVYEKNGVGLISCGWFPDGRGIFSGMADKSICLWDLDGVELECWKGQRTLKISDMAITDDGKRIINICRETTILLLDREAKFERLIEEDEVITSFSLSKDNKFLLVNLINQEIHLWSIESEPKVVSKYKGHKRARFVIRSCFGGFEQAFIASGSEDSQVYIWHRGSGELLLALPGHSGAVNCVSWNPVNLHMLASASDDRTIRVWGLDHLNLRRKVSQSNDIAHLAHQFNGRT >ONI32166 pep chromosome:Prunus_persica_NCBIv2:G1:32728105:32733518:1 gene:PRUPE_1G352100 transcript:ONI32166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGREDSEPPLKRVKVTLTQSKNSSDHSSITDPVASSLGDYMAWPLPSQGDEETIGKTGVIKRSEFIKVITRALYSLGYDKTGAILEEESGIPMHSSLVNLFMQQVMDGKWDDSVATLRAIDLLDEKIMKSASFVILEQKFFELLKKEKMVAALDTLRNEIVPLRINANTVHELAACMISPLQPVQLEPSSQDTDGASTRSKILEKLQKLLPAALFIPGNRLERLVEQALDVQRDACVFHNTFDSDLSLYSDHQCGRHQIPSQTSQILHAHSDEIWFLQFSHNGKYLASSSKDQSSIIWEVKGDGQVSLKHVLTGHQKPVLTVSWSPDDRQLLTCGLEEVIRRWDVTSGECLHVYEKNGVGLISCGWFPDGRGIFSGMADKSICLWDLDGVELECWKGQRTLKISDMAITDDGKRIINICRETTILLLDREAKFERLIEEDEVITSFSLSKDNKFLLVNLINQEIHLWSIESEPKVVSKYKGHKRARFVIRSCFGGFEQAFIASGSEDSQVYIWHRGSGELLLALPGHSGAVNCVSWNPVNLHMLASASDDRTIRVWGLDHLNLRRKVSQSNDIAHLAHQFNGRT >ONI28783 pep chromosome:Prunus_persica_NCBIv2:G1:12864414:12869555:-1 gene:PRUPE_1G161200 transcript:ONI28783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLDELRKQIVEERERSEFRLLQEQAGLVPRQERLEFLYDSGLAVGRPGGSDSFKTLEALPKTEEAPTSSAASKQASASVPGALFEEKPQSANDAWRKLHSDPLLMIRQREQEALSRIKNNPVQMAMIRKSVEAKKPAEKLHEKKEHQKKQHHTGASKHSKHSSSIQTSDSGNDTAEVERRKSGHRQISKYVKHSDSEDESCKSARRRENTVKHSDSEDESHIRSHRSGKNPVKHSDSEDEFRYRTRRSEKNHVKHSDLENESHNRARRSGKNPVHHSDSEDESHYRARRSEKNHVKHSGLEDESHNTARQSGKNPVNHSDSEDELRYRARRSEKNHVKHSGSEDVSRNRAHRSGKNPVKHSDSEDETRYRAQRSEKNHVKHSDSEDESRKRLGRSGKNLVKRSHLEDESRNRARRGGKNQSIKIGRDDDQGDAKGKHDNLELEKYPMKGRNESHYKRRNVAPKLSEEERAARLKEMQIDAELHEEQRWKRLKKAEENDALEATQAVKSSGRNFLDAVQTSVYGAERGGSSTIEESVRRRTHYLQGRSEASERNAFRR >ONI28785 pep chromosome:Prunus_persica_NCBIv2:G1:12864414:12867690:-1 gene:PRUPE_1G161200 transcript:ONI28785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLDELRKQIVEERERSEFRLLQEQAGLVPRQERLEFLYDSGLAVGRPGGSDSFKTLEALPKTEEAPTSSAASKQQASASVPGALFEEKPQSANDAWRKLHSDPLLMIRQREQEALSRIKNNPVQMAMIRKSVEAKKPAEKLHEKKEHQKKQHHTGASKHSKHSSSIQTSDSGNDTAEVERRKSGHRQISKYVKHSDSEDESCKSARRRENTVKHSDSEDESHIRSHRSGKNPVKHSDSEDEFRYRTRRSEKNHVKHSDLENESHNRARRSGLEDESHNTARQSGKNPVNHSDSEDELRYRARRSEKNHVKHSGSEDVSRNRAHRSGKNPVKHSDSEDETRYRAQRSEKNHVKHSDSEDESRKRLGRSGKNLVKRSHLEDESRNRARRGGKNQSIKIGRDDDQGDAKGKHDNLELEKYPMKGRNESHYKRRNVAPKLSEEERAARLKEMQIDAELHEEQRWKRLKKAEENDALEATQAVKSSGRNFLDAVQTSVYGAERGGSSTIEESVRRRTHYLQGRSEASERNAFRR >ONI28786 pep chromosome:Prunus_persica_NCBIv2:G1:12864615:12867484:-1 gene:PRUPE_1G161200 transcript:ONI28786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLDELRKQIVEERERSEFRLLQEQAGLVPRQERLEFLYDSGLAVGRPGGSDSFKTLEALPKTEEAPTSSAASKQQASASVPGALFEEKPQSANDAWRKLHSDPLLMIRQREQEALSRIKNNPVQMAMIRKSVEAKKPAEKLHEKKEHQKKQHHTGASKHSKHSSSIQTSDSGNDTAEVERRKSGHRQISKYVKHSDSEDESCKSARRRENTVKHSDSEDESHIRSHRSGKNPVKHSDSEDEFRYRTRRSEKNHVKHSDLENESHNRARRSGKNPVHHSDSEDESHYRARRSEKNHVKHSGLEDESHNTARQSGKNPVNHSDSEDELRYRARRSEKNHVKHSGSEDVSRNRAHRSGKNPVKHSDSEDETRYRAQRSEKNHVKHSDSEDESRKRLGRSGKNLVKRSHLEDESRNRARRGGKNQSIKIGRDDDQGDAKGKHDNLELEKYPMKGRNESHYKRRNVAPKLSEEERAARLKEMQIDAELHEEQRWKRLKKAEENDALEATQAVKSSGRNFLDAVQTSVYGAERGGSSTIEESVRRRTHYLQGRSEASERNAFRR >ONI28788 pep chromosome:Prunus_persica_NCBIv2:G1:12864615:12867484:-1 gene:PRUPE_1G161200 transcript:ONI28788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLDELRKQIVEERERSEFRLLQEQAGLVPRQERLEFLYDSGLAVGRPGGSDSFKTLEALPKTEEAPTSSAASKQQASASVPGALFEEKPQSANDAWRKLHSDPLLMIRQREQEALSRIKNNPVQMAMIRKSVEAKKPAEKLHEKKEHQKKQHHTGASKHSKHSSSIQTSDSGNDTAEVERRKSGHRQISKYVKHSDSEDESCKSARRRENTVKHSDSEDESHIRSHRSGKNPVKHSDSEDEFRYRTRRSEKNHVKHSDLENESHNRARRSGKNPVHHSDSEDESHYRARRSEKNHVKHSGLEDESHNTARQSGKNPVNHSDSEDELRYRARRSEKNHVKHSGSEDVSRNRAHRSGKNPVKHSDSEDETRYRAQRSEKNHVKHSDSEDESRKRLGRSGKNLVKRSHLEDESRNRARRGGKNQSIKIGRDDDQGDAKGKHDNLELEKYPMKGRNESHYKRRNVAPKLSEEERAARLKEMQIDAELHEEQRWKRLKKAEENDALEATQAVKSSGRNFLDAVQTSVYGAERGGSSTIEESVRRRTHYLQGRSEASERNAFRR >ONI28782 pep chromosome:Prunus_persica_NCBIv2:G1:12864414:12867690:-1 gene:PRUPE_1G161200 transcript:ONI28782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLDELRKQIVEERERSEFRLLQEQAGLVPRQERLEFLYDSGLAVGRPGGSDSFKTLEALPKTEEAPTSSAASKQASASVPGALFEEKPQSANDAWRKLHSDPLLMIRQREQEALSRIKNNPVQMAMIRKSVEAKKPAEKLHEKKEHQKKQHHTGASKHSKHSSSIQTSDSGNDTAEVERRKSGHRQISKYVKHSDSEDESCKSARRRENTVKHSDSEDESHIRSHRSGKNPVKHSDSEDEFRYRTRRSEKNHVKHSDLENESHNRARRSGKNPVHHSDSEDESHYRARRSEKNHVKHSGLEDESHNTARQSGKNPVNHSDSEDELRYRARRSEKNHVKHSGSEDVSRNRAHRSGKNPVKHSDSEDETRYRAQRSEKNHVKHSDSEDESRKRLGRSGKNLVKRSHLEDESRNRARRGGKNQSIKIGRDDDQGDAKGKHDNLELEKYPMKGRNESHYKRRNVAPKLSEEERAARLKEMQIDAELHEEQRWKRLKKAEENDALEATQAVKSSGRNFLDAVQTSVYGAERGGSSTIEESVRRRTHYLQGRSEASERNAFRR >ONI28784 pep chromosome:Prunus_persica_NCBIv2:G1:12864414:12869555:-1 gene:PRUPE_1G161200 transcript:ONI28784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLDELRKQIVEERERSEFRLLQEQAGLVPRQERLEFLYDSGLAVGRPGGSDSFKTLEALPKTEEAPTSSAASKQASASVPGALFEEKPQSANDAWRKLHSDPLLMIRQREQEALSRIKNNPVQMAMIRKSVEAKKPAEKLHEKKEHQKKQHHTGASKHSKHSSSIQTSDSGNDTAEVERRKSGHRQISKYVKHSDSEDESCKSARRRENTVKHSDSEDESHIRSHRSGKNPVKHSDSEDEFRYRTRRSEKNHVKHSDLENESHNRARRSGKNPVHHSDSEDESHYRARRSEKNHVKHSGLEDESHNTARQSGKNPVNHSDSEDELRYRARRSEKNHVKHSGSEDVSRNRAHRSGKNPVKHSDSEDETRYRAQRSEKNHVKHSDSEDESRKRLGRSGKNLVKRSHLEDESRNRARRGGKNQSIKIGRDDDQGDAKGKHDNLELEKYPMKGRNESHYKRRNVAPKLSEEERAARLKEMQIDAELHEEQRWKRLKKAEENDALEATQAVKSSGRNFLDAVQTSVYGAERGGSSTIEESVRRRTHYLQGRSEASERNAFRR >ONI28787 pep chromosome:Prunus_persica_NCBIv2:G1:12864615:12867484:-1 gene:PRUPE_1G161200 transcript:ONI28787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLDELRKQIVEERERSEFRLLQEQAGLVPRQERLEFLYDSGLAVGRPGGSDSFKTLEALPKTEEAPTSSAASKQQASASVPGALFEEKPQSANDAWRKLHSDPLLMIRQREQEALSRIKNNPVQMAMIRKSVEAKKPAEKLHEKKEHQKKQHHTGASKHSKHSSSIQTSDSGNDTAEVERRKSGHRQISKYVKHSDSEDESCKSARRRENTVKHSDSEDESHIRSHRSGKNPVKHSDSEDEFRYRTRRSEKNHVKHSDLENESHNRARRSGKNPVHHSDSEDESHYRARRSEKNHVKHSGLEDESHNTARQSGKNPVNHSDSEDELRYRARRSEKNHVKHSGSEDVSRNRAHRSGKNPVKHSDSEDETRYRAQRSEKNHVKHSDSEDESRKRLGRSGKNLVKRSHLEDESRNRARRGGKNQSIKIGRDDDQGDAKGKHDNLELEKYPMKGRNESHYKRRNVAPKLSEEERAARLKEMQIDAELHEEQRWKRLKKAEENDALEATQAVKSSGRNFLDAVQTSVYGAERGGSSTIEESVRRRTHYLQGRSEASERNAFRR >ONI27406 pep chromosome:Prunus_persica_NCBIv2:G1:6241420:6242023:1 gene:PRUPE_1G084000 transcript:ONI27406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNVVVLNQQKPFFGDSLQSQQRFFRNQPSGIGASECLSAIFENDSFLQQCSQKYLLSTSSLVEGPSNPKLSKATRH >ONI31762 pep chromosome:Prunus_persica_NCBIv2:G1:31275679:31276512:1 gene:PRUPE_1G329500 transcript:ONI31762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYKQKKNPNSAKGNRLLISITVLGSAGPIRFVVNEEELVAAVIDTALKSYAREGRLPVLGSDLNDFLLYCPNAGPDALSPWDTIGAQGARNFMLCKKPQPVKMDSDEIPAAAITRKGSGSWKAWINKSLNLKISSH >ONI32513 pep chromosome:Prunus_persica_NCBIv2:G1:33798389:33802769:1 gene:PRUPE_1G370400 transcript:ONI32513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLKHFGIGFSTPSLVPFRHQRRPQKLNPTCFASKWAERLLADFQFLGDSSSDHQNHHSLTSATATLAPPHLPPHIASPERHVSIPIDFYQVLGAQQHFLGDGIRRAYEARASKPPQYGFTQEALFSRRQILLAACETLADPRSRREYNQGLAEDEDGTILTQVPWDKVPGALCVLQEAGKTELVLQIGESLLRERLPKSFKQDVVLVMALAYVDMSRDAMELSPPDFIRGCEVLERALKLLQEEGASSLAPDLQAQIDETLEEITPRCILELLALALGDEYRSRREEGLHGVRNILWSVGGGGAVAIAGGFTRENFMNEAFLHMTAAEQVDLFVATPSNIPAESFEVYGVALALVAQAFVGKKPHHIQDAENLFQKLQQSKVTAVGHSLDNYITKESSEIDFALERGLCSLLLGDLDDSRSWLGLDSNDSPYRNPSVVDFVLENSKDDDDNDNDNDLPGLCKLLETWLMEVVFPRFRDTKDIEFRLGDYYDDPTVLRYLERLDGTNGSPLAAAAAIVRIGAEATAVLDNFRASALQALQKVFPLGYRDENVQRQEDHEMNYSLLPVETGESLEESDGDDSVHVAEVSGRDDSVGIREEELITDKIKDASVKIMCAGVVIGLMTLAGGLRYLPGRKGSSNLHKELSSVTASDVASAGLPGVEKSAEELPKMDARIAEGLVRKWQNIKSQAFGPNHSVESLSEVLDGEMLKIWTDRATEIAQLNWSYDYTLLNLSIDSVTVSLDGQRAVVEATLEELAQLTDVLHPEHNASNNRTYTTRYEMSCSSSGWKISEGAVLQS >ONI27196 pep chromosome:Prunus_persica_NCBIv2:G1:5286423:5293764:-1 gene:PRUPE_1G073800 transcript:ONI27196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSLSSSSQSWWWRGWRYLHPQHNLRSPQLLTLFIISFVALTWLLSGLESLSVEHQLENVKKNIRSWSIVEEEDDPLNVERRRAVKEAMIHAWTCYEMYAWGRDELQPQTRDGVDSFGGLGATLVDSLDTLYIMGLDEQFQRAREWVAKSLNFNKNYEASVFETTIRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSFYEYLLKAWIQGNKTESVTHYREMWETSMKGLKSLIRKTTPSSYAYICEKTGSSLSDKMDELACFAPGMLALGSTGYGPDEAEKFLSLAEELAWTCYNFYQTTPTKLAGENYYFPAGQDMTVGTSWSILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVDTGYSGLKDVSSGEKDNMMQSFFLAETLKYLYLLFSPPSFISLDEWVFNTEAHPLRIATRHANGETYSTIGQDRLQERFHGRKGRSGSN >ONI27205 pep chromosome:Prunus_persica_NCBIv2:G1:5286448:5293764:-1 gene:PRUPE_1G073800 transcript:ONI27205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSLSSSSQSWWWRGWRYLHPQHNLRSPQLLTLFIISFVALTWLLSGLESLSVEHQLENVKKNIRSWSIVEEEDDPLNVERRRAVKEAMIHAWTCYEMYAWGRDELQPQTRDGVDSFGGLGATLVDSLDTLYIMGLDEQFQRAREWVAKSLNFNKNYEASVFETTIRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGGKSILADAGSEQLEFIALSQRTNDPKYQQKVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSLHGHVITFTRQHLQNWLERTITSLLDRT >ONI27206 pep chromosome:Prunus_persica_NCBIv2:G1:5289283:5293641:-1 gene:PRUPE_1G073800 transcript:ONI27206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSLSSSSQSWWWRGWRYLHPQHNLRSPQLLTLFIISFVALTWLLSGLESLSVEHQLENVKKNIRSWSIVEEEDDPLNVERRRAVKEAMIHAWTCYEMYAWGRDELQPQTRDGVDSFGGLGATLVDSLDTLYIMGLDEQFQRAREWVAKSLNFNKNYEASVFETTIRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGGKSILADAGSEQLEFIALSQRTNDPKYQQKVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSFYEYLLKAWIQGNKTESVTHYREMWETSMKGLKSLIRKTTPSSYAYICEKTGSSLSDKMDELACFAPGMLALGSTGYGPDEAEKFLSLAEEVPWRKYLRSCFARAGSVYPLMYGSFEAPD >ONI27201 pep chromosome:Prunus_persica_NCBIv2:G1:5286394:5293764:-1 gene:PRUPE_1G073800 transcript:ONI27201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVTRCTHGVVMNSRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGGKSILADAGSEQLEFIALSQRTNDPKYQQKVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSFYEYLLKAWIQGNKTESVTHYREMWETSMKGLKSLIRKTTPSSYAYICEKTGSSLSDKMDELACFAPGMLALGSTGYGPDEAEKFLSLAEELAWTCYNFYQTTPTKLAGENYYFPAGQDMTVGTSWSILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVDTGYSGLKDVSSGEKDNMMQSFFLAETLKYLYLLFSPPSFISLDEWVFNTEAHPLRIATRHANGETYSTIGQDRLQERFHGRKGRSGSN >ONI27199 pep chromosome:Prunus_persica_NCBIv2:G1:5286424:5293764:-1 gene:PRUPE_1G073800 transcript:ONI27199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSLSSSSQSWWWRGWRYLHPQHNLRSPQLLTLFIISFVALTWLLSGLESLSVEHQLENVKKNIRSWSIVEEEDDPLNVERRRAVKEAMIHAWTCYEMYAWGRDELQPQTRDGVDSFGGLGATLVDSLDTLYIMGLDEQFQRAREWVAKSLNFNKNYEASVFETTIRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGGKSILADAGSEQLEFIALSQRTNDPKYQQKVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSFYEYLLKAWIQGNKTESVTHYREMWETSMKGLKSLIRKTTPSSYAYICEKTGSSLSDKMDELACFAPGMLALGSTGYGPDEAEKFLSLAEELAWTCYNFYQTTPTKLAGENYYFPAGQILQDMTVGTSWSILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVDTGYSGLKDVSSGEKDNMMQSFFLAETLKYLYLLFSPPSFISLDEWVFNTEAHPLRIATRHANGETYSTIGQDRLQERFHGRKGRSGSN >ONI27202 pep chromosome:Prunus_persica_NCBIv2:G1:5286423:5293764:-1 gene:PRUPE_1G073800 transcript:ONI27202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVTRCTHGVVMNSRWVAKSLNFNKNYEASVFETTIRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGGKSILADAGSEQLEFIALSQRTNDPKYQQKVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSFYEYLLKAWIQGNKTESVTHYREMWETSMKGLKSLIRKTTPSSYAYICEKTGSSLSDKMDELACFAPGMLALGSTGYGPDEAEKFLSLAEEDMTVGTSWSILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVDTGYSGLKDVSSGEKDNMMQSFFLAETLKYLYLLFSPPSFISLDEWVFNTEAHPLRIATRHANGETYSTIGQDRLQERFHGRKGRSGSN >ONI27204 pep chromosome:Prunus_persica_NCBIv2:G1:5287151:5293641:-1 gene:PRUPE_1G073800 transcript:ONI27204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSLSSSSQSWWWRGWRYLHPQHNLRSPQLLTLFIISFVALTWLLSGLESLSVEHQLENVKKNIRSWSIVEEEDDPLNVERRRAVKEAMIHAWTCYEMYAWGRDELQPQTRDGVDSFGGLGATLVDSLDTLYIMGLDEQFQRAREWVAKSLNFNKNYEASVFETTIRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGGKSILADAGSEQLEFIALSQRTNDPKYQQKVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSFYEYLLKAWIQGNKTESVTHYREMWETSMKGLKSLIRKTTPSSYAYICEKTGSSLSDKMDELACFAPGMLALGSTGYGPDEAEKFLSLAEELAWTCYNFYQTTPTKLAGENYYFPAGQDMTVGTSWSILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVDTGYSGLKDPILLR >ONI27207 pep chromosome:Prunus_persica_NCBIv2:G1:5289169:5293764:-1 gene:PRUPE_1G073800 transcript:ONI27207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVTRCTHGVVMNSRWVAKSLNFNKNYEASVFETTIRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGGKSILADAGSEQLEFIALSQRTNDPKYQQKVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSFYEYLLKAWIQGNKTESVTHYREMWETSMKGLKSLIRKTTPSSYAYICEKTGSSLSDKMDELACFAPGMLALGSTGYGPDEAEKFLSLAEEVPWRKYLRSCFARAGSVYPLMYGSFEAPD >ONI27203 pep chromosome:Prunus_persica_NCBIv2:G1:5286912:5293086:-1 gene:PRUPE_1G073800 transcript:ONI27203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVTRCTHGVVMNSRWVAKSLNFNKNYEASVFETTIRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGGKSILADAGSEQLEFIALSQRTNDPKYQQKVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSFYEYLLKAWIQGNKTESVTHYREMWETSMKGLKSLIRKTTPSSYAYICEKTGSSLSDKMDELACFAPGMLALGSTGYGPDEAEKFLSLAEELAWTCYNFYQTTPTKLAGENYYFPAGQDMTVGTSWSILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVDTGYSGLKDVSSGEKDNMMQSFFLAETLKYLYLLFSPPSFISLDEWVFNTEAHPLRIATRHANGETYSTIGQDRLQERFHGRKGRSGSN >ONI27200 pep chromosome:Prunus_persica_NCBIv2:G1:5286395:5293764:-1 gene:PRUPE_1G073800 transcript:ONI27200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVTRCTHGVVMNSRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGGKSILADAGSEQLEFIALSQRTNDPKYQQKVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSFYEYLLKAWIQGNKTESVTHYREMWETSMKGLKSLIRKTTPSSYAYICEKTGSSLSDKMDELACFAPGMLALGSTGYGPDEAEKFLSLAEEDMTVGTSWSILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVDTGYSGLKDVSSGEKDNMMQSFFLAETLKYLYLLFSPPSFISLDEWVFNTEAHPLRIATRHANGETYSTIGQDRLQERFHGRKGRSGSN >ONI27198 pep chromosome:Prunus_persica_NCBIv2:G1:5286912:5293641:-1 gene:PRUPE_1G073800 transcript:ONI27198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSLSSSSQSWWWRGWRYLHPQHNLRSPQLLTLFIISFVALTWLLSGLESLSVEHQLENVKKNIRSWSIVEEEDDPLNVERRRAVKEAMIHAWTCYEMYAWGRDELQPQTRDGVDSFGGLGATLVDSLDTLYIMGLDEQFQRAREWVAKSLNFNKNYEASVFETTIRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGGKSILADAGSEQLEFIALSQRTNDPKYQQKVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSFYEYLLKAWIQGNKTESVTHYREMWETSMKGLKSLIRKTTPSSYAYICEKTGSSLSDKMDELACFAPGMLALGSTGYGPDEAEKFLSLAEELAWTCYNFYQTTPTKLAGENYYFPAGQDMTVGTSWSILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVDTGYSGLKDVSSGEKDNMMQSFFLAETLKYLYLLFSPPSFISLDEWVFNTEAHPLRIATRHANGETYSTIGQDRLQERFHGRKGRSGSN >ONI27197 pep chromosome:Prunus_persica_NCBIv2:G1:5286424:5293764:-1 gene:PRUPE_1G073800 transcript:ONI27197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSLSSSSQSWWWRGWRYLHPQHNLRSPQLLTLFIISFVALTWLLSGLESLSVEHQLENVKKNIRSWSIVEEEDDPLNVERRRAVKEAMIHAWTCYEMYAWGRDELQPQTRDGVDSFGGLGATLVDSLDTLYIMGLDEQFQRAREWVAKSLNFNKNYEASVFETTIRVIGGLLSAYDLSDDKVFLEKARDIADRLLPAWNTPSGIPYNIINLRYGDARNPRWTGGKSILADAGSEQLEFIALSQRTNDPKYQQKVEYVIEELHKTFPADGLLPIYIDPHTGITSYSKISFGAMGDSFYEYLLKAWIQGNKTESVTHYREMWETSMKGLKSLIRKTTPSSYAYICEKTGSSLSDKMDELACFAPGMLALGSTGYGPDEAEKFLSLAEEDMTVGTSWSILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVDTGYSGLKDVSSGEKDNMMQSFFLAETLKYLYLLFSPPSFISLDEWVFNTEAHPLRIATRHANGETYSTIGQDRLQERFHGRKGRSGSN >ONI27442 pep chromosome:Prunus_persica_NCBIv2:G1:6460685:6466017:-1 gene:PRUPE_1G086700 transcript:ONI27442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPAPFSDIGKRAKDLLIKDYNFDQKFTLSVVGSTGLGLTANGLKRDQIFVGDINTLYKSGNTIVDVKVDTYSNVSTKVTVSDILPSTKAVFSFRIPDHKSGKLDVQYLHPHAAFDSSIGLNPTPLLELSATIGSKDLSLGGEIGFDTASSLLTKYNAGISFNKPDFSAALLLTDKGQTLKASYIHAVDSCNGTAVAAELTHRFSTFENSFTLGSSHVIDPLTVVKTRFSDNGKAGVLWQREWRPKSLVTVSAEYDSKALNASPKIGLALALKP >ONI27441 pep chromosome:Prunus_persica_NCBIv2:G1:6460728:6466016:-1 gene:PRUPE_1G086700 transcript:ONI27441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPAPFSDIGKRAKDLLIKDYNFDQKFTLSVVGSTGLGLTANGLKRDQIFVGDINTLYKSGNTIVDVKVDTYSNVSTKVTVSDILPSTKAVFSFRIPDHKSGKLDVQYLHPHAAFDSSIGLNPTPLLELSATIGSKDLSLGGEIGFDTASSLLTKYNAGISFNKPDFSAALLLTDKGQTLKASYIHAVDSCNGTAVAAELTHRFSTFENSFTLGSSHVIDPLTVVKTRFSDNGKAGVLWQREWRPKSLVTVSAEYDSKALNASPKIGLALALKP >ONI33117 pep chromosome:Prunus_persica_NCBIv2:G1:35700963:35703103:1 gene:PRUPE_1G406500 transcript:ONI33117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPRAVVPYHDPYDSGPYTEIMNLLNDSEHPRIDENNIPPLETPMFDEEIQDVDEPLMDQAMWDFIYGSDNGGEGINSRAGPSQIFTESSGTNPPRGDGNERNHGFGNVRPLSVWPLSSDPINCTFCQVFREIVHFNSDNVMKLEIHGRLGVISHAILQSRPNANVNSSGNHQYQMLDFCKTPGEEVKQFLMQYCLERKLEGYIMQQDPLTFFYEALCVGMDWDAILNPPDDFDFPPSPPDSGTAGDMEQPAAVQPEAAEHEIETNPRISLAEQRERTANMTLDDLRDYVHLPIEKAARRLNVCPTVVKRICRRNGLRRWPSRKITSINRQISRLRPSLDSDDAETRVHAEAEISRLEREIAELVP >ONI27040 pep chromosome:Prunus_persica_NCBIv2:G1:4579786:4584484:1 gene:PRUPE_1G064300 transcript:ONI27040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGQAQNSVDTVAVAIDKDKSSQYAIKWTVENILTRGQALTLLHVKHRTAVSSQTRGMVPISEVTEEASKAHKSQLEAQANELFLPFRCFCAKKDFSSLQQLKCNEVIIEDTNTAKALVNYVINNSIDILVLGAPARHGLLRFRTTDVPSTVSKEAPDFCTVYVIGKGKVSSMHCATRTLPRKASSHNQIQDQPSKVFESNDTFNRNQHPRASARTKYPPRSILDGIEIRATASNNKSYELSSETDISFVSSGRPSVDKLFPSFYDGLESGPRSSISSDMDTISSTSSYSGHKFIDMNSLQHEFSSSSIESENSWSSRNMDEMEAEMRRLRLELKQTMDMYSTACKEALTAKQKAMELQQWKLEEERRLHEAQIAEEAALALADEQKIKCMAAMEAAESAQRIALLEAQKRRNAEMKALRETERKKALEAKTYDFMYRKYTIEEIEVATNNFSNVNKIGEGGYGPVYRGELDHTPVAIKVLCPDAAQGRSQFQQEVQVLSCMRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPVIPWQLRFHIAAEIGTGLLFLHHTKPEPLVHRDLKPGNILLDHHYVSKISDVGLARLVPPSVADSVTQYHMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGVMFLQLITAKPPMGLTHHVQRAMENGTFQEMLDPAVPDWPVEEALKFAKIALQCAEMRRKDRPDLGNVVLPELNRLRKLAEDNKTCGIIGGGEEFSPSHGPISTIQDVISDVELPQSGYYSSSSRRSSRASSNYGRN >ONI34737 pep chromosome:Prunus_persica_NCBIv2:G1:41133921:41135456:-1 gene:PRUPE_1G495800 transcript:ONI34737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFCDSIRLRHAPLLVNVSLAESTRSIIAPFLSVKSCIPQLVTLNLHMNLNSRGGVWLPEFPEFTCLKDLSLSVIATDRLSLLALTKLIERSPFLHGFTLKLRWVRDSCQRNMQKVNKCPHQCLKVVKFSGFVGSIIDTELAMYFTENAVALETFIIDLKKVVIEESTLLSEFVTTQKKMRATRKRALQIGEKLPPGAELIVV >ONI28568 pep chromosome:Prunus_persica_NCBIv2:G1:11646870:11650989:-1 gene:PRUPE_1G148500 transcript:ONI28568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFAYRPITTVTFPCLPLNPNPKTQIAPKFTHLRSLNKTLIQVKPRLSHQIRASASDSSQTPWWVNVLPTKAFGAEKFLRLISGATASPICQYVSEPSTFLHSVDPRIKLVWLLALVVLPARSHIILRFGLVVYLAVLSIWILPRHTWMDQLGRVSLISGILFITLGLGSDGVPPLVQLRTPPPAMIGLSNLPASLGGYSYLIMKLGPLHFTRKGLSVASTAACLTFTVFQSASLCLTTTTPEELAFALRWFMLPLTRLGVPVAEIILTLMLSLRFINLVFDEVRNVALGIVSRRINWEQLTMMETLDVFFAYFRRIFTNIFSHAEQISQAMIVRGFRGDSNAHKIYLLSDPSIGTSDIVSLLSLVTVIGASVLSDYFLV >ONI31960 pep chromosome:Prunus_persica_NCBIv2:G1:32078044:32080993:-1 gene:PRUPE_1G341300 transcript:ONI31960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEECRESTSCVAISSSSPQLAQPNWWDLHAAAGAGAAGSQLSSWSNLGINPWQPQPPQQQPNQKYSNSSNNCDDVDQDVSISSTSFTNASNHSSLSVDSSRRLQVADQRSASPNNDMINGEQVSDNHIWNHVLLSVNGNSDLHHNDHDVGENFLDALSSKSLSTDHVMYEPACDYLKKLDNSTWEFTKYVSAASNNFNSFEKQLNGGFMENNNMNNNVNIENERLTKLSNLVSTWSIAPPEPQLVMDHDHHHYLKPQAFINNDSTSCDAQMGNNNITNRNSGSSLFSCYGSKVEAACVAGGGGGGALHGITPSFGNNTNGIEYQIGLNNVNAMVADHHNGKYFYGNGSILPDSYSSCGATSAGARNFADVISFSSRLGNKSALVDIHAPKPCFKSSNLSDQYSKKQASSTRVSSGRGQGIANEGKKKRTDDTSSETVLKKPKQETSTVSSSVKMQAPKVKLGDRITALQQIVSPFGKTDTASVLYEAIQYIKFLQDQVHVLLSSPYLKTNSHKDPWATDRKDHKGDAKVDLKNKGLCLVPISCTPQVYRENTGSDYWTPTYRGCLYR >ONI31959 pep chromosome:Prunus_persica_NCBIv2:G1:32078077:32080955:-1 gene:PRUPE_1G341300 transcript:ONI31959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEECRESTSCVAISSSSPQLAQPNWWDLHAAAGAGAAGSQLSSWSNLGINPWQPQPPQQQPNQKYSNSSNNCDDVDQDVSISSTSFTNASNHSSLSVDSSRRLQVADQRSASPNNDMINGEQVSDNHIWNHVLLSVNGNSDLHHNDHDVGENFLDALSSKSLSTDHVMYEPACDYLKKLDNSTWEFTKYVSAASNNFNSFEKQLNGGFMENNNMNNNVNIENERLTKLSNLVSTWSIAPPEPQLVMDHDHHHYLKPQAFINNDSTSCDAQMGNNNITNRNSGSSLFSCYGSKVEAACVAGGGGGGALHGITPSFGNNTNGIEYQIGLNNVNAMVADHHNGKYFYGNGSILPDSYSSCGATSAGARNFADVISFSSRLGNKSALVDIHAPKPCFKSSNLSDQYSKKQASSTRVSSGRGQGIANEGKKKRTDDTSSETVLKKPKQETSTVSSSVKAPKVKLGDRITALQQIVSPFGKTDTASVLYEAIQYIKFLQDQVHVLLSSPYLKTNSHKDPWATDRKDHKGDAKVDLKNKGLCLVPISCTPQVYRENTGSDYWTPTYRGCLYR >ONI31961 pep chromosome:Prunus_persica_NCBIv2:G1:32078185:32080827:-1 gene:PRUPE_1G341300 transcript:ONI31961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEECRESTSCVAISSSSPQLAQPNWWDLHAAAGAGAAGSQLSSWSNLGINPWQPQPPQQQPNQKYSNSSNNCDDVDQDVSISSTSFTNASNHSSLSVDSSRRLQVADQRSASPNNDMINGEQVSDNHIWNHVLLLNHACRSVNGNSDLHHNDHDVGENFLDALSSKSLSTDHVMYEPACDYLKKLDNSTWEFTKYVSAASNNFNSFEKQLNGGFMENNNMNNNVNIENERLTKLSNLVSTWSIAPPEPQLVMDHDHHHYLKPQAFINNDSTSCDAQMGNNNITNRNSGSSLFSCYGSKVEAACVAGGGGGGALHGITPSFGNNTNGIEYQIGLNNVNAMVADHHNGKYFYGNGSILPDSYSSCGATSAGARNFADVISFSSRLGNKSALVDIHAPKPCFKSSNLSDQYSKKQASSTRVSSGRGQGIANEGKKKRTDDTSSETVLKKPKQETSTVSSSVKMQAPKVKLGDRITALQQIVSPFGKTDTASVLYEAIQYIKFLQDQVHVLLSSPYLKTNSHKDPWATDRKDHKGDAKVDLKNKGLCLVPISCTPQVYRENTGSDYWTPTYRGCLYR >ONI31321 pep chromosome:Prunus_persica_NCBIv2:G1:29933396:29935032:-1 gene:PRUPE_1G306200 transcript:ONI31321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPSTTTSTTDLGTSATSFLEADEDQDEESIFLSLGPPGQGVPKQYHLIYHQSSSSTQPLPHNHHHHHHHHHHHHQDQNPNTDHLEDGAVTVALHIGPPSSGSTISSSIGNPNHNHIGAPIEGQYWIPSPAQILVGPTQFSCPVCSKTFNRYNNMQMHMWGHGSQYRKGPESLRGTKPASSVLRLPCYCCAEGCKNNIEHPRSRPLKDFRTLQTHYKRKHGSKPFGCRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPYGVELCTEPEEEDHLDDEDDDDD >ONI27525 pep chromosome:Prunus_persica_NCBIv2:G1:6954789:6956325:1 gene:PRUPE_1G092000 transcript:ONI27525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFLYMGKANTSLLLHRSVVLSTSLKTLYKNPKKTKKDEKKMSSTRRSRERTMKQLNLALQICLTTSLILQLVTCSNLTPTPNPWPDQFHALLFMNLSTTKLQLSDLWYDWPKGRNVNIFQKQLGEVLYDVEWNNGTSFYYTLGQNGACEVMEFEVGIPRPDFLLDGAHYLGTVVTDGFLCNVWEKADFIWYYEDVYTRRPVRWDFYDGISSQVMTFEVGAVLPDSVTQAPAYCFNQEKDA >ONI27451 pep chromosome:Prunus_persica_NCBIv2:G1:6502660:6510249:1 gene:PRUPE_1G087400 transcript:ONI27451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLDRAPFGEVTAIEESKPYGKKLYDVEVDYWRNMSSDSCKEPYKTLPGHVFLLSDAKPESISDIQESKKSWAFLIVTEVSNLSFKVKASKELQVSNGIQASLFMAFLINITPNVRIWKAIDNNKSARWKVLFSDNFLKSFKKLKSFRLKMSLLFLLLKLSSGWRPNKRNVEKICESSLMIWKKFKVEGIYIVCTTEIEKDWRYMQVLKIWDILPDLDLEIPASWPPSLDVARFEDLSIAEKTPSYLLGDSSADRSYVEKSNVSESLLLMKFYSLSSGVANFLLSNSEGRELDLPFQLTDQEMEIVRYNRSTFILGRSGTGKTTVLTMKLCQKEQQQRIAEEGFYGVENALSHVFPNNNEVEQSPTGAKMCVLRQLFVTVSAKLCFAVKQHVLHLKSFSSGGNHSGECNSTDSIDFEDEEAQFNNIPDSFLDIPPNCYPLFITFHKFLMMLDGTLGNSFFERFLDTSEPQPSSRSVALQTVLRQKEVNLARFSTSYWPHFNIRLTKKLDPSKVFTEIISHIKGGLGVTKAGDGKLSRGDYVQRSEGRVSNLSKEKREMIYDIFLVYEKKKKKNVEFDVTDFVNDIHRRLRREKYKGHEMDFVYIDEVQDLTVNQIRLFKHVCSNVEEGFVFSGDTAQAIARGIDFRFQDIRHMFYKEFVLESKRDKHEQIEDKGQLSKIFHLSQNFRTHDGILKLSQSIIDLLYHFFPQSIDKLKPETSPIYGEAPVLLEPGKNENIIKKIFGSFRGNIVGFGAEQVILVRDERARNEIFNQVAKRALVLTILESKGLEFQDVLLYNFFGSSPLQNQWRVIYDYMKEHDLLDSTLPEHFPSFDEDKHNILCSELKQLYVAVSRTKQRLWVYENMEELSNPMFNYWKRKCLVQVRHFDDSLAREMQAQSNPEEWRSRGMKLYQEDNYEMATMCFQRAGDTYWERRSKAAGLKVMADLKRTSNPGKANALLKEAGEIFESLGKADSAAQCFFDSGEYKRAANIYLEKCGERGLERAGKCFSLAGFYEHAADAYARGKFFSECLTMCLKGKLFDIGLEYFKFWKQQAREEYDRALRRKGTDEIELDFLENCAFHYYHEVEDNRSKMKLVKGEMLSGRKFLNTHLSSSSSKYVWEENIICDPKKYSEVKKSKKQVSIDSLVYFWNFWMDKIVYLIEYFGCLETLDVNKRRSYGDFFLNYLAVWREFHDDLNPIYLLLISDADWVSGVDKRYFISNGELVTVDFCQIVSSAKIYWSSELLSFSTKILNKLQVLYEFMTEKSDSIFCQSWCLTQIYVVANCLLESKYLKLTYTDLNTLQNFVNLSTENIVACIFPLDWRKSLRENMISLRQNDACKSLLKKVVVDYMNSKKKLSYGQVGRIAMIILGSSNFNNELYAVNNLHCSAPWKVFIKNICQEPKEESLPWWFHEALDDTYLANWEGERDYLSPDCLLYLFERLLMWVSCLQGYVITTKSCFTKWLIHQQEDTKFTSSIRPDVPICSEVILQFLIDVVQDLLYDKDTVVEWIQKSIKDWEKYYSLLILRLVVILCLLYFNFGMCFSILLELIWRDYITEQLPKEFCEALRRLIFVQNSPSINVNLIEEAFKKIGNPLVVASFGIDCSRFICSDAIFFDMKANLCIDEMLRTLFSKQHIHVQSSRGQSEAMVVEAKSYCSAAPSAYDSNEANCSKLVPNAPGLVTDKVQNTGNSKQSSLPLDFDFFWEIIEGLQLLDKEGDQRSFSSEASTIKLDLERAIGTWTAAWYTYYSNCDDRGALSAEVLHMLDETVQLYAVLDGSEQELRNKISTVAELSRRLQSRRQTLEPILTRLVLEHNSRLAVDV >ONI36412 pep chromosome:Prunus_persica_NCBIv2:G1:47402056:47405254:-1 gene:PRUPE_1G583700 transcript:ONI36412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRGEREDYEKNPGLLSLLQVLSFLVVFVAGVVIGLATSAHINRHFGSQTEIYSFINHFSSNKPNEEENCTIVQGCEKVDCLSMETFLQPKNLTHDMSDDELFWRASMVPGKVEFPFERVPKVAFMFLSRGPLPMMPLWERFFQGHDKFFSIYVHVLPGYTLNVSSTSPFYGRQIPSQLVSWGTVTLVDAERRLLSNALLDFSNQRFVLLSESCIPVYNFPTVYKYLIGSNHSFVESYDDPGRYGRGRYSRKMLPEIELYQWRKGSQWFELSRTLAIYIVSETKYYTLFSRYCLPACYPDEHYMPTYFNMFHGSLNSNRTVTWVDWSLGGPHPATYGGDNITEDFVRSIRNSGALCQYNSEMTSICYLFARKFAPSALEPLLELASSVMEF >ONI36411 pep chromosome:Prunus_persica_NCBIv2:G1:47402019:47405368:-1 gene:PRUPE_1G583700 transcript:ONI36411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRGEREDYEKNPGLLSLLQVLSFLVVFVAGVVIGLATSAHINRHFGSQTEIYSFINHFSSNKPNEEENCTIVQGCEKVDCLSMETFLQPKNLTHDMSDDELFWRASMVPGKVEFPFERVPKVAFMFLSRGPLPMMPLWERFFQGHDKFFSIYVHVLPGYTLNVSSTSPFYGRQIPSQLVSWGTVTLVDAERRLLSNALLDFSNQRFVLLSESCIPVYNFPTVYKYLIGSNHSFVESYDDPGRYGRGRYSRKMLPEIELYQWRKGSQWFELSRTLAIYIVSETKYYTLFSRYCLPACYPDEHYMPTYFNMFHGSLNSNRTVTWVDWSLGGPHPATYGGDNITEDFVRSIRNSGALCQYNSEMTSICYLFARKFAPSALEPLLELASSVMEF >ONI36410 pep chromosome:Prunus_persica_NCBIv2:G1:47402067:47405238:-1 gene:PRUPE_1G583700 transcript:ONI36410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRGEREDYEKNPGLLSLLQVLSFLVVFVAGVVIGLATSAHINRHFGSQTEIYSFINHFSSNKPNEEENCTIVQGCEKVDCLSMETFLQPKNLTHDMSDDELFWRASMVPGKVEFPFERVPKVAFMFLSRGPLPMMPLWERFFQGHDKFFSIYVHVLPGYTLNVSSTSPFYGRQIPSQLVSWGTVTLVDAERRLLSNALLDFSNQRFVLLSESCIPVYNFPTVYKYLIGSNHSFVESYDDPGRYGRGRYSRKMLPEIELYQWRKGSQWFELSRTLAIYIVSETKYYTLFSRYCLPACYPDEHYMPTYFNMFHGSLNSNRTVTWVDWSLGGPHPATYGGDNITEDFVRSIRNSGALCQYNSEMTSICYLFARKFAPSALEPLLELASSVMEF >ONI28636 pep chromosome:Prunus_persica_NCBIv2:G1:12042573:12046186:1 gene:PRUPE_1G152500 transcript:ONI28636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGHPTTMGVAGSAAQASYGVNPYQSGQMMGLSPTGSVGLMQSPTQPAGPPASSQLAQHQLAYQHIHQQQQQQLQQQLQSFWAEQYQEIEAVMDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGGNLPVGSSTDGVPYYYMPAQHAPQVGAPGMIVGKPVMDQTLYGQQTHPYIAQPTWPQQQQPHKDS >ONI28632 pep chromosome:Prunus_persica_NCBIv2:G1:12042456:12046186:1 gene:PRUPE_1G152500 transcript:ONI28632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGHPTTMGVAGSAAQASYGVNPYQSGQMMGLSPTGSVGLMQSPTQPAGPPASSQLAQHQLAYQHIHQQQQQQLQQQLQSFWAEQYQEIEAVMDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGGNLPVGSSTDGVPYYYMPAQHAPQVGAPGMIVGKPVMDQTLYGQQTHPYIAQPTWPQQQQPHKDS >ONI28634 pep chromosome:Prunus_persica_NCBIv2:G1:12042427:12046186:1 gene:PRUPE_1G152500 transcript:ONI28634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGHPTTMGVAGSAAQASYGVNPYQSGQMMGLSPTGSVGLMQSPTQPAGPPASSQLAQHQLAYQHIHQQQQQQLQQQLQSFWAEQYQEIEAVMDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGGNLPVGSSTDGVPYYYMPAQHAPQVGAPGMIVGKPVMDQTLYGQQTHPYIAQPTWPQQQQPHKDS >ONI28633 pep chromosome:Prunus_persica_NCBIv2:G1:12042875:12046186:1 gene:PRUPE_1G152500 transcript:ONI28633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGHPTTMGVAGSAAQASYGVNPYQSGQMMGLSPTGSVGLMQSPTQPAGPPASSQLAQHQLAYQHIHQQQQQQLQQQLQSFWAEQYQEIEAVMDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGGNLPVGSSTDGVPYYYMPAQHAPQVGAPGMIVGKPVMDQTLYGQQTHPYIAQPTWPQQQQPHKDS >ONI28635 pep chromosome:Prunus_persica_NCBIv2:G1:12042862:12046186:1 gene:PRUPE_1G152500 transcript:ONI28635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGHPTTMGVAGSAAQASYGVNPYQSGQMMGLSPTGSVGLMQSPTQPAGPPASSQLAQHQLAYQHIHQQQQQQLQQQLQSFWAEQYQEIEAVMDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGGNLPVGSSTDGVPYYYMPAQHAPQVGAPGMIVGKPVMDQTLYGQQTHPYIAQPTWPQQQQPHKDS >ONI28631 pep chromosome:Prunus_persica_NCBIv2:G1:12042456:12046186:1 gene:PRUPE_1G152500 transcript:ONI28631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGHGHPTTMGVAGSAAQASYGVNPYQSGQMMGLSPTGSVGLMQSPTQPAGPPASSQLAQHQLAYQHIHQQQQQQLQQQLQSFWAEQYQEIEAVMDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGGNLPVGSSTDGVPYYYMPAQHAPQVGAPGMIVGKPVMDQTLYGQQTHPYIAQPTWPQQQQPHKDS >ONI30694 pep chromosome:Prunus_persica_NCBIv2:G1:27493306:27498160:1 gene:PRUPE_1G267500 transcript:ONI30694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEQQLSTRWEGYVNWRNRAAIRGRHGGMLAASFVLVAEILENLAYLANASNLVLYLSKYMHLSPSKAANDVTDFMGTAFLLALLGGFLSDAFFTTYHIYLISTVTEFLGLILLTVQARLPSLKPPTCSPDSLNNPCQEVEGGKAALLFIGLYLVALGVGGIKGSLPSHGAEQFDESTPQGRKQRSTFFNYYVFCLACGGLIAVTLVVWIEDNKGWEWGFGIATISILLSIPVFLAGSTLYRNKIPRGSPLTTIFKVLIAATLHSCMTKSPSNAIASMAPTPSSPTPTSKAIQEGNTTEKEASTADDQDQAPTQSLKFLNRAAQVNNPAHKALECTLQQVEEVKIVLKILPIFACTIMLNCCLAQLSTFSVQQAATMDTKLGSLKVPPASLPIFPVVFIMILAPVYDFFIIPFARKSTKSEMGITHLQRIGIGLALSIIAMAIAALVEIKRKRVASTSGLLDSKEPLPLTFFWVALQYLFLGSADLFTLAGLLEFFFTEAPTSMRSLATSLTWASLAMGYYLSSVIVSLVNDVSGKYSKHKWLSGSNLNHYHLERFYWLMCVLSGLNFLHYLFWATRYKYRPGRPNK >ONI30693 pep chromosome:Prunus_persica_NCBIv2:G1:27493112:27498224:1 gene:PRUPE_1G267500 transcript:ONI30693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEQQLSTRWEGYVNWRNRAAIRGRHGGMLAASFVLVAEILENLAYLANASNLVLYLSKYMHLSPSKAANDVTDFMGTAFLLALLGGFLSDAFFTTYHIYLISTVTEFLGLILLTVQARLPSLKPPTCSPDSLNNPCQEVEGGKAALLFIGLYLVALGVGGIKGSLPSHGAEQFDESTPQGRKQRSTFFNYYVFCLACGGLIAVTLVVWIEDNKGWEWGFGIATISILLSIPVFLAGSTLYRNKIPRGSPLTTIFKVLIAATLHSCMTKSPSNAIASMAPTPSSPTPTSKAIQEGNTTEKEASTADDQDQAPTQSLKFLNRAAQVNNPAHKALECTLQQVEEVKIVLKILPIFACTIMLNCCLAQLSTFSVQQAATMDTKLGSLKVPPASLPIFPVVFIMILAPVYDFFIIPFARKSTKSEMGITHLQRIGIGLALSIIAMAIAALVEIKRKRVASTSGLLDSKEPLPLTFFWVALQYLFLGSADLFTLAGLLEFFFTEAPTSMRSLATSLTWASLAMGYYLSSVIVSLVNDVSGKYSKHKWLSGSNLNHYHLERFYWLMCVLSGLNFLHYLFWATRYKYRPGRPNK >ONI30695 pep chromosome:Prunus_persica_NCBIv2:G1:27492946:27498199:1 gene:PRUPE_1G267500 transcript:ONI30695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEQQLSTRWEGYVNWRNRAAIRGRHGGMLAASFVLVAEILENLAYLANASNLVLYLSKYMHLSPSKAANDVTDFMGTAFLLALLGGFLSDAFFTTYHIYLISTVTEFLGLILLTVQARLPSLKPPTCSPDSLNNPCQEVEGGKAALLFIGLYLVALGVGGIKGSLPSHGAEQFDESTPQGRKQRSTFFNYYVFCLACGGLIAVTLVVWIEDNKGWEWGFGIATISILLSIPVFLAGSTLYRNKIPRGSPLTTIFKVLIAATLHSCMTKSPSNAIASMAPTPSSPTPTSKAIQEGNTTEKEASTADDQDQAPTQSLKFLNRAAQVNNPAHKALECTLQQVEEVKIVLKILPIFACTIMLNCCLAQLSTFSVQQAATMDTKLGSLKVPPASLPIFPVVFIMILAPVYDFFIIPFARKSTKSEMGITHLQRIGIGLALSIIAMAIAALVEIKRKRVASTSGLLDSKEPLPLTFFWVALQYLFLGSADLFTLAGLLEFFFTEAPTSMRSLATSLTWASLAMGYYLSSVIVSLVNDVSGKYSKHKWLSGSNLNHYHLERFYWLMCVLSGLNFLHYLFWATRYKYRPGRPNK >ONI28198 pep chromosome:Prunus_persica_NCBIv2:G1:10132011:10133672:-1 gene:PRUPE_1G130300 transcript:ONI28198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFISAKRGRTLTAEDLWSEFDTISDLLGLDHSSSSNNNNKKDQFEHQQNNKSIAQKPKIPLSKGAGTSEKPKKTSPGAGAGAGAQKEEEVGKASDKNKRVRKNVYRGIRQRPWGKWAAEIRDPQKGVRVWLGTYNTAEEAARAYDDAARRIRGGKAKLNFPELPPSSVPAPATPPLKKRCVVGPAESTQSSLGSSGNYCNCDPFEGGEIYGKKEVGGEYELKEQISSLESFLGLDEEEPSEVNGRGGGESNNSLDLWMLGDLVTHHHHQQQQQQQQGQQLY >ONI28197 pep chromosome:Prunus_persica_NCBIv2:G1:10131681:10133753:-1 gene:PRUPE_1G130300 transcript:ONI28197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFISAKRGRTLTAEDLWSEFDTISDLLGLDHSSSSNNNNKKDQFEHQQNNKSIAQKPKIPLSKGTSEKPKKTSPGAGAGAGAQKEEEVGKASDKNKRVRKNVYRGIRQRPWGKWAAEIRDPQKGVRVWLGTYNTAEEAARAYDDAARRIRGGKAKLNFPELPPSSVPAPATPPLKKRCVVGPAESTQSSLGSSGNYCNCDPFEGGEIYGKKEVGGEYELKEQISSLESFLGLDEEEPSEVNGRGGGESNNSLDLWMLGDLVTHHHHQQQQQQQQGQQLY >ONI35254 pep chromosome:Prunus_persica_NCBIv2:G1:43074053:43076565:1 gene:PRUPE_1G525900 transcript:ONI35254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSCGLFTGLRRCSPHFANSVGWRRQLSSLCSSSSPVERRKDDELKSKIFGLKNPGRSATTVIQKWVDRGNKVSVLELRRISIQLLKSKRFDHALQILAWMEAQSDFRLSPGDHAMRLESIIKVKGMLKAEEYFENLAATASKKAACLPLLHGYVVEKDTEKAEALMLKLGGLGLIVSPHPYNEMMKLYMGTCQFRKVPLVVVQMKKNKIPLTVLSYNLWMNACAKLSGVASVEMVYKEMVNDENVQVGWSTLSTLANVYMKAGLVDKASLALRRAEEKLSDYNRLGYFFLITQYTSLKMKEDVLRLWEASKAVPGRIPCTNYMHILLCLVKLGDIVEAERIFMEWESTCFRYDVRISNVLLGAYMRNGMVEKAESLHLHSLQRGGYPNYKTWEILMEGWLKSQYIDKAIEAMQRGFSMLQDCHWRPSHDTIMAFSGYFERHGNIEDANWYVRVIHDLGCASLPLYKSVLRLHLSAQRSASDILKMMEKDMIEMDDETSALVQAFEV >ONI34272 pep chromosome:Prunus_persica_NCBIv2:G1:39275260:39277638:-1 gene:PRUPE_1G472300 transcript:ONI34272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPSSLPWLFLFLFLSALFRSSQADVGSAAHYSPPYLPTACRGSDPSQFPSSNLFGSAGEGIWDNGAACGRQYLVRCISAPVLGTCVPGKTIQIKIVDRALSSVSRPSRKDTTMALSTTAFAAIANSSAKFVNIEFQQV >ONI34271 pep chromosome:Prunus_persica_NCBIv2:G1:39275261:39277654:-1 gene:PRUPE_1G472300 transcript:ONI34271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPSSLPWLFLFLFLSALFRSSQADVGSAAHYSPPYLPTACRGSDPSQFPSSNLFGSAGEGIWDNGAACGRQYLVRCISAPVLGTCVPGKTIQIKIVDRALSSVSRPSRKDTTMALSTTAFAAIANSSAKFVNIEFQQV >ONI34270 pep chromosome:Prunus_persica_NCBIv2:G1:39275263:39276806:-1 gene:PRUPE_1G472300 transcript:ONI34270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPSSLPWLFLFLFLSALFRSSQADVGSAAHYSPPYLPTACRGSDPSQFPSSNLFGSAGEGIWDNGAACGRQYLVRCISAPVLGTCVPGKTIQIKIVDRALSSVSRPSRKDTTMALSTTAFAAIANSSAKFVNIEFQQV >ONI34273 pep chromosome:Prunus_persica_NCBIv2:G1:39275313:39277589:-1 gene:PRUPE_1G472300 transcript:ONI34273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPSSLPWLFLFLFLSALFRSSQADVGSAAHYSPPYLPTACRGSDPSQFPSSNLFGSAGEGIWDNGAACGRQYLVRCISAPVLGTCVPGKTIQIKIVDRALSSVSRPSRKDTTMALSTTAFAAIANSSAKFVNIEFQQV >ONI33658 pep chromosome:Prunus_persica_NCBIv2:G1:37329751:37332924:-1 gene:PRUPE_1G439000 transcript:ONI33658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESYQNAFEIPSAVMSTAVAPKNASHALQFYWDSPDNNSEYYIYFHFAEIEKLRPHQLRNLFIIWSGGWSPRPFVLRYLNAVTVSNTWPFSGSRKHSFSILKTDNSTLPPILNAYEIYEAKRFLELETNQGDIEAIENIKLHYKISKNWQGDPCSPQAYKWEGLNCSYPESRPPRIISLDLSSSGLRGQISPFIANLTMIQALDLSNNDLTGPIPDFLSQMPDLYVLNLGKNKLAGPVPAGLIDRNKNDGLSLSLCENPNISKLGHVSCKSRKKQNVVIPVVVSITGTLILLLTVAAIWWRCKSEKKDEPFKIQGRKFTKSEIDKITNNSTLIGRGGFGEVYHGTLENDAQVAVKILNLSSKQGSEEFQNEVKLLMRVHHRNLVSFIGYCDSPMALVYEYVGNGNLQQQISAAGADIGLTWKQRLQIAVDTARGLEYLHDGCKPPILHRDLKPSNILLNETLQAKIADFGISKALATETATHALTNLRGTYGYLDPQYCTTGQLTRKSDTYSFGIVLLELITGRPAIITDVEPVHVNVSDWVRAKFERMEIESIVDSRVQGTYKYSSAQTAIEIALACVLKTPTERPEISYVYDRLKECLEIEKFKQL >ONI27400 pep chromosome:Prunus_persica_NCBIv2:G1:6232294:6238131:-1 gene:PRUPE_1G083800 transcript:ONI27400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIENPANLSLISLEEDKEIAKPRLTRLLSQTSGSSIHDALQEALQKLGFYSGEEDMEFSSFSSGTERAVKTWQASLDAPQDGIVTAELEQLYTVAQIEGPDEYSDCYTQDMHPGNIPVRLAQSKSSRKRLFKTKPHVIFLDLGTTAELSKSDKSDFKAVALRDGRTAAECTLKLSKQHKCPDPKAFIEQVEEAFAFWGTPEADLVHPAEYMQQLLEKVRRHRVNVCTFMVTTLALEVISFSFIYCS >ONI27402 pep chromosome:Prunus_persica_NCBIv2:G1:6232594:6233737:-1 gene:PRUPE_1G083800 transcript:ONI27402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVKRISSFRWTTLCENVKVRAYKELGYSPHCQLVLGWNLNFLHFIHADMHPGNIPVRLAQSKSSRKRLFKTKPHVIFLDLGTTAELSKSDKSDFKAVALRDGRTAAECTLKLSKQHKCPDPKAFIEQVEEAFAFWGTPEADLVHPAEYMQQLLEKVRRHRVNVCTFMVTTLALEVISFSFIYCS >ONI27395 pep chromosome:Prunus_persica_NCBIv2:G1:6231175:6238147:-1 gene:PRUPE_1G083800 transcript:ONI27395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIENPANLSLISLEEDKEIAKPRLTRLLSQTSGSSIHDALQEALQKLGFYSGEEDMEFSSFSSGTERAVKTWQASLDAPQDGIVTAELEQLYTVAQIEGPDEYSDCYTQDMHPGNIPVRLAQSKSSRKRLFKTKPHVIFLDLGTTAELSKSDKSDFKAVALRDGRTAAECTLKLSKQHKCPDPKAFIEQVEEAFAFWGTPEADLVHPAEYMQQLLEKVRRHRVNVCTFMVTTLALEVEIE >ONI27401 pep chromosome:Prunus_persica_NCBIv2:G1:6232594:6234664:-1 gene:PRUPE_1G083800 transcript:ONI27401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEALQKLGFYSGEEDMEFSSFSSGTERAVKTWQASLDAPQDGIVTAELEQLYTVAQIEGPDEYSDCYTQDMHPGNIPVRLAQSKSSRKRLFKTKPHVIFLDLGTTAELSKSDKSDFKAVALRDGRTAAECTLKLSKQHKCPDPKAFIEQVEEAFAFWGTPEADLVHPAEYMQQLLEKVRRHRVNVCTFMVTTLALEVISFSFIYCS >ONI27398 pep chromosome:Prunus_persica_NCBIv2:G1:6231831:6238131:-1 gene:PRUPE_1G083800 transcript:ONI27398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIENPANLSLISLEEDKEIAKPRLTRLLSQTSGSSIHDALQEALQKLGFYSGEEDMEFSSFSSGTERAVKTWQASLDAPQDGIVTAELEQLYTVAQIEGPDEYSDCYTQDMHPGNIPVRLAQSKSSRKRLFKTKPHVIFLDLGTTAELSKSDKSDFKAVALRDGRTAAECTLKLSKQHKCPDPKAFIEQVEEAFAFWGTPEADLVHPAEYMQQLLEKVRRHRVNVCTFMVTTLALECR >ONI27397 pep chromosome:Prunus_persica_NCBIv2:G1:6231396:6238131:-1 gene:PRUPE_1G083800 transcript:ONI27397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPGNIPVRLAQSKSSRKRLFKTKPHVIFLDLGTTAELSKSDKSDFKAVALRDGRTAAECTLKLSKQHKCPDPKAFIEQVEEAFAFWGTPEADLVHPAEYMQQLLEKVRRHRVNVCTFMVTTLALEVEIE >ONI27396 pep chromosome:Prunus_persica_NCBIv2:G1:6231820:6235089:-1 gene:PRUPE_1G083800 transcript:ONI27396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEALQKLGFYSGEEDMEFSSFSSGTERAVKTWQASLDAPQDGIVTAELEQLYTVAQIEGPDEYSDCYTQDMHPGNIPVRLAQSKSSRKRLFKTKPHVIFLDLGTTAELSKSDKSDFKAVALRDGRTAAECTLKLSKQHKCPDPKAFIEQVEEAFAFWGTPEADLVHPAEYMQQLLEKVRRHRVNVCTFMVTTLALEVEIE >ONI27404 pep chromosome:Prunus_persica_NCBIv2:G1:6232594:6233587:-1 gene:PRUPE_1G083800 transcript:ONI27404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPGNIPVRLAQSKSSRKRLFKTKPHVIFLDLGTTAELSKSDKSDFKAVALRDGRTAAECTLKLSKQHKCPDPKAFIEQVEEAFAFWGTPEADLVHPAEYMQQLLEKVRRHRVNVCTFMVTTLALEVISFSFIYCS >ONI27399 pep chromosome:Prunus_persica_NCBIv2:G1:6232234:6234664:-1 gene:PRUPE_1G083800 transcript:ONI27399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEALQKLGFYSGEEDMEFSSFSSGTERAVKTWQASLDAPQDGIVTAELEQLYTVAQIEGPDEYSDCYTQDMHPGNIPVRLAQSKSSRKRLFKTKPHVIFLDLGTTAELSKSDKSDFKAVALRDGRTAAECTLKLSKQHKCPDPKAFIEQVEEAFAFWGTPEADLVHPAEYMQQLLEKVRRHRVNVCTFMVTTLALECR >ONI27403 pep chromosome:Prunus_persica_NCBIv2:G1:6232594:6233587:-1 gene:PRUPE_1G083800 transcript:ONI27403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPGNIPVRLAQSKSSRKRLFKTKPHVIFLDLGTTAELSKSDKSDFKAVALRDGRTAAECTLKLSKQHKCPDPKAFIEQVEEAFAFWGTPEADLVHPAEYMQQLLEKVRRHRVNVCTFMVTTLALEVISFSFIYCS >ONI28286 pep chromosome:Prunus_persica_NCBIv2:G1:10682390:10684274:1 gene:PRUPE_1G135600 transcript:ONI28286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALRPIDNALPTTPERPKKQTKVPVSIQKQPEFGVNDENQAPLPAATIDATIDYISSENLKPISDPDFKILSLNEGLDSKDWVKVCESLNNVRRFALYHSALLFPTLEKVMVVMVKAMKNPRSALCKTSIMASFDIFKAFGDSLFDSATSAAFDQLLLQLLLKASQDKRFVCEEADRTLNTMVQSLTPLPLLQKLRAYASHANPRVRAKAAVSVSACVSKMGLEGMKDYGLVSLVKMAADLLNDRLPEAREAARGIVLSVYNAYTENEEEKQEIWLNFCQSNLTPIHAQSMVKITSSQ >ONI30793 pep chromosome:Prunus_persica_NCBIv2:G1:27962439:27965010:1 gene:PRUPE_1G273100 transcript:ONI30793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSDIARKLDLSDSKHVIRRAAELRRLCDIQFDSSIIGVGEVCKAVICLEIAATRSGLLFDRQKAIRLSGMSEKAYTRSYNSMQNGLGVKNKLDVRELAIQFGCVRIIPFVQKGLSLYRSRFLASLPASRRASADFTRPVFIAVAFYLCAKKHKLKVDKLKLIELCGASESEFSSVSTSMKDLCFDICGIEKEKKDPKDVKGNRELLDVLPEKRKLEDGGYSSDEGAEPSSYKKHKKMEKQAYEGWKTTVIASNNQTKAKVLCKPTRQTRLDFLKDVPDTQNLKAV >ONI32118 pep chromosome:Prunus_persica_NCBIv2:G1:32575905:32578024:1 gene:PRUPE_1G349200 transcript:ONI32118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYACLYVVQTLIPFLLQSQREDERELFSEGLTSVAGGIVALGKFDALHIGHRELAIQASKVGPPFLLSFVGMAEVLGWEPRAPIVARCDRKRVLSSWAPYCGNMAPTEFQIEFSSVRHLTPRQFVEKLLKELGVRGVVAGENYRFGYKAAGDATELVRLCEEYGIGAYIINSVMDKNDYSININSSDVKDRGQVSSTRVRRALAVGDMKYVSQLLGRQHRLILMAKEQEGFRCCKNKLSVPKSCLLNLGPKEGLYEKCYVFIGEDDELLSCNVVIDTEYVHIEMDEVGASCNVVGTQDLSLLRIEFGDSQS >ONI32119 pep chromosome:Prunus_persica_NCBIv2:G1:32575637:32578045:1 gene:PRUPE_1G349200 transcript:ONI32119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYACLYVVQTLIPFLLQSQREDERELFSEGLTSVAGGIVALGKFDALHIGHRELAIQASKVGPPFLLSFVGMAEVLGWEPRAPIVARCDRKRVLSSWAPYCGNMAPTEFQIEFSSVRHLTPRQFVEKLLKELGVRGVVAGENYRFGYKAAGDATELVRLCEEYGIGAYIINSVMDKNDYSININSSDVKDRGQVSSTRVRRALAVGDMKYVSQLLGRQHRLILMAKEQEGFRCCKNKLSVPKSCLLNLGPKEGLYEKCYVFIGEDDELLSCNVVIDTEYVHIEMDEVGASCNVVGTQDLSLLRIEFGDSQS >ONI32117 pep chromosome:Prunus_persica_NCBIv2:G1:32575087:32578045:1 gene:PRUPE_1G349200 transcript:ONI32117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGGGGCSRLSNHLRLRDCDVRLHHQHLGSGSGSAFGFGFGFVFGFGLGRVGFYNNRVAQLRCCPCPFKPLSAHRMPFSSFVSSKSPPDIPTLSNCFSQREDERELFSEGLTSVAGGIVALGKFDALHIGHRELAIQASKVGPPFLLSFVGMAEVLGWEPRAPIVARCDRKRVLSSWAPYCGNMAPTEFQIEFSSVRHLTPRQFVEKLLKELGVRGVVAGENYRFGYKAAGDATELVRLCEEYGIGAYIINSVMDKNDYSININSSDVKDRGQVSSTRVRRALAVGDMKYVSQLLGRQHRLILMAKEQEGFRCCKNKLSVPKSCLLNLGPKEGLYEKCYVFIGEDDELLSCNVVIDTEYVHIEMDEVGASCNVVGTQDLSLLRIEFGDSQS >ONI32120 pep chromosome:Prunus_persica_NCBIv2:G1:32575087:32578045:1 gene:PRUPE_1G349200 transcript:ONI32120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLGWEPRAPIVARCDRKRVLSSWAPYCGNMAPTEFQIEFSSVRHLTPRQFVEKLLKELGVRGVVAGENYRFGYKAAGDATELVRLCEEYGIGAYIINSVMDKNDYSININSSDVKDRGQVSSTRVRRALAVGDMKYVSQLLGRQHRLILMAKEQEGFRCCKNKLSVPKSCLLNLGPKEGLYEKCYVFIGEDDELLSCNVVIDTEYVHIEMDEVGASCNVVGTQDLSLLRIEFGDSQS >ONI33815 pep chromosome:Prunus_persica_NCBIv2:G1:37828112:37830546:-1 gene:PRUPE_1G447500 transcript:ONI33815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCRSFSKSAFSFLKSTVNKPTVKPRSMSSLPTTRPSLTLSRPTPQLGSLQSLLPFHSAVSSARLTSCLGIDSRSSRSLSQELGLSVPR >ONI33816 pep chromosome:Prunus_persica_NCBIv2:G1:37830025:37830444:-1 gene:PRUPE_1G447500 transcript:ONI33816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCRSFSKSAFSFLKSTVNKPTVKPRSMSSLPTTRPSLTLSRPTPQLGSLQSLLPFHSAVSSARLTSCLGIDSRSSRSLSQGMLGANPGV >ONI33644 pep chromosome:Prunus_persica_NCBIv2:G1:37288783:37289712:-1 gene:PRUPE_1G437800 transcript:ONI33644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKWLYEESRQQSLEENRKKMAALNLPQLAQLAHPAPVELARPRTFEKHLVQVRRSTRVSNHSSPVYKELAEGFVEPNKRFCSDEARAAALHEAQELVSGLGSDNPTIVKTIVPSYISGGFFLCLKRQFCMENLSKGDEVMTLVDVDGNEYLTRYLAQRFGLSAGWKEFAFAHDLVEGDALVFQLIRPTAFK >ONI34574 pep chromosome:Prunus_persica_NCBIv2:G1:40660274:40664799:-1 gene:PRUPE_1G488500 transcript:ONI34574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALAATATDILKTPTFIKITAITLLSLSLFLLANHYSATYPSLTFFSSSTTTTTSAPSPSLASYSPELPPPPPPAAPSPPPPSPPPPPEVERMGIVDENGAMSEEFEIGEFDPSLVEDLRNVSGGEERLDDRGGVGGGGARVEVDKFKVCDESMTDYIPCLDNVEEIEKLNSSERGEKYERHCPGQGKGLNCVVPRPKGYQIRIHWPQSRDEVWFSNVPHTRLVDDKGGQNWIRVKKDKFIFPGGGTQFIHGADQYLNQISQMVPDIAFGYKTRVSLDIGCGVASFGAFLMQRNVTTMSIAPKDVHENQIQFALERGVPAMVAVFATHRLLYPSQAFDLIHCSRCRINWTRDDGILLLEADRLLRAGGYFVWAAQPVYKHEEALQEQWKEMENLTTRLCWELVKKEGYIAIWQKPLNNSCYLSRDAGVQPPLCDSNDDPDDVWYVGLKACITRLPENGYGANVSTWPARLQDPPDRLQSIQLDAYISRKEIFRAEAKYWHEILAGYIGAYHWRELNFRNIMDMRAGYGGVQYFASYI >ONI34573 pep chromosome:Prunus_persica_NCBIv2:G1:40660616:40664598:-1 gene:PRUPE_1G488500 transcript:ONI34573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALAATATDILKTPTFIKITAITLLSLSLFLLANHYSATYPSLTFFSSSTTTTTSAPSPSLASYSPELPPPPPPAAPSPPPPSPPPPPEVERMGIVDENGAMSEEFEIGEFDPSLVEDLRNVSGGEERLDDRGGVGGGGARVEVDKFKVCDESMTDYIPCLDNVEEIEKLNSSERGEKYERHCPGQGKGLNCVVPRPKGYQIRIHWPQSRDEVWFSNVPHTRLVDDKGGQNWIRVKKDKFIFPGGGTQFIHGADQYLNQISQMVPDIAFGYKTRVSLDIGCGVASFGAFLMQRNVTTMSIAPKDVHENQIQFALERGVPAMVAVFATHRLLYPSQAFDLIHCSRCRINWTRDDGILLLEADRLLRAGGYFVWAAQPVYKHEEALQEQWKEMENLTTRLCWELVKKEGYIAIWQKPLNNSCYLSRDAGVQPPLCDSNDDPDDVWYVGLKACITRLPENGYGANVSTWPARLQDPPDRLQSIQLDAYISRKEIFRAEAKYWHEILAGYIGAYHWRELNFRNIMDMRAGYGGFAAALQDYGLDCWVMNIVPVSGFNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDLLHAAGLFSVEQKRCNISTIVLEMDRMLRPGGRVYIRDSVSVIAELQELASAVGWVPALHDTGEGPHASWKILIGDKRL >ONI33062 pep chromosome:Prunus_persica_NCBIv2:G1:35512126:35516522:1 gene:PRUPE_1G403200 transcript:ONI33062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKRFGFMDLDEGSPHNFPVEPLILHSFRGHMFSQIGSFMDNSLHQCRYFHVPGSLALEGAFNHISKLAGALLCFFSCGPNTNATREMEGNWHGSQPGCSRSSHVKLITSGGQNLIGFHFGFASKGESANLVLFGKISAFVMQFLQREAEKLHSHPMLSLASGLVPPFGSLSSNALAVPVENTDTMDQRPCEVGHQGCAGLPFPDLNWRRHAVEPRTGIEFPMILDNILTGNNNSSLSSEVLVGTGSRTMKIIKIKSLKIYAFGFYVHPNSVCKKLGSKYASISVDELNKRRDFYEDLLSAFEKSLRARLVKTNPETDFHCITTFGSNFTQDIPLPAGTTIDFQRTADGKLITKIEGNQIGAVHSKDLCRAFFDMYLGDLPVSEQSKEEIGRNVANIIRRC >ONI33061 pep chromosome:Prunus_persica_NCBIv2:G1:35512126:35516522:1 gene:PRUPE_1G403200 transcript:ONI33061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKRFGFMDLDEGSPHNFPVEPLILHSFRGHMFSQIGSFMDNSLHQCRYFHVPGSLALEGAFNHISKLAGALLCFFSCGPNTNATREMEGNWHGSQPGCSRSSHVKLITSGGQNLIGFHFGFASKGESANLVLFGKISAFVMQFLQREAEKLHSHPMLSLASGLVPPFGSLSSNALAVPVENTDTMDQRPCEVGHQGCAGLPFPDLNWRRHAVEPRTGIEFPMILDNILTGNNNSSLSSEVLVGTGSRTMKIIKIKSLKIYAFGFYVHPNSVCKKLGSKYASISVDELNKRRDFYEDLLRADIDMTVRLVVSCNGMKINTVRDAFEKSLRARLVKTNPETDFHCITTFGSNFTQDIPLPAGTTIDFQRTADGKLITKIEGNQIGAVHSKDLCRAFFDMYLGDLPVSEQSKEEIGRNVANIIRRC >ONI33391 pep chromosome:Prunus_persica_NCBIv2:G1:36398141:36404017:1 gene:PRUPE_1G421300 transcript:ONI33391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAYRTSSIDWKPSPVVALATSVDDSQVAAAREDGSLEIWLVSPGAVGWHCQLTIHGDPESRASSLIWCRAGSNGLPCGRLFSSSINGSVSQWDLFHLKQKTVLDSIGVSIWQMAVAPCSNDTESKSHPAGNGFIKANSIDLDDPETSDSEDDSDSEETNEQSVVEYPRVALACDDGCVRIYSITDTDEFVYTKSLPRVGGRVLSVAWSPDAKFIYSGSSDGIIRCWDAKLGHEIYRITVGLGGLGSGPELCVWSLLSLRCGNLVSADSTGSVQFWDSQHGTLLQVHSYHKGDVNALAAAPSHNRVFSAGSDGQVILYKLSSETAESSDDKSSSNVMKKWIYVGLVKAHTHDIRALTVAVPISREDPLPDEGIKRARRDRHREKPAEFSYHKWAHLGVPMLISAGDDTKLIAYPVKEFTQFSPHDICPAPQRVSIQLALNTSFNQTSLLLVQASSWLDIMCVRTKSGAFSDMARGPSVGLASTDLLARVKCKASRKIICSTISNTGVLFAYSDHAKPSLFELKKCKVGKSALTVNRRPLPQKLPFAHSMVFSFDSSRLIIAGHDRRIYVVDVSRAELVHRFTPCRELHDQELPPSEPPITKMFTSSDGQWLAAINCFGDIYVFNLEIQRQHWFISRLDSASVTAGGFSPQNNNVLVITTSSNQVYALDVEERTLGDWSKQHTNVLPKRFQEFPGEVIGMSFPPSTSSSSVIVYSSRAMCWIDFGVPIDRDDESDRPNGLQSNSINGKRLKRKLTDSQAKSKLIARKNFEFYAFTNPALFVGHLSKSSILMIDKPWMEVVKSFDTAPVHRHVFGT >ONI30951 pep chromosome:Prunus_persica_NCBIv2:G1:28565263:28566912:-1 gene:PRUPE_1G283600 transcript:ONI30951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDQNQKKKFVCKFCNKRFPCGKSLGGHIRTHLNKKPTLEKEEDDDEIAKARIVKFSPSDVVGKSKGDSGSEAGGHSGYSLRENPKKTWRFVDSGPTLHLQQGKVCKECGKGFQSLKALCGHMACHSEKEKLINKLEETSDTSEKQKLVMDSQSDTETSAPRLRRISKRMKYQNLDVHSSMANGSSSGSGVEQEQQEVAISLMMLSRDSGHKGGLNSFAESSDNNSVVLETKSSSIDMRISAEQGLNCASKVNDIMGMKRARDKKLKSEEITVSDNSDSGYFRHEPKKVESDVSVDGFIRNGEFHKVRAEFGSKFEGYESYDVKLRRGFHMKSEMGKDHLSREEAHPDQVHRGGSLKYALRKTTKNGFHRPFTNGSTNVEVCRNARKSSKYECLTCKKTFHSHRALGGHRASHTKTNGYSESMYESGENSIDTDVSPVPTPESKLVEPCSGKRPIDENVLSGSAKRNSGSKKSKGHECPFCFKVFRSGQALGGHKRSHFVGGCEVKTVVLGQEPEAPHEAEITALFDLNLPAPMEEEANEQFGFMTW >ONI26774 pep chromosome:Prunus_persica_NCBIv2:G1:3197296:3199496:1 gene:PRUPE_1G044700 transcript:ONI26774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGEDYGKLVVIVDVLDQNRALVDAPDMVRSQMNFKRLSLTDIKIDIKRVPKKKELLAAMEAADVKKKWENSSWGRKLIVQKRRAALNDFDRFKLMLAKIKRAGLVRTELAKLKKESAS >ONI33634 pep chromosome:Prunus_persica_NCBIv2:G1:37263429:37263716:1 gene:PRUPE_1G437300 transcript:ONI33634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSRCITSDGKRVMTTEELKQWLKKFDTDKDGRISKEELRQAIRATGGRFAMFKSRLGLRSADADRNGFIDEDELNNPVDFAQKHLGVKITHF >ONI28387 pep chromosome:Prunus_persica_NCBIv2:G1:10976378:10979127:-1 gene:PRUPE_1G139900 transcript:ONI28387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGLEHLGLPLK >ONI28385 pep chromosome:Prunus_persica_NCBIv2:G1:10977158:10978565:-1 gene:PRUPE_1G139900 transcript:ONI28385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGLEHLGLPLK >ONI28383 pep chromosome:Prunus_persica_NCBIv2:G1:10976862:10978565:-1 gene:PRUPE_1G139900 transcript:ONI28383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWGLTQDSPV >ONI28382 pep chromosome:Prunus_persica_NCBIv2:G1:10976862:10978565:-1 gene:PRUPE_1G139900 transcript:ONI28382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWGLTQDSPV >ONI28373 pep chromosome:Prunus_persica_NCBIv2:G1:10976395:10979060:-1 gene:PRUPE_1G139900 transcript:ONI28373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWVESRLSSNTLVDRRLAGSFTGEGMRDLIRLTLQCMSFPGRRRPKMEMVVVELERIKEKEMAMTTVMGEGTDTFALGSVLFT >ONI28374 pep chromosome:Prunus_persica_NCBIv2:G1:10976371:10979092:-1 gene:PRUPE_1G139900 transcript:ONI28374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWVESRLSSNTLVDRRLAGSFTGEGMRDLIRLTLQCMSFPGRRRPKMEMVVVELERIKEKEMAMTTVMGEGTDTFALGSVLFT >ONI28372 pep chromosome:Prunus_persica_NCBIv2:G1:10976384:10979061:-1 gene:PRUPE_1G139900 transcript:ONI28372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWVESRLSSNTLVDRRLAGSFTGEGMRDLIRLTLQCMSFPGRRRPKMEMVVVELERIKEKEMAMTTVMGEGTDTFALGSVLFT >ONI28381 pep chromosome:Prunus_persica_NCBIv2:G1:10976528:10978565:-1 gene:PRUPE_1G139900 transcript:ONI28381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYGNDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWVESRLSSNTLVDRRLAGSFTGEGMRDLIRLTLQCMSFPGRRRPKMEMVVVELERIKEKEMAMTTVMGEGTDTFALGSVLFT >ONI28386 pep chromosome:Prunus_persica_NCBIv2:G1:10976377:10979127:-1 gene:PRUPE_1G139900 transcript:ONI28386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGLEHLGLPLK >ONI28380 pep chromosome:Prunus_persica_NCBIv2:G1:10976385:10979060:-1 gene:PRUPE_1G139900 transcript:ONI28380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYGNDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWVESRLSSNTLVDRRLAGSFTGEGMRDLIRLTLQCMSFPGRRRPKMEMVVVELERIKEKEMAMTTVMGEGTDTFALGSVLFT >ONI28388 pep chromosome:Prunus_persica_NCBIv2:G1:10976383:10979092:-1 gene:PRUPE_1G139900 transcript:ONI28388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGLEHLGLPLK >ONI28379 pep chromosome:Prunus_persica_NCBIv2:G1:10976390:10979122:-1 gene:PRUPE_1G139900 transcript:ONI28379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYGNDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWVESRLSSNTLVDRRLAGSFTGEGMRDLIRLTLQCMSFPGRRRPKMEMVVVELERIKEKEMAMTTVMGEGTDTFALGSVLFT >ONI28371 pep chromosome:Prunus_persica_NCBIv2:G1:10976385:10979180:-1 gene:PRUPE_1G139900 transcript:ONI28371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWVESRLSSNTLVDRRLAGSFTGEGMRDLIRLTLQCMSFPGRRRPKMEMVVVELERIKEKEMAMTTVMGEGTDTFALGSVLFT >ONI28377 pep chromosome:Prunus_persica_NCBIv2:G1:10976385:10979253:-1 gene:PRUPE_1G139900 transcript:ONI28377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWVESRLSSNTLVDRRLAGSFTGEGMRDLIRLTLQCMSFPGRRRPKMEMVVVELERIKEKEMAMTTVMGEGTDTFALGSVLFT >ONI28384 pep chromosome:Prunus_persica_NCBIv2:G1:10976862:10978565:-1 gene:PRUPE_1G139900 transcript:ONI28384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWGLTQDSPV >ONI28375 pep chromosome:Prunus_persica_NCBIv2:G1:10976371:10979060:-1 gene:PRUPE_1G139900 transcript:ONI28375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWVESRLSSNTLVDRRLAGSFTGEGMRDLIRLTLQCMSFPGRRRPKMEMVVVELERIKEKEMAMTTVMGEGTDTFALGSVLFT >ONI28376 pep chromosome:Prunus_persica_NCBIv2:G1:10976379:10979253:-1 gene:PRUPE_1G139900 transcript:ONI28376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWVESRLSSNTLVDRRLAGSFTGEGMRDLIRLTLQCMSFPGRRRPKMEMVVVELERIKEKEMAMTTVMGEGTDTFALGSVLFT >ONI28378 pep chromosome:Prunus_persica_NCBIv2:G1:10976386:10979122:-1 gene:PRUPE_1G139900 transcript:ONI28378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSAAIVGGAAGALALVAIVIGVVWFYKIHCKNLSNKNSETGSSDPSAIVEWSRGGPSSGVRQGARLFTLEELDQATKHFDESSLLGYGSFGLVYKGLLRDGTVVAIKRRPGAPRQEFVSEVTYLSEIHHRNLVTILGYCQESGFQVLVFEYIPNGSVCNHLYGNDTRLESSTKLEFKQRLSIAVGAAKGLCHLHGLKPPMVHKNFKTANVLVDEDFIAHVADAGIAKLLEKIEEAGPSRTSSVNIFQDPEVGASGVASEMSDVHSFGVFLLELLTGQEALDIGSLGSNESLFQWVESRLSSNTLVDRRLAGSFTGEGMRDLIRLTLQCMSFPGRRRPKMEMVVVELERIKEKEMAMTTVMGEGTDTFALGSVLFT >ONI28058 pep chromosome:Prunus_persica_NCBIv2:G1:9358810:9362280:1 gene:PRUPE_1G119800 transcript:ONI28058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGHLVLKPTTRHPKQTISEDISRLTIDPKKSNSSSQSSETSSNFGAVLQPKKERGMKKTKGRSSNFFSITDNKIEAIRAKRVGIFQFGNTHVHEGHQKEIQVDSSSGEIDSASSEEEE >ONI26800 pep chromosome:Prunus_persica_NCBIv2:G1:3316083:3318610:1 gene:PRUPE_1G046800 transcript:ONI26800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMEGEALATEAPYAPVTIERKVRGDLETKLPKPYMPRALVAPDTNHPTGTPGHQHRDMSVLQQHVAFFDQDDNGIVYPWETFTGLRAVGFNLIAAIVMTVLINGALSYATLPGWIPSPFFPIYIYNIHKSKHGSDSGTYDTEGRFSPVSIENMFSKYANTVPDKFTLGELWDMTEGNRVAFDFFGWIASKLEWGLLYVLARDEDGLLSKEAVRRCFDGSLFEYCAKINAAGMTKIG >ONI29100 pep chromosome:Prunus_persica_NCBIv2:G1:15902151:15905349:-1 gene:PRUPE_1G181500 transcript:ONI29100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVRTCVELDLTKPLKRCLVLGEVGKEIKCFISYEALWEICFYCGQKKEEMHDCPLKIPNRNFTNVKRLENELVVYPTDIVLDEVLQQQLSEEVILVFPQPTLASSYMGVEFTGEREDDTYQPNEDMAFKSPKKRIREEFEEREANSEASLECGGIVLCWNPICVNCTVLNSHDCFVHSTFIYAYPQKEKQKDLWNLILNLKPMQMSWALLEDFNTICSPSEKIGAISTFLMHLLMIVIQFFSMLTVLLNLPICKSDHGPIILTISDPRTSPRKIFRLEAMWLSHVDFPRLKETQEHLNIIQNQPANSLTSAFLISKNNELNVNLRTLLEKEELFYAQKARASWLQFGDKNKKFFQPFSTIRRKRNHSDPLATSNIQDFINIIEPCISSENNLKLMVDVSEMEVFEAVKSISALKAPGPDGLHAIFLHQFWAKTKHLLILLVKDFFLNNLPLNPINHTNIALIPKIDNPEVVDHFRPIGLCNVIYKIITEIIITRLRPILTKCISLNQGAFAPGRSIFDNILIAHELFSDFKRKKGSCGAMALKLDLEKAYDLLDWNYITACLLKFASGQRINFHKSTNYFFPRVHTNVKKNISTILQLIDKIRSKFAGWKANTLSRAGKLTLIKSNVSGMPNQLMS >ONI26166 pep chromosome:Prunus_persica_NCBIv2:G1:671589:676583:1 gene:PRUPE_1G008000 transcript:ONI26166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQMIHSWRFNELVGAFLDLAIAYLLLCAAAVAFFTSKFVSVFGLCLPCPCDGFFGTPRKSHCFQRQFADVPCEKISAVQWAVKSKFPFDVLWSENSNINSKSKFVDETYYENGHFEFEGEASCSSLSERRLLDMVESDSVAENDQSVEFGVANLETGKEQHFELKPKKVSGRRPRLRRRRRRRGGSVDYGNPVSVSSYDVFYSDAGDISTSPSSISKMGNEVTEVLVNSGDCTEAPTYISSPDSVSRPEFKESMDETKPTGKDGSVVEDSGCNAGEKLGFDSNETTTVRVLEQALEEEHATRAALYLELEKERSAAATAADEAMAMILRLQEEKASIEMEARQYQRMIEEKSAYDAEEMNILKEILVRREREKHFLVKEVEEFRQIFFGEDQVDFDMHDVATTQAQKPALRSSEDQVPMLQWASESITEEPKLEMKHSSPDYEVPSTEIKNLTLTFGNELPIPDLEGDVDSLKQVDMHLHPSVDSHPHFLDSTDDISHEFQEKGVVSMDEKPVSQGKYVQRLEVYQQHSQSPNPQGLGLHKNIVPPIEQELNLAGSTNIREGLASKTSGIGTENRTSILYNNDNIDKHGNNQDEGSKDPRSTVFNTQAGVLDVHVIDDKSHLCNEMSAEKSEQLPANATLDISGKCDIPTTTGLKTQRKIQRVSSDTASVLLTMGCPRGRSLPSDMRRNSMSAIDYERFKIDNEVERLRERLRIVQEGREKLNFSVGHRERERIQLQLLEDIASQLREIQQLTEPGKAECQAGMLPPLSKVMSKKRRWRTLPLQVHRST >ONI26169 pep chromosome:Prunus_persica_NCBIv2:G1:671525:676630:1 gene:PRUPE_1G008000 transcript:ONI26169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQMIHSWRFNELVGAFLDLAIAYLLLCAAAVAFFTSKFVSVFGLCLPCPCDGFFGTPRKSHCFQRQFADVPCEKISAVQWAVKSKFPFDVLWSENSNINSKSKFVDETYYENGHFEFEGEASCSSLSERRLLDMVESDSVAENDQSVEFGVANLETGKEQHFELKPKKVSGRRPRLRRRRRRRGGSVDYGNPVSVSSYDVFYSDAGDISTSPSSISKMGNEVTEVLVNSGDCTEAPTYISSPDSVSRPEFKESMDETKPTGKDGSVVEDSGCNAGEKLGFDSNETTTVRVLEQALEEEHATRAALYLELEKERSAAATAADEAMAMILRLQEEKASIEMEARQYQRMIEEKSAYDAEEMNILKEILVRREREKHFLVKEVEEFRQIFFGEDQVDFDMHDVATTQAQKPALRSSEDQVPMLQWASESITEEPKLEMKHSSPDYEVPSTEIKNLTLTFGNELPIPDLEGDVDSLKQVDMHLHPSVDSHPHFLDSTDDISHEFQEKGVVSMDEKPVSQGKYVQRLEVYQQHSQSPNPQGLGLHKNIVPPIEQELNLAGSTNIREGLASKTSGIGTENRTSILYNNDNIDKHGNNQDEGSKDPRSTVFNTQAGVLDVHVIDDKSHLCNEMSAEKSEQLPANATLDISGKCDIPTTTGLKTQRKIQRVSSDTASVLLTMGCPRGRSLPSDMRRNSMSAIDYERFKIDNEVERLRERLRIVQEGREKLNFSVGHRERERIQLQLLEDIASQLREIQQLTEPGKAECQAGMLPPLSKVMSKKRRWRTLPLQVHRST >ONI26168 pep chromosome:Prunus_persica_NCBIv2:G1:671508:676705:1 gene:PRUPE_1G008000 transcript:ONI26168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQMIHSWRFNELVGAFLDLAIAYLLLCAAAVAFFTSKFVSVFGLCLPCPCDGFFGTPRKSHCFQRQFADVPCEKISAVQWAVKSKFPFDVLWSENSNINSKSKFVDETYYENGHFEFEGEASCSSLSERRLLDMVESDSVAENDQSVEFGVANLETGKEQHFELKPKKVSGRRPRLRRRRRRRGGSVDYGNPVSVSSYDVFYSDAGDISTSPSSISKMGNEVTEVLVNSGDCTEAPTYISSPDSVSRPEFKESMDETKPTGKDGSVVEDSGCNAGEKLGFDSNETTTVRVLEQALEEEHATRAALYLELEKERSAAATAADEAMAMILRLQEEKASIEMEARQYQRMIEEKSAYDAEEMNILKEILVRREREKHFLVKEVEEFRQIFFGEDQVDFDMHDVATTQAQKPALRSSEDQVPMLQWASESITEEPKLEMKHSSPDYEVPSTEIKNLTLTFGNELPIPDLEGDVDSLKQVDMHLHPSVDSHPHFLDSTDDISHEFQEKGVVSMDEKPVSQGKYVQRLEVYQQHSQSPNPQGLGLHKNIVPPIEQELNLAGSTNIREGLASKTSGIGTENRTSILYNNDNIDKHGNNQDEGSKDPRSTVFNTQAGVLDVHVIDDKSHLCNEMSAEKSEQLPANATLDISGKCDIPTTTGLKTQRKIQRVSSDTASVLLTMGCPRGRSLPSDMRRNSMSAIDYERFKIDNEVERLRERLRIVQEGREKLNFSVGHRERERIQLQLLEDIASQLREIQQLTEPGKAECQAGMLPPLSKVMSKKRRWRTLPLQVHRST >ONI26167 pep chromosome:Prunus_persica_NCBIv2:G1:672218:676604:1 gene:PRUPE_1G008000 transcript:ONI26167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQMIHSWRFNELVGAFLDLAIAYLLLCAAAVAFFTSKFVSVFGLCLPCPCDGFFGTPRKSHCFQRQFADVPCEKISAVQWAVKSKFPFDVLWSENSNINSKSKFVDETYYENGHFEFEGEASCSSLSERRLLDMVESDSVAENDQSVEFGVANLETGKEQHFELKPKKVSGRRPRLRRRRRRRGGSVDYGNPVSVSSYDVFYSDAGDISTSPSSISKMGNEVTEVLVNSGDCTEAPTYISSPDSVSRPEFKESMDETKPTGKDGSVVEDSGCNAGEKLGFDSNETTTVRVLEQALEEEHATRAALYLELEKERSAAATAADEAMAMILRLQEEKASIEMEARQYQRMIEEKSAYDAEEMNILKEILVRREREKHFLVKEVEEFRQIFFGEDQVDFDMHDVATTQAQKPALRSSEDQVPMLQWASESITEEPKLEMKHSSPDYEVPSTEIKNLTLTFGNELPIPDLEGDVDSLKQVDMHLHPSVDSHPHFLDSTDDISHEFQEKGVVSMDEKPVSQGKYVQRLEVYQQHSQSPNPQGLGLHKNIVPPIEQELNLAGSTNIREGLASKTSGIGTENRTSILYNNDNIDKHGNNQDEGSKDPRSTVFNTQAGVLDVHVIDDKSHLCNEMSAEKSEQLPANATLDISGKCDIPTTTGLKTQRKIQRVSSDTASVLLTMGCPRGRSLPSDMRRNSMSAIDYERFKIDNEVERLRERLRIVQEGREKLNFSVGHRERERIQLQLLEDIASQLREIQQLTEPGKAECQAGMLPPLSKVMSKKRRWRTLPLQVHRST >ONI29855 pep chromosome:Prunus_persica_NCBIv2:G1:23122799:23130342:1 gene:PRUPE_1G217800 transcript:ONI29855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKDVALHSNGFIHQPRPLNDDESWELFEKIAVFGREGITFEVSTKMKELGKKMLQHCVGLPLAVIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSYLNELVERCMVQIGERGSIRKIKSCQLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTVTEATLKGKVRRLAIYVDDNVDKLFPSRYERDNRLRSLLYFGPRYWMPSNNKLVSPLFKDFKLLRVLKVEGIELLVKLPSEIGNMVHLRFLSLRHSFIKWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRKLVIRVTSPLKNLEETLKSISSTLDRIQSLYVLNLREIHSGTEVAQIVLSCRHIYKLHLNGRTVELPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLRLMEQTFEDGLDTLVFSKGSFLQLESLFLICIYELRDFTVEEGAMPSLRRLCMKRCSGLTTLPDGLRHITTLWELSFTEMSRTLHSRLQVGGDDFYKIQHVPSIVFANMRED >ONI29856 pep chromosome:Prunus_persica_NCBIv2:G1:23122849:23128869:1 gene:PRUPE_1G217800 transcript:ONI29856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKDVALHSNGFIHQPRPLNDDESWELFEKIAVFGREGITFEVSTKMKELGKKMLQHCVGLPLAVIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSYLNELVERCMVQIGERGSIRKIKSCQLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTVTEATLKGKVRRLAIYVDDNVDKLFPSRYERDNRLRSLLYFGPRYWMPSNNKLVSPLFKDFKLLRVLKVEGIELLVKLPSEIGNMVHLRFLSLRHSFIKWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRKLVIRVTSPLKNLEETLKSISSTLDRIQSLYVLNLREIHSGTEVAQIVLSCRHIYKLHLNGRTVELPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLRLMEQTFEDGLDTLVFSKGSFLQLESLFLICIYELRDFTVEEGAMPSLRRLCMKRCSGLTTLPDGLRHITTLWELSFTEMSRTLHSRLQVGGDDFYKIQHVPSIVFANMRED >ONI29854 pep chromosome:Prunus_persica_NCBIv2:G1:23122797:23130342:1 gene:PRUPE_1G217800 transcript:ONI29854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKDVALHSNGFIHQPRPLNDDESWELFEKIAVFGREGITFEVSTKMKELGKKMLQHCVGLPLAVIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSYLNELVERCMVQIGERGSIRKIKSCQLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTVTEATLKGKVRRLAIYVDDNVDKLFPSRYERDNRLRSLLYFGPRYWMPSNNKLVSPLFKDFKLLRVLKVEGIELLVKLPSEIGNMVHLRFLSLRHSFIKWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRKLVIRVTSPLKNLEETLKSISSTLDRIQSLYVLNLREIHSGTEVAQIVLSCRHIYKLHLNGRTVELPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLRLMEQTFEDGLDTLVFSKGSFLQLESLFLICIYELRDFTVEEGAMPSLRRLCMKRCSGLTTLPDGLRHITTLWELSFTEMSRTLHSRLQVGGDDFYKIQHVPSIVFANMRED >ONI29857 pep chromosome:Prunus_persica_NCBIv2:G1:23122849:23130342:1 gene:PRUPE_1G217800 transcript:ONI29857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKDVALHSNGFIHQPRPLNDDESWELFEKIAVFGREGITFEVSTKMKELGKKMLQHCVGLPLAVIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSYLNELVERCMVQIGERGSIRKIKSCQLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTVTEATLKGKVRRLAIYVDDNVDKLFPSRYERDNRLRSLLYFGPRYWMPSNNKLVSPLFKDFKLLRVLKVEGIELLVKLPSEIGNMVHLRFLSLRHSFIKWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRKLVIRVTSPLKNLEETLKSISSTLDRIQSLYVLNLREIHSGTEVAQIVLSCRHIYKLHLNGRTVELPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLRLMEQTFEDGLDTLVFSKGSFLQLESLFLICIYELRDFTVEEGAMPSLRRLCMKRCSGLTTLPDGLRHITTLWELSFTEMSRTLHSRLQVGGDDFYKIQHVPSIVFANMRED >ONI29860 pep chromosome:Prunus_persica_NCBIv2:G1:23122800:23130342:1 gene:PRUPE_1G217800 transcript:ONI29860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKDVALHSNGFIHQPRPLNDDESWELFEKIAVFGREGITFEVSTKMKELGKKMLQHCVGLPLAVIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSYLNELVERCMVQIGERGSIRKIKSCQLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTVTEATLKGKVRRLAIYVDDNVDKLFPSRYERDNRLRSLLYFGPRYWMPSNNKLVSPLFKDFKLLRVLKVEGIELLVKLPSEIGNMVHLRFLSLRHSFIKWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRKLVIRVTSPLKNLEETLKSISSTLDRIQSLYVLNLREIHSGTEVAQIVLSCRHIYKLHLNGRTVELPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLRLMEQTFEDGLDTLVFSKGSFLQLESLFLICIYELRDFTVEEGAMPSLRRLCMKRCSGLTTLPDGLRHITTLWELSFTEMSRTLHSRLQVGGDDFYKIQHVPSIVFANMRED >ONI29861 pep chromosome:Prunus_persica_NCBIv2:G1:23122849:23130342:1 gene:PRUPE_1G217800 transcript:ONI29861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKDVALHSNGFIHQPRPLNDDESWELFEKIAVFGREGITFEVSTKMKELGKKMLQHCVGLPLAVIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSYLNELVERCMVQIGERGSIRKIKSCQLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTVTEATLKGKVRRLAIYVDDNVDKLFPSRYERDNRLRSLLYFGPRYWMPSNNKLVSPLFKDFKLLRVLKVEGIELLVKLPSEIGNMVHLRFLSLRHSFIKWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRKLVIRVTSPLKNLEETLKSISSTLDRIQSLYVLNLREIHSGTEVAQIVLSCRHIYKLHLNGRTVELPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLRLMEQTFEDGLDTLVFSKGSFLQLESLFLICIYELRDFTVEEGAMPSLRRLCMKRCSGLTTLPDGLRHITTLWELSFTEMSRTLHSRLQVGGDDFYKIQHVPSIVFANMRED >ONI29858 pep chromosome:Prunus_persica_NCBIv2:G1:23122797:23130342:1 gene:PRUPE_1G217800 transcript:ONI29858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKDVALHSNGFIHQPRPLNDDESWELFEKIAVFGREGITFEVSTKMKELGKKMLQHCVGLPLAVIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSYLNELVERCMVQIGERGSIRKIKSCQLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTVTEATLKGKVRRLAIYVDDNVDKLFPSRYERDNRLRSLLYFGPRYWMPSNNKLVSPLFKDFKLLRVLKVEGIELLVKLPSEIGNMVHLRFLSLRHSFIKWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRKLVIRVTSPLKNLEETLKSISSTLDRIQSLYVLNLREIHSGTEVAQIVLSCRHIYKLHLNGRTVELPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLRLMEQTFEDGLDTLVFSKGSFLQLESLFLICIYELRDFTVEEGAMPSLRRLCMKRCSGLTTLPDGLRHITTLWELSFTEMSRTLHSRLQVGGDDFYKIQHVPSIVFANMRED >ONI29859 pep chromosome:Prunus_persica_NCBIv2:G1:23122849:23128869:1 gene:PRUPE_1G217800 transcript:ONI29859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKDVALHSNGFIHQPRPLNDDESWELFEKIAVFGREGITFEVSTKMKELGKKMLQHCVGLPLAVIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSYLNELVERCMVQIGERGSIRKIKSCQLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTVTEATLKGKVRRLAIYVDDNVDKLFPSRYERDNRLRSLLYFGPRYWMPSNNKLVSPLFKDFKLLRVLKVEGIELLVKLPSEIGNMVHLRFLSLRHSFIKWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRKLVIRVTSPLKNLEETLKSISSTLDRIQSLYVLNLREIHSGTEVAQIVLSCRHIYKLHLNGRTVELPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLRLMEQTFEDGLDTLVFSKGSFLQLESLFLICIYELRDFTVEEGAMPSLRRLCMKRCSGLTTLPDGLRHITTLWELSFTEMSRTLHSRLQVGGDDFYKIQHVPSIVFANMRED >ONI29862 pep chromosome:Prunus_persica_NCBIv2:G1:23122849:23130342:1 gene:PRUPE_1G217800 transcript:ONI29862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKDVALHSNGFIHQPRPLNDDESWELFEKIAVFGREGITFEVSTKMKELGKKMLQHCVGLPLAVIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSYLNELVERCMVQIGERGSIRKIKSCQLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTVTEATLKGKVRRLAIYVDDNVDKLFPSRYERDNRLRSLLYFGPRYWMPSNNKLVSPLFKDFKLLRVLKVEGIELLVKLPSEIGNMVHLRFLSLRHSFIKWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRKLVIRVTSPLKNLEETLKSISSTLDRIQSLYVLNLREIHSGTEVAQIVLSCRHIYKLHLNGRTVELPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLRLMEQTFEDGLDTLVFSKGSFLQLESLFLICIYELRDFTVEEGAMPSLRRLCMKRCSGLTTLPDGLRHITTLWELSFTEMSRTLHSRLQVGGDDFYKIQHVPSIVFANMRED >ONI28646 pep chromosome:Prunus_persica_NCBIv2:G1:12067134:12069990:1 gene:PRUPE_1G153000 transcript:ONI28646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRQHIVCLCCPANLCLSRGPPLGLSYHFHGHLCLFLNKLVKFVVVSTHKKRWVCKRMEENLLQRLKQTNLDSGEELEGEPPVQIHKSRVRAATRVIYEVDEPPARNVQQSGAASQGPTALSLLPLTYEWQRLDDVQFKGDRSRVSWWVISDVTQVLVTKLEGWTTSLTRHDSHPLSLAFLDRPIELIQSSFSFSESAMKSSAPLTVRQEATGITLRGLEPRVTVESLNQKSLPCLVNQPVICGS >ONI34961 pep chromosome:Prunus_persica_NCBIv2:G1:41916707:41918980:-1 gene:PRUPE_1G508100 transcript:ONI34961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQINRRLPDLQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQADHLRQQTLQQMSRILTTHQAARGLLALGEYFHRLRALSSLWTAHPREPT >ONI34971 pep chromosome:Prunus_persica_NCBIv2:G1:41916717:41918980:-1 gene:PRUPE_1G508100 transcript:ONI34971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQINRRLPDLQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQTGGSPPAANSAANVSNPNDSPGSSRLACARGILSPAACPQFSLDCPSS >ONI34963 pep chromosome:Prunus_persica_NCBIv2:G1:41916344:41920583:-1 gene:PRUPE_1G508100 transcript:ONI34963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQINRRLPDLQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQADHLRQQTLQQMSRILTTHQAARGLLALGEYFHRLRALSSLWTAHPREPT >ONI34959 pep chromosome:Prunus_persica_NCBIv2:G1:41916341:41919401:-1 gene:PRUPE_1G508100 transcript:ONI34959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQADHLRQQTLQQMSRILTTHQAARGLLALGEYFHRLRALSSLWTAHPREPT >ONI34970 pep chromosome:Prunus_persica_NCBIv2:G1:41916717:41918980:-1 gene:PRUPE_1G508100 transcript:ONI34970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQINRRLPDLQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQTGGSPPAANSAANVSNPNDSPGSSRLACARGILSPAACPQFSLDCPSS >ONI34964 pep chromosome:Prunus_persica_NCBIv2:G1:41916717:41919543:-1 gene:PRUPE_1G508100 transcript:ONI34964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQERMSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQTGGSPPAANSAANVSNPNDSPGSSRLACARGILSPAACPQFSLDCPSS >ONI34969 pep chromosome:Prunus_persica_NCBIv2:G1:41916717:41918980:-1 gene:PRUPE_1G508100 transcript:ONI34969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQINRRLPDLQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQTGGSPPAANSAANVSNPNDSPGSSRLACARGILSPAACPQFSLDCPSS >ONI34957 pep chromosome:Prunus_persica_NCBIv2:G1:41916341:41919481:-1 gene:PRUPE_1G508100 transcript:ONI34957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQADHLRQQTLQQMSRILTTHQAARGLLALGEYFHRLRALSSLWTAHPREPT >ONI34965 pep chromosome:Prunus_persica_NCBIv2:G1:41916717:41919543:-1 gene:PRUPE_1G508100 transcript:ONI34965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQERMSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQINRRLPDLQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQTGGSPPAANSAANVSNPNDSPGSSRLACARGILSPAACPQFSLDCPSS >ONI34955 pep chromosome:Prunus_persica_NCBIv2:G1:41916343:41919874:-1 gene:PRUPE_1G508100 transcript:ONI34955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQERMSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQADHLRQQTLQQMSRILTTHQAARGLLALGEYFHRLRALSSLWTAHPREPT >ONI34960 pep chromosome:Prunus_persica_NCBIv2:G1:41916341:41919311:-1 gene:PRUPE_1G508100 transcript:ONI34960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQADHLRQQTLQQMSRILTTHQAARGLLALGEYFHRLRALSSLWTAHPREPT >ONI34958 pep chromosome:Prunus_persica_NCBIv2:G1:41916341:41919415:-1 gene:PRUPE_1G508100 transcript:ONI34958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQADHLRQQTLQQMSRILTTHQAARGLLALGEYFHRLRALSSLWTAHPREPT >ONI34962 pep chromosome:Prunus_persica_NCBIv2:G1:41916341:41919405:-1 gene:PRUPE_1G508100 transcript:ONI34962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQINRRLPDLQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQADHLRQQTLQQMSRILTTHQAARGLLALGEYFHRLRALSSLWTAHPREPT >ONI34966 pep chromosome:Prunus_persica_NCBIv2:G1:41916717:41918980:-1 gene:PRUPE_1G508100 transcript:ONI34966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQTGGSPPAANSAANVSNPNDSPGSSRLACARGILSPAACPQFSLDCPSS >ONI34967 pep chromosome:Prunus_persica_NCBIv2:G1:41916343:41920583:-1 gene:PRUPE_1G508100 transcript:ONI34967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQTGGSPPAANSAANVSNPNDSPGSSRLACARGILSPAACPQFSLDCPSS >ONI34956 pep chromosome:Prunus_persica_NCBIv2:G1:41916344:41919876:-1 gene:PRUPE_1G508100 transcript:ONI34956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQERMSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQINRRLPDLQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQADHLRQQTLQQMSRILTTHQAARGLLALGEYFHRLRALSSLWTAHPREPT >ONI34968 pep chromosome:Prunus_persica_NCBIv2:G1:41916717:41918980:-1 gene:PRUPE_1G508100 transcript:ONI34968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTQFATSRQMGIYEPFRQVGVWKETFRGDHSSNTGASSILEVDAGIETKIGYVSHESLAPSGNDQEPNRSSDKVQRRLEQNREAARKSRMRKKAYVQQLETSRLKLAQLEQELERARKQGAYVASSLGTSHMGYNGALNSGITTFEMEYGHWVEEQHRQNVELRNALQDHGTDIELLRILVESGLSHYANLFRMKADAARADAFYLLSGIWRTSVERHFHWIGGFRPSELLNIVLPQLQPLDEPQLVDFYNLRQSSQQAEDALTQGMEKLQQNLALTIAGDQISGEIYGSQMATALEKLEALETFVGQTGGSPPAANSAANVSNPNDSPGSSRLACARGILSPAACPQFSLDCPSS >ONI31104 pep chromosome:Prunus_persica_NCBIv2:G1:29073271:29077042:-1 gene:PRUPE_1G292900 transcript:ONI31104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGPSREFSELRSCSGKKTVESCKVKGDVDKVVFIDLEDEDVIDVDYPESLQPQSRGSSTLRKDGKSKFRSFIYIDDDNDDDNYVTPVISVEEVGDLDSDATSSKSSFPASNYRQNSVTSDGDECQVVQEKDSALKRSSCKQTRSGKAPGRNRYGLGFKSESDSSDSDYSDCELIEGSYGKLHEHWEKASQKRKHVVHNGQSGSADQASGSGSHSDTHANVEVENRTAQRDVPVCSSSKNGNYEKENLPDFMGHGEGSSFGPQMDGSVESNQNVPKEDPLIYEWQSSQNDNEEEQSPEEPPLWSKEDPGGKQSERTGTSFMDNVQKFRDETSFSDSLPGSDNDKGKPSVLNDEIFSCRNKHFGEMPDDHDRVELRGKKNNTFHDASSSCNTSLPKECRNGATFEEKDKTVSGQPSDETQMDCGFTPSEDKIGVVHEKSCSQENVMVDFEEVSLCNTSCNGASSDRGGFDSERGKESCDLRDMLHAEDGYASPVQRDAATVEGDIITDREKLKETAEYKRAIEEEMASRQQVLQIQAEEAQRLRKRRKAENMRLLDMQRRQKQRVEEVRETQKKDEENLNLKDQLRAEIRKELKRLELTCIDMASLLRGLGIQVGAGFCPLPHEVHAAYKRALLKFHPDRASRTDVRQQVEAEEKFKLISRMKEKLLLTSCC >ONI31105 pep chromosome:Prunus_persica_NCBIv2:G1:29073271:29077788:-1 gene:PRUPE_1G292900 transcript:ONI31105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGPSREFSELRSCSGKKTVESCKVKGDVDKVVFIDLEDEDVIDVDYPESLQPQSRGSSTLRKDGKSKFRSFIYIDDDNDDDNYVTPVISVEEVGDLDSDATSSKSSFPASNYRQNSVTSDGDECQVVQEKDSALKRSSCKQTRSGKAPGRNRYGLGFKSESDSSDSDYSDCELIEGSYGKLHEHWEKASQKRKHVVHNGQSGSADQASGSGSHSDTHANVEVENRTAQRDVPVCSSSKNGNYEKENLPDFMGHGEGSSFGPQMDGSVESNQNVPKEDPLIYEWQSSQNDNEEEQSPEEPPLWSKEDPGGKQSERTGTSFMDNVQKFRDETSFSDSLPGSDNDKGKPSVLNDEIFSCRNKHFGEMPDDHDRVELRGKKNNTFHDASSSCNTSLPKECRNGATFEEKDKTVSGQPSDETQMDCGFTPSEDKIGVVHEKSCSQENVMVDFEEVSLCNTSCNGASSDRGGFDSERGKESCDLRDMLHAEDGYASPVQRDAATVEGDIITDREKLKETAEYKRAIEEEMASRQQVLQIQAEEAQRLRKRRKAENMRLLDMQRRQKQRVEEVRETQKKDEENLNLKDQLRAEIRKELKRLELTCIDMASLLRGLGIQVGAGFCPLPHEVHAAYKRALLKFHPDRASRTDVRQQVEAEEKFKLISRMKEKLLLTSCC >ONI31107 pep chromosome:Prunus_persica_NCBIv2:G1:29073271:29077861:-1 gene:PRUPE_1G292900 transcript:ONI31107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGPSREFSELRSCSGKKTVESCKVKGDVDKVVFIDLEDEDVIDVDYPESLQPQSRGSSTLRKDGKSKFRSFIYIDDDNDDDNYVTPVISVEEVGDLDSDATSSKSSFPASNYRQNSVTSDGDECQVVQEKDSALKRSSCKQTRSGKAPGRNRYGLGFKSESDSSDSDYSDCELIEGSYGKLHEHWEKASQKRKHVVHNGQSGSADQASGSGSHSDTHANVEVENRTAQRDVPVCSSSKNGNYEKENLPDFMGHGEGSSFGPQMDGSVESNQNVPKEDPLIYEWQSSQNDNEEEQSPEEPPLWSKEDPGGKQSERTGTSFMDNVQKFRDETSFSDSLPGSDNDKGKPSVLNDEIFSCRNKHFGEMPDDHDRVELRGKKNNTFHDASSSCNTSLPKECRNGATFEEKDKTVSGQPSDETQMDCGFTPSEDKIGVVHEKSCSQENVMVDFEEVSLCNTSCNGASSDRGGFDSERGKESCDLRDMLHAEDGYASPVQRDAATVEGDIITDREKLKETAEYKRAIEEEMASRQQVLQIQAEEAQRLRKRRKAENMRLLDMQRRQKQRVEEVRETQKKDEENLNLKDQLRAEIRKELKRLELTCIDMASLLRGLGIQVGAGFCPLPHEVHAAYKRALLKFHPDRASRTDVRQQVEAEEKFKLISRMKEKLLLTSCC >ONI31106 pep chromosome:Prunus_persica_NCBIv2:G1:29073271:29077826:-1 gene:PRUPE_1G292900 transcript:ONI31106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGPSREFSELRSCSGKKTVESCKVKGDVDKVVFIDLEDEDVIDVDYPESLQPQSRGSSTLRKDGKSKFRSFIYIDDDNDDDNYVTPVISVEEVGDLDSDATSSKSSFPASNYRQNSVTSDGDECQVVQEKDSALKRSSCKQTRSGKAPGRNRYGLGFKSESDSSDSDYSDCELIEGSYGKLHEHWEKASQKRKHVVHNGQSGSADQASGSGSHSDTHANVEVENRTAQRDVPVCSSSKNGNYEKENLPDFMGHGEGSSFGPQMDGSVESNQNVPKEDPLIYEWQSSQNDNEEEQSPEEPPLWSKEDPGGKQSERTGTSFMDNVQKFRDETSFSDSLPGSDNDKGKPSVLNDEIFSCRNKHFGEMPDDHDRVELRGKKNNTFHDASSSCNTSLPKECRNGATFEEKDKTVSGQPSDETQMDCGFTPSEDKIGVVHEKSCSQENVMVDFEEVSLCNTSCNGASSDRGGFDSERGKESCDLRDMLHAEDGYASPVQRDAATVEGDIITDREKLKETAEYKRAIEEEMASRQQVLQIQAEEAQRLRKRRKAENMRLLDMQRRQKQRVEEVRETQKKDEENLNLKDQLRAEIRKELKRLELTCIDMASLLRGLGIQVGAGFCPLPHEVHAAYKRALLKFHPDRASRTDVRQQVEAEEKFKLISRMKEKLLLTSCC >ONI31103 pep chromosome:Prunus_persica_NCBIv2:G1:29073271:29077855:-1 gene:PRUPE_1G292900 transcript:ONI31103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGPSREFSELRSCSGKKTVESCKVKGDVDKVVFIDLEDEDVIDVDYPESLQPQSRGSSTLRKDGKSKFRSFIYIDDDNDDDNYVTPVISVEEVGDLDSDATSSKSSFPASNYRQNSVTSDGDECQVVQEKDSALKRSSCKQTRSGKAPGRNRYGLGFKSESDSSDSDYSDCELIEGSYGKLHEHWEKASQKRKHVVHNGQSGSADQASGSGSHSDTHANVEVENRTAQRDVPVCSSSKNGNYEKENLPDFMGHGEGSSFGPQMDGSVESNQNVPKEDPLIYEWQSSQNDNEEEQSPEEPPLWSKEDPGGKQSERTGTSFMDNVQKFRDETSFSDSLPGSDNDKGKPSVLNDEIFSCRNKHFGEMPDDHDRVELRGKKNNTFHDASSSCNTSLPKECRNGATFEEKDKTVSGQPSDETQMDCGFTPSEDKIGVVHEKSCSQENVMVDFEEVSLCNTSCNGASSDRGGFDSERGKESCDLRDMLHAEDGYASPVQRDAATVEGDIITDREKLKETAEYKRAIEEEMASRQQVLQIQAEEAQRLRKRRKAENMRLLDMQRRQKQRVEEVRETQKKDEENLNLKDQLRAEIRKELKRLELTCIDMASLLRGLGIQVGAGFCPLPHEVHAAYKRALLKFHPDRASRTDVRQQVEAEEKFKLISRMKEKLLLTSCC >ONI26578 pep chromosome:Prunus_persica_NCBIv2:G1:2260818:2261840:1 gene:PRUPE_1G032200 transcript:ONI26578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKASEVTMEQKEAKVYKNRRYVSFSKRRSGLFSKGKDFCKKFEAEIGIVVLSGAGRPYWSPDNPSLDAVLDRFMQSDQAPTNAIDFKVRLQKKRKREEPDNEVQGQLAEEVKSCRTVKDMFSLRDKYLEMRIGCLRHKIRNHYMYKPMF >ONI32096 pep chromosome:Prunus_persica_NCBIv2:G1:32528635:32531454:1 gene:PRUPE_1G348200 transcript:ONI32096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKNPRQLQFEADINRLFLYTSYNRLGRNADEADVDEIIDMASKAPVADQQKQVQENIHLQIKSFCMSMDELLLPDVKKINEGIESSEQSNPAPRRSGLSFAIGRNTPSVKHHDVPETRPLERADVSQRLKDLIGYTLDIKPSQIPHKEAGQGLFLNGECDVGAVVAMYPGVIYSPAYYRYIPGYPRVNAQNSYLITRYDGTVINAQPWGFGGETRDFWDGFTVPEIRPNMQGGEKGPDRFWKLLSKPLDQRQLGNRGNILEGRNPLALAHFANHPAKDMAPNVMICPYDFPLTENEMRVYIPNVVFGDAEEVKMKRFGSFWFKLGGSRNGGSDIPVLKTLVLVATRALCDEEVLLNYRLSNSKRRPEWYTPVDEEEDRRRWS >ONI32095 pep chromosome:Prunus_persica_NCBIv2:G1:32527814:32531454:1 gene:PRUPE_1G348200 transcript:ONI32095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFHKFQEFVKTLAKNSMLSKNPRQLQFEADINRLFLYTSYNRLGRNADEADVDEIIDMASKAPVADQQKQVQENIHLQIKSFCMSMDELLLPDVKKINEGIESSEQSNPAPRRSGLSFAIGRNTPSVKHHDVPETRPLERADVSQRLKDLIGYTLDIKPSQIPHKEAGQGLFLNGECDVGAVVAMYPGVIYSPAYYRYIPGYPRVNAQNSYLITRYDGTVINAQPWGFGGETRDFWDGFTVPEIRPNMQGGEKGPDRFWKLLSKPLDQRQLGNRGNILEGRNPLALAHFANHPAKDMAPNVMICPYDFPLTENEMRVYIPNVVFGDAEEVKMKRFGSFWFKLGGSRNGGSDIPVLKTLVLVATRALCDEEVLLNYRLSNSKRRPEWYTPVDEEEDRRRWS >ONI32097 pep chromosome:Prunus_persica_NCBIv2:G1:32527814:32531454:1 gene:PRUPE_1G348200 transcript:ONI32097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAPVADQQKQVQENIHLQIKSFCMSMDELLLPDVKKINEGIESSEQSNPAPRRSGLSFAIGRNTPSVKHHDVPETRPLERADVSQRLKDLIGYTLDIKPSQIPHKEAGQGLFLNGECDVGAVVAMYPGVIYSPAYYRYIPGYPRVNAQNSYLITRYDGTVINAQPWGFGGETRDFWDGFTVPEIRPNMQGGEKGPDRFWKLLSKPLDQRQLGNRGNILEGRNPLALAHFANHPAKDMAPNVMICPYDFPLTENEMRVYIPNVVFGDAEEVKMKRFGSFWFKLGGSRNGGSDIPVLKTLVLVATRALCDEEVLLNYRLSNSKRRPEWYTPVDEEEDRRRWS >ONI33626 pep chromosome:Prunus_persica_NCBIv2:G1:37227209:37227744:1 gene:PRUPE_1G436500 transcript:ONI33626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYVPASPPPEMMVKEAYVVWSRKQVEDLLKSFDMDKDGKLSKQELQAALNKLGSRCVFLRVLRALRHADSNKDGLISIDDHLVNYLFECRYKL >ONI31366 pep chromosome:Prunus_persica_NCBIv2:G1:30049730:30055334:-1 gene:PRUPE_1G308700 transcript:ONI31366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAQDSHRKHRRASSSDDEAEKSSKRHKHRHHHRHHRHRHGSKKREGDIKPAVDDIEAPLAAVLLSSSGANSSRPDEDVEEGEILEEEGVGSHDDGVLKTTAGSDAESGEIEALGVRDQSDNRNLGIFVDNIDNNSKTMREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLVGQDPENKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITGK >ONI31365 pep chromosome:Prunus_persica_NCBIv2:G1:30049269:30055444:-1 gene:PRUPE_1G308700 transcript:ONI31365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAQDSHRKHRRASSSDDEAEKSSKRHKHRHHHRHHRHRHGSKKREGDIKPAVDDIEAPLAAVLLSSSGANSSRPDEDVEEGEILEEEGVGSHDDGVLKTTAGSDAESGEIEALGVRDQSDNRNLGIFVDNIDNNSKTMREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLVGQDPENKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITGK >ONI31363 pep chromosome:Prunus_persica_NCBIv2:G1:30046460:30055444:-1 gene:PRUPE_1G308700 transcript:ONI31363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAQDSHRKHRRASSSDDEAEKSSKRHKHRHHHRHHRHRHGSKKREGDIKPAVDDIEAPLAAVLLSSSGANSSRPDEDVEEGEILEEEGVGSHDDGVLKTTAGSDAESGEIEALGVRDQSDNRNLGIFVDNIDNNSKTMREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLVGQDPENKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITVLAWLKSKGVMFGQEYSLLAGSFSGVYCFY >ONI31371 pep chromosome:Prunus_persica_NCBIv2:G1:30046603:30054800:-1 gene:PRUPE_1G308700 transcript:ONI31371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLVGQDPENKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITGK >ONI31361 pep chromosome:Prunus_persica_NCBIv2:G1:30046603:30055445:-1 gene:PRUPE_1G308700 transcript:ONI31361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAQDSHRKHRRASSSDDEAEKSSKRHKHRHHHRHHRHRHGSKKREGDIKPAVDDIEAPLAAVLLSSSGANSSRPDEDVEEGEILEEEGVGSHDDGVLKTTAGSDAESGEIEALGVRDQSDNRNLGIFVDNIDNNSKTMREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLVGQDPENKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITVLAWLKSKGVMFGQEYSLLAGSFSGVYCFY >ONI31372 pep chromosome:Prunus_persica_NCBIv2:G1:30049730:30054355:-1 gene:PRUPE_1G308700 transcript:ONI31372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLVGQDPENKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITGK >ONI31370 pep chromosome:Prunus_persica_NCBIv2:G1:30049730:30054355:-1 gene:PRUPE_1G308700 transcript:ONI31370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLVGQDPENKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITGK >ONI31362 pep chromosome:Prunus_persica_NCBIv2:G1:30046603:30055444:-1 gene:PRUPE_1G308700 transcript:ONI31362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAQDSHRKHRRASSSDDEAEKSSKRHKHRHHHRHHRHRHGSKKREGDIKPAVDDIEAPLAAVLLSSSGANSSRPDEDVEEGEILEEEGVGSHDDGVLKTTAGSDAESGEIEALGVRDQSDNRNLGIFVDNIDNNSKTMREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLVGQDPENKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITVLAWLKSKGVMFGQEYSLLAGSFSGVYCFY >ONI31369 pep chromosome:Prunus_persica_NCBIv2:G1:30049730:30054355:-1 gene:PRUPE_1G308700 transcript:ONI31369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLVGQDPENKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITGK >ONI31367 pep chromosome:Prunus_persica_NCBIv2:G1:30049730:30055334:-1 gene:PRUPE_1G308700 transcript:ONI31367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAQDSHRKHRRASSSDDEAEKSSKRHKHRHHHRHHRHRHGSKKREGDIKPAVDDIEAPLAAVLLSSSGANSSRPDEDVEEGEILEEEGVGSHDDGVLKTTAGSDAESGEIEALGVRDQSDNRNLGIFVDNIDNNSKTMREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLVGQDPENKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITGK >ONI31368 pep chromosome:Prunus_persica_NCBIv2:G1:30046603:30055444:-1 gene:PRUPE_1G308700 transcript:ONI31368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAQDSHRKHRRASSSDDEAEKSSKRHKHRHHHRHHRHRHGSKKREGDIKPAVDDIEAPLAAVLLSSSGANSSRPDEDVEEGEILEEEGVGSHDDGVLKTTAGSDAESGEIEALGVRDQSDNRNLGIFVDNIDNNSKTMREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLVGQDPENKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITGK >ONI31364 pep chromosome:Prunus_persica_NCBIv2:G1:30046603:30055444:-1 gene:PRUPE_1G308700 transcript:ONI31364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAQDSHRKHRRASSSDDEAEKSSKRHKHRHHHRHHRHRHGSKKREGDIKPAVDDIEAPLAAVLLSSSGANSSRPDEDVEEGEILEEEGVGSHDDGVLKTTAGSDAESGEIEALGVRDQSDNRNLGIFVDNIDNNSKTMREHCSDDDTRIHVGGKSRDETGLPRHSSIEPQDDLASRLGIEDHANGNSHRFHKEEKRRHRESGLPSKESDKRKHYYDDEKVDDDRSKVANRKKPSSGSSGDKHKTLVRSPSRDRCGDDLYGRSRSRSYDHVRERSCSRSRVEEEALSKRRRYHERDTAVSVEKSKATFDSGDEGMVRRHDSDDLARDDEREQSISYSRYSVSDRSRERERSREREVDRIQRREKEQERSRNRDRRRDGERERSSDRDLVRDRKRGIGRDDSRERRREERHRSRDTENRDRKTEEENDRSRDRIKADRDRDMERDRDRDRVTDRKWERRDDRDRDKGRDMEREKYENLEDSYGNRDRNKHSGRSRHDEKEYNQDRTRKTDPAKVQSSNSYAAEGIEDRPKRGEDEQEDNEERATFQFAEQEEEDLNRIKEESRRRRQAILEKYRNQQSQQTNELQKTESQLQQRIEPQPLLEGVEKDKDPQDHATESAGVAKSGAETDDGRSDEDVSLAVFSISPQQNGDVASRMTSGATGLGEGTPKSERSDVIFCDDIFGETPVGVRKLGKGDGVRIERSGLHDNWDDAEGYYSYRFGELLDARYEITAAHGKGVFSTVVRAKNLKVGNGEPDEVAIKIIRSNDTMYKAGMTELAILKKLKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEVTPYLVSRFYRAPEIILGLSYDHPLDIWSVGCCLYELSTGKVLFPGATNNDMLRLHMELKGPFPKKMLRKGAFTHQHFDQDLNFHATEDDPVTKKTIKRVILNIKPKDIGSIITGSSGEDPKMLADFKDLLDKMFVLDPDKRLTVSQALSHPFITGK >ONI29613 pep chromosome:Prunus_persica_NCBIv2:G1:20667057:20668689:1 gene:PRUPE_1G205500 transcript:ONI29613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFGQWNVPLLKDIFWDQEVDAILQIPLASLAGHDCLIWHYERNGMYSVKSGYRLACLEKDKMSGEPSVRVDLNSKRNNFIFEGKSETATQLLHRMTKLAQEFFDANNLSHTIHGRQSSPQAPLHGWRPPPAETRTGSSWRHVLGELAMQTELMAAIEGLHFAIDMGFTDAILEMDAQDCINSILSTEECNGIDGLLIEEVNYLLHNFRAVVCQWTPRCGNKVAHTLAQFAFHCNEFVTWIEEAPRWLLLVLEADVLSLEC >ONI30973 pep chromosome:Prunus_persica_NCBIv2:G1:28642642:28644656:1 gene:PRUPE_1G285400 transcript:ONI30973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHCALCGIVWDKKEIIRILLTMNGRGRDRRIRDRICALTNELISGILSFLPIKDAVATSTLSLKMAQLPDIEIDDYSLRDIDHDQCHTIVGKILSPQMPPPLELSKVKMKFYDHTYPADITTLIRASLKRIVKELDLSICPDDEGNSEEVNFQLPMEVFTNQHLQVLKLSKVKVVVPPDSRHLSRFCMWYISYYCDKSLQDFYSFCPVLEDLTLEGELNEYPDEEVCCLSFLVLRCELWPVGRDGLFSVIKWELLRWRACLLHKYVGKQG >ONI28739 pep chromosome:Prunus_persica_NCBIv2:G1:12573279:12576730:1 gene:PRUPE_1G158700 transcript:ONI28739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLQKIEGDESVLLRVTHSNLKTFSADIRFSLQSSVESVKDKLWRKCGTSVDSMSLHLYDEANTQVSILDDNSKPLGFYSPHDGYRLHVIDLDPSSVTSGGWLEDTSLVEKYTISQEAYEKRDGTYRKFKDKLASQNPSTFENKIPENYMEDLRVNIKVGDRCEVQPGDKRGVVKYVGRAESLAPGFWIGVQYDEPLGKHDGMVKGTRYFNCPPLHGGMVRPDKVKVGDYPERDPFEEDEI >ONI28741 pep chromosome:Prunus_persica_NCBIv2:G1:12573351:12574395:1 gene:PRUPE_1G158700 transcript:ONI28741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLQKIEGDESVLLRVTHSNLKTFSADIRFSLQSSVESVKDKLWRKCGTSVDSMSLHLYDEANTQVSILDDNSKPLGFYSPHDGYRLHVIDLDPSSVTSGGWLEDTSLVEKYTISQEAYEKRDGTYRKFKDKLASQNPSTFENKIPENYMEDLRVNIKVCFLFPFSYLFCFSISSSWVTSINHKAQSVMLNSFTVVYVYY >ONI28740 pep chromosome:Prunus_persica_NCBIv2:G1:12573280:12576730:1 gene:PRUPE_1G158700 transcript:ONI28740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLQKIEGDESVLLRVTHSNLKTFSADIRFSLQSSVESVKDKLWRKCGTSVDSMSLHLYDEANTQVSILDDNSKPLGFYSPHDGYRLHVIDLDPSSVTSGGWLEDTSLVEKYTISQEAYEKRDGTYRKFKDKLASQNPSTFENKIPENYMEDLRVNIKVGDRCEVQPGDKRGVVKYVGRAESLAPGFWIGVQYDEPLGKHDGIPVSCILFG >ONI34999 pep chromosome:Prunus_persica_NCBIv2:G1:41973760:41982000:1 gene:PRUPE_1G509300 transcript:ONI34999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEQEIECGGASMKLVSGFLKQKQFEEDIQCRLINGDTPTKGRKRSQSSLGLPTSSQLKRSKRSSPAERRPSSIDDLPDTALVEILCRLPSSKFVFQCRCVSKCWRTLISDPYFIGRFVHIQGYRKTPKICTLISPKGEEFPPKMPWSSKLLNPVFKRIMSFHSLTKEPVVVATYNDLVLCCATEDYQHNYYICNAYTMQWVALPPTPGRCHDRVLVGFICNIPYYNSKKEDWKGHNVQLNTECMCNVVRILPPDESANDDECDSLKLSVEIFSSETGEWRESVVASPRYFDFDDLEDISFAYNGMLYWTSDEELLFVGLGPFNDNNTTSSSSGNGDGIIDHKLHFTVFEEPLNGRFVVEYLGMFGGCVWMCDFKAATNTLYVWELKEQDHDRMVEGAAGRLCLTNHRVYHLDPKMYLDGPFTCEMQAFDPNNKDILYLRVDGDIIKCNIHTKKWSRIVRRCPVGNGDYWPVELPWWPTPVPRLPQHAHGGGTSS >ONI34996 pep chromosome:Prunus_persica_NCBIv2:G1:41973760:41982000:1 gene:PRUPE_1G509300 transcript:ONI34996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEQEIECGGASMKLVSGFLKQKQFEEDIQCRLINGDTPTKGRKRSQSSLGLPTSSQLKRSKRSSPAERRPSSIDDLPDTALVEILCRLPSSKFVFQCRCVSKCWRTLISDPYFIGRFVHIQGYRKTPKICTLISPKGEEFPPKMPWSSKLLNPVFKRIMSFHSLTKEPVVVATYNDLVLCCATEDYQHNYYICNAYTMQWVALPPTPGRCHDRVLVGFICNIPYYNSKKEDWKGHNVQLNTECMCNVVRILPPDESANDDECDSLKLSVEIFSSETGEWRESVVASPRYFDFDDLEDISFAYNGMLYWTSDEELLFVGLGPFNDNNTTSSSSGNGDGIIDHKLHFTVFEEPLNGRFVVEYLGMFGGCVWMCDFKAATNTLYVWELKEQDHDRMVEGAAGRLCLTNHRVYHLDPKMYLDGPFTCEMQAFDPNNKDILYLRVDGDIIKCNIHTKKWSRIVRRCPVGNGDYWPVELPWWPTPVPRLPQHAHGGGTSS >ONI35000 pep chromosome:Prunus_persica_NCBIv2:G1:41973760:41982000:1 gene:PRUPE_1G509300 transcript:ONI35000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEQEIECGGASMKLVSGFLKQKQFEEDIQCRLINGDTPTKGRKRSQSSLGLPTSSQLKRSKRSSPAERRPSSIDDLPDTALVEILCRLPSSKFVFQCRCVSKCWRTLISDPYFIGRFVHIQGYRKTPKICTLISPKGEEFPPKMPWSSKLLNPVFKRIMSFHSLTKEPVVVATYNDLVLCCATEDYQHNYYICNAYTMQWVALPPTPGRCHDRVLVGFICNIPYYNSKKEDWKGHNVQLNTECMCNVVRILPPDESANDDECDSLKLSVEIFSSETGEWRESVVASPRYFDFDDLEDISFAYNGMLYWTSDEELLFVGLGPFNDNNTTSSSSGNGDGIIDHKLHFTVFEEPLNGRFVVEYLGMFGGCVWMCDFKAATNTLYVWELKEQDHDRMVEGAAGRLCLTNHRVYHLDPKMYLDGPFTCEMQAFDPNNKDILYLRVDGDIIKCNIHTKKWSRIVRRCPVGNGDYWPVELPWWPTPVPRLPQHAHGGGTSS >ONI34997 pep chromosome:Prunus_persica_NCBIv2:G1:41973760:41982000:1 gene:PRUPE_1G509300 transcript:ONI34997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEQEIECGGASMKLVSGFLKQKQFEEDIQCRLINGDTPTKGRKRSQSSLGLPTSSQLKRSKRSSPAERRPSSIDDLPDTALVEILCRLPSSKFVFQCRCVSKCWRTLISDPYFIGRFVHIQGYRKTPKICTLISPKGEEFPPKMPWSSKLLNPVFKRIMSFHSLTKEPVVVATYNDLVLCCATEDYQHNYYICNAYTMQWVALPPTPGRCHDRVLVGFICNIPYYNSKKEDWKGHNVQLNTECMCNVVRILPPDESANDDECDSLKLSVEIFSSETGEWRESVVASPRYFDFDDLEDISFAYNGMLYWTSDEELLFVGLGPFNDNNTTSSSSGNGDGIIDHKLHFTVFEEPLNGRFVVEYLGMFGGCVWMCDFKAATNTLYVWELKEQDHDRMVEGAAGRLCLTNHRVYHLDPKMYLDGPFTCEMQAFDPNNKDILYLRVDGDIIKCNIHTKKWSRIVRRCPVGNGDYWPVELPWWPTPVPRLPQHAHGGGTSS >ONI34995 pep chromosome:Prunus_persica_NCBIv2:G1:41975293:41982000:1 gene:PRUPE_1G509300 transcript:ONI34995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEQEIECGGASMKLVSGFLKQKQFEEDIQCRLINGDTPTKGRKRSQSSLGLPTSSQLKRSKRSSPAERRPSSIDDLPDTALVEILCRLPSSKFVFQCRCVSKCWRTLISDPYFIGRFVHIQGYRKTPKICTLISPKGEEFPPKMPWSSKLLNPVFKRIMSFHSLTKEPVVVATYNDLVLCCATEDYQHNYYICNAYTMQWVALPPTPGRCHDRVLVGFICNIPYYNSKKEDWKGHNVQLNTECMCNVVRILPPDESANDDECDSLKLSVEIFSSETGEWRESVVASPRYFDFDDLEDISFAYNGMLYWTSDEELLFVGLGPFNDNNTTSSSSGNGDGIIDHKLHFTVFEEPLNGRFVVEYLGMFGGCVWMCDFKAATNTLYVWELKEQDHDRMVEGAAGRLCLTNHRVYHLDPKMYLDGPFTCEMQAFDPNNKDILYLRVDGDIIKCNIHTKKWSRIVRRCPVGNGDYWPVELPWWPTPVPRLPQHAHGGGTSS >ONI34998 pep chromosome:Prunus_persica_NCBIv2:G1:41973760:41982000:1 gene:PRUPE_1G509300 transcript:ONI34998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEQEIECGGASMKLVSGFLKQKQFEEDIQCRLINGDTPTKGRKRSQSSLGLPTSSQLKRSKRSSPAERRPSSIDDLPDTALVEILCRLPSSKFVFQCRCVSKCWRTLISDPYFIGRFVHIQGYRKTPKICTLISPKGEEFPPKMPWSSKLLNPVFKRIMSFHSLTKEPVVVATYNDLVLCCATEDYQHNYYICNAYTMQWVALPPTPGRCHDRVLVGFICNIPYYNSKKEDWKGHNVQLNTECMCNVVRILPPDESANDDECDSLKLSVEIFSSETGEWRESVVASPRYFDFDDLEDISFAYNGMLYWTSDEELLFVGLGPFNDNNTTSSSSGNGDGIIDHKLHFTVFEEPLNGRFVVEYLGMFGGCVWMCDFKAATNTLYVWELKEQDHDRMVEGAAGRLCLTNHRVYHLDPKMYLDGPFTCEMQAFDPNNKDILYLRVDGDIIKCNIHTKKWSRIVRRCPVGNGDYWPVELPWWPTPVPRLPQHAHGGGTSS >ONI28106 pep chromosome:Prunus_persica_NCBIv2:G1:9657091:9660011:1 gene:PRUPE_1G123200 transcript:ONI28106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCNRGEDYFSAQDHEASTVVQKYGGLAPKKKPLISKDHERAFFDSADWALCKQGAGVNPKSTAAVETLRPKLQRTPHQQLPPRRPACTSDHDI >ONI31102 pep chromosome:Prunus_persica_NCBIv2:G1:29070547:29072936:1 gene:PRUPE_1G292800 transcript:ONI31102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLGDSMFTVSSCVCVFLVILLALIRIFRKVWWVPNRIQKFMGLQGIHGPAYRLVHGSTKEIMSMKKEAMSRPRNMSHDIFPTVQPHTHQWTKIYGKNFLQWQGTQPQLLIAEPELSKEILNNKDRLFRKQKSQGYVKKLFGESISMAEGEKWVKLRKLANHAFHGESLKGMVPDMITSTETMLQRWKNHEGKEIEVFEEFRLLTSEVISRSAFGSSYLEGKRIFDMLMELTSVIFQNALRPRFPGISMFYKTSAEIRADKLEKGIRDTIAEIVKKRENKAMKGEADEFGSDFLGVLLKAHHDTNENQRISFDDIVDECKTFYFAGQETTNALLAWTVFLLALHPDWQEEARKEVLQLFGQQTPTLDGISKLKTMSMIINESLRLYPPVVSLNRQVEKNVKLGGLVIPANAELHVPNLAFHHDPKFWGEDVNLFKPERFSEGVAKATKSNTVAFLPFGLGPRTCVGMNFANAEVKIALAMILQRYSFTLSPGYVHSPIQYMTVRPHRGVQVILHSL >ONI34195 pep chromosome:Prunus_persica_NCBIv2:G1:38964976:38966490:1 gene:PRUPE_1G467800 transcript:ONI34195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALAESYKDTLLEPQHIPLDYGSVHTLPDSHVWLDESDESPSGVHSTGPPVIDLADPDSARLIIQACETWGVFQLIGHGIPTKLMEDVQSEAEKLFDLPVDQKMKALRSPGGSQSGYGLPPISPFFEKLMWHEGYHIMGSPIDHAKLLWPNDYQGFCDTMVHYRSQLKALTEQLIRIIFKSLNINPDEVAWLKESQGLSIGLQLNCYPPCPDPTRAMGIAPHTDTSLITVLQQSKVSGLQVFKEGAGWVLVQPVPGAITVNLGDFFHILSNGVFTTVRHRVVATRIRRLSFAYFYAPPGDFIVSPVLSKDFGEVPRYQTLSVKEYVGIKGKHFEKALSVIQN >ONI28584 pep chromosome:Prunus_persica_NCBIv2:G1:11757871:11758439:-1 gene:PRUPE_1G149800 transcript:ONI28584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVECFKNPPALGSTSGAGTLLELGGLKTYFTGPSESKHAILLGSDVFGYENLNLRYGSLLPNYVRGQWFYFINQ >ONI34776 pep chromosome:Prunus_persica_NCBIv2:G1:41298106:41298330:-1 gene:PRUPE_1G498500 transcript:ONI34776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSSYPIKKILKGLKGEVNKRSTGHMGSGSGVGCFPSSLAASILKSGCSTSTKKPKLSSRVHIMGSGPDELD >ONI32837 pep chromosome:Prunus_persica_NCBIv2:G1:34778778:34782860:1 gene:PRUPE_1G389400 transcript:ONI32837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETGHHHQQQPPPVLVAPPRSQMPLGAARGPIWTPTEQLHQLQFCIHSNPSWPETFLLAFQHYIVMLGSIVIIASTFVPRMGGDHGDKARVIQTMLFMAAINTLLQTILGTRLPTVMTASFAFTLPVLSIINDYSDRTFRSEHERFEVTMRTIQGSLIVSSFVNIFIGFSKAWGNFTRFFSPIVIVPVVCVVGLGLFARGFPLLGNCVEIGLPMLILLVISQQYLKRVFPRAHNILERFALLLCIGLIWAFAAILTESGAYNNAKEQTKQSCRTDRTFLISSAPWVRIPYPFQWGKPIFRASHVFGMMGAALVTSAESTGTYFAAARLAGATPPPASVISQSIGLQGVGMLLEGIFGAAVGTTASVENVGLLGLTHIGSRRVVQISTAFMFFFSIFGKFGAFFASIPLPIFAAIYCVLFGIVAAVGITFIQFTNNNSLRNIYVLGLSLFLGISIPQYFISNTTPNGVGPVRTDGIWFDDIVNTIFSSSPTVAIIVGTLLDNTLDAKYSVDDRGLAWWRPFQSRKGDSRNEEFYSLPVRIREYIPSRYL >ONI34011 pep chromosome:Prunus_persica_NCBIv2:G1:38430573:38440757:-1 gene:PRUPE_1G459000 transcript:ONI34011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDLLAAGRKKLQQYRQKKDSKGSGSHGKSSKKSGQSDQNEADADAVSSATKYTALPQAPEGETESPVDANLNINSSGSHSGEKSTASETAAGPSVMPITHETRVVETPIDQNAESPSQEVEVTKHDVEFSVRSEGENTGTADAEVARVISSDTLHVVDSGGQAKDANMSIPVDVSAQPASVDVAAGMRVTVDTESLSREEESLPSQDNINTVLMLQREDQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34021 pep chromosome:Prunus_persica_NCBIv2:G1:38430573:38440757:-1 gene:PRUPE_1G459000 transcript:ONI34021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDLLAAGRKKLQQYRQKKDSKGSGSHGKSSKKSGQSDQNEADADAVSSATKYTALPQAPEGETESPVDANLNINSSGSHSGEKSTASETAAGPSVMPITHETRVVETPIDQNAESPSQEVEVTKHDVEFSVRSEGENTGTADAEVARVISSDTLHVVDSGGQAKDANMSIPVDVSAQPASVDVAAGMRVTVDTESLSREEESLPSQDNINTVLMLQREDQVTDVGAMQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSGEAPSVDEQIGKVDEASDGILVFASDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34012 pep chromosome:Prunus_persica_NCBIv2:G1:38430573:38440757:-1 gene:PRUPE_1G459000 transcript:ONI34012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDLLAAGRKKLQQYRQKKDSKGSGSHGKSSKKSGQSDQNEADADAVSSATKYTALPQAPEGETESPVDANLNINSSGSHSGEKSTASETAAGPSVMPITHETRVVETPIDQNAESPSQEVEVTKHDVEFSVRSEGENTGTADAEVARVISSDTLHVVDSGGQAKDANMSIPVDVSAQPASVDVAAGMRVTVDTESLSREEESLPSQDNINTVLMLQREDQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34015 pep chromosome:Prunus_persica_NCBIv2:G1:38429955:38441442:-1 gene:PRUPE_1G459000 transcript:ONI34015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDLLAAGRKKLQQYRQKKDSKGSGSHGKSSKKSGQSDQNEADADAVSSATKYTALPQAPEGETESPVDANLNINSSGSHSGEKSTASETAAGPSVMPITHETRVVETPIDQNAESPSQEVEVTKHDVEFSVRSEGENTGTADAEVARVISSDTLHVVDSGGQAKDANMSIPVDVSAQPASVDVAAGMRVTVDTESLSREEESLPSQDNINTVLMLQREDQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSGEAPSVDEQIGKVDEASDGILVFASDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34019 pep chromosome:Prunus_persica_NCBIv2:G1:38430573:38440757:-1 gene:PRUPE_1G459000 transcript:ONI34019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDLLAAGRKKLQQYRQKKDSKGSGSHGKSSKKSGQSDQNEADADAVSSATKYTALPQAPEGETESPVDANLNINSSGSHSGEKSTASETAAGPSVMPITHETRVVETPIDQNAESPSQEVEVTKHDVEFSVRSEGENTGTADAEVARVISSDTLHVVDSGGQAKDANMSIPVDVSAQPASVDVAAGMRVTVDTESLSREEESLPSQDNINTVLMLQREDQVTDVGAMQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34016 pep chromosome:Prunus_persica_NCBIv2:G1:38429955:38441443:-1 gene:PRUPE_1G459000 transcript:ONI34016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDLLAAGRKKLQQYRQKKDSKGSGSHGKSSKKSGQSDQNEADADAVSSATKYTALPQAPEGETESPVDANLNINSSGSHSGEKSTASETAAGPSVMPITHETRVVETPIDQNAESPSQEVEVTKHDVEFSVRSEGENTGTADAEVARVISSDTLHVVDSGGQAKDANMSIPVDVSAQPASVDVAAGMRVTVDTESLSREEESLPSQDNINTVLMLQREDQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSGEAPSVDEQIGKVDEASDGILVFASDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34017 pep chromosome:Prunus_persica_NCBIv2:G1:38429955:38441437:-1 gene:PRUPE_1G459000 transcript:ONI34017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDLLAAGRKKLQQYRQKKDSKGSGSHGKSSKKSGQSDQNEADADAVSSATKYTALPQAPEGETESPVDANLNINSSGSHSGEKSTASETAAGPSVMPITHETRVVETPIDQNAESPSQEVEVTKHDVEFSVRSEGENTGTADAEVARVISSDTLHVVDSGGQAKDANMSIPVDVSAQPASVDVAAGMRVTVDTESLSREEESLPSQDNINTVLMLQREDQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSGEAPSVDEQIGKVDEASDGILVFASDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34023 pep chromosome:Prunus_persica_NCBIv2:G1:38429955:38439779:-1 gene:PRUPE_1G459000 transcript:ONI34023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSGEAPSVDEQIGKVDEASDGILVFASDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34020 pep chromosome:Prunus_persica_NCBIv2:G1:38429955:38441435:-1 gene:PRUPE_1G459000 transcript:ONI34020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDLLAAGRKKLQQYRQKKDSKGSGSHGKSSKKSGQSDQNEADADAVSSATKYTALPQAPEGETESPVDANLNINSSGSHSGEKSTASETAAGPSVMPITHETRVVETPIDQNAESPSQEVEVTKHDVEFSVRSEGENTGTADAEVARVISSDTLHVVDSGGQAKDANMSIPVDVSAQPASVDVAAGMRVTVDTESLSREEESLPSQDNINTVLMLQREDQVTDVGAMQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSGEAPSVDEQIGKVDEASDGILVFASDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34022 pep chromosome:Prunus_persica_NCBIv2:G1:38430573:38439643:-1 gene:PRUPE_1G459000 transcript:ONI34022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSGEAPSVDEQIGKVDEASDGILVFASDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34013 pep chromosome:Prunus_persica_NCBIv2:G1:38429955:38441435:-1 gene:PRUPE_1G459000 transcript:ONI34013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDLLAAGRKKLQQYRQKKDSKGSGSHGKSSKKSGQSDQNEADADAVSSATKYTALPQAPEGETESPVDANLNINSSGSHSGEKSTASETAAGPSVMPITHETRVVETPIDQNAESPSQEVEVTKHDVEFSVRSEGENTGTADAEVARVISSDTLHVVDSGGQAKDANMSIPVDVSAQPASVDVAAGMRVTVDTESLSREEESLPSQDNINTVLMLQREDQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34014 pep chromosome:Prunus_persica_NCBIv2:G1:38429955:38441437:-1 gene:PRUPE_1G459000 transcript:ONI34014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDLLAAGRKKLQQYRQKKDSKGSGSHGKSSKKSGQSDQNEADADAVSSATKYTALPQAPEGETESPVDANLNINSSGSHSGEKSTASETAAGPSVMPITHETRVVETPIDQNAESPSQEVEVTKHDVEFSVRSEGENTGTADAEVARVISSDTLHVVDSGGQAKDANMSIPVDVSAQPASVDVAAGMRVTVDTESLSREEESLPSQDNINTVLMLQREDQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI34018 pep chromosome:Prunus_persica_NCBIv2:G1:38430573:38440757:-1 gene:PRUPE_1G459000 transcript:ONI34018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNKSRTDLLAAGRKKLQQYRQKKDSKGSGSHGKSSKKSGQSDQNEADADAVSSATKYTALPQAPEGETESPVDANLNINSSGSHSGEKSTASETAAGPSVMPITHETRVVETPIDQNAESPSQEVEVTKHDVEFSVRSEGENTGTADAEVARVISSDTLHVVDSGGQAKDANMSIPVDVSAQPASVDVAAGMRVTVDTESLSREEESLPSQDNINTVLMLQREDQVTDVGAMQEADGLDAKKYNHRHEAEVELKGDNMLSSSELDRSVESFSDTKQSDPSREAEVKLEGDNMFSSSEFDRSVESFSGEAPSVDEQTGKVDEASDGMLVFASDTKQSDPSREAEVKLEGDKILSLSEIDRTAEALTNLASSVDGQTGKADEASVPAGATMSDGLTVSASALPKADGSLLVSAVVPTEQKREDVPGSYFEEKSEVQFGSGSEQGGEERRDVAEDFHQKHLLDGCDRPPQINVVSPDKGWTASPVADVSSISLSQLTEVIRRLNEEEFRILLKSIESVSNSFPGTTNSIGPEYGFPESFERLKEELILTNFTKDIFHLQFAQQSEMQVEFDCQRNQLLDETSLLRASLNEVREKNQYLAEELAECRCELQHVASGKEELQNQFQTVKAEAEEFSARAIELHSSLERSQQDMSRLSEELADCKSLVAALQVENEKLHGTFASMDEDRKKLVEQNDLHLHEKEKLSADLVDCKSFMADLQGQISNLSGSLGSVTEERKKLEEEKEHLSSENEKLAIELADSKNLVLALQVENGNLNVSLGLVTVERKKLEEEKEFSAHEIERLSSELLVLQERLSAEHGEHMRVVIDLKETTTRLEQLTEENIFLTSSLDILKAKMREIDEDGIKIPAQAGEAENQVELSEVQSRGHETATESENFHQVPGKEDSEVSFIMVEKPFSDGCVGGSPFLNLGCEIFDDSFGFVALKGRLEEANKMLNKLVPEIEGICSHSESLNRSDGKVSAPPVSKLIQAFESKAHLEELDVEERGLTNNQSPADSIASVREQTGNLRALFEQLHLDAANASVLLKEEREGRKTANAAFGELKDQYEALEEHSKKLEATNIELGVLYEALEQHRGSIETRNSELVVLCESLQLQVTNLEAENVEVGRKLHGYESRISQLQSRLHDLHTSSNDMVSQISDQLENFHKEAAERVLILEQHWNSTIAPVVEAIGKLDESLESSTTTPVSHDCLDTISHFVSSVYDAVSVIEDLKGKLQSSQMDREAICTLYKEVNEKCDDLHGKNELASDTLCKLYDSLQKLIRVLHGSIDESEMNLENEKLPDPLDYSNFVTIIEQLENFLSERLQLQSVNKKINSELLDRTEEIEELKQRCLDASSIQKLIKDVEGVLKVEHPEVHVDKMPASRLESLVSCLVRKYEEADVQVGLSQEGFQSKAMELTSMQEEIQHLNALCFQRESETIVVKESLRHAEDALLVARSELQEKLNELEQSEQRVSSLREKLSIAVSKGKGLIVQRDGLKQSLTEKSSELERFLQELQLKDSRLVEVETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPENFHSRDIIEKIDWLARSATGNTFPLTDSDQKSSAGGGSYSDAGFVVMDSWKDDVQPNSDSSDDIKRKYDELQSKFYGLAEQNEMLEQSLMERNNLVQRWEELLDRFDMPPHLRSMEPEDRIEWLRKALSEAEGDNISLQQKVVNLENYCVSLTADLEDSKRRISDLEEELRTFIDERNNLSQRWEVLINDHDKISAKAGELELENEKLQVEVTDLQENIAKMRGNEEQIFSIEGDIRRLQGLVTDALQVPGLKLEYSGESSIECFEGLLNKLLENYATLSFEKPVFGSAADGTHTEIAEATFDQARSVSTPDTAESDIAVLKKELEEVQREILAVKEERDGYLENQGSLACEVEALDKKVSELQALLNQEEQKSVSVRDKLNIAVRKGKQLVQQRDSLKQNLDEINSEVERLRSEIKIGEGKLAEYEEKFKDFSAYPRRVEALESEILFLRNCLKESEHNLQEKGNTLSLILNVLGNIDVGDDANSGDPVLKLEHIWKVCRDLRVDMASSEQEARKSKRAAELLLAELNEVQERNDGLQEELAKSASELATLSKERDLTEAAKLDALSRLEKLSTAHSEFAGLKSGVDQLRKGFHDVSNLLAGVFHQDMAFLHNLESGIDSCLKSSSAADVVDGPLFTTTGGFIMSKSDKENFTLMNSWSDSNMHGRSDDNFIVEIFTYVRHYLQELMVEVGALKEKLDEHSVSLHEKTNNVSKLIAIVRGELTSKNESVDSLKRDLLHMERVEKEKDKELLLLRRNVGLLFEACTSSVMEMGRRKTELAGNGWAAGDQGMRLKSAEFPVDGLSFGGEEQFHSEECVRTMTDGLLSTVNDFGSLTAEIVEGNQKELKITISKLQKELQEKDIQKERICMELVSQIKGAEAAATSYSMDLQSSKTLVHDLEKQVEVIKGERNLLEQRVKKLEDGRATCTDLQERVRSLTDVIAAKDQEIEDLMQALDDEEVQMQGLTFKIKELEKVVEQKNLDLENLEASRGKVMKKLSVTVSKFDELHHLSANLLAEVEKLQSQLQDRDAEISFLRQEVTRCTNDVLVASQTSNKRNSDEILELLTWFDMNIARVVVHNAYLREKNNDNDSEHKEIFKKKIDCIISELEDLQAVAQSKDTLLQVERSKVEELTRKGESLEKSLHEKESQLNLLEGVGDSGRGTSMTSEIIEVEPAKNNWAVSGSSIAPQVRSLRKGNSDQVAIAIDMDSEKTSRLDDEEDDKVHGFKSLTTSRIVPRFTRPVTDMVDGLWVSCERTLMRQPALRLGIILYWFILHALVATFAI >ONI26773 pep chromosome:Prunus_persica_NCBIv2:G1:3189339:3194946:1 gene:PRUPE_1G044600 transcript:ONI26773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKKWMNKRRFSIKGRLHKMLKCMRSGEQLRVDEIAHSSDSLATRDYSASGGYSGRAGEIETKVDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNTEAALHVFEGIDIAAVIPKMKVSISRRCEPNRRRSQSDAVPPMSMHAVSLLLEAIFLKAKSLQGLGRFGEAAQSCKLILDTVESALPEGLPENFASDCKLQETVGKAVELLPELWILAGAPQEAILSYRQALLYHWNLDIEVTSKIEKEFAVFLLYSGCDANPPNLRSQMESSFVPRNNIEEAVLLLLILLRKFVAGRIEWDPSILDHLSFALSISGKIRALAHQIEELIPGIMGRQERYCTLALCYYGEGDDLVALNLLRNVLNNRENTDCILELLLASKICSENLVCIDDGTKYAYKALGRLDGKCSQMLSIANCLLGVSLSVKSRSVASDSERILKQSEALQALQSAEKIMRERSPYVVFHLCLEHADQRKLDFALYYAKQLLKLEAGSSTKAYTLLARILSAQKRFIDAETVINAALDQTGKWDQGELLRTKAKLHIAQGQLKNAIETYTHLLAVLQVRTKNLGAEKRLLKSRSEINRSLEMETWHDLANLYTSLSQWRDAEVCLSKSQAINPHSASRWHSTGLLYEAKGLHQEALESFRKALDVEPTHVPSLISTACILRQFGGQSLPVVRSFLMDALRLDRGNPSAWYNLGLLYKADAGASALETAECFEAAAFLEEHAPIEPFR >ONI26772 pep chromosome:Prunus_persica_NCBIv2:G1:3189491:3194946:1 gene:PRUPE_1G044600 transcript:ONI26772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKKWMNKRRFSIKGRLHKMLKCMRSGEQLRVDEIAHSSDSLATRDYSASGGYSGRAGEIETKVDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNTEAALHVFEGIDIAAVIPKMKVSISRRCEPNRRRSQSDAVPPMSMHAVSLLLEAIFLKAKSLQGLGRFGEAAQSCKLILDTVESALPEGLPENFASDCKLQETVGKAVELLPELWILAGAPQEAILSYRQALLYHWNLDIEVTSKIEKEFAVFLLYSGCDANPPNLRSQMESSFVPRNNIEEAVLLLLILLRKFVAGRIEWDPSILDHLSFALSISGKIRALAHQIEELIPGIMGRQERYCTLALCYYGEGDDLVALNLLRNVLNNRENTDCILELLLASKICSENLVCIDDGTKYAYKALGRLDGKCSQMLSIANCLLGVSLSVKSRSVASDSERILKQSEALQALQSAEKIMRERSPYVVFHLCLEHADQRKLDFALYYAKQLLKLEAGSSTKAYTLLARILSAQKRFIDAETVINAALDQTGKWDQGELLRTKAKLHIAQGQLKNAIETYTHLLAVLQVRTKNLGAEKRLLKSRSEINRSLEMETWHDLANLYTSLSQWRDAEVCLSKSQAINPHSASRWHSTGLLYEAKGLHQEALESFRKALDVEPTHVPSLISTACILRQFGGQSLPVVRSFLMDALRLDRGNPSAWYNLGLLYKADAGASALETAECFEAAAFLEEHAPIEPFR >ONI34077 pep chromosome:Prunus_persica_NCBIv2:G1:38556841:38563386:-1 gene:PRUPE_1G461200 transcript:ONI34077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGVDYDDDYDVYDDYDYDDDSVIKKNGKALESKRETERPEVWRCSICTYDNEGSMSACDICGVLRNPVVKSNSDKKTASVNDLKSSKVSSKVAKKNGAVSGQSSAEWSDSSSAIEQRSKQDRFDEGNQLENQTVSYHDGSSDIITLQANGRLSDSSSAPQPRSRHDSVDGSTNSSVIRGKQQSVTSGLKNLDLDARSGSSNNVNVRGSHSQADYKPENWMLPDQAVDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSRKYHVVVLDSPGHKDFVPNMISGATQADAAILLIDASVGAFEAGVDGSKGQTKEHAQLIRSFGVDQIIVAVNKMDIVEYSKDRFDLIKQRLGTFLRSCGFKDSLVSWIPLSAMENQNLVEAPSDVRFSSWYHGPYLLDAIDSLQPPTREFSKPLLMPICDVIKSSSQGQVSACGKLEAGALRSGFKVLVMPSGELGTVRSLERDSQACVIARAGDNVAVTLQGIDGGHVMAGGVLCHPGFPVAVAKHLEMKVLVLDVTTPILIGSQLEFHIHHAKEAARVVKISSLLDPKTGKVARKAPRCLTAKQNAIVEVVLHQPVCVEEFSNSRALGRAFLRALGSTIAVGIVTRIIEEQK >ONI34080 pep chromosome:Prunus_persica_NCBIv2:G1:38557950:38563386:-1 gene:PRUPE_1G461200 transcript:ONI34080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGVDYDDDYDVYDDYDYDDDSVIKKNGKALESKRETERPEVWRCSICTYDNEGSMSACDICGVLRNPVVKSNSDKKTAPFKFDVPSPDDLVSNGLWSSRTGSKASVNDLKSSKVSSKVAKKNGAVSGQSSAEWSDSSSAIEQRSKQDRFDEGNQLENQTVSYHDGSSDIITLQANGRLSDSSSAPQPRSRHDSVDGSTNSSVIRGKQQSVTSGLKNLDLDARSGSSNNVNVRGSHSQADYKPENWMLPDQAVDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSRKYHVVVLDSPGHKDFVPNMISGATQADAAILLIDASVGAFEAGVDGSKGQTKEHAQLIRSFGVDQIIVAVNKMDIVEYSKDRFDLIKQRLGTFLRSCGFKDSLVSWIPLSAMENQNLVEAPSDVRFSSWYHGPYLLDAIDSLQPPTREFSKPLLMPICDVIKSSSQGQVSACGKLEAGALRSGFKVCWWVVLDVVVSNLTKNLVPSQMDSSVNQLKP >ONI34079 pep chromosome:Prunus_persica_NCBIv2:G1:38557131:38562554:-1 gene:PRUPE_1G461200 transcript:ONI34079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGVDYDDDYDVYDDYDYDDDSVIKKNGKALESKRETERPEVWRCSICTYDNEGSMSACDICGVLRNPVVKSNSDKKTVEGRCKDSGASIMAKSLFASLPCQIPKQAVLFHQQKDDFVIEEGNNFHKLGDFQGPIHEFYNAFNIHNNHHINIAPFKFDVPSPDDLVSNGLWSSRTGSKASVNDLKSSKVSSKVAKKNGAVSGQSSAEWSDSSSAIEQRSKQDRFDEGNQLENQTVSYHDGSSDIITLQANGRLSDSSSAPQPRSRHDSVDGSTNSSVIRGKQQSVTSGLKNLDLDARSGSSNNVNVRGSHSQADYKPENWMLPDQAVDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSRKYHVVVLDSPGHKDFVPNMISGATQADAAILLIDASVGAFEAGVDGSKGQTKEHAQLIRSFGVDQIIVAVNKMDIVEYSKDRFDLIKQRLGTFLRSCGFKDSLVSWIPLSAMENQNLVEAPSDVRFSSWYHGPYLLDAIDSLQPPTREFSKPLLMPICDVIKSSSQGQVSACGKLEAGALRSGFKVLVMPSGELGTVRSLERDSQACVIARAGDNVAVTLQGIDGGHVMAGGVLCHPGFPVAVAKHLEMKVLVLDVTTPILIGSQLEFHIHHAKEAARVVKISSLLDPKTGKVARKAPRCLTAKQNAIVEVVLHQPVCVEEFSNSRALGRAFLRALGSTIAVGIVTRIIEEQK >ONI34081 pep chromosome:Prunus_persica_NCBIv2:G1:38558417:38562554:-1 gene:PRUPE_1G461200 transcript:ONI34081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGVDYDDDYDVYDDYDYDDDSVIKKNGKALESKRETERPEVWRCSICTYDNEGSMSACDICGVLRNPVVKSNSDKKTVEGRCKDSGASIMAKSLFASLPCQIPKQAVLFHQQKDDFVIEEGNNFHKLGDFQGPIHEFYNAFNIHNNHHINIAPFKFDVPSPDDLVSNGLWSSRTGSKASVNDLKSSKVSSKVAKKNGAVSGQSSAEWSDSSSAIEQRSKQDRFDEGNQLENQTVSYHDGSSDIITLQANGRLSDSSSAPQPRSRHDSVDGSTNSSVIRGKQQSVTSGLKNLDLDARSGSSNNVNVRGSHSQADYKPENWMLPDQAVDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSRKYHVVVLDSPGHKDFVPNMISGATQADAAILLIDASVGAFEAGVDGSKGQTKEHAQLIRSFGVDQIIVAVNKMDIVEYSKDRFDLIKQRLGTFLRSCGFKDSLVSWIPLSAMENQNLVEAPSDVRFSSWYHGPYLLDAIDSLQPPTREFSKPLLMPICDVIKSSSQGQVSACGKLEAGALRSGFKVCWWVVLDVVVSNLTKNLVPSQMDSSVNQLKP >ONI34078 pep chromosome:Prunus_persica_NCBIv2:G1:38556807:38563402:-1 gene:PRUPE_1G461200 transcript:ONI34078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGVDYDDDYDVYDDYDYDDDSVIKKNGKALESKRETERPEVWRCSICTYDNEGSMSACDICGVLRNPVVKSNSDKKTAPFKFDVPSPDDLVSNGLWSSRTGSKASVNDLKSSKVSSKVAKKNGAVSGQSSAEWSDSSSAIEQRSKQDRFDEGNQLENQTVSYHDGSSDIITLQANGRLSDSSSAPQPRSRHDSVDGSTNSSVIRGKQQSVTSGLKNLDLDARSGSSNNVNVRGSHSQADYKPENWMLPDQAVDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKEMHKYEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSRKYHVVVLDSPGHKDFVPNMISGATQADAAILLIDASVGAFEAGVDGSKGQTKEHAQLIRSFGVDQIIVAVNKMDIVEYSKDRFDLIKQRLGTFLRSCGFKDSLVSWIPLSAMENQNLVEAPSDVRFSSWYHGPYLLDAIDSLQPPTREFSKPLLMPICDVIKSSSQGQVSACGKLEAGALRSGFKVLVMPSGELGTVRSLERDSQACVIARAGDNVAVTLQGIDGGHVMAGGVLCHPGFPVAVAKHLEMKVLVLDVTTPILIGSQLEFHIHHAKEAARVVKISSLLDPKTGKVARKAPRCLTAKQNAIVEVVLHQPVCVEEFSNSRALGRAFLRALGSTIAVGIVTRIIEEQK >ONI26040 pep chromosome:Prunus_persica_NCBIv2:G1:100674:104106:1 gene:PRUPE_1G000700 transcript:ONI26040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVSESLQSQSLVVENLQEKEEMEAEETQGDGGKKIRIHVGGLGGSVTEEDLHRMFGAGGNVEGVAIVRTKGRSFAYVDFLPSSDKSLSKLFTTYNGCSWKGGKLRLHKAKEHYLLRLKREWAEEDAQLPPADFKPSKPLLPSQESRTKQLRIFFPALRTVKALPFTGTGKHKYSFQRVQVPSLPVHFCDCEEHSVPSHPAPPAHQNQLCPGINEQELNMMNKVMDKLFQREKNVSISDTHQSRTCALPNQSHHELPVAAAAEEDNLIINIVSSNQDEDKLSELQELRSSGTQTSKAEEPSENVFKAQKASINGSPKKKRKSLLGDYNNQNEFEDAIPGSKKNLPTHSKESGKFMGAQPDQQELGAQHVSWSQKSSWKQLVGHRGSSTFSVSHVLTGIASSTDQVQPKSVISEVPHLVSKNQDMESNGNLERQLCEAELVDGPGSSEVTHFDSKNQDLEGQLCEMDGCVEPQPTMSIAVSSKSGRGASWRQQSSWTQLVSDTSASSFSIKQIVPGISFEQQFVPKPKSADAVSSTDRKLKEIVKQDKYNDNFTSGSLGIGKGRDVLRSSPEDTVMDNDGACAPDVEKNCDLTPKQVSAGNVEMGETCSFMRSASSLKEWTKIKAALSGSLKRKNIEN >ONI26445 pep chromosome:Prunus_persica_NCBIv2:G1:1748034:1748954:-1 gene:PRUPE_1G025300 transcript:ONI26445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIPRELIFDILSRLQLKDLIRYMCVSRAWHAFIHNQNFMKLHLELSIKTNSTRTILIQSQSDPSYMGSLPFDSDETLGKSKVVHPMPPWKHGGRYTLILGYSIGLLFICNYDLNKDFTLWNPSIQKLKKLPFTTLEPHPSPGLEISRSHITNGFGYDSANDDYKLLGILELANSDDVIVSSQVHVYSLKSHSWKRIQNTPCDGYSFSEDSDSIVFLNGALSWLMSKESDDADKYMIVTLDLASEKCREFPIPVDRINIDISSLDLEVLGDYLCISVNCFWCRSEAWIMKEYGVTESWSLPYSIE >ONI32781 pep chromosome:Prunus_persica_NCBIv2:G1:34524673:34525086:1 gene:PRUPE_1G385200 transcript:ONI32781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVSLLPSVLPCVCSMYVALWSFHIFGLPNCIAVWFDILLMMIPYFRDLMRMENWLHLKFMTLVYCGKQILVWFLNKNMLTSYFKILSLSLSLSLSHPLNEYWVFFCGVLIDIPFKRGFNSSFLLFNFPS >ONI32899 pep chromosome:Prunus_persica_NCBIv2:G1:34959036:34964479:1 gene:PRUPE_1G392600 transcript:ONI32899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGKGKLCLPKCRSLYQSLDDPISQKTSQRSSPEYHIITISRQGLGHLLKPQSYKSTAINPSHVPTNQISTTLHTQESLLVDLLVQIFGLIKNPYINENFDFFFFSKRLGGLFLCLIAFPKDQQNLHTHTNTTNTMIRKQPHAQNESHKHKSLSLSLSLSLSLSLTNIKSSRLSLFGCSGDERSPFLHCGVAQETSGLSLATCHRQLYVFCCMMQREKRGGEGDKIISSSE >ONI32900 pep chromosome:Prunus_persica_NCBIv2:G1:34959036:34964479:1 gene:PRUPE_1G392600 transcript:ONI32900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGKGKLCLPKCRSLYQSLDDPISQKTSQRSSPEYHIITISRQGLGHLLKPQSYKSTAINPSHVPTNQISTTLHTQESLLVDLLVQIFGLIKNPYINENFDFFFFSKRLGGLFLCLIAFPKDQQNLHTHTNTTNTMIRKQPHAQNESHKHKSLSLSLSLSLSLSLTNIKSSRLSLFGCSGDERSPFLHCGVAQETSGLSLATCHRQLYVFCCMMQREKRGGEGDKIISSSE >ONI28122 pep chromosome:Prunus_persica_NCBIv2:G1:9841376:9843499:1 gene:PRUPE_1G124600 transcript:ONI28122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVGLIWGCCNILPCQCIDSVRKWLGNHFYFSKATTPIRGLVFSHSYVKNALVSVLITYLTDNWRTSNFAMAALVTNVQEGISDILVIILARISDKHMTRFKMIVSTNAAYFLGLLLLWICSKFVSSQTVSKVYYGAVVLIVLGEAGRSATLKEFLENQYFIEDKERPSTDENYLKRLETRKEALWRHPWFLGALLAVFFPTPSWKNTGSWKSTLMVSTILVGVSYLLFLLGYSCYFLSSRIDEYSTWKSRLDYLKKLSLFYPVEQQEEEERGGEICMVTRVTSSSSSSCPPEEGQRKKFWPLIELKAEKGFFIEVIAMWSAFFAYSLVEATGSTFFFEQMSSLDTKSGIGSVMDVAVILILVSRFSSFLVSFIYDLLIPKQWRKATVTLVRIGCGLACSMLCCVAAWLVEGKRLKLVSPESREHGTNKTIPMSAAWLVPQFVLLGLMEGLALNGLTEFLADRIANNDKLRARYYYASHISELILGVGKLVTASSILVFRRSWFHDNINGSRLDSFFELLTYLSLANLIYYMCISEYFYRNEKSRKSANKGNKQSSLLEQVEQGIHAAEKETYDEEAQVPVGGTAQEQVEQEIDDDDEE >ONI35475 pep chromosome:Prunus_persica_NCBIv2:G1:43990880:43996215:-1 gene:PRUPE_1G538300 transcript:ONI35475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIGVKLLIQDRHVMMDNGIVQVTLSNPDGIVTGIRFNGIDNLLEIRNEEINRGYWDLVWSSASTGTTGIFDVIKGTSFRVIMESEEQVELSFTRAWDPSQQGKLVPLNIDKRFIMLRGSSGFYSYAIYEHLREWPGFELGETRIAFKLRKDKFHYMAMADNRQRNMPLPDDRLPSRGQALAFPEAVLLVNPVEPEFKGEVDDKYQYSCDNKDNKVHGWICTEPPVGFWQITPSNEFRSGGPFKQNLTSHVGPTTLAMFLSAHYSGEDLVPKFAAGEQWKKVFGPVFMYANSAYEGDDPLSLWEDAKSQMMMEVQCWPYSFPASEDFPKSHQRGSVSGRLLIRDRYISDDYIFAQGAYVGLAPQGDVGSWQRECKNYQFWTTADENGYFLIDGIRTGDYNLNATVPGFIGDYQYEVVLTITSGCEVEMGDLVYEPPRNGPTLWEIGIPDRSAAEFYVPDPNPKYINKLFVDHPDRFRQYGLWERYSDLYPEKDLVYTVGVSDYSKDWFFAQVNRKKDDNKYQGTTWQIKFNLDTVDQSGPYKLRLAIASATLSELQVRVNDPKAYPPLFSSGMIGKDNSIARHGIHGLYWLFNVDIPSSRIIEGDNIIYLTQPRSTSPFQGIMYDYIRLEGPPSLSSKRET >ONI32656 pep chromosome:Prunus_persica_NCBIv2:G1:34220115:34223045:-1 gene:PRUPE_1G378700 transcript:ONI32656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSSSSFKIILGSASVARRKILAEMGYEFIVMTADIDEKCIRKEKPEELVLVLAQAKADAIISKLQTINNQEKDAEPTIVIAADTLMETSQAEANSQGLPVGDYIKDDEPTLLITSDQVVVYEGVIREKPSSKEEARQFLKDYSGGHAATVGSVHVTNLKTGFSKGEWDRVEIYFHEIPDEIIEKLIEEGTVLKVAGGLIIEHPLILPFVKEVVGTTDSVMGLPKDLTRRLLKEAI >ONI32654 pep chromosome:Prunus_persica_NCBIv2:G1:34219739:34223190:-1 gene:PRUPE_1G378700 transcript:ONI32654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSSSSFKIILGSASVARRKILAEMGYEFIVMTADIDEKCIRKEKPEELVLVLAQAKADAIISKLQTINNQEKDAEPTIVIAADTVVVYEGVIREKPSSKEEARQFLKDYSGGHAATVGSVHVTNLKTGFSKGEWDRVEIYFHEIPDEIIEKLIEEGTVLKVAGGLIIEHPLILPFVKEVVGTTDSVMGLPKDLTRRLLKEAI >ONI32653 pep chromosome:Prunus_persica_NCBIv2:G1:34220115:34223045:-1 gene:PRUPE_1G378700 transcript:ONI32653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSSSSFKTADIDEKCIRKEKPEELVLVLAQAKADAIISKLQTINNQEKDAEPTIVIAADTLMETSQAEANSQGLPVGDYIKDDEPTLLITSDQVVVYEGVIREKPSSKEEARQFLKDYSGGHAATVGSVHVTNLKTGFSKGEWDRVEIYFHEIPDEIIEKLIEEGTVLKVAGGLIIEHPLILPFVKEVVGTTDSVMGLPKDLTRRLLKEAI >ONI32657 pep chromosome:Prunus_persica_NCBIv2:G1:34219936:34223106:-1 gene:PRUPE_1G378700 transcript:ONI32657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSSSSFKIILGSASVARRKILAEMGYEFIVMTADIDEKCIRKEKPEELVLVLAQAKADAIISKLQTINNQEKDAEPTIVIAADTVVVYEGVIREKPSSKEEARQFLKDYSGGHAATVGSVHVTNLKTGFSKGEWDRVEIYFHEIPDEIIEKLIEEGTVLKVAGGLIIEHPLILPFVKEVGQLIA >ONI32655 pep chromosome:Prunus_persica_NCBIv2:G1:34220115:34223045:-1 gene:PRUPE_1G378700 transcript:ONI32655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSSSSFKIILGSASVARRKILAEMGYEFIVMTADIDEKCIRKEKPEELVLVLAQAKADAIISKLQTINNQEKDAEPTIVIAADTAEANSQGLPVGDYIKDDEPTLLITSDQVVVYEGVIREKPSSKEEARQFLKDYSGGHAATVGSVHVTNLKTGFSKGEWDRVEIYFHEIPDEIIEKLIEEGTVLKVAGGLIIEHPLILPFVKEVVGTTDSVMGLPKDLTRRLLKEAI >ONI32652 pep chromosome:Prunus_persica_NCBIv2:G1:34220115:34223045:-1 gene:PRUPE_1G378700 transcript:ONI32652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSSSSFKTADIDEKCIRKEKPEELVLVLAQAKADAIISKLQTINNQEKDAEPTIVIAADTAEANSQGLPVGDYIKDDEPTLLITSDQVVVYEGVIREKPSSKEEARQFLKDYSGGHAATVGSVHVTNLKTGFSKGEWDRVEIYFHEIPDEIIEKLIEEGTVLKVAGGLIIEHPLILPFVKEVVGTTDSVMGLPKDLTRRLLKEAI >ONI32659 pep chromosome:Prunus_persica_NCBIv2:G1:34220333:34223045:-1 gene:PRUPE_1G378700 transcript:ONI32659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSSSSFKIILGSASVARRKILAEMGYEFIVMTADIDEKCIRKEKPEELVLVLAQAKADAIISKLQTINNQEKDAEPTIVIAADTLMETSQAEANSQGLPVGDYIKDDEPTLLITSDQVVVYEGVIREKPSSKEEARQFLKDYSGGHAATVGSVHVTNLKTGFSKGEWDRVEIYFHEIPDEIIEKLIEEGTVLKVAGGLIIEHPLILPFVKEVFLVLSFHPKPLV >ONI32651 pep chromosome:Prunus_persica_NCBIv2:G1:34219739:34223190:-1 gene:PRUPE_1G378700 transcript:ONI32651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSSSSFKTADIDEKCIRKEKPEELVLVLAQAKADAIISKLQTINNQEKDAEPTIVIAADTVVVYEGVIREKPSSKEEARQFLKDYSGGHAATVGSVHVTNLKTGFSKGEWDRVEIYFHEIPDEIIEKLIEEGTVLKVAGGLIIEHPLILPFVKEVVGTTDSVMGLPKDLTRRLLKEAI >ONI32660 pep chromosome:Prunus_persica_NCBIv2:G1:34220426:34223190:-1 gene:PRUPE_1G378700 transcript:ONI32660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSSSSFKIILGSASVARRKILAEMGYEFIVMTADIDEKCIRKEKPEELVLVLAQAKADAIISKLQTINNQEKDAEPTIVIAADTAEANSQGLPVGDYIKDDEPTLLITSDQVVVYEGVIREKPSSKEEARQFLKDYSGGHAATVGSVHVTNLKTGFSKGEWDRVEIYFHEIPDEIIEKLIEEGTVLKVAGGLIIEHPLILPFVKEVVGFLLSVPKL >ONI32658 pep chromosome:Prunus_persica_NCBIv2:G1:34220295:34223190:-1 gene:PRUPE_1G378700 transcript:ONI32658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSSSSFKIILGSASVARRKILAEMGYEFIVMTADIDEKCIRKEKPEELVLVLAQAKADAIISKLQTINNQEKDAEPTIVIAADTAEANSQGLPVGDYIKDDEPTLLITSDQVVVYEGVIREKPSSKEEARQFLKDYSGGHAATVGSVHVTNLKTGFSKGEWDRVEIYFHEIPDEIIEKLIEEGTVLKVAGGLIIEHPLILPFVKEVFLVLSFHPKPLV >ONI27175 pep chromosome:Prunus_persica_NCBIv2:G1:5167649:5170950:1 gene:PRUPE_1G072500 transcript:ONI27175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAMTVMNAATLQPKPLRPKGPYLLPNPRRVINFKASSLHNPQVSSIGAPTLVGQFDPTIPIERAVTPPSSWYTDPSFYSLELDTLFYRGWQAAGYTEQIKNPGEFFTCRLGNAEFVVCRDGDGKLQAFHNVCRHHASLLAYGSGRKSCFVCPYHGWTYGLDGSLLKATRITGIQNFNEHEFGLISVKAATWGPFVLLNMEQDVDSDTDIVEKEWLGSSSELLSNNGVDSSLSFVCRRDYMIECNWKVFCDNYLDGGYHVPYAHKGLASGLNLDHYSTTIYEKVSIQKCEGGSTERTNDYDRLGSKALYAFVYPNFMINRYGPWMDTNLVLPLGPRKCQVIFDYFIEPSLMDNKDFIERSLKDSERVQVEDVVLCEGVQRGLESPAYDIGRYAPTVENAMHHFHCLLHKSLKK >ONI27178 pep chromosome:Prunus_persica_NCBIv2:G1:5167649:5170950:1 gene:PRUPE_1G072500 transcript:ONI27178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRSFLLLSRTRHPLLPWMAGRRLGNAEFVVCRDGDGKLQAFHNVCRHHASLLAYGSGRKSCFVCPYHEFGLISVKAATWGPFVLLNMEQDVDSDTDIVEKEWLGSSSELLSNNGVDSSLSFVCRRDYMIECNWKVFCDNYLDGGYHVPYAHKGLASGLNLDHYSTTIYEKVSIQKCEGGSTERTNDYDRLGSKALYAFVYPNFMINRYGPWMDTNLVLPLGPRKCQVIFDYFIEPSLMDNKDFIERSLKDSERVQVEDVVLCEGVQRGLESPAYDIGRYAPTVENAMHHFHCLLHKSLKK >ONI27176 pep chromosome:Prunus_persica_NCBIv2:G1:5167649:5170950:1 gene:PRUPE_1G072500 transcript:ONI27176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAMTVMNAATLQPKPLRPKGPYLLPNPRRVINFKASSLHNPQVSSIGAPTLVGQFDPTIPIERAVTPPSSWYTDPSFYSLELDTLFYRGWQAAGYTEQIKNPGEFFTCRLGNAEFVVCRDGDGKLQAFHNVCRHHASLLAYGSGRKSCFVCPYHEFGLISVKAATWGPFVLLNMEQDVDSDTDIVEKEWLGSSSELLSNNGVDSSLSFVCRRDYMIECNWKVFCDNYLDGGYHVPYAHKGLASGLNLDHYSTTIYEKVSIQKCEGGSTERTNDYDRLGSKALYAFVYPNFMINRYGPWMDTNLVLPLGPRKCQVIFDYFIEPSLMDNKDFIERSLKDSERVQVEDVVLCEGVQRGLESPAYDIGRYAPTVENAMHHFHCLLHKSLKK >ONI27177 pep chromosome:Prunus_persica_NCBIv2:G1:5167649:5170950:1 gene:PRUPE_1G072500 transcript:ONI27177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRSFLLLSRTRHPLLPWMAGRRLGNAEFVVCRDGDGKLQAFHNVCRHHASLLAYGSGRKSCFVCPYHGWTYGLDGSLLKATRITGIQNFNEHEFGLISVKAATWGPFVLLNMEQDVDSDTDIVEKEWLGSSSELLSNNGVDSSLSFVCRRDYMIECNWKVFCDNYLDGGYHVPYAHKGLASGLNLDHYSTTIYEKVSIQKCEGGSTERTNDYDRLGSKALYAFVYPNFMINRYGPWMDTNLVLPLGPRKCQVIFDYFIEPSLMDNKDFIERSLKDSERVQVEDVVLCEGVQRGLESPAYDIGRYAPTVENAMHHFHCLLHKSLKK >ONI31331 pep chromosome:Prunus_persica_NCBIv2:G1:29983854:29986055:1 gene:PRUPE_1G306800 transcript:ONI31331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSATGPGKGGGLLERPVIEKTTPARDSEFDLRKSRKTAPPYRVILHNDNYNKREYVVQVLMKVIPGMSLDNAVNIMQEAHHNGLSIVIICAQADAEEHCMQLRHNGLLSSIEPASGGGC >ONI31330 pep chromosome:Prunus_persica_NCBIv2:G1:29983521:29986055:1 gene:PRUPE_1G306800 transcript:ONI31330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAICGRLPLSPNHVFNPKPGDRHPLCKGPCTNLGIPMAVSATGPGKGGGLLERPVIEKTTPARDSEFDLRKSRKTAPPYRVILHNDNYNKREYVVQVLMKVIPGMSLDNAVNIMQEAHHNGLSIVIICAQADAEEHCMQLRHNGLLSSIEPASGGGC >ONI31329 pep chromosome:Prunus_persica_NCBIv2:G1:29983521:29986055:1 gene:PRUPE_1G306800 transcript:ONI31329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAICGRLPLSPNHVFNPKPAGDRHPLCKGPCTNLGIPMAVSATGPGKGGGLLERPVIEKTTPARDSEFDLRKSRKTAPPYRVILHNDNYNKREYVVQVLMKVIPGMSLDNAVNIMQEAHHNGLSIVIICAQADAEEHCMQLRHNGLLSSIEPASGGGC >ONI32753 pep chromosome:Prunus_persica_NCBIv2:G1:34464241:34466732:-1 gene:PRUPE_1G383800 transcript:ONI32753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCIEGGFSWNEMDVCSPLKPDSKLKHRPLTPLRVVRGVICLVVFLSTAFMILVCFAPIIAVILRLLSIHCSRKAISLLFGIWLALWPFLFEKINGTKVVFTGDTVPPKERTLLIANHKTEVDWMYLWDLALRKGSLGHIKYVLKSSLMKLPVFGWGFHVLEFIPLKRKWEVDEPVMRKLLSSFADPADPLWLAIFPEGTDYNEEKCKKSQIFAAETGLPVLSHVLLPRTKGFCACLEALRSSLDAVYDLTIAYKNQCPSFMDNAFGVDPSEVHIHVRRIPIEDIPESIADAASWLTDTFQLKDNLLSDFNTQGHFPSEGGGGGGGGGEEELSTLKCLVNFMLVVVLTVVLVYLTIFSTVWFKIYIGLSCAYLATATYFEIQPMPILDFVKATCVCNRPRSE >ONI32754 pep chromosome:Prunus_persica_NCBIv2:G1:34464249:34466062:-1 gene:PRUPE_1G383800 transcript:ONI32754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCSPLKPDSKLKHRPLTPLRVVRGVICLVVFLSTAFMILVCFAPIIAVILRLLSIHCSRKAISLLFGIWLALWPFLFEKINGTKVVFTGDTVPPKERTLLIANHKTEVDWMYLWDLALRKGSLGHIKYVLKSSLMKLPVFGWGFHVLEFIPLKRKWEVDEPVMRKLLSSFADPADPLWLAIFPEGTDYNEEKCKKSQIFAAETGLPVLSHVLLPRTKGFCACLEALRSSLDAVYDLTIAYKNQCPSFMDNAFGVDPSEVHIHVRRIPIEDIPESIADAASWLTDTFQLKDNLLSDFNTQGHFPSEGGGGGGGGGEEELSTLKCLVNFMLVVVLTVVLVYLTIFSTVWFKIYIGLSCAYLATATYFEIQPMPILDFVKATCVCNRPRSE >ONI32756 pep chromosome:Prunus_persica_NCBIv2:G1:34464249:34466062:-1 gene:PRUPE_1G383800 transcript:ONI32756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCSPLKPDSKLKHRPLTPLRVVRGVICLVVFLSTAFMILVCFAPIIAVILRLLSIHCSRKAISLLFGIWLALWPFLFEKINGTKVVFTGDTVPPKERTLLIANHKTEVDWMYLWDLALRKGSLGHIKYVLKSSLMKLPVFGWGFHVLEFIPLKRKWEVDEPVMRKLLSSFADPADPLWLAIFPEGTDYNEEKCKKSQIFAAETGLPVLSHVLLPRTKGFCACLEALRSSLDAVYDLTIAYKNQCPSFMDNAFGVDPSEVHIHVRRIPIEDIPESIADAASWLTDTFQLKDNLLSDFNTQGHFPSEGGGGGGGGGEEELSTLKCLVNFMLVVVLTVVLVYLTIFSTVWFKIYIGLSCAYLATATYFEIQPMPILDFVKATCVCNRPRSE >ONI32755 pep chromosome:Prunus_persica_NCBIv2:G1:34464241:34466117:-1 gene:PRUPE_1G383800 transcript:ONI32755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCSPLKPDSKLKHRPLTPLRVVRGVICLVVFLSTAFMILVCFAPIIAVILRLLSIHCSRKAISLLFGIWLALWPFLFEKINGTKVVFTGDTVPPKERTLLIANHKTEVDWMYLWDLALRKGSLGHIKYVLKSSLMKLPVFGWGFHVLEFIPLKRKWEVDEPVMRKLLSSFADPADPLWLAIFPEGTDYNEEKCKKSQIFAAETGLPVLSHVLLPRTKGFCACLEALRSSLDAVYDLTIAYKNQCPSFMDNAFGVDPSEVHIHVRRIPIEDIPESIADAASWLTDTFQLKDNLLSDFNTQGHFPSEGGGGGGGGGEEELSTLKCLVNFMLVVVLTVVLVYLTIFSTVWFKIYIGLSCAYLATATYFEIQPMPILDFVKATCVCNRPRSE >ONI34157 pep chromosome:Prunus_persica_NCBIv2:G1:38849328:38850038:1 gene:PRUPE_1G465700 transcript:ONI34157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYFEWVDNETCPRGKQVVPGLLRRLRATKEEGRAKEEQLRAVEAKKKELQHKLQEMVQEKKVFEWGHGKDNS >ONI26613 pep chromosome:Prunus_persica_NCBIv2:G1:2436594:2443236:-1 gene:PRUPE_1G034800 transcript:ONI26613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEDMDTRNGAEEEKVSSVEMPKFYILPEISQCGEANNSNFEIPTAVIVKRTNTSTGARISAPINQEGSKAADEAEAQRLVKEEVPDSQEGLVTLAQLPDPTSTHIKQESSEKSDRAETLSGLNPPSLVKIEVPDSQEGLIQQAKPVPIRVKQEPFVESDEANPLSLVPSQVPDSQEGLVLRVEPITTLVKLEASEEADEAEKLHGSKPERPEKKEVPDQQEGLTQQEENVKKIRAVVGSEISELLIQLVLSRCGDNPDAAINYILEGQGISVKPTNTSTGACISTQLPDSHKGSKPNSQVKKEDPDCQVTLVQQTRPKNLEDGDFPTEQDWLLVGRTFVTALSTSKGRKLFDNEIVHFSFPSANSSHKTRWIVRFSTKQFGEIGRLPMEWAKCVIPLVNSGKVKLRGRCIAAPKVLSMMQEVILYVSFYIHHSIFTEGDHSSWRLDVSPNIDSSIYPLLSQFKLLKIQPYKKAEFTPEELDSRKRLLNIERIPDDGAPVLPLVKRRKGCQQPSEESRDEQAITESSLNKIVGAADVYDLEEMEPPSTLTCVLKPYQKQALYWMSELEKGIDVEKATQTLHPCWAAYHMCDERVSSVYVNIFTGEATTKFPTATQMARGGILADAMGLGKTVMTIALILARPGRSSNSIEIAKRRRIDSDTSTPFKPRGGTLVVCPMSLLSQWKDELETHSESESISIFVHYGGYRSTDPKVISVQDVVLTTYGVLSTSYKSDGENSIFHQIDWYRVVLDEAHSIKSSKTQVAQAAFALSSHCRWCLTGTPIQNNLEDLYSLLCFLHVEPWCNWAWWSKLIQRPYESGDPRGLRLIKAILRSLMLRRTKETKDKKGRPILVLPPTDIQTIECEQSEAERYFYDALFRRSKVQFDQFVAQGKVLHNYANILELLLRLRQCCNHPYLVMSSADSQKIKSGSDPKKFADLDELARRFLEANRDASTSKQIVPTQAYVEEVVESIRRGENKECPICLELADDPVLTPCAHKMCRECLLSSWQTPATGRCPICRQWLKYTDLIACPSESRFQANTEGNWTESSKVLKLLDCLEHILRSDSGAKSIVFSQWTAFLDLLETPMKKRGIGFLRFDGKLSQTQRERVLNEFNETRQKMVLLTSLKTGGVGLNLTAASNVFIMDPWWNPAVEEQAIMRIHRIGQKRTVVVRRFIVKDSVEERMQQVQARKQKMIAGALTDEEVRSARIEELKMLFT >ONI35992 pep chromosome:Prunus_persica_NCBIv2:G1:46073792:46078844:-1 gene:PRUPE_1G564100 transcript:ONI35992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35990 pep chromosome:Prunus_persica_NCBIv2:G1:46073003:46079055:-1 gene:PRUPE_1G564100 transcript:ONI35990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI36001 pep chromosome:Prunus_persica_NCBIv2:G1:46072973:46079055:-1 gene:PRUPE_1G564100 transcript:ONI36001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEVVNQKNRGYGLAADIWSLGCAVLEMLTRQPPYSHLEGMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35997 pep chromosome:Prunus_persica_NCBIv2:G1:46072293:46079059:-1 gene:PRUPE_1G564100 transcript:ONI35997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEVVNQKNRGYGLAADIWSLGCAVLEMLTRQPPYSHLEGMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35996 pep chromosome:Prunus_persica_NCBIv2:G1:46073003:46079159:-1 gene:PRUPE_1G564100 transcript:ONI35996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEVVNQKNRGYGLAADIWSLGCAVLEMLTRQPPYSHLEGMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI36003 pep chromosome:Prunus_persica_NCBIv2:G1:46072186:46079055:-1 gene:PRUPE_1G564100 transcript:ONI36003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEVVNQKNRGYGLAADIWSLGCAVLEMLTRQPPYSHLEGMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35999 pep chromosome:Prunus_persica_NCBIv2:G1:46073004:46079055:-1 gene:PRUPE_1G564100 transcript:ONI35999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEVVNQKNRGYGLAADIWSLGCAVLEMLTRQPPYSHLEGMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35994 pep chromosome:Prunus_persica_NCBIv2:G1:46072129:46079059:-1 gene:PRUPE_1G564100 transcript:ONI35994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEVVNQKNRGYGLAADIWSLGCAVLEMLTRQPPYSHLEGMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35993 pep chromosome:Prunus_persica_NCBIv2:G1:46073004:46079055:-1 gene:PRUPE_1G564100 transcript:ONI35993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35984 pep chromosome:Prunus_persica_NCBIv2:G1:46072185:46079055:-1 gene:PRUPE_1G564100 transcript:ONI35984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35995 pep chromosome:Prunus_persica_NCBIv2:G1:46073792:46078844:-1 gene:PRUPE_1G564100 transcript:ONI35995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEVVNQKNRGYGLAADIWSLGCAVLEMLTRQPPYSHLEGMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI36002 pep chromosome:Prunus_persica_NCBIv2:G1:46073792:46078844:-1 gene:PRUPE_1G564100 transcript:ONI36002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEVVNQKNRGYGLAADIWSLGCAVLEMLTRQPPYSHLEGMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35998 pep chromosome:Prunus_persica_NCBIv2:G1:46071974:46079159:-1 gene:PRUPE_1G564100 transcript:ONI35998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEVVNQKNRGYGLAADIWSLGCAVLEMLTRQPPYSHLEGMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35989 pep chromosome:Prunus_persica_NCBIv2:G1:46072129:46079055:-1 gene:PRUPE_1G564100 transcript:ONI35989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35991 pep chromosome:Prunus_persica_NCBIv2:G1:46073792:46078844:-1 gene:PRUPE_1G564100 transcript:ONI35991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35987 pep chromosome:Prunus_persica_NCBIv2:G1:46072185:46079055:-1 gene:PRUPE_1G564100 transcript:ONI35987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI36004 pep chromosome:Prunus_persica_NCBIv2:G1:46073792:46078844:-1 gene:PRUPE_1G564100 transcript:ONI36004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEVVNQKNRGYGLAADIWSLGCAVLEMLTRQPPYSHLEGMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35986 pep chromosome:Prunus_persica_NCBIv2:G1:46073792:46078844:-1 gene:PRUPE_1G564100 transcript:ONI35986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI36000 pep chromosome:Prunus_persica_NCBIv2:G1:46073792:46078844:-1 gene:PRUPE_1G564100 transcript:ONI36000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEVVNQKNRGYGLAADIWSLGCAVLEMLTRQPPYSHLEGMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35988 pep chromosome:Prunus_persica_NCBIv2:G1:46072970:46079055:-1 gene:PRUPE_1G564100 transcript:ONI35988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35985 pep chromosome:Prunus_persica_NCBIv2:G1:46073792:46078844:-1 gene:PRUPE_1G564100 transcript:ONI35985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKQSKRPKPKLDRRNAMRNIDYDASTSSSSSSPSSSSFGHGSPSIRTRSLDHSPLSDHQPSFRVRGIEGEFDLICRSLGLSGPEDFSIPIAAWEAQRARSSSSHFPPRSRLRHESPHELPDRAESGAVLEKSEVRVRLDNEISASNAAKFGGNARKGGGGGGIKGLRPPPIVLKSFSPQNHIRVANSPIRVGDVRYSDEEEDLVNEANGEREVIDEANFGKDNDLSDDSLSSGLSPSNNQNENESVGFDATSRAKLEPIHSIFSPNGKFRRSVSSSWQKGHRLGSGSYGTVYEGFTDDGFFFAVKEVSLLDQGSHGKQSLIQLEQEIYLLSQFEHDNIVQYLGTDKDETKLYIFLELVTKGSLANLYEKYLLRDSQVSVFTKQILSGLTYLHDRNVIHRDIKCANILVDASGSVKLADFGLAKATKFNDVKSCKGTAYWMAPEMQALFQIGRGEPPPVPDSLSTDARDFIFKCLQVNPNNRPTAAQLLNHPFVKRSPQTSSGPASPHATAYVREHFRQPSVL >ONI35403 pep chromosome:Prunus_persica_NCBIv2:G1:43620034:43629076:-1 gene:PRUPE_1G533900 transcript:ONI35403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTTYFFPRQFPDRRFDSTSKQLLQEDHNDHEKSKDSDAFENGDHDQQHQKVPKTATNNLYKSSAASRDDSAPPDIQYFTGGHQKKPQLAAFCDWFLQDKKKGPSARSKSSAGHNLRATRLSSSSISSCHLVDEDRELLIPQPRHDDHEAAAPPQPPESSTTTTLKDRSVDRSFDRQVSLPRVSSGSSYAGSLFSGTTTLDGNFSGDVKDSSATTRHMEEEEEEEERRKVSLVQRYKESYYLQLLLAKRLSSHATLGSESLLLHESAALEVSDAETVSYRLWVSGCLSYNEKISDGFYNILGMNPYLWVMCNDVEEGKLIPSLTSLKEIKHGETSMEVVLVDKQEDSRLKELEDKAHELSCASENTLVLVEKLGKLVAIYMGYPAEQGDLHMRWKVVSKRLRDFRKCIVLPIGSLSIGLCRHRAVLFKKLADHIGLPCRIARGCKYCVADHRSSCLVKIEDDRQFLREYVVDLVGKPGNLHGPDSSINGGSLSAIPSPFQISHLKEFQQPYRNNGLCCQLTNSKHTRAPPEDPFYSGGGEGGQVIKESSLLPVDQTKFGMESSLMPLELKGNAQGDASQALDVAAGAGAAVASLEESARLGKENIVVQQAYRNEIVVSRSQVISNCVKQPEVRVFNQSEIEGVHGELVKQGRITAVTIPRYLNLEPSLAMDWLEISWDELNIKERVGAGSFGTVHRAEWNGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPASGELLDQRRRLRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTAKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMEQPWSGLSPAQVVGAVAFQNRRLAIPANTPPMLASLMESCWADDPAQRPSFASIVESLKRLLKSPLQLVPVGGK >ONI35404 pep chromosome:Prunus_persica_NCBIv2:G1:43620034:43629076:-1 gene:PRUPE_1G533900 transcript:ONI35404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTTYFFPRQFPDRRFDSTSKQLLQEDHNDHEKSKDSDAFENGDHDQQHQKVPKTATNNLYKSSAASRDDSAPPDIQYFTGGHQKKPQLAAFCDWFLQDKKKGPSARSKSSAGHNLRATRLSSSSISSCHLVDEDRELLIPQPRHDDHEAAAPPQPPESSTTTTLKDRSVDRSFDRQVSLPRVSSGSSYAGSLFSGTTTLDGNFSGDVKDSSATTRHMEEEEEEEERRKVSLVQRYKESYYLQLLLAKRLSSHATLGSESLLLHESAALEVSDAETVSYRLWVSGCLSYNEKISDGFYNILGMNPYLWVMCNDVEEGKLIPSLTSLKEIKHGETSMEVVLVDKQEDSRLKELEDKAHELSCASENTLVLVEKLGKLVAIYMGGSYPAEQGDLHMRWKVVSKRLRDFRKCIVLPIGSLSIGLCRHRAVLFKKLADHIGLPCRIARGCKYCVADHRSSCLVKIEDDRQFLREYVVDLVGKPGNLHGPDSSINGGSLSAIPSPFQISHLKEFQQPYRNNGLCCQLTNSKHTRAPPEDPFYSGGGEGGQVIKESSLLPVDQTKFGMESSLMPLELKGNAQGDASQALDVAAGAGAAVASLEESARLGKENIVVQQAYRNEIVVSRSQVISNCVKQPEVRVFNQSEIEGVHGELVKQGRITAVTIPRYLNLEPSLAMDWLEISWDELNIKERVGAGSFGTVHRAEWNGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPASGELLDQRRRLRMALDAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTAKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMEQPWSGLSPAQVVGAVAFQNRRLAIPANTPPMLASLMESCWADDPAQRPSFASIVESLKRLLKSPLQLVPVGGK >ONI35405 pep chromosome:Prunus_persica_NCBIv2:G1:43620519:43628501:-1 gene:PRUPE_1G533900 transcript:ONI35405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTTYFFPRQFPDRRFDSTSKQLLQEDHNDHEKSKDSDAFENGDHDQQHQKVPKTATNNLYKSSAASRDDSAPPDIQYFTGGHQKKPQLAAFCDWFLQDKKKGPSARSKSSAGHNLRATRLSSSSISSCHLVDEDRELLIPQPRHDDHEAAAPPQPPESSTTTTLKDRSVDRSFDRQVSLPRVSSGSSYAGSLFSGTTTLDGNFSGDVKDSSATTRHMEEEEEEEERRKVSLVQRYKESYYLQLLLAKRLSSHATLGSESLLLHESAALEVSDAETVSYRLWVSGCLSYNEKISDGFYNILGMNPYLWVMCNDVEEGKLIPSLTSLKEIKHGETSMEVVLVDKQEDSRLKELEDKAHELSCASENTLVLVEKLGKLVAIYMGGSYPAEQGDLHMRWKVVSKRLRDFRKCIVLPIGSLSIGLCRHRAVLFKKLADHIGLPCRIARGCKYCVADHRSSCLVKIEDDRQFLREYVVDLVGKPGNLHGPDSSINGGSLSAIPSPFQISHLKEFQQPYRNNGLCCQLTNSKHTRAPPEDPFYSGGGEGGQVIKESSLLPVDQTKFGMESSLMPLELKGNAQGDASQALDVAAGAGAAVASLEESARLGKENIVVQQAYRNEIVVSRSQVISNCVKQPEVRVFNQSEIEGVHGELVKQGRITAVTIPRYLNLEPSLAMDWLEISWDELNIKERVGAGSFGTVHRAEWNGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPASGELLDQRRRLRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTAKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMEQPWSGLSPAQVVGAVAFQNRRLAIPANTPPMLASLMESCWADDPAQRPSFASIVESLKRLLKSPLQLVPVGGK >ONI32638 pep chromosome:Prunus_persica_NCBIv2:G1:34183199:34183924:-1 gene:PRUPE_1G378100 transcript:ONI32638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVNNIAATFATVPKTSSPSSSSSSSNPHQTKLSLSLSHKSISTPWNLHKTLPRSQRSSLLNLYGPPGPPPNGSISTVHPRPLMITPKPSRPFSVCIGDKLPNITLSYLDPNDGAKLISLSTLCKGKRVVLVGVSAPFSPHCTRFMKRVESARGKSSDLIACVAASDVFVMRAWGENLAVGDRVMMLSDGRGELGNALGVSLNLNTTTNACLVTFNGVIKSVEFDKEEGSLVATKSMAH >ONI29948 pep chromosome:Prunus_persica_NCBIv2:G1:23769390:23770047:-1 gene:PRUPE_1G223900 transcript:ONI29948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWGPVFVAVVLFVLLSPGLLFQLPGRHGCVEFGGFQTSGAAIMVHSLLYFALICVFLLAIKVHFYLG >ONI30592 pep chromosome:Prunus_persica_NCBIv2:G1:26950163:26953326:-1 gene:PRUPE_1G260600 transcript:ONI30592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNRSKSEKHEATKQLRRDPYEVLGVTRNSTDQEIKSAYRKMALKYHPDKNANDPKAADMFKEVTFSYNILSDPDKRRQYDTAGFQAVESESQDLELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVTIRPLPLGQAITKKVEKQCAHFYAVTITEADARAGFVCQVQSSDKSKFKLLYFDQEETGGLSLCLQEDSAKTGKVTSAGMYFLGFPVYRLDQTLNSMAAAKDPDAAFFKKLDGFQPCELTELKAGTHVFAVYGDNFFKSASYTIEALSCGPFTEEKENLRAVEAQILTKRVELSKFETEYREVWT >ONI30591 pep chromosome:Prunus_persica_NCBIv2:G1:26949708:26953567:-1 gene:PRUPE_1G260600 transcript:ONI30591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNRSKSEKHEATKQLRRDPYEVLGVTRNSTDQEIKSAYRKMALKYHPDKNANDPKAADMFKEVTFSYNILSDPDKRRQYDTAGFQAVESESQDLELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVTIRPLPLGQAITKKVEKQCAHFYAVTITEADARAGFVCQVQSSDKSKFKLLYFDQEETGGLSLCLQEDSAKTGKVTSAGMYFLGFPVYRLDQTLNSMAAAKDPDAAFFKKLDGFQPCELTELKAGTHVFAVYGDNFFKSASYTIEALSCGPFTEEKENLRAVEAQILTKRVELSKFETEYREVLAQFTEMTSRYTQEMQEIDELLRQRNEIYASYTIAPPMKRSSSRSRNKGQSKEVNEEGQVRDKKSTFRDRSKRKKWFNIHLKVDKRKPC >ONI31902 pep chromosome:Prunus_persica_NCBIv2:G1:31840920:31843878:1 gene:PRUPE_1G337900 transcript:ONI31902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISVFSFYLFWRSLSDLLPSVAPLLSNSILSSHLLLQFKTSLPLSLSLLHLSLSLSLSLSLSLSLYMARKQGEVMWPRLVANKILRKPLGSNNFVTDFPGNDGPDGLVLEAPSFDQPSSNSTSTIFNHHKDTNTHKYKVFVSTWNVGGVEPQQDMNIKDWIDTSCDIYVFGFQEIVPLKASNVLGSENSSICMKWNSLIREALNKKTHYYSQDNLKHDKHDHDGNPNIIESSNNPREFHCIISKQMVGILISVWVRSNIRPFIRHPNVSCVGCGIMGCLGNKGSVSVRFRLHETSFCFVCTHLASGSREGDEKLRNSNVADILSRTSFPRGPLLDLPRKILDHDRVIFLGDLNYRIALPEATTRSLVERREWNALLKHDQLMMELKEGQVLEGWHEGAIQFAPTYKYCPNSNVYYGCRPGNRGEKRRAPAWCDRIIWCGKGLKQHEYGRGISKLSDHRPVKAIFTAEVGVLRTLKGYHSFFLSERLDKISSQLQVSSADGFLCKGSTKSRSFKI >ONI31903 pep chromosome:Prunus_persica_NCBIv2:G1:31841342:31843878:1 gene:PRUPE_1G337900 transcript:ONI31903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPRLVANKILRKPLGSNNFVTDFPGNDGPDGLVLEAPSFDQPSSNSTSTIFNHHKDTNTHKYKVFVSTWNVGGVEPQQDMNIKDWIDTSCDIYVFGFQEIVPLKASNVLGSENSSICMKWNSLIREALNKKTHYYSQDNLKHDKHDHDGNPNIIESSNNPREFHCIISKQMVGILISVWVRSNIRPFIRHPNVSCVGCGIMGCLGNKGSVSVRFRLHETSFCFVCTHLASGSREGDEKLRNSNVADILSRTSFPRGPLLDLPRKILDHDRVIFLGDLNYRIALPEATTRSLVERREWNALLKHDQLMMELKEGQVLEGWHEGAIQFAPTYKYCPNSNVYYGCRPGNRGEKRRAPAWCDRIIWCGKGLKQHEYGRGISKLSDHRPVKAIFTAEVGVLRTLKGYHSFFLSERLDKISSQLQVSSADGFLCKGSTKSRSFKI >ONI32311 pep chromosome:Prunus_persica_NCBIv2:G1:33239657:33241570:1 gene:PRUPE_1G360400 transcript:ONI32311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGKHRILMLLQTAWVLLVVFVLKGCAQNLPPAKYDGFVYDHHLVDSDSILIEAFFDPVCPDSRDAWPPLKKALQHYSPRVSVVVHLLPLPYHDNAFVSSRVLHIVNQLNTSATFPLLEWFFKNQEKYYNVQTRNLSRVAVANDIVNSVTEVVGNSYHSDLESGLNDRKTDLKTRVSFKRFKRGVCNAYFLS >ONI32310 pep chromosome:Prunus_persica_NCBIv2:G1:33239657:33241570:1 gene:PRUPE_1G360400 transcript:ONI32310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGKHRILMLLQTAWVLLVVFVLKGCAQNLPPAKYDGFVYDHHLVDSDSILIEAFFDPVCPDSRDAWPPLKKALQHYSPRVSVVVHLLPLPYHDNAFVSSRVLHIVNQLNTSATFPLLEWFFKNQEKYYNVQTRNLSRVAVANDIVNSVTEVVGNSYHSDLESGLNDRKTDLKTRVSFKYSASRGVYATPTFFLNGFVLPDAGSPTDFNGWKKIIDPLIGNEAPKNQENLHFFL >ONI31278 pep chromosome:Prunus_persica_NCBIv2:G1:29772043:29776037:-1 gene:PRUPE_1G303700 transcript:ONI31278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFELEMEERRKSLDKELSGKVEVVEQKELKINHREEKLLKQEQALHEKSERLKEKNKELETKSKNLKENEKTIKVNEEMLEVERQQVLADLESFQNLKEEIQKIKDENVQLELQIREEREKLVITQEERSEHLRLQSELQQEIKTYRLQNELLSKEAEDLKQQREKFEEEWENLDERKAEISRGLEKIVEEKEKLEKLQGTEEERLKEEKHAMQDYIKRELDNLNLEKESFAAKMRNEQFAIAEKAQFQHSQMVQDFESQKRELEVDMQNRQQEMEKHLQEMERAFEEEKDREYTNINFLKEVAEKKSEELRSEKYRMEKEREELALNKKQVEVNQLEMRKDIDQLAMLSKKIKHQREQLIEERGRFLAFVEKIKSCKDCGEMTREFVLSDLQVPGMYHHIEAVSLPRLSDEFLKNSQADLSAPDLEYPESGWGTSLLRKCKSMVSKVSPIKKMEHITDAVSTELPPLSTMKVNEGARGHIGHEDEPEPSFRMPNDAISQPLPSDNTTKEVDDGYAPSIDDHSFIDSKVKDVPDDSEQSELKSYQCKPGRGRKSRLSRTRTVKATVEEAKIFLRDTLEEPSNASMLPNDSSNIHEESRGDSSFVEKANTSIGRKRRRAQSSRITESEQDDCDSEGRSGSVTTAGGRRKRRQSIASSVQAPGEQRYNLRHRKTAGSVTAAPAAADLKKRRKEEAGGGGAEPNPESVSSLGMAGETGQTAQLMQVTTSKSVEFSQERVVRFSTPEDIVDGNAADAAKTVENTELSGEDNGTPESGSGNNTVGESDDDYDDEERPGEASIRKKIWNFLTT >ONI31277 pep chromosome:Prunus_persica_NCBIv2:G1:29772354:29778039:-1 gene:PRUPE_1G303700 transcript:ONI31277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTPQRKALNAQSLTPRSGAVVSNPRTAGKGKAVAFVDGPPPPLGSLSESGPKTIPDFDTGDMDDWRRFKEVGLLNEAAMERKDRQALADKVSKLQKELYDYQYNMGLLLIEKKEWALKHEELGEALAETQEILKREQSAHLISISEVEKREENLRKVLVAEKQCVAELEKALREMHEEHAQIKLKSEAKLADANSLVVGIEEKSLETDAKFLAAEANIAEVNRKSTELEMRLQEVEARESVLRREHLSLSAEREAHKKTFYKQREDLQEWERKLQEGEERLCKLRRILNEKEEKANENDLIMKQKEKELDEVQKKIELSNTILKEKKADVNKRLADLVSKEKEADSVGKIWELKEKELHELEEKLSSRENAEIEQVLDKQRALCNTKMQEFELEMEERRKSLDKELSGKVEVVEQKELKINHREEKLLKQEQALHEKSERLKEKNKELETKSKNLKENEKTIKVNEEMLEVERQQVLADLESFQNLKEEIQKIKDENVQLELQIREEREKLVITQEERSEHLRLQSELQQEIKTYRLQNELLSKEAEDLKQQREKFEEEWENLDERKAEISRGLEKIVEEKEKLEKLQGTEEERLKEEKHAMQDYIKRELDNLNLEKESFAAKMRNEQFAIAEKAQFQHSQMVQDFESQKRELEVDMQNRQQEMEKHLQEMERAFEEEKDREYTNINFLKEVAEKKSEELRSEKYRMEKEREELALNKKQVEVNQLEMRKDIDQLAMLSKKIKHQREQLIEERGRFLAFVEKIKSCKDCGEMTREFVLSDLQVPGMYHHIEAVSLPRLSDEFLKNSQADLSAPDLEYPESGWGTSLLRKCKSMVSKVSPIKKMEHITDAVSTELPPLSTMKVNEGARGHIGHEDEPEPSFRMPNDAISQPLPSDNTTKEVDDGYAPSIDDHSFIDSKVKDVPDDSEQSELKSYQCKPGRGRKSRLSRTRTVKATVEEAKIFLRDTLEEPSNASMLPNDSSNIHEESRGDSSFVEKANTSIGRKRRRAQSSRITESEQDDCDSEGRSGSVTTAGGRRKRRQSIASSVQAPGEQRYNLRHRKTAGSVTAAPAAADLKKRRKEEAGGGGAEPNPESVSSLGMAGETGQTAQLMQVTTSKSVEFSQERVVRFSTPEDIVDGNAADAAKTVENTELSGEDNGTPESGSGNNTVGESDDDYDDEERPGEASIRKKIWNFLTT >ONI31279 pep chromosome:Prunus_persica_NCBIv2:G1:29772043:29778216:-1 gene:PRUPE_1G303700 transcript:ONI31279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTPQRKALNAQSLTPRSGAVVSNPRTAGKGKAVAFVDGPPPPLGSLSESGPKTIPDFDTGDMDDWRRFKEVGLLNEAAMERKDRQALADKVSKLQKELYDYQYNMGLLLIEKKEWALKHEELGEALAETQEILKREQSAHLISISEVEKREENLRKVLVAEKQCVAELEKALREMHEEHAQIKLKSEAKLADANSLVVGIEEKSLETDAKFLAAEANIAEVNRKSTELEMRLQEVEARESVLRREHLSLSAEREAHKKTFYKQREDLQEWERKLQEGEERLCKLRRILNEKEEKANENDLIMKQKEKELDEVQKKIELSNTILKEKKADVNKRLADLVSKEKEADSVGKIWELKEKELHELEEKLSSRENAEIEQVLDKQRALCNTKMQEFELEMEERRKSLDKELSGKVEVVEQKELKINHREEKLLKQEQALHEKSERLKEKNKELETKSKNLKENEKTIKVNEEMLEVERQQVLADLESFQNLKEEIQKIKDENVQLELQIREEREKLVITQEERSEHLRLQSELQQEIKTYRLQNELLSKEAEDLKQQREKFEEEWENLDERKAEISRGLEKIVEEKEKLEKLQGTEEERLKEEKHAMQDYIKRELDNLNLEKESFAAKMRNEQFAIAEKAQFQHSQMVQDFESQKRELEVDMQNRQQEMEKHLQEMERAFEEEKDREYTNINFLKEVAEKKSEELRSEKYRMEKEREELALNKKQVEVNQLEMRKDIDQLAMLSKKIKHQREQLIEERGRFLAFVEKIKSCKDCGEMTREFVLSDLQVPGMYHHIEAVSLPRLSDEFLKNSQADLSAPDLEYPESGWGTSLLRKCKSMVSKVSPIKKMEHITDAVSTELPPLSTMKVNEGARGHIGHEDEPEPSFRMPNDAISQPLPSDNTTKEVDDGYAPSIDDHSFIDSKVKDVPDDSEQSELKSYQCKPGRGRKSRLSRTRTVKATVEEAKIFLRDTLEEPSNASMLPNDSSNIHEESRGDSSFVEKANTSIGRKRRRAQSSRITESEQDDCDSEGRSGSVTTAGGRRKRRQSIASSVQAPGEQRYNLRHRKTLSHSSTGCS >ONI33160 pep chromosome:Prunus_persica_NCBIv2:G1:35787520:35791305:1 gene:PRUPE_1G408900 transcript:ONI33160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVKKGSEEEQPTSRLQIYSTSDTLSPFWRDKYEKDAKKYWDVFYKRHQDRFFKDRHYLDKEWGQYFSGAGRKVILEVGCGAGNTIFPLLETYTDAFVHACDFSPHAVNLVKAHKDFTDSRVSAFVCDLTIDDLSKQISSSSVDIVTMIFVLSAVSPEKMPLVLKNVRKVLKPSGRVLFRDYATGDLAQERLNCKDQKISENFYVRGDGTRAFYFSNEFLTSLFKENGFNVEQLDLCCKQVENRSRELVMNRRWVQAVFRLSDGTNSSTNTEAAIRVNHLGQEKIELDVNGNILKQPENDLEVDMSDGVAAEMFGISPSSDNEVIELELGGWTFKIEVLSKVHQHTCKSTGLMLWESARFMASVLAKNPAIVSGKRVLELGCGSGGICSMIAARSADLVLGTDGDTNALDLLKQNVNSNLRPPLLDKLITRRLEWGNRDQIEAIKEAHAGGFDIIIGTDVTYIAEAVLPLFQTAKELISSNRSIGADLEPALILCHIFRRVDEPSILSAASHFGFRLVDKWPIGIPTNPSPSIIKTWFPENGSEHVESGALHILYFHME >ONI33159 pep chromosome:Prunus_persica_NCBIv2:G1:35787537:35791274:1 gene:PRUPE_1G408900 transcript:ONI33159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVKKGSEEEQPTSRLQIYSTSDTLSPFWRDKYEKDAKKYWDVFYKRHQDRFFKDRHYLDKEWGQYFSGAGRKVILEVGCGAGNTIFPLLETYTDAFVHACDFSPHAVNLVKAHKDFTDSRVSAFVCDLTIDDLSKQISSSSVDIVTMIFVLSAVSPEKMPLVLKNVRKVLKPSGRVLFRDYATGDLAQERLNCKDQKISENFYVRGDGTRAFYFSNEFLTSLFKENGFNVEQLDLCCKQVENRSRELVMNRRWVQAVFRLSDGTNSSTNTEAAIRVNHLGQEKIELDVNGNILKQPENDLEVDMSDGVAAEMFGISPSSDNEVIELELGGWTFKIEVLSKVHQHTCKSTGLMLWESARFMASVLAKNPAIVSGKRVLELGCGSGGICSMIAARSADLVLGTDGDTNALDLLKQNVNSNLRPPLLDKLITRRLEWGNRDQIEAIKEAHAGGFDIIIGTDVTYIAEAVLPLFQTAKELISSNRSIGADLEPALILCHIFRRVDEPSILSAASHFGFRLVDKWPIGIPTNPSPSIIKTWFPENGSEHVESGALHILYFHME >ONI33161 pep chromosome:Prunus_persica_NCBIv2:G1:35787294:35791287:1 gene:PRUPE_1G408900 transcript:ONI33161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVKKGSEEEQPTSRLQIYSTSDTLSPFWRDKYEKDAKKYWDVFYKRHQDRFFKDRHYLDKEWGQYFSGAGRKVILEVGCGAGNTIFPLLETYTDAFVHACDFSPHAVNLVKAHKDFTDSRVSAFVCDLTIDDLSKQISSSSVDIVTMIFVLSAVSPEKMPLVLKNVRKVLKPSGRVLFRDYATGDLAQERLNCKDQKISENFYVRGDGTRAFYFSNEFLTSLFKENGFNVEQLDLCCKQVENRSRELVMNRRWVQAVFRLSDGTNSSTNTEAAIRVNHLGQEKIELDVNGNILKQPENDLEVDMSDGVAAEMFGISPSSDNEVIELELGGWTFKIEVLSKVHQHTCKSTGLMLWESARFMASVLAKNPAIVSGKRVLELGCGSGGICSMIAARSADLVLGTDGDTNALDLLKQNVNSNLRPPLLDKLITRRLEWGNRDQIEAIKEAHAGGFDIIIGTDVTYIAEAVLPLFQTAKELISSNRSIGADLEPALILCHIFRRVDEPSILSAASHFGFRLVDKWPIGIPTNPSPSIIKTWFPENGSEHVESGALHILYFHME >ONI30602 pep chromosome:Prunus_persica_NCBIv2:G1:27019971:27023086:-1 gene:PRUPE_1G261400 transcript:ONI30602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKRQGTRCTGPVTRRCARCGAVAYCSVSHQIVHWPAHKEECGRLEQHMKHMDILNEFPFTFTQEATVQVCEKLETRCSVLKKRGVHQMGMWLYECCCGNSVISFDCSRLNNSWDLSNTFCPCTEPLSMISKHLTSWKEYYEWRSIPLHSPVSLLLHRPLTIYYATQVAGLGRWTSGISHKLHIHYLGPEKELLQLSVFSELHALFPNVHVHIELVGPAIPQHRDGEMIELCNYAHCLDRDCVCKSSSETVSWDAHTSKPSTVTLQLRSGFYHDRYKDIAQDSSPHLIVAPNAGIAAYSSWPPTIELIKDMDIPAVFSDYCKEACHLGASCITGVTGCPLNIPIQLNPFRQPMVVEDSVLWLPCYSNCFLYGMSKWSV >ONI30603 pep chromosome:Prunus_persica_NCBIv2:G1:27019455:27023167:-1 gene:PRUPE_1G261400 transcript:ONI30603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSLLLKKQLFRLNNSWDLSNTFCPCTEPLSMISKHLTSWKEYYEWRSIPLHSPVSLLLHRPLTIYYATQVAGLGRWTSGISHKLHIHYLGPEKELLQLSVFSELHALFPNVHVHIELVGPAIPQHRDGEMIELCNYAHCLDRDCVCKSSSETVSWDAHTSKPSTVTLQLRSGFYHDRYKDIAQDSSPHLIVAPNAGIAAYSSWPPTIELIKDMDIPAVFSDYCKEACHLGASCITGVTGCPLNIPIQLNPFRQPMVVEDSVLWLPCYSNCFLYGMSKWSV >ONI30601 pep chromosome:Prunus_persica_NCBIv2:G1:27019971:27023086:-1 gene:PRUPE_1G261400 transcript:ONI30601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAGKRQGTRCTGPVTRRCARCGAVAYCSVSHQIVHWPAHKEECGRLEQHMKHMDILNEFPFTFTQEATVQVCEKLETRCSVLKKRGVHQMGMWLLNNSWDLSNTFCPCTEPLSMISKHLTSWKEYYEWRSIPLHSPVSLLLHRPLTIYYATQVAGLGRWTSGISHKLHIHYLGPEKELLQLSVFSELHALFPNVHVHIELVGPAIPQHRDGEMIELCNYAHCLDRDCVCKSSSETVSWDAHTSKPSTVTLQLRSGFYHDRYKDIAQDSSPHLIVAPNAGIAAYSSWPPTIELIKDMDIPAVFSDYCKEACHLGASCITGVTGCPLNIPIQLNPFRQPMVVEDSVLWLPCYSNCFLYGMSKWSV >ONI33604 pep chromosome:Prunus_persica_NCBIv2:G1:37188426:37190296:-1 gene:PRUPE_1G435400 transcript:ONI33604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSHTILYGKARASFNFGTKPNTKLGSMSPSEFPTSIPRRTHVRSCLIRAKIPRCHAFERSVNQAEMTDEDDDLCPVECVREFKTDEELFRILETAKKTGSLVVVDFFRTSCGSCKYIEQGFSKLCKGAGNGEAGVIFLKHNVIDEYDEQSEVAERLRIKTVPLFHFYKDGILLEAFPTRDKERINAAISKYTSPASEEDDA >ONI33605 pep chromosome:Prunus_persica_NCBIv2:G1:37189086:37189692:-1 gene:PRUPE_1G435400 transcript:ONI33605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSHTILYGKARASFNFGTKPNTKLGSMSPSEFPTSIPRRTHVRSCLIRAKIPRCHAFERSVNQAEMTDEDDDLCPVECVREFKTDEELFRILETAKKTGSLVVVDFFRTSCGSCKYIEQGFSKLCKGAGNGEAGVIFLKHNVIDEYDEQSEVAERLRIKVTWFDQLYKVVFVCHF >ONI33603 pep chromosome:Prunus_persica_NCBIv2:G1:37188464:37190296:-1 gene:PRUPE_1G435400 transcript:ONI33603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSHTILYGKARASFNFGTKPNTKLGSMSPSEFPTSIPRRTHVRSCLIRAKIPRCHAFERSVNQAEMTDEDDDLCPVECVREFKTDEELFRILETAKKTGSLVVVDFFRTSCGSCKYIEQGFSKLCKGAGNGEAGVIFLKHNVIDEYDEQSEVAERLRIKTVPLFHFYKDGILLEAFPTRDKERINAAISKYTSPASEEDDA >ONI35790 pep chromosome:Prunus_persica_NCBIv2:G1:45315577:45316631:1 gene:PRUPE_1G555000 transcript:ONI35790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTCIKIPTHVILHSPTLKPKAASAAHSRYQKRRDEVNLNLRNPTATISPSPSPFPILATPLIMLAAASSSTTWLRARRSRYLFLLICSPILIPFLCATFPFLCAAELCLRLCRRRRIKNAHGADEEVEERLRRCEEGRGGEREEMGLLQRYLEDQLLLVGSVYDCGDDDVDDRLYHEIHDYDNDSKTTPLLA >ONI36469 pep chromosome:Prunus_persica_NCBIv2:G1:47616528:47621445:1 gene:PRUPE_1G586600 transcript:ONI36469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCVVGLDLLTPSSSPLFSSHNLRIPPLSHSNLRLAGHPFNFRLKAKARIASSTFTTCSAKVVNVDKPESKIVKENSVSVILLAGGKGKRMGASMPKQYLPLLSQPIALYSFYTFSQMTEVKEIIVVCDPSYKDIFEETKHKIQKELKFTLPGKERQDSVYSGLQAIDLSSELVCIHDSARPLVSSGDVEKVLKDGWLNGAAVLGVPVKATIKEANSESFVVKTLDRRTLWEMQTPQVIKPQLLRKGFELVNREGLEVTDDVSIVEHLPHPVYITEGSYTNIKVTTPDDLLLAERILSMSSGKSSE >ONI29154 pep chromosome:Prunus_persica_NCBIv2:G1:16252435:16252921:1 gene:PRUPE_1G183600 transcript:ONI29154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLPNSKIPTLSDFFPVILFPTMLKSLPLRPMSFFLPLSFLGCRVLVLTDGDFELLLFCWSVLALEESSEPCF >ONI32275 pep chromosome:Prunus_persica_NCBIv2:G1:33100307:33104377:1 gene:PRUPE_1G357700 transcript:ONI32275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPNGLEGLREKNDLLPVLKLIDTFDAMYDLIANDDTVFTFLTNKDAPKYKLVRVDIKEPTVWTDVLQEAEKDVLESVCAVNGSQMIVSYLSDVKCVLQIRDLNSGNLLHQLPIDIGSVSGISGRREDSTAFFEFTSFLTPGIIYQCNLANEIPDMKVFREITVPGFDRSEFQVDQVFIPSKDGNEIPMFVVARKNIPLDGSHPCLLYGYGGFEISITPYFSVSRIVLTRHLGAVFCIANIRGGGEYGEEWHKSGSLAKKQNCFDDFISAAEYLVSAGYTQPSKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDKEEEFQWLIKYSPLHNVRRPWELHASQPHQYPSTMLLTADHDDRVVPLHTLKLLATLQYVLSTSLEKSPQTNPIICRIECKAGHGSGLPTQKMIDEAADRYSFMAKMLGASWIE >ONI32273 pep chromosome:Prunus_persica_NCBIv2:G1:33099028:33104051:1 gene:PRUPE_1G357700 transcript:ONI32273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCALDVPLQYPRVRRDESVIDDYHGVKIADPYRWLEDPDSEETKDFVQKQVELTQSVLKECDTRGKLNEKITKLFDHPRYDPPYREGNKYFYSHNTGLQAQNVIYVQDSLDGEPEVLLDPNTLSEDGTVSLNTHSVSEDAKYMAYALSTSGSDWVTIKVMRVEDKKIEPDTLSWKCGYNGTNKSLKLNLFRNLETIIGTMNSYVRFILIFPRLEGKDIDAGTETNANLYHEVYYHFVGTDQSKDILCWKDPENPKYLFGAYVTVDGKYIILSINEGCDPVNKFYYCDMSALPNGLEGLREKNDLLPVLKLIDTFDAMYDLIANDDTVFTFLTNKDAPKYKLVRVDIKEPTVWTDVLQEAEKDVLESVCAVNGSQMIVSYLSDVKCVLQIRDLNSGNLLHQLPIDIGSVSGISGRREDSTAFFEFTSFLTPGIIYQCNLANEIPDMKVFREITVPGFDRSEFQVDQVFIPSKDGNEIPMFVVARKNIPLDGSHPCLLYGYGGFEISITPYFSVSRIVLTRHLGAVFCIANIRGGGEYGEEWHKSGSLAKKQNCFDDFISAAEYLVSAGYTQPSKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDKEEEFQWLIKYSPLHNVRRPWELHASQPHQYPSTMLLTADHDDRVVPLHTLKLLATLQYVLSTSLEKSPQTNPIICRIECKAGHGSGLPTQKMIDEAADRYSFMAKMLGASWIE >ONI32274 pep chromosome:Prunus_persica_NCBIv2:G1:33100148:33104417:1 gene:PRUPE_1G357700 transcript:ONI32274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPNGLEGLREKNDLLPVLKLIDTFDAMYDLIANDDTVFTFLTNKDAPKYKLVRVDIKEPTVWTDVLQEAEKDVLESVCAVNGSQMIVSYLSDVKCVLQIRDLNSGNLLHQLPIDIGSVSGISGRREDSTAFFEFTSFLTPGIIYQCNLANEIPDMKVFREITVPGFDRSEFQVDQVFIPSKDGNEIPMFVVARKNIPLDGSHPCLLYGYGGFEISITPYFSVSRIVLTRHLGAVFCIANIRGGGEYGEEWHKSGSLAKKQNCFDDFISAAEYLVSAGYTQPSKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDKEEEFQWLIKYSPLHNVRRPWELHASQPHQYPSTMLLTADHDDRVVPLHTLKLLATLQYVLSTSLEKSPQTNPIICRIECKAGHGSGLPTQKMIDEAADRYSFMAKMLGASWIE >ONI28166 pep chromosome:Prunus_persica_NCBIv2:G1:10017644:10019334:-1 gene:PRUPE_1G128000 transcript:ONI28166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPFAPALPPNYHLTTHPTPAASRPLLSPQKQILPHNSYHGVNARNYHSVPRRRMTTRCQAMEVSVADGSSSASGGGGGENWVPVVPLAALPRGERRVIIQDGEAILLLWYKDQVFAIENRSPAEGAYSEGLLNAKLTQDGCIVCPSTDSTFDLRNGSIKEWYPKNPVLRVLTPALRTLYVYPVKTDDQNIYISLGAASLQSDAAAEIVFSGKAQPGFTATDVNVDEVKMVVDDGLDVAFGFTARNEIINGKAAVIGFLLLLDFELLTGKGLLKGTGFLDFIYSVSNALQ >ONI26412 pep chromosome:Prunus_persica_NCBIv2:G1:1588164:1591920:1 gene:PRUPE_1G023000 transcript:ONI26412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMATYFVKTPSPSPSFPKTFNTHFSPAPRLSFPLGSKPRTRQRLRISNALIEPDGGKLVELFVEESKKGEKKREATSLPRVKLSRIDLQWVHVISEGWSSPLRGFMRESEFLQTLHFNSLRLEDGSVVNMSVPIVLAIDDAQKRLIGESTRVALVDSDNNLIAILKDIEIYKHHKEERIARTWGTTAPGLPYVDQAITNAGNWLIGGDLEVIEPIKYHDGLDRFRLSPAELREEFTRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPIEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKSQGKMAFFDPSRQQDFLFISGTKMRTLARNKENPPEGFMCPNGWKVLVDYYDSLVPADSGKVPEAVPV >ONI28756 pep chromosome:Prunus_persica_NCBIv2:G1:12676155:12684106:-1 gene:PRUPE_1G159500 transcript:ONI28756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDDDANANACHCTRELDMGDLTMTFKEDQKAPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNTVYICGTDEYGTATETKAMEEKCSPQEICDKYHAIHREVYEWFNISFEKFGRTSTPQQTEVCQEIFKKLLENGWLSENTMQQLYCDTCKRFLADRLVEGTCPTQGCDYGSARGDQCENCGKLLNPTELKDPKCKVCKTTPRIRDTNHLFLELPSLKDKLEEYINRTSVAGSWSQNAIQATNAWLKEGLKQRCITRDLKWGVPVPHDNFKDKVFYVWFDAPIGYVSITKCYTDDWEQWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNNAKDTNIPVEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPSGQGYGSIIPDAPSAESDPLTEKLAEKVGKYVEQYIESMEKVKLKQGLKTAMSISSEGNAYLQESQFWKLYKEDQPRCAVVMRTSVGLVHLLACLLEPFMPSFSLEVFKQLDLPPETHISLYDDKGDIASARRPWEIVPIGHKIGKPEPLFKELKDEEVESLRKKFAGSQADRKEREEAEAVKVAAQLKKMKVSDNSGKKKQQATKSAAEAEISISRLDIRVGLITKAQKHPDADSLYVEEIDVGEGQTRTVVSGLVKYIPIEEMQNRKVCVLCNLKPATMRGIKSQAMVLAASNSDHTTVELVDPPKAAQVGERVTFPGFVGEPDEVLNPKKKVWETLQVDLHTNTDLVACHRDIPLTTSAGVCTVSSIRGGSIR >ONI28757 pep chromosome:Prunus_persica_NCBIv2:G1:12676417:12682746:-1 gene:PRUPE_1G159500 transcript:ONI28757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTMTFKEDQKAPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNTVYICGTDEYGTATETKAMEEKCSPQEICDKYHAIHREVYEWFNISFEKFGRTSTPQQTEVCQEIFKKLLENGWLSENTMQQLYCDTCKRFLADRLVEGTCPTQGCDYGSARGDQCENCGKLLNPTELKDPKCKVCKTTPRIRDTNHLFLELPSLKDKLEEYINRTSVAGSWSQNAIQATNAWLKEGLKQRCITRDLKWGVPVPHDNFKDKVFYVWFDAPIGYVSITKCYTDDWEQWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNNAKDTNIPVEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPSGQGYGSIIPDAPSAESDPLTEKLAEKVGKYVEQYIESMEKVKLKQGLKTAMSISSEGNAYLQESQFWKLYKEDQPRCAVVMRTSVGLVHLLACLLEPFMPSFSLEVFKQLDLPPETHISLYDDKGDIASARRPWEIVPIGHKIGKPEPLFKELKDEEVESLRKKFAGSQADRKEREEAEAVKVAAQLKKMKVSDNSGKKKQQATKSAAEAEISISRLDIRVGLITKAQKHPDADSLYVEEIDVGEGQTRTVVSGLVKYIPIEEMQNRKVCVLCNLKPATMRGIKSQAMVLAASNSDHTTVELVDPPKAAQVGERVTFPGFVGEPDEVLNPKKKVWETLQVDLHTNTDLVACHRDIPLTTSAGVCTVSSIRGGSIR >ONI28758 pep chromosome:Prunus_persica_NCBIv2:G1:12676155:12683094:-1 gene:PRUPE_1G159500 transcript:ONI28758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTMTFKEDQKAPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNTVYICGTDEYGTATETKAMEEKCSPQEICDKYHAIHREVYEWFNISFEKFGRTSTPQQTEVCQEIFKKLLENGWLSENTMQQLYCDTCKRFLADRLVEGTCPTQGCDYGSARGDQCENCGKLLNPTELKDPKCKVCKTTPRIRDTNHLFLELPSLKDKLEEYINRTSVAGSWSQNAIQATNAWLKEGLKQRCITRDLKWGVPVPHDNFKDKVFYVWFDAPIGYVSITKCYTDDWEQWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNNAKDTNIPVEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPSGQGYGSIIPDAPSAESDPLTEKLAEKVGKYVEQYIESMEKVKLKQGLKTAMSISSEGNAYLQESQFWKLYKEDQPRCAVVMRTSVGLVHLLACLLEPFMPSFSLEVFKQLDLPPETHISLYDDKGDIASARRPWEIVPIGHKIGKPEPLFKELKDEEVESLRKKFAGSQADRKEREEAEAVKVAAQLKKMKVSDNSGKKKQQATKSAAEAEISISRLDIRVGLITKAQKHPDADSLYVEEIDVGEGQTRTVVSGLVKYIPIEEMQNRKVCVLCNLKPATMRGIKSQAMVLAASNSDHTTVELVDPPKAAQVGERVTFPGFVGEPDEVLNPKKKVWETLQVDLHTNTDLVACHRDIPLTTSAGVCTVSSIRGGSIR >ONI26488 pep chromosome:Prunus_persica_NCBIv2:G1:1968882:1969595:1 gene:PRUPE_1G028200 transcript:ONI26488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRKQLFFFLQLKMCRRSLTPICTWMSGNKFTMVITSNHASPNDQVRTFPGQLCMHPIYIRSHMQKKTQLNPIPDQVLIENFNNYILTRSYSCHCPMYVLILHKAYGIDKSFLNCSGTATFLSLTNGQDTLKEKKIYKIHFMREKETLIPQLKVAKGFKRKKPHIVERHQIFKHLLVSTYDIKYRNKKL >ONI31693 pep chromosome:Prunus_persica_NCBIv2:G1:31022534:31024742:1 gene:PRUPE_1G325400 transcript:ONI31693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMDGSIVGRCRFGLKTYSVLIFKDTKYVDLCSQICSQFKELNSCEMEMTYAIGNH >ONI31694 pep chromosome:Prunus_persica_NCBIv2:G1:31022511:31024866:1 gene:PRUPE_1G325400 transcript:ONI31694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMDGSIVGRCRFGLKTYSVLIFKDTKYVDLCSQICSQFKELNSCEMEMTYAIGNH >ONI31692 pep chromosome:Prunus_persica_NCBIv2:G1:31022478:31024935:1 gene:PRUPE_1G325400 transcript:ONI31692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMDGSIVGRCRFGLKTYSVLIFKDTKYVDLCSQICSQFKELNSCEMEMTYAIGNH >ONI30653 pep chromosome:Prunus_persica_NCBIv2:G1:27308747:27309200:1 gene:PRUPE_1G264600 transcript:ONI30653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAHSVLREMLDRGLQPTVVTFSVLMNGFCMSGMLEDVPNAGTYNSLMKLYCIRNNMLTTTDMYRSMCAGGKGFIPTASCYSVLIKGLFKKRKFAEARELYEEMTWCSCR >ONI33591 pep chromosome:Prunus_persica_NCBIv2:G1:37144236:37144591:1 gene:PRUPE_1G434600 transcript:ONI33591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKYDYGNWLHQIAKKSKCCSDSRNTATDIENILDCTFGRLLMLQLVLMYGNMEIKAGTYSFTLAHEWFMYV >ONI28229 pep chromosome:Prunus_persica_NCBIv2:G1:10484780:10489136:-1 gene:PRUPE_1G132500 transcript:ONI28229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARRAWHKRALVSGRRWLCPYTSSAPSPATVHSGRSPPFRALTSELVAFSTVASTPFRLADPISNCSVAYNVKSSWFSSQPMADVTAAGNGIVDVPLAQTGEGIAECELLKWFVQEGDQVEEFQPLCEVQSDKATIEITSRYQGKVNQLLYVPGDIVKVGEILLKMAVEESQVPKQISENFENTKSLDSELNTQNIGGVLSTPPVRNLAKQYDIDINEVDGTGKDGRVLKGDVLKYAAQKGIIQDPSASLSASSDKVLGDEKSYSHPSAESGCNYDDKTVTLRGFQRRMVKSMSMAAKVPHFHYVEEIKCDALVELKQSFQSNNSDSNVKHTFLPLLIKTLSMAMGKYPLMNSCFNEESLEVILKGSHNIGIAMATPYGLVVPIIKNVQSLSILEITKELSRLQQLALENKLRPEDISGGTITLSNIGAIGGKYGSPLLNLPEVAIIALGRIQKVPQFADDGNVYPVSIMTVNIGADHRVLDGATVARFCNEWKQFIQNPELVMLHMR >ONI35796 pep chromosome:Prunus_persica_NCBIv2:G1:45339337:45342289:1 gene:PRUPE_1G555400 transcript:ONI35796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNKEFFPPQYDVNAKWDACLDLTVRRFVYSSLGGAFGGLLLFRSPVSRWASVAFGAGLGIGSAYTECSRLFEGSPAKLAAPKIIETPAPQHNSSSDNLVI >ONI35795 pep chromosome:Prunus_persica_NCBIv2:G1:45339337:45342289:1 gene:PRUPE_1G555400 transcript:ONI35795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNKEFFPPQYDVNAKWDACLDLTVRRFVYSSLGGAFGGLLLFRSPVSRWASVAFGAGLGIGSAYTECSRLFEGSPAKLAAPKIIETPAPQDGQD >ONI34171 pep chromosome:Prunus_persica_NCBIv2:G1:38907619:38907993:-1 gene:PRUPE_1G466500 transcript:ONI34171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIQNTVYYCCVSRGNWIIYVYSGGDGEIENLATLCLEKTTPFLRWYFETVGKRTYGFLIEDGYIYFTIVDESLGNPGVLQFLEHLRDEFKKIARKGSRGNFLVFTRIGLRQWRVVEEGEDCG >ONI28863 pep chromosome:Prunus_persica_NCBIv2:G1:13315478:13336421:1 gene:PRUPE_1G165000 transcript:ONI28863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLATASSMTRKLPAKPRPKPRLSFDSEPQRVKMWCALGHFSSRRKPRKWNSKPSTVSFKPLIPTPERYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDVAYKLRESISKDQEKTESVKSQMQELEGSIRDVDTKIRYTEATLKDLRELQDQISMKTAVRSTLFKEQQKKYADLAEENEDTDEELKEWKTKFEERIAILETKISKLEREMEDTGTKSGVLKKTLEKSIWEISKLQTEAEVHRSSKSERDSIIQNFFTRHNLGSLPNPPFDDEVALNLTNRIKSRLLELEKDLQDKKKSNEFELKTAWDRYMDANGRWNNNEAQKKAKKDIKNGLLKRIKEKENERDSFELQVSNVDLSHIDEKEKNMSIEVERKKNQLARREFESTIIQKESELYSIGQMIKVADREKSILDLDSEDRVKLSIKKTELENLKKKHRKIIDEYKDRIRGMLKGRLPPEKDFNKEITQVLRAATKEFDDLSAKSRDAEKEVNMLEMRVQEVNNNLSKHRKDMDSKRRYIESKLQALDQQSFTADSYPKVLDSAKEKRDVEKRKYNFADGMRQMFDPFERVARANHICPCCERPFSLKEEDEFVKKQRMNSASSAEKIKVLAAESLSADSFFQQLDKLRMVYEEYVNIGKETIPNAEKELRDLSEEMEQKSQALNDVLAVSAQVKADKDSIQALVQPIETADRLFQEIQTLQQQVDELVYKLEYQGQGAKSLKDIESELNGLRSREDNLRDELEKLREERRYMENDLADTRIRWHSLKEEKGKVANILRDVERVEAELDRLTEEKSQVDLDEKHLEEAHGPLSREKDELLRDYNNLKVKLDREYEEQAEKKGKYQQEVVALLALISKIKQYNDEKKGEKLQELQVNKCLSESQLQSCDTRKQEISTELDKSKELKRNQDQLKRNIEDNLNYRKTKAEVEELSHEIESLEDRILKIGGKSKIEAEFGKLSQERERLLSELNIHRGTMSVYQKNISRDISDLKQAQYKEIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYICIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNADSLAAALHRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRVAKDDHQHSIIEAQEIFD >ONI28865 pep chromosome:Prunus_persica_NCBIv2:G1:13319071:13336160:1 gene:PRUPE_1G165000 transcript:ONI28865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRHCFHLTISDTDEELKEWKTKFEERIAILETKISKLEREMEDTGTKSGVLKKTLEKSIWEISKLQTEAEVHRSSKSERDSIIQNFFTRHNLGSLPNPPFDDEVALNLTNRIKSRLLELEKDLQDKKKSNEFELKTAWDRYMDANGRWNNNEAQKKAKKDIKNGLLKRIKEKENERDSFELQVSNVDLSHIDEKEKNMSIEVERKKNQLARREFESTIIQKESELYSIGQMIKVADREKSILDLDSEDRVKLSIKKTELENLKKKHRKIIDEYKDRIRGMLKGRLPPEKDFNKEITQVLRAATKEFDDLSAKSRDAEKEVNMLEMRVQEVNNNLSKHRKDMDSKRRYIESKLQALDQQSFTADSYPKVLDSAKEKRDVEKRKYNFADGMRQMFDPFERVARANHICPCCERPFSLKEEDEFVKKQRMNSASSAEKIKVLAAESLSADSFFQQLDKLRMVYEEYVNIGKETIPNAEKELRDLSEEMEQKSQALNDVLAVSAQVKADKDSIQALVQPIETADRLFQEIQTLQQQVDELVYKLEYQGQGAKSLKDIESELNGLRSREDNLRDELEKLREERRYMENDLADTRIRWHSLKEEKGKVANILRDVERVEAELDRLTEEKSQVDLDEKHLEEAHGPLSREKDELLRDYNNLKVKLDREYEEQAEKKGKYQQEVVALLALISKIKQYNDEKKGEKLQELQVNKCLSESQLQSCDTRKQEISTELDKSKELKRNQDQLKRNIEDNLNYRKTKAEVEELSHEIESLEDRILKIGGKSKIEAEFGKLSQERERLLSELNIHRGTMSVYQKNISRDISDLKQAQYKEIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYICIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNADSLAAALHRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRVAKDDHQHSIIEAQEIFD >ONI28862 pep chromosome:Prunus_persica_NCBIv2:G1:13315478:13336421:1 gene:PRUPE_1G165000 transcript:ONI28862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDKMLIKGIRSFDPENKHVITFFKPLTLIVGPNGAGKTTIIECLKLSCSGELPPNARSGHSFIHDPKVAGETETKAQIKLRFRTAAGKDVVCIRSFQLTQKASKMEFKAIDSVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDVAYKLRESISKDQEKTESVKSQMQELEGSIRDVDTKIRYTEATLKDLRELQDQISMKTAVRSTLFKEQQKKYADLAEENEDTDEELKEWKTKFEERIAILETKISKLEREMEDTGTKSGVLKKTLEKSIWEISKLQTEAEVHRSSKSERDSIIQNFFTRHNLGSLPNPPFDDEVALNLTNRIKSRLLELEKDLQDKKKSNEFELKTAWDRYMDANGRWNNNEAQKKAKKDIKSIEVERKKNQLARREFESTIIQKESELYSIGQMIKVADREKSILDLDSEDRVKLSIKKTELENLKKKHRKIIDEYKDRIRGMLKGRLPPEKDFNKEITQVLRAATKEFDDLSAKSRDAEKEVNMLEMRVQEVNNNLSKHRKDMDSKRRYIESKLQALDQQSFTADSYPKVLDSAKEKRDVEKRKYNFADGMRQMFDPFERVARANHICPCCERPFSLKEEDEFVKKQRMNSASSAEKIKVLAAESLSADSFFQQLDKLRMVYEEYVNIGKETIPNAEKELRDLSEEMEQKSQALNDVLAVSAQVKADKDSIQALVQPIETADRLFQEIQTLQQQVDELVYKLEYQGQGAKSLKDIESELNGLRSREDNLRDELEKLREERRYMENDLADTRIRWHSLKEEKGKVANILRDVERVEAELDRLTEEKSQVDLDEKHLEEAHGPLSREKDELLRDYNNLKVKLDREYEEQAEKKGKYQQEVVALLALISKIKQYNDEKKGEKLQELQVNKCLSESQLQSCDTRKQEISTELDKSKELKRNQDQLKRNIEDNLNYRKTKAEVEELSHEIESLEDRILKIGGKSKIEAEFGKLSQERERLLSELNIHRGTMSVYQKNISRDISDLKQAQYKEIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYICIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNADSLAAALHRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRVAKDDHQHSIIEAQEIFD >ONI28861 pep chromosome:Prunus_persica_NCBIv2:G1:13315478:13336421:1 gene:PRUPE_1G165000 transcript:ONI28861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDKMLIKGIRSFDPENKHVITFFKPLTLIVGPNGAGKTTIIECLKLSCSGELPPNARSGHSFIHDPKVAGETETKAQIKLRFRTAAGKDVVCIRSFQLTQKASKMEFKAIDSVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDVAYKLRESISKDQEKTESVKSQMQELEGSIRDVDTKIRYTEATLKDLRELQDQISMKTAVRSTLFKEQQKKYADLAEENEDTDEELKEWKTKFEERIAILETKISKLEREMEDTGTKSGVLKKTLEKSIWEISKLQTEAEVHRSSKSERDSIIQNFFTRHNLGSLPNPPFDDEVALNLTNRIKSRLLELEKDLQDKKKSNEFELKTAWDRYMDANGRWNNNEAQKKAKKDIKNGLLKRIKEKENERDSFELQVSNVDLSHIDEKEKNMSIEVERKKNQLARREFESTIIQKESELYSIGQMIKVADREKSILDLDSEDRVKLSIKKTELENLKKKHRKIIDEYKDRIRGMLKGRLPPEKDFNKEITQVLRAATKEFDDLSAKSRDAEKEVNMLEMRVQEVNNNLSKHRKDMDSKRRYIESKLQALDQQSFTADSYPKVLDSAKEKRDVEKRKYNFADGMRQMFDPFERVARANHICPCCERPFSLKEEDEFVKKQRMNSASSAEKIKVLAAESLSADSFFQQLDKLRMVYEEYVNIGKETIPNAEKELRDLSEEMEQKSQALNDVLAVSAQVKADKDSIQALVQPIETADRLFQEIQTLQQQVDELVYKLEYQGQGAKSLKDIESELNGLRSREDNLRDELEKLREERRYMENDLADTRIRWHSLKEEKGKVANILRDVERVEAELDRLTEEKSQVDLDEKHLEEAHGPLSREKDELLRDYNNLKVKLDREYEEQAEKKGKYQQEVVALLALISKIKQYNDEKKGEKLQELQVNKCLSESQLQSCDTRKQEISTELDKSKELKRNQDQLKRNIEDNLNYRKTKAEVEELSHEIESLEDRILKIGGKSKIEAEFGKLSQERERLLSELNIHRGTMSVYQKNISRDISDLKQAQYKEIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYICIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNADSLAAALHRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRVAKDDHQHSIIEAQEIFD >ONI28864 pep chromosome:Prunus_persica_NCBIv2:G1:13315478:13336421:1 gene:PRUPE_1G165000 transcript:ONI28864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELEGSIRDVDTKIRYTEATLKDLRELQDQISMKTAVRSTLFKEQQKKYADLAEENEDTDEELKEWKTKFEERIAILETKISKLEREMEDTGTKSGVLKKTLEKSIWEISKLQTEAEVHRSSKSERDSIIQNFFTRHNLGSLPNPPFDDEVALNLTNRIKSRLLELEKDLQDKKKSNEFELKTAWDRYMDANGRWNNNEAQKKAKKDIKNGLLKRIKEKENERDSFELQVSNVDLSHIDEKEKNMSIEVERKKNQLARREFESTIIQKESELYSIGQMIKVADREKSILDLDSEDRVKLSIKKTELENLKKKHRKIIDEYKDRIRGMLKGRLPPEKDFNKEITQVLRAATKEFDDLSAKSRDAEKEVNMLEMRVQEVNNNLSKHRKDMDSKRRYIESKLQALDQQSFTADSYPKVLDSAKEKRDVEKRKYNFADGMRQMFDPFERVARANHICPCCERPFSLKEEDEFVKKQRMNSASSAEKIKVLAAESLSADSFFQQLDKLRMVYEEYVNIGKETIPNAEKELRDLSEEMEQKSQALNDVLAVSAQVKADKDSIQALVQPIETADRLFQEIQTLQQQVDELVYKLEYQGQGAKSLKDIESELNGLRSREDNLRDELEKLREERRYMENDLADTRIRWHSLKEEKGKVANILRDVERVEAELDRLTEEKSQVDLDEKHLEEAHGPLSREKDELLRDYNNLKVKLDREYEEQAEKKGKYQQEVVALLALISKIKQYNDEKKGEKLQELQVNKCLSESQLQSCDTRKQEISTELDKSKELKRNQDQLKRNIEDNLNYRKTKAEVEELSHEIESLEDRILKIGGKSKIEAEFGKLSQERERLLSELNIHRGTMSVYQKNISRDISDLKQAQYKEIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYICIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNADSLAAALHRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAEKYYRVAKDDHQHSIIEAQEIFD >ONI26360 pep chromosome:Prunus_persica_NCBIv2:G1:1408085:1410731:-1 gene:PRUPE_1G020000 transcript:ONI26360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTGKWLRSFLTGKKDKEKDKEKDKEKCPTNQSCSVASHENPTTPISNSQTTPKEKRRWSFRRSSATAAAAAAASAAAPRDSSFIDAMPTTPPSMHTTLDSQNYEQKKHALAMAAATAAVADAAVAAAQAAAAVIRLTAAASEKASASEEAAAVKIQSVFRSYLARKALCALKGLVKLQALVRGHLVRKQAKATLRCMQALVTAQARALAQRIRMAEDENTVIPPRHSTPRRSLQENRFRHTYNEMDRGMEENIKIVEMDLGESKGILKSRNSSYSNLSQTERTEHRFSTQHAPNQAYSNQDSYNQLSPAPSALTDLSPGACSAHLEDYSFGTAQSSPQCYSAMSKLDPSRAPFAFPRPDYGEPLSYDYPLFPNYMANTQSSKAKARSQSAPKQRPADSIERQPSRPRRASMEGRNIPRAVKMQRSSSHVSSTAQNYQYPWYIKLDRSTVSLKESECGSSSTVLTNTNYCRSLVAFDAHGSRY >ONI33524 pep chromosome:Prunus_persica_NCBIv2:G1:36900762:36902991:-1 gene:PRUPE_1G430500 transcript:ONI33524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHHHQQEVESSEEQNPVFLLDALYCSEEHLEEQASVVEYFQEEDEFVGDSCCYSNISSYKPIKVPILLEQDLFWDNEELISLLSKEAEQNGELQKPLQISSSVSGARKEAVDWMLRVITHFSFSALTAVLAVDYFDRFFFSLQFQIEKPWTTQLAAVACLSLAAKVEETQVPLLLDFQVEDSKYFFEARTIKRMEILVLSTLQWKMNPVTPLSFVDYITRRLGLKHHLCWEFLKRCELILLNLISDSRFMSFLPSVVATATMLHVVNNIEPCLHVEYQNQLLGILGIDKDKVDDCYRLVLELSSSGGHGKPSNKRKFGSIPGSPNGVIDMSFSSDSSNDSWAVAASVSSSPEPLSKKRKLSHNPNAEILSFIPR >ONI33523 pep chromosome:Prunus_persica_NCBIv2:G1:36901006:36902705:-1 gene:PRUPE_1G430500 transcript:ONI33523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHHHQQEVESSEEQNPVFLLDALYCSEEHLEEQASVVEYFQEEDEFVGDSCCYSNISSYKPIKVPILLEQDLFWDNEELISLLSKEAEQNGELQKPLQISSSVSGARKEAVDWMLRVITHFSFSALTAVLAVDYFDRFFFSLQFQIEKPWTTQLAAVACLSLAAKVEETQVPLLLDFQVEDSKYFFEARTIKRMEILVLSTLQWKMNPVTPLSFVDYITRRLGLKHHLCWEFLKRYSRFMSFLPSVVATATMLHVVNNIEPCLHVEYQNQLLGILGIDKDKVDDCYRLVLELSSSGGHGKPSNKRKFGSIPGSPNGVIDMSFSSDSSNDSWAVAASVSSSPEPLSKKRKLSHNPNAEILSFIPR >ONI28139 pep chromosome:Prunus_persica_NCBIv2:G1:9911604:9913144:1 gene:PRUPE_1G125800 transcript:ONI28139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRMAVTHADLAPSRPDTGFGSKTGAFLTVLTILCGLFCFILCLIAEVTRSKVTWQISSDEGDEGGKSQCVYSGSGKSPLLCAASAFLGLAIIMVVEHAYMLIAISNSPPSVLVIWEPDHSGPAKSLKWQAAFFFVATWVSFAVGEILLLIGLSVESGHLRKWSRPRPSCLVLREGVFSAAGVFALTTVLLAAGLYLTALRAQRISLHQETVRREIVEASILYASPPTSPQISTIPRENPIFRETHNIDHQPPAALSKHLNL >ONI30271 pep chromosome:Prunus_persica_NCBIv2:G1:25529269:25531647:1 gene:PRUPE_1G241200 transcript:ONI30271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSNHHDLMNVQLLPTKLDGTNYLAWSHFVRLYITGKGRIGYLTGEKKQPDDTDPKFITWVEEDAMLRSWLLQAMTPDISLGYMRLDSEHAIWDAVSQTYYEGGCDAQIYELKRRIQATTQQRKMLETYFNSLQALWQELDYYQPWDMKCSNDTAALKKRIEKERTFELLASLNLDLDQVRIQVLGKDPFPSLREAYAYVRAQALHRSTMAPLVHQSSYSAAVSSGNVAKSSKSDDKDDLKCDYCHQTKHVREHCFKLNGYPPWWPGKKGEKAEGSKRGGGKRSRSSFKAYHTSSSDQNDQPTSQLSSAQMEQIAQECARLLSDKGSKGASISLATSSGNFGCCLSAYGTGATDHMTSKLDFFSRYSSPSKTCVTTVDVSPTPVRKFVIYALHTYQAKQISNMPRYKLKWAQATQDAWGLLEWVVVWMDQRIGATIVRGSEKEGLYLLDDLVGYTSSPT >ONI31451 pep chromosome:Prunus_persica_NCBIv2:G1:30393109:30397766:-1 gene:PRUPE_1G314100 transcript:ONI31451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSKLEGTSAPATRRDPYEVLCVSRDSNDQEIKTAYRKLALKYHPDKNASNPEASEHFKEVAFSYSILSDPEKRRQYDNAGFEAIDADAMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALSGTVTVRPLPIGTSVSGKVEKQCAHFFGVTINEQQAESGIVVRVTSTAQSKFKLLYFEQDANGGYGLALQEDSEKTGKATSAGMYFLHFQVYRMDSTLNALAMAKDPEAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCVKSYEDTTQKLKEIEGQILRKRNELRQFETEYRKALARFQEVTNRYTQEKLSVDELLKQRDSIHSSFTITKTINNSVGGSGVSNGSSSKNPGEDSNAESPGEDGGSDGKDKSGKKKWFNLNLKGSDKKLG >ONI31452 pep chromosome:Prunus_persica_NCBIv2:G1:30393407:30397362:-1 gene:PRUPE_1G314100 transcript:ONI31452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSKLEGTSAPATRRDPYEVLCVSRDSNDQEIKTAYRKLALKYHPDKNASNPEASEHFKEVAFSYSILSDPEKRRQYDNAGFEAIDADAMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALSGTVTVRPLPIGTSVSGKVEKQCAHFFGVTINEQQAESGIVVRVTSTAQSKFKLLYFEQDANGGYGLALQEDSEKTGKATSAGMYFLHFQVYRMDSTLNALAMAKDPEAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCVKSYEDTTQKLKEIEGQILRKRNELRQFETEYRKALARFQEVTNRYTQEKLSVDELLKQRDSIHSSFTITKTINNSVGGSGVSNGSSSKNPGEDSNAESPGEDGGSDGKDKSGKKKWFNLNLKGSDKKLG >ONI31453 pep chromosome:Prunus_persica_NCBIv2:G1:30393109:30397766:-1 gene:PRUPE_1G314100 transcript:ONI31453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALSGTVTVRPLPIGTSVSGKVEKQCAHFFGVTINEQQAESGIVVRVTSTAQSKFKLLYFEQDANGGYGLALQEDSEKTGKATSAGMYFLHFQVYRMDSTLNALAMAKDPEAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCVKSYEDTTQKLKEIEGQILRKRNELRQFETEYRKALARFQEVTNRYTQEKLSVDELLKQRDSIHSSFTITKTINNSVGGSGVSNGSSSKNPGEDSNAESPGEDGGSDGKDKSGKKKWFNLNLKGSDKKLG >ONI31450 pep chromosome:Prunus_persica_NCBIv2:G1:30393407:30397362:-1 gene:PRUPE_1G314100 transcript:ONI31450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSKLEGTSAPATRRDPYEVLCVSRDSNDQEIKTAYRKLALKYHPDKNASNPEASEHFKEVAFSYSILSDPEKRRQYDNAGFEAIDADAMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALSGTVTVRPLPIGTSVSGKVEKQCAHFFGVTINEQQAESGIVVRVTSTAQSKFKLLYFEQDANGGYGLALQEDSEKTGKATSAGMYFLHFQVYRMDSTLNALAMAKDPEAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCVKSYEDTTQKLKEIEGQILRKRNELRQFETEYRKALARFQEVTNRYTQEKLSVDELLKQRDSIHSSFTITKTINNSVGGSGVSNGSSSKNPGEDSNAESPGEDGGSDGKDKSGKKKWFNLNLKGSDKKLG >ONI28217 pep chromosome:Prunus_persica_NCBIv2:G1:10374312:10380360:1 gene:PRUPE_1G131800 transcript:ONI28217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKALDFDLKALLSYASTNVTGFPPSPSNFTVSKFGHGQSNPTYKLEVSSGASLKRYVLRKKPAGKLLPSAHAVEREFQVLQALGTHTLVPVPKVFCLCTDPSVIGTPFYIMEFLEGRIFLDPKLPGVTPEKRRALYQATAKALASLHSADVDAIGLGKYGRRDNYCKRQVERWAKQYIASTGEGKPKRNPKMFELIDWLQQHIPLEDSSGAAAGLVHGDFRIDNLVFHPIEDRVIGILDWELSTLGNQMCDVAYSSLPYNVDLGVEHGEGLEQTGVPEGIPSQAQYVAEYCSSSGKPWPSSEWKFYIAFSLFRGASIYAGIYSRWIMGNASGGESAQHAGERANFIIDFAWEFIRRESVLPKHPPSDYLKRSGQESEDQVFSKGGGKFVPGKRVLELRNRLLKFLEDHIYPMEKEFYKLAESTSRWTVHPEEERLKELAKKEGLWNLWIPFDSAARARKLIFDGSNHLLSENTYDRLLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGSKEQLLEWLLPLLEGKIRSGFAMTEPRVASSDATNIECSIKRQGDSYIINGIKWWTSGAMDPRCRLLIVMGKTDFNAAMHKQQSMILVDIQTPGVHIKRPLTVFGFDDAPHGHAEVLFENVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLMGAAERGMQIMAQRALSRKVFGKLIAEQGSFRSDIAKCRIELEKTRLLVLEAADQLDRLGNKKARGTLAMAKVAAPNMALMVLDMAMQVHGAAGLSSDTCLAHLWATARTLRIADGPDEVHLGTIAKLELQRAKL >ONI28216 pep chromosome:Prunus_persica_NCBIv2:G1:10374312:10380360:1 gene:PRUPE_1G131800 transcript:ONI28216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKALDFDLKALLSYASTNVTGFPPSPSNFTVSKFGHGQSNPTYKLEVSSGASLKRYVLRKKPAGKLLPSAHAVEREFQVLQALGTHTLVPVPKVFCLCTDPSVIGTPFYIMEFLEGRIFLDPKLPGVTPEKRRALYQATAKALASLHSADVDAIGLGKYGRRDNYCKRQVERWAKQYIASTGEGKPKRNPKMFELIDWLQQHIPLEDSSGAAAGLVHGDFRIDNLVFHPIEDRVIGILDWELSTLGNQMCDVAYSSLPYNVDLGVEHGEGLEQTGVPEGIPSQAQYVAEYCSSSGKPWPSSEWKFYIAFSLFRGASIYAGIYSRWIMGNASGGESAQHAGERANFIIDFAWEFIRRESVLPKHPPSGAFVSQDYLKRSGQESEDQVFSKGGGKFVPGKRVLELRNRLLKFLEDHIYPMEKEFYKLAESTSRWTVHPEEERLKELAKKEGLWNLWIPFDSAARARKLIFDGSNHLLSENTYDRLLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGSKEQLLEWLLPLLEGKIRSGFAMTEPRVASSDATNIECSIKRQGDSYIINGIKWWTSGAMDPRCRLLIVMGKTDFNAAMHKQQSMILVDIQTPGVHIKRPLTVFGFDDAPHGHAEVLFENVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLMGAAERGMQIMAQRALSRKVFGKLIAEQGSFRSDIAKCRIELEKTRLLVLEAADQLDRLGNKKARGTLAMAKVAAPNMALMVLDMAMQVHGAAGLSSDTCLAHLWATARTLRIADGPDEVHLGTIAKLELQRAKL >ONI28218 pep chromosome:Prunus_persica_NCBIv2:G1:10374572:10378620:1 gene:PRUPE_1G131800 transcript:ONI28218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKALDFDLKALLSYASTNVTGFPPSPSNFTVSKFGHGQSNPTYKLEVSSGASLKRYVLRKKPAGKLLPSAHAVEREFQVLQALGTHTLVPVPKVFCLCTDPSVIGTPFYIMEFLEGRIFLDPKLPGVTPEKRRALYQATAKALASLHSADVDAIGLGKYGRRDNYCKRQVERWAKQYIASTGEGKPKRNPKMFELIDWLQQHIPLEDSSGAAAGLVHGDFRIDNLVFHPIEDRVIGILDWELSTLGNQMCDVAYSSLPYNVDLGVEHGEGLEQTGVPEGIPSQAQYVAEYCSSSGKPWPSSEWKFYIAFSLFRGASIYAGIYSRWIMGNASGGESAQHAGERANFIIDFAWEFIRRESVLPKHPPSGAFVSQDYLKRSGQESEDQVFSKGGGKFVPGKRVLELRNRLLKFLEDHIYPMEKEFYKLAESTSRWTVHPEEERLKELAKKEGLWNLWIPFDSAARARKLIFDGSNHLLSENTYDRLLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGSKEQLLEWLLPLLEGKIRSGFAMTEPRVASSDATNIECSIKRQGDSYIINGIKWWTSGAMDPRCRLLIVMVSSSCMPFN >ONI34288 pep chromosome:Prunus_persica_NCBIv2:G1:39323293:39324661:-1 gene:PRUPE_1G473100 transcript:ONI34288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGNKVEILSQKEVPSVAWRCAEIISGNGHTYSVKYDRSPGKDSQAVVERVPRKDIRPCPPPVQSAEILAVGDVAEVFDAGFWKMAKVLKVLDENYYLTRLLGSSEEFRVHKHRIRVRQFWKDDKWVVIGKGSDNRISSQVPQLNARIKLPAVNDCVPPLDIISYQDSHNVSSRSLKRASPYCSFYIDGYSRKRRAIEKGCESQHFFSGSPSSFLKKVDAVAYPRENLGEKYVQASFINRTTGCFEKEREELNGAISLSVERSSELDDCDSDACSVGSCSVISSNSNKFSSHNLAGTSQDSDTLSSDADSFCGDAQEKFSVPLEEDVPAGIHRLELNAYHSTLVAMHASGSLTWEQEALLTNLRISLHISNDEHLIEVRNLISAGTNLHLR >ONI34285 pep chromosome:Prunus_persica_NCBIv2:G1:39320367:39325383:-1 gene:PRUPE_1G473100 transcript:ONI34285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGNKVEILSQKEVPSVAWRCAEIISGNGHTYSVKYDRSPGKDSQAVVERVPRKDIRPCPPPVQSAEILAVGDVAEVFDAGFWKMAKVLKVLDENYYLTRLLGSSEEFRVHKHRIRVRQFWKDDKWVVIGKGSDNRISSQVPQLNARIKLPAVNDCVPPLDIISYQDSHNVSSRSLKRASPYCSFYIDGYSRKRRAIEKGCESQHFFSGSPSSFLKKVDAVAYPRENLGEKYVQASFINRTTGCFEKEREELNGAISLSVERSSELDDCDSDACSVGSCSVISSNSNKFSSHNLAGTSQDSDTLSSDADSFCGDAQEKFSVPLEEDVPAGIHRLELNAYHSTLVAMHASGSLTWEQEALLTNLRISLHISNDEHLIEGQGWSLACLALLPKA >ONI34287 pep chromosome:Prunus_persica_NCBIv2:G1:39322992:39325371:-1 gene:PRUPE_1G473100 transcript:ONI34287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGNKVEILSQKEVPSVAWRCAEIISGNGHTYSVKYDRSPGKDSQAVVERVPRKDIRPCPPPVQSAEILAVGDVAEVFDAGFWKMAKVLKVLDENYYLTRLLGSSEEFRVHKHRIRVRQFWKDDKWVVIGKGSDNRISSQVPQLNARIKLPAVNDCVPPLDIISYQDSHNVSSRSLKRASPYCSFYIDGYSRKRRAIEKGCESQHFFSGSPSSFLKKVDAVAYPRENLGEKYVQASFINRTTGCFEKEREELNGAISLSVERSSELDDCDSDACSVGSCSVISSNSNKFSSHNLAGTSQDSDTLSSDADSFCGDAQEKFSVPLEEDVPAGIHRLELNAYHSTLVAMHASGSLTWEQEALLTNLRISLHISNDEHLIEVHHAAVFSSVLNNVSSPVFSPL >ONI34286 pep chromosome:Prunus_persica_NCBIv2:G1:39320367:39325371:-1 gene:PRUPE_1G473100 transcript:ONI34286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGNKVEILSQKEVPSVAWRCAEIISGNGHTYSVKYDRSPGKDSQAVVERVPRKDIRPCPPPVQSAEILAVGDVAEVFDAGFWKMAKVLKVLDENYYLTRLLGSSEEFRVHKHRIRVRQFWKDDKWVVIGKGSDNRISSQVPQLNARIKLPAVNDCVPPLDIISYQDSHNVSSRSLKRASPYCSFYIDGYSRKRRAIEKGCESQHFFSGSPSSFLKKVDAVAYPRENLGEKYVQASFINRTTGCFEKEREELNGAISLSVERSSELDDCDSDACSVGSCSVISSNSNKFSSHNLAGTSQDSDTLSSDADSFCGDAQEKFSVPLEEDVPAGIHRLELNAYHSTLVAMHASGSLTWEQEALLTNLRISLHISNDEHLIEGQGWSLACLALLPKA >ONI31245 pep chromosome:Prunus_persica_NCBIv2:G1:29620827:29624606:1 gene:PRUPE_1G301100 transcript:ONI31245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSPTRCAAPLTRSVPPRSPLALFNRTLPRPAFSTESPTARLVSVRCQTLTPDGVPSKRPVGLDESEASIGSSGSSSTSSAIDFLTLCHSLKTTKRKGWINHGIKGPESIADHMYRMALMSLIAGDVPGLNRERCIKIAIVHDIAEAIVGDITPADGIPKAEKSRREQAALNEMCIVLGGGMRAEEIKELWAEYENNSSLEANLVKDFDKVEMILQALEYEIEHGKVLDEFFISTAGKFQTELGKSWAAEIISRRNSRVDKSHN >ONI31246 pep chromosome:Prunus_persica_NCBIv2:G1:29620820:29624638:1 gene:PRUPE_1G301100 transcript:ONI31246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSPTRCAAPLTRSVPPRSPLALFNRTLPRPAFSTESPTARLVSVRCQTLTPDGVPSKRPVGLDESEASIGSSGSSSTSSAIDFLTLCHSLKTTKRKGWINHGIKGPESIADHMYRMALMSLIAGDVPGLNRERCIKIAIVHDIAEAIVGDITPADGIPKAEKSRREQAALNEMCIVLGGGMRAEEIKELWAEYENNSSLEANLVKDFDKVEMILQALEYEIEHGKVLDEFFISTAGKFQTELGKSWAAEIISRRNSRVDKSHN >ONI31244 pep chromosome:Prunus_persica_NCBIv2:G1:29620827:29624606:1 gene:PRUPE_1G301100 transcript:ONI31244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSPTRCAAPLTRSVPPRSPLALFNRTLPRPAFSTESPTARLVSVRCQTLTPDGVPSKRPVGLDESEASIGSSGSSSTSSAIDFLTLCHSLKTTKRKGWINHGIKGPESIADHMYRMALMSLIAGDVPGLNRERCIKIAIVHDIAEAIVGDITPADGIPKAEKSRREQAALNEMCIVLGGGMRAEEIKELWAEYENNSSLEANLVKDFDKVEMILQALEYEIGKVFHSFAVTLLAFLSFSSDIFTLVTEHGKVLDEFFISTAGKFQTELGKSWAAEIISRRNSRVDKSHN >ONI29827 pep chromosome:Prunus_persica_NCBIv2:G1:22911102:22916768:1 gene:PRUPE_1G216000 transcript:ONI29827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNNEILYPERKIGHVPGIEVGHQFFSRAEMVAVGFHAHWLNGIDYIGESRGKEKYKCYTLPLAVSIVMSGQYEDDVDNKEEVIYTGQGGHDLLGDKKQIKHQVLLRGNLALKNNMEQSIPVRVIRGHKCVNSYSKKVYTYDGLYKVVDYWADKGAAGFDVFKYCLKRDGGQPKLLSKQVHFIRGRGSKVQPEIPGLVCEDICNGQENICIPATNVIDVPPMAPEGLTYTKSIKVAKDVNIPSSAPGCSCKGNCTNPLTCSCAKLNGSDFPYVARDGGRLIEPKAVVFECGPNCGCGPDCVNRTSQRGLNYRLEVYRTADKGWAVRSWDFIPSGAPVCEYTGVLRKNDDLDSVSENDYIFEIDCWHTMNGIGGREKRLCDVSIPNGDAEKGFSKLSESEPEFCIDAGSYGNVARYINHSCEPNLFVQCVLSSHHDVRLARVVLFAADNIPPLQELTYDYGYELDSVVGPDGNIKKLLCYCGVDGCRKRLY >ONI29826 pep chromosome:Prunus_persica_NCBIv2:G1:22909481:22916768:1 gene:PRUPE_1G216000 transcript:ONI29826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALKNSTAQRRVSPRLQNLPDYQRPYYGPSPKRTSDSAQNDAVAKKKPKVEKPSAPAQKSAPNAKSSKEKEKVEAQNGKSETKTGPDVEDGGADFFAPDGRLTDENGVSFTARLKETLRLFNLHYLHFIQEEEKRCSKLENGTACCDDLKEPSRRPENDSACDDKRQSKRPDLKAISKMLSNNEILYPERKIGHVPGIEVGHQFFSRAEMVAVGFHAHWLNGIDYIGESRGKEKYKCYTLPLAVSIVMSGQYEDDVDNKEEVIYTGQGGHDLLGDKKQIKHQVLLRGNLALKNNMEQSIPVRVIRGHKCVNSYSKKVYTYDGLYKVVDYWADKGAAGFDVFKYCLKRDGGQPKLLSKQVHFIRGRGSKVQPEIPGLVCEDICNGQENICIPATNVIDVPPMAPEGLTYTKSIKVAKDVNIPSSAPGCSCKGNCTNPLTCSCAKLNGSDFPYVARDGGRLIEPKAVVFECGPNCGCGPDCVNRTSQRGLNYRLEVYRTADKGWAVRSWDFIPSGAPVCEYTGVLRKNDDLDSVSENDYIFEIDCWHTMNGIGGREKRLCDVSIPNGDAEKGFSKLSESEPEFCIDAGSYGNVARYINHSCEPNLFVQCVLSSHHDVRLARVVLFAADNIPPLQELTYDYGYELDSVVGPDGNIKKLLCYCGVDGCRKRLY >ONI27845 pep chromosome:Prunus_persica_NCBIv2:G1:8625761:8627555:-1 gene:PRUPE_1G107700 transcript:ONI27845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLNIIQDGILRSFSQSPPTHYTMKIQSFSFLYKNNVASIESGDFKAGGYKWKLRLYPNGYLKKNVVDHISFYLVISGSAYFQDGWELNAFFRLFLLDQIKGTYLVLEDANKKVKCFHGKMLYSGFDQFIPLESFVDPSNGYLIDDTCVFGAEVFVSEKSRIVREDCLSMIKNPVMYQHAWKIEKFSELKSGYYSVAFKAGDYKWKILIYPKGYGLGKGSHLSLDLKLSNPKTLPPGSGIFAAYCVRIVDQKHTKHVFVKGYNWFSASRLAQGWQRLIKLDLFRRAGSGFLKKDTCLLEAEVTVHGISKAL >ONI30381 pep chromosome:Prunus_persica_NCBIv2:G1:26039365:26040773:-1 gene:PRUPE_1G247700 transcript:ONI30381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSLATIIFTFTFTFCTIFIIPCSEAAEKGQGNGLHVGFYGIRCPNAEQIVADVVSKAVEADQKLPAAFIRLFSHDCFVKGCDASILLDSTPSHEPVEIQSPANVGIKGLEVIDEIKARLEAECPETVSCADILAFAAREAVALAGLPRHKVPAGRRDSRTSRATDVTLPAPATPLNEIIEYFSRRGMTSDEIVVLSGAHSIGAVHCSFFDYRLYNYDQTQAQDPALDRFYAANLSQQCPAPNTLPEAEAKNRVVDFDPITPLVLDNQYYANLMQGKALLQSDQALVTDPRTKAMVISMAASADSWTQRFVRAMIKMGRINVLTGENGEIRKNCRAFNPL >ONI31013 pep chromosome:Prunus_persica_NCBIv2:G1:28758851:28761863:1 gene:PRUPE_1G287600 transcript:ONI31013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEWLKNQIFAIHALSGVGSVALGTALTYPLDTIKTLIQVGSGSNKQLTTAQVLKRVRYFSGNTGLYNGFLWLAVGRTFGVGARFGTYEILTAFYKDGREDNYVYVSEALLAGLVAGVAEALISSPFELIKLRAQVTSASRIPSSASLTEKGAVAPVIQRLLRGYIPDVKALNSSVGLLSTLTTKHPNMTSALQEYPWMMTGSGRPPSVFSVRKPSEVISLEGWGALWRGLRSGVVRDSVFGGIFFSTWQSLHQAMLDWKAVGMDPEPRLDDEIGPLSPWAVSLAAGVSGSVAAAASHCFDTAKSRSQCIVLPKFVSTERKLLKWGRPGNRFERLTGIHPADRNLLFRGLGLRMARSGIASFIMVGSYFLTVDRLA >ONI34117 pep chromosome:Prunus_persica_NCBIv2:G1:38689182:38692719:1 gene:PRUPE_1G463500 transcript:ONI34117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLKAVGFRGLTVALFFNLLVGLAVGIGVNWGTQLTHPLPPATVVKMLKDNGIQKVKLFDADSSILNALSKSGIQVMVGIPNEMLYALANSVQAAENWVSKNVSSHVSNGVDIRYAAVGNEPLLSTYNGTFLPTIFPALQNVQSALIKAGVSNQVKVTIPLNADVYDSSGSDMPSNGDFRPDTKDLMVEIVKFLSDNGAAFTVNIYPFISLYNDANFPADYAFFNGYSSSINDNGKIYNNVFDANHDTLVWALQKNGFGNLSIIVGEIGWPTDGDRNANLQYAQRFNQGFMSHIKNNAGTPMRPGPVDAYLFSLVDEDAKSIQPGNFERHWGLFFLDGTPKYQLSLGTTSSNGLVAASSVQYLDKKWCVMSPSASLDDPQVALSVSYACANADCTSLGYGTSCGNLDIRGNISYAFNSYYQINNQLDTACKFPNLSVVTTSDPSSGDCKFRIMIRSSSSSALNAGAGSVEKPSCLILFVLVSVFVFTIL >ONI28021 pep chromosome:Prunus_persica_NCBIv2:G1:9258282:9260138:1 gene:PRUPE_1G117600 transcript:ONI28021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKTHILFSLILVSSFSLNAIHVLCEAPAPAPAPAPAPAPASASESQFISCGSPPDGETDFYGRKWTSDSKFLTSSDNTKSATTDYQDPALPSKIPYMTTRIINSATSYKFSVSSSKRLLLRFQFYPSVYGSLENTKAVFDVTANGLTLLRHFSPFITALALTQAYIIREYSLVPVPSGSLTITFTPSSKHENFFAFVNSIEIIPMEDTFKPADLIGFNGQSIDVQGSSLQIMHRVNVGGGYIPPINDSGLARTWLDDSPYLLNSAFGASFFNGIFLGVAIEAENNVTVQHTSDVPEFVAPLSVYRTARSMGPDPKVNEKYNLTWVFQVDANFTYLVRFHFCELQSTKANERSFEIFINNQTAEETADVIQWAGSIGKPIYKDYATHINDKEGDEILWVALHPNREQHPQYYDAILNGLEIFKVNDTHGNLAGPNIMPSKMLLNADAAARSFMPNLL >ONI31261 pep chromosome:Prunus_persica_NCBIv2:G1:29692846:29693752:-1 gene:PRUPE_1G302300 transcript:ONI31261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKAEIKQVGTQQFGQAKKEPKVSDGGAKAPASKAAPKKAAPKPQAPKKKGLGGKAAAKN >ONI31262 pep chromosome:Prunus_persica_NCBIv2:G1:29693241:29693575:-1 gene:PRUPE_1G302300 transcript:ONI31262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKAEIKQVGTQQFGQAKKEPKVSDGGAKAPASKAAPKKAAPKPQAPKKKMWVKLVGQGNKPASFAPKFESPLN >ONI27470 pep chromosome:Prunus_persica_NCBIv2:G1:6659929:6666547:-1 gene:PRUPE_1G089100 transcript:ONI27470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQTRLMERTNSMRGKRQMEGGEEEQPERKRPALASVIIEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRVNGRSSPKRIEGPNGQNLQLEFRSNLSLPIFTGGKVEGEQGAAIHVVLVDRNTQRVVTSGPESSVKLDVVVLEGDFNNEDDEGWTQEEFDSHVVKEREGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGMKVASGFCEGMRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGAFHKRLNSAGIFMVEEFLQLVVRDSQKLRNILGSGMSNKMWDALIEHAKTCVLSGKLYVYYPEDTRNVGVVFNNIYELSGLIAGEQFHSADALSDSQKVYVDTLVKKAYENWDQVIQYDGKSLLNFKQNKRSTRTEFQTGPISYSDASDHQLQVPRLTNSVPSEQPPLDPALPIGGYNDNLSTRYLTQPLVNSNSRTQFDGTGFALDDQLISNSHEAQSTRSDANAVGLVLAPPQSSTSGFQTINSSSQPSTLNPLDDWTTNRDFFSEEDIRIRSHEMLENEDMQHLLRIFSMGGHGSIDVPDDGYSFPPFMPSPMPSYDEDRNRPGKAVVGWLKIKAAMRWGFFVRKKAAERRAQLVEIEDE >ONI27468 pep chromosome:Prunus_persica_NCBIv2:G1:6659929:6666521:-1 gene:PRUPE_1G089100 transcript:ONI27468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQTRLMERTNSMRGKRQMEGGEEEQPERKRPALASVIIEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRVNGRSSPKRIEGPNGQNLQLEFRSNLSLPIFTGGKVEGEQGAAIHVVLVDRNTQRVVTSGPESSVKLDVVVLEGDFNNEDDEGWTQEEFDSHVVKEREGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGMKVASGFCEGMRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGAFHKRLNSAGIFMVEEFLQLVVRDSQKLRNILGSGMSNKMWDALIEHAKTCVLSGKLYVYYPEDTRNVGVVFNNIYELSGLIAGEQFHSADALSDSQKVYVDTLVKKAYENWDQVIQYDGKSLLNFKQNKRSTRTEFQTGPISYSDASDHQLQVPRLTNSVPSEQPPLDPALPIGGYNDNLSTRYLTQPLVNSNSRTQFDGTGFALDDQLISNSHEAQSTRSDANAVGLVLAPPQSSTSGFQTINSSSQPSTLNPLDDWTTNRDFFSEEDIRIRSHEMLENEDMQHLLRIFSMGGHGSIDVPDDGYSFPPFMPSPMPSYDEDRNRPGKAVVGWLKIKAAMRWGFFVRKKAAERRAQLVEIEDE >ONI27472 pep chromosome:Prunus_persica_NCBIv2:G1:6660623:6666136:-1 gene:PRUPE_1G089100 transcript:ONI27472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQTRLMERTNSMRGKRQMEGGEEEQPERKRPALASVIIEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRVNGRSSPKRIEGPNGQNLQLEFRSNLSLPIFTGGKVEGEQGAAIHVVLVDRNTQRVVTSGPESSVKLDVVVLEGDFNNEDDEGWTQEEFDSHVVKEREGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGMKVASGFCEGMRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGAFHKRLNSAGIFMVEEFLQLVVRDSQKLRNILGSGMSNKMWDALIEHAKTCVLSGKLYVYYPEDTRNVGVVFNNIYELSGLIAGEQFHSADALSDSQKVYVDTLVKKAYENWDQVIQYDGKSLLNFKQNKRSTRTEFQTGPISYSDASDHQLQVPRLTNSVPSEQPPLDPALPIGGYNDNLSTRYLTQPLVNSNSRTQFDGTGFALDDQLISNSHEAQSTRSDANAVGLVLAPPQSSTSGFQTINSSSQPSTLNPLDDWTTNRDFFSEEDIRIRSHEMLENEDMQHLLRIFSMGGHGSIDVPDDGYSFPPFMPSPMPSYDEDRNRPGKAVVGWLKIKAAMRWGFFVRKKAAERRAQLVEIEDE >ONI27473 pep chromosome:Prunus_persica_NCBIv2:G1:6659877:6666557:-1 gene:PRUPE_1G089100 transcript:ONI27473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQTRLMERTNSMRGKRQMEGGEEEQPERKRPALASVIIEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRVNGRSSPKRIEGPNGQNLQLEFRSNLSLPIFTGGKVEGEQGAAIHVVLVDRNTQRVVTSGPESSVKLDVVVLEGDFNNEDDEGWTQEEFDSHVVKEREGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGMKVASGFCEGMRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGAFHKRLNSAGIFMVEEFLQLVVRDSQKLRNILGSGMSNKMWDALIEHAKTCVLSGKLYVYYPEDTRNVGVVFNNIYELSGLIAGEQFHSADALSDSQKVYVDTLVKKAYENWDQVIQYDGKSLLNFKQNKRSTRTEFQTGPISYSDASDHQLQVPRLTNSVPSEQPPLDPALPIGGYNDNLSTRYLTQPLVNSNSRTQFDGTGFALDDQLISNSHEAQSTRSDANAVGLVLAPPQSSTSGFQTINSSSQPSTLNPLDDWTTNRDFFSEEDIRIRSHEMLENEDMQHLLRIFSMGGHGSIDVPDDGYSFPPFMPSPMPSYDEDRNRPGKAVVGWLKIKAAMRWGFFVRKKAAERRAQLVEIEDE >ONI27471 pep chromosome:Prunus_persica_NCBIv2:G1:6659928:6666547:-1 gene:PRUPE_1G089100 transcript:ONI27471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQTRLMERTNSMRGKRQMEGGEEEQPERKRPALASVIIEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRVNGRSSPKRIEGPNGQNLQLEFRSNLSLPIFTGGKVEGEQGAAIHVVLVDRNTQRVVTSGPESSVKLDVVVLEGDFNNEDDEGWTQEEFDSHVVKEREGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGMKVASGFCEGMRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGAFHKRLNSAGIFMVEEFLQLVVRDSQKLRNILGSGMSNKMWDALIEHAKTCVLSGKLYVYYPEDTRNVGVVFNNIYELSGLIAGEQFHSADALSDSQKVYVDTLVKKAYENWDQVIQYDGKSLLNFKQNKRSTRTEFQTGPISYSDASDHQLQVPRLTNSVPSEQPPLDPALPIGGYNDNLSTRYLTQPLVNSNSRTQFDGTGFALDDQLISNSHEAQSTRSDANAVGLVLAPPQSSTSGFQTINSSSQPSTLNPLDDWTTNRDFFSEEDIRIRSHEMLENEDMQHLLRIFSMGGHGSIDVPDDGYSFPPFMPSPMPSYDEDRNRPGKAVVGWLKIKAAMRWGFFVRKKAAERRAQLVEIEDE >ONI27469 pep chromosome:Prunus_persica_NCBIv2:G1:6659929:6666542:-1 gene:PRUPE_1G089100 transcript:ONI27469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQTRLMERTNSMRGKRQMEGGEEEQPERKRPALASVIIEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRVNGRSSPKRIEGPNGQNLQLEFRSNLSLPIFTGGKVEGEQGAAIHVVLVDRNTQRVVTSGPESSVKLDVVVLEGDFNNEDDEGWTQEEFDSHVVKEREGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGMKVASGFCEGMRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGAFHKRLNSAGIFMVEEFLQLVVRDSQKLRNILGSGMSNKMWDALIEHAKTCVLSGKLYVYYPEDTRNVGVVFNNIYELSGLIAGEQFHSADALSDSQKVYVDTLVKKAYENWDQVIQYDGKSLLNFKQNKRSTRTEFQTGPISYSDASDHQLQVPRLTNSVPSEQPPLDPALPIGGYNDNLSTRYLTQPLVNSNSRTQFDGTGFALDDQLISNSHEAQSTRSDANAVGLVLAPPQSSTSGFQTINSSSQPSTLNPLDDWTTNRDFFSEEDIRIRSHEMLENEDMQHLLRIFSMGGHGSIDVPDDGYSFPPFMPSPMPSYDEDRNRPGKAVVGWLKIKAAMRWGFFVRKKAAERRAQLVEIEDE >ONI33206 pep chromosome:Prunus_persica_NCBIv2:G1:35902972:35904553:-1 gene:PRUPE_1G411000 transcript:ONI33206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLSTSCSLNFSSGCRPYTKPLIQDFLVFPTSLTFRTSKTPFGRLLLQGGRSQSTCTVHATTLSPSREAPKEPSYGVNESSGGASKSQRVMVIGGDGYCGWATALHLSKKGYEVAIVDSLVRRLFDHQLGLDSLTPISSIHDRIRCWKSLTGKSVELYIGDVCDFEFLSEAFKSFEPDSVVHFGEQRSAPYSMIDRSRAVFTQQNNVMGTLNVLFAIKEYREECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVEIAIANPAKAGEFRVFNQFTEQFSVNELAALVTKAGEKLGLDVQTTSVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFTIKYKDRVDTKQIMPSVSWRKMGAKPRTVTA >ONI33207 pep chromosome:Prunus_persica_NCBIv2:G1:35902455:35905226:-1 gene:PRUPE_1G411000 transcript:ONI33207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLSTSCSLNFSSGCRPYTKPLIQDFLVFPTSLTFRTSKTPFGRLLLQGGRSQSTCTVHATTLSPSREAPKEPSYGVNESSGGASKSQRVMVIGGDGYCGWATALHLSKKGYEVAIVDSLVRRLFDHQLGLDSLTPISSIHDRIRCWKSLTGKSVELYIGDVCDFEFLSEAFKSFEPDSVVHFGEQRSAPYSMIDRSRAVFTQQNNVMGTLNVLFAIKEYREECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVEIAIANPAKAGEFRVFNQFTEQFSVNELAALVTKAGEKLGLDVQTTSVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFTIKYKDRVDTKQIMPSVSWRKMGAKPRTVTA >ONI33208 pep chromosome:Prunus_persica_NCBIv2:G1:35902455:35905256:-1 gene:PRUPE_1G411000 transcript:ONI33208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLSTSCSLNFSSGCRPYTKPLIQDFLVFPTSLTFRTSKTPFGRLLLQGGRSQSTCTVHATTLSPSREAPKEPSYGVNESSGGASKSQRVMVIGGDGYCGWATALHLSKKGYEVAIVDSLVRRLFDHQLGLDSLTPISSIHDRIRCWKSLTGKSVELYIGDVCDFEFLSEAFKSFEPDSVVHFGEQRSAPYSMIDRSRAVFTQQNNVMGTLNVLFAIKEYREECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVEIAIANPAKAGEFRVFNQFTEQFSVNELAALVTKAGEKLGLDVQTTSVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFTIKYKDRVDTKQIMPSVSWRKMGAKPRTVTA >ONI27932 pep chromosome:Prunus_persica_NCBIv2:G1:8933938:8938072:1 gene:PRUPE_1G111800 transcript:ONI27932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNCIGIYTMRPCCRILMGYGSRSSSVFGSPQPKLNDIVTHNLSKLQSRRQKRSCNSQIVGYIRVIDPNRRVFSVSDSNWGQTRVCKTTSRVGNTSSSRRGILVIPNVASDIRNHSTSVETQVNGKTSFESIYIQGGLNVKPLVIEKIETDHGDVVREEESRVEVNGSNVNVNIGNSKGLNDTKDERELSDIEKEAWSLLRDSVVSYCGNPVGTLAATDPADKTPLNYDQVFIRDFVPSALAFLLNGEADIVKNFLLHTLQLQSWEKTVDCHSPGQGLMPASFKVKTVPLDGMNGEFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDFQTGIRLVLNLCLKNGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLIVNDGTKDLVAAINNRLSALSFHMREYYWVDMKKINEIYRYKTEEYSTDAVNKFNIYPDQIPSWLVDWIPEEGGFLIGNLQPAHMDFRFFTLGNLWSIVSSLGTHKQNEDILNLIEAKWDDFVAQMPLKICYPALEYEEWRIITGGDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRTELAQKAVDLAEKRLSADQWPEYYDTKSGRFIGKQSRLFQTWTIAGYLTSKMLLENPEKASLLLWEEDYELLETCVCALTKTGRKKCSRLAAKSQIVV >ONI28714 pep chromosome:Prunus_persica_NCBIv2:G1:12425073:12427509:-1 gene:PRUPE_1G156800 transcript:ONI28714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFGTGTGGGDFEAKITPLVIISCILASSGGLMFGYDVGISGGVTSMPEFLREFFPTVYKKNSQPGLESNYCKYDNQGLQLFTSSLYLAALVATFVASYTTKSLGRKLTMLMAGIFFLVGTVFNAAAVNLAMLIIGRILLGCGVGFANQAVPLFLSEVAPTRIRGSLNILFQLMCTIGILVANMINYGTSKMSGPNGWRISLGLAAIPALLLTMGSLIVTDTPNSLIARGKMEEGKAILKKIRGVDNVEPEFLEIVEASRAANEVKHPFRNLLKRRNRPQLVIAICMQIFQQLTGINAIMFYAPVLFKTLGFKSDASLYSSAITGAVNVLSTVVSIYFVDRAGRRVLLLEAGVQMFLSQIVITVVLGIKLKDDVNNLGHGLGILVLVFVCSFVASFAWSWGPLGWLIPSEIFALDARSAGQSVAVFFNMLFTFIIAQAFLSMLCHMMFAIFLFFAIWVFAMTLFTLFLIPETKGVPIEEMTERVWKKHWFWKRYMDEVEDNPKAQANA >ONI26214 pep chromosome:Prunus_persica_NCBIv2:G1:783549:785635:1 gene:PRUPE_1G010200 transcript:ONI26214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNPRENALAYVLNQVQGVKHFKDAQEKLKKSIYDRGFLLFKKVREKLGKDKFVEFLKQLQRYSNGIIDLTEFLNLVDDGVRDDQDLMNDIDAFLEHCDKEQMNWSLRNES >ONI26928 pep chromosome:Prunus_persica_NCBIv2:G1:3948448:3948789:1 gene:PRUPE_1G055700 transcript:ONI26928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRRPFPVQSHMKAMLQLAKLLHNRGVHVTLVNTEFNHKRLLKSLGPNSLDGVPSFRFKTIPDGLQSSDEDTQQDMLLLVESIKKNFLAPFRDLIVGSLKANVPHWRKQKVP >ONI30064 pep chromosome:Prunus_persica_NCBIv2:G1:24202884:24211166:-1 gene:PRUPE_1G229100 transcript:ONI30064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRANAEPIDLDKTTVTLEPEVDNAGGLFVPGKDRVVFRPPERKSLLGLDVLAIAKREGSKVDGGFKTPRERVVSVVSSIEEEEQSESVNTDEKGSDASPAIQSHSRRRYREISGSETPRTESTVTEEGQVDYMCGTRHSREHLRADVLATPSGSSHSVRSRTPKYDRDDRGSERRDDNGRYEREDRGSERREYQDGNRSERQRYGNGKDYYRRREGGRYEQEYGGEYGRKQRRYEDSKRTPGRSDWDDGRWEWEESPRRDSYSNTSRRHQPSPSPMLLGASPDARLVSPWLGGYTPHSSGSAASPWDHISPSPAPIRASGYSVKSSSSKHGARSHELTFSSESSQSFEDAEADNTDSAEEHKYEISESMRIEMEYNSDRAWYDREEGNTMFDTTDDSSLFYGNDASYQKKEAELAKRLVRKDGTKMSLAQSKKLSQRTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVYTKQAEPIMPIKDPTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKSAEQIDADTAAVGEDGEIDFKEDAKFAQHMKSGEAVSDFALSKTLSQQRQYLPIFSVRDELLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTVNGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTVIKYMTDGVLLRETLRDSDLDKYRVVVMDEAHERSLNTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERMEQLISSSKKGVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTENAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLMGEELGCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFSIPESDHLTLYNVYQQWKQHQYRGDWCGDHFLHVKGLRKAREVRSQLLEILKTLKVPLTSCWPDTDNVRKAICSAYFHNSARLKGVGEYVNCRTGMPCHLHPSSALYGMGCTPDYLVYHELILTAKEYMQCATAVEPQWLAELGPMFFSVKDSDTSMLEHKKRQKEEKTAMEEEMENLRKTQAEEEKENKRKEKEKRSKQQQQVSTPGLHKGSSTYLRPKKLGL >ONI30066 pep chromosome:Prunus_persica_NCBIv2:G1:24202670:24211609:-1 gene:PRUPE_1G229100 transcript:ONI30066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRANAEPIDLDKTTVTLEPEVDNAGGLFVPGKDRVVFRPPERKSLLGLDVLAIAKREGSKVDGGFKTPRERVVSVVSSIEEEEQSESVNTDEKGSDASPAIQSHSRRRYREISGSETPRTESTVTEEGQVDYMCGTRHSREHLRADVLATPSGSSHSVRSRTPKYDRDDRGSERRDDNGRYEREDRGSERREYQDGNRSERQRYGNGKDYYRRREGGRYEQEYGGEYGRKQRRYEDSKRTPGRSDWDDGRWEWEESPRRDSYSNTSRRHQPSPSPMLLGASPDARLVSPWLGGYTPHSSGSAASPWDHISPSPAPIRASGYSVKSSSSKHGARSHELTFSSESSQSFEDAEADNTDSAEEHKYEISESMRIEMEYNSDRAWYDREEGNTMFDTTDDSSLFYGNDASYQKKEAELAKRLVRKDGTKMSLAQSKKLSQRTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVYTKQAEPIMPIKDPTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKSAEQIDADTAAVGEDGEIDFKEDAKFAQHMKSGEAVSDFALSKTLSQQRQYLPIFSVRDELLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTVNGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTVIKYMTDGVLLRETLRDSDLDKYRVVVMDEAHERSLNTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERMEQLISSSKKGVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTENAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLMGEELGCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFSIPESDHLTLYNVYQQWKQHQYRGDWCGDHFLHVKGLRKAREVRSQLLEILKTLKVPLTSCWPDTDNVRKAICSAYFHNSARLKGVGEYVNCRTGMPCHLHPSSALYGMGCTPDYLVYHELILTAKEYMQCATAVEPQWLAELGPMFFSVKDSDTSMLEHKKRQKEEKTAMEEEMENLRKTQAEEEKENKRKEKEKRSKQQQQVSTPGLHKGSSTYLRPKKLGL >ONI30067 pep chromosome:Prunus_persica_NCBIv2:G1:24202670:24211856:-1 gene:PRUPE_1G229100 transcript:ONI30067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRANAEPIDLDKTTVTLEPEVDNAGGLFVPGKDRVVFRPPERKSLLGLDVLAIAKREGSKVDGGFKTPRERVVSVVSSIEEEEQSESVNTDEKGSDASPAIQSHSRRRYREISGSETPRTESTVTEEGQVDYMCGTRHSREHLRADVLATPSGSSHSVRSRTPKYDRDDRGSERRDDNGRYEREDRGSERREYQDGNRSERQRYGNGKDYYRRREGGRYEQEYGGEYGRKQRRYEDSKRTPGRSDWDDGRWEWEESPRRDSYSNTSRRHQPSPSPMLLGASPDARLVSPWLGGYTPHSSGSAASPWDHISPSPAPIRASGYSVKSSSSKHGARSHELTFSSESSQSFEDAEADNTDSAEEHKYEISESMRIEMEYNSDRAWYDREEGNTMFDTTDDSSLFYGNDASYQKKEAELAKRLVRKDGTKMSLAQSKKLSQRTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVYTKQAEPIMPIKDPTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKSAEQIDADTAAVGEDGEIDFKEDAKFAQHMKSGEAVSDFALSKTLSQQRQYLPIFSVRDELLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTVNGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTVIKYMTDGVLLRETLRDSDLDKYRVVVMDEAHERSLNTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERMEQLISSSKKGVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTENAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLMGEELGCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFSIPESDHLTLYNVYQQWKQHQYRGDWCGDHFLHVKGLRKAREVRSQLLEILKTLKVPLTSCWPDTDNVRKAICSAYFHNSARLKGVGEYVNCRTGMPCHLHPSSALYGMGCTPDYLVYHELILTAKEYMQCATAVEPQWLAELGPMFFSVKDSDTSMLEHKKRQKEEKTAMEEEMENLRKTQAEEEKENKRKEKEKRSKQQQQVSTPGLHKGSSTYLRPKKLGL >ONI30065 pep chromosome:Prunus_persica_NCBIv2:G1:24202884:24211166:-1 gene:PRUPE_1G229100 transcript:ONI30065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRANAEPIDLDKTTVTLEPEVDNAGGLFVPGKDRVVFRPPERKSLLGLDVLAIAKREGSKVDGGFKTPRERVVSVVSSIEEEEQSESVNTDEKGSDASPAIQSHSRRRYREISGSETPRTESTVTEEGQVDYMCGTRHSREHLRADVLATPSGSSHSVRSRTPKYDRDDRGSERRDDNGRYEREDRGSERREYQDGNRSERQRYGNGKDYYRRREGGRYEQEYGGEYGRKQRRYEDSKRTPGRSDWDDGRWEWEESPRRDSYSNTSRRHQPSPSPMLLGASPDARLVSPWLGGYTPHSSGSAASPWDHISPSPAPIRASGYSVKSSSSKHGARSHELTFSSESSQSFEDAEADNTDSAEEHKYEISESMRIEMEYNSDRAWYDREEGNTMFDTTDDSSLFYGNDASYQKKEAELAKRLVRKDGTKMSLAQSKKLSQRTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVYTKQAEPIMPIKDPTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKSAEQIDADTAAVGEDGEIDFKEDAKFAQHMKSGEAVSDFALSKTLSQQRQYLPIFSVRDELLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTVNGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTVIKYMTDGVLLRETLRDSDLDKYRVVVMDEAHERSLNTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERMEQLISSSKKGVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTENAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLMGEELGCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFSIPESDHLTLYNVYQQWKQHQYRGDWCGDHFLHVKGLRKAREVRSQLLEILKTLKVPLTSCWPDTDNVRKAICSAYFHNSARLKGVGEYVNCRTGMPCHLHPSSALYGMGCTPDYLVYHELILTAKEYMQCATAVEPQWLAELGPMFFSVKDSDTSMLEHKKRQKEEKTAMEEEMENLRKTQAEEEKENKRKEKEKRSKQQQQVSTPGLHKGSSTYLRPKKLGL >ONI30062 pep chromosome:Prunus_persica_NCBIv2:G1:24202670:24211856:-1 gene:PRUPE_1G229100 transcript:ONI30062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRANAEPIDLDKTTVTLEPEVDNAGGLFVPGKDRVVFRPPERKSLLGLDVLAIAKREGSKVDGGFKTPRERVVSVVSSIEEEEQSESVNTDEKGSDASPAIQSHSRRRYREISGSETPRTESTVTEEGQVDYMCGTRHSREHLRADVLATPSGSSHSVRSRTPKYDRDDRGSERRDDNGRYEREDRGSERREYQDGNRSERQRYGNGKDYYRRREGGRYEQEYGGEYGRKQRRYEDSKRTPGRSDWDDGRWEWEESPRRDSYSNTSRRHQPSPSPMLLGASPDARLVSPWLGGYTPHSSGSAASPWDHISPSPAPIRASGYSVKSSSSKHGARSHELTFSSESSQSFEDAEADNTDSAEEHKYEISESMRIEMEYNSDRAWYDREEGNTMFDTTDDSSLFYGNDASYQKKEAELAKRLVRKDGTKMSLAQSKKLSQRTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVYTKQAEPIMPIKDPTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKSAEQIDADTAAVGEDGEIDFKEDAKFAQHMKSGEAVSDFALSKTLSQQRQYLPIFSVRDELLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTVNGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTVIKYMTDGVLLRETLRDSDLDKYRVVVMDEAHERSLNTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERMEQLISSSKKGVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTENAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLMGEELGCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFSIPESDHLTLYNVYQQWKQHQYRGDWCGDHFLHVKGLRKAREVRSQLLEILKTLKVPLTSCWPDTDNVRKAICSAYFHNSARLKGVGEYVNCRTGMPCHLHPSSALYGMGCTPDYLVYHELILTAKEYMQCATAVEPQWLAELGPMFFSVKDSDTSMLEHKKRQKEEKTAMEEEMENLRKTQAEEEKENKRKEKEKRSKQQQQVSTPGLHKGSSTYLRPKKLGL >ONI30063 pep chromosome:Prunus_persica_NCBIv2:G1:24202670:24211974:-1 gene:PRUPE_1G229100 transcript:ONI30063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRANAEPIDLDKTTVTLEPEVDNAGGLFVPGKDRVVFRPPERKSLLGLDVLAIAKREGSKVDGGFKTPRERVVSVVSSIEEEEQSESVNTDEKGSDASPAIQSHSRRRYREISGSETPRTESTVTEEGQVDYMCGTRHSREHLRADVLATPSGSSHSVRSRTPKYDRDDRGSERRDDNGRYEREDRGSERREYQDGNRSERQRYGNGKDYYRRREGGRYEQEYGGEYGRKQRRYEDSKRTPGRSDWDDGRWEWEESPRRDSYSNTSRRHQPSPSPMLLGASPDARLVSPWLGGYTPHSSGSAASPWDHISPSPAPIRASGYSVKSSSSKHGARSHELTFSSESSQSFEDAEADNTDSAEEHKYEISESMRIEMEYNSDRAWYDREEGNTMFDTTDDSSLFYGNDASYQKKEAELAKRLVRKDGTKMSLAQSKKLSQRTADNAQWEDRQLLRSGAVRGTEVQTEFDDEEERKVILLVHDTKPPFLDGRVVYTKQAEPIMPIKDPTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKSAEQIDADTAAVGEDGEIDFKEDAKFAQHMKSGEAVSDFALSKTLSQQRQYLPIFSVRDELLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTVNGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTVIKYMTDGVLLRETLRDSDLDKYRVVVMDEAHERSLNTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERMEQLISSSKKGVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTENAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLMGEELGCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFSIPESDHLTLYNVYQQWKQHQYRGDWCGDHFLHVKGLRKAREVRSQLLEILKTLKVPLTSCWPDTDNVRKAICSAYFHNSARLKGVGEYVNCRTGMPCHLHPSSALYGMGCTPDYLVYHELILTAKEYMQCATAVEPQWLAELGPMFFSVKDSDTSMLEHKKRQKEEKTAMEEEMENLRKTQAEEEKENKRKEKEKRSKQQQQVSTPGLHKGSSTYLRPKKLGL >ONI35533 pep chromosome:Prunus_persica_NCBIv2:G1:44224980:44233124:-1 gene:PRUPE_1G541500 transcript:ONI35533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVPEVAVTDGVEVESEDVSSKISLRKKHKKRKLLEGIEEAGDMSFDVSKKMKSCATEMALEKAPVKAPEKYVAPHLRSRAGNEPEEHSQIRRRVRGLLNRLSESNVESITGDLSAIFRSLPRGIASQMFSEELLASCAGGPRGNEQYAAVFAALVAGMACSVGIDFGAKLMASLAKTFEDEYHKEDNISLRNVTLLLSHLCIFGVCSSELIYDFLIVLSKRLTEVDVSTILTVLQCCGMKIRADDPLAMKNFIQSVQNRVNELKASCGDNQDNTNSKRMEFMLETICDIKNNKKRSKEDNAQHTRIKKWLQKLRVEDILIRGLKWSKLLDSNKKGQWWLSGDMASSTDNVEEVANTIDKEVLEAQKMLQLAAEQRMNTDARKAIFCIIMSGEDYIDAFEKLLRLDLQGKQDREIMRVIVECCLQEKVFNKYYTTLASKFCEHDKNHKFTLQFCLWDHFKDLESMQLTRSMHLAKFVAEMVSSFTLSLAVLKTVDLADIKQLTAKRIMHFRMLFEAIFEYPDSLIWNIFTRVAVSPELESLRRGIEFFVKYIVETNKALKDKFKLAKKALNNVEGVLM >ONI35534 pep chromosome:Prunus_persica_NCBIv2:G1:44225667:44233136:-1 gene:PRUPE_1G541500 transcript:ONI35534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRREKRKEARLSKNARNHESWLQHKKIQKDKAIFVKSKTVQKTKDEHTAEETEVKARSESPEQKDHKVSKAVEEEKGSKRTPKTNFEKYLDIDRNRGVEDLELERKLAKKLKVKDGKLKGEDFGLNVLFEGISAVDSLGEKEATYVEALPAKQSKSSSSGKKCKKDKPLKDRLENELPSDLMVEVPEVAVTDGVEVESEDVSSKISLRKKHKKRKLLEGIEEAGDMSFDVSKKMKSCATEMALEKAPVKAPEKYVAPHLRSRAGNEPEEHSQIRRRVRGLLNRLSESNVESITGDLSAIFRSLPRGIASQMFSEELLASCAGGPRGNEQYAAVFAALVAGMACSVGIDFGAKLMASLAKTFEDEYHKEDNISLRNVTLLLSHLCIFGVCSSELIYDFLIVLSKRLTEVDVSTILTVLQCCGMKIRADDPLAMKNFIQSVQNRVNELKASCGDNQDNTNSKRMEFMLETICDIKNNKKRSKEDNAQHTRIKKWLQKLRVEDILIRGLKWSKLLDSNKKGQWWLSGDMASSTDNVEEVANTIDKEVLEAQKMLQLAAEQRMNTDARKAIFCIIMSGEDYIDAFEKLLRLDLQGKQDREIMRVIVECCLQEKVFNKYYTTLASKFCEHDKNHKFTLQESLST >ONI35531 pep chromosome:Prunus_persica_NCBIv2:G1:44224858:44233148:-1 gene:PRUPE_1G541500 transcript:ONI35531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRREKRKEARLSKNARNHESWLQHKKIQKDKAIFVKSKTVQKTKDEHTAEETEVKARSESPEQKDHKVSKAVEEEKGSKRTPKTNFEKYLDIDRNRGVEDLELERKLAKKLKVKDGKLKGEDFGLNVLFEGISAVDSLGEKEATYVEALPAKQSKSSSSGKKCKKDKPLKDRLENELPSDLMVEVPEVAVTDGVEVESEDVSSKISLRKKHKKRKLLEGIEEAGDMSFDVSKKMKSCATEMALEKAPVKAPEKYVAPHLRSRAGNEPEEHSQIRRRVRGLLNRLSESNVESITGDLSAIFRSLPRGIASQMFSEELLASCAGGPRGNEQYAAVFAALVAGMACSVGIDFGAKLMASLAKTFEDEYHKEDNISLRNVTLLLSHLCIFGVCSSELIYDFLIVLSKRLTEVDVSTILTVLQCCGMKIRADDPLAMKNFIQSVQNRVNELKASCGDNQDNTNSKRMEFMLETICDIKNNKKRSKEDNAQHTRIKKWLQKLRVEDILIRGLKWSKLLDSNKKGQWWLSGDMASSTDNVEEVANTIDKEVLEAQKMLQLAAEQRMNTDARKAIFCIIMSGEDYIDAFEKLLRLDLQGKQDREIMRVIVECCLQEKVFNKYYTTLASKFCEHDKNHKFTLQFCLWDHFKDLESMQLTRSMHLAKFVAEMVSSFTLSLAVLKTVDLADIKQLTAKRIMHFRMLFEAIFEYPDSLIWNIFTRVAVSPELESLRRGIEFFVKYIVETNKALKDKFKLAKKALNNVEGVLM >ONI35535 pep chromosome:Prunus_persica_NCBIv2:G1:44226527:44233145:-1 gene:PRUPE_1G541500 transcript:ONI35535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRREKRKEARLSKNARNHESWLQHKKIQKDKAIFVKSKTVQKTKDEHTAEETEVKARSESPEQKDHKVSKAVEEEKGSKRTPKTNFEKYLDIDRNRGVEDLELERKLAKKLKVKDGKLKGEDFGLNVLFEGISAVDSLGEKEATYVEALPAKQSKSSSSGKKCKKDKPLKDRLENELPSDLMVEVPEVAVTDGVEVESEDVSSKISLRKKHKKRKLLEGIEEAGDMSFDVSKKMKSCATEMALEKAPVKAPEKYVAPHLRSRAGNEPEEHSQIRRRVRGLLNRLSESNVESITGDLSAIFRSLPRGIASQMFSEELLASCAGGPRGNEQYAAVFAALVAGMACSVGIDFGAKLMASLAKTFEDEYHKEDNISLRNVTLLLSHLCIFGVCSSELIYDFLIVLSKRLTEVDVSTILTVLQCCGMKIRADDPLAMKNFIQSVQNRVNELKASCGDNQDNTNSKRMEFMLETICDIKNNKKRSKEDNAQHTRIKKWLQKLRVEDILIRGLKWSKLLDSNKKGQWWLSGDMASSTDNVEEVANTIDKEVLEAQKMLQLAAEQRMNTDARKAIFCIIMSGEDYIDAFEKLLRLDLQGKQDREIMRVIVECCLQEKVFNKYYTTLASKFCEHDKNHKFTLQSVCHLASVWY >ONI35536 pep chromosome:Prunus_persica_NCBIv2:G1:44224857:44233124:-1 gene:PRUPE_1G541500 transcript:ONI35536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRREKRKEARLSKNARNHESWLQHKKIQKDKAIFVKSKTVQKTKDEHTAEETEVKARSESPEQKDHKVSKAVEEEKGSKRTPKTNFEKYLDIDRNRGVEDLELERKLAKKLKVKDGKLKGEDFGLNVLFEGISAVDSLGEKEATYVEALPAKQSKSSSSGKKCKKDKPLKDRLENELPSDLMVEVPEVAVTDGVEVESEDVSSKISLRKKHKKRKLLEGIEEAGDMSFDVSKKMKSCATEMALEKAPVKAPEKYVAPHLRSRAGNEPEEHSQIRRRVRGLLNRLSESNVESITGDLSAIFRSLPRGIASQMFSEELLASCAGGPRGNEQYAAVFAALVAGMACSVGIDFGAKLMASLAKTFEDEYHKEDNISLRNVTLLLSHLCIFGVCSSELIYDFLIVLSKRLTEVDVSTILTVLQCCGMKIRADDPLAMKNFIQSVQNRVNELKASCGDNQDNTNSKRMEFMLETICDIKNNKKRSKEDNAQHTRIKKWLQKLRVEDILIRGLKWSKLLDSNKKGQWWLSGDMASSTDNVEEVANTIDKEVLEAQKMLQLAAEQRMNTDARKAIFCIIMSGEDYIDAFEKLLRLDLQGKQRDHASYCGVLFAGESV >ONI35537 pep chromosome:Prunus_persica_NCBIv2:G1:44227966:44233145:-1 gene:PRUPE_1G541500 transcript:ONI35537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRREKRKEARLSKNARNHESWLQHKKIQKDKAIFVKSKTVQKTKDEHTAEETEVKARSESPEQKDHKVSKAVEEEKGSKRTPKTNFEKYLDIDRNRGVEDLELERKLAKKLKVKDGKLKGEDFGLNVLFEGISAVDSLGEKEATYVEALPAKQSKSSSSGKKCKKDKPLKDRLENELPSDLMVEVPEVAVTDGVEVESEDVSSKISLRKKHKKRKLLEGIEEAGDMSFDVSKKMKSCATEMALEKAPVKAPEKYVAPHLRSRAGNEPEEHSQIRRRVRGLLNRLSESNVESITGDLSAIFRSLPRGIASQMFSEELLASCAGGPRGNEQYAAVFAALVAGMACSVGIDFGAKLMASLAKTFEDEYHKEDNISLRNVTLLLSHLCIFGVCSSELIYDFLIVLSKRLTEVDVSTILTVLQCCGMKIRADDPLAMKNFIQSVQNRVNELKASCGDNQDNTNSKRMEFMLETICDIKNNKKRSKEDNAQHTRIKKWLQKAEEASITIKCRVERGSKCFTFVDTKFTNCKFKLV >ONI35532 pep chromosome:Prunus_persica_NCBIv2:G1:44225197:44232090:-1 gene:PRUPE_1G541500 transcript:ONI35532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVPEVAVTDGVEVESEDVSSKISLRKKHKKRKLLEGIEEAGDMSFDVSKKMKSCATEMALEKAPVKAPEKYVAPHLRSRAGNEPEEHSQIRRRVRGLLNRLSESNVESITGDLSAIFRSLPRGIASQMFSEELLASCAGGPRGNEQYAAVFAALVAGMACSVGIDFGAKLMASLAKTFEDEYHKEDNISLRNVTLLLSHLCIFGVCSSELIYDFLIVLSKRLTEVDVSTILTVLQCCGMKIRADDPLAMKNFIQSVQNRVNELKASCGDNQDNTNSKRMEFMLETICDIKNNKKRSKEDNAQHTRIKKWLQKLRVEDILIRGLKWSKLLDSNKKGQWWLSGDMASSTDNVEEVANTIDKEVLEAQKMLQLAAEQRMNTDARKAIFCIIMSGEDYIDAFEKLLRLDLQGKQDREIMRVIVECCLQEKVFNKYYTTLASKFCEHDKNHKFTLQFCLWDHFKDLESMQLTRSMHLAKFVAEMVSSFTLSLAVLKTVDLADIKQLTAKRIMHFRMLFEAIFEYPDSLIWNIFTRVAVSPELESLRRGIEFFVKYIVETNKALKDKFKLAKKALNNVEGVLM >ONI30354 pep chromosome:Prunus_persica_NCBIv2:G1:25953007:25956724:-1 gene:PRUPE_1G246300 transcript:ONI30354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSATTHQHPSISTSTLLFPSICHKLPASVKANSTSAHRPHDLLITNAFSTSSLQNPNPSPPQTIRHVTHSASDGEPEANSMVPSASAVASAIRRASTSPVDFIQRIEKEQKRGIVLPSPDFQRLCNQQLDIFRRIVDPDALLSVYVRPAGSYVMDRLELRRVTCHPGAKSSDIVILVGSFSVATGFRAAEAVLSNHKVEVIAECKAAVFPMVKHPFVVGFLVAEFPRMEMPPPGGKVQCEGCDLIHRLTPEEAYALPPSSNIKSWDTQNLEDQPEPLLTMYKFSAEQRSNAINISRSLAMAYVMDQKAMLLQQSSWQNNVRMSSLVEQIRGPLSSLQTLSKMLSMHMKRSEISYDIVEDILVQGDHMKDTLQQLQDAVYLTKASIMRYGEHDSTYSYPDSGKPQLLNNLPKDSSSIKMQVSGEQLSLNAGAKDMEMPMPPLALAPLQQHGIRPLAQNQQRVVELNELGTSLQVAVEEPALRQALSNLIESALLRTHTGGKVEIVSTGAPAGGVLVVIDDDGPDMHYMTQMHSLTPFGADLLSENMVEDNMTWNFVAGLTVAREILESYGCVVRVISPRTADAPLGAGGTRVELWLPCIMELSRINGPAQEA >ONI30353 pep chromosome:Prunus_persica_NCBIv2:G1:25953007:25956724:-1 gene:PRUPE_1G246300 transcript:ONI30353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSATTHQHPSISTSTLLFPSICHKLPASVKANSTSAHRPHDLLITNAFSTSSLQNPNPSPPQTIRHVTHSASDGEPEANSMVPSASAVASAIRRASTSPVDFIQRIEKEQKRGIVLPSPDFQRLCNQQLDIFRRIVDPDALLSVYVRPAGSYVMDRLELRRVTCHPGAKSSDIVILVGSFSVATGFRAAEAVLSNHKVEVIAECKAAVFPMVKHPFVVGFLVAEFPRMEMPPPGGKVQCEGCDLIHRLTPEEAYALPPSSNIKSWDTQNLEDQPEPLLTMYKFSAEQRSNAINISRSLAMAYVMDQIRGPLSSLQTLSKMLSMHMKRSEISYDIVEDILVQGDHMKDTLQQLQDAVYLTKASIMRYGEHDSTYSYPDSGKPQLLNNLPKDSSSIKMQVSGEQLSLNAGAKDMEMPMPPLALAPLQQHGIRPCNVSDILADLVEAVRPLAQNQQRVVELNELGTSLQVAVEEPALRQALSNLIESALLRTHTGGKVEIVSTGAPAGGVLVVIDDDGPDMHYMTQMHSLTPFGADLLSENMVEDNMTWNFVAGLTVAREILESYGCVVRVISPRTADAPLGAGGTRVELWLPCIMELSRINGPAQEA >ONI30355 pep chromosome:Prunus_persica_NCBIv2:G1:25953492:25956551:-1 gene:PRUPE_1G246300 transcript:ONI30355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSATTHQHPSISTSTLLFPSICHKLPASVKANSTSAHRPHDLLITNAFSTSSLQNPNPSPPQTIRHVTHSASDGEPEANSMVPSASAVASAIRRASTSPVDFIQRIEKEQKRGIVLPSPDFQRLCNQQLDIFRRIVDPDALLSVYVRPAGSYVMDRLELRRVTCHPGAKSSDIVILVGSFSVATGFRAAEAVLSNHKVEVIAECKAAVFPMVKHPFVVGFLVAEFPRMEMPPPGGKVQCEGCDLIHRLTPEEAYALPPSSNIKSWDTQNLEDQPEPLLTMYKFSAEQRSNAINISRSLAMAYVMDQKAMLLQQSSWQNNVRMSSLVEQIRGPLSSLQTLSKMLSMHMKRSEISYDIVEDILVQGDHMKDTLQQLQDAVYLTKASIMRYGEHDSTYSYPDSGKPQLLNNLPKDSSSIKMQVSGEQLSLNAGAKDMEMPMPPLALAPLQQHGIRPCNVSDILADLVEAVRPLAQNQQRVVELNELGTSLQVAVEEPALRQALSNLIESALLRTHTGGKVEIVSTGAPAGGVLVVIDDDGPDMHYMTQMHSLTPFGADLLSENMVEDNMTWNFVAGLTVAREILESYGCVVRVISPRTADAPLGAGGTRVELWLPCIMELSRINGPAQEA >ONI30357 pep chromosome:Prunus_persica_NCBIv2:G1:25954151:25956551:-1 gene:PRUPE_1G246300 transcript:ONI30357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSATTHQHPSISTSTLLFPSICHKLPASVKANSTSAHRPHDLLITNAFSTSSLQNPNPSPPQTIRHVTHSASDGEPEANSMVPSASAVASAIRRASTSPVDFIQRIEKEQKRGIVLPSPDFQRLCNQQLDIFRRIVDPDALLSVYVRPAGSYVMDRLELRRVTCHPGAKSSDIVILVGSFSVATGFRAAEAVLSNHKVEVIAECKAAVFPMVKHPFVVGFLVAEFPRMEMPPPGGKVQCEGCDLIHRLTPEEAYALPPSSNIKSWDTQNLEDQPEPLLTMYKFSAEQRSNAINISRSLAMAYVMDQKAMLLQQSSWQNNVRMSSLVEQIRGPLSSLQTLSKMLSMHMKRSEISYDIVEDILVQGDHMKDTLQQLQDAVYLTKASIMRYGEHDSTYSYPDSGKPQLLNNLPKDSSSIKMQVSGEQLSLNAGAKDMEMPMPPLALAPLQQHGIRKTMQCF >ONI30356 pep chromosome:Prunus_persica_NCBIv2:G1:25954151:25956551:-1 gene:PRUPE_1G246300 transcript:ONI30356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSATTHQHPSISTSTLLFPSICHKLPASVKANSTSAHRPHDLLITNAFSTSSLQNPNPSPPQTIRHVTHSASDGEPEANSMVPSASAVASAIRRASTSPVDFIQRIEKEQKRGIVLPSPDFQRLCNQQLDIFRRIVDPDALLSVYVRPAGSYVMDRLELRRVTCHPGAKSSDIVILVGSFSVATGFRAAEAVLSNHKVEVIAECKAAVFPMVKHPFVVGFLVAEFPRMEMPPPGGKVQCEGCDLIHRLTPEEAYALPPSSNIKSWDTQNLEDQPEPLLTMYKFSAEQRSNAINISRSLAMAYVMDQIRGPLSSLQTLSKMLSMHMKRSEISYDIVEDILVQGDHMKDTLQQLQDAVYLTKASIMRYGEHDSTYSYPDSGKPQLLNNLPKDSSSIKMQVSGEQLSLNAGAKDMEMPMPPLALAPLQQHGIRKTMQCF >ONI32740 pep chromosome:Prunus_persica_NCBIv2:G1:34413673:34415164:-1 gene:PRUPE_1G383000 transcript:ONI32740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQKEIEENVKEKGLVKKQSAGELRLHRDISELNLPKACEISFPNGKNDLMNFEVTIEPDEGYYTGGRFVFSFHVPIIYPHEAPKVKCETKIYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLSHLFTQPNYEDPLNHEAADVLRDDPGLFVSNVRKAIDGRQWVGTDYFPGCN >ONI32741 pep chromosome:Prunus_persica_NCBIv2:G1:34413456:34415651:-1 gene:PRUPE_1G383000 transcript:ONI32741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQKEIEENVKEKGLVKKQSAGELRLHRDISELNLPKACEISFPNGKNDLMNFEVTIEPDEGYYTGGRFVFSFHVPIIYPHEAPKVKCETKIYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLSHLFTQPNYEDPLNHEAADVLRDDPGLFVSNVRKAIDGRQWVGTDYFPGCN >ONI28311 pep chromosome:Prunus_persica_NCBIv2:G1:10767309:10768989:-1 gene:PRUPE_1G137600 transcript:ONI28311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSAQQVSESLKYQTWILRVSIHCEGCKRKVKKVLQKIDAIDSQQNRVTVTGNVDVQTLIKKLIMKAGKKAELWPANLTGKDQYSLKAKNKDKQKGPNHSDEQNPCEKVGALKLSSAKNRASTATQADPPKVSGDMSPELTTGGKESPAMNLQSNESEKRSVSKRKKNEEPKDYEDRRCNLGPTGGSGAPASRGSQPLDHPGDRSHTRQMAFLYPMDYNSPLVYVAGGSAAASMGLAPNFYHVPSPPYTWATTSHQEIDGVMKKATCLDILQIFSDENANGCFIM >ONI28313 pep chromosome:Prunus_persica_NCBIv2:G1:10767308:10768989:-1 gene:PRUPE_1G137600 transcript:ONI28313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGKKAELWPANLTGKDQYSLKAKNKDKQKGPNHSDEQNPCEKVGALKLSSAKNRASTATQADPPKVSGDMSPELTTGGKESPAMNLQSNESEKRSVSKRKKNEEPKDYEDRRCNLGPTGGSGAPASRGSQPLDHPGDRSHTRQMAFLYPMDYNSPLVYVAGGSAAASMGLAPNFYHVPSPPYTWATTSHQEIDGVMKKATCLDILQIFSDENANGCFIM >ONI28312 pep chromosome:Prunus_persica_NCBIv2:G1:10767569:10768785:-1 gene:PRUPE_1G137600 transcript:ONI28312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSAQQVSESLKYQTWILRVSIHCEGCKRKVKKVLQKIDGVYTTAIDSQQNRVTVTGNVDVQTLIKKLIMKAGKKAELWPANLTGKDQYSLKAKNKDKQKGPNHSDEQNPCEKVGALKLSSAKNRASTATQADPPKVSGDMSPELTTGGKESPAMNLQSNESEKRSVSKRKKNEEPKDYEDRRCNLGPTGGSGAPASRGSQPLDHPGDRSHTRQMAFLYPMDYNSPLVYVAGGSAAASMGLAPNFYHVPSPPYTWATTSHQEIDGVMKKATCLDILQIFSDENANGCFIM >ONI32526 pep chromosome:Prunus_persica_NCBIv2:G1:33841489:33845630:-1 gene:PRUPE_1G371200 transcript:ONI32526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQAMSALGPFSPWIPEDDILLKNAVEAGASLESLAKGAVHFSRRFTICELQDRWYSLLYDPVVSANASARMVEFECSTPTLPIDGPGNSKENKCESGKRKAESVRSSYYALRKRICNEPFNSMGLNFLVQPSNNNYVGNEDEPLYLNCMTGDPTPIGLERSDMDTLQNLMDGGTATGGVVTADTFHTGLQIPAENDFHMEQDNIHEEVPHILGDNMPFTRNGSEVGEFNQPKELPECSLFNADDLGMEPPYTLDQINGDNGNMCTKFEGNQAFNSSVSDNGASFHNLEYSSPLPGMPIWRTGAKPAMPVDVDVDLGENDLCTSDTFELPDDIDANNTRTSGYDVQLGMEVKADMPCGDFKSAAAPASTEGYLAELSNSLLNFTNEELMLMTADGKDVIDKSYYDGLSSLLLSSPNDDARQEQTIDITEPETSVTPVMYSMNPSSSDPVVVDDTKGSQNADEHMACHSETLMQSSSTASNYQYPELKDGVICCTLNTEDLEIPCNDDVFLPNHVLQSSTFSEVEWDLQEVNKLISSSSNDLPVNQRNSDIGPCFMRTEKKKPGEPHRSSPIKGSHRLQEMDPNPPLDNFGVKFELSKTDPSEVASKNPGHVSEGLGQIYSANPNTNPVPGILKEETRQNILAKRLSYNSTELHMEKPDLDYNSFKSCPRTNARVRKQELDPTATSRDHEALHAEVMPEHNAVSEPELSPRTSNQLGLFESDDDVPCYSDIEAMILDMDLDPDDQDLYSREEVSRYQHEDTKRRIIRLEQGAYSYLQRAIASHGAFAILYGRHSKHYIKKPEEFCLGILQ >ONI32527 pep chromosome:Prunus_persica_NCBIv2:G1:33841998:33845630:-1 gene:PRUPE_1G371200 transcript:ONI32527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQAMSALGPFSPWIPEDDILLKNAVEAGASLESLAKGAVHFSRRFTICELQDRWYSLLYDPVVSANASARMVEFECSTPTLPIDGPGNSKENKCESGKRKAESVRSSYYALRKRICNEPFNSMGLNFLVQPSNNNYVGNEDEPLYLNCMTGDPTPIGLERSDMDTLQNLMDGGTATGGVVTADTFHTGLQIPAENDFHMEQDNIHEEVPHILGDNMPFTRNGSEVGEFNQPKELPECSLFNADDLGMEPPYTLDQINGDNGNMCTKFEGNQAFNSSVSDNGASFHNLEYSSPLPGMPIWRTGAKPAMPVDVDVDLGENDLCTSDTFELPDDIDANNTRTSGYDVQLGMEVKADMPCGDFKSAAAPASTEGYLAELSNSLLNFTNEELMLMTADGKDVIDKSYYDGLSSLLLSSPNDDARQEQTIDITEPETSVTPVMYSMNPSSSDPVVVDDTKGSQNADEHMACHSETLMQSSSTASNYQYPELKDGVICCTLNTEDLEIPCNDDVFLPNHVLQSSTFSEVEWDLQEVNKLISSSSNDLPVNQRNSDIGPCFMRTEKKKPGEPHRSSPIKGSHRLQEMDPNPPLDNFGVKFELSKTDPSEVASKNPGHVSEGLGQIYSANPNTNPVPGILKEETRQNILAKRLSYNSTELHMEKPDLDYNSFKSCPRTNARVRKQELDPTATSRDHEALHAEVMPEHNAVSEPELSPRTSNQLGLFESDDDVPCYSDIEAMILDMDLDPDDQDLYSREEGNTQSSCYSFDDQLST >ONI32525 pep chromosome:Prunus_persica_NCBIv2:G1:33839925:33845317:-1 gene:PRUPE_1G371200 transcript:ONI32525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQAMSALGPFSPWIPEDDILLKNAVEAGASLESLAKGAVHFSRRFTICELQDRWYSLLYDPVVSANASARMVEFECSTPTLPIDGPGNSKENKCESGKRKAESVRSSYYALRKRICNEPFNSMGLNFLVQPSNNNYVGNEDEPLYLNCMTGDPTPIGLERSDMDTLQNLMDGGTATGGVVTADTFHTGLQIPAENDFHMEQDNIHEEVPHILGDNMPFTRNGSEVGEFNQPKELPECSLFNADDLGMEPPYTLDQINGDNGNMCTKFEGNQAFNSSVSDNGASFHNLEYSSPLPGMPIWRTGAKPAMPVDVDVDLGENDLCTSDTFELPDDIDANNTRTSGYDVQLGMEVKADMPCGDFKSAAAPASTEGYLAELSNSLLNFTNEELMLMTADGKDVIDKSYYDGLSSLLLSSPNDDARQEQTIDITEPETSVTPVMYSMNPSSSDPVVVDDTKGSQNADEHMACHSETLMQSSSTASNYQYPELKDGVICCTLNTEDLEIPCNDDVFLPNHVLQSSTFSEVEWDLQEVNKLISSSSNDLPVNQRNSDIGPCFMRTEKKKPGEPHRSSPIKGSHRLQEMDPNPPLDNFGVKFELSKTDPSEVASKNPGHVSEGLGQIYSANPNTNPVPGILKEETRQNILAKRLSYNSTELHMEKPDLDYNSFKSCPRTNARVRKQELDPTATSRDHEALHAEVMPEHNAVSEPELSPRTSNQLGLFESDDDVPCYSDIEAMILDMDLDPDDQDLYSREEVSRYQHEDTKRRIIRLEQGAYSYLQRAIASHGAFAILYGRHSKHYIKKPELSSLQVLLGRATEDAIVDIDLGREGRGNKISRQQAMIKMDKGGSFYLKNLGKCSISVNSKEVAPRQSLSLSSSCLIEIRGMPFIFETNQTRVKQYMDSVTKVSSKKE >ONI32524 pep chromosome:Prunus_persica_NCBIv2:G1:33839006:33845630:-1 gene:PRUPE_1G371200 transcript:ONI32524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQAMSALGPFSPWIPEDDILLKNAVEAGASLESLAKGAVHFSRRFTICELQDRWYSLLYDPVVSANASARMVEFECSTPTLPIDGPGNSKENKCESGKRKAESVRSSYYALRKRICNEPFNSMGLNFLVQPSNNNYVGNEDEPLYLNCMTGDPTPIGLERSDMDTLQNLMDGGTATGGVVTADTFHTGLQIPAENDFHMEQDNIHEEVPHILGDNMPFTRNGSEVGEFNQPKELPECSLFNADDLGMEPPYTLDQINGDNGNMCTKFEGNQAFNSSVSDNGASFHNLEYSSPLPGMPIWRTGAKPAMPVDVDVDLGENDLCTSDTFELPDDIDANNTRTSGYDVQLGMEVKADMPCGDFKSAAAPASTEGYLAELSNSLLNFTNEELMLMTADGKDVIDKSYYDGLSSLLLSSPNDDARQEQTIDITEPETSVTPVMYSMNPSSSDPVVVDDTKGSQNADEHMACHSETLMQSSSTASNYQYPELKDGVICCTLNTEDLEIPCNDDVFLPNHVLQSSTFSEVEWDLQEVNKLISSSSNDLPVNQRNSDIGPCFMRTEKKKPGEPHRSSPIKGSHRLQEMDPNPPLDNFGVKFELSKTDPSEVASKNPGHVSEGLGQIYSANPNTNPVPGILKEETRQNILAKRLSYNSTELHMEKPDLDYNSFKSCPRTNARVRKQELDPTATSRDHEALHAEVMPEHNAVSEPELSPRTSNQLGLFESDDDVPCYSDIEAMILDMDLDPDDQDLYSREEVSRYQHEDTKRRIIRLEQGAYSYLQRAIASHGAFAILYGRHSKHYIKKPEVLLGRATEDAIVDIDLGREGRGNKISRQQAMIKMDKGGSFYLKNLGKCSISVNSKEVAPRQSLSLSSSCLIEIRGMPFIFETNQTRVKQYMDSVTKVSSKKE >ONI32835 pep chromosome:Prunus_persica_NCBIv2:G1:34772624:34775006:1 gene:PRUPE_1G389200 transcript:ONI32835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRESIRNQNDVALGLTKKLLQTEGKESNLVYSPLSIHVVLSLIAAGSEGPTQDQLLYFLKSKSADHLNSFAAELVSVLFSDGSPSGGPLLSFANGIWVDSSLPLKPSFKQVVDTAYKAALSQVDFQTNAAEVASGVNSWAEKETSGLIKEILPPGSVDSSTRLIFANALYFKGAWNEEFDASTTKEHDFHLLDGSTVKAPFMTSKKKQFVSSYDGFTVLGLPYKQGEDKRRFSMHVFLPEAKDGLPSLVEKLDSESGFLDRHLPKQQVEVGDFRLPKFKISFGFEASNVLKGLGVVLPFSGGGLTGMVDSPVGQNLYVSSIFHKSFIEVNEEGTEAAAASAGVIKLRGLPITTDFVADHPFLFLIREELTGTVMFIGHVLNPLAD >ONI27649 pep chromosome:Prunus_persica_NCBIv2:G1:7818109:7819848:-1 gene:PRUPE_1G097900 transcript:ONI27649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKMLLEVQKKRPIKRNKKKLLKKVIDFLISNSYMFAPLISHPPHGFSSPMKTRASITGVEGRKPIKGNNKRLLKKVGDYLKSDSYMYAPLLASPPSASTSSPPKDSP >ONI27645 pep chromosome:Prunus_persica_NCBIv2:G1:7813684:7820097:-1 gene:PRUPE_1G097900 transcript:ONI27645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKMLLEVQKKRPIKRNKKKLLKKVIDFLISNSYMFAPLISHPPHGFSSPMKTRASITGVEGRKPIKGNNKRLLKKVGDYLKSDSYMYAPLLASPPSASTSSPPKGSSKYLKKVVTMANSERKLFLKYNKSNERFTNVIAEDQASKGCLPEKVLSEQQSVVHKETVKHVVHHSCRSSMERTAQIAPKEAC >ONI27647 pep chromosome:Prunus_persica_NCBIv2:G1:7818109:7819848:-1 gene:PRUPE_1G097900 transcript:ONI27647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKMLLEVQKKRPIKRNKKKLLKKVIDFLISNSYMFAPLISHPPHGFSSPMKTRASITGVEGRKPIKGNNKRLLKKVGDYLKSDSYMYAPLLASPPSASTSSPPKDSP >ONI27648 pep chromosome:Prunus_persica_NCBIv2:G1:7816599:7820006:-1 gene:PRUPE_1G097900 transcript:ONI27648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKMLLEVQKKRPIKRNKKKLLKKVIDFLISNSYMFAPLISHPPHGFSSPMKTRASITGVEGRKPIKGNNKRLLKKVGDYLKSDSYMYAPLLASPPSASTSSPPKDSP >ONI27643 pep chromosome:Prunus_persica_NCBIv2:G1:7813685:7820006:-1 gene:PRUPE_1G097900 transcript:ONI27643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKMLLEVQKKRPIKRNKKKLLKKVIDFLISNSYMFAPLISHPPHGFSSPMKTRASITGVEGRKPIKGNNKRLLKKVGDYLKSDSYMYAPLLASPPSASTSSPPKGSSKYLKKVVTMANSERKLFLKYNKSNERFTNVIAEDQASKGCLPEKVLSEQQSVVHKETVKHVVHHSCRSSMSGKGLLRSHLRKLVD >ONI27646 pep chromosome:Prunus_persica_NCBIv2:G1:7816379:7820006:-1 gene:PRUPE_1G097900 transcript:ONI27646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKMLLEVQKKRPIKRNKKKLLKKVIDFLISNSYMFAPLISHPPHGFSSPMKTRASITGVEGRKPIKGNNKRLLKKVGDYLKSDSYMYAPLLASPPSASTSSPPKGTPSWPRYKLGTDLKYVVGAFRVYWHSQ >ONI27642 pep chromosome:Prunus_persica_NCBIv2:G1:7813684:7820065:-1 gene:PRUPE_1G097900 transcript:ONI27642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKMLLEVQKKRPIKRNKKKLLKKVIDFLISNSYMFAPLISHPPHGFSSPMKTRASITGVEGRKPIKGNNKRLLKKVGDYLKSDSYMYAPLLASPPSASTSSPPKGSSKYLKKVVTMANSERKLFLKYNKSNERFTNVIAEDQASKGCLPEKVLSEQQSVVHKETVKHVVHHSCRSSMSGKGLLRSHLRKLVD >ONI27644 pep chromosome:Prunus_persica_NCBIv2:G1:7813684:7819956:-1 gene:PRUPE_1G097900 transcript:ONI27644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKMLLEVQKKRPIKRNKKKLLKKVIDFLISNSYMFAPLISHPPHGFSSPMKTRASITGVEGRKPIKGNNKRLLKKVGDYLKSDSYMYAPLLASPPSASTSSPPKGSSKYLKKVVTMANSERKLFLKYNKSNERFTNVIAEDQASKGCLPEKVLSEQQSVVHKETVKHVVHHSCRSSMERTAQIAPKEAC >ONI36132 pep chromosome:Prunus_persica_NCBIv2:G1:46571111:46574309:-1 gene:PRUPE_1G571200 transcript:ONI36132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIGFWVLLSLLSFSTLSPRTTAASAPTTNTTTTCPMDLNYVLRIPFNSSSCKNFQPPPKTPQMDLTKIPCCQTLLSLLGVALAQHLKETSLFQLPNLPTSNSCLQNYQSKLTSLSLPPNLVSYCFDPMQFVITPNICAKIESSQDWVAKLGQSTSLDSACRPDLTDLSSCGACVDAGFDIQKKLISVDGNTSHTRDCWYFTILYAAGIVNEFGPESNGVVSCIFGLSPDSHAGSSKKSSTALVFGLTGAGVAVFVMSSLLGLYFWYDRRWRNKRVERSRMDSGFDLDERESRIRVRPNTGSIWFNIQDLEKATNNFSQKNFIGRGGFGLVYKGVLQDGTTVAVKKVIESDFQGDAEFCNEVEIISNLKHRNLVPLRGCCVVEGEDSYEERGSHRYLVYDYMPNGNLDDHIFISLSSNQSGIERRPLTWPQRKSIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDADMKARVADFGLAKQSMEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSLGSPRAFLITDWAWSLVKSGKVEQALDFSLLKDGDNVNSNPKSIMERFLLVGILCAHVMVALRPTILDALKMLEGDIEVPPIPDRPMPLGHPSGYSNGNGNTFSISPALSGPKLHSGDMLRYIKDEE >ONI36133 pep chromosome:Prunus_persica_NCBIv2:G1:46572097:46574079:-1 gene:PRUPE_1G571200 transcript:ONI36133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIGFWVLLSLLSFSTLSPRTTAASAPTTNTTTTCPMDLNYVLRIPFNSSSCKNFQPPPKTPQMDLTKIPCCQTLLSLLGVALAQHLKETSLFQLPNLPTSNSCLQNYQSKLTSLSLPPNLVSYCFDPMQFVITPNICAKIESSQDWVAKLGQSTSLDSACRPDLTDLSSCGACVDAGFDIQKKLISVDGNTSHTRDCWYFTILYAAGIVNEFGPESNGVVSCIFGLSPDSHAGSSKKSSTALVFGLTGAGVAVFVMSSLLGLYFWYDRRWRNKRVERSRMDSGFDLDERESRIRVRPNTGSIWFNIQDLEKATNNFSQKNFIGRGGFGLVYKGVLQDGTTVAVKKVIESDFQGDAEFCNEVEIISNLKHRNLVPLRGCCVVEGEDSYEERGSHRYLVYDYMPNGNLDDHIFISLSSNQSGIERRPLTWPQRKSIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDADMKARVADFGLAKQSMEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSLGSPRAFLITDWAWSLVKSGKVEQALDFSLLKDGDNVNSNPKSIMERFLLVGILCAHVMVALRPTILDALKMLEGDIEVPPIPDRPMPLGHPSGYSNGNGNTFSISPALSGPKLHSGDMLR >ONI30190 pep chromosome:Prunus_persica_NCBIv2:G1:25052459:25053936:1 gene:PRUPE_1G236300 transcript:ONI30190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEPIHPCDFLLPPPLRFSLSLSLSLSLSQFDYRGQTCIAQCSFTALRFNFNISYRFHKSSSIHTLNPRIAGEPDAAIHLAILENPNIK >ONI32374 pep chromosome:Prunus_persica_NCBIv2:G1:33464754:33466811:-1 gene:PRUPE_1G364000 transcript:ONI32374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNLMAIFHFSSLYITLILFTICKGIAGATFTIINKCDYTVWPGILSNAGSSRLDSTGLQLQHGASRSFQSPPNWSGRFWARTGCNFDPTTGQGTCTTGDCGSNQVECNGAGANPPATLAEFTIGGSDNQDFYDVSLVDGYNLPMMVEPSGGSGSCLSTGCATDLNQRCPAELRVGDGAACKSACEAFGSPEYCCNGAFGTPDTCKPSVYSEMFKAACPRSYSYAYDDASSTFTCTGADYTITFCPSTTSQKSASTSTTTSNGSGQTTTTTNNGSGGGSGTTGEVPAVVGNSNSPPPWFPNFFTGDSTKTLSSSALYTSLVATLFLFLSLSLLHS >ONI35970 pep chromosome:Prunus_persica_NCBIv2:G1:46016264:46018870:-1 gene:PRUPE_1G563200 transcript:ONI35970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLHRQRVAALQLQIRRYAQSATAALLPPDPELEPDFPRPDPKYAETIFAVPRPASGKSISAKERKAGRVPSIVFEQEDGQHGGNKRLISIRTNQIRKLVGHLGRSFFLSRLFDLEVRSDFDSENDDVVERVRVLPRMIHLHSATDAPLNVTFIRAPSHALLKVDIPLVFRGDDVSPGLKKGAYLNTIKRTVKFLCPADVIPPYIDVDLSELDVGQKILMGDLKVHPALKLLQSKDEPVCKIMGARVSEQKKSK >ONI29534 pep chromosome:Prunus_persica_NCBIv2:G1:19830163:19834535:-1 gene:PRUPE_1G201300 transcript:ONI29534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYVNLYDTRGLVMDCFQTVSHLKGSLCCQLLLFFIWLSSFQEAVALQTVYEQSHVSSIPDLAKPPTSGLFGPIEISPAVIPHYTDPDEPFPPMYPTFPTRYEPVLTGKCPVNFSAISSIMDKTASDCSLPLAAVVGNVICCPQFSSLIRIFQGLYSFTSDKLALQNSVANNCFTDITNILASRGANSTIPTLCSINSVNLTGGSCPVKDVVSFEKAVNSSRLLEACTTVDPLKECCRPICQPAIMDAALKISGKQFSLNENKNLVGELSHVDTLTDCKGVVFTYLSRKLSPDAVNTAFRILSACKVNKVCPLDFKQPTEVIKECRSVAAPGPSCCSSLNAYISGIQKQMLITNRQAIVCATMFGSMLRKGGVMSNIYELCDVDLKDFSIQAAYGQQGCLLRSLPSDMIFDNSTGYSFTCDLSDNIAAPWPSSSSISSFSLCGPEMSLPALPTSETFKNPGCRGGRVEFLIPIVSFFIFITLLY >ONI29525 pep chromosome:Prunus_persica_NCBIv2:G1:19829921:19835928:-1 gene:PRUPE_1G201300 transcript:ONI29525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGFNIFRGLVMDCFQTVSHLKGSLCCQLLLFFIWLSSFQEAVALQTVYEQSHVSSIPDLAKPPTSGLFGPIEISPAVIPHYTDPDEPFPPMYPTFPTRYEPVLTGKCPVNFSAISSIMDKTASDCSLPLAAVVGNVICCPQFSSLIRIFQGLYSFTSDKLALQNSVANNCFTDITNILASRGANSTIPTLCSINSVNLTGGSCPVKDVVSFEKAVNSSRLLEACTTVDPLKECCRPICQPAIMDAALKISGKQFSLNENKNLVGELSHVDTLTDCKGVVFTYLSRKLSPDAVNTAFRILSACKVNKVCPLDFKQPTEVIKECRSVAAPGPSCCSSLNAYISGIQKQMLITNRQAIVCATMFGSMLRKGGVMSNIYELCDVDLKDFSIQGCLLRSLPSDMIFDNSTGYSFTCDLSDNIAAPWPSSSSISSFSLCGPEMSLPALPTSETFKNPGCRGGRVEFLIPIVSFFIFITLLY >ONI29527 pep chromosome:Prunus_persica_NCBIv2:G1:19829921:19835928:-1 gene:PRUPE_1G201300 transcript:ONI29527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGFNIFRWHRGLVMDCFQTVSHLKGSLCCQLLLFFIWLSSFQEAVALQTVYEQSHVSSIPDLAKPPTSGLFGPIEISPAVIPHYTDPDEPFPPMYPTFPTRYEPVLTGKCPVNFSAISSIMDKTASDCSLPLAAVVGNVICCPQFSSLIRIFQGLYSFTSDKLALQNSVANNCFTDITNILASRGANSTIPTLCSINSVNLTGGSCPVKDVVSFEKAVNSSRLLEACTTVDPLKECCRPICQPAIMDAALKISGKQFSLNENKNLVGELSHVDTLTDCKGVVFTYLSRKLSPDAVNTAFRILSACKVNKVCPLDFKQPTEVIKECRSVAAPGPSCCSSLNAYISGIQKQMLITNRQAIVCATMFGSMLRKGGVMSNIYELCDVDLKDFSIQGCLLRSLPSDMIFDNSTGYSFTCDLSDNIAAPWPSSSSISSFSLCGPEMSLPALPTSETFKNPGCRGGRVEFLIPIVSFFIFITLLY >ONI29532 pep chromosome:Prunus_persica_NCBIv2:G1:19830163:19834535:-1 gene:PRUPE_1G201300 transcript:ONI29532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYVNLYDTRGLVMDCFQTVSHLKGSLCCQLLLFFIWLSSFQEAVALQTVYEQSHVSSIPDLAKPPTSGLFGPIEISPAVIPHYTDPDEPFPPMYPTFPTRYEPVLTGKCPVNFSAISSIMDKTASDCSLPLAAVVGNVICCPQFSSLIRIFQGLYSFTSDKLALQNSVANNCFTDITNILASRGANSTIPTLCSINSVNLTGGSCPVKDVVSFEKAVNSSRLLEACTTVDPLKECCRPICQPAIMDAALKISGKQFSLNENKNLVGELSHVDTLTDCKGVVFTYLSRKLSPDAVNTAFRILSACKVNKVCPLDFKQPTEVIKECRSVAAPGPSCCSSLNAYISGIQKQMLITNRQAIVCATMFGSMLRKGGVMSNIYELCDVDLKDFSIQAAYGQQGCLLRSLPSDMIFDNSTGYSFTCDLSDNIAAPWPSSSSISSFSLCGPEMSLPALPTSETFKNPGCRGGRVEFLIPIVSFFIFITLLY >ONI29533 pep chromosome:Prunus_persica_NCBIv2:G1:19829921:19834906:-1 gene:PRUPE_1G201300 transcript:ONI29533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYVNLYDTRGLVMDCFQTVSHLKGSLCCQLLLFFIWLSSFQEAVALQTVYEQSHVSSIPDLAKPPTSGLFGPIEISPAVIPHYTDPDEPFPPMYPTFPTRYEPVLTGKCPVNFSAISSIMDKTASDCSLPLAAVVGNVICCPQFSSLIRIFQGLYSFTSDKLALQNSVANNCFTDITNILASRGANSTIPTLCSINSVNLTGGSCPVKDVVSFEKAVNSSRLLEACTTVDPLKECCRPICQPAIMDAALKISGKQFSLNENKNLVGELSHVDTLTDCKGVVFTYLSRKLSPDAVNTAFRILSACKVNKVCPLDFKQPTEVIKECRSVAAPGPSCCSSLNAYISGIQKQMLITNRQAIVCATMFGSMLRKGGVMSNIYELCDVDLKDFSIQAAYGQQGCLLRSLPSDMIFDNSTGYSFTCDLSDNIAAPWPSSSSISSFSLCGPEMSLPALPTSETFKNPGCRGGRVEFLIPIVSFFIFITLLY >ONI29526 pep chromosome:Prunus_persica_NCBIv2:G1:19830163:19835695:-1 gene:PRUPE_1G201300 transcript:ONI29526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGFNIFRGLVMDCFQTVSHLKGSLCCQLLLFFIWLSSFQEAVALQTVYEQSHVSSIPDLAKPPTSGLFGPIEISPAVIPHYTDPDEPFPPMYPTFPTRYEPVLTGKCPVNFSAISSIMDKTASDCSLPLAAVVGNVICCPQFSSLIRIFQGLYSFTSDKLALQNSVANNCFTDITNILASRGANSTIPTLCSINSVNLTGGSCPVKDVVSFEKAVNSSRLLEACTTVDPLKECCRPICQPAIMDAALKISGKQFSLNENKNLVGELSHVDTLTDCKGVVFTYLSRKLSPDAVNTAFRILSACKVNKVCPLDFKQPTEVIKECRSVAAPGPSCCSSLNAYISGIQKQMLITNRQAIVCATMFGSMLRKGGVMSNIYELCDVDLKDFSIQAAYGQQGCLLRSLPSDMIFDNSTGYSFTCDLSDNIAAPWPSSSSISSFSLCGPEMSLPALPTSETFKNPGCRGGRVEFLIPIVSFFIFITLLY >ONI29528 pep chromosome:Prunus_persica_NCBIv2:G1:19830163:19835695:-1 gene:PRUPE_1G201300 transcript:ONI29528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGFNIFRWHRGLVMDCFQTVSHLKGSLCCQLLLFFIWLSSFQEAVALQTVYEQSHVSSIPDLAKPPTSGLFGPIEISPAVIPHYTDPDEPFPPMYPTFPTRYEPVLTGKCPVNFSAISSIMDKTASDCSLPLAAVVGNVICCPQFSSLIRIFQGLYSFTSDKLALQNSVANNCFTDITNILASRGANSTIPTLCSINSVNLTGGSCPVKDVVSFEKAVNSSRLLEACTTVDPLKECCRPICQPAIMDAALKISGKQFSLNENKNLVGELSHVDTLTDCKGVVFTYLSRKLSPDAVNTAFRILSACKVNKVCPLDFKQPTEVIKECRSVAAPGPSCCSSLNAYISGIQKQMLITNRQAIVCATMFGSMLRKGGVMSNIYELCDVDLKDFSIQAAYGQQGCLLRSLPSDMIFDNSTGYSFTCDLSDNIAAPWPSSSSISSFSLCGPEMSLPALPTSETFKNPGCRGGRVEFLIPIVSFFIFITLLY >ONI29530 pep chromosome:Prunus_persica_NCBIv2:G1:19829921:19835928:-1 gene:PRUPE_1G201300 transcript:ONI29530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYVNLYDTRGLVMDCFQTVSHLKGSLCCQLLLFFIWLSSFQEAVALQTVYEQSHVSSIPDLAKPPTSGLFGPIEISPAVIPHYTDPDEPFPPMYPTFPTRYEPVLTGKCPVNFSAISSIMDKTASDCSLPLAAVVGNVICCPQFSSLIRIFQGLYSFTSDKLALQNSVANNCFTDITNILASRGANSTIPTLCSINSVNLTGGSCPVKDVVSFEKAVNSSRLLEACTTVDPLKECCRPICQPAIMDAALKISGKQFSLNENKNLVGELSHVDTLTDCKGVVFTYLSRKLSPDAVNTAFRILSACKVNKVCPLDFKQPTEVIKECRSVAAPGPSCCSSLNAYISGIQKQMLITNRQAIVCATMFGSMLRKGGVMSNIYELCDVDLKDFSIQGCLLRSLPSDMIFDNSTGYSFTCDLSDNIAAPWPSSSSISSFSLCGPEMSLPALPTSETFKNPGCRGGRVEFLIPIVSFFIFITLLY >ONI29529 pep chromosome:Prunus_persica_NCBIv2:G1:19830163:19834535:-1 gene:PRUPE_1G201300 transcript:ONI29529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYVNLYDTRGLVMDCFQTVSHLKGSLCCQLLLFFIWLSSFQEAVALQTVYEQSHVSSIPDLAKPPTSGLFGPIEISPAVIPHYTDPDEPFPPMYPTFPTRYEPVLTGKCPVNFSAISSIMDKTASDCSLPLAAVVGNVICCPQFSSLIRIFQGLYSFTSDKLALQNSVANNCFTDITNILASRGANSTIPTLCSINSVNLTGGSCPVKDVVSFEKAVNSSRLLEACTTVDPLKECCRPICQPAIMDAALKISGKQFSLNENKNLVGELSHVDTLTDCKGVVFTYLSRKLSPDAVNTAFRILSACKVNKVCPLDFKQPTEVIKECRSVAAPGPSCCSSLNAYISGIQKQMLITNRQAIVCATMFGSMLRKGGVMSNIYELCDVDLKDFSIQGCLLRSLPSDMIFDNSTGYSFTCDLSDNIAAPWPSSSSISSFSLCGPEMSLPALPTSETFKNPGCRGGRVEFLIPIVSFFIFITLLY >ONI29531 pep chromosome:Prunus_persica_NCBIv2:G1:19830163:19834535:-1 gene:PRUPE_1G201300 transcript:ONI29531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYVNLYDTRGLVMDCFQTVSHLKGSLCCQLLLFFIWLSSFQEAVALQTVYEQSHVSSIPDLAKPPTSGLFGPIEISPAVIPHYTDPDEPFPPMYPTFPTRYEPVLTGKCPVNFSAISSIMDKTASDCSLPLAAVVGNVICCPQFSSLIRIFQGLYSFTSDKLALQNSVANNCFTDITNILASRGANSTIPTLCSINSVNLTGGSCPVKDVVSFEKAVNSSRLLEACTTVDPLKECCRPICQPAIMDAALKISGKQFSLNENKNLVGELSHVDTLTDCKGVVFTYLSRKLSPDAVNTAFRILSACKVNKVCPLDFKQPTEVIKECRSVAAPGPSCCSSLNAYISGIQKQMLITNRQAIVCATMFGSMLRKGGVMSNIYELCDVDLKDFSIQAYGQQGCLLRSLPSDMIFDNSTGYSFTCDLSDNIAAPWPSSSSISSFSLCGPEMSLPALPTSETFKNPGCRGGRVEFLIPIVSFFIFITLLY >ONI33865 pep chromosome:Prunus_persica_NCBIv2:G1:37970682:37973351:1 gene:PRUPE_1G450800 transcript:ONI33865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFWWLLCALHHVIWAATMLISIQAMPPEPPVRCYKNGCNLYNSYGVWGDRKDCHVQNVTYPTTEEELRLAVAYANQNKLKVKVVSQFSHTIPKLACPATSSGNSMLISTAKYNSSIEIDAKNLAVTVDAGIGLRRLINAVEEAGLSLVAAPYWEGVSVGGLVSTGAHGSSWWGKGGAVHDHIVGLSLIVPAKQSEGFAKIVKLEPKDQIFNAAKVSLGLLGVISKVKLSLEPRFKRSIAYNFTDDAQIENIYMDHAKKYEFADITWYPSKHTAAYRYDNRVPLNASGDGTYDFLGFQSNSILISKSIRATEKTMDNGRSLGGKCTMAASFLGVKKLVANGLKNGLIFIGYPVIGPQGKMQTSGSCLYSTAADNSCAWDPRINGLFFYETTAIFPASKFGDFIRDVKKLRDLKPDNFCGVDIYNGFLIRFIRASGAYLGQPEDSVVVDFNYFRADDALTPRFNQDVWEEVEQLAFFKYGAKPHWAKNRNLAFLDVQKKYPNFNKFVAVKRQLDPQNLFSSEWSDEVVFGKEGEKSDGCALEGQCICSEDRHCSPGKGYFCKPGLVYKEARVCRYSSSPPSPFSVGSGSDKNDL >ONI27951 pep chromosome:Prunus_persica_NCBIv2:G1:9008894:9010384:1 gene:PRUPE_1G113100 transcript:ONI27951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFAYVTTAIVAFFLILLPPSYFSESTLSHHPRSYSPTQSDVDLLEFPLNLEYLEAEFFLYGSLGYGLDKVNPGLTQGGPSPIGAQKAHLDHFTRDVITQFAWQEVGHLRAIKSKVKGFPRPLLNLSAESFAKVFDSAFGHPLVPPFDPYANSINYLLASYVIPYVGLTGYVGTAPKLQGRVSKSLVAGLLAVESGQDAVIRALLYERALEKVQPYGITVAEFTNRISNLRNKLGHGGWKDEGLVVPKYRGAEGKITGNVLAGDEFSLGYDRTPQEILRIIYASGNEHVPGGFYPKGADGHIAKSYLHNA >ONI35158 pep chromosome:Prunus_persica_NCBIv2:G1:42629596:42631886:1 gene:PRUPE_1G519000 transcript:ONI35158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISSFPTLVISHPSSSPTLTLSFSSSHPMANDAVFRASLVWLAAVIVVVGVFTQSFKKMMLTYVVGVLGISGLLLPDWGYFDRDFSRWTSPVSAEERASEVAQRSGLIRRFRKNPMRSVACATVYGFALRKWWMFISS >ONI35157 pep chromosome:Prunus_persica_NCBIv2:G1:42629596:42630913:1 gene:PRUPE_1G519000 transcript:ONI35157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISSFPTLVISHPSSSPTLTLSFSSSHPMANDAVFRASLVWLAAVIVVVGVFTQSFKKMMLTYVVGVLGISGLLLPDWGYFDRDFSRWTSPVSAEERASEVAQRSGLIRRFRKNPMRSVACATVYGFALRKWWMFISS >ONI28575 pep chromosome:Prunus_persica_NCBIv2:G1:11678123:11681258:-1 gene:PRUPE_1G149000 transcript:ONI28575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLSHTVRLYPRKEKTLAKTHTPSITTELRFHVLLPQPHIVHPPLSHSLYLSMFRRFLTTSLSRTNPPLLLNPKPLNPKIFTTPNSLIQTRPNPKLILQFSSNPRFFSQMSDARRPGSVPIPNIEKADRSEILRALEASLGSAFSPEPLWPNPSPLVIVISGPSGVGKDAVIRKLKDLNENLHFVVTATSREIRPGEVHGKDYYFVSKEEFLTMVERNELLEYALVYGDYKGIPKQQIRDYMGKGYDIVLRVDIQGAQTLRRILGNSAVFIFLMAESEAKLVERLIDRKTETKESLLVRIATAREEVKHVNNFDYVVVNAEGRLDNAVKLVESIIDAEKAKVRQRHSVI >ONI28574 pep chromosome:Prunus_persica_NCBIv2:G1:11680100:11681152:-1 gene:PRUPE_1G149000 transcript:ONI28574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLSHTVRLYPRKEKTLAKTHTPSITTELRFHVLLPQPHIVHPPLSHSLYLSMFRRFLTTSLSRTNPPLLLNPKPLNPKIFTTPNSLIQTRPNPKLILQFSSNPRFFSQMSDARRPGSVPIPNIEKADRSEILRALEASLGSAFSPEPLWPNPSPLVIVISGPSGVGKDAVIRKLKDLNENLHFVVTATSREIRPGEVHGKDYYFVSKEEFLTMVERNELLEYALVYGDYKGIPKQQIRDYMGKGYDIVLRVDIQGAQTLRRILGNSAVFIFLMAESEAKLVERLIDRKTETKESLLVRIATAREEVKHVNNFDYVVVNAEGRLDNAVKLVESIIDAEKAKVRQRHSVI >ONI32892 pep chromosome:Prunus_persica_NCBIv2:G1:34922746:34927188:1 gene:PRUPE_1G392200 transcript:ONI32892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRATRLTQSTLALASLNASKASRNSLSQSRAIAVAASARAFGSSVAPFCRPNVVSESSNVVSVKYLATAFTRSFHSSTPKFYSATTSSQANPNEYTEMAWEGIVGAVDAARVSKQQVVETEHLMKALLEQKDGLARRIFTKAGVDNTTVLQATDNFIAQQPKVTGATSGPIMGSHLSGVLDNARRQKKDMGDDFVSVEHLVLAFQSDTRFGQQLFRNLQLSDKDLKEAVKDVRGSQRVTDQNPEGKYEALDKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLLNRKLISLDMGSLVAGAKFRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVFCGQPSVEDTISILRGLRERYELHHGVKISDSALVSAAVLSDRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEVDRAVLKLEMEKLSVQNDTDKSSKERLSKLENDLALLKQKQKELTEQWDHEKALMTRIRSVKEEIDRVNQEMEAAERDYDLNRAAELKYGTLTSLQRQLEQAEKNLAEYQKSGNALLREEVTDLDIAEIVSKWTGIPLSNLQQSERDKLVMLEQVLHKRVVGQDIAVKSVADAIRRSRAGLSDPNRPIASFMFMGPNWCGKTELAKALAGYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYCVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNLGSHYILETLRNTHDSKDAVYEVMKRQVVELARQTFRPEFMNRIDEYIVFQPLDSKEISSIVELQMNRLKDRLKQKKIDLYYTKEAVELLGTLGFDPNYGARPVKRVIQQLVENEIAMGFLRGDFNEEDSLIVDAEVSPSVKDLPPHKRLRIKKLENTSAVDAMVAND >ONI30965 pep chromosome:Prunus_persica_NCBIv2:G1:28617259:28619426:-1 gene:PRUPE_1G284900 transcript:ONI30965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRLLSWFLEPTQTQSRFIEQSFSHSSPTQTQTKAQVIIVLLFMALPCPQSTSQVPETEKDFDLLKCSKIENGKKEAEIHLVGYRFDPSEDQIVVFYLFNKILGRELPINDIIKEISVYEHDPDELPSGDFKHGVDCNEAFYFAYTEQVYSSRGKITRRTTKSGYWDLDGEEEEVKYRNGDITVMFEKVMVFHKGTAPNGIETDFIMHEYRVNPLIVPTHVLNDSIRAKIERYVVCRIIQEGVSNFPLTNFHQDGLLGLLKKQNQIDMTEIA >ONI30966 pep chromosome:Prunus_persica_NCBIv2:G1:28616906:28619692:-1 gene:PRUPE_1G284900 transcript:ONI30966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSSSFIEQSFSHSSPTQTQTKAQCSKIENGKKEAEIHLVGYRFDPSEDQIVVFYLFNKILGRELPINDIIKEISVYEHDPDELPSGDFKHGVDCNEAFYFAYTEQVYSSRGKITRRTTKSGYWDLDGEEEEVKYRNGDITVMFEKVMVFHKGTAPNGIETDFIMHEYRVNPLIVPTHVLNDSIRAKIERYVVCRIIQEGVSNFPLTNFHQDGLLGLLKKQNQIDMTEIA >ONI30964 pep chromosome:Prunus_persica_NCBIv2:G1:28616906:28619692:-1 gene:PRUPE_1G284900 transcript:ONI30964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRLLSWFLEPTQTQSRFIEQSFSHSSPTQTQTKAQCSKIENGKKEAEIHLVGYRFDPSEDQIVVFYLFNKILGRELPINDIIKEISVYEHDPDELPSGDFKHGVDCNEAFYFAYTEQVYSSRGKITRRTTKSGYWDLDGEEEEVKYRNGDITVMFEKVMVFHKGTAPNGIETDFIMHEYRVNPLIVPTHVLNDSIRAKIERYVVCRIIQEGVSNFPLTNFHQDGLLGLLKKQNQIDMTEIA >ONI30967 pep chromosome:Prunus_persica_NCBIv2:G1:28617259:28619198:-1 gene:PRUPE_1G284900 transcript:ONI30967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSSSFIEQSFSHSSPTQTQTKAQVIIVLLFMALPCPQSTSQVPETEKDFDLLKCSKIENGKKEAEIHLVGYRFDPSEDQIVVFYLFNKILGRELPINDIIKEISVYEHDPDELPSGDFKHGVDCNEAFYFAYTEQVYSSRGKITRRTTKSGYWDLDGEEEEVKYRNGDITVMFEKVMVFHKGTAPNGIETDFIMHEYRVNPLIVPTHVLNDSIRAKIERYVVCRIIQEGVSNFPLTNFHQDGLLGLLKKQNQIDMTEIA >ONI30968 pep chromosome:Prunus_persica_NCBIv2:G1:28617259:28619198:-1 gene:PRUPE_1G284900 transcript:ONI30968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSSSFIEQSFSHSSPTQTQTKAQVIIVLLFMALPCPQSTSQVPETEKDFDLLKCSKIENGKKEAEIHLVGYRFDPSEDQIVVFYLFNKILGRELPINDIIKEISVYEHDPDELPSGDFKHGVDCNEAFYFAYTEQVYSSRGKITRRTTKSGYWDLDGEEEEVKYRNGDITVMFEKVMVFHKGTAPNGIETDFIMHEYRVNPLIVPTHVLNDSIRAKIERYVVCRIIQEGVSNFPLTNFHQDGLLGLLKKQNQIDMTEIA >ONI29577 pep chromosome:Prunus_persica_NCBIv2:G1:20130182:20131476:-1 gene:PRUPE_1G202800 transcript:ONI29577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRSSVSLSRLLATVMLLIILAAERRVCIRIPERIIETAGDVPNNQSLKTAVFALGSFWRSEAVFGCLNGVVQTTVGYAGGSKINPEYRSLGDHAESVQVIYDHRLISFRQLLEIFWSSHDYRQVFGQGPDVGNQYRSIIFTNGTEESRLAAVSKEREQTKSKSSSVTTQILPLGTFYSAEPEHQKFELKRRPFLLQLIGNLPEEELERSRLAAKLNGYAAELCPPKIQRQIDAKINEIIKKGWPILRDL >ONI29576 pep chromosome:Prunus_persica_NCBIv2:G1:20129390:20132169:-1 gene:PRUPE_1G202800 transcript:ONI29576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRSSVSLSRLLATVMLLIILAAERRVCIRIPERIIETAGDVPNNQSLKTAVFALGSFWRSEAVFGCLNGVVQTTVGYAGGSKINPEYRSLGDHAESVQVIYDHRLISFRQLLEIFWSSHDYRQVFGQGPDVGNQYRSIIFTNGTEESRLAAVSKEREQTKSKSSSVTTQILPLGTFYSAEPEHQKFELKRRPFLLQLIGNLPEEELERSRLAAKLNGYAAELCPPKIQRQIDAKINEIIKKGWPILRDL >ONI31558 pep chromosome:Prunus_persica_NCBIv2:G1:30675371:30683821:1 gene:PRUPE_1G319600 transcript:ONI31558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSLAFLFLPSPSLQFAAAPSHPKPIAFKPSPSPSPSLLAMKDRPPSSYGAVYVPPHHRLRSVITSPNYNSAASIGSKLRENQSAALNRRSTNGTLTYYQTQQQEQLQKPKLQHNSAYDDGVSEEGSDREVELPSRPTQGASPSDNIDDWKRKLTMLLRDKEKQELVSREKKDRRDFEKIAALASRMGLYSHLYAKVAVFSKVPLPNYRFDLDDRRPQREVTLPLGLLRRVEGYLGEFLSQKSRTREGLPDASFSRSNSSGSIATDEGLFEQPESLASSKVVMEKILWRRSLQLRDKQQAWQESPEGRKMLELRRSLPAYKEKDALLTAISRNQVVIISGETGCGKTTQIPQFILESEIEAVRGAVCSIICTQPRRISAMSVSERVASERGEKLGESVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLDSELFSSYFGRAQIIHVPGFTYPVRTHFLEDVLEITGCRLTPYNQIDDYGQEKMWKMSKQAPRKRKSQIASVVEDALKAADFNGPGAILVFMTGWDDINSLKEKLHANPLLGDSSRVLLLACHGSMASSEQRLIFDEPEDGVRKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPEILRTPLQSLCLQIKSLNLGSISEFLSRALQSPELLAVQNAIEYLKIIGALDENENLTVLGRYLTMLPVEPKLGKMLLVGAILNCLDPVLTIVSGLSVRDPFLTPFDKKDLAEAAKSQFSRDYSDHLALVRAYEGWKVAERDFAGYDYCWKNFLSAQSMKAIDSLRKEFFSLLRDTDLVDANTTTHNAWSYDEHLIRAVICYGLYPGICSVVHNEKSFLLKTMEDGQVLLYSNSVNAREPKIPYPWLVFNEKIKVNSVFLRDSTAVSDSMLLLFGGSFSKGTLDGHLTMLGGYLEFFMKPAIAELYLCLKGELDELIQTKLLNPRMDTHAFHELLSAVRLLLSEDQGEGRFVFGRQVLTSSKPSVLAAQPASTLVSRTDSGPGGDNSKSQLQTLLTRAGYAAPTYKTKQLKNSQFRATVEFNGMEIMGQPCNNKKSAEKDAAAEAIQWLVSGTQMGHGYINHMSMMLKKSKKDHN >ONI31559 pep chromosome:Prunus_persica_NCBIv2:G1:30677285:30683118:1 gene:PRUPE_1G319600 transcript:ONI31559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILWRRSLQLRDKQQAWQESPEGRKMLELRRSLPAYKEKDALLTAISRNQVVIISGETGCGKTTQIPQFILESEIEAVRGAVCSIICTQPRRISAMSVSERVASERGEKLGESVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLDSELFSSYFGRAQIIHVPGFTYPVRTHFLEDVLEITGCRLTPYNQIDDYGQEKMWKMSKQAPRKRKSQIASVVEDALKAADFNGYGPQTQESLACWNPDCIGFNLIEYLLCNICESERPGAILVFMTGWDDINSLKEKLHANPLLGDSSRVLLLACHGSMASSEQRLIFDEPEDGVRKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPEILRTPLQSLCLQIKSLNLGSISEFLSRALQSPELLAVQNAIEYLKIIGALDENENLTVLGRYLTMLPVEPKLGKMLLVGAILNCLDPVLTIVSGLSVRDPFLTPFDKKDLAEAAKSQFSRDYSDHLALVRAYEGWKVAERDFAGYDYCWKNFLSAQSMKAIDSLRKEFFSLLRDTDLVDANTTTHNAWSYDEHLIRAVICYGLYPGICSVVHNEKSFLLKTMEDGQVLLYSNSVNAREPKIPYPWLVFNEKIKVNSVFLRDSTAVSDSMLLLFGGSFSKGTLDGHLTMLGGYLEFFMKPAIAELYLCLKGELDELIQTKLLNPRMDTHAFHELLSAVRLLLSEDQGEGRFVFGRQVLTSSKPSVLAAQPASTLVSRTDSGPGGDNSKSQLQTLLTRAGYAAPTYKTKQLKNSQFRATVEFNGMEIMGQPCNNKKSAEKDAAAEAIQWLVSGTQMGHGYINHMSMMLKKSKKDHN >ONI31557 pep chromosome:Prunus_persica_NCBIv2:G1:30675371:30683821:1 gene:PRUPE_1G319600 transcript:ONI31557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSLAFLFLPSPSLQFAAAPSHPKPIAFKPSPSPSPSLLAMKDRPPSSYGAVYVPPHHRLRSVITSPNYNSAASIGSKLRENQSAALNRRSTNGTLTYYQTQQQEQLQKPKLQHNSAYDDGVSEEGSDREVELPSRPTQGASPSDNIDDWKRKLTMLLRDKEKQELVSREKKDRRDFEKIAALASRMGLYSHLYAKVAVFSKVPLPNYRFDLDDRRPQREVTLPLGLLRRVEGYLGEFLSQKSRTREGLPDASFSRSNSSGSIATDEGLFEQPESLASSKVVMEKILWRRSLQLRDKQQAWQESPEGRKMLELRRSLPAYKEKDALLTAISRNQVVIISGETGCGKTTQIPQFILESEIEAVRGAVCSIICTQPRRISAMSVSERVASERGEKLGESVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLDSELFSSYFGRAQIIHVPGFTYPVRTHFLEDVLEITGCRLTPYNQIDDYGQEKMWKMSKQAPRKRKSQIASVVEDALKAADFNGYGPQTQESLACWNPDCIGFNLIEYLLCNICESERPGAILVFMTGWDDINSLKEKLHANPLLGDSSRVLLLACHGSMASSEQRLIFDEPEDGVRKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPEILRTPLQSLCLQIKSLNLGSISEFLSRALQSPELLAVQNAIEYLKIIGALDENENLTVLGRYLTMLPVEPKLGKMLLVGAILNCLDPVLTIVSGLSVRDPFLTPFDKKDLAEAAKSQFSRDYSDHLALVRAYEGWKVAERDFAGYDYCWKNFLSAQSMKAIDSLRKEFFSLLRDTDLVDANTTTHNAWSYDEHLIRAVICYGLYPGICSVVHNEKSFLLKTMEDGQVLLYSNSVNAREPKIPYPWLVFNEKIKVNSVFLRDSTAVSDSMLLLFGGSFSKGTLDGHLTMLGGYLEFFMKPAIAELYLCLKGELDELIQTKLLNPRMDTHAFHELLSAVRLLLSEDQGEGRFVFGRQVLTSSKPSVLAAQPASTLVSRTDSGPGGDNSKSQLQTLLTRAGYAAPTYKTKQLKNSQFRATVEFNGMEIMGQPCNNKKSAEKDAAAEAIQWLVSGTQMGHGYINHMSMMLKKSKKDHN >ONI36330 pep chromosome:Prunus_persica_NCBIv2:G1:47235202:47243373:-1 gene:PRUPE_1G581100 transcript:ONI36330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLLNSLMVICLLMRRAVNLKLLLSMLLHNAFQNSGLRRMVVKEPYSERSLTNGKTSRRAGGPSSRSPSLATSKRGSERKRNSATMYVLRDARKNTSAKDKSTYILVPKRDDQQPSEKSVTLASAAGTHVLEEESGVSGADAVKKKILLLKGKEREITHVPANMSQQQSSSAKNMGGTIALKQNLRRQENGRIIRGILLNKDARQSQSSGIYSAQQIQTSNSDRDKRPPRSQHVQLILKDTNGAPDYNIVGNDLHGICSEKQEKRIRNKERPDRVVWTPLNRLDGSSASDESLSSAFQPAHSLLDSSEGCHKHHGRRGTTHGVKDLDGSPVAGEGKHSKRGYGSHEKQVWVQKSSSGS >ONI36329 pep chromosome:Prunus_persica_NCBIv2:G1:47235211:47243324:-1 gene:PRUPE_1G581100 transcript:ONI36329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDQLDRTKVVLRHLPPSISQTSLVEQIDVFFSGRYNWVAFRPGKRSQKNPSYSRAYIDLKRPEDVIEFAEFFDGHLFVNEKGSQFKVIVEYAPSQRVPKQWSKKDGREGTIFRDPEYLEFLEFLAKPAENLPSAEIQLERREAERSGAGKDAPIVTPLMDFVRQKRASKAGSRRSLTNGKTSRRAGGPSSRSPSLATSKRGSERKRNSATMYVLRDARKNTSAKDKSTYILVPKRDDQQPSEKSVTLASAAGTHVLEEESGVSGADAVKKKILLLKGKEREITHVPANMSQQQSSSAKNMGGTIALKQNLRRQENGRIIRGILLNKDARQSQSSGIYSAQQIQTSNSDRDKRPPRSQHVQLILKDTNGAPDYNIVGNDLHGICSEKQEKRIRNKERPDRVVWTPLNRLDGSSASDESLSSAFQPAHSLLDSSEGCHKHHGRRGTTHGVKDLDGSPVAGEGKHSKRGYGSHEKQVWVQKSSSGS >ONI36331 pep chromosome:Prunus_persica_NCBIv2:G1:47237346:47243324:-1 gene:PRUPE_1G581100 transcript:ONI36331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDQLDRTKVVLRHLPPSISQTSLVEQIDVFFSGRYNWVAFRPGKRSQKNPSYSRAYIDLKRPEDVIEFAEFFDGHLFVNEKGSQFKVIVEYAPSQRVPKQWSKKDGREGTIFRDPEYLEFLEFLAKPAENLPSAEIQLERREAERSGAGKDAPIVTPLMDFVRQKRASKAGSRRSLTNGKTSRRAGGPSSRSPSLATSKRGSERKRNSATMYVLRDARKNTSAKDKSTYILVPKRDDQQPSEKSVTLASAAGTHVLEEESGVSGADAVKKKILLLKGKEREITHVPANMSQQQSSSAKNMGGTIALKQNLRRQENGRIIRGILLNKDARQSQSSGIYSAQQIQTSNSDRDKRPPRSQHVQLILKDTNGAPDYNIVGNDLHGICSEKQEKRIRNKERPDRVVWTPLNRLDGSSASDESLSSAFQPAHSLLDSSEGSRGDMSPCWGGNVECPKTQIWTERLTKFLY >ONI36327 pep chromosome:Prunus_persica_NCBIv2:G1:47235195:47243372:-1 gene:PRUPE_1G581100 transcript:ONI36327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLLNSLMVICLLMRRAVNLKLLLSMLLHNAFQNSGLRRMVVKEPYSERSLTNGKTSRRAGGPSSRSPSLATSKRGSERKRNSATMYVLRDARKNTSAKDKSTYILVPKRDDQQPSEKSVTLASAAGTHVLEEESGVSGADAVKKKILLLKGKEREITHVPANMSQQQSSSAKNMGGTIALKQNLRRQENGRIIRGILLNKDARQSQSSGIYSAQQIQTSNSDRDKRPPRSQHVQLILKDTNGAPDYNIVGNDLHGICSEKQEKRIRNKERPDRVVWTPLNRLDGSSASDESLSSAFQPAHSLLDSSEGCHKHHGRRGTTHGVKDLDGSPVAGEGKHSKRGYGSHECDVWLLEPS >ONI36326 pep chromosome:Prunus_persica_NCBIv2:G1:47235195:47243373:-1 gene:PRUPE_1G581100 transcript:ONI36326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDQLDRTKVVLRHLPPSISQTSLVEQIDVFFSGRYNWVAFRPGKRSQKNPSYSRAYIDLKRPEDVIEFAEFFDGHLFVNEKGSQFKVIVEYAPSQRVPKQWSKKDGREGTIFRDPEYLEFLEFLAKPAENLPSAEIQLERREAERSGAGKDAPIVTPLMDFVRQKRASKAGSRRSLTNGKTSRRAGGPSSRSPSLATSKRGSERKRNSATMYVLRDARKNTSAKDKSTYILVPKRDDQQPSEKSVTLASAAGTHVLEEESGVSGADAVKKKILLLKGKEREITHVPANMSQQQSSSAKNMGGTIALKQNLRRQENGRIIRGILLNKDARQSQSSGIYSAQQIQTSNSDRDKRPPRSQHVQLILKDTNGAPDYNIVGNDLHGICSEKQEKRIRNKERPDRVVWTPLNRLDGSSASDESLSSAFQPAHSLLDSSEGCHKHHGRRGTTHGVKDLDGSPVAGEGKHSKRGYGSHECDVWLLEPS >ONI36328 pep chromosome:Prunus_persica_NCBIv2:G1:47236816:47243054:-1 gene:PRUPE_1G581100 transcript:ONI36328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDQLDRTKVVLRHLPPSISQTSLVEQIDVFFSGRYNWVAFRPGKRSQKNPSYSRAYIDLKRPEDVIEFAEFFDGHLFVNEKGSQFKVIVEYAPSQRVPKQWSKKDGREGTIFRDPEYLEFLEFLAKPAENLPSAEIQLERREAERSGAGKDAPIVTPLMDFVRQKRASKAGSRRSLTNGKTSRRAGGPSSRSPSLATSKRGSERKRNSATMYVLRDARKNTSAKDKSTYILVPKRDDQQPSEKSVTLASAAGTHVLEEESGVSGADAVKKKILLLKGKEREITHVPANMSQQQSSSAKNMGGTIALKQNLRRQENGRIIRGILLNKDARQSQSSGIYSAQQIQTSNSDRDKRPPRSQHVQLILKDTNGAPDYNIVGNDLHGICSEKQEKRIRNKERPDRVVWTPLNRLDGSSASDESLSSAFQPAHSLLDSSEGCHKHHGRRGTTHGVKDLDGSPVAGEGKHSKRGYGSHEKQVWVQKSSSGS >ONI35016 pep chromosome:Prunus_persica_NCBIv2:G1:42026338:42029123:-1 gene:PRUPE_1G510200 transcript:ONI35016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGILSLVGPRSRTNEYHEVSPNDTCKRQRLSSCTFEERQRLIPNLPDEISIQIIARLPRICYFDIRLVSRKWKETVRSSELFKLRKELGGTEEWLYMLTKIEEDKLSWHAFDPLSKKWLRLPQMPNVVYEEESSKGLSWFWMLNMVGPTIKIADTIRGWLGRKNSFDQMPFCGCAIGAVDGCLYVLGGFYRSTTMRCVWRFDPIQNAWSEVRAMSASRAYCKTGILNNKLYVVGGVSRGRGGLIPLQSAEVFEPSTGTWSQVPSMPFARAQALPTAFLTDMLRPIATGLTSYMGRLCVSQSLYSWPFFVDVGGETYDPETNSWDDMPIGMGEGWPARQAGTKLSVVVDGELYAFDPSSSLDSGKIKVYDQGEDAWKVVIGKVPIHDFAGSESPYLLAGFHGKLHVITKDVNHEITVLRADLCSNLGPLSPSSPSLLPGSSLDHFDSVAESDAVVWKSIGTRDFGSVELVGCQVIDV >ONI35015 pep chromosome:Prunus_persica_NCBIv2:G1:42026338:42029077:-1 gene:PRUPE_1G510200 transcript:ONI35015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGILSLVGPRSRTNEYHEVSPNDTCKRQRLSSCTFEERQRLIPNLPDEISIQIIARLPRICYFDIRLVSRKWKETVRSSELFKLRKELGGTEEWLYMLTKIEEDKLSWHAFDPLSKKWLRLPQMPNVVYEEESSKGLSWFWMLNMVGPTIKIADTIRGWLGRKNSFDQMPFCGCAIGAVDGCLYVLGGFYRSTTMRCVWRFDPIQNAWSEVRAMSASRAYCKTGILNNKLYVVGGVSRGRGGLIPLQSAEVFEPSTGTWSQVPSMPFARAQALPTAFLTDMLRPIATGLTSYMGRLCVSQSLYSWPFFVDVGGETYDPETNSWDDMPIGMGEGWPARQAGTKLSVVVDGELYAFDPSSSLDSGKIKVYDQGEDAWKVVIGKVPIHDFAGSESPYLLAGFHGKLHVITKDVNHEITVLRADLCSNLGPLSPSSPSLLPGSSLDHFDSVAESDAVVWKSIGTRDFGSVELVGCQVIDV >ONI35013 pep chromosome:Prunus_persica_NCBIv2:G1:42026338:42029029:-1 gene:PRUPE_1G510200 transcript:ONI35013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGILSLVGPRSRTNEYHEVSPNDTCKRQRLSSCTFEERQRLIPNLPDEISIQIIARLPRICYFDIRLVSRKWKETVRSSELFKLRKELGGTEEWLYMLTKIEEDKLSWHAFDPLSKKWLRLPQMPNVVYEEESSKGLSWFWMLNMVGPTIKIADTIRGWLGRKNSFDQMPFCGCAIGAVDGCLYVLGGFYRSTTMRCVWRFDPIQNAWSEVRAMSASRAYCKTGILNNKLYVVGGVSRGRGGLIPLQSAEVFEPSTGTWSQVPSMPFARAQALPTAFLTDMLRPIATGLTSYMGRLCVSQSLYSWPFFVDVGGETYDPETNSWDDMPIGMGEGWPARQAGTKLSVVVDGELYAFDPSSSLDSGKIKVYDQGEDAWKVVIGKVPIHDFAGSESPYLLAGFHGKLHVITKDVNHEITVLRADLCSNLGPLSPSSPSLLPGSSLDHFDSVAESDAVVWKSIGTRDFGSVELVGCQVIDV >ONI35014 pep chromosome:Prunus_persica_NCBIv2:G1:42026338:42029064:-1 gene:PRUPE_1G510200 transcript:ONI35014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGILSLVGPRSRTNEYHEVSPNDTCKRQRLSSCTFEERQRLIPNLPDEISIQIIARLPRICYFDIRLVSRKWKETVRSSELFKLRKELGGTEEWLYMLTKIEEDKLSWHAFDPLSKKWLRLPQMPNVVYEEESSKGLSWFWMLNMVGPTIKIADTIRGWLGRKNSFDQMPFCGCAIGAVDGCLYVLGGFYRSTTMRCVWRFDPIQNAWSEVRAMSASRAYCKTGILNNKLYVVGGVSRGRGGLIPLQSAEVFEPSTGTWSQVPSMPFARAQALPTAFLTDMLRPIATGLTSYMGRLCVSQSLYSWPFFVDVGGETYDPETNSWDDMPIGMGEGWPARQAGTKLSVVVDGELYAFDPSSSLDSGKIKVYDQGEDAWKVVIGKVPIHDFAGSESPYLLAGFHGKLHVITKDVNHEITVLRADLCSNLGPLSPSSPSLLPGSSLDHFDSVAESDAVVWKSIGTRDFGSVELVGCQVIDV >ONI27772 pep chromosome:Prunus_persica_NCBIv2:G1:8349296:8349621:1 gene:PRUPE_1G104200 transcript:ONI27772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMESFLRAMSPTYAHRRQALPPSQTSCSPEHELNGVFFFKSYVPDICSQATSLTSIADKLLPGARTQWSIF >ONI29892 pep chromosome:Prunus_persica_NCBIv2:G1:23492728:23494426:1 gene:PRUPE_1G220300 transcript:ONI29892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFWGTRVLEIVKKHDSGGLVWKRIKLTTTRKANAKKRLLRVWQNEAVLRACAETPTSQSSGAAASGVSEKDSTNSQ >ONI29893 pep chromosome:Prunus_persica_NCBIv2:G1:23492729:23494278:1 gene:PRUPE_1G220300 transcript:ONI29893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFWGTRVLEIVKKHDSGGLVWKRIKLTTTRKANAKKRLLRVWQNEAVLRACAETPTSQSSGAAASGVSEKDSTNSQ >ONI26707 pep chromosome:Prunus_persica_NCBIv2:G1:2914236:2920085:1 gene:PRUPE_1G040700 transcript:ONI26707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMSVHRILFGLSLPKVKKQIGPPFVIGLKLKESVKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRSRPSAKPALNPGPSAERTERPSAVAQDFRDRFSGAVEAFSRRNSSGHGYGDHSRHRLTDDAPSSKDVQPDSERARSSSRNGSTSKRPVISNSRPSSSGEPSENRSSRLVSSSGRLSTAQRIQPGYDSKTSFTRTAAARGGRDDTLRSFELLSIGTGKRK >ONI26705 pep chromosome:Prunus_persica_NCBIv2:G1:2912664:2920521:1 gene:PRUPE_1G040700 transcript:ONI26705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIGGKFKLGRKIGSGSFGELYLGINVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRSRPSAKPALNPGPSAERTERPSAVAQDFRDRFSGAVEAFSRRNSSGHGYGDHSRHRLTDDAPSSKDVQPDSERARSSSRNGSTSKRPVISNSRPSSSGEPSENRSSRLVSSSGRLSTAQRIQPGYDSKTSFTRTAAARGGRDDTLRSFELLSIGTGKRK >ONI26709 pep chromosome:Prunus_persica_NCBIv2:G1:2914236:2920085:1 gene:PRUPE_1G040700 transcript:ONI26709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMSVHRILFGLSLPKVKKQIGPPFVIGLKLKESVKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRSRPSAKPALNPGPSAERTERPSVAQDFRDRFSGAVEAFSRRNSSGHGYGDHSRHRLTDDAPSSKDVQPDSERARSSSRNGSTSKRPVISNSRPSSSGEPSENRSSRLVSSSGRLSTAQRIQPGYDSKTSFTRTAAARGGRDDTLRSFELLSIGTGKRK >ONI26708 pep chromosome:Prunus_persica_NCBIv2:G1:2914112:2920520:1 gene:PRUPE_1G040700 transcript:ONI26708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMSVHRILFGLSLPKVKKQIGPPFVIGLKLKESVKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRSRPSAKPALNPGPSAERTERPSVAQDFRDRFSGAVEAFSRRNSSGHGYGDHSRHRLTDDAPSSKDVQPDSERARSSSRNGSTSKRPVISNSRPSSSGEPSENRSSRLVSSSGRLSTAQRIQPGYDSKTSFTRTAAARGGRDDTLRSFELLSIGTGKRK >ONI26706 pep chromosome:Prunus_persica_NCBIv2:G1:2912664:2920520:1 gene:PRUPE_1G040700 transcript:ONI26706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIGGKFKLGRKIGSGSFGELYLGINVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRSRPSAKPALNPGPSAERTERPSVAQDFRDRFSGAVEAFSRRNSSGHGYGDHSRHRLTDDAPSSKDVQPDSERARSSSRNGSTSKRPVISNSRPSSSGEPSENRSSRLVSSSGRLSTAQRIQPGYDSKTSFTRTAAARGGRDDTLRSFELLSIGTGKRK >ONI28076 pep chromosome:Prunus_persica_NCBIv2:G1:9557232:9558028:1 gene:PRUPE_1G121600 transcript:ONI28076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEHCSPESMLYNTNCVSRTNPGLPYPYPGYPPAPAPHQHVVYSPVPPAPPYPYHGQYFTVPVPGHGYHYPAQLAPAAYDPPPKAKPNKRKAVKQTTYKVCGNPVTQNKGDNRRIFNIRIRCGRRDLSNEEDEEEKEEEVEFEYCCRDMKDEEEEE >ONI30138 pep chromosome:Prunus_persica_NCBIv2:G1:24770634:24772635:-1 gene:PRUPE_1G233000 transcript:ONI30138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVAASSTSSSSIFTNYPLLSAVVAFAIAQFIKFFTSWYKERRWDFKQLVGSGGMPSSHSATVTAVAAAIGFQEGVGGPIFAIGLILACVVMYDATGVRLQAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVIVGGLLGIVTAAIGYLITVTISHT >ONI26830 pep chromosome:Prunus_persica_NCBIv2:G1:3428680:3430710:-1 gene:PRUPE_1G048700 transcript:ONI26830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRNLSLRLTRLLCTATAKPFIQNQTATPDNNLKPLNSKISTFMRDGFVEEAQKLFDKMPRRNTVTWNAMIRGYFLNGQFQDAINLFSRMTERDVFSYNTMITGLMQCGDVDGAREVFDRMIYRDVVTWNSMVSGYIRNGMIGEAVHVFDGMPLKDVISWNLVVGGLVNSGEFDLAEKYFKRMNIRDLASWTIMISGFSSAGRVVEARELFDGMLVRDVQAWNAMILGYIENGDVAIAEGLFQKMPERDLESWTLMVNGLVKVQRINDALELFMEMPEKCPKTWNSIIFKLVRNGLTREAHAFLEKNPYKDVVSWTNMIVGYLGIGEVGSAIELFESMLTRDTAAWNATIFGLSENDLGEEGLKLFIRMKESGPSPDKNTFTSVLTICSDLPTLHLGRQTHALTVKAGFDHCVAVSNAMVTMYSRCGNMDFALLEFSCMKSHDVISWNSIICGFAHHGNGEVALEMFEQMRSTDVQPNHITFVGVLSACSHAGLVDQGRYYFHMMRCKYFIEPTTEHYTCVVDLLGRFGLIDEAMSFLDQMRADGFEIPASVWGALLGACRIHKNVEVGEIAGEKVLDIEPGNSGIYLILAEMYLSIGRKEDAGRIWTRMKEKGVKKQPGCSWIEVNNIGHVFLSGDKSHPKFCRIYSVLEILHTEIETEIAKSNAASFQHVKVA >ONI27715 pep chromosome:Prunus_persica_NCBIv2:G1:8060737:8064284:1 gene:PRUPE_1G101200 transcript:ONI27715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTQLLSPLSGPLPSSQTLSSLQIHQPTTLKRNTLKQSPILNQPTTTTSPPKLLSHSRTPASWIETLRSQTRSNHFREAILTYIEMTLSGIVPDNFAFPAVLKAVTSLQDLNLGKQIHAHIVKFGYGSSSVTVANTLVNVYGKCGDIGDACKVFDGIIERDQVSWNSMIAALCRFEEWELALEAFRSMLMENMEPSSFTLVSVALACSNLHKRDGLRLGKQVHAYSVRMSECKTFTINALLAMYSKLGEAEYSRALFELYEDCDMVSWNTMISSLSQNDQFMEALEFFRLMVLAGFKPDGVTVASVLPACSHLEMLDTGKEIHAYALRTNELIENSYVGSALVDMYCNCRQVSSGCRVFNAVLERKIALWNAMITGYAQNEYNKEALNLFLEMCAASGLSPNSTTMSSIVPASVRCEAFSDKESIHGYVIKRGLEKNRYVQNALMDMYSRMGKTQISETIFNSMEVRDIVSWNTMITGYVICGRHGDALNLIYDMQRVKEKKNMNDNAYDDEGRVPLKPNSITFMTILPGCAALAALAKGKEIHSYAIKHLLAFDVAVGSALVDMYAKCGCIDLARAVFNQIPIKNVITWNVLIMAYGMHGRGEEALELFKNMVDEGCRNKEVRPNEVTFIALFAACSHSGMVDEGLNLFHKMKSDHGVEPATDHYACVVDLLGRAGNVEEAYQLVNTMPSELDKAGAWSSLLGACRIHQNVEIGEIAANQLLELEPSVASHYVLLSNIYSSSGLWDKAMDVRRKMKEMGVKKEPGCSWIEFGDEVHKFLAGDLSHPQSEQLHEFLETLSEKMKKEGYVPDTSCVLHNVDEEEKETLLCGHSEKLALAFGILNTRPGTTIRVAKNLRVCNDCHMASKYISKILDREIILRDVRRFHHFKNGTCSCGDYW >ONI32824 pep chromosome:Prunus_persica_NCBIv2:G1:34716668:34721261:-1 gene:PRUPE_1G388400 transcript:ONI32824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSVWKPISHCAALLMEKKTRRRDGSGLTVDAKRKSSVLRQLQENKLREALEEASEDGSLAKSQDIDSETPNQDGSFGRSRSLARLHAQKEFLRATALAADRIFSTEGSIPDLHEAFNKFLTMYPKFQSSEKIDHLRAEEYSHLSESFAKVCLDYCGFGLFSSLQTQQYWESSSFTLSEITANLSNHALYGGAEKGCTEHDIKTRIMDYLNIPESEYGLVFTVSRGSAFKLLADSYPFQTNKKLLTMFDHESQSVNWMAQSAKEKGAKVYSSWFKWPTLKLCSRELKKQIANKKRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVMGSLQSQGGRTGTGIVRILPVFPQYLSDSVDGLDGLAGIENDAVNSNEELLPETHGGSLMPAFSGVFTSNQVRDCFETEMDQDSDRDGASTIFEEAESISVGEVMKSPIFSEDESSDNSYWIDLGQSPFGSDHSGQLTRQKTGSPLPPSWFSGRKNNKLLSPKVTSKLPKSPIYDDDKRVNHRQHEDPVLSFDAAVLSVSHEQDHVKGIPEEEMFAETDAASGNGRTYSGSLHVGEIHEEPEMKEDSRPKNQTGLKHSNLDSSSTSEICQESKESAIRRETEGDFRLLGRRETNRFSGRRFFGLEEGDRELSMGSRVSFTIEDSHRGKSSHIFEPGETSMATLGDDGSMSEGEYGDEQEWGRREPEIVCRCLDHVNMLGLNKTTLRLRYLINWLVTSLLQLRLPGSDESAGVPLVQIYGPKIKYERGAAVAFNVRQSSGGLVHPEIVQRLAEKNGISLGVGILSHVRILDGSKQLCGALDLEDTSLCKPMANGRQGGKNMFYRVEVVTASLGFLTNFEDVYKMWAFVAKFLDSSFVEVERDELPTVPEDSET >ONI30075 pep chromosome:Prunus_persica_NCBIv2:G1:24248490:24253536:1 gene:PRUPE_1G229500 transcript:ONI30075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEVEESLFAASDAKLHGELCKELSAIYCRVMSIFPSLEAARPRSKSGIQALCSLHVALEKAKNVLQHCSECSKLYLAITGDSVLSKFEKARCALMDSLRRVEDIVPQSIGCQIEEIVSELEGTVFSLDPSEKQVGDDIIALLQQGRKFDNCNDNNELESFHQAAIKLGITSSRAALTERRALKKLIQRARAEEDKRKESIVAYLLHLMRKYSKLFRSEISDDNDSQGSAPCSPTIQGSIEDAAPGGNGQAFDRQLSKLSSFNFKSSFNSKPNNRRSGQMPLPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSDGHNTCPKTKQKLSHLSLTPNYCVKGLIASWCEQNGISVPDGPPESLDLNYWRLALSESESTNSKSMGSVGSCKLKGVKVVPLEESATIDEAVGNETEDVSPVEEESELDAFESYQGLLTVLNEGADFRKKCKVVEQLRFLLKDDEEARMYMGANGFVEALLCFLKSAVREANVLAQESGAMALFNLAVNNNRNKETMLASGVISLLEEMISNPSCHGPATALYLNLSCLEEAKHIVGTSPAVPFLTQLLQANVEIQCKLDGLHALYNLSGIPSNIPNLLSAGIISGLQTLLANSGGLTWTEKCTAVLINLASSSSARDEMISNSGLISALATILEADEPIEQEQAVSCLFLLCNGNDKCSQMVLKEGVIPALVSISVNGTSRGKEKAQKLLMLFREQRQRDQPPAEAEVHLSVENSDKPMSVPESKPLCKSVSRRKMSKPFRFLWKSKSYSVYQC >ONI30076 pep chromosome:Prunus_persica_NCBIv2:G1:24247717:24253666:1 gene:PRUPE_1G229500 transcript:ONI30076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEVEESLFAASDAKLHGELCKELSAIYCRVMSIFPSLEAARPRSKSGIQALCSLHVALEKAKNVLQHCSECSKLYLAITGDSVLSKFEKARCALMDSLRRVEDIVPQSIGCQIEEIVSELEGTVFSLDPSEKQVGDDIIALLQQGRKFDNCNDNNELESFHQAAIKLGITSSRAALTERRALKKLIQRARAEEDKRKESIVAYLLHLMRKYSKLFRSEISDDNDSQGSAPCSPTIQGSIEDAAPGGNGQAFDRQLSKLSSFNFKSSFNSKPNNRRSGQMPLPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSDGHNTCPKTKQKLSHLSLTPNYCVKGLIASWCEQNGISVPDGPPESLDLNYWRLALSESESTNSKSMGSVGSCKLKGVKVVPLEESATIDEAVGNETEDVSPVEEESELDAFESYQGLLTVLNEGADFRKKCKVVEQLRFLLKDDEEARMYMGANGFVEALLCFLKSAVREANVLAQESGAMALFNLAVNNNRNKETMLASGVISLLEEMISNPSCHGPATALYLNLSCLEEAKHIVGTSPAVPFLTQLLQANVEIQCKLDGLHALYNLSGIPSNIPNLLSAGIISGLQTLLANSGGLTWTEKCTAVLINLASSSSARDEMISNSGLISALATILEADEPIEQEQAVSCLFLLCNGNDKCSQMVLKEGVIPALVSISVNGTSRGKEKAQKLLMLFREQRQRDQPPAEAEVHLSVENSDKPMSVPESKPLCKSVSRRKMSKPFRFLWKSKSYSVYQC >ONI30074 pep chromosome:Prunus_persica_NCBIv2:G1:24247599:24253735:1 gene:PRUPE_1G229500 transcript:ONI30074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEVEESLFAASDAKLHGELCKELSAIYCRVMSIFPSLEAARPRSKSGIQALCSLHVALEKAKNVLQHCSECSKLYLAITGDSVLSKFEKARCALMDSLRRVEDIVPQSIGCQIEEIVSELEGTVFSLDPSEKQVGDDIIALLQQGRKFDNCNDNNELESFHQAAIKLGITSSRAALTERRALKKLIQRARAEEDKRKESIVAYLLHLMRKYSKLFRSEISDDNDSQGSAPCSPTIQGSIEDAAPGGNGQAFDRQLSKLSSFNFKSSFNSKPNNRRSGQMPLPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSDGHNTCPKTKQKLSHLSLTPNYCVKGLIASWCEQNGISVPDGPPESLDLNYWRLALSESESTNSKSMGSVGSCKLKGVKVVPLEESATIDEAVGNETEDVSPVEEESELDAFESYQGLLTVLNEGADFRKKCKVVEQLRFLLKDDEEARMYMGANGFVEALLCFLKSAVREANVLAQESGAMALFNLAVNNNRNKETMLASGVISLLEEMISNPSCHGPATALYLNLSCLEEAKHIVGTSPAVPFLTQLLQANVEIQCKLDGLHALYNLSGIPSNIPNLLSAGIISGLQTLLANSGGLTWTEKCTAVLINLASSSSARDEMISNSGLISALATILEADEPIEQEQAVSCLFLLCNGNDKCSQMVLKEGVIPALVSISVNGTSRGKEKAQKLLMLFREQRQRDQPPAEAEVHLSVENSDKPMSVPESKPLCKSVSRRKMSKPFRFLWKSKSYSVYQC >ONI30077 pep chromosome:Prunus_persica_NCBIv2:G1:24248490:24253604:1 gene:PRUPE_1G229500 transcript:ONI30077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEVEESLFAASDAKLHGELCKELSAIYCRVMSIFPSLEAARPRSKSGIQALCSLHVALEKAKNVLQHCSECSKLYLAITGDSVLSKFEKARCALMDSLRRVEDIVPQSIGCQIEEIVSELEGTVFSLDPSEKQVGDDIIALLQQGRKFDNCNDNNELESFHQAAIKLGITSSRAALTERRALKKLIQRARAEEDKRKESIVAYLLHLMRKYSKLFRSEISDDNDSQGSAPCSPTIQGSIEDAAPGGNGQAFDRQLSKLSSFNFKSSFNSKPNNRRSGQMPLPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSDGHNTCPKTKQKLSHLSLTPNYCVKGLIASWCEQNGISVPDGPPESLDLNYWRLALSESESTNSKSMGSVGSCKLKGVKVVPLEESATIDEAVGNETEDVSPVEEESELDAFESYQGLLTVLNEGADFRKKCKVVEQLRFLLKDDEEARMYMGANGFVEALLCFLKSAVREANVLAQESGAMALFNLAVNNNRNKETMLASGVISLLEEMISNPSCHGPATALYLNLSCLEEAKHIVGTSPAVPFLTQLLQANVEIQCKLDGLHALYNLSGIPSNIPNLLSAGIISGLQTLLANSGGLTWTEKCTAVLINLASSSSARDEMISNSGLISALATILEADEPIEQEQAVSCLFLLCNGNDKCSQMVLKEGVIPALVSISVNGTSRGKEKAQKLLMLFREQRQRDQPPAEAEVHLSVENSDKPMSVPESKPLCKSVSRRKMSKPFRFLWKSKSYSVYQC >ONI30073 pep chromosome:Prunus_persica_NCBIv2:G1:24248490:24253519:1 gene:PRUPE_1G229500 transcript:ONI30073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEVEESLFAASDAKLHGELCKELSAIYCRVMSIFPSLEAARPRSKSGIQALCSLHVALEKAKNVLQHCSECSKLYLAITGDSVLSKFEKARCALMDSLRRVEDIVPQSIGCQIEEIVSELEGTVFSLDPSEKQVGDDIIALLQQGRKFDNCNDNNELESFHQAAIKLGITSSRAALTERRALKKLIQRARAEEDKRKESIVAYLLHLMRKYSKLFRSEISDDNDSQGSAPCSPTIQGSIEDAAPGGNGQAFDRQLSKLSSFNFKSSFNSKPNNRRSGQMPLPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSDGHNTCPKTKQKLSHLSLTPNYCVKGLIASWCEQNGISVPDGPPESLDLNYWRLALSESESTNSKSMGSVGSCKLKGVKVVPLEESATIDEAVGNETEDVSPVEEESELDAFESYQGLLTVLNEGADFRKKCKVVEQLRFLLKDDEEARMYMGANGFVEALLCFLKSAVREANVLAQESGAMALFNLAVNNNRNKETMLASGVISLLEEMISNPSCHGPATALYLNLSCLEEAKHIVGTSPAVPFLTQLLQANVEIQCKLDGLHALYNLSGIPSNIPNLLSAGIISGLQTLLANSGGLTWTEKCTAVLINLASSSSARDEMISNSGLISALATILEADEPIEQEQAVSCLFLLCNGNDKCSQMVLKEGVIPALVSISVNGTSRGKEKAQKLLMLFREQRQRDQPPAEAEVHLSVENSDKPMSVPESKPLCKSVSRRKMSKPFRFLWKSKSYSVYQC >ONI33252 pep chromosome:Prunus_persica_NCBIv2:G1:35991010:35996496:1 gene:PRUPE_1G412800 transcript:ONI33252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNTTIPINVGVVLDLDTQFGKIELSCINMALSDFYASHSNYTTRLVLHTRDSARDVVVAADAALDLIKNVEVQAIIGLESSMQANFVIDLGDQAQVPIISFSATSPSLRGSYFFRIAQNDSSQVKAIGALIQAFGWREAVPVSIDNEFGEGLTPYLTTALQEVGAGIPYWSLIPSMATDDQIIEELHRLMSMQTRVFIVHMSPSLGSRFFAKARDIGMMEEGNVWITTNGMTNSFSSSSSSVDIDNMQGVLGIKTNVPNTKELENFRARWQTKFQQDNPTILNVKLDVFGLWAYDAAWALALAVEKVGGTNFSFQKMNSSHNYTVLGSLEVSQGGPELVRELSGTRFRGLSGDFSLINGQLQSSTFQVVNVNDNGERGIGYWTPQNGLVRNINSNRNTNRYSTSNASLGPVIWPGDTTLAPRGWQIRTNGTLKVLVPVKQGFEELVSVVHDHSTNTAKVTGGYCIEVFDAVIKALPFPVPYEFYPFANPNGQSAGSYNDLINQVFLGNYDAAVGDISIRANRSLYVDFTLPFTESGISMVVPVKGNDGGKNTWVFLKPLTWDLWVTIGCFFIFIGFVVWFLEHRINEDFRGPPHHQIGTSFWFAFSTMVFAHREPVVSNLGRFVVIIWCFVVLVLTQSYTASLSSILTIQQLQPIVTDVNLLLKNGDNVGYQKGSFIYGILSKLGFKDDKLRTYNSVEELDKLLQNGNENGGISAAFDETPYMKLFLATYCLKYTLVEPTFKADGFAFVFQKSSLLTRDVSTAITQVHEGDKMKAIEDKWFKKTASCSNPNTASSYNTLSLESFWGLFIVAGVASSLALLIFAAMFLYEHSHILTCVDSKASFWTRIRQVLRAYDQQDKTWHAYKKTRKEDGVHGIAAVESSPNTNCLCLRRPSRSSKPNQIEPEIVLEEQQGTTSTQHSDLNPNAIELNGYHINH >ONI28746 pep chromosome:Prunus_persica_NCBIv2:G1:12577580:12581792:1 gene:PRUPE_1G158800 transcript:ONI28746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQNFPCQEVERHNKPEVELKTSSELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLVTNYHCEEMQKHKLIDFIAQFMEDIDKEISELKMSVNTRGRLVATEFLKQFM >ONI28745 pep chromosome:Prunus_persica_NCBIv2:G1:12577303:12581835:1 gene:PRUPE_1G158800 transcript:ONI28745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQNFPCQEVERHNKPEVELKTSSELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLVTNYHCEEMQKHKLIDFIAQFMEDIDKEISELKMSVNTRGRLVATEFLKQFIRPTMFNEPLV >ONI28743 pep chromosome:Prunus_persica_NCBIv2:G1:12577413:12581835:1 gene:PRUPE_1G158800 transcript:ONI28743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTLRLYLTCIRNTLDAAMCLQNFPCQEVERHNKPEVELKTSSELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLVTNYHCEEMQKHKLIDFIAQFMEDIDKEISELKMSVNTRGRLVATEFLKQFM >ONI28747 pep chromosome:Prunus_persica_NCBIv2:G1:12577868:12581381:1 gene:PRUPE_1G158800 transcript:ONI28747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQNFPCQEVERHNKPEVELKTSSELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLVTNYHCEEMQKHKLIDFIAQFMEDIDKEISELKMSVNTRGRLVATEFLKQFM >ONI28744 pep chromosome:Prunus_persica_NCBIv2:G1:12577496:12581845:1 gene:PRUPE_1G158800 transcript:ONI28744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTTIIISNTLRLYLTCIRNTLDAAMCLQNFPCQEVERHNKPEVELKTSSELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLVTNYHCEEMQKHKLIDFIAQFMEDIDKEISELKMSVNTRGRLVATEFLKQFM >ONI28742 pep chromosome:Prunus_persica_NCBIv2:G1:12577240:12581845:1 gene:PRUPE_1G158800 transcript:ONI28742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTLRLYLTCIRNTLDAAMCLQNFPCQEVERHNKPEVELKTSSELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLVTNYHCEEMQKHKLIDFIAQFMEDIDKEISELKMSVNTRGRLVATEFLKQFIRPTMFNEPLV >ONI31817 pep chromosome:Prunus_persica_NCBIv2:G1:31488008:31488758:1 gene:PRUPE_1G332400 transcript:ONI31817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGVIDRGHGHRGPSLLEKKIGKGVSFHFPRLSDANPDPNSNPLFDLGPQDPYLLFGSLQDLVDLLELNVPLIYNIHRQLGSGWTKIP >ONI36136 pep chromosome:Prunus_persica_NCBIv2:G1:46578106:46584042:-1 gene:PRUPE_1G571400 transcript:ONI36136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITGELLLLNPWRSFSYLDQNGAFPWTQTKTKEHKQKHKFTLSSKTTRKGVVLALPFDLSPPPIDHDLLQDIVATEGAKVSDDGIVETFGNDDQAFDAADNGVVVADLSHFGRIRVSGEDRIQFLHNQTTANFECLHEGQGCDTVFVTPTARTIDIAHAWIMKNAVLLVVSPVTLRSISEMLNKYIFFNDKVEIQDITKQTCFFVLVGPKSNQIMEELNLGDLVGQPYGTHQHFSVNGMPITVGVGNVISEKGFSLLMSPAAAGSVWKTILSQGAIPMGSNAWEKLRIFQGRPAPQKELTNEYNVLEAGLWNSISLNKGCYKGQETIARLITYDGVKQRLWAIRLSAPAEVGSLITIDGKKVGKLTSCTSGRKENGYFGLGYIKRQTVSEGDTVIVGDSVPGTVVEVPFLAGQRSLTRSSSS >ONI36137 pep chromosome:Prunus_persica_NCBIv2:G1:46578106:46584042:-1 gene:PRUPE_1G571400 transcript:ONI36137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITGELLLLNPWRSFSYLDQNGAFPWTQTKTKEHKQKHKFTLSSKTTRKGVVLALPFDLSPPPIDHDLLDIVATEGAKVSDDGIVETFGNDDQAFDAADNGVVVADLSHFGRIRVSGEDRIQFLHNQTTANFECLHEGQGCDTVFVTPTARTIDIAHAWIMKNAVLLVVSPVTLRSISEMLNKYIFFNDKVEIQDITKQTCFFVLVGPKSNQIMEELNLGDLVGQPYGTHQHFSVNGMPITVGVGNVISEKGFSLLMSPAAAGSVWKTILSQGAIPMGSNAWEKLRIFQDYFLQEGQLLRRSLLMNIMYWRLVSGIRSL >ONI36135 pep chromosome:Prunus_persica_NCBIv2:G1:46578103:46584044:-1 gene:PRUPE_1G571400 transcript:ONI36135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITGELLLLNPWRSFSYLDQNGAFPWTQTKTKEHKQKHKFTLSSKTTRKGVVLALPFDLSPPPIDHDLLDIVATEGAKVSDDGIVETFGNDDQAFDAADNGVVVADLSHFGRIRVSGEDRIQFLHNQTTANFECLHEGQGCDTVFVTPTARTIDIAHAWIMKNAVLLVVSPVTLRSISEMLNKYIFFNDKVEIQDITKQTCFFVLVGPKSNQIMEELNLGDLVGQPYGTHQHFSVNGMPITVGVGNVISEKGFSLLMSPAAAGSVWKTILSQGAIPMGSNAWEKLRIFQGRPAPQKELTNEYNVLEAGLWNSISLNKGCYKGQETIARLITYDGVKQRLWAIRLSAPAEVGSLITIDGKKVGKLTSCTSGRKENGYFGLGYIKRQTVSEGDTVIVGDSVPGTVVEVPFLAGQRSLTRSSSS >ONI30281 pep chromosome:Prunus_persica_NCBIv2:G1:25582799:25590081:1 gene:PRUPE_1G241900 transcript:ONI30281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFKLELRCPQKVDGIALDPEPDWSFGALLSEIDELERKINSSSKVSVPFTKERPRDISDSKSNGRTSASPFVMRVFEDEMDNIDSEDEEALDQSSVAVKRFNFDDLYLSDSDDSGDDSSLEAQPCLMEKGESGESSLFELSREHQFRVKEEIRNQISALETDLKTESQKSISAIFRVERYREERCEMERKLDTQYQRNIAEALNNHLTAVQQDLEVRSQIEERKIRIDAAYEDAKRKEKALQEEKLRQERAKAEAEAKRAEEAKRGEDAKKAALEAQRRAAKEAAEREASEASKRADSGSAQEGTYRPQINALNAQSSGKPPAAGNILKAAESALNLEQGRLQKLKQFDDENQALRLRSKEDFRKYERQISKLVQQITGTKDSVRQKAGELVNIFNDARCPQSISIAAFAKKVVSNCKTPRNAAFACGHVIVLVTSKVPTAMDLILAELHRACIYTVPKHYSQSAFESKEAYYKAIGFQEDEGKIESVDNYLARLESYMKLYGALVQTEIYGFQNVHGLKEGWAWLARFLNALPANRYTAVALNAFLHMAGYSLFKKYKSQFRKMLNIISDNFLNALRERGDSNLNPVIAEIDAYLKDNKFLREPEGRSLEGSLLSDVYVPESDYY >ONI30280 pep chromosome:Prunus_persica_NCBIv2:G1:25582799:25590081:1 gene:PRUPE_1G241900 transcript:ONI30280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFKLELRCPQKVDGIALDPEPDWSFGALLSEIDELERKINSSSKVSVPFTKERPRDISDSKSNGRTSASPFVMRVFEDEMDNIDSEDEEALDQSSVAVKRFNFDDLYLSDSDDSGDDSSLEAQPCLMEKGESGESSLFELSREHQFRVKEEIRNQISALETDLKTESQKSISAIFRVERYREERCEMERKLDTQYQRNIAEALNNHLTAVQQDLEVRSQIEERKIRIDAAYEDAKRKEKALQEEKLRQERAKAEAEAKRAEEAKRGEDAKKAALEAQRRAAKEAAEREASEASKRADSGSAQEGTYRPQINALNAQSSGKPPAAGNILKAAESALNLEQGRLQKLKQFDDENQALRLRSKEDFRKYERQISKLVQQITGTKDSVRSGELVNIFNDARCPQSISIAAFAKKVVSNCKTPRNAAFACGHVIVLVTSKVPTAMDLILAELHRACIYTVPKHYSQSAFESKEAYYKAIGFQEDEGKIESVDNYLARLESYMKLYGALVQTEIYGFQNVHGLKEGWAWLARFLNALPANRYTAVALNAFLHMAGYSLFKKYKSQFRKMLNIISDNFLNALRERGDSNLNPVIAEIDAYLKDNKFLREPEGRSLEGSLLSDVYVPESDYY >ONI28111 pep chromosome:Prunus_persica_NCBIv2:G1:9741098:9743331:1 gene:PRUPE_1G123700 transcript:ONI28111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGEVQNKKKMAIIGVSALILVAMVVAVTVGVTVSRHKGKSGGEQTSTSTKAIQSICQPTDYKKTCEDNLSKVASNVTDPKELVKAGFQVAIDQLREVIKNSTTLKELAKDPRANQALQNCKELLEYAIDDLGDSFDKLGPFDFTKLDAYVEDLKVWLSAAMTYEQTCLDGFENTTGDAGEKMRQFLKTSQELTSNGLAMVSEVSTLFKSLNIKTGRRLLQAAATATDEKRFQRAKIIPAWIDHRRLDLATATPLTLKPDVVVSKKGDGKYKTINEALKDIPKNNEVKVFVIYVKEGVYDEHVFFNKHMTNVMLIGDGPTKTVITGRRNYADGTQTYLTATVGVVGDYFIAKDVGFENTAGAIGHQAVALRVQSDLSIFYNCNMDGYQDTLYTQTHRQFYRDCTISGTIDFIFGDAAAVFQNCKMIVRKPLENQACMVTAQGRLDRRSPSALILQNCTISGERGYDKELNKAYLGRPWKSYARAIVMQSQIDDVIAPEGWMDWTGTANHNTCWFGEFGNRGVGAELSKRVTWRGMKKLTPEHAADFTAGKFIFGDRWILPSGVPYVAGMMTGV >ONI34895 pep chromosome:Prunus_persica_NCBIv2:G1:41703005:41706278:-1 gene:PRUPE_1G504500 transcript:ONI34895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRGRGRGGFGGGGGFGYATQVPFELFPDVDLPNVKGILDPDKKINQERQNTEVERYSDRLKPKTTIRRGALFDILELKGFPQELTGGSRAQEPGRKRVRWNPDSELQKLDLFEKLEQRQGNNDGKEKKEGEGEDEDEEEEEEEPEEDFSDDDYQKNQDFDDDDDDFNMEDDGDDEPLL >ONI34897 pep chromosome:Prunus_persica_NCBIv2:G1:41703414:41705663:-1 gene:PRUPE_1G504500 transcript:ONI34897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRGRGRGGFGGGGGFGYATQVPFELFPDVDLPNVKGILDPDKKINQGKSEEENLVNQSRKFQKIWKGSPFYLEQTTSKERQNTEVERYSDRLKPKTTIRRGALFDILELKGFPQELTGGSRAQEPGRKRVRWNPDSELQKLDLFEKLEQRQGNNDGKEKKEGEGEDEDEEEEEEEPEEDFSDDDYQKNQDFDDDDDDFNMEDDGDDEPLL >ONI34896 pep chromosome:Prunus_persica_NCBIv2:G1:41703414:41705663:-1 gene:PRUPE_1G504500 transcript:ONI34896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRGRGRGGFGGGGGFGYATQVPFELFPDVDLPNVKGILDPDKKINQERQNTEVERYSDRLKPKTTIRRGALFDILELKGFPQELTGGSRAQEPGRKRVRWNPDSELQKLDLFEKLEQRQGNNDGKEKKEGEGEDEDEEEEEEEPEEDFSDDDYQKNQDFDDDDDDFNMEDDGDDEPLL >ONI34894 pep chromosome:Prunus_persica_NCBIv2:G1:41703006:41706278:-1 gene:PRUPE_1G504500 transcript:ONI34894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRGRGRGGFGGGGGFGYATQVPFELFPDVDLPNVKGILDPDKKINQERQNTEVERYSDRLKPKTTIRRGALFDILELKGFPQELTGGSRAQEPGRKRVRWNPDSELQKLDLFEKLEQRQGNNDGKEKKEGEGEDEDEEEEEEEPEEDFSDDDYQKNQDFDDDDDDFNMEDDGDDEPLL >ONI34899 pep chromosome:Prunus_persica_NCBIv2:G1:41703414:41705663:-1 gene:PRUPE_1G504500 transcript:ONI34899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRGRGRGGFGGGGGFGYATQVPFELFPDVDLPNVKGILDPDKKINQGKSEEENLVNQSRKFQKIWKGSPFYLEQTTSKERQNTEVERYSDRLKPKTTIRRGALFDILELKGFPQELTGGSRAQEPGRKRVRWNPDSELQKLDLFEKLEQRQGNNDGKEKKEGEGEDEDEEEEEEEPEEDFSDDDYQKNQDFDDDDDDFNMEDDGDDEPLL >ONI34898 pep chromosome:Prunus_persica_NCBIv2:G1:41703006:41706278:-1 gene:PRUPE_1G504500 transcript:ONI34898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRGRGRGGFGGGGGFGYATQVPFELFPDVDLPNVKGILDPDKKINQGKSEEENLVNQSRKFQKIWKGSPFYLEQTTSKERQNTEVERYSDRLKPKTTIRRGALFDILELKGFPQELTGGSRAQEPGRKRVRWNPDSELQKLDLFEKLEQRQGNNDGKEKKEGEGEDEDEEEEEEEPEEDFSDDDYQKNQDFDDDDDDFNMEDDGDDEPLL >ONI35902 pep chromosome:Prunus_persica_NCBIv2:G1:45692730:45697702:1 gene:PRUPE_1G559700 transcript:ONI35902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKKSVLFSHDGEFMKNDHFGFGDTALCLNSPGSGGSNTTRYRCTRSTFNINSSSAPEDSCKLVLGLGPTPSAYCDDYYNFGSTKNRGLPTALSQGFASEGDSILQLGLSGGRLEASAMLDYSISGETDINVSYIQNQVSSEFTIPIVDEGSTSAKKSGGYMPSLLFAPRRESAKVSLLTQELLELGSKPQLRNEPSATADYSTGTISEQATTGTTSDHRTSNPKKCKFFGCRKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQHLGCTKSAEGKTDYCIAHGGGKRCGYPGGCTKAARGRSGLCIRHGGGKRCKVDGCTRSAEGQAGLCISHGGGRRCQYQGCAKGAQGSTMYCKAHGGGKRCIFQGCTKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKFDNCGKSAQGSTDFCKAHGGGKRCTWEEGKCEKFARGKSGLCAAHSSMVQDREINKGGLIGPGLFHGLVSASSTAGSSFDNNHSSSGISVISDSVESLEKPGKRHLIPSQVLVPLSMKSSSSYSNFFGSEKPDEQRNEYGIGVDSSDGIKSLDFKIPEGRVHGGPLMSLFGGDLKNAIDGI >ONI35898 pep chromosome:Prunus_persica_NCBIv2:G1:45693678:45697702:1 gene:PRUPE_1G559700 transcript:ONI35898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKKSVLFSHDGEFMKNDHFGFGDTALCLNSPGSGGSNTTRYRCTRSTFNINSSSAPEDSCKLVLGLGPTPSAYCDDYYNFGSTKNRGLPTALSQGFASEGDSILQLGLSGGRLEASAMLDYSISGETDINVSYIQNQVSSEFTIPIVDEGSTSAKKSGGYMPSLLFAPRRESAKVSLLTQELLELGSKPQLRNEPSATADYSTGTISEQATTGTTSDHRTSNPKKCKFFGCRKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQHLGCTKSAEGKTDYCIAHGGGKRCGYPGGCTKAARGRSGLCIRHGGGKRCKVDGCTRSAEGQAGLCISHGGGRRCQYQGCAKGAQGSTMYCKAHGGGKRCIFQGCTKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKFDNCGKSAQGSTDFCKAHGGGKRCTWEEGKCEKFARGKSGLCAAHSSMVQDREINKGGLIGPGLFHGLVSASSTAGSSFDNNHSSSGISVISDSVESLEKPGKRHLIPSQVLVPLSMKSSSSYSNFFGSEKPDEQRNEYGIGVDSSDGIKSLDFKIPEGRVHGGPLMSLFGGDLKNAIDGI >ONI35896 pep chromosome:Prunus_persica_NCBIv2:G1:45692730:45697714:1 gene:PRUPE_1G559700 transcript:ONI35896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKKSVLFSHDGEFMKNDHFGFGDTALCLNSPGSGGSNTTRYRCTRSTFNINSSSAPEDSCKLVLGLGPTPSAYCDDYYNFGSTKNRGLPTALSQGFASEGDSILQLGLSGGRLEASAMLDYSISGETDINVSYIQNQVSSEFTIPIVDEGSTSAKKSGGYMPSLLFAPRRESAKVSLLTQELLELGSKPQLRNEPSATADYSTGTISEQATTGTTSDHRTSNPKKCKFFGCRKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQHLGCTKSAEGKTDYCIAHGGGKRCGYPGGCTKAARGRSGLCIRHGGGKRCKVDGCTRSAEGQAGLCISHGGGRRCQYQGCAKGAQGSTMYCKAHGGGKRCIFQGCTKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKFDNCGKSAQGSTDFCKAHGGGKRCTWEEGKCEKFARGKSGLCAAHSSMVQDREINKGGLIGPGLFHGLVSASSTAGSSFDNNHSSSGISVISDSVESLEKPGKRHLIPSQVLVPLSMKSSSSYSNFFGSEKPDEQRNEYGIGVDSSDGIKSLDFKIPEGRVHGGPLMSLFGGDLKNAIDGI >ONI35897 pep chromosome:Prunus_persica_NCBIv2:G1:45692725:45697702:1 gene:PRUPE_1G559700 transcript:ONI35897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKKSVLFSHDGEFMKNDHFGFGDTALCLNSPGSGGSNTTRYRCTRSTFNINSSSAPEDSCKLVLGLGPTPSAYCDDYYNFGSTKNRGLPTALSQGFASEGDSILQLGLSGGRLEASAMLDYSISGETDINVSYIQNQVSSEFTIPIVDEGSTSAKKSGGYMPSLLFAPRRESAKVSLLTQELLELGSKPQLRNEPSATADYSTGTISEQATTGTTSDHRTSNPKKCKFFGCRKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQHLGCTKSAEGKTDYCIAHGGGKRCGYPGGCTKAARGRSGLCIRHGGGKRCKVDGCTRSAEGQAGLCISHGGGRRCQYQGCAKGAQGSTMYCKAHGGGKRCIFQGCTKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKFDNCGKSAQGSTDFCKAHGGGKRCTWEEGKCEKFARGKSGLCAAHSSMVQDREINKGGLIGPGLFHGLVSASSTAGSSFDNNHSSSGISVISDSVESLEKPGKRHLIPSQVLVPLSMKSSSSYSNFFGSEKPDEQRNEYGIGVDSSDGIKSLDFKIPEGRVHGGPLMSLFGGDLKNAIDGI >ONI35901 pep chromosome:Prunus_persica_NCBIv2:G1:45692766:45697702:1 gene:PRUPE_1G559700 transcript:ONI35901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKKSVLFSHDGEFMKNDHFGFGDTALCLNSPGSGGSNTTRYRCTRSTFNINSSSAPEDSCKLVLGLGPTPSAYCDDYYNFGSTKNRGLPTALSQGFASEGDSILQLGLSGGRLEASAMLDYSISGETDINVSYIQNQVSSEFTIPIVDEGSTSAKKSGGYMPSLLFAPRRESAKVSLLTQELLELGSKPQLRNEPSATADYSTGTISEQATTGTTSDHRTSNPKKCKFFGCRKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQHLGCTKSAEGKTDYCIAHGGGKRCGYPGGCTKAARGRSGLCIRHGGGKRCKVDGCTRSAEGQAGLCISHGGGRRCQYQGCAKGAQGSTMYCKAHGGGKRCIFQGCTKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKFDNCGKSAQGSTDFCKAHGGGKRCTWEEGKCEKFARGKSGLCAAHSSMVQDREINKGGLIGPGLFHGLVSASSTAGSSFDNNHSSSGISVISDSVESLEKPGKRHLIPSQVLVPLSMKSSSSYSNFFGSEKPDEQRNEYGIGVDSSDGIKSLDFKIPEGRVHGGPLMSLFGGDLKNAIDGI >ONI35899 pep chromosome:Prunus_persica_NCBIv2:G1:45692729:45697702:1 gene:PRUPE_1G559700 transcript:ONI35899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKKSVLFSHDGEFMKNDHFGFGDTALCLNSPGSGGSNTTRYRCTRSTFNINSSSAPEDSCKLVLGLGPTPSAYCDDYYNFGSTKNRGLPTALSQGFASEGDSILQLGLSGGRLEASAMLDYSISGETDINVSYIQNQVSSEFTIPIVDEGSTSAKKSGGYMPSLLFAPRRESAKVSLLTQELLELGSKPQLRNEPSATADYSTGTISEQATTGTTSDHRTSNPKKCKFFGCRKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQHLGCTKSAEGKTDYCIAHGGGKRCGYPGGCTKAARGRSGLCIRHGGGKRCKVDGCTRSAEGQAGLCISHGGGRRCQYQGCAKGAQGSTMYCKAHGGGKRCIFQGCTKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKFDNCGKSAQGSTDFCKAHGGGKRCTWEEGKCEKFARGKSGLCAAHSSMVQDREINKGGLIGPGLFHGLVSASSTAGSSFDNNHSSSGISVISDSVESLEKPGKRHLIPSQVLVPLSMKSSSSYSNFFGSEKPDEQRNEYGIGVDSSDGIKSLDFKIPEGRVHGGPLMSLFGGDLKNAIDGI >ONI35900 pep chromosome:Prunus_persica_NCBIv2:G1:45692729:45697702:1 gene:PRUPE_1G559700 transcript:ONI35900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKKSVLFSHDGEFMKNDHFGFGDTALCLNSPGSGGSNTTRYRCTRSTFNINSSSAPEDSCKLVLGLGPTPSAYCDDYYNFGSTKNRGLPTALSQGFASEGDSILQLGLSGGRLEASAMLDYSISGETDINVSYIQNQVSSEFTIPIVDEGSTSAKKSGGYMPSLLFAPRRESAKVSLLTQELLELGSKPQLRNEPSATADYSTGTISEQATTGTTSDHRTSNPKKCKFFGCRKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQHLGCTKSAEGKTDYCIAHGGGKRCGYPGGCTKAARGRSGLCIRHGGGKRCKVDGCTRSAEGQAGLCISHGGGRRCQYQGCAKGAQGSTMYCKAHGGGKRCIFQGCTKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKFDNCGKSAQGSTDFCKAHGGGKRCTWEEGKCEKFARGKSGLCAAHSSMVQDREINKGGLIGPGLFHGLVSASSTAGSSFDNNHSSSGISVISDSVESLEKPGKRHLIPSQVLVPLSMKSSSSYSNFFGSEKPDEQRNEYGIGVDSSDGIKSLDFKIPEGRVHGGPLMSLFGGDLKNAIDGI >ONI31388 pep chromosome:Prunus_persica_NCBIv2:G1:30101624:30105700:-1 gene:PRUPE_1G309400 transcript:ONI31388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFVKTLKGTHFDVAVKPEDTVSDVKKQIETSQGSDVYPASQQMLIHQGKVLKDSTTLDENKVAENSFVVIMLTKSKSSLGEGSGASTATITKAPQPSAPSAAPTVTLTAPQAPIPTSASPASVTTPAPVSSATMDRVESNPYGQAASDLVAGTNLEGTIQQILDMGGGTWDRDTVVRALRAAFNNPARAIDYLYSGIPEQPEVPPVAHIPVSGEAANLPAQSPQSAQPASTPPSGPNANPLNLFPQGLPNVGAGGAGAGNLDFLRDSQQFQALRAMVQANPQILQVLLPNPNVVWSPCSFGDNSSIFVTAYASRVGETKS >ONI31387 pep chromosome:Prunus_persica_NCBIv2:G1:30101263:30105292:-1 gene:PRUPE_1G309400 transcript:ONI31387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFVKTLKGTHFDVAVKPEDTVSDVKKQIETSQGSDVYPASQQMLIHQGKVLKDSTTLDENKVAENSFVVIMLTKSKSSLGEGSGASTATITKAPQPSAPSAAPTVTLTAPQAPIPTSASPASVTTPAPVSSATMDRVESNPYGQAASDLVAGTNLEGTIQQILDMGGGTWDRDTVVRALRAAFNNPARAIDYLYSGIPEQPEVPPVAHIPVSGEAANLPAQSPQSAQPASTPPSGPNANPLNLFPQGLPNVGAGGAGAGNLDFLRDSQQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIQQHQADFLRLINEPVEGGEGNILGDAAVPQSISVTPEEREAIERLEAMGFDRAIVLEVFFACNKNEELAANYLLDHMHEFEE >ONI31386 pep chromosome:Prunus_persica_NCBIv2:G1:30101082:30105395:-1 gene:PRUPE_1G309400 transcript:ONI31386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFVKTLKGTHFDVAVKPEDTVSDVKKQIETSQGSDVYPASQQMLIHQGKVLKDSTTLDENKVAENSFVVIMLTKSKSSLGEGSGASTATITKAPQPSAPSAAPTVTLTAPQAPIPTSASPASVTTPAPVSSATMDRVESNPYGQAASDLVAGTNLEGTIQQILDMGGGTWDRDTVVRALRAAFNNPARAIDYLYSGIPEQPEVPPVAHIPVSGEAANLPAQSPQSAQPASTPPSGPNANPLNLFPQGLPNVGAGGAGAGNLDFLRDSQQFQALRAMVQANPQILQHQADFLRLINEPVEGGEGNILGDAAVPQSISVTPEEREAIERLEAMGFDRAIVLEVFFACNKNEELAANYLLDHMHEFEE >ONI31385 pep chromosome:Prunus_persica_NCBIv2:G1:30100884:30105422:-1 gene:PRUPE_1G309400 transcript:ONI31385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFVKTLKGTHFDVAVKPEDTVSDVKKQIETSQGSDVYPASQQMLIHQGKVLKDSTTLDENKVAENSFVVIMLTKSKSSLGEGSGASTATITKAPQPSAPSAAPTVTLTAPQAPIPTSASPASVTTPAPVSSATMEVESNPYGQAASDLVAGTNLEGTIQQILDMGGGTWDRDTVVRALRAAFNNPARAIDYLYSGIPEQPEVPPVAHIPVSGEAANLPAQSPQSAQPASTPPSGPNANPLNLFPQGLPNVGAGGAGAGNLDFLRDSQQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIQQHQADFLRLINEPVEGGEGNILGDAAVPQSISVTPEEREAIERLEAMGFDRAIVLEVFFACNKNEELAANYLLDHMHEFEE >ONI31384 pep chromosome:Prunus_persica_NCBIv2:G1:30100955:30105700:-1 gene:PRUPE_1G309400 transcript:ONI31384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFVKTLKGTHFDVAVKPEDTVSDVKKQIETSQGSDVYPASQQMLIHQGKVLKDSTTLDENKVAENSFVVIMLTKSKSSLGEGSGASTATITKAPQPSAPSAAPTVTLTAPQAPIPTSASPASVTTPAPVSSATMEVESNPYGQAASDLVAGTNLEGTIQQILDMGGGTWDRDTVVRALRAAFNNPARAIDYLYSGIPEQPEVPPVAHIPVSGEAANLPAQSPQSAQPASTPPSGPNANPLNLFPQGLPNVGAGGAGAGNLDFLRDSQQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIQQHQADFLRLINEPVEGGEGNILGDAAVPQSISVTPEEREAIERLEAMGFDRAIVLEVFFACNKNEELAANYLLDHMHEFEE >ONI32245 pep chromosome:Prunus_persica_NCBIv2:G1:33010548:33013007:1 gene:PRUPE_1G356000 transcript:ONI32245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAYIYMGDQNQNQIQNRREMGDELTTVEDNISISSIEPNDDPRIIITMSATQVDDDDQILDAGAGDHDDHGHVVDHDAVSASAPPMESSSPEGTSTSTTSPSVSRKPLLLSIVPSNNINRAAATPKSHTPNFFTPLGSPIRRAIQLTKFDPRSQDHDAWLPITESRNGNAYYAAFHTLCSGIGIPALVLPVSFTILGWAWGVISLTLAFIWQLYTLWLLVKLHESTKTGMRYSRYLQLFSATFGDKMAKIFAVFPIYYLSGGTCCALIIVGGSSMKLFYEIVCGHDCTSKPLTAVEWYLVFTCAAVLLSQLPNLNSIAGVSLVGAITAIGYCTIMWLVAVTEGRLDGVSYDPIRENTNTAMVFSVLNALGIISFAFKGHNLTLEIQATMPSSEKKPSHVPMWRGVKVAYLMIAMCLFPLAIGGYWAYGHKIPPNGGMMTAIYEYHGRDTSQWVLGLTSLFIVMNAVSSFQIFGMPMFDDMESKYIKRMRKPCPWWIRSISRAMFGYGCFFIAVAIPFLGSFAGLIGGISIPITFAYPCFLWLKVKKPKKYSFMWCLNWGLGLLGTVLSIILIAAGIYVVIDTGIQVSFFKPQ >ONI31735 pep chromosome:Prunus_persica_NCBIv2:G1:31095690:31099132:1 gene:PRUPE_1G327200 transcript:ONI31735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSPCGWSWNSPTHATVTVKCSSNSSSLSSFHSAPQKRPRFTASSSPSSSSSKSTAKQGLRKPLKLDSASPNPSKLVRSSNGNRSEDENRITTLSFKSFFGKRSLWRRIFFASKKVRSIILLNVITVVYASNFSVVKEVEAIMDPAAFTVVRFAVSAIPFIPFVFRARGDIHTRNAGIELGFWVSLGYLMQALGLLTSDAGRASFISMFTVVIVPLLDGMLGAIVPARTWFGALMSIIGVAMLESSGSPPSVGDLLNFLSAVFFGVHMLRTEHISRNTKRENFLPLLGYEVCVVAIMSTMWYCIGSGSGGLQDCNPSLWTWKIFWEWMVVFPWIPALYSGIFSTGLCLWVEMAAMRDVSATETAIIYGLEPIWGAGFAWFLLGERWGTTGWIGAALVLGGSLTVQIFGSTSPAKSYKEEKDSKKLDYPVVSDKHNRLSASPVTVISRKDIPDMLNK >ONI34752 pep chromosome:Prunus_persica_NCBIv2:G1:41170448:41173220:-1 gene:PRUPE_1G496600 transcript:ONI34752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKAKHSGWTYGKRQKIPINLGDKEDNYSEEDQISQLPDVILISILSLLGIREAARTRVLSKRWICVWKQITCLNFDDIDALSKPQKKRRQRVKTASSYNWVNQVLQLHQGPSLDEFKIRSSSLDYSPSSSEIDNWIEFAMWRRVQGLEIDLEAGRRSRFSSPSYIFPDKPFRSPFGISCIKSLKHLSLSFVNITDQLVNLKVAGSSLRLNFLQISECTSLGLVEIWAPNLVSFIYKGMLGFCDSIRLQKLYSSAASYIFPDKPFRSPFGVSCIKSLKHLSLSFVNITGELVEHFLSNCELLEHLCVSCSDQLVTLKVAGLSLRMKFLQISDCIYLEKIEICAPNLVSFIYHGMLGFYDSIRLRHAPLLVNVSLAESTRSIVPTFPPVKSCLPQLVTLNLNLHMNLNMLRWARVPCLRNLQKVNKCPHQCLKVVKFSGFVGSSIDTELAMYFTENAVALETFLVDLRKVVVEESTLLSEFVTTQKQLRAARKRALQMERREVAQISRYDLAKQVDSLIRPLNPSRQKLHIHHLSKPVHLVCASVVLLQCMAETVT >ONI29737 pep chromosome:Prunus_persica_NCBIv2:G1:22503015:22507019:1 gene:PRUPE_1G212000 transcript:ONI29737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNDMVRTQERMYTTALPPQHSDSDSRIIDTPGPSLHLYQVWKGNNRFCFNGRIILGPDSRSLFLTVSLIVVPVILFCAFVSQRLVEQFPRHIGNLIVVLCPLFAVYVVILLLITSARDPGIIPRSLHPPEPEDDGYVSSISSDWPGSQNGPPGVPPTKDTMVNGVIVKIKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTMLCLYVFAFCWVNIMKIMDAYHCNLWRALSRSPVSGFLILYTFGAAWFVGGLTGFHLYLIFTNQTTYENFRYRYNVKMNPYNRGCFRNVGEVFFSKIPRSKHNFREMVKGDSSSVFASSMSLRHPMSPEIPKTSSDIEMGKRQAVAAEDLEDIQSQIDSVGGLERCGTQPRHTNWDHKTNWESSPDIQMLAAEFGMEHGSTGRQKIEGANDLKT >ONI29736 pep chromosome:Prunus_persica_NCBIv2:G1:22503015:22507508:1 gene:PRUPE_1G212000 transcript:ONI29736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNDMVRTQERMYTTALPPQHSDSDSRIIDTPGPSLHLYQVWKGNNRFCFNGRIILGPDSRSLFLTVSLIVVPVILFCAFVSQRLVEQFPRHIGNLIVVLCPLFAVYVVILLLITSARDPGIIPRSLHPPEPEDDGYVSSISSDWPGSQNGPPGVPPTKDTMVNGVIVKIKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTMLCLYVFAFCWVNIMKIMDAYHCNLWRALSRSPVSGFLILYTFGAAWFVGGLTGFHLYLIFTNQTTYENFRYRYNVKMNPYNRGCFRNVGEVFFSKIPRSKHNFREMVKGDSSSVFASSMSLRHPMSPEIPKTSSDIEMGKRQAVAAEDLEDIQSQIDSVGGLERCGTQPRHTNWDHKTNWESSPDIQMLAAEFGMEHGSTGRQKIEGANDLKT >ONI32674 pep chromosome:Prunus_persica_NCBIv2:G1:34235193:34238960:-1 gene:PRUPE_1G379000 transcript:ONI32674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSLWDYTNIVMNFLCGSRTLDGGRKCNFDWLQYFDVVITGSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQVGSSSPRLSLKGVKACRVFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI32666 pep chromosome:Prunus_persica_NCBIv2:G1:34235193:34240009:-1 gene:PRUPE_1G379000 transcript:ONI32666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAPTLPLQFPNPIAIGISPNIPESDSLSLTPHPLPKCLFKSIMRIPKKPASFCLALFSPDLSTSPSSLSPPFRFTSAILRKSDRSRSPGKRKGIHTVGSSPLHASYSMEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSLWDYTNIVMNFLCGSRTLDGGRKCNFDWLQYFDVVITGSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQVGSSSPRLSLKGVKACRVFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI32669 pep chromosome:Prunus_persica_NCBIv2:G1:34235193:34238960:-1 gene:PRUPE_1G379000 transcript:ONI32669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQVGSSSPRLSLKGVKACRVFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI32672 pep chromosome:Prunus_persica_NCBIv2:G1:34234867:34239309:-1 gene:PRUPE_1G379000 transcript:ONI32672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSLWDYTNIVMNFLCGSRTLDGGRKCNFDWLQYFDVVITGSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI32671 pep chromosome:Prunus_persica_NCBIv2:G1:34235193:34238960:-1 gene:PRUPE_1G379000 transcript:ONI32671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSLWDYTNIVMNFLCGSRTLDGGRKCNFDWLQYFDVVITGSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI32673 pep chromosome:Prunus_persica_NCBIv2:G1:34235193:34238960:-1 gene:PRUPE_1G379000 transcript:ONI32673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSLWDYTNIVMNFLCGSRTLDGGRKCNFDWLQYFDVVITGSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQVGSSSPRLSLKGVKACRVFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI32668 pep chromosome:Prunus_persica_NCBIv2:G1:34234867:34240242:-1 gene:PRUPE_1G379000 transcript:ONI32668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI32665 pep chromosome:Prunus_persica_NCBIv2:G1:34235193:34240009:-1 gene:PRUPE_1G379000 transcript:ONI32665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAPTLPLQFPNPIAIGISPNIPESDSLSLTPHPLPKCLFKSIMRIPKKPASFCLALFSPDLSTSPSSLSPPFRFTSAILRKSDRSRSPGKRKGIHTVGSSPLHASYSMEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSLWDYTNIVMNFLCGSRTLDGGRKCNFDWLQYFDVVITGSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI32667 pep chromosome:Prunus_persica_NCBIv2:G1:34234867:34239309:-1 gene:PRUPE_1G379000 transcript:ONI32667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI32664 pep chromosome:Prunus_persica_NCBIv2:G1:34234867:34240253:-1 gene:PRUPE_1G379000 transcript:ONI32664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAPTLPLQFPNPIAIGISPNIPESDSLSLTPHPLPKCLFKSIMRIPKKPASFCLALFSPDLSTSPSSLSPPFRFTSAILRKSDRSRSPGKRKGIHTVGSSPLHASYSMEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQVGSSSPRLSLKGVKACRVFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI32670 pep chromosome:Prunus_persica_NCBIv2:G1:34235193:34238960:-1 gene:PRUPE_1G379000 transcript:ONI32670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQVGSSSPRLSLKGVKACRVFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI32663 pep chromosome:Prunus_persica_NCBIv2:G1:34234867:34240242:-1 gene:PRUPE_1G379000 transcript:ONI32663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAPTLPLQFPNPIAIGISPNIPESDSLSLTPHPLPKCLFKSIMRIPKKPASFCLALFSPDLSTSPSSLSPPFRFTSAILRKSDRSRSPGKRKGIHTVGSSPLHASYSMEKGYETAVGGDNSSRFSHMGSSDGGQQPCVWSSPQGGCKIDIRKQIFCNRSLNMKSILAVGFDMDYTLAQYKPETFESLAYDGTIRKLVYDLGYPRELLDWSFDWTYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFKELSKEDKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNLEKIPKGADYSVMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTTIVPMLKMLRDSGRATFLVTNSAKPSFFHEGNRANLFEVDHESGMLLNTDNGSPMAQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDTRKQLRKLRNERDLIEDKIHHLKWSLKFEDTSADEKQKLSSEYNALESERDRVRITHQDAQRECHKMFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDYMPHEFDIIPL >ONI33597 pep chromosome:Prunus_persica_NCBIv2:G1:37169767:37172936:1 gene:PRUPE_1G435100 transcript:ONI33597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFRARELQISQLTVERPSQFAAPDEDPPSDLGTPATTETMSTEWTDEKHSMYLKSMEASFVNQLYSSMDSRGWHSQKGSFLHPKSLRQPQFNSRAPSGQFKVLRGGCWQKINFVRAEAELNKADGSCGDLLENPWVLHFRSSCKTKEVESPIVQEAVASVSEEVDSIGKKEMPCAPATCSKHFRASRSQLCHQDMVGGNTGALSYILKCQTRTLLMKISKKKKLLVHVMLRG >ONI33599 pep chromosome:Prunus_persica_NCBIv2:G1:37170780:37172217:1 gene:PRUPE_1G435100 transcript:ONI33599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEWTDEKHSMYLKSMEASFVNQLYSSMDSRGWHSQKGSFLHPKSLRQPQFNSRAPSGQFKVLRGGCWQKINFVRAEAELNKADGSCGDLLENPWVLHFRSSCKTKEVESPIVQEAVASVSEEVDSIGKKEMPCAPATCSKHFRASRSQLCHQDMVGGNTEVSDQNFVDEDIKEEKTLGSCDAKRMKALILDVANNDQVVPLRKPADT >ONI33596 pep chromosome:Prunus_persica_NCBIv2:G1:37168375:37172936:1 gene:PRUPE_1G435100 transcript:ONI33596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFRARELQISQLTVERPSQFAAPDEDPPSDLGTPATTETMSTEWTDEKHSMYLKSMEASFVNQLYSSMDSRGWHSQKGSFLHPKSLRQPQFNSRAPSGQFKVLRGGCWQKINFVRAEAELNKADGSCGDLLENPWVLHFRSSCKTKEVESPIVQEAVASVSEEVDSIGKKEMPCAPATCSKHFRASRSQLCHQDMVGGNTEVSDQNFVDEDIKEEKTLGSCDAKRMKALILDVANNDQVVPLRKPADT >ONI33598 pep chromosome:Prunus_persica_NCBIv2:G1:37169767:37172936:1 gene:PRUPE_1G435100 transcript:ONI33598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFRARELQISQLTVERPSQFAAPDEDPPSDLGTPATTETMSTEWTDEKHSMYLKSMEASFVNQLYSSMDSRGWHSQKGSFLHPKSLRQPQFNSRAPSGQFKVLRGGCWQKINFVRAEAELNKADGSCGDLLENPWVLHFRSSCKTKEVESPIVQEAVASKCQTRTLLMKISKKKKLLVHVMLRG >ONI34678 pep chromosome:Prunus_persica_NCBIv2:G1:41012363:41015162:-1 gene:PRUPE_1G493500 transcript:ONI34678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKQPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPKS >ONI34672 pep chromosome:Prunus_persica_NCBIv2:G1:41011740:41015425:-1 gene:PRUPE_1G493500 transcript:ONI34672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPKS >ONI34677 pep chromosome:Prunus_persica_NCBIv2:G1:41012363:41015162:-1 gene:PRUPE_1G493500 transcript:ONI34677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKQPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPKS >ONI34680 pep chromosome:Prunus_persica_NCBIv2:G1:41012363:41015162:-1 gene:PRUPE_1G493500 transcript:ONI34680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKQPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPKS >ONI34671 pep chromosome:Prunus_persica_NCBIv2:G1:41012187:41015162:-1 gene:PRUPE_1G493500 transcript:ONI34671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKQPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPNVDREYSEGNSQPIVANLGILEQRCPAYALYDQ >ONI34675 pep chromosome:Prunus_persica_NCBIv2:G1:41011740:41015384:-1 gene:PRUPE_1G493500 transcript:ONI34675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPKS >ONI34670 pep chromosome:Prunus_persica_NCBIv2:G1:41011738:41015466:-1 gene:PRUPE_1G493500 transcript:ONI34670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKQPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPNVDREYSEGNSQPIVANLGILEQRCPAYALYDQ >ONI34669 pep chromosome:Prunus_persica_NCBIv2:G1:41011740:41015349:-1 gene:PRUPE_1G493500 transcript:ONI34669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKQPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPNVDREYSEGNSQPIVANLGILEQRCPAYALYDQ >ONI34668 pep chromosome:Prunus_persica_NCBIv2:G1:41011738:41015384:-1 gene:PRUPE_1G493500 transcript:ONI34668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPNVDREYSEGNSQPIVANLGILEQRCPAYALYDQ >ONI34673 pep chromosome:Prunus_persica_NCBIv2:G1:41011740:41015466:-1 gene:PRUPE_1G493500 transcript:ONI34673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPKS >ONI34681 pep chromosome:Prunus_persica_NCBIv2:G1:41012363:41015162:-1 gene:PRUPE_1G493500 transcript:ONI34681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKQPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPKS >ONI34666 pep chromosome:Prunus_persica_NCBIv2:G1:41011738:41015414:-1 gene:PRUPE_1G493500 transcript:ONI34666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPNVDREYSEGNSQPIVANLGILEQRCPAYALYDQ >ONI34679 pep chromosome:Prunus_persica_NCBIv2:G1:41012363:41015162:-1 gene:PRUPE_1G493500 transcript:ONI34679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKQPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPKS >ONI34667 pep chromosome:Prunus_persica_NCBIv2:G1:41011738:41015414:-1 gene:PRUPE_1G493500 transcript:ONI34667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPNVDREYSEGNSQPIVANLGILEQRCPAYALYDQ >ONI34674 pep chromosome:Prunus_persica_NCBIv2:G1:41012363:41015162:-1 gene:PRUPE_1G493500 transcript:ONI34674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPKS >ONI34676 pep chromosome:Prunus_persica_NCBIv2:G1:41012363:41015162:-1 gene:PRUPE_1G493500 transcript:ONI34676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKRNRVFALTALLILGLSVTFPCCFSAANQPQTKKKSAQANKFGSSAVFPLHGNVYPLGYYSVSINIGYPAKRFDLDIDTGSDLTWVQCDAPCTGCTKPREYLYKPKNNHLQCGDPLCVAFHSPASDPCKTPDDQCDYEVEYADQGSSLGVMVNDYFPLKFTNGSQLGPRLAFGCGYDQKYPGPNTPPTTGVIGLGKGKASIVSQLSRMGLTRNVVGHCLSGQGGGFLFLGDDLVSFSRVMWTPMSRNSMENHYSSGPAELVFNGKSTGVKGLSLVFDSGSSYTYFNSQAYKAVVNLVRNDLDGKPIKETNEDKSLPICWKGRKPFKSVGDAKNFFKPLALSFINAKNVQLQLSPEDYLIVSKHGNVCFGILNGSEVGLENLNIIGDIMLLDKMLIYDNENQRIGWAPANCNRLPKS >ONI30253 pep chromosome:Prunus_persica_NCBIv2:G1:25414344:25418191:-1 gene:PRUPE_1G240200 transcript:ONI30253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMAEEQIDYEDEEYGGAQKLQYQGSGAISALADEEPMVEDDEYDDLYNDVNVREGFLQMHRSEAPLPPGGVGNGGLQAQKTDVTETRVQAGVSQESKIPGVSVQGKYSSAVAQFPEQQGQPPVAKEPELGSTGYVGGASGSQKGRAMEMSHDTQVRHMGFQGSTTMPPNVGGDSSDITGKTALESVPSMNSGTAGPTGVTQMPTNQISIKVNANRPMFNENQIRPPVENGSTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFDERASGKSKGYCQVEFHDPAAATACKEGMDGYLFNGRACVVAFASPQTLKQMGASYLSKSQGQTQSQQPGRRPMNEGVGRGGGVNYQTGDTGGRNFGRGGWGRGGQGVANRGPGGGGPMRGRGGAMGAKNMAGNPAGVGTGANGGYGQGLAGPGFGGPVGGMMNPQGMMGAGFDPTYMGRGGGYGGFPGPAFPGMLSSFPAVNTMGLAGVAPHVNPAFFGRGMATNGMGMMGSSGMDGHHAGMWNDPSMGGWGGDEHGRRTRESSYGGDDGASEYGYGEANHEKGGRSNAPSRERERGSERDWSGNSERRHRDEREQDWDRSERGEHREHRYKEEKDSYRDHRQRERDVGYEDDWDRGQSSSRPRSRSKAMPEDDHRSRSRDVDYGKRRRLPSE >ONI30256 pep chromosome:Prunus_persica_NCBIv2:G1:25413565:25418304:-1 gene:PRUPE_1G240200 transcript:ONI30256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMAEEQIDYEDEEYGGAQKLQYQGSGAISALADEEPMVEDDEYDDLYNDVNVREGFLQMHRSEAPLPPGGVGNGGLQAQKTDVTETRVQAGVSQESKIPGVSVQGKYSSAVAQFPEQQGQPPVAKEPELGSTGYVGGASGSQKGRAMEMSHDTQVRHMGFQGSTTMPPNVGGDSSDITGKTALESVPSMNSGTAGPTGVTQMPTNQISIKVNANRPMFNENQIRPPVENGSTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFDERASGKSKGYCQVEFHDPAAATACKEGMDGYLFNGRACVVAFASPQTLKQMGASYLSKSQGQTQSQQPGRRPMNEGVGRGGGVNYQTGDTGGRNFGRGGWGRGGQGVANRGPGGGGPMRGRGGAMGAKNMAGNPAGVGTGANGGYGQGLAGPGFGGPVGGMMNPQGMMGAGFDPTYMGRGGGYGGFPGPAFPGMLSSFPAVNTMGLAGVAPHVNPAFFGRGMATNGMGMMGSSGMDGHHAGMWNDPSMGGWGGDEHGRRTRESSYGGDDGASEYGYGEANHEKGGRSNAPSRERERGSERDWSGNSERRHRDEREQDWDRSERGEHREHRYKEEKDSYRDHRQRERDVGYEDDWDRGQSSSRPRSRSKAMPEDDHRSRSRDVDYGKRRRLPSE >ONI30254 pep chromosome:Prunus_persica_NCBIv2:G1:25415048:25417030:-1 gene:PRUPE_1G240200 transcript:ONI30254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMAEEQIDYEDEEYGGAQKLQYQGSGAISALADEEPMVEDDEYDDLYNDVNVREGFLQMHRSEAPLPPGGVGNGGLQAQKTDVTETRVQAGVSQESKIPGVSVQGKYSSAVAQFPEQQGQPPVAKEPELGSTGYVGGASGSQKGRAMEMSHDTQVRHMGFQGSTTMPPNVGGDSSDITGKTALESVPSMNSGTAGPTGVTQMPTNQISIKVNANRPMFNENQIRPPVENGSTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFDERASGKSKGYCQVEFHDPAAATACKEGMDGYLFNGRACVVAFASPQTLKQMGASYLSKSQGQTQSQQPGRRPMNEGVGRGGGVNYQTGDTGGRNFGRGGWGRGGQGVANRGPGGGGPMRGRGGAMGAKNMAGNPAGVGTGANGGYGQGLAGPGFGGPVGGMMNPQGMMGAGFDPTYMGRGGGYGGFPGPAFPGMLSSFPAVNTMGLAGVAPHVNPAFFGRGMATNGMGMMGSSGMDGHHAGMWNDPSMGGWGGDEHGRRTRESSYGGDDGASEYGYGEANHEKGGRSNAPSRERERGSERDWSGNSERRHRDEREQDWDRSERGEHREHRYKEEKDSYRDHRQRERDVGYEDDWDRGQSSSRPRSRSKAMPEDDHRSRSRDVDYGKRRRLPSE >ONI30252 pep chromosome:Prunus_persica_NCBIv2:G1:25414344:25418190:-1 gene:PRUPE_1G240200 transcript:ONI30252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMAEEQIDYEDEEYGGAQKLQYQGSGAISALADEEPMVEDDEYDDLYNDVNVREGFLQMHRSEAPLPPGGVGNGGLQAQKTDVTETRVQAGVSQESKIPGVSVQGKYSSAVAQFPEQQGQPPVAKEPELGSTGYVGGASGSQKGRAMEMSHDTQVRHMGFQGSTTMPPNVGGDSSDITGKTALESVPSMNSGTAGPTGVTQMPTNQISIKVNANRPMFNENQIRPPVENGSTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFDERASGKSKGYCQVEFHDPAAATACKEGMDGYLFNGRACVVAFASPQTLKQMGASYLSKSQGQTQSQQPGRRPMNEGVGRGGGVNYQTGDTGGRNFGRGGWGRGGQGVANRGPGGGGPMRGRGGAMGAKNMAGNPAGVGTGANGGYGQGLAGPGFGGPVGGMMNPQGMMGAGFDPTYMGRGGGYGGFPGPAFPGMLSSFPAVNTMGLAGVAPHVNPAFFGRGMATNGMGMMGSSGMDGHHAGMWNDPSMGGWGGDEHGRRTRESSYGGDDGASEYGYGEANHEKGGRSNAPSRERERGSERDWSGNSERRHRDEREQDWDRSERGEHREHRYKEEKDSYRDHRQRERDVGYEDDWDRGQSSSRPRSRSKAMPEDDHRSRSRDVDYGKRRRLPSE >ONI30257 pep chromosome:Prunus_persica_NCBIv2:G1:25413565:25418238:-1 gene:PRUPE_1G240200 transcript:ONI30257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMAEEQIDYEDEEYGGAQKLQYQGSGAISALADEEPMVEDDEYDDLYNDVNVREGFLQMHRSEAPLPPGGVGNGGLQAQKTDVTETRVQAGVSQESKIPGVSVQGKYSSAVAQFPEQQGQPPVAKEPELGSTGYVGGASGSQKGRAMEMSHDTQVRHMGFQGSTTMPPNVGGDSSDITGKTALESVPSMNSGTAGPTGVTQMPTNQISIKVNANRPMFNENQIRPPVENGSTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFDERASGKSKGYCQVEFHDPAAATACKEGMDGYLFNGRACVVAFASPQTLKQMGASYLSKSQGQTQSQQPGRRPMNEGVGRGGGVNYQTGDTGGRNFGRGGWGRGGQGVANRGPGGGGPMRGRGGAMGAKNMAGNPAGVGTGANGGYGQGLAGPGFGGPVGGMMNPQGMMGAGFDPTYMGRGGGYGGFPGPAFPGMLSSFPAVNTMGLAGVAPHVNPAFFGRGMATNGMGMMGSSGMDGHHAGMWNDPSMGGWGGDEHGRRTRESSYGGDDGASEYGYGEANHEKGGRSNAPSRERERGSERDWSGNSERRHRDEREQDWDRSERGEHREHRYKEEKDSYRDHRQRERDVGYEDDWDRGQSSSRPRSRSKAMPEDDHRSRSRDVDYGKRRRLPSE >ONI30255 pep chromosome:Prunus_persica_NCBIv2:G1:25413565:25418190:-1 gene:PRUPE_1G240200 transcript:ONI30255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMAEEQIDYEDEEYGGAQKLQYQGSGAISALADEEPMVEDDEYDDLYNDVNVREGFLQMHRSEAPLPPGGVGNGGLQAQKTDVTETRVQAGVSQESKIPGVSVQGKYSSAVAQFPEQQGQPPVAKEPELGSTGYVGGASGSQKGRAMEMSHDTQVRHMGFQGSTTMPPNVGGDSSDITGKTALESVPSMNSGTAGPTGVTQMPTNQISIKVNANRPMFNENQIRPPVENGSTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFDERASGKSKGYCQVEFHDPAAATACKEGMDGYLFNGRACVVAFASPQTLKQMGASYLSKSQGQTQSQQPGRRPMNEGVGRGGGVNYQTGDTGGRNFGRGGWGRGGQGVANRGPGGGGPMRGRGGAMGAKNMAGNPAGVGTGANGGYGQGLAGPGFGGPVGGMMNPQGMMGAGFDPTYMGRGGGYGGFPGPAFPGMLSSFPAVNTMGLAGVAPHVNPAFFGRGMATNGMGMMGSSGMDGHHAGMWNDPSMGGWGGDEHGRRTRESSYGGDDGASEYGYGEANHEKGGRSNAPSRERERGSERDWSGNSERRHRDEREQDWDRSERGEHREHRYKEEKDSYRDHRQRERDVGYEDDWDRGQSSSRPRSRSKAMPEDDHRSRSRDVDYGKRRRLPSE >ONI34657 pep chromosome:Prunus_persica_NCBIv2:G1:40980078:40982143:1 gene:PRUPE_1G493100 transcript:ONI34657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDLQLPPGFRFHPTDEELVKHYLCRKCQSQPISVPIIAEIDLYKYNPWDLPGLALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGNPKPVGIKKALVFYAGKAPRGEKTNWIMHEYRLADVDRSPRKKSSSLRLDDWVLCRIYNKKGTVEKQQQQQQQQQQPTTTSRMSSGSEFEDRKPENLACPPPAAVAPTRPNDYVYFDTSDSVPRLHTDSSCSEHVVSPEFTCEVQSEPKWKEWEKALDFNYNYMDTTVENGFGAQFQSSNQMSPLQDIFMYLQKPY >ONI28750 pep chromosome:Prunus_persica_NCBIv2:G1:12619103:12626111:-1 gene:PRUPE_1G159100 transcript:ONI28750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHPHHFRSPSGGPRQQPPPLQHPYPQPLNPNFSLQNPTNSSYILPPNPAFPSHPVLNPYPAFPTQNPNFTPQQLPNSSFRPQTLPENPRPNVDPQQLSNSAFSPQSLSDNPNVGPLQHPSNSAFWPKEMLERIDGAAEKARAELVAAGRSVSAWKVLESALLMLKVDAWSSLMLPMYQVPSLHRLMLTEGRINAYIHCFVGVRKITSLYDLELAICKNENIQQFEELGLGPLLLHPLVLHYFQVSSDTTEVFKITSEEIVFLLIGFQTHKREVTDDSVEEFLDFIVKKRSVASKEKLGIRICSLGVHVSYILEAKKLERAALRKSKKELRVWRSRKKPPIFSTLKKRLDKHFCAISQQAELFSVVHKDFCVKQDRFVPSSSKHGGNRDYSHEEDDKNNDDAISSQVNFSSQSAKISERASSCTNLSAIEERCWLESTHISPVSGSQKHNEGNGSVKKKRKYGNLSSPISVPIKLRKSDKVDQDVLPTKNGCGTEEFSDVHIIDLSITDTLLRMFVTTWKEACQEQPVAKVLWSMLRSYNTHYKKSKTIMSMFYSYPLIGLLNVANYSKQVSSIKFGMWDSMYDTFQSIGQHWLTNTCTHKCSEYLSTDVEPSIKDAVRVSTEHNTEHTLSE >ONI32505 pep chromosome:Prunus_persica_NCBIv2:G1:33775081:33785433:1 gene:PRUPE_1G370000 transcript:ONI32505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEEKKSGFKRRSKLIEANINSDDDEPIGSLLKLKRQRNPKKVKPRLEGVSERSRKVEDEEEDLGGLDDTLASLRKKLKGPKKDSGAGTIRGRDVVQSLDRSSNGPVEDGGLDEKSVSMVLEKGPVMVDDGSDVTIDMEVENKLKGKGKRPKVSESRGYGEGSNSSLDHHPEDSLSAIFRKAQSGFTKKSRTSSSPKENNGSQVLEDGLNPSSEGVTGNTMPVMNNEAIVDPYGSNFQEGPCNSDKVNDGDSKHLTHKTHTFEDGLKHCSMVDLSTLTKYDVERHNSIPCPKQMEDVHGVGDRDSKGGFTDAFCIESKDVLDMSEDKRLVSSSHLPQNSLTFHVKMEDELDSDRCQNFSEHTQHPLCSFASGTLKMEETHNICNGQISCTEEPGLASHSLPEEKAVIADRRLSSLDITSSRAQKLGYANQLNHQGESFETCVHSNKSTAPIQKGSSAIRQDLSSDEASKERNGPNHDYLIIDEEADGASPPLCTYENESCPEDTVSLPDVENKDTKLSAVQRVVRNVRKRRHGDMAYEGDADWEVLINDQGLDSDNSFRTRVKFDSSSSIGTEAESGEAAAVSAGLKAHAVGPVEKIKFKEILKRRGGIQDYLECRNQILALWSKDVSRILPLTDCGVTDTACAGEPPRASLIRDIYAFLDLSGYINVGIACEKDKAEPGSKHDYKILREKNFEEISGVSVADSEDGVSFIIGQVKSSKTSVDVKNGVLIENENVTRRATNDNGLITAVELALSNATNHVDCNSAYQENSSGDARLQNRLDNMDFSSSDPTGDALGGGAVPVATPEMKNVSHSIQSASHDHAVRNSNPQCGPEVRMEIIVIGAGPAGLTAARHLQRQGFSVTILEARSRIGGRVYTDRSSLSVPVDLGASIITGVEADWATERRPDPSSLVCAQLGLELTVLNSDCPLYDITTGAKVPADLDEALEAEFNSLLDDMVLLVAQEGEHAMRMSLEEGLEYALKRRRMAQTGTSVKEKELHEQELLSPLERRVMDWHFANLEYGCAALLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSTVVESLGEGLCIHLNHVVTDISYGIKDAGLNTNQCNKVKVSTSNGNDFLGDAVLITVPLGCLKAETIKFSPPLPHWKHSSIQQLGFGVLNKVVLEFPDVFWDDSVDYFGATAEETDLRGQCFMFWNIRKTVGAPVLIALLVGKAAIDGQNMSSSDHVNHALVVLRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAVGASGEDYDILGKPVENCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILTTGNDHTAEVEAIEAIQRQSDSERDEVRDITRRLDAVELSNVLYKNREALLQDMFFNSKTTKGRLHLVKELLSLPVETLKSVAGTKEGLTTLNSWILDSMGKAGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRKEKASNGGLKLSRQAAAVDSFKRKPIRDPSSGKPPLHTFHGALEHKGSLQDSASTANHLPLNAVKKVNGKAIKIEAVNSSKLEINSSRSRGSTGRPDTKLEVNNFVMTEAERAAIAAAEAARAAALAAAEAYASSEAKSSTLLHLPKIPSFHKFARRDQYPQIDEYDFRRKWSGGDLGRQDCISEIDSRNCKVRNWSVDFSAACVNLDSSRMSVDNLSQRSHPNETASQLNFREHSGESAAVDSSIYTKAWVDTAGSVGIKDYHAIEMWQSQAAAADPDFFHPAPYINDEEDSNTTSKKLSWKHEGIVNESSVSQVTVNKESLKNHHRGADHIKQAVVDYVASLLMPLYKAKKIDRDGYKSIMKKSATKVMEQATDAEKAMAVSGFLDFKRRNKIRAFVDKLIERHMAVKPTVKS >ONI32503 pep chromosome:Prunus_persica_NCBIv2:G1:33775081:33785452:1 gene:PRUPE_1G370000 transcript:ONI32503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEEKKSGFKRRSKLIEANINSDDDEPIGSLLKLKRQRNPKKVKPRLEGVSERSRKVEDEEEDLGGLDDTLASLRKKLKGPKKDSGAGTIRGRDVVQSLDRSSNGPVEDGGLDEKSVSMVLEKGPVMVDDGSDVTIDMEVENKLKGKGKRPKVSESRGYGEGSNSSLDHHPEDSLSAIFRKAQSGFTKKSRTSSSPKENNGSQVLEDGLNPSSEGVTGNTMPVMNNEAIVDPYGSNFQEGPCNSDKVNDGDSKHLTHKTHTFEDGLKHCSMVDLSTLTKYDVERHNSIPCPKQMEDVHGVGDRDSKGGFTDAFCIESKDVLDMSEDKRLVSSSHLPQNSLTFHVKMEDELDSDRCQNFSEHTQHPLCSFASGTLKMEETHNICNGQISCTEEPGLASHSLPEEKAVIADRRLSSLDITSSRAQKLGYANQLNHQGESFETCVHSNKSTAPIQKGSSAIRQDLSSDEASKERNGPNHDYLIIDEEADGASPPLCTYENESCPEDTVSLPDVENKDTKLSAVQRVVRNVRKRRHGDMAYEGDADWEVLINDQGLDSDNSFRTRVKFDSSSSIGTEAESGEAAAVSAGLKAHAVGPVEKIKFKEILKRRGGIQDYLECRNQILALWSKDVSRILPLTDCGVTDTACAGEPPRASLIRDIYAFLDLSGYINVGIACEKDKAEPGSKHDYKILREKNFEEISGVSVADSEDGVSFIIGQVKSSKTSVDVKNGVLIENENVTRRATNDNGLITAVELALSNATNHVDCNSAYQENSSGDARLQNRLDNMDFSSSDPTGDALGGGAVPVATPEMKNVSHSIQSASHDHAVRNSNPQCGPEVRMEIIVIGAGPAGLTAARHLQRQGFSVTILEARSRIGGRVYTDRSSLSVPVDLGASIITGVEADWATERRPDPSSLVCAQLGLELTVLNSDCPLYDITTGAKVPADLDEALEAEFNSLLDDMVLLVAQEGEHAMRMSLEEGLEYALKRRRMAQTGTSVKEKELHEQELLSPLERRVMDWHFANLEYGCAALLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSTVVESLGEGLCIHLNHVVTDISYGIKDAGLNTNQCNKVKVSTSNGNDFLGDAVLITVPLGCLKAETIKFSPPLPHWKHSSIQQLGFGVLNKVVLEFPDVFWDDSVDYFGATAEETDLRGQCFMFWNIRKTVGAPVLIALLVGKAAIDGQNMSSSDHVNHALVVLRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAVGASGEDYDILGKPVENCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILTTGNDHTAEVEAIEAIQRQSDSERDEVRDITRRLDAVELSNVLYKNREALLQDMFFNSKTTKGRLHLVKELLSLPVETLKSVAGTKEGLTTLNSWILDSMGKAGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRKEKASNGGLKLSRQAAAVDSFKRKPIRDPSSGKPPLHTFHGALEHKGSLQDSASTANHLPLNAVKKVNGKAIKIEAVNSSKLEINSSRSRGSTGRPDTKLEVNNFVMTEAERAAIAAAEAARAAALAAAEAYASSEAKSSTLLHLPKIPSFHKFARRDQYPQIDEYDFRRKWSGGDLGRQDCISEIDSRNCKVRNWSVDFSAACVNLDSSRMSVDNLSQRSHPNETASQLNFREHSGESAAVDSSIYTKAWVDTAGSVGIKDYHAIEMWQSQAAAADPDFFHPAPYINDEEDSNTTSKKLSWKHEGIVNESSVSQVTVNKESLKNHHRGADHIKQAVVDYVASLLMPLYKAKKIDRDGYKSIMKKSATKVMEQATDAEKAMAVSGFLDFKRRNKIRAFVDKLIERHMAVKPTVKS >ONI32502 pep chromosome:Prunus_persica_NCBIv2:G1:33775081:33785433:1 gene:PRUPE_1G370000 transcript:ONI32502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEEKKSGFKRRSKLIEANINSDDDEPIGSLLKLKRQRNPKKVKPRLEGVSERSRKVEDEEEDLGGLDDTLASLRKKLKGPKKDSGAGTIRGRDVVQSLDRSSNGPVEDGGLDEKSVSMVLEKGPVMVDDGSDVTIDMEVENKLKGKGKRPKVSESRGYGEGSNSSLDHHPEDSLSAIFRKAQSGFTKKSRTSSSPKENNGSQVLEDGLNPSSEGVTGNTMPVMNNEAIVDPYGSNFQEGPCNSDKVNDGDSKHLTHKTHTFEDGLKHCSMVDLSTLTKYDVERHNSIPCPKQMEDVHGVGDRDSKGGFTDAFCIESKDVLDMSEDKRLVSSSHLPQNSLTFHVKMEDELDSDRCQNFSEHTQHPLCSFASGTLKMEETHNICNGQISCTEEPGLASHSLPEEKAVIADRRLSSLDITSSRAQKLGYANQLNHQGESFETCVHSNKSTAPIQKGSSAIRQDLSSDEASKERNGPNHDYLIIDEEADGASPPLCTYENESCPEDTVSLPDVENKDTKLSAVQRVVRNVRKRRHGDMAYEGDADWEVLINDQGLDSDNSFRTRVKFDSSSSIGTEAESGEAAAVSAGLKAHAVGPVEKIKFKEILKRRGGIQDYLECRNQILALWSKDVSRILPLTDCGVTDTACAGEPPRASLIRDIYAFLDLSGYINVGIACEKDKAEPGSKHDYKILREKNFEEISGVSVADSEDGVSFIIGQVKSSKTSVDVKNGVLIENENVTRRATNDNGLITAVELALSNATNHVDCNSAYQENSSGDARLQNRLDNMDFSSSDPTGDALGGGAVPVATPEMKNVSHSIQSASHDHAVRNSNPQCGPEVRMEIIVIGAGPAGLTAARHLQRQGFSVTILEARSRIGGRVYTDRSSLSVPVDLGASIITGVEADWATERRPDPSSLVCAQLGLELTVLNSDCPLYDITTGAKVPADLDEALEAEFNSLLDDMVLLVAQEGEHAMRMSLEEGLEYALKRRRMAQTGTSVKEKELHEQELLSPLERRVMDWHFANLEYGCAALLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSTVVESLGEGLCIHLNHVVTDISYGIKDAGLNTNQCNKVKVSTSNGNDFLGDAVLITVPLGCLKAETIKFSPPLPHWKHSSIQQLGFGVLNKVVLEFPDVFWDDSVDYFGATAEETDLRGQCFMFWNIRKTVGAPVLIALLVGKAAIDGQNMSSSDHVNHALVVLRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAVGASGEDYDILGKPVENCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILTTGNDHTAEVEAIEAIQRQSDSERDEVRDITRRLDAVELSNVLYKNREALLQDMFFNSKTTKGRLHLVKELLSLPVETLKSVAGTKEGLTTLNSWILDSMGKAGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRKEKASNGGLKLSRQAAAVDSFKRKPIRDPSSGKPPLHTFHGALEHKGSLQDSASTANHLPLNAVKKVNGKAIKIEAVNSSKLEINSSRSRGSTGRPDTKLEVNNFVMTEAERAAIAAAEAARAAALAAAEAYASSEAKSSTLLHLPKIPSFHKFARRDQYPQIDEYDFRRKWSGGDLGRQDCISEIDSRNCKVRNWSVDFSAACVNLDSSRMSVDNLSQRSHPNETASQLNFREHSGESAAVDSSIYTKAWVDTAGSVGIKDYHAIEMWQSQAAAADPDFFHPAPYINDEEDSNTTSKKLSWKHEGIVNESSVSQVTVNKESLKNHHRGADHIKQAVVDYVASLLMPLYKAKKIDRDGYKSIMKKSATKVMEQATDAEKAMAVSGFLDFKRRNKIRAFVDKLIERHMAVKPTVKS >ONI32504 pep chromosome:Prunus_persica_NCBIv2:G1:33775081:33785452:1 gene:PRUPE_1G370000 transcript:ONI32504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEEKKSGFKRRSKLIEANINSDDDEPIGSLLKLKRQRNPKKVKPRLEGVSERSRKVEDEEEDLGGLDDTLASLRKKLKGPKKDSGAGTIRGRDVVQSLDRSSNGPVEDGGLDEKSVSMVLEKGPVMVDDGSDVTIDMEVENKLKGKGKRPKVSESRGYGEGSNSSLDHHPEDSLSAIFRKAQSGFTKKSRTSSSPKENNGSQVLEDGLNPSSEGVTGNTMPVMNNEAIVDPYGSNFQEGPCNSDKVNDGDSKHLTHKTHTFEDGLKHCSMVDLSTLTKYDVERHNSIPCPKQMEDVHGVGDRDSKGGFTDAFCIESKDVLDMSEDKRLVSSSHLPQNSLTFHVKMEDELDSDRCQNFSEHTQHPLCSFASGTLKMEETHNICNGQISCTEEPGLASHSLPEEKAVIADRRLSSLDITSSRAQKLGYANQLNHQGESFETCVHSNKSTAPIQKGSSAIRQDLSSDEASKERNGPNHDYLIIDEEADGASPPLCTYENESCPEDTVSLPDVENKDTKLSAVQRVVRNVRKRRHGDMAYEGDADWEVLINDQGLDSDNSFRTRVKFDSSSSIGTEAESGEAAAVSAGLKAHAVGPVEKIKFKEILKRRGGIQDYLECRNQILALWSKDVSRILPLTDCGVTDTACAGEPPRASLIRDIYAFLDLSGYINVGIACEKDKAEPGSKHDYKILREKNFEEISGVSVADSEDGVSFIIGQVKSSKTSVDVKNGVLIENENVTRRATNDNGLITAVELALSNATNHVDCNSAYQENSSGDARLQNRLDNMDFSSSDPTGDALGGGAVPVATPEMKNVSHSIQSASHDHAVRNSNPQCGPEVRMEIIVIGAGPAGLTAARHLQRQGFSVTILEARSRIGGRVYTDRSSLSVPVDLGASIITGVEADWATERRPDPSSLVCAQLGLELTVLNSDCPLYDITTGAKVPADLDEALEAEFNSLLDDMVLLVAQEGEHAMRMSLEEGLEYALKRRRMAQTGTSVKEKELHEQELLSPLERRVMDWHFANLEYGCAALLKEVSLPNWNQDDVYGGFGGAHCMIKGGYSTVVESLGEGLCIHLNHVVTDISYGIKDAGLNTNQCNKVKVSTSNGNDFLGDAVLITVPLGCLKAETIKFSPPLPHWKHSSIQQLGFGVLNKVVLEFPDVFWDDSVDYFGATAEETDLRGQCFMFWNIRKTVGAPVLIALLVGKAAIDGQNMSSSDHVNHALVVLRKLFGEASVPDPVASVVTDWGRDPFSYGAYSYVAVGASGEDYDILGKPVENCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILTTGNDHTAEVEAIEAIQRQSDSERDEVRDITRRLDAVELSNVLYKNREALLQDMFFNSKTTKGRLHLVKELLSLPVETLKSVAGTKEGLTTLNSWILDSMGKAGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRKEKASNGGLKLSRQAAAVDSFKRKPIRDPSSGKPPLHTFHGALEHKGSLQDSASTANHLPLNAVKKVNGKAIKIEAVNSSKLEINSSRSRGSTGRPDTKLEVNNFVMTEAERAAIAAAEAARAAALAAAEAYASSEAKSSTLLHLPKIPSFHKFARRDQYPQIDEYDFRRKWSGGDLGRQDCISEIDSRNCKVRNWSVDFSAACVNLDSSRMSVDNLSQRSHPNETASQLNFREHSGESAAVDSSIYTKAWVDTAGSVGIKDYHAIEMWQSQAAAADPDFFHPAPYINDEEDSNTTSKKLSWKHEGIVNESSVSQVTVNKESLKNHHRGADHIKQAVVDYVASLLMPLYKAKKIDRDGYKSIMKKSATKVMEQATDAEKAMAVSGFLDFKRRNKIRAFVDKLIERHMAVKPTVKS >ONI34632 pep chromosome:Prunus_persica_NCBIv2:G1:40881629:40884795:-1 gene:PRUPE_1G491400 transcript:ONI34632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRPSALHQCFIAFPSLRWMPCQSWEFLRWPGLDGLLRLLVVVLLWTTFSEIRFIPSSSMYPTLRVGDRVVVEKASYYIRRPAMHDIVTFPDPTQQTGDSEQIVFIKRIVAKGGDLVEVRNGWLYVNGIAQKEDFIAEPPTYVSNLTYVPEGHVYVLGDNRNNSFDSHVCRGPLPIKNIIGRYVTCCHRPRS >ONI34631 pep chromosome:Prunus_persica_NCBIv2:G1:40881630:40884795:-1 gene:PRUPE_1G491400 transcript:ONI34631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRPSALHQCFIAFPSLRWMPCQSWEFLRWPGLDGLLRLLVVVLLWTTFSEIRFIPSSSMYPTLRVGDRVVVEKASYYIRRPAMHDIVTFPDPTQQTGDSEQIVFIKRIVAKGGDLVEVRNGWLYVNGIAQKEDFIAEPPTYVSNLTYVPEGHVYVLGDNRNNSFDSHVWGPLPIKNIIGRYVTCCHRPRS >ONI34629 pep chromosome:Prunus_persica_NCBIv2:G1:40881630:40884795:-1 gene:PRUPE_1G491400 transcript:ONI34629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRPSALHQCFIAFPSLRWMPCQSWEFLRWPGLDGLLRLLVVVLLWTTFSEIRFIPSSSMYPTLRVGDRVVVEKQTGDSEQIVFIKRIVAKGGDLVEVRNGWLYVNGIAQKEDFIAEPPTYVSNLTYVPEGHVYVLGDNRNNSFDSHVWGPLPIKNIIGRYVTCCHRPRS >ONI34630 pep chromosome:Prunus_persica_NCBIv2:G1:40881914:40884404:-1 gene:PRUPE_1G491400 transcript:ONI34630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRPSALHQCFIAFPSLRWMPCQSWEFLRWPGLDGLLRLLVVVLLWTTFSEIRFIPSSSMYPTLRVGDRVVVEKQTGDSEQIVFIKRIVAKGGDLVEVRNGWLYVNGIAQKEDFIAEPPTYVSNLTYVPEGHVYVLGDNRNNSFDSHVCRGPLPIKNIIGRYVTCCHRPRS >ONI32244 pep chromosome:Prunus_persica_NCBIv2:G1:33006267:33009312:1 gene:PRUPE_1G355900 transcript:ONI32244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTAVMGSAKVDGRSGFCNSSSTFYSKRKPIPLPHNDSLDITTFISSQAHRGTVAFIDGSTGRQLTYAQLWRAVRSVASSLSDMGIRKGHVVLLLSPNSIFFPVVCLAVMSLGAIITTTNPLNTTAEIAKQIADSKPVLAFTTRQLISKLGGSTTDLSIVLIDDDEIRAPNHGTGNGKIVSTLGQMMVTKERHGSSNGGLNLKEEINQDDTATLLYSSGTTGASKGVVSSHKNLIAMVRVVLSRFNLDDGEDTFLCTVPMFHIYGLAVFATGLLASGSTIVVLSKFEMHDMLRAIQKHRVTYLPLVPPILVALINAADQIKAKYNLSSLRRVLSGGAPLSREVIEGFVEKYPTVTILQGYGLTESTGVGASTDNLEESRRYGTAGLLSASMAAKIVDPDSGKALAVNQTGELWLKGPTIMKEYFSNAEATAATLDAQGWLRTGDLCYVDEDGFIFVVDRLKELIKYKGYQVPPAELEALLLTHPQIVDAAVIPFPDEKVGQYPMAYVVRKAGSNLSEKDVMEFVGKQVAPYKKIRRVAFIASVPKNPSGKILRKDLIQLATSSSSSKL >ONI34781 pep chromosome:Prunus_persica_NCBIv2:G1:41315617:41322526:-1 gene:PRUPE_1G499000 transcript:ONI34781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYLVGALVPLVLTLILQKSKKATKRGLPVNVGGEPGFTIRNRRFTSPVETLWEGISTLAELFEQSSKRFQDRRFLGTRALIAREVEVSKDGRSFEKLHLGDYEWLTYGKAFEVVCNFASGLAQLGHKKEERVAIFADTREEWFIALQTEVTTVICGHKELKKLLDISGQLDTVKRIICMDDEIPSSASSVESRWRITSFDVVERLGRESPVEPDLPLPADVAVIMYTSGSTGLPKGVMMTHANVLAVASAVMTIVPGLGSKDVYLAYLPLAHILELAAENVMVAVGSAIGYGSPLTLIDTSSKIKKGTKGDATVLAPTVLTAVPAILDRVRDGVLNKVNAKGGLSKRLFHLAYARRLSAVNGSWFGAWGLEKFLWNFLVFRKVRAVLGGSIRFILSGGAPLSGDTQRFINICIGSPIGQGYGLTETCAGGTFSEFDDTSVARVGAPLPCSFIKLIDWPEGGYLKTDSPMPRGEIVIGGPNVTLGYFKNEEKTRESYKVDEKGMRWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCPYVDNIMLHADPFHSYCVAIVVASRITVEDWAAKQGIAFSDFSDLCVKEETIKEVQASLIKEAKKARLEKFEIPAKIKLLSEPWTPESGLVTAALKLKRDVIKKAFSEDLSKLYAS >ONI34783 pep chromosome:Prunus_persica_NCBIv2:G1:41315986:41321663:-1 gene:PRUPE_1G499000 transcript:ONI34783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYLVGALVPLVLTLILQKSKKATKRGLPVNVGGEPGFTIRNRRFTSPVETLWEGISTLAELFEQSSKRFQDRRFLGTRALIAREVEVSKDGRSFEKLHLGDYEWLTYGKAFEVVCNFASGLAQLGHKKEERVAIFADTREEWFIALQGCFRRNVTVVTIYASLGEEALCHSLNETEVTTVICGHKELKKLLDISGQLDTVKRIICMDDEIPSSASSVESRWRITSFDVVERLGRESPVEPDLPLPADVAVIMYTSGSTGLPKGVMMTHANVLAVASAVMTIVPGLGSKDVYLAYLPLAHILELAAENVMVAVGSAIGYGSPLTLIDTSSKIKKGTKGDATVLAPTVLTAVPAILDRVRDGVLNKVNAKGGLSKRLFHLAYARRLSAVNGSWFGAWGLEKFLWNFLVFRKVRAVLGGSIRFILSGGAPLSGDTQRFINICIGSPIGQGYGLTETCAGGTFSEFDDTSVARVGAPLPCSFIKLIDWPEGGYLKTDSPMPRGEIVIGGPNVTLGYFKNEEKTRESYKVDEKGMRWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCPYVDNIMLHADPFHSYCVAIVVASRITVEDWAAKQGIAFSDFSDLCVKEETIKEVQASLIKEAKKARLEKFEIPAKIKLLSEPWTPESGLVTAALKLKRDVIKKAFSEDLSKLYAS >ONI34782 pep chromosome:Prunus_persica_NCBIv2:G1:41315617:41322526:-1 gene:PRUPE_1G499000 transcript:ONI34782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYLVGALVPLVLTLILQKSKKATKRGLPVNVGGEPGFTIRNRRFTSPVETLWEGISTLAELFEQSSKRFQDRRFLGTRALIAREVEVSKDGRSFEKLHLGDYEWLTYGKAFEVVCNFASGLAQLGHKKEERVAIFADTREEWFIALQGCFRRNVTVVTIYASLGEEALCHSLNETEVTTVICGHKELKKLLDISGQLDTVKRIICMDDEIPSSASSVESRWRITSFDVVERLGRESPVEPDLPLPADVAVIMYTSGSTGLPKGVMMTHANVLAVASAVMTIVPGLGSKDVYLAYLPLAHILELAAENVMVAVGSAIGYGSPLTLIDTSSKIKKGTKGDATVLAPTVLTAVPAILDRVRDGVLNKVNAKGGLSKRLFHLAYARRLSAVNGSWFGAWGLEKFLWNFLVFRKVRAVLGGSIRFILSGGAPLSGDTQRFINICIGSPIGQGYGLTETCAGGTFSEFDDTSVARVGAPLPCSFIKVEAALSVCPYVDNIMLHADPFHSYCVAIVVASRITVEDWAAKQGIAFSDFSDLCVKEETIKEVQASLIKEAKKARLEKFEIPAKIKLLSEPWTPESGLVTAALKLKRDVIKKAFSEDLSKLYAS >ONI32616 pep chromosome:Prunus_persica_NCBIv2:G1:34111202:34113394:1 gene:PRUPE_1G376400 transcript:ONI32616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLERGYTVRATVRDPTNQKKVKHLLELPKAETHLTLWKADLADEGSFDEAIQGCTGVFHVATPMDFESKDPENEVIKPTINGVLDILKACLKAKTVRRLVFTSSAGTVNVEEHQKSYYDETDWSDVEFCRSVKMTGWMYFASKTLAEQAAWKFAKENNIDFITIIPTLVIGPFLMPSMPPSLITGLSPLTGNTSHYSIIKRGQFVHLDDLCLSHIYLYEHPKAEGRYICSSHDATIYDIAKLLREKYPEYNIPTKFDNIEENLTNIHFSSKKLTDHGFEFKYSLEDMFVGAVDTCRARGLIPIPAEKHEADDNTVVDVKVSG >ONI32615 pep chromosome:Prunus_persica_NCBIv2:G1:34110835:34113473:1 gene:PRUPE_1G376400 transcript:ONI32615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPESESVCVTGASGFIGSWLVMRLLERGYTVRATVRDPTNQKKVKHLLELPKAETHLTLWKADLADEGSFDEAIQGCTGVFHVATPMDFESKDPENEVIKPTINGVLDILKACLKAKTVRRLVFTSSAGTVNVEEHQKSYYDETDWSDVEFCRSVKMTGWMYFASKTLAEQAAWKFAKENNIDFITIIPTLVIGPFLMPSMPPSLITGLSPLTGNTSHYSIIKRGQFVHLDDLCLSHIYLYEHPKAEGRYICSSHDATIYDIAKLLREKYPEYNIPTKFDNIEENLTNIHFSSKKLTDHGFEFKYSLEDMFVGAVDTCRARGLIPIPAEKHEADDNTVVDVKVSG >ONI27858 pep chromosome:Prunus_persica_NCBIv2:G1:8682671:8685041:1 gene:PRUPE_1G108600 transcript:ONI27858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGTTTTQAYGEPWYWDNRYANESGPFDWYQKYQSLAPLINLYVPRHSNQHHRILVVGCGNSAFSEGMADDGYDDVVSIDISSVVIQAMQDKYSDRPHLKYLQMDVRDMSAFQTDSFDAVVDKGTLDSLLCGSNSRQNAAEMLDEVWRVLKDKGVYILITYGAPLYRLHLLRESCSWTIKLHVIEKLACEDKSEPPIWELTNPVPLNDDGSSAEELLGNNPDVHYIYVCAKDNSLKPGLKRETSVD >ONI27859 pep chromosome:Prunus_persica_NCBIv2:G1:8682779:8684655:1 gene:PRUPE_1G108600 transcript:ONI27859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGTTTTQAYGEPWYWDNRYANESGPFDWYQKYQSLAPLINLYVPRHSNQHHRILVVGCGNSAFSEGMADDGYDDVVSIDISSVVIQAMQDKYSDRPHLKYLQMDVRDMSAFQTDSFDAVVDKGTLDSLLCGSNSRQNAAEMLDEVWRVLKDKGVYILVISRTELHCIVCIC >ONI35879 pep chromosome:Prunus_persica_NCBIv2:G1:45630170:45647776:-1 gene:PRUPE_1G559000 transcript:ONI35879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDSDGGSQDGRDEDDEEEYEEAGRGSRFLGFMFGNVNDSGGLDADYLDEDAKEHLAALADKLGPSITGIDLSVKSPQTSTDAVEEGEYYYDEKAENAVNYFDIDEDFEGPEIQAATEEDHLLPRKDYLSAQVSLATLELTHSVFDDEDYDEEIEQEVEHEVVEKNVDVETISLPGCLAGDSKGEKYSEDDYQIGGLDSENLDAHVEEFQEALSDKSATPLPVLCIEDGLVILRFSEIFGIHVPLKKAEKREHRYSVPKDRYKSMDVSDIIEEDEEAFLKGSSHGLQSLKQADAMKYDISALNDTDSENAKFGVLKAANSVALLDDGPIKDSCLNAEPLKEDQIYDISVGRQSPLCSKFYPLDQLDWEEGIVWGNSPVASDNSDESCEISGPDEFSINSETEPDSGSQNILLEPPKEPYEKDHAVVLHSSCSLLEPFGSRNSSELLCLPVSESRCHPQLLRLESRFEVDDHTDGTMESVGEKLHQSDAVREFSKLTSQNRDMLKGSWLDQIIWDPDMPTGKPKLILDLQDEQMLFEILDNKESEHLRLHSGAMIVTRPVNLSNGDSFELPGHGGQFGWRYVANDKHYSNRKTSQQLKSNSKRRTVQGIKIYHSQPALMLQTMKLRLSNKCVANFHRPKSLWYPHDNEVAVKERGKLPTQGPMKIIIKSLGGKGSKLHVDAEETVSSVKSKASKKLDFKPSETVKLFYLGKELEDDKSLAAQNVQPNSLLHLVRTKIYLLPKAQKIPGENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNAGMGARLCTYYQKSAPDDQTGSLLRSDSNSLGHVISLNPADKSPFLGDTKAGCSQSSLETNMYRAPVFSHKVPSTDYLLVRSAKGKLSIRRIDKLNVVGQQEPLMEVMSPGTKNLQTYMINRLLVYMCREFRAAEKRHFLPCIRSDELPSQFPYLSEAFLRKKLKEHANLQRGSNGQWMWVKKRNFRIFSEDELRNMVKPEEVCAYESMQAGLYRLKHLGITETHPSAISSAMSRLPDDAITLAAASHIERELQITPWNLSSNFVACTQGKENIERLEISGVGDPSGRGLGFSYVRAAPKASMSSAVVKKKSAATRGGSTVTGTDADLRRLSMEAAREVLLKFGVSDELIARQTRWHRIAMIRKLSSEQAASGVKVDANTISKYARGQRMSFLQLQQQNREKCQEIWDRQVQSLSALDGEENESDSEGNNSDLDSFAGDLENLLDAEECEEVLGGDHESNHDKLDGVKGLKMRRRPSLAQAEEEIEDEAAEAAELCRLLMDDETERRKKKKTRVSGEELGLAPGSRTNYGFENADRAKKIIGAAQPDESYTSKDNPVGDVKLVENPLKRKKAGTLKGMKNNDITHTGLMNKKLKISGDGGKTYKEKKSAREKFICGACHQAGHMRTNKNCPKYGEDQETHSDTPDLDKADGKITALNPSNQAQQKTTTKKLVPKSATKIAVVEASDVDVGLSTKVLPLKFKCGSTEKLPDKQALGETESSERPVASDPETGKPTFKVNKIIISNKMKPENAPVESQKPPIVIRPPTDTDKGHVESQKPTIVIRPPANTDRDQVESQKPLIAKRPSMEAQREQHHKKIIIKRPKEIIDIDQVSQDGSTPVEHRKTKRIVELTSSEKNRKEENMYLAKEAAKKKARDDKRSREEQEKRRNEERLKEERARRLYEEEMRMIEEQERLAEIRRYEAVIRQEREEEERQKAKKNKQKKKRPEIREDYIEDSRARRFDKRMQERDRGAKRRPVVELGRYGGESAPITKRRRGGEVGLANILERIIETLKDRIEVSYLFLKPVSKKEAPDYLDIIERPMDLSTIREKVRKMEYKSREQFRHDVWQITYNAHKYNDGRNPGIPPLADQLLELCDYMLVENDESLTEAEAGIESADF >ONI32081 pep chromosome:Prunus_persica_NCBIv2:G1:32473430:32482597:-1 gene:PRUPE_1G347400 transcript:ONI32081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQVPYQLPVPHEYCSTSGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGNTPFPQRPLPPAPPQNPSSHFSYTKPSSQQHPQHPYHAPYSLTPLPDSQRRFADEQRGVWMNGGRPPHSGPPFGHEGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32079 pep chromosome:Prunus_persica_NCBIv2:G1:32471826:32483064:-1 gene:PRUPE_1G347400 transcript:ONI32079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQVPYQLPVPHEYCSTSGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGNTPFPQRPLPPAPPQNPSSHFSYTKPSSQQHPQHPYHAPYSLTPLPDSQRRFADEQRGVWMNGGRPPHSGPPFGHEGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32074 pep chromosome:Prunus_persica_NCBIv2:G1:32472756:32483018:-1 gene:PRUPE_1G347400 transcript:ONI32074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGNTPFPQRPLPPAPPQNPSSHFSYTKPSSQQHPQHPYHAPYSLTPLPDSQRRFADEQRGVWMNGGRPPHSGPPFGHEGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32078 pep chromosome:Prunus_persica_NCBIv2:G1:32471826:32482909:-1 gene:PRUPE_1G347400 transcript:ONI32078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQVPYQLPVPHEYCSTSGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGNTPFPQRPLPPAPPQNPSSHFSYTKPSSQQHPQHPYHAPYSLTPLPDSQRRFADEQRGVWMNGGRPPHSGPPFGHEGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32077 pep chromosome:Prunus_persica_NCBIv2:G1:32471826:32482906:-1 gene:PRUPE_1G347400 transcript:ONI32077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQVPYQLPVPHEYCSTSGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32076 pep chromosome:Prunus_persica_NCBIv2:G1:32473430:32482597:-1 gene:PRUPE_1G347400 transcript:ONI32076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQVPYQLPVPHEYCSTSGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32084 pep chromosome:Prunus_persica_NCBIv2:G1:32471826:32482906:-1 gene:PRUPE_1G347400 transcript:ONI32084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEISQKGEIDKFFGTKEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQVPYQLPVPHEYCSTSGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32087 pep chromosome:Prunus_persica_NCBIv2:G1:32473430:32482597:-1 gene:PRUPE_1G347400 transcript:ONI32087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEISQKGEIDKFFGTKEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQVPYQLPVPHEYCSTSGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGNTPFPQRPLPPAPPQNPSSHFSYTKPSSQQHPQHPYHAPYSLTPLPDSQRRFADEQRGVWMNGGRPPHSGPPFGHEGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32082 pep chromosome:Prunus_persica_NCBIv2:G1:32472756:32483066:-1 gene:PRUPE_1G347400 transcript:ONI32082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQVPYQLPVPHEYCSTSGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGNTPFPQRPLPPAPPQNPSSHFSYTKPSSQQHPQHPYHAPYSLTPLPDSQRRFADEQRGVWMNGGRPPHSGPPFGHEGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32083 pep chromosome:Prunus_persica_NCBIv2:G1:32471826:32482906:-1 gene:PRUPE_1G347400 transcript:ONI32083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEISQKGEIDKFFGTKEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGNTPFPQRPLPPAPPQNPSSHFSYTKPSSQQHPQHPYHAPYSLTPLPDSQRRFADEQRGVWMNGGRPPHSGPPFGHEGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32086 pep chromosome:Prunus_persica_NCBIv2:G1:32473430:32482597:-1 gene:PRUPE_1G347400 transcript:ONI32086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEISQKGEIDKFFGTKEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQVPYQLPVPHEYCSTSGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGNTPFPQRPLPPAPPQNPSSHFSYTKPSSQQHPQHPYHAPYSLTPLPDSQRRFADEQRGVWMNGGRPPHSGPPFGHEGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32080 pep chromosome:Prunus_persica_NCBIv2:G1:32471826:32483028:-1 gene:PRUPE_1G347400 transcript:ONI32080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQVPYQLPVPHEYCSTSGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGNTPFPQRPLPPAPPQNPSSHFSYTKPSSQQHPQHPYHAPYSLTPLPDSQRRFADEQRGVWMNGGRPPHSGPPFGHEGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32085 pep chromosome:Prunus_persica_NCBIv2:G1:32473430:32482597:-1 gene:PRUPE_1G347400 transcript:ONI32085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEISQKGEIDKFFGTKEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQVPYQLPVPHEYCSTSGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32073 pep chromosome:Prunus_persica_NCBIv2:G1:32473430:32482597:-1 gene:PRUPE_1G347400 transcript:ONI32073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGNTPFPQRPLPPAPPQNPSSHFSYTKPSSQQHPQHPYHAPYSLTPLPDSQRRFADEQRGVWMNGGRPPHSGPPFGHEGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI32075 pep chromosome:Prunus_persica_NCBIv2:G1:32471826:32482996:-1 gene:PRUPE_1G347400 transcript:ONI32075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRRRGANKAKAKSQLSLGDLVLAKVKGFPYWPAKISRPEDWKKVPDPKKYFVQFFGTEEIAFVAPADIQAFTSELKVKLTGRLPGKTKNFSQAVKDICEEFDELQKKKSNDLRDDTDPGCEVPSVNGVENNGVEVELKDGGEGTQDSNGETLKEEEGIGDFGSKLERCSQIRGENGIEDVNPSTSCGANESSSPIISSETKNKMSAVSQPKKEVLKKSNPDNSCNMKEDVSGSKHEEDGVRTKKHSERQRSLANGHKSMKITGSKRKHDGTVEGHKNSFSVTSLKEDGSVFLDRPKSGERLRDGTKGKLGSGGRKREFSPDARKSDSGIRGGKKAKDLLKAKNQIEAVDDMKDSVDDPVDQAKDKLSGRTKKVQLGLGKLNLESNDISHPAKKSKHVDSGDNAPRGSFSKTVKSLSPSSDVVDDKTVKKWDLKKSNSRVKGENHSRSQNIIVGPNAPGDEAALPLTKRRLRALEAMSDSDTLVSDDKMEKDCILKNDTLISTDVRVSAVHTHRKRRAVCLYEEEEEEEKPKTPVHGGSSRNIKGPSYSSDAMKSTDENHERLDTAQQSTKCPAEFQESRMKESGSQSNSSSLSPSKPQADEDRPERKPQIDEMRLEKAVHVYHSPAKSEPEQFCKEEKPTLTSPKKSPQLVSTTKPVVEQQKSTKPLVKVSSTGIQKKAQAVSGKSSGLVSSQNHATTQRNRPASSGEKSKPTLRSIPHINDAALLTENSTEYISLPGERMDVGREDKSGLMDSRTPESSISMRHLIAVAQAKRKQAHSQSFFLGISNSTLVSNKDLQGRSPSPSEVQGFLSTSSSALQADLPGSNQLTNLASPSTHGRQSASQIQLDIEEISERRVSSGHQTAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLEGEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGSGARDNRRQCLKVLRLWIERKIFPESVLRRYMDDIGVSNDDATAGFALRRPSRAERAIDDPIREMEGMFVDEYGSNATFQLPGFLSSHAFEDDEEEDEELPSCSYKETSHSSPVETTHASGESETCAVTPNDRRHCILEDVDGELEMEDVSGHPKDERPSFVNGSFERDPQQQGSDTVTEPASNVCSELPPLPEGSPPLPLDSPPPPPPLPPSPPPPPPPPPLSPSPPPPPPPPLPSQLPPPLPPSGHPPLFPQSSIPTQASLLSQQMLPSQSTMHSSPQGNQLVQIAGNAPHGGPIDAAAKSEMFPQQQACFIPTGVCGPREPSGFNSTRQLEHGHNDMFLSAQVSQPSQQFQQGNTPFPQRPLPPAPPQNPSSHFSYTKPSSQQHPQHPYHAPYSLTPLPDSQRRFADEQRGVWMNGGRPPHSGPPFGHEGYFRPPLDRPPTNNMAFQRSAPNNVPSGAPISGHSASQILPCRPDISAVNCWRPA >ONI36082 pep chromosome:Prunus_persica_NCBIv2:G1:46380246:46381090:-1 gene:PRUPE_1G568800 transcript:ONI36082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPRNDDEPTAEVDSQMPPGVVFLLTGLELVEIADSHALEPEFEYDAPHDPFDDLLAAYGMVVNPRSFCFYFTIAF >ONI33079 pep chromosome:Prunus_persica_NCBIv2:G1:35584317:35588934:-1 gene:PRUPE_1G404300 transcript:ONI33079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYLECFVHENDTESRPDDDVSSLSKALDLQGSIHDCEGPTDEASRDFGGMYSLAPLAFIRPSDADDVARKVKEAARSSNLTVAARGNGHSINGQAMADRGLVLDMRSLDDHFRVVEANNGSFYADVSGGALWEHVLKQCVSEYGMAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELQVVTGKGEIFNCSETENSELFFGALGGLGQFGIITRARVLLQPAPDMGVNRLLGGLGFVERLKFQVDLSYLEFLLRVKRAEEHAKANGIWDAPHPWLNLFVSKSDIADFDRTVIKKILKDGIGGPMLVYPLLRSKWDARTSVVLPESEIFYIVALLRFTPPYPKGPSFKNLVAQNKEIIQYCNKKGFDFKLYLPHYRSQDDWKRHFGNDRWSRFVERKTCFDPMAILAPGQKIFPRVSQP >ONI33081 pep chromosome:Prunus_persica_NCBIv2:G1:35587129:35588495:-1 gene:PRUPE_1G404300 transcript:ONI33081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYLECFVHENDTESRPDDDVSSLSKALDLQGSIHDCEGPTDEASRDFGGMYSLAPLAFIRPSDADDVARKVKEAARSSNLTVAARGNGHSINGQAMADRGLVLDMRSLDDHFRVVEANNGSFYADVSGGALWEHVLKQCVSEYGMAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELQVVTGKGEIFNCSETENSELFFGALGGLGQFGIITRARVLLQPAPDMVRWIRLVYTEFEDFTRDAELLVTRQDEEDSFDYVEGFAFVNSDNPADGRPSVPLDPDQVFDPTHLPRTAGSMLYCLELARHYRHADDPSIVDMVVAK >ONI33080 pep chromosome:Prunus_persica_NCBIv2:G1:35584549:35588495:-1 gene:PRUPE_1G404300 transcript:ONI33080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYLECFVHENDTESRPDDDVSSLSKALDLQGSIHDCEGPTDEASRDFGGMYSLAPLAFIRPSDADDVARKVKEAARSSNLTVAARGNGHSINGQAMADRGLVLDMRSLDDHFRVVEANNGSFYADVSGGALWEHVLKQCVSEYGMAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVTELQVVTGKGEIFNCSETENSELFFGALGGLGQFGIITRARVLLQPAPDMVRWIRLVYTEFEDFTRDAELLVTRQDEEDSFDYVEGFAFVNSDNPADGRPSVPLDPDQVFDPTHLPRTAGSMLYCLELARHYRHADDPSIVDMGVNRLLGGLGFVERLKFQVDLSYLEFLLRVKRAEEHAKANGIWDAPHPWLNLFVSKSDIADFDRTVIKKILKDGIGGPMLVYPLLRSKWDARTSVVLPESEIFYIVALLRFTPPYPKGPSFKNLVAQNKEIIQYCNKKGFDFKLYLPHYRSQDDWKRHFGNDRWSRFVERKTCFDPMAILAPGQKIFPRVSQP >ONI29943 pep chromosome:Prunus_persica_NCBIv2:G1:23758220:23761112:1 gene:PRUPE_1G223700 transcript:ONI29943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRQDQQDQQSRVFYELSALVLNLLRSPPTPIQFSDHSPVVPSSSPSSSRRPPAAATQISPAGFASLMLGISMALMLCGSVTFFIGFILMPWVLGLVMVFYVAGIVSSLSVLGKSILCYASAPSSPRKEIPGILVLKAWKLL >ONI29946 pep chromosome:Prunus_persica_NCBIv2:G1:23758582:23759004:1 gene:PRUPE_1G223700 transcript:ONI29946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRQDQQDQQSRVFYELSALVLNLLRSPPTPIQFSDHSPVVPSSSPSSSRRPPAAATQISPAGFASLMLGISMALMLCGSVTFFIGFILMPWVLGLVMVFYVAGIVSSLSVLGKSILCYASAPSSPRKEIPGKDYSFCF >ONI29944 pep chromosome:Prunus_persica_NCBIv2:G1:23758220:23761112:1 gene:PRUPE_1G223700 transcript:ONI29944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRQDQQDQQSRVFYELSALVLNLLRSPPTPIQFSDHSPVVPSSSPSSSRRPPAAATQISPAGFASLMLGISMALMLCGSVTFFIGFILMPWVLGLVMVFYVAGIVSSLSVLGKSILCYASAPSSPRKEIPAWKLL >ONI29945 pep chromosome:Prunus_persica_NCBIv2:G1:23758220:23761118:1 gene:PRUPE_1G223700 transcript:ONI29945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRQDQQDQQSRVFYELSALVLNLLRSPPTPIQFSDHSPVVPSSSPSSSRRPPAAATQISPAGFASLMLGISMALMLCGSVTFFIGFILMPWVLGLVMVFYVAGIVSSLSVLGKSILCYASAPSSPRKEIPGIESDIWRIISWGYIL >ONI32210 pep chromosome:Prunus_persica_NCBIv2:G1:32905306:32907052:-1 gene:PRUPE_1G354100 transcript:ONI32210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDDFPCTCLKTMEKLISSSYKERPFPETYIFPPDARPGKLAVPLCNNIPVIDLGGGAEEASESDDRRTYILEQILKASQEFGFFQEFFEELPAEDKASLYSEDPNKSCRLFTSSSTGNYDGEDVHIWRDCLKHPCHPLEECKHVWPQKPIRYGELVGACSTQVRELASNILELIGEGLGVGAGYFRDELSQQMLLSVNYYPPCPEPSLTLGLPKHCDPNLITILLQGDVNGLQVFKDGEWIGVEPLPHALVVNVGYQLQIISNGKLKSAEHRAVTNSSEARISAGFFVMPSNDCLIEPAAALINASNPQLYKGFQYKDFFLNFGTKLGKTEQVLDRFKLQARLAS >ONI32211 pep chromosome:Prunus_persica_NCBIv2:G1:32905306:32907052:-1 gene:PRUPE_1G354100 transcript:ONI32211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDDFPCTCLKTMEKLISSSYKERPFPETYIFPPDARPGKLAVPLCNNIPVIDLGGGAEEASESDDRRTYILEQILKASQEFGFFQVINHGISEHLLSETMRVFKEFFEELPAEDKASLYSEDPNKSCRLFTSSSTGNYDGEDVHIWRDCLKHPCHPLEECKHVWPQKPIRYGELVGACSTQVRELASNILELIGEGLGVGAGYFRDELSQQMLLSVNYYPPCPEPSLTLGLPKHCDPNLITILLQGDVNGLQVFKDGEWIGVEPLPHALVVNVGYQLQIISNGKLKSAEHRAVTNSSEARISAGFFVMPSNDCLIEPAAALINASNPQLYKGFQYKDFFLNFGTKLGKTEQVLDRFKLQARLAS >ONI32213 pep chromosome:Prunus_persica_NCBIv2:G1:32905530:32906565:-1 gene:PRUPE_1G354100 transcript:ONI32213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFKEFFEELPAEDKASLYSEDPNKSCRLFTSSSTGNYDGEDVHIWRDCLKHPCHPLEECKHVWPQKPIRYGELVGACSTQVRELASNILELIGEGLGVGAGYFRDELSQQMLLSVNYYPPCPEPSLTLGLPKHCDPNLITILLQGDVNGLQVFKDGEWIGVEPLPHALVVNVGYQLQIISNGKLKSAEHRAVTNSSEARISAGFFVMPSNDCLIEPAAALINASNPQLYKGFQYKDFFLNFGTKLGKTEQVLDRFKLQARLAS >ONI32214 pep chromosome:Prunus_persica_NCBIv2:G1:32905862:32906993:-1 gene:PRUPE_1G354100 transcript:ONI32214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDDFPCTCLKTMEKLISSSYKERPFPETYIFPPDARPGKLAVPLCNNIPVIDLGGGAEEASESDDRRTYILEQILKASQEFGFFQVINHGISEHLLSETMRVFKEFFEELPAEDKASLYSEDPNKSCRLFTSSSTGNYDGEDVHIWRDCLKHPCHPLEECKHVWPQKPIRYGELVGACSTQVRELASNILELIGEGLGVGAGYFRDELSQQMLLSVNYYPPCPEPSLTLGLPKHCDPNLITILLQGDVNGLQVFKDGEWIGVEPLPHALVVNVGYQLQVHYTTTSTRLVHPSLL >ONI32212 pep chromosome:Prunus_persica_NCBIv2:G1:32905530:32906565:-1 gene:PRUPE_1G354100 transcript:ONI32212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFKEFFEELPAEDKASLYSEDPNKSCRLFTSSSTGNYDGEDVHIWRDCLKHPCHPLEECKHVWPQKPIRYGELVGACSTQVRELASNILELIGEGLGVGAGYFRDELSQQMLLSVNYYPPCPEPSLTLGLPKHCDPNLITILLQGDVNGLQVFKDGEWIGVEPLPHALVVNVGYQLQIISNGKLKSAEHRAVTNSSEARISAGFFVMPSNDCLIEPAAALINASNPQLYKGFQYKDFFLNFGTKLGKTEQVLDRFKLQARLAS >ONI35618 pep chromosome:Prunus_persica_NCBIv2:G1:44631180:44634161:1 gene:PRUPE_1G546200 transcript:ONI35618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKHVMIVAADVVKEEECRRFVNETINFYGRVDHLVNTVSLGHTFYFEEVTDTTVFPHLLDINFWGNVYPTYVALPHLRQTNGKIIVNASVESWLPLPRMSLYAAAKAALVNFYETLRLEVDHEVGITIATHGWIGSELTRGKFMVEEGAEMQWKEEREVHVTGGPVDDFARLIVAGACRGDAYVKYPSWYDIFLLYRVFAPKVLNWTFRLILPTHGGRRTSLVGTGRPISEGYGRPLFEGTPPRKLPSTFAPQSPQQLKME >ONI35617 pep chromosome:Prunus_persica_NCBIv2:G1:44630125:44634161:1 gene:PRUPE_1G546200 transcript:ONI35617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLNSVLNWVVPPASLVMMAFAWPALTFITGCEWLYNTFNSEPMEDKVVIITGASSGIGEQIAYEYAKRGAKLVLVARRDNRLRLISENARHMGAKHVMIVAADVVKEEECRRFVNETINFYGRVDHLVNTVSLGHTFYFEEVTDTTVFPHLLDINFWGNVYPTYVALPHLRQTNGKIIVNASVESWLPLPRMSLYAAAKAALVNFYETLRLEVDHEVGITIATHGWIGSELTRGKFMVEEGAEMQWKEEREVHVTGGPVDDFARLIVAGACRGDAYVKYPSWYDIFLLYRVFAPKVLNWTFRLILPTHGGRRTSLVGTGRPISEGYGRPLFEGTPPRKLPSTFAPQSPQQLKME >ONI26700 pep chromosome:Prunus_persica_NCBIv2:G1:2887273:2892816:1 gene:PRUPE_1G040400 transcript:ONI26700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRSDLSQKKRIITSLCVLGIFLGFLYVYHGSIFGSQSHGASALEYGSRSWRKLGSSYLGGDEDNDSKQDESSTKYGQEDGEEDVIVKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPAPERRYNCLIPPPPGYKVPIKWPQSRDEVWKVNIPHTHLAQEKSDQNWMIVKGEKIVFPGGGTHFHYGADKYIASIANMLNFSKNNLNNEGRLRTVFDVGCGVASFGAYLLSSDIMAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLKIWREMSALVERMCWRIAAKKNQTVIWQKPLTNDCYMEREPGTQPPLCRSDDDPDAVWGVPMEACISTYSDHDHREKGSGLAPWPARLTAPPPRLADFGYSNEMFEKDTELWRHRVENYWNLLSPKIESNTLRNVMDMKSNMGSFAAALKDKDVWVMNVVPEDGPNTLKLIYDRGLIGSVHSWCEAYSTYPRTYDLLHAWTVFSDIEKKECSAEDLLLEMDRILRPTGFIIIRDKQSVVDFVKKYLTALHWEAVAVADSSIDSDQDGDDVLFIIQKKIWLTSESLRDSE >ONI26697 pep chromosome:Prunus_persica_NCBIv2:G1:2887871:2892816:1 gene:PRUPE_1G040400 transcript:ONI26697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRSDLSQKKRIITSLCVLGIFLGFLYVYHGSIFGSQSHGASALEYGSRSWRKLGSSYLGGDEDNDSKQDESSTKYGQEDGEEDVIVKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPAPERRYNCLIPPPPGYKVPIKWPQSRDEVWKVNIPHTHLAQEKSDQNWMIVKGEKIVFPGGGTHFHYGADKYIASIANMLNFSKNNLNNEGRLRTVFDVGCGVASFGAYLLSSDIMAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLKIWREMSALVERMCWRIAAKKNQTVIWQKPLTNDCYMEREPGTQPPLCRSDDDPDAVWGVPMEACISTYSDHDHREKGSGLAPWPARLTAPPPRLADFGYSNEMFEKDTELWRHRVENYWNLLSPKIESNTLRNVMDMKSNMGSFAAALKDKDVWVMNVVPEDGPNTLKLIYDRGLIGSVHSWCEAYSTYPRTYDLLHAWTVFSDIEKKECSAEDLLLEMDRILRPTGFIIIRDKQSVVDFVKKYLTALHWEAVAVADSSIDSDQDGDDVLFIIQKKIWLTSESLRDSE >ONI26699 pep chromosome:Prunus_persica_NCBIv2:G1:2887253:2892816:1 gene:PRUPE_1G040400 transcript:ONI26699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRSDLSQKKRIITSLCVLGIFLGFLYVYHGSIFGSQSHGASALEYGSRSWRKLGSSYLGGDEDNDSKQDESSTKYGQEDGEEDVIVKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPAPERRYNCLIPPPPGYKVPIKWPQSRDEVWKVNIPHTHLAQEKSDQNWMIVKGEKIVFPGGGTHFHYGADKYIASIANMLNFSKNNLNNEGRLRTVFDVGCGVASFGAYLLSSDIMAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLKIWREMSALVERMCWRIAAKKNQTVIWQKPLTNDCYMEREPGTQPPLCRSDDDPDAVWGVPMEACISTYSDHDHREKGSGLAPWPARLTAPPPRLADFGYSNEMFEKDTELWRHRVENYWNLLSPKIESNTLRNVMDMKSNMGSFAAALKDKDVWVMNVVPEDGPNTLKLIYDRGLIGSVHSWCEAYSTYPRTYDLLHAWTVFSDIEKKECSAEDLLLEMDRILRPTGFIIIRDKQSVVDFVKKYLTALHWEAVAVADSSIDSDQDGDDVLFIIQKKIWLTSESLRDSE >ONI26698 pep chromosome:Prunus_persica_NCBIv2:G1:2887273:2892816:1 gene:PRUPE_1G040400 transcript:ONI26698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGRSDLSQKKRIITSLCVLGIFLGFLYVYHGSIFGSQSHGASALEYGSRSWRKLGSSYLGGDEDNDSKQDESSTKYGQEDGEEDVIVKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPAPERRYNCLIPPPPGYKVPIKWPQSRDEVWKVNIPHTHLAQEKSDQNWMIVKGEKIVFPGGGTHFHYGADKYIASIANMLNFSKNNLNNEGRLRTVFDVGCGVASFGAYLLSSDIMAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLKIWREMSALVERMCWRIAAKKNQTVIWQKPLTNDCYMEREPGTQPPLCRSDDDPDAVWGVPMEACISTYSDHDHREKGSGLAPWPARLTAPPPRLADFGYSNEMFEKDTELWRHRVENYWNLLSPKIESNTLRNVMDMKSNMGSFAAALKDKDVWVMNVVPEDGPNTLKLIYDRGLIGSVHSWCEAYSTYPRTYDLLHAWTVFSDIEKKECSAEDLLLEMDRILRPTGFIIIRDKQSVVDFVKKYLTALHWEAVAVADSSIDSDQDGDDVLFIIQKKIWLTSESLRDSE >ONI29990 pep chromosome:Prunus_persica_NCBIv2:G1:23897677:23901349:-1 gene:PRUPE_1G225400 transcript:ONI29990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRTALRSALLIEACRPVHLTRSSASASFRTRGFPSPRLNGQFRTRGFHFAKRTHLNCSHNENASSLSEDEQGPPQEAVLKAISEVSKTEGRVGQTTNVVIGGTVTDDSTNEWLALDQKVNSYPTVRGFTAIGTGGDDFVQAMVVAVESVIQQPVPEGHVRQKVSSRGKYVSVNIGPVQVISSEQVQAVYNAMRRDDRMKYFL >ONI29991 pep chromosome:Prunus_persica_NCBIv2:G1:23897677:23901336:-1 gene:PRUPE_1G225400 transcript:ONI29991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRTALRSALLIEACRPVHLTRSSASASFRTRGFPSPRLNGQFRTRGFHFAKRTHLNCSHNENASSLSEDEQGPPQEAVLKAISEVSKTEGRVGQTTNVVIGGTVTDDSTNEWLALDQKVNSYPTVRGFTAIGTGGDDFVQAMVVAVESVIQQPVPEGHVRQKVSSRGKYVSVNIGPVQVISSEQVQAVYNAMRRDDRMKYFL >ONI29347 pep chromosome:Prunus_persica_NCBIv2:G1:18345081:18347825:-1 gene:PRUPE_1G194300 transcript:ONI29347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFLKDFLIWVLNISILRAGFLVKLVILSDLWCLASGLGSMSAIAVSYGEKGPVFCGLKLDGSHLVTCYGSNSAITYGTPSRFPFIGLTAGDGFVCGLLMESNQPYCWGSSGYIQMGVPQPIIKEAQYIEISAGDYHLCGLRKPLTGRLRNTSFVQSISAGSEFNCGLFSQNRTVFCWGDETSSRVISLIPKKFRFRKIAAGGYHVCGILESVNSRPYCWGRSLDIEEEISVAYSGQGNVDLAPNEPMLSVVGGKFHACGIKSSDRGVICWGFIVKPSTPAPKSTKVYEIAAGNYFTCGVIADQSFLPVCWGLGFPTSLPIAVAPGFCKSTPCAPGFYEFSHESASCKYPNSRICMPCSDGCPAEMYQKTGCTLKSDRLCEYNCSNCYSADCFSNCSSSYSDAKTNERFWSMQLPVIIAEIAFAVFLVSVVSITAFLYVRYKLRDCQCAAKDSKSKKNSRSGSPFHKDNGKIRPDLDDLKIRRAQMFTYEELERATAGFEEVSVVGKGSFSCVFRGVLKDGTVVAVKRAIVSPNMQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGKNKALKEQLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVSDFGLSLLGPADSGSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEYGNIVEWAVPLIKSGEINGILDPVLKPPPDLEALKRIANVACKCVRMRGKERPSMDKVTTALERALALLMGSPCNEQPILPTEVVLGSSRLHKKSSQRSSNRSVDTDVVESEDQRFEFRAPSWITFPSVASSQRRKSSVSDVDADGKNLEARNLGNCGSGGDGLRSLEEEIGPASPQEKLFLQHNF >ONI29348 pep chromosome:Prunus_persica_NCBIv2:G1:18344843:18347309:-1 gene:PRUPE_1G194300 transcript:ONI29348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVVGGKFHACGIKSSDRGVICWGFIVKPSTPAPKSTKVYEIAAGNYFTCGVIADQSFLPVCWGLGFPTSLPIAVAPGFCKSTPCAPGFYEFSHESASCKYPNSRICMPCSDGCPAEMYQKTGCTLKSDRLCEYNCSNCYSADCFSNCSSSYSDAKTNERFWSMQLPVIIAEIAFAVFLVSVVSITAFLYVRYKLRDCQCAAKDSKSKKNSRSGSPFHKDNGKIRPDLDDLKIRRAQMFTYEELERATAGFEEVSVVGKGSFSCVFRGVLKDGTVVAVKRAIVSPNMQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGKNKALKEQLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVSDFGLSLLGPADSGSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEYGNIVEWAVPLIKSGEINGILDPVLKPPPDLEALKRIANVACKCVRMRGKERPSMDKVTTALERALALLMGSPCNEQPILPTEVVLGSSRLHKKSSQRSSNRSVDTDVVESEDQRFEFRAPSWITFPSVASSQRRKSSVSDVDADGKNLEARNLGNCGSGGDGLRSLEEEIGPASPQEKLFLQHNF >ONI35703 pep chromosome:Prunus_persica_NCBIv2:G1:45006251:45015750:1 gene:PRUPE_1G550700 transcript:ONI35703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35695 pep chromosome:Prunus_persica_NCBIv2:G1:45005025:45015750:1 gene:PRUPE_1G550700 transcript:ONI35695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRLQGLYWRHPWIVLDRGQLSTSSFFLLYSYLGVTTHKFFLVSWGIRFGPESEGYFSSSFSYVKLLFIIREAGSLQGKEQPAYKSAITILMDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35705 pep chromosome:Prunus_persica_NCBIv2:G1:45006240:45015172:1 gene:PRUPE_1G550700 transcript:ONI35705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35698 pep chromosome:Prunus_persica_NCBIv2:G1:45006928:45010323:1 gene:PRUPE_1G550700 transcript:ONI35698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35694 pep chromosome:Prunus_persica_NCBIv2:G1:45005025:45015722:1 gene:PRUPE_1G550700 transcript:ONI35694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRLQGLYWRHPWIVLDRGQLSTSSFFLLYSYLGVTTHKFFLVSWGIRFGPESEGYFSSSFSYVKLLFIIREAGSLQGKEQPAYKSAITILMDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35692 pep chromosome:Prunus_persica_NCBIv2:G1:45005025:45015612:1 gene:PRUPE_1G550700 transcript:ONI35692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRLQGLYWRHPWIVLDRGQLSTSSFFLLYSYLGVTTHKFFLVSWGIRFGPESEGYFSSSFSYVKLLFIIREAGSLQGKEQPAYKSAITILMDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35691 pep chromosome:Prunus_persica_NCBIv2:G1:45005025:45015706:1 gene:PRUPE_1G550700 transcript:ONI35691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRLQGLYWRHPWIVLDRGQLSTSSFFLLYSYLGVTTHKFFLVSWGIRFGPESEGYFSSSFSYVKLLFIIREAGSLQGKEQPAYKSAITILMDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35702 pep chromosome:Prunus_persica_NCBIv2:G1:45006241:45015706:1 gene:PRUPE_1G550700 transcript:ONI35702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35696 pep chromosome:Prunus_persica_NCBIv2:G1:45005025:45015615:1 gene:PRUPE_1G550700 transcript:ONI35696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRLQGLYWRHPWIVLDRGQLSTSSFFLLYSYLGVTTHKFFLVSWGIRFGPESEGYFSSSFSYVKLLFIIREAGSLQGKEQPAYKSAITILMDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35693 pep chromosome:Prunus_persica_NCBIv2:G1:45005025:45015612:1 gene:PRUPE_1G550700 transcript:ONI35693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRLQGLYWRHPWIVLDRGQLSTSSFFLLYSYLGVTTHKFFLVSWGIRFGPESEGYFSSSFSYVKLLFIIREAGSLQGKEQPAYKSAITILMDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35706 pep chromosome:Prunus_persica_NCBIv2:G1:45006240:45015172:1 gene:PRUPE_1G550700 transcript:ONI35706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35697 pep chromosome:Prunus_persica_NCBIv2:G1:45006251:45015582:1 gene:PRUPE_1G550700 transcript:ONI35697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35700 pep chromosome:Prunus_persica_NCBIv2:G1:45006150:45015630:1 gene:PRUPE_1G550700 transcript:ONI35700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35701 pep chromosome:Prunus_persica_NCBIv2:G1:45006242:45015582:1 gene:PRUPE_1G550700 transcript:ONI35701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35699 pep chromosome:Prunus_persica_NCBIv2:G1:45006094:45009795:1 gene:PRUPE_1G550700 transcript:ONI35699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI35704 pep chromosome:Prunus_persica_NCBIv2:G1:45006060:45015612:1 gene:PRUPE_1G550700 transcript:ONI35704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSIVDEASSPSSSSCSSNDEMYDVFLSFIGVDSRKTFMDHLYWTLKDARVDVFIAENKLRGPKLMQAIEQSRIAVIIFSSGYAFSIGCLQELEKIMECRRTLGQMVLPIFYHVDPKNVGKQIDSFPVNHHGVKDWEEMMRSWRKSLIEATNLSGLVYMKSEGYEGMLIRKIVDEITRKLKSTCVDVVTEQVELDSSIQEISNDLKVHVGKDSRLQEISARLDIGGPKYAHIQPQGPRPTAFKVNKASVKIKKRPPHAVVKCCRTVVIQANPSEFKSLVQRFTSTSSSPSSGDGGLSPAARLASINKTTLHSSSTSDHKKPLIPTISSHDEDFMGSICNNLKDLGRGEGGGGSLQIDETRHFPGLLSPAPASLPSIPHGFFSHQHDGALMPSPTGAGQIQKLSSSPYCLLARPKFPPSPR >ONI31698 pep chromosome:Prunus_persica_NCBIv2:G1:31027276:31029132:1 gene:PRUPE_1G325600 transcript:ONI31698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLYDGVKEILKIQKFRRIVSYAGFYCFTAVLSYAYASNTTRAGFSRGDQFYASYPAGTELLTDTAKLYKAALGNCFENEEWGPIEYCIMAKHFERQGKTPYAYHAQYMAHLLSHGQLDGSG >ONI31699 pep chromosome:Prunus_persica_NCBIv2:G1:31027890:31029132:1 gene:PRUPE_1G325600 transcript:ONI31699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLTFSIHGFFRCCIWCCRTRAGFSRGDQFYASYPAGTELLTDTAKLYKAALGNCFENEEWGPIEYCIMAKHFERQGKTPYAYHAQYMAHLLSHGQLDGSG >ONI30217 pep chromosome:Prunus_persica_NCBIv2:G1:25295332:25298198:-1 gene:PRUPE_1G238300 transcript:ONI30217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPNIHQQKQNQTNSHPKRSLFSSYPSPSFRFRLSVPSLFSVLTQKVEHMWQAQASKSSYGESIKALEADIQHANSLAAALPKDYGGNCIQMRLSYSPFAPIFLYFIEWMDYSCTDILPNYLGLLHIIVYKVYMDGMPSMSSKEQKVTLREFYAVIYPYLRQLEGEFNELEDNNNHNYNNKKSRCTDVLSRKKMEGWRKLSDKDQDRDDECGICMENCTKMVLPNCGHSMCITCFHNWNARSQSCPFCRDSLKRVSSRDLWVLTSNSDVIDTVTLARENLRRFYLYIENLPVVVPATHVVVYDYML >ONI30220 pep chromosome:Prunus_persica_NCBIv2:G1:25295331:25298129:-1 gene:PRUPE_1G238300 transcript:ONI30220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMPSMSSKEQKVTLREFYAVIYPYLRQLEGEFNELEDNNNHNYNNKKSRCTDVLSRKKMEGWRKLSDKDQDRDDECGICMENCTKMVLPNCGHSMCITCFHNWNARSQSCPFCRDSLKRVSSRDLWVLTSNSDVIDTVTLARENLRRFYLYIENLPVVVPATHVVVYDYML >ONI30218 pep chromosome:Prunus_persica_NCBIv2:G1:25295576:25298129:-1 gene:PRUPE_1G238300 transcript:ONI30218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSKLLKLTYNMPIASALPKDYGGNCIQMRLSYSPFAPIFLYFIEWMDYSCTDILPNYLGLLHIIVYKVYMDGMPSMSSKEQKVTLREFYAVIYPYLRQLEGEFNELEDNNNHNYNNKKSRCTDVLSRKKMEGWRKLSDKDQDRDDECGICMENCTKMVLPNCGHSMCITCFHNWNARSQSCPFCRDSLKRVSSRDLWVLTSNSDVIDTVTLARENLRRFYLYIENLPVVVPATHVVVYDYML >ONI30216 pep chromosome:Prunus_persica_NCBIv2:G1:25295576:25298129:-1 gene:PRUPE_1G238300 transcript:ONI30216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPNIHQQKQNQTNSHPKRSLFSSYPSPSFRFRLSVPSLFSVLTQKVEHMWQAQASKSSYGESIKALEADIQHANSLAAALPKDYGGNCIQMRLSYSPFAPIFLYFIEWMDYSCTDILPNYLGLLHIIVYKVYMDGMPSMSSKEQKVTLREFYAVIYPYLRQLEGEFNELEDNNNHNYNNKKSRCTDVLSRKKMEGWRKLSDKDQDRDDECGICMENCTKMVLPNCGHSMCITCFHNWNARSQSCPFCRDSLKRVSSRDLWVLTSNSDVIDTVTLARENLRRFYLYIENLPVVVPATHVVVYDYML >ONI30215 pep chromosome:Prunus_persica_NCBIv2:G1:25295576:25298129:-1 gene:PRUPE_1G238300 transcript:ONI30215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPNIHQQKQNQTNSHPKRSLFSSYPSPSFRFRLSVPSLFSVLTQKVEHMWQAQASKSSYGESIKALEADIQHANSLAAALPKDYGGNCIQMRLSYSPFAPIFLYFIEWMDYSCTDILPNYLGLLHIIVYKVYMDGMPSMSSKEQKVTLREFYDVLSRKKMEGWRKLSDKDQDRDDECGICMENCTKMVLPNCGHSMCITCFHNWNARSQSCPFCRDSLKRVSSRDLWVLTSNSDVIDTVTLARENLRRFYLYIENLPVVVPATHVVVYDYML >ONI30219 pep chromosome:Prunus_persica_NCBIv2:G1:25296254:25297282:-1 gene:PRUPE_1G238300 transcript:ONI30219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCRAAALPKDYGGNCIQMRLSYSPFAPIFLYFIEWMDYSCTDILPNYLGLLHIIVYKVYMDGMPSMSSKEQKVTLREFYAVIYPYLRQLEGEFNELEDNNNHNYNNKKSRCTDVLSRKKMEGWRKLSDKDQDRDDECGICMENCTKMVLPNCGHSMCITCFHNWNARSQSCPFCRDSLKRVSSRDLWVLTSNSDVIDTVTLARENLRRFYLYIENLPVVVPATHVVVYDYML >ONI31125 pep chromosome:Prunus_persica_NCBIv2:G1:29140633:29143243:1 gene:PRUPE_1G294100 transcript:ONI31125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTKVAGFEESEFGEAKVKQYKMEHYLNHFGGIKVDRIVGVFNFKGERLTSLIIAQPKLCRLKLFAFAATTILLLSVCAVQLSTLSDIMKPRVLMFRANSQVIAPPQPQTTETERDYENNGYLMVSSNGGLNQMRAGICDMVAIARFMNVTLIVPELDNTSFWNDRSRFEDIFDVDYFISSLRDEVRILKVLPHNQIRRVEIATLYSMPPASWSNMSYYDQVILPRIKKYGVLHFTKTDARLANNGIPKEVQELRCKANYKALRFTPPIEELGKKIVRILREKGPFLVLHLRYEMDMLAFSGCTEGCNEKEIEELTNMRFAYPWWKEKIIDSDKKRKAGSCPLTPEETALALRALDIDPGIQVYIAAGDIYGGERRMTSLRLAFPHMVKKESLLRASDLEPFRKHSNQMAALDYIVSLESDIFVPTYGGNMARVVEGHRRYLGFRTTIRVDRMLLVDLIDQYKNGTLSWDEFSQAVKTGHADRMGIPTQRLEIPGKPKEEENFYNNPEECLPPIPKKPKSP >ONI29444 pep chromosome:Prunus_persica_NCBIv2:G1:19086315:19093335:-1 gene:PRUPE_1G198500 transcript:ONI29444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSVAASLSALISSRKMREPKMKLLRALKLLLPFLVLHLHVAFSEVFFEERFEDGWKSRWVLSDWKRAEGKAGTFKYTAGKWSGDPDDKGIQTYNDAKHFAISAKVPEFSNKNRTLVIQYSIKFEQEIECGGGYIKLMSGYVNQKKFGGDTPYSFMFGPDLCGTQTKKLHVILSYQGQNYPVKKDLQCETDKLTHFYTFILRPDATYSVLVDNRERDSGSMYTDWDILPPRKIKDVNAKKPADWDDREYIIDPNDVKPEGYDSIPSQIPDPKAKEPEDWDDEENGIWRRPKIPNPAYKGPWKPKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVFDNILICDDPQYAKEVVEEIFSNREAEKEAFEEAEKQRRAREEEDAKRASEEGERRKRERDRQYGDRRRHKRHDPRDYLDDYHDEL >ONI34891 pep chromosome:Prunus_persica_NCBIv2:G1:41694981:41697666:1 gene:PRUPE_1G504200 transcript:ONI34891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMIVEISMGKHEKQLPTFPEFDIAGNSFGSECIDSSTTPIHTIPAHPNPLNINQNPKPKSKSYTQVATT >ONI31282 pep chromosome:Prunus_persica_NCBIv2:G1:29788302:29793513:1 gene:PRUPE_1G303800 transcript:ONI31282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETATRSSSAADSYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGPQIPPGDKVYEYILFRGSDIKVKSSPPVQPTLPPINNDPAIIQSHYSRPAPSTSSLPAPASGSLTDINPHTAQLGLPGPNFQGSLPLYQPGGNLASWGATPPPPSANGGGLAMPMYWQGYYGPPNGLPHLHQQSLLRPPPGLSMPSSMQQPLQYPNFNASLPTGTSNLPDVPSPLLPAASISSTSLSQSTLPTTLPPVPSTTLASETLPSSMPNKAPSSAPSVATLSANLPPISSLTTSSPDISTVVPPISNKPHAISGPTLPYQNISQATSSVVGTSSSLRTETLLPSLVTPGQLLQSGSAAVSSTQSLQTAHKDVEVVQVSSSTSSEPTVPVSAESQPPILPLPPPLRAGQKPNGAQFQNRHGYTYRGRERGRGSGSSRPITKFTEDFDFTAMNEKFNKDEVWGHLGKSNKPHPKDKDGDGNASDEDYIEDEDDVELSKVEIKPVYNKDDFFDTISCNAMNHEQNGRTRYSEQIKIDTETFGTFSRYRGGRGGRGPGRGGRGRGGYYGRGYGGYGGGYVGRGRGRAMPTRAP >ONI31281 pep chromosome:Prunus_persica_NCBIv2:G1:29788302:29793546:1 gene:PRUPE_1G303800 transcript:ONI31281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETATRSSSAADSYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGPQIPPGDKVYEYILFRGSDIKDLQVKSSPPVQPTLPPINNDPAIIQSHYSRPAPSTSSLPAPASGSLTDINPHTAQLGLPGPNFQGSLPLYQPGGNLASWGATPPPPSANGGGLAMPMYWQGYYGPPNGLPHLHQQSLLRPPPGLSMPSSMQQPLQYPNFNASLPTGTSNLPDVPSPLLPAASISSTSLSQSTLPTTLPPVPSTTLASETLPSSMPNKAPSSAPSVATLSANLPPISSLTTSSPDISTVVPPISNKPHAISGPTLPYQNISQATSSVVGTSSSLRTETLLPSLVTPGQLLQSGSAAVSSTQSLQTAHKDVEVVQVSSSTSSEPTVPVSAESQPPILPLPPPLRAGQKPNGAQFQNRHGYTYRGRERGRGSGSSRPITKFTEDFDFTAMNEKFNKDEVWGHLGKSNKPHPKDKDGDGNASDEDYIEDEDDVELSKPVYNKDDFFDTISCNAMNHEQNGRTRYSEQIKIDTETFGTFSRYRGGRGGRGPGRGGRGRGGYYGRGYGGYGGGYVGRGRGRAMPTRAP >ONI31280 pep chromosome:Prunus_persica_NCBIv2:G1:29788302:29793513:1 gene:PRUPE_1G303800 transcript:ONI31280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETATRSSSAADSYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGPQIPPGDKVYEYILFRGSDIKDLQVKSSPPVQPTLPPINNDPAIIQSHYSRPAPSTSSLPAPASGSLTDINPHTAQLGLPGPNFQGSLPLYQPGGNLASWGATPPPPSANGGGLAMPMYWQGYYGPPNGLPHLHQQSLLRPPPGLSMPSSMQQPLQYPNFNASLPTGTSNLPDVPSPLLPAASISSTSLSQSTLPTTLPPVPSTTLASETLPSSMPNKAPSSAPSVATLSANLPPISSLTTSSPDISTVVPPISNKPHAISGPTLPYQNISQATSSVVGTSSSLRTETLLPSLVTPGQLLQSGSAAVSSTQSLQTAHKDVEVVQVSSSTSSEPTVPVSAESQPPILPLPPPLRAGQKPNGAQFQNRHGYTYRGRERGRGSGSSRPITKFTEDFDFTAMNEKFNKDEVWGHLGKSNKPHPKDKDGDGNASDEDYIEDEDDVELSKVEIKPVYNKDDFFDTISCNAMNHEQNGRTRYSEQIKIDTETFGTFSRYRGGRGGRGPGRGGRGRGGYYGRGYGGYGGGYVGRGRGRAMPTRAP >ONI32522 pep chromosome:Prunus_persica_NCBIv2:G1:33832758:33833932:-1 gene:PRUPE_1G371100 transcript:ONI32522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCNKDDASVFCTADEAALCDTCDHRVHHANKLASKHQRFSLIHPSSKQFPVCDICQERRAFLFCQQDRAILCRECDLPVHAANEHTQKHSRFLLTGVKISATSTLYTSSSPPTPTISLKSADATVTDPKPQPLIKKSVSTSAPAISNPPSMSKNSTLTTNTANSNKGGGIFVAHDGVGCGSTSSISEYLIETLPGWHVEDFLDFSSGPLGFCKADNETVLPFMDADLESNLSSFSSEHMGIWVPQASNPLHQYSQMGGELIGLNKDGTNMKANNRTWRDDSFTVPQISTPSVGSRRSRPF >ONI32523 pep chromosome:Prunus_persica_NCBIv2:G1:33832435:33834269:-1 gene:PRUPE_1G371100 transcript:ONI32523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCNKDDASVFCTADEAALCDTCDHRVHHANKLASKHQRFSLIHPSSKQFPVCDICQERRAFLFCQQDRAILCRECDLPVHAANEHTQKHSRFLLTGVKISATSTLYTSSSPPTPTISLKSADATVTDPKPQPLIKKSVSTSAPAISNPPSMSKNSTLTTNTANSNKGGGIFVAHDGVGCGSTSSISEYLIETLPGWHVEDFLDFSSGPLGFC >ONI34031 pep chromosome:Prunus_persica_NCBIv2:G1:38477446:38482604:1 gene:PRUPE_1G459800 transcript:ONI34031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSPSFSVCSSYPLRRRRISTAPPRKPNLRRLTSRVVQLTRRRQLHQIMEEIEMAKERYGKLNTIVMNAVVEACVHCGDIDSALMVFDEMSDPGSCGVDTVTYGTLLKGLGRARRIDEAFQVLESVELGTAVGSPKLSAPLVFGLLNALVEAGDLRRANGLLAHYGFLLREGGSLTLTVYNLLMKGYINAGIPQGAITMHTEILRLGLKPERLTYNTLISACVKAGKLDMAMQFFEEMKDEAQKFSHGDLFPDVVTYTTLLKGFGHSKDINSVQKIILEMKTYIGLFIDRTAYTAAVDALLGCGSMKGALCILGEILKLAGGNPDLRPKPHLYLSFMRAFAVRGDYTTVENLKDHMWRDTAGTISSMVQEEADHLLMEAALNGGQVDVAIKCLENVITRWKRISWTSRGGMVALRVEALLGFTKSRLSPYLLPQVLTSEPIESVMMPFEAARPLNGTVHLNKVVMRFFRDSVVPIVDDWGSCIGVLHREDCREMNAPLSSMMRRPPPCATTRTSIGHVVDLIMKYRYKMVIVINHSSVYGGTASRLRAVGVFTAEQLCNLVTPETPGLKFSLGRNLINNLQSMKL >ONI26675 pep chromosome:Prunus_persica_NCBIv2:G1:2785268:2787702:1 gene:PRUPE_1G039200 transcript:ONI26675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPCCEKMGLKKGPWTSEEDQILVSFIQKYGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEEAIIKLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLKDKTSTSSPASSTSNVTSQSAEPENMISPQPSSSSSDVSSVTEVSAALTDDNHRDMITMKGENMELSETFPEIDDSFWSEALSADNSSIPLEFPEATNDQAQPQFPITENDSGELGFTFGPNMDDGMEFWYDLFIKAGHGDTPKLPEF >ONI26868 pep chromosome:Prunus_persica_NCBIv2:G1:3617551:3619127:-1 gene:PRUPE_1G051700 transcript:ONI26868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHHQNDPPSSLPSNPNPEPDPSLPCNGYHKISLPLLRRCMSDPCNPPSATAANPSFFDPSLSSASTCGGSLSNFTPPYSPDRHHCPKTPSHSPTHALPPLPPPHTFRRSVSDINPSPAKASSRFSTSSQDLGTDIDTPNSKRLRRMKDRLREMSHWCQQLMREEDLMEQDEDEQQQAVEEEAQVQAVDATDQDFHNNTGAAEDECEKEFAESVSVEKKDDCLVIHFRCHCDKAYQFLLSGGECYYKLM >ONI36286 pep chromosome:Prunus_persica_NCBIv2:G1:47127720:47133013:-1 gene:PRUPE_1G579200 transcript:ONI36286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPFIVLTFFSLLGTSCFASSLVSDFHVLVTLKHGFQFSELALSTWNSSSPRSVCSWAGIRCYRGRVVAVDLTDFNLFGSVSPLISGLDRLTDLSLAGNNFAGSIAIANFTNLQFLNISNNQFSGSLDWNYSSIANLEVFDAYNNNFTASLPLGILSLKKLRYLDLGGNFFNGKIPASYGNLASLEYLSIAGNDLNGEIPGDLGNLTNLREIYLGYYNVFEGGIPKEFGKLVNLVHMDLSSCELDGPIPRELGNLKALDTLYLHINLLSGSIPRQLGNLTNLVNLDLSNNALTGEIPFEFASLKQLKLFNLFMNRLHGSIPDYVADLPNLETLGLWMNNFTGIIPQKLGQNGKLQLLDLSSNKLTGKIPPNLCSSNQLRILILLKNFLLGPIPEALGACSSLTRVRLGQNYLNGSIPNGLIYLPLLSLAELQNNYLSGMLLENSNGSLEPAKLGQLNLADNLLSGPLPHSLSNFSSLQILLLGGNQFSGPIPPSIGQLHQVLKLDLSRNSLSGEIPPEIGNCFHLTYLDMSQNNLSGSIPREISSIHILNYLNISRNHLNQNIPRSIGTMKSLTIADFSFNDFSGKLPESGQFAFFNASAFAGNPHLCGSLLNNPCNFTAITNTPRKPPADFKLIFALGLLICSLVFAAAAIIKAKSFKRNGPDSWKMTSFQKLEFTIFDILECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLGFGPNSHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKGGFLGWNLRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSSFEAHVADFGLAKFLIDGGTSECMSAIAGSYGYIAPVLMFQIIDPRNEVA >ONI36282 pep chromosome:Prunus_persica_NCBIv2:G1:47127715:47133939:-1 gene:PRUPE_1G579200 transcript:ONI36282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPFIVLTFFSLLGTSCFASSLVSDFHVLVTLKHGFQFSELALSTWNSSSPRSVCSWAGIRCYRGRVVAVDLTDFNLFGSVSPLISGLDRLTDLSLAGNNFAGSIAIANFTNLQFLNISNNQFSGSLDWNYSSIANLEVFDAYNNNFTASLPLGILSLKKLRYLDLGGNFFNGKIPASYGNLASLEYLSIAGNDLNGEIPGDLGNLTNLREIYLGYYNVFEGGIPKEFGKLVNLVHMDLSSCELDGPIPRELGNLKALDTLYLHINLLSGSIPRQLGNLTNLVNLDLSNNALTGEIPFEFASLKQLKLFNLFMNRLHGSIPDYVADLPNLETLGLWMNNFTGIIPQKLGQNGKLQLLDLSSNKLTGKIPPNLCSSNQLRILILLKNFLLGPIPEALGACSSLTRVRLGQNYLNGSIPNGLIYLPLLSLAELQNNYLSGMLLENSNGSLEPAKLGQLNLADNLLSGPLPHSLSNFSSLQILLLGGNQFSGPIPPSIGQLHQVLKLDLSRNSLSGEIPPEIGNCFHLTYLDMSQNNLSGSIPREISSIHILNYLNISRNHLNQNIPRSIGTMKSLTIADFSFNDFSGKLPESGQFAFFNASAFAGNPHLCGSLLNNPCNFTAITNTPRKPPADFKLIFALGLLICSLVFAAAAIIKAKSFKRNGPDSWKMTSFQKLEFTIFDILECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLGFGPNSHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKGGFLGWNLRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSSFEAHVADFGLAKFLIDGGTSECMSAIAGSYGYIAPDYRSEERSSLMLSIKLPKKKEYAYTLKVDEKSDVYSFGVVLLELLTGRRPVGEFGEGVDIVQWSKKATNCRKEDVTSIVDPRLAISVPKDEAMHLFFIAMLCIQEHSVERPTMREVVQMLSEFPRHSPDYFQSSSSLATSQQPKNTEKDTKCAKFKQDILV >ONI36283 pep chromosome:Prunus_persica_NCBIv2:G1:47129702:47132610:-1 gene:PRUPE_1G579200 transcript:ONI36283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPFIVLTFFSLLGTSCFASSLVSDFHVLVTLKHGFQFSELALSTWNSSSPRSVCSWAGIRCYRGRVVAVDLTDFNLFGSVSPLISGLDRLTDLSLAGNNFAGSIAIANFTNLQFLNISNNQFSGSLDWNYSSIANLEVFDAYNNNFTASLPLGILSLKKLRYLDLGGNFFNGKIPASYGNLASLEYLSIAGNDLNGEIPGDLGNLTNLREIYLGYYNVFEGGIPKEFGKLVNLVHMDLSSCELDGPIPRELGNLKALDTLYLHINLLSGSIPRQLGNLTNLVNLDLSNNALTGEIPFEFASLKQLKLFNLFMNRLHGSIPDYVADLPNLETLGLWMNNFTGIIPQKLGQNGKLQLLDLSSNKLTGKIPPNLCSSNQLRILILLKNFLLGPIPEALGACSSLTRVRLGQNYLNGSIPNGLIYLPLLSLAELQNNYLSGMLLENSNGSLEPAKLGQLNLADNLLSGPLPHSLSNFSSLQILLLGGNQFSGPIPPSIGQLHQVLKLDLSRNSLSGEIPPEIGNCFHLTYLDMSQNNLSGSIPREISSIHILNYLNISRNHLNQNIPRSIGTMKSLTIADFSFNDFSGKLPESGQFAFFNASAFAGNPHLCGSLLNNPCNFTAITNTPRKPPADFKLIFALGLLICSLVFAAAAIIKAKSFKRNGPDSWKMTSFQKLEFTIFDILECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLGFGPNSHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKGGFLGWNLRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSSFEAHVADFGLAKFLIDGGTSECMSAIAGSYGYIAPDYRSEERSSLMLSIKLPKKKGDEISHCNNNQKKKNHKMAIHKLKNC >ONI36281 pep chromosome:Prunus_persica_NCBIv2:G1:47127715:47133939:-1 gene:PRUPE_1G579200 transcript:ONI36281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPFIVLTFFSLLGTSCFASSLVSDFHVLVTLKHGFQFSELALSTWNSSSPRSVCSWAGIRCYRGRVVAVDLTDFNLFGSVSPLISGLDRLTDLSLAGNNFAGSIAIANFTNLQFLNISNNQFSGSLDWNYSSIANLEVFDAYNNNFTASLPLGILSLKKLRYLDLGGNFFNGKIPASYGNLASLEYLSIAGNDLNGEIPGDLGNLTNLREIYLGYYNVFEGGIPKEFGKLVNLVHMDLSSCELDGPIPRELGNLKALDTLYLHINLLSGSIPRQLGNLTNLVNLDLSNNALTGEIPFEFASLKQLKLFNLFMNRLHGSIPDYVADLPNLETLGLWMNNFTGIIPQKLGQNGKLQLLDLSSNKLTGKIPPNLCSSNQLRILILLKNFLLGPIPEALGACSSLTRVRLGQNYLNGSIPNGLIYLPLLSLAELQNNYLSGMLLENSNGSLEPAKLGQLNLADNLLSGPLPHSLSNFSSLQILLLGGNQFSGPIPPSIGQLHQVLKLDLSRNSLSGEIPPEIGNCFHLTYLDMSQNNLSGSIPREISSIHILNYLNISRNHLNQNIPRSIGTMKSLTIADFSFNDFSGKLPESGQFAFFNASAFAGNPHLCGSLLNNPCNFTAITNTPRKPPADFKLIFALGLLICSLVFAAAAIIKAKSFKRNGPDSWKMTSFQKLEFTIFDILECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLGFGPNSHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKGGFLGWNLRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSSFEAHVADFGLAKFLIDGGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELLTGRRPVGEFGEGVDIVQWSKKATNCRKEDVTSIVDPRLAISVPKDEAMHLFFIAMLCIQEHSVERPTMREVVQMLSEFPRHSPDYFQSSSSLATSQQPKNTEKDTKCAKFKQDILV >ONI36285 pep chromosome:Prunus_persica_NCBIv2:G1:47129812:47132610:-1 gene:PRUPE_1G579200 transcript:ONI36285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPFIVLTFFSLLGTSCFASSLVSDFHVLVTLKHGFQFSELALSTWNSSSPRSVCSWAGIRCYRGRVVAVDLTDFNLFGSVSPLISGLDRLTDLSLAGNNFAGSIAIANFTNLQFLNISNNQFSGSLDWNYSSIANLEVFDAYNNNFTASLPLGILSLKKLRYLDLGGNFFNGKIPASYGNLASLEYLSIAGNDLNGEIPGDLGNLTNLREIYLGYYNVFEGGIPKEFGKLVNLVHMDLSSCELDGPIPRELGNLKALDTLYLHINLLSGSIPRQLGNLTNLVNLDLSNNALTGEIPFEFASLKQLKLFNLFMNRLHGSIPDYVADLPNLETLGLWMNNFTGIIPQKLGQNGKLQLLDLSSNKLTGKIPPNLCSSNQLRILILLKNFLLGPIPEALGACSSLTRVRLGQNYLNGSIPNGLIYLPLLSLAELQNNYLSGMLLENSNGSLEPAKLGQLNLADNLLSGPLPHSLSNFSSLQILLLGGNQFSGPIPPSIGQLHQVLKLDLSRNSLSGEIPPEIGNCFHLTYLDMSQNNLSGSIPREISSIHILNYLNISRNHLNQNIPRSIGTMKSLTIADFSFNDFSGKLPESGQFAFFNASAFAGNPHLCGSLLNNPCNFTAITNTPRKPPADFKLIFALGLLICSLVFAAAAIIKAKSFKRNGPDSWKMTSFQKLEFTIFDILECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLGFGPNSHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKGGFLGWNLRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSSFEAHVADFGLAKFLIDGGTSECMSAIAGSYGYIAPVLMFQIIDPRNEVA >ONI36284 pep chromosome:Prunus_persica_NCBIv2:G1:47127728:47133013:-1 gene:PRUPE_1G579200 transcript:ONI36284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPFIVLTFFSLLGTSCFASSLVSDFHVLVTLKHGFQFSELALSTWNSSSPRSVCSWAGIRCYRGRVVAVDLTDFNLFGSVSPLISGLDRLTDLSLAGNNFAGSIAIANFTNLQFLNISNNQFSGSLDWNYSSIANLEVFDAYNNNFTASLPLGILSLKKLRYLDLGGNFFNGKIPASYGNLASLEYLSIAGNDLNGEIPGDLGNLTNLREIYLGYYNVFEGGIPKEFGKLVNLVHMDLSSCELDGPIPRELGNLKALDTLYLHINLLSGSIPRQLGNLTNLVNLDLSNNALTGEIPFEFASLKQLKLFNLFMNRLHGSIPDYVADLPNLETLGLWMNNFTGIIPQKLGQNGKLQLLDLSSNKLTGKIPPNLCSSNQLRILILLKNFLLGPIPEALGACSSLTRVRLGQNYLNGSIPNGLIYLPLLSLAELQNNYLSGMLLENSNGSLEPAKLGQLNLADNLLSGPLPHSLSNFSSLQILLLGGNQFSGPIPPSIGQLHQVLKLDLSRNSLSGEIPPEIGNCFHLTYLDMSQNNLSGSIPREISSIHILNYLNISRNHLNQNIPRSIGTMKSLTIADFSFNDFSGKLPESGQFAFFNASAFAGNPHLCGSLLNNPCNFTAITNTPRKPPADFKLIFALGLLICSLVFAAAAIIKAKSFKRNGPDSWKMTSFQKLEFTIFDILECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLGFGPNSHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKGGFLGWNLRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSSFEAHVADFGLAKFLIDGGTSECMSAIAGSYGYIAPDYRSEERSSLMLSIKLPKKKGDEISHCNNNQKKKNHKMAIHKLKNC >ONI33119 pep chromosome:Prunus_persica_NCBIv2:G1:35704536:35707716:1 gene:PRUPE_1G406700 transcript:ONI33119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPARALTNPSHPSTNLSLLTCITSLLQTLDPQNPNPSNLSSAPLNQFSPHLNPSLVIQVIKSQPNPYHALFFFKWSSNPDPNPNNYSHTHNCYLAITELLLSHSLFSTASSLLQESNRLYDFMLGRFITTHGRRGDIRAAIDWFYKAKSIENGRCLFSYNAILGVLVRANRMNLAKAIYDQIVKEAQVNPNVWACTIMIRGFCKRGEIENAKKVFDEMTCEPNLITYNTMIHGFCLKGDFDSARRVFGQMRESEHCLPDTVTYTTLIDGYCKKGELKEAMECMKEMGKQGCEPNLFTYNALIHGFCLSGHVSEAKRMLTRMRLNGVKDNIATHTAILKGLCIVGKADEAVKHLQDIVNLGMKPDVEAYGVVFNEYCKMRKPDGAMSILREMRMRGLKPSVSSFNRLLKVLVENGDLERAIILLNKMNQMGCSPNFFSYNTVICSLCNLRGRMGEVEEFVGDMLWNGHKLDTVLYSCVIMGYCEDGNVNMAIQAFCGALDNNHIISLESFSILVKELCAKGMVLEAERIFEDMCNRCTVVDVDSYRSVLGKWVLDISSDPSSNGQVIKMNRMES >ONI33761 pep chromosome:Prunus_persica_NCBIv2:G1:37689993:37692087:-1 gene:PRUPE_1G445000 transcript:ONI33761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLYNKRSYVASEDKKLELRLGPPGEDQSLLSLGCIIINNNISHEHKRVCHETFKEKKEEREGKWLTNSAPSSQCQQNPKPSHFQCPMISKPCNPGVAEFHNSDKKACSDPAIASEFTNPAAAHGSDQKRIAQAAVVGWPPVRSSRKNLASCKSSFSKPPNSESPNEILQEGSSGKSDTNSKPHMFVKINMEGVPIGRKINLKAYDSYEKLSLAIDELFHGLLAAQRVCSDVEKEDKKGETKSITHGNGEYTLLYEDHEEDRMLVGDVPWNMFVSTAKRLRVLKSSELSTLKLSSSQNEKTPLDTPMEVGK >ONI33762 pep chromosome:Prunus_persica_NCBIv2:G1:37689993:37692087:-1 gene:PRUPE_1G445000 transcript:ONI33762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLYNKRSYVASEDKKLELRLGPPGEDQSLLSLGCIIINNNISHEHKRVCHETFKEKKEEREGKWLTNSAPSSQCQQNPKPSHFQCPMISKPCNPGVAEFHNSDKKACSDPAIASEFTNPAAAHGSDQKSKTRIAQAAVVGWPPVRSSRKNLASCKSSFSKPPNSESPNEILQEGSSGKSDTNSKPHMFVKINMEGVPIGRKINLKAYDSYEKLSLAIDELFHGLLAEDKKGETKSITHGNGEYTLLYEDHEEDRMLVGDVPWNMFVSTAKRLRVLKSSELSTLKLSSSQNEKTPLDTPMEVGK >ONI33763 pep chromosome:Prunus_persica_NCBIv2:G1:37690298:37691753:-1 gene:PRUPE_1G445000 transcript:ONI33763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLYNKRSYVASEDKKLELRLGPPGEDQSLLSLGCIIINNNISHEHKRVCHETFKEKKEEREGKWLTNSAPSSQCQQNPKPSHFQCPMISKPCNPGVAEFHNSDKKACSDPAIASEFTNPAAAHGSDQKSKTRIAQAAVVGWPPVRSSRKNLASCKSSFSKPPNSESPNEILQEGSSGKSDTNSKPHMFVKINMEGVPIGRKINLKAYDSYEKLSLAIDELFHGLLAAQRVCSDVEKEDKKGETKSITHGNGEYTLLYEDHEEDRMLVGDVPWNMFVSTAKRLRVLKSSELSTLKLSSSQNEKTPLDTPMEVGK >ONI28820 pep chromosome:Prunus_persica_NCBIv2:G1:13111003:13116041:1 gene:PRUPE_1G163200 transcript:ONI28820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSTALAKPIWMKQAEEARVKSEAEKAAAAKAAFEATFKDVDKNREKEVVAGSDSESEEAEDLANKPIGPVDPAKCTAAGAGIAGGTACAPSSFMVVTKDSDGRKVPHGGVQIKVKVIPGVGVGGSEQEGMVKDMGDGTYTVTYVVPKRGNYMVNVDCNGKAIMGSPFPVFFSAGTGTSTGGLLGLAPASTFPNLVNQTMPNMPNYSASVSGAFPGLLGMIPGIVPGASGGAILPGIGASLGEVCREYLSGRCAKTDSAMAAAQAIVAAQALQAHAAQVQAHAQSNKDSSGSPDKAGKADVLKKTLQVSNLSPLLTVEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEASAALQLNNMDVGGRPLNVEMAKSLPQKPAIMNSSMASSSLPMVMQQAVAMQQMQFQQALLMQQTMTAQQAANRAATMKTATELAAARAAEISKKLKADGVDIEEKETTEKSRSPSPHFAKSKSKSKSRSRSPINYRRRRKSPSYSPPSRYPRDRRSRSPLRSRHYSSYDNDRRSFRDIKNEGERTRRRDLDRSHDHHSTHYEKAKHRERRRSRSVSTDDKHHRRRLSPRSLDENKTKHRRRSRSKSVEDKHHPDDKTNEMRDEKTKHRDRRRSRSKSVEGKHHLKQVGEGRDKKSKHRDRRLSRSISPEAKHDIRHGSSPRNLDDVGEGRDKKSKHRDRRRSRSISPEGKHDRRHGSSPRSLDDNKLKHRRRSRSKSAERKHRSNDRAYKSRDEKEKGHRRRRSRSASLEPKRRRGRRLSPRSSDEKELKHRRRRSRSESSEGKHQINCGRDENGDNESKHLEDGNQEPGSVVNDLMKKIDGRVVISAQEDFGSKESMGNMETEDSLGMEKRSFVQDLDNEGGPAPRTSRVSLNVEELSKQEVSITQNLELGTSGLDNQNVLEKSADADDVSRTGSGKDYIMLKAQKRSSLTPNADMFSDDPSAEENL >ONI28819 pep chromosome:Prunus_persica_NCBIv2:G1:13111003:13117751:1 gene:PRUPE_1G163200 transcript:ONI28819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSTALAKPIWMKQAEEARVKSEAEKAAAAKAAFEATFKDVDKNREKEVVAGSDSESEEAEDLANKPIGPVDPAKCTAAGAGIAGGTACAPSSFMVVTKDSDGRKVPHGGVQIKVKVIPGVGVGGSEQEGMVKDMGDGTYTVTYVVPKRGNYMVNVDCNGKAIMGSPFPVFFSAGTGTSTGGLLGLAPASTFPNLVNQTMPNMPNYSASVSGAFPGLLGMIPGIVPGASGGAILPGIGASLGEVCREYLSGRCAKTDCKLNHPPHNLLMTALAATTSMSNVSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAHAQSNKDSSGSPDKAGKADVLKKTLQVSNLSPLLTVEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEASAALQLNNMDVGGRPLNVEMAKSLPQKPAIMNSSMASSSLPMVMQQAVAMQQMQFQQALLMQQTMTAQQAANRAATMKTATELAAARAAEISKKLKADGVDIEEKETTEKSRSPSPHFAKSKSKSKSRSRSPINYRRRRKSPSYSPPSRYPRDRRSRSPLRSRHYSSYDNDRRSFRDIKNEGERTRRRDLDRSHDHHSTHYEKAKHRERRRSRSVSTDDKHHRRRLSPRSLDENKTKHRRRSRSKSVEDKHHPDDKTNEMRDEKTKHRDRRRSRSKSVEGKHHLKQVGEGRDKKSKHRDRRLSRSISPEAKHDIRHGSSPRNLDDVGEGRDKKSKHRDRRRSRSISPEGKHDRRHGSSPRSLDDNKLKHRRRSRSKSAERKHRSNDRAYKSRDEKEKGHRRRRSRSASLEPKRRRGRRLSPRSSDEKELKHRRRRSRSESSEGKHQINCGRDENGDNESKHLEDGNQEPGSVVNDLMKKIDGRVVISAQEDFGSKESMGNMETEDSLGMEKRSFVQDLDNEGGPAPRTSRVSLNVEELSKQEVSITQNLELGTSGLDNQNVLEKSADADDVSRTGSGKDYIMLKAQKRSSLTPNADMFSDDPSAEENL >ONI28817 pep chromosome:Prunus_persica_NCBIv2:G1:13111003:13117751:1 gene:PRUPE_1G163200 transcript:ONI28817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSTALAKPIWMKQAEEARVKSEAEKAAAAKAAFEATFKDVDKNREKEVVAGSDSESEEAEDLANKPIGPVDPAKCTAAGAGIAGGTACAPSSFMVVTKDSDGRKVPHGGVQIKVKVIPGVGVGGSEQEGMVKDMGDGTYTVTYVVPKRGNYMVNVDCNGKAIMGSPFPVFFSAGTGTSTGGLLGLAPASTFPNLVNQTMPNMPNYSASVSGAFPGLLGMIPGIVPGASGGAILPGIGASLGEVCREYLSGRCAKTDCKLNHPPHNLLMTALAATTSMSNVSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAHAQSNKDSSGSPDKAGKADVLKKTLQVSNLSPLLTVEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEASAALQLNNMDVGGRPLNVEMAKSLPQKPAIMNSSMASSSLPMVMQQAVAMQQMQFQQALLMQQTMTAQQAANRAATMKTATELAAARAAEISKKLKADGVDIEEKETTEKSRSPSPHFAKSKSKSKSRSRSPINYRRRRKSPSYSPPSRYPRDRRSRSPLRSRHYSSYDNDRRSFRDIKNEGERTRRRDLDRSHDHHSTHYEKAKHRERRRSRSVSTDDKHHRRRLSPRSLDENKTKHRRRSRSKSVEDKHHPDDKTNEMRDEKTKHRDRRRSRSKSVEGKHHLKQVGEGRDKKSKHRDRRLSRSISPEAKHDIRHGSSPRNLDDVGEGRDKKSKHRDRRRSRSISPEGKHDRRHGSSPRSLDDNKLKHRRRSRSKSAERKHRSNDRAYKSRDEKEKGHRRRRSRSASLEPKRRRGRRLSPRSSDEKELKHRRRRSRSESSEGKHQINCGRDENGDNESKHLEDGNQEPGSVVNDLMKKIDGRVVISAQEDFGSKESMGNMETEDSLGMEKRSFVQDLDNEGGPAPRTSRVSLNVEELSKQEVSITQNLELGTSGLDNQNVLEKSADADDVSRTGSGKDYIMLKAQKRSSLTPNADMFSDDPSAEENL >ONI28818 pep chromosome:Prunus_persica_NCBIv2:G1:13111003:13117751:1 gene:PRUPE_1G163200 transcript:ONI28818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSTALAKPIWMKQAEEARVKSEAEKAAAAKAAFEATFKDVDKNREKEVVAGSDSESEEAEDLANKPIGPVDPAKCTAAGAGIAGGTACAPSSFMVVTKDSDGRKVPHGGVQIKVKVIPGVGVGGSEQEGMVKDMGDGTYTVTYVVPKRGNYMVNVDCNGKAIMGSPFPVFFSAGTGTSTGGLLGLAPASTFPNLVNQTMPNMPNYSASVSGAFPGLLGMIPGIVPGASGGAILPGIGASLGEVCREYLSGRCAKTDCKLNHPPHNLLMTALAATTSMSNVSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAHAQSNKDSSGSPDKAGKADVLKKTLQVSNLSPLLTVEQLKQLFSFCGTVVECTITDSKHFAYIEYSKPEEASAALQLNNMDVGGRPLNVEMAKSLPQKPAIMNSSMASSSLPMVMQQAVAMQQMQFQQALLMQQTMTAQQAANRAATMKTATELAAARAAEISKKLKADGVDIEEKETTEKSRSPSPHFAKSKSKSKSRSRSPINYRRRRKSPSYSPPSRYPRDRRSRSPLRSRHYSSYDNDRRSFRDIKNEGERTRRRDLDRSHDHHSTHYEKAKHRERRRSRSVSTDDKHHRRRLSPRSLDENKTKHRRRSRSKSVEDKHHPDDKTNEMRDEKTKHRDRRRSRSKSVEGKHHLKQVGEGRDKKSKHRDRRLSRSISPEAKHDIRHGSSPRNLDDVGEGRDKKSKHRDRRRSRSISPEGKHDRRHGSSPRSLDDNKLKHRRRSRSKSAERKHRSNDRAYKSRDEKEKGHRRRRSRSASLEPKRRRGRRLSPRSSDEKELKHRRRRSRSESSEGKHQINCGRDENGDNESKHLEDGNQEPGSVVNDLMKKIDGRVVISAQEDFGSKESMGNMETEDSLGMEKRSFVQDLDNEGGPAPRTSRVSLNVEELSKQEVSITQNLELGTSGLDNQNVLEKSADADDVSRTGSGKDYIMLKAQKRSSLTPNADMFSDDPSAEENL >ONI32566 pep chromosome:Prunus_persica_NCBIv2:G1:33968808:33971913:1 gene:PRUPE_1G373600 transcript:ONI32566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFQNLSNQNLLNPSYAGDEKLASYPEPPANMMMYLNQASYAAGSYSEVLSGGSFSPHKYADSDGGRNEMMFIPPTSDQARDCVTGDSLIGKHNIQDHGLSLSLASTQIPPAVSLPSFQYQYPNPSLSSVLCTSPMLGKGEIYCKGDEYNQSEEFKNFESLTSGFYGGGGHETVKTQSLYNPMCSVGSKEMHSETYLYDSLGSASTMLSSKYLKAAQQLLDEVVNVRKALKQSRLNKHQNSKRIGLDGSKETDGNDQHLPRSSDPSESSTISTLELSPAERQDLQNKKTKLLSMLDEVDRRYKQYYQQMQVVVSYFDKVAGNGAAGPYTALALQAISRHFRSLRDAIKGQIQVTRKRLGEQDSSSDGQGGVIPRLRYVDQQLRQQRAFQQLGGMQHAWRPQRGLPESSVAILRAWLFEHFLLPYPKDSEKVMLARQTGLTRNQVANWFINARVRLWKPMIEEMYKEEFGDLDMDSKSSPENVLKEEARAEFSASEDRKEELQESMKSATADSNEPGQVQKSGHSLNHNAVATYDMSGLDQFAVGSNEVSLALNLRHCEEHDGFPSSTVSHVRVHDDAAASLDCHYEDPEQQQLIQVWRYPPVT >ONI32564 pep chromosome:Prunus_persica_NCBIv2:G1:33968413:33971923:1 gene:PRUPE_1G373600 transcript:ONI32564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFQNLSNQNLLNPSYAGDEKLASYPEPPANMMMYLNQASYAAGSYSEVLSGGSFSPHKYADSDGGRNEMMFIPPTSDQARDCVTGDSLIGKHNIQDHGLSLSLASTQIPPAVSLPSFQYQYPNPSLSSVLCTSPMLGKGEIYCKGDEYNQSEEFKNFESLTSGFYGGGGHETVKTQSLYNPMCSVGSKEMHSETYLYDSLGSASTMLSSKYLKAAQQLLDEVVNVRKALKQSRLNKHQNSKRIGLDGSKETDGNDQHLPRSSDPSESSTISTLELSPAERQDLQNKKTKLLSMLDEVDRRYKQYYQQMQVVVSYFDKVAGNGAAGPYTALALQAISRHFRSLRDAIKGQIQVTRKRLGEQDSSSDGQGGVIPRLRYVDQQLRQQRAFQQLGGMQHAWRPQRGLPESSVAILRAWLFEHFLLPYPKDSEKVMLARQTGLTRNQVANWFINARVRLWKPMIEEMYKEEFGDLDMDSKSSPENVLKEEARAEFSASEDRKEELQESMKSATADSNEPGQVQKSGHSLNHNAVATYDMSGLDQFAVGSNEVSLALNLRHCEEHDGFPSSTVSHVRVHDDAAASLDCHYEDPEQQQLIQVWRYPPVT >ONI32567 pep chromosome:Prunus_persica_NCBIv2:G1:33968982:33971902:1 gene:PRUPE_1G373600 transcript:ONI32567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFQNLSNQNLLNPSYAGDEKLASYPEPPANMMMYLNQASYAAGSYSEVLSGGSFSPHKYADSDGGRNEMMFIPPTSDQARDCVTGDSLIGKHNIQDHGLSLSLASTQIPPAVSLPSFQYQYPNPSLSSVLCTSPMLGKGEIYCKGDEYNQSEEFKNFESLTSGFYGGGGHETVKTQSLYNPMCSVGSKEMHSETYLYDSLGSASTMLSSKYLKAAQQLLDEVVNVRKALKQSRLNKHQNSKRIGLDGSKETDGNDQHLPRSSDPSESSTISTLELSPAERQDLQNKKTKLLSMLDEVDRRYKQYYQQMQVVVSYFDKVAGNGAAGPYTALALQAISRHFRSLRDAIKGQIQVTRKRLGEQDSSSDGQGGVIPRLRYVDQQLRQQRAFQQLGGMQHAWRPQRGLPESSVAILRAWLFEHFLLPYPKDSEKVMLARQTGLTRNQVANWFINARVRLWKPMIEEMYKEEFGDLDMDSKSSPENVLKEEARAEFSASEDRKEELQESMKSATADSNEPGQVQKSGHSLNHNAVATYDMSGLDQFAVGSNEVSLALNLRHCEEHDGFPSSTVSHVRVHDDAAASLDCHYEDPEQQQLIQVWRYPPVT >ONI32565 pep chromosome:Prunus_persica_NCBIv2:G1:33968420:33971925:1 gene:PRUPE_1G373600 transcript:ONI32565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFQNLSNQNLLNPSYAGDEKLASYPEPPANMMMYLNQASYAAGSYSEVLSGGSFSPHKYADSDGGRNEMMFIPPTSDQARDCVTGDSLIGKHNIQDHGLSLSLASTQIPPAVSLPSFQYQYPNPSLSSVLCTSPMLGKGEIYCKGDEYNQSEEFKNFESLTSGFYGGGGHETVKTQSLYNPMCSVGSKEMHSETYLYDSLGSASTMLSSKYLKAAQQLLDEVVNVRKALKQSRLNKHQNSKRIGLDGSKETDGNDQHLPRSSDPSESSTISTLELSPAERQDLQNKKTKLLSMLDEVDRRYKQYYQQMQVVVSYFDKVAGNGAAGPYTALALQAISRHFRSLRDAIKGQIQVTRKRLGEQDSSSDGQGGVIPRLRYVDQQLRQQRAFQQLGGMQHAWRPQRGLPESSVAILRAWLFEHFLLPYPKDSEKVMLARQTGLTRNQVANWFINARVRLWKPMIEEMYKEEFGDLDMDSKSSPENVLKEEARAEFSASEDRKEELQESMKSATADSNEPGQVQKSGHSLNHNAVATYDMSGLDQFAVGSNEVSLALNLRHCEEHDGFPSSTVSHVRVHDDAAASLDCHYEDPEQQQLIQVWRYPPVT >ONI28418 pep chromosome:Prunus_persica_NCBIv2:G1:11138777:11141496:1 gene:PRUPE_1G141700 transcript:ONI28418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTDKPADLETAKEVPPPPKGAAYGSTPPPPKHDYFFVVDVVLRFLVFAASLTSVLVIVISKQTVHLGPRISVAKFNHSPAFIYFVAALSVAGLYAILTTLASLSVIWKPILSTKFLLHFVFLDVLVLGLVASATGTAGGVAYIGYKGNEHTQWQKVCSTFDKFCQHVVGALATSLFASVLLVLLVWLSTFTLHRKIPK >ONI28419 pep chromosome:Prunus_persica_NCBIv2:G1:11138861:11140625:1 gene:PRUPE_1G141700 transcript:ONI28419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTDKPADLETAKEVPPPPKGAAYGSTPPPPKHDYFFVVDVVLRFLVFAASLTSVLVIVISKQTVHLGPRISVAKFNHSPAFIYFVAALSVAGLYAILTTLASLSVIWKPILSTKFLLHFVFLDVVSSNLFSYMYQQHKQFT >ONI28089 pep chromosome:Prunus_persica_NCBIv2:G1:9615920:9616800:-1 gene:PRUPE_1G122500 transcript:ONI28089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSRKAHRNGIKKPQRHRHTSTKGMDPKFLRNQRYARKHNNTKNESATEEE >ONI28090 pep chromosome:Prunus_persica_NCBIv2:G1:9615920:9616509:-1 gene:PRUPE_1G122500 transcript:ONI28090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSRKAHRNGIKKPQRHRHTSTKGMDPKFLRNQRYARKHNNTKNESATEEE >ONI35362 pep chromosome:Prunus_persica_NCBIv2:G1:43486877:43493115:-1 gene:PRUPE_1G531900 transcript:ONI35362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTASVRLLYEWRSHSNRRCHNPDACLVGKGRVHMVGINFPPHVLRQGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI35358 pep chromosome:Prunus_persica_NCBIv2:G1:43486878:43493115:-1 gene:PRUPE_1G531900 transcript:ONI35358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTASVRLLYEWRSHSNRRCHNPDACLVGKGRVHMVGINFPPHVLGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI35361 pep chromosome:Prunus_persica_NCBIv2:G1:43486907:43492228:-1 gene:PRUPE_1G531900 transcript:ONI35361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTASVRLLYEWRSHSNRRCHNPDACLVGKGRVHMVGINFPPHVLRQGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI35359 pep chromosome:Prunus_persica_NCBIv2:G1:43486657:43493156:-1 gene:PRUPE_1G531900 transcript:ONI35359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTASVRLLYEWRSHSNRRCHNPDACLVGKGRVHMVGINFPPHVLGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI35360 pep chromosome:Prunus_persica_NCBIv2:G1:43486907:43492228:-1 gene:PRUPE_1G531900 transcript:ONI35360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTASVRLLYEWRSHSNRRCHNPDACLVGKGRVHMVGINFPPHVLRQGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI35356 pep chromosome:Prunus_persica_NCBIv2:G1:43486657:43493196:-1 gene:PRUPE_1G531900 transcript:ONI35356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTASVRLLYEWRSHSNRRCHNPDACLVGKGRVHMVGINFPPHVLGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI35366 pep chromosome:Prunus_persica_NCBIv2:G1:43486878:43493115:-1 gene:PRUPE_1G531900 transcript:ONI35366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGINFPPHVLGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI35367 pep chromosome:Prunus_persica_NCBIv2:G1:43486657:43493115:-1 gene:PRUPE_1G531900 transcript:ONI35367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGINFPPHVLRQGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI35363 pep chromosome:Prunus_persica_NCBIv2:G1:43486907:43492228:-1 gene:PRUPE_1G531900 transcript:ONI35363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTASVRLLYEWRSHSNRRCHNPDACLVGKGRVHMVGINFPPHVLRQGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI35365 pep chromosome:Prunus_persica_NCBIv2:G1:43486907:43492197:-1 gene:PRUPE_1G531900 transcript:ONI35365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEVTVTVDAIIQMLYCLVGKGRVHMVGINFPPHVLRQGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI35364 pep chromosome:Prunus_persica_NCBIv2:G1:43486907:43492197:-1 gene:PRUPE_1G531900 transcript:ONI35364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEVTVTVDAIIQMLYCLVGKGRVHMVGINFPPHVLGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI35357 pep chromosome:Prunus_persica_NCBIv2:G1:43486878:43493115:-1 gene:PRUPE_1G531900 transcript:ONI35357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTASVRLLYEWRSHSNRRCHNPDACLVGKGRVHMVGINFPPHVLGACSLNLLSSVRGPIGPVSSRCNVFLCRSVLVPGGGNGVPLLKSAAVVLTRSYDALRGSPVLLKLIPAVAIIAFAVWGLGPLLRLGRIIFLQRNDSTWNKSRSHYVMNSYLRPLLLWTGATLMCRALDPVVLPSEASQAVKQRLINFVQSLSTVLAFAYCLSSLNQQAQKFFTETSDPSDSRNMGFNFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVIKINTIVADMRKVLAKNSQVEQQRLHRRVFLDNINPDNQALMILVSCFVKTSHFEEYLCVKEAILLDLLRVVSHHRARLATPIRTVQKYYSEADLENVPFADTIFTHSRASNNRPYLLIEPSYKISSDDKSKASSRPTRTNGDKQAQAEASSTSDSKGSDAKAGATLTHAQTDNKVAATSSSNSSTNSKTSEMPTSEPQTRNSASDGSVRSNSEMLQSKNESTKNAGKETTGVDSKDASPPKMTSKKSLVASPETGSEKADVPLAPLQAKHDGEKPVSSPSIARPPLEENIILGVALEGSKRTLPIEEEDMAPSLAAESKELTAHRNGGGSPPVGTDVKDG >ONI28296 pep chromosome:Prunus_persica_NCBIv2:G1:10712195:10714924:1 gene:PRUPE_1G136400 transcript:ONI28296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKPHVRLSATQGTPGKLALLSSSSLAGSLPIRAPPTPDSDSDSDSEFNGHDLGYSSSCNISSIGCESGSESEAFVTGEEFETASERPLVADPDEEALEQSDSVEKYELSCPSVAYPDEFEPVFEEVMVDDAISERVMPIAQLSWESDDNDVVGSEEVLSEVENGDFLGQISIAPRVKVSEVEEGEEDEAVKWEEPLVVEQSEPAIEVNNVEGVEGSDLSNFGKGQNGDSDQGEWIEDNGLAMTETNEANAEELRINVFEEEEKAKYVAEESFVHCPNSKRNGVDLGLEWSENQTLNLENCDLSELTKDEEFENALCSDLLKSVTLNSLSVTLLCENVAENAGAVKFQGDIVYGAKVEVSLKEKDVPAEKEQTSFVLLGENISITPGVMVVEEGEEYGPVLRKESFVIKQSEPSIELNCVECSDFKGQNGDSIQAEMIEDNDLAAAEINDNFNVWEGGEKATYVAEESIVHCPNNKRDGVDTSRSFEALEKIMHSGTDFGVEKNENQIQEIVYLGNGDLSGATDLDRFEYALCCDFLKLITPSSNVTHKHIFRGQTEVRNFNIDGTAASLTVTHSDENVVTGLKIEDQMAIGKHLVLAGSAGALQFEGETAHGAKLEVRLKNKDCPKRQDQTGLGLSLMKWGGGLVLTADILSLFSVGGSKMAVHVVLNNKQRGQIAIRTSNSDKFQSALVGILSFAASIFGIFRPRFGVQNST >ONI31417 pep chromosome:Prunus_persica_NCBIv2:G1:30252134:30254595:1 gene:PRUPE_1G311900 transcript:ONI31417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPDEGNPLDLNNLPDDHFSRDGKQVVEDSFSVAGYRKKKSGAKDGKDECGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNKARQLVFSNDNLAAPPPPHLGCCHPITPAGYHHPAAAGNNIGGDPTLPLRFPARMFSGSSSSTLVPPPPPPAVPQPGVQPPQQPYLYSSPSRPMFPASHYPHHQHSVNDYYVGHVLSSTSSSSPSQYGHGHPNVNYGASPPEPANYTCIGAPVGPGGGGGGGGGRDGSLHMNQEEGLNWSRSYAGTQQRLDPPPSINRFQPGF >ONI28587 pep chromosome:Prunus_persica_NCBIv2:G1:11769237:11778613:1 gene:PRUPE_1G150000 transcript:ONI28587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVQISIWDSVVELTKVAQQKGSDPLLWVIQLSSNLKSRGVSMPSVELANVLVSYIFWDNNVPITWKFLEKALMLKMVPPMLVLALLSTRVIPCRRSQPVAYRLYIELLKRHIFTLKSQIKGPNYQITMKSIDSILHLSWIFGLPASDPGILVVEFLFSIVWQLLDASLDDEGLLNCTPEKKSKWAIEPQEMEIDCHDSYYGKRNEHYEILQESNTVMAIEIIGQFLQNKVTSRILYLARRNLAAHWTSFIQRLQLLGLNSLALRNSKILTPEALLQLTSDSHIVLPRECKTASSQKYLAVMASGSLASFAGLCHGNSRSALWLPLDLVLEDAMDGYQVDATSSVETITGLVKTFQAINGTSWHDTFLGLWIAALRLVQRERDPIEGPVPRLDTRLCMLLCITTLVVSDLIEEEEIAPTNETEYGSVNCWKEKEVPGKRRYDLVSSLQMLGDYQGLLTPPQSVVSAANQAAAKAMLILSGVSIGSAYFECISMKDMPINFSGNLRHLIVEACIARNLLETSAYSWPGYVNGRINQLPHGVPTQVPGWSSFMLGATLTPLVVNALVSSPASSLAELEKVFEIAVNGSDDEKISAATIFCGASLIRGWNIQEHTAHFIIRLLSPPVPADYSGGDSHLIGYAPMLNVLIVGIASVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPNVPWTLTTGEEISAHAVFSNAFTLLLKLWRFNHPPLEHGVGDVPTVASRLTPEYLLSVRNSYLVSSGSAHQDRNKRRLSTVASSSSPEPVFVDSFPKLKVWYRQHQACIASTLSGLVHGTPVHQIVDGLLNMMFTKISRGSQSLTSVNSPSSSSSGPGNEDNSLRPKLPAWDILEAVPFVVDAALTACAHGKLSPRELATGLKDLADFLPASLATIVSYFSAEVTRGIWKPVFMNGTDWPSPAVNLSHVEEQIKKILAATGVHVPSLAPGGSSPATLPLPLAAFVSLTITYKVDRASERFLSLAGPTLECLAAGCPWPCMAIVASLWTQKAKRWSDFLVFSASRTVFLQNGDSMVQLLKSCFTATLGLNATPISSNGGVGALLGHGFGSHFCGGISPVAPGILYLRMYRSITDIVFMTEEILTILMHSVREIACTALSKERLQKLKTTKNEMRYEQVSLAAAMSRVKLAASLGASLVWLTGGLCLVQSLIKETLPSWFISMHWSEQGEGSEGMVAMLGGYALAYFAVLCGAFAWGVDSSSSASKRRPKILGTHMEFLASALDGKISLGCDSATWRAYVSGFVTLMVGCTPKWVLEVDVHVLKRLSNGLRQWNEEELALALLGIGGVGTMGAAAELIVENEM >ONI28588 pep chromosome:Prunus_persica_NCBIv2:G1:11769237:11778613:1 gene:PRUPE_1G150000 transcript:ONI28588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIDSILHLSWIFGLPASDPGILVVEFLFSIVWQLLDASLDDEGLLNCTPEKKSKWAIEPQEMEIDCHDSYYGKRNEHYEILQESNTVMAIEIIGQFLQNKVTSRILYLARRNLAAHWTSFIQRLQLLGLNSLALRNSKILTPEALLQLTSDSHIVLPRECKTASSQKYLAVMASGSLASFAGLCHGNSRSALWLPLDLVLEDAMDGYQVDATSSVETITGLVKTFQAINGTSWHDTFLGLWIAALRLVQRERDPIEGPVPRLDTRLCMLLCITTLVVSDLIEEEEIAPTNETEYGSVNCWKEKEVPGKRRYDLVSSLQMLGDYQGLLTPPQSVVSAANQAAAKAMLILSGVSIGSAYFECISMKDMPINFSGNLRHLIVEACIARNLLETSAYSWPGYVNGRINQLPHGVPTQVPGWSSFMLGATLTPLVVNALVSSPASSLAELEKVFEIAVNGSDDEKISAATIFCGASLIRGWNIQEHTAHFIIRLLSPPVPADYSGGDSHLIGYAPMLNVLIVGIASVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPNVPWTLTTGEEISAHAVFSNAFTLLLKLWRFNHPPLEHGVGDVPTVASRLTPEYLLSVRNSYLVSSGSAHQDRNKRRLSTVASSSSPEPVFVDSFPKLKVWYRQHQACIASTLSGLVHGTPVHQIVDGLLNMMFTKISRGSQSLTSVNSPSSSSSGPGNEDNSLRPKLPAWDILEAVPFVVDAALTACAHGKLSPRELATGLKDLADFLPASLATIVSYFSAEVTRGIWKPVFMNGTDWPSPAVNLSHVEEQIKKILAATGVHVPSLAPGGSSPATLPLPLAAFVSLTITYKVDRASERFLSLAGPTLECLAAGCPWPCMAIVASLWTQKAKRWSDFLVFSASRTVFLQNGDSMVQLLKSCFTATLGLNATPISSNGGVGALLGHGFGSHFCGGISPVAPGILYLRMYRSITDIVFMTEEILTILMHSVREIACTALSKERLQKLKTTKNEMRYEQVSLAAAMSRVKLAASLGASLVWLTGGLCLVQSLIKETLPSWFISMHWSEQGEGSEGMVAMLGGYALAYFAVLCGAFAWGVDSSSSASKRRPKILGTHMEFLASALDGKISLGCDSATWRAYVSGFVTLMVGCTPKWVLEVDVHVLKRLSNGLRQWNEEELALALLGIGGVGTMGAAAELIVENEM >ONI33699 pep chromosome:Prunus_persica_NCBIv2:G1:37484647:37491267:-1 gene:PRUPE_1G441500 transcript:ONI33699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSSIATLTLTRFSSSYFNRISKRFSSRAPTNTHNRPQMSFSSSSPTEPKQSEKISAPYGSWKSQITADVVSGASKRLGGIAVDSLGRLIWLESRPSESGRAVLVREPDRPEGESVDITPKDYAVRTLAQEYGGGAFSVSGDTLIFSNYKDQRLYKQSLSSNDSSPVPLTPDYGGPVVSYADGVFDARLNRFVTVQEDRRQSSTNSTTTIVTVGLDGKNIREPEVLVGGTDFYAFPRLDPKGERLAWIEWCHPNMPWDQAQLWVGYISDSGEVHKRICVAGSDPTIKESPIEPKWSSKGELYFITDRKSGYWNLYKWVESDNEVISVYSLDAEFSKPLWVFGINSYEFIQSHEQKNVIACSYRQNGRSYLGILDDAQGSLSLLNIPFTDIDNITLGINCLYVEGASEVDPLSVAKVTLDEDKSKAVDFKIIWSSSPDCLKYKSYFSLPELIEFPTEVPGQTAFAYFYPPSNPNYQATHDEKPPMLLKSHGGPTAESRGILNLSVQYWTSRGWAYVDVNYGGSTGYGREYRERLLKKWGIVDVDDCCSCAKYLVGSGKADGERLCITGGSAGGYTTLAALAFRDTFKAGASLYGVADLNMLRAETHKFESHYIDNLVGSEKDYYDRSPINFADKFSCPIILFQGLEDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGRFKVADEINPIKIDNFD >ONI32432 pep chromosome:Prunus_persica_NCBIv2:G1:33640383:33649364:-1 gene:PRUPE_1G367600 transcript:ONI32432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLVVVDVVEEEPVVIDNISIPVDTSKPNPNGLEYDNLYLDMNGIIHPCFHPEDRPSPSTFDEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFETEGRKLPPKQQSQVVDSNVITPGTQFMAVLSVALQYYVHLRLNNDPGWKNVKVILSDANVPGEGEHKVMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQMGHLAANCEGKAKRKAGEFDEKADGEAVAKKPYQFLNIWTLREYLELEMQIPNSPIEIDSERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLFAVYKKEFRALGGYLTDGSKRQADRIKREKGQLRRGDDARPEVQPDSLVAVSRFHGSRLASGHSPSPYQQSGKFNGQANPRKVARLSLEGSTIGAAIVEAENSLEGDVDGNKEELKAKLKEVLREKSDLFNSGNGDEDKVKLGEPGWKDRYYEEKFSAKTPEEREAIRKDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLDQLNISFDLGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPVDFEVDMNGKRFAWQGIAKLPFIDEARLLAEVEKLEHTLTEEETRRNSVMFEMLFVTSSHPLSVCIYSLDNRCKQLTDKERVEVKEQIDPKYRDACSEGMNGYISPCTGEPCPPVFRSPVESMEDIMDNQVICAIYRLPDGHKHITRPPAGVIFPKKIVSVGDLKPAPVLWHEESGRKPFENARRPFENARRPFDNARQNPPGSISGRHLEEAAHRLIVNSLQPKVDSNGYGNQMHALRPSYVGPPNYPPHHSYTNNGSYAQGHSRMPPPRTIHHPQAQHRASNVNAIPRHGDPAYNDRHSSSAAHHHQNSSHTQHYERSSGQGYHHSGNHQSGGPIYPQRPIPQAPPGVTPVPYQGGYNNYQNYQPSGPPAQGFDGWVPQVSQNPGRGYGRPQQSGNQYSGLDRRGHRRPPPPQPGYGHK >ONI32433 pep chromosome:Prunus_persica_NCBIv2:G1:33640383:33649364:-1 gene:PRUPE_1G367600 transcript:ONI32433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLVVVDVVEEEPVVIDNISIPVDTSKPNPNGLEYDNLYLDMNGIIHPCFHPEDRPSPSTFDEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFETEGRKLPPKQQSQVVDSNVITPGTQFMAVLSVALQYYVHLRLNNDPGWKNVKVILSDANVPGEGEHKVMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQMGHLAANCEGKAKRKAGEFDEKADGEAVAKKPYQFLNIWTLREYLELEMQIPNSPIEIDSERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLFAVYKKEFRALGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFQKRARLHQRQADRIKREKGQLRRGDDARPEVQPDSLVAVSRFHGSRLASGHSPSPYQQSGKFNGQANPRKVARLSLEGSTIGAAIVEAENSLEGDVDGNKEELKAKLKEVLREKSDLFNSGNGDEDKVKLGEPGWKDRYYEEKFSAKTPEEREAIRKDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLDQLNISFDLGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPVDFEVDMNGKRFAWQGIAKLPFIDEARLLAEVEKLEHTLTEEETRRNSVMFEMLFVTSSHPLSVCIYSLDNRCKQLTDKERVEVKEQIDPKYSEGMNGYISPCTGEPCPPVFRSPVESMEDIMDNQVICAIYRLPDGHKHITRPPAGVIFPKKIVSVGDLKPAPVLWHEESGRKPFENARRPFENARRPFDNARQNPPGSISGRHLEEAAHRLIVNSLQPKVDSNGYGNQMHALRPSYVGPPNYPPHHSYTNNGSYAQGHSRMPPPRTIHHPQAQHRASNVNAIPRHGDPAYNDRHSSSAAHHHQNSSHTQHYERSSGQGYHHSGNHQSGGPIYPQRPIPQAPPGVTPVPYQGGYNNYQNYQPSGPPAQGFDGWVPQVSQNPGRGYGRPQQSGNQYSGLDRRGHRRPPPPQPGYGHK >ONI32431 pep chromosome:Prunus_persica_NCBIv2:G1:33640383:33649364:-1 gene:PRUPE_1G367600 transcript:ONI32431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLVVVDVVEEEPVVIDNISIPVDTSKPNPNGLEYDNLYLDMNGIIHPCFHPEDRPSPSTFDEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFETEGRKLPPKQQSQVVDSNVITPGTQFMAVLSVALQYYVHLRLNNDPGWKNVKVILSDANVPGEGEHKVMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQMGHLAANCEGKAKRKAGEFDEKADGEAVAKKPYQFLNIWTLREYLELEMQIPNSPIEIDSERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLFAVYKKEFRALGGYLTDGSKRQADRIKREKGQLRRGDDARPEVQPDSLVAVSRFHGSRLASGHSPSPYQQSGKFNGQANPRKVARLSLEGSTIGAAIVEAENSLEGDVDGNKEELKAKLKEVLREKSDLFNSGNGDEDKVKLGEPGWKDRYYEEKFSAKTPEEREAIRKDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLDQLNISFDLGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPVDFEVDMNGKRFAWQGIAKLPFIDEARLLAEVEKLEHTLTEEETRRNSVMFEMLFVTSSHPLSVCIYSLDNRCKQLTDKERVEVKEQIDPKYSEGMNGYISPCTGEPCPPVFRSPVESMEDIMDNQVICAIYRLPDGHKHITRPPAGVIFPKKIVSVGDLKPAPVLWHEESGRKPFENARRPFENARRPFDNARQNPPGSISGRHLEEAAHRLIVNSLQPKVDSNGYGNQMHALRPSYVGPPNYPPHHSYTNNGSYAQGHSRMPPPRTIHHPQAQHRASNVNAIPRHGDPAYNDRHSSSAAHHHQNSSHTQHYERSSGQGYHHSGNHQSGGPIYPQRPIPQAPPGVTPVPYQGGYNNYQNYQPSGPPAQGFDGWVPQVSQNPGRGYGRPQQSGNQYSGLDRRGHRRPPPPQPGYGHK >ONI32434 pep chromosome:Prunus_persica_NCBIv2:G1:33640383:33649364:-1 gene:PRUPE_1G367600 transcript:ONI32434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLVVVDVVEEEPVVIDNISIPVDTSKPNPNGLEYDNLYLDMNGIIHPCFHPEDRPSPSTFDEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFETEGRKLPPKQQSQVVDSNVITPGTQFMAVLSVALQYYVHLRLNNDPGWKNVKVILSDANVPGEGEHKVMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQMGHLAANCEGKAKRKAGEFDEKADGEAVAKKPYQFLNIWTLREYLELEMQIPNSPIEIDSERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLFAVYKKEFRALGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFQKRARLHQRQADRIKREKGQLRRGDDARPEVQPDSLVAVSRFHGSRLASGHSPSPYQQSGKFNGQANPRKVARLSLEGSTIGAAIVEAENSLEGDVDGNKEELKAKLKEVLREKSDLFNSGNGDEDKVKLGEPGWKDRYYEEKFSAKTPEEREAIRKDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLDQLNISFDLGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPVDFEVDMNGKRFAWQGIAKLPFIDEARLLAEVEKLEHTLTEEETRRNSVMFEMLFVTSSHPLSVCIYSLDNRCKQLTDKERVEVKEQIDPKYRDACSEGMNGYISPCTGEPCPPVFRSPVESMEDIMDNQVICAIYRLPDGHKHITRPPAGVIFPKKIVSVGDLKPAPVLWHEESGRKPFENARRPFENARRPFDNARQNPPGSISGRHLEEAAHRLIVNSLQPKVDSNGYGNQMHALRPSYVGPPNYPPHHSYTNNGSYAQGHSRMPPPRTIHHPQAQHRASNVNAIPRHGDPAYNDRHSSSAAHHHQNSSHTQHYERSSGQGYHHSGNHQSGGPIYPQRPIPQAPPGVTPVPYQGGYNNYQNYQPSGPPAQGFDGWVPQVSQNPGRGYGRPQQSGNQYSGLDRRGHRRPPPPQPGYGHK >ONI32438 pep chromosome:Prunus_persica_NCBIv2:G1:33640501:33649364:-1 gene:PRUPE_1G367600 transcript:ONI32438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLVVVDVVEEEPVVIDNISIPVDTSKPNPNGLEYDNLYLDMNGIIHPCFHPEDRPSPSTFDEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFETEGRKLPPKQQSQVVDSNVITPGTQFMAVLSVALQYYVHLRLNNDPGWKNVKVILSDANVPGEGEHKVMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQMGHLAANCEGKAKRKAGEFDEKADGEAVAKKPYQFLNIWTLREYLELEMQIPNSPIEIDSERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLFAVYKKEFRALGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFQKRARLHQRQADRIKREKGQLRRGDDARPEVQPDSLVAVSRFHGSRLASGHSPSPYQQSGKFNGQANPRKVARLSLEGSTIGAAIVEAENSLEGDVDGNKEELKAKLKEVLREKSDLFNSGNGDEDKVKLGEPGWKDRYYEEKFSAKTPEEREAIRKDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLDQLNISFDLGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPVDFEVDMNGKRFAWQGIAKLPFIDEARLLAEVEKLEHTLTEEETRRNSVMFEMLFVTSSHPLSVCIYSLDNRCKQLTDKERVEVKEQIDPKYRDACSEGMNGYISPCTGEPCPPVFRSPVESMEDIMDNQVICAIYRLPDGHKHITRPPAGVIFPKKIVSVGDLKPAPVLWHEESGRKPFENARRPFENARRPFDNARQNPPGSISGRHLEEAAHRLIVNSLQPKVDSNGYGNQMHALRPSYVGPPNYPPHHSYTNNGSYAQGHSRMPPPRTIHHPQAQHRASNVNAIPRHGDPAYNDRHSSSAAHHHQNSSHTQHYERSSGQGYHHSGNHQSGGPIYPQRPIPQAPPGVTPVPYQG >ONI32437 pep chromosome:Prunus_persica_NCBIv2:G1:33639755:33649768:-1 gene:PRUPE_1G367600 transcript:ONI32437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLVVVDVVEEEPVVIDNISIPVDTSKPNPNGLEYDNLYLDMNGIIHPCFHPEDRPSPSTFDEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFETEGRKLPPKQQSQVVDSNVITPGTQFMAVLSVALQYYVHLRLNNDPGWKNVKVILSDANVPGEGEHKVMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQMGHLAANCEGKAKRKAGEFDEKADGEAVAKKPYQFLNIWTLREYLELEMQIPNSPIEIDSERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLFAVYKKEFRALGGYLTDGSKPNLSRVEHFIQAVGSYEDKIFQKRARLHQRQADRIKREKGQLRRGDDARPEVQPDSLVAVSRFHGSRLASGHSPSPYQQSGKFNGQANPRKVARLSLEGSTIGAAIVEAENSLEGDVDGNKEELKAKLKEVLREKSDLFNSGNGDEDKVKLGEPGWKDRYYEEKFSAKTPEEREAIRKDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLDQLNISFDLGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPVDFEVDMNGKRFAWQGIAKLPFIDEARLLAEVEKLEHTLTEEETRRNSVMFEMLFVTSSHPLSVCIYSLDNRCKQLTDKERVEVKEQIDPKYSEGMNGYISPCTGEPCPPVFRSPVESMEDIMDNQVICAIYRLPDGHKHITRPPAGVIFPKKIVSVGDLKPAPVLWHEESGRKPFENARRPFENARRPFDNARQNPPGSISGRHLEEAAHRLIVNSLQPKVDSNGYGNQMHALRPSYVGPPNYPPHHSYTNNGSYAQGHSRMPPPRTIHHPQAQHRASNVNAIPRHGDPAYNDRHSSSAAHHHQNSSHTQHYERSSGQGYHHSGNHQSGGPIYPQRPIPQAPPGVTPVPYQG >ONI32436 pep chromosome:Prunus_persica_NCBIv2:G1:33639755:33649768:-1 gene:PRUPE_1G367600 transcript:ONI32436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLVVVDVVEEEPVVIDNISIPVDTSKPNPNGLEYDNLYLDMNGIIHPCFHPEDRPSPSTFDEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFETEGRKLPPKQQSQVVDSNVITPGTQFMAVLSVALQYYVHLRLNNDPGWKNVKVILSDANVPGEGEHKVMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQMGHLAANCEGKAKRKAGEFDEKADGEAVAKKPYQFLNIWTLREYLELEMQIPNSPIEIDSERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLFAVYKKEFRALGGYLTDGSKRQADRIKREKGQLRRGDDARPEVQPDSLVAVSRFHGSRLASGHSPSPYQQSGKFNGQANPRKVARLSLEGSTIGAAIVEAENSLEGDVDGNKEELKAKLKEVLREKSDLFNSGNGDEDKVKLGEPGWKDRYYEEKFSAKTPEEREAIRKDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLDQLNISFDLGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPVDFEVDMNGKRFAWQGIAKLPFIDEARLLAEVEKLEHTLTEEETRRNSVMFEMLFVTSSHPLSVCIYSLDNRCKQLTDKERVEVKEQIDPKYRDACSEGMNGYISPCTGEPCPPVFRSPVESMEDIMDNQVICAIYRLPDGHKHITRPPAGVIFPKKIVSVGDLKPAPVLWHEESGRKPFENARRPFENARRPFDNARQNPPGSISGRHLEEAAHRLIVNSLQPKVDSNGYGNQMHALRPSYVGPPNYPPHHSYTNNGSYAQGHSRMPPPRTIHHPQAQHRASNVNAIPRHGDPAYNDRHSSSAAHHHQNSSHTQHYERSSGQGYHHSGNHQSGGPIYPQRPIPQAPPGVTPVPYQG >ONI32435 pep chromosome:Prunus_persica_NCBIv2:G1:33639755:33649768:-1 gene:PRUPE_1G367600 transcript:ONI32435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPLVVVDVVEEEPVVIDNISIPVDTSKPNPNGLEYDNLYLDMNGIIHPCFHPEDRPSPSTFDEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFETEGRKLPPKQQSQVVDSNVITPGTQFMAVLSVALQYYVHLRLNNDPGWKNVKVILSDANVPGEGEHKVMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQMGHLAANCEGKAKRKAGEFDEKADGEAVAKKPYQFLNIWTLREYLELEMQIPNSPIEIDSERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLFAVYKKEFRALGGYLTDGSKRQADRIKREKGQLRRGDDARPEVQPDSLVAVSRFHGSRLASGHSPSPYQQSGKFNGQANPRKVARLSLEGSTIGAAIVEAENSLEGDVDGNKEELKAKLKEVLREKSDLFNSGNGDEDKVKLGEPGWKDRYYEEKFSAKTPEEREAIRKDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLDQLNISFDLGSPFKPFNQLLGVFPAASSHALPEQYRKLMTDPNSPIIDFYPVDFEVDMNGKRFAWQGIAKLPFIDEARLLAEVEKLEHTLTEEETRRNSVMFEMLFVTSSHPLSVCIYSLDNRCKQLTDKERVEVKEQIDPKYSEGMNGYISPCTGEPCPPVFRSPVESMEDIMDNQVICAIYRLPDGHKHITRPPAGVIFPKKIVSVGDLKPAPVLWHEESGRKPFENARRPFENARRPFDNARQNPPGSISGRHLEEAAHRLIVNSLQPKVDSNGYGNQMHALRPSYVGPPNYPPHHSYTNNGSYAQGHSRMPPPRTIHHPQAQHRASNVNAIPRHGDPAYNDRHSSSAAHHHQNSSHTQHYERSSGQGYHHSGNHQSGGPIYPQRPIPQAPPGVTPVPYQG >ONI31569 pep chromosome:Prunus_persica_NCBIv2:G1:30699541:30703362:-1 gene:PRUPE_1G319900 transcript:ONI31569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARENPAEKALGLLRSRLCDPNFAFKALSHSDDSNYSKLKFLVSSSITEACNNSILLLGPRGSGKIAVLEVVLSDLLQEYPDMISVIRLSGLLHGSDNCAFKEIARQLCMEHQLVFSRMASFDDNSQFMIAMLRECGLAHKTIIFVLDEFDLFALGKQKLLYSLLDAMQSVTSQAVVIGVSCRLDADMLLDKRVRSRFSHRKLLFLPPANEDIQRLLEHILSLPTDSSFPPDYAVAFNAKLQNILADERFKEIISTYLNFDSTVKHLLRYLFRAVSYMDLESGMLSLENFKAALSNIQRHPKLECIKDCSILELYILVCMKRLEVKEQNSYNFNSVMKEYKSIHDSVKTSDYFARNVCLRAFEHLLQRELIGFTDNRGQNHSIEFSPVKLLVSFHELHQGLKSYGSCPAILQQLMDRQK >ONI31570 pep chromosome:Prunus_persica_NCBIv2:G1:30697499:30704012:-1 gene:PRUPE_1G319900 transcript:ONI31570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARENPAEKALGLLRSRLCDPNFAFKALSHSDDSNYSKLKFLVSSSITEACNNSILLLGPRGSGKIAVLEVVLSDLLQEYPDMISVIRLSGLLHGSDNCAFKEIARQLCMEHQLVFSRMASFDDNSQFMIAMLRECGLAHKTIIFVLDEFDLFALGKQKLLYSLLDAMQSVTSQAVVIGVSCRLDADMLLDKRVRSRFSHRKLLFLPPANEDIQRLLEHILSLPTDSSFPPDYAVAFNAKLQNILADERFKEIISTYLNFDSTVKHLLRYLFRAVSYMDLESGMLSLENFKAALSNIQRHPKLECIKDCSILELYILVCMKRLEVKEQNSYNFNSVMKEYKSIHDSVKTSDYFARNVCLRTIEDKTTLLSFLL >ONI31568 pep chromosome:Prunus_persica_NCBIv2:G1:30699541:30703362:-1 gene:PRUPE_1G319900 transcript:ONI31568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARENPAEKALGLLRSRLCDPNFAFKALSHSDDSNYSKLKFLVSSSITEACNNSILLLGPRGSGKIAVLEVVLSDLLQEYPDMISVIRLSGLLHGSDNCAFKEIARQLCMEHQLVFSRMASFDDNSQFMIAMLRECGLAHKTIIFVLDEFDLFALGKQKLLYSLLDAMQSVTSQAVVIGVSCRLDADMLLDKRVRSRFSHRKLLFLPPANEDIQRLLEHILSLPTDSSFPPDYAVAFNAKLQNILADERFKEIISTYLNFDSTVKHLLRYLFRAVSYMDLESGMLSLENFKAALSNIQRHPKLECIKDCSILELYILVCMKRLEVKEQNSYNFNSVMKEYKSIHDSVKTSDYFARNVCLRAFEHLLQRELIGFTDNRGQNHSIEFSPVKLLVSFHELHQGLKSYGSCPAILQQLMDRQK >ONI31567 pep chromosome:Prunus_persica_NCBIv2:G1:30697499:30704012:-1 gene:PRUPE_1G319900 transcript:ONI31567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARENPAEKALGLLRSRLCDPNFAFKALSHSDDSNYSKLKFLVSSSITEACNNSILLLGPRGSGKIAVLEVVLSDLLQEYPDMISVIRLSGLLHGSDNCAFKEIARQLCMEHQLVFSRMASFDDNSQFMIAMLRECGLAHKTIIFVLDEFDLFALSVTSQAVVIGVSCRLDADMLLDKRVRSRFSHRKLLFLPPANEDIQRLLEHILSLPTDSSFPPDYAVAFNAKLQNILADERFKEIISTYLNFDSTVKHLLRYLFRAVSYMDLESGMLSLENFKAALSNIQRHPKLECIKDCSILELYILVCMKRLEVKEQNSYNFNSVMKEYKSIHDSVKTSDYFARNVCLRAFEHLLQRELIGFTDNRGQNHSIEFSPVKLLVSFHELHQGLKSYGSCPAILQQLMDRQK >ONI31673 pep chromosome:Prunus_persica_NCBIv2:G1:30967995:30971442:-1 gene:PRUPE_1G324700 transcript:ONI31673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEIEEPFSFSFQSDSLHSGSISFGRFEDEALSWEKRSSFSHNRYVEEVEKCLKPGSVIKKKAYFEAHFKKKGLPKPNLPECHHGTDYQVCENDVLESGAYREEFEHGNEGSSYAQFDESPDGSEYHGDCSVVTECEKEDPEVLLSSPQKVSSLKDDDILVDGAVEDANSEETHQPGKDCDEFSSTIDEPETDVNKNHNGDAANAYESSNPVNICPKTEAAKKIDSTIVETWQSASPKLKDAKESKTSKPRVNSKASISQVQRSISSEASKDPTKNSNTREREGTRRRTEKEKLSSKTAIPSTHSGRRTSKLEESRDLKGKSVHESTSDKESRGKKLDEPQPPAFKPDPRRYQTTNRLNHRVNLTKSDTRSSVATFNFKSSERAERRKEASQHFSMKLEEKMHAKEDEISKIQARKQVAVMRQEKTEAEIKQFRKSLNFKAAPMPSFYNVAMTSGPDGNKAASTKFSKVQGKSTSPGSGAAAGLASYSEVGKDEDPTASAPVSTTESHDASGETNLSQDTKTKSEGSRMKEKEKDASVQKQRLLESGKVAKGRKSEGKQKVGGERNNREMSRKGMKGIGFRSSSRMGHLTVGVAS >ONI31669 pep chromosome:Prunus_persica_NCBIv2:G1:30967995:30971442:-1 gene:PRUPE_1G324700 transcript:ONI31669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEIEEPFSFSFQSDSLHSGSISFGRFEDEALSWEKRSSFSHNRYVEEVEKCLKPGSVIKKKAYFEAHFKKKGLPKPNLPECHHGTDYQVCENDVLESGAYREEFEHGNEGSSYAQFDESPDGSEYHGDCSVVTECEKEDPEVLLSSPQKVSSLKDDDILVDGAVEDANSEETHQPGKDCDEFSSTIDEPETDVNKNHNGDAANAYESSNPVNICPKTEAAKKIDSTIVETWQSASPKVQRSISSEASKDPTKNSNTREREGTRRRTEKEKLSSKTAIPSTHSGRRTSKLEESRDLKGKSVHESTSDKESRGKKLDEPQPPAFKPDPRRYQTTNRLNHRVNLTKSDTRSSVATFNFKSSERAERRKEASQHFSMKLEEKMHAKEDEISKIQARKQVAVMRQEKTEAEIKQFRKSLNFKAAPMPSFYNVAMTSGPDGNKAASTKFSKVQGKSTSPGSGAAAGLASYSEVGKDEDPTASAPVSTTESHDASGETNLSQDTKTKSEGSRMKEKEKDASVQKQRLLESGKVAKGRKSEGKQKVGGERNNREMSRKGMKGIGFRSSSRMGHLTVGVAS >ONI31668 pep chromosome:Prunus_persica_NCBIv2:G1:30967669:30972171:-1 gene:PRUPE_1G324700 transcript:ONI31668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEIEEPFSFSFQSDSLHSGSISFGRFEDEALSWEKRSSFSHNRYVEEVEKCLKPGSVIKKKAYFEAHFKKKGLPKPNLPECHHGTDYQVCENDVLESGAYREEFEHGNEGSSYAQFDESPDGSEYHGDCSVVTECEKEDPEVLLSSPQKVSSLKDDDILVDGAVEDANSEETHQPGKDCDEFSSTIDEPETDVNKNHNGDAANAYESSNPVNICPKTEAAKKIDSTIVETWQSASPKVQRSISSEASKDPTKNSNTREREGTRRRTEKEKLSSKTAIPSTHSGRRTSKLEESRDLKGKSVHESTSDKESRGKKLDEPQPPAFKPDPRRYQTTNRLNHRVNLTKSDTRSSVATFNFKSSERAERRKEASQHFSMKLEEKMHAKEDEISKIQARKQEKTEAEIKQFRKSLNFKAAPMPSFYNVAMTSGPDGNKAASTKFSKVQGKSTSPGSGAAAGLASYSEVGKDEDPTASAPVSTTESHDASGETNLSQDTKTKSEGSRMKEKEKDASVQKQRLLESGKVAKGRKSEGKQKVGGERNNREMSRKGMKGIGFRSSSRMGHLTVGVAS >ONI31670 pep chromosome:Prunus_persica_NCBIv2:G1:30967670:30972171:-1 gene:PRUPE_1G324700 transcript:ONI31670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEIEEPFSFSFQSDSLHSGSISFGRFEDEALSWEKRSSFSHNRYVEEVEKCLKPGSVIKKKAYFEAHFKKKGLPKPNLPECHHGTDYQVCENDVLESGAYREEFEHGNEGSSYAQFDESPDGSEYHGDCSVVTECEKEDPEVLLSSPQKVSSLKDDDILVDGAVEDANSEETHQPGKDCDEFSSTIDEPETDVNKNHNGDAANAYESSNPVNICPKTEAAKKIDSTIVETWQSASPKLKDAKESKTSKPRVNSKASISQVQRSISSEASKDPTKNSNTREREGTRRRTEKEKLSSKTAIPSTHSGRRTSKLEESRDLKGKSVHESTSDKESRGKKLDEPQPPAFKPDPRRYQTTNRLNHRVNLTKSDTRSSVATFNFKSSERAERRKEFSMKLEEKMHAKEDEISKIQARKQEKTEAEIKQFRKSLNFKAAPMPSFYNVAMTSGPDGNKAASTKFSKVQGKSTSPGSGAAAGLASYSEVGKDEDPTASAPVSTTESHDASGETNLSQDTKTKSEGSRMKEKEKDASVQKQRLLESGKVAKGRKSEGKQKVGGERNNREMSRKGMKGIGFRSSSRMGHLTVGVAS >ONI31667 pep chromosome:Prunus_persica_NCBIv2:G1:30967995:30971442:-1 gene:PRUPE_1G324700 transcript:ONI31667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEIEEPFSFSFQSDSLHSGSISFGRFEDEALSWEKRSSFSHNRYVEEVEKCLKPGSVIKKKAYFEAHFKKKGLPKPNLPECHHGTDYQVCENDVLESGAYREEFEHGNEGSSYAQFDESPDGSEYHGDCSVVTECEKEDPEVLLSSPQKVSSLKDDDILVDGAVEDANSEETHQPGKDCDEFSSTIDEPETDVNKNHNGDAANAYESSNPVNICPKTEAAKKIDSTIVETWQSASPKVQRSISSEASKDPTKNSNTREREGTRRRTEKEKLSSKTAIPSTHSGRRTSKLEESRDLKGKSVHESTSDKESRGKKLDEPQPPAFKPDPRRYQTTNRLNHRVNLTKSDTRSSVATFNFKSSERAERRKEFSMKLEEKMHAKEDEISKIQARKQVAVMRQEKTEAEIKQFRKSLNFKAAPMPSFYNVAMTSGPDGNKAASTKFSKVQGKSTSPGSGAAAGLASYSEVGKDEDPTASAPVSTTESHDASGETNLSQDTKTKSEGSRMKEKEKDASVQKQRLLESGKVAKGRKSEGKQKVGGERNNREMSRKGMKGIGFRSSSRMGHLTVGVAS >ONI31671 pep chromosome:Prunus_persica_NCBIv2:G1:30967669:30972171:-1 gene:PRUPE_1G324700 transcript:ONI31671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEIEEPFSFSFQSDSLHSGSISFGRFEDEALSWEKRSSFSHNRYVEEVEKCLKPGSVIKKKAYFEAHFKKKGLPKPNLPECHHGTDYQVCENDVLESGAYREEFEHGNEGSSYAQFDESPDGSEYHGDCSVVTECEKEDPEVLLSSPQKVSSLKDDDILVDGAVEDANSEETHQPGKDCDEFSSTIDEPETDVNKNHNGDAANAYESSNPVNICPKTEAAKKIDSTIVETWQSASPKLKDAKESKTSKPRVNSKASISQVQRSISSEASKDPTKNSNTREREGTRRRTEKEKLSSKTAIPSTHSGRRTSKLEESRDLKGKSVHESTSDKESRGKKLDEPQPPAFKPDPRRYQTTNRLNHRVNLTKSDTRSSVATFNFKSSERAERRKEFSMKLEEKMHAKEDEISKIQARKQVAVMRQEKTEAEIKQFRKSLNFKAAPMPSFYNVAMTSGPDGNKAASTKFSKVQGKSTSPGSGAAAGLASYSEVGKDEDPTASAPVSTTESHDASGETNLSQDTKTKSEGSRMKEKEKDASVQKQRLLESGKVAKGRKSEGKQKVGGERNNREMSRKGMKGIGFRSSSRMGHLTVGVAS >ONI31666 pep chromosome:Prunus_persica_NCBIv2:G1:30967669:30972171:-1 gene:PRUPE_1G324700 transcript:ONI31666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEIEEPFSFSFQSDSLHSGSISFGRFEDEALSWEKRSSFSHNRYVEEVEKCLKPGSVIKKKAYFEAHFKKKGLPKPNLPECHHGTDYQVCENDVLESGAYREEFEHGNEGSSYAQFDESPDGSEYHGDCSVVTECEKEDPEVLLSSPQKVSSLKDDDILVDGAVEDANSEETHQPGKDCDEFSSTIDEPETDVNKNHNGDAANAYESSNPVNICPKTEAAKKIDSTIVETWQSASPKVQRSISSEASKDPTKNSNTREREGTRRRTEKEKLSSKTAIPSTHSGRRTSKLEESRDLKGKSVHESTSDKESRGKKLDEPQPPAFKPDPRRYQTTNRLNHRVNLTKSDTRSSVATFNFKSSERAERRKEFSMKLEEKMHAKEDEISKIQARKQEKTEAEIKQFRKSLNFKAAPMPSFYNVAMTSGPDGNKAASTKFSKVQGKSTSPGSGAAAGLASYSEVGKDEDPTASAPVSTTESHDASGETNLSQDTKTKSEGSRMKEKEKDASVQKQRLLESGKVAKGRKSEGKQKVGGERNNREMSRKGMKGIGFRSSSRMGHLTVGVAS >ONI31672 pep chromosome:Prunus_persica_NCBIv2:G1:30967995:30971442:-1 gene:PRUPE_1G324700 transcript:ONI31672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEIEEPFSFSFQSDSLHSGSISFGRFEDEALSWEKRSSFSHNRYVEEVEKCLKPGSVIKKKAYFEAHFKKKGLPKPNLPECHHGTDYQVCENDVLESGAYREEFEHGNEGSSYAQFDESPDGSEYHGDCSVVTECEKEDPEVLLSSPQKVSSLKDDDILVDGAVEDANSEETHQPGKDCDEFSSTIDEPETDVNKNHNGDAANAYESSNPVNICPKTEAAKKIDSTIVETWQSASPKLKDAKESKTSKPRVNSKASISQVQRSISSEASKDPTKNSNTREREGTRRRTEKEKLSSKTAIPSTHSGRRTSKLEESRDLKGKSVHESTSDKESRGKKLDEPQPPAFKPDPRRYQTTNRLNHRVNLTKSDTRSSVATFNFKSSERAERRKEASQHFSMKLEEKMHAKEDEISKIQARKQEKTEAEIKQFRKSLNFKAAPMPSFYNVAMTSGPDGNKAASTKFSKVQGKSTSPGSGAAAGLASYSEVGKDEDPTASAPVSTTESHDASGETNLSQDTKTKSEGSRMKEKEKDASVQKQRLLESGKVAKGRKSEGKQKVGGERNNREMSRKGMKGIGFRSSSRMGHLTVGVAS >ONI28945 pep chromosome:Prunus_persica_NCBIv2:G1:14165888:14167282:1 gene:PRUPE_1G170900 transcript:ONI28945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEDLKLNLNSLNNRNHNVSAKPNPRSFKRPSSSDYDYDSNSHPHPVRVLSQQTKRRVKRLRQMPKAKDIDEEDNGGLAPLKAVFNHGVSEVSSSNFSSCKSPVTVLHHASPVNATTSDEINLSPRRAARVAMLKARFAGTIFKAKQEVLYSGSNGLENTEDEIRKFRAAEMMKANEYIKEQRQRDREAARLALEEMERNVVIDDNFKTMRDFEMLISGANC >ONI29605 pep chromosome:Prunus_persica_NCBIv2:G1:20525685:20527383:-1 gene:PRUPE_1G204800 transcript:ONI29605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQITVPSNIFLTLIIVAAAVAGYVEAQSPSTSDVSVADIVTPEFFNGIIGQADASCAGKNFYSRATFLEALKSYDQFGKIGSIDDSKREIAAFFAHVTHETFHFCYIEEIDGPSKDYCDETNTQYPCKSNKGYYGRGPIQLSWNFNYGPAGESIGFDGLNSPETVANDPIIAFKTALWYWMTHVRPVIGEGFGATIRAINGALECDGGNPDTVQKRVEYFTEYCNQLGIAPGDNLTC >ONI36292 pep chromosome:Prunus_persica_NCBIv2:G1:47146935:47148694:1 gene:PRUPE_1G579400 transcript:ONI36292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKHGEHLSDQIHFKLPGGLESEIKLKRCAGEFWFEKGWPEFSKFCSLDFGSCLVFRYEGKSKFHVLIFDESGIEIEYPTMPQIEETDEEEDDDMSTKEILEIEDDDKSVESLDIETDESLEILVIDEDDDDVKSVESLESFPLPQEKNRRKPRSSSKPSSSSSSSLRAAVKAANKFVSSHPFFKITLGPSRKAHVPASFRKHFTPVKNQTARLLVGARLWPVTLIFHPQNYRFSAGWGAFEKANWLKEGDICIFELMDKKNLALEVNIFRC >ONI36289 pep chromosome:Prunus_persica_NCBIv2:G1:47145736:47148694:1 gene:PRUPE_1G579400 transcript:ONI36289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKKIPHFMKTIMNTNARDKRLRVPKTFMNKHGEHLSDQIHFKLPGGLESEIKLKRCAGEFWFEKGWPEFSKFCSLDFGSCLVFRYEGKSKFHVLIFDESGIEIEYPTMPQIEETDEEEDDDMSTKEILEIEDDDKSVESLDIETDESLEILVIDEDDDDVKSVESLESFPLPQEKNRRKPRSSSKPSSSSSSSLRAAVKAANKFVSSHPFFKITLGPSRKAHVPASFRKHFTPVKNQTARLLVGARLWPVTLIFHPQNYRFSAGWGAFEKANWLKEGDICIFELMDKKNLALEVNIFRC >ONI36291 pep chromosome:Prunus_persica_NCBIv2:G1:47147164:47148784:1 gene:PRUPE_1G579400 transcript:ONI36291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKHGEHLSDQIHFKLPGGLESEIKLKRCAGEFWFEKGWPEFSKFCSLDFGSCLVFRYEGKSKFHVLIFDESGIEIEYPTMPQIEETDEEEDDDMSTKEILEIEDDDKSVESLDIETDESLEILVIDEDDDDVKSVESLESFPLPQEKNRRKPRSSSKPSSSSSSSLRAAVKAANKFVSSHPFFKITLGPSRKAHVPASFRKHFTPVKNQTARLLVGARLWPVTLIFHPQNYRFSAGWGAFEKANWLKEGDICIFELMDKKNLALEVNIFRC >ONI36290 pep chromosome:Prunus_persica_NCBIv2:G1:47145736:47148694:1 gene:PRUPE_1G579400 transcript:ONI36290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKKIPHFMKTIMNTNARDKRLRVPKTFMNKHGEHLSDQIHFKLPVFRYEGKSKFHVLIFDESGIEIEYPTMPQIEETDEEEDDDMSTKEILEIEDDDKSVESLDIETDESLEILVIDEDDDDVKSVESLESFPLPQEKNRRKPRSSSKPSSSSSSSLRAAVKAANKFVSSHPFFKITLGPSRKAHVPASFRKHFTPVKNQTARLLVGARLWPVTLIFHPQNYRFSAGWGAFEKANWLKEGDICIFELMDKKNLALEVNIFRC >ONI30992 pep chromosome:Prunus_persica_NCBIv2:G1:28701599:28705733:-1 gene:PRUPE_1G286700 transcript:ONI30992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSSTSEVIVEEWSGSSSTKLSRTATITAFPSLSIQRSGSRFHHLWRRILEAFVPEGFPSSVTPDYVSFQVWDLLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQNDAVVLERPNWNAGRYLIHILSGVPLASGSVTEGSNLDSNAKMWRLVADLMNDLGMLMDLVSPLFPSAFVFVVCLGSISRSFTGVASGATRAALTQHFALQSNAADISAKEGSQETVATMVGMALGMLLARITMGHPLAIWFSFLSLTMFHMYANYMAVRCLALNSLNPQRCSILLQHFMRTGQVLSPEQVSKMEHILPVWASSWSSKKTELIHVRVSLGVRVSSLNHLELRDLLHFAGSHYKKAKYLLMERKGNICIVMHKDSTATDVLQSFLHANAMANLIDKHRILHSESQTWMDKHYEEFIQKLKVSGWKTERLLSPTIVWKANWICGSSDEKID >ONI30990 pep chromosome:Prunus_persica_NCBIv2:G1:28701599:28705733:-1 gene:PRUPE_1G286700 transcript:ONI30990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSSTSEVIVEEWSGSSSTKLSRTATITAFPSLSIQRSGSRFHHLWRRILEAFVPEGFPSSVTPDYVSFQVWDLLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDLGMLMDLVSPLFPSAFVFVVCLGSISRSFTGVASGATRAALTQHFALQSNAADISAKEGSQETVATMVGMALGMLLARITMGHPLAIWFSFLSLTMFHMYANYMAVRCLALNSLNPQRCSILLQHFMRTGQVLSPEQVSKMEHILPVWASSWSSKKTELIHVRVSLGVRVSSLNHLELRDLLHFAGSHYKKAKYLLMERKGNICIVMHKDSTATDVLQSFLHANAMANLIDKHRILHSESQTWMDKHYEEFIQKLKVSGWKTERLLSPTIVWKANWICGSSDEKID >ONI30989 pep chromosome:Prunus_persica_NCBIv2:G1:28701180:28705733:-1 gene:PRUPE_1G286700 transcript:ONI30989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSSTSEVIVEEWSGSSSTKLSRTATITAFPSLSIQRSGSRFHHLWRRILEAFVPEGFPSSVTPDYVSFQVWDLLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQGSNLDSNAKMWRLVADLMNDLGMLMDLVSPLFPSAFVFVVCLGSISRSFTGVASGATRAALTQHFALQSNAADISAKEGSQETVATMVGMALGMLLARITMGHPLAIWFSFLSLTMFHMYANYMAVRCLALNSLNPQRCSILLQHFMRTGQVLSPEQVSKMEHILPVWASSWSSKKTELIHVRVSLGVRVSSLNHLELRDLLHFAGSHYKKAKYLLMERKGNICIVMHKDSTATDVLQSFLHANAMANLIDKHRILHSESQTWMDKHYEEFIQKLKVSGWKTERLLSPTIVWKANWICGSSDEKID >ONI30991 pep chromosome:Prunus_persica_NCBIv2:G1:28701090:28705764:-1 gene:PRUPE_1G286700 transcript:ONI30991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSSTSEVIVEEWSGSSSTKLSRTATITAFPSLSIQRSGSRFHHLWRRILEAFVPEGFPSSVTPDYVSFQVWDLLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDLGMLMDLVSPLFPSAFVFVVCLGSISRSFTGVASGATRAALTQHFALQSNAADISAKEGSQETVATMVGMALGMLLARITMGHPLAIWFSFLSLTMFHMYANYMAVRCLALNSLNPQRCSILLQHFMRTGQVLSPEQVSKMEHILPVWASSWSSKKTELIHVRVSLGVRVSSLNHLELRDLLHFAGSHYKKAKYLLMERKGNICIVMHKDSTATDVLQSFLHANAMANLIDKHRILHSESQTWMDKHYEEFIQKLKVSGWKTERLLSPTIVWKANWICGSSDEKID >ONI30993 pep chromosome:Prunus_persica_NCBIv2:G1:28701178:28705764:-1 gene:PRUPE_1G286700 transcript:ONI30993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSSTSEVIVEEWSGSSSTKLSRTATITAFPSLSIQRSGSRFHHLWRRILEAFVPEGFPSSVTPDYVSFQVWDLLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDLGMLMDLVSPLFPSAFVFVVCLGSISRSFTGVASGATRAALTQHFALQSNAADISAKEGSQETVATMVGMALGMLLARITMGHPLAIWFSFLSLTMFHMYANYMAVRCLALNSLNPQRCSILLQHFMRTGQVLSPEQVSKMEHILPVWASSWSSKKTELIHVRVSLGVRVSSLNHLELQVLANGEERKHLHCYAQRFNCHRCLAVIFTCKCYGKSYR >ONI28622 pep chromosome:Prunus_persica_NCBIv2:G1:11996106:11998572:-1 gene:PRUPE_1G151900 transcript:ONI28622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMDESLKKQIAALLRIIHVTRCSKEDNVPCQIEEGLFLGSIGAANNKEELKNLNITHILTVANSFEPSYPNDFVYKIINVADRVSTDLKQHFDECIDYIDEAKRSGGGVLVHCFVGRSRSVTIVVAYLMKKHGMNLSQALEHVKSRRPQAAPNSGFISQLQSFERSLHADEENKTT >ONI28623 pep chromosome:Prunus_persica_NCBIv2:G1:11996106:11998572:-1 gene:PRUPE_1G151900 transcript:ONI28623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMDESLKKQIAALLRIIHVTRCSKEDNVPCQIEEGLFLGSIGAANNKEELKNLNITHILTVANSFEPSYPNDFVYKIINVADRVSTDLKQHFDECIDYIDEAKRSGGGVLVHCFVGRSRSVTIVVAYLMKKHGMNLSQALEHVKSRRPQAAPNSGFISQLQSFERSLHADEENKTT >ONI28619 pep chromosome:Prunus_persica_NCBIv2:G1:11995696:11999176:-1 gene:PRUPE_1G151900 transcript:ONI28619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMDESLKKQIAALLRIIHVTRCSKEDNVPCQIEEGLFLGSIGAANNKEELKNLNITHILTVANSFEPSYPNDFVYKIINVADRVSTDLKQHFDECIDYIDEAKRSGGGVLVHCFVGRSRSVTIVVAYLMKKHGMNLSQALEHVKSRRPQAAPNSGFISQLQSFERSLHDEENKTT >ONI28624 pep chromosome:Prunus_persica_NCBIv2:G1:11996106:11998572:-1 gene:PRUPE_1G151900 transcript:ONI28624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMDESLKKQIAALLRIIHVTRCSKEDNVPCQIEEGLFLGSIGAANNKEELKNLNITHILTVANSFEPSYPNDFVYKIINVADRVSTDLKQHFDECIDYIDEAKRSGGGVLVHCFVGRSRSVTIVVAYLMKKHGMNLSQALEHVKSRRPQAAPNSGFISQLQSFERSLHADEENKTT >ONI28620 pep chromosome:Prunus_persica_NCBIv2:G1:11995696:11999524:-1 gene:PRUPE_1G151900 transcript:ONI28620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMDESLKKQIAALLRIIHVTRCSKEDNVPCQIEEGLFLGSIGAANNKEELKNLNITHILTVANSFEPSYPNDFVYKIINVADRVSTDLKQHFDECIDYIDEAKRSGGGVLVHCFVGRSRSVTIVVAYLMKKHGMNLSQALEHVKSRRPQAAPNSGFISQLQSFERSLHDEENKTT >ONI28621 pep chromosome:Prunus_persica_NCBIv2:G1:11995696:11999524:-1 gene:PRUPE_1G151900 transcript:ONI28621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMDESLKKQIAALLRIIHVTRCSKEDNVPCQIEEGLFLGSIGAANNKEELKNLNITHILTVANSFEPSYPNDFVYKIINVADRVSTDLKQHFDECIDYIDEAKRSGGGVLVHCFVGRSRSVTIVVAYLMKKHGMNLSQALEHVKSRRPQAAPNSGFISQLQSFERSLHDEENKTT >ONI30040 pep chromosome:Prunus_persica_NCBIv2:G1:24086817:24088713:-1 gene:PRUPE_1G227600 transcript:ONI30040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFTIPCKSSPPTPILPSKLGNPSEFSLRHAKPIISFSRKTLPKFTDTHLNYLCKNGQFSEAITVLDSIAQIGYKVPPTTYMNLLQSFEEINPFVETKLVSMYAKCGFLDDARKVFHAMRERNLYAWSAMIGACLRDQRWKEVVELFFSMMKDGVFPDYFLFPKILQACGNCSNIEATKLIHSIAVRCNLTSCFFDNMDERDGVSWNAIISGYCHKGESEEARRLFDAMSKEGIEPGLVTWNTLIASHNQLRHCDVAMELMRRMESCGITPDKMLLAGVQPNGITITSAISACTSLKSLNQGLEIYSLAIKMGCIDDVLVGNSLIDMFSKCGEVEAAQKVFSMIPEKDVYTWNSMIGGYCQAKYCGKAYELFTKMQESDVHPNAVTWNVMITGYMQNGDADQAMDLFQKMEKDGKIKRNTASWNSLVSGYLQLGEKNKPFGVFRQMQAYCVNPNSVTILSVLPSCANLVAMKKVKEIHGSVLRRNLESEIPVANSLIDTYAKSGNIAYSRIIFDTMSSKDTITWNSAISGYVLHGRSDVALDLFDQMKKSGFKPNRGTFANIIHA >ONI33709 pep chromosome:Prunus_persica_NCBIv2:G1:37541267:37543836:1 gene:PRUPE_1G442400 transcript:ONI33709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFTLQLRVDQIPLILDHVFKALTVGLIEGYCCIYVLYYLTLVIQQNIQPFAFFRSQAHSFCLDSDNGPGYRCSCSPGYQSNPYIPSGCQDVDECADPMLNDCEERSCINQKGSYTCDCPRDYYGDGQKNGEGCAGCQLRVIKIVAGSGVGLVLLLASGGWFYLGLKKRKLIKLKKHYFQQNGGLMLQKQLLRPEGSIDTTKIFTEEELKMATNNFDESRIIGRGGYGTVYKAELPDQRVVAMKKSKIVDRRQNDQFINEVVVLLQVNHKNVIKLFGCCLETEVPLLVYEFVTNCTLSDHIHQENTTSTATIP >ONI28476 pep chromosome:Prunus_persica_NCBIv2:G1:11248182:11248904:-1 gene:PRUPE_1G143000 transcript:ONI28476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFWSHIVFLIMAFMASPLLSLHSKASSASSSISASPAFLITNFPPSSLQGLPPEIAPLLPSPGPVVPATPTDSSIPTIPSNPSPPNPDDLVSPGPYSSLSPFGSLPASSAASISLIWPLKLAAFAGSAAYGYCTSWNL >ONI31602 pep chromosome:Prunus_persica_NCBIv2:G1:30805453:30807789:-1 gene:PRUPE_1G321900 transcript:ONI31602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIFMVLLILRHTLPLIINGNKEYSFPLVMLLLLRTLGIVLPIYVIVRAVTAIQRRRGHQELSTSSDEETEPSILQPELEPQPHVIRVH >ONI31610 pep chromosome:Prunus_persica_NCBIv2:G1:30805346:30807780:-1 gene:PRUPE_1G321900 transcript:ONI31610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIFMVLLILRHTLPLIINGNKEYSFPLVMLLLLRTLGIVLPIYVIVRAVTAIQRRRGHQELSTSSDEETEPSILQPELEPQPHVIRVH >ONI31605 pep chromosome:Prunus_persica_NCBIv2:G1:30805441:30808040:-1 gene:PRUPE_1G321900 transcript:ONI31605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIFMVLLILRHTLPLIINGNKEYSFPLVMLLLLRTLGIVLPIYVIVRAVTAIQRRRGHQELSTSSDEETEPSILQPELEPQPHVIRVH >ONI31612 pep chromosome:Prunus_persica_NCBIv2:G1:30805829:30807296:-1 gene:PRUPE_1G321900 transcript:ONI31612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIVVRFLCAVHGPADSTAYASSHNQWKQRILFPTRYVVAFTNSWDCAANICNCEGSNCYSAPPRPSRTFHFL >ONI31604 pep chromosome:Prunus_persica_NCBIv2:G1:30805453:30808223:-1 gene:PRUPE_1G321900 transcript:ONI31604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIFMVLLILRHTLPLIINGNKEYSFPLVMLLLLRTLGIVLPIYVIVRAVTAIQRRRGHQELSTSSDEETEPSILQPELEPQPHVIRVH >ONI31607 pep chromosome:Prunus_persica_NCBIv2:G1:30805453:30807877:-1 gene:PRUPE_1G321900 transcript:ONI31607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIFMVLLILRHTLPLIINGNKEYSFPLVMLLLLRTLGIVLPIYVIVRAVTAIQRRRGHQELSTSSDEETEPSILQPELEPQPHVIRVH >ONI31606 pep chromosome:Prunus_persica_NCBIv2:G1:30805401:30807789:-1 gene:PRUPE_1G321900 transcript:ONI31606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIFMVLLILRHTLPLIINGNKEYSFPLVMLLLLRTLGIVLPIYVIVRAVTAIQRRRGHQELSTSSDEETEPSILQPELEPQPHVIRVH >ONI31608 pep chromosome:Prunus_persica_NCBIv2:G1:30805453:30808163:-1 gene:PRUPE_1G321900 transcript:ONI31608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIFMVLLILRHTLPLIINGNKEYSFPLVMLLLLRTLGIVLPIYVIVRAVTAIQRRRGHQELSTSSDEETEPSILQPELEPQPHVIRVH >ONI31611 pep chromosome:Prunus_persica_NCBIv2:G1:30805829:30807296:-1 gene:PRUPE_1G321900 transcript:ONI31611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIVVRFLCAVHGPADSTAYASSHNQWKQRILFPTRYVVAFTNSWDCAANICNCEGSNCYSAPPRPSRTFHFL >ONI31601 pep chromosome:Prunus_persica_NCBIv2:G1:30805375:30808198:-1 gene:PRUPE_1G321900 transcript:ONI31601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIFMVLLILRHTLPLIINGNKEYSFPLVMLLLLRTLGIVLPIYVIVRAVTAIQRRRGHQELSTSSDEETEPSILQPELEPQPHVIRVH >ONI31609 pep chromosome:Prunus_persica_NCBIv2:G1:30805346:30808243:-1 gene:PRUPE_1G321900 transcript:ONI31609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIFMVLLILRHTLPLIINGNKEYSFPLVMLLLLRTLGIVLPIYVIVRAVTAIQRRRGHQELSTSSDEETEPSILQPELEPQPHVIRVH >ONI31603 pep chromosome:Prunus_persica_NCBIv2:G1:30805344:30807906:-1 gene:PRUPE_1G321900 transcript:ONI31603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHFVLLVDRLITESTLEAAIESRNRSMQATSSAIDKAKIDGCCQKIIDVKDISPLTGKLVECRICQDEDQDSNMETPCSCCGSLKYAHRRCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFQFGRIPMNFRGNWEISQRDSPRIIAMVSTDRAFLNTNPDYDEYSASTARNVLCCRSLAFIFMVLLILRHTLPLIINGNKEYSFPLVMLLLLRTLGIVLPIYVIVRAVTAIQRRRGHQELSTSSDEETEPSILQPELEPQPHVIRVH >ONI33751 pep chromosome:Prunus_persica_NCBIv2:G1:37654862:37658803:-1 gene:PRUPE_1G444500 transcript:ONI33751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLHLPSSPSPATQMARYIPRTALMSNSSAPHLIFPPGTHSFEASKSLVFGRRFHAMCGEKLRGAAGARPLLRGRAFAAQRDLRKARRRAPKSKEKELELSVSVSMEEALPENPEISTIAELLRVDAPAAMKLAFDGLKDSVYKTRDNAVSNVGGYESTELSVLLCNDEFIRKLNKEWRGEDHATDVLSMSQHVPDLRLPILMLGDIVISVETAARQAEQRGHTLLDEMRILMVHGLLHLLGFDHELSKDAEVEMEKEEDLLLKSLGWKGKGLIQSVYDAETQTLGDKLDDSKREGSLQYNKPKFRYVFCDMDGTLLNSKSQLSSTNVKALKEASSRGVKVMIATGKARPAVMRIFKEVDLAGEDGIVSEFSPGVFLQGLLVYGRQGREIFRRNLDANVCREACLYSLETEVPLVAFTEDRCLTLFDHPLVDSMHTVYHEQKAEIMPSVEHLVAAAGIQKLVFMDTPEGVTTALRPYWSEATGDCARVVQTVPDMLEIVPPGTSKGSGVNMLLGHLGITPKEIMAIGDGENDIEMLELASLGIALSNGAEKTKAVANVVGLSNDEDGVADAIYRYAL >ONI33750 pep chromosome:Prunus_persica_NCBIv2:G1:37654564:37658896:-1 gene:PRUPE_1G444500 transcript:ONI33750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLHLPSSPSPATQMARYIPRTALMSNSSAPHLIFPPGTHSFEASKSLVFGRRFHAMCGEKLRGAAGARPLLRGRAFAAQRDLRKARRRAPKSKEKELELSVSVSMEEALPENPEISTIAELLRVDAPAAMKLAFDGLKDSVYKTRDNAVSNVGGYESTELSVLLCNDEFIRKLNKEWRGEDHATDVLSMSQHVPDLRLPILMLGDIVISVETAARQAEQRGHTLLDEMRILMVHGLLHLLGFDHELSKDAEVEMEKEEDLLLKSLGWKGKGLIQSVYDAETQTLGDKLDGTLLNSKSQLSSTNVKALKEASSRGVKVMIATGKARPAVMRIFKEVDLAGEDGIVSEFSPGVFLQGLLVYGRQGREIFRRNLDANVCREACLYSLETEVPLVAFTEDRCLTLFDHPLVDSMHTVYHEQKAEIMPSVEHLVAAAGIQKLVFMDTPEGVTTALRPYWSEATGDCARVVQTVPDMLEIVPPGTSKGSGVNMLLGHLGITPKEIMAIGDGENDIEMLELASLGIALSNGAEKTKAVANVVGLSNDEDGVADAIYRYAL >ONI33752 pep chromosome:Prunus_persica_NCBIv2:G1:37654564:37658896:-1 gene:PRUPE_1G444500 transcript:ONI33752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAFDGLKDSVYKTRDNAVSNVGGYESTELSVLLCNDEFIRKLNKEWRGEDHATDVLSMSQHVPDLRLPILMLGDIVISVETAARQAEQRGHTLLDEMRILMVHGLLHLLGFDHELSKDAEVEMEKEEDLLLKSLGWKGKGLIQSVYDAETQTLGDKLDDSKREGSLQYNKPKFRYVFCDMDGTLLNSKSQLSSTNVKALKEASSRGVKVMIATGKARPAVMRIFKEVDLAGEDGIVSEFSPGVFLQGLLVYGRQGREIFRRNLDANVCREACLYSLETEVPLVAFTEDRCLTLFDHPLVDSMHTVYHEQKAEIMPSVEHLVAAAGIQKLVFMDTPEGVTTALRPYWSEATGDCARVVQTVPDMLEIVPPGTSKGSGVNMLLGHLGITPKEIMAIGDGENDIEMLELASLGIALSNGAEKTKAVANVVGLSNDEDGVADAIYRYAL >ONI32699 pep chromosome:Prunus_persica_NCBIv2:G1:34312185:34319937:1 gene:PRUPE_1G380500 transcript:ONI32699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLISDTEPWKDLKAHVADINKTHLRDLMNDVKRSQLMTDEFDGILLDYSRQRATPETLEKLFKLAEAASLKEKINRMFGGEHINSTENRSVLHVALRAPRDAVIQSDGKNVVPDVWEVLDKIQKFSESIRSGSWVGATGKALKDVIAVGIGGSFLGPLFVHTALQTDPEAIETARGRQLHFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSSLGPDAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVVEKFLKGASSIDQHFYSTPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIEGVILPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKHPEQLQKENVPEHLIPHKTFSGNRPSLSILFPSLNAYNIGQLLAIYEHRVAVQGFLWGINSFDQWGVELGKSLATQVRKQLNASRTKGQPIEGFNYSTTTLLKKYLEASSDIPADLPTLLPRI >ONI32700 pep chromosome:Prunus_persica_NCBIv2:G1:34312680:34319473:1 gene:PRUPE_1G380500 transcript:ONI32700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLISDTEPWKDLKAHVADINKTHLRDLMNDVKRSQLMTDEFDGILLDYSRQRATPETLEKLFKLAEAASLKEKINRMFGGEHINSTENRSVLHVALRAPRDAVIQSDGKNVVPDVWEVLDKIQKFSESIRSGSWVGATGKALKDVIAVGIGGSFLGPLFVHTALQTDPEAIETARGRQLHFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSSLGPDAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVVEKFLKGASSIDQHFYSTPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIEGVILPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKHPEQLQKENVPEHLIPHKTFSGNRPSLSILFPSLNAYNIGQLLAIYEHRVAVQGFLWGINSFDQWGVELGKSLATQVRKQLNASRTKGQPIEGFNYSTTTLLKKYLEASSDIPADLPTLLPRI >ONI32697 pep chromosome:Prunus_persica_NCBIv2:G1:34312184:34319473:1 gene:PRUPE_1G380500 transcript:ONI32697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLISDTEPWKDLKAHVADINKTHLRDLMNDVKRSQLMTDEFDGILLDYSRQRATPETLEKLFKLAEAASLKEKINRMFGGEHINSTENRSVLHVALRAPRDAVIQSDGKNVVPDVWEVLDKIQKFSESIRSGSWVGATGKALKDVIAVGIGGSFLGPLFVHTALQTDPEAIETARGRQLHFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSSLGPDAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVVEKFLKGASSIDQHFYSTPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIEGVILPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKHPEQLQKENVPEHLIPHKTFSGNRPSLSILFPSLNAYNIGQLLAIYEHRVAVQGFLWGINSFDQWGVELGKSLATQVRKQLNASRTKGQPIEGFNYSTTTLLKKYLEASSDIPADLPTLLPRI >ONI32696 pep chromosome:Prunus_persica_NCBIv2:G1:34312897:34319473:1 gene:PRUPE_1G380500 transcript:ONI32696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLISDTEPWKDLKAHVADINKTHLRDLMNDVKRSQLMTDEFDGILLDYSRQRATPETLEKLFKLAEAASLKEKINRMFGGEHINSTENRSVLHVALRAPRDAVIQSDGKNVVPDVWEVLDKIQKFSESIRSGSWVGATGKALKDVIAVGIGGSFLGPLFVHTALQTDPEAIETARGRQLHFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSSLGLVHFAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVVEKFLKGASSIDQHFYSTPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIEGVILPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKHPEQLQKENVPEHLIPHKTFSGNRPSLSILFPSLNAYNIGQLLAIYEHRVAVQGFLWGINSFDQWGVELGKSLATQVRKQLNASRTKGQPIEGFNYSTTTLLKKYLEASSDIPADLPTLLPRI >ONI32698 pep chromosome:Prunus_persica_NCBIv2:G1:34311725:34319938:1 gene:PRUPE_1G380500 transcript:ONI32698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLISDTEPWKDLKAHVADINKTHLRDLMNDVKRSQLMTDEFDGILLDYSRQRATPETLEKLFKLAEAASLKEKINRMFGGEHINSTENRSVLHVALRAPRDAVIQSDGKNVVPDVWEVLDKIQKFSESIRSGSWVGATGKALKDVIAVGIGGSFLGPLFVHTALQTDPEAIETARGRQLHFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLREWISSSLGPDAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVVEKFLKGASSIDQHFYSTPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIEGVILPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKHPEQLQKENVPEHLIPHKTFSGNRPSLSILFPSLNAYNIGQLLAIYEHRVAVQGFLWGINSFDQWGVELGKSLATQVRKQLNASRTKGQPIEGFNYSTTTLLKKYLEASSDIPADLPTLLPRI >ONI26355 pep chromosome:Prunus_persica_NCBIv2:G1:1377798:1380486:1 gene:PRUPE_1G019700 transcript:ONI26355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGLVSPLLQIHRPKPIRSQGIESNIVESKAVESEVVELIGSQGIESNIVESKAVESEVVELIGSNADEFEADESEDDEFEAEDEFEADDEFEADEFEDDEFEADESETDDSETDDSDSEKLIGRECQFCLKVGDHFSQTCSYRYHVPKNAIVGKSCVVVCNLCGCLFRDSCCGVCGQSDGCAILMNCLHCGKIGEHLIFTCPSREGKPSCFSLDPYTGSVISI >ONI26356 pep chromosome:Prunus_persica_NCBIv2:G1:1377783:1380486:1 gene:PRUPE_1G019700 transcript:ONI26356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGLVSPLLQIHRPKPIRSQGIESNIVESKAVESEVVELIGSNADEFEADESEDDEFEAEDEFEADDEFEADEFEDDEFEADESETDDSETDDSDSEKLIGRECQFCLKVGDHFSQTCSYRYHVPKNAIVGKSCVVVCNLCGCLFRDSCCGVCGQSDGCAILMNCLHCGKIGEHLIFTCPSREGKPSCFSLDPYTGSVISI >ONI26354 pep chromosome:Prunus_persica_NCBIv2:G1:1377824:1380441:1 gene:PRUPE_1G019700 transcript:ONI26354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGLVSPLLQIHRPKPISSKPLEELIGSQGIESNIVESKAVESEVVELIGSNADEFEADESEDDEFEAEDEFEADDEFEADEFEDDEFEADESETDDSETDDSDSEKLIGRECQFCLKVGDHFSQTCSYRYHVPKNAIVGKSCVVVCNLCGCLFRDSCCGVCGQSDGCAILMNCLHCGKIGEHLIFTCPSREGKPSCFSLDPYTGSVISI >ONI26357 pep chromosome:Prunus_persica_NCBIv2:G1:1378873:1380441:1 gene:PRUPE_1G019700 transcript:ONI26357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGSQGIESNIVESKAVESEVVELIGSQGIESNIVESKAVESEVVELIGSNADEFEADESEDDEFEAEDEFEADDEFEADEFEDDEFEADESETDDSETDDSDSEKLIGRECQFCLKVGDHFSQTCSYRYHVPKNAIVGKSCVVVCNLCGCLFRDSCCGVCGQSDGCAILMNCLHCGKIGEHLIFTCPSREGKPSCFSLDPYTGSVISI >ONI26353 pep chromosome:Prunus_persica_NCBIv2:G1:1377824:1380441:1 gene:PRUPE_1G019700 transcript:ONI26353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGLVSPLLQIHRPKPISSKPLEELIGSQGIESNIVESKAVESEVVELIGSQGIESNIVESKAVESEVVELIGSNADEFEADESEDDEFEAEDEFEADDEFEADEFEDDEFEADESETDDSETDDSDSEKLIGRECQFCLKVGDHFSQTCSYRYHVPKNAIVGKSCVVVCNLCGCLFRDSCCGVCGQSDGCAILMNCLHCGKIGEHLIFTCPSREGKPSCFSLDPYTGSVISI >ONI27505 pep chromosome:Prunus_persica_NCBIv2:G1:6817544:6818418:1 gene:PRUPE_1G090600 transcript:ONI27505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFHVDKETAYIIHGITLGLHRGERIKAQGGAAPPLARKTIVGVSNCPFAQQVYRLPYFHFQHLSKCLCPFTFIYFYITPFT >ONI34818 pep chromosome:Prunus_persica_NCBIv2:G1:41446181:41448602:-1 gene:PRUPE_1G500700 transcript:ONI34818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAATATVTMALARPTSNVLLTRHRARWVFTPFAVSSSFTSSSSSSKPSRALILYSKPGCCLCDGLKEKLQAAFLLAGPDSLQDVDLQIRDITGNPEWERAYQYEIPVLARVLSDGTEQLLCFRWWIDNQINSQRNY >ONI34817 pep chromosome:Prunus_persica_NCBIv2:G1:41446060:41448662:-1 gene:PRUPE_1G500700 transcript:ONI34817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAATATVTMALARPTSNVLLTRHRARWVFTPFAVSSSFTSSSSSSKPSRALILYSKPGCCLCDGLKEKLQAAFLLAGPDSLQDVDLQIRDITGNPEWERAYQYEIPVLARVLSDGTEHLKFSYPYCFLLAC >ONI34820 pep chromosome:Prunus_persica_NCBIv2:G1:41447183:41448662:-1 gene:PRUPE_1G500700 transcript:ONI34820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAATATVTMALARPTSNVLLTRHRARWVFTPFAVSSSFTSSSSSSKPSRALILYSKPGCCLCDGLKEKLQAAFLLAGPDSLQDVDLQIRDITGNPEWERAYQYEIPVLARVLSDGTEAQVLKSLMAILTPETDLTFFYWQ >ONI34816 pep chromosome:Prunus_persica_NCBIv2:G1:41445578:41448662:-1 gene:PRUPE_1G500700 transcript:ONI34816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAATATVTMALARPTSNVLLTRHRARWVFTPFAVSSSFTSSSSSSKPSRALILYSKPGCCLCDGLKEKLQAAFLLAGPDSLQDVDLQIRDITGNPEWERAYQYEIPVLARVLSDGTEETLPRLPPRLGVELVQKKIAAALKH >ONI34819 pep chromosome:Prunus_persica_NCBIv2:G1:41446328:41448662:-1 gene:PRUPE_1G500700 transcript:ONI34819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAATATVTMALARPTSNVLLTRHRARWVFTPFAVSSSFTSSSSSSKPSRALILYSKPGCCLCDGLKEKLQAAFLLAGPDSLQDVDLQIRDITGNPEWERAYQYEIPVLARVLSDGTEMQILFTYLVAQIFCFFCFRNSHEQIFPVINI >ONI28951 pep chromosome:Prunus_persica_NCBIv2:G1:14254522:14256328:1 gene:PRUPE_1G171200 transcript:ONI28951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSLPQLLLFQLILNLLLSFSVSWAGSDSAPDQDFVQCLLSHSQPSHPISEAIYIPNNASYSSVLRSYIRNLRFNTSSTPKPFLIITPLHESHVQQAIVCAQKQNLLMKIRSGGHDYEGVSYVSDVPFFLLDMFNLRSIDIDINSETAWVQAGATLGELFYRIYEKSKIHGFPAGVCPTVGVGGHFSGAGYGNLMRKYGLSVDNIIDAQLMDVHGRLLDRKSMGEDLFWAITGGGGASFGVVIAYKINLVRVPQTVTVFRVERTLEQNATDIVYRWQYVAPKLDTDLFIRLTMEVVNITGGPLSTGGENYKTIRASFIGFFLGNSERLLSVMETGFPELSLKQSDCIEMSWVESVLFWTSFPIGTEPEALLSRKPQVLVHLKRKSDYVKTPIPKIGLMWIWQKMIEFEVPIMSFNPYGGKMSEIPESATPCPHRAGNLWKIQYATNWEVSGAEASDYHLNLTKKLYFYMTPFVSMNPREAYFNYKDLDLGINNNGKASYFEGKAYGIRYFKDNFDRLVRIKTEVDPGNFFRNEQSIPTLP >ONI35558 pep chromosome:Prunus_persica_NCBIv2:G1:44341545:44347181:-1 gene:PRUPE_1G542700 transcript:ONI35558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFSLPKDSYFLGFDSSTQSLKATVLDSNLNILTTELVQFDTDLPDYKTKDGVYRDPSINGRIVSPTLMWVEALDLVLNKLANSNLDIGKIAAVSGSGQQHGSVYWRKGSSSILSSLDPKKALVDQFNDAFSVNESPVWMDSSTTAQCRELEKAVGGALELSQLTGSRGYERFTGPQIKKIFETHPEAYNNTERISLVSSFMASLLIGEYACIDESDGAGMNLMDLKKRAWSNILLEATAPGLEGKLGKIAPAHAVAGHIAPYFVDRFRFSKNCLVIQWSGDNPNSVAGLTLSTPGDLAISLGTSDTVIGITDDPQPRLEGHVFPNPVDTKGYMVMLCYKNGSLTREDIRNRYTESSWEKFAQQLSSTLPLNGGKLGFYYKEHEILPPLPVGFHRYVLENFNGETLEGVNEHEVEKEFDGASEVRAVIEGPFVSMRGHAERFGMPSPPKRLIATGGASVNHSILGIAACVFGCEVCTVERPDSASLGAALRAAHGWLCHNEGGFVPFKCLYEKKLENTSLKSKLVATPDTHLVPKYGLMAKKRLEIENRLVEKFGRL >ONI35559 pep chromosome:Prunus_persica_NCBIv2:G1:44341830:44345992:-1 gene:PRUPE_1G542700 transcript:ONI35559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVEALDLVLNKLANSNLDIGKIAAVSGSGQQHGSVYWRKGSSSILSSLDPKKALVDQFNDAFSVNESPVWMDSSTTAQCRELEKAVGGALELSQLTGSRGYERFTGPQIKKIFETHPEAYNNTERISLVSSFMASLLIGEYACIDESDGAGMNLMDLKKRAWSNILLEATAPGLEGKLGKIAPAHAVAGHIAPYFVDRFRFSKNCLVIQWSGDNPNSVAGLTLSTPGDLAISLGTSDTVIGITDDPQPRLEGHVFPNPVDTKGYMVMLCYKNGSLTREDIRNRYTESSWEKFAQQLSSTLPLNGGKLGFYYKEHEILPPLPVGFHRYVLENFNGETLEGVNEHEVEKEFDGASEVRAVIEGPFVSMRGHAERFGMPSPPKRLIATGGASVNHSILGIAACVFGCEVCTVERPDSASLGAALRAAHGWLCHNEGGFVPFKCLYEKKLENTSLKSKLVATPDTHLVPKYGLMAKKRLEIENRLVEKFGRL >ONI26286 pep chromosome:Prunus_persica_NCBIv2:G1:1097443:1098177:1 gene:PRUPE_1G015200 transcript:ONI26286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPINVEKSRHGCLEQGISAVLSRWNGLEMAVQNQWGGRDSTRKAQQLSADILSWFSQSKAPPYVEDLENLLHERMLLSFNTDIEDGSIEEISNLNLECGRTIDDCT >ONI26285 pep chromosome:Prunus_persica_NCBIv2:G1:1097198:1099456:1 gene:PRUPE_1G015200 transcript:ONI26285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPINVEKSRHGCLEQGISAVLSRWNGLEMAVQNQWGGRDSTRKAQQLSADILSWFSQSKAPPYVEDLENLLHERMLLSFNTDIEDGSIEEVAEQLMIVHEEYLHGNH >ONI29712 pep chromosome:Prunus_persica_NCBIv2:G1:22372889:22376098:1 gene:PRUPE_1G210100 transcript:ONI29712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLTADLVWKSPHFFNALKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENLPYLNRLGTLIINNNRITRINPNIGEFLPKLHTLILTNNRLVNLVEIDPLESLPKLQFLSLLDNNITKKPNYRLYVIHKLKSLRVLDFKKVKNKERLEAQTLFSSKEVEEETKKVSAKTFVPTEVPNVSDVAEEQQTPKVVAPTPEQIMAIKAAIVNSQTLEEVARLEQALKSGQLPADLKILDDDTETNSVKVNDDKMEVNSENEANAPKDVDEQKKDEAEPMEQE >ONI31434 pep chromosome:Prunus_persica_NCBIv2:G1:30320416:30322763:1 gene:PRUPE_1G312700 transcript:ONI31434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCIFSVVLGAKEAMGCGRIQIITLCSLLLLFPVIHGWGTVGHLTVCRIAQSRLSKAAADAVKQLLPAYAENDLGSLCIWPDHVKFIYHWSSALHYINTPDNLCNYQYNRDCKDENGEKGRCVAGAINNYTSQLLTYNSHSSQPKYNLTQALLFLSHFIGDIHQPLHVGFTSDKGGNTIDVHWYTRKQNLHHIWDDNIVETAEERFYDSNAEELVDAIKQNITTEWADEVKGWETCSLNKTACPDVYASEGIKAACDWAYKGAEEGSVLEDEYFLSRLPIVNWRLAQGGVRLAATLNRIFG >ONI27136 pep chromosome:Prunus_persica_NCBIv2:G1:4966574:4970447:-1 gene:PRUPE_1G070000 transcript:ONI27136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLGGVGLTLTSRLRGFILSTTKPRAFHAISKDQLQLYTSKSCSSCSNLLVGKDPTSIVAALSLSETSKSCFLGTQIHSHIIKLGFTDDIFLLNNLIKMYAKCGLVGDGFRVFDKMPDRNLVTWTLMISAAVQDGQFEWGLEIYLGLIRSGLRPNEFTIGSVLKGCAECTSSKAYEFGMSVHCFALKVGIEQNCYVGGSILSMYAKLEDIESAKGVFESMSNLDTAGWNTMIGGYAQCGYGLEALKVVSLMVWRGISMDQFTFVNALKGCSVMGNLDFGKQLHGLIIQSEMEFSTSVMNALSDMYSRNGKKDAALKVFNRIQAKDVISWNTAFGVFSEDKNTREIAKLVHEFMLANMKPNHVTFSILFRQCGEILDLNLGLQFYSLALQFGFWNEANVRSSIINMFSRCGAMDMARLFFDSLLDKNLTSWNELISGYNSNHCYTEARKIFCDLWDLGVEASEVTFSSILESCYKDEHQEMIRQIHGAIVKSGFSVHGYVCSFLIKCYVKFGLLDDSFEFFNGFETLDVESWGTMISALVHQGHLFEAIKFLKSLREAGGKPDEFILGSILNSCADNAGYHLTKSVHSVVIKMGFHSQVFVVSAVIDAYAKCGDIGSARMTFSQSFRSGDVVIHNAMIMACAHHGLDKEAMGIFEKMKLARIKPSQATYVSVIAACAHVGQVDLGRLLFESMNSDSKMEPISEDIYGCLVDMLSRSGYLEDARQMIEGMPYTPWPAILRSLLSGCRIHGNIELGEWTAKKLVQLAPENDVPYVLLSKVYSEEGSWEDATKIRREMIERGVLKNTGYSWIEV >ONI27138 pep chromosome:Prunus_persica_NCBIv2:G1:4966448:4970466:-1 gene:PRUPE_1G070000 transcript:ONI27138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLGGVGLTLTSRLRGFILSTTKPRAFHAISKDQLQLYTSKSCSSCSNLLVGKDPTSIVAALSLSETSKSCFLGTQIHSHIIKLGFTDDIFLLNNLIKMYAKCGLVGDGFRVFDKMPDRNLVTWTLMISAAVQDGQFEWGLEIYLGLIRSGLRPNEFTIGSVLKGCAECTSSKAYEFGMSVHCFALKVGIEQNCYVGGSILSMYAKLEDIESAKGVFESMSNLDTAGWNTMIGGYAQCGYGLEALKVVSLMVWRGISMDQFTFVNALKGCSVMGNLDFGKQLHGLIIQSEMEFSTSVMNALSDMYSRNGKKDAALKVFNRIQAKDVISWNTAFGVFSEDKNTREIAKLVHEFMLANMKPNHVTFSILFRQCGEILDLNLGLQFYSLALQFGFWNEANVRSSIINMFSRCGAMDMARLFFDSLLDKNLTSWNELISGYNSNHCYTEARKIFCDLWDLGVEASEVTFSSILESCYKDEHQEMIRQIHGAIVKSGFSVHGYVCSFLIKCYVKFGLLDDSFEFFNGFETLDVESWGTMISALVHQGHLFEAIKFLKSLREAGGKPDEFILGSILNSCADNAGYHLTKSVHSVVIKMGFHSQVFVVSAVIDAYAKCGDIGSARMTFSQSFRSGDVVIHNAMIMACAHHGLDKEAMGIFEKMKLARIKPSQATYVSVIAACAHVGQVDLGRLLFESMNSDSKMEPISEDIYGCLVDMLSRSGYLEDARQMIEGMPYTPWPAILRSLLSGCRIHGNIELGEWTAKKLVQLAPENDVPYVLLSKVYSEEGSWEDATKIRREMIERGVLKNTGYSWIEV >ONI27137 pep chromosome:Prunus_persica_NCBIv2:G1:4966412:4970464:-1 gene:PRUPE_1G070000 transcript:ONI27137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLGGVGLTLTSRLRGFILSTTKPRAFHAISKDQLQLYTSKSCSSCSNLLVGKDPTSIVAALSLSETSKSCFLGTQIHSHIIKLGFTDDIFLLNNLIKMYAKCGLVGDGFRVFDKMPDRNLVTWTLMISAAVQDGQFEWGLEIYLGLIRSGLRPNEFTIGSVLKGCAECTSSKAYEFGMSVHCFALKVGIEQNCYVGGSILSMYAKLEDIESAKGVFESMSNLDTAGWNTMIGGYAQCGYGLEALKVVSLMVWRGISMDQFTFVNALKGCSVMGNLDFGKQLHGLIIQSEMEFSTSVMNALSDMYSRNGKKDAALKVFNRIQAKDVISWNTAFGVFSEDKNTREIAKLVHEFMLANMKPNHVTFSILFRQCGEILDLNLGLQFYSLALQFGFWNEANVRSSIINMFSRCGAMDMARLFFDSLLDKNLTSWNELISGYNSNHCYTEARKIFCDLWDLGVEASEVTFSSILESCYKDEHQEMIRQIHGAIVKSGFSVHGYVCSFLIKCYVKFGLLDDSFEFFNGFETLDVESWGTMISALVHQGHLFEAIKFLKSLREAGGKPDEFILGSILNSCADNAGYHLTKSVHSVVIKMGFHSQVFVVSAVIDAYAKCGDIGSARMTFSQSFRSGDVVIHNAMIMACAHHGLDKEAMGIFEKMKLARIKPSQATYVSVIAACAHVGQVDLGRLLFESMNSDSKMEPISEDIYGCLVDMLSRSGYLEDARQMIEGMPYTPWPAILRSLLSGCRIHGNIELGEWTAKKLVQLAPENDVPYVLLSKVYSEEGSWEDATKIRREMIERGVLKNTGYSWIEV >ONI27140 pep chromosome:Prunus_persica_NCBIv2:G1:4967825:4970260:-1 gene:PRUPE_1G070000 transcript:ONI27140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLGGVGLTLTSRLRGFILSTTKPRAFHAISKDQLQLYTSKSCSSCSNLLVGKDPTSIVAALSLSETSKSCFLGTQIHSHIIKLGFTDDIFLLNNLIKMYAKCGLVGDGFRVFDKMPDRNLVTWTLMISAAVQDGQFEWGLEIYLGLIRSGLRPNEFTIGSVLKGCAECTSSKAYEFGMSVHCFALKVGIEQNCYVGGSILSMYAKLEDIESAKGVFESMSNLDTAGWNTMIGGYAQCGYGLEALKVVSLMVWRGISMDQFTFVNALKGCSVMGNLDFGKQLHGLIIQSEMEFSTSVMNALSDMYSRNGKKDAALKVFNRIQAKDVISWNTAFGVFSEDKNTREIAKLVHEFMLANMKPNHVTFSILFRQCGEILDLNLGLQFYSLALQFGFWNEANVRSSIINMFSRCGAMDMARLFFDSLLDKNLTSWNELISGYNSNHCYTEARKIFCDLWDLGVEASEVTFSSILESCYKDEHQEMIRQIHGAIVKSGFSVHGYVCSFLIKCYVKFGLLDDSFEFFNGFETLDVESWGTMISALVHQGHLFEAIKFLKSLREAGGKPDEFILGSILNSCADNAGYHLTKSVHSVVIKMGFHSQVFVVSAVIDAYAKCGDIGSARMTFSQSFRSGDVVIHNAMIMACAHHGLDKEAMGIFEKMKLARIKPSQATYVSVIAACAHVGQVDLGRLLFESMNSDSKMEPISEDIYGCLVDMLSRSGYLEDARQMIEGMPYTPWPAILRSLLSGCRIHGNIELGEWTAKKLVQLAPENDVPYVLLSKVYSEEGSWEDATKIRREMIERGVLKNTGYSWIEV >ONI27139 pep chromosome:Prunus_persica_NCBIv2:G1:4966371:4970447:-1 gene:PRUPE_1G070000 transcript:ONI27139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLGGVGLTLTSRLRGFILSTTKPRAFHAISKDQLQLYTSKSCSSCSNLLVGKDPTSIVAALSLSETSKSCFLGTQIHSHIIKLGFTDDIFLLNNLIKMYAKCGLVGDGFRVFDKMPDRNLVTWTLMISAAVQDGQFEWGLEIYLGLIRSGLRPNEFTIGSVLKGCAECTSSKAYEFGMSVHCFALKVGIEQNCYVGGSILSMYAKLEDIESAKGVFESMSNLDTAGWNTMIGGYAQCGYGLEALKVVSLMVWRGISMDQFTFVNALKGCSVMGNLDFGKQLHGLIIQSEMEFSTSVMNALSDMYSRNGKKDAALKVFNRIQAKDVISWNTAFGVFSEDKNTREIAKLVHEFMLANMKPNHVTFSILFRQCGEILDLNLGLQFYSLALQFGFWNEANVRSSIINMFSRCGAMDMARLFFDSLLDKNLTSWNELISGYNSNHCYTEARKIFCDLWDLGVEASEVTFSSILESCYKDEHQEMIRQIHGAIVKSGFSVHGYVCSFLIKCYVKFGLLDDSFEFFNGFETLDVESWGTMISALVHQGHLFEAIKFLKSLREAGGKPDEFILGSILNSCADNAGYHLTKSVHSVVIKMGFHSQVFVVSAVIDAYAKCGDIGSARMTFSQSFRSGDVVIHNAMIMACAHHGLDKEAMGIFEKMKLARIKPSQATYVSVIAACAHVGQVDLGRLLFESMNSDSKMEPISEDIYGCLVDMLSRSGYLEDARQMIEGMPYTPWPAILRSLLSGCRIHGNIELGEWTAKKLVQLAPENDVPYVLLSKVYSEEGSWEDATKIRREMIERGVLKNTGYSWIEV >ONI27141 pep chromosome:Prunus_persica_NCBIv2:G1:4966574:4970447:-1 gene:PRUPE_1G070000 transcript:ONI27141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLGGVGLTLTSRLRGFILSTTKPRAFHAISKDQLQLYTSKSCSSCSNLLVGKDPTSIVAALSLSETSKSCFLGTQIHSHIIKLGFTDDIFLLNNLIKMYAKCGLVGDGFRVFDKMPDRNLVTWTLMISAAVQDGQFEWGLEIYLGLIRSGLRPNEFTIGSVLKGCAECTSSKAYEFGMSVHCFALKVGIEQNCYVGGSILSMYAKLEDIESAKGVFESMSNLDTAGWNTMIGGYAQCGYGLEALKVVSLMVWRGISMDQFTFVNALKGCSVMGNLDFGKQLHGLIIQSEMEFSTSVMNALSDMYSRNGKKDAALKVFNRIQAKDVISWNTAFGVFSEDKNTREIAKLVHEFMLANMKPNHVTFSILFRQCGEILDLNLGLQFYSLALQFGFWNEANVRSSIINMFSRCGAMDMARLFFDSLLDKNLTSWNELISGYNSNHCYTEARKIFCDLWDLGVEASEVTFSSILESCYKDEHQEMIRQIHGAIVKSGFSVHGYVCSFLIKCYVKFGLLDDSFEFFNGFETLDVESWGTMISALVHQGHLFEAIKFLKSLREAGGKPDEFILGSILNSCADNAGYHLTKSVHSVVIKMGFHSQVFVVSAVIDAYAKCGDIGSARMTFSQSFRSGDVVIHNAMIMACAHHGLDKEAMGIFEKMKLARIKPSQATYVSVIAACAHVGQVDLGRLLFESMNSDSKMEPISEDIYGCLVDMLSRSGYLEDARQMIEGMPYTPWPAILRSLLSGCRIHGNIELGEWTAKKLVQLAPENDVPYVLLSKVYSEEGSWEDATKIRREMIERGVLKNTGYSWIEV >ONI31812 pep chromosome:Prunus_persica_NCBIv2:G1:31450674:31453625:-1 gene:PRUPE_1G332300 transcript:ONI31812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLLKTGHDNLYPVLRIHFQNPEFLIPISQFHSLSETLAPNPKLDFIVNEVKELQSSKDSKPTYKITQLASDTDDPSDRGSLTNVEDCTVQISHPWREWVELMECLLKRGYFEGDENPFRNAELGSKESNRIRTACLNFARDRCSLIRCNFLNFARNIKCLRCDELSEERLAKLREDQDHLPLKKGDWICDKCNFLNFAKNGRCLQCKEKPPKRHINPGEWECDSCNYINFRRNMVCLKCDHKRPKAAHSSEASAEPVREDGGYPNNNSLSFVGGDSDVNDQSYAGQCRQSQDRGVGMWRFVDEGDDDRSHSKSWNEASRFVDFPIAGGKTELSQNPQKRDKWKLKMLERSKGGMRDMANDDGSRSASIPRSLELSDCTDDEEMSDWFGDGKLETASALSEPKKYDF >ONI31815 pep chromosome:Prunus_persica_NCBIv2:G1:31450783:31452059:-1 gene:PRUPE_1G332300 transcript:ONI31815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLLTYGLDPITGTVENKPCLNQMVKESVRRLLKEMVDYSNENLDSNLPKSTSTTMKRVASMPNHSISQEKLQINVPMKQGDWLCPKCNFLNFARNIKCLRCDELSEERLAKLREDQDHLPLKKGDWICDKCNFLNFAKNGRCLQCKEKPPKRHINPGEWECDSCNYINFRRNMVCLKCDHKRPKAAHSSEASAEPVREDGGYPNNNSLSFVGGDSDVNDQSYAGQCRQSQDRGVGMWRFVDEGDDDRSHSKSWNEASRFVDFPIAGGKTELSQNPQKRDKWKLKMLERSKGGMRDMANDDGSRSASIPRSLELSDCTDDEEMSDWFGDGKLETASALSEPKKYDF >ONI31816 pep chromosome:Prunus_persica_NCBIv2:G1:31450674:31453625:-1 gene:PRUPE_1G332300 transcript:ONI31816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLLKTGHDNLYPVLRIHFQNPEFLIPISQFHSLSETLAPNPKLDFIVNEVKELQSSKDSKPTYKITQLASDTDDPSDRGSLTNVEDCTVQISHPWREWVELMECLLKRGYFEGDENPFRNAELGSKESNRIRTACLNFARDRCSLIRLLSRKDIRTIAGCGCPSLDRKVVNSGKRLRAHVGINEGDVCSSCNLRGECERAYVKAREDEGGRTVDVMRFLLTYGLDPITGTVENKPCLNQMVKESVRRLLKEMVDYSNENLDSNLPKSTSTTMKRVASMPNHSISQEKLQINVPMKQGDWLCPKCNFLNFARNIKCLRCDELSEERLAKLREDQDHLPLKKGDWICDKCNFLNFAKNGRCLQCKEKPPKRHINPGEWECDSCNYINFRRNMVCLKCDHKRPKAAHSSEASAEPAKSR >ONI31814 pep chromosome:Prunus_persica_NCBIv2:G1:31450674:31453626:-1 gene:PRUPE_1G332300 transcript:ONI31814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLLKTGHDNLYPVLRIHFQNPEFLIPISQFHSLSETLAPNPKLDFIVNEVKELQSSKDSKPTYKITQLASDTDDPSDRGSLTNVEDCTVQISHPWREWVELMECLLKRGYFEGDENPFRNAELGSKESNRIRTACLNFARDRCSLIRLLSRKDIRTIAGCGCPSLDRKVVNSGKRLRAHVGINEGDVCSSCNLRGECERAYVKAREDEGGRTVDVMRFLLTYGLDPITGTVENKPCLNQMVKESVRRLLKEMVDYSNENLDSNLPKSTSTTMKRVASMPNHSISQEKLQINVPMKQGDWLCPNIPFGRCNFLNFARNIKCLRCDELSEERLAKLREDQDHLPLKKGDWICDKCNFLNFAKNGRCLQCKEKPPKRHINPGEWECDSCNYINFRRNMVCLKCDHKRPKAAHSSEASAEPVREDGGYPNNNSLSFVGGDSDVNDQSYAGQCRQSQDRGVGMWRFVDEGDDDRSHSKSWNEASRFVDFPIAGGKTELSQNPQKRDKWKLKMLERSKGGMRDMANDDGSRSASIPRSLELSDCTDDEEMSDWFGDGKLETASALSEPKKYDF >ONI31813 pep chromosome:Prunus_persica_NCBIv2:G1:31450783:31453457:-1 gene:PRUPE_1G332300 transcript:ONI31813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLLKTGHDNLYPVLRIHFQNPEFLIPISQFHSLSETLAPNPKLDFIVNEVKELQSSKDSKPTYKITQLASDTDDPSDRGSLTNVEDCTVQISHPWREWVELMECLLKRGYFEGDENPFRNAELGSKESNRIRTACLNFARDRCSLIRLLSRKDIRTIAGCGCPSLDRKVVNSGKRLRAHVGINEGDVCSSCNLRGECERAYVKAREDEGGRTVDVMRFLLTYGLDPITGTVENKPCLNQMVKESVRRLLKEMVDYSNENLDSNLPKSTSTTMKRVASMPNHSISQEKLQINVPMKQGDWLCPKCNFLNFARNIKCLRCDELSEERLAKLREDQDHLPLKKGDWICDKCNFLNFAKNGRCLQCKEKPPKRHINPGEWECDSCNYINFRRNMVCLKCDHKRPKAAHSSEASAEPVREDGGYPNNNSLSFVGGDSDVNDQSYAGQCRQSQDRGVGMWRFVDEGDDDRSHSKSWNEASRFVDFPIAGGKTELSQNPQKRDKWKLKMLERSKGGMRDMANDDGSRSASIPRSLELSDCTDDEEMSDWFGDGKLETASALSEPKKYDF >ONI33386 pep chromosome:Prunus_persica_NCBIv2:G1:36377256:36392078:-1 gene:PRUPE_1G421100 transcript:ONI33386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAYARSVTEVLDFFGVDPKRGLTDAQVTQHARLYGKNVLPEEKRASFWKLVLKQFDDLLVKILIVAALVSFVLALINGDTGLTAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVAVGCKIPADMRMIEMLSNQLRVDQAILTGESCSVEKELESTTATNVVYQDKTNILFSGTVVVAGRARAIVVGVGTHTAMGGIHDSMLRTEDEVTPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGLLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSASKVCVLHTVQHAPVISEYSVSGTTYAPEGTIFDSTGLQLELPAQSPCLLHIAMCSALCNESILQYNPDKGNYEKIGESTEVALRVLAEKIGLPGFDSMPSSLNMLSKHERASYCNHYWEDHFKKISVADFTRDRKMMSVLCSRNQLQIMFSKGAPESIISRCTNILCNDDGSTIPLTASIQAELESRFHSFAGKETLRCLALAFKRMPMGLQSLSHNDENDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKTTAESLCRKIGAFDHLADLAGHSYTATEFEELPALQKTLALQRMALFTRVEPSHKRMLVEALRHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFLYFDSGPKLPYSELMNFDSCSTRETTYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVGSIILTMILHVLILYVHPLSVLFSVTPLSWSEWTVVLYLSFPVIIIDEVLKFFSRSSTGIRWFSFRWRRPDSLPKKELHEK >ONI33388 pep chromosome:Prunus_persica_NCBIv2:G1:36377381:36392078:-1 gene:PRUPE_1G421100 transcript:ONI33388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAYARSVTEVLDFFGVDPKRGLTDAQVTQHARLYGKNVLPEEKRASFWKLVLKQFDDLLVKILIVAALVSFVLALINGDTGLTAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVAVGCKIPADMRMIEMLSNQLRVDQAILTGESCSVEKELESTTATNVVYQDKTNILFSGTVVVAGRARAIVVGVGTHTAMGGIHDSMLRTEDEVTPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGLLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSASKVCVLHTVQHAPVISEYSVSGTTYAPEGTIFDSTGLQLELPAQSPCLLHIAMCSALCNESILQYNPDKGNYEKIGESTEVALRVLAEKIGLPGFDSMPSSLNMLSKHERASYCNHYWEDHFKKISVADFTRDRKMMSVLCSRNQLQIMFSKGAPESIISRCTNILCNDDGSTIPLTASIQAELESRFHSFAGKETLRCLALAFKRMPMGLQSLSHNDENDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKTTAESLCRKIGAFDHLADLAGHSYTATEFEELPALQKTLALQRMALFTRVEPSHKRMLVEALRHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFLYFDSGPKLPYSELMNFDSCSTRETTYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLL >ONI33387 pep chromosome:Prunus_persica_NCBIv2:G1:36377256:36392058:-1 gene:PRUPE_1G421100 transcript:ONI33387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAYARSVTEVLDFFGVDPKRGLTDAQVTQHARLYGKNVLPEEKRASFWKLVLKQFDDLLVKILIVAALVSFVLALINGDTGLTAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVAVGCKIPADMRMIEMLSNQLRVDQAILTGESCSVEKELESTTATNVVYQDKTNILFSGTVVVAGRARAIVVGVGTHTAMGGIHDSMLRTEDEVTPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGLLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSASKVCVLHTVQHAPVISEYSVSGTTYAPEGTIFDSTGLQLELPAQSPCLLHIAMCSALCNESILQYNPDKGNYEKIGESTEVALRVLAEKIGLPGFDSMPSSLNMLSKHERASYCNHYWEDHFKKISVADFTRDRKMMSVLCSRNQLQIMFSKGAPESIISRCTNILCNDDGSTIPLTASIQAELESRFHSFAGKETLRCLALAFKRMPMGLQSLSHNDENDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKTTAESLCRKIGAFDHLADLAGHSYTATEFEELPALQKTLALQRMALFTRVEPSHKRMLVEALRHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFLYFDSGPKLPYSELMNFDSCSTRETTYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLLLLSSMKC >ONI33385 pep chromosome:Prunus_persica_NCBIv2:G1:36376243:36392034:-1 gene:PRUPE_1G421100 transcript:ONI33385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAYARSVTEVLDFFGVDPKRGLTDAQVTQHARLYGKNVLPEEKRASFWKLVLKQFDDLLVKILIVAALVSFVLALINGDTGLTAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVAVGCKIPADMRMIEMLSNQLRVDQAILTGESCSVEKELESTTATNVVYQDKTNILFSGTVVVAGRARAIVVGVGTHTAMGGIHDSMLRTEDEVTPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGLLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSASKVCVLHTVQHAPVISEYSVSGTTYAPEGTIFDSTGLQLELPAQSPCLLHIAMCSALCNESILQYNPDKGNYEKIGESTEVALRVLAEKIGLPGFDSMPSSLNMLSKHERASYCNHYWEDHFKKISVADFTRDRKMMSVLCSRNQLQIMFSKGAPESIISRCTNILCNDDGSTIPLTASIQAELESRFHSFAGKETLRCLALAFKRMPMGLQSLSHNDENDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKTTAESLCRKIGAFDHLADLAGHSYTATEFEELPALQKTLALQRMALFTRVEPSHKRMLVEALRHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFLYFDSGPKLPYSELMNFDSCSTRETTYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVGSIILTMILHVLILYVHPLSVLFSVTPLSWSEWTVVLYLSFPVIIIDEVLKFFSRSSTGIRWFSFRWRRPDSLPKKELHEK >ONI33389 pep chromosome:Prunus_persica_NCBIv2:G1:36381483:36392056:-1 gene:PRUPE_1G421100 transcript:ONI33389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAYARSVTEVLDFFGVDPKRGLTDAQVTQHARLYGKNVLPEEKRASFWKLVLKQFDDLLVKILIVAALVSFVLALINGDTGLTAFLEPSVILMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVAVGCKIPADMRMIEMLSNQLRVDQAILTGESCSVEKELESTTATNVVYQDKTNILFSGTVVVAGRARAIVVGVGTHTAMGGIHDSMLRTEDEVTPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGLLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSASKVCVLHTVQHAPVISEYSVSGTTYAPEGTIFDSTGLQLELPAQSPCLLHIAMCSALCNESILQYNPDKGNYEKIGESTEVALRVLAEKIGLPGFDSMPSSLNMLSKHERASYCNHYWEDHFKKISVADFTRDRKMMSVLCSRNQLQIMFSKGAPESIISRCTNILCNDDGSTIPLTASIQAELESRFHSFAGKETLRCLALAFKRMPMGLQSLSHNDENDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKTTAESLCRKIGAFDHLADLAGHSYTATEFEELPALQKTLALQRMALFTRVEPSHKRMLVEALRHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSSN >ONI36220 pep chromosome:Prunus_persica_NCBIv2:G1:46913355:46917507:-1 gene:PRUPE_1G576000 transcript:ONI36220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMAGVGVGSTSTTSLPEEEITLTVKWSGKEYTVRVCGDDTVGELKRRICQATDVLPKRQKLLYPKILPSKLADDAVLLSSVPFKSSLKMTMIGTTEDDIIVDQVESPDIVDDFELPQDEAVEIKDKHGNKQKLRRRISQYKIELRNPCREGKKLLVLDIDYTLFDHRSPAENPLQLMRPFLHEFLAAAYAEYDIMIWSATSMKWVELKMAELGVLSNPNYKITALLDHLAMITVQSETRGIFDCKPLGLIWAQFPEFYSSKNTIMFDDLRRNFVMNPQNGLTIKPFKRAHSSRDSDQELRKLTTYLLAIAELDDLSTLDHNNWQSFSEDNVKRRRHQ >ONI28881 pep chromosome:Prunus_persica_NCBIv2:G1:13504822:13505560:1 gene:PRUPE_1G166400 transcript:ONI28881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEHINKPCKSASRCVFSGCNEAEDHVSQVLWISLFRCQKPLNNVYFLQLYIQLY >ONI29882 pep chromosome:Prunus_persica_NCBIv2:G1:23403002:23403408:1 gene:PRUPE_1G219400 transcript:ONI29882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRKKGCRFFVWVDVEFPPREKAPVSWLLRRLKELEKDVGRCQSRERKLMCWLILSWGLVMLLLFWQCL >ONI34616 pep chromosome:Prunus_persica_NCBIv2:G1:40818450:40820699:-1 gene:PRUPE_1G490500 transcript:ONI34616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGNGEMESLDLPECPVCLQNYDGEYTIPRVLACGHSACEACLVRLPERYPETIRCPACTQLVKYPPLGPTALPKNIDLLSFSLSLNPNPNSRSSQNPQKQSTDGVCKFLPRIWSDEFYDTWKEWVLPSDALSVETEVGDVTRDGLCTVLKGRTGSGSGFGLGSGRVWFREDQSVSFVQVGSLPNLGSSGFEFSYIARVMKCLSGMREGERNELGLLLRASVRQCRKVGKVYGLWGNSEDGFLYVVCERRNGSFSEKLNELRDGDGFGKDGLSAFAMIAMEVCEAVTGLHSEGFASGCFGVSCFGFDDFGHVFVDLSEVLVTGRKAWRSVVDSVSGTMEIDAEVLGVTFGKLLKDDVFISPEVLFEALQKEGIAVESDSSRYLVGYGSDVCSLACVLVRLLLGKEFSEEIVKTSENLFRDHSTYASWIERVSALLEIKFGSEYASLKENLCNCLNFNPESRPLMIDVMKCIRELIIKPQCDITAGLDGAVKDESANCCLILGELCQIPKQISETQKENELQGSKVSGGADFDQIGDERTNNGVVDGLAEGNVKSKVMQGHRDSITGLAVGGELLFSSSFDKTIHLWSLQLFFIDLLTERLIS >ONI34615 pep chromosome:Prunus_persica_NCBIv2:G1:40817057:40820671:-1 gene:PRUPE_1G490500 transcript:ONI34615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGNGEMESLDLPECPVCLQNYDGEYTIPRVLACGHSACEACLVRLPERYPETIRCPACTQLVKYPPLGPTALPKNIDLLSFSLSLNPNPNSRSSQNPQKQSTDGVCKFLPRIWSDEFYDTWKEWVLPSDALSVETEVGDVTRDGLCTVLKGRTGSGSGFGLGSGRVWFREDQSVSFVQVGSLPNLGSSGFEFSYIARVMKCLSGMREGERNELGLLLRASVRQCRKVGKVYGLWGNSEDGFLYVVCERRNGSFSEKLNELRDGDGFGKDGLSAFAMIAMEVCEAVTGLHSEGFASGCFGVSCFGFDDFGHVFVDLSEVLVTGRKAWRSVVDSVSGTMEIDAEVLGVTFGKLLKDDVFISPEVLFEALQKEGIAVESDSSRYLVGYGSDVCSLACVLVRLLLGKEFSEEIVKTSENLFRDHSTYASWIERVSALLEIKFGSEYASLKENLCNCLNFNPESRPLMIDVMKCIRELIIKPQCDITAGLDGAVKDESANCCLILGELCQIPKQISETQKENELQGSKVSGGADFDQIGDERTNNGVVDGLAEGNVKSKVMQGHRDSITGLAVGGELLFSSSFDKTIHLWSLQDFSHVHTFKGHEHAIKALIYVDEEQPLCISGDSGGDIFIWGACTPLGQEPLKILYEEKDWRFSGIHALASRNGYVYTGSGDRTVKAWSVRDGTLSCTMSGHRSVVSTLAVCDGVLYSGSWDGTIRLWSLSDHSPLTVLEEDTSGTVTSVLSLAVDRHLLIATHENGCVKVWRNDVFMKSIKMHNGAVFASGMEGKWLFTGGWDKTVNIQELSGDEIQIDYRPVGFIPCDSVITTLLSWQGKLFVGHANRNITVFYYGKMTNR >ONI34614 pep chromosome:Prunus_persica_NCBIv2:G1:40816625:40820699:-1 gene:PRUPE_1G490500 transcript:ONI34614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGNGEMESLDLPECPVCLQNYDGEYTIPRVLACGHSACEACLVRLPERYPETIRCPACTQLVKYPPLGPTALPKNIDLLSFSLSLNPNPNSRSSQNPQKQSTDGVCKFLPRIWSDEFYDTWKEWVLPSDALSVETEVGDVTRDGLCTVLKGRTGSGSGFGLGSGRVWFREDQSVSFVQVGSLPNLGSSGFEFSYIARVMKCLSGMREGERNELGLLLRASVRQCRKVGKVYGLWGNSEDGFLYVVCERRNGSFSEKLNELRDGDGFGKDGLSAFAMIAMEVCEAVTGLHSEGFASGCFGVSCFGFDDFGHVFVDLSEVLVTGRKAWRSVVDSVSGTMEIDAEVLGVTFGKLLKDDVFISPEVLFEALQKEGIAVESDSSRYLVGYGSDVCSLACVLVRLLLGKEFSEEIVKTSENLFRDHSTYASWIERVSALLEIKFGSEYASLKENLCNCLNFNPESRPLMIDVMKCIRELIIKPQCDITAGLDGAVKDESANCCLILGELCQIPKQISETQKENELQGSKVSGGADFDQIGDERTNNGVVDGLAEGNVKSKVMQGHRDSITGLAVGGELLFSSSFDKTIHLWSLQDFSHVHTFKGHEHAIKALIYVDEEQPLCISGDSGGDIFIWGACTPLGQEPLKILYEEKDWRFSGIHALASRNGYVYTGSGDRTVKAWSVRDGTLSCTMSGHRSVVSTLAVCDGVLYSGSWDGTIRLWSLSDHSPLTVLEEDTSGTVTSVLSLAVDRHLLIATHENGCVKVWRNDVFMKSIKMHNGAVFASGMEGKWLFTGGWDKTVNIQELSGDEIQIDYRPVGFIPCDSVITTLLSWQGKLFVGHANRNITAMLLWCVCFQLLIKLS >ONI35004 pep chromosome:Prunus_persica_NCBIv2:G1:41998686:42001846:1 gene:PRUPE_1G509700 transcript:ONI35004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESENPLLNEFGASQSTPPEPAPIADQCLKPTLDDCTSFNRNRIADYGIQTSRDAKRVAGSAKISELSNKNRTLSSNTLMSSEQEIECGGASMKLASGFLKQKQFEEDIQCRLINCDTPTKGRKRSQSSLGLPTSSQLKRSKRSSPAERRPSSIDDLPDTALVEILCRLPSSKFVFQCQRVSKRWRTLVSDPYFIGRFVHIQSYRKTPKILTLISKKGEEFPPKMPWPSKLLTPVFERIMSFHPLISQLVVVATYNDLVLCCASEYYPRDYYICNAYTRQWVALPPPPSRCHDSSVIFLTIILRKKIGKGITSSLMLSVCATL >ONI31341 pep chromosome:Prunus_persica_NCBIv2:G1:30004951:30009389:1 gene:PRUPE_1G307300 transcript:ONI31341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLKANNVAVVPNNLHYGALNTSTGCLDIGSTITGTGGGCVETGHHQYMYHQQKGTTSSGNGHFENWGDSAMADNSQQTDDTSTDVDTDDKNQLHGIPHGALTVVDSMEQPRERSGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLRLSHLEQELQRARQQGMFIATGLSGDNGHSMAGNGALAFDLEYARWLDEHQRLIHDMRSAVNSHTGDNELRILVDSVMTHYDEIFRLKSIAAKTDVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNQLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVDTLCSGTHGPTGSGNVADYMGQMAIAMGKLATLENFLHQADLLRQQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRD >ONI31343 pep chromosome:Prunus_persica_NCBIv2:G1:30004951:30009389:1 gene:PRUPE_1G307300 transcript:ONI31343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLKANNVAVVPNNLHYGALNTSTGCLDIGSTITGTGGGCVETGHHQYMYHQQKGTTSSGNGHFENWGDSAMADNSQQTDDTSTDVDTDDKNQLHGIPHGALTVVDSMEQPRERSGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLRLSHLEQELQRARQQGMFIATGLSGDNGHSMAGNGALAFDLEYARWLDEHQRLIHDMRSAVNSHTGDNELRILVDSVMTHYDEIFRLKSIAAKTDVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNQLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVDTLCSGTHGPTGSGNVADYMGQMAIAMGKLATLENFLHQADLLRQQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRD >ONI31339 pep chromosome:Prunus_persica_NCBIv2:G1:30004951:30009389:1 gene:PRUPE_1G307300 transcript:ONI31339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKAASTNPELYSHSSFYFRGDDTNRNQTRFTDLGELEQSATVFPHDDAVDLIPSSMFSLKANNVAVVPNNLHYGALNTSTGCLDIGSTITGTGGGCVETGHHQYMYHQQKGTTSSGNGHFENWGDSAMADNSQQTDDTSTDVDTDDKNQLHGIPHGALTVVDSMEQPRERSGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLRLSHLEQELQRARQQGMFIATGLSGDNGHSMAGNGALAFDLEYARWLDEHQRLIHDMRSAVNSHTGDNELRILVDSVMTHYDEIFRLKSIAAKTDVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNQLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVDTLCSGTHGPTGSGNVADYMGQMAIAMGKLATLENFLHQADLLRQQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRD >ONI31342 pep chromosome:Prunus_persica_NCBIv2:G1:30005792:30009389:1 gene:PRUPE_1G307300 transcript:ONI31342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLKANNVAVVPNNLHYGALNTSTGCLDIGSTITGTGGGCVETGHHQYMYHQQKGTTSSGNGHFENWGDSAMADNSQQTDDTSTDVDTDDKNQLHGIPHGALTVVDSMEQPRERSGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLRLSHLEQELQRARQQGMFIATGLSGDNGHSMAGNGALAFDLEYARWLDEHQRLIHDMRSAVNSHTGDNELRILVDSVMTHYDEIFRLKSIAAKTDVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNQLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVDTLCSGTHGPTGSGNVADYMGQMAIAMGKLATLENFLHQADLLRQQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRD >ONI31340 pep chromosome:Prunus_persica_NCBIv2:G1:30004951:30009389:1 gene:PRUPE_1G307300 transcript:ONI31340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKAASTNPELYSHSSFYFRGDDTNRNQTRFTDLGELEQSATVFPHDDAVDLIPSSMFSLKANNVAVVPNNLHYGALNTSTGCLDIGSTITGTGGGCVETGHHQYMYHQQKGTTSSGNGHFENWGDSAMADNSQQTDDTSTDVDTDDKNQLHGIPHGALTVVDSMEQPRERSGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLRLSHLEQELQRARQQGMFIATGLSGDNGHSMAGNGALAFDLEYARWLDEHQRLIHDMRSAVNSHTGDNELRILVDSVMTHYDEIFRLKSIAAKTDVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNQLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVDTLCSGTHGPTGSGNVADYMGQMAIAMGKLATLENFLHQADLLRQQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRD >ONI33514 pep chromosome:Prunus_persica_NCBIv2:G1:36870086:36872519:-1 gene:PRUPE_1G430000 transcript:ONI33514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKKLADRIKGPWSPEEDEALQKLVKNYGPRNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFSPDEDDTIIRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSSMSEDLTPDVHSHPAHKRSASVGAVTAVSGLYLNPGSPSGSDMSDSSLPGIVSPTQVFRPLVRTGPLIAPPMEASSSAVDPPTSLSLSLPGSDSSDGSNHLASGFGSNPGVSPTSMVQQPQEAAAAVAGAQLPRQSNQNSEMGYDQQFFSSEFLDVMQEMIRKEVRNYMSGVEQTGLCMHTEAIRNAVVKRIGISKIE >ONI26631 pep chromosome:Prunus_persica_NCBIv2:G1:2519892:2521933:1 gene:PRUPE_1G036100 transcript:ONI26631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATNTNEVQTNYDRQSELKAFDDTKDGVKGLVDAGITEVPRIFHQPPDEHFIDNTSDSEATQTQFSIPVIDLEGLALDSPTKRKEIVAKVGEASETWGFFQIANHGIPIGVLEEIKDGVRGFFEQDTEVKKELYTRDYFRPVIYNSNFDLYKAPATNWRDTFICYMAPYAKKPEDLPEVCRDILIEYSKQIMRLGKLLFELLSEALGLTPSHLNDIDCSEGLVLLCNYYPACPQPELTLGTSKHADSYFLTVLLQDHIGGLQVLHKNKWIDVPPVPGALVVNVGDLLQLISNERFKSVEHRVLANRVGPRVSVASFFTTGMLPLKKLYGPIKELVSGDNPPKYREKNCEGL >ONI27475 pep chromosome:Prunus_persica_NCBIv2:G1:6670129:6678501:-1 gene:PRUPE_1G089300 transcript:ONI27475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLTSLLLRPTRHQLHHRHVIKHLSTTAGPAATNPSPPLTVPLSHPIYLIWSSNTSLGKTLVSAGLAASSLLSRHRRRSFLYLKPVQTGYPADSDSHFVFSKLTSLVRRSPHLSLLASNHVLKASASAASSISAPQAPKNFDKGMRDLDWCEERRVVGDNSETGPISELLCKTMYAWGDPVSPHLAAEREGGEVEDSALLKMLQRCLQIGVGKEKADSFCVVETAGGVASPGPSGSLQCDLYRPFRLPAILVGDGRLGGISGTISAYESLKIRGYDVVAVVFEDHGLVNEVPLASYFRNSVPVLVLPPIPQDLSDDLMEWYDDAHNVFDSLMEIMLSAYTERTKRLHDMPRRAVDVFWWPFTQHTFVSEGDVTVIDSRCGENFSVFKAQNDDVITQQFDACASWWTQGPDATLQTELARDMGYATARFGHVMFPENVYEPALECAELLLEGVGKGWASRTYFSDNGSTAIEIALKMAFRKFSYDHGILLDHLKENTAERYPELVVLALKGSYHGDTLGAMEAQAPSSYTGFLQQPWYNGRGFFLDPPMVFLSNNMWNLSLPEGLHSRSLNLKNMTFGSRDDIFVKSRDKSDLAGIYSTYISEQLSKFPESKGYIGALIIEPVIQGAGGMHMVDPLFQRVLVNECRKKNIPTIFDEVFTGFWRLGTESAAELLSCFPDIACFGKLMTGGTVPLAATLATNAVFDSFIGDSKLKALLHGHSYSAHAIGCTAAAKSIRWFKDSQRNPNITSKGSLLSELWDDKLVYQISSHPAIQRVVVLGTLCALELRVEGCNAGYGSRYASSLLKKLREDGVYMRPLGHVIYLMCGPCTSPKVCNEALTKVYRRLEELSQSQNN >ONI27477 pep chromosome:Prunus_persica_NCBIv2:G1:6669914:6678501:-1 gene:PRUPE_1G089300 transcript:ONI27477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLTSLLLRPTRHQLHHRHVIKHLSTTAGPAATNPSPPLTVPLSHPIYLIWSSNTSLGKTLVSAGLAASSLLSRHRRRSFLYLKPVQTGYPADSDSHFVFSKLTSLVRRSPHLSLLASNHVLKASASAASSISAPQAPKNFDKGMRDLDWCEERRVVGDNSETGPISELLCKTMYAWGDPVSPHLAAEREGGEVEDSALLKMLQRCLQIGVGKEKADSFCVVETAGGVASPGPSGSLQCDLYRPFRLPAILVGDGRLGGISGTISAYESLKIRGYDVVAVVFEDHGLVNEVPLASYFRNSVPVLVLPPIPQDLSDDLMEWYDDAHNVFDSLMEIMLSAYTERTKRLHDMPRRAVDVFWWPFTQHTFVSEGDVTVIDSRCGENFSVFKAQNDDVITQQFDACASWWTQGPDATLQTELARDMGYATARFGHVMFPENVYEPALECAELLLEGVGKGWASRTYFSDNGSTAIEIALKMAFRKFSYDHGILLDHLKENTAERYPELVVLALKGSYHGDTLGAMEAQAPSSYTGFLQQPWYNGRGFFLDPPMVFLSNNMWNLSLPEGLHSRSLNLKNMTFGSRDDIFVKSRDKSDLAGIYSTYISEQLSKFPESKGYIGALIIEPVIQGAGGMHMVDPLFQRVLVNECRKKNIPTIFDEVFTGFWRLGTESAAELLSCFPDIACFGKLMTGGTVPLAATLATNAVFDSFIGDSKLKALLHGHSYSAHAIGCTAAAKSIRWFKDSQRNPNITSKGSLLSELWDDKLVYQISSHPAIQRVVVLGTLCALELRVEGCNAGYGSRYASSLLKKLREDGVYMRPLGHVIYLMCGPCTSPKVCNEALTKVYRRLEELSQSQNN >ONI27476 pep chromosome:Prunus_persica_NCBIv2:G1:6668563:6678502:-1 gene:PRUPE_1G089300 transcript:ONI27476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLTSLLLRPTRHQLHHRHVIKHLSTTAGPAATNPSPPLTVPLSHPIYLIWSSNTSLGKTLVSAGLAASSLLSRHRRRSFLYLKPVQTGYPADSDSHFVFSKLTSLVRRSPHLSLLASNHVLKASASAASSISAPQAPKNFDKGMRDLDWCEERRVVGDNSETGPISELLCKTMYAWGDPVSPHLAAEREGGEVEDSALLKMLQRCLQIGVGKEKADSFCVVETAGGVASPGPSGSLQCDLYRPFRLPAILVGDGRLGGISGTISAYESLKIRGYDVVAVVFEDHGLVNEVPLASYFRNSVPVLVLPPIPQDLSDDLMEWYDDAHNVFDSLMEIMLSAYTERTKRLHDMPRRAVDVFWWPFTQHTFVSEGDVTVIDSRCGENFSVFKAQNDDVITQQFDACASWWTQGPDATLQTELARDMGYATARFGHVMFPENVYEPALECAELLLEGVGKGWASRTYFSDNGSTAIEIALKMAFRKFSYDHGILLDHLKENTAERYPELVVLALKGSYHGDTLGAMEAQAPSSYTGFLQQPWYNGRGFFLDPPMVFLSNNMWNLSLPEGLHSRSLNLKNMTFGSRDDIFVKSRDKSDLAGIYSTYISEQLSKFPESKGYIGALIIEPVIQGAGGMHMVDPLFQRVLVNECRKKNIPTIFDEVFTGFWRLGTESAAELLSCFPDIACFGKLMTGGTVPLAATLATNAVFDSFIGDSKLKALLHGHSYSAHAIGCTAAAKSIRWFKDSQRNPNITSKGSLLSELWDDKLVYQISSHPAIQRVVVLGTLCALELRVEGCNAGYGSRYASSLLKKLREDGVYMRPLGHVIYLMCGPCTSPKVCNEALTKVYRRLEELSQSQNN >ONI34407 pep chromosome:Prunus_persica_NCBIv2:G1:40139853:40142198:1 gene:PRUPE_1G480600 transcript:ONI34407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRCCLLSQTERCFGKKPCCSFFVFTGACFRAFMALLTEKFKRKCEALFSSRGCLGCCTGTQPRLLIAVDEPSNRLRIQGRSVRKNSISEDFWSTSTMEMENSGVQCQKSISSVSTSNPIDSHGTSGSTSNTPEFVNHGLLLWNQTRSQWLANRSQHQTQLREPRISWNATYESLLSTNKPFRQPIPLPEMIDFLVDIWEQEGLYD >ONI34405 pep chromosome:Prunus_persica_NCBIv2:G1:40138873:40142543:1 gene:PRUPE_1G480600 transcript:ONI34405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRCCLLSQTERCFGKKPCCSFFVFTGACFRAFMALLTEKFKRKCEALFSSRGCLGCCTGTQPRLLIAVDEPSNRLRIQGRSVRKNSISEDFWSTSTMEMENSGVQCQKSISSVSTSNPIDSHGTSGSTSNTPEFVNHGLLLWNQTRSQWLANRSQHQTQLREPRISWNATYESLLSTNKPFRQPIPLPEMIDFLVDIWEQEGLYD >ONI34406 pep chromosome:Prunus_persica_NCBIv2:G1:40138387:40142441:1 gene:PRUPE_1G480600 transcript:ONI34406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRCCLLSQTERCFGKKPCCSFFVFTGACFRAFMALLTEKFKRKCEALFSSRGCLGCCTGTQPRLLIAVDEPSNRLRIQGRSVRKNSISEDFWSTSTMEMENSGVQCQKSISSVSTSNPIDSHGTSGSTSNTPEFVNHGLLLWNQTRSQWLANRSQHQTQLREPRISWNATYESLLSTNKPFRQPIPLPEMIDFLVDIWEQEGLYD >ONI34403 pep chromosome:Prunus_persica_NCBIv2:G1:40138356:40142543:1 gene:PRUPE_1G480600 transcript:ONI34403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCRYLPSWVSAIFTCMGGCLGCCTGTQPRLLIAVDEPSNRLRIQGRSVRKNSISEDFWSTSTMEMENSGVQCQKSISSVSTSNPIDSHGTSGSTSNTPEFVNHGLLLWNQTRSQWLANRSQHQTQLREPRISWNATYESLLSTNKPFRQPIPLPEMIDFLVDIWEQEGLYD >ONI34404 pep chromosome:Prunus_persica_NCBIv2:G1:40138387:40142441:1 gene:PRUPE_1G480600 transcript:ONI34404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSRGCLGCCTGTQPRLLIAVDEPSNRLRIQGRSVRKNSISEDFWSTSTMEMENSGVQCQKSISSVSTSNPIDSHGTSGSTSNTPEFVNHGLLLWNQTRSQWLANRSQHQTQLREPRISWNATYESLLSTNKPFRQPIPLPEMIDFLVDIWEQEGLYD >ONI35151 pep chromosome:Prunus_persica_NCBIv2:G1:42590779:42594327:1 gene:PRUPE_1G518600 transcript:ONI35151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFSCFSVIVRRKKKVQRDYESARPVEVDKAIRTLQVRLQQQPVKHLEIDGTLKPATLGVLIPYGVEKKYTTPSGKARSPYSPIGFVEAAFYEGEDEHEGNPLERENCCNDCSHLQTPEANSGEVTPSGKMDLSESDSGDRGVDRIESGHLSDPGVGKAEFWASPKLTRSCSNLETHHTDVVKKMSSKLPASKTQYFEELHELADSVTKYVDPGSPGSVLTHFTADKVMLKKHSSSQVLPSRSRKLWWKLFLWSHRNLHKTRTAKQKTPSPISLNKQGGYSSDTLEPNRAMQFVKMESPLSCTGESLNKGKNIADDNKSWDGFNVGGSALWPQNQWVAFSADTSSSTRVQEWVKDLKMQPSENNEYDYEGLITPPTPPTPETPQSPTLNSSTTVAHISGMGLKAIPNISCLGSLRSINLSNNFLAHITPGSLPKSLHTLNLSKNKISTIEGLRDLTRLRVLDVSYNRISRVGRGLSSCTLIKELYLTGNKISDVEGLHRLLKLTVLDLSFNKITTTKAMGQLVANYNSLQALNLLGNPIQNNISDEQLRKAVCGFLPKLVYLNKQSIKPQRAREVLTNSVAKAALGNKGWSPRRKDGKRLNQKRASLKKPNTISPRKTSSLPIHGHKSGASDVQKNIRKSKSISIAALPSSSH >ONI35150 pep chromosome:Prunus_persica_NCBIv2:G1:42590795:42594312:1 gene:PRUPE_1G518600 transcript:ONI35150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFSCFSVIVRRKKKVQRDYESARPVEVDKAIRTLQVRLQQQPVKHLEIDGTLKPATLGVLIPYGVEKKYTTPSGKARSPYSPIGFVEAAFYEGEDEHEGNPLERENCCNDCSHLQTPEANSGEVTPSGKMDLSESDSGDRGVDRIESGHLSDPGVGKAEFWASPKLTRSCSNLETHHTDVVKKMSSKLPASKTQYFEELHELADSVTKYVDPGSPGSVLTHFTADKVMLKKHSSSQVLPSRSRKLWWKLFLWSHRNLHKTRTAKQKTPSPISLNKQGGYSSDTLEPNRAMQFVKMESPLSCTGESLNKGKNIADDNKSWDGFNVGGSALWPQNQWVAFSADTSSSTRVQEWVKDLKMQPSENNEYDYEGLITPPTPPTPETPQSPVSPETTRSKSATYFSRGLDEDTLHANTVIQTLNSSTTVAHISGMGLKAIPNISCLGSLRSINLSNNFLAHITPGSLPKSLHTLNLSKNKISTIEGLRDLTRLRVLDVSYNRISRVGRGLSSCTLIKELYLTGNKISDVEGLHRLLKLTVLDLSFNKITTTKAMGQLVANYNSLQALNLLGNPIQNNISDEQLRKAVCGFLPKLVYLNKQSIKPQRAREVLTNSVAKAALGNKGWSPRRKDGKRLNQKRASLKKPNTISPRKTSSLPIHGHKSGASDVQKNIRKSKSISIAALPSSSH >ONI35149 pep chromosome:Prunus_persica_NCBIv2:G1:42590648:42594328:1 gene:PRUPE_1G518600 transcript:ONI35149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFSCFSVIVRRKKKVQRDYESARPVEVDKAIRTLQVRLQQQPVKHLEIDGTLKPATLGVLIPYGVEKKYTTPSGKARSPYSPIGFVEAAFYEGEDEHEGNPLERENCCNDCSHLQTPEANSGEVTPSGKMDLSESDSGDRGVDRIESGHLSDPGVGKAEFWASPKLTRSCSNLETHHTDVVKKMSSKLPASKTQYFEELHELADSVTKYVDPGSPGSVLTHFTADKVMLKKHSSSQVLPSRSRKLWWKLFLWSHRNLHKTRTAKQKTPSPISLNKQGGYSSDTLEPNRAMQFVKMESPLSCTGESLNKGKNIADDNKSWDGFNVGGSALWPQNQWVAFSADTSSSTRVQEWVKDLKMQPSENNEYDYEGLITPPTPPTPETPQSPVSPETTRSKSATYFSRGLDEDTLHANTVIQTLNSSTTVAHISGMGLKAIPNISCLGSLRSINLSNNFLAHITPGSLPKSLHTLNLSKNKISTIEGLRDLTRLRVLDVSYNRISRVGRGLSSCTLIKELYLTGNKISDVEGLHRLLKLTVLDLSFNKITTTKAMGQLVANYNSLQALNLLGNPIQNNISDEQLRKAVCGFLPKLVYLNKQSIKPQRAREVLTNSVAKAALGNKGWSPRRKDGKRLNQKRASLKKPNTISPRKTSSLPIHGHKSGASDVQKNIRKSKSISIAALPSSSH >ONI35629 pep chromosome:Prunus_persica_NCBIv2:G1:44704863:44710271:-1 gene:PRUPE_1G546900 transcript:ONI35629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFAVKAWSSGKARAGVLQLGSCPGPIETPSLLLSTRKGLPHFIPPDLLYSLPSPDSHLFHVSSLHFLEGLSPQTISKIGGLHQMLGLPEHGFVCIPRDSIQCLPECNSSNKFGASFETPCGRRLIKPVEYVELISSLRPNIWTTLADEVPTWVSEKRNKTSVDRTIRWLDECIALNPDILQEEKPRLITGLGLPEEILQGVAAGIDVFDSTYLCHLTLGGFALTFPLDGVEMNTSDNIGSDQTKINLRATVYRKDISPIVENCNCYTCKNHTKAYINHLLNVHEMLAQTLLEIHNTHHYIGFFCSVREAIKSGNFEQFRQKFVEGRCYHVAAEAAAACM >ONI35630 pep chromosome:Prunus_persica_NCBIv2:G1:44705301:44710113:-1 gene:PRUPE_1G546900 transcript:ONI35630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFAVKAWSSGKARAGVLQLGSCPGPIETPSLLLSTRKGLPHFIPPDLLYSLPSPDSHLFHVSSLHFLEGLSPQTISKIGGLHQMLGLPEHGFVCIPRDSIQCLPECNSSNKFGASFETPCGRRLIKPVEYVELISSLRPNIWTTLADEVPTWVSEKRNKTSVDRTIRWLDECIALNPAGGPVFGSIVGGCSVKERERCAQEAARRNLSGYWIGGFGLGESMDERPALLNAVTDILQEEKPRLITGLGLPEEILQGVAAGIDVFDSTYLCHLTLGGFALTFPLDGVEMNTSDNIGSDQTKINLRATVYRKDISPIVENCNCYTCKNHTKAYINHLLNVHEMLAQTLLEIHNTHHYIGFFCSVREAIKSGNFEQFRQKFVEGRCYHVAAEAAAACM >ONI35632 pep chromosome:Prunus_persica_NCBIv2:G1:44705469:44710271:-1 gene:PRUPE_1G546900 transcript:ONI35632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFAVKAWSSGKARAGVLQLGSCPGPIETPSLLLSTRKGLPHFIPPDLLYSLPSPDSHLFHVSSLHFLEGLSPQTISKIGGLHQMLGLPEHGFVCIPRDSIQCLPECNSSNKFGASFETPCGRRLIKPVEYVELISSLRPNIWTTLADEVPTWVSEKRNKTSVDRTIRWLDECIALNPAGGPVFGSIVGGCSVKERERCAQEAARRNLSGYWIGGFGLGESMDERPALLNAVTDILQEEKPRLITGLGLPEEILQGVAAGIDVFDSTYLCHLTLGGFALTFPLDGVEMNTSDNIGSDQTKINLRATVYRKDISPIVENCNCYTCKNHTKAYINHLLNVHEMLAQTLLEMYVLRCFQ >ONI35631 pep chromosome:Prunus_persica_NCBIv2:G1:44705420:44710113:-1 gene:PRUPE_1G546900 transcript:ONI35631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFAVKAWSSGKARAGVLQLGSCPGPIETPSLLLSTRKGLPHFIPPDLLYSLPSPDSHLFHVSSLHFLEGLSPQTISKIGGLHQMLGLPEHGFVCIPRDSIQCLPECNSSNKFGASFETPCGRRLIKPVEYVELISSLRPNIWTTLADEVPTWVSEKRNKTSVDRTIRWLDECIALNPAGGPVFGSIVGGCSVKERERCAQEAARRNLSGYWIGGFGLGESMDERPALLNAVTDILQEEKPRLITGLGLPEEILQGVAAGIDVFDSTYLCHLTLGGFALTFPLDGVEMNTSDNIGSDQTKINLRATVYRKDISPIVENCNCYTCKNHTKAYINHLLNVHEMLAQTLLEISSFNFADIILTII >ONI26193 pep chromosome:Prunus_persica_NCBIv2:G1:710245:715134:1 gene:PRUPE_1G008700 transcript:ONI26193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVKKKARAAPQKDKWIAADSPKKAPQPSNPSVKNGDDAVSVPKEKKPCPHVDKGVDLNKLSSKIGSSELVRCEDCREGALDRRGGKGKGKHGKKKGSASVDSKSESKAIWVCLECGHYSCGGVGLPTTPQCHAIRHARQTRHPLVIHFENPQLRWCFSCSMLIKIDKMEENSEQKDVFSDVVKLIKGHSSEESSVNVEDVWFGNGSVTSDIKSANNISSDLDGRGGYMVRGLVNLGNTCFFNSVLQNILAIDRLRGYFLNVDAFSGALTISLKKLFTETKPEAGFRNVINPRAFFGCVCSKAPQFRGYQQQDSHELLRCLLDGLCTEELSMRKRISSSRENGNSSNPGPTFVDAVFGGQISSTVSCVECGHSSTVYESFLDLSLPVPTRKCPPKTAQPTSRAKKTKLPPKRSGKVRSKINKDKSSAPSSSVATPSTSSEVSSQPQSGSTDPNVVEQWGLVMKNLSAVQESENEQVFEDAAEQTSTLLNDCTWLDYLDMGNMSDDNDFVSQNNDASTVQDSENKNALNDILLPPDSESGNQVSTLNGKPNVKPDFSSVNPWEEELPLQVQGSEVLLLPYKEECSVTEEIIGREDEASSSVVGGGQDEFDGFGDLFNEPEVAAGPTARPSVGEGGTETGFVASESDPDEVDDSDSPVSVESCLAHFIKPELLANENAWHCENCSRTLQRQRLEVKKQVKSSAQILINGCETRAESDSLSLNMGLCPADVRNLSNGNLNSSTGCNHFGEDLHDGKINCSSIENGRSDKLNAAVRQQQEGNNEMKDALPVQSNTSDCNNTCSRESYIDQAIESCADEPRTAGCTSDNVPQTYSGILDCKHESEESEDEEINSKCVKVKRDATKRVLINRTPPILTIHLKRFSQDARGRLSKLNGHVSFREKIDLRPYMDSRTEDSGEVFHQRKKL >ONI26190 pep chromosome:Prunus_persica_NCBIv2:G1:710651:716872:1 gene:PRUPE_1G008700 transcript:ONI26190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVKKKARAAPQKDKWIAADSPKKAPQPSNPSVKNGDDAVSVPKEKKPCPHVDKGVDLNKLSSKIGSSELVRCEDCREGALDRRGGKGKGKHGKKKGSASVDSKSESKAIWVCLECGHYSCGGVGLPTTPQCHAIRHARQTRHPLVIHFENPQLRWCFSCSMLIKIDKMEENSEQKDVFSDVVKLIKGHSSEESSVNVEDVWFGNGSVTSDIKSANNISSDLDGRGGYMVRGLVNLGNTCFFNSVLQNILAIDRLRGYFLNVDAFSGALTISLKKLFTETKPEAGFRNVINPRAFFGCVCSKAPQFRGYQQQDSHELLRCLLDGLCTEELSMRKRISSSRENGNSSNPGPTFVDAVFGGQISSTVSCVECGHSSTVYESFLDLSLPVPTRKCPPKTAQPTSRAKKTKLPPKRSGKVRSKINKDKSSAPSSSVATPSTSSEVSSQPQSGSTDPNVVEQWGLVMKNLSAVQESENEQVFEDAAEQTSTLLNDCTWLDYLDMGNMSDDNDFVSQNNDASTVQDSENKNALNDILLPPDSESGNQVSTLNGKPNVKPDFSSVNPWEEELPLQVQGSEVLLLPYKEECSVTEEIIGREDEASSSVVGGGQDEFDGFGDLFNEPEVAAGPTARPSVGEGGTETGFVASESDPDEVDDSDSPVSVESCLAHFIKPELLANENAWHCENCSRTLQRQRLEVKKQVKSSAQILINGCETRAESDSLSLNMGLCPADVRNLSNGNLNSSTGCNHFGEDLHDGKINCSSIENGRSDKLNAAVRQQQEGNNEMKDALPVQSNTSDCNNTCSRESYIDQAIESCADEPRTAGCTSDNVPQTYSGILDCKHESEESEDEEINSKCVKVKRDATKRVLINRTPPILTIHLKRFSQDARGRLSKLNGHVSFREKIDLRPYMDSRSTDGEKYEYRLIGVVEHSGTMRGGHYVAYVRGGERSRGKAEKENNGHVWYYASDAHVRQVSLDEVLHCEAYILFYEKS >ONI26192 pep chromosome:Prunus_persica_NCBIv2:G1:710231:716872:1 gene:PRUPE_1G008700 transcript:ONI26192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVKKKARAAPQKDKWIAADSPKKAPQPSNPSVKNGDDAVSVPKEKKPCPHVDKGVDLNKLSSKIGSSELVRCEDCREGALDRRGGKGKGKHGKKKGSASVDSKSESKAIWVCLECGHYSCGGVGLPTTPQCHAIRHARQTRHPLVIHFENPQLRWCFSCSMLIKIDKMEENSEQKDVFSDVVKLIKGHSSEESSVNVEDVWFGNGSVTSDIKSANNISSDLDGRGGYMVRGLVNLGNTCFFNSVLQNILAIDRLRGYFLNVDAFSGALTISLKKLFTETKPEAGFRNVINPRAFFGCVCSKAPQFRGYQQQDSHELLRCLLDGLCTEELSMRKRISSSRENGNSSNPGPTFVDAVFGGQISSTVSCVECGHSSTVYESFLDLSLPVPTRKCPPKTAQPTSRAKKTKLPPKRSGKVRSKINKDKSSAPSSSVATPSTSSEVSSQPQSGSTDPNVVEQWGLVMKNLSAVQESENEQVFEDAAEQTSTLLNDCTWLDYLDMGNMSDDNDFVSQNNDASTVQDSENKNALNDILLPPDSESGNQVSTLNGKPNVKPDFSSVNPWEEELPLQVQGSEVLLLPYKEECSVTEEIIGREDEASSSVVGGGQDEFDGFGDLFNEPEVAAGPTARPSVGEGGTETGFVASESDPDEVDDSDSPVSVESCLAHFIKPELLANENAWHCENCSRTLQRQRLEVKKQVKSSAQILINGCETRAESDSLSLNMGLCPADVRNLSNGNLNSSTGCNHFGEDLHDGKINCSSIENGRSDKLNAAVRQQQEGNNEMKDALPVQSNTSDCNNTCSRESYIDQAIESCADEPRTAGCTSDNVPQTYSGILDCKHESEESEDEEINSKCVKVKRDATKRVLINRTPPILTIHLKRFSQDARGRLSKLNGHVSFREKIDLRPYMDSRSTDGEKYEYRLIGVVEHSGTMRGGHYVAYVRGGERSRGKAEKENNGHVWYYASDAHVRQVSLDEVLHCEAYILFYEKS >ONI26191 pep chromosome:Prunus_persica_NCBIv2:G1:710344:716872:1 gene:PRUPE_1G008700 transcript:ONI26191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVKKKARAAPQKDKWIAADSPKKAPQPSNPSVKNGDDAVSVPKEKKPCPHVDKGVDLNKLSSKIGSSELVRCEDCREGALDRRGGKGKGKHGKKKGSASVDSKSESKAIWVCLECGHYSCGGVGLPTTPQCHAIRHARQTRHPLVIHFENPQLRWCFSCSMLIKIDKMEENSEQKDVFSDVVKLIKGHSSEESSVNVEDVWFGNGSVTSDIKSANNISSDLDGRGGYMVRGLVNLGNTCFFNSVLQNILAIDRLRGYFLNVDAFSGALTISLKKLFTETKPEAGFRNVINPRAFFGCVCSKAPQFRGYQQQDSHELLRCLLDGLCTEELSMRKRISSSRENGNSSNPGPTFVDAVFGGQISSTVSCVECGHSSTVYESFLDLSLPVPTRKCPPKTAQPTSRAKKTKLPPKRSGKVRSKINKDKSSAPSSSVATPSTSSEVSSQPQSGSTDPNVVEQWGLVMKNLSAVQESENEQVFEDAAEQTSTLLNDCTWLDYLDMGNMSDDNDFVSQNNDASTVQDSENKNALNDILLPPDSESGNQVSTLNGKPNVKPDFSSVNPWEEELPLQVQGSEVLLLPYKEECSVTEEIIGREDEASSSVVGGGQDEFDGFGDLFNEPEVAAGPTARPSVGEGGTETGFVASESDPDEVDDSDSPVSVESCLAHFIKPELLANENAWHCENCSRTLQRQRLEVKKQVKSSAQILINGCETRAESDSLSLNMGLCPADVRNLSNGNLNSSTGCNHFGEDLHDGKINCSSIENGRSDKLNAAVRQQQEGNNEMKDALPVQSNTSDCNNTCSRESYIDQAIESCADEPRTAGCTSDNVPQTYSGILDCKHESEESEDEEINSKCVKVKRDATKRVLINRTPPILTIHLKRFSQDARGRLSKLNGHVSFREKIDLRPYMDSRSTDGEKYEYRLIGVVEHSGTMRGGHYVAYVRGGERSRGKAEKENNGHVWYYASDAHVRQVSLDEVLHCEAYILFYEKS >ONI26419 pep chromosome:Prunus_persica_NCBIv2:G1:1659923:1662734:-1 gene:PRUPE_1G023600 transcript:ONI26419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLSEEQIVEFKEAFCLFDKDGDGCITVDELATVIRSLDQNPTEEELQDMISEVDVDGNGTIEFAEFLSLMANKMKETDAEEELKEAFKVFDKDQNGYISATELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMMTIG >ONI26420 pep chromosome:Prunus_persica_NCBIv2:G1:1660335:1662246:-1 gene:PRUPE_1G023600 transcript:ONI26420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLSEEQIVEFKEAFCLFDKDGDGEFPTIMSSILGCITVDELATVIRSLDQNPTEEELQDMISEVDVDGNGTIEFAEFLSLMANKMKETDAEEELKEAFKVFDKDQNGYISATELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMMTIG >ONI29584 pep chromosome:Prunus_persica_NCBIv2:G1:20219193:20224550:-1 gene:PRUPE_1G203000 transcript:ONI29584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTLLLPLGSSSGKRISANYQGISCAKVQGVLVRCSNSELTVKAARSRGYMDQFCLTKRRDMIGLLLGVSSLVTDSFEAEGAGLPPEEKPRLCDGSCEKELENIPMVTTESGLQYKDIKVGQGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQVYIFRVGSGQNR >ONI29581 pep chromosome:Prunus_persica_NCBIv2:G1:20217477:20224729:-1 gene:PRUPE_1G203000 transcript:ONI29581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTLLLPLAHHVLGSSSGKRISANYQGISCAKVQGVLVRCSNSELTVKAARSRGYMDQFCLTKRRDMIGLLLGVSSLVTDSFEAEGAGLPPEEKPRLCDGSCEKELENIPMVTTESGLQYKDIKVGQGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQVYIFRVGSGQVIKGLDEGILSMKIGGKRRLYIPGSLAFPKGLNSAPGRPRVAPSSPVIFDVSLEYVPGLEIEEE >ONI29579 pep chromosome:Prunus_persica_NCBIv2:G1:20217409:20224729:-1 gene:PRUPE_1G203000 transcript:ONI29579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTLLLPLGSSSGKRISANYQGISCAKVQGVLVRCSNSELTVKAARSRGYMDQFCLTKRRDMIGLLLGVSSLVTDSFEAEGAGLPPEEKPRLCDGSCEKELENIPMVTTESGLQYKDIKVGQGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQVYIFRVGSGQVIKGLDEGILSMKIGGKRRLYIPGSLAFPKGLNSAPGRPRVAPSSPVIFDVSLEYVPGLEIEEE >ONI29582 pep chromosome:Prunus_persica_NCBIv2:G1:20219091:20224729:-1 gene:PRUPE_1G203000 transcript:ONI29582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTLLLPLGSSSGKRISANYQGISCAKVQGVLVRCSNSELTVKAARSRGYMDQFCLTKRRDMIGLLLGVSSLVTDSFEAEGAGLPPEEKPRLCDGSCEKELENIPMVTTESGLQYKDIKVGQGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQVYIFRVGSGQNR >ONI29580 pep chromosome:Prunus_persica_NCBIv2:G1:20217477:20224729:-1 gene:PRUPE_1G203000 transcript:ONI29580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTLLLPLGSSSGKRISANYQGISCAKVQGVLVRCSNSELTVKAARSRGYMDQFCLTKRRDMIGLLLGVSSLVTDSFEAEGAGLPPEEKPRLCDGSCEKELENIPMVTTESGLQYKDIKVGQGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQVYIFRVGSGQVIKGLDEGILSMKIGGKRRLYIPGSLAFPKGLNSAPGRPRVAPSSPVIFDVSLEYVPGLEIEEE >ONI29585 pep chromosome:Prunus_persica_NCBIv2:G1:20219193:20224550:-1 gene:PRUPE_1G203000 transcript:ONI29585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTLLLPLAHHVLGSSSGKRISANYQGISCAKVQGVLVRCSNSELTVKAARSRGYMDQFCLTKRRDMIGLLLGVSSLVTDSFEAEGAGLPPEEKPRLCDGSCEKELENIPMVTTESGLQYKDIKVGQGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQVYIFRVGSGQNR >ONI29583 pep chromosome:Prunus_persica_NCBIv2:G1:20219193:20224550:-1 gene:PRUPE_1G203000 transcript:ONI29583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTLLLPLGSSSGKRISANYQGISCAKVQGVLVRCSNSELTVKAARSRGYMDQFCLTKRRDMIGLLLGVSSLVTDSFEAEGAGLPPEEKPRLCDGSCEKELENIPMVTTESGLQYKDIKVGQGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQVYIFRVGSGQNR >ONI31249 pep chromosome:Prunus_persica_NCBIv2:G1:29631974:29633022:1 gene:PRUPE_1G301300 transcript:ONI31249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCGMVAADCVVISCCCQCLILQITIFILFKLPCKLIKKTRDYTMKKLQQRKRKEIVVESQGEIVLDPFKDDFVSILGESIRSIEAGHSCRCCMEEVDKVLQELSQRGEFGFGSFWGRREMGCSPIHHSAEDDQFDSRFVQFQLIEMVGSVSH >ONI27431 pep chromosome:Prunus_persica_NCBIv2:G1:6372256:6373082:1 gene:PRUPE_1G085700 transcript:ONI27431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNVVLLDNGGGLIKAGLGCECDPSATIPNCGMPAVAAALLAAPLSKCRITIILRVTLNSSYGVRKSSAHIILQPNCSTERERERERERGGGSGDDEMGVGELEWGRG >ONI30014 pep chromosome:Prunus_persica_NCBIv2:G1:23954665:23957649:-1 gene:PRUPE_1G226500 transcript:ONI30014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFMLANLSILVFWFGATTILHQTVFSTALTLPLFFFLNYWLVPGGFAWSNYQNSPKGPKLRGPVGWPVLGFLPHQMGSLAHQKLSTMATSLKATRLMAFSLGTTRVIISSHPDTAREILGGSSFSDRPIKQSAKLLMFERAIGFAPSGTYWRHLRRIAAVHMFSPRRIGGLEALRQKVANEMIVEIWDEMKENRVVGLKEILQKGSLSNIIESVFGLEEKEGSSTSNLTLMLHDMVKQGYELISRSNLEDYFPLRFLDFYGVKRKCHKLAAEVSCVVGQIVRERKRAGDFSAGNDFLSALLALPQEDKLTDTDMVAVLWEMVFRGTDTVAILLEWIMARIVLHPDIQAKAQQELDTHVGNNRLVQDSDLPNLPYLQAIVKEVLRMHPPGPLLSWARLAVHDVHVDKVFIPAGTTAMVNMWAITHDPSIWKDPSEFRPERFIEEDVSIMGSDLRLAPFGSGRRVCPGKALGLATVHLWLARLLHQFKWIPAEAVDLSECLRLSLEMKKPLACRVVPRGMMSQ >ONI26606 pep chromosome:Prunus_persica_NCBIv2:G1:2368925:2370453:1 gene:PRUPE_1G034100 transcript:ONI26606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNRLSNNLKEHGRAIRDSNNKRIIHCSQSCEEDYINGFSWPPRSYTCSFCKREFRSAQALGGHMNVHRKDRARLKNSPPIDAQYTSTIFNLNLNLKPNPNPNFLSTSSPSSSSSLVSPFSSSLSLPSLISPPLPPHPPPIFSVPSSENMKWVVGGTLFNHPLNLKASDLSSKLKGAKSLCGVGQEDQDGCIKTLKKAADPRQILRLDLEIGMFGDTNKEDLDLELRLGYS >ONI26605 pep chromosome:Prunus_persica_NCBIv2:G1:2368925:2370496:1 gene:PRUPE_1G034100 transcript:ONI26605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTEALISLSLSLSLSLSLSDSKPNTPSSPFFSAWRVRNLFLDIFFQYDLGLFSRQLIYIYWLVRISSFPSSALARLLCLDLLDRVKPADKSEHTRMEKNRLSNNLKEHGRAIRDSNNKRIIHCSQSCEEDYINGFSWPPRSYTCSFCKREFRSAQALGGHMNVHRKDRARLKNSPPIDAQYTSTIFNLNLNLKPNPNPNFLSTSSPSSSSSLVSPFSSSLSLPSLISPPLPPHPPPIFSVPSSENMKWVVGGTLFNHPLNLKASDLSSKLKGAKSLCGVGQEDQDGCIKTLKKAADPRQILRLDLEIGMFGDTNKEDLDLELRLGYS >ONI31820 pep chromosome:Prunus_persica_NCBIv2:G1:31501171:31506618:-1 gene:PRUPE_1G332600 transcript:ONI31820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTIQLYGFSSSESPAAVTEFLEAYTGEGTVHAIKFLPPKDGKSRTLAIVQFTDAKFAGIIIPLADARSLWYNKSYLKARKAKFDMVPKSEIFEHCMELVQLHLGCQISEEQFSVLWARSDVLVKFGVGLKNIYLFFSYDSFDYKLEITSENVSQIELHHPRGQLTKFLLIQLIGAPRIFKKASRRQWVRGVDFTPTCCIGQSSAVCLELPPSCELPNLRSSFVHYKENEGPFILERARKLKLQNFEHSMELVKLHLGCLISEGQFSVLWTALDVSVKFGKEFKNIYLLLSLDAVEYKLEISSESIRQIELHHPRGQLPNFLLIQLLGAPRIFQKASQNGWVREVDFTPSRCIGQSSAVCLELPPTCELPNLRKSFAHYKENEGWLVLESGNTFSCTSDLVPIVGPPLGINLPYKILFKINSLVQHGCVPGQALDVNFYELVDPSIIRIEYIECALDKLFRLKGCCYEPVSWLTEQYKEYMACKRIPQSPAISLDDGMVYVHRVQVTPSKVYFCGPEANVSNRVLRNYPEDVDNFLRVSFVDEDMGKMRSGDLCPRTNSTTATEEERRTGVYERILSTLRNGLVIGEKKFEFLAHSSSQLREHSVWMFASRSGLTAQDIRNWMGDFSDIKNAAKHAARLGQAFSSSRETFDVGKDEIEFIPDVETETGGVKYCFSDGIGKISAEFAGRVASKCGKSTTPSAFQIRLGGYKGVVAVDPTLSKKLALRDSMCKYQSNNTKLDVLAWSRYQPCFLNRQLITLLSTLGVPDLVFEKKQNEALKQLEGVLADPSSALEALEMIFQGEVTDVLKEMLACGYEPDAEPFLSLMLQAFCASKLVELRTKTRIFVPNGRSLMGCLDETGTLEYGQVFVQCSQRAVFGGNSNCSATSSEDNFIVEGNVVVAKNPCLHPGDVRVLWAVNVPALHHMVDCVVFPQKGNRPHPNECSGSDLDGDFYFVSWDPDLIPPWQVQPMNYTPAPTIELDHDVTMEEVTESFTNYIVNDNLGIICNAHTVFADRERQKATSAPCIKLAELSSHAVDSPKTGVVVEVPRCLRIHIYPDFMEKVDKLTYKSKRVIGRLFRQVKHVELASDSPSNSASIKSFTMDVAMKFYDPDMVVDGFEDYVKDAINYKSEYDYKLGNLMDYYGYKTEAEILSGSITAVSKNFNRGKDLESIHYAIKALRKEARTWFDEKLGMQSDMKPDINDVQAAKASAWYHVTYYPDYWGRCNKGMERDHFLSFPWCVFDKLIQIKRRNSSLK >ONI31819 pep chromosome:Prunus_persica_NCBIv2:G1:31501394:31506049:-1 gene:PRUPE_1G332600 transcript:ONI31819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTIQLYGFSSSESPAAVTEFLEAYTGEGTVHAIKFLPPKDGKSRTLAIVQFTDAKFAGIIIPLADARSLWYNKSYLKARKAKFDMVPKSEIFEHCMELVQLHLGCQISEEQFSVLWARSDVLVKFGVGLKNIYLFFSYDSFDYKLEITSENVSQIELHHPRGQLTKFLLIQLIGAPRIFKKASRRQWVRGVDFTPTCCIGQSSAVCLELPPSCELPNLRSSFVHYKENEGPFILERARKLKLQNFEHSMELVKLHLGCLISEGQFSVLWTALDVSVKFGKEFKNIYLLLSLDAVEYKLEISSESIRQIELHHPRGQLPNFLLIQLLGAPRIFQKASQNGWVREVDFTPSRCIGQSSAVCLELPPTCELPNLRKSFAHYKENEGWLVLESGNTFSCTSDLVPIVGPPLGINLPYKILFKINSLVQHGCVPGQALDVNFYELVDPSIIRIEYIECALDKLFRLKGCCYEPVSWLTEQYKEYMACKRIPQSPAISLDDGMVYVHRVQVTPSKVYFCGPEANVSNRVLRNYPEDVDNFLRVSFVDEDMGKMRSGDLCPRTNSTTATEEERRTGVYERILSTLRNGLVIGEKKFEFLAHSSSQLREHSVWMFASRSGLTAQDIRNWMGDFSDIKNAAKHAARLGQAFSSSRETFDVGKDEIEFIPDVETETGGVKYCFSDGIGKISAEFAGRVASKCGKSTTPSAFQIRLGGYKGVVAVDPTLSKKLALRDSMCKYQSNNTKLDVLAWSRYQPCFLNRQLITLLSTLGVPDLVFEKKQNEALKQLEGVLADPSSALEALEMIFQGEVTDVLKEMLACGYEPDAEPFLSLMLQAFCASKLVELRTKTRIFVPNGRSLMGCLDETGTLEYGQVFVQCSQRAVFGGNSNCSATSSEDNFIVEGNVVVAKNPCLHPGDVRVLWAVNVPALHHMVDCVVFPQKGNRPHPNECSGSDLDGDFYFVSWDPDLIPPWQVQPMNYTPAPTIELDHDVTMEEVTESFTNYIVNDNLGIICNAHTVFADRERQKATSAPCIKLAELSSHAVDSPKTGVVVEVPRCLRIHIYPDFMEKVDKLTYKSKRVIGRLFRQVKHVELASDSPSNSASIKSFTMDVAMKFYDPDMVVDGFEDYVKDAINYKSEYDYKLGNLMDYYGYKTEAEILSGSITAVSKNFNRGKDLESIHYAIKALRKEARTWFDEKLGMQSDMKPDINDVQAAKASAWYHVTYYPDYWGRCNKGMERDHFLSFPWCVFDKLIQIKRRNSSLK >ONI31821 pep chromosome:Prunus_persica_NCBIv2:G1:31501171:31506619:-1 gene:PRUPE_1G332600 transcript:ONI31821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTIQLYGFSSSESPAAVTEFLEAYTGEGTVHAIKFLPPKDGKSRTLAIVQFTDAKFAGIIIPLADARSLWYNKSYLKARKAKFDMVPKSEIFEHCMELVQLHLGCQISEEQFSVLWARSDVLVKFGVGLKNIYLFFSYDSFDYKLEITSENVSQIELHHPRGQLTKFLLIQLIGAPRIFKKASRRQWVRGVDFTPTCCIGQSSAVCLELPPSCELPNLRSSFVHYKENEGPFILERARKLKLQNFEHSMELVKLHLGCLISEGQFSVLWTALDVSVKFGKEFKNIYLLLSLDAVEYKLEISSESIRQIELHHPRGQLPNFLLIQLLGAPRIFQKASQNGWVREVDFTPSRCIGQSSAVCLELPPTCELPNLRKSFAHYKENEGWLVLESGNTFSCTSDLVPIVGPPLGINLPYKILFKINSLVQHGCVPGQALDVNFYELVDPSIIRIEYIECALDKLFRLKGCCYEPVSWLTEQYKEYMACKRIPQSPAISLDDGMVYVHRVQVTPSKVYFCGPEANVSNRVLRNYPEDVDNFLRVSFVDEDMGKMRSGDLCPRTNSTTATEEERRTGVYERILSTLRNGLVIGEKKFEFLAHSSSQLREHSVWMFASRSGLTAQDIRNWMGDFSDIKNAAKHAARLGQAFSSSRETFDVGKDEIEFIPDVETETGGVKYCFSDGIGKISAEFAGRVASKCGKSTTPSAFQIRLGGYKGVVAVDPTLSKKLALRDSMCKYQSNNTKLDVLAWSRYQPCFLNRQLITLLSTLGVPDLVFEKKQNEALKQLEGVLADPSSALEALEMIFQGEVTDVLKEMLACGYEPDAEPFLSLMLQAFCASKLVELRTKTRIFVPNGRSLMGCLDETGTLEYGQVFVQCSQRAVFGGNSNCSATSSEDNFIVEGNVVVAKNPCLHPGDVRVLWAVNVPALHHMVDCVVFPQKGNRPHPNECSGSDLDGDFYFVSWDPDLIPPWQVQPMNYTPAPTIELDHDVTMEEVTESFTNYIVNDNLGIICNAHTVFADRERQKATSAPCIKLAELSSHAVDSPKTGVVVEVPRCLRIHIYPDFMEKVDKLTYKSKRVIGRLFRQVKHVELASDSPSNSASIKSFTMDVAMKFYDPDMVVDGFEDYVKDAINYKSEYDYKLGNLMDYYGYKTEAEILSGSITAVSKNFNRGKDLESIHYAIKALRKEARTWFDEKLGMQSDMKPDINDVQAAKASAWYHVTYYPDYWGRCNKGMERDHFLSFPWCVFDKLIQIKRRNSSLK >ONI28339 pep chromosome:Prunus_persica_NCBIv2:G1:10866901:10878848:-1 gene:PRUPE_1G139000 transcript:ONI28339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEENNTELEEGEASCYYKDDEENVDPDNDLSYIDERLQHALGHFQKDFEGGAFAESLGPKYGGYGSFLPSYERSSAVWSHPKTPQKSYNTSRSPKSLMEGATQNLKASSSAPPTVRLGTANSAQLSHNSRVPHRDISVKQDSCVPSTQVAERCSLKDETLNKPGNPTDLRTLKVRIKMNSDNTTRKNVAIYSGLGLNSPSSSLENSPEESGDMPPPSQVTVDESPTNIIQVMTSFPVPGDALISPLHDSLLCLIRKRKVPSSKGHQEHSSLSVEESVSTRGNRKVPKETKIKVAGKGESLVKLKLENGIKNSTRILMKKKSETETLEGKELLPNDLRATPLSNLVCDVGDPLKGIGRTSEASREANENEVKGRFSSSELMKEESLESISGQGCVKNEKQNSRYGSAEKVWEQKDVPVHLRDDGKCKGYKTSAPQHDTDVSKVKEEPDLHRHNAGKKCTSHEQEKPNVPGKRAKLSLEGRIKSKENQSNEKPPTVSTKESLGFEMGVVPKDELSGGQGVPPSGRKIRKLKSQKDKVIDNQRESFGGKSLEQRNKMDLAERPADDIEVKWKACLDKPKEKLSGKKIDNRLVSIDAPHSCQPTMENGLASEVVPAAPIVIEENWVCCDKCQKWRLLPFGTKPEQLPEKWLCSMLNWLPGMNRCDISEEETTKALNALYQPSSESLNKLQAHANGTASAVPAVDVLNLDQNHQKLSSHAMSNQGKKKHGLKEIPNIGSGSGLLNATKNHLQEAVKSISSKDINRPPLESNPMKKSGSRQMSKLQNLGMEKGTTKQKEKDTSGGDAKKVRLKYNGADQYTCGASKKLKREETWHGDKNRNAHIDLGKVGVGSSTGLLTQARGQDIKYNDLCYSEDTKDVVKDIAQVSAKKLQDQTQVSCPGGSLDVRKCSRGDSSMKKRKMRDWQDTQNNVETFQNFAHEGKVYSKEESSESGYRKEKKSRILKTDGKESSTSNGDDKSNRKSRVTQIVMSGTKVHSIDAMEKDRSIVKDQQPGKHSKQNASQQTLDGVNSLKRDLGSVSLAATSSSSKVSGSHKTRVNFEEVKGSPVESVSSSPLRTSHSDRLTSSRGDAFGKDDAVYGDFPPSNIPKRFWDGDETGNIDKFVTTRKKKISCSTRPESHKFSSVGCHDIDANGEFSVKAKPSSEVWGSHLLSGNDSLEPHGQCLSNQHGMDRCHDDDRENKKQTEVAVCVQKSGKGSCLQSKDNVRSCTSDLDRNKVKVSDPVNDHSKKSQRYEPEIERDHQAFVLEKGNNVRHNLPKKCSTKSVKVKDDNYHVSRGDNAGNGSSDSGVETQLRRKEYDVSDVKFSATQSPNRKGARALQQNLIQNHGDSQIQNDPRSGKPQLFSHCQGERKEETPSLCSRPVAGSEREVVFQGLPVNATVNGDESKSVKLSGTSANKNGINCNLVHFMPDQQRAIDVSSPSPVRSSSSQTASNSLKEAKRLRDYADYLKDSGFDFESSEAYFQAALKFLQGAVLLESCSSENGKHGDMTQLQVYSTTAKLCELCAHEYETRNEVASAALAYKCMEVAYMRVVYCKHSSTNRDRHELQVTLNIAPPGESPSSSASDVDNLNNQVIAEKAVLSKGTGSHVSGNHVVVARNRPNFVRLLDFTQDVNFAMEATRKSQNAFAAACATLEDAHKNDCICSIKRVIDFSFQDLEELIRLVKLAMEAISRSKFGGARD >ONI28333 pep chromosome:Prunus_persica_NCBIv2:G1:10868819:10878302:-1 gene:PRUPE_1G139000 transcript:ONI28333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEENNTELEEGEASCYYKDDEENVDPDNDLSYIDERLQHALGHFQKDFEGGAFAESLGPKYGGYGSFLPSYERSSAVWSHPKTPQKSYNTSRSPKSLMEGATQNLKASSSAPPTVRLGTANSAQLSHNSRVPHRDISVKQDSCVPSTQVAERCSLKDETLNKPGNPTDLRTLKVRIKMNSDNTTRKNVAIYSGLGLNSPSSSLENSPEESGDMPPPSQVTVDESPTNIIQVMTSFPVPGDALISPLHDSLLCLIRKRKVPSSKGHQEHSSLSVEESVSTRGNRKVPKETKIKVAGKGESLVKLKLENGIKNSTRILMKKKSETETLEGKELLPNDLRATPLSNLVCDVGDPLKGIGRTSEASREANENEVKGRFSSSELMKEESLESISGQGCVKNEKQNSRYGSAEKVWEQKDVPVHLRDDGKCKGYKTSAPQHDTDVSKVKEEPDLHRHNAGKKCTSHEQEKPNVPGKRAKLSLEGRIKSKENQSNEKPPTVSTKESLGFEMGVVPKDELSGGQGVPPSGRKIRKLKSQKDKVIDNQRESFGGKSLEQRNKMDLAERPADDIEVKWKACLDKPKEKLSGKKIDNRLVSIDAPHSCQPTMENGLASEVVPAAPIVIEENWVCCDKCQKWRLLPFGTKPEQLPEKWLCSMLNWLEEETTKALNALYQPSSESLNKLQAHANGTASAVPAVDVLNLDQNHQKLSSHAMSNQGKKKHGLKEIPNIGSGSGLLNATKNHLQEAVKSISSKDINRPPLESNPMKKSGSRQMSKLQNLGMEKGTTKQKEKDTSGGDAKKVRLKYNGADQYTCGASKKLKREETWHGDKNRNAHIDLGKVGVGSSTGLLTQARGQDIKYNDLCYSEDTKDVVKDIAQVSAKKLQDQTQVSCPGGSLDVRKCSRGDSSMKKRKMRDWQDTQNNVETFQNFAHEGKVYSKEESSESGYRKEKKSRILKTDGKESSTSNGDDKSNRKSRVTQIVMSGTKVHSIDAMEKDRSIVKDQQPGKHSKQNASQQTLDGVNSLKRDLGSVSLAATSSSSKVSGSHKTRVNFEEVKGSPVESVSSSPLRTSHSDRLTSSRGDAFGKDDAVYGDFPPSNIPKRFWDGDETGNIDKFVTTRKKKISCSTRPESHKFSSVGCHDIDANGEFSVKAKPSSEVWGSHLLSGNDSLEPHGQCLSNQHGMDRCHDDDRENKKQTEVAVCVQKSGKGSCLQSKDNVRSCTSDLDRNKVKVSDPVNDHSKKSQRYEPEIERDHQAFVLEKGNNVRHNLPKKCSTKSVKVKDDNYHVSRGDNAGNGSSDSGVETQLRRKEYDVSDVKFSATQSPNRKGARALQQNLIQNHGDSQIQNDPRSGKPQLFSHCQGERKEETPSLCSRPVAGSEREVVFQGLPVNATVNGDESKSVKLSGTSANKNGINCNLVHFMPDQQRAIDVSSPSPVRSSSSQTASNSLKEAKRLRDYADYLKDSGFDFESSEAYFQAALKFLQGAVLLESCSSENGKHGDMTQLQVYSTTAKLCELCAHEYETRNEVASAALAYKCMEVAYMRVVYCKHSSTNRDRHELQVTLNIAPPGESPSSSASDVDNLNNQVIAEKAVLSKGTGSHVSGNHVVVARNRPNFVRLLDFTQDVNFAMEATRKSQNAFAAACATLEDAHKNDCICSIKRVIDFSFQDLEELIRLVKLAMEAISRSKFGGARD >ONI28341 pep chromosome:Prunus_persica_NCBIv2:G1:10869025:10878302:-1 gene:PRUPE_1G139000 transcript:ONI28341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEENNTELEEGEASCYYKDDEENVDPDNDLSYIDERLQHALGHFQKDFEGGAFAESLGPKYGGYGSFLPSYERSSAVWSHPKTPQKSYNTSRSPKSLMEGATQNLKASSSAPPTVRLGTANSAQLSHNSRVPHRDISVKQDSCVPSTQVAERCSLKDETLNKPGNPTDLRTLKVRIKMNSDNTTRKNVAIYSGLGLNSPSSSLENSPEESGDMPPPSQVTVDESPTNIIQVMTSFPVPGDALISPLHDSLLCLIRKRKVPSSKGHQEHSSLSVEESVSTRGNRKVPKETKIKVAGKGESLVKLKLENGIKNSTRILMKKKSETETLEGKELLPNDLRATPLSNLVCDVGDPLKGIGRTSEASREANENEVKGRFSSSELMKEESLESISGQGCVKNEKQNSRYGSAEKVWEQKDVPVHLRDDGKCKGYKTSAPQHDTDVSKVKEEPDLHRHNAGKKCTSHEQEKPNVPGKRAKLSLEGRIKSKENQSNEKPPTVSTKESLGFEMGVVPKDELSGGQGVPPSGRKIRKLKSQKDKVIDNQRESFGGKSLEQRNKMDLAERPADDIEVKWKACLDKPKEKLSGKKIDNRLVSIDAPHSCQPTMENGLASEVVPAAPIVIEENWVCCDKCQKWRLLPFGTKPEQLPEKWLCSMLNWLPGMNRCDISEEETTKALNALYQPSSESLNKLQAHANGTASAVPAVDVLNLDQNHQKLSSHAMSNQGKKKHGLKEIPNIGSGSGLLNATKNHLQEAVKSISSKDINRPPLESNPMKKSGSRQMSKLQNLGMEKGTTKQKEKDTSGGDAKKVRLKYNGADQYTCGASKKLKREETWHGDKNRNAHIDLGKVGVGSSTGLLTQARGQDIKYNDLCYSEDTKDVVKDIAQVSAKKLQDQTQVSCPGGSLDVRKCSRGDSSMKKRKMRDWQDTQNNVETFQNFAHEGKVYSKEESSESGYRKEKKSRILKTDGKESSTSNGDDKSNRKSRVTQIVMSGTKVHSIDAMEKDRSIVKDQQPGKHSKQNASQQTLDGVNSLKRDLGSVSLAATSSSSKVSGSHKTRVNFEEVKGSPVESVSSSPLRTSHSDRLTSSRGDAFGKDDAVYGDFPPSNIPKRFWDGDETGNIDKFVTTRKKKISCSTRPESHKFSSVGCHDIDANGEFSVKAKPSSEVWGSHLLSGNDSLEPHGQCLSNQHGMDRCHDDDRENKKQTEVAVCVQKSGKGSCLQSKDNVRSCTSDLDRNKVKVSDPVNDHSKKSQRYEPEIERDHQAFVLEKGNNVRHNLPKKCSTKSVKVKDDNYHVSRGDNAGNGSSDSGVETQLRRKEYDVSDVKFSATQSPNRKGARALQQNLIQNHGDSQIQNDPRSGKPQLFSHCQGERKEETPSLCSRPVAGSEREVVFQGLPVNATVNGDESKSVKLSGTSANKNGINCNLVHFMPDQQRAIDVSSPSPVRSSSSQTASNSLKEAKRLRDYADYLKDSGFDFESSEAYFQAALKFLQGAVLLESCSSENGKHGDMTQLQVYSTTAKLCELCAHEYETRNEVASAALAYKCMEVAYMRVVYCKHSSTNRDRHELQVTLNIAPPGESPSSSASDVDNLNNQVIAEKAVLSKGTGSHVSGNHVVVARNRPNFVRLLDFYVLFCRHRM >ONI28340 pep chromosome:Prunus_persica_NCBIv2:G1:10868819:10878302:-1 gene:PRUPE_1G139000 transcript:ONI28340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEENNTELEEGEASCYYKDDEENVDPDNDLSYIDERLQHALGHFQKDFEGGAFAESLGPKYGGYGSFLPSYERSSAVWSHPKTPQKSYNTSRSPKSLMEGATQNLKASSSAPPTVRLGTANSAQLSHNSRVPHRDISVKQDSCVPSTQVAERCSLKDETLNKPGNPTDLRTLKVRIKMNSDNTTRKNVAIYSGLGLNSPSSSLENSPEESGDMPPPSQVTVDESPTNIIQVMTSFPVPGDALISPLHDSLLCLIRKRKVPSSKGHQEHSSLSVEESVSTRGNRKVPKETKIKVAGKGESLVKLKLENGIKNSTRILMKKKSETETLEGKELLPNDLRATPLSNLVCDVGDPLKGIGRTSEASREANENEVKGRFSSSELMKEESLESISGQGCVKNEKQNSRYGSAEKVWEQKDVPVHLRDDGKCKGYKTSAPQHDTDVSKVKEEPDLHRHNAGKKCTSHEQEKPNVPGKRAKLSLEGRIKSKENQSNEKPPTVSTKESLGFEMGVVPKDELSGGQGVPPSGRKIRKLKSQKDKVIDNQRESFGGKSLEQRNKMDLAERPADDIEVKWKACLDKPKEKLSGKKIDNRLVSIDAPHSCQPTMENGLASEVVPAAPIVIEENWVCCDKCQKWRLLPFGTKPEQLPEKWLCSMLNWLPGMNRCDISEEETTKALNALYQPSSESLNKLQAHANGTASAVPAVDVLNLDQNHQKLSSHAMSNQGKKKHGLKEIPNIGSGSGLLNATKNHLQEAVKSISSKDINRPPLESNPMKKSGSRQMSKLQNLGMEKGTTKQKEKDTSGGDAKKVRLKYNGADQYTCGASKKLKREETWHGDKNRNAHIDLGKVGVGSSTGLLTQARGQDIKYNDLCYSEDTKDVVKDIAQVSAKKLQDQTQVSCPGGSLDVRKCSRGDSSMKKRKMRDWQDTQNNVETFQNFAHEGKVYSKEESSESGYRKEKKSRILKTDGKESSTSNGDDKSNRKSRVTQIVMSGTKVHSIDAMEKDRSIVKDQQPGKHSKQNASQQTLDGVNSLKRDLGSVSLAATSSSSKVSGSHKTRVNFEEVKGSPVESVSSSPLRTSHSDRLTSSRGDAFGKDDAVYGDFPPSNIPKRFWDGDETGNIDKFVTTRKKKISCSTRPESHKFSSVGCHDIDANGEFSVKAKPSSEVWGSHLLSGNDSLEPHGQCLSNQHGMDRCHDDDRENKKQTEVAVCVQKSGKGSCLQSKDNVRSCTSDLDRNKVKVSDPVNDHSKKSQRYEPEIERDHQAFVLEKGNNVRHNLPKKCSTKSVKVKDDNYHVSRGDNAGNGSSDSGVETQLRRKEYDVSDVKFSATQSPNRKGARALQQNLIQNHGDSQIQNDPRSGKPQLFSHCQGERKEETPSLCSRPVAGSEREVVFQGLPVNATVNGDESKSVKLSGTSANKNGINCNLVHFMPDQQRAIDVSSPSPVRSSSSQTASNSLKEAKRLRDYADYLKDSGFDFESSEAYFQAALKFLQGAVLLESCSSENGKHGDMTQLQVYSTTAKLCELCAHEYETRNEVASAALAYKCMEVAYMRVVYCKHSSTNRDRHELQVTLNIAPPGESPSSSASDVDNLNNQVIAEKAVLSKGTGSHVSGNHVVVARNRPNFVRLLDFTQDVNFAMEATRKSQNAFAAACATLEDAHKNDCICSIKRVIDFSFQDLEELIRLVKLAMEAISRSKFGGARD >ONI28338 pep chromosome:Prunus_persica_NCBIv2:G1:10868819:10878302:-1 gene:PRUPE_1G139000 transcript:ONI28338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEENNTELEEGEASCYYKDDEENVDPDNDLSYIDERLQHALGHFQKDFEGGAFAESLGPKYGGYGSFLPSYERSSAVWSHPKTPQKSYNTSRSPKSLMEGATQNLKASSSAPPTVRLGTANSAQLSHNSRVPHRDISVKQDSCVPSTQVAERCSLKDETLNKPGNPTDLRTLKVRIKMNSDNTTRKNVAIYSGLGLNSPSSSLENSPEESGDMPPPSQVTVDESPTNIIQVMTSFPVPGDALISPLHDSLLCLIRKRKVPSSKGHQEHSSLSVEESVSTRGNRKVPKETKIKVAGKGESLVKLKLENGIKNSTRILMKKKSETETLEGKELLPNDLRATPLSNLVCDVGDPLKGIGRTSEASREANENEVKGRFSSSELMKEESLESISGQGCVKNEKQNSRYGSAEKVWEQKDVPVHLRDDGKCKGYKTSAPQHDTDVSKVKEEPDLHRHNAGKKCTSHEQEKPNVPGKRAKLSLEGRIKSKENQSNEKPPTVSTKESLGFEMGVVPKDELSGGQGVPPSGRKIRKLKSQKDKVIDNQRESFGGKSLEQRNKMDLAERPADDIEVKWKACLDKPKEKLSGKKIDNRLVSIDAPHSCQPTMENGLASEVVPAAPIVIEENWVCCDKCQKWRLLPFGTKPEQLPEKWLCSMLNWLPGMNRCDISEEETTKALNALYQPSSESLNKLQAHANGTASAVPAVDVLNLDQNHQKLSSHAMSNQGKKKHGLKEIPNIGSGSGLLNATKNHLQEAVKSISSKDINRPPLESNPMKKSGSRQMSKLQNLGMEKGTTKQKEKDTSGGDAKKVRLKYNGADQYTCGASKKLKREETWHGDKNRNAHIDLGKVGVGSSTGLLTQARGQDIKYNDLCYSEDTKDVVKDIAQVSAKKLQDQTQVSCPGGSLDVRKCSRGDSSMKKRKMRDWQDTQNNVETFQNFAHEGKVYSKEESSESGYRKEKKSRILKTDGKESSTSNGDDKSNRKSRVTQIVMSGTKVHSIDAMEKDRSIVKDQQPGKHSKQNASQQTLDGVNSLKRDLGSVSLAATSSSSKVSGSHKTRVNFEEVKGSPVESVSSSPLRTSHSDRLTSSRGDAFGKDDAVYGDFPPSNIPKRFWDGDETGNIDKFVTTRKKKISCSTRPESHKFSSVGCHDIDANGEFSVKAKPSSEVWGSHLLSGNDSLEPHGQCLSNQHGMDRCHDDDRENKKQTEVAVCVQKSGKGSCLQSKDNVRSCTSDLDRNKVKVSDPVNDHSKKSQRYEPEIERDHQAFVLEKGNNVRHNLPKKCSTKSVKVKDDNYHVSRGDNAGNGSSDSGVETQLRRKEYDVSDVKFSATQSPNRKGARALQQNLIQNHGDSQIQNDPRSGKPQLFSHCQGERKEETPSLCSRPVAGSEREVVFQGLPVNATVNGDESKSVKLSGTSANKNGINCNLVHFMPDQQRAIDVSSPSPVRSSSSQTASNSLKEAKRLRDYADYLKDSGFDFESSEAYFQAALKFLQGAVLLESCSSENGKHGDMTQLQVYSTTAKLCELCAHEYETRNEVASAALAYKCMEVAYMRVVYCKHSSTNRDRHELQVTLNIAPPGESPSSSASDVDNLNNQVIAEKAVLSKGTGSHVSGNHVVVARNRPNFVRLLDFTQDVNFAMEATRKSQNAFAAACATLEDAHKNDCICSIKRVIDFSFQDLEELIRLVKLAMEAISRSKFGGARD >ONI28335 pep chromosome:Prunus_persica_NCBIv2:G1:10866901:10878848:-1 gene:PRUPE_1G139000 transcript:ONI28335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEENNTELEEGEASCYYKDDEENVDPDNDLSYIDERLQHALGHFQKDFEGGAFAESLGPKYGGYGSFLPSYERSSAVWSHPKTPQKSYNTSRSPKSLMEGATQNLKASSSAPPTVRLGTANSAQLSHNSRVPHRDISVKQDSCVPSTQVAERCSLKDETLNKPGNPTDLRTLKVRIKMNSDNTTRKNVAIYSGLGLNSPSSSLENSPEESGDMPPPSQVTVDESPTNIIQVMTSFPVPGDALISPLHDSLLCLIRKRKVPSSKGHQEHSSLSVEESVSTRGNRKVPKETKIKVAGKGESLVKLKLENGIKNSTRILMKKKSETETLEGKELLPNDLRATPLSNLVCDVGDPLKGIGRTSEASREANENEVKGRFSSSELMKEESLESISGQGCVKNEKQNSRYGSAEKVWEQKDVPVHLRDDGKCKGYKTSAPQHDTDVSKVKEEPDLHRHNAGKKCTSHEQEKPNVPGKRAKLSLEGRIKSKENQSNEKPPTVSTKESLGFEMGVVPKDELSGGQGVPPSGRKIRKLKSQKDKVIDNQRESFGGKSLEQRNKMDLAERPADDIEVKWKACLDKPKEKLSGKKIDNRLVSIDAPHSCQPTMENGLASEVVPAAPIVIEENWVCCDKCQKWRLLPFGTKPEQLPEKWLCSMLNWLPGMNRCDISEEETTKALNALYQPSSESLNKLQAHANGTASAVPAVDVLNLDQNHQKLSSHAMSNQGKKKHGLKEIPNIGSGSGLLNATKNHLQEAVKSISSKDINRPPLESNPMKKSGSRQMSKLQNLGMEKGTTKQKEKDTSGGDAKKVRLKYNGADQYTCGASKKLKREETWHGDKNRNAHIDLGKVGVGSSTGLLTQARGQDIKYNDLCYSEDTKDVVKDIAQVSAKKLQDQTQVSCPGGSLDVRKCSRGDSSMKKRKMRDWQDTQNNVETFQNFAHEGKVYSKEESSESGYRKEKKSRILKTDGKESSTSNGDDKSNRKSRVTQIVMSGTKVHSIDAMEKDRSIVKDQQPGKHSKQNASQQTLDGVNSLKRDLGSVSLAATSSSSKVSGSHKTRVNFEEVKGSPVESVSSSPLRTSHSDRLTSSRGDAFGKDDAVYGDFPPSNIPKRFWDGDETGNIDKFVTTRKKKISCSTRPESHKFSSVGCHDIDANGEFSVKAKPSSEVWGSHLLSGNDSLEPHGQCLSNQHGMDRCHDDDRENKKQTEVAVCVQKSGKGSCLQSKDNVRSCTSDLDRNKVKVSDPVNDHSKKSQRYEPEIERDHQAFVLEKGNNVRHNLPKKCSTKSVKVKDDNYHVSRGDNAGNGSSDSGVETQLRRKEYDVSDVKFSATQSPNRKGARALQQNLIQNHGDSQIQNDPRSGKPQLFSHCQGERKEETPSLCSRPVAGSEREVVFQGLPVNATVNGDESKSVKLSGTSANKNGINCNLVHFMPDQQRAIDVSSPSPVRSSSSQTASNSLKEAKRLRDYADYLKDSGFDFESSEAYFQAALKFLQGAVLLESCSSENGKHGDMTQLQVYSTTAKLCELCAHEYETRNEVASAALAYKCMEVAYMRVVYCKHSSTNRDRHELQVTLNIAPPGESPSSSASDVDNLNNQVIAEKAVLSKGTGSHVSGNHVVVARNRPNFVRLLDFTQDVNFAMEATRKSQNAFAAACATLEDAHKNDCICSIKRVIDFSFQDLEELIRLVKLAMEAISRSKFGGARD >ONI28342 pep chromosome:Prunus_persica_NCBIv2:G1:10869025:10878302:-1 gene:PRUPE_1G139000 transcript:ONI28342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEENNTELEEGEASCYYKDDEENVDPDNDLSYIDERLQHALGHFQKDFEGGAFAESLGPKYGGYGSFLPSYERSSAVWSHPKTPQKSYNTSRSPKSLMEGATQNLKASSSAPPTVRLGTANSAQLSHNSRVPHRDISVKQDSCVPSTQVAERCSLKDETLNKPGNPTDLRTLKVRIKMNSDNTTRKNVAIYSGLGLNSPSSSLENSPEESGDMPPPSQVTVDESPTNIIQVMTSFPVPGDALISPLHDSLLCLIRKRKVPSSKGHQEHSSLSVEESVSTRGNRKVPKETKIKVAGKGESLVKLKLENGIKNSTRILMKKKSETETLEGKELLPNDLRATPLSNLVCDVGDPLKGIGRTSEASREANENEVKGRFSSSELMKEESLESISGQGCVKNEKQNSRYGSAEKVWEQKDVPVHLRDDGKCKGYKTSAPQHDTDVSKVKEEPDLHRHNAGKKCTSHEQEKPNVPGKRAKLSLEGRIKSKENQSNEKPPTVSTKESLGFEMGVVPKDELSGGQGVPPSGRKIRKLKSQKDKVIDNQRESFGGKSLEQRNKMDLAERPADDIEVKWKACLDKPKEKLSGKKIDNRLVSIDAPHSCQPTMENGLASEVVPAAPIVIEENWVCCDKCQKWRLLPFGTKPEQLPEKWLCSMLNWLPGMNRCDISEEETTKALNALYQPSSESLNKLQAHANGTASAVPAVDVLNLDQNHQKLSSHAMSNQGKKKHGLKEIPNIGSGSGLLNATKNHLQEAVKSISSKDINRPPLESNPMKKSGSRQMSKLQNLGMEKGTTKQKEKDTSGGDAKKVRLKYNGADQYTCGASKKLKREETWHGDKNRNAHIDLGKVGVGSSTGLLTQARGQDIKYNDLCYSEDTKDVVKDIAQVSAKKLQDQTQVSCPGGSLDVRKCSRGDSSMKKRKMRDWQDTQNNVETFQNFAHEGKVYSKEESSESGYRKEKKSRILKTDGKESSTSNGDDKSNRKSRVTQIVMSGTKVHSIDAMEKDRSIVKDQQPGKHSKQNASQQTLDGVNSLKRDLGSVSLAATSSSSKVSGSHKTRVNFEEVKGSPVESVSSSPLRTSHSDRLTSSRGDAFGKDDAVYGDFPPSNIPKRFWDGDETGNIDKFVTTRKKKISCSTRPESHKFSSVGCHDIDANGEFSVKAKPSSEVWGSHLLSGNDSLEPHGQCLSNQHGMDRCHDDDRENKKQTEVAVCVQKSGKGSCLQSKDNVRSCTSDLDRNKVKVSDPVNDHSKKSQRYEPEIERDHQAFVLEKGNNVRHNLPKKCSTKSVKVKDDNYHVSRGDNAGNGSSDSGVETQLRRKEYDVSDVKFSATQSPNRKGARALQQNLIQNHGDSQIQNDPRSGKPQLFSHCQGERKEETPSLCSRPVAGSEREVVFQGLPVNATVNGDESKSVKLSGTSANKNGINCNLVHFMPDQQRAIDVSSPSPVRSSSSQTASNSLKEAKRLRDYADYLKDSGFDFESSEAYFQAALKFLQGAVLLESCSSENGKHGDMTQLQVYSTTAKLCELCAHEYETRNEVASAALAYKCMEVAYMRVVYCKHSSTNRDRHELQVTLNIAPPGESPSSSASDVDNLNNQVIAEKAVLSKGTGSHVSGNHVVVARNRPNFVRLLDFYVLFCRHRM >ONI28334 pep chromosome:Prunus_persica_NCBIv2:G1:10866884:10878848:-1 gene:PRUPE_1G139000 transcript:ONI28334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEENNTELEEGEASCYYKDDEENVDPDNDLSYIDERLQHALGHFQKDFEGGAFAESLGPKYGGYGSFLPSYERSSAVWSHPKTPQKSYNTSRSPKSLMEGATQNLKASSSAPPTVRLGTANSAQLSHNSRVPHRDISVKQDSCVPSTQVAERCSLKDETLNKPGNPTDLRTLKVRIKMNSDNTTRKNVAIYSGLGLNSPSSSLENSPEESGDMPPPSQVTVDESPTNIIQVMTSFPVPGDALISPLHDSLLCLIRKRKVPSSKGHQEHSSLSVEESVSTRGNRKVPKETKIKVAGKGESLVKLKLENGIKNSTRILMKKKSETETLEGKELLPNDLRATPLSNLVCDVGDPLKGIGRTSEASREANENEVKGRFSSSELMKEESLESISGQGCVKNEKQNSRYGSAEKVWEQKDVPVHLRDDGKCKGYKTSAPQHDTDVSKVKEEPDLHRHNAGKKCTSHEQEKPNVPGKRAKLSLEGRIKSKENQSNEKPPTVSTKESLGFEMGVVPKDELSGGQGVPPSGRKIRKLKSQKDKVIDNQRESFGGKSLEQRNKMDLAERPADDIEVKWKACLDKPKEKLSGKKIDNRLVSIDAPHSCQPTMENGLASEVVPAAPIVIEENWVCCDKCQKWRLLPFGTKPEQLPEKWLCSMLNWLEEETTKALNALYQPSSESLNKLQAHANGTASAVPAVDVLNLDQNHQKLSSHAMSNQGKKKHGLKEIPNIGSGSGLLNATKNHLQEAVKSISSKDINRPPLESNPMKKSGSRQMSKLQNLGMEKGTTKQKEKDTSGGDAKKVRLKYNGADQYTCGASKKLKREETWHGDKNRNAHIDLGKVGVGSSTGLLTQARGQDIKYNDLCYSEDTKDVVKDIAQVSAKKLQDQTQVSCPGGSLDVRKCSRGDSSMKKRKMRDWQDTQNNVETFQNFAHEGKVYSKEESSESGYRKEKKSRILKTDGKESSTSNGDDKSNRKSRVTQIVMSGTKVHSIDAMEKDRSIVKDQQPGKHSKQNASQQTLDGVNSLKRDLGSVSLAATSSSSKVSGSHKTRVNFEEVKGSPVESVSSSPLRTSHSDRLTSSRGDAFGKDDAVYGDFPPSNIPKRFWDGDETGNIDKFVTTRKKKISCSTRPESHKFSSVGCHDIDANGEFSVKAKPSSEVWGSHLLSGNDSLEPHGQCLSNQHGMDRCHDDDRENKKQTEVAVCVQKSGKGSCLQSKDNVRSCTSDLDRNKVKVSDPVNDHSKKSQRYEPEIERDHQAFVLEKGNNVRHNLPKKCSTKSVKVKDDNYHVSRGDNAGNGSSDSGVETQLRRKEYDVSDVKFSATQSPNRKGARALQQNLIQNHGDSQIQNDPRSGKPQLFSHCQGERKEETPSLCSRPVAGSEREVVFQGLPVNATVNGDESKSVKLSGTSANKNGINCNLVHFMPDQQRAIDVSSPSPVRSSSSQTASNSLKEAKRLRDYADYLKDSGFDFESSEAYFQAALKFLQGAVLLESCSSENGKHGDMTQLQVYSTTAKLCELCAHEYETRNEVASAALAYKCMEVAYMRVVYCKHSSTNRDRHELQVTLNIAPPGESPSSSASDVDNLNNQVIAEKAVLSKGTGSHVSGNHVVVARNRPNFVRLLDFTQDVNFAMEATRKSQNAFAAACATLEDAHKNDCICSIKRVIDFSFQDLEELIRLVKLAMEAISRSKFGGARD >ONI28337 pep chromosome:Prunus_persica_NCBIv2:G1:10866901:10878848:-1 gene:PRUPE_1G139000 transcript:ONI28337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEENNTELEEGEASCYYKDDEENVDPDNDLSYIDERLQHALGHFQKDFEGGAFAESLGPKYGGYGSFLPSYERSSAVWSHPKTPQKSYNTSRSPKSLMEGATQNLKASSSAPPTVRLGTANSAQLSHNSRVPHRDISVKQDSCVPSTQVAERCSLKDETLNKPGNPTDLRTLKVRIKMNSDNTTRKNVAIYSGLGLNSPSSSLENSPEESGDMPPPSQVTVDESPTNIIQVMTSFPVPGDALISPLHDSLLCLIRKRKVPSSKGHQEHSSLSVEESVSTRGNRKVPKETKIKVAGKGESLVKLKLENGIKNSTRILMKKKSETETLEGKELLPNDLRATPLSNLVCDVGDPLKGIGRTSEASREANENEVKGRFSSSELMKEESLESISGQGCVKNEKQNSRYGSAEKVWEQKDVPVHLRDDGKCKGYKTSAPQHDTDVSKVKEEPDLHRHNAGKKCTSHEQEKPNVPGKRAKLSLEGRIKSKENQSNEKPPTVSTKESLGFEMGVVPKDELSGGQGVPPSGRKIRKLKSQKDKVIDNQRESFGGKSLEQRNKMDLAERPADDIEVKWKACLDKPKEKLSGKKIDNRLVSIDAPHSCQPTMENGLASEVVPAAPIVIEENWVCCDKCQKWRLLPFGTKPEQLPEKWLCSMLNWLPGMNRCDISEEETTKALNALYQPSSESLNKLQAHANGTASAVPAVDVLNLDQNHQKLSSHAMSNQGKKKHGLKEIPNIGSGSGLLNATKNHLQEAVKSISSKDINRPPLESNPMKKSGSRQMSKLQNLGMEKGTTKQKEKDTSGGDAKKVRLKYNGADQYTCGASKKLKREETWHGDKNRNAHIDLGKVGVGSSTGLLTQARGQDIKYNDLCYSEDTKDVVKDIAQVSAKKLQDQTQVSCPGGSLDVRKCSRGDSSMKKRKMRDWQDTQNNVETFQNFAHEGKVYSKEESSESGYRKEKKSRILKTDGKESSTSNGDDKSNRKSRVTQIVMSGTKVHSIDAMEKDRSIVKDQQPGKHSKQNASQQTLDGVNSLKRDLGSVSLAATSSSSKVSGSHKTRVNFEEVKGSPVESVSSSPLRTSHSDRLTSSRGDAFGKDDAVYGDFPPSNIPKRFWDGDETGNIDKFVTTRKKKISCSTRPESHKFSSVGCHDIDANGEFSVKAKPSSEVWGSHLLSGNDSLEPHGQCLSNQHGMDRCHDDDRENKKQTEVAVCVQKSGKGSCLQSKDNVRSCTSDLDRNKVKVSDPVNDHSKKSQRYEPEIERDHQAFVLEKGNNVRHNLPKKCSTKSVKVKDDNYHVSRGDNAGNGSSDSGVETQLRRKEYDVSDVKFSATQSPNRKGARALQQNLIQNHGDSQIQNDPRSGKPQLFSHCQGERKEETPSLCSRPVAGSEREVVFQGLPVNATVNGDESKSVKLSGTSANKNGINCNLVHFMPDQQRAIDVSSPSPVRSSSSQTASNSLKEAKRLRDYADYLKDSGFDFESSEAYFQAALKFLQGAVLLESCSSENGKHGDMTQLQVYSTTAKLCELCAHEYETRNEVASAALAYKCMEVAYMRVVYCKHSSTNRDRHELQVTLNIAPPGESPSSSASDVDNLNNQVIAEKAVLSKGTGSHVSGNHVVVARNRPNFVRLLDFTQDVNFAMEATRKSQNAFAAACATLEDAHKNDCICSIKRVIDFSFQDLEELIRLVKLAMEAISRSKFGGARD >ONI28336 pep chromosome:Prunus_persica_NCBIv2:G1:10866882:10878848:-1 gene:PRUPE_1G139000 transcript:ONI28336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEENNTELEEGEASCYYKDDEENVDPDNDLSYIDERLQHALGHFQKDFEGGAFAESLGPKYGGYGSFLPSYERSSAVWSHPKTPQKSYNTSRSPKSLMEGATQNLKASSSAPPTVRLGTANSAQLSHNSRVPHRDISVKQDSCVPSTQVAERCSLKDETLNKPGNPTDLRTLKVRIKMNSDNTTRKNVAIYSGLGLNSPSSSLENSPEESGDMPPPSQVTVDESPTNIIQVMTSFPVPGDALISPLHDSLLCLIRKRKVPSSKGHQEHSSLSVEESVSTRGNRKVPKETKIKVAGKGESLVKLKLENGIKNSTRILMKKKSETETLEGKELLPNDLRATPLSNLVCDVGDPLKGIGRTSEASREANENEVKGRFSSSELMKEESLESISGQGCVKNEKQNSRYGSAEKVWEQKDVPVHLRDDGKCKGYKTSAPQHDTDVSKVKEEPDLHRHNAGKKCTSHEQEKPNVPGKRAKLSLEGRIKSKENQSNEKPPTVSTKESLGFEMGVVPKDELSGGQGVPPSGRKIRKLKSQKDKVIDNQRESFGGKSLEQRNKMDLAERPADDIEVKWKACLDKPKEKLSGKKIDNRLVSIDAPHSCQPTMENGLASEVVPAAPIVIEENWVCCDKCQKWRLLPFGTKPEQLPEKWLCSMLNWLPGMNRCDISEEETTKALNALYQPSSESLNKLQAHANGTASAVPAVDVLNLDQNHQKLSSHAMSNQGKKKHGLKEIPNIGSGSGLLNATKNHLQEAVKSISSKDINRPPLESNPMKKSGSRQMSKLQNLGMEKGTTKQKEKDTSGGDAKKVRLKYNGADQYTCGASKKLKREETWHGDKNRNAHIDLGKVGVGSSTGLLTQARGQDIKYNDLCYSEDTKDVVKDIAQVSAKKLQDQTQVSCPGGSLDVRKCSRGDSSMKKRKMRDWQDTQNNVETFQNFAHEGKVYSKEESSESGYRKEKKSRILKTDGKESSTSNGDDKSNRKSRVTQIVMSGTKVHSIDAMEKDRSIVKDQQPGKHSKQNASQQTLDGVNSLKRDLGSVSLAATSSSSKVSGSHKTRVNFEEVKGSPVESVSSSPLRTSHSDRLTSSRGDAFGKDDAVYGDFPPSNIPKRFWDGDETGNIDKFVTTRKKKISCSTRPESHKFSSVGCHDIDANGEFSVKAKPSSEVWGSHLLSGNDSLEPHGQCLSNQHGMDRCHDDDRENKKQTEVAVCVQKSGKGSCLQSKDNVRSCTSDLDRNKVKVSDPVNDHSKKSQRYEPEIERDHQAFVLEKGNNVRHNLPKKCSTKSVKVKDDNYHVSRGDNAGNGSSDSGVETQLRRKEYDVSDVKFSATQSPNRKGARALQQNLIQNHGDSQIQNDPRSGKPQLFSHCQGERKEETPSLCSRPVAGSEREVVFQGLPVNATVNGDESKSVKLSGTSANKNGINCNLVHFMPDQQRAIDVSSPSPVRSSSSQTASNSLKEAKRLRDYADYLKDSGFDFESSEAYFQAALKFLQGAVLLESCSSENGKHGDMTQLQVYSTTAKLCELCAHEYETRNEVASAALAYKCMEVAYMRVVYCKHSSTNRDRHELQVTLNIAPPGESPSSSASDVDNLNNQVIAEKAVLSKGTGSHVSGNHVVVARNRPNFVRLLDFTQDVNFAMEATRKSQNAFAAACATLEDAHKNDCICSIKRVIDFSFQDLEELIRLVKLAMEAISRSKFGGARD >ONI30944 pep chromosome:Prunus_persica_NCBIv2:G1:28537306:28539610:1 gene:PRUPE_1G283200 transcript:ONI30944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISQTPFIFLLLLLFAFFTPTHQNVSVSLSKTTLSKSGDSVLIQWSGVDSPSKLDWLGIYSPPSSHHDNFIGYKFLSSSPTWKSGSGSISLPLVNLRSNYSFRIFRWTEDEVDRNHLDQDHNPLPGTAHLLATSDDELTFESGRGPDQIHLSYTDADDEMRVMFVTSDAGERTVRYGPSDDSLDDVAVAHVERYEREHMCDSPANASIGWRDPGFIHGAVMTRLKKGVRYYYKVGSDNGGWSKTHSFVSRNGDSDETTAFMFGDMGTATPYATFYRTQDESISTVKWILRDIEALGDKPAFVSHIGDISYARGYSWLWDQFFSQIEPLASKLPYHVCIGNHEYDWPLQPWKPEWASMYGKDGGGECGVPYSLKFNMPGNSSEPTGTGAPATRNLYYSFDVGSVHFVYISTETNFVQGSKQLEFIKRDLEAVDRRKTPFVVVQGHRPMYTTSNERGDAPLREKMLEHLEPLFVKNNVTLALWGHVHRYERFCQLNNFTCGSVGPVHVVIGMAGQDWQPIWEPRPDHLTDPIYPQPERSLYRGGEFGYTRLVATKQKLTLSYVGNHDGKVHDTLEILASGQVVGVNGAGIKAVDSSSGGAGEPGVIGGSGESTFSWFVKGASLVVLGIFVGYVGGYISYARKRDGTGNNWTPVKSEDM >ONI30943 pep chromosome:Prunus_persica_NCBIv2:G1:28537288:28540452:1 gene:PRUPE_1G283200 transcript:ONI30943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISQTPFIFLLLLLFAFFTPTHQNVSVSLSKTTLSKSGDSVLIQWSGVDSPSKLDWLGIYSPPSSHHDNFIGYKFLSSSPTWKSGSGSISLPLVNLRSNYSFRIFRWTEDEVDRNHLDQDHNPLPGTAHLLATSDDELTFESGRGPDQIHLSYTDADDEMRVMFVTSDAGERTVRYGPSDDSLDDVAVAHVERYEREHMCDSPANASIGWRDPGFIHGAVMTRLKKGVRYYYKVGSDNGGWSKTHSFVSRNGDSDETTAFMFGDMGTATPYATFYRTQDESISTVKWILRDIEALGDKPAFVSHIGDISYARGYSWLWDQFFSQIEPLASKLPYHVCIGNHEYDWPLQPWKPEWASMYGKDGGGECGVPYSLKFNMPGNSSEPTGTGAPATRNLYYSFDVGSVHFVYISTETNFVQGSKQLEFIKRDLEAVDRRKTPFVVVQGHRPMYTTSNERGDAPLREKMLEHLEPLFVKNNVTLALWGHVHRYERFCQLNNFTCGSVGPVHVVIGMAGQDWQPIWEPRPDHLTDPIYPQPERSLYRGGEFGYTRLVATKQKLTLSYVGNHDGKVHDTLEILASGQVVGVNGAGIKAVDSSSGGAGEPGVIGGSGESTFSWFVKGASLVVLGIFVGYVGGYISYARKRDGTGNNWTPVKSEDM >ONI26727 pep chromosome:Prunus_persica_NCBIv2:G1:2981577:2984592:1 gene:PRUPE_1G041700 transcript:ONI26727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTVFAPALEGFKTVKSDGGEILTKPFLEVCKQILPVIDKFGAAMTLVKSDIGGNITRLESKYSSNPSKFNYLYSLVQLEVETKTAKASSSCTNGLLWLTRAMDFLVELFRNLLDHPDWTMSQACTDSYGKTLKKWHGWLASSSFSVAMKLAPDRKKFMEVIGGNGDIMGDIDKFCTTFTPLLKENHKFLASVGMDELKAS >ONI26728 pep chromosome:Prunus_persica_NCBIv2:G1:2981564:2984592:1 gene:PRUPE_1G041700 transcript:ONI26728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVKSDIGGNITRLESKYSSNPSKFNYLYSLVQLEVETKTAKASSSCTNGLLWLTRAMDFLVELFRNLLDHPDWTMSQACTDSYGKTLKKWHGWLASSSFSVAMKLAPDRKKFMEVIGGNGDIMGDIDKFCTTFTPLLKENHKFLASVGMDELKAS >ONI26726 pep chromosome:Prunus_persica_NCBIv2:G1:2981459:2985099:1 gene:PRUPE_1G041700 transcript:ONI26726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTVFAPALEGFKTVKSDGGEILTKPFLEVCKQILPVIDKFGAAMTLVKSDIGGNITRLESKYSSNPSKFNYLYSLVQLEVETKTAKASSSCTNGLLWLTRAMDFLVELFRNLLDHPDWTMSQACTDSYGKTLKKWHGWLASSSFSVAMKLAPDRKKFMEVIGGNGDIMGDIDKFCTTFTPLLKENHKFLASVGMDELKAS >ONI35222 pep chromosome:Prunus_persica_NCBIv2:G1:42869019:42878102:1 gene:PRUPE_1G523400 transcript:ONI35222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHAPATIEEQLLLKAIKEECPWENLPKRLQVTLSSKEEWHRRVIEHCIKKRLPWNICFARKVCKESEYYEDMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIDPWWGICLVNFTLEEFKKLSEEEMATIDKICKEEANSYILFDPDIVKGLHQRGLIYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSEHATVAELATTLQADLAQLQAAASFACRLGWAVKVFDPASVLRDTSLPGSPRNSLSDEDASRRSISSANMFADGDASLQGDVSGTENYGLSSLHDRVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASITDLCKDLSTLEGTKFEGELQEFANHAFSLRCVLECLQSGGVATDVKTDEVCNNMDMIASNNDEATLIADVTLTEKSGHLTGQEVGFDDDVSVKSGMPQEGSVLAEPVSDRSDEIIIGTSSEDSTSLTEVPKSDLNLQSNEKQVHDEGSDVGKEMLKRKNKFRVDILRCESLASLAPATLDRLFRRDYDIVVSMIPLPPSSVLPGPAGPFNFGPPSYSCMTPWMKLVLYSTVACGPLSVILMKGQCLRLLPAPLAGCEKALLWSWDGSTIGGLGGKFEGNLVKGSVLLHCLNSLLKYSAVLVQPLSKFDLDESGRIITMDIPLPLKNSDGSVACIGKELDMCEKESSKLNSLLVDLTSKIELWTVGYIRLLKLFKERDSDHFAPDDEKFEWVPLSVEFGMPLFSPKLCNNICKRVVSSQLLQKDLLTEHHDAMQSLRKRLRDVCAEYQATGPAAKLLYQKEQSKDFSRHLMNYASGRWNPLVDSSSPISGASSEHQRLKLANRHRSRTEVLSFDGSILRSYALSPVYEAATRPVEEALPVSTTKVEQEEADSREVVLPGVNLVFDGSELHPFEIGACLQARQPVSLIAEAAAASAVIQQNRAS >ONI27262 pep chromosome:Prunus_persica_NCBIv2:G1:5525416:5533086:1 gene:PRUPE_1G076600 transcript:ONI27262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMRVVEFGCAVAVLFALLIVGKADVYIVTIEGEPIISYKGDVDGFEATAVESDEKIDTTSESVTSYARHLESKHDMLLGMLFEQGTYQKLYSYQHLINGFAVHISHEQAEKLMRAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPQHPSFASHNSDPYGPVPKYRGKCEVDPDTKRSFCNGKIIGAHHFAKAAIAAGVFNPSIDFASPMDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVYDGVDILSLSVGPNSPPATTKTTYLNPFDATLLSAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLMLGNGKILAGIGLSPSTHPNRTYTLVAANDALLDSSVVKYSPSDCQKPEVLNKNLIQGNILLCGYSFNFVVGTASIKKVSETAKSLGAIGFVLAVENVSPGTKFDPVPVGVPGILITDVSKSLDLIDYYNISTSRDWTGRVKSFKGIGSIGDGLMPILHKSAPQVALFSARGPNIKDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPDYVGEGFAMISGTSMAAPHIAGIAALIKQKHPHWSPAAIKSALMTTSTTLDRAGRPLQAQQYSETQAMKLVSATPFDYGSGHVDPRAALDPGLIFDVGYQDYLGFLCTTPGIDAREIRNYTNCACNYTIGHPSNFNSPSITVSHLVRSQTVTRTVTNVAEQETYVITTRMAPAIAIEANPPAMTLKPGASRKFSVTLTVRSVTGTYSFGEVLMKGNRGHKVRIPVVAMGYQR >ONI27260 pep chromosome:Prunus_persica_NCBIv2:G1:5525091:5533081:1 gene:PRUPE_1G076600 transcript:ONI27260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMRVVEFGCAVAVLFALLIVGKADVYIVTIEGEPIISYKGDVDGFEATAVESDEKIDTTSESVTSYARHLESKHDMLLGMLFEQGTYQKLYSYQHLINGFAVHISHEQAEKLMRAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPQHPSFASHNSDPYGPVPKYRGKCEVDPDTKRSFCNGKIIGAHHFAKAAIAAGVFNPSIDFASPMDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVYDGVDILSLSVGPNSPPATTKTTYLNPFDATLLSAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLMLGNGKILAGIGLSPSTHPNRTYTLVAANDALLDSSVVKYSPSDCQKPEVLNKNLIQGNILLCGYSFNFVVGTASIKKVSETAKSLGAIGFVLAVENVSPGTKFDPVPVGVPGILITDVSKSLDLIDYYNISTSRDWTGRVKSFKGIGSIGDGLMPILHKSAPQVALFSARGPNIKDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPDYVGEGFAMISGTSMAAPHIAGIAALIKQKHPHWSPAAIKSALMTTSTTLDRAGRPLQAQQYSETQAMKLVSATPFDYGSGHVDPRAALDPGLIFDVGYQDYLGFLCTTPGIDAREIRNYTNCACNYTIGHPSNFNSPSITVSHLVRSQTVTRTVTNVAEQETYVITTRMAPAIAIEANPPAMTLKPGASRKFSVTLTVRSVTGTYSFGEVLMKGNRGHKVRIPVVAMGYQR >ONI27261 pep chromosome:Prunus_persica_NCBIv2:G1:5525441:5533086:1 gene:PRUPE_1G076600 transcript:ONI27261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMRVVEFGCAVAVLFALLIVGKADVYIVTIEGEPIISYKGDVDGFEATAVESDEKIDTTSESVTSYARHLESKHDMLLGMLFEQGTYQKLYSYQHLINGFAVHISHEQAEKLMRAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPQHPSFASHNSDPYGPVPKYRGKCEVDPDTKRSFCNGKIIGAHHFAKAAIAAGVFNPSIDFASPMDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVYDGVDILSLSVGPNSPPATTKTTYLNPFDATLLSAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLMLGNGKILAGIGLSPSTHPNRTYTLVAANDALLDSSVVKYSPSDCQKPEVLNKNLIQGNILLCGYSFNFVVGTASIKKVSETAKSLGAIGFVLAVENVSPGTKFDPVPVGVPGILITDVSKSLDLIDYYNISTSRDWTGRVKSFKGIGSIGDGLMPILHKSAPQVALFSARGPNIKDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPDYVGEGFAMISGTSMAAPHIAGIAALIKQKHPHWSPAAIKSALMTTSTTLDRAGRPLQAQQYSETQAMKLVSATPFDYGSGHVDPRAALDPGLIFDVGYQDYLGFLCTTPGIDAREIRNYTNCACNYTIGHPSNFNSPSITVSHLVRSQTVTRTVTNVAEQETYVITTRMAPAIAIEANPPAMTLKPGASRKFSVTLTVRSVTGTYSFGEVLMKGNRGHKVRIPVVAMGYQR >ONI27259 pep chromosome:Prunus_persica_NCBIv2:G1:5525069:5533086:1 gene:PRUPE_1G076600 transcript:ONI27259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMRVVEFGCAVAVLFALLIVGKADVYIVTIEGEPIISYKGDVDGFEATAVESDEKIDTTSESVTSYARHLESKHDMLLGMLFEQGTYQKLYSYQHLINGFAVHISHEQAEKLMRAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPQHPSFASHNSDPYGPVPKYRGKCEVDPDTKRSFCNGKIIGAHHFAKAAIAAGVFNPSIDFASPMDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVYDGVDILSLSVGPNSPPATTKTTYLNPFDATLLSAVKAGVFVAQAAGNGGPFPKTLVSYSPWIASVAAAIDDRRYKNHLMLGNGKILAGIGLSPSTHPNRTYTLVAANDALLDSSVVKYSPSDCQKPEVLNKNLIQGNILLCGYSFNFVVGTASIKKVSETAKSLGAIGFVLAVENVSPGTKFDPVPVGVPGILITDVSKSLDLIDYYNISTSRDWTGRVKSFKGIGSIGDGLMPILHKSAPQVALFSARGPNIKDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPDYVGEGFAMISGTSMAAPHIAGIAALIKQKHPHWSPAAIKSALMTTSTTLDRAGRPLQAQQYSETQAMKLVSATPFDYGSGHVDPRAALDPGLIFDVGYQDYLGFLCTTPGIDAREIRNYTNCACNYTIGHPSNFNSPSITVSHLVRSQTVTRTVTNVAEQETYVITTRMAPAIAIEANPPAMTLKPGASRKFSVTLTVRSVTGTYSFGEVLMKGNRGHKVRIPVVAMGYQR >ONI32645 pep chromosome:Prunus_persica_NCBIv2:G1:34191427:34196287:-1 gene:PRUPE_1G378400 transcript:ONI32645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQTQVQTQTQTQPQTPTPTQPPHHDSIDDTTIAEVSPTPTQLTNAPSQTSSPPSKIPFRPRKIRKLSPDTSDPNSSQQIVALPDNPKPLPAAAKSAKSKAVQQRALSAPKIAARPLSCEGEVEAAIRHLRNADPLLAPLIDLHQRPTFDTFQTPFLALTRSILYQQLAYKAGNSIYTRFVSLCGGEACVVPETVLAQTPQQLRQIGVSGRKASYLHDLARKYQNGILSDAAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLSMRKGVQLLYNLDELPRPSQMEHLCEKWRPYRSVAACYMWRFSESKGAPSSAAAVAAGATLPPQQQQEEQQQQHPQHPQQQQLMDSLSSLINIGNGACIHGELSFSMSCDTGPVPGDLDREWN >ONI32644 pep chromosome:Prunus_persica_NCBIv2:G1:34191404:34196286:-1 gene:PRUPE_1G378400 transcript:ONI32644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQTQVQTQTQTQPQTPTPTQPPHHDSIDDTTIAEVSPTPTQLTNAPSQTSSPPSKIPFRPRKIRKLSPDTSDPNSSQQIVALPDNPKPLPAAAKSAKSKAVQQRALSAPKIAARPLSCEGEVEAAIRHLRNADPLLAPLIDLHQRPTFDTFQTPFLALTRSILYQQLAYKAGNSIYTRFVSLCGGEACVVPETVLAQTPQQLRQIGVSGRKASYLHDLARKYQNGILSDAAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLSMRKGVQLLYNLDELPRPSQMEHLCEKWRPYRSVAACYMWRFSESKGAPSSAAAVAAGATLPPQQQQEEQQQQHPQHPQQQQLMDSLSSLINIG >ONI32646 pep chromosome:Prunus_persica_NCBIv2:G1:34191427:34196286:-1 gene:PRUPE_1G378400 transcript:ONI32646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQTQVQTQTQTQPQTPTPTQPPHHDSIDDTTIAEVSPTPTQLTNAPSQTSSPPSKIPFRPRKIRKLSPDTSDPNSSQQIVALPDNPKPLPAAAKSAKSKAVQQRALSAPKIAARPLSCEGEVEAAIRHLRNADPLLAPLIDLHQRPTFDTFQTPFLALTRSILYQQLAYKAGNSIYTRFVSLCGGEACVVPETVLAQTPQQLRQIGVSGRKASYLHDLARKYQNGILSDAAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLSMRKGVQLLYNLDELPRPSQMEHLCEKWRPYRSVAACYMWRFSESKGAPSSAAAVAAGATLPPQQQQEEQQQQHPQHPQQQQLMDSLSSLINIGACTWGP >ONI34824 pep chromosome:Prunus_persica_NCBIv2:G1:41466920:41470897:1 gene:PRUPE_1G501000 transcript:ONI34824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKEQLLARLKELQIGFSQYEHPVVLTVEAQAKYVGHLGGGLSKNLFLKDKKNRFYIVSALADTKVDLKVLSIRLGLGKGGLRMAPEEALGELLQVPLGCVTPFSLVNESARHVSLLLDQKFKSQEHCFFHPLSNDTSISLSTHDLDKFLKSIGRDPSYVDLEANPSVGKDQPPDLASLVPSGSTVLPDPPEAAASSKDSIENPVSVSNKSTTSTANATKPSTNAKNTKDKPVNGVHVSKSVTDVGKLVEDLLDKTSALLLSETTEETIKKHGAQLGAAISNSIRSHLRAELESTIFIEHMDYMLRHGCLLSLVHAQF >ONI34823 pep chromosome:Prunus_persica_NCBIv2:G1:41466780:41471274:1 gene:PRUPE_1G501000 transcript:ONI34823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKEQLLARLKELQIGFSQYEHPVVLTVEAQAKYVGHLGGGLSKNLFLKDKKNRFYIVSALADTKVDLKVLSIRLGLGKGGLRMAPEEALGELLQVPLGCVTPFSLVNESARHVSLLLDQKFKSQEHCFFHPLSNDTSISLSTHDLDKFLKSIGRDPSYVDLEANPSVGKDQPPDLASLVPSGSTVLPDPPEAAASSKDSIENPVSVSNKSTTSTANATKPSTNAKNTKDKPVNGVHVSKSVTDVGKLVEDLLDKTSALLLSETTEETIKKHGAQLGAAISNSIRSHLRAELESTIMTFKNSAYTEGFHAGVHHHAKRTY >ONI29097 pep chromosome:Prunus_persica_NCBIv2:G1:15892648:15900021:-1 gene:PRUPE_1G181300 transcript:ONI29097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSTSSSSSQNPMGPGPSATGLTRYGSAPGSLLTSAVDSVIGAVADREFSALRSQPHLGHYFSGDGHGDDSSLTSSESTFKVNSSNGGTDATKPLIRSYGLNEMANAIGSPTSSSSCSSPSALVRQRSSPAGFLSHLTAPDNNGGFSVTRGAGIYSSQGGTNGGHGHGVTRLKSQLSFTGQDSLSQISEVSENAMEGVSSNNGHHRAMHSYPTTSFGMESWDNTNSIVFSAPPSKRAKNMDGDLFNCLNALESQFSLPQTSLEMATVEKLLHIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYADMLDLAVQHIKGLQNQVEDLHKDLDNCTCGCKPNT >ONI29098 pep chromosome:Prunus_persica_NCBIv2:G1:15893218:15900021:-1 gene:PRUPE_1G181300 transcript:ONI29098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSTSSSSSQNPMGPGPSATGLTRYGSAPGSLLTSAVDSVIGAVADREFSALRSQPHLGHYFSGDGHGDDSSLTSSESTFKVNSSNGGTDATKPLIRSYGLNEMANAIGSPTSSSSCSSPSALVRQRSSPAGFLSHLTAPDNNGGFSVTRGAGIYSSQGGTNGGHGHGVTRLKSQLSFTGQDSLSQISEVSENAMEGVSSNNGHHRAMHSYPTTSFGMESWDNTNSIVFSAPPSKRAKNMDGDLFNCLNALESQFSLPQTSLEMATVEKLLHIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKVITDGSYKYGLVKQTSYADMLDLAVQHIKGLQNQVEDLHKDLDNCTCGCKPNT >ONI27725 pep chromosome:Prunus_persica_NCBIv2:G1:8141924:8142682:-1 gene:PRUPE_1G101900 transcript:ONI27725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKDEGKMFASEKTLIFNKLSPYLFVKKNGREFGTASAKRRDLPEDPSSQEQFIFPLRIKISNPIVARSVVAMLGLGFIDAGYSGDWSRIGVISKESEDLLKVAAFVVVPLCVFLIISFFKEQED >ONI33791 pep chromosome:Prunus_persica_NCBIv2:G1:37731590:37736280:1 gene:PRUPE_1G445700 transcript:ONI33791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSQKRKRNKLEVESKYSKRRKALQFPKAGFNKNNGDCACNDQTEPDHQLEKTQSRQDLDEIHEDKVKESTKVNSSSRASELSEFPAARPLLAPTIVHVSRPDEVEEARKDLPIVMMEQEIMEAINENSTVIIRGETGCGKTTQVPQFLFEAGYGSSHSNSAQSGIIGVTQPRRVAVYATAERVAYELGLNLGKEVGFQVRHDKRMSKSSCSIKFMTDGILLQELKSDFLLKQYSVIILDEVHVRSSNTDILIGMLSRVIVQRQKEYENQQKAVLLGKNVSREQQIFPLKLVLMSATLREDFIRKLFGEPPIIEVPTRQFSVKAYYENRTEEEGDYVEKACKKVLKIHKRLPLGGILVFVTGKREVERLCRKLQGASRELMKRSVESDTDEVSDIDSVEDELEGYSYDSETESEQEFFRDDDRDSLCQDTPGLVDGTVAEVVGEDGSIVSPKAGFDSWAGKSSLCCNSAGKKGTFPGALHVVPLYARLNAEAQLTEIEKVKEGGRLVVVATNVAETSLTISRIKYVVDTGKEKVKDYNSNGMETYKIQWISKASADQRKGRAGRTGPGHCYRLYSQAVYYNKFPDFSAPEISKVPFDGVALLLKSMNILKISNFPFPTPPEAGAVDEAERLLAVLEASDTNGRLTPLGHSMACYPLRPRHSRMLLTIFQIMSKQKNRARANLVLAYAVAAVASLSCSNPFLRQFEDIHTTNHDNVCSDAPFEHSNQEKLTKKKLKETLKVPRERFFNPSSDALSRAYALQCYEISKRPVEFCNDNALHPKTMEEISKLRKQLFERVFEQSGVSGGEKEFSWIYGSMEDVERDWRVSYDKNPLSMYEEELLGQAICAGWADRVAKRIRGRSSSVSSDLGDGKVRAVRYQACMVKETVFLDPWSSVSNSAPEFLVYNELIQTRSGPYMYGVTRVKSEWLVEHARALCTFSAPPTDTKPYYEPLTDQVFSYVIPAFGSHLWELPPFGLPMNKLDQFPDRVKAFAYALLQGQVLPCLKVVRKCMAEPPGNVLKPEAAGRRRVGNLLEKLMRNKIHNCAALRQVWKENRMELHPEFLAWFNKSFQTSSFEALWSLMLREVALE >ONI33790 pep chromosome:Prunus_persica_NCBIv2:G1:37731618:37735979:1 gene:PRUPE_1G445700 transcript:ONI33790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSQKRKRNKLEVCDVESKYSKRRKALQFPKAGFNKNNGDCACNDQTEPDHQLEKTQSRQDLDEIHEDKVKESTKVNSSSRASELSEFPAARPLLAPTIVHVSRPDEVEEARKDLPIVMMEQEIMEAINENSTVIIRGETGCGKTTQVPQFLFEAGYGSSHSNSAQSGIIGVTQPRRVAVYATAERVAYELGLNLGKEVGFQVRHDKRMSKSSCSIKFMTDGILLQELKQYSVIILDEVHVRSSNTDILIGMLSRVIVQRQKEYENQQKAVLLGKNVSREQQIFPLKLVLMSATLREDFIRKLFGEPPIIEVPTRQFSVKAYYENRTEEEGDYVEKACKKVLKIHKRLPLGGILVFVTGKREVERLCRKLQGASRELMKRSVESDTDEVSDIDSVEDELEGYSYDSETESEQEFFRDDDRDSLCQDTPGLVDGTVAEVVGEDGSIVSPKAGFDSWAGKSSLCCNSAGKKGTFPGALHVVPLYARLNAEAQLTEIEKVKEGGRLVVVATNVAETSLTISRIKYVVDTGKEKVKDYNSNGMETYKIQWISKASADQRKGRAGRTGPGHCYRLYSQAVYYNKFPDFSAPEISKVPFDGVALLLKSMNILKISNFPFPTPPEAGAVDEAERLLAVLEASDTNGRLTPLGHSMACYPLRPRHSRMLLTIFQIMSKQKNRARANLVLAYAVAAVASLSCSNPFLRQFEDIHTTNHDNVCSDAPFEHSNQEKLTKKKLKETLKVPRERFFNPSSDALSRAYALQCYEISKRPVEFCNDNALHPKTMEEISKLRKQLFERVFEQSGVSGGEKEFSWIYGSMEDVERDWRVSYDKNPLSMYEEELLGQAICAGWADRVAKRIRGRSSSVSSDLGDGKVRAVRYQACMVKETVFLDPWSSVSNSAPEFLVYNELIQTRSGPYMYGVTRVKSEWLVEHARALCTFSAPPTDTKPYYEPLTDQVFSYVIPAFGSHLWELPPFGLPMNKLDQFPDRVKAFAYALLQGQVLPCLKVVRKCMAEPPGNVLKPEAAGRRRVGNLLEKLMRNKIHNCAALRQVWKENRMELHPEFLAWFNKSFQTSSFEALWSLMLREVALE >ONI29152 pep chromosome:Prunus_persica_NCBIv2:G1:16231730:16235273:-1 gene:PRUPE_1G183400 transcript:ONI29152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSRSQSKAEQLNQNPEGRVRNRFPGHPRTLSEQDPQREITEQEPQQNENCEVKNTDGSNKTNNISNNNISAIKSAGVGITVGNEYNNSTVPFFLGLLVLVVFVVLALCFILR >ONI29824 pep chromosome:Prunus_persica_NCBIv2:G1:22884782:22895151:-1 gene:PRUPE_1G215900 transcript:ONI29824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIDRAPSAEVVGCEECNPYGPYGKNVYDIKVDRWRNRFNDRGKEPYKTLPGDLFVLADAKPETFSDLQRVGTGRSSWAFVSVTNVPENEDEDDIDSTSLYFRVKASKEITHTSLFLVFLVNLIPNSRIWKALLMSGNRKIIKEVLCTDSVAQKNNYLCSEVNDDIRDKWLVESSSSGLNESQTGAVLACLEMLRWDSKSTVQLIWGAPGTGKTKTTATLLFTLLRINCRTLICAPTNVAITEVASCVLKMVTEAKSNSLGEILLFGNKERLKVGPHIEDIYLNYRVKRLVECLGPVTGWSICFASMIGFLEDCVSHYHIFLENELSNEKEHEAVSEMKEKECRTDTQVIKGKCISFVEFFRDRFVSAALPLRRCISTFCTHVAKNYFLAHTFQNMILLIGLFDSIESLLLHGDIVSEALEHLCSCSKVEVVPESFVDNSFLLCMKRKECLSVLRTLQDSLSGLDLPNFRNGEALMEFCFQRASLIFCTASSSYKLHRVAMEPLTIVVIDEAAQLKECESTIPLQLPGVKHAVLVGDECQLPATVNSNVSVEAGFSRSLFERLSSMGHSKHLLNMQYRMHPSISLFPNTNFYNNQILDAPNVKKRSHEKHYLPGSMFGPFSFINVIGGREEKDEDGRSRKNMVEVAIILKILWKLYKEWIVSKQKLSIGVVSPYAAQVVAVQDKLRQRYDNIDGFTVKVKTVDGFQGGEDDIIIVSTVRSTIHQSIDFISKPQRVNVALTRARESVWKALVLDAKRRQCFFNADEDKDLAGAILEVKKEFGQFDDLLNPDSIFFRSSRWKVLFSDNFLKSFKKLKSIRLKKSVLNLLLKLSTGWRPKKPNVGTICGSYSHILRKYMVEGLYIVCTTDIAKDVKYIQILKVWDLLPLEDIPKLVNRLESILKRYTDDFINRCKEKCLESDLEVPKSWPPSLDIVRFKDLSVTENQSDLVSDNDSDGRSYVENSQVSESLLLMKFYSLSSGVVNHLLSDREGRELDLPFEVTDQEMEIILYCKSSFIVGRSGTGKTTVLTMKLFQNEQCYQLAVQGCLSSQNSMVEQSSSATKGRNLHQLFVTVSPKLCFAIKQHVLNLKSFACGGSDSTEKSLIDMADFEEEEAQFKDIKDSFHDIPPNSYPLVITFHKFLMMLDGTLGNSYFERFLDATKLTHGQLQSSRSVALQTFIRTKEVKYERFSSSYWPHFNIQLTKKLDASRVFTEIISHIKGGLGAMDAGDGKLNRDDYVQLSEGRGSNLSKQKREEIYDIFQAYEKMKMENGEFDLADFVIDLHRRLRHEKYGGDQMDFVYIDEVQDLTMSQIALFKHMCINNIDEGFIFSGDTAQTIARGIDFRFQDIRHLFHKKFVLESRSNKLEERKEKGQISKMFHLTQNFRTHAGILKLSQSIIELIYRFFPHSIDVLDPETSLIYGEAPVLLESGENENAIIKIFGNSATGTGNIVGFGAEQVILVRDDGARKDVSMFVGKHALVLTIVECKGLEFQDVLLYNFFGSSPLKNQWRVIYDYMKERDLLDSTLPKGFPSFNEARHNILCSELKQLYVAVTRTRQRLWVCENVEELSKPMFDYWKKKCLVQVRQLDDSLAQAMQVASSPEEWKSRGIKLYHEHNYEMATMCFERGGDTYWERRSKAAGLRAIADRMRTSNPEEANSVLREAAEIFDAIGKADSAARCFSDLGEYERAARIYLDKCGVPDLERAGECFSLAGCYKDAADVYARGNYFFECLTVCSKGKLFQMGLQYIKYWKQHAIEDGVVARRGEGIDKIEQEYLESCASHYYELKDKRSMMNFVKAFHSIILMRNFLKKLGLLDELLLLEEEFGNYLEAADIAKLKGDILLEAGFLGKAGKFREASLHILFYVLANSLWSHGRKGWPIQQFSQKEELLSKAKSFAKNETESFYELVCTEVDILLNEQSNLALIKNYMNVCQRHKSTRGELLSARKILDAHISSSANKYVWEKDLVDGDLIMCSEGRISENQVSIDSLIYFWIFWKDKIAFITEYLGCLENQDANDYRRYGELCLDYLGVWRLYHNLTPVYVLLISDADWVRGLDKRHFRNHGKLVSISVHQLVSAARSYWSSEMLSVGMKVLEKLENLCKFPIKNADDAVFCQSRCLTHICEISEYLLQSKCLKLRNQDTERLQRCVKSSTDTVVANIFPLDWRNSLRENMIALRRTDALKNVLKQVIVEYTSSKKVLSFGQIGRLAMVILGSGKLNNSELYEKLVVKLDCHQPWKAFIENLCGNIGPGNTSEEPREVSVMLKLYGALVDTYNANWRVVRDYISPGCFLYLVERLLIWATCFQGYAITTSSCFVEWLIYQEEDTNLSSIVGGDVQQSLIDILDFVIYVVQGCLFNKADMVEWIKKTDANWKNNYSQLMLRFVVVLCLVYLNFGMGLDELYDLLGRDYIIEQLPWEFFDALKSGRRHKSLNINTRLLAAAFQKIGNTLVIASFGSDCSRFLCSDVIFVDMKANHSRDYILRKLFPKRPPVLQVSQATSVEAGGNNSCSRVLHATNSNYEEGKSSKILPSNSGVVEPFETGAVSDKTSDDCAGGRDSHNTDEESCISKESNPKSKQADAVASGSNETGNKGKKKGKNKKPKKKGGKK >ONI29822 pep chromosome:Prunus_persica_NCBIv2:G1:22884782:22895151:-1 gene:PRUPE_1G215900 transcript:ONI29822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEARTDRITDIVFSWSLEDIFNENLYKKQVQKIPESFDSVQHYFSSYLYPLLEETRAQVHSSMETIDRAPSAEVVGCEECNPYGPYGKNVYDIKVDRWRNRFNDRGKEPYKTLPGDLFVLADAKPETFSDLQRVGTGRSSWAFVSVTNVPENEDEDDIDSTSLYFRVKASKEITHTSLFLVFLVNLIPNSRIWKALLMSGNRKIIKEVLCTDSVAQKNNYLCSEVNDDIRDKWLVESSSSGLNESQTGAVLACLEMLRWDSKSTVQLIWGAPGTGKTKTTATLLFTLLRINCRTLICAPTNVAITEVASCVLKMVTEAKSNSLGEILLFGNKERLKVGPHIEDIYLNYRVKRLVECLGPVTGWSICFASMIGFLEDCVSHYHIFLENELSNEKEHEAVSEMKEKECRTDTQVIKGKCISFVEFFRDRFVSAALPLRRCISTFCTHVAKNYFLAHTFQNMILLIGLFDSIESLLLHGDIVSEALEHLCSCSKVEVVPESFVDNSFLLCMKRKECLSVLRTLQDSLSGLDLPNFRNGEALMEFCFQRASLIFCTASSSYKLHRVAMEPLTIVVIDEAAQLKECESTIPLQLPGVKHAVLVGDECQLPATVNSNVSVEAGFSRSLFERLSSMGHSKHLLNMQYRMHPSISLFPNTNFYNNQILDAPNVKKRSHEKHYLPGSMFGPFSFINVIGGREEKDEDGRSRKNMVEVAIILKILWKLYKEWIVSKQKLSIGVVSPYAAQVVAVQDKLRQRYDNIDGFTVKVKTVDGFQGGEDDIIIVSTVRSTIHQSIDFISKPQRVNVALTRARESVWKALVLDAKRRQCFFNADEDKDLAGAILEVKKEFGQFDDLLNPDSIFFRSSRWKVLFSDNFLKSFKKLKSIRLKKSVLNLLLKLSTGWRPKKPNVGTICGSYSHILRKYMVEGLYIVCTTDIAKDVKYIQILKVWDLLPLEDIPKLVNRLESILKRYTDDFINRCKEKCLESDLEVPKSWPPSLDIVRFKDLSVTENQSDLVSDNDSDGRSYVENSQVSESLLLMKFYSLSSGVVNHLLSDREGRELDLPFEVTDQEMEIILYCKSSFIVGRSGTGKTTVLTMKLFQNEQCYQLAVQGCLSSQNSMVEQSSSATKGRNLHQLFVTVSPKLCFAIKQHVLNLKSFACGGSDSTEKSLIDMADFEEEEAQFKDIKDSFHDIPPNSYPLVITFHKFLMMLDGTLGNSYFERFLDATKLTHGQLQSSRSVALQTFIRTKEVKYERFSSSYWPHFNIQLTKKLDASRVFTEIISHIKGGLGAMDAGDGKLNRDDYVQLSEGRGSNLSKQKREEIYDIFQAYEKMKMENGEFDLADFVIDLHRRLRHEKYGGDQMDFVYIDEVQDLTMSQIALFKHMCINNIDEGFIFSGDTAQTIARGIDFRFQDIRHLFHKKFVLESRSNKLEERKEKGQISKMFHLTQNFRTHAGILKLSQSIIELIYRFFPHSIDVLDPETSLIYGEAPVLLESGENENAIIKIFGNSATGTGNIVGFGAEQVILVRDDGARKDVSMFVGKHALVLTIVECKGLEFQDVLLYNFFGSSPLKNQWRVIYDYMKERDLLDSTLPKGFPSFNEARHNILCSELKQLYVAVTRTRQRLWVCENVEELSKPMFDYWKKKCLVQVRQLDDSLAQAMQVASSPEEWKSRGIKLYHEHNYEMATMCFERGGDTYWERRSKAAGLRAIADRMRTSNPEEANSVLREAAEIFDAIGKADSAARCFSDLGEYERAARIYLDKCGVPDLERAGECFSLAGCYKDAADVYARGNYFFECLTVCSKGKLFQMGLQYIKYWKQHAIEDGVVARRGEGIDKIEQEYLESCASHYYELKDKRSMMNFVKAFHSIILMRNFLKKLGLLDELLLLEEEFGNYLEAADIAKLKGDILLEAGFLGKAGKFREASLHILFYVLANSLWSHGRKGWPIQQFSQKEELLSKAKSFAKNETESFYELVCTEVDILLNEQSNLALIKNYMNVCQRHKSTRGELLSARKILDAHISSSANKYVWEKDLVDGDLIMCSEGRISENQVSIDSLIYFWIFWKDKIAFITEYLGCLENQDANDYRRYGELCLDYLGVWRLYHNLTPVYVLLISDADWVRGLDKRHFRNHGKLVSISVHQLVSAARSYWSSEMLSVGMKVLEKLENLCKFPIKNADDAVFCQSRCLTHICEISEYLLQSKCLKLRNQDTERLQRCVKSSTDTVVANIFPLDWRNSLRENMIALRRTDALKNVLKQVIVEYTSSKKVLSFGQIGRLAMVILGSGKLNNSELYEKLVVKLDCHQPWKAFIENLCGNIGPGNTSEEPREVSVMLKLYGALVDTYNANWRVVRDYISPGCFLYLVERLLIWATCFQGYAITTSSCFVEWLIYQEEDTNLSSIVGGDVQQSLIDILDFVIYVVQGCLFNKADMVEWIKKTDANWKNNYSQLMLRFVVVLCLVYLNFGMGLDELYDLLGRDYIIEQLPWEFFDALKSGRRHKSLNINTRLLAAAFQKIGNTLVIASFGSDCSRFLCSDVIFVDMKANHSRDYILRKLFPKRPPVLQVSQATSVEAGGNNSCSRVLHATNSNYEEGKSSKILPSNSGVVEPFETGAVSDKTSDDCAGGRDSHNTDEESCISKESNPKSKQADAVASGSNETGNKGKKKGKNKKPKKKGGKK >ONI29823 pep chromosome:Prunus_persica_NCBIv2:G1:22884974:22894903:-1 gene:PRUPE_1G215900 transcript:ONI29823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEARTDRITDIVFSWSLEDIFNENLYKKQVQKIPESFDSVQHYFSSYLYPLLEETRAQVHSSMETIDRAPSAEVVGCEECNPYGPYGKNVYDIKVDRWRNRFNDRGKEPYKTLPGDLFVLADAKPETFSDLQRVGTGRSSWAFVSVTNVPENEDEDDIDSTSLYFRVKASKEITHTSLFLVFLVNLIPNSRIWKALLMSGNRKIIKEVLCTDSVAQKNNYLCSEVNDDIRDKWLVESSSSGLNESQTGAVLACLEMLRWDSKSTVQLIWGAPGTGKTKTTATLLFTLLRINCRTLICAPTNVAITEVASCVLKMVTEAKSNSLGEILLFGNKERLKVGPHIEDIYLNYRVKRLVECLGPVTGWSICFASMIGFLEDCVSHYHIFLENELSNEKEHEAVSEMKEKECRTDTQVIKGKCISFVEFFRDRFVSAALPLRRCISTFCTHVAKNYFLAHTFQNMILLIGLFDSIESLLLHGDIVSEALEHLCSCSKVEVVPESFVDNSFLLCMKRKECLSVLRTLQDSLSGLDLPNFRNGEALMEFCFQRASLIFCTASSSYKLHRVAMEPLTIVVIDEAAQLKECESTIPLQLPGVKHAVLVGDECQLPATVNSNVSVEAGFSRSLFERLSSMGHSKHLLNMQYRMHPSISLFPNTNFYNNQILDAPNVKKRSHEKHYLPGSMFGPFSFINVIGGREEKDEDGRSRKNMVEVAIILKILWKLYKEWIVSKQKLSIGVVSPYAAQVVAVQDKLRQRYDNIDGFTVKVKTVDGFQGGEDDIIIVSTVRSTIHQSIDFISKPQRVNVALTRARHCLWILGNEITLSDRESVWKALVLDAKRRQCFFNADEDKDLAGAILEVKKEFGQFDDLLNPDSIFFRSSRWKVLFSDNFLKSFKKLKSIRLKKSVLNLLLKLSTGWRPKKPNVGTICGSYSHILRKYMVEGLYIVCTTDIAKDVKYIQILKVWDLLPLEDIPKLVNRLESILKRYTDDFINRCKEKCLESDLEVPKSWPPSLDIVRFKDLSVTENQSDLVSDNDSDGRSYVENSQVSESLLLMKFYSLSSGVVNHLLSDREGRELDLPFEVTDQEMEIILYCKSSFIVGRSGTGKTTVLTMKLFQNEQCYQLAVQGCLSSQNSMVEQSSSATKGRNLHQLFVTVSPKLCFAIKQHVLNLKSFACGGSDSTEKSLIDMADFEEEEAQFKDIKDSFHDIPPNSYPLVITFHKFLMMLDGTLGNSYFERFLDATKLTHGQLQSSRSVALQTFIRTKEVKYERFSSSYWPHFNIQLTKKLDASRVFTEIISHIKGGLGAMDAGDGKLNRDDYVQLSEGRGSNLSKQKREEIYDIFQAYEKMKMENGEFDLADFVIDLHRRLRHEKYGGDQMDFVYIDEVQDLTMSQIALFKHMCINNIDEGFIFSGDTAQTIARGIDFRFQDIRHLFHKKFVLESRSNKLEERKEKGQISKMFHLTQNFRTHAGILKLSQSIIELIYRFFPHSIDVLDPETSLIYGEAPVLLESGENENAIIKIFGNSATGTGNIVGFGAEQVILVRDDGARKDVSMFVGKHALVLTIVECKGLEFQDVLLYNFFGSSPLKNQWRVIYDYMKERDLLDSTLPKGFPSFNEARHNILCSELKQLYVAVTRTRQRLWVCENVEELSKPMFDYWKKKCLVQVRQLDDSLAQAMQVASSPEEWKSRGIKLYHEHNYEMATMCFERGGDTYWERRSKAAGLRAIADRMRTSNPEEANSVLREAAEIFDAIGKADSAARCFSDLGEYERAARIYLDKCGVPDLERAGECFSLAGCYKDAADVYARGNYFFECLTVCSKGKLFQMGLQYIKYWKQHAIEDGVVARRGEGIDKIEQEYLESCASHYYELKDKRSMMNFVKAFHSIILMRNFLKKLGLLDELLLLEEEFGNYLEAADIAKLKGDILLEAGFLGKAGKFREASLHILFYVLANSLWSHGRKGWPIQQFSQKEELLSKAKSFAKNETESFYELVCTEVDILLNEQSNLALIKNYMNVCQRHKSTRGELLSARKILDAHISSSANKYVWEKDLVDGDLIMCSEGRISENQVSIDSLIYFWIFWKDKIAFITEYLGCLENQDANDYRRYGELCLDYLGVWRLYHNLTPVYVLLISDADWVRGLDKRHFRNHGKLVSISVHQLVSAARSYWSSEMLSVGMKVLEKLENLCKFPIKNADDAVFCQSRCLTHICEISEYLLQSKCLKLRNQDTERLQRCVKSSTDTVVANIFPLDWRNSLRENMIALRRTDALKNVLKQVIVEYTSSKKVLSFGQIGRLAMVILGSGKLNNSELYEKLVVKLDCHQPWKAFIENLCGNIGPGNTSEEPREVSVMLKLYGALVDTYNANWRVVRDYISPGCFLYLVERLLIWATCFQGYAITTSSCFVEWLIYQEEDTNLSSIVGGDVQQSLIDILDFVIYVVQGCLFNKADMVEWIKKTDANWKNNYSQLMLRFVVVLCLVYLNFGMGLDELYDLLGRDYIIEQLPWEFFDALKSGRRHKSLNINTRLLAAAFQKIGNTLVIASFGSDCSRFLCSDVIFVDMKANHSRDYILRKLFPKRPPVLQVSQATSVEAGGNNSCSRVLHATNSNYEEGKSSKILPSNSGVVEPFETGAVSDKTSDDCAGGRDSHNTDEESCISKESNPKSKQADAVASGSNETGNKGKKKGKNKKPKKKGGKK >ONI29825 pep chromosome:Prunus_persica_NCBIv2:G1:22884974:22894619:-1 gene:PRUPE_1G215900 transcript:ONI29825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIDRAPSAEVVGCEECNPYGPYGKNVYDIKVDRWRNRFNDRGKEPYKTLPGDLFVLADAKPETFSDLQRVGTGRSSWAFVSVTNVPENEDEDDIDSTSLYFRVKASKEITHTSLFLVFLVNLIPNSRIWKALLMSGNRKIIKEVLCTDSVAQKNNYLCSEVNDDIRDKWLVESSSSGLNESQTGAVLACLEMLRWDSKSTVQLIWGAPGTGKTKTTATLLFTLLRINCRTLICAPTNVAITEVASCVLKMVTEAKSNSLGEILLFGNKERLKVGPHIEDIYLNYRVKRLVECLGPVTGWSICFASMIGFLEDCVSHYHIFLENELSNEKEHEAVSEMKEKECRTDTQVIKGKCISFVEFFRDRFVSAALPLRRCISTFCTHVAKNYFLAHTFQNMILLIGLFDSIESLLLHGDIVSEALEHLCSCSKVEVVPESFVDNSFLLCMKRKECLSVLRTLQDSLSGLDLPNFRNGEALMEFCFQRASLIFCTASSSYKLHRVAMEPLTIVVIDEAAQLKECESTIPLQLPGVKHAVLVGDECQLPATVNSNVSVEAGFSRSLFERLSSMGHSKHLLNMQYRMHPSISLFPNTNFYNNQILDAPNVKKRSHEKHYLPGSMFGPFSFINVIGGREEKDEDGRSRKNMVEVAIILKILWKLYKEWIVSKQKLSIGVVSPYAAQVVAVQDKLRQRYDNIDGFTVKVKTVDGFQGGEDDIIIVSTVRSTIHQSIDFISKPQRVNVALTRARHCLWILGNEITLSDRESVWKALVLDAKRRQCFFNADEDKDLAGAILEVKKEFGQFDDLLNPDSIFFRSSRWKVLFSDNFLKSFKKLKSIRLKKSVLNLLLKLSTGWRPKKPNVGTICGSYSHILRKYMVEGLYIVCTTDIAKDVKYIQILKVWDLLPLEDIPKLVNRLESILKRYTDDFINRCKEKCLESDLEVPKSWPPSLDIVRFKDLSVTENQSDLVSDNDSDGRSYVENSQVSESLLLMKFYSLSSGVVNHLLSDREGRELDLPFEVTDQEMEIILYCKSSFIVGRSGTGKTTVLTMKLFQNEQCYQLAVQGCLSSQNSMVEQSSSATKGRNLHQLFVTVSPKLCFAIKQHVLNLKSFACGGSDSTEKSLIDMADFEEEEAQFKDIKDSFHDIPPNSYPLVITFHKFLMMLDGTLGNSYFERFLDATKLTHGQLQSSRSVALQTFIRTKEVKYERFSSSYWPHFNIQLTKKLDASRVFTEIISHIKGGLGAMDAGDGKLNRDDYVQLSEGRGSNLSKQKREEIYDIFQAYEKMKMENGEFDLADFVIDLHRRLRHEKYGGDQMDFVYIDEVQDLTMSQIALFKHMCINNIDEGFIFSGDTAQTIARGIDFRFQDIRHLFHKKFVLESRSNKLEERKEKGQISKMFHLTQNFRTHAGILKLSQSIIELIYRFFPHSIDVLDPETSLIYGEAPVLLESGENENAIIKIFGNSATGTGNIVGFGAEQVILVRDDGARKDVSMFVGKHALVLTIVECKGLEFQDVLLYNFFGSSPLKNQWRVIYDYMKERDLLDSTLPKGFPSFNEARHNILCSELKQLYVAVTRTRQRLWVCENVEELSKPMFDYWKKKCLVQVRQLDDSLAQAMQVASSPEEWKSRGIKLYHEHNYEMATMCFERGGDTYWERRSKAAGLRAIADRMRTSNPEEANSVLREAAEIFDAIGKADSAARCFSDLGEYERAARIYLDKCGVPDLERAGECFSLAGCYKDAADVYARGNYFFECLTVCSKGKLFQMGLQYIKYWKQHAIEDGVVARRGEGIDKIEQEYLESCASHYYELKDKRSMMNFVKAFHSIILMRNFLKKLGLLDELLLLEEEFGNYLEAADIAKLKGDILLEAGFLGKAGKFREASLHILFYVLANSLWSHGRKGWPIQQFSQKEELLSKAKSFAKNETESFYELVCTEVDILLNEQSNLALIKNYMNVCQRHKSTRGELLSARKILDAHISSSANKYVWEKDLVDGDLIMCSEGRISENQVSIDSLIYFWIFWKDKIAFITEYLGCLENQDANDYRRYGELCLDYLGVWRLYHNLTPVYVLLISDADWVRGLDKRHFRNHGKLVSISVHQLVSAARSYWSSEMLSVGMKVLEKLENLCKFPIKNADDAVFCQSRCLTHICEISEYLLQSKCLKLRNQDTERLQRCVKSSTDTVVANIFPLDWRNSLRENMIALRRTDALKNVLKQVIVEYTSSKKVLSFGQIGRLAMVILGSGKLNNSELYEKLVVKLDCHQPWKAFIENLCGNIGPGNTSEEPREVSVMLKLYGALVDTYNANWRVVRDYISPGCFLYLVERLLIWATCFQGYAITTSSCFVEWLIYQEEDTNLSSIVGGDVQQSLIDILDFVIYVVQGCLFNKADMVEWIKKTDANWKNNYSQLMLRFVVVLCLVYLNFGMGLDELYDLLGRDYIIEQLPWEFFDALKSGRRHKSLNINTRLLAAAFQKIGNTLVIASFGSDCSRFLCSDVIFVDMKANHSRDYILRKLFPKRPPVLQVSQATSVEAGGNNSCSRVLHATNSNYEEGKSSKILPSNSGVVEPFETGAVSDKTSDDCAGGRDSHNTDEESCISKESNPKSKQADAVASGSNETGNKGKKKGKNKKPKKKGGKK >ONI31061 pep chromosome:Prunus_persica_NCBIv2:G1:28867162:28869342:-1 gene:PRUPE_1G289700 transcript:ONI31061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTKLFTMQEVSKQNSKDNCWVVIDGKVYDLTLYLDDHPGGDDVVLAAAGRDATEDFEDAGHSNIAKDLMGAFCIGELDVDTTSPEITSKNQPVDYPQKIKDLTKQYWAVPVAVVGISVVVGFLYLRKK >ONI30099 pep chromosome:Prunus_persica_NCBIv2:G1:24671168:24672521:1 gene:PRUPE_1G231600 transcript:ONI30099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNQGIPGDGRCLFRSVVHGACLRAGKPSPSDALQRELADELRAKVADEFIKRRADTEWFLEDDFETYVVQMRQPHIWGGEPELLMSSHVLKMPITVYMRDNDSGSLKIIAEYGQEYGKDNPIRVLYHGYGHYDTMRGSATGAQSKLYKQR >ONI30098 pep chromosome:Prunus_persica_NCBIv2:G1:24670788:24673255:1 gene:PRUPE_1G231600 transcript:ONI30098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRETLLGIPGDGRCLFRSVVHGACLRAGKPSPSDALQRELADELRAKVADEFIKRRADTEWFLEDDFETYVVQMRQPHIWGGEPELLMSSHVLKMPITVYMRDNDSGSLKIIAEYGQEYGKDNPIRVLYHGYGHYDTMRGSATGAQSKLYKQR >ONI36504 pep chromosome:Prunus_persica_NCBIv2:G1:47716096:47717938:1 gene:PRUPE_1G587500 transcript:ONI36504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKKKRKEKKEEAVCVTGANGFLGSWLVKTLLEEGYTRIHASVYPASDSSHLLNLPAACGLPPHDINITIFEADLLDADAVARAIEDCHGVFHVASPCTLEDPTDPQAELVLPAVQGTLNVLQAALRFGVRRVVLTSSISAMVPNPSWPPHKPFDESSWTDLDYCKARQKWYPVSKTLGEKAAWDFAEKHGLDVVAIHPATCLGPLLQPSTLNASCAVLLNLLHGSDDTQEYHWLGAVHVQDVAKAQLLLFETPAASGRYLCTNGTFQFSHFADTVSKLYPQFPLHRLV >ONI36503 pep chromosome:Prunus_persica_NCBIv2:G1:47716096:47718248:1 gene:PRUPE_1G587500 transcript:ONI36503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKKKRKEKKEEAVCVTGANGFLGSWLVKTLLEEGYTRIHASVYPASDSSHLLNLPAACGLPPHDINITIFEADLLDADAVARAIEDCHGVFHVASPCTLEDPTDPQAELVLPAVQGTLNVLQAALRFGVRRVVLTSSISAMVPNPSWPPHKPFDESSWTDLDYCKARQKWYPVSKTLGEKAAWDFAEKHGLDVVAIHPATCLGPLLQPSTLNASCAVLLNLLHGSDDTQEYHWLGAVHVQDVAKAQLLLFETPAASGRYLCTNGTFQFSHFADTVSKLYPQFPLHSWVIAA >ONI32618 pep chromosome:Prunus_persica_NCBIv2:G1:34120104:34127269:-1 gene:PRUPE_1G376600 transcript:ONI32618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQASSVGLSQKLSNGHSIYDGVFSSPASKFKVSVFSSRVEDYTEIFGGSGASRGSSIPVLEVPELHERKASVDVRSSKLDYSNVFSGFGDSDFGVPYEELFAEPKKRGTRKPAERRAPSEEINPSSCEGNGVLSHEASYPSFDGAKKFNMSYHKSNHRSKSFTGGTMLHAVPAYACLVDEVTPVRVTEADKPVSNKENGACLDSSLGERIMDCNHSMKATRDHLAGYASKQTSGGGAKVQNNYDQERSSSNDEVFNACEIGEGRTRPSNRPPISSLVSEDKVKFEKPMASTIGISKRDYFEGSDSVPSPPYFDEEVDTNSIAAASAAALTKAIQEAQARIKMAKNLKERNKAGLQNHVKLRFNNDTKLEVRKGDKFVDKASIPKERKTQELHEEVAVPVHVSTGPEQLTAAFEDVDKISGAKEAGGETQEKESKLSQSGQRQEEADVSEATERFYEFDDAAEPDVSEAAEQFYEFADTGEPDLSEAVEQFYEFSDSSETQAMTLEHEEANTAIKVTQFVDKDEQEKKKTTMEAFETPQLGGESSQAAEVEENREVEKIFDADGGQSKYEEHCSEFATAQKAFDQEENEKIQEAAIDHEELGKLKASHVMEEYEEKPGGLEKQNGDNKRLETQELQDTRHVKRKLMAQEQVEFEKIGKEACKQEEHEREQRDVHREEDTERSFNNDSGQEIIKETPNDFKDEEDFENERKSEGNEKVQDTRENEKILEWAPCQKKEDLKNQDCKLETIKILCEQGESEDLNKKEAPTSHVEYNREVEVTPKVPAHENDGGRIEVTETLIELKENGSQSELVEEDNGMVEKEIHETEGLAPGVKLPEILKQKEDATEIHSSDRNGISAKRNDMGFGENQDYQFAREPEIVLNLGKDVEESGDLDKDMMEAEVSANHEKNKINSKSSHRKRWSDIIDVSETLIKLKENGNLSESVEEENAVEEKEICETDGLSLGVKLAEILKEMEDSIETHPSDENDININRNAMNYWQKQNDQLAGEPVVLYNLEEHVEESEEINKDTMEAEVAVNQEESKNNSRSSQRKRWFGDGKNTEVAQLSHMFRRRGGNVLLDHEMRASLCTKENKERHEKLGTNQRLEENEENQQATLTKESETIDTSLKEVEQEKSENCQTTFTAEESETEHSSQKDVREGKNENQVTLTTQESENNNTLQKEVERERKENQQETLTAEECETSDCLQKEVEIEKEHQIQKANAKGRERERVKERKAVERVIREARERAFAEARERAAETRQRVMAEAQERLGKTSGQANDISLAEKASKEAKLKAERAAVERATVEARERALEKALSGKAACEAGRQTKRSLSDKFSGASRDDGLKPGVSPFDPESKGSLPSSTSRNPNSSNHSDPYSAERSGGTNGESAQRSKARLERNQRTAERAAKALAEKNMRDLLVQKEQAERNRLAEALDAEVKRWSSGKERNLRALLSTLQYILGPDSGWQPIPLTDVVTAVAVKKAYRKAALFVHPDKLQQRGASIQQKYTCEKVFDLLKEAWNRFNVEER >ONI32619 pep chromosome:Prunus_persica_NCBIv2:G1:34120868:34126922:-1 gene:PRUPE_1G376600 transcript:ONI32619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQASSVGLSQKLSNGHSIYDGVFSSPASKFKVSVFSSRVEDYTEIFGGSGASRGSSIPVLEVPELHERKASVDVRSSKLDYSNVFSGFGDSDFGVPYEELFAEPKKRGTSRKPAERRAPSEEINPSSCEGNGVLSHEASYPSFDGAKKFNMSYHKSNHRSKSFTGGTMLHAVPAYACLVDEVTPVRVTEADKPVSNKENGACLDSSLGERIMDCNHSMKATRDHLAGYASKQTSGGGAKVQNNYDQERSSSNDEVFNACEIGEGRTRPSNRPPISSLVSEDKVKFEKPMASTIGISKRDYFEGSDSVPSPPYFDEEVDTNSIAAASAAALTKAIQEAQARIKMAKNLKERNKAGLQNHVKLRFNNDTKLEVRKGDKFVDKASIPKERKTQELHEEVAVPVHVSTGPEQLTAAFEDVDKISGAKEAGGETQEKESKLSQSGQRQEEADVSEATERFYEFDDAAEPDVSEAAEQFYEFADTGEPDLSEAVEQFYEFSDSSETQAMTLEHEEANTAIKVTQFVDKDEQEKKKTTMEAFETPQLGGESSQAAEVEENREVEKIFDADGGQSKYEEHCSEFATAQKAFDQEENEKIQEAAIDHEELGKLKASHVMEEYEEKPGGLEKQNGDNKRLETQELQDTRHVKRKLMAQEQVEFEKIGKEACKQEEHEREQRDVHREEDTERSFNNDSGQEIIKETPNDFKDEEDFENERKSEGNEKVQDTRENEKILEWAPCQKKEDLKNQDCKLETIKILCEQGESEDLNKKEAPTSHVEYNREVEVTPKVPAHENDGGRIEVTETLIELKENGSQSELVEEDNGMVEKEIHETEGLAPGVKLPEILKQKEDATEIHSSDRNGISAKRNDMGFGENQDYQFAREPEIVLNLGKDVEESGDLDKDMMEAEVSANHEKNKINSKSSHRKRWSDIIDVSETLIKLKENGNLSESVEEENAVEEKEICETDGLSLGVKLAEILKEMEDSIETHPSDENDININRNAMNYWQKQNDQLAGEPVVLYNLEEHVEESEEINKDTMEAEVAVNQEESKNNSRSSQRKRWFGDGKNTEVAQLSHMFRRRGGNVLLDHEMRASLCTKENKERHEKLGTNQRLEENEENQQATLTKESETIDTSLKEVEQEKSENCQTTFTAEESETEHSSQKDVREGKNENQVTLTTQESENNNTLQKEVERERKENQQETLTAEECETSDCLQKEVEIEKEHQIQKANAKGRERERVKERKAVERVIREARERAFAEARERAAETRQRVMAEAQERLGKTSGQANDISLAEKASKEAKLKAERAAVERATVEARERALEKALSGKAACEAGRQTKRSLSDKFSGASRDDGLKPGVSPFDPESKGSLPSSTSRNPNSSNHSDPYSAERSGGTNGESAQRSKARLERNQRTAERAAKALAEKNMRDLLVQKEQAERNRLAEALDAEVKRWSSGKERNLRALLSTLQYILGPDSGWQPIPLTDVVTAVAVKKAYRKAALFVHPDKLQQRGASIQQKYTCEKVFDLLKEAWNRFNVEER >ONI32620 pep chromosome:Prunus_persica_NCBIv2:G1:34120104:34126188:-1 gene:PRUPE_1G376600 transcript:ONI32620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHKSNHRSKSFTGGTMLHAVPAYACLVDEVTPVRVTEADKPVSNKENGACLDSSLGERIMDCNHSMKATRDHLAGYASKQTSGGGAKVQNNYDQERSSSNDEVFNACEIGEGRTRPSNRPPISSLVSEDKVKFEKPMASTIGISKRDYFEGSDSVPSPPYFDEEVDTNSIAAASAAALTKAIQEAQARIKMAKNLKERNKAGLQNHVKLRFNNDTKLEVRKGDKFVDKASIPKERKTQELHEEVAVPVHVSTGPEQLTAAFEDVDKISGAKEAGGETQEKESKLSQSGQRQEEADVSEATERFYEFDDAAEPDVSEAAEQFYEFADTGEPDLSEAVEQFYEFSDSSETQAMTLEHEEANTAIKVTQFVDKDEQEKKKTTMEAFETPQLGGESSQAAEVEENREVEKIFDADGGQSKYEEHCSEFATAQKAFDQEENEKIQEAAIDHEELGKLKASHVMEEYEEKPGGLEKQNGDNKRLETQELQDTRHVKRKLMAQEQVEFEKIGKEACKQEEHEREQRDVHREEDTERSFNNDSGQEIIKETPNDFKDEEDFENERKSEGNEKVQDTRENEKILEWAPCQKKEDLKNQDCKLETIKILCEQGESEDLNKKEAPTSHVEYNREVEVTPKVPAHENDGGRIEVTETLIELKENGSQSELVEEDNGMVEKEIHETEGLAPGVKLPEILKQKEDATEIHSSDRNGISAKRNDMGFGENQDYQFAREPEIVLNLGKDVEESGDLDKDMMEAEVSANHEKNKINSKSSHRKRWSDIIDVSETLIKLKENGNLSESVEEENAVEEKEICETDGLSLGVKLAEILKEMEDSIETHPSDENDININRNAMNYWQKQNDQLAGEPVVLYNLEEHVEESEEINKDTMEAEVAVNQEESKNNSRSSQRKRWFGDGKNTEVAQLSHMFRRRGGNVLLDHEMRASLCTKENKERHEKLGTNQRLEENEENQQATLTKESETIDTSLKEVEQEKSENCQTTFTAEESETEHSSQKDVREGKNENQVTLTTQESENNNTLQKEVERERKENQQETLTAEECETSDCLQKEVEIEKEHQIQKANAKGRERERVKERKAVERVIREARERAFAEARERAAETRQRVMAEAQERLGKTSGQANDISLAEKASKEAKLKAERAAVERATVEARERALEKALSGKAACEAGRQTKRSLSDKFSGASRDDGLKPGVSPFDPESKGSLPSSTSRNPNSSNHSDPYSAERSGGTNGESAQRSKARLERNQRTAERAAKALAEKNMRDLLVQKEQAERNRLAEALDAEVKRWSSGKERNLRALLSTLQYILGPDSGWQPIPLTDVVTAVAVKKAYRKAALFVHPDKLQQRGASIQQKYTCEKVFDLLKEAWNRFNVEER >ONI27535 pep chromosome:Prunus_persica_NCBIv2:G1:7079822:7083043:-1 gene:PRUPE_1G093000 transcript:ONI27535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTMSVILFVLHLLVLHLQYSEVHSLSNTSAHDFSYLKFVYNATDTSLEGSYDYIVIGGGTSGCPLAATLSEKFKVLLLERGTIATEYPNTLTADGFAYNLQQQDDGKTPVERFVSEDGIDNVRARILGGTTIINAGVYARANISFYSETGIEWDLDLVNKTYEWVEDAIVVKPNNQSWQSVIGEGFLEAGILPDNGFSLDHEAGTRLTGSTFDNNGTRHAADELLNKGDPNNLLVAVQASVEKILFSSNTSNLSAIGVIYTDSDGNSHQAFVRGYGEVIVSAGTIGTPQLLLLSGVGPESYLSSLNITVIQPNPYVGQFVYDNPRNFINILPPNPIEASVVTVLGITSDYYQVSLSSLPFSTPPFSLFPTTSYPLPNSTFAHIVSQVPGPLSHGSVTLNSSSDVRIAPNIKFNYYSNSTDLANCVSGTKKLGDLLRTKALEPYKARDVPGIDGFNYLGVPLPENQTDDASFETFCLDNVASYWHYHGGSLVGKVLDDSFRVMGIKALRVVDASTFPDEPNSHPQGFYLMLGRYVGLQILQERSIRLEAIHNIHESK >ONI29079 pep chromosome:Prunus_persica_NCBIv2:G1:15579478:15582276:-1 gene:PRUPE_1G179800 transcript:ONI29079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISTAALAVAVVLSVFLGASSDGDMPSTTPRVKTVRGKKVCDRGWECKGWSQYCCNLTISDFFQTYQFENLFSKRNTPVAHAVGFWDYQSFITASALFQPLGFGTTGGKLMQMKEIAAFLGHVGSKTTCGYGVATGGPLAWGLCYNREMSPMQSYCDDYYKYTYPCTPGAEYYGRGALPIYWNYNYGAAGEALKVDLLNHPEYIEQNATLAFQAAIWRWMTAIKKSQPSAHDAFVGNWKPTKNDTLSKRFPGFGATMNILYGDQLCGQGDIDAMNTIVSHYQYYLDLMGVGREEAGPHEVLTCAEQVAFNPTKAAVTASS >ONI34278 pep chromosome:Prunus_persica_NCBIv2:G1:39286590:39291447:1 gene:PRUPE_1G472500 transcript:ONI34278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIRSPFKGIIHDVKGRAACYKEDWIGGLCSGVRILAPTFYIFFASALPVIAFGEQLSRDTDGTLSTVETLASTALCGIIQSFFGGQPLLVLGVAEPTVIMYNYLYTFSKGRPELGTKLFLAWTGWVCVWTSLLLILLAIFNACNIISRFTRIAGELFGMLISVLFIQEAIKGVISEFSIPKAENPKLEEFQFQWLYTNGLLAVIFCFGVISTSLKSRKARSWWYGTGWLRSLIADYGVPLMVLVWTALSYVVPGKVPHGVPRRLVCPFPWDSASLYHWTVVKDMPNVPVLYIFAAFIPAVMIAGLYFFDHSVASQLAQQKDFNLQKPPAYHYDMLLLGIMTLICGLLGLPPSNGVLPQSPMHTKSLAVLRRQVIRKKMVKSAKECIKLKATNSEMFGRMEAVFIEMDGGSPPNPKELQNLKEAVMKSDDGEELKGKFDPEKHIDAHLPVRVNEQRVSNLLQSLLVGGSVFAIFVIKKIPTSVLWGYFAYMAIDSLPGNQFWERILLLFISPSRRYKVLEGSHASFVELVPFKYIVAFTLFQLVYFLICFGVTWIPIAGILFPLPFFVLISIREHVLPKFFHPAHLQELDSCEWEEVPGAPQEKVPPEPGNDEGADYDDEILDEMTTSRGELKLRTSFSSEDRLSHVHPEDGRI >ONI34279 pep chromosome:Prunus_persica_NCBIv2:G1:39286610:39291447:1 gene:PRUPE_1G472500 transcript:ONI34279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIRSPFKGIIHDVKGRAACYKEDWIGGLCSGVRILAPTFYIFFASALPVIAFGEQLSRDTDGTLSTVETLASTALCGIIQSFFGGQPLLVLGVAEPTVIMYNYLYTFSKGRPELGTKLFLAWTGWVCVWTSLLLILLAIFNACNIISRFTRIAGELFGMLISVLFIQEAIKGVISEFSIPKAENPKLEEFQFQWLYTNGLLAVIFCFGVISTSLKSRKARSWWYGTGWLRSLIADYGVPLMVLVWTALSYVVPGKVPHGVPRRLVCPFPWDSASLYHWTVVKDMPNVPVLYIFAAFIPAVMIAGLYFFDHSVASQLAQQKDFNLQKPPAYHYDMLLLGIMTLICGLLGLPPSNGVLPQSPMHTKSLAVLRRQVIRKKMVKSAKECIKLKATNSEMFGRMEAVFIEMDGGSPPNPKELQNLKEAVMKSDDGEELKGKFDPEKHIDAHLPVRVNEQRVSNLLQSLLVGGSVFAIFVIKKIPTSVLWGYFAYMAIDSLPGNQFWERILLLFISPSRRYKVLEGSHASFVELVPFKYIVAFTLFQLVYFLICFGVTWIPIAGILFPLPFFVLISIREHVLPKFFHPAHLQELDSCEWEEVPGAPQEKVPPEPGNDEGADYDDEILDEMTTSRGELKLRTSFSSEDRLSHVHPEDGRI >ONI29572 pep chromosome:Prunus_persica_NCBIv2:G1:20056204:20060757:-1 gene:PRUPE_1G202500 transcript:ONI29572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEDNEVKKPFEIAGRSLIDLVFSWSLRNVLFRDLYKHQVTKIPETFSTVARYMKSFIPSLIEETHADLLSNVTAISQAPICEILTVETSKHLRPPKDLFYEITVRKMIATESNAGKYEPVVGDIFALTNIRPKCIDDLNRPKNFYLIAYVLGSKDSSDNLQILSSKPISGEGYKQIKSKSETLFAVYLTNMTTNVRVWKALNSEETNTNIIKNVLQVQPNSSDVFFCTLILKFKANSLSLTNPFHVCYLQGENSCAICFSADMCSPDLSTKWPTVCSDLNDSQKAAVLNCISLSKCHHHNAVKLIWGPPGTGKTKTVGTTLFVLFKLKCRTLTCAPTNIAVLEVTARLLRLVNQTLEYGKYGLGNIILFGNLERMNIDNYNDLFEVFLDSRISILSECLAPLSGWKHCLESMIGLLEDPEQLYSLYLKEKREQHKKNDEDDEETDNSSQASDNESDLLTFEEFVKKKFDDVSEHLKTCMVNLYTHLPTSCISLEVVKDMIRVSDLLKLIISILHRDGVANERLQTLQKDCAQILKSLREFSVPNSNDGQTIRNLCLANACLIFCTASSSAKLHTEGMAPLEMLVVDEAAQLKECESAIPLQLPGLRHAILIGDEMQLPAMVKSKLSENAEFGRSLFERLVLLGHEKLLLNVQYRMHPLISRFPKQEFYNNQILDGPNVSEVSYEKSFIEGRMYGPYSFINVANGKEEFDRGHSLKNMVEVAVVYKIVSCLYKEFTRTKKKVSIGVISPYKAQVNAIQLRVRNYSEVSGTDFSVSVRSVDGFQGGEEDVIIISTVRCNGNGSVGFLSNRQRANVVLTRARYCLWILGNEGTLTNSNSIWKNLILDAKKRDCFYNADEDNNLAQAIAAALVEHNQLHTLLDADSMLFKNAKWKVWFAKEFRNSIAEIKDTEIRQDVISLIKKLSNGWRQSQNDKVIIGHARTSAELLETYEVNELLYLIWSVEIHKQNSDFVQVMKIWDIVPLSDIPKLTERLDIVFGNYTVEKMNRCKLRCFDGVTVVPNRWPADSSSCDEADPTEFLSKPFSSLSLRDRPSSSSSFRNNFMSRMSGTKGTGSRPRW >ONI29571 pep chromosome:Prunus_persica_NCBIv2:G1:20056198:20060681:-1 gene:PRUPE_1G202500 transcript:ONI29571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEDNEVKKPFEIAGRSLIDLVFSWSLRNVLFRDLYKHQVTKIPETFSTVARYMKSFIPSLIEETHADLLSNVTAISQAPICEILTVETSKHLRPPKDLFYEITVRKMIATESNAGKYEPVVGDIFALTNIRPKCIDDLNRPKNFYLIAYVLGSKDSSDNLQILSSKPISGEGYKQIKSKSETLFAVYLTNMTTNVRVWKALNSEETNTNIIKNVLQVQPNSSDVFFCTLILKFKANSLSLTNPFHVCYLQGENSCAICFSADMCSPDLSTKWPTVCSDLNDSQKAAVLNCISLSKCHHHNAVKLIWGPPGTGKTKTVGTTLFVLFKLKCRTLTCAPTNIAVLEVTARLLRLVNQTLEYGKYGLGNIILFGNLERMNIDNYNDLFEVFLDSRISILSECLAPLSGWKHCLESMIGLLEDPEQLYSLYLKEKREQHKKNDEDDEETDNSSQASDNESDLLTFEEFVKKKFDDVSEHLKTCMVNLYTHLPTSCISLEVVKDMIRVSDLLKLIISILHRDGVANERLQTLQKDCAQILKSLREFSVPNSNDGQTIRNLCLANACLIFCTASSSAKLHTEGMAPLEMLVVDEAAQLKECESAIPLQLPGLRHAILIGDEMQLPAMVKSKLSENAEFGRSLFERLVLLGHEKLLLNVQYRMHPLISRFPKQEFYNNQILDGPNVSEVSYEKSFIEGRMYGPYSFINVANGKEEFDRGHSLKNMVEVAVVYKIVSCLYKEFTRTKKKVSIGVISPYKAQVNAIQLRVRNYSEVSGTDFSVSVRSVDGFQGGEEDVIIISTVRCNGNGSVGFLSNRQRANVVLTRARYCLWILGNEGTLTNSNSIWKNLILDAKKRDCFYNADEDNNLAQAIAAALVEHNQLHTLLDADSMLFKNAKWKVWFAKEFRNSIAEIKDTEIRQDVISLIKKLSNGWRQSQNDKVIIGHARTSAELLETYEVNELLYLIWSVEIHKQNSDFVQVMKIWDIVPLSDIPKLTERLDIVFGNYTVEKMNRCKLRCFDGVTVVPNRWPADSSSCDEADPTEFLSKPFSSLSLRDRPSSSSSFRNNFMSRMSGTKGTGSRPRW >ONI29570 pep chromosome:Prunus_persica_NCBIv2:G1:20056219:20060757:-1 gene:PRUPE_1G202500 transcript:ONI29570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEDNEVKKPFEIAGRSLIDLVFSWSLRNVLFRDLYKHQVTKIPETFSTVARYMKSFIPSLIEETHADLLSNVTAISQAPICEILTVETSKHLRPPKDLFYEITVRKMIATESNAGKYEPVVGDIFALTNIRPKCIDDLNRPKNFYLIAYVLGSKDSSDNLQILSSKPISGEGYKQIKSKSETLFAVYLTNMTTNVRVWKALNSEETNTNIIKNVLQVQPNSSDGENSCAICFSADMCSPDLSTKWPTVCSDLNDSQKAAVLNCISLSKCHHHNAVKLIWGPPGTGKTKTVGTTLFVLFKLKCRTLTCAPTNIAVLEVTARLLRLVNQTLEYGKYGLGNIILFGNLERMNIDNYNDLFEVFLDSRISILSECLAPLSGWKHCLESMIGLLEDPEQLYSLYLKEKREQHKKNDEDDEETDNSSQASDNESDLLTFEEFVKKKFDDVSEHLKTCMVNLYTHLPTSCISLEVVKDMIRVSDLLKLIISILHRDGVANERLQTLQKDCAQILKSLREFSVPNSNDGQTIRNLCLANACLIFCTASSSAKLHTEGMAPLEMLVVDEAAQLKECESAIPLQLPGLRHAILIGDEMQLPAMVKSKLSENAEFGRSLFERLVLLGHEKLLLNVQYRMHPLISRFPKQEFYNNQILDGPNVSEVSYEKSFIEGRMYGPYSFINVANGKEEFDRGHSLKNMVEVAVVYKIVSCLYKEFTRTKKKVSIGVISPYKAQVNAIQLRVRNYSEVSGTDFSVSVRSVDGFQGGEEDVIIISTVRCNGNGSVGFLSNRQRANVVLTRARYCLWILGNEGTLTNSNSIWKNLILDAKKRDCFYNADEDNNLAQAIAAALVEHNQLHTLLDADSMLFKNAKWKVWFAKEFRNSIAEIKDTEIRQDVISLIKKLSNGWRQSQNDKVIIGHARTSAELLETYEVNELLYLIWSVEIHKQNSDFVQVMKIWDIVPLSDIPKLTERLDIVFGNYTVEKMNRCKLRCFDGVTVVPNRWPADSSSCDEADPTEFLSKPFSSLSLRDRPSSSSSFRNNFMSRMSGTKGTGSRPRW >ONI27405 pep chromosome:Prunus_persica_NCBIv2:G1:6240229:6242154:1 gene:PRUPE_1G083900 transcript:ONI27405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAIQFKAREREREREREREVKICEASECEDEDDDGAGIGKPDLARVQNYRIDDLTEENRPIWDELDQRSV >ONI36091 pep chromosome:Prunus_persica_NCBIv2:G1:46412840:46420362:-1 gene:PRUPE_1G569300 transcript:ONI36091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTVSEKAFSNRDVYIGNFKGILPHGNGNYTWSDGTVYEGDWEEGKMTGKGKLIWTSGAQYVGDFSGGYLHGYGIFTGCDGSFYQGSWRMNIQHGMGKKQYCNSDIYEGSWKEGVHEGNGRYSWNSGNAYIGSWKGGKMCGRGIMKWANGDLFNGFWLNGLRDGSGVYRFADGGYYFGTWSRGLKDGKGTFYPVGTKHPSLKKWCSSLDYCNDGTSLSGLLNSEESRAQPSVKRSFSEKLSISGLLRNSSRISHKAMSLDKNWTHCDPSQEFIRYDSLSALSHASEEGQPEAQDNSSVYEREYMQGVLIKERASNYTEISRKSKQRNKIAVMQEKRSCIDIFGAHSRSYYLMLNLQLGIRYTVGKITPVPVREVRSSDFGDRARIRMYFPRKGSQFTPPHYSVDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLRKTELKVLLKMLPSYYCHVGEHENTLITKIFGLHRITLKGGKKVRFMVMGNMFCTELRIHRRYDLKGSSIGRSTDKDKIDENTTLKDLDLKFEFHMDKLLRESLFKQISLDCMFLQSQQIIDYSLLLGLHFIVPENLKAFSQPPGTMHNHENLATGDASNTNIQYSFVRTAERVTLKHTLQRLVSVKSCTRTKHKKGLYEKKTRRILLTTQ >ONI36089 pep chromosome:Prunus_persica_NCBIv2:G1:46410928:46418555:-1 gene:PRUPE_1G569300 transcript:ONI36089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTVSEKAFSNRDVYIGNFKGILPHGNGNYTWSDGTVYEGDWEEGKMTGKGKLIWTSGAQYVGDFSGGYLHGYGIFTGCDGSFYQGSWRMNIQHGMGKKQYCNSDIYEGSWKEGVHEGNGRYSWNSGNAYIGSWKGGKMCGRGIMKWANGDLFNGFWLNGLRDGSGVYRFADGGYYFGTWSRGLKDGKGTFYPVGTKHPSLKKWCSSLDYCNDGTSLSGLLNSEESRAQPSVKRSFSEKLSISGLLRNSSRISHKAMSLDKNWTHCDPSQEFIRYDSLSALSHASEEGQPEAQDNSSVYEREYMQGVLIKERASNYTEISRKSKQRNKIAVMQEKRSCIDIFGAHSRSYYLMLNLQLGIRYTVGKITPVPVREVRSSDFGDRARIRMYFPRKGSQFTPPHYSVDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLRKTELKVLLKMLPSYYCHVGEHENTLITKIFGLHRITLKGGKKVRFMVMGNMFCTELRIHRRYDLKGSSIGRSTDKDKIDENTTLKDLDLKFEFHMDKLLRESLFKQISLDCMFLQSQQIIDYSLLLGLHFIVPENLKAFSQPPGTMHNHENLATGDGVTSSQGELLIPPKGLLLVTHEPGSVSTAPGPHIRGSTLKAYSLGDKEVDLLLPGTGRLRVQLGVNMPAQANLKLLQDEVDSTEVELFEFYDVVLYMGIIDILQEYNVKKKVEHAYKSLQFDPQSISAVEPKLYAKRFINFLEKVFPDPP >ONI36092 pep chromosome:Prunus_persica_NCBIv2:G1:46412935:46420362:-1 gene:PRUPE_1G569300 transcript:ONI36092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTVSEKAFSNRDVYIGNFKGILPHGNGNYTWSDGTVYEGDWEEGKMTGKGKLIWTSGAQYVGDFSGGYLHGYGIFTGCDGSFYQGSWRMNIQHGMGKKQYCNSDIYEGSWKEGVHEGNGRYSWNSGNAYIGSWKGGKMCGRGIMKWANGDLFNGFWLNGLRDGSGVYRFADGGYYFGTWSRGLKDGKGTFYPVGTKHPSLKKWCSSLDYCNDGTSLSGLLNSEESRAQPSVKRSFSEKLSISGLLRNSSRISHKAMSLDKNWTHCDPSQEFIRYDSLSALSHASEEGQPEAQDNSSVYEREYMQGVLIKERASNYTEISRKSKQRNKIAVMQEKRSCIDIFGAHSRSYYLMLNLQLGIRYTVGKITPVPVREVRSSDFGDRARIRMYFPRKGSQFTPPHYSVDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLRKTELKVLLKMLPSYYCHVGEHENTLITKIFGLHRITLKGGKKVRFMVMGNMFCTELRIHRRYDLKGSSIGRSTDKDKIDENTTLKDLDLKFEFHMDKLLRESLFKQISLDCMFLQSQQIIDYSLLLGLHFIVPENLKAFSQPPGTMHNHENLATGDATQISSTVLCVLLNESL >ONI36095 pep chromosome:Prunus_persica_NCBIv2:G1:46413675:46418555:-1 gene:PRUPE_1G569300 transcript:ONI36095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTVSEKAFSNRDVYIGNFKGILPHGNGNYTWSDGTVYEGDWEEGKMTGKGKLIWTSGAQYVGDFSGGYLHGYGIFTGCDGSFYQGSWRMNIQHGMGKKQYCNSDIYEGSWKEGVHEGNGRYSWNSGNAYIGSWKGGKMCGRGIMKWANGDLFNGFWLNGLRDGSGVYRFADGGYYFGTWSRGLKDGKGTFYPVGTKHPSLKKWCSSLDYCNDGTSLSGLLNSEESRAQPSVKRSFSEKLSISGLLRNSSRISHKAMSLDKNWTHCDPSQEFIRYDSLSALSHASEEGQPEAQDNSSVYEREYMQGVLIKERASNYTEISRKSKQRNKIAVMQEKRSCIDIFGAHSRSYYLMLNLQLGIRYTVGKITPVPVREVRSSDFGDRARIRMYFPRKGSQFTPPHYSVDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLRKTELKVLLKMLPSYYCHVGEHENTLITKIFGLHRITLKGGKKVRFMVMGNMFCTELRIHRRYDLKGSSIGRSTDKDKIDENTTLKDLDLKFEFHMDKLLRESLFNGEGAWPSLTEDGKFDVKICTKCYRFCFVFLCPDIF >ONI36093 pep chromosome:Prunus_persica_NCBIv2:G1:46413103:46418555:-1 gene:PRUPE_1G569300 transcript:ONI36093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTVSEKAFSNRDVYIGNFKGILPHGNGNYTWSDGTVYEGDWEEGKMTGKGKLIWTSGAQYVGDFSGGYLHGYGIFTGCDGSFYQGSWRMNIQHGMGKKQYCNSDIYEGSWKEGVHEGNGRYSWNSGNAYIGSWKGGKMCGRGIMKWANGDLFNGFWLNGLRDGSGVYRFADGGYYFGTWSRGLKDGKGTFYPVGTKHPSLKKWCSSLDYCNDGTSLSGLLNSEESRAQPSVKRSFSEKLSISGLLRNSSRISHKAMSLDKNWTHCDPSQEFIRYDSLSALSHASEEGQPEAQDNSSVYEREYMQGVLIKERASNYTEISRKSKQRNKIAVMQEKRSCIDIFGAHSRSYYLMLNLQLGIRYTVGKITPVPVREVRSSDFGDRARIRMYFPRKGSQFTPPHYSVDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLRKTELKVLLKMLPSYYCHVGEHENTLITKIFGLHRITLKGGKKVRFMVMGNMFCTELRIHRRYDLKGSSIGRSTDKDKIDENTTLKDLDLKFEFHMDKLLRESLFKQISLDCMFLQSQQIIDYSLLLGLHFIVPENLKAFSQPPGTMHNHENLATGDGRHLFLIQIPLK >ONI36090 pep chromosome:Prunus_persica_NCBIv2:G1:46409718:46420362:-1 gene:PRUPE_1G569300 transcript:ONI36090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTVSEKAFSNRDVYIGNFKGILPHGNGNYTWSDGTVYEGDWEEGKMTGKGKLIWTSGAQYVGDFSGGYLHGYGIFTGCDGSFYQGSWRMNIQHGMGKKQYCNSDIYEGSWKEGVHEGNGRYSWNSGNAYIGSWKGGKMCGRGIMKWANGDLFNGFWLNGLRDGSGVYRFADGGYYFGTWSRGLKDGKGTFYPVGTKHPSLKKWCSSLDYCNDGTSLSGLLNSEESRAQPSVKRSFSEKLSISGLLRNSSRISHKAMSLDKNWTHCDPSQEFIRYDSLSALSHASEEGQPEAQDNSSVYEREYMQGVLIKERASNYTEISRKSKQRNKIAVMQEKRSCIDIFGAHSRSYYLMLNLQLGIRYTVGKITPVPVREVRSSDFGDRARIRMYFPRKGSQFTPPHYSVDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLRKTELKVLLKMLPSYYCHVGEHENTLITKIFGLHRITLKGGKKVRFMVMGNMFCTELRIHRRYDLKGSSIGRSTDKDKIDENTTLKDLDLKFEFHMDKLLRESLFKCDFFTRGAFDSSQRPSTGNS >ONI36088 pep chromosome:Prunus_persica_NCBIv2:G1:46409718:46420362:-1 gene:PRUPE_1G569300 transcript:ONI36088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTVSEKAFSNRDVYIGNFKGILPHGNGNYTWSDGTVYEGDWEEGKMTGKGKLIWTSGAQYGSWRMNIQHGMGKKQYCNSDIYEGSWKEGVHEGNGRYSWNSGNAYIGSWKGGKMCGRGIMKWANGDLFNGFWLNGLRDGSGVYRFADGGYYFGTWSRGLKDGKGTFYPVGTKHPSLKKWCSSLDYCNDGTSLSGLLNSEESRAQPSVKRSFSEKLSISGLLRNSSRISHKAMSLDKNWTHCDPSQEFIRYDSLSALSHASEEGQPEAQDNSSVYEREYMQGVLIKERASNYTEISRKSKQRNKIAVMQEKRSCIDIFGAHSRSYYLMLNLQLGIRYTVGKITPVPVREVRSSDFGDRARIRMYFPRKGSQFTPPHYSVDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLRKTELKVLLKMLPSYYCHVGEHENTLITKIFGLHRITLKGGKKVRFMVMGNMFCTELRIHRRYDLKGSSIGRSTDKDKIDENTTLKDLDLKFEFHMDKLLRESLFKQISLDCMFLQSQQIIDYSLLLGLHFIVPENLKAFSQPPGTMHNHENLATGDGVTSSQGELLIPPKGLLLVTHEPGSVSTAPGPHIRGSTLKAYSLGDKEVDLLLPGTGRLRVQLGVNMPAQANLKLLQDEVDSTEVELFEFYDVVLYMGIIDILQEYNVKKKVEHAYKSLQFDPQSISAVEPKLYAKRFINFLEKVFPDPP >ONI36096 pep chromosome:Prunus_persica_NCBIv2:G1:46414258:46420362:-1 gene:PRUPE_1G569300 transcript:ONI36096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTVSEKAFSNRDVYIGNFKGILPHGNGNYTWSDGTVYEGDWEEGKMTGKGKLIWTSGAQYVGDFSGGYLHGYGIFTGCDGSFYQGSWRMNIQHGMGKKQYCNSDIYEGSWKEGVHEGNGRYSWNSGNAYIGSWKGGKMCGRGIMKWANGDLFNGFWLNGLRDGSGVYRFADGGYYFGTWSRGLKDGKGTFYPVGTKHPSLKKWCSSLDYCNDGTSLSGLLNSEESRAQPSVKRSFSEKLSISGLLRNSSRISHKAMSLDKNWTHCDPSQEFIRYDSLSALSHASEEGQPEAQDNSSVYEREYMQGVLIKERASNYTEISRKSKQRNKIAVMQEKRSCIDIFGAHSRSYYLMLNLQLGIRYTVGKITPVPVREVRSSDFGDRARIRMYFPRKGSQFTPPHYSVDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLRKTELKVLLKMLPSYYCHVGEHENTLITKIFGLHRITLKGGKKVRFMVMGNMFCTELRIHRRYDLKGSSIGRSTDKDKIDENTTLKDLDLKFEFHMDKLLRESLFNILLG >ONI36094 pep chromosome:Prunus_persica_NCBIv2:G1:46413665:46420362:-1 gene:PRUPE_1G569300 transcript:ONI36094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTVSEKAFSNRDVYIGNFKGILPHGNGNYTWSDGTVYEGDWEEGKMTGKGKLIWTSGAQYGSWRMNIQHGMGKKQYCNSDIYEGSWKEGVHEGNGRYSWNSGNAYIGSWKGGKMCGRGIMKWANGDLFNGFWLNGLRDGSGVYRFADGGYYFGTWSRGLKDGKGTFYPVGTKHPSLKKWCSSLDYCNDGTSLSGLLNSEESRAQPSVKRSFSEKLSISGLLRNSSRISHKAMSLDKNWTHCDPSQEFIRYDSLSALSHASEEGQPEAQDNSSVYEREYMQGVLIKERASNYTEISRKSKQRNKIAVMQEKRSCIDIFGAHSRSYYLMLNLQLGIRYTVGKITPVPVREVRSSDFGDRARIRMYFPRKGSQFTPPHYSVDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLRKTELKVLLKMLPSYYCHVGEHENTLITKIFGLHRITLKGGKKVRFMVMGNMFCTELRIHRRYDLKGSSIGRSTDKDKIDENTTLKDLDLKFEFHMDKLLRESLFNGEGAWPSLTEDGKFDVKICTKCYRFCFVFLCPDIF >ONI27795 pep chromosome:Prunus_persica_NCBIv2:G1:8439446:8441477:1 gene:PRUPE_1G104900 transcript:ONI27795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQPFKVLFVLGVVAVMLATICRGSGVDHTSSSDEGFKVKTRQPQDEAAEKEREGRESSDSESWAEWAKEKISGGLGLKQDDDDENNKKASDAAYDTAKNTKDKVQDTASGTGQYTTEKAKDIKDTAAEKAREVKEAAAEKAFEVEKAAKEKAYEATKAVKDKASEATNAAKEKAYEATKAAEDETYETKNAAEETASKAAEKANEAKQKVGQTAEEIKNKAYEKAEETKEAKEKAKQKAEEVNKEAYEEAEETKEAKPKTEEVKNKAAHKAKEGYEAAKKKAEETVKSTKDTVASNFEAAKQTSQKIKENVAGQGRDEEL >ONI30909 pep chromosome:Prunus_persica_NCBIv2:G1:28434964:28437188:-1 gene:PRUPE_1G280900 transcript:ONI30909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVGIDIFTAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDSLLTQIKDGFGEGKDLVVSVMSAMGEEQICALKDIGPK >ONI35650 pep chromosome:Prunus_persica_NCBIv2:G1:44744493:44746632:-1 gene:PRUPE_1G547600 transcript:ONI35650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGGAVWGQDVNFSSAAAAAAAPQGQVGGGGRSAEMVPAMFVFGDSLIDNGNNNNIPSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIAELLGLPLIPPFSEASGDQVLHGVNYASAAAGILDITGRNFVGRIPFGEQIRNFQSTLDQITDNLGADDVAGAIADCIFFVGMGSNDYLNNYLMPNYPTRTQYNAPQFADLLTQQYTQQLTRLYNLGARKFVVAGLGRMGCIPSILAQSPSGRCNEEVNRLVLPFNANVKTMINKLNTNLPGAKFIYIDIARIFEDIVNNARSYGFTVANRGCCGIGRNRGQITCLPLQTPCPNRDQYIFWDAFHPTAAANIIIGRKAFNGDLSQVYPMNIQKLATLNIKSSP >ONI31594 pep chromosome:Prunus_persica_NCBIv2:G1:30787487:30791420:-1 gene:PRUPE_1G321400 transcript:ONI31594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQAVEDDALVKATRATEALYLVRDTYFPPNPDDKIAKLNAESDLALSLLDSIPPEQRKLPAQRAKYEYLRGKILDVLPDYRKEAEDHLSKAVKLNPSLADAWLCLANCIWKKGDLYSAKNCFTLALSKGPNKQILCQLSMLERKMAQGTDNQAELVDESIQHAKEAITLDVKDGNSWYNLGNACLTSFFVTGAWDHAKLLKSLKAYQNAEKDERMKSNPDLYFNSATVNKYLENYERALTGFEAAALKDPGLSAMEEVQKIVNLLDKLDNLLRGHARSKRLASLASSLTAVNLNSSYRRATVDLLSEGLNKAVALIGKVLFFIKHENVAPLSKKEIS >ONI31591 pep chromosome:Prunus_persica_NCBIv2:G1:30787487:30791420:-1 gene:PRUPE_1G321400 transcript:ONI31591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQAVEDDALVKATRATEALYLVRDTYFPPNPDDKIAKLNAESDLALSLLDSIPPEQRKLPAQRAKYEYLRGKILDVLPDYRKEAEDHLSKAGPNKQILCQLSMLERKMAQGTDNQAELVDESIQHAKEAITLDVKDGNSWYNLGNACLTSFFVTGAWDHAKLLKSLKAYQNAEKDERMKSNPDLYFNSATVNKYLENYERALTGFEAAALKDPGLSAMEEVQKIVNLLDKLDNLLRGHARSKRLASLASSLTAVNLNSSYRRATVDLLSEGLNKAVALIGKVLFFIKHENVAPLYYLACDANQICFVVSVYGIQYDLIKEGDQLTILDPFHRHVNFSWKEKLYQFKSFRVDFIEQMLVNGKALTPHQAVRTSIYAQHKP >ONI31592 pep chromosome:Prunus_persica_NCBIv2:G1:30787871:30791231:-1 gene:PRUPE_1G321400 transcript:ONI31592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQAVEDDALVKATRATEALYLVRDTYFPPNPDDKIAKLNAESDLALSLLDSIPPEQRKLPAQRAKYEYLRGKILDVLPDYRKEAEDHLSKAVKLNPSLADAWLCLANCIWKKGDLYSAKNCFTLALSKGPNKQILCQLSMLERKMAQGTDNQAELVDESIQHAKEAITLDVKDGNSWYNLGNACLTSFFVTGAWDHAKLLKSLKAYQNAEKDERMKSNPDLYFNSATVNKYLENYERALTGFEAAALKDPGLSAMEEVQKIVNLLDKLDNLLRGHARSKRLASLASSLTAVNLNSSYRRATVDLLSEGLNKAVALIGKVLFFIKHENVAPLYYLACDANQICFVVSVYGIQYDLIKEGDQLTILDPFHRHVNFSWKEKLYQFKSFRVDFIEQMLVNGKALTPHQAVRTSIYAQHKP >ONI31593 pep chromosome:Prunus_persica_NCBIv2:G1:30787871:30791110:-1 gene:PRUPE_1G321400 transcript:ONI31593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPISLSASSIPFLLFNCQTLCPLPEQRKLPAQRAKYEYLRGKILDVLPDYRKEAEDHLSKAVKLNPSLADAWLCLANCIWKKGDLYSAKNCFTLALSKGPNKQILCQLSMLERKMAQGTDNQAELVDESIQHAKEAITLDVKDGNSWYNLGNACLTSFFVTGAWDHAKLLKSLKAYQNAEKDERMKSNPDLYFNSATVNKYLENYERALTGFEAAALKDPGLSAMEEVQKIVNLLDKLDNLLRGHARSKRLASLASSLTAVNLNSSYRRATVDLLSEGLNKAVALIGKVLFFIKHENVAPLYYLACDANQICFVVSVYGIQYDLIKEGDQLTILDPFHRHVNFSWKEKLYQFKSFRVDFIEQMLVNGKALTPHQAVRTSIYAQHKP >ONI35816 pep chromosome:Prunus_persica_NCBIv2:G1:45357648:45363679:1 gene:PRUPE_1G555800 transcript:ONI35816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCRRYITLLVPSEASLRQADRPEVAEILGKVERYNRYFGDHPRSLIQRGQDLPFFLRRLLRELIDPNRSLPARRMAQICFAMILLAIYLVSPVDLIPEAMFGLLGYVDDFIVALVILFNLAAISRSILYHRHGGS >ONI35818 pep chromosome:Prunus_persica_NCBIv2:G1:45357647:45363679:1 gene:PRUPE_1G555800 transcript:ONI35818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCRRYITLLVPSEASLRQADRPEVAEILGKVERYNRYFGDHPRSLIQRGQDLPFFLRRLLRELIDPNRSLPARRMAQICFAMILLAIYLVSPVDLIPEAMFGLLGYVDDFIVALVILFNLAAISRSILYHRHGGS >ONI35817 pep chromosome:Prunus_persica_NCBIv2:G1:45360643:45363226:1 gene:PRUPE_1G555800 transcript:ONI35817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCRRYITLLVPSEASLRQADRPEVAEILGKVERYNRYFGDHPRSLIQRGQDLPFFLRRLLRELIDPNRSLPARRMAQICFAMILLAIYLVSPVDLIPEAMFGLLGYVDDFIVALVILFNLAAISRSILYHRHGGS >ONI35815 pep chromosome:Prunus_persica_NCBIv2:G1:45357672:45363679:1 gene:PRUPE_1G555800 transcript:ONI35815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPPGSDMCSVCHGNFDTPCQANCSHWFCEMECAVWHHGSALQPASLRQADRPEVAEILGKVERYNRYFGDHPRSLIQRGQDLPFFLRRLLRELIDPNRSLPARRMAQICFAMILLAIYLVSPVDLIPEAMFGLLGYVDDFIVALVILFNLAAISRSILYHRHGGS >ONI34473 pep chromosome:Prunus_persica_NCBIv2:G1:40322478:40326092:-1 gene:PRUPE_1G483800 transcript:ONI34473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAISAMLGSMLPSIYLRHLGYQVTYVRNFTDVDDKIISRAKELGEDPISLSRRYCEEFNRDMVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQVPQYPTSLQMEEACLSSLSLPSSQPTPHRHMNTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEILQQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34474 pep chromosome:Prunus_persica_NCBIv2:G1:40322478:40326092:-1 gene:PRUPE_1G483800 transcript:ONI34474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAISAMLGSMLPSMFCTGRYLRHLGYQVTYVRNFTDVDDKIISRAKELGEDPISLSRRYCEEFNRDMVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEILQQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34479 pep chromosome:Prunus_persica_NCBIv2:G1:40322478:40325464:-1 gene:PRUPE_1G483800 transcript:ONI34479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQVPQYPTSLQMEEACLSSLSLPSSQPTPHRHMNTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEILQQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34476 pep chromosome:Prunus_persica_NCBIv2:G1:40322478:40325464:-1 gene:PRUPE_1G483800 transcript:ONI34476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEILQQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34468 pep chromosome:Prunus_persica_NCBIv2:G1:40322136:40326491:-1 gene:PRUPE_1G483800 transcript:ONI34468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLKFYRPLFSIPYSTRTVQLGTHRFHCLFKPKRRIRCVSTSSHSQPSIGIGGKWESPEFSELWLQNTISRKKEVFRPKTEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLRHLGYQVTYVRNFTDVDDKIISRAKELGEDPISLSRRYCEEFNRDMVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQVPQYPTSLQMEEACLSSLSLPSSQPTPHRHMNTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34475 pep chromosome:Prunus_persica_NCBIv2:G1:40322478:40326092:-1 gene:PRUPE_1G483800 transcript:ONI34475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAISAMLGSMLPSMFCTGRYLRHLGYQVTYVRNFTDVDDKIISRAKELGEDPISLSRRYCEEFNRDMVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQVPQYPTSLQMEEACLSSLSLPSSQPTPHRHMNTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEILQQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34466 pep chromosome:Prunus_persica_NCBIv2:G1:40322478:40326378:-1 gene:PRUPE_1G483800 transcript:ONI34466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLKFYRPLFSIPYSTRTVQLGTHRFHCLFKPKRRIRCVSTSSHSQPSIGIGGKWESPEFSELWLQNTISRKKEVFRPKTEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLRHLGYQVTYVRNFTDVDDKIISRAKELGEDPISLSRRYCEEFNRDMVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34471 pep chromosome:Prunus_persica_NCBIv2:G1:40322478:40326092:-1 gene:PRUPE_1G483800 transcript:ONI34471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAISAMLGSMLPSIYLRHLGYQVTYVRNFTDVDDKIISRAKELGEDPISLSRRYCEEFNRDMVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEILQQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34467 pep chromosome:Prunus_persica_NCBIv2:G1:40322197:40326491:-1 gene:PRUPE_1G483800 transcript:ONI34467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLKFYRPLFSIPYSTRTVQLGTHRFHCLFKPKRRIRCVSTSSHSQPSIGIGGKWESPEFSELWLQNTISRKKEVFRPKTEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLRHLGYQVTYVRNFTDVDDKIISRAKELGEDPISLSRRYCEEFNRDMVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEILQQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34465 pep chromosome:Prunus_persica_NCBIv2:G1:40322136:40326491:-1 gene:PRUPE_1G483800 transcript:ONI34465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLKFYRPLFSIPYSTRTVQLGTHRFHCLFKPKRRIRCVSTSSHSQPSIGIGGKWESPEFSELWLQNTISRKKEVFRPKTEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLRHLGYQVTYVRNFTDVDDKIISRAKELGEDPISLSRRYCEEFNRDMVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSELRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34469 pep chromosome:Prunus_persica_NCBIv2:G1:40322478:40326378:-1 gene:PRUPE_1G483800 transcript:ONI34469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLKFYRPLFSIPYSTRTVQLGTHRFHCLFKPKRRIRCVSTSSHSQPSIGIGGKWESPEFSELWLQNTISRKKEVFRPKTEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLRHLGYQVTYVRNFTDVDDKIISRAKELGEDPISLSRRYCEEFNRDMVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQVPQYPTSLQMEEACLSSLSLPSSQPTPHRHMNTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEILQQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34472 pep chromosome:Prunus_persica_NCBIv2:G1:40322136:40326491:-1 gene:PRUPE_1G483800 transcript:ONI34472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAISAMLGSMLPSIYLRHLGYQVTYVRNFTDVDDKIISRAKELGEDPISLSRRYCEEFNRDMVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQVPQYPTSLQMEEACLSSLSLPSSQPTPHRHMNTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34478 pep chromosome:Prunus_persica_NCBIv2:G1:40322478:40325464:-1 gene:PRUPE_1G483800 transcript:ONI34478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQVPQYPTSLQMEEACLSSLSLPSSQPTPHRHMNTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEILQQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34477 pep chromosome:Prunus_persica_NCBIv2:G1:40322478:40325464:-1 gene:PRUPE_1G483800 transcript:ONI34477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEILQQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI34470 pep chromosome:Prunus_persica_NCBIv2:G1:40322136:40326491:-1 gene:PRUPE_1G483800 transcript:ONI34470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAISAMLGSMLPSIYLRHLGYQVTYVRNFTDVDDKIISRAKELGEDPISLSRRYCEEFNRDMVYLHCLPPSAEPRVSDHMPQILDMIKQIIENGYAYIVDGDVYFSVEKFPDYGRLSGRKLEDNRAGERVAVDSRKKHPADFALWKTAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAVCNHSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYQSPINYADVLLESASDRIFYIYQTLHDCESALSQRDVVTLKDTIPPDTVNIINNFYNVFVTSMSDDLHTPVVLAALSDPLKTINDLLHTRKGKKQELRIESLAAFGKILGNVLSTLGLMPESYSEQLRDKALKRAKLTEDQVLQKIDERTAARKNKEYERSDIIRKDLAAVGIALMDSPDGTTWRPAVPLAIQEQQVSIT >ONI29199 pep chromosome:Prunus_persica_NCBIv2:G1:17039718:17044204:-1 gene:PRUPE_1G186800 transcript:ONI29199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSKPHPPSSSKPPKNPITLKPTNETQTPNPNPSTSNIPPVPPSQEEIALSGASQLTRLEVLRRRSYFLRQLRKFYQSKYWAFMEEVKKKHREFYWNFGVSPFKDEHKSDRDATGNAEGTDENNNNINSNFNNNNGGAAIARTDVDAKKKLQCSYHQCKTKAMPLTSFCHLHILSDSKQKLYKPCGFVIKSAHTGPLTCGKPILRSTVPSLCTHHFQVAQKAIKKALKKAGLNGPSTNKLAPKFHVIVAEYVRQIQAKRRAAQRAKGKKVAIKEETSD >ONI29200 pep chromosome:Prunus_persica_NCBIv2:G1:17039718:17044204:-1 gene:PRUPE_1G186800 transcript:ONI29200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSKPHPPSSSKPPKNPITLKPTNETQTPNPNPSTSNIPPVPPSQEEIALSGASQLTRLEVLRRRSYFLRQLRKFYQSKYWAFMEEVKKKHREFYWNFGVSPFKDEHKSDRDATGNAEGTDENNNNINSNFNNNNGGAAIARTDVDAKKKLQCSYHQCKTKAMPLTSFCHLHILSDSKQKLYKPCGFVIKSAHTGPLTCGKPILRSTVPSLCTHHFQVAQKAIKKALKKAGLNGPSTNKLAPKFHVIVAEYVRQIQAKRRAAQRAKGKKVAIKEETSD >ONI29198 pep chromosome:Prunus_persica_NCBIv2:G1:17036647:17044272:-1 gene:PRUPE_1G186800 transcript:ONI29198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSKPHPPSSSKPPKNPITLKPTNETQTPNPNPSTSNIPPVPPSQEEIALSGASQLTRLEVLRRRSYFLRQLRKFYQSKYWAFMEEVKKKHREFYWNFGVSPFKDEHKSDRDATGNAEGTDENNNNINSNFNNNNGGAAIARTDVDAKKKLQCSYHQCKTKAMPLTSFCHLHILSDSKQKLYKPCGFVIKSAHTGPLTCGKPILRSTVPSLCTHHFQVAQKAIKKALKKAGLNGPSTNKLAPKFHVIVAEYVRQIQAKRRAAQRAKGKKVAIKEETSD >ONI29197 pep chromosome:Prunus_persica_NCBIv2:G1:17036647:17044271:-1 gene:PRUPE_1G186800 transcript:ONI29197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSKPHPPSSSKPPKNPITLKPTNETQTPNPNPSTSNIPPVPPSQEEIALSGASQLTRLEVLRRRSYFLRQLRKFYQSKYWAFMEEVKKKHREFYWNFGVSPFKDEHKSDRDATGNAEGTDENNNNINSNFNNNNGGAAIARTDVDAKKKLQCSYHQCKTKAMPLTSFCHLHILSDSKQKLYKPCGFVIKSAHTGPLTCGKPILRSTVPSLCTHHFQVAQKAIKKALKKAGLNGPSTNKLAPKFHVIVAEYVRQIQAKRRAAQRAKGKKVAIKEETSD >ONI28496 pep chromosome:Prunus_persica_NCBIv2:G1:11327351:11327913:1 gene:PRUPE_1G144000 transcript:ONI28496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKNKNDQEAKVIVAEFSVSMHCNACERTVAKTLSKLKGVEKFTTDMNKHKVVVTGKMDPQKVLKKLRKKTGKKVEIVVDKEEKPNDASDDGNLAKPNVYPNFFDCCKETDILMMFSDENPNACCIM >ONI34425 pep chromosome:Prunus_persica_NCBIv2:G1:40215648:40219569:1 gene:PRUPE_1G481800 transcript:ONI34425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKASSSGKNLILPVSDSPRDLERERLVGDDNDEKLFRGSAMTRRGAYAAISYMACAVLLVMFNKAALSSYKFPSANVITLFQMICSCGFLYALRRWKMISFTVGESLTISDDNITTLVPLKTLKKTLPLAGAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMVMEYILAGQRYTSPIVRSVGLIVLGAFIAGARDLSFDAYGYAVVFLSNITTAVYLATIARIGKSSGLNSFGLMWCNGVICGPALLFLTFIRGDLKTTIDFPYLFSPGFMAVLLCSCILAFFLNYSIFLNTTLNSALTQTICGNLKDFFTIGLGWMLFGGLPFDILNVIGQFLGFLGSGLYAYFKLIGK >ONI30191 pep chromosome:Prunus_persica_NCBIv2:G1:25056395:25057723:-1 gene:PRUPE_1G236400 transcript:ONI30191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEVEVITKETIKPSSPTPHHLHHHQLSFLDQLAPHAYVPFLYFYEFNGSTNINQISNLLKTSLSKVLTQYYPFAGRVRNNLFVHCKDQGIPFSEVKVKTHLLSDVITNPCLSELHKLLPFKLDEVTKTALGVQLNVFECGGIAVGLCISHRIADGLSCFTFVNNWAAASNCGPGNKNSIVGPDFSAASIFPPRNMDGYLGVPITNKHEIKTKMFVFDASKVEALRSRYEQIIKVSKTQKRPSKVEALSAFLWDIFVLSRPRERPQTLYAVVYIMDLRSRFKPPLPQHTFGNYYRAAMATPTLPTGEKHHGLVRQVMEEIEKIDNNYMRKFQEGYQEHLDFMKKRTEKAAKGELVTLTFSSVCKFPVYDADFGWGRPTWVSMTAMRISNQIVFMDTRNGDGIESYFSLKEEDMAKFEHNKEFTALLSSPIGNVKENPLARL >ONI33706 pep chromosome:Prunus_persica_NCBIv2:G1:37527826:37530411:1 gene:PRUPE_1G442200 transcript:ONI33706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSASVVLSSPCAKLPNLEGNHGNIEKGGVAPFIDSAFLQKQSQVPTGFVWPEADLVSAHQELNEPLVDLEGFFKGDVVATENAAKAIRSSCVSHGFFQVINHGVDLNLIKLADDHLDKFFKLPVEEKAKVKRCPGSPYGYSGSHADRFSAKLPWKETLSFAFHQGPNPVVADFCKSKLGKDFEETGRVYQKYCEAMHNLALSITELLAISLKVDRMHYREFFEDAHSILRCNFYPTCQEPSLSLGTGPHCDPISLTILYQDQVRGLDVFVNNLWQTVRPIQGALVINIGDTFSALTNGMYKSCLHRAVVNSQKERRSMAFFLCPRDDKVVKPPEDLLCIEGMTRKFPDFTWSDFLRFTQYNYRPDETTLQKFSNWLLAADNNKHL >ONI35048 pep chromosome:Prunus_persica_NCBIv2:G1:42142826:42157542:-1 gene:PRUPE_1G512000 transcript:ONI35048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAQRRLVTTPSFPRGNGYVNGVVPLRHPATASEVDEFCYALGGKKPIHSILIANNGMAAVKFIRSVRTWAYETFGTEKAVLLVAMATPEDMRINAEHIRIADQFLEVPGGTNNNNYANVQLIVEMAEITRVDAVWPGWGHASENPELPDALIAKGIVFLGPPAVSMGALGDKIGSSLIAQAANVPTLPWSGSHVKISSESCLVTIPDEIYREACVYTTEEAVASCQIVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQHGNVAALHSRDCSVQRRHQKIIEEGPITVAPQETVKKLEQAARRLAKSVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYDAWRKTSAVATPFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYSIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGTLFKASASSAAMVSDYVGYLEKGQIPPKHISLVHAQVSLNIEGSKYTIDMVRGGPGSYRLRMNESEIEAEIHTLRDGGLLMQLDGNSHIIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLIAETPCKLLRYLVADGSHVDADAPYAEVEVMKMCMPLLSPASGVIHFKMSEGQAMQAGQLIARLDLDDPSAVRKTEPFHGSFPVLGPPTAISGKVHQRCAASLNAARMILAGYEHNIDEVVQNLLNCLDSPELPFLQWQECFAVLATRLPKDLKNELESKFKEFELISSSQNVDFPAKLLRGILEAHLFSSPDKEKGAQERLVEPLLSVVKSYEGGRESHARVIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKIVDIVLSHQGVKNKNKLILRLMEQLVYPNPAAYRDKLIRFSALNHTSYSELALKASQLMEQTKLSELRSSIARSLSELEMFTEDGETMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVESYVRRLYQPYLVKGSVRMQWHRSGLMASWEFLEEHTERKNSNEDQSFDKSVEKHSERKWGVMVIIKSLQFLPAIISAALKEMSHQLHESIPNGSTEPSGFGNMMHIALVGINNPMSLLQDSGDEDQAQERIKKLAKILKEQGVASSLHSAGVRVISCIIQRDEGRAPMRHSFHWSSEKLYYEEEPLLRHLEPPLSIYLELDKLKGYENIQYTPSRDRQWHLYTVVDKPLPIQRMFLRTLVRQPTTNEGFTGFQRLDVEAASKQWALSFTSRSILRSLLTAMEELELNAHNANVKSDYTHMYLYILREQQIDDLLPYPKRVDLDAGQEETVVEVILEELAREIHASVGVRMHRLGVCEWEVKLWIASSGQAWRVVVTNVTGHTCTIQTYRELEDTNKHRVVYHSASVQGPLHGVPVNAHYQPLGAIDRKRLLARRTSTTYCYDFPLAFQTALEQAWASQLPGGKKPKDKVLKVSELKFADQKGTWGSPLVNVERPPGLNDVGMVAWSMEMSTPEFPSGRKILIVSNDVTFKAGSFGPREDAFFFAVTELACAKKLPLIYLAANSGARIGVAEEVKSCFKVGWSDETSPERGFQYVYLTCEDYARIGSSVIAHELKLASGETRWVIDTIVGKEDGLGVESLTGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMGTNGVVHLTVADDLEGVSAILKWLSYVPAHAGGPLPISCPLDPPERPVEYYPENSCDPRAAICGTLNGNGNWMGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSASKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPIFVFIPMMGELRGGAWVVVDSRINPDHIEMYADRTARGNVLEPEGMIEIKFRDKELLESMGRLDQQLIQLKAKLQEARSCGAHEMVEPLQHQIRSREKQLLPVYTQIATRFAELHDTSLRMAAKGVIREVLDWNTSRSFFYKRLRRRIAEESLIKTLRDAAGEQLSHKSAIDLIKSWFFSSDISKSREDAWVDDGIFFTWKDDPKNYEDKLKELRVQKVLLQLATIGDSISDLQALPQGLAALLSKVEPSSRVLLIDELRKVLG >ONI35047 pep chromosome:Prunus_persica_NCBIv2:G1:42143124:42157511:-1 gene:PRUPE_1G512000 transcript:ONI35047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAQRRLVTTPSFPRGNGYVNGVVPLRHPATASEVDEFCYALGGKKPIHSILIANNGMAAVKFIRSVRTWAYETFGTEKAVLLVAMATPEDMRINAEHIRIADQFLEVPGGTNNNNYANVQLIVEMAEITRVDAVWPGWGHASENPELPDALIAKGIVFLGPPAVSMGALGDKIGSSLIAQAANVPTLPWSGSHVKISSESCLVTIPDEIYREACVYTTEEAVASCQIVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQHGNVAALHSRDCSVQRRHQKIIEEGPITVAPQETVKKLEQAARRLAKSVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYDAWRKTSAVATPFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYSIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGTLFKASASSAAMVSDYVGYLEKGQIPPKHISLVHAQVSLNIEGSKYTIDMVRGGPGSYRLRMNESEIEAEIHTLRDGGLLMQLDGNSHIIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLIAETPCKLLRYLVADGSHVDADAPYAEVEVMKMCMPLLSPASGVIHFKMSEGQAMQAGQLIARLDLDDPSAVRKTEPFHGSFPVLGPPTAISGKVHQRCAASLNAARMILAGYEHNIDEVVQNLLNCLDSPELPFLQWQECFAVLATRLPKDLKNELESKFKEFELISSSQNVDFPAKLLRGILEAHLFSSPDKEKGAQERLVEPLLSVVKSYEGGRESHARVIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKIVDIVLSHQGVKNKNKLILRLMEQLVYPNPAAYRDKLIRFSALNHTSYSELALKASQLMEQTKLSELRSSIARSLSELEMFTEDGETMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVESYVRRLYQPYLVKGSVRMQWHRSGLMASWEFLEEHTERKNSNEDQSFDKSVEKHSERKWGVMVIIKSLQFLPAIISAALKEMSHQLHESIPNGSTEPSGFGNMMHIALVGINNPMSLLQDSGDEDQAQERIKKLAKILKEQGVASSLHSAGVRVISCIIQRDEGRAPMRHSFHWSSEKLYYEEEPLLRHLEPPLSIYLELDKLKGYENIQYTPSRDRQWHLYTVVDKPLPIQRMFLRTLVRQPTTNEGFTGFQRLDVEAASKQWALSFTSRSILRSLLTAMEELELNAHNANVKSDYTHMYLYILREQQIDDLLPYPKRVDLDAGQEETVVEVILEELAREIHASVGVRMHRLGVCEWEVKLWIASSGQAWRVVVTNVTGHTCTIQTYRELEDTNKHRVVYHSASVQGPLHGVPVNAHYQPLGAIDRKRLLARRTSTTYCYDFPLAFQTALEQAWASQLPGGKKPKDKVLKVSELKFADQKGTWGSPLVNVERPPGLNDVGMVAWSMEMSTPEFPSGRKILIVSNDVTFKAGSFGPREDAFFFAVTELACAKKLPLIYLAANSGARIGVAEEVKSCFKVGWSDETSPERGFQYVYLTCEDYARIGSSVIAHELKLASGETRWVIDTIVGKEDGLGVESLTGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMGTNGVVHLTVADDLEGVSAILKWLSYVPAHAGGPLPISCPLDPPERPVEYYPENSCDPRAAICGTLNGNGNWMGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSASKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPIFVFIPMMGELRGGAWVVVDSRINPDHIEMYADRTARGNVLEPEGMIEIKFRDKELLESMGRLDQQLIQLKAKLQEARSCGAHEMVEPLQHQIRSREKQLLPVYTQIATRFAELHDTSLRMAAKGVIREVLDWNTSRSFFYKRLRRRIAEESLIKTLRDAAGEQLSHKSAIDLIKSWFFSSDISKSREDAWVDDGIFFTWKDDPKNYEDKLKELRVQKVLLQLATIGDSISDLQALPQGLAALLSKVEPSSRVLLIDELRKVLG >ONI35046 pep chromosome:Prunus_persica_NCBIv2:G1:42143122:42157535:-1 gene:PRUPE_1G512000 transcript:ONI35046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAQRRLVTTPSFPRGNGYVNGVVPLRHPATASEVDEFCYALGGKKPIHSILIANNGMAAVKFIRSVRTWAYETFGTEKAVLLVAMATPEDMRINAEHIRIADQFLEVPGGTNNNNYANVQLIVEMAEITRVDAVWPGWGHASENPELPDALIAKGIVFLGPPAVSMGALGDKIGSSLIAQAANVPTLPWSGSHVKISSESCLVTIPDEIYREACVYTTEEAVASCQIVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQHGNVAALHSRDCSVQRRHQKIIEEGPITVAPQETVKKLEQAARRLAKSVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYDAWRKTSAVATPFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYSIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGTLFKASASSAAMVSDYVGYLEKGQIPPKHISLVHAQVSLNIEGSKYTIDMVRGGPGSYRLRMNESEIEAEIHTLRDGGLLMQLDGNSHIIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLIAETPCKLLRYLVADGSHVDADAPYAEVEVMKMCMPLLSPASGVIHFKMSEGQAMQAGQLIARLDLDDPSAVRKTEPFHGSFPVLGPPTAISGKVHQRCAASLNAARMILAGYEHNIDEVVQNLLNCLDSPELPFLQWQECFAVLATRLPKDLKNELESKFKEFELISSSQNVDFPAKLLRGILEAHLFSSPDKEKGAQERLVEPLLSVVKSYEGGRESHARVIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKIVDIVLSHQGVKNKNKLILRLMEQLVYPNPAAYRDKLIRFSALNHTSYSELALKASQLMEQTKLSELRSSIARSLSELEMFTEDGETMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVESYVRRLYQPYLVKGSVRMQWHRSGLMASWEFLEEHTERKNSNEDQSFDKSVEKHSERKWGVMVIIKSLQFLPAIISAALKEMSHQLHESIPNGSTEPSGFGNMMHIALVGINNPMSLLQDSGDEDQAQERIKKLAKILKEQGVASSLHSAGVRVISCIIQRDEGRAPMRHSFHWSSEKLYYEEEPLLRHLEPPLSIYLELDKLKGYENIQYTPSRDRQWHLYTVVDKPLPIQRMFLRTLVRQPTTNEGFTGFQRLDVEAASKQWALSFTSRSILRSLLTAMEELELNAHNANVKSDYTHMYLYILREQQIDDLLPYPKRVDLDAGQEETVVEVILEELAREIHASVGVRMHRLGVCEWEVKLWIASSGQAWRVVVTNVTGHTCTIQTYRELEDTNKHRVVYHSASVQGPLHGVPVNAHYQPLGAIDRKRLLARRTSTTYCYDFPLAFQTALEQAWASQLPGGKKPKDKVLKVSELKFADQKGTWGSPLVNVERPPGLNDVGMVAWSMEMSTPEFPSGRKILIVSNDVTFKAGSFGPREDAFFFAVTELACAKKLPLIYLAANSGARIGVAEEVKSCFKVGWSDETSPERGFQYVYLTCEDYARIGSSVIAHELKLASGETRWVIDTIVGKEDGLGVESLTGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMGTNGVVHLTVADDLEGVSAILKWLSYVPAHAGGPLPISCPLDPPERPVEYYPENSCDPRAAICGTLNGNGNWMGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSASKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPIFVFIPMMGELRGGAWVVVDSRINPDHIEMYADRTARGNVLEPEGMIEIKFRDKELLESMGRLDQQLIQLKAKLQEARSCGAHEMVEPLQHQIRSREKQLLPVYTQIATRFAELHDTSLRMAAKGVIREVLDWNTSRSFFYKRLRRRIAEESLIKTLRDAAGEQLSHKSAIDLIKSWFFSSDISKSREDAWVDDGIFFTWKDDPKNYEDKLKELRVQKVLLQLATIGDSISDLQALPQGLAALLSKVEPSSRVLLIDELRKVLG >ONI33641 pep chromosome:Prunus_persica_NCBIv2:G1:37286057:37287024:-1 gene:PRUPE_1G437700 transcript:ONI33641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLNAVERNLTVDYQRGFFFYFLLPPSISLLSSIQRKIGEEETETEAERSSVWFSGKMMAANSKLSYESSFQQCLVEENRKKMEALNLPQIALQAHRSPVFVEGVLMPGRTYHSNRHKDQKLR >ONI33643 pep chromosome:Prunus_persica_NCBIv2:G1:37286305:37286787:-1 gene:PRUPE_1G437700 transcript:ONI33643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLNAVERNLTVDYQRGFFFYFLLPPSISLLSSIQRKIGEEETETEAERSSVWFSGKMMAANSKLSYESSFQQCLVEENRKKMEALNLPQIALQAHRSPVSQNPAPLSLFFTYLSQSTCLPQNLVQDSSHPTLLSFVFSLSQAMALKIVFLSWVLLGL >ONI33642 pep chromosome:Prunus_persica_NCBIv2:G1:37284813:37287024:-1 gene:PRUPE_1G437700 transcript:ONI33642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLNAVERNLTVDYQRGFFFYFLLPPSISLLSSIQRKIGEEETETEAERSSVWFSGKMMAANSKLSYESSFQQCLVEENRKKMEALNLPQIALQAHRSPAMALKIVFLSWVLLGL >ONI33640 pep chromosome:Prunus_persica_NCBIv2:G1:37284813:37287025:-1 gene:PRUPE_1G437700 transcript:ONI33640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLNAVERNLTVDYQRGFFFYFLLPPSISLLSSIQRKIGEEETETEAERSSVWFSGKMMAANSKLSYESSFQQCLVEENRKKMEALNLPQIALQAHRSPVFVEGVLMPGRTYHSNRHKDQKLR >ONI34033 pep chromosome:Prunus_persica_NCBIv2:G1:38485600:38486306:1 gene:PRUPE_1G460000 transcript:ONI34033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQSSSLVSHLKRAVKKLNLILLGFKLNRWKLASILACTNRASARQCLSFNDSLGLYGCIEDDKADENRCFRRVLSTRTHDRASDDDDDVDHRADVFIANFRRQLRFERQVSLELRYCRRDSF >ONI30792 pep chromosome:Prunus_persica_NCBIv2:G1:27930303:27935901:-1 gene:PRUPE_1G273000 transcript:ONI30792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLEATVEIELWLPEMRTRGIIGALLKSFGPSEHGTRLVRKGRRLGRFVRHKFWLWMFLFATIFLIYLLLFGLNMHFPAKLEGSGTSIRISARQEILPSHVVGRPPRSKHRKQYLPCEVGFLESIEYLVEPKEVMNFTQFSLQFIDQEGIPSHSNIYEPRFGGHQTLEEREQSFFAKNQTLHCGFIKGPPGFSSTGFDIDAKDKAYMNECKIAVSSCIFGSSDFLRRPTSKKISEYSKKNVCFVMFVDEQTLGKLSSEGHVPDVTGRIGLWRIVIVKNLPYEDMRRTGKVPKFLSHRLFPHSWYSIWLDSKMRLNTDPMLIIEYFLWRTKSEYAISNHYERHCVSEEVLQNKRLDKFNHTAIDEQFAFYQSDGLTKFDPSDPNTPLPSYVPEGSFIVRAHTPIANLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRMNPDRPFYLSMFKDCERRALVKLFRHREEPSPPGPPPVI >ONI34764 pep chromosome:Prunus_persica_NCBIv2:G1:41198565:41205203:1 gene:PRUPE_1G497400 transcript:ONI34764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGRFSDGRLIIDFLAKSLGHPFLSAYLDSLGSNFSYGANFATAASTIRLPDQIFPAGGFSPFYLNIQYVQFMQLKSRSQLIRHRGGIFASLMPKEEYFSKALYTFDIGQNDLGEGFSGNLTVQEVNASVPDIISGFSANIKKIYDLGARSFWIHNTGPIGCLPYIFANFPAQKDEAGCAKSYNEVAQHFNQKLKEATVQLRKDLPLAAITYVDVYSVKYSLFKESQKYGFELPLVACCGYGGKYNYNSSSECGGTARVNGRQIFVGSCKDPSVRVNWDGIHYTEAAAKFISDKISTGAFSDPPLALKQACHKNLA >ONI34765 pep chromosome:Prunus_persica_NCBIv2:G1:41201482:41205204:1 gene:PRUPE_1G497400 transcript:ONI34765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKIPPFPCTIKGTYSWIIYHFLSATSLWYIFAFSTMDSPTFPIQAIVSLFCSCMLLSHITTLSPALALEDCEFPAIFNFGDSNSDTGGFAASLSPPTPPYGETYFHMPVGRFSDGRLIIDFLAKSLGHPFLSAYLDSLGSNFSYGANFATAASTIRLPDQIFPAGGFSPFYLNIQYVQFMQLKSRSQLIRHRGGIFASLMPKEEYFSKALYTFDIGQNDLGEGFSGNLTVQEVNASVPDIISGFSANIKKIYDLGARSFWIHNTGPIGCLPYIFANFPAQKDEAGCAKSYNEVAQHFNQKLKEATVQLRKDLPLAAITYVDVYSVKYSLFKESQKYGFELPLVACCGYGGKYNYNSSSECGGTARVNGRQIFVGSCKDPSVRVNWDGIHYTEAAAKFISDKISTGAFSDPPLALKQACHKNLA >ONI34763 pep chromosome:Prunus_persica_NCBIv2:G1:41198600:41205203:1 gene:PRUPE_1G497400 transcript:ONI34763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGRFSDGRLIIDFLAKSLGHPFLSAYLDSLGTNFSYGANFATAASTIRLPDQNFPAGGFSPLQLKSRSQLLRHRDTGGFAASLSPPTPPYGETYFHMPVGRFSDGRLIIDFLAKSLGHPFLSAYLDSLGSNFSYGANFATAASTIRLPDQIFPAGGFSPFYLNIQYVQFMQLKSRSQLIRHRGGIFASLMPKEEYFSKALYTFDIGQNDLGEGFSGNLTVQEVNASVPDIISGFSANIKKIYDLGARSFWIHNTGPIGCLPYIFANFPAQKDEAGCAKSYNEVAQHFNQKLKEATVQLRKDLPLAAITYVDVYSVKYSLFKESQKYGFELPLVACCGYGGKYNYNSSSECGGTARVNGRQIFVGSCKDPSVRVNWDGIHYTEAAAKFISDKISTGAFSDPPLALKQACHKNLA >ONI34413 pep chromosome:Prunus_persica_NCBIv2:G1:40161323:40161953:-1 gene:PRUPE_1G480900 transcript:ONI34413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKYKAYIVQKLGLQKERAVGRVEEISTNQNYAANEDFSGAQTTRANDIECRGEESYNHKGAYIASSHAPLLPCVSSI >ONI33045 pep chromosome:Prunus_persica_NCBIv2:G1:35468964:35471681:-1 gene:PRUPE_1G402000 transcript:ONI33045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STHISPSSSSSSSSSQWFSVIRTAITTMDLQLGKRVHALIITSGNDPDHFLTNNLITMYSKCRSLPCARRLFDKTPGRDLVTWNSILAAYAQAAGSDVDNVQEGLTLFRSLRGSVVFTSRLTLAPVLKLCLLSGNVWASEAVHGYAVKIGLEWDVFVSGALMNIYSKLGRIKEARALFDGMEERDVVLWNTMLKAYMEIGLEKEGLSLFSAFHLSGLRPDDVSVRSVLIAGVSGLELGKQIHSAALRSGFDSVVSVANSLINVYSKARSVYYARKVFNNMKEVDLISWNSMISCCVQSGLGEESVILFIGILRDGLRPDQFTTANEITLATVAKATSSLVALNPGKQIHAHAIKTGFSSDLFVNSGILDMYIKCGDMRSAHGIFNGIPAPDDVAWTTMISGCVENGDEGRSLYIYHQMRQSGVQPDEYTFATLVKASSCLTALEQGKQIHADVIKLDCSLDPFVATSLVDMYAKCGNIEDAYCLFRRMDVRNIALWNAMLVGLAQHGNAEEALNLFRVMKSKNVEPDRVTFIGVLSACSHSGLVSEAYEHFSSMQKDYGVEPEIEHYSCLVDALGRAGRVQEAEKLIASMPFEASASMYGALLGACRIKGDTETGKRVAAQLLAMEPSDSSAYVLLSNIYAAANQWDVVSDARTMMERQKVKKEPGFSWINVKNKVHLFVVDDKSHPQADQIHDKVEDLMKQIGEEGYVPDTGFALVDIEEE >ONI33508 pep chromosome:Prunus_persica_NCBIv2:G1:36843896:36844366:1 gene:PRUPE_1G429400 transcript:ONI33508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSFKPALCSILVLLTVSSRVLVISEARPLKLGSSWSSIDKEMESVFDGLFIDAMKKEGPSSGGKGHAFTDSETLGGIKHSVYIGAMKNEGPSSGGNGHAFTDSETLGGIKHSVYIGAMKNGGPSSGGDGHAFTDSETLGGIKHSGPSSGGSGN >ONI35454 pep chromosome:Prunus_persica_NCBIv2:G1:43837073:43842866:-1 gene:PRUPE_1G536700 transcript:ONI35454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRVQLDIQDHHVVMDNGILQVTLSKPDGIVTRIQYNGIDNLLEVLSEEVERGYWDLVWSEAGSVGTTGTFDVIKGTKFEVIVESDEQVEVSFTRKWNPSQKGKLVPLNIDKRFIMLRNSSGFYSYAIYDHLKEWPPFNLPQTRIVFKLRKEKFQYMAIADNRQRYMPLPDDRLQERSKVLDVPEAVLLVNPIEPEFKGEVDDKYEYSSENQNLRVHGWICMDPPVGFWQITPSDEFRSGGPLKQNLTSHVGPFCLAMFLSAHYSGEDLVLKLKPDEPWKKVFGPVFIYLNSLTSNANEDPSPLWEDAKHQMMTEVQKWPYDFPASSEFPPSDQRGNVSGRIQVRDRYVSEDCIPGKGAYVGLAPPGDAGSFQRDCKGYQFWTRADEHGYYSIKNIREGQYNLYAWVPGFIGDYRYDAAINITAGCVIDVGELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPNYINKLYVNHPDRFRQYGLWERYADLYPDQDLIYTIGTSDYAKDWFFAQVTRKKDDDTYEGTTWQIKFQLDNVNQSGTFKLQISLATANIAELQIRINDPKADPPLFTTGVIGKDNTILRHGIHGLYWLYSIDIPATLLVEGNNTLFLTQPISNSPLAAFHGLMYDYIRLEGPPSSTSTRGVKPANMAPNTPLD >ONI35455 pep chromosome:Prunus_persica_NCBIv2:G1:43836852:43840227:-1 gene:PRUPE_1G536700 transcript:ONI35455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPVGFWQITPSDEFRSGGPLKQNLTSHVGPFCLAMFLSAHYSGEDLVLKLKPDEPWKKVFGPVFIYLNSLTSNANEDPSPLWEDAKHQMMTEVQKWPYDFPASSEFPPSDQRGNVSGRIQVRDRYVSEDCIPGKGAYVGLAPPGDAGSFQRDCKGYQFWTRADEHGYYSIKNIREGQYNLYAWVPGFIGDYRYDAAINITAGCVIDVGELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPNYINKLYVNHPDRFRQYGLWERYADLYPDQDLIYTIGTSDYAKDWFFAQVTRKKDDDTYEGTTWQIKFQLDNVNQSGTFKLQISLATANIAELQIRINDPKADPPLFTTGVIGKDNTILRHGIHGLYWLYSIDIPATLLVEGNNTLFLTQPISNSPLAAFHGLMYDYIRLEGPPSSTSTRGVKPANMAPNTPLD >ONI35453 pep chromosome:Prunus_persica_NCBIv2:G1:43836852:43843015:-1 gene:PRUPE_1G536700 transcript:ONI35453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRVQLDIQDHHVVMDNGILQVTLSKPDGIVTRIQYNGIDNLLEVLSEEVERGYWDLVWSEAGSVGTTGTFDVIKGTKFEVIVESDEQVEVSFTRKWNPSQKGKLVPLNIDKRFIMLRNSSGFYSYAIYDHLKEWPPFNLPQTRIVFKLRKEKFQYMAIADNRQRYMPLPDDRLQERSKVLDVPEAVLLVNPIEPEFKGEMFLSAHYSGEDLVLKLKPDEPWKKVFGPVFIYLNSLTSNANEDPSPLWEDAKHQMMTEVQKWPYDFPASSEFPPSDQRGNVSGRIQVRDRYVSEDCIPGKGAYVGLAPPGDAGSFQRDCKGYQFWTRADEHGYYSIKNIREGQYNLYAWVPGFIGDYRYDAAINITAGCVIDVGELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPNYINKLYVNHPDRFRQYGLWERYADLYPDQDLIYTIGTSDYAKDWFFAQVTRKKDDDTYEGTTWQIKFQLDNVNQSGTFKLQISLATANIAELQIRINDPKADPPLFTTGVIGKDNTILRHGIHGLYWLYSIDIPATLLVEGNNTLFLTQPISNSPLAAFHGLMYDYIRLEGPPSSTSTRGVKPANMAPNTPLD >ONI32027 pep chromosome:Prunus_persica_NCBIv2:G1:32297623:32306423:-1 gene:PRUPE_1G345100 transcript:ONI32027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAWLPQEVKPSVSQSPVSVSPAGGASTQTSASLASSVGLHTSNSSGGSTTDSIQEPLQAKFSNAPSFAVPASSFSYGVPPNANISFGASQQSSPGSAIQSNPPASPRVQPPVPGLSSSASPSFSYNIPKSGFSFPNNQQFQSGMNIPPAVAQETGNVSLSSTSSHSGSLPAPTSSSSTMNLSSAPNMGTTTSWVPTGPSFNLTSGMPGTPGTPGPPGIAHPVQISFNPTAPSAPIDSSSVALRPSMQIAPVASSAVQPQVGAPYLSLSSMGAPPQGVWLQSPQIGGFPRPPFLPYPAAFPGPFPLPAHVMPLPSVPLPDSQPPGVIPVGNTAAISSPSAASGHQLAGSSGIQIELPHPGIGNENRASVNEQLDAWTAHKTETGVVYYYNALTGESTYDKPPGFKEEPDKVSMQPTPVSTVNLSGTDWVLVTTSDGKKFYHNGKTKVSSWQIPNEVIELRKKQDADVPKEHPVSIPINNVMTEKGSAPISLTAPAINTGGREAMAFKPSAVQGTSSALDLIKKKLQDSGAPVTSSPVPAPSESNGSRGVESTPKGQQSDNSKDKLKDINGDGNLSDSSSDSEDADSGPTKEECITQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDHKTDYQSFRKKWANDPRFEALDRKDREHLLNERVLPLKRAAEEKAQAVRAAAATSFKSMLQEKGDITVSSRWSREKLRERERELRKRKEREEQETERVRLKVRRKEAVATFQALLVETIKDPQASWTGSKPKLEKDPQRRAANPDLEPSDMEKLFREHIKRLNERCAHEFRALLAEVLTAEAASQETEDGKTVLNSWSTAKRLLKPDPRYNKMARKEREVLWRRFSEEMLRKQKSALDHKEDRKTDAKSRSSVDSGRVPFGSRGTHDRR >ONI32028 pep chromosome:Prunus_persica_NCBIv2:G1:32297909:32305182:-1 gene:PRUPE_1G345100 transcript:ONI32028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAWLPQEVKPSVSQSPVSVSPAGGASTQTSASLASSVGLHTSNSSGGSTTDSIQEPLQAKFSNAPSFAVPASSFSYGVPPNANISFGASQQSSPGSAIQSNPPASPRVQPPVPGLSSSASPSFSYNIPKSGFSFPNNQQFQSGMNIPPAVAQETGNVSLSSTSSHSGSLPAPTSSSSTMNLSSAPNMGTTTSWVPTGPSFNLTSGMPGTPGTPGPPGIAHPVQISFNPTAPSAPIDSSSVALRPSMQIAPVASSAVQPQVGAPYLSLSSMGAPPQGVWLQSPQIGGFPRPPFLPYPAAFPGPFPLPAHVMPLPSVPLPDSQPPGVIPVGNTAAISSPSAASGHQLAGSSGIQIELPHPGIGNENRASVNEQLDAWTAHKTETGVVYYYNALTGESTYDKPPGFKEEPDKVSMQPTPVSTVNLSGTDWVLVTTSDGKKFYHNGKTKVSSWQIPNEVIELRKKQDADVPKEHPVSIPINNVMTEKGSAPISLTAPAINTGGREAMAFKPSAVQGTSSALDLIKKKLQDSGAPVTSSPVPAPSESNGSRGVESTPKGQQSDNSKDKLKDINGDGNLSDSSSDSEDADSGPTKEECITQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDHKTDYQSFRKKWANDPRFEALDRKDREHLLNERVLPLKRAAEEKAQAVRAAAATSFKSMLQEKGDITVSSRWSRVKDSLRNDPRYKSLRHEDREILFNQYISDLKAVEEEAEREAKAKRDEQEKLRERERELRKRKEREEQETERVRLKVRRKEAVATFQALLVETIKDPQASWTGSKPKLEKDPQRRAANPDLEPSDMEKLFREHIKRLNERCAHEFRALLAEVLTAEAASQETEDGKTVLNSWSTAKRLLKPDPRYNKMARKEREVLWRRFSEEMLRKQKSALDHKEDRKTDAKSRSSVDSGRVPFGSRGTHDRR >ONI32030 pep chromosome:Prunus_persica_NCBIv2:G1:32297909:32305182:-1 gene:PRUPE_1G345100 transcript:ONI32030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAWLPQEVKPSVSQSPVSVSPAGGASTQTSASLASSVGLHTSNSSGGSTTDSIQEPLQAKFSNAPSFAVPASSFSYGVPPNANISFGASQQSSPGSAIQSNPPASPRVQPPVPGLSSSASPSFSYNIPKSGFSFPNNQQFQSGMNIPPAVAQETGNVSLSSTSSHSGSLPAPTSSSSTMNLSSAPNMGTTTSWVPTGPSFNLTSGMPGTPGTPGPPGIAHPVQISFNPTAPSAPIDSSSVALRPSMQIAPVASSAVQPQVGAPYLSLSSMGAPPQGVWLQSPQIGGFPRPPFLPYPAAFPGPFPLPAHVMPLPSVPLPDSQPPGVIPVGNTAAISSPSAASGHQLAGSSGIQIELPHPGIDNRKQFHDAGNENRASVNEQLDAWTAHKTETGVVYYYNALTGESTYDKPPGFKEEPDKVSMQPTPVSTVNLSGTDWVLVTTSDGKKFYHNGKTKVSSWQIPNEVIELRKKQDADVPKEHPVSIPINNVMTEKGSAPISLTAPAINTGGREAMAFKPSAVQGTSSALDLIKKKLQDSGAPVTSSPVPAPSESNGSRGVESTPKGQQSDNSKDKLKDINGDGNLSDSSSDSEDADSGPTKEECITQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDHKTDYQSFRKKWANDPRFEALDRKDREHLLNERVLPLKRAAEEKAQAVRAAAATSFKSMLQEKGDITVSSRWSRVKDSLRNDPRYKSLRHEDREILFNQYISDLKAVEEEAEREAKAKRDEQEKLRERERELRKRKEREEQETERVRLKVRRKEAVATFQALLVETIKDPQASWTGSKPKLEKDPQRRAANPDLEPSDMEKLFREHIKRLNERCAHEFRALLAEVLTAEAASQETEDGKTVLNSWSTAKRLLKPDPRYNKMARKEREVLWRRFSEEMLRKQKSALDHKEDRKTDAKSRSSVDSGRVPFGSRGTHDRR >ONI32029 pep chromosome:Prunus_persica_NCBIv2:G1:32297623:32306423:-1 gene:PRUPE_1G345100 transcript:ONI32029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAWLPQEVKPSVSQSPVSVSPAGGASTQTSASLASSVGLHTSNSSGGSTTDSIQEPLQAKFSNAPSFAVPASSFSYGVPPNANISFGASQQSSPGSAIQSNPPASPRVQPPVPGLSSSASPSFSYNIPKSGFSFPNNQQFQSGMNIPPAVAQETGNVSLSSTSSHSGSLPAPTSSSSTMNLSSAPNMGTTTSWVPTGPSFNLTSGMPGTPGTPGPPGIAHPVQISFNPTAPSAPIDSSSVALRPSMQIAPVASSAVQPQVGAPYLSLSSMGAPPQGVWLQSPQIGGFPRPPFLPYPAAFPGPFPLPAHVMPLPSVPLPDSQPPGVIPVGNTAAISSPSAASGHQLAGSSGIQIELPHPGIDNRKQFHDAGNENRASVNEQLDAWTAHKTETGVVYYYNALTGESTYDKPPGFKEEPDKVSMQPTPVSTVNLSGTDWVLVTTSDGKKFYHNGKTKVSSWQIPNEVIELRKKQDADVPKEHPVSIPINNVMTEKGSAPISLTAPAINTGGREAMAFKPSAVQGTSSALDLIKKKLQDSGAPVTSSPVPAPSESNGSRGVESTPKGQQSDNSKDKLKDINGDGNLSDSSSDSEDADSGPTKEECITQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDHKTDYQSFRKKWANDPRFEALDRKDREHLLNERVLPLKRAAEEKAQAVRAAAATSFKSMLQEKGDITVSSRWSREKLRERERELRKRKEREEQETERVRLKVRRKEAVATFQALLVETIKDPQASWTGSKPKLEKDPQRRAANPDLEPSDMEKLFREHIKRLNERCAHEFRALLAEVLTAEAASQETEDGKTVLNSWSTAKRLLKPDPRYNKMARKEREVLWRRFSEEMLRKQKSALDHKEDRKTDAKSRSSVDSGRVPFGSRGTHDRR >ONI32032 pep chromosome:Prunus_persica_NCBIv2:G1:32297909:32304986:-1 gene:PRUPE_1G345100 transcript:ONI32032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPASRFLHLHSLTVCLPMQTSVLEPLSSHHLAIQSNPPASPRVQPPVPGLSSSASPSFSYNIPKSGFSFPNNQQFQSGMNIPPAVAQETGNVSLSSTSSHSGSLPAPTSSSSTMNLSSAPNMGTTTSWVPTGPSFNLTSGMPGTPGTPGPPGIAHPVQISFNPTAPSAPIDSSSVALRPSMQIAPVASSAVQPQVGAPYLSLSSMGAPPQGVWLQSPQIGGFPRPPFLPYPAAFPGPFPLPAHVMPLPSVPLPDSQPPGVIPVGNTAAISSPSAASGHQLAGSSGIQIELPHPGIDNRKQFHDAGNENRASVNEQLDAWTAHKTETGVVYYYNALTGESTYDKPPGFKEEPDKVSMQPTPVSTVNLSGTDWVLVTTSDGKKFYHNGKTKVSSWQIPNEVIELRKKQDADVPKEHPVSIPINNVMTEKGSAPISLTAPAINTGGREAMAFKPSAVQGTSSALDLIKKKLQDSGAPVTSSPVPAPSESNGSRGVESTPKGQQSDNSKDKLKDINGDGNLSDSSSDSEDADSGPTKEECITQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDHKTDYQSFRKKWANDPRFEALDRKDREHLLNERVLPLKRAAEEKAQAVRAAAATSFKSMLQEKGDITVSSRWSRVKDSLRNDPRYKSLRHEDREILFNQYISDLKAVEEEAEREAKAKRDEQEKLRERERELRKRKEREEQETERVRLKVRRKEAVATFQALLVETIKDPQASWTGSKPKLEKDPQRRAANPDLEPSDMEKLFREHIKRLNERCAHEFRALLAEVLTAEAASQETEDGKTVLNSWSTAKRLLKPDPRYNKMARKEREVLWRRFSEEMLRKQKSALDHKEDRKTDAKSRSSVDSGRVPFGSRGTHDRR >ONI32031 pep chromosome:Prunus_persica_NCBIv2:G1:32297623:32306423:-1 gene:PRUPE_1G345100 transcript:ONI32031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPASRFLHLHSLTVCLPMQTSVLEPLSSHHLAIQSNPPASPRVQPPVPGLSSSASPSFSYNIPKSGFSFPNNQQFQSGMNIPPAVAQETGNVSLSSTSSHSGSLPAPTSSSSTMNLSSAPNMGTTTSWVPTGPSFNLTSGMPGTPGTPGPPGIAHPVQISFNPTAPSAPIDSSSVALRPSMQIAPVASSAVQPQVGAPYLSLSSMGAPPQGVWLQSPQIGGFPRPPFLPYPAAFPGPFPLPAHVMPLPSVPLPDSQPPGVIPVGNTAAISSPSAASGHQLAGSSGIQIELPHPGIGNENRASVNEQLDAWTAHKTETGVVYYYNALTGESTYDKPPGFKEEPDKVSMQPTPVSTVNLSGTDWVLVTTSDGKKFYHNGKTKVSSWQIPNEVIELRKKQDADVPKEHPVSIPINNVMTEKGSAPISLTAPAINTGGREAMAFKPSAVQGTSSALDLIKKKLQDSGAPVTSSPVPAPSESNGSRGVESTPKGQQSDNSKDKLKDINGDGNLSDSSSDSEDADSGPTKEECITQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDHKTDYQSFRKKWANDPRFEALDRKDREHLLNERVLPLKRAAEEKAQAVRAAAATSFKSMLQEKGDITVSSRWSRVKDSLRNDPRYKSLRHEDREILFNQYISDLKAVEEEAEREAKAKRDEQEKLRERERELRKRKEREEQETERVRLKVRRKEAVATFQALLVETIKDPQASWTGSKPKLEKDPQRRAANPDLEPSDMEKLFREHIKRLNERCAHEFRALLAEVLTAEAASQETEDGKTVLNSWSTAKRLLKPDPRYNKMARKEREVLWRRFSEEMLRKQKSALDHKEDRKTDAKSRSSVDSGRVPFGSRGTHDRR >ONI32253 pep chromosome:Prunus_persica_NCBIv2:G1:33046747:33049864:-1 gene:PRUPE_1G356700 transcript:ONI32253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEKSSSGSRGKPIRCRAAVSRKPGEPLVMEEIIVAPPMPHEVRIRIICTSLCHSDIIFWKMKDFPGIFPRILGHEAIGIVESVGEDVNEVTEGDTVIPTFMSQCGECADCRSTKSNLCTKLPFKVSPFMPRYETSRFTDLNGEVLYHFLFVSSFTEYTVVDIAHVTKIDPAVTPSRACLLGCGISTGVGAAWRTANVEEGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDVNQQKFEIGKKFGLTDFVDPANCENKSVSQVIIEMTGGGADYCFECVGLASLVQEAYACCRKGWGKTVVLGVDKPASLVSLPSQEILHGGKNLMGSLFGGLKPKSDIPLLLNRYMDKELQLDEFVTHEVRFEDINKAFDLLIEGQCLRCVISMCNE >ONI32255 pep chromosome:Prunus_persica_NCBIv2:G1:33046747:33049193:-1 gene:PRUPE_1G356700 transcript:ONI32255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIIVAPPMPHEVRIRIICTSLCHSDIIFWKMKDFPGIFPRILGHEAIGIVESVGEDVNEVTEGDTVIPTFMSQCGECADCRSTKSNLCTKLPFKVSPFMPRYETSRFTDLNGEVLYHFLFVSSFTEYTVVDIAHVTKIDPAVTPSRACLLGCGISTGVGAAWRTANVEEGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDVNQQKFEIGKKFGLTDFVDPANCENKSVSQVIIEMTGGGADYCFECVGLASLVQEAYACCRKGWGKTVVLGVDKPASLVSLPSQEILHGGKNLMGSLFGGLKPKSDIPLLLNRYMDKELQLDEFVTHEVRFEDINKAFDLLIEGQCLRCVISMCNE >ONI32252 pep chromosome:Prunus_persica_NCBIv2:G1:33046970:33049376:-1 gene:PRUPE_1G356700 transcript:ONI32252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEKSSSGSRGKPIRCRAAVSRKPGEPLVMEEIIVAPPMPHEVRIRIICTSLCHSDIIFWKMKDFPGIFPRILGHEAIGIVESVGEDVNEVTEGDTVIPTFMSQCGECADCRSTKSNLCTKLPFKVSPFMPRYETSRFTDLNGEVLYHFLFVSSFTEYTVVDIAHVTKIDPAVTPSRACLLGCGISTGVGAAWRTANVEEGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDVNQQKFEIGKKFGLTDFVDPANCENKSVSQVIIEMTGGGADYCFECVGLASLVQEAYACCRKGWGKTVVLGVDKPASLVSLPSQEILHGGKNLMGSLFGGLKPKSDIPLLLNRYMDKELQLDEFVTHEVRFEDINKAFDLLIEGQCLRCVISMCNE >ONI32256 pep chromosome:Prunus_persica_NCBIv2:G1:33046747:33048756:-1 gene:PRUPE_1G356700 transcript:ONI32256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCGECADCRSTKSNLCTKLPFKVSPFMPRYETSRFTDLNGEVLYHFLFVSSFTEYTVVDIAHVTKIDPAVTPSRACLLGCGISTGVGAAWRTANVEEGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDVNQQKFEIGKKFGLTDFVDPANCENKSVSQVIIEMTGGGADYCFECVGLASLVQEAYACCRKGWGKTVVLGVDKPASLVSLPSQEILHGGKNLMGSLFGGLKPKSDIPLLLNRYMDKELQLDEFVTHEVRFEDINKAFDLLIEGQCLRCVISMCNE >ONI32254 pep chromosome:Prunus_persica_NCBIv2:G1:33046970:33049376:-1 gene:PRUPE_1G356700 transcript:ONI32254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEKSSSGSRGKPIRCRAAVSRKPGEPLVMEEIIVAPPMPHEVRIRIICTSLCHSDIIFWKMKDFPGIFPRILGHEAIGIVESVGEDVNEVTEGDTVIPTFMSQCGECADCRSTKSNLCTKLPFKVSPFMPRYETSRFTDLNGEVLYHFLFVSSFTEYTVVDIAHVTKIDPAVTPSRACLLGCGISTGVGAAWRTANVEEGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDVNQQKFEIGKKFGLTDFVDPANCENKSVSQVIIEMTGGGADYCFECVGLASLVQEAYACCRKGWGKTVVLGVDKPASLVSLPSQEILHGGKNLMGSLFGGLKPKSDIPLLLNRYMDKELQLDEFVTHEVRFEDINKAFDLLIEGQCLRCVISMCNE >ONI35773 pep chromosome:Prunus_persica_NCBIv2:G1:45281600:45284475:1 gene:PRUPE_1G554400 transcript:ONI35773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDTIVLYAAPGMGHIISMVELGKLILNRYPKKFSITILYTCGSVVDTPSIPAYIHRISLAHPSMSFRQFPRVQNENTSLIGRSGAAIMFDFIRRNDPHVRLALLEISKSSAIRAFIIDIFCSTALPMAKELGIPTYYFYTSGAAALAAFLYFPKIGEQTTKSFKDLTETVFEFPGFKSPLKASHMPEPMLDRDDPAYWDMLYFCSHLPKSNGIIANTFEELEPPAVLKAIAGGLCVPDAPTPPVFYIGPLIAEEKQSGDAAEAEVCLSWLDKQPSRSVVFLCFGSRGSFAGAQLKEMADGLEKSGQRFLWVVKKPPLDEKTKQVHGVHDFDLEGLLPEGFLERTKDRGLVVKSWAPQVAVLKKESVGGFVTHCGWNSVLEAVIAGVSMVAWPLYAEQHMNRSVLVKEMEMAIAVEQREEDGFVFGDELERRVRELMESEKGRELRERSRKMGEMASAAWGESGSSTRNLVNFVNSIT >ONI31070 pep chromosome:Prunus_persica_NCBIv2:G1:28899726:28904912:-1 gene:PRUPE_1G290200 transcript:ONI31070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSFGSEGKGFLFPEEIDIQIDAFARSRKALLEWDNKTCLNFGKESVDGTEFMELDLPDIVRKPFHTNQGVEILSGVVGNDSSYNSVASPTCLISSSSSLGEGESGSKFSSPVTESNSQDSSLIALKLGRLTDSKNGQNGQHAKERSKRGGIKGSYSHIPTCQVLGCNMDLSSSKDYHKRHRVCDAHSKTPIVIVNGMEQRFCQQCSRFHLLAEFDDVKRSCRRRLAGHNERRRKPQLDTLNCKPHKLLRSYQGTGYVGTSFAKRTPFVFSDILPGGILYPEEYAHANQYGNVKCEDESTYSQCVTPIANRQMLPKSVLGKQHAPGIPSSGNEYSTFDAASTIQKASGSSNSCCALSLLSTQSHNLSGHSAGVQVPSPSIIQQSTHHSVGQLYEKPSRVNSMEKCGQSGSYLHIMNSMGVDQMESVIDSSQAVDFQLHTDGGFQESHCLSTKYCLSPEHGTTFDLLQLSSHLQRVEHERNFLQVKQEHGEYYCFPTA >ONI31068 pep chromosome:Prunus_persica_NCBIv2:G1:28899647:28904912:-1 gene:PRUPE_1G290200 transcript:ONI31068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLSHSVSASTSPKPENKILPLSLSPCLCLSHSLRAFIVSSEGKGFLFPEEIDIQIDAFARSRKALLEWDNKTCLNFGKESVDGTEFMELDLPDIVRKPFHTNQGVEILSGVVGNDSSYNSVASPTCLISSSSSLGEGESGSKFSSPVTESNSQDSSLIALKLGRLTDSKNGQNGQHAKERSKRGGIKGSYSHIPTCQVLGCNMDLSSSKDYHKRHRVCDAHSKTPIVIVNGMEQRFCQQCSRFHLLAEFDDVKRSCRRRLAGHNERRRKPQLDTLNCKPHKLLRSYQGTGYVGTSFAKRTPFVFSDILPGGILYPEEYAHANQYGNVKCEDESTYSQCVTPIANRQMLPKSVLGKQHAPGIPSSGNEYSTFDAASTIQKASGSSNSCCALSLLSTQSHNLSGHSAGVQVPSPSIIQQSTHHSVGQLYEKPSRVNSMEKCGQSGSYLHIMNSMGVDQMESVIDSSQAVDFQLHTDGGFQESHCLSTKYCLSPEHGTTFDLLQLSSHLQRVEHERNFLQVKQEHGEYYCFPTA >ONI31072 pep chromosome:Prunus_persica_NCBIv2:G1:28900476:28903712:-1 gene:PRUPE_1G290200 transcript:ONI31072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSFGSEGKGFLFPEEIDIQIDAFARSRKALLEWDNKTCLNFGKESVDGTEFMELDLPDIVRKPFHTNQGVEILSGVVGNDSSYNSVASPTCLISSSSSLGEGESGSKFSSPVTESNSQDSSLIALKLGRLTDSKNGQNGQHAKERSKRGGIKGSYSHIPTCQVLGCNMDLSSSKDYHKRHRVCDAHSKTPIVIVNGMEQRFCQQCSRFHLLAEFDDVKRSCRRRLAGHNERRRKPQLDTLNCKPHKLLRSYQGTGYVGTSFAKRTPFVFSDILPGGILYPEEYAHANQYGNVKCEDESTYSQCVTPIANRQMLPKSVLGKQHAPGIPSSGNEYSTFDAASTIQKASGSSNSCCALSLLSTQSHNLSGHSAGVQVPSPSIIQQSTHHSVGQLYEKPSRVNSMEKCGQSGSYLHIMNSMGVDQMESVIDSSQAVDFQLHTDGGFQESHCLSTKYCLSPEHGTTFDLLQLSSHLQRVEHERNFLQVKQEHGEYYCFPTA >ONI31074 pep chromosome:Prunus_persica_NCBIv2:G1:28900476:28903712:-1 gene:PRUPE_1G290200 transcript:ONI31074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSFGSEGKGFLFPEEIDIQIDAFARSRKALLEWDNKTCLNFGKESVDGTEFMELDLPDIVRKPFHTNQGVEILSGVVGNDSSYNSVASPTCLISSSSSLGEGESGSKFSSPVTESNSQDSSLIALKLGRLTDSKNGQNGQHAKERSKRGGIKGSYSHIPTCQVLGCNMDLSSSKDYHKRHRVCDAHSKTPIVIVNGMEQRFCQQCSRFHLLAEFDDVKRSCRRRLAGHNERRRKPQLDTLNCKPHKLLRSYQGTGYVGTSFAKRTPFVFSDILPGGILYPEEYAHANQYGNVKCEDESTYSQCVTPIANRQMLPKSVLGKQHAPGIPSSGNEYSTFDAASTIQKASGSSNSCCALSLLSTQSHNLSGHSAGVQVPSPSIIQQSTHHSVGQLYEKPSRVNSMEKCGQSGSYLHIMNSMGVDQMESVIDSSQAVDFQLHTDGGFQESHCLSTKYCLSPEHGTTFDLLQLSSHLQRVEHERNFLQVKQEHGEYYCFPTA >ONI31071 pep chromosome:Prunus_persica_NCBIv2:G1:28900231:28904912:-1 gene:PRUPE_1G290200 transcript:ONI31071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSFGSEGKGFLFPEEIDIQIDAFARSRKALLEWDNKTCLNFGKESVDGTEFMELDLPDIVRKPFHTNQGVEILSGVVGNDSSYNSVASPTCLISSSSSLGEGESGSKFSSPVTESNSQDSSLIALKLGRLTDSKNGQNGQHAKERSKRGGIKGSYSHIPTCQVLGCNMDLSSSKDYHKRHRVCDAHSKTPIVIVNGMEQRFCQQCSRFHLLAEFDDVKRSCRRRLAGHNERRRKPQLDTLNCKPHKLLRSYQGTGYVGTSFAKRTPFVFSDILPGGILYPEEYAHANQYGNVKCEDESTYSQCVTPIANRQMLPKSVLGKQHAPGIPSSGNEYSTFDAASTIQKASGSSNSCCALSLLSTQSHNLSGHSAGVQVPSPSIIQQSTHHSVGQLYEKPSRVNSMEKCGQSGSYLHIMNSMGVDQMESVIDSSQAVDFQLHTDGGFQESHCLSTKYCLSPEHGTTFDLLQLSSHLQRVEHERNFLQVKQEHGEYYCFPTA >ONI31069 pep chromosome:Prunus_persica_NCBIv2:G1:28900476:28903712:-1 gene:PRUPE_1G290200 transcript:ONI31069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSFGSEGKGFLFPEEIDIQIDAFARSRKALLEWDNKTCLNFGKESVDGTEFMELDLPDIVRKPFHTNQGVEILSGVVGNDSSYNSVASPTCLISSSSSLGEGESGSKFSSPVTESNSQDSSLIALKLGRLTDSKNGQNGQHAKERSKRGGIKGSYSHIPTCQVLGCNMDLSSSKDYHKRHRVCDAHSKTPIVIVNGMEQRFCQQCSRFHLLAEFDDVKRSCRRRLAGHNERRRKPQLDTLNCKPHKLLRSYQGTGYVGTSFAKRTPFVFSDILPGGILYPEEYAHANQYGNVKCEDESTYSQCVTPIANRQMLPKSVLGKQHAPGIPSSGNEYSTFDAASTIQKASGSSNSCCALSLLSTQSHNLSGHSAGVQVPSPSIIQQSTHHSVGQLYEKPSRVNSMEKCGQSGSYLHIMNSMGVDQMESVIDSSQAVDFQLHTDGGFQESHCLSTKYCLSPEHGTTFDLLQLSSHLQRVEHERNFLQVKQEHGEYYCFPTA >ONI31073 pep chromosome:Prunus_persica_NCBIv2:G1:28900201:28904912:-1 gene:PRUPE_1G290200 transcript:ONI31073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSFGSEGKGFLFPEEIDIQIDAFARSRKALLEWDNKTCLNFGKESVDGTEFMELDLPDIVRKPFHTNQGVEILSGVVGNDSSYNSVASPTCLISSSSSLGEGESGSKFSSPVTESNSQDSSLIALKLGRLTDSKNGQNGQHAKERSKRGGIKGSYSHIPTCQVLGCNMDLSSSKDYHKRHRVCDAHSKTPIVIVNGMEQRFCQQCSRFHLLAEFDDVKRSCRRRLAGHNERRRKPQLDTLNCKPHKLLRSYQGTGYVGTSFAKRTPFVFSDILPGGILYPEEYAHANQYGNVKCEDESTYSQCVTPIANRQMLPKSVLGKQHAPGIPSSGNEYSTFDAASTIQKASGSSNSCCALSLLSTQSHNLSGHSAGVQVPSPSIIQQSTHHSVGQLYEKPSRVNSMEKCGQSGSYLHIMNSMGVDQMESVIDSSQAVDFQLHTDGGFQESHCLSTKYCLSPEHGTTFDLLQLSSHLQRVEHERNFLQVKQEHGEYYCFPTA >ONI31376 pep chromosome:Prunus_persica_NCBIv2:G1:30061119:30065558:-1 gene:PRUPE_1G309000 transcript:ONI31376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKSLDTSPHKSPDLGGSVLDDKDSCKLGIEIHKDTVLRKLGHHATEFLWLGSPWTCRKKRRHYQSFSRNGVVVSVHDFVFVLAEEDKRLVAYLEDMYEDSRGNKMVVVQWFHKVDEVGIVLPHNFNDREIFFSLCLQDLSIECIDGLATVLSPQHFEKFHKEATQTHLEPFVCHKQFDNDDCKPFDITQVKGYWKQEILRYMCTASPSKVHGSSQQSTEGLEMEENDDANGIRPKKRHHSIKGDDICLENNVRRESLDAVCVDVGNLSKHGTDCRSKSEACGFGRGSADATLPSKEVKKTLLQQLALGSQVEVLSQDSGIRGCWFRALIIKKHKEKVKVQYQDVQDAADEANKLEEWVLASRVAASDQLGFRICGRPIIRPSPESNKCKVSWAVDVGTAVDVWWHDGWWEGIVVKKECDERLRVYFPGEKRVLIVGRGELRHSQEWLGNGWAQIKDKPELVSSITCSLETKQVVGKCLDGMSAQSAICDSASKKDETGCKVSCLLYKKDKDEKVKELGKVPDLLKDDVLAQLKWKSSRKRRRNWSSVQKVHCIVGDSKSTRGLVGSGTCERFMIPSSLKVDHENCKYLGDSLFGSSVAPQLTSLVM >ONI31375 pep chromosome:Prunus_persica_NCBIv2:G1:30061117:30065571:-1 gene:PRUPE_1G309000 transcript:ONI31375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLADAATTAFGFVRWDEVFVSSDKGRREVHYYLKRSDGSSDLAVVGKEKSLRHMSYHYAFRNRSLFSMSSLVKLKSRREVVDWLNSVVSDTSPHKSPDLGGSVLDDKDSCKLGIEIHKDTVLRKLGHHATEFLWLGSPWTCRKKRRHYQSFSRNGVVVSVHDFVFVLAEEDKRLVAYLEDMYEDSRGNKMVVVQWFHKVDEVGIVLPHNFNDREIFFSLCLQDLSIECIDGLATVLSPQHFEKFHKEATQTHLEPFVCHKQFDNDDCKPFDITQVKGYWKQEILRYMCTASPSKVHGSSQQSTEGLEMEENDDANGIRPKKRHHSIKGDDICLENNVRRESLDAVCVDVGNLSKHGTDCRSKSEACGFGRGSADATLPSKEVKKTLLQQLALGSQVEVLSQDSGIRGCWFRALIIKKHKEKVKVQYQDVQDAADEANKLEEWVLASRVAASDQLGFRICGRPIIRPSPESNKCKVSWAVDVGTAVDVWWHDGWWEGIVVKKECDERLRVYFPGEKRVLIVGRGELRHSQEWLGNGWAQIKDKPELVSSITCSLETKQVVGKCLDGMSAQSAICDSASKKDETGCKVSCLLYKKDKDEKVKELGKVPDLLKDDVLAQLKWKSSRKRRRNWSSVQKVHCIVGDSKSTRGLVGSGTCERFMIPSSLKVDHENCKYLGDSLFGSSVAPQLTSLVM >ONI31377 pep chromosome:Prunus_persica_NCBIv2:G1:30061143:30065500:-1 gene:PRUPE_1G309000 transcript:ONI31377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKSLDTSPHKSPDLGGSVLDDKDSCKLGIEIHKDTVLRKLGHHATEFLWLGSPWTCRKKRRHYQSFSRNGVVVSVHDFVFVLAEEDKRLVAYLEDMYEDSRGNKMVVVQWFHKVDEVGIVLPHNFNDREIFFSLCLQDLSIECIDGLATVLSPQHFEKFHKEATQTHLEPFVCHKQFDNDDCKPFDITQVKGYWKQEILRYMCTASPSKVHGSSQQSTEGLEMEENDDANGIRPKKRHHSIKGDDICLENNVRRESLDAVCVDVGNLSKHGTDCRSKSEACGFGRGSADATLPSKEVKKTLLQQLALGSQVEVLSQDSGIRGCWFRALIIKKHKEKVKVQYQDVQDAADEANKLEEWVLASRVAASDQLGFRICGRPIIRPSPESNKCKVSWAVDVGTAVDVWWHDGWWEGIVVKKECDERLRVYFPGEKRVLIVGRGELRHSQEWLGNGWAQIKDKPELVSSITCSLETKQVVGKCLDGMSAQSAICDSASKKDETGCKVSCLLYKKDKDEKVKELGKVPDLLKDDVLAQLKWKSSRKRRRNWSSVQKVHCIVGDSKSTRGLVGSGTCERFMIPSSLKVDHENCKYLGDSLFGSSVAPQLTSLVM >ONI27875 pep chromosome:Prunus_persica_NCBIv2:G1:8710179:8711721:-1 gene:PRUPE_1G108900 transcript:ONI27875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIATVTNFQIFTKKIMWSHSQSKRLITLLSLLLHFLPALTLSPCRTTCGPIPVNYPFGLDDGCGAPQFRGMLNCSTDLFFTTPSGNYKVQSIDYDKKNLVVYDPAMSTCSILQPHHDFLLTPIQSAIIPPTSDTVFALLNCSVDSPVLNHYKSLCFNFSGHSCDELYNSCTAFRLFRLGMGLSNGTNSTGSTNFVPPCCFTGYDTVKFMSMNILDCTHYTSVTNTDRLRGLGPLDWIYGIKLSFAVPDTGCERCAQSGGTCGFDTETQVSLCLCSTFTNSTRECAAGSDTAEGSHCAPAILFQAFFVIMGALLICLHDFYLSFI >ONI30638 pep chromosome:Prunus_persica_NCBIv2:G1:27255936:27260053:1 gene:PRUPE_1G263900 transcript:ONI30638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISIGQRQRPKIKFLKTKKWHAVRKQLQFTQVDMEHFGEKMELLKFSKFKLQLRTLITEVRELREKERSATEQLRLLIQKQKQTEEEYGRKLQEAQAELASSNELRQKLEREARYLQNDNSMLENKQKELKGTIQSLLQSRDTFVNAYEESTCEMKRSIQCRDRKLSVLSEKIKYHLLLFDSIEKEAMSVKKVVDNVQRIVSEKEEVVAGLKSKLDTVSTFEKVFIERICDLESKLNNYEGETRRKDRVISELEALMEAAKICNNSHTQIEELQKIISSKDVVIQNLMSEKQALHFEVGSLGIILRKIQDTVRSMNEEDKGVISSMLERQGGCNMILTKEDNRIEDAVNNNAEKSQEKAYGTGVGGNTSFSCSPISQKYKSAGNDLQENNNFDSCVSEFNCSSPQSASSKPQSGATLPSASVNDRKDNCTTSMHIDSECSTTQD >ONI30640 pep chromosome:Prunus_persica_NCBIv2:G1:27256090:27259315:1 gene:PRUPE_1G263900 transcript:ONI30640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISIGQRQRPKIKFLKTKKWHAVRKQLQFTQVDMEHFGEKMELLKFSKFKLQLRTLITEVRELREKERSATEQLRLLIQKQKQTEEEYGRKLQEAQAELASSNELRQKLEREARYLQNDNSMLENKQKELKGTIQSLLQSRDTFVNAYEESTCEMKRSIQCRDRKLSVLSEKIKYHLLLFDSIEKEAMSVKKVVDNVQRIVSEKEEVVAGLKSKLDTVSTFEKVFIERICDLESKLNNYEGETRRKDRVISELEALMEAAKICNNSHTQIEELQKIISSKDVVIQNLMSEKQALHFEVGSLGIILRKIQDTVRSMNEEDKGVISSMLERQGGCNMILTKEDNRIEDAVNNNAEKSQEKAYGTGVGGNTSFSCSPISQKYKSAGNDLQENNNFDSCVSEASEFTVGFLKTSIRSYSSKCLRK >ONI30642 pep chromosome:Prunus_persica_NCBIv2:G1:27255983:27260123:1 gene:PRUPE_1G263900 transcript:ONI30642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISIGQRQRPKIKFLKTKKWHAVRKQLQFTQVDMEHFGEKMELLKFSKFKLQLRTLITEVRELREKERSATEQLRLLIQKQKQTEEEYGRKLQEAQAELASSNELRQKLEREARYLQNDNSMLENKQKELKGTIQSLLQSRDTFVNAYEESTCEMKRSIQCRDRKLSVLSEKIKYHLLLFDSIEKEAMSVKKVVDNVQRIVSEKEEVVAGLKSKLDTVSTFEKVFIERICDLESKLNNYEGETRRKDRVISELEALMEAAKICNNSHTQIEELQKIISSKDVVIQNLMSEKQALHFEVGSLGIILRKIQDTVRSMNEED >ONI30639 pep chromosome:Prunus_persica_NCBIv2:G1:27255982:27260060:1 gene:PRUPE_1G263900 transcript:ONI30639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISIGQRQRPKIKFLKTKKWHAVRKQLQFTQVDMEHFGEKMELLKFSKFKLQLRTLITEVRELREKERSATEQLRLLIQKQKQTEEEYGRKLQEAQAELASSNELRQKLEREARYLQNDNSMLENKQKELKGTIQSLLQSRDTFVNAYEESTCEMKRSIQCRDRKLSVLSEKIKYHLLLFDSIEKEAMSVKKVVDNVQRIVSEKEEVVAGLKSKLDTVSTFEKVFIERICDLESKLNNYEGETRRKDRVISELEALMEAAKICNNSHTQIEELQKIISSKDVVIQNLMSEKQALHFEVGSLGIILRKIQDTVRSMNEEDKGVISSMLERQGGCNMILTKEDNRIEDAVNNNAEKSQEKAYGTGVGGNTSFSCSPISQKYKSAGNDLQENNNFDSCVSESASSKPQSGATLPSASVNDRKDNCTTSMHIDSECSTTQD >ONI30641 pep chromosome:Prunus_persica_NCBIv2:G1:27255936:27260053:1 gene:PRUPE_1G263900 transcript:ONI30641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISIGQRQRPKIKFLKTKKWHAVRKQLQFTQVDMEHFGEKMELLKFSKFKLQLRTLITEVRELREKERSATEQLRLLIQKQKQTEEEYGRKLQEAQAELASSNELRQKLEREARYLQNDNSMLENKQKELKGTIQSLLQSRDTFVNAYEESTCEMKRSIQCRDRKLSVLSEKIKYHLLLFDSIEKEAMSVKKVVDNVQRIVSEKEEVVAGLKSKLDTVSTFEKVFIERICDLESKLNNYEGETRRKDRVISELEALMEAAKICNNSHTQIEELQKIISSKDVVIQNLMSEKQALHFEVGSLGIILRKIQDTVRSMNEED >ONI30637 pep chromosome:Prunus_persica_NCBIv2:G1:27255983:27262384:1 gene:PRUPE_1G263900 transcript:ONI30637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISIGQRQRPKIKFLKTKKWHAVRKQLQFTQVDMEHFGEKMELLKFSKFKLQLRTLITEVRELREKERSATEQLRLLIQKQKQTEEEYGRKLQEAQAELASSNELRQKLEREARYLQNDNSMLENKQKELKGTIQSLLQSRDTFVNAYEESTCEMKRSIQCRDRKLSVLSEKIKYHLLLFDSIEKEAMSVKKVVDNVQRIVSEKEEVVAGLKSKLDTVSTFEKVFIERICDLESKLNNYEGETRRKDRVISELEALMEAAKICNNSHTQIEELQKIISSKDVVIQNLMSEKQALHFEVGSLGIILRKIQDTVRSMNEEDKGVISSMLERQGGCNMILTKEDNRIEDAVNNNAEKSQEKAYGTGVGGNTSFSCSPISQKYKSAGNDLQENNNFDSCVSEFNCSSPQSASSKPQSGATLPSASVNDRKDNCTTSMHIDSECSTTQD >ONI30556 pep chromosome:Prunus_persica_NCBIv2:G1:26807053:26808903:1 gene:PRUPE_1G258200 transcript:ONI30556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNLVSAWNKRRRSKSHDHTDPWIYKPVEYWQLDDQAPQPPKRHHHVSSIFTLKEMEEATCSFSEENLLGKGGFGRVYRGTLRSGEVVAIKKMELPPFKAAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKQRFLVYEYMQKGNLQDHLNGIGEGKMDWPQRLKVALGAARGLAYLHSSSDVGIPIVHRDFKSTNILLSANFEAKVSDFGLAKMMPEGQEIYVTAKVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRQAVDINLGPNDQNLVVQVGHILSPSYLFYWLSTLGRYKYDPSSYVSGKAHTE >ONI30555 pep chromosome:Prunus_persica_NCBIv2:G1:26806571:26809314:1 gene:PRUPE_1G258200 transcript:ONI30555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNLVSAWNKRRRSKSHDHTDPWIYKPVEYWQLDDQAPQPPKRHHHVSSIFTLKEMEEATCSFSEENLLGKGGFGRVYRGTLRSGEVVAIKKMELPPFKAAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKQRFLVYEYMQKGNLQDHLNGIGEGKMDWPQRLKVALGAARGLAYLHSSSDVGIPIVHRDFKSTNILLSANFEAKVSDFGLAKMMPEGQEIYVTAKVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRQAVDINLGPNDQNLVVQVRHILNDRKKLRKVIDSELGRSSYTMESIAMFANLASRCVRRESSERPSMAECVKELQLIIYTNAKGLGAATTPNKAMF >ONI30554 pep chromosome:Prunus_persica_NCBIv2:G1:26806571:26809549:1 gene:PRUPE_1G258200 transcript:ONI30554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNLVSAWNKRRRSKSHDHTDPWIYKPVEYWQLDDQAPQPPKRHHHVSSIFTLKEMEEATCSFSEENLLGKGGFGRVYRGTLRSGEVVAIKKMELPPFKAAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKQRFLVYEYMQKGNLQDHLNGIGEGKMDWPQRLKVALGAARGLAYLHSSSDVGIPIVHRDFKSTNILLSANFEAKVSDFGLAKMMPEGQEIYVTAKVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRQAVDINLGPNDQNLVVQVRHILNDRKKLRKVIDSELGRSSYTMESIAMFANLASRCVRRESSERPSMAECVKELQLIIYTNAKGLGAATTPNKAMF >ONI29091 pep chromosome:Prunus_persica_NCBIv2:G1:15745993:15758525:1 gene:PRUPE_1G180700 transcript:ONI29091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFCSHCRKKVSGINDGGRISCSGCGKVLEYDLYSDEPTFVKNAAGQSQLAGRNVRSIQSDDSASRARIFENAEYELRCMRNALDMGENEEIVDIAKRFFRIAVDRNFTRGRKSEQVLASCLYIACREKRKPYLLIDFSNYLKINVYVLGAVFLQLCKVFMLDEHPIVQKPVDPSWFIHKFTESLPGGTDKGVIQTAQRIITSMKRDWMQTGRKPSGLCGAALYISALSHGLKCSKSDIIRIVHVCDATLTKRLVEFENTESGSLTIEEFLVKARELEELSQEPNLAAKVDNTDEVLCEHKGSAKPFQYGLCRVCYDDFMQISGGLEGGSNPPAFQRAEIKRLEEASTEENANDSGADNIPCQSLDNSEEILNCEKEPNNSKAESGGEGLQFTEPESVGDDTLKTGVEVGTEDCDEPDNLSDIDDAEVDSYLLNEEGKRYKKMIWEEVNREYIEEQAAKEREAKAAGMDPNCPEDAKKVATAKKRKERQLARAAEARNDTEAQTAAEAVHQMLTKKRLSSKINFDALEDMFQTAEAPDNSKKKKESHPDIDGVMQHEEGLEFDAYKNDENEDDGNEGTVGYNILDPENVDEQYDYEDYGYGDDY >ONI27814 pep chromosome:Prunus_persica_NCBIv2:G1:8505856:8506968:-1 gene:PRUPE_1G106100 transcript:ONI27814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESLVPFGFRFRPSDEEIVGSFLYPFLVESKPFMSLYNNFLHACNLFGNKTEPSEIWKKYGGPQLVDTDLYFISKLKKLTPKRMDRRIGNGGTWSETESSKLVHEKVSGNPNPNPIGRKRKFRYENKGSEDHTGWLLDEYSLFDGPKNDYNQRSYDFDFVICRMRKNDRVGIKATNLKRGSQDKEEKKMTTNKKMKTDDQMGSTESSSQQGCSSSPIGGDLVGFDPIDLTIFEENTMADMEQLLGEAWSPSNSEDAVSYDVDPIGETQINFEKEENTMADMEQLLGEAWSPSKFENVVSHDVDPIGETQINFENEENTMADMEQLLGEAWSPSNFENVVSHDVDPIGETQSSQLSNWSQAILNQLLVGV >ONI26948 pep chromosome:Prunus_persica_NCBIv2:G1:4076340:4076732:1 gene:PRUPE_1G057300 transcript:ONI26948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIRNVLLIVPVLFLTMCHADSKTTVRTINNLGAEMTIHCKSKDDDLGTHVVPVGGSYEFSFRPNIFGRTLFFCSFAWGTEFHYFDIYKAKREFPPWHDRTWSILPSGPCMWNYESSQYDICYQWNKD >ONI28348 pep chromosome:Prunus_persica_NCBIv2:G1:10898295:10899742:1 gene:PRUPE_1G139200 transcript:ONI28348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKERGEELSEEEKRALRGSKFAPLPSLPPRSQPQPRLAHPGGPLTTNKAAALAKFLERKLQDPNGLDNINPHLVERAVQNAKRTVFASGASNSGITIRHVDSFGDYDFEDSLQQEENVENSEPKKLKKKIKKKKKSEKKKRQKIMEDSGCAVVKKPKKKKLGL >ONI28135 pep chromosome:Prunus_persica_NCBIv2:G1:9905861:9910214:1 gene:PRUPE_1G125700 transcript:ONI28135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLRELLKKSKCVGDHSSKMSEGTSLGMEDLSQDDIGTIEETAEDTILSRQTSVNLVPFVGQRFVSQEAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKPSEDGKMQRNRKSSRCGCQAYMRIVKRADFDVPEWRVTGFSNIHNHELLKSNEVRLLPAYCSISTDDKSRICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVDRDNDAIDLIAMCKKMKDENPNFKYEFKIDGHNRLEHIAWSYASSVQLYEAFGDTTVFDTTHRLDAYDMLLGIWLGVDNHGMTCFFGCVLLRDENIQSFSWALKTFLGFMKGKAPQTMLTDHNMWLKEAIAVDVPQSKHAFCIWHIVAKFSDWFSVLLGSRYDDWKAEFHRLFNLDFVEDFEERWREMVNEFGLHTNKHVISLYALRNFWALAYLRHYFFAEMMSTSQAESINAFIQRFLSAQSQLDRFVEQVADIVDYNDRVGAKQKMQRKMQRVCLKTGSPIESHAASVLTPYAFGKLQEELVLAPQYASLLVDEGCFQVRHHTEEDGGCKVVWIPCQGHISCSCYQFEFSGILCRHVLRVLSTNNCFHIPDQYLPTRWCGVSSSSTNTFETATIMKDNSEKIHLLESLASTLVTESVETEDRLDAACEQIGMALSRIKDLPRTTHNAIDIVYNCPSESLILQDVEEADGIIRFTVGNSHESVTLGKLKERRPRDIVEISRKRRHCSGPCCLSVQ >ONI28137 pep chromosome:Prunus_persica_NCBIv2:G1:9905927:9910211:1 gene:PRUPE_1G125700 transcript:ONI28137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTSLGMEDLSQDDIGTIEETAEDTILSRQTSVNLVPFVGQRFVSQEAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKPSEDGKMQRNRKSSRCGCQAYMRIVKRADFDVPEWRVTGFSNIHNHELLKSNEVRLLPAYCSISTDDKSRICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVDRDNDAIDLIAMCKKMKDENPNFKYEFKIDGHNRLEHIAWSYASSVQLYEAFGDTTVFDTTHRLDAYDMLLGIWLGVDNHGMTCFFGCVLLRDENIQSFSWALKTFLGFMKGKAPQTMLTDHNMWLKEAIAVDVPQSKHAFCIWHIVAKFSDWFSVLLGSRYDDWKAEFHRLFNLDFVEDFEERWREMVNEFGLHTNKHVISLYALRNFWALAYLRHYFFAEMMSTSQAESINAFIQRFLSAQSQLDRFVEQVADIVDYNDRVGAKQKMQRKMQRVCLKTGSPIESHAASVLTPYAFGKLQEELVLAPQYASLLVDEGCFQVRHHTEEDGGCKVVWIPCQGHISCSCYQFEFSGILCRHVLRVLSTNNCFHIPDQYLPTRWCGVSSSSTNTFETATIMKDNSEKIHLLESLASTLVTESVETEDRLDAACEQIGMALSRIKDLPRTTHNAIDIVYNCPSESLILQDVEEADGIIRFTVGNSHESVTLGKLKERRPRDIVEISRKRRHCSGPCCLSVQ >ONI28136 pep chromosome:Prunus_persica_NCBIv2:G1:9905927:9910211:1 gene:PRUPE_1G125700 transcript:ONI28136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLRELLKKSKCVGDHSSKMSEGTSLGMEDLSQDDIGTIEETAEDTILSRQTSVNLVPFVGQRFVSQEAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKPSEDGKMQRNRKSSRCGCQAYMRIVKRADFDVPEWRVTGFSNIHNHELLKSNEVRLLPAYCSISTDDKSRICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVDRDNDAIDLIAMCKKMKDENPNFKYEFKIDGHNRLEHIAWSYASSVQLYEAFGDTTVFDTTHRLDAYDMLLGIWLGVDNHGMTCFFGCVLLRDENIQSFSWALKTFLGFMKGKAPQTMLTDHNMWLKEAIAVDVPQSKHAFCIWHIVAKFSDWFSVLLGSRYDDWKAEFHRLFNLDFVEDFEERWREMVNEFGLHTNKHVISLYALRNFWALAYLRHYFFAEMMSTSQAESINAFIQRFLSAQSQLDRFVEQVRNLLLLCYFPFLASGSLLNYLTLSLFLVQPLVLMLNKHAYPFKLPFAGS >ONI28138 pep chromosome:Prunus_persica_NCBIv2:G1:9905910:9910211:1 gene:PRUPE_1G125700 transcript:ONI28138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTSLGMEDLSQDDIGTIEETAEDTILSRQTSVNLVPFVGQRFVSQEAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKPSEDGKMQRNRKSSRCGCQAYMRIVKRADFDVPEWRVTGFSNIHNHELLKSNEVRLLPAYCSISTDDKSRICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVDRDNDAIDLIAMCKKMKDENPNFKYEFKIDGHNRLEHIAWSYASSVQLYEAFGDTTVFDTTHRLDAYDMLLGIWLGVDNHGMTCFFGCVLLRDENIQSFSWALKTFLGFMKGKAPQTMLTDHNMWLKEAIAVDVPQSKHAFCIWHIVAKFSDWFSVLLGSRYDDWKAEFHRLFNLDFVEDFEERWREMK >ONI36115 pep chromosome:Prunus_persica_NCBIv2:G1:46464565:46469308:-1 gene:PRUPE_1G570300 transcript:ONI36115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRERQMSLLAARRSREAVVVAVDADRTKGTMEAVEWALKHVVRPRDIFLVLGVFNDQLAKKNSCFPFKLLMDVVTSGIWERLEFIDHEEVDPIELEEEFERKREQYRSSLQPLYGLCIRNEVRLVLKVAAGFCPARVAMDESQNLNTRWLVLDSHFRKSKVFLKGHVSCNIAVVKEKDFASLMLSNETEPEISPWNPEPKHPVEEDSEGFSIYQLTKKCAPAPHPQSPCCYPMSWRSGFPRAFSHNELEAITNGFADDNISRAPDGVVVYQGILQETSVLVKSYPETNKGFRPILKILSRVHHRNIMNLVGYCSTGASTFMIFDFPCLGNVEMNFQSDELARNLRWRVRWSIALEIGGSLRYLHEECVDGPIVHKSLCSCSVALSHGYSAMLYNFTTAEWLTSDFPPNEDLIAESPNLEGDERLSVDVHDYGKFLLELIVGKSAGKDQSMIDWALPLLENGELCELMDSRVTEIAGDARMVQHMAHAALRCLKIDSDHKLSISEALAIVRGDELATFKQ >ONI36112 pep chromosome:Prunus_persica_NCBIv2:G1:46464251:46469737:-1 gene:PRUPE_1G570300 transcript:ONI36112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRERQMSLLAARRSREAVVVAVDADRTKGTMEAVEWALKHVVRPRDIFLVLGVFNDQLAKKNSCFPFKLLMDVVTSGERLEFIDHEEVDPIELEEEFERKREQYRSSLQPLYGLCIRNEVRLVLKVAAGFCPARVAMDESQNLNTRWLVLDRKSKVFLKGHVSCNIAVVKEKDFASLMLSNETEPEISPWNPEPKHPVEEDSEGFSIYQLTKKCAPAPHPQSPCCYPMSWRSGFPRAFSHNELEAITNGFADDNISRAPDGVVVYQGILQETSVLVKSYPETNKGFRPILKILSRVHHRNIMNLVGYCSTGASTFMIFDFPCLGNVEMNFQSDELARNLRWRVRWSIALEIGGSLRYLHEECVDGPIVHKSLCSCSVALSHGYSAMLYNFTTAEWLTSDFPPNEDLIAESPNLEGDERLSVDVHDYGKFLLELIVGKSAGKDQSMIDWALPLLENGELCELMDSRVTEIAGDARMVQHMAHAALRCLKIDSDHKLSISEALAIVRGDELATFKQ >ONI36120 pep chromosome:Prunus_persica_NCBIv2:G1:46466407:46469737:-1 gene:PRUPE_1G570300 transcript:ONI36120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRERQMSLLAARRSREAVVVAVDADRTKGTMEAVEWALKHVVRPRDIFLVLGVFNDQLAKKNSCFPFKLLMDVVTSGIWERLEFIDHEEVDPIELEEEFERKREQYRSSLQPLYGLCIRNEVRLVLKVAAGFCPARVAMDESQNLNTRWLVLDSHFRKSKVFLKGHVSCNIAVVKEKDFASLMLSNETEPEISPWNPEPKHPVEEDSEGFSIYQLTKKCAPAPHPQSPCCYPMSWRSGFPRAFSHNELEAITNGFADDNISRAPDGVVVYQGILQETSVLVKSYPETNKGFRPILKILSRVHHRNIMNLVGYCSTGASTFMIFDFPCLGNVEMNFQKYCNALPFTFSSSSLRP >ONI36114 pep chromosome:Prunus_persica_NCBIv2:G1:46464251:46469737:-1 gene:PRUPE_1G570300 transcript:ONI36114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRERQMSLLAARRSREAVVVAVDADRTKGTMEAVEWALKHVVRPRDIFLVLGVFNDQLAKKNSCFPFKLLMDVVTSGIWERLEFIDHEEVDPIELEEEFERKREQYRSSLQPLYGLCIRNEVRLVLKVAAGFCPARVAMDESQNLNTRWLVLDRKSKVFLKGHVSCNIAVVKEKDFASLMLSNETEPEISPWNPEPKHPVEEDSEGFSIYQLTKKCAPAPHPQSPCCYPMSWRSGFPRAFSHNELEAITNGFADDNISRAPDGVVVYQGILQETSVLVKSYPETNKGFRPILKILSRVHHRNIMNLVGYCSTGASTFMIFDFPCLGNVEMNFQSDELARNLRWRVRWSIALEIGGSLRYLHEECVDGPIVHKSLCSCSVALSHGYSAMLYNFTTAEWLTSDFPPNEDLIAESPNLEGDERLSVDVHDYGKFLLELIVGKSAGKDQSMIDWALPLLENGELCELMDSRVTEIAGDARMVQHMAHAALRCLKIDSDHKLSISEALAIVRGDELATFKQ >ONI36119 pep chromosome:Prunus_persica_NCBIv2:G1:46464606:46469308:-1 gene:PRUPE_1G570300 transcript:ONI36119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRERQMSLLAARRSREAVVVAVDADRTKGTMEAVEWALKHVVRPRDIFLVLGVFNDQLAKKNSCFPFKLLMDVVTSGIWERLEFIDHEEVDPIELEEEFERKREQYRSSLQPLYGLCIRNEVRLVLKVAAGFCPARVAMDESQNLNTRWLVLDSHFRKSKVFLKGHVSCNIAVVKEKDFASLMLSNETEPEISPWNPEPKHPVEEDSEGFSIYQLTKKCAPAPHPQSPCCYPMSWRSGFPRAFSHNELEAITNGFADDNISRAPDGVVVYQGILQETSVLVKSYPETNKGFRPILKILSRVHHRNIMNLVGYCSTGASTFMIFDFPCLGNVEMNFQSDELARNLRWRVRWSIALEIGGSLRYLHEECVDGPIVHKSLCSCSVALSHGYSAMLYNFTTAEWLTSDFPPNEDLIAESPNLEGDERLSVDVHDYGKFLLELIVGKSAGKDQSMIDWALPLLENGELCELMDSRVTEIAGDARMVQHMAHAALRCLKIDSDHKLSISEWNFHRP >ONI36118 pep chromosome:Prunus_persica_NCBIv2:G1:46464606:46469308:-1 gene:PRUPE_1G570300 transcript:ONI36118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRERQMSLLAARRSREAVVVAVDADRTKGTMEAVEWALKHVVRPRDIFLVLGVFNDQLAKKNSCFPFKLLMDVVTSGIWERLEFIDHEEVDPIELEEEFERKREQYRSSLQPLYGLCIRNEVRLVLKVAAGFCPARVAMDESQNLNTRWLVLDRKSKVFLKGHVSCNIAVVKEKDFASLMLSNETEPEISPWNPEPKHPVEEDSEGFSIYQLTKKCAPAPHPQSPCCYPMSWRSGFPRAFSHNELEAITNGFADDNISRAPDGVVVYQGILQETSVLVKSYPETNKGFRPILKILSRVHHRNIMNLVGYCSTGASTFMIFDFPCLGNVEMNFQSDELARNLRWRVRWSIALEIGGSLRYLHEECVDGPIVHKSLCSCSVALSHGYSAMLYNFTTAEWLTSDFPPNEDLIAESPNLEGDERLSVDVHDYGKFLLELIVGKSAGKDQSMIDWALPLLENGELCELMDSRVTEIAGDARMVQHMAHAALRCLKIDSDHKLSISEWNFHRP >ONI36113 pep chromosome:Prunus_persica_NCBIv2:G1:46464251:46469737:-1 gene:PRUPE_1G570300 transcript:ONI36113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRERQMSLLAARRSREAVVVAVDADRTKGTMEAVEWALKHVVRPRDIFLVLGVFNDQLAKKNSCFPFKLLMDVVTSGERLEFIDHEEVDPIELEEEFERKREQYRSSLQPLYGLCIRNEVRLVLKVAAGFCPARVAMDESQNLNTRWLVLDSHFRKSKVFLKGHVSCNIAVVKEKDFASLMLSNETEPEISPWNPEPKHPVEEDSEGFSIYQLTKKCAPAPHPQSPCCYPMSWRSGFPRAFSHNELEAITNGFADDNISRAPDGVVVYQGILQETSVLVKSYPETNKGFRPILKILSRVHHRNIMNLVGYCSTGASTFMIFDFPCLGNVEMNFQSDELARNLRWRVRWSIALEIGGSLRYLHEECVDGPIVHKSLCSCSVALSHGYSAMLYNFTTAEWLTSDFPPNEDLIAESPNLEGDERLSVDVHDYGKFLLELIVGKSAGKDQSMIDWALPLLENGELCELMDSRVTEIAGDARMVQHMAHAALRCLKIDSDHKLSISEALAIVRGDELATFKQ >ONI36116 pep chromosome:Prunus_persica_NCBIv2:G1:46464606:46469308:-1 gene:PRUPE_1G570300 transcript:ONI36116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRERQMSLLAARRSREAVVVAVDADRTKGTMEAVEWALKHVVRPRDIFLVLGVFNDQLAKKNSCFPFKLLMDVVTSGERLEFIDHEEVDPIELEEEFERKREQYRSSLQPLYGLCIRNEVRLVLKVAAGFCPARVAMDESQNLNTRWLVLDRKSKVFLKGHVSCNIAVVKEKDFASLMLSNETEPEISPWNPEPKHPVEEDSEGFSIYQLTKKCAPAPHPQSPCCYPMSWRSGFPRAFSHNELEAITNGFADDNISRAPDGVVVYQGILQETSVLVKSYPETNKGFRPILKILSRVHHRNIMNLVGYCSTGASTFMIFDFPCLGNVEMNFQSDELARNLRWRVRWSIALEIGGSLRYLHEECVDGPIVHKSLCSCSVALSHGYSAMLYNFTTAEWLTSDFPPNEDLIAESPNLEGDERLSVDVHDYGKFLLELIVGKSAGKDQSMIDWALPLLENGELCELMDSRVTEIAGDARMVQHMAHAALRCLKIDSDHKLSISEWNFHRP >ONI36117 pep chromosome:Prunus_persica_NCBIv2:G1:46464606:46469308:-1 gene:PRUPE_1G570300 transcript:ONI36117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRERQMSLLAARRSREAVVVAVDADRTKGTMEAVEWALKHVVRPRDIFLVLGVFNDQLAKKNSCFPFKLLMDVVTSGERLEFIDHEEVDPIELEEEFERKREQYRSSLQPLYGLCIRNEVRLVLKVAAGFCPARVAMDESQNLNTRWLVLDSHFRKSKVFLKGHVSCNIAVVKEKDFASLMLSNETEPEISPWNPEPKHPVEEDSEGFSIYQLTKKCAPAPHPQSPCCYPMSWRSGFPRAFSHNELEAITNGFADDNISRAPDGVVVYQGILQETSVLVKSYPETNKGFRPILKILSRVHHRNIMNLVGYCSTGASTFMIFDFPCLGNVEMNFQSDELARNLRWRVRWSIALEIGGSLRYLHEECVDGPIVHKSLCSCSVALSHGYSAMLYNFTTAEWLTSDFPPNEDLIAESPNLEGDERLSVDVHDYGKFLLELIVGKSAGKDQSMIDWALPLLENGELCELMDSRVTEIAGDARMVQHMAHAALRCLKIDSDHKLSISEWNFHRP >ONI36343 pep chromosome:Prunus_persica_NCBIv2:G1:47258133:47261455:-1 gene:PRUPE_1G581500 transcript:ONI36343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFSRNKNPSQHSLYCLLLSHSFASLVLSRKNAPPHRSQVRAKRFSAKAMALVLHAGKTNKNAFKTLIVAEYTGVKVELAPDFEMGVTNKTPEYLKLNPIGKVPLLVTPDGPIFESNAIARYVARLKADNPLIGSSLIDYAHIEQWIDFGSLEIDANIISWFRPRFGSAVYLPPAEEAAISALKRALGALNTHLASNTYLVGHFVTLADIIVTCNLLIGFTKLMTKSFTSEFPHVERYFWTLVNQPNFKKVLGDVKQTESVPPVPSAKKPSQPKETKSKAKEEPKKEAKKEPAKPKAEAAEEVEEAPKPKPKNPLDLLPPSGMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCEYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPPFVMEECYDMELYNWTKVDLSDENQKERVNQMIEDQEPFEGEVLLDAKCFK >ONI36344 pep chromosome:Prunus_persica_NCBIv2:G1:47258134:47261474:-1 gene:PRUPE_1G581500 transcript:ONI36344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHAGKTNKNAFKTLIVAEYTGVKVELAPDFEMGVTNKTPEYLKLNPIGKVPLLVTPDGPIFESNAIARYVARLKADNPLIGSSLIDYAHIEQWIDFGSLEIDANIISWFRPRFGSAVYLPPAEEAAISALKRALGALNTHLASNTYLVGHFVTLADIIVTCNLLIGFTKLMTKSFTSEFPHVERYFWTLVNQPNFKKVLGDVKQTESVPPVPSAKKPSQPKETKSKAKEEPKKEAKKEPAKPKAEAAEEVEEAPKPKPKNPLDLLPPSGMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCEYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPPFVMEECYDMELYNWTKVDLSDENQKERVNQMIEDQEPFEGEVLLDAKCFK >ONI33758 pep chromosome:Prunus_persica_NCBIv2:G1:37674201:37677417:-1 gene:PRUPE_1G444800 transcript:ONI33758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITVLDHEFQNELCESDSEYPPKKVEDGEVDDDGKPKRTGTVWTACAHIITTSIGAGVLSLAWAMAQLGWVAGIFILLFCTFAALYAATLLTDCYRFPDPVTGKRNYSYMEAVEAYLGGRMYKFCGWMLYLNLATIGIGFTITTAKSMVAIQKSNCHRKNGGDDPCMFSNIPHVVGFGIVEILLSQLPNFHKLSWLSKLAAITSFGYASIGIGLSLSKILTGHGGKTSVAGVDPSSSDKIWRMFAAAGDVAFACSYALVLFDIQDTLKSLPPENKVMKKAVSIGGLIMIIFFIMCSTSGYAAFGDKTPENLLAGFGDDMAFWLVDLANVFIVVHIVGAYQVLCQPVFRIVELLARRRWPKSKFINRENPIRFGKIRFNINMFRLSWRTAYGVVVTIVAIALPFFSDMLALLGAIGYWPLIVYIPLEMHIAQKKIGKLTIRWFGLQLLSFLCLLLSLAAASGAIHGLYKGLNAYKLFQFKE >ONI33757 pep chromosome:Prunus_persica_NCBIv2:G1:37674615:37677308:-1 gene:PRUPE_1G444800 transcript:ONI33757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITVLDHEFQNELCESDSEYPPKKVEDGEVDDDGKPKRTGTVWTACAHIITTSIGAGVLSLAWAMAQLGWVAGIFILLFCTFAALYAATLLTDCYRFPDPVTGKRNYSYMEAVEAYLGGRMYKFCGWMLYLNLATIGIGFTITTAKSMVAIQKSNCHRKNGGDDPCMFSNIPHVVGFGIVEILLSQLPNFHKLSWLSKLAAITSFGYASIGIGLSLSKILTGHGGKTSVAGVDPSSSDKIWRMFAAAGDVAFACSYALVLFDIQDTLKSLPPENKVMKKAVSIGGLIMIIFFIMCSTSGYAAFGDKTPENLLAGFGDDMAFWLVDLANVFIVVHIVGAYQVLCQPVFRIVELLARRRWPKSKFINRENPIRFGKIRFNINMFRLSWRTAYGVVVTIVAIALPFFSDMLALLGAIGYWPLIVYIPLEMHIAQKKIGKLTIRWFGLQLLSFLCLLLSLAAASGAIHGLYKGLNAYKLFQFKE >ONI33755 pep chromosome:Prunus_persica_NCBIv2:G1:37674471:37677403:-1 gene:PRUPE_1G444800 transcript:ONI33755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITVLDHEFQNELCESDSEYPPKKVEDGEVDDDGKPKRTVWTACAHIITTSIGAGVLSLAWAMAQLGWVAGIFILLFCTFAALYAATLLTDCYRFPDPVTGKRNYSYMEAVEAYLGGRMYKFCGWMLYLNLATIGIGFTITTAKSMVAIQKSNCHRKNGGDDPCMFSNIPHVVGFGIVEILLSQLPNFHKLSWLSKLAAITSFGYASIGIGLSLSKILTGHGGKTSVAGVDPSSSDKIWRMFAAAGDVAFACSYALVLFDIQDTLKSLPPENKVMKKAVSIGGLIMIIFFIMCSTSGYAAFGDKTPENLLAGFGDDMAFWLVDLANVFIVVHIVGAYQVLCQPVFRIVELLARRRWPKSKFINRENPIRFGKIRFNINMFRLSWRTAYGVVVTIVAIALPFFSDMLALLGAIGYWPLIVYIPLEMHIAQKKIGKLTIRWFGLQLLSFLCLLLSLAAASGAIHGLYKGLNAYKLFQFKE >ONI33759 pep chromosome:Prunus_persica_NCBIv2:G1:37674471:37676402:-1 gene:PRUPE_1G444800 transcript:ONI33759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKFCGWMLYLNLATIGIGFTITTAKSMVAIQKSNCHRKNGGDDPCMFSNIPHVVGFGIVEILLSQLPNFHKLSWLSKLAAITSFGYASIGIGLSLSKILTGHGGKTSVAGVDPSSSDKIWRMFAAAGDVAFACSYALVLFDIQDTLKSLPPENKVMKKAVSIGGLIMIIFFIMCSTSGYAAFGDKTPENLLAGFGDDMAFWLVDLANVFIVVHIVGAYQVLCQPVFRIVELLARRRWPKSKFINRENPIRFGKIRFNINMFRLSWRTAYGVVVTIVAIALPFFSDMLALLGAIGYWPLIVYIPLEMHIAQKKIGKLTIRWFGLQLLSFLCLLLSLAAASGAIHGLYKGLNAYKLFQFKE >ONI33756 pep chromosome:Prunus_persica_NCBIv2:G1:37674471:37677520:-1 gene:PRUPE_1G444800 transcript:ONI33756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITVLDHEFQNELCESDSEYPPKKVEDGEVDDDGKPKRTVWTACAHIITTSIGAGVLSLAWAMAQLGWVAGIFILLFCTFAALYAATLLTDCYRFPDPVTGKRNYSYMEAVEAYLGGRMYKFCGWMLYLNLATIGIGFTITTAKSMVAIQKSNCHRKNGGDDPCMFSNIPHVVGFGIVEILLSQLPNFHKLSWLSKLAAITSFGYASIGIGLSLSKILTGHGGKTSVAGVDPSSSDKIWRMFAAAGDVAFACSYALVLFDIQDTLKSLPPENKVMKKAVSIGGLIMIIFFIMCSTSGYAAFGDKTPENLLAGFGDDMAFWLVDLANVFIVVHIVGAYQVLCQPVFRIVELLARRRWPKSKFINRENPIRFGKIRFNINMFRLSWRTAYGVVVTIVAIALPFFSDMLALLGAIGYWPLIVYIPLEMHIAQKKIGKLTIRWFGLQLLSFLCLLLSLAAASGAIHGLYKGLNAYKLFQFKE >ONI36006 pep chromosome:Prunus_persica_NCBIv2:G1:46096258:46098769:1 gene:PRUPE_1G564300 transcript:ONI36006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREKEMGLDIDLSLKIDSKQEKQGEDGDQGEGEEEEEEKEEEEDLNDDDDIEQGAQQEEDEHEPRAQNNNLKAAEAAAGEVEDDASVVETSLEENNKMKTDQELCVLQMEMSRMKEENKVLRKVVEQTMKDYYDLQMKFTALHQSNQSKDPQTFLSLDGNDPDAVQGPKTIPKRSPLSPTHEDMMKESQLGLSLRLQTSPTTNIQSHGREEEDDDKEENNKKEELTRSSLASSLLQNKLQRTELPGISSHVASQPNRKARVSVRARCESATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAAASFMLLDSSNHHLLDGTPSNYTQASLPNYYNVPDHRMNPSSHSSSNFRTINSHDPSKGIVLDLTNNFYDHNNTPQNIPMGSSSSAPPGLSNWMMSTSRSASSYQNPNSITSRLLANSTISRSSGVGVEDQRSWRGEENNMSLAENVTAIASDPKFRVAVAAAITSLINKENHTANHPFGPRDGGENGSSTSNNWALDHQSLSANGKPIRKNSLE >ONI31929 pep chromosome:Prunus_persica_NCBIv2:G1:31990667:31993916:1 gene:PRUPE_1G340000 transcript:ONI31929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEEERSLLEDGLIQDETNGLYTGDGSVDITGKPVLKQSTGNWRACPFILGTECCERLAFYGISTNLVTYLTHKLHEGNVSAARNVTTWSGTCYLTPLIGAVLADAYWGRYWTIAIFSTIYFIGMCTLTLSASVPALKPPQCVDSVCPSASPAQYGVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDSRERVKKGSFFNWFYFSINIGALVSSTLIVWVQDNAGWGLGFGIPALFMGIAIVSFFSGTPLYRFQKPGGSPLTRMCQVLVASFRKWNLDVPRDSSLLYETRDKGSAIKGSRKLEHSDELNCLDKAAVISETETKTGNFSNPWRICTVTQVEELKILIRMFPIWATGIVFSAVYAQMATMFVEQGMMMDTSVGSFTIPPASLSSFDVISVIFWVPIYDRFIVPIARKFTGKERGFSELQRMGIGLFLSVLCMSAAAVVEMKRLQLATELGLVDKDVAVPLSIFWQIPQYFLLGAAEIFTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSLILTIVTYFTTQGGKAGWIPDNLNEGHLDYFFWLLAGLSLLNMLVYIVCAKRYKKKKAS >ONI31930 pep chromosome:Prunus_persica_NCBIv2:G1:31990667:31993916:1 gene:PRUPE_1G340000 transcript:ONI31930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEEERSLLEDGLIQDETNGLYTGDGSVDITGKPVLKQSTGNWRACPFILGTECCERLAFYGISTNLVTYLTHKLHEGNVSAARNVTTWSGTCYLTPLIGAVLADAYWGRYWTIAIFSTIYFIGMCTLTLSASVPALKPPQCVDSVCPSASPAQYGVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDSRERVKKGSFFNWFYFSINIGALVSSTLIVWVQDNAGWGLGFGIPALFMGIAIVSFFSGTPLYRFQKPGGSPLTRMCQVLVASFRKWNLDVPRDSSLLYETRDKGSAIKGSRKLEHSDELNCLDKAAVISETETKTGNFSNPWRICTVTQVEELKILIRMFPIWATGIVFSAVYAQMATMFVEQGMMMDTSVGSFTIPPASLSSFDVISVIFWVPIYDRFIVPIARKFTGKERGFSELQRMGIGLFLSVLCMSAAAVVEMKRLQLATELGLVDKDVAVPLSIFWQIPQYFLLGAAEIFTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSLILTIVTYFTTQGGKAGWIPDNLNEGHLDYFFWLLAGLSLLNMLVYIVCAKRYKKKKAS >ONI31931 pep chromosome:Prunus_persica_NCBIv2:G1:31990667:31993916:1 gene:PRUPE_1G340000 transcript:ONI31931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLTLSASVPALKPPQCVDSVCPSASPAQYGVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDSRERVKKGSFFNWFYFSINIGALVSSTLIVWVQDNAGWGLGFGIPALFMGIAIVSFFSGTPLYRFQKPGGSPLTRMCQVLVASFRKWNLDVPRDSSLLYETRDKGSAIKGSRKLEHSDELNCLDKAAVISETETKTGNFSNPWRICTVTQVEELKILIRMFPIWATGIVFSAVYAQMATMFVEQGMMMDTSVGSFTIPPASLSSFDVISVIFWVPIYDRFIVPIARKFTGKERGFSELQRMGIGLFLSVLCMSAAAVVEMKRLQLATELGLVDKDVAVPLSIFWQIPQYFLLGAAEIFTFIGQLEFFYDQSPDAMRSLCSALSLLTTSLGNYLSSLILTIVTYFTTQGGKAGWIPDNLNEGHLDYFFWLLAGLSLLNMLVYIVCAKRYKKKKAS >ONI34641 pep chromosome:Prunus_persica_NCBIv2:G1:40917940:40919564:-1 gene:PRUPE_1G492100 transcript:ONI34641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKLGQVSAIVISSPELAKQVLKTHETAAFSQRPTVLAVEVLFYNFSGIIFSSCNEYWRQMRKICVLELLSAKRVQSFSSIREEEAWNLVESISLSQGQPITLSEMIFSMQVSIIARSALGKKCKYQQEFGSLIKEAFILGEVLSLPDLFPSLKFLRHITRTKPALEKIHRKIDRILDEIIDDHHELKRVKTNIVAPSTTSNDEVLQEGLVHVLLQLQESGGLQFDLTTNHIKAVILDMYLAGAETSATTTEWAISELVKNPTAMEKAQAEVRHLLAGKRKNILEEDIKKLDYLKLVIKETLRLHPPAPLIPREATQRIKIGGYDIPTEAKVLINAWEIGRDPRHWDNADCFLPERFQGSSIDFRGTNFELIPFGAGKRICPGISFGIASVELALSQLLYYFNWKLPSGKKVEELDMTESLGMTSRRRNDLYVIATPFVPSY >ONI28075 pep chromosome:Prunus_persica_NCBIv2:G1:9555906:9556483:1 gene:PRUPE_1G121500 transcript:ONI28075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYATTKATPSEYSTLATRRPRAAAVLTNRMAAPILILLSTLRITKFRGTKPRKLSDFVTSATSIDHSISGSRRPLFLFLFLIMYRAILVLIISNNINKYRFLT >ONI26299 pep chromosome:Prunus_persica_NCBIv2:G1:1120161:1121977:-1 gene:PRUPE_1G015700 transcript:ONI26299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKPSHSPKPRSTQAAMKTVLSSSSTTTTTTSSSVKSSTTTAAMEDDRSENRDSCYYPGCRKDANCKCDMCLASINATLDLMPFSSQKSTLTKFSASRPKTNRSVELTPISFDASVLSTPRSNSSQIPVSPGRKSAARFKVKEKIKKRERNWGFGCDFWRMILGLSLVYFAVCGVSNLVSGALKPVLSPEMVRNVGEKSWVVDDLNGRLRFLQKEVQGLVQGKVSNCSYSNSIWEISQDGLLLSSHCTLYKSAIEEVSVWGWPLQTAGLLTSGFSSRSFTILSGRVTEWSDGKLGYVIRKANTSWVQKNWGASAVQLDPNTWILEYQWSSTFDSQRLVSAAIAFLKNRMSRMLGSMKQKFWLCSTFGNNQYLQTAAEYNIKIPT >ONI29939 pep chromosome:Prunus_persica_NCBIv2:G1:23738924:23742260:1 gene:PRUPE_1G223500 transcript:ONI29939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSRGKLKDPGVSMMKSSSSAASLKRPRRTNNGAQIASCLVDGCSSDLSECRDYHRRHKVCELHSKTSKVTIKGQEQRFCQQCSRFHSLEEFDEGKRSCRRRLAGHNKRRRKPQLEPMSSNLGRFLSSYQGPRFLQFGSPQVCPTNAVMNSAWGGAMKAENDDVILHSHSQLSETRRNLFPGSLSCEQSIESMLSQASACQQLVGTNFALGNSGNSHKMFANGLYCDVESNRALSLLSSTPASRGEIGLSHAGQSSSIWPPQPLIPRLRYNVLGMEDDAAISNLVADGSSNANNMHFQGMFQIISDGSTAGAPH >ONI29941 pep chromosome:Prunus_persica_NCBIv2:G1:23739718:23742227:1 gene:PRUPE_1G223500 transcript:ONI29941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSRGKLKDPGVSMMKSSSSAASLKRPRRTNNGAQIASCLVDGCSSDLSECRDYHRRHKVCELHSKTSKVTIKGQEQRFCQQCSRFHSLEEFDEGKRSCRRRLAGHNKRRRKPQLEPMSSNLGRFLSSYQGPRFLQFGSPQVCPTNAVMNSAWGGAMKAENDDVILHSHSQLSETRRNLFPGSLSCEQSIESMLSQASACQQLVGTNFALGNSGNSHKMFANGLYCDVESNRALSLLSSTPASRGEIGLSHAGQSSSIWPPQPLIPRLRYNVLGMEDDAAISNLVADGSSNANNMHFQGMFQIISDGSTAGAPH >ONI29936 pep chromosome:Prunus_persica_NCBIv2:G1:23738923:23742273:1 gene:PRUPE_1G223500 transcript:ONI29936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNYTCSCFFSLFYFLFSGFLYNLDGGDQTKASFVLHFCLISRLPSEAEDCIRKTRGYLLIKFETCDLGNMENGSRGKLKDPGVSMMKSSSSAASLKRPRRTNNGAQIASCLVDGCSSDLSECRDYHRRHKVCELHSKTSKVTIKGQEQRFCQQCSRFHSLEEFDEGKRSCRRRLAGHNKRRRKPQLEPMSSNLGRFLSSYQGPRFLQFGSPQVCPTNAVMNSAWGGAMKAENDDVILHSHSQLSETRRNLFPGSLSCEQSIESMLSQASACQQLVGTNFALGNSGNSHKMFANGLYCDVESNRALSLLSSTPASRGEIGLSHAGQSSSIWPPQPLIPRLRYNVLGMEDDAAISNLVADGSSNANNMHFQGMFQIISDGSTAGAPH >ONI29938 pep chromosome:Prunus_persica_NCBIv2:G1:23738923:23742268:1 gene:PRUPE_1G223500 transcript:ONI29938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSRGKLKDPGVSMMKSSSSAASLKRPRRTNNGAQIASCLVDGCSSDLSECRDYHRRHKVCELHSKTSKVTIKGQEQRFCQQCSRFHSLEEFDEGKRSCRRRLAGHNKRRRKPQLEPMSSNLGRFLSSYQGPRFLQFGSPQVCPTNAVMNSAWGGAMKAENDDVILHSHSQLSETRRNLFPGSLSCEQSIESMLSQASACQQLVGTNFALGNSGNSHKMFANGLYCDVESNRALSLLSSTPASRGEIGLSHAGQSSSIWPPQPLIPRLRYNVLGMEDDAAISNLVADGSSNANNMHFQGMFQIISDGSTAGAPH >ONI29940 pep chromosome:Prunus_persica_NCBIv2:G1:23739022:23742227:1 gene:PRUPE_1G223500 transcript:ONI29940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSRGKLKDPGVSMMKSSSSAASLKRPRRTNNGAQIASCLVDGCSSDLSECRDYHRRHKVCELHSKTSKVTIKGQEQRFCQQCSRFHSLEEFDEGKRSCRRRLAGHNKRRRKPQLEPMSSNLGRFLSSYQGPRFLQFGSPQVCPTNAVMNSAWGGAMKAENDDVILHSHSQLSETRRNLFPGSLSCEQSIESMLSQASACQQLVGTNFALGNSGNSHKMFANGLYCDVESNRALSLLSSTPASRGEIGLSHAGQSSSIWPPQPLIPRLRYNVLGMEDDAAISNLVADGSSNANNMHFQGMFQIISDGSTAGAPH >ONI29937 pep chromosome:Prunus_persica_NCBIv2:G1:23739015:23742263:1 gene:PRUPE_1G223500 transcript:ONI29937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSRGKLKDPGVSMMKSSSSAASLKRPRRTNNGAQIASCLVDGCSSDLSECRDYHRRHKVCELHSKTSKVTIKGQEQRFCQQCSRFHSLEEFDEGKRSCRRRLAGHNKRRRKPQLEPMSSNLGRFLSSYQGPRFLQFGSPQVCPTNAVMNSAWGGAMKAENDDVILHSHSQLSETRRNLFPGSLSCEQSIESMLSQASACQQLVGTNFALGNSGNSHKMFANGLYCDVESNRALSLLSSTPASRGEIGLSHAGQSSSIWPPQPLIPRLRYNVLGMEDDAAISNLVADGSSNANNMHFQGMFQIISDGSTAGAPH >ONI33136 pep chromosome:Prunus_persica_NCBIv2:G1:35740759:35746710:1 gene:PRUPE_1G407900 transcript:ONI33136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFKGQPRLPKFAVPKRYELRLKPDLTTCKFSGSVSVELDIVADTQFIVLNAAELSVDAGSVSFTHGDSSKVFKPSKVEVFQEDGILVLEFGKTLPIGPGVLAIGFEGILNDNMKGFYRSTYEHNGEKKNMAVTQFEPVDARRCFPCWDEPAWKATFKITLDDVPSELVALSNMSILEEKVDGHLKTVSYLESPIMSTYLVAVVIGLFDYVEDHTSDGVKVRVYCQVGKANQGKFALYVAVKTLELYKEYFAMPYSLPKLDMVAIPDFSAGAMENYGLVTYRETALLFDEQNSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWKIWTQFLAELTEGLKLDGLEESHPIEVEINHAAEVDEIFDAISYRKGASVIRMLQSYLGAEVFQRSLASYIKKHASSNAKTEDLWAALEEGSGEPVNKLMNSWTKQKGYPVISVKVKDKKLEFDQTQFYSSGSQGDGQWIVPITLCCGSYDVRKSFLLQSKSETRDIKEFLGCSVATGCGSASNKNNAVCSWIKVNVDQTGFYRVKYEEELAAALRNAIEKKHLSSTDRFGILDDSFALSMARQQSFASLLTLLSAYREELDYTVLSNLITISYKLARIATDAVPELLDLINQFFIGLLQYSAEKLGWQPKPGENHLDAMLRGDILTALAVFGHDQTIDEASRRFHAFLDDRNTPLLPPDIRRAAYVAVMQRASASNRSGYESLLRVYRETDLSQEKTRILGSLASCPDPNITLEVLNFLLTPEVRSQDAVYGLAVSSEGRETAWTWLKANWEYISKTWGSGFLITRFVSAIVSSFASFEKVKEIDEFFKAYPNPSTTRTLKQSIERVQINAKWVESVKSEKNLADAVKELAYRKY >ONI36141 pep chromosome:Prunus_persica_NCBIv2:G1:46595411:46601842:-1 gene:PRUPE_1G571700 transcript:ONI36141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRMVFLPSAQLQTLASSSASWRSSCLKLNFQPLISSSSSSMMPCQRCAALSSSRATFTSSSSASAFATGHEHDDIEAENELQQKLNGARADGSRDPQQSSNQYNQSYNGVFWRNTGNMNNVVQQDGNFSGHYRQENGGLLQSHKLDGRNLGNGSIENPYASRQEGSIEVRQNPNAFGLQGNLGFQGNLNQNYIQHFAQNQQNLNGYYTRNDVMRHQNSSYGQYQQNPSCGQYQQNPIYGQNQPNPSYGKYHQAPSCGQYQQAPTSYGQQSQHVGQYQTNPDPFQNTIVDSQVASESKSERKLIEASESSPYSGTLEELDKFCKEGKVKEAVEILGMLEKQQVQVDLHLYFQLMQACGEAKALEEAKFVHENITRLLSPLNVSTYNRILEMYSKCGSMDSTFMVFNQMPNRNLTSWDIMIAWLAKNGLGEDAIDLFTEFKKAGLKPDGQMFIGVFYACSVLGDTTEGLLHFESMSKDYGIVPSMDHYVSVVDMLGSTGYLEEALEFIEKMPLEPNVDVWKTLMNLCRVHGQLELGDRCAELVEQLDASSLNEQSKAGLVPVKDSDLVKEKEKKKLAAQNLLEVRSRVHEYRAGDTSHPENDKIYAQLRGLREQMKEAGYIPETRFVLHDIDQEGKEDALLAHSERLAVAYALLSSPARSPVRVIKNLRVCGDCHNALKIISKIVGRELIMRDAKRFHHFKDGLCSCRDYW >ONI36142 pep chromosome:Prunus_persica_NCBIv2:G1:46598464:46600782:-1 gene:PRUPE_1G571700 transcript:ONI36142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRMVFLPSAQLQTLASSSASWRSSCLKLNFQPLISSSSSSMMPCQRCAALSSSRATFTSSSSASAFATGHEHDDIEAENEVDNLTTLQQKLNGARADGSRDPQQSSNQYNQSYNGVFWRNTGNMNNVVQQDGNFSGHYRQENGGLLQSHKLDGRNLGNGSIENPYASRQEGSIEVRQNPNAFGLQGNLGFQGNLNQNYIQHFAQNQQNLNGYYTRNDVMRHQNSSYGQYQQNPSCGQYQQNPIYGQNQPNPSYGKYHQAPSCGQYQQAPTSYGQQSQHVGQYQTNPDPFQNTIVDSQVASESKSERKLIEASESSPYSGTLEELDKFCKEGKVKEAVEILGMLEKQQVQVDLHLYFQLMQACGEAKALEEAKFVHENITRLLSPLNVSTYNRILEMYSKCGSMDSTFMVFNQMPNRNLTSWDIMIAWLAKNGLGEDAIDLFTEFKKAGLKPDGQMFIGVFYACSVLGDTTEGLLHFESMSKDYGIVPSMDHYVSVVDMLGSTGYLEEALEFIEKMPLEPNVDVWKTLMNLCRVHGQLELGDRCAELVEQLDASSLNEQSKAGLVPVKDSDLVKEKEKKKLAAQNLLEVRSRVHEYRAGDTSHPENDKIYAQLRGLREQMKEAGYIPETRFVLHDIDQEGKEDALLAHSERLAVAYALLSSPARSPVRVIKNLRVCGDCHNALKIISKIVGRELIMRDAKRFHHFKDGLCSCRDYW >ONI36143 pep chromosome:Prunus_persica_NCBIv2:G1:46598464:46600782:-1 gene:PRUPE_1G571700 transcript:ONI36143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRMVFLPSAQLQTLASSSASWRSSCLKLNFQPLISSSSSSMMPCQRCAALSSSRATFTSSSSASAFATGHEHDDIEAENEVDNLTTLQQKLNGARADGSRDPQQSSNQYNQSYNGVFWRNTGNMNNVVQQDGNFSGHYRQENGGLLQSHKLDGRNLGNGSIENPYASRQEGSIEVRQNPNAFGLQGNLGFQGNLNQNYIQHFAQNQQNLNGYYTRNDVMRHQNSSYGQYQQNPSCGQYQQNPIYGQNQPNPSYGKYHQAPSCGQYQQAPTSYGQQSQHVGQYQTNPDPFQNTIVDSQVASESKSERKLIEASESSPYSGTLEELDKFCKEGKVKEAVEILGMLEKQQVQVDLHLYFQLMQACGEAKALEEAKFVHENITRLLSPLNVSTYNRILEMYSKCGSMDSTFMVFNQMPNRNLTSWDIMIAWLAKNGLGEDAIDLFTEFKKAGLKPDGQMFIGVFYACSVLGDTTEGLLHFESMSKDYGIVPSMDHYVSVVDMLGSTGYLEEALEFIEKMPLEPNVDVWKTLMNLCRVHGQLELGDRCAELVEQLDASSLNEQSKAGLVPVKDSDLVKEKEKKKLAAQNLLEVRSRVHEYRAGDTSHPENDKIYAQLRGLREQMKEAGYIPETRFVLHDIDQEGKEDALLAHSERLAVAYALLSSPARSPVRVIKNLRVCGDCHNALKIISKIVGRELIMRDAKRFHHFKDGLCSCRDYW >ONI36140 pep chromosome:Prunus_persica_NCBIv2:G1:46595399:46601880:-1 gene:PRUPE_1G571700 transcript:ONI36140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRMVFLPSAQLQTLASSSASWRSSCLKLNFQPLISSSSSSMMPCQRCAALSSSRATFTSSSSASAFATGHEHDDIEAENELQQKLNGARADGSRDPQQSSNQYNQSYNGVFWRNTGNMNNVVQQDGNFSGHYRQENGGLLQSHKLDGRNLGNGSIENPYASRQEGSIEVRQNPNAFGLQGNLGFQGNLNQNYIQHFAQNQQNLNGYYTRNDVMRHQNSSYGQYQQNPSCGQYQQNPIYGQNQPNPSYGKYHQAPSCGQYQQAPTSYGQQSQHVGQYQTNPDPFQNTIVDSQVASESKSERKLIEASESSPYSGTLEELDKFCKEGKVKEAVEILGMLEKQQVQVDLHLYFQLMQACGEAKALEEAKFVHENITRLLSPLNVSTYNRILEMYSKCGSMDSTFMVFNQMPNRNLTSWDIMIAWLAKNGLGEDAIDLFTEFKKAGLKPDGQMFIGVFYACSVLGDTTEGLLHFESMSKDYGIVPSMDHYVSVVDMLGSTGYLEEALEFIEKMPLEPNVDVWKTLMNLCRVHGQLELGDRCAELVEQLDASSLNEQSKAGLVPVKDSDLVKEKEKKKLAAQNLLEVRSRVHEYRAGDTSHPENDKIYAQLRGLREQMKEAGYIPETRFVLHDIDQEGKEDALLAHSERLAVAYALLSSPARSPVRVIKNLRVCGDCHNALKIISKIVGRELIMRDAKRFHHFKDGLCSCRDYW >ONI27559 pep chromosome:Prunus_persica_NCBIv2:G1:7413706:7415016:1 gene:PRUPE_1G094800 transcript:ONI27559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRALARLFQTLNFEFRIFGAGFPLVAHVSRAILNVTQDYKEMEKMQLNYFPDETKCQDASPTFSSDNSSLSVYTFGGLFIITTVISISSWLIYIVCFLHKYWPALNSIHREASPLSKLVF >ONI30115 pep chromosome:Prunus_persica_NCBIv2:G1:24680531:24683890:-1 gene:PRUPE_1G231900 transcript:ONI30115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIKGILSLPRAALARHHGEKWGLGLRSFSTQGATTATTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGSHDPFLKGAMKRGDWHRTKDIVIKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERINLEKARKEAYEAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNAGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLTKDICNDVLMDFDALKAVQSGLGTAAVIVMDKSTDIVDAIARLSYFYKHESCGQGTQSVLWGMLLPGLCKV >ONI30114 pep chromosome:Prunus_persica_NCBIv2:G1:24680433:24684071:-1 gene:PRUPE_1G231900 transcript:ONI30114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIKGILSLPRAALARHHGEKWGLGLRSFSTQGATTATTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGSHDPFLKGAMKRGDWHRTKDIVIKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERINLEKARKEAYEAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNAGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLTKDICNDVLMDFDALKAVQSGLGTAAVIVMDKSTDIVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLEAAA >ONI27264 pep chromosome:Prunus_persica_NCBIv2:G1:5556109:5562962:1 gene:PRUPE_1G076800 transcript:ONI27264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTDEEEKTGVIFLTKPLSLDGDSEVDYRAPNLIKRVLSLFKNVRPGSDLSRFQLSPLFNLPKSHLQCFGESVYCVGNDLLGRCNKGESPQERFTAVVSWSISILRPMIFGIAPYNPILGETHHVSRGNLNVLLEQVSHHPPVTALHGTDEKENLEMIWCQHPTPQFYGTSVETEVHGKRQLKLLNHRETYEMNSPKLLIKFLPLPWVDWVGENRIQCHETGLEAELYYGSSSFFGLRGNPRTVKGKIFDSTSFELLYEIDGQWDRTVKMKDVNSGKETVIYNAKEAISGLKAPMVTDQKGVWPSESALIWGILSQAILGKDWSNAREAKKAVEEKQRELLRERESRGETWVPNHFTVTHSKEGGWDCSPIQKWVPSAPISVPL >ONI27265 pep chromosome:Prunus_persica_NCBIv2:G1:5557504:5562887:1 gene:PRUPE_1G076800 transcript:ONI27265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTDEEEKTGVIFLTKPLSLDGDSEVDYRAPNLIKRVLSLFKNVRPGSDLSRFQLSPLFNLPKSHLQCFGESVYCVGNDLLGRCNKGESPQERFTAVVSWSISILRPMIFGIAPYNPILGETHHVSRGNLNVLLEQVSHHPPVTALHGTDEKENLEMIWCQHPTPQFYGTSVETEVHGKRQLKLLNHRETYEMNSPKLLIKFLPLPWVDWVGENRIQCHETGLEAELYYGSSSFFGLRGNPRTVKGKIFDSTSFELLYEIDGQWDRTVKMKDVNSGKETVIYNAKEAISGLKAPMVTDQKGVWPSESALIWGILSQAILGKDWSNAREAKKAVEEKQRELLRERESRGETWVPNHFTVTHSKEGGWDCSPIQKWVPSAPISVPL >ONI31785 pep chromosome:Prunus_persica_NCBIv2:G1:31353882:31359327:1 gene:PRUPE_1G330700 transcript:ONI31785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGQVKRVETTPFEGQKPGTSGLRKKVKVFTQPHYLQNFVQSTFNALPADKVKGARIVVSGDGRYYSKEAIQIIIKMAAGNGVRSVWVGQNGLLSTPAVSAVVRERVGADGSKASGAFILTASHNPGGPNEDFGIKYNMENGGPAPESITDKIYENTTKIKEYLTVELPDVDIAKVGVTTFSVDGGTFDVDVFDSASDYVKLMKSIFDFEFIRKLLSSPKFTFCYDALHGVAGAYAKRIFVEELGAKESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSTTQDEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVEAIPYFSAGLKGVARSMPTSAALDVVAQHLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKENLGGEKLVSVEDIVRQHWATFGRHYYTRYDYENVDAGAAKELMASLVKLQSSLSEVNQIVKGIRSDVSSVVNADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKIGRESQEALGPLVEVALKLSKMQEFTGRSAPTVIT >ONI31786 pep chromosome:Prunus_persica_NCBIv2:G1:31354098:31359323:1 gene:PRUPE_1G330700 transcript:ONI31786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGQVKRVETTPFEGQKPGTSGLRKKVKVFTQPHYLQNFVQSTFNALPADKVKGARIVVSGDGRYYSKEAIQIIIKMAAGNGVRSVWVGQNGLLSTPAVSAVVRERVGADGSKASGAFILTASHNPGGPNEDFGIKYNMENGGPAPESITDKIYENTTKIKEYLTVELPDVDIAKVGVTTFSVDGGTFDVDVFDSASDYVKLMKSIFDFEFIRKLLSSPKFTFCYDALHGVAGAYAKRIFVEELGAKESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSTTQDEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVEAIPYFSAGLKGVARSMPTSAALDVVAQHLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKENLGGEKLVSVEDIVRQHWATFGRHYYTRYDYENVDAGAAKELMASLVKLQSSLSEVNQIVKGIRSDVSSVVNADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKIGRESQEALGPLVSCS >ONI36156 pep chromosome:Prunus_persica_NCBIv2:G1:46672815:46674688:1 gene:PRUPE_1G572500 transcript:ONI36156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDDDRAPVKAVAKGADIEKKKKKKKHRDKDNDLDKNFMIKPQSSTPSMDTSQWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYIRYGVINLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSAVPDVAKVARALEALTGAVFQKPPLISAVKRQLRIRTIYESKLLEFDADKHLVIFWISCEAGTYVRTLCVHLGLLLGVGGHMQELRRVRSGIMGEKDNMVTMHDVMDAQWVYDNYRDESYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMSTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASKKKKLIAEGKLDRHGKPTESTPQEWIRNVVLPTGGDFIVAGTAAALCTEPAVAEKEKEKEKEKEKEKKKDNNKDEEEAKEGCKRKLEERAESPVPVPAKKSKSEEVEKSEKKKKKKKDKENGTQR >ONI29301 pep chromosome:Prunus_persica_NCBIv2:G1:17772504:17787468:-1 gene:PRUPE_1G192000 transcript:ONI29301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRIVPACGNLCFFCPSMRARSRQPVKRYKKLLTDIFPRNQDAEPNDRKIGKLCEYALKNPLRIPKITDSLEQRCYKDLRNEHFGSVKVVLCIYRKLLSSCKEQMPLFASSLLGIVRILLEQNRHDEMRILGCNTLVDFINSQIDSTHMFSLEGLIPKLCQMAQEVGDNERALRLRSAGLQSLAFMVWFMGEHSHISMDFDTIISVTLDNYADIHTKPGSATEDRQYSVSQDQWVQGVLKAEVHDSSFPVISQKVPSLPNLKNADLDPTIDANKSPSYWSRVCLRNIARLAKEATTVRRVLEPLFQSFDAENHWSPDKPLAYHVLMYLQSLLEESGDNSHLLLHILVKHLDHKNVVKQPRLQADIVNVTTQIAQGAKQQASVAITGAISDLIKHLRKCLQNQAEVSSPGSTDKWNPDLLSALERCISQLSNKVGDVGPILDKMAVVLENIPTNTVVARTTISAVYLTAKMISSVPNVSYHKKAFPDALFHQLLLAMGHPDHETRVGAHSIFSMVLMPSLVAPWLEQKMNPLQAVSASVSTLQKVKDGSFSIQDEGKDTGVPLNGELEKEGCELSDVYEKQFGQSYSFKSGLTCGRTELTSLRLSSHQVSLLLSSIWVQATSATNTPENFEAMAHTYNVALLFTRSKASSHMALARCFQLAFSIRAISLDLDGGLHPSRRRSLFTLASYMLVFSARAGDLPELIPIFKASLEDKMVDPCLQLVDNAWLQAVSIESYKEKISSGSLQEDEVATFNSLSAVELDDQLLKETVISHFMTKFAKLSEDELSSIKKELLQGFSPDDAFPLGAPLFMETPRPCSPLAQIDFPDFDEVMPPGSLTDDEAFPEPSGSQSDRKTSLSINTLDILSVNQLLDSVLETARQVASFPVSTTPIPYDQMKSQCEALVTGKQQKMAVLHNFKHQVDAKAIVLSSEFDNTCPTLPTTAIELSEGDLKLKNKEQVRVQNQLILCSREIGQHSFKLPPSSPYDKFLKAAGC >ONI29304 pep chromosome:Prunus_persica_NCBIv2:G1:17772886:17786984:-1 gene:PRUPE_1G192000 transcript:ONI29304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRIVPACGNLCFFCPSMRARSRQPVKRYKKLLTDIFPRNQDAEPNDRKIGKLCEYALKNPLRIPKITDSLEQRCYKDLRNEHFGSVKVVLCIYRKLLSSCKEQMPLFASSLLGIVRILLEQNRHDEMRILGCNTLVDFINSQIDSTHMFSLEGLIPKLCQMAQEVGDNERALRLRSAGLQSLAFMVWFMGEHSHISMDFDTIISVTLDNYADIHTKPGSATEDRQYSVSQDQWVQGVLKAEVHDSSFPVISQKVPSLPNLKNADLDPTIDANKSPSYWSRVCLRNIARLAKEATTVRRVLEPLFQSFDAENHWSPDKPLAYHVLMYLQSLLEESGDNSHLLLHILVKHLDHKNVVKQPRLQADIVNVTTQIAQGAKQQASVAITGAISDLIKHLRKCLQNQAEVSSPGSTDKWNPDLLSALERCISQLSNKVGDVGPILDKMAVVLENIPTNTVVARTTISAVYLTAKMISSVPNVSYHKKAFPDALFHQLLLAMGHPDHETRVGAHSIFSMVLMPSLVAPWLEQKMNPLQAVSASVSTLQKVKDGSFSIQDEGKDTGVPLNGELEKEGCELSDVYEKQFGQSYSFKSGLTCGRTELTSLRLSSHQVSLLLSSIWVQATSATNTPENFEAMAHTYNVALLFTRSKASSHMALARCFQLAFSIRAISLDLDGGLHPSRRRSLFTLASYMLVFSARAGDLPELIPIFKASLEDKMVDPCLQLVDNAWLQAVSIESYKEKISSGSLQEDEVATFNSLSAVELDDQLLKETVISHFMTKFAKLSEDELSSIKKELLQGFSPDDAFPLGAPLFMETPRPCSPLAQIDFPDFDEVMPPGSLTDDEAFPEPSGSQSDRKTSLSINTLDILSVNQLLDSVLETARQVASFPVSTTPIPYDQMKSQCEALVTGKQQKMAVLHNFKHQVDAKAIVLSSEFDNTCPTLPTTAIELSEGDLKLKNKEQVRVQNQLILCSREIGQHSFKLPPSSPYDKFLKAAGC >ONI29303 pep chromosome:Prunus_persica_NCBIv2:G1:17772504:17787338:-1 gene:PRUPE_1G192000 transcript:ONI29303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRIVPACGNLCFFCPSMRARSRQPVKRYKKLLTDIFPRNQDAEPNDRKIGKLCEYALKNPLRIPKITDSLEQRCYKDLRNEHFGSVKVVLCIYRKLLSSCKEQMPLFASSLLGIVRILLEQNRHDEMRILGCNTLVDFINSQIDSTHMFSLEGLIPKLCQMAQEVGDNERALRLRSAGLQSLAFMVWFMGEHSHISMDFDTIISVTLDNYADIHTKPGSATEDRQYSVSQDQWVQGVLKAEVHDSSFPVISQKVPSLPNLKNADLDPTIDANKSPSYWSRVCLRNIARLAKEATTVRRVLEPLFQSFDAENHWSPDKPLAYHVLMYLQSLLEESGDNSHLLLHILVKHLDHKNVVKQPRLQADIVNVTTQIAQGAKQQASVAITGAISDLIKHLRKCLQNQAEVSSPGSTDKWNPDLLSALERCISQLSNKVGDVGPILDKMAVVLENIPTNTVVARTTISAVYLTAKMISSVPNVSYHKKAFPDALFHQLLLAMGHPDHETRVGAHSIFSMVLMPSLVAPWLEQKMNPLQAVSASVSTLQKVKDGSFSIQDEGKDTGVPLNGELEKEGCELSDVYEKQFGQSYSFKSGLTCGRTELTSLRLSSHQVSLLLSSIWVQATSATNTPENFEAMAHTYNVALLFTRSKASSHMALARCFQLAFSIRAISLDLDGGLHPSRRRSLFTLASYMLVFSARAGDLPELIPIFKASLEDKMVDPCLQLVDNAWLQAVSIESYKEKISSGSLQEDEVATFNSLSAVELDDQLLKETVISHFMTKFAKLSEDELSSIKKELLQGFSPDDAFPLGAPLFMETPRPCSPLAQIDFPDFDEVMPPGSLTDDEAFPEPSGSQSDRKTSLSINTLDILSVNQLLDSVLETARQVASFPVSTTPIPYDQMKSQCEALVTGKQQKMAVLHNFKHQVDAKAIVLSSEFDNTCPTLPTTAIELSEGDLKLKNKEQVRVQNQLILCSREIGQHSFKLPPSSPYDKFLKAAGC >ONI29305 pep chromosome:Prunus_persica_NCBIv2:G1:17772529:17786992:-1 gene:PRUPE_1G192000 transcript:ONI29305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRIVPACGNLCFFCPSMRARSRQPVKRYKKLLTDIFPRNQDAEPNDRKIGKLCEYALKNPLRIPKITDSLEQRCYKDLRNEHFGSVKVVLCIYRKLLSSCKEQMPLFASSLLGIVRILLEQNRHDEMRILGCNTLVDFINSQIDSTHMFSLEGLIPKLCQMAQEVGDNERALRLRSAGLQSLAFMVWFMGEHSHISMDFDTIISVTLDNYADIHTKPGSATEDRQYSVSQDQWVQGVLKAEVHDSSFPVISQKVPSLPNLKNADLDPTIDANKSPSYWSRVCLRNIARLAKEATTVRRVLEPLFQSFDAENHWSPDKPLAYHVLMYLQSLLEESGDNSHLLLHILVKHLDHKNVVKQPRLQADIVNVTTQIAQGAKQQASVAITGAISDLIKHLRKCLQNQAEVSSPGSTDKWNPDLLSALERCISQLSNKVGDVGPILDKMAVVLENIPTNTVVARTTISAVYLTAKMISSVPNVSYHKKAFPDALFHQLLLAMGHPDHETRVGAHSIFSMVLMPSLVAPWLEQKMNPLQAVSASVSTLQKVKDGSFSIQDEGKDTGVPLNGELEKEGCELSDVYEKQFGQSYSFKSGLTCGRTELTSLRLSSHQVSLLLSSIWVQATSATNTPENFEAMAHTYNVALLFTRSKASSHMALARCFQLAFSIRAISLDLDGGLHPSRRRSLFTLASYMLVFSARAGDLPELIPIFKASLEDKMVDPCLQLVDNAWLQAVSIESYKEKISSGSLQEDEVATFNSLSAVELDDQLLKETVISHFMTKFAKLSEDELSSIKKELLQGFSPDDAFPLGAPLFMETPRPCSPLAQIDFPDFDEVMPPGSLTDDEAFPEPSGSQSDRKTSLSINTLDILSVNQLLDSVLETARQVASFPVSTTPIPYDQMKSQCEALVTGKQQKMAVLHNFKHQVDAKAIVLSSEFDNTCPTLPTTVKLSEGDLKLKNKEQVRVQNQLILCSREIGQHSFKLPPSSPYDKFLKAAGC >ONI29302 pep chromosome:Prunus_persica_NCBIv2:G1:17772504:17787749:-1 gene:PRUPE_1G192000 transcript:ONI29302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMSRRIVPACGNLCFFCPSMRARSRQPVKRYKKLLTDIFPRNQDAEPNDRKIGKLCEYALKNPLRIPKITDSLEQRCYKDLRNEHFGSVKVVLCIYRKLLSSCKEQMPLFASSLLGIVRILLEQNRHDEMRILGCNTLVDFINSQIDSTHMFSLEGLIPKLCQMAQEVGDNERALRLRSAGLQSLAFMVWFMGEHSHISMDFDTIISVTLDNYADIHTKPGSATEDRQYSVSQDQWVQGVLKAEVHDSSFPVISQKVPSLPNLKNADLDPTIDANKSPSYWSRVCLRNIARLAKEATTVRRVLEPLFQSFDAENHWSPDKPLAYHVLMYLQSLLEESGDNSHLLLHILVKHLDHKNVVKQPRLQADIVNVTTQIAQGAKQQASVAITGAISDLIKHLRKCLQNQAEVSSPGSTDKWNPDLLSALERCISQLSNKVGDVGPILDKMAVVLENIPTNTVVARTTISAVYLTAKMISSVPNVSYHKKAFPDALFHQLLLAMGHPDHETRVGAHSIFSMVLMPSLVAPWLEQKMNPLQAVSASVSTLQKVKDGSFSIQDEGKDTGVPLNGELEKEGCELSDVYEKQFGQSYSFKSGLTCGRTELTSLRLSSHQVSLLLSSIWVQATSATNTPENFEAMAHTYNVALLFTRSKASSHMALARCFQLAFSIRAISLDLDGGLHPSRRRSLFTLASYMLVFSARAGDLPELIPIFKASLEDKMVDPCLQLVDNAWLQAVSIESYKEKISSGSLQEDEVATFNSLSAVELDDQLLKETVISHFMTKFAKLSEDELSSIKKELLQGFSPDDAFPLGAPLFMETPRPCSPLAQIDFPDFDEVMPPGSLTDDEAFPEPSGSQSDRKTSLSINTLDILSVNQLLDSVLETARQVASFPVSTTPIPYDQMKSQCEALVTGKQQKMAVLHNFKHQVDAKAIVLSSEFDNTCPTLPTTAIELSEGDLKLKNKEQVRVQNQLILCSREIGQHSFKLPPSSPYDKFLKAAGC >ONI35525 pep chromosome:Prunus_persica_NCBIv2:G1:44209871:44213931:1 gene:PRUPE_1G541200 transcript:ONI35525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKAAENGGFSFTRTGSMGRQGLPKIQTHPEATSEVCHDDSGTPVKAKTIDELHSLQKKKSSQPTTPINGKQGSFAAISEEDRQKQQLQSISASLASLTRETGPKVVKGDPARKSEGQKATHVEHHLYDASLFGVSDSALKFTHILYNLSPAELYEQAIKYEKGSFITATGALATLSGAKTGRSPRDKRVVKDEETENELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVYVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELLEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLSRREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSNNGVSNIEGGCYAKCIDLSKDKEPDIWNAIKFGTVVENVVFDEHTREVDYSEKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVLNQSSFCLKYLPVACIAYY >ONI35523 pep chromosome:Prunus_persica_NCBIv2:G1:44209575:44215014:1 gene:PRUPE_1G541200 transcript:ONI35523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKAAENGGFSFTRTGSMGRQGLPKIQTHPEATSEVCHDDSGTPVKAKTIDELHSLQKKKSSQPTTPINGKQGSFAAISEEDRQKQQLQSISASLASLTRETGPKVVKGDPARKSEGQKATHVEHHLYDASLFGVSDSALKFTHILYNLSPAELYEQAIKYEKGSFITATGALATLSGAKTGRSPRDKRVVKDEETENELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVYVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELLEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLSRREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSNNGVSNIEGGCYAKCIDLSKDKEPDIWNAIKFGTVVENVVFDEHTREVDYSEKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTRYAAMLSEKMQKHGATGWLVNTGWSAGRYGTGNRIKLAYTRKIIDAIHSGRLLNASYTKTEVFGLEIPTEIEGVPSEILDPTNTVSSLIFIWFLLI >ONI35524 pep chromosome:Prunus_persica_NCBIv2:G1:44209871:44214243:1 gene:PRUPE_1G541200 transcript:ONI35524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKAAENGGFSFTRTGSMGRQGLPKIQTHPEATSEVCHDDSGTPVKAKTIDELHSLQKKKSSQPTTPINGKQGSFAAISEEDRQKQQLQSISASLASLTRETGPKVVKGDPARKSEGQKATHVEHHLYDASLFGVSDSALKFTHILYNLSPAELYEQAIKYEKGSFITATGALATLSGAKTGRSPRDKRVVKDEETENELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVYVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELLEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLSRREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSNNGVSNIEGGCYAKCIDLSKDKEPDIWNAIKFGTVVENVVFDEHTREVDYSEKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTRYAAMLSEKMQKHGATGWLVNTGWSAGRYEINRNRNILMHLMMLFDFLFINRGGGDSNLGHLPMLE >ONI35519 pep chromosome:Prunus_persica_NCBIv2:G1:44209575:44215014:1 gene:PRUPE_1G541200 transcript:ONI35519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKAAENGGFSFTRTGSMGRQGLPKIQTHPEATSEVCHDDSGTPVKAKTIDELHSLQKKKSSQPTTPINGKQGSFAAISEEDRQKQQLQSISASLASLTRETGPKVVKGDPARKSEGQKATHVEHHLYDASLFGVSDSALKFTHILYNLSPAELYEQAIKYEKGSFITATGALATLSGAKTGRSPRDKRVVKDEETENELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVYVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELLEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLSRREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSNNGVSNIEGGCYAKCIDLSKDKEPDIWNAIKFGTVVENVVFDEHTREVDYSEKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTRYAAMLSEKMQKHGATGWLVNTGWSAGRYGTGNRIKLAYTRKIIDAIHSGRLLNASYTKTEVFGLEIPTEIEGVPSEILDPTNTWSDKKAYKDTLLQLGGLFKKNFEVFLNHKIGKDNKLTEEILAAGPNF >ONI35521 pep chromosome:Prunus_persica_NCBIv2:G1:44209481:44215149:1 gene:PRUPE_1G541200 transcript:ONI35521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKAAENGGFSFTRTGSMGRQGLPKIQTHPEATSEVCHDDSGTPVKAKTIDELHSLQKKKSSQPTTPINGKQGSFAAISEEDRQKQQLQSISASLASLTRETGPKVVKGDPARKSEGQKATHVEHHLYDASLFGVSDSALKFTHILYNLSPAELYEQAIKYEKGSFITATGALATLSGAKTGRSPRDKRVVKDEETENELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVYVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELLEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLSRREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSNNGVSNIEGGCYAKCIDLSKDKEPDIWNAIKFGTVVENVVFDEHTREVDYSEKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTRYAAMLSEKMQKHGATGWLVNTGWSAGRYGTGNRIKLAYTRKIIDAIHSGRLLNASYTKTEVFGLEIPTEIEGVPSEILDPTNTWSDKKAYKDTLLQLGGLFKKNFEVFLNHKIGKDNKLTEEILAAGPNF >ONI35522 pep chromosome:Prunus_persica_NCBIv2:G1:44209575:44215014:1 gene:PRUPE_1G541200 transcript:ONI35522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKAAENGGFSFTRTGSMGRQGLPKIQTHPEATSEVCHDDSGTPVKAKTIDELHSLQKKKSSQPTTPINGKQGSFAAISEEDRQKQQLQSISASLASLTRETGPKVVKGDPARKSEGQKATHVEHHLYDASLFGVSDSALKFTHILYNLSPAELYEQAIKYEKGSFITATGALATLSGAKTGRSPRDKRVVKDEETENELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVYVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELLEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLSRREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSNNGVSNIEGGCYAKCIDLSKDKEPDIWNAIKFGTVVENVVFDEHTREVDYSEKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTRYAAMLSEKMQKHGATGWLVNTGWSAGRYGTGNRIKLAYTRKIIDAIHSGRLLNASYTKTEVFGLEIPTEIEGVPSEILDPTNTV >ONI35520 pep chromosome:Prunus_persica_NCBIv2:G1:44209303:44215183:1 gene:PRUPE_1G541200 transcript:ONI35520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKAAENGGFSFTRTGSMGRQGLPKIQTHPEATSEVCHDDSGTPVKAKTIDELHSLQKKKSSQPTTPINGKQGSFAAISEEDRQKQQLQSISASLASLTRETGPKVVKGDPARKSEGQKATHVEHHLYDASLFGVSDSALKFTHILYNLSPAELYEQAIKYEKGSFITATGALATLSGAKTGRSPRDKRVVKDEETENELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVYVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELLEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLSRREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSNNGVSNIEGGCYAKCIDLSKDKEPDIWNAIKFGTVVENVVFDEHTREVDYSEKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTRYAAMLSEKMQKHGATGWLVNTGWSAGRYGTGNRIKLAYTRKIIDAIHSGRLLNASYTKTEVFGLEIPTEIEGVPSEILDPTNTWSDKKAYKDTLLQLGGLFKKNFEVFLNHKIGKDNKLTEEILAAGPNF >ONI33687 pep chromosome:Prunus_persica_NCBIv2:G1:37443193:37447183:1 gene:PRUPE_1G440800 transcript:ONI33687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAGLVRSSLCNTFPMAHLSLSSLSSASYSPSCPLIHRRIFVVASRIQASSSSSSSISNSNSTPKVVVTRERGKNGKLISSLAKQGISCLELPLIQHTRGPDLDKLPTVLSDTTFDWIVITSPEAGSVFLEAWKAAGTPNVKVGVVGAGTASIFEEVIQSSKQSLNVAFVPSKATGKVLASELPKNGNKKCTVLYPASAKASNEIEEGLSNRGFEVTRLNTYTTAPVHNVDQMVLKLALSAPVIAVASPSAIRAWVNLISELEQWNNSVACIGETTAKAAKKLGLRNVYYPADPGLEGWVGSIIEALQANVCL >ONI33689 pep chromosome:Prunus_persica_NCBIv2:G1:37443144:37447191:1 gene:PRUPE_1G440800 transcript:ONI33689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAGLVRSSLCNTFPMAHLSLSSLSSASYSPSCPLIHRRIFVVASRIQASSSSSSSISNSNSTPKVVVTRERGKNGKLISSLAKQGISCLELPLIQHTRGPDLDKLPTVLSDTTFDWIVITSPEAGSVFLEAWKAAGTPNVKVGVVGAGTASIFEEVIQSSKQSLNVAFVPSKATGKVLASELPKNGNKKCTVLYPASAKASNEIEEGLSNRGFEVTRLNTYTTAPVHNVDQMVLKLALSAPVIAVASPSAIRAWVNLISELEQWNNSVACIGETTAKAAKKLGLRNVYYPADPGLEGWVGSIIEALQANVCL >ONI33686 pep chromosome:Prunus_persica_NCBIv2:G1:37443193:37447231:1 gene:PRUPE_1G440800 transcript:ONI33686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAGLVRSSLCNTFPMAHLSLSSLSSASYSPSCPLIHRRIFVVASRIQASSSSSSSISNSNSTPKVVVTRERGKNGKLISSLAKQGISCLELPLIQHTRGPDLDKLPTVLSDTTFDWIVITSPEAGSVFLEAWKAAGTPNVKVGVVGAGTASIFEEVIQSSKQSLNVAFVPSKATGKVLASELPKNGNKKCTVLYPASAKASNEIEEGLSNRGFEVTRLNTYTTAPVHNVDQMVLKLALSAPVIAVASPSAIRAWVNLISELEQWNNSVACIGETTAKAAKKLGLRNVYYPADPGLEGWVGSIIEALQANVCL >ONI33688 pep chromosome:Prunus_persica_NCBIv2:G1:37443172:37447236:1 gene:PRUPE_1G440800 transcript:ONI33688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAGLVRSSLCNTFPMAHLSLSSLSSASYSPSCPLIHRRIFVVASRIQASSSSSSSISNSNSTPKVVVTRERGKNGKLISSLAKQGISCLELPLIQHTRGPDLDKLPTVLSDTTFDWIVITSPEAGSVFLEAWKAAGTPNVKVGVVGAGTASIFEEVIQSSKQSLNVAFVPSKATGKVLASELPKNGNKKCTVLYPASAKASNEIEEGLSNRGFEVTRLNTYTTAPVHNVDQMVLKLALSAPVIAVASPSAIRAWVNLISELEQWNNSVACIGETTAKAAKKLGLRNVYYPADPGLEGWVGSIIEALQANVCL >ONI33685 pep chromosome:Prunus_persica_NCBIv2:G1:37443193:37447183:1 gene:PRUPE_1G440800 transcript:ONI33685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAGLVRSSLCNTFPMAHLSLSSLSSASYSPSCPLIHRRIFVVASRIQASSSSSSSISNSNSTPKVVVTRERGKNGKLISSLAKQGISCLELPLIQHTRGPDLDKLPTVLSDTTFDWIVITSPEAGSVFLEAWKAAGTPNVKVGVVGAGTASIFEEVIQSSKQSLNVAFVPSKATGKVLASELPKNGNKKCTVLYPASAKASNEIEEGLSNRGFEVTRLNTYTTAPVHNVDQMVLKLALSAPVIAVASPSAIRAWVNLISELEQWNNSVACIGETTAKAAKKLGLRNVYYPADPGLEGWVGSIIEALQAN >ONI30081 pep chromosome:Prunus_persica_NCBIv2:G1:24311285:24312997:1 gene:PRUPE_1G229900 transcript:ONI30081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSFILLCLIVAWISIHALYYSFARRSSHPTRLPPGPNPFPFIGNLLELRNKPHLSLTKLSQCYGPIMTLQLGEITTVVVSSSALAKQVLRTHDQFFYNQTILDVVQACKHAKYGMAWLTRVQGVGVEYYGGGWEPNLADYFPVLKKIDPMGIGRSLGKHFQKMIDLFDDMIVGAFQRLESRKSRDYVTGNDMLDTLINMSEEKNEDMDMAKTQHLFLYYKQSLLQKVKKTTRKKQRFNGKPSSCLKRRRFYKTAMYD >ONI27067 pep chromosome:Prunus_persica_NCBIv2:G1:4701470:4702162:-1 gene:PRUPE_1G065700 transcript:ONI27067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVTLKSKGKTTNKGSKGSDERSVAQSVKEWSTWAMKKAKVVTHYGFIPLIIVIGMNSEPKPQLSQLLSPV >ONI29544 pep chromosome:Prunus_persica_NCBIv2:G1:19858513:19863732:-1 gene:PRUPE_1G201400 transcript:ONI29544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWFHSLFSAYRPQVLLQSLKPFSSMSTTKAIWNSKTNVIITNPTLLIMESCTTMLELKQIQAQMTCTGLITHTFPLSRILAFCALADGGDIQYAHLLFTQIEKPNTYMWNTMIRGYCKVQIPTIGFSFFGQMVRQHVEMDGRSFIFALKACEQFTRILEGHSVHCLIWKLGFDSDLLVRNGLVHYYAEGGFLSLARKVFDETFVRDVVTWTTMIDGYATRTCSDEAMKLFGSMLVSDVEPNEVTIIAVLSACSDKGNGGVGKTIHEYVQKKNVNCSLNLLNALLDMYVKCGSLTAAKETFDNMKVRDVFSWTSMVNGYAKLGDLNSARKFFNDMPERNVVSWNAMIAGYTQNNRPGEALKLFHEMVETGLVPTENSLVCVLSACGQLGCLDLGQWIHQHYLNRRCIQPSVILGNALMDMYAKCGSINSATELFGEMPERNLVSWNTMIAGYAGDAKQALTIFNQMKTMGLKPDDITFVAVLSACSHGGLVSQGREHFKSMTRDYDVEPKEEHYACMIDLLGRVGQLEEAYQLINKMPMEAGVSAWGALLNACKIHGNVELAKLSAEKLLELDPEDSGIYVLLANLYANKKRWDDVRIVRSLMKERGVRKNPGHSLIEVEGEFHEFLAADKSHPQLEEIYKVLNEIYLFFRLECYLPNILMNIYAYGDDFL >ONI29541 pep chromosome:Prunus_persica_NCBIv2:G1:19858406:19860762:-1 gene:PRUPE_1G201400 transcript:ONI29541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWFHSLFSAYRPQVLLQSLKPFSSMSTTKAIWNSKTNVIITNPTLLIMESCTTMLELKQIQAQMTCTGLITHTFPLSRILAFCALADGGDIQYAHLLFTQIEKPNTYMWNTMIRGYCKVQIPTIGFSFFGQMVRQHVEMDGRSFIFALKACEQFTRILEGHSVHCLIWKLGFDSDLLVRNGLVHYYAEGGFLSLARKVFDETFVRDVVTWTTMIDGYATRTCSDEAMKLFGSMLVSDVEPNEVTIIAVLSACSDKGNGGVGKTIHEYVQKKNVNCSLNLLNALLDMYVKCGSLTAAKETFDNMKVRDVFSWTSMVNGYAKLGDLNSARKFFNDMPERNVVSWNAMIAGYTQNNRPGEALKLFHEMVETGLVPTENSLVCVLSACGQLGCLDLGQWIHQHYLNRRCIQPSVILGNALMDMYAKCGSINSATELFGEMPERNLVSWNTMIAGYAGDAKQALTIFNQMKTMGLKPDDITFVAVLSACSHGGLVSQGREHFKSMTRDYDVEPKEEHYACMIDLLGRVGQLEEAYQLINKMPMEAGVSAWGALLNACKIHGNVELAKLSAEKLLELDPEDSGIYVLLANLYANKKRWDDVRIVRSLMKERGVRKNPGHSLIEVEGKKQLGSETSPKKRGKQHP >ONI29540 pep chromosome:Prunus_persica_NCBIv2:G1:19858325:19863732:-1 gene:PRUPE_1G201400 transcript:ONI29540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWFHSLFSAYRPQVLLQSLKPFSSMSTTKAIWNSKTNVIITNPTLLIMESCTTMLELKQIQAQMTCTGLITHTFPLSRILAFCALADGGDIQYAHLLFTQIEKPNTYMWNTMIRGYCKVQIPTIGFSFFGQMVRQHVEMDGRSFIFALKACEQFTRILEGHSVHCLIWKLGFDSDLLVRNGLVHYYAEGGFLSLARKVFDETFVRDVVTWTTMIDGYATRTCSDEAMKLFGSMLVSDVEPNEVTIIAVLSACSDKGNGGVGKTIHEYVQKKNVNCSLNLLNALLDMYVKCGSLTAAKETFDNMKVRDVFSWTSMVNGYAKLGDLNSARKFFNDMPERNVVSWNAMIAGYTQNNRPGEALKLFHEMVETGLVPTENSLVCVLSACGQLGCLDLGQWIHQHYLNRRCIQPSVILGNALMDMYAKCGSINSATELFGEMPERNLVSWNTMIAGYAGDAKQALTIFNQMKTMGLKPDDITFVAVLSACSHGGLVSQGREHFKSMTRDYDVEPKEEHYACMIDLLGRVGQLEEAYQLINKMPMEAGVSAWGALLNACKIHGNVELAKLSAEKLLELDPEDSGIYVLLANLYANKKRWDDVRIVRSLMKERGVRKNPGHSLIEVEGKKQLGSETSPKKRGKQHP >ONI29543 pep chromosome:Prunus_persica_NCBIv2:G1:19858406:19860762:-1 gene:PRUPE_1G201400 transcript:ONI29543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWFHSLFSAYRPQVLLQSLKPFSSMSTTKAIWNSKTNVIITNPTLLIMESCTTMLELKQIQAQMTCTGLITHTFPLSRILAFCALADGGDIQYAHLLFTQIEKPNTYMWNTMIRGYCKVQIPTIGFSFFGQMVRQHVEMDGRSFIFALKACEQFTRILEGHSVHCLIWKLGFDSDLLVRNGLVHYYAEGGFLSLARKVFDETFVRDVVTWTTMIDGYATRTCSDEAMKLFGSMLVSDVEPNEVTIIAVLSACSDKGNGGVGKTIHEYVQKKNVNCSLNLLNALLDMYVKCGSLTAAKETFDNMKVRDVFSWTSMVNGYAKLGDLNSARKFFNDMPERNVVSWNAMIAGYTQNNRPGEALKLFHEMVETGLVPTENSLVCVLSACGQLGCLDLGQWIHQHYLNRRCIQPSVILGNALMDMYAKCGSINSATELFGEMPERNLVSWNTMIAGYAGDAKQALTIFNQMKTMGLKPDDITFVAVLSACSHGGLVSQGREHFKSMTRDYDVEPKEEHYACMIDLLGRVGQLEEAYQLINKMPMEAGVSAWGALLNACKIHGNVELAKLSAEKLLELDPEDSGIYVLLANLYANKKRWDDVRIVRSLMKERGVRKNPGHSLIEVEGKKQLGSETSPKKRGKQHP >ONI29538 pep chromosome:Prunus_persica_NCBIv2:G1:19857498:19863733:-1 gene:PRUPE_1G201400 transcript:ONI29538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWFHSLFSAYRPQVLLQSLKPFSSMSTTKAIWNSKTNVIITNPTLLIMESCTTMLELKQIQAQMTCTGLITHTFPLSRILAFCALADGGDIQYAHLLFTQIEKPNTYMWNTMIRGYCKVQIPTIGFSFFGQMVRQHVEMDGRSFIFALKACEQFTRILEGHSVHCLIWKLGFDSDLLVRNGLVHYYAEGGFLSLARKVFDETFVRDVVTWTTMIDGYATRTCSDEAMKLFGSMLVSDVEPNEVTIIAVLSACSDKGNGGVGKTIHEYVQKKNVNCSLNLLNALLDMYVKCGSLTAAKETFDNMKVRDVFSWTSMVNGYAKLGDLNSARKFFNDMPERNVVSWNAMIAGYTQNNRPGEALKLFHEMVETGLVPTENSLVCVLSACGQLGCLDLGQWIHQHYLNRRCIQPSVILGNALMDMYAKCGSINSATELFGEMPERNLVSWNTMIAGYAGDAKQALTIFNQMKTMGLKPDDITFVAVLSACSHGGLVSQGREHFKSMTRDYDVEPKEEHYACMIDLLGRVGQLEEAYQLINKMPMEAGVSAWGALLNACKIHGNVELAKLSAEKLLELDPEDSGIYVLLANLYANKKRWDDVRIVRSLMKERGVRKNPGHSLIEVEG >ONI29535 pep chromosome:Prunus_persica_NCBIv2:G1:19857498:19863732:-1 gene:PRUPE_1G201400 transcript:ONI29535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWFHSLFSAYRPQVLLQSLKPFSSMSTTKAIWNSKTNVIITNPTLLIMESCTTMLELKQIQAQMTCTGLITHTFPLSRILAFCALADGGDIQYAHLLFTQIEKPNTYMWNTMIRGYCKVQIPTIGFSFFGQMVRQHVEMDGRSFIFALKACEQFTRILEGHSVHCLIWKLGFDSDLLVRNGLVHYYAEGGFLSLARKVFDETFVRDVVTWTTMIDGYATRTCSDEAMKLFGSMLVSDVEPNEVTIIAVLSACSDKGNGGVGKTIHEYVQKKNVNCSLNLLNALLDMYVKCGSLTAAKETFDNMKVRDVFSWTSMVNGYAKLGDLNSARKFFNDMPERNVVSWNAMIAGYTQNNRPGEALKLFHEMVETGLVPTENSLVCVLSACGQLGCLDLGQWIHQHYLNRRCIQPSVILGNALMDMYAKCGSINSATELFGEMPERNLVSWNTMIAGYAGDAKQALTIFNQMKTMGLKPDDITFVAVLSACSHGGLVSQGREHFKSMTRDYDVEPKEEHYACMIDLLGRVGQLEEAYQLINKMPMEAGVSAWGALLNACKIHGNVELAKLSAEKLLELDPEDSGIYVLLANLYANKKRWDDVRIVRSLMKERGVRKNPGHSLIEVEG >ONI29536 pep chromosome:Prunus_persica_NCBIv2:G1:19856731:19863732:-1 gene:PRUPE_1G201400 transcript:ONI29536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWFHSLFSAYRPQVLLQSLKPFSSMSTTKAIWNSKTNVIITNPTLLIMESCTTMLELKQIQAQMTCTGLITHTFPLSRILAFCALADGGDIQYAHLLFTQIEKPNTYMWNTMIRGYCKVQIPTIGFSFFGQMVRQHVEMDGRSFIFALKACEQFTRILEGHSVHCLIWKLGFDSDLLVRNGLVHYYAEGGFLSLARKVFDETFVRDVVTWTTMIDGYATRTCSDEAMKLFGSMLVSDVEPNEVTIIAVLSACSDKGNGGVGKTIHEYVQKKNVNCSLNLLNALLDMYVKCGSLTAAKETFDNMKVRDVFSWTSMVNGYAKLGDLNSARKFFNDMPERNVVSWNAMIAGYTQNNRPGEALKLFHEMVETGLVPTENSLVCVLSACGQLGCLDLGQWIHQHYLNRRCIQPSVILGNALMDMYAKCGSINSATELFGEMPERNLVSWNTMIAGYAGDAKQALTIFNQMKTMGLKPDDITFVAVLSACSHGGLVSQGREHFKSMTRDYDVEPKEEHYACMIDLLGRVGQLEEAYQLINKMPMEAGVSAWGALLNACKIHGNVELAKLSAEKLLELDPEDSGIYVLLANLYANKKRWDDVRIVRSLMKERGVRKNPGHSLIEVEG >ONI29545 pep chromosome:Prunus_persica_NCBIv2:G1:19858744:19860762:-1 gene:PRUPE_1G201400 transcript:ONI29545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWFHSLFSAYRPQVLLQSLKPFSSMSTTKAIWNSKTNVIITNPTLLIMESCTTMLELKQIQAQMTCTGLITHTFPLSRILAFCALADGGDIQYAHLLFTQIEKPNTYMWNTMIRGYCKVQIPTIGFSFFGQMVRQHVEMDGRSFIFALKACEQFTRILEGHSVHCLIWKLGFDSDLLVRNGLVHYYAEGGFLSLARKVFDETFVRDVVTWTTMIDGYATRTCSDEAMKLFGSMLVSDVEPNEVTIIAVLSACSDKGNGGVGKTIHEYVQKKNVNCSLNLLNALLDMYVKCGSLTAAKETFDNMKVRDVFSWTSMVNGYAKLGDLNSARKFFNDMPERNVVSWNAMIAGYTQNNRPGEALKLFHEMVETGLVPTENSLVCVLSACGQLGCLDLGQWIHQHYLNRRCIQPSVILGNALMDMYAKCGSINSATELFGEMPERNLVSWNTMIAGYAGDAKQALTIFNQMKTMGLKPDDITFVAVLSACSHGGLVSQGREHFKSMTRDYDVEPKEEHYACMIDLLGRVGQLEEAYQLINKMPMEAGVSAWGALLNACKIHGNVELAKLSAEKLLELDPEDSGIYVLLANLYANKKRWDDVRIVRSLMKERGVRKNPGHSLIEVEGEFHEFLAADKSHPQLEEIYKVLNEIYLFFRLECYLPNILMNIYAYGDDFL >ONI29542 pep chromosome:Prunus_persica_NCBIv2:G1:19857524:19863732:-1 gene:PRUPE_1G201400 transcript:ONI29542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWFHSLFSAYRPQVLLQSLKPFSSMSTTKAIWNSKTNVIITNPTLLIMESCTTMLELKQIQAQMTCTGLITHTFPLSRILAFCALADGGDIQYAHLLFTQIEKPNTYMWNTMIRGYCKVQIPTIGFSFFGQMVRQHVEMDGRSFIFALKACEQFTRILEGHSVHCLIWKLGFDSDLLVRNGLVHYYAEGGFLSLARKVFDETFVRDVVTWTTMIDGYATRTCSDEAMKLFGSMLVSDVEPNEVTIIAVLSACSDKGNGGVGKTIHEYVQKKNVNCSLNLLNALLDMYVKCGSLTAAKETFDNMKVRDVFSWTSMVNGYAKLGDLNSARKFFNDMPERNVVSWNAMIAGYTQNNRPGEALKLFHEMVETGLVPTENSLVCVLSACGQLGCLDLGQWIHQHYLNRRCIQPSVILGNALMDMYAKCGSINSATELFGEMPERNLVSWNTMIAGYAGDAKQALTIFNQMKTMGLKPDDITFVAVLSACSHGGLVSQGREHFKSMTRDYDVEPKEEHYACMIDLLGRVGQLEEAYQLINKMPMEAGVSAWGALLNACKIHGNVELAKLSAEKLLELDPEDSGIYVLLANLYANKKRWDDVRIVRSLMKERGVRKNPGHSLIEVEGKKQLGSETSPKKRGKQHP >ONI29537 pep chromosome:Prunus_persica_NCBIv2:G1:19856731:19863732:-1 gene:PRUPE_1G201400 transcript:ONI29537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWFHSLFSAYRPQVLLQSLKPFSSMSTTKAIWNSKTNVIITNPTLLIMESCTTMLELKQIQAQMTCTGLITHTFPLSRILAFCALADGGDIQYAHLLFTQIEKPNTYMWNTMIRGYCKVQIPTIGFSFFGQMVRQHVEMDGRSFIFALKACEQFTRILEGHSVHCLIWKLGFDSDLLVRNGLVHYYAEGGFLSLARKVFDETFVRDVVTWTTMIDGYATRTCSDEAMKLFGSMLVSDVEPNEVTIIAVLSACSDKGNGGVGKTIHEYVQKKNVNCSLNLLNALLDMYVKCGSLTAAKETFDNMKVRDVFSWTSMVNGYAKLGDLNSARKFFNDMPERNVVSWNAMIAGYTQNNRPGEALKLFHEMVETGLVPTENSLVCVLSACGQLGCLDLGQWIHQHYLNRRCIQPSVILGNALMDMYAKCGSINSATELFGEMPERNLVSWNTMIAGYAGDAKQALTIFNQMKTMGLKPDDITFVAVLSACSHGGLVSQGREHFKSMTRDYDVEPKEEHYACMIDLLGRVGQLEEAYQLINKMPMEAGVSAWGALLNACKIHGNVELAKLSAEKLLELDPEDSGIYVLLANLYANKKRWDDVRIVRSLMKERGVRKNPGHSLIEVEG >ONI29539 pep chromosome:Prunus_persica_NCBIv2:G1:19858406:19860762:-1 gene:PRUPE_1G201400 transcript:ONI29539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWFHSLFSAYRPQVLLQSLKPFSSMSTTKAIWNSKTNVIITNPTLLIMESCTTMLELKQIQAQMTCTGLITHTFPLSRILAFCALADGGDIQYAHLLFTQIEKPNTYMWNTMIRGYCKVQIPTIGFSFFGQMVRQHVEMDGRSFIFALKACEQFTRILEGHSVHCLIWKLGFDSDLLVRNGLVHYYAEGGFLSLARKVFDETFVRDVVTWTTMIDGYATRTCSDEAMKLFGSMLVSDVEPNEVTIIAVLSACSDKGNGGVGKTIHEYVQKKNVNCSLNLLNALLDMYVKCGSLTAAKETFDNMKVRDVFSWTSMVNGYAKLGDLNSARKFFNDMPERNVVSWNAMIAGYTQNNRPGEALKLFHEMVETGLVPTENSLVCVLSACGQLGCLDLGQWIHQHYLNRRCIQPSVILGNALMDMYAKCGSINSATELFGEMPERNLVSWNTMIAGYAGDAKQALTIFNQMKTMGLKPDDITFVAVLSACSHGGLVSQGREHFKSMTRDYDVEPKEEHYACMIDLLGRVGQLEEAYQLINKMPMEAGVSAWGALLNACKIHGNVELAKLSAEKLLELDPEDSGIYVLLANLYANKKRWDDVRIVRSLMKERGVRKNPGHSLIEVEGKKQLGSETSPKKRGKQHP >ONI27495 pep chromosome:Prunus_persica_NCBIv2:G1:6795330:6797072:1 gene:PRUPE_1G090200 transcript:ONI27495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNQLCRRAVEAQKLLYHGSELPPGHMKLPENVEWLKTIRRKIHEHPELAFEELDRLNMDGEYQEVYIRNEKKKKKKKERVKLVLNDKEDVDKFTRTLRVIQLEDAEQRQVTDQAVKIWLDELEEISPLLFFILFYLHKLC >ONI30484 pep chromosome:Prunus_persica_NCBIv2:G1:26416365:26422675:1 gene:PRUPE_1G253300 transcript:ONI30484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLFCCTTAKVTKEDMAKDSYEDAIAGLSKLLSENADLEGVAAAKIKQITAELESGGLDPVEKIRTGFLHFKKEKFEKDADLYDKLATGQSPKFMVFACSDSRVCPSHILNFQPGEAFVVRNIANMVPPFDPKKYAGVGAAIEYAVLHLKVENIIVIGHSCCGGIKGLMSIPDDGTTASDFIEDWVKICSPAKNKIKTAYSGLTFKEQCTNLEKEAVNVSLGNLLTYPFVREGVVNKTLSLNGGYYDFVNGSFQLWDLDFKITPHLSV >ONI30487 pep chromosome:Prunus_persica_NCBIv2:G1:26419404:26422675:1 gene:PRUPE_1G253300 transcript:ONI30487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSYEDAIAGLSKLLSENADLEGVAAAKIKQITAELESGGLDPVEKIRTGFLHFKKEKFEKDADLYDKLATGQSPKFMVFACSDSRVCPSHILNFQPGEAFVVRNIANMVPPFDPKKYAGVGAAIEYAVLHLKVENIIVIGHSCCGGIKGLMSIPDDGTTASDFIEDWVKICSPAKNKIKTAYSGLTFKEQCTNLEKEAVNVSLGNLLTYPFVREGVVNKTLSLNGGYYDFVNGSFQLWDLDFKITPHLSV >ONI30486 pep chromosome:Prunus_persica_NCBIv2:G1:26418789:26422675:1 gene:PRUPE_1G253300 transcript:ONI30486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSYEDAIAGLSKLLSENADLEGVAAAKIKQITAELESGGLDPVEKIRTGFLHFKKEKFEKDADLYDKLATGQSPKFMVFACSDSRVCPSHILNFQPGEAFVVRNIANMVPPFDPKKYAGVGAAIEYAVLHLKVENIIVIGHSCCGGIKGLMSIPDDGTTASDFIEDWVKICSPAKNKIKTAYSGLTFKEQCTNLEKEAVNVSLGNLLTYPFVREGVVNKTLSLNGGYYDFVNGSFQLWDLDFKITPHLSV >ONI30485 pep chromosome:Prunus_persica_NCBIv2:G1:26419086:26422680:1 gene:PRUPE_1G253300 transcript:ONI30485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSYEDAIAGLSKLLSENADLEGVAAAKIKQITAELESGGLDPVEKIRTGFLHFKKEKFEKDADLYDKLATGQSPKFMVFACSDSRVCPSHILNFQPGEAFVVRNIANMVPPFDPKKYAGVGAAIEYAVLHLKVENIIVIGHSCCGGIKGLMSIPDDGTTASDFIEDWVKICSPAKNKIKTAYSGLTFKEQCTNLEKEAVNVSLGNLLTYPFVREGVVNKTLSLNGGYYDFVNGSFQLWDLDFKITPHLSV >ONI35574 pep chromosome:Prunus_persica_NCBIv2:G1:44438068:44439916:-1 gene:PRUPE_1G543800 transcript:ONI35574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLASEDMSEGQDEEEDDAERVENSKFNIELVIHQTELFRIEGLDDELTLGRKSIKKKLKRCHGCSDLRRETEKIRPSPF >ONI26431 pep chromosome:Prunus_persica_NCBIv2:G1:1688102:1692454:1 gene:PRUPE_1G024200 transcript:ONI26431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSVHLLTPSNLAVPKHNTSFLSAFNRRLPVTTTAATTAVPVFPKLSIKAASSDREPSVIVTDNGTSSLGLQPPASQPDHALSSSIEVDAVTEAELRENGFRSTRRTKLICTIGPATSGFEQLESLAVGGMNVARINMCHGTREWHREVIQRVRKLNEEKGYAVAIMMDTEGSEIHMGDFGGASSAKAEDDEIWTFSVRAFGYTLPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVVEKLGPDVKCRCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYIAARSRDSDVAVIAKIESIDSLKNLEEIILASDGAMVARGDLGAQIPLEQVPAAQHRIVQVCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQFPEKSLAVLRSVSLRIERWWREEKHHEAMELPGVGSSFSDSISEEICISAAKMANNLGVDALFVYTKTGHTASLLSRCRPDCPIFAFTNTTSVRRRLNLQWGLIPFRVSFSDDMESNLNKTFSLLKARNLIKSGDLVIAVSDILQSIQVMNVP >ONI28472 pep chromosome:Prunus_persica_NCBIv2:G1:11229138:11232963:-1 gene:PRUPE_1G142800 transcript:ONI28472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTELLDVQPCELKFTFELKKQSLCSIQLGNKSDHYVAFKVKTTSPKKYCVRPNAGIIKPKATCDFTVTMQAQRVAPPDLQCKDKFLILSTVIPFGTTEEEITSDMVAEDVEGFETYKDMDESRAVKDVEELKPAKDVVELKPAKVVEELKPAKVVEELKPAKDAVALNLTKDFDELKLKLNTLDSKLKEAELTIMKLTEDRSMTTREKNMLKHELELLRRKNNVKRIMVGFPLFYVCMVALISLAIGYYIHP >ONI28473 pep chromosome:Prunus_persica_NCBIv2:G1:11229628:11232583:-1 gene:PRUPE_1G142800 transcript:ONI28473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTELLDVQPCELKFTFELKKQSLCSIQLGNKSDHYVAFKVKTTSPKKYCVRPNAGIIKPKATCDFTVTMQAQRVAPPDLQCKDKFLILSTVIPFGTTEEEITSDMFSKDSGKYIEEKKLRVVLISPPSSPVFVPINGESKQDPCYENSVKKDRVLSGVENIPPPHGVAEDVEGFETYKDMDESRAVKDVEELKPAKDVVELKPAKVVEELKPAKVVEELKPAKDAVALNLTKDFDELKLKLNTLDSKLKEAELTIMKLTEDRSMTTREKNMLKHELELLRRKNNVKRIMVGFPLFYVCMVALISLAIGYYIHP >ONI35924 pep chromosome:Prunus_persica_NCBIv2:G1:45799586:45803709:1 gene:PRUPE_1G561000 transcript:ONI35924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQTKKPHAPPKRFVRSQIPDSILHDVALNAAVALLPSNYNFEVHKCVWRVRSTHASRVALQLPEGLLMYSLVLSDILSTFGGASQCFVLGDATYGACCIDDLAAKALDADLLIHFGHSCLVPLHVTTIPCLYVFVEISIDVSRLIETVHLSIQNPHSKTLVLAGTIQFASAIRAAKPELEARGFKVLNPQSKPLSAGEVLGCTAPKITHNICRNSNQEEEDKESIVVFVADGRFHLEAIMIANPELKAFRYDPYLGKLFLEEYDQKGMRESRKSAILKAKEASNWGVVLGTLGRQGNPRILQRLEKKMREKGFAYTVVLMSEISPPRIALFEDSVDAWIQIACPRLSIDWGDAFKKPLLNPFEADIALGLIPGWWDKTKKNDVGCCGCANGNGAAAGEEEAVVGDYPMDYYALDGGEWNSSYVNKPSRPLRRDCASATAANIE >ONI34789 pep chromosome:Prunus_persica_NCBIv2:G1:41342706:41344902:1 gene:PRUPE_1G499300 transcript:ONI34789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYIHVPWHQCLTIQSYKPSTYTMGEVNPSYILSEEHRPKHVITEGEGIPLIDLSPITNREGLSADPNKAVEDLAAKIGEACRTWGFFSVINHGVPLGIRRRIMEVARKFFALPVEEKKKVSREDHNTAGFHNDEHSKDFKDWKEVYDFYVNDGMLMPASHELDDPEIVPWFTPWPENLPEFRETCEEYGRACEKLFFNLLELVSLSLGLPPERLHGYFENQASFARLNYYPPCPKPELVLGTGGHKDPSALTVLAQEDVEGLDVLRKSDGAWVRVKPVPDSFVINVGDVLQVLYKQLRLCVDTTIF >ONI34788 pep chromosome:Prunus_persica_NCBIv2:G1:41342700:41345562:1 gene:PRUPE_1G499300 transcript:ONI34788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYIHVPWHQCLTIQSYKPSTYTMGEVNPSYILSEEHRPKHVITEGEGIPLIDLSPITNREGLSADPNKAVEDLAAKIGEACRTWGFFSVINHGVPLGIRRRIMEVARKFFALPVEEKKKVSREDHNTAGFHNDEHSKDFKDWKEVYDFYVNDGMLMPASHELDDPEIVPWFTPWPENLPEFRETCEEYGRACEKLFFNLLELVSLSLGLPPERLHGYFENQASFARLNYYPPCPKPELVLGTGGHKDPSALTVLAQEDVEGLDVLRKSDGAWVRVKPVPDSFVINVGDVLQVWSNDLYESVEHRAEVNAETERYSIPIFFHPSHDVTMKPLDELVDEQSPAKYPEYKAGKWLNLRMYNNYKKHGFYMRMTDYKVAA >ONI35967 pep chromosome:Prunus_persica_NCBIv2:G1:45990635:46010056:-1 gene:PRUPE_1G563000 transcript:ONI35967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETSIISKLETSCSSGLHPLVSDYLQPFTQLQNPKKTKKSTKSQDQQTLLRSLAKKFLPFINRTLSLLPKRLAGFSKLDDEFTLELFDIYRLCLDCLDSLSSVLSGTPYSFNLQRVRMVTCLEACGRYKDAESEGFRVLESLKAIEFGSNTRVKSDRRFVPDVEKGSGDKDLGSLVGGIVVTLVRCAGMNQSKDSEVYRRVLCLVEEVMPWFRVLDANTYEKLHRMLVSSLSKCTQFLVGELSSFEGDLVKMFCLVTMTEYAKSSMKDQIFKFAHRICSSLFLFQKDRCLLIDILFCLLDSLVRECKVEVENTGKEFVELIAYCAKKCRTTNTNLCSIIGSHLNELAGDFHQVRTPFHLILRLYASGLHFFDRSMKSKAGGGAIRILHDDGDAMNRLSDLLGLLRSYFQIGHNEDTVLSNLQLISKSAASMSQLQRDRKDYILSYFNALKFLCQPLTELVNSGKKEILTDNEAASVSTELCDIQGAFHQFYDVFVFFQTCRCTYEVDRDVFDDRDIFDDNSIISVALASFTLSIRTKLNIQKSVQILENVITSDWIQPNGLKHLYVSLYNTGVLFYRNKELKEASEALKFCCKASWTCVICVCDMFVHKVKVPQVDLSEDAIVDFFDECCKRSAFLLDVLNQLQSHDVKRTILESFENWSIAANLFQRLPGPLSLVKQWVKMECKHYKNVDVEDDAPTLYSLLSSSKKVTKKTIEIVLEQELLAYEEMNDVNPEFCQKMQMKIIDILLQYVHVTPDSCLQKSRILLRKGRALRLSGISGLKGCIQCLSDAISSLNEMYDETYIHEISPCHQLAVAYCLRALCTQEAEPNSKQVLEDISSAINLWLGISTRNNCSPDDKCSMVSESIMLLLYNAIDLLSIKGCMDFHNDIHRLMIRLFKWRDVPLEKCVARLWECRRISHGLCASPVNEAFIMNLSDHCGENSKSIEFWVDCLKESKPLLLAFQYNLSSVSPNFPRGSCNYESSFRSDITIDEVKEAAFELISSVPVLSSSAYIAGYLYYDLCERLVSNGRLIEALSYAKEAYQLRAKLFREKFMYSSEQSKTCNEAGGSGEKLTYHIQDMHMHISVASEFWSFDASLCDLERCYLSPWNVLQCYLESTLQIGVIHEIIGNRAGAEGFLQLGKAISCSQSLPLFIIVFSTVLGKLYHKQQLWDFAEKELQSAKQYLRASSTDISCLKCRLMLEATVNQNLGDLFQSMFYNTRNTSLDKLSLAENLYKSAIAKLNLSEWKNSVSCPEQGWVESTRLRKTILKDVGSCASSTFTHSEENQEDIGKPTREGLKGKKEVKKCKKTNNAPKPVVKDQDAIPEYNLRSTRSRYQSSQNQSISGNGVVQVGHSKQLKGNSKSDCPDTFRKREFLLDLKSCEVAFGCDVTCICNKMSCWQCLPVEVLDSGLVKNLVDLKWEFVRRRLSLRLLTGLGKCLESRGQIQETHEITLQTVSILVSRNAFCLITSSVSSTSFLNLMGKEIPGDVFSVERAEVLLNISWLSLKSYCSKETRIICSDLPRIELPKLVSWLMLAFVLCRDVPVLFQKVSRLLAAIFLLSASSERFSLSSSSKTLCENHWASYFHQASLGTHLSYQFFTNVSDICNVQHLVNAEVTGSTCMGSGKKKLLRLAPESIQELEEFVTIFFAGLPCTTIICISLLAGPYVSLLEELFPVPSCVHAWILVSRLNSESQPIVMLLPVDSVLEGSAEDSDDVANSGSGSFSERKDTGKRWHCPWGFTVVDKVAPEFRLILEESFSSASLIFEEDTKNAWTFWWMWRQKLDLRLGKLLKNLEDSWFGPWRCVLLGEWSNCKQLDLVHKKLVRDLKSKCKVDIDESLLKVILGGSKCAFEGGAYVSQLCFKKGCYIGKAGCSGEEKCLTSPDESNGIEKESELAFQLIHEAVNELEGLCSVNREPIILVLDFEVQMLPWENLPILRNKEVYRMPSIGSISSTLEKNYHHQDQVANNITAFPLIDPLDSFYLLNPSGDLGTTQIEFEKWFRDQNLEGKAGCAPPAEELAVALKSHDLFIYFGHGSGVQYIPMHQIQRLENCAATLLMGCSSGSLKLNGCYIPHGPPLSYLLAGSPVIVANLWEVTDKDINRFAKAMLDGWLKERWSPSEGCVQCKVAEEFEAMSIRGRKGNAKKKISKKKLPEACESSDPPIKISCDHKPKIGSFMSQAREACSLPFLTGASPVCYGVPTGIRKKDL >ONI35966 pep chromosome:Prunus_persica_NCBIv2:G1:45990217:46010193:-1 gene:PRUPE_1G563000 transcript:ONI35966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETSIISKLETSCSSGLHPLVSDYLQPFTQLQNPKKTKKSTKSQDQQTLLRSLAKKFLPFINRTLSLLPKRLAGFSKLDDEFTLELFDIYRLCLDCLDSLSSVLSGTPYSFNLQRVRMVTCLEACGRYKDAESEGFRVLESLKAIEFGSNTRVKSDRRFVPDVEKGSGDKDLGSLVGGIVVTLVRCAGMNQSKDSEVYRRVLCLVEEVMPWFRVLDANTYEKLHRMLVSSLSKCTQFLVGELSSFEGDLVKMFCLVTMTEYAKSSMKDQIFKFAHRICSSLFLFQKDRCLLIDILFCLLDSLVRECKVEVENTGKEFVELIAYCAKKCRTTNTNLCSIIGSHLNELAGDFHQVRTPFHLILRLYASGLHFFDRSMKSKAGGGAIRILHDDGDAMNRLSDLLGLLRSYFQIGHNEDTVLSNLQLISKSAASMSQLQRDRKDYILSYFNALKFLCQPLTELVNSGKKEILTDNEAASVSTELCDIQGAFHQFYDVFVFFQTCTYEVDRDVFDDRDIFDDNSIISVALASFTLSIRTKLNIQKSVQILENVITSDWIQPNGLKHLYVSLYNTGVLFYRNKELKEASEALKFCCKASWTCVICVCDMFVHKVKVPQVDLSEDAIVDFFDECCKRSAFLLDVLNQLQSHDVKRTILESFENWSIAANLFQRLPGPLSLVKQWVKMECKHYKNVDVEDDAPTLYSLLSSSKKVTKKTIEIVLEQELLAYEEMNDVNPEFCQKMQMKIIDILLQYVHVTPDSCLQKSRILLRKGRALRLSGISGLKGCIQCLSDAISSLNEMYDETYIHEISPCHQLAVAYCLRALCTQEAEPNSKQVLEDISSAINLWLGISTRNNCSPDDKCSMVSESIMLLLYNAIDLLSIKGCMDFHNDIHRLMIRLFKWRDVPLEKCVARLWECRRISHGLCASPVNEAFIMNLSDHCGENSKSIEFWVDCLKESKPLLLAFQYNLSSVSPNFPRGSCNYESSFRSDITIDEVKEAAFELISSVPVLSSSAYIAGYLYYDLCERLVSNGRLIEALSYAKEAYQLRAKLFREKFMYSSEQSKTCNEAGGSGEKLTYHIQDMHMHISVASEFWSFDASLCDLERCYLSPWNVLQCYLESTLQIGVIHEIIGNRAGAEGFLQLGKAISCSQSLPLFIIVFSTVLGKLYHKQQLWDFAEKELQSAKQYLRASSTDISCLKCRLMLEATVNQNLGDLFQSMFYNTRNTSLDKLSLAENLYKSAIAKLNLSEWKNSVSCPEQGWVESTRLRKTILKDVGSCASSTFTHSEENQEDIGKPTREGLKGKKEVKKCKKTNNAPKPVVKDQDAIPEYNLRSTRSRYQSSQNQSISGNGVVQVGHSKQLKGNSKSDCPDTFRKREFLLDLKSCEVAFGCDVTCICNKMSCWQCLPVEVLDSGLVKNLVDLKWEFVRRRLSLRLLTGLGKCLESRGQIQETHEITLQTVSILVSRNAFCLITSSVSSTSFLNLMGKEIPGDVFSVERAEVLLNISWLSLKSYCSKETRIICSDLPRIELPKLVSWLMLAFVLCRDVPVLFQKVSRLLAAIFLLSASSERFSLSSSSKTLCENHWASYFHQASLGTHLSYQFFTNVSDICNVQHLVNAEVTGSTCMGSGKKKLLRLAPESIQELEEFVTIFFAGLPCTTIICISLLAGPYVSLLEELFPVPSCVHAWILVSRLNSESQPIVMLLPVDSVLEGSAEDSDDVANSGSGSFSERKDTGKRWHCPWGFTVVDKVAPEFRLILEESFSSASLIFEEDTKNAWTFWWMWRQKLDLRLGKLLKNLEDSWFGPWRCVLLGEWSNCKQLDLVHKKLVRDLKSKCKVDIDESLLKVILGGSKCAFEGGAYVSQLCFKKGCYIGKAGCSGEEKCLTSPDESNGIEKESELAFQLIHEAVNELEGLCSVNREPIILVLDFEVQMLPWENLPILRNKEVYRMPSIGSISSTLEKNYHHQDQVANNITAFPLIDPLDSFYLLNPSGDLGTTQIEFEKWFRDQNLEGKAGCAPPAEELAVALKSHDLFIYFGHGSGVQYIPMHQIQRLENCAATLLMGCSSGSLKLNGCYIPHGPPLSYLLAGSPVIVANLWEVTDKDINRFAKAMLDGWLKERWSPSEGCVQCKVAEEFEAMSIRGRKGNAKKKISKKKLPEACESSDPPIKISCDHKPKIGSFMSQAREACSLPFLTGASPVCYGVPTGIRKKDL >ONI35968 pep chromosome:Prunus_persica_NCBIv2:G1:45990217:46003589:-1 gene:PRUPE_1G563000 transcript:ONI35968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVHKVKVPQVDLSEDAIVDFFDECCKRSAFLLDVLNQLQSHDVKRTILESFENWSIAANLFQRLPGPLSLVKQWVKMECKHYKNVDVEDDAPTLYSLLSSSKKVTKKTIEIVLEQELLAYEEMNDVNPEFCQKMQMKIIDILLQYVHVTPDSCLQKSRILLRKGRALRLSGISGLKGCIQCLSDAISSLNEMYDETYIHEISPCHQLAVAYCLRALCTQEAEPNSKQVLEDISSAINLWLGISTRNNCSPDDKCSMVSESIMLLLYNAIDLLSIKGCMDFHNDIHRLMIRLFKWRDVPLEKCVARLWECRRISHGLCASPVNEAFIMNLSDHCGENSKSIEFWVDCLKESKPLLLAFQYNLSSVSPNFPRGSCNYESSFRSDITIDEVKEAAFELISSVPVLSSSAYIAGYLYYDLCERLVSNGRLIEALSYAKEAYQLRAKLFREKFMYSSEQSKTCNEAGGSGEKLTYHIQDMHMHISVASEFWSFDASLCDLERCYLSPWNVLQCYLESTLQIGVIHEIIGNRAGAEGFLQLGKAISCSQSLPLFIIVFSTVLGKLYHKQQLWDFAEKELQSAKQYLRASSTDISCLKCRLMLEATVNQNLGDLFQSMFYNTRNTSLDKLSLAENLYKSAIAKLNLSEWKNSVSCPEQGWVESTRLRKTILKDVGSCASSTFTHSEENQEDIGKPTREGLKGKKEVKKCKKTNNAPKPVVKDQDAIPEYNLRSTRSRYQSSQNQSISGNGVVQVGHSKQLKGNSKSDCPDTFRKREFLLDLKSCEVAFGCDVTCICNKMSCWQCLPVEVLDSGLVKNLVDLKWEFVRRRLSLRLLTGLGKCLESRGQIQETHEITLQTVSILVSRNAFCLITSSVSSTSFLNLMGKEIPGDVFSVERAEVLLNISWLSLKSYCSKETRIICSDLPRIELPKLVSWLMLAFVLCRDVPVLFQKVSRLLAAIFLLSASSERFSLSSSSKTLCENHWASYFHQASLGTHLSYQFFTNVSDICNVQHLVNAEVTGSTCMGSGKKKLLRLAPESIQELEEFVTIFFAGLPCTTIICISLLAGPYVSLLEELFPVPSCVHAWILVSRLNSESQPIVMLLPVDSVLEGSAEDSDDVANSGSGSFSERKDTGKRWHCPWGFTVVDKVAPEFRLILEESFSSASLIFEEDTKNAWTFWWMWRQKLDLRLGKLLKNLEDSWFGPWRCVLLGEWSNCKQLDLVHKKLVRDLKSKCKVDIDESLLKVILGGSKCAFEGGAYVSQLCFKKGCYIGKAGCSGEEKCLTSPDESNGIEKESELAFQLIHEAVNELEGLCSVNREPIILVLDFEVQMLPWENLPILRNKEVYRMPSIGSISSTLEKNYHHQDQVANNITAFPLIDPLDSFYLLNPSGDLGTTQIEFEKWFRDQNLEGKAGCAPPAEELAVALKSHDLFIYFGHGSGVQYIPMHQIQRLENCAATLLMGCSSGSLKLNGCYIPHGPPLSYLLAGSPVIVANLWEVTDKDINRFAKAMLDGWLKERWSPSEGCVQCKVAEEFEAMSIRGRKGNAKKKISKKKLPEACESSDPPIKISCDHKPKIGSFMSQAREACSLPFLTGASPVCYGVPTGIRKKDL >ONI33997 pep chromosome:Prunus_persica_NCBIv2:G1:38361927:38365865:-1 gene:PRUPE_1G457900 transcript:ONI33997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKKDKLVRFYDNEKQDVQFSWEKSDTQKHSKEYKVSAAAAPLLIPEGSTAAGVRAKPHRFGSFKVFPESHEPYKKKILDPGSDIFLQWNRIFLFSCLVALFVDPLFFYLPSVLNDGSSSCVATDLNLGIVVTCFRTLADMFYLLHMFIKLRTAYVSPSSRVFGRGELVMDPKKIARRYLRTDFFIDLIATLPLPQIVIWFIIPAVRSSHSTNNALVLIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLILYMLASHVLGASWYLLSIERYVTCWKSECRKEFSPTKCFLGYLDCGNLNNDDRRRWMNSTQVFSNCNPENTIDFKYGIFENAVTKNVVSTEFLQKYFYCLWWGLQNLSSYGQTLTTSTFIGETSFAILIAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMKHRQLPRDLQERVRRFVQYKWVATRGVDEESILHALPTDLRRDIQRHLCLDLVRRVPFFSQMDGQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGKLESSTTNGGRIGFFNSITLRPGDFCGEELLAWALLPKSTLNLPSSTRTVRSLDEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRTWAACFIQVAWRRFKKRLLAKNLSMVESFSYNYDKHEEADEAKEEKEDYPNTTDATSNTFQVRQNLGVTILASRFAANTRRGAQKIKDVQLPKLLKPEEPDFSIEPEDD >ONI33544 pep chromosome:Prunus_persica_NCBIv2:G1:36972586:36977655:1 gene:PRUPE_1G431600 transcript:ONI33544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFLNIVNATLDWVTATLDAPSSRAIVFGVPIGGHLFVEVLLFLVIVFLLSQKSYKPPKRPLTEKEIDELCEEWVPESLIPPITEEMQYEPPVLESAAGPHAIINGKEVVNFAAANYLGLIGHEKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSIVYSYGLSTMFSAIPAFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLRNTLEKITVKNQRAKKLRRYIVVEAVYQNSGQIAPLDEIVKLKEKYRFRVVLDESNSFGVLGKAGRGLTEFCGVPVEKIDIITAAMGHALATEGGFCTGSARVTDHQRLSSSGYVFSASLPPYLASGAITAIDVLEENPDLITKLKKNIAVLWKGLSGILGLSLASNPESPIVFLRLEKSTGSVKSDLQLLEDISDRLLKEYSIFVVTSKRSTLDKCRLPVGIRLFVSAAHLESDLLKASESLKRVAELVLKDHI >ONI33545 pep chromosome:Prunus_persica_NCBIv2:G1:36972533:36977655:1 gene:PRUPE_1G431600 transcript:ONI33545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFLNIVNATLDWVTATLDAPSSRAIVFGVPIGGHLFVEVLLFLVIVFLLSQKSYKPPKRPLTEKEIDELCEEWVPESLIPPITEEMQYEPPVLESAAGPHAIINGKEVVNFAAANYLGLIGHEKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSIVYSYGLSTMFSAIPAFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLRNTLEKITVKNQRAKKLRRYIVVEAVYQNSGQIAPLDEIVKLKEKYRFRVVLDESNSFGVLGKAGRGLTEFCGVPVEKIDIITAAMGHALATEGGFCTGSARVTDHQRLSSSGYVFSASLPPYLASGAITAIDVLEENPDLITKLKKNIAVLWKGLSGILGLSLASNPESPIVFLRLEKSTGSVKSDLQLLEDISDRLLKEYSIFVVTSKRSTLDKCRLPVGIRLFVSAAHLESDLLKASESLKRVAELVLKDHI >ONI33546 pep chromosome:Prunus_persica_NCBIv2:G1:36972586:36977655:1 gene:PRUPE_1G431600 transcript:ONI33546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFLNIVNATLDWVTATLDAPSSRAIVFGVPIGGHLFVEVLLFLVIVFLLSQKSYKPPKRPLTEKEIDELCEEWVPESLIPPITEEMQYEPPVLESAAGPHAIINGKEVVNFAAANYLGLIGHEKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSIVYSYGLSTMFSAIPAFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLRNTLEKITVKNQRAKKLRRYIVVEAVYQNSGQIAPLDEIVKLKEKYRFRVVLDESNSFGVLGKAGRGLTEFCGVPVEKIDIITAAMGHALATEGGFCTGSARVTDHQRLSSSGYVFSASLPPYLASGAITAIDVLEENPDLITKLKKNIAVLWKGLSGILGLSLASNPESPIVFLRLEKSTGSVKSDLQLLEDISDRLLKEYSIFVVTSKRSTLDKCRLPVGIRLFVSAAHLESDLLKASESLKRVAELVLKDHI >ONI33007 pep chromosome:Prunus_persica_NCBIv2:G1:35307758:35309679:1 gene:PRUPE_1G399400 transcript:ONI33007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNQNQNQNQQSSEGSRHDDDAALTEFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVSEVATDALQQCKARQASVVKDKRDKQQKDKRLILTMEDLSRALREQYGVNVKHQEYFADSPSTGLDPASREE >ONI33009 pep chromosome:Prunus_persica_NCBIv2:G1:35307758:35309679:1 gene:PRUPE_1G399400 transcript:ONI33009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNQNQNQNQQSSEGSRHDDDAALTEFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVSEVATDALQQCKARQASVVKDKRDKQQKVL >ONI33008 pep chromosome:Prunus_persica_NCBIv2:G1:35307694:35309928:1 gene:PRUPE_1G399400 transcript:ONI33008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNQNQNQNQQSSEGSRHDDDAALTEFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVSEVATDALQQCKARQASVVKDKRDKQQKDKRLILTMEDLSRALREYGVNVKHQEYFADSPSTGLDPASREE >ONI36181 pep chromosome:Prunus_persica_NCBIv2:G1:46745178:46751724:1 gene:PRUPE_1G573700 transcript:ONI36181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNVISRSTDEYTKERSQDLQRVFHNFDPSIRTQEKAVEYVRACNAAKLEKIFARPFVGAMDGHIDSISCMAKNPNHLTRIFSGSMDGDIRLWDIASRRTVCQFPGHQGAVQGLAVSTDGRVLVSCGTDSTVRLWGVPVSTLLEEDVSSDKSAPLETYVWKNAFRAVDHQWNFDRFATGGAGVDIWNHNRSAPEQSFEWGTDTVVSIKFNPGQSDILATSASDRSIAIYDLRMGKPAAKFIMRTRTNSISWNPMEPINFTAANEDSNCYSFDSRKLNEAKCVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYVISGSDDTNLRLWKAKASEQLGVLLPREQKKHEYNEAVKNRYKHLPEVKRIVRHRHLPKPVYKAAATLREMTDSRRKKHEKRKAHSAPGSIIEEPLRKRKIIKVE >ONI36180 pep chromosome:Prunus_persica_NCBIv2:G1:46745178:46751724:1 gene:PRUPE_1G573700 transcript:ONI36180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNVISRSTDEYTKERSQDLQRVFHNFDPSIRTQEKAVEYVRACNAAKLEKIFARPFVGAMDGHIDSISCMAKNPNHLTRIFSGSMDGDIRLWDIASRRTVCQFPGHQGAVQGLAVSTDGRVLVSCGTDSTVRLWGVPVSTLLEEDVSSDKSAVPLETYVWKNAFRAVDHQWNFDRFATGGAGVDIWNHNRSAPEQSFEWGTDTVVSIKFNPGQSDILATSASDRSIAIYDLRMGKPAAKFIMRTRTNSISWNPMEPINFTAANEDSNCYSFDSRKLNEAKCVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYVISGSDDTNLRLWKAKASEQLGVLLPREQKKHEYNEAVKNRYKHLPEVKRIVRHRHLPKPVYKAAATLREMTDSRRKKHEKRKAHSAPGSIIEEPLRKRKIIKVE >ONI31954 pep chromosome:Prunus_persica_NCBIv2:G1:32046224:32051679:1 gene:PRUPE_1G341000 transcript:ONI31954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSVAPLFGIREEDQNQQMKQQHSSTPPTSSTAAAAAPPQKKKRNQPGTPNPEAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPPSLSTIGSSLYGGSLSNTGLGLSHQVVGPPQISSLQQDHSQSSTAADILRLGGAGGAGAARTGQFDHLLGSSFRPAAQSSASFFLTAADQSTQQQYHDQDQDKSFQGLMQFSDNHHHLHQNNNNSNNPPHHHSAGANLFSLPFLSNNTTNTTNNNNSATNNNLLISPDHFNTNVNGTTTAAGGSEGSHNLFSGHIVGGDHISSGIPSLYSTNSNINNNNQQQQHHASMAHMSATALLQKAAQMGSTNSSNNTTASLLRSFGSSSSATAKSDRPGALVPSSLGGMFGSDQQDQSHLQDLMNSFANTGGSSIFVNASFGGYDAATANRAINMEETKLQQQNSLGVSNIGGADRLTRDFLGVGQVVRNMSGGFSHQRSEHHSEQQHGMDMSSLDSESNAAAPSSQSFGGGGNFQ >ONI31955 pep chromosome:Prunus_persica_NCBIv2:G1:32046224:32051679:1 gene:PRUPE_1G341000 transcript:ONI31955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSVAPLFGIREEDQNQQMKQQHSSTPPTSSTAAAAAPPQKKKRNQPGTPNPEAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEPKRKVYLCPEPTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPPSLSTIGSSLYGGSLSNTGLGLSHQVVGPPQISSLQQDHSQSSTAADILRLGGAGGAGAARTGQFDHLLGSSFRPAAQSSASFFLTAADQSTQQQYHDQDQDKSFQGLMQFSDNHHHLHQNNNNSNNPPHHHSAGANLFSLPFLSNNTTNTTNNNNSATNNNLLISPDHFNTNVNGTTTAAGGSEGSHNLFSGHIVGGDHISSGIPSLYSTNSNINNNNQQQQHHASMAHMSATALLQKAAQMGSTNSSNNTTASLLRSFGSSSSATAKSDRPGALVPSSLGGMFGSDQQDQSHLQDLMNSFANTGGSSIFVNASFGGYDAATANRAINMEETKLQQQNSLGVSNIGGADRLTRDFLGVGQVVRNMSGGFSHQRSEHHSEQQHGMDMSSLDSESNAAAPSSQSFGGGGNFQ >ONI35513 pep chromosome:Prunus_persica_NCBIv2:G1:44169285:44170839:1 gene:PRUPE_1G540600 transcript:ONI35513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYEVSTRPNLAFPVGLALLLFLLLSISAFFLCCLHWDRIRALIFSSVEDNPADQLQPELDQKPALPNMMAKKNQQQSLPVLMPGDQVPKFIAVACPCEPPVREKLTVIVQKPAYFCDAATVYI >ONI34330 pep chromosome:Prunus_persica_NCBIv2:G1:39517635:39520575:1 gene:PRUPE_1G475300 transcript:ONI34330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHPPLIFAILSLAAVAQANNAAQPAPQLYWNSVLPNTQMPRSISELLHPDSTNEEKSTNDVGKPETFPLGNQNYSSQKNYGTGNKRYCSQKRYGRGKKRYCDQKKYGRGASPSDNQLLHYKDLAIFFLEKDMRPGTTMKFQFSRNSNTATFLPRESAQSIPFSSNKLPEIFNHFSVKPTSEEAKTIKQTIEECEAPGLKGEEIYCATSLESMVDFSTSKLGTRNVEAISTEVLEKGATMSMHNYTTMPGLKKLASDKVVVCHKQNYPYAVFFCHAIKHTAAYVLFLKGDDGEKVKAVTICHLDTSEWNPEHMSFQIVNVKPGTIPICHFISTDAIAWVPNHKSA >ONI34331 pep chromosome:Prunus_persica_NCBIv2:G1:39517690:39526255:1 gene:PRUPE_1G475300 transcript:ONI34331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHPPLIFAILSLAAVAQANNAAQPAPQLYWNSVLPNTQMPRSISELLHPDSTNEEKSTNDVGKPETFPLGNQNYSSQKNYGTGNKRYCSQKRYGRGKKRYCDQKKYGRGASPSDNQLLHYKDLAIFFLEKDMRPGTTMKFQFSRNSNTATFLPRESAQSIPFSSNKLPEIFNHFSVKPTSEEAKTIKQTIEECEAPGLKGEEIYCATSLESMVDFSTSKLGTRNVEAISTEVLEKGATMSMHNYTTMPGLKKLASDKVVVCHKQNYPYAVFFCHAIKHTAAYVLFLKGDDGEKVKAVTICHLDTSEWNPEHMSFQIVNVKPGTIPICHFISTDAIAWVPNHKSA >ONI34628 pep chromosome:Prunus_persica_NCBIv2:G1:40870910:40873508:-1 gene:PRUPE_1G491300 transcript:ONI34628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMGDNQLTHHQVFDVSVDVPPEGGSKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWVAGPSVMLLFSFVTYYTSTLLAACYRTGDPVTGKRNYTYTDAVRSNLGGFKEKICGFVQYLNLFGVAIGYTIASSISMVAIKRSNCFYKNGDTAPCHVNSNPYMIAFGIAEIIFSQIPNFDQLWWLSIVAAVMSFTYSSIGLGLGIAKVVETGTIRGSMTGISIGNVTETQKIWRSFQALGDIAFAYSYSLILIEIQDTVKSPPSEAKTMKKATIVSVATTTLFYMLCGCMGYAAFGDSSPGNLLTGFGFFNPYWLIDIANAAIVIHLVGAYQVFVQPLYAFVEKTAAEKYPHSHFITKDIKFRIPGFGLFNLNLFRLVWRTCFVILTTVISMILPFFNDVVGLLGALGFWPLTVYFPVEMYIATKRIPKWSTRWICLQILSGACLIVTIAAAAGSIAGVISDLKIYKPFKTSY >ONI28581 pep chromosome:Prunus_persica_NCBIv2:G1:11728849:11733398:-1 gene:PRUPE_1G149500 transcript:ONI28581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVESSIASSRLRAISSPRFKASSSRKARTRLTLTAARDSGSDPSLHVSSNGNKRRTSGSDPSPNSGLAPPEPTCSSRTPQTPAPDARGSSAGSSSATPLLLKPTTLNPSSGSGHVEKLGGFNSYVTGSPDSKLAILGYEAPKARKLADKIAAAGFIVVLPDFFNGDPFDGNLASLPVWIKAHGVDKTIEDAKPVIEALKSKGVSAIGAVGFCWGGKGVVELAKYDFIQAAVLCHPSFVTVDDIKAVKVPISVLGAEIDQLSPPEVVKQFEEVLSAKPEIKSHVKIFPKVVHGWTLRYNDEDEAAVKAAEEAHQDLLGWFLSHVR >ONI30535 pep chromosome:Prunus_persica_NCBIv2:G1:26667841:26677819:1 gene:PRUPE_1G256500 transcript:ONI30535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRAAINENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASSGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPELAENFINPAAALLKEKHHGVLITGVQLCTDLCKVSEDALEYFRKKCTEGLVKTLKDVVNSPYAPEYDIAGITDPFLHIRLLKLLRELGQGDADASECMNDILAQVATKTESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAITVDAQAVQRHRATILECVKDSDASIRKRALELVYVLVNEGNVKPLTKELIDYLEVSDEEFKGDLTAKICSIVAKFSPEKIWYIDQMLKVLSEAGNFVKDEVWHAVIVVISNASDLHGYTVRALYRALQLSAEQESLVRVAIWCIGEYGDLLVNNVGMLNVEDPITVTESDAVDVIEIAIKHHTSDLTTKAMAMVALLKLSSRFPSCSERIKDIVVQYKGSLVLELQQRSIEMNSIIAKHQNIRSTLVERMPVLDEATFIGKRAGSIQATVSPSAGASINLPNGVAKPTAAPLVDLLDLGSDDVPAPSSSGGDLLHDLLGVDLSMASTQSGVNHAPKNGTDVLLDLLSIGSPTQSSQSVSDMLSSSQDNKTPVSPLEGLSSPSSNSIQPTSAGAAPTIDLLDGFSSNPPKQENNGTAYPSVVAFESSNLKMVFNFSKLPGNPQTTVIEATFTNLSTNIYSDFIFQAAVPKFLQLHLDPASGNTLPASGNGSITQTLRVTNSQHGKKSLVMRIRIAYKMNNKDVLEEGQISNFPRGL >ONI34881 pep chromosome:Prunus_persica_NCBIv2:G1:41640132:41647300:1 gene:PRUPE_1G503700 transcript:ONI34881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEANLPVRPAGARNLIRPTNLDSTSSSSSSFSSSFSSSSSPHLLRNVQAAFKRHRPLGVMQSNSIKPRRMVVPQRGASKSSASSVAPLIDTKKSREVASMSEGNAAKDSTVQPNNMPTVVKETQEDACITPFSSSGASTQTFDEHLNPFDGQIDQIKPGGKDNNQMCPVNFESQQIDGKKKVQFSTGSIATPLEMEWVSSSQAEASAVINHDSKHQNIQNTESDISLKSDGGISSSLAKRTTAIHDQLHQFKNFLSQPVTQSSVVGPSCATTTSVHATSAPMLSSTTYCTHLHSDSHVAVEPSGDFNINPQPVTQGKMIKPSNASLKDSSGMSTDHIAVAVQTSTAAIDTRMQVKEYDLSKEQKGCMLKETKIMENPSLLDAKSIEGKGFTGDVTNPQSQAPLPQNSCTDMKLESSKSEKQQKAASGKGASAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAFGFCQEIEYLDRLKGKNHIIQLIDYEVTDKALLREVLSGSMSNKDGRVKDDGYIYMVLEYGEIDLAHMLSQQWKEMDVSKKTIDENWLRFYWQQILLAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDADGNTIKCGRPSDIWSLGCILYQMVYGRTPFADYKTFWAKFKVITDPNHEITYAPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFIVPPVPNQPSLPHDENCKLLQLIAQTCENDSEASKLCCQIQQLLKDPVPSIGSQLITSRDQHCKLLSQMSRLCFQLQEHLISNSEG >ONI34880 pep chromosome:Prunus_persica_NCBIv2:G1:41641461:41647300:1 gene:PRUPE_1G503700 transcript:ONI34880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEANLPVRPAGARNLIRPTNLDSTSSSSSSFSSSFSSSSSPHLLRNVQAAFKRHRPLGVMQSNSIKPRRMVVPQRGASKSSASSVAPLIDTKKSREVASMSEGNAAKDSTVQPNNMPTVVKETQEDACITPFSSSGASTQTFDEHLNPFDGQIDQIKPGGKDNNQMCPVNFESQQIDGKKKVQFSTGSIATPLEMEWVSSSQAEASAVINHDSKHQNIQNTESDISLKSDGGISSSLAKRTTAIHDQLHQFKNFLSQPVTQSSVVGPSCATTTSVHATSAPMLSSTTYCTHLHSDSHVAVEPSGDFNINPQPVTQGKMIKPSNASLKDSSGMSTDHIAVAVQTSTAAIDTRMQVKEYDLSKEQKGCMLKETKIMENPSLLDAKSIEGKGFTGDVTNPQSQAPLPQNSCTDMKLESSKSEKQQKAASGKGASAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAFGFCQEIEYLDRLKGKNHIIQLIDYEVTDKALLREVLSGSMSNKDGRVKDDGYIYMVLEYGEIDLAHMLSQQWKEMDVSKKTIDENWLRFYWQQILLAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDADGNTIKCGRPSDIWSLGCILYQMVYGRTPFADYKTFWAKFKVITDPNHEITYAPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFIVPPVPNQPSLPHDENCKLLQLIAQTCENDSEASKLCCQIQQLLKDPVPSIGSQLITSRDQHCKLLSQMSRLCFQLQEHLISNSEG >ONI34882 pep chromosome:Prunus_persica_NCBIv2:G1:41640104:41647258:1 gene:PRUPE_1G503700 transcript:ONI34882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNSIKPRRMVVPQRGASKSSASSVAPLIDTKKSREVASMSEGNAAKDSTVQPNNMPTVVKETQEDACITPFSSSGASTQTFDEHLNPFDGQIDQIKPGGKDNNQMCPVNFESQQIDGKKKVQFSTGSIATPLGADDDMATGLEYLSSHMRSLALTEMEWVSSSQAEASAVINHDSKHQNIQNTESDISLKSDGGISSSLAKRTTAIHDQLHQFKNFLSQPVTQSSVVGPSCATTTSVHATSAPMLSSTTYCTHLHSDSHVAVEPSGDFNINPQPVTQGKMIKPSNASLKDSSGMSTDHIAVAVQTSTAAIDTRMQVKEYDLSKEQKGCMLKETKIMENPSLLDAKSIEGKGFTGDVTNPQSQAPLPQNSCTDMKLESSKSEKQQKAASGKGASAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAFGFCQEIEYLDRLKGKNHIIQLIDYEVTDKALLREVLSGSMSNKDGRVKDDGYIYMVLEYGEIDLAHMLSQQWKEMDVSKKTIDENWLRFYWQQILLAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDADGNTIKCGRPSDIWSLGCILYQMVYGRTPFADYKTFWAKFKVITDPNHEITYAPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFIVPPVPNQPSLPHDENCKLLQLIAQTCENDSEASKLCCQIQQLLKDPVPSIGSQLITSRDQHCKLLSQMSRLCFQLQEHLISNSEG >ONI34879 pep chromosome:Prunus_persica_NCBIv2:G1:41641497:41647258:1 gene:PRUPE_1G503700 transcript:ONI34879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEANLPVRPAGARNLIRPTNLDSTSSSSSSFSSSFSSSSSPHLLRNVQAAFKRHRPLGVMQSNSIKPRRMVVPQRGASKSSASSVAPLIDTKKSREVASMSEGNAAKDSTVQPNNMPTVVKETQEDACITPFSSSGASTQTFDEHLNPFDGQIDQIKPGGKDNNQMCPVNFESQQIDGKKKVQFSTGSIATPLGADDDMATGLEYLSSHMRSLALTEMEWVSSSQAEASAVINHDSKHQNIQNTESDISLKSDGGISSSLAKRTTAIHDQLHQFKNFLSQPVTQSSVVGPSCATTTSVHATSAPMLSSTTYCTHLHSDSHVAVEPSGDFNINPQPVTQGKMIKPSNASLKDSSGMSTDHIAVAVQTSTAAIDTRMQVKEYDLSKEQKGCMLKETKIMENPSLLDAKSIEGKGFTGDVTNPQSQAPLPQNSCTDMKLESSKSEKQQKAASGKGASAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAFGFCQEIEYLDRLKGKNHIIQLIDYEVTDKALLREVLSGSMSNKDGRVKDDGYIYMVLEYGEIDLAHMLSQQWKEMDVSKKTIDENWLRFYWQQILLAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDADGNTIKCGRPSDIWSLGCILYQMVYGRTPFADYKTFWAKFKVITDPNHEITYAPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFIVPPVPNQPSLPHDENCKLLQLIAQTCENDSEASKLCCQIQQLLKDPVPSIGSQLITSRDQHCKLLSQMSRLCFQLQEHLISNSEG >ONI34883 pep chromosome:Prunus_persica_NCBIv2:G1:41640104:41647280:1 gene:PRUPE_1G503700 transcript:ONI34883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNSIKPRRMVVPQRGASKSSASSVAPLIDTKKSREVASMSEGNAAKDSTVQPNNMPTVVKETQEDACITPFSSSGASTQTFDEHLNPFDGQIDQIKPGGKDNNQMCPVNFESQQIDGKKKVQFSTGSIATPLEMEWVSSSQAEASAVINHDSKHQNIQNTESDISLKSDGGISSSLAKRTTAIHDQLHQFKNFLSQPVTQSSVVGPSCATTTSVHATSAPMLSSTTYCTHLHSDSHVAVEPSGDFNINPQPVTQGKMIKPSNASLKDSSGMSTDHIAVAVQTSTAAIDTRMQVKEYDLSKEQKGCMLKETKIMENPSLLDAKSIEGKGFTGDVTNPQSQAPLPQNSCTDMKLESSKSEKQQKAASGKGASAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAFGFCQEIEYLDRLKGKNHIIQLIDYEVTDKALLREVLSGSMSNKDGRVKDDGYIYMVLEYGEIDLAHMLSQQWKEMDVSKKTIDENWLRFYWQQILLAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDADGNTIKCGRPSDIWSLGCILYQMVYGRTPFADYKTFWAKFKVITDPNHEITYAPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFIVPPVPNQPSLPHDENCKLLQLIAQTCENDSEASKLCCQIQQLLKDPVPSIGSQLITSRDQHCKLLSQMSRLCFQLQEHLISNSEG >ONI26529 pep chromosome:Prunus_persica_NCBIv2:G1:2177308:2181201:-1 gene:PRUPE_1G030700 transcript:ONI26529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHHDVGGYAKVNLTMHILAAVFVGLAAVAATSSYRRKKSSKALRAPNNIFPRIDRTESGRVGNIERFSHYVARQLGILDANECPQLCKLAYDYLRKSKGCEDSIFAYLASEADADSLCISLMQEFDRCILTYFAFHWSQATLMISQVLNVETKKKTKLKDLVLKATRKQRFERISKELKVTRVFSTLVEEMKAIKAESQCTDVMMPVAHSERSPVLLLMGGGMGAGKSTVLKDILNEPFWSGAAAKAVVVEADAFKETDVIYRALNSRGHHTDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWAPFVEQTIDMARHVHKCRYRMGVGYKVAEDGTITENYWERVGEEEDLHDQQKINSGQEPKRKPYRIELVGVVCDAYLAVVRGIRRAIMVRRAVRVNSQLQSHKRFASAFYGYCQLVDNARLYCTNSMTGPPMLIGWKDGVNRLLVDIEEIKCLTNLSSLNSDAESIYELYVQNPSLILEPGSCWKDFVLSPSRPSLQQKLIAIIQKIEISKSLTN >ONI26531 pep chromosome:Prunus_persica_NCBIv2:G1:2177088:2181343:-1 gene:PRUPE_1G030700 transcript:ONI26531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHLFCISLESSYSYDISETKKKTKLKDLVLKATRKQRFERISKELKVTRVFSTLVEEMKAIKAESQCTDVMMPVAHSERSPVLLLMGGGMGAGKSTVLKDILNEPFWSGAAAKAVVVEADAFKETDVIYRALNSRGHHTDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWAPFVEQTIDMARHVHKCRYRMGVGYKVAEDGTITENYWERVGEEEDLHDQQKINSGQEPKRKPYRIELVGVVCDAYLAVVRGIRRAIMVRRAVRVNSQLQSHKRFASAFYGYCQLVDNARLYCTNSMTGPPMLIGWKDGVNRLLVDIEEIKCLTNLSSLNSDAESIYELYVQNPSLILEPGSCWKDFVLSPSRPSLQQKLIAIIQKIEISKSLTN >ONI26533 pep chromosome:Prunus_persica_NCBIv2:G1:2177088:2179422:-1 gene:PRUPE_1G030700 transcript:ONI26533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIKAESQCTDVMMPVAHSERSPVLLLMGGGMGAGKSTVLKDILNEPFWSGAAAKAVVVEADAFKETDVIYRALNSRGHHTDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWAPFVEQTIDMARHVHKCRYRMGVGYKVAEDGTITENYWERVGEEEDLHDQQKINSGQEPKRKPYRIELVGVVCDAYLAVVRGIRRAIMVRRAVRVNSQLQSHKRFASAFYGYCQLVDNARLYCTNSMTGPPMLIGWKDGVNRLLVDIEEIKCLTNLSSLNSDAESIYELYVQNPSLILEPGSCWKDFVLSPSRPSLQQKLIAIIQKIEISKSLTN >ONI26530 pep chromosome:Prunus_persica_NCBIv2:G1:2177088:2181152:-1 gene:PRUPE_1G030700 transcript:ONI26530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLDVGGYAKVNLTMHILAAVFVGLAAVAATSSYRRKKSSKALRAPNNIFPRIDRTESGRVGNIERFSHYVARQLGILDANECPQLCKLAYDYLRKSKGCEDSIFAYLASEADADSLCISLMQEFDRCILTYFAFHWSQATLMISQVLNVETKKKTKLKDLVLKATRKQRFERISKELKVTRVFSTLVEEMKAIKAESQCTDVMMPVAHSERSPVLLLMGGGMGAGKSTVLKDILNEPFWSGAAAKAVVVEADAFKETDVIYRALNSRGHHTDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWAPFVEQTIDMARHVHKCRYRMGVGYKVAEDGTITENYWERVGEEEDLHDQQKINSGQEPKRKPYRIELVGVVCDAYLAVVRGIRRAIMVRRAVRVNSQLQSHKRFASAFYGYCQLVDNARLYCTNSMTGPPMLIGWKDGVNRLLVDIEEIKCLTNLSSLNSDAESIYELYVQNPSLILEPGSCWKDFVLSPSRPSLQQKLIAIIQKIEISKSLTN >ONI26532 pep chromosome:Prunus_persica_NCBIv2:G1:2177088:2179233:-1 gene:PRUPE_1G030700 transcript:ONI26532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIKAESQCTDVMMPVAHSERSPVLLLMGGGMGAGKSTVLKDILNEPFWSGAAAKAVVVEADAFKETDVIYRALNSRGHHTDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWAPFVEQTIDMARHVHKCRYRMGVGYKVAEDGTITENYWERVGEEEDLHDQQKINSGQEPKRKPYRIELVGVVCDAYLAVVRGIRRAIMVRRAVRVNSQLQSHKRFASAFYGYCQLVDNARLYCTNSMTGPPMLIGWKDGVNRLLVDIEEIKCLTNLSSLNSDAESIYELYVQNPSLILEPGSCWKDFVLSPSRPSLQQKLIAIIQKIEISKSLTN >ONI34115 pep chromosome:Prunus_persica_NCBIv2:G1:38668392:38676944:-1 gene:PRUPE_1G463300 transcript:ONI34115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLWLRIPLVKTDNDTMDVVNSSNLDDSWEIWNSFRLLCEHHSQLSVALDVLNSLPSANSLGRWFGESVRAAIISTDCFLTNARGYPCLSKRHQKLVSGFFNHSIQIVLSGEPVHSLPRINSDLAANNNDNNVDGVQKHPLRPYLDYVGYLYQRMDPLPEQERFELGYRDFLQSPLQPLMDNLEAQTYETFEKDTMKYIQYQRAICKALQDRVPDDKASSVTTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWENIVSIISSDMRYWDAPEKADILVSELLGSFGDNELSPECLDGAQRLLKDDGISIPSSYTSFIQPVTASKLYNDVKSHKDVAHFETAYVVKLHNIARLAPPQPVFTFIHPNRSIDKSNNRYTKLQFGVSSDTGSAMIHGFAGYFDAVLYKEVHLGIEPSTSTPNMFSWFPIFFPLRTPISLHPGSSLEVHFWRCCRPSKVWYEWTVTSPSSSPIHNTNGRSYWVGL >ONI34114 pep chromosome:Prunus_persica_NCBIv2:G1:38668844:38676838:-1 gene:PRUPE_1G463300 transcript:ONI34114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGERAGDKSESRYCGVETQFNDDMPHVLAFNLSHGSFDFVVAPLMDPAYQPSLMQKESGVSGVLPFAGSDLVLSPSQWSSHVVGKISSWIDLDSEDEILRVDSEITLKQEIAWASHLSLQACLLPAPKGNSCANYARCVNQILQGLNSMQLWLRIPLVKTDNDTMDVVNSSNLDDSWEIWNSFRLLCEHHSQLSVALDVLNSLPSANSLGRWFGESVRAAIISTDCFLTNARGYPCLSKRHQKLVSGFFNHSIQIVLSGEPVHSLPRINSDLAANNNDNNVDGVQKHPLRPYLDYVGYLYQRMDPLPEQERFELGYRDFLQSPLQPLMDNLEAQTYETFEKDTMKYIQYQRAICKALQDRVPDDKASSVTTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWENIVSIISSDMRYWDAPEKADILVSELLGSFGDNELSPECLDGAQRLLKDDGISIPSSYTSFIQPVTASKLYNDVKSHKDVAHFETAYVVKLHNIARLAPPQPVFTFIHPNRSIDKSNNRYTKLQFGVSSDTGSAMIHGFAGYFDAVLYKEVHLGIEPSTSTPNMFSWFPIFFPLRTPISLHPGSSLEVHFWRCCRPSKVWYEWTVTSPSSSPIHNTNGRSYWVGL >ONI31120 pep chromosome:Prunus_persica_NCBIv2:G1:29119160:29120717:1 gene:PRUPE_1G293600 transcript:ONI31120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVFFIMPCILVLSFSALLTPCTSLHIQLQPSNKQAAANDELQSSLPTLPRKLRFTEKVTIAGQEGKDSAPPNKQKENVSAAGGNDIKPKFQKEKESVQRSSGTWQEWMEGEDTSEFFTMDYSKVRRRRPIHNKSRPEVSP >ONI27352 pep chromosome:Prunus_persica_NCBIv2:G1:5985880:5992195:1 gene:PRUPE_1G081500 transcript:ONI27352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDKAPIAAASASAAVATNALSGSSGSGGVAAANANAKLLHPGAEFSKGINGLDKVVLRDPRGSVAEVYLYGGHVTSWKNDHGEELLFVSSKAIFKPPKAIRGGIPICFPQFSNLGSLDAHGFARNRFWSIDSDPSPFPTNTSNKVAVDLILRPNEEDMKTWPHSYEYRLRVALGPGGDLTLISRIRNTNSDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNRKRCTEQGDAITFESEVDKIYLSTPTKIAILDHEKKRTFVIRKDGLPDAVVWNPWDKKSKAMADFGDDEYKHMLCVETAAIEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPRKVLQGS >ONI27353 pep chromosome:Prunus_persica_NCBIv2:G1:5986084:5990773:1 gene:PRUPE_1G081500 transcript:ONI27353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDKAPIAAASASAAVATNALSGSSGSGGVAAANANAKLLHPGAEFSKGINGLDKVVLRDPRGSVAEVYLYGGHVTSWKNDHGEELLFVSSKAIFKPPKAIRGGIPICFPQFSNLGSLDAHGFARNRFWSIDSDPSPFPTNTSNKVAVDLILRPNEEDMKTWPHSYEYRLRVALGPGGDLTLISRIRNTNSDGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNRKRCTEQGDAITFESEVDKIYLSTPTKIAILDHEKKRTFVIRKDGLPDAVVWNPWDKKSKAMADFGDDEYKHMLCVETAAIEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPRKVLQGS >ONI36179 pep chromosome:Prunus_persica_NCBIv2:G1:46743148:46744389:1 gene:PRUPE_1G573600 transcript:ONI36179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSGTMVSTSFIRGQPMTSLRLAPNMGQALFGLKANRGGRVTMAAFKVKLLTPDGPQEFECPDDVYILDAAEEAGIDLPYSCRAGSCSSCAGKVVEGGVDQSDQSFLDDEQIDGGFVLTCVAYPSSDVVLETHKEEELTG >ONI28825 pep chromosome:Prunus_persica_NCBIv2:G1:13138104:13148082:-1 gene:PRUPE_1G163600 transcript:ONI28825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESVLTFATEGILTKLTSLAAQEISLAWGFKAELNRLRKTLSTIEGYLADVAQGPQGRSKSVEDWVTNLKRLAQDADDVLDEFNYELLRHKVEIRNHMKKKVLNFFSLSNPVAFRLKIAHKIQKINASLVNLKSEAPIIGLVSKKTDAAPRGIRGRIQTDSLPEKDGIIVGREEVVSDLVTTLTNSNNNQENLSVMAIVGMAGLGKTTLAKSVYNDNSVIKYFEKRIWVCVSDPFNINVILICMLESLNPSKATVRENQDALVKYLEEELREKRYLLVLDDVWNEDSEKWESLMTYLSKLNSAPGSKIIVTTRSGIVASLTETLPRPELELLSTDECWSILKHAACSDGSSDIPLGLERIGREIAKNCEGLPLIAKVLGGILRSKKTPAEWSEVKDSRIWDLPKAEDRIMSVLKLSFDNLESPALKQCFSYCSTFMKNADMERDNLIQLWMAQGFLHPSPEKNNLDMEDIGNEYFDILFQSSLFQNATVDDDGIVAECKMHDLVHDLAERVSETESMMRDFHNERIPEGSSGKLRSLFSNAEALLGNMLPWFKALRVLKLYDENIEELPSSIGKLKHLRYLDISFTEIKRLPNSIGKLYNLQTLRATNCGLKEFPKAVQNLINLRYVYCDEGTKFPAGVLRRLTSLRKLTCSLMGSEIEELAALNQLKGKLIIRNLEDVRNGDEASKAKLEEKKKVRHFLFKWTKNRSTTNNNEEDVLEGLQPHFELERLAIQFFIGTKFPSWMIKLDNLKKINLKGCNRFEEVSTLSHLPHLTGVWIQGCSGLQSIPDLNLFTSLRELSIESCERLESLVSSGPANVVEVLNITGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLNITGCNGLQSIPDLNLFTSGIFNIKSCERLENLVVIAHNGHNLSC >ONI28828 pep chromosome:Prunus_persica_NCBIv2:G1:13137806:13148082:-1 gene:PRUPE_1G163600 transcript:ONI28828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESVLTFATEGILTKLTSLAAQEISLAWGFKAELNRLRKTLSTIEGYLADVAQGPQGRSKSVEDWVTNLKRLAQDADDVLDEFNYELLRHKVEIRNHMKKKVLNFFSLSNPVAFRLKIAHKIQKINASLVNLKSEAPIIGLVSKKTDAAPRGIRGRIQTDSLPEKDGIIVGREEVVSDLVTTLTNSNNNQENLSVMAIVGMAGLGKTTLAKSVYNDNSVIKYFEKRIWVCVSDPFNINVILICMLESLNPSKATVRENQDALVKYLEEELREKRYLLVLDDVWNEDSEKWESLMTYLSKLNSAPGSKIIVTTRSGIVASLTETLPRPELELLSTDECWSILKHAACSDGSSDIPLGLERIGREIAKNCEGLPLIAKVLGGILRSKKTPAEWSEVKDSRIWDLPKAEDRIMSVLKLSFDNLESPALKQCFSYCSTFMKNADMERDNLIQLWMAQGFLHPSPEKNNLDMEDIGNEYFDILFQSSLFQNATVDDDGIVAECKMHDLVHDLAERVSETESMMRDFHNERIPEGSSGKLRSLFSNAEALLGNMLPWFKALRVLKLYDENIEELPSSIGKLKHLRYLDISFTEIKRLPNSIGKLYNLQTLRATNCGLKEFPKAVQNLINLRYVYCDEGTKFPAGVLRRLTSLRKLTCSLMGSEIEELAALNQLKGKLIIRNLEDVRNGDEASKAKLEEKKKVRHFLFKWTKNRSTTNNNEEDVLEGLQPHFELERLAIQFFIGTKFPSWMIKLDNLKKINLKGCNRFEEVSTLSHLPHLTGVWIQGCSGLQSIPDLNLFTSLRELSIESCERLESLVSSGPANVVEVLNITGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLNITGCNGLQSIPDLNLFTSGIFNIKSCERLENLVVIAHNGHNLSC >ONI28826 pep chromosome:Prunus_persica_NCBIv2:G1:13137831:13148082:-1 gene:PRUPE_1G163600 transcript:ONI28826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESVLTFATEGILTKLTSLAAQEISLAWGFKAELNRLRKTLSTIEGYLADVAQGPQGRSKSVEDWVTNLKRLAQDADDVLDEFNYELLRHKVEIRNHMKKKVLNFFSLSNPVAFRLKIAHKIQKINASLVNLKSEAPIIGLVSKKTDAAPRGIRGRIQTDSLPEKDGIIVGREEVVSDLVTTLTNSNNNQENLSVMAIVGMAGLGKTTLAKSVYNDNSVIKYFEKRIWVCVSDPFNINVILICMLESLNPSKATVRENQDALVKYLEEELREKRYLLVLDDVWNEDSEKWESLMTYLSKLNSAPGSKIIVTTRSGIVASLTETLPRPELELLSTDECWSILKHAACSDGSSDIPLGLERIGREIAKNCEGLPLIAKVLGGILRSKKTPAEWSEVKDSRIWDLPKAEDRIMSVLKLSFDNLESPALKQCFSYCSTFMKNADMERDNLIQLWMAQGFLHPSPEKNNLDMEDIGNEYFDILFQSSLFQNATVDDDGIVAECKMHDLVHDLAERVSETESMMRDFHNERIPEGSSGKLRSLFSNAEALLGNMLPWFKALRVLKLYDENIEELPSSIGKLKHLRYLDISFTEIKRLPNSIGKLYNLQTLRATNCGLKEFPKAVQNLINLRYVYCDEGTKFPAGVLRRLTSLRKLTCSLMGSEIEELAALNQLKGKLIIRNLEDVRNGDEASKAKLEEKKKVRHFLFKWTKNRSTTNNNEEDVLEGLQPHFELERLAIQFFIGTKFPSWMIKLDNLKKINLKGCNRFEEVSTLSHLPHLTGVWIQGCSGLQSIPDLNLFTSLRELSIESCERLESLVSSGPANVVEVLNITGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLNITGCNGLQSIPDLNLFTSGIFNIKSCERLENLVVIAHNGHNLSC >ONI28827 pep chromosome:Prunus_persica_NCBIv2:G1:13137333:13148082:-1 gene:PRUPE_1G163600 transcript:ONI28827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESVLTFATEGILTKLTSLAAQEISLAWGFKAELNRLRKTLSTIEGYLADVAQGPQGRSKSVEDWVTNLKRLAQDADDVLDEFNYELLRHKVEIRNHMKKKVLNFFSLSNPVAFRLKIAHKIQKINASLVNLKSEAPIIGLVSKKTDAAPRGIRGRIQTDSLPEKDGIIVGREEVVSDLVTTLTNSNNNQENLSVMAIVGMAGLGKTTLAKSVYNDNSVIKYFEKRIWVCVSDPFNINVILICMLESLNPSKATVRENQDALVKYLEEELREKRYLLVLDDVWNEDSEKWESLMTYLSKLNSAPGSKIIVTTRSGIVASLTETLPRPELELLSTDECWSILKHAACSDGSSDIPLGLERIGREIAKNCEGLPLIAKVLGGILRSKKTPAEWSEVKDSRIWDLPKAEDRIMSVLKLSFDNLESPALKQCFSYCSTFMKNADMERDNLIQLWMAQGFLHPSPEKNNLDMEDIGNEYFDILFQSSLFQNATVDDDGIVAECKMHDLVHDLAERVSETESMMRDFHNERIPEGSSGKLRSLFSNAEALLGNMLPWFKALRVLKLYDENIEELPSSIGKLKHLRYLDISFTEIKRLPNSIGKLYNLQTLRATNCGLKEFPKAVQNLINLRYVYCDEGTKFPAGVLRRLTSLRKLTCSLMGSEIEELAALNQLKGKLIIRNLEDVRNGDEASKAKLEEKKKVRHFLFKWTKNRSTTNNNEEDVLEGLQPHFELERLAIQFFIGTKFPSWMIKLDNLKKINLKGCNRFEEVSTLSHLPHLTGVWIQGCSGLQSIPDLNLFTSLRELSIESCERLESLVSSGPANVVEVLNITGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLHIKGCSGLQSIPDLNLFTSVHIFNIESCDRLESLVSSGPINVELLNITGCNGLQSIPDLNLFTSGIFNIKSCERLENLVVIAHNGHNLSC >ONI29922 pep chromosome:Prunus_persica_NCBIv2:G1:23664447:23665614:-1 gene:PRUPE_1G222500 transcript:ONI29922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVKWLKKKSFGGYERVPLIKEEVGNVSEKKEEWCKFVELEFTKHCEGCIRDVKKCCKQTKGVESVVVDKDNPVVVVEGRFLLEKLCSRLQNVANKVVTVKRSEMEETQEQGESSHGDDHVSEPEEDKKN >ONI35908 pep chromosome:Prunus_persica_NCBIv2:G1:45707338:45711091:1 gene:PRUPE_1G559900 transcript:ONI35908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFHSNSKMAKLLKGNLENVDLNSPLFRYLCAQSQSQSPMDALKQRRRHLSPLSPLSPLENLMERSPPSIVYRTPVRGVQREEVLVMDGVLVTGGGRSSRSASDLSSSSSSSDSSGNILYKTDLCRSWEDSGSCRYTSKGQIAPGKEKPRPTRFPVKNKSETLMCKSYTGTRLCTPSPKSNFVHPVMAISVTEAASATTQAASSTAPKATMKTPTTTISFLDWSPQDDGIEVVLPYSSTGTPPSREDISSYITDVLCGPTTRRILPVFAEICPE >ONI35907 pep chromosome:Prunus_persica_NCBIv2:G1:45707294:45711311:1 gene:PRUPE_1G559900 transcript:ONI35907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFHSNSKMAKLLKGNLENVDLNSPLFRYLCAQSQSQSPMDALKQRRRHLSPLSPLSPLENLMERSPPSIVYRTPVRGVQREEVLVMDGVLVTGGGRSSRSASDLSSSSSSSDSSGNILYKTDLCRSWEDSGSCRYTSKGQIAPGKEKPRPTRFPVKNKSETLMCKSYTGTRLCTPSPKSNFVHPVMAISVTEAASATTQAASSTAPKATMKTPTTTISFLDWSPQDDGIEVVLPYSSTGTPPSREDISSYITDVLCGPTTRRILPVFAEICPE >ONI35909 pep chromosome:Prunus_persica_NCBIv2:G1:45707272:45711225:1 gene:PRUPE_1G559900 transcript:ONI35909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFHSNSKMAKLLKGNLENVDLNSPLFRYLCAQSQSQSPMDALKQRRRHLSPLSPLSPLENLMERSPPSIVYRTPVRGVQREEVLVMDGVLVTGGGRSSRSASDLSSSSSSSDSSGNILYKTDLCRSWEDSGSCRYTSKGQIAPGKEKPRPTRFPVKNKSETLMCKSYTGTRLCTPSPKSNFVHPVMAISVTEAASATTQAASSTAPKATMKTPTTTISFLDWSPQDDGIEVVLPYSSTGTPPSREDISSYITDVLCGPTTRRILPVFAEICPE >ONI28628 pep chromosome:Prunus_persica_NCBIv2:G1:12023075:12025235:1 gene:PRUPE_1G152300 transcript:ONI28628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFSKDEISVPSPQTSNCTSTSDHSNKDDQEMGSNAMSDEGEVSNNSAFFCFPFHMDLNLVRAVFAEMVGTFMLMFCVCGIIASTQLMRGEVGLMEYAATAGLTVVVVIFSIGSISGAHVNPAVTVAFATLGHFPWCRVPVYILAQTMGSVLATYIGRLVYGIKPDLMTTRPLQSPAAAFCVELIATFMIMFLAASLTHQAHAVGYLSGFVVGIAIGLSVLITGPVSGGSMNPARSLGPAIVAWNFQDLWIYICGPTIGAVAGARLFQILRLQPCSPSNTSSSNIRLLGQPLPYEAT >ONI36207 pep chromosome:Prunus_persica_NCBIv2:G1:46836846:46842813:-1 gene:PRUPE_1G575000 transcript:ONI36207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSGDGLSGGATSAASATAAAASRDAEALFRSKPISEIRTVESTTRTQIQSKMEELRQLVGTRYRDLIDSADSIVLMKRSSHSISLNISSVHASIDSLSSSASTPDLPDPSRHDPTRHRIYGIACRVKYLVDTPENIWGCLDESMFLESAARYSRASHVHSILTLPGHVRFLSNFPLLQHQWQIVDSFKSQISQRARDRLFDRELQLPISSYADALAAVALIDDLRPEHVLSLFLETRKSWVSQILNACGVDAQCSDVVSVLCEALRVIQVTVGQVGELFLRVFNDMPLFYKVVLGSPPASQLFGGIPNPDEEVKLWNSFREKLEAAMGMLEKDYIAKACRSWLKDCGGQMVDKINGRFLIDAIGSGHELASAEKLIRETMNSKEVLEGSLEWLKNVFGSNIDLPWSRMSELVLGDDSDLWDSIFEPAFVGRMKVIVDRRFEELTRAVNVKEGEPIDFLGAGGGIWFVEAKSKHGKKGTSALPCEENCLNFYFGPQASGIRDAVDGSCQGVLDDLLCFLESPKAALRLKDLAPYLQDKCYQTISVILMQLNSELGNLESGKDKQGLVTVERALFIGRLLFALQNHSKHIPIILGPPRSWANATGSAVFDKLPSMLRQSRAPTDSPVLDSPLGSKRHTSSATAALLGASQSASPKLEELNVTMRDLRIRAHGLWMSWLSDELSVILSDDLEKDYALSSSSPLRGWEETVVKQEQSDDNQSDLRIWLPCMPSLYVTSFLFRVCKEVHRIGGHVLDKTILQKFASKLLEKVIDIYGDFLSTLEAGGTEVSEKGVLQVLLDLRFVVDVLSGGDSNVSEEPSINLKAKSPFRRKQEQSHVKSVIRERFDGLINRLSQRLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTVQKLPTNSESNIMRCSSVPRFKYLPISAPALSSRGTAKTSIPTSSDDISSRSTWKSYANGDLSSKLDLDDNSSFGVAVPIFKSFMQARSIFGESTLKLGSMLTDGQVGILKDRSAAAMSTFGDILPAQAAGLLSSFTTSRSDS >ONI36205 pep chromosome:Prunus_persica_NCBIv2:G1:46836365:46843621:-1 gene:PRUPE_1G575000 transcript:ONI36205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSGDGLSGGATSAASATAAAASRDAEALFRSKPISEIRTVESTTRTQIQSKMEELRQLVGTRYRDLIDSADSIVLMKRSSHSISLNISSVHASIDSLSSSASTPDLPDPSRHDPTRHRIYGIACRVKYLVDTPENIWGCLDESMFLESAARYSRASHVHSILTLPGHVRFLSNFPLLQHQWQIVDSFKSQISQRARDRLFDRELQLPISSYADALAAVALIDDLRPEHVLSLFLETRKSWVSQILNACGVDAQCSDVVSVLCEALRVIQVTVGQVGELFLRVFNDMPLFYKVVLGSPPASQLFGGIPNPDEEVKLWNSFREKLEAAMGMLEKDYIAKACRSWLKDCGGQMVDKINGRFLIDAIGSGHELASAEKLIRETMNSKEVLEGSLEWLKNVFGSNIDLPWSRMSELVLGDDSDLWDSIFEPAFVGRMKVIVDRRFEELTRAVNVKEGEPIDFLGAGGGIWFVEAKSKHGKKGTSALPCEENCLNFYFGPQASGIRDAVDGSCQGVLDDLLCFLESPKAALRLKDLAPYLQDKCYQTISVILMQLNSELGNLESGKDKQGLVTVERALFIGRLLFALQNHSKHIPIILGPPRSWANATGSAVFDKLPSMLRQSRAPTDSPVLDSPLGSKRHTSSATAALLGASQSASPKLEELNVTMRDLRIRAHGLWMSWLSDELSVILSDDLEKDYALSSSSPLRGWEETVVKQEQSDDNQSDLRIWLPCMPSLYVTSFLFRVCKEVHRIGGHVLDKTILQKFASKLLEKAGGTEVSEKGVLQVLLDLRFVVDVLSGGDSNVSEEPSINLKAKSPFRRKQEQSHVKSVIRERFDGLINRLSQRLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTVQKLPTNSESNIMRCSSVPRFKYLPISAPALSSRGTAKTSIPTSSDDISSRSTWKSYANGDLSSKLDLDDNSSFGVAVPIFKSFMQARSIFGESTLKLGSMLTDGQVGILKDRSAAAMSTFGDILPAQAAGLLSSFTTSRSDS >ONI36204 pep chromosome:Prunus_persica_NCBIv2:G1:46834961:46843621:-1 gene:PRUPE_1G575000 transcript:ONI36204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSGDGLSGGATSAASATAAAASRDAEALFRSKPISEIRTVESTTRTQIQSKMEELRQLVGTRYRDLIDSADSIVLMKRSSHSISLNISSVHASIDSLSSSASTPDLPDPSRHDPTRHRIYGIACRVKYLVDTPENIWGCLDESMFLESAARYSRASHVHSILTLPGHVRFLSNFPLLQHQWQIVDSFKSQISQRARDRLFDRELQLPISSYADALAAVALIDDLRPEHVLSLFLETRKSWVSQILNACGVDAQCSDVVSVLCEALRVIQVTVGQVGELFLRVFNDMPLFYKVVLGSPPASQLFGGIPNPDEEVKLWNSFREKLEAAMGMLEKDYIAKACRSWLKDCGGQMVDKINGRFLIDAIGSGHELASAEKLIRETMNSKEVLEGSLEWLKNVFGSNIDLPWSRMSELVLGDDSDLWDSIFEPAFVGRMKVIVDRRFEELTRAVNVKEGEPIDFLGAGGGIWFVEAKSKHGKKGTSALPCEENCLNFYFGPQASGIRDAVDGSCQGVLDDLLCFLESPKAALRLKDLAPYLQDKCYQTISVILMQLNSELGNLESGKDKQGLVTVERALFIGRLLFALQNHSKHIPIILGPPRSWANATGSAVFDKLPSMLRQSRAPTDSPVLDSPLGSKRHTSSATAALLGASQSASPKLEELNVTMRDLRIRAHGLWMSWLSDELSVILSDDLEKDYALSSSSPLRGWEETVVKQEQSDDNQSDLRIWLPCMPSLYVTSFLFRVCKEVHRIGGHVLDKTILQKFASKLLEKAGGTEVSEKGVLQVLLDLRFVVDVLSGGDSNVSEEPSINLKAKSPFRRKQEQSHVKSVIRERFDGLINRLSQRLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTVQKLPTNSESNIMRCSSVPRFKYLPISAPALSSRGTAKTSIPTSSDDISSRSTWKSYANGDLSSKLDLDDNSSFGVAVPIFKSFMQARSIFGESTLKLGSMLTDGQVGILKDRSAAAMSTFGDILPAQAAGLLSSFTTSRSDS >ONI36206 pep chromosome:Prunus_persica_NCBIv2:G1:46835978:46843621:-1 gene:PRUPE_1G575000 transcript:ONI36206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSGDGLSGGATSAASATAAAASRDAEALFRSKPISEIRTVESTTRTQIQSKMEELRQLVGTRYRDLIDSADSIVLMKRSSHSISLNISSVHASIDSLSSSASTPDLPDPSRHDPTRHRIYGIACRVKYLVDTPENIWGCLDESMFLESAARYSRASHVHSILTLPGHVRFLSNFPLLQHQWQIVDSFKSQISQRARDRLFDRELQLPISSYADALAAVALIDDLRPEHVLSLFLETRKSWVSQILNACGVDAQCSDVVSVLCEALRVIQVTVGQVGELFLRVFNDMPLFYKVVLGSPPASQLFGGIPNPDEEVKLWNSFREKLEAAMGMLEKDYIAKACRSWLKDCGGQMVDKINGRFLIDAIGSGHELASAEKLIRETMNSKEVLEGSLEWLKNVFGSNIDLPWSRMSELVLGDDSDLWDSIFEPAFVGRMKVIVDRRFEELTRAVNVKEGEPIDFLGAGGGIWFVEAKSKHGKKGTSALPCEENCLNFYFGPQASGIRDAVDGSCQGVLDDLLCFLESPKAALRLKDLAPYLQDKCYQTISVILMQLNSELGNLESGKDKQGLVTVERALFIGRLLFALQNHSKHIPIILGPPRSWANATGSAVFDKLPSMLRQSRAPTDSPVLDSPLGSKRHTSSATAALLGASQSASPKLEELNVTMRDLRIRAHGLWMSWLSDELSVILSDDLEKDYALSSSSPLRGWEETVVKQEQSDDNQSDLRIWLPCMPSLYVTSFLFRVCKEVHRIGGHVLDKTILQKFASKLLEKVIDIYGDFLSTLEAGGTEVSEKGVLQVLLDLRFVVDVLSGGDSNVSEEPSINLKAKSPFRRKQEQSHVKSVIRERFDGLINRLSQRLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTVQKLPTNSESNIMRCSSVPRFKYLPISAPALSSRGTAKTSIPTSSDDISSRSTWKSYANGDLSSKLDLDDNSSFGVAVPIFKSFMQARSIFGESTLKLGSMLTDGQVGILKDRSAAAMSTFGDILPAQAAGLLSSFTTSRSDS >ONI36208 pep chromosome:Prunus_persica_NCBIv2:G1:46836289:46843621:-1 gene:PRUPE_1G575000 transcript:ONI36208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSGDGLSGGATSAASATAAAASRDAEALFRSKPISEIRTVESTTRTQIQSKMEELRQLVGTRYRDLIDSADSIVLMKRSSHSISLNISSVHASIDSLSSSASTPDLPDPSRHDPTRHRIYGIACRVKYLVDTPENIWGCLDESMFLESAARYSRASHVHSILTLPGHVRFLSNFPLLQHQWQIVDSFKSQISQRARDRLFDRELQLPISSYADALAAVALIDDLRPEHVLSLFLETRKSWVSQILNACGVDAQCSDVVSVLCEALRVIQVTVGQVGELFLRVFNDMPLFYKVVLGSPPASQLFGGIPNPDEEVKLWNSFREKLEAAMGMLEKDYIAKACRSWLKDCGGQMVDKINGRFLIDAIGSGHELASAEKLIRETMNSKEVLEGSLEWLKNVFGSNIDLPWSRMSELVLGDDSDLWDSIFEPAFVGRMKVIVDRRFEELTRAVNVKEGEPIDFLGAGGGIWFVEAKSKHGKKGTSALPCEENCLNFYFGPQASGIRDAVDGSCQGVLDDLLCFLESPKAALRLKDLAPYLQDKCYQTISVILMQLNSELGNLESGKDKQGLVTVERALFIGRLLFALQNHSKHIPIILGPPRSWANATGSAVFDKLPSMLRQSRAPTDSPVLDSPLGSKRHTSSATAALLGASQSASPKLEELNVTMRDLRIRAHGLWMSWLSDELSVILSDDLEKDYALSSSSPLRGWEETVVKQEQSDDNQSDLRIWLPCMPSLYVTSFLFRVCKEVHRIGGHVLDKTILQKFASKLLEKV >ONI29972 pep chromosome:Prunus_persica_NCBIv2:G1:23866705:23869301:1 gene:PRUPE_1G224900 transcript:ONI29972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLLMEWNEKPPSLWDLENLFMFGAKVTDNPKKLQPADWGIEGERGMNSESFYSTGGDGGSGVSGSDLGDGSSKSSKSASVSSSVGESKKPNFNLDAFEGFPNDFIHKNESAKAEALGSSPTHEVSAGSGEPLLSLKLGKRMYFEDVCAGNNPKSSSLSVISSSMAKTKRSKSTGQSAFAPHCQVEGCNIDLSSAKDYHRKHRICANHSKSPKVIVEGVERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEALRNPTRLSSSLFSTDEPCFGPSPKCLHKASLKFNMGWHLQLQVRTNERIFSKACKRRGHWRAAEFGQQWNSKFNNNAVS >ONI29967 pep chromosome:Prunus_persica_NCBIv2:G1:23865482:23869472:1 gene:PRUPE_1G224900 transcript:ONI29967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLLMEWNEKPPSLWDLENLFMFGAKVTDNPKKLQPADWGIEGERGMNSESFYSTGGDGGSGVSGSDLGDGSSKSSKSASVSSSVGESKKPNFNLDAFEGFPNDFIHKNESAKAEALGSSPTHEVSAGSGEPLLSLKLGKRMYFEDVCAGNNPKSSSLSVISSSMAKTKRSKSTGQSAFAPHCQVEGCNIDLSSAKDYHRKHRICANHSKSPKVIVEGVERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEALRNPTRLSSSLFSTDERQQMSLVLDQAPSVYTRHPSNLTWDGTCSFKFGQTKEYFPKPAKGGGTGGQLNLANNGIPSSITMLYHDSSGLSPSKGTAAEVLNRGAEESMISFNLGATQDFHRALSLLSTSSWVSGEAKPVALDNNTNQNYQNNIPQPGMQAMTQGLPVSSGYWQTQHLSLNTQEAHVSHSHSNGSNHFHQDLHQFKGPYEFGSQTNQFN >ONI29968 pep chromosome:Prunus_persica_NCBIv2:G1:23865042:23870556:1 gene:PRUPE_1G224900 transcript:ONI29968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLLMEWNEKPPSLWDLENLFMFGAKVTDNPKKLQPADWGIEGERGMNSESFYSTGGDGGSGVSGSDLGDGSSKSSKSASVSSSVGESKKPNFNLDAFEGFPNDFIHKNESAKAEALGSSPTHEVSAGSGEPLLSLKLGKRMYFEDVCAGNNPKSSSLSVISSSMAKTKRSKSTGQSAFAPHCQVEGCNIDLSSAKDYHRKHRICANHSKSPKVIVEGVERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEALRNPTRLSSSLFSTDERQQMSLVLDQAPSVYTRHPSNLTWDGTCSFKFGQTKEYFPKPAKGGGTGGQLNLANNGIPSSITMLYHDSSGLSPSKGTAAEVLNRGAEESMISFNLGATQDFHRALSLLSTSSWVSGEAKPVALDNNTNQNYQNNIPQPGMQAMTQGLPVSSGYWQTQHLSLNTQEAHVSHSHSNGSNHFHQDLHQFKGPYEFGSQTNQFN >ONI29970 pep chromosome:Prunus_persica_NCBIv2:G1:23865482:23870486:1 gene:PRUPE_1G224900 transcript:ONI29970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLLMEWNEKPPSLWDLENLFMFGAKVTDNPKKLQPADWGIEGERGMNSESFYSTGGDGGSGVSGSDLGDGSSKSSKSASVSSSVGESKKPNFNLDAFEGFPNDFIHKNESAKAEALGSSPTHEVSAGSGEPLLSLKLGKRMYFEDVCAGNNPKSSSLSVISSSMAKTKRSKSTGQSAFAPHCQVEGCNIDLSSAKDYHRKHRICANHSKSPKVIVEGVERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEALRNPTRLSSSLFSTDERQQMSLVLDQAPSVYTRHPSNLTWDGTCSFKFGQTKEYFPKPAKGGGTGGQLNLANNGIPSSITMLYHDSSGLSPSKGTAAEVLNRGAEESMISFNLGATQDFHRALSLLSTSSWVSGEAKPVALDNNTNQNYQNNIPQPGMQAMTQGLPVSSGYWQTQHLSLNTQEAHVSHSHSNGSNHFHQDLHQFKGPYEFGSQTNQFN >ONI29971 pep chromosome:Prunus_persica_NCBIv2:G1:23865045:23869471:1 gene:PRUPE_1G224900 transcript:ONI29971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLLMEWNEKPPSLWDLENLFMFGAKVTDNPKKLQPADWGIEGERGMNSESFYSTGGDGGSGVSGSDLGDGSSKSSKSASVSSSVGESKKPNFNLDAFEGFPNDFIHKNESAKAEALGSSPTHEVSAGSGEPLLSLKLGKRMYFEDVCAGNNPKSSSLSVISSSMAKTKRSKSTGQSAFAPHCQVEGCNIDLSSAKDYHRKHRICANHSKSPKVIVEGVERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEALRNPTRLSSSLFSTDERQQMSLVLDQAPSVYTRHPSNLTWDGTCSFKFGQTKEYFPKPAKGGGTGGQLNLANNGIPSSITMLYHDSSGLSPSKGTAAEVLNRGAEESMISFNLGATQDFHRALSLLSTSSWVSGEAKPVALDNNTNQNYQNNIPQPGMQAMTQGLPVSSGYWQTQHLSLNTQEAHVSHSHSNGSNHFHQDLHQFKGPYEFGSQTNQFN >ONI29969 pep chromosome:Prunus_persica_NCBIv2:G1:23865004:23871249:1 gene:PRUPE_1G224900 transcript:ONI29969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLLMEWNEKPPSLWDLENLFMFGAKVTDNPKKLQPADWGIEGERGMNSESFYSTGGDGGSGVSGSDLGDGSSKSSKSASVSSSVGESKKPNFNLDAFEGFPNDFIHKNESAKAEALGSSPTHEVSAGSGEPLLSLKLGKRMYFEDVCAGNNPKSSSLSVISSSMAKTKRSKSTGQSAFAPHCQVEGCNIDLSSAKDYHRKHRICANHSKSPKVIVEGVERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQTEALRNPTRLSSSLFSTDERQQMSLVLDQAPSVYTRHPSNLTWDGTCSFKFGQTKEYFPKPAKGGGTGGQLNLANNGIPSSITMLYHDSSGLSPSKGTAAEVLNRGAEESMISFNLGATQDFHRALSLLSTSSWVSGEAKPVALDNNTNQNYQNNIPQPGMQAMTQGLPVSSGYWQTQHLSLNTQEAHVSHSHSNGSNHFHQDLHQFKGPYEFGSQTNQFN >ONI30349 pep chromosome:Prunus_persica_NCBIv2:G1:25941993:25942424:-1 gene:PRUPE_1G246100 transcript:ONI30349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNFPSAAEGVLPVLVMNTVLSVALLKNMVRSVLQVMGANVNSQSFEENPDGCPAEMIARERRISISQFKSLCHSNSNSRPNNTCVAKTNSGAMECCVCLCGFEAEQEVSELSCKHFFHKGCLEKWFDNNHSTCPLCRSVD >ONI30347 pep chromosome:Prunus_persica_NCBIv2:G1:25941338:25942769:-1 gene:PRUPE_1G246100 transcript:ONI30347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNFPSAAEGVLPVLVMNTVLSVALLKNMVRSVLQVMGANVNSQSFEENPDGCPAEMIARERRISISQFKSLCHSNSNSRPNNTCVAKTNSGAMECCVCLCGFEAEQEYQEE >ONI30348 pep chromosome:Prunus_persica_NCBIv2:G1:25941338:25942599:-1 gene:PRUPE_1G246100 transcript:ONI30348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNFPSAAEGVLPVLVMNTVLSVALLKNMVRSVLQVMGANVNSQSFEENPDGCPAEMIARERRISISQFKSLCHSNSNSRPNNTCVAKTNSGAMECCVCLCGFEAEQEVSELSCKHFFHKGCLEKWFDNNHSTCPLCRSVD >ONI33872 pep chromosome:Prunus_persica_NCBIv2:G1:38000822:38003158:-1 gene:PRUPE_1G451200 transcript:ONI33872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDLRGYYSMARMYTKLAAMPKKG >ONI33877 pep chromosome:Prunus_persica_NCBIv2:G1:38001453:38003158:-1 gene:PRUPE_1G451200 transcript:ONI33877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGKLACILWIWALTLNI >ONI33878 pep chromosome:Prunus_persica_NCBIv2:G1:38001341:38003815:-1 gene:PRUPE_1G451200 transcript:ONI33878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGKLACILWIWALTLNI >ONI33873 pep chromosome:Prunus_persica_NCBIv2:G1:38000822:38003158:-1 gene:PRUPE_1G451200 transcript:ONI33873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDLRGYYSMARMYTKLAAMPKKG >ONI33871 pep chromosome:Prunus_persica_NCBIv2:G1:38000293:38003815:-1 gene:PRUPE_1G451200 transcript:ONI33871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDLRGYYSMARMYTKLAAMPKKG >ONI33874 pep chromosome:Prunus_persica_NCBIv2:G1:38000293:38003816:-1 gene:PRUPE_1G451200 transcript:ONI33874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDLRGYYSMARMYTKLAAMPKKG >ONI33879 pep chromosome:Prunus_persica_NCBIv2:G1:38001453:38003158:-1 gene:PRUPE_1G451200 transcript:ONI33879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGKLACILWIWALTLNI >ONI33875 pep chromosome:Prunus_persica_NCBIv2:G1:38000295:38003815:-1 gene:PRUPE_1G451200 transcript:ONI33875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDLRGYYSMARMYTKLAAMPKKG >ONI33876 pep chromosome:Prunus_persica_NCBIv2:G1:38000295:38003473:-1 gene:PRUPE_1G451200 transcript:ONI33876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDLRGYYSMARMYTKLAAMPKKG >ONI28269 pep chromosome:Prunus_persica_NCBIv2:G1:10653870:10655167:-1 gene:PRUPE_1G135000 transcript:ONI28269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSENKGKPLMLVAVDDSEHSFYALEWTLDHFFAHGTNHPFKLIVIHARSSASSALGVAGFGSVDFMTSLEADMKKSTGKTVQKAKDVCTSKKVENVEVEVMEGDPRNVMCDAVDKHQASLLVVGSHGYGIVKRAVLGSVSDYCAHHVKCSVMIVKQPTKP >ONI27983 pep chromosome:Prunus_persica_NCBIv2:G1:9118802:9119897:-1 gene:PRUPE_1G115200 transcript:ONI27983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENQTPLKDQARPSKLANRVSKKNIPKKSLNTVFSSVSEDVTVDTSNNSLDSTPVSEISEVNDDGDITASLMLVPDQALSASSETSFSSDLIPSPQASVDKDEPDHVSLSIGKSSEYVASNFGSVEAEIVAGFLREARTQVSNAGLDTKSKKLLDALLEIVIEDFHTLPVKRDRAAELLSAKTYIVIVCFLLWIIALGVLMFSSGGRSNFRGPLPT >ONI27984 pep chromosome:Prunus_persica_NCBIv2:G1:9115731:9120070:-1 gene:PRUPE_1G115200 transcript:ONI27984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENQTPLKDQARPSKLANRVSKKNIPKKSLNTVFSSVSEDVTVDTSNNSLDSTPVSEISEVNDDGDITASLMLVPDQALSASSETSFSSDLIPSPQASVDKDEPDHVSLSIGKSSEYVASNFGSVEAEIVAGFLREARTQVSNAGLDTKSKKLLDALLEIVIEDFHTLPVKRDRAAELLSAKTYIVIVCFLLWIIALGVLMFSSGGRSNFRGPLPT >ONI27982 pep chromosome:Prunus_persica_NCBIv2:G1:9115731:9120071:-1 gene:PRUPE_1G115200 transcript:ONI27982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENQTPLKDQARPSKLANRVSKKNIPKKSLNTVFSSVSEDVTVDTSNNSLDSTPVSEISESLMLVPDQALSASSETSFSSDLIPSPQASVDKDEPDHVSLSIGKSSEYVASNFGSVEAEIVAGFLREARTQVSNAGLDTKSKKLLDALLEIVIEDFHTLPVKRDRAAELLSAKTYIVIVCFLLWIIALGVLMFSSGGRSNFRGPLPT >ONI27985 pep chromosome:Prunus_persica_NCBIv2:G1:9118185:9120070:-1 gene:PRUPE_1G115200 transcript:ONI27985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENQTPLKDQARPSKLANRVSKKNIPKKSLNTVFSSVSEDVTVDTSNNSLDSTPVSEISEVNDDGDITASLMLVPDQALSASSETSFSSDLIPSPQASVDKDEPDHVSLSIGKSSEYVASNFGSVEAEIVAGFLREARTQVSNAGLDTKSKKLLDALLEIVIEDFHTLPVKRDRAAELLSAKTYIVIVCFLLWIIALGVLMFSSGGRSNFRGPLPT >ONI33257 pep chromosome:Prunus_persica_NCBIv2:G1:36007150:36012239:1 gene:PRUPE_1G413100 transcript:ONI33257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPVVTSWTESTRNLFEPVIVLVITFAAIVKLSQSLYRYNLRRRSRARSGTMSSSSSSDNGTGVQARRRSRILSSKLYFDIPNSKVPLIYSSAYDITFLGIEKLHPFDSSKWGRICGFLLVDNVVDKNCIVEPLEASKNDLLVVHSEAYLNCLKKSANVAMIIEVPHVAVFPNCLVQQKVLYPFRNQVGGTILAAKLAKERGWAINVGGGFHHCSGEKGGGFCAYADISLCIHFAFERLNISRVMIIDLDAHQGNGHETDFANDSRVYILDVYNPGIYPFDYEARRYIDQKVEVVSGTTTNEYLRKLDEALEVSVHSFDPELIVYNAGTDILDGDPLGRLKISPDGITNRDEKVFRFARKRNIPIVMLTSGGYMKSSARVIADSITNLSNKCLIDTGKNMTAT >ONI33256 pep chromosome:Prunus_persica_NCBIv2:G1:36007150:36012239:1 gene:PRUPE_1G413100 transcript:ONI33256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPVVTSWTESTRNLFEPVIVLVITFAAIVKLSQSLYRYNLRRRSRARSGTMSSSSSSDNGTGVQARRRSRILSSKLYFDIPNSKVPLIYSSAYDITFLGIEKLHPFDSSKWGRICGFLLVDNVVDKNCIVEPLEASKNDLLVVHSEAYLNCLKKSANVAMIIEVPHVAVFPNCLVQQKVLYPFRNQVGGTILAAKLAKERGWAINVGGGFHHCSGEKGGGFCAYADISLCIHFAFERLNISRVMIIDLDAHQGNGHETDFANDSRVYILDVYNPGIYPFDYEARRYIDQKVEVVSGTTTNEYLRKLDEALEVSVHSFDPELIVYNAGTDILDGDPLGRLKISPDGITNRDEKVFRFARKRNIPIVMLTSGGYMKSSARVIADSITNLSNKCLIDTGKNMTAT >ONI29043 pep chromosome:Prunus_persica_NCBIv2:G1:15141339:15146940:1 gene:PRUPE_1G177400 transcript:ONI29043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLECFLDLVRALGMGSCLSLEGGSAYGPVPSSPGAGNKRRKRRVTGNSSATVVAPPSNNLEMWLHRVPGRQFQNGSSDFASLFCKQGKKGVNQDAMIAWENFGSPDTIFCGVFDGHGPFGHVVAKKVRDALPLKLTSQWVLTNNAKKGAADDTNEAASYQDHKDNEHCELFVTLKDSFLKAFKVMDKELKLHPRIDCYCSGTTAVTLVKQGQDLVIANVGDSRALLGTRDEDGSLVAVQLTVDLKPNLPREAERIMRCKGRVFALQNEPEVARVWLPNSNSPGLAMARAFGDFCLKDFGLISVPDISYRRLTENDEFVVLATDGIWDVLSNEEVVKIVALAPRASAARALVESATRAWRSKYPYVKVDDCAVVCLFLTSSTSDISSDFSPTGEEMSSGNSSQYQDGS >ONI29044 pep chromosome:Prunus_persica_NCBIv2:G1:15141339:15146940:1 gene:PRUPE_1G177400 transcript:ONI29044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLECFLDLVRALGMGSCLSLEGGSAYGPVPSSPGAGNKRRKRRVTGNSSATVVAPPSNNLEMWLHRVPGRQFQNGSSDFASLFCKQGKKGVNQDAMIAWENFGSPDTIFCGVFDGHGPFGHVVAKKVRDALPLKLTSQWVLTNNAKKGAADDTNEAASYQDHKDNEHCELFVTLKDSFLKAFKVMDKELKLHPRIDCYCSGTTAVTLVKQGQDLVIANVGDSRALLGTRDEDGSLVAVQLTVDLKPNLPREAERIMRCKGRVFALQNEPEVARVWLPNSNSPGLAMARAFGDFCLKDFGLISVPDISYRRLTENDEFVVLATDGIWDVLSNEEVVKIVALAPRASAARALVESATRAWRSKYPYVKVDDCAVVCLFLTSSTSDISSDFSPTGEEMSSGNSSQYQDGS >ONI33178 pep chromosome:Prunus_persica_NCBIv2:G1:35832705:35835708:1 gene:PRUPE_1G409700 transcript:ONI33178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNRETLSKKTPAVSLPEPTPLFSPRPVSELEAAATELQRVYKGYRTRRNLADCAVVVEELWEKAIVFAALERSSVSFFNIEEHETAVSRWERARTRAAKLGKGWCKDEKAQMLASQYLLEAIDPRHRFGLNLQLYYDVWSECTTIQPFFYWLDVGDGKDVNLKDCPRSVLNLQCIKYLGPKERESYQVIVENGKLVHRQTGKLVHTVELGSKWIFVLSASRALYVGQEIKGVFQHSSFLSGGAASAAGRLVAYNGVLEAIWPSNGHYLPTIHNFKKLISFLEEQQVDLTNVKMCPIDDDKESFINPTDHQHESMGSTSNATNREAPVYDLSKRLSCKWSTY >ONI33176 pep chromosome:Prunus_persica_NCBIv2:G1:35833176:35835700:1 gene:PRUPE_1G409700 transcript:ONI33176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNRETLSKKTPAVSLPEPTPLFSPRPVSELEAAATELQRVYKGYRTRRNLADCAVVVEELWEKAIVFAALERSSVSFFNIEEHETAVSRWERARTRAAKLGKGWCKDEKAQMLASQYLLEAIDPRHRFGLNLQLYYDVWSECTTIQPFFYWLDVGDGKDVNLKDCPRSVLNLQCIKYLGPKERESYQVIVENGKLVHRQTGKLVHTVELGSKWIFVLSASRALYVGQEIKGVFQHSSFLSGGAASAAGRLVAYNGVLEAIWPSNGHYLPTIHNFKKLISFLEEQQVDLTNVKQMCPIDDDKESFINPTDHQHESMGSTSNATNREAPVYDLSKRLSCKWSTY >ONI33177 pep chromosome:Prunus_persica_NCBIv2:G1:35832705:35835700:1 gene:PRUPE_1G409700 transcript:ONI33177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNRETLSKKTPAVSLPEPTPLFSPRPVSELEAAATELQRVYKGYRTRRNLADCAVVVEELWEKAIVFAALERSSVSFFNIEEHETAVSRWERARTRAAKLGKGWCKDEKAQMLASQYLLEAIDPRHRFGLNLQLYYDVWSECTTIQPFFYWLDVGDGKDVNLKDCPRSVLNLQCIKYLGPKERESYQVIVENGKLVHRQTGKLVHTVELGSKWIFVLSASRALYVGQEIKGVFQHSSFLSGGAASAAGRLVAYNGVLEAIWPSNGHYLPTIHNFKKLISFLEEQQVDLTNVKQMCPIDDDKESFINPTDHQHESMGSTSNATNREAPVYDLSKRLSCKWSTY >ONI26280 pep chromosome:Prunus_persica_NCBIv2:G1:1080172:1081936:-1 gene:PRUPE_1G014800 transcript:ONI26280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPRRPQEDKQQDKPQPIKYGDVFSVSADLAKKPIAPQDANMMQTAETMVFGKTQKGGPAAVMQSAATRNERSGLVRHDQATDVGGNEGVTVTETDVPGSRIVTEAVGGQVIGQYVQPTPVSQVAAGGFMEQNAITIGEALEASAQTAGDKPIDQSDAAAIQAAEVRATGSNVISPGGLAAMAQSAAAYNAGTLREQDKIKLTDVLSGATEKLPADKAATRQDAEGIASAELRNNPEMGTRPGGVAASVTAAARLNENIKM >ONI29828 pep chromosome:Prunus_persica_NCBIv2:G1:22934299:22937268:1 gene:PRUPE_1G216100 transcript:ONI29828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMALEQQVSLNPVDGETNGVDARHPTSAETGDDASKAPRLPRWTRQEILVLINGKRYAESRGGGRTPRSDFGSGQAEPKWAAVSTYCKKHGVNRGPVQCRKRWSNLAGDFKKIREWEVQRKDETESFWVMRNDLRRERKLPGFFDKEVYDIMEAVPGAPISLALPGPTRVESEDVKEEGMTEEAENLFDSIQRPTEDGLFSDDESGRSPEKEVRFKEGPGSTTVISGPLPISEKQYKPIPQGCQGQGAADQKQPASIPEIGSTSQDRKRKRFTIDVDEETSNLQNQLIDVMERNGKVLSDYIHAQNSHSQLDREQRKEHSDSLIAVLNKLADAFMRIAEKL >ONI32643 pep chromosome:Prunus_persica_NCBIv2:G1:34188800:34190758:-1 gene:PRUPE_1G378300 transcript:ONI32643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYENYDPSYPDQPVVDLYLQVWANLPAFRSKPAFVWVEDGAAIDAKSTLTYAQLNDSVQCIASQLLVPLQRGDTVVILCSPGLELVETIFGCQRAGLLSVPISPPDPSFTNQNFHHLIRALSQTKPKAAIAHPTYITSINHYISSSSSNKKLVHMLQSLHWISTVDIKAKDRKLQHSQLGPKFSPYKGCRAEDVYLVQYTSGATGIPKPVLVTAGSAAHNVRTARKAYDLHPNSVIVSWLPQYHDCGLMFLLLTIVSGATSILTSPAAFVNRPRLWLELITQFRATCTPVPSFALPLVVKRGGIDKGTSPIHLWSLQNLIIINEPIYRDAVEQFVNVFRTFGLNPSSISPSYGLAENCTFVSTVWRPCGNDVPNMPSYNKLLPSARLGQNDDELVDMDIIVVNEETHEAVEDGIEGEIWVSSPSNASGYLGHPTMTREVYYGRLKNKVSRCFLRTGDRGVVKGDERYLFVMGRCADVIKNHEEIHPHYIETAAYNSSPGFLRAGCSAAFEISNRVVVVVEMQRSEKEIGVLRKICEGVRKGVAEEERVEVGIVVLVRSGSVPKTTSGKIQRWAAKDKLIGGKMSVLMEMRFGDYGDSFSSFGTIIGENEGKGDYGGGRGGKTKAVVGEEREDIFLSFSSNGPLRPSLLSFL >ONI32544 pep chromosome:Prunus_persica_NCBIv2:G1:33886939:33889868:1 gene:PRUPE_1G372000 transcript:ONI32544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVTGRLNSAGLVGMLLVVLEVCEGGITSSYVRNDDLSLDMPLDSDVFQVPPGYNAPQQVHITQGDHEGRGVIVSWVTPDEPGSSTVLYWAENNTNLKNQAQGTLLTYHYFNYISGYIHHCTIHNLEFDTKYYYEVGIGNTTRRFWFTTPPGVGPDVAYTFGLIGDLGQTHDSNRTLTHYELNPTKGQTVLFVGDLSYADDYPFHDNNRWDTWGRFTERNAAYQPWIWTAGNHEIDFVPELGESKPFKPYTNRYFVPYEASHSTSPLWYSIKRASAYIIVLSSYSAYGKYTPQYKWLEKELPKVNRTETPWLIVLMHSPLYNSYAHHYMEGESMRVMYEQWFVKYKVDIIFAGHVHAYERSERISNIAYNIVNGLCSPISDESAPVYITIGDGGNLEGLVTEMTEPQPSYSAFREASFGHGIFDIKNRSHAFFSWHRNQDGYAVEADSLWLKNRYWKSLEEQLLAAM >ONI27459 pep chromosome:Prunus_persica_NCBIv2:G1:6584107:6585153:1 gene:PRUPE_1G088200 transcript:ONI27459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFQISNSETQIPAQLLIARITQLHSSISKLDSLRPSKQVNALFSQLVKLCILPCDIDIMDLSKEAQVMRESLMNLCGRAEGFLELEFATLLVSVPQPLNNLNLFPYYSNYVLLANLEHKILLDNGLVHPHKVAFVGSGPMPLTSMIMATHHMKSTNFDNFDIDEKANDVARKIVASDAELAKRMKFETHDVMKLRERLGEYDCIFLAALVGMKKEEKIKILGHIGKYMKEGSVLLVRSAKGARAFLYPVVEEHDLLGFELLTIFHPNNEVVNSVVLVRKPTF >ONI26717 pep chromosome:Prunus_persica_NCBIv2:G1:2950827:2953530:-1 gene:PRUPE_1G041200 transcript:ONI26717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKDFHLKQKALQLKRFLMGSAGRKKREVTNAKKPSWMMPAVSHGYYTTEAFKSPAGYEDSDSDFVVVQREQIEELELWFFGVFNARIGDGVAKYMQSHLFDKNPKESHVRAKSKETMRKAYLGARAKTRESTEDTHGVGSVSAIVIDGEKLVLANMGDYRAVVCRDGLAHHIGSRNNQSGKRHWSQRFFKGNAASTRQSKTSDLVVGAERVEPDTEFVILASSGIWEVMKNQEAVNLIKHIENPQAASECLAKEASNRMSRGSISCLVIRFD >ONI26718 pep chromosome:Prunus_persica_NCBIv2:G1:2951330:2952773:-1 gene:PRUPE_1G041200 transcript:ONI26718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQALQLKRFLMGSAGRKKREVTNAKKPSWMMPAVSHGYYTTEAFKSPAGYEDSDSDFVVVQREQIEELELWFFGVFNARIGDGVAKYMQSHLFDKNPKESHVRAKSKETMRKAYLGARAKTRESTEDTHGVGSVSAIVIDGEKLVLANMGDYRAVVCRDGLAHHIGSRNNQSGKRHWSQRFFKGNAASTRQSKTSDLVVGAERVEPDTEFVILASSGIWEVMKNQEAVNLIKHIENPQAASECLAKEASNRMSRGSISCLVIRFD >ONI26330 pep chromosome:Prunus_persica_NCBIv2:G1:1237877:1238908:-1 gene:PRUPE_1G017900 transcript:ONI26330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMTKAELPLLVLFLSTLLLATSPSARAQVTCEEGCYSISDQSKVGECLQMCSSHGQSCEDRCMREARWPQQQEQCLRMCRQQEQGHHLPCREQCIRSPDREMCERACQQQQGQGGGRQCLQQCKMITRDPRERLKCVRTCMQGQQQGVFQGQQQQQQVEQQCRQHCQSERDPMRQQECQEYCVGQMMQQEYEQQCRSRCQWERPRREQQEQCQEECTEKIRQLEQCQGGCKMQGQYGPQQQECQRMCREQFEQGQGIRMVA >ONI31493 pep chromosome:Prunus_persica_NCBIv2:G1:30515672:30516529:-1 gene:PRUPE_1G316600 transcript:ONI31493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGRGALYQASNLSMAGMQSTQTPQFMSYVPMGTSNAYGMGMGMGMVNMCYSTTGLPIMSVPSARFNPLQPATAGFPLMSAPGVLPVNPQLQMPMPFVASQVMPTATTTSRSINMGRPQFATDFSHTFNYANQGESSFQNYTNNAASADNQRVPIISKKSKVLASHVVTPPSSVSDK >ONI35721 pep chromosome:Prunus_persica_NCBIv2:G1:45091867:45093354:-1 gene:PRUPE_1G551400 transcript:ONI35721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLISRGLDPQTHRPLNDTTTAAAAAAATTPASRLDFRNISPPSAVVDKTTNKNSILHHKTINKTSSNNNNHNSNIVLFKPKMEEDNIEEASSGTTTEEDQQQQQQQQQKLQDHYMYKCSDLNLDLSIGLEPFQSEPTRASSGNSAESRLQQNNYQVFGTVHKAGVTQAVCLCCQVGFQSSEACRNCQCTNGFYRFHRPLNS >ONI35720 pep chromosome:Prunus_persica_NCBIv2:G1:45091867:45094222:-1 gene:PRUPE_1G551400 transcript:ONI35720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDQRLIDYIRVHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLISRGLDPQTHRPLNDTTTAAAAAAATTPASRLDFRNISPPSAVVDKTTNKNSILHHKTINKTSSNNNNHNSNIVLFKPKMEEDNIEEASSGTTTEEDQQQQQQQQQKLQDHYMYKCSDLNLDLSIGLEPFQSEPTRASSGNSAESRLQQNNYQVFGTVHKAGVTQAVCLCCQVGFQSSEACRNCQCTNGFYRFHRPLNS >ONI32860 pep chromosome:Prunus_persica_NCBIv2:G1:34815984:34817102:1 gene:PRUPE_1G390100 transcript:ONI32860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYPLVGHPHKRVQEIYWMERRSHVQSVRKIFSSEDIGVSLMGSLKVYHNAWRELCEGMTAARSLDEVIEVHELYLLTIQRQCSVSLDLYSIQLTLSGGTVSAFKAKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVRHFPHLADLVTRINYNYFYMSDSGNLRTLPSSENVTSRLGKAFLGRTD >ONI36060 pep chromosome:Prunus_persica_NCBIv2:G1:46280464:46281273:-1 gene:PRUPE_1G567000 transcript:ONI36060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNMNLNISTTFQYWLVKHPAILHFSWSPSETPASSPLFLSLTIIAYLALTFLLSHLPLPLIKPRRLKPITAVHNLNLLLLSLIMAVGSLVSIFSYAPYPFWIICFPPKTPPTGPLFFWAYIFYLSKIYEFVDTFLIILSGSFQRLTFLHVYHHTMVLVMCYIWLHTSQSLFPAVIVANATVHVVMYTYYLLAALGVRPKWKRRVTEFQIFQFMSSFVGLVWMLIYHFNGSGCCGIWGWCFNIFFYVSLLALFMDFHAKSYGSSKKDL >ONI29059 pep chromosome:Prunus_persica_NCBIv2:G1:15284702:15286472:-1 gene:PRUPE_1G178200 transcript:ONI29059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFGMALEDCGLTSMKYKGYKFTWTNNRTGDDRVQLRLDRGWRMLHSVQWARRFMFEEMWTEVDGCTETVVQAWGKEQGDLVTKLKACQQSLLDWNKSKVGHIPMQIQQIQRQFDGFKKKPQTDDVVRCQRRLAGELDILLAREEMIWQQRSRVSWLKYEDHNTKFFHAQAKQRGRRNFMQGIFYEHNVWNTSNEGVGSIFCEYFKKYSPLVVRMILALYWHVREINHTLVTLIPKVDNPTRVTEYRPISLCSVLYKLISKTLVNRMKGIMQDVISEYQSAFVPSQLITDNIIAAFESIHAIQRRRGSALKKMILKLDIIKLDTNSTWYQSSWFDSREGREL >ONI27532 pep chromosome:Prunus_persica_NCBIv2:G1:7041795:7044355:-1 gene:PRUPE_1G092700 transcript:ONI27532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTMSAVVLVLNLLVLHLQYSEVHSLVNTSSEHDFSYLKFVYNAVDLELEGSYDYIIVGGGTSGCPLAATLSANYSVLVLERGTIATEYPNTLTVNGFAYNLQQQDDGKTPVERFVSEDGIDNVRSRILGGTTIINAGVYARANESFYNDSGVEWDLDLVNEAYEWVEDSIVYKPSNQSWQSITGTAFLEAGVLPDNGFSLVHQAGTRLTGSTFDNNGTRHASDELLNKGDPDNLKVAVEAAVQKIIFLTEASGVTAVGVVYTDSNGTSHRAFVRGKGEVILSAGALGTPQLLLLSGVGPESYLTSLNISVVASHPYVGQNLNDNPRNFINILPPNPIEASTVTVLGIKSDFYQCSLSSLPFDTPPFSLFPTTSYPLPNQTFAHIVSKVPGPLSAGYLTLQSSSNVSVAPNVKFNYYSDPVDLTHCVRGMKNVGVFLSTDALKPYKVEDLPGIDGFNILGTPLPENQTDDAAFEKFCRDTVASYWHYHGGAIVGKVIDGNFRVMGINALRVVDGSTFPSTPASHPQGFYLMLGRYVGTKIVQERSASGEAIQTSTFKPKLMDSRKSALSFAF >ONI36302 pep chromosome:Prunus_persica_NCBIv2:G1:47167799:47175166:-1 gene:PRUPE_1G579800 transcript:ONI36302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEAQRYVWDGAIPLQIHLHESDVATLPAPPPALILAPRIGYLPLLASHLKPHFSSALPPRLDTIWFEYKGLPLKWYIPTGVLFDLLCAEPERPWNLTVHFTGYPGNILIPCEGEDSVKWSFINSLKEAAYIINGNCKNVMNMSQPDQVELWRSVLNGNLEPYRRVSTKLKLGTVGDEYAAKLNLSGETDFSGQVKAGRVPVRLYVWSVSEDFEDLEDAPQIDSWDKVSYINRPVEIQGGEGGRCFTLHDAVKSLLPEYFLDKSLTNEESLTVGDEHEQRVSSEDASSDRKAEEEVENSSEHITSCYQHDDAAIKLVRIQGIHPKLEIPFSWVANNLMNPEHFLHICVYLKVPQANTMS >ONI36303 pep chromosome:Prunus_persica_NCBIv2:G1:47169331:47174986:-1 gene:PRUPE_1G579800 transcript:ONI36303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEAQRYVWDGAIPLQIHLHESDVATLPAPPPALILAPRIGYLPLLASHLKPHFSSALPPRLDTIWFEYKGLPLKWYIPTGVLFDLLCAEPERPWNLTVHFTGYPGNILIPCEGEDSVKWSFINSLKEAAYIINGNCKNVMNMSQPDQVELWRSVLNGNLEPYRRVSTKLKLGTVGDEYAAKLNLSGETDFSGQVKAGSVRAGPCQIQMCCRSGFQIQQEAEFQFAYTFGVSVRTLKI >ONI36301 pep chromosome:Prunus_persica_NCBIv2:G1:47167799:47175167:-1 gene:PRUPE_1G579800 transcript:ONI36301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEAQRYVWDGAIPLQIHLHESDVATLPAPPPALILAPRIGYLPLLASHLKPHFSSALPPRLDTIWFEYKGLPLKWYIPTGVLFDLLCAEPERPWNLTVHFTGYPGNILIPCEGEDSVKWSFINSLKEAAYIINGNCKNVMNMSQPDQVELWRSVLNGNLEPYRRVSTKLKLGTVGDEYAAKLNLSGETDFSGQVKAGRVPVRLYVWSVSEDFEDLEDAPQIDSWDKVSYINRPVEIQGGEGGDEHEQRVSSEDASSDRKAEEEVENSSEHITSCYQHDDAAIKLVRIQGIHPKLEIPFSWVANNLMNPEHFLHICVYLKVPQANTMS >ONI36304 pep chromosome:Prunus_persica_NCBIv2:G1:47169331:47174986:-1 gene:PRUPE_1G579800 transcript:ONI36304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEAQRYVWDGAIPLQIHLHESDVATLPAPPPALILAPRIGYLPLLASHLKPHFSSALPPRLDTIWFEYKGLPLKWYIPTGVLFDLLCAEPERPWNLTVHFTGYPGNILIPCEGEDSVKWSFINSLKEAAYIINGNCKNVMNMSQPDQVELWRSVLNGNLEPYRRVSTKLKLGTVGDEYAAKLNLSGETDFSGQVKAGSVRAGPCQIQMCCRSGFQIQQEAEFQFAYTFGVSVRTLKI >ONI26046 pep chromosome:Prunus_persica_NCBIv2:G1:141779:162190:1 gene:PRUPE_1G001000 transcript:ONI26046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRNRLRFRLRLRRGLFPLPFFIISIFLLLLATTSAGSPTGKSSRSSVFSLFNLKEKSRFWSEAVIRGDFDDLESSIPGKMGVLNYTNAGNIANYLKFLEVDSMYLPVPVNFIFIGFDGKGNQEFKLHPEELERWFTKIDHTFEHTRVPQIGEVLTPFYRISVDKEQRHHLPIVSHINYNFSVHAIQMGEKVTSIFEKAINVFSHKDDSYGNRDDGDALWQVDVDMMDVLFTSLVGYLELENAYNVFILNPKHDSKRAKYGYRRGLSESEIKFLKENKNLQTKILQSGSIPATVLALDKIKRPLYEKHPMTKFAWSVTEDTDTVEWYNACQDALNNVEKLYKGKETVDIVQNKVLQLLKGKNEDMKLLFSKELKSGEFNNLHAECLSDTWIGKERWAFIDLSAGPFSWGPAVGGEGVRTELSSPNVQKTIGAVSEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMRDLKNELQSFEGEEYDESHKRKALEALKRMENWNLFSDTHEEFQNYTVARDTFLSHLGANLWGSMRHIISPSIADGAFHYYDKISFQLFFITQEKVRHIKQLPVDLKALMDGLSSLLLPSQKPAFSQHLLPLSEDPALAMAFSVARRAAAVPLLLVNGTYRKSVRSYLDSSIVQYQLQRMNDHGSLKGKLAHSRSTLEVPIFWFIHGEPLLVDKHYQAKALSDMVIVVQSEPSSWESHLQCNGQPLLWDLRRPIKAALAAASEHLAGLLPLHLAYSQAHETAIEDWMWSVGCNPYSITSQGWNISQFQSDTIARSYIITTLEESVQMVNSAIHLLVMERTTEKTFKLVQSQERELIDKYNYVVSLWRRISTVTGELRYVDAMRLLYTLEEASKGFVDQVNTTIAILHPIHCTRERKVHVVFNVTTIPAFLVVLGVLYLVLRPRRPKPKIN >ONI32556 pep chromosome:Prunus_persica_NCBIv2:G1:33932889:33934999:-1 gene:PRUPE_1G373000 transcript:ONI32556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGENQVIDCNTTDAWEEQLHRGNENKRLLVVDFTAWSYGPCQLIAPILAELAKKNPEVTFLKVYVDELKTVSEKWGVDAVPTFLFLKEGKVVDKVVGAKKDELRTKVGKHAAVTSPTDDSCPTSDTCPTGATAIATATSSESATATATSTATSTATSTDTA >ONI34721 pep chromosome:Prunus_persica_NCBIv2:G1:41097339:41098535:-1 gene:PRUPE_1G494800 transcript:ONI34721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAASSAAEAPKIVWNEAKRRFESEDQKAYIEYVLRENGKVMDLVHTFVPSSKRGLGLASHLCVAAFNHAKSNSISVIPTCSYVSDTFLPRNPSWNTVLYSGPGEMKSSI >ONI36233 pep chromosome:Prunus_persica_NCBIv2:G1:46953675:46956343:1 gene:PRUPE_1G576700 transcript:ONI36233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQESIEPCKKEACYIQACLSKNNFLPQKCITVIELLQSCCEKHNYNSTHCASLSGLLKQKPK >ONI29399 pep chromosome:Prunus_persica_NCBIv2:G1:18726665:18727616:-1 gene:PRUPE_1G196100 transcript:ONI29399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMIALGFEGSANKIGVGVTILAPSLETAPHHLQLIHPLIKSALETAQITPKEIYCLCYTKGPGMGAPLQLWKKPIVAVNHCSAHIEMGRIVTGAYDPVVLYLLATFDRVLTLSNDPSPGYNIEQMASSFRKRIELPYISHGNCS >ONI30828 pep chromosome:Prunus_persica_NCBIv2:G1:28119535:28121116:-1 gene:PRUPE_1G275600 transcript:ONI30828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMALGNVAALQFSASALFGIRSATSSFQQPMQTRINFSVMPLVMRARGNARTESAKIRNRRTQKKYNGTPKRPRLSVFCSGKQLYAMLVDDQNKNCLFYGSTLQKSVRQNSPCSTSEAAKRVGEELIKACHDLNIHELSSYDRNGFATGERIQAFEIAISEHGFLPR >ONI30829 pep chromosome:Prunus_persica_NCBIv2:G1:28119695:28120614:-1 gene:PRUPE_1G275600 transcript:ONI30829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMALGNVAALQFSASALFGIRSATSSFQQPMQTRINFSVMPLVMRARGNARTESAKIRNRRTQKKYNGTPKRPRLSVFCSGKQLYAMLVDDQNKNCLFYGSTLQKSVRQNSPCSTSVSAPQACEAAKRVGEELIKACHDLNIHELSSYDRNGFATGERIQAFEIAISEHGFLPR >ONI30830 pep chromosome:Prunus_persica_NCBIv2:G1:28119901:28120614:-1 gene:PRUPE_1G275600 transcript:ONI30830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMALGNVAALQFSASALFGIRSATSSFQQPMQTRINFSVMPLVMRARGNARTESAKIRNRRTQKKYNGTPKRPRLSVFCSGKQLYAMLVDDQNKNCLFYGSTLQKSVRQNSPCSTSVSAPQACVRKISQPATVNLENHI >ONI27735 pep chromosome:Prunus_persica_NCBIv2:G1:8187231:8194993:-1 gene:PRUPE_1G102500 transcript:ONI27735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLTLLSMPVLAFKYIDYVSRSRSSENISEEVSLNKQLAYRVDLFLSVHPYSKPLALLVATLLLIFLGGLALFGVKDDSLAECLWLSWTYVADSGNHTDSEKVGERLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSKVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFNFKGTSVICRSGSPLILADLKKVSVSKARAIIILAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWSQLDGMHFEDVLISYPDAIPCGIKVASLGGKIILNPDDSYVLQEGDEVLVIAEDDDTYAPAPLPTVKEASFIHIARTARKPQKILLCGWRRDIDDMLVVLDAFLAPGSELWMFNEVAEKEREQKLIDGGLDISRLVNITLVNREGNAVIRRHLESLPLQSFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPMVTHVQRGSFSQGSWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNELQIRQADLYLREGEELSFYEVLLRARQRREVMIGYRLADAERAVINPPSKSKRQRWSVKDVFVVIAEKE >ONI27736 pep chromosome:Prunus_persica_NCBIv2:G1:8189575:8197072:-1 gene:PRUPE_1G102500 transcript:ONI27736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSDSAAVSSTSRDWYFPSPPFIHSNSNSTKFPKYPRRFPTNPRQSQHLPPDYRPPFGGVSSPNSSPPFRSVSSSTSAPHRAFSHERLRRRVDFGRRREKPQQNDVNEAIPSVSDGVSGRRSEVSSAVSGDKFLGNGFNVRWKMAFFVAIATTVFSSVVYQNFCLHIQVNELQEHISKLEFTLQAYNLSDSTELISSFGQQSDLHSKTLKRLALMVSLTLLSMPVLAFKYIDYVSRSRSSENISEEVSLNKQLAYRVDLFLSVHPYSKPLALLVATLLLIFLGGLALFGVKDDSLAECLWLSWTYVADSGNHTDSEKVGERLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSKVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFNFKGTSVICRSGSPLILADLKKVSVSKARAIIILAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWSQLDGMHFEDVLISYPDAIPCGIKVASLGGKIILNPDDSYVLQEGDEVLVIAEDDDTYAPAPLPTVWRGSLPKDFIVPKSAERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNEVAEKEREQKLIDGGLDISRLVNITLVNREGNAVIRRHLESLPLQSFDSVRHVLI >ONI27733 pep chromosome:Prunus_persica_NCBIv2:G1:8187231:8197287:-1 gene:PRUPE_1G102500 transcript:ONI27733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSDSAAVSSTSRDWYFPSPPFIHSNSNSTKFPKYPRRFPTNPRQSQHLPPDYRPPFGGVSSPNSSPPFRSVSSSTSAPHRAFSHERLRRRVDFGRRREKPQQNDVNEAIPSVSDGVSGRRSEVSSAVSGDKFLGNGFNVRWKMAFFVAIATTVFSSVVYQNFCLHIQVNELQEHISKLEFTLQAYNLSDSTELISSFGQQSDLHSKTLKRLALMVSLTLLSMPVLAFKYIDYVSRSRSSENISEEVSLNKQLAYRVDLFLSVHPYSKPLALLVATLLLIFLGGLALFGVKDDSLAECLWLSWTYVADSGNHTDSEKVGERLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSKVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFNFKGTSVICRSGSPLILADLKKVSVSKARAIIILAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWSQLDGMHFEDVLISYPDAIPCGIKVASLGGKIILNPDDSYVLQEGDEVLVIAEDDDTYAPAPLPTVWRGSLPKDFIVPKSAERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNEVAEKEREQKLIDGGLDISRLVNITLVNREGNAVIRRHLESLPLQSFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPMVTHVQRGSFSQGSWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNELQIRQADLYLREGEELSFYEVLLRARQRREVMIGYRLADAERAVINPPSKSKRQRWSVKDVFVVIAEKE >ONI27734 pep chromosome:Prunus_persica_NCBIv2:G1:8187231:8197287:-1 gene:PRUPE_1G102500 transcript:ONI27734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSDSAAVSSTSRDWYFPSPPFIHSNSNSTKFPKYPRRFPTNPRQSQHLPPDYRPPFGGVSSPNSSPPFRSVSSSTSAPHRAFSHERLRRRVDFGRRREKPQQNDVNEAIPSVSDGVSGRRSEVSSAVSGDKFLGNGFNVRWKMAFFVAIATTVFSSVVYQNFCLHIQVNELQEHISKLEFTLQAYNLSDSTELISSFGQQSDLHSKTLKRLALMVSLTLLSMPVLAFKYIDYVSRSRSSENISEEVSLNKQLAYRVDLFLSVHPYSKPLALLVATLLLIFLGGLALFGVKDDSLAECLWLSWTYVADSGNHTDSEKVGERLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSKVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFNFKGTSVICRSGSPLILADLKKVSVSKARAIIILAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWSQLDGMHFEDVLISYPDAIPCGIKVASLGGKIILNPDDSYVLQEGDEVLVIAEDDDTYAPAPLPTVKEASFIHIARTARKPQKILLCGWRRDIDDMLVVLDAFLAPGSELWMFNEVAEKEREQKLIDGGLDISRLVNITLVNREGNAVIRRHLESLPLQSFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPMVTHVQRGSFSQGSWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNELQIRQADLYLREGEELSFYEVLLRARQRREVMIGYRLADAERAVINPPSKSKRQRWSVKDVFVVIAEKE >ONI32445 pep chromosome:Prunus_persica_NCBIv2:G1:33683348:33685088:-1 gene:PRUPE_1G368100 transcript:ONI32445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGLGKCSKIRHIVRLRQLLRRWRSKARMSANRIPSDVPAGHVAVSVGSSCTRFVVRASYLNHPVFKKLLVEAEEEYGFSNQGPLAIPCDESLFEEVLRFISRSESGGSTRFVNLDDFQRYCHAGIRSNLDFWADSRPLLRGFSDKTIW >ONI28155 pep chromosome:Prunus_persica_NCBIv2:G1:9979960:9981654:1 gene:PRUPE_1G127000 transcript:ONI28155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHRITPNSDWVFSFFVLSSPPSHFVSYSASRQPPTTDSKPLSQPARHSHTPLCRRSSLSLSQSLQQIGDPIHSPRPPLHLVWLSVSVSVSQTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAATVAPLVADVSSEVN >ONI26177 pep chromosome:Prunus_persica_NCBIv2:G1:686138:689443:1 gene:PRUPE_1G008200 transcript:ONI26177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYLFGGVTHTAVNHTHLMLKPYIGFCALLNHNALIPISSSSSSSAFVFLHGSPSFSSANPISGSQSCTTSPPCGGTCIYMGAHEDDWIIRLAKFAVEQHNFKESKNLQYVRVLGASHTMVSSILYHITLETVDATKPKIYHAVVWLQILKNFMELLAWKPVNDRLSVLGVKSGIHGTTNNHDPLLVSSYRGQIFVHTLRSKETLT >ONI26176 pep chromosome:Prunus_persica_NCBIv2:G1:686138:689443:1 gene:PRUPE_1G008200 transcript:ONI26176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYLFGGVTHTAVNHTHLMLKPYIGFCALLNHNALIPISSSSSSSAFVFLHGSPSFSSANPISGSQSCTTSPPCGGTCIYMGAHEDDWIIRLAKFAVEQHNFKESKNLQYVRVLGASHTMVSSILYHITLETVDATKPKIYHAVVWLQILKNFMELLAWKPVNDRLSVLGVKSGTVLDVLFVLHR >ONI26178 pep chromosome:Prunus_persica_NCBIv2:G1:686186:688971:1 gene:PRUPE_1G008200 transcript:ONI26178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYLFGGVTHTAVNHTHLMLKPYIGFCALLNHNALIPISSSSSSSAFVFLHGSPSFSSANPISGSQSCTTSPPCGGTCIYMGAHEDDWIIRLAKFAVEQHNFKESKNLQYVRVLGASHTMVSSILYHITLETVDATKPKIYHAVVWLQILKNFMELLAWKPVNDRLSVLGVKSEDRFSCIP >ONI26175 pep chromosome:Prunus_persica_NCBIv2:G1:686138:689443:1 gene:PRUPE_1G008200 transcript:ONI26175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYLFGGVTHTAVNHTHLMLKPYIGFCALLNHNALIPISSSSSSSAFVFLHGSPSFSSANPISGSQSCTTSPPCGGTCIYMGAHEDDWIIRLAKFAVEQHNFKESKNLQYVRVLGASHTMVSSILYHITLETVDATKPKIYHAVVWLQILKNFMELLAWKPVNDRLSVLGVKSGDLKLHEAVMFYMNNVQRDRSLN >ONI26174 pep chromosome:Prunus_persica_NCBIv2:G1:686138:689706:1 gene:PRUPE_1G008200 transcript:ONI26174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYLFGGVTHTAVNHTHLMLKPYIGFCALLNHNALIPISSSSSSSAFVFLHGSPSFSSANPISGSQSCTTSPPCGGTCIYMGAHEDDWIIRLAKFAVEQHNFKESKNLQYVRVLGASHTMVSSILYHITLETVDATKPKIYHAVVWLQILKNFMELLAWKPVNDRLSVLGVKSG >ONI26179 pep chromosome:Prunus_persica_NCBIv2:G1:686186:687947:1 gene:PRUPE_1G008200 transcript:ONI26179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYLFGGVTHTAVNHTHLMLKPYIGFCALLNHNALIPISSSSSSSAFVFLHGSPSFSSANPISGSQSCTTSPPCGGTCIYMGAHEDDWIIRLAKFAVEQHNFKESKNLQYVRVLGASHTMVSSILYHITLETVDATKPKIYHAVVWLQILKNFMELLAWKPVNDRLSVLGVKSGIHGTTNNHDPLLVSSYR >ONI36352 pep chromosome:Prunus_persica_NCBIv2:G1:47293313:47299537:-1 gene:PRUPE_1G582200 transcript:ONI36352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKATLSNQYVSENQRRDKELKSSKSSKLSEAAVGADATARLITNPHTEENAGSTPISSDEGEKKMVVTEKPTKPFMEGGASGGKLPSGLTRITSVANGERGAQVVAGWPSWLTAVAGEAISGWVPLKADSFEKLEKIGQGTYSSVYRARDLDSNKIVALKKVRFANMDPESVRFMAREILILRKLDHPNIMKLEGLITSRVSGSLYLVFEYMEHDLAGIAARPGVKFTEAQIKCYMQQLLRGLEHCHSHGILHRDIKGSNLLIDYYGNLKIADFGLATFYRPRQKQPLTSRVVTLWYRPPELLLGSTDYGVAVDLWSAGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKRSKLPHATIFKPTHPYKRCVAETFKDFPPSALALLEVLLAVEPESRGTASSALHSEFFTSLPLPSDPSTLPKYPPSKEFDAKLRDEEARRRRAPGSKGGSSKESKAVPAPDANAIQRQGQPNPKSISEKYNPDEDSGSGFPIEPPKGATSNGYSYSGQSMHPNAFGSSRNMSQSGQSRNGGEKSYMYRGAAQSSRFSNSASVRSDSRYDGVVEASANPHWPEERINARYSHLDNGDSSAKHDWSHNFLDRPKSSHKKDEQPSGKESATGYATKKNRIHYSGPLMPPGGNLEEMLKEHERQIQHAVRKARLDKNKAKKANSENGQTESLLHHIRNGR >ONI36351 pep chromosome:Prunus_persica_NCBIv2:G1:47292451:47300650:-1 gene:PRUPE_1G582200 transcript:ONI36351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIRGILQCPCRNRGLWSRIMGCICSKATLSNQYVSENQRRDKELKSSKSSKLSEAAVGADATARLITNPHTEENAGSTPISSDEGEKKMVVTEKPTKPFMEGGASGGKLPSGLTRITSVANGERGAQVVAGWPSWLTAVAGEAISGWVPLKADSFEKLEKIGQGTYSSVYRARDLDSNKIVALKKVRFANMDPESVRFMAREILILRKLDHPNIMKLEGLITSRVSGSLYLVFEYMEHDLAGIAARPGVKFTEAQIKCYMQQLLRGLEHCHSHGILHRDIKGSNLLIDYYGNLKIADFGLATFYRPRQKQPLTSRVVTLWYRPPELLLGSTDYGVAVDLWSAGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKRSKLPHATIFKPTHPYKRCVAETFKDFPPSALALLEVLLAVEPESRGTASSALHSEFFTSLPLPSDPSTLPKYPPSKEFDAKLRDEEARRRRAPGSKGGSSKESKAVPAPDANAIQRQGQPNPKSISEKYNPDEDSGSGFPIEPPKGATSNGYSYSGQSMHPNAFGSSRNMSQSGQSRNGGEKSYMYRGAAQSSRFSNSASVRSDSRYDGVVEASANPHWPEERINARYSHLDNGDSSAKHDWSHNFLDRPKSSHKKDEQPSGKESATGYATKKNRIHYSGPLMPPGGNLEEMLKEHERQIQHAVRKARLDKNKAKKANSENGQTESLLHHIRNGR >ONI36354 pep chromosome:Prunus_persica_NCBIv2:G1:47292451:47300582:-1 gene:PRUPE_1G582200 transcript:ONI36354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKATLSNQYVSENQRRDKELKSSKSSKLSEAAVGADATARLITNPHTEENAGSTPISSDEGEKKMVVTEKPTKPFMEGGASGGKLPSGLTRITSVANGERGAQVVAGWPSWLTAVAGEAISGWVPLKADSFEKLEKIGQGTYSSVYRARDLDSNKIVALKKVRFANMDPESVRFMAREILILRKLDHPNIMKLEGLITSRVSGSLYLVFEYMEHDLAGIAARPGVKFTEAQIKCYMQQLLRGLEHCHSHGILHRDIKGSNLLIDYYGNLKIADFGLATFYRPRQKQPLTSRVVTLWYRPPELLLGSTDYGVAVDLWSAGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKRSKLPHATIFKPTHPYKRCVAETFKDFPPSALALLEVLLAVEPESRGTASSALHSEFFTSLPLPSDPSTLPKYPPSKEFDAKLRDEEARRRRAPGSKGGSSKESKAVPAPDANAIQRQGQPNPKSISEKYNPDEDSGSGFPIEPPKGATSNGYSYSGQSMHPNAFGSSRNMSQSGQSRNGGEKSYMYRGAAQSSRFSNSASVRSDSRYDGVVEASANPHWPEERINARYSHLDNGDSSAKHDWSHNFLDRPKSSHKKDEQPSGKESATGYATKKNRIHYSGPLMPPGGNLEEMLKEHERQIQHAVRKARLDKNKAKKANSENGQTESLLHHIRNGR >ONI36353 pep chromosome:Prunus_persica_NCBIv2:G1:47293313:47299537:-1 gene:PRUPE_1G582200 transcript:ONI36353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKATLSNQYVSENQRRDKELKSSKSSKLSEAAVGADATARLITNPHTEENAGSTPISSDEGEKKMVVTEKPTKPFMEGGASGGKLPSGLTRITSVANGERGAQVVAGWPSWLTAVAGEAISGWVPLKADSFEKLEKIGQGTYSSVYRARDLDSNKIVALKKVRFANMDPESVRFMAREILILRKLDHPNIMKLEGLITSRVSGSLYLVFEYMEHDLAGIAARPGVKFTEAQIKCYMQQLLRGLEHCHSHGILHRDIKGSNLLIDYYGNLKIADFGLATFYRPRQKQPLTSRVVTLWYRPPELLLGSTDYGVAVDLWSAGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKRSKLPHATIFKPTHPYKRCVAETFKDFPPSALALLEVLLAVEPESRGTASSALHSEFFTSLPLPSDPSTLPKYPPSKEFDAKLRDEEARRRRAPGSKGGSSKESKAVPAPDANAIQRQGQPNPKSISEKYNPDEDSGSGFPIEPPKGATSNGYSYSGQSMHPNAFGSSRNMSQSGQSRNGGEKSYMYRGAAQSSRFSNSASVRSDSRYDGVVEASANPHWPEERINARYSHLDNGDSSAKHDWSHNFLDRPKSSHKKDEQPSGKESATGYATKKNRIHYSGPLMPPGGNLEEMLKEHERQIQHAVRKARLDKNKAKKANSENGQTESLLHHIRNGR >ONI29041 pep chromosome:Prunus_persica_NCBIv2:G1:15112038:15114254:1 gene:PRUPE_1G177200 transcript:ONI29041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNTIGKSLWLKSNCLSHQNQVASDSVHRGNLALQQLSCNACLEENKACKCDQSFESCKRVSGVDEHHSEGNNSFTHSVINMTGMLIGLGQLSTPYALETGGWSSAFLMIGLGVICAYCSHILGKCLDKNPKSRSYTDIGQQAFGTKGKLIAATLIYMEIFMALVSYTISLHDNLNTVFSGTQFKVSWAKLSKSQLLTLMAVLVALPSLWLRDLSSISFLSFGGLLMSLVIFTSVACTGIFGGVKANHTIPALRLHNIPAISGLYIFSYAGHIVFPNLYKAMKDPSKFTKVSIVSFTLATILYATLAFMGAKLFGPQVNPQITLSMPPHLIVTKIALWATVVTPMTKYALEFAPMAIQLEHNLPHSMSSRTKLIIRGTVGSVLLLVILALALSVPYFEYVLSLTGSLVSIGICVIFPCAFYLKICWGQISRPLLLLNFTLIAFGLLLGVVGTISSSKLLIKNLNRAHSG >ONI33586 pep chromosome:Prunus_persica_NCBIv2:G1:37133617:37139002:-1 gene:PRUPE_1G434300 transcript:ONI33586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMVELEEQGPAMTALGSLLKLTEVFLWDDGLKETKDRSFSMGQTKPARDGSGEGSNLLDSECGTLPEDMELTKQMNALGLPVSFLTNKEKRNRKAEGKRNGMRLKHPVTSQGYVVEALESSKSLSVEGDNPASSTEITSDASKEQDRDGILGVVCNDGQDCDSLHGSAVVNDTMKISASTTDLNDGIFSGSCSTDAAISQEPGERLMEHDHLECSLMTLHEAEGAKICEDYVPEKPCVSESVSYSTCSEVLDHDGTDSQDNGDVGDWMVYWDSYYMRNYFYNIRTRTSTWHPPQGMEHISTVDTTYKSNEMTAQVIDMNVTTDLETTDLCGLSKTESFEEAISDDVSQGQPYCELSGGLELTVDNSMSNATLPTVSLSRCLEHSVELNESNNTGNDGNASYLLSNVQDLASFRNNTKQLVADEVYKNDLEPILAEKTGEPNTIDLYNEPSKINSCEETLEDFEGDDAFQILDMSSLSNTYTEEVSEDYNMHSGTGVPAINEMEMHHDLSVVKRKKKMRRMKIQRKLSNEELLFQGLFKEFSADIGKYWCQRYLLFSRYDDGIKMDEEGWFSVTPEPLARHHAERCGSGIIIDCFTGVGGNSIQFAHISKHVIAIDIDPKKIDYAHHNAAIYGVDDRIDFIKGDFFELAPKLKADTVFLSPPWGGPDYAKVKTYDLRMLKPHDGYFLFNTAKEVASRIVMFLPRNVDLNQLAELALSGSRPWSLEVEKNFLTGKLKGITAYFSDMTGRQ >ONI33588 pep chromosome:Prunus_persica_NCBIv2:G1:37133618:37139002:-1 gene:PRUPE_1G434300 transcript:ONI33588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMVELEEQGPAMTALGSLLKLTEVFLWDDGLKETKDRSFSMGQTKPARDGSGEGSNLLDSECGTLPEDMELTKQMNALGLPVSFLTNKEKRNRKAEGKRNGMRLKHPVTSQGYVVEALESSKVSVGKIVSPVNFDGNTGSSLCCMSMMGQSESSSSDVAADAIKFQSLSVEGDNPASSTEITSDASKEQDRDGILGVVCNDGQDCDSLHGSAVVNDTMKISASTTDLNDGIFSGSCSTDAAISQEPGERLMEHDHLECSLMTLHEAEGAKICEDYVPEKPCVSESVSYSTCSEVLDHDGTDSQDNGDVGDWMVYWDSYYMRNYFYNIRTRTSTWHPPQGMEHISTVDTTYKSNEMTAQVIDMNVTTDLETTDLCGLSKTESFEEAISDDVSQGQPYCELSGGLELTVDNSMSNATLPTVSLSRCLEHSVELNESNNTGNDGNASYLLSNVQDLASFRNNTKQLVADEVYKNDLEPILAEKTGEPNTIDLYNEPSKINSCEETLEDFEGDDAFQILDMSSLSNTYTEEVSEDYNMHSGTGVPAINEMEMHHDLSVVKRKKKMRRMKIQRKLSNEELLFQGLFKEFSADIGKYWCQRYLLFSRYDDGIKMDEEGWFSVTPEPLARHHAERCGSGIIIDCFTGVGGNSIQFAHISKHVIAIDIDPKKIDYAHHNAAIYGVDDRIDFIKGDFFELAPKLKADTVFLSPPWGGPDYAKVKTYDLRMLKPHDGYFLFNTAKEVASRIVMFLPRNVDLNQLAELALSGSRPWSLEVEKNFLTGKLKGITAYFSDMTGRQ >ONI33587 pep chromosome:Prunus_persica_NCBIv2:G1:37133618:37139003:-1 gene:PRUPE_1G434300 transcript:ONI33587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMVELEEQGPAMTALGSLLKLTEVFLWDDGLKETKDRSFSMGQTKPARDGSGEGSNLLDSECGTLPEDMELTKQMNALGLPVSFLTNKEKRNRKAEGKRNGMRLKHPVTSQGYVVEALESSKVSVGKIVSPVNFDGNTGSSLCCMSMMGQSESSSSDVAADAIKFQSLSVEGDNPASSTEITSDASKEQDRDGILGVVCNDGQDCDSLHGSAVVNDTMKISASTTDLNDGIFSGSCSTDAAISQEPGERLMEHDHLECSLMTLHEAEGAKICEDYVPEKPCVSESVSYSTCSEVLDHDGTDSQDNGDVGDWMVYWDSYYMRNYFYNIRTRTSTWHPPQGMEHISTVDTTYKSNEMTAQVIDMNVTTDLETTDLCGLSKTESFEEAISDDVSQGQPYCELSGGLELTVDNSMSNATLPTVSLSRCLEHSVELNESNNTGNDGNASYLLSNVQDLASFRNNTKQLVADEVYKNDLEPILAEKTGEPNTIDLYNEPSKINSCEETLEDFEGDDAFQILDMSSLSNTYTEEVSEDYNMHSGTGVPAINEMEMHHDLSVVKRKKKMRRMKIQRKLSNEELLFQGLFKEFSADIGKYWCQRYLLFSRYDDGIKMDEEGWFSVTPEPLARHHAERCGSGIIIDCFTGVGGNSIQFAHMIDFIKGDFFELAPKLKADTVFLSPPWGGPDYAKVKTYDLRMLKPHDGYFLFNTAKEVASRIVMFLPRNVDLNQLAELALSGSRPWSLEVEKNFLTGKLKGITAYFSDMTGRQ >ONI27237 pep chromosome:Prunus_persica_NCBIv2:G1:5408142:5413101:-1 gene:PRUPE_1G075500 transcript:ONI27237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLGKKSSLKESGKPRYSNSVAKLISSPKFRKGGKVSHVKKIKPKSKTIIASCLSKKRGADSTRKGSRNNGTDKKLMSRKGVHKVHDTNSSKKLSSVKLQDEKFSFKDSDKKGENADGEVKLRIKRRRKKKLQKDKVELDETSRLQRRTRYLLIKIKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIRDAIHQLYSLSSVGSMADSVIAADGSVYHEHEMFGKDGPLHEQISDDEDWGPVKRKRREKESDAASTLMTLYESERIPDVTPTEVKNKHPPDTQVRRACFRIPRKAVEKLRQAFSENELPSRDVKENLSKELGLDPEKVSKWFKNARYLALKTRKEESATNLHTVTTGISKESTNENVTEKAADLMASDSDDFLAETVVHSPRNVKKSFRRKHPKSLSSPLRKNQQKGSSCGSPAKRNKDGMELSDNVSLKKLLKARTKEKKANLIAEGGCRVAELEMERLCKAKGRLENMRQKLLKFQNAKAKKSNKSLLHEQSVIYVPIAELKDKV >ONI27238 pep chromosome:Prunus_persica_NCBIv2:G1:5407949:5414252:-1 gene:PRUPE_1G075500 transcript:ONI27238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLGKKSSLKESGKPRYSNSVAKLISSPKFRKGGKVSHVKKIKPKSKTIIASCLSKKRGADSTRKGSRNNGTDKKLMSRKGVHKVHDTNSSKKLSSVKLQDEKFSFKDSDKKGENADGEVKLRIKRRRKKKLQKDKVELDETSRLQRRTRYLLIKIKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIRDAIHQLYSLSSVGSMADSVIAADGSVYHEHIFCAKCKLNEAFPDNDIILCDGTCNSAFHQKCLDPPLDTENIPRGDQGWFCKFCECKMEILEVVNAHLGTCFSNDCGWQDVFKEEAAFPDGENSLLNPDEEWPSDDSEDDDYNPERNENSCSFSMGGTDDNASDDELSTDVSVGSDESTDGEVVSGRRQRRRVDYKKLYDDGMELSDNVSLKKLLKARTKEKKANLIAEGGCRVAELEMERLCKAKGRLENMRQKLLKFQNAKAKKSNKSLLHEQSVIYVPIAELKDKV >ONI27239 pep chromosome:Prunus_persica_NCBIv2:G1:5408142:5413101:-1 gene:PRUPE_1G075500 transcript:ONI27239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLGKKSSLKESGKPRYSNSVAKLISSPKFRKGGKVSHVKKIKPKSKTIIASCLSKKRGADSTRKGSRNNGTDKKLMSRKGVHKVHDTNSSKKLSSVKLQDEKFSFKDSDKKGENADGEVKLRIKRRRKKKLQKDKVELDETSRLQRRTRYLLIKIKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIRDAIHQLYSLSSVGSMADSVIAADGSVYHEHIFCAKCKLNEAFPDNDIILCDGTCNSAFHQKCLDPPLDTENIPRGDQGWFCKFCECKMEILEVVNAHLGTCFSNDCGWQDVFKEEAAFPDGENSLLNPDEEWPSDDSEDDDYNPERNENSCSFSMGGTDDNASDDELSTDVSVGSDESTDGEVVSGRRQRRRVDYKKLYDEMFGKDGPLHEQISDDEDWGPVKRKRREKESDAASTLMTLYESERIPDVTPTEVKNKHPPDTQVRRACFRIPRKAVEKLRQAFSENELPSRDVKENLSKELGLDPEKVSKWFKNARYLALKTRKDGMELSDNVSLKKLLKARTKEKKANLIAEGGCRVAELEMERLCKAKGRLENMRQKLLKFQNAKAKKSNKSLLHEQSVIYVPIAELKDKV >ONI27240 pep chromosome:Prunus_persica_NCBIv2:G1:5408142:5413101:-1 gene:PRUPE_1G075500 transcript:ONI27240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLGKKSSLKESGKPRYSNSVAKLISSPKFRKGGKVSHVKKIKPKSKTIIASCLSKKRGADSTRKGSRNNGTDKKLMSRKGVHKVHDTNSSKKLSSVKLQDEKFSFKDSDKKGENADGEVKLRIKRRRKKKLQKDKVELDETSRLQRRTRYLLIKIKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIRDAIHQLYSLSSVGSMADSVIAADGSVYHEHIFCAKCKLNEAFPDNDIILCDGTCNSAFHQKCLDPPLDTENIPRGDQGWFCKFCECKMEILEVVNAHLGTCFSNDCGWQDVFKEEAAFPDGENSLLNPDEEWPSDDSEDDDYNPERNENSCSFSMGGTDDNASDDELSTDVSVGSDESTDGEVVSGRRQRRRVDYKKLYDEMFGKDGPLHEQISDDEDWGPVKRKRREKESDAASTLMTLYESERIPDVTPTEVKNKHPPDTQVRRACFRIPRKAVEKLRQAFSENELPSRDVKENLSKELGLDPEKVSKWFKNARYLALKTRKEESATNLHTVTTGISKESTNENVTEKAADLMASDSDDFLAETVVHSPRNVKKSFRRKHPKSLSSPLRKNQQKGSSCGSPAKRNKDGMELSDNVSLKKLLKARTKEKKANLIAEGGCRVAELEMERLCKAKGRLENMRQKLLKFQNAKAKKSNKSLLHEQSVIYVPIAELKDKV >ONI27236 pep chromosome:Prunus_persica_NCBIv2:G1:5407949:5414252:-1 gene:PRUPE_1G075500 transcript:ONI27236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLGKKSSLKESGKPRYSNSVAKLISSPKFRKGGKVSHVKKIKPKSKTIIASCLSKKRGADSTRKGSRNNGTDKKLMSRKGVHKVHDTNSSKKLSSVKLQDEKFSFKDSDKKGENADGEVKLRIKRRRKKKLQKDKVELDETSRLQRRTRYLLIKIKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIRDAIHQLYSLSSVGSMADSVIAADGSVYHEHEESATNLHTVTTGISKESTNENVTEKAADLMASDSDDFLAETVVHSPRNVKKSFRRKHPKSLSSPLRKNQQKGSSCGSPAKRNKDGMELSDNVSLKKLLKARTKEKKANLIAEGGCRVAELEMERLCKAKGRLENMRQKLLKFQNAKAKKSNKSLLHEQSVIYVPIAELKDKV >ONI34726 pep chromosome:Prunus_persica_NCBIv2:G1:41115841:41116717:1 gene:PRUPE_1G495300 transcript:ONI34726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFAGGVEQQVRQVLTSSAGRCIVCRSPADMVDYEKVLKVFFVPVWRWPGKEPVMHCNNCNLFFPQSYSLPPPKTADDSAAVSEALRCRFCDRVVEPEFSFCPFCGSAI >ONI36256 pep chromosome:Prunus_persica_NCBIv2:G1:47075732:47079165:-1 gene:PRUPE_1G578500 transcript:ONI36256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSTPIIIKDFFMKTDQELYGEDKNKSSSGFEKVARTPDDQQRDISLRSMDEENHMSQSVWFFRKYLLTRSQNNVAKTSMEAEFESEIIKGHSSPRPLPPKFLGSNNFPGHRLSLLEQQLLPGLRCDDQSNRTSEQLTIFYDGIINVYDNIPADKAQAIMRLASENSSVKPLVAESFKTDRQKPPLKPKSLSVSKIRAGTSTILLMPFILKNRKTIMRQL >ONI36255 pep chromosome:Prunus_persica_NCBIv2:G1:47075905:47078672:-1 gene:PRUPE_1G578500 transcript:ONI36255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSTPIIIKDFFMKTDQELYGEDKNKSSSGFEKVARTPDDQQRDISLRSMDEENHMSQSVWFFRKYLLTRSQNNVAKTSMEAEFESEIIKGHSSPRPLPPKFLGSNNFPGHRLSLLEQQLLPGLRCDDQSNRTSEQLTIFYDGIINVYDNIPADKAQAIMRLASENSSVKPLVAESFKTDRQKPPLKPKSLSVSKIRAGLPMARRYSLQCFLEKRRDRNINNSPYALHSKKQEDNYEATVNNESNESDKLSLLPFPSRLGYFYPRLVNQGSC >ONI35687 pep chromosome:Prunus_persica_NCBIv2:G1:44985172:44990068:-1 gene:PRUPE_1G550500 transcript:ONI35687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSLWTLEPPRTSENKEQASRHLHFQSNPLEPSLLCALHLHLSFSVPAPSFQSLARSHPAYSSQQKEENARFSHLKRNSGTNQFKDPEGRRKKKEISKGPLLLH >ONI29207 pep chromosome:Prunus_persica_NCBIv2:G1:17086734:17089044:1 gene:PRUPE_1G187000 transcript:ONI29207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVRVVQFKELDSVVRPLRCEASKHMDEVEAEEQPKPYSVSDVPYFSAFVKDGKVVDTSEGVDPPSFATKVARVVGSVKAGEPAAPANLDTVQELAIL >ONI29206 pep chromosome:Prunus_persica_NCBIv2:G1:17086734:17089044:1 gene:PRUPE_1G187000 transcript:ONI29206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVRVVQFKELDSVVRPLRCEASKHMDEVEAEEQPKPYSVSDVPYFSAFVKDGKVVDTSEGVDPPSFATKVARVVGSVKAGEPAAPANLDTVQELAIL >ONI27276 pep chromosome:Prunus_persica_NCBIv2:G1:5586862:5591771:1 gene:PRUPE_1G077400 transcript:ONI27276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSILPLPAPPSDGDLGPLPESQVTELNNDEKQLNEEQNKANSVATHTRAIGIIYPPQDIRNIVDKTSQFVAKNGPEFEKRIIANNTGNAKFSFLISSDPYHAYYQHRLSEFRAQNQSSGQQPSSQPEDSAIPESSPPAPAADGETGAPKLDPSAQFKSVRKVPEPPEKPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLLGLTNRETNNPQFHFLKPNHSMFTLFTSLADAYSKVLMPPTGLTEKLKKSIADMTTVLERCVHRLEWERSQEQARQKEEDEIELERMQMAMIDWHDFVVVESIDFADDEDEDLPPPMTLEEVIRRSKVTDMEEDIVEPGKEVEMEMDVEEMQLVEEGLRTARIEENGDEKKNESKVTDDPEPPMRIVKNWKRPEDRIPAERDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNINGEDQNDVLNNDARNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNTAQYSAPSSGGLPVPPLRPSVVQYQSVRPPGPPMPMSSGQQSLLVNRPPPMPPSMSMNPSVPPPPGSQFTPMQVPRAYMPLPVPPPTMQMMPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSMLISEDQFLAQHPGPVRITVSVPNVDEGNLKGQLLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEALSLSLRERGGRKR >ONI27281 pep chromosome:Prunus_persica_NCBIv2:G1:5586845:5591771:1 gene:PRUPE_1G077400 transcript:ONI27281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSILPLPAPPSDGDLGPLPESQVTELNNDEKQLNEEQNKANSVATHTRAIGIIYPPQDIRNIVDKTSQFVAKNGPEFEKRIIANNTGNAKFSFLISSDPYHAYYQHRLSEFRAQNQSSGQQPSSQPEDSAIPESSPPAPAADGETGAPKLDPSAQFKSVRKVPEPPEKPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLLGLTNRETNNPQFHFLKPNHSMFTLFTSLADAYSKVLMPPTGLTEKLKKSIADMTTVLERCVHRLEWERSQEQARQKEEDEIELERMQMAMIDWHDFVVVESIDFADDEDEDLPPPMTLEEVIRRSKVTDMEEDIVEPGKEVEMEMDVEEMQLVEEGLRTARIEENGDEKKNESKVTDDPEPPMRIVKNWKRPEDRIPAERDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNINGEDQNDVLNNDARNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNTAQYSAPSSGGLPVPPLRPSVVQYQSVRPPGPPMPMSSGQQSLLVNRPPPMPPSMSMNPSVPPPPGSQFTPMQVPRAYMPLPVPPPTMQMMPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSMLISEDQFLAQHPGPVRITVSVPNVDEGNLKGQLLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEALSLSLRERGGRKR >ONI27285 pep chromosome:Prunus_persica_NCBIv2:G1:5587209:5591771:1 gene:PRUPE_1G077400 transcript:ONI27285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSILPLPAPPSDGDLGPLPESQVTELNNDEKQLNEEQNKANSVATHTRAIGIIYPPQDIRNIVDKTSQFVAKNGPEFEKRIIANNTGNAKFSFLISSDPYHAYYQHRLSEFRAQNQSSGQQPSSQPEDSAIPESSPPAPAADGETGAPKLDPSAQFKSVRKVPEPPEKPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLLGLTNRETNNPQFHFLKPNHSMFTLFTSLADAYSKVLMPPTGLTEKLKKSIADMTTVLERCVHRLEWERSQEQARQKEEDEIELERMQMAMIDWHDFVVVESIDFADDEDEDLPPPMTLEEVIRRSKVTDMEEDIVEPGKEVEMEMDVEEMQLVEEGLRTARIEENGDEKKNESKVTDDPEPPMRIVKNWKRPEDRIPAERDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNINGEDQNDVLNNDARNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNTAQYSAPSSGGLPVPPLRPSVVQYQSVRPPGPPMPMSSGQQSLLVNRPPPMPPSMSMNPSVPPPPGSQFTPMQVPRAYMPLPVPPPTMQMMPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSMLISEDQFLAQHPGPVRITVSVPNVDEGNLKGQLLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEALSLSLRERGGRKR >ONI27280 pep chromosome:Prunus_persica_NCBIv2:G1:5586834:5591771:1 gene:PRUPE_1G077400 transcript:ONI27280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSILPLPAPPSDGDLGPLPESQVTELNNDEKQLNEEQNKANSVATHTRAIGIIYPPQDIRNIVDKTSQFVAKNGPEFEKRIIANNTGNAKFSFLISSDPYHAYYQHRLSEFRAQNQSSGQQPSSQPEDSAIPESSPPAPAADGETGAPKLDPSAQFKSVRKVPEPPEKPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLLGLTNRETNNPQFHFLKPNHSMFTLFTSLADAYSKVLMPPTGLTEKLKKSIADMTTVLERCVHRLEWERSQEQARQKEEDEIELERMQMAMIDWHDFVVVESIDFADDEDEDLPPPMTLEEVIRRSKVTDMEEDIVEPGKEVEMEMDVEEMQLVEEGLRTARIEENGDEKKNESKVTDDPEPPMRIVKNWKRPEDRIPAERDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNINGEDQNDVLNNDARNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNTAQYSAPSSGGLPVPPLRPSVVQYQSVRPPGPPMPMSSGQQSLLVNRPPPMPPSMSMNPSVPPPPGSQFTPMQVPRAYMPLPVPPPTMQMMPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSMLISEDQFLAQHPGPVRITVSVPNVDEGNLKGQLLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEALSLSLRERGGRKR >ONI27284 pep chromosome:Prunus_persica_NCBIv2:G1:5586813:5591771:1 gene:PRUPE_1G077400 transcript:ONI27284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSILPLPAPPSDGDLGPLPESQVTELNNDEKQLNEEQNKANSVATHTRAIGIIYPPQDIRNIVDKTSQFVAKNGPEFEKRIIANNTGNAKFSFLISSDPYHAYYQHRLSEFRAQNQSSGQQPSSQPEDSAIPESSPPAPAADGETGAPKLDPSAQFKSVRKVPEPPEKPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLLGLTNRETNNPQFHFLKPNHSMFTLFTSLADAYSKVLMPPTGLTEKLKKSIADMTTVLERCVHRLEWERSQEQARQKEEDEIELERMQMAMIDWHDFVVVESIDFADDEDEDLPPPMTLEEVIRRSKVTDMEEDIVEPGKEVEMEMDVEEMQLVEEGLRTARIEENGDEKKNESKVTDDPEPPMRIVKNWKRPEDRIPAERDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNINGEDQNDVLNNDARNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNTAQYSAPSSGGLPVPPLRPSVVQYQSVRPPGPPMPMSSGQQSLLVNRPPPMPPSMSMNPSVPPPPGSQFTPMQVPRAYMPLPVPPPTMQMMPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSMLISEDQFLAQHPGPVRITVSVPNVDEGNLKGQLLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEALSLSLRERGGRKR >ONI27275 pep chromosome:Prunus_persica_NCBIv2:G1:5587245:5591771:1 gene:PRUPE_1G077400 transcript:ONI27275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSILPLPAPPSDGDLGPLPESQVTELNNDEKQLNEEQNKANSVATHTRAIGIIYPPQDIRNIVDKTSQFVAKNGPEFEKRIIANNTGNAKFSFLISSDPYHAYYQHRLSEFRAQNQSSGQQPSSQPEDSAIPESSPPAPAADGETGAPKLDPSAQFKSVRKVPEPPEKPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLLGLTNRETNNPQFHFLKPNHSMFTLFTSLADAYSKVLMPPTGLTEKLKKSIADMTTVLERCVHRLEWERSQEQARQKEEDEIELERMQMAMIDWHDFVVVESIDFADDEDEDLPPPMTLEEVIRRSKVTDMEEDIVEPGKEVEMEMDVEEMQLVEEGLRTARIEENGDEKKNESKVTDDPEPPMRIVKNWKRPEDRIPAERDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNINGEDQNDVLNNDARNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNTAQYSAPSSGGLPVPPLRPSVVQYQSVRPPGPPMPMSSGQQSLLVNRPPPMPPSMSMNPSVPPPPGSQFTPMQVPRAYMPLPVPPPTMQMMPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSMLISEDQFLAQHPGPVRITVSVPNVDEGNLKGQLLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEALSLSLRERGGRKR >ONI27283 pep chromosome:Prunus_persica_NCBIv2:G1:5586857:5591771:1 gene:PRUPE_1G077400 transcript:ONI27283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSILPLPAPPSDGDLGPLPESQVTELNNDEKQLNEEQNKANSVATHTRAIGIIYPPQDIRNIVDKTSQFVAKNGPEFEKRIIANNTGNAKFSFLISSDPYHAYYQHRLSEFRAQNQSSGQQPSSQPEDSAIPESSPPAPAADGETGAPKLDPSAQFKSVRKVPEPPEKPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLLGLTNRETNNPQFHFLKPNHSMFTLFTSLADAYSKVLMPPTGLTEKLKKSIADMTTVLERCVHRLEWERSQEQARQKEEDEIELERMQMAMIDWHDFVVVESIDFADDEDEDLPPPMTLEEVIRRSKVTDMEEDIVEPGKEVEMEMDVEEMQLVEEGLRTARIEENGDEKKNESKVTDDPEPPMRIVKNWKRPEDRIPAERDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNINGEDQNDVLNNDARNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNTAQYSAPSSGGLPVPPLRPSVVQYQSVRPPGPPMPMSSGQQSLLVNRPPPMPPSMSMNPSVPPPPGSQFTPMQVPRAYMPLPVPPPTMQMMPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSMLISEDQFLAQHPGPVRITVSVPNVDEGNLKGQLLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEALSLSLRERGGRKR >ONI27278 pep chromosome:Prunus_persica_NCBIv2:G1:5587271:5591771:1 gene:PRUPE_1G077400 transcript:ONI27278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSILPLPAPPSDGDLGPLPESQVTELNNDEKQLNEEQNKANSVATHTRAIGIIYPPQDIRNIVDKTSQFVAKNGPEFEKRIIANNTGNAKFSFLISSDPYHAYYQHRLSEFRAQNQSSGQQPSSQPEDSAIPESSPPAPAADGETGAPKLDPSAQFKSVRKVPEPPEKPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLLGLTNRETNNPQFHFLKPNHSMFTLFTSLADAYSKVLMPPTGLTEKLKKSIADMTTVLERCVHRLEWERSQEQARQKEEDEIELERMQMAMIDWHDFVVVESIDFADDEDEDLPPPMTLEEVIRRSKVTDMEEDIVEPGKEVEMEMDVEEMQLVEEGLRTARIEENGDEKKNESKVTDDPEPPMRIVKNWKRPEDRIPAERDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNINGEDQNDVLNNDARNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNTAQYSAPSSGGLPVPPLRPSVVQYQSVRPPGPPMPMSSGQQSLLVNRPPPMPPSMSMNPSVPPPPGSQFTPMQVPRAYMPLPVPPPTMQMMPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSMLISEDQFLAQHPGPVRITVSVPNVDEGNLKGQLLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEALSLSLRERGGRKR >ONI27279 pep chromosome:Prunus_persica_NCBIv2:G1:5586821:5591771:1 gene:PRUPE_1G077400 transcript:ONI27279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSILPLPAPPSDGDLGPLPESQVTELNNDEKQLNEEQNKANSVATHTRAIGIIYPPQDIRNIVDKTSQFVAKNGPEFEKRIIANNTGNAKFSFLISSDPYHAYYQHRLSEFRAQNQSSGQQPSSQPEDSAIPESSPPAPAADGETGAPKLDPSAQFKSVRKVPEPPEKPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLLGLTNRETNNPQFHFLKPNHSMFTLFTSLADAYSKVLMPPTGLTEKLKKSIADMTTVLERCVHRLEWERSQEQARQKEEDEIELERMQMAMIDWHDFVVVESIDFADDEDEDLPPPMTLEEVIRRSKVTDMEEDIVEPGKEVEMEMDVEEMQLVEEGLRTARIEENGDEKKNESKVTDDPEPPMRIVKNWKRPEDRIPAERDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNINGEDQNDVLNNDARNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNTAQYSAPSSGGLPVPPLRPSVVQYQSVRPPGPPMPMSSGQQSLLVNRPPPMPPSMSMNPSVPPPPGSQFTPMQVPRAYMPLPVPPPTMQMMPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSMLISEDQFLAQHPGPVRITVSVPNVDEGNLKGQLLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEALSLSLRERGGRKR >ONI27282 pep chromosome:Prunus_persica_NCBIv2:G1:5586862:5591771:1 gene:PRUPE_1G077400 transcript:ONI27282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSILPLPAPPSDGDLGPLPESQVTELNNDEKQLNEEQNKANSVATHTRAIGIIYPPQDIRNIVDKTSQFVAKNGPEFEKRIIANNTGNAKFSFLISSDPYHAYYQHRLSEFRAQNQSSGQQPSSQPEDSAIPESSPPAPAADGETGAPKLDPSAQFKSVRKVPEPPEKPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLLGLTNRETNNPQFHFLKPNHSMFTLFTSLADAYSKVLMPPTGLTEKLKKSIADMTTVLERCVHRLEWERSQEQARQKEEDEIELERMQMAMIDWHDFVVVESIDFADDEDEDLPPPMTLEEVIRRSKVTDMEEDIVEPGKEVEMEMDVEEMQLVEEGLRTARIEENGDEKKNESKVTDDPEPPMRIVKNWKRPEDRIPAERDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNINGEDQNDVLNNDARNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNTAQYSAPSSGGLPVPPLRPSVVQYQSVRPPGPPMPMSSGQQSLLVNRPPPMPPSMSMNPSVPPPPGSQFTPMQVPRAYMPLPVPPPTMQMMPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSMLISEDQFLAQHPGPVRITVSVPNVDEGNLKGQLLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEALSLSLRERGGRKR >ONI27277 pep chromosome:Prunus_persica_NCBIv2:G1:5587121:5591771:1 gene:PRUPE_1G077400 transcript:ONI27277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSILPLPAPPSDGDLGPLPESQVTELNNDEKQLNEEQNKANSVATHTRAIGIIYPPQDIRNIVDKTSQFVAKNGPEFEKRIIANNTGNAKFSFLISSDPYHAYYQHRLSEFRAQNQSSGQQPSSQPEDSAIPESSPPAPAADGETGAPKLDPSAQFKSVRKVPEPPEKPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLLGLTNRETNNPQFHFLKPNHSMFTLFTSLADAYSKVLMPPTGLTEKLKKSIADMTTVLERCVHRLEWERSQEQARQKEEDEIELERMQMAMIDWHDFVVVESIDFADDEDEDLPPPMTLEEVIRRSKVTDMEEDIVEPGKEVEMEMDVEEMQLVEEGLRTARIEENGDEKKNESKVTDDPEPPMRIVKNWKRPEDRIPAERDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNINGEDQNDVLNNDARNLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRVPPNTAQYSAPSSGGLPVPPLRPSVVQYQSVRPPGPPMPMSSGQQSLLVNRPPPMPPSMSMNPSVPPPPGSQFTPMQVPRAYMPLPVPPPTMQMMPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSMLISEDQFLAQHPGPVRITVSVPNVDEGNLKGQLLEITVQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGEALSLSLRERGGRKR >ONI35736 pep chromosome:Prunus_persica_NCBIv2:G1:45116318:45117829:-1 gene:PRUPE_1G551900 transcript:ONI35736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTAAPQASHTFLLGSPTTTAATRRGARRATTRKATTHVRLHVSASQGPSKSERAPPGVDTRIHWENEDEGWIGGSSATSSSKAQQAQAEQEQQSNLLEDKFADLLNDSISDSHYQFLGVSAEADLEEIKAAYRRLSKEYHPDTTSLPLKTASDKFVRLREIYNVLSNEETRRFYNWTLAQEAASRQAEIMRMKLEDPYVKEVEKYKPVPDMVDRLGGRNMALGDQAMTALTIDVFIIIFAICCIIFVIFFKEPYY >ONI28930 pep chromosome:Prunus_persica_NCBIv2:G1:13991365:13992617:-1 gene:PRUPE_1G170000 transcript:ONI28930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTKLLHSHPPNEHEEDEEEERRRKDMKPYILQLPNHLTVEIFCKIPIKTLIQCRCVCKSWRCSLSDPEFTKYLFSETPTCLLLQNSSSRNPNSSGLFLIDLDNASNRNDVVIKLHKDPNVPTLGVQIVGSCNGFLCLYDRINYGRFYVSNPVLGESLTLPKLPKEIDFPFLSGFGFCPINDVNAYKLVLVSSPSEGCNQGEIMVLTVGSGGWRNVGNFVYPFPFGYQSCGIFHNGFLHWISQCSDNSVLICAFDLESERFQELPLPPWSLEKPLISLGVLKGWLSIFVPSKGNVNVWVMKDYGVQESWTKELVLKQVIGYSASSSATQVLKFTKKGKVLFFQKYKLRVYTPGKRGSIPAAVDGIPLMVEAFVHIPSFVSLNDAIMDLSSKVKY >ONI33943 pep chromosome:Prunus_persica_NCBIv2:G1:38212532:38217988:-1 gene:PRUPE_1G455000 transcript:ONI33943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKLAILSIFLALIFSQIRADVSVQGEEDAPLKPVRSDGPDSSALKIELDQLKSKIHTLEFDVDAKIKELKKKNEIIEQKEKIIQENSNSISSLQSEIESLQKKGSLDAEKQVGKAHARAGELEKKVAELKKELESQHKEKEALEARAKNAETKIDVLILKIENIEKISDDQKTKIRKTERALKVAEEEMLKAKFEATSKAKELKEVHGAWLPPWLAVHLIHCQSLVETHWNEHGKPAVDIAVQKALEKKAQAEKWAEPHVETFKTKYIPAIKEQWVVVKESVEPHVKSLSTKTVEVYETSKSTLAPHVLKAQEVVDPYFQEAKKFSKPYVDQVATVAKPHVDKVRVVLKPYTKKAVHAYEKFLKSASTYHHQVQAKVKDLLKRHELTRALATKELEWFAASALLALPIIFLFRIFSSLFCTKSKKPVRSTNHTRRKSKRVHPDK >ONI33944 pep chromosome:Prunus_persica_NCBIv2:G1:38213136:38217874:-1 gene:PRUPE_1G455000 transcript:ONI33944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKLAILSIFLALIFSQIRADVSVQGEEDAPLKPVRSDGPDSSALKIELDQLKSKIHTLEFDVDAKIKELKKKNEIIEQKEKIIQENSNSISSLQSEIESLQKKGSLDAEKQVGKAHARAGELEKKVAELKKELESQHKEKEALEARAKNAETKIDVLILKIENIEKISDDQKTKIRKTERALKVAEEEMLKAKFEATSKAKELKEVVHGAWLPPWLAVHLIHCQSLVETHWNEHGKPAVDIAVQKALEKKAQAEKWAEPHVETFKTKYIPAIKEQWVVVKESVEPHVKSLSTKTVEVYETSKSTLAPHVLKAQEVVDPYFQEAKKFSKPYVDQVATVAKPHVDKVRVVLKPYTKKAVHAYEKFLKSASTYHHQVQAKVKDLLKRHELTRALATKELEWFAASALLALPIIFLFRIFSSLFCTKSKKPVRSTNHTRRKSKRVHPDK >ONI35049 pep chromosome:Prunus_persica_NCBIv2:G1:42159958:42161581:-1 gene:PRUPE_1G512100 transcript:ONI35049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVFVSCILTTCLALASCARVVDPAAHAPAMSMAMSPRTSSSSSSGTPPGTDECSDIIFGMADCVPFMTDGSKDMRPDGSCCPGLEMVLKASDDCICEAIESCTDLGIPLNMTKAMTLPAACGISAPNSFSQCGIPLPPGVSVKPTPNSSPKSPSPKRAMASSDDVVPAPSSFTAPTRSPNSGTYSTSTSLVLILSMLYASFYCVSF >ONI34853 pep chromosome:Prunus_persica_NCBIv2:G1:41545327:41545940:-1 gene:PRUPE_1G502200 transcript:ONI34853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGNGEGGKGISRGRGNVAQAPVYPNSQESVTRGNGQGVLPSSHNGLGRGLPFSKLSAIRGRGLGRGISEGRGLPSSRPTIGGKRKGTRRTSFGQHFP >ONI34090 pep chromosome:Prunus_persica_NCBIv2:G1:38616750:38617803:1 gene:PRUPE_1G461900 transcript:ONI34090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVSSLLLLLASPVLLVFINLVTAAALDVPNNLPQNLKDLGALGDEDTKSKIINQINGQAGTLANAPKSDATGALANMLNSAPAPQGKDQITKIMDQLKGPAPQAADALKTGGEDTMLSQMFKSAPGPGGSAVAGAAVDVFTSILKRGPPATDGSQQKPEAPPTVSGSPTQVASGVLLGVVAIFSSFVAL >ONI29188 pep chromosome:Prunus_persica_NCBIv2:G1:16906891:16910797:-1 gene:PRUPE_1G186200 transcript:ONI29188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSNKIQRANKAAMDFSLLSEALTSKSYEKVADICDEHMLQVAAEGVAFQDDWPYAIHLLGHIYAGDINSMRFLWKSMPATLKEGNPEVIAAWKIGQKLWMRDYGGVYEAIRGYDWSQEAQGLVAAFSDLYTKNMFQLLQSAYSTISIQDTALFLGMSEDDATTCYQVFDDWT >ONI29187 pep chromosome:Prunus_persica_NCBIv2:G1:16906084:16910832:-1 gene:PRUPE_1G186200 transcript:ONI29187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSNKIQRANKAAMDFSLLSEALTSKSYEKVADICDEHMLQVAAEGVAFQDDWPYAIHLLGHIYAGDINSMRFLWKSMPATLKEGNPEVIAAWKIGQKLWMRDYGGVYEAIRGYDWSQEAQGLVAAFSDLYTKNMFQLLQSAYSTISIQDTALFLGMSEDDATTFVQQQGWAVDPASQMLTVKKQPIVTEQKLDASKLQNLTEYVFHLEH >ONI29167 pep chromosome:Prunus_persica_NCBIv2:G1:16750530:16751448:1 gene:PRUPE_1G184800 transcript:ONI29167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRKMKRHVAFTRATGDNVFNAIIWDVVVNPSFQQLGLGLGLGLGKAVMEKLVEELVAKGICNIA >ONI26078 pep chromosome:Prunus_persica_NCBIv2:G1:303667:309636:-1 gene:PRUPE_1G002800 transcript:ONI26078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDVLKYAHSPVHKAIVMRDYASLRRILAGLPRLCNPAEIRTESASLAEEEKADAIAAVIDRRDVPNRETPLHLAVKLGDQTATEMLMVAGADWSLQNEHGWSALQEAICNREEAISMIIVRHYQPLAWAKWCRRLPRLIGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSVLFLGDGSEDGKVPPGSLCMISHKDKEVMNALDGAGSQATEEEVRQEVVAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTELVGSWRAKVYDMHNVVVSIKSRRVPGAMTDDEFFSTCNENETESEELNDILTEDERRQLEIALKLDSSELSNENSDGMIEHRHSCYEHREIPIEDVSNGRNGENNKQEKKGWFGGWRKRDNKNEEQKKLIPPRSSLCVDEKVSDLLGDSPSRNQSRPGRSNVDVVMRGDDHRKGRDMKASSSTSSDIRNRHKDASKENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVIVTFTKLEELPPTDEFATPPSSPPVAGTESPAAMQSSSSSWFQWIRAPYNRPSSSATGSSSRIETIQDPFAIPPEYTWVTVEAKKKKMQEKNKSKKGKSHHK >ONI26082 pep chromosome:Prunus_persica_NCBIv2:G1:303225:310745:-1 gene:PRUPE_1G002800 transcript:ONI26082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDVLKYAHSPVHKAIVMRDYASLRRILAGLPRLCNPAEIRTESASLAEEEKADAIAAVIDRRDVPNRETPLHLAVKLGDQTATEMLMVAGADWSLQNEHGWSALQEAICNREEAISMIIVRHYQPLAWAKWCRRLPRLIGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSVLFLGDGSEDGKVPPGSLCMISHKDKEVMNALDGAGSQATEEEVRQEVVAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTELVGSWRAKVYDMHNVVVSIKSRRVPGAMTDDEFFSTCNENETESEELNDILTEDERRQLEIALKLDSSELSNENSDGMIEHRHSCYEHREIPIEDVSNGRNGENNKQEKKGWFGGWRKRDNKNEEQKKLIPPRSSLCVDEKVSDLLGDSPSRNQSRPGRSNVDVVMRGDDHRKGRDMKASSSTSSDIRNRHKDASKENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVIVTFTKLEELPPTDEFATPPSSPPVAGTESPAAMQSSSSSWFQWIRAPYNRPSSSATGSSSRIETIQDPFAIPPEYTWVTVEAKKKKMQEKNKSKKGKSHHK >ONI26079 pep chromosome:Prunus_persica_NCBIv2:G1:303319:310659:-1 gene:PRUPE_1G002800 transcript:ONI26079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDVLKYAHSPVHKAIVMRDYASLRRILAGLPRLCNPAEIRTESASLAEEEKADAIAAVIDRRDVPNRETPLHLAVKLGDQTATEMLMVAGADWSLQNEHGWSALQEAICNREEAISMIIVRHYQPLAWAKWCRRLPRLIGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSVLFLGDGSEDGKVPPGSLCMISHKDKEVMNALDGAGSQATEEEVRQEVVAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTELVGSWRAKVYDMHNVVVSIKSRRVPGAMTDDEFFSTCNENETESEELNDILTEDERRQLEIALKLDSSELSNENSDGMIEHRHSCYEHREIPIEDVSNGRNGENNKQEKKGWFGGWRKRDNKNEEQKKLIPPRSSLCVDEKVSDLLGDSPSRNQSRPGRSNVDVVMRGDDHRKGRDMKASSSTSSDIRNRHKDASKENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVIVTFTKLEELPPTDEFATPPSSPPVAGTESPAAMQSSSSSWFQWIRAPYNRPSSSATGSSSRIETIQDPFAIPPEYTWVTVEAKKKKMQEKNKSKKGKSHHK >ONI26080 pep chromosome:Prunus_persica_NCBIv2:G1:303283:310725:-1 gene:PRUPE_1G002800 transcript:ONI26080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDVLKYAHSPVHKAIVMRDYASLRRILAGLPRLCNPAEIRTESASLAEEEKADAIAAVIDRRDVPNRETPLHLAVKLGDQTATEMLMVAGADWSLQNEHGWSALQEAICNREEAISMIIVRHYQPLAWAKWCRRLPRLIGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSVLFLGDGSEDGKVPPGSLCMISHKDKEVMNALDGAGSQATEEEVRQEVVAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTELVGSWRAKVYDMHNVVVSIKSRRVPGAMTDDEFFSTCNENETESEELNDILTEDERRQLEIALKLDSSELSNENSDGMIEHRHSCYEHREIPIEDVSNGRNGENNKQEKKGWFGGWRKRDNKNEEQKKLIPPRSSLCVDEKVSDLLGDSPSRNQSRPGRSNVDVVMRGDDHRKGRDMKASSSTSSDIRNRHKDASKENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVIVTFTKLEELPPTDEFATPPSSPPVAGTESPAAMQSSSSSWFQWIRAPYNRPSSSATGSSSRIETIQDPFAIPPEYTWVTVEAKKKKMQEKNKSKKGKSHHK >ONI26081 pep chromosome:Prunus_persica_NCBIv2:G1:303274:310730:-1 gene:PRUPE_1G002800 transcript:ONI26081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDVLKYAHSPVHKAIVMRDYASLRRILAGLPRLCNPAEIRTESASLAEEEKADAIAAVIDRRDVPNRETPLHLAVKLGDQTATEMLMVAGADWSLQNEHGWSALQEAICNREEAISMIIVRHYQPLAWAKWCRRLPRLIGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSVLFLGDGSEDGKVPPGSLCMISHKDKEVMNALDGAGSQATEEEVRQEVVAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTELVGSWRAKVYDMHNVVVSIKSRRVPGAMTDDEFFSTCNENETESEELNDILTEDERRQLEIALKLDSSELSNENSDGMIEHRHSCYEHREIPIEDVSNGRNGENNKQEKKGWFGGWRKRDNKNEEQKKLIPPRSSLCVDEKVSDLLGDSPSRNQSRPGRSNVDVVMRGDDHRKGRDMKASSSTSSDIRNRHKDASKENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVIVTFTKLEELPPTDEFATPPSSPPVAGTESPAAMQSSSSSWFQWIRAPYNRPSSSATGSSSRIETIQDPFAIPPEYTWVTVEAKKKKMQEKNKSKKGKSHHK >ONI27719 pep chromosome:Prunus_persica_NCBIv2:G1:8078426:8086982:-1 gene:PRUPE_1G101500 transcript:ONI27719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSILTFFFFFVLLLSLQTMPDLGNLSLSASLTLTTSPNFKLLTDSPEQSLVKTLGLDCDWDQRLRFATLHNAEVSTGAAIRVFRRSRPLVDRSKKISSGKSLEDNVREWVSNRVELGIPESRCSLPFLYGAKKLVECLVCHYYVYPGEEVVCSVRGCQGVYHGKCVKERLRISNMKKFKCQQHACFICRQRVDWRCMRCPIASHDKCAAWPDEVIYLKDRPGKAVCWRHPTDWRQDRKHVVSTSSIEEVFCRLPLPYTDEEFKIDLTWKDTDIKLEPPPYVHIRRIKKKRDGSDDDVGCTCRTSICSEDCVCRGQCISCSKACHCSENCSNRPFRKEKKIKIVKTEHCGWGAEAAESIKKGDFIIEYIGEVIDDALCERRLWDMKYKEVQNFYMCEIRKDFTIDATFKGNPSRFLNHGCDPNCVLEKWQVEGETRVGVFAARSIEVGEALTYDYRFVQFGPEVKCHCGAPNCQGYLGSKRKIHKVELCWGSKRKRTSTACIAIITQ >ONI27718 pep chromosome:Prunus_persica_NCBIv2:G1:8078426:8086968:-1 gene:PRUPE_1G101500 transcript:ONI27718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLRWRFEHWCRLGTSLTLTTSPNFKLLTDSPEQSLVKTLGLDCDWDQRLRFATLHNAEVSTGAAIRVFRRSRPLVDRSKKISSGKSLEDNVREWVSNRVELGIPESRCSLPFLYGAKKLVECLVCHYYVYPGEEVVCSVRGCQGVYHGKCVKERLRISNMKKFKCQQHACFICRQRVDWRCMRCPIASHDKCAAWPDEVIYLKDRPGKAVCWRHPTDWRQDRKHVVSTSSIEEVFCRLPLPYTDEEFKIDLTWKDTDIKLEPPPYVHIRRNIYLVKKKRDGSDDDVGCTCRTSICSEDCVCRGQCISCSKACHCSENCSNRPFRKEKKIKIVKTEHCGWGAEAAESIKKGDFIIEYIGEVIDDALCERRLWDMKYKEVQNFYMCEIRKDFTIDATFKGNPSRFLNHGCDPNCVLEKWQVEGETRVGVFAARSIEVGEALTYDYRFVQFGPEVKCHCGAPNCQGYLGSKRKIHKVELCWGSKRKRTSTACIAIITQ >ONI27720 pep chromosome:Prunus_persica_NCBIv2:G1:8078426:8087002:-1 gene:PRUPE_1G101500 transcript:ONI27720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSILTFFFFFVLLLSLQTMPDLGNLSLSASLTLTTSPNFKLLTDSPEQSLVKTLGLDCDWDQRLRFATLHNAEVSTGAAIRVFRRSRPLVDRSKKISSGKSLEDNVREWVSNRVELGIPESRCSLPFLYGAKKLVECLVCHYYVYPGEEVVCSVRGCQGVYHGKCVKERLRISNMKKFKCQQHACFICRQRVDWRCMRCPIASHDKCAAWPDEVIYLKDRPGKAVCWRHPTDWRQDRKHVVSTSSIEEVFCRLPLPYTDEEFKIDLTWKDTDIKLEPPPYVHIRRNIYLVKKKRDGSDDDVGCTCRTSICSEDCVCRGQCISCSKACHCSENCSNRPFRKEKKIKIVKTEHCGWGAEAAESIKKGDFIIEYIGEVIDDALCERRLWDMKYKEVQNFYMCEIRKDFTIDATFKGNPSRFLNHGCDPNCVLEKWQVEGETRVGVFAARSIEVGEALTYDYRFVQFGPEVKCHCGAPNCQGYLGSKRKIHKVELCWGSKRKRTSTACIAIITQ >ONI30540 pep chromosome:Prunus_persica_NCBIv2:G1:26698750:26700940:-1 gene:PRUPE_1G256900 transcript:ONI30540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHLNPLAVTHLLQHTLRSLCIHENSQWIYAVFWRILPRNYPPPKWEGHGAYDRSRGNRRNWILVWEDGFCNFGASSTAHEINSSSDCPAGPSSSMYGNCEFQQYNGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIHKEPNDQEINFLSAWHNSADSHPRTWEAQFQSGIKTIALIAVREGVVQLGATHKVVEDLSYVVLLRKKFSYIESIPGVLLPHPSSPPFPYKVDHHHHHGYAYGPTPDHHHAWHFQAGTPTHEFMYDHHHQYLNNQAVPLKNITPSMSSLEALLSKLPSVVPSAPANHDQLQQPQEHDLQGSQFMLSHGPLDFMAITEQKLVAKGETDDQGLVSNIASNLSPSFSRITL >ONI26885 pep chromosome:Prunus_persica_NCBIv2:G1:3691182:3692378:-1 gene:PRUPE_1G052900 transcript:ONI26885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKTAILSQKTKHSRTSWSELPIDPFQLILSKLSPGNILRLEAVCRPWLKAIKYISRSSPSFTCLPEPPLLLAFQDEGKDGAGFYSLEGKFYNMPKQFCHGDSICIGSSHGWLLFLSNKKQLLLLNPVSVGIQLLLPPLDTLLYSIDPVLQKSGRHWRQRMLKVAVSLQPTCGNKKSIGVLLMYNYHGSKDGCNCLAFCTPADNKWTKLAGQKAYVDVTSDRNGFYALNVDCSVEVWDFTNSLIPIKKLEIIPAAKTGASDLFLVQRISSLPIPQVRFVVYKLEYNNRDGTCTSGWTKVESFGNQALVLGSNQSIFVTPQECDHENSIYFTYAPSDDTCFLCVYNLRGKNIKRVSDDLGLTGLEKQVYWLEPSLFSIVAS >ONI33311 pep chromosome:Prunus_persica_NCBIv2:G1:36139244:36143936:-1 gene:PRUPE_1G416200 transcript:ONI33311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEMEQKVLDATDNEPWGPHGSALAEIAQATKKFSECQMVMNVLWTRLTETGKDWRYVYKALAVIEYLVSHGSERAVDDIIEHTFQISALTSFEYVEPNGKDLGINVRKKAETIVALLNNKDKIQEVRNKAAANRDKYFGLSSTGITYKSGSATSASFSSSSYQSSERYGGLSGTGAGDRFKDSYRDRDRHEEDKIEKDSSSRSRRESSSKKASARYGSRKDQDNISSSVSKSSNKSNDSEKYSSVHTQSANVPDDDDDDFDPRGTSAAKAAVASSNQVDLFGQSLMDDFIDAPTSVPAEKPGINSSSSEVDLFADATFVSAPPQAETGAFADSTFVSAPPQTANGASSQTQNGVDLFASQPTLSPLASSTVDLFSAPDPVTQPETKSTNAAPTNTNIVDPFATVPLHNFGESDIFGAFTSQSDSTSSEPSQSSVNDGSDTNLGKKSSADLNGPPKKDFQVKSGIWADSLSRGLIDLNISAPKKVNLADVGIVGGLTDGSDEREKGPPTSYYMGRAMGSGTGLGKSGFPSSPGMGGDDIFSSLSGGGGQQYQYGGFQK >ONI33310 pep chromosome:Prunus_persica_NCBIv2:G1:36139379:36143935:-1 gene:PRUPE_1G416200 transcript:ONI33310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEMEQKVLDATDNEPWGPHGSALAEIAQATKKFSECQMVMNVLWTRLTETGKDWRYVYKALAVIEYLVSHGSERAVDDIIEHTFQISALTSFEYVEPNGKDLGINVRKKAETIVALLNNKDKIQEVRNKAAANRDKYFGLSSTGITYKSGSATSASFSSSSYQSSERYGGLSGTGAGDRFKDSYRDRDRHEEDKIEKDSSSRSRRESSSKKASARYGSRKDQDNISSSVSKSSNKSNDSEKYSSVHTQSANVPDDDDDDFDPRGTSAAKAAVASSNQVDLFGQSLMDDFIDAPTSVPAEKPGINSSSSEVDLFADATFVSAPPQAETGAFADSTFVSAPPQTANGASSQTQNGVDLFASQPTLSPLASSTVDLFSAPDPVTQPETKSTNAAPTNTNIVDPFATVPLHNFGESDIFGAFTSQSDSTSSEPSQSSVNDGSDTNLGKKSSADLNGPPKKDFQVKSGIWADSLSRGLIDLNISAPKKVNLADVGIVGGLTDGSDEREKGPPTSYYMGRAMGSGTGLGKSGFPSSPGMGGDDIFSSLSGGGGQQYQYGGFQK >ONI33312 pep chromosome:Prunus_persica_NCBIv2:G1:36139244:36144041:-1 gene:PRUPE_1G416200 transcript:ONI33312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEMEQKVLDATDNEPWGPHGSALAEIAQATKKFSECQMVMNVLWTRLTETGKDWRYVYKALAVIEYLVSHGSERAVDDIIEHTFQISALTSFEYVEPNGKDLGINVRKKAETIVALLNNKDKIQEVRNKAAANRDKYFGLSSTGITYKSGSATSASFSSSSYQSSERYGGLSGTGAGDRFKDSYRDRDRHEEDKIEKDSSSRSRRESSSKKASARYGSRKDQDNISSSVSKSSNKSNDSEKYSSVHTQSANVPDDDDDDFDPRGTSAAKAAVASSNQVDLFGQSLMDDFIDAPTSVPAEKPGINSSSSEVDLFADATFVSAPPQAETGAFADSTFVSAPPQTANGASSQTQNGVDLFASQPTLSPLASSTVDLFSAPDPVTQPETKSTNAAPTNTNIVDPFATVPLHNFGESDIFGAFTSQSDSTSSEPSQSSVNDGSDTNLGKKSSADLNGPPKKDFQVKSGIWADSLSRGLIDLNISAPKKVNLADVGIVGGLTDGSDEREKGPPTSYYMGRAMGSGTGLGKSGFPSSPGMGGDDIFSSLSGGGGQQYQYGGFQK >ONI35479 pep chromosome:Prunus_persica_NCBIv2:G1:44013245:44017235:-1 gene:PRUPE_1G538600 transcript:ONI35479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASEGATIICNHCDRAVPSSNIDLHSAHCFRNLEKCKVCGDMVPKKYAKEHYSDTHAPVACSLCSETMEREILAVHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNKYIRLRERYNHEISCTGVPDNTVSSSRDARAAERGQGPQRRQPNEFSRKRLIFTIALTGIAVLLGSLFFGRKTEDNQVVH >ONI35480 pep chromosome:Prunus_persica_NCBIv2:G1:44013292:44016842:-1 gene:PRUPE_1G538600 transcript:ONI35480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASEGATIICNHCDRAVPSSNIDLHSAHCFRNLEKCKVCGDMVPKKYAKEHYSDTHAPVPCSLCSETMEREILAVHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNKYIRLRERYNHEISCTGVPDNTVSSSRDARAAERGQGPQRRQPNEFSRKRLIFTIALTGIAVLLGSLFFGRKTEDNQVVH >ONI33401 pep chromosome:Prunus_persica_NCBIv2:G1:36427829:36431528:-1 gene:PRUPE_1G421900 transcript:ONI33401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSWSATSVFIHGWCIRLWHRQGRVPKACVVSIVAGSFEFTNFATWQFRFLSQFLRTHIISPNDLCDE >ONI26784 pep chromosome:Prunus_persica_NCBIv2:G1:3250000:3255617:1 gene:PRUPE_1G045600 transcript:ONI26784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGGQRVDQYTQDGTVDLKGNPVLRSKRGGWTACSFVVVYEVFERMAYYGISSNLVLYLTKKLHQGTVTSANNVTNWVGTIWITPILGAYVADAHLGRYWTFLIASIIYLSGMSVLTLAVSLPSLKPPPCRDPHVENCKKASPLHLAVFYGALYTLAIGTGGTKPNISTIGADQFDDFDPKEKNQKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTLGYGLPTLGLLISVIIFLAGTPFYRHKVPTGSPFTRMAKVIVAAVRKWTVTLPSDPKELHELNLEDYTKKGKFRIDSTPTLRFLNKAAVKTGSNSPWKLCAVTQVEETKQMIRMVPILVATFVPSIMIAQVNTLFVKQGTTLDRAVGSFKIPPASLSGFVTLSMLVSVVLYDRFFVKIMQKLTKNPRGITLLQRMTIGMLFHIIIMVIASLTERYRLNLAKEHGVVENGGQVPITILILLPQFVLMGTADAFLEVAKIEFFYDQAPESMKSLGTSYSMTTLGMGNFLSSFLLSTVSHITKKHGHKGWILNNLNASHLDYYYAFFAVLNALNFIFFLVMTKMYVYKAEISDSIKVLTEELRETTYKPSNKEES >ONI32428 pep chromosome:Prunus_persica_NCBIv2:G1:33616604:33619889:-1 gene:PRUPE_1G367300 transcript:ONI32428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAQDDVWQKYIEAHPEADTVRKRGCDIYEQLCIIFSSDSGTNVNHAFIVLGTAVHQIDEDPPRAKWTMPLEKIFVDLMLQQVFQENRSNNAFSNKAWKYIYHEFNRQTKLNYDKQQLKNHHGVLRRWYHSVKSLLNQDGFSWDKSRCMVIAENDVWAKCIEKHPEVEAIRVKGCPHYEQLDRIFSESGSSGNYAFPESQTAASKMDSPPSEDQFKQDQSRAKWSAPLDKILLDLLIEQTGQNKMSNKKAWKHIREEFNYKTGLQFDGEQLRNHQNVLKRLYNNIKSVLDQSGFSWDNSRNMVMADDELWEKYTTAHPEAETIRNKECPIFKQLCTLFSESKAEETYVLSSHDVKLNQQTVNVVGTPETASVSAEPEPAVDEASSRLSEEVNMSSGRNKRRIKTQTLSSSRQRRVCRETSNTNVDAVSQHEEVPSTNKRAAGAAAVAHSGDRFSISSCIEVLNEMAGVDEELYLAASDLFQDPDRRETFICIKNEIKLAWLKAKCKHLL >ONI32427 pep chromosome:Prunus_persica_NCBIv2:G1:33616605:33619871:-1 gene:PRUPE_1G367300 transcript:ONI32427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCQSDQDQSRAKWTTFCTKIFADLLVEQIRKGNRSSGAFSKLAWKIIRDEFNRQTGLKFDKQQLKNHLDVLRKRYNSVKAILDHPGFTWDASQSMLLAQDDVWQKYIEAHPEADTVRKRGCDIYEQLCIIFSSDSGTNVNHAFIVLGTAVHQIDEDPPRAKWTMPLEKIFVDLMLQQVFQENRSNNAFSNKAWKYIYHEFNRQTKLNYDKQQLKNHHGVLRRWYHSVKSLLNQDGFSWDKSRCMVIAENDVWAKCIEKHPEVEAIRVKGCPHYEQLDRIFSESGSSGNYAFPESQTAASKMDSPPSEDQFKQDQSRAKWSAPLDKILLDLLIEQTGQNKMSNKKAWKHIREEFNYKTGLQFDGEQLRNHQNVLKRLYNNIKSVLDQSGFSWDNSRNMVMADDELWEKYTTAHPEAETIRNKECPIFKQLCTLFSESKAEETYVLSSHDVKLNQQTVNVVGTPETASVSAEPEPAVDEASSRLSEEVNMSSGRNKRRIKTQTLSSSRQRRVCRETSNTNVDAVSQHEEVPSTNKRAAGAAAVAHSGDRFSISSCIEVLNEMAGVDEELYLAASDLFQDPDRRETFICIKNEIKLAWLKAKCKHLL >ONI32426 pep chromosome:Prunus_persica_NCBIv2:G1:33616616:33619728:-1 gene:PRUPE_1G367300 transcript:ONI32426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCQSDQDQSRAKWTTFCTKIFADLLVEQIRKGNRSSGAFSKLAWKIIRDEFNRQTGLKFDKQQLKNHLDVLRKRYNSVKAILDHPGFTWDASQSMLLAQDDVWQKYIEAHPEADTVRKRGCDIYEQLCIIFSSDSGTNVNHAFIVLGTAVHQIDEDPPRAKWTMPLEKIFVDLMLQQVFQENRSNNAFSNKAWKYIYHEFNRQTKLNYDKQQLKNHHGVLRRWYHSVKSLLNQDGFSWDKSRCMVIAENDVWAKCIEKHPEVEAIRVKGCPHYEQLDRIFSESGSSGNYAFPESQTAASKMDSPPSEDQFKQDQSRAKWSAPLDKILLDLLIEQTGQNKMSNKKAWKHIREEFNYKTGLQFDGEQLRNHQNVLKRLYNNIKSVLDQSGFSWDNSRNMVMADDELWEKYTTAHPEAETIRNKECPIFKQLCTLFSESKAEETYVLSSHDVKLNQQTVNVVGTPETASVSAEPEPAVDEASSRLSEEVNMSSGRNKRRIKTQTLSSSRQRRVCRETSNTNVDAVSQHEEVPSTNKRAAGAAAVAHSGDRFSISSCIEVLNEMAGVDEELYLAASDLFQDPDRRETFICIKNEIKLAWLKAKCKHLL >ONI35277 pep chromosome:Prunus_persica_NCBIv2:G1:43152627:43155075:-1 gene:PRUPE_1G527100 transcript:ONI35277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEEHEEHEEEMEMEMQPAPPGYDSAGASSRAKMGPAREGTVSTARKRGPTATAAITNTTTTLTTMVRYRECLKNHAVSIGGHAVDGCCEFLAAGDEGTMDALICAACNCHRNFHRKEPEGELIHHHQGGTTGPYHQSGGSHHHLLQHQFSSAFYRPPPPPSGYLITSPHARPTLTLPAASGGGGGSHSRDDGEDVSNPSSSGGGGGGGGGFGINKKRHRTKFTQEQKEKMLEFAEKVGWRIQKHDEAAVEEFCGETGVKRQVLKVWMHNNKHTLA >ONI34351 pep chromosome:Prunus_persica_NCBIv2:G1:39894909:39896866:-1 gene:PRUPE_1G477100 transcript:ONI34351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFRAKRKWFITQLEQHIIEVEGCSNGAPHGVENHKTPVADLKRRNNAYTPEVVSIGPIHHDNKELEAMEEYKPRYLQNFLHRNNKVSLKDYAEKIKAQEDELRGRYGNAQHFKSSDKFVSIILVDAAFVIELLLSKVRVKNSETERPSMIKLSYHFFKKVVGLQRSEDEVEGTEPPLHFVDFIRTLHVQYLDTGTSEAGELPCIPSVTKLHQARVKFRPESSRLESSNDLFAIQFHNGTLKIPKLEIRDDTELILRNLIAFEQCHCTDNYLSDYVFLLDKFVKTKRDVDLLVESEIVVNTLGDNNKVSTMINQLCKGVAPDQQNFYFGGLADNLNKYCKKCRNRWLAYLSQKYFNSPWAWAAFSLFAAVTLLILTVIQTVFAIISFCRQ >ONI33754 pep chromosome:Prunus_persica_NCBIv2:G1:37668755:37672508:-1 gene:PRUPE_1G444700 transcript:ONI33754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTHQSLSGIPSSLLLLCILISLPFEVISQSTEQSILLKLKDQWGNPPSIHSWNSSSSPCDWPEVNCTNGAVTGLFLRQKNITEKIPATVCHLSSLAELHLAWNFIPGEFPDSLYNCSKLQVLDLSQNYFVGRIPNDIYRMSSLRYLDLGGNNFSGDIPAEIGRLPELQTLRLYENLFNGSVPSEIGNLSNLEIFDMPFNGNLVPAQIPADFGKLKKLKRLWMTQTNLIDEIPESFSGLLSLETLNLARNNLEGKIPGGLFLLKNLSELFLFHNKLSGEIPSTVEALNLVQIDLAMNNLSGLIPQDFGKLKNLNVLNLFSNQLTGGIPESLGLIPELKNFRVFMNQLNGTLPPELGLHSELEAFEVSENQLSGSLPEHLCSSGLLQGAIAFSNNLSGELPKGLGNCGSLRTLQVYNNHFSGEVPQGLWTGLNLSSLMLSNNLFSGQLPSSNLAWNLSRLEISNNRFSGEIPFQVSSWESLVVFKASGNLFSGKIPIELTSLSQLNTLLLDDNRLSGELPSRIISWGSLDTLNLSRNELSGHIPAAIGSLPDLLYLDLSGNQFSGEIPAEFGHLRLNSLNLSSNKLSGKIPDVFANRAYEDSFLNNSNLCAGTPILNLPRCYTNISDSHKLSSKVLAMISMLSIAVSLVTVLLTFFIVRDYRRRKRGQDLATWKLTSFHHRLDFTEFIVLPNLTDNNLIGSGGSGKVYQVSTNCPGEFVAVKRIWNTSKLDERLEKEFIAEVEILGTIRHSNIVKLLCCISSENSKLLVYEYMVNQSLDKWLHGKKRRLASGMGVVHHVVLDWPTRLQIAIGAAQGLCYMHHDCSPPIIHRDVKSSNILLDSEFKARIADFGLAKILAKDGDHHTMSAIAGSFGYMAPEYAYTTKINEKIDVYSFGVVLLELTTGREPNSGDEHTSLAEWTWRVYSEGKTITDTLDEEITKPCYLEEMATVLKLGLICTSTLPSTRPSMKEVLHILRGYGPSEGFEVKKMGSDFDVSPLLSSATYLSSYKRSKKVDDSLVYSV >ONI34778 pep chromosome:Prunus_persica_NCBIv2:G1:41303566:41304021:1 gene:PRUPE_1G498700 transcript:ONI34778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGTNDIKIKGFDLSNNSGNTSGLTHFANRADGGDKGDNKIEVEGAKAQDNKGNLKVLTDFAQRGEVGRSDRQELLKPQA >ONI29627 pep chromosome:Prunus_persica_NCBIv2:G1:20961056:20974331:-1 gene:PRUPE_1G206500 transcript:ONI29627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKWCYEFMASSHSSLLHIHSFSLRRSFRLFARSFSSIQSPKKLDSLRFSPIKSPKGYCNISCSFNSALPGVVRENGDAAFYSKSEQVLCGDMPLGSVKQEEKTVNSNPSDGRVMLIDGTSIIYRAYYKLLAKLHHGHLSHADGNGDWVLTIFSALSLIIDVLKFTPSHVAVVFDHDGVSFGQTCISSKENVKGKGLNFRHTLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDTGYKVRVVSPDKDFFQILSPSLRLLRIAPRGFDMVSFGMEEFAIKYGSLQPSQFVDVISLVGDKCDNIPGVDGIGNVHAVQLITKFGTLENLLHCVDQVEEERIRKILITSADQAVLSKNLDNGEKFTSLLTAISAYAEGFSADPIIRRAFYLWNKLGKT >ONI29626 pep chromosome:Prunus_persica_NCBIv2:G1:20961393:20974219:-1 gene:PRUPE_1G206500 transcript:ONI29626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKWCYEFMASSHSSLLHIHSFSLRRSFRLFARSFSSIQSPKKLDSLRFSPIKSPKGYCNISCSFNSALPGVVRENGDAAFYSKSEQVLCGDMPLGSVKQEEKTVNSNPSDGRVMLIDGTSIIYRAYYKLLAKLHHGHLSHADGNGDWVLTIFSALSLIIDVLKFTPSHVAVVFDHDGLNFRHTLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDTGYKVRVVSPDKDFFQILSPSLRLLRIAPRGFDMVSFGMEEFAIKYGSLQPSQFVDVISLVGDKCDNIPGVDGIGNVHAVQLITKFGTLENLLHCVDQVEEERIRKILITSADQAVLSKNLALLRSDLPFYMVPFTTNDLAFQKPEDNGEKFTSLLTAISAYAEGFSADPIIRRAFYLWNKLGKT >ONI29625 pep chromosome:Prunus_persica_NCBIv2:G1:20961056:20974331:-1 gene:PRUPE_1G206500 transcript:ONI29625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKWCYEFMASSHSSLLHIHSFSLRRSFRLFARSFSSIQSPKKLDSLRFSPIKSPKGYCNISCSFNSALPGVVRENGDAAFYSKSEQVLCGDMPLGSVKQEEKTVNSNPSDGRVMLIDGTSIIYRAYYKLLAKLHHGHLSHADGNGDWVLTIFSALSLIIDVLKFTPSHVAVVFDHDGLNFRHTLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDTGYKVRVVSPDKDFFQILSPSLRLLRIAPRGFDMVSFGMEEFAIKYGSLQPSQFVDVISLVGDKCDNIPGVDGIGNVHAVQLITKFGTLENLLHCVDQVEEERIRKILITSADQAVLSKNLDNGEKFTSLLTAISAYAEGFSADPIIRRAFYLWNKLGKT >ONI29629 pep chromosome:Prunus_persica_NCBIv2:G1:20965336:20974331:-1 gene:PRUPE_1G206500 transcript:ONI29629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKWCYEFMASSHSSLLHIHSFSLRRSFRLFARSFSSIQSPKKLDSLRFSPIKSPKGYCNISCSFNSALPGVVRENGDAAFYSKSEQVLCGDMPLGSVKQEEKTVNSNPSDGRVMLIDGTSIIYRAYYKLLAKLHHGHLSHADGNGDWVLTIFSALSLIIDVLKFTPSHVAVVFDHDGVSFGQTCISSKENVKGKGLNFRHTLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDTGYKVRVVSPDKDFFQILSPSLRLLRIAPRGFESRFYLGLLLTRG >ONI29628 pep chromosome:Prunus_persica_NCBIv2:G1:20961393:20974219:-1 gene:PRUPE_1G206500 transcript:ONI29628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKWCYEFMASSHSSLLHIHSFSLRRSFRLFARSFSSIQSPKKLDSLRFSPIKSPKGYCNISCSFNSALPGVVRENGDAAFYSKSEQVLCGDMPLGSVKQEEKTVNSNPSDGRVMLIDGTSIIYRAYYKLLAKLHHGHLSHADGNGDWVLTIFSALSLIIDVLKFTPSHVAVVFDHDGVSFGQTCISSKENVKGKGLNFRHTLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDTGYKVRVVSPDKDFFQILSPSLRLLRIAPRGFDMVSFGMEEFAIKYGSLQPSQFVDVISLVGDKCDNIPGVDGIGNVHAVQLITKFGTLENLLHCVDQVEEERIRKILITSADQAVLSKNLALLRSDLPFYMVPFTTNDLAFQKPEDNGEKFTSLLTAISAYAEGFSADPIIRRAFYLWNKLGKT >ONI34902 pep chromosome:Prunus_persica_NCBIv2:G1:41716868:41719216:-1 gene:PRUPE_1G504800 transcript:ONI34902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLAMPLAPSLSVICNGRNPNPLSNSLSFPLRNPNKVGGLSIKCVRVGGVEIPNNKRVEFSLQYVHGIGRTRARTILIDLNMENKITKDLSEEELTIIRDEVSKYMIEGDLRRFNALNIRRLKEIQCYRGIRHIQGLPCRGQRTKNNTRTLKGNQ >ONI34903 pep chromosome:Prunus_persica_NCBIv2:G1:41718118:41719216:-1 gene:PRUPE_1G504800 transcript:ONI34903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLAMPLAPSLSVICNGRNPNPLSNSLSFPLRNPNKVGGLSIKCVRVGGVEIPNNKRVEFSLQYVHGIGRTRARTILIDLNMENKITKDLSEEELTIIRDEVSKYMIEGDLRRFNALNIRRLKEIQCYRGIRHIQGLPCRGQRTKNNTRTLKGKRVTVAGKKKAR >ONI34904 pep chromosome:Prunus_persica_NCBIv2:G1:41718467:41718970:-1 gene:PRUPE_1G504800 transcript:ONI34904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIDFAQVGGLSIKCVRVGGVEIPNNKRVEFSLQYVHGIGRTRARTILIDLNMENKITKDLSEEELTIIRDEVSKYMIEGDLRRFNALNIRRLKEIQCYRGIRHIQGLPCRGQRTKNNTRTLKGKRVTVAGKKKAR >ONI30422 pep chromosome:Prunus_persica_NCBIv2:G1:26241026:26243626:1 gene:PRUPE_1G250300 transcript:ONI30422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSENS >ONI30421 pep chromosome:Prunus_persica_NCBIv2:G1:26242149:26243626:1 gene:PRUPE_1G250300 transcript:ONI30421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSENS >ONI33850 pep chromosome:Prunus_persica_NCBIv2:G1:37932544:37935825:-1 gene:PRUPE_1G449700 transcript:ONI33850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCGLKCPTTQGMHGGLEDIDENGGMSWAGEACAPPPETPTESMEFLARSWSLSAMELSKALSHTHVNVASKNLDNNKSAFTSSDGVGSHDTVSMRLGEPPSGGSPPISPRDSEEMKELFLLHQALHPDFLSTQQQLLNNGLYKSILRGKTMGRWLKDQKERKKQELRTQNAQLHAAVSVAGVAAAVAAVAASTALPETSAAHQKAPLISELRTETSAALASAAALVASRCIEIAEEMGADHDHILSVVNSAVNARTNGDIMTLTAGAATALRGAATLKVRLQKEYGGTNLVLADEKLEGKESNSALNFVTRGGELLKRTRKGDLHWKRVSFNINSNGQVVAKMKSKHMAGTFTKKKKCVVSGVYCDIPAWPGREREDGSKPRAYFGIKTAERTIEFECKSKGDKHMWTEGIHHMLNFMPT >ONI33851 pep chromosome:Prunus_persica_NCBIv2:G1:37932544:37935667:-1 gene:PRUPE_1G449700 transcript:ONI33851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGLEDIDENGGMSWAGEACAPPPETPTESMEFLARSWSLSAMELSKALSHTHVNVASKNLDNNKSAFTSSDGVGSHDTVSMRLGEPPSGGSPPISPRDSEEMKLYKSILRGKTMGRWLKDQKERKKQELRTQNAQLHAAVSVAGVAAAVAAVAASTALPETSAAHQKAPLISELRTETSAALASAAALVASRCIEIAEEMGADHDHILSVVNSAVNARTNGDIMTLTAGAATALRGAATLKVRLQKEYGGTNLVLADEKLEGKESNSALNFVTRGGELLKRTRKGDLHWKRVSFNINSNGQVVAKMKSKHMAGTFTKKKKCVVSGVYCDIPAWPGREREDGSKPRAYFGIKTAERTIEFECKSKGDKHMWTEGIHHMLNFMPT >ONI33852 pep chromosome:Prunus_persica_NCBIv2:G1:37932544:37935666:-1 gene:PRUPE_1G449700 transcript:ONI33852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGLEDIDENGGMSWAGEACAPPPETPTESMEFLARSWSLSAMELSKALSHTHVNVASKNLDNNKSAFTSSDGVGSHDTVSMRLGEPPSGGSPPISPRDSEEMKELFLLHQALHPDFLSTQQQLLNNGLYKSILRGKTMGRWLKDQKERKKQELRTQNAQLHAAVSVAGVAAAVAAVAASTALPETSAAHQKAPLISELRTETSAALASAAALVASRCIEIAEEMGADHDHILSVVNSAVNARTNGDIMTLTAGAATALRGAATLKVRLQKEYGGTNLVLADEKLEGKESNSALNFVTRGGELLKRTRKGDLHWKRVSFNINSNGQVVAKMKSKHMAGTFTKKKKCKNILIPITNISH >ONI33849 pep chromosome:Prunus_persica_NCBIv2:G1:37933092:37935795:-1 gene:PRUPE_1G449700 transcript:ONI33849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTFATLLNRHCIVPFLFNLHLLIPPFHYWASKKVSKNPKKKKTRLIFLAWLLTLNSNSLSVYACVFFHGVLVSSCGLKCPTTQGMHGGLEDIDENGGMSWAGEACAPPPETPTESMEFLARSWSLSAMELSKALSHTHVNVASKNLDNNKSAFTSSDGVGSHDTVSMRLGEPPSGGSPPISPRDSEEMKELFLLHQALHPDFLSTQQQLLNNGLYKSILRGKTMGRWLKDQKERKKQELRTQNAQLHAAVSVAGVAAAVAAVAASTALPETSAAHQKAPLISELRTETSAALASAAALVASRCIEIAEEMGADHDHILSVVNSAVNARTNGDIMTLTAGAATALRGAATLKVRLQKEYGGTNLVLADEKLEGKESNSALNFVTRGGELLKRTRKGDLHWKRVSFNINSNGQVVAKMKSKHMAGTFTKKKKCVVSGVYCDIPAWPGREREDGSKPRAYFGIKTAERTIEFECKSKGDKHMWTEGIHHMLNFMPT >ONI31309 pep chromosome:Prunus_persica_NCBIv2:G1:29893351:29894137:-1 gene:PRUPE_1G305400 transcript:ONI31309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVSNSTMAGSKRRLSSSKGLGGVLREQRARLYIIRRCVVMLLCWHD >ONI29000 pep chromosome:Prunus_persica_NCBIv2:G1:14680362:14685845:-1 gene:PRUPE_1G174500 transcript:ONI29000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRSVLFFINLAIIILNLVAPTISQDDGICTFTADYCWKCFDTGTYTAGDKYQENLNSLLSSFSSSTQNNSGFYSSSRGQDSNKINAIALCRGDLSQDSCQACLNKSTDILLQNCSTQKEAIIWAQPCMVRYSYNLIFGIEQTDPLKHVPSPHFAKNPQQFELVLTPLLDILSDTAASGNSLKKFAAGHATVPGGETIYALAQCTPDIDKQNCSSCLKQSVTEIQTCCDGKQGGRVLKPSCNLRYEVHLFFRSIADKLVDIPAPVPAAPALKEEKKKSNIKKIVITIVVVLVAFVTILSSICIFLRLTKRRVKLDEDENSEDVSLVESLQYDFETIRTATDDFSDANKLGGGGFGAVYKGRLLNGQPIAVKRLSKNSEQGDSEFKNEVVLLAQLQHRNLVRLLGFCLKTDERLLIYEYVPNTSLDHFIFDPNNHEHLDWETRYKIIEGIARGILYLHEDSRVRIIHRDLKASNILLDEDMTPKIADFGMARLFAIDQTQGVTKTVRGTYGYMAPEYVIHGRFSVKTDVFSFGVLVLEIVSGKKIGSFRYGENEEDLLTYAWRNWREDTIPNIIDPVLTTSSQIETMRCIHIGLLCVQQNVVDRPTVASVVSMLNSQSIALPVPSKPAFYMHHNTGSDISAMTESDQSKSLSVYVTGNDPSNITEAYPH >ONI34084 pep chromosome:Prunus_persica_NCBIv2:G1:38572130:38577861:-1 gene:PRUPE_1G461300 transcript:ONI34084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSEGMKKLFHFVLGSLETSCVIWGLLSSKLARPDIIREDYMNELCILQDDVPSFPNQVAFNIIEEELGQPLEAVFSKISSRTIAAASLGQVYRATLRDSGEEVAIKVQRPEIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFIVNFKGDPTVKIPRVYKQLCGPRVLVMEWIDGIRCTNPQAVKDAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMQDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLAPGTDVSPIVPALESIWQNSVGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVTKLSSNPAFQAKDMQGSRDLQVSRKLDLTDTIKDGARLFIFDEGIRRKLILALTEDSKLHIQELVDVYRLVEDQIDVPSVAVEVARDFPTVVRDLMLSWSESVLADR >ONI34083 pep chromosome:Prunus_persica_NCBIv2:G1:38572430:38577701:-1 gene:PRUPE_1G461300 transcript:ONI34083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLCVNCTSTAPSPTKHLSSRAHAVPSHRLNAPFQAPTSFKYRLRIRRGKRPLQFRVSNVASSAIEKRRSLGSGGESRLEPSNKPSAAMEQLDFERGVCVPFRKYTPENVRNKVLESRGAIVSLISRGVEIVWSLGLYWSALTYDCFVGRDEEVVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPSFPNQVAFNIIEEELGQPLEAVFSKISSRTIAAASLGQVYRATLRDSGEEVAIKVQRPEIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFIVNFKGDPTVKIPRVYKQLCGPRVLVMEWIDGIRCTNPQAVKDAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMQDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLAPGTDVSPIVPALESIWQNSVGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVTKLSSNPAFQAKDMQGSRDLQVSRKLDLTDTIKDGARLFIFDEGIRRKLILALTEDSKLHIQELVDVYRLVEDQIDVPSVAVEVARDFPTVVRDLMLSWSESVLADR >ONI34082 pep chromosome:Prunus_persica_NCBIv2:G1:38572130:38577861:-1 gene:PRUPE_1G461300 transcript:ONI34082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLCVNCTSTAPSPTKHLSSRAHAVPSHRLNAPFQAPTSFKYRLRIRRGKRPLQFRVSNVASSAIEKRRSLGSGGESRLEPSNKPSAAMEQLDFERGVCVPFRKYTPENVRNKVLESRGAIVSLISRGVEIVWSLGLYWSALTYDCFVGRDEEVVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPSFPNQVQRPEIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFIVNFKGDPTVKIPRVYKQLCGPRVLVMEWIDGIRCTNPQAVKDAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMQDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLAPGTDVSPIVPALESIWQNSVGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVTKLSSNPAFQAKDMQGSRDLQVSRKLDLTDTIKDGARLFIFDEGIRRKLILALTEDSKLHIQELVDVYRLVEDQIDVPSVAVEVARDFPTVVRDLMLSWSESVLADR >ONI28433 pep chromosome:Prunus_persica_NCBIv2:G1:11202764:11205980:-1 gene:PRUPE_1G142500 transcript:ONI28433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRSILQDMKFSRSQRVVQDSAGSAESVGESLKQSCWAQMPQELLREVLVRIEASEAAWPPRKSVVACAGVCRSWRHLTKEIVKAPELTGKLTFPISVKQPGPRDDLLQCFIKRNRSSQTYYLFLGLTHALTDEGKFLLAARKYKRATCTDYIVSLHADDMSKGSSNYIGKLRSNFLGTKFTIFDGQPTHAGAKITKSRSTRPVNLKQVSPRVPAGNYPVAHIQYELNMLGSRGPRRMQCTMDAIPATSVEPGGVAPTQADFSYGNAEFLPSLPFFRSKSNHVERISGPLASQKSGVLVLRNKSPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGPAGPEHEKIILQFGKVGKDLFTMDYRYPISAFQAFSICLSSFDTKIACE >ONI28434 pep chromosome:Prunus_persica_NCBIv2:G1:11202779:11205982:-1 gene:PRUPE_1G142500 transcript:ONI28434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRSILQDMKFSRSQRVVQDSAGSAESVGESLKQSCWAQMPQELLREVLVRIEASEAAWPPRKSVVACAGVCRSWRHLTKEIVKAPELTGKLTFPISVKQPGPRDDLLQCFIKRNRSSQTYYLFLGLTHALTDEGKFLLAARKYKRATCTDYIVSLHADDMSKGSSNYIGKLRSNFLGTKFTIFDGQPTHAGAKITKSRSTRPVNLKQVSPRVPAGNYPVAHIQYELNMLGSRVCTSRGPRRMQCTMDAIPATSVEPGGVAPTQADFSYGNAEFLPSLPFFRSKSNHVERISGPLASQKSGVLVLRNKSPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGPAGPEHEKIILQFGKVGKDLFTMDYRYPISAFQAFSICLSSFDTKIACE >ONI35070 pep chromosome:Prunus_persica_NCBIv2:G1:42257743:42263731:1 gene:PRUPE_1G513500 transcript:ONI35070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERSSPFCQTSLQILLLFSLSQSFRFLSFSLTRQQKRLLFLFVSRENSTHSVFLTSPTDFSPNSRLMAQFQNDELEYVVDDYFDVAEFEDNDAFAENQLRGSQDADSDFEDDFDEQRKVKTDTSAMEARNGKDIQGIPWERLNFTRDKYRETRLKQYKNYESLSRPRQDLEKECLRVEMGKTFYDFHYNTRIVKSTIVHFQLRNLVWATSKHDVYLMQNFSVMHWSSLLRRGKEVLNVSKPIVPTEKRPGLLAQPLSRVQISTMAVKENLLVVGGFQGELICKHINQPGVAFCSKVTADDNAITNAVDIFQSPTGSMRVMAANNDAQVRVFDVQNFSCLNQFSFDWSVNNTSVSPDGKLVAVLGDSADCLIADAQSGKAIGNLKGHLDYSFSSAWHPNGQILATGNQDTTCRLWDIRNLSESLTVLKGRMGAIRAIKFSSDGRFMAMAEPADFVHIMDTQSGYIKGQEIDLFGEIAGISFSPDTEALFVGIADRTYGSVLEFNKRHHNQYLEAVF >ONI26136 pep chromosome:Prunus_persica_NCBIv2:G1:524652:525294:1 gene:PRUPE_1G006200 transcript:ONI26136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFKFDSTIFIRLLGFINIFLEFTLIVSLFVNACTQISCCLLRWALDSKVSKTLASVTSFLFNHHVYQLALLFPWQFLLN >ONI35243 pep chromosome:Prunus_persica_NCBIv2:G1:43024341:43024667:-1 gene:PRUPE_1G525100 transcript:ONI35243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGVAGMMFQCVFEGSLSMRDSEIERRPYHKNCSCALHRSEGDVCSNACQRNISFPKKQSWSDGSLCMQAAASSRFSCPLVDDMSRTIGNRGSVNGVHNSALSHRQ >ONI27924 pep chromosome:Prunus_persica_NCBIv2:G1:8868202:8869743:-1 gene:PRUPE_1G111200 transcript:ONI27924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKGVVKHVLLAKFKEGISENQIEELTKGYAKLVDLIEPMKSFHWGKDVSIENLHQGFTHIFESTFESMEGVTEYVAHPAHVDFANLFLSHVEKVIVFDYKPTMVRV >ONI27925 pep chromosome:Prunus_persica_NCBIv2:G1:8868286:8869743:-1 gene:PRUPE_1G111200 transcript:ONI27925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKGVVKHVLLAKFKEGISENQIEELTKGYAKLVDLIEPMKSFHWGKDVSIENLHQGFTHIFESTFESMEGVTEYVAHPAHVDFANLFLSHVEKVIVFDYKPTMVRV >ONI28261 pep chromosome:Prunus_persica_NCBIv2:G1:10637439:10644102:-1 gene:PRUPE_1G134700 transcript:ONI28261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAITRIVTELEELRHSENPSDRQTLPISDSTLSDLQTLLDNALTDDDPELMDRLHDELSSKSLSLSNLVRPIASAMDLGRTHLALSASKVYLSLLLSPNSPVCTLFNPMDFLSLLQSIRRSLKHRPPGESSHGSHVAANKKRKGRIRNRGLKNCAQSSHDEDCDGEESEFDVRVLFTVLERLELVMGLIHLDRFPDSLKSLVQTVAEIPVMALEVCGNSGSYSRLTDLCSQILLKVFIPEHEDQANIAAEVLKSLSPMILQHKSQVRAFALGFVTNRMMSAAKTLDRVRKAVVNFPRYLVQKAPEKSEPRALAVESIMEIVRFLEFEDQMGFVGYVVKMTQGKASLRLLAVDLILVLVTSLRDTLGLNSESEVNDSLGLKCLEALIQRCSDVVAGVRGRALSNLSQLVGLLSGDDRGQAVLEEVMGLGNASDQRPKGWMNEILIKRCMDEKAGVRKAALLLITKLIAILGSDFDGGLLKTMGMACSDPLVSIRKTAISALSAAFRTFLDERVATEWLHSVPRLIADNESSIQEECENLFLELVLERVSTASVSPLHDESRFRNSNKAKDLEMDVDSVFPEGVLSLLKEICNGEVTPWVKKICTNLGKKKLMKHKFAISLQNIIRTSESLWLSKSMPIEKWTAPPGSWFLLSEVSAYLAKAVNWEFLHHHWELFDKYGMGGEIQSPFAQGYACEEEDGIDSTSVAWAGDRVFLLQTISNVSVELPPELAADLAHNMLKRIEDFNMHSTEINAHVKALRTLCKRKASNSEEADTLVMKWAHQLISKASQILEKFILDDSDAKRKGDFFTPPRSGTRKGKRAMAMSRSLSEAVTAAYTIGSLVIICPSADMTTAIPLLYTIITSGNSDPKADKLTRPKSSVNQTAPSLYIQAWLTLGKICLADGKIAKSYIPLFVKELEKSDSAALRNNLVVMMADFCVRYTALVDSYIPKITKCLRDPCELVRRQTFILLSRLLQRDYVKWRGVMFLRFLLSLVDESEKIRQLANFLFNNILKGTTSRI >ONI28260 pep chromosome:Prunus_persica_NCBIv2:G1:10637439:10644102:-1 gene:PRUPE_1G134700 transcript:ONI28260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAITRIVTELEELRHSENPSDRQTLPISDSTLSDLQTLLDNALTDDDPELMDRLHDELSSKSLSLSNLVRPIASAMDLGRTHLALSASKVYLSLLLSPNSPVCTLFNPMDFLSLLQSIRRSLKHRPPGESSHGSHVAANKKRKGRIRNRGLKNCAQSSHDEDCDGEESEFDVRVLFTVLERLELVMGLIHLDRFPDSLKSLVQTVAEIPVMALEVCGNSGSYSRLTDLCSQILLKVFIPEHEDQANIAAEVLKSLSPMILQHKSQVRAFALGFVTNRMMSAAKTLDRVRKAVVNFPRYLVQKAPEKSEPRALAVESIMEIVRFLEFEDQMGFVGYVVKMTQGKASLRLLAVDLILVLVTSLRDTLGLNSESEVNDSLGLKCLEALIQRCSDVVAGVRGRALSNLSQLVGLLSGDDRGQAVLEEVMGLGNASDQRPKGWMNEILIKRCMDEKAGVRKAALLLITKLIAILGSDFDGGLLKTMGMACSDPLVSIRKTAISALSAAFRTFLDERVATEWLHSVPRLIADNESSIQEECENLFLELVLERVSTASVSPLHDESRFRNSNKAKDLEMDVDSVFPEGVLSLLKEICNGEVTPWVKKICTNLGKKKLMKHKFAISLQNIIRTSESLWLSKSMPIEKWTAPPGSWFLLSEVSAYLAKAVNWEFLHHHWELFDKYGMGGEIQSPFAQGYACEEEDGIDSTSVAWAGDRVFLLQTISNVSVELPPELAADLAHNMLKRIEDFNMHSTEINAHVKALRTLCKRKASNSEEADTLVMKWAHQLISKASQILEKFILDDSDAKRKGDFFTPPRSGTRKGKRAMAMSRSLSEAVTAAYTIGSLVIICPSADMTTAIPLLYTIITSGNSDPKADKLTRPKSSVNQTAPSLYIQAWLTLGKICLADGKIAKSYIPLFVKELEKSDSAALRNNLVVMMADFCVRYTALVDSYIPKITKCLRDPCELVRRQTFILLSRLLQRDYVKWRGVMFLRFLLSLVDESEKIRQLANFLFNNILKVKAPLLGYNSFVEAIFVLNDCHLHNGHSNAQGSRAESRLFSIRGNDENSRSKRMQIYVTLLKQMAPEHLLATFAKLCAEILAAASDGCFPNSRLQRDPNSIQPRIIS >ONI28262 pep chromosome:Prunus_persica_NCBIv2:G1:10637439:10644102:-1 gene:PRUPE_1G134700 transcript:ONI28262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAITRIVTELEELRHSENPSDRQTLPISDSTLSDLQTLLDNALTDDDPELMDRLHDELSSKSLSLSNLVRPIASAMDLGRTHLALSASKVYLSLLLSPNSPVCTLFNPMDFLSLLQSIRRSLKHRPPGESSHGSHVAANKKRKGRIRNRGLKNCAQSSHDEDCDGEESEFDVRVLFTVLERLELVMGLIHLDRFPDSLKSLVQTVAEIPVMALEVCGNSGSYSRLTDLCSQILLKVFIPEHEDQANIAAEVLKSLSPMILQHKSQVRAFALGFVTNRMMSAAKTLDRVRKAVVNFPRYLVQKAPEKSEPRALAVESIMEIVRFLEFEDQMGFVGYVVKMTQGKASLRLLAVDLILVLVTSLRDTLGLNSESEVNDSLGLKCLEALIQRCSDVVAGVRGRALSNLSQLVGLLSGDDRGQAVLEEVMGLGNASDQRPKGWMNEILIKRCMDEKAGVRKAALLLITKLIAILGSDFDGGLLKTMGMACSDPLVSIRKTAISALSAAFRTFLDERVATEWLHSVPRLIADNESSIQEECENLFLELVLERVSTASVSPLHDESRFRNSNKAKDLEMDVDSVFPEGVLSLLKEICNGEVTPWVKKICTNLGKKKLMKHKFAISLQNIIRTSESLWLSKSMPIEKWTAPPGSWFLLSEVSAYLAKAVNWEFLHHHWELFDKYGMGGEIQSPFAQGYACEEEDGIDSTSVAWAGDRVFLLQTISNVSVELPPELAADLAHNMLKRIEDFNMHSTEINAHVKALRTLCKRKASNSEEADTLVMKWAHQLISKASQILEKFILDDSDAKRKGDFFTPPRSGTRKGKRAMAMSRSLSEAVTAAYTIGSLVIICPSADMTTAIPLLYTIITSGNSDPKADKLTRPKSSVNQTAPSLYIQAWLTLGKICLADGKIAKSYIPLFVKELEKSDSAALRNNLVVMMADFCVRYTALVDSYIPKITKCLRDPCELVRRQTFILLSRLLQSRHHF >ONI28259 pep chromosome:Prunus_persica_NCBIv2:G1:10637611:10644012:-1 gene:PRUPE_1G134700 transcript:ONI28259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAITRIVTELEELRHSENPSDRQTLPISDSTLSDLQTLLDNALTDDDPELMDRLHDELSSKSLSLSNLVRPIASAMDLGRTHLALSASKVYLSLLLSPNSPVCTLFNPMDFLSLLQSIRRSLKHRPPGESSHGSHVAANKKRKGRIRNRGLKNCAQSSHDEDCDGEESEFDVRVLFTVLERLELVMGLIHLDRFPDSLKSLVQTVAEIPVMALEVCGNSGSYSRLTDLCSQILLKVFIPEHEDQANIAAEVLKSLSPMILQHKSQVRAFALGFVTNRMMSAAKTLDRVRKAVVNFPRYLVQKAPEKSEPRALAVESIMEIVRFLEFEDQMGFVGYVVKMTQGKASLRLLAVDLILVLVTSLRDTLGLNSESEVNDSLGLKCLEALIQRCSDVVAGVRGRALSNLSQLVGLLSGDDRGQAVLEEVMGLGNASDQRPKGWMNEILIKRCMDEKAGVRKAALLLITKLIAILGSDFDGGLLKTMGMACSDPLVSIRKTAISALSAAFRTFLDERVATEWLHSVPRLIADNESSIQEECENLFLELVLERVSTASVSPLHDESRFRNSNKAKDLEMDVDSVFPEGVLSLLKEICNGEVTPWVKKICTNLGKKKLMKHKFAISLQNIIRTSESLWLSKSMPIEKWTAPPGSWFLLSEVSAYLAKAVNWEFLHHHWELFDKYGMGGEIQSPFAQGYACEEEDGIDSTSVAWAGDRVFLLQTISNVSVELPPELAADLAHNMLKRIEDFNMHSTEINAHVKALRTLCKRKASNSEEADTLVMKWAHQLISKASQILEKFILDDSDAKRKGDFFTPPRSGTRKGKRAMAMSRSLSEAVTAAYTIGSLVIICPSADMTTAIPLLYTIITSGNSDPKADKLTRPKSSVNQTAPSLYIQAWLTLGKICLADGKIAKSYIPLFVKELEKSDSAALRNNLVVMMADFCVRYTALVDSYIPKITKCLRDPCELVRRQTFILLSRLLQRDYVKWRGVMFLRFLLSLVDESEKIRQLANFLFNNILKVKAPLLGYNSFVEAIFVLNDCHLHNGHSNAQGSRAESRLFSIRGNDENSRSKRMQIYVTLLKQMAPEHLLATFAKLCAEILAAASDGMLYIDDITGQSVLKDAFQILACKEIRIPSNRGSSADTGDIDEEGGDNGGASAKGRITQAVKKGLIQNTVPIFIELKRLLEIKNSPLIGSLMECLRIILKDYKNEIEDILVADKQLQKELIYDMQKYEAAKAKSTAAEAVANSKKTISFNSPVVSKIESVRHAQNKFGSKLQGDSQLASAMADAAAEATARSVLKEVNKGLQSPPLSALSVPKLKTCQGGRSGHSDLPFDVLESVRKRQNFDFNEEN >ONI33787 pep chromosome:Prunus_persica_NCBIv2:G1:37725574:37729764:-1 gene:PRUPE_1G445600 transcript:ONI33787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSRPRRTRAPKNPDPILNQNPNPITQPQPQPPQKLTVRKTRTAQNKKNNNNNQKKNKEKKAVGVGKRRRAKAGPVIETRSFKLDEEQAGGEGAMDEYDSGGRSADKGPGAEDEGLAPIPEKVQVGGSPVYKIEKKLGKGGFGQVYVGRRMGTGPGAVEVALKFEHRSSKGCNYGPPYEWQIYNALGGSHGVPRVHYKGRQADYYIMVMDMLGPSLWDVWNNSSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATRWRDSTGQHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMVTSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLICQVGQKRGRLTMEEEDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADVRLSQHIEKGNEDGLFISSVASCSNLWALIMDAGTGFSSQVYELSPYFLHKEWIMEQWDKNYYISAIAGTSNGSSLVVMSKGTQFLQQSYKVSESFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDHGFRITSTAATWDQAAFVLSVPRRKLADETQETLRTSAFPSTHVKEKWAKNLYIASICYGRTVS >ONI33789 pep chromosome:Prunus_persica_NCBIv2:G1:37725574:37729764:-1 gene:PRUPE_1G445600 transcript:ONI33789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSRPRRTRAPKNPDPILNQNPNPITQPQPQPPQKLTVRKTRTAQNKKNNNNNQKKNKEKKAVGVGKRRRAKAGPVIETRSFKLDEEQAGGEGAMDEYDSGGRSADKGPGAEDEGLAPIPEKVQVGGSPVYKIEKKLGKGGFGQVYVGRRMGTGPGAVEVALKFEHRSSKGCNYGPPYEWQIYNALGGSHGVPRVHYKGRQADYYIMVMDMLGPSLWDVWNNSSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATRWRDSTGQHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMVTSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLICQVGQKRGRLTMEEEDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADVRLSQHIEKGNEDGLFISSVASCSNLWALIMDAGTGFSSQVYELSPYFLHKEWIMEQWDKNYYISAIAGTSNGSSLVVMSKGTQFLQQSYKVSESFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDHGFRITSTAATWDQAAFVLSVPRRKLADETQETLRTSAFPSTHVKEKWAKNLYIASICYGRTVS >ONI33785 pep chromosome:Prunus_persica_NCBIv2:G1:37722711:37730051:-1 gene:PRUPE_1G445600 transcript:ONI33785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSRPRRTRAPKNPDPILNQNPNPITQPQPQPPQKLTVRKTRTAQNKKNNNNNQKKNKEKKAVGVGKRRRAKAGPVIETRSFKLDEEQAGGEGAMDEYDSGGRSADKGPGAEDEGLAPIPEKVQVGGSPVYKIEKKLGKGGFGQVYVGRRMGTGPGAVEVALKFEHRSSKGCNYGPPYEWQIYNALGGSHGVPRVHYKGRQADYYIMVMDMLGPSLWDVWNNSSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATRWRDSTGQHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMVTSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLICQVGQKRGRLTMEEEDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADVRLSQHIEKGNEDGLFISSVASCSNLWALIMDAGTGFSSQVYELSPYFLHKEWIMEQWDKNYYISAIAGTSNGSSLVVMSKGTQFLQQSYKVSESFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDHGFRITSTAATWDQAAFVLSVPRRKLADETQETLRTSAFPSTHVKEKWAKNLYIASICYGRTVS >ONI33788 pep chromosome:Prunus_persica_NCBIv2:G1:37722711:37730051:-1 gene:PRUPE_1G445600 transcript:ONI33788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSRPRRTRAPKNPDPILNQNPNPITQPQPQPPQKLTVRKTRTAQNKKNNNNNQKKNKEKKAVGVGKRRRAKAGPVIETRSFKLDEEQAGGEGAMDEYDSGGRSADKGPGAEDEGLAPIPEKVQVGGSPVYKIEKKLGKGGFGQVYVGRRMGTGPGAVEVALKFEHRSSKGCNYGPPYEWQIYNALGGSHGVPRVHYKGRQADYYIMVMDMLGPSLWDVWNNSSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATRWRDSTGQHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMVTSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLICQVGQKRGRLTMEEEDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADVRLSQHIEKGNEDGLFISSVASCSNLWALIMDAGTGFSSQVYELSPYFLHKEWIMEQWDKNYYISAIAGTSNGSSLVVMSKGTQFLQQSYKVSESFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDHGFRITSTAATWDQAAFVLSVPRRKLADETQETLRTSAFPSTHVKEKWAKNLYIASICYGRTVS >ONI33786 pep chromosome:Prunus_persica_NCBIv2:G1:37721954:37730051:-1 gene:PRUPE_1G445600 transcript:ONI33786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSRPRRTRAPKNPDPILNQNPNPITQPQPQPPQKLTVRKTRTAQNKKNNNNNQKKNKEKKAVGVGKRRRAKAGPVIETRSFKLDEEQAGGEGAMDEYDSGGRSADKGPGAEDEGLAPIPEKVQVGGSPVYKIEKKLGKGGFGQVYVGRRMGTGPGAVEVALKFEHRSSKGCNYGPPYEWQIYNALGGSHGVPRVHYKGRQADYYIMVMDMLGPSLWDVWNNSSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATRWRDSTGQHVDYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMVTSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLICQVGQKRGRLTMEEEDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADVRLSQHIEKGNEDGLFISSVASCSNLWALIMDAGTGFSSQVYELSPYFLHKEWIMEQWDKNYYISAIAGTSNGSSLVVMSKGTQFLQQSYKVSESFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDHGFRITSTAATWDQAAFVLSVPRRKLADETQETLRTSAFPSTHVKEKWAKNLYIASICYGRTVS >ONI32826 pep chromosome:Prunus_persica_NCBIv2:G1:34732476:34735048:1 gene:PRUPE_1G388600 transcript:ONI32826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFESEDNNQKPPSTTTTTKVQQSMGHQPPPQTDPLHCPRCDSTNTKFCYYNNYNLAQPRHFCKSCRRYWTQGGTLRDVPVGGGSRKNSKRSRSTTCSSSSCSPSSSSLTQEVTSVATAKPVSLSAEVKPEPASDVDHLMDLNENVVGNGGFTSLLNSQGPPPPGFLALGGYGYGYGYGSAFGHGLDEVGDAFGHGGKGVWAFPEVGDFPSTVIDHNNNNGAPSGCNTWQMTTSADGGFVDGDCFAWPELEISMMPASGKAFKCHRPGTTCCMVHCVVDDAES >ONI32827 pep chromosome:Prunus_persica_NCBIv2:G1:34732647:34733438:1 gene:PRUPE_1G388600 transcript:ONI32827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFESEDNNQKPPSTTTTTKVQQSMGHQPPPQTDPLHCPRCDSTNTKFCYYNNYNLAQPRHFCKSCRRYWTQGGTLRDVPVGGGSRKNSKRSRSTTCSSSSCSPSSSSLTQEVTSVATAKPVSLSAEVKPEPASDVDHLMDLNENVVGNGGFTSLLNSQGPPPPGFLALGGYGYGYGYGSAFGHGLDEVGDAFGHGGKGVWAFPEVGDFPSTVIDHNNNNGAPSGCNTWQMTTSADGGFVDGDCFAWPELEISMMPASGKAFK >ONI26690 pep chromosome:Prunus_persica_NCBIv2:G1:2842126:2843564:1 gene:PRUPE_1G039900 transcript:ONI26690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDKVKLLGYVFSPFSRRVEWALKLKGIDYEYVEEDIFNKSPLLLQLNPVRKKVPVLVHGNEVVSESFTILEYIDETWKQNPLLPQDPYARAISRFWANFTEEKVLDAGFTALICSTGEQQEKALKSTIEALEHIEGDLMGKKILGGESIGYLDIAMGWISYWLPIWEEVGSRQVLDPSKFPATISWINKILSHPVIKDNLPPRDKAVAYFHGRRNFYTSGKT >ONI31006 pep chromosome:Prunus_persica_NCBIv2:G1:28737316:28740013:-1 gene:PRUPE_1G287300 transcript:ONI31006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRTPLLPSDLPESTSSNNTGSANFHAHGIRGWSGIQGLKKRGHGSRSWIQIDPLGNTSVVELDKATIMRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYKSELCKRLQSNKDQSDDLPFEFRALELALELTCSSLDAQVKELAMEIYPVLDELALSINTLNLERVRRLKGHLLAVTQRVQKVRDEIEHLMDDDGDMAEMYLTEKKQRSEGYPLSDLGFQTDTSSMERVGSKSAPVSPVGSISGAQKLQRAFSSIVSSSRPSLMSSSNSGENIEQLEMLLEAYFVVIDHTLSEVLSLKEYIDDTEDLINFKLGNVQNHLIQFELLLTAATFVATIFAAVTAVFGMNFPDSIFDNPSTFSWVLIICGVACGFLYFSFLLYFRYKRIFPL >ONI31003 pep chromosome:Prunus_persica_NCBIv2:G1:28737162:28741044:-1 gene:PRUPE_1G287300 transcript:ONI31003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRTPLLPSDLPESTSSNNTGSANFHAHGIRGWSGIQGLKKRGHGSRSWIQIDPLGNTSVVELDKATIMRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYKSELCKRLQSNKDQSDDLPFEFRALELALELTCSSLDAQVKELAMEIYPVLDELALSINTLNLERVRRLKGHLLAVTQRVQKLKEYIDDTEDLINFKLGNVQNHLIQFELLLTAATFVATIFAAVTAVFGMNFPDSIFDNPSTFSWVLIICGVACGFLYFSFLLYFRYKRIFPL >ONI31007 pep chromosome:Prunus_persica_NCBIv2:G1:28736976:28741103:-1 gene:PRUPE_1G287300 transcript:ONI31007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRTPLLPSDLPESTSSNNTGSANFHAHGIRGWSGIQGLKKRGHGSRSWIQIDPLGNTSVVELDKATIMRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYKSELCKRLQSNKDQSDDLPFEFRALELALELTCSSLDAQVKELAMEIYPVLDELALSINTLNLERVRRLKGHLLAVTQRVQKVRDEIEHLMDDDGDMAEMYLTEKKQRSEGYPLSDLGFQTDTSSMERVGSKSAPVSPVGSISGAQKLQRAFSSIVSSSRPSLMSSSNSGENIEQLEMLLEAYFVVIDHTLSEVLSLKEYIDDTEDLINFKLGNVQNHLIQFELLLTAATFVATIFAAVTAVFGMNFPDSIFDNPSTFSWVLIICGVACGFLYFSFLLYFRYKRIFPL >ONI31005 pep chromosome:Prunus_persica_NCBIv2:G1:28737316:28740013:-1 gene:PRUPE_1G287300 transcript:ONI31005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRTPLLPSDLPESTSSNNTGSANFHAHGIRGWSGIQGLKKRGHGSRSWIQIDPLGNTSVVELDKATIMRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYKSELCKRLQSNKDQSDDLPFEFRALELALELTCSSLDAQVKELAMEIYPVLDELALSINTLNLERVRRLKGHLLAVTQRVQKVRDEIEHLMDDDGDMAEMYLTEKKQRSEGYPLSDLGFQTDTSSMERVGSKSAPVSPVGSISGAQKLQRAFSSIVSSSRPSLMSSSNSGENIEQLEMLLEAYFVVIDHTLSEVLSLKEYIDDTEDLINFKLGNVQNHLIQFELLLTAATFVATIFAAVTAVFGMNFPDSIFDNPSTFSWVLIICGVACGFLYFSFLLYFRYKRIFPL >ONI31010 pep chromosome:Prunus_persica_NCBIv2:G1:28736718:28741042:-1 gene:PRUPE_1G287300 transcript:ONI31010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRTPLLPSDLPESTSSNNTGSANFHAHGIRGWSGIQGLKKRGHGSRSWIQIDPLGNTSVVELDKATIMRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYKSELCKRLQSNKDQSDDLPFEFRALELALELTCSSLDAQVKELAMEIYPVLDELALSINTLNLERVRRLKGHLLAVTQRVQKNISWMMTVTWPRCT >ONI31004 pep chromosome:Prunus_persica_NCBIv2:G1:28736633:28741080:-1 gene:PRUPE_1G287300 transcript:ONI31004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRTPLLPSDLPESTSSNNTGSANFHAHGIRGWSGIQGLKKRGHGSRSWIQIDPLGNTSVVELDKATIMRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYKSELCKRLQSNKDQSDDLPFEFRALELALELTCSSLDAQVKELAMEIYPVLDELALSINTLNLERVRRLKGHLLAVTQRVQKVRDEIEHLMDDDGDMAEMYLTEKKQRSEGYPLSDLGFQTDTSSMERVGSKSAPVSPVGSISGAQKLQRAFSSIVSSSRPSLMSSSNSGENIEQLEMLLEAYFVVIDHTLSEVLSLKEYIDDTEDLINFKLGNVQNHLIQFELLLTAATFVATIFAAVTAVFGMNFPDSIFDNPSTFSWVLIICGVACGFLYFSFLLYFRYKRIFPL >ONI31008 pep chromosome:Prunus_persica_NCBIv2:G1:28737316:28740013:-1 gene:PRUPE_1G287300 transcript:ONI31008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRTPLLPSDLPESTSSNNTGSANFHAHGIRGWSGIQGLKKRGHGSRSWIQIDPLGNTSVVELDKATIMRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYKSELCKRLQSNKDQSDDLPFEFRALELALELTCSSLDAQVKELAMEIYPVLDELALSINTLNLERVRRLKGHLLAVTQRVQKVRDEIEHLMDDDGDMAEMYLTEKKQRSEGYPLSDLGFQTDTSSMERVGSKSAPVSPVGSISGAQKLQRAFSSIVSSSRPSLMSSSNSGENIEQLEMLLEAYFVVIDHTLSEVLSLKEYIDDTEDLINFKLGNVQNHLIQFELLLTAATFVATIFAAVTAVFGMNFPDSIFDNPSTFSWVLIICGVACGFLYFSFLLYFRYKRIFPL >ONI31001 pep chromosome:Prunus_persica_NCBIv2:G1:28736632:28741042:-1 gene:PRUPE_1G287300 transcript:ONI31001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRTPLLPSDLPESTSSNNTGSANFHAHGIRGWSGIQGLKKRGHGSRSWIQIDPLGNTSVVELDKATIMRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYKSELCKRLQSNKDQSDDLPFEFRALELALELTCSSLDAQVKELAMEIYPVLDELALSINTLNLERVRRLKGHLLAVTQRVQKVRDEIEHLMDDDGDMAEMYLTEKKQRSEGYPLSDLGFQTDTSSMERVGSKSAPVSPVGSISGAQKLQRAFSSIVSSSRPSLMSSSNSGENIEQLEMLLEAYFVVIDHTLSEVLSLKEYIDDTEDLINFKLGNVQNHLIQFELLLTAATFVATIFAAVTAVFGMNFPDSIFDNPSTFSWVLIICGVASPSAVSSSMIRASSLDSITSCRRKLSSLGHTASS >ONI31002 pep chromosome:Prunus_persica_NCBIv2:G1:28736633:28741089:-1 gene:PRUPE_1G287300 transcript:ONI31002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRTPLLPSDLPESTSSNNTGSANFHAHGIRGWSGIQGLKKRGHGSRSWIQIDPLGNTSVVELDKATIMRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYKSELCKRLQSNKDQSDDLPFEFRALELALELTCSSLDAQVKELAMEIYPVLDELALSINTLNLERVRRLKGHLLAVTQRVQKVRDEIEHLMDDDGDMAEMYLTEKKQRSEGYPLSDLGFQTDTSSMERVGSKSAPVSPVGSISGAQKLQRAFSSIVSSSRPSLMSSSNSGENIEQLEMLLEAYFVVIDHTLSEVLSLKEYIDDTEDLINFKLGNVQNHLIQFELLLTAATFVATIFAAVTAVFGMNFPDSIFDNPSTFSWVLIICGVASPSAVSSSMIRASSLDSITSCRRKLSSLGHTASS >ONI31009 pep chromosome:Prunus_persica_NCBIv2:G1:28737196:28741042:-1 gene:PRUPE_1G287300 transcript:ONI31009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRTPLLPSDLPESTSSNNTGSANFHAHGIRGWSGIQGLKKRGHGSRSWIQIDPLGNTSVVELDKATIMRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYKSELCKRLQSNKDQSDDLPFEFRALELALELTCSSLDAQVKELAMEIYPVLDELALSINTLNLERVRRLKGHLLAVTQRVQKVRDEIEHLMDDDGDMAEMYLTEKKQRSEGYPLSDLGFQTDTSSMERVGSKSAPVSPVGSISGAQKLQRAFSSIVSSSRPSLMSSSNSGENIEQLEMLLEAYFVVIDHTLSEVLSLKEYIDDTEDLINFKLGNVQNHLIQFELLLTAATFVATIFAAVTAVFGMNFPDSIFDNPSTFSWVLIICGVACGFLYFSFLLYFRYKRIFPL >ONI29549 pep chromosome:Prunus_persica_NCBIv2:G1:19906387:19913745:1 gene:PRUPE_1G201500 transcript:ONI29549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNSSQSYNSEENNSKKESAFSTLDSRFNQTLRNVQGLLKGRSIPGKVLLSRSDPLDQSNIQEHSPNYERSFSYNDAETSDRMSKDLEEEVQNPNKPSNNANSNGLNSSTSNIENTSKEAQKSTMGARATDSARIIKFTKVLSGTTVILEKLRELAWSGIPPYMRPDVWRLLLGYAPPNSDRRGGVLKRKRLEYLDCVSQYYDIPDTERSDDEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSVDSWSISDLSPDKISIIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKEVVRRIDGLTNNQWRMREPRQKAPIPNRYLHTWRSKG >ONI29551 pep chromosome:Prunus_persica_NCBIv2:G1:19906476:19910702:1 gene:PRUPE_1G201500 transcript:ONI29551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNSSQSYNSEENNSKKESAFSTLDSRFNQTLRNVQGLLKGRSIPGKVLLSRSDPLDQSNIQEHSPNYERSFSYNDAETSDRMSKDLEEEVQNPNKPSNNANSNGLNSSTSNIENTSKEAQKSTMGARATDSARIIKFTKVLSGTTVILEKLRELAWSGIPPYMRPDVWRLLLGYAPPNSDRRGGVLKRKRLEYLDCVSQYYDIPDTERSDDEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSVDSWSISDLSPDKISIIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKEVVRRIDGLTNNQWRMREPRQKAPIPVG >ONI29548 pep chromosome:Prunus_persica_NCBIv2:G1:19906387:19913745:1 gene:PRUPE_1G201500 transcript:ONI29548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNSSQSYNSEENNSKKESAFSTLDSRFNQTLRNVQGLLKGRSIPGKVLLSRSDPLDQSNIQEHSPNYERSFSYNDAETSDRMSKDLEEEVQNPNKPSNNANSNGLNSSTSNIENTSKEAQKSTMGARATDSARIIKFTKVLSGTTVILEKLRELAWSGIPPYMRPDVWRLLLGYAPPNSDRRGGVLKRKRLEYLDCVSQYYDIPDTERSDDEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSVDSWSISDLSPDKISIIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKEVVRRIDEPVSTHMEEQGLEFLQFAFRWFNCLLIREIPFHLITRLWDTYLAEGDALPDFLVYIFASFLLTRI >ONI29555 pep chromosome:Prunus_persica_NCBIv2:G1:19906465:19913104:1 gene:PRUPE_1G201500 transcript:ONI29555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVEKLRELAWSGIPPYMRPDVWRLLLGYAPPNSDRRGGVLKRKRLEYLDCVSQYYDIPDTERSDDEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSVDSWSISDLSPDKISIIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKEVVRRIDEPVSTHMEEQGLEFLQFAFRWFNCLLIREIPFHLITRLWDTYLAEGDALPDFLVYIFASFLLTWSDKLQKLDFQELVMFLQHLPTNNWTHQELEMVLSRAFMWHSMFNSSPSHLAN >ONI29553 pep chromosome:Prunus_persica_NCBIv2:G1:19906387:19913745:1 gene:PRUPE_1G201500 transcript:ONI29553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDLEEEVQNPNKPSNNANSNGLNSSTSNIENTSKEAQKSTMGARATDSARIIKFTKVLSGTTVILEKLRELAWSGIPPYMRPDVWRLLLGYAPPNSDRRGGVLKRKRLEYLDCVSQYYDIPDTERSDDEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSVDSWSISDLSPDKISIIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKEVVRRIDEPVSTHMEEQGLEFLQFAFRWFNCLLIREIPFHLITRLWDTYLAEGDALPDFLVYIFASFLLTWSDKLQKLDFQELVMFLQHLPTNNWTHQELEMVLSRAFMWHSMFNSSPSHLAN >ONI29547 pep chromosome:Prunus_persica_NCBIv2:G1:19906387:19913745:1 gene:PRUPE_1G201500 transcript:ONI29547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNSSQSYNSEENNSKKESAFSTLDSRFNQTLRNVQGLLKGRSIPGKVLLSRSDPLDQSNIQEHSPNYERSFSYNDAETSDRMSKDLEEEVQNPNKPSNNANSNGLNSSTSNIENTSKEAQKSTMGARATDSARIIKFTKVLSGTTVILEKLRELAWSGIPPYMRPDVWRLLLGYAPPNSDRRGGVLKRKRLEYLDCVSQYYDIPDTERSDDEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSVDSWSISDLSPDKISIIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKEVVRRIDEPVSTHMEEQGLEFLQFAFRWFNCLLIREIPFHLITRLWDTYLAEGDALPDFLVYIFASFLLTRI >ONI29554 pep chromosome:Prunus_persica_NCBIv2:G1:19906674:19910829:1 gene:PRUPE_1G201500 transcript:ONI29554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDLEEEVQNPNKPSNNANSNGLNSSTSNIENTSKEAQKSTMGARATDSARIIKFTKVLSGTTVILEKLRELAWSGIPPYMRPDVWRLLLGYAPPNSDRRGGVLKRKRLEYLDCVSQYYDIPDTERSDDEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSVDSWSISDLSPDKISIIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKEVVRRIDGLTNNQWRMREPRQKAPIPVG >ONI29550 pep chromosome:Prunus_persica_NCBIv2:G1:19906387:19913745:1 gene:PRUPE_1G201500 transcript:ONI29550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNSSQSYNSEENNSKKESAFSTLDSRFNQTLRNVQGLLKGRSIPGKVLLSRSDPLDQSNIQEHSPNYERSFSYNDAETSDRMSKDLEEEVQNPNKPSNNANSNGLNSSTSNIENTSKEAQKSTMGARATDSARIIKFTKVLSGTTVILEKLRELAWSGIPPYMRPDVWRLLLGYAPPNSDRRGGVLKRKRLEYLDCVSQYYDIPDTERSDDEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSVDSWSISDLSPDKISIIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKEVVRRIDGLTNNQWRMREPRQKAPIPNRYLHTWRSKG >ONI29552 pep chromosome:Prunus_persica_NCBIv2:G1:19906476:19910702:1 gene:PRUPE_1G201500 transcript:ONI29552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNSSQSYNSEENNSKKESAFSTLDSRFNQTLRNVQGLLKGRSIPGKVLLSRSDPLDQSNIQEHSPNYERSFSYNDAETSDRMSKDLEEEVQNPNKPSNNANSNGLNSSTSNIENTSKEAQKSTMGARATDSARIIKFTKVLSGTTVILEKLRELAWSGIPPYMRPDVWRLLLGYAPPNSDRRGGVLKRKRLEYLDCVSQYYDIPDTERSDDEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSVDSWSISDLSPDKISIIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKEVVRRIDGLTNNQWRMREPRQKAPIPVG >ONI29546 pep chromosome:Prunus_persica_NCBIv2:G1:19906387:19913745:1 gene:PRUPE_1G201500 transcript:ONI29546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNSSQSYNSEENNSKKESAFSTLDSRFNQTLRNVQGLLKGRSIPGKVLLSRSDPLDQSNIQEHSPNYERSFSYNDAETSDRMSKDLEEEVQNPNKPSNNANSNGLNSSTSNIENTSKEAQKSTMGARATDSARIIKFTKVLSGTTVILEKLRELAWSGIPPYMRPDVWRLLLGYAPPNSDRRGGVLKRKRLEYLDCVSQYYDIPDTERSDDEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSVDSWSISDLSPDKISIIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKEVVRRIDEPVSTHMEEQGLEFLQFAFRWFNCLLIREIPFHLITRLWDTYLAEGDALPDFLVYIFASFLLTWSDKLQKLDFQELVMFLQHLPTNNWTHQELEMVLSRAFMWHSMFNSSPSHLAN >ONI27211 pep chromosome:Prunus_persica_NCBIv2:G1:5294451:5296110:-1 gene:PRUPE_1G073900 transcript:ONI27211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSDKEMDSLLSTFDQIYQNSQDFKSGVAEIQLANSTCNAEAKKREALEFTCNNLKQENERLTRLYTECLNNVADQLERRSSSQGLKEEVKRMREECLSKEEERRKAMELAKQDCAAKVDDLEAQIRGFMLEKATNEATIDHLQRDLAAHKSHLHVLASRLDHVQLDVESKYILEIQDLKDCLAIEQEEKNESSKKLQDLQKECECECWLADQSSLSSNEIRIRFGRLKHLRPRL >ONI27208 pep chromosome:Prunus_persica_NCBIv2:G1:5294105:5296206:-1 gene:PRUPE_1G073900 transcript:ONI27208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSDKEMDSLLSTFDQIYQDFKSGVAEIQLANSTCNAEAKKREALEFTCNNLKQENERLTRLYTECLNNVADQLERRSSSQGLKEEVKRMREECLSKEEERRKAMELAKQDCAAKVDDLEAQIRGFMLEKATNEATIDHLQRDLAAHKSHLHVLASRLDHVQLDVESKYILEIQDLKDCLAIEQEEKNESSKKLQDLQKELLVSRSKLVEQQRDSNSIWQVETLKTKIMKLRKENEILKRKFPHSDEG >ONI27209 pep chromosome:Prunus_persica_NCBIv2:G1:5294163:5296157:-1 gene:PRUPE_1G073900 transcript:ONI27209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSDKEMDSLLSTFDQIYQNSQDFKSGVAEIQLANSTCNAEAKKREALEFTCNNLKQENERLTRLYTECLNNVADQLERRSSSQGLKEEVKRMREECLSKEEERRKAMELAKQDCAAKVDDLEAQIRGFMLEKATNEATIDHLQRDLAAHKSHLHVLASRLDHVQLDVESKYILEIQDLKDCLAIEQEEKNESSKKLQDLQKELLVSRSKLVEQQRDSNSIWQVETLKTKIMKLRKENEILKRKFPHSDEG >ONI27210 pep chromosome:Prunus_persica_NCBIv2:G1:5294163:5296157:-1 gene:PRUPE_1G073900 transcript:ONI27210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSDKEMDSLLSTFDQIYQDFKSGVAEIQLANSTCNAEAKKREALEFTCNNLKQENERLTRLYTECLNNVADQLERRSSSQGLKEEVKRMREECLSKEEERRKAMELAKQDCAAKVDDLEAQIRGFMLEKATNEATIDHLQRDLAAHKSHLHVLASRLDHVQLDVESKYILEIQDLKDCLAIEQEEKNESSKKLQDLQKECECECWLADQSSLSSNEIRIRFGRLKHLRPRL >ONI31327 pep chromosome:Prunus_persica_NCBIv2:G1:29980212:29983067:1 gene:PRUPE_1G306700 transcript:ONI31327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTSPPPPPPPPPKEVVSDEKWKEDQDTTRRAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTMVLAISWCMTLNTMWQMIQLHECVPGTRFDRYIDLGRHAFGPKLGPWIVLPQQLIVQVGCDIVYMVTGGKCLKKFVEMACTNCTPIKQSYWIVIFGSLHFFLSQLPNFNSVAGVSLAAAVMSLSYSTIAWAGCLSKGRVENVSYAYKKTSPADYMFRVFNALGQISFAFAGHAVALEIQATIPSTPEKPSRIPMWKGALGAYFINAICYFPVALIGYWAFGQDVDDNVLMDLKKPSWLIAAANLMVVVHVIGSYQVYAMPVFDMLESLMVKKVNFPPGIALRLITRSAYVAFTLFVGVTFPFFGDLLGFFGGFGFAPTSYFLPSIMWLIIKKPQRFGLQWLVNWACIFIGVFIMLASTVGGFRNIITDASTYRFYT >ONI31328 pep chromosome:Prunus_persica_NCBIv2:G1:29980817:29983073:1 gene:PRUPE_1G306700 transcript:ONI31328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAISWCMTLNTMWQMIQLHECVPGTRFDRYIDLGRHAFGPKLGPWIVLPQQLIVQVGCDIVYMVTGGKCLKKFVEMACTNCTPIKQSYWIVIFGSLHFFLSQLPNFNSVAGVSLAAAVMSLSYSTIAWAGCLSKGRVENVSYAYKKTSPADYMFRVFNALGQISFAFAGHAVALEIQATIPSTPEKPSRIPMWKGALGAYFINAICYFPVALIGYWAFGQDVDDNVLMDLKKPSWLIAAANLMVVVHVIGSYQVYAMPVFDMLESLMVKKVNFPPGIALRLITRSAYVAFTLFVGVTFPFFGDLLGFFGGFGFAPTSYFLPSIMWLIIKKPQRFGLQWLVNWACIFIGVFIMLASTVGGFRNIITDASTYRFYT >ONI32449 pep chromosome:Prunus_persica_NCBIv2:G1:33704974:33709003:-1 gene:PRUPE_1G368500 transcript:ONI32449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGELYQEEGVKDIKKEIELETKEKEGDRDRDRHRGGIDRDGRERRRERYDRDERRRDTHYNDDGDDRGRHTDRYNKHKRDGYEDDGDVKEDGDDRRGNRDRQNGQSHSDEPKLYQVYKGRVSRVMDTGCFVQLNDLRGNESLMATRRISNAKDVVKRDQEVYVKVISISGQKLSLSIWDVDQYTGKDLLPLKKSSEDDALRTNPSFSKDGPMTRTGLSGIRIVEEDEVGPSRRPLKRMSSPEKWEAKRLIASEEGAEDELEIELTEDEPAFLNGQSRYSVDMSPVKIFKNPELSLGRAAALQSTLIKERREVREQQQRTMLDSIPKDLNRPSEDPMPETGERHLAQEFRGVGLSAYDMPEWKKDAFGKTISFGQKPKLSIQEQRQSLPIYKLKKELIVAVHENQVLVVIGETGSGKTTQVTQYLAEVGYTTMGKIGCTQPRMVAAMSVAKRVAEEFGCRLGEENRSQYSVVMLDEAHERTIHTDVLFGLLKKLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFACHSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAASLTIDGIFYVIDPGFAKQNFYNPKQGLDSLVITPMSQASAKQRAGHAGRTGPGKCYRLYTESAYCNEMSPTSIPEIQRINLGTTTLTMKAMGINDLLSFDFMYPPSPQALISATEQLYSLGALDEEGLLTKLGMQMAEFPLDPPLSKMLLASADLGCSDEILTIIAMIQTDMLVILILELFFLIVNFRYKLDVVSAGKNFPKIRKAITAGKDPQEGYRTLVKNQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFFKVADPTKMSKHKRQERIEPLYDRYHEPNSWRLSKRCA >ONI33201 pep chromosome:Prunus_persica_NCBIv2:G1:35889034:35892412:1 gene:PRUPE_1G410700 transcript:ONI33201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGLMGDENMSNLTCASGDLSASNSSIRNESSSAGTLYPQQQSSSANDIQQPQQPPPPKKKRNLPGNPDPDAEVIALSPKSLMATNRFLCEICNKGFQREQNLQLHRRGHNLPWKLKQRTNKEVRKKVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQENSTSTAARSAMPASINPLLSSLPQLHTHGLQGLSVKREQDQQQQLLPPWLSCLPEGEATAPSGLPSMNMSSPLFSTSSFQQYYSFDHQNPNPSSSSTTTLPDFQQPHTASPHMSATALLQKASEMGATISKPSHSPMFLKPPSHQAHVSNGAFDDGFGNNDNKSSLLHDMMMMSNSFGDQQVSSAFGDHRQAFNGIMVGGNNNFVEVNNNNNNINPPKNYKSMELEQLGRSGTDNNNNDEALTRDFLGLRAPFSSSAAAAAAAASHGHVDFFNINMAGLDHHVNSSSSSAYTGQQSDHQNQTSWQG >ONI33202 pep chromosome:Prunus_persica_NCBIv2:G1:35889034:35892412:1 gene:PRUPE_1G410700 transcript:ONI33202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGLMGDENMSNLTCASGDLSASNSSIRNESSSAGTLYPQQQSSSANDIQQPQQPPPPKKKRNLPGNPDPDAEVIALSPKSLMATNRFLCEICNKGFQREQNLQLHRRGHNLPWKLKQRTNKEVRKKVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQENSTSTAARSAMPASINPLLSSLPQLHTHGLQGLSVKREQDQQQQLLPPWLSCLPEGEATAPSGLPSMNMSSPLFSTSSFQQYYSFDHQNPNPSSSSTTTLPDFQQPHTASPHMSATALLQKASEMGATISKPSHSPMFLKPPSHQAHVSNGAFDDGFGNNDNKSSLLHDMMMMSNSFGDQQVSSAFGDHRQAFNGIMVGGNNNFVEVNNNNNNINPPKNYKSMELEQLGRSGTDNNNNDEALTRDFLGLRAPFSSSAAAAAAAASHGHVDFFNINMAGLDHHVNSSSSSAYTGQQSDHQNQTSWQG >ONI31442 pep chromosome:Prunus_persica_NCBIv2:G1:30350735:30358242:-1 gene:PRUPE_1G313400 transcript:ONI31442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKDDGGSDKEKNLELFLKVGLDERTAKNTIANNKVTANLTAVIHEAAVTDGCSRTVGNLLYTVATKHPANALLHRPTLVQYIVSSKIKTPAQLEAAFSFFATTGPENFKLSEFEEACGVGVEVSVEEIKQTVNEVFEENKTAILEQRYRTNVGDLFAHVRKRHPWADPKIVKQFIDEKLHELLGERTAADSEKVPKKKKEKPAKVEEKAIAVSTPEQPSEEVLNPFLIFPQPEENIKVHTSVFFSDGSILRCCNTKELLEKHLSVTGGKVLTRFPPEPNGYLHIGHAKAMFIDFGLAKERGGGCYLRFDDTNPEAEKKEYIDHIQEIVKWMGWKPYKITYTSDYFQDLYDLAVELIRRGHAYVDHQTPDDIKEYREKKMNSPWRDRPIAESLKLFEDMRRGLIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHALGIYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLMTLAGLRRRGVTSTAINAFVRGIGITRSDCSMIHLSRLEYHIREELNKTAPRSIAVLHPLKVVITNLEAKTTMDLEARKWPDAQTDDASAFYKVPFSNTVYIERSDFRLKDSKDYFGLAPGKSVLLRYAFPIKCTDVILADDKETVLEIRAEYDPTKKTKPKGVLHWVAEPSPGVDPLKVEIRLFDRLFLSENPAELDDWLADLNPQSKVVIPDAYAVPSLRGAVVGDTFQFERLGYFSVDKDSTPEKLVFNRTVTLRDSYHKGGK >ONI28170 pep chromosome:Prunus_persica_NCBIv2:G1:10034946:10035794:-1 gene:PRUPE_1G128400 transcript:ONI28170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYTDESTSVIPPPRLFKALVLEADTLIPKIAPQSVKSAEIVEGDGGVGTIKKISFGEGSHYSYVKHRIDGLDKDNFVYSYSLVEGDALSDKVEKISYEIKLVASADGGSIIKSSSNYHTTGDVEIKEEDVKAGKEKATDLFKLIENYLVANPDAYN >ONI26246 pep chromosome:Prunus_persica_NCBIv2:G1:950246:955472:1 gene:PRUPE_1G012500 transcript:ONI26246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSYHGVSMVLPITSEISYINQLTEPQQAPTSSIPGIQVCQSCAGAVLHAQHLTFLEFHTSVKPRYIICSLFCSLLKRLIGY >ONI26247 pep chromosome:Prunus_persica_NCBIv2:G1:950246:955472:1 gene:PRUPE_1G012500 transcript:ONI26247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSYHGVSMVLPITSEISYINQLTEPQQAPTSSIPGIQVCQSCAGAVLHAQHLTFLEFHTSVKPRYIICSLFCSLLSLFPISASSLQLSIIC >ONI27026 pep chromosome:Prunus_persica_NCBIv2:G1:4548818:4555031:-1 gene:PRUPE_1G063800 transcript:ONI27026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNAAIPQMQEIMLEFRAGKMVFEGKKVAPDTRKGLVRIAKGDEGLVHFQWLDRSQNVVEDDQIIFPDEAVFEKVNQASGRVYLLKFNTDDRKFFFWMQEPKAENDSHLCSSVNYYLNRPLEFLDEEGPDASVPLQVSEDVVEDDISSRAGILVEPNFGAEVSSDVTSSSGPVKLEDLQRILSNIDPADTALDPDEGLSLGDILKPDLIMPLIETLQLEQRLESYLPEGQWSPEDILELLQSPPFRQQMDSFAYVLRTGQIDLSQFGVDPSKYKFTVLSFLEALEDSVSKASESDESRQDNKDLRSESCNRNDPMDESK >ONI27025 pep chromosome:Prunus_persica_NCBIv2:G1:4548818:4555031:-1 gene:PRUPE_1G063800 transcript:ONI27025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNAAIPQMQEIMLEFRAGKMVFEGKKVAPDTRKGLVRIAKGDEGLVHFQWLDRSQNVVEDDQIIFPDEAVFEKVNQASGRVYLLKFNTDDRKFFFWMQEPKAENDSHLCSSVNYYLNRPLEFLDEEGPDASVPLQVSEDVVEDDISSRAGILVEPNFGAEVSSDVTSSSGPVKLEDLQRILSNIDPAGLSLGDILKPDLIMPLIETLQLEQRLESYLPEGQWSPEDILELLQSPPFRQQMDSFAYVLRTGQIDLSQFGVDPSKYKFTVLSFLEALEDSVSKASESDESRQDNKDLRSESCNRNDPMDESK >ONI27024 pep chromosome:Prunus_persica_NCBIv2:G1:4548514:4555396:-1 gene:PRUPE_1G063800 transcript:ONI27024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNAAIPQMQEIMLEFRAGKMVFEGKKVAPDTRKGLVRIAKGDEGLVHFQWLDRSQNVVEDDQIIFPDEAVFEKVNQASGRVYLLKFNTDDRKFFFWMQEPKAENDSHLCSSVNYYLNRPLEFLDEEGPDASVPLQVSEDVVEDDISSRAGILVEPNFGAEVSSDVTSSSGPVKLEDLQRILSNIDPAGLSLGDILKPDLIMPLIETLQLEQRLESYLPEGQWSPEDILELLQSPPFRQQMDSFAYVLRTGQIDLSQFGVDPSKYKFTVLSFLEALEDSVSKASESDESRQDNKDLRSESCNRNDPMDESK >ONI27027 pep chromosome:Prunus_persica_NCBIv2:G1:4548221:4555416:-1 gene:PRUPE_1G063800 transcript:ONI27027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNAAIPQMQEIMLEFRAGKMVFEGKKVAPDTRKGLVRIAKGDEGLVHFQWLDRSQNVVEDDQIIFPDEAVFEKVNQASGRVYLLKFNTDDRKFFFWMQEPKAENDSHLCSSVNYYLNRPLEFLDEEGPDASVPLQVSEDVVEDDISSRAGILVEPNFGAEVSSDVTSSSGPVKLEDLQRILSNIDPADTALDPDEGLSLGDILKPDLIMPLIETLQLEQRLESYLPEGQWSPEDILELLQSPPFRQQMDSFAYVLRTGQIDLSQFGVDPSKYKFTVLSFLEALEDSVSKASESDESRQDNKDLRSESCNRNDPMDESK >ONI26862 pep chromosome:Prunus_persica_NCBIv2:G1:3594416:3599019:1 gene:PRUPE_1G051200 transcript:ONI26862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPRRRILPLLLFSVSVLFFFSYYHSSLPFSSPDLNPNPNFTLLAQDPNSDLNFTFIVKVLTFNRLESVARCLRSLAAADYLTDKVQLHVYIDHFALGDASSNVGQKLQESHRILEFVDGFEWKYGDKLIHYRTANAGLQAQWLEAWWPSSDNEFAFVVEDDLEVSPLYYKFLRSLIVNYYYNASNYRPYIYGASLQRPRFVPGKHGNKIQLDDRTRLFLYQLVGTWGQLLFPKPWKEFRLWYDNHKAKGIKPFLDGMVTTGWYKKIGEKIWTPWFIKFIHTRGYFNIYTNCLHERALSVSHRDAGVNYGKTAGPDSHILDESSLDFGFWDLQPLSDLRWYDFCFREIFPERVVGNLDELGSVLNSVQKQDTVIIVSLFGVPEMVIRNLLCHFESLNLWHYVLIGPESDFLFELARRGHPVIGTDQFLRSVGAYKLMSFQDSNVEMIKEVLVKAYVLKKCLESRYNIWVVDGNMLPVNSDLFIESDPSYDFYIGKSSELFFARRSSSARSIGGNDFLSKFAMMVNSLLAKRHSLSFGYIMAKFLEQKGVRVKDLDETSLCLRIGNSNVNQSLGDGKQMVFWSSDMASDLLRKGLQELGIWIVNDDFSCKAVVCHKS >ONI26863 pep chromosome:Prunus_persica_NCBIv2:G1:3594445:3598866:1 gene:PRUPE_1G051200 transcript:ONI26863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPRRRILPLLLFSVSVLFFFSYYHSSLPFSSPDLNPNPNFTLLAQDPNSDLNFTFIVKVLTFNRLESVARCLRSLAAADYLTDKVQLHVYIDHFALGDASSNVGQKLQESHRILEFVDGFEWKYGDKLIHYRTANAGLQAQWLEAWWPSSDNEFAFVVEDDLEVSPLYYKFLRSLIVNYYYNASNYRPYIYGASLQRPRFVPGKHGNKIQLDDRTRLFLYQLVGTWGQLLFPKPWKEFRLWYDNHKAKGIKPFLDGMVTTGWYKKIGEKIWTPWFIKFIHTRGYFNIYTNCLHERALSVSHRDAGVNYGKTAGPDSHILDESSLDFGFWDLQPLSDLRWYDFCFREIFPERVVGNLDELGSVLNSVQKQDTVIIVSLFGVPEMVIRNLLCHFESLNLWHYVLIGPESDFLFELARRGHPVIGTDQFLRSVGAYKLMSFQDSNVEMIKEVLVKAYVLKKCLESRYNIWVVDGNMLPVNSDLFIESDPSYDFYIGKSSELFFARRSSSARSIGGNDFLSKFAMMVNSLLAKRHSLSFGYIMAKFLEQKGVRVKDLDETSLCLRIGNSNVNQSLGDGKQMVFWSSDMASDLLRKGLQELGIWIVNDDFSCKAVVCHKS >ONI31095 pep chromosome:Prunus_persica_NCBIv2:G1:28988764:28990981:-1 gene:PRUPE_1G292100 transcript:ONI31095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFGIGMPVIIVSSMLFVFLVALIRLFYKQWWTPNRFQKFMDLQGVKGPFYRLIHGNTKEIMSLKKEAMSRPRSLSHDIFPAVQPHTHKWSKIFGTNFLQWHGTQPQLVIAEPELSKEVMNNKDKLFRKQKSQGYVKKLLGDSISMAEGEKWVKLRKLANHAFHGESLKSMIPEMITSSETMLERWVNHEGKEIEVYEEFRLFTSEVISRTAFGTSYLDGKNIFEMLGQLTFLIFKNNFSLRLPIISRFYKTSDEIKSEKLEKGIRDIIAGIVRKRESMAKTGDADGFGHDFLGVLLKAHHDTNENQRISVDDIVDECKTFYFAGQETTNSLLAWTIFLLALDTDWQEEARKEVLQLFGKENPNPDGLNKLKTMSMILNESLRLYPPVVSLVRETDKEVRLGKMVVPANVEVHVPNLSLHHDPTYWGEDVNVFKPERFSEGVAKATNNNIVAFIPFGLGPRTCVGMNFAIVEAKIALAMILQRYSFTLSPGYVHSPIQFMTVRPQRGVPVILHSL >ONI27326 pep chromosome:Prunus_persica_NCBIv2:G1:5858547:5862210:1 gene:PRUPE_1G080100 transcript:ONI27326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKIKGCYVLKPSTPTLQGSLALSESDQIDTISHVSIINFYRPDQNFVSQPDAIVNTLKDSLCRALVPFHPLAGRLRWIGGGHLELDCNGMGVQFIEAESDSKLDDLEYDYLIPTVDYTLPIHELPILLTISHAVVDGQSTFHFISEWARLAKGEPIGVMPFLDRKAFQARTLPAGNTLGLDHSEKKKTTVAMLKLTKQQIEKLKRMANEGNDYKNSGTKPAYTRYKTVAGHIWRCASKARVHRNEQPTAMGVCVDLRSRMQPPLPQGYFGNATFYGVARSLAGDLVSKPLGYASSRITEAIEKVSNYYVLSAIDHFRNQPNLTRFQALHALGIAEGDLYGNPNLEVVTWSTPPMYGLDFGWEKEMYMGSGTYDFDGESFLLPSPEGDGSMVLTLCLQVAHMDAFKKHFYEDIILHKLYSKL >ONI31529 pep chromosome:Prunus_persica_NCBIv2:G1:30597020:30600822:1 gene:PRUPE_1G318100 transcript:ONI31529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCEFCSVLRPVVYCKADAAHLCLSCDAKVHSANTLFNRHLRTILCNSCKYRPAYVLCLDHKMFMCRVCDITLHIASQHQKRATSSYKGCPSAKDFAAFWGFELNELDSSAHLDGILSTSCGSSCDSTAVNLDSCEQSCSQVEGFPVANSPTLAPGVDSEVGSRSQQYKILDLKRLQLTEGRSPSLLIRGKEQSDLSSSVHHTSGRFDDNLDQSLQHSEITRFRQRDSLLQDLKVDNLPFPLSQLEHMPPSSTAGLPLDTESFWHCRSPVESCQLWAQNMQDIGVCEELVCHDDFNMPDVDMTFQNFEELFGSDQDPTRALLDDKDVPYSSVLKYISLDKSDNGHARARMEHDASEVSSIFFNKVQNLEGSMDYCPCPIQPSSSTLSFCMSRFSAESSGTDCHESRLSPYIATGSSRNSPHDQEGGRFETRANAMTRYKEKKHSRLKGTADNVSGKKEEL >ONI31527 pep chromosome:Prunus_persica_NCBIv2:G1:30597516:30600822:1 gene:PRUPE_1G318100 transcript:ONI31527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCEFCSVLRPVVYCKADAAHLCLSCDAKVHSANTLFNRHLRTILCNSCKYRPAYVLCLDHKMFMCRVCDITLHIASQHQKRATSSYKGCPSAKDFAAFWGFELNELDSSAHLDGILSTSCGSSCDSTAVNLDSCEQSCSQVEGFPVANSPTLAPGVDSEVGSRSQQYKILDLKRLQLTEGRSPSLLIRGKEQSDLSSSVHHTSGRFDDNLDQSLQHSEITRFRQRDSLLQDLKVDNLPFPLSQLEHMPPSSTAGLPLDTESFWHCRSPVESCQLWAQNMQDIGVCEELVCHDDFNMPDVDMTFQNFEELFGSDQDPTRALLDDKDVPYSSVLKYISLDKSDNGHARARMEHDASEVSSIFFNKVQNLEGSMDYCPCPIQPSSSTLSFCMSRFSAESSGTDCHESRLSPYIATGSSRNSPHDQEGGRFETRANAMTRYKEKKHSRLLVRKNRYPFRKGTADNVSGKKEEL >ONI31530 pep chromosome:Prunus_persica_NCBIv2:G1:30597020:30600822:1 gene:PRUPE_1G318100 transcript:ONI31530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCEFCSVLRPVVYCKADAAHLCLSCDAKHQKRATSSYKGCPSAKDFAAFWGFELNELDSSAHLDGILSTSCGSSCDSTAVNLDSCEQSCSQVEGFPVANSPTLAPGVDSEVGSRSQQYKILDLKRLQLTEGRSPSLLIRGKEQSDLSSSVHHTSGRFDDNLDQSLQHSEITRFRQRDSLLQDLKVDNLPFPLSQLEHMPPSSTAGLPLDTESFWHCRSPVESCQLWAQNMQDIGVCEELVCHDDFNMPDVDMTFQNFEELFGSDQDPTRALLDDKDVPYSSVLKYISLDKSDNGHARARMEHDASEVSSIFFNKVQNLEGSMDYCPCPIQPSSSTLSFCMSRFSAESSGTDCHESRLSPYIATGSSRNSPHDQEGGRFETRANAMTRYKEKKHSRLLVRKNRYPFRKGTADNVSGKKEEL >ONI31528 pep chromosome:Prunus_persica_NCBIv2:G1:30597020:30600822:1 gene:PRUPE_1G318100 transcript:ONI31528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCEFCSVLRPVVYCKADAAHLCLSCDAKVHSANTLFNRHLRTILCNSCKYRPAYVLCLDHKMFMCRVCDITLHIASQHQKRATSSYKGCPSAKDFAAFWGFELNELDSSAHLDGILSTSCGSSCDSTAVNLDSCEQSCSQVEGFPVANSPTLAPGVDSEVGSRSQQYKILDLKRLQLTEGRSPSLLIRGKEQSDLSSSVHHTSGRFDDNLDQSLQHSEITRFRQRDSLLQDLKVDNLPFPLSQLEHMPPSSTAGLPLDTESFWHCRSPVESCQLWAQNMQDIGVCEELVCHDDFNMPDVDMTFQNFEELFGSDQDPTRALLDDKDVPYSSVLKYISLDKSDNGHARARMEHDASEVSSIFFNKVQNLEGSMDYCPCPIQPSSSTLSFCMSRFSAESSGTDCHESRLSPYIATGSSRNSPHDQEGGRFETRANAMTRYKEKKHSRLLVRKNRYPFRKGTADNVSGKKEEL >ONI30940 pep chromosome:Prunus_persica_NCBIv2:G1:28531297:28534664:-1 gene:PRUPE_1G283000 transcript:ONI30940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFSLAAFSLILLLSYPLLLNAKTLKRDMKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKMLNLRWNKLQDVIPPEIGELKSLTHLHLSFNSFKGEIPKELANLPELRYLYLQENRLVGRIPAELGTLQNLRHLDVGNNHLVGTIRELIRIEGCFPALRNLYLNNNYLTGGIPAQLANLTKLEILYLSYNKMSGIVPLGLSHIPRLTYLYLDHNQFSGRIPDAFYKHPFLKDMYIEGNAFRPGVKPIGIHKVLELTDTEFLV >ONI30941 pep chromosome:Prunus_persica_NCBIv2:G1:28531320:28534392:-1 gene:PRUPE_1G283000 transcript:ONI30941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFSLAAFSLILLLSYPLLLNAKTLKRDMKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKMLNLRWNKLQDVIPPEIGELKSLTHLHLSFNSFKGEIPKELANLPELRYLYLQENRLVGRIPAELGTLQNLRHLDVGNNHLVGTIRELIRIEGCFPALRNLYLNNNYLTGGIPAQLANLTKLEILYLSYNKMSGIVPLGLSHIPRLTYL >ONI36223 pep chromosome:Prunus_persica_NCBIv2:G1:46928024:46929082:-1 gene:PRUPE_1G576200 transcript:ONI36223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFDYDQLVKATESFSPTKLIGKGSHGMVYKAILVNKLVAIKKPLDQYHAHQVSLLKLDNEICVLSSLPESPHVISLLGTSHQDSNKNKLLVMEFMPNGSLHDLLHVASTPPPWPKRVEIAIRVARAVQFLHQGEPMVIHRDVKSANILFDSDWNAKLADFGLAVLLRVDSPSHPSEPAAGTIGYLDPCYTTPSKLSTKNDVFSFGVVLLEIISSRKVIDIYKSPASIVDWAIPLIKEQRIEQICDARLGLPTYMSSTIRHMLNVAASCVSSDVACRPTMMDVVKEIENCFIERVRLPIWSNMLRSLVPMRMKKLAKSLQQAKCATEQSDADVPSGKLLLWQVLDDAADIG >ONI35651 pep chromosome:Prunus_persica_NCBIv2:G1:44748788:44750070:-1 gene:PRUPE_1G547700 transcript:ONI35651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPAYIQRISRAYPSISFHQFPRVENKITLIPISVVVIASDFIRRNDPYVCLALQEISKSSAIRAFIIDIFCSSALPMVKELGIPTYDFYTSGAAAFAVFLYFPKIGEQTTNSFKDLTETVLEFPGLKSPLNAPHMPEPMLDRDDPAYWDMVYFCTHLPKSNGIIANTFEELEPPAVLKAIVGGLCVPNAPTPHVYYIGLLISEEKEFGDSADAEDCLSWLDQQPSRSVVFLCFGSRGSFSGAQLKEITDGLEKSGQRFLWVMKKLPLDEKTKQVQGVHDFELEDEGLVVKSWAPQVAVLKKESVGRFVRHCRWNSVLEAVIAGVPMVAWSLYAKQHLNKSILVKDMEMAIAVKQREEDGEELRERSRKMGEIALAAWSESGSSTRNFVNFVNSIA >ONI36073 pep chromosome:Prunus_persica_NCBIv2:G1:46339233:46345386:-1 gene:PRUPE_1G568200 transcript:ONI36073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSASGSSVAIIFLILCLVRTSQAIWLTLPSSGTKCVSEEIQNNVVVLADYVVIPDDHSHTPTISAKVTSPYGNNLHQKENSTHGQFAFTTHEAGNYLACFWVDGNNPGGGGVSVNIDWKTGIAAKDWESVARKEKIEGLELELRKLEGAVEAIHENLLYLKGRESEMRDVSERTNARVAWFSMMSLAVCIIVSTLQLWHLKSFFLKKKLI >ONI35977 pep chromosome:Prunus_persica_NCBIv2:G1:46043374:46043673:1 gene:PRUPE_1G563600 transcript:ONI35977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESKVTRSTTTLRKAWTQYLKEGWAEVLSQFSSIETPTEPPLFFSNFTEPVNHTHEILSFLGGHFFIIRKQMSKLTAFALRKLFMYTLKGSPKFLTKL >ONI35450 pep chromosome:Prunus_persica_NCBIv2:G1:43827454:43833230:-1 gene:PRUPE_1G536600 transcript:ONI35450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRVKLDIQDHHVVMDNGILQVTLSKPDGIVTRIQYNGIDNLLEVLNEEVNRGYWDLVWSEAGSVGTTGTFYVIKGTKFEVIVESDEQVEVSFTRKWNPSQKGKLVPLNIDKRFIMLRNSSGFYSYAIYDHLKEWPPFNLPQTRIVFKLRKEKFQYMAIADNRQRYMPLPDDRLQERSKVLDVPEAVLLVNPIEPEFKGEVDDKYEYSSENQNLRVHGWICMDPPVGFWQITPSDEFRSGGPLKQNLTSHVGPTCLAMFLSAHYSGEDLVLKLKPDEPWKKVFGPVFIYLNSVTSNANDDPSPLWEDAKSQMMTEVQKWPYDFPASIEFPPSDQRGNVSGRIQVRDRYVSEDCIPGKGAYVGLAPPGDAGSFQRDCKGYQFWTRADEHGYYSIKNIREGQYNLYAWVPGFIGDYRYDAAITITAGCDIDVGELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPNYINKLYVNHPDRFRQYGLWERYADLYPDQDLIYTIGTSDYAKDWFFAQVTRKKDDDTYEGTTWQIKFQLDNVNQSGTFKLQISLATANIAELQIRINDPKADPPLFTTGVIGKDNTILRHGIHGLYWLYSIGIPATLLVEGNNTLFLTQPISNSPLAAFHGLMYDYIRLEGPPSSTSTRGVKPANMAPHTPLD >ONI35451 pep chromosome:Prunus_persica_NCBIv2:G1:43827326:43833375:-1 gene:PRUPE_1G536600 transcript:ONI35451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGILQVTLSKPDGIVTRIQYNGIDNLLEVLNEEVNRGYWDLVWSEAGSVGTTGTFYVIKGTKFEVIVESDEQVEVSFTRKWNPSQKGKLVPLNIDKRFIMLRNSSGFYSYAIYDHLKEWPPFNLPQTRIVFKLRKEKFQYMAIADNRQRYMPLPDDRLQERSKVLDVPEAVLLVNPIEPEFKGEVDDKYEYSSENQNLRVHGWICMDPPVGFWQITPSDEFRSGGPLKQNLTSHVGPTCLAMFLSAHYSGEDLVLKLKPDEPWKKVFGPVFIYLNSVTSNANDDPSPLWEDAKSQMMTEVQKWPYDFPASIEFPPSDQRGNVSGRIQVRDRYVSEDCIPGKGAYVGLAPPGDAGSFQRDCKGYQFWTRADEHGYYSIKNIREGQYNLYAWVPGFIGDYRYDAAITITAGCDIDVGELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPNYINKLYVNHPDRFRQYGLWERYADLYPDQDLIYTIGTSDYAKDWFFAQVTRKKDDDTYEGTTWQIKFQLDNVNQSGTFKLQISLATANIAELQIRINDPKADPPLFTTGVIGKDNTILRHGIHGLYWLYSIGIPATLLVEGNNTLFLTQPISNSPLAAFHGLMYDYIRLEGPPSSTSTRGVKPANMAPHTPLD >ONI35452 pep chromosome:Prunus_persica_NCBIv2:G1:43827326:43831882:-1 gene:PRUPE_1G536600 transcript:ONI35452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNSSGFYSYAIYDHLKEWPPFNLPQTRIVFKLRKEKFQYMAIADNRQRYMPLPDDRLQERSKVLDVPEAVLLVNPIEPEFKGEVDDKYEYSSENQNLRVHGWICMDPPVGFWQITPSDEFRSGGPLKQNLTSHVGPTCLAMFLSAHYSGEDLVLKLKPDEPWKKVFGPVFIYLNSVTSNANDDPSPLWEDAKSQMMTEVQKWPYDFPASIEFPPSDQRGNVSGRIQVRDRYVSEDCIPGKGAYVGLAPPGDAGSFQRDCKGYQFWTRADEHGYYSIKNIREGQYNLYAWVPGFIGDYRYDAAITITAGCDIDVGELVYEPPRDGPTLWEIGIPDRSAAEFYVPDPNPNYINKLYVNHPDRFRQYGLWERYADLYPDQDLIYTIGTSDYAKDWFFAQVTRKKDDDTYEGTTWQIKFQLDNVNQSGTFKLQISLATANIAELQIRINDPKADPPLFTTGVIGKDNTILRHGIHGLYWLYSIGIPATLLVEGNNTLFLTQPISNSPLAAFHGLMYDYIRLEGPPSSTSTRGVKPANMAPHTPLD >ONI35739 pep chromosome:Prunus_persica_NCBIv2:G1:45118869:45123930:1 gene:PRUPE_1G552000 transcript:ONI35739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDTDMFATADELPLLLRCCMLVLALADPTMLVEKTQFLLSVLGSLIYLVTNGGEEKNSVRFQKFVSSKFTYTDVGGSSTTVSEEFVASLCFTEPSDPWCPTLCAVLEIFADELVMRRLLREYFSLVDSTSSTTEILFQCHFVKGDIGSVLEVISVHFISSVYGKRANENFLKRLFCDFGQHCRVPELSLTSAVSLLQNPVMLSAPKMLQTHMTLLVSEAIDVDVSLKNARPDLRLMEGYLTAFERSVHLYTSHMSGSLMDFHPLGVKCSYDSSCMLGRSSQPSFESYIQQVTRDKIYDLVTDSDSLWDLYLCNMFHRTKSDLMATSFTYVNESQHIFDESCRDDILSVLRSIILLSFSCDVSDTVLYRKGVTSPQDIYLLASILKLMSTSLLKAICSLRHGGDLDSPRTLKDVSSKEYDFVVDIIGCFHQFNTSLPNQKFLFDMMKTCPLIHKTSKWMLLHFSGLLSLCFASGIDFLVKGCISTIMALLHLYVFEESDLVALSSLLVSGSQTFSSGLSSDKVTDAVVKKKSVRRVAMKFQKIQTLHLSKESQSEVAETSENACFMRSTRESMNVMEEETEETCNGEVFLNCILGSSQKSDIDDLADFIVCKPAKDYSGWWKDRERYRGMKIQRKKQLRWEKRKNAWKSMVGKKHR >ONI35741 pep chromosome:Prunus_persica_NCBIv2:G1:45118869:45123930:1 gene:PRUPE_1G552000 transcript:ONI35741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNELVMRRLLREYFSLVDSTSSTTEILFQCHFVKGDIGSVLEVISVHFISSVYGKRANENFLKRLFCDFGQHCRVPELSLTSAVSLLQNPVMLSAPKMLQTHMTLLVSEAIDVDVSLKNARPDLRLMEGYLTAFERSVHLYTSHMSGSLMDFHPLGVKCSYDSSCMLGRSSQPSFESYIQQVTRDKIYDLVTDSDSLWDLYLCNMFHRTKSDLMATSFTYVNESQHIFDESCRDDILSVLRSIILLSFSCDVSDTVLYRKGVTSPQDIYLLASILKLMSTSLLKAICSLRHGGDLDSPRTLKDVSSKEYDFVVDIIGCFHQFNTSLPNQKFLFDMMKTCPLIHKTSKWMLLHFSGLLSLCFASGIDFLVKGCISTIMALLHLYVFEESDLVALSSLLVSGSQTFSSGLSSDKVTDAVVKKKSVRRVAMKFQKIQTLHLSKESQSEVAETSENACFMRSTRESMNVMEEETEETCNGEVFLNCILGSSQKSDIDDLADFIVCKPAKDYSGWWKDRERYRGMKIQRKKQLRWEKRKNAWKSMVGKKHR >ONI35737 pep chromosome:Prunus_persica_NCBIv2:G1:45118869:45123930:1 gene:PRUPE_1G552000 transcript:ONI35737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRKRSKERCSPAMEETPTRSHIPPLTFQTLISAIQAPEGPKLPLLKRLYFLLVTLSLNEPMRCFNFEGAFDHCGVEGKLEDIHNLINVLFEELDRRFKLFFSALCDVFASRGQEHMEYDTDMFATADELPLLLRCCMLVLALADPTMLVEKTQFLLSVLGSLIYLVTNGGEEKNSVRFQKFVSSKFTYTDVGGSSTTVSEEFVASLCFTEPSDPWCPTLCAVLEIFADELVMRRLLREYFSLVDSTSSTTEILFQCHFVKGDIGSVLEVISVHFISSVYGKRANENFLKRLFCDFGQHCRVPELSLTSAVSLLQNPVMLSAPKMLQTHMTLLVSEAIDVDVSLKNARPDLRLMEGYLTAFERSVHLYTSHMSGSLMDFHPLGVKCSYDSSCMLGRSSQPSFESYIQQVTRDKIYDLVTDSDSLWDLYLCNMFHRTKSDLMATSFTYVNESQHIFDESCRDDILSVLRSIILLSFSCDVSDTVLYRKGVTSPQDIYLLASILKLMSTSLLKAICSLRHGGDLDSPRTLKDVSSKEYDFVVDIIGCFHQFNTSLPNQKFLFDMMKTCPLIHKTSKWMLLHFSGLLSLCFASGIDFLVKGCISTIMALLHLYVFEESDLVALSSLLVSGSQTFSSGLSSDKVTDAVVKKKSVRRVAMKFQKIQTLHLSKESQSEVAETSENACFMRSTRESMNVMEEETEETCNGEVFLNCILGSSQKSDIDDLADFIVCKPAKDYSGWWKDRERYRGMKIQRKKQLRWEKRKNAWKSMVGKKHR >ONI35742 pep chromosome:Prunus_persica_NCBIv2:G1:45120830:45123930:1 gene:PRUPE_1G552000 transcript:ONI35742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLREYFSLVDSTSSTTEILFQCHFVKGDIGSVLEVISVHFISSVYGKRANENFLKRLFCDFGQHCRVPELSLTSAVSLLQNPVMLSAPKMLQTHMTLLVSEAIDVDVSLKNARPDLRLMEGYLTAFERSVHLYTSHMSGSLMDFHPLGVKCSYDSSCMLGRSSQPSFESYIQQVTRDKIYDLVTDSDSLWDLYLCNMFHRTKSDLMATSFTYVNESQHIFDESCRDDILSVLRSIILLSFSCDVSDTVLYRKGVTSPQDIYLLASILKLMSTSLLKAICSLRHGGDLDSPRTLKDVSSKEYDFVVDIIGCFHQFNTSLPNQKFLFDMMKTCPLIHKTSKWMLLHFSGLLSLCFASGIDFLVKGCISTIMALLHLYVFEESDLVALSSLLVSGSQTFSSGLSSDKVTDAVVKKKSVRRVAMKFQKIQTLHLSKESQSEVAETSENACFMRSTRESMNVMEEETEETCNGEVFLNCILGSSQKSDIDDLADFIVCKPAKDYSGWWKDRERYRGMKIQRKKQLRWEKRKNAWKSMVGKKHR >ONI35738 pep chromosome:Prunus_persica_NCBIv2:G1:45118869:45123930:1 gene:PRUPE_1G552000 transcript:ONI35738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRKRSKERCSPAMEETPTRSHIPPLTFQTLISAIQAPEGPKLPLLKRLYFLLVTLSLNEPMRCFNFEGAFDHCGVEGKLEDIHNLINVLFEELDRRFKLFFSALCDVFASRGQEHMEYDTDMFATADELPLLLRCCMLVLALADPTMLVEKTQFLLSVLGSLIYLVTNGGEEKNSVRFQKFVSSKFTYTDVGGSSTTVSEEFVASLCFTEPSDPWCPTLCAVLEIFADELVMRRLLREYFSLVDSTSSTTEILFQCHFVKGDIGSVLEVISVHFISSVYGKRANENFLKRLFCDFGQHCRVPELSLTSAVSLLQNPVMLSAPKMLQTHMTLLVSEAIDVDVSLKNARPDLRLMEGYLTAFERSVHLYTSHMSGSLMDFHPLGVKCSYDSSCMLGRSSQPSFESYIQQVTRDKIYDLVTDSDSLWDLYLCNMFHRTKSDLMATSFTYVNESQHIFDESCRDDILSVLRSIILLSFSCDVSDTVLYRKGVTSPQDIYLLASILKLMSTSLLKAICSLRHGGDLDSPRTLKDVSSKEYDFVVDIIGCFHQFNTSLPNQKFLFDMMKTCPLIHKTSKWMLLHFSGLLSLCFASGIDFLVKGCISTIMALLHLYVFEESDLVALSSLLVSGSQTFSSGLSSDKAVVKKKSVRRVAMKFQKIQTLHLSKESQSEVAETSENACFMRSTRESMNVMEEETEETCNGEVFLNCILGSSQKSDIDDLADFIVCKPAKDYSGWWKDRERYRGMKIQRKKQLRWEKRKNAWKSMVGKKHR >ONI35740 pep chromosome:Prunus_persica_NCBIv2:G1:45118869:45123930:1 gene:PRUPE_1G552000 transcript:ONI35740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDTDMFATADELPLLLRCCMLVLALADPTMLVEKTQFLLSVLGSLIYLVTNGGEEKNSVRFQKFVSSKFTYTDVGGSSTTVSEEFVASLCFTEPSDPWCPTLCAVLEIFADELVMRRLLREYFSLVDSTSSTTEILFQCHFVKGDIGSVLEVISVHFISSVYGKRANENFLKRLFCDFGQHCRVPELSLTSAVSLLQNPVMLSAPKMLQTHMTLLVSEAIDVDVSLKNARPDLRLMEGYLTAFERSVHLYTSHMSGSLMDFHPLGVKCSYDSSCMLGRSSQPSFESYIQQVTRDKIYDLVTDSDSLWDLYLCNMFHRTKSDLMATSFTYVNESQHIFDESCRDDILSVLRSIILLSFSCDVSDTVLYRKGVTSPQDIYLLASILKLMSTSLLKAICSLRHGGDLDSPRTLKDVSSKEYDFVVDIIGCFHQFNTSLPNQKFLFDMMKTCPLIHKTSKWMLLHFSGLLSLCFASGIDFLVKGCISTIMALLHLYVFEESDLVALSSLLVSGSQTFSSGLSSDKAVVKKKSVRRVAMKFQKIQTLHLSKESQSEVAETSENACFMRSTRESMNVMEEETEETCNGEVFLNCILGSSQKSDIDDLADFIVCKPAKDYSGWWKDRERYRGMKIQRKKQLRWEKRKNAWKSMVGKKHR >ONI35743 pep chromosome:Prunus_persica_NCBIv2:G1:45120291:45123930:1 gene:PRUPE_1G552000 transcript:ONI35743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLREYFSLVDSTSSTTEILFQCHFVKGDIGSVLEVISVHFISSVYGKRANENFLKRLFCDFGQHCRVPELSLTSAVSLLQNPVMLSAPKMLQTHMTLLVSEAIDVDVSLKNARPDLRLMEGYLTAFERSVHLYTSHMSGSLMDFHPLGVKCSYDSSCMLGRSSQPSFESYIQQVTRDKIYDLVTDSDSLWDLYLCNMFHRTKSDLMATSFTYVNESQHIFDESCRDDILSVLRSIILLSFSCDVSDTVLYRKGVTSPQDIYLLASILKLMSTSLLKAICSLRHGGDLDSPRTLKDVSSKEYDFVVDIIGCFHQFNTSLPNQKFLFDMMKTCPLIHKTSKWMLLHFSGLLSLCFASGIDFLVKGCISTIMALLHLYVFEESDLVALSSLLVSGSQTFSSGLSSDKVTDAVVKKKSVRRVAMKFQKIQTLHLSKESQSEVAETSENACFMRSTRESMNVMEEETEETCNGEVFLNCILGSSQKSDIDDLADFIVCKPAKDYSGWWKDRERYRGMKIQRKKQLRWEKRKNAWKSMVGKKHR >ONI34344 pep chromosome:Prunus_persica_NCBIv2:G1:39819801:39821633:1 gene:PRUPE_1G476500 transcript:ONI34344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPVAIRASSNFLLFVYKMVKPVAKLISVLMGFHLPLIFAILSLAVVALANHAAQPAPQLYWNSVLPNTQMPRSISELLHPDSTNEENIVNAVGNGKRKPEIFPLRNINYVQSHYGVLNRNYVQSHYKKRPPPPENIPFANRKKYALGSPPSDNQLLHYKELAIFFFEKDMRPGATMKFQFPRNSNTATFLPRESAQSIPFSSNKLPEIFNHFSVKPTSVEAKTIKQTIEECEAPGTKGEEKYCATSLESMVDFSTSKLGTRNVEAVSTEVLEKGATMSMHNYTTMPGLKKLAGDKVVVCHKENYPYAVFFCHAIKQTAAYVLSLKGDDGEKVKAVTICHLDTSEWNPEHLSFQILNVKPGTVPICHFISTDAIAWVPKHKFA >ONI34345 pep chromosome:Prunus_persica_NCBIv2:G1:39819800:39821567:1 gene:PRUPE_1G476500 transcript:ONI34345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPVAIRASSNFLLFVYKMVKPVAKLISVLMGFHLPLIFAILSLAVVALANHAAQPAPQLYWNSVLPNTQMPRSISELLHPDSTNEENIVNAVGNGKRKPEIFPLRNINYVQSHYGVLNRNYVQSHYKKRPPPPENIPFANRKKYALGSPPSDNQLLHYKELAIFFFEKDMRPGATMKFQFPRNSNTATFLPRESAQSIPFSSNKLPEIFNHFSVKPTSVEAKTIKQTIEECEAPGTKGEEKYCATSLESMVDFSTSKLGTRNVEAVSTEVLEKGATMSMHNYTTMPGLKKLAGDKVVVCHKENYPYAVFFCHAIKQTAAYVLSLKGDDGEKVKAVTICHLDTSEWNPEHLSFQILNVKPGTVPICHFISTDAIAWVPKHKFA >ONI34503 pep chromosome:Prunus_persica_NCBIv2:G1:40400568:40403667:-1 gene:PRUPE_1G485000 transcript:ONI34503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLQYLTRSTPSIHQRFSIRHLSTAPQPSSSSLSSLITASSFDSNPHQNPSYGSFLKWISGFAMGSGLGLLYWSSPDSVLTFADWSTVVPGETLEDQPSSSLFQKLSLPEITARFLFGDAYRRKIFFNYEKRIRLRSPPEKVFEYFASSSTPEGELLMRPEDLMRAVVPVFPPSESHLVRDGYLRGERNPGDLRCSPSEFFMLFDVNNDGHISFKEYIFFVTLLSIPESSFYVAFKMFDTDDSGEIDKEEFKKVMALMRACNRQGANHRHGLRTGLKVNGSVENGGLVEYFFGKDGKDCLQLDKFVKFLRDLQDEMVRLEFAHYDYKLRGTILAKDFALSMVASADMTHLSNLLNRVDELNNKPHLKDVRITFEEFKSFAELRKKLQPLSLALFSYGKANGMLTREDFQRAASHVTRTFWKFTEPFGTVALSCH >ONI34505 pep chromosome:Prunus_persica_NCBIv2:G1:40401895:40403576:-1 gene:PRUPE_1G485000 transcript:ONI34505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLQYLTRSTPSIHQRFSIRHLSTAPQPSSSSLSSLITASSFDSNPHQNPSYGSFLKWISGFAMGSGLGLLYWSSPDSVLTFADWSTVVPGETLEDQPSSSLFQKLSLPEITARFLFGDAYRRKIFFNYEKRIRLRSPPEKVFEYFASSSTPEGELLMRPEDLMRAVVPVFPPSESHLVRDGYLRGERNPGDLRCSPSEFFMLFDVNNDGHISFKEYIFFVTLLSIPESSFYVAFKMFDTDDSGEIDKEEFKKVMALMRACNRQGANHRHGLRTGLKVNGSVENGGLVEYFFGKDGKDCLQLDKFVKFLRDLQDEVSFQSCDSLEFENV >ONI34506 pep chromosome:Prunus_persica_NCBIv2:G1:40402142:40403576:-1 gene:PRUPE_1G485000 transcript:ONI34506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLQYLTRSTPSIHQRFSIRHLSTAPQPSSSSLSSLITASSFDSNPHQNPSYGSFLKWISGFAMGSGLGLLYWSSPDSVLTFADWSTVVPGETLEDQPSSSLFQKLSLPEITARFLFGDAYRRKIFFNYEKRIRLRSPPEKVFEYFASSSTPEGELLMRPEDLMRAVVPVFPPSESHLVRDGYLRGERNPGDLRCSPSEFFMLFDVNNDGHISFKEYIFFVTLLSIPESSFYVAFKMFDTDDSGLRNR >ONI34507 pep chromosome:Prunus_persica_NCBIv2:G1:40400568:40403667:-1 gene:PRUPE_1G485000 transcript:ONI34507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLQYLTRSTPSIHQRFSIRHLSTAPQPSSSSLSSLITASSFDSNPHQNPSYGSFLKWISGFAMGSGLGLLYWSSPDSVLTFADWSTVVPGETLEDQPSSSLFQKLSLPEITARFLFGDAYRRKIFFNYEKRIRLRSPPEKVFEYFASSSTPEGELLMRPEDLMRAVVPVFPPSESHLVRDGYLRGERNPGDLRCSPSEFFMLFDVNNDGHISFKEYIFFVTLLSIPESSFYVAFKMFDTDDSGLRNR >ONI34504 pep chromosome:Prunus_persica_NCBIv2:G1:40400568:40403667:-1 gene:PRUPE_1G485000 transcript:ONI34504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLQYLTRSTPSIHQRFSIRHLSTAPQPSSSSLSSLITASSFDSNPHQNPSYGSFLKWISGFAMGSGLGLLYWSSPDSVLTFADWSTVVPGETLEDQPSSSLFQKLSLPEITARFLFGDAYRRKIFFNYEKRIRLRSPPEKVFEYFASSSTPEGELLMRPEDLMRAVVPVFPPSESHLVRDGYLRGERNPGDLRCSPSEFFMLFDVNNDGHISFKEYIFFVTLLSIPESSFYVAFKMFDTDDSGEIDKEEFKKVMALMRACNRQGANHRHGLRTGLKVNGSVENGGLVEYFFGKDGKDCLQLDKFVKFLRDLQDEV >ONI34502 pep chromosome:Prunus_persica_NCBIv2:G1:40400568:40403668:-1 gene:PRUPE_1G485000 transcript:ONI34502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLQYLTRSTPSIHQRFSIRHLSTAPQPSSSSLSSLITASSFDSNPHQNPSYGSFLKWISGFAMGSGLGLLYWSSPDSVLTFADWSTVVPGETLEDQPSSSLFQKLSLPEITARFLFGDAYRRKIFFNYEKRIRLRSPPEKVFEYFASSSTPEGELLMRPEDLMRAVVPVFPPSESHLVRDGYLRGERNPGDLRCSPSEFFMLFDVNNDGHISFKEYIFFVTLLSIPESSFYVAFKMFDTDDSGEIDKEEFKKVMALMRACNRQGANHRHGLRTGLKVNGSVENGGLVEYFFGKDGKDCLQLDKFVKFLRDLQDEMVRLEFAHYDYKLRGTILAKDFALSMVASADMTHLSNLLNRVDELNNKPHLKDVRITFEEFKSFAELRKKLQPLSLALFSYGKANGMLTREDFQRAASHVCGVCLTDNVVEIIFHVFDSNRDGNLSLAEFVRVLHQRGRDIAQHVDTGIMVLD >ONI34978 pep chromosome:Prunus_persica_NCBIv2:G1:41931453:41937699:-1 gene:PRUPE_1G508500 transcript:ONI34978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRKSSNCAICESSNLASVCAICVNYRLTEYNSSLKALKSRRDSLYSRLTEALVAKGKADDQLNWRVLQNEKLVRLREKLRCNKEQLVQGKAKIEKTSYDLKVKSGVLESALAVLEKNRAEQLEKFYPNFICTQNLGHMAITSERLHKQSVVIKQICKLFPQRRVTVDAKRKDASGGQYDQICNACLPRGLDPHSVPSEELAASLGYMVQLLNLVVQNLAAPALHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNYCSTSGENSWSDRSSSNFGVASIDSERKPHLDSSGSSSFNYTSASQHSVETHKDLQRGISLLKKSVACITAYCYNSLCLDVPSEASTFEAFAKLLATLSSSKEVHSVFSLKMACSRSCKQVQQLNKSVWNVNSAISSTTLLDSAHAMTMTKNLYEYNLPTYATSSLCSTELSDSGKNESLVEGWDLVEHPTFPPPPSQSEDIEHWTRAMFIDAKRK >ONI34979 pep chromosome:Prunus_persica_NCBIv2:G1:41932418:41937180:-1 gene:PRUPE_1G508500 transcript:ONI34979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRKSSNCAICESSNLASVCAICVNYRLTEYNSSLKALKSRRDSLYSRLTEALVAKGKADDQLNWRVLQNEKLVRLREKLRCNKEQLVQGKAKIEKTSYDLKVKSGVLESALAVLEKNRAEQLEKFYPNFICTQNLGHMAITSERLHKQSVVIKQICKLFPQRRVTVDAKRKDASGGQYDQICNACLPRGLDPHSVPSEELAASLGYMVQLLNLVVQNLAAPALHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNYCSTSGENSWSDRSSSNFGVASIDSERKPHLDSSGSSSFNYTSASQHSVETHKDLQRGISLLKKSVACITAYCYNSLCLDVPSEASTFEAFAKLLATLSSSKEVHSVFSLKMACSRSCKQVQQLNKSVWNVNSAISSTTLLDSAHAMTMTEWSYLIAQFCVLLPF >ONI34980 pep chromosome:Prunus_persica_NCBIv2:G1:41932288:41937699:-1 gene:PRUPE_1G508500 transcript:ONI34980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRKSSNCAICESSNLASVCAICVNYRLTEYNSSLKALKSRRDSLYSRLTEALVAKGKADDQLNWRVLQNEKLVRLREKLRCNKEQLVQGKAKIEKTSYDLKVKSGVLESALAVLEKNRAEQLEKFYPNFICTQNLGHMAITSERLHKQSVVIKQICKLFPQRRVTVDAKRKDASGGQYDQICNACLPRGLDPHSVPSEELAASLGYMVQLLNLVVQNLAAPALHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNYCSTSGENSWSDRSSSNFGVASIDSERKPHLDSSGSSSFNYTSASQHSVETHKDLQRGISLLKKSVACITAYCYNSLCLDVPSEASTFEAFAKLLATLSSSKEVHSVFSLKMACSRSCKQVQQLNKSVWNVNSAISSTTLLDSAHAMTMTEWSYLIAQFCVLLPF >ONI34977 pep chromosome:Prunus_persica_NCBIv2:G1:41932070:41937180:-1 gene:PRUPE_1G508500 transcript:ONI34977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRKSSNCAICESSNLASVCAICVNYRLTEYNSSLKALKSRRDSLYSRLTEALVAKGKADDQLNWRVLQNEKLVRLREKLRCNKEQLVQGKAKIEKTSYDLKVKSGVLESALAVLEKNRAEQLEKFYPNFICTQNLGHMAITSERLHKQSVVIKQICKLFPQRRVTVDAKRKDASGGQYDQICNACLPRGLDPHSVPSEELAASLGYMVQLLNLVVQNLAAPALHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNYCSTSGENSWSDRSSSNFGVASIDSERKPHLDSSGSSSFNYTSASQHSVETHKDLQRGISLLKKSVACITAYCYNSLCLDVPSEASTFEAFAKLLATLSSSKEVHSVFSLKMACSRSCKQVQQLNKSVWNVNSAISSTTLLDSAHAMTMTKNLYEYNLPTYATSSLCSTELSDSGKNESLVEGWDLVEHPTFPPPPSQSEDIEHWTRAMFIDAKRK >ONI34170 pep chromosome:Prunus_persica_NCBIv2:G1:38892682:38907213:1 gene:PRUPE_1G466400 transcript:ONI34170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKLHCPFLGVSLHSSLNGRNNGNFICWERGNVAKRAPRRCVCEKQNYWITQAIRVSQFLGKNVELLRRTFELKNGMKVQCVKEPFSRSKALVRSLSPLWEEGLLLVRCSVFLAVISGVCLLVWYGQSKAKGFIEDKLLPSVCSVLSEYIQREVVFGKVRRLSPLSITLESCSVGPHSEEFSCGEVPSMKLRLRPFASLRRGRIVIDAVLSHPTVLVAQKKDYTWLGIPSSEGGLQRHLSTEEGIDHRTKTRRLSREEAAARWERERDEAAKKAAEMGYIVSDKASSPSKGDDSKEGDSHSADLASSESFPCMDEKMHWRDHCMDTGVDYEIKHADLEKSLGVKIPGSGLKFWSRVIKGPKKHKVKRKGYGSDISASGITAKRRILQSSAVRALAYFQDLSQGKTDEPSQSSGGYDVINLDSYLMNNVVETNADTSITSTGEDTTRDDNQDGKHCGDSAGHPLKENENVNSHLSSSNYIHLNRSNGDGTSSKNSAFSANAVGTNTNSCNVKDEDSRVDVVNKHTDDEISERQAGQTLQNSTSILPSVATYDQVPIWPLSLKLGFPSFSRNSGEPLSHLLSGSIQKLTSSMGTRVDDIVAELVDGVSVVQSEGIEKMLPVTLDSVHFKGGTLMLLAYGDREPRAMENVDGHVKFQNHYGRVHVQLSGNCQMWRSDNISEDGGWLSADVFVDMVEQKWHANLKIANLFVPLFERILEIPINWSKGRATGEVHLCMSGGETFPNLHGQLDVTGLAFQTIDAPSSFSDISASLCFRGQRIFLHNASGWFGDVPLEASGDFGIHPEEGEFHLMCQVSCVEVNALMRTFKMKPLLFPLAGSVTAVFNCQGPLDAPLFVGSGMVSRRISQSVSDFPPSSASEAVLRSKEAGAVAAFDRVPFSCVSANFTFNTDSCVADLYGIRASLVDGGEIRGAGNAWICPEGEVDDTSMDVNFSGSLCFDKILHRYVPGYLQLMPLKLGDLNGETKLSGSLLRPRFDIKWTAPKAEGSFSDARGDIIISHDSITVNSSSAAFDLSSKVQTSYTDEDWLRRRDADANSAMPFVVEGIDLDLRMRSFEFFNLVSPYPFDSPKPMHLKATGKIKFQGKVLKPYIDHGQDFGFERNKQPVEMTDKGKTDSLVGEVSISGLKLNQLMLAPQLAGSLSMSRECIKLDATGRPDESLVMEFVGPLKPNNEDNSQSGQLLSFFLQKGQLKANICFQPFHSASLEIRQLPLDELELASLRGTIQKAEIQLNLQKRRGHGLLSVLRPKFSGVLGEALDVAARWSGDVITVEKTVLEQSNSRYELQGEYVLPGTRDRNPAGKEKGGLLERAMAGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLVSRSTDPAVHSRSKDLFIQSLQSVGLYTESLTELLEVIRGHYTPLNEVVLEELNLPGLTELRGSWHGSLDASGGGNGDTMAEFDFHGEDWEWGTYKTQRVLAVGAYSNDDGLRLEKMFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVIQVVESSATDVVQSLRKFLAPIRGILHMEGDLRGNLAKPECDVQVRLLDGAIGGIDLGRAEIVASLTSTSRFLFNAKFEPIIQIGHVHIQGSVPVTFVQNNMSEEEDLEKDKSRASWDHGWVKERGRGSVDDSGEKKLSRERNEEGWDTRLAESLKGLNWNLLDVGEVRIDADIKDGGMMLLTALSSYAKWLQGNADVILQVRGTVEQPVLDGYASFHRASISSPVLWKPLTNFGGTVHVKSNRLCITSLESRVSRRGKLFVKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSAQVDTQMQITGSILQPNISGSIKLSHGEAYLPHDKGSGAATNRLASNESRLPGTGVDRVVASRYVSRFFSSQPAASRTKFPQPSVQPTEKEMEQVNIKPNVDIQLSDLKLALGPELRVVYPLILNFAVSGELELNGPAHPKSIQPRGVLTFENGDVNLVATQVRLKQEHLNIAKFEPEHGLDPMLDLVLVGSEWQFRIQSRARNWQDKLVVTSTGSVEQDAISPTEAARVFESQLAESILENDGQLAFQKLATTTLEKLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLASNISFGTEVEVQLGKRLQATIVRQMKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >ONI34169 pep chromosome:Prunus_persica_NCBIv2:G1:38892739:38907211:1 gene:PRUPE_1G466400 transcript:ONI34169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKLHCPFLGVSLHSSLNGRNNGNFICWERGNVAKRAPRRCVCEKQNYWITQAIRVSQFLGKNVELLRRTFELKNGMKVQCVKEPFSRSKALVRSLSPLWEEGLLLVRCSVFLAVISGVCLLVWYGQSKAKGFIEDKLLPSVCSVLSEYIQREVVFGKVRRLSPLSITLESCSVGPHSEEFSCGEVPSMKLRLRPFASLRRGRIVIDAVLSHPTVLVAQKKDYTWLGIPSSEGGLQRHLSTEEGIDHRTKTRRLSREEAAARWERERDEAAKKAAEMGYIVSDKASSPSKGDDSKEGDSHSADLASSESFPCMDEKMHWRDHCMDTGVDYEIKHADLEKSLGVKIPGSGLKFWSRVIKGPKKHKVKRKGYGSDISASGITAKRRILQSSAVRALAYFQDLSQGKTDEPSQSSGGYDVINLDSYLMNNVVETNADTSITSTGEDTTRDDNQDGKHCGDSAGHPLKENENVNSHLSSSNYIHLNRSNGDGTSSKNSAFSANAVGTNTNSCNVKDEDSRVDVVNKHTDDEISERQAGQTLQNSTSILPSVATYDQVPIWPLSLKLGFPSFSRNSGEPLSHLLSGSIQKLTSSMGTRVDDIVAELVDGVSVVQSEGIEKMLPVTLDSVHFKGGTLMLLAYGDREPRAMENVDGHVKFQNHYGRVHVQLSGNCQMWRSDNISEDGGWLSADVFVDMVEQKWHANLKIANLFVPLFERILEIPINWSKGRATGEVHLCMSGGETFPNLHGQLDVTGLAFQTIDAPSSFSDISASLCFRGQRIFLHNASGWFGDVPLEASGDFGIHPEEGEFHLMCQVSCVEVNALMRTFKMKPLLFPLAGSVTAVFNCQGPLDAPLFVGSGMVSRRISQSVSDFPPSSASEAVLRSKEAGAVAAFDRVPFSCVSANFTFNTDSCVADLYGIRASLVDGGEIRGAGNAWICPEGEVDDTSMDVNFSGSLCFDKILHRYVPGYLQLMPLKLGDLNGETKLSGSLLRPRFDIKWTAPKAEGSFSDARGDIIISHDSITVNSSSAAFDLSSKVQTSYTDEDWLRRRDADANSAMPFVVEGIDLDLRMRSFEFFNLVSPYPFDSPKPMHLKATGKIKFQGKVLKPYIDHGQDFGFERNKQPVEMTDKGKTDSLVGEVSISGLKLNQLMLAPQLAGSLSMSRECIKLDATGRPDESLVMEFVGPLKPNNEDNSQSGQLLSFFLQKGQLKANICFQPFHSASLEIRQLPLDELELASLRGTIQKAEIQLNLQKRRGHGLLSVLRPKFSGVLGEALDVAARWSGDVITVEKTVLEQSNSRYELQGEYVLPGTRDRNPAGKEKGGLLERAMAGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLVSRSTDPAVHSRSKDLFIQSLQSVGLYTESLTELLEVIRGHYTPLNEVVLEELNLPGLTELRGSWHGSLDASGGGNGDTMAEFDFHGEDWEWGTYKTQRVLAVGAYSNDDGLRLEKMFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVIQVVESSATDVVQSLRKFLAPIRGILHMEGDLRGNLAKPECDVQVRLLDGAIGGIDLGRAEIVASLTSTSRFLFNAKFEPIIQIGHVHIQGSVPVTFVQNNMSEEEDLEKDKSRASWDHGWVKERGRGSVDDSGEKKLSRERNEEGWDTRLAESLKGLNWNLLDVGEVRIDADIKDGGMMLLTALSSYAKWLQGNADVILQVRGTVEQPVLDGYASFHRASISSPVLWKPLTNFGGTVHVKSNRLCITSLESRVSRRGKLFVKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSAQVDTQMQITGSILQPNISGSIKLSHGEAYLPHDKGSGAATNRLASNESRLPGTGVDRVVASRYVSRFFSSQPAASRTKFPQPSVQPTEKEMEQVNIKPNVDIQLSDLKLALGPELRVVYPLILNFAVSGELELNGPAHPKSIQPRGVLTFENGDVNLVATQVRLKQEHLNIAKFEPEHGLDPMLDLVLVGSEWQFRIQSRARNWQDKLVVTSTGSVEQDAISPTEAARVFESQLAESILENDGQLAFQKLATTTLEKLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLASNISFGTEVEVQLGKRLQATIVRQMKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >ONI28001 pep chromosome:Prunus_persica_NCBIv2:G1:9160210:9161827:-1 gene:PRUPE_1G116200 transcript:ONI28001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIIFQIFVVYLFLLVSCSAQKNVPAVFTFGDSLVDVGNNNNLQTVAKANFLPHGLDFGNNPTGRFSNGRIVVDIIQTYSSMDAKFLLFSYFLMTEQEMGLKNFTPPYLAATAAGDNLLQGVNYVSSGSGISNFTGFLFGDRINFDTQISNHGKTAQAIMSRIGIPAAQKLLRKAIYVVVIGSNDIMFKEFEPMAMSEEAYLHILAAIKKLEAHTLRKIPLQTLYNLDARKIMVSNVALVGCTPFEKDIHPVEKGSCARMLNKMAQIYNKKLKGMLAELNKELQGAKFVYADIYQILQDLTQNYASYEFEVATSACCVFAGSRGGLVPCNPLSKVCPNRSKYVFWDPFHLTDAACVVATRHIMDSYVTYMSPMNLRQLVQS >ONI28816 pep chromosome:Prunus_persica_NCBIv2:G1:13102721:13106776:-1 gene:PRUPE_1G163100 transcript:ONI28816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPDNFHGLTLAVSSSIFIGASFIMKKKGLIKAGTKGIRAGSGGYTYLYEPLWWVGMISMIVGEIANFAAYAFAPAILVTPLGALSIIVSAVLAHFVLEEKLHIFGVLGCALCVVGSISIVLHAPQEKPIHSVKQVWQHATQPGFIIYTFLVLIVVAVLIFRYVPRYGHTHMVVYVGICSLMGSLTVMSVKAVGIALKLTFSEMNQFKYFETWVFVLVVTVCCVFQLNYLNKALDTFNTAIIAPVYYVMFTIFTILASVILFKVIYYMYV >ONI28814 pep chromosome:Prunus_persica_NCBIv2:G1:13101632:13106776:-1 gene:PRUPE_1G163100 transcript:ONI28814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPDNFHGLTLAVSSSIFIGASFIMKKKGLIKAGTKGIRAGSGGYTYLYEPLWWVGMISMIVGEIANFAAYAFAPAILVTPLGALSIIVSAVLAHFVLEEKLHIFGVLGCALCVVGSISIVLHAPQEKPIHSVKQVWQHATQPGFIIYTFLVLIVVAVLIFRYVPRYGHTHMVVYVGICSLMGSLTVMSVKAVGIALKLTFSEMNQFKYFETWVFVLVVTVCCVFQLNYLNKALDTFNTAIIAPVYYVMFTIFTILASVILFKDWESQSGAQIVTELCGFITILSGTFLLHKTKDMGNDASKDKDPPLVESPNHVDTPSNTDSQR >ONI28815 pep chromosome:Prunus_persica_NCBIv2:G1:13102203:13106310:-1 gene:PRUPE_1G163100 transcript:ONI28815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPDNFHGLTLAVSSSIFIGASFIMKKKGLIKAGTKGIRAGSGGYTYLYEPLWWVGMISMIVGEIANFAAYAFAPAILVTPLGALSIIVSAVLAHFVLEEKLHIFGVLGCALCVVGSISIVLHAPQEKPIHSVKQVWQHATQPGFIIYTFLVLIVVAVLIFRYVPRYGHTHMVVYVGICSLMGSLTVMSVKAVGIALKLTFSEMNQFKYFETWVFVLVVTVCCVFQLNYLNKALDTFNTAIIAPVYYVMFTIFTILASVILFKVIYYMTGSHKVEHRL >ONI31767 pep chromosome:Prunus_persica_NCBIv2:G1:31301186:31305837:1 gene:PRUPE_1G329900 transcript:ONI31767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENHAPALESIPNGDHEAATTTNDFNTHIHTNNDHGWQKVTAKRQRKTKPSKADSINNLNKLVPGVTIAGGEGVFRSLEKQSEDRRRRILEAQRAANADADSLAPVRSKLRSDDEDGEDSDDESVAQNVKAEEAKKSKPKKPKKPKVTVAEAAAKIDDANDLSAFLIDISASYESKEDIQLMRFADYFGRAFSAVTAAQFPWVKMFRESTVAKLADIPLSHISEAVYKTSVDWISQRSLEALGSFILWSLDSILADLASQVAGAKGSKKSVQNVSSKSQVAIFVVVAMVLRKKPDVLISILPTLRENSKYQGQDKLPVIVWAISQASQGDLAVGLHSWAHIVLPLVSGKGSNPQSRDLILQLAERILSTPKARTILVNGAVRKGERLVPPSAFEILIGVTFPAPSARVKATERFEAIYPTLKAVALAGSPRSKAMKQVSLQILSFAVKAAGESIPALSNEATGIFIWCLTQHADCFKQWDKVYQENLEASVAVLKKLSDQWKEHSAKLAPFDPMRETLKSFRHKNEKMLASGEDEAHQEKLIKDADKYCKTLLGKSSRGSGCKKSVALAVVALAVGAAVMSPNMESWDWDLEKLRVTISSFFD >ONI29904 pep chromosome:Prunus_persica_NCBIv2:G1:23566857:23568518:1 gene:PRUPE_1G221100 transcript:ONI29904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSMDHPIIFLLLLAYLATQSLALAPQSRTPKARITVVGAVYCDTCSTNTFSRSSYFLPGVDVLIKCKFRGNSPRTAEDINFEVNRTTDKYGVYKLEIPVVDGVNCIDGFAMKSMCQANLIGSSSSACNVPGLKTTADEISVKSKQDNLCIYSMNALSFRPSKKNVSLCGDKKEELASSFNSSKFFLPYFPPYGFFPWPKLPFPPLPPLPSLPFPPLPPLTSLPFPFPPSLPFPFPQFPPFTPSPNPLAPNSPPAFNLGDPRTWIPNIPKVFVPPPPPAFNLRDPRTWIPPYIPPSPPGSPQNQKP >ONI31502 pep chromosome:Prunus_persica_NCBIv2:G1:30534711:30539295:-1 gene:PRUPE_1G317100 transcript:ONI31502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQYDIRCARLLHTAAASSSSSPTTSSPSLSTIRSLTPHLMASRASAARRVLSLSTSCWTTSFRLRAFSIGAGEAAVKLPEKPSICTADELHYVSVPNSDWKLALWRYHPCPKAPQRNHPLLLLSGVGTNAIGYDLSPESSFARYMSKQGFETWILEVRGAGLSVHEPNRMEIQQSAHARSDQMETASAGATNAAFSAEKQSNGFPGASAPESPVQGERYDISATKGDITKSQSNGFPGASAPETPAQEEEYDISAGKGDVTNIITVGNESKSVTRLTETFTQLSERFSGFLSEGQSKIMAAKLLDQVSKLFADSTLSERLNEISDKLLGLLETRQNSSIASQIRDLSQRLVTIIEEGQRSVSPPLFDLQERFASTLEDFQKQLDLIVKYDWDFDHYLEEDVPAVMEYIMAESKPKDGKLLAIGHSMGGILLYAMLSRCGRESKLAAVVTLASSLDYTPSKSTLKLLIPLADPAQVLNVPVIPLGTLLAAAYPLSTRPPYVFSWLNNLISAEDMMHPELLKKLVLNNFCTIPAKLLLQLTTAFREGGLRDRRGTYLYKDHLHKSSVPILALAGDQDLICPPEAVEETVKLIPRHLVTYKVFGESGGPHYAHYDLVGGRMAAEQIYPCIIEFLSRHDST >ONI31505 pep chromosome:Prunus_persica_NCBIv2:G1:30535300:30539084:-1 gene:PRUPE_1G317100 transcript:ONI31505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQYDIRCARLLHTAAASSSSSPTTSSPSLSTIRSLTPHLMASRASAARRVLSLSTSCWTTSFRLRAFSIGAGEAAVKLPEKPSICTADELHYVSVPNSDWKLALWRYHPCPKAPQRNHPLLLLSGVGTNAIGYDLSPESSFARYMSKQGFETWILEVRGAGLSVHEPNRMEIQQSAHARSDQMETASAGATNAAFSAEKQSNGFPGASAPESPVQGERYDISATKGDITKSQSNGFPGASAPETPAQEEEYDISAGKGDVTNIITVGNESKSVTRLTETFTQLSERFSGFLSEGQSKIMAAKLLDQVSKLFADSTLSERLNEISDKLLGLLETRQNSSIASQIRDLSQRLVTIIEEGQRSVSPPLFDLQERFASTLEDFQKQLDLIVKYDWDFDHYLEEDVPAVMEYIMAESKPKDGKLLAIGHSMGGILLYAMLSRCGTIPAKLLLQLTTAFREGGLRDRRGTYLYKDHLHKSSVPILALAGDQDLICPPEAVEETVKLIPRHLVTYKVFGESGGPHYAHYDLVGGRMCRQRSRSIPV >ONI31503 pep chromosome:Prunus_persica_NCBIv2:G1:30534692:30539295:-1 gene:PRUPE_1G317100 transcript:ONI31503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQYDIRCARLLHTAAASSSSSPTTSSPSLSTIRSLTPHLMASRASAARRVLSLSTSCWTTSFRLRAFSIGAGEAAVKLPEKPSICTADELHYVSVPNSDWKLALWRYHPCPKAPQRNHPLLLLSGVGTNAIGYDLSPESSFARYMSKQGFETWILEVRGAGLSVHEPNRMEIQQSAHARSDQMETASAGATNAAFSAEKQSNGFPGASAPESPVQGERYDISATKGDITKSQSNGFPGASAPETPAQEEEYDISAGKGDVTNIITVGNESKSVTRLTETFTQLSERFSGFLSEGQSKIMAAKLLDQVSKLFADSTLSERLNEISDKLLGLLETRQNSSIASQIRDLSQRLVTIIEEGQRSVSPPLFDLQERFASTLEDFQKQLDLIVKYDWDFDHYLEEDVPAVMEYIMAESKPKDGKLLAIGHSMGGILLYAMLSRCVSEGRESKLAAVVTLASSLDYTPSKSTLKLLIPLADPAQVLNVPVIPLGTLLAAAYPLSTRPPYVFSWLNNLISAEDMMHPELLKKLVLNNFCTIPAKLLLQLTTAFREGGLRDRRGTYLYKDHLHKSSVPILALAGDQDLICPPEAVEETVKLIPRHLVTYKVFGESGGPHYAHYDLVGGRMAAEQIYPCIIEFLSRHDST >ONI31507 pep chromosome:Prunus_persica_NCBIv2:G1:30535300:30539084:-1 gene:PRUPE_1G317100 transcript:ONI31507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQYDIRCARLLHTAAASSSSSPTTSSPSLSTIRSLTPHLMASRASAARRVLSLSTSCWTTSFRLRAFSIGAGEAAVKLPEKPSICTADELHYVSVPNSDWKLALWRYHPCPKAPQRNHPLLLLSGVGTNAIGYDLSPESSFARYMSKQGFETWILEVRGAGLSVHEPNRMEIQQSAHARSDQMETASAGATNAAFSAEKQSNGFPGASAPESPVQGERYDISATKGDITKSQSNGFPGASAPETPAQEEEYDISAGKGDVTNIITVGNESKSVTRLTETFTQLSERFSGFLSEGQSKIMAAKLLDQVSKLFADSTLSERLNEISDKLLGLLETRQNSSIASQIRDLSQRLVTIIEEGQRSVSPPLFDLQERFASTLEDFQKQLDLIVKYDWDFDHYLEEDVPAVMEYIMAESKPKDGKLLAIGHSMGGILLYAMLSRCVSEGRESKLAAVVTLASSLDYTPSKSTLKLLIPLADPAQVLNVPVIPLGTLLAAAYPLSTRPPYVFSWLNNLISAEDMMHPELLKKLVLNNFCTIPAKLLLQLTTAFREGGLRDRRGTYLYKDHLHKSSVPILALAGDQDLICPPEAVEETVKLIPRHLVTYKVFGESGGPHYAHYDLVGGRMCRQRSRSIPV >ONI31508 pep chromosome:Prunus_persica_NCBIv2:G1:30535300:30539084:-1 gene:PRUPE_1G317100 transcript:ONI31508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQYDIRCARLLHTAAASSSSSPTTSSPSLSTIRSLTPHLMASRASAARRVLSLSTSCWTTSFRLRAFSIGAGEAAVKLPEKPSICTADELHYVSVPNSDWKLALWRYHPCPKAPQRNHPLLLLSGVGTNAIGYDLSPESSFARYMSKQGFETWILEVRGAGLSVHEPNRMEIQQSAHARSDQMETASAGATNAAFSAEKQSNGFPGASAPESPVQGERYDISATKGDITKSQSNGFPGASAPETPAQEEEYDISAGKGDVTNIITVGNESKSVTRLTETFTQLSERFSGFLSEGQSKIMAAKLLDQVSKLFADSTLSERLNEISDKLLGLLETRQNSSIASQIRDLSQRLVTIIEEGQRSVSPPLFDLQERFASTLEDFQKQLDLIVKYDWDFDHYLEEDVPAVMEYIMAESKPKDGKLLAIGHSMGGILLYAMLSRCVSEGRESKLAAVVTLASSLDYTPSKSTLKLLIPLADPAQVLNVPVIPLGTLLAAAYPLSTRPPYVFSWLNNLISAEDMMHPELLKKLVLNNFCTIPAKLLLQLTTAFREGGLRDRRGTYLYKDHLHKSSVPILALAGDQDLICPPEAVEETVKLIPRHLVTYKVFGESGGPHYAHYDLVGGRMCRQRSRSIPV >ONI31501 pep chromosome:Prunus_persica_NCBIv2:G1:30534721:30539312:-1 gene:PRUPE_1G317100 transcript:ONI31501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQYDIRCARLLHTAAASSSSSPTTSSPSLSTIRSLTPHLMASRASAARRVLSLSTSCWTTSFRLRAFSIGAGEAAVKLPEKPSICTADELHYVSVPNSDWKLALWRYHPCPKAPQRNHPLLLLSGVGTNAIGYDLSPESSFARYMSKQGFETWILEVRGAGLSVHEPNRMEIQQSAHARSDQMETASAGATNAAFSAEKQSNGFPGASAPESPVQGERYDISATKGDITKSQSNGFPGASAPETPAQEEEYDISAGKGDVTNIITVGNESKSVTRLTETFTQLSERFSGFLSEGQSKIMAAKLLDQVSKLFADSTLSERLNEISDKLLGLLETRQNSSIASQIRDLSQRLVTIIEEGQRSVSPPLFDLQERFASTLEDFQKQLDLIVKYDWDFDHYLEEDVPAVMEYIMAESKPKDGKLLAIGHSMGGILLYAMLSRCGTIPAKLLLQLTTAFREGGLRDRRGTYLYKDHLHKSSVPILALAGDQDLICPPEAVEETVKLIPRHLVTYKVFGESGGPHYAHYDLVGGRMAAEQIYPCIIEFLSRHDST >ONI31504 pep chromosome:Prunus_persica_NCBIv2:G1:30534696:30539295:-1 gene:PRUPE_1G317100 transcript:ONI31504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQYDIRCARLLHTAAASSSSSPTTSSPSLSTIRSLTPHLMASRASAARRVLSLSTSCWTTSFRLRAFSIGAGEAAVKLPEKPSICTADELHYVSVPNSDWKLALWRYHPCPKAPQRNHPLLLLSGVGTNAIGYDLSPESSFARYMSKQGFETWILEVRGAGLSVHEPNRMEIQQSAHARSDQMETASAGATNAAFSAEKQSNGFPGASAPESPVQGERYDISATKGDITKSQSNGFPGASAPETPAQEEEYDISAGKGDVTNIITVGNESKSVTRLTETFTQLSERFSGFLSEGQSKIMAAKLLDQVSKLFADSTLSERLNEISDKLLGLLETRQNSSIASQIRDLSQRLVTIIEEGQRSVSPPLFDLQERFASTLEDFQKQLDLIVKYDWDFDHYLEEDVPAVMEYIMAESKPKDGKLLAIGHSMGGILLYAMLSRCVSEGRESKLAAVVTLASSLDYTPSKSTLKLLIPLADPAQVLNVPVIPLGTLLAAAYPLSTRPPYVFSWLNNLISAEDMMHPELLKKLVLNNFCTIPAKLLLQLTTAFREGGLRDRRGTYLYKDHLHKSSVPILALAGDQDLICPPEAVEETVKLIPRHLVTYKVFGESGGPHYAHYDLVGGRMAAEQIYPCIIEFLSRHDST >ONI31500 pep chromosome:Prunus_persica_NCBIv2:G1:30534721:30539233:-1 gene:PRUPE_1G317100 transcript:ONI31500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQYDIRCARLLHTAAASSSSSPTTSSPSLSTIRSLTPHLMASRASAARRVLSLSTSCWTTSFRLRAFSIGAGEAAVKLPEKPSICTADELHYVSVPNSDWKLALWRYHPCPKAPQRNHPLLLLSGVGTNAIGYDLSPESSFARYMSKQGFETWILEVRGAGLSVHEPNRMEIQQSAHARSDQMETASAGATNAAFSAEKQSNGFPGASAPESPVQGERYDISATKGDITKSQSNGFPGASAPETPAQEEEYDISAGKGDVTNIITVGNESKSVTRLTETFTQLSERFSGFLSEGQSKIMAAKLLDQVSKLFADSTLSERLNEISDKLLGLLETRQNSSIASQIRDLSQRLVTIIEEGQRSVSPPLFDLQERFASTLEDFQKQLDLIVKYDWDFDHYLEEDVPAVMEYIMAESKPKDGKLLAIGHSMGGILLYAMLSRCGTIPAKLLLQLTTAFREGGLRDRRGTYLYKDHLHKSSVPILALAGDQDLICPPEAVEETVKLIPRHLVTYKVFGESGGPHYAHYDLVGGRMAAEQIYPCIIEFLSRHDST >ONI31506 pep chromosome:Prunus_persica_NCBIv2:G1:30534721:30539233:-1 gene:PRUPE_1G317100 transcript:ONI31506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQYDIRCARLLHTAAASSSSSPTTSSPSLSTIRSLTPHLMASRASAARRVLSLSTSCWTTSFRLRAFSIGAGEAAVKLPEKPSICTADELHYVSVPNSDWKLALWRYHPCPKAPQRNHPLLLLSGVGTNAIGYDLSPESSFARYMSKQGFETWILEVRGAGLSVHEPNRMEIQQSAHARSDQMETASAGATNAAFSAEKQSNGFPGASAPESPVQGERYDISATKGDITKSQSNGFPGASAPETPAQEEEYDISAGKGDVTNIITVGNESKSVTRLTETFTQLSERFSGFLSEGQSKIMAAKLLDQVSKLFADSTLSERLNEISDKLLGLLETRQNSSIASQIRDLSQRLVTIIEEGQRSVSPPLFDLQERFASTLEDFQKQLDLIVKYDWDFDHYLEEDVPAVMEYIMAESKPKDGKLLAIGHSMGGILLYAMLSRCGTIPAKLLLQLTTAFREGGLRDRRGTYLYKDHLHKSSVPILALAGDQDLICPPEAVEETVKLIPRHLVTYKVFGESGGPHYAHYDLVGGRMCRQRSRSIPV >ONI28904 pep chromosome:Prunus_persica_NCBIv2:G1:13657276:13658394:1 gene:PRUPE_1G167800 transcript:ONI28904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIIQCFRHPATNRSRTPKQEDDDEEEEHDQRPYILQLSDHIILEIFCKIPTKTLIQCKRVCKSWRRCLSDPEFTRELFSRTPACLLVTGFRWQWGHFLVDLDGTWNPNVVALKLSSEISLRTRTTMVGSCNGFLFRIVPKQVMVLTVGSGIWRNIGHPAYSFSGITPCHGIYHKGFLHWIGRTCGDSSRRLVCAFHVETEQFQELPLPPPFYDLDKTYFKLGVLNGWLSVILNLNGDISVWVMKESWTKEHEFKDPVGSFGTSILKFTEEGQVLGLNYDDHSMQAYTLARTGLVRVEVDGLPLTISEAWDLVLSFVSLEDRSP >ONI31793 pep chromosome:Prunus_persica_NCBIv2:G1:31385955:31390015:1 gene:PRUPE_1G331200 transcript:ONI31793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAELELERRSKFLSSLIQKKKSVEQQDHHDLLNVRVRASDMPTPLQNRAFRSARDHLDSMPGKLDSKRLALALKKEFDSSCGPAWHCIVGTSFGSYVTHSTGGFLYFSIDKPSTNCEISFRFISRRKKKKLLRQLKPETMEISQFMGQQEHEAIENSQDKKAGPPHMALSLISAYLPLFELLAMSGVCTSLRDAVNKDVLSWLDIVVKSPLNLRLSDGILMKITSKANGRLTTLALMDCAKITDDGLQRVVERNPLINKLYLPGCTGLTPEGVIGAVKTLSEHHHGLKSLMINGIYNINKEHLETLRPYLEKNLSQQEQSGSWPLLFHEHRDVPTFRHDKGYATIDVEVCPKCDEVRMVFDCPRRTCKRKIGRSMSDCRGCNFCIPRCQECGGCVDDSEEVEEAVCADILCSDCWLQLPKCDFCNKPYCKQHAHNGSCPPGSTGFVCDVCWANFNI >ONI32157 pep chromosome:Prunus_persica_NCBIv2:G1:32693842:32701834:-1 gene:PRUPE_1G351500 transcript:ONI32157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKLGMLDGFLTTTRSHHKSLKSLFSRNKSNGDDQDSPSSAVNSPKPIPQLSTLANSVVSRCSKILQIPTEELQHHFDTQLPESVKELLTYARNFLEFCSYQALHIVSCRPDYLSDKEFRCMTFDMMLAWESPSVESKPQDKETASCSNQDSEDEDGWSLFYSSSTNMAMQVDDKKTVGLDAFARIAPACAAVADIITVHNLYDALTSSSGHRLHFLVYDKYIRSLDKVIKASKNALTSSIGNLQLTEGEMVLDVDGTVPTQPVLQHIGISLWPGRLTLTNSALYFESLGVGLYEKAVRYDLATDMKQVIKPELTGPLGARLFDKAIMYKSTSIAEPVYLEFPEFKGNSRRDYWLDICLEILRAHRFIRKNNFKETKKSEVMARAILGICRYRAVREAFHFFSSHYKTLLAFNLAESLPGGDLILKTLSSRLVLLNSSAAQHDVSGSPYAKRQPKLSPVSLIALTQLGFILEKEGNLEGEAIIVGDVCVGEINPLEMAVKQSLLDTGRAEAAQATVEQVKVDGIDTNVAIMKELLFPVIEVATRIQLLASWEHPCKSTAFLMLTCYSILSSYALVQAF >ONI32153 pep chromosome:Prunus_persica_NCBIv2:G1:32692805:32701834:-1 gene:PRUPE_1G351500 transcript:ONI32153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKLGMLDGFLTTTRSHHKSLKSLFSRNKSNGDDQDSPSSAVNSPKPIPQLSTLANSVVSRCSKILQIPTEELQHHFDTQLPESVKELLTYARNFLEFCSYQALHIVSCRPDYLSDKEFRCMTFDMMLAWESPSVESKPQDKETASCSNQDSEDEDGWSLFYSSSTNMAMQVDDKKTVGLDAFARIAPACAAVADIITVHNLYDALTSSSGHRLHFLVYDKYIRSLDKVIKASKNALTSSIGNLQLTEGEMVLDVDGTVPTQPVLQHIGISLWPGRLTLTNSALYFESLGVGLYEKAVRYDLATDMKQVIKPELTGPLGARLFDKAIMYKSTSIAEPVYLEFPEFKGNSRRDYWLDICLEILRAHRFIRKNNFKETKKSEVMARAILGICRYRAVREAFHFFSSHYKTLLAFNLAESLPGGDLILKTLSSRLVLLNSSAAQHDVSGSPYAKRQPKLSPVSLIALTQLGFILEKEGNLEGEAIIVGDVCVGEINPLEMAVKQSLLDTGRAEAAQATVEQVKVDGIDTNVAIMKELLFPVIEVATRIQLLASWEHPCKSTAFLMLTCYSILRGWIRYILPSIFVFVAVLMLWCRHFNKGRPLQPFKITPPHNRNAVEQLLTLQEAITQVEALLRAGNIVLLKLRALLFAVLPQATDRIVLLLVFMAAVFAFVPLRFIILVVFVEAFTREMPYRKESSDRWVRRIREWWVRIPAAPVQLIKPDDNKKKKS >ONI32155 pep chromosome:Prunus_persica_NCBIv2:G1:32692525:32702207:-1 gene:PRUPE_1G351500 transcript:ONI32155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKLGMLDGFLTTTRSHHKSLKSLFSRNKSNGDDQDSPSSAVNSPKPIPQLSTLANSVVSRCSKILQIPTEELQHHFDTQLPESVKELLTYARNFLEFCSYQALHIVSCRPDYLSDKEFRCMTFDMMLAWESPSVESKPQDKETASCSNQDSEDEDGWSLFYSSSTNMAMQVDDKKTVGLDAFARIAPACAAVADIITVHNLYDALTSSSGHRLHFLVYDKYIRSLDKVIKASKNALTSSIGNLQLTEGEMVLDVDGTVPTQPVLQHIGRLTLTNSALYFESLGVGLYEKAVRYDLATDMKQVIKPELTGPLGARLFDKAIMYKSTSIAEPVYLEFPEFKGNSRRDYWLDICLEILRAHRFIRKNNFKETKKSEVMARAILGICRYRAVREAFHFFSSHYKTLLAFNLAESLPGGDLILKTLSSRLVLLNSSAAQHDVSGSPYAKRQPKLSPVSLIALTQLGFILEKEGNLEGEAIIVGDVCVGEINPLEMAVKQSLLDTGRAEAAQATVEQVKVDGIDTNVAIMKELLFPVIEVATRIQLLASWEHPCKSTAFLMLTCYSILSRAAADIARSHHSS >ONI32152 pep chromosome:Prunus_persica_NCBIv2:G1:32692805:32701834:-1 gene:PRUPE_1G351500 transcript:ONI32152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKLGMLDGFLTTTRSHHKSLKSLFSRNKSNGDDQDSPSSAVNSPKPIPQLSTLANSVVSRCSKILQIPTEELQHHFDTQLPESVKELLTYARNFLEFCSYQALHIVSCRPDYLSDKEFRCMTFDMMLAWESPSVESKPQDKETASCSNQDSEDEDGWSLFYSSSTNMAMQVDDKKTVGLDAFARIAPACAAVADIITVHNLYDALTSSSGHRLHFLVYDKYIRSLDKVIKASKNALTSSIGNLQLTEGEMVLDVDGTVPTQPVLQHIGRLTLTNSALYFESLGVGLYEKAVRYDLATDMKQVIKPELTGPLGARLFDKAIMYKSTSIAEPVYLEFPEFKGNSRRDYWLDICLEILRAHRFIRKNNFKETKKSEVMARAILGICRYRAVREAFHFFSSHYKTLLAFNLAESLPGGDLILKTLSSRLVLLNSSAAQHDVSGSPYAKRQPKLSPVSLIALTQLGFILEKEGNLEGEAIIVGDVCVGEINPLEMAVKQSLLDTGRAEAAQATVEQVKVDGIDTNVAIMKELLFPVIEVATRIQLLASWEHPCKSTAFLMLTCYSILRGWIRYILPSIFVFVAVLMLWCRHFNKGRPLQPFKITPPHNRNAVEQLLTLQEAITQVEALLRAGNIVLLKLRALLFAVLPQATDRIVLLLVFMAAVFAFVPLRFIILVVFVEAFTREMPYRKESSDRWVRRIREWWVRIPAAPVQLIKPDDNKKKKS >ONI32154 pep chromosome:Prunus_persica_NCBIv2:G1:32693293:32702207:-1 gene:PRUPE_1G351500 transcript:ONI32154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKLGMLDGFLTTTRSHHKSLKSLFSRNKSNGDDQDSPSSAVNSPKPIPQLSTLANSVVSRCSKILQIPTEELQHHFDTQLPESVKELLTYARNFLEFCSYQALHIVSCRPDYLSDKEFRCMTFDMMLAWESPSVESKPQDKETASCSNQDSEDEDGWSLFYSSSTNMAMQVDDKKTVGLDAFARIAPACAAVADIITVHNLYDALTSSSGHRLHFLVYDKYIRSLDKVIKASKNALTSSIGNLQLTEGEMVLDVDGTVPTQPVLQHIGISLWPGRLTLTNSALYFESLGVGLYEKAVRYDLATDMKQVIKPELTGPLGARLFDKAIMYKSTSIAEPVYLEFPEFKGNSRRDYWLDICLEILRAHRFIRKNNFKETKKSEVMARAILGICRYRAVREAFHFFSSHYKTLLAFNLAESLPGGDLILKTLSSRLVLLNSSAAQHDVSGSPYAKRQPKLSPVSLIALTQLGFILEKEGNLEGEAIIVGDVCVGEINPLEMAVKQSLLDTGRAEAAQATVEQVKVDGIDTNVAIMKELLFPVIEVATRIQLLASWEHPCKSTAFLMLTCYSILRGWIRYILPSIFVFVAVLMLWCRHFNKGRPLQPFKITPPHNRNAVEQLLTLQEAITQVEALLRAGNIVLLKLRALLFAVLPQVCFKAMPICH >ONI32156 pep chromosome:Prunus_persica_NCBIv2:G1:32692525:32702207:-1 gene:PRUPE_1G351500 transcript:ONI32156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKLGMLDGFLTTTRSHHKSLKSLFSRNKSNGDDQDSPSSAVNSPKPIPQLSTLANSVVSRCSKILQIPTEELQHHFDTQLPESVKELLTYARNFLEFCSYQALHIVSCRPDYLSDKEFRCMTFDMMLAWESPSVESKPQDKETASCSNQDSEDEDGWSLFYSSSTNMAMQVDDKKTVGLDAFARIAPACAAVADIITVHNLYDALTSSSGHRLHFLVYDKYIRSLDKVIKASKNALTSSIGNLQLTEGEMVLDVDGTVPTQPVLQHIGISLWPGRLTLTNSALYFESLGVGLYEKAVRYDLATDMKQVIKPELTGPLGARLFDKAIMYKSTSIAEPVYLEFPEFKGNSRRDYWLDICLEILRAHRFIRKNNFKETKKSEVMARAILGICRYRAVREAFHFFSSHYKTLLAFNLAESLPGGDLILKTLSSRLVLLNSSAAQHDVSGSPYAKRQPKLSPVSLIALTQLGFILEKEGNLEGEAIIVGDVCVGEINPLEMAVKQSLLDTGRAEAAQATVEQVKVDGIDTNVAIMKELLFPVIEVATRIQLLASWEHPCKSTAFLMLTCYSILSRAAADIARSHHSS >ONI30785 pep chromosome:Prunus_persica_NCBIv2:G1:27903032:27907978:-1 gene:PRUPE_1G272300 transcript:ONI30785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQRSGPVERDIEQAITALKKGATLLKYGRRGKPKFCPFRLSNDESLLIWYSGKEEKHLKLSHVSTIIPGQRTAIFQRYPRPEKEYQSFSLLYNDRSLDLICKDKDEAEVWFVGLKALMSRGNYRNWRSESRLDSTSLDSPHTRTRRSSPSVTPFDVGDTEGVPLENIPQSRLGKAFADIITYTATPKSATQIESVSNSSLSPASVDNSNGRSSAAAEGFRVSLSSAVSSSSQGSCQDDFDALGDVFIWGEGIGGGVLGGGVDRVGCSYGFRTDALLPKVLESTVVVDVHGIACGARHAVLVTKQGEIFSWGEESGGRLGHGVEADVSHPKLVDTLSGINVELVACGEYHTCAVTLSGDLYTWGDGTHNFGLLGHGSEVSHWIPKKVSGHMDGIHVSYIACGPWHTAAVTSAGQLFTFGDGSFGALGHGDHSSTNTPREVETLGGLRTTRVACGVWHTAAVVEVTNELSSPETSSNSSSGNLYTWGDGDTGQLGHGDQESRLVPECVAALVDKHICQVACGHNLTVALTTSGQVYTMGSAAYGQLGSPLADGKVPTLVEGKIADSFVEDIACGSYHVAVLTSKTEVFTWGRGSNGQLGHGDNDHRNTPTLVDCIKDKQVKSVTCGPNITAVICLHKWASSADHSVCSGCHNPFGFRRKRHNCYNCGLVFCKACSSKKSLKAALAPNMNKPYRVCDECYAKLKKAAETSSALRSPTIKSGNIRHKANDVADRDTLVPMLRATLSRLSSFGSTNQSESKYPKQDRKPEVHDTRVFPMLNGQLQLGGFNLTKASTSLTGDSEKIISASIPASRKASRFTSPVSGKSSPRRSSDDILADSKLINGSLSQEIINLRTQVVHFWRST >ONI30782 pep chromosome:Prunus_persica_NCBIv2:G1:27901035:27907968:-1 gene:PRUPE_1G272300 transcript:ONI30782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQRSGPVERDIEQAITALKKGATLLKYGRRGKPKFCPFRLSNDESLLIWYSGKEEKHLKLSHVSTIIPGQRTAIFQRYPRPEKEYQSFSLLYNDRSLDLICKDKDEAEVWFVGLKALMSRGNYRNWRSESRLDSTSLDSPHTRTRRSSPSVTPFDVGDTEGVPLENIPQSRLGKAFADIITYTATPKSATQIESVSNSSLSPASVDNSNGRSSAAAEGFRVSLSSAVSSSSQGSCQDDFDALGDVFIWGEGIGGGVLGGGVDRVGCSYGFRTDALLPKVLESTVVVDVHGIACGARHAVLVTKQGEIFSWGEESGGRLGHGVEADVSHPKLVDTLSGINVELVACGEYHTCAVTLSGDLYTWGDGTHNFGLLGHGSEVSHWIPKKVSGHMDGIHVSYIACGPWHTAAVTSAGQLFTFGDGSFGALGHGDHSSTNTPREVETLGGLRTTRVACGVWHTAAVVEVTNELSSPETSSNSSSGNLYTWGDGDTGQLGHGDQESRLVPECVAALVDKHICQVACGHNLTVALTTSGQVYTMGSAAYGQLGSPLADGKVPTLVEGKIADSFVEDIACGSYHVAVLTSKTEVFTWGRGSNGQLGHGDNDHRNTPTLVDCIKDKQVKSVTCGPNITAVICLHKWASSADHSVCSGCHNPFGFRRKRHNCYNCGLVFCKACSSKKSLKAALAPNMNKPYRVCDECYAKLKKAAETSSALRSPTIKSGNIRHKANDVADRDTLVPMLRATLSRLSSFGSTNQSESKYPKQDRKPEVHDTRVFPMLNGQLQLGGFNLTKASTSLTGDSEKIISASIPASRKASRFTSPVSGKSSPRRSSDDILADSKLINGSLSQEIINLRTQVEDLTSKSQYLEAELQRTSKKLKEVSAIAADEAEKCKSAKEVIKSLTAQLKDMAERMPEGQIGSCNSGSMAGHAINFADQLSKDSHLTNITTPDLSNGNSMDRILANGTKGQTGKAERVLQDEPGVYITLCSLPDGGNELRRVRFSRRHFTEEAAERWWAENGAKLCERHNIKSAE >ONI30783 pep chromosome:Prunus_persica_NCBIv2:G1:27901389:27907218:-1 gene:PRUPE_1G272300 transcript:ONI30783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQRSGPVERDIEQAITALKKGATLLKYGRRGKPKFCPFRLSNDESLLIWYSGKEEKHLKLSHVSTIIPGQRTAIFQRYPRPEKEYQSFSLLYNDRSLDLICKDKDEAEVWFVGLKALMSRGNYRNWRSESRLDSTSLDSPHTRTRRSSPSVTPFDVGDTEGVPLENIPQSRLGKAFADIITYTATPKSATQIESVSNSSLSPASVDNSNGRSSAAAEGFRVSLSSAVSSSSQGSCQDDFDALGDVFIWGEGIGGGVLGGGVDRVGCSYGFRTDALLPKVLESTVVVDVHGIACGARHAVLVTKQGEIFSWGEESGGRLGHGVEADVSHPKLVDTLSGINVELVACGEYHTCAVTLSGDLYTWGDGTHNFGLLGHGSEVSHWIPKKVSGHMDGIHVSYIACGPWHTAAVTSAGQLFTFGDGSFGALGHGDHSSTNTPREVETLGGLRTTRVACGVWHTAAVVEVTNELSSPETSSNSSSGNLYTWGDGDTGQLGHGDQESRLVPECVAALVDKHICQVACGHNLTVALTTSGQVYTMGSAAYGQLGSPLADGKVPTLVEGKIADSFVEDIACGSYHVAVLTSKTEVFTWGRGSNGQLGHGDNDHRNTPTLVDCIKDKQVKSVTCGPNITAVICLHKWASSADHSVCSGCHNPFGFRRKRHNCYNCGLVFCKACSSKKSLKAALAPNMNKPYRVCDECYAKLKKAAETSSALRSPTIKSGNIRHKANDVADRDTLVPMLRATLSRLSSFGSTNQSESKYPKQDRKPEVHDTRVFPMLNGQLQLGGFNLTKASTSLTGDSEKIISASIPASRKASRFTSPVSGKSSPRRSSDDILADSKLINGSLSQEIINLRTQVEDLTSKSQYLEAELQRTSKKLKEVSAIAADEAEKCKSAKEVIKSLTAQLKDMAERMPEGQIGSCNSGSMAGHAINFADQLSKDSHLTNITTPDLSNGNSMDRILANGTKGQTGKAERVLQDEPGVYITLCSLPDGGNELRRVRFREMVGLTLCAENSNVVLQSETFH >ONI30781 pep chromosome:Prunus_persica_NCBIv2:G1:27901035:27907994:-1 gene:PRUPE_1G272300 transcript:ONI30781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQRSGPVERDIEQAITALKKGATLLKYGRRGKPKFCPFRLSNDESLLIWYSGKEEKHLKLSHVSTIIPGQRTAIFQRYPRPEKEYQSFSLLYNDRSLDLICKDKDEAEVWFVGLKALMSRGNYRNWRSESRLDSTSLDSPHTRTRRSSPSVTPFDVGDTEGVPLENIPQSRLGKAFADIITYTATPKSATQIESVSNSSLSPASVDNSNGRSSAAAEGFRVSLSSAVSSSSQGSCQDDFDALGDVFIWGEGIGGGVLGGGVDRVGCSYGFRTDALLPKVLESTVVVDVHGIACGARHAVLVTKQGEIFSWGEESGGRLGHGVEADVSHPKLVDTLSGINVELVACGEYHTCAVTLSGDLYTWGDGTHNFGLLGHGSEVSHWIPKKVSGHMDGIHVSYIACGPWHTAAVTSAGQLFTFGDGSFGALGHGDHSSTNTPREVETLGGLRTTRVACGVWHTAAVVEVTNELSSPETSSNSSSGNLYTWGDGDTGQLGHGDQESRLVPECVAALVDKHICQVACGHNLTVALTTSGQVYTMGSAAYGQLGSPLADGKVPTLVEGKIADSFVEDIACGSYHVAVLTSKTEVFTWGRGSNGQLGHGDNDHRNTPTLVDCIKDKQVKSVTCGPNITAVICLHKWASSADHSVCSGCHNPFGFRRKRHNCYNCGLVFCKACSSKKSLKAALAPNMNKPYRVCDECYAKLKKAAETSSALRSPTIKSGNIRHKANDVADRDTLVPMLRATLSRLSSFGSTNQSESKYPKQDRKPEVHDTRVFPMLNGQLQLGGFNLTKASTSLTGDSEKIISASIPASRKASRFTSPVSGKSSPRRSSDDILADSKLINGSLSQEIINLRTQVEDLTSKSQYLEAELQRTSKKLKEVSAIAADEAEKCKSAKEVIKSLTAQLKDMAERMPEGQIGSCNSGSMAGHAINFADQLSKDSHLTNITTPDLSNGNSMDRILANGTKGQTGKAERVLQDEPGVYITLCSLPDGGNELRRVRFSRRHFTEEAAERWWAENGAKLCERHNIKSAE >ONI30784 pep chromosome:Prunus_persica_NCBIv2:G1:27901035:27907962:-1 gene:PRUPE_1G272300 transcript:ONI30784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQRSGPVERDIEQAITALKKGATLLKYGRRGKPKFCPFRLSNDESLLIWYSGKEEKHLKLSHVSTIIPGQRTAIFQRYPRPEKEYQSFSLLYNDRSLDLICKDKDEAEVWFVGLKALMSRGNYRNWRSESRLDSTSLDSPHTRTRRSSPSVTPFDVGDTEGVPLENIPQSRLGKAFADIITYTATPKSATQIESVSNSSLSPASVDNSNGRSSAAAEGFRVSLSSAVSSSSQGSCQDDFDALGDVFIWGEGIGGGVLGGGVDRVGCSYGFRTDALLPKVLESTVVVDVHGIACGARHAVLVTKQGEIFSWGEESGGRLGHGVEADVSHPKLVDTLSGINVELVACGEYHTCAVTLSGDLYTWGDGTHNFGLLGHGSEVSHWIPKKVSGHMDGIHVSYIACGPWHTAAVTSAGQLFTFGDGSFGALGHGDHSSTNTPREVETLGGLRTTRVACGVWHTAAVVEVTNELSSPETSSNSSSGNLYTWGDGDTGQLGHGDQESRLVPECVAALVDKHICQVACGHNLTVALTTSGQVYTMGSAAYGQLGSPLADGKVPTLVEGKIADSFVEDIACGSYHVAVLTSKTEVFTWGRGSNGQLGHGDNDHRNTPTLVDCIKDKQVKSVTCGPNITAVICLHKWASSADHSVCSGCHNPFGFRRKRHNCYNCGLVFCKACSSKKSLKAALAPNMNKPYRVCDECYAKLKKAAETSSALRSPTIKSGNIRHKANDVADRDTLVPMLRATLSRLSSFGSTNQSESKYPKQDRKPEVHDTRVFPMLNGQLQLGGFNLTKASTSLTGDSEKIISASIPASRKASRFTSPVSGKSSPRRSSDDILADSKLINGSLSQEIINLRTQVEDLTSKSQYLEAELQRTSKKLKEVSAIAADEAEKCKSAKEVIKSLTAQLKDMAERMPEGQIGSCNSGSMAGHAINFADQLSKDSHLTNITTPDLSNGNSMDRILANGTKGQTGKAERVLQDEPGVYITLCSLPDGGNELRRVRFREMVGLTLCAENSNVVLQSETFH >ONI33141 pep chromosome:Prunus_persica_NCBIv2:G1:35752985:35755128:-1 gene:PRUPE_1G408100 transcript:ONI33141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVTVPFRVGNSVCDNPNIATHMDVTRLKLMTDTAGLLSDSVTRGSSETVAAGEEDCNCSYLENEVSFVEVSVPKEDEEGEAPLLDMISQDGSNWVSAADEIARESEEDDSLSLEGDQILDSSCSLSVASESSSLCLEDFLVYEASPDIGTLTSVDVEKGICCVDVARASDLGDSKVETEITTEPLAMTVSLEKENRDGSDQKPSEVVQLPVETVVKETVSRSVFEVDYVPLWGFTSMIGRRPEMEDALATVPQLLKIPIQMLIGDRVLDGMSKCLNQTVHFFGVYDGHGGSQVANYCRDRAHLALVEEIESVKEGLIHESVKDNCQEQWRKAFTNCFHKVDAEVGGKASLEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRTKDDECLILASDGLWDVMSNEEVCDLARRRILLWHKKNGITPLTLERGEGIDPAAQAAAELLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >ONI33146 pep chromosome:Prunus_persica_NCBIv2:G1:35752985:35755128:-1 gene:PRUPE_1G408100 transcript:ONI33146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVTVPFRVGNSVCDNPNIATHMDVTRLKLMTDTAGLLSDSVTRGSSETVAAGEEDCNCSYLENEVSFVEVSVPKEDEEGEAPLLDMISQDGSNWVSAADEIARESEEDDSLSLEGDQILDSSCSLSVASESSSLCLEDFLVYEASPDIGTLTSVDVEKGICCVDVARASDLGDSKVETEITTEPLAMTVSLEKENRDGSDQKPSEVVQLPVETVVKETVSRSVFEVDYVPLWGFTSMIGRRPEMEDALATVPQLLKIPIQMLIGDRVLDGMSKCLNQTVHFFGVYDGHGGSQVANYCRDRAHLALVEEIESVKEGLIHESVKDNCQEQWRKAFTNCFHKVDAEVGGKASLEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRTKDDECLILASDGLWDVMSNEEVCDLARRRILLWHKKNGITPLTLERGEGIDPAAQAAAELLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >ONI33144 pep chromosome:Prunus_persica_NCBIv2:G1:35752985:35755128:-1 gene:PRUPE_1G408100 transcript:ONI33144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVTVPFRVGNSVCDNPNIATHMDVTRLKLMTDTAGLLSDSVTRGSSETVAAGEEDCNCSYLENEVSFVEVSVPKEDEEGEAPLLDMISQDGSNWVSAADEIARESEEDDSLSLEGDQILDSSCSLSVASESSSLCLEDFLVYEASPDIGTLTSVDVEKGICCVDVARASDLGDSKVETEITTEPLAMTVSLEKENRDGSDQKPSEVVQLPVETVVKETVSRSVFEVDYVPLWGFTSMIGRRPEMEDALATVPQLLKIPIQMLIGDRVLDGMSKCLNQTVHFFGVYDGHGGSQVANYCRDRAHLALVEEIESVKEGLIHESVKDNCQEQWRKAFTNCFHKVDAEVGGKASLEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRTKDDECLILASDGLWDVMSNEEVCDLARRRILLWHKKNGITPLTLERGEGIDPAAQAAAELLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >ONI33145 pep chromosome:Prunus_persica_NCBIv2:G1:35752328:35756270:-1 gene:PRUPE_1G408100 transcript:ONI33145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVTVPFRVGNSVCDNPNIATHMDVTRLKLMTDTAGLLSDSVTRGSSETVAAGEEDCNCSYLENEVSFVEVSVPKEDEEGEAPLLDMISQDGSNWVSAADEIARESEEDDSLSLEGDQILDSSCSLSVASESSSLCLEDFLVYEASPDIGTLTSVDVEKGICCVDVARASDLGDSKVETEITTEPLAMTVSLEKENRDGSDQKPSEVVQLPVETVVKETVSRSVFEVDYVPLWGFTSMIGRRPEMEDALATVPQLLKIPIQMLIGDRVLDGMSKCLNQTVHFFGVYDGHGGSQVANYCRDRAHLALVEEIESVKEGLIHESVKDNCQEQWRKAFTNCFHKVDAEVGGKASLEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRTKDDECLILASDGLWDVMSNEEVCDLARRRILLWHKKNGITPLTLERGEGIDPAAQAAAELLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >ONI33150 pep chromosome:Prunus_persica_NCBIv2:G1:35753328:35756244:-1 gene:PRUPE_1G408100 transcript:ONI33150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVTVPFRVGNSVCDNPNIATHMDVTRLKLMTDTAGLLSDSVTRGSSETVAAGEEDCNCSYLENEVSFVEVSVPKEDEEGEAPLLDMISQDGSNWVSAADEIARESEEDDSLSLEGDQILDSSCSLSVASESSSLCLEDFLVYEASPDIGTLTSVDVEKGICCVDVARASDLGDSKVETEITTEPLAMTVSLEKENRDGSDQKPSEVVQLPVETVVKETVSRSVFEVDYVPLWGFTSMIGRRPEMEDALATVPQLLKIPIQMLIGDRVLDGMSKCLNQTVHFFGVYDGHGGSQVANYCRDRAHLALVEEIESVKEGLIHESVKDNCQEQWRKAFTNCFHKVDAEVGGKASLEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGPNMICVFSGTNLFSNEGT >ONI33143 pep chromosome:Prunus_persica_NCBIv2:G1:35752324:35756244:-1 gene:PRUPE_1G408100 transcript:ONI33143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVTVPFRVGNSVCDNPNIATHMDVTRLKLMTDTAGLLSDSVTRGSSETVAAGEEDCNCSYLENEVSFVEVSVPKEDEEGEAPLLDMISQDGSNWVSAADEIARESEEDDSLSLEGDQILDSSCSLSVASESSSLCLEDFLVYEASPDIGTLTSVDVEKGICCVDVARASDLGDSKVETEITTEPLAMTVSLEKENRDGSDQKPSEVVQLPVETVVKETVSRSVFEVDYVPLWGFTSMIGRRPEMEDALATVPQLLKIPIQMLIGDRVLDGMSKCLNQTVHFFGVYDGHGGSQVANYCRDRAHLALVEEIESVKEGLIHESVKDNCQEQWRKAFTNCFHKVDAEVGGKASLEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRTKDDECLILASDGLWDVMSNEEVCDLARRRILLWHKKNGITPLTLERGEGIDPAAQAAAELLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >ONI33147 pep chromosome:Prunus_persica_NCBIv2:G1:35752985:35755128:-1 gene:PRUPE_1G408100 transcript:ONI33147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVTVPFRVGNSVCDNPNIATHMDVTRLKLMTDTAGLLSDSVTRGSSETVAAGEEDCNCSYLENEVSFVEVSVPKEDEEGEAPLLDMISQDGSNWVSAADEIARESEEDDSLSLEGDQILDSSCSLSVASESSSLCLEDFLVYEASPDIGTLTSVDVEKGICCVDVARASDLGDSKVETEITTEPLAMTVSLEKENRDGSDQKPSEVVQLPVETVVKETVSRSVFEVDYVPLWGFTSMIGRRPEMEDALATVPQLLKIPIQMLIGDRVLDGMSKCLNQTVHFFGVYDGHGGSQVANYCRDRAHLALVEEIESVKEGLIHESVKDNCQEQWRKAFTNCFHKVDAEVGGKASLEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGTNLFSNEGDRYLKPWIIPEPEVMFIPRTKDDECLILASDGLWDVMSNEEVCDLARRRILLWHKKNGITPLTLERGEGIDPAAQAAAELLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >ONI33142 pep chromosome:Prunus_persica_NCBIv2:G1:35752329:35756244:-1 gene:PRUPE_1G408100 transcript:ONI33142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVTVPFRVGNSVCDNPNIATHMDVTRLKLMTDTAGLLSDSVTRGSSETVAAGEEDCNCSYLENEVSFVEVSVPKEDEEGEAPLLDMISQDGSNWVSAADEIARESEEDDSLSLEGDQILDSSCSLSVASESSSLCLEDFLVYEASPDIGTLTSVDVEKGICCVDVARASDLGDSKVETEITTEPLAMTVSLEKENRDGSDQKPSEVVQLPVETVVKETVSRSVFEVDYVPLWGFTSMIGRRPEMEDALATVPQLLKIPIQMLIGDRVLDGMSKCLNQTVHFFGVYDGHGGSQVANYCRDRAHLALVEEIESVKEGLIHESVKDNCQEQWRKAFTNCFHKVDAEVGGKASLEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRTKDDECLILASDGLWDVMSNEEVCDLARRRILLWHKKNGITPLTLERGEGIDPAAQAAAELLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >ONI33140 pep chromosome:Prunus_persica_NCBIv2:G1:35752985:35755128:-1 gene:PRUPE_1G408100 transcript:ONI33140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVTVPFRVGNSVCDNPNIATHMDVTRLKLMTDTAGLLSDSVTRGSSETVAAGEEDCNCSYLENEVSFVEVSVPKEDEEGEAPLLDMISQDGSNWVSAADEIARESEEDDSLSLEGDQILDSSCSLSVASESSSLCLEDFLVYEASPDIGTLTSVDVEKGICCVDVARASDLGDSKVETEITTEPLAMTVSLEKENRDGSDQKPSEVVQLPVETVVKETVSRSVFEVDYVPLWGFTSMIGRRPEMEDALATVPQLLKIPIQMLIGDRVLDGMSKCLNQTVHFFGVYDGHGGSQVANYCRDRAHLALVEEIESVKEGLIHESVKDNCQEQWRKAFTNCFHKVDAEVGGKASLEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRTKDDECLILASDGLWDVMSNEEVCDLARRRILLWHKKNGITPLTLERGEGIDPAAQAAAELLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >ONI33148 pep chromosome:Prunus_persica_NCBIv2:G1:35752329:35756056:-1 gene:PRUPE_1G408100 transcript:ONI33148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSPAVTVPFRVGNSVCDNPNIATHMDVTRLKLMTDTAGLLSDSVTRGSSETVAAGEEDCNCSYLENEVSFVEVSVPKEDEEGEAPLLDMISQDGSNWVSAADEIARESEEDDSLSLEGDQILDSSCSLSVASESSSLCLEDFLVYEASPDIGTLTSVDVEKGICCVDVARASDLGDSKVETEITTEPLAMTVSLEKENRDGSDQKPSEVVQLPVETVVKETVSRSVFEVDYVPLWGFTSMIGRRPEMEDALATVPQLLKIPIQMLIGDRVLDGMSKCLNQTVHFFGVYDGHGGSQVANYCRDRAHLALVEEIESVKEGLIHESVKDNCQEQWRKAFTNCFHKVDAEVGGKASLEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGTNLFSNEGDRYLKPWIIPEPEVMFIPRTKDDECLILASDGLWDVMSNEEVCDLARRRILLWHKKNGITPLTLERGEGIDPAAQAAAELLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >ONI33149 pep chromosome:Prunus_persica_NCBIv2:G1:35752329:35756244:-1 gene:PRUPE_1G408100 transcript:ONI33149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQDGSNWVSAADEIARESEEDDSLSLEGDQILDSSCSLSVASESSSLCLEDFLVYEASPDIGTLTSVDVEKGICCVDVARASDLGDSKVETEITTEPLAMTVSLEKENRDGSDQKPSEVVQLPVETVVKETVSRSVFEVDYVPLWGFTSMIGRRPEMEDALATVPQLLKIPIQMLIGDRVLDGMSKCLNQTVHFFGVYDGHGGSQVANYCRDRAHLALVEEIESVKEGLIHESVKDNCQEQWRKAFTNCFHKVDAEVGGKASLEPVAPETVGSTAVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRTKDDECLILASDGLWDVMSNEEVCDLARRRILLWHKKNGITPLTLERGEGIDPAAQAAAELLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >ONI30461 pep chromosome:Prunus_persica_NCBIv2:G1:26385274:26391635:-1 gene:PRUPE_1G252900 transcript:ONI30461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSPKKNFPVDPKDYTLYEEVGQGVSATVYRALCIPLNIIVAIKVLDLEKCNNDLDVIRREVQTMILLDHPNLLKAHCSFTASRSLWIVTPYMAAGSFLHIMKSAHPDGFEQPVIATLLHGVLKAIAYLHAHGHIHRDVKAGNILVDTKGAVKLADFGVSASLFDTGNRQHARKTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKEIVAACLVKDPKKRPTAEKLLKHRFFKHAHNKEFIARTILDGLSPLGDRFRMLKQKEAEVFAQNEAIYGDKDHLSQQEYMRGISAWNFDLEDLKRQAALIEDDDGITIAENQDWSCKHRGEFGNSADVTDRDPINAHVDSFNFSRSEWKHPGPDEIEEIVDLSPSVQGKESSYSGLDNLEKSCSLLRNVNSEDPKFLSSLPPLKVDHSPSVSADEGSDHVTRHQKRYDSSSLHETAYKGRFKFTVPESGPKVAPNGITIPYFGESANSIVGTSASILPSLHCILQQNARQREETMRLVEVVDQITGNHTELAEAVTNNKHMQMSLASAREGVLEYHVIGIQNKIDKLVEELRIQKMKNAKLEGQLSAVIDKE >ONI30463 pep chromosome:Prunus_persica_NCBIv2:G1:26385277:26391635:-1 gene:PRUPE_1G252900 transcript:ONI30463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSPKKNFPVDPKDYTLYEEVGQGVSATVYRALCIPLNIIVAIKVLDLEKCNNDLDVIRREVQTMILLDHPNLLKAHCSFTASRSLWIVTPYMAAGSFLHIMKSAHPDGFEQPVIATLLHGVLKAIAYLHAHGHIHRDVKAGNILVDTKGAVKLADFGVSASLFDTGNRQHARKTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKEIVAACLVKDPKKRPTAEKLLKHRFFKHAHNKEFIARTILDGLSPLGDRFRMLKQKEAEVFAQNEAIYGDKDHLSQQEYMRGISAWNFDLEDLKRQAALIEDDDGITIAENQDWSCKHRGEFGNSADVTDRDPINAHVDSFNFSRSEWKHPGPDEIEEIVDLSPSVQGKESSYSGLDNLEKSCSLLRNVNSEDPKFLSSLPPLKVDHSPSVSADEGSDHVTRHQKRYDSSSLHAETAYKGRFKFTVPESGPKVAPNGITIPYFGESANSIVGTSASILPSLHCILQQNARQREETMRLVEVVDQITGNHTELAEAVTNNKHMQMSLASAREGVLEYHVIGIQNKIDKLVEELRIQKMKNAKLEGQLSAVIDKE >ONI30462 pep chromosome:Prunus_persica_NCBIv2:G1:26385127:26391635:-1 gene:PRUPE_1G252900 transcript:ONI30462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSPKKNFPVDPKDYTLYEEVGQGVSATVYRALCIPLNIIVAIKVLDLEKCNNDLDVIRREVQTMILLDHPNLLKAHCSFTASRSLWIVTPYMAAGSFLHIMKSAHPDGFEQPVIATLLHGVLKAIAYLHAHGHIHRDVKAGNILVDTKGAVKLADFGVSASLFDTGNRQHARKTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKEIVAACLVKDPKKRPTAEKLLKHRFFKHAHNKEFIARTILDGLSPLGDRFRMLKQKEAEVFAQNEAIYGDKDHLSQQEYMRGISAWNFDLEDLKRQAALIEDDDGITIAENQDWSCKHRGEFGNSADVTDRDPINAHVDSFNFSRSEWKHPGPDEIEEIVDLSPSVQGKESSYSGLDNLEKSCSLLRNVNSEDPKFLSSLPPLKVDHSPSVSADEGSDHVTRHQKRYDSSSLHETAYKGRFKFTVPESGPKVAPNGITIPYFGESANSIVGTSASILPSLHCILQQNARQREETMRLVEVVDQITGNHTELAEAVTNNKHMQMSLASAREGVLEYHVIGIQNKIDKLVEELRIQKMKNAKLEGQLSAVIDKE >ONI30465 pep chromosome:Prunus_persica_NCBIv2:G1:26385777:26391076:-1 gene:PRUPE_1G252900 transcript:ONI30465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSPKKNFPVDPKDYTLYEEVGQGVSATVYRALCIPLNIIVAIKVLDLEKCNNDLDVIRREVQTMILLDHPNLLKAHCSFTASRSLWIVTPYMAAGSFLHIMKSAHPDGFEQPVIATLLHGVLKAIAYLHAHGHIHRDVKAGNILVDTKGAVKLADFGVSASLFDTGNRQHARKTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKEIVAACLVKDPKKRPTAEKLLKHRFFKHAHNKEFIARTILDGLSPLGDRFRMLKQKEAEVFAQNEAIYGDKDHLSQQEYMRGISAWNFDLEDLKRQAALIEDDDGITIAENQDWSCKHRGEFGNSADVTDRDPINAHVDSFNFSRSEWKHPGPDEIEEIVDLSPSVQGKESSYSGLDNLEKSCSLLRNVNSEDPKFLSSLPPLKVDHSPSVSADEGSDHVTRHQKRYDSSSLHETAYKGRFKFTVPESGPKVAPNGITIPYFGESANSIVGTSASILPSLHCILQQNARQREETMRLVEVVDQITGNHTELAEAVTNNKHMQMSLASAREGVLEYHVIGIQNKYNRQTS >ONI30464 pep chromosome:Prunus_persica_NCBIv2:G1:26385487:26391076:-1 gene:PRUPE_1G252900 transcript:ONI30464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSPKKNFPVDPKDYTLYEEVGQGVSATVYRALCIPLNIIVAIKVLDLEKCNNDLDVIRREVQTMILLDHPNLLKAHCSFTASRSLWIVTPYMAAGSFLHIMKSAHPDGFEQPVIATLLHGVLKAIAYLHAHGHIHRDVKAGNILVDTKGAVKLADFGVSASLFDTGNRQHARKTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKEIVAACLVKDPKKRPTAEKLLKHRFFKHAHNKEFIARTILDGLSPLGDRFRMLKQKEAEVFAQNEAIYGDKDHLSQQEYMRGISAWNFDLEDLKRQAALIEDDDGITIAENQDWSCKHRGEFGNSADVTDRDPINAHVDSFNFSRSEWKHPGPDEIEEIVDLSPSVQGKESSYSGLDNLEKSCSLLRNVNSEDPKFLSSLPPLKVDHSPSVSADEGSDHVTRHQKRYDSSSLHAETAYKGRFKFTVPESGPKVAPNGITIPYFGESANSIVGTSASILPSLHCILQQNARQREETMRLVEVVDQITGNHTELAEAVTNNKHMQMSLASAREGVLEYHVIGIQNKIDKLVEELRIQKMKNAKLEGQLSAVIDKE >ONI26297 pep chromosome:Prunus_persica_NCBIv2:G1:1114404:1117192:-1 gene:PRUPE_1G015500 transcript:ONI26297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALFELEQHFRSKQVKLTPEERTLLLTCKSKAVREFTFGGLAAASVAWTASSKLNKLIRINLSGGAAALFGLWRFSRSLDSCVSHILSQDGSRMQAELATIMVRKYQNDPSRMRLISKQFYSEKVFDDATSDQPTIRWRYRNYFSDNITHGRETNDSDSYSNSQGDSDKDSHGNPNTTSGSDSQHTQNVSDKKWVKFDPKQAPVRILIE >ONI26292 pep chromosome:Prunus_persica_NCBIv2:G1:1113621:1117300:-1 gene:PRUPE_1G015500 transcript:ONI26292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALFELEQHFRSKQVKLTPEERTLLLTCKSKAVREFTFGGLAAASVAWTASSKLNKLIRINLSGGAAALFGLWRFSRSLDSCVSHILSQDGSRMQAELATIMVRKYQNDPSRMRLISKQFYSEKVFDDATSDQPTIRWRYRNYFSDNITHGRETNDSDSYSNSQGDSDKDSHGNPNTTSGSDSQHTQNVSDKKWVKFDPKQAPTNSGVDAMVDPLDCVFGSTTSEEILLPSTSSSSPKAHTRNRKRSHRRRRMHHQEDLPHYQQT >ONI26293 pep chromosome:Prunus_persica_NCBIv2:G1:1113621:1117299:-1 gene:PRUPE_1G015500 transcript:ONI26293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALFELEQHFRSKQVKLTPEERTLLLTCKSKAVREFTFGGLAAASVAWTASSKLNKLIRINLSGGAAALFGLWRFSRSLDSCVSHILSQDGSRMQAELATIMVRKYQNDPSRMRLISKQFYSEKVFDDATSDQPTIRWRYRNYFSDNITHGRETNDSDSYSNSQGDSDKDSHGNPNTTSGSDSQHTQNVSDKKWVKFDPKQAPKNDLELRRTRVLMQW >ONI26295 pep chromosome:Prunus_persica_NCBIv2:G1:1114344:1117192:-1 gene:PRUPE_1G015500 transcript:ONI26295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALFELEQHFRSKQVKLTPEERTLLLTCKSKAVREFTFGGLAAASVAWTASSKLNKLIRINLSGGAAALFGLWRFSRSLDSCVSHILSQDGSRMQAELATIMVRKYQNDPSRMRLISKQFYSEKVFDDATSDQPTIRWRYRNYFSDNITHGRETNDSDSYSNSQGDSDKDSHGNPNTTSGSDSQHTQNVSDKKWVKFDPKQAPQ >ONI26296 pep chromosome:Prunus_persica_NCBIv2:G1:1114404:1117192:-1 gene:PRUPE_1G015500 transcript:ONI26296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALFELEQHFRSKQVKLTPEERTLLLTCKSKAVREFTFGGLAAASVAWTASSKLNKLIRINLSGGAAALFGLWRFSRSLDSCVSHILSQDGSRMQAELATIMVRKYQNDPSRMRLISKQFYSEKVFDDATSDQPTIRWRYRNYFSDNITHGRETNDSDSYSNSQGDSDKDSHGNPNTTSGSDSQHTQNVSDKKWVKFDPKQAPVRILIE >ONI26294 pep chromosome:Prunus_persica_NCBIv2:G1:1114314:1117192:-1 gene:PRUPE_1G015500 transcript:ONI26294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALFELEQHFRSKQVKLTPEERTLLLTCKSKAVREFTFGGLAAASVAWTASSKLNKLIRINLSGGAAALFGLWRFSRSLDSCVSHILSQDGSRMQAELATIMVRKYQNDPSRMRLISKQFYSEKVFDDATSDQPTIRWRYRNYFSDNITHGRETNDSDSYSNSQGDSDKDSHGNPNTTSGSDSQHTQNVSDKKWVKFDPKQAPCRRMIWN >ONI33704 pep chromosome:Prunus_persica_NCBIv2:G1:37507569:37509405:1 gene:PRUPE_1G442000 transcript:ONI33704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVLDLMCQFLLQNSESCNFFFPCKSTGMDACLGILLILFSTADYKLRWVCFKKPCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVVSRLGFDFCSSFVFSGDSFGRGVEGLEAVRSIASFWFITKHTFVKKFQRGTIKRSSCEDITIICKGGGHNQEEIVDEVKGSSQATSS >ONI28329 pep chromosome:Prunus_persica_NCBIv2:G1:10851695:10857169:1 gene:PRUPE_1G138700 transcript:ONI28329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNERKTIDLEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYKESFEEYITSTVLPSLREKHDEFMLRELVKRWTNHKIMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQELNAKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGHMDHYENDFEADMLKDTAAYYSRKASNWILEDSCPDYMLKAEECLRREKDRVAHYLHSSSEPKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVDDLSRMFRLFSKIPRGLDPVSSIFKQHVTAEGTALVKQAEDAASNRKAEKKDVVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEIFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERCILTKLKQQCGGQFTSKMEGMVTDLTLAKENQASFEDYLNSNPQANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWMYSLGTCNISGKFEPKTIELIVTTYQASALLLFNTSDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKTLSPTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNLFRYLA >ONI28330 pep chromosome:Prunus_persica_NCBIv2:G1:10851304:10857216:1 gene:PRUPE_1G138700 transcript:ONI28330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNERKTIDLEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYKESFEEYITSTVLPSLREKHDEFMLRELVKRWTNHKIMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQELNAKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGHMDHYENDFEADMLKDTAAYYSRKASNWILEDSCPDYMLKAEECLRREKDRVAHYLHSSSEPKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVDDLSRMFRLFSKIPRGLDPVSSIFKQHVTAEGTALVKQAEDAASNRKAEKKDVVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEIFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERCILTKLKQQCGGQFTSKMEGMVTDLTLAKENQASFEDYLNSNPQANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWMYSLGTCNISGKFEPKTIELIVTTYQASALLLFNTSDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKTLSPTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNLFRYLA >ONI35745 pep chromosome:Prunus_persica_NCBIv2:G1:45126611:45134080:-1 gene:PRUPE_1G552200 transcript:ONI35745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNTNSTNGHSDRDLGLWFLEQSRLGSARVPTDMDEDEEAPTELNTINSSGGFLVVSPDKLSAKYTNVNLHGHDVGVVQANKPAPVKRLVYYFEIYVKDAGTKGQIAIGFTSESFKMRRQPGWEANSCGYHGDDGLLYRGHGKGEAFGPTYTSGDIVGGGINYASQEFFFTKNGAVVGTVPKDMKGPLFPTIAVHSQNEEVNVNFGQQPFAFDLKEFEAQERMKQQRMIEKFSLPTVSHGIVRSYLLHYGYEDTLNSFDMASKTTVPPVHIAQENGFDEQDVVFALTERKTLRQLIRNGEIDSALGKLREWYPQIVQDDKSATCFLLHCQKFIELVRVGALEEAVKYGRMELAKFFGLPVFEDLVQDCVALLAYERPQESSVGYLLEESQREVVADTVNAMILSTNPNLKDSQSCLHSYLERLLRQLTACCLERRLLSGDQGEAFHLHRVLNSCKKARC >ONI34298 pep chromosome:Prunus_persica_NCBIv2:G1:39349882:39354375:1 gene:PRUPE_1G473700 transcript:ONI34298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNTSNTGSGSRTPRRTFEFGRTHVVRPKGNHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPSRPVALFGGFPCTAWFDMGDISEDAPDDMEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCCVLGQYGNGNPYPVNLSAIVGLSGWLPCSRTLRNRMEASNEAARRAASLPTLLCHGLGDDVVAYGHGEKSAQVLSSAGFRNLMFRTYNGLGHYTIPEETDEVCTWLTASLGLEGSRSY >ONI34300 pep chromosome:Prunus_persica_NCBIv2:G1:39349325:39354375:1 gene:PRUPE_1G473700 transcript:ONI34300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNTSNTGSGSRTPRRTFEFGRTHVVRPKGNHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPSRPVALFGGFPCTAWFDMGDISEDAPDDMEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCCVLGQYGNGNPYPVNLSAIVGLSGWLPCSRTLRNRMEASNEAARRAASLPTLLCHGLGDDVVAYGHGEKSAQVLSSAGFRNLMFRTYNGLGHYTIPEETDEVCTWLTASLGLEGSRSY >ONI34302 pep chromosome:Prunus_persica_NCBIv2:G1:39349325:39354375:1 gene:PRUPE_1G473700 transcript:ONI34302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNTSNTGSGSRTPRRTFEFGRTHVVRPKGNHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPSRPVALFGGFPCTAWFDMGDISEDAPDDMEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCCVLGQYGNGNPYPVNLSAIVGLSGWLPCSRTLRNRMEASNEAARRAASLPTLLCHGLGDDVVAYGHGEKSAQVLSSAGFRNLMFRTYNGLGHYTIPEETDEVCTWLTASLGLEGSRSY >ONI34301 pep chromosome:Prunus_persica_NCBIv2:G1:39349325:39354375:1 gene:PRUPE_1G473700 transcript:ONI34301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNTSNTGSGSRTPRRTFEFGRTHVVRPKGNHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPSRPVALFGGFPCTAWFDMGDISEDAPDDMEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCCVLGQYGNGNPYPVNLSAIVGLSGWLPCSRTLRNRMEASNEAARRAASLPTLLCHGLGDDVVAYGHGEKSAQVLSSAGFRNLMFRTYNGLGHYTIPEETDEVCTWLTASLGLEGSRSY >ONI34299 pep chromosome:Prunus_persica_NCBIv2:G1:39347824:39354375:1 gene:PRUPE_1G473700 transcript:ONI34299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNTSNTGSGSRTPRRTFEFGRTHVVRPKGNHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPSRPVALFGGFPCTAWFDMGDISEDAPDDMEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCCVLGQYGNGNPYPVNLSAIVGLSGWLPCSRTLRNRMEASNEAARRAASLPTLLCHGLGDDVVAYGHGEKSAQVLSSAGFRNLMFRTYNGLGHYTIPEETDEVCTWLTASLGLEGSRSY >ONI35052 pep chromosome:Prunus_persica_NCBIv2:G1:42183765:42185378:1 gene:PRUPE_1G512400 transcript:ONI35052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLIVLFALCVLPALAVATRPMRTPFTVEGKVFCDPCRAGFETSATTYIPGATVRLECRDRKTMDIRYTKEGRTDSTGTYKIPVTEDHEDQFCDAVLVSSSQKDCAAAAPGRDRARVILTGYNGIASYNRFANAMGFMKNEAVSGCAQILKQLQEFDE >ONI28563 pep chromosome:Prunus_persica_NCBIv2:G1:11618679:11620592:-1 gene:PRUPE_1G148200 transcript:ONI28563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMHGYTEVGTNSVKGVEVDIESGETLYPGLSKGENQLRWGFIRKVYGILAAQMVLTTLISFVTVLYAPINDLLKGSPGILLFFAILPLILLWPLHVYQQKHPLNFVFLGLFTLCLSVTVGVAVGNTDGAIVLEALVLTSAVVASLTAYTFWASKKGKDFSFLGPVLFTGLIVLLVTGFMQMFFPLGSTTNAVYGAIGAVIFSGYIVYDTDNLIKRFTYDEYIWASITLYLDILNLFLTILRMLRQSNN >ONI28564 pep chromosome:Prunus_persica_NCBIv2:G1:11618689:11620566:-1 gene:PRUPE_1G148200 transcript:ONI28564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMHGYTEVGTNSVKGVEVDIESGETLYPGLSKGENQLRWGFIRKVYGILAAQMVLTTLISFVTVLYAPINDLLKGSPGILLFFAILPLILLWPLHVYQQKHPLNFVFLGLFTLCLSVTVGVAVGNTDGAIVLEALVLTSAVVASLTAYTFWASKKGKDFSFLGPVLFTGLIVLLVTGFMQMFFPLGSTTNAVYGAIGAVIFSGYIVYDTDNLIKRFTYDEYIWASITLYLDILNLFLTILRMLRQSNN >ONI33439 pep chromosome:Prunus_persica_NCBIv2:G1:36554410:36555781:-1 gene:PRUPE_1G424100 transcript:ONI33439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFEIPASFCPNIPEAEMGLSRVNESFFQHPSDALNSNVHYLSQESCYGNNNHGSAGIFTAILNQENYYFGNSCHVPVANDISASMDVYMNHHDHDGKSFGSFIPTFSDIVMEGSVSNKEDSGSDRLGFSDDSQPAAIAIPGEELHLKRVHDVAEDKSNNDKSDSNPKKKPRLSKDLHIIMQVQKTKKNNARSKKGEQANSDVKVKDEEESNTGADRQSTSSCSSEDDNGSQETNGGETSDPKTSSALNLNGKTRANRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMYAPIAYNGMDIGLSLQKMSPLL >ONI32337 pep chromosome:Prunus_persica_NCBIv2:G1:33302434:33305376:-1 gene:PRUPE_1G361900 transcript:ONI32337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADTGGLAMCSPQWVRQRQCSSNTICIFISYVNIFFVLFLCNIINITEAAAARITLPGNASVSAVIIFGDSIVDTGNNNNNFKTLARSNFPPYGKDLKGGMPTGRYSNGKVPSDLIVEALGIKELLPAYLDPTLQPKDLLTGVVIAAGGAGYDPLTAQVAGVASLSDQLKQFKEYIKKLKAIAGEERANFIISNSLIFVVAGTNDISNTYFLTGLRKLEYDVPSYTDLMLNYASVFVKNRCTQHTTYWMCTLSTNGCRRRAKRMRRETKPSIAALQFQTLCRGG >ONI32334 pep chromosome:Prunus_persica_NCBIv2:G1:33302434:33305643:-1 gene:PRUPE_1G361900 transcript:ONI32334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADTGGLAMCSPQWVRQRQCSSNTICIFISYVNIFFVLFLCNIINITEAAAARITLPGNASVSAVIIFGDSIVDTGNNNNNFKTLARSNFPPYGKDLKGGMPTGRYSNGKVPSDLIVEALGIKELLPAYLDPTLQPKDLLTGVVIAAGGAGYDPLTAQVAGVASLSDQLKQFKEYIKKLKAIAGEERANFIISNSLIFVVAGTNDISNTYFLTGLRKLEYDVPSYTDLMLNYASVFVKDLYGLGVRRIGVLNTPPIGCVPSQRTVAGGVLRECDEKQNQASQLFNSKLSAEVDDLNKNLPNSRVVYIDIYNPLLDLINNPSKYGFEVVNKGCCGTGIIEVTKLCNQIQPAGTCSDDSKYVFWDSYHPTERAYKIIVQHILDKYINFFF >ONI32336 pep chromosome:Prunus_persica_NCBIv2:G1:33302434:33305804:-1 gene:PRUPE_1G361900 transcript:ONI32336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPQWVRQRQCSSNTICIFISYVNIFFVLFLCNIINITEAAAARITLPGNASVSAVIIFGDSIVDTGNNNNNFKTLARSNFPPYGKDLKGGMPTGRYSNGKVPSDLIVEALGIKELLPAYLDPTLQPKDLLTGVVIAAGGAGYDPLTAQVAGVASLSDQLKQFKEYIKKLKAIAGEERANFIISNSLIFVVAGTNDISNTYFLTGLRKLEYDVPSYTDLMLNYASVFVKDLYGLGVRRIGVLNTPPIGCVPSQRTVAGGVLRECDEKQNQASQLFNSKLSAEVDDLNKNLPNSRVVYIDIYNPLLDLINNPSKYGFEVVNKGCCGTGIIEVTKLCNQIQPAGTCSDDSKYVFWDSYHPTERAYKIIVQHILDKYINFFF >ONI32335 pep chromosome:Prunus_persica_NCBIv2:G1:33303420:33305291:-1 gene:PRUPE_1G361900 transcript:ONI32335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADTGGLAMCSPQWVRQRQCSSNTICIFISYVNIFFVLFLCNIINITEAAAARITLPGNASVSAVIIFGDSIVDTGNNNNNFKTLARSNFPPYGKDLKGGMPTGRYSNGKVPSDLIVEALGIKELLPAYLDPTLQPKDLLTGVVIAAGGAGYDPLTAQVAVVASLSDQLKQFKEYIKKLKAIAGEERANFIISNSLIFVVAGTNDISNTYFLTGLRKLEYDVPSYTDLMLNYASVFVKDLYGLGVRRIGVLNTPPIGCVPSQRTVAGGVLRECDEKQNQASQLFNSKLSAEVDDLNKNLPNSRVVYIDIYNPLLDLINNPSKYGFEVVNKGCCGTGIIEVTKLCNQIQPAGTCSDDSKYVFWDSYHPTERAYKIIVQHILDKYINFFF >ONI27313 pep chromosome:Prunus_persica_NCBIv2:G1:5758276:5763590:1 gene:PRUPE_1G079100 transcript:ONI27313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSTSKLDDVEAVQLCKDRKRFIKQALEQRTRFASGHIAYIQSLKRVSAALRDYVEGDEPREFLLESFITPPFTPIKKTSPGFISLSPKSFTPTPIQSEPHSSVKICYLRSGGNPAVSVEERPQSPETARVETYSPIHHFGMDGFFGMQSSPMNSSFFSYSPNNRPNIPPPSPQNSQWDFFWNPFSSLDYYGYPTRSSLDQTVMDDEIRGLRQVREEEGIPDLEEVETEQEECENEANVAQEKDKVDLNCNREEVIIEDVNEEEEEEEEEMDSGTEIEHDAKIPSHSSVSIEVSRSQNTRQVETSNQATAVGHREAKEETPGFTVYVDRRPTSMAEVIKVLETQFMIVCNAANEVSALLEAGRAEYSSTSNELTAMKMLNPVALFRTASSRSASSRYLLNSSSSKDEGYESSSDISEEACMFTGSHQSTLDRLYAWEKKLYEEVKSGEKVRIAYEKKLTHLRNQDVKGDDYSALEKTRAAIRDLHTQMKVSIHSVEAISKRIETLRDEELQPQLSELVQGLARMWKVMAECHRSQKRSLDEAKVLLAGTPSKLEAKRHSSISITDPNRLARSAANLETELRNWRAYFESWIASQRSYVHALTGWLLRCMRADPDTSKLPLSPRRSNGALPIFGICIQWSRFLDAIHETPVLDGLDFFAAGMGSLYAQQLREDSRHVRVGSKRFGAGTPDEFSGDMKIVEVGQVEQVMTADKMAEVAIRVLCAGMSVTMSSLTEFSIASADGYAELVNQWDNAKA >ONI27312 pep chromosome:Prunus_persica_NCBIv2:G1:5759515:5763590:1 gene:PRUPE_1G079100 transcript:ONI27312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSTSKLDDVEAVQLCKDRKRFIKQALEQRTRFASGHIAYIQSLKRVSAALRDYVEGDEPREFLLESFITPPFTPIKKTSPGFISLSPKSFTPTPIQSEPHSSVKICYLRSGGNPAVSVEERPQSPETARVETYSPIHHFGMDGFFGMQSSPMNSSFFSYSPNNRPNIPPPSPQNSQWDFFWNPFSSLDYYGYPTRSSLDQTVMDDEIRGLRQVREEEGIPDLEEVETEQEECENEANVAQEKDKVDLNCNREEVIIEDVNEEEEEEEEEMDSGTEIEHDAKIPSHSSVSIEVSRSQNTRQVETSNQATAVGHREAKEETPGFTVYVDRRPTSMAEVIKVLETQFMIVCNAANEVSALLEAGRAEYSSTSNELTAMKMLNPVALFRTASSRSASSRYLLNSSSSKDEGYESSSDISEEACMFTGSHQSTLDRLYAWEKKLYEEVKSGEKVRIAYEKKLTHLRNQDVKGDDYSALEKTRAAIRDLHTQMKVSIHSVEAISKRIETLRDEELQPQLSELVQGLARMWKVMAECHRSQKRSLDEAKVLLAGTPSKLEAKRHSSISITDPNRLARSAANLETELRNWRAYFESWIASQRSYVHALTGWLLRCMRADPDTSKLPLSPRRSNGALPIFGICIQWSRFLDAIHETPVLDGLDFFAAGMGSLYAQQLREDSRHVRVGSKRFGAGTPDEFSGDMKIVEVGQVEQVMTADKMAEVAIRVLCAGMSVTMSSLTEFSIASADGYAELVNQWDNAKA >ONI28941 pep chromosome:Prunus_persica_NCBIv2:G1:14049502:14056716:1 gene:PRUPE_1G170500 transcript:ONI28941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQDLARTHSSGLDSHGVHVCHKCGWPFPNAHPSARHRRAHKRICGTIDGYKLAGSEKNTHVNDSDDEHSDEERKTPNLVSVRSEDVVFSDAVAEFSDSASGAGTGEGLENVRESEINLERVAKNGLNVIQSLNDGEITENTTNQLGAQELQGSLSTSNIISMTSSESALQDDSNHSEDDVQPIQFEVLADASEDSKKTHATDSVIESSLLSAPQEDKIDGITTSNLDGDLPCTVISPNKVVSETAEGVSKLEGTVEMTSDSLRDNEVVPSEKEYTHAYDLYIPQIDPSPEVESVEHVNASVDTVENKVDTTDRIHFSNSGGLTESSNWEGEGNANVHVLSVPDDTHVVENPEAMLEGFKNHKEKKLDQPIFLDSWEQFNDEENDVKRGGSSKPMVKEVPAEEEPSVSHIQIKSTENQRSDEIAAPADANESLQVNFSESDTNSLIASSSAAINQTRHLDDLDGNGKDEKCDLSADHSSKGPAEENSVKNPAEIEFNPAANLPEKGDAGDYEKGIFENCNIIGDSGYHETENFKLAGDDNSKESTEDFFAKDPVSNLESASRLSELQVSPVSSSHEVGDAGDYEKDKTERFDIIGDDGDHEKAEKYVLTGDDYRKVSTEKIVAENSITSLESASSLSELQANLATNLNDDDPGDHDGGEIEKSIISGNESSEGPVEKNLLVKPTLNLDSAIDLVESKTVAEDAVDGSMLKLVDTRHKTLNSSSNSSGVGLNIVSDHGPNAESSQKSSEGCGMKELGLSALDAESFVPSFTAEDIGSREFHGVSSGLSSQSFQEESDKNFVKQQLSSPALDVVDSNSQTDSLEGNWGSVSVLSIQSDAQAVPPTDSQTSVEEKKSRAASERQHPEKSDMFEAPSFMTLVEPRGVNDQKATAAEIHTAHNPEQPKPAPLQAGWFPSISHVVNESPGRKKNEEIIAKVTNWSTGKQHTPLKNLLGEAYLENKAKSPTQKESQAPAPQRDDKAVKVKDGGPGATTVNSILGPESPTGQASKKENAKEWNSPARYPSDIKSEKKKVKGRPYWAQFVCCSSVH >ONI28940 pep chromosome:Prunus_persica_NCBIv2:G1:14049502:14056716:1 gene:PRUPE_1G170500 transcript:ONI28940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQDLARTHSSGLDSHGVHVCHKCGWPFPNAHPSARHRRAHKRICGTIDGYKLAGSEKNTHVNDSDDEHSDEERKTPNLVSVRSEDVVFSDAVAEFSDSASGAGTGEGLENVRESEINLERVAKNGLNVIQSLNDGEITENTTNQLGAQELQGSLSTSNIISMTSSESALQDDSNHSEDDVQPIQFEVLADASEDSKKTHATDSVIESSLLSAPQEDKIDGITTSNLDGDLPCTVISPNKVVSETAEGVSKLEGTVEMTSDSLRDNEVVPSEKEYTHAYDLYIPQIDPSPEVESVEHVNASVDTVENKVDTTDRIHFSNSGGLTESSNWEGEGNANVHVLSVPDDTHVVENPEAMLEGFKNHKEKKLDQPIFLDSWEQFNDEENDVKRGGSSKPMVKEVPAEEEPSVSHIQIKSTENQRSDEIAAPADANESLQVNFSESDTNSLIASSSAAINQTRHLDDLDGNGKDEKCDLSADHSSKGPAEENSVKNPAEIEFNPAANLPEKGDAGDYEKGIFENCNIIGDSGYHETENFKLAGDDNSKESTEDFFAKDPVSNLESASRLSELQVSPVSSSHEVGDAGDYEKDKTERFDIIGDDGDHEKAEKYVLTGDDYRKVSTEKIVAENSITSLESASSLSELQANLATNLNDDDPGDHDGGEIEKSIISGNESSEGPVEKNLLVKPTLNLDSAIDLVESKTVAEDAVDGSMLKLVDTRHKTLNSSSNSSGVGLNIVSDHGPNAESSQKSSEGCGMKELGLSALDAESFVPSFTAEDIGSREFHGVSSGLSSQSFQEESDKNFVKQQLSSPALDVVDSNSQTDSLEGNWGSVSVLSIQSDAQAVPPTDSQTSVEEKKSRAASERQHPEKSDMFEAPSFMTLVEPRGVNDQKATAAEIHTAHNPEQPKPAPLQAGWFPSISHVVNESPGRKKNEEIIAKVTNWSTGKQHTPLKNLLGEAYLENKAKSPTQKESQAPAPQRDDKAVKVKDGGPGATTVNSILGPESPTGQASKKENAKEWNSPARYPSDIKSEKKKVKGRPYWAQFVCCSSVH >ONI36500 pep chromosome:Prunus_persica_NCBIv2:G1:47693185:47698738:1 gene:PRUPE_1G587300 transcript:ONI36500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRDRERENKEQNGGGPPCGQVRVLVVGDSGVIFVHDLSQRRTKTGLQRWAAEIAATGTFSAPLGSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPSAGGLIAAAKEARYDKEAVMKFFRMLIRRRYFSDDVPAQNPWSGSPVQKPAETVDENWSDEDHSYRNSSLRGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVSENYSLPRFSHTSYPEISSTGRSKRSDINV >ONI36497 pep chromosome:Prunus_persica_NCBIv2:G1:47692458:47699029:1 gene:PRUPE_1G587300 transcript:ONI36497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRDRERENKEQNGGGPPCGQVRVLVVGDSGVGKSSLVHLIVNGSSIARPPQTVGCTVGVKHTTYGNSGSSSSSIKGDAERDFFIELWDVPGHDRYKECRSLFYSQINGVIFVHDLSQRRTKTGLQRWAAEIAATGTFSAPLGSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPSAGGLIAAAKEARYDKEAVMKFFRMLIRRRYFSDDVPAQNPWSGSPVQKPAETVDENWSDEDHSYRNSSLRGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVSENYSLPRFSHTSYPEISSTGRSKRSDINV >ONI36501 pep chromosome:Prunus_persica_NCBIv2:G1:47692451:47699029:1 gene:PRUPE_1G587300 transcript:ONI36501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRDRERENKEQNGGGPPCGQVRVLVVGDSGVIFVHDLSQRRTKTGLQRWAAEIAATGTFSAPLGSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPSAGGLIALIRRRYFSDDVPAQNPWSGSPVQKPAETVDENWSDEDHSYRNSSLRGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVSENYSLPRFSHTSYPEISSTGRSKRSDINV >ONI36493 pep chromosome:Prunus_persica_NCBIv2:G1:47692448:47699029:1 gene:PRUPE_1G587300 transcript:ONI36493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSCVEGVGKSSLVHLIVNGSSIARPPQTVGCTVGVKHTTYGNSGSSSSSIKGDAERDFFIELWDVPGHDRYKECRSLFYSQINGVIFVHDLSQRRTKTGLQRWAAEIAATGTFSAPLGSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPSAGGLIAAAKEARYDKEAVMKFFRMLIRRRYFSDDVPAQNPWSGSPVQKPAETVDENWSDEDHSYRNSSLRGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVSENYSLPRFSHTSYPEISSTGRSKRSDINV >ONI36499 pep chromosome:Prunus_persica_NCBIv2:G1:47692458:47699029:1 gene:PRUPE_1G587300 transcript:ONI36499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRDRERENKEQNGGGPPCGQVRVLVVGDSGVIFVHDLSQRRTKTGLQRWAAEIAATGTFSAPLGSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPSAGGLIAAAKEARYDKEAVMKFFRMLIRRRYFSDDVPAQNPWSGSPVQKPAETVDENWSDEDHSYRNSSLRGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVSENYSLPRFSHTSYPEISSTGRSKRSDINV >ONI36498 pep chromosome:Prunus_persica_NCBIv2:G1:47692451:47699029:1 gene:PRUPE_1G587300 transcript:ONI36498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRDRERENKEQNGGGPPCGQVRVLVVGDSGVGKSSLVHLIVNGSSIARPPQTVGCTVGVKHTTYGNSGSSSSSIKGDAERDFFIELWDVPGHDRYKECRSLFYSQINGVIFVHDLSQRRTKTGLQRWAAEIAATGTFSAPLGSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPSAGGLIALIRRRYFSDDVPAQNPWSGSPVQKPAETVDENWSDEDHSYRNSSLRGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVSENYSLPRFSHTSYPEISSTGRSKRSDINV >ONI36496 pep chromosome:Prunus_persica_NCBIv2:G1:47692458:47699029:1 gene:PRUPE_1G587300 transcript:ONI36496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRDRERENKEQNGGGPPCGQVRVLVVGDSGVGKSSLVHLIVNGSSIARPPQTVGCTVGVKHTTYGNSGSSSSSIKGDAERDFFIELWDVPGHDRYKECRSLFYSQINGVIFVHDLSQRRTKTGLQRWAAEIAATGTFSAPLGSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPSAGGLIAAAKEARYDKEAVMKFFRMLIRRRYFSDDVPAQNPWSGSPVQKPAETVDENWSDEDHSYRNSSLRGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVSENYSLPRFSHTSYPEISSTGRSKRSDINV >ONI36494 pep chromosome:Prunus_persica_NCBIv2:G1:47692448:47699029:1 gene:PRUPE_1G587300 transcript:ONI36494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSCVEGVIFVHDLSQRRTKTGLQRWAAEIAATGTFSAPLGSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPSAGGLIAAAKEARYDKEAVMKFFRMLIRRRYFSDDVPAQNPWSGSPVQKPAETVDENWSDEDHSYRNSSLRGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVSENYSLPRFSHTSYPEISSTGRSKRSDINV >ONI36495 pep chromosome:Prunus_persica_NCBIv2:G1:47693185:47698738:1 gene:PRUPE_1G587300 transcript:ONI36495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRDRERENKEQNGGGPPCGQVRVLVVGDSGVGKSSLVHLIVNGSSIARPPQTVGCTVGVKHTTYGNSGSSSSSIKGDAERDFFIELWDVPGHDRYKECRSLFYSQINGVIFVHDLSQRRTKTGLQRWAAEIAATGTFSAPLGSGGPGGLPVPYIVIGNKADIAAKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPSAGGLIAAAKEARYDKEAVMKFFRMLIRRRYFSDDVPAQNPWSGSPVQKPAETVDENWSDEDHSYRNSSLRGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVSENYSLPRFSHTSYPEISSTGRSKRSDINV >ONI27479 pep chromosome:Prunus_persica_NCBIv2:G1:6690160:6695784:1 gene:PRUPE_1G089400 transcript:ONI27479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKRRVWSDSAPLLGQQHSQQKSQHQYQPRSVSLEMTTSAVPATARSVFLGIDVGTGSARAGLFDENGKLLGSSSSPIQIWKDGDCVEQSSTDIWHAISAAVKAACSLAEVSKEEVKGMGFAATCSLVAVDAEGSPVTVSWSGDSRRNIIVWMDHRAVEQAERINSRNSTVLQYCGGALSPEMQPPKLLWVKENLPDSWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMHQNNEKDSRDMEACGWDDDFWEEIGLSDLVDGHHAKIGRSVAFPGHPLGSGLTSTAAKELGLVAGIPVGTSLIDAHAGGVGVMESVPLSDSEPKESDKEALCRRMVLVCGTSTCHMAISQNKLFIPGVWGPYWSAMVPEHWLTEGGQSATGALLDYIVENHVASPHLANRAASQRISLFELLNKILETMMLDLKRPFLASLTEDMHVLPDFHGNRSPIADPKAKGMLCGLTLDTSEKQLALQYLATVQGIAYGTRHIVEHCNAHGHEIDTILACGGLVKNALFIQEHADIIGCPIILPRESEPVLLGAAILGAVAARKYCSLHEAMKALNAAGHPSIQRP >ONI27478 pep chromosome:Prunus_persica_NCBIv2:G1:6690069:6695852:1 gene:PRUPE_1G089400 transcript:ONI27478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKRRVWSDSAPLLGQQHSQQKSQHQYQPRSVSLEMTTSAVPATARSVFLGIDVGTGSARAGLFDENGKLLGSSSSPIQIWKDGDCVEQSSTDIWHAISAAVKAACSLAEVSKEEVKGMGFAATCSLVAVDAEGSPVTVSWSGDSRRNIIVWMDHRAVEQAERINSRNSTVLQYCGGALSPEMQPPKLLWVKENLPDSWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMHQNNEKDSRDMEACGWDDDFWEEIGLSDLVDGHHAKIGRSVAFPGHPLGSGLTSTAAKELGLVAGIPVGTSLIDAHAGGVGVMESVPLSDSEPKESDKEALCRRMVLVCGTSTCHMAISQNKLFIPGVWGPYWSAMVPEHWLTEGGQSATGALLDYIVENHVASPHLANRAASQRISLFELLNKILETMMLDLKRPFLASLTEDMHVLPDFHGNRSPIADPKAKGMLCGLTLDTSEKQLALQYLATVQGIAYGTRHIVEHCNAHGHEIDTILACGGLVKNALFIQEHADIIGCPIILPRESEPVLLGAAILGAVAARKYCSLHEAMKALNAAGQVIHPSKDPKVKKYHDAKYRIFRELYEQQLSHRSLMAEALA >ONI35905 pep chromosome:Prunus_persica_NCBIv2:G1:45698046:45701346:-1 gene:PRUPE_1G559800 transcript:ONI35905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEFQEKIDFKDRDTFECLFKEYWEIIKGKEGLSLDDVYSADVDSIKIGESEEDIDLTTPNSDADSTELQRSMGKRKAPKEQEYLGWGSKPLIDFLRSIGKDTTKQLSQYDLDSIIFAYIRDRNLFHPEKKRKVLCDDKLYSIFRKKSLDRIKIYGLLGAHISENLVLLDENTSEDEDENKLEDKKKDTMIVGKKGTVSSDITSLENEVSPSVRQSRFASLVTDNIKLLYLRRSLLEELLKQPENSESKIQGSFVRVKNDQRDYLQRNSHQLLQVEGIRKISSTNEMNGEILLQVSNIPRDIPIFMLSDVDFTEDECEDLRQNVTNGMLRKPTVVELQQKARDLHEDITKHRIERELVRLQKYIDRANEKGWRRELCEYLDQRELLKQPSEQARLLKQVPKVIAEVLEDESRSVDSIEVDKQGNLE >ONI35906 pep chromosome:Prunus_persica_NCBIv2:G1:45698046:45701737:-1 gene:PRUPE_1G559800 transcript:ONI35906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKAPKEQEYLGWGSKPLIDFLRSIGKDTTKQLSQYDLDSIIFAYIRDRNLFHPEKKRKVLCDDKLYSIFRKKSLDRIKIYGLLGAHISENLVLLDENTSEDEDENKLEDKKKDTMIVGKKGTVSSDITSLENEVSPSVRQSRFASLVTDNIKLLYLRRSLLEELLKQPENSESKIQGSFVRVKNDQRDYLQRNSHQLLQVEGIRKISSTNEMNGEILLQVSNIPRDIPIFMLSDVDFTEDECEDLRQNVTNGMLRKPTVVELQQKARDLHEDITKHRIERELVRLQKYIDRANEKGWRRELCEYLDQRELLKQPSEQARLLKQVPKVIAEVLEDESRSVDSIEVDKQGNLE >ONI35903 pep chromosome:Prunus_persica_NCBIv2:G1:45698266:45704407:-1 gene:PRUPE_1G559800 transcript:ONI35903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTWKRQRKEEKMVSNEEREAEDWCFICKDGGDLMLCDYKDCVKVYHPGCVGKKKSFIDTGKSWTCRKHSCSLCLDTPRFCCYCCPNSVCQHCISGSEFTVVRGKKGFCKYCLKLVLLAEENSEYGLDGEKIDFKDRDTFECLFKEYWEIIKGKEGLSLDDVYSADVDSIKIGESEEDIDLTTPNSDADSTELQRSMGKRKAPKEQEYLGWGSKPLIDFLRSIGKDTTKQLSQYDLDSIIFAYIRDRNLFHPEKKRKVLCDDKLYSIFRKKSLDRIKIYGLLGAHISENLVLLDENTSEDEDENKLEDKKKDTMIVGKKGTVSSDITSLENEVSPSVRQSRFASLVTDNIKLLYLRRSLLEELLKQPENSESKIQGSFVRVKNDQRDYLQRNSHQLLQVEGIRKISSTNEMNGEILLQVSNIPRDIPIFMLSDVDFTEDECEDLRQNVTNGMLRKPTVVELQQKARDLHEDITKHRIERELVRLQKYIDRANEKGWRRELCEYLDQRELLKQPSEQARLLKQVPKVIAEVLEDESRSVDSIEVDKQGNLE >ONI35904 pep chromosome:Prunus_persica_NCBIv2:G1:45698046:45704844:-1 gene:PRUPE_1G559800 transcript:ONI35904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETSCYVTTRKHSCSLCLDTPRFCCYCCPNSVCQHCISGSEFTVVRGKKGFCKYCLKLVLLAEENSEYGLDGEKIDFKDRDTFECLFKEYWEIIKGKEGLSLDDVYSADVDSIKIGESEEDIDLTTPNSDADSTELQRSMGKRKAPKEQEYLGWGSKPLIDFLRSIGKDTTKQLSQYDLDSIIFAYIRDRNLFHPEKKRKVLCDDKLYSIFRKKSLDRIKIYGLLGAHISENLVLLDENTSEDEDENKLEDKKKDTMIVGKKGTVSSDITSLENEVSPSVRQSRFASLVTDNIKLLYLRRSLLEELLKQPENSESKIQGSFVRVKNDQRDYLQRNSHQLLQVEGIRKISSTNEMNGEILLQVSNIPRDIPIFMLSDVDFTEDECEDLRQNVTNGMLRKPTVVELQQKARDLHEDITKHRIERELVRLQKYIDRANEKGWRRELCEYLDQRELLKQPSEQARLLKQVPKVIAEVLEDESRSVDSIEVDKQGNLE >ONI27132 pep chromosome:Prunus_persica_NCBIv2:G1:4954633:4956700:1 gene:PRUPE_1G069700 transcript:ONI27132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASQNLEIQNQSTNMEAETATEEGLSLIKQLASCNKSGRDRALRVLLKTWLPTQLNLHDDHMKKLWKGLFYCVWHADKVPVQTQLIDRLSSLVLSLHLPLSIHYFSAFLLTMRREWSGIDALRLDKFYLLIRRFMASFFALMRNNLWDLELVKRLMGVLEEVTFFADDKFLGNGVNYHIASVFLEELRPYLPLKAEVLEVVLVSFISVSAKVPDKVLLGKIRSNMFDALLGAGKRLLEVKKLGEDVGSGDEVMVFGSIALTMGFSSKFYELGSSPECCQGNRKVLFGLHEEFLKLEKELESSGIEISIPDVVYNDDEVVPTLVPLAGEEMEVGNSEPSEVVMVNGSAEKLLKKCKNREKDTGAADTKAEKKKKKKKKNKKENGILDSDSEKSSTDRENENVVANGESSDNQQATDENAVKLDDNVISNLQMQFEKIAAEAGLDGDVPSACDLPTASDKGPVSKKRKRVKKAVEEDVEGGTNAKSGEKSAKKVKFSMKSNLVWKPQSPLPPQDLRVPPSATPRGSALKKGVPPGPIREMPLPTKKVKMVRVVTAKKARKAIKRIKKLKSRPT >ONI27133 pep chromosome:Prunus_persica_NCBIv2:G1:4954783:4956654:1 gene:PRUPE_1G069700 transcript:ONI27133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASQNLEIQNQSTNMEAETATEEGLSLIKQLASCNKSGRDRALRVLLKTWLPTQLNLHDDHMKKLWKGLFYCVWHADKVPVQTQLIDRLSSLVLSLHLPLSIHYFSAFLLTMRREWSGIDALRLDKFYLLIRRFMASFFALMRNNLWDLELVKRLMGVLEEVTFFADDKFLGNGVNYHIASVFLEELRPYLPLKAEVLEVVLVSFISVSAKVPDKVLLGKIRSNMFDALLGAGKRLLEVKKLGEDVGSGDEVMVFGSIALTMGFSSKFYELGSSPECCQGNRKVLFGLHEEFLKLEKELESSGIEISIPDVVYNDDEVVPTLVPLAGEEMEVGNSEPSEVVMVNGSAEKLLKKCKNREKDTGAADTKAEKKKKKKKKNKKENGILDSDSEKSSTDRENENVVANDAV >ONI30735 pep chromosome:Prunus_persica_NCBIv2:G1:27776077:27777032:1 gene:PRUPE_1G270400 transcript:ONI30735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATSDPPLNSSMQTVQLSLSLTQTFLLFLSRPSSSQHDASLSSGPNRTLFSTVLIAESLAGTMPSLLLLLITISSNCVHDSQRLLTCSVLIYTHNPNTLRPMLLSLLPSMRPCTIILSTIYLLDALSLLIPTSASMIERIPCASRKFFNLSMSNWHFLSFLSTYSLMVVSVRFKERLTYL >ONI31395 pep chromosome:Prunus_persica_NCBIv2:G1:30153357:30158652:1 gene:PRUPE_1G309900 transcript:ONI31395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLCLSSLLLVLLVLCFSGVSSADTAVNPTIKGKGYRLVSIEETPDGGLLGHLQLIQNSKVYGPDIPLLQLYVKHETQDRLRVHITDAQKQRWEVPYNLLPREQPPSLTQTIGKTGKKPITVSEYSGSELIFNYISDPFGFAVKRKSDGQVLFNSSSDPKDPYGELVFKDQYLEISTSLPKDASLYGLGENSQPHGIKLYPNDPYTLFTTDVSAINLNTDLYGSHPVYMDLRNVGGQAYAHSVLLLNSNGMDVFYRGTSLTYKVIGGVFDFYFFAGPTPLGVVDQYTSFVGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVENYKKAKIPLDVIWNDDDHMDGHKDFTLNPKNYPRPKLLAFLDKIHSIGMKYIVIIDPGIGVNTSYGVYQRGLANDVFIKYEGEPFLAQVWPGAVNFPDFLNPKTVSWWGDEIRRFHELVPVDGLWIDMNEASNFCSGKCKIPKGQCPTGSGPGWVCCLDCKNITKTRWDEPPYKINASGLQVPIGFKTIATSATHYNGVLEYDAHSLYGFSQSIATHKALQGLEGKRPFILTRSTYVGSGRYAAHWTGDNKGTWEDLKISITTVLNFGIFGVPMVGADICGFYPAPTEELCNRWIEVGAFYPFSRDHANFYSPRQELYQWESVAESARNALGMRYKLLPYLYTLNYEAHISGAPIARPLFFSFPTYTETYGLSTQFLLGSSVMISPVLEQGKSKVKALFPPGTWYSLFDLTQVINSKQGKYVTLDAPLHVVNVHVYQNTILPMQQGGLISKAARTTPFNLVVTFPAGASNATAKGNLFLDDDELPEMNLGSGYSTYVDFYATVSQGSVKVWSEVQEGKFALGKGWVVEKVSVLGLDGSGGTSALEVDGNPVTSVSSIELSVSEQKYLEEAEDGETTKSVMVDVNGLSLPVGKNFALSWKMGIKA >ONI26142 pep chromosome:Prunus_persica_NCBIv2:G1:550735:557222:1 gene:PRUPE_1G006500 transcript:ONI26142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVSSASGLLAMLNETHPLLKLHALSNLNKLVDGFWPEISTSVPIIESLYEDEEFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGSLFDVSEDSYYVHTLLAKAIDEYASLKSKAAESNVEAANVDPRLEAIVERMLNKCIMDGRYQQAMGIAIECRRLDKLEEAITKSDNVQGTLSYCINVSHSFVNLREYRHEVLRLLVKVYQKLPSPDYLSICQCLMFLDEPEGVASILENLLRSENKDDALLAFQIAFDLIENEHQAFLLNVRNRLSPPKIQPSESAQPESAQPESAQPQSSEAAQSESNAAQGESNAAQSESNAAQNESSAEDVQMTDGSSTSNATVHEDPKEVIYSERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLSALGTADEEIYDDCKSVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVVGLAVFSQFWYWYPLIYFLSLSFSPTALIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTVPTTTSAVKLPTAVLSTSAKATKARAKKEADQKANAEKLSGAESSYAHSGKGKSSSEKDGDSMQVSTQLRRNQSRSLRLRF >ONI26141 pep chromosome:Prunus_persica_NCBIv2:G1:550533:557246:1 gene:PRUPE_1G006500 transcript:ONI26141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVSSASGLLAMLNETHPLLKLHALSNLNKLVDGFWPEISTSVPIIESLYEDEEFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGSLFDVSEDSYYVHTLLAKAIDEYASLKSKAAESNVEAANVDPRLEAIVERMLNKCIMDGRYQQAMGIAIECRRLDKLEEAITKSDNVQGTLSYCINVSHSFVNLREYRHEVLRLLVKVYQKLPSPDYLSICQCLMFLDEPEGVASILENLLRSENKDDALLAFQIAFDLIENEHQAFLLNVRNRLSPPKIQPSESAQPESAQPESAQPQSSEAAQSESNAAQGESNAAQSESNAAQNESSAEDVQMTDGSSTSNATVHEDPKEVIYSERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLSALGTADEEIYDDCKSVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVVGLAVFSQFWYWYPLIYFLSLSFSPTALIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTVPTTTSAVKLPTAVLSTSAKATKARAKKEADQKANAEKLSGAESSYAHSGKGKSSSEKDGDSMQVDSSVEKKSEPEPSFEILTNPARVVPAQEQYIKFLEGSRYEPIKLAPSGFVLLRDLKPTEPEVLSLTDTPSSTTSAAGGSATGQPASASAMAVDEEPQPPQAFEYTS >ONI27857 pep chromosome:Prunus_persica_NCBIv2:G1:8681959:8682539:-1 gene:PRUPE_1G108500 transcript:ONI27857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISQLQEKVNTIATIAFTTIGTLQRDAPPVRISPNYPESGSGPAPAAAPNPNPTPTPTPTPIADSDADFAKQPKLMSAELVKAAKQFDALVAALPLSEGGEEAQLKRIAQLEAENDAVGQQLEKQLEAAGDESFHLVTFHTVFNFLQFYDIIDIVDCF >ONI27853 pep chromosome:Prunus_persica_NCBIv2:G1:8680115:8682670:-1 gene:PRUPE_1G108500 transcript:ONI27853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISQLQEKVNTIATIAFTTIGTLQRDAPPVRISPNYPESGSGPAPAAAPNPNPTPTPTPTPIADSDADFAKQPKLMSAELVKAAKQFDALVAALPLSEGGEEAQLKRIAQLEAENDAVGQQLEKQLEAAVLFPEIL >ONI27856 pep chromosome:Prunus_persica_NCBIv2:G1:8681177:8682572:-1 gene:PRUPE_1G108500 transcript:ONI27856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISQLQEKVNTIATIAFTTIGTLQRDAPPVRISPNYPESGSGPAPAAAPNPNPTPTPTPTPIADSDADFAKQPKLMSAELVKAAKQFDALVAALPLSEGGEEAQLKRIAQLEAENDAVGQQLEKQLEAAERELQEVRELFGQAADHCLNLKKPE >ONI27854 pep chromosome:Prunus_persica_NCBIv2:G1:8680214:8682670:-1 gene:PRUPE_1G108500 transcript:ONI27854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISQLQEKVNTIATIAFTTIGTLQRDAPPVRISPNYPESGSGPAPAAAPNPNPTPTPTPTPIADSDADFAKQPKLMSAELVKAAKQFDALVAALPLSEGGEEAQLKRIAQLEAENDAVGQQLEKQLEAAVNGRIFFHLPSLLPFKSSHQIREGAYANDHYGR >ONI27855 pep chromosome:Prunus_persica_NCBIv2:G1:8680214:8682624:-1 gene:PRUPE_1G108500 transcript:ONI27855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISQLQEKVNTIATIAFTTIGTLQRDAPPVRISPNYPESGSGPAPAAAPNPNPTPTPTPTPIADSDADFAKQPKLMSAELVKAAKQFDALVAALPLSEGGEEAQLKRIAQLEAENDAVGQQLEKQLEAADQRRGLRK >ONI26976 pep chromosome:Prunus_persica_NCBIv2:G1:4237320:4239560:-1 gene:PRUPE_1G059900 transcript:ONI26976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEIQPQPFPGNENEGNDHLNSNHFQQSPVVSPVDHDSNVPYDISVDRILLCCTSPMHILQHVTYNSENSMKNVLVLVRIDNALVFDRGHVLS >ONI26975 pep chromosome:Prunus_persica_NCBIv2:G1:4237288:4239560:-1 gene:PRUPE_1G059900 transcript:ONI26975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEIQPQPFPGNENEGNDHLNSNHFQQSPVVSPVDHDSNVPYDISVDRILLCCTSPMHILQHVTYNSENSMKNVLVLVRIDNALVFDRGHVLS >ONI31553 pep chromosome:Prunus_persica_NCBIv2:G1:30659385:30666271:-1 gene:PRUPE_1G319400 transcript:ONI31553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFQVAKTGTRYKPKVLPEEDKDNDEDDSVSDSQERDDEVHSIGMGAKVADPSTVLVNFRQHSVSDDFEVSFSLKLFQNGFSVGKATEFLNDIPEHLHPYDRVSETLFSAIECGWLPGDMFDGLPCKYVNGVILCEIQDYRGCFIQGRAANSTKKIPTVHRVLLHMRMENVVKDILSISDASWTYSDILEVESHILKALQPDLHLNPQPLQGIHSGQPLSKKVDLGIMWSWKKRKLSNASNTDLDFSNSSRLTSIPVNCATQSCSSLFGLSYQERGVTFSEHNVSTSAVNPQENNVLLENSVSNPSTSKPISKVEDSCNQSSLTVMTTSNFVSLPKRSLNDSNNDLNKPSASVLSKIVRCDSQAVQGPLLKKPKQEPIDSSYEQLTGSQTGNSLLPYLQWKNKLLPQRIGEGKVPHERSQEKVRPSRVINNDQTRRFQGISELQAGMATSNVKLEPDKNNFLSSYFRKIKEGHFVMDRMLVHSNLAQSQNQQSSTLFGDNIPADTSWKNMGQPVDKNIQNRSVTHMRKDLVKCQVTTHGRCGSASSPNINSLPTEVSVPAKQKTNSGPKRSRKKAVDSSASTSNISQPSELKVEPVLERFLKIQAVTERYGLHDRKCKLDTSVQRRPSFPITKLVAFQLLSSKDNQNWDNTSTDKNSLTECSMDWGLGMNRRVLTFLRQSHIPQENGIPIVDRKAQVRLVISEKLNEGSVEASVFYGIEKDVFSIDYPLLPAFTSTNSADLLATEFTTLMKREGYYLSSDHIEPTPLKADGGLSNQRSASIRIGTPSAGTIELPSSTLTPGISPSRLSLVSMQLPSQNIFSGGQSLHSENIWAALANSCVSKLPFTIAAQTNCMMPQVQELLNRRAYLVHQMRQRKLQQNEIRQSRLELGGSGTSVGGGMTEQGGRDQGLGNAGLGSFGNVTGMRSSTSPRALGGQMPRAGNVGQFNNLGCGTSGLDDSKPLPDLMSDRSTGLSSKLRAAEGQGMKALMSRAPVQKKAGHGVPMDISNIPNLASKLSKEQQLQPQVHQPIQQPQKDMVPPLLQHVSSPQSQVSLRQQFDQQSQVSQQLSPAPAPHQVNGTVGHGSPERSSQTHGSVGSIKTEAP >ONI31552 pep chromosome:Prunus_persica_NCBIv2:G1:30659385:30666182:-1 gene:PRUPE_1G319400 transcript:ONI31552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFQVAKTGTRYKPKVLPEEDKDNDEDDSVSDSQERDDEVHSIGMGAKVADPSTVLVNFRQHSVSDDFEVSFSLKLFQNGFSVGKATEFLNDIPEHLHPYDRVSETLFSAIECGWLPGDMFDGLPCKYVNGVILCEIQDYRGCFIQGRAANSTKKIPTVHRVLLHMRMENVVKDILSISDASWTYSDILEVESHILKALQPDLHLNPQPLQGIHSGQPLSKKVDLGIMWSWKKRKLSNASNTDLDFSNSSRLTSIPVNCATQSCSSLFGLSYQERGVTFSEHNVSTSAVNPQENNVLLENSVSNPSTSKPISKVEDSCNQSSLTVMTTSNFVSLPKRSLNDSNNDLNKPSASVLSKIVRCDSQAVQGPLLKKPKQEPIDSSYEQLTGSQTGNSLLPYLQWKNKLLPQRIGEGKVPHERSQEKVRPSRVINNDQTRRFQGISELQAGMATSNVKLEPDKNNFLSSYFRKIKEGHFVMDRMLVHSNLAQSQNQQSSTLFGDNIPADTSWKNMGQPVDKNIQNRSVTHMRKDLVKCQVTTHGRCGSASSPNINSLPTEVSVPAKQKTNSGPKRSRKKAVDSSASTSNISQPSELKVEPVLERFLKIQAVTERKCKLDTSVQRRPSFPITKLVAFQLLSSKDNQNWDNTSTDKNSLTECSMDWGLGMNRRVLTFLRQSHIPQENGIPIVDRKAQVRLVISEKLNEGSVEASVFYGIEKDVFSIDYPLLPAFTSTNSADLLATEFTTLMKREGYYLSSDHIEPTPLKADGGLSNQRSASIRIGTPSAGTIELPSSTLTPGISPSRLSLVSMQLPSQNIFSGGQSLHSENIWAALANSCVSKLPFTIAAQTNCMMPQVQELLNRRAYLVHQMRQRKLQQNEIRQSRLELGGSGTSVGGGMTEQGGRDQGLGNAGLGSFGNVTGMRSSTSPRALGGQMPRAGNVGQFNNLGCGTSGLDDSKPLPDLMSDRSTGLSSKLRAAEGQGMKALMSRAPVQKKAGHGVPMDISNIPNLASKLSKEQQLQPQVHQPIQQPQKDMVPPLLQHVSSPQSQVSLRQQFDQQSQVSQQLSPAPAPHQVNGTVGHGSPERSSQTHGSVGSIKTEAP >ONI31555 pep chromosome:Prunus_persica_NCBIv2:G1:30660793:30665920:-1 gene:PRUPE_1G319400 transcript:ONI31555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFQVAKTGTRYKPKVLPEEDKDNDEDDSVSDSQERDDEVHSIGMGAKVADPSTVLVNFRQHSVSDDFEVSFSLKLFQNGFSVGKATEFLNDIPEHLHPYDRVSETLFSAIECGWLPGDMFDGLPCKYVNGVILCEIQDYRGCFIQGRAANSTKKIPTVHRVLLHMRMENVVKDILSISDASWTYSDILEVESHILKALQPDLHLNPQPLQGIHSGQPLSKKVDLGIMWSWKKRKLSNASNTDLDFSNSSRLTSIPVNCATQSCSSLFGLSYQERGVTFSEHNVSTSAVNPQENNVLLENSVSNPSTSKPISKVEDSCNQSSLTVMTTSNFVSLPKRSLNDSNNDLNKPSASVLSKIVRCDSQAVQGPLLKKPKQEPIDSSYEQLTGSQTGNSLLPYLQWKNKLLPQRIGEGKVPHERSQEKVRPSRVINNDQTRRFQGISELQAGMATSNVKLEPDKNNFLSSYFRKIKEGHFVMDRMLVHSNLAQSQNQQSSTLFGDNIPADTSWKNMGQPVDKNIQNRSVTHMRKDLVKCQVTTHGRCGSASSPNINSLPTEVSVPAKQKTNSGPKRSRKKAVDSSASTSNISQPSELKVEPVLERFLKIQAVTERYGLHDRKCKLDTSVQRRPSFPITKLVAFQLLSSKDNQNWDNTSTDKNSLTECSMDWGLGMNRRVLTFLRQSHIPQENGIPIVDRKAQVRLVISEKLNEGSVEASVFYGIEKDVFSIDYPLLPAFTSTNSADLLATEFTTLVSLLSSLH >ONI31554 pep chromosome:Prunus_persica_NCBIv2:G1:30659385:30666182:-1 gene:PRUPE_1G319400 transcript:ONI31554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKVADPSTVLVNFRQHSVSDDFEVSFSLKLFQNGFSVGKATEFLNDIPEHLHPYDRVSETLFSAIECGWLPGDMFDGLPCKYVNGVILCEIQDYRGCFIQGRAANSTKKIPTVHRVLLHMRMENVVKDILSISDASWTYSDILEVESHILKALQPDLHLNPQPLQGIHSGQPLSKKVDLGIMWSWKKRKLSNASNTDLDFSNSSRLTSIPVNCATQSCSSLFGLSYQERGVTFSEHNVSTSAVNPQENNVLLENSVSNPSTSKPISKVEDSCNQSSLTVMTTSNFVSLPKRSLNDSNNDLNKPSASVLSKIVRCDSQAVQGPLLKKPKQEPIDSSYEQLTGSQTGNSLLPYLQWKNKLLPQRIGEGKVPHERSQEKVRPSRVINNDQTRRFQGISELQAGMATSNVKLEPDKNNFLSSYFRKIKEGHFVMDRMLVHSNLAQSQNQQSSTLFGDNIPADTSWKNMGQPVDKNIQNRSVTHMRKDLVKCQVTTHGRCGSASSPNINSLPTEVSVPAKQKTNSGPKRSRKKAVDSSASTSNISQPSELKVEPVLERFLKIQAVTERYGLHDRKCKLDTSVQRRPSFPITKLVAFQLLSSKDNQNWDNTSTDKNSLTECSMDWGLGMNRRVLTFLRQSHIPQENGIPIVDRKAQVRLVISEKLNEGSVEASVFYGIEKDVFSIDYPLLPAFTSTNSADLLATEFTTLMKREGYYLSSDHIEPTPLKADGGLSNQRSASIRIGTPSAGTIELPSSTLTPGISPSRLSLVSMQLPSQNIFSGGQSLHSENIWAALANSCVSKLPFTIAAQTNCMMPQVQELLNRRAYLVHQMRQRKLQQNEIRQSRLELGGSGTSVGGGMTEQGGRDQGLGNAGLGSFGNVTGMRSSTSPRALGGQMPRAGNVGQFNNLGCGTSGLDDSKPLPDLMSDRSTGLSSKLRAAEGQGMKALMSRAPVQKKAGHGVPMDISNIPNLASKLSKEQQLQPQVHQPIQQPQKDMVPPLLQHVSSPQSQVSLRQQFDQQSQVSQQLSPAPAPHQVNGTVGHGSPERSSQTHGSVGSIKTEAP >ONI33292 pep chromosome:Prunus_persica_NCBIv2:G1:36108488:36111897:-1 gene:PRUPE_1G415400 transcript:ONI33292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEWGRMSMCDAERRLLANALLDISNEWFILVSESCIPLYNFSVIYHYLMKSKYSFIGAFDDPGPFGRGRYNNNMAPEVNITQWRKGSQWFEVNRKLAISIVEDTTFYQKFEKFCRPACYVDEHYFPTMLTIQAGNSLANRSITWVDWSRGGPHPATFGRADITEEFFKRMLEGHRCTYNDRNSSICFLFGRKFAPSAMEPLLHLAPKFLGF >ONI33296 pep chromosome:Prunus_persica_NCBIv2:G1:36108766:36111081:-1 gene:PRUPE_1G415400 transcript:ONI33296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMQSRVVPLEEGKDPGVTGRTNQTKALPIRLLQLFVLFVLFCITFSVISILTIRHFGINGVMTTVTSSFQPCYEEPSGLDRWIKPPSNLQHTMTDKELLWRASLMPRIKKYPFERVPKIAFMFLTRGPLPLAPLWERFLKGHEGRYSIYVHSLPSFQPHFHTSSVFYGRHIPSQVSEWGRMSMCDAERRLLANALLDISNECFIGAFDDPGPFGRGRYNNNMAPEVNITQWRKGSQWFEVNRKLAISIVEDTTFYQKFEKFCRPACYVDEHYFPTMLTIQAGNSLANRSITWVDWSRGGPHPATFGRADITEEFFKRMLEGHRCTYNDRNSSICFLFGRKFAPSAMEPLLHLAPKFLGF >ONI33294 pep chromosome:Prunus_persica_NCBIv2:G1:36108436:36111851:-1 gene:PRUPE_1G415400 transcript:ONI33294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCYEEPSGLDRWIKPPSNLQHTMTDKELLWRASLMPRIKKYPFERVPKIAFMFLTRGPLPLAPLWERFLKGHEGRYSIYVHSLPSFQPHFHTSSVFYGRHIPSQVSEWGRMSMCDAERRLLANALLDISNEWFILVSESCIPLYNFSVIYHYLMKSKYSFIGAFDDPGPFGRGRYNNNMAPEVNITQWRKGSQWFEVNRKLAISIVEDTTFYQKFEKFCRPACYVDEHYFPTMLTIQAGNSLANRSITWVDWSRGGPHPATFGRADITEEFFKRMLEGHRCTYNDRNSSICFLFGRKFAPSAMEPLLHLAPKFLGF >ONI33295 pep chromosome:Prunus_persica_NCBIv2:G1:36108488:36111851:-1 gene:PRUPE_1G415400 transcript:ONI33295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMQSRVVPLEEGKDPGVTGRTNQTKALPIRLLQLFVLFVLFCITFSVISILTIRHFGINGVMTTVTSSFQPCYEEPSGLDRWIKPPSNLQHTMTDKELLWRASLMPRIKKYPFERVPKIAFMFLTRGPLPLAPLWERFLKGHEGRYSIYVHSLPSFQPHFHTSSVFYGRHIPSQVSEWGRMSMCDAERRLLANALLDISNECFIGAFDDPGPFGRGRYNNNMAPEVNITQWRKGSQWFEVNRKLAISIVEDTTFYQKFEKFCRPACYVDEHYFPTMLTIQAGNSLANRSITWVDWSRGGPHPATFGRADITEEFFKRMLEGHRCTYNDRNSSICFLFGRKFAPSAMEPLLHLAPKFLGF >ONI33297 pep chromosome:Prunus_persica_NCBIv2:G1:36108488:36111905:-1 gene:PRUPE_1G415400 transcript:ONI33297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMQSRVVPLEEGKDPGVTGRTNQTKALPIRLLQLFVLFVLFCITFSVISILTIRHFGINGVMTTVTSSFQPCYEEPSGLDRWIKPPSNLQHTMTDKELLWRASLMPRIKKYPFERVPKIAFMFLTRGPLPLAPLWERFLKGHEGRYSIYVHSLPSFQPHFHTSSVFYGRHIPSQVSEWGRMSMCDAERRLLANALLDISNEWFILVSESCIPLYNFSVIYHYLMKSKYSFIGAFDDPGPFGRGRYNNNMAPEVNITQWRKGSQWFEVNRKLAISIVEDTTFYQKFEKFCRPACYVDEHYFPTMLTIQAGNSLANRSITWVDWSRGGPHPATFGRADITEEFFKRMLEGHRCTYNDRNSSICFLFGRKFAPSAMEPLLHLAPKFLGF >ONI33298 pep chromosome:Prunus_persica_NCBIv2:G1:36108766:36111081:-1 gene:PRUPE_1G415400 transcript:ONI33298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMQSRVVPLEEGKDPGVTGRTNQTKALPIRLLQLFVLFVLFCITFSVISILTIRHFGINGVMTTVTSSFQPCYEEPSGLDRWIKPPSNLQHTMTDKELLWRASLMPRIKKYPFERVPKIAFMFLTRGPLPLAPLWERFLKGHEGRYSIYVHSLPSFQPHFHTSSVFYGRHIPSQVSEWGRMSMCDAERRLLANALLDISNEWFILVSESCIPLYNFSVIYHYLMKSKYSFIGAFDDPGPFGRGRYNNNMAPEVNITQWRKGSQWFEVNRKLAISIVEDTTFYQKFEKFCRPACYVDEHYFPTMLTIQAGNSLANRSITWVDWSRGGPHPATFGRADITEEFFKRMLEGHRCTYNDRNSSICFLFGRKFAPSAMEPLLHLAPKFLGF >ONI33299 pep chromosome:Prunus_persica_NCBIv2:G1:36108449:36111938:-1 gene:PRUPE_1G415400 transcript:ONI33299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTMQSRVVPLEEGKDPGVTGRTNQTKALPIRLLQLFVLFVLFCITFSVISILTIRHFGINGVMTTVTSSFQPCYEEPSGLDRWIKPPSNLQHTMTDKELLWRASLMPRIKKYPFERVPKIAFMFLTRGPLPLAPLWERFLKGHEGRYSIYVHSLPSFQPHFHTSSVFYGRHIPSQVSEWGRMSMCDAERRLLANALLDISNEWFILVSESCIPLYNFSVIYHYLMKSKYSFIGAFDDPGPFGRGRYNNNMAPEVNITQWRKGSQWFEVNRKLAISIVEDTTFYQKFEKFCRPACYVDEHYFPTMLTIQAGNSLANRSITWVDWSRGGPHPATFGRADITEEFFKRMLEGHRCTYNDRNSSICFLFGRKFAPSAMEPLLHLAPKFLGF >ONI33293 pep chromosome:Prunus_persica_NCBIv2:G1:36108488:36111851:-1 gene:PRUPE_1G415400 transcript:ONI33293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCYEEPSGLDRWIKPPSNLQHTMTDKELLWRASLMPRIKKYPFERVPKIAFMFLTRGPLPLAPLWERFLKGHEGRYSIYVHSLPSFQPHFHTSSVFYGRHIPSQVSEWGRMSMCDAERRLLANALLDISNECFIGAFDDPGPFGRGRYNNNMAPEVNITQWRKGSQWFEVNRKLAISIVEDTTFYQKFEKFCRPACYVDEHYFPTMLTIQAGNSLANRSITWVDWSRGGPHPATFGRADITEEFFKRMLEGHRCTYNDRNSSICFLFGRKFAPSAMEPLLHLAPKFLGF >ONI31651 pep chromosome:Prunus_persica_NCBIv2:G1:30902540:30910530:-1 gene:PRUPE_1G323700 transcript:ONI31651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPDRSLLDLIEKVKSWVSRRARESRCLSGEFDMPSNGCKMCCDCNTNTTDIGHRYHCQSCGRWICGKCIQGSEWGGIKSNDEVGESITKFCKFCSQVRLRRESGRKYSEKVHPSASPRESPEPPSPCFSGETVKCSVDNESIHSDQFSKFLEARDCGYSPHAVRSMTMFSSHPSPISVRRSFSRSDEEEAEESGKNFFSPSSEYCDDNLDIDLSSVSARNEFYRSRSPGSNQFDCPSRIYYTSSRVGHSVQQGQEGIPLSQNDGPFGQQTTAVLKRPEKGTEDPDITDDCSDDLSVFRSQYEKSQRPLDFENNGLIWYPPPPDDENDEAESNFFSYDDEDDDIGDSGAVFSSSSSLSNMFPAKEKLNEGNKEPLRAVVQGHFRALVSQLLQGEGFVGKEDGDEDWLDIVTTIAWQAASFVKPDTSRGGSMDPGDYVKVKCVASGSPSDSTLVKGVVCTKNIKHKRMTSQYKNPRLLILGGSLEYQKVPNQLASFNTLLHQENDHLRMIISKIEALRPNVLLVEKSVSSYAQDYLLEKEISLVLNVKRPVLERIARCTGALITPSIDDIPKTRLGHCELFRLEKISEQREPANQFNKKPQKTLMFFEGCPRRLCCTVLLKGACVEELKKIKDVVQYAVFAAYHLSLETSFLADEGATLPKTTLRHSITIPDRTTADTISVVPNSFSSSNSKAVAVASAQDDDILGLKPEVEGLESLSEHLDPEHNFPLSNGSVDCVVGNTFSDAYTDDLASNVFLDSSPSQYKDIKGLTAHSSVTKNLSQPELQETLPHNWSQHEDIHELTTSERIDHNEVSSEYFSSADTHQSILVSFSSHCVLKGTVCERSRLLRIKFYGCFDKPLGRYLRDDLFDQTSFCRSCKEPAEAHVLCYTHQQGNLTINVRRLPSLKLPGERDDKIWMWHRCLRCAHIDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRYYGFGSMVAFFRYSPIDILSVHLPPSVLEFNGQVQPEWIRKEATELMGKMETLYAEISDVLDCMEEKNRSFGREMSGASELQNHIMELKDLLKKERNDYIGFLQPAFVGTSEPGQMAVVDILELNRLRRSLLIGSHVWDRQLYSLDSLLRKNPASMATEGGVSFVRLQELISDSSSKDGRFDYGHEDNVSESSKLQVHPGNNLSPDKEPNIPTHEPSEDPMLVSCHYSREDEIHSDREIVNKTSCESSPSHKSTLSERIDSAWTGTDQLLVKALPLCTSAVGLPAGAVKQTSQNDDPPFRRLMSSMRVHSFDSAVRVEERIRKGLPPSSLHLSTLRSFHASGDYKSMVRDPVSSVRRSHSQAFPREAQKLDSILSFTPSFVSSASQIADGVRLLLSRTSNNDIVVGVYDSEPTSIISYALSSKDYEDWVADNLNDHQGGWSNHDSYKEDSAPSIFSPWQSFGSMDLDYIHYGSYGSEDAASSMGNLFADAKRSPHLRISFGDESSNTVGKVKFSVTCYFAKQFDSLRKKCCPSEVDFVRSLSRCQRWSAQGGKSNVYFAKSLDDRFIVKQVTKTELESFQEFAPEYFKYLTDSLGSGSPTCLAKVLGIYQVTVKHLKGGKETKMDLMVMENLFFKRNISRVYDLKGSARSRYNSDTTGGNKVLLDMNLLESLRTKPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDDERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNAAPTIISPKQYKKRFRKAMTTYFLTVPDQWSS >ONI31652 pep chromosome:Prunus_persica_NCBIv2:G1:30902871:30908134:-1 gene:PRUPE_1G323700 transcript:ONI31652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAKEKLNEGNKEPLRAVVQGHFRALVSQLLQGEGFVGKEDGDEDWLDIVTTIAWQAASFVKPDTSRGGSMDPGDYVKVKCVASGSPSDSTLVKGVVCTKNIKHKRMTSQYKNPRLLILGGSLEYQKVPNQLASFNTLLHQENDHLRMIISKIEALRPNVLLVEKSVSSYAQDYLLEKEISLVLNVKRPVLERIARCTGALITPSIDDIPKTRLGHCELFRLEKISEQREPANQFNKKPQKTLMFFEGCPRRLCCTVLLKGACVEELKKIKDVVQYAVFAAYHLSLETSFLADEGATLPKTTLRHSITIPDRTTADTISVVPNSFSSSNSKAVAVASAQDDDILGLKPEVEGLESLSEHLDPEHNFPLSNGSVDCVVGNTFSDAYTDDLASNVFLDSSPSQYKDIKGLTAHSSVTKNLSQPELQETLPHNWSQHEDIHELTTSERIDHNEVSSEYFSSADTHQSILVSFSSHCVLKGTVCERSRLLRIKFYGCFDKPLGRYLRDDLFDQTSFCRSCKEPAEAHVLCYTHQQGNLTINVRRLPSLKLPGERDDKIWMWHRCLRCAHIDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRYYGFGSMVAFFRYSPIDILSVHLPPSVLEFNGQVQPEWIRKEATELMGKMETLYAEISDVLDCMEEKNRSFGREMSGASELQNHIMELKDLLKKERNDYIGFLQPAFVGTSEPGQMAVVDILELNRLRRSLLIGSHVWDRQLYSLDSLLRKNPASMATEGGVSFVRLQELISDSSSKDGRFDYGHEDNVSESSKLQVHPGNNLSPDKEPNIPTHEPSEDPMLVSCHYSREDEIHSDREIVNKTSCESSPSHKSTLSERIDSAWTGTDQLLVKALPLCTSAVGLPAGAVKQTSQNDDPPFRRLMSSMRVHSFDSAVRVEERIRKGLPPSSLHLSTLRSFHASGDYKSMVRDPVSSVRRSHSQAFPREAQKLDSILSFTPSFVSSASQIADGVRLLLSRTSNNDIVVGVYDSEPTSIISYALSSKDYEDWVADNLNDHQGGWSNHDSYKEDSAPSIFSPWQSFGSMDLDYIHYGSYGSEDAASSMGNLFADAKRSPHLRISFGDESSNTVGKVKFSVTCYFAKQFDSLRKKCCPSEVDFVRSLSRCQRWSAQGGKSNVYFAKSLDDRFIVKQVTKTELESFQEFAPEYFKYLTDSLGSGSPTCLAKVLGIYQVTVKHLKGGKETKMDLMVMENLFFKRNISRVYDLKGSARSRYNSDTTGGNKVLLDMNLLESLRTKPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDDERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNAAPTIISPKQYKKRFRKAMTTYFLTVPDQWSS >ONI26821 pep chromosome:Prunus_persica_NCBIv2:G1:3399214:3401284:1 gene:PRUPE_1G048100 transcript:ONI26821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWRRARSSAGVNIRKLSTAVQRRIEDEGDWSYASEWWGTESEGHTVLRSTSDKGNGVVSVVAYPSSKPSELHWASTERWLQKRYEEIHGCHEQNDRFRVLGYQWRALRFNDDTRQSTVKVLAAYRQSEPGVFAVMQQPHCLAVPYLKSMISAGLAAIASCDYNLMDAVTGNESMRILCIGHGGGSLPLFLASMIQGAVVDIVEIDPLVISASVKAMGFPAFSVVTPSGKRAVSKPDTMDEVLWKGIHERLFLYESDAEEFILNNTSLYDMIFIDAYDGEDIFPHKLWDPHSPFLKALSNRLCPEHGTVVVNLHSDTEILNPDGSAPSVLQQTLPMGKYVSGVCRAYKDVVVGNGSSCGGKDSGLGFTVSVPWVCNTSLVVCRGFRVKGGHSNRDVIMNTLISKSFELENVLNLPFSCLQYIKRGFIVVD >ONI31170 pep chromosome:Prunus_persica_NCBIv2:G1:29326408:29329001:-1 gene:PRUPE_1G297200 transcript:ONI31170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVVVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQLPNVWEDELHNVVQMSYGASTPTGSQDVDGSGTPGQMKVEL >ONI31174 pep chromosome:Prunus_persica_NCBIv2:G1:29326008:29329884:-1 gene:PRUPE_1G297200 transcript:ONI31174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQDQGHQAK >ONI31175 pep chromosome:Prunus_persica_NCBIv2:G1:29326012:29329844:-1 gene:PRUPE_1G297200 transcript:ONI31175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVVVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQDQGHQAK >ONI31179 pep chromosome:Prunus_persica_NCBIv2:G1:29326575:29329001:-1 gene:PRUPE_1G297200 transcript:ONI31179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQLSESIMLRLNLHNPIFGYSYPMCGRMSSTMLSR >ONI31173 pep chromosome:Prunus_persica_NCBIv2:G1:29325978:29329931:-1 gene:PRUPE_1G297200 transcript:ONI31173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQDQGHQAK >ONI31168 pep chromosome:Prunus_persica_NCBIv2:G1:29325979:29329883:-1 gene:PRUPE_1G297200 transcript:ONI31168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQLPNVWEDELHNVVQMSYGASTPTGSQDVDGSGTPGQMKVEL >ONI31171 pep chromosome:Prunus_persica_NCBIv2:G1:29326008:29329985:-1 gene:PRUPE_1G297200 transcript:ONI31171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQDQGHQAK >ONI31169 pep chromosome:Prunus_persica_NCBIv2:G1:29325952:29329985:-1 gene:PRUPE_1G297200 transcript:ONI31169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQLPNVWEDELHNVVQMSYGASTPTGSQDVDGSGTPGQMKVEL >ONI31166 pep chromosome:Prunus_persica_NCBIv2:G1:29325979:29329884:-1 gene:PRUPE_1G297200 transcript:ONI31166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQLPNVWEDELHNVVQMSYGASTPTGSQDVDGSGTPGQMKVEL >ONI31164 pep chromosome:Prunus_persica_NCBIv2:G1:29326008:29329882:-1 gene:PRUPE_1G297200 transcript:ONI31164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQLPNVWEDELHNVVQMSYGASTPTGSQDVDGSGTPGQMKVEL >ONI31176 pep chromosome:Prunus_persica_NCBIv2:G1:29326575:29329001:-1 gene:PRUPE_1G297200 transcript:ONI31176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQLSESIMLRLNLHNPIFGYSYPMCGRMSSTMLSR >ONI31177 pep chromosome:Prunus_persica_NCBIv2:G1:29326012:29329877:-1 gene:PRUPE_1G297200 transcript:ONI31177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQLSESIMLRLNLHNPIFGYSYPMCGRMSSTMLSR >ONI31165 pep chromosome:Prunus_persica_NCBIv2:G1:29325951:29329985:-1 gene:PRUPE_1G297200 transcript:ONI31165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQLPNVWEDELHNVVQMSYGASTPTGSQDVDGSGTPGQMKVEL >ONI31167 pep chromosome:Prunus_persica_NCBIv2:G1:29326002:29329884:-1 gene:PRUPE_1G297200 transcript:ONI31167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQLPNVWEDELHNVVQMSYGASTPTGSQDVDGSGTPGQMKVEL >ONI31178 pep chromosome:Prunus_persica_NCBIv2:G1:29326575:29329001:-1 gene:PRUPE_1G297200 transcript:ONI31178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQLSESIMLRLNLHNPIFGYSYPMCGRMSSTMLSR >ONI31172 pep chromosome:Prunus_persica_NCBIv2:G1:29326008:29329877:-1 gene:PRUPE_1G297200 transcript:ONI31172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDQDKFELENRNEDPMNYSPGMAPDWRFGGSNLTNTSVGLVSTGNSMAVSKGDLVGSSSRPSASMVDSFNPTLWDHPTNSQDLGGFCDINGQTSASTSDTIGIRKGIPVSLRSGIDRPLEMCWNPPNSMLKGGIFLPNGPGMLPQSLSQFPADSAFIERAARFSCFNGGSFSDMLNPFGVPESMSLYSRGGGMMHWTQEVVAGNGSNAVSCAQSQRNEINGGDASRDVTLPIELGTTEGSPLKNEKKSESLVKSHDEAKHTVGGSGNESDEADFSGGAGQEEPSMLEGTGVEPSSKGSKKRKRSGQANELDQAHAQQPGESAQDASEFQQKGEQHPASTTNKTTGKQSKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLTKDILQSRVGPSSTLGFSPDMPIAYPQLHPSQSGLIQADLPGMGSPSDILRRAMSSQMTPMTGGFKEPSQDQGHQAK >ONI36258 pep chromosome:Prunus_persica_NCBIv2:G1:47089101:47089790:-1 gene:PRUPE_1G578700 transcript:ONI36258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPRPTPTKQPSISDAVSITTNPPTSTTSSESEPDTPKSPQLPQPTLSQRAISQTLTSTANLANLLPTGTLLAFQLLTPIFTSNGSCDSATRPMTLILLLLFALSCFIASLTDSVKASDGQVYYGLATTKGLFLFDYPDASGSGLPDLSKYKIRFMDLVHAVLSVFVFGAVALRDKNVVGCFYPTPGRETQEVLDIVPVGIGLICSLLFVVFPTKRHGIGYPLTSGK >ONI27811 pep chromosome:Prunus_persica_NCBIv2:G1:8497222:8503110:1 gene:PRUPE_1G105900 transcript:ONI27811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLPMSPQLEQIHGEIHDNFRALANGFQKLDKIKDSNRQSKQLEELTGKMRECKRLIKEFDREIKDEESRNPPDVNKQLNDEKQSMIKELNSYVQLRKTFMNSLGNKRVELFDMGAGVSEPTADDNVQMASAMSNQELIDSGTKTMDETDQVIERSKKVVEQTIEVGTQTAVTLKGQTEQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLLLIVVGVIAIIVVKIVHPGNKNIRVIPGLAPPAARRLLSLSTLQQFE >ONI27812 pep chromosome:Prunus_persica_NCBIv2:G1:8495646:8503110:1 gene:PRUPE_1G105900 transcript:ONI27812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLPMSPQLEQIHGEIHDNFRALANGFQKLDKIKDSNRQSKQLEELTGKMRECKRLIKEFDREIKDEESRNPPDVNKQLNDEKQSMIKELNSYVQLRKTFMNSLGNKRVELFDMGAGVSEPTADDNVQMASAMSNQELIDSGTKTMDETDQVIERSKKVVEQTIEVGTQTAVTLKGQTEQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLLLIVVGVIAIIVVKIVHPGNKNIRVIPGLAPPAARRLLSLSTLQQFE >ONI26884 pep chromosome:Prunus_persica_NCBIv2:G1:3690155:3690926:-1 gene:PRUPE_1G052800 transcript:ONI26884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLWHMNKNFRQTQHGHTKSKAADSVVGFRFLSYSNSKCDTSFQDSYYILNQSCVAPQFAVTRKYKLLDSL >ONI35727 pep chromosome:Prunus_persica_NCBIv2:G1:45095651:45102889:-1 gene:PRUPE_1G551500 transcript:ONI35727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYSRLGNPRAAGSPPSSPRCRHGRNKNAGGRGPKQSIVEKVVVLLISVVFKRRGVLLFAPLLYISGMLLYMGSVSFDVVSLKNAVVVVRKRSPPGSLYRSPQVFQKLWPYMQAESNHTHNFLMTVWNSKVHQVWKPCISKDTPKEGNVGTALPQSNGLFIIEANGGLNQQRLSICDAVAVAGLLNATLLIPIFHLNSVWRDSRGKCWPLLRVYVKRDSMILKRSCFDNARELPGDILERFDNNISNIVNLRVKGWSSPAYYLQKVLPKLEKMGAVRIAPFSNRLAHAVPSNVQRLRCLANFEALRFSNSIRILADKMVDRMIKSSSHSGGKYVSVHLRFEEDMVAFSCCEYDGGEEEKREMDIARERGWRGKFRRRGRVIRPGANRVDGKCPLTPLEVGMMLRGMGFDNTTSVYIAAGKIYKAEKYMAPLKQMFPRLEMKDTLATAEELAPFQGHLSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTINPDKRKLALLFDNPSIRWEDFKRQVQDMLRHSDQKGVELKKPSASLYTFPMPDCMCKEAEARNENSNMTNKTDFNT >ONI35722 pep chromosome:Prunus_persica_NCBIv2:G1:45095651:45102889:-1 gene:PRUPE_1G551500 transcript:ONI35722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYSRLGNPRAAGSPPSSPRCRHGRNKNAGGRGPKQSIVEKVVVLLISVVFKRRGVLLFAPLLYISGMLLYMGSVSFDVVSLKNAVVVVRKRSPPGSLYRSPQVFQKLWPYMQAESNHTHNFLMTVWNSKVHQVWKPCISKDTPKEALPQSNGLFIIEANGGLNQQRLSICDAVAVAGLLNATLLIPIFHLNSVWRDSSHFGNIFDEDFFIHALRNHVNVVRELPGDILERFDNNISNIVNLRVKGWSSPAYYLQKVLPKLEKMGAVRIAPFSNRLAHAVPSNVQRLRCLANFEALRFSNSIRILADKMVDRMIKSSSHSGGKYVSVHLRFEEDMVAFSCCEYDGGEEEKREMDIARERGWRGKFRRRGRVIRPGANRVDGKCPLTPLEVGMMLRGMGFDNTTSVYIAAGKIYKAEKYMAPLKQMFPRLEMKDTLATAEELAPFQGHLSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTINPDKRKLALLFDNPSIRWEDFKRQVQDMLRHSDQKGVELKKPSASLYTFPMPDCMCKEAEARNENSNMTNKTDFNT >ONI35723 pep chromosome:Prunus_persica_NCBIv2:G1:45095636:45102936:-1 gene:PRUPE_1G551500 transcript:ONI35723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYSRLGNPRAAGSPPSSPRCRHGRNKNAGGRGPKQSIVEKVVVLLISVVFKRRGVLLFAPLLYISGMLLYMGSVSFDVVSLKNAVVVVRKRSPPGSLYRSPQVFQKLWPYMQAESNHTHNFLMTVWNSKVHQVWKPCISKDTPKEGTALPQSNGLFIIEANGGLNQQRLSICDAVAVAGLLNATLLIPIFHLNSVWRDSSHFGNIFDEDFFIHALRNHVNVVRELPGDILERFDNNISNIVNLRVKGWSSPAYYLQKVLPKLEKMGAVRIAPFSNRLAHAVPSNVQRLRCLANFEALRFSNSIRILADKMVDRMIKSSSHSGGKYVSVHLRFEEDMVAFSCCEYDGGEEEKREMDIARERGWRGKFRRRGRVIRPGANRVDGKCPLTPLEVGMMLRGMGFDNTTSVYIAAGKIYKAEKYMAPLKQMFPRLEMKDTLATAEELAPFQGHLSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTINPDKRKLALLFDNPSIRWEDFKRQVQDMLRHSDQKGVELKKPSASLYTFPMPDCMCKEAEARNENSNMTNKTDFNT >ONI35725 pep chromosome:Prunus_persica_NCBIv2:G1:45095651:45102937:-1 gene:PRUPE_1G551500 transcript:ONI35725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYSRLGNPRAAGSPPSSPRCRHGRNKNAGGRGPKQSIVEKVVVLLISVVFKRRGVLLFAPLLYISGMLLYMGSVSFDVVSLKNAVVVVRKRSPPGSLYRSPQVFQKLWPYMQAESNHTHNFLMTVWNSKVHQVWKPCISKDTPKEGTALPQSNGLFIIEANGGLNQQRLSICDAVAVAGLLNATLLIPIFHLNSVWRDSRGKCWPLLRVYVKRDSMILKRSCFDNARNHVNVVRELPGDILERFDNNISNIVNLRVKGWSSPAYYLQKVLPKLEKMGAVRIAPFSNRLAHAVPSNVQRLRCLANFEALRFSNSIRILADKMVDRMIKSSSHSGGKYVSVHLRFEEDMVAFSCCEYDGGEEEKREMDIARERGWRGKFRRRGRVIRPGANRVDGKCPLTPLEVGMMLRGMGFDNTTSVYIAAGKIYKAEKYMAPLKQMFPRLEMKDTLATAEELAPFQGHLSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTINPDKRKLALLFDNPSIRWEDFKRQVQDMLRHSDQKGVELKKPSASLYTFPMPDCMCKEAEARNENSNMTNKTDFNT >ONI35724 pep chromosome:Prunus_persica_NCBIv2:G1:45095651:45102936:-1 gene:PRUPE_1G551500 transcript:ONI35724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYSRLGNPRAAGSPPSSPRCRHGRNKNAGGRGPKQSIVEKVVVLLISVVFKRRGVLLFAPLLYISGMLLYMGSVSFDVVSLKNAVVVVRKRSPPGSLYRSPQVFQKLWPYMQAESNHTHNFLMTVWNSKVHQVWKPCISKDTPKEGTALPQSNGLFIIEANGGLNQQRLSICDAVAVAGLLNATLLIPIFHLNSVWRDSRGKCWPLLRVYVKRDSMILKRSCFDNARELPGDILERFDNNISNIVNLRVKGWSSPAYYLQKVLPKLEKMGAVRIAPFSNRLAHAVPSNVQRLRCLANFEALRFSNSIRILADKMVDRMIKSSSHSGGKYVSVHLRFEEDMVAFSCCEYDGGEEEKREMDIARERGWRGKFRRRGRVIRPGANRVDGKCPLTPLEVGMMLRGMGFDNTTSVYIAAGKIYKAEKYMAPLKQMFPRLEMKDTLATAEELAPFQGHLSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTINPDKRKLALLFDNPSIRWEDFKRQVQDMLRHSDQKGVELKKPSASLYTFPMPDCMCKEAEARNENSNMTNKTDFNT >ONI35726 pep chromosome:Prunus_persica_NCBIv2:G1:45095636:45102903:-1 gene:PRUPE_1G551500 transcript:ONI35726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYSRLGNPRAAGSPPSSPRCRHGRNKNAGGRGPKQSIVEKVVVLLISVVFKRRGVLLFAPLLYISGMLLYMGSVSFDVVSLKNAVVVVRKRSPPGSLYRSPQVFQKLWPYMQAESNHTHNFLMTVWNSKVHQVWKPCISKDTPKEGNVGTALPQSNGLFIIEANGGLNQQRLSICDAVAVAGLLNATLLIPIFHLNSVWRDSSHFGNIFDEDFFIHALRNHVNVVRELPGDILERFDNNISNIVNLRVKGWSSPAYYLQKVLPKLEKMGAVRIAPFSNRLAHAVPSNVQRLRCLANFEALRFSNSIRILADKMVDRMIKSSSHSGGKYVSVHLRFEEDMVAFSCCEYDGGEEEKREMDIARERGWRGKFRRRGRVIRPGANRVDGKCPLTPLEVGMMLRGMGFDNTTSVYIAAGKIYKAEKYMAPLKQMFPRLEMKDTLATAEELAPFQGHLSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTINPDKRKLALLFDNPSIRWEDFKRQVQDMLRHSDQKGVELKKPSASLYTFPMPDCMCKEAEARNENSNMTNKTDFNT >ONI35729 pep chromosome:Prunus_persica_NCBIv2:G1:45095650:45102889:-1 gene:PRUPE_1G551500 transcript:ONI35729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYSRLGNPRAAGSPPSSPRCRHGRNKNAGGRGPKQSIVEKVVVLLISVVFKRRGVLLFAPLLYISGMLLYMGSVSFDVVSLKNAVVVVRKRSPPGSLYRSPQVFQKLWPYMQAESNHTHNFLMTVWNSKVHQVWKPCISKDTPKEGNVGTALPQSNGLFIIEANGGLNQQRLSICDAVAVAGLLNATLLIPIFHLNSVWRDSRGKCWPLLRVYVKRDSMILKRSCFDNARELPGDILERFDNNISNIVNLRVKGWSSPAYYLQKVLPKLEKMGAVRIAPFSNRLAHAVPSNVQRLRCLANFEALRFSNSIRILADKMVDRMIKSSSHSGGKYVSVHLRFEEDMVAFSCCEYDGGEEEKREMDIARERGWRGKFRRRGRVIRPGANRVDGKCPLTPLEVGMMLRGMGFDNTTSVYIAAGKIYKAEKYMAPLKQMFPRLEMKDTLATAEELAPFQIGCS >ONI35728 pep chromosome:Prunus_persica_NCBIv2:G1:45095649:45102936:-1 gene:PRUPE_1G551500 transcript:ONI35728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYSRLGNPRAAGSPPSSPRCRHGRNKNAGGRGPKQSIVEKVVVLLISVVFKRRGVLLFAPLLYISGMLLYMGSVSFDVVSLKNAVVVVRKRSPPGSLYRSPQVFQKLWPYMQAESNHTHNFLMTVWNSKVHQVWKPCISKDTPKEGTALPQSNGLFIIEANGGLNQQRLSICDAVAVAGLLNATLLIPIFHLNSVWRDSSHFGNIFDEDFFIHALRNHVNVVRELPGDILERFDNNISNIVNLRVKGWSSPAYYLQKVLPKLEKMGAVRIAPFSNRLAHAVPSNVQRLRCLANFEALRFSNSIRILADKMVDRMIKSSSHSGGKYVSVHLRFEEDMVAFSCCEYDGGEEEKREMDIARERGWRGKFRRRGRVIRPGANRVDGKCPLTPLEVGMMLRGMGFDNTTSVYIAAGKIYKAEKYMAPLKQMFPRLEMKDTLATAEELAPFQIGCS >ONI36138 pep chromosome:Prunus_persica_NCBIv2:G1:46585726:46588709:1 gene:PRUPE_1G571500 transcript:ONI36138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDVHSLFRILHSCNTHHSIYLGKQLHLICLKKGIINSAVAFGNRLLQMYVKCGRMTDALKLFDDMPQRNCFSWNTLIEGYTKSGDLEKSLQLFEEMPRKDDFTWNLIVSGFAKVGKLEIAHSLFDDMPRRNWVVWNSMIHGYSKKGCPRDALRLFQDLSSDGLTLSHEYKFVLATVIGACGDLFALGCGKQVHARIFIDEVEFDSVLASSLINLYGKCGDLDSASHVFNMMKEPDDYSLSALISGYGNSGRMDDARRIFDTKSNPDVALWNSLISGYVNSNENIGALVLFSEMLKNGVQGNSFTLASVLTAISISGILKQAEQMHTHACKVGLIGNVIVASAILDAYSKRGSPNNACRLFSELKAFDTILLNSMITVYSNCGRVEDAKQIFKAMPSKSLISWNSMIVGLSQNGCPIEALDLFRQMNKLDLMMDKFSLASVLSSCATTSSLEYGEQVFARTTKIGLDCNEIVCNSLVDLYCKCGFVKNGRELFDRMAKSNEVAWNSMLIGYATNGHGIEALALFNEMRLAGVEPNEITFTGVLSACDHCGLVEDGRKWFSKMKQDYHINPGIEHYACMIDLLSRAGFLEEAVNLVEVMPFKADASILSSVLRGCVAHEHKDLGKKMAERIIELDSGNSGAYVQLSNIFANVKEWEGSAQVRQVMRDNRVEKNPGFSWSDC >ONI36214 pep chromosome:Prunus_persica_NCBIv2:G1:46889483:46889899:-1 gene:PRUPE_1G575600 transcript:ONI36214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCEYVDVPIGFKFRPSDARLLGYYLLNKKEPWDIWNDLGGKNLGKGEDLYFFTKLKLVTGKGSRVARTIGNGTWKGEDRGTTVRDPARKNRPLGLCKRFRYENDNSDQHGCWIMHE >ONI32792 pep chromosome:Prunus_persica_NCBIv2:G1:34594927:34597370:-1 gene:PRUPE_1G385900 transcript:ONI32792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRLLRTFKPMTFHSSSSSSSLPPKTLRCSSKAGSLSGEKRLGGKNDNHERKQMVVVKASMATSDTMSITLKPQVNRGRLAELVSLLTKTNRALLILLRTALKPKPSRQQVQMFIERGIIDCRFFTLLAVAGSLLGSVLCFVEGCFIVLESYFQYFHALSHKTDQGHMVHLLIEAIDMFLVGTAMLIFGVGLYAMFVGSKAVKEKGPRFSESNLFGLFYMKAPPAWVDMKSVSQAKSKIGHAVVMILQVGLLDKFKSIPLVTPLDLACFAGAVLLSSAGIFILARLSTTAGAVAGVQHGT >ONI26668 pep chromosome:Prunus_persica_NCBIv2:G1:2726151:2728678:1 gene:PRUPE_1G038600 transcript:ONI26668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQLGVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDLNQPKPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLVLMVLCSVASGLSFSDHPKGVITTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGIVALIVSTAFDHSFKAPAYSVDRAGSLAPQADFVWRIILMVGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVDLEAEEEKLEKITREKSNTFGLFTKQFARRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAESMNAIHEVYRIARAQTLIAMCSTVPGYWFTVFFIDYLGRFTIQLMGFFFMTVFMFALAIPYHHWTLRPNRIAFVVIYSFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKTDAGYPPGIGVKNSLIMLGVINFFGMVFTLLVPESKGKSLEELTGENEEEEGAEQQANSTRTVPV >ONI26667 pep chromosome:Prunus_persica_NCBIv2:G1:2726150:2728678:1 gene:PRUPE_1G038600 transcript:ONI26667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQLGVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDLNQPKPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLVLMVLCSVASGLSFSDHPKGVITTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGIVALIVSTAFDHSFKAPAYSVDRAGSLAPQADFVWRIILMVGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVDLEAEEEKLEKITREKSNTFGLFTKQFARRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAESMNAIHEVYRIARAQTLIAMCSTVPGYWFTVFFIDYLGRFTIQLMGFFFMTVFMFALAIPYHHWTLRPNRIAFVVIYSFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKTDAGYPPGIGVKNSLIMLGVINFFGMVFTLLVPESKGKSLEELTGENEEEEGAEQQANSTRTVPV >ONI26669 pep chromosome:Prunus_persica_NCBIv2:G1:2724827:2728679:1 gene:PRUPE_1G038600 transcript:ONI26669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQLGVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDLNQPKPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLVLMVLCSVASGLSFSDHPKGVITTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGIVALIVSTAFDHSFKAPAYSVDRAGSLAPQADFVWRIILMVGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVDLEAEEEKLEKITREKSNTFGLFTKQFARRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAESMNAIHEVYRIARAQTLIAMCSTVPGYWFTVFFIDYLGRFTIQLMGFFFMTVFMFALAIPYHHWTLRPNRIAFVVIYSFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDPTKTDAGYPPGIGVKNSLIMLGVINFFGMVFTLLVPESKGKSLEELTGENEEEEGAEQQANSTRTVPV >ONI33205 pep chromosome:Prunus_persica_NCBIv2:G1:35900597:35902662:1 gene:PRUPE_1G410900 transcript:ONI33205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWAIKGPLSIQQRRVVIENKHGEKLVGILHDTGSKELVVLCHGIHSSKERIPMVNLAAVLQREGISAFRFDFAGNGESEGSFRYGHYRREADDLRAVLQHFRVQNYVVTAVVGHSKGGNAVLLYAAKYNDVHKVVNIAGRFNLERGIEGRLGKDFLRRIKQDGFIDVKNKRGTFEYRVTEESLMERLTTDMPAACRSINQNCRVLTVHGSMDQMVPVEDAFDFNKIIPNHKVSIVEGADHEHTSHQNELASIVLDFIKEDFLPDKFIHSRF >ONI33204 pep chromosome:Prunus_persica_NCBIv2:G1:35900201:35903374:1 gene:PRUPE_1G410900 transcript:ONI33204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTRPTSTPKQPAIQQRRVVIENKHGEKLVGILHDTGSKELVVLCHGIHSSKERIPMVNLAAVLQREGISAFRFDFAGNGESEGSFRYGHYRREADDLRAVLQHFRVQNYVVTAVVGHSKGGNAVLLYAAKYNDVHKVVNIAGRFNLERGIEGRLGKDFLRRIKQDGFIDVKNKRGTFEYRVTEESLMERLTTDMPAACRSINQNCRVLTVHGSMDQMVPVEDAFDFNKIIPNHKVSIVEGADHEHTSHQNELASIVLDFIKEDFLPDKFIHSRF >ONI31956 pep chromosome:Prunus_persica_NCBIv2:G1:32054649:32059083:-1 gene:PRUPE_1G341100 transcript:ONI31956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSIPFFGSTSSREETQSQMTSTLQQHSSATPSSNTTAAAAAAAPPPQKKRRNQPGNPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEPRRKVYLCPEPTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPSSLNTMGGQLYGNNHMSLGLSQIPSLQNQTHLPNNMLRLGNAGGAKYEHLITPSNPSSFGSQAMPNSSNFFMRDIANQQGFQDQHQFPSKAALHGLMQLPDLQSNGNNNNSPSSTSAANLFNLSFFSNNSTSSSLTPPDHQFSDGNGGGQGFSMGAGDHHTMGSATLPSLYGNSMQHESNIASHMSATALLQKAAQMGSTTSTESSSLLRGLGNSSTRAAKSGRQLVAAPSTVGVSFSGDHHSGGEQQHLRSSQQVDNENHLQGLMNSLASGASSVFGGGGVHGQDHNSFGGFSSGSTGTRVNAMDQQHNNTNYDEAKLHQNLGVNFGGSDKLTLDFLGVGGMVQNMSGGHGYSQRDHHQQHHGINMIGTLDPDLKSAQTRQPFGGTAMQ >ONI33924 pep chromosome:Prunus_persica_NCBIv2:G1:38105500:38108144:-1 gene:PRUPE_1G453400 transcript:ONI33924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHMSMAYYNTREIQGKQAHDPIFIEKMKSKSSSSSCSSSSSSSPSPSPPSSPSSSSSSSSSSPSPSPRIVVCVPGPVIVGAGPSGLATAACLQLKGVPSVILERSNCIASLWQLKTYDRLRLHLPKQFCELPFMPFPNDFPTYPTKQQFIQYLEDYATKFDIRPRFNEAVASAEFDSKLGFWRVRTTGAKGGDETEYVCRWLIAATGENAEAVVPKLEGMAEFGGPIRHTSSYKSGEEFRGKKVLVVGCGNSGMEVCLDLCNHNARPSLVVRDTVHVLPREMLGKSTFGLSMLLLKWLPIRLVDRLLLVVSRLLLGNTSRLGLDRPKLGPLELKNLSGKTPVLDVGTLAKIESGDIEVRPAIKRLKCHAIEFINGRTENFDAIVLATGYRSNVPIWLKEGDMFSKEDGLPRRPFPNGWKGECGLYAVGFTKRGILGATMDSKRIAEDIERCWKAEAKHCTPFKGSLFPLSSSSSP >ONI33923 pep chromosome:Prunus_persica_NCBIv2:G1:38104726:38108612:-1 gene:PRUPE_1G453400 transcript:ONI33923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHMSMAYYNTREIQGKQAHDPIFIEKMKSKSSSSSCSSSSSSSPSPSPPSSPSSSSSSSSSSPSPSPRIVVCVPGPVIVGAGPSGLATAACLQLKGVPSVILERSNCIASLWQLKTYDRLRLHLPKQFCELPFMPFPNDFPTYPTKQQFIQYLEDYATKFDIRPRFNEAVASAEFDSKLGFWRVRTTGAKGGDETEYVCRWLIAATGENAEAVVPKLEGMAEFGGPIRHTSSYKSGEEFRGKKVLVVGCGNSGMEVCLDLCNHNARPSLVVRDTVHVLPREMLGKSTFGLSMLLLKWLPIRLVDRLLLVVSRLLLGNTSRLGLDRPKLGPLELKNLSGKTPVLDVGTLAKIESGDIEEGDMFSKEDGLPRRPFPNGWKGECGLYAVGFTKRGILGATMDSKRIAEDIERCWKAEAKHCTPFKGSLFPLSSSSSP >ONI33976 pep chromosome:Prunus_persica_NCBIv2:G1:38288881:38292296:1 gene:PRUPE_1G456800 transcript:ONI33976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRINKAYDLNHWLLVLFFLAQLCIFVSSSDPSEIQTLLKFKSFLSNDTALDNWNNSTNPCNGTANIWAGLYCDKNGNVYGLKLQNMGLMGIIDIDTLSGLSALRSISIMNNNFEGPLPSLNKLGALIGVYLSNNQFTGEMQDNAFEGMSSLKKIYLDGNEFSGKIPKSLAALSKLSELGLQGNQFSGKIPNFAQSPNGWKYFNISNNRFEGRIPASLSNLEASAFTGNLGLCGKPLAPCKSSKKKILLIIAIVVVSIAAILCTIVAILFIRRRSAKSKQEARAQKKLKAQHHTAATEVQLTADEDNYKKAEKGGELYFVRKDRGFELEELLRAPAEVLGSGSFGSSYKAGLLSGSMVVKRFRQINQVGKEDFYDHMRRLGRLSHPNLLPLVAFYYRKEEKLLVHDFVANGSLASHLHVKREPGQPGLDWPTRLMIIKGVSRGLGYLYKEFPGLTVPHGHLKSSNVLLDHNFNPLIAEYALIPVINRDHAQKFMVAYKSPEFSHMEQTSKKTDVWSLGILIFEMLTGKFPANYLQQGKRANADLAAWVNSVVREEWTGEVFDKNMKGTKNGEGEMLKLLKIGMCCCESSVEGRWDWREVVDKIEELKERDSEEEYSSYASDGDMGSSRAMTDDDFSFSVNA >ONI27242 pep chromosome:Prunus_persica_NCBIv2:G1:5416195:5419082:-1 gene:PRUPE_1G075600 transcript:ONI27242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRFYNEIKGLKVKELPSYVKPMLSVDYAKKTVQRGLDNYHAKYIQTNSVDPLFHVCFGGMIFSYLVALPEERRHLEHQQHAKEHGH >ONI30293 pep chromosome:Prunus_persica_NCBIv2:G1:25641146:25642801:-1 gene:PRUPE_1G242600 transcript:ONI30293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHDDTNPHFVRPFQPQRPDQYPQTPPVGPFLQQPQHQGQHSGPVSLGPSWTEPPPDVKPARRHRPKHSGRHSRPLGPLVSFHPHFQDQHPHPQPNHSGQQTQPLVPPVPFANQDNEDPQPPAKRQSQQTLPPSSHDHEDQLHPLPHGTQGQPQHGQRPHPHGLLRPHTQQTNLFQWSLAIFCAIFWVIIIIGGLVVLIVYLIFRPRTPKFDVSTATLNAAYLDMGYLLNADLTVLANFTNPNKKVSVDFSSLIIDLYYGNTLIATQYIEPFSAHKRESMFANVHMVVSQVRLGLLESQRLQKQMETNRAVFEVKGSFRARANFGNILRYSYWLHGDCKVVFTGPPDGVLVSRKCKTKH >ONI26703 pep chromosome:Prunus_persica_NCBIv2:G1:2900754:2907054:1 gene:PRUPE_1G040500 transcript:ONI26703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFARSWLKKFKSKEKVKSSKNKDTTGNGKEGSKTPTTEEVPSNVTKQKVAAAKQYIEDHYKKQMKSLQERKERRNVLEKKLADADVSEEEQSNLLKYLEKKETEYMRIQRHKMGADDFEPLTMIGKGAFGEVRVCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSMGKNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVDQRLGTKGADEIKAHSWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEGDNQIESSTRSGPWRKMLSSKDVNFVGYTYKNFEIVNDNQLPGIAELKKKSTKPKRPSIKSLFDDESAMVANQPAQGSFMKLLPPQLEAPEKQSESQ >ONI26701 pep chromosome:Prunus_persica_NCBIv2:G1:2901057:2907054:1 gene:PRUPE_1G040500 transcript:ONI26701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFARSWLKKFKSKEKVKSSKNKDTTGNGKEGSKTPTTEEVPSNVTKQKVAAAKQYIEDHYKKQMKSLQERKERRNVLEKKLADADVSEEEQSNLLKYLEKKETEYMRIQRHKMGADDFEPLTMIGKGAFGEVRVCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSMGKNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVDQRLGTKGADEIKAHSWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEGDNQIESSTRSGPWRKMLSSKDVNFVGYTYKNFEIVNDNQLPGIAELKKKSTKPKRPSIKSLFDDESAMVANQPAQGSFMKLLPPQLEAPEKQSESQ >ONI26702 pep chromosome:Prunus_persica_NCBIv2:G1:2900824:2907054:1 gene:PRUPE_1G040500 transcript:ONI26702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFARSWLKKFKSKEKVKSSKNKDTTGNGKEGSKTPTTEEVPSNVTKQKVAAAKQYIEDHYKKQMKSLQERKERRNVLEKKLADADVSEEEQSNLLKYLEKKETEYMRIQRHKMGADDFEPLTMIGKGAFGEVRVCREKATGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSMGKNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVDQRLGTKGADEIKAHSWFKGIEWDKLYQMKAAFIPEVNDELDTQNFEKFEEGDNQIESSTRSGPWRKMLSSKDVNFVGYTYKNFEIVNDNQLPGIAELKKKSTKPKRPSIKSLFDDESAMVANQPAQGSFMKLLPPQLEAPEKQSESQ >ONI26947 pep chromosome:Prunus_persica_NCBIv2:G1:4073093:4073981:1 gene:PRUPE_1G057200 transcript:ONI26947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHCKSQQDDLGSHLIPIKGKYEFSFRPNFWGTTQFYCSFQWGTEFHYFDIYMGDRDHENCDSYKCIWSIIPKGPCMWNYLTGHYDICKDWNDSSLKSYAP >ONI26791 pep chromosome:Prunus_persica_NCBIv2:G1:3284088:3289209:1 gene:PRUPE_1G046200 transcript:ONI26791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLERASPALKRILLKLDRENKSIEIDHHLYEFGSVEYHIQSSTSDTHYTNLSVSTPLLSQGGILPNGISHYTKQMVKGICPDVVEIIEPAKEGYQITLRLDLSKIPNGKESIKVIADISAVQAVILSSQLKEMLMNVSSQDTFQGMYKTIKLVYHPREPFFIVRQPQKILAVFPIRFKDKTDVIIATAFFQELVDVGSSEKWAKAPPCCWSPIPPPELRGEPFEDLSTNGGFVSFDISSRHVRGKRLDKTVWSLLNFYAYVKYHVKSTRSFIQRRMRKRLQRLVEVLHKRSTQEEEKEEEEEGNHKVQGCMFMRKMINVSKPKVLKRRYNDLSGKIKRIRSRIKILGFGRFRRRWLKMPKFSSSMRYTKLD >ONI26793 pep chromosome:Prunus_persica_NCBIv2:G1:3284088:3287472:1 gene:PRUPE_1G046200 transcript:ONI26793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLERASPALKRILLKLDRENKSIEIDHHLYEFGSVEYHIQSSTSDTHYTNLSVSTPLLSQGGILPNGISHYTKQMVKGICPDVVEIIEPAKEGYQITLRLDLSKIPNGKESIKVIADISAVQAVILSSQLKEMLMNVSSQDTFQGMYKTIKLVYHPREPFFIVRQPQKILAVFPIRFKDKTDVIIATAFFQELVDVGSSEKWAKAPPCCWSPIPPPELRGEPFEDLSTNGGFVSFGIFLDYSL >ONI26792 pep chromosome:Prunus_persica_NCBIv2:G1:3284182:3287982:1 gene:PRUPE_1G046200 transcript:ONI26792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLERASPALKRILLKLDRENKSIEIDHHLYEFGSVEYHIQSSTSDTHYTNLSVSTPLLSQGGILPNGISHYTKQMVKGICPDVVEIIEPAKEGYQITLRLDLSKIPNGKESIKVIADISAVQAVILSSQLKEMLMNVSSQDTFQGMYKTIKLVYHPREPFFIVRQPQKILAVFPIRFKDKTDVIIATAFFQELVDVGSSEKWAKAPPCCWSPIPPPELRGEPFEDLSTNGGFVSFDISSRHVRGKRLDKTVWSLLNFYAYVKYHVKSTRSFIQRRMRKRLQRLVEVLN >ONI33655 pep chromosome:Prunus_persica_NCBIv2:G1:37313461:37313862:1 gene:PRUPE_1G438700 transcript:ONI33655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAEKSSQWRSVFDGVKLKTIKAMPEALMAEINTAICNLEYARDTDLVDSPSSSSISRFESSPQPEYSARMADQAYKAGCAALAAGKLDDALQSLNVSLSKCPPDQTSAVSKLHSLISLTSQQLQNSTS >ONI26315 pep chromosome:Prunus_persica_NCBIv2:G1:1189584:1190673:1 gene:PRUPE_1G017100 transcript:ONI26315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVGMRRLVFSGISWILAFLLFVSPSAVKTQIPGCSLVGLDLEHCLKPSSGFISMDDTCCTALNQLVQAGYGCLCSLIATSSSLPLLGTPLSLPLSNCYISAPPLTQCQVVAPKAEGFPPNGPHEEIAQPSGPTDHRVVPVAPVEVLQAPLNSTSNGNATVVESKQPPVTKSSDDISNREEKTKLHPLPMLLLSLALQACKALY >ONI32636 pep chromosome:Prunus_persica_NCBIv2:G1:34174727:34176364:1 gene:PRUPE_1G377900 transcript:ONI32636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGISRAVRSCQQILKAAILQHAQGREIAGIEKIVSSLKWVTSPPAQQKGLENVTVADVLMTKDEQTGPWLWCHTNDVVIDAVKNMAKNNVGSLVVLKPGEQQYIAGIITERDYLRKIIAQERSPNHTRVGEIMTNENKLITVTSDTNILQAMQLMTENQIRHVPVIDGKIVGMISIKDVVRAVVEQQSGELKQLNEYIKGKYY >ONI29452 pep chromosome:Prunus_persica_NCBIv2:G1:19169572:19171762:-1 gene:PRUPE_1G198900 transcript:ONI29452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKRSKDAVKFKVRCSKYLYTLCVFDTEKADKLKQSLPPGLSVQDL >ONI28673 pep chromosome:Prunus_persica_NCBIv2:G1:12169233:12174969:-1 gene:PRUPE_1G154400 transcript:ONI28673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTMLEGIVGVLLLMLLLILILIFVACKPWRFFFSSRSRTIKDGELERPLVSDDANQVRNQGNEFRRGYDLEGACFQNEGNFRSPRTQGLIYKPRLPTTAPNSTQGDLILDVISDAPEDILVGQTLKRPLVTQQLVEDQKFDRQILEHDRLQEFVPNDILYQRTCLNLEVISGPSQGLRCSVQSTNSARLPLTLGRVPPSDLLLKDSEVSGKHALINWNSNKMKWELVDMGSLNGTLLNSQPINNPDSGSRHWGKPMELASGDVITLGTTSKVFVHISSETESQIPFGLGVASDPMALRRGGKKLPMEDVCYYQWPLPGIDQFGLFGICDGHGGAEAARSASKLLPEMVANILSDSLKRERVLSLCDASDVLKDAFFQTEAGMNHHYEGCTATLLLVWTDGKDNFFAQCANLGDSACVMNVDGKLIKMTEDHRISSYGERLRIQETGQPLKEGETRLCGLNLARMLGDKFLKQQDSRFSSEPYISQVVQIDQASRAFALMASDGLWDVISVKKAIQLVLQTRERYSKDGENLAEKTANVLLSEARTVRTKDNTSIIFLDFDTSRISCKGES >ONI28672 pep chromosome:Prunus_persica_NCBIv2:G1:12169106:12175072:-1 gene:PRUPE_1G154400 transcript:ONI28672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTMLEGIVGVLLLMLLLILILIFVACKPWRFFFSSRSRTIKDGELERPLVSDDANQVRNQGNEFRRGYDLEGACFQNEGNFRSPRTQGLIYKPRLPTTAPNSTQGDLILDVISDAPEDILVGQTLKRPLVTQQLVEDQKFDRQILEHDRLQEFVPNDILYQRTCLNLEVISGPSQGLRCSVQSTNSARLPLTLGRVPPSDLLLKDSEVSGKHALINWNSNWELVDMGSLNGTLLNSQPINNPDSGSRHWGKPMELASGDVITLGTTSKVFVHISSETESQIPFGLGVASDPMALRRGGKKLPMEDVCYYQWPLPGIDQFGLFGICDGHGGAEAARSASKLLPEMVANILSDSLKRERVLSLCDASDVLKDAFFQTEAGMNHHYEGCTATLLLVWTDGKDNFFAQCANLGDSACVMNVDGKLIKMTEDHRISSYGERLRIQETGQPLKEGETRLCGLNLARMLGDKFLKQQDSRFSSEPYISQVVQIDQASRAFALMASDGLWDVISVKKAIQLVLQTRERYSKDGENLAEKTANVLLSEARTVRTKDNTSIIFLDFDTSRISCKGES >ONI28674 pep chromosome:Prunus_persica_NCBIv2:G1:12169233:12174969:-1 gene:PRUPE_1G154400 transcript:ONI28674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTMLEGIVGVLLLMLLLILILIFVACKPWRFFFSSRSRTIKDGELERPLVSDDANQVRNQGNEFRRGYDLEGACFQNEGNFRSPRTQGLIYKPRLPTTAPNSTQGDLILDVISDAPEDILVGQTLKRPLVTQQLVEDQKFDRQILEHDRLQEFVPNDILYQISFRSAGTCLNLEVISGPSQGLRCSVQSTNSARLPLTLGRVPPSDLLLKDSEVSGKHALINWNSNKMKWELVDMGSLNGTLLNSQPINNPDSGSRHWGKPMELASGDVITLGTTSKVFVHISSETESQIPFGLGVASDPMALRRGGKKLPMEDVCYYQWPLPGIDQFGLFGICDGHGGAEAARSASKLLPEMVANILSDSLKRERVLSLCDASDVLKDAFFQTEAGMNHHYEGCTATLLLVWTDGKDNFFAQCANLGDSACVMNVDGKLIKMTEDHRISSYGERLRIQETGQPLKEGETRLCGLNLARMLGDKFLKQQDSRFSSEPYISQVVQIDQASRAFALMASDGLWDVISVKKAIQLVLQTRERYSKDGENLAEKTANVLLSEARTVRTKDNTSIIFLDFDTSRISCKGES >ONI35142 pep chromosome:Prunus_persica_NCBIv2:G1:42583544:42587829:-1 gene:PRUPE_1G518500 transcript:ONI35142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDGIQQDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35137 pep chromosome:Prunus_persica_NCBIv2:G1:42581973:42587966:-1 gene:PRUPE_1G518500 transcript:ONI35137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35144 pep chromosome:Prunus_persica_NCBIv2:G1:42583544:42587829:-1 gene:PRUPE_1G518500 transcript:ONI35144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDGIQQDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35139 pep chromosome:Prunus_persica_NCBIv2:G1:42581965:42587902:-1 gene:PRUPE_1G518500 transcript:ONI35139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35141 pep chromosome:Prunus_persica_NCBIv2:G1:42581656:42587905:-1 gene:PRUPE_1G518500 transcript:ONI35141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35143 pep chromosome:Prunus_persica_NCBIv2:G1:42583544:42587829:-1 gene:PRUPE_1G518500 transcript:ONI35143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDGIQQDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35145 pep chromosome:Prunus_persica_NCBIv2:G1:42581656:42587893:-1 gene:PRUPE_1G518500 transcript:ONI35145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDGIQQDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35140 pep chromosome:Prunus_persica_NCBIv2:G1:42581918:42587904:-1 gene:PRUPE_1G518500 transcript:ONI35140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35148 pep chromosome:Prunus_persica_NCBIv2:G1:42583544:42587829:-1 gene:PRUPE_1G518500 transcript:ONI35148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDGIQQDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35136 pep chromosome:Prunus_persica_NCBIv2:G1:42581877:42587966:-1 gene:PRUPE_1G518500 transcript:ONI35136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35146 pep chromosome:Prunus_persica_NCBIv2:G1:42583544:42587829:-1 gene:PRUPE_1G518500 transcript:ONI35146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDGIQQDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35147 pep chromosome:Prunus_persica_NCBIv2:G1:42581656:42587940:-1 gene:PRUPE_1G518500 transcript:ONI35147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDGIQQDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI35138 pep chromosome:Prunus_persica_NCBIv2:G1:42582368:42587932:-1 gene:PRUPE_1G518500 transcript:ONI35138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATVVDHHNPDPNPNHLHLDSLPLIDLRLLSQSDLYSLSLTSSSSLSNPTRRFDDDVLIPKIDRSVFNESAGSRKQTYSRLRLAPRNSQFPIPNPKSQPTPFSHSQSRDPETRQIISLLKQLFPSSEIAENDDVLVSVPVHLAQDDSIPGPSVQNALVGLSADVGMKRKRGRPRKDANAVMAYPMVKADVSIERHGGGDTTPGIVVQSSDGKRKRGRPRKDENRVVSVSERERKSNVKESSVTEERVKVEEAEMVMVNENGVVLDLAALGNADDSFGEALRRRTDGLETEAQLLGFLGGLEGGWSSARKKRKIVQASELLDALPRQWKVMLSLKRNGGHVCLFCRRYISPNGQQFVSCKEVSSYLLSYFGVRNASKPGHTDGNIPLSNELVSGDDTNITFEDESKADELATCSLMPISSVSTGHEKQATFLHTENSREIQAGEIMGMPKKFDPVKTGVLQTSLKIHESVQIDREATLDAVANYDLSSDFPCAKVNKDIENCLGTYKRESISLSCEEQAMKANKSGKDLGFFEQGSAFNLTNDKLTKADEATDGGLSCGETNVFDCFPDGINDGFKASVNSVDVTKVDTDTALNGMGKERRTENIDNNQLSSTVENTKIDDADDFGNGRSTSGISESHFGPEDVCTNVKKQSTSEACSLVPSILVESRRERGLCSASSDEKTCDVGNNFYSESFRTSYEPKCDDIDISGNDDKTISFGSGHAMPDVDAMEISEHVITTGKCSNVPAQDGKSCITLSNAKNPTCSLDELCQEKSFQRNVSTPFVKQDIGSAKTGMVCPSGSASSYALVNNVNNTSSSSMEELKKEEVKRSWNNEVLLAFDSSHGGPGANSVKSTGHERSSGRPSLVRSENSQTFSTGNNSSVLEDKLITGSVNGLICPNGSEQTPGFENSLNRVYSSITWEQPNTENAESSRNNDPMTGFLNHSQPSGDVMAELMWRIDEQNVQQSGLADTSSELVQSSGCHPNFDMLSGKGADGALSVNEKFDNISGLEGLKPGRIEKLEYNFQTAQASSHSKESKVLSYDGEMEQGFSSSAWLEKESLPSMPNIANRPETNICVWCGNEFYHEVYEAGAQTGSVGLMCAACQAKFSSQFNYL >ONI31551 pep chromosome:Prunus_persica_NCBIv2:G1:30654442:30657483:-1 gene:PRUPE_1G319300 transcript:ONI31551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDGPAERRKQPPQTQTPRTGNGNCGISSMSLANRLRLNPNKEHKPDSYDDLHQLEFSPLLFSSLERYLPPTMLNGSRDLKLQYMRDILLRYSSESERTRVRKWQFSALSLSLSLVQRHREYRQKIISHYQPVHKELYTMQAAQFFVPSFLKAINENTEDSFRSIMAEPAPGIYTFEMLQPYFCELLLSEVETFERWVIETNFRIMRPNTMNRYGCVLDDFGLETMLDKLMEDFIRPMSRVFFPEVGGSTLDSHHGFVVEYGTDKDSELGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCDKHVNSETQSEEIFDYSHVPGHAVLHRGRNRHGARATTSGCRVNLLLWCRSSVFRELKKYQKDSSNWCGECQREKKERQRQSIAATKLVVKI >ONI31548 pep chromosome:Prunus_persica_NCBIv2:G1:30654041:30657621:-1 gene:PRUPE_1G319300 transcript:ONI31548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRHREYRQKIISHYQPVHKELYTMQAAQFFVPSFLKAINENTEDSFRSIMAEPAPGIYTFEMLQPYFCELLLSEVETFERWVIETNFRIMRPNTMNRYGCVLDDFGLETMLDKLMEDFIRPMSRVFFPEVGGSTLDSHHGFVVEYGTDKDSELGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCDKHVNSETQSEEIFDYSHVPGHAVLHRGRNRHGARATTSGCRVNLLLWCRSSVFRELKKYQKDSSNWCGECQREKKERQRQSIAATKLELLKRDGKPTS >ONI31550 pep chromosome:Prunus_persica_NCBIv2:G1:30654442:30657483:-1 gene:PRUPE_1G319300 transcript:ONI31550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDGPAERRKQPPQTQTPRTGNGNCGISSMSLANRLRLNPNKEHKPDSYDDLHQLEFSPLLFSSLERYLPPTMLNGSRDLKLQYMRDILLRYSSESERTRVQRHREYRQKIISHYQPVHKELYTMQAAQFFVPSFLKAINENTEDSFRSIMAEPAPGIYTFEMLQPYFCELLLSEVETFERWVIETNFRIMRPNTMNRYGCVLDDFGLETMLDKLMEDFIRPMSRVFFPEVGGSTLDSHHGFVVEYGTDKDSELGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCDKHVNSETQSEEIFDYSHVPGHAVLHRGRNRHGARATTSGCRVNLLLWCRSSVFRELKKYQKDSSNWCGECQREKKERQRQSIAATKLVVKI >ONI31545 pep chromosome:Prunus_persica_NCBIv2:G1:30654041:30657621:-1 gene:PRUPE_1G319300 transcript:ONI31545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDGPAERRKQPPQTQTPRTGNGNCGISSMSLANRLRLNPNKEHKPDSYDDLHQLEFSPLLFSSLERYLPPTMLNGSRDLKLQYMRDILLRYSSESERTRVQRHREYRQKIISHYQPVHKELYTMQAAQFFVPSFLKAINENTEDSFRSIMAEPAPGIYTFEMLQPYFCELLLSEVETFERWVIETNFRIMRPNTMNRYGCVLDDFGLETMLDKLMEDFIRPMSRVFFPEVGGSTLDSHHGFVVEYGTDKDSELGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCDKHVNSETQSEEIFDYSHVPGHAVLHRGRNRHGARATTSGCRVNLLLWCRSSVFRELKKYQKDSSNWCGECQREKKERQRQSIAATKLELLKRDGKPTS >ONI31549 pep chromosome:Prunus_persica_NCBIv2:G1:30654331:30656945:-1 gene:PRUPE_1G319300 transcript:ONI31549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILIDVGFWGFVQRHREYRQKIISHYQPVHKELYTMQAAQFFVPSFLKAINENTEDSFRSIMAEPAPGIYTFEMLQPYFCELLLSEVETFERWVIETNFRIMRPNTMNRYGCVLDDFGLETMLDKLMEDFIRPMSRVFFPEVGGSTLDSHHGFVVEYGTDKDSELGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCDKHVNSETQSEEIFDYSHVPGHAVLHRGRNRHGARATTSGCRVNLLLWCRSSVFRELKKYQKDSSNWCGECQREKKERQRQSIAATKLELLKRDGKPTS >ONI31547 pep chromosome:Prunus_persica_NCBIv2:G1:30654331:30657483:-1 gene:PRUPE_1G319300 transcript:ONI31547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDGPAERRKQPPQTQTPRTGNGNCGISSMSLANRLRLNPNKEHKPDSYDDLHQLEFSPLLFSSLERYLPPTMLNGSRDLKLQYMRDILLRYSSESERTRVRKWQFSALSLSLSLVQRHREYRQKIISHYQPVHKELYTMQAAQFFVPSFLKAINENTEDSFRSIMAEPAPGIYTFEMLQPYFCELLLSEVETFERWVIETNFRIMRPNTMNRYGCVLDDFGLETMLDKLMEDFIRPMSRVFFPEVGGSTLDSHHGFVVEYGTDKDSELGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCDKHVNSETQSEEIFDYSHVPGHAVLHRGRNRHGARATTSGCRVNLLLWCRSSVFRELKKYQKDSSNWCGECQREKKERQRQSIAATKLELLKRDGKPTS >ONI31546 pep chromosome:Prunus_persica_NCBIv2:G1:30654041:30657621:-1 gene:PRUPE_1G319300 transcript:ONI31546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDGPAERRKQPPQTQTPRTGNGNCGISSMSLANRLRLNPNKEHKPDSYDDLHQLEFSPLLFSSLERYLPPTMLNGSRDLKLQYMRDILLRYSSESERTRVQRHREYRQKIISHYQPVHKELYTMQAAQFFVPSFLKAINENTEDSFRSIMAEPAPGIYTFEMLQPYFCELLLSEVETFERWVIETNFRIMRPNTMNRYGCVLDDFGLETMLDKLMEDFIRPMSRVFFPEVGGSTLDSHHGFVVEYGTDKDSELGFHVDDSEVTLNVCLGKQFSGGELFFRGIRCDKHVNSETQSEEIFDYSHVPGHAVLHRGRNRHGARATTSGCRVNLLLWCRSVYPQSFCSSVFRELKKYQKDSSNWCGECQREKKERQRQSIAATKLELLKRDGKPTS >ONI32242 pep chromosome:Prunus_persica_NCBIv2:G1:33003445:33005531:-1 gene:PRUPE_1G355800 transcript:ONI32242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHGEGTTYKGFTVHQPKRWHTLTGKGMCAMMWFWVLYRAKQDGPVVLGWRHPWEGHGDHSHGDH >ONI32243 pep chromosome:Prunus_persica_NCBIv2:G1:33003445:33005517:-1 gene:PRUPE_1G355800 transcript:ONI32243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHGEGTTYKGFTVHQPKRWHTLTGKGMCAMMWFWVLYRAKQDGPVVLGWRHPWEGHGDHSHGDH >ONI32859 pep chromosome:Prunus_persica_NCBIv2:G1:34813332:34814859:1 gene:PRUPE_1G390000 transcript:ONI32859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIINLKITSGRILLRSHLLVLFWDWYFILSNENKPTEHGYWRAKGEACRIFSNSSMTGWRAIIEFYQGQSPHESKTNWVMQEYWITQKKLSKDSKPKTRFMPEIDYVSRDSSCLTMSSDECFDSLALLEELEPKNSPYLVNNNAGCKFSISAPLRADELVMFAASSGSFSKSPSEERIKTHSPIHGAVCGKISGKTSNNMSRIQKPEYRNEGGPSTFHNVAVSPRRKKEG >ONI34332 pep chromosome:Prunus_persica_NCBIv2:G1:39560264:39563960:1 gene:PRUPE_1G475400 transcript:ONI34332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNQHLNFTGTPSCQTHRCQDLSVNFCILTPQMKKRAQMTLANLKLSHWAINITPVKNIMEEANLKLSHWAINITPVKDIMEEANLKLSHWAINITPVKDIMEEANLKLSHWAINITPVKNIMEEANLKLSHWAISITPVKKSMEEVLLHPTINHQFHRNSNTATFLPRESAQSIPFSSNKLPEIFNHFSVKPTSEEAKTIKQTIEECEAPDLKGEEIYCATSLESMVDFSTSKLGTRNVEAISTEVLEKGATMSIQNYTTMPGLKKLAGDKVVVCHKQNYPYAVFFCHAIKHTAAYALSLKGDDGEKVKAVTICHLDTSEWDPEHMSFKIVNVKPGTIPICHFISTDAIAWVPNHKSA >ONI32853 pep chromosome:Prunus_persica_NCBIv2:G1:34804986:34810604:1 gene:PRUPE_1G389900 transcript:ONI32853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLCYDAGNAEVSQGLINRLYSDFSDGIHFATPVSSLRTNELDLVRSVLQMLQGFSSSLFYWDQNRVSFQVKSGLHVNHLSHTSLHAIVHQFMYAATCLQLVEVLVNKIEKSVKLPPPTLRAFACSVSSWLTRLRDISLKEEMKISNDAVGTTPTLLGLANSLSSLCSGAEYLLQIVRGAIPQVYFESNSSLPAADLAVHVLDHIYKKLDEVCLVRGGEEEDYKMLLHLFIGSILPYIEGLDSWLFEGTLDDPYEEMFFYANRAISVDEADFWEKSYLLRQIQCQMLDVGASASSCASDRISVANDKKGVGQRESISTFSFMKGKEWNDKDLQSCPLFIKDIAKSIVSAGKSLQLIRHIPMTSAVVSRKGNDCEIDGFGSLDKGVQYGHSIAGLTLSEVFCVSLAGLIGHGDHIFQYIYGKQKVESDDGVIVPVKRSEKIWCKFLVDTLAEKRLVDTQSAHEDGKTLPDAKEENMLAGVVNEFPLSRSFCQENPVLTVCQKTLSKNGDAWKTLNLSRNLCLPPLNDEILRKAIFGRESGSISADEGTNYTFGFRFGESEYLRSQDDSHMLQVLFPFPTLLPSFQVDCIGRHILSKLMNGWKLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGVLLSVPDSLIVSLTKNHDLNGNEQPPNMASQPSTPRKSRAHSFGMDGLDQLKFTYKVSWPLELIANVEAIKKYNQVMGFLLKVKRAKFVLDKTRRWMWKGRGTAANNHKRHWLVEQKLLHFVDAFHQYVMDRVYHNAWRELCEGMTAARSLDEVIEVHELYLLTIQRQCFVVPDKLWALIASRINNILGLALDFYSIQLTLSGGTVSAIKAKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLRTLPSSENVTSRLGKAFLGRTD >ONI32854 pep chromosome:Prunus_persica_NCBIv2:G1:34804986:34811415:1 gene:PRUPE_1G389900 transcript:ONI32854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLCYDAGNAEVSQGLINRLYSDFSDGIHFATPVSSLRTNELDLVRSVLQMLQGFSSSLFYWDQNRVSFQVKSGLHVNHLSHTSLHAIVHQFMYAATCLQLVEVLVNKIEKSVKLPPPTLRAFACSVSSWLTRLRDISLKEEMKISNDAVGTTPTLLGLANSLSSLCSGAEYLLQIVRGAIPQVYFESNSSLPAADLAVHVLDHIYKKLDEVCLVRGGEEEDYKMLLHLFIGSILPYIEGLDSWLFEGTLDDPYEEMFFYANRAISVDEADFWEKSYLLRQIQCQMLDVGASASSCASDRISVANDKKGVGQRESISTFSFMKGKEWNDKDLQSCPLFIKDIAKSIVSAGKSLQLIRHIPMTSAVVSRKGNDCEIDGFGSLDKGVQYGHSIAGLTLSEVFCVSLAGLIGHGDHIFQYIYGKQKVESDDGVIVPVKRSEKIWCKFLVDTLAEKRLVDTQSAHEDGKTLPDAKEENMLAGVVNEFPLSRSFCQENPVLTVCQKTLSKNGDAWKTLNLSRNLCLPPLNDEILRKAIFGRESGSISADEGTNYTFGFRFGESEYLRSQDDSHMLQVLFPFPTLLPSFQVDCIGRHILSKLMNGWKLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGVLLSVPDSLIVSLTKNHDLNGNEQPPNMASQPSTPRKSRAHSFGMDGLDQLKFTYKVSWPLELIANVEAIKKYNQVMGFLLKVKRAKFVLDKTRRWMWKGRGTAANNHKRHWLVEQKLLHFVDAFHQYVMDRVYHNAWRELCEGMTAARSLDEVIEVHELYLLTIQRQCFVVPDKLWALIASRINNILGLALDFYSIQLTLSGGTVSAIKAKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLRTLPSSENVTSRLGKAFLGRTD >ONI32849 pep chromosome:Prunus_persica_NCBIv2:G1:34804986:34810604:1 gene:PRUPE_1G389900 transcript:ONI32849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLCYDAGNAEVSQGLINRLYSDFSDGIHFATPVSSLRTNELDLVRSVLQMLQGFSSSLFYWDQNRVSFQVKSGLHVNHLSHTSLHAIVHQFMYAATCLQLVEVLVNKIEKSVKLPPPTLRAFACSVSSWLTRLRDISLKEEMKISNDAVGTTPTLLGLANSLSSLCSGAEYLLQIVRGAIPQVYFESNSSLPAADLAVHVLDHIYKKLDEVCLVRGGEEEDYKMLLHLFIGSILPYIEGLDSWLFEGTLDDPYEEMFFYANRAISVDEADFWEKSYLLRQIQCQMLDVGASASSCASDRISVANDKKGVGQRESISTFSFMKGKEWNDKDLQSCPLFIKDIAKSIVSAGKSLQLIRHIPMTSAVVSRKGNDCEIDGFGSLDKGVQYGHSIAGLTLSEVFCVSLAGLIGHGDHIFQYIYGKQKVESDDGVIVPVKRSEKIWCKFLVDTLAEKRLVDTQSAHEDGKTLPDAKEENMLAGVVNEFPLSRSFCQENPVLTVCQKTLSKNGDAWKTLNLSRNLCLPPLNDEILRKAIFGRESGSISADEGTNYTFGFRFGESEYLRSQDDSHMLQVLFPFPTLLPSFQDELHMSELLPFQKNSTLPSRVLTWVQQFEPRSTPLPVVLVQECLTVYIQKRVDCIGRHILSKLMNGWKLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGVLLSVPDSLIVSLTKNHDLNGNEQPPNMASQPSTPRKSRAHSFGMDGLDQLKFTYKVSWPLELIANVEAIKKYNQVMGFLLKVKRAKFVLDKTRRWMWKGRGTAANNHKRHWLVEQKLLHFVDAFHQYVMDRVYHNAWRELCEGMTAARSLDEVIEVHELYLLTIQRQCFVVPDKLWALIASRINNILGLALDFYSIQLTLSGGTVSAIKAKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLRTLPSSENVTSRLGKAFLGRTD >ONI32852 pep chromosome:Prunus_persica_NCBIv2:G1:34804986:34811437:1 gene:PRUPE_1G389900 transcript:ONI32852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLCYDAGNAEVSQGLINRLYSDFSDGIHFATPVSSLRTNELDLVRSVLQMLQGFSSSLFYWDQNRVSFQVKSGLHVNHLSHTSLHAIVHQFMYAATCLQLVEVLVNKIEKSVKLPPPTLRAFACSVSSWLTRLRDISLKEEMKISNDAVGTTPTLLGLANSLSSLCSGAEYLLQIVRGAIPQVYFESNSSLPAADLAVHVLDHIYKKLDEVCLVRGGEEEDYKMLLHLFIGSILPYIEGLDSWLFEGTLDDPYEEMFFYANRAISVDEADFWEKSYLLRQIQCQMLDVGASASSCASDRISVANDKKGVGQRESISTFSFMKGKEWNDKDLQSCPLFIKDIAKSIVSAGKSLQLIRHIPMTSAVVSRKGNDCEIDGFGSLDKGVQYGHSIAGLTLSEVFCVSLAGLIGHGDHIFQYIYGKQKVESDDGVIVPVKRSEKIWCKFLVDTLAEKRLVDTQSAHEDGKTLPDAKEENMLAGVVNEFPLSRSFCQENPVLTVCQKTLSKNGDAWKTLNLSRNLCLPPLNDEILRKAIFGRESGSISADEGTNYTFGFRFGESEYLRSQDDSHMLQVLFPFPTLLPSFQVDCIGRHILSKLMNGWKLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGVLLSVPDSLIVSLTKNHDLNGNEQPPNMASQPSTPRKSRAHSFGMDGLDQLKFTYKVSWPLELIANVEAIKKYNQVMGFLLKVKRAKFVLDKTRRWMWKGRGTAANNHKRHWLVEQKLLHFVDAFHQYVMDRVYHNAWRELCEGMTAARSLDEVIEVHELYLLTIQRQCFVVPDKLWALIASRINNILGLALDFYSIQLTLSGGTVSAIKAKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLRTLPSSENVTSRLGKAFLGRTD >ONI32856 pep chromosome:Prunus_persica_NCBIv2:G1:34804986:34811503:1 gene:PRUPE_1G389900 transcript:ONI32856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTCLCSGAEYLLQIVRGAIPQVYFESNSSLPAADLAVHVLDHIYKKLDEVCLVRGGEEEDYKMLLHLFIGSILPYIEGLDSWLFEGTLDDPYEEMFFYANRAISVDEADFWEKSYLLRQIQCQMLDVGASASSCASDRISVANDKKGVGQRESISTFSFMKGKEWNDKDLQSCPLFIKDIAKSIVSAGKSLQLIRHIPMTSAVVSRKGNDCEIDGFGSLDKGVQYGHSIAGLTLSEVFCVSLAGLIGHGDHIFQYIYGKQKVESDDGVIVPVKRSEKIWCKFLVDTLAEKRLVDTQSAHEDGKTLPDAKEENMLAGVVNEFPLSRSFCQENPVLTVCQKTLSKNGDAWKTLNLSRNLCLPPLNDEILRKAIFGRESGSISADEGTNYTFGFRFGESEYLRSQDDSHMLQVLFPFPTLLPSFQDELHMSELLPFQKNSTLPSRVLTWVQQFEPRSTPLPVVLVQECLTVYIQKRVDCIGRHILSKLMNGWKLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGVLLSVPDSLIVSLTKNHDLNGNEQPPNMASQPSTPRKSRAHSFGMDGLDQLKFTYKVSWPLELIANVEAIKKYNQVMGFLLKVKRAKFVLDKTRRWMWKGRGTAANNHKRHWLVEQKLLHFVDAFHQYVMDRVYHNAWRELCEGMTAARSLDEVIEVHELYLLTIQRQCFVVPDKLWALIASRINNILGLALDFYSIQLTLSGGTVSAIKAKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLRTLPSSENVTSRLGKAFLGRTD >ONI32851 pep chromosome:Prunus_persica_NCBIv2:G1:34804986:34811502:1 gene:PRUPE_1G389900 transcript:ONI32851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLCYDAGNAEVSQGLINRLYSDFSDGIHFATPVSSLRTNELDLVRSVLQMLQGFSSSLFYWDQNRVSFQVKSGLHVNHLSHTSLHAIVHQFMYAATCLQLVEVLVNKIEKSVKLPPPTLRAFACSVSSWLTRLRDISLKEEMKISNDAVGTTPTLLGLANSLSSLCSGAEYLLQIVRGAIPQVYFESNSSLPAADLAVHVLDHIYKKLDEVCLVRGGEEEDYKMLLHLFIGSILPYIEGLDSWLFEGTLDDPYEEMFFYANRAISVDEADFWEKSYLLRQIQCQMLDVGASASSCASDRISVANDKKGVGQRESISTFSFMKGKEWNDKDLQSCPLFIKDIAKSIVSAGKSLQLIRHIPMTSAVVSRKGNDCEIDGFGSLDKGVQYGHSIAGLTLSEVFCVSLAGLIGHGDHIFQYIYGKQKVESDDGVIVPVKRSEKIWCKFLVDTLAEKRLVDTQSAHEDGKTLPDAKEENMLAGVVNEFPLSRSFCQENPVLTVCQKTLSKNGDAWKTLNLSRNLCLPPLNDEILRKAIFGRESGSISADEGTNYTFGFRFGESEYLRSQDDSHMLQVLFPFPTLLPSFQDELHMSELLPFQKNSTLPSRVLTWVQQFEPRSTPLPVVLVQECLTVYIQKRVDCIGRHILSKLMNGWKLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGVLLSVPDSLIVSLTKNHDLNGNEQPPNMASQPSTPRKSRAHSFGMDGLDQLKFTYKVSWPLELIANVEAIKKYNQVMGFLLKVKRAKFVLDKTRRWMWKGRGTAANNHKRHWLVEQKLLHFVDAFHQYVMDRVYHNAWRELCEGMTAARSLDEVIEVHELYLLTIQRQCFVVPDKLWALIASRINNILGLALDFYSIQLTLSGGTVSAIKAKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLRTLPSSENVTSRLGKAFLGRTD >ONI32857 pep chromosome:Prunus_persica_NCBIv2:G1:34804986:34810604:1 gene:PRUPE_1G389900 transcript:ONI32857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTCLCSGAEYLLQIVRGAIPQVYFESNSSLPAADLAVHVLDHIYKKLDEVCLVRGGEEEDYKMLLHLFIGSILPYIEGLDSWLFEGTLDDPYEEMFFYANRAISVDEADFWEKSYLLRQIQCQMLDVGASASSCASDRISVANDKKGVGQRESISTFSFMKGKEWNDKDLQSCPLFIKDIAKSIVSAGKSLQLIRHIPMTSAVVSRKGNDCEIDGFGSLDKGVQYGHSIAGLTLSEVFCVSLAGLIGHGDHIFQYIYGKQKVESDDGVIVPVKRSEKIWCKFLVDTLAEKRLVDTQSAHEDGKTLPDAKEENMLAGVVNEFPLSRSFCQENPVLTVCQKTLSKNGDAWKTLNLSRNLCLPPLNDEILRKAIFGRESGSISADEGTNYTFGFRFGESEYLRSQDDSHMLQVLFPFPTLLPSFQVDCIGRHILSKLMNGWKLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGVLLSVPDSLIVSLTKNHDLNGNEQPPNMASQPSTPRKSRAHSFGMDGLDQLKFTYKVSWPLELIANVEAIKKYNQVMGFLLKVKRAKFVLDKTRRWMWKGRGTAANNHKRHWLVEQKLLHFVDAFHQYVMDRVYHNAWRELCEGMTAARSLDEVIEVHELYLLTIQRQCFVVPDKLWALIASRINNILGLALDFYSIQLTLSGGTVSAIKAKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLRTLPSSENVTSRLGKAFLGRTD >ONI32850 pep chromosome:Prunus_persica_NCBIv2:G1:34804986:34811417:1 gene:PRUPE_1G389900 transcript:ONI32850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLCYDAGNAEVSQGLINRLYSDFSDGIHFATPVSSLRTNELDLVRSVLQMLQGFSSSLFYWDQNRVSFQVKSGLHVNHLSHTSLHAIVHQFMYAATCLQLVEVLVNKIEKSVKLPPPTLRAFACSVSSWLTRLRDISLKEEMKISNDAVGTTPTLLGLANSLSSLCSGAEYLLQIVRGAIPQVYFESNSSLPAADLAVHVLDHIYKKLDEVCLVRGGEEEDYKMLLHLFIGSILPYIEGLDSWLFEGTLDDPYEEMFFYANRAISVDEADFWEKSYLLRQIQCQMLDVGASASSCASDRISVANDKKGVGQRESISTFSFMKGKEWNDKDLQSCPLFIKDIAKSIVSAGKSLQLIRHIPMTSAVVSRKGNDCEIDGFGSLDKGVQYGHSIAGLTLSEVFCVSLAGLIGHGDHIFQYIYGKQKVESDDGVIVPVKRSEKIWCKFLVDTLAEKRLVDTQSAHEDGKTLPDAKEENMLAGVVNEFPLSRSFCQENPVLTVCQKTLSKNGDAWKTLNLSRNLCLPPLNDEILRKAIFGRESGSISADEGTNYTFGFRFGESEYLRSQDDSHMLQVLFPFPTLLPSFQDELHMSELLPFQKNSTLPSRVLTWVQQFEPRSTPLPVVLVQECLTVYIQKRVDCIGRHILSKLMNGWKLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGVLLSVPDSLIVSLTKNHDLNGNEQPPNMASQPSTPRKSRAHSFGMDGLDQLKFTYKVSWPLELIANVEAIKKYNQVMGFLLKVKRAKFVLDKTRRWMWKGRGTAANNHKRHWLVEQKLLHFVDAFHQYVMDRVYHNAWRELCEGMTAARSLDEVIEVHELYLLTIQRQCFVVPDKLWALIASRINNILGLALDFYSIQLTLSGGTVSAIKAKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLRTLPSSENVTSRLGKAFLGRTD >ONI32858 pep chromosome:Prunus_persica_NCBIv2:G1:34804986:34811437:1 gene:PRUPE_1G389900 transcript:ONI32858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTCLCSGAEYLLQIVRGAIPQVYFESNSSLPAADLAVHVLDHIYKKLDEVCLVRGGEEEDYKMLLHLFIGSILPYIEGLDSWLFEGTLDDPYEEMFFYANRAISVDEADFWEKSYLLRQIQCQMLDVGASASSCASDRISVANDKKGVGQRESISTFSFMKGKEWNDKDLQSCPLFIKDIAKSIVSAGKSLQLIRHIPMTSAVVSRKGNDCEIDGFGSLDKGVQYGHSIAGLTLSEVFCVSLAGLIGHGDHIFQYIYGKQKVESDDGVIVPVKRSEKIWCKFLVDTLAEKRLVDTQSAHEDGKTLPDAKEENMLAGVVNEFPLSRSFCQENPVLTVCQKTLSKNGDAWKTLNLSRNLCLPPLNDEILRKAIFGRESGSISADEGTNYTFGFRFGESEYLRSQDDSHMLQVLFPFPTLLPSFQVDCIGRHILSKLMNGWKLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGVLLSVPDSLIVSLTKNHDLNGNEQPPNMASQPSTPRKSRAHSFGMDGLDQLKFTYKVSWPLELIANVEAIKKYNQVMGFLLKVKRAKFVLDKTRRWMWKGRGTAANNHKRHWLVEQKLLHFVDAFHQYVMDRVYHNAWRELCEGMTAARSLDEVIEVHELYLLTIQRQCFVVPDKLWALIASRINNILGLALDFYSIQLTLSGGTVSAIKAKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLRTLPSSENVTSRLGKAFLGRTD >ONI32855 pep chromosome:Prunus_persica_NCBIv2:G1:34804986:34810604:1 gene:PRUPE_1G389900 transcript:ONI32855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTCLCSGAEYLLQIVRGAIPQVYFESNSSLPAADLAVHVLDHIYKKLDEVCLVRGGEEEDYKMLLHLFIGSILPYIEGLDSWLFEGTLDDPYEEMFFYANRAISVDEADFWEKSYLLRQIQCQMLDVGASASSCASDRISVANDKKGVGQRESISTFSFMKGKEWNDKDLQSCPLFIKDIAKSIVSAGKSLQLIRHIPMTSAVVSRKGNDCEIDGFGSLDKGVQYGHSIAGLTLSEVFCVSLAGLIGHGDHIFQYIYGKQKVESDDGVIVPVKRSEKIWCKFLVDTLAEKRLVDTQSAHEDGKTLPDAKEENMLAGVVNEFPLSRSFCQENPVLTVCQKTLSKNGDAWKTLNLSRNLCLPPLNDEILRKAIFGRESGSISADEGTNYTFGFRFGESEYLRSQDDSHMLQVLFPFPTLLPSFQDELHMSELLPFQKNSTLPSRVLTWVQQFEPRSTPLPVVLVQECLTVYIQKRVDCIGRHILSKLMNGWKLMDELAVLRAIYLLGSGDLLQHFLTVIFNKLDKGETWDDDFELNTILQESIRNSADGVLLSVPDSLIVSLTKNHDLNGNEQPPNMASQPSTPRKSRAHSFGMDGLDQLKFTYKVSWPLELIANVEAIKKYNQVMGFLLKVKRAKFVLDKTRRWMWKGRGTAANNHKRHWLVEQKLLHFVDAFHQYVMDRVYHNAWRELCEGMTAARSLDEVIEVHELYLLTIQRQCFVVPDKLWALIASRINNILGLALDFYSIQLTLSGGTVSAIKAKCEMEVDRIEKQFDDCIAFLLRVLSFKLNVGHFPHLADLVTRINYNYFYMSDSGNLRTLPSSENVTSRLGKAFLGRTD >ONI28184 pep chromosome:Prunus_persica_NCBIv2:G1:10107644:10109859:1 gene:PRUPE_1G129800 transcript:ONI28184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFPYLLEGLARTRSLKKMKNCHEDVARKTAEALEKEAKKNELVLSSSSGIVMSDKSNNFSSVCSKRGQKGINQDCLIIWEEFGCQEDMIFCGIFDGHGQWGHHVSKRVKESMPASLLCNWQETLTLASLNLDFEMEMDRNLHRFDIWKQSFLKTYAAIDQELKHSSKMDSYRSGATASTIVKQGEHLIVANVGDSRAVLASISEDGSLVPLQLTVDFKPNIPQEAERITRSNGRVFCLHDEPGTYRVWMPNGKTPGLAISRAFGDYCVKDFGLISVPAVSQRHITSRDQFVILATDGVWDVISNEEAVQIVSSTPDRKKAAKRLVGCATLAWKSKKRGIAMDDISAICLFFHASSSQHANLMISQN >ONI28185 pep chromosome:Prunus_persica_NCBIv2:G1:10107979:10109122:1 gene:PRUPE_1G129800 transcript:ONI28185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFPYLLEGLARTRSLKKMKNCHEDVARKTAEALEKEAKKNELVLSSSSGIVMSDKSNNFSSVCSKRGQKGINQDCLIIWEEFGCQEDMIFCGIFDGHGQWGHHVSKRVKESMPASLLCNWQETLTLASLNLDFEMEMDRNLHRFDIWKQSFLKTYAAIDQELKHSSKMDSYRSGATASTIVKQGEHLIVANVGDSRAVLASISEDGSLVPLQLTVDFKPNIPRWFSHSVSVSLTYKMCTLYLNILGLFGDSLLLQRRQNE >ONI26207 pep chromosome:Prunus_persica_NCBIv2:G1:757869:758856:-1 gene:PRUPE_1G009600 transcript:ONI26207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLVKVLEKVGMESLLLDVPFVCKSWYKATLNPSCCECIEVWPWDVSECPKFYNLMDRFVSEYQIDGDRFSVTAFLKFVINRSSRNATVLKFPNAAQCPGLVTLSLPGDALDSKHTNLELIGKWKNLEANFHGREASSIVKLVPNIKYLNLKGAKVSRDSLVMLLCGCKDLVMLDARDCSGFNENDDEISKLASHISKFMCEGSENPEFLRDMDNLVLPVDGYSFHQHVEENWDEMLNDLHNAFNDLGDEE >ONI29587 pep chromosome:Prunus_persica_NCBIv2:G1:20235687:20242707:1 gene:PRUPE_1G203200 transcript:ONI29587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLQIFTGFPMASTPASSFQASSSSSYSLAMVRKPLTTSFFNGGVGALKVEVIRVAPSNRPHYSRQRGGALGARMNLFDRFARVVKSYANALISTFEDPEKILEQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASEDWYRKAQLALQKGEEDLAREALKRRKSFADNANTLKAQLDQQKGVVDNLVSNTRLLESKIQEARSKKDTLKARAQSAKTATKVSEMVGNVNTSSALSAFEKMEEKVLAMESQAEAIGQLTTDDLEGKFALLESSSVDDDLANLKKELSGSSKKGELPPGRTAAPSSNKAYPFRDSEIEMELNELRRKAKDF >ONI30250 pep chromosome:Prunus_persica_NCBIv2:G1:25407190:25411159:-1 gene:PRUPE_1G240000 transcript:ONI30250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEYGRPFIILREQEQKTRLRGLDAQKANISAGTAVARILRTSLGPKGMDKMLQSPDGEVTVTNDGATILEQMDVDNQIAKLMVELSQSQDYEIGDGTTGVVVLAGALLEHAERLLERGIHPIRVAEGYEMASRIAFDHLQHIAQKFEFGLDNIEPLVRTCMTTLSSKIVNRCKRDLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGILIDKDMSHPQMPKRIENAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQQCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPDKLGKAGIVREKSFGTTKDRMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSVAVEAAADKYPGVEQYAIRAFADALDSVPMALAENSGLQPIETLSAVKSQQIKENNPHCGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDF >ONI29048 pep chromosome:Prunus_persica_NCBIv2:G1:15192665:15199192:1 gene:PRUPE_1G177600 transcript:ONI29048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVNCIQCKDCPQKSSLGIDLTLYDVKQSSTGKLVTCNQEFCLEVNDGPLSGCAGNMSCPYLQIYGDGSSTAGYFVKDVVFYDRVSGDLQTTSANGSVIFGCGATQSGDLGSSGEGALDGILGFGKSNSSIISQLASSGKVKKMFAHCLDGKNGGGIFAIGRVVQPKVNMTPLVPNQPHYNVNMKAVQVGHTFLNLPTDVFEAGDRKGTIIDSGTTLAYLPDVVYEPLVSKIISQQKDLNVQTVHGEYTCFQYSGSVDDGFPPVTLYFENSLSLKVYPHDYLFPYEAFWCIGWQNSGMQSSDRKNLTLLGDLVLSNKLVLYDLENQTIGWTEYNCSSSIKVQDEQSGTVHLVGSHYISSFACHLNTGLAIIFLLLTMLLHSLV >ONI29047 pep chromosome:Prunus_persica_NCBIv2:G1:15192036:15199391:1 gene:PRUPE_1G177600 transcript:ONI29047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTTTMAIMDIATLTLRSSFGSFALLFLLLISTKVTTSVALNNVFLSVKYKYAGREPSLSALKAHDTQRTLRILAGVDLPLGGSGRPDAVGLYYAEIGIGTPTKDYYVQVDTGSDIMWVNCIQCKDCPQKSSLGIDLTLYDVKQSSTGKLVTCNQEFCLEVNDGPLSGCAGNMSCPYLQIYGDGSSTAGYFVKDVVFYDRVSGDLQTTSANGSVIFGCGATQSGDLGSSGEGALDGILGFGKSNSSIISQLASSGKVKKMFAHCLDGKNGGGIFAIGRVVQPKVNMTPLVPNQPHYNVNMKAVQVGHTFLNLPTDVFEAGDRKGTIIDSGTTLAYLPDVVYEPLVSKIISQQKDLNVQTVHGEYTCFQYSGSVDDGFPPVTLYFENSLSLKVYPHDYLFPYEAFWCIGWQNSGMQSSDRKNLTLLGDLVLSNKLVLYDLENQTIGWTEYNCSSSIKVQDEQSGTVHLVGSHYISSFACHLNTGLAIIFLLLTMLLHSLV >ONI29046 pep chromosome:Prunus_persica_NCBIv2:G1:15192227:15199192:1 gene:PRUPE_1G177600 transcript:ONI29046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTTTMAIMDIATLTLRSSFGSFALLFLLLISTKVTTSVALNNVFLSVKYKYAGREPSLSALKAHDTQRTLRILAGVDLPLGGSGRPDAVGLYYAEIGIGTPTKDYYVQVDTGSDIMWVNCIQCKDCPQKSSLGIDLTLYDVKQSSTGKLVTCNQEFCLEVNDGPLSGCAGNMSCPYLQIYGDGSSTAGYFVKDVVFYDRVSGDLQTTSANGSVIFGCGATQSGDLGSSGEGALDGILGFGKSNSSIISQLASSGKVKKMFAHCLDGKNGGGIFAIGRVVQPKVNMTPLVPNQPHYNVNMKAVQVGHTFLNLPTDVFEAGDRKGTIIDSGTTLAYLPDVVYEPLVSKIISQQKDLNVQTVHGEYTCFQYSGSVDDGFPPVTLYFENSLSLKVYPHDYLFPYEAFWCIGWQNSGMQSSDRKNLTLLGDLVLSNKLVLYDLENQTIGWTEYNCSSSIKVQDEQSGTVHLSSLVRSNN >ONI27728 pep chromosome:Prunus_persica_NCBIv2:G1:8142777:8145056:1 gene:PRUPE_1G102000 transcript:ONI27728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITALSEPPQIHRLRQSKYIDAVRWLPPLSALDRLAVIAVFDSDSDSAAIETHSFAPDPENLTQQSQWFSPSRISSLKTSQSHHKPFVAAATLAGSIHILFGDSMDPASLESELLMPEKALHEGPISCVDIMDGGVECVSVGEDGRVNLVSVGESELSYQRIFDSSGLVSFTTAKWASPSEFATGGYGFSLQWWDQRKPGAAVSQFKGSWSQRTTPGIVHSIDIHPSRKHTCLTQKEEYDVLLTIFWYL >ONI27726 pep chromosome:Prunus_persica_NCBIv2:G1:8142683:8146247:1 gene:PRUPE_1G102000 transcript:ONI27726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITALSEPPQIHRLRQSKYIDAVRWLPPLSALDRLAVIAVFDSDSDSAAIETHSFAPDPENLTQQSQWFSPSRISSLKTSQSHHKPFVAAATLAGSIHILFGDSMDPASLESELLMPEKALHEGPISCVDIMDGGVECVSVGEDGRVNLVSVGESELSYQRIFDSSGLVSFTTAKWASPSEFATGGYGFSLQWWDQRKPGAAVSQFKGSWSQRTTPGIVHSIDIHPSRKHTCLAGGSLGTVFAWDLRWPQQPILLSGVGAGETTHSPCESEVWEVHFDHYAKSSNVGNVSSRILPTMICSEDGILAVVEQGEEPVELLAEPCAINSFDIDRQYPSDVICSLEWESIAILTRS >ONI27727 pep chromosome:Prunus_persica_NCBIv2:G1:8142683:8146247:1 gene:PRUPE_1G102000 transcript:ONI27727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITALSEPPQIHRLRQSKYIDAVRWLPPLSALDRLAVIAVFDSDSDSAAIETHSFAPDPENLTQQSQWFSPSRISSLKTSQSHHKPFVAAATLAGSIHILFGDSMDPASLESELLMPEKALHEGPISCVDIMDGGVECVSVGEDGRVNLVSVGESELSYQRIFDSSGLVSFTTAKWASPSEFATGGYGFSLQWWDQRKPGAAVSQFKGSWQEVP >ONI35091 pep chromosome:Prunus_persica_NCBIv2:G1:42385641:42389390:-1 gene:PRUPE_1G515300 transcript:ONI35091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSSGKLCFLPYCLVIFMYVSSPNWVAFPSATSTSDTEAKALLKWKASLFPNKALNHLTWYPPTHNINATNSSNTNPKPRTSPCTWTGVSCNSAGSVSTINLSTCGIQGTLHEFSFLSFPNLKYLDLSFNKLFDAIPPQISYLSKLHYLDISINKLSRRIPPEISLLRNLTYLGLFKNTLLGEIPNEIGNLKPLVGLYLQKNQLHGSIPRSLGNLTSLTHLYLYDNKLSGLIPKEIGNLKSLVILDLSTNNLSGLIPPNLEIGNLKSLIDLELSYNNLSGLIPPNIGNLIKLNTLYLHSNQLSGLIPKEIGNLKSLVDLELSYNNLNGLIPPNIGNLIKLNTLYLHSNQLSGLIPKEIGNLKSLVDLELSLNNLSGLIPPNIGNLIKLNTLYLHSNQLSGLIPKEIGNLKSLVDLELGENQLNDSIPASFANLSNLDILILRNNQLSGSIPQELENLKNLTKLYLDTNQLSGYLPQNICLGGKLTKLSVGTNYLTGPIPKSLKNCTGLVRVHLDQNQLTGNISEDFVGLIPKEFGRLTSLERLMLNGNQLSGRIPLEFGSLNDLEYLDLSTNKFNESIPSILGDLLKLHYLNLSNNKLAQAIPFKLKKLVQLNYMDLSHNSLEGKIPSELGSMQSLVTLDLSHNNLSGSIPSSFEEMHGLSYVDISYNHLEGPLPNISAFREAPPERLKGNKGLCGKVGALLPPCNAHGSKKDHKVLFSVAVFVLLSTLFTIVFVIMQTKKKHQDTKQNHMHGEISFSVLNFDGKSMYKEIIRATEDFDSTYCIGKGGHGSIYKVNLSSGDVVVVKRLHLLWDGDTEFQKGFLNEERALTEIRHWNIVKLYGFCANKQHSFLVYEYLERGSLAAMLSKDEEAKELGWSKRVNIVKGLAHALCYMHHDCLPPIVHRDISSKNILLDSEYEACVSDFGTTKFLNPDSTNWTAAAGTYGYMAPELAYTMEVNENCDVYSFGVVTLEIIMGKHPGDLLSSFSPVSSSSSSSSSSSALPAHQITIVDVLDQRISPPTHQLASEVVSLVKIAFSCLNSSPKSRPTMKQVSHFLSTQMLHLSKTVHMMTCGELLALDPLAT >ONI28503 pep chromosome:Prunus_persica_NCBIv2:G1:11338917:11343220:-1 gene:PRUPE_1G144400 transcript:ONI28503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYRSRSRSYSPRRRSRTPPRGRKRYDDDPRDRYRESRSYRDRRSPAPSGLLVRNLPLDARPEDLRIPFERYGPVKDVYLPKNYYTGEPRGFGFVKYRYAEDAAEAKQQLNHSVIGGREIRIVFAEENRKTPQEMRTTTRVSGRYGGSSRRRTPPRSPRRQYRSYSRSPSPVRRDSRDRGARDDYRSPVQSRSISRSPSPRDGRDYRRSPSPRENGRSPRDERDYAPSRSRSLRGNSRSPSRSRSRSYSPR >ONI26677 pep chromosome:Prunus_persica_NCBIv2:G1:2802784:2805546:-1 gene:PRUPE_1G039300 transcript:ONI26677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHLLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQVSERLNVQVSDVKNVIIWGNHSSSQYPDVNHATVKTPSGEKAVRELVADDAWLTGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPSGLIYSFPVTCQNGEWKIVQGLSIDEFSRKKLDATADELSEEKALAYSCLS >ONI26678 pep chromosome:Prunus_persica_NCBIv2:G1:2802783:2805546:-1 gene:PRUPE_1G039300 transcript:ONI26678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHLLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQVSERLNVQVSDVKNVIIWGNHSSSQYPDVNHATVKTPSGEKAVRELVADDAWLTGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPSGLIYSFPVTCQNGEWKIVQGLSIDEFSRKKLDATADELSEEKALAYSCLS >ONI31433 pep chromosome:Prunus_persica_NCBIv2:G1:30313768:30315006:-1 gene:PRUPE_1G312600 transcript:ONI31433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPVVNRISDFQSRITSLPDPSFFSQAFSISVIERVSQACSFWKWGALIIAILASFGTILTKINIFITRLRRLGVLSSQAVSQKLQDDDFSDIDDDDDETCSISSSSSLSDDELESDSEISYDNAGTPTARDEHFLVRGSGCYGDDRGQNRNSTLRRRRSFGGHHRFSWSDFTSGKSVVKLWDTSSPATFLSADTSVSGCASIGVWDTRVGCRFPAILADWGPQLGRIVGVAAGGVEKLYVKDGVTGALTVGDVRKAESPLRNVTDADMDDTWWDADAVILTDERFDESAVSGCDWAVTRCCSAVRSYLL >ONI26112 pep chromosome:Prunus_persica_NCBIv2:G1:414141:429952:1 gene:PRUPE_1G004700 transcript:ONI26112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGHLFHCRKNSWPPEEYINRNTLQLFDFDSAAPPEHAWRRKLNSNANLLREFSVTFREAIKMVRLGIRLWSYIREEASHGRKAPIDPFTRESCKPSAAQGVPLGGMGSGSISRGFRGEFRQWQIIPGICEGSPVMANQFSIFISRDGGNKNYASVLAPGQHEGLGKVGDQGISSWGWNLGGQHSTYHALFPRAWTVYDGEPDPELKISCRQISPFIPHNYRESSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGISHLSGDHVNEPFIGEDGVSGVLLHHKTAKGNPPVTFAVAACETQNVSVTVLPCFGLSEGSSPTAKEMWDKMVQDGQFDRENFNSGPCMSSSPGETLCAAVSASAWVEPHGKCTIAFGLSWSSPKVKFLKGSSYHRRYTKFYGTSERAAQDLVHHALTNYKRWEEDIEKWQNPILKDEKLPEWYKFTLFNELYFLVAGGTVWIDSPLPVTNINENQRQLTNVEYTDVKVTEAEVNNKQGTVVEHTATGHHRSVKLDPQNDYEDVGRFLYLEGVEYIMWNTYDVHFYASFALLELFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNWGIRKVYRDFSATGDMAFGVDVWPAVRAAMEYMEQFDRDNDGLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAFQLGDKAFAEWCKTKYLKAKPAFEEKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTASSGLPSLFDDFKIQSALQKIYDFNVMKVKGGQMGAVNGMHPSGKVDESCMQSREIWTGVTYGVAATMILAGKEKEAFTTAEGIFIAGWSEEGYGYGFQTPEGWTMDGHFRSLIYMRPLSIWAMQWALNLPKAILEAPTINIMDRIHLSSFSSRSSQNESGVRKIATKAKCFGNSVFNCAC >ONI26111 pep chromosome:Prunus_persica_NCBIv2:G1:414141:429952:1 gene:PRUPE_1G004700 transcript:ONI26111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGHLFHCRKNSWPPEEYINRNTLQLFDFDSAAPPEHAWRRKLNSNANLLREFSVTFREAIKMVRLGIRLWSYIREEASHGRKAPIDPFTRESCKPSAAQGVPLGGMGSGSISRGFRGEFRQWQIIPGICEGSPVMANQFSIFISRDGGNKNYASVLAPGQHEGLGKVGDQGISSWGWNLGGQHSTYHALFPRAWTVYDGEPDPELKISCRQISPFIPHNYRESSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGISHLSGDHVNEPFIGEDGVSGVLLHHKTAKGNPPVTFAVAACETQNVSVTVLPCFGLSEGSSPTAKEMWDKMVQDGQFDRENFNSGPCMSSSPGETLCAAVSASAWVEPHGKCTIAFGLSWSSPKVKFLKGSSYHRRYTKFYGTSERAAQDLVHHALTNYKRWEEDIEKWQNPILKDEKLPEWYKFTLFNELYFLVAGGTVWIDSPLPVTNINENQRQLTNVEYTDVKVTEAEVNNKQGTVVEHTATGHHRSVKLDPQNDYEDVGRFLYLEGVEYIMWNTYDVHFYASFALLELFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNWGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFSATGDMAFGVDVWPAVRAAMEYMEQFDRDNDGLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAFQLGDKAFAEWCKTKYLKAKPAFEEKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTASSGLPSLFDDFKIQSALQKIYDFNVMKVKGGQMGAVNGMHPSGKVDESCMQSREIWTGVTYGVAATMILAGKEKEAFTTAEGIFIAGWSEEGYGYGFQTPEGWTMDGHFRSLIYMRPLSIWAMQWALNLPKAILEAPTINIMDRIHLSSFSSRSSQNESGVRKIATKAKCFGNSVFNCAC >ONI26110 pep chromosome:Prunus_persica_NCBIv2:G1:418785:429952:1 gene:PRUPE_1G004700 transcript:ONI26110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGHLFHCRKNSWPPEEYINRNTLQLFDFDSAAPPEHAWRRKLNSNANLLREFSVTFREAIKMVRLGIRLWSYIREEASHGRKAPIDPFTRESCKPSAAQGVPLGGMGSGSISRGFRGEFRQWQIIPGICEGSPVMANQFSIFISRDGGNKNYASVLAPGQHEGLGKVGDQGISSWGWNLGGQHSTYHALFPRAWTVYDGEPDPELKISCRQISPFIPHNYRESSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGISHLSGDHVNEPFIGEDGVSGVLLHHKTAKGNPPVTFAVAACETQNVSVTVLPCFGLSEGSSPTAKEMWDKMVQDGQFDRENFNSGPCMSSSPGETLCAAVSASAWVEPHGKCTIAFGLSWSSPKVKFLKGSSYHRRYTKFYGTSERAAQDLVHHALTNYKRWEEDIEKWQNPILKDEKLPEWYKFTLFNELYFLVAGGTVWIDSPLPVTNINENQRQLTNVEYTDVKVTEAEVNNKQGTVVEHTATGHHRSVKLDPQNDYEDVGRFLYLEGVEYIMWNTYDVHFYASFALLELFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNWGIRKVRGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFSATGDMAFGVDVWPAVRAAMEYMEQFDRDNDGLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAFQLGDKAFAEWCKTKYLKAKPAFEEKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTASSGLPSLFDDFKIQSALQKIYDFNVMKVKGGQMGAVNGMHPSGKVDESCMQSREIWTGVTYGVAATMILAGKEKEAFTTAEGIFIAGWSEEGYGYGFQTPEGWTMDGHFRSLIYMRPLSIWAMQWALNLPKAILEAPTINIMDRIHLSSFSSRSSQNESGVRKIATKAKCFGNSVFNCAC >ONI28977 pep chromosome:Prunus_persica_NCBIv2:G1:14475296:14477148:1 gene:PRUPE_1G173200 transcript:ONI28977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEILNFFENGIGREFHYELFCIGISESFPISIHSKIGRKQLIKTIKNGEWLTMNFKFKTLSNNIDFVILNKWSLDI >ONI29745 pep chromosome:Prunus_persica_NCBIv2:G1:22630308:22635539:-1 gene:PRUPE_1G212800 transcript:ONI29745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLTARTGRQRQRYEGHLRLVSGCIPYKFEKSVGGCNRSMEKELLVLMISSPSRDDLVFPKGGWEDDETMSEAACREAWEEAGVKGVLGETPLGDWEFRSKSKQNSCSLQGGCRGFMFAMEVTEELDSWPEQANYGRKWLTKEEAFRVCRYDWMREALKKFVTALAESRENDTIKELEELPLRPISEVEHQMPSQGCFGSHPSIQQLAA >ONI29749 pep chromosome:Prunus_persica_NCBIv2:G1:22630308:22634598:-1 gene:PRUPE_1G212800 transcript:ONI29749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLTARTGRQRQRYEGHLRLVSGCIPYKFEKSVGGCNRSMEKELLVLMISSPSRDDLVFPKGGWEDDETMSEAACREAWEEAGVKGVLGVGFSALLGNRKLHWEIGSSEARANRIAAACKEVVEVSCLQWR >ONI29746 pep chromosome:Prunus_persica_NCBIv2:G1:22630308:22633284:-1 gene:PRUPE_1G212800 transcript:ONI29746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMHLSCDILPNSQETCHQCIPYKFEKSVGGCNRSMEKELLVLMISSPSRDDLVFPKGGWEDDETMSEAACREAWEEAGVKGVLGETPLGDWEFRSKSKQNSCSLQGGCRGFMFAMEVTEELDSWPEQANYGRKWLTKEEAFRVCRYDWMREALKKFVTALAESRENDTIKELEELPLRPISEVEHQMPSQGCFGSHPSIQQLAA >ONI29747 pep chromosome:Prunus_persica_NCBIv2:G1:22630308:22633122:-1 gene:PRUPE_1G212800 transcript:ONI29747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCIPYKFEKSVGGCNRSMEKELLVLMISSPSRDDLVFPKGGWEDDETMSEAACREAWEEAGVKGVLGETPLGDWEFRSKSKQNSCSLQGGCRGFMFAMEVTEELDSWPEQANYGRKWLTKEEAFRVCRYDWMREALKKFVTALAESRENDTIKELEELPLRPISEVEHQMPSQGCFGSHPSIQQLAA >ONI29748 pep chromosome:Prunus_persica_NCBIv2:G1:22630308:22632822:-1 gene:PRUPE_1G212800 transcript:ONI29748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNVADNVTECIPYKFEKSVGGCNRSMEKELLVLMISSPSRDDLVFPKGGWEDDETMSEAACREAWEEAGVKGVLGETPLGDWEFRSKSKQNSCSLQGGCRGFMFAMEVTEELDSWPEQANYGRKWLTKEEAFRVCRYDWMREALKKFVTALAESRENDTIKELEELPLRPISEVEHQMPSQGCFGSHPSIQQLAA >ONI36059 pep chromosome:Prunus_persica_NCBIv2:G1:46271196:46272195:-1 gene:PRUPE_1G566900 transcript:ONI36059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHPNALLGSLFVTILIACGAKLYASAKTFTIVNECKETIWPGITHSENFSGSGFTLKQGQSTVYTAPGDWNGRIWARTGCSFDKNGNGKCQTGSCGTTINCTGPGSPPASIAEFTLGQEIDYYDVSLVDGFNLPVIVKPTNNGKGNCSTAGCDRDLRQSCPSELAAKAEGKVIACRSACNVFNTDEYCCRGTFGNPQTCLPTNYSRSFKQACPAAYSFAYDDPTSIITCSGADFIVTFCASRYDHI >ONI36058 pep chromosome:Prunus_persica_NCBIv2:G1:46270712:46272238:-1 gene:PRUPE_1G566900 transcript:ONI36058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHPNALLGSLFVTILIACGAKLYASAKTFTIVNECKETIWPGITHSENFSGSGFTLKQGQSTVYTAPGDWNGRIWARTGCSFDKNGNGKCQTGSCGTTINCTGPGSPPASIAEFTLGQEIDYYDVSLVDGFNLPVIVKPTNNGKGNCSTAGCDRDLRQSCPSELAAKAEGKVIACRSACNVFNTDEYCCRGTFGNPQTCLPTNYSRSFKQACPAAYSFAYDDPTSIITCSGADFIVTFCASRNQTVCSYHDKQLVCNGSKELKALSQRWWPLMLAFPLILSFQSMF >ONI35847 pep chromosome:Prunus_persica_NCBIv2:G1:45459659:45463821:1 gene:PRUPE_1G556800 transcript:ONI35847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGSLLVNIARGGLLDYEAVSYYLESGHLGGLGIDVAWTEPFDPDDPILKFSNVLITPHVAGVTEYSYRSMSKVVGDVAIQLHEGRPLTGIEFVN >ONI35843 pep chromosome:Prunus_persica_NCBIv2:G1:45452013:45463821:1 gene:PRUPE_1G556800 transcript:ONI35843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNTWPKVAQRRKRTRNVASKKLQAAKNGEKLEDEALIPSLNAVTLLLCESVKQEQATTSSSLPLLNLMVLRSRLLAKAISRTSTYHRLMSCSANILGKVERMVDNDEKKITRVLFCGPHFPASHTYTREYLKEYPFVQVDDVPLDDVPGVIQNYNICIVKTMKFDSILLSHAEKMKLIMQYGVGLEGVDIDSATEFGIKVARIPSHVTGNAASCAEMAIYLMLGLLRKQVFILGFGNIGIDLAKRLRPFGVKIIATKRSWATHSLVSCLSNVQNGPVNDFVDEKGGHEDIHKFASKADIVVCCLCLNSDTVGVVNKPFISSMRKVVGDVAIQLHEGRPLTGIEFVN >ONI35845 pep chromosome:Prunus_persica_NCBIv2:G1:45452690:45463821:1 gene:PRUPE_1G556800 transcript:ONI35845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSANILGKVERMVDNDEKKITRVLFCGPHFPASHTYTREYLKEYPFVQVDDVPLDDVPGVIQNYNICIVKTMKFDSILLSHAEKMKLIMQYGVGLEGVDIDSATEFGIKVARIPSHVTGNAASCAEMAIYLMLGLLRKQNEMQIAIKQRKVGDPIGDMLLGKTVFILGFGNIGIDLAKRLRPFGVKIIATKRSWATHSLVSCLSNVQNGPVNDFVDEKGGHEDIHKFASKADIVVCCLCLNSDTVGVVNKPFISSMRKGSLLVNIARGGLLDYEAVSYYLESGHLGGLGIDVAWTEPFDPDDPILKFSNVLITPHVAGVTEYSYRSMSKVVGDVAIQLHEGRPLTGIEFVN >ONI35842 pep chromosome:Prunus_persica_NCBIv2:G1:45452013:45463821:1 gene:PRUPE_1G556800 transcript:ONI35842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNTWPKVAQRRKRTRNVASKKLQAAKNGEKLEDEALIPSLNAVTLLLCESVKQEQATTSSSLPLLNLMVLRSRLLAKAISRTSTYHRLMSCSANILGKVERMVDNDEKKITRVLFCGPHFPASHTYTREYLKEYPFVQVDDVPLDDVPGVIQNYNICIVKTMKFDSILLSHAEKMKLIMQYGVGLEGVDIDSATEFGIKVARIPSHVTGNAASCAEMAIYLMLGLLRKQVFILGFGNIGIDLAKRLRPFGVKIIATKRSWATHSLVSCLSNVQNGPVNDFVDEKGGHEDIHKFASKADIVVCCLCLNSDTVGVVNKPFISSMRKGSLLVNIARGGLLDYEAVSYYLESGHLGGLGIDVAWTEPFDPDDPILKFSNVLITPHVAGVTEYSYRSMSKVVGDVAIQLHEGRPLTGIEFVN >ONI35844 pep chromosome:Prunus_persica_NCBIv2:G1:45452455:45464076:1 gene:PRUPE_1G556800 transcript:ONI35844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRSRLLAKAISRTSTYHRLMSCSANILGKVERMVDNDEKKITRVLFCGPHFPASHTYTREYLKEYPFVQVDDVPLDDVPGVIQNYNICIVKTMKFDSILLSHAEKMKLIMQYGVGLEGVDIDSATEFGIKVARIPSHVTGNAASCAEMAIYLMLGLLRKQNEMQIAIKQRKVGDPIGDMLLGKTVFILGFGNIGIDLAKRLRPFGVKIIATKRSWATHSLVSCLSNVQNGPVNDFVDEKGGHEDIHKFASKADIVVCCLCLNSDTVGVVNKPFISSMRKGSLLVNIARGGLLDYEAVSYYLESGHLGGLGIDVAWTEPFDPDDPILKFSNVLITPHVAGVTEYSYRSMSKVVGDVAIQLHEGRPLTGIEFVN >ONI35841 pep chromosome:Prunus_persica_NCBIv2:G1:45452013:45463841:1 gene:PRUPE_1G556800 transcript:ONI35841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNTWPKVAQRRKRTRNVASKKLQAAKNGEKLEDEALIPSLNAVTLLLCESVKQEQATTSSSLPLLNLMVLRSRLLAKAISRTSTYHRLMSCSANILGKVERMVDNDEKKITRVLFCGPHFPASHTYTREYLKEYPFVQVDDVPLDDVPGVIQNYNICIVKTMKFDSILLSHAEKMKLIMQYGVGLEGVDIDSATEFGIKVARIPSHVTGNAASCAEMAIYLMLGLLRKQNEMQIAIKQRKVGDPIGDMLLGKTVFILGFGNIGIDLAKRLRPFGVKIIATKRSWATHSLVSCLSNVQNGPVNDFVDEKGGHEDIHKFASKADIVVCCLCLNSDTVGVVNKPFISSMRKVVGDVAIQLHEGRPLTGIEFVN >ONI35846 pep chromosome:Prunus_persica_NCBIv2:G1:45452959:45463821:1 gene:PRUPE_1G556800 transcript:ONI35846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSANILGKVERMVDNDEKKITRVLFCGPHFPASHTYTREYLKEYPFVQVDDVPLDDVPGVIQNYNICIVKTMKFDSILLSHAEKMKLIMQYGVGLEGVDIDSATEFGIKVARIPSHVTGNAASCAEMAIYLMLGLLRKQNEMQIAIKQRKVGDPIGDMLLGKTVFILGFGNIGIDLAKRLRPFGVKIIATKRSWATHSLVSCLSNVQNGPVNDFVDEKGGHEDIHKFASKADIVVCCLCLNSDTVGVVNKPFISSMRKGSLLVNIARGGLLDYEAVSYYLESGHLGGLGIDVAWTEPFDPDDPILKFSNVLITPHVAGVTEYSYRSMSKVVGDVAIQLHEGRPLTGIEFVN >ONI31784 pep chromosome:Prunus_persica_NCBIv2:G1:31342840:31344892:1 gene:PRUPE_1G330600 transcript:ONI31784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENSENPPAPPPPAPSLPQYTEMIMAAIEALNDSNGSNKSAIATYIESTYGDLPPAHATLLAHHLNKMKQSGELVLVKNNYMKPDPNAPPKRGRGRPPKPKVPLPPGTVVSPPRPRGRPPKPRDPFSPQAEPKSPSGTGRPRGRPPKKAKTASAPPQAPAPAPATGAPRGRGRPPKVKPAVAPVGC >ONI35759 pep chromosome:Prunus_persica_NCBIv2:G1:45190891:45193401:1 gene:PRUPE_1G553200 transcript:ONI35759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTSPLLSVMIPLSHSHLDLCGWLYLNLSMAAGVAIFILLISFYSTREVQGVTEAEKFGDSYLLWLMSWWY >ONI28307 pep chromosome:Prunus_persica_NCBIv2:G1:10755768:10757943:-1 gene:PRUPE_1G137300 transcript:ONI28307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTRSMKKKAANSKSRIMQSLPKELLVEVLAKVASNSFNDLYSAKLSCKDFNKMAEEDYIFQCIDISKFPLVSWRFDDKFSKFLKHCKKCGNAEALYRQGLRDLFRGKRVQQGIMCLKKAASKGHVEATYVYGAILVCFGGESKQEGLELLYSLNHYKPKGFSVRECREKVKGLVWNMWADRKVVGKIVQARHETAIAKTCSDCGNRQEVFTVERGWDPLDHENFSSCNTCRWHQEVNIFRDILNDSCTPLY >ONI28308 pep chromosome:Prunus_persica_NCBIv2:G1:10756381:10757943:-1 gene:PRUPE_1G137300 transcript:ONI28308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTRSMKKKAANSKSRIMQSLPKELLVEVLAKVASNSFNDLYSAKLSCKDFNKMAEEDYIFQCIDISKFPLVSWRFDDKFSKFLKHCKKCGNAEALYRQGLRDLFRGKRVQQGIMCLKKAASKGHVEATYVYGAILVCFGGESKQEGLELLYSLNHYKPKGFSVRECREKVKGLVWNMWADRKVVGKIVQARHETAIAKTCSDCGNRQEVFTVERGWDPLDHENFSSCNTCRWHQEVNIFRDILNDSCTPLY >ONI35457 pep chromosome:Prunus_persica_NCBIv2:G1:43855004:43855770:1 gene:PRUPE_1G536900 transcript:ONI35457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSTTSPPNNHSVDAAVLQYQNQRLLQQMDKQKHDLQDLEAKIKELKDKQGLPLFGDATF >ONI33172 pep chromosome:Prunus_persica_NCBIv2:G1:35822060:35823809:-1 gene:PRUPE_1G409400 transcript:ONI33172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNARSKFKRICVFCGSNSGRRKVFSDAALELGNELVKRKIDLVYGGGSVGLMGLISQTVYDGGCHVLGVIPKALMPLEISGPTVGEVRTVTDMHERKASMAQEADAFIALPGGYGTMEELLEIITWAQLGIHMKPVGLLNVDGYYNSLLALFDNGVEEGFIKPCARHIVVSAPTAKELVVKMEQYTPSHEHVASHESWQMEQLDNSQAKKTQGEAVIGDRSFT >ONI33167 pep chromosome:Prunus_persica_NCBIv2:G1:35821490:35824359:-1 gene:PRUPE_1G409400 transcript:ONI33167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNARSKFKRICVFCGSNSGRRKVFSDAALELGNELVKRKIDLVYGGGSVGLMGLISQTVYDGGCHVLGVIPKALMPLEISGPTVGEVRTVTDMHERKASMAQEADAFIALPGGYGTMEELLEIITWAQLGIHMKPVGLLNVDGYYNSLLALFDNGVEEGFIKPCARHIVVSAPTAKELVVKMEQYTPSHEHVASHESWQMEQLDNSQAKKTQDRFMAYFGLRSIETLFHGRI >ONI33169 pep chromosome:Prunus_persica_NCBIv2:G1:35821545:35823915:-1 gene:PRUPE_1G409400 transcript:ONI33169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNARSKFKRICVFCGSNSGRRKVFSDAALELGNELVKRKIDLVYGGGSVGLMGLISQTVYDGGCHVLGVIPKALMPLEISGPTVGEVRTVTDMHERKASMAQEADAFIALPGYGTMEELLEIITWAQLGIHMKPVGLLNVDGYYNSLLALFDNGVEEGFIKPCARHIVVSAPTAKELVVKMEQYTPSHEHVASHESWQMEQLDNSQAKKTQGEAVIGDRSFT >ONI33170 pep chromosome:Prunus_persica_NCBIv2:G1:35821542:35824359:-1 gene:PRUPE_1G409400 transcript:ONI33170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNARSKFKRICVFCGSNSGRRKVFSDAALELGNELVKRKIDLVYGGGSVGLMGLISQTVYDGGCHVLGVIPKALMPLEISGPTVGEVRTVTDMHERKASMAQEADAFIALPGGYGTMEELLEIITWAQLGIHMKPVGLLNVDGYYNSLLALFDNGVEEGFIKPCARHIVVSAPTAKELVVKMEQYTPSHEHVASHESWQMEQLDNSQAKKTQGEAVIGDRSFT >ONI33168 pep chromosome:Prunus_persica_NCBIv2:G1:35821545:35823506:-1 gene:PRUPE_1G409400 transcript:ONI33168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRLLCWCMLQVKRKIDLVYGGGSVGLMGLISQTVYDGGCHVLGVIPKALMPLEISGPTVGEVRTVTDMHERKASMAQEADAFIALPGGYGTMEELLEIITWAQLGIHMKPVGLLNVDGYYNSLLALFDNGVEEGFIKPCARHIVVSAPTAKELVVKMEQYTPSHEHVASHESWQMEQLDNSQAKKTQDRFMAYFGLRSIETLFHGRI >ONI33171 pep chromosome:Prunus_persica_NCBIv2:G1:35821545:35824162:-1 gene:PRUPE_1G409400 transcript:ONI33171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNARSKFKRICVFCGSNSGRRKVFSDAALELGNELVKRKIDLVYGGGSVGLMGLISQTVYDGGCHVLGVIPKALMPLEISGPTVGEVRTVTDMHERKASMAQEADAFIALPGGYGTMEELLEIITWAQLGIHMKPVGLLNVDGYYNSLLALFDNGVEEGFIKPCARHIVVSAPTAKELVVKMEQYTPSHEHVASHESWQMEQLDNSQAKKTQGEAVIGDRSFT >ONI33166 pep chromosome:Prunus_persica_NCBIv2:G1:35821398:35824162:-1 gene:PRUPE_1G409400 transcript:ONI33166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNARSKFKRICVFCGSNSGRRKVFSDAALELGNELVKRKIDLVYGGGSVGLMGLISQTVYDGGCHVLGVIPKALMPLEISGPTVGEVRTVTDMHERKASMAQEADAFIALPGGYGTMEELLEIITWAQLGIHMKPVGLLNVDGYYNSLLALFDNGVEEGFIKPCARHIVVSAPTAKELVVKMEQYTPSHEHVASHESWQMEQLDNSQAKKTQDRFMAYFGLRSIETLFHGRI >ONI36188 pep chromosome:Prunus_persica_NCBIv2:G1:46766458:46773442:-1 gene:PRUPE_1G574000 transcript:ONI36188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVIQQQRSLAETPTYSVASVVTVMVFVCLLVERSIYRFGRWLKKTRRKALFASLEKIKEELMLLGLISLLLAQSARWISEICVNSSLFTSQFYICSERDYSTKENAMLESSSPNKTEIPRGLINSSHQCGEGHEPFVSYEGLEQLHRFLFVLGITHVLYSCLAVALAMSKIYSWRKWENLDGPVADANLQAKKNKVMTRQSTFIFHHTSHPWSRNRLLIWMLCFLRQFKSSIQRSDYLALRLGFISKHKLPLSYNFHKYMVRSMEDEFQGILGISWPLWGYAIVCIFVNIHGLNIYFWLSFIPAILVMLVGTKLQHVVSSLALEIVEQTGPATGTQVKPRDDLFWFGKPVILLRLIQFIIFQNAFEMATFLWSLVRHSRMKQYINRLDAISEIFPYIWGLKERSCFMKNHLMIVIRLTSGVLVQFWCSYSTVPLNVIVTQMGSRCKKALVAESVRDSLHSWCKRVKERSRRDSVHSHATRSVCSLDTTIDERDEITVASGTLSRSSSLDSLHQVTVASVEHPEAVLEPSEPPQHEYSFRVEEYLSESVRISASQPPTISDEDDEGNGMEEKVDTLLELFQKT >ONI36187 pep chromosome:Prunus_persica_NCBIv2:G1:46766406:46773465:-1 gene:PRUPE_1G574000 transcript:ONI36187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVIQQQRSLAETPTYSVASVVTVMVFVCLLVERSIYRFGRWLKKTRRKALFASLEKIKEELMLLGLISLLLAQSARWISEICVNSSLFTSQFYICSERDYSTKENAMLESSSPNKTEIPRGLINSSHQCGEGHEPFVSYEGLEQLHRFLFVLGITHVLYSCLAVALAMSKIYSWRKWENLDGPVADANLQAKKNKVMTRQSTFIFHHTSHPWSRNRLLIWMLCFLRQFKSSIQRSDYLALRLGFISKHKLPLSYNFHKYMVRSMEDEFQGILGISWPLWGYAIVCIFVNIHGLNIYFWLSFIPAILVMLVGTKLQHVVSSLALEIVEQTGPATGTQVKPRDDLFWFGKPVILLRLIQFIIFQNAFEMATFLWSLWGLKERSCFMKNHLMIVIRLTSGVLVQFWCSYSTVPLNVIVTQMGSRCKKALVAESVRDSLHSWCKRVKERSRRDSVHSHATRSVCSLDTTIDERDEITVASGTLSRSSSLDSLHQVTVASVEHPEAVLEPSEPPQHEYSFRVEEYLSESVRISASQPPTISDEDDEGNGMEEKVDTLLELFQKT >ONI36189 pep chromosome:Prunus_persica_NCBIv2:G1:46766408:46773451:-1 gene:PRUPE_1G574000 transcript:ONI36189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVIQQQRSLAETPTYSVASVVTVMVFVCLLVERSIYRFGRWLKKTRRKALFASLEKIKEELMLLGLISLLLAQSARWISEICVNSSLFTSQFYICSERDYSTKENAMLESSSPNKTEIPRGLINSSHQCGEGHEPFVSYEGLEQLHRFLFVLGITHVLYSCLAVALAMSKIYSWRKWENLDGPVADANLQAKKNKVMTRQSTFIFHHTSHPWSRNRLLIWMLCFLRQFKSSIQRSDYLALRLGFISKHKLPLSYNFHKYMVRSMEDEFQGILGISWPLWGYAIVCIFVNIHGLNIYFWLSFIPAILVMLVGTKLQHVVSSLALEIVEQTGPATGTQVKPRDDLFWFGKPVILLRLIQFIIFQNAFEMATFLWSLVRHSRMKQYINRLDAISEIFPYIWGLKERSCFMKNHLMIVIRLTSGVLVQFWCSYSTVPLNVIVTQMGSRCKKALVAESVRDSLHSWCKRVKERSRRDSVHSHATRSVCSLDTTIDERDEITVASGTLSRSSSLDSLHQVTVASVEHPEAVLEPSEPPQHEYSFRVEEYLSESVRISASQPPTISDEDDEGNGMEEKVDTLLELFQKT >ONI36185 pep chromosome:Prunus_persica_NCBIv2:G1:46766407:46773281:-1 gene:PRUPE_1G574000 transcript:ONI36185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVIQQQRSLAETPTYSVASVVTVMVFVCLLVERSIYRFGRWLKKTRRKALFASLEKIKEELMLLGLISLLLAQSASTKENAMLESSSPNKTEIPRGLINSSHQCGEGHEPFVSYEGLEQLHRFLFVLGITHVLYSCLAVALAMSKIYSWRKWENLDGPVADANLQAKKNKVMTRQSTFIFHHTSHPWSRNRLLIWMLCFLRQFKSSIQRSDYLALRLGFISKHKLPLSYNFHKYMVRSMEDEFQGILGISWPLWGYAIVCIFVNIHGLNIYFWLSFIPAILVMLVGTKLQHVVSSLALEIVEQTGPATGTQVKPRDDLFWFGKPVILLRLIQFIIFQNAFEMATFLWSLWGLKERSCFMKNHLMIVIRLTSGVLVQFWCSYSTVPLNVIVTQMGSRCKKALVAESVRDSLHSWCKRVKERSRRDSVHSHATRSVCSLDTTIDERDEITVASGTLSRSSSLDSLHQVTVASVEHPEAVLEPSEPPQHEYSFRVEEYLSESVRISASQPPTISDEDDEGNGMEEKVDTLLELFQKT >ONI36186 pep chromosome:Prunus_persica_NCBIv2:G1:46766405:46773526:-1 gene:PRUPE_1G574000 transcript:ONI36186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVIQQQRSLAETPTYSVASVVTVMVFVCLLVERSIYRFGRWLKKTRRKALFASLEKIKEELMLLGLISLLLAQSARWISEICVNSSLFTSQFYICSERDYSTKENAMLESSSPNKTEIPRGLINSSHQCGEGHEPFVSYEGLEQLHRFLFVLGITHVLYSCLAVALAMSKIYSWRKWENLDGPVADANLQAKKNKVMTRQSTFIFHHTSHPWSRNRLLIWMLCFLRQFKSSIQRSDYLALRLGFISKHKLPLSYNFHKYMVRSMEDEFQGILGISWPLWGYAIVCIFVNIHGLNIYFWLSFIPAILVMLVGTKLQHVVSSLALEIVEQTGPATGTQVKPRDDLFWFGKPVILLRLIQFIIFQNAFEMATFLWSLWGLKERSCFMKNHLMIVIRLTSGVLVQFWCSYSTVPLNVIVTQMGSRCKKALVAESVRDSLHSWCKRVKERSRRDSVHSHATRSVCSLDTTIDERDEITVASGTLSRSSSLDSLHQVTVASVEHPEAVLEPSEPPQHEYSFRVEEYLSESVRISASQPPTISDEDDEGNGMEEKVDTLLELFQKT >ONI36418 pep chromosome:Prunus_persica_NCBIv2:G1:47431886:47434050:1 gene:PRUPE_1G584200 transcript:ONI36418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRAFLNSPVGPKTTHFWGPIANWGFVAAGLADMNKPPEMISGNMTAAMCVYSALFMRFAWMVQPRNYLLLACHVSNETVQLYQLSRWARGQGYFPQKKDEPASE >ONI36421 pep chromosome:Prunus_persica_NCBIv2:G1:47432308:47434050:1 gene:PRUPE_1G584200 transcript:ONI36421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRAFLNSPVGPKTTHFWGPIANWGFVAAGLADMNKPPEMISGNMTAAMCVYSALFMRFAWMVQPRNYLLLACHVSNETVQLYQLSRWARGQGYFPQKKDEPASE >ONI36422 pep chromosome:Prunus_persica_NCBIv2:G1:47432308:47434051:1 gene:PRUPE_1G584200 transcript:ONI36422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRAFLNSPVGPKTTHFWGPIANWGFVAAGLADMNKPPEMISGNMTAAMCVYSALFMRFAWMVQPRNYLLLACHVSNETVQLYQLSRWARGQGYFPQKKDEPASE >ONI36420 pep chromosome:Prunus_persica_NCBIv2:G1:47432308:47434050:1 gene:PRUPE_1G584200 transcript:ONI36420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRAFLNSPVGPKTTHFWGPIANWGFVAAGLADMNKPPEMISGNMTAAMCVYSALFMRFAWMVQPRNYLLLACHVSNETVQLYQLSRWARGQGYFPQKKDEPASE >ONI36419 pep chromosome:Prunus_persica_NCBIv2:G1:47431868:47434100:1 gene:PRUPE_1G584200 transcript:ONI36419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRAFLNSPVGPKTTHFWGPIANWGFVAAGLADMNKPPEMISGNMTAAMCVYSALFMRFAWMVQPRNYLLLACHVSNETVQLYQLSRWARGQGYFPQKKDEPASE >ONI34733 pep chromosome:Prunus_persica_NCBIv2:G1:41125284:41129005:-1 gene:PRUPE_1G495600 transcript:ONI34733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKWLWKKKSSEQSSGETDSSGSVSSHSERYSDEQQEALKASPNHDTQSPEVTSKAASNAEDVNDSVKSLTERLSAALVNVSAKEDLVKQHAKVAEEAVAGWEKAENEATVFKLELEATMQQNSALEDRVSHLDGALKECVRQLRQAREEQEQAIQEAVVKKTRDWESTKLKLESQIIELQRKAEANRSEVSAFVDPQLSHKLQSLEKENSALKLELLSQAEELEIRTIERDLSTQAAETASKQHLESIRKLAKLEAECRRLKALGCKIPLVHDHKSSAASSIYVESCMDSQSDSGERLNMMEIDSQKMNGSEPNKRDLNFSDSWASALVAELDQFKNEKAVNRNLPASSVDIDLMDDFLEMERLASLPQTEIGTSCLESEAIINQSNNEESALRAELEAMGHRTAELEDKLENLEVEKEKLEVEKAELEAMSHRTVELEHLLEKLEVEKEKLEVEKAELQTALAKSQECFEAAEFQLKEAEMKLEELQKELNSARESKQTIESQLISMEAEARTMSAKVNFLEAEVQRERALSAEIAVKCQSLEEELSRKNEEVNVQKNACSNGELKIKQEDLAVAAGKLAECQKTIASLGNQLKSLATLEDFLIDTANIPGFSAVAPQIPTADDQWKFHSNVTFSPKRDSGSKPADESCGPSVNRNEDTSPPSSSSSTSSTVLSTHVSSEKNRNGFAKFFSRTKSGIRLEI >ONI34732 pep chromosome:Prunus_persica_NCBIv2:G1:41125105:41130296:-1 gene:PRUPE_1G495600 transcript:ONI34732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKWLWKKKSSEQSSGETDSSGSVSSHSERYSDEQEALKASPNHDTQSPEVTSKAASNAEDVNDSVKSLTERLSAALVNVSAKEDLVKQHAKVAEEAVAGWEKAENEATVFKLELEATMQQNSALEDRVSHLDGALKECVRQLRQAREEQEQAIQEAVVKKTRDWESTKLKLESQIIELQRKAEANRSEVSAFVDPQLSHKLQSLEKENSALKLELLSQAEELEIRTIERDLSTQAAETASKQHLESIRKLAKLEAECRRLKALGCKIPLVHDHKSSAASSIYVESCMDSQSDSGERLNMMEIDSQKMNGSEPNKRDLNFSDSWASALVAELDQFKNEKAVNRNLPASSVDIDLMDDFLEMERLASLPQTEIGTSCLESEAIINQSNNEESALRAELEAMGHRTAELEDKLENLEVEKEKLEVEKAELEAMSHRTVELEHLLEKLEVEKEKLEVEKAELQTALAKSQECFEAAEFQLKEAEMKLEELQKELNSARESKQTIESQLISMEAEARTMSAKVNFLEAEVQRERALSAEIAVKCQSLEEELSRKNEEVNVQKNACSNGELKIKQEDLAVAAGKLAECQKTIASLGNQLKSLATLEDFLIDTANIPGFSAVAPQIPTADDQWKFHSNVTFSPKRDSGSKPADESCGPSVNRNEDTSPPSSSSSTSSTVLSTHVSSEKNRNGFAKFFSRTKSGIRLEI >ONI34734 pep chromosome:Prunus_persica_NCBIv2:G1:41125105:41130314:-1 gene:PRUPE_1G495600 transcript:ONI34734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKWLWKKKSSEQSSGETDSSGSVSSHSERYSDEQQEALKASPNHDTQSPEVTSKAASNAEDVNDSVKSLTERLSAALVNVSAKEDLVKQHAKVAEEAVAGWEKAENEATVFKLELEATMQQNSALEDRVSHLDGALKECVRQLRQAREEQEQAIQEAVVKKTRDWESTKLKLESQIIELQRKAEANRSEVSAFVDPQLSHKLQSLEKENSALKLELLSQAEELEIRTIERDLSTQAAETASKQHLESIRKLAKLEAECRRLKALGCKIPLVHDHKSSAASSIYVESCMDSQSDSGERLNMMEIDSQKMNGSEPNKRDLNFSDSWASALVAELDQFKNEKAVNRNLPASSVDIDLMDDFLEMERLASLPQTEIGTSCLESEAIINQSNNEESALRAELEAMGHRTAELEDKLENLEVEKEKLEVEKAELEAMSHRTVELEHLLEKLEVEKEKLEVEKAELQTALAKSQECFEAAEFQLKEAEMKLEELQKELNSARESKQTIESQLISMEAEARTMSAKVNFLEAEVQRERALSAEIAVKCQSLEEELSRKNEEVNVQKNACSNGELKIKQEDLAVAAGKLAECQKTIASLGNQLKSLATLEDFLIDTANIPGFSAVAPQIPTADDQWKFHSNVTFSPKRDSGSKPADESCGPSVNRNEDTSPPSSSSSTSSTVLSTHVSSEKNRNGFAKFFSRTKSGIRLEI >ONI34730 pep chromosome:Prunus_persica_NCBIv2:G1:41125106:41129917:-1 gene:PRUPE_1G495600 transcript:ONI34730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKWLWKKKSSEQSSGETDSSGSVSSHSERYSDEQEALKASPNHDTQSPEVTSKAASNAEDVNDSVKSLTERLSAALVNVSAKEDLVKQHAKVAEEAVAGWEKAENEATVFKLELEATMQQNSALEDRVSHLDGALKECVRQLRQAREEQEQAIQEAVVKKTRDWESTKLKLESQIIELQRKAEANRSEVSAFVDPQLSHKLQSLEKENSALKLELLSQAEELEIRTIERDLSTQAAETASKQHLESIRKLAKLEAECRRLKALGCKIPLVHDHKSSAASSIYVESCMDSQSDSGERLNMMEIDSQKMNGSEPNKRDLNFSDSWASALVAELDQFKNEKAVNRNLPASSVDIDLMDDFLEMERLASLPQTEIGTSCLESEAIINQSNNEESALRAELEAMGHRTAELEDKLENLEVEKEKLEVEKAELEAMSHRTVELEHLLEKLEVEKEKLEVEKAELQTALAKSQECFEAAEFQLKEAEMKLEELQKELNSARESKQTIESQLISMEAEARTMSAKVNFLEAEVQRERALSAEIAVKCQSLEEELSRKNEEVNVQKNACSNGELKIKQEDLAVAAGKLAECQKTIASLGNQLKSLATLEDFLIDTANIPGFSAVAPQIPTADDQWKFHSNVTFSPKRDSGSKPADESCGPSVNRNEDTSPPSSSSSTSSTVLSTHVSSEKNRNGFAKFFSRTKSGIRLEI >ONI34735 pep chromosome:Prunus_persica_NCBIv2:G1:41125284:41129005:-1 gene:PRUPE_1G495600 transcript:ONI34735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKWLWKKKSSEQSSGETDSSGSVSSHSERYSDEQQEALKASPNHDTQSPEVTSKAASNAEDVNDSVKSLTERLSAALVNVSAKEDLVKQHAKVAEEAVAGWEKAENEATVFKLELEATMQQNSALEDRVSHLDGALKECVRQLRQAREEQEQAIQEAVVKKTRDWESTKLKLESQIIELQRKAEANRSEVSAFVDPQLSHKLQSLEKENSALKLELLSQAEELEIRTIERDLSTQAAETASKQHLESIRKLAKLEAECRRLKALGCKIPLVHDHKSSAASSIYVESCMDSQSDSGERLNMMEIDSQKMNGSEPNKRDLNFSDSWASALVAELDQFKNEKAVNRNLPASSVDIDLMDDFLEMERLASLPQTEIGTSCLESEAIINQSNNEESALRAELEAMGHRTAELEDKLENLEVEKEKLEVEKAELEAMSHRTVELEHLLEKLEVEKEKLEVEKAELQTALAKSQECFEAAEFQLKEAEMKLEELQKELNSARESKQTIESQLISMEAEARTMSAKVNFLEAEVQRERALSAEIAVKCQSLEEELSRKNEEVNVQKNACSNGELKIKQEDLAVAAGKLAECQKTIASLGNQLKSLATLEDFLIDTANIPGFSAVAPQIPTADDQWKFHSNVTFSPKRDSGSKPADESCGPSVNRNEDTSPPSSSSSTSSTVLSTHVSSEKNRNGFAKFFSRTKSGIRLEI >ONI34731 pep chromosome:Prunus_persica_NCBIv2:G1:41125106:41129579:-1 gene:PRUPE_1G495600 transcript:ONI34731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKWLWKKKSSEQSSGETDSSGSVSSHSERYSDEQEALKASPNHDTQSPEVTSKAASNAEDVNDSVKSLTERLSAALVNVSAKEDLVKQHAKVAEEAVAGWEKAENEATVFKLELEATMQQNSALEDRVSHLDGALKECVRQLRQAREEQEQAIQEAVVKKTRDWESTKLKLESQIIELQRKAEANRSEVSAFVDPQLSHKLQSLEKENSALKLELLSQAEELEIRTIERDLSTQAAETASKQHLESIRKLAKLEAECRRLKALGCKIPLVHDHKSSAASSIYVESCMDSQSDSGERLNMMEIDSQKMNGSEPNKRDLNFSDSWASALVAELDQFKNEKAVNRNLPASSVDIDLMDDFLEMERLASLPQTEIGTSCLESEAIINQSNNEESALRAELEAMGHRTAELEDKLENLEVEKEKLEVEKAELEAMSHRTVELEHLLEKLEVEKEKLEVEKAELQTALAKSQECFEAAEFQLKEAEMKLEELQKELNSARESKQTIESQLISMEAEARTMSAKVNFLEAEVQRERALSAEIAVKCQSLEEELSRKNEEVNVQKNACSNGELKIKQEDLAVAAGKLAECQKTIASLGNQLKSLATLEDFLIDTANIPGFSAVAPQIPTADDQWKFHSNVTFSPKRDSGSKPADESCGPSVNRNEDTSPPSSSSSTSSTVLSTHVSSEKNRNGFAKFFSRTKSGIRLEI >ONI34729 pep chromosome:Prunus_persica_NCBIv2:G1:41125040:41130314:-1 gene:PRUPE_1G495600 transcript:ONI34729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKWLWKKKSSEQSSGETDSSGSVSSHSERYSDEQEALKASPNHDTQSPEVTSKAASNAEDVNDSVKSLTERLSAALVNVSAKEDLVKQHAKVAEEAVAGWEKAENEATVFKLELEATMQQNSALEDRVSHLDGALKECVRQLRQAREEQEQAIQEAVVKKTRDWESTKLKLESQIIELQRKAEANRSEVSAFVDPQLSHKLQSLEKENSALKLELLSQAEELEIRTIERDLSTQAAETASKQHLESIRKLAKLEAECRRLKALGCKIPLVHDHKSSAASSIYVESCMDSQSDSGERLNMMEIDSQKMNGSEPNKRDLNFSDSWASALVAELDQFKNEKAVNRNLPASSVDIDLMDDFLEMERLASLPQTEIGTSCLESEAIINQSNNEESALRAELEAMGHRTAELEDKLENLEVEKEKLEVEKAELEAMSHRTVELEHLLEKLEVEKEKLEVEKAELQTALAKSQECFEAAEFQLKEAEMKLEELQKELNSARESKQTIESQLISMEAEARTMSAKVNFLEAEVQRERALSAEIAVKCQSLEEELSRKNEEVNVQKNACSNGELKIKQEDLAVAAGKLAECQKTIASLGNQLKSLATLEDFLIDTANIPGFSAVAPQIPTADDQWKFHSNVTFSPKRDSGSKPADESCGPSVNRNEDTSPPSSSSSTSSTVLSTHVSSEKNRNGFAKFFSRTKSGIRLEI >ONI30023 pep chromosome:Prunus_persica_NCBIv2:G1:24015461:24019399:-1 gene:PRUPE_1G226800 transcript:ONI30023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFQTPIGMRSSNLLETSCGYLLQELQMIWNEVGQDHFEREKVLLDLEQECLEVYRKKVDAANTSRARLHQELAEAEAEFTHLLLSLDERSLPGRPEKMAGTLKEQLDSITPALREMRLRKEERVNQFRTVQGQIQKISAEIAGQSESEYDDLSSDIMVNENDLSLKKLEEYQTELQRLRNEKNERLMRVEQYIDAVHKLSSILGTDSSMVITKVHPSLNDLCGITKNISNSILAKLNSTVESLDEEKQKRLDKLHHLGKALTNLWNLMDTPYKDRQSFSHVTGLLSLSSAEVSDPGSLTLNIIQQAEAEVRRLDHLKASKMKELFFKKQNELKEICNKSHMEIPLQSETDNLINLINSGEIDHADLLMSMDQQISRAKEEASSRMTIMEKVEKWMLARDEERWLEEYSRDENRYSVSRGAHKNLRRAERARVLVNKIPGTSPSNVGRV >ONI30020 pep chromosome:Prunus_persica_NCBIv2:G1:24015461:24019786:-1 gene:PRUPE_1G226800 transcript:ONI30020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFQTPIGMRSSNLLETSCGYLLQELQMIWNEVGQDHFEREKVLLDLEQECLEVYRKKVDAANTSRARLHQELAEAEAEFTHLLLSLDERSLPGRPEKMAGTLKEQLDSITPALREMRLRKEERVNQFRTVQGQIQKISAEIAGQSESEYDDLSSDIMVNENDLSLKKLEEYQTELQRLRNEKNERLMRVEQYIDAVHKLSSILGTDSSMVITKVHPSLNDLCGITKNISNSILAKLNSTVESLDEEKQKRLDKLHHLGKALTNLWNLMDTPYKDRQSFSHVTGLLSLSSAEVSDPGSLTLNIIQQAEAEVRRLDHLKASKMKELFFKKQNELKEICNKSHMEIPLQSETDNLINLINSDLLMSMDQQISRAKEEASSRMTIMEKVEKWMLARDEERWLEEYSRDENRYSVSRGAHKNLRRAERARVLVNKIPALVDLLIANTKSWEEERKKTFLYDEVPLLAMLEEYNVLRQEKEEDKQRQREMKKVQSQVAVEQENLFITRPSTSARRTSTRSVNGGFSNAIPLNRRLSLGLQQLGPNSINSGTQGISFIKEGKKARGQKMFARPGLVSHLRDETASVVSTFSGPQSP >ONI30021 pep chromosome:Prunus_persica_NCBIv2:G1:24015428:24019538:-1 gene:PRUPE_1G226800 transcript:ONI30021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFQTPIGMRSSNLLETSCGYLLQELQMIWNEVGQDHFEREKVLLDLEQECLEVYRKKVDAANTSRARLHQELAEAEAEFTHLLLSLDERSLPGRPEKMAGTLKEQLDSITPALREMRLRKEERVNQFRTVQGQIQKISAEIAGQSESEYDDLSSDIMVNENDLSLKKLEEYQTELQRLRNEKNERLMRVEQYIDAVHKLSSILGTDSSMVITKVHPSLNDLCGITKNISNSILAKLNSTVESLDEEKQKRLDKLHHLGKALTNLWNLMDTPYKDRQSFSHVTGLLSLSSAEVSDPGSLTLNIIQQAEAEVRRLDHLKASKMKELFFKKQNELKEICNKSHMEIPLQSETDNLINLINSGEIDHADLLMSMDQQISRAKEEASSRMTIMEKVEKWMLARDEERWLEEYSRDENRYSVSRGAHKNLRRAERARVLVNKIPALVDLLIANTKSWEEERKKTFLYDEVPLLAMLEEYNVLRQEKEEDKQRQREMKKVQSQVAVEQENLFITRPSTSARRTSTRSVNGGFSNAIPLNRRLSLGLQQLGPNSINSGTQGISFIKEGKKARGQKMFARPGLVSHLRDETASVVSTFSGPQSP >ONI30022 pep chromosome:Prunus_persica_NCBIv2:G1:24015811:24018865:-1 gene:PRUPE_1G226800 transcript:ONI30022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWNEVGQDHFEREKVLLDLEQECLEVYRKKVDAANTSRARLHQELAEAEAEFTHLLLSLDERSLPGRPEKMAGTLKEQLDSITPALREMRLRKEERVNQFRTVQGQIQKISAEIAGQSESEYDDLSSDIMVNENDLSLKKLEEYQTELQRLRNEKNERLMRVEQYIDAVHKLSSILGTDSSMVITKVHPSLNDLCGITKNISNSILAKLNSTVESLDEEKQKRLDKLHHLGKALTNLWNLMDTPYKDRQSFSHVTGLLSLSSAEVSDPGSLTLNIIQQAEAEVRRLDHLKASKMKELFFKKQNELKEICNKSHMEIPLQSETDNLINLINSGEIDHADLLMSMDQQISRAKEEASSRMTIMEKVEKWMLARDEERWLEEYSRDENRYSVSRGAHKNLRRAERARVLVNKIPALVDLLIANTKSWEEERKKTFLYDEVPLLAMLEEYNVLRQEKEEDKQRQREMKKVQSQVAVEQENLFITRPSTSARRTSTRSVNGGFSNAIPLNRRLSLGLQQLGPNSINSGTQGISFIKEGKKARGQKMFARPGLVSHLRDETASVVSTFSGPQSP >ONI26338 pep chromosome:Prunus_persica_NCBIv2:G1:1300180:1302236:-1 gene:PRUPE_1G018700 transcript:ONI26338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMANQAASPSSSSFSNSCTYDVFLSFRGEDTRHNFTDHLYSALVQKGINTFIDDKLRRGGEISASLLKAIEESRISIIVFSTKYAAFKRCLDVLDKILECKKLRQQKVWPIFYKVEPSDVRHQRGSFGEALAKHECKFKNNIHKVHRWRKALSEAANLSGWTFSDGDRHESQFIRKIVDDVLEELSSHAYLDVATYPVGIESYVGEINKLLEVGEESVCMVGIWGAGGIGKTTIAKAVYHSIVHEFDGSCFLANVRENSMPHGGLVQLQETLLIDILRVKKLKVTNVDKGVAMIKKRLSNKKVLLILDDVNQLEQLHSLARGSDWFGSGSRIIITTRDKHLLIAKNVPPSDYVKVARRAVYYVQGLPLALTVLGSHLCGRSIDQWQAALDSYKRVPNKEIQEILKISFDALEDIVKEIFLHIACFFKGKYVHYVTQMLECCDPMIGIELLVEKALITIDGCRVLMHDLLEEMGKEIVRQESPNNPGKRSRLWLHEDVDHVLAENTQNIYNLETRVTNLH >ONI27786 pep chromosome:Prunus_persica_NCBIv2:G1:8428786:8431254:-1 gene:PRUPE_1G104700 transcript:ONI27786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNVVVGLPSPPNLNGTLSSPTPTNTSNAKDGDNLVMNHTGVGGGYKWRLVIAYDGTRYAAGWQYQSSPPTIQCFVEKVLMQATKLERKDLSLVGASRTDKGVHAWGQVAHFVTPFNYDSIDSIHAALNGLLPSDIRVREISPAVPEFHARFSAKSKIYHYKVYNDTFMDPFQRDYAYHSAHKLNPAVMREAAKYFIGKHDFSAFANASQKERVRDPVKNIFRFDVIEMGALLQLEVEGSGFLYRQVRNMVSLLLQIGREALPPYVVPMILATRDRRELAKYAMFAPPHGLSLATVKYNEEHLRLPSGCSTTSFGRHYTIGSCKLPFY >ONI27787 pep chromosome:Prunus_persica_NCBIv2:G1:8428786:8431254:-1 gene:PRUPE_1G104700 transcript:ONI27787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNVVVGLPSPPNLNGTLSSPTPTNTSQNAKDGDNLVMNHTGVGGGYKWRLVIAYDGTRYAGWQYQSSPPTIQCFVEKVLMQATKLERKDLSLVGASRTDKGVHAWGQVAHFVTPFNYDSIDSIHAALNGLLPSDIRVREISPAVPEFHARFSAKSKIYHYKVYNDTFMDPFQRDYAYHSAHKLNPAVMREAAKYFIGKHDFSAFANASQKERVRDPVKNIFRFDVIEMGALLQLEVEGSGFLYRQVRNMVSLLLQIGREALPPYVVPMILATRDRRELAKYAMFAPPHGLSLATVKYNEEHLRLPSGCSTTSFGRHYTIGSCKLPFY >ONI27788 pep chromosome:Prunus_persica_NCBIv2:G1:8429314:8430932:-1 gene:PRUPE_1G104700 transcript:ONI27788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNVVVGLPSPPNLNGTLSSPTPTNTSNAKDGDNLVMNHTGVGGGYKWRLVIAYDGTRYAGWQYQSSPPTIQCFVEKVLMQATKLERKDLSLVGASRTDKGVHAWGQVAHFVTPFNYDSIDSIHAALNGLLPSDIRVREISPAVPEFHARFSAKSKIYHYKVYNDTFMDPFQRDYAYHSAHKLNPAVMREAAKYFIGKHDFSAFANASQKERVRDPVKNIFRFDVIEMGALLQLEVEGSGFLYRQVRNMVKHSS >ONI27785 pep chromosome:Prunus_persica_NCBIv2:G1:8428530:8431254:-1 gene:PRUPE_1G104700 transcript:ONI27785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNVVVGLPSPPNLNGTLSSPTPTNTSNAKDGDNLVMNHTGVGGGYKWRLVIAYDGTRYAGWQYQSSPPTIQCFVEKVLMQATKLERKDLSLVGASRTDKGVHAWGQVAHFVTPFNYDSIDSIHAALNGLLPSDIRVREISPAVPEFHARFSAKSKIYHYKVYNDTFMDPFQRDYAYHSAHKLNPAVMREAAKYFIGKHDFSAFANASQKERVRDPVKNIFRFDVIEMGALLQLEVEGSGFLYRQVRNMVSLLLQIGREALPPYVVPMILATRDRRELAKYAMFAPPHGLSLATVKYNEEHLRLPSGCSTTSFGRHYTIGSCKLPFY >ONI31712 pep chromosome:Prunus_persica_NCBIv2:G1:31043590:31052117:1 gene:PRUPE_1G326000 transcript:ONI31712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVVQRVFQEGGRDYFQQQPSTSSSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKEGIVTVGIGGPSGSGKSSLAEKVASVIGCTVVSMENYRDGFDEGNDLGSIDFDMLVRNLEDLTKGEDTLIPVFDYQQKKRVGSKTIKSASSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCKSEAAEDILLMLFKEMKLNRYFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYAVVVSYKRASKSVDNGNVSLSLETIDTLGETFMVLRGTNRKTVGTEALKMGINEPWITKSYLELILERKGVPRLNTPPLLPNTSLTTSQDRMIAAPRPIRVPPNLVTRLEDLSQPWTRSPTKSKMEPIVATWHFISSDPPQADSSTIDPSSFRDTVKLAPMPDSYDLDRGLLLAVQAIQALLENKGFPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYYKSEQVKDFKYDDFSSLDLSLLSKNIDDIRNGQRTKVPIFDLETGVQSGFKELEVSEDCGVIIFEGVYALHPDIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPMFQQFIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILDPAKFCSSVQNFIDIYLKLPGLPTNGQLTEGDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDAPNPYLQIKGVDKDAVAAAGSMLKLDGSYTTKSYLQIVLERLPASGRGSGGIHTQQAARLQELVEFIQSQGSSSASESSPIREVSPVEGVIEDMQSRIRRLERWHTINTVLWTFLMSALVGYSLYQRKRQ >ONI31714 pep chromosome:Prunus_persica_NCBIv2:G1:31043773:31052098:1 gene:PRUPE_1G326000 transcript:ONI31714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYRDGFDEGNDLGSIDFDMLVRNLEDLTKGEDTLIPVFDYQQKKRVGSKTIKSASSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCKSEAAEDILLMLFKEMKLNRYFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYAVVVSYKRASKSVDNGNVSLSLETIDTLGETFMVLRGTNRKTVGTEALKMGINEPWITKSYLELILERKGVPRLNTPPLLPNTSLTTSQDRMIAAPRPIRVPPNLVTRLEDLSQPWTRSPTKSKMEPIVATWHFISSDPPQADSSTIATTDPSSFRDTVKLAPMPDSYDLDRGLLLAVQAIQALLENKGFPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYYKSEQVKDFKYDDFSSLDLSLLSKNIDDIRNGQRTKVPIFDLETGVQSGFKELEVSEDCGVIIFEGVYALHPDIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPMFQQFIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILDPAKFCSSVQNFIDIYLKLPGLPTNGQLTEGDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDAPNPYLQIKGVDKDAVAAAGSMLKLDGSYTTKSYLQIVLERLPASGRGSGGIHTQQAARLQELVEFIQSQGSSSASESSPIREVSPVEGVIEDMQSRIRRLERWHTINTVLWTFLMSALVGYSLYQRKRQ >ONI31713 pep chromosome:Prunus_persica_NCBIv2:G1:31043598:31052117:1 gene:PRUPE_1G326000 transcript:ONI31713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLITARVETVQSFDHGYYLLVKSIQELREKKEGIVTVGIGGPSGSGKSSLAEKVASVIGCTVVSMENYRDGFDEGNDLGSIDFDMLVRNLEDLTKGEDTLIPVFDYQQKKRVGSKTIKSASSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCKSEAAEDILLMLFKEMKLNRYFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYAVVVSYKRASKSVDNGNVSLSLETIDTLGETFMVLRGTNRKTVGTEALKMGINEPWITKSYLELILERKGVPRLNTPPLLPNTSLTTSQDRMIAAPRPIRVPPNLVTRLEDLSQPWTRSPTKSKMEPIVATWHFISSDPPQADSSTIDPSSFRDTVKLAPMPDSYDLDRGLLLAVQAIQALLENKGFPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYYKSEQVKDFKYDDFSSLDLSLLSKNIDDIRNGQRTKVPIFDLETGVQSGFKELEVSEDCGVIIFEGVYALHPDIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPMFQQFIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILDPAKFCSSVQNFIDIYLKLPGLPTNGQLTEGDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDAPNPYLQIKGVDKDAVAAAGSMLKLDGSYTTKSYLQIVLERLPASGRGSGGIHTQQAARLQELVEFIQSQGSSSASESSPIREVSPVEGVIEDMQSRIRRLERWHTINTVLWTFLMSALVGYSLYQRKRQ >ONI31709 pep chromosome:Prunus_persica_NCBIv2:G1:31043652:31052098:1 gene:PRUPE_1G326000 transcript:ONI31709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVVQRVFQEGGRDYFQQQPSTSSSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKEGIVTVGIGGPSGSGKSSLAEKVASVIGCTVVSMENYRDGFDEGNDLGSIDFDMLVRNLEDLTKGEDTLIPVFDYQQKKRVGSKTIKSASSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCKSEAAEDILLMLFKEMKLNRYFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEQVGRMTLGGLLALGYAVVVSYKRASKSVDNGNVSLSLETIDTLGETFMVLRGTNRKTVGTEALKMGINEPWITKSYLELILERKGVPRLNTPPLLPNTSLTTSQDRMIAAPRPIRVPPNLVTRLEDLSQPWTRSPTKSKMEPIVATWHFISSDPPQADSSTIDPSSFRDTVKLAPMPDSYDLDRGLLLAVQAIQALLENKGFPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYYKSEQVKDFKYDDFSSLDLSLLSKNIDDIRNGQRTKVPIFDLETGVQSGFKELEVSEDCGVIIFEGVYALHPDIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPMFQQFIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILDPAKFCSSVQNFIDIYLKLPGLPTNGQLTEGDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDAPNPYLQIKGVDKDAVAAAGSMLKLDGSYTTKSYLQIVLERLPASGRGSGGIHTQQAARLQELVEFIQSQGSSSASESSPIREVSPVEGVIEDMQSRIRRLERWHTINTVLWTFLMSALVGYSLYQRKRQ >ONI31711 pep chromosome:Prunus_persica_NCBIv2:G1:31043572:31052098:1 gene:PRUPE_1G326000 transcript:ONI31711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVVQRVFQEGGRDYFQQQPSTSSSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKEGIVTVGIGGPSGSGKSSLAEKVASVIGCTVVSMENYRDGFDEGNDLGSIDFDMLVRNLEDLTKGEDTLIPVFDYQQKKRVGSKTIKSASSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCKSEAAEDILLMLFKEMKLNRYFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYAVVVSYKRASKSVDNGNVSLSLETIDTLGETFMVLRGTNRKTVGTEALKMGINEPWITKSYLELILERKGVPRLNTPPLLPNTSLTTSQDRMIAAPRPIRVPPNLVTRLEDLSQPWTRSPTKSKMEPIVATWHFISSDPPQADSSTIATTDPSSFRDTVKLAPMPDSYDLDRGLLLAVQAIQALLENKGFPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYYKSEQVKDFKYDDFSSLDLSLLSKNIDDIRNGQRTKVPIFDLETGVQSGFKELEVSEDCGVIIFEGVYALHPDIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPMFQQFIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILDPAKFCSSVQNFIDIYLKLPGLPTNGQLTEGDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDAPNPYLQIKGVDKDAVAAAGSMLKLDGSYTTKSYLQIVLERLPASGRGSGGIHTQQAARLQELVEFIQSQGSSSASESSPIREVSPVEGVIEDMQSRIRRLERWHTINTVLWTFLMSALVGYSLYQRKRQ >ONI31710 pep chromosome:Prunus_persica_NCBIv2:G1:31043989:31052072:1 gene:PRUPE_1G326000 transcript:ONI31710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVVQRVFQEGGRDYFQQQPSTSSSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKEGIVTVGIGGPSGSGKSSLAEKVASVIGCTVVSMENYRDGFDEGNDLGSIDFDMLVRNLEDLTKGEDTLIPVFDYQQKKRVGSKTIKSASSGVVIVDGTYALHAKLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCKSEAAEDILLMLFKEMKLNRYFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYAVVVSYKRASKSVDNGNVSLSLETIDTLGETFMVLRGTNRKTVGTEALKMGINEPWITKSYLELILERKGVPRLNTPPLLPNTSLTTSQDRMIAAPRPIRVPPNLVTRLEDLSQPWTRSPTKSKMEPIVATWHFISSDPPQADSSTIATTDPSSFRDTVKLAPMPDSYDLDRGLLLAVQAIQARSEALLENKGFPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYYKSEQVKDFKYDDFSSLDLSLLSKNIDDIRNGQRTKVPIFDLETGVQSGFKELEVSEDCGVIIFEGVYALHPDIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPMFQQFIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILKILDPAKFCSSVQNFIDIYLKLPGLPTNGQLTEGDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDAPNPYLQIKGVDKDAVAAAGSMLKLDGSYTTKSYLQIVLERLPASGRGSGGIHTQQAARLQELVEFIQSQGSSSASESSPIREVSPVEGVIEDMQSRIRRLERWHTINTVLWTFLMSALVGYSLYQRKRQ >ONI32752 pep chromosome:Prunus_persica_NCBIv2:G1:34461351:34462608:-1 gene:PRUPE_1G383700 transcript:ONI32752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFTSKLKLSLLLFLFSLAAGNVVYATVFTLQNRCTYTVWPGTLSGNGAAVLGDGGFALAPDSSVQLTAPRGWSGRFWARTGCNFDDSGNGKCLTGDCGSLKCVGGGAPPVTLAEFTTGSTPGDKDFYDVSLVDGYNVAMGLRANGGTGDCQYAGCVADLNGNCPPELRVTDSGKVVACRSACAAFNAPEFCCTGDHATPQTCSPTQYSVMFKTACPTAYSYAYDDASSTCTCAGSDYLITFCPTGSS >ONI35503 pep chromosome:Prunus_persica_NCBIv2:G1:44124937:44126661:-1 gene:PRUPE_1G540000 transcript:ONI35503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSYPDSRESSPRSREIDCETASWADDQPPSATSTYKVKFMCSYGGKIQPRPHDHQLAYVGGDTKILAVDRNIKLSAFASKLASLCDTPNDVVCFKYQLPGEDLDALISVTNDEDLEHMMIEYDRLYRASAKPARLRLFLFPLGNNPSASFGSNESKSERQWFVDALNSVQIGSPDGSSPTAPVTASPANPDFLFGFDKGYSAVPVQKFPETVTPPTVPDSLVKSPLVGSEDRQVVGEQVMSQVEIQRQIQELQRMQIAAGHEPTTIYHRKSDEIGNPRAYTPDYYSQKLPENLAPVQGSSPVAMPTPVQMPTAYMPERHMNTGGYPVAAGQSGPEQPVYLISTPTGVYQAPAHRPATGPVGQAYYGVPQRMVPEVYRDAQAYNTAVAAQQAQQQVQHAQQAQQAQQAKVGAYSEGFAQVGYEAVGRQVYYTTAGGVVSSYQTVAPVAVDGRQGGVGALNQIQDGKVVVSMASQASAM >ONI35504 pep chromosome:Prunus_persica_NCBIv2:G1:44124420:44126714:-1 gene:PRUPE_1G540000 transcript:ONI35504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSYPDSRESSPRSREIDCETASWADDQPPSATSTYKVKFMCSYGGKIQPRPHDHQLAYVGGDTKILAVDRNIKLSAFASKLASLCDTPNDVVCFKYQLPGEDLDALISVTNDEDLEHMMIEYDRLYRASAKPARLRLFLFPLGNNPSASFGSNESKSERQWFVDALNSVQIGSPDGSSPTAPVTASPANPDFLFGFDKGYSAVPVQKFPETVTPPTVPDSLVKSPLVGSEDRQVVGEQVMSQVEIQRQIQELQRMQIAAGHEPTTIYHRKSDEIGNPRAYTPDYYSQKLPENLAPVQGSSPVAMPTPVQMPTAYMPERHMNTGGYPVAAGQSGPEQPVYLISTPTGVYQAPAHRPATGPVGQAYYGVPQRMVPEVYRDAQAYNTAVAAQQAQQVQQVQQAQQVQQAQQVQQAQQAQQAQQVQHAQQAQQAQQAKVGAYSEGFAQVGYEAVGRQVYYTTAGGVVSSYQTVAPVAVDGRQGGVGALNQIQDGKVVVSMASQASAM >ONI35502 pep chromosome:Prunus_persica_NCBIv2:G1:44122682:44126714:-1 gene:PRUPE_1G540000 transcript:ONI35502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSYPDSRESSPRSREIDCETASWADDQPPSATSTYKVKFMCSYGGKIQPRPHDHQLAYVGGDTKILAVDRNIKLSAFASKLASLCDTPNDVVCFKYQLPGEDLDALISVTNDEDLEHMMIEYDRLYRASAKPARLRLFLFPLGNNPSASFGSNESKSERQWFVDALNSVQIGSPDGSSPTAPVTASPANPDFLFGFDKGYSAVPVQKFPETVTPPTVPDSLVKSPLVGSEDRQVVGEQVMSQVEIQRQIQELQRMQIAAGHEPTTIYHRKSDEIGNPRAYTPDYYSQKLPENLAPVQGSSPVAMPTPVQMPTAYMPERHMNTGGYPVAAGQSGPEQPVYLISTPTGVYQAPAHRPATGPVGQAYYGVPQRMVPEVYRDAQAYNTAVAAQQAQQVQQVQQAQQVQQAQQVQQAQQAQQAQQVQHAQQAQQAQQAKVGAYSEGFAQVGYEAVGRQVYYTTAGGVVSSYQTVAPVAVDGRQGGVGALNQIQDDK >ONI31420 pep chromosome:Prunus_persica_NCBIv2:G1:30262145:30263814:-1 gene:PRUPE_1G312000 transcript:ONI31420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLQNKRDVQLKHMRKEIAQFLQAGQEAIARIRVEHVIREQDIWAAYEILELFCEFVLARVPIIESQRECPPELREAISSIIFASPRCSEVPDLLQIKNLFTAKYGKEFVSAASELRPDSGVNRTIIEKLSVSAPSGEARLRVLKEIAQEYNLNWDSSNTEAEFSKTHEDLLGGSKHVSGGAAPSQAPAKQGSFSSSPSNGAHSIVAADIKQEPKYLHAPRPLRETHTSYTNEIQPSINDDKAAAVSDTKRETRPKSSEILERARAAIASAERASAAARSAAELVKSL >ONI31419 pep chromosome:Prunus_persica_NCBIv2:G1:30261921:30264518:-1 gene:PRUPE_1G312000 transcript:ONI31419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNQLFNRGVFGTKCKTCLNLAISRMKLLQNKRDVQLKHMRKEIAQFLQAGQEAIARIRVEHVIREQDIWAAYEILELFCEFVLARVPIIESQRECPPELREAISSIIFASPRCSEVPDLLQIKNLFTAKYGKEFVSAASELRPDSGVNRTIIEKLSVSAPSGEARLRVLKEIAQEYNLNWDSSNTEAEFSKTHEDLLGGSKHVSGGAAPSQAPAKQGSFSSSPSNGAHSIVAADIKQEPKYLHAPRPLRETHTSYTNEIQPSINDDKAAAVSDTKRETRPKSSEILERARAAIASAERASAAARSAAELVKSL >ONI31418 pep chromosome:Prunus_persica_NCBIv2:G1:30261881:30264651:-1 gene:PRUPE_1G312000 transcript:ONI31418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLNQLFNRGVFGTKCKTCLNLAISRMKLLQNKRDVQLKHMRKEIAQFLQAGQEAIARIRVEHVIREQDIWAAYEILELFCEFVLARVPIIESQRECPPELREAISSIIFASPRCSEVPDLLQIKNLFTAKYGKEFVSAASELRPDSGVNRTIIEKLSVSAPSGEARLRVLKEIAQEYNLNWDSSNTEAEFSKTHEDLLGGSKHVSGGAAPSQAPAKQGSFSSSPSNGAHSIVAADIKQEPKYLHAPRPLRETHTSYTNEIQPSINDDKAAAVSDTKRETRPKSSEILERARAAIASAERASAAARSAAELVKSL >ONI28939 pep chromosome:Prunus_persica_NCBIv2:G1:14026070:14027059:1 gene:PRUPE_1G170400 transcript:ONI28939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPTSNTDGDNPSPAPTVLPSPIVTSMPVVINIKLDRSNYPLWLPQIVPILRSQNLMEFDDGTCVCPSPTLTGGTAAKTAYSTWIQQDQLILSWINSSLTPSVLSTLSRNQNAHTSWQALESRYASTSQNRILHLRNEIFRTMKVQTHDTPITYDTLEALLLTAKRQMVEQVVPMPKNGPSAFVATCGHGGFHPRNFGRGAVLPTREGFPNQRGGSSRGTLSTQHTTNFGESSASATPRIVC >ONI35069 pep chromosome:Prunus_persica_NCBIv2:G1:42250557:42253528:-1 gene:PRUPE_1G513400 transcript:ONI35069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSSFRQLDESSIKLWNDLPEEKIAGGREKWRDRLHIYLAWHVVVARASVQDEFCMLRTWSFLNKEIGNLKSLVYLVLSNNKLSGVIPPNIGNLIKLNSLYLNNNKLSGMILKKIGNLKSLVNLSMSYNNLSGHIPPNIGNLIKLNTLYLNNNQRSGLIPKEEIGNLKSLVDLELSHYNLSGLIPPNIGKLIKLNILYFGKNQLSGLIPKKIGNLKSLVDLKLGYKNLSDLILPNFGNLINLNTLYFNSNKLSSLIPKEIGNLKSLVDLELSYNNLSGLIPQNIDNLIKLNTLYLGKNQLSGLIPKEIWNLKSLVDIELGENQLNSSIPASFANLSNLEILFLRNNQLSGSIPQELENLKNLTELALDTNQLPFFFFFVNIRTNCPVISFLEVDFIKNSQKGIIAKGHNNTSKSDTQPTELNKKAKRLEAQIKCKRTSNKLKAHKALSHTHQLSGQGGKLTNLSVQTNYLTGPIPKSLKNCTGLVRVRLDQNQLTGNISEDFGLYLNLDFTNISYNNLYGEISHNWGQCPKLKTTLMAGNNLSGSKPLEIGNATQIHVLDLSSNHLVVETDVKDCH >ONI35540 pep chromosome:Prunus_persica_NCBIv2:G1:44233294:44254311:1 gene:PRUPE_1G541600 transcript:ONI35540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAPSDRALSLLATVNNHGDLAVKLSSLKQAKDILLSIHPSVAAELFPYLIELQSSPETLVRLSLIEVVEEIGLKAMEESSVLMSILLEFLKDSDSIVARQSIVSGTNLFVSVLEEMTLQPGSVGTKLLALKFLETYVLLFTSDANGSEKPVAEDTTASKKAFNVSWLVGGHTILDPYILMSEANRTVGILLNLLRSAGSLPGCVTIAIVNCLAAIARKRLVHYNTILSALLDFDPNFEIVKGHHAASIQYSLRTAFLGFLRCTNPVIVESRDRLLRALRAMNAGDAADQVIRQVEKMLRNAERVSRDARLGKDDQQSSQLPVSGDLLKRRLTPLDTEEASNNHEMPSKRIRYGPDSYSTLPVQMNASGRDTTSVNGVSSDLPVLDGELTPVEQMIAVIGALLGEGERGGESLEILISNIHPDLLADIVITNMRHLPKIPPPLTRLGNFPAPRQIGSLSSSAQVVAGSPTSSVQSPVLTEQVPFSSATVTSLTVSDASNVNSLPTDSKRDPRRDPRRLDPRSAAASAGLASTPMEDTTAMQSDLDGSMSLNKLNLLPNVTTVETPLATPMLQTESDEKTFDSQLVSGSGQLTPKEEVLDGPVEIDPASKLGLSSDLTDSPVQTVDEDLIATKLSDIEGKDEDEDLDTSSFLESDQHSPVLSNTSASEDTYQDFPQLPIYVELTQEQERSVGKLAIERIIESYKYLHGEDYSQMRLALLARLVAQIDADDEIVVLLHKHILVDYQQQKGHELVLHVLYHLHALTISDSVESCSFATAVYEKFLLEVAKSLLESFPASDKSFSRLLGEVPILPDSTLKLLDDLCNSDVIDKHGKDIRDVERVTQGLGAVWSLILGRPHYRQSCLDITLKCAVHSQDEIRAKAIRLVANKLYQLSYISEIIEKFATNMLLSAVEQSPSGTEHAQSGPTGQRAERMLESQEPSISDFEVSESGNSENDSSRKDQALSTMSFPEVQRLISLFFALCIKKPILIQLVFNTYGHAPKAVKQAFHRHIPILIRALGSSYSELLNIISDPPQGSENLLMLVLQILTQETSPSSDLIATVKHLYETKLKDVTILIPMLSALSKNEVLPIFPRLVALPLEKFQTALAHILQGSAHTGPALTPAEVLVSIHGIVPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPSLVDFVMEILSKLVSKQVWRMPKLWVGFLKCASQTQPHSFRVLLQLPPPQLESALNKYANLRGPIAAYASQPSVKASLPRPTLAILGLANETHLQQPHLPSSFHPTDTNSSVHGATPT >ONI35538 pep chromosome:Prunus_persica_NCBIv2:G1:44233304:44254173:1 gene:PRUPE_1G541600 transcript:ONI35538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAPSDRALSLLATVNNHGDLAVKLSSLKQAKDILLSIHPSVAAELFPYLIELQSSPETLVRLSLIEVVEEIGLKAMEESSVLMSILLEFLKDSDSIVARQSIVSGTNLFVSVLEEMTLQFHRRGKVEIWLEELWSWMAKFKDAVFTIALEPGSVGTKLLALKFLETYVLLFTSDANGSEKPVAEDTTASKKAFNVSWLVGGHTILDPYILMSEANRTVGILLNLLRSAGSLPGCVTIAIVNCLAAIARKRLVHYNTILSALLDFDPNFEIVKGHHAASIQYSLRTAFLGFLRCTNPVIVESRDRLLRALRAMNAGDAADQVIRQVEKMLRNAERVSRDARLGKDDQQSSQLPVSGDLLKRRLTPLDTEEASNNHEMPSKRIRYGPDSYSTLPVQMNASGRDTTSVNGVSSDLPVLDGELTPVEQMIAVIGALLGEGERGGESLEILISNIHPDLLADIVITNMRHLPKIPPPLTRLGNFPAPRQIGSLSSSAQVVAGSPTSSVQSPVLTEQVPFSSATVTSLTVSDASNVNSLPTDSKRDPRRLHFQDPRRLDPRSAAASAGLASTPMEDTTAMQSDLDGSMSLNKLNLLPNVTTVETPLATPMLQTESDEKTFDSQLVSGSGQLTPKEEVLDGPVEIDPASKLGLSSDLTDSPVQTVDEDLIATKLSDIEGKDEDEDLDTSSFLESDQHSPVLSNTSASEDTYQDFPQLPIYVELTQEQERSVGKLAIERIIESYKYLHGEDYSQMRLALLARLVAQIDADDEIVVLLHKHILVDYQQQKGHELVLHVLYHLHALTISDSVESCSFATAVYEKFLLEVAKSLLESFPASDKSFSRLLGEVPILPDSTLKLLDDLCNSDVIDKHGKDIRDVERVTQGLGAVWSLILGRPHYRQSCLDITLKCAVHSQDEIRAKAIRLVANKLYQLSYISEIIEKFATNMLLSAVEQSPSGTEHAQSGPTGQRAERMLESQEPSISDFEVSESGNSENDSSRKDQALSTMSFPEVQRLISLFFALCIKKPILIQLVFNTYGHAPKAVKQAFHRHIPILIRALGSSYSELLNIISDPPQGSENLLMLVLQILTQETSPSSDLIATVKHLYETKLKDVTILIPMLSALSKNEVLPIFPRLVALPLEKFQTALAHILQGSAHTGPALTPAEVLVSIHGIVPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPSLVDFVMEILSKLVSKQVWRMPKLWVGFLKCASQTQPHSFRVLLQLPPPQLESALNKYANLRGPIAAYASQPSVKASLPRPTLAILGLANETHLQQPHLPSSFHPTDTNSSVHGATPT >ONI35541 pep chromosome:Prunus_persica_NCBIv2:G1:44233304:44247046:1 gene:PRUPE_1G541600 transcript:ONI35541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAPSDRALSLLATVNNHGDLAVKLSSLKQAKDILLSIHPSVAAELFPYLIELQSSPETLVRLSLIEVVEEIGLKAMEESSVLMSILLEFLKDSDSIVARQSIVSGTNLFVSVLEEMTLQFHRRGKVEIWLEELWSWMAKFKDAVFTIALEPGSVGTKLLALKFLETYVLLFTSDANGSEKPVAEDTTASKKAFNVSWLVGGHTILDPYILMSEANRTVGILLNLLRSAGSLPGCVTIAIVNCLAAIARKRLVHYNTILSALLDFDPNFEIVKGHHAASIQYSLRTAFLGFLRCTNPVIVESRDRLLRALRAMNAGDAADQVIRQVEKMLRNAERVSRDARLGKDDQQSSQLPVSGDLLKRRLTPLDTEEASNNHEMPSKRIRYGPDSYSTLPVQMNASGRDTTSVNGVSSDLPVLDGELTPVEQMIAVIGALLGEGERGGESLEILISNIHPDLLADIVITNMRHLPKIPPPLTRLGNFPAPRQIGSLSSSAQVVAGSPTSSVQSPVLTEQVPFSSATVTSLTVSDASNVNSLPTDSKRDPRRDPRRLDPRSAAASAGLASTPMEDTTAMQSDLDGSMSLNKLNLLPNVTTVETPLATPMLQTESDEKTFDSQLVSGSGQLTPKEEVLDGPVEIDPASKLGLSSDLTDSPVQTVDEDLIATKLSDIEGKDEDEDLDTSSFLESDQHSPVLSNTSASEDTYQDFPQLPIYVELTQEQERSVGKLAIERIIESYKYLHGEDYSQMRLALLARLVAQIDADDEIVVLLHKHILVDYQQQKGHELVLHVLYHLHALTISDSVESCSFATAVYEKFLLEVAKSLLESFPASDKSFSRLLGEVPILPDSTLKLLDDLCNSDVIDKHGKDIRDVERVTQGLGAVWSLILGRPHYRQSCLDITLKASRRRRTCSACLHEWMFHGYQMNFMNQIKHFLHSQGYNIIMRTIKVNRLDCL >ONI35539 pep chromosome:Prunus_persica_NCBIv2:G1:44233281:44254311:1 gene:PRUPE_1G541600 transcript:ONI35539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAPSDRALSLLATVNNHGDLAVKLSSLKQAKDILLSIHPSVAAELFPYLIELQSSPETLVRLSLIEVVEEIGLKAMEESSVLMSILLEFLKDSDSIVARQSIVSGTNLFVSVLEEMTLQFHRRGKVEIWLEELWSWMAKFKDAVFTIALEPGSVGTKLLALKFLETYVLLFTSDANGSEKPVAEDTTASKKAFNVSWLVGGHTILDPYILMSEANRTVGILLNLLRSAGSLPGCVTIAIVNCLAAIARKRLVHYNTILSALLDFDPNFEIVKGHHAASIQYSLRTAFLGFLRCTNPVIVESRDRLLRALRAMNAGDAADQVIRQVEKMLRNAERVSRDARLGKDDQQSSQLPVSGDLLKRRLTPLDTEEASNNHEMPSKRIRYGPDSYSTLPVQMNASGRDTTSVNGVSSDLPVLDGELTPVEQMIAVIGALLGEGERGGESLEILISNIHPDLLADIVITNMRHLPKIPPPLTRLGNFPAPRQIGSLSSSAQVVAGSPTSSVQSPVLTEQVPFSSATVTSLTVSDASNVNSLPTDSKRDPRRDPRRLDPRSAAASAGLASTPMEDTTAMQSDLDGSMSLNKLNLLPNVTTVETPLATPMLQTESDEKTFDSQLVSGSGQLTPKEEVLDGPVEIDPASKLGLSSDLTDSPVQTVDEDLIATKLSDIEGKDEDEDLDTSSFLESDQHSPVLSNTSASEDTYQDFPQLPIYVELTQEQERSVGKLAIERIIESYKYLHGEDYSQMRLALLARLVAQIDADDEIVVLLHKHILVDYQQQKGHELVLHVLYHLHALTISDSVESCSFATAVYEKFLLEVAKSLLESFPASDKSFSRLLGEVPILPDSTLKLLDDLCNSDVIDKHGKDIRDVERVTQGLGAVWSLILGRPHYRQSCLDITLKCAVHSQDEIRAKAIRLVANKLYQLSYISEIIEKFATNMLLSAVEQSPSGTEHAQSGPTGQRAERMLESQEPSISDFEVSESGNSENDSSRKDQALSTMSFPEVQRLISLFFALCIKKPILIQLVFNTYGHAPKAVKQAFHRHIPILIRALGSSYSELLNIISDPPQGSENLLMLVLQILTQETSPSSDLIATVKHLYETKLKDVTILIPMLSALSKNEVLPIFPRLVALPLEKFQTALAHILQGSAHTGPALTPAEVLVSIHGIVPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPSLVDFVMEILSKLVSKQVWRMPKLWVGFLKCASQTQPHSFRVLLQLPPPQLESALNKYANLRGPIAAYASQPSVKASLPRPTLAILGLANETHLQQPHLPSSFHPTDTNSSVHGATPT >ONI30699 pep chromosome:Prunus_persica_NCBIv2:G1:27516551:27522053:1 gene:PRUPE_1G267800 transcript:ONI30699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFMDFADTYFGGTMNFLMRSSHVQRVSAEQPSVPEPPADAHPTPKSATTLEGLIAEDSYPQYSTIDDHVGESEYRGENAIGANNESSVIAKHYDVSDEEGWIAIPYKELPDNWNDAPDIHSLRSLDRSFVFPGEQVHILACLSACQQDTEIITPFKLAAAMSKNGIRQSPKKQNGNAEEGNGALLRKGEMSPDSQGAEQNGETLSKEKTDLQKDVTDSESLLRMEDHKRQTEILLQRFERSHFFVRIAESSETLWSKKSAPKKSSVSLGMDGQESKENGTQKNAVNVSRFNAIIDKGNFDPKVSGGVARNNVKCCSLSNGDIVVLLQVNVGVDFLNDPVIEILQFEKSREGSLSSETQENLVDANQDPCGELLKWLLPLDNTLPPPARPLSPPLTSNSGMGSTSQKSGSQLFSHFRSYSMSSLPQNTTPPPAPIKAASSKPTFDLEDWDQSSSQQFWKSQKTGYEVLLSFRGVSLERERFSVCCGLEGIYTPGRRWRRKLEIIQPVEIHSFAADCNTDDLLCVQIKNVSPAHAPHIVVYIDAITIVFEEASKGGQSLSLPIACIEAGNDHSLPNLALRRGEEHSFILKPATSLWKNLKAGGDRRTQTSQLQAGNAASSLRPPSKAVELKRSASTADQYAIMVSCRCNYTESRLFFKQPTSWQPRVSRDLMISVASEMSGQSSAPSGGVSQLPVQVLTLQVSNLMSEDLTLTVLAPASFTSLPSVVSLNSSPSSPMSPFVGFPEFTGRSPTMQRLSSPLLSSENQKQNGKGGVWPASFNEQASPISDAIPSNGLCCTHLWLQSRVPLGCVPSQSMATIKLELLPLTDGIITLDTLQIDVKEKGLTYIPEYSLKINATSSISTGII >ONI30700 pep chromosome:Prunus_persica_NCBIv2:G1:27515842:27522065:1 gene:PRUPE_1G267800 transcript:ONI30700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLMRSSHVQRVSAEQPSVPEPPADAHPTPKSATTLEGLIAEDSYPQYSTIDDHVGESEYRGENAIGANNESSVIAKHYDVSDEEGWIAIPYKELPDNWNDAPDIHSLRSLDRSFVFPGEQVHILACLSACQQDTEIITPFKLAAAMSKNGIRQSPKKQNGNAEEGNGALLRKGEMSPDSQGAEQNGETLSKEKTDLQKDVTDSESLLRMEDHKRQTEILLQRFERSHFFVRIAESSETLWSKKSAPKKSSVSLGMDGQESKENGTQKNAVNVSRFNAIIDKGNFDPKVSGGVARNNVKCCSLSNGDIVVLLQVNVGVDFLNDPVIEILQFEKSREGSLSSETQENLVDANQDPCGELLKWLLPLDNTLPPPARPLSPPLTSNSGMGSTSQKSGSQLFSHFRSYSMSSLPQNTTPPPAPIKAASSKPTFDLEDWDQSSSQQFWKSQKTGYEVLLSFRGVSLERERFSVCCGLEGIYTPGRRWRRKLEIIQPVEIHSFAADCNTDDLLCVQIKNVSPAHAPHIVVYIDAITIVFEEASKGGQSLSLPIACIEAGNDHSLPNLALRRGEEHSFILKPATSLWKNLKAGGDRRTQTSQLQAGNAASSLRPPSKAVELKRSASTADQYAIMVSCRCNYTESRLFFKQPTSWQPRVSRDLMISVASEMSGQSSAPSGGVSQLPVQVLTLQVSNLMSEDLTLTVLAPASFTSLPSVVSLNSSPSSPMSPFVGFPEFTGRSPTMQRLSSPLLSSENQKQNGKGGVWPASFNEQASPISDAIPSNGLCCTHLWLQSRVPLGCVPSQSMATIKLELLPLTDGIITLDTLQIDVKEKGLTYIPEYSLKINATSSISTGII >ONI33261 pep chromosome:Prunus_persica_NCBIv2:G1:36015433:36022217:1 gene:PRUPE_1G413300 transcript:ONI33261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSSSSSHGLFTILIPTLLLLSSDLLFSLSFAEPLTESDPLLNANATESNVSLSKPKEGSFADMIDKALENEFKENDQNEATDPGSFNNSVAGQQAVLETVARVKSKKNETKEENLNRSFQLHDVFNLDNDNRGEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVISGYLLAGSVIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVPGGLLQIFLFMCLCGITASLCGGKVSEGIFVGVFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGILQGVISMAKLMVTLITFLAVLSISSRTCVPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVIIIKTIIITMVVKGFGYNNKTSFLVGISLAQIGEFAFVLLSRASNLHLVEVTTPFLFKLIPGLVHLGVLLRWFPPDGAVEIGFKGDNLRTDSGKQRVILMVRESHDS >ONI33260 pep chromosome:Prunus_persica_NCBIv2:G1:36015433:36022217:1 gene:PRUPE_1G413300 transcript:ONI33260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSSSSSHGLFTILIPTLLLLSSDLLFSLSFAEPLTESDPLLNANATESNVSLSKPKEGSFADMIDKALENEFKENDQNEATDPGSFNNSVAGQQAVLETVARVKSKKNETKEENLNRSFQLHDVFNLDNDNRGEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVISGYLLAGSVIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVPGGLLQIFLFMCLCGITASLCGGKVSEGIFVGVFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGILQGVISMAKLMVTLITFLAVLSISSRTCVPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVIIIKTIIITMVVKGFGYNNKTSFLVGISLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPFLFKLIPGLVHLGVLLRWFPPDGAVEIGFKGDNLRTDSGKQRVILMVRESHDS >ONI33264 pep chromosome:Prunus_persica_NCBIv2:G1:36015433:36022217:1 gene:PRUPE_1G413300 transcript:ONI33264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSSSSSHGLFTILIPTLLLLSSDLLFSLSFAEPLTESDPLLNANATESNVSLSKPKEGSFADMIDKALENEFKENDQNEATDPGSFNNSVAGQQAVLETVARVKSKKNETKEEKSFQLHDVFNLDNDNRGEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVISGYLLAGSVIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVPGGLLQIFLFMCLCGITASLCGGKVSEGIFVGVFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGILQGVISMAKLMVTLITFLAVLSISSRTCVPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVIIIKTIIITMVVKGFGYNNKTSFLVGISLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPFLFKLIPGLVHLGVLLRWFPPDGAVEIGFKGDNLRTDSGKQRVILMVRESHDS >ONI33266 pep chromosome:Prunus_persica_NCBIv2:G1:36016099:36022217:1 gene:PRUPE_1G413300 transcript:ONI33266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLLLAESIRSPTDPGSFNNSVAGQQAVLETVARVKSKKNETKEENLNRSFQLHDVFNLDNDNRGEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVISGYLLAGSVIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVPGGLLQIFLFMCLCGITASLCGGKVSEGIFVGVFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGILQGVISMAKLMVTLITFLAVLSISSRTCVPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVIIIKTIIITMVVKGFGYNNKTSFLVGISLAQIGEFAFVLLSRASNLHLVEVTTPFLFKLIPGLVHLGVLLRWFPPDGAVEIGFKGDNLRTDSGKQRVILMVRESHDS >ONI33259 pep chromosome:Prunus_persica_NCBIv2:G1:36015433:36022217:1 gene:PRUPE_1G413300 transcript:ONI33259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSSSSSHGLFTILIPTLLLLSSDLLFSLSFAEPLTESDPLLNANATESNVSLSKPKEGSFADMIDKALENEFKENDQNEATDPGSFNNSVAGQQAVLETVARVKSKKNETKEENLNRSFQLHDVFNLDNDNRGEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVISGYLLAGSVIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVPGGLLQIFLFMCLCGITASLCGGKVSEGIFVGVFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGILQGVISMAKLMVTLITFLAVLSISSRTCVPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVIIIKTIIITMVVKGFGYNNKTSFLVGISLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPFLFKLIPGLVHLGVLLRWFPPDGAVEIGFKGDNLRTDSGKQRVILMVRESHDS >ONI33262 pep chromosome:Prunus_persica_NCBIv2:G1:36015723:36022217:1 gene:PRUPE_1G413300 transcript:ONI33262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSSSSSHGLFTILIPTLLLLSSDLLFSLSFAEPLTESDPLLNANATESNVSLSKPKEGSFADMIDKALENEFKENDQNEATDPGSFNNSVAGQQAVLETVARVKSKKNETKEENLNRSFQLHDVFNLDNDNRGEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVISGYLLAGSVIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVPGGLLQIFLFMCLCGITASLCGGKVSEGIFVGVFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGILQGVISMAKLMVTLITFLAVLSISSRTCVPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVGISLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPFLFKLIPGLVHLGVLLRWFPPDGAVEIGFKGDNLRTDSGKQRVILMVRESHDS >ONI33263 pep chromosome:Prunus_persica_NCBIv2:G1:36015433:36022217:1 gene:PRUPE_1G413300 transcript:ONI33263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSSSSSHGLFTILIPTLLLLSSDLLFSLSFAEPLTESDPLLNANATESNVSLSKPKEGSFADMIDKALENEFKENDQNEATDPGSFNNSVAGQQAVLETVARVKSKKNETKEENLNRSFQLHDVFNLDNDNRGEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVISGYLLAGSVIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVPGGLLQIFLFMCLCGITASLCGGKVSEGIFVGVFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGILQGVISMAKLMVTLITFLAVLSISSRTCVPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVGISLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPFLFKLIPGLVHLGVLLRWFPPDGAVEIGFKGDNLRTDSGKQRVILMVRESHDS >ONI33265 pep chromosome:Prunus_persica_NCBIv2:G1:36016099:36022217:1 gene:PRUPE_1G413300 transcript:ONI33265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLLLAESIRSPTDPGSFNNSVAGQQAVLETVARVKSKKNETKEENLNRSFQLHDVFNLDNDNRGEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVISGYLLAGSVIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVPGGLLQIFLFMCLCGITASLCGGKVSEGIFVGVFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGILQGVISMAKLMVTLITFLAVLSISSRTCVPWLLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNLFAALFLASIGMLIHVQFLWNHVDILLASVILVIIIKTIIITMVVKGFGYNNKTSFLVGISLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPFLFKLIPGLVHLGVLLRWFPPDGAVEIGFKGDNLRTDSGKQRVILMVRESHDS >ONI27016 pep chromosome:Prunus_persica_NCBIv2:G1:4476421:4481896:1 gene:PRUPE_1G063100 transcript:ONI27016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSLEKHLFRRVGCTLTWSRRMKIALDAAKGLAFLHGAERPIIYRDFKTSNILLDGDFNAKLSDFGLAKEGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLIGRRAMDKSRPSREHNLVEWARPLLNHNKKLLRIVDPRLEGQYSFKTAMKVAQLAYQCLSQNPKGRPLMSQVVDILETLQSSGNHELQSGDGAVTLYEAPKGTIGSPAKKRSQNRRDGERGGEAHSNPGNGRSKSEPMNDFDRNNLSPNFVSHEK >ONI27014 pep chromosome:Prunus_persica_NCBIv2:G1:4476421:4481896:1 gene:PRUPE_1G063100 transcript:ONI27014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLTEWSVRLKALAEVNYLGQLSHPNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCTLTWSRRMKIALDAAKGLAFLHGAERPIIYRDFKTSNILLDGDFNAKLSDFGLAKEGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLIGRRAMDKSRPSREHNLVEWARPLLNHNKKLLRIVDPRLEGQYSFKTAMKVAQLAYQCLSQNPKGRPLMSQVVDILETLQSSGNHELQSGDGAVTLYEAPKGTIGSPAKKRSQNRRDGERGGEAHSNPGNGRSKSEPMNDFDRNNLSPNFVSHEK >ONI27015 pep chromosome:Prunus_persica_NCBIv2:G1:4478346:4481897:1 gene:PRUPE_1G063100 transcript:ONI27015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLTEWSVRLKALAEVNYLGQLSHPNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCTLTWSRRMKIALDAAKGLAFLHGAERPIIYRDFKTSNILLDGDFNAKLSDFGLAKEGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLIGRRAMDKSRPSREHNLVEWARPLLNHNKKLLRIVDPRLEGQYSFKTAMKVAQLAYQCLSQNPKGRPLMSQVVDILETLQSSGNHELQSGDGAVTLYEAPKGTIGSPAKKRSQNRRDGERGGEAHSNPGNGRSKSEPMNDFDRNNLSPNFVSHEK >ONI27013 pep chromosome:Prunus_persica_NCBIv2:G1:4476421:4481896:1 gene:PRUPE_1G063100 transcript:ONI27013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICFSSEKQQHSNCNQTSPPVGHGSAACPTPDKSAINTPLASKNVKDLRQSPGYINVSIFTYDEMSLATKRFRPELILGEGGFGVVYKGVVDDTVRTGYKTTQVAIKELNREGYQGDREWLAEVNYLGQLSHPNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCTLTWSRRMKIALDAAKGLAFLHGAERPIIYRDFKTSNILLDGDFNAKLSDFGLAKEGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLIGRRAMDKSRPSREHNLVEWARPLLNHNKKLLRIVDPRLEGQYSFKTAMKVAQLAYQCLSQNPKGRPLMSQVVDILETLQSSGNHELQSGDGAVTLYEAPKGTIGSPAKKRSQNRRDGERGGEAHSNPGNGRSKSEPMNDFDRNNLSPNFVSHEK >ONI31539 pep chromosome:Prunus_persica_NCBIv2:G1:30619806:30621199:1 gene:PRUPE_1G318700 transcript:ONI31539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYGRSHFPSQQTLRDSGISKYKYMKGSRSRITIRSSCFLWSCQIINHAPLVFFYFFFIIINNLKT >ONI35064 pep chromosome:Prunus_persica_NCBIv2:G1:42215712:42223487:-1 gene:PRUPE_1G513000 transcript:ONI35064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRPLFDLNEPPAEDNEENDGVRFQPQKALPSTNPHSSEVLAVAAVAPRIVNNHAFSHASSVSGFQPFVRPKFAHGSEGGAEQKARDDNPNSASISKSSKDEDVKPVPSLASASANAPSAEREEGEWSDDAEGSAEAYGSGSLHEGKTSQVEGKSGVIVGCASAVSPDGSSCNMKISESLKDENSSHTSLGFDHDQNSNSSRNLDSNAKGQASMDCQEDHGLVPKQEKVKGIEAIHAVKCATNPMKRKINQLNEAKLGRKRNRQTMFLNLEDVKQAGPIKSSTPRRQPFPTPVTTRTLKEVRTIPPPTERVGEKQSQSTIKDQKQVDVVCSEGGTVVESSECKSESNGDANYGLLPRTRKQNGDTDPSAEVLPPIPRQSSWKQPTDMRQLKNSQVANRKPALVTQGSIDSKSGNKKPLPAKKQMAISNTYQDTSVERLIREVTSEKFWHHPGETDLQCVPEKFESVEEYVRVFEPLLFEECRAQLYSTWEELTEGVSRDAHMMVRVRSIERRERGWYDVIVLPENGCKWTFKEGDVAILSTPRPGSVRSVRNNSSAEDNEEPEISGRVAGTVRRHIPIDTRDPPGAILHFYVGDSHDSNSFVDDDHILRKLQPKGIWYLTVLGSLATTQREYVALHAFRRLNLQMQTAILQPSPEHFPKYEQQSPAMPECFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTSGGKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQQYYTSLLKKLAPESYKQNSESNFDNVSTGSIDEVLQNMDQNLLRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLVKNREEVLGWMHQLRNREAQLSVQISNLQRELTVAAAAVRSQGSVGVDPDVLVARDQNRDALLQNLAAVVESRDKTLVELSRLFILEGKFRAGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAKCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDETYYKDPVLRPYIFFDITYGRESHRGGSVSYQNIHEARFCVRLYEHLHKSLKAFGVGKISVGIITPYKLQLKCLQREFEDVLNSEEGKDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANALMQSDDWASLITDAKARNCYMDMETLPKEFLVPKGPSYTPLPGKPSSNMRGFRSAGPRHRSLDMHVESRSGTPSEDDEKLGASVISRNGTYRPMKPPFENSLDDFDQSGDKSRDAWQYGIQRKHSSAGVVGRRDI >ONI35065 pep chromosome:Prunus_persica_NCBIv2:G1:42215712:42223283:-1 gene:PRUPE_1G513000 transcript:ONI35065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRPLFDLNEPPAEDNEENDGVRFQPQKALPSTNPHSSEVLAVAAVAPRIVNNHAFSHASSVSGFQPFVRPKFAHGSEGGAEQKARDDNPNSASISKSSKDEDVKPVPSLASASANAPSAEREEGEWSDDAEGSAEAYGSGSLHEGKTSQVEGKSGVIVGCASAVSPDGSSCNMKISESLKDENSSHTSLGFDHDQNSNSSRNLDSNAKGQASMDCQEDHGLVPKQEKVKGIEAIHAVKCATNPMKRKINQLNEAKLGRKRNRQTMFLNLEDVKQAGPIKSSTPRRQPFPTPVTTRTLKEVRTIPPPTERVGEKQSQSTIKDQKQVDVVCSEGGTVVESSECKSESNGDANYGLLPRTRKQNGDTDPSAEVLPPIPRQSSWKQPTDMRQLKNSQVANRKPALVTQGSIDSKSGNKKPLPAKKQMAISNTYQDTSVERLIREVTSEKFWHHPGETDLQCVPEKFESVEEYVRVFEPLLFEECRAQLYSTWEELTEGVSRDAHMMVRVRSIERRERGWYDVIVLPENGCKWTFKEGDVAILSTPRPGSVRSVRNNSSAEDNEEPEISGRVAGTVRRHIPIDTRDPPGAILHFYVGDSHDSNSFVDDDHILRKLQPKGIWYLTVLGSLATTQREYVALHAFRRLNLQMQTAILQPSPEHFPKYEQQSPAMPECFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTSGGKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQQYYTSLLKKLAPESYKQNSESNFDNVSTGSIDEVLQNMDQNLLRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLVKNREEVLGWMHQLRNREAQLSVQISNLQRELTVAAAAVRSQGSVGVDPDVLVARDQNRDALLQNLAAVVESRDKTLVELSRLFILEGKFRAGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAKCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDETYYKDPVLRPYIFFDITYGRESHRGGSVSYQNIHEARFCVRLYEHLHKSLKAFGVGKISVGIITPYKLQLKCLQREFEDVLNSEEGKDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANALMQSDDWASLITDAKARNCYMDMETLPKEFLVPKGPSYTPLPGKPSSNMRGFRSAGPRHRSLDMHVESRSGTPSEDDEKLGASVISRNGTYRPMKPPFENSLDDFDQSGDKSRDAWQYGIQRKHSSAGVVGRRDI >ONI35063 pep chromosome:Prunus_persica_NCBIv2:G1:42215712:42223639:-1 gene:PRUPE_1G513000 transcript:ONI35063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRPLFDLNEPPAEDNEENDGVRFQPQKALPSTNPHSSEVLAVAAVAPRIVNNHAFSHASSVSGFQPFVRPKFAHGSEGGAEQKARDDNPNSASISKSSKDEDVKPVPSLASASANAPSAEREEGEWSDDAEGSAEAYGSGSLHEGKTSQVEGKSGVIVGCASAVSPDGSSCNMKISESLKDENSSHTSLGFDHDQNSNSSRNLDSNAKGQASMDCQEDHGLVPKQEKVKGIEAIHAVKCATNPMKRKINQLNEAKLGRKRNRQTMFLNLEDVKQAGPIKSSTPRRQPFPTPVTTRTLKEVRTIPPPTERVGEKQSQSTIKDQKQVDVVCSEGGTVVESSECKSESNGDANYGLLPRTRKQNGDTDPSAEVLPPIPRQSSWKQPTDMRQLKNSQVANRKPALVTQGSIDSKSGNKKPLPAKKQMAISNTYQDTSVERLIREVTSEKFWHHPGETDLQCVPEKFESVEEYVRVFEPLLFEECRAQLYSTWEELTEGVSRDAHMMVRVRSIERRERGWYDVIVLPENGCKWTFKEGDVAILSTPRPGSVRSVRNNSSAEDNEEPEISGRVAGTVRRHIPIDTRDPPGAILHFYVGDSHDSNSFVDDDHILRKLQPKGIWYLTVLGSLATTQREYVALHAFRRLNLQMQTAILQPSPEHFPKYEQQSPAMPECFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTSGGKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQQYYTSLLKKLAPESYKQNSESNFDNVSTGSIDEVLQNMDQNLLRTLPKLCPKPRMLVCAPSNAATDELLSRVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLVKNREEVLGWMHQLRNREAQLSVQISNLQRELTVAAAAVRSQGSVGVDPDVLVARDQNRDALLQNLAAVVESRDKTLVELSRLFILEGKFRAGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAKCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDETYYKDPVLRPYIFFDITYGRESHRGGSVSYQNIHEARFCVRLYEHLHKSLKAFGVGKISVGIITPYKLQLKCLQREFEDVLNSEEGKDLYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANALMQSDDWASLITDAKARNCYMDMETLPKEFLVPKGPSYTPLPGKPSSNMRGFRSAGPRHRSLDMHVESRSGTPSEDDEKLGASVISRNGTYRPMKPPFENSLDDFDQSGDKSRDAWQYGIQRKHSSAGVVGRRDI >ONI27385 pep chromosome:Prunus_persica_NCBIv2:G1:6172134:6173371:1 gene:PRUPE_1G083100 transcript:ONI27385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNDMEREKPITFTSQQLQIATDNFTNLFGQGGFGAVYKGIFSNGTLVAVKVLNGSSDKRIEEQFMAEVGTIGRIHPFNLVRLHGLCFEKHLRALVYEYMRNGLLDKFLFHRNKDLGFEKLHEIAVGTARGIAYLHEECQQRIVHYDIKPENILLDANFFPKVADFGLAKLCNRDNTHITMTGGRGTPGYAAPEVWMRFSITHKCDVYSFGMLLFEIIGRRRNLDINIQDSQDWFPRWVWKKFEPGELGEIMVVCGIEEKDKERAERIVKVAIWCVQYMPEARPSMSVVVKMLEGAIEIPRPSTNPFQHLMSDTPYPTAPVYDTSNGTYSTSAFGSDPSRTVTGYSVVHGTPIMRKYEIEIAST >ONI27503 pep chromosome:Prunus_persica_NCBIv2:G1:6813157:6814831:-1 gene:PRUPE_1G090400 transcript:ONI27503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHKACTQHVAVLVFPFASHPDILLHFIRIISAMAPDVKFSFLSLAKSNSSLFTESNSKGFENIKPYKVWDGLPGGYAFSGNPLEPIDLFLKVAPGSFKKVIEEAEAEIGQKVGCLISDAFLWFAGEMAEEMQVPWVPLWTSGQRSLLVHVGTDVIREKVGASGEKDQSLDFLPGFSAFHASDLPEGVAFENSESPIAVMVHKMGQKLPQATAVAINSFQDIDLEVVFELKKRFHKFLHVGPFSLTPTAPIHDEHGCLEWLDKHKRASVAYISFGSMAKLPPHELAALAEALEEGGFPFIWSFRGNEKDFPKGFVERTNRNGLGKVVPWVSQTKVLNHSSVGVFVTHCGWNSVLESITGGVPMICRPFLADQPLNMRTLEVLWRIGVGIEGGVLTKSGAMKALELCLSSKQGNEMRERTSILKVFAEEAVKSYGRTTEDLNVLVNEIICGPSKPKWLDWVTNLNWW >ONI35923 pep chromosome:Prunus_persica_NCBIv2:G1:45799015:45808194:1 gene:PRUPE_1G561100 transcript:ONI35923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQPQGENYANPKTCFFHVLFKAGALAFYILSALFFDNFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFECLDQESLARMNKKDSWLFWWTLYLTAVAWIVLGIFSLIKFQADYLLVVGVCLTLSIANIVGFTKCRKDAKKQIQQFASQTIASRFSSTIQSAFSVV >ONI35925 pep chromosome:Prunus_persica_NCBIv2:G1:45804903:45808194:1 gene:PRUPE_1G561100 transcript:ONI35925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQPQGENYANPKTCFFHVLFKAGALAFYILSALFFDNFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFECLDQESLARMNKKDSWLFWWTLYLTAVAWIVLGIFSLIKFQADYLLVVGVCLTLSIANIVGFTKCRKDAKKQIQQFASQTIASRFSSTIQSAFSVV >ONI35926 pep chromosome:Prunus_persica_NCBIv2:G1:45804903:45807162:1 gene:PRUPE_1G561100 transcript:ONI35926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQPQGENYANPKTCFFHVLFKAGALAFYILSALFFDNFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFECLDQESLARMNKKDSWLFWWTLYLTAVAWIVLGIFSLIKFQADYLLVVGVCLTLSIANIVGFTKCRKGTEAPI >ONI33741 pep chromosome:Prunus_persica_NCBIv2:G1:37640123:37641711:1 gene:PRUPE_1G444100 transcript:ONI33741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQQYVDDHLMCDIDGNRLTAAAILGQDGSVWSQSATFPAFKPEEIAAILKDFDQPGTLAPTGLFLGGTKYMVIQGEAGAVIRGKKGSGGITVKKTNQALIIGIYDEPLTPGQCNMIVERLGDYLIEQGL >ONI33742 pep chromosome:Prunus_persica_NCBIv2:G1:37640109:37641350:1 gene:PRUPE_1G444100 transcript:ONI33742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQQYVDDHLMCDIDGNRLTAAAILGQDGSVWSQSATFPAFKPEEIAAILKDFDQPGTLAPTGLFLGGTKYMVIQGEAGAVIRGKKGSGGITVKKTNQALIIGIYDEPLTPGQCNMIVERLGDYLIEQGL >ONI33740 pep chromosome:Prunus_persica_NCBIv2:G1:37640074:37641730:1 gene:PRUPE_1G444100 transcript:ONI33740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQQYVDDHLMCDIDGNRLTAAAILGQDGSVWSQSATFPAFKPEEIAAILKDFDQPGTLAPTGLFLGGTKYMVIQGEAGAVIRGKKGSGGITVKKTNQALIIGIYDEPLTPGQCNMIVERLGDYLIEQGL >ONI26490 pep chromosome:Prunus_persica_NCBIv2:G1:1977653:1979027:-1 gene:PRUPE_1G028300 transcript:ONI26490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNPGTSPLPPASCIFKVPEALRRHNPKAYEPHVVSIGPFHRRHDHEKLQRMETVKQWYLDTLLTRMDISLEKFIESINNGTKKGIIKFEKRARSFYAEPLDHLKEKEFMEIMILDGCFVIHLLWKIVYGKKDDDDPILKMDCMFQYVCHDLLLLENQLPWFVLSCLYRLTLGKIDRGPPFSVVLLSAFCSQNSLKKHINSYVQYLKEDRVNNDRVDENRFLHILDLIKTSIVFAIKPFELPEKEEKEMGPEIPAATALSEAGVKFVRGSDNNLMNIEFKNGVFLTIPELAVAGLTEPLWRNLIAFEHAVGVNSHHQITSYAVFMDKLIISSDKDIKLLSEKNILANWLNVEDGSKFLHSLSIDTTVKDFQYDQLCVEVNKYYHVKWNWYFEQFRSDYCSTPWKVIALTVGILLLLVNLMQFYISLQSSIVSTGIRVNELSADI >ONI28027 pep chromosome:Prunus_persica_NCBIv2:G1:9289725:9291978:-1 gene:PRUPE_1G118100 transcript:ONI28027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLIQSKKKKGPTRISITTIVMCNLIIALIALSLVATYRYWSRRSLDQSGSDLSTLEDIGGLVKKYDLPGYAILNTSKGPITVELFKDGSPEVVDRFLDLCQKGHFKGMPFSHVIKHYVIKGGGSQGLGAAEDWISKGKLRSQLVMRPKHEAFMLGTTKNRLDDKGFELVITTAPIPDLNDKLIVFGRVIKGEDVVQEIEEVDTDEHYRPKSSVGITSVILKREI >ONI28028 pep chromosome:Prunus_persica_NCBIv2:G1:9289345:9292431:-1 gene:PRUPE_1G118100 transcript:ONI28028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLIQSKKKKGPTRISITTIVMCNLIIALIALSLVATYRYWSRRSLDQSGSDLSTLEDIGGLVKKYDLPGYAILNTSKGPITVELFKDGSPEVVDRFLDLCQKGHFKGMPFSHVIKHYVIKGGGSQGLGAAEDWISKGKLRSQLVMRPKHEAFMLGTTKNRLDDKGFELVITTAPIPDLNDKLIVFGRVIKGEDVVQEIEEVDTDEHYRPKSSVGITSVILKREI >ONI32637 pep chromosome:Prunus_persica_NCBIv2:G1:34178731:34181182:1 gene:PRUPE_1G378000 transcript:ONI32637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSSRNSSWTPKQNKLFEKALAVYDKDTQDRWHNVAKAVGGKSVEEVKRHYEILVQDLMHIESGQVPIPNYKGTGSSGSRGIGDEQRLMKNLKI >ONI30527 pep chromosome:Prunus_persica_NCBIv2:G1:26622195:26625355:-1 gene:PRUPE_1G255900 transcript:ONI30527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYVGNLDPRVNERDLEDEFRMFGVLRGVWVARRPPGYAFIEFDDRRDALDAIQAVDGKNGWRVELSHNSKGGGGRGGGGGRGRGGGEDLRCYECGEPGHFARECRLRIGSRGLGSGRRRSPSPRRRRSPSYDGYGRRSYSPRRRRSPPRRRSVTPPRRGRSYSRSPPYRPARRASPYANGD >ONI30528 pep chromosome:Prunus_persica_NCBIv2:G1:26621959:26625385:-1 gene:PRUPE_1G255900 transcript:ONI30528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYVGNLDPRVNERDLEDEFRMFGVLRGVWVARRPPGYAFIEFDDRRDALDAIQAVDGKNGWRVELSHNSKGGGGRGGGGGRGRGGGEDLRCYECGEPGHFARECRLRIGSRGLGSGRRRSPSPRRRRSPSYDGYGRRSYSPRRRRSPPRRRSVTPPRRGRSYSRSPPYRPARRASPYANGD >ONI34750 pep chromosome:Prunus_persica_NCBIv2:G1:41159750:41169941:1 gene:PRUPE_1G496500 transcript:ONI34750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQISHINFDNCNFKSKSVIQKPLLDSFKENIEDFVEDCDVVQEGCVWKWEFVHKEGCIKRTVPLFILKEVVDDSRHKSGEDPFCIYCSYSGCNNNVVSRSKYHFVIPADYESLEQQLDDPFDKDDHVFKDRTHLLHGLLHINGIGHLICINGIDGGSAFIPGRDIMHLWDLLCLYFRARKITVRDEACKTFRHISLIDGVRETCEGAMVTRLLFSVAFGSTWFGRWGYTFLRGSYGVSRDTYTTAIESLGSHPLTEVEEIVEKYKKKSLTPLECMKDLLSFMLQMRCGTRGQKKMDLNKDLWTVYDKVLMRRKNHVQTILNCKVFCKEIPLRQMSAECLTVTFVVRDADDNELPGMVGCECTTLPVNDVADLKGRVTRLIQGTYHALSNFTITEMEGEGTDELKENMWVKGDGADMDCNYVAEGGRGWLVTCICGAEDDDGEDMVECDTCKKWKHIECLINDGFKLEDNAGFICLECSTPRH >ONI34751 pep chromosome:Prunus_persica_NCBIv2:G1:41159750:41169941:1 gene:PRUPE_1G496500 transcript:ONI34751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQISHINFDNCNFKSKSVIQKPLLDSFKENIEDFVEDCDVVQEGCVWKWEFVHKEGCIKRTVPLFILKEVVDDSRHKSGEDPFCIYCSYSGCNNNVVSRSKYHFVIPADYESLEQQLDDPFDKDDHVFKDRTHLLHGLLHINGIGHLICINGIDGGSAFIPGRDIMHLWDLLCLYFRARKITVRDEACKTFRHISLIDGVRETCEGAMVTRLLFSVAFGSTWFGRWGYTFLRGSYGVSRDTYTTAIESLGSHPLTEVEEIVEKYKKKSLTPLECMKDLLSFMLQMRCGTRGQKKMDLNKDLWTVYDKVLMRRKNHVQTILNCKVFCKEIPLRQMSAECLTVTFVVRDADDNELPGMVGCECTTLPVNDVADLKGRVTRLIQGTYHALSNFTITEMEGEGTDELKENMWVKGDGADMDCNYVAEGGRGWLVTCICGAEDDDGEDMVECDTCKKWKHIECLINDGFKLEDNAGFICLECSTPRH >ONI34748 pep chromosome:Prunus_persica_NCBIv2:G1:41166435:41170112:1 gene:PRUPE_1G496500 transcript:ONI34748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQISHINFDNCNFKSKSVIQKPLLDSFKENIEDFVEDCDVVQEGCVWKWEFVHKEGCIKRTVPLFILKEVVDDSRHKSGEDPFCIYCSYSGCNNNVVSRSKYHFVIPADYESLEQQLDDPFDKDDHVFKDRTHLLHGLLHINGIGHLICINGIDGGSAFIPGRDIMHLWDLLCLYFRARKITVRDEACKTFRHISLIDGVRETCEGAMVTRLLFSVAFGSTWFGRWGYTFLRGSYGVSRDTYTTAIESLGSHPLTEVEEIVEKYKKKSLTPLECMKDLLSFMLQMRCGTRGQKKMDLNKDLWTVYDKVLMRRKNHVQTILNCKVFCKEIPLRQMSAECLTVTFVVRDADDNELPGMVGCECTTLPVNDVADLKGRVTRLIQGTYHALSNFTITEMEGEGTDELKENMWVKGDGADMDCNYVAEGGRGWLVTCICGAEDDDGEDMVECDTCKKWKHIECLINDGFKLEDNAGFICLECSTPRH >ONI34747 pep chromosome:Prunus_persica_NCBIv2:G1:41159750:41170084:1 gene:PRUPE_1G496500 transcript:ONI34747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQISHINFDNCNFKSKSVIQKPLLDSFKENIEDFVEDCDVVQEGCVWKWEFVHKEGCIKRTVPLFILKEVVDDSRHKSGEDPFCIYCSYSGCNNNVVSRSKYHFVIPADYESLEQQLDDPFDKDDHVFKDRTHLLHGLLHINGIGHLICINGIDGGSAFIPGRDIMHLWDLLCLYFRARKITVRDEACKTFRHISLIDGVRETCEGAMVTRLLFSVAFGSTWFGRWGYTFLRGSYGVSRDTYTTAIESLGSHPLTEVEEIVEKYKKKSLTPLECMKDLLSFMLQMRCGTRGQKKMDLNKDLWTVYDKVLMRRKNHVQTILNCKVFCKEIPLRQMSAECLTVTFVVRDADDNELPGMVGCECTTLPVNDVADLKGRVTRLIQGTYHALSNFTITEMEGEGTDELKENMWVKGDGADMDCNYVAEGGRGWLVTCICGAEDDDGEDMVECDTCKKWKHIECLINDGFKLEDNAGFICLECSTPRH >ONI34749 pep chromosome:Prunus_persica_NCBIv2:G1:41159750:41169941:1 gene:PRUPE_1G496500 transcript:ONI34749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQISHINFDNCNFKSKSVIQKPLLDSFKENIEDFVEDCDVVQEGCVWKWEFVHKEGCIKRTVPLFILKEVVDDSRHKSGEDPFCIYCSYSGCNNNVVSRSKYHFVIPADYESLEQQLDDPFDKDDHVFKDRTHLLHGLLHINGIGHLICINGIDGGSAFIPGRDIMHLWDLLCLYFRARKITVRDEACKTFRHISLIDGVRETCEGAMVTRLLFSVAFGSTWFGRWGYTFLRGSYGVSRDTYTTAIESLGSHPLTEVEEIVEKYKKKSLTPLECMKDLLSFMLQMRCGTRGQKKMDLNKDLWTVYDKVLMRRKNHVQTILNCKVFCKEIPLRQMSAECLTVTFVVRDADDNELPGMVGCECTTLPVNDVADLKGRVTRLIQGTYHALSNFTITEMEGEGTDELKENMWVKGDGADMDCNYVAEGGRGWLVTCICGAEDDDGEDMVECDTCKKWKHIECLINDGFKLEDNAGFICLECSTPRH >ONI26838 pep chromosome:Prunus_persica_NCBIv2:G1:3463561:3470464:-1 gene:PRUPE_1G049300 transcript:ONI26838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACSTVAPPSTSFISNKKDLGLSAFSSASPLSSQKCKRTSKTICSVTAPKQSERKPATTGSVKTGMTMTEKIFARASEKTQLSPGENVWVNVDVLMTHDVCGPGSFGIFKKEFGQNAKVWDREKIVIIPDHYIFTSDERANRNVDILRDFCTEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVMDGEMPDYLLAKDLILQIIGEISVSGATYKAMEFVGSTVESLSMEERMTLCNMVVEAGGKNGIVPADSTTYKYLEDKTSVPYEPVYSDDKARFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARLNEPKVCVSTTNRNFPGRMGHKEGEIYLASPYTAAASALTGYVTDPREFLQ >ONI31523 pep chromosome:Prunus_persica_NCBIv2:G1:30583990:30587867:1 gene:PRUPE_1G317800 transcript:ONI31523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDGGDRMALPSHVVPNGSASQDSGHARLHELGYKQELKRDLSFNIVGQWAVTTSVDFSLAQLINVIILLSSGGRNGDGVSGDKYVVIGIHGGILFLHALINSLPISYLSLFGQLAAAWNIVGVFVLMILIPCVATERASAKFVFTHFNTDNGDGVNNKVYIFVLGLLMSQYTITGYDASAHMTEETKNADTNGPKGIISSIVISIIVGWGYILGITFAVTNIPYLLDETNDAGGYAIAEIFYLAFKSRYGSGVGGIICLGVVGVAIFFCGMSSVTSNSRMAYAFSRDGAMPFSSFWHKVNKHEVPVNAVWLSALVSFCMALTSLGSLVAFNAMVSIATIGLYIAYALPIFLRVTLARKSFVAGPFNLGRYGVLIGWISVLWVATITVLFSLPVAYPITIETLNYTPVAVGGLLLITVLAWILRARHWFKGPITNINE >ONI31522 pep chromosome:Prunus_persica_NCBIv2:G1:30583990:30587867:1 gene:PRUPE_1G317800 transcript:ONI31522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDGGDRMALPSHVVPNGSASQDSGHARLHELGYKQELKRDLSLLSNFAFSFSIISVLTGVTTLYNTGLKYGGPVSVVYGWLIAGSFTIFVGLSMAEICSSYPTSGGLYYWSAKLAGPRWAPFASWLTGCSGGRNGDGVSGDKYVVIGIHGGILFLHALINSLPISYLSLFGQLAAAWNIVGVFVLMILIPCVATERASAKFVFTHFNTDNGDGVNNKVYIFVLGLLMSQYTITGYDASAHMTEETKNADTNGPKGIISSIVISIIVGWGYILGITFAVTNIPYLLDETNDAGGYAIAEIFYLAFKSRYGSGVGGIICLGVVGVAIFFCGMSSVTSNSRMAYAFSRDGAMPFSSFWHKVNKHEVPVNAVWLSALVSFCMALTSLGSLVAFNAMVSIATIGLYIAYALPIFLRVTLARKSFVAGPFNLGRYGVLIGWISVLWVATITVLFSLPVAYPITIETLNYTPVAVGGLLLITVLAWILRARHWFKGPITNINE >ONI31521 pep chromosome:Prunus_persica_NCBIv2:G1:30583990:30587867:1 gene:PRUPE_1G317800 transcript:ONI31521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDGGDRMALPSHVVPNGSASQDSGHARLHELGYKQELKRDLSLLSNFAFSFSIISVLTGVTTLYNTGLKYGGPVSVVYGWLIAGSFTIFVGLSMAEICSSYPTSGGLYYWSAKLAGPRWAPFASWLTGWFNIVGQWAVTTSVDFSLAQLINVIILLSSGGRNGDGVSGDKYVVIGIHGGILFLHALINSLPISYLSLFGQLAAAWNIVGVFVLMILIPCVATERASAKFVFTHFNTDNGDGVNNKVYIFVLGLLMSQYTITGYDASAHMTEETKNADTNGPKGIISSIVISIIVGWGYILGITFAVTNIPYLLDETNDAGGYAIAEIFYLAFKSRYGSGVGGIICLGVVGVAIFFCGMSSVTSNSRMAYAFSRDGAMPFSSFWHKVNKHEVPVNAVWLSALVSFCMALTSLGSLVAFNAMVSIATIGLYIAYALPIFLRVTLARKSFVAGPFNLGRYGVLIGWISVLWVATITVLFSLPVAYPITIETLNYTPVAVGGLLLITVLAWILRARHWFKGPITNINE >ONI31524 pep chromosome:Prunus_persica_NCBIv2:G1:30584800:30587713:1 gene:PRUPE_1G317800 transcript:ONI31524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLCFLAHWLWAVTTSVDFSLAQLINVIILLSSGGRNGDGVSGDKYVVIGIHGGILFLHALINSLPISYLSLFGQLAAAWNIVGVFVLMILIPCVATERASAKFVFTHFNTDNGDGVNNKVYIFVLGLLMSQYTITGYDASAHMTEETKNADTNGPKGIISSIVISIIVGWGYILGITFAVTNIPYLLDETNDAGGYAIAEIFYLAFKSRYGSGVGGIICLGVVGVAIFFCGMSSVTSNSRMAYAFSRDGAMPFSSFWHKVNKHEVPVNAVWLSALVSFCMALTSLGSLVAFNAMVSIATIGLYIAYALPIFLRVTLARKSFVAGPFNLGRYGVLIGWISVLWVATITVLFSLPVAYPITIETLNYTPVAVGGLLLITVLAWILRARHWFKGPITNINE >ONI31520 pep chromosome:Prunus_persica_NCBIv2:G1:30581830:30587867:1 gene:PRUPE_1G317800 transcript:ONI31520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQGPYHPLRDQDGEAISADNTRLKQLGYKQELSRNLSAIANFSVTFSIISVLTGLSTTYSMGLTYGGPVTLVYGWPIVGLLTLLVGLSMAEICSAYPTSGGLYFWSAKLSGKRWGPLAAWLTGWFNIVGQWAVTTSVDFSLAQLINVIILLSSGGRNGDGVSGDKYVVIGIHGGILFLHALINSLPISYLSLFGQLAAAWNIVGVFVLMILIPCVATERASAKFVFTHFNTDNGDGVNNKVYIFVLGLLMSQYTITGYDASAHMTEETKNADTNGPKGIISSIVISIIVGWGYILGITFAVTNIPYLLDETNDAGGYAIAEIFYLAFKSRYGSGVGGIICLGVVGVAIFFCGMSSVTSNSRMAYAFSRDGAMPFSSFWHKVNKHEVPVNAVWLSALVSFCMALTSLGSLVAFNAMVSIATIGLYIAYALPIFLRVTLARKSFVAGPFNLGRYGVLIGWISVLWVATITVLFSLPVAYPITIETLNYTPVAVGGLLLITVLAWILRARHWFKGPITNINE >ONI32324 pep chromosome:Prunus_persica_NCBIv2:G1:33276739:33278458:1 gene:PRUPE_1G361100 transcript:ONI32324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTTTTSPRSIKSMEDVWKEISLASLSHANDHHGHCPPAAAAAFRGMIFQDFFATCASSNKDKGRPPPQPTIAAPATVLSLNSDIQLLPESESTTSTAPAPLLKHPLNPQLLQTSHAATPSFHFLNSSSCSDALDSSSLFHVPSYYNKRKRPIDHHHHHQSNDQNSRDRRHKRMIKNRESAARSRARKQEPF >ONI34277 pep chromosome:Prunus_persica_NCBIv2:G1:39278856:39284326:1 gene:PRUPE_1G472400 transcript:ONI34277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCRTSMAIARDIKGKRERIVTVISNGSTVAGQVYEAMGNAGYLDSNMVVILNDSRHSLHPMIEEGPKTAINALSSTLSKLQSSKSFLKFREVAKGVTKRIGGGMHELAAKVDEYARGMVGPLGSTLFEELGLYYIGPVDGHNIEDLICVLQQVASLNSMGPVLVHVITEENRGLENNPKSGVAYRQQEGLSNSDDLPSKVRPRTYSDCFMEALVMEAEKDKYIVTVHAGMHMESSFHLFRERFPDKFFDVGMAEQHAVTFSAGLSRGGLKPFCIIPSAFLQRAYDQVVHDVDRQRIPVRFVITSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAPSNEVELANMVATAAYIDDLPVCFRYPRGAVVGMEHSICSGTPIEIGKGKILTEGKDVALLGYGSMVRNCLKARSLLSKLGIEVTVADARFCKPLDINLLRQLCRNHSFLITVEEGSIGGFGSHVAQFFALDGRLDGSIKWRPIVLPDNYIEHASPNEQLAIAGLTGHHIAATALSLLGRNREALHLMC >ONI34274 pep chromosome:Prunus_persica_NCBIv2:G1:39278856:39284326:1 gene:PRUPE_1G472400 transcript:ONI34274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTASAGYPSGITSHYRGKFGAFPQKVEFIGSNFPLHVEFPRSNLYPRSVSTTTSKEIVCPKCSLPDSGEFFSDEVSTPILDSVENPIHLKNLSLKELKQLSDEIRSELSSIMSKTRKSFKASLGVVELTVAMHHVFHAPVDKILWDVVEQTYVHKILTGRRDLIHTVRRNNGLSGSTSQSESEYDPFGAGHGCSSVSAGLGMAIARDIKGKRERIVTVISNGSTVAGQVYEAMGNAGYLDSNMVVILNDSRHSLHPMIEEGPKTAINALSSTLSKLQSSKSFLKFREVAKGVTKRIGGGMHELAAKVDEYARGMVGPLGSTLFEELGLYYIGPVDGHNIEDLICVLQQVASLNSMGPVLVHVITEENRGLENNPKSGVAYRQQEGLSNSDDLPSKVRPRTYSDCFMEALVMEAEKDKYIVTVHAGMHMESSFHLFRERFPDKFFDVGMAEQHAVTFSAGLSRGGLKPFCIIPSAFLQRAYDQVVHDVDRQRIPVRFVITSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAPSNEVELANMVATAAYIDDLPVCFRYPRGAVVGMEHSICSGTPIEIGKGKILTEGKDVALLGYGSMVRNCLKARSLLSKLGIEVTVADARFCKPLDINLLRQLCRNHSFLITVEEGSIGGFGSHVAQFFALDGRLDGSIKWRPIVLPDNYIEHASPNEQLAIAGLTGHHIAATALSLLGRNREALHLMC >ONI34276 pep chromosome:Prunus_persica_NCBIv2:G1:39278856:39284326:1 gene:PRUPE_1G472400 transcript:ONI34276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCRTSMAIARDIKGKRERIVTVISNGSTVAGQVYEAMGNAGYLDSNMVVILNDSRHSLHPMIEEGPKTAINALSSTLSKLQSSKSFLKFREVAKGVTKRIGGGMHELAAKVDEYARGMVGPLGSTLFEELGLYYIGPVDGHNIEDLICVLQQVASLNSMGPVLVHVITEENRGLENNPKSGVAYRQQEGLSNSDDLPSKVRPRTYSDCFMEALVMEAEKDKYIVTVHAGMHMESSFHLFRERFPDKFFDVGMAEQHAVTFSAGLSRGGLKPFCIIPSAFLQRAYDQVVHDVDRQRIPVRFVITSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAPSNEVELANMVATAAYIDDLPVCFRYPRGAVVGMEHSICSGTPIEIGKGKILTEGKDVALLGYGSMVRNCLKARSLLSKLGIEVTVADARFCKPLDINLLRQLCRNHSFLITVEEGSIGGFGSHVAQFFALDGRLDGSIKWRPIVLPDNYIEHASPNEQLAIAGLTGHHIAATALSLLGRNREALHLMC >ONI34275 pep chromosome:Prunus_persica_NCBIv2:G1:39278856:39284326:1 gene:PRUPE_1G472400 transcript:ONI34275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTRKSFKASLGVVELTVAMHHVFHAPVDKILWDVVEQTYVHKILTGRRDLIHTVRRNNGLSGSTSQSESEYDPFGAGHGCSSVSAGLGMAIARDIKGKRERIVTVISNGSTVAGQVYEAMGNAGYLDSNMVVILNDSRHSLHPMIEEGPKTAINALSSTLSKLQSSKSFLKFREVAKGVTKRIGGGMHELAAKVDEYARGMVGPLGSTLFEELGLYYIGPVDGHNIEDLICVLQQVASLNSMGPVLVHVITEENRGLENNPKSGVAYRQQEGLSNSDDLPSKVRPRTYSDCFMEALVMEAEKDKYIVTVHAGMHMESSFHLFRERFPDKFFDVGMAEQHAVTFSAGLSRGGLKPFCIIPSAFLQRAYDQVVHDVDRQRIPVRFVITSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAPSNEVELANMVATAAYIDDLPVCFRYPRGAVVGMEHSICSGTPIEIGKGKILTEGKDVALLGYGSMVRNCLKARSLLSKLGIEVTVADARFCKPLDINLLRQLCRNHSFLITVEEGSIGGFGSHVAQFFALDGRLDGSIKWRPIVLPDNYIEHASPNEQLAIAGLTGHHIAATALSLLGRNREALHLMC >ONI30249 pep chromosome:Prunus_persica_NCBIv2:G1:25404173:25405857:1 gene:PRUPE_1G239900 transcript:ONI30249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCWRCDPNWEKNRQRLADCAIGFGKHAIGGRDGKIYVVTDAGDHPVNPKPGTLRYGVIQDQPLWIVFKGDMVIKLKEELMMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKQGGNAYVRDSPSHYGWRTLSDGDGVSIFGGSHVWVDHCSLSNCRDGLIDAIHGSTSITISNNYMTHHNKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGNFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPNDRFNKEITKHEDAPQKEWSKWNWRSSGDLLLNGAFFTASGAGASSSYARASSLGARPSSLVSSLTAGAGSLKCRKGSRC >ONI30248 pep chromosome:Prunus_persica_NCBIv2:G1:25403890:25405921:1 gene:PRUPE_1G239900 transcript:ONI30248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPLSLLLLSLLLIPAFISSSPVQDPELVIQEVQKSINASRRNLGYLSCGTGNPMDDCWRCDPNWEKNRQRLADCAIGFGKHAIGGRDGKIYVVTDAGDHPVNPKPGTLRYGVIQDQPLWIVFKGDMVIKLKEELMMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKQGGNAYVRDSPSHYGWRTLSDGDGVSIFGGSHVWVDHCSLSNCRDGLIDAIHGSTSITISNNYMTHHNKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGNFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPNDRFNKEITKHEDAPQKEWSKWNWRSSGDLLLNGAFFTASGAGASSSYARASSLGARPSSLVSSLTAGAGSLKCRKGSRC >ONI33250 pep chromosome:Prunus_persica_NCBIv2:G1:35983945:35985625:1 gene:PRUPE_1G412600 transcript:ONI33250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKEEECWDLERQIMPKNLALLGNLKQLEFTCSQVNVLECSDLLWGFSLPQGFSS >ONI26635 pep chromosome:Prunus_persica_NCBIv2:G1:2546588:2548554:1 gene:PRUPE_1G036500 transcript:ONI26635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVTRADEGLGNGALSIPTIDLKSINSNSVLRTEVVEKIRDACENWGFFQVQNGIPVEVLERMVDGIREFHEKENELKKELGSNSNDSGNKEQYMSNNRFFKSSQGNSRDSFVCYMAPDPTKPADELPSACRDIVNEYSKLVKDLGFTLFELLSEALGLKPNQLKDAYMDSVEGLSIMGHYYPPCPETKLTMGTGKHTDGSFITVLLQDQVGGLQVLYEDQWIDVRPIRGALVVNVGDLLQLISNDKFISVNHRVISQSVGPRVSVPTFFRPHAENPKAYGPIKELLSEENPQIYRETSVKDYLKHYLSELVKGNSALEHFKL >ONI29744 pep chromosome:Prunus_persica_NCBIv2:G1:22624822:22625652:-1 gene:PRUPE_1G212700 transcript:ONI29744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFNNSSKANTSPSSSSKTKRKQQNQQTQQPQQQQQQQQETRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGSKARTNFVYSDMPHGSSVTSIISPDESQHDMSAFFATPLQPQPQTTNHQQSFFTQDPFDAYPYSGGSSGGFGSGSYGPVSGGDEPGSGSGSGSQSHTELPPLPPCVSSTCYGPDGVMGSDMDMGYFGFSEPTTNNGLDPMMSGSYMGFDSNEFVQHSPLFGSMPPVSDAGIDGFDLGSSSAYFY >ONI28120 pep chromosome:Prunus_persica_NCBIv2:G1:9820170:9823638:-1 gene:PRUPE_1G124400 transcript:ONI28120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGALIHFVRECIHKYLYFSKATICIQGLVLSHSFVNHAIMSILIVYLQDNWFHEHFLIAAVVTNVQEGVADILVIFLAHFSHTFNGGLKIIATTNAAYILGLSLLWLPNEYKSEDYAAMARIFYGAVVLLTLGESGRSAALKEFLKKQCLSKHKETGRTDDKRPEGWEEASEQKEITAEKHTEAGGDQQVTKETAERDKKDFWGVPWFLGAVVPLFLSKTTWTQIFMISTIAMAVSYLLFWFGFNDYLKNNKEAQAGEHPQVTERENLWTLNKKVRKKKRLRKEIVASWLAFFVFSMVKAAGSTFFFEQMSNLKNPIPNNDPAVYFNVLSSFSRYIISFLFPKLIPKRTRVRIGCGMASTVLCCVAAWVVEIHRMRKVTRAGLEDDTFDISMSIFWLVPQFFLLGLMEGLAVDGLIDLLADRVDVEDKEMAKNYGSHTSDLVVGIGKLLTAPISLAFSHRWFNDSINLSRLDKFYRLLTFLSRCSFVYYLYVGFYFYSKDDTHNSAIEEHEQGRNGSGVVEMATV >ONI28119 pep chromosome:Prunus_persica_NCBIv2:G1:9820389:9822205:-1 gene:PRUPE_1G124400 transcript:ONI28119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGALIHFVRECIHKYLYFSKATICIQGLVLSHSFVNHAIMSILIVYLQDNWFHEHFLIAAVVTNVQEGVADILVIFLAHFSHTFNGGLKIIATTNAAYILGLSLLWLPNEYKSEDYAAMARIFYGAVVLLTLGESGRSAALKEFLKKQCLSKHKETGRTDDKRPEGWEEASEQKEITAEKHTEAGGDQQVTKETAERDKKDFWGVPWFLGAVVPLFLSKTTWTQIFMISTIAMAVSYLLFWFGFNDYLKNNKEAQAGEHPQVTERENLWTLNKKVRKKKRLRKEIVASWLAFFVFSMVKAAGSTFFFEQMSNLKNPIPNNDPAVYFNVLSSFSRYIISFLFPKLIPKRTRVRIGCGMASTVLCCVAAWVVEIHRMRKVTRAGLEDDTFDISMSIFWLVPQFFLLGLMEGLAVDGLIDLLADRVDVEDKEMAKNYGSHTSDLVVGIGKLLTAPISLAFSHRWFNDSINLSRLDKFYRLLTFLSRCSFVYYLYVGFYFYSKDDTHNSAIEEHEQGRNGSGVVEMATV >ONI28118 pep chromosome:Prunus_persica_NCBIv2:G1:9820174:9823638:-1 gene:PRUPE_1G124400 transcript:ONI28118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGALIHFVRECIHKYLYFSKATICIQGLVLSHSFVNHAIMSILIVYLQDNWFHEHFLIAAVVTNVQEGVADILVIFLAHFSHTFNGGLKIIATTNAAYILGLSLLWLPNEYKSEDYAAMARIFYGAVVLLTLGESGRSAALKEFLKKQCLSKHKETGRTDDKRPEGWEEASEQKEITAEKHTEAGGDQQVTKETAERDKKDFWGVPWFLGAVVPLFLSKTTWTQIFMISTIAMAVSYLLFWFGFNDYLKNNKEAQAGEHPQVTERENLWTLNKKVRKKKRLRKEIVASWLAFFVFSMVKAAGSTFFFEQMSNLKNPIPNNDPAVYFNVLSSFSRYIISFLFPKLIPKRTRVRIGCGMASTVLCCVAAWVVEIHRMRKVTRAGLEDDTFDISMSIFWLVPQFFLLGLMEGLAVDGLIDLLADRVDVEDKEMAKNYGSHTSDLVVGIGKLLTAPISLAFSHRWFNDSINLSRLDKFYRLLTFLSRCSFVYYLYVGFYFYSKDDTHNSAIEEHEQGRNGSGVVEMATV >ONI34784 pep chromosome:Prunus_persica_NCBIv2:G1:41323061:41329802:-1 gene:PRUPE_1G499100 transcript:ONI34784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKTDSPISRRIVRSFLDFLNSGESKDALFGQFFSALERNLYFKTLPDGNDDPVRLDKATNLFHDALAEMERSGCEEFSPKILAETLKSQGNKAMQSTLYPKAIELYDCAVALCESNAVYYCNRAAAYTQMHKYTEAIRDCLKSIEIDPNYSKAYSRLGLAYYAQGNYSDAIEKGFKKALQLDPGNEAVKENIRVAVQKLREEQQRAESGQNTSSFSSSSASEHPNQSTGGSRSHAAPPPFGSMPFNTGALPAEFANMFMNMAANAYQGQHSPDNQGDDSSTEGFDEPGIRIGGNINFNFGEQMPEELSGALRSVMEMFSGTTASQGNSQDTTNERPRPN >ONI34786 pep chromosome:Prunus_persica_NCBIv2:G1:41323318:41329602:-1 gene:PRUPE_1G499100 transcript:ONI34786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKTDSPISRRIVRSFLDFLNSVEPASGVDLESLEVAKECLQETFKLNIPATDDWIKPVSLVDIFSSLELNKLQENNSDLGHGSSSVDAPSSSAAQASADANRSKASKSLGEDLTSKDHALGESKDALFGQFFSALERNLYFKTLPDGNDDPVRLDKATNLFHDALAEMERSGCEEFSPKILAETLKSQGNKAMQSTLYPKAIELYDCAVALCESNAVYYCNRAAAYTQMHKYTEAIRDCLKSIEIDPNYSKAYSRLGLAYYAQGNYSDAIEKGFKKALQLDPGNEAVKENIRVAVQKLREEQQRAESGQNTSSFSSSSASEHPNQSTGGSRSHAAPPPFGSMPFNTGALPAEFANMFMNMAANAYQGQHSPDNQGDDSSTEGFDEPGIRIGGNINFNFGEQMPEELSGALRSVMEMFSGTTASQGNSQDTTNERPRPN >ONI34785 pep chromosome:Prunus_persica_NCBIv2:G1:41323061:41329808:-1 gene:PRUPE_1G499100 transcript:ONI34785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKTDSPISRRIVRSFLDFLNSVEPASGVDLESLEVAKECLQETFKLNIPATDDWIKPVSLVDIFSSLELNKLQENNSDLGHGSSSVDAPSSSAAQASADANRSKGEDLTSKDHALGESKDALFGQFFSALERNLYFKTLPDGNDDPVRLDKATNLFHDALAEMERSGCEEFSPKILAETLKSQGNKAMQSTLYPKAIELYDCAVALCESNAVYYCNRAAAYTQMHKYTEAIRDCLKSIEIDPNYSKAYSRLGLAYYAQGNYSDAIEKGFKKALQLDPGNEAVKENIRVAVQKLREEQQRAESGQNTSSFSSSSASEHPNQSTGGSRSHAAPPPFGSMPFNTGALPAEFANMFMNMAANAYQGQHSPDNQGDDSSTEGFDEPGIRIGGNINFNFGEQMPEELSGALRSVMEMFSGTTASQGNSQDTTNERPRPN >ONI35113 pep chromosome:Prunus_persica_NCBIv2:G1:42493626:42494638:1 gene:PRUPE_1G516700 transcript:ONI35113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPNLKALLGSLLLFLLAVFPDHAIAHHRPRFTAGPWKQSHATFYEGGSGTFGGACGYHDVVQEGYGLETVALSNALFNNGQSCGACYDIKCVDQPQWCKPGNPILHVTATNNCPPNWNQASDNGGWCNPPREHFDIAKPVFLNIAEYKAGIIPIEYRRVSCQKKGGIRFTITGNPYFNEVLVWNVAGVGDVVSVQVKGHDKLKWTMMKRMWGQRWVTDAKLVGESLTFRVLASDKRYSTSWHIAPKNWQFGQTFEGKNFR >ONI29711 pep chromosome:Prunus_persica_NCBIv2:G1:22370940:22372616:-1 gene:PRUPE_1G210000 transcript:ONI29711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVNSWMLVVMVIFMAVRAERNINRSGGVYWSTAEEEALAQTQTQTKARDDDTAVTDLDADGGFSSLEGMLQWAIGHSDPVQLKETARDVEKLSPTDLSNRQVEIKQLMDELKTPSDAQLMQVAINDLSNSSLSLEDRHRALEELLILVEPIDNANDLNKLEGLVVVTRELDHSDADTRKMAAWVLGKASQNNPIVQKQVLELGALSKLMKMVKSDFAEEATKALYAVSALIRNNVAGQELFYEEAGHLLLQNIMSESSIDIRLRRKAVFLLGDLAECQLENREKDELPFFSSRIFLKSVVDLTSSADLDLQEKQALVALKNLLQLKTTEALVFKDFCGLDGALERLRQRLQDLMVEEEHRDYVMDVERLRSEVQQIFDRKLEKVATGLSGFGL >ONI29709 pep chromosome:Prunus_persica_NCBIv2:G1:22370620:22372650:-1 gene:PRUPE_1G210000 transcript:ONI29709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVNSWMLVVMVIFMAVRAERNINRSGGVYWSTAEEEALAQTQTQTKARDDDTAVTDLDADGGFSSLEGMLQWAIGHSDPVQLKETARDVEKLSPTDLSNRQVEIKQLMDELKTPSDAQLMQVAINDLSNSSLSLEDRHRALEELLILVEPIDNANDLNKLEGLVVVTRELDHSDADTRKMAAWVLGKASQNNPIVQKQVLELGALSKLMKMVKSDFAEEATKALYAVSALIRNNVAGQELFYEEAGHLLLQNIMSESSIDIRLRRKAVFLLGDLAECQLENREKDELPFFSSRIFLKSVVDLTSSADLDLQEKQALVALKNLLQLKTTEALVFKDFCGLDGALERLRQRLQDLMVEEEHRDYVMDVERLRSEVQQIFDRKLEKTLLSDNR >ONI29706 pep chromosome:Prunus_persica_NCBIv2:G1:22370599:22372683:-1 gene:PRUPE_1G210000 transcript:ONI29706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVNSWMLVVMVIFMAVRAERNINRSGGVYWSTAEEEALAQTQTQTKARDDDTAVTDLDADGGFSSLEGMLQWAIGHSDPVQLKETARDVEKLSPTDLSNRQVEIKQLMDELKTPSDAQLMQVAINDLSNSSLSLEDRHRALEELLILVEPIDNANDLNKLEGLVVVTRELDHSDADTRKMAAWVLGKASQNNPIVQKQVLELGALSKLMKMVKSDFAEEATKALYAVSALIRNNVAGQELFYEEAGHLLLQNIMSESSIDIRLRRKAVFLLGDLAECQLENREKDELPFFSSRIFLKSVVDLTSSADLDLQEKALVALKNLLQLKTTEALVFKDFCGLDGALERLRQRLQDLMVEEEHRDYVMDVERLRSEVQQIFDRKLEKHGGFPYDGNVILHQHYLLGDVWYSCVYVMLLIMDLYISNILM >ONI29708 pep chromosome:Prunus_persica_NCBIv2:G1:22370864:22372616:-1 gene:PRUPE_1G210000 transcript:ONI29708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVNSWMLVVMVIFMAVRAERNINRSGGVYWSTAEEEALAQTQTQTKARDDDTAVTDLDADGGFSSLEGMLQWAIGHSDPVQLKETARDVEKLSPTDLSNRQVEIKQLMDELKTPSDAQLMQVAINDLSNSSLSLEDRHRALEELLILVEPIDNANDLNKLEGLVVVTRELDHSDADTRKMAAWVLGKASQNNPIVQKQVLELGALSKLMKMVKSDFAEEATKALYAVSALIRNNVAGQELFYEEAGHLLLQNIMSESSIDIRLRRKAVFLLGDLAECQLENREKDELPFFSSRIFLKSVVDLTSSADLDLQEKALVALKNLLQLKTTEALVFKDFCGLDGALERLRQRLQDLMVEEEHRDYVMDVERLRSEVQQIFDRKLEKTLLSDNR >ONI29707 pep chromosome:Prunus_persica_NCBIv2:G1:22370606:22372653:-1 gene:PRUPE_1G210000 transcript:ONI29707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVNSWMLVVMVIFMAVRAERNINRSGGVYWSTAEEEALAQTQTQTKARDDDTAVTDLDADGGFSSLEGMLQWAIGHSDPVQLKETARDVEKLSPTDLSNRQVEIKQLMDELKTPSDAQLMQVAINDLSNSSLSLEDRHRALEELLILVEPIDNANDLNKLEGLVVVTRELDHSDADTRKMAAWVLGKASQNNPIVQKQVLELGALSKLMKMVKSDFAEEATKALYAVSALIRNNVAGQELFYEEAGHLLLQNIMSESSIDIRLRRKAVFLLGDLAECQLENREKDELPFFSSRIFLKSVVDLTSSADLDLQEKALVALKNLLQLKTTEALVFKDFCGLDGALERLRQRLQDLMVEEEHRDYVMDVERLRSEVQQIFDRKLEKVAWRVPI >ONI29710 pep chromosome:Prunus_persica_NCBIv2:G1:22370940:22372616:-1 gene:PRUPE_1G210000 transcript:ONI29710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVNSWMLVVMVIFMAVRAERNINRSGGVYWSTAEEEALAQTQTQTKARDDDTAVTDLDADGGFSSLEGMLQWAIGHSDPVQLKETARDVEKLSPTDLSNRQVEIKQLMDELKTPSDAQLMQVAINDLSNSSLSLEDRHRALEELLILVEPIDNANDLNKLEGLVVVTRELDHSDADTRKMAAWVLGKASQNNPIVQKQVLELGALSKLMKMVKSDFAEEATKALYAVSALIRNNVAGQELFYEEAGHLLLQNIMSESSIDIRLRRKAVFLLGDLAECQLENREKDELPFFSSRIFLKSVVDLTSSADLDLQEKALVALKNLLQLKTTEALVFKDFCGLDGALERLRQRLQDLMVEEEHRDYVMDVERLRSEVQQIFDRKLEKVATGLSGFGL >ONI35295 pep chromosome:Prunus_persica_NCBIv2:G1:43224475:43227831:1 gene:PRUPE_1G528200 transcript:ONI35295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVMRVNFSQCRWFSSSPSTLSASLPWISPLQFTKVNTHKPDPPPETTTTQTETRRKTKYISHESTINLIKRERDPQHALEIFNMVSEQKGFNHNNATYATILQKLAQSKKFKAIDAILHQMTYETCKFHEGIFLNLMKHFSKSSMHERVLEMFYAIQPIVREKPSLKCISTCLNLLIESNQVDLAQQFLMHLKKNLNFKPNTCIVNILVKHHCKNGDLESAFEVVKEMKKSKISYPNLVTYSTLLGGLCESDKLTEAMELFEEMISKDQILPDALTYSVLINGFCHGGKVDRARKILEFMKSNGCQPNVFNYTALMNGFCKEKRLQEAKEIFHEMTSFGIKPDTVGYTALINCCCRTGKMNEAIELLKEMKERECKADTVTFNVILGGLCREGRIEDALEMLEKLPYEGVYLNKASYRIVLNFLCQKGELNKATQLLGLMMGRGFVPHYATSNDLLVRLSEAGMAENAVMALSRLVEMGFKPQPDSWALLVESICRERKLLSAFELLDELVVIEQD >ONI30681 pep chromosome:Prunus_persica_NCBIv2:G1:27423838:27426064:-1 gene:PRUPE_1G266500 transcript:ONI30681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKCFSNLSSDESSESSDALLPEKDDHLDLLDSSSAIQTKPGWKAMPYILANESIEKMATYGLTTNFMVYLVRKYHMDQVSAANILNIWICGYSLLTVVGASVADIYLGKFLTIALASLATLVGMVTITLTAFVPQLRPPPCFVDGQQHLEHCISNTKTQLGFLLLGLSWLAIGTGGIRPCSIPFGIDQFDSTTLEGRKSVHSYFNWYYTSSTVVMLINQTLVIYIQDSVSWAWGFSIPTLLMSCAIALFLAGSKIYHHVKPEGSTYVSFAQVLVAAYKKRHLKLHDDERVLGVFSDVSLDGNVVLSKLPLTAQLSSLKKAALVVDDDLKDDGSCANPWRLCSIQQVEEVICFMKILPIWASGGICFMTYAQEGTFVVSQALKMDRHIGPNFQMPAGSIKMMSLISLCICLPFYDRVLQPALKKITKHENGITTLQRIGLGYLFSILFAAVAGLVEQQRRASSLSHASADGVAPMSVFWLFPQLMFLGLFELFGVVGHIELYNKEFPEKMRSIANSLFYLCVAGGTYLSTLVVSIVYRVTGKHGQPNWLGNDINAGRLDYFYFLIAALGVLNFVYFWSCARGYTYNYKANVNVVETIQTDILV >ONI29890 pep chromosome:Prunus_persica_NCBIv2:G1:23450559:23455492:-1 gene:PRUPE_1G220200 transcript:ONI29890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGILRQKVGAGSSSAMSMQRIRPTTSALRAFSSSAKEMTVRDALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYAAVPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEKEGKDVTITAFSKMVGYALQAAEILAKEGISAEVINLRSIRPLDRNTINASVRKTNRLVTVEEGFPQHGVGAEICTSVVEDSFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSASLAASA >ONI29891 pep chromosome:Prunus_persica_NCBIv2:G1:23450447:23455632:-1 gene:PRUPE_1G220200 transcript:ONI29891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGILRQKVGAGSSSAMILGQSMQRIRPTTSALRAFSSSAKEMTVRDALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYAAVPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEKEGKDVTITAFSKMVGYALQAAEILAKEGISAEVINLRSIRPLDRNTINASVRKTNRLVTVEEGFPQHGVGAEICTSVVEDSFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSASLAASA >ONI27191 pep chromosome:Prunus_persica_NCBIv2:G1:5247878:5248612:1 gene:PRUPE_1G073500 transcript:ONI27191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDNSNSCPPSPELTASLADRSVVEFLSLWVNPPVGTVKINCDAAWDKVTNAAFDGVVIRDHTGILLDGIAHCFQSLSVVQAECHAIRSGLELAHVRGFTNVIVETDSKVGFTAVQEDISVSNWTLCPILYDIRMLKASFSSLRWAWTPRDANHAADWGCFLELTSLVGLFGL >ONI26492 pep chromosome:Prunus_persica_NCBIv2:G1:2003346:2006552:1 gene:PRUPE_1G028500 transcript:ONI26492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLANNVVSKVASVVTGHHELSLFSMSDKKILDEIYATHHVNVDTSFDDDSLFGIVENILKHATQTVDKIVQGTQVHVENIEEHTPKASFSTPLCTLKSIASEMQCKPPSEEVAHKTTLAILNKLSSYSWEAKAVLTLAAFAMEYGEFWLLAQLQESHRLAKSISILKRVPFLLKPSNLQKRRQAVLELNNLIKTTLQVIEIFDQFDKLSSYDPKDVPELASAMDHIPVDVYWATVTVVACATKVTILTSDVEKEHDLAPYAQKIHFVLNKLKIQLKICRTQIEEAETYRKLKKTFRTPTEVKEVFKALIFTKDNVQPLIDGSTKQTVEIDILRKKNILLFISSLEISDDDISILKPIYESTKKDNQHKIVWVPIVEQWTDDLRKKFETLRLKMPWYTVQNPATIAGIRFIKEEWNFKGKPTLVVMNPQGKVEHSNAFHMIRVWGTQAFPFTETTEKELSNSHGHKWVGNVVKEIHPTLPNMMKDDKYVFFYGGKDNEWINQFTKKATAFVNDPIFKEAKIHIELFCVGKGSKGEDDHGILGRFWTGIESLFHTKIHKEPDSVSQEIQKLLSYKNESGWAVLSKGHSLVVTGHGVSILKVIEDFDKWKDHVKEKGFEFCFTTYHEKIRVANRPCCRLDIPGSTGKVPETMKCPDCHRSMETFISYKCCHIDGPNAHH >ONI28992 pep chromosome:Prunus_persica_NCBIv2:G1:14655353:14657954:1 gene:PRUPE_1G174200 transcript:ONI28992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELIKYTVRRSEVYKYKDPKVRIFFFFALLHWAFSSTASPPSPWRFYSYEHQTQKNRVLGFLFWAADVSVEWPEDKSKMQQNLIWGWFFIASGSVFFLGFLYATVLSKLLPPSDNIILSAIQNDRYYCFLVPLTLPVLVVAVYFHWLSMKLFKHA >ONI28993 pep chromosome:Prunus_persica_NCBIv2:G1:14655353:14657109:1 gene:PRUPE_1G174200 transcript:ONI28993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELIKYTVRRSEVYKYKDPKVRIFFFFALLHWAFSSTASPPSPWRFYSYEHQTQKNRVLGFLFWAADVSVEWPEDKSKMQQNLIWGWFFIASGSVFFLGFLYATVLSKLLPPSDNIILSAIQNDRLL >ONI28991 pep chromosome:Prunus_persica_NCBIv2:G1:14655353:14658385:1 gene:PRUPE_1G174200 transcript:ONI28991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELIKYTVRRSEVYKYKDPKVRIFFFFALLHWAFSSTASPPSPWRFYSYEHQTQKNRVLGFLFWAADVSVEWPEDKSKMQQNLIWGWFFIASGSVFFLGFLYATVLSKLLPPSDNIILSAIQNDRYYCFLVPLTLPVLVVAVYFHWLSMKLFKHA >ONI30921 pep chromosome:Prunus_persica_NCBIv2:G1:28459850:28462576:-1 gene:PRUPE_1G281500 transcript:ONI30921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTVSRAALVGVALCLFFIIFQISVSSTSRFLKYATNSAKPNQEEGTRKLKVINQPNSHPPKSLRLRVESPIICDRTDIRYDLCSINGPTFLDPAKFTFFIIGSAKHSIMEKVQPYPRKFEKFIMPRIKNLTLTSGPQRPPCKVPHNVPALVFSAGGYTGNFFHDFNDGFIPLFITVHTIFHDQDFVIVVSEAPNWWPSKYADLLTVFTKHPIIVLKNDTPTHCFPSAKIGLISHGFMTINQTLLPNSKTFLDFRVLLDRAYTPQAQPKVLTSKDTNPRPKLVLASRKEAKGRSILNQEQVIRLIKKVGFDVVVFKPKNKTPLNESYALLNSSHAMVGVHGAALTHSLFLRPGAVLVQVVPIGVEWAAYAFFGRVAKGLNLQYSEYKIGVEESSLVSKYGKGSLLVKEPFALQKTGWDPEIMDIYLKEQNVKLDLIRFKACLKKAYIKAKRFMEANG >ONI30922 pep chromosome:Prunus_persica_NCBIv2:G1:28459847:28462194:-1 gene:PRUPE_1G281500 transcript:ONI30922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTVSRAALVGVALCLFFIIFQISVSSTSRFLKYATNSAKPNQEEGTRKLKVINQPNSHPPKSLRLRVESPIICDRTDIRYDLCSINGPTFLDPAKFTFFIIGSAKHSIMEKVQPYPRKFEKFIMPRIKNLTLTSGPQRPPCKVPHNVPALVFSAGGYTGNFFHDFNDGFIPLFITVHTIFHDQDFVIVVSEAPNWWPSKYADLLTVFTKHPIIVLKNDTPTHCFPSAKIGLISHGFMTINQTLLPNSKTFLDFRVLLDRAYTPQAQPKVLTSKDTNPRPKLVLASRKEAKGRSILNQEQVIRLIKKVGFDVVVFKPKNKTPLNESYALLNSSHAMVGVHGAALTHSLFLRPGAVLVQVVPIGVEWAAYAFFGRVAKGLNLQYSEYKIGVEESSLVSKYGKGSLLVKEPFALQKTGWDPEIMDIYLKEQNVKLDLIRFKACLKKAYIKAKRFMEANG >ONI30920 pep chromosome:Prunus_persica_NCBIv2:G1:28459847:28462125:-1 gene:PRUPE_1G281500 transcript:ONI30920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTVSRAALVGVALCLFFIIFQISVSSTSRFLKYATNSAKPNQEGTRKLKVINQPNSHPPKSLRLRVESPIICDRTDIRYDLCSINGPTFLDPAKFTFFIIGSAKHSIMEKVQPYPRKFEKFIMPRIKNLTLTSGPQRPPCKVPHNVPALVFSAGGYTGNFFHDFNDGFIPLFITVHTIFHDQDFVIVVSEAPNWWPSKYADLLTVFTKHPIIVLKNDTPTHCFPSAKIGLISHGFMTINQTLLPNSKTFLDFRVLLDRAYTPQAQPKVLTSKDTNPRPKLVLASRKEAKGRSILNQEQVIRLIKKVGFDVVVFKPKNKTPLNESYALLNSSHAMVGVHGAALTHSLFLRPGAVLVQVVPIGVEWAAYAFFGRVAKGLNLQYSEYKIGVEESSLVSKYGKGSLLVKEPFALQKTGWDPEIMDIYLKEQNVKLDLIRFKACLKKAYIKAKRFMEANG >ONI28048 pep chromosome:Prunus_persica_NCBIv2:G1:9319244:9321702:-1 gene:PRUPE_1G119000 transcript:ONI28048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKYNLKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFDWQFAIRGPSETEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSVEYKKEERRSLAIKSRAAAPRYGTVERQKLIDEIHECMLSKVPPVPQLSPSQDSKEHPSNREGEVQVSSQHDGATPAGEAAAEGSPNPAVGDRIVEEVQEAPLNGNPGPEVARTLNAAPSGGSSQLLQRPEMKVQKPADDRLFTWAAVGLTIAIMVLLFKKFMKSSGHGAVFMDGS >ONI28049 pep chromosome:Prunus_persica_NCBIv2:G1:9319365:9321189:-1 gene:PRUPE_1G119000 transcript:ONI28049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSVEYKKEERRSLAIKSRAAAPRYGTVERQKLIDEIHECMLSKVPPVPQLSPSQDSKEHPSNREGEVQVSSQHDGATPAGEAAAEGSPNPAVGDRIVEEVQEAPLNGNPGPEVARTLNAAPSGGSSQLLQRPEMKVQKPADDRLFTWAAVGLTIAIMVLLFKKFMKSSGHGAVFMDGS >ONI33412 pep chromosome:Prunus_persica_NCBIv2:G1:36465957:36469722:-1 gene:PRUPE_1G422500 transcript:ONI33412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATEDVFTKLDHLSLLAKVVSELETHTGLGDVVLAEFITDLGRSCETVDEFNSKLQENGAQMADYFVRTLFAIIHAISRPKPEKVSKKDSVFERRRSDEDRERRHADLGNKRNRDGCELYTVHKGRVARVMDNGCFVQLNDHGGREGLVHVSQISNRRIRNAKDVVKRDQEVYVKVVSVSGRKLSLSMRDVDQRTGKDLLPLEKKGSEGDGLWTNPLASKDRPVTRIGISGIRIEEEDDVFVPSRRRPLKRMSSPEKWEAQQLIASGVLSVTEYPMYDEEEANGMLYQEEGAEEETEVETREDKPGFLEYSLDMSPVKILKNPEGSLSRAAALRSALAKEDREVSRLAKAMLNSIPKDLNRPWEDPMPEAGERQFALELRGVGLSGYEMPEWKKDALGKTISFGQRSKLSIQEQRKSLPVYKLKEQLIEAVRTNPVLVLIGETGSGKTTQVTQYLAEAGYTTKGKIGCTQPRRVAAMSVAKRVAEEVGCRLGEEVGYTIRFEDCTGPDTVIKYMTDGMLLREIMIDENLSQYSVVMLDEAHERTVATDVLFGLLKQLLDRRSDFRLIATSATLDAERFSEYFCDCKIFTIPGRPFPVEKLYTKQPESDYLDAALITVLQIHLKEPEGDILLFLTGQEEIDFACQTLYERMKSLGKNVPELIILPAYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKLGLDSLIITPISQASAEQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTTPEIQRINLASTALMMKAMGINDLLKFDFMDSPPRQALVSAMEQLYNLGALDEEGLLTRLGRKMAEFPLEPPLSKMLLASVDLGCSDEMLTIIAMTQTGNMFHRPREKQAQADQKRAKFFQPEGDHLTLLALYEAWKASNFSGPWCFNNFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKHYTKIRKAITAGFFFHAARKDPQQEGGCYRTLVENQQVYIHPSSALFQKQPDWVIYHELVMTSKEYMREVTAIDPKWLVELAPRSFKFAEANKMSKRKRQERIEPLYDRYNEPNSWRLSRRRA >ONI31443 pep chromosome:Prunus_persica_NCBIv2:G1:30358305:30362021:-1 gene:PRUPE_1G313500 transcript:ONI31443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWDKNMKKKRKRSRRPVRLRCSVKNYDWGIVGRHSKVARLFALNSGSDIDPAKPYAEFWIGTHESGPSYADSGSEPVSLKAWIAQDPSVLGDKVVDKWGADLPFLFKVLSVGKALSIQAHPDKELARVLHKLYPNVYRDDNHKPEMALALTEFEALCGFISVKELKDMLSSVPEIAELVGVGNAERILLVNEQHENGKSKTDLESIFSRVMLLSKDTISEMISKLKRRLNLEKKKRQLTVKEQLALRLESQYPADVGAIAAFFLNYVKLNRGEAISLGPNEPHAYISGECIECMATSDNVVRAGLTSKPLDVQTLLSMLKYRQGSPEILQGVPLNPYTTRYLPPFEEFEIDCCNLPQSAAVIFPSVVGPSLFLFTAGKGHFDAGLPEDDMVKEGDDIVEEGEVFFVPANTKIIITAKSTELQLYRVGVNSKIYRDL >ONI33359 pep chromosome:Prunus_persica_NCBIv2:G1:36304213:36306676:1 gene:PRUPE_1G419000 transcript:ONI33359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWDIAGVRGPFTPSQWMELEHQALIYKYITANVPIPSNLLIPIKKALDSAFPNGLPRPNTLGWGSIHLGFSNNTDPEPGRCRRTDGKKWRCWRDAVPDQKYCERHMNRGRHRSRKPVEPVAGTTAAATTTTTTSKQLMSSASSSASSVSIPAGGGSSSLSIANQQLKSLQPAASNSSAATQMNRMFMNKENVGERMHQTPGPSMLSKENPFFIQKQQMGYEESSRTEFGLVPSDSLLNPSQKGSSLMSCRNYGSSSQNLTDPAETNSQQHSLRHFIDDWPKNQSNRPAISWPDQFDMQSDRTQLSISIPIASSDFIPSTSSTNNEKLTLSPLRLSRELNPKPMGLGVGSALNAQNNKQANWIPISWETSLGGPLGEVLHHTNNNTAAECKNSSVLNLMTEGWDKNSPSSLGSSPTGVLQKTAFGSLSNSSAGSSPRAENNNLLGSSILDSSSLPAL >ONI33358 pep chromosome:Prunus_persica_NCBIv2:G1:36302980:36306676:1 gene:PRUPE_1G419000 transcript:ONI33358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGVVGFDGLGGAAAGTGFASLASSSSDQETKHKWYGSGFHKQGRSAGTVEEDWRSSKLPKTDDFLSASKTMPNNSTHFSDGQQLLSFSNSPNSEAVLVDKSTQNASLPYFHQPLSAYNRSTGHNTGSFNGAAMHWDIAGVRGPFTPSQWMELEHQALIYKYITANVPIPSNLLIPIKKALDSAFPNGLPRPNTLGWGSIHLGFSNNTDPEPGRCRRTDGKKWRCWRDAVPDQKYCERHMNRGRHRSRKPVEPVAGTTAAATTTTTTSKQLMSSASSSASSVSIPAGGGSSSLSIANQQLKSLQPAASNSSAATQMNRMFMNKENVGERMHQTPGPSMLSKENPFFIQKQQMGYEESSRTEFGLVPSDSLLNPSQKGSSLMSCRNYGSSSQNLTDPAETNSQQHSLRHFIDDWPKNQSNRPAISWPDQFDMQSDRTQLSISIPIASSDFIPSTSSTNNEKLTLSPLRLSRELNPKPMGLGVGSALNAQNNKQANWIPISWETSLGGPLGEVLHHTNNNTAAECKNSSVLNLMTEGWDKNSPSSLGSSPTGVLQKTAFGSLSNSSAGSSPRAENNNLLGSSILDSSSLPAL >ONI27820 pep chromosome:Prunus_persica_NCBIv2:G1:8532372:8534854:-1 gene:PRUPE_1G106400 transcript:ONI27820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCCVQDDIRKASENGPFVANNSAGSSGGYYHRETAPKDTQTVNILPIAVPAIPVDELKDLTDNFGTKSLIGEGSYGRVYHGVLKSGPAAAIKKLDSSKQPDQEFLSQVSMVSRLKHENVVELVGYCIDGPLRLLAYEYAPNGSLHDILHGRKGVKGAQAGPVLSWVQRVKIAVGAARGLEYLHEKAQPHIIHRDIKSCNILLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDARLNGEYPSKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLNARSGPHH >ONI27819 pep chromosome:Prunus_persica_NCBIv2:G1:8531831:8535125:-1 gene:PRUPE_1G106400 transcript:ONI27819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCCVQDDIRKASENGPFVANNSAGSSGGYYHRETAPKDTQTVNILPIAVPAIPVDELKDLTDNFGTKSLIGEGSYGRVYHGVLKSGPAAAIKKLDSSKQPDQEFLSQVSMVSRLKHENVVELVGYCIDGPLRLLAYEYAPNGSLHDILHGRKGVKGAQAGPVLSWVQRVKIAVGAARGLEYLHEKAQPHIIHRDIKSCNILLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDARLNGEYPSKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLNARSGPHH >ONI27822 pep chromosome:Prunus_persica_NCBIv2:G1:8531831:8535745:-1 gene:PRUPE_1G106400 transcript:ONI27822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCCVQDDIRKASENGPFVANNSAGSSGGYYHRETAPKDTQTVNILPIAVPAIPVDELKDLTDNFGTKSLIGEGSYGRVYHGVLKSGPAAAIKKLDSSKQPDQEFLSQVSMVSRLKHENVVELVGYCIDGPLRLLAYEYAPNGSLHDILHGRKGVKGAQAGPVLSWVQRVKIAVGAARGLEYLHEKAQPHIIHRDIKSCNILLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDARLNGEYPSKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLNARSGPHH >ONI27821 pep chromosome:Prunus_persica_NCBIv2:G1:8532372:8534854:-1 gene:PRUPE_1G106400 transcript:ONI27821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCCVQDDIRKASENGPFVANNSAGSSGGYYHRETAPKDTQTVNILPIAVPAIPVDELKDLTDNFGTKSLIGEGSYGRVYHGVLKSGPAAAIKKLDSSKQPDQEFLSQVSMVSRLKHENVVELVGYCIDGPLRLLAYEYAPNGSLHDILHGRKGVKGAQAGPVLSWVQRVKIAVGAARGLEYLHEKAQPHIIHRDIKSCNILLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDARLNGEYPSKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLNARSGPHH >ONI28552 pep chromosome:Prunus_persica_NCBIv2:G1:11579749:11584733:-1 gene:PRUPE_1G147500 transcript:ONI28552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGKCCGRYPQSSDGDLRDFRDASPYSAQRKHILSGRSLELVPVPSHDFRLEYSLLTQRGYYPDSPDKENQDSFCVRTQVRGNPNIHFFGVFDGHGQFGTQCSNFVKDRLVEILANDPTLSADPVKAYNSAFLTTNYELHNSDIDDTMSGTTAITVLVIGNTLYVANVGDSRAVVAVKDGNRIIAEDLSYDQTPFRKDEYGRVKLCGARVLSVDQVEGLKDPDIQTWGDEESEGGDPPRLWVQNGMYPGTAFTRSVGDSTAEKIGVVATPEVSMLQLTPNHLFFVVASDGVFEFLSSQAVVNMASKYSDPRDACAAIAGESYKLWLEHENRTDDITIIIVHIKGLSNSGGGVTDGTSGTNNRPATRMRKVSESSVTTGSEVFRSVRSDSSEVQSCQLVLSMNRSPAIVVPSPACQSPWSCD >ONI28551 pep chromosome:Prunus_persica_NCBIv2:G1:11579749:11584785:-1 gene:PRUPE_1G147500 transcript:ONI28551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGKCCGRYPQSSDGDLRDFRDASPYSAQRKHILSGRSLELVPVPSHDFRLEYSLLTQRGYYPDSPDKENQDSFCVRTQVRGNPNIHFFGVFDGHGQFGTQCSNFVKDRLVEILANDPTLSADPVKAYNSAFLTTNYELHNSDIDDTMSGTTAITVLVIGNTLYVANVGDSRAVVAVKDGNRIIAEDLSYDQTPFRKDEYGRVKLCGARVLSVDQVEGLKDPDIQTWGDEESEGGDPPRLWVQNGMYPGTAFTRSVGDSTAEKIGVVATPEVSMLQLTPNHLFFVVASDGVFEFLSSQAVVNMASKYSDPRDACAAIAGESYKLWLEHENRTDDITIIIVHIKGLSNSGGGVTDGTSGTNNRPATRMRKVSESSVTTGSEVFRSVRSDSSEVQSCQLVLSMNRSPAIVVPSPACQSPWSCD >ONI30708 pep chromosome:Prunus_persica_NCBIv2:G1:27545555:27550158:1 gene:PRUPE_1G268100 transcript:ONI30708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGCVQVDQSTVAIRETFGKFDDVLEPGCHCLPWCLGSQIAGHLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALAEKASDAFYKLSNTRGQIQSYVFDVIRASVPKLDLDSTFEQKNDIAKAVEEELEKAMSHYGFEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIRDGLLQANPPQV >ONI30707 pep chromosome:Prunus_persica_NCBIv2:G1:27545555:27550158:1 gene:PRUPE_1G268100 transcript:ONI30707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGCVQVDQSTVAIRETFGKFDDVLEPGCHCLPWCLGSQIAGHLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALAEKASDAFYKLSNTRGQIQSYVFDVIRASVPKLDLDSTFEQKNDIAKAVEEELEKAMSHYGFEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIRDGLLQANPPQV >ONI30706 pep chromosome:Prunus_persica_NCBIv2:G1:27545533:27550158:1 gene:PRUPE_1G268100 transcript:ONI30706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGCVQVDQSTVAIRETFGKFDDVLEPGCHCLPWCLGSQIAGHLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALAEKASDAFYKLSNTRGQIQSYVFDVIRASVPKLDLDSTFEQKNDIAKAVEEELEKAMSHYGFEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAATEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTSSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIRDGLLQANPPQV >ONI34254 pep chromosome:Prunus_persica_NCBIv2:G1:39219805:39226577:-1 gene:PRUPE_1G471300 transcript:ONI34254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLGPPGSGKTTLLLALAGKLGKDLKLSGRVTYNGHGMEEFIPERTSAYISQHDLHIPELTVRETLAFSARCQGVGPRYEMLVELSRREKAANIKPDPDLDVYMKAAALEGQETNVVTDYIIKVLGLEVCADTMVGDQMRRGISGGQKKRLTTGEMLVGPEKALFMDEISTGLDSSTTFHIVNSLRQSIHILNGTALISLLQPAPETYELFDDIILLSDGRIVYQGPRENVLEFFEHRGFKCPERKGVADFLQEVTSRKDQEQYWADKDKPYSFVTSNEFSEAMQSFRIGRELGDELATPFDKSKGNPAALTTNKYGVSKKELYKACMSRQVLLMKRNSFVYIFKMTQFIIMAFTTMTLFLRTEMHRRTVEDGGIYMGSLFYTMMIIMFTGFSELAMTVMRLPVFFKQRDLLFFPAWAYSLPTCLIRIPLTFVEAFIWVAMTYYVIGYDPSIERFFKQFILLLCISQMANGLFRLLAAVGRSPVVANTFGSAALLVLFVLGGFILSRESMQEWLLWGYWFSPLTYGMNALAVNEFLGKSWRHVPANSTEPLGVMVLKSRGVLPEAHWYWIGVVASLGFVLLFNFLFTFALQYLDPIDKPQAVMSKEALEEKLAKKNGETVELPSMGKSSVGVGNESLESVSTNHTRRRGMVLPFETLSMTFNEIRYAVDMPQEMKAEGITEDRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIIVSGYPKNQETFARISGYCEQTDIHSPHVTVYESLVYSAWLRLPPGVDSPTRKMFIEEVMELVELTSIREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLLKRGGEEIYVGPLGHQSSQLINYFEGINGVSKLRDGYNPATWMLEVTSAGQEAALGVNFTDIYKNSEVYRRNKALIKELSTPPPNSRDLFFPTQYSQSFFTQCIACLWKQHWSYWRNPSYSAVRLLYTAVMALVFGIIFWDLGSKRHRQQDLFNAMGSMYSAVLFIGIQNASSVQPVVGIERVVFYRERAAGMYSAFPYALGQVLIELPYTSIQTIIYGVIVYSMIGFEWTVSKFLWHIFFMYFTFLYYILYGMMIVGITPNTTIAAVASSAFYPLWNVFSGFIIPKTRIPIWWRWFYWVCPVSWTLYGLFTSQFGGIKDTLDSGETVDDFIRAYFGYTKDFLGVVAIVHVGISGLFGFIFAFSIKVFNFQKR >ONI34253 pep chromosome:Prunus_persica_NCBIv2:G1:39219805:39226716:-1 gene:PRUPE_1G471300 transcript:ONI34253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLGPPGSGKTTLLLALAGKLGKDLKLSGRVTYNGHGMEEFIPERTSAYISQHDLHIPELTVRETLAFSARCQGVGPRYEMLVELSRREKAANIKPDPDLDVYMKAAALEGQETNVVTDYIIKVLGLEVCADTMVGDQMRRGISGGQKKRLTTGEMLVGPEKALFMDEISTGLDSSTTFHIVNSLRQSIHILNGTALISLLQPAPETYELFDDIILLSDGRIVYQGPRENVLEFFEHRGFKCPERKGVADFLQEVTSRKDQEQYWADKDKPYSFVTSNEFSEAMQSFRIGRELGDELATPFDKSKGNPAALTTNKYGVSKKELYKACMSRQVLLMKRNSFVYIFKMTQFIIMAFTTMTLFLRTEMHRRTVEDGGIYMGSLFYTMMIIMFTGFSELAMTVMRLPVFFKQRDLLFFPAWAYSLPTCLIRIPLTFVEAFIWVAMTYYVIGYDPSIERFFKQFILLLCISQMANGLFRLLAAVGRSPVVANTFGSAALLVLFVLGGFILSRESMQEWLLWGYWFSPLTYGMNALAVNEFLGKSWRHVPANSTEPLGVMVLKSRGVLPEAHWYWIGVVASLGFVLLFNFLFTFALQYLDPIDKPQAVMSKEALEEKLAKKNGETVELPSMGKSSVGVGNESLESVSTNHTRRRGMVLPFETLSMTFNEIRYAVDMPQEMKAEGITEDRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIIVSGYPKNQETFARISGYCEQTDIHSPHVTVYESLVYSAWLRLPPGVDSPTRKMFIEEVMELVELTSIREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLLKRGGEEIYVGPLGHQSSQLINYFEGINGVSKLRDGYNPATWMLEVTSAGQEAALGVNFTDIYKNSEVYRRNKALIKELSTPPPNSRDLFFPTQYSQSFFTQCIACLWKQHWSYWRNPSYSAVRLLYTAVMALVFGIIFWDLGSKRHRQQDLFNAMGSMYSAVLFIGIQNASSVQPVVGIERVVFYRERAAGMYSAFPYALGQVLIELPYTSIQTIIYGVIVYSMIGFEWTVSKFLWHIFFMYFTFLYYILYGMMIVGITPNTTIAAVASSAFYPLWNVFSGFIIPKTRIPIWWRWFYWVCPVSWTLYGLFTSQFGGIKDTLDSGETVDDFIRAYFGYTKDFLGVVAIVHVGISGLFGFIFAFSIKVFNFQKR >ONI35808 pep chromosome:Prunus_persica_NCBIv2:G1:45351275:45355091:-1 gene:PRUPE_1G555700 transcript:ONI35808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTAFNLTPSSPFFPEKNSRLIARTRTHDFGFTGKRLKRCRMVAMATSLELELPLLPFSLNEVLVPSESKTLHLYEARYLGLLEEVERLEVGALVSIRGIGRVKIVKFVQADPYLKGVVIPVQDRVPDSVSKLHPKVMQVKEALYSLNSLEIKLKAPKEAQLQTRIANSLMWTEKELLLHCNEAFFPSLAERVSFAALQPISGSTESELLKLQQEKLRAMDLRDSFQRLDNSLEFVKDNISRVAAKLAIQSVEMQ >ONI35806 pep chromosome:Prunus_persica_NCBIv2:G1:45350832:45355221:-1 gene:PRUPE_1G555700 transcript:ONI35806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTAFNLTPSSPFFPEKNSRLIARTRTHDFGFTGKRLKRCRMVAMATSLELELPLLPFSLNEVLVPSESKTLHLYEARYLGLLEEADPYLKGVVIPVQDRVPDSVSKLHPKVMQVKEALYSLNSLEIKLKAPKEAQLQTRIANSLMWTEKELLLHCNEAFFPSLAERVSFAALQPISGSTESELLKLQQEKLRAMDLRDSFQRLDNSLEFVKDNISRVAAKLAIQSVEMQ >ONI35807 pep chromosome:Prunus_persica_NCBIv2:G1:45350832:45355221:-1 gene:PRUPE_1G555700 transcript:ONI35807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTAFNLTPSSPFFPEKNSRLIARTRTHDFGFTGKRLKRCRMVAMATSLELELPLLPFSLNEVLVPSESKTLHLYEARYLGLLEEVERLEVGALVSIRGIGRVKIVKFVQAPKEAQLQTRIANSLMWTEKELLLHCNEAFFPSLAERVSFAALQPISGSTESELLKLQQEKLRAMDLRDSFQRLDNSLEFVKDNISRVAAKLAIQSVEMQ >ONI35811 pep chromosome:Prunus_persica_NCBIv2:G1:45351275:45355091:-1 gene:PRUPE_1G555700 transcript:ONI35811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTAFNLTPSSPFFPEKNSRLIARTRTHDFGFTGKRLKRCRMVAMATSLELELPLLPFSLNEVLVPSESKTLHLYEARYLGLLEESLMRKNKLFVHFVLDPIIVENSTEEASFAARNGCLVFIENVERLEVGALVSIRGIGRVKIVKFVQADPYLKGVVIPVQDRVPDSVSKLHPKVMQVKEALYSLNSLEIKLKAPKEAQLQTRIANSLMWTEKELLLHCNEAFFPSLAERVSFAALQPISGSTESELLKLQQEKLRAMDLRDSFQRLDNSLEFVKDNISRVAAKLAIQSVEMQ >ONI35809 pep chromosome:Prunus_persica_NCBIv2:G1:45351275:45355091:-1 gene:PRUPE_1G555700 transcript:ONI35809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTAFNLTPSSPFFPEKNSRLIARTRTHDFGFTGKRLKRCRMVAMATSLELELPLLPFSLNEVLVPSESKTLHLYEARYLGLLEESLMRKNKLFVHFVLDPIIVENSTEEASFAARNGCLVFIENADPYLKGVVIPVQDRVPDSVSKLHPKVMQVKEALYSLNSLEIKLKAPKEAQLQTRIANSLMWTEKELLLHCNEAFFPSLAERVSFAALQPISGSTESELLKLQQEKLRAMDLRDSFQRLDNSLEFVKDNISRVAAKLAIQSVEMQ >ONI35812 pep chromosome:Prunus_persica_NCBIv2:G1:45350832:45355221:-1 gene:PRUPE_1G555700 transcript:ONI35812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTAFNLTPSSPFFPEKNSRLIARTRTHDFGFTGKRLKRCRMVAMATSLELELPLLPFSLNEVLVPSESKTLHLYEARYLGLLEESLMRKNKLFVHFVLDPIIVENSTEEASFAARNGCLVFIENADPYLKGVVIPVQDRVPDSVSKLHPKVMQVKEALYSLNSLEIKLKAPKEAQLQTRIANSLMWTEKELLLHCNEAFFPSLAERVSFAALQPISAREVKGNGSQRLLPEARQFPRIC >ONI35813 pep chromosome:Prunus_persica_NCBIv2:G1:45350832:45355221:-1 gene:PRUPE_1G555700 transcript:ONI35813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTAFNLTPSSPFFPEKNSRLIARTRTHDFGFTGKRLKRCRMVAMATSLELELPLLPFSLNEVLVPSESKTLHLYEARYLGLLEESLMRKNKLFVHFVLDPIIVENSTEEASFAARNGCLVFIENVERLEVGALVSIRGIGRVKIVKFVQAPKEAQLQTRIANSLMWTEKELLLHCNEAFFPSLAERVSFAALQPISAREVKGNGSQRLLPEARQFPRIC >ONI35810 pep chromosome:Prunus_persica_NCBIv2:G1:45351275:45355091:-1 gene:PRUPE_1G555700 transcript:ONI35810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTAFNLTPSSPFFPEKNSRLIARTRTHDFGFTGKRLKRCRMVAMATSLELELPLLPFSLNEVLVPSESKTLHLYEARYLGLLEESLMRKNKLFVHFVLDPIIVENSTEEASFAARNGCLVFIENVERLEVGALVSIRGIGRVKIVKFVQAPKEAQLQTRIANSLMWTEKELLLHCNEAFFPSLAERVSFAALQPISGSTESELLKLQQEKLRAMDLRDSFQRLDNSLEFVKDNISRVAAKLAIQSVEMQ >ONI35814 pep chromosome:Prunus_persica_NCBIv2:G1:45350832:45355221:-1 gene:PRUPE_1G555700 transcript:ONI35814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTAFNLTPSSPFFPEKNSRLIARTRTHDFGFTGKRLKRCRMVAMATSLELELPLLPFSLNEVLVPSESKTLHLYEARYLGLLEESLMRKNKLFVHFVLDPIIVENSTEEASFAARNGCLVFIENVERLEVGALVSIRGIGRVKIVKFVQADPYLKGVVIPVQDRVPDSVSKLHPKVMQVKEALYSLNSLEIKLKAPKEAQLQTRIANSLMWTEKELLLHCNEAFFPSLAERVSFAALQPISAREVKGNGSQRLLPEARQFPRIC >ONI29113 pep chromosome:Prunus_persica_NCBIv2:G1:16001242:16007322:-1 gene:PRUPE_1G182100 transcript:ONI29113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFYRIAKMAYELTEQKKIGLGLLGFGLFFTFLGVVLFFDRGLLALGNIFWLTGVALLLGFRSTWNLITSKANIKGSASFLLGLFFLFVRWPIVGIILEIYGCLVLFGRFWPSVKAFLYEVPMLGWIIRFLF >ONI29115 pep chromosome:Prunus_persica_NCBIv2:G1:16002483:16007273:-1 gene:PRUPE_1G182100 transcript:ONI29115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYELTEQKKIGLGLLGFGLFFTFLGVVLFFDRGLLALGNIFWLTGVALLLGFRSTWNLITSKANIKGSASFLLGLFFLFVRWPIVGIILEIYGCLVLFGRFWPSVKAFLYEVPMLGWIIRFLF >ONI29114 pep chromosome:Prunus_persica_NCBIv2:G1:16001338:16007270:-1 gene:PRUPE_1G182100 transcript:ONI29114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYELTEQKKIGLGLLGFGLFFTFLGVVLFFDRGLLALGNIFWLTGVALLLGFRSTWNLITSKANIKGSASFLLGLFFLFVRWPIVGIILEIYGCLVLFGRFWPSVKAFLYEVPMLGWIIRFLF >ONI27960 pep chromosome:Prunus_persica_NCBIv2:G1:9023088:9025827:-1 gene:PRUPE_1G113500 transcript:ONI27960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMEEVVEENEVKPLTAEQLEQYESESESELDDSLGDEEEDGDDDDEDDDDDDDVDEDDDDDEDEDEDDDDVQEVVQSSGGPPVQSVDDEDDEDEDDEEGEGGDDDDDGEGDDDDDDDDEGEAEEEDDIGTEYLVRPVGRAEDEEDASDFEPEENGEDEDVDEEEDEDDDAGGKVEAPPKRKRSSDKDDSDGDDGGEDDERPSKR >ONI27959 pep chromosome:Prunus_persica_NCBIv2:G1:9023621:9025508:-1 gene:PRUPE_1G113500 transcript:ONI27959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMEEVVEENEVKPLTAEQLEQYESESESELDDSLGDEEEDGDDDDEDDDDDDDVDEDDDDDEDEDEDDDDVQEVVQSSGGPPVQSVDDEDDEDEDDEEGEGGDDDDDGEGDDDDDDDDEGEAEEEDDIGTEYLVRPVGRAEDEEDASDFEPEENGEDEDVDEEEDEDDDAGGKVEAPPKRKRSSDKDDSDGDDGGEDDERPSKR >ONI27958 pep chromosome:Prunus_persica_NCBIv2:G1:9021952:9025674:-1 gene:PRUPE_1G113500 transcript:ONI27958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMEEVVEENEVKPLTAEQLEQYESESESELDDSLGDEEEDGDDDDEDDDDDDDVDEDDDDDEDEDEDDDDVQEVVQSSGGPPVQSVDDEDDEDEDDEEGEGGDDDDDGEGDDDDDDDDEGEAEEEDDIGTEYLVRPVGRAEDEEDASDFEPEENGEDEDVDEEEDEDDDAGGKVEAPPKRKRSSDKDDSDGDDGGEDDERPSKR >ONI26609 pep chromosome:Prunus_persica_NCBIv2:G1:2401099:2401956:-1 gene:PRUPE_1G034400 transcript:ONI26609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAATNSAALNKQRELEISINENNSGRSSGGDEDRDQDEPKEGAVEIGSRRPRGRPPGSKNKPKPPIFVTRDSPNSLRSHVMEVAGGADVAESVAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALQGRFEILSLSGAFLPGPAPPGSTGLTVYLAAGNGQVVGGSVVGSLVAAGPVMVVAATFANATYERLPLEEDEEGGGSGGGGHNNGGSGNSPTAGGSSGAQLGSGGHQHQQQLPDPSSGGLPNIYSHHLPPNLIPNGGHGQLGHEAYAWARPPY >ONI36170 pep chromosome:Prunus_persica_NCBIv2:G1:46714731:46718186:-1 gene:PRUPE_1G573100 transcript:ONI36170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLMEKGSEPQTESERADLDAIAALKENTAIELKDKGNEYVKMGKKHFADAIDCYTRAINQQALSDSDTSVLFSNRAHVNLLLGNYRRALTDAEDAIKLCSTNVKALYRAAKASFALNLLPESTLHCQNGIKHDPSNEQLKKLLRQIESKKMEHQQREAQVSKAISEAKDLVSAIKSRGLKIGKAMYQELTGLRKPVLDKNNILHWPVLLLYAEVMSSDFIEDFCETEMLSAHLDMMFSESCKPLSWDQEHNYTREAVELYYEAGSGVSLSTTKILRCLLEGTAASHVENIGDEENDANDNSNDGSSAGKGSSKWVKVNEKRTLHDVLKEPNFVIPGIPVFFVVSKRSSFYKEFKAGKWAPPP >ONI29312 pep chromosome:Prunus_persica_NCBIv2:G1:18034013:18034339:-1 gene:PRUPE_1G192700 transcript:ONI29312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNHHVLRLVLSCRKIIAQVTSPNSCSIIAMAPSFEQEFVAQYRAKLNCFPRSQIFWDAKVASLVDEKLGLCLWEIGVIGVEIDVSEELSRPVHHRIRVLPLFDSIK >ONI34566 pep chromosome:Prunus_persica_NCBIv2:G1:40630437:40631824:1 gene:PRUPE_1G487800 transcript:ONI34566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCISCQIKAKVEQRKRNEDLNAKLAFLTMPQPASFSDVVLFANDDDEHPVPVPAHKAVLANRSPVLRAMLDNEMKESLSGTIKIGDVSYDALRAFINYLYTAEYASTSNWPVQHLKDFCHKFLVSNLNLDNSLSTYTFARQHNFKSIIDAALTLITANMNKLASRDEYIELKERDPGLLLEIYEAYFSKWPKWAY >ONI30970 pep chromosome:Prunus_persica_NCBIv2:G1:28623445:28626879:1 gene:PRUPE_1G285100 transcript:ONI30970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSWSNKLSLIFGPRPPLNWLLLCLISVLALIAVLGSSSSNTFDSLTPISVPDIYTNYRRLKEQAAVDYLELRSLSLGASRQRELGLCGRERENYVPCYNVSANLFAGFKDGEEFDRQCEVSRNRERCLVRPPKDYKIPLRWPAGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSLQIAKMLGLSDDSQFLQAGVQTVLDIGCGFGSFGAHLVSLNVMAICIAAYEATGSQVQLTLERGLPAMIGNFITRQLPYPALSFEMVHCAQCGIVWDKKDWTLLLEVDRVLKPGGYFVLTSSTSQPYGSSLSMKNSMLTPMEEMTPKICWTLKAQQYETFIWQKTVDSDCYTSRKQGAIPLCNEGHDVRSYYKPLVSCISGTTNKRWTPIRNRSSRVQPDDFFEDLQIWRSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMIRNVMDMSAHYGGLNAAFLEERKSVWVMNVVPVNALYTLPLILDLGFAGVLHDWCEPFPTYPRTYDLLHANGLLSHLSSERCSMMDLFLEMDRILRPEGWVVLCDKVGAIEMARMFATQIRWEARVIDLQNGSDQRLLVCQKPFVKK >ONI28515 pep chromosome:Prunus_persica_NCBIv2:G1:11411511:11416788:1 gene:PRUPE_1G145300 transcript:ONI28515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKKHFSWVRIAIVVVGLVSLQAVEGWPRRILLDTDVDTDDFFGLLYLLKLNRSEFELEAVTVNANAWTNAGHAVHQVYDMLYMMGRDDVAVGVGGEGGIKEDGTILPNVGGYLPIIEQGITTAGGCRYRQAIPVGSGGRLDIDSNFGIRKAFLPQGSRRYSPFRQPTAQQVMIDKISAGPITVFLIGAHTNFAIFLMSNPQLKKNVEHIYVMGGGVRSKNPTGCCPENATSCVPRQCGDPGNVFTDYTSNPYAEFNFLGDPFAAYQVIHSGIPVTLVPLDATNTIPISQNFFEALEKSRSTYEAQYIFQSLKMARDTWFDNQFYTSYFMWDSFTAGVAASIMRNSNNPRGENEFAEMEYMNITVITSNEPYGISDGSNPFFDGLKVPKFNLDKHGVHSGHVQKGLRDPFCIVKEGKGKCKDGYTTEVTGPEAVPVLVATKAKLNQDPESPLNREFFKSFLEILNNPQQKGRFNFTTQFPFYKEETYKPEFGTRKLGKPVVFDMDMSAGDFVALFFLLKVPVEVINLKAIMVSPTGWADAATIDVIYDLLHMMGRDDIPVGLGDVFAMNQSDPVFSAVGDCKYLKAIPHGNGGLLDSDTLYGLARDFPRSPRRYTAENSVNYGAPRDTDHHELRQQLALEIWESVVKKLDPGSKITILTNGPLTTLAKIILLEQNTTSVIQDVYIVGGHISSNDKDKGNVFTIPSNEYAEFNMFLDPFAANTVFGSSLNITLIPLSIQQKVSSFSKILEGLRRRKKTPEAHFARRVLSRLYRLQQLHHRYHHMQTFLGEILGAVLIAGDSHLNQTFQVKPIKVHAEGVESKDGQLLIDEKQGKLVRVLDSVNPKAYYDIFAERLSDSEQSAVLVNFEEQVKLWRKPPNQTQPTP >ONI28514 pep chromosome:Prunus_persica_NCBIv2:G1:11411302:11416788:1 gene:PRUPE_1G145300 transcript:ONI28514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKKHFSWVRIAIVVVGLVSLQAVEGWPRRILLDTDVDTDDFFGLLYLLKLNRSEFELEAVTVNANAWTNAGHAVHQVYDMLYMMGRDDVAVGVGGEGGIKEDGTILPNVGGYLPIIEQGITTAGGCRYRQAIPVGSGGRLDIDSNFGIRKAFLPQGSRRYSPFRQPTAQQVMIDKISAGPITVFLIGAHTNFAIFLMSNPQLKKNVEHIYVMGGGVRSKNPTGCCPENATSCVPRQCGDPGNVFTDYTSNPYAEFNFLGDPFAAYQVIHSGIPVTLVPLDATNTIPISQNFFEALEKSRSTYEAQYIFQSLKMARDTWFDNQFYTSYFMWDSFTAGVAASIMRNSNNPRGENEFAEMEYMNITVITSNEPYGISDGSNPFFDGLKVPKFNLDKHGVHSGHVQKGLRDPFCIVKEGKGKCKDGYTTEVTGPEAVPVLVATKAKLNQDPESPLNREFFKSFLEILNNPQQKGRFNFTTQFPFYKEETYKPEFGTRKLGKPVVFDMDMSAGDFVALFFLLKVPVEVINLKAIMVSPTGWADAATIDVIYDLLHMMGRDDIPVGLGDVFAMNQSDPVFSAVGDCKYLKAIPHGNGGLLDSDTLYGLARDFPRSPRRYTAENSVNYGAPRDTDHHELRQQLALEIWESVVKKLDPGSKITILTNGPLTTLAKIILLEQNTTSVIQDVYIVGGHISSNDKDKGNVFTIPSNEYAEFNMFLDPFAANTVFGSSLNITLIPLSIQQKVSSFSKILEGLRRRKKTPEAHFARRVLSRLYRLQQLHHRYHHMQTFLGEILGAVLIAGDSHLNQTFQVKPIKVHAEGVESKDGQLLIDEKQGKLVRVLDSVNPKAYYDIFAERLSDSEQSAVLVNFEEQVKLWRKPPNQTQPTP >ONI28414 pep chromosome:Prunus_persica_NCBIv2:G1:11109060:11110549:1 gene:PRUPE_1G141300 transcript:ONI28414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLPSLFSNIFFFLNTIFILQSINSISSSTAGDGFSVRLIHRDSPLSPSYNHSMTAYDRIHAAAYRSVLRLNHIHSLTTTFSSSEHDISSRIVPENGEYIVTFSVGTPPIQVHAFMDTGSEVIWVKCSQSSPVFNPAKSSSYGHHPCDSLACEVLGVGRRTCAEFLDPCYYRVRYGDGSTTEGTLSHDKFAFEDPERNLVDVGHLDFGCSDFSSWHFRGNQSGALGLSRQPLSLISQLGIKKFSYCMVLPDNEGSGSRMYFGSEAVISGGQTPLLKGVDWYYYVTLIGISIGDQNVPLPDGIFNMTSDGKGGFIIDSGTTYTFLRSEAYDALIEALGEAIDLPQRRGPSQWYELCFEGSFEDLDSAAPDLKFIFDGAEVILMKQTTYIEAEKGLWCLAMVRSNEELSILGNMQQQNYFVGYDLEQEVVSFAPVDCATF >ONI26128 pep chromosome:Prunus_persica_NCBIv2:G1:492377:500815:1 gene:PRUPE_1G005700 transcript:ONI26128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKELHATAKSFMNEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNDKHSEAAAAYIEAQQGKAKEQQQLQMQQLQLMRQAQMQRRDPNHPPLGGPLNSISSEGMLGQSTASALAAKMYEERVKHPNPIDSETSQPLLDARVALLKSTNHPGQMVQGNPGSVNAALQQIQARSQQTTDIKSEVNMGTAQRSLPTDSSIYGQGMMQSKPGMGNAGLNPGVGGLPLKGWPLTGIDQMRPGLGAQVQKPFLQGATQFQLLSQQQQQLLAQVQAQGNVGSSPIYGDMRGFPRGNLNAKDGQPITNNGSIGSPMQSTSSKMQHSSSQQQQDPLQPQQVQQNSRKRKGPSSSGAANSTGTGNTIGPSPNSQPSTPSTHTPGDGVSMAGNLPNASNIPKSIMMYGADGTGGLASSTNQLDDIEQFGDVGSLEDNVESFLSHDDGDGRDLFGTLKRNPAHSAEASKGFSFSEVGSIRKSSSKVVCCHFSSEGKLLASAGHDKKVVVWNMETLQTESTSEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPNYSLQTYAGHTSHVMSLDFHPKKNDLFCSCDANNEIRFWNINQYSCTRVSKGGSAQVRFQPRIGQFLAAASGNVVSIFDAESDRQTHSLQGHTTEVHSLCWDTNGDYLASVSQESVRVWSLSSGECIHELSPSGNMFQSCVFHPSYSTLLVIGGYQSLELWNMAENKCMTIQAHEGVISALAQSPVTGMVASTSHDKSVKIWK >ONI26127 pep chromosome:Prunus_persica_NCBIv2:G1:491736:501116:1 gene:PRUPE_1G005700 transcript:ONI26127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKELHATAKSFMNEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNDKHSEAAAAYIEAQQGKAKEQQQLQMQQLQLMRQAQMQRRDPNHPPLGGPLNSISSEGMLGQSTASALAAKMYEERVKHPNPIDSETSQPLLDARVALLKSTNHPGQMVQGNPGSVNAALQQIQARSQQTTDIKSEVNMGTAQRSLPTDSSIYGQGMMQSKPGMGNAGLNPGVGGLPLKGWPLTGIDQMRPGLGAQVQKPFLQGATQFQLLSQQQQQLLAQVQAQGNVGSSPIYGDMRGFPRGNLNAKDGQPITNNGSIGSPMQSTSSKINMSQMQHSSSQQQQDPLQPQQVQQNSRKRKGPSSSGAANSTGTGNTIGPSPNSQPSTPSTHTPGDGVSMAGNLPNASNIPKSIMMYGADGTGGLASSTNQLDDIEQFGDVGSLEDNVESFLSHDDGDGRDLFGTLKRNPAHSAEASKGFSFSEVGSIRKSSSKVVCCHFSSEGKLLASAGHDKKVVVWNMETLQTESTSEEHSLIITDVRFRPNSTQLATSSFDTTVRLWDAADPNYSLQTYAGHTSHVMSLDFHPKKNDLFCSCDANNEIRFWNINQYSCTRVSKGGSAQVRFQPRIGQFLAAASGNVVSIFDAESDRQTHSLQGHTTEVHSLCWDTNGDYLASVSQESVRVWSLSSGECIHELSPSGNMFQSCVFHPSYSTLLVIGGYQSLELWNMAENKCMTIQAHEGVISALAQSPVTGMVASTSHDKSVKIWK >ONI27602 pep chromosome:Prunus_persica_NCBIv2:G1:7551627:7554978:-1 gene:PRUPE_1G095500 transcript:ONI27602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSCFDLLNLDPIEDFWTATRIPKLMTVPRVISEFETDDNHQNDDVPADSPVPHQRRIIVANQLPIRASRDAKTSKWSFELDHDSLVLQLRDGFKPDVEVLYVGCLKAEIDPSEQDEVASLLLHEFRCVPTFLSMDVQNKFYHGFCKHYLWPLFHYMLPMTPSHGARFDRALWQAYVSANKAFADRIIEVLNPDEDFVWIHDYHLMVLPTFLRKRYYRVKLGFFLHSPFPSSEIYRTIPVREEILRALLNCDLIGFHIFDYARHFLSCCSRMLGLHYEFKRGYMGLEYYGRTVSIKMLPVGIHMGQLQSVLSLEDTARKVKQLKEEFEGKTVILGVDDLDLFKGISLKFLAMRQLLEEHQNLRGKVVFVQITNPARSRGKDVQDVLNETSAIAKEINQRYGEPGYQPIIVINGPLTTQEKAAYYAISECCLVNAVRDGMNLVPYKYTVCRQGSPVLDRALGIDEADRPKTSVIIVSEFIGCSPSLSGAIRVNPWNIDAVSDAINLATTMPEAEKQFRHDKHYKYISSHDVAYWARSFDQDLERACREHYRRRCWGIGLGLGFRVVALGPNFRKLSVDHIAHAYKNANSRLILLDYDGTMTPQASVDKAPSGEVISVLNCLCNDPKNVVFIVSGREKDSLSKWFSLCEKLGLSAEHGYFTRWAKDSPWETCTLAMDFGWKNIVLPVMEPYTEATDGSFIEQKESALVWHHQDADPHFGSSQAKELLDHLESVLINEPVVVKRGQHIVEVKPQGVSKGIVVQNLISKMQSRGKPPDFLLCIGDDRSDEDMFKSIVHSSSNPSVPAIAEVFACTVGQKPSMAKYYLDDTVDVIKLVQGLAAASSTQPKFAQVQKSFEGFI >ONI26979 pep chromosome:Prunus_persica_NCBIv2:G1:4246092:4246358:-1 gene:PRUPE_1G060200 transcript:ONI26979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CQAAGVDPSQHSILFLHDFTWNVNPSTVLSCDMSWGWGNVNGHFDIFDAKRDSTRCSRNFCAWRVKQDGLYLFIEAHKRLELQFTWPH >ONI34893 pep chromosome:Prunus_persica_NCBIv2:G1:41700199:41702565:-1 gene:PRUPE_1G504400 transcript:ONI34893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCDIEGQHLTAAAIVGHDGSVWAQSSSFPKFKPEEIAAIMKDFDEPGSLAPTGLHLAGTKYMVIQGEGGAVIRGKKGSGGITVKKTGQAMIFGIYEEPLTPGQCNMIVERLGDYLIDQGL >ONI31856 pep chromosome:Prunus_persica_NCBIv2:G1:31647870:31651062:-1 gene:PRUPE_1G334900 transcript:ONI31856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSYSNLLELASGEAPSFGRIGRRIPRIMTVASLISDVDDDPSESACSEDMSSSSVQRDRIIIVANQLPIRAQRKSDTSKGWIFNWDENSLLLQLKDGLGDDEIEVIYVGCLKEEIHPNEQDEVSQILLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREEILRAILNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGLEYYGRTVSIKILPVGIHMGQLQSVLSLPETEAKVAELMKQFCDQGRIMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWQGKVVLVQIANPARGRGKDVKEVQAETSSTVKRINETFGKPGYKPVVLIDEPLKFYERIAYYVVAECCLVTAVRDGMNLIPYEYIISRQRNAKLDKVLELEPSNPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDCALEMAEPEKQLRHEKHYRYVSTHDVGYWARSFLQDLERTCRGHLRQRCWGIGFGLSFRVVALDSNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQASIDKSPTSKSIGILNNLCRDKNNMVFIVSAKSRKVLAEWLSPCEKLGIAAEHGYFLRLKRDAEWETCAPVADSSWKQIAEPVMKLYTETTDGSTIEDKETALVWSYEDADPDFGSCQAKELLDHLESVLANEPVNVKSGQNVVEVKPQGVNKGLVAKRLLSTMQERGMSPDFVLCIGDDRSDEDMFEVITSSIAGPSIAPRAEVFACTVGRKPSKAKYYLDDTGEIVRLLQGLASVSEQTVPL >ONI31857 pep chromosome:Prunus_persica_NCBIv2:G1:31647175:31652276:-1 gene:PRUPE_1G334900 transcript:ONI31857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSYSNLLELASGEAPSFGRIGRRIPRIMTVASLISDVDDDPSESACSEDMSSSSVQRDRIIIVANQLPIRAQRKSDTSKGWIFNWDENSLLLQLKDGLGDDEIEVIYVGCLKEEIHPNEQDEVSQILLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREEILRAILNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGLEYYGRTVSIKILPVGIHMGQLQSVLSLPETEAKVAELMKQFCDQGRIMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWQGKVVLVQIANPARGRGKDVKEVQAETSSTVKRINETFGKPGYKPVVLIDEPLKFYERIAYYVVAECCLVTAVRDGMNLIPYEYIISRQRNAKLDKVLELEPSNPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDCALEMAEPEKQLRHEKHYRYVSTHDVGYWARSFLQDLERTCRGHLRQRCWGIGFGLSFRVVALDSNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQASIDKSPTSKSIGILNNLCRDKNNMVFIVSAKSRKVLAEWLSPCEKLGIAAEHGYFLRLKRDAEWETCAPVADSSWKQIAEPVMKLYTETTDGSTIEDKETALVWSYEDADPDFGSCQAKELLDHLESVLANEPVNVKSGQNVVEVKPQGVNKGLVAKRLLSTMQERGMSPDFVLCIGDDRSDEDMFEVITSSIAGPSIAPRAEVFACTVGRKPSKAKYYLDDTGEIVRLLQGLASVSEQTVPL >ONI26103 pep chromosome:Prunus_persica_NCBIv2:G1:389656:394926:-1 gene:PRUPE_1G004100 transcript:ONI26103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEFSVQLQTQAYGVLSSKDVHPMETLFSHLFSPQEPQRSQAYSLLHCCRKHFPDLLFIKLFYVIRQGPSADIRARSALVLRFVLCDLWPKLSLNAQINMKNNFLVSLQEECSLPSLRILCAIASEMASEISGVGNEWPEFIEFLLKSFQSDSERFQLSALWVLAFLPKVYRPVACKALAPSIEPIHLAFLSALNSENADIQVATFSAVVSLIHLFSNSSGRNWFHDLLRGMMVGLFNLLSRLKEDYARGALKELIMLVMEEPQLLKPYLNELVLDMLKIAESEQVTEGTKVFVHKFLLTIAEASDLALTMRGLPYQTLVRLLTVPMKLLLCINDDNACYNKESDQGANVGKTDLGIAYLTKISTALGEKTMTPIAFELFLEYMDASDWKKRHAGINMLAVIAKECSGEMVLMKNCLEQVTSVILKSFQDPHSRVCCAAFNFMQLPITLIEAMQILHHLRIVPALVTALDRHSIPRVKEQAASAILHLIKKLPSDGLRMHTDLDTILSKLQKEPQGHAVGNTT >ONI35981 pep chromosome:Prunus_persica_NCBIv2:G1:46049814:46051286:-1 gene:PRUPE_1G563800 transcript:ONI35981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKTKKEKARPAGHTPYQGGISFHKSKGQHILKNPLLVDSIVQKSGIKSTDVILEIGPGTGNLTKKLLEVGKRVIAVEIDARMVLELQRRFQGTPHSNRLQVIQGDVLKTELPYFDICVANIPYQISSPLTFKLLKHQPAFRCAIIMFQREFAMRLVAQPGDKLYCRLTVNTQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPPFEVNQKEWDGFLRICFNRKNKTLGSIFRQKSVINLLEKNYKTLQALNLAPAQQGALEDTNDVMDFSDEDIEMDDDGVDDGMEVEDGNAEGEVSEFKKKVLSVLKKAGFEGERSSKLKLQQFLDLLSEFNKDGIHFS >ONI30880 pep chromosome:Prunus_persica_NCBIv2:G1:28317354:28321965:-1 gene:PRUPE_1G279000 transcript:ONI30880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSEGGIQVRCDKLPAPVIARTRLQVWFIRVCSSLFLWTCLVQLVAVGELWHPHLLSNITNRISTITQDPLPAVPSPPPLLPARNYTSNGFLRVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKTSFWADPSNFEDIFDVRHFIDSLRDEVRIVRRLPKMFSRKYGHKPFEMPPVSWSNEKYYLEQILPLISKHKVLHFNRTDARVANNWMPLDLQKLRCRVNFQALKFTPQIETLGYKLVRILQEKGPFVALHLRYEMDMLAFSGCTHGCTEEEAAELKRLRYAYPWWREKEIVSEEKRSHGLCPLTPEESALLLQALDFHKDTQIYIAAGEIYGSERRLAPLRAAFPRIVKKESLLSPEELQQFQNHSSQMAALDFIVSVASNTFVPTYDGNMAKLVEGHRRYLGFKKSILLDRKRLVELLDMHLNGTLSWNEFSVAVRSTHEKRMGQPTRRRVIADKPKEEDYFYANPQECLCEGTNCDNLLSPSNSSEVG >ONI32190 pep chromosome:Prunus_persica_NCBIv2:G1:32851565:32858052:1 gene:PRUPE_1G353200 transcript:ONI32190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRLFMSFFFLGLSGIFGNQLLFLIGLGYTNPTYAAAIQPAIPVFTFILAVLMGTERVNLLRTEGQAKVGGTLVCVFGAILMVLFRGPVLIGYIEPDFAAQNEISARGQPEPAGWLMSSFLQFGLDHFHLGVLCLLGNCMCMAAFLAIQAPLLKRYPANLSVTAYSYFFGALLMVVTALFMTNESTDWSLTQSELFAVIYA >ONI32187 pep chromosome:Prunus_persica_NCBIv2:G1:32850747:32858052:1 gene:PRUPE_1G353200 transcript:ONI32187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSMAGAGVIGVGGGDVWRAHAAMAVVQLFNGGYHVITKVALNVGINQLVFCVFRDLLALAILAPIAYVREKRIRQPMNRRLFMSFFFLGLSGIFGNQLLFLIGLGYTNPTYAAAIQPAIPVFTFILAVLMGTERVNLLRTEGQAKVGGTLVCVFGAILMVLFRGPVLIGYIEPDFAAQNEISARGQPEPAGWLMSSFLQFGLDHFHLGVLCLLGNCMCMAAFLAIQAPLLKRYPANLSVTAYSYFFGALLMVVTALFMTNESTDWSLTQSELFAVIYAGTVASALNYGLLTWSNKILGPALVALYNPLQPAASAFLSRIFLGSPIYLGSVLGGLLIIAGLYVVTWASLRERQAAPDVMPHVARASEPLIHKDATINKIPYQRGLIFSGPSNSLPKSSD >ONI32189 pep chromosome:Prunus_persica_NCBIv2:G1:32850747:32858052:1 gene:PRUPE_1G353200 transcript:ONI32189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRLFMSFFFLGLSGIFGNQLLFLIGLGYTNPTYAAAIQPAIPVFTFILAVLMGTERVNLLRTEGQAKVGGTLVCVFGAILMVLFRGPVLIGYIEPDFAAQNEISARGQPEPAGWLMSSFLQFGLDHFHLGVLCLLGNCMCMAAFLAIQAPLLKRYPANLSVTAYSYFFGALLMVVTALFMTNESTDWSLTQSELFAVIYAGTVASALNYGLLTWSNKILGPALVALYNPLQPAASAFLSRIFLGSPIYLGSVLGGLLIIAGLYVVTWASLRERQAAPDVMPHVARASEPLIHKDATINKIPYQRGLIFSGPSNSLPKSSD >ONI32188 pep chromosome:Prunus_persica_NCBIv2:G1:32851112:32856332:1 gene:PRUPE_1G353200 transcript:ONI32188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSMAGAGVIGVGGGDVWRAHAAMAVVQLFNGGYHVITKVALNVGINQLVFCVFRDLLALAILAPIAYVREKRIRQPMNRRLFMSFFFLGLSGIFGNQLLFLIGLGYTNPTYAAAIQPAIPVFTFILAVLMGTERVNLLRTEGQAKVGGTLVCVFGAILMVLFRGPVLIGYIEPDFAAQNEISARGQPEPAGWLMSSFLQFGLDHFHLGVLCLLGNCMCMAAFLAIQAPLLKRYPANLSVTAYSYFFGALLMVVTALFMTNESTDWSLTQSELFAVIYA >ONI32413 pep chromosome:Prunus_persica_NCBIv2:G1:33593065:33597342:1 gene:PRUPE_1G366700 transcript:ONI32413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENKAQSDLFESPRSTWEGCSVLLDINDGDRLVFARLSSGATLKIGNKNCSLQPLLGCPFGSFFRVENGTQGPYLSRYTPSTEGNNVLEKGDGQSIDELRDNRALVDNNKAQSLTGEDINEMRRLGATGDEIVEALIANSATFEKKTVFSQEKYRLKKQKKYAPIVLLRRPFTRSICEAYLKKYPTRIGFLRMDTLSLLLSMANVSANSDVLVVDMVGGLLTGSVAERLGGTGYVCNTYIGGSPYPMDIARIFNFGDEICKRIVRSPLTDLFSVQNGLQKPVSQDSDSCNMESQSNDRISSPVSMEEVSLASENVISDLIPESTSSPVIKMSKPAKLGEKAPQEIISSWKEHGFSCLIIAAPELDTWSLVKEIFPLMSSSAPFAIYHQYLQPLATCMHNLQLGKMAIGMQISEPWLREYQVLPSRTHPCMQMNAFGGYILSGTKISPN >ONI28486 pep chromosome:Prunus_persica_NCBIv2:G1:11306589:11313168:1 gene:PRUPE_1G143500 transcript:ONI28486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLWDENDEIKPMETNPVVDCAHTQPIDSQISSPPSPDEKAKFEDAHEIVQDTVPFDDTVLVEDAFETQVLNLAGETQVMDFGAETQVMDFGGETQVMDFGGETQVMDFGGETQVLDDINCVENMETQLLEFEDEVVSDTDSEESDTTEVFDDNKHLTHDESVRRGSGQVVNEEKICCTPFENNVKGLMEQANNSIHEKQNAGLHVSTETPVDKSSPELKPGSVHMHFTSVRAASLRASGLAARLKGTNSESPSVPSNSQCLEPLSGKDNAVSLLWGSTIGGEKVNQEHDMGRCNEKIRRSTNENNCRIGNSTARKLFNEDSDDEEKGFPHNSSSGEEGEGLLQFPCNLAGLSYIDSQEPGELSQANALDFVDKFLQVNVEEFDKEVDRGTCAGENSKFVSSAKGPQRLAKKAIDKSIVQNVGIFDWDDSRENEEGGDFFCRRKTDFFGGGSHGWRSLPQAQKSKGNRQEGQKDHKKQVQGKKKKMGVIHSDSKLLLHNSKFDKKTEHEDEIKHKKNLASEFDKQFDINSPRGQLDANVKKNNDPEMLDVGIDTQMAAEAIEALFNGEGISNCDAISALPDVQGNPKSSPEGSMGEKTKNTLSSKKPSSRKRVLLSDAGVASRESRQAKKTRIGAKSGKHFSISSPEYSKTARKKCETELVITKSKKAKSNAKKHLNINGNKSLEKIPSVAIDLRTEGSIKRHLPDVGNFVPVGRQTRQSMVVNQLQKADKVSSDCGEESSYQTQNVAIREKIISFTGVQKSKVLNAKSSKLGSNKTGKVGNTKPSQQEESDFKFEAVSNGIKLDVLNFPKRRRSRRNMSIQVYGPNNSDGPSEPSVQADKIGQRVNSHKRLQSGAKNICNDIKLTRRTRSSTCGDQNLDGKFAREILKGGPGEAPLHCNSSHKDGRMISEIITGKRVVGISDRKSDANCSSATKMSDEFPRENCKPSDSSCTTPVNNKVPVNAASPVCMGNEYFKQTCKRRLLGSSLLKEIRGLSATVCEPTSTPELRKRRDMTDVRVLYSHHLDEDIIKKQKKILARLGVSVALSMTDATHFIADQFVRTRNMLEAIAFGKPVVTHLWLESCGQAGCFVDEKSHILRDNKKEKEFGFSMPASLARACQHPLLQDRKVFITPNTKPGKEIISNLVKAVKGQAVERIGRSTLNADKIPDDLLVLSCEEDYEICVPLLEKGAAVYSSELLLNGIVTQKLEFERHLLFSDQVKKTRSTIWLKKDGNKFLPVTKNK >ONI28484 pep chromosome:Prunus_persica_NCBIv2:G1:11306648:11313846:1 gene:PRUPE_1G143500 transcript:ONI28484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLWDENDEIKPMETNPVVDCAHTQPIDSQISSPPSPDEKAKFEDAHEIVQDTVPFDDTVLVEDAFETQVLNLAGETQVMDFGAETQVMDFGGETQVMDFGGETQVMDFGGETQVLDDINCVENMETQLLEFEDEVVSDTDSEESDTTEVFDDNKHLTHDESVRRGSGQVVNEEKICCTPFENNVKGLMEQANNSIHEKQNAGLHVSTETPVDKSSPELKPGSVHMHFTSVRAASLRASGLAARLKGTNSESPSVPSNSQCLEPLSGKDNAVSLLWGSTIGGEKVNQEHDMGRCNEKIRRSTNENNCRIGNSTARKLFNEDSDDEEKGFPHNSSSGEEGEGLLQFPCNLAGLSYIDSQEPGELSQANALDFVDKFLQVNVEEFDKEVDRGTCAGENSKFVSSAKGPQRLAKKAIDKSIVQNVGIFDWDDSRENEEGGDFFCRRKTDFFGGGSHGWRSLPQAQKSKGNRQEGQKDHKKQVQGKKKKMGVIHSDSKLLLHNSKFDKKTEHEDEIKHKKNLASEFDKQFDINSPRGQLDANVKKNNDPEMLDVGIDTQMAAEAIEALFNGEGISNCDAISALPDVQGNPKSSPEGSMGEKTKNTLSSKKPSSRKRVLLSDAGVASRESRQAKKTRIGAKSGKHFSISSPEYSKTARKKCETELVITKSKKAKSNAKKHLNINGNKSLEKIPSVAIDLRTEGSIKRHLPDVGNFVPVGRQTRQSMVVNQLQKADKVSSDCGEESSYQTQNVAIREKIISFTGVQKSKVLNAKSSKLGSNKTGKVGNTKPSQQEESDFKFEAVSNGIKLDVLNFPKRRRSRRNMSIQVYGPNNSDGPSEPSVQADKIGQRVNSHKRLQSGAKNICNDIKLTRRTRSSTCGDQNLDGKFAREILKGGPGEAPLHCNSSHKDGRMISEIITGKRVVGISDRKSDANCSSATKMSDEFPRENCKPSDSSCTTPVNNKVPVNAASPVCMGNEYFKQTCKRRLLGSSLLKEIRGLSATVCEPTSTPELRKRRDMTDVRVLYSHHLDEDIIKKQKKILARLGVSVALSMTDATHFIADQFVRTRNMLEAIAFGKPVVTHLWLESCGQAGCFVDEKSHILRDNKKEKEFGFSMPASLARACQHPLLQDRKVFITPNTKPGKEIISNLVKAVKGQAVERIGRSTLNADKIPDDLLVLSCEEDYEICVPLLEKGAAVYSSELLLNGIVTQKLEFER >ONI28485 pep chromosome:Prunus_persica_NCBIv2:G1:11306648:11313078:1 gene:PRUPE_1G143500 transcript:ONI28485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLWDENDEIKPMETNPVVDCAHTQPIDSQISSPPSPDEKAKFEDAHEIVQDTVPFDDTVLVEDAFETQVLNLAGETQVMDFGAETQVMDFGGETQVMDFGGETQVMDFGGETQVLDDINCVENMETQLLEFEDEVVSDTDSEESDTTEVFDDNKHLTHDESVRRGSGQVVNEEKICCTPFENNVKGLMEQANNSIHEKQNAGLHVSTETPVDKSSPELKPGSVHMHFTSVRAASLRASGLAARLKGTNSESPSVPSNSQCLEPLSGKDNAVSLLWGSTIGGEKVNQEHDMGRCNEKIRRSTNENNCRIGNSTARKLFNEDSDDEEKGFPHNSSSGEEGEGLLQFPCNLAGLSYIDSQEPGELSQANALDFVDKFLQVNVEEFDKEVDRGTCAGENSKFVSSAKGPQRLAKKAIDKSIVQNVGIFDWDDSRENEEGGDFFCRRKTDFFGGGSHGWRSLPQAQKSKGNRQEGQKDHKKQVQGKKKKMGVIHSDSKLLLHNSKFDKKTEHEDEIKHKKNLASEFDKQFDINSPRGQLDANVKKNNDPEMLDVGIDTQMAAEAIEALFNGEGISNCDAISALPDVQGNPKSSPEGSMGEKTKNTLSSKKPSSRKRVLLSDAGVASRESRQAKKTRIGAKSGKHFSISSPEYSKTARKKCETELVITKSKKAKSNAKKHLNINGNKSLEKIPSVAIDLRTEGSIKRHLPDVGNFVPVGRQTRQSMVVNQLQKADKVSSDCGEESSYQTQNVAIREKIISFTGVQKSKVLNAKSSKLGSNKTGKVGNTKPSQQEESDFKFEAVSNGIKLDVLNFPKRRRSRRNMSIQVYGPNNSDGPSEPSVQADKIGQRVNSHKRLQSGAKNICNDIKLTRRTRSSTCGDQNLDGKFAREILKGGPGEAPLHCNSSHKDGRMISEIITGKRVVGISDRKSDANCSSATKMSDEFPRENCKPSDSSCTTPVNNKVPVNAASPVCMGNEYFKQTCKRRLLGSSLLKEIRGLSATVCEPTSTPELRKRRDMTDVRVLYSHHLDEDIIKKQKKILARLGVSVALSMTDATHFIADQFVRTRNMLEAIAFGKPVVTHLWLESCGQAGCFVDEKSHILRDNKKEKEFGFSMPASLARACQHPLLQDRKVFITPNTKPGKEIISNLVKAVKGQAVERIGRSTLNADKIPDDLLVLSCEEDYEICVPLLEKGAAVYSSELLLNGIVTQKLEFERHLLFSDQVKKTRSTIWLKKDGNKFLPVTKNK >ONI28487 pep chromosome:Prunus_persica_NCBIv2:G1:11308290:11313078:1 gene:PRUPE_1G143500 transcript:ONI28487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIMSIWVFIYLSVFPFWPGSVHMHFTSVRAASLRASGLAARLKGTNSESPSVPSNSQCLEPLSGKDNAVSLLWGSTIGGEKVNQEHDMGRCNEKIRRSTNENNCRIGNSTARKLFNEDSDDEEKGFPHNSSSGEEGEGLLQFPCNLAGLSYIDSQEPGELSQANALDFVDKFLQVNVEEFDKEVDRGTCAGENSKFVSSAKGPQRLAKKAIDKSIVQNVGIFDWDDSRENEEGGDFFCRRKTDFFGGGSHGWRSLPQAQKSKGNRQEGQKDHKKQVQGKKKKMGVIHSDSKLLLHNSKFDKKTEHEDEIKHKKNLASEFDKQFDINSPRGQLDANVKKNNDPEMLDVGIDTQMAAEAIEALFNGEGISNCDAISALPDVQGNPKSSPEGSMGEKTKNTLSSKKPSSRKRVLLSDAGVASRESRQAKKTRIGAKSGKHFSISSPEYSKTARKKCETELVITKSKKAKSNAKKHLNINGNKSLEKIPSVAIDLRTEGSIKRHLPDVGNFVPVGRQTRQSMVVNQLQKADKVSSDCGEESSYQTQNVAIREKIISFTGVQKSKVLNAKSSKLGSNKTGKVGNTKPSQQEESDFKFEAVSNGIKLDVLNFPKRRRSRRNMSIQVYGPNNSDGPSEPSVQADKIGQRVNSHKRLQSGAKNICNDIKLTRRTRSSTCGDQNLDGKFAREILKGGPGEAPLHCNSSHKDGRMISEIITGKRVVGISDRKSDANCSSATKMSDEFPRENCKPSDSSCTTPVNNKVPVNAASPVCMGNEYFKQTCKRRLLGSSLLKEIRGLSATVCEPTSTPELRKRRDMTDVRVLYSHHLDEDIIKKQKKILARLGVSVALSMTDATHFIADQFVRTRNMLEAIAFGKPVVTHLWLESCGQAGCFVDEKSHILRDNKKEKEFGFSMPASLARACQHPLLQDRKVFITPNTKPGKEIISNLVKAVKGQAVERIGRSTLNADKIPDDLLVLSCEEDYEICVPLLEKGAAVYSSELLLNGIVTQKLEFERLVLFPGYHFYYQRIISFVLVERENNRLNGCL >ONI36360 pep chromosome:Prunus_persica_NCBIv2:G1:47326528:47328724:1 gene:PRUPE_1G582700 transcript:ONI36360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAYKPKSFLLSLPKHISNSQIFLANSNSQLSPPLSSLPPRPSIPQTKQAHAQIIVSGLAADSPLISHLLCFLALSPSTPFHYSLSLYQSIKYPSVFATNNMIRCFAKSDSPPQSLLLFSSMLRTCVKPNNHTFTFLLQACSRALALNEGAQVHTVAVKLGFGGYVFVRNALIHLYCSCSRIECSKRLFEENASSRDVVTWNSMLTAFVRDEQIGAAEKLFEEMPERDVISWSTMISGYVQNGRLGEGLECFKQMREKGMRLNEATLVSVLSASAQLGLLEHGRLVHSLVESLNFPLTVSLGTALIDMYAKCGCIEQSKLLFKNMPKKDIWTWNVMICGLASHGIGKEALALFQRFIDEGFHPVNVTFIGVLGACSRAGLVSEGRRHFKLMTEKYSILPEMEHYGCMVDMLGRAGFLDEAVQLIEKMTVPPDPVLWATLLGACKIHGSIELGEKIGKKLLKLDPTHDGHYVQLASIYAKARKWEDVIRVRRLLVEQNTNKAAGWSLIEAQGTVHKFVAGDREHERSLEIYKMLEKIGIRIAESGYSPNVSSVLHDIGEEEKENAIKEHSERLAMAFGLLVTGAGDCIRIVKNLRVCEDCHEVSKIISRVFEREIIVRDGSRFHHFKDGKCSCLDYW >ONI36361 pep chromosome:Prunus_persica_NCBIv2:G1:47326529:47328784:1 gene:PRUPE_1G582700 transcript:ONI36361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELSRIECSKRLFEENASSRDVVTWNSMLTAFVRDEQIGAAEKLFEEMPERDVISWSTMISGYVQNGRLGEGLECFKQMREKGMRLNEATLVSVLSASAQLGLLEHGRLVHSLVESLNFPLTVSLGTALIDMYAKCGCIEQSKLLFKNMPKKDIWTWNVMICGLASHGIGKEALALFQRFIDEGFHPVNVTFIGVLGACSRAGLVSEGRRHFKLMTEKYSILPEMEHYGCMVDMLGRAGFLDEAVQLIEKMTVPPDPVLWATLLGACKIHGSIELGEKIGKKLLKLDPTHDGHYVQLASIYAKARKWEDVIRVRRLLVEQNTNKAAGWSLIEAQGTVHKFVAGDREHERSLEIYKMLEKIGIRIAESGYSPNVSSVLHDIGEEEKENAIKEHSERLAMAFGLLVTGAGDCIRIVKNLRVCEDCHEVSKIISRVFEREIIVRDGSRFHHFKDGKCSCLDYW >ONI33573 pep chromosome:Prunus_persica_NCBIv2:G1:37074540:37076243:-1 gene:PRUPE_1G433400 transcript:ONI33573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLLSLPLSNVALKTLLFDVALALLFHVALFSSSVVLYSLTHSRYESKKAFVDITDGDVAFRDNVWLHNFCAYDIQNEEKSIAYINGSAWNCGCLFIYVI >ONI35424 pep chromosome:Prunus_persica_NCBIv2:G1:43749777:43756216:1 gene:PRUPE_1G535300 transcript:ONI35424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSVSTTSSFSHFFSVPPPPRTYTIKNDATIPFLSLSSMPLPRLSLRRRVPQDAPFHLASETTRRASSTTRNVKAEASLPDPPSSSSNTKRVVLVSALTIALAVANRVLYKLALVPMKNHPFFLAQFTTFGYVIIYFSILFARYRSGIVTDEMISLPKSRFVAIGALEALGVASGMAAAAMLPGPAIPILSQTFLVWQLSFSVLLLGRTYTFNQISGCILVAIGVAAAVTSGSDSGQMLSGVESMWPVLMIASSAFQAGASIIKEFVFVDAEARLKEKSLDIFVVNSFGSGFQALFILLFLPFLSNLRGIPFAQLPSYLKDGAGCFLNIGADTSGCNGAPLLPLLYIGTNLFFNISLLSVVKISSAVVASLIVMLSVPISIYILSLPLPYLEAGATLSPFFLFGSTVLLLGLILYSMPQPAKDGSEIS >ONI35423 pep chromosome:Prunus_persica_NCBIv2:G1:43749777:43756216:1 gene:PRUPE_1G535300 transcript:ONI35423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSVSTTSSFSHFFSVPPPPRTYTIKNDATIPFLSLSSMPLPRLSLRRRVPQDAPFHLASETTRRASSTTRNVKAEASLPDPPSSSSNTKRVVLVSALTIALAVANRVLYKLALVPMKNHPFFLAQFTTFGYVIIYFSILFARYRSGIVTDEMISLPKSRFVAIGALEALGVASGMAAAAMLPGPAIPILSQTFLVWQLSFSVLLLGRTYTFNQISGCILVAIGVAAAVTSGSDSGQMLSGVESMWPVLMIASSAFQAGASIIKEFVFVDAEARLKEKSLDIFVVNSFGSGFQALFILLFLPFLSNLRGIPFAQLPSYLKDGAGCFLNIGADTSGCNGAPLLPLLYIGTNLFFNISLLSVVKISSAVVASLIVMLSVPISIYILSLPLPYLEAGATLSPFFLFGSTVLLLGLILYSMPQPAKDGSEIS >ONI36178 pep chromosome:Prunus_persica_NCBIv2:G1:46742208:46742539:-1 gene:PRUPE_1G573500 transcript:ONI36178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTDGIKIHKSLHNREAKVHFRNNGAPICKRSKKKIIK >ONI28179 pep chromosome:Prunus_persica_NCBIv2:G1:10069507:10073285:-1 gene:PRUPE_1G129300 transcript:ONI28179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLVVLLLLLTLSNAIDLGGEKDEKPCDYNLTLEPRPHSVSILEFGAVGDGRTLNTLAFQNAIFYLKSFADKGGAQLYVPPGRWLTGSFNLTSHLTLFLEKGAVILGSQDPSHWEVVEPLPSYGRGIELPGGRYRSLINGYMLHDVVITGDNGTINGQGSVWWDWFSSQTLNYSRPHLVEFVSSKYVVVSNLTFVNAPAYNIHPVYCSNVHVHNISVSAPPESPYTVGIVPDSSDNVCIEDCSIGMGYDAIALKSGWDEYGIAYGRPTTNVHIRRVYLQSSSGSSLAFGSEMSGGISDVFVEQVHIYNSFSGIQFRTTKGRGGYIREIIISDVEMENIHMAFGASGQFGSHPDDKFDPNALPDLDHITLQDVIGTNITIAGSFTGIQESPFTSFCLSNISLSANSGSPTWECSNVSGSSDSVFPQPCSEFNSSYSSSCFSLLTANGKTAVL >ONI31446 pep chromosome:Prunus_persica_NCBIv2:G1:30367623:30369142:-1 gene:PRUPE_1G313700 transcript:ONI31446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIGVSGERERVAAKSMAMGSERTKPLHNFNLPWDLKWGNQKHLRCMKVSSDAGGSTGEASAVDRRSSAQRLESSVMMTRRRESEFEKRRFRAPRPRIENDDAEEGIEAVREKLMFDLKTAADKMKDAILREEEVAVAAADTDMAADGEDVEMAEEGESSPAAAAEVRPWNLRTRRAACKAPLCGGGAKGLRIEEKKANYSPLRSEGNNGVKSPRPVRGASGSGSGSGPEKKEKEKERVKFAVSLTKKEIEEDFMVMLGHRPPRRPKKRPRNVQKQLDTLFPGMWLTEVSAETYKVPEFPETAKVIALF >ONI31445 pep chromosome:Prunus_persica_NCBIv2:G1:30367199:30369142:-1 gene:PRUPE_1G313700 transcript:ONI31445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIGVSGERERVAAKSMAMGSERTKPLHNFNLPWDLKWGNQKHLRCMKVSSDAGGSTGEASAVDRRSSAQRLESSVMMTRRRESEFEKRRFRAPRPRIENDDAEEGIEAVREKLMFDLKTAADKMKDAILREEEVAVAAADTDMAADGEDVEMAEEGESSPAAAAEVRPWNLRTRRAACKAPLCGGGAKGLRIEEKKANYSPLRSEGNNGVKSPRPVRGASGSGSGSGPEKKEKEKERVKFAVSLTKKEIEEDFMVMLGHRPPRRPKKRPRNVQKQLDTLFPGMWLTEVSAETYKVPEFPETAKR >ONI31248 pep chromosome:Prunus_persica_NCBIv2:G1:29627730:29630751:1 gene:PRUPE_1G301200 transcript:ONI31248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPSPNSSPSAVPPASNTTSTPPPQPPSTITPSSQPSLDSPEPSNSTTQSPPPVVPSAPPPTTPAPPPNSFPSVATQSPSSNVPPPTSNSPTPPSSNAPPPTSDSPPPPSSNPPKSSPSPPPPTSDPPASSPPPPQSRPPASSPPPPQSRPPVSSPPPPSSKPPDNSPPPPSKPPETSPPPPPSSKPPENSPPPPSSNPPETSPPPPSSKPPEKSPPPPSSNPPETSPPPSNPPENSPPPPASVPPKSSPPPPASVPPTNAPPPPGLTPPIPPGSQPTPSPPNSPPKSSPPPPLKRLAPPPPSHASPPAPSQIPSPPTSNTSSPNAPPSSNPTDSSFLKTHSSAPFVGSHSGSDFMNSPPEPAGLGNSRPWFTFEELDKATNGFSSQNLLGEGGFGSVYKGCLPDGREVAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCISENRRLLVYEYVANDTLYFHLHGEGRPVLEWATRVKVAAGAARGIAYLHEDCHPRVIHRDIKSSNILLDNNFEARVSDFGLAKLALDANTHISTRVMGTFGYVAPEYASSGKLTEKSDVYSYGVVLLELITGRKPVDTSQPMGDESLVEWVNLTASSCHT >ONI31247 pep chromosome:Prunus_persica_NCBIv2:G1:29627730:29631579:1 gene:PRUPE_1G301200 transcript:ONI31247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPSPNSSPSAVPPASNTTSTPPPQPPSTITPSSQPSLDSPEPSNSTTQSPPPVVPSAPPPTTPAPPPNSFPSVATQSPSSNVPPPTSNSPTPPSSNAPPPTSDSPPPPSSNPPKSSPSPPPPTSDPPASSPPPPQSRPPASSPPPPQSRPPVSSPPPPSSKPPDNSPPPPSKPPETSPPPPPSSKPPENSPPPPSSNPPETSPPPPSSKPPEKSPPPPSSNPPETSPPPSNPPENSPPPPASVPPKSSPPPPASVPPTNAPPPPGLTPPIPPGSQPTPSPPNSPPKSSPPPPLKRLAPPPPSHASPPAPSQIPSPPTSNTSSPNAPPSSNPTDSSFLKTHSSAPFVGSHSGSDFMNSPPEPAGLGNSRPWFTFEELDKATNGFSSQNLLGEGGFGSVYKGCLPDGREVAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCISENRRLLVYEYVANDTLYFHLHGEGRPVLEWATRVKVAAGAARGIAYLHEDCHPRVIHRDIKSSNILLDNNFEARVSDFGLAKLALDANTHISTRVMGTFGYVAPEYASSGKLTEKSDVYSYGVVLLELITGRKPVDTSQPMGDESLVEWARPLLSYALDNEEFEGVVDPRLGKNYVESEMFRMIEIAAACVRHSSAKRPRMGQVVRAFDSLAVSDLTNGMRVGESEAFNSAQQSAEIRLFRRMAFGSQNYSTDFFSQDP >ONI34237 pep chromosome:Prunus_persica_NCBIv2:G1:39146389:39146864:-1 gene:PRUPE_1G469900 transcript:ONI34237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCMSRVTEIENTTDQTLELRECPEGDPEGKGSEPILLHPHKSKWISATKFCQHSHDAARPRHLILVSSNGGGGNPETFATTDFITFEKFVIFPRENGNIGVQTSRAKGTGFRRIKLVKCLTSFIQLR >ONI34236 pep chromosome:Prunus_persica_NCBIv2:G1:39144460:39147109:-1 gene:PRUPE_1G469900 transcript:ONI34236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCMSRVTEIENTTDQTLELRECPEGDPEGKGSEPILLHPHKSKWISATKFCQHSHDAARPRHLILVSSNGGGGNPETFATTDFITFEKFVIFPRENGNIGVQTSRAKGTGFRRIKLVKCLTSFIQLR >ONI36169 pep chromosome:Prunus_persica_NCBIv2:G1:46712759:46714261:-1 gene:PRUPE_1G573000 transcript:ONI36169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISCSASDLQPLLGAAANATAAAQYICGRFDAVSNKFVDTAYAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGLFYYLFGFALAFGTPSNGFIGQHFFGLNKFPSQSFDYGYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWFWSADGWASPARADNLLFGSGVIDFAGSGVVHLVGGIAGLWGALIEGPRIGRFDHEGRSVSLRGHSGTLVVLGTFLLWFGWYGFNAGSFLNILKAYGESGSYYGQWSAIGRTAVTTTMAGCSAALTTLFGKRLLSGHWNLTDVCNGLLGGFAAITSGCSVVDPWAAIICGFVAAWVLMGCNKLAEKLKYDDPLEAAQLHGGCGSWGIIFTALFAKKAYVDEVYSGQPNRPYGLLMGGGGKLLAAHLVQIVVIVGFVSLTMGTLFFLLHKLKLLRISSEEEMAGMDVTSHGGLAYVYNEECNDPAMLKPGFVVSRTAPPSSAV >ONI29306 pep chromosome:Prunus_persica_NCBIv2:G1:17805503:17806712:1 gene:PRUPE_1G192100 transcript:ONI29306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMSMLCLRRDQHEQWKCHQDKPSRSVKVCRCQLPCDNPFYSSEPPKKDSTDTPLASYVGSGNAVAFATGKVKILRYSVSAQFFGVILAPSLS >ONI30382 pep chromosome:Prunus_persica_NCBIv2:G1:26047043:26048620:-1 gene:PRUPE_1G247800 transcript:ONI30382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMDRLMITTATFFTLCIIITIIVPWSEAAGQSTGNGLRVGFYSRSCPNVEKIVADIVLKAHQDDLKLPAALIRFFSHDCLVKGCDASILLDATASHEPVEKQAQASEMLRGYELIDEIKDRVEQECPQTVSCADILAFAAREAVFLAGLPRHMVPSGRRDSRTSRASDITIPNPTTPFDEIIDYFSRRGITIDEMVVLSGAHSIGIAHCSFFDYRLYTFNKDQPQDPALNASYASELSKTCPKPNTLNPAEAKQRNVELDPTTPLVLDNHHYLNLLQGKALLQSDQTMVTDPRTSGLVQQFALDPESWARRFAKAMIKMGRINVLTGNVGEIRKNCRAIN >ONI31264 pep chromosome:Prunus_persica_NCBIv2:G1:29696708:29700968:-1 gene:PRUPE_1G302500 transcript:ONI31264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPEQETSMTSTPANILLSNLNRPDQNGSVHAERSTPPSSNVNPCLGDDMPADRPTSHRTSILRQSLRPVTLKFEDVTYSIKLQTTRGGCVASHEPKQTRTILNGVSGIVRPGELLAMLGPSGSGKTTLLTALGGRLPGKISGKITYNGQHFSSSMKHNTGFVTQDDVLYPHLTVLETLTYTALLRLPKQLTKEEKMEQAEMVIMELGLTRCSDSIVGGPLLRGVSGGERKRVSIGQEMLVNPSLLLLDEPTSGLDSTTAQRIVATLRQLARGGRTVISTIHQPSSRLYRMFDKVVVLSDGYPIYSGHASRVMEYFGSIGYEAGFNFINPADFLLDLANGIGPDAKQDDQLEYNGRLEHQEDQNTTKQFLISSYKKNLYPVLKAEIQQSHKDTVLAPSRTTPSSRGSGKYQWTTSWWVQFKVLLERGLKERKHESFSGLRIFQVLSVSLLSGLLWWHSDTSHIQDQVGLLFFFSIFWGFFPLFNAIFAFPMERPMLIKERSSGMYRLSSYYFARTVGDLPMELVLPTVFVTVSYWMGGLKPSFVTFALTLSIVLYNVLVSQGLGLALGAILMDVKQGTTLASVTMLVFLLVGGYYIQHIPSFIAWLKYISFSHYCYKLLVGVQYSANEVYECEMGMHCSVMDFPAIKYLGLDSMWSDVAALAVMLVGYRVLAYVALRMRQPH >ONI28230 pep chromosome:Prunus_persica_NCBIv2:G1:10494387:10496578:1 gene:PRUPE_1G132600 transcript:ONI28230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAAEQSRKYPTMPEVVEELKRMTDIGFPIAAMSLVGYLKNMVLVVCMGRLGSLELAGGALAIGFTNITGYSVLSGLAMGMEPLCSQAFGSQNFSIAFLTLKRTILLLLLTSLPIALLWANLEPLMLLLHQNPDITRIASLYCQFAIPDLLANSLLHPIRIFLRSQSTTWPLMWCTLLAIVLHLPLTIFLTFTLSLGVKGIAISSSLSNFITLFFFLGYMVYDHVLKERKYCWLLSTTNSEPAPILSQPLLPKNLVPTELGADQWRMLIRLSIQSCLAVCLEWWWYEFMTLLAGYLHKPHIALATSAIVIQTTSLMYTLPTSLSASVSTRVGNELGAGQPKKARLAAVVAVGVALVSSLLGLSLTTLGRETWGRIFTEDGEVLELTMAVLPIIGLCELANCPQTTSCGILRGSARPGIGAWINFYSFYMVGAPVAVVMAFVWRLGFQGLCYGLLAAQITCVISILTVVHKTDWEREWLKSREFVGKSNNRVAAFPHADETVKCEEGTLQN >ONI28689 pep chromosome:Prunus_persica_NCBIv2:G1:12278194:12282293:1 gene:PRUPE_1G155400 transcript:ONI28689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLPLLLLSLLSILPQSLSLPKGTLINCGAPVKSLIDGREWLPDTGFVSVGTPRNLTAPVLVPVLSTVRSFPNNPHRKYCYTVQVYRNARYMVRTTYYYYGGPDDTPPVFDLIVDGTLWAVVNTTEDFAKGESTYYEGVFLAQGKTMSVCLGSNNYTESDPFISALEFVILEDSLYNSTDFKSYGLGLVARHGFGYTGPVIRYPDDKFDRFWVPFEGYNPVNVSNTNVSVSDLWNLPPLKVFQSELTTGQAETIELNWPPGSVRESNYYIALYFALGMLGSRVFNISINGVPYYENLDVTPEGLVVYARKWPLSGVTRITLTPSAGSSGGALINAGEVFDVLPLGGTTLTRDVIALESVKQRIQNPPSDWNGDPCLPRQYSWTGITCSSGPRPRVVTLNLTSMGLSGSLSPSFANMTALSNIWLGKNNFSGPIPDLSSLKRLEKLHLEDNHFSGDIPSSLGNIDSLHELFLQNNNLTGQVPTGLTGKSGLDLRTSGNSLSAPPPS >ONI28690 pep chromosome:Prunus_persica_NCBIv2:G1:12278194:12282293:1 gene:PRUPE_1G155400 transcript:ONI28690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTTYYYYGGPDDTPPVFDLIVDGTLWAVVNTTEDFAKGESTYYEGVFLAQGKTMSVCLGSNNYTESDPFISALEFVILEDSLYNSTDFKSYGLGLVARHGFGYTGPVIRYPDDKFDRFWVPFEGYNPVNVSNTNVSVSDLWNLPPLKVFQSELTTGQAETIELNWPPGSVRESNYYIALYFALGMLGSRVFNISINGVPYYENLDVTPEGLVVYARKWPLSGVTRITLTPSAGSSGGALINAGEVFDVLPLGGTTLTRDVIALESVKQRIQNPPSDWNGDPCLPRQYSWTGITCSSGPRPRVVTLNLTSMGLSGSLSPSFANMTALSNIWLGKNNFSGPIPDLSSLKRLEKLHLEDNHFSGDIPSSLGNIDSLHELFLQNNNLTGQVPTGLTGKSGLDLRTSGNSLSAPPPS >ONI31076 pep chromosome:Prunus_persica_NCBIv2:G1:28915210:28916454:-1 gene:PRUPE_1G290400 transcript:ONI31076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISTGVLSADPAADKIHKKSFHRRNDSGELDVFEAARYFSGYNEAPSSHNNNTNTSTFSQKMMKEDRSWRGGRISLDIPIRHMLHHPQQHPHHHHHHHHVVVEKQNVKDKKYKQPSSPGGRLASFLNSLFNQSASKKKKSKSSTTQSMKDHHEEESPGGRRRRRSSISHFRSSSTADAKSIYSSSSSGFRTPPPYNYGQTAASKSCKDLRSYSDHKQQNLQQQVVSLSKYNTNGQVKSTAALQYEEVVLDDKKSNRELSWLDHEKFKYSNGLSEKYKISSDQDHKGLLKRLSEVVDDDDYDEGADSDSSSDLFELQNYDLGCYSSGLPVYETTNVENIKIRSSGTPISNASS >ONI34432 pep chromosome:Prunus_persica_NCBIv2:G1:40230313:40234117:-1 gene:PRUPE_1G482200 transcript:ONI34432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNSHHPQDIQLGFNLEILESDLHAALAALKKKEEDLQDAERTVFFEHCELHRTKEELEQREKEIAAASCRYEKIGEELKQANLGLASQARHIDDIKLRLRERDQEIAAAQSTLSLKEEELDKMRNELLLKSEEAAKTESELKSKSHLLNEANEVVNRQAVEVQGLRKSLQEKEEELEVSQMQRKLEVEKLKVAEEKLEKQTMEWLLAQEELKKLAEEASRHAGETNETLEDFRRVKKLLADVRSELVFSQKSLASSRQKMEEQEKLLETQWEELEEHKGSVMTYLTTLKDAQIEVQSERAKLKVAEAQKKELERDLSMEKELMEELQELLKKERYSLHQAINGISSLQKKLDKKNADFGKMRDLLQVKESEMVEAKLEIQHLKSEQDSLKLILDEKDLELLNARHKLEEVNNEIAELKMLLNSKEDQLIQATTMLKEKDEHVNTMQNELNDTKLKYSEAETVVGRIVELTNKLVISVKDDDSNAPRMFDDMGQDLLQQLLENPADDFRLQIKQLETELELARDSLRTKEMEVLAFQRALTIKDEELKMVLGRLDAKEKEVKKMKEEAEDANDLRKLYALAQERLGEKSIGDLAIEKLQIEAAQLEVEAATNALHKLAEMSGEFLHKASLSIEADAYTTILLPNGSDPSRSAAENDECLTEVTTEVSRISALTDQLVKEAGIVIRAGPAGQQSCSPARRS >ONI34434 pep chromosome:Prunus_persica_NCBIv2:G1:40230713:40234117:-1 gene:PRUPE_1G482200 transcript:ONI34434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSLRFSRKQNKVAFLTTTKRKGSSLRIIRSVLNNRKSSISGNGASEPARILLERLFAQTQKLEEQMNRNSHHPQDIQLGFNLEILESDLHAALAALKKKEEDLQDAERTVFFEHCELHRTKEELEQREKEIAAASCRYEKIGEELKQANLGLASQARHIDDIKLRLRERDQEIAAAQSTLSLKEEELDKMRNELLLKSEEAAKTESELKSKSHLLNEANEVVNRQAVEVQGLRKSLQEKEEELEVSQMQRKLEVEKLKVAEEKLEKQTMEWLLAQEELKKLAEEASRHAGETNETLEDFRRVKKLLADVRSELVFSQKSLASSRQKMEEQEKLLETQWEELEEHKGSVMTYLTTLKDAQIEVQSERAKLKVAEAQKKELERDLSMEKELMEELQELLKKERYSLHQAINGISSLQKKLDKKNADFGKMRDLLQVKESEMVEAKLEIQHLKSEQDSLKLILDEKDLELLNARHKLEEVNNEIAELKMLLNSKEDQLIQATTMLKEKDEHVNTMQNELNDTKLKYSEAETVVGRIVELTNKLVISVKDDDSNAPRMFDDMGQDLLQQLLENPADDFRLQIKQLETELELARDSLRTKEMEVLAFQRALTIKDEELKMVLGRLDAKEKEVKKMKEEAEDANDLRKLYALAQERLGEKSIGDLAIEKLQIEAAQLEVEAATNALHKLAEMSGEFLHKASLSIEADAYTTILLPNGSDPSRSAAENDECLTEVTTEVSRISALTDQLVKEAGIVIRAGPAGQQR >ONI34433 pep chromosome:Prunus_persica_NCBIv2:G1:40230574:40234129:-1 gene:PRUPE_1G482200 transcript:ONI34433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAASRSNLPTSSSQSYGKLCSLRFSRKQNKVAFLTTTKRKGSSLRIIRSVLNNRKSSISGNGASEPARILLERLFAQTQKLEEQMNRNSHHPQDIQLGFNLEILESDLHAALAALKKKEEDLQDAERTVFFEHCELHRTKEELEQREKEIAAASCRYEKIGEELKQANLGLASQARHIDDIKLRLRERDQEIAAAQSTLSLKEEELDKMRNELLLKSEEAAKTESELKSKSHLLNEANEVVNRQAVEVQGLRKSLQEKEEELEVSQMQRKLEVEKLKVAEEKLEKQTMEWLLAQEELKKLAEEASRHAGETNETLEDFRRVKKLLADVRSELVFSQKSLASSRQKMEEQEKLLETQWEELEEHKGSVMTYLTTLKDAQIEVQSERAKLKVAEAQKKELERDLSMEKELMEELQELLKKERYSLHQAINGISSLQKKLDKKNADFGKMRDLLQVKESEMVEAKLEIQHLKSEQDSLKLILDEKDLELLNARHKLEEVNNEIAELKMLLNSKEDQLIQATTMLKEKDEHVNTMQNELNDTKLKYSEAETVVGRIVELTNKLVISVKDDDSNAPRMFDDMGQDLLQQLLENPADDFRLQIKQLETELELARDSLRTKEMEVLAFQRALTIKDEELKMVLGRLDAKEKEVKKMKEEAEDANDLRKLYALAQERLGEKSIGDLAIEKLQIEAAQLEVEAATNALHKLAEMSGEFLHKASLSIEADAYTTILLPNGSDPSRSAAENDECLTEVTTEVSRISALTDQLVKEAGIVIRAGPAGQQR >ONI34431 pep chromosome:Prunus_persica_NCBIv2:G1:40230313:40233766:-1 gene:PRUPE_1G482200 transcript:ONI34431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSLRFSRKQNKVAFLTTTKRKGSSLRIIRSVLNNRKSSISGNGASEPARILLERLFAQTQKLEEQMNRNSHHPQDIQLGFNLEILESDLHAALAALKKKEEDLQDAERTVFFEHCELHRTKEELEQREKEIAAASCRYEKIGEELKQANLGLASQARHIDDIKLRLRERDQEIAAAQSTLSLKEEELDKMRNELLLKSEEAAKTESELKSKSHLLNEANEVVNRQAVEVQGLRKSLQEKEEELEVSQMQRKLEVEKLKVAEEKLEKQTMEWLLAQEELKKLAEEASRHAGETNETLEDFRRVKKLLADVRSELVFSQKSLASSRQKMEEQEKLLETQWEELEEHKGSVMTYLTTLKDAQIEVQSERAKLKVAEAQKKELERDLSMEKELMEELQELLKKERYSLHQAINGISSLQKKLDKKNADFGKMRDLLQVKESEMVEAKLEIQHLKSEQDSLKLILDEKDLELLNARHKLEEVNNEIAELKMLLNSKEDQLIQATTMLKEKDEHVNTMQNELNDTKLKYSEAETVVGRIVELTNKLVISVKDDDSNAPRMFDDMGQDLLQQLLENPADDFRLQIKQLETELELARDSLRTKEMEVLAFQRALTIKDEELKMVLGRLDAKEKEVKKMKEEAEDANDLRKLYALAQERLGEKSIGDLAIEKLQIEAAQLEVEAATNALHKLAEMSGEFLHKASLSIEADAYTTILLPNGSDPSRSAAENDECLTEVTTEVSRISALTDQLVKEAGIVIRAGPAGQQSCSPARRS >ONI34430 pep chromosome:Prunus_persica_NCBIv2:G1:40230363:40233831:-1 gene:PRUPE_1G482200 transcript:ONI34430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAASRSNLPTSSSQSYGKLCSLRFSRKQNKVAFLTTTKRKGSSLRIIRSVLNNRKSSISGNGASEPARILLERLFAQTQKLEEQMNRNSHHPQDIQLGFNLEILESDLHAALAALKKKEEDLQDAERTVFFEHCELHRTKEELEQREKEIAAASCRYEKIGEELKQANLGLASQARHIDDIKLRLRERDQEIAAAQSTLSLKEEELDKMRNELLLKSEEAAKTESELKSKSHLLNEANEVVNRQAVEVQGLRKSLQEKEEELEVSQMQRKLEVEKLKVAEEKLEKQTMEWLLAQEELKKLAEEASRHAGETNETLEDFRRVKKLLADVRSELVFSQKSLASSRQKMEEQEKLLETQWEELEEHKGSVMTYLTTLKDAQIEVQSERAKLKVAEAQKKELERDLSMEKELMEELQELLKKERYSLHQAINGISSLQKKLDKKNADFGKMRDLLQVKESEMVEAKLEIQHLKSEQDSLKLILDEKDLELLNARHKLEEVNNEIAELKMLLNSKEDQLIQATTMLKEKDEHVNTMQNELNDTKLKYSEAETVVGRIVELTNKLVISVKDDDSNAPRMFDDMGQDLLQQLLENPADDFRLQIKQLETELELARDSLRTKEMEVLAFQRALTIKDEELKMVLGRLDAKEKEVKKMKEEAEDANDLRKLYALAQERLGEKSIGDLAIEKLQIEAAQLEVEAATNALHKLAEMSGEFLHKASLSIEADAYTTILLPNGSDPSRSAAENDECLTEVTTEVSRISALTDQLVKEAGIVIRAGPAGQQSCSPARRS >ONI27083 pep chromosome:Prunus_persica_NCBIv2:G1:4779946:4783246:-1 gene:PRUPE_1G067100 transcript:ONI27083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFAVLGHKLEMLSSYSCNKLPCFSQSFKAQIRSIFRVLLFHANPFYVQLVYFVMLSLLGYLALIFTKPRTTRPKDADVFFTSVSATTVSSMSVVEMEVFSNTHLIILTILMLLGGEVFTSMLGLLFTKSKIIPKFHDHQTDSRIDVVNTKNHFPDSNADQIELGLATHSHLENEKPQIINHIDGMNNINNKYNSIRFLGYVVLGYLLVVHIGGSILVSLYFTLVPSARHVLEKKGIEIPTFAVFTTVSTFSNCGFVPTNENMIVFKNNSGLLLLLLPQLLLGNTLYPACLRFLIWVLEKITKRVEFSYMLKNYKDMGYSHMLSSLRSFLLAITVLGFIVVQVTLFCAMEWKSEAMDGLTAYQKFVASIFQTMNSRHAGESVIDLSVISPAILVLFVVMMYLPPYTTFLPTTSDCEDSASAKGIPNPRKTLVESLIFSQLSYLAMFIILICITEREKMKEDPLNFSVLNVIIEVISAYGNVGFSTGYSCKRRLKHEGDCKDAWAGFSGRWSTQGKFILITVMFFGRLKSFSTKHGKAWKLS >ONI29600 pep chromosome:Prunus_persica_NCBIv2:G1:20401604:20401923:1 gene:PRUPE_1G204300 transcript:ONI29600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQAQAPPEPEQEQVAGRKGRGTIEKGQQRHHMASMTSRFSSTCQVSCSAKPETLNKVCDIVKKQLALPEDTAVTPGFKVC >ONI31127 pep chromosome:Prunus_persica_NCBIv2:G1:29143677:29146599:-1 gene:PRUPE_1G294200 transcript:ONI31127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAAKGNQEEDDYDDEEFGSRKEGPSSNSNSKDAKNNDKASAIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVLLFYVQYLQEKVHKYEGSYQGWSPEPTKLMPWRNSHWRVQSFVGHPQAGKNDTGAVSPFPGKFDENGISITPNMLANSTQNPVESDPSRDVASKIMDRQPEIVNKAIPLPMPLPVNMAPVRSDGILAHPLQGPVSDAQSTQCPTTSASDALNQQEELTIEGGTISISSVYSQGLLNSLNQALQSAGVDLSQASVSVQIDLGNRANRGLSSGTPASKDHEIPHSSNQTVAHFRDAGSGEDSDQAHKRMKT >ONI31126 pep chromosome:Prunus_persica_NCBIv2:G1:29142789:29146806:-1 gene:PRUPE_1G294200 transcript:ONI31126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAAKGNQEEDDYDDEEFGSRKEGPSSNSNSKDAKNNDKASAIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQYLQEKVHKYEGSYQGWSPEPTKLMPWRNSHWRVQSFVGHPQAGKNDTGAVSPFPGKFDENGISITPNMLANSTQNPVESDPSRDVASKIMDRQPEIVNKAIPLPMPLPVNMAPVRSDGILAHPLQGPVSDAQSTQCPTTSASDALNQQEELTIEGGTISISSVYSQGLLNSLNQALQSAGVDLSQASVSVQIDLGNRANRGLSSGTPASKDHEIPHSSNQTVAHFRDAGSGEDSDQAHKRMKT >ONI30791 pep chromosome:Prunus_persica_NCBIv2:G1:27927938:27930302:-1 gene:PRUPE_1G272900 transcript:ONI30791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCLMRCSHGSALWKTLKPLHLKLPRNSIRCSPMPIHSVRGGGRGSALNRKAYGALLLDAGGTLLQLAKPVEEVYASIGTQYGVTATPPEIKQGFKRAFSAPWPQNLRYQGDGRPFWKLVVSEATGCADLDYFEQVYEYYAKGDSWRLPEGAYETMALLKDAGVKLAVVSNFDSRLRKLLEDLNVLHLFDAVIISSEVGYEKPDANIFKSALDQVHVDAEKAVHVGDDKIADKVGANAVGIDCWLWGLDVKTFADIRNRILISES >ONI30632 pep chromosome:Prunus_persica_NCBIv2:G1:27219166:27224131:1 gene:PRUPE_1G263600 transcript:ONI30632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPVFYQVDPSDVQRQRGPFAKHFRAHEQVYGNAVVSRWRSAMAKVGSTAGYIFNASKEAELIQLLVKTVLTEMNKTPVGLDAYTVGLDSRVEDVMRLLDVRSNSILVVGIHGMGGVGKTTLAKALFNSLVGYFECHSFISNVREISAGHEGLVSLQNKLIGSLSSNTMSVNELNTGISAIKAIVYEKRVLIVLDDVDNVNQLNALVGNRQWFYEGSRIIVTTRDREVLPNHLVNELYEVKELQFSQALQLFSYHALRREKPTDTFLTLSEKIMSLTGGLPLALEKLKQIRPRSLQDVLKMSYDALDEQEKCIFLDIACLFVKMDMRREDAIDILKGCGFDGEIGIADLVARSLIKVYVDSILWMHDEVRDMGRQIIKEENLLDPGMRSRLWDHDEILNVFKDNKGTRCIQGIVLDLESMKRSVGDPSGDRISWDNFRRGPTFTSAVTYLKERYKAYLQLKQRKRERLLQINYLNLEGRFKFLPAELKWLQWKGCPLKSLPSDFSPRQLAVLDLPRSKIEVAEKLMLLNLNGCFNLTHIPDLSGNRALEKLSLEHCSKLTKLHASIGNLRTLVHLNLRDSENLIELPNDVSGLTKLENLILSGCLQLKELPSNMVSLKELLLDGTAVKSLPESIFRFSKLEKLSLNQCKHLKGLPELIGKLHSLQEISLNDSALGNLPVSFGYLANLEKLSLLWCKSLTTIPDSIGNLSSLMEFHSYGSGIKELPVSMGSLSNLKELSTGHGQILCRLPDSIGGLNSLVVLKIDQTLITDLPHEIGALKSLEKLEMRKCGFLRSLPESIGSMRALTTIVITEADITELPESIGMLENLTVLQLNRCKHLCKLPASIGQLNSLHRLLMVETAVTELPESFGMLSCLMVLNMGKKHQKREETEEINFMLPTSFSNLSLLYELHAGHNNFYSLPASLRDLSLLKKFLLPHCKKLEALPPLPSSLEEVDVANCTALESISDISNLENLAMLNLTSCERVVDIPGLECLKSLVRLSASGCTACSSAIKKRLAKSYMRKIRNLSIPGSKIPDWFSQDVTFSERKNRVLESVIIGVVVSLNQQIPDDIREIPAIVDILAQILMLDFSIFCSALKLLGVPNTNEDQVHLCRYPIHHPLVSQLKDGYNIRVIRREPPTMKGVELKKWGIHLVYEGDDEYEGDEESLNESQQSLSEKMARFFSSFEESG >ONI36492 pep chromosome:Prunus_persica_NCBIv2:G1:47678104:47686218:-1 gene:PRUPE_1G587200 transcript:ONI36492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYQEMERFGMEKDYEDGQWIGGEFYYRKRKDKRVQTKDDVLYGIFSADSDDDDEDNEGSRKRRKDRKVVDLTKPVNFVSTGIVVPNQEMDNNSKQQNDDLGATGVATSGLGFGVATASGLGFNNLNSGLGFNSNSDPTGGEEEDEENDSNFLPTAFGKKIKEGAERRQKEREKLKLLKQTTIQSRSRRDSEESQFGLGGASGGGGDGGLGAFEKHTKGIGMRMLKNMGYKGGGLGKNQQGILAPIEAKLRPKNMGMGFNDYEETKIKRPGLQELEAEKPSKPLPAVSSSITTKKSLSWKKAVANRANKDHYVSAKELLAKKQEESTEVFVHKVVDMRGPQVRVLTNLENLNAEEKAREEDIPMPELQHNLRLILDLAELDIQKIDRDLRNERDTAISLNQEKERLATEVARQKQHLDSLGDIMNVLDRLGEENIMGALTLDSLAKDFGDLQKRYADDYKICNLSCIACSFAIPLFIRMFQGWDPLRNPSHGLNVVSSWKGLLHGEGEREQYLDIWDNTMPPYTQLVSEVVLPAVRIAGVNTWQAKDPEPMLRFLESWEKLLPSSVLHAILDMVVFPKLKDAVDLWEPHRDTVPIHVWVHPWLPLLGHKLEELYHTIRFKLSNVLGAWHPSDASAYTILSPWKKVFDSASWEQLMHRFIVPKLQLVLQDFQVNPADQRLDQFNWVMSWASAIPIHLMVDMMDKFFFTKWLQVLYHWLCSNPNFEEVLNWYKGWKELIPEELHANESIRYQLNCGLDMMNRAVEGMEVVQPGLKENISYLRVLEQRQFEAQQKAAAAQANLGGTAHMDGSGNEMSLKDVIEAHAQQHGLLFRPKPGRMHNGHQIYGFGNVSIIVDSLNQKVYAQTEESWSLVSLERLLDMHNSSLTRRR >ONI29287 pep chromosome:Prunus_persica_NCBIv2:G1:17652659:17655322:-1 gene:PRUPE_1G191200 transcript:ONI29287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKCEIMLNDLIDSKRTNGNIKATITQTSQAGSELGDNGVSMDVFDATIISSNFWPQIHDECPNVPGPVDQLLSDYAKRFNEIKTPRKLLWKKSLGTVKLELQFEDRAVQFAVAPVHAAIIMQFQNQTSWTFKNLAAAIGIPTDILNRRINFWISKGILAESFGADSEDHVFPLMEVMVDSGKKGGTNGSIEDLIVADEEGESSVASVEDQLHKEMTLYEKFILGMLTNFGSMALDRTHNILKMFCIADPPYDKTLQQLQSFLMGLVSEEKLELRDGMYFLKK >ONI34831 pep chromosome:Prunus_persica_NCBIv2:G1:41512746:41515979:-1 gene:PRUPE_1G501600 transcript:ONI34831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKEDRKMMRGVGGPLLCIGDLLSDVGETDASPLPPQQQHEPSSSSPISSSTESLDLTKLFQENYEQLNEALAGTDHSWAALTLKLCSALDTANKLVQSTNSNVMSLSEKVGELEGVVKRADSAIAAARVVHGSLNKTEGKLIGNENVK >ONI34832 pep chromosome:Prunus_persica_NCBIv2:G1:41511932:41515979:-1 gene:PRUPE_1G501600 transcript:ONI34832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKEDRKMMRGVGGPLLCIGDLLSDVGETDASPLPPQQQHEPSSSSPISSSTESLDLTKLFQENYEQLNEALAGTDHSWAALTLKLCSALDTANKLVQSTNSNVMSLSEKVGELEGVVKRADSAIAAARVVHGSLNKTEGKLIGNENVK >ONI34833 pep chromosome:Prunus_persica_NCBIv2:G1:41510068:41515979:-1 gene:PRUPE_1G501600 transcript:ONI34833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKEDRKMMRGVGGPLLCIGDLLSDVGETDASPLPPQQQHEPSSSSPISSSTESLDLTKLFQENYEQLNEALAGTDHSWAALTLKLCSALDTANKLVQSTNSNVMSLSEKVGELEGVVKRADSAIAAARVVHGSLNKTEGKLIGNENVK >ONI34830 pep chromosome:Prunus_persica_NCBIv2:G1:41512723:41515979:-1 gene:PRUPE_1G501600 transcript:ONI34830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKEDRKMMRGVGGPLLCIGDLLSDVGETDASPLPPQQQHEPSSSSPISSSTESLDLTKLFQENYEQLNEALAGTDHSWAALTLKLCSALDTANKLVQSTNSNVMSLSEKVGELEGVVKRADSAIAAARVVHGSLNKTEGKLIGNENVK >ONI26755 pep chromosome:Prunus_persica_NCBIv2:G1:3129684:3130772:-1 gene:PRUPE_1G043600 transcript:ONI26755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLESVGDFTIQEAKFLSGVSHQVEVAQTELQLMQGFLKDADARQGQDATVHIWVAKIKDAAYDLEDVIQTYGFKVASKQKSGVKNVLRRFACIFKEGVELHKIGAKIENITSKISNLRSSLQSYNIKEIRESGGESSLQLHERQQLLRRSYSHVVERDVVGLESNVEELVMHLVKDENRHQVVSIWGMGGLGKTTLAKQVYHNKKVGHHFDSFAWVGVSQRCQIRNVWEGILFKLISATKEQKQEIKEMTYDEITKKLFRVMEETRCLVILDDIWSLETWNLLKVAFPNEKTESTILLTTRYQAAALPPNRNCFLHKLQPLNENANLELFEKIAIFGRADIGIFSPLICHFSSS >ONI35749 pep chromosome:Prunus_persica_NCBIv2:G1:45158366:45159352:1 gene:PRUPE_1G552600 transcript:ONI35749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADKNIGAMVLLLVCGSILLLAINPTEAKVCNKICYGAAAYMTCPSSGSTQLDPVCNCCLAPALGCTLYESDGTPICTST >ONI26929 pep chromosome:Prunus_persica_NCBIv2:G1:3949488:3950687:1 gene:PRUPE_1G055800 transcript:ONI26929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFPLAAAEELGIPVVLFFTISACSLMGYIQFPALVEKGLAPLKDENFLHLGIADESCLTNGFLDKVIDWIPGMKGIRLRDLPHNLRTTNPNEKSWTNCLEAIGRFDKGSAVVLHSFDALEQDVLDALSSMFPLVYAIGPLPLLLNQIPEHPLKIMGYSLWNEESDCLKWLDSKAPNSVIYVNFGSLAFISPEQLVEFGWGLANSKLPFFWVPTWLLERGLIASWCPQEQVLEHSSVGGFLTHSGWNSTIESLCAGVPMLCWPCFSDQPTNCYYTCNEWGIGMEICNDVKREHVEKLVKELMEGEKGKQMKNKVMEWKKQAEEASSPYGSSSSNLDNFVHQVLLRKS >ONI31889 pep chromosome:Prunus_persica_NCBIv2:G1:31780500:31783460:1 gene:PRUPE_1G337000 transcript:ONI31889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSHLGLFVCACHVLVLGALASASSRNLPIISFDEGYNKLFGDDNLMILRDGKSVHLSLDERTGSGFVSQDLYHHGFFSASIKLPADYTAGVVVAFYMSNGDMFPKNHDEIDFEFLGNIRGKEWRIQTNVYGNGSTSTGREERYNLWFDPSDDFHQYSILWTDSQIIFYVDDVPIREVKRTESMGGDFPSKPMSLYATIWDGSDWATNGGKYRVNYKYAPYLAQFSDLVLHGCAVDPIEQLSKKCDNTRSSESVPTGVTRLQRIKMDSFRKKHMTYSYCYDRIRYKSPPPECVINPQEAERLRVFDPVTFGKGRRHHGKHHHRSRGSQAEGHFYMNI >ONI33977 pep chromosome:Prunus_persica_NCBIv2:G1:38301548:38304744:1 gene:PRUPE_1G456900 transcript:ONI33977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWEGFDEIRLLIAADTAETGNDVGQLLSLRHPKSGNSMCCLLVNGVLQELNWFKQSYTSWFLGDYVAEDGGLYTATPVDPVFILLPIFEEARMKKEDDPGKFRLVDEIIFVEGYPGYQKLLSIAENFMQVVCEIKEIGSSKFFRLDDSKVLAWMYHKVCQLKQTLSTLDNNYAAREEKDRLIDAVSILGEYLDEPWLKLLSDRLRIDLTEATRKMSDIEILPTATECNGSQEKSKSDNKTTRAGRQAKKPKVETESHNIREMFTRASSRRKK >ONI34264 pep chromosome:Prunus_persica_NCBIv2:G1:39257235:39263498:1 gene:PRUPE_1G472000 transcript:ONI34264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAPPPPSLQFLAAAATDATTAMSSRASYRGGRNQWPRASSSNRPYSGGRGPYVTGDSHIRSVRDANLEFRQGDAGAGPFPNQTGFRQHPPPPFQHNHHFRQSRPLDPNQPYRPNQQFRPPQQFQPPQQFRPRPKPLDYRNWEFAKTTPSSTCDRFTVLSYNILADSLAHEHRSKLYFHIPHHMMDWQWRKKNLIFELGLWSADIMCFQEVDKFQDIEEELKLKGYNGIWKMRTGNPVDGCAIFWRSSRFKLIHEECIEFSKLGLRDNVAQICVLESMCQTKNLAVLPRSSTGSNKVVICNIHVLYNPKRGEIKLGQVRVLLDRAHAISKIWNDAPIVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAQIYAARSYNRNYGAQPGNHFVQGTSVVDGREGGMKPSDSPLDIQNQNLNNLESSVENVPLTNNLSQPQPTNTLNWFDKSCTNVDSQKVDGAQDVEVNKESKQNDVAVESAFHVPGDGLKDDASTSYSDGGFPVAKLTDPEHAFSNVTEIGYKEKVDFISTSNHGLPSEHSDSNICAEKETMNCDIHKHPSTRDNDSIREGIGPDYVDPLQSEIPLSKLSGQTSIADAIKVSSLGNLGNLSSKPIYCVENDSRSVPEQVDISCAPTSVDLELQKNLENLSLTELDEAIPEGGNTAEDDNTFISALHNTEDAFPSDFSVSPNSALSASTNAVEDGLSPGLDSETVYVERTPYDPALWTPMEIEAATGNSECTLLEHPLKLRSTYTEVEDCAGARDSNGEPLVTSYNRCFLGTVDYIWRSQGLQTVRVLAPIPKHAMQWTSGFPTKKWGSDHIALASELAFVNSFDQS >ONI34265 pep chromosome:Prunus_persica_NCBIv2:G1:39257531:39263122:1 gene:PRUPE_1G472000 transcript:ONI34265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLQYRGGRNQWPRASSSNRPYSGGRGPYVTGDSHIRSVRDANLEFRQGDAGAGPFPNQTGFRQHPPPPFQHNHHFRQSRPLDPNQPYRPNQQFRPPQQFQPPQQFRPRPKPLDYRNWEFAKTTPSSTCDRFTVLSYNILADSLAHEHRSKLYFHIPHHMMDWQWRKKNLIFELGLWSADIMCFQEVDKFQDIEEELKLKGYNGIWKMRTGNPVDGCAIFWRSSRFKLIHEECIEFSKLGLRDNVAQICVLESMCQTKNLAVLPRSSTGSNKVVICNIHVLYNPKRGEIKLGQVRVLLDRAHAISKIWNDAPIVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAQIYAARSYNRNYGAQPGNHFVQGTSVVDGREGGMKPSDSPLDIQNQNLNNLESSVENVPLTNNLSQPQPTNTLNWFDKSCTNVDSQKVDGAQDVEVNKESKQNDVAVESAFHVPGDGLKDDASTSYSDGGFPVAKLTDPEHAFSNVTEIGYKEKVDFISTSNHGLPSEHSDSNICAEKETMNCDIHKHPSTRDNDSIREGIGPDYVDPLQSEIPLSKLSGQTSIADAIKVSSLGNLGNLSSKPIYCVENDSRSVPEQVDISCAPTSVDLELQKNLENLSLTELDEAIPEGGNTAEDDNTFISALHNTEDAFPSDFSVSPNSALSASTNAVEDGLSPGLDSETVYVERTPYDPALWTPMEIEAATGNSECTLLEHPLKLRSTYTEVEDCAGARDSNGEPLVTSYNRCFLGTVDYIWRSQGLQTVRVLAPIPKHAMQWTSGFPTKKWGSDHIALASELAFVNSFDQS >ONI34328 pep chromosome:Prunus_persica_NCBIv2:G1:39514117:39515783:1 gene:PRUPE_1G475200 transcript:ONI34328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTISELLHLEKSTNIMNAVGNGKPTDHKGKPEINALFSGYTIQVQYAGVPNSDNQLQYYKNITIFFLGKDMRLGATMNFQFPRNSNTATFLPRESAQSIPFSSNKLSEIFKHFSVKPTSVEAKTIKKTIEECEAPGIKGGERYCATSLESMVDFSTSKLRTRNVQAISTEVLEKGTAMSMHKYTTMPGLKKLAGDKVVVCHKENYPYAVFFCHAIKQTAAYVLSLKGDDGVKVKAVTICHLDTSEWNPKHLAFQILKVKPGTIPICHFLSTDAIA >ONI34327 pep chromosome:Prunus_persica_NCBIv2:G1:39514088:39517362:1 gene:PRUPE_1G475200 transcript:ONI34327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLPLIFALLSLAAVALANHAAQPAAQLYWNSVLPNTQMPRTISELLHLEKSTNIMNAVGNGKPTDHKGKPEINALFSGYTIQVQYAGVPNSDNQLQYYKNITIFFLGKDMRLGATMNFQFPRNSNTATFLPRESAQSIPFSSNKLSEIFKHFSVKPTSVEAKTIKKTIEECEAPGIKGGERYCATSLESMVDFSTSKLRTRNVQAISTEVLEKGTAMSMHKYTTMPGLKKLAGDKVVVCHKENYPYAVFFCHAIKQTAAYVLSLKGDDGVKVKAVTICHLDTSEWNPKHLAFQILKVKPGTIPICHFLSTDAIA >ONI34329 pep chromosome:Prunus_persica_NCBIv2:G1:39514829:39515611:1 gene:PRUPE_1G475200 transcript:ONI34329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVGNGKPTDHKGKPEINALFSGYTIQVQYAGVPNSDNQLQYYKNITIFFLGKDMRLGATMNFQFPRNSNTATFLPRESAQSIPFSSNKLSEIFKHFSVKPTSVEAKTIKKTIEECEAPGIKGGERYCATSLESMVDFSTSKLRTRNVQAISTEVLEKGTAMSMHKYTTMPGLKKLAGDKVVVCHKENYPYAVFFCHAIKQTAAYVLSLKGDDGVKVKAVTICHLDTSEWNPKHLAFQILKVKPGTIPICHFLSTDAIA >ONI28648 pep chromosome:Prunus_persica_NCBIv2:G1:12071046:12075300:1 gene:PRUPE_1G153100 transcript:ONI28648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDPSHQPETETMPFFEVFKKFKRYKLFEPSLGVLGFFFASVCVILCFFYLDYRAVVKGFRFPGQSERFMWLQTDRSVKDPRVEFLEQKGGGCDVFEGDWVWDESYPLYNSKDCRFLDDGFRCTENGRPDLFYTKWRWQPRHCNLPRFDAKLMLEKLRGKRLVFVGDSIGRNQWESLLCMLSSVIPNKDSIYEVNGNPITKHKGFLVFKFKDFNCTVEYYRSPFLVLQSRRPSGTLQNVRTTMKVDQMDWNSAKWRDADVLVFNTGHWWNYEKTIRAGCYFQVGAEVKMEMSVEDAYHRSMETVLHWIDTEVNSSKTQRRGLEDGGKLPLGDSA >ONI28649 pep chromosome:Prunus_persica_NCBIv2:G1:12071046:12074035:1 gene:PRUPE_1G153100 transcript:ONI28649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDPSHQPETETMPFFEVFKKFKRYKLFEPSLGVLGFFFASVCVILCFFYLDYRAVVKGFRFPGQSERFMWLQTDRSVKDPRVEFLEQKGGGCDVFEGDWVWDESYPLYNSKDCRFLDDGFRCTENGRPDLFYTKWRWQPRHCNLPRFDAKLMLEKLRGKRLVFVGDSIGRNQWESLLCMLSSVIPNKDSIYEVNGNPITKHKGFLVFKFKDFNCTVEYYRSPFLVLQSRRPSGTLQNVRTTMKVDQMDWNSAKWRDADVLVFNTGHWWNYEKTIRA >ONI28647 pep chromosome:Prunus_persica_NCBIv2:G1:12071046:12075300:1 gene:PRUPE_1G153100 transcript:ONI28647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDPSHQPETETMPFFEVFKKFKRYKLFEPSLGVLGFFFASVCVILCFFYLDYRAVVKGFRFPGQSERFMWLQTDRSVKDPRVEFLEQKGGGCDVFEGDWVWDESYPLYNSKDCRFLDDGFRCTENGRPDLFYTKWRWQPRHCNLPRFDAKLMLEKLRGKRLVFVGDSIGRNQWESLLCMLSSVIPNKDSIYEVNGNPITKHKGFLVFKFKDFNCTVEYYRSPFLVLQSRRPSGTLQNVRTTMKVDQMDWNSAKWRDADVLVFNTGHWWNYEKTIRAGCYFQVGAEVKMEMSVEDAYHRSMETVLHWIDTEVNSSKTQVFFRTYAPVHFRGGDWKTGGNCHSETLPELGSSLVPPQSWDQFRIANAVLSAHSNTSKATEIDILNVTRMTARRKDGHSSLYYLGPKVGPAPLHRQDCSHWCLPGVPDTWNELLYALFLKHEMTSKFNSSTYRAQV >ONI29765 pep chromosome:Prunus_persica_NCBIv2:G1:22692526:22693956:-1 gene:PRUPE_1G213700 transcript:ONI29765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSPPLFSTIAWPSEDLLSRDQNYFYRDSSIDQSAESFLHILPSHQPHVDLDRSTTIGGDYSGVSTMTKKLNHNASERDRRKKMNSLYSSLRSLLPVDQGVEKADRLECENLSEKLMSIYA >ONI35422 pep chromosome:Prunus_persica_NCBIv2:G1:43743195:43745952:-1 gene:PRUPE_1G535200 transcript:ONI35422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWEAFLQVLAELFLGVLSWPSFNLVYTLYASIQAIESDSHSRNQQCLSYWVMFALYKISEEALGKLFYWLPVWPYTKGAITVLLVLPYFGGASYLYKHFIRPYISENSVIWKWNILSIQRINGFNSGEDNYPDVVDKNVIRTEPQKSEGAVIFKGTPASSSEKEGREYTSPSSPKKIQREWTCALCLISTTSGKCLKKHLRGKKHETQVAALRTYKQGPISGYKSSLKLKRTDGMIFNLNQMARANGKIFNLNQMARANGKIFNLNQIARANLEKWSGILSPVARPIRMCIWKKPELGWTKLNTDGSVDRENAGYGGLLRDYKGDPICAFVSKALGDDIFLVELWAIWRGLVLALSLGIKVIWVESDSESVVQTINRDRPYSQKASSCLKHIWELLNKFDKHQVSHSWRETNRAADHLSKMVLLGSDVVFWPVDFPDSLHNIIKEDAEGRIYFRC >ONI35421 pep chromosome:Prunus_persica_NCBIv2:G1:43742873:43746278:-1 gene:PRUPE_1G535200 transcript:ONI35421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWEAFLQVLAELFLGVLSWPSFNLVYTLLPVWPYTKGAITVLLVLPYFGGASYLYKHFIRPYISENSVIWKWNILSIQRINGFNSGEDNYPDVVDKNVIRTEPQKSEGAVIFKGTPASSSEKEGREYTSPSSPKKIQREWTCALCLISTTSGKCLKKHLRGKKHETQVAALRTYKQGPISGYKSSLKLKRTDGMIFNLNQMARANGKIFNLNQMARANGKIFNLNQIARANLEKWSGILSPVARPIRMCIWKKPELGWTKLNTDGSVDRENAGYGGLLRDYKGDPICAFVSKALGDDIFLVELWAIWRGLVLALSLGIKVIWVESDSESVVQTINRDRPYSQKASSCLKHIWELLNKFDKHQVSHSWRETNRAADHLSKMVLLGSDVVFWPVDFPDSLHNIIKEDAEGRIYFRC >ONI27331 pep chromosome:Prunus_persica_NCBIv2:G1:5898667:5900182:1 gene:PRUPE_1G080600 transcript:ONI27331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYNTTKHLLSLTALVFVAGALLKNVTAQNCGCAPDLCCSQYGYCGSSEDYCGPGCQQGPCTGGGGGTPTTPSPSGGGGSSVADIVTQEFFNGIINQAPSDCVGKNFYTRDGFINAVNSYSDLGRRGSVDESKREIAAFFAHATHETGYFCFIEEDEATRETACQPSTEYPCNPDKKYYGRGPFQITWNYNYGPAGKSIGLDLLNSPETVASDAAVAFKTAIWYWVGNVRSVLSQGFGATTRAINGAKECDGKRPDLVQARAALYQSYCSQLNVDPGANLSC >ONI27780 pep chromosome:Prunus_persica_NCBIv2:G1:8419873:8425888:1 gene:PRUPE_1G104500 transcript:ONI27780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTTEALGNFSVFRETSVSMAATNYLRSPVRIRPMSRSILSSKNLLWNYGMKCQCSSSTSSSSSGVDEKSVFSLTSSSKYEVDYLGEKTKGDLNVKVEHLEAFGIDSQATLKGPIEEVARVEAEEAEDLLRDLGIPTPFSSRQSPRGIFCSRTLNLRSISAIGYDMDYTLMHYNVIAWEGRAYDYCMENLKKVGFPVDGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTKMLSNRAVSEMYGRELVDLRKESRWEFLNTLFSVSEAVAYMQMVDRLDDGTIAAQLGPLDYKGLYKAVGRALFRAHVEGQLKSEIMSKPELFVTPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMQHSFNRFLPNDMGWRDLFDIVIVSARKPEFFQMSHPMYEVVTGEGLMRPCFKAKTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEFSALIHSRGHRASLVELINQKEVIGDLFNQLRLASQRRTKGRPAQTLAATNLDDQELSESMQKLLIVMQRLDQKIAPMLEADGELFNKRWGFLSRAGFWDKSHLMRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSYSYYCSRFNGSAVDDEVDFML >ONI27781 pep chromosome:Prunus_persica_NCBIv2:G1:8419873:8425888:1 gene:PRUPE_1G104500 transcript:ONI27781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTTEALGNFSVFRETSVSMAATNYLRSPVRIRPMSRSILSSKNLLWNYGMKCQCSSSTSSSSSGVDEKSVFSLTSSSKYEVDYLGEKTKGDLNVKVEHLEAFGIDSQATLKGPIEEVARVEAEEAEDLLRDLGIPTPFSSRQSPRGIFCSRTLNLRSISAIGYDMDYTLMHYNVIAWEGRAYDYCMENLKKVGFPVDGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTKMLSNRAVSEMYGRELVDLRKESRWEFLNTLFSVSEAVAYMQMVDRLDDGTIAAQLGPLDYKGLYKAVGRALFRAHVEGQLKSEIMSKPELFVTPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMQHSFNRFLPNDMGWRDLFDIVIVSARKPEFFQMSHPMYEVVTGEGLMRPCFKAKTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEFSALIHSRGHRASLVELINQKEVIGDLFNQLRLASQRRTKGRPAQTLAATNLDDQELSESMQKLLIVMQRLDQKIAPMLEADGELFNKRYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSYSYYCSRFNGSAVDDEVDFML >ONI35716 pep chromosome:Prunus_persica_NCBIv2:G1:45055424:45059662:-1 gene:PRUPE_1G551000 transcript:ONI35716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSFSRFIPRGLSLSQIQRFIPKSWKRGTTQFEKPSAPSIFETGSAVDDSMIDFLLISIKNYASEGNLSKAFKAFSLLKLHGSSATSCDLILHPISSLLLSCANHKSFPQGEQLHAHIVRLGFERHPILVSKLVTLYSSFNLHVDAHIVIENSNILHPLPWNVLISSYVKNELLDEALSTYKQMVNKGVRPDSFTYPSVLKACGEKLDIGFGREVHKSINASCQDWNLFVHNSLVSMYGRFGLVDVARHLFDKMPTRDEISWNAMISGYASKGMWTEAFELFGSMRMEGLGVDILTWNTIAGGCLRTGNFKGALELLSQMRICGILLDSVALIIGLSACSHIGVIKLGKEIHGSAIRSCWDGYDNVKHALITMYSRCKDLRQAYALFQLIEDRSIITWNSMLSGYSRMDRAEEASFLFREMLCSGIEPNYITIASILPLCARVANLQHGKEFHCYITKRVVFDDYLLLWNALVDMYARSGKILEAKRVFDSMSKRDEVTYTSMIAGYGVQGEGKAALKLFEEMNMLHIKPDHVTMVSILSACSHSRLVIQGQMLFEKMMSVYGITPRLEHYACMVDLYGRAGLLNKAKEIITRMPYSPTSAMWATLLGACRIHGNIDIGEWAAEKLLEMRPENSGYYVLIANMYAAAGCWNKLARVRTFMRDLGVRKAPGCAWVDVGDGFSLFLVGNTTNELRNDIYLLLDGLTELMKDADPVVNEDFSSGYDVV >ONI35715 pep chromosome:Prunus_persica_NCBIv2:G1:45055494:45059076:-1 gene:PRUPE_1G551000 transcript:ONI35715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSFSRFIPRGLSLSQIQRFIPKSWKRGTTQFEKPSAPSIFETGSAVDDSMIDFLLISIKNYASEGNLSKAFKAFSLLKLHGSSATSCDLILHPISSLLLSCANHKSFPQGEQLHAHIVRLGFERHPILVSKLVTLYSSFNLHVDAHIVIENSNILHPLPWNVLISSYVKNELLDEALSTYKQMVNKGVRPDSFTYPSVLKACGEKLDIGFGREVHKSINASCQDWNLFVHNSLVSMYGRFGLVDVARHLFDKMPTRDEISWNAMISGYASKGMWTEAFELFGSMRMEGLGVDILTWNTIAGGCLRTGNFKGALELLSQMRICGILLDSVALIIGLSACSHIGVIKLGKEIHGSAIRSCWDGYDNVKHALITMYSRCKDLRQAYALFQLIEDRSIITWNSMLSGYSRMDRAEEASFLFREMLCSGIEPNYITIASILPLCARVANLQHGKEFHCYITKRVVFDDYLLLWNALVDMYARSGKILEAKRVFDSMSKRDEVTYTSMIAGYGVQGEGKAALKLFEEMNMLHIKPDHVTMVSILSACSHSRLVIQGQMLFEKMMSVYGITPRLEHYACMVDLYGRAGLLNKAKEIITRMPYSPTSAMWATLLGACRIHGNIDIGEWAAEKLLEMRPENSGYYVLIANMYAAAGCWNKLARVRTFMRDLGVRKAPGCAWVDVGDGFSLFLVGNTTNELRNDIYLLLDGLTELMKDADPVVNEDFSSGYDVV >ONI35714 pep chromosome:Prunus_persica_NCBIv2:G1:45055508:45059034:-1 gene:PRUPE_1G551000 transcript:ONI35714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSFSRFIPRGLSLSQIQRFIPKSWKRGTTQFEKPSAPSIFETGSAVDDSMIDFLLISIKNYASEGNLSKAFKAFSLLKLHGSSATSCDLILHPISSLLLSCANHKSFPQGEQLHAHIVRLGFERHPILVSKLVTLYSSFNLHVDAHIVIENSNILHPLPWNVLISSYVKNELLDEALSTYKQMVNKGVRPDSFTYPSVLKACGEKLDIGFGREVHKSINASCQDWNLFVHNSLVSMYGRFGLVDVARHLFDKMPTRDEISWNAMISGYASKGMWTEAFELFGSMRMEGLGVDILTWNTIAGGCLRTGNFKGALELLSQMRICGILLDSVALIIGLSACSHIGVIKLGKEIHGSAIRSCWDGYDNVKHALITMYSRCKDLRQAYALFQLIEDRSIITWNSMLSGYSRMDRAEEASFLFREMLCSGIEPNYITIASILPLCARVANLQHGKEFHCYITKRVVFDDYLLLWNALVDMYARSGKILEAKRVFDSMSKRDEVTYTSMIAGYGVQGEGKAALKLFEEMNMLHIKPDHVTMVSILSACSHSRLVIQGQMLFEKMMSVYGITPRLEHYACMVDLYGRAGLLNKAKEIITRMPYSPTSAMWATLLGACRIHGNIDIGEWAAEKLLEMRPENSGYYVLIANMYAAAGCWNKLARVRTFMRDLGVRKAPGCAWVDVGDGFSLFLVGNTTNELRNDIYLLLDGLTELMKDADPVVNEDFSSGYDVV >ONI26289 pep chromosome:Prunus_persica_NCBIv2:G1:1104461:1108760:1 gene:PRUPE_1G015300 transcript:ONI26289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDIYDESDGSTPRPKENGCSLKPVSPDESGEGLPYAPVNWPNPGDTWRWKVGKRVSGAGHYLDRYLYLPKSLRNAGLTTRKKYGFASKLAVERYIQTAFPGANINEFFASFSWRIPAQKSSLANGTARLKHVPCIPPSEESDSQSDTVCCKAGNKMCNSLLEQSENPPLAVMECDICCTEPKFCRYCCCILCCKTINSSDYIRCEAMMDESCICGHAAHIDCALRCYMAGTVGGSIGLDSEYYCRRCDARTDLVSHVMRILHTCESLDSRDDIEKILNLGVCILRGSQKTSANELLKRIEVAIAKLKCGTCLEDIWKEEDNISAISMDVSHHGNTALEVTTCQESIGVRTSSEVMSTSFHYRTESQKLEVEIDQVLQALRKSQESEFRMAEDSLHAQKNYLCNLYQQLEKEKSDLVRCTSPDPDALLSTVLSRVKQIKGEVKKLKDMEEVANGFGKTSRAILKEHFSLEIEE >ONI26288 pep chromosome:Prunus_persica_NCBIv2:G1:1104460:1108760:1 gene:PRUPE_1G015300 transcript:ONI26288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDIYDESDGSTPRPKENGCSLKPVSPDESGEGLPYAPVNWPNPGDTWRWKVGKRVSGAGHYLDRYLYLPKSLRNAGLTTRKKYGFASKLAVERYIQTAFPGANINEFFASFSWRIPAQKSSLANGTARLKHVPCIPPSEESDSQSDTVCCKAGNKMCNSLLEQSENPPLAVMECDICCTEPKFCRYCCCILCCKTINSSDYIRCEAMMDESCICGHAAHIDCALRCYMAGTVGGSIGLDSEYYCRRCDARTDLVSHVMRILHTCESLDSRDDIEKILNLGVCILRGSQKTSANELLKRIEVAIAKLKCGTCLEDIWKEEDNISAISMDVSHHGNTALEVTTCQESIGVRTSSEVMSTSFHYRTESQKLEVEIDQVLQALRKSQESEFRMAEDSLHAQKNYLCNLYQQLEKEKSDLVRCTSPDPDALLSTVLSRVKQIKGEVKKLKDMEEVANGFGKTSRAILKEHFSLEIEE >ONI26287 pep chromosome:Prunus_persica_NCBIv2:G1:1104462:1108760:1 gene:PRUPE_1G015300 transcript:ONI26287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDIYDESDGSTPRPKENGCSLKPVSPDESGEGLPYAPVNWPNPGDTWRWKVGKRVSGAGHYLDRYLYLPKSLRNAGLTTRKKYGFASKLAVERYIQTAFPGANINEFFASFSWRIPAQKSSLANGTARLKHVPCIPPSEESDSQSDTVCCKAGNKMCNSLLEQSENPPLAVMECDICCTEPKFCRYCCCILCCKTINSSDYIRCEAMMDESCICGHAAHIDCALRCYMAGTVGGSIGLDSEYYCRRCDARTDLVSHVMRILHTCESLDSRDDIEKILNLGVCILRGSQKTSANELLKRIEVAIAKLKCGTCLEDIWKEEDNISAISMDVSHHGNTALEVTTCQESIGVRTSSEVMSTSFHYRTESQKLEVEIDQVLQALRKSQESEFRMAEDSLHAQKNYLCNLYQQLEKEKSDLVRCTSPDPDALLSTVLSRVKQIKGEVKKLKDMEEVANGFGKTSRAILKEHFSLEIEE >ONI26290 pep chromosome:Prunus_persica_NCBIv2:G1:1105751:1107957:1 gene:PRUPE_1G015300 transcript:ONI26290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRASLLLNGISKLHFLVQTSMNFLHHSPGGSQHKSPHWQMVSRNQCTARLKHVPCIPPSEESDSQSDTVCCKAGNKMCNSLLEQSENPPLAVMECDICCTEPKFCRYCCCILCCKTINSSDYIRCEAMMDESCICGHAAHIDCALRCYMAGTVGGSIGLDSEYYCRRCDARTDLVSHVMRILHTCESLDSRDDIEKILNLGVCILRGSQKTSANELLKRIEVAIAKLKCGTCLEDIWKEEDNISAISMDVSHHGNTALEVTTCQESIGVRTSSEVMSTSFHYRTESQKLEVEIDQVLQALRKSQESEFRMAEDSLHAQKNYLCNLYQQLEKEKSDLVRCTSPDPDALLSTVLSRVKQIKGEVKKLKDMEEVANGFGKTSRAILKEHFSLEIEE >ONI30313 pep chromosome:Prunus_persica_NCBIv2:G1:25741462:25745673:1 gene:PRUPE_1G243700 transcript:ONI30313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKKQPLLSPRDEFGDADRDQLHDQLESFPATAHPSFISNASFTPDADDIPPILSFRDFFREFYKETKKLWYLAGPAIFTSLCQYSLGAITQVFAGQVGTLELAAVSIENSVIAGFSFGVMLGMGSALETLCGQAFGAGQLDMLGIYMQRSWVILNTTGVLLCFLYIFAQQLLRLIGQTAEISKAAGVFAIWMIPQLFAYAMNFPIAKFLQAQSKIMVMAAIAAVALVLHTVFSWLLMLKLGWALVGGAVVLNVSWWFIVLAQLIYIFSGTCGRAWVGFSWKAFQNLWSFVKLSLASAIMLCLEVWYFMALILFAGYLKNAEVSVDGLSICMNILGWTVMIAMGMNAAISVRVSNELGAAHPRTAKFSLVVAVVTSFFIGVLLSLILIIFKDEYPALFSSDSEVKALVKQLTPLLATCIVINNIQPVLSGVAIGAGWQAAVAYVNVACYYVIGVPLGLIMGYKLDWGVKGIWIGMLMGTVLQTCVLFVMIYKTNWNKEASIAEDRIRKWGGHIDTDDKQNNGGNKVEI >ONI26237 pep chromosome:Prunus_persica_NCBIv2:G1:903541:906949:1 gene:PRUPE_1G011800 transcript:ONI26237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSPEGLRLDGRRPMEMRQIRAEIGVVAKAAGSALFEMGNTKVIAAVYGPREVQNRSQQLNDSALVRCEYTMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACIMTHLMPRSQIDIFVQVLQADGGTRSACINAASLALADAGIPMRDLVTSCSAGYLNSTPLLDLNYIEDSAGGADVTLGILPKLDKVTLLQMDAKLSVETFENVMQLAIEGCKAVATYIREVLLENTKQLEYRRGI >ONI27161 pep chromosome:Prunus_persica_NCBIv2:G1:5055585:5057067:-1 gene:PRUPE_1G071400 transcript:ONI27161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDLVGFSRMDDRTAMEEAASAGLQSMDHLIRVLSNQNPSQTQTQTPLDCREITDFTVSKFKQLISVLNRTGHARFRRGPANQSSTPVQPKPQTTSSAFSVPKSNNDDSLTLSPPISTTSSFLSSITIGDGSVSNGKAVSSILAPPAPAFSAGKPPLSQSHRKRCHDNDFAAKTSSSGRCHCSKRRKTKVRRTIRVPAISSKIADIPSDEFSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAQDDPTMLVVTYEGEHRHRHPSITAGSVGLVFQ >ONI36428 pep chromosome:Prunus_persica_NCBIv2:G1:47449342:47452315:1 gene:PRUPE_1G584500 transcript:ONI36428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHGFHTWQWHRRWHQGRIRKQTCGVDVPCCFTLSIYSFPCCLFKLQARRAI >ONI36442 pep chromosome:Prunus_persica_NCBIv2:G1:47539750:47543357:-1 gene:PRUPE_1G585600 transcript:ONI36442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYFPRRSFHVSRTLNWKLRDEYKLTRPELQDRISNLLVLQRYDALDKLKLSFEFSDQLLNTILRKLKLNPVACLSFFKLASKQQKYRPNLKSYCIIVHILSRARMYDQTRAYLNELVRLCNNNYSASVVWDELVRVYREFTFSPTVFDMILKVFAEKGMTKYALHVFDNMGKCGRSPSLRSCNSLLSNLVRNGQSHTALLVYEQIIRFGMVPDVYTCSIMVTAYCKEGRLSRALEFVKEMESSGCELNVVTYNSLIDGYVSLGDVKGAQLVLGLMSERGIMRNVVSYTLLIKGYCKQCKMEEAEKVLRGMKVEESGVVDERAYGVLLDGYCKACRMDDAIRIQDEMLSTGLNMNIFLCNSLINGHCKVGQVREAEGVLLRMRYWNLKPDSYSYNTLMDGYCRKGQTSEALKLFHDMLQEGINHTVVTYNTLLKGLCQSGAFDDALHLWHLMLKRGLAPNEVSYCSMLGWFVKKDDLDRAITVFKEILAKGFTKSRVAFNTMINGLCKMGKLVEAEEIFDKMKELGCLPDEMTYRTLSNGYCKVGNVEEAFKVKSLMERQAIGPSIEMYNSLINGAFMSRKLSKVMDLLAEMQTRGLSPNIVTYGSLITGWCNEGMLGKAFSSYCEMIDKGFITNLIICSKVVGTLYRLGRIDEANILLKKLVDFDLFSDCLSSSKLCKVGNRHQEIQKISDSLDESAKSFSLPNHVVYNIAILGLCRSGKVADARKFLSKLLISGFSPDNFTYCTLIHATAAAGNVNEAFNLRDEMLKRDLVPNIATYNALINGLSKSGNLDRAQRLFHKLYRKGLAPNAVTYNILIDGYCRIGNTVEAFKFKDKMVQEGISLSIITYSTLINGLYKQGNMEESVKLLSQMIKVGVQHDLVNHILQFDSRH >ONI26055 pep chromosome:Prunus_persica_NCBIv2:G1:214954:216394:-1 gene:PRUPE_1G001800 transcript:ONI26055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVAGMVVSNKMQKSVVVAVDRLFHHKLCNRYVKRTSKFMAHDEQNHCNIGDRVRLEPSRPLSKRKHWVVAEIITKARIYEPILPLPPSPYSTS >ONI26056 pep chromosome:Prunus_persica_NCBIv2:G1:214929:216490:-1 gene:PRUPE_1G001800 transcript:ONI26056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVAGMVVSNKMQKSVVVAVDRLFHHKLCNRYVKRTSKFMAHDEQNHCNIGDRVRLEPSRPLSKRKHWVVAEIITKARIYEPILPLPPSPYSTS >ONI26054 pep chromosome:Prunus_persica_NCBIv2:G1:214782:216495:-1 gene:PRUPE_1G001800 transcript:ONI26054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVAGMVVSNKMQKSVVVAVDRLFHHKLCNRYVKRTSKFMAHDEQNHCNIGDRVRLEPSRPLSKRKHWVVAEIITKARIYEPILPLPPSPYSTS >ONI28962 pep chromosome:Prunus_persica_NCBIv2:G1:14353139:14360849:1 gene:PRUPE_1G172100 transcript:ONI28962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMANTDPEGIDGVRMTWNVWPRTKVEASKCVIPLAACISPIRAHPDIPTLPYAPLRCKTCSAALNPFSRVDFTAKIWICPFCYQRNHFPPHYAAISETNLPGELYPQYTTLQYALPADSAAPQSLPSPVFVFVLDTCMIEEEMGYVKSALKRAIGLLPDNALVGFLSYGTQVQVHELGFSDLSKVYVFRGSKEISKEQVLEQLGLGVLGRRPGPVGGGYQPKGVQNGFPNAGVTRFLLPASDCEYTLNTLLDELQSDQWPVPPGNRSSRCTGVALSVAAGLLGACVPGTGARIVALVGGPCTEGPGAIVSKDLSEPVRSHKDLDKDAAPYFKKAIKFYDGLAKQLVSQGHVLDVFASALDQVGVAEMKVAIEKTGGLVVLAESFGHSVFKDSFKRVFEEGEQSLGLCFNGTLEINCSKDIKIQGVIGPCTSLEKKGPAVADTAIGEGNTTAWKMCGLDKSTCLTVFFDLSSSDQSNTPGAVNPQLYLQFLTSFQNPEGQMMLRVTTITRRWIDSTVSSEELVQGFDQETAAVVMARKTALKMETEESFDATRWLDRGLIRLCSKFGDYRKDDPSSFTLNPCFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASIAADRILLLDSYFSIVIFHGMTIAQWRNMGYQNQPEHQAFAQLLQAPHDDTQSIIRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNAHEMAAGSDVIFTDDVSLQVFFEHLQRLAVQS >ONI28963 pep chromosome:Prunus_persica_NCBIv2:G1:14353138:14360849:1 gene:PRUPE_1G172100 transcript:ONI28963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMANTDPEGIDGVRMTWNVWPRTKVEASKCVIPLAACISPIRAHPDIPTLPYAPLRCKTCSAALNPFSRVDFTAKIWICPFCYQRNHFPPHYAAISETNLPGELYPQYTTLQYALPADSAAPQSLPSPVFVFVLDTCMIEEEMGYVKSALKRAIGLLPDNALVGFLSYGTQVQVHELGFSDLSKVYVFRGSKEISKEQVLEQLGLGVLGRRPGPVGGGYQPKGVQNGFPNAGVTRFLLPASDCEYTLNTLLDELQSDQWPVPPGNRSSRCTGVALSVAAGLLGACVPGTGARIVALVGGPCTEGPGAIVSKDLSEPVRSHKDLDKDAAPYFKKAIKFYDGLAKQLVSQGHVLDVFASALDQVGVAEMKVAIEKTGGLVVLAESFGHSVFKDSFKRVFEEGEQSLGLCFNGTLEINCSKDIKIQGVIGPCTSLEKKGPAVADTAIGEGNTTAWKMCGLDKSTCLTVFFDLSSSDQSNTPGAVNPQLYLQFLTSFQNPEGQMMLRVTTITRRWIDSTVSSEELVQGFDQETAAVVMARKTALKMETEESFDATRWLDRGLIRLCSKFGDYRKDDPSSFTLNPCFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASIAADRILLLDSYFSIVIFHGMTIAQWRNMGYQNQPEHQAFAQLLQAPHDDTQSIIRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNAHEMAAGSDVIFTDDVSLQVFFEHLQRLAVQS >ONI27757 pep chromosome:Prunus_persica_NCBIv2:G1:8271443:8274996:-1 gene:PRUPE_1G103700 transcript:ONI27757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGTPPSSTKSESKVSGNMSQPTPPSYLRASASSKAKESPSPRPSRAKSVSPDVNCDNSRSIRRSLLLNKPKSGELVLGSQKSKELEETKAVGRPGNRQVAEQFARPRPQRPADPNSKRNEEDPHVKNRELQERLDMSESLTMNFQAEVLALKAELDKAQGLNVELQSQNKNLTEKLAAAEAKIAAFTTREQRETNGEYQSPKFKDLQKLIANKLERPVVKKEAVKEKSANKTPAPAPTGAIPRVAATQSGPPPPPPPPPSVRSPTPPPPPPQPSVRTTTSATQKAPSLVEFFHSLRKQEVKRDSPESRNHHKPSAISAHNSIVGEIQNRSAHLLAIKADVQTKGEFINDLIQKVLVAAYTDIEDVLKFVDWLDGELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLQSEISSYKDDTDIPCAAALKKMAGLLDKSERSIQRLIKLRNSVMRSYQELKIPIDWMLDSGIVSKIKKASMNLANVYMKRVTMELESIRNSDRETSQESLLLQGVHFVYRAHQFAGGLDSETLCAFEEIRQRVPGHLGGSQELLAGIASS >ONI27755 pep chromosome:Prunus_persica_NCBIv2:G1:8270755:8275499:-1 gene:PRUPE_1G103700 transcript:ONI27755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGTPPSSTKSESKVSGNMSQPTPPSYLRASASSKAKESPSPRPSRAKSVSPDVNCDNSRSIRRSLLLNKPKSGELVLGSQKSKELEETKAVGRPGNRQVAEQFARPRPQRPADPNSKRNEEDPHVKNRELQERLDMSESLTMNFQAEVLALKAELDKAQGLNVELQSQNKNLTEKLAAAEAKIAAFTTREQRETNGEYQSPKFKDLQKLIANKLERPVVKKEAVKEKSANKTPAPAPTGAIPRVAATQSGPPPPPPPPPSVRSPTPPPPPPQPSVRTTTSATQKAPSLVEFFHSLRKQEVKRDSPESRNHHKPSAISAHNSIVGEIQNRSAHLLAIKADVQTKGEFINDLIQKVLVAAYTDIEDVLKFVDWLDGELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLQSEISSYKDDTDIPCAAALKKMAGLLDKSERSIQRLIKLRNSVMRSYQELKIPIDWMLDSGIVSKIKKASMNLANVYMKRVTMELESIRNSDRETSQESLLLQGVHFVYRAHQFAGGLDSETLCAFEEIRQRVPGHLGGSQELLAGIASS >ONI27756 pep chromosome:Prunus_persica_NCBIv2:G1:8270755:8275551:-1 gene:PRUPE_1G103700 transcript:ONI27756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGTPPSSTKSESKVSGNMSQPTPPSYLRASASSKAKESPSPRPSRAKSVSPDVNCDNSRSIRRSLLLNKPKSGELVLGSQKSKELEETKAVGRPGNRQVAEQFARPRPQRPADPNSKRNEEDPHVKNRELQERLDMSESLTMNFQAEVLALKAELDKAQGLNVELQSQNKNLTEKLAAAEAKIAAFTTREQRETNGEYQSPKFKDLQKLIANKLERPVVKKEAVKEKSANKTPAPAPTGAIPRVAATQSGPPPPPPPPPSVRSPTPPPPPPQPSVRTTTSATQKAPSLVEFFHSLRKQEVKRDSPESRNHHKPSAISAHNSIVGEIQNRSAHLLAIKADVQTKGEFINDLIQKVLVAAYTDIEDVLKFVDWLDGELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLQSEISSYKDDTDIPCAAALKKMAGLLDKSERSIQRLIKLRNSVMRSYQELKIPIDWMLDSGIVSKIKKASMNLANVYMKRVTMELESIRNSDRETSQESLLLQGVHFVYRAHQFAGGLDSETLCAFEEIRQRVPGHLGGSQELLAGIASS >ONI27753 pep chromosome:Prunus_persica_NCBIv2:G1:8270741:8277530:-1 gene:PRUPE_1G103700 transcript:ONI27753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGTPPSSTKSESKVSGNMSQPTPPSYLRASASSKAKESPSPRPSRAKSVSPDVNCDNSRSIRRSLLLNKPKSGELVLGSQKSKELEETKAVGRPGNRQVAEQFARPRPQRPADPNSKRNEEDPHVKNRELQERLDMSESLTMNFQAEVLALKAELDKAQGLNVELQSQNKNLTEKLAAAEAKIAAFTTREQRETNGEYQSPKFKDLQKLIANKLERPVVKKEAVKEKSANKTPAPAPTGAIPRVAATQSGPPPPPPPPPSVRSPTPPPPPPQPSVRTTTSATQKAPSLVEFFHSLRKQEVKRDSPESRNHHKPSAISAHNSIVGEIQNRSAHLLAIKADVQTKGEFINDLIQKVLVAAYTDIEDVLKFVDWLDGELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLQSEISSYKDDTDIPCAAALKKMAGLLDKSERSIQRLIKLRNSVMRSYQELKIPIDWMLDSGIVSKIKKASMNLANVYMKRVTMELESIRNSDRETSQESLLLQGVHFVYRAHQFAGGLDSETLCAFEEIRQRVPGHLGGSQELLAGIASS >ONI27754 pep chromosome:Prunus_persica_NCBIv2:G1:8270755:8277523:-1 gene:PRUPE_1G103700 transcript:ONI27754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGTPPSSTKSESKVSGNMSQPTPPSYLRASASSKAKESPSPRPSRAKSVSPDVNCDNSRSIRRSLLLNKPKSGELVLGSQKSKELEETKAVGRPGNRQVAEQFARPRPQRPADPNSKRNEEDPHVKNRELQERLDMSESLTMNFQAEVLALKAELDKAQGLNVELQSQNKNLTEKLAAAEAKIAAFTTREQRETNGEYQSPKFKDLQKLIANKLERPVVKKEAVKEKSANKTPAPAPTGAIPRVAATQSGPPPPPPPPPSVRSPTPPPPPPQPSVRTTTSATQKAPSLVEFFHSLRKQEVKRDSPESRNHHKPSAISAHNSIVGEIQNRSAHLLAIKADVQTKGEFINDLIQKVLVAAYTDIEDVLKFVDWLDGELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLQSEISSYKDDTDIPCAAALKKMAGLLDKSERSIQRLIKLRNSVMRSYQELKIPIDWMLDSGIVSKIKKASMNLANVYMKRVTMELESIRNSDRETSQESLLLQGVHFVYRAHQFAGGLDSETLCAFEEIRQRVPGHLGGSQELLAGIASS >ONI27752 pep chromosome:Prunus_persica_NCBIv2:G1:8270755:8275542:-1 gene:PRUPE_1G103700 transcript:ONI27752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGTPPSSTKSESKVSGNMSQPTPPSYLRASASSKAKESPSPRPSRAKSVSPDVNCDNSRSIRRSLLLNKPKSGELVLGSQKSKELEETKAVGRPGNRQVAEQFARPRPQRPADPNSKRNEEDPHVKNRELQERLDMSESLTMNFQAEVLALKAELDKAQGLNVELQSQNKNLTEKLAAAEAKIAAFTTREQIKADVQTKGEFINDLIQKVLVAAYTDIEDVLKFVDWLDGELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLQSEISSYKDDTDIPCAAALKKMAGLLDKSERSIQRLIKLRNSVMRSYQELKIPIDWMLDSGIVSKIKKASMNLANVYMKRVTMELESIRNSDRETSQESLLLQGVHFVYRAHQFAGGLDSETLCAFEEIRQRVPGHLGGSQELLAGIASS >ONI27758 pep chromosome:Prunus_persica_NCBIv2:G1:8270755:8275479:-1 gene:PRUPE_1G103700 transcript:ONI27758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGTPPSSTKSESKVSGNMSQPTPPSYLRASASSKAKESPSPRPSRAKSVSPDVNCDNSRSIRRSLLLNKPKSGELVLGSQKSKELEETKAVGRPGNRQVAEQFARPRPQRPADPNSKRNEEDPHVKNRELQERLDMSESLTMNFQAEVLALKAELDKAQGLNVELQSQNKNLTEKLAAAEAKIAAFTTREQRETNGEYQSPKFKDLQKLIANKLERPVVKKEAVKEKSANKTPAPAPTGAIPRVAATQSGPPPPPPPPPSVRSPTPPPPPPQPSVRTTTSATQKAPSLVEFFHSLRKQEVKRDSPESRNHHKPSAISAHNSIVGEIQNRSAHLLAIKADVQTKGEFINDLIQKVLVAAYTDIEDVLKFVDWLDGELSSLADERAVLKHFKWPERKADAMREAAIEYRDLKLLQSEISSYKDDTDIPCAAALKKMAGLLDK >ONI30052 pep chromosome:Prunus_persica_NCBIv2:G1:24158499:24161722:1 gene:PRUPE_1G228600 transcript:ONI30052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFVSENKRFKEPNMCLSNLVMQDTPIRSKSYRSLTPLAVDDFDIPILPGLPDDIAKHCLALVPRSNFPVMSGVCKKWRHFIQSKELITVRQLAGVLEEWLYVLTTDTQGNPSHWEVLDCLGCKHHILPSMPGPMRSGFGVVVLSGKLLVIGGYSVTSGTSVASEDVYQYDSCLNRWGKLASLNVARHDFACAEVGGMIYAVGGFGIDGSSLSSAEVYNPDTDTWTLIESLRRPRYGCFACGFEGRLYVMGGRSSFTIGNSKFVDVYDPEKHTWCEMKNGCVMVTAHAVLEKKLFCLEWKNQRKLSIYNPEDNSWEMVQIPLTGSTSIKFRFGILGEKLLLFSLEEEPGYRTLLYDPNATPGSEWQTSEVKPSGPCFCCVTIKV >ONI31468 pep chromosome:Prunus_persica_NCBIv2:G1:30429099:30431570:-1 gene:PRUPE_1G315000 transcript:ONI31468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNLCILILMFLLLTEASFALDFYYQNCSVPVSCGRQNISYPFYIQGRQESFCGYPGFQLSCHGDGEDAYPLLQLSGNNYTIHNIDYQRKSLLVSNSLLSGYLESTACNDDISSLHNLTLPNDQFELASNQADFFLLYNCNSSVVESFPKYKVGCFDQPTNKTRSDTSVLALPRDEYPNFGSDKCRGEVVVAHARGGYENGEVGLIQEVLSRGFELNWFASDCSRCQDSGGRCGFNYTTYHFRCLCPRRTHSVRCRDDEVAAVAAIVALGAIVCCLFWNNRRKNRQIVEAFLRSYEALQVRRYRYLDVKKMTNSFKEKLGQGGYGSVYKGKLNNGCLVAVKVLNKLKGNGEEFMNEVAAISRTSHVNIVSLLGFCFEGSKRALIYEFMPNGSLEKFIFDANTPNKNHHLGWEALDRIALGIARGLEYLHRGCNARILHFDIKPHNILLDENFTPKVSDFGLAKICNMKESIVSMACARGTAGYIAPEVFCRNFGGVSHKSDVYSYGMMLSEMVGGRRNINAEAEDTSEIYFPHWIYKRLELDEELGLPSIMNEEDKVRARKMIIVSLWCIQTDPSNRPAMKQVIDMLEGSVDCLQIPPKPYLSSPPKSAVGSSTATLVSTQ >ONI31469 pep chromosome:Prunus_persica_NCBIv2:G1:30429040:30431624:-1 gene:PRUPE_1G315000 transcript:ONI31469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNLCILILMFLLLTEASFALDFYYQNCSVPVSCGRQNISYPFYIQGRQESFCGYPGFQLSCHGDGEDAYPLLQLSGNNYTIHNIDYQRKSLLVSNSLLSGYLESTACNDDISSLHNLTLPNDQFELASNQADFFLLYNCNSSVVESFPKYKVGCFDQPTNKTRSDTSVLALPRDEYPNFGSDKCRGEVVVAHARGGYENGEVGLIQEVLSRGFELNWFASDCSRCQDSGGRCGFNYTTYHFRCLCPRRTHSVRCRDDEGNNLRRFKIGLVAAVAAIVALGAIVCCLFWNNRRKNRQIVEAFLRSYEALQVRRYRYLDVKKMTNSFKEKLGQGGYGSVYKGKLNNGCLVAVKVLNKLKGNGEEFMNEVAAISRTSHVNIVSLLGFCFEGSKRALIYEFMPNGSLEKFIFDANTPNKNHHLGWEALDRIALGIARGLEYLHRGCNARILHFDIKPHNILLDENFTPKVSDFGLAKICNMKESIVSMACARGTAGYIAPEVFCRNFGGVSHKSDVYSYGMMLSEMVGGRRNINAEAEDTSEIYFPHWIYKRLELDEELGLPSIMNEEDKVRARKMIIVSLWCIQTDPSNRPAMKQVIDMLEGSVDCLQIPPKPYLSSPPKSAVGSSTATLVSTQ >ONI32922 pep chromosome:Prunus_persica_NCBIv2:G1:35059328:35059810:-1 gene:PRUPE_1G394000 transcript:ONI32922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNEDWRKTADTTKMSAEEVKRAGVEASKRPPGHNPGGVLHQRRRLPFSPTAMAITGFLITGVIGYFTLYHLKKPEASAGDVARVATNVAEPEHTHPRK >ONI35913 pep chromosome:Prunus_persica_NCBIv2:G1:45737460:45740233:1 gene:PRUPE_1G560200 transcript:ONI35913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSKFRRALGAVKDQTSIGLAKVGSSTSLADLDVAIVKASRHEEYPTEEKYIREILSLTCYSRAYISACVNTISRRLNKTKNWIVALKTLMLVQRLLSEGDPAYEQEIFFSTRRGTRFLNMSDFRDASTSNSWDYSAFVRTYALYLDERLEFRMQSRRGKRSAFGIDEEEDPQEPPHNYANQQSCPRATPVHEMKAEKLFSRMQHVQQLLERFLACRPTGEAKTNRVVIVALFPIVKESFQIYYDITEIMGVLIDRFMELEIHDCAKVFEIFCRIGKQFDELDTFYSWCKTIGIARSSEYPEVEKITPKKLEVMEEYIRDKSALAQGRKSVKALLEQQKIEEIDQETNRDEEEEDMNAMKALPPPESFVEESNNKEIEPVKEEETKKPQQEEGDLLNLGDDAVSSEEHADKLALALFNGATAEAKTRLPAWEAFADEADWETALVQSASHLSHQKASLGGGFDVLLLDGMYQQAEISAAMAGPGSGVSGSASSVALGSAGRPAMLALPAPPSSSDHHDSSKSNVHVDPFAASLVVPPPSYVQMSDLEKKQKLLVEEQFMWQQYARDGMQGQLGLTKLQHNPHNINMGGYTHTY >ONI33529 pep chromosome:Prunus_persica_NCBIv2:G1:36924030:36926124:1 gene:PRUPE_1G430900 transcript:ONI33529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARGHWLFVPISSLLFPFLIVMETRHTLRSLCSGSDSSNSSKWVYAVFWRILPRNYPPPKWDYGGSALDRSKGNKRNWILVWEDGFCNFYECEQAESGYIRGRFGADIFFKMSHEVYNYGEGLVGKVAADNSHKWVFRDTPNEGDPSFISSWNVTTEPQPRAWEFQFKSGIQTIALISVREGIIQLGSFDKILEDLNLVITIQRKISHLQSIPGVFAMQRPYLPIQHPYILKPNNQMIGGQETALSVYGKRQLTGVKRLFNEMADESQINLGWNNPQNGLAGSPHWPIPPLPPSISCSLGALLSKLPSVNFPSYNAAEAPDTAMLMNNNISNNNGSCADQTLKASGGIMKIESSCHLDVAQEEKHSSMNPNLGLENGGGVDLGFGPVRKGKT >ONI33530 pep chromosome:Prunus_persica_NCBIv2:G1:36924193:36925885:1 gene:PRUPE_1G430900 transcript:ONI33530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLPMLNCLLQHTLRSLCSGSDSSNSSKWVYAVFWRILPRNYPPPKWDYGGSALDRSKGNKRNWILVWEDGFCNFYECEQAESGYIRGRFGADIFFKMSHEVYNYGEGLVGKVAADNSHKWVFRDTPNEGDPSFISSWNVTTEPQPRAWEFQFKSGIQTIALISVREGIIQLGSFDKILEDLNLVITIQRKISHLQSIPGVFAMQRPYLPIQHPYILKPNNQMIGGQETALSVYGKRQLTGVKRLFNEMADESQINLGWNNPQNGLAGSPHWPIPPLPPSISCSLGALLSKLPSVNFPSYNAAEAPDTAMLMNNNISNNNGSCADQTLKASGGIMKIESSCHLDVAQEEKHSSMNPNLGLENGGGVDLGFGPVRKGKT >ONI33531 pep chromosome:Prunus_persica_NCBIv2:G1:36924030:36926124:1 gene:PRUPE_1G430900 transcript:ONI33531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLPMLNCLLQHTLRSLCSGSDSSNSSKWVYAVFWRILPRNYPPPKWDYGGSALDRSKGNKRNWILVWEDGFCNFYECEQAESGYIRGRFGADIFFKMSHEVYNYGEGLVGKVAADNSHKWVFRDTPNEGDPSFISSWNVTTEPTIALISVREGIIQLGSFDKILEDLNLVITIQRKISHLQSIPGVFAMQRPYLPIQHPYILKPNNQMIGGQETALSVYGKRQLTGVKRLFNEMADESQINLGWNNPQNGLAGSPHWPIPPLPPSISCSLGALLSKLPSVNFPSYNAAEAPDTAMLMNNNISNNNGSCADQTLKASGGIMKIESSCHLDVAQEEKHSSMNPNLGLENGGGVDLGFGPVRKGKT >ONI35181 pep chromosome:Prunus_persica_NCBIv2:G1:42726207:42729017:1 gene:PRUPE_1G521000 transcript:ONI35181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQHQPTSCKTEDHHHHYLQNPSSSGSPHATNLPTPTCCKCGAPTPMAAPPPSFSDISPPPNYRPIRAPAINLPPNPNSQQAIILAPVPQPLSVPQISPPYHFQAPSKIIQSPDDLRRFHDSFPGKHFLGFVAALSDSIRAKKISDPCHESPTIATIVSILQTLIQWVDEIPPTKQAARYGNVSFRSWQERLSQTSDSLMLQFLPEHLHASTIEIVPYFTDSFGNPSRIDYGTGHETNFAAWLYCLARMGVIKEEDYPAVVARVFVKYLDLMRKLQLVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIGHKYMKPKSIHNDDIVENFSKEYLYISGIEFIKKVKKGPFSEHSPLLDDISGVPNWNKVNSGLLKMYKAEVLEKVPIMQHFLFGWLIKWE >ONI35180 pep chromosome:Prunus_persica_NCBIv2:G1:42726089:42729209:1 gene:PRUPE_1G521000 transcript:ONI35180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQHQPTSCKTEDHHHHYLQNPSSSGSPHATNLPTPTCCKCGAPTPMAAPPPSFSDISPPPNYRPIRAPAINLPPNPNSQQAIILAPVPQPLSVPQISPPYHFQAPSKIIQSPDDLRRFHDSFPGKHFLGFVAALSDSIRAKKISDPCHESPTIATIVSILQTLIQWVDEIPPTKQAARYGNVSFRSWQERLSQTSDSLMLQFLPEHLHASTIEIVPYFTDSFGNPSRIDYGTGHETNFAAWLYCLARMGVIKEEDYPAVVARVFVKYLDLMRKLQLVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIGHKYMKPKSIHNDDIVENFSKEYLYISGIEFIKKVKKGPFSEHSPLLDDISGVPNWNKVNSGLLKMYKAEVLEKVPIMQHFLFGWLIKWE >ONI27536 pep chromosome:Prunus_persica_NCBIv2:G1:7099347:7100308:1 gene:PRUPE_1G093100 transcript:ONI27536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGFSKRWSLILRCELERKREKEQKERHQPFNEPFDIFLRLELESWRRKTRSSQARRRRRFPPLKTLSFHYFHKICKLFLVIF >ONI30873 pep chromosome:Prunus_persica_NCBIv2:G1:28275838:28279375:1 gene:PRUPE_1G278600 transcript:ONI30873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFKKKMVPEWAEAYMDYNGLKRILRELREYKQRTHSRDLLDHKIAAAAGAPPPNRIISGIELQISSLQNTNGDIEDQVIDVNTLHGDGCRQFYKTKFLRQSEEGGEIEVTFFRKLDEELNKVNTFYKDKVEEVKQEANHLDKQMEALVALRIKVKNPKQYGSNSKRHRNSAVPLTSNKSSAADASGNEPMGLTSENEQHQVEPSPGASEVNPTQIKNPGSDDREVLNIFDYREDPLEVLEHVKIKNTLESPISTIKGVFKDSREEELSFGKEELKRVEERLRAVFIQFYHKLQLLKHYSFMNLSAFSKIMKKYEKITSRGAARSYMLIVDNSYLGSSDEVTNLLERAEATFIQNFSNSNRREGMKSLRPKAKREKHAVTFFSGFFCGCSIALMVAIILRIEARKLMDKEEGAQYMENIFPLYSLFGYITLHMLMYAADIYFWRRYRVNYAFIFGFKKGTELGYQEVFLLSSGLAVLALGGLLANLHLDMDSSAEKYKTLTELVPLGLLILVLAITFCPFNIIYRSSCFFFIRCLFRCICAPLYQETFPDFFLADQLTSQVQALRSFVLYICYYGLGEYSRRQSKCHSHGVYNTLYFIIAVIPFWMRFLQCIRRFCEEKDVKHMCNGLKYFSTIVAVIIRTAYELKKGKTSWMVLALICSAVATTMNMYWDIVVDWGLLRTKSKNKYLRDRLLVSHKIIYFAAMVMQVTDEFYSNVVLCNSFCY >ONI30870 pep chromosome:Prunus_persica_NCBIv2:G1:28275732:28280239:1 gene:PRUPE_1G278600 transcript:ONI30870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFKKKMVPEWAEAYMDYNGLKRILRELREYKQRTHSRDLLDHKIAAAAGAPPPNRIISGIELQISSLQNTNGDIEDQVIDVNTLHGDGCRQFYKTKFLRQSEEGGEIEVTFFRKLDEELNKVNTFYKDKVEEVKQEANHLDKQMEALVALRIKVKNPKQYGSNSKRHRNSAVPLTSNKSSAADASGNEPMGLTSENEQHQVEPSPGASEVNPTQIKNPGSDDREVLNIFDYREDPLEVLEHVKIKNTLESPISTIKGVFKDSREEELSFGKEELKRVEERLRAVFIQFYHKLQLLKHYSFMNLSAFSKIMKKYEKITSRGAARSYMLIVDNSYLGSSDEVTNLLERAEATFIQNFSNSNRREGMKSLRPKAKREKHAVTFFSGFFCGCSIALMVAIILRIEARKLMDKEEGAQYMENIFPLYSLFGYITLHMLMYAADIYFWRRYRVNYAFIFGFKKGTELGYQEVFLLSSGLAVLALGGLLANLHLDMDSSAEKYKTLTELVPLGLLILVLAITFCPFNIIYRSSCFFFIRCLFRCICAPLYQETFPDFFLADQLTSQVQALRSFVLYICYYGLGEYSRRQSKCHSHGVYNTLYFIIAVIPFWMRFLQCIRRFCEEKDVKHMCNGLKYFSTIVAVIIRTAYELKKGKTSWMVLALICSAVATTMNMYWDIVVDWGLLRTKSKNKYLRDRLLVSHKIIYFAAMVLNVVLRLAWMQLVLEFKLSTVHKMTISTIIFFLEVIRRGIWSFFRLENEHLNNVGDYRAFKSVPLPFSYYDEDAEKDD >ONI30872 pep chromosome:Prunus_persica_NCBIv2:G1:28275732:28280239:1 gene:PRUPE_1G278600 transcript:ONI30872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFKKKMVPEWAEAYMDYNGLKRILRELREYKQRTHSRDLLDHKIAAAAGAPPPNRIISGIELQISSLQNTNGDIEDQVIDVNTLHGDGCRQFYKTKFLRQSEEGGEIEVTFFRKLDEELNKVNTFYKDKVEEVKQEANHLDKQMEALVALRIKVKNPKQYGSNSKRHRNSAVPLTSNKSSAADASGNEPMGLTSENEQHQVEPSPGASEVNPTQIKNPGSDDREVLNIFDYREDPLEVLEHVKIKNTLESPISTIKGVFKDSREEELSFGKEELKRVEERLRAVFIQFYHKLQLLKHYSFMNLSAFSKIMKKYEKITSRGAARSYMLIVDNSYLGSSDEVTNLLERAEATFIQNFSNSNRREGMKSLRPKAKREKHAVTFFSGFFCGCSIALMVAIILRIEARKLMDKEEGAQYMENIFPLYSLFGYITLHMLMYAADIYFWRRYRVNYAFIFGFKKGTELGYQEVFLLSSGLAVLALGGLLANLHLDMDSSAEKYKTLTELVPLGLLILVLAITFCPFNIIYRSSCFFFIRCLFRCICAPLYQETFPDFFLADQLTSQCIRRFCEEKDVKHMCNGLKYFSTIVAVIIRTAYELKKGKTSWMVLALICSAVATTMNMYWDIVVDWGLLRTKSKNKYLRDRLLVSHKIIYFAAMVLNVVLRLAWMQLVLEFKLSTVHKMTISTIIFFLEVIRRGIWSFFRLENEHLNNVGDYRAFKSVPLPFSYYDEDAEKDD >ONI30874 pep chromosome:Prunus_persica_NCBIv2:G1:28275732:28280239:1 gene:PRUPE_1G278600 transcript:ONI30874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFKKKMVPEWAEAYMDYNGLKRILRELREYKQRTHSRDLLDHKIAAAAGAPPPNRIISGIELQISSLQNTNGDIEDQVIDVNTLHGDGCRQFYKTKFLRQSEEGGEIEVTFFRKLDEELNKVNTFYKDKVEEVKQEANHLDKQMEALVALRIKVKNPKQYGSNSKRHRNSAVPLTSNKSSAADASGNEPMGLTSENEQHQVEPSPGASEVNPTQIKNPGSDDREVLNIFDYREDPLEVLEHVKIKNTLESPISTIKGVFKDSREEELSFGKEELKRVEERLRAVFIQFYHKLQLLKHYSFMNLSAFSKIMKKYEKITSRGAARSYMLIVDNSYLGSSDEVTNLLERAEATFIQNFSNSNRREGMKSLRPKAKREKHAVTFFSGFFCGCSIALMVAIILRIEARKLMDKEEGAQYMENIFPLYSLFGYITLHMLMYAADIYFWRRYRVNYAFIFGFKKGTELGYQEVFLLSSGLAVLALGGLLANLHLDMDSSAEKYKTLTELVPLGLLILVLAITFCPFNIIYRSSCFFFIRCLFRCICAPLYQETFPDFFLADQLTSQCIRRFCEEKDVKHMCNGLKYFSTIVAVIIRTAYELKKGKTSWMVLALICSAVATTMNMYWDIVVDWGLLRTKSKNKYLRDRLLVSHKIIYFAAMVMQVTDEFYSNVVLCNSFCY >ONI30871 pep chromosome:Prunus_persica_NCBIv2:G1:28275332:28280239:1 gene:PRUPE_1G278600 transcript:ONI30871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFKKKMVPEWAEAYMDYNGLKRILRELREYKQRTHSRDLLDHKIAAAAGAPPPNRIISGIELQISSLQNTNGDIEDQVIDVNTLHGDGCRQFYKTKFLRQSEEGGEIEVTFFRKLDEELNKVNTFYKDKVEEVKQEANHLDKQMEALVALRIKVKNPKQYGSNSKRHRNSAVPLTSNKSSAADASGNEPMGLTSENEQHQVEPSPGASEVNPTQIKNPGSDDREVLNIFDYREDPLEVLEHVKIKNTLESPISTIKGVFKDSREEELSFGKEELKRVEERLRAVFIQFYHKLQLLKHYSFMNLSAFSKIMKKYEKITSRGAARSYMLIVDNSYLGSSDEVTNLLERAEATFIQNFSNSNRREGMKSLRPKAKREKHAVTFFSGFFCGCSIALMVAIILRIEARKLMDKEEGAQYMENIFPLYSLFGYITLHMLMYAADIYFWRRYRVNYAFIFGFKKGTELGYQEVFLLSSGLAVLALGGLLANLHLDMDSSAEKYKTLTELVPLGLLILVLAITFCPFNIIYRSSCFFFIRCLFRCICAPLYQETFPDFFLADQLTSQVQALRSFVLYICYYGLGEYSRRQSKCHSHGVYNTLYFIIAVIPFWMRFLQCIRRFCEEKDVKHMCNGLKYFSTIVAVIIRTAYELKKGKTSWMVLALICSAVATTMNMYWDIVVDWGLLRTKSKNKYLRDRLLVSHKIIYFAAMVLNVVLRLAWMQLVLEFKLSTVHKMTISTIIFFLEVIRRGIWSFFRLENEHLNNVGDYRAFKSVPLPFSYYDEDAEKDD >ONI27546 pep chromosome:Prunus_persica_NCBIv2:G1:7212936:7216720:-1 gene:PRUPE_1G093800 transcript:ONI27546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRIGVVLFLLYLSVFYPQSKVQSFATPSDHDLSYMKFVYNSTDLPLEEVYDYIVVGGGTAGCPLAATLSAKYSVLLLEKGSVPSAYPSVLHQDEILTTLMLEDDGKTPAERFTSEDGVANVRGRVLGGSSMLNFGFYTRADKDFYGNSGIEWDMDLVRKAYQWVENTIITPPNVSRWQSVVKEGLLEAGVRPDNGYNLNHILGTKVSGTLFDNQGWRHGAVELLNLGNPKNLRVAVHATVERIIFSSNAPSLSARGIVYSDSKGRSHKAFIRGKGEVILSAGAIGSTQLLLLSGVGPESYLSSIKIPVVHPEPYVGQFMSDNPRNYITILPPFQLESSSVQIVGITRDYFIETFSGLPFSTPPFSIFPDPSFSAKINSTYGQIFYKDPGPLSYGSLRLQSSYDVKVGPNVRFNYFSNPLDLARCVSATRKIGDLLSTNSLKPFKVQDLPGTDGFNFFGPPLPKNLTDTASVETFCRETVATFWHYHGGCLVGKVVDGDLRVKGINALRVVDGSTFKFSPGTNPQATVMMLGRYTGVKMLKER >ONI27545 pep chromosome:Prunus_persica_NCBIv2:G1:7213209:7216720:-1 gene:PRUPE_1G093800 transcript:ONI27545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRIGVVLFLLYLSVFYPQSKVQSFATPSDHDLSYMKFVYNSTDLPLEEVYDYIVVGGGTAGCPLAATLSAKYSVLLLEKGSVPSAYPSVLHQDEILTTLMLEDDGKTPAERFTSEDGVANVRGRVLGGSSMLNFGFYTRADKDFYGNSGIEWDMDLVRKAYQWVENTIITPPNVSRWQSVVKEGLLEAGVRPDNGYNLNHILGTKVSGTLFDNQGWRHGAVELLNLGNPKNLRVAVHATVERIIFSSNAPSLSARGIVYSDSKGRSHKAFIRGKGEVILSAGAIGSTQLLLLSGVGPESYLSSIKIPVVHPEPYVGQFMSDNPRNYITILPPFQLESSSVQIVGITRDYFIETFSGLPFSTPPFSIFPDPSFSAKINSTYGQIFYKDPGPLSYGSLRLQSSYDVKVGPNVRFNYFSNPLDLARCVSATRKIGDLLSTNSLKPFKVQDLPGTDGFNFFGPPLPKNLTDTASVETFCRETVATFWHYHGGCLVGKVVDGDLRVKGINALRVVDGSTFKFSPGTNPQATVMMLGRYTGVKMLKER >ONI33118 pep chromosome:Prunus_persica_NCBIv2:G1:35703533:35705136:1 gene:PRUPE_1G406600 transcript:ONI33118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQTLVCVKQVKQEEPEEWDESMPLPGDIIEGFYNSESSDTIADEFFVHTKAKSELTSQLAKFSHVEVIWVKVRRGESTLKLQTRVVAERISMLQRRYTIRAATDDRHVAILGDLTSDQCSELQELSRSLVNVEYRGYNKRGVKYDWKMKVGTYLPDQRCTIVSSILFMPLPGEKCTEATTARCMAWFSAAVASGVPLVFVNIQTEQIVSSEKNNLIGKDMSWGRQQNYTTTTTTVQIVQGIRLWFMPGAAEMLVDLIPQPGEARFGLEIKRTDEGLICIHSVAKGSAADRCGLGSLHEEAYASGRQLVMSRLEGKSLMPSHVSSAGLIHCCDHTEIKETLASAIDQMESVQLHLMAWSNHLLPIAPKAVGATT >ONI28798 pep chromosome:Prunus_persica_NCBIv2:G1:12978062:12978451:-1 gene:PRUPE_1G162000 transcript:ONI28798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPCTPPRQFSIEDSLCAKEIVDQDGKSSLLSPVKAFDSVVLAIYEGFCNLKQVHFSQIFVLLFVGILLSFCIFSYILWLFA >ONI30655 pep chromosome:Prunus_persica_NCBIv2:G1:27332436:27333128:1 gene:PRUPE_1G264800 transcript:ONI30655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSLISLLHFLVVVSVHERHCCSSGRGGEEGGNQASGPVLLEEAFEKTSKGKDFFSGDKIGYLDIAFGCFLGWLRVTEKMNGVKLLDETKTPGLPKV >ONI30741 pep chromosome:Prunus_persica_NCBIv2:G1:27807660:27807992:1 gene:PRUPE_1G270900 transcript:ONI30741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRLSAWLVKHGLVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASVYHLTRIEYGIDQPEEVCIKVFAPRRNP >ONI29376 pep chromosome:Prunus_persica_NCBIv2:G1:18521336:18523492:-1 gene:PRUPE_1G195600 transcript:ONI29376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGRQFLDSDGGSDDETFESFHEHNYSVEDDMPLQHCNFVNDGLISMEPSTGMSFQSLDDARDFYYEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTLRKDRVLPPRPITREGCKAMIRLAARDEGRWIVTKFIREHNHKLMAHCKFPGELPIINILSEVEKDKKIQDLYDELQRERERSAAFQQQLCMILKDLEEHAEYISVRVEDIVKSMKEIELRDL >ONI29379 pep chromosome:Prunus_persica_NCBIv2:G1:18520893:18524069:-1 gene:PRUPE_1G195600 transcript:ONI29379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGRQFLDSDGGSDDETFESFHEHNYSVEDDMPLQHCNFVNDGLISMEPSTGMSFQSLDDARDFYYEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTLRKDRVLPPRPITREGCKAMIRLAARDEGRWIVTKFIREHNHKLMAHCKFPGELPIINILSEVEKDKKIQDLYDELQRERERSAAFQQQLCMILKDLEEHAEYISVRVEDIVKSMKEIELRDL >ONI29380 pep chromosome:Prunus_persica_NCBIv2:G1:18521336:18523492:-1 gene:PRUPE_1G195600 transcript:ONI29380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGRQFLDSDGGSDDETFESFHEHNYSVEDDMPLQHCNFVNDGLISMEPSTGMSFQSLDDARDFYYEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTLRKDRVLPPRPITREGCKAMIRLAARDEGRWIVTKFIREHNHKLMAHCKFPGELPIINILSEVEKDKKIQDLYDELQRERERSAAFQQQLCMILKDLEEHAEYISVRVEDIVKSMKEIELRDL >ONI29374 pep chromosome:Prunus_persica_NCBIv2:G1:18520127:18524032:-1 gene:PRUPE_1G195600 transcript:ONI29374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGRQFLDSDGGSDDETFESFHEHNYSVEDDMPLQHCNFVNDGLISMEPSTGMSFQSLDDARDFYYEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTLRKDRVLPPRPITREGCKAMIRLAARDEGRWIVTKFIREHNHKLMAHCKFPGELPIINILSEVEKDKKIQDLYDELQRERERSAAFQQQLCMILKDLEEHAEYISE >ONI29378 pep chromosome:Prunus_persica_NCBIv2:G1:18521336:18523492:-1 gene:PRUPE_1G195600 transcript:ONI29378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGRQFLDSDGGSDDETFESFHEHNYSVEDDMPLQHCNFVNDGLISMEPSTGMSFQSLDDARDFYYEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTLRKDRVLPPRPITREGCKAMIRLAARDEGRWIVTKFIREHNHKLMAHCKFPGELPIINILSEVEKDKKIQDLYDELQRERERSAAFQQQLCMILKDLEEHAEYISVRVEDIVKSMKEIELRDL >ONI29377 pep chromosome:Prunus_persica_NCBIv2:G1:18521336:18523492:-1 gene:PRUPE_1G195600 transcript:ONI29377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGRQFLDSDGGSDDETFESFHEHNYSVEDDMPLQHCNFVNDGLISMEPSTGMSFQSLDDARDFYYEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTLRKDRVLPPRPITREGCKAMIRLAARDEGRWIVTKFIREHNHKLMAHCKFPGELPIINILSEVEKDKKIQDLYDELQRERERSAAFQQQLCMILKDLEEHAEYISVRVEDIVKSMKEIELRDL >ONI29372 pep chromosome:Prunus_persica_NCBIv2:G1:18520086:18524068:-1 gene:PRUPE_1G195600 transcript:ONI29372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGRQFLDSDGGSDDETFESFHEHNYSVEDDMPLQHCNFVNDGLISMEPSTGMSFQSLDDARDFYYEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTLRKDRVLPPRPITREGCKAMIRLAARDEGRWIVTKFIREHNHKLMAHCKFPGELPIINILSEVEKDKKIQDLYDELQRERERSAAFQQQLCMILKDLEEHAEYISE >ONI29381 pep chromosome:Prunus_persica_NCBIv2:G1:18522815:18524032:-1 gene:PRUPE_1G195600 transcript:ONI29381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGRQFLDSDGGSDDETFESFHEHNYSVEDDMPLQHCNFVNDGLISMEPSTGMSFQSLDDARDFYYEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTLRKDRVLPPRPITREGCKAMIRLAARDEGRWIVTKFIREHNHKLMAHCKFPGELPIINILSEGFV >ONI29373 pep chromosome:Prunus_persica_NCBIv2:G1:18520112:18524069:-1 gene:PRUPE_1G195600 transcript:ONI29373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGRQFLDSDGGSDDETFESFHEHNYSVEDDMPLQHCNFVNDGLISMEPSTGMSFQSLDDARDFYYEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTLRKDRVLPPRPITREGCKAMIRLAARDEGRWIVTKFIREHNHKLMAHCKFPGELPIINILSEVEKDKKIQDLYDELQRERERSAAFQQQLCMILKDLEEHAEYISE >ONI29375 pep chromosome:Prunus_persica_NCBIv2:G1:18520127:18524032:-1 gene:PRUPE_1G195600 transcript:ONI29375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGRQFLDSDGGSDDETFESFHEHNYSVEDDMPLQHCNFVNDGLISMEPSTGMSFQSLDDARDFYYEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTLRKDRVLPPRPITREGCKAMIRLAARDEGRWIVTKFIREHNHKLMAHCKFPGELPIINILSEVEKDKKIQDLYDELQRERERSAAFQQQLCMILKDLEEHAEYISVRVEDIVKSMKEIELRDL >ONI36482 pep chromosome:Prunus_persica_NCBIv2:G1:47643879:47648454:-1 gene:PRUPE_1G587000 transcript:ONI36482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPHPVPRTVEEVFSDFRGRRAGLIKALTSDVQKFYQECDPEKENLCLYGLPNEAWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQPKDQSAPHNNSSKNKSSGKVSRQSELPQSKGVKMSPLPKEEEESGEEEEEDDEQGATCGACGDNYGADEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSSKRARV >ONI36480 pep chromosome:Prunus_persica_NCBIv2:G1:47642762:47648785:-1 gene:PRUPE_1G587000 transcript:ONI36480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPHPVPRTVEEVFSDFRGRRAGLIKALTSDVQKFYQECDPEKENLCLYGLPNEAWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQPKDQSAPHNNSSKNKSSGKSRQSELPQSKGVKMSPLPKEEEESGEEEEEDDEQGATCGACGDNYGADEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSSKRARV >ONI36479 pep chromosome:Prunus_persica_NCBIv2:G1:47643879:47648454:-1 gene:PRUPE_1G587000 transcript:ONI36479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPHPVPRTVEEVFSDFRGRRAGLIKALTSEKENLCLYGLPNEAWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQPKDQSAPHNNSSKNKSSGKVQSRQSELPQSKGVKMSPLPKEEEESGEEEEEDDEQGATCGACGDNYGADEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSSKRARV >ONI36483 pep chromosome:Prunus_persica_NCBIv2:G1:47642762:47648785:-1 gene:PRUPE_1G587000 transcript:ONI36483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPHPVPRTVEEVFSDFRGRRAGLIKALTSDVQKFYQECDPEKENLCLYGLPNEAWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQPKDQSAPHNNSSKNKSSGKVSRQSELPQSKGVKMSPLPKEEEESGEEEEEDDEQGATCGACGDNYGADEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSSKRARV >ONI36481 pep chromosome:Prunus_persica_NCBIv2:G1:47643879:47648454:-1 gene:PRUPE_1G587000 transcript:ONI36481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPHPVPRTVEEVFSDFRGRRAGLIKALTSDVQKFYQECDPEKENLCLYGLPNEAWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQPKDQSAPHNNSSKNKSSGKVSRQSELPQSKGVKMSPLPKEEEESGEEEEEDDEQGATCGACGDNYGADEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSSKRARV >ONI36478 pep chromosome:Prunus_persica_NCBIv2:G1:47642762:47648785:-1 gene:PRUPE_1G587000 transcript:ONI36478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPHPVPRTVEEVFSDFRGRRAGLIKALTSEKENLCLYGLPNEAWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQPKDQSAPHNNSSKNKSSGKVSRQSELPQSKGVKMSPLPKEEEESGEEEEEDDEQGATCGACGDNYGADEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSSKRARV >ONI36487 pep chromosome:Prunus_persica_NCBIv2:G1:47642762:47648788:-1 gene:PRUPE_1G587000 transcript:ONI36487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPHPVPRTVEEVFSDFRGRRAGLIKALTSDVQKFYQECDPEKENLCLYGLPNEAWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQPKDQSAPHNNSSKNKSSGKVQSRQSELPQSKGVKMSPLPKEEEESGEEEEEDDEQGATCGACGDNYGADEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSSKRARV >ONI36486 pep chromosome:Prunus_persica_NCBIv2:G1:47643879:47648454:-1 gene:PRUPE_1G587000 transcript:ONI36486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPHPVPRTVEEVFSDFRGRRAGLIKALTSDVQKFYQECDPEKENLCLYGLPNEAWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQPKDQSAPHNNSSKNKSSGKVQSRQSELPQSKGVKMSPLPKEEEESGEEEEEDDEQGATCGACGDNYGADEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSSKRARV >ONI36485 pep chromosome:Prunus_persica_NCBIv2:G1:47642762:47648768:-1 gene:PRUPE_1G587000 transcript:ONI36485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPHPVPRTVEEVFSDFRGRRAGLIKALTSDVQKFYQECDPEKENLCLYGLPNEAWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQPKDQSAPHNNSSKNKSSGKVSRQSELPQSKGVKMSPLPKEEEESGEEEEEDDEQGATCGACGDNYGADEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSSKRARV >ONI36484 pep chromosome:Prunus_persica_NCBIv2:G1:47642762:47648785:-1 gene:PRUPE_1G587000 transcript:ONI36484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPHPVPRTVEEVFSDFRGRRAGLIKALTSDVQKFYQECDPEKENLCLYGLPNEAWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQPKDQSAPHNNSSKNKSSGKVSRQSELPQSKGVKMSPLPKEEEESGEEEEEDDEQGATCGACGDNYGADEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSSKRARV >ONI36008 pep chromosome:Prunus_persica_NCBIv2:G1:46107827:46112005:1 gene:PRUPE_1G564500 transcript:ONI36008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRANPNPTPNGTANGEHRPRGPPPRPPPSSSNPHNSNHHPYYPTTSSSSSSSASFKGCCCCLFLLFSFLALLVLAVVLVIILAVKPKKPQFDLQQVGVQYMGINSPNPTPAAAATADPNQNPTSASLSLSIRMLFSAVNPNKVGIRYGESRFTVMYRGIPLGKASVPGFFQDAHTVRQVVATISVDRVNLLQADAADLIRDASLNDRVELRVLGDVGAKIRVLNFDSPGVQVSVDCAIVISPRKQSLTYKQCGFDGLSV >ONI36009 pep chromosome:Prunus_persica_NCBIv2:G1:46108029:46111913:1 gene:PRUPE_1G564500 transcript:ONI36009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRANPNPTPNGTANGEHRPRGPPPRPPPSSSNPHNSNHHPYYPTTSSSSSSSASFKGCCCCLFLLFSFLALLVLAVVLVIILAVKPKKPQFDLQQVGVQYMGINSPNPTPAAAATADPNQNPTSASLSLSIRMLFSAVNPNKVGIRYGESRFTVMYRGIPLGKASVPGFFQDAHTVRQVVATISVDRVNLLQADAADLIRDASLNDRVELRVLGDVGAKIRVLNFDSPGVQHIEKGAREGNGHGRKLGFYNNGRGVRGLCNCYKSKEAVSYLQAVWI >ONI32172 pep chromosome:Prunus_persica_NCBIv2:G1:32752944:32755788:1 gene:PRUPE_1G352300 transcript:ONI32172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAIATGATAQGGFILPYNNSSRTEESHCHSWKKKTVYPNPNSYLPKPPRLLLLVCATANGTNTSTNDLGLGGGGGAKGSGTTARGRRLLKIREEKRKREHDRLHNYPAWAKVLEDACKDDAELRAVLGDSIGNPELMRKRVEERVRKKGRDFSKSKTGSVLAFKVTFRDFNPLDSYIWFEFYGSPSDRDVELFGSVIQSWYVMGRLGAFNSTNLQLANSSMEYNPLYDVDKGFKVMPSSFHDISDVEFQDNWARVWVDLGTADYFAMDVLLNCLTVLSSEYLGIQQIVFGGHRIGDWEEGMTNTEDGYKYFKI >ONI29692 pep chromosome:Prunus_persica_NCBIv2:G1:22299044:22300262:1 gene:PRUPE_1G208900 transcript:ONI29692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCHCRYEREERERERERERENHILPNPFCQNYSYATMENYVVVALSSRWPMLAFIKAGQNTWTYPNPNGDFGDVIFYKSKVYALETMGDIESLDVFSSDNNPSQSPQLKPHTPFRPFQPYCFHAYLVESTKGDLLHILRFYALSDSRFNYGAGRQTTHFVIYNWVFDDEDAGSIGHNVEVKSIGDEALFVGDNHSISILASNFPGCQPNSIYYADDYISNYPSLEGDEAYDMGIFNLEDGTITQHYSLHSNSQRAIWVSPECQSKSSYFCPYLY >ONI31760 pep chromosome:Prunus_persica_NCBIv2:G1:31271762:31272845:-1 gene:PRUPE_1G329300 transcript:ONI31760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNILGLLRLRIKRGINLAVRDTKTSDPYVVVTMGSQKLKTKVIKKNCNPQWNEELTLSITNLEENIHLEVYDKDTLTVDDKMGDADIDIKPYVECLKMGLDVLPKGCAVKKVQPNRTNCLSSESCCVWENGKIVQDMILKLNNVECGEIVLQIEWIHLPGSKGLAGV >ONI31148 pep chromosome:Prunus_persica_NCBIv2:G1:29247741:29249755:-1 gene:PRUPE_1G295800 transcript:ONI31148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKAREEEKLSLTVNQQEKKTMTTDSKQTKNPRKCKQPINEESPLLPNQRGCDEQAVQEFNGASFAGAVFNLSTTIIGAGIMALPATMKVLGLGVGIGMIIFVAILTQASVEMLLRFSKVGKVVSFGGVMGDAFGKAGKVVFQLCILVNNLGTLIVYTIIIGDVLSGTSSTGVHHAGVLEGWFGEHWWNGRTFVLLVTTIFVFAPLASLKRIDSLRYTSALAVALAVVFLVITAGIVVIKFFYGGISMPRFLPNVSNIASVWNLFTVVPVLVTAFICHFNVHTIGNELEDSSLIQPIVQTSLALCSSVYILTSLFGFLLFGDSTHDDVLANFDTNLGIPYSYLLNDIVRISYALHLMLVFPVIFYPLRLNLDDLVFPSSRPLVSDNCRFALSTIGLISVVFVGANFIPSIWDVFQFSGATATVCIGFIFPAAIALR >ONI31147 pep chromosome:Prunus_persica_NCBIv2:G1:29247289:29249755:-1 gene:PRUPE_1G295800 transcript:ONI31147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKAREEEKLSLTVNQQEKKTMTTDSKQTKNPRKCKQPINEESPLLPNQRGCDEQAVQEFNGASFAGAVFNLSTTIIGAGIMALPATMKVLGLGVGIGMIIFVAILTQASVEMLLRFSKVGKVVSFGGVMGDAFGKAGKVVFQLCILVNNLGTLIVYTIIIGDVLSGTSSTGVHHAGVLEGWFGEHWWNGRTFVLLVTTIFVFAPLASLKRIDSLRYTSALAVALAVVFLVITAGIVVIKFFYGGISMPRFLPNVSNIASVWNLFTVVPVLVTAFICHFNVHTIGNELEDSSLIQPIVQTSLALCSSVYILTSLFGFLLFGDSTHDDVLANFDTNLGIPYSYLLNDIVRISYALHLMLVFPVIFYPLRLNLDDLVFPSSRPLVSDNCRFALSTIGLISVVFVGANFIPSIWDVFQFSGATATVCIGFIFPAAIALRDRHQIATRKDKIFSVFMIGLAVFSNVIAIYSDTTALLKQVVSHGA >ONI31146 pep chromosome:Prunus_persica_NCBIv2:G1:29247028:29249995:-1 gene:PRUPE_1G295800 transcript:ONI31146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKAREEEKLSLTVNQQEKKTMTTDSKQTKNPRKCKQPINEESPLLPNQRGCDEQAVQEFNGASFAGAVFNLSTTIIGAGIMALPATMKVLGLGVGIGMIIFVAILTQASVEMLLRFSKVGKVVSFGGVMGDAFGKAGKVVFQLCILVNNLGTLIVYTIIIGDVLSGTSSTGVHHAGVLEGWFGEHWWNGRTFVLLVTTIFVFAPLASLKRIDSLRYTSALAVALAVVFLVITAGIVVIKFFYGAFICHFNVHTIGNELEDSSLIQPIVQTSLALCSSVYILTSLFGFLLFGDSTHDDVLANFDTNLGIPYSYLLNDIVRISYALHLMLVFPVIFYPLRLNLDDLVFPSSRPLVSDNCRFALSTIGLISVVFVGANFIPSIWDVFQFSGATATVCIGFIFPAAIALRDRHQIATRKDKIFSVFMIGLAVFSNVIAIYSDTTALLKQVVSHGA >ONI29056 pep chromosome:Prunus_persica_NCBIv2:G1:15254827:15264950:1 gene:PRUPE_1G178000 transcript:ONI29056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPDDLFRDDEDDPDNELFQERESTKELVVYLVDASPKMFTTTCPAGDRKDDTHFHVAVSCIAQSLKTQIINNSYDEVAICFFNTREKRNLQDLNGVYVFNVADREYLDRPTARLIKEIDNIEESFMSKIGSQYGIVSGSRENSLYNVLWVAQALLRKGSAKTADKRVLLFTNEDDPFGSIKGVIKTDMMRTTLQRTRDAQDLGISIELLPLSRPDSDFNVSTFYSDLLGLKGDDLARFMPAAGEKLEDMKDQLRKRMFKKRLVRKITFSIANGLSIQLSSYALIRPTLPGAITWLDSVTNRPLKTERSFICADTGALVQGSAKRFQPYKTENIKFSVEELSEIKRFSTGHLRLLGFKPLNCLKEFHNLRPSTFVFPTDEELIGSTCIFIALHRSMLRLKRFAVAFYGSSSRPQLVALVAQDEIISAGGQVEPPGMHMIYLPYSEDIRNTEELHTGSNVAPPHANDDQTRSAAALIKRFDLKDFSVFQFANPALQRHYAVLQALALEEDEIPEIKDETVPDEEGMSRPAFVSALEEFKQSVYGDNYEEENDAVGNGKASETSKKRKAVSENAVKESGNYDWVDLADNGKLKDLTVTQLKYYLTANNLPLAGKKEALISRILTHMGK >ONI29057 pep chromosome:Prunus_persica_NCBIv2:G1:15254982:15264782:1 gene:PRUPE_1G178000 transcript:ONI29057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPDDLFRDDEDDPDNELFQERESTKELVVYLVDASPKMFTTTCPAGDRKDDTHFHVAVSCIAQSLKTQIINNSYDEVAICFFNTREKRNLQDLNGVYVFNVADREYLDRPTARLIKEIDNIEESFMSKIGSQYGIVSGSRENSLYNVLWVAQALLRKGSAKTADKRVLLFTNEDDPFGSIKGVIKTDMMRTTLQRTRDAQDLGISIELLPLSRPDSDFNVSTFYSDLLGLKGDDLARFMPAAGEKLEDMKDQLRKRMFKKRLVRKITFSIANGLSIQLSSYALIRPTLPGAITWLDSVTNRPLKTERSFICADTGALVQGSAKRFQPYKTENIKFSVEELSEIKRFSTGHLRLLGFKPLNCLKEFHNLRPSTFVFPTDEELIGSTCIFIALHRSMLRLKRFAVAFYGSSSRPQLVALVAQDEIISAGGQVEPPGMHMIYLPYSEDIRNTEELHTGSNVAPPHANDDQTRSAAALIKRFDLKDFSVFQFANPETLCSTAGPSPGGG >ONI29370 pep chromosome:Prunus_persica_NCBIv2:G1:18514600:18516953:1 gene:PRUPE_1G195400 transcript:ONI29370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIQRFSSRACVSRTTIVQGSKPFLVDAVGIVPSIPEENCHSEVVIGSPARTATQQGSGSVSRFVTALSRPFSTATTANETQTQKLERIADELLNLTKIERHDYSILFRLKMGLNRYGPAISGIGPTSSESGSASTDAKAVEKTAFDIKIEKFDAAAKIKIIKEVRTFTDLGLKEAKELVEKVPVVVKKGLTKEEAGPIVDKLKELGATVVLE >ONI26864 pep chromosome:Prunus_persica_NCBIv2:G1:3601947:3603229:1 gene:PRUPE_1G051300 transcript:ONI26864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLGMMAGHHMGWGIIEEEGWRKGPWTAEEDGLLTEYVKLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPELKRGQITPHEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKVPSDASEKAKNRFLRRKQFHNQQHQQQQQHLQVNQEEVKRILALLDENNDTKMPCFWPQVKQGMETISTYPHHTTDHQEQCFNYSMLNGNVSVPEASNNEDNFLWDGLWNLNDVHGNFNSTCATGKAS >ONI30734 pep chromosome:Prunus_persica_NCBIv2:G1:27770350:27770667:-1 gene:PRUPE_1G270300 transcript:ONI30734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPASESSIKKVLKKVIVGQWGESIRRNYLSVSDDVKEEEEGGKRKRRRISVSEGESCSVCMDEFEGGTTVACMPCSHVFHGECIVNWLRQSHYCPVCRFEVPTD >ONI27813 pep chromosome:Prunus_persica_NCBIv2:G1:8503683:8505565:1 gene:PRUPE_1G106000 transcript:ONI27813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRRKIGSEIPETLIAEEQEAEEGRFFACYLLSSRSPRYKGHTYIGFTVNPRRRIRQHNGEIAQGAWRTKRKRPWEMVLCIYGFPTNVSALQDISSLIGTVGTRFDKLGLKFEWAWQHPTVSKAVRQAAAGFKSPRGLVSKIKLAYTMLTLPPWQSLNITVKFFSTQYTKHSAGCPRLPEQMKVKVCSMDELPSCTKPSDDLLENEDEWCHERECDEDMNSSTLPEETLLDFRTHNSADDQQSDSGIRMNE >ONI30777 pep chromosome:Prunus_persica_NCBIv2:G1:27896256:27898689:1 gene:PRUPE_1G272100 transcript:ONI30777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKRTATKTEFQRLSSTITKTHFISSTNFRPFSSNSKKGDDDWNDAWDTAWLPPDLSGSSSRAPWETDVNFSSSESSVVLPSDADLETKAFVEDMNENWNERRKPKEENPQKQQQQSENGSSLYSLDSIKKDYRVKKQRIHAGLWMKEIEKQEEAKLADSNSVGGGDDIERLLDSCSDIFDSANNDLENSKVPSASDFKNKPDGWETTSKAKDGNVWEMTQREEDILLQEFERRIAYNKFQCLSVLLVVNSTPCCLIASFIKTHIFSRRRPIDGWKYMIEELGPNARKGKGSVTRLPSLSDASTQPFKEENSAMSSSSIMPFKER >ONI30778 pep chromosome:Prunus_persica_NCBIv2:G1:27896256:27898689:1 gene:PRUPE_1G272100 transcript:ONI30778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKRTATKTEFQRLSSTITKTHFISSTNFRPFSSNSKKGDDDWNDAWDTAWLPPDLSGSSSRAPWETDVNFSSSESSVVLPSDADLETKAFVEDMNENWNERRKPKEENPQKQQQQSENGSSLYSLDSIKKDYRVKKQRIHAGLWMKEIEKQEEAKLADSNSVGGGDDIERLLDSCSDIFDSANNDLENSKVPSASDFKNKPDGWETTSKAKDGNVWEMTQREEDILLQEFERRIAYNKFQIASFIKTHIFSRRRPIDGWKYMIEELGPNARKGKGSVTRLPSLSDASTQPFKEENSAMSSSSIMPFKER >ONI30776 pep chromosome:Prunus_persica_NCBIv2:G1:27896256:27898690:1 gene:PRUPE_1G272100 transcript:ONI30776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKRTATKTEFQRLSSTITKTHFISSTNFRPFSSNSKKGDDDWNDAWDTAWLPPDLSGSSSRAPWETDVNFSSSESSVVLPSDADLETKAFVEDMNENWNERRKPKEENPQKQQQQSENGSSLYSLDSIKKDYRVKKQRIHAGLWMKEIEKQEEAKLADSNSVGGGDDIERLLDSCSDIFDSANNDLENSKVPSASDFKNKPDGWETTSKAKDGNVWEMTQREEDILLQEFERRIAYNKFQCLSVLLVVNSTPCCLVKKDCLFCIMAPHLQFSAEKSLNIASFIKTHIFSRRRPIDGWKYMIEELGPNARKGKGSVTRLPSLSDASTQPFKEENSAMSSSSIMPFKER >ONI30779 pep chromosome:Prunus_persica_NCBIv2:G1:27896500:27897681:1 gene:PRUPE_1G272100 transcript:ONI30779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKRTATKTEFQRLSSTITKTHFISSTNFRPFSSNSKKGDDDWNDAWDTAWLPPDLSGSSSRAPWETDVNFSSSESSVVLPSDADLETKAFVEDMNENWNERRKPKEENPQKQQQQSENGSSLYSLDSIKKDYRVKKQRIHAGLWMKEIEKQEEAKLADSNSVGGGDDIERLLDSCSDIFDSANNDLENSKVPSASDFKNKPDGWETTSKAKDGNVWEMTQREEDILLQEFERRIAYNKFQVCKYTQTDLYFPSSFIQYVPSVSII >ONI36242 pep chromosome:Prunus_persica_NCBIv2:G1:46991813:47013065:1 gene:PRUPE_1G577400 transcript:ONI36242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKQTSKQNSKPKTTSSGPRLQISAENENRVRRLLLNSGRSSTPAAPVDESLSKAQKTKKLKAVYEKLSCEGFTNDQIELALSALKEGATFEAAVDWLCLNLTSNELPLKFSSGTSLHGNEGGSVGIILTSRDDWTPSVDTSTKIDEDAPGISIRTKGQRDDKTLDSFQPSQADWIKQYVEQQEEDESTTWEDDAADERAEKVHKPRSYDVIAKEYRAARWEAANAKQKGDKKSQERAGCIIRNLKQELSALGLSDDILASEFGKDTAFEDTYTNPYKHSEEVHADEITVDRIDEEHCSSIHFPVNSTLSSELAQGKIIAEEESIDVEIGNFFLEDGPSGEVLPPEVLELQKRERMREISSEKNLEKLDGIWKKGDSRKIPKAVLHQLCQRSGWEAPKFNKVRGKENNFSYTVSVLRKASGRGKSRKAGGLVTLQLPDQNGTFDSAEDAQNRVAAFALCQLFPDLPVHLLIIEPYASLVIQWKEGESSTTVEDSQEDRRATFVDSLLSADGSASTSAANFMYDILPEEVQELHVEEPISSGVVRTDPVAQRVHHFKEVESSYLRQELENKLKNPKFKDMLKTRAALPIAGLKGDILRLLHENNVLVVCGETGSGKTTQVPQFILDDMIKSGHGGHCNIICTQPRRIAAISVAERVSDERCEPSPGSRGSLVGYQVRLDSASNDKTKLLFCTTGILLRKLMGDKNLTGITHVIVDEVHERSLLGDFLLIVLKNLIEKQSALSTPKLKVILMSATVDSDLFSRYFGNCPVITAEGRTHPVTTYYLEDIYESIDYRIASDSPASLGYGPLTKEKAGAVNNRRGKKNLVLSAWGDDSLLSEENINPYYVPDRYQSYKEQTRQNLKRLNEDVIDYDLLEDLVCHVDETCDEGAILVFLPGISEIYTLVDKLAASYRFGGQASDWILPLHSSVSSTDQKKVFLRAPENIRKVIVATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISKANARQRRGRAGRVKPGICFCLYTRYRFEKLMRPFQVPEMLRMPLVELCLQIKLLSLGYIKPLLSKALEPPREEAMTTAIKLLYEVGALEADEELTPLGHHLAKLPVDVLIGKMMLYGGIFGCLSPILSISAFLSYKSPFVYPKDERQNVERAKLALLTGKLDGPSESHDSDRQSDHLIMMTAYQKWEKILREKGVKAAQHFCNSYFLSSSVMYMIRDMRIQFGTLLADIGLIALPKQYQVDGRKKENLDTWFSDESQPFNMYSTHSSIVKAILCAGLYPNIAATGKGIAEATLTNLKQFASLATKERPIWYDGRREVNIHPSSINSTLKEFQYPFIVFLEKVETNKVFLRDTTVISPNSILLFGGSINIQHQTGLVIVDGWLKLTAPAQTAVLFKELRLTLHSVLKELIRKPENSTVAYNEVLRSIIHLLLEEDKPPQ >ONI36243 pep chromosome:Prunus_persica_NCBIv2:G1:46991853:47013047:1 gene:PRUPE_1G577400 transcript:ONI36243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKQTSKQNSKPKTTSSGPRLQISAENENRVRRLLLNSGRSSTPAAPVDESLSKAQKTKKLKAVYEKLSCEGFTNDQIELALSALKEGATFEAAVDWLCLNLTSNELPLKFSSGTSLHGNEGGSVGIILTSRDDWTPSVDTSTKIDEDAPGISIRTKGQRDDKTLDSFQPSQADWIKQYVEQQEEDESTTWEDDAADERAEKVHKPRSYDVIAKEYRAARWEAANAKQKGDKKSQERAGCIIRNLKQELSALGLSDDILASEFGKDTAFEDTYTNPYKHSEEVHADEITVDRIDEEHCSSIHFPVNSTLSSELAQGKIIAEEESIDVEIGNFFLEDGPSGEVLPPEVLELQKRERMREISSEKNLEKLDGIWKKGDSRKIPKAVLHQLCQRSGWEAPKFNKVRGKENNFSYTVSVLRKASGRGKSRKAGGLVTLQLPDQNGTFDSAEDAQNRVAAFALCQLFPDLPVHLLIIEPYASLVIQWKEGESSTTVEDSQEDRRATFVDSLLSADGSASTSAANFMYDILPEEVQELHVEEPISSGVVRTDPVAQRVHHFKEVESSYLRQELENKLKNPKFKDMLKTRAALPIAGLKGDILRLLHENNVLVVCGETGSGKTTQVPQFILDDMIKSGHGGHCNIICTQPRRIAAISVAERVSDERCEPSPGSRGSLVGYQVRLDSASNDKTKLLFCTTGILLRKLMGDKNLTGITHVIVDEVHERSLLGDFLLIVLKNLIEKQSALSTPKLKVILMSATVDSDLFSRYFGNCPVITAEGRTHPVTTYYLEDIYESIDYRIASDSPASLGYGPLTKEKAGAVNNRRGKKNLVLSAWGDDSLLSEENINPYYVPDRYQSYKEQTRQNLKRLNEDVIDYDLLEDLVCHVDETCDEGAILVFLPGISEIYTLVDKLAASYRFGGQASDWILPLHSSVSSTDQKKVFLRAPENIRKVIVATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISKANARQRRGRAGRVKPGICFCLYTRYRFEKLMRPFQVPEMLRMPLVELCLQIKLLSLGYIKPLLSKALEPPREEAMTTAIKLLYEVGALEADEELTPLGHHLAKLPVDVLIGKMMLYGGIFGCLSPILSISAFLSYKSPFVYPKDERQNVERAKLALLTGKLDGPSESHDSDRQSDHLIMMTAYQKWEKILREKGVKAAQHFCNSYFLSSSVMYMIRDMRIQFGTLLADIGLIALPKQYQVDGRKKENLDTWFSDESQPFNMYSTHSSIVKAILCAGLYPNIAATGKGIAEATLTNLKQFASLATKERPIWYDGRREVNIHPSSINSTLKEFQYPFIVFLEKVETNKVFLRDTTVISPNSILLFGGSINIQHQTGLVIVDGWLKLTAPAQTAVLFKELRLTLHSVLKELIRKPENSTVAYNEVLRSIIHLLLEEDKPPQ >ONI36244 pep chromosome:Prunus_persica_NCBIv2:G1:46991842:47013061:1 gene:PRUPE_1G577400 transcript:ONI36244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKQTSKQNSKPKTTSSGPRLQISAENENRVRRLLLNSGRSSTPAAPVDESLSKAQKTKKLKAVYEKLSCEGFTNDQIELALSALKEGATFEAAVDWLCLNLTSNELPLKFSSGTSLHGNEGGSVGIILTSRDDWTPSVDTSTKIDEDAPGISIRTKGQRDDKTLDSFQPSQADWIKQYVEQQEEDESTTWEDDAADERAEKVHKPRSYDVIAKEYRAARWEAANAKQKGDKKSQERAGCIIRNLKQELSALGLSDDILASEFGKDTAFEDTYTNPYKHSEEVHADEITVDRIDEEHCSSIHFPVNSTLSSELAQGKIIAEEESIDVEIGNFFLEDGPSGEVLPPEVLELQKRERMREISSEKNLEKLDGIWKKGDSRKIPKAVLHQLCQRSGWEAPKFNKVRGKENNFSYTVSVLRKASGRGKSRKAGGLVTLQLPDQNGTFDSAEDAQNRVAAFALCQLFPDLPVHLLIIEPYASLVIQWKEGESSTTVEDSQEDRRATFVDSLLSADGSASTSAANFMYDILPEEVQELHVEEPISSGVVRTDPVAQRVHHFKEVESSYLRQELENKLKNPKFKDMLKTRAALPIAGLKGDILRLLHENNVLVVCGETGSGKTTQVPQFILDDMIKSGHGGHCNIICTQPRRIAAISVAERVSDERCEPSPGSRGSLVGYQVRLDSASNDKTKLLFCTTGILLRKLMGDKNLTGITHVIVDEVHERSLLGDFLLIVLKNLIEKQSALSTPKLKVILMSATVDSDLFSRYFGNCPVITAEGRTHPVTTYYLEDIYESIDYRIASDSPASLGYGPLTKEKAGAVNNRRGKKNLVLSAWGDDSLLSEENINPYYVPDRYQSYKEQTRQNLKRLNEDVIDYDLLEDLVCHVDETCDEGAILVFLPGISEIYTLVDKLAASYRFGGQASDWILPLHSSVSSTDQKKVFLRAPENIRKVIVATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISKANARQRRGRAGRVKPGICFCLYTRYRFEKLMRPFQVPEMLRMPLVELCLQIKLLSLGYIKPLLSKALEPPREEAMTTAIKLLYEVGALEADEELTPLGHHLAKLPVDVLIGKMMLYGGIFGCLSPILSISAFLSYKSPFVYPKDERQNVERAKLALLTGKLDGPSESHDSDRQSDHLIMMTAYQKWEKILREKGVKAAQHFCNSYFLSSSVMYMIRDMRIQFGTLLADIGLIALPKQYQVDGRKKENLDTWFSDESQPFNMYSTHSSIVKAILCAGLYPNIAATGKGIAEATLTNLKQFASLATKERPIWYDGRREVNIHPSSINSTLKEFQYPFIVFLEKVETNKVFLRDTTVISPNSILLFGGSINIQHQTGLVIVDGWLKLTAPAQTAVLFKELRLTLHSVLKELIRKPENSTVAYNEVLRSIIHLLLEEDKPPQ >ONI27894 pep chromosome:Prunus_persica_NCBIv2:G1:8770355:8775440:1 gene:PRUPE_1G109900 transcript:ONI27894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIRNDLQGRFMCYKQDWTGGLKAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSILGGQPLLILGVAEPTVIMYTFMFNFAKNRPDLGSKLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLVHEFRIPERENPKSVQFQPSWRFANGMFALVLSFGLLLTSLKSRKARSWRYGSGSLRGFVADYGVPLMVLIWSAVSYIPAGNVPKGIPRRLFSPNPWSPGAYENWTVIKDMLSVPVIYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFMVIICGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARRCMKNNASLGQVYGSMQQAYQQMQTPLTYQEPSARGLKELKDSTFQMASSMGNINAPVDETVFDVEKEIDDLLPVEVKEQRLSNLLQATFVGGCVAAMPFLKMIPTSVLWGYFAFMAVESLPGNQCWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAAFTIFQTAYLFVCFGITWIPIAGVLFPLMIMFLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPALPFNLATEREMSRQASFADDVEILDGIVTRSRGEIRHICSPRMASSATTPSKEFKSIQSPLFSDKIYSPRLSELRGEPSPQNGGNGQFSPRTGEARSSNLAKSG >ONI27893 pep chromosome:Prunus_persica_NCBIv2:G1:8770355:8775440:1 gene:PRUPE_1G109900 transcript:ONI27893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIRNDLQGRFMCYKQDWTGGLKAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSILGGQPLLILGVAEPTVIMYTFMFNFAKNRPDLGSKLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLVHEFRIPERENPKSVQFQPSWRFANGMFALVLSFGLLLTSLKSRKARSWRYGSGSLRGFVADYGVPLMVLIWSAVSYIPAGNVPKGIPRRLFSPNPWSPGAYENWTVIKDMLSVPVIYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFMVIICGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARRCMKNNASLGQVYGSMQQAYQQMQTPLTYQEPSARGLKELKDSTFQMASSMGNINAPVDETVFDVEKEIDDLLPVEVKEQRLSNLLQATFVGGCVAAMPFLKMIPTSVLWGYFAFMAVESLPGNQCWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAAFTIFQTAYLFVCFGITWIPIAGVLFPLMIMFLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPALPFNLATEREMSRQASFADDVEILDGIVTRSRGEIRHICSPRMASSATTPSKEFKSIQSPLFSDKIYSPRLSELRGEPSPQNGGNGQFSPRTGEARSSNLAKSG >ONI27896 pep chromosome:Prunus_persica_NCBIv2:G1:8772085:8775440:1 gene:PRUPE_1G109900 transcript:ONI27896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLVHEFRIPERENPKSVQFQPSWRFANGMFALVLSFGLLLTSLKSRKARSWRYGSGSLRGFVADYGVPLMVLIWSAVSYIPAGNVPKGIPRRLFSPNPWSPGAYENWTVIKDMLSVPVIYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFMVIICGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARRCMKNNASLGQVYGSMQQAYQQMQTPLTYQEPSARGLKELKDSTFQMASSMGNINAPVDETVFDVEKEIDDLLPVEVKEQRLSNLLQATFVGGCVAAMPFLKMIPTSVLWGYFAFMAVESLPGNQCWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAAFTIFQTAYLFVCFGITWIPIAGVLFPLMIMFLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPALPFNLATEREMSRQASFADDVEILDGIVTRSRGEIRHICSPRMASSATTPSKEFKSIQSPLFSDKIYSPRLSELRGEPSPQNGGNGQFSPRTGEARSSNLAKSG >ONI27895 pep chromosome:Prunus_persica_NCBIv2:G1:8771073:8775440:1 gene:PRUPE_1G109900 transcript:ONI27895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIRNDLQGRFMCYKQDWTGGLKAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSILGGQPLLILGVAEPTVIMYTFMFNFAKNRPDLGSKLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLVHEFRIPERENPKSVQFQPSWRFANGMFALVLSFGLLLTSLKSRKARSWRYGSGSLRGFVADYGVPLMVLIWSAVSYIPAGNVPKGIPRRLFSPNPWSPGAYENWTVIKDMLSVPVIYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFMVIICGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARRCMKNNASLGQVYGSMQQAYQQMQTPLTYQEPSARGLKELKDSTFQMASSMGNINAPVDETVFDVEKEIDDLLPVEVKEQRLSNLLQATFVGGCVAAMPFLKMIPTSVLWGYFAFMAVESLPGNQCWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAAFTIFQTAYLFVCFGITWIPIAGVLFPLMIMFLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPALPFNLATEREMSRQASFADDVEILDGIVTRSRGEIRHICSPRMASSATTPSKEFKSIQSPLFSDKIYSPRLSELRGEPSPQNGGNGQFSPRTGEARSSNLAKSG >ONI32548 pep chromosome:Prunus_persica_NCBIv2:G1:33897392:33900109:-1 gene:PRUPE_1G372300 transcript:ONI32548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDPRHYNHVAINDNDIHSIVLSYLVHNCYKESVESFVASTGMKQPADCVEDMEKRKRIFHCAVEGNALKAIELTEQLAPDLLEKNKELHFDLLSLHFVELVCSKKCTEALEFAQNQLTPFGKVEKYVSKLEDFMALLAYEEPEKSPMFHLLSLDYRQQVADSLNRAVLEWASIIFFE >ONI32547 pep chromosome:Prunus_persica_NCBIv2:G1:33897794:33899840:-1 gene:PRUPE_1G372300 transcript:ONI32547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDPRHYNHVAINDNDIHSIVLSYLVHNCYKESVESFVASTGMKQPADCVEDMEKRKRIFHCAVEGNALKAIELTEQLAPDLLEKNKELHFDLLSLHFVELVCSKKCTEALEFAQNQLTPFGKVEKYVSKLEDFMALLAYEEPEKSPMFHLLSLDYRQQVADSLNRAVLEHSNLPNYTAMERLIQQTTVVRQCISEENAKNGPPSFSLNDFIRS >ONI26327 pep chromosome:Prunus_persica_NCBIv2:G1:1206966:1209527:-1 gene:PRUPE_1G017700 transcript:ONI26327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKITIKASYKLPFFFFFLSTLFLASSSVTPLINALSDYHNQKCQQSICRGVGGRHSLLRSKDHPQDAREEYFYCSQSCGTSEEPEQCETECRERFDEQLKKEAEEQQKGQEEEEEGPTFNPNPYYFPKFGLRPRFLAEEGAYFVLGSFARLSHLLRGRIQNYRAALLQTTPGTFVLPYHLDAESIFVVWNGRGTLTLVMKDTKQSFKIENGDVIRVPAGATTYLINNHTTENLSLVHLFQPVNTPDLFEEFFPAGYKGPEPGSDYSFLHGTESYYSVFSNDLLEAAFDVPREQLEKAFGQQKREGMIIRASKEQLEALSKQASPWWRKLVPWSMGSDLNFNLLSQRPLHSNNYGKFYEASPQEFKQLQDMNVSVAMLDINPEAMMVPHYNSKATYLMMVVDGMGYFEMACPKFTIPASEEEMEYQEEQADQQSGVYSKVSGKLSLGDVFVIPAGHPVSIVAQNNNNNNNGGNQNQNLRIVGFGINAGNNMRNFLAGQEGNIMKQMEREATQLTFGQEMEQVLTSQKQSYFVPASRRGSSTEKA >ONI30955 pep chromosome:Prunus_persica_NCBIv2:G1:28579832:28580292:-1 gene:PRUPE_1G284000 transcript:ONI30955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAHGEIEPIRMLLLERRCAFVTYTTREGAEKVAEDLFNKLVMKAPRQELKGTDKARQQAAAHGGLLPQAVVSQQHNQFQQDQSTPFHYYNIPPQASHETTFFPSMNPRGAWREKFQFRVAATGAALY >ONI26440 pep chromosome:Prunus_persica_NCBIv2:G1:1735713:1739528:-1 gene:PRUPE_1G025100 transcript:ONI26440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTGGSKYGEYTYENLEREQYWPSEKLRVSITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCNEFHLVDLRVMDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGAKRLFYASSACIYPEFKQLDTSNVSLKESDAWPAEPQDAYGLEKLMTEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKTLTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENQKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQLAKEKAQGMNLLGYGSSKVVGTQAPVQLGSLRAADGKELL >ONI26441 pep chromosome:Prunus_persica_NCBIv2:G1:1735713:1739773:-1 gene:PRUPE_1G025100 transcript:ONI26441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTGGSKYGEYTYENLEREQYWPSEKLRVSITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCNEFHLVDLRVMDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGAKRLFYASSACIYPEFKQLDTSNVSLKESDAWPAEPQDAYGLEKLMTEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKTLTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENQKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQLAKEKAQGMNLLGYGSSKVVGTQAPVQLGSLRAADGKELL >ONI28291 pep chromosome:Prunus_persica_NCBIv2:G1:10688111:10688700:1 gene:PRUPE_1G135900 transcript:ONI28291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAHPTKSSSNLKLSSQLQANHCVFCNTGGRILRKRKIYAVNLQAFKLRSGHMICVCLQTLVFCCPQQFVPVGFLVSRKFLVPMHLLLEEKKNIYLLYVHA >ONI34620 pep chromosome:Prunus_persica_NCBIv2:G1:40823373:40825957:-1 gene:PRUPE_1G490700 transcript:ONI34620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKIQKCGERLCLGWRMLSRKYSSSEHIRQFSDPTKAICKIMMSCPTLALDTALDQSGIRISPEMVEEVLMRFQNAGMVAYRFFEWAGKQRNYTHSVKAYHAMIESLAKIRQYQIMWELVNSMRAKRMQNMETFSIIMRKYARAQKVEEALYTFNVMEKYDCAPNLAAFNGLLSALCKSKNVRKAQEIFDKMKDRFEPDSKTYSILIDGWGKDPNLPKAREVFREMTDAGCNPDIVTYGIMVDVLCKAGRVDEAIEIVRGMDDSGCTPTSFIYSVLVHTYGVEHRIEDAVDAFLEMERNGIKADVVVYNALIGAFCKANKFKNVYRVLNDMDSKGVKPNSRTCNIILNSLIDRGETDEAFSVFRKMIKLCEPDADTYTMMIKMFCEKDELEMALKVWKYMKQRRFVPSMHTYSVLINGLCEKGNTSKACVFLEEMIEKGIRPAGVTFGRLRQLLIKEGREDVLNFLNEKINLLVKEPLFD >ONI34619 pep chromosome:Prunus_persica_NCBIv2:G1:40823321:40826054:-1 gene:PRUPE_1G490700 transcript:ONI34619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKIQKCGERLCLGWRMLSRKYSSSEHIRQFSDPTKAICKIMMSCPTLALDTALDQSGIRISPEMVEEVLMRFQNAGMVAYRFFEWAGKQRNYTHSVKAYHAMIESLAKIRQYQIMWELVNSMRAKRMQNMETFSIIMRKYARAQKVEEALYTFNVMEKYDCAPNLAAFNGLLSALCKSKNVRKAQEIFDKMKDRFEPDSKTYSILIDGWGKDPNLPKAREVFREMTDAGCNPDIVTYGIMVDVLCKAGRVDEAIEIVRGMDDSGCTPTSFIYSVLVHTYGVEHRIEDAVDAFLEMERNGIKADVVVYNALIGAFCKANKFKNVYRVLNDMDSKGVKPNSRTCNIILNSLIDRGETDEAFSVFRKMIKLCEPDADTYTMMIKMFCEKDELEMALKVWKYMKQRRFVPSMHTYSVLINGLCEKGNTSKACVFLEEMIEKGIRPAGVTFGRLRQLLIKEGREDVLNFLNEKINLLVKEPLFD >ONI29756 pep chromosome:Prunus_persica_NCBIv2:G1:22666977:22669831:1 gene:PRUPE_1G213200 transcript:ONI29756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRMIPSYNPNDNEYGLKLLEDLTTNAYEVQQQVLEEILTKNAQTEYLSAFLNCHYDRKDFKKKVPVVNYEDVKPYIERIANGEPSDIISAQKITELLTSSGTSGGQPKMMPSTVEDLDRKTFFYNLLVPVMNKYVDGLDQGKGMYLLFIKPEISTPSGLVARPVLTSYYKSSNFRNRPFNRYNIYTSPDEIILCSDSKQSMYCQLLCGLVQRDEVMRVGAVFASAFLRAIKFLEDHWKELCSNIGSGHVSEWITDPSCRNAVSLVLSKPNPDLANLIKHEFNNKSWEGIVKRLWPRTKYIEVIVTGSMAQYIPTLEFYSGGLPLISTMYASSECYFGINFNPLSKPNDVAYTLLPNMAYFEFLPVEKHHEEVALCNGVSDQSCIKEEEKENLETVDLVDVKLGHYYELVVTTFTGLYRYRVGDILMVTGFHNKAPQFRFMHRRNVVLSIDTDKTNEEDLLNAVTQAKLLLDPLGFLLIEYTSYADTSSIPGHYVLFWELKTKEKNDFIELDTNIMEQCCSTVEESLDSVYRRCRRKDNSIGPLEIRIVKHGAFDALMDFSVSQGSSVNQYKTPRCIKSEEAIKILDSRVVGRFFSKSTPLWEPFRMETK >ONI29755 pep chromosome:Prunus_persica_NCBIv2:G1:22666977:22669831:1 gene:PRUPE_1G213200 transcript:ONI29755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRMIPSYNPNDNEYGLKLLEDLTTNAYEVQQQVLEEILTKNAQTEYLSAFLNCHYDRKDFKKKVPVVNYEDVKPYIERIANGEPSDIISAQKITELLTSSGTSGGQPKMMPSTVEDLDRKTFFYNLLVPVMNKYVDGLDQGKGMYLLFIKPEISTPSGLVARPVLTSYYKSSNFRNRPFNRYNIYTSPDEIILCSDSKQSMYCQLLCGLVQRDEVMRVGAVFASAFLRAIKFLEDHWKELCSNIGSGHVSEWITDPSCRNAVSLVLSKPNPDLANLIKHEFNNKSWEGIVKRLWPRTKYIEVIVTGSMAQYIPTLEFYSGGLPLISTMYASSECYFGINFNPLSKPNDVAYTLLPNMAYFEFLPVEKHHEEVALCNGVSDQSCIKEEEKENLETVDLVDVKLGHYYELVVTTFTGLYRYRVGDILMVTGFHNKAPQFRFMHRRNVVLSIDTDKTNEEDLLNAVTQAKLLLDPLGFLLIEYTSYADTSSIPGHYVLFWELKTKEKNDFIELDTNIMEQCCSTVEESLDSVYRRCRRKDNSIGPLEIRIVKHGAFDALMDFSVSQGSSVNQYKTPRCIKSEEAIKILDSRVVGRFFSKSTPLWEPFRMETK >ONI33917 pep chromosome:Prunus_persica_NCBIv2:G1:38079373:38082979:-1 gene:PRUPE_1G452900 transcript:ONI33917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPWSLPPAASPVTTPPLLLPLQPSLPPPLSPSLPTPLPPLLPPPLPQIAPFPSPPSLPTTPPALPPILNSVPPPVSSLLPPPLTSPPPQLPPLPALLPPTTYTPPPPPPSVVTSPPPPSSPPKTPLLSKPSLLPPPNPPSPLPTPTPPPPLHVSPPTTPSTPPPPPTLATTTPPATQTPPLESPSHGSSPPALPPSTLPLPPTLASTLQPPSSNKTNTTNLPPTTLPMPPQLMLHPPLSSSLPATMQVHNPRPNMSTALIAGSCVIGGLVAIVLLLAIIFLGYKRRRSKNNSALLEDHHKTPSFCPKDDCYAVPSPGVHVIRVLSRTSVLQSPMSRTAGSASIISRSEIPYPLQTQGPAGFPSDVSNGIFTYDQLAVATNGFSEANLLGQGGFGYVHKGVLPSGKEVAVKQLMTGSRQGEREFQAEVDIISRVHHKHLVSLVGYCISGAERLLVYEFVPNTTLELHLHGEGQSVLEWETRLIIATGSAKGLAYLHEDCNPKIIHRDIKASNVLLDDKFEAKVSDFGLAKSFSDTNIHMTHFSTRVVGTFGYLAPEYASSGKVTDKSDVYSYGVVLLELITGRPPISTIESMRNKGLVQWARPLLTQALEDGDFDALVDPRLERNYNNNEMARMVACAAACVRHSAWLRPRMSQVVHALEGVASLTDLREGMTPGNSTLHNSLGNSYYSSQQYKEEYGISMYSGTTSEYGLNPSGSTSSEAKQTF >ONI33918 pep chromosome:Prunus_persica_NCBIv2:G1:38079961:38082979:-1 gene:PRUPE_1G452900 transcript:ONI33918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPWSLPPAASPVTTPPLLLPLQPSLPPPLSPSLPTPLPPLLPPPLPQIAPFPSPPSLPTTPPALPPILNSVPPPVSSLLPPPLTSPPPQLPPLPALLPPTTYTPPPPPPSVVTSPPPPSSPPKTPLLSKPSLLPPPNPPSPLPTPTPPPPLHVSPPTTPSTPPPPPTLATTTPPATQTPPLESPSHGSSPPALPPSTLPLPPTLASTLQPPSSNKTNTTNLPPTTLPMPPQLMLHPPLSSSLPATMQVHNPRPNMSTALIAGSCVIGGLVAIVLLLAIIFLGYKRRRSKNNSALLEDHHKTPSFCPKDDCYAVPSPGVHVIRVLSRTSVLQSPMSRTAGSASIISRSEIPYPLQTQGPAGFPSDVSNGIFTYDQLAVATNGFSEANLLGQGGFGYVHKGVLPSGKEVAVKQLMTGSRQGEREFQAEVDIISRVHHKHLVSLVGYCISGAERLLVYEFVPNTTLELHLHGEGQSVLEWETRLIIATGSAKGLAYLHEDCNPKIIHRDIKASNVLLDDKFEAKVSDFGLAKSFSDTNIHMTHFSTRVVGTFG >ONI30686 pep chromosome:Prunus_persica_NCBIv2:G1:27468503:27470925:-1 gene:PRUPE_1G267000 transcript:ONI30686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENEEGKIVKHEEEDEEGREQEIWSWGAGTDGQLGTGKLQDELLPQLLHLTSLSSAGPISFLACGGAHVLALTSGGKVLTWGRGTAGQLGHSDTVNSPHPKLVMSLNNYFITHVSAGWNHSGFVSDTGALFTCGDGTFGQLGHGDYRLHCYPVKVSFFASKHVEQIACGMRHSLVLLRGDEVYGFGSGKRGQLGIAKDKINLVSLPERSCGFEGVKIASITANGDHSAALSADGHLYTWGRGFGDTLSAKTPQHLPTSFCFTKIALGWNHALVLTGGEVFMFGGNHHGVLSNPDKMTPVKHSADSRADSRAVVLEKVPGLEGSRTLHIAAGAEHSAIVTENGVLKTWGWGEHGQLGLGNISDQTSPQEVSLSHKFRKEASLIEIYCGSGFTIAIRTSCRPSQAG >ONI36321 pep chromosome:Prunus_persica_NCBIv2:G1:47212538:47216125:-1 gene:PRUPE_1G580800 transcript:ONI36321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATLDLVGAASLKLNTNTHFLRSPKPFLSFVGPIRSRNLNGPSLTFLTCHAHKSLPSVFLRAQSTAAAPEEERVVVLVIGGGGREHALCYALKRSPSCDAIFCAPGNPGISNSGDATCISDLDISDSSAVISFCRKWGVGLVVVGPEAPLVAGLVNDLLKAGIHAFGPSSEAAALEGSKNFMKSLCDKYGIPTAEYQTFTDPSAAKQYIQKQGVPIVVKADGLAAGKGVIVAMTLDEAFEAVDSMLVKGSFGSAGCRVIVEEYLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPILTKELQDLVMKSIIYPTVKGMSAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMVRLESDLAQVLLAACRGQLSEVSLNWSAGSAMVVVMASKGYPGPYEKGSVIRNLDEAENVAPSVKVFHAGTALDPDGNFIAAGGRVLGVTAKGRDLKEACDRAYQGVEEINWPGGFYRRDIGWRALPLPQKQFVTRLN >ONI26317 pep chromosome:Prunus_persica_NCBIv2:G1:1191706:1196298:-1 gene:PRUPE_1G017300 transcript:ONI26317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINGYKSDEECSVIGDKGEIGFIDFEDDKSVRSYNPCEEGPIVISVPFPYVGGKQGEKPQSVCVGETAVDKITIKNTTHDPVELCGVKIYASSPEDSFKLSLMKPPTADSDVETIQAFLESTSLEDRMLQPGDTLTIWLSCKPKEIGQHKAFVHFDLETEQIERVVILLAEDKISQSMASTKPYTRATRKKPLLVDGFHVGVRPSGVTDRRPYKNRLPRYDIPKDIRELLESKQIPYVVTEGLTRGNYADYFKTLLIMEEIQIEESMRSHDMVGVTLRKRGHQFLSLEVPGLAERRPSLVQGDYVLAKLSEYADDTVPPYQGYIYRVEADDVYLKFPPEFHACHRDGNLYSVQFTFNRITMRRLYQAVDAAEKLEIMFLFPSESYQRRMIRGTRLVPISCTPNKEQMCSVEMILGCKGGPPYVIYGPPGTGKTMTLVEAILQLYATRKNTRILVCAPSNSAADHILEKLLNAKAGTAVRENEIFRLNASSRPYEDVNPNHIDFCFFDDDTFKCPELRVFVRYRIIISTYMSASLLHAEGVPRGHFSHIILDEAGQASEPETMIPISNLYHRNTVVVLAGDPKQLGPIINSSQAESFGLGRSYLERMFECEFYSNGDKSYVTKLVRNYRCHPEILYLPNMLFYGQELIACKDDSVPFIARVDLLPNKDFPVLFFGIEGCDEREGSNPSWFNRTEASKVVEVTKQLTAKRNLSEEDIGIIAPYRQQVLKLKKAFENLEMPNIKVGSVEQFQGQERQVIIISTVRSTIKHDEFDRRYCLGFLSNPKRFNVAITRAKALLIVIGNPHIISKDPNWNRLLWRCADNSSYLGCNPPERQELDYEDPQEDLLNNEGNTWCSGDDGWARDSWQREVPQPVMEGSWQTEAPQPVVDDEAEWSDGWK >ONI26318 pep chromosome:Prunus_persica_NCBIv2:G1:1191706:1196662:-1 gene:PRUPE_1G017300 transcript:ONI26318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINGYKSDEECSVIGDKGEIGFIDFEDDKSVRSYNPCEEGPIVISVPFPYVGGKQGEKPQSVCVGETAVDKITIKNTTHDPVELCGVKIYASSPEDSFKLSLMKPPTADSDVETIQAFLESTSLEDRMLQPGDTLTIWLSCKPKEIGQHKAFVHFDLETEQIERVVILLAEDKISQSMASTKPYTRATRKKPLLVDGFHVGVRPSGVTDRRPYKNRLPRYDIPKDIRELLESKQIPYVVTEGLTRGNYADYFKTLLIMEEIQIEESMRSHDMVGVTLRKRGHQFLSLEVPGLAERRPSLVQGDYVLAKLSEYADDTVPPYQGYIYRVEADDVYLKFPPEFHACHRDGNLYSVQFTFNRITMRRLYQAVDAAEKLEIMFLFPSESYQRRMIRGTRLVPISCTPNKEQMCSVEMILGCKGGPPYVIYGPPGTGKTMTLVEAILQLYATRKNTRILVCAPSNSAADHILEKLLNAKAGTAVRENEIFRLNASSRPYEDVNPNHIDFCFFDDDTFKCPELRVFVRYRIIISTYMSASLLHAEGVPRGHFSHIILDEAGQASEPETMIPISNLYHRNTVVVLAGDPKQLGPIINSSQAESFGLGRSYLERMFECEFYSNGDKSYVTKLVRNYRCHPEILYLPNMLFYGQELIACKDDSVPFIARVDLLPNKDFPVLFFGIEGCDEREGSNPSWFNRTEASKVVEVTKQLTAKRNLSEEDIGIIAPYRQQVLKLKKAFENLEMPNIKVGSVEQFQGQERQVIIISTVRSTIKHDEFDRRYCLGFLSNPKRFNVAITRAKALLIVIGNPHIISKDPNWNRLLWRCADNSSYLGCNPPERQELDYEDPQEDLLNNEGNTWCSGDDGWARDSWQREVPQPVMEGSWQTEAPQPVVDDEAEWSDGWK >ONI26319 pep chromosome:Prunus_persica_NCBIv2:G1:1191706:1196321:-1 gene:PRUPE_1G017300 transcript:ONI26319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINGYKSDEECSVIGDKGEIGFIDFEDDKSVRSYNPCEEGPIVISVPFPYVGGKQGEKPQSVCVGETAVDKITIKNTTHDPVELCGVKIYASSPEDSFKLSLMKPPTADSDVETIQAFLESTSLEDRMLQPGDTLTIWLSCKPKEIGQHKAFVHFDLETEQIERVVILLAEDKISQSMASTKPYTRATRKKPLLVDGFHVGVRPSGVTDRRPYKNRLPRYDIPKDIRELLESKQIPYVVTEGLTRGNYADYFKTLLIMEEIQIEESMRSHDMVGVTLRKRGHQFLSLEVPGLAERRPSLVQGDYVLAKLSEYADDTVPPYQGYIYRVEADDVYLKFPPEFHACHRDGNLYSVQFTFNRITMRRLYQAVDAAEKLEIMFLFPSESYQRRMIRGTRLVPISCTPNKEQMCSVEMILGCKGGPPYVIYGPPGTGKTMTLVEAILQLYATRKNTRILVCAPSNSAADHILEKLLNAKAGTAVRENEIFRLNASSRPYEDVNPNHIDFCFFDDDTFKCPELRVFVRYRIIISTYMSASLLHAEGVPRGHFSHIILDEAGQASEPETMIPISNLYHRNTVVVLAGDPKQLGPIINSSQAESFGLGRSYLERMFECEFYSNGDKSYVTKLVRNYRCHPEILYLPNMLFYGQELIACKDDSVPFIARVDLLPNKDFPVLFFGIEGCDEREGSNPSWFNRTEASKVVEVTKQLTAKRNLSEEDIGIIAPYRQQVLKLKKAFENLEMPNIKVGSVEQFQGQERQVIIISTVRSTIKHDEFDRRYCLGFLSNPKRFNVAITRAKALLIVIGNPHIISKDPNWNRLLWRCADNSSYLGCNPPERQELDYEDPQEDLLNNEGNTWCSGDDGWARDSWQREVPQPVMEGSWQTEAPQPVVDDEAEWSDGWK >ONI26321 pep chromosome:Prunus_persica_NCBIv2:G1:1192441:1195515:-1 gene:PRUPE_1G017300 transcript:ONI26321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINGYKSDEECSVIGDKGEIGFIDFEDDKSVRSYNPCEEGPIVISVPFPYVGGKQGEKPQSVCVGETAVDKITIKNTTHDPVELCGVKIYASSPEDSFKLSLMKPPTADSDVETIQAFLESTSLEDRMLQPGDTLTIWLSCKPKEIGQHKAFVHFDLETEQIERVVILLAEDKISQSMASTKPYTRATRKKPLLVDGFHVGVRPSGVTDRRPYKNRLPRYDIPKDIRELLESKQIPYVVTEGLTRGNYADYFKTLLIMEEIQIEESMRSHDMVGVTLRKRGHQFLSLEVPGLAERRPSLVQGDYVLAKLSEYADDTVPPYQGYIYRVEADDVYLKFPPEFHACHRDGNLYSVQFTFNRITMRRLYQAVDAAEKLEIMFLFPSESYQRRMIRGTRLVPISCTPNKEQMCSVEMILGCKGGPPYVIYGPPGTGKTMTLVEAILQLYATRKNTRILVCAPSNSAADHILEKLLNAKAGTAVRENEIFRLNASSRPYEDVNPNHIDFCFFDDDTFKCPELRVFVRYRIIISTYMSASLLHAEGVPRGHFSHIILDEAGQASEPETMIPISNLYHRNTVVVLAGDPKQLGPIINSSQAESFGLGRSYLERMFECEFYSNGDKSYVTKLVRNYRCHPEILYLPNMLFYGQELIACKDDSVPFIARVDLLPNKDFPVLFFGIEGCDEREGSNPSWFNRTEASKVVEVTKQLTAKRNLSEEDIGIIAPYRQQVLKLKKAFENLEMPNIKVGSVEQFQGQERQVIIISTVRSTIKHDEFDRRYCLGFLSNPKRFNVAITRAKALLIVIGNPHIISKDPNWNRLLWRCADNSSYLGCNPPERQELDYEDPQEDLLNNEGNTWCSGDDGWARDSWQREVPQPVMEGSWQTEAPQPVVDDEAEWSDGWK >ONI26320 pep chromosome:Prunus_persica_NCBIv2:G1:1191706:1196662:-1 gene:PRUPE_1G017300 transcript:ONI26320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINGYKSDEECSVIGDKGEIGFIDFEDDKSVRSYNPCEEGPIVISVPFPYVGGKQGEKPQSVCVGETAVDKITIKNTTHDPVELCGVKIYASSPEDSFKLSLMKPPTADSDVETIQAFLESTSLEDRMLQPGDTLTIWLSCKPKEIGQHKAFVHFDLETEQIERVVILLAEDKISQSMASTKPYTRATRKKPLLVDGFHVGVRPSGVTDRRPYKNRLPRYDIPKDIRELLESKQIPYVVTEGLTRGNYADYFKTLLIMEEIQIEESMRSHDMVGVTLRKRGHQFLSLEVPGLAERRPSLVQGDYVLAKLSEYADDTVPPYQGYIYRVEADDVYLKFPPEFHACHRDGNLYSVQFTFNRITMRRLYQAVDAAEKLEIMFLFPSESYQRRMIRGTRLVPISCTPNKEQMCSVEMILGCKGGPPYVIYGPPGTGKTMTLVEAILQLYATRKNTRILVCAPSNSAADHILEKLLNAKAGTAVRENEIFRLNASSRPYEDVNPNHIDFCFFDDDTFKCPELRVFVRYRIIISTYMSASLLHAEGVPRGHFSHIILDEAGQASEPETMIPISNLYHRNTVVVLAGDPKQLGPIINSSQAESFGLGRSYLERMFECEFYSNGDKSYVTKLVRNYRCHPEILYLPNMLFYGQELIACKDDSVPFIARVDLLPNKDFPVLFFGIEGCDEREGSNPSWFNRTEASKVVEVTKQLTAKRNLSEEDIGIIAPYRQQVLKLKKAFENLEMPNIKVGSVEQFQGQERQVIIISTVRSTIKHDEFDRRYCLGFLSNPKRFNVAITRAKALLIVIGNPHIISKDPNWNRLLWRCADNSSYLGCNPPERQELDYEDPQEDLLNNEGNTWCSGDDGWARDSWQREVPQPVMEGSWQTEAPQPVVDDEAEWSDGWK >ONI26322 pep chromosome:Prunus_persica_NCBIv2:G1:1192599:1195515:-1 gene:PRUPE_1G017300 transcript:ONI26322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINGYKSDEECSVIGDKGEIGFIDFEDDKSVRSYNPCEEGPIVISVPFPYVGGKQGEKPQSVCVGETAVDKITIKNTTHDPVELCGVKIYASSPEDSFKLSLMKPPTADSDVETIQAFLESTSLEDRMLQPGDTLTIWLSCKPKEIGQHKAFVHFDLETEQIERVVILLAEDKISQSMASTKPYTRATRKKPLLVDGFHVGVRPSGVTDRRPYKNRLPRYDIPKDIRELLESKQIPYVVTEGLTRGNYADYFKTLLIMEEIQIEESMRSHDMVGVTLRKRGHQFLSLEVPGLAERRPSLVQGDYVLAKLSEYADDTVPPYQGYIYRVEADDVYLKFPPEFHACHRDGNLYSVQFTFNRITMRRLYQAVDAAEKLEIMFLFPSESYQRRMIRGTRLVPISCTPNKEQMCSVEMILGCKGGPPYVIYGPPGTGKTMTLVEAILQLYATRKNTRILVCAPSNSAADHILEKLLNAKAGTAVRENEIFRLNASSRPYEDVNPNHIDFCFFDDDTFKCPELRVFVRYRIIISTYMSASLLHAEGVPRGHFSHIILDEAGQASEPETMIPISNLYHRNTVVVLAGDPKQLGPIINSSQAESFGLGRSYLERMFECEFYSNGDKSYVTKLVRNYRCHPEILYLPNMLFYGQELIACKDDSVPFIARVDLLPNKDFPVLFFGIEGCDEREGSNPSWFNRTEASKVVEVTKQLTAKRNLSEEDIGIIAPYRQQVLKLKKAFENLEMPNIKVGSVEQFQGQERQVIIISTVRSTIKHDEFDRRYCLGFLSNPKRFNVAITRAKALLIVIGNPHIISKLFGFGRTQTGTGFYGVVQTTHPIWAVTPLRGRSLIMRTHRKIS >ONI29652 pep chromosome:Prunus_persica_NCBIv2:G1:21217844:21220473:1 gene:PRUPE_1G207500 transcript:ONI29652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPKKSQARNNKPWYQRAREMGMGSLWRSINNNNSKSTQVPAANSTLWKTLVNAKSSPGTNPNNFPAPNSNSNSNSNNVRHKLRKCTSLKVATSFTRVCLCAPISSYNEVFRAELPPRRSNSYPRMGSYSKPLGTTTTSSASIAQARLSTDHPGIRRVFRGKSLTDDVLMRRFVVEEEAMMQVRRRNQMEVIRRRSVMRRKRLGPSPLCRMVLAEED >ONI29653 pep chromosome:Prunus_persica_NCBIv2:G1:21219573:21220220:1 gene:PRUPE_1G207500 transcript:ONI29653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFLLRNNKPWYQRAREMGMGSLWRSINNNNSKSTQVPAANSTLWKTLVNAKSSPGTNPNNFPAPNSNSNSNSNNVRHKLRKCTSLKVATSFTRVCLCAPISSYNEVFRAELPPRRSNSYPRMGSYSKPLGTTTTSSASIAQARLSTDHPGIRRVFRGKSLTDDVLMRRFVVEEEAMMQVRRRNQMEVIRRRSVMRRKRLGPSPLCRMVLAEED >ONI33559 pep chromosome:Prunus_persica_NCBIv2:G1:37017772:37021328:1 gene:PRUPE_1G432400 transcript:ONI33559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSVKYISECFVQPHHASEESKQPFYLTPGDLAMLSVHYIQKGLLFSKPPEAKLEQEDFTNSLLERVKHSLSIALVHFYPLAGRFVTEKNEDPPLYLVYVDCNNSPGAKFIYATLDMSISDILSPTDVPLVVQSFFDHDRAVNHDGHTMSLLTIQVTELVDGIFIGLSMNHCLGDGTSYWHFFNIWSEIFQAQAQGDHNNFVPKISRPPVLKRWFPDGHGPIINLPFKHSDEFIGRYEAPKLRERMFHFSSESIAKLKAKANAESNTTKISSFKSLSALMWRSITRARGLPRDQGTSCRLAANNRGRLEPPLSGDYFGNSIHPIRSEIVPAGELLEHGLGWAAWKLHEAVVNHNDKLIREHVDGWLKSPAVYQVSFFDPLSVMMGSSPRFNMYGNQFGMGKALALRSGYAHKFSGKVSSYEGREEGSIDLEVCLPPDAMSALECDSEFMEAASVPRY >ONI28270 pep chromosome:Prunus_persica_NCBIv2:G1:10659380:10665080:1 gene:PRUPE_1G135100 transcript:ONI28270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQMQQSNAAAATALYDHATGAPAGPLHNAGPAGDAGDAVMARWLQSAGLQHLASPLASTGIDNRMLPNLLMQGYGAQSAEEKQRLLKLMRNLNFNGESGSEPYTPTAQTSGGAASDGLYSPEFRGDFGAGLLDLHAMDDTELLSEHVIPEPFEPSPFMPGGKAFDDEFNLTSGRQQRVLPDPDASVPVAQSEKESTKETNVAKIKVVVRKRPLNKKELSRKEEDIVSVYDNAYLTVHEPKLKVDLTAYVEKHEFCFDAVLNEYVSNDEVYRATVEPIIPIIFERTKATCFAYGQTGSGKTFTMQPLPIRAAEDLVRLLHQPVYRNQRFKLWLSYFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLVVKKHSEVKDSRRNNDGNESRSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNARKDQAVNSLPPTIKDVSSTSSTLVSAEIEDAREQRQEVKVTDTGRRAVEKESFTYIPTVEFDKQPAKLSSSNPISIREESGVASGVMDRERFEINNSYGDSYSQKMLYYSQNSGDTEEKVQKVSPPRRKVTKDEKSEKLGNWLKKGGSDLSTTSSKQQNTGNYNTSNVGSKQSEPQLPDGHINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRGPR >ONI28272 pep chromosome:Prunus_persica_NCBIv2:G1:10658755:10665549:1 gene:PRUPE_1G135100 transcript:ONI28272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQMQQSNAAAATALYDHATGAPAGPLHNAGPAGDAGDAVMARWLQSAGLQHLASPLASTGIDNRMLPNLLMQGYGAQSAEEKQRLLKLMRNLNFNGESGSEPYTPTAQTSGGAASDGLYSPEFRGDFGAGLLDLHAMDDTELLSEHVIPEPFEPSPFMPGGKAFDDEFNLTSGRQQRVLPDPDASVPVAQSEKESTKETNVAKIKVVVRKRPLNKKELSRKEEDIVSVYDNAYLTVHEPKLKVDLTAYVEKHEFCFDAVLNEYVSNDEVYRATVEPIIPIIFERTKATCFAYGQTGSGKTFTMQPLPIRAAEDLVRLLHQPVYRNQRFKLWLSYFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLVVKKHSEVKDSRRNNDGNESRSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNARKDQAVNSLPPTIKDVSSTSSTLVSAEIEDAREQRQEVKVTDTGRRAVEKESFTYIPTVEFDKQPAKLSSSNPISIREESGVASGVMDRERFEINNSYGDSYSQKMLYYSQNSGDTEEKVQKVSPPRRKVTKDEKSEKLGNWLKKGGSDLSTTSSKQQNTGNYNTSNVGSKQSEPQLPDGHINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRGPR >ONI28271 pep chromosome:Prunus_persica_NCBIv2:G1:10658755:10665549:1 gene:PRUPE_1G135100 transcript:ONI28271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQMQQSNAAAATALYDHATGAPAGPLHNAGPAGDAGDAVMARWLQSAGLQHLASPLASTGIDNRMLPNLLMQGYGAQSAEEKQRLLKLMRNLNFNGESGSEPYTPTAQTSGGAASDGLYSPEFRGDFGAGLLDLHAMDDTELLSEHVIPEPFEPSPFMPGGKAFDDEFNLTSGRQQRVLPDPDASVPVAQSEKESTKETNVAKIKVVVRKRPLNKKELSRKEEDIVSVYDNAYLTVHEPKLKVDLTAYVEKHEFCFDAVLNEYVSNDEVYRATVEPIIPIIFERTKATCFAYGQTGSGKTFTMQPLPIRAAEDLVRLLHQPVYRNQRFKLWLSYFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLVVKKHSEVKDSRRNNDGNESRSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNARKDQAVNSLPPTIKDVSSTSSTLVSAEIEDAREQRQEVKVTDTGRRAVEKESFTYIPTVEFDKQPAKLSSSNPISIREESGVASGVMDRERFEINNSYGDSYSQKMLYYSQNSGDTEEKVQKVSPPRRKVTKDEKSEKLGNWLKKGGSDLSTTSSKQQNTGNYNTSNVGSKQSEPQLPDGHINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRGPR >ONI35663 pep chromosome:Prunus_persica_NCBIv2:G1:44868537:44871184:-1 gene:PRUPE_1G548700 transcript:ONI35663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQPPTIPLLTPHKMGKFNLSHRVVLAPLTRMRSYNTVPQPHAILYYSQRTSEGGLLIAEASGVSDTAQGFPDCPGLWTREQVEAWKPIVDAVHAKGGVFFCQIWHAGRVSNSGFQPNGQAPISCTDKPLTPQLLANGVDVAQYTPPRRLRTDEIPQIVNDFRLAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNDRTDEYGGSLENRCRFALEIVEAVVNEIGADKVGIRLSPFADYMESADSDPKALGLYMVKSLNKYGIQYCHVVEPRMKAVGDKIESPDSLLPMREAFKGTFIAAGGFDREDGNNAVAEGHADLIAYGRWFLANPDLPKRFELNAPLNKYNRDTFYISDPVVGYTDYPFLETTA >ONI32383 pep chromosome:Prunus_persica_NCBIv2:G1:33504276:33511878:1 gene:PRUPE_1G364600 transcript:ONI32383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANYRFAMDQKDIVRVLITTVDGFIRDQLINKEQRAQHREQCAERLAAEDGSCGRETEVRYSDQAVLANLDWGIEALEEAIDTSNMETKLARLDHAEKMLQVCAMLNSDQKTAGVPNFYLSAWAHLNLSYLSKLRNNVQNSVLHVIEMFIVDPFFSRIDFAPELWKQLFLPHMSSIVGWYSEQRHRLVMEVIPDSTDLSFTADFDQFFSESLIYSMRPDQVEKLQKLEQLYGESLVENTRLYAKYFKDCMNSDSTSSKKVIPMLPIAEAPMTPLHEVSRSIPDFVKFGPILPKSAGFSPILKSKDGTKETSRMSVTSASSLNLESARWDPQEGIPEEDEDESDYEPNDATVASDHEKESGQKVQLSVTKSRIHTPAIFSPFESPKTSPKILSPKPDMQGKNEATSVLRLLSTRMTDSAIATSLPASPGMSNEYSISSADSDYEVIEAATKGCRKTYCRTGSMNSDHVNSQKLKNSPPNENDEGSQSCVSLPSSEKLTTKSRPPKDFVCPITGQIFCDPVTLETGQTYERKAIQEWLKRGNTTCPITRQPIAATTTLPKTNYVLKRLMTSWKEQHPDLAQESSYAETPRYSLNHPSTKENSLPATPQRTTDFLGHRNTDDYINQRNKRFMRAAVSTSPTSVISQAAVETIINGLKPHVSSLCTSENLQECETAVLAIAKLWKDSKADPAVHSYLSELTTVNGFIEILSASLNREVLRTSIYILSELIFSDESVGETLTSVDSDLDCLAVLLKNGLAEAAVLIYQLRPAFAQLSAHDLIPSLVQLILSKNEELDDLQLIMEPKDAALAIIEQILMGGDENSRSINALSVISANGIPALVRCLDRAEGRRSIVSILLCCMQAEKSCRSLIANRVELSPVLELFHAGNDCVRGICVEFLSELVQLNRRTLCNQILQMIKDEGPFSTMHTFLVYLQMAPMEQQPAIATLLLQLDLLVEPWKMSIYREESIEALIEALRRKEFSNSQMMALDALLSLTGHITSSGESYTGAWLLKIAGFDQPYNALMKAEQPRKHDNDLMGTMDEEEKAVTSWQKRVAFVLCNHERGSIFKALEECIKSNSLEMAKSCLVIATWLTNMLSILPDTGVKREARKALLDEFINVLQSSNNLEEKILATLALKSFVSDPAALEALGVYAKCIYKTLRKLKKNSVVANDIMKALMNLSSIDITELWSCAEVVELDSSTNGEVLSLLHVKGRVLSSHSDGTIKVWDAGKKVLRLIQEVREHTKAVTCLYISSSGDKLYSGSLDKTIRVWAIKAEEIHCLQVHDVKEAVYELVANAKVACFISQGTGVKVYEWSGVQKHINFNKYVKSLAMTGPNLYCGCSGYSIQEVNLGKYTSSTFYSGTRKLLGKQVFYSLHIQDGILYAGGSSVDASAGKIFSLPNKAVVGTFVTGLDIQRIAINNDLIFTASKCGVIEVWLKERFTRIASIKMACGGHAKITSLAADMEGGMLFAGSSDGRIQVWALD >ONI32385 pep chromosome:Prunus_persica_NCBIv2:G1:33505071:33510151:1 gene:PRUPE_1G364600 transcript:ONI32385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANYRFAMDQKDIVRVLITTVDGFIRDQLINKEQRAQHREQCAERLAAEDGSCGRETEVRYSDQAVLANLDWGIEALEEAIDTSNMETKLARLDHAEKMLQVCAMLNSDQKTAGVPNFYLSAWAHLNLSYLSKLRNNVQNSVLHVIEMFIVDPFFSRIDFAPELWKQLFLPHMSSIVGWYSEQRHRLVMEVIPDSTDLSFTADFDQFFSESLIYSMRPDQVEKLQKLEQLYGESLVENTRLYAKYFKDCMNSDSTSSKKVIPMLPIAEAPMTPLHEVSRSIPDFVKFGPILPKSAGFSPILKSKDGTKETSRMSVTSASSLNLESARWDPQEGIPEEDEDESDYEPNDATVASDHEKESGQKVQLSVTKSRIHTPAIFSPFESPKTSPKILSPKPDMQGKNEATSVLRLLSTRMTDSAIATSLPASPGMSNEYSISSADSDYEVIEAATKGCRKTYCRTGSMNSDHVNSQKLKNSPPNENDEGSQSCVSLPSSEKLTTKSRPPKDFVCPITGQIFCDPVTLETGQTYERKAIQEWLKRGNTTCPITRQPIAATTTLPKTNYVLKRLMTSWKEQHPDLAQESSYAETPRYSLNHPSTKENSLPATPQRTTDFLGHRNTDDYINQRNKRFMRAAVSTSPTSVISQAAVETIINGLKPHVSSLCTSENLQECETAVLAIAKLWKDSKADPAVHSYLSELTTVNGFIEILSASLNREVLRTSIYILSELIFSDESVGETLTSVDSDLDCLAVLLKNGLAEAAVLIYQLRPAFAQLSAHDLIPSLVQLILSKNEELDDLQLIMEPKDAALAIIEQILMGGDENSRSINALSVISANGIPALVRCLDRAEGRRSIVSILLCCMQAEKSCRSLIANRVELSPVLELFHAGNDCVRGICVEFLSELVQLNRRTLCNQILQMIKDEGPFSTMHTFLVYLQMAPMEQQPAIATLLLQLDLLVEPWKMSIYREESIEALIEALRRKEFSNSQMMALDALLSLTGHITSSGESYTGAWLLKIAGFDQPYNALMKAEQPRKHDNDLMGTMDEEEKAVTSWQKRVAFVLCNHERGSIFKALEECIKSNSLEMAKSCLVIATWLTNMLSILPDTGVKREARKALLDEFINVLQSSNNLEEKILATLALKSFVSDPAALEALGVYAKCIYKTLRKLKKNSVVANDIMKALMNLSSIDITELWSCAEVVELDSSTNGEVLSLLHVKGRVLSSHSDGTIKVWDAGKKVLRLIQEVREHTKAVTCLYISSSGDKLYSGSLDKTIRVWAIKAEEIHCLQVHDVKEAVYELVANAKVACFISQGTGVKVSALCP >ONI32384 pep chromosome:Prunus_persica_NCBIv2:G1:33504305:33511878:1 gene:PRUPE_1G364600 transcript:ONI32384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANYRFAMDQKDIVRVLITTVDGFIRDQLINKEQRAQHREQCAERLAAEDGSCGRETEVRYSDQAVLANLDWGIEALEEAIDTSNMETKLARLDHAEKMLQVCAMLNSDQKTAGVPNFYLSAWAHLNLSYLSKLRNNVQNSVLHVIEMFIVDPFFSRIDFAPELWKQLFLPHMSSIVGWYSEQRHRLVMEVIPDSTDLSFTADFDQFFSESLIYSMRPDQVEKLQKLEQLYGESLVENTRLYAKYFKDCMNSDSTSSKKVIPMLPIAEAPMTPLHEVSRSIPDFVKFGPILPKSAGFSPILKSKDGTKETSRMSVTSASSLNLESARWDPQEGIPEEDEDESDYEPNDATVASDHEKESGQKVQLSVTKSRIHTPAIFSPFESPKTSPKILSPKPDMQGKNEATSVLRLLSTRMTDSAIATSLPASPGMSNEYSISSADSDYEVIEAATKGCRKTYCRTGSMNSDHVNSQKLKNSPPNENDEGSQSCVSLPSSEKLTTKSRPPKDFVCPITGQIFCDPVTLETGQTYERKAIQEWLKRGNTTCPITRQPIAATTTLPKTNYVLKRLMTSWKEQHPDLAQESSYAETPRYSLNHPSTKENSLPATPQRTTDFLGHRNTDDYINQRNKRFMRAAVSTSPTSVISQAAVETIINGLKPHVSSLCTSENLQECETAVLAIAKLWKDSKADPAVHSYLSELTTVNGFIEILSASLNREVLRTSIYILSELIFSDESVGETLTSVDSDLDCLAVLLKNGLAEAAVLIYQLRPAFAQLSAHDLIPSLVQLILSKNEELDDLQLIMEPKDAALAIIEQILMGGDENSRSINALSVISANGIPALVRCLDRAEGRRSIVSILLCCMQAEKSCRSLIANRVELSPVLELFHAGNDCVRGICVEFLSELVQLNRRTLCNQILQMIKDEGPFSTMHTFLVYLQMAPMEQQPAIATLLLQLDLLVEPWKMSIYREESIEALIEALRRKEFSNSQMMALDALLSLTGHITSSGESYTGAWLLKIAGFDQPYNALMKAEQPRKHDNDLMGTMDEEEKAVTSWQKRVAFVLCNHERGSIFKALEECIKSNSLEMAKSCLVIATWLTNMLSILPDTGVKREARKALLDEFINVLQSSNNLEEKILATLALKSFVSDPAALEALGVYAKCIYKTLRKLKKNSVVANDIMKALMNLSSIDITELWSCAEVVELDSSTNGEVLSLLHVKGRVLSSHSDGTIKVWDAGKKVLRLIQEVREHTKAVTCLYISSSGDKLYSGSLDKTIRVWAIKAEEIHCLQVHDVKEAVYELVANAKVACFISQGTGVKVYEWSGVQKHINFNKYVKSLAMTGPNLYCGCSGYSIQEVNLGKYTSSTFYSGTRKLLGKQVFYSLHIQDGILYAGGSSVDASAGKIFSLPNKAVVGTFVTGLDIQRIAINNDLIFTASKCGVIEVWLKERFTRIASIKMACGGHAKITSLAADMEGGMLFAGSSDGRIQVWALD >ONI26757 pep chromosome:Prunus_persica_NCBIv2:G1:3131441:3135503:-1 gene:PRUPE_1G043700 transcript:ONI26757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSFVLQRVGDFTTQEAKFLSGVSHQVEVSQTELQLMQRFLKDADARQGEDARVQIWVAKIRDAAYDLEDVIETYGLKVASKKKTGMKNVLKRFACIFKERVDLRKIGAEIENIIAKISNLRMSLQSYNIVRETREIGGASSLQSFERQQQLRRTYSHVIERDVVGIEDNVKEIVTHLVKEESCLRVVSIWGMGGAGKTTLAKQIYHHKEEQREEIAKMRDYEIAKKLFRVQQGKRCLVILDDIWSIETFNSLKAAFPLTCEETQSRILLTTRNEAVALHADRNGFLHQPQALNEIKSWELFEKIALLGRVDKDSGVYIKMKELGMEMLRHCAGLPLAITVLAGVLARKNTVNEWITVHANVYVYIRRGIGPEEEYAGASWVLALSYDDLPYHLKPCLLYLGHFPEDFEIPVKRLTQLWMAEGLVSLTQGQGLGEAMEDIAYHCLSELMIRCVVQVGETGSIGTIKTCRIHDLVRDLCLSKAEEENFLQVVNSSQRNEAISPFSSSMVTKAAPLGKVRRLAIYLNENADKLVPSRYEKDDHLRSLLYFGLKEWRRQCKRLILTMFKDFKLLRVLKVEGMNREAELPSEIGNMVHLRFLSLRGSNIKRIPASLGNLICLQTLDLRVEDSWLFIPNVIWKMKHIRHLYLPFFYRLRLSGKLKISTLHNLQTLYPVSSSNCDLNDLTGLTNLRKLSITLSSPLENLEEILKSTGSTLNHIRSLFVYTDLAVTGSTEQVTQIVSSCRHIYKLKLEGPTAELPRELHCFPNLTKLTLRRFFLKDDQMGIIEKLPNLTTLRLEQNTFNEDAKILVFSKGGFPHLQFLSLFHMSEVKEWRVQEGAMPSLRRLSIKYCNGLTTIVDGLRYLTTLRELSIEGMSSTFQSKLKAGGEDFHKIQHVTSLVFG >ONI26759 pep chromosome:Prunus_persica_NCBIv2:G1:3132085:3135375:-1 gene:PRUPE_1G043700 transcript:ONI26759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSFVLQRVGDFTTQEAKFLSGVSHQVEVSQTELQLMQRFLKDADARQGEDARVQIWVAKIRDAAYDLEDVIETYGLKVASKKKTGMKNVLKRFACIFKERVDLRKIGAEIENIIAKISNLRMSLQSYNIVRETREIGGASSLQSFERQQQLRRTYSHVIERDVVGIEDNVKEIVTHLVKEESCLRVVSIWGMGGAGKTTLAKQIYHHKEVRCHFNSFAWVCISQQCQVRDVWEGILIKLISATKEQREEIAKMRDYEIAKKLFRVQQGKRCLVILDDIWSIETFNSLKAAFPLTCEETQSRILLTTRNEAVALHADRNGFLHQPQALNEIKSWELFEKIALLGRVDKDSGVYIKMKELGMEMLRHCAGLPLAITVLAGVLARKNTVNEWITVHANVYVYIRRGIGPEEEYAGASWVLALSYDDLPYHLKPCLLYLGHFPEDFEIPVKRLTQLWMAEGLVSLTQGQGLGEAMEDIAYHCLSELMIRCVVQVGETGSIGTIKTCRIHDLVRDLCLSKAEEENFLQVVNSSQRNEAISPFSSSMVTKAAPLGKVRRLAIYLNENADKLVPSRYEKDDHLRSLLYFGLKEWRRQCKRLILTMFKDFKLLRVLKVEGMNREAELPSEIGNMVHLRFLSLRGSNIKRIPASLGNLICLQTLDLRVEDSWLFIPNVIWKMKHIRHLYLPFFYRLRLSGKLKISTLHNLQTLYPVSSSNCDLNDLTGLTNLRKLSITLSSPLENLEEILKSTGSTLNHIRSLFVYTDLAVTGSTEQVTQIVSSCRHIYKLKLEGPTAELPRELHCFPNLTKLTLRRFFLKDDQMGIIEKLPNLTTLRLEQNTFNEDAKILVFSKGGFPHLQFLSLFHMSEVKEWRVQEGAMPSLRRLSIKYCNGLTTIVDGLRYLTTLRELSIEGMSSTFQSKLKAGGEDFHKIQHVTSLVFG >ONI26761 pep chromosome:Prunus_persica_NCBIv2:G1:3132085:3135375:-1 gene:PRUPE_1G043700 transcript:ONI26761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSFVLQRVGDFTTQEAKFLSGVSHQVEVSQTELQLMQRFLKDADARQGEDARVQIWVAKIRDAAYDLEDVIETYGLKVASKKKTGMKNVLKRFACIFKERVDLRKIGAEIENIIAKISNLRMSLQSYNIVRETREIGGASSLQSFERQQQLRRTYSHVIERDVVGIEDNVKEIVTHLVKEESCLRVVSIWGMGGAGKTTLAKQIYHHKEVRCHFNSFAWVCISQQCQVRDVWEGILIKLISATKEQREEIAKMRDYEIAKKLFRVQQGKRCLVILDDIWSIETFNSLKAAFPLTCEETQSRILLTTRNEAVALHADRNGFLHQPQALNEIKSWELFEKIALLGRVDKDSGVYIKMKELGMEMLRHCAGLPLAITVLAGVLARKNTVNEWITVHANVYVYIRRGIGPEEEYAGASWVLALSYDDLPYHLKPCLLYLGHFPEDFEIPVKRLTQLWMAEGLVSLTQGQGLGEAMEDIAYHCLSELMIRCVVQVGETGSIGTIKTCRIHDLVRDLCLSKAEEENFLQVVNSSQRNEAISPFSSSMVTKAAPLGKVRRLAIYLNENADKLVPSRYEKDDHLRSLLYFGLKEWRRQCKRLILTMFKDFKLLRVLKVEGMNREAELPSEIGNMVHLRFLSLRGSNIKRIPASLGNLICLQTLDLRVEDSWLFIPNVIWKMKHIRHLYLPFFYRLRLSGKLKISTLHNLQTLYPVSSSNCDLNDLTGLTNLRKLSITLSSPLENLEEILKSTGSTLNHIRSLFVYTDLAVTGSTEQVTQIVSSCRHIYKLKLEGPTAELPRELHCFPNLTKLTLRRFFLKDDQMGIIEKLPNLTTLRLEQNTFNEDAKILVFSKGGFPHLQFLSLFHMSEVKEWRVQEGAMPSLRRLSIKYCNGLTTIVDGLRYLTTLRELSIEGMSSTFQSKLKAGGEDFHKIQHVTSLVFG >ONI26756 pep chromosome:Prunus_persica_NCBIv2:G1:3131406:3135531:-1 gene:PRUPE_1G043700 transcript:ONI26756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSFVLQRVGDFTTQEAKFLSGVSHQVEVSQTELQLMQRFLKDADARQGEDARVQIWVAKIRDAAYDLEDVIETYGLKVASKKKTGMKNVLKRFACIFKERVDLRKIGAEIENIIAKISNLRMSLQSYNIVRETREIGGASSLQSFERQQQLRRTYSHVIERDVVGIEDNVKEIVTHLVKEESCLRVVSIWGMGGAGKTTLAKQIYHHKEVRCHFNSFAWVCISQQCQVRDVWEGILIKLISATKEQREEIAKMRDYEIAKKLFRVQQGKRCLVILDDIWSIETFNSLKAAFPLTCEETQSRILLTTRNEAVALHADRNGFLHQPQALNEIKSWELFEKIALLGRVDKDSGVYIKMKELGMEMLRHCAGLPLAITVLAGVLARKNTVNEWITVHANVYVYIRRGIGPEEEYAGASWVLALSYDDLPYHLKPCLLYLGHFPEDFEIPVKRLTQLWMAEGLVSLTQGQGLGEAMEDIAYHCLSELMIRCVVQVGETGSIGTIKTCRIHDLVRDLCLSKAEEENFLQVVNSSQRNEAISPFSSSMVTKAAPLGKVRRLAIYLNENADKLVPSRYEKDDHLRSLLYFGLKEWRRQCKRLILTMFKDFKLLRVLKVEGMNREAELPSEIGNMVHLRFLSLRGSNIKRIPASLGNLICLQTLDLRVEDSWLFIPNVIWKMKHIRHLYLPFFYRLRLSGKLKISTLHNLQTLYPVSSSNCDLNDLTGLTNLRKLSITLSSPLENLEEILKSTGSTLNHIRSLFVYTDLAVTGSTEQVTQIVSSCRHIYKLKLEGPTAELPRELHCFPNLTKLTLRRFFLKDDQMGIIEKLPNLTTLRLEQNTFNEDAKILVFSKGGFPHLQFLSLFHMSEVKEWRVQEGAMPSLRRLSIKYCNGLTTIVDGLRYLTTLRELSIEGMSSTFQSKLKAGGEDFHKIQHVTSLVFGFVDALCVGVDLLNGMSTEYALSYCTSSWDLHDMGKI >ONI26758 pep chromosome:Prunus_persica_NCBIv2:G1:3132085:3135375:-1 gene:PRUPE_1G043700 transcript:ONI26758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSFVLQRVGDFTTQEAKFLSGVSHQVEVSQTELQLMQRFLKDADARQGEDARVQIWVAKIRDAAYDLEDVIETYGLKVASKKKTGMKNVLKRFACIFKERVDLRKIGAEIENIIAKISNLRMSLQSYNIVRETREIGGASSLQSFERQQQLRRTYSHVIERDVVGIEDNVKEIVTHLVKEESCLRVVSIWGMGGAGKTTLAKQIYHHKEVRCHFNSFAWVCISQQCQVRDVWEGILIKLISATKEQREEIAKMRDYEIAKKLFRVQQGKRCLVILDDIWSIETFNSLKAAFPLTCEETQSRILLTTRNEAVALHADRNGFLHQPQALNEIKSWELFEKIALLGRVDKDSGVYIKMKELGMEMLRHCAGLPLAITVLAGVLARKNTVNEWITVHANVYVYIRRGIGPEEEYAGASWVLALSYDDLPYHLKPCLLYLGHFPEDFEIPVKRLTQLWMAEGLVSLTQGQGLGEAMEDIAYHCLSELMIRCVVQVGETGSIGTIKTCRIHDLVRDLCLSKAEEENFLQVVNSSQRNEAISPFSSSMVTKAAPLGKVRRLAIYLNENADKLVPSRYEKDDHLRSLLYFGLKEWRRQCKRLILTMFKDFKLLRVLKVEGMNREAELPSEIGNMVHLRFLSLRGSNIKRIPASLGNLICLQTLDLRVEDSWLFIPNVIWKMKHIRHLYLPFFYRLRLSGKLKISTLHNLQTLYPVSSSNCDLNDLTGLTNLRKLSITLSSPLENLEEILKSTGSTLNHIRSLFVYTDLAVTGSTEQVTQIVSSCRHIYKLKLEGPTAELPRELHCFPNLTKLTLRRFFLKDDQMGIIEKLPNLTTLRLEQNTFNEDAKILVFSKGGFPHLQFLSLFHMSEVKEWRVQEGAMPSLRRLSIKYCNGLTTIVDGLRYLTTLRELSIEGMSSTFQSKLKAGGEDFHKIQHVTSLVFG >ONI26760 pep chromosome:Prunus_persica_NCBIv2:G1:3131441:3135540:-1 gene:PRUPE_1G043700 transcript:ONI26760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVSFVLQRVGDFTTQEAKFLSGVSHQVEVSQTELQLMQRFLKDADARQGEDARVQIWVAKIRDAAYDLEDVIETYGLKVASKKKTGMKNVLKRFACIFKERVDLRKIGAEIENIIAKISNLRMSLQSYNIVRETREIGGASSLQSFERQQQLRRTYSHVIERDVVGIEDNVKEIVTHLVKEESCLRVVSIWGMGGAGKTTLAKQIYHHKEVRCHFNSFAWVCISQQCQVRDVWEGILIKLISATKEQREEIAKMRDYEIAKKLFRVQQGKRCLVILDDIWSIETFNSLKAAFPLTCEETQSRILLTTRNEAVALHADRNGFLHQPQALNEIKSWELFEKIALLGRVDKDSGVYIKMKELGMEMLRHCAGLPLAITVLAGVLARKNTVNEWITVHANVYVYIRRGIGPEEEYAGASWVLALSYDDLPYHLKPCLLYLGHFPEDFEIPVKRLTQLWMAEGLVSLTQGQGLGEAMEDIAYHCLSELMIRCVVQVGETGSIGTIKTCRIHDLVRDLCLSKAEEENFLQVVNSSQRNEAISPFSSSMVTKAAPLGKVRRLAIYLNENADKLVPSRYEKDDHLRSLLYFGLKEWRRQCKRLILTMFKDFKLLRVLKVEGMNREAELPSEIGNMVHLRFLSLRGSNIKRIPASLGNLICLQTLDLRVEDSWLFIPNVIWKMKHIRHLYLPFFYRLRLSGKLKISTLHNLQTLYPVSSSNCDLNDLTGLTNLRKLSITLSSPLENLEEILKSTGSTLNHIRSLFVYTDLAVTGSTEQVTQIVSSCRHIYKLKLEGPTAELPRELHCFPNLTKLTLRRFFLKDDQMGIIEKLPNLTTLRLEQNTFNEDAKILVFSKGGFPHLQFLSLFHMSEVKEWRVQEGAMPSLRRLSIKYCNGLTTIVDGLRYLTTLRELSIEGMSSTFQSKLKAGGEDFHKIQHVTSLVFG >ONI26788 pep chromosome:Prunus_persica_NCBIv2:G1:3270790:3274993:-1 gene:PRUPE_1G045900 transcript:ONI26788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWETSEDKLKEYFSNYGDVLQTVVMRDKVTGRPRGFGFVVFVDPAVLDRVLQDKHTIDGRTVEAKRALSREEQQTTGRVGNANPTRSAGNGGNIRTKKIFVGGLPPTLSEEGFREYFETYGHVTDVVVMYDQSTGRPRGFGFISFDTEEAVDRVLHKTFHDLNGKQVEVKRALPKDANPGGGGRSMGGGQGGGGAAGGGYQGYGASGGNPNAYDGRMDSNRYMQSQSTGGGFPPYGSSGYNAPSYGYGPTSNGIGYGGYGSYGGTNTGYGGPAAAAYGNPNAPNAGYASGPPGAPRSSWSSQAPSGYGAMGYGNTAPWGVSGGSAGAGSGGPGSAPAGQSPSAAAGYGAQGYGYGGYSGSDASYANPSGYGAVGGRSGSVPNNNVGGAGGEQGSGGGYVGSGYGDSNGNTGYGNAGWRSDPSQASGNYGGQANGGQVGYGGGYGSAQARQSQQQ >ONI26787 pep chromosome:Prunus_persica_NCBIv2:G1:3270742:3274994:-1 gene:PRUPE_1G045900 transcript:ONI26787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWETSEDKLKEYFSNYGDVLQTVVMRDKVTGRPRGFGFVVFVDPAVLDRVLQDKHTIDGRTVEAKRALSREEQQTTGRVGNANPTRSAGNGGNIRTKKIFVGGLPPTLSEEGFREYFETYGHVTDVVVMYDQSTGRPRGFGFISFDTEEAVDRVLHKTFHDLNGKQVEVKRALPKDANPGGGGRSMGGGQGGGGAAGGGYQGYGASGGNPNAYDGRMDSNRYMQSQSTGGGFPPYGSSGYNAPSYGYGPTSNGIGYGGYGSYGGTNTGYGGPAAAAYGNPNAPNAGYASGPPGAPRSSWSSQAPSGYGAMGYGNTAPWGVSGGSAGAGSGGPGSAPAGQSPSAAAGYGAQGYGYGGYSGSDASYANPSGYGAVGGRSGSVPNNNVGGAGGEQGSGGGYVGSGYGDSNGNTGYGNAGWRSDPSQASGNYGGQANGGQVGYGGGYGSAQARQSQQQ >ONI30149 pep chromosome:Prunus_persica_NCBIv2:G1:24807289:24809704:-1 gene:PRUPE_1G233800 transcript:ONI30149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQARDVEDAAARQKQIDDWLPITSARNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVAIMILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLEKFHDVICPSCKTIRTSYWIMIFASSHFVLSHLPNFNAISGISLAAAVMSLSYSTIAWGAALHKGVEPNVDYSYKAKTNSGAVFNFFSGLGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVSFVGYWVYGNKIEDNILISLDKPVWLIATANMFVVVHVIGSYQVFAMGVFDMVETFLVKKMNFTPSFALRFVTRTTYVAFTMIVGIAIPFFSGLLGFFGGFAFAPTTYFLPCIMWLSIYKPKRFSLSWCMNWLCILLGLTLMILAPIGALRNIILQAKTYKFFS >ONI26522 pep chromosome:Prunus_persica_NCBIv2:G1:2157321:2159428:-1 gene:PRUPE_1G030200 transcript:ONI26522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLRMDLEVFYKSQMREFFEVDLNMFYEVELLREVAVLAENLDRDKLVSSRFIVTRLLEGLLSEKADEDLGYFLAVTGIKRIGKGEVVHNSGDVFFPVVFNCRMFLPRKGEILEGVVDHVHRLGVFLRCGPVKYVFLSARKMPNYRYVVGEKPVFLHDDLARIEKDVVVRFEVFGVRWMRREDITKEFMMLATLQGDLLGPVTGPDGLDL >ONI26517 pep chromosome:Prunus_persica_NCBIv2:G1:2157348:2159428:-1 gene:PRUPE_1G030200 transcript:ONI26517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLRMDLEVFYKSQMRELELLREVAVLAENLDRDKLVSSRFIVTRLLEGLLSEKADEDLGYFLAVTGIKRIGKGEVVHNSGDVFFPVVFNCRMFLPRKGEILEGVVDHVHRLGVFLRCGPVKYVFLSARKMPNYRYVVGEKPVFLHDDLARIEKDVVVRFEVFGVRWMRREDITKEFMMLATLQGDLLGPVTGPDGLDL >ONI26521 pep chromosome:Prunus_persica_NCBIv2:G1:2157346:2159501:-1 gene:PRUPE_1G030200 transcript:ONI26521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLRMDLEVFYKSQMREFFEVDLNMFYEVELLREVAVLAENLDRDKLVSSRFIVTRLLEGLLSEKADEDLGYFLAVTGIKRIGKGEVVHNSGDVFFPVVFNCRMFLPRKGEILEGVVDHVHRLGVFLRCGPVKYVFLSARKMPNYRYVVGEKPVFLHDDLARIEKDVVVRFEVFGVRWMRREDITKEFMMLATLQGDLLGPVTGPDGLDL >ONI26524 pep chromosome:Prunus_persica_NCBIv2:G1:2157372:2159434:-1 gene:PRUPE_1G030200 transcript:ONI26524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYEVELLREVAVLAENLDRDKLVSSRFIVTRLLEGLLSEKADEDLGYFLAVTGIKRIGKGEVVHNSGDVFFPVVFNCRMFLPRKGEILEGVVDHVHRLGVFLRCGPVKYVFLSARKMPNYRYVVGEKPVFLHDDLARIEKDVVVRFEVFGVRWMRREDITKEFMMLATLQGDLLGPVTGPDGLDL >ONI26523 pep chromosome:Prunus_persica_NCBIv2:G1:2157374:2159432:-1 gene:PRUPE_1G030200 transcript:ONI26523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYEVELLREVAVLAENLDRDKLVSSRFIVTRLLEGLLSEKADEDLGYFLAVTGIKRIGKGEVVHNSGDVFFPVVFNCRMFLPRKGEILEGVVDHVHRLGVFLRCGPVKYVFLSARKMPNYRYVVGEKPVFLHDDLARIEKDVVVRFEVFGVRWMRREDITKEFMMLATLQGDLLGPVTGPDGLDL >ONI26519 pep chromosome:Prunus_persica_NCBIv2:G1:2157373:2159456:-1 gene:PRUPE_1G030200 transcript:ONI26519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLRMDLEVFYKSQMREFFEVDLNMFYEVELLREVAVLAENLDRDKLVSSRFIVTRLLEGLLSEKADEDLGYFLAVTGIKRIGKGEVVHNSGDVFFPVVFNCRMFLPRKGEILEGVVDHVHRLGVFLRCGPVKYVFLSARKMPNYRYVVGEKPVFLHDDLARIEKDVVVRFEVFGVRWMRREDITKEFMMLATLQGDLLGPVTGPDGLDL >ONI26520 pep chromosome:Prunus_persica_NCBIv2:G1:2157377:2159428:-1 gene:PRUPE_1G030200 transcript:ONI26520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLRMDLEVFYKSQMREFFEVDLNMFYEVELLREVAVLAENLDRDKLVSSRFIVTRLLEGLLSEKADEDLGYFLAVTGIKRIGKGEVVHNSGDVFFPVVFNCRMFLPRKGEILEGVVDHVHRLGVFLRCGPVKYVFLSARKMPNYRYVVGEKPVFLHDDLARIEKDVVVRFEVFGVRWMRREDITKEFMMLATLQGDLLGPVTGPDGLDL >ONI26518 pep chromosome:Prunus_persica_NCBIv2:G1:2157368:2159428:-1 gene:PRUPE_1G030200 transcript:ONI26518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLRMDLEVFYKSQMREFFEVDLNMFYEVELLREVAVLAENLDRDKLVSSRFIVTRLLEGLLSEKADEDLGYFLAVTGIKRIGKGEVVHNSGDVFFPVVFNCRMFLPRKGEILEGVVDHVHRLGVFLRCGPVKYVFLSARKMPNYRYVVGEKPVFLHDDLARIEKDVVVRFEVFGVRWMRREDITKEFMMLATLQGDLLGPVTGPDGLDL >ONI36172 pep chromosome:Prunus_persica_NCBIv2:G1:46719473:46727117:1 gene:PRUPE_1G573200 transcript:ONI36172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGEGFSLNIALCVSVPQGFRQSKGLILLLVNSRINRNKHTGIFMEMQGNGSPTTSNQSTINIPHVLTVAGSDSGAGAGIQADLKACAARGVYCSTVITAVTAQNTAGVQGVNVVPEDFVAEQMKSVLSDMHVDVVKTGMLPSIGIVKILHQHLREYPVRALVVDPVMVSTSGDVLAGPSVLAGFREELLPMANIITPNLKEASALLDGVKIKTVSDMRSAAKLLHDKGARNVLVKGGDLPDSLDAVDIFFDGEHLYELRSSRIKTRNTHGTGCTLASCIAAELAKGASMLEAVKVAKCFVETALDYSKEIFIGNGPQGPFDHLMKLKSNAHNSGRQVRFNPSDLFLYAVTDSGMNRRWGHSISDAVKAAVQGGATIVQLREKDIETRDFVEAAKSCLQICRAHGVPLLINDRIDVALACDADGVHIGQSDMPAHTARALLGPEKIIGVSCKTPEQAEQAWIAGADYIGCGGVYPTNTKANNLTVGLDGLKTVCLASKLPVVAIGGIKVSNARPVMEIGVPNLKGVAVVSAIFDSECVLTETRKLHAVLTEATAAVK >ONI36171 pep chromosome:Prunus_persica_NCBIv2:G1:46717569:46727117:1 gene:PRUPE_1G573200 transcript:ONI36171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGFSSYILSEPLLRTDLSRWMKLDGWIKTGLLIRFRVESENPIHSQNYNFMAYDAQFLSTSLSFHSLYKSVPQGFRQSKGLILLLVNSRINRNKHTGIFMEMQGNGSPTTSNQSTINIPHVLTVAGSDSGAGAGIQADLKACAARGVYCSTVITAVTAQNTAGVQGVNVVPEDFVAEQMKSVLSDMHVDVVKTGMLPSIGIVKILHQHLREYPVRALVVDPVMVSTSGDVLAGPSVLAGFREELLPMANIITPNLKEASALLDGVKIKTVSDMRSAAKLLHDKGARNVLVKGGDLPDSLDAVDIFFDGEHLYELRSSRIKTRNTHGTGCTLASCIAAELAKGASMLEAVKVAKCFVETALDYSKEIFIGNGPQGPFDHLMKLKSNAHNSGRQVRFNPSDLFLYAVTDSGMNRRWGHSISDAVKAAVQGGATIVQLREKDIETRDFVEAAKSCLQICRAHGVPLLINDRIDVALACDADGVHIGQSDMPAHTARALLGPEKIIGVSCKTPEQAEQAWIAGADYIGCGGVYPTNTKANNLTVGLDGLKTVCLASKLPVVAIGGIKVSNARPVMEIGVPNLKGVAVVSAIFDSECVLTETRKLHAVLTEATAAVK >ONI36173 pep chromosome:Prunus_persica_NCBIv2:G1:46719607:46727117:1 gene:PRUPE_1G573200 transcript:ONI36173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIPELHSNFFIISLSLNHYQSVPQGFRQSKGLILLLVNSRINRNKHTGIFMEMQGNGSPTTSNQSTINIPHVLTVAGSDSGAGAGIQADLKACAARGVYCSTVITAVTAQNTAGVQGVNVVPEDFVAEQMKSVLSDMHVDVVKTGMLPSIGIVKILHQHLREYPVRALVVDPVMVSTSGDVLAGPSVLAGFREELLPMANIITPNLKEASALLDGVKIKTVSDMRSAAKLLHDKGARNVLVKGGDLPDSLDAVDIFFDGEHLYELRSSRIKTRNTHGTGCTLASCIAAELAKGASMLEAVKVAKCFVETALDYSKEIFIGNGPQGPFDHLMKLKSNAHNSGRQVRFNPSDLFLYAVTDSGMNRRWGHSISDAVKAAVQGGATIVQLREKDIETRDFVEAAKSCLQICRAHGVPLLINDRIDVALACDADGVHIGQSDMPAHTARALLGPEKIIGVSCKTPEQAEQAWIAGADYIGCGGVYPTNTKANNLTVGLDGLKTVCLASKLPVVAIGGIKVSNARPVMEIGVPNLKGVAVVSAIFDSECVLTETRKLHAVLTEATAAVK >ONI31060 pep chromosome:Prunus_persica_NCBIv2:G1:28859004:28861744:-1 gene:PRUPE_1G289600 transcript:ONI31060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALIDVNPTPILKNELDIVIPTIRNLDFLEMWKPYFEPYDLIIVQDGDPSRTIKVPDGFDCELYNWNDINCILGPQASCISFKDSACRCFGYLVSKKKYIFTIGDDCFNRLNLSTPLFFNTLYDPYREGADSVHGYPLRPIGFLRGGVPTAVSHGLWLNIPDYDAPTQLANPLERNTRFVDAVLTIPKGTLFPMCDMNLAFNRELIGPPMYFGLMGDGQPIGRYGDMWAGWCMKQSQESVNLKKEYYGIFWQEELIPFFQFAVLTKECTTVQKCYVELSKQVKAKLGKIDPYFLKLADAMVTWVEAWDELNGKVYAPVK >ONI32047 pep chromosome:Prunus_persica_NCBIv2:G1:32353536:32366127:1 gene:PRUPE_1G346000 transcript:ONI32047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQAGSSHFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKTHLHIVLEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDARHRPDAKTLLSHPWIQNCRRALQSSIRHSGTLRKDASIDAEISNGDNQGSGESPAEKVEVAASTIKTDSKKELLSTEVSDMSKSDDDPASVLKFSEEKTDDLEDDVTDQVPTLAIHEKSSFQNGSDKIPSNKELATSDPTELDDLPHKGNHDAVLANGEVRSPESMTKNVSGKHGGKGVGYRSFGFGQRNQDGSFQKAAKMPVPLGGNELSKFSDTPGDASLDDLFHPLDKHPEDKATEASTSASMSILNQGTTSANDAGKSDLATKLRATIAQKQMESEMGQANGSGGNLLQLMMGVLKDDVIDIGGLVFDEKLPGENLFPLQAVEFSRLVGSLRPDESEDVIVSACQKLIAIFHQRPEQKSVFVTQHGLLPLMELLEVPKTRVICSVLQIINQIIKDNTDFQENACLVGLIPVVMSFAVPNHSREIRMEAAYFLQQLCQSSPLTLQMFIACRGIPVLVGFLEADYAKFREMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASISGGGGFPHDGSAQRARSGSLDSGHPIFAQSDTPLPTTDQHDMSKARHGMIDFHLSTGTAEPARASTSNSQRLDANQSDPRYLHLDTDRAQSSSVVVEASIPSKLPDSTSVDKVVNITTKERGDLDLRQQRATNSSSRASTDRPPKMMEVTSNGFPTTVAAQQEQVRPLLSLLEKEPPSRHFSGQLEYVRHLPGLERHESILPLLHASNEKKTNGELDFLMAEFADVSQRGRENGNLDSTARISHKTINKEIGTLASNKGAASTSGIASQTASGVLSGSGVLNARPGSATSSGLLSHMVSTLNADVAREYLEKVADLLLEFAQADTTVKSYMCSQSLLSRLFQMFNRVEPPILLKILKCVNYLSTDPNCLENLQRADAIKYLIPNLELKEGALVSQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMHFIESNSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLEDELWSVTALDSIAVCLALDNDNRKVEQALLRKDAVQKLVKFFQCCPEQYFVHILEPFLKIITKSSRINTTLAVNGLTPLLITRLDHRDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >ONI32046 pep chromosome:Prunus_persica_NCBIv2:G1:32353537:32366127:1 gene:PRUPE_1G346000 transcript:ONI32046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQAGSSHFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKTHLHIVLEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSHDITDFLGQCFKKDARHRPDAKTLLSHPWIQNCRRALQSSIRHSGTLRKDASIDAEISNGDNQGSGESPAEKVEVAASTIKTDSKKELLSTEVSDMSKSDDDPASVLKFSEEKTDDLEDDVTDQVPTLAIHEKSSFQNGSDKIPSNKELATSDPTELDDLPHKGNHDAVLANGEVRSPESMTKNVSGKHGGKGVGYRSFGFGQRNQDGSFQKAAKMPVPLGGNELSKFSDTPGDASLDDLFHPLDKHPEDKATEASTSASMSILNQGTTSANDAGKSDLATKLRATIAQKQMESEMGQANGSGGNLLQLMMGVLKDDVIDIGGLVFDEKLPGENLFPLQAVEFSRLVGSLRPDESEDVIVSACQKLIAIFHQRPEQKSVFVTQHGLLPLMELLEVPKTRVICSVLQIINQIIKDNTDFQENACLVGLIPVVMSFAVPNHSREIRMEAAYFLQQLCQSSPLTLQMFIACRGIPVLVGFLEADYAKFREMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASISGGGGFPHDGSAQRARSGSLDSGHPIFAQSDTPLPTTDQHDMSKARHGMIDFHLSTGTAEPARASTSNSQRLDANQSDPRYLHLDTDRAQSSSVVVEASIPSKLPDSTSVDKVVNITTKERGDLDLRQQRATNSSSRASTDRPPKMMEVTSNGFPTTVAAQQEQVRPLLSLLEKEPPSRHFSGQLEYVRHLPGLERHESILPLLHASNEKKTNGELDFLMAEFADVSQRGRENGNLDSTARISHKTINKEIGTLASNKGAASTSGIASQTASGVLSGSGVLNARPGSATSSGLLSHMVSTLNADVAREYLEKVADLLLEFAQADTTVKSYMCSQSLLSRLFQMFNRVEPPILLKILKCVNYLSTDPNCLENLQRADAIKYLIPNLELKEGALVSQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMHFIESNSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLEDELWSVTALDSIAVCLALDNDNRKVEQALLRKDAVQKLVKFFQCCPEQYFVHILEPFLKIITKSSRINTTLAVNGLTPLLITRLDHRDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >ONI32737 pep chromosome:Prunus_persica_NCBIv2:G1:34403188:34404624:-1 gene:PRUPE_1G382700 transcript:ONI32737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARETTQKKPHVAVLASPGMGHVTPLLQLAKRLVVDHGFQVTFLSTSTDAPPAQIQLLNSSTLPPDLHVIHLPHVDVSNLLTAATGISVRIILVVLQTLSHLPSVLTNMQPPIQSLIVDPFCVGALEYAAAFSIPTYLFLTTSASFTALTMYFPTLHAQVDGDYSKIAEKVVVPGCNPLLLDDLIPGVLMPEVLPLCNRIPLVKGVFINTWEELEPVALGAVRKNPFFLGLPAPPVYPIGPLTKGNEDEPLTSTLESKKMLTWLDKQPPDSVVYVSFGSGGTLSGEQMSELAWGLELSQTRFVWVARPPSEVSASGSFFEAGYDAKGAASYLPDGFLDRTRERGLVISSWAPQVAVLSHGSVAGFVTHCGWNSVLESIAQGVGMIAWPLYAEQSMNARMLVDMGVAVWPKPAGERAVVGRGEVERVVRSVMEGEQGKAMRQKVIELQGTAFKALGLGGSSNASLAGLADEWRAGGSP >ONI33077 pep chromosome:Prunus_persica_NCBIv2:G1:35572080:35573892:1 gene:PRUPE_1G404100 transcript:ONI33077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLDRSGGMATLSSTAHVSTNPFSSLAPPPSQTIKVAANAKKLPRVRCASSPSNWRESRRLVSISLSLGLSNLILVPNYAVAGSPFDKYVKRKKLDPLEAYVSPVILTQLQIKDLEKSLEGDEPQFATCRSLLRSGPAASLRVNIRAVAQYASDDGNGKTASSSVDQCLRALEELDSLLLHASRKEQASVKSMKAQINTALGALDSLLKTVPSDVLDKGKVAADSYRSSLENADVDISDPDLKQLESIL >ONI30810 pep chromosome:Prunus_persica_NCBIv2:G1:28047902:28049619:-1 gene:PRUPE_1G274500 transcript:ONI30810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRTRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGAAVKERIIRAFLIEEQKIVKKVLKIQKAKEKQASKS >ONI26413 pep chromosome:Prunus_persica_NCBIv2:G1:1592241:1595300:-1 gene:PRUPE_1G023100 transcript:ONI26413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSICNAKLNSIDVLLEGENTLSTARKRWHKAFAAIFFCRAMLSLVKKSEAEKKSTKISYSPVHTTSHFQLKNGFKIDSKCLTELMKEKNLHLLRELEGIDGIASALQTDIERGVHDDGDNIALRHKAFGSNTYKKPPSKSLFHFMWQASKDLTIVILLGCAALSLGFGIKQQGLKEGWYDGGSIFVAVFLIVAISAFSDYRQSKQFDKLSKASEDIPIDVLRGGRRQKILNFDIVVGDVVFLKIGDQVPADGLFLYGHSLRVDESSMTGESDHVEVDCSHNPFLFSGTKVVDGYGQMLVTSVGMNSTWGQMMSLINHDTSDQQTPLQERLSKLTPLMAKIGLAVAFMVFVVLLARYFTGNTKKENEIGKFDGSKTKIDDVVNAVVDIIAIAVIVVVIAIPEGFPLAVTLTLAYSMKKMMAEQALVRRLSACETMGCATTICTDKTGTLTLNQMKVTKFWLGQKSVEDGAAYSSIPDCLLDLILEGVAFNTTGSVYRPTSASEFEFTGSPTEKAILSWAALELNMDMEGLTKKCIIQHVEAFNSQKKRSGVLMKRKADNTTHVHWKGAAEMILEMCSSYYDASGITQDLTDDERMKFEQTIQGMAASSLRCIAFAHEQIQDYKHCDEKIHEKLEDSGLILLGLVGLKDPCRPGVREAVEACQFAGVQVKLITGDNVFTAKAIATECGILRADQDMVREAVVEGVEFRNYTPEQRMQKVDEICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFATLVTVLRWGRGVYANIQKFVQFQLTINVATLVINFVAAASAGEVPLTAVQLLWVNLIMDTMAALALATDKPTKELMERPPVGRTEPVITCIMWRNILSQALFQIAVLLILQFRGRSIFGVNERVNNTLIFNTFVFCQVFNEFNSRNIEKNNVFKGSQRNKLFWVIIAITIAVQVVMVELLERFADTERLSWGQWGACIGIAAISWPISWVFKCIPVPAKPIFSYLKRDK >ONI28998 pep chromosome:Prunus_persica_NCBIv2:G1:14672628:14680186:1 gene:PRUPE_1G174400 transcript:ONI28998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGYNGGGLLVRFHSLVGFLPFPQLSPSHFCKERGKSLQDIAKGLPGSLISVKVIQVDEEHKKLIFSEKEAVWSKFSEQINVGDIFEARVGSIEDYGAFVHLRFPDGLYHLTGLVHVSEVSWDLVQDVRDILNEGDDVRVKIINIDRVKSRITLSIKQLEEDPLLETLDKVIPQDGSVGPDSLSTDNSSNIEPLPGLEAIFEELVREDGIDDVRINRQGFEKRVVSQDLQLWLSNSPAVNQKFTLLARAGRQVQEIQLTTTLDQEGIKKALQRVLERVP >ONI28999 pep chromosome:Prunus_persica_NCBIv2:G1:14670929:14680186:1 gene:PRUPE_1G174400 transcript:ONI28999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGYNGGGLLVRFHSLVGFLPFPQLSPSHFCKERGKSLQDIAKGLPGSLISVKVIQVDEEHKKLIFSEKEAVWSKFSEQINVGDIFEARVGSIEDYGAFVHLRFPDGLYHLTGLVHVSEVSWDLVQDVRDILNEGDDVRVKIINIDRVKSRITLSIKQLEEDPLLETLDKVIPQDGSVGPDSLSTDNSSNIEPLPGLEAIFEELVREDGIDDVRINRQGFEKRVVSQDLQLWLSNSPAVNQKFTLLARAGRQVQEIQLTTTLDQEGIKKALQRVLERVP >ONI28997 pep chromosome:Prunus_persica_NCBIv2:G1:14670921:14680186:1 gene:PRUPE_1G174400 transcript:ONI28997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGYNGGGLLVRFHSLVGFLPFPQLSPSHFCKERGKSLQDIAKGLPGSLISVKVIQVDEEHKKLIFSEKEAVWSKFSEQINVGDIFEARVGSIEDYGAFVHLRFPDGLYHLTGLVHVSEVSWDLVQDVRDILNEGDDVRVKIINIDRVKSRITLSIKQLEEDPLLETLDKVIPQDGSVGPDSLSTDNSSNIEPLPGLEAIFEELVREDGIDDVRINRQGFEKRVVSQDLQLWLSNSPAVNQKFTLLARAGRQVQEIQLTTTLDQEGIKKALQRVLERVP >ONI28996 pep chromosome:Prunus_persica_NCBIv2:G1:14670929:14677042:1 gene:PRUPE_1G174400 transcript:ONI28996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSATLALGSVSQLFITGDAIASSKNHNSCSVSCVFNHINFSKLSHKSLCKKRSSVFAASRVSNTDNSGLVHDTSTSTDEDLQEARISADWKVAKAYSDSGLIYEGMIEGYNGGGLLVRFHSLVGFLPFPQLSPSHFCKERGKSLQDIAKGLPGSLISVKVIQVDEEHKKLIFSEKEAVWSKFSEQINVGDIFEARVGSIEDYGAFVHLRFPDGLYHLTGLVHVSEVSWDLVQDVRDILNEGDDVRVKIINIDRVKSRITLSIKQLEEDPLLETLDKVIPQDGSVGPDSLSTDNSSNIEPLPGLEAIFEELVREDG >ONI28995 pep chromosome:Prunus_persica_NCBIv2:G1:14670900:14680186:1 gene:PRUPE_1G174400 transcript:ONI28995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYSATLALGSVSQLFITGDAIASSKNHNSCSVSCVFNHINFSKLSHKSLCKKRSSVFAASRVSNTDNSGLVHDTSTSTDEDLQEARISADWKVAKAYSDSGLIYEGMIEGYNGGGLLVRFHSLVGFLPFPQLSPSHFCKERGKSLQDIAKGLPGSLISVKVIQVDEEHKKLIFSEKEAVWSKFSEQINVGDIFEARVGSIEDYGAFVHLRFPDGLYHLTGLVHVSEVSWDLVQDVRDILNEGDDVRVKIINIDRVKSRITLSIKQLEEDPLLETLDKVIPQDGSVGPDSLSTDNSSNIEPLPGLEAIFEELVREDGIDDVRINRQGFEKRVVSQDLQLWLSNSPAVNQKFTLLARAGRQVQEIQLTTTLDQEGIKKALQRVLERVP >ONI26832 pep chromosome:Prunus_persica_NCBIv2:G1:3435762:3441521:1 gene:PRUPE_1G048900 transcript:ONI26832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFAIPVTAAQVGTYFVGQYYQVLQQQPDLVHQFYSDASTMLRIDGNTRETATAMLQIHALVMSLNYTGYEIYTAHSLESWNGGVLVMVSGSLQTQNFTGRKKFVQTFFLAPQEKGYFVLNDIFHFIDEELMHHHPSVILAQSNLDAKLSVPTTIPEPVASYLLGGEIQTRDFAAPAVKENGPVESYGFAEQRLQQVVETENLLDDSVVEQSNGSLHSTVNTVQDHLSASVEEPIGEPQKQTYASILRVAKGQPAPSVAPQHSANRSALPASEWNHHPQSSAQQPISSSNTYERPVAETADEAATLEDEGEIKSVYVRNLPPTVSPSEVEEEFVNFGKLKQPEGVVIRSRKDVGVCYAFVEFEDITGVQNAVKAGSVEIAGRQVYIEERRPNSNIPSRVGRRGRGRGSYQAEAPRGRFVSRGFGRGGGYDGGDQDYSRPRGNGYYRPSPRQEKANPGYQSSRGGQNSSEFTN >ONI26833 pep chromosome:Prunus_persica_NCBIv2:G1:3438025:3441481:1 gene:PRUPE_1G048900 transcript:ONI26833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYATCSFCIRCSPFGVPLLNTQVNPFFVCMQQQIHALVMSLNYTGYEIYTAHSLESWNGGVLVMVSGSLQTQNFTGRKKFVQTFFLAPQEKGYFVLNDIFHFIDEELMHHHPSVILAQSNLDAKLSVPTTIPEPVASYLLGGEIQTRDFAAPAVKENGPVESYGFAEQRLQQVVETENLLDDSVVEQSNGSLHSTVNTVQDHLSASVEEPIGEPQKQTYASILRVAKGQPAPSVAPQHSANRSALPASEWNHHPQSSAQQPISSSNTYERPVAETADEAATLEDEGEIKSVYVRNLPPTVSPSEVEEEFVNFGKLKQPEGVVIRSRKDVGVCYAFVEFEDITGVQNAVKAGSVEIAGRQVYIEERRPNSNIPSRVGRRGRGRGSYQAEAPRGRFVSRGFGRGGGYDGGDQDYSRPRGNGYYRPSPRQEKANPGYQSSRGGQNSSEFTN >ONI29406 pep chromosome:Prunus_persica_NCBIv2:G1:18773756:18774175:-1 gene:PRUPE_1G196800 transcript:ONI29406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTENTSDADPSDTFSTHGYMSVDKSSNESLLSSQNPAQIESIKMEQRSRNFSIDEDNQLVSVWLNVCLDADNGDEQKSSGYWKRIWEYFNKGKKFTFERFADSLMDCCSAMQFAVHYFCGYYAQIKAMKQSGVNDQIG >ONI30899 pep chromosome:Prunus_persica_NCBIv2:G1:28401744:28405899:-1 gene:PRUPE_1G280400 transcript:ONI30899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITCVFGLYIWCAAADPADPGVFRSKKYLNIPADEKHNRTKDSKLCGESTSSMHDANAVTVGGKPLDKDVLGKDATSKMSTSEGGTKNESEHSSCFLLAFSPCAYICNCSSSSEESSVQHMSEEGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKKNYRQFFTLMVTSLLLLILQWSTGIFVLICCIVERKQFSVDISSKLGSSFSLAPFIIVVAVCTLLAMIATLPLAQLFFFHILLIKKGISTYDYIIALREQEQEQQGVGGQHSPQMSPASSLTGLSSASSFTTFHRGAWCTPPRLFLEDQFDVVPPETGSVSSYGRKMVGEEPVKKKNTAVKISPWTLARLNAEEVSKAAAEARKKSKILQPVRRDAPFGLERDSSFGSSGRRMVPRPDNNRRRTTKRVRLPADLPMDALTKGSAKAVDKGFTETSTSLAPLQLEARSAFQTSRAMSSSTGIVASSPDSSLDSPDIHPFRVSSSGAEEARRLTGLPAAAAAGQKGMPLSRSTSDGYDASGGEDSDRVPSRIVQRSTNWSNLLFGSDQDERVGKLKASSSSLANTRML >ONI30898 pep chromosome:Prunus_persica_NCBIv2:G1:28401744:28407364:-1 gene:PRUPE_1G280400 transcript:ONI30898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHGWQLPYHPLQVVAVAVFLALAFAFYVFFAPFVGKRIFQYVVMGLYTPLITCVFGLYIWCAAADPADPGVFRSKKYLNIPADEKHNRTKDSKLCGESTSSMHDANAVTVGGKPLDKDVLGKDATSKMSTSEGGTKNESEHSSCFLLAFSPCAYICNCSSSSEESSVQHMSEEGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKKNYRQFFTLMVTSLLLLILQWSTGIFVLICCIVERKQFSVDISSKLGSSFSLAPFIIVVAVCTLLAMIATLPLAQLFFFHILLIKKGISTYDYIIALREQEQEQQGVGGQHSPQMSPASSLTGLSSASSFTTFHRGAWCTPPRLFLEDQFDVVPPETGSVSSYGRKMVGEEPVKKKNTAVKISPWTLARLNAEEVSKAAAEARKKSKILQPVRRDAPFGLERDSSFGSSGRRMVPRPDNNRRRTTKRVRLPADLPMDALTKGSAKAVDKGFTETSTSLAPLQLEARSAFQTSRAMSSSTGIVASSPDSSLDSPDIHPFRVSSSGAEEARRLTGLPAAAAAGQKGMPLSRSTSDGYDASGGEDSDRVPSRIVQRSTNWSNLLFGSDQDERVGKLKASSSSLANTRML >ONI32910 pep chromosome:Prunus_persica_NCBIv2:G1:35027532:35029602:1 gene:PRUPE_1G393400 transcript:ONI32910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKSNSDNLTLDKVREALIRQEDTIVFRLIQRANFPVNSPTYDEKFASFSGSLLQFVVKETEALQSKVGRYENPEEQPFSPENLPPSLVPPQANPPVLHPAAASININEKIWDFYFNQLLSLFAVPGDDGNYASTASSDLDCLQDREGLMKLLTFEAVEEMVKKRVEKKAAVFGQDVCLVDNGNGKYKVDPSVVSRLYGEWIMPLTKLVQVEYLLRRLD >ONI32912 pep chromosome:Prunus_persica_NCBIv2:G1:35027882:35028633:1 gene:PRUPE_1G393400 transcript:ONI32912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKSNSDNLTLDKVREALIRQEDTIVFRLIQRANFPVNSPTYDEKFASFSGSLLQFVVKETEALQSKVGRYENPEEQPFSPENLPPSLVPPQANPPVLHPAAASININEKIWDFYFNQLLSLFAVPGDDGNYASTASSDLDCLQVKVNLNHFFV >ONI32909 pep chromosome:Prunus_persica_NCBIv2:G1:35027532:35029602:1 gene:PRUPE_1G393400 transcript:ONI32909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKSNSDNLTLDKVREALIRQEDTIVFRLIQRANFPVNSPTYDEKFASFSGSLLQFVVKETEALQSKVGRYENPEEQPFSPENLPPSLVPPQANPPVLHPAAASININEKIWDFYFNQLLSLFAVPGDDGNYASTASSDLDCLQAISRRIHYGYYVAEVKFKDAPQDYEPAIRAQDREGLMKLLTFEAVEEMVKKRVEKKAAVFGQDVCLVDNGNGKYKVDPSVVSRLYGEWIMPLTKLVQVEYLLRRLD >ONI32911 pep chromosome:Prunus_persica_NCBIv2:G1:35027882:35029025:1 gene:PRUPE_1G393400 transcript:ONI32911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKSNSDNLTLDKVREALIRQEDTIVFRLIQRANFPVNSPTYDEKFASFSGSLLQFVVKETEALQSKVGRYENPEEQPFSPENLPPSLVPPQANPPVLHPAAASININEKIWDFYFNQLLSLFAVPGDDGNYASTASSDLDCLQAISRRIHYGYYVAEVKFKDAPQDYEPAIRAQVLHHRVIKPYSKRG >ONI27851 pep chromosome:Prunus_persica_NCBIv2:G1:8667933:8671354:-1 gene:PRUPE_1G108300 transcript:ONI27851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKQHLKRVAGIDLQINSAQVTESTDIAELANTETWLSSTRLVVKPDMLFGKRGKSGLVALNLDMAQVAEFVKQRLGKEVEMGGCRAPITTFIVEPFMPHDQEFYLSIVSERLGSTLSFSECGGIEIEENWGKVKTIFLPTEKSLTNEACAPLIATLPLEVRDKIGDFIKGVFAVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNVEFPLPFGRVLSPTETFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGQKRALIIGGGIANFTDVAATFNGIIRALREKESKLKAARMHIFVRRGGPNYQTGLAKMRALGEELGVPLEVYGPEATMTGICKQAIECIMGEE >ONI28913 pep chromosome:Prunus_persica_NCBIv2:G1:13717083:13720199:1 gene:PRUPE_1G168400 transcript:ONI28913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLLQTRLAFFLALCVLFLSSSTAADDSEVMSKLAEGLKNTPEDWSTGKTYCGWEGIKCDGNRVTSINLSSMSLSGSLPSNLNSLTQLTTLSLQSNSLSGPFPSLANLSLLQEIYLDTNNFTSIPSGCFQGLSSLQVLSMSQNINLVPWVLPNELTQASSLVTLDADNANLYGYLPDIFDSFPNLQNLRLSYNNFSGFLPKSFSGSGIQNLGLNNQQFGLSGTIEVLSNMTQLNQVWLHKNQFTGPIPDISRCKTLFDLQLRDNLLTGIVPATLMSSTAILNVSLDNNKLQGPLPVFGSIVTKATFDGTNSFCQTKPGPCDPQVNTLLEVAGALAYPILLAESWEGNNACDRWSFIVCDTQGKVITVNFENKHFTGIISPAFANLTSLKNLVLKNNNLTGSIPASLLTLQQLQLLDVSNNNLFGAIPKFPYTVKLITTGNVLIETTPSSGGGGGGTPSGSGSNGTTPNGSPSPAPASGDSSVSPGMIAGIVIAVVIFIVVILFVSIKCYASKKHGKLGRVDNPMNGIKIAKSDVMSSANGYNGVQSDLHSQSSGDLHVFEGGNVAISIHVLRDVTNNFSEDNILGRGGFGVVYKGELHDGTRIAVKRMKFVAESTEGMNEFEAEIAFLAKVKHRHLVALLGYCINGNERLLVYEYMPQGTLTQHLFNWRETGVPPLTWRQRITIALDVARGVEYLHGLAPQSFIHRDLKPSNILLGDDMRAKVADFGLVKCAPDANSVETRVAGTFGYLAPEYTATGRVTTRVDVYAFGVVLMQLLSGKKALDYTIPDERSLLVTWFRRFLIKKEYIPKAIDETLKNHDEETMECICKVAQLAGHCTAHNPFQRPEMSYVVNMLAPLVRNWKPTSHEEEEKYGIDRHMSLPQGLEWQANEDASDVEVSWLTSLPRSLSC >ONI26797 pep chromosome:Prunus_persica_NCBIv2:G1:3298259:3301722:-1 gene:PRUPE_1G046600 transcript:ONI26797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVAAAAVPPPQLDAEENSGLEAAAAEAKIQPSSGPHKLERKWTFWFDNQSKPKQGAAWGSSLRKAYTFETVQEFWCLYDQVFKPSKFPPNADFHLFRAGVEPKWEDPECANGGKWTVTSRSKASLDTMWLETLMALIGEQFDEADEICGVVASVRQRQDKLALWTRNAANEAAQMGIGRKWKEIIDVTDKITYSFHDDSKRERSAKPRYNV >ONI26798 pep chromosome:Prunus_persica_NCBIv2:G1:3298222:3301880:-1 gene:PRUPE_1G046600 transcript:ONI26798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVAAAAVPPPQLDAEENSGLEAAAAEAKIQPSSGPHKLERKWTFWFDNQSKPKQGAAWGSSLRKAYTFETVQEFWCLYDQVFKPSKFPPNADFHLFRAGVEPKWEDPECANGGKWTVTSRSKASLDTMWLETLMALIGEQFDEADEICGVVASVRQRQDKLALWTRNAANEAAQMGIGRKWKEIIDVTDKITYSFHDDSKRERSAKPRYNV >ONI28190 pep chromosome:Prunus_persica_NCBIv2:G1:10114100:10122836:-1 gene:PRUPE_1G130000 transcript:ONI28190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQLISGSGSRYVQMRSDTATSPSSFLCRLSSFEPARIFEELPSATIVSVSRPDAGDFSPMLLSYTIEFQYKQFKWRLLKKPSHVFYLHFALKKRAFFEEIHEKQEQVKEWLQNLGIGDHTEVVQDDEDADDETVPLHNEESAKNRDVPSSAALPIIRPALGRQQSMSDRSKVAMQGYLNHFLGNMDIVNSREVCKFLEVSMLSFSPEYGPKLKEDYVMVKHLPKIPRDEAFRKCCACRWFSCCNDNWQKVWAVLKPGFLALLADPFDTQPLDIIVFDVLPASDGNGDGRLSLAKEIKERNPLRHTFKVACGNRSINLRVKSSSKVKDWVASINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSRAQWFIDGRAAFEAIASAIEDAKSEIFICGWWVCPELYLRRPFHAHASSKLDSLLEAKAKEGVQIYILLYKEVALALKINSVYSKRKLIGIHENVRVLRYPDHFSSGVYLWSHHEKLVIVDYQICFLGGLDLCFGRYDTAEHKVGDCPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDMARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGRSQEMEIESKNANHHRRQDSYSSISSCQDIPLLIPQEADGLDSPKEDPNLNGMDSPDLLEQPSRVSNNLAFPFRKSKILPVGHDTPMRGFVDDLDSLARHGKMGSDEVAQPGMKNMDPEWWETQERGNKGGFTDESGQVGPCSSCRCQNQFFISGLSGDEIIRNRVLEALFRRIMRAYNDKKCFRVIIVIPLIPGFQGGLDDAGAASVRAVMHWQYRTICRGQFSILQNLNEILGPKTHDYISFYGLRSYGKLFDGGPVACSQVYVHSKIMIIDDCTTLIGSANINDRSLLGSRDSEIGLLIEDKEMINSHMGGKPWKAGKFSLSLRLSLWSEHLGIRAGEMNQIIDPVVDSTYKDIWMATAKANTTIYQDVFSCIPNDFIHSRAAFRQNIAYWKDKIGHTTIDLGIAPEKIESYQNGDMKKADPMERLGSVKGHLVSFPLDFMLKEDLRPVFNESEYYASPQVFH >ONI28191 pep chromosome:Prunus_persica_NCBIv2:G1:10114100:10122820:-1 gene:PRUPE_1G130000 transcript:ONI28191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQLISGSGSRYVQMRSDTATSPSSFLCRLSSFEPARIFEELPSATIVSVSRPDAGDFSPMLLSYTIEFQYKQFKWRLLKKPSHVFYLHFALKKRAFFEEIHEKQEQVKEWLQNLGIGDHTEVVQDDEDADDETVPLHNEESAKNRDVPSSAALPIIRPALGRQQSMSDRSKVAMQGYLNHFLGNMDIVNSREVCKFLEVSMLSFSPEYGPKLKEDYVMVKHLPKIPRDEAFRKCCACRWFSCCNDNWQKVWAVLKPGFLALLADPFDTQPLDIIVFDVLPASDGNGDGRLSLAKEIKERNPLRHTFKVACGNRSINLRVKSSSKVKDWVASINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSRAQWFIDGRAAFEAIASAIEDAKSEIFICGWWVCPELYLRRPFHAHASSKLDSLLEAKAKEGVQIYILLYKEVALALKINSVYSKRKLIGIHENVRVLRYPDHFSSGVYLWSHHEKLVIVDYQICFLGGLDLCFGRYDTAEHKVGDCPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDMARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGRSQEMEIESKNANHHRRQDSYSSISSCQDIPLLIPQEADGLDSPKEDPNLNGMDSPDLLEQPSRVSNNLAFPFRKSKILPVGHDTPMRGFVDDLDSLARHGKMGSDEVAQPGMKNMDPEWWETQERGNKGGFTDESGQVGPCSSCRCQVIRSVSQWSAGTSQVEESIHNAYCSLIDKAEHFIYIENQFFISGLSGDEIIRNRVLEALFRRIMRAYNDKKCFRVIIVIPLIPGFQGGLDDAGAASVRAVMHWQYRTICRGQFSILQNLNEILGPKTHDYISFYGLRSYGKLFDGGPVACSQVYVHSKIMIIDDCTTLIGSANINDRSLLGSRDSEIGLLIEDKEMINSHMGGKPWKAGKFSLSLRLSLWSEHLGIRAGEMNQIIDPVVDSTYKDIWMATAKANTTIYQDVFSCIPNDFIHSRAAFRQNIAYWKDKIGHTTIDLGIAPEKIESYQNGDMKKADPMERLGSVKGHLVSFPLDFMLKEDLRPVFNESEYYASPQVFH >ONI28189 pep chromosome:Prunus_persica_NCBIv2:G1:10114100:10122897:-1 gene:PRUPE_1G130000 transcript:ONI28189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQLISGSGSRYVQMRSDTATSPSSFLCRLSSFEPARIFEELPSATIVSVSRPDAGDFSPMLLSYTIEFQYKQFKWRLLKKPSHVFYLHFALKKRAFFEEIHEKQEQVKEWLQNLGIGDHTEVVQDDEDADDETVPLHNEESAKNRDVPSSAALPIIRPALGRQQSMSDRSKVAMQGYLNHFLGNMDIVNSREVCKFLEVSMLSFSPEYGPKLKEDYVMVKHLPKIPRDEAFRKCCACRWFSCCNDNWQKVWAVLKPGFLALLADPFDTQPLDIIVFDVLPASDGNGDGRLSLAKEIKERNPLRHTFKVACGNRSINLRVKSSSKVKDWVASINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSRAQWFIDGRAAFEAIASAIEDAKSEIFICGWWVCPELYLRRPFHAHASSKLDSLLEAKAKEGVQIYILLYKEVALALKINSVYSKRKLIGIHENVRVLRYPDHFSSGVYLWSHHEKLVIVDYQICFLGGLDLCFGRYDTAEHKVGDCPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDMARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGRSQEMEIESKNANHHRRQDSYSSISSCQDIPLLIPQEADGLDSPKEDPNLNGMDSPDLLEQPSRVSNNLAFPFRKSKILPVGHDTPMRGFVDDLDSLARHGKMGSDEVAQPGMKNMDPEWWETQERGNKGGFTDESGQVGPCSSCRCQNQFFISGLSGDEIIRNRVLEALFRRIMRAYNDKKCFRVIIVIPLIPGFQGGLDDAGAASVRAVMHWQYRTICRGQFSILQNLNEILGPKTHDYISFYGLRSYGKLFDGGPVACSQVYVHSKIMIIDDCTTLIGSANINDRSLLGSRDSEIGLLIEDKEMINSHMGGKPWKAGKFSLSLRLSLWSEHLGIRAGEMNQIIDPVVDSTYKDIWMATAKANTTIYQDVFSCIPNDFIHSRAAFRQNIAYWKDKIGHTTIDLGIAPEKIESYQNGDMKKADPMERLGSVKGHLVSFPLDFMLKEDLRPVFNESEYYASPQVFH >ONI28193 pep chromosome:Prunus_persica_NCBIv2:G1:10114100:10122861:-1 gene:PRUPE_1G130000 transcript:ONI28193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQLISGSGSRYVQMRSDTATSPSSFLCRLSSFEPARIFEELPSATIVSVSRPDAGDFSPMLLSYTIEFQYKQFKWRLLKKPSHVFYLHFALKKRAFFEEIHEKQEQVKEWLQNLGIGDHTEVVQDDEDADDETVPLHNEESAKNRDVPSSAALPIIRPALGRQQSMSDRSKVAMQGYLNHFLGNMDIVNSREVCKFLEVSMLSFSPEYGPKLKEDYVMVKHLPKIPRDEAFRKCCACRWFSCCNDNWQKVWAVLKPGFLALLADPFDTQPLDIIVFDVLPASDGNGDGRLSLAKEIKERNPLRHTFKVACGNRSINLRVKSSSKVKDWVASINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSRAQWFIDGRAAFEAIASAIEDAKSEIFICGWWVCPELYLRRPFHAHASSKLDSLLEAKAKEGVQIYILLYKEVALALKINSVYSKRKLIGIHENVRVLRYPDHFSSGVYLWSHHEKLVIVDYQICFLGGLDLCFGRYDTAEHKVGDCPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDMARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGRSQEMEIESKNANHHRRQDSYSSISSCQDIPLLIPQEADGLDSPKEDPNLNGMDSPDLLEQPSRVSNNLAFPFRKSKILPVGHDTPMRGFVDDLDSLARHGKMGSDEVAQPGMKNMDPEWWETQERGNKGGFTDESGQVGPCSSCRCQVIRSVSQWSAGTSQVEESIHNAYCSLIDKAEHFIYIENQFFISGLSGDEIIRNRVLEALFRRIMRAYNDKKCFRVIIVIPLIPGFQGGLDDAGAASVRAVMHWQYRTICRGQFSILQNLNEILGPKTHDYISFYGLRSYGKLFDGGPVACSQVYVHSKIMIIDDCTTLIGSANINDRSLLGSRDSEIGLLIEDKEMINSHMGGKPWKAGKFSLSLRLSLWSEHLGIRAGEMNQIIDPVVDSTYKDIWMATAKANTTIYQDVFSCIPNDFIHSRAAFRQNIAYWKDKIGHTTIDLGIAPEKIESYQNGDMKKADPMERLGSVKGHLVSFPLDFMLKEDLRPVFNESEYYASPQVFH >ONI28188 pep chromosome:Prunus_persica_NCBIv2:G1:10114370:10122527:-1 gene:PRUPE_1G130000 transcript:ONI28188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQLISGSGSRYVQMRSDTATSPSSFLCRLSSFEPARIFEELPSATIVSVSRPDAGDFSPMLLSYTIEFQYKQFKWRLLKKPSHVFYLHFALKKRAFFEEIHEKQEQVKEWLQNLGIGDHTEVVQDDEDADDETVPLHNEESAKNRDVPSSAALPIIRPALGRQQSMSDRSKVAMQGYLNHFLGNMDIVNSREVCKFLEVSMLSFSPEYGPKLKEDYVMVKHLPKIPRDEAFRKCCACRWFSCCNDNWQKVACGNRSINLRVKSSSKVKDWVASINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSRAQWFIDGRAAFEAIASAIEDAKSEIFICGWWVCPELYLRRPFHAHASSKLDSLLEAKAKEGVQIYILLYKEVALALKINSVYSKRKLIGIHENVRVLRYPDHFSSGVYLWSHHEKLVIVDYQICFLGGLDLCFGRYDTAEHKVGDCPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDMARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGRSQEMEIESKNANHHRRQDSYSSISSCQDIPLLIPQEADGLDSPKEDPNLNGMDSPDLLEQPSRVSNNLAFPFRKSKILPVGHDTPMRGFVDDLDSLARHGKMGSDEVAQPGMKNMDPEWWETQERGNKGGFTDESGQVGPCSSCRCQVIRSVSQWSAGTSQVEESIHNAYCSLIDKAEHFIYIENQFFISGLSGDEIIRNRVLEALFRRIMRAYNDKKCFRVIIVIPLIPGFQGGLDDAGAASVRAVMHWQYRTICRGQFSILQNLNEILGPKTHDYISFYGLRSYGKLFDGGPVACSQVYVHSKIMIIDDCTTLIGSANINDRSLLGSRDSEIGLLIEDKEMINSHMGGKPWKAGKFSLSLRLSLWSEHLGIRAGEMNQIIDPVVDSTYKDIWMATAKANTTIYQDVFSCIPNDFIHSRAAFRQNIAYWKDKIGHTTIDLGIAPEKIESYQNGDMKKADPMERLGSVKGHLVSFPLDFMLKEDLRPVFNESEYYASPQVFH >ONI28187 pep chromosome:Prunus_persica_NCBIv2:G1:10114100:10122897:-1 gene:PRUPE_1G130000 transcript:ONI28187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQLISGSGSRYVQMRSDTATSPSSFLCRLSSFEPARIFEELPSATIVSVSRPDAGDFSPMLLSYTIEFQYKQFKWRLLKKPSHVFYLHFALKKRAFFEEIHEKQEQVKEWLQNLGIGDHTEVVQDDEDADDETVPLHNEESAKNRDVPSSAALPIIRPALGRQQSMSDRSKVAMQGYLNHFLGNMDIVNSREVCKFLEVSMLSFSPEYGPKLKEDYVMVKHLPKIPRDEAFRKCCACRWFSCCNDNWQKVACGNRSINLRVKSSSKVKDWVASINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSRAQWFIDGRAAFEAIASAIEDAKSEIFICGWWVCPELYLRRPFHAHASSKLDSLLEAKAKEGVQIYILLYKEVALALKINSVYSKRKLIGIHENVRVLRYPDHFSSGVYLWSHHEKLVIVDYQICFLGGLDLCFGRYDTAEHKVGDCPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDMARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGRSQEMEIESKNANHHRRQDSYSSISSCQDIPLLIPQEADGLDSPKEDPNLNGMDSPDLLEQPSRVSNNLAFPFRKSKILPVGHDTPMRGFVDDLDSLARHGKMGSDEVAQPGMKNMDPEWWETQERGNKGGFTDESGQVGPCSSCRCQNQFFISGLSGDEIIRNRVLEALFRRIMRAYNDKKCFRVIIVIPLIPGFQGGLDDAGAASVRAVMHWQYRTICRGQFSILQNLNEILGPKTHDYISFYGLRSYGKLFDGGPVACSQVYVHSKIMIIDDCTTLIGSANINDRSLLGSRDSEIGLLIEDKEMINSHMGGKPWKAGKFSLSLRLSLWSEHLGIRAGEMNQIIDPVVDSTYKDIWMATAKANTTIYQDVFSCIPNDFIHSRAAFRQNIAYWKDKIGHTTIDLGIAPEKIESYQNGDMKKADPMERLGSVKGHLVSFPLDFMLKEDLRPVFNESEYYASPQVFH >ONI28192 pep chromosome:Prunus_persica_NCBIv2:G1:10114100:10122896:-1 gene:PRUPE_1G130000 transcript:ONI28192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQLISGSGSRYVQMRSDTATSPSSFLCRLSSFEPARIFEELPSATIVSVSRPDAGDFSPMLLSYTIEFQYKQFKWRLLKKPSHVFYLHFALKKRAFFEEIHEKQEQVKEWLQNLGIGDHTEVVQDDEDADDETVPLHNEESAKNRDVPSSAALPIIRPALGRQQSMSDRSKVAMQGYLNHFLGNMDIVNSREVCKFLEVSMLSFSPEYGPKLKEDYVMVKHLPKIPRDEAFRKCCACRWFSCCNDNWQKVWAVLKPGFLALLADPFDTQPLDIIVFDVLPASDGNGDGRLSLAKEIKERNPLRHTFKVACGNRSINLRVKSSSKVKDWVASINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSRAQWFIDGRAAFEAIASAIEDAKSEIFICGWWVCPELYLRRPFHAHASSKLDSLLEAKAKEGVQIYILLYKEVALALKINSVYSKRKLIGIHENVRVLRYPDHFSSGVYLWSHHEKLVIVDYQICFLGGLDLCFGRYDTAEHKVGDCPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDMARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGRSQEMEIESKNANHHRRQDSYSSISSCQDIPLLIPQEADGLDSPKEDPNLNGMDSPDLLEQPSRVSNNLAFPFRKSKILPVGHDTPMRGFVDDLDSLARHGKMGSDEVAQPGMKNMDPEWWETQERGNKGGFTDESGQVGPCSSCRCQVIRSVSQWSAGTSQVEESIHNAYCSLIDKAEHFIYIENQFFISGLSGDEIIRNRVLEALFRRIMRAYNDKKCFRVIIVIPLIPGFQGGLDDAGAASVRAVMHWQYRTICRGQFSILQNLNEILGPKTHDYISFYGLRSYGKLFDGGPVACSQVYVHSKIMIIDDCTTLIGSANINDRSLLGSRDSEIGLLIEDKEMINSHMGGKPWKAGKFSLSLRLSLWSEHLGIRAGEMNQIIDPVVDSTYKDIWMATAKANTTIYQDVFSCIPNDFIHSRAAFRQNIAYWKDKIGHTTIDLGIAPEKIESYQNGDMKKADPMERLGSVKGHLVSFPLDFMLKEDLRPVFNESEYYASPQVFH >ONI29236 pep chromosome:Prunus_persica_NCBIv2:G1:17399961:17400621:-1 gene:PRUPE_1G188900 transcript:ONI29236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPSQFKVEQFDGNMSFTIWQRIVKGKDAKQERMVVIREKTWSRDEDSARTLWEKLERLYLNKSLNTKLNLKQDLYKLKMNEGLLNQLARVDVKIKEENKTFLLLTSLPNLYDRVLTTMFYDKYTLKMEDVEATLLSNEKRKIVEDSHSQNQKGRQTVRGSSSHNKSKSRDSGKGVQCYKCKELGHLK >ONI27678 pep chromosome:Prunus_persica_NCBIv2:G1:7945128:7947091:1 gene:PRUPE_1G099500 transcript:ONI27678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKPQLETKTKTERARMDNKKPIVCVLDASTYVGFWILKGLLGRGFTVHAAIQNNGETEIQKRIREMEKVEERLEVFVVDVLDYHSILLALKGCSAMFCCLDSSDGYDEKMVDLEVRGAINVVEACAQTETLEKIVFTSSLTAAIWREDICSEKDVDEKSWSDQDFCRKLKLWYALAKTLSEQAAWALSMDRMLKMVSINSGLVLGPSVAQQNPRTTMSYLNGAAQMYENGVLAFVDVNFLADIHIRALEDQSTCGRYFCFNRIVNTEDEAVKLAESLSPLISLPPRYE >ONI27677 pep chromosome:Prunus_persica_NCBIv2:G1:7945178:7946762:1 gene:PRUPE_1G099500 transcript:ONI27677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKPQLETKTKTERARMDNKKPIVCVLDASTYVGFWILKGLLGRGFTVHAAIQNNGFDAGETEIQKRIREMEKVEERLEVFVVDVLDYHSILLALKGCSAMFCCLDSSDGYDEKMVDLEVRGAINVVEACAQTETLEKIVFTSSLTAAIWREDICSEKDVDEKSWSDQDFCRKLKLWYALAKTLSEQAAWALSMDRMLKMVSINSGLVLGPSVAQQNPRTTMSYLNGAAQMYENGVLAFVDVNFLADIHIRALEDQSTCGRYFCFNRIVNTEDEAVKLAESLSPLISLPPRYE >ONI27676 pep chromosome:Prunus_persica_NCBIv2:G1:7945003:7947109:1 gene:PRUPE_1G099500 transcript:ONI27676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKPQLETKTKTERARMDNKKPIVCVLDASTYVGFWILKGLLGRGFTVHAAIQNNGETEIQKRIREMEKVEERLEVFVVDVLDYHSILLALKGCSAMFCCLDSSDGYDEKMVDLEVRGAINVVEACAQTETLEKIVFTSSLTAAIWREDICSEKDVDEKSWSDQDFCRKLKLWYALAKTLSEQAAWALSMDRMLKMVSINSGLVLGPSVAQQNPRTTMSYLNGAAQMYENGVLAFVDVNFLADIHIRALEDQSTCGRYFCFNRIVNTEDEAVKLAESLSPLISLPPRYEYHGSEVHTERLRAKKLSKLAEGAAY >ONI27675 pep chromosome:Prunus_persica_NCBIv2:G1:7945003:7947091:1 gene:PRUPE_1G099500 transcript:ONI27675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKPQLETKTKTERARMDNKKPIVCVLDASTYVGFWILKGLLGRGFTVHAAIQNNGETEIQKRIREMEKVEERLEVFVVDVLDYHSILLALKGCSAMFCCLDSSDGYDEKMVDLEVRGAINVVEACAQTETLEKIVFTSSLTAAIWREDICSEKDVDEKSWSDQDFCRKLKLWYALAKTLSEQAAWALSMDRMLKMVSINSGLVLGPSVAQQNPRTTMSYLNGAAQMYENGVLAFVDVNFLADIHIRALEDQSTCGRYFCFNRIVNTEDEAVKLAESLSPLISLPPRYEYHGSEVHTERLRAKKLSKLAEGAAY >ONI29401 pep chromosome:Prunus_persica_NCBIv2:G1:18731442:18733860:-1 gene:PRUPE_1G196300 transcript:ONI29401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHILPLIKSALETAQITPKEIDCLCYTKGPGMGAPLQVAAIVVRVLSQLWKKPIVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEQYIDLPYAVKGMDVSFSGILSYIEATAVEKLKNSECTPADLCYSLQENVFAMLVEITERAMAHCDKKDVLIVGGVGCNERLQEMMRTMCSERGGRLFATDDRYCIDNGAMIAYTGLLAFVHGASTLFEESTFTQRFRTDEVEAIWRLKEESEKLKRLHG >ONI27077 pep chromosome:Prunus_persica_NCBIv2:G1:4757463:4758045:1 gene:PRUPE_1G066600 transcript:ONI27077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRLGAGSYGRVKVSKSLPRSFEKTSSRMTLRCGMMVGVATRLPSFLIRAPSARKSGRQGYWLVK >ONI31378 pep chromosome:Prunus_persica_NCBIv2:G1:30085281:30088452:1 gene:PRUPE_1G309100 transcript:ONI31378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPFLSKTTISFSSSISPSLPWRSSHSSPSFSTAFRTKPRRRTLTVQSKIREIFMPALSSTMTEGKIVSWVKSEGDVLSKGESVVVVESDKADMDVETFYDGILAAIVVGEGETAPVGAAIGLLAETEEEVAEAKAKAKSASSDSPASSSPAAEAVTPTPPPATSTPAPAIAQPSPPATYTPKKTVATPFAKKLAKQHKVDIASVVGSGPFGRITPADVEAAAGIAQPKKTVAAEPTPVSAAPPKPSAAPSASSAPLLPGSTVVPFTTMQAAVSKNMLESLSVPTFRVGYPISTDALDALYEKVKPKGVTMTALLAKAAALALAQHPVVNASCKDGKSFTYNSSINIAVAVAVNGGLITPVLQDADKLDLYLLSQKWKELVEKARAKQLQPHEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIIAVGASKPTVVADADGFFSVKSKMLVNVTADHRIVYGADLAAFLKTFAKIVENPESLTL >ONI30346 pep chromosome:Prunus_persica_NCBIv2:G1:25940317:25941014:1 gene:PRUPE_1G246000 transcript:ONI30346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISSNSNDYPFFVTTVVAPVPNRPISEPHQHRPKHHVKFSNEFEERVVEEAPRTRRTYVAQYQHTPVRQNYVVQEAKDVDDEADEFIKFEHKKFLVSEQSSLRDY >ONI35780 pep chromosome:Prunus_persica_NCBIv2:G1:45290716:45296300:-1 gene:PRUPE_1G554600 transcript:ONI35780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAGGSSSGGGEVSRGGGLGRFCSAPATWLEALLEEEEEDPLKPTQCLTELLAENTGATSVGFGSATVDPVSYEAAAAAGFLSRQNSSPAEFLGSSNDGSEGYFSGFGIPAHLDFVSLSPNSSSPSANKRVREVKLEEGGLEDAVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQDLVPNMDKQTNTADMLDEAVEYVKFLQKQIQELSEHQRRCKCIAKE >ONI35779 pep chromosome:Prunus_persica_NCBIv2:G1:45291923:45296263:-1 gene:PRUPE_1G554600 transcript:ONI35779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAGGSSSGGGEVSRGGGLGRFCSAPATWLEALLEEEEEDPLKPTQCLTELLAENTGATSVGFGSATVDPVSYEAAAAAGFLSRQNSSPAEFLGSSNDGSEGYFSGFGIPAHLDFVSLSPNSSSPSANKRVREKLEEGGLEDAVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQDLVPNMDKQTNTADMLDEAVEYVKFLQKQIQELSEHQRRCKCIAKE >ONI35785 pep chromosome:Prunus_persica_NCBIv2:G1:45292401:45295866:-1 gene:PRUPE_1G554600 transcript:ONI35785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAGGSSSGGGEVSRGGGLGRFCSAPATWLEALLEEEEEDPLKPTQCLTELLAENTGATSVGFGSATVDPVSYEAAAAAGFLSRQNSSPAEFLGSSNDGSEGYFSGFGIPAHLDFVSLSPNSSSPSANKRVREVKLEEGGLEDAVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQDLVPNMDKQTNTADMLDEAVEYVKFLQKQIQELSEHQRRCKCIAKE >ONI35784 pep chromosome:Prunus_persica_NCBIv2:G1:45291069:45296327:-1 gene:PRUPE_1G554600 transcript:ONI35784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAGGSSSGGGEVSRGGGLGRFCSAPATWLEALLEEEEEDPLKPTQCLTELLAENTGATSVGFGSATVDPVSYEAAAAAGFLSRQNSSPAEFLGSSNDGSEGYFSGFGIPAHLDFVSLSPNSSSPSANKRVREVKLEEGGLEDAVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQDLVPNMDKQTNTADMLDEAVEYVKFLQKQIQELSEHQRRCKCIAKE >ONI35786 pep chromosome:Prunus_persica_NCBIv2:G1:45291095:45295817:-1 gene:PRUPE_1G554600 transcript:ONI35786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAGGSSSGGGEVSRGGGLGRFCSAPATWLEALLEEEEEDPLKPTQCLTELLAENTGATSVGFGSATVDPVSYEAAAAAGFLSRQNSSPAEFLGSSNDGSEGYFSGFGIPAHLDFVSLSPNSSSPSANKRVREVKLEEGGLEDAVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQDLVPNMDKQTNTADMLDEAVEYVKFLQKQIQELSEHQRRCKCIAKE >ONI35781 pep chromosome:Prunus_persica_NCBIv2:G1:45292786:45295811:-1 gene:PRUPE_1G554600 transcript:ONI35781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAGGSSSGGGEVSRGGGLGRFCSAPATWLEALLEEEEEDPLKPTQCLTELLAENTGATSVGFGSATVDPVSYEAAAAAGFLSRQNSSPAEFLGSSNDGSEGYFSGFGIPAHLDFVSLSPNSSSPSANKRVREVKLEEGGLEDAVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQDLVPNMDKQTNTADMLDEAVEYVKFLQKQIQELSEHQRRCKCIAKE >ONI35783 pep chromosome:Prunus_persica_NCBIv2:G1:45290656:45296300:-1 gene:PRUPE_1G554600 transcript:ONI35783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAGGSSSGGGEVSRGGGLGRFCSAPATWLEALLEEEEEDPLKPTQCLTELLAENTGATSVGFGSATVDPVSYEAAAAAGFLSRQNSSPAEFLGSSNDGSEGYFSGFGIPAHLDFVSLSPNSSSPSANKRVREVKLEEGGLEDAVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQDLVPNMDKQTNTADMLDEAVEYVKFLQKQIQELSEHQRRCKCIAKE >ONI35777 pep chromosome:Prunus_persica_NCBIv2:G1:45290648:45296295:-1 gene:PRUPE_1G554600 transcript:ONI35777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAGGSSSGGGEVSRGGGLGRFCSAPATWLEALLEEEEEDPLKPTQCLTELLAENTGATSVGFGSATVDPVSYEAAAAAGFLSRQNSSPAEFLGSSNDGSEGYFSGFGIPAHLDFVSLSPNSSSPSANKRVREVKLEEGGLEDAVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQDLVPNMDKQTNTADMLDEAVEYVKFLQKQIQRCH >ONI35778 pep chromosome:Prunus_persica_NCBIv2:G1:45292580:45296263:-1 gene:PRUPE_1G554600 transcript:ONI35778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAGGSSSGGGEVSRGGGLGRFCSAPATWLEALLEEEEEDPLKPTQCLTELLAENTGATSVGFGSATVDPVSYEAAAAAGFLSRQNSSPAEFLGSSNDGSEGYFSGFGIPAHLDFVSLSPNSSSPSANKRVREKLEEGGLEDAVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQDLVPNMDKQTNTADMLDEAVEYVKFLQKQIQELSEHQRRCKCIAKE >ONI35782 pep chromosome:Prunus_persica_NCBIv2:G1:45290649:45296326:-1 gene:PRUPE_1G554600 transcript:ONI35782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAGGSSSGGGEVSRGGGLGRFCSAPATWLEALLEEEEEDPLKPTQCLTELLAENTGATSVGFGSATVDPVSYEAAAAAGFLSRQNSSPAEFLGSSNDGSEGYFSGFGIPAHLDFVSLSPNSSSPSANKRVREVKLEEGGLEDAVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQDLVPNMDKQTNTADMLDEAVEYVKFLQKQIQELSEHQRRCKCIAKE >ONI26072 pep chromosome:Prunus_persica_NCBIv2:G1:288973:299180:1 gene:PRUPE_1G002700 transcript:ONI26072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAPQLVCSGICEPLRRISISKHSFSNARVRFPKRINRVLAVATEPKPAPSGPPSTTNASSQTPPVNGVVSNGSKPKSPLPNTVNGSSRSPTSKPINGVSTRIGDVSKEIKRVRAQMEENEDLAILMRGLRGQNLKDSQFAEDDVELRLVEVDESSEFLPLVYDPDSISAYWGKRPRAVLTRITQLLSVAGGFLSHLVWDIINKTVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDVLSPAAMTELQKLCDKVPSFPDDIAMALIEEELGQPWPNIYSELSSSPIAAASLGQVYKGRLRENGDIVAVKVQRPFVLETVTVDLFVIRNLGLVLRKFPQISIDVVGLVDEWAARFFEELDYVNEGENGTLFAEMMRKDLPQVVVPKTYQKYTSRKVLTTGWVDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLSDDQKYGMIEAIAHLIHRDYGAIVKDFVKLEFISEGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKSGVFDAERFIDVMQAFETFITAAKSGGGEELSGDMAELGILQGQTENAFPGFLSNGPPVQTRAALAFLLSDKGNFFREFLLDEIVKGIDAVTREQLVRVMAILGFGNATPVFSMVPTFGLFKPAGLLPTITEEDRVILNNVQTILEFLTAGSSLSQTSNQGFNVSQVIQELLPVLPSISSKVLPEVLSRLSSRVLARVIRDTI >ONI26077 pep chromosome:Prunus_persica_NCBIv2:G1:292747:298716:1 gene:PRUPE_1G002700 transcript:ONI26077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIEEELGQPWPNIYSELSSSPIAAASLGQVYKGRLRENGDIVAVKVQRPFVLETVTVDLFVIRNLGLVLRKFPQISIDVVGLVDEWAARFFEELDYVNEGENGTLFAEMMRKDLPQVVVPKTYQKYTSRKVLTTGWVDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLSDDQKYGMIEAIAHLIHRDYGAIVKDFVKLEFISEGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKSGVFDAERFIDVMQAFETFITAAKSGGGEELSGDMAELGILQGQTENAFPGFLSNGPPVQTRAALAFLLSDKGNFFREFLLDEIVKGIDAVTREQLVRVMAILGFGNATPVFSMVPTFGLFKPAGLLPTITEEDRVILNNVQTILEFLTAGSSLSQTSNQGFNVSQVIQELLPVLPSISSKVLPEVLSRLSSRVLARVIRDTIW >ONI26073 pep chromosome:Prunus_persica_NCBIv2:G1:288901:299358:1 gene:PRUPE_1G002700 transcript:ONI26073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAPQLVCSGICEPLRRISISKHSFSNARVRFPKRINRVLAVATEPKPAPSGPPSTTNASSQTPPVNGVVSNGSKPKSPLPNTVNGSSRSPTSKPINGVSTRIGDVSKEIKRVRAQMEENEDLAILMRGLRGQNLKDSQFAEDDVELRLVEVDESSEFLPLVYDPDSISAYWGKRPRAVLTRITQLLSVAGGFLSHLVWDIINKTVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDVLSPAAMTELQKLCDKVPSFPDDIAMALIEEELGQPWPNIYSELSSSPIAAASLGQVYKGRLRENGDIVAVKVQRPFVLETVTVDLFVIRNLGLVLRKFPQISIDVVGLVDEWAARFFEELDYVNEGENGTLFAEMMRKDLPQVVVPKTYQKYTSRKVLTTGWVDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLSDDQKYGMIEAIAHLIHRDYGAIVKDFVKLEFISEGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKSGVFDAERFIDVMQAFETFITAAKSGGGEELSGDMAELGILQGQTENAFPGFLSNGPPVQTRAALAFLLSDKGNFFREFLLDEIVKGIDAVTREQLVRVMAILGFGNATPVFSMVPTFGLFKPAGLLPTITEEDRVILNNVQTILEFLTAGSSLSQTSNQGFNVSQVIQELLPVLPSISSKVLPEVLSRLSSRVLARVIRDTIW >ONI26076 pep chromosome:Prunus_persica_NCBIv2:G1:293239:299159:1 gene:PRUPE_1G002700 transcript:ONI26076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIEEELGQPWPNIYSELSSSPIAAASLGQVYKGRLRENGDIVAVKVQRPFVLETVTVDLFVIRNLGLVLRKFPQISIDVVGLVDEWAARFFEELDYVNEGENGTLFAEMMRKDLPQVVVPKTYQKYTSRKVLTTGWVDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLSDDQKYGMIEAIAHLIHRDYGAIVKDFVKLEFISEGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKSGVFDAERFIDVMQAFETFITAAKSGGGEELSGDMAELGILQGQTENAFPGFLSNGPPVQTRAALAFLLSDKGNFFREFLLDEIVKGIDAVTREQLVRVMAILGFGNATPVFSMVPTFGLFKPAGLLPTITEEDRVILNNVQTILEFLTAGSSLSQTSNQGFNVSQVIQELLPVLPSISSKVLPEVLSRLSSRVLARVIRDTI >ONI26074 pep chromosome:Prunus_persica_NCBIv2:G1:288973:299180:1 gene:PRUPE_1G002700 transcript:ONI26074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAPQLVCSGICEPLRRISISKHSFSNARVRFPKRINRVLAVATEPKPAPSGPPSTTNASSQTPPVNGVVSNGSKPKSPLPNTVNGSSRSPTSKPINGVSTRIGDVSKEIKRVRAQMEENEDLAILMRGLRGQNLKDSQFAEDDVELRLVEVDESSEFLPLVYDPDSISAYWGKRPRAVLTRITQLLSVAGGFLSHLVWDIINKTVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDVLSPAAMTELQKLCDKVPSFPDDIAMALIEEELGQPWPNIYSELSSSPIAAASLGQVYKGRLRENGDIVAVKVQRPFVLETVTVDLFVIRNLGLVLRKFPQISIDVVGLVDEWAARFFEELDYVNEGENGTLFAEMMRKDLPQVVVPKTYQKYTSRKVLTTGWVDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLSDDQKYGMIEAIAHLIHRDYGAIVKDFVKLEFISEGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKSGVFDAERFIDVMQAFETFITAAKSGGGEELSGDMAELGILQGQTENAFPGFLSNGPPVQTRAALAFLLSDKGNFFREFLLDEIVKGIDAVTREQLVRVMAILGFGNATPVFSMVPTFGLFKPAGLLPTITEEDRVILNNVQTILEFLTAGSSLSQTSNQGFNVSQVIQELLPVLPSISSKVLPEVLSRLSSRVLARVIRDTIW >ONI26075 pep chromosome:Prunus_persica_NCBIv2:G1:288962:298697:1 gene:PRUPE_1G002700 transcript:ONI26075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAPQLVCSGICEPLRRISISKHSFSNARVRFPKRINRVLAVATEPKPAPSGPPSTTNASSQTPPVNGVVSNGSKPKSPLPNTVNGSSRSPTSKPINGVSTRIGDVSKEIKRVRAQMEENEDLAILMRGLRGQNLKDSQFAEDDVELRLVENEVARAIELREIVTSLGPAYIKLGQALSIRPDVLSPAAMTELQKLCDKVPSFPDDIAMALIEEELGQPWPNIYSELSSSPIAAASLGQVYKGRLRENGDIVAVKVQRPFVLETVTVDLFVIRNLGLVLRKFPQISIDVVGLVDEWAARFFEELDYVNEGENGTLFAEMMRKDLPQVVVPKTYQKYTSRKVLTTGWVDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLSDDQKYGMIEAIAHLIHRDYGAIVKDFVKLEFISEGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKSGVFDAERFIDVMQAFETFITAAKSGGGEELSGDMAELGILQGQTENAFPGFLSNGPPVQTRAALAFLLSDKGNFFREFLLDEIVKGIDAVTREQLVRVMAILGFGNATPVFSMVPTFGLFKPAGLLPTITEEDRVILNNVQTILEFLTAGSSLSQTSNQGFNVSQVIQELLPVLPSISSKVLPEVLSRLSSRVLARVIRDTIW >ONI32316 pep chromosome:Prunus_persica_NCBIv2:G1:33247959:33251263:1 gene:PRUPE_1G360600 transcript:ONI32316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDVEMKDEEALPQAPLPSDSASILQHLKEGASFIEAASYTKEVRRIARAVRLTIQLRRKLTAPLLSAFLDYALLPGSEAHARLSAYLPKDDRHGMEVDNDDAATSAVQAPAKHILPELEIYCYLLVILFLIDQKRYDEAKACSSASIARLKNFNRRTVDVLASRLYFYYSYSYELTGSLAEIRGNLLALHRVATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPFAAQGFRIQCNKWAVLVRLLLGEIPERIIFMQRGMEKALRPYFELTNAVRIGDLALFRNVAEKFAATFSKDQTHNLIVRLRHNVIRTGLRNISISYSRISLADVAEKLRLISTNPIADAESIVAKAIRDGAIEASLDHSNGWMVSKETGDIYSTNEPQAAFNSRIAFCLNMHNEAVRALRFPPNPHKEKESAERRERQQQEQELANHITEDDDDDF >ONI32315 pep chromosome:Prunus_persica_NCBIv2:G1:33247715:33251253:1 gene:PRUPE_1G360600 transcript:ONI32315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDVEMKDEEALPQAPLPSDSASILQHLKEGASFIEAASYTKEVRRIARAVRLTIQLRRKLTAPLLSAFLDYALLPGSEAHARLSAYLPKDDRHGMEVDNDDAATSAVQAPAKHILPELEIYCYLLVILFLIDQKRYDEAKACSSASIARLKNFNRRTVDVLASRLYFYYSYSYELTGSLAEIRGNLLALHRVATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPFAAQGFRIQCNKWAVLVRLLLGEIPERIIFMQRGMEKALRPYFELTNAVRIGDLALFRNVAEKFAATFSKDQTHNLIVRLRHNVIRTGLRNISISYSRISLADVAEKLRLISTNPIADAESIVAKAIRDGAIEASLDHSNGWMVSKETGDIYSTNEPQAAFNSRIAFCLNMHNEAVRALRFPPNPHKEKESAERRERQQQEQELANHITEDDDDDF >ONI26959 pep chromosome:Prunus_persica_NCBIv2:G1:4147352:4148590:-1 gene:PRUPE_1G058400 transcript:ONI26959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILTSILLICGLASFVIFMSILQPQNFYGIEYDIRVINGFTNNSSLPLVIWCASEHSDLGGRALQEHDDFSWSLRTNFWGTNDHFKCTMKWDRTRRSFEAFKAPRDTQRCGPFRKCSWLVREDGFYFSNDEVNWRKDFSW >ONI30714 pep chromosome:Prunus_persica_NCBIv2:G1:27595096:27595491:-1 gene:PRUPE_1G268600 transcript:ONI30714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLSWIRPSPEEQKACIDRSGSFNYEAKFRGATTKSLSSLQEDKGLSNNGFLFNHARVLVGSGVDTYEKGKRALQDWRHFGLNWAFVDPKTPVQNGVKFCVCADDDEVFSSRQGQRIKQCKCRGNFDRPK >ONI29353 pep chromosome:Prunus_persica_NCBIv2:G1:18417861:18424314:1 gene:PRUPE_1G194700 transcript:ONI29353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLDQAMVHQDIAQNLKKLVAEQDCPHLLFYGPSGSGKKTLIIALLRQIFGPSADKVKVENRTWKVDAGSRTIDIELTTLSSTNHIELNPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKILVLNEVDKLSREAQHSLRRTMEKYSAYCRLILCCNSSSKVTEAIRSRCLNVRINAPTEEQIVKVLEFIGKKEGLQLPSGFPARIAEKSNRSLRRAILSFETCHVQQYPFTSNQAIPPMDWEEYVSEIASDIMKEQSPKRLYQVRQKLYELLLNCIPPEIILKRLLFELLKKLDAELKHEVCHWAAYYEHRMRLGQKAIFHLEAFVAKFMSIYKAFLIAAFG >ONI29352 pep chromosome:Prunus_persica_NCBIv2:G1:18415939:18424314:1 gene:PRUPE_1G194700 transcript:ONI29352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLDQAMVHQDIAQNLKKLVAEQDCPHLLFYGPSGSGKKTLIIALLRQIFGPSADKVKVENRTWKVDAGSRTIDIELTTLSSTNHIELNPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKILVLNEVDKLSREAQHSLRRTMEKYSAYCRLILCCNSSSKVTEAIRSRCLNVRINAPTEEQIVKVLEFIGKKEGLQLPSGFPARIAEKSNRSLRRAILSFETCHVQQYPFTSNQAIPPMDWEEYVSEIASDIMKEQSPKRLYQVRQKLYELLLNCIPPEIILKRLLFELLKKLDAELKHEVCHWAAYYEHRMRLGQKAIFHLEAFVAKFMSIYKAFLIAAFG >ONI32002 pep chromosome:Prunus_persica_NCBIv2:G1:32238978:32241847:1 gene:PRUPE_1G344000 transcript:ONI32002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESNPPLLHHYGTLVRNPSNLVQVDQQRHIGGLVPAEECQLPLIDLHGLKIPDTRESLECARAICRASSEWGFFQVLNHGISPELLQSMRREQLKLFAAPFERKATCGLLNNSYRWGTPTATRPTQYSWSEAFHIPVTKISEEASYGEDFGSLRGVMEEFAAAMSNLANLLAGILANNLGHRKESLEDICDSSTCFLRLNRYPACPISPEMFGLVPHTDSDFLTILCQDQVGGLQLMKDSKWVAVKPNPDALIVNIGDLFQAWSNDVYKSVEHKVMANEKMERYSIAYFMCPSYDSLIGSCSSTSPEPSSVYRKFTFREYRNQIQQDVQKLGHKVGLSRFLLQ >ONI32001 pep chromosome:Prunus_persica_NCBIv2:G1:32238677:32241847:1 gene:PRUPE_1G344000 transcript:ONI32001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESNPPLLHHYGTLVRNPSNLVQVDQQRHIGGLVPAEECQLPLIDLHGLKIPDTRESLECARAICRASSEWGFFQVLNHGISPELLQSMRREQLKLFAAPFERKATCGLLNNSYRWGTPTATRPTQYSWSEAFHIPVTKISEEASYGEDFGSLRGVMEEFAAAMSNLANLLAGILANNLGHRKESLEDICDSSTCFLRLNRYPACPISPEMFGLVPHTDSDFLTILCQDQVGGLQLMKDSKWVAVKPNPDALIVNIGDLFQAWSNDVYKSVEHKVMANEKMERYSIAYFMCPSYDSLIGSCSSTSPEPSSVYRKFTFREYRNQIQQDVQKLGHKVGLSRFLLQ >ONI27323 pep chromosome:Prunus_persica_NCBIv2:G1:5832058:5833716:1 gene:PRUPE_1G079800 transcript:ONI27323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKFSKSKTMVVKIKGCYVLKPSTPTLQGTLALSEWDQVGTITHVPTIYFYKPDQTFAAQPDTIANILKDSLSRALVPFYPLAGRLRWIGGGRLELHCNDMGVPFIEAESDSKLDDFGDFSPSPEYDYLIPTVDYTLPIHELPILLVQLTRFKCGGLSLSLTISHAVVDGQSALHFISEWARLAKGEPIGVMPFLDRKAFQDRTLPAGNTLGLDHSELDHPPLLLGQSDNIEERMKRTTVAMLKLTKQQVEKLKRMANEGNDYKNSGTKRAYTRYETVAGHIWRCASKARVHRNEQPTAMGVCVDSRSRMQPPLPQGYFGNATFDVIATSLAGDLVSKPLGYASSRIKEAIEKVTNDYVMSAIDHFRNQPDLTRFQDLHALGSDQGPFYGNPNLGVVSWLTLPIYGLDFGWGKEIYMGPGPHDFDGDSLLLPSPNGDGSIVLALCLQVAHMDAFKKHFYEDII >ONI30657 pep chromosome:Prunus_persica_NCBIv2:G1:27335023:27339509:1 gene:PRUPE_1G265000 transcript:ONI30657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVKVDKWGYEVRTSSDACISAIDDFYDQVLSYGRDRSVILEAPSHDKDCVLANILAAHFLCSSDPSRAPSHLQAAKSRLEQATQYEKAVFDAVNCLISENRDDDVAVELHSKLLKSFPKDLVSLKRAQVLCFYMARPDLSLDLVQQVLPNNEQENYIYGMLSFPLLELGRMTEAEKAAKKGYEINKQDCWVHHNLCHVLQYDCRFKEAVEFMKECSSSWDSCSSFMVTHNWWHVALCYLEGHSPIQTVLNVYDHCIWKELEKPDAASPEVYLNALGLLLRVHVRGEIDAFEDRLKTLANCVTDQCARFVAKNRRSENYEIRLYNKDGQYNVSRANWYLEWHLDVLILWALANTGEISKAEDLLKGLTSRIAKMKKKKQQLMQRPIQLAEALYEYGRGNEKQALELLGPDFDADDCKMVGASGEQLDIFNEVWYCMLLKNGQAAKAIEVIEKRIKTREGIPFLWRLLERGYKLTGREEAAIASAKAKLLETAYFP >ONI30658 pep chromosome:Prunus_persica_NCBIv2:G1:27335023:27339509:1 gene:PRUPE_1G265000 transcript:ONI30658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVKVDKWGYEVRTSSDACISAIDDFYDQVLSYGRDRSVILEAPSHDKDCVLANILAAHFLCSSDPSRAPSHLQAAKSRLEQATQYEKAVFDAVNCLISENRDDDVAVELHSKLLKSFPKDLVSLKRAQVLCFYMARPDLSLDLVQQVLPNNEQENYIYGMLSFPLLELGRMTEAEKAAKKGYEINKQDCWVHHNLCHVLQYDCRFKEAVEFMKECSSSWDSCSSFMVTHNWWHVALCYLEGHSPIQTVLNVYDHCIWKELEKPDAASPEVYLNALGLLLRVHVRGEIDAFEDRLKTLANCVTDQANWYLEWHLDVLILWALANTGEISKAEDLLKGLTSRIAKMKKKKQQLMQRPIQLAEALYEYGRGNEKQALELLGPDFDADDCKMVGASGEQLDIFNEVWYCMLLKNGQAAKAIEVIEKRIKTREGIPFLWRLLERGYKLTGREEAAIASAKAKLLETAYFP >ONI34239 pep chromosome:Prunus_persica_NCBIv2:G1:39160118:39161336:-1 gene:PRUPE_1G470100 transcript:ONI34239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHPCPPNGDKEKKENMEKRKEELQATIEKQDDRVNHLQTSAFNLANYYFVFQGILLGAIVTATTALRCSDRWFLFGLSLIAAILNLVSLLVIGGNYKRSVMQRHQSKIERNKLESDLAKLETSPSDHGLKSDILSYWTSTKIEASGQVASQDLATVEIKDEPEQRQIRKGVVPVDNQHKQLRDFYFLLCMALFVCFSVIVIVGCWTIPCKKALQCIPPISSNDNCIRVCEGGKCMSMCTEY >ONI26873 pep chromosome:Prunus_persica_NCBIv2:G1:3637315:3641098:1 gene:PRUPE_1G052200 transcript:ONI26873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLESVRDFTIQEANFFSGVSRQVEAAQTELLVMKRFLKDLDSRQGEDATVQIWVAQIRDAAYDLEDVIETYGLKVASKKKRGVKNILRRFACIFKEGVDRYKIGNEIENITTRISDLRSSLQKYNIKQTRESSGGESSLQLHERLRRSYSHVVERDVVGLESNVEELVMHLLKDENRHRVVSIWGMGGLGKTTLARQLYHHKEVRQQFQERNVWEGILFEVISATKEQKQEMKDMTDDEIAKKLFRVLQRMKCLVILDDIWRIETWNLLKAAFPNVETESTILLTTRNQKVATLPNRNACLHELQPLNDNESWNLLEKKAISERADIDLGMYTKKRELVTNMLRHCKGLSLAIIVLAEVLARKNTVREWEIVHENVYEYIRKGIGHEEEYEGASWVLALSYDDLPYHLKPCFLYLGHYPEDCIILVSTLTKLWVAEGLIFLRQQRHGSEKTMEDIAHDCLSELVERCLAKEESFLQINNSLQENASSMAAEATQLGKIRRLAIYLDENADRLVSSRDETNLHVRSLLYFLPQGWMPKSIDGLLSPLKDFKVLRVLKVEGLNEVEVELPSEIGNMVHLRFLSVINCNIKTFPPSLGNLICLQSLDFRVLNEDMVIPNVIMKMKQLRHLYLPWRYSAKGKLELSTLGYLQTLDYLSSEYCDLKDVGRLTNLRKLTIKLSTSLQNMEEILKSTGSTLNHIRSPIVFMNNNSCEEQAMQIVSSCRGIYKLMLKGSIAELPKELHNYPNLTKLQLWRCGLKENQMGILEKLPNLTTLNLKSQAFKENTKILVFSKEGFPSLQYLFVNGMFGITEWRVEEGAMPRLCRLNITYCSGLTTLPDGLRYLTNLRKLTIRGMRRELHSRIEEDGEDFYKIQHVPSLLIMLTSYPLSFL >ONI34520 pep chromosome:Prunus_persica_NCBIv2:G1:40443125:40456286:-1 gene:PRUPE_1G485700 transcript:ONI34520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSWKTKCSSTLQSSALSMASSSSQEHRNRMETNTGYYSYPHGSQDLRPTMIGRLQDPLLASKLYSGSHRSEHANLGNSFLALLSGSSSVFQCDFQELSNPKPISTSCKILPDSNNFIVNGIGSAIPVTSSGVLSENLNGQNLQSGADSCTKVSSRSVPSSSCASNSVLHDLQSSDLAKVVTRNMVLGSEKVKGSFSLSGEWHGVSPADTGKACGANIQTSKKLPVEGNFVISNQASSFMNGCPRVFCSTTSGYLLLSNTGLVGIVCSCHCLHMSVLKFCEHSGLYGVNPGHAVRMDNGETIAQWCKLYFLNSGIRVPGDRSEWDWPEGLSATAGLVKSSLSMPNMSNDLSHMVCSSGGSASSQQSLDGVALSKNLFTNQNLVVGAVENKQQRNIQDGNTIFLKGFTGTPQSNLHGMADNLILERPISMSKLVGSGLQDGGQSVSAYVESMKNGNSSIIYPAMKIGNSSITDPSLKDRRIMGKGSNFCRTVNAKDGAFRDAAISNIELRLGQPYQLGQSSGNSNPPAVGPLLLDTLVNPLKSLFPEQMIPNTNCREEMEFRQSLYFSAVPSASTKSDHKQLNRGNNAFVIGNAIDAARVEKSTSNLGQDSVISFLTNLNAPPEDNTRPKASKYICNVGEHAMQNTLHYEPQSAKYGIVNVPRNGSNSVERQLDMSQLGSYRLIDKDKGVSFVTDDSHLSKDLGFRNRKEMEISSSFNGLSGTSDPRFLTAHKNSCYSHQLSGVAPDGPDSRKYSNFPDKVLYFGNRGQVGHVNHRPLASSVGSGQTFPSRTVSKGIPLVSASISVSDQTPALSRENLIEVSTQLPDDNSRLLALREIMELSKQHHALPSLPMNRGKGIFDCSSYMQNSLVDTSASGKQERKLSLTSKNAVSEATIKSHQSGASCRIGSDEGFTSLTGVNTCCHFSTLKQGNALHSKEVDLKHQISFVPLCNEQPSLSRSGKNIIEPSEHERCCHKVPYGYFRGSCSCAASINCLGRDFESRVGCFPDAFKEQMGTVNGEASMIFAPKFANNHIVPKDKTTSLDPRGQVNGKIPKNVCHASQWKDVPSKVKGVSDVTRVDRLANLFDARRRDREQLGDNYVNHFNGTVQMVDSSKEHEIYNTSSGGSAPAVTQASIEVNKMDSSTVDAGDIGCVSNLVVDEGSGVDKCWSSDDALESEKSAEFLTSTGNTSLRKGGSFKNLNHQSSCSLLDELKLLNSLTWLKGQNKLPAGLALHEKNEYPQNFERGLEDGKKKREMGSESYPTSGPYTVHEENPECNDNAQFPSCPSKSVKTLFPLRQSITHTFGTCVTQPSSKPRLPKTCLAKKLSRKRDLRRLYDDNDREVNDVNQTELNGGTDNCEISKVSGGNKCKRDFSSNGFRQFLTQESGHEGARKRKHNSVGLKSCSSQQVNICYRKARPIVCGKYGELANGNFDGDMPKPAKVVPLSRVLNSARRCTLPKNCNPKSTSMRELKKTSPNGAVVSSDVCHNDTGCGKINDTPMEKAKKECSVGDKKIRKELTKLEHLGDDQSEKEYSKLGGIAHAQLKLKSKEIRKRSIYELTDKGKDPSFESSSFSKISNCLPANKEGRLLKTAEDSKLGLCKLSSESSTLEHQCHSDLDSDAFCCVCGSSNKDDINNLLTCSQCSIKKFHDEQVHQACYGVSKLPKGHWCCRPCRTSSKDIVCVLCGYGGGAMTRALRSRTVVKSLLRAWNAETECMAKNKLSSVKTLQKDSRGLHCSGYGHQDNSSFFVLQRENGQPLVSAVCKRGMSYKFDVMHNSITVGLLDSATKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGAHPRADGVCCICTRAGGSCIQCRVANCSAQFHPWCAHQKGLLQSEVEGVDNENIGFYGICDLHAIHPMCESNHDPVNTEAGCIEEEELTCARTEGYKGRKRDGFRHNYCDQSKGNGGCLVPQEQLNAWVHINGQKSSTQGLPKLPVSDIEHDCRKEYARYKQAKFWKHLVVYKSGIHALGLYTSRFISRSEMVVEYVGEIVGLRVADKRENEYQSGKKLQYKSACYFFRIDKEHIIDATCKGGIARFVNHSCVPNCVAKVISVRNEKKVVFFAERDIFPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >ONI34519 pep chromosome:Prunus_persica_NCBIv2:G1:40443125:40456286:-1 gene:PRUPE_1G485700 transcript:ONI34519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSWKTKCSSTLQSSALSMASSSSQEHRNRMETNTGYYSYPHGSQDLRPTMIGRLQDPLLASKLYSGSHRSEHANLGNSFLALLSGSSSVFQCDFQELSNPKPISTSCKILPDSNNFIVNGIGSAIPVTSSGVLSENLNGQNLQSGADSCTKVSSRSVPSSSCASNSVLHDLQSSDLAKVVTRNMVLGSEKVKGSFSLSGEWHGVSPADTGKACGANIQTSKKLPVEGNFVISNQASSFMNGCPRVFCSTTSGYLLLSNTGLVGIVCSCHCLHMSVLKFCEHSGLYGVNPGHAVRMDNGETIAQWCKLYFLNSGIRVPGDRSEWDWPEGLSATAGLVKSSLSMPNMSNDLSHMVCSSGGSASSQQSLDGVALSKNLFTNQNLVVGAVENKQQRNIQDGNTIFLKGFTGTPQSNLHGMADNLILERPISMSKLVGSGLQDGGQSVSAYVESMKNGNSSIIYPAMKIGNSSITDPSLKDRRIMGKGSNFCRTVNAKDGAFRDAAISNIELRLGQPYQLGQSSGNSNPPAVGPLLLDTLVNPLKSLFPEQMIPNTNCREEMEFRQSLYFSAVPSASTKSDHKQLNRGNNAFVIGNAIDAARVEKSTSNLGQDSVISFLTNLNAPPEDNTRPKASKYICNVGEHAMQNTLHYEPQSAKYGIVNVPRNGSNSVERQLDMSQLGSYRLIDKDKGVSFVTDDSHLSKDLGFRNRKEMEISSSFNGLSGTSDPRFLTAHKNSCYSHQLSGVAPDGPDSRKYSNFPDKVLYFGNRGQVGHVNHRPLASSVGSGQTFPSRTVSKGIPLVSASISVSDQTPALSRENLIEVSTQLPDDNSRLLALREIMELSKQHHALPSLPMNRGKGIFDCSSYMQNSLVDTSASGKQERKLSLTSKNAVSEATIKSHQSGASCRIGSDEGFTSLTGVNTCCHFSTLKQGNALHSKEVDLKHQISFVPLCNEQPSLSRSGKNIIEPSEHERCCHKVPYGYFRGSCSCAASINCLGRDFESRVGCFPDAFKEQMGTVNGEASMIFAPKFANNHIVPKDKTTSLDPRGQVNGKIPKNVCHASQWKDVPSKVKGVSDVTRVDRLANLFDARRRDREQLGDNYVNHFNGTVQMVDSSKEHEIYNTSSGGSAPAVTQASIEVNKMDSSTVDAGDIGCVSNLVVDEGSGVDKCWSSDDALESEKSAEFLTSTGNTSLRKGGSFKNLNHQSSCSLLDELKLLNSLTWLKGQNKLPAGLALHEKNEYPQNFERGLEDGKKKREMGSESYPTSGPYTVHEENPECNDNAQFPSCPSKSVKTLFPLRQSITHTFGTCVTQPSSKPRLPKTCLAKKLSRKRDLRRLYDDNDREVNDVNQTELNGGTDNCEISKVSGGNKCKRDFSSNGFRQFLTQESGHEGARKRKHNSVGLKSCSSQQVNICYRKARPIVCGKYGELANGNFDGDMPKPAKVVPLSRVLNSARRCTLPKNCNPKSTSMRELKKTSPNGAVVSSDVCHNDTGCGKINDTPMEKAKKECSVGDKKIRKELTKLEHLGDDQSEKEYSKLGGIAHAQLKLKSKEIRKRSIYELTDKGKDPSFESSSFSKISNCLPANKEGRLLKTAEDSKLGLCKLSSESSTLEHQCHSDLDSDAFCCVCGSSNKDDINNLLTCSQCSIKVHQACYGVSKLPKGHWCCRPCRTSSKDIVCVLCGYGGGAMTRALRSRTVVKSLLRAWNAETECMAKNKLSSVKTLQKDSRGLHCSGYGHQDNSSFFVLQRENGQPLVSAVCKRGMSYKFDVMHNSITVGLLDSATKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGAHPRADGVCCICTRAGGSCIQCRVANCSAQFHPWCAHQKGLLQSEVEGVDNENIGFYGICDLHAIHPMCESNHDPVNTEAGCIEEEELTCARTEGYKGRKRDGFRHNYCDQSKGNGGCLVPQEQLNAWVHINGQKSSTQGLPKLPVSDIEHDCRKEYARYKQAKFWKHLVVYKSGIHALGLYTSRFISRSEMVVEYVGEIVGLRVADKRENEYQSGKKLQYKSACYFFRIDKEHIIDATCKGGIARFVNHSCVFIAIRKLRKQFCLSLESTTKNLEPNCVAKVISVRNEKKVVFFAERDIFPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >ONI34525 pep chromosome:Prunus_persica_NCBIv2:G1:40443044:40453839:-1 gene:PRUPE_1G485700 transcript:ONI34525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNMSNDLSHMVCSSGGSASSQQSLDGVALSKNLFTNQNLVVGAVENKQQRNIQDGNTIFLKGFTGTPQSNLHGMADNLILERPISMSKLVGSGLQDGGQSVSAYVESMKNGNSSIIYPAMKIGNSSITDPSLKDRRIMGKGSNFCRTVNAKDGAFRDAAISNIELRLGQPYQLGQSSGNSNPPAVGPLLLDTLVNPLKSLFPEQMIPNTNCREEMEFRQSLYFSAVPSASTKSDHKQLNRGNNAFVIGNAIDAARVEKSTSNLGQDSVISFLTNLNAPPEDNTRPKASKYICNVGEHAMQNTLHYEPQSAKYGIVNVPRNGSNSVERQLDMSQLGSYRLIDKDKGVSFVTDDSHLSKDLGFRNRKEMEISSSFNGLSGTSDPRFLTAHKNSCYSHQLSGVAPDGPDSRKYSNFPDKVLYFGNRGQVGHVNHRPLASSVGSGQTFPSRTVSKGIPLVSASISVSDQTPALSRENLIEVSTQLPDDNSRLLALREIMELSKQHHALPSLPMNRGKGIFDCSSYMQNSLVDTSASGKQERKLSLTSKNAVSEATIKSHQSGASCRIGSDEGFTSLTGVNTCCHFSTLKQGNALHSKEVDLKHQISFVPLCNEQPSLSRSGKNIIEPSEHERCCHKVPYGYFRGSCSCAASINCLGRDFESRVGCFPDAFKEQMGTVNGEASMIFAPKFANNHIVPKDKTTSLDPRGQVNGKIPKNVCHASQWKDVPSKVKGVSDVTRVDRLANLFDARRRDREQLGDNYVNHFNGTVQMVDSSKEHEIYNTSSGGSAPAVTQASIEVNKMDSSTVDAGDIGCVSNLVVDEGSGVDKCWSSDDALESEKSAEFLTSTGNTSLRKGGSFKNLNHQSSCSLLDELKLLNSLTWLKGQNKLPAGLALHEKNEYPQNFERGLEDGKKKREMGSESYPTSGPYTVHEENPECNDNAQFPSCPSKSVKTLFPLRQSITHTFGTCVTQPSSKPRLPKTCLAKKLSRKRDLRRLYDDNDREVNDVNQTELNGGTDNCEISKVSGGNKCKRDFSSNGFRQFLTQESGHEGARKRKHNSVGLKSCSSQQVNICYRKARPIVCGKYGELANGNFDGDMPKPAKVVPLSRVLNSARRCTLPKNCNPKSTSMRELKKTSPNGAVVSSDVCHNDTGCGKINDTPMEKAKKECSVGDKKIRKELTKLEHLGDDQSEKEYSKLGGIAHAQLKLKSKEIRKRSIYELTDKGKDPSFESSSFSKISNCLPANKEGRLLKTAEDSKLGLCKLSSESSTLEHQCHSDLDSDAFCCVCGSSNKDDINNLLTCSQCSIKVHQACYGVSKLPKGHWCCRPCRTSSKDIVCVLCGYGGGAMTRALRSRTVVKSLLRAWNAETECMAKNKLSSVKTLQKDSRGLHCSGYGHQDNSSFFVLQRENGQPLVSAVCKRGMSYKFDVMHNSITVGLLDSATKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGAHPRADGVCCICTRAGGSCIQCRVANCSAQFHPWCAHQKGLLQSEVEGVDNENIGFYGICDLHAIHPMCESNHDPVNTEAGCIEEEELTCARTEGYKGRKRDGFRHNYCDQSKGNGGCLVPQEQLNAWVHINGQKSSTQGLPKLPVSDIEHDCRKEYARYKQAKFWKHLVVYKSGIHALGLYTSRFISRSEMVVEYVGEIVGLRVADKRENEYQSGKKLQYKSACYFFRIDKEHIIDATCKGGIARFVNHSCVVILSS >ONI34518 pep chromosome:Prunus_persica_NCBIv2:G1:40443246:40455999:-1 gene:PRUPE_1G485700 transcript:ONI34518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSWKTKCSSTLQSSALSMASSSSQEHRNRMETNTGYYSYPHGSQDLRPTMIGRLQDPLLASKLYSGSHRSEHANLGNSFLALLSGSSSVFQCDFQELSNPKPISTSCKILPDSNNFIVNGIGSAIPVTSSGVLSENLNGQNLQSGADSCTKVSSRSVPSSSCASNSVLHDLQSSDLAKVVTRNMVLGSEKVKGSFSLSGEWHGVSPADTGKACGANIQTSKKLPVEGNFVISNQASSFMNGCPRVFCSTTSGYLLLSNTGLVGIVCSCHCLHMSVLKFCEHSGLYGVNPGHAVRMDNGETIAQWCKLYFLNSGIRVPGDRSEWDWPEGLSATAGLVKSSLSMPNMSNDLSHMVCSSGGSASSQQSLDGVALSKNLFTNQNLVVGAVENKQQRNIQDGNTIFLKGFTGTPQSNLHGMADNLILERPISMSKLVGSGLQDGGQSVSAYVESMKNGNSSIIYPAMKIGNSSITDPSLKDRRIMGKGSNFCRTVNAKDGAFRDAAISNIELRLGQPYQLGQSSGNSNPPAVGPLLLDTLVNPLKSLFPEQMIPNTNCREEMEFRQSLYFSAVPSASTKSDHKQLNRGNNAFVIGNAIDAARVEKSTSNLGQDSVISFLTNLNAPPEDNTRPKASKYICNVGEHAMQNTLHYEPQSAKYGIVNVPRNGSNSVERQLDMSQLGSYRLIDKDKGVSFVTDDSHLSKDLGFRNRKEMEISSSFNGLSGTSDPRFLTAHKNSCYSHQLSGVAPDGPDSRKYSNFPDKVLYFGNRGQVGHVNHRPLASSVGSGQTFPSRTVSKGIPLVSASISVSDQTPALSRENLIEVSTQLPDDNSRLLALREIMELSKQHHALPSLPMNRGKGIFDCSSYMQNSLVDTSASGKQERKLSLTSKNAVSEATIKSHQSGASCRIGSDEGFTSLTGVNTCCHFSTLKQGNALHSKEVDLKHQISFVPLCNEQPSLSRSGKNIIEPSEHERCCHKVPYGYFRGSCSCAASINCLGRDFESRVGCFPDAFKEQMGTVNGEASMIFAPKFANNHIVPKDKTTSLDPRGQVNGKIPKNVCHASQWKDVPSKVKGVSDVTRVDRLANLFDARRRDREQLGDNYVNHFNGTVQMVDSSKEHEIYNTSSGGSAPAVTQASIEVNKMDSSTVDAGDIGCVSNLVVDEGSGVDKCWSSDDALESEKSAEFLTSTGNTSLRKGGSFKNLNHQSSCSLLDELKLLNSLTWLKGQNKLPAGLALHEKNEYPQNFERGLEDGKKKREMGSESYPTSGPYTVHEENPECNDNAQFPSCPSKSVKTLFPLRQSITHTFGTCVTQPSSKPRLPKTCLAKKLSRKRDLRRLYDDNDREVNDVNQTELNGGTDNCEISKVSGGNKCKRDFSSNGFRQFLTQESGHEGARKRKHNSVGLKSCSSQQVNICYRKARPIVCGKYGELANGNFDGDMPKPAKVVPLSRVLNSARRCTLPKNCNPKSTSMRELKKTSPNGAVVSSDVCHNDTGCGKINDTPMEKAKKECSVGDKKIRKELTKLEHLGDDQSEKEYSKLGGIAHAQLKLKSKEIRKRSIYELTDKGKDPSFESSSFSKISNCLPANKEGRLLKTAEDSKLGLCKLSSESSTLEHQCHSDLDSDAFCCVCGSSNKDDINNLLTCSQCSIKVHQACYGVSKLPKGHWCCRPCRTSSKDIVCVLCGYGGGAMTRALRSRTVVKSLLRAWNAETECMAKNKLSSVKTLQKDSRGLHCSGYGHQDNSSFFVLQRENGQPLVSAVCKRGMSYKFDVMHNSITVGLLDSATKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGAHPRADGVCCICTRAGGSCIQCRVANCSAQFHPWCAHQKGLLQSEVEGVDNENIGFYGICDLHAIHPMCESNHDPVNTEAGCIEEEELTCARTEGYKGRKRDGFRHNYCDQSKGNGGCLVPQEQLNAWVHINGQKSSTQGLPKLPVSDIEHDCRKEYARYKQAKFWKHLVVYKSGIHALGLYTSRFISRSEMVVEYVGEIVGLRVADKRENEYQSGKKLQYKSACYFFRIDKEHIIDATCKGGIARFVNHSCVPNCVAKVISVRNEKKVVFFAERDIFPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >ONI34524 pep chromosome:Prunus_persica_NCBIv2:G1:40443670:40455999:-1 gene:PRUPE_1G485700 transcript:ONI34524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSWKTKCSSTLQSSALSMASSSSQEHRNRMETNTGYYSYPHGSQDLRPTMIGRLQDPLLASKLYSGSHRSEHANLGNSFLALLSGSSSVFQCDFQELSNPKPISTSCKILPDSNNFIVNGIGSAIPVTSSGVLSENLNGQNLQSGADSCTKVSSRSVPSSSCASNSVLHDLQSSDLAKVVTRNMVLGSEKVKGSFSLSGEWHGVSPADTGKACGANIQTSKKLPVEGNFVISNQASSFMNGCPRVFCSTTSGYLLLSNTGLVGIVCSCHCLHMSVLKFCEHSGLYGVNPGHAVRMDNGETIAQWCKLYFLNSGIRVPGDRSEWDWPEGLSATAGLVKSSLSMPNMSNDLSHMVCSSGGSASSQQSLDGVALSKNLFTNQNLVVGAVENKQQRNIQDGNTIFLKGFTGTPQSNLHGMADNLILERPISMSKLVGSGLQDGGQSVSAYVESMKNGNSSIIYPAMKIGNSSITDPSLKDRRIMGKGSNFCRTVNAKDGAFRDAAISNIELRLGQPYQLGQSSGNSNPPAVGPLLLDTLVNPLKSLFPEQMIPNTNCREEMEFRQSLYFSAVPSASTKSDHKQLNRGNNAFVIGNAIDAARVEKSTSNLGQDSVISFLTNLNAPPEDNTRPKASKYICNVGEHAMQNTLHYEPQSAKYGIVNVPRNGSNSVERQLDMSQLGSYRLIDKDKGVSFVTDDSHLSKDLGFRNRKEMEISSSFNGLSGTSDPRFLTAHKNSCYSHQLSGVAPDGPDSRKYSNFPDKVLYFGNRGQVGHVNHRPLASSVGSGQTFPSRTVSKGIPLVSASISVSDQTPALSRENLIEVSTQLPDDNSRLLALREIMELSKQHHALPSLPMNRGKGIFDCSSYMQNSLVDTSASGKQERKLSLTSKNAVSEATIKSHQSGASCRIGSDEGFTSLTGVNTCCHFSTLKQGNALHSKEVDLKHQISFVPLCNEQPSLSRSGKNIIEPSEHERCCHKVPYGYFRGSCSCAASINCLGRDFESRVGCFPDAFKEQMGTVNGEASMIFAPKFANNHIVPKDKTTSLDPRGQVNGKIPKNVCHASQWKDVPSKVKGVSDVTRVDRLANLFDARRRDREQLGDNYVNHFNGTVQMVDSSKEHEIYNTSSGGSAPAVTQASIEVNKMDSSTVDAGDIGCVSNLVVDEGSGVDKCWSSDDALESEKSAEFLTSTGNTSLRKGGSFKNLNHQSSCSLLDELKLLNSLTWLKGQNKLPAGLALHEKNEYPQNFERGLEDGKKKREMGSESYPTSGPYTVHEENPECNDNAQFPSCPSKSVKTLFPLRQSITHTFGTCVTQPSSKPRLPKTCLAKKLSRKRDLRRLYDDNDREVNDVNQTELNGGTDNCEISKVSGGNKCKRDFSSNGFRQFLTQESGHEGARKRKHNSVGLKSCSSQQVNICYRKARPIVCGKYGELANGNFDGDMPKPAKVVPLSRVLNSARRCTLPKNCNPKSTSMRELKKTSPNGAVVSSDVCHNDTGCGKINDTPMEKAKKECSVGDKKIRKELTKLEHLGDDQSEKEYSKLGGIAHAQLKLKSKEIRKRSIYELTDKGKDPSFESSSFSKISNCLPANKEGRLLKTAEDSKLGLCKLSSESSTLEHQCHSDLDSDAFCCVCGSSNKDDINNLLTCSQCSIKVHQACYGVSKLPKGHWCCRPCRTSSKDIVCVLCGYGGGAMTRALRSRTVVKSLLRAWNAETECMAKNKLSSVKTLQKDSRGLHCSGYGHQDNSSFFVLQRENGQPLVSAVCKRGMSYKFDVMHNSITVGLLDSATKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGAHPRADGVCCICTRAGGSCIQCRVANCSAQFHPWCAHQKGLLQSEVEGVDNENIGFYGICDLHAIHPMCESNHDPVNTEAGCIEEEELTCARTEGYKGRKRDGFRHNYCDQSKGNGGCLVPQEQLNAWVHINGQKSSTQGLPKLPVSDIEHDCRKEYARYKQAKFWKHLVVYKSGIHALGLYTSRFISRSEMVVEYVGEIVGLRVADKRENEYQSGKKLQYKSACYFFRIDKEHIIDATCKGGIARFVNHSCVFIAIRKLRKQFCLSLESTTKNLEVYLLFFDVTECIWLS >ONI34523 pep chromosome:Prunus_persica_NCBIv2:G1:40443670:40455999:-1 gene:PRUPE_1G485700 transcript:ONI34523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSWKTKCSSTLQSSALSMASSSSQEHRNRMETNTGYYSYPHGSQDLRPTMIGRLQDPLLASKLYSGSHRSEHANLGNSFLALLSGSSSVFQCDFQELSNPKPISTSCKILPDSNNFIVNGIGSAIPVTSSGVLSENLNGQNLQSGADSCTKVSSRSVPSSSCASNSVLHDLQSSDLAKVVTRNMVLGSEKVKGSFSLSGEWHGVSPADTGKACGANIQTSKKLPVEGNFVISNQASSFMNGCPRVFCSTTSGYLLLSNTGLVGIVCSCHCLHMSVLKFCEHSGLYGVNPGHAVRMDNGETIAQWCKLYFLNSGIRVPGDRSEWDWPEGLSATAGLVKSSLSMPNMSNDLSHMVCSSGGSASSQQSLDGVALSKNLFTNQNLVVGAVENKQQRNIQDGNTIFLKGFTGTPQSNLHGMADNLILERPISMSKLVGSGLQDGGQSVSAYVESMKNGNSSIIYPAMKIGNSSITDPSLKDRRIMGKGSNFCRTVNAKDGAFRDAAISNIELRLGQPYQLGQSSGNSNPPAVGPLLLDTLVNPLKSLFPEQMIPNTNCREEMEFRQSLYFSAVPSASTKSDHKQLNRGNNAFVIGNAIDAARVEKSTSNLGQDSVISFLTNLNAPPEDNTRPKASKYICNVGEHAMQNTLHYEPQSAKYGIVNVPRNGSNSVERQLDMSQLGSYRLIDKDKGVSFVTDDSHLSKDLGFRNRKEMEISSSFNGLSGTSDPRFLTAHKNSCYSHQLSGVAPDGPDSRKYSNFPDKVLYFGNRGQVGHVNHRPLASSVGSGQTFPSRTVSKGIPLVSASISVSDQTPALSRENLIEVSTQLPDDNSRLLALREIMELSKQHHALPSLPMNRGKGIFDCSSYMQNSLVDTSASGKQERKLSLTSKNAVSEATIKSHQSGASCRIGSDEGFTSLTGVNTCCHFSTLKQGNALHSKEVDLKHQISFVPLCNEQPSLRSGKNIIEPSEHERCCHKVPYGYFRGSCSCAASINCLGRDFESRVGCFPDAFKEQMGTVNGEASMIFAPKFANNHIVPKDKTTSLDPRGQVNGKIPKNVCHASQWKDVPSKVKGVSDVTRVDRLANLFDARRRDREQLGDNYVNHFNGTVQMVDSSKEHEIYNTSSGGSAPAVTQASIEVNKMDSSTVDAGDIGCVSNLVVDEGSGVDKCWSSDDALESEKSAEFLTSTGNTSLRKGGSFKNLNHQSSCSLLDELKLLNSLTWLKGQNKLPAGLALHEKNEYPQNFERGLEDGKKKREMGSESYPTSGPYTVHEENPECNDNAQFPSCPSKSVKTLFPLRQSITHTFGTCVTQPSSKPRLPKTCLAKKLSRKRDLRRLYDDNDREVNDVNQTELNGGTDNCEISKVSGGNKCKRDFSSNGFRQFLTQESGHEGARKRKHNSVGLKSCSSQQVNICYRKARPIVCGKYGELANGNFDGDMPKPAKVVPLSRVLNSARRCTLPKNCNPKSTSMRELKKTSPNGAVVSSDVCHNDTGCGKINDTPMEKAKKECSVGDKKIRKELTKLEHLGDDQSEKEYSKLGGIAHAQLKLKSKEIRKRSIYELTDKGKDPSFESSSFSKISNCLPANKEGRLLKTAEDSKLGLCKLSSESSTLEHQCHSDLDSDAFCCVCGSSNKDDINNLLTCSQCSIKVHQACYGVSKLPKGHWCCRPCRTSSKDIVCVLCGYGGGAMTRALRSRTVVKSLLRAWNAETECMAKNKLSSVKTLQKDSRGLHCSGYGHQDNSSFFVLQRENGQPLVSAVCKRGMSYKFDVMHNSITVGLLDSATKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGAHPRADGVCCICTRAGGSCIQCRVANCSAQFHPWCAHQKGLLQSEVEGVDNENIGFYGICDLHAIHPMCESNHDPVNTEAGCIEEEELTCARTEGYKGRKRDGFRHNYCDQSKGNGGCLVPQEQLNAWVHINGQKSSTQGLPKLPVSDIEHDCRKEYARYKQAKFWKHLVVYKSGIHALGLYTSRFISRSEMVVEYVGEIVGLRVADKRENEYQSGKKLQYKSACYFFRIDKEHIIDATCKGGIARFVNHSCVFIAIRKLRKQFCLSLESTTKNLEVYLLFFDVTECIWLS >ONI34521 pep chromosome:Prunus_persica_NCBIv2:G1:40442733:40456286:-1 gene:PRUPE_1G485700 transcript:ONI34521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSPHVCLEILRAFRIIWCQPRACCSYGQWRDHCPVVQALLPKFRANCREEMEFRQSLYFSAVPSASTKSDHKQLNRGNNAFVIGNAIDAARVEKSTSNLGQDSVISFLTNLNAPPEDNTRPKASKYICNVGEHAMQNTLHYEPQSAKYGIVNVPRNGSNSVERQLDMSQLGSYRLIDKDKGVSFVTDDSHLSKDLGFRNRKEMEISSSFNGLSGTSDPRFLTAHKNSCYSHQLSGVAPDGPDSRKYSNFPDKVLYFGNRGQVGHVNHRPLASSVGSGQTFPSRTVSKGIPLVSASISVSDQTPALSRENLIEVSTQLPDDNSRLLALREIMELSKQHHALPSLPMNRGKGIFDCSSYMQNSLVDTSASGKQERKLSLTSKNAVSEATIKSHQSGASCRIGSDEGFTSLTGVNTCCHFSTLKQGNALHSKEVDLKHQISFVPLCNEQPSLRSGKNIIEPSEHERCCHKVPYGYFRGSCSCAASINCLGRDFESRVGCFPDAFKEQMGTVNGEASMIFAPKFANNHIVPKDKTTSLDPRGQVNGKIPKNVCHASQWKDVPSKVKGVSDVTRVDRLANLFDARRRDREQLGDNYVNHFNGTVQMVDSSKEHEIYNTSSGGSAPAVTQASIEVNKMDSSTVDAGDIGCVSNLVVDEGSGVDKCWSSDDALESEKSAEFLTSTGNTSLRKGGSFKNLNHQSSCSLLDELKLLNSLTWLKGQNKLPAGLALHEKNEYPQNFERGLEDGKKKREMGSESYPTSGPYTVHEENPECNDNAQFPSCPSKSVKTLFPLRQSITHTFGTCVTQPSSKPRLPKTCLAKKLSRKRDLRRLYDDNDREVNDVNQTELNGGTDNCEISKVSGGNKCKRDFSSNGFRQFLTQESGHEGARKRKHNSVGLKSCSSQQVNICYRKARPIVCGKYGELANGNFDGDMPKPAKVVPLSRVLNSARRCTLPKNCNPKSTSMRELKKTSPNGAVVSSDVCHNDTGCGKINDTPMEKAKKECSVGDKKIRKELTKLEHLGDDQSEKEYSKLGGIAHAQLKLKSKEIRKRSIYELTDKGKDPSFESSSFSKISNCLPANKEGRLLKTAEDSKLGLCKLSSESSTLEHQCHSDLDSDAFCCVCGSSNKDDINNLLTCSQCSIKVHQACYGVSKLPKGHWCCRPCRTSSKDIVCVLCGYGGGAMTRALRSRTVVKSLLRAWNAETECMAKNKLSSVKTLQKDSRGLHCSGYGHQDNSSFFVLQRENGQPLVSAVCKRGMSYKFDVMHNSITVGLLDSATKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGAHPRADGVCCICTRAGGSCIQCRVANCSAQFHPWCAHQKGLLQSEVEGVDNENIGFYGICDLHAIHPMCESNHDPVNTEAGCIEEEELTCARTEGYKGRKRDGFRHNYCDQSKGNGGCLVPQEQLNAWVHINGQKSSTQGLPKLPVSDIEHDCRKEYARYKQAKFWKHLVVYKSGIHALGLYTSRFISRSEMVVEYVGEIVGLRVADKRENEYQSGKKLQYKSACYFFRIDKEHIIDATCKGGIARFVNHSCVPNCVAKVISVRNEKKVVFFAERDIFPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >ONI34522 pep chromosome:Prunus_persica_NCBIv2:G1:40443246:40454266:-1 gene:PRUPE_1G485700 transcript:ONI34522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSPHVCLEILRAFRIIWCQPRACCSYGQWRDHCPVVQALLPKFRANCREEMEFRQSLYFSAVPSASTKSDHKQLNRGNNAFVIGNAIDAARVEKSTSNLGQDSVISFLTNLNAPPEDNTRPKASKYICNVGEHAMQNTLHYEPQSAKYGIVNVPRNGSNSVERQLDMSQLGSYRLIDKDKGVSFVTDDSHLSKDLGFRNRKEMEISSSFNGLSGTSDPRFLTAHKNSCYSHQLSGVAPDGPDSRKYSNFPDKVLYFGNRGQVGHVNHRPLASSVGSGQTFPSRTVSKGIPLVSASISVSDQTPALSRENLIEVSTQLPDDNSRLLALREIMELSKQHHALPSLPMNRGKGIFDCSSYMQNSLVDTSASGKQERKLSLTSKNAVSEATIKSHQSGASCRIGSDEGFTSLTGVNTCCHFSTLKQGNALHSKEVDLKHQISFVPLCNEQPSLSRSGKNIIEPSEHERCCHKVPYGYFRGSCSCAASINCLGRDFESRVGCFPDAFKEQMGTVNGEASMIFAPKFANNHIVPKDKTTSLDPRGQVNGKIPKNVCHASQWKDVPSKVKGVSDVTRVDRLANLFDARRRDREQLGDNYVNHFNGTVQMVDSSKEHEIYNTSSGGSAPAVTQASIEVNKMDSSTVDAGDIGCVSNLVVDEGSGVDKCWSSDDALESEKSAEFLTSTGNTSLRKGGSFKNLNHQSSCSLLDELKLLNSLTWLKGQNKLPAGLALHEKNEYPQNFERGLEDGKKKREMGSESYPTSGPYTVHEENPECNDNAQFPSCPSKSVKTLFPLRQSITHTFGTCVTQPSSKPRLPKTCLAKKLSRKRDLRRLYDDNDREVNDVNQTELNGGTDNCEISKVSGGNKCKRDFSSNGFRQFLTQESGHEGARKRKHNSVGLKSCSSQQVNICYRKARPIVCGKYGELANGNFDGDMPKPAKVVPLSRVLNSARRCTLPKNCNPKSTSMRELKKTSPNGAVVSSDVCHNDTGCGKINDTPMEKAKKECSVGDKKIRKELTKLEHLGDDQSEKEYSKLGGIAHAQLKLKSKEIRKRSIYELTDKGKDPSFESSSFSKISNCLPANKEGRLLKTAEDSKLGLCKLSSESSTLEHQCHSDLDSDAFCCVCGSSNKDDINNLLTCSQCSIKVHQACYGVSKLPKGHWCCRPCRTSSKDIVCVLCGYGGGAMTRALRSRTVVKSLLRAWNAETECMAKNKLSSVKTLQKDSRGLHCSGYGHQDNSSFFVLQRENGQPLVSAVCKRGMSYKFDVMHNSITVGLLDSATKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGAHPRADGVCCICTRAGGSCIQCRVANCSAQFHPWCAHQKGLLQSEVEGVDNENIGFYGICDLHAIHPMCESNHDPVNTEAGCIEEEELTCARTEGYKGRKRDGFRHNYCDQSKGNGGCLVPQEQLNAWVHINGQKSSTQGLPKLPVSDIEHDCRKEYARYKQAKFWKHLVVYKSGIHALGLYTSRFISRSEMVVEYVGEIVGLRVADKRENEYQSGKKLQYKSACYFFRIDKEHIIDATCKGGIARFVNHSCVPNCVAKVISVRNEKKVVFFAERDIFPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >ONI34516 pep chromosome:Prunus_persica_NCBIv2:G1:40442242:40456369:-1 gene:PRUPE_1G485700 transcript:ONI34516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSWKTKCSSTLQSSALSMASSSSQEHRNRMETNTGYYSYPHGSQDLRPTMIGRLQDPLLASKLYSGSHRSEHANLGNSFLALLSGSSSVFQCDFQELSNPKPISTSCKILPDSNNFIVNGIGSAIPVTSSGVLSENLNGQNLQSGADSCTKVSSRSVPSSSCASNSVLHDLQSSDLAKVVTRNMVLGSEKVKGSFSLSGEWHGVSPADTGKACGANIQTSKKLPVEGNFVISNQASSFMNGCPRVFCSTTSGYLLLSNTGLVGIVCSCHCLHMSVLKFCEHSGLYGVNPGHAVRMDNGETIAQWCKLYFLNSGIRVPGDRSEWDWPEGLSATAGLVKSSLSMPNMSNDLSHMVCSSGGSASSQQSLDGVALSKNLFTNQNLVVGAVENKQQRNIQDGNTIFLKGFTGTPQSNLHGMADNLILERPISMSKLVGSGLQDGGQSVSAYVESMKNGNSSIIYPAMKIGNSSITDPSLKDRRIMGKGSNFCRTVNAKDGAFRDAAISNIELRLGQPYQLGQSSGNSNPPAVGPLLLDTLVNPLKSLFPEQMIPNTNCREEMEFRQSLYFSAVPSASTKSDHKQLNRGNNAFVIGNAIDAARVEKSTSNLGQDSVISFLTNLNAPPEDNTRPKASKYICNVGEHAMQNTLHYEPQSAKYGIVNVPRNGSNSVERQLDMSQLGSYRLIDKDKGVSFVTDDSHLSKDLGFRNRKEMEISSSFNGLSGTSDPRFLTAHKNSCYSHQLSGVAPDGPDSRKYSNFPDKVLYFGNRGQVGHVNHRPLASSVGSGQTFPSRTVSKGIPLVSASISVSDQTPALSRENLIEVSTQLPDDNSRLLALREIMELSKQHHALPSLPMNRGKGIFDCSSYMQNSLVDTSASGKQERKLSLTSKNAVSEATIKSHQSGASCRIGSDEGFTSLTGVNTCCHFSTLKQGNALHSKEVDLKHQISFVPLCNEQPSLRSGKNIIEPSEHERCCHKVPYGYFRGSCSCAASINCLGRDFESRVGCFPDAFKEQMGTVNGEASMIFAPKFANNHIVPKDKTTSLDPRGQVNGKIPKNVCHASQWKDVPSKVKGVSDVTRVDRLANLFDARRRDREQLGDNYVNHFNGTVQMVDSSKEHEIYNTSSGGSAPAVTQASIEVNKMDSSTVDAGDIGCVSNLVVDEGSGVDKCWSSDDALESEKSAEFLTSTGNTSLRKGGSFKNLNHQSSCSLLDELKLLNSLTWLKGQNKLPAGLALHEKNEYPQNFERGLEDGKKKREMGSESYPTSGPYTVHEENPECNDNAQFPSCPSKSVKTLFPLRQSITHTFGTCVTQPSSKPRLPKTCLAKKLSRKRDLRRLYDDNDREVNDVNQTELNGGTDNCEISKVSGGNKCKRDFSSNGFRQFLTQESGHEGARKRKHNSVGLKSCSSQQVNICYRKARPIVCGKYGELANGNFDGDMPKPAKVVPLSRVLNSARRCTLPKNCNPKSTSMRELKKTSPNGAVVSSDVCHNDTGCGKINDTPMEKAKKECSVGDKKIRKELTKLEHLGDDQSEKEYSKLGGIAHAQLKLKSKEIRKRSIYELTDKGKDPSFESSSFSKISNCLPANKEGRLLKTAEDSKLGLCKLSSESSTLEHQCHSDLDSDAFCCVCGSSNKDDINNLLTCSQCSIKVHQACYGVSKLPKGHWCCRPCRTSSKDIVCVLCGYGGGAMTRALRSRTVVKSLLRAWNAETECMAKNKLSSVKTLQKDSRGLHCSGYGHQDNSSFFVLQRENGQPLVSAVCKRGMSYKFDVMHNSITVGLLDSATKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGAHPRADGVCCICTRAGGSCIQCRVANCSAQFHPWCAHQKGLLQSEVEGVDNENIGFYGICDLHAIHPMCESNHDPVNTEAGCIEEEELTCARTEGYKGRKRDGFRHNYCDQSKGNGGCLVPQEQLNAWVHINGQKSSTQGLPKLPVSDIEHDCRKEYARYKQAKFWKHLVVYKSGIHALGLYTSRFISRSEMVVEYVGEIVGLRVADKRENEYQSGKKLQYKSACYFFRIDKEHIIDATCKGGIARFVNHSCVPNCVAKVISVRNEKKVVFFAERDIFPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >ONI34517 pep chromosome:Prunus_persica_NCBIv2:G1:40443125:40456311:-1 gene:PRUPE_1G485700 transcript:ONI34517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSWKTKCSSTLQSSALSMASSSSQEHRNRMETNTGYYSYPHGSQDLRPTMIGRLQDPLLASKLYSGSHRSEHANLGNSFLALLSGSSSVFQCDFQELSNPKPISTSCKILPDSNNFIVNGIGSAIPVTSSGVLSENLNGQNLQSGADSCTKVSSRSVPSSSCASNSVLHDLQSSDLAKVVTRNMVLGSEKVKGSFSLSGEWHGVSPADTGKACGANIQTSKKLPVEGNFVISNQASSFMNGCPRVFCSTTSGYLLLSNTGLVGIVCSCHCLHMSVLKFCEHSGLYGVNPGHAVRMDNGETIAQWCKLYFLNSGIRVPGDRSEWDWPEGLSATAGLVKSSLSMPNMSNDLSHMVCSSGGSASSQQSLDGVALSKNLFTNQNLVVGAVENKQQRNIQDGNTIFLKGFTGTPQSNLHGMADNLILERPISMSKLVGSGLQDGGQSVSAYVESMKNGNSSIIYPAMKIGNSSITDPSLKDRRIMGKGSNFCRTVNAKDGAFRDAAISNIELRLGQPYQLGQSSGNSNPPAVGPLLLDTLVNPLKSLFPEQMIPNTNCREEMEFRQSLYFSAVPSASTKSDHKQLNRGNNAFVIGNAIDAARVEKSTSNLGQDSVISFLTNLNAPPEDNTRPKASKYICNVGEHAMQNTLHYEPQSAKYGIVNVPRNGSNSVERQLDMSQLGSYRLIDKDKGVSFVTDDSHLSKDLGFRNRKEMEISSSFNGLSGTSDPRFLTAHKNSCYSHQLSGVAPDGPDSRKYSNFPDKVLYFGNRGQVGHVNHRPLASSVGSGQTFPSRTVSKGIPLVSASISVSDQTPALSRENLIEVSTQLPDDNSRLLALREIMELSKQHHALPSLPMNRGKGIFDCSSYMQNSLVDTSASGKQERKLSLTSKNAVSEATIKSHQSGASCRIGSDEGFTSLTGVNTCCHFSTLKQGNALHSKEVDLKHQISFVPLCNEQPSLRSGKNIIEPSEHERCCHKVPYGYFRGSCSCAASINCLGRDFESRVGCFPDAFKEQMGTVNGEASMIFAPKFANNHIVPKDKTTSLDPRGQVNGKIPKNVCHASQWKDVPSKVKGVSDVTRVDRLANLFDARRRDREQLGDNYVNHFNGTVQMVDSSKEHEIYNTSSGGSAPAVTQASIEVNKMDSSTVDAGDIGCVSNLVVDEGSGVDKCWSSDDALESEKSAEFLTSTGNTSLRKGGSFKNLNHQSSCSLLDELKLLNSLTWLKGQNKLPAGLALHEKNEYPQNFERGLEDGKKKREMGSESYPTSGPYTVHEENPECNDNAQFPSCPSKSVKTLFPLRQSITHTFGTCVTQPSSKPRLPKTCLAKKLSRKRDLRRLYDDNDREVNDVNQTELNGGTDNCEISKVSGGNKCKRDFSSNGFRQFLTQESGHEGARKRKHNSVGLKSCSSQQVNICYRKARPIVCGKYGELANGNFDGDMPKPAKVVPLSRVLNSARRCTLPKNCNPKSTSMRELKKTSPNGAVVSSDVCHNDTGCGKINDTPMEKAKKECSVGDKKIRKELTKLEHLGDDQSEKEYSKLGGIAHAQLKLKSKEIRKRSIYELTDKGKDPSFESSSFSKISNCLPANKEGRLLKTAEDSKLGLCKLSSESSTLEHQCHSDLDSDAFCCVCGSSNKDDINNLLTCSQCSIKVHQACYGVSKLPKGHWCCRPCRTSSKDIVCVLCGYGGGAMTRALRSRTVVKSLLRAWNAETECMAKNKLSSVKTLQKDSRGLHCSGYGHQDNSSFFVLQRENGQPLVSAVCKRGMSYKFDVMHNSITVGLLDSATKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGAHPRADGVCCICTRAGGSCIQCRVANCSAQFHPWCAHQKGLLQSEVEGVDNENIGFYGICDLHAIHPMCESNHDPVNTEAGCIEEEELTCARTEGYKGRKRDGFRHNYCDQSKGNGGCLVPQEQLNAWVHINGQKSSTQGLPKLPVSDIEHDCRKEYARYKQAKFWKHLVVYKSGIHALGLYTSRFISRSEMVVEYVGEIVGLRVADKRENEYQSGKKLQYKSACYFFRIDKEHIIDATCKGGIARFVNHSCVFIAIRKLRKQFCLSLESTTKNLEPNCVAKVISVRNEKKVVFFAERDIFPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >ONI34618 pep chromosome:Prunus_persica_NCBIv2:G1:40820664:40823236:1 gene:PRUPE_1G490600 transcript:ONI34618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPGSSMLYSFLLFTVILSLQQMYRGKLASTELFTILGGFISSLLFLVLFTFIGNLQETSGVRTGWGAVIIAEAVALIAAGTVHRVCITTCFLFSAGLLYEVNKLSGIMLAKSESKSKRH >ONI34617 pep chromosome:Prunus_persica_NCBIv2:G1:40820876:40823236:1 gene:PRUPE_1G490600 transcript:ONI34617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLLQLHLFREFMAGPGSSMLYSFLLFTVILSLQQMYRGKLASTELFTILGGFISSLLFLVLFTFIGNLQETSGVRTGWGAVIIAEAVALIAAGTVHRVCITTCFLFSAGLLYEVNKLSGIMLAKSESKSKRH >ONI35634 pep chromosome:Prunus_persica_NCBIv2:G1:44719454:44721427:1 gene:PRUPE_1G547100 transcript:ONI35634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKTQCGINLNLILLCCFFIFLFTIFIIRSNFSSPLQTPSTSTSINISQAHLSTSTPHSKSPQSSTPCPSLEQPFIPTCTKTPPSLANAIIHYATTNITPQQTIKEISVSSRILQKKSPCNFLVFGLGLDSLMWTALNHGGRTVFLEEDKSWIEQIQQKLPNLEAYHVSYDTKVHQADKLMEIGMKEECKVVGDPRFSKCELALKNWPSEVYDIEWDLIMVDAPTGYFDGAPGRMSAIYTAGLLGRNREEGETDVFVHDVDRNVEDKFSKAFLCEGYLREEEGRIRRFTIPSHRARLGRPFCP >ONI27224 pep chromosome:Prunus_persica_NCBIv2:G1:5363129:5365236:-1 gene:PRUPE_1G074700 transcript:ONI27224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNLFTNLPVDAVVASDILKDATKAVSKIIGKPESYVMILLNGSVPMAFAGTEEPAAYGELISIGGIGPSVNGKLSSTIAEILETKLSIDSSRFYIKFYDVERPFFGFNGSTF >ONI27225 pep chromosome:Prunus_persica_NCBIv2:G1:5363750:5365301:-1 gene:PRUPE_1G074700 transcript:ONI27225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNLFTNLPVDAVVASDILKDATKAVSKIIGKPESYVMILLNGSVPMAFAGTEEPAAYGELISIGGIGPSVNGKLSSTIAEILETKLSIDSSRFYIKFYDVESVEGSRPLRAEVWLLTWS >ONI27222 pep chromosome:Prunus_persica_NCBIv2:G1:5362774:5365595:-1 gene:PRUPE_1G074700 transcript:ONI27222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNLFTNLPVDAVVASDILKDATKAVSKIIGKPESYVMILLNGSVPMAFAGTEEPAAYGELISIGGIGPSVNGKLSSTIAEILETKLSIDSSRFYIKFYDVERPFFGFNGSTF >ONI27223 pep chromosome:Prunus_persica_NCBIv2:G1:5363285:5365595:-1 gene:PRUPE_1G074700 transcript:ONI27223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNLFTNLPVDAVVASDILKDATKAVSKIIGKPESYVMILLNGSVPMAFAGTEEPAAYGELISIGGIGPSVNGKLSSTIAEILETKLSIDSSRFYIKFYDVERPFFGFNGSTF >ONI27221 pep chromosome:Prunus_persica_NCBIv2:G1:5362786:5365595:-1 gene:PRUPE_1G074700 transcript:ONI27221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNLFTNLPVDAVVASDILKDATKAVSKIIGKPESYVMILLNGSVPMAFAGTEEPAAYGELISIGGIGPSVNGKLSSTIAEILETKLSIDSSRFYIKFYDVERPFFGFNGSTF >ONI33834 pep chromosome:Prunus_persica_NCBIv2:G1:37893015:37897461:-1 gene:PRUPE_1G448700 transcript:ONI33834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVKVKSGNLDGSSKGKIGYSVTKKKIESSSSKQVADVKQKSVQTVTKTEVKPKPTSVSTKSTTKTTTTKTTTTTKVKEKKVYTLAGQKFDPPEEREPLRIFYESLSKQIPTSEMAEFWMMEHGLLSPERSRKAFEKKQRKQKQLRLGTPIKSTKPPSKPESTKPPSKPESTKPPSKPESSQRQQQQASKNGDVKGKKRVIKESDDDDDFILSPKRRRA >ONI26374 pep chromosome:Prunus_persica_NCBIv2:G1:1463167:1469284:1 gene:PRUPE_1G020900 transcript:ONI26374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRAYSLSLSLSLSHSLLCLLPFPLFPPIPLSPSQLPRNYQPAQIAFAGLSVAETPAMNATTTSFRSILEKPLNQLTEDDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPNDDTGAGALRRIVVSPHTTTPRAASNSAGSAKEASADVQVSVSADEPVPYQKPVQEDRPADADTKAISPRNQCTTDASVRQMTIFYCGKVNVYDGVPPDKARAIMHLAARPNHLPLDNQFGGTAALRSLRCQFQTAGDKDGFLPPSATFSQAMQTEKIGEYTQQYWEKGNSTRDPDAEGQASRKVSLERYREKRKDRGRLKIKKNIGSSSSLEVFLNHQLRTHTSNGNSSQSGTSSPPQPGLLQTADNQPKSLCLPVDLNDKDILERRT >ONI26377 pep chromosome:Prunus_persica_NCBIv2:G1:1463167:1467693:1 gene:PRUPE_1G020900 transcript:ONI26377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRAYSLSLSLSLSHSLLCLLPFPLFPPIPLSPSQLPRNYQPAQIAFAGLSVAETPAMNATTTSFRSILEKPLNQLTEDDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPNDDTGAGALRRIVVSPHTTTPRAASNSAGSAKEASADVQVSVSADEPVPYQKPVQEDRPADADTKAISPRNQCTTDASVRQMTIFYCGKVNVYDGVPPDKARAIMHLAARPNHLPLDNQFGGTAALRSLRCQFQTAGDKDGFLPPSATFSQAMQTEKIGEYTQQYWEKGNSTRDPDVALRSILEEPFIISKSVRQGIAMKLQLVMHLAVL >ONI26380 pep chromosome:Prunus_persica_NCBIv2:G1:1463167:1469284:1 gene:PRUPE_1G020900 transcript:ONI26380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPSWNKSQAIQQVISLKALLEPNDDTGAGALRRIVVSPHTTTPRAASNSAGSAKEASADVQVSVSADEPVPYQKPVQEDRPADADTKAISPRNQCTTDASVRQMTIFYCGKVNVYDGVPPDKARAIMHLAARPNHLPLDNQFGGTAALRSLRCQFQTAGDKDGFLPPSATFSQAMQTEKIGEYTQQYWEKGNSTRDPEGQASRKVSLERYREKRKDRGRLKIKKNIGSSSSLEVFLNHQLRTHTSNGNSSQSGTSSPPQPGLLQTADNQPKSLCLPVDLNDKDILERRT >ONI26378 pep chromosome:Prunus_persica_NCBIv2:G1:1464406:1467059:1 gene:PRUPE_1G020900 transcript:ONI26378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRAYSLSLSLSLSHSLLCLLPFPLFPPIPLSPSQLPRNYQPAQIAFAGLSVAETPAMNATTTSFRSILEKPLNQLTEDDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPNDDTGAGALRRIVVSPHTTTPRAASNSAGSAKEASADVQVSVSADEPVPYQKPVQEDRPADADTKAISPRNQCTTDASVRQMTIFYCGKVNVYDGVPPDKARAIMHLAARPNHLPLDNQFGGTAALRSLRCQFQTAGDKDGFLPPSATFSQAMQTEKIGEYTQQYWEKGNSTRDPGKFSILAPLQKLLLSG >ONI26375 pep chromosome:Prunus_persica_NCBIv2:G1:1463167:1469284:1 gene:PRUPE_1G020900 transcript:ONI26375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRAYSLSLSLSLSHSLLCLLPFPLFPPIPLSPSQLPRNYQPAQIAFAGLSVAETPAMNATTTSFRSILEKPLNQLTEDDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPNDDTGAGALRRIVVSPHTTTPRAASNSAGSAKEASADVQVSVSADEPVPYQKPVQEDRPADADTKAISPRNQCTTDASVRQMTIFYCGKVNVYDGVPPDKARAIMHLAARPNHLPLDNQFGGTAALRSLRCQFQTAGDKDGFLPPSATFSQAMQTEKIGEYTQQYWEKGNSTRDPEGQASRKVSLERYREKRKDRGRLKIKKNIGSSSSLEVFLNHQLRTHTSNGNSSQSGTSSPPQPGLLQTADNQPKSLCLPVDLNDKDILERRT >ONI26376 pep chromosome:Prunus_persica_NCBIv2:G1:1464406:1467929:1 gene:PRUPE_1G020900 transcript:ONI26376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRAYSLSLSLSLSHSLLCLLPFPLFPPIPLSPSQLPRNYQPAQIAFAGLSVAETPAMNATTTSFRSILEKPLNQLTEDDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPNDDTGAGALRRIVVSPHTTTPRAASNSAGSAKEASADVQVSVSADEPVPYQKPVQEDRPADADTKAISPRNQCTTDASVRQMTIFYCGKVNVYDGVPPDKARAIMHLAARPNHLPLDNQFGGTAALRSLRCQFQTAGDKDGFLPPSATFSQAMQTEKIGEYTQQYWEKGNSTRDPDTSGKPSEVEL >ONI26379 pep chromosome:Prunus_persica_NCBIv2:G1:1463167:1469284:1 gene:PRUPE_1G020900 transcript:ONI26379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPSWNKSQAIQQVISLKALLEPNDDTGAGALRRIVVSPHTTTPRAASNSAGSAKEASADVQVSVSADEPVPYQKPVQEDRPADADTKAISPRNQCTTDASVRQMTIFYCGKVNVYDGVPPDKARAIMHLAARPNHLPLDNQFGGTAALRSLRCQFQTAGDKDGFLPPSATFSQAMQTEKIGEYTQQYWEKGNSTRDPDAEGQASRKVSLERYREKRKDRGRLKIKKNIGSSSSLEVFLNHQLRTHTSNGNSSQSGTSSPPQPGLLQTADNQPKSLCLPVDLNDKDILERRT >ONI32943 pep chromosome:Prunus_persica_NCBIv2:G1:35104419:35107499:1 gene:PRUPE_1G395400 transcript:ONI32943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSQNKATRTFMDYDSISQAMDGICGLYERKLRELNPAIRNISYDIGDLYNFIDGLTDMSALVYDHSIQAYLPYDRQWIKQRTLRHLQKLAH >ONI32942 pep chromosome:Prunus_persica_NCBIv2:G1:35104051:35107499:1 gene:PRUPE_1G395400 transcript:ONI32942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKHTIILMQTSQNKATRTFMDYDSISQAMDGICGLYERKLRELNPAIRNISYDIGDLYNFIDGLTDMSALVYDHSIQAYLPYDRQWIKQRTLRHLQKLAH >ONI28534 pep chromosome:Prunus_persica_NCBIv2:G1:11528145:11530109:-1 gene:PRUPE_1G146500 transcript:ONI28534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESYVVVHNIAKRHNVGTLARSATAFGVSELILVGRREFNAFGSHGSTSHLRFRHFHSLQDARLFLKERDCDICGVEITDDALPVNHHPFTKSTAFLLGNEYGGGTASLNVTVAASIVLHQFGVWAGFPERTRDGNKFIVAEKPVKQTRRSFCAETADSVIEERKCRKEHASNGFFDENGNENSSSNLLDGLFADVRL >ONI28535 pep chromosome:Prunus_persica_NCBIv2:G1:11528275:11530042:-1 gene:PRUPE_1G146500 transcript:ONI28535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESYVVVHNIAKRHNVGTLARSATAFGVSELILVGRREFNAFGSHGSTSHLRFRHFHSLQDARLFLKERDCDICGVEITDDALPVNHHPFTKSTAFLLGNEGTGLSAKELEICDFFVYIPQYGGGTASLNVTVAASIVLHQFGVWAGFPERTRDGNKFIVAEKPVKQTRRSFCAETADSVIEERKCRKEHASNGFFDENGNENSSSNLLDGLFADVRL >ONI33499 pep chromosome:Prunus_persica_NCBIv2:G1:36804998:36807252:-1 gene:PRUPE_1G428500 transcript:ONI33499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGKHFHYNYTTVAMTQLPPHYDWKVRLLLNQIMKSSCAKNMTKIELKTKFMELSFNVMTMMVVGKRYRGEDVGDAEEAKNLREVIRDAWIDLGVEKKMAGLMAKLDKFLQGLVNEGRVILSSTNCSSDEKGVKKLMIDNLLSMQETQPQILLVAGTDTTSTTLEWAMALLVNHPEVMEKTQRLYPPVPLLVPHEASEDCVVGGFDVPRHTMLVINSWAIHRNPEVWEDPTEFRPEKFEEWSGEGSEGYKLRVIDPKKIK >ONI34408 pep chromosome:Prunus_persica_NCBIv2:G1:40146920:40150863:1 gene:PRUPE_1G480700 transcript:ONI34408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLGFAGNDDFCPGGSIYTNPKEPSLFLSRGNHADVFFTPRKRSRISGPFVFSEEGFEQKKPVSIDVLPDECLFEIFKRLPGGEERSACACVSKRWLTLLSNIHRDEFCSNTTNLLLNPQDEVTGNKDQEVESCGYLSRSLEGKKATDVRLAAIAVGTASRGGLGKLTIRGSNSGRGVTNLGLRAISHGCPSLRVLSLWNVSSIGDEGLCEIANRCHMLEKLDLSQCPAISDKGLVAIAKKCPNLTDLSLESCSNIGNEGLQAIGQCCPNLKSISIKNCPLVGDQGIASLLSSVSYVLTKVKLQALAITDVSLAVIGHYGKAITDLVLTSIPNVTERGFWVMGNGHGLQKLKSFTVTSCQGVTDTGLEAVGKGCPNLKQFCLRKCLFISDSGLVSFCKAAGSLESLHLEECHRITQYGFFGALSTGAKLKAVAFVYCLGLKDLNLGLPEVSPCQSLRSLSIRNCPGFGNAGLALLGRLCPQLQHVDFSGLEGITDAGFLPLLENCEAGLVKVNLSGCVNVTDKMVSSMAKLHGWTLEMVNLEGCKMISDAGLVAITGNCPLLSDLDVSRCAITDFGIASLACADQLNLQILAMSGCPLVSDKSLPALVKMGQTLLGLNLQHCKAISSSTVDRLVEQLWRCDILS >ONI34411 pep chromosome:Prunus_persica_NCBIv2:G1:40147759:40150863:1 gene:PRUPE_1G480700 transcript:ONI34411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLILGNDDFCPGGSIYTNPKEPSLFLSRGNHADVFFTPRKRSRISGPFVFSEEGFEQKKPVSIDVLPDECLFEIFKRLPGGEERSACACVSKRWLTLLSNIHRDEFCSNTTNLLLNPQDEVTGNKDQEVESCGYLSRSLEGKKATDVRLAAIAVGTASRGGLGKLTIRGSNSGRGVTNLGLRAISHGCPSLRVLSLWNVSSIGDEGLCEIANRCHMLEKLDLSQCPAISDKGLVAIAKKCPNLTDLSLESCSNIGNEGLQAIGQCCPNLKSISIKNCPLVGDQGIASLLSSVSYVLTKVKLQALAITDVSLAVIGHYGKAITDLVLTSIPNVTERGFWVMGNGHGLQKLKSFTVTSCQGVTDTGLEAVGKGCPNLKQFCLRKCLFISDSGLVSFCKAAGSLESLHLEECHRITQYGFFGALSTGAKLKAVAFVYCLGLKDLNLGLPEVSPCQSLRSLSIRNCPGFGNAGLALLGRLCPQLQHVDFSGLEGITDAGFLPLLENCEAGLVKVNLSGCVNVTDKMVSSMAKLHGWTLEMVNLEGCKMISDAGLVAITGNCPLLSDLDVSRCAITDFGIASLACADQLNLQILAMSGCPLVSDKSLPALVKMGQTLLGLNLQHCKAISSSTVDRLVEQLWRCDILS >ONI34410 pep chromosome:Prunus_persica_NCBIv2:G1:40147449:40150863:1 gene:PRUPE_1G480700 transcript:ONI34410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSNDDFCPGGSIYTNPKEPSLFLSRGNHADVFFTPRKRSRISGPFVFSEEGFEQKKPVSIDVLPDECLFEIFKRLPGGEERSACACVSKRWLTLLSNIHRDEFCSNTTNLLLNPQDEVTGNKDQEVESCGYLSRSLEGKKATDVRLAAIAVGTASRGGLGKLTIRGSNSGRGVTNLGLRAISHGCPSLRVLSLWNVSSIGDEGLCEIANRCHMLEKLDLSQCPAISDKGLVAIAKKCPNLTDLSLESCSNIGNEGLQAIGQCCPNLKSISIKNCPLVGDQGIASLLSSVSYVLTKVKLQALAITDVSLAVIGHYGKAITDLVLTSIPNVTERGFWVMGNGHGLQKLKSFTVTSCQGVTDTGLEAVGKGCPNLKQFCLRKCLFISDSGLVSFCKAAGSLESLHLEECHRITQYGFFGALSTGAKLKAVAFVYCLGLKDLNLGLPEVSPCQSLRSLSIRNCPGFGNAGLALLGRLCPQLQHVDFSGLEGITDAGFLPLLENCEAGLVKVNLSGCVNVTDKMVSSMAKLHGWTLEMVNLEGCKMISDAGLVAITGNCPLLSDLDVSRCAITDFGIASLACADQLNLQILAMSGCPLVSDKSLPALVKMGQTLLGLNLQHCKAISSSTVDRLVEQLWRCDILS >ONI34409 pep chromosome:Prunus_persica_NCBIv2:G1:40146920:40150863:1 gene:PRUPE_1G480700 transcript:ONI34409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLGFAGNDDFCPGGSIYTNPKEPSLFLSRGNHADVFFTPRKRSRISGPFVFSEEGFEQKKPVSIDVLPDECLFEIFKRLPGGEERSACACVSKRWLTLLSNIHRDEFCSNTTNLLLNPQDEVTGNKDQEVESCGYLSRSLEGKKATDVRLAAIAVGTASRGGLGKLTIRGSNSGRGVTNLGLRAISHGCPSLRVLSLWNVSSIGDEGLCEIANRCHMLEKLDLSQCPAISDKGLVAIAKKCPNLTDLSLESCSNIGNEGLQAIGQCCPNLKSISIKNCPLVGDQGIASLLSSVSYVLTKVKLQALAITDVSLAVIGHYGKAITDLVLTSIPNVTERGFWVMGNGHGLQKLKSFTVTSCQGVTDTGLEAVGKGCPNLKQFCLRKCLFISDSGLVSFCKAAGSLESLHLEECHRITQYGFFGALSTGAKLKAVAFVYCLGLKDLNLGLPEVSPCQSLRSLSIRNCPGFGNAGLALLGRLCPQLQHVDFSGLEGITDAGFLPLLENCEAGLVKVNLSGCVNVTDKMVSSMAKLHGWTLEMVNLEGCKMISDAGLVAITGNCPLLSDLDVSRCAITDFGIASLACADQLNLQILAMSGCPLVSDKSLPALVKMGQTLLGLNLQHCKAISSSTVDRLVEQLWRCDILS >ONI35272 pep chromosome:Prunus_persica_NCBIv2:G1:43117915:43125156:1 gene:PRUPE_1G526800 transcript:ONI35272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLARRSRKMTRLLFLTQSLSSNPKPISHSHTYYNYSVSRSFHNSISKLSLAFLHPIRSPAICAFCSKPSSAAGVGSLPSMTEDISHLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTAKPLYTSLVTQLESLSVPFISVEDLPLDLSKDFDIIVDAMFGFSFHGSPRPPFDILIQKLVCIKNYDQTRQKSSAIVSIDIPSGWHVEGGGGAEGIKPDMLVSLTAPKLCAKKFFGPHHFLGGRFVPPSVADKYKLRLPPYPGTSMCVRIGRPAQVDISALRENYISPEFLEEQVEADPFDQFRKWFDDVVAAGLREPNAMALSTASKNGKPSSRMVLLKGFDKNGFVWYTNYESRKAHELSENPHASLLFYWDGLNRQVRVEGSVQKVSDEESDQYFHSRPRGSQIGAIVSKQSTVVPGRHVLYDQYKELEEKFSDGSIIPKPKNWGGYRLKPELFEFWQGQKSRLHDRLQYSPEEINGQQVWKIERLAP >ONI35273 pep chromosome:Prunus_persica_NCBIv2:G1:43117914:43125856:1 gene:PRUPE_1G526800 transcript:ONI35273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLARRSRKMTRLLFLTQSLSSNPKPISHSHTYYNYSVSRSFHNSISKLSLAFLHPIRSPAICAFCSKPSSAAGVGSLPSMTEDISHLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTAKPLYTSLVTQLESLSVPFISVEDLPLDLSKDFDIIVDAMFGFSFHGSPRPPFDILIQKLVCIKNYDQTRQKSSAIVSIDIPSGWHVEGGGGAEGIKPDMLVSLTAPKLCAKKFFGPHHFLGGRFVPPSVADKYKLRLPPYPGTSMCVRIGRPAQVDISALRENYISPEFLEEQVEADPFDQFRKWFDDVVAAGLREPNAMALSTASKNGKPSSRMVLLKGFDKNGFVWYTNYESRKAHELSENPHASLLFYWDGLNRQVRVEGSVQKVSDEESDQYFHSRPRGSQIGAIVSKQSTVVPGRHVLYDQYKELEEKFSDGSIIPKPKNWGGYRLKPELFEFWQGQKSRLHDRLQYSPEEINGQQVWKIERLAP >ONI35271 pep chromosome:Prunus_persica_NCBIv2:G1:43117915:43125156:1 gene:PRUPE_1G526800 transcript:ONI35271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLARRSRKMTRLLFLTQSLSSNPKPISHSHTYYNYSVSRSFHNSISKLSLAFLHPIRSPAICAFCSKPSSAAGVGSLPSMTEDISHLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTAKPLYTSLVTQLESLSVPFISVEDLPLDLSKDFDIIVDAMFGFSFHGSPRPPFDILIQKLVCIKNYDQTRQKSSAIVSIDIPSGWHVEGGGGAEGIKPDMLVSLTAPKLCAKKFFGPHHFLGGRFVPPSVADKYKLRLPPYPGTSMCVRIGRPAQVDISALRENYISPEFLEEQVEADPFDQFRKWFDDVVAAGLREPNAMALSTASKNGKPSSRMVLLKGFDKNGFVWYTNYESRKAHELSENPHASLLFYWDGLNRQVRVEGSVQKVSDEESDQYFHSRPRGSQIGAIVSKQSTVVPGRHVLYDQYKELEEKFSDGSIIPKPKNWGGYRLKPELFEFWQGQKSRLHDRLQYSPEEINGQQVWKIERLAP >ONI35274 pep chromosome:Prunus_persica_NCBIv2:G1:43118070:43123802:1 gene:PRUPE_1G526800 transcript:ONI35274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLARRSRKMTRLLFLTQSLSSNPKPISHSHTYYNYSVSRSFHNSISKLSLAFLHPIRSPAICAFCSKPSSAAGVGSLPSMTEDISHLTQREAAEIDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTAKPLYTSLVTQLESLSVPFISVEDLPLDLSKDFDIIVDAMFGFSFHGSPRPPFDILIQKLVCIKNYDQTRQKSSAIVSIDIPSGWHVEGGGGAEGIKPDMLVSLTAPKLCAKKFFGPHHFLGGRFVPPSVADKYKLRLPPYPGTSMCVRIGRPAQVDISALRENYISPEFLEEQVEADPFDQFRKWFDDVVAAGLREPNAMALSTASKNGKPSSRMVLLKGFDKNGFVWYTNYESRKAHELSENPHASLLFYWDGLNRQVRVEGSVQKVSDEESDQYFHSRPRGSQIGAIVSKQSTVVPGRHVLYDQYKELEEKFSDGSIIPKPKNWGGYRLKPELFEFWQGQKSRLHDRWFLSPL >ONI36251 pep chromosome:Prunus_persica_NCBIv2:G1:47055848:47058082:1 gene:PRUPE_1G578100 transcript:ONI36251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRSLDTLLFDPARRPELDWGRRFNIIQRGLLYLHRDSYLKVIHRDLKVSNILLDEQMNPKISAFGLARIIQGTQNITNTQKVVGTLGYMSPEYAMGGIFSEKSDVYSFGVLILEIISSMKNTSFYYYEQHLGFLAYVSSHSKSYKLLNNLYEAKYIHTYIHIYIYIYMKFCQAWHSWNEGRGLELVDEILADSYSSS >ONI34550 pep chromosome:Prunus_persica_NCBIv2:G1:40564591:40565327:1 gene:PRUPE_1G487000 transcript:ONI34550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLIVLGQILIHDHRKDLFGIYTILLIFDCKIKHTISPMIWYQSCWDLMNEGEEGEEGEKRTQIGQWNQTSSFSLTSSLLETQMQSLASSSLPSLALG >ONI32451 pep chromosome:Prunus_persica_NCBIv2:G1:33710930:33714252:1 gene:PRUPE_1G368700 transcript:ONI32451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTSTEQKVSIEQREAEALAASTGALSMLQKSFSSLAHPDSNAIPLNSLQQCFSLTHKNPVCEALTVPDSFPMLLDHLGSSILDLIFISEKGGVSWVEFVRGYNKCCARMSASMSLNILLRVFAVTLQKAGSPSNLEFESDDVECKITGSLKPVDVLMLLWMCWAISWNSRTSKISKEKTDLPLPDINQIVLSAIVSCADVGSGLNVWDCQLSGLEVELPVGKFLSWVVRTVPSLPDCFSQFVYAILKNCVSHKDGLECSTSSVVENASTMAYSSHLLSSGMAWAISLALRGTISEEISKVCFPSETDGIDKNLLYRSSLHGRGLNRFWSNIEGYQGPLLMLFSATSGDASDGRANERKWTVGALTNQGFENKDLFYGSSGNLYAISPVFHVYPPTGKEKNFVYSHLHPTGRTYEPKPKPVGIGFGGSLGNERIFIDEDFSKVTIRHHAADKTYQPGSLFPDQGFLPVEALISEVEVWGLGGRSAKDVQDSYKKREQLFTDQRRKVDLKTFANWEDSPEKMMMDMVSDPNAVRREDR >ONI26049 pep chromosome:Prunus_persica_NCBIv2:G1:192137:199379:1 gene:PRUPE_1G001300 transcript:ONI26049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESGAKFTGIIRLNNHDNNFDDLSQGFYHKLHEGEGTNMSIDSLQTSNDGGSVAMSIDNSSVASNTNDSKTHILNHQGLRRRAKDNYSVAQSVNRRGRVTHALSDDQLARALMDIHSSTEGLENYEDWTIDLRKLNMGEAFAQGAFGKLYRGTYNGEDVAIKLLERPENDPEKAQLMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLAKRQSRSVPLKLAVKQALDVARGIEYVHGLGLIHRDLKSDNLLISSDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRKYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPIIPSDCLPVLGEIMTRCWDANPDVRPPFTEVVRMLENAETEIMTTVRKARFRCCITQPMTMD >ONI36107 pep chromosome:Prunus_persica_NCBIv2:G1:46449693:46451300:-1 gene:PRUPE_1G569800 transcript:ONI36107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTLLRSFSFLAAELSPIFLTNFLATTVLVIAAAVYFHFRSKGIYLIDFTCYLPPDSLRVPTSNFIEHIEISGMHDRESVDFQTKVLERSGIGAESCMPITVHEIPPYSSLKATRNETETVLFTIVKDLLSKHKINPKSIDILVSNCSLFSPTPSITSMVINKFGFRSNIKSINLSGMGCSAGILSISLAKDLLKVHKNCLALVLSMEAVTPNGYGGRMKSMLLPNILFRMGGAAILLSNRKQDKRIAKYELQHLVRTHIGSDDEAYQSVFQQPDEAGHVGVSLSRALLRVATKALRSNMSELGPLVLPYSVQLRYAWSVICMKLWFLPSKKGIYVPNFKKALEHFCIHAGGRAVIDGIEDSLKLHKEDGEASRMTLHRFGNTSSSSVWYELCYLEAKGRLKKGNRVWQIAFGSGFKCNSAIWKCISDIDPTKRNAWSDCIHFYPTQNDTLN >ONI31192 pep chromosome:Prunus_persica_NCBIv2:G1:29359707:29361682:1 gene:PRUPE_1G297700 transcript:ONI31192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKALLGTLLVVLSSPPPIQFTTILEFILCVCLATTTLFIQVPHEYINEAAILTILFKGLPSIFCVFGFSIILAFSGAFTALMIIRNKPAIARIFGLCSMASMSSAMALLMWAIYNKLAATI >ONI31886 pep chromosome:Prunus_persica_NCBIv2:G1:31766385:31767349:-1 gene:PRUPE_1G336700 transcript:ONI31886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSRSYQISATPVTIFVHLLAIAITTLVLIWLLHFRHGLALNSFITEKILNVHTLLMVIGFILIGGEAIMAYKTVPGKRNTQKMVHLILHFLALVAIILGIYAAFKFNHESGIPNLLTLHSWLGIITISLFGLQWLFAFFAYVFPGAESSARGNLVPWHTFVGMVIFLLAVCTAEAGLLERFLFLSIGRTQETLIINFTGLLIFLFAASVSLSVLLPRLY >ONI26230 pep chromosome:Prunus_persica_NCBIv2:G1:873571:878319:-1 gene:PRUPE_1G011300 transcript:ONI26230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKQANSSSSSPPSSSQRTEISISGLSEKHQEELENLTLTTQPFRTLKFFILAVVQYVKRSISYLLAKGGWLMLLSTVVASLGILLVTIDGPHEKHVEELLKYFQFGLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTLKAMQCGRVDLKSAPYDTIQLKRGPSWLGKNCSEFGPPLFPSLHGLRVPLSSILPQVQLEAILWGVGTAIGELPPYFISRAASLSGDKVDGMEELDAPSDEGTGFIATHLNRVKRWLLSHSQQFNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFLATVIGKAIIKTHLQTVFIISVCNNQLLNWIENELIWVFSLIPGFAAVLPNLIAKIDAAKEKYLTASSVSSNIKVKTWDFSFASIWNTLVWLMLFNFFVKIVNATAQRYLKKQQEKELALLTKKS >ONI26229 pep chromosome:Prunus_persica_NCBIv2:G1:873619:878319:-1 gene:PRUPE_1G011300 transcript:ONI26229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKQANSSSSSPPSSSQRTEISISGLSEKHQEELENLTLTTQPFRTLKFFILAVVQYVKRSISYLLAKGGWLMLLSTVVASLGILLVTIDGPHEKHVEELLKYFQFGLWWVALGVASSIGLGSGLHTFVLYLGPHIAFFTLKAMQCGRVDLKSAPYDTIQLKRGPSWLGKNCSEFGPPLFPSLHGLRVPLSSILPQVQLEAILWGVGTAIGELPPYFISRAASLSGDKVDGMEELDAPSDEGTGFIATHLNRVKRWLLSHSQQFNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFLATVIGKAIIKTHLQTVFIISVCNNQLLNWIENELIWVFSLIPGFAAVLPNLIAKIDAAKEKYLTASSVSSNIKVKTWDFSFASIWNTLVWLMLFNFFVKIVNATAQRYLKKQQEKELALLTKKS >ONI27418 pep chromosome:Prunus_persica_NCBIv2:G1:6317463:6321243:-1 gene:PRUPE_1G084900 transcript:ONI27418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPQNWLLGFSLSNHSHHRHPSHDLSLFEAFTSSPSQTSAHQQQDHSNPGGATPPSPTDLSIFSSGVRGPKLEDFLGGSTATPTSLAHFSGTSAAADHHHNHQQTAPLALSENEIYDSELKTIAASFLRGFSSPATAAASSVQTTKPQNLVPLASSELTPKKTADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYERELNEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMGRYDVKSIANSNLPIGGMSGKSKNSSDSDSKSIEGNRSADDRDLSSASSVTFASQQPSSSTLSFAIPIKQDPSTDYWSNIFGYHHNAKNPSPVSVAPSSLFQSCTTNVPYGNNSSQIPFNMDFSVSATSSTSPSETNNGYFGNYNAHGQQQQQQDQSTSGTNSIPFATPIALNSNNGFETSSGYGSWIGPSLHTFQTHAKTNLFQTPIFGME >ONI27686 pep chromosome:Prunus_persica_NCBIv2:G1:7965056:7969440:-1 gene:PRUPE_1G099900 transcript:ONI27686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSQQSLRKALKTVGLAKANGDFKALDVAIAKATSHDEALPKEKHVLTILNAVSAFRPRAEAAYCIHGLARRLSKTHNWKVALKTVIIVHRALREVDDTFCDAVVKYSWSRGHMLNLSHVWDGSSSSALDFSAWVRTYASYLEERLECFRVLKYDAQKDHSRTKELDTPDLLRQLPALQQLLSRLLDCQPKEGTVCNCLIQFALSMVAGESAKLYAAITDGNVNLLDKFFEMQHNDAVRALEIYKKSRSQEERLCEFFEICRSFNFGQALKFIKIQQPPASFLTTMEDYVNDAPSSSTLQHTQINEKEDAAPQVIHLVEGDLLIDHKQDDNVEEKSNANGTAPDQSEAAGTSQVIDLLSFDELPPVTSESDEQNSLALAIVQSDSKPDGLNSTSSESSWELALFTAPSSNADAVSAPSSNVASAEETKVAVGLDRLTLDSLYDGAMASTPNQNGFYHRQAPSNPFDDAPISPYQLVPLPQNNTQMAIMPQQHVQDQVPFYGLYSNAIPTASTNSQMPFMTQQQAFMMQQQQLANISDIPSNSSGNPFAIEQSYSQPPPNSFNGFI >ONI27687 pep chromosome:Prunus_persica_NCBIv2:G1:7964740:7970588:-1 gene:PRUPE_1G099900 transcript:ONI27687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSQQSLRKALKTVGLAKANGDFKALDVAIAKATSHDEALPKEKHVLTILNAVSAFRPRAEAAYCIHGLARRLSKTHNWKVALKTVIIVHRALREVDDTFCDAVVKYSWSRGHMLNLSHVWDGSSSSALDFSAWVRTYASYLEERLECFRVLKYDAQKDHSRTKELDTPDLLRQLPALQQLLSRLLDCQPKEGTVCNCLIQFALSMVAGESAKLYAAITDGNVNLLDKFFEMQHNDAVRALEIYKKSRSQEERLCEFFEICRSFNFGQALKFIKIQQPPASFLTTMEDYVNDAPSSSTLQHTQINEKEDAAPQVIHLVEGDLLIDHKQDDNVEEKSNANGTAPDQSEAAGTSQVIDLLSFDELPPVTSESDEQNSLALAIVQSDSKPDGLNSTSSESSWELALFTAPSSNADAVSAPSSNVASAEETKVAVGLDRLTLDSLYDGAMASTPNQNGFYHRQAPSNPFDDAPISPYQLVPLPQNNTQMAIMPQQHVQDQVPFYGLYSNAIPTASTNSQMPFMTQQQAFMMQQQQLANISDIPSNSSGNPFAIEQSYSQPPPNSFNGFI >ONI35194 pep chromosome:Prunus_persica_NCBIv2:G1:42771883:42772836:-1 gene:PRUPE_1G521500 transcript:ONI35194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIIPPVLSSPRDDAIQLHRAFKGFGCDTTTVINILAHRDATQRAYLKQEYKTMYHEELSKRLSSELSGNTKKSVLLWMHDPATRDATIIRQALGGEAVVDLKAATEVICSRTPSQILQFKNIYFATFGVYLEHDIGFQASGDHKNLLLAYVGTPRYEGLEVDRATVEYDAKALFKAGEKRLGTDEKTFVQIFSGRSSAHLAAVSAAYHSMYGSSLEKAVKKETSGYFEHALLTILRCAEHPGMYFAKVLHKAMKGLGTDDSTLLRVIVSRAEIDLQFIKAEYRKKYGKTLNDAVHSETSGNYRAFLLALLGPNH >ONI35195 pep chromosome:Prunus_persica_NCBIv2:G1:42771527:42773948:-1 gene:PRUPE_1G521500 transcript:ONI35195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIIPPVLSSPRDDAIQLHRAFKGFGCDTTTVINILAHRDATQRAYLKQEYKTMYHEELSKRLSSELSGNTKKSVLLWMHDPATRDATIIRQALGGEAVVDLKAATEVICSRTPSQILQFKNIYFATFGVYLEHDIGFQASGDHKNLLLAYVGTPRYEGLEVDRATVEYDAKALFKAGEKRLGTDEKTFVQIFSGRSSAHLAAVSAAYHSMYGSSLEKAVKKETSGYFEHALLTILRCAEHPGMYFAKVLHKAMKGLGTDDSTLLRVIVSRAEIDLQFIKAEYRKKYGKTLNDAVHSETSGNYRAFLLALLGPNH >ONI35193 pep chromosome:Prunus_persica_NCBIv2:G1:42771883:42772836:-1 gene:PRUPE_1G521500 transcript:ONI35193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIIPPVLSSPRDDAIQLHRAFKGFGCDTTTVINILAHRDATQRAYLKQEYKTMYHEELSKRLSSELSGNTKKSVLLWMHDPATRDATIIRQALGGEAVVDLKAATEVICSRTPSQILQFKNIYFATFGVYLEHDIGFQASGDHKNLLLAYVGTPRYEGLEVDRATVEYDAKALFKAGEKRLGTDEKTFVQIFSGRSSAHLAAVSAAYHSMYGSSLEKAVKKETSGYFEHALLTILRCAEHPGMYFAKVLHKAMKGLGTDDSTLLRVIVSRAEIDLQFIKAEYRKKYGKTLNDAVHSETSGNYRAFLLALLGPNH >ONI35192 pep chromosome:Prunus_persica_NCBIv2:G1:42771883:42772836:-1 gene:PRUPE_1G521500 transcript:ONI35192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIIPPVLSSPRDDAIQLHRAFKGFGCDTTTVINILAHRDATQRAYLKQEYKTMYHEELSKRLSSELSGNTKKSVLLWMHDPATRDATIIRQALGGEAVVDLKAATEVICSRTPSQILQFKNIYFATFGVYLEHDIGFQASGDHKNLLLAYVGTPRYEGLEVDRATVEYDAKALFKAGEKRLGTDEKTFVQIFSGRSSAHLAAVSAAYHSMYGSSLEKAVKKETSGYFEHALLTILRCAEHPGMYFAKVLHKAMKGLGTDDSTLLRVIVSRAEIDLQFIKAEYRKKYGKTLNDAVHSETSGNYRAFLLALLGPNH >ONI32180 pep chromosome:Prunus_persica_NCBIv2:G1:32792443:32796887:1 gene:PRUPE_1G352700 transcript:ONI32180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSRIADIISSASSRWSNPQGSTVSSPPKTCAHAFAFANPARNKNHLRLSSSLQDFSSYHQLDPEDPHPSIVAHSKHPHSLERETAASSFSKEKGLPGGGVLPACNKLVRALMLLCCILLFGFLIYLISMFIYSYWSKGTPKFYIVLDCGSTGTRVYVYQASFDNANDGTFPIAMKPLTEGLQRKPNSHTGRAYDRMETEPGLDKLVHNVSGLKAAIKPLIRWAEKQIPEKAHKTTSLFLYATAGVRRLPSVDSKWLLDNAWSILKNSPFLCQRDWVKIISGLEEAYFGWIALNHHTGMLGARPRKPTFGALDLGGSSLQVTFESNEHVRNETSLNLRIGAVNHHLTAYSLPSYGLNDAFDKSVVHLLEKLPEITKAELVNGKGKLRHPCLHSGYKEKYVCSECVSKFQEGGSPVIAKTSLGKGGRSGISVMLSGAPNWDECSKLARIAVNWSEWSNRNSGIDCDLQPCALPDGLPHPYGKFFAISGFFVVYRFFNLTSEASLDDVLEKGREFCERTWEVAKNSVAPQPFIEQYCFRAPYIVFLLREGLHITDNHVIIGSGRITWTLGVALLEAGKALSTRLGLRTYEIFQIKINPIFFIAVLFISLLFLLCALSCVGNWMPKFFWRSYLPLFRTNGASSASVLSIPSPFRFQRWSPISPGDGRVKMPLSPTIAGGAQRRPFGLGDSLNSGGGIQLMESSLYPSTSSMSHSYSSNNLGQMQFDSSSMGSFWSPHRSQMHLQSRRSQSREDLNSSLAEAHMVKV >ONI32182 pep chromosome:Prunus_persica_NCBIv2:G1:32792443:32797643:1 gene:PRUPE_1G352700 transcript:ONI32182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLTEGLQRKPNSHTGRAYDRMETEPGLDKLVHNVSGLKAAIKPLIRWAEKQIPEKAHKTTSLFLYATAGVRRLPSVDSKWLLDNAWSILKNSPFLCQRDWVKIISGLEEAYFGWIALNHHTGMLGARPRKPTFGALDLGGSSLQVTFESNEHVRNETSLNLRIGAVNHHLTAYSLPSYGLNDAFDKSVVHLLEKLPEITKAELVNGKGKLRHPCLHSGYKEKYVCSECVSKFQEGGSPVIAKTSLGKGGRSGISVMLSGAPNWDECSKLARIAVNWSEWSNRNSGIDCDLQPCALPDGLPHPYGKFFAISGFFVVYRFFNLTSEASLDDVLEKGREFCERTWEVAKNSVAPQPFIEQYCFRAPYIVFLLREGLHITDNHVIIGSGRITWTLGVALLEAGKALSTRLGLRTYEIFQIKINPIFFIAVLFISLLFLLCALSCVGNWMPKFFWRSYLPLFRTNGASSASVLSIPSPFRFQRWSPISPGDGRVKMPLSPTIAGGAQRRPFGLGDSLNSGGGIQLMESSLYPSTSSMSHSYSSNNLGQMQFDSSSMGSFWSPHRSQMHLQSRRSQSREDLNSSLAEAHMVKV >ONI32181 pep chromosome:Prunus_persica_NCBIv2:G1:32792443:32796887:1 gene:PRUPE_1G352700 transcript:ONI32181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSRIADIISSASSRWSNPQGSTVSSPPKTCAHAFAFANPARNKNHLRLSSSLQDFSSYHQLDPEDPHPSIVAHSKHPHSLERETAASSFSKEKGLPGGGVLPACNKLVRALMLLCCILLFGFLIYLISMFIYSYWSKGTPKFYIVLDCGSTGTRVYVYQASFDNANDGTFPIAMKPLTEGLQRKPNSHTGRAYDRMETEPGLDKLVHNVSGLKAAIKPLIRWAEKQIPEKAHKTTSLFLYATAGVRRLPSVDSKWLLDNAWSILKNSPFLCQRDWVKIISGLEEAYFGWIALNHHTGMLGARPRKPTFGALDLGGSSLQVTFESNEHVRNETSLNLRIGAVNHHLTAYSLPSYGLNDAFDKSVVHLLEKLPEITKAELVNGKGKLRHPCLHSGYKEKYVCSECVSKFQEGGSPVIAKTSLGKGGRSGISVMLSGAPNWDECSKLARIAVNWSEWSNRNSGIDCDLQPCALPDGLPHPYGKFFAISGFFVVYRFFNLTSEASLDDVLEKGREFCERTWEVAKNSVAPQPFIEQYCFRAPYIVFLLREGLHITDNHVIIGSGRITWTLGVALLEAGKALSTRLGLRTYEIFQIKINPIFFIAVLFISLLFLLCALSCVGNWMPKFFWRSYLPLFRTNGASSASVLSIPSPFRFQRWSPISPGDGRVKMPLSPTIAGGAQRRPFGLGDSLNSGGGIQLMESSLYPSTSSMSHSYSSNNLGQMQFDSSSMGSFWSPHRSQMHLQSRRSQSREDLNSSLAEAHMVKV >ONI32179 pep chromosome:Prunus_persica_NCBIv2:G1:32792320:32797697:1 gene:PRUPE_1G352700 transcript:ONI32179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSRIADIISSASSRWSNPQGSTVSSPPKTCAHAFAFANPARNKNHLRLSSSLQDFSSYHQLDPEDPHPSIVAHSKHPHSLERETAASSFSKEKGLPGGGVLPACNKLVRALMLLCCILLFGFLIYLISMFIYSYWSKGTPKFYIVLDCGSTGTRVYVYQASFDNANDGTFPIAMKPLTEGLQRKPNSHTGRAYDRMETEPGLDKLVHNVSGLKAAIKPLIRWAEKQIPEKAHKTTSLFLYATAGVRRLPSVDSKWLLDNAWSILKNSPFLCQRDWVKIISGLEEAYFGWIALNHHTGMLGARPRKPTFGALDLGGSSLQVTFESNEHVRNETSLNLRIGAVNHHLTAYSLPSYGLNDAFDKSVVHLLEKLPEITKAELVNGKGKLRHPCLHSGYKEKYVCSECVSKFQEGGSPVIAKTSLGKGGRSGISVMLSGAPNWDECSKLARIAVNWSEWSNRNSGIDCDLQPCALPDGLPHPYGKFFAISGFFVVYRFFNLTSEASLDDVLEKGREFCERTWEVAKNSVAPQPFIEQYCFRAPYIVFLLREGLHITDNHVIIGSGRITWTLGVALLEAGKALSTRLGLRTYEIFQIKINPIFFIAVLFISLLFLLCALSCVGNWMPKFFWRSYLPLFRTNGASSASVLSIPSPFRFQRWSPISPGDGRVKMPLSPTIAGGAQRRPFGLGDSLNSGGGIQLMESSLYPSTSSMSHSYSSNNLGQMQFDSSSMGSFWSPHRSQMHLQSRRSQSREDLNSSLAEAHMVKV >ONI32365 pep chromosome:Prunus_persica_NCBIv2:G1:33412038:33417702:1 gene:PRUPE_1G363400 transcript:ONI32365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSPPPCPNTVTIRRNPHRRARPPPTPATAAPEMPSADVAKVRYFPTEEILSMDIAKADPVSENLRVFLRIRPLLHGGVGGDRNSKPRFKNVWPQNPAKRKSAIGRALQSKKNKDAEVCVRVNNPQSVTLSPPLALQESNRIKTEVYDGFSHVFSPDSSQEEVYEKMVRPLVDDFLRGKSGMLAALGPSGSGKTHTVFGCPRQPGMVPLALQHIFRQTRGSNSESMRSFFISIFEISSERGKGERLFDLSPNGGDLCMQQLTLKGLQEIAISDARQAESIIAQAMLKRATGMTNANSQSSRSQCIINIRGVADKSNGEGNDQASDGVLSIVDLAGAEREKRTGNQGVRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTKYLREYLEGKKRMALILTVKSGEEDYRDTSYVLRQASPYMEIKYNNVEEPSNISYNKRHVQALSRSERHKRMKVTGSDACLIDEGKSIEAENGLCEEGSLKSSEEPGICKVDMSGCAPSKSGCADSGEGERNHQIMQSFAKALWNVLKQYKEKLKVADNEIQHLRESLVTESTRYIELKKELNDIKSSCACSHRKSVEVSVVELDMNFHERVPLQINVEETAYLREYTPTKDQNFISQVKGLDVCEVGASCLELENPNELDHQTCESLSGSGNSAEDLCESKCVRMEDSYSSANVADAAGLRDTGIYIMLN >ONI32366 pep chromosome:Prunus_persica_NCBIv2:G1:33412038:33417702:1 gene:PRUPE_1G363400 transcript:ONI32366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSPPPCPNTVTIRRNPHRRARPPPTPATAAPEMPSADVAKVRYFPTEEILSMDIAKADPVSENLRVFLRIRPLLHGGVGGDRNSKPRFKNVWPQNPAKRKSAIGRALQSKKNKDAEVCVRVNNPQSVTLSPPLALQESNRIKTEVYDGFSHVFSPDSSQEEVYEKMVRPLVDDFLRGKSGMLAALGPSGSGKTHTVFGCPRQPGMVPLALQHIFRQTRGSNSESMRSFFISIFEISSERGKGERLFDLSPNGGDLCMQQLTLKGLQEIAISDARQAESIIAQAMLKRATGMTNANSQSSRSQCIINIRGVADKSNGEGNDQASDGVLSIVDLAGAEREKRTGNQGVRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTKYLREYLEGKKRMALILTVKSGEEDYRDTSYVLRQASPYMEIKYNNVEEPSNISYNKRHVQALSRSERHKRMKVTGSDACLIDEGKSIEAENGLCEEGSLKSSEEPGICKVDMSGCAPSKSGCADSGEGERNHQIMQSFAKALWNVLKQYKEKLKVADNEIQHLRESLVTESTRYIELKKELNDIKSSCACSHRKSVEVSVVELDMNFHERVPLQINVEEVKGLDVCEVGASCLELENPNELDHQTCESLSGSGNSAEDLCESKCVRMEDSYSSANVADAAGLRDTGIYIMLN >ONI32364 pep chromosome:Prunus_persica_NCBIv2:G1:33412681:33419512:1 gene:PRUPE_1G363400 transcript:ONI32364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSPPPCPNTVTIRRNPHRRARPPPTPATAAPEMPSADVAKVRYFPTEEILSMDIAKADPVSENLRVFLRIRPLLHGGVGGDRNSKPRFKNVWPQNPAKRKSAIGRALQSKKNKDAEVCVRVNNPQSVTLSPPLALQESNRIKTEVYDGFSHVFSPDSSQEEVYEKMVRPLVDDFLRGKSGMLAALGPSGSGKTHTVFGCPRQPGMVPLALQHIFRQTRGSNSESMRSFFISIFEISSERGKGERLFDLSPNGGDLCMQQLTLKGLQEIAISDARQAESIIAQAMLKRATGMTNANSQSSRSQCIINIRGVADKSNGEGNDQASDGVLSIVDLAGAEREKRTGNQGVRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTKYLREYLEGKKRMALILTVKSGEEDYRDTSYVLRQASPYMEIKYNNVEEPSNISYNKRHVQALSRSERHKRMKVTGSDACLIDEGKSIEAENGLCEEGSLKSSEEPGICKVDMSGCAPSKSGCADSGEGERNHQIMQSFAKALWNVLKQYKEKLKVADNEIQHLRESLVTESTRYIELKKELNDIKSSCACSHRKSVEVSVVELDMNFHERVPLQINVEETAYLREYTPTKDQNFISQVKGLDVCEVGASCLELENPNELDHQTCESLSGSGNSAEDLCESKCVRMEDSYSSANVAGLPGFMVNSSQSLHRKDSCSSVELDHMLSGEDEESPEDVVLTGQCNAVDLLDGECRLDTCSQALQSGESERRVSPGSSPSQRDCRAFDVEDEIEKPRELLNFTTTSPQEDLVSSKGCEMIDIPDSEPRVTTSATTAEKPKGCEVIDIPDSEPRVTKAEKSKECELIDIPDSDPRVTTTATKAEKPKRRLLPASSLLLRHFSTLDIEDDDEKPKVKVSLSLSGLNSLRLL >ONI32360 pep chromosome:Prunus_persica_NCBIv2:G1:33412038:33419991:1 gene:PRUPE_1G363400 transcript:ONI32360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSPPPCPNTVTIRRNPHRRARPPPTPATAAPEMPSADVAKVRYFPTEEILSMDIAKADPVSENLRVFLRIRPLLHGGVGGDRNSKPRFKNVWPQNPAKRKSAIGRALQSKKNKDAEVCVRVNNPQSVTLSPPLALQESNRIKTEVYDGFSHVFSPDSSQEEVYEKMVRPLVDDFLRGKSGMLAALGPSGSGKTHTVFGCPRQPGMVPLALQHIFRQTRGSNSESMRSFFISIFEISSERGKGERLFDLSPNGGDLCMQQLTLKGLQEIAISDARQAESIIAQAMLKRATGMTNANSQSSRSQCIINIRGVADKSNGEGNDQASDGVLSIVDLAGAEREKRTGNQGVRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTKYLREYLEGKKRMALILTVKSGEEDYRDTSYVLRQASPYMEIKYNNVEEPSNISYNKRHVQALSRSERHKRMKVTGSDACLIDEGKSIEAENGLCEEGSLKSSEEPGICKVDMSGCAPSKSGCADSGEGERNHQIMQSFAKALWNVLKQYKEKLKVADNEIQHLRESLVTESTRYIELKKELNDIKSSCACSHRKSVEVSVVELDMNFHERVPLQINVEEVKGLDVCEVGASCLELENPNELDHQTCESLSGSGNSAEDLCESKCVRMEDSYSSANVAGLPGFMVNSSQSLHRKDSCSSVELDHMLSGEDEESPEDVVLTGQCNAVDLLDGECRLDTCSQALQSGESERRVSPGSSPSQRDCRAFDVEDEIEKPRELLNFTTTSPQEDLVSSKGCEMIDIPDSEPRVTTSATTAEKPKGCEVIDIPDSEPRVTKAEKSKECELIDIPDSDPRVTTTATKAEKPKRRLLPASSLLLRHFSTLDIEDDDEKPKGGKKKSGVEERKITQGSISLLRLLKSDLRI >ONI32361 pep chromosome:Prunus_persica_NCBIv2:G1:33412038:33420015:1 gene:PRUPE_1G363400 transcript:ONI32361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSPPPCPNTVTIRRNPHRRARPPPTPATAAPEMPSADVAKVRYFPTEEILSMDIAKADPVSENLRVFLRIRPLLHGGVGGDRNSKPRFKNVWPQNPAKRKSAIGRALQSKKNKDAEVCVRVNNPQSVTLSPPLALQESNRIKTEVYDGFSHVFSPDSSQEEVYEKMVRPLVDDFLRGKSGMLAALGPSGSGKTHTVFGCPRQPGMVPLALQHIFRQTRGSNSESMRSFFISIFEISSERGKGERLFDLSPNGGDLCMQQLTLKGLQEIAISDARQAESIIAQAMLKRATGMTNANSQSSRSQCIINIRGVADKSNGEGNDQASDGVLSIVDLAGAEREKRTGNQGVRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTKYLREYLEGKKRMALILTVKSGEEDYRDTSYVLRQASPYMEIKYNNVEEPSNISYNKRHVQALSRSERHKRMKVTGSDACLIDEGKSIEAENGLCEEGSLKSSEEPGICKVDMSGCAPSKSGCADSGEGERNHQIMQSFAKALWNVLKQYKEKLKVADNEIQHLRESLVTESTRYIELKKELNDIKSSCACSHRKSVEVSVVELDMNFHERVPLQINVEEVKGLDVCEVGASCLELENPNELDHQTCESLSGSGNSAEDLCESKCVRMEDSYSSANVAGFMVNSSQSLHRKDSCSSVELDHMLSGEDEESPEDVVLTGQCNAVDLLDGECRLDTCSQALQSGESERRVSPGSSPSQRDCRAFDVEDEIEKPRELLNFTTTSPQEDLVSSKGCEMIDIPDSEPRVTTSATTAEKPKGCEVIDIPDSEPRVTKAEKSKECELIDIPDSDPRVTTTATKAEKPKRRLLPASSLLLRHFSTLDIEDDDEKPKGGKKKSGVEERKITQGSISLLRLLKSDLRI >ONI32358 pep chromosome:Prunus_persica_NCBIv2:G1:33412038:33419991:1 gene:PRUPE_1G363400 transcript:ONI32358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSPPPCPNTVTIRRNPHRRARPPPTPATAAPEMPSADVAKVRYFPTEEILSMDIAKADPVSENLRVFLRIRPLLHGGVGGDRNSKPRFKNVWPQNPAKRKSAIGRALQSKKNKDAEVCVRVNNPQSVTLSPPLALQESNRIKTEVYDGFSHVFSPDSSQEEVYEKMVRPLVDDFLRGKSGMLAALGPSGSGKTHTVFGCPRQPGMVPLALQHIFRQTRGSNSESMRSFFISIFEISSERGKGERLFDLSPNGGDLCMQQLTLKGLQEIAISDARQAESIIAQAMLKRATGMTNANSQSSRSQCIINIRGVADKSNGEGNDQASDGVLSIVDLAGAEREKRTGNQGVRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTKYLREYLEGKKRMALILTVKSGEEDYRDTSYVLRQASPYMEIKYNNVEEPSNISYNKRHVQALSRSERHKRMKVTGSDACLIDEGKSIEAENGLCEEGSLKSSEEPGICKVDMSGCAPSKSGCADSGEGERNHQIMQSFAKALWNVLKQYKEKLKVADNEIQHLRESLVTESTRYIELKKELNDIKSSCACSHRKSVEVSVVELDMNFHERVPLQINVEETAYLREYTPTKDQNFISQVKGLDVCEVGASCLELENPNELDHQTCESLSGSGNSAEDLCESKCVRMEDSYSSANVAGLPGFMVNSSQSLHRKDSCSSVELDHMLSGEDEESPEDVVLTGQCNAVDLLDGECRLDTCSQALQSGESERRVSPGSSPSQRDCRAFDVEDEIEKPRELLNFTTTSPQEDLVSSKGCEMIDIPDSEPRVTTSATTAEKPKGCEVIDIPDSEPRVTKAEKSKECELIDIPDSDPRVTTTATKAEKPKRRLLPASSLLLRHFSTLDIEDDDEKPKGGKKKSGVEERKITQGSISLLRLLKSDLRI >ONI32363 pep chromosome:Prunus_persica_NCBIv2:G1:33412038:33419991:1 gene:PRUPE_1G363400 transcript:ONI32363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSPPPCPNTVTIRRNPHRRARPPPTPATAAPEMPSADVAKVRYFPTEEILSMDIAKADPVSENLRVFLRIRPLLHGGVGGDRNSKPRFKNVWPQNPAKRKSAIGRALQSKKNKDAEVCVRVNNPQSVTLSPPLALQESNRIKTEVYDGFSHVFSPDSSQEEVYEKMVRPLVDDFLRGKSGMLAALGPSGSGKTHTVFGCPRQPGMVPLALQHIFRQTRGSNSESMRSFFISIFEISSERGKGERLFDLSPNGGDLCMQQLTLKGLQEIAISDARQAESIIAQAMLKRATGMTNANSQSSRSQCIINIRGVADKSNGEGNDQASDGVLSIVDLAGAEREKRTGNQGVRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTKYLREYLEGKKRMALILTVKSGEEDYRDTSYVLRQASPYMEIKYNNVEEPSNISYNKRHVQALSRSERHKRMKVTGSDACLIDEGKSIEAENGLCEEGSLKSSGICKVDMSGCAPSKSGCADSGEGERNHQIMQSFAKALWNVLKQYKEKLKVADNEIQHLRESLVTESTRYIELKKELNDIKSSCACSHRKSVEVSVVELDMNFHERVPLQINVEEVKGLDVCEVGASCLELENPNELDHQTCESLSGSGNSAEDLCESKCVRMEDSYSSANVAGLPGFMVNSSQSLHRKDSCSSVELDHMLSGEDEESPEDVVLTGQCNAVDLLDGECRLDTCSQALQSGESERRVSPGSSPSQRDCRAFDVEDEIEKPRELLNFTTTSPQEDLVSSKGCEMIDIPDSEPRVTTSATTAEKPKGCEVIDIPDSEPRVTKAEKSKECELIDIPDSDPRVTTTATKAEKPKRRLLPASSLLLRHFSTLDIEDDDEKPKGGKKKSGVEERKITQGSISLLRLLKSDLRI >ONI32359 pep chromosome:Prunus_persica_NCBIv2:G1:33412038:33420002:1 gene:PRUPE_1G363400 transcript:ONI32359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSPPPCPNTVTIRRNPHRRARPPPTPATAAPEMPSADVAKVRYFPTEEILSMDIAKADPVSENLRVFLRIRPLLHGGVGGDRNSKPRFKNVWPQNPAKRKSAIGRALQSKKNKDAEVCVRVNNPQSVTLSPPLALQESNRIKTEVYDGFSHVFSPDSSQEEVYEKMVRPLVDDFLRGKSGMLAALGPSGSGKTHTVFGCPRQPGMVPLALQHIFRQTRGSNSESMRSFFISIFEISSERGKGERLFDLSPNGGDLCMQQLTLKGLQEIAISDARQAESIIAQAMLKRATGMTNANSQSSRSQCIINIRGVADKSNGEGNDQASDGVLSIVDLAGAEREKRTGNQGVRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTKYLREYLEGKKRMALILTVKSGEEDYRDTSYVLRQASPYMEIKYNNVEEPSNISYNKRHVQALSRSERHKRMKVTGSDACLIDEGKSIEAENGLCEEGSLKSSEEPGICKVDMSGCAPSKSGCADSGEGERNHQIMQSFAKALWNVLKQYKEKLKVADNEIQHLRESLVTESTRYIELKKELNDIKSSCACSHRKSVEVSVVELDMNFHERVPLQINVEETAYLREYTPTKDQNFISQVKGLDVCEVGASCLELENPNELDHQTCESLSGSGNSAEDLCESKCVRMEDSYSSANVAGFMVNSSQSLHRKDSCSSVELDHMLSGEDEESPEDVVLTGQCNAVDLLDGECRLDTCSQALQSGESERRVSPGSSPSQRDCRAFDVEDEIEKPRELLNFTTTSPQEDLVSSKGCEMIDIPDSEPRVTTSATTAEKPKGCEVIDIPDSEPRVTKAEKSKECELIDIPDSDPRVTTTATKAEKPKRRLLPASSLLLRHFSTLDIEDDDEKPKGGKKKSGVEERKITQGSISLLRLLKSDLRI >ONI32362 pep chromosome:Prunus_persica_NCBIv2:G1:33412038:33419991:1 gene:PRUPE_1G363400 transcript:ONI32362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINSPPPCPNTVTIRRNPHRRARPPPTPATAAPEMPSADVAKVRYFPTEEILSMDIAKADPVSENLRVFLRIRPLLHGGVGGDRNSKPRFKNVWPQNPAKRKSAIGRALQSKKNKDAEVCVRVNNPQSVTLSPPLALQESNRIKTEVYDGFSHVFSPDSSQEEVYEKMVRPLVDDFLRGKSGMLAALGPSGSGKTHTVFGCPRQPGMVPLALQHIFRQTRGSNSESMRSFFISIFEISSERGKGERLFDLSPNGGDLCMQQLTLKGLQEIAISDARQAESIIAQAMLKRATGMTNANSQSSRSQCIINIRGVADKSNGEGNDQASDGVLSIVDLAGAEREKRTGNQGVRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTKYLREYLEGKKRMALILTVKSGEEDYRDTSYVLRQASPYMEIKYNNVEEPSNISYNKRHVQALSRSERHKRMKVTGSDACLIDEGKSIEAENGLCEEGSLKSSGICKVDMSGCAPSKSGCADSGEGERNHQIMQSFAKALWNVLKQYKEKLKVADNEIQHLRESLVTESTRYIELKKELNDIKSSCACSHRKSVEVSVVELDMNFHERVPLQINVEETAYLREYTPTKDQNFISQVKGLDVCEVGASCLELENPNELDHQTCESLSGSGNSAEDLCESKCVRMEDSYSSANVAGLPGFMVNSSQSLHRKDSCSSVELDHMLSGEDEESPEDVVLTGQCNAVDLLDGECRLDTCSQALQSGESERRVSPGSSPSQRDCRAFDVEDEIEKPRELLNFTTTSPQEDLVSSKGCEMIDIPDSEPRVTTSATTAEKPKGCEVIDIPDSEPRVTKAEKSKECELIDIPDSDPRVTTTATKAEKPKRRLLPASSLLLRHFSTLDIEDDDEKPKGGKKKSGVEERKITQGSISLLRLLKSDLRI >ONI34601 pep chromosome:Prunus_persica_NCBIv2:G1:40765805:40770112:1 gene:PRUPE_1G489700 transcript:ONI34601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTPPPRKFIKFLAPGLHEKLSIPVVFSRSLHEKNEALLKSCQGYWPIKVRKHGDGLLYFEGGGWKELVEQHGLELGEFLVLKHQGIKLLLVLLMFSDFEQCDQACVFIIDVYIIFYFIFRQCY >ONI34603 pep chromosome:Prunus_persica_NCBIv2:G1:40769329:40769676:1 gene:PRUPE_1G489700 transcript:ONI34603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPNYYYFVLQQSIPVVFSRSLHEKNEALLKSCQGYWPIKVRKHGDGLLYFEGGGWKELVEQHGLELGEFLVLKHQGIKLLLVLLMFSDFEQCDQACVFIIDVYIIFYFIFRQCY >ONI34602 pep chromosome:Prunus_persica_NCBIv2:G1:40765805:40770112:1 gene:PRUPE_1G489700 transcript:ONI34602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPNYYYFVLQQSIPVVFSRSLHEKNEALLKSCQGYWPIKVRKHGDGLLYFEGGGWKELVEQHGLELGEFLVLKHQGIKLLLVLLMFSDFEQCDQACVFIIDVYIIFYFIFRQCY >ONI34625 pep chromosome:Prunus_persica_NCBIv2:G1:40845132:40847685:-1 gene:PRUPE_1G491000 transcript:ONI34625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGDNTKNQQLHHHQIFDVSVDVPPQGGSKCFDDDGRLKRTGTVWTSSAHIITAVIGSGVLSLAWATAQLGWVAGPSVMLLFSFVTYYTSTLLSACYRSGDSVTGKRNYTYMDAVRSNLGGAKVKICGYVQYLNLFGVSIGYTIASSISMMAIKRSNCFHKSGGKNPCHINSNPYMIAFGITEIIFSQIPNFDQLWWLSIVAAVMSFTYSTIGLGLGIAQVAANGNIMGSMTGISIGTVTPMQKMWRSFQALGDIAFAYSYSLILIEIQDTIRSPPSESKTMKRATQISVAVTTLFYMLCGCMGYAAFGDLSPGNLLTGFGFYNPYWLLDIANAAIVVHLVGAYQVYAQPLFAFVEKTAAQKFPDSDFITKDIRLQIPGIGPYNLNLFRMIWRTAFVIITTVISMILPFFNDVVGLLGAFGFWPLTVYFPVEMYIVQKKIPKWSTRWLCLQILSVACLIITIAAAAGSIAGVVSDLKVYKPFKTSY >ONI34624 pep chromosome:Prunus_persica_NCBIv2:G1:40845132:40848456:-1 gene:PRUPE_1G491000 transcript:ONI34624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGDNTKNQQLHHHQIFDVSVDVPPQGGSKCFDDDGRLKRTGTVWTSSAHIITAVIGSGVLSLAWATAQLGWVAGPSVMLLFSFVTYYTSTLLSACYRSGDSVTGKRNYTYMDAVRSNLGGAKVKICGYVQYLNLFGVSIGYTIASSISMMAIKRSNCFHKSGGKNPCHINSNPYMIAFGITEIIFSQIPNFDQLWWLSIVAAVMSFTYSTIGLGLGIAQVAANGNIMGSMTGISIGTVTPMQKMWRSFQALGDIAFAYSYSLILIEIQDTIRSPPSESKTMKRATQISVAVTTLFYMLCGCMGYAAFGDLSPGNLLTGFGFYNPYWLLDIANAAIVVHLVGAYQVYAQPLFAFVEKTAAQKFPDSDFITKDIRLQIPGIGPYNLNLFRMIWRTAFVIITTVISMILPFFNDVVGLLGAFGFWPLTVYFPVEMYIVQKKIPKWSTRWLCLQILSVACLIITIAAAAGSIAGVVSDLKVYKPFKTSY >ONI34623 pep chromosome:Prunus_persica_NCBIv2:G1:40845129:40848457:-1 gene:PRUPE_1G491000 transcript:ONI34623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGDNTKNQQLHHHQIFDVSVDVPPQGGSKCFDDDGRLKRTGTVWTSSAHIITAVIGSGVLSLAWATAQLGWVAGPSVMLLFSFVTYYTSTLLSACYRSGDSVTGKRNYTYMDAVRSNLGGAKVKICGYVQYLNLFGVSIGYTIASSISMMAIKRSNCFHKSGGKNPCHINSNPYMIAFGITEIIFSQIPNFDQLWWLSIVAAVMSFTYSTIGLGLGIAQVAANGNIMGSMTGISIGTVTPMQKMWRSFQALGDIAFAYSYSLILIEIQDTIRSPPSESKTMKRATQISVAVTTLFYMLCGCMGYAAFGDLSPGNLLTGFGFYNPYWLLDIANAAIVVHLVGAYQVYAQPLFAFVEKTAAQKFPDSDFITKDIRLQIPGIGPYNLNLFRMIWRTAFVIITTVISMILPFFNDVVGLLGAFGFWPLTVYFPVEMYIVQKKIPKWSTRWLCLQILSVACLIITIAAAAGSIAGVVSDLKVYKPFKTSY >ONI33362 pep chromosome:Prunus_persica_NCBIv2:G1:36311782:36312421:1 gene:PRUPE_1G419300 transcript:ONI33362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQHSLGKVPFSWENMPGVSKATQPPHPYRELPPPPCPCGEASPKLSLQDFHLPLPPCTFQPLSRSSSTKALRKHEDPFLVAFQECTKPPEGKAKDKTTLSNKYWFRSGLGSWFGFGLSCKRSSSVRDDSLVRVSRVPYHRDRTL >ONI30952 pep chromosome:Prunus_persica_NCBIv2:G1:28568907:28569788:-1 gene:PRUPE_1G283700 transcript:ONI30952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSKETIEHLLFLCPWTNVVWFGAPLNYKVDMRGFSSVDRWLEGVINFQGVSKREVQFLLTNISFICWEIWKTRCNFIFKSNHIDPRLTIDRAMQNCREFLDAKAKSPVPVGDALVDPNIIAQGWRPPMSNYVKINFDGAWKKDSHLAEAAASLCAFKFALNHNFTDIILETDSKILVEGVRGGGKNGVWAIQPLMDEFKKISVCFRSVLWSWVSRKLNRAAHKAAAIGIRAEQLESWAVRPPPSLVGVLLSDGLPCPPRRFS >ONI30731 pep chromosome:Prunus_persica_NCBIv2:G1:27718450:27720008:-1 gene:PRUPE_1G270000 transcript:ONI30731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WTNHGGDITNRRNAVGEVLINPRTVLNMRLRWVYFPSWNGYLYAVDAFTGRLIWQQNLGALTGLNGTGVVVNVTVSRSTPTIAGNLLIVGIYGPAVVIAVDRSNWRLVLCGQLNLTHVQDAFYVGCRLCKKVADMLQDIRRRLGGYSGAAVWGSSPAIDISRRHVYVATGILYTAPPEVTKCQERQNKQRGKPTHPDQCIGPDINFNSILALDLDSGRIVWSRQLGGYDVFYFACLAPMLLTIHPNRTRRDVVVAVQKSGFAWAIDRDNGTIVWSKRRRRHMGCSHRWEKSVYTNIANGDRENFTLDADSGEILWTTANPSNDTAQAPVTVANGVVFAGSVASNGPIYAMKANTGRILWSYNTGATVYGGISASYGYIYVGSGYSVDLAKFHPTWTAGTSLYAFCVI >ONI31077 pep chromosome:Prunus_persica_NCBIv2:G1:28921555:28925376:1 gene:PRUPE_1G290500 transcript:ONI31077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKRIENKINQQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSTRGKLYEFCSGSSMEKTLERYQRCSYSALEASQPAQDSQSRYQDYLNLKAKVEVLQRTQRNFLGEDLGHLGTKELQQLENQLDMSLRQIRSTKTQVMHGQISDLLRKEQMLLEANHELRRKLEECDATIERYSRTTKEQNQNVPNSSHHQAAQFEGVLDHSQCNNTLQIGYNPPEVTDHHGLQSSTQSHSGLFVPGTWVL >ONI31078 pep chromosome:Prunus_persica_NCBIv2:G1:28921555:28925376:1 gene:PRUPE_1G290500 transcript:ONI31078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLLSSSPPAASSMSSAAALAWRRHLRGIKDAVIVHWKRVNLLRIHRNFLGEDLGHLGTKELQQLENQLDMSLRQIRSTKTQVMHGQISDLLRKEQMLLEANHELRRKLEECDATIERYSRTTKEQNQNVPNSSHHQAAQFEGVLDHSQCNNTLQIGYNPPEVTDHHGLQSSTQSHSGLFVPGTWVL >ONI36318 pep chromosome:Prunus_persica_NCBIv2:G1:47208542:47211837:-1 gene:PRUPE_1G580700 transcript:ONI36318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKAKKAMKKQLSKASAQLSVSTHNKEAAAAAADFLPLEGGPARKLPEEKPKEDVAKVLYIGRIPHGFYEKEMEGFFGQFGVIKRLRIARNKKTGKSKHFGFIEFEDPGVAKVVAETMHNYLLFEHLLQVRLIPPQQVHPKLWKGFNYRVKPLNWVQIERKRHDKERTLEEHKKLVEKILKRDLKRQKRIEAAGIDYECPEIVGSIEQPAPKKRKTDRKKVDLIGMKSKH >ONI36317 pep chromosome:Prunus_persica_NCBIv2:G1:47208867:47211372:-1 gene:PRUPE_1G580700 transcript:ONI36317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKAKKAMKKQLSKASAQLSVSTHNKEAAAAAADFLPLEGGPARKLPEEKPKEDVAKVLYIGRIPHGFYEKEMEGFFGQFGVIKRLRIARNKKTGKSKHFGFIEFEDPGVAKVVAETMHNYLLFEHLLQVRLIPPQQVHPKLWKGFNYRVKPLNWVQIERKRHDKERTLEEHKKLVEKILKRDLKRQKRIEAAGIDYECPEIVGSIEQPAPKKRKTDRKKVDLIGMKSKH >ONI36320 pep chromosome:Prunus_persica_NCBIv2:G1:47208602:47211579:-1 gene:PRUPE_1G580700 transcript:ONI36320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKAKKAMKKQLSKASAQLSVSTHNKEAAAAAADFLPLEGGPARKLPEEKPKEDVAKVLYIGRIPHGFYEKEMEGFFGQFGVIKRLRIARNKKTGKSKHFGFIEFEDPGVAKVVAETMHNYLLFEHLLQVRLIPPQQVHPKLWKGFNYRVKPLNWVQIERKRHDKERTLEEHKKLVEKILKRDLKRQKRIEAAGIDYECPEIVGSIEQPAPKKRKTDRKKVDLIGMKSKH >ONI36319 pep chromosome:Prunus_persica_NCBIv2:G1:47208551:47211588:-1 gene:PRUPE_1G580700 transcript:ONI36319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKAKKAMKKQLSKASAQLSVSTHNKEAAAAAADFLPLEGGPARKLPEEKPKEDVAKVLYIGRIPHGFYEKEMEGFFGQFGVIKRLRIARNKKTGKSKHFGFIEFEDPGVAKVVAETMHNYLLFEHLLQVRLIPPQQVHPKLWKGFNYRVKPLNWVQIERKRHDKERTLEEHKKLVEKILKRDLKRQKRIEAAGIDYECPEIVGSIEQPAPKKRKTDRKKVDLIGMKSKH >ONI33926 pep chromosome:Prunus_persica_NCBIv2:G1:38135927:38136930:1 gene:PRUPE_1G453600 transcript:ONI33926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCLSHIIVFLTLTLLARASYAAAARDQTQRSFFLEKEMQPGTTMKYSLGRNINGECFLPRNIAQSIPFSSAKLPEVVNKFSMEPTSVEAAVIQETIQDCESGTLNGEDRYCATSLESMADFAMSKLGRNVQAFSTEVEKGATLQKYTVKPGVKKVNDGGNFILCHKLTDANAVFFCHTFGQTRAYVVPLKGADRTTASAVAICHLDTSAWDPKNHPLQEVKVKPGTVPVCHYLPQGHIAWVPK >ONI32218 pep chromosome:Prunus_persica_NCBIv2:G1:32906735:32909732:1 gene:PRUPE_1G354200 transcript:ONI32218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAHFSHLSAPIRAQNPKHTSSSSSSSSSSVNLLQPCKFSSVSLTSPSKSSRLFTVFASNSAKTSPSLSDPAVTELTKDAFLQIDNDVSQGPLNVEVRNPSVPSPSVAKLSLSDQAFFLLTFIACTAMGRAALSLSKLADTAREELPSTMVAIRLSGMEISDLTLELSDLSQEIADGVSKSTQAVQAAEAGIRQIGSLARQQTMSMIQERASLPIISLQPAVVGAAKKTSRAVGQATRTLMNIISRRDLENKDDIGIDRVEI >ONI32215 pep chromosome:Prunus_persica_NCBIv2:G1:32907105:32909732:1 gene:PRUPE_1G354200 transcript:ONI32215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAHFSHLSAPIRAQNPKHTSSSSSSSSSSVNLLQPCKFSSVSLTSPSKSSRLFTVFASNSAKTSPSLSDPAVTELTKDAFLQIDNDVSQGPLNVEVRNPSVPSPSVAKLSLSDQAFFLLTFIACTTSVAFTSLVIAAVPTLCAMGRAALSLSKLADTAREELPSTMVAIRLSGMEISDLTLELSDLSQEIADGVSKSTQAVQAAEAGIRQIGSLARQQTMSMIQERASLPIISLQPAVVGAAKKTSRAVGQATRTLMNIISRRDLENKDDIGIDRVEI >ONI32217 pep chromosome:Prunus_persica_NCBIv2:G1:32906736:32909732:1 gene:PRUPE_1G354200 transcript:ONI32217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAHFSHLSAPIRAQNPKHTSSSSSSSSSSVNLLQPCKFSSVSLTSPSKSSRLFTVFASNSAKTSPSLSDPAVTELTKDAFLQIDNDVSQGPLNVEVRNPSVPSPSVAKLSLSDQAFFLLTFIACTTSVAFTSLVIAAVPTLCAMGRAALSLSKLADTAREELPSTMVAIRLSGMEISDLTLELSDLSQEIADGVSKSTQAVQAAEAGIRQIGSLARQQTMSMIQERASLPIISLQPAVVGAAKKTSRAVGQATRTLMNIISRRDLENKDDIGIDRVEI >ONI32216 pep chromosome:Prunus_persica_NCBIv2:G1:32906736:32909732:1 gene:PRUPE_1G354200 transcript:ONI32216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAHFSHLSAPIRAQNPKHTSSSSSSSSSSVNLLQPCKFSSVSLTSPSKSSRLFTVFASNSAKTSPSLSDPAVTELTKDAFLQIDNDVSQGPLNVEVRNPSVPSPSVAKLSLSDQAFFLLTFIACTTSVAFTSLVIAAVPTLCAMGRAALSLSKLADTAREELPSTMVAIRLSGMEISDLTLELSDLSQEIADGVSKSTQAVQAAEAGIRQIGSLARQQTMSMIQERASLPIISLQPAVVGAAKKTSRAVGQATRTLMNIISRRDLENKDDIGIDRVEI >ONI31271 pep chromosome:Prunus_persica_NCBIv2:G1:29736128:29736997:1 gene:PRUPE_1G303100 transcript:ONI31271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQTEAAAAPTVAVGVCLLKGEKVLLGRRRCSLGYSTFSLPGGHLELGESFEECAARELKEETGLDIDKKRMEFLTARTNELLLEGGKPCQYASVCMRAVMEHGDGEPQNVEPELCDGWDWYEWDNLPKPLFRPLHNAVLAGFNPFHA >ONI29341 pep chromosome:Prunus_persica_NCBIv2:G1:18226227:18227051:1 gene:PRUPE_1G193800 transcript:ONI29341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSEQEFVAQYRAKFNRFRRSQTFWDAKVASRVGEKLGLCLQEIGGIGVEINVDEELSRPVHHRIRVLPLFDSIKLAGVVVNGADELGIGPPS >ONI29469 pep chromosome:Prunus_persica_NCBIv2:G1:19309652:19317614:-1 gene:PRUPE_1G199500 transcript:ONI29469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNIAVSMPRVNLKDTIEELLKFTLQSCTNGTLEIDLGLPKELCSRLLKPEPNDLSSPSHSDSSTGIFEGIPPYPLYKRLALALHESMASGTLFGTCNNLTIIHQESLLKEKENEWQKLILEKGSELVNVLKTVKIELHVQEPFFSQLKDGLKTIEGRCALGNCSRYDDSSIMHIPVRLYEWGFLLSKKKKGLGDLDHSAVLSQYLTKEEFFRRIDSGSLILFNKCLLFEVQDIRSYSSFSEMMEAEGLSKVLPGVETIEQGVQIYRKFYTEEKERSNGVLAICVSKFPLQPYISLARLLFGLSLGGLQGLLGLAHTTGSTPDALPPPTSTLLSSFVLPYKLNVEGSTLTHGARALAKHAHRSSSKYWGTLDGSVFNHTMLSLK >ONI29460 pep chromosome:Prunus_persica_NCBIv2:G1:19310156:19317571:-1 gene:PRUPE_1G199500 transcript:ONI29460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNIAVSMPRVNLKDTIEELLKFTLQSCTNGTLEIDLGLPKELCSRLLKPEPNDLSSPSHSDSSTGIFEGIPPYPLYKRLALALHESMASGTLFGTCNNLTIIHQESLLKEKENEWQKLILEKGSELVNVLKTVKIELHVQEPFFSQLKDGLKTIEGRCALGNCSRIDSGSLILFNKCLLFEVQDIRSYSSFSEMMEAEGLSKVLPGVETIEQGVQIYRKFYTEEKERSNGVLAICVSKFPLQPYISLARLLFGLSLGGLQGLLGLAHTTGSTPDALPPPTSTLLSSFVLPYKLNVEGSTLTHGARALAKHAHRSSSKYWGTLDGSDSNKNRLALDVITRLMTHCCWLNVHSVQPHDVVFEIRVAEGYGARWSEDGSKFIGFLEPYMEDGHPKGWKH >ONI29463 pep chromosome:Prunus_persica_NCBIv2:G1:19310156:19316778:-1 gene:PRUPE_1G199500 transcript:ONI29463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSLSFRSLKMASKQLKEGVLWVIAVGTTIRVSCIYQIDSGSLILFNKCLLFEVQDIRSYSSFSEMMEAEGLSKVLPGVETIEQGVQIYRKFYTEEKERSNGVLAICVSKFPLQPYISLARLLFGLSLGGLQGLLGLAHTTGSTPDALPPPTSTLLSSFVLPYKLNVEGSTLTHGARALAKHAHRSSSKYWGTLDGSDSNKNRLALDVITRLMTHCCWLNVHSVQPHDVVFEIRVAEGYGARWSEDGSKFIGFLEPYMEDGHPKGWKH >ONI29467 pep chromosome:Prunus_persica_NCBIv2:G1:19309597:19317634:-1 gene:PRUPE_1G199500 transcript:ONI29467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNIAVSMPRVNLKDTIEELLKFTLQSCTNGTLEIDLGLPKELCSRLLKPEPNDLSSPSHSDSSTGIFEGIPPYPLYKRLALALHESMASGTLFGTCNNLTIIHQESLLKEKENEWQKLILEKGSELVNVLKTVKIELHVQEPFFSQLKDGLKTIEGRCALGNCSRIDSGSLILFNKCLLFEVQDIRSYSSFSEMMEAEGLSKVLPGVETIEQGVQIYRKFYTEEKERSNGVLAICVSKFPLQPYISLARLLFGLSLGGLQGLLGLAHTTGSTPDALPPPTSTLLSSFVLPYKLNVEGSTLTHGARALAKHAHRSSSKYWGTLDGSVFNHTMLSLK >ONI29462 pep chromosome:Prunus_persica_NCBIv2:G1:19310156:19317571:-1 gene:PRUPE_1G199500 transcript:ONI29462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNIAVSMPRVNLKDTIEELLKFTLQSCTNGTLEIDLGLPKELCSRLLKPEPNDLSSPSHSDSSTGIFEGIPPYPLYKRLALALHESMASGTLFGTCNNLTIIHQESLLKEKENEWQKLILEKGSELVNVLKTVKIELHVQEPFFSQLKDGLKTIEGRCALGNCSRYDDSSIMHIPVRLYEWGFLLSKKKKGLGDLDHSAVLSQYLTKEEFFRRIDSGSLILFNKCLLFEVQDIRSYSSFSEMMEAEGLSKVLPGVETIEQGVQIYRKFYTEEKERSNGVLAICVSKFPLQPYISLARLLFGLSLGGLQGLLGLAHTTGSTPDALPPPTSTLLSSFVLPYKLNVEGSTLTHGARALAKHAHRSSSKYWGTLDGSDSNKNRLALDVITRLMTHCCWLNVHSVQPHDVVFEIRVAEGYGARWSEDGSKFIGFLEPYMEDGHPKGWKH >ONI29461 pep chromosome:Prunus_persica_NCBIv2:G1:19310156:19317571:-1 gene:PRUPE_1G199500 transcript:ONI29461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNIAVSMPRVNLKDTIEELLKFTLQSCTNGTLEIDLGLPKELCSRLLKPEPNDLSSPSHSDSSTGIFEGIPPYPLYKRLALALHESMASGTLFGTCNNLTIIHQESLLKEKENEWQKLILEKGSELVNVLKTVKIELHVQEPFFSQLKDGLKTIEGRCALGNCSRIDSGSLILFNKCLLFEVQDIRSYSSFSEMMEAEGLSKVLPGVETIEQGVQIYRKFYTEEKERSNGVLAICVSKFPLQPYISLARLLFGLSLGGLQGLLGLAHTTGSTPDALPPPTSTLLSSFVLPYKLNVEGSTLTHGARALAKHAHRSSSKYWGTLDGSDSNKNRLALDVITRLMTHCCWLNVHSVQPHDVVFEIRVAEGYGARWSEDGSKFIGFLEPYMEDGHPKGWKH >ONI29459 pep chromosome:Prunus_persica_NCBIv2:G1:19309563:19317634:-1 gene:PRUPE_1G199500 transcript:ONI29459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNIAVSMPRVNLKDTIEELLKFTLQSCTNGTLEIDLGLPKELCSRLLKPEPNDLSSPSHSDSSTGIFEGIPPYPLYKRLALALHESMASGTLFGTCNNLTIIHQESLLKEKENEWQKLILEKGSELVNVLKTVKIELHVQEPFFSQLKDGLKTIEGRCALGNCSRIDSGSLILFNKCLLFEVQDIRSYSSFSEMMEAEGLSKVLPGVETIEQGVQIYRKFYTEEKERSNGVLAICVSKFPLQPYISLARLLFGLSLGGLQGLLGLAHTTGSTPDALPPPTSTLLSSFVLPYKLNVEGSTLTHGARALAKHAHRSSSKYWGTLDGSDSNKNRLALDVITRLMTHCCWLNVHSVQPHDVVFEIRVAEGYGARWSEDGSKFIGFLEPYMEDGHPKGWKH >ONI29466 pep chromosome:Prunus_persica_NCBIv2:G1:19309596:19317934:-1 gene:PRUPE_1G199500 transcript:ONI29466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNIAVSMPRVNLKDTIEELLKFTLQSCTNGTLEIDLGLPKELCSRLLKPEPNDLSSPSHSDSSTGIFEGIPPYPLYKRLALALHESMASGTLFGTCNNLTIIHQESLLKEKENEWQKLILEKGSELVNVLKTVKIELHVQEPFFSQLKDGLKTIEGRCALGNCSRIDSGSLILFNKCLLFEVQDIRSYSSFSEMMEAEGLSKVLPGVETIEQGVQIYRKFYTEEKERSNGVLAICVSKFPLQPYISLARLLFGLSLGGLQGLLGLAHTTGSTPDALPPPTSTLLSSFVLPYKLNVEGSTLTHGARALAKHAHRSSSKYWGTLDGSVFNHTMLSLK >ONI29468 pep chromosome:Prunus_persica_NCBIv2:G1:19309596:19317934:-1 gene:PRUPE_1G199500 transcript:ONI29468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNIAVSMPRVNLKDTIEELLKFTLQSCTNGTLEIDLGLPKELCSRLLKPEPNDLSSPSHSDSSTGIFEGIPPYPLYKRLALALHESMASGTLFGTCNNLTIIHQESLLKEKENEWQKLILEKGSELVNVLKTVKIELHVQEPFFSQLKDGLKTIEGRCALGNCSRIDSGSLILFNKCLLFEVQDIRSYSSFSEMMEAEGLSKVLPGVETIEQGVQIYRKFYTEEKERSNGVLAICVSKFPLQPYISLARLLFGLSLGGLQGLLGLAHTTGSTPDALPPPTSTLLSSFVLPYKLNVEGSTLTHGARALAKHAHRSSSKYWGTLDGSVFNHTMLSLK >ONI29465 pep chromosome:Prunus_persica_NCBIv2:G1:19309596:19313656:-1 gene:PRUPE_1G199500 transcript:ONI29465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEHIFHVFLFSGCRIDSGSLILFNKCLLFEVQDIRSYSSFSEMMEAEGLSKVLPGVETIEQGVQIYRKFYTEEKERSNGVLAICVSKFPLQPYISLARLLFGLSLGGLQGLLGLAHTTGSTPDALPPPTSTLLSSFVLPYKLNVEGSTLTHGARALAKHAHRSSSKYWGTLDGSDSNKNRLALDVITRLMTHCCWLNVHSVQPHDVVFEIRVAEGYGARWSEDGSKFIGFLEPYMEDGHPKGWKH >ONI29464 pep chromosome:Prunus_persica_NCBIv2:G1:19310156:19316778:-1 gene:PRUPE_1G199500 transcript:ONI29464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSLSFRSLKMASKQLKEGVLWVIAVGTTIRVSCIYQIDSGSLILFNKCLLFEVQDIRSYSSFSEMMEAEGLSKVLPGVETIEQGVQIYRKFYTEEKERSNGVLAICVSKFPLQPYISLARLLFGLSLGGLQGLLGLAHTTGSTPDALPPPTSTLLSSFVLPYKLNVEGSTLTHGARALAKHAHRSSSKYWGTLDGSDSNKNRLALDVITRLMTHCCWLNVHSVQPHDVVFEIRVAEGYGARWSEDGSKFIGFLEPYMEDGHPKGWKH >ONI33343 pep chromosome:Prunus_persica_NCBIv2:G1:36247490:36251108:-1 gene:PRUPE_1G418100 transcript:ONI33343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQYLLGWILASVLGLVALYRTLVKRNDDRRSALVEKRSECVKSVMVSNGECRSTDGDVDVIIVGAGVAGAALAHTLGKDGRRVHVIERDLQEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVFGYALFKDGKNTKLSYPLEKFHSDVSGRSFHNGRFIQRMREKAATLPNVQLEQGTVTSLLEEKGTIKGVQYKDKTGQEMTAYAPLTIVCDGCFSNLRRSLCDPKVDVPSCFVGLILENCDLPHANHGHVILADPSPILFYQISSTEVRCLVDVPGQKVPSISNGEMAKYLKAVVAPQIPPQLYDAFIAAVDKGTIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLQNLLRPLTNLNDASTLSKYLESFYTLRKPVASTINTLAGALYKVFSSSPDQARKEMRQACFDYLSLGGVFSNGPVSLLSGLNPRPLSLVLHFFAVAVYGVGRLLLPFPSPKRAWIGARLISSASGIIFPIIKAEGVRQMFFPATVPAYYRAPVSSEKQMKKTEDSIN >ONI33344 pep chromosome:Prunus_persica_NCBIv2:G1:36247244:36251500:-1 gene:PRUPE_1G418100 transcript:ONI33344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQYLLGWILASVLGLVALYRTLVKRNDDRRSALVEKRSECVKSVMVSNGECRSTDGDVDVIIVGAGVAGAALAHTLGKDGRRVHVIERDLQEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVFGYALFKDGKNTKLSYPLEKFHSDVSGRSFHNGRFIQRMREKAATLPNVQLEQGTVTSLLEEKGTIKGVQYKDKTGQEMTAYAPLTIVCDGCFSNLRRSLCDPKVDVPSCFVGLILENCDLPHANHGHVILADPSPILFYQISSTEVRCLVDVPGQKVPSISNGEMAKYLKAVVAPQIPPQLYDAFIAAVDKGTIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLQNLLRPLTNLNDASTLSKYLESFYTLRKGPFTRSFLLHLIKRGRKCVRLASIT >ONI27307 pep chromosome:Prunus_persica_NCBIv2:G1:5698131:5706669:-1 gene:PRUPE_1G078700 transcript:ONI27307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQDKDKAAAKKFQKSYFDVLGLCCSSEVPLVENILKPLEGVKEVSVIVPSRTVIVVHDSLLISQIQIVKALNQARLEANVRLYGAEDNYKKKWPSPYAIASGVLLLLSFLKYAYRPLGWLALGAVVVGIFPIAMKGVAAIRHLRLDINILVIVAVIGTIALNDYMEAGTIVFLFTVAEWLESRAGHRAKAVMSSLMSMAPQKAVLAETGEVVDVDEVKLNTTVAVKAGEVIPIDGIVVEGKGEVDEKTLTGESYPVAKEKDSTVWAGTINLNGYLSVKTTALAEDCAVAKMAKLVEEAQNSKTRTQRFIDKCAKFYTPSVLVISVSIAVIPAALHVHNWSKWFHLALVVLVSACPCALILSTPVVTFCTLTKAATSGLLIKGGDYIEVLAKVKIMAFDKTGTITSGEFVVIDFQSLRDDISLNTLLYWVASIERKSSHPMADALVDYGRSHSVEPKPENVEEFQNFPGEGIHGKIDGQYIYIGNRKIALRANCVTVPTIEGRKGGKTIGYIYSGGTPAGIFTISDTCRSGAAEACRELKKLGIKTAMLTGDSHAAALHANEQLKQALEVVHAELLPEDKARIITEFKTEGSTAMVGDGINDAPALATADIGISMGISGSALAQETGNIILLSNDIRKLAKAVKHARRANRKVIQNVVLSITTKVAILALGFAGHPLVWAAVLADVGTCMLVILNSMLLLKGTEKHGGKCGKNSSAPHAHKHGSHGHSHSHKNQHCCSESKAVKACKPQKCSSQKCGSECQPSPLNSSLPGNHKHDDDLHKARHCDGASCMKLNRDLESQNKHNHGCSRPHNLSSCAEDGCTDLVGSHGNCAEGDKIHEEKHCNHSTLLEENQKPISNSHCHSTHCGKEHSRNEGDGLHEVNHRNRSDFPLEVSQKSASTAHCHSSHCGKEHGTKQGHGLHEAKHCNHSAFPLEESKNLASFGHCHPTHCGKDHIGNEASGKSAGTSCDHQHHHHNLDEKTPPHTAIDIVPGNDHTESSPTHSCISSGTREEEACCSKSVAIHACVLEKREVGGCCKSYMKECCGGHGHIGPSFKGCLSEITTEQM >ONI27308 pep chromosome:Prunus_persica_NCBIv2:G1:5698395:5705937:-1 gene:PRUPE_1G078700 transcript:ONI27308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQDKDKAAAKKFQKSYFDVLGLCCSSEVPLVENILKPLEGVKEVSVIVPSRTVIVVHDSLLISQIQIVKALNQARLEANVRLYGAEDNYKKKWPSPYAIASGVLLLLSFLKYAYRPLGWLALGAVVVGIFPIAMKGVAAIRHLRLDINILVIVAVIGTIALNDYMEAGTIVFLFTVAEWLESRAGHRAKAVMSSLMSMAPQKAVLAETGEVVDVDEVKLNTTVAVKAGEVIPIDGIVVEGKGEVDEKTLTGESYPVAKEKDSTVWAGTINLNGYLSVKTTALAEDCAVAKMAKLVEEAQNSKTRTQRFIDKCAKFYTPSVLVISVSIAVIPAALHVHNWSKWFHLALVVLVSACPCALILSTPVVTFCTLTKAATSGLLIKGGDYIEVLAKVKIMAFDKTGTITSGEFVVIDFQSLRDDISLNTLLYWVASIERKSSHPMADALVDYGRSHSVEPKPENVEEFQNFPGEGIHGKIDGQYIYIGNRKIALRANCVTVPTIEGRKGGKTIGYIYSGGTPAGIFTISDTCRSGAAEACRELKKLGIKTAMLTGDSHAAALHANEQLKQALEVVHAELLPEDKARIITEFKTEGSTAMVGDGINDAPALATADIGISMGISGSALAQETGNIILLSNDIRKLAKAVKHARRANRKVIQNVVLSITTKVAILALGFAGHPLVWAAVLADVGTCMLVILNSMLLLKGTEKHGGKCGKNSSAPHAHKHGSHGHSHSHKNQHCCSESKAVKACKPQKCSSQKCGSECQPSPLNSSLPGNHKHDDDLHKARHCDGASCMKLNRDLESQNKHNHGCSRPHNLSSCAEDGCTDLVGSHGNCAEGDKIHEEKHCNHSTLLEENQKPISNSHCHSTHCGKEHSRNEGDGLHEVNHRNRSDFPLEVSQKSASTAHCHSSHCGKEHGTKQGHGLHEAKHCNHSAFPLEESKNLASFGHCHPTHCGKDHIGNEASGKSAGTSCDHQHHHHNLDEKTPPHTAIDIVPGNDHTESSPTHSCISSGTREEEACCSKSVAIHACVLEKREVGGCCKSYMKECCGGHGHIGPSFKGCLSEITTEQM >ONI27306 pep chromosome:Prunus_persica_NCBIv2:G1:5698395:5705937:-1 gene:PRUPE_1G078700 transcript:ONI27306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQDKDKAAAKKFQKSYFDVLGLCCSSEVPLVENILKPLEGVKEVSVIVPSRTVIVVHDSLLISQIQIVKALNQARLEANVRLYGAEDNYKKKWPSPYAIASGVLLLLSFLKYAYRPLGWLALGAVVVGIFPIAMKGVAAIRHLRLDINILVIVAVIGTIALNDYMEAGTIVFLFTVAEWLESRAGHRAKAVMSSLMSMAPQKAVLAETGEVVDVDEVKLNTTVAVKAGEVIPIDGIVVEGKGEVDEKTLTGESYPVAKEKDSTVWAGTINLNGYLSVKTTALAEDCAVAKMAKLVEEAQNSKTRTQRFIDKCAKFYTPSVLVISVSIAVIPAALHVHNWSKWFHLALVVLVSACPCALILSTPVVTFCTLTKAATSGLLIKGGDYIEVLAKVKIMAFDKTGTITSGEFVVIDFQSLRDDISLNTLLYWVASIERKSSHPMADALVDYGRSHSVEPKPENVEEFQNFPGEGIHGKIDGQYIYIGNRKIALRANCVTVPTIEGRKGGKTIGYIYSGGTPAGIFTISDTCRSGAAEACRELKKLGIKTAMLTGDSHAAALHANEQLKQALEVVHAELLPEDKARIITEFKTEGSTAMVGDGINDAPALATADIGISMGISGSALAQETGNIILLSNDIRKLAKAVKHARRANRKVIQNVVLSITTKVAILALGFAGHPLVWAAVLADVGTCMLVILNSMLLLKGTEKHGGKCGKNSSAPHAHKHGSHGHSHSHKNQHCCSESKAVKACKPQKCSSQKCGSECQPSPLNSSLPGNHKHDDDLHKARHCDGASCMKLNRDLESQNKHNHGCSRPHNLSSCAEDGCTDLVGSHGNCAEGDKIHEEKHCNHSTLLEENQKPISNSHCHSTHCGKEHSRNEGDGLHEVNHRNRSDFPLEVSQKSASTAHCHSSHCGKEHGTKQGHGLHEAKHCNHSAFPLEESKNLASFGHCHPTHCGKDHIGNEASGKSAGTSCDHQHHHHNLDEKTPPHTAIDIVPGNDHTESSPTHSCISSGTREEEACCSKSVAIHACVLEKREVGGCCKSYMKECCGGHGHIGPSFKGCLSEITTEQM >ONI32345 pep chromosome:Prunus_persica_NCBIv2:G1:33339751:33342593:-1 gene:PRUPE_1G362400 transcript:ONI32345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVNGAALLSRAVVCMGSSTAVGGTTQQRLVQAAMLSTCSNSNPLWFTQRLGDHFGLSKPYVACGAGGHVCFSSNATPLAQEVHAQDKFLPKDVELYQYEACPFCNKVRAFLDYYKIPYKVMEVNPISKKEIKWSDYKKVPILKVDGEQMVDSSVIIDKLFQRINPENNVVNGEEEKKWRGWVDNHLVHVLSPNIYRTASEALESFDYITSHGNFSLYERIVAKYTGAAAMYFVSKKLKKKHNITDERAALYEAAETWVDALKGRQFLGGSNPNLADLAAFGVLRPIRHLKSGKDMVENTRIGEWYTRMESSVGESARIEA >ONI32344 pep chromosome:Prunus_persica_NCBIv2:G1:33339669:33342593:-1 gene:PRUPE_1G362400 transcript:ONI32344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVNGAALLSRAVVCMGSSTAVGGTTQQRLVQAAMLSTCSNSNPLWFTQRLGDHFGLSKPYVACGAGGHVCFSSNATPLAQEVHAQDKFLPKDVELYQYEACPFCNKVRAFLDYYKIPYKVMEVNPISKKEIKWSDYKKVPILKVDGEQMVDSSVIIDKLFQRINPENNVVNGEEEKKWRGWVDNHLVHVLSPNIYRTASEALESFDYITSHGNFSLYERIVAKYTGAAAMYFVSKKLKKKHNITDERAALYEAAETWVDALKGRQFLGGSNPNLADLAAFGVLRPIRHLKSGKDMVENTRIGEWYTRMESSVGESARIEA >ONI34544 pep chromosome:Prunus_persica_NCBIv2:G1:40503258:40507422:1 gene:PRUPE_1G486500 transcript:ONI34544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQKRRHFEQVKVAVPIIVKVLKARSLELEDEDPEFKNLFDRAMSIANSIRAVCVKLEGGANDKLRALLGLYVLQIMALVSMNHKVSSSQPFVLQLSSFFPFCGLTYLGVITGSVVDIISRTVGEDEDDYMSNLSDVKHGASLSVIWGHASDEVVRAAEEDLASVRDELKNNQTKRWQAVGMLKHILAPVTLPWELKKHAINFLLCVTDGNIPHYDEHDDFSSYMSSIFATLQAVQMVIIYASDTVLRKNAFEAFKRILADIPTSQRFDILKALITKSDSSSMIAILLDIVKGEMHKESRHRLGNDEVLQAEYKSHPHTVLWTPNVLALVEMILRPPEGGPPSFPEDSDAVLSALNLYRFVLITESTGKTNYTGAVSRSNLQRAYNEWLLPLRSVVTAIMAENKNDCDLSLDAFCILNPIELVLYRCIELVEDQLKQHSA >ONI34543 pep chromosome:Prunus_persica_NCBIv2:G1:40503258:40507422:1 gene:PRUPE_1G486500 transcript:ONI34543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHLQQSSPLLQEILNSLSNSVDQPQSSVSELTSFLDSVLDAALSDPDNEDAETNAFLALTEVHNFISSPSLDQAIIDSISFELPMAVSKFGGVSERCLEVAESIIDGVISLCSPRDMLSILCEALAPPIETIRDSGYVTPLLNGLSKVFLSLQRRHFEQVKVAVPIIVKVLKARSLELEDEDPEFKNLFDRAMSIANSIRAVCVKLEGGANDKLRALLGLYVLQIMALVSMNHKVSSSQPFVLQLSSFFPFCGLTYLGVITGSVVDIISRTVGEDEDDYMSNLSDVKHGASLSVIWGHASDEVVRAAEEDLASVRDELKNNQTKRWQAVGMLKHILAPVTLPWELKKHAINFLLCVTDGNIPHYDEHDDFSSYMSSIFATLQAVQMVIIYASDTVLRKNAFEAFKRILADIPTSQRFDILKALITKSDSSSMIAILLDIVKGEMHKESRHRLGNDEVLQAEYKSHPHTVLWTPNVLALVEMILRPPEGGPPSFPEDSDAVLSALNLYRFVLITESTGKTNYTGAVSRSNLQRAYNEWLLPLRSVVTAIMAENKNDCDLSLDAFCILNPIELVLYRCIELVEDQLKQHSA >ONI32024 pep chromosome:Prunus_persica_NCBIv2:G1:32291645:32295069:-1 gene:PRUPE_1G345000 transcript:ONI32024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYPGKGAPSNGSVYVCNLPEGTDENMLAEYFGTIGLLKKDKRTGRPKIWLYRDKLTNEPKGDATVTYEDPHAASAAVEWFNDKDFHGNAIGVFIAESKSKDDQIHNPVGDPIVGGEYDGLEEIAQDTNGGVGGGRGRGDAPGKAWQQEGDWTCPNTSCSNVNFAFRGVCNRCGSARPTGASGGGAGGGGRGRGRGLDSGGRGGPVGAPTGGLFGPNDWPCPMCGNINWAKRTKCNICNTNRPGHNEGGVRGGRGGGYKELDEEELEEIKRRRREAEEVRFSYIDSICSSFIYFLFWFSRNFVPFSG >ONI32026 pep chromosome:Prunus_persica_NCBIv2:G1:32292794:32295069:-1 gene:PRUPE_1G345000 transcript:ONI32026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYPGKGAPSNGSVYVCNLPEGTDENMLAEYFGTIGLLKKDKRTGRPKIWLYRDKLTNEPKGDATVTYEDPHAASAAVEWFNDKDFHGNAIGVFIAESKSKDDQIHNPVGDPIVGGEYDGLEEIAQDTNGGVGGGRGRGDAPGKAWQQEGDWTCPNTSCSNVNFAFRGVCNRCGSARPTGASGGGAGGGGRGRGRGLDSGGRGGPVGAPTGGLFGPNDWPCPMCGNINWAKRTKCNICNTNRPGHNEGGVSCRIRYTLVVVKQEDNMLFFPVFLGYLYFY >ONI32019 pep chromosome:Prunus_persica_NCBIv2:G1:32291615:32296071:-1 gene:PRUPE_1G345000 transcript:ONI32019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYPGKGAPSNGSVYVCNLPEGTDENMLAEYFGTIGLLKKDKRTGRPKIWLYRDKLTNEPKGDATVTYEDPHAASAAVEWFNDKDFHGNAIGVFIAESKSKDDQIHNPVGDPIVGGEYDGLEEIAQDTNGGVGGGRGRGDAPGKAWQQEGDWTCPNTSCSNVNFAFRGVCNRCGSARPTGASGGGAGGGGRGRGRGLDSGGRGGPVGAPTGGLFGPNDWPCPMCGNINWAKRTKCNICNTNRPGHNEGGVRGGRGGGYKELDEEELEEIKRRRREAEEDDGEMYDEFGNLKKKFRAKTQQTETGRALPGAGRAGWEVEEIDGRERNRERGRERDDRPSSKNRERDDRDRRRSRSRERERDRGRDRPRDYDYDRDKDYGRDRDRDRDRDRNRHRY >ONI32020 pep chromosome:Prunus_persica_NCBIv2:G1:32291615:32296071:-1 gene:PRUPE_1G345000 transcript:ONI32020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYPGKGAPSNGSVYVCNLPEGTDENMLAEYFGTIGLLKKDKRTGRPKIWLYRDKLTNEPKGDATVTYEDPHAASAAVEWFNDKDFHGNAIGVFIAESKSKDDQIHNPVGDPIVGGEYDGLEEIAQDTNGGVGGGRGRGDAPGKAWQQEGDWTCPNTSCSNVNFAFRGVCNRCGSARPTGASGGGAGGGGRGRGRGLDSGGRGGPVGAPTGGLFGPNDWPCPMCGNINWAKRTKCNICNTNRPGHNEGGVRGGRGGGYKELDEEELEEIKRRRREAEEDDGEMYDEFGNLKKKFRAKTQQTETGRALPGAGRAGWEVEEIGVIDRDGRERNRERGRERDDRPSSKNRERDDRDRRRSRSRERERDRGRDRPRDYDYDRDKDYGRDRDRDRDRDRNRHRY >ONI32022 pep chromosome:Prunus_persica_NCBIv2:G1:32291893:32295069:-1 gene:PRUPE_1G345000 transcript:ONI32022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYPGKGAPSNGSVYVCNLPEGTDENMLAEYFGTIGLLKKDKRTGRPKIWLYRDKLTNEPKGDATVTYEDPHAASAAVEWFNDKDFHGNAIGVFIAESKSKDDQIHNPVGDPIVGGEYDGLEEIAQDTNGGVGGGRGRGDAPGKAWQQEGDWTCPNTSSCSNVNFAFRGVCNRCGSARPTGASGGGAGGGGRGRGRGLDSGGRGGPVGAPTGGLFGPNDWPCPMCGNINWAKRTKCNICNTNRPGHNEGGVRGGRGGGYKELDEEELEEIKRRRREAEEDDGEMYDEFGNLKKKFRAKTQQTETGRALPGAGRAGWEVEEIGVIDRDGRERNRERGRERDDRPSSKNRERDDRDRRRSRSRERERDRGRDRPRDYDYDRDKDYGRDRDRDRDRDRNRHRY >ONI32021 pep chromosome:Prunus_persica_NCBIv2:G1:32291581:32296071:-1 gene:PRUPE_1G345000 transcript:ONI32021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYPGKGAPSNGSVYVCNLPEGTDENMLAEYFGTIGLLKKDKRTGRPKIWLYRDKLTNEPKGDATVTYEDPHAASAAVEWFNDKDFHGNAIGVFIAESKSKDDQIHNPVGDPIVGGEYDGLEEIAQDTNGGVGGGRGRGDAPGKAWQQEGDWTCPNTSCSNVNFAFRGVCNRCGSARPTGASGGGAGGGGRGRGRGLDSGGRGGPVGAPTGGLFGPNDWPCPMCGNINWAKRTKCNICNTNRPGHNEGGVRGGRGGGYKELDEEELEEIKRRRREAEEDDGEMYDEFGNLKKKFRAKTQQTETGRALPGAGRAGWEVEEIGVIDRDGRERNRERGRERDDRPSSKNRERDDRDRRRSRSRERERDRGRDRPRDYDYDRDKDYGRDRDRDRDRDRNRHRY >ONI32025 pep chromosome:Prunus_persica_NCBIv2:G1:32292794:32295069:-1 gene:PRUPE_1G345000 transcript:ONI32025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYPGKGAPSNGSVYVCNLPEGTDENMLAEYFGTIGLLKKDKRTGRPKIWLYRDKLTNEPKGDATVTYEDPHAASAAVEWFNDKDFHGNAIGVFIAESKSKDDQIHNPVGDPIVGGEYDGLEEIAQDTNGGVGGGRGRGDAPGKAWQQEGDWTCPNTSCSNVNFAFRGVCNRCGSARPTGASGGGAGGGGRGRGRGLDSGGRGGPVGAPTGGLFGPNDWPCPMCGNINWAKRTKCNICNTNRPGHNEGGVSCRIRYTLVVVKQEDNMLFFPVFLGYLYFY >ONI32023 pep chromosome:Prunus_persica_NCBIv2:G1:32291615:32296072:-1 gene:PRUPE_1G345000 transcript:ONI32023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYPGKGAPSNGSVYVCNLPEGTDENMLAEYFGTIGLLKKDKRTGRPKIWLYRDKLTNEPKGDATVTYEDPHAASAAVEWFNDKDFHGNAIGVFIAESKSKDDQIHNPVGDPIVGGEYDGLEEIAQDTNGGVGGGRGRGDAPGKAWQQEGDWTCPNTSSCSNVNFAFRGVCNRCGSARPTGASGGGAGGGGRGRGRGLDSGGRGGPVGAPTGGLFGPNDWPCPMCGNINWAKRTKCNICNTNRPGHNEGGVRGGRGGGYKELDEEELEEIKRRRREAEEDDGEMYDEFGNLKKKFRAKTQQTETGRALPGAGRAGWEVEEIGVIDRDGRERNRERGRERDDRPSSKNRERDDRDRRRSRSRERERDRGRDRPRDYDYDRDKDYGRDRDRDRDRDRNRHRY >ONI28280 pep chromosome:Prunus_persica_NCBIv2:G1:10673093:10676998:-1 gene:PRUPE_1G135400 transcript:ONI28280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQYPSNPARSYGPQMKMTIQPSQHSDNDRSSSELRALDCNLTALCDHIQLEGFNSGAFSDMVVHAMGSTYHLHRLILSRSPYFRNMLHGPWKEANEPVLTLHIDDKNVNGEAIAMALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAESQDYGIHGERVRNACWGYLCQSGSMELKEVLPKLSAQTLLALLTSDELWVPSEEKRFELALYTFLAKGAQCKQEDYDHGSSSSEAGTDTQSDSSNAKGKNLMGSFTNKRLEAELGRLNLKDDLDGHNTARNLLIELADCVVDFQTGVSNSKQQVQQVAYPQSNLEPGCNCSMGGPSSLSNSFSEMDVIRTSCYTEMPVGVGASRLGANGVAMEGPSDEGSCYHLNNNSWLARDQSRQCSSMNSSTSELMPNDWGRCGMPPLSWGGRTVGRRQLKGYAKGNFGVGGEEYDAFVNIFEGGSLLYCNMSFEALLSVRKQLEELGFPCKAVNDGLWLQMLLSQRVQETGADTCKSCCLTSLACTCRQQFSFSHGVTTGYYMQEHNQNNSPGVYVAESSAGEGNGLFRPVRVHVRGPIDGLAGIGRGTTFVPATAWPPTRFVFSRVPFGMGNRNCQQSLANDDSEARADHSGDLSGDGLTALVGLSQGGNNVANAHGEQTERAYEMDVQSRMPGTSMAVPSTSGIPVQMVESSDRAIGIEWDNPNSSSISLDLKTPLSHFPPFRFGMLSYDILAQKSPLQVAYKCL >ONI28279 pep chromosome:Prunus_persica_NCBIv2:G1:10671565:10676271:-1 gene:PRUPE_1G135400 transcript:ONI28279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHGPWKEANEPVLTLHIDDKNVNGEAIAMALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAESQDYGIHGERVRNACWGYLCQSGSMELKEVLPKLSAQTLLALLTSDELWVPSEEKRFELALYTFLAKGAQCKQEDYDHGSSSSEAGTDTQSDSSNAKGKNLMGSFTNKRLEAELGRLNLKDDLDGHNTARNLLIELADCVVDFQTGVSNSKQQVQQVAYPQSNLEPGCNCSMGGPSSLSNSFSEMDVIRTSCYTEMPVGVGASRLGANGVAMEGPSDEGSCYHLNNNSWLARDQSRQCSSMNSSTSELMPNDWGRCGMPPLSWGGRTVGRRQLKGYAKGNFGVGGEEYDAFVNIFEGGSLLYCNMSFEALLSVRKQLEELGFPCKAVNDGLWLQMLLSQRVQETGADTCKSCCLTSLACTCRQQFSFSHGVTTGYYMQEHNQNNSPGVYVAESSAGEGNGLFRPVRVHVRGPIDGLAGIGRGTTFVPATAWPPTRFVFSRVPFGMGNRNCQQSLANDDSEARADHSGDLSGDGLTALVGLSQGGNNVANAHGEQTERAYEMDVQSRMPGTSMAVPSTSGIPVQMVESSDRAIGIEWDNPNSSSISLDLKTPLSHFPPFRFGVQFEDVHRLSDGQVKHSPEVFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDSFRKVQMYVDSREKVTARYQLICPSKREVMVFGSFKQTGTLLPKAPKGWGWRTALLFDELADLLQNGALRVAAVVQLV >ONI28277 pep chromosome:Prunus_persica_NCBIv2:G1:10671565:10676998:-1 gene:PRUPE_1G135400 transcript:ONI28277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQYPSNPARSYGPQMKMTIQPSQHSDNDRSSSELRALDCNLTALCDHIQLEGFNSGAFSDMVVHAMGSTYHLHRLILSRSPYFRNMLHGPWKEANEPVLTLHIDDKNVNGEAIAMALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAESQDYGIHGERVRNACWGYLCQSGSMELKEVLPKLSAQTLLALLTSDELWVPSEEKRFELALYTFLAKGAQCKQEDYDHGSSSSEAGTDTQSDSSNAKGKNLMGSFTNKRLEAELGRLNLKDDLDGHNTARNLLIELADCVVDFQTGVSNSKQQVQQVAYPQSNLEPGCNCSMGGPSSLSNSFSEMDVIRTSCYTEMPVGVGASRLGANGVAMEGPSDEGSCYHLNNNSWLARDQSRQCSSMNSSTSELMPNDWGRCGMPPLSWGGRTVGRRQLKGYAKGNFGVGGEEYDAFVNIFEGGSLLYCNMSFEALLSVRKQLEELGFPCKAVNDGLWLQMLLSQRVQETGADTCKSCCLTSLACTCRQQFSFSHGVTTGYYMQEHNQNNSPGVYVAESSAGEGNGLFRPVRVHVRGPIDGLAGIGRGTTFVPATAWPPTRFVFSRVPFGMGNRNCQQSLANDDSEARADHSGDLSGDGLTALVGLSQGGNNVANAHGEQTERAYEMDVQSRMPGTSMAVPSTSGIPVQMVESSDRAIGIEWDNPNSSSISLDLKTPLSHFPPFRFGVQFEDVHRLSDGQVKHSPEVFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDSFRKMYVDSREKVTARYQLICPSKREVMVFGSFKQTGTLLPKAPKGWGWRTALLFDELADLLQNGALRVAAVVQLV >ONI28278 pep chromosome:Prunus_persica_NCBIv2:G1:10671993:10676739:-1 gene:PRUPE_1G135400 transcript:ONI28278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQYPSNPARSYGPQMKMTIQPSQHSDNDRSSSELRALDCNLTALCDHIQLEGFNSGAFSDMVVHAMGSTYHLHRLILSRSPYFRNMLHGPWKEANEPVLTLHIDDKNVNGEAIAMALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAESQDYGIHGERVRNACWGYLCQSGSMELKEVLPKLSAQTLLALLTSDELWVPSEEKRFELALYTFLAKGAQCKQEDYDHGSSSSEAGTDTQSDSSNAKGKNLMGSFTNKRLEAELGRLNLKDDLDGHNTARNLLIELADCVVDFQTGVSNSKQQVQQVAYPQSNLEPGCNCSMGGPSSLSNSFSEMDVIRTSCYTEMPVGVGASRLGANGVAMEGPSDEGSCYHLNNNSWLARDQSRQCSSMNSSTSELMPNDWGRCGMPPLSWGGRTVGRRQLKGYAKGNFGVGGEEYDAFVNIFEGGSLLYCNMSFEALLSVRKQLEELGFPCKAVNDGLWLQMLLSQRVQETGADTCKSCCLTSLACTCRQQFSFSHGVTTGYYMQEHNQNNSPGVYVAESSAGEGNGLFRPVRVHVRGPIDGLAGIGRGTTFVPATAWPPTRFVFSRVPFGMGNRNCQQSLANDDSEARADHSGDLSGDGLTALVGLSQGGNNVANAHGEQTERAYEMDVQSRMPGTSMAVPSTSGIPVQMVESSDRAIGIEWDNPNSSSISLDLKTPLSHFPPFRFGVQFEDVHRLSDGQVKHSPEVFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDSFRKVQMYVDSREKVTARYQLICPSKREVMVFGSFKQTGTLLPKAPKGWGWRTALLFDELADLLQNGALRVAAVVQLV >ONI31012 pep chromosome:Prunus_persica_NCBIv2:G1:28754288:28757924:1 gene:PRUPE_1G287500 transcript:ONI31012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEPGSRNSKHSEIVVDGSGGSKHSETSKAPDASDRNSHSTIRPEADANEGDLRVPSRKLISLSSLSSVASDSSLEDLFQVDTNRISTSPHIASLPKHHDHSSLGPASTSRVSDVGHGMSPTESPTVQMMERSGGYDPCRIPSSVFARSKSNKEMEWSVASNESLFSIHLGNNSFSRDHIFLLGDLTKSGELYKSGELFGLSPHPPPIPELEIESNGIEEVRESGAEGMADETVKATARTNAEDHSEGRVPPRNVSFKSHSLSRRSDGSGASTRSFAFPILTDGMKNSMKVTQYTEEKCLEPPAAAKVSTKKVTKSKPTYWCRCFSWCKWGCSCHRHCSSPSCSCCGGCC >ONI35418 pep chromosome:Prunus_persica_NCBIv2:G1:43707832:43709250:1 gene:PRUPE_1G534900 transcript:ONI35418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISEKNRREISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRNYLNLPSEIVPATLKKQAKPPGRPLGPSGDRPRGPPRFDGGERRFGDRDGYRSGPRAPGGDFGDKGGAPADYRPSFGGSRPGFGRGAGGSGGAGGFGAGPASSDLS >ONI35604 pep chromosome:Prunus_persica_NCBIv2:G1:44536220:44539802:1 gene:PRUPE_1G545200 transcript:ONI35604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALISVLLERLASTTYEYIGRELKHVLNVKEDVEKFTATLEVIQAVLEDAEQRQVTEASVKIWLDKLKDLSYHMVDVLDEWNTDILKQQVEKQEREGDPNALVTKKKVRFSSLSSCFCFGKASRVILHRDIALKIKYLNDKLTEIYEERNKYQFLWKELGVQPQQPQRPQTAPYVNMSEIFGREKEHNILITKLLGDSSEEEKRHLIIPIVGMGGMGKTTLTQLAYNDDRVKTHFDMRQWVCVSDPFDEIKIAKAISGDDAPNSNELGEVLQCMSRSIQGKRFLLVLDDVWTDDPKKWEQLKVPLIQSGAKGSRILVTTRKLEVANMMRATRNMINLRELSDEYCLSIFNHMAFSDGDVQEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTRMGEWKEVLNSKIWDLKKVEQEVFQPLFLSYYDLSPTIKSCLLYCAIFPKDHMFRRDELIRLWTAQDYIISKRNKENGIMGEACFDNLVARSFFQDFKKHPENGTITSCKMHDIVHDFVQFLTKNECLIIDHSEGAIRESKVLDDKIRHLTLRYVPEGPLAISIASYNFKYLRTLATFDSRITTIDSNLILQLKRLRTLNLSGNSIKELPEEIGELIHLRHIDLSYNCDLEKLPDSICGLYNLSTLSLRFCSKLKKLPENMGSLINLKHLYVEWCEVLESLPKGIGRLTSLRTLDVFLCDAGDQEALQLGDLRNLNLEGFLYVKLVGDATDKSEVEKAQLWDKKVFNLTVDFKRQTNSSSSVEILNDLRPHPDLESLGIWDHNGTRWPNWMQSLHNLRFLTVGNGTQCEVWPLGKLEYLERLTIYGMNGVRKVGVEFLGLEDQTSFRSPPLILFPKLKQLSFFYMEEWEEWEGVEEWTKEDSEITIMPCLSELRIVSCELLKALPDFLFKTPLQTLDISSSWRLSEWAKISAEIPNISISW >ONI35602 pep chromosome:Prunus_persica_NCBIv2:G1:44536164:44539985:1 gene:PRUPE_1G545200 transcript:ONI35602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALISVLLERLASTTYEYIGRELKHVLNVKEDVEKFTATLEVIQAVLEDAEQRQVTEASVKIWLDKLKDLSYHMVDVLDEWNTDILKQQVEKQEREGDPNALVTKKKVRFSSLSSCFCFGKASRVILHRDIALKIKYLNDKLTEIYEERNKYQFLWKELGVQPQQPQRPQTAPYVNMSEIFGREKEHNILITKLLGDSSEEEKRHLIIPIVGMGGMGKTTLTQLAYNDDRVKTHFDMRQWVCVSDPFDEIKIAKAISGDDAPNSNELGEVLQCMSRSIQGKRFLLVLDDVWTDDPKKWEQLKVPLIQSGAKGSRILVTTRKLEVANMMRATRNMINLRELSDEYCLSIFNHMAFSDGDVQEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTRMGEWKEVLNSKIWDLKKVEQEVFQPLFLSYYDLSPTIKSCLLYCAIFPKDHMFRRDELIRLWTAQDYIISKRNKENGIMGEACFDNLVARSFFQDFKKHPENGTITSCKMHDIVHDFVQFLTKNECLIIDHSEGAIRESKVLDDKIRHLTLRYVPEGPLAISIASYNFKYLRTLATFDSRITTIDSNLILQLKRLRTLNLSGNSIKELPEEIGELIHLRHIDLSYNCDLEKLPDSICGLYNLSTLSLRFCSKLKKLPENMGSLINLKHLYVEWCEVLESLPKGIGRLTSLRTLDVFLCDAGDQEALQLGDLRNLNLEGFLYVKLVGDATDKSEVEKAQLWDKKVFNLTVDFKRQTNSSSSVEILNDLRPHPDLESLGIWDHNGTRWPNWMQSLHNLRFLTVGNGTQCEVWPLGKLEYLERLTIYGMNGVRKVGVEFLGLEDQTSFRSPPLILFPKLKQLSFFYMEEWEEWEGVEEWTKEDSEITIMPCLSELRIVSCELLKALPDFLFKTPLQTLDISSSWRLSEWAKISAEIPNISIS >ONI35603 pep chromosome:Prunus_persica_NCBIv2:G1:44536220:44541661:1 gene:PRUPE_1G545200 transcript:ONI35603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALISVLLERLASTTYEYIGRELKHVLNVKEDVEKFTATLEVIQAVLEDAEQRQVTEASVKIWLDKLKDLSYHMVDVLDEWNTDILKQQVEKQEREGDPNALVTKKKVRFSSLSSCFCFGKASRVILHRDIALKIKYLNDKLTEIYEERNKYQFLWKELGVQPQQPQRPQTAPYVNMSEIFGREKEHNILITKLLGDSSEEEKRHLIIPIVGMGGMGKTTLTQLAYNDDRVKTHFDMRQWVCVSDPFDEIKIAKAISGDDAPNSNELGEVLQCMSRSIQGKRFLLVLDDVWTDDPKKWEQLKVPLIQSGAKGSRILVTTRKLEVANMMRATRNMINLRELSDEYCLSIFNHMAFSDGDVQEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTRMGEWKEVLNSKIWDLKKVEQEVFQPLFLSYYDLSPTIKSCLLYCAIFPKDHMFRRDELIRLWTAQDYIISKRNKENGIMGEACFDNLVARSFFQDFKKHPENGTITSCKMHDIVHDFVQFLTKNECLIIDHSEGAIRESKVLDDKIRHLTLRYVPEGPLAISIASYNFKYLRTLATFDSRITTIDSNLILQLKRLRTLNLSGNSIKELPEEIGELIHLRHIDLSYNCDLEKLPDSICGLYNLSTLSLRFCSKLKKLPENMGSLINLKHLYVEWCEVLESLPKGIGRLTSLRTLDVFLCDAGDQEALQLGDLRNLNLEGFLYVKLVGDATDKSEVEKAQLWDKKVFNLTVDFKRQTNSSSSVEILNDLRPHPDLESLGIWDHNGTRWPNWMQSLHNLRFLTVGNGTQCEVWPLGKLEYLERLTIYGMNGVRKVGVEFLGLEDQTSFRSPPLILFPKLKQLSFFYMEEWEEWEGVEEWTKEDSEITIMPCLSELRIVSCELLKALPDFLFKTPLQTLDISSSWRLSEWAKISAEIPNISISW >ONI34451 pep chromosome:Prunus_persica_NCBIv2:G1:40276391:40279772:1 gene:PRUPE_1G482900 transcript:ONI34451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKICWPYFDPEFDNLPERIYGPTCRVCIDNETLEDCTIVKVDSVNKQGLLLEVVQVLTDVNLTITKSYISSDAGWFMDVFHVKDEHGNKLTDQKVINYIQKALSTSTGTPDSAKAYTNNNPTLFSPTNPTDHTTIEMTGTDRPGLFSEISAALAALHCNIVEAHAWSHNARLACVAQISDQSTDHNPRRLATIEDHLITVLRATTALSPCGKEPNNGQQEVKTIGLLGSGDHNYVHQGTMSTNVERRLHQLMLSVRDFDGPNDGHESSPRTPLGLYSEVEGRKTVVWIESCEEKGYSMVSIECKDRRKLMFDTVCTLTDMQYVIFHASASGQDGYAFQEYFIRHIDGDALCTQSEKERVIKCLEAAIERRVSEGIRLELCADDRIGLLSDITRVLRENGLVVVRADVATQGEKSINAFYVRDISGNEVVDMDIVESMKRELMGSNPIYVQVKNDTRIRPSSPERSSPLSNFGDMLKSQLERLSNNFAKIK >ONI27227 pep chromosome:Prunus_persica_NCBIv2:G1:5376716:5381669:1 gene:PRUPE_1G074900 transcript:ONI27227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCFSGSGRTYAFELDIVKSPSTSTRTSTSSPSSTLSESSNSGLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKNFTNPRKFTKPHDSFLDQSAELLLPFRVIDDGSSGFLIGEPIGEKPSSQFEPKALISFEKMCQSPGEFDSQANSNSNSMEMCGSYHHQDEDFDAESILDEEIEEGIDSIMGSMNVDMDSVDESNNGGGGGGRGGQMNSNPNPNSSNSCYGYPMGLGFGGKFEFGFGLRRGEVRPLRHVDDGNWWSFPTVDVLEISPRFNKSQSSSTPAPASGASAGKKKKKKVEKLSVLEAKAAAELTKETNPIPKAEEPGLMLKLDYEDVLNAWSDKASPFSEEMPGSDVPGNDVSARLAQIDLFSDAGGLREASVLRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKGRFVRRPHSSTNGQRQKEIA >ONI27230 pep chromosome:Prunus_persica_NCBIv2:G1:5377790:5378941:1 gene:PRUPE_1G074900 transcript:ONI27230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCFSGSGRTYAFELDIVKSPSTSTRTSTSSPSSTLSESSNSGLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKNFTNPRKFTKPHDSFLDQSAELLLPFRVIDDGSSGFLIGEPIGEKPSSQFEPKALISFEKMCQSPGEFDSQANSNSNSMEMCGSYHHQDEDFDAESILDEEIEEGIDSIMGSMNVDMDSVDESNNGGGGGGRGGQMNSNPNPNSSNSCYGYPMGLGFGGKFEFGFGLRRGEVRPLRHVDDGNWWSFPTVDVLEISPRFNKSQSSSTPAPASGASAGKKKKKKVEKLSVLEAKAAAELTKETNPIPKAEEPGLMLKLDYEDVLNAWSDKASPFSEEMPGSDVPGNDVSVCFSSLAGKSNSRKK >ONI27228 pep chromosome:Prunus_persica_NCBIv2:G1:5376716:5381669:1 gene:PRUPE_1G074900 transcript:ONI27228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCFSGSGRTYAFELDIVKSPSTSTRTSTSSPSSTLSESSNSGLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKNFTNPRKFTKPHDSFLDQSAELLLPFRVIDDGSSGFLIGEPIGEKPSSQFEPKALISFEKMCQSPGEFDSQANSNSNSMEMCGSYHHQDEDFDAESILDEEIEEGIDSIMGSMNVDMDSVDESNNGGGGGGRGGQMNSNPNPNSSNSCYGYPMGLGFGGKFEFGFGLRRGEVRPLRHVDDGNWWSFPTVDVLEISPRFNKSQSSSTPAPASGASAGKKKKKKVEKLSVLEAKAAAELTKETNPIPKAEEPGLMLKLDYEDVLNAWSDKASPFSEEMPGSDVPGNDVSARLAQIDLFSDAGGLREASVLRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKERGWLL >ONI27229 pep chromosome:Prunus_persica_NCBIv2:G1:5376716:5381669:1 gene:PRUPE_1G074900 transcript:ONI27229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCFSGSGRTYAFELDIVKSPSTSTRTSTSSPSSTLSESSNSGLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKNFTNPRKFTKPHDSFLDQSAELLLPFRVIDDGSSGFLIGEPIGEKPSSQFEPKALISFEKMCQSPGEFDSQANSNSNSMEMCGSYHHQDEDFDAESILDEEIEEGIDSIMGSMNVDMDSVDESNNGGGGGGRGGQMNSNPNPNSSNSCYGYPMGLGFGGKFEFGFGLRRGEVRPLRHVDDGNWWSFPTVDVLEISPRFNKSQSSSTPAPASGASAGKKKKKKVEKLSVLEAKAAAELTKETNPIPKAEEPGLMLKLDYEDVLNAWSDKASPFSEEMPGSDVPGNDVSAGPD >ONI31628 pep chromosome:Prunus_persica_NCBIv2:G1:30848503:30852884:1 gene:PRUPE_1G322900 transcript:ONI31628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRFFNLVSKPSKKPINSFLPFPNLRSTPTSQTGSHRSFTTSLQSIKYTNFTRNRTLHTENPSGFLSNRTGGFYRAIHDGLGKTQVPDEASDKIPGANNREITEDAERICRILSTSNSKSPIDSFLDGASVEVSPALVVEVLKKLSNAGVLALSFFRWAEKQKGFKHTMESYNALIEALGKIKQFKMIWELVNDMKSKGLLSKETFALISRRYSRAKKVKEAIETFEKMEKFGMKVEGSDFNRLIDTLSKSRQVEKAQEVFDKMKHTRFKPDIKSYTILLEGWGQEQNFLRLNEVYREMKDEGFDPDVVTCGILINAHCKARKYDEAIDLFREMEAKNVKATPHIFCILINGLGSERRLSEALEFFELNKASGFEPEAPTYNALVGAYCWSMRMHDAFRVVEEMRKCGIGPNPRTYDIILHHLVKARRTEQAYSVFQQISREPSCEPTVSTYEILVRMFCNEDQVDMALRVWDRMKTKGVLPGMHMFSTLINSLCHENKLDDACKYFQEMLDVGIRPPAQMFSNLKQALLDEGRKDDVISFGLKIDRLRKTPLVGLR >ONI29443 pep chromosome:Prunus_persica_NCBIv2:G1:19068206:19069079:1 gene:PRUPE_1G198400 transcript:ONI29443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVEGIHEIVEDDKVFVKAAYVLTERKNREMFVALRQANRQIMWLRKKLRDKLTDKWVMDVLNGHKIRCYEQFRMEKHVFIKLLETLTSAYDLKEVGDIPVVEVLFLIILGHGFTYRMVQERFQHSGETVSKWFGIILDVFCPMASYIISPQDPHFRRVPGKIKVDDRYWPYFKDCIGAIDGTHIPLVMPRARQVLYIERKGITTQSVMVVWNFNICFTFVWAGWESAVHNARIFMEALRRPILKFRHPPTGN >ONI32312 pep chromosome:Prunus_persica_NCBIv2:G1:33243998:33246768:1 gene:PRUPE_1G360500 transcript:ONI32312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPRVETPIDENEIRITSQGRMRNYITYAMTLLQEKGSDEIVFKAMGRAINKTVTIVELIKRRIVGLHQNTTIGSTDITDIYEPLEEGLNTLESTRHVSMIVVTLSKKEINTSSIGYQSPLPAELVKASTEIDYEGGGSPNGRGRGRGRGRGRGRFRGNGFVSAEFDDGGYDRSRSNFRGRGRGRGRGFRGRGRGGYNGPQFDGQQDGEYNEEAPAQGGHGRGRGRGGYRGRGRGYRSNGPIQATA >ONI32314 pep chromosome:Prunus_persica_NCBIv2:G1:33244008:33246768:1 gene:PRUPE_1G360500 transcript:ONI32314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPRVETPIDENEIRITSQGRMRNYITYAMTLLQEKGSDEIVFKAMGRAINKTVTIVELIKRRIVGLHQNTTIGSTDITDIYEPLEEGLNTLESTRHVSMIVVTLSKKEINTSSIGYQSPLPAELVKASTEIDYEGGGSPNGRGRGRGRGRGRGRFRGNGFVSAEFDDGGYDRSRSNFRGRGRGRGRGFRGRGRGGYNGPQFDGQQDGEYNEEAPAQGGHGRGRGRGGYRGRGRGYRSNGPIQATA >ONI32313 pep chromosome:Prunus_persica_NCBIv2:G1:33244009:33246768:1 gene:PRUPE_1G360500 transcript:ONI32313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPRVETPIDENEIRITSQGRMRNYITYAMTLLQEKGSDEIVFKAMGRAINKTVTIVELIKRRIVGLHQNTTIGSTDITDIYEPLEEGLNTLESTRHVSMIVVTLSKKEINTSSIGYQSPLPAELVKASTEIDYEGGGSPNGRGRGRGRGRGRGRFRGNGFVSAEFDDGGYDRSRSNFRGRGRGRGRGFRGRGRGGYNGPQFDGQQDGEYNEEAPAQGGHGRGRGRGGYRGRGRGYRSNGPIQATA >ONI34946 pep chromosome:Prunus_persica_NCBIv2:G1:41884800:41886850:-1 gene:PRUPE_1G507700 transcript:ONI34946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTNSVNGFYSFLTRGIDDLERVYLSNNFMSIQFLQRALSLLRSFHSQLTLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKSAISAMENYYTSGLNITSTLDSHRHLTPQLSRQVVRAISGCRREAFGLEEENRALMETRIQPLSLKFDERVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVFCWPESSFCRGGYEGCLIFGSSFMISTARLQQRVAAEISQINERPGILMWEFRRSKMAMDELRGELERRGPQGVMDWESEGGIRERVDNLRGCFGVLRSGAENIISQLDDFFDEIVEGRKKLLDFCSHR >ONI28618 pep chromosome:Prunus_persica_NCBIv2:G1:11987440:11988815:-1 gene:PRUPE_1G151800 transcript:ONI28618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIAVERNRRKQMNEHLKVLRSLTPCFYIKRGDQASIIGGVIEFIKELHQVLQSLESNKRRKSLSPSPNPSPKPVVLPQQYPSTPQLDQNTNFNFNLGVDNHHHVINKELAIGACCNSPVADVEAKISGSNVVLKIISRRTSGQIAKIIGVLERLSFEVLHLNISSMEDTVLYSFVIKIGLECQLSVEELVLEVQQSLRSESTT >ONI27052 pep chromosome:Prunus_persica_NCBIv2:G1:4616544:4618714:1 gene:PRUPE_1G064900 transcript:ONI27052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVVSKSTVCTLLTFTLLSYFTKVLSSHLSLPLPPTFAIIFLPLIPIIAYFLIHFISNHSSNLPPGPLSIPIFGNWLQVGNDLNHRLLASMSQTYGSIFLLKLGSKNLAVVSDPALATQVLHNQGIEFGSRPRNVVFDIFTGNGQDMVFTIYGDHWRKMRRIMTLPFFTNKVVQHYSNMWEEEMDQVVHDLNKDEGAKTEGIVIRKRLQLMLYNIMYRMMFNAKFESQEDPLFIEATRFNSERSRLAQSFEYNYGDFIPLLRPFLRGYLNKCRDLQSRRLAFFNHYFVEQRRKIMAANGEKHKISCAIDHIIDAQIKGEISEENVLYIVENINVAAIETTLWSMEWAIAELVNHPTIQRKIRDEISIVHKGAPVTESNLHELPYLQATVKETLRLHTPIPLLVPHMNLEEAKLGGYIIPKESKVVVNAWWLANNPAWWKDPEEFRPERFLDEECGTEAVAGGKVDFRYLPFGMGRRSCPGIILALPILGLVIAKLVSNFEMKAPQGVEKIDVSEKGGQFSLHIANHSTVVFNPIKA >ONI35961 pep chromosome:Prunus_persica_NCBIv2:G1:45974282:45976415:-1 gene:PRUPE_1G562700 transcript:ONI35961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATKRKVNNKKGKEEKPIVECDDESDAWWWLVHKGITASFLSIALFSLLVRVAVSLHPYSGAGNPPKYGDFEAQRHWMEITLNLPLKEWYRNSTVNDLRYWGLDYPPLTAYQSYVHGLFLRFFSPDSVALFTSRGHESYLGKLLMRWTVLSSDVLVFFPAVFYFVVVYYAGRNPSHRMSGIAWHMAIILLNPCLILIDHGHFQYNCISLGLTVGAIAFLLSDKDLVACVLYSLALNHKQRPKPVEEEGQMNLLPLIHPNEFYITKACLFMRMWNPSFLDHFDLVSRLKMLQNLTMCRFHFKRADPHSEVIPNGQFQFVHFCKFNHACRI >ONI35958 pep chromosome:Prunus_persica_NCBIv2:G1:45971429:45976415:-1 gene:PRUPE_1G562700 transcript:ONI35958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATKRKVNNKKGKEEKPIVECDDESDAWWWLVHKGITASFLSIALFSLLVRVAVSLHPYSGAGNPPKYGDFEAQRHWMEITLNLPLKEWYRNSTVNDLRYWGLDYPPLTAYQSYVHGLFLRFFSPDSVALFTSRGHESYLGKLLMRWTVLSSDVLVFFPAVFYFVVVYYAGRNPSHRMSGIAWHMAIILLNPCLILIDHGHFQYNCISLGLTVGAIAFLLSDKDLVACVLYSLALNHKQMSAYFAPAFFSHLLGKCLRRQYPLLQVLKLGLVVLGTFLIVWWPYVHSMEAFLGVLSRLAPFERGIFEDYVANFWCTTSVLVKWKRLFPTQTLKLLSFGATISSCLPSMIQQIWSPSKQGFLYGLLNSAFSFYLFSFQVHEKSILLPLLPASLLATEEPFLFTWMTLYALFSMFPLLHRDKLVLPYLSLSALFILLNHLSSGRRDTKDTSAFRSFVTRVFLLCSVILHVVYLSMHAPARYPFLFEALIMLLCFSQFVFLTLYTNAKQWMLLKHSNLVDKEKKLL >ONI35959 pep chromosome:Prunus_persica_NCBIv2:G1:45972231:45976415:-1 gene:PRUPE_1G562700 transcript:ONI35959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATKRKVNNKKGKEEKPIVECDDESDAWWWLVHKGITASFLSIALFSLLVRVAVSLHPYSGAGNPPKYGDFEAQRHWMEITLNLPLKEWYRNSTVNDLRYWGLDYPPLTAYQSYVHGLFLRFFSPDSVALFTSRGHESYLGKLLMRWTVLSSDVLVFFPAVFYFVVVYYAGRNPSHRMSGIAWHMAIILLNPCLILIDHGHFQYNCISLGLTVGAIAFLLSDKDLVACVLYSLALNHKQMSAYFAPAFFSHLLGKCLRRQYPLLQVLKLGLVVLGTFLIVWWPYVHSMEAFLGVLSRLAPFERGIFEDYVANFWCTTSVLVKWKRLFPTQTLKLLSFGATISSCLPSMIQQIWSPSKQGFLYGLLNSAFSFYLFSFQGK >ONI35957 pep chromosome:Prunus_persica_NCBIv2:G1:45971400:45976415:-1 gene:PRUPE_1G562700 transcript:ONI35957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATKRKVNNKKGKEEKPIVECDDESDAWWWLVHKGITASFLSIALFSLLVRVAVSLHPYSGAGNPPKYGDFEAQRHWMEITLNLPLKEWYRNSTVNDLRYWGLDYPPLTAYQSYVHGLFLRFFSPDSVALFTSRGHESYLGKLLMRWTVLSSDVLVFFPAVFYFVVVYYAGRNPSHRMSGIAWHMAIILLNPCLILIDHGHFQYNCISLGLTVGAIAFLLSDKDLVACVLYSLALNHKQMSAYFAPAFFSHLLGKCLRRQYPLLQVLKLGLVVLGTFLIVWWPYVHSMEAFLGVLSRLAPFERGIFEDYVANFWCTTSVLVKWKRLFPTQTLKLLSFGATISSCLPSMIQQIWSPSKQGFLYGLLNSAFSFYLFSFQVHEKSILLPLLPASLLATEEPFLFTWMTLYALFSMFPLLHRDKLVLPYLSLSALFILLNHLSSGRRDTKDTSAFRSFVTRVFLLCSVILHVVYLSMHAPARYPFLFEALIMLLCFSQFVFLTLYTNAKQWMLLKHSNLVDKEKKLL >ONI35960 pep chromosome:Prunus_persica_NCBIv2:G1:45974338:45976415:-1 gene:PRUPE_1G562700 transcript:ONI35960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATKRKVNNKKGKEEKPIVECDDESDAWWWLVHKGITASFLSIALFSLLVRVAVSLHPYSGAGNPPKYGDFEAQRHWMEITLNLPLKEWYRNSTVNDLRYWGLDYPPLTAYQSYVHGLFLRFFSPDSVALFTSRGHESYLGKLLMRWTVLSSDVLVFFPAVFYFVVVYYAGRNPSHRMSGIAWHMAIILLNPCLILIDHGHFQYNCISLGLTVGAIAFLLSDKDLVACVLYSLALNHKQRPKPVEEEGQMNLLPLIHPNEFYITKACLFMRMWNPSFLDHFDLVSRLKMLQNLTMCRFHFKRADPHSEVIPNGQFQFVHFCKFNHACRI >ONI29883 pep chromosome:Prunus_persica_NCBIv2:G1:23409299:23409895:-1 gene:PRUPE_1G219500 transcript:ONI29883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSNSSTIENIQNLMPILDGKNYEYWSLQMKTLFISQDLWDLVEDGYEEPEKTLTGKATLESQQAVKENRKSDAKALFLIQQGVSKNFFPRLLSATTSKEAWEILKIEFQGSQKVISIKLQSLWREFDNLLMKENESIQVFFTKISGIVNQIRSHGDTIPYKKIVEKTLRSLPPKFDHVVAAIEQSKDLAALSLHE >ONI34349 pep chromosome:Prunus_persica_NCBIv2:G1:39876940:39878463:-1 gene:PRUPE_1G476900 transcript:ONI34349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHKLRYLKCFLDWTNVKLKDYFEKIKEREVELRHYYEDTKKFNIAAFVNIIRVDAAFVIELLLRNKSEEKFQEEKLQDDNAWIFKKPWVLQNILPDMLMLGNQLPFFILEDLYNLTGAQTGEPSIIELSYRFFQQALRLENLENSPAFRKSFMPPDPKKPLHFVDFIRTLHLPDPTLKENVGLQRTPSMTKLHQAGSSKNLFDIQFKKNTLEIPKIEIHNYTELTLRNLIAFEQCHCVDKYISYYVFILDKFVNTPKDVELLVENRIVVNMQGDNNKVSIMINKLCSKVALNHGNYYFEKTTNIWKANLRQKYFNTPWAAISLFAAIILLILTVIQIVCAIISVIDQLLAVSLLHKGRKQQVKSLSFFKQQCSNSSSNTVTVSAAATTVQHPIF >ONI32286 pep chromosome:Prunus_persica_NCBIv2:G1:33153456:33154783:-1 gene:PRUPE_1G358400 transcript:ONI32286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQCGSESTTDLKKQMQRMEMMEDECNRNRKGEVSVEEGYRENKIKKARLQSTLVALVDDPILSDVPKNPTLSDVDTLICLELGSAMRISVLKLDATAFDVALMNSATVKDLKVAIKKKINDMEQSKMGHRHISWKHVWGNFCLSYHNDKLLDDNAALQDFGVHFVPYVALKDSHRHSKRRRHRFFHGLSKLS >ONI32284 pep chromosome:Prunus_persica_NCBIv2:G1:33153733:33154703:-1 gene:PRUPE_1G358400 transcript:ONI32284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQCGSESTTDLKKQMQRMEMMEDECNRNRKGEVSVEEGYRENKIKKARLQSTLVALVDDPILSDVPKNPTLSDVDTLICLELGSAMRISVLKLDATAFDVALMNSATVKDLKVAIKKKINDMEQSKMGHRHISWKHVWGNFCLSYHNDKLLDDNAALQDFGVRNNSQVCILFLMLRSRIRIGIRRGGDTASFMALASFLDA >ONI32285 pep chromosome:Prunus_persica_NCBIv2:G1:33153733:33154703:-1 gene:PRUPE_1G358400 transcript:ONI32285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQCGSESTTDLKKQMQRMEMMEDECNRNRKGEVSVEEGYRENKIKKARLQSTLVALVDDPILSDVPKNPTLSDVDTLICLELGSAMRISVLKLDATAFDVALMNSATVKDLKVAIKKKINDMEQSKMGHRHISWKHVWGNFCLSYHNDKLLDDNAALQDFGVRNNSQVCILFLMLRSRIRIGIRRGGDTASFMALASFLDA >ONI32288 pep chromosome:Prunus_persica_NCBIv2:G1:33153740:33154703:-1 gene:PRUPE_1G358400 transcript:ONI32288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQCGSESTTDLKKQMQRMEMMEDECNRNRKGEVSVEEGYRENKIKKARLQSTLVALVDDPILSDVPKNPTLSDVDTLICLELGSAMRISVLKLDATAFDVALMNSATVKDLKVAIKKKINDMEQSKMGHRHISWKHVWGNFCLSYHNDKLLDDNAALQDFGVRNNSQVHFVPYVALKDSHRHSKRRRHRFFHGLSKLS >ONI32282 pep chromosome:Prunus_persica_NCBIv2:G1:33150279:33154783:-1 gene:PRUPE_1G358400 transcript:ONI32282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQCGSESTTDLKKQMQRMEMMEDECNRNRKGEVSVEEGYRENKIKKARLQSTLVALVDDPILSDVPKNPTLSDVDTLICLELGSAMRISVLKLDATAFDVALMNSATVKDLKVAIKKKINDMEQSKMGHRHISWKHVWGNFCLSYHNDKLLDDNAALQDFGVRNNSQL >ONI32287 pep chromosome:Prunus_persica_NCBIv2:G1:33153456:33154783:-1 gene:PRUPE_1G358400 transcript:ONI32287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQCGSESTTDLKKQMQRMEMMEDECNRNRKGEVSVEEGYRENKIKKARLQSTLVALVDDPILSDVPKNPTLSDVDTLICLELGSAMRISVLKLDATAFDVALMNSATVKDLKVAIKKKINDMEQSKMGHRHISWKHVWGNFCLSYHNDKLLDDNAALQDFGVRNNSQVHFVPYVALKDSHRHSKRRRHRFFHGLSKLS >ONI27883 pep chromosome:Prunus_persica_NCBIv2:G1:8735212:8735634:1 gene:PRUPE_1G109400 transcript:ONI27883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIILIVSRLDEPGDIEAQAPAAAARNLDWAKIVVVYCLSTGVAMALIHTQVHPSKLPLSFFFLGLAVLLAFACIMVSKFVQHSKCPRITLHLFHFFGIFFGVTAFFISITIPFPLWFKCTASVIYLASGLVVIFCHHFYN >ONI30386 pep chromosome:Prunus_persica_NCBIv2:G1:26077414:26078460:-1 gene:PRUPE_1G248100 transcript:ONI30386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKWESKEEEEPLASLREDPLSRDEEMTHHFQLSLRDFYFYKNFLVDDLKWTSWKMASAACGILGFPFMSIVQPSEEEL >ONI32355 pep chromosome:Prunus_persica_NCBIv2:G1:33397877:33402514:1 gene:PRUPE_1G363200 transcript:ONI32355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSSILGFCGFGFGTSIGLVIGYYLFIYFQPTDVKDPGIRPLVEQDSNTLQRLLPEIPMWVKNPDYDRVDWLNKFIELMWPYLDKAICKTARTIAKPIIAEQIPKYKIDSVEFEALSLGSLPPTFQGIKVYVTGEKELIMELSMKWAGNPNILVAVKAFGLRATVQVVDLQVFASPRITMKPLVPAFPCFSKIFVSLMEKPHVDFGLKLLGADAMAIPGLYGFVQELIKDQVANMYLWPKALEVPIMDPTMAMRKPVGVLHVKVIKAIKLRKKDLLGAADPYVKLKLTEDKLPSKKTAVKHNNLNPEWNQEFNWVVRDPGTQALEAVVYDWEKIGKHDKMGMNVIPLKDLTPDEPKVVTVDILKNMDPNDAQNEKLRGQLVVELIYKPFKEDEMPKDDEDPNAIQKAPEGTPAGGGVLVVLVHEAEDVEGKHHTNPQVRILFRGEERRTKMVKKNRDPRWDEEFQFMLEEPPKSDMLHVEVISISSRMGLLHPKETLGYVDINLADVVSNRRINEKYHLIDSKNGRLQIELQWRTS >ONI32356 pep chromosome:Prunus_persica_NCBIv2:G1:33398290:33402334:1 gene:PRUPE_1G363200 transcript:ONI32356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSSILGFCGFGFGTSIGLVIGYYLFIYFQPTDVKDPGIRPLVEQDSNTLQRLLPEIPMWVKNPDYDRVDWLNKFIELMWPYLDKAICKTARTIAKPIIAEQIPKYKIDSVEFEALSLGSLPPTFQGIKVYVTGEKELIMELSMKWAGNPNILVAVKAFGLRATVQVVDLQVFASPRITMKPLVPAFPCFSKIFVSLMEKPHVDFGLKLLGADAMAIPGLYGFVQELIKDQVANMYLWPKALEVPIMDPTMAMRKPVGVLHVKVIKAIKLRKKDLLGAADPYVKLKLTEDKLPSKKTAVKHNNLNPEWNQEFNWVVRDPGTQALEAVVYDWEKIGKHDKMGMNVIPLKDLTPDEPKVVTVDILKNMDPNDAQNEKLRGQLVVELIYKPFKEDEMPKDDEDPNAIQKAPEGTPAGGGVLVVLVHEAEDVEGKHHTNPQVRILFRGEERRTKVYKKIVIQDGMRSFSLC >ONI33237 pep chromosome:Prunus_persica_NCBIv2:G1:35978444:35980126:1 gene:PRUPE_1G412400 transcript:ONI33237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIRFPVMEVKEAYDVEHMRSTQSIQHELWPLDEIDPKKAKFPCCLVWTPLPIVSWLAPFIGHVGICREDGAILDFAGSNFVNADDFAFGAVARYLQLDRTQCCFAANLGGHTCKSGYKHAEFGTAITWDDALQSSSRHFEHKTYNLFTCNCHSFVANCLNRIFYSGSMSWNMINVAGLVLLKGQWVDSMSVLRSFLPFLLVLSLGVFMVGWPFLVALLSFSLLLLVWFLLGSYCFKTLLEC >ONI33244 pep chromosome:Prunus_persica_NCBIv2:G1:35978444:35980126:1 gene:PRUPE_1G412400 transcript:ONI33244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGLLMKLIQRREDGAILDFAGSNFVNADDFAFGAVARYLQLDRTQCCFAANLGGHTCKSGYKHAEFGTAITWDDALQSSSRHFEHKTYNLFTCNCHSFVANCLNRIFYSGSMSWNMINVAGLVLLKGQWVDSMSVLRSFLPFLLVLSLGVFMVGWPFLVALLSFSLLLLVWFLLGSYCFKTLLEC >ONI33240 pep chromosome:Prunus_persica_NCBIv2:G1:35977758:35980126:1 gene:PRUPE_1G412400 transcript:ONI33240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGLLMKLIQRREDGAILDFAGSNFVNADDFAFGAVARYLQLDRTQCCFAANLGGHTCKSGYKHAEFGTAITWDDALQSSSRHFEHKTYNLFTCNCHSFVANCLNRIFYSGSMSWNMINVAGLVLLKGQWVDSMSVLRSFLPFLLVLSLGVFMVGWPFLVALLSFSLLLLVWFLLGSYCFKTLLEC >ONI33235 pep chromosome:Prunus_persica_NCBIv2:G1:35978435:35980126:1 gene:PRUPE_1G412400 transcript:ONI33235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIRFPVMEVKEAYDVEHMRSTQSIQHELWPLDEIDPKKAKFPCCLVWTPLPIVSWLAPFIGHVGICREDGAILDFAGSNFVNADDFAFGAVARYLQLDRTQCCFAANLGGHTCKSGYKHAEFGTAITWDDALQSSSRHFEHKTYNLFTCNCHSFVANCLNRIFYSGSMSWNMINVAGLVLLKGQWVDSMSVLRSFLPFLLVLSLGVFMVGWPFLVALLSFSLLLLVWFLLGSYCFKTLLEC >ONI33236 pep chromosome:Prunus_persica_NCBIv2:G1:35977897:35980126:1 gene:PRUPE_1G412400 transcript:ONI33236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIRFPVMEVKEAYDVEHMRSTQSIQHELWPLDEIDPKKAKFPCCLVWTPLPIVSWLAPFIGHVGICREDGAILDFAGSNFVNADDFAFGAVARYLQLDRTQCCFAANLGGHTCKSGYKHAEFGTAITWDDALQSSSRHFEHKTYNLFTCNCHSFVANCLNRIFYSGSMSWNMINVAGLVLLKGQWVDSMSVLRSFLPFLLVLSLGVFMVGWPFLVALLSFSLLLLVWFLLGSYCFKTLLEC >ONI33242 pep chromosome:Prunus_persica_NCBIv2:G1:35977867:35980126:1 gene:PRUPE_1G412400 transcript:ONI33242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGLLMKLIQRREDGAILDFAGSNFVNADDFAFGAVARYLQLDRTQCCFAANLGGHTCKSGYKHAEFGTAITWDDALQSSSRHFEHKTYNLFTCNCHSFVANCLNRIFYSGSMSWNMINVAGLVLLKGQWVDSMSVLRSFLPFLLVLSLGVFMVGWPFLVALLSFSLLLLVWFLLGSYCFKTLLEC >ONI33241 pep chromosome:Prunus_persica_NCBIv2:G1:35977758:35980126:1 gene:PRUPE_1G412400 transcript:ONI33241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGLLMKLIQRREDGAILDFAGSNFVNADDFAFGAVARYLQLDRTQCCFAANLGGHTCKSGYKHAEFGTAITWDDALQSSSRHFEHKTYNLFTCNCHSFVANCLNRIFYSGSMSWNMINVAGLVLLKGQWVDSMSVLRSFLPFLLVLSLGVFMVGWPFLVALLSFSLLLLVWFLLGSYCFKTLLEC >ONI33238 pep chromosome:Prunus_persica_NCBIv2:G1:35977758:35980126:1 gene:PRUPE_1G412400 transcript:ONI33238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIRFPVMEVKEAYDVEHMRSTQSIQHELWPLDEIDPKKAKFPCCLVWTPLPIVSWLAPFIGHVGICREDGAILDFAGSNFVNADDFAFGAVARYLQLDRTQCCFAANLGGHTCKSGYKHAEFGTAITWDDALQSSSRHFEHKTYNLFTCNCHSFVANCLNRIFYSGSMSWNMINVAGLVLLKGQWVDSMSVLRSFLPFLLVLSLGVFMVGWPFLVALLSFSLLLLVWFLLGSYCFKTLLEC >ONI33239 pep chromosome:Prunus_persica_NCBIv2:G1:35977758:35980126:1 gene:PRUPE_1G412400 transcript:ONI33239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIRFPVMEVKEAYDVEHMRSTQSIQHELWPLDEIDPKKAKFPCCLVWTPLPIVSWLAPFIGHVGICREDGAILDFAGSNFVNADDFAFGAVARYLQLDRTQCCFAANLGGHTCKSGYKHAEFGTAITWDDALQSSSRHFEHKTYNLFTCNCHSFVANCLNRIFYSGSMSWNMINVAGLVLLKGQWVDSMSVLRSFLPFLLVLSLGVFMVGWPFLVALLSFSLLLLVWFLLGSYCFKTLLEC >ONI33234 pep chromosome:Prunus_persica_NCBIv2:G1:35977758:35980539:1 gene:PRUPE_1G412400 transcript:ONI33234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIRFPVMEVKEAYDVEHMRSTQSIQHELWPLDEIDPKKAKFPCCLVWTPLPIVSWLAPFIGHVGICREDGAILDFAGSNFVNADDFAFGAVARYLQLDRTQCCFAANLGGHTCKSGYKHAEFGTAITWDDALQSSSRHFEHKTYNLFTCNCHSFVANCLNRIFYSGSMSWNMINVAGLVLLKGQWVDSMSVLRSFLPFLLVLSLGVFMVGWPFLVALLSFSLLLLVWFLLGSYCFKTLLEC >ONI33243 pep chromosome:Prunus_persica_NCBIv2:G1:35977758:35980126:1 gene:PRUPE_1G412400 transcript:ONI33243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGLLMKLIQRREDGAILDFAGSNFVNADDFAFGAVARYLQLDRTQCCFAANLGGHTCKSGYKHAEFGTAITWDDALQSSSRHFEHKTYNLFTCNCHSFVANCLNRIFYSGSMSWNMINVAGLVLLKGQWVDSMSVLRSFLPFLLVLSLGVFMVGWPFLVALLSFSLLLLVWFLLGSYCFKTLLEC >ONI31258 pep chromosome:Prunus_persica_NCBIv2:G1:29673333:29678480:1 gene:PRUPE_1G302000 transcript:ONI31258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQQILPITYHPPSVFSSSSSPTEKLLLKDAEDDPTTRLLLFSHFEEEDEEKGTPIIKPLLPRASSYITSTTNGANKHQQRRRRTASDSSLSSLSDDSRNNSLGREVEHAAAETFLVTRLSLKLLRYLGVGYRWITRFLALGCYSFLLMPGFIQVGYYYFFSSQVRRGIVYGDQPRNRLDLYLPKNTDGPKPVIAFVTGGAWIIGYKAWGSLLGQQLSERDIIVACIDYRNFPQGTISDMVKDASQGISFVCNHIADYGGDPNRIYLMGQSAGAHIGACALIDQAIKEAGEGESTSWSVSQIQAYFGLSGGYNLLNLVDHFHRRGLYRSIFLGIMEGEQSLQRFSPELMIQDLNISHAASLLPPIILFHGTADYSIPSDASKNFAETLQRLGVKAKSILYEGKTHTDLFLQDPMRGGKDDMFEDLVAIIHEGDSEALAKDAVAPPRKRLVPEFMLKLAHRVSPF >ONI29845 pep chromosome:Prunus_persica_NCBIv2:G1:23003836:23007490:-1 gene:PRUPE_1G217200 transcript:ONI29845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSLPWKTIVVALVLLHITSSSMKARASLSELDRIALLPGQPQVGFQQYSGYVTVDEKKQRALFYYFAEAEIDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVRNEHSWNREANMLYLETPIGVGFSYSTDTSSYEAVNDHITARDNLLFLQNWLEKFPQYKNRSLFITGESYAGHYVPQLAELMLQYKEYQFNLKGIALGNPVLEYTTDFNSRAEFFWSHGLISDSTYKMFTSVCNYSRYVSEYYRGSVSPICSRVMSQVSRETSKFVDKYDVTLDVCISSVFSQSKVLLPQQVTEAIDVCVEDEIVNYLNRPDVQKALHARLVGVRQWAVCSNILDYQLLDVEIPTTTIVGKLIKAGIPVLVYSGDQDSVIPLTGSRTLVHRLAEQLGLNTTVPYRVWFEGQQVGGWTQAYGNILSFATIRGASHEAPFSQPERSLVLFKSFLEGRPLPEVF >ONI29842 pep chromosome:Prunus_persica_NCBIv2:G1:23004025:23007378:-1 gene:PRUPE_1G217200 transcript:ONI29842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSLPWKTIVVALVLLHITSSSMKARASLSELDRIALLPGQPQVGFQQYSGYVTVDEKKQRALFYYFAEAEIDPASKPLVLWLNGEANMLYLETPIGVGFSYSTDTSSYEAVNDHITGHYVPQLAELMLQYKEYQFNLKGIALGNPVLEYTTDFNSRAEFFWSHGLISDSTYKMFTSVCNYSRYVSEYYRGSVSPICSRVMSQVSRETSKFVDKYDVTLDVCISSVFSQSKVLLPQQVTEAIDVCVEDEIVNYLNRPDVQKALHARLVGVRQWAVCSNILDYQLLDVEIPTTTIVGKLIKAGIPVLVYSGDQDSVIPLTGSRTLVHRLAEQLGLNTTVPYRVWFEGQQVGGWTQAYGNILSFATIRGASHEAPFSQPERSLVLFKSFLEGRPLPEVF >ONI29846 pep chromosome:Prunus_persica_NCBIv2:G1:23004687:23007324:-1 gene:PRUPE_1G217200 transcript:ONI29846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSLPWKTIVVALVLLHITSSSMKARASLSELDRIALLPGQPQVGFQQYSGYVTVDEKKQRALFYYFAEAEIDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVRNEHSWNREANMLYLETPIGVGFSYSTDTSSYEAVNDHITARDNLLFLQNWLEKFPQYKNRSLFITGESYAGHYVPQLAELMLQYKEYQFNLKGIALGNPVLEYTTDFNSRAEFFWSHGLISDSTYKMFTSVCNYSRYVSEYYRGSVSPICSRVMSQVSRETSKFVDKYDVTLDVCISSVFSQSKVLLPQQQVTEAIDVCVEDEIVNYLNRPDVQKALHARLVGVRQWAVCSNILDYQLLDVEIPTTTIVGKLIKAGIPVLVYSGDQDSVIPLTGSRTLVHRLAEQLGLNTTVPYRVWFEGQQVGGWTQAYGNILSFATIRGASHEAPFSQPERSLVLFKSFLEGRPLPEVF >ONI29847 pep chromosome:Prunus_persica_NCBIv2:G1:23004923:23007324:-1 gene:PRUPE_1G217200 transcript:ONI29847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSLPWKTIVVALVLLHITSSSMKARASLSELDRIALLPGQPQVGFQQYSGYVTVDEKKQRALFYYFAEAEIDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVRNEHSWNREANMLYLETPIGVGFSYSTDTSSYEAVNDHITARDNLLFLQNWLEKFPQYKNRSLFITGESYAGHYVPQLAELMLQYKEYQFNLKGIALGNPVLEYTTDFNSRAEFFWSHGLISDSTYKMFTSVCNYSRYVSEYYRGSVSPICSRVMSQVSRETSKFVDKYDVTLDVCISSVFSQSKVLLPQQVTEAIDVCVEDEIVNYLNRPDVQKALHARLVGVRQWAVCSNILDYQLLDVEIPTTTIVGKLIKAGIPVLVYSGDQDSVIPLTGSRTLVHRLAEQLGLNTTVPYRVWFEGQQVTLI >ONI29844 pep chromosome:Prunus_persica_NCBIv2:G1:23004025:23007378:-1 gene:PRUPE_1G217200 transcript:ONI29844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSLPWKTIVVALVLLHITSSSMKARASLSELDRIALLPGQPQVGFQQYSGYVTVDEKKQRALFYYFAEAEIDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVRNEHSWNREANMLYLETPIGVGFSYSTDTSSYEAVNDHITGHYVPQLAELMLQYKEYQFNLKGIALGNPVLEYTTDFNSRAEFFWSHGLISDSTYKMFTSVCNYSRYVSEYYRGSVSPICSRVMSQVSRETSKFVDKYDVTLDVCISSVFSQSKVLLPQQVTEAIDVCVEDEIVNYLNRPDVQKALHARLVGVRQWAVCSNILDYQLLDVEIPTTTIVGKLIKAGIPVLVYSGDQDSVIPLTGSRTLVHRLAEQLGLNTTVPYRVWFEGQQVGGWTQAYGNILSFATIRGASHEAPFSQPERSLVLFKSFLEGRPLPEVF >ONI29843 pep chromosome:Prunus_persica_NCBIv2:G1:23004489:23007371:-1 gene:PRUPE_1G217200 transcript:ONI29843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSLPWKTIVVALVLLHITSSSMKARASLSELDRIALLPGQPQVGFQQYSGYVTVDEKKQRALFYYFAEAEIDPASKPLVLWLNGEANMLYLETPIGVGFSYSTDTSSYEAVNDHITARDNLLFLQNWLEKFPQYKNRSLFITGESYAGHYVPQLAELMLQYKEYQFNLKGIALGNPVLEYTTDFNSRAEFFWSHGLISDSTYKMFTSVCNYSRYVSEYYRGSVSPICSRVMSQVSRETSKFVDKYDVTLDVCISSVFSQSKVLLPQQVTEAIDVCVEDEIVNYLNRPDVQKALHARLVGVRQWAVCSNILDYQLLDVEIPTTTIVGKLIKAGIPVLVYSGDQDSVIPLTGSRTLVHRLAEQLGLNTTVPYRVWFEGQQVGGWTQAYGNILSFATIRGASHEAPFSQPERSLVLFKSFLEGRPLPEVF >ONI31454 pep chromosome:Prunus_persica_NCBIv2:G1:30396632:30397217:1 gene:PRUPE_1G314200 transcript:ONI31454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKTRMLRPQNQLEIQELIIFTTNQCNIRLPPPTIHIFHTSLKTQFCNIRVAIFLLLIKLNSEPRG >ONI35510 pep chromosome:Prunus_persica_NCBIv2:G1:44141392:44142329:1 gene:PRUPE_1G540300 transcript:ONI35510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSHKISHILLSVTYFTKLMYLSEFVYEIISAYILSKNIYPKYQGSSKFTIKKKKPKQNKIKGK >ONI36266 pep chromosome:Prunus_persica_NCBIv2:G1:47109461:47115891:-1 gene:PRUPE_1G579000 transcript:ONI36266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLKHSLDSISSSHSPHRPSKISKTTDDLSDDEQQQQQQQQPESMSQRPGIQRYLLAIEYIGTRFSGSQQQSNCRTVVGVLEEAFHKFIGQPVSMFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLEPHEPAVVKRAVNHFLQKNEGDVMVIDVRSVPANFHARYKAQERTYFYRLLSGAEPLSTFEKDRAWHVPEELNLRAMQEACKVLVGHHDFSSFRAAGCQVRLLVGVLKAVGTGDLKVPDVERILNAKTVTAASPMAPACGLYLGHVKYDLP >ONI36269 pep chromosome:Prunus_persica_NCBIv2:G1:47109461:47115891:-1 gene:PRUPE_1G579000 transcript:ONI36269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLKHSLDSISSSHSPHRPSKISKTTDDLSDDEQQQQQQQQPESMSQRPGIQRYLLAIEYIGTRFSGSQQQSNCRTVVGVLEEAFHKFIGQPVSMFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLEPHEPAVVKRAVNHFLQKNEGDVMVIDVRSVPANFHARYKAQERTYFYRLLSGAEPLSTFEKDRAWHVPEELNLRAMQEACKVLVGHHDFSSFRAAG >ONI36268 pep chromosome:Prunus_persica_NCBIv2:G1:47110194:47115520:-1 gene:PRUPE_1G579000 transcript:ONI36268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLKHSLDSISSSHSPHRPSKISKTTDDLSDDEQQQQQQQQPESMSQRPGIQRYLLAIEYIGTRFSGSQQQSNCRTVVGVLEEAFHKFIGQPVSMFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLEPHEPAVVKRAVNHFLQKNEGDVMVIDVRSVPANFHARYKAQERTYFYRLLSGAEPLSTFEKDRAWHVPEELNLRAMQEACKVLVGHHDFSSFRAAGCQAKSPIRTLDELNVSEVVSTPCFPSIMERKQNNLGEDPHAGTNKSETDPPPSFNGGADLGFGLRKKHRCFVVTARARAFLYHQVEVRLLVGVLKAVGTGDLKVPDVERILNAKTVTAASPMAPACGLYLGHVKYDLP >ONI36265 pep chromosome:Prunus_persica_NCBIv2:G1:47110194:47115520:-1 gene:PRUPE_1G579000 transcript:ONI36265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLKHSLDSISSSHSPHRPSKISKTTDDLSDDEQQQQQQQQPESMSQRPGIQRYLLAIEYIGTRFSGSQQQSNCRTVVGVLEEAFHKFIGQPVSMFCSSRTDAGVHALSNVCHVDVERISKRKPGEVKNEGDVMVIDVRSVPANFHARYKAQERTYFYRLLSGAEPLSTFEKDRAWHVPEELNLRAMQEACKVLVGHHDFSSFRAAGCQAKSPIRTLDELNVSEVVSTPCFPSIMERKQNNLGEDPHAGTNKSETDPPPSFNGGADLGFGLRKKHRCFVVTARARAFLYHQVEVRLLVGVLKAVGTGDLKVPDVERILNAKTVTAASPMAPACGLYLGHVKYDLP >ONI36263 pep chromosome:Prunus_persica_NCBIv2:G1:47109461:47115891:-1 gene:PRUPE_1G579000 transcript:ONI36263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLKHSLDSISSSHSPHRPSKISKTTDDLSDDEQQQQQQQQPESMSQRPGIQRYLLAIEYIGTRFSGSQQQSNCRTVVGVLEEAFHKFIGQPVSMFCSSRTDAGVHALSNVCHVDVERISKRKPGEVKNEGDVMVIDVRSVPANFHARYKAQERTYFYRLLSGAEPLSTFEKDRAWHVPEELNLRAMQEACKVLVGHHDFSSFRAAGCQVRLLVGVLKAVGTGDLKVPDVERILNAKTVTAASPMAPACGLYLGHVKYDLP >ONI36272 pep chromosome:Prunus_persica_NCBIv2:G1:47111368:47115520:-1 gene:PRUPE_1G579000 transcript:ONI36272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLKHSLDSISSSHSPHRPSKISKTTDDLSDDEQQQQQQQQPESMSQRPGIQRYLLAIEYIGTRFSGSQQQSNCRTVVGVLEEAFHKFIGQPVSMFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLEPHEPAVVKRAVNHFLQKNEGDVMVIDVRSVPANFHARYKAQERTYFYRLLSGAEPLSTFEKDRAWHVPEELNLRAMQEACKVLVGHHDFSSFRAAGCQVVPNLLIVGKCMLAALLLFLLVMLFYELTCFYISKRILAAFLLTLYHSILNLACLAYSVVLLPVCWTFSKYAFF >ONI36271 pep chromosome:Prunus_persica_NCBIv2:G1:47111297:47115520:-1 gene:PRUPE_1G579000 transcript:ONI36271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLKHSLDSISSSHSPHRPSKISKTTDDLSDDEQQQQQQQQPESMSQRPGIQRYLLAIEYIGTRFSGSQQQSNCRTVVGVLEEAFHKFIGQPVSMFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLEPHEPAVVKRAVNHFLQKNEGDVMVIDVRSVPANFHARYKAQERTYFYRLLSGAEPLSTFEKDRAWHVPEELNLRAMQEACKVLVGHHDFSSFRAAGCQVVPNLLIVGQITNQNIR >ONI36270 pep chromosome:Prunus_persica_NCBIv2:G1:47111297:47115520:-1 gene:PRUPE_1G579000 transcript:ONI36270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLKHSLDSISSSHSPHRPSKISKTTDDLSDDEQQQQQQQQPESMSQRPGIQRYLLAIEYIGTRFSGSQQQSNCRTVVGVLEEAFHKFIGQPVSMFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLEPHEPAVVKRAVNHFLQKNEGDVMVIDVRSVPANFHARYKAQERTYFYRLLSGAEPLSTFEKDRAWHVPEELNLRAMQEACKVLVGHHDFSSFRAAGQITNQNIR >ONI36267 pep chromosome:Prunus_persica_NCBIv2:G1:47110194:47115520:-1 gene:PRUPE_1G579000 transcript:ONI36267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLKHSLDSISSSHSPHRPSKISKTTDDLSDDEQQQQQQQQPESMSQRPGIQRYLLAIEYIGTRFSGSQQQSNCRTVVGVLEEAFHKFIGQPVSMFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLEPHEPAVVKRAVNHFLQKNEGDVMVIDVRSVPANFHARYKAQERTYFYRLLSGAEPLSTFEKDRAWHVPEELNLRAMQEACKVLVGHHDFSSFRAAGCQAKSPIRTLDELNVSEVVSTPCFPSIMERKQNNLGEDPHAGTNKSETDPPPSFNGGADLGFGLRKKHRCFVVTARARAFLYHQVRLLVGVLKAVGTGDLKVPDVERILNAKTVTAASPMAPACGLYLGHVKYDLP >ONI36264 pep chromosome:Prunus_persica_NCBIv2:G1:47109461:47115892:-1 gene:PRUPE_1G579000 transcript:ONI36264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENLKHSLDSISSSHSPHRPSKISKTTDDLSDDEQQQQQQQQPESMSQRPGIQRYLLAIEYIGTRFSGSQQQSNCRTVVGVLEEAFHKFIGQPVSMFCSSRTDAGVHALSNVCHVDVERISKRKPGEVKNEGDVMVIDVRSVPANFHARYKAQERTYFYRLLSGAEPLSTFEKDRAWHVPEELNLRAMQEACKVLVGHHDFSSFRAAGCQAKSPIRTLDELNVSEVVSTPCFPSIMERKQNNLGEDPHAGTNKSETDPPPSFNGGADLGFGLRKKHRCFVVTARARAFLYHQVRLLVGVLKAVGTGDLKVPDVERILNAKTVTAASPMAPACGLYLGHVKYDLP >ONI34514 pep chromosome:Prunus_persica_NCBIv2:G1:40437223:40442978:1 gene:PRUPE_1G485600 transcript:ONI34514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVASPSEYLVITGVGIEDIRLAKKGWILPGQSYIIFDMSPVNYTFEVQAMSSEKLPFMLPAVFTIGPRIDDMPSLHKYAKLISRHDKLSTHVKELVQGIIEGETRVLAASMTMEEVFKGTKEFKQEVFGKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEARKKGEIGSKEREGLTLQNASKIDAETKIYATRRVGEGRMAEIKVEAEVKVYENVREAEVAEANAELAKKKEEIKVKSEVKVYENMRDAEVAEANAELAKKKAVWTKEAKVAEVEAAKAVALRDAELQQEVEKMHALSKTEKLKADLLSEATVEYETKKLEADGALFQKQKAAEAELFTKKLEAEARLYAKKLEAEAQKAIAETQLYAKKQEAEAQKAIAEAQLYAKKLEAEGLMALGQAEGAYLRSLLEAVGGNYNATRDFMMLKNGTFQEIAKINAEAVRGLKPNISVWNNGSGDGGANGAFKEVAGVYKMLPPLLKTVHEQTGMLPPSWMGTLSNSPSTNSTLDST >ONI34515 pep chromosome:Prunus_persica_NCBIv2:G1:40440576:40443068:1 gene:PRUPE_1G485600 transcript:ONI34515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYRVAKASEYLVITGAGIDDIKLAKKAWILPGQSHTVFDLSPVNYTFEVQAMSSEKLPFVLPAVFTIGPREDDMPSLHKYAKLLCSHDMASNHVQELVQGIIEGETRVLAASMTMEDVFKGTKEFKQEVFDKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKIQMEAANKARVDVAEAKKKGEIGSKEREGMTLQNAAKINAETKIYATKREGEGKKEEIKVKSEVKVYENMRDAEVAEANAELAKKKAVWTKEAKVAEVEAAKAVALRDAELQQEVEKMHALSKTEKLKADLLSEATVEYETKKLEADGALFQKQKAAEAELFTKKLEAEARLYAKKLEAEAQKAIAETQLYAKKQEAEAQKAIAEAQLYAKKLEAEGLMALGQAEGAYLRSLLEAVGGNYNATRDFMMLKNGTFQEIAKINAEAVRGLKPNISVWNNGSGDGGANGAFKEVAGVYKMLPPLLKTVHEQTGMLPPSWMGTLSNSPSTNSTLDST >ONI35105 pep chromosome:Prunus_persica_NCBIv2:G1:42454988:42458894:-1 gene:PRUPE_1G516100 transcript:ONI35105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIRDTYSLLVLNITFRTTADDLFPLFDKYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEASKAVEKLDGRVVDGREIMVQFAKYGPNAERIHKGRVSESSSKLKSRSRSRSPRPRYRDEYKDKDYKRSRSRSRERHGRDRHHRSEREHRYRSRSRSASPDNHKSRERGRYDDERRSRSRSYGSASPPRNSPKSRRSPSPRRSLSPRRSLSPRRSLSPRRSPSPRKSPSSRRSPSPRRTPSRGENADGRNRNERSPTPKDVSPHGRRDDSPSPSPRKSDADE >ONI31097 pep chromosome:Prunus_persica_NCBIv2:G1:29000075:29001083:1 gene:PRUPE_1G292300 transcript:ONI31097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFFSFLCIARDVLLLWGISSNTLRGSTLAILMEDFRGCRGQLTTLASLWIRPCTAGNSLEIGEKLGEVKEVEDP >ONI31055 pep chromosome:Prunus_persica_NCBIv2:G1:28844436:28848405:1 gene:PRUPE_1G289200 transcript:ONI31055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGGHKDRELRVHESLDELSTDLADYIAELSEASVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHDDSNYKLAKDRLLSKVPIIPSHVHSINDTVSAEEAADDYEFVIRQLVKSRVISVSDISDCPKFDLILLGMGPDGHVASLFPNHSALEEKDEWVTFITDSPKPPPERITFTLPVINSASNVAIVATGDSKAEAVHLAVDDVGSDSPSVPAGVAQPLKGKLVWFLDKAAASKLEGSQFSA >ONI31054 pep chromosome:Prunus_persica_NCBIv2:G1:28844968:28847925:1 gene:PRUPE_1G289200 transcript:ONI31054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIFNPLSAVKLVGSMSLSGGHKDRELRVHESLDELSTDLADYIAELSEASVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHDDSNYKLAKDRLLSKVPIIPSHVHSINDTVSAEEAADDYEFVIRQLVKSRVISVSDISDCPKFDLILLGMGPDGHVASLFPNHSALEEKDEWVTFITDSPKPPPERITFTLPVINSASNVAIVATGDSKAEAVHLAVDDVGSDSPSVPAGVAQPLKGKLVWFLDKAAASKLEGSQFSA >ONI32558 pep chromosome:Prunus_persica_NCBIv2:G1:33942766:33946319:1 gene:PRUPE_1G373200 transcript:ONI32558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFTIPCKSSPPTPILPSKLGNPSEFSLRHAKPIISFSRKTLPKFTDTHLNYLCKNGQFSEAITVLDSIAQIGSKVPPTTYMNLLQSCIDTNSIQLGRKLHEHIDLVEEINPFVETKLVSMYAKCGFLDDARKVFHAMRERNLYTWSAMIGACLRDQRWKEVVELFFSMMKDGVLPDYFLFPKILQACGNCSNIEATKLIHSIAVRCNLTSCIHVNNSILAVYAKCGILEWARRFFDNMDERDGVSWNAIISGYCHKGESEEARRLFDAMSKEGIEPGLVTWNTLIASHNQLRHCDVAMELMRRMESCGITPDVYTWTSMISGFAQNNRKHQSLDFFKKMLLAGVQPNGITITSAISACTSLKSLNQGLEIYSLAIKMGFIDDVLVGNSLIDMFSKCGEVEAAQKIFSMIPDKDVYTWNSMIGGYCQAKYCGKAYELFTKMQESDVHPNAVTWNVMITGYMQNGDADQAMDLFQRMEKDGKIKRNTASWNSLVSGYLQLGEKNKAFGVFRQMQAYCVNPNSVTILSVLPSCANLVAMKKVKEIHGSVLRRNLESEIPVANALIDTYAKSGNIAYSRIIFDTMSSKDTITWNSAISGYVLHGRSDVALDLFDQMKKSGFEPNRGTFANIIHAYSLAGKVDEGTQAFHSITEDYQIIPGLEHYSAMVDLYGRSGRLQEAMEFIEGMPIEPDSSVWGALFTACRIYGNLALAVRAGEHLLVSEPGNVLIQQLMLQAYALCGKSEDISKLRKFGKDYPKKKFLGQCWIEVKNSLHTFISGDRLKLCSIFLNLWLQNIEEKAKTPDLCNELCVEEEEEEIGWIHSEKLAFAFALSGSPSVPQSIRIMKNLRMCGDCHRIAKYISVAFGCDIYLSDVKSFHHFSNGRCSCGDYW >ONI32559 pep chromosome:Prunus_persica_NCBIv2:G1:33942727:33946319:1 gene:PRUPE_1G373200 transcript:ONI32559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFTIPCKSSPPTPILPSKLGNPSEFSLRHAKPIISFSRKTLPKFTDTHLNYLCKNGQFSEAITVLDSIAQIGSKVPPTTYMNLLQSCIDTNSIQLGRKLHEHIDLVEEINPFVETKLVSMYAKCGFLDDARKVFHAMRERNLYTWSAMIGACLRDQRWKEVVELFFSMMKDGVLPDYFLFPKILQACGNCSNIEATKLIHSIAVRCNLTSCIHVNNSILAVYAKCGILEWARRFFDNMDERDGVSWNAIISGYCHKGESEEARRLFDAMSKEGIEPGLVTWNTLIASHNQLRHCDVAMELMRRMESCGITPDVYTWTSMISGFAQNNRKHQSLDFFKKMLLAGVQPNGITITSAISACTSLKSLNQGLEIYSLAIKMGFIDDVLVGNSLIDMFSKCGEVEAAQKIFSMIPDKDVYTWNSMIGGYCQAKYCGKAYELFTKMQESDVHPNAVTWNVMITGYMQNGDADQAMDLFQRMEKDGKIKRNTASWNSLVSGYLQLGEKNKAFGVFRQMQAYCVNPNSVTILSVLPSCANLVAMKKVKEIHGSVLRRNLESEIPVANALIDTYAKSGNIAYSRIIFDTMSSKDTITWNSAISGYVLHGRSDVALDLFDQMKKSGFEPNRGTFANIIHAYSLAGKVDEGTQAFHSITEDYQIIPGLEHYSAMVDLYGRSGRLQEAMEFIEVRAGEHLLVSEPGNVLIQQLMLQAYALCGKSEDISKLRKFGKDYPKKKFLGQCWIEVKNSLHTFISGDRLKLCSIFLNLWLQNIEEKAKTPDLCNELCVEEEEEEIGWIHSEKLAFAFALSGSPSVPQSIRIMKNLRMCGDCHRIAKYISVAFGCDIYLSDVKSFHHFSNGRCSCGDYW >ONI27942 pep chromosome:Prunus_persica_NCBIv2:G1:8978865:8982467:1 gene:PRUPE_1G112600 transcript:ONI27942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMYTGINPWTQISEECPKSVRVSKYSTLRHSDLVECRMQHRSHHLPLPPSSRYLHFIILFSLLPRVSNFPMSQTLFNKGDQVEVTRPGHGSTGPYYPAMVLRPVFKDKAHMLVQHQTLTNPNADGSKTLIEIVELRNARLVPPRELYQFFKVGDDVDAYRDKGWSRGTVRDILENSKYLVAFQGQEFQCQQFNLRLHREWEDDSWVPHFQEEKTSPVKSRKLVLKLKCSNRTSGASFENGTVVEVSSDEEGYEGAWYTAKIIDHIGSDKFLVEYEHLVTDDGTELLREETCASYIRPCPPRLPPVAQFKVLQQVDTLYNDGWWKGTISKVLSGSKYVVYFSSTNEELEFKHSNLRPHQDWINGRWIHGYMVNIYGYDHKEFADKRK >ONI27943 pep chromosome:Prunus_persica_NCBIv2:G1:8978865:8982467:1 gene:PRUPE_1G112600 transcript:ONI27943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMYTGINPWTQISEECPKSVRVSKYSTLRHSDLVECRMQHRSHHLPLPPSSRYLHFIILFSLLPRVSNFPMSQTLFNKGDQVEVTRPGHGSTGPYYPAMVLRPVFKDKAHMLVQHQTLTNPNADGSKTLIEIVELRNARLVPPRELYQFFKVGDDVDAYRDKGWSRGTVRDILENSKYLVAFQGQEFQCQQFNLRLHREWEDDSWVPHFQEEKTSPVKSRKLVLKLKCSNRTSGASFENGTVVEVSSDEEGYEGAWYTAKIIDHIGSDKFLVEYEHLVTDDGTELLREETCASYIRPCPPRLPPVAQFKVLQQVDTLYNDGWWKGTISKVLSGSKYVVYFSSTNEELEFKHSNLRPHQDWINGRWIHGYMVNIYGYDHKEFADKRK >ONI33420 pep chromosome:Prunus_persica_NCBIv2:G1:36489198:36492916:1 gene:PRUPE_1G422900 transcript:ONI33420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWREIGDREAGKLRPNSFSNRIKSNRIQTLQLSNRKDIVSPHRGSVNSLQVDLTEGRYLLSGASDASAAVFDIQHGTDYEGGGVIRKHKCLFMVDKQHEQGHKYAVSSAIWYPVDTGLFVTGSYDHHINVWDTNTTQVVMDFKMPGKVYRTAMSPLATSHMLIAAGTEDVQVRLCDIASGAFAHTLSGHRDGVMTVEWSTSSEWVLVTGGCDGAIRFWDIRRAGCFLVLDQSQSQLGRRPPILKRSATIKGSTAKSLSASQSSFAKARTPQTKLMNAKQSPVGKIPVKGSLKQRLHPGMLSSQDRATAHYGAVTGLKVTEDGMYLLSAGSDSRLRLWDVESGCNTLVNFETVRLQTSKPIQLATSQNLALVFVPCMTAVKAFNMWSGKTSLTFRGHYEHVNCCWFSLQDQELYTGGNDRQILVWSPSRSVSDEVDEGPKDEDNWSD >ONI35562 pep chromosome:Prunus_persica_NCBIv2:G1:44354830:44363754:-1 gene:PRUPE_1G542900 transcript:ONI35562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRHLGWLVGLNYKSPSSKRLPDAKPPPAEVKPVAMLDSVQEIAIYIHRFHNLDLFQQGWYQIKITMRWEDSEYTSVGTPARVVQYEAPDLGSDDVYGVWRIDDTDNSFSTQPFRIKYARQDIFLSIMISFNLSLSRYEGLSSSAVILKFELLHAPILGNRSDLQASLDASPAAVHEFRIPPKALLGLHSYCPVHFDVFHAVLVDVTVHISLLKAVSYTLPSKVPSDSSIAEDVGGEGLSGSNQASAQVAAAGVNDIMLVKSLLSARDILLEELQKLSKAIDQAIDLTDFISKMDDTKFDSILQENLVAADAKVSGQGKPQNGLEKVNGTSEFGSGELLRPLSRGALLNSFHSLGDQVLYLWNTFLNFHRFNKTKVLEYLRDTWAKDRKAEWSIWMVYSKVEMPHHFINGGGDESSHSAGHRRVSTMWKLTDDPAQTAATRAELHRRSIAQMKINNRSIQDMHIFGDPSSIPIVIVERVLNAPRRTTSENSYLRNLDVINSPGLLSGSGSESVNKRSSYSSPKKGRVLKIVVFVHGFQGHHLDLRLIRNQWLLIDPKVEFLMSEANEDKTSGDFREMGQRLAQEVVSFLKKKMDKVSRSGSIADIKLSFVGHSIGNVIIRTALTDSIMEPFLRYLHIYLSISGPHLGYLYSSNSLFNSGLWLLKKLKNTQCIHQLTFTDDPDLQNTFFYQLCKKKTLENFKHIILLSSPQDGYVPYHSARIDMCQAASWDLSKKGKVFLEMLNDCLDQIRAPQSENRVFIRCDINFDTSSYGKNLNTFIGRAAHIEFLESDTFARFIMWSFPDLFR >ONI36392 pep chromosome:Prunus_persica_NCBIv2:G1:47363261:47370507:1 gene:PRUPE_1G583300 transcript:ONI36392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKPKPIAIICCINHPPEPFLSLLLLIKPFSTSSTTAAASPSLPPVPEQPVDLSSQLFAILSRPNWQRHPSLKKLIPSISASHVSSLFALNLDPQTALGFFNWIALKPGYRHTVHCHSSLLNILIPNGFFRVAEKIRISMIKASTSAQDALFVLEFLRGMNRALEFEFKLTVRCYNLLLMSLSRFSLFEDLKTLYLEMLDDMVSPNLHTFNTMINASCKLGNVAEADLYFSKIGQAGLRPDTFTYTSLILGHCRNKDVDTSYRVFKLMPHKGCQRNEVSYTNLIHGFCEVGRIDEAFKLFSQMGEDNCFPTVRTFTVLICALCKLGRKLEAMNLFKEMTDKGCEPNIHTYTVLIDSMCKENKLDEARNLLNKMLEKGLVPNVVTYNAMIDGYCKEGTVEAALDILALMESSNCCPNARTFNELISGFCKRKNVYQAMTLLNKMLDRKLLPSLVTYNSLIHGQCKIGHLDSAYRLVNLMKDSGLVPDQWTYSVLIDTLCKRGRLEEAHALFDSLKEKGIKSNEVIFTALIDGYCKVGKVSDAHSLFDRMLAEDCSPNSYTYNTLIDVLCKERKLKEGLLLVEKMLSIGVKPTVPTYTILIKQMLKEGDFDHAHRLFDQMVCSGNQPDLFTYTTFIHAYCGIGNVEEAEKLMIKMNEEGIIADSLTYTLLIDAYGRMGLIELAFDVLKRMSNACCDPSHYTYAFLIKHLSNEKLMKTNNNIVGLDLVPNVSSIDITGVWKTMDFEIALELFEKMVGHGCAPSTNTYDKLIVGLCKEGRLDVAQRLYSHMRERGISPSEDIYNSLLTCCCKLQVYGEASILVDAMIEDGYLPTLESSMLLVCGLLDQEKTEKAKAVFRTLLRCGYNYDEVAWKVLLDGLLKRGLVNICSELVSIMEKMGCQLHPQTYSMLIEGIDGP >ONI35061 pep chromosome:Prunus_persica_NCBIv2:G1:42208818:42213847:-1 gene:PRUPE_1G512800 transcript:ONI35061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGIKKSPSNGSEKILSFEEQQAKINEVKRLIGTLPDKLSIYCSDGSISRHLRARNWNVKKATKMLKDTLKWRAEYKPEEIRWEEVAREAETGKIYRSNYFDKHGRPVLVMRPSCQNSKSTKGQIRYLVYCMENAILNLPPDQEQMVWMIDFQGFNLSHISVKLTRETAHVLQDHYPERLGLAILYNPPKFFEPFFTMVKPFLEPKTYNKVKFVYSDDVNAKKIMEDLFDIDKLEAAFGGNDTSGFDINKYAERMKEDDKKMPAIWTRGNPALAASEPAPTSAALTLDSIKLDSDSDASDNEKTDSSSSHGIEPEVVSDHDELMAAGSRNATEDVH >ONI28164 pep chromosome:Prunus_persica_NCBIv2:G1:10010102:10011080:1 gene:PRUPE_1G127800 transcript:ONI28164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYSDESTSVIPPPRLFKALVLEADTLIPKIAPQSVKSAEIVEGDGGVGTIKKISFGEGSHYSYVKHRIDGLDKDNFVYNYTLVEGDALSDKVEKITYEIKLVASADGGSIIKSTSNYHTKGDVEIKEEDVKAGKEKATGLFKLIENYLVANPDAYN >ONI32519 pep chromosome:Prunus_persica_NCBIv2:G1:33820473:33826201:1 gene:PRUPE_1G370800 transcript:ONI32519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYRSVKLKPSFWSANLNLVRFRCSASLKVKPSANMEAPILTPTHKYAAGALFALALHQSQIHQARPSTPPLPSLEKETISEGASIGKTVSVSDNSDLWIHENSGLIYPVFRFLGVDEQSWKGIKETAGSSSQVRHHVGALMTLLSEGTTDGPSSEKTDKECALTKTVDAMALSEEESSVHSAENPVDSEYEAKCRERYEVPETKPVSEVSAKPYEIIKRTSSQSSTEEKVPDVSESLEEPVEEGNLISYERKVTVLFALLSACVADNTEDGNKCSEVRKGYDARHRVALRLLAAWLGVEWLKMRLAVGILVSGLVFEDEDFIRPWEGQNENLERYALWWESKNLIALSTAIQDWLTSRIALELMKEGAMMTVLNTLLAAFAMPATLVTASDLIDSKWAVAVDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVVFKCLQCLAETEGDNAGLVERVVLLGAPISIEEENWTDARKMVAGRFVNAYSISDWTLGIAFRASLLSQGLGGIQPVDVPGIENVDVTQLVEGHSSYLWKTKQILEQLELDSYYPVFRTTLKPQEDNSCVN >ONI32518 pep chromosome:Prunus_persica_NCBIv2:G1:33820473:33826201:1 gene:PRUPE_1G370800 transcript:ONI32518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYRSVKLKPSFWSANLNLVRFRCSASLKVKPSANMEAPILTPTHKYAAGALFALALHQSQIHQARPSTPPLPSLEKETISEGASIGKTVSVSDNSDLWIHENSGLIYPVFRFLGVDEQSWKGIKETAGSSSQVRHHVGALMTLLSEGTTDGPSSEKTDKECALTKTVDAMALSEEESSVHSAENPVDSEYEAKCRERYEVPETKPVSEVSAKPYEIIKRTSSQSSTEEKVPDVSESLEEPVEEGNLISYERKVTVLFALLSACVADNTEDGNKCSEVRKGYDARHRVALRLLAAWLGVEWLKMEVMEVMVACSYMASIKEVTNEDKTKTSEISWEEMKRGGMIGAAALTGGTLMAITGGLAAPAIAQGLGALAPALGGIIPAIGASGFAAAATATGSVTGSLAVAASFGGIALELMKEGAMMTVLNTLLAAFAMPATLVTASDLIDSKWAVAVDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVVFKCLQCLAETEGDNAGLVERVVLLGAPISIEEENWTDARKMVAGRFVNAYSISDWTLGIAFRASLLSQGLGGIQPVDVPGIENVDVTQLVEGHSSYLWKTKQILEQLELDSYYPVFRTTLKPQEDNSCVN >ONI32517 pep chromosome:Prunus_persica_NCBIv2:G1:33820473:33826201:1 gene:PRUPE_1G370800 transcript:ONI32517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYRSVKLKPSFWSANLNLVRFRCSASLKVKPSANMEAPILTPTHKYAAGALFALALHQSQIHQARPSTPPLPSLEKETISEGASIGKTVSVSDNSDLWIHENSGLIYPVFRFLGVDEQSWKGIKETAGSSSQVRHHVGALMTLLSEGTTDGPSSEKTDKECALTKTVDAMALSEEESSVHSAENPVDSEYEAKCRERYEVPETKPVSEVSAKPYEIIKRTSSQSSTEEKVPDVSESLEEPVEEGNLISYERKVTVLFALLSACVADNTEDGNKCSEVRKGYDARHRVALRLLAAWLGVEWLKMEVMEVMVACSYMASIKEVTNEDKTKTSEISWEEMKRGGMIGAAALTGGTLMAITGGLAAPAIAQGLGALAPALGGIIPAIGASGFAAAATATGSVTGSLAVAASFGAAGAGLTGNKMARRTGSIEEFEFRTIGNYDQGRLAVGILVSGLVFEDEDFIRPWEGQNENLERYALWWESKNLIALSTAIQDWLTSRIALELMKEGAMMTVLNTLLAAFAMPATLVTASDLIDSKWAVAVDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVVFKCLQCLAETEGDNAGLVERVVLLGAPISIEEENWTDARKMVAGRFVNAYSISDWTLGIAFRASLLSQGLGGIQPVDVPGIENVDVTQLVEGHSSYLWKTKQILEQLELDSYYPVFRTTLKPQEDNSCVN >ONI27446 pep chromosome:Prunus_persica_NCBIv2:G1:6485797:6486759:-1 gene:PRUPE_1G087000 transcript:ONI27446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATRAERGRFIISSFVFICFLCALASINEVRYESLLKFGRCALANMNMPSKLNASASNTLLAQSSSSDDEIRILFGILTLPDQYQRRHFLRLIYGTQTPVGAKVDVKFVFCNLTKEDQKVLVALEIMRYDDIIILDCQENMNKGKTYTYFSSLPEMFNDTEGPNPPYHYVMKTDDDAYFRLQSLVDSLKPLPREDLYYGYVIPCPSMDPFVHYMSGMGYLISWDIVEWIRESDIPKNHLEGPEDKIFGYWMRDGHRAKNRFNAKWSMYNFPEPPTRCTHELWPDTIAVHLLKNQEKWIRTLKYFNVTDNLKPSKLYHIP >ONI33676 pep chromosome:Prunus_persica_NCBIv2:G1:37413703:37415736:1 gene:PRUPE_1G440300 transcript:ONI33676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCATMSLMVKSVSASMAYAVFSPLHSLKPSTISTRNGRRALPLCCSGSSTPAPMSTPPPPISTASTGTAPPVVRRRMRYRKQYPGESKGITEEMRFVAMRLRNINGKKLNDNDTQSEEDDDDDGDNDDNAPEENNSSESDVDGDGGEAETWRPSMEGFLKYLVDSKLVFDTVERIVDDSNDVAYAYFRKTGLERSEGLSEDLEWFRQQGMVIPEPSGPGVSYAKYLEELADNSAPLFLCHFYNIYFSHIAGGQVIARQVSEKLLEGRELGFYTWEGDVQELLKGVREKLNKLGVHWTRDDKNKCLRETSKSFRYLGQIVRLIILELK >ONI30156 pep chromosome:Prunus_persica_NCBIv2:G1:24833390:24835864:-1 gene:PRUPE_1G234200 transcript:ONI30156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILGKLPRKPSKSAENREFGGSSASSLSSRSSDVVSNRPINSNSVPLLGLDSASNLGYSHGSNLPQLVNSNLNGSSATASYQALPAFRDVPSSEKQNLFMKKLNLCCVLFDFTDPTKHLREKDIKRQTLLELVDYVTSANGKFTETVVQEVIKVVTVNLFRSFSPQPRENKVLEAFDLEEEEPLMDPAWSHLQIVYEFFLRFVASPETDAKLAKRYIDQSFVLKVLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVQVLIPLHKPKCLQMYHQQLSYCISQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLSELEEILEATQPAEFQRCMVPLFRQLSRCLSSSHFQVAERALYLWNNDHIENLIRQNRKVIVPIIFPALEKNGRYHWNQVARSLTLNVRKIYSDVDPELFEECLLKFQEDEANMEEIKTKHETTWKRLEESAASNAVSSGAVVRRLNPIETSSN >ONI30158 pep chromosome:Prunus_persica_NCBIv2:G1:24831348:24837022:-1 gene:PRUPE_1G234200 transcript:ONI30158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILGKLPRKPSKSAENREFGGSSASSLSSRSSDVVSNRPINSNSVPLLGLDSASNLGYSHGSNLPQLVNSNLNGSSATASYQALPAFRDVPSSEKQNLFMKKLNLCCVLFDFTDPTKHLREKDIKRQTLLELVDYVTSANGKFTETVVQEVIKVVTVNLFRSFSPQPRENKVLEAFDLEEEEPLMDPAWSHLQIVYEFFLRFVASPETDAKLAKRYIDQSFVLKVLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVQVLIPLHKPKCLQMYHQQLSYCISQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLSELEEILEATQPAEFQRCMVPLFRQLSRCLSSSHFQVAERALYLWNNDHIENLIRQNRKVIVPIIFPALEKNGRYHWNQVARSLTLNVRKIYSDVDPELFEECLLKFQEDEANMEEIKTKHETTWKRLEESAASNAVSSGAVVRRLNPIETSSN >ONI30159 pep chromosome:Prunus_persica_NCBIv2:G1:24830798:24837045:-1 gene:PRUPE_1G234200 transcript:ONI30159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILGKLPRKPSKSAENREFGGSSASSLSSRSSDVVSNRPINSNSVPLLGLDSASNLGYSHGSNLPQLVNSNLNGSSATASYQALPAFRDVPSSEKQNLFMKKLNLCCVLFDFTDPTKHLREKDIKRQTLLELVDYVTSANGKFTETVVQEVIKVVTVNLFRSFSPQPRENKVLEAFDLEEEEPLMDPAWSHLQIVYEFFLRFVASPETDAKLAKRYIDQSFVLKVLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVQVLIPLHKPKCLQMYHQQLSYCISQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLSELEEILEATQPAEFQRCMVPLFRQLSRCLSSSHFQVAERALYLWNNDHIENLIRQNRKVIVPIIFPALEKNGRYHWNQVARSLTLNVRKIYSDVDPELFEECLLKFQEDEANMEEIKTKHETTWKRLEESAASNAVSSGAVVRRLNPIETSSN >ONI30157 pep chromosome:Prunus_persica_NCBIv2:G1:24831348:24837022:-1 gene:PRUPE_1G234200 transcript:ONI30157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILGKLPRKPSKSAENREFGGSSASSLSSRSSDVVSNRPINSNSVPLLGLDSASNLGYSHGSNLPQLVNSNLNGSSATASYQALPAFRDVPSSEKQNLFMKKLNLCCVLFDFTDPTKHLREKDIKRQTLLELVDYVTSANGKFTETVVQEVIKVVTVNLFRSFSPQPRENKVLEAFDLEEEEPLMDPAWSHLQIVYEFFLRFVASPETDAKLAKRYIDQSFVLKVLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVQVLIPLHKPKCLQMYHQQLSYCISQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLSELEEILEATQPAEFQRCMVPLFRQLSRCLSSSHFQVAERALYLWNNDHIENLIRQNRKVIVPIIFPALEKNGRYHWNQVARSLTLNVRKIYSDVDPELFEECLLKFQEDEANMEEIKTKHETTWKRLEESAASNAVSSGAVVRRLNPIETSSN >ONI28508 pep chromosome:Prunus_persica_NCBIv2:G1:11370828:11374044:1 gene:PRUPE_1G144800 transcript:ONI28508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSILTIGAMLGAITSGRIADFLGRKGAMRMSASFCITGWLAIFFSKGALSLDIGRFFTGYGIGVFSYVVPIFIAEIAPKNLRGALTTLNQLMIVTGASVAFVIGTIISWTTLALTGIVPCIFLLVGLWFVPESPRWLAKIGHEKEFQIALQRLRGKHADISDEMAEIQEYIFTLQSLPKAKLLDLFKSEHIRSVIIGVGLMVFQQFGGINGIGFYASQTFEEAGISSKTGTIAYACVQVPITMVGATLIDKSGRRPLIMVSATGTFLGCFLAGTSFFLKGYGLLLDWVPIIAVSGVLTYIASFSIGMGAVPWVIMSEIFPIHVKGAAGSLVVLVNWLGAWAISYTYNFLMSWSSSGTYYIYSGFSLLTILFVAKLVPETKGKTLEEIQSCINSGRSRRQDIIS >ONI28507 pep chromosome:Prunus_persica_NCBIv2:G1:11370367:11374044:1 gene:PRUPE_1G144800 transcript:ONI28507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGQFRDVENGENNTLEDLEQPLFIKQEKIVSYEEEYGSDEKSTEKSGSIWMVLLSTCVAVCGSFEFGSCVGYSAPTQSAIREDLNLSLAQFSMFGSILTIGAMLGAITSGRIADFLGRKGAMRMSASFCITGWLAIFFSKGALSLDIGRFFTGYGIGVFSYVVPIFIAEIAPKNLRGALTTLNQLMIVTGASVAFVIGTIISWTTLALTGIVPCIFLLVGLWFVPESPRWLAKIGHEKEFQIALQRLRGKHADISDEMAEIQEYIFTLQSLPKAKLLDLFKSEHIRSVIIGVGLMVFQQFGGINGIGFYASQTFEEAGISSKTGTIAYACVQVPITMVGATLIDKSGRRPLIMVSATGTFLGCFLAGTSFFLKGYGLLLDWVPIIAVSGVLTYIASFSIGMGAVPWVIMSEIFPIHVKGAAGSLVVLVNWLGAWAISYTYNFLMSWSSSGTYYIYSGFSLLTILFVAKLVPETKGKTLEEIQSCINSGRSRRQDIIS >ONI28530 pep chromosome:Prunus_persica_NCBIv2:G1:11489016:11489591:1 gene:PRUPE_1G146100 transcript:ONI28530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTTSKKTVNDRALTGAGNLVKVLPTGTVFLFQFLNPILTNNGQCAVLNKSLSAILIAISGLSCFFASFTDSYPGSDGKTHYGFATSTGIWPSKNSDSVDLPAYKLRPGDFAHAFLSLIVFAVVSLLDTNSVRCFYPGFESSEKVLLQALPLVIGAVANAVFVVFPCTRHGIGYPSSSSSDSSQDSEKS >ONI27130 pep chromosome:Prunus_persica_NCBIv2:G1:4940637:4944248:1 gene:PRUPE_1G069500 transcript:ONI27130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPNGLAHLSLDDGDEAAREHLLTVDIGEADGDVKFRIRNLTKKSETTGCAILNGLSLDIPKGVIVGVIGPSGSGKSTLLRALNRLWEPPSGTVFLDGHDLRDLDVLSLRRKVGMLFQLPALFEGTVADNIRYGPQLRGKKLSDQNVHKLLTLVDLDSSFFSKTGSEMSVGQAQRVALARTLANSPEVLLLDEPTSALDPISTEHIEGALEKLKKKQGMTIIMVSHSIKQIQRIADIVCLLVDGEIVEVLKPDQLSQAQHPMALRFLELSS >ONI27268 pep chromosome:Prunus_persica_NCBIv2:G1:5568204:5569826:-1 gene:PRUPE_1G077000 transcript:ONI27268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRSLGLSPNSLIQLKIPPKFRARNCRTNFSAVSARLDNSKSSSAEPQLNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLILNHFAGSISPASTTPAQLRTEALGLSLAAFSIALPYLGRFLKGATPMDQTSIPRGCEQIFVISQNVSNTQKEDLAWATYILLRNTNTIAVIISIRNELCVRGYWNIPDDVSKTNVLAWFEKQIESIGLSDVKETLYLSQIEDSGLWEMLPQGTRSLLVQPIVQVLPSSDNEIQKSEGFVMLASSMRYAYSDKDKAWIGAIANKFKGKR >ONI27267 pep chromosome:Prunus_persica_NCBIv2:G1:5567965:5569934:-1 gene:PRUPE_1G077000 transcript:ONI27267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRSLGLSPNSLIQLKIPPKFRARNCRTNFSAVSARLDNSKSSSAEPQLNLSVLRFTLGIPGLDESYLPRWIGYGFGSLLILNHFAGSISPASTTPAQLGATPMDQTSIPRGCEQIFVISQNVSNTQKEDLAWATYILLRNTNTIAVIISIRNELCVRGYWNIPDDVSKTNVLAWFEKQIESIGLSDVKETLYLSQIEDSGLWEMLPQGTRSLLVQPIVQVLPSSDNEIQKSEGFVMLASSMRYAYSDKDKAWIGAIANKFKGKR >ONI35206 pep chromosome:Prunus_persica_NCBIv2:G1:42802209:42806961:1 gene:PRUPE_1G522400 transcript:ONI35206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGTTLQTLKLATIHTPSSSSLRSVFKPLSQSFLYRHSRRRPALQLFFVRGLSAPAVQATATATPKTTSPDENGMKQQWKAAIDFKWIRDNKDAVAANIKKRNSNANLEHVLELYHKMLNLQKEVEQLRGERNVVANKMKGKLESSERQRLIEEGKNLKEGLLSLEEDLLKLTDELQQEAQCIPNMTHPDVPIGGEDFSTIRKMVGTPHEFSFPVKDHLQLGKELDLFDFDAASEVSGSKFYYLKNEAVMLEMGLINWTLLEVMKRGFTPLTTPEIVRSSIVEKCGFQPRGANTQVYSIEDSDQCLIGTAEIPVGGIHMDSIIAASLLPLKYVAVSHCFRTEAGAAGTASRGLYRVHQFSKVEMFILCRPEESDFYHEELIRIEEDLFSSLGLHYKTLDMASGDLGAPAYRKFDIEAWMPGLARFGEISSASNCTDYQSRRLGIRFRSSEPALTNPKKGKSNLAPPQFVHTLNATACAVPRMIVCILENNQQEDGSVIIPEPLRPFMGGLEFIHPKPR >ONI35207 pep chromosome:Prunus_persica_NCBIv2:G1:42802209:42806961:1 gene:PRUPE_1G522400 transcript:ONI35207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKLESSERQRLIEEGKNLKEGLLSLEEDLLKLTDELQQEAQCIPNMTHPDVPIGGEDFSTIRKMVGTPHEFSFPVKDHLQLGKELDLFDFDAASEVSGSKFYYLKNEAVMLEMGLINWTLLEVMKRGFTPLTTPEIVRSSIVEKCGFQPRGANTQVYSIEDSDQCLIGTAEIPVGGIHMDSIIAASLLPLKYVAVSHCFRTEAGAAGTASRGLYRVHQFSKVEMFILCRPEESDFYHEELIRIEEDLFSSLGLHYKTLDMASGDLGAPAYRKFDIEAWMPGLARFGEISSASNCTDYQSRRLGIRFRSSEPALTNPKKGKSNLAPPQFVHTLNATACAVPRMIVCILENNQQEDGSVIIPEPLRPFMGGLEFIHPKPR >ONI36007 pep chromosome:Prunus_persica_NCBIv2:G1:46100363:46106331:1 gene:PRUPE_1G564400 transcript:ONI36007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAVEKTEEDLRKEIDELQRQQREITERLRDPRGLRKGGLAAAGPRNFAANGARPRGFTRPADRPDEDQPPAKRRLSSAVVKVEDGEITEIAEEAKDGKKNDTNEEAKADQSDRKLSNSQQSGWSKRDGNHGAAKKDFEIPAPDHVPRVLPKEQDPSLVNRNKRMLGQLLGTLEKFRKEDMQLSGTEAFMRRSNSLQRAEQRAREESERLRQQEREQIAEKRRRDLTLRARVNAKTEEKRLELLFLQWSEHNKKLCNFIRTKAEPPIYYLPKKPLDEDPTLAEQRKEKEFLEWKAARREELTEYQKQIGEQCIANAEKELERWQNARKPRKANNDVMNLQETMDKELDTHRLEHGPKKRKIPDGSNNDEDEDDVEDINVAEDYMIDDVLDVDDNSRRIDEIVKPEAGKTSPNADAVE >ONI28013 pep chromosome:Prunus_persica_NCBIv2:G1:9222212:9222690:1 gene:PRUPE_1G117100 transcript:ONI28013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRECKRTISTKQIFALPTHNHPTKLFNRRQGSETMIAILLLQNIAGSFQTYGLNKTATVQPKNASWLVEVLNLSPRDIR >ONI32578 pep chromosome:Prunus_persica_NCBIv2:G1:33979354:33983732:1 gene:PRUPE_1G373900 transcript:ONI32578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACFSCSLIRSSPLIQDPYLSWPLAKLVRPISSLRLRKYSSASPRIVVRAIEQGLGVVSTDDVSLLQDPPLIDVDTIEFVNEEVHGVIDGVADTKSEDEALTPSTRVKKKKEVEDSSESRFKLRNGREIFEEKAYVVGVEHKRSNTEVLFGVEESLKELTQLADTAGLMVVGSTYQKLVSPNSRTYIGSGKVAEIKSAINALGVETVIFDDELSAGQLRNLEKAFGGEVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTKMWTHLERQAGGKVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNQRLSVPVPVVSLVGYTNAGKSTLLNQLTGANVMAEDRLFATLDPTTRRVQTKNGKEFLLTDTVGFIQKLPTTVVAAFRATLEEISESSLLVHVVDISHPLAEQQINAVDKVLSELDVSSIPRLMVWNKVDKVSNPESIKLEAEKRDDVVCISALSGEGVNEFCNAVQEKLKDSMVWVEALIPFEKGELLSTIHKVGMVERTEHTDKGTLIKAHVPLRYARLLTPLRQLCIS >ONI32720 pep chromosome:Prunus_persica_NCBIv2:G1:34375803:34378453:1 gene:PRUPE_1G381900 transcript:ONI32720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKIMHLVLLVLLVPPLILLFKFVCSTIWVPLRTQRHFQKQGIRGPPYRPIFGNSAEIRRLFAEAQSKRSAFDHDILQRASPFYHRWSRMYGKNFLYWFGPKPRLAIADPHMIKEVLMNTSGSFEKIPFTPLTKILFGEGLVGLEGDQWAFHRRVVNQAFKMEKVKGWVPEIVASTMKMLEKWEDVRGGRDEFEMDVHKELHELSADIISRTAFGSSFEEGKRVFNLQEQQMHLFSKAVRSIYIPGFRFLPTKNNRERWRLDKETRESIRMLIVNNRKTREDSRNLLSLLLSSYKNQDGEEEKLEIQEVIDECKTFYFAGKETTANLLTWALLLLALHPEWQSKAREEVNCACGSNKLPVAENLNDLKIVSMIVNETLRLYPPAVMLMRQTSNKVKLGSLDIPANTQLYLALTAVHHDTEIWGEDANEFKPMRFSESRRHLASYFPFGLGPRICAGQNLALVEAKLVLAIIIRHYSVMVSPTYVHAPMLFISLQPQYGAQILFRKIPN >ONI32721 pep chromosome:Prunus_persica_NCBIv2:G1:34376018:34378461:1 gene:PRUPE_1G381900 transcript:ONI32721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVLLVLLVPPLILLFKFVCSTIWVPLRTQRHFQKQGIRGPPYRPIFGNSAEIRRLFAEAQSKRSAFDHDILQRASPFYHRWSRMYGKNFLYWFGPKPRLAIADPHMIKEVLMNTSGSFEKIPFTPLTKILFGEGLVGLEGDQWAFHRRVVNQAFKMEKVKGWVPEIVASTMKMLEKWEDVRGGRDEFEMDVHKELHELSADIISRTAFGSSFEEGKRVFNLQEQQMHLFSKAVRSIYIPGFRFLPTKNNRERWRLDKETRESIRMLIVNNRKTREDSRNLLSLLLSSYKNQDGEEEKLEIQEVIDECKTFYFAGKETTANLLTWALLLLALHPEWQSKAREEVNCACGSNKLPVAENLNDLKIVSMIVNETLRLYPPAVMLMRQTSNKVKLGSLDIPANTQLYLALTAVHHDTEIWGEDANEFKPMRFSESRRHLASYFPFGLGPRICAGQNLALVEAKLVLAIIIRHYSVMVSPTYVHAPMLFISLQPQYGAQILFRKIPN >ONI26620 pep chromosome:Prunus_persica_NCBIv2:G1:2462363:2464695:1 gene:PRUPE_1G035200 transcript:ONI26620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISFVGRLLFVSVFLLSAWQEFSEFGVDGGPAAKSLRPKFDIFWNHLSTHTGLKEPKQFEIQHLLAATIALKGIGGLLFILGSSLGAYLLLLHQAIATPILYDFYNYDPEKTEFNQLFLKFTQNLAFFGALLFFISMKASIPKRQLRKRAPKAKTT >ONI26621 pep chromosome:Prunus_persica_NCBIv2:G1:2462681:2464306:1 gene:PRUPE_1G035200 transcript:ONI26621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSEFGVDGGPAAKSLRPKFDIFWNHLSTHTGLKEPKQFEIQHLLAATIALKGIGGLLFILGSSLGAYLLLLHQAIATPILYDFYNYDPEKTEFNQLFLKFTQNLAFFGALLFFISMKASIPKRQLRKRAPKAKTT >ONI35489 pep chromosome:Prunus_persica_NCBIv2:G1:44066675:44067541:1 gene:PRUPE_1G539100 transcript:ONI35489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIPPPSDKKEGLFSCWGRLKLKLPWIRELGRQATTRQRNVGNQGGYRYDRVSYEKNFDDGSWDKMNEDSSQRSFSARYAASSFKSHGDK >ONI27818 pep chromosome:Prunus_persica_NCBIv2:G1:8526988:8531107:1 gene:PRUPE_1G106300 transcript:ONI27818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLDTVLAKKTFVFGLRVWVLTGIIVGVFIVMILAVLSVCLTSRKRSRKSNDMLPLSQIPNVSKEIKEIRVDQVSSNNYVPRNSSFLTLSDKFNDRESEKVLIHKNGDSSSRSGSFNNTEKENVGSPSGEEGGAGTAYFRPSSHPITAPSPLSGLPEFSRLGWGHWFTLRDLEFATNRFSKENVIGEGGYGVVYQGNLINGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRLLVYEYVNNGNLEQWLHGAMRHHGYLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILLDDDFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVILEAITGRDPVDYGRPAQEVNLVDWLKMMVGSRRSEEVVDPNIETRPSMSALKRALLTALRCVDPDSDKRPKMGQVVRMLESEEYPIPREDRRGRRSQAGNTEAGSLKDNSDTDRSDNPDIRTDSRRNYRK >ONI27817 pep chromosome:Prunus_persica_NCBIv2:G1:8526762:8531127:1 gene:PRUPE_1G106300 transcript:ONI27817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLDTVLAKKTFVFGLRVWVLTGIIVGVFIVMILAVLSVCLTSRKRSRKSNDMLPLSQIPNVSKEIKEIRVDQVSSNNYVPRNSSFLTLSDKFNDRESEKVLIHKNGDSSSRSGSFNNTEKENVGSPSGEEGGAGTAYFRPSSHPITAPSPLSGLPEFSRLGWGHWFTLRDLEFATNRFSKENVIGEGGYGVVYQGNLINGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRLLVYEYVNNGNLEQWLHGAMRHHGYLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILLDDDFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVILEAITGRDPVDYGRPAQEVNLVDWLKMMVGSRRSEEVVDPNIETRPSMSALKRALLTALRCVDPDSDKRPKMGQVVRMLESEEYPIPREDRRGRRSQAGNTEAGSLKDNSDTDRSDNPDIRTDSRRNYRK >ONI31274 pep chromosome:Prunus_persica_NCBIv2:G1:29756297:29758559:1 gene:PRUPE_1G303400 transcript:ONI31274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIMAKTSHDSSFSFSRRYFHWKKKVEDDEDDYHEILNFNSSYSHFSEESEKDEQELRIPVSTDTALIPAIIPRKKHSLMSVSKIRSALTVFGKRRSRSGLGTRVMGTLFGYRRGHVHLAFQEEPKLSPAFLIELATPTSVLVREMASGLVRIALECEKKTEKKGLKMLEEPLWRTYCNGKKCGFAMKRDCGPGEWKVLKALEPISMGAGVLPGSEDGVGAEGELMYMRAKFERVVGSKDSEAFYMMNPDGSGGPELSIYLLRV >ONI26723 pep chromosome:Prunus_persica_NCBIv2:G1:2967909:2970182:-1 gene:PRUPE_1G041500 transcript:ONI26723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGEDYGKLVVIVDVLDQNRALVDSPDMVRSQMNFKRLSLTDIKIDIKRVPKKKELLAAMEAADVKKKWENSSWGRKLIVQKRRASLNDFDRFKLMLAKIKRAGLVKSELAKLKKETSS >ONI26724 pep chromosome:Prunus_persica_NCBIv2:G1:2967957:2970026:-1 gene:PRUPE_1G041500 transcript:ONI26724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVEIGRVALVNYGEDYGKLVVIVDVLDQNRALVDSPDMVRSQMNFKRLSLTDIKIDIKRVPKKKELLAAMEAADVKKKWENSSWGRKLIVQKRRASLNDFDRFKLMLAKIKVGYGSFESFV >ONI31717 pep chromosome:Prunus_persica_NCBIv2:G1:31057144:31062978:-1 gene:PRUPE_1G326200 transcript:ONI31717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASHDKIEGSSPSKLNNQHPTINTPSNNNNMHHCMDIPMGGLEVHKVVPPPHRSTLQKLMARLKETFFPDDPLHQFKGQPPKKQWILGAQYVFPILEWGPTYSFKLLKSDIISGVTIASLAIPQGISYAKLANLPAIVGLYSSFVPPLVYAVLGSSKDLAVGPVSIASLIMGSMLMQEVSPTKDPNLFLQLAFTSTFFSGIIQASLGLLRLGFIIDFLSKATLIGFMAGAAVIVSLQQLKSLLGIQNFTKKMAVVPVLSSVFEERGEWSWQTILMGVCFLLLLLIARHVSMRKPKLFWVSAGAPLASVIISTVIVFAIKANRHGISVIGDLQKGLNPPSWNMLIFSGTHIGLVIKTGIITGIIALTEGIAVGRTFATLREYRVDGNKEMIAIGLMNIIGSITSCYITTGSFSRSAVNHNAGAKTALSNIVMSVTVMVTLLFLMPLFHYTPNVILGAIIVTAVIGLIDVPAAYHIWKIDKYDFIVLVCAFLGVIFISVQQGLAIAVGISVFKILLQVTRPRTVVLGNIPGTDVFRDLHHYNEAAVSVPGFLIISIEAAINFANTTYLNERILRWIEEEEDDGNKHPNIRFVIIDMSAVSTIDTTGITLFGDLRKAIRKKGVELVLVNPLAEVVEKLQKVDKDNELMRPDHLYLSVGEAIASLSMAMKNQASNMYDEEMQTNAPPQKLTTA >ONI31718 pep chromosome:Prunus_persica_NCBIv2:G1:31056127:31062978:-1 gene:PRUPE_1G326200 transcript:ONI31718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASHDKIEGSSPSKLNNQHPTINTPSNNNNMHHCMDIPMGGLEVHKVVPPPHRSTLQKLMARLKETFFPDDPLHQFKGQPPKKQWILGAQYVFPILEWGPTYSFKLLKSDIISGVTIASLAIPQGISYAKLANLPAIVGLYSSFVPPLVYAVLGSSKDLAVGPVSIASLIMGSMLMQEVSPTKDPNLFLQLAFTSTFFSGIIQASLGLLRLGFIIDFLSKATLIGFMAGAAVIVSLQQLKSLLGIQNFTKKMAVVPVLSSVFEERGEWSWQTILMGVCFLLLLLIARHVSMRKPKLFWVSAGAPLASVIISTVIVFAIKANRHGISVIGDLQKGLNPPSWNMLIFSGTHIGLVIKTGIITGIIALTEGIAVGRTFATLREYRVDGNKEMIAIGLMNIIGSITSCYITTGSFSRSAVNHNAGAKTALSNIVMSVTVMVTLLFLMPLFHYTPNVILGAIIVTAVIGLIDVPAAYHIWKIDKYDFIVLVCAFLGVIFISVQQGLAIAVGISVFKILLQVTRPRTVVLGNIPGTDVFRDLHHYNEAAVSVPGFLIISIEAAINFANTTYLNERILRWIEEEEDDGNKHPNIRFVIIDMSAVSTIDTTGITLFGDLRKAIRKKGVELVLVNPLAEVVEKLQKVDKDNELMRPDHLYLSVGEAIASLSMAMKNQASNMYDEEMQTNAPPQKLTTA >ONI32809 pep chromosome:Prunus_persica_NCBIv2:G1:34655664:34657685:-1 gene:PRUPE_1G387100 transcript:ONI32809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATLNLPFATMELYLPCLNTAIAGILAILLFSYFIIKRSSSAAKAKGPKLPKVAGGWPLLGHFGLFRGSQLPHIALASLVDKYGPAFTINIGIHSALVISTWEAAKDCFTTNDIVVSSRPATLGAKHLGYNFAMFGFSPYGSYWREMRKLTSLELLSNRRLELLKKVRVSEVEMSLKELYTLWIKRKESSGERLVEMKQWFGDLTLNVIFRMVAGKRCFMNGNLSEEKEARRWQKAMREFFHLAGLFVLGDAVPWLSWLDLGGQQKAMKKTAKELDSIVAEWLEEHKQKRTKGKDQDFMDVMLSAIDGTDVAGFDADTVIKATCLILIAGASDTTMVTLTWTLSLLLNNRQVLKKVYEELDQYVGKGRLLDESDINNLVYLQATVKEAMRLCPAGPLSGQREFTEDCTVGGYHVPKGTWLLVNLWKIQTDPRVWADPMEFKPERFLTTHKDVDVRGQQFELMPFGSGRRACPGISFGLQMTLLTLASFLHSFDVTTQENAPVDMTGSIGLTNIKLTPLDVLVKPRLSPNLYD >ONI35179 pep chromosome:Prunus_persica_NCBIv2:G1:42724275:42725933:1 gene:PRUPE_1G520900 transcript:ONI35179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSHLAIWVTFFLALSSFLSPCTATMRKLSALVQQQPLTLKYHKGPLLKGNITVNVVWYGKFSPIQRSILVDFVQSLSSHHTTQPSVSSWWQTTERYRGGPCTVAVGNQILDQTYSLGRTLTDQKLAALAAKASTGRNAAVNVVLTDADVAVDGFCMSRCGSHGSGGVGRKTGRLAYAWVGNPGSQCPGQCAWPFHQPMYGPQTAPLVPPNGDVGVDGMVISLATVLAGAVTNPFENGYFQGTRDAPLEAVSACAGIFGKGAYPGYPGEVVWDKTTGASYNAVGVRGRKYLLPAMWDPQTSTCKTLV >ONI27842 pep chromosome:Prunus_persica_NCBIv2:G1:8608068:8612321:-1 gene:PRUPE_1G107400 transcript:ONI27842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSLNFDAQDGILRSFSDAPPTHYTVKIQSLSLLAKNSLEKYESGDFEAGGYKWKLVFYPNGNKGRNVKDHISLYLVMSGANATQISREVYAVFRLFLLDQNKGNYLVLQEQNERRFHGMKLDWGFDQFLSQKSFTEASNGFLLDDTSVFGAEIFVRKERSTCKGECLSMVKDAVMYKHVWKIDNFSKLDAEFYDSKTFISGDQKWKIQLYPKGKGNGIGTHLSLYLALADPKSLPPGSKIYADFTLRILDQVNARHQFGKVNFWFSTSNPECGWWRFITLGFLSQAGMGFLSKDTCIVEAEVTVHGISNAL >ONI30411 pep chromosome:Prunus_persica_NCBIv2:G1:26199454:26204532:-1 gene:PRUPE_1G249700 transcript:ONI30411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKKLAFPEQYFSPRRKVISGFGLGIGVSIVVLGVLLFSNSLKSPTVEPSIQGFYSVGLNSGSVSWPFSFSSSSGSSFSHRSSTSETQESIDLEHKIEEGRVLNRTHEANYSVVAGNSNFVEPNGKGTVLENTHFQNFTEEVKDRSFVIEDEKNQQKNSDNGSLIPEKGKVLSSNGGGTIVEIAHLGNSSELLKNGSLHGEEGRVIGNFSLSDIEDVHAEKTIEGSLSKNSSNEDDKVTENKRKKSVRETAHLGNSKEKNKIIDYDSQLRKMQTDSYQKCDIFFGKWVRDDSKPYYPGGSCPYIDRDFNCQLNGRPDNAFIKWKWQPNECDIPSLNATDFLERLRGKRLVFVGDSLNRNMWESLVCILRNSLRYKRRVHEISGKSEFKKKGFYAFRFEDYNCSVDFVASPFLVRESSFTGQNGSFETLRLDMMDRTTSMYHDADVIVFNTGHWWTHEKTSKGEDYYQEGNYVHPRLKVLEAYKRALTTWARWVDKNIDVKRTQVFFRGYSVTHFSGGQWNSGGQCHKEAEPIFNETYLGNYPSKMRALEHVLQEMKTPVTYLNISKLTDYRKDGHPSIYRMKYKTVEEQITAEQSQDCSHWCLPGVPDTWNELLYASLLKARRASVGS >ONI30410 pep chromosome:Prunus_persica_NCBIv2:G1:26199714:26202519:-1 gene:PRUPE_1G249700 transcript:ONI30410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKKLAFPEQYFSPRRKVISGFGLGIGVSIVVLGVLLFSNSLKSPTVEPSIQGFYSVGLNSGSVSWPFSFSSSSGSSFSHRSSTSETQESIDLEHKIEEGRVLNRTHEANYSVVAGNSNFVEPNGKGTVLENTHFQNFTEEVKDRSFVIEDEKNQQKNSDNGSLIPEKGKVLSSNGGGTIVEIAHLGNSSELLKNGSLHGEEGRVIGNFSLSDIEDVHAEKTIEGSLSKNSSNEDDKVTENKRKKSVRETAHLGNSKEKNKIIDYDSQLRKMQTDSYQKCDIFFGKWVRDDSKPYYPGGSCPYIDRDFNCQLNGRPDNAFIKWKWQPNECDIPSLNATDFLERLRGKRLVFVGDSLNRNMWESLVCILRNSLRYKRRVHEISGKSEFKKKGFYAFRFEDYNCSVDFVASPFLVRESSFTGQNGSFETLRLDMMDRTTSMYHDADVIVFNTGHWWTHEKTSKGEDYYQEGNYVHPRLKVLEAYKRALTTWARWVDKNIDVKRTQVFFRGYSVTHFSGGQWNSGGQCHKEAEPIFNETYLGNYPSKMRALEHVLQEMKTPVTYLNISKLTDYRKDGHPSIYRMKYKTVEEQITAEQSQDCSHWCLPGVPDTWNELLYASLLKARRASVGS >ONI30413 pep chromosome:Prunus_persica_NCBIv2:G1:26200891:26202519:-1 gene:PRUPE_1G249700 transcript:ONI30413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKKLAFPEQYFSPRRKVISGFGLGIGVSIVVLGVLLFSNSLKSPTVEPSIQGFYSVGLNSGSVSWPFSFSSSSGSSFSHRSSTSETQESIDLEHKIEEGRVLNRTHEANYSVVAGNSNFVEPNGKGTVLENTHFQNFTEEVKDRSFVIEDEKNQQKNSDNGSLIPEKGKVLSSNGGGTIVEIAHLGNSSELLKNGSLHGEEGRVIGNFSLSDIEDVHAEKTIEGSLSKNSSNEDDKVTENKRKKSVRETAHLGNSKEKNKIIDYDSQLRKMQTDSYQKCDIFFGKWVRDDSKPYYPGGSCPYIDRDFNCQLNGRPDNAFIKWKWQPNECDIPSLNATDFLERLRGKRLVFVGDSLNRNMWESLVCILRNSLRYKRRVHEISGKSEFKKKGFYAFRFEASVHDYILHMLSQHHFFG >ONI30412 pep chromosome:Prunus_persica_NCBIv2:G1:26199405:26204532:-1 gene:PRUPE_1G249700 transcript:ONI30412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKKLAFPEQYFSPRRKVISGFGLGIGVSIVVLGVLLFSNSLKSPTVEPSIQGFYSVGLNSGSVSWPFSFSSSSGSSFSHRSSTSETQESIDLEHKIEEGRVLNRTHEANYSVVAGNSNFVEPNGKGTVLENTHFQNFTEEVKDRSFVIEDEKNQQKNSDNGSLIPEKGKVLSSNGGGTIVEIAHLGNSSELLKNGSLHGEEGRVIGNFSLSDIEDVHAEKTIEGSLSKNSSNEDDKVTENKRKKSVRETAHLGNSKEKNKIIDYDSQLRKMQTDSYQKCDIFFGKWVRDDSKPYYPGGSCPYIDRDFNCQLNGRPDNAFIKWKWQPNECDIPSLNATDFLERLRGKRLVFVGDSLNRNMWESLVCILRNSLRYKRRVHEISGKSEFKKKGFYAFRFEDYNCSVDFVASPFLVRESSFTGQNGSFETLRLDMMDRTTSMYHDADVIVFNTGHWWTHEKTSKGEDYYQEGNYVHPRLKVLEAYKRALTTWARWVDKNIDVKRTQVFFRGYSVTHFRAMELRWAVPQRSRANL >ONI30408 pep chromosome:Prunus_persica_NCBIv2:G1:26199454:26204560:-1 gene:PRUPE_1G249700 transcript:ONI30408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKKLAFPEQYFSPRRKVISGFGLGIGVSIVVLGVLLFSNSLKSPTVEPSIQGFYSVGLNSGSVSWPFSFSSSSGSSFSHRSSTSETQESIDLEHKIEEGRVLNRTHEANYSVVAGNSNFVEPNGKGTVLENTHFQNFTEEVKDRSFVIEDEKNQQKNSDNGSLIPEKGKVLSSNGGGTIVEIAHLGNSSELLKNGSLHGEEGRVIGNFSLSDIEDVHAEKTIEGSLSKNSSNEDDKVTENKRKKSVRETAHLGNSKEKNKIIDYDSQLRKMQTDSYQKCDIFFGKWVRDDSKPYYPGGSCPYIDRDFNCQLNGRPDNAFIKWKWQPNECDIPREDYYQEGNYVHPRLKVLEAYKRALTTWARWVDKNIDVKRTQVFFRGYSVTHFSGGQWNSGGQCHKEAEPIFNETYLGNYPSKMRALEHVLQEMKTPVTYLNISKLTDYRKDGHPSIYRMKYKTVEEQITAEQSQDCSHWCLPGVPDTWNELLYASLLKARRASVGS >ONI30409 pep chromosome:Prunus_persica_NCBIv2:G1:26199380:26203543:-1 gene:PRUPE_1G249700 transcript:ONI30409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKKLAFPEQYFSPRRKVISGFGLGIGVSIVVLGVLLFSNSLKSPTVEPSIQGFYSVGLNSGSVSWPFSFSSSSGSSFSHRSSTSETQESIDLEHKIEEGRVLNRTHEANYSVVAGNSNFVEPNGKGTVLENTHFQNFTEEVKDRSFVIEDEKNQQKNSDNGSLIPEKGKVLSSNGGGTIVEIAHLGNSSELLKNGSLHGEEGRVIGNFSLSDIEDVHAEKTIEGSLSKNSSNEDDKVTENKRKKSVRETAHLGNSKEKNKIIDYDSQLRKMQTDSYQKCDIFFGKWVRDDSKPYYPGGSCPYIDRDFNCQLNGRPDNAFIKWKWQPNECDIPSLNATDFLERLRGKRLVFVGDSLNRNMWESLVCILRNSLRYKRRVHEISGKSEFKKKGFYAFRFEDYNCSVDFVASPFLVRESSFTGQNGSFETLRLDMMDRTTSMYHDADVIVFNTGHWWTHEKTSKGEDYYQEGNYVHPRLKVLEAYKRALTTWARWVDKNIDVKRTQVFFRGYSVTHFSGGQWNSGGQCHKEAEPIFNETYLGNYPSKMRALEHVLQEMKTPVTYLNISKLTDYRKDGHPSIYRMKYKTVEEQITAEQSQDCSHWCLPGVPDTWNELLYASLLKARRASVGS >ONI28603 pep chromosome:Prunus_persica_NCBIv2:G1:11919572:11921953:-1 gene:PRUPE_1G150800 transcript:ONI28603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFMPACKQGQPMVSFQGPPGLPSINMDPFFRRKDKVVFVMGATGTGKSRLAIDLATFHRAEIINSDKMQVYKGLDIVTNKVTEEECRGIPHHLLGQIDPNFNFTANDFKQHASLAIESILERDRLPIIAGGSNSYIEALVDDHPEFRMKYECCFIWVDVALPVLNSFVSERVDRMVKAGLVDEVRRMFDSTAEAEYTHGIRKAIGMPELDEYLRSEACGINDEETQERLLQTAISKIKENTCILAHRQLKKIRRLCSRRNWNMHRLDATEVFLKHRVEADQAWEKHVARPAKRMVGNFLVDPMPTILPSDTLATATTTTTTTVLATSMPAVATATR >ONI29875 pep chromosome:Prunus_persica_NCBIv2:G1:23366892:23368817:-1 gene:PRUPE_1G218700 transcript:ONI29875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSLLGPPELTNPTYTSPPQSQPVPDPDRDPKPEPATGEPFVDLMVSNFNDIAMDNKLPMGLTENDSATYLSTGNPCLDFFFHVVPDTPADYVNNQLPLAWTHSAPTTLKLICNLRGVRGTGKSDKEHFHTAAVWLHNHHPKTLACNLRSFADFGYIKDLPEILYRLLEGEDVRRKQKDALKERKSAGGRKKHRLGCDLSPEAVPFKKIKTGGEKKAAKKDMSKEENEAKEKEWVKWEKEKASVLRKAKKIAMAKKAVAKYGSDPDFRFLHERVSDLFAELLKADMENLKSNQSNKISLAAKWCPSIDSSFDRATLICESIARKVFPRESYKEYEGMEETHYAYRVRDRLRKEVLVPLRKVLELPEVYMGAKQWGSLPYNRVASVAMKNYKRFFLKHDKERFKKYLEDVKAGKAKMAAGALLPHEIIGSVEEGDVGEVAELQWKRMVEDMLKLGKMKNCLAVCDVSGSMCGTPMEVAIALGLLVSELSEDPWKGLVITFSRNPQLHLVKGDDLKTKCQFVRDMEFDMNTDFQKVFDLLLRVAVKGNLKPENMIKRVFVFSDMEFDEASANSWETDYDVIQKKFREHGYGNAIPQLVFWNLRDSRSTPVPGNQPGVALLSGFSKNLLKLFMDNEWGNPTR >ONI30133 pep chromosome:Prunus_persica_NCBIv2:G1:24742653:24745301:-1 gene:PRUPE_1G232700 transcript:ONI30133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRHGGYRDNEFRDRESNFDLPRRDFATAKEDYERMRNGNRDGERGQGRDLRDRIRVRQKDIKERNGGYRSSSSSSGGGAGSRGPKQSGFSVRALDREPGELSSESGSDDAIESVSQVRETVVSKVVENGTESPKERKRKYSPIVWDRDDNKGMSNSSKTRITTAPTALPPPPPPSRVFHQSPNVISDSSVQISSAKIVEVENLQSSSPVKPPVEHGSVSNGVLESPVGLSSQSQQWGDDHEAEQLEDEEYAPTRNISSSRWAAGNNSPGDEGEILGNEQMPKRRKKIPLVGSVEDGVRNNSLTPESGELKRDGSERVRARSSESEEQDAHTRSSSRNDYPDNDSERDDYMDTDKEYGNNDSSVSQSDTDSDDNNNSRETSEPAAPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAMDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETKKEPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNCGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPGYSKLPGVKVNFVKHPLPALGDSGLAIWPPLVMLSDAKNFRLYINY >ONI30130 pep chromosome:Prunus_persica_NCBIv2:G1:24738819:24745830:-1 gene:PRUPE_1G232700 transcript:ONI30130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRHGGYRDNEFRDRESNFDLPRRDFATAKEDYERMRNGNRDGERGQGRDLRDRIRVRQKDIKERNGGYRSSSSSSGGGAGSRGPKQSGFSVRALDREPGELSSESGSDDAIESVSQVRETVVSKVVENGTESPKERKRKYSPIVWDRDDNKGMSNSSKTRITTAPTALPPPPPPSRVFHQSPNVISDSSVQISSAKIVEVENLQSSSPVKPPVEHGSVSNGVLESPVGLSSQSQQWGDDHEAEQLEDEEYAPTRNISSSRWAAGNNSPGDEGEILGNEQMPKRRKKIPLVGSVEDGVRNNSLTPESGELKRDGSERVRARSSESEEQDAHTRSSSRNDYPDNDSERDDYMDTDKEYGNNDSSVSQSDTDSDDNNNSRETSEPAAPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAMDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETKKEPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNCGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPGYSKLPGVKVNFVKHPYNLLRKKFPATSFTGSPVLSDAGFNLLNKLLTYDPEERITAADALNHEWFREVPLPKSKEFMPTFPAHHAQDRRTRRILKSPDPLEEQRRKELQQGEIGTGGVFG >ONI30131 pep chromosome:Prunus_persica_NCBIv2:G1:24737910:24745832:-1 gene:PRUPE_1G232700 transcript:ONI30131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRHGGYRDNEFRDRESNFDLPRRDFATAKEDYERMRNGNRDGERGQGRDLRDRIRVRQKDIKERNGGYRSSSSSSGGGAGSRGPKQSGFSVRALDREPGELSSESGSDDAIESVSQVRETVVSKVVENGTESPKERKRKYSPIVWDRDDNKGMSNSSKTRITTAPTALPPPPPPSRVFHQSPNVISDSSVQISSAKIVEVENLQSSSPVKPPVEHGSVSNGVLESPVGLSSQSQQWGDDHEAEQLEDEEYAPTRNISSSRWAAGNNSPGDEGEILGNEQMPKRRKKIPLVGSVEDGVRNNSLTPESGELKRDGSERVRARSSESEEQDAHTRSSSRNDYPDNDSERDDYMDTDKEYGNNDSSVSQSDTDSDDNNNSRETSEPAAPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAMDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETKKEPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNCGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPGYSKLPGVKVNFVKHPYNLLRKKFPATSFTGSPVLSDAGFNLLNKLLTYDPEERITAADALNHEWFREVPLPKSKEFMPTFPAHHAQDRRTRRILKSPDPLEEQRRKELQQGEIGTGGVFG >ONI30129 pep chromosome:Prunus_persica_NCBIv2:G1:24741054:24745830:-1 gene:PRUPE_1G232700 transcript:ONI30129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRHGGYRDNEFRDRESNFDLPRRDFATAKEDYERMRNGNRDGERGQGRDLRDRIRVRQKDIKERNGGYRSSSSSSGGGAGSRGPKQSGFSVRALDREPGELSSESGSDDAIESVSQVRETVVSKVVENGTESPKERKRKYSPIVWDRDDNKGMSNSSKTRITTAPTALPPPPPPSRVFHQSPNVISDSSVQISSAKIVEVENLQSSSPVKPPVEHGSVSNGVLESPVGLSSQSQQWGDDHEAEQLEDEEYAPTRNISSSRWAAGNNSPGDEGEILGNEQMPKRRKKIPLVGSVEDGVRNNSLTPESGELKRDGSERVRARSSESEEQDAHTRSSSRNDYPDNDSERDDYMDTDKEYGNNDSSVSQSDTDSDDNNNSRETSEPAAPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAMDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETKKEPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNCGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPGYSKLPGVKVNFVKHPYNLLRKKFPATSFTGSPVLSDAGFNLLNKLLTYDPEERITAADALNHEWFREVPLPKSKEFMPTFPAHHAQDRRTRRILKSPDPLEEQRRKELQQGEIGTGGVFG >ONI30132 pep chromosome:Prunus_persica_NCBIv2:G1:24741788:24745301:-1 gene:PRUPE_1G232700 transcript:ONI30132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRHGGYRDNEFRDRESNFDLPRRDFATAKEDYERMRNGNRDGERGQGRDLRDRIRVRQKDIKERNGGYRSSSSSSGGGAGSRGPKQSGFSVRALDREPGELSSESGSDDAIESVSQVRETVVSKVVENGTESPKERKRKYSPIVWDRDDNKGMSNSSKTRITTAPTALPPPPPPSRVFHQSPNVISDSSVQISSAKIVEVENLQSSSPVKPPVEHGSVSNGVLESPVGLSSQSQQWGDDHEAEQLEDEEYAPTRNISSSRWAAGNNSPGDEGEILGNEQMPKRRKKIPLVGSVEDGVRNNSLTPESGELKRDGSERVRARSSESEEQDAHTRSSSRNDYPDNDSERDDYMDTDKEYGNNDSSVSQSDTDSDDNNNSRETSEPAAPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAMDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETKKEPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNCGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPGYSKLPGVKVNFVKHPLPALGDSGITFCARSSQLHHLLDLQFFLMLDSIC >ONI30128 pep chromosome:Prunus_persica_NCBIv2:G1:24738796:24745830:-1 gene:PRUPE_1G232700 transcript:ONI30128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRHGGYRDNEFRDRESNFDLPRRDFATAKEDYERMRNGNRDGERGQGRDLRDRIRVRQKDIKERNGGYRSSSSSSGGGAGSRGPKQSGFSVRALDREPGELSSESGSDDAIESVSQVRETVVSKVVENGTESPKERKRKYSPIVWDRDDNKGMSNSSKTRITTAPTALPPPPPPSRVFHQSPNVISDSSVQISSAKIVEVENLQSSSPVKPPVEHGSVSNGVLESPVGLSSQSQQWGDDHEAEQLEDEEYAPTRNISSSRWAAGNNSPGDEGEILGNEQMPKRRKKIPLVGSVEDGVRNNSLTPESGELKRDGSERVRARSSESEEQDAHTRSSSRNDYPDNDSERDDYMDTDKEYGNNDSSVSQSDTDSDDNNNSRETSEPAAPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRAMDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKALMETKKEPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNCGELKICDFGLSRQYGSPLKPYTHLVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPGYSKLPGVKVNFVKHPYNLLRKKFPATSFTGSPVLSDAGFNLLNKLLTYDPEERITAADALNHEWFREVPLPKSKEFMPTFPAHHAQDRRTRRILKSPDPLEEQRRKELQQGEIGTGGVFG >ONI34529 pep chromosome:Prunus_persica_NCBIv2:G1:40462848:40468981:-1 gene:PRUPE_1G485900 transcript:ONI34529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYPLSKPFTMPSASAFSIVCSGLGWEKEALVAVEVKIAMHICSYLLLFLLHSVMFWKLLLQTTWFRRFHWYWKFYPQKYRPGSAVLEECYEFLYLVSTASEDGIMTFYTSGGMNMLASHMSIFPDGSHQMELSMKLVQLILNKLSLEIINQDYVPELSKIVAAITRQFAVLHNAVKFDALHLLSAILASKYSAPLYDSLRVLPEKDLPNYMRDGIAAILQNRVAPTEKLQALILADSMMTIFGERWLIGHINLPGVKEPIPADRCLVLVLEQSRVEVAVLLNELAQLKDEASKRSSAPAETIVSKKRDVAIAFSLLEKIISLISNASENEGDIIDENTFMKVIKGLNETIGVVLEYLQDAKEHGQRKGDDLLASVRIIGSYLAETPVACKEKVRELLEYMLSIEGEDEPSPFYSICFLLPLLCQMTMEIEGCIALISCGGHISVVDCLVKLIGPHGYMVKDNGCIFLACDTILNLLLKKEQLRIPLDDSTLVNLLKALAYWTEGINDPSTIMMASSICALLFDFTSEKALLKHPNFDVSTLDSLSRLIARSLASWGQGMSDAAKSEMDLLEIVTQGYSQWVDRFPRVRETVER >ONI34527 pep chromosome:Prunus_persica_NCBIv2:G1:40462847:40468981:-1 gene:PRUPE_1G485900 transcript:ONI34527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQQGKQSPPLEDCLKLLKGERDEQRLAGLLLVTKFFKGDDLSSLQTIYNAVGVGFLDRLFRTGMGKGSISSSGSENRDAYLQLSVTVLAAFCHVLEIAASNDMVSKIPLVLEVLSTEPGSAVLEECYEFLYLVSTASEDGIMTFYTSGGMNMLASHMSIFPDGSHQMELSMKLVQLILNKLSLEIINQDYVPELSKIVAAITRQFAVLHNAVKFDALHLLSAILASKYSAPLYDSLRVLPEKDLPNYMRDGIAAILQNRVAPTEKLQALILADSMMTIFGERWLIGHINLPGVKEPIPADRCLVLVLEQSRVEVAVLLNELAQLKDEASKRSSAPAETIVSKKRDVAIAFSLLEKIISLISNASENEGDIIDENTFMKVIKGLNETIGVVLEYLQDAKEHGQRKGDDLLASVRIIGSYLAETPVACKEKVRELLEYMLSIEGEDEPSPFYSICFLLPLLCQMTMEIEGCIALISCGGHISDNGCIFLACDTILNLLLKKEQLRIPLDDSTLVNLLKALAYWTEGINDPSTIMMASSICALLFDFTSEKALLKHPNFDVSTLDSLSRLIARSLASWGQGMSDAAKSEMDLLEIVTQGYSQWVDRFPRVRETVER >ONI34531 pep chromosome:Prunus_persica_NCBIv2:G1:40463850:40468793:-1 gene:PRUPE_1G485900 transcript:ONI34531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQQGKQSPPLEDCLKLLKGERDEQRLAGLLLVTKFFKGDDLSSLQTIYNAVGVGFLDRLFRTGMGKGSISSSGSENRDAYLQLSVTVLAAFCHVLEIAASNDMVSKIPLVLEVLSTEPGSAVLEECYEFLYLVSTASEDGIMTFYTSGGMNMLASHMSIFPDGSHQMELSMKLVQLILNKLSLEIINQDYVPELSKIVAAITRQFAVLHNAVKFDALHLLSAILASKYSAPLYDSLRVLPEKDLPNYMRDGIAAILQNRVAPTEKLQALILADSMMTIFGERWLIGHINLPGVKEPIPADRCLVLVLEQSRVEVAVLLNELAQLKDEASKRSSAPAETIVSKKRDVAIAFSLLEKIISLISNASENEGDIIDENTFMKVIKGLNETIGVVLEYLQDAKEHGQRKGDDLLASVRIIGSYLAETPVACKEKVRELLEYMLSIEGEDEPSPFYSICFLLPLLCQMTMEIEGCIALISCGGHISVVDCLVKLIGPHGYMVKDNGCIFLACDTILNLLLKKEQLRIPLDDSTLVNLLKALAYWTEGINDPSTIMMASSICALLFDFTSEKALLKHPNFDVSTLDSLSRLIARSLASWGQVYCMM >ONI34530 pep chromosome:Prunus_persica_NCBIv2:G1:40462848:40469108:-1 gene:PRUPE_1G485900 transcript:ONI34530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKHKWNFGFVWLGMGKGSISSSGSENRDAYLQLSVTVLAAFCHVLEIAASNDMVSKIPLVLEVLSTEPGSAVLEECYEFLYLVSTASEDGIMTFYTSGGMNMLASHMSIFPDGSHQMELSMKLVQLILNKLSLEIINQDYVPELSKIVAAITRQFAVLHNAVKFDALHLLSAILASKYSAPLYDSLRVLPEKDLPNYMRDGIAAILQNRVAPTEKLQALILADSMMTIFGERWLIGHINLPGVKEPIPADRCLVLVLEQSRVEVAVLLNELAQLKDEASKRSSAPAETIVSKKRDVAIAFSLLEKIISLISNASENEGDIIDENTFMKVIKGLNETIGVVLEYLQDAKEHGQRKGDDLLASVRIIGSYLAETPVACKEKVRELLEYMLSIEGEDEPSPFYSICFLLPLLCQMTMEIEGCIALISCGGHISKEQLRIPLDDSTLVNLLKALAYWTEGINDPSTIMMASSICALLFDFTSEKALLKHPNFDVSTLDSLSRLIARSLASWGQGMSDAAKSEMDLLEIVTQGYSQWVDRFPRVRETVER >ONI34528 pep chromosome:Prunus_persica_NCBIv2:G1:40462848:40468981:-1 gene:PRUPE_1G485900 transcript:ONI34528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQQGKQSPPLEDCLKLLKGERDEQRLAGLLLVTKFFKGDDLSSLQTIYNAVGVGFLDRLFRTGMGKGSISSSGSENRDAYLQLSVTVLAAFCHVLEIAASNDMVSKIPLVLEVLSTEPGSAVLEECYEFLYLVSTASEDGIMTFYTSGGMNMLASHMSIFPDGSHQMELSMKLVQLILNKLSLEIINQDYVPELSKIVAAITRQFAVLHNAVKFDALHLLSAILASKYSAPLYDSLRVLPEKDLPNYMRDGIAAILQNRVAPTEKLQALILADSMMTIFGERWLIGHINLPGVKEPIPADRCLVLVLEQSRVEVAVLLNELAQLKDEASKRSSAPAETIVSKKRDVAIAFSLLEKIISLISNASENEGDIIDENTFMKVIKGLNETIGVVLEYLQDAKEHGQRKGDDLLASVRIIGSYLAETPVACKEKVRELLEYMLSIEGEDEPSPFYSICFLLPLLCQMTMEIEGCIALISCGGHISVVDCLVKLIGPHGYMVKDNGCIFLACDTILNLLLKKEQLRIPLDDSTLVNLLKALAYWTEGINDPSTIMMASSICALLFDFTSEKALLKHPNFDVSTLDSLSRLIARSLASWGQGMSDAAKSEMDLLEIVTQGYSQWVDRFPRVRETVER >ONI32380 pep chromosome:Prunus_persica_NCBIv2:G1:33494905:33499218:-1 gene:PRUPE_1G364500 transcript:ONI32380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSGGASAKQNIDINNGGKTSRFSGKLKSIQSFGKQKENSNSSYPDAIAPRITPNRFDSGELRSSISRELKPSTPARTGDSKVTPKNSFIGRAGIVGLDKAVEVLDTLGSSMSNLNVNSGFLTGGASRGNRISILSFEVANTITKGANLLQSLSEENIQFLKKEVLHSDAVQNLVSKDMKELLSIAASDKREELDVFSREVVRFGDLCKDPQWHNLGRYFLRLDSDDDLGYTQLRSEAEMTMQELTTLAQHTSELYHELNALDRFEQDYRRKLEEAKALHLPLRGESLMMLLSDLKQQKKLVRSLKKKSLWAKNLDEIVEKLVDIVTYTHQAILEAFGDNGVTLISVERSKDPQRLGVAGLALHYANMINQIDNIASRPTSLPPNTRDTLYQGLPNSVKQALRSRLQTLDAKEELLVSHVKAEMEKTLQWLVPVATNTNKAHQGFGWVGEWANSGLEFGKNSSSEINLIRLQTLYHADKQKTDVYILELVTWLHHLINLVRHGDHGGLKALPIRSPTRRGLDIHSKMQGFVSNTNSTKPPRIQLSEEDRNLLDEVLGRVKRVPGVSKSQEFSIAKKKGTGVWSLSKSTGSSPSRELSARRNSDQTNALDVMDGIDSRY >ONI32382 pep chromosome:Prunus_persica_NCBIv2:G1:33494905:33499581:-1 gene:PRUPE_1G364500 transcript:ONI32382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSGGASAKQNIDINNGGKTSRFSGKLKSIQSFGKQKENSNSSYPDAIAPRITPNRFDSGELRSSISRELKPSTPARTGDSKVTPKNSFIGRAGIVGLDKAVEVLDTLGSSMSNLNVNSGFLTGGASRGNRISILSFEVANTITKGANLLQSLSEENIQFLKKEVLHSDAVQNLVSKDMKELLSIAASDKREELDVFSREVVRFGDLCKDPQWHNLGRYFLRLDSDDDLGYTQLRSEAEMTMQELTTLAQHTSELYHELNALDRFEQDYRRKLEEAKALHLPLRGESLMMLLSDLKQQKKLVRSLKKKSLWAKNLDEIVEKLVDIVTYTHQAILEAFGDNGVTLISVERSKDPQRLGVAGLALHYANMINQIDNIASRPTSLPPNTRDTLYQGLPNSVKQALRSRLQTLDAKEELLVSHVKAEMEKTLQWLVPVATNTNKAHQGFGWVGEWANSGLEFGKNSSSEINLIRLQTLYHADKQKTDVYILELVTWLHHLINLVRHGDHGGLKALPIRSPTRRGLDIHSKMQGFVSNTNSTKPPRIQLSEEDRNLLDEVLGRVKRVPGVSKSQEFSIAKKKGTGVWSLSKSTGSSPSRELSARRNSDQTNALDVMDGIDSRY >ONI32381 pep chromosome:Prunus_persica_NCBIv2:G1:33494905:33499601:-1 gene:PRUPE_1G364500 transcript:ONI32381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSGGASAKQNIDINNGGKTSRFSGKLKSIQSFGKQKENSNSSYPDAIAPRITPNRFDSGELRSSISRELKPSTPARTGDSKVTPKNSFIGRAGIVGLDKAVEVLDTLGSSMSNLNVNSGFLTGGASRGNRISILSFEVANTITKGANLLQSLSEENIQFLKKEVLHSDAVQNLVSKDMKELLSIAASDKREELDVFSREVVRFGDLCKDPQWHNLGRYFLRLDSDDDLGYTQLRSEAEMTMQELTTLAQHTSELYHELNALDRFEQDYRRKLEEAKALHLPLRGESLMMLLSDLKQQKKLVRSLKKKSLWAKNLDEIVEKLVDIVTYTHQAILEAFGDNGVTLISVERSKDPQRLGVAGLALHYANMINQIDNIASRPTSLPPNTRDTLYQGLPNSVKQALRSRLQTLDAKEELLVSHVKAEMEKTLQWLVPVATNTNKAHQGFGWVGEWANSGLEFGKNSSSEINLIRLQTLYHADKQKTDVYILELVTWLHHLINLVRHGDHGGLKALPIRSPTRRGLDIHSKMQGFVSNTNSTKPPRIQLSEEDRNLLDEVLGRVKRVPGVSKSQEFSIAKKKGTGVWSLSKSTGSSPSRELSARRNSDQTNALDVMDGIDSRY >ONI30146 pep chromosome:Prunus_persica_NCBIv2:G1:24799929:24801202:1 gene:PRUPE_1G233600 transcript:ONI30146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSILGIPTLPAFFSIFLLIYLFAYFVVFRNWGPKHRPEASSCLISLAHGPTAAIMSIYSILHSRKAPTFASPNDTLQNTILEFSIAYFFLDLLHYLLFFPSDVLFILHHLATLYVFTTCRYVVHHGAYAILVLLFLAEITSGCQNVWTLASYRRADSPAAAKFGAAEPKIPRWAWVSWMVVILIAIGLSILWVLNLWIDWHRNKVQKKER >ONI30145 pep chromosome:Prunus_persica_NCBIv2:G1:24799815:24801287:1 gene:PRUPE_1G233600 transcript:ONI30145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSILGIPTLPAFFSIFLLIYLFAYFVVFRNWGPKHRPEASSCLISLAHGPTAAIMSIYSILHSRKAPTFASPNDTLQNTILEFSIAYFFLDLLHYLLFFPSDVLFILHHLATLYVFTTCRYVVHHGAYAILVLLFLAEITSGCQNVWTLASYRRADSPAAAKLYDFLSPRFYVFYSVFRGFLGPLFMFKLGLFYASGAAEPKIPRWAWVSWMVVILIAIGLSILWVLNLWIDWHRNKVQKKER >ONI32582 pep chromosome:Prunus_persica_NCBIv2:G1:33992856:33995770:1 gene:PRUPE_1G374300 transcript:ONI32582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHQISGSIAFKFGHAPRHAVIRMTGTPEFNSRNRMFIAGMGFVGQVLAQDLKNQGWAVSGTCTSSIKKKKLEEERGFDIYLFDANQPDVTSTLEIIKDHTHLLVSIPPVPGIGDPILQNELSLRRALMGGNLQWICYLSSTSVYGDSGGAWVDEDYPPNPTSDVGRLRLAAEEGWLNLGQSLGISTKVFRLGGIYGPGRSAVDTIIKRRALSESQRMRGYRRFTSRVNVQDICQALKASICTSSSRRVYNIVDDEPAQREEVFEYARDLVEKKWPGWIKHSSEEKESSVIVKKEGLSGEKRVSNARMKKELGVRLLHPSYRSGLQSIIDQMDKPFLAE >ONI27474 pep chromosome:Prunus_persica_NCBIv2:G1:6664456:6665070:1 gene:PRUPE_1G089200 transcript:ONI27474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSTYKYLLGMDRPLWNFLTISLLWATESKCIKSKISSIPNMIMDPYTHSKEREIAPVPIMLTF >ONI31869 pep chromosome:Prunus_persica_NCBIv2:G1:31696513:31703601:-1 gene:PRUPE_1G335900 transcript:ONI31869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSTGRNLSFDILSRNGSLDEEEAIFYRSNSDPIQSNHHNNDKPARRKRKKKKKNTTTTHSSIPESPTNATVSNSFPHNSSSKNGETSGINGSGLEFSYSQTVLCPATTEVSDPEFQKLRGTAELRQRPVNGSAGGVVGETQTTSFRIEAEDKEDSGVEAGSVSKQRSEPNGNAVPKLQTAESLDWKRLMAEDPNYLFSVDKSPVKYFMEEMSNGNSLRSTTTLGNEKERERVYDTIFRLPWRCELLIDVGFFVCFDSFLSLLTIMPTRIVMTLWRLLNSRQFKRPSAAELSDFGCFTIMACGVTLLEQTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQSFNPDVLQTLFNSAEGLASCPPENMRFWIWRFICDQALAVAASIIHSFILLAQAITLSTCIVAHNNALLALLVSNNFAEIKSNVFKRYSKDNIHSLVYFDSVERFHISAFVLFVLAQNILEAEGPWFESFLSNALLVYVCEMIIDIIKHSFIAKFNDIKPIAYSEFLEDLCKQVIRVLTPVYAARLPYSPLPWKLFWILVLFAMTYVMLTSLKVLIGMGLQKHASWYVNRCSRRKHHLHYD >ONI31871 pep chromosome:Prunus_persica_NCBIv2:G1:31699484:31703601:-1 gene:PRUPE_1G335900 transcript:ONI31871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSTGRNLSFDILSRNGSLDEEEAIFYRSNSDPIQSNHHNNDKPARRKRKKKKKNTTTTHSSIPESPTNATVSNSFPHNSSSKNGETSGINGSGLEFSYSQTVLCPATTEVSDPEFQKLRGTAELRQRPVNGSAGGVVGETQTTSFRIEAEDKEDSGVEAGSVSKQRSEPNGNAVPKLQTAESLDWKRLMAEDPNYLFSVDKSPVKYFMEEMSNGNSLRSTTTLGNEKERERVYDTIFRLPWRCELLIDVGFFVCFDSFLSLLTIMPTRIVMTLWRLLNSRQFKRPSAAELSDFGCFTIMACGVTLLEQTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQSFNPDVLQTLFNSAEGLASCPPENMRFWIWRFICDQALAVAASIIHSFILLAQAITLSTCIVAHNNALLALLVSNNFAEIKSNVFKRYSKDNIHSLVYFDSVERFHISAFVLFVLAQNILEAEGPWFESFLSNALLVYVCEMIIDIIKHSFIAKFNDIKPIAYSEFLEDLCKQVFYMYLWCDYIYISRGNNIGPLHFTSKKCIFLCAHMLAKS >ONI31870 pep chromosome:Prunus_persica_NCBIv2:G1:31698685:31703330:-1 gene:PRUPE_1G335900 transcript:ONI31870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSTGRNLSFDILSRNGSLDEEEAIFYRSNSDPIQSNHHNNDKPARRKRKKKKKNTTTTHSSIPESPTNATVSNSFPHNSSSKNGETSGINGSGLEFSYSQTVLCPATTEVSDPEFQKLRGTAELRQRPVNGSAGGVVGETQTTSFRIEAEDKEDSGVEAGSVSKQRSEPNGNAVPKLQTAESLDWKRLMAEDPNYLFSVDKSPVKYFMEEMSNGNSLRSTTTLGNEKERERVYDTIFRLPWRCELLIDVGFFVCFDSFLSLLTIMPTRIVMTLWRLLNSRQFKRPSAAELSDFGCFTIMACGVTLLEQTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQSFNPDVLQTLFNSAEGLASCPPENMRFWIWRFICDQALAVAASIIHSFILLAQAITLSTCIVAHNNALLALLVSNNFAEIKSNVFKRYSKDNIHSLVYFDSVERFHISAFVLFVLAQNILEAEGPWFESFLSNALLVYVCEMIIDIIKHSFIAKFNDIKPIAYSEFLEDLCKQTLNIQTEASKKNLTFIPLAPACVVIRVLTPVYAARLPYSPLPWKLFWILVLFAMTYVMLTSLKVLIGMGLQKHASWYVNRCSRRKHHLHYD >ONI28416 pep chromosome:Prunus_persica_NCBIv2:G1:11118087:11120699:-1 gene:PRUPE_1G141500 transcript:ONI28416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQGQYIGSNSGMDGVDQKEGKVANQRKTGTCESILRILAFMLTVTAAVVLGVSKQTEIVSVKIIPTLPPIDLPATAKWHYLSAFVYFVVANAIACAYAAFSLVLSFANRGTKSSLGLVIILLDLLMVALLFSCNGAATAIGLMGYQGNSHVQWKKVCNVFGRFCNHAAAAIVLSMLGSLAFMYLVVLAALGLHRRSK >ONI30702 pep chromosome:Prunus_persica_NCBIv2:G1:27526251:27530411:1 gene:PRUPE_1G267900 transcript:ONI30702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGAENGKVESWSMCKSKVRKKKKKDDDDDVEEEETGCWVRLRFFGSCISSRSKVDSSISGTSTHCETKSTNDTSRDQPTATVVSSSTTSNTESNASTSKLEEELKVASRLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGVQKAAQLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRVGSSPNTRNGVRTQAGLLTRNGHHQQRSLSIPNGSHASPYHHQYPHQSPKPNSKP >ONI30701 pep chromosome:Prunus_persica_NCBIv2:G1:27526251:27530411:1 gene:PRUPE_1G267900 transcript:ONI30701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGAENGKVESWSMCKSKVRKKKKKDDDDDVEEEETGCWVRLRFFGSCISSRSKVDSSISGTSTHCGFARRWWEHANKIVVAVVRMCHTETKSTNDTSRDQPTATVVSSSTTSNTESNASTSKLEEELKVASRLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGVQKAAQLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRVGSSPNTRNGVRTQAGLLTRNGHHQQRSLSIPNGSHASPYHHQYPHQSPKPNSKP >ONI30703 pep chromosome:Prunus_persica_NCBIv2:G1:27527590:27530411:1 gene:PRUPE_1G267900 transcript:ONI30703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHTETKSTNDTSRDQPTATVVSSSTTSNTESNASTSKLEEELKVASRLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGVQKAAQLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRVGSSPNTRNGVRTQAGLLTRNGHHQQRSLSIPNGSHASPYHHQYPHQSPKPNSKP >ONI32272 pep chromosome:Prunus_persica_NCBIv2:G1:33094972:33099956:1 gene:PRUPE_1G357600 transcript:ONI32272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSICISSEDELSQRFESYSLSADVSESESSTSDVSCRHEAPAAASTSLTSSPPCGPDFADIFVCQQQPPIPFLFPAVGGRHVVIPATKAEKPETKLSEVELMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWKLEPLAPQKKAMWCREMDWLLCVSDSIVELIPSIQEFPGGGTFEVMVTRPRSDLYINLPALKKLDAMLLSILDGFHDSQFYYVDRGIIVADSDDGETIPLSSSSNRPSIRQEEKWWLPFPKVPPNGLSENARKTLQQCRECTNQILKAAMAINSSVLAEMEIPEAYLESLPKSAKACLGEIIYRFIAADRFSPECLLDYLDLSSEYTTLEIANRIEAAAHIWKQKHLKCHPTLVNTGKSSWGGKVKGFVSDTEKNKLLAHRADTLLQNLRIRFPGLPQTALDMNKIQYNKDVGQSVLESYSRVMESLAFNIMARIDDLLYVDDATKQRAAAESVSLYEQGRFGGAMPKQKRISPSPFSVHHTSIASPALDHINQTTRSPGGRTHKPIKKTSFSDALDDKLEKLTF >ONI27448 pep chromosome:Prunus_persica_NCBIv2:G1:6487832:6491223:-1 gene:PRUPE_1G087100 transcript:ONI27448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQCFAALQCSIVPHHPTVRLVHPKIISPTPSSSTITRRGALSAALTSTTFTLILTLTPPSKSAIAEFSELPRSGGVKALELRLGDGEVPADGDQVAVHYYGRLAAKQGWRFDSTYDHKDENGEPIPFVFVIGSGKVISGIETAVKSMKVGGVRRVVIPPSQGYQNTSQEPLPPNAETVYNHFQSNSSC >ONI27447 pep chromosome:Prunus_persica_NCBIv2:G1:6488310:6491182:-1 gene:PRUPE_1G087100 transcript:ONI27447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQCFAALQCSIVPHHPTVRLVHPKIISPTPSSSTITRRGALSAALTSTTFTLILTLTPPSKSAIAEFSELPRSGGVKALELRLGDGEVPADGDQVAVHYYGRLAAKQGWRFDSTYDHKDENGEPIPFVFVIGSGKVISGIETAVKSMKVGGVRRVVIPPSQGYQNTSQEPLPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLVFDIELVSMRRK >ONI28541 pep chromosome:Prunus_persica_NCBIv2:G1:11544710:11545595:1 gene:PRUPE_1G146700 transcript:ONI28541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPLTQPNPEGSDQSQQPPPPLHTEVEDDDENVKQLKDCSVIYLSLQDCLVKTDRNWKSCQVEVQALKACNERRNNGRGK >ONI28542 pep chromosome:Prunus_persica_NCBIv2:G1:11544824:11545595:1 gene:PRUPE_1G146700 transcript:ONI28542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPLTQPNPEGSDQSQQPPPPLHTEVEDDDENVKQLKDCSVIYLSLQDCLVKTDRNWKSCQVEVQALKACNERRNNGRGK >ONI28543 pep chromosome:Prunus_persica_NCBIv2:G1:11544710:11545595:1 gene:PRUPE_1G146700 transcript:ONI28543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPLTQPNPEGSDQSQQPPPPLHTEVEDDDENVKQLKDCSVIYLSLQTDRNWKSCQVEVQALKACNERRNNGRGK >ONI31099 pep chromosome:Prunus_persica_NCBIv2:G1:29037785:29043013:-1 gene:PRUPE_1G292500 transcript:ONI31099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFGIGMPVVTVSCMLLVFLVALIRLFYKQWWTPNRFQKFMDLQGVKGPSYTLVHGNTKEIMSMKKEAMSRPRSLSHDIFSAVQPHTHKWSKMFGRNFLQWYGTQPQLVVAEPELSKEVMNNKDRIFRKQKSQGYTKKILGESISMSEGEKWVKLRRLANHAFHGESLKSMIPEMITSSETMLERWVNHEGKEIEVYEEFRLFTSEVISRTAFGTSYLDGKNIFEMLGQLTFLIFKNNFSLRLPIISRLYKPRDEIEMEKLEKGVRDTIAGIVRKRESKAMTGDADGFGRDFLGVLLKAHHDTDENQRISMDEIVDECKTFYFAGQETTNSLLAWTIFLLAQNTDWQEEARKEVLQLFGKENPNPDGLNKLKTMSMIFNESLRLYPPVVSLIRETEKEVRLGKMVVPANVEVHVPNLSLHHDPKYWGEDVNVFKPERFSEGVAKATNNNIVAFIPFGLGPRTCVGMNFAIVESKIALAMILQRYSFTLSPGYVHSPIQFMTVRPQHGIPVILHSL >ONI32864 pep chromosome:Prunus_persica_NCBIv2:G1:34824302:34826357:-1 gene:PRUPE_1G390300 transcript:ONI32864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPKHPLFCLTWPWDMNQNAKANTPSACNFEGPWLFKSLQTIGSIAFNFVNSVSGSSFSLANTFMPSQLDARTSQRNSLKSKTILGPEEQGEAEHRAFASALASGKEATVVEFYSPKCGLCNSLLNFVREVEGRNSDWLNIVMADAENYKWLPELLHYDVRYVPCFVLVDKKGRALAKTGIPSSRLHVIAGLSHLLKMKRPCKKQ >ONI32863 pep chromosome:Prunus_persica_NCBIv2:G1:34824302:34826571:-1 gene:PRUPE_1G390300 transcript:ONI32863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPKHPLFCLTWPWDMNQNAKANTPSACNFEGPWLFKSLQTIGSIAFNFVNSVSGSSFSLANTFMPSQLDARTSQRNSLKSKTILGPEEQGEAEHRAFASALASGKEATVVEFYSPKCGLCNSLLNFVREVEGRNSDWLNIVMADAENYKWLPELLHYDVRYVPCFVLVDKKGRALAKTGIPSSRLHVIAGLSHLLKMKRPCKKQ >ONI33648 pep chromosome:Prunus_persica_NCBIv2:G1:37296440:37300033:-1 gene:PRUPE_1G438200 transcript:ONI33648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFGGRYYWGRKKRSDEIEGIVVVFAWMSSQERHLKSYVELYSSIGWNTLVCHAQFLNMFFPEKATTLATDILNELLEELKIKPCPVVFASFSGGPKACMFKVIQIIEGTCEAKLNLDDCRLVRDCISGHIYDSSPVDFTSDLGTKFVLHPNVLNISHPPRFASWMANGIASGLDALFLNRFESHRAEYWQTLYSTVAPYLILCSENDDLAPFQVIYNFSQRLRELGADVKLVKWNGSPHVDHYRHYPIDYKAAVTELLGKAVGVYSQRIRRLEAETSGMQAAQDEIIDNEPMSSLRKAAGGSNGFRGVTLASSDHFFTPGSMEYDGGRDVGSMQDERKEGLIHLPNPPTPTMNVHGVLGQILFDICVPKTVEDWDIKSSSGSLNGNRRSLASRRRHAPFNPIKCIRRSRL >ONI33649 pep chromosome:Prunus_persica_NCBIv2:G1:37296436:37300135:-1 gene:PRUPE_1G438200 transcript:ONI33649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFGGRYYWGRKKRSDEIEGIVVVFAWMSSQERHLKSYVELYSSIGWNTLVCHAQFLNMFFPEKATTLATDILNELLEELKIKPCPVVFASFSGGPKACMFKVIQIIEGTCEAKLNLDDCRLVRDCISGHIYDSSPVDFTSDLGTKFVLHPNVLNISHPPRFASWMANGIASGLDALFLNRFESHRAEYWQTLYSTVGMQAPYLILCSENDDLAPFQVIYNFSQRLRELGADVKLVKWNGSPHVDHYRHYPIDYKAAVTELLGKAVGVYSQRIRRLEAETSGMQAAQDEIIDNEPMSSLRKAAGGSNGFRGVTLASSDHFFTPGSMEYDGGRDVGSMQDERKEGLIHLPNPPTPTMNVHGVLGQILFDICVPKTVEDWDIKSSSGSLNGNRRSLASRRRHAPFNPIKCIRRSRL >ONI27322 pep chromosome:Prunus_persica_NCBIv2:G1:5804303:5809633:-1 gene:PRUPE_1G079700 transcript:ONI27322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRTVTRKLGSKLLPRFSPASLLHSHATSFGFKEVREDEKSRMVGDVFSNVASNYDLMNDVMSAGLHRLWKDRLVSKLNPFPGMKHLDVAGGTGDVAFRILDSINSIRRRATQDVLEDDLQEETKVYVSDINPNMLNVGKKRALERGLGEDKSLLWVEGDAEALSFGDNTMDGYTIAFGIRNVTHIEKVLSEAYRVLKHGGRFLCLELSHVDVPVFKQFYDYYSFSIIPALGELVAGDRESYQYLVESIRRFPSQETFASMIADAGFQKVEYENLVGGVVAIHSGLKI >ONI27321 pep chromosome:Prunus_persica_NCBIv2:G1:5804333:5809633:-1 gene:PRUPE_1G079700 transcript:ONI27321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRTVTRKLGSKLLPRFSPASLLHSHATSFGFKEVREDEKSRMVGDVFSNVASNYDLMNDVMSAGLHRLWKDRLVSKLNPFPGMKHLDVAGGTGDVAFRILDSINSIRRRATQDVLEDDLQEETKVYVSDINPNMLNVGKKRALERGLGEDKSLLWVEGDAEALSFGDNTMDGYTIAFGIRNVTHIEKVLSEAYRVLKHGGRFLCLELSHVDVPVFKQFYDYYSFSIIPALGELVAGDRESYQYLVESIRRFPSQETFASMIADAGFQKVEYENLVGGVVAIHSGLKI >ONI28692 pep chromosome:Prunus_persica_NCBIv2:G1:12290310:12292340:-1 gene:PRUPE_1G155600 transcript:ONI28692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRMKRKDDEMNMIKVKKHHHIHHPHQKSQTLPPSPTHSFSSSSSSDFEFTISLSPRKSSNTLCPADELFYKGQLLPLHLSPRLSMVRTLHLPSSTSSSYNCSSSETTTSRDSTASSSNESRDSTSSFASDLGLLADQSCGSSRPSSVAEDTNDESYKRLGSSSKYAVQHTASNNDAFSRKKYFSLSRFSSVFKKEPKVQKDNNVPDHQQQNNNNMVGPGSNNASSAKPKRMSLTAKEVFSKYLKKVKPLYEKLSQKQQQKMGGGGGGLSIITTTKTSAEKCATRNNNNKDCGGSSHGLVSQSFSGNLRYPRRRGSVSSCPSSMRCSPSHSGVLSRTTGLTGSGSGLGTSGGNSSASSMEELQSAIQGAIAHCKNSLVVQSKSAATCHDK >ONI31391 pep chromosome:Prunus_persica_NCBIv2:G1:30112153:30117477:-1 gene:PRUPE_1G309600 transcript:ONI31391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFVKTLKGTHFDVEVKPEDTVADVKKQIETSQGSDVYPASQQMLIHQGKVLKDSTTLDENKVAENSFVVIMLTKSKSSSGEGSGASTATTTKAPQPSAPSAAPTATLTAPQAPIPTSAPPASVTTPAPVSSATTEVESDPYGQAASDLVAGTNLEGTIQQILDMGGGTWDRDTVVRALRAAFNNPARAIDYLYSGIPEQPEVPPAARIPVSGEVANLPAQPPQSAQPAATPPSGPNANPLNLFPQGLPNVGAGAGAAGNLDFLRDSQQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIQQHQADFLRLINEPVEGGEGNILGDAAVPQSISVTPEEREAIERLEAMGFDRAIVLEVFFACNKNEELAANYLLDHMHEFEE >ONI31390 pep chromosome:Prunus_persica_NCBIv2:G1:30112153:30117588:-1 gene:PRUPE_1G309600 transcript:ONI31390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFVKTLKGTHFDVEVKPEDTVADVKKQIETSQGSDVYPASQQMLIHQGKVLKDSTTLDENKVAENSFVVIMLTKSKSSSGEGSGASTATTTKAPQPSAPSAAPTATLTAPQAPIPTSAPPASVTTPAPVSSATTEVESDPYGQAASDLVAGTNLEGTIQQILDMGGGTWDRDTVVRALRAAFNNPARAIDYLYSGIPEQPEVPPAARIPVSGEVANLPAQPPQSAQPAATPPSGPNANPLNLFPQGLPNVGAGAGAAGNLDFLRDSQQFQALRAMVQANPQILQPMLQELGKQNPNLMRLIQQHQADFLRLINEPVEGGEGNILGDAAVPQSISVTPEEREAIERLEAMGFDRAIVLEVFFACNKNEELAANYLLDHMHEFEE >ONI31346 pep chromosome:Prunus_persica_NCBIv2:G1:30011009:30012307:-1 gene:PRUPE_1G307500 transcript:ONI31346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYNSNSKLAQPEKQLPQGQWSTGLFDCLDDRSNCLLTCFCPCVTLGRIAEIVDRGTTSRGMASLNAYAMGSIGCGWLYSGKYRAKLRAMFSLPEEPCGDFVLHGCCCVFSICQEYRELKNHGIDPSIGWQANVEKWNREGIKPPNVESGMNR >ONI27558 pep chromosome:Prunus_persica_NCBIv2:G1:7368692:7372099:1 gene:PRUPE_1G094700 transcript:ONI27558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVIRIPVGVVLDLNSSVGAIAKSCMTMALSDFYAKHAHYRTRLDLRTRDSADDIVTAASEAWYMMKKEKVQAIIGPQRSAEAKFVMELGRKAKVPIISFSATSPSLSPSRSPFFVRTAFDDSAQVKAIAAIIEAYSWLEVVLVYEDTDYGNGLIPYLVDAIQEVGARVPYRSVIPPSSNDAEILRELGRLNSNSTRIFLVHMTASLGSKFFILANKAGMMSEGYAWIVTDGLSTFLDPVNSTTMDSMEGVLGVRPYIPMTKDLEDFQSRWKQPNKMTAGLNLFGLWAYDTVWALAMAVEKVGTTSSRSMKQNTSRVINLASLETSNMGKNLLETIPSSKFQSLSGNFQLVKGQLEPSTFEIFNVIGNKERIIGYWIDQQKGLSRQLKYDNSEAEKSDVKRRLKQPIWPGDTTDQPATKKLRIGVPMTEGFKEFLKVENKNISGFAADVFFAALAKLPFPLPHDFFCFKGTYNDLLYQIKAGKYDAVVGDTTIVANRSLYVDFTLPYSESGVSMVVLVENNERDNIWIFLKPLSLDLWLTTGAAFIFTGFVIWVLEHRVNSEFRGPPQQQLGVIFWFSFSTLVFAHREKVVNNWSRLVLIIWVFVVLILTQSYTASLASMLTVQRLQPVFTDIREIKRNGYNIGFQKNSFIKGFLMDNLRFEESKLKAYVTIEEYNHALSKGTHNGGVAAIFDEIPYLKLFIAKNCSKYTMVGPTYKTDGFGFAFPRGSPLVSYMSRAILNVTQDKSKMDSIEEKYFGNQTICDDQSAKISSDGRSLHVYSFGGLFIIAGVVSMFSLLMYMYRFVCSQWPTLSTTIHSENSFRWKMVELAKHFDKKDLTSHPFTRRTSRVHAMDTPDETAIGGLHDANDMQNNSAVENNIDSTRLYSP >ONI30231 pep chromosome:Prunus_persica_NCBIv2:G1:25341208:25342051:1 gene:PRUPE_1G238800 transcript:ONI30231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYEKAMPLGRPLPKFGDWDVNDPASAEGYTVIFNKARDDRRSGARTPLILAAPDKYDARKKEDKYKYPKKDNDVH >ONI34295 pep chromosome:Prunus_persica_NCBIv2:G1:39338954:39341487:1 gene:PRUPE_1G473400 transcript:ONI34295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRVASSSSAQLQALASASCRSSSLNLTRRFLISSASSPSSTPLPSSPPPSSPHTTGASSSALKKKVEDVMPIATGHEREELAAELEGRNVLEINYPVGPFGTKEAPAIIKSYYDKRIVGCPGIEGGEDEHDVVWFWLEKGKPHECPVCTQYFKLEVVGPGGPPDGHH >ONI35641 pep chromosome:Prunus_persica_NCBIv2:G1:44726581:44729607:-1 gene:PRUPE_1G547200 transcript:ONI35641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI35636 pep chromosome:Prunus_persica_NCBIv2:G1:44727163:44729337:-1 gene:PRUPE_1G547200 transcript:ONI35636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKQLLKRHPHCNSPSYAFFVYLKLFSSIPSTYSCSDIETQLRFLCDKSNPQISEAVSLFQGSIDSDRLPSGGTCNLLVHTLTRSKNYELAFSVYSKMTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI35646 pep chromosome:Prunus_persica_NCBIv2:G1:44723256:44729607:-1 gene:PRUPE_1G547200 transcript:ONI35646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI35639 pep chromosome:Prunus_persica_NCBIv2:G1:44727163:44729337:-1 gene:PRUPE_1G547200 transcript:ONI35639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKQLLKRHPHCNSPSYAFFVYLKLFSSIPSTYSCSDIETQLRFLCDKSNPQISEAVSLFQGSIDSDRLPSGGTCNLLVHTLTRSKNYELAFSVYSKMTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI35640 pep chromosome:Prunus_persica_NCBIv2:G1:44723317:44729561:-1 gene:PRUPE_1G547200 transcript:ONI35640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI35637 pep chromosome:Prunus_persica_NCBIv2:G1:44726598:44729561:-1 gene:PRUPE_1G547200 transcript:ONI35637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKQLLKRHPHCNSPSYAFFVYLKLFSSIPSTYSCSDIETQLRFLCDKSNPQISEAVSLFQGSIDSDRLPSGGTCNLLVHTLTRSKNYELAFSVYSKMTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI35645 pep chromosome:Prunus_persica_NCBIv2:G1:44723776:44729607:-1 gene:PRUPE_1G547200 transcript:ONI35645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI35643 pep chromosome:Prunus_persica_NCBIv2:G1:44723317:44729605:-1 gene:PRUPE_1G547200 transcript:ONI35643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI35644 pep chromosome:Prunus_persica_NCBIv2:G1:44727163:44729043:-1 gene:PRUPE_1G547200 transcript:ONI35644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI35642 pep chromosome:Prunus_persica_NCBIv2:G1:44723314:44729605:-1 gene:PRUPE_1G547200 transcript:ONI35642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI35635 pep chromosome:Prunus_persica_NCBIv2:G1:44723776:44729561:-1 gene:PRUPE_1G547200 transcript:ONI35635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKQLLKRHPHCNSPSYAFFVYLKLFSSIPSTYSCSDIETQLRFLCDKSNPQISEAVSLFQGSIDSDRLPSGGTCNLLVHTLTRSKNYELAFSVYSKMTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI35638 pep chromosome:Prunus_persica_NCBIv2:G1:44723317:44729561:-1 gene:PRUPE_1G547200 transcript:ONI35638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKQLLKRHPHCNSPSYAFFVYLKLFSSIPSTYSCSDIETQLRFLCDKSNPQISEAVSLFQGSIDSDRLPSGGTCNLLVHTLTRSKNYELAFSVYSKMTHVGIFPSFISLSCLVACFVNTNHAKFAPGVLGLVLKRGFQLNVYVVNLMLKGLCSNGEVEKAMELFSVMGRNCVTPDIVSYNILIHGLCKAKKLKEATELLVDMEMADSDPNVKTYSTLIDGFCKDGRVDEAMGLLEEMKQKGWEPDVVVYSTLISGFCDKGSFDRGKEIFDEMVKKGIPPNVVTYSCFIHNLSRMGKWKEAIAMLNDMTKCGVRPDTVTYTGLLDGLFKNGRATKAMELFNLMLLKGEEPNTVTYNVMIDGLCKEGLVDDAFKILEMMKGKGKKPDVITYNTLLMGLSTDGKVDEAMKLYSTMSKDGNFVEPDVITYNMLIFGLCKEGDLDTVVEIYNTMVERGIAGNLFTYNAMIGGCLQEGSVGKAIKFWRHALDLGFVPNSITYSLMINGFCKTHMLKFAKGLFNKMRASGVNPTLIDHNVLMLYLCKEGSLRQARMLFEEMRITNCVPNLVSFNTIIDGTLKAGDIKSAKDLLEDMFKMGLTPDAITFSTLVNRFSKLGLLDEAKIVLEKMIACGLEPDAFVFDSLLKGYSSKGESEEIISLLHQMADKGVILDSEITSTILSCLCQISDDYDVMKILPTFSQETSKGASISCNELLMKLNKCYPELKL >ONI29694 pep chromosome:Prunus_persica_NCBIv2:G1:22304588:22306301:1 gene:PRUPE_1G209100 transcript:ONI29694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTRNGPRSNGMLPLLALHAASEYYRLDRKPPVTAGLIAANSLIYLRPAFLDPILPSLQEVWFNPHLILKNGDLKRFFLSPFYHVGESHLVYNMLSLLWKGIQLENSMDSAEFASMVAVLLGMSQGISLLISKSLLAFFDYEWAYYTEYSVGFSGVLFAMKVVLNSQTENSYVYGIPIPSRYAAWAELALVQFLVPGVSFIGHLGGILAGLVYIQLRGSYNGSDPLTVIVRGLTGVLKWPVRFLRRLFPFRRRQISGRGPVGGGQRGISVSGFWRCHACTYENSAWLDVCEMCSTNRRATGSANGMASPRSAHYSGDLPLEELRRRRMERFGR >ONI33306 pep chromosome:Prunus_persica_NCBIv2:G1:36129212:36134514:-1 gene:PRUPE_1G416000 transcript:ONI33306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGNEEKVMGPMFPRLHVNDADKGGPRAPPRNKMALYEQLSIPSQRFNPVVMPLNPNNTSSVVPSAFSSQGSRSEGNLPVPLHVHPSTPSRQAEMFHARQSDGANENTPLTQPDQRKKVGEEDDFRVPVFVQSRMGLCHSKTQIGIDKEKLAPIGPSHSGHTVKLKNTGKKDPKQLSSPTTLNLRRELRSEREEDLIKVSGPVRDHSAKSATKISTRQKIDGPAEEVSASPNQEYADYPVPRFSRLSESDACLQQESRSGSQPNITGQGDGLVECTRDVEKGAVFQERSLSYSGEDPGGPNELDNDSEYRGDRTCISPQMGHVDKSDDVSETSMVDSISGLDISPDDVVGIIGQKHFWKARKAIVNQQRLFAVQVFELHRLIKVQRLIAGSPNLLLEDTAFLGTSSLRGSPAKKLSSEYVVKPLLRVVKRKHEPEKPNNKIECSAENAVGKTSLSSVKNGSQTSNYGPYVGNPQPTPVGTDNKASPWCFHQSPGHQLLIPVMSPSEGLVYKPYHGPGFMGPVCGGCGPFNSTPMTGNFVKPNYGVPASHHHQGTGVLPAPPPLGHTYFPPYGMSVMNPAMPSSGIEQMHWFAGPGSHGQIDQLSGGGTNSNVQHQSSCNMPSQKSGAIPHAMMFQASNDSELQGSTANSPGDTARLGTDQNAEGSDALQLFPMAPVIPEGVAQPHDSGQPTRAIRVVPHNPRTATASAARIFQSIQEERKQHDSI >ONI29129 pep chromosome:Prunus_persica_NCBIv2:G1:16054784:16060903:-1 gene:PRUPE_1G182700 transcript:ONI29129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQPLVRSRCCTVSLPHTRSFNLSTKVPPSSNLTFGSSLHQRPLSASPAKRGVLQLVAAYSRGRESAPESPAKALRRILDLPGVHQAPACFDALSAKLVERAGFQCCLTSGFSISAARLGLPDTGLISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAINVKRTVKGYIKAGFAGILLEDQISPKACGHTKGRKVVSREEAVIRIRAAVDARKESGSDIVIVARTDSRQAVSLDEALWRSRAFADAGADVLFIDALTSKEEMKAFCGISSPVPKMANMLEGGGKTPILNPLELEDVGYKLVTYPLSLIGVSIRAMQEALAGIRGGRIPPPGSMPSFEEVKEILGFNNYYDEEKRYSASITPLSSERLTSNVYSLQRRVKDDAEQKDQSPQDPIVEVITPDVYNNYGADGSRGSFSGIWSRTLRVKITGRDGFEKLDVRIPAGFLDGITNIVPALGGVNIKELLNEAADEMGGKVLLDFNDTIGDRIQVFLE >ONI29127 pep chromosome:Prunus_persica_NCBIv2:G1:16054372:16061005:-1 gene:PRUPE_1G182700 transcript:ONI29127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQPLVRSRCCTVSLPHTRSFNLSTKVPPSSNLTFGSSLHQRPLSASPAKRGVLQLVAAYSRGRESAPESPAKALRRILDLPGVHQAPACFDALSAKLVERAGFQCCLTSGFSISAARLGLPDTGLISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAINVKRTVKGYIKAGFAGILLEDQACGHTKGRKVVSREEAVIRIRAAVDARKESGSDIVIVARTDSRQAVSLDEALWRSRAFADAGADVLFIDALTSKEEMKAFCGISSPVPKMANMLEGGGKTPILNPLELEDVGYKLVTYPLSLIGVSIRAMQEALAGIRGGRIPPPGSMPSFEEVKEILGFNNYYDEEKRYSASITPLSSERLTSNVYSLQRRVKDDAEQKDQSPQDPIVEVITPDVYNNYGADGSRGSFSGIWSRTLRVKITGRDGFEKLDVRIPAGFLDGITNIVPALGGVNIKELLNEAADEMGGKVLLDFNDTIGDRIQVFLE >ONI29128 pep chromosome:Prunus_persica_NCBIv2:G1:16054372:16061005:-1 gene:PRUPE_1G182700 transcript:ONI29128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQPLVRSRCCTVSLPHTRSFNLSTKVPPSSNLTFGSSLHQRPLSASPAKRGVLQLVAAYSRGRESAPESPAKALRRILDLPGVHQAPACFDALSAKLVERAGFQCCLTSGFSISAARLGLPDTGLISYGEMVDQGQQITQAVSIPVIGDGDNGYGNAINVKRTVKGYIKAGFAGILLEDQISPKACGHTKGRKVVSREEAVIRIRAAVDARKESGSDIVIVARTDSRQAVSLDEALWRSRAFADAGADVLFIDALTSKEEMKAFCGISSPVPKMANMLEGGGKTPILNPLELEDVGYKLVTYPLSLIGVSIRAMQEALAGIRGGRIPPPGSMPSFEEVKEILGFNNYYDEEKRYSASITPLSSERYGSRGSFSGIWSRTLRVKITGRDGFEKLDVRIPAGFLDGITNIVPALGGVNIKELLNEAADEMGGKVLLDFNDTIGDRIQVFLE >ONI32621 pep chromosome:Prunus_persica_NCBIv2:G1:34132845:34135780:1 gene:PRUPE_1G376700 transcript:ONI32621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRSECINYNYTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFENFTNSSREDLIKDALIATRETLQGEKLRSSICTIAVVGVEEPFHILDQDTVQKLIDAFEIVGQDEPPAAEPDVATEPGPAAEEGAGAGDGAGQGAVAEPDVAPMDI >ONI35018 pep chromosome:Prunus_persica_NCBIv2:G1:42035323:42036759:-1 gene:PRUPE_1G510400 transcript:ONI35018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYAELPPTPSQCHKSVRVGIICNVVPDYKFEEDDWKGNNIHLNVECRFTVVRILPPVEYANDEKKAAAGKNLCLSKRGVYSFDPEMIPINAYCVMIAFDPNSKDIFYLHVRRDIIKWNIRTGEWSKLFEHWATHRYYYTVVLPWWPTPVPRLAQQHAHRSVAEEVAAASR >ONI28677 pep chromosome:Prunus_persica_NCBIv2:G1:12192870:12202778:1 gene:PRUPE_1G154600 transcript:ONI28677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLESLDHIHKTKTLICALNLVSRNLPLPPDLFDVVSSIYDSAQDANLEHDKGLDDPDSSVGEDLLADLEDALLNQRQNCMSGAGLIESREKRYQSHIQHRLTELEELPSSRGEDLQTKCLLELYGLKLSELQKKVRCDVSSEYLLRMNCAYPDKTLFDWGMMRLRRPLYGVGDAFAMEADDQFRKKRDAERLSRLEEEEKNNIETRKRRFFTEVRNAVREYQLQIQASVKRQKHRNDNVLNWHAKQRQRATRAEKLRFQALKADDQEAYMRMVKESKNERLTMLLEETNKLLVNLGAAVQRQKDIKHSEGIEALKDSEGDLTELEEDVDIIDSDCNDDSSDLLKGQRQYNSVVHSIQEQVTEQPSMLQGGELRPYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLIENKGVTGPHLIVAPKAVLPNWVTEFATWAPSITAVLYDGRQEERKAMKEELSGEGKFNVLITHYDLIMRDKQFLKKISWCYLIVDEGHRLKNSECALAITLAGYDMRRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSVQNFEDWFNAPFADRGSISLTDEEQLLIIRRLHQVIRPFILRRKKDEVEKFLPGKSQVILKCDMSAWQKVYYQQVTDVGRVGLDNGSGKSKSLQNLTMQLRKCCNHPYLFVVGDYNMWRKEEIIRASGKFELLDRLLPKLHRAGHRVLLFSQMTRLMDILEVYLQLHDFKYLRLDGSTKTEERGTLLKKFNAENSPYFMFLLSTRAGGLGLNLQSADTVVIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRRDMLEEIMRKGTSSLGTDVPSEREINRLAARSDEEFWLFEKMDEERRRKENYRCRLMEDHEVPEWAYSAREKQTATKGFDSSSITGKRRRKEVQSYDDGLSDLQWMKAVENGADLSKLSGKGKRRHHLPSDTSVLVSDKAGSEEKITKLNENLPSVNEGASEDTYGLTPASKRHKSDGPKIEKHESHVAGGSGLNGPLLTFKIHRKKRSSYGNTSSSSDARGQSSNGRGNGWGS >ONI28676 pep chromosome:Prunus_persica_NCBIv2:G1:12192870:12202778:1 gene:PRUPE_1G154600 transcript:ONI28676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLESLDHIHKTKTLICALNLVSRNLPLPPDLFDVVSSIYDSAQDANLEHDKGLDDPDSSVGEDLLADLEDALLNQRQNCMSGAGLIESREKRYQSHIQHRLTELEGDWFMRTTHRVFHILLLKLPSSRGEDLQTKCLLELYGLKLSELQKKVRCDVSSEYLLRMNCAYPDKTLFDWGMMRLRRPLYGVGDAFAMEADDQFRKKRDAERLSRLEEEEKNNIETRKRRFFTEVRNAVREYQLQIQASVKRQKHRNDNVLNWHAKQRQRATRAEKLRFQALKADDQEAYMRMVKESKNERLTMLLEETNKLLVNLGAAVQRQKDIKHSEGIEALKDSEGDLTELEEDVDIIDSDCNDDSSDLLKGQRQYNSVVHSIQEQVTEQPSMLQGGELRPYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLIENKGVTGPHLIVAPKAVLPNWVTEFATWAPSITAVLYDGRQEERKAMKEELSGEGKFNVLITHYDLIMRDKQFLKKISWCYLIVDEGHRLKNSECALAITLAGYDMRRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSVQNFEDWFNAPFADRGSISLTDEEQLLIIRRLHQVIRPFILRRKKDEVEKFLPGKSQVILKCDMSAWQKVYYQQVTDVGRVGLDNGSGKSKSLQNLTMQLRKCCNHPYLFVVGDYNMWRKEEIIRASGKFELLDRLLPKLHRAGHRVLLFSQMTRLMDILEVYLQLHDFKYLRLDGSTKTEERGTLLKKFNAENSPYFMFLLSTRAGGLGLNLQSADTVVIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRRDMLEEIMRKGTSSLGTDVPSEREINRLAARSDEEFWLFEKMDEERRRKENYRCRLMEDHEVPEWAYSAREKQTATKGFDSSSITGKRRRKEVQSYDDGLSDLQWMKAVENGADLSKLSGKGKRRHHLPSDTSVLVSDKAGSEEKITKLNENLPSVNEGASEDTYGLTPASKRHKSDGPKIEKHESHVAGGSGLNGPLLTFKIHRKKRSSYGNTSSSSDARGQSSNGRGNGWGS >ONI27763 pep chromosome:Prunus_persica_NCBIv2:G1:8298613:8304588:-1 gene:PRUPE_1G103900 transcript:ONI27763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNPADGNVIKEQGTAASNGHSAVVEDSQNNPQDTSKSKEDGTKTVPYYKLFFFADSLDYLLMSVGTISAIGNGVCMPLMTIIFGDVITSFGEIGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGNFIQLIATFVGGFVIAFVKGWLLTLVMLSSIPLFVLSVAFMGILISKMASSGQTAYSVAATVVEQTVGSIRTVASFTGEEQAITNYNNSLIKAYDSGVQERLASGFGMGSVMLIMMCSYALAIWFGGKMILEKGYTGGEVINVIFAVLTGSMSLGQASPCLSTFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATAEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSSLDAESESIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGRHSELVKDPEGAYSQLIRLQEMSNVSEQTAVNDHERLSSVDSRRHSSQIFSNLRSISRGSSGRGNSNRHSFSISYGGPTAVDSLEPASAGRDIPASASSRVPPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFSILISSVIKTFYEPPPQLRKDSKFWALIFIVLGVVTFIAVPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDTEHSSGAIGARLSTDAASLRGVVGDALGLLVENSATAIAGLCIAFVANWQLALIILVLMPLLGLTGYAQVKYLKGFSADAKKMYEDASQVTNDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLLFFFLISVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAVGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPIFQDLCLTIHHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGFEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTIVGEQGIQLSGGQKQRVAIARAIMKTPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKGADVIAVVKNGVIAEKGKHETLVGIKDGIYASLVALHASASS >ONI27762 pep chromosome:Prunus_persica_NCBIv2:G1:8298613:8307331:-1 gene:PRUPE_1G103900 transcript:ONI27762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNPADGNVIKEQGTAASNGHSAVVEDSQNNPQDTSKSKEDGTKTVPYYKLFFFADSLDYLLMSVGTISAIGNGVCMPLMTIIFGDVITSFGEIGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGNFIQLIATFVGGFVIAFVKGWLLTLVMLSSIPLFVLSVAFMGILISKMASSGQTAYSVAATVVEQTVGSIRTVASFTGEEQAITNYNNSLIKAYDSGVQERLASGFGMGSVMLIMMCSYALAIWFGGKMILEKGYTGGEVINVIFAVLTGSMSLGQASPCLSTFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATAEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSSLDAESESIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGRHSELVKDPEGAYSQLIRLQEMSNVSEQTAVNDHERLSSVDSRRHSSQIFSNLRSISRGSSGRGNSNRHSFSISYGGPTAVDSLEPASAGRDIPASASSRVPPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFSILISSVIKTFYEPPPQLRKDSKFWALIFIVLGVVTFIAVPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDTEHSSGAIGARLSTDAASLRGVVGDALGLLVENSATAIAGLCIAFVANWQLALIILVLMPLLGLTGYAQVKYLKGFSADAKKMYEDASQVTNDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLLFFFLISVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAVGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPIFQDLCLTIHHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGFEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTIVGEQGIQLSGGQKQRVAIARAIMKTPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKGADVIAVVKNGVIAEKGKHETLVGIKDGIYASLVALHASASS >ONI27761 pep chromosome:Prunus_persica_NCBIv2:G1:8291709:8304255:-1 gene:PRUPE_1G103900 transcript:ONI27761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNPADGNVIKEQGTAASNGHSAVVEDSQNNPQDTSKSKEDGTKTVPYYKLFFFADSLDYLLMSVGTISAIGNGVCMPLMTIIFGDVITSFGEIGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGNFIQLIATFVGGFVIAFVKGWLLTLVMLSSIPLFVLSVAFMGILISKMASSGQTAYSVAATVVEQTVGSIRTVASFTGEEQAITNYNNSLIKAYDSGVQERLASGFGMGSVMLIMMCSYALAIWFGGKMILEKGYTGGEVINVIFAVLTGSMSLGQASPCLSTFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATAEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSSLDAESESIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGRHSELVKDPEGAYSQLIRLQEMSNVSEQTAVNDHERLSSVDSRRHSSQIFSNLRSISRGSSGRGNSNRHSFSISYGGPTAVDSLEPASAGRDIPASASSRVPPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFSILISSVIKTFYEPPPQLRKDSKFWALIFIVLGVVTFIAVPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDTEHSSGAIGARLSTDAASLRGVVGDALGLLVENSATAIAGLCIAFVANWQLALIILVLMPLLGLTGYAQVKYLKGFSADAKKMYEDASQVTNDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLLFFFLISVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAVGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPIFQDLCLTIHHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGFEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTIVGEQGIQLSGGQKQRVAIARAIMKTPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKGADVIAVVKNGVIAEKGKHETLVGIKDGIYASLVALHANVIKEKGKAASKVHSAVEDCQNNPKDTSKSKEDGTKTVPYYKLFSFADSLDYLLMSVGTISAIGNGASFPLMTIIFGDVINSFGQTGNNKEVVDAVSEVAQKFVYLAVGAAAAAFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEIKTGEIVGRMSGDTVLIQEATGEKVGSFIQLIATFVGGFVIAFIKGWLLTLVMLSSIPLLVFSGAVMGIIISKLASSGQTAYSVAATVVDQTIGSIRTVASFTGEKQAIADYNNSLIKAYNSGVQEGLASGFGMGSVMLIVMCSYALAVWFGGKMILERGYTGGEVINIVFSVLTGSMSLGQASPCLSAFAAGQAAAFKMFETIDRKPEIDAYDTDGKQLLDIRGDIELSDVYFSYPARPDEQIFHGFSISIPSGATAALVGESGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFACSIKDNIAYGKDGANTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMINRTTVIVAHRSSTVRNADTIAVIHRGIIVEKGPHSELIKDPEGAYSQLIMLQEMSRVSEQTTVSHHKRLSSVDSQGNSSRHSFSISYGVPTAVVSLKTESDIPASASSRVPPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAVLPIFGILISSVIKTFYEPPPQLRKDSKFWALIFIVLGVVTFIALPARQYFFAVAGCKLIKRVRSMCFEKVVYMEVSWFDDPEHSSGAVGARLSADAACLRRLVGDALGLLVENSATAIAGLCIAFVANWQLALIILVMLPLLGVNGYFHFKFMKGFSADAKKMYEDASQVANDAVGSIQTIASFCAEEKVIELYQKKCEGPIQTGIRQGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFCALTMTAVGVAQSGSLAPNQSKGKSSAASIFAILDQKSKIDSSDDSGTTIENVKGEIKLHHVSFKYPTRPDLPIFQDLCLTIHHGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGFEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVGADEIAVVKNGVIAEKGKHETLISIEDGIYASLVALHASASS >ONI27764 pep chromosome:Prunus_persica_NCBIv2:G1:8298613:8304838:-1 gene:PRUPE_1G103900 transcript:ONI27764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNPADGNVIKEQGTAASNGHSAVVEDSQNNPQDTSKSKEDGTKTVPYYKLFFFADSLDYLLMSVGTISAIGNGVCMPLMTIIFGDVITSFGEIGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGNFIQLIATFVGGFVIAFVKGWLLTLVMLSSIPLFVLSVAFMGILISKMASSGQTAYSVAATVVEQTVGSIRTVASFTGEEQAITNYNNSLIKAYDSGVQERLASGFGMGSVMLIMMCSYALAIWFGGKMILEKGYTGGEVINVIFAVLTGSMSLGQASPCLSTFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATAEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSSLDAESESIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGRHSELVKDPEGAYSQLIRLQEMSNVSEQTAVNDHERLSSVDSRRHSSQIFSNLRSISRGSSGRGNSNRHSFSISYGGPTAVDSLEPASAGRDIPASASSRVPPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFSILISSVIKTFYEPPPQLRKDSKFWALIFIVLGVVTFIAVPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDTEHSSGAIGARLSTDAASLRGVVGDALGLLVENSATAIAGLCIAFVANWQLALIILVLMPLLGLTGYAQVKYLKGFSADAKKMYEDASQVTNDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGIGFGLLFFFLISVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAVGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPIFQDLCLTIHHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGFEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTIVGEQGIQLSGGQKQRVAIARAIMKTPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKGADVIAVVKNGVIAEKGKHETLVGIKDGIYASLVALHASASS >ONI27765 pep chromosome:Prunus_persica_NCBIv2:G1:8298612:8304838:-1 gene:PRUPE_1G103900 transcript:ONI27765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNPADGNVIKEQGTAASNGHSAVVEDSQNNPQDTSKSKEDGTKTVPYYKLFFFADSLDYLLMSVGTISAIGNGVCMPLMTIIFGDVITSFGEIGNNKDVVDAVSKVALKFVYLAVGAAAAAFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGNFIQLIATFVGGFVIAFVKGWLLTLVMLSSIPLFVLSVAFMGILISKMASSGQTAYSVAATVVEQTVGSIRTVASFTGEEQAITNYNNSLIKAYDSGVQERLASGFGMGSVMLIMMCSYALAIWFGGKMILEKGYTGGEVINVIFAVLTGSMSLGQASPCLSTFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATAEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSSLDAESESIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGRHSELVKDPEGAYSQLIRLQEMSNVSEQTAVNDHERLSSVDSRRHSSQIFSNLRSISRGSSGRGNSNRHSFSISYGGPTAVDSLEPASAGRDIPASASSRVPPEVSLRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFSILISSVIKTFYEPPPQLRKDSKFWALIFIVLGVVTFIAVPARQYFFAVAGCKLIKRVRSMCYEKVVYMEVSWFDDTEHSSGAIGARLSTDAASLRGVVGDALGLLVENSATAIAGLCIAFVANWQLALIILVLMPLLGLTGYAQVKYLKGFSADAKKMYEDASQVTNDAVGSIRTIASFCAEEKFLCWSPTCCRRQDNIL >ONI28715 pep chromosome:Prunus_persica_NCBIv2:G1:12439287:12442722:-1 gene:PRUPE_1G156900 transcript:ONI28715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQGSLDSASTAEDRVEAKITPIVIFSCVLASTGGLMFGYEVAISGGVTAMPGFLKKFYPDVYASTQKLNTNSNYCKYNNQSLQLFTSLLYLAALVATFFASYTTKRLGRKKTMLIAGVFFIVGTVLNAAFHDLALVIIGRILLGCGIGFGNQVVPLFLSEIAPTRIRGGLNILFQLNATIGILLATLVNYGAAKIKGGWGWRLSLGLAGVPALMLTLGSLIVVDTPNSLIQRGKLDEGRSVLKKIRGTENIETEFAEIVEASRAAEEIKNPFRNLLKRKNRPPLVIAIAMQVFQQFTGINAIFFYAPILFASMGFGNNASLYAAVITGAVNVLATIVSVFLVDKVGRRMLLLEAGIQMFLSQIVVAIVLALKVKDHSNNLSYGLSILVVVMVCTFVSAFAWSWGPIGWLIPSETFPLEARSAGQSVTVCVNMLCCFVIGQVFLLMLCSLKYAIFLFFSAWVLLMSLFVLFLIPETKNVPIEEMTERVWKKHWFWKRYMDDHYEGDGVK >ONI26211 pep chromosome:Prunus_persica_NCBIv2:G1:771385:774542:1 gene:PRUPE_1G009900 transcript:ONI26211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYDSCDEEVYEEFGRGNRFLGFMFGNVNESGDLDVDYLDDDAKEHLAAFSDKLGPSLIKLDHTDVEQEYDGNKADNAVDYFDFDEDFKEEDHDPLLPRNEYDLYVDQVPLASLVPTTTTGSVFDDENYDEEEIEEQVEHDHVVATENKVDVDVDVQTIYLPAEEGQVFSDKSCTLTPTPLPVLCIEDGLAILRFSEIFGADHDRLSYSAPKHRHTKSMDVSDDIVEDDEEEAFLPGFQSLTVKHGISVSSFKDDDSNFTKLKDSCFVAEPMKQDLTVHVSEERERQSPLVSKFFPLDQQDWADGIVWGNSPIASDSDVESCEISGPDHEASVKNDTEPDNGPQNMLHNSSSSNSSLTFSASRCHPQILSLDVDVSADGTRQNAGEKLQQSDGARQLIRKLASQNRDMLEGSWLDQIIWDPDSDTPTEKPKLVIDLQDEQMLFEVLDNKDSEHQRLHSMAMMVSRPLKPSNGDSFELIDNEGQFGWQDVASDRYYSKSKRHKHTARGIKVYQSQPALGKKKSAACRGRTTVTGADHTDPRRLSMEAAREVLLRFGVSDELIARQTRWHRIAMISKLSSEQAASGVKVQSFSSAIGGDENENGCEGNNGDLGSFAGYLESLLDAEECDQEGLGLGDDHESKHDKPDGVKGLKKRSQLSIPLTEEEIEDEATEQSRLLMDDDKTARKKKKVRFVEEAGLAPGSQTCFGIEIQRGQ >ONI28045 pep chromosome:Prunus_persica_NCBIv2:G1:9316203:9319067:1 gene:PRUPE_1G118900 transcript:ONI28045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFVGAGLSPGCCIVRKKRSVVAQKPRLDPPIFSPTSNIFPPFGNMDKDQNNKEKVVLFDGLGRGNKLKKLKLKLGGVTHTIHTKYTTDFGCGGGSSIAKSSSLIDAFTPQLKPLPQDDVGGGPFCSDGGNGFGVKRKDHLKVDSSSRKEYSSKGKIFKESAPMDNEPVRKSKRVPKRCVLDADEDDDEDEEIRFLGRLSDSKVARSERIQMNDDFHGDANGEYKSSRLGKDRRNKSRSEKKYKDKDYLEEEEELASDDEPEPNGKKLKKGSLCLPPEGWKESPPTTRNRALQSGKDILTGAGSGFHELATDLLPAPSKRKEKVSEVERQLKKAEATQRRKVQSEKAAREAEAEAIRKILGQDSKKKKKEEKLKQQRDELIQGRTGSAVTLAPNTVRWVIGPNGTIVTFSDDIGLPGIFSPVPCSYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLHCYRAIQEMMQPLISC >ONI28046 pep chromosome:Prunus_persica_NCBIv2:G1:9315873:9319028:1 gene:PRUPE_1G118900 transcript:ONI28046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFVGAGLSPGCCIVRKKRSVVAQKPRLDPPIFSPTSNIFPPFGNMDKDQNNKEKVVLFDGLGRGNKLKKLKLKLGGVTHTIHTKYTTDFGCGGGSSIAKSSSLIDAFTPQLKPLPQDDVGGGPFCSDGGNGFGVKRKDHLKVDSSSRKEYSSKGKIFKESAPMDNEPVRKSKRVPKRCVLDADEDDDEDEEIRFLGRLSDSKVARSERIQMNDDFHGDANGEYKSSRLGKDRRNKSRSEKKYKDKDYLEEEEELASDDEPEPNGKKLKKGSLCLPPEGWKESPPTTRNRALQSGKDILTGAGSGFHELATDLLPAPSKRKEKVSEVERQLKKAEATQRRKVQSEKAAREAEAEAIRKILGQDSKKKKKEEKLKQQRDELIQGRTGSAVTLAPNTVRWVIGPNGTIVTFSDDIGLPGIFSPVPCSYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLHCYRAIQEMMQPLISC >ONI28047 pep chromosome:Prunus_persica_NCBIv2:G1:9315873:9319066:1 gene:PRUPE_1G118900 transcript:ONI28047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFVGAGLSPGCCIVRKKRSVVAQKPRLDPPIFSPTSNIFPPFGNMDKDQNNKEKVVLFDGLGRGNKLKKLKLKLGGVTHTIHTKYTTDFGCGGGSSIAKSSSLIDAFTPQLKPLPQDDVGGGPFCSDGGNGFGVKRKDHLKVDSSSRKEYSSKGKIFKESAPMDNEPVRKSKRVPKRCVLDADEDDDEDEEIRFLGRLSDSKVARSERIQMNDDFHGDANGEYKSSRLGKDRRNKSRSEKKYKDKDYLEEEEELASDDEPEPNGKKLKKGSLCLPPEGWKESPPTTRNRALQSGKDILTGAGSGFHELATDLLPAPSKRKEKVSEVERQLKKAEATQRRKVQSEKAAREAEAEAIRKILGQDSKKKKKEEKLKQQRDELIQGRTGSAVTLAPNTVRWVIGPNGTIVTFSDDIGLPGIFSPVPCSYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLHCYRAIQEMMQPLISC >ONI28044 pep chromosome:Prunus_persica_NCBIv2:G1:9315873:9318990:1 gene:PRUPE_1G118900 transcript:ONI28044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFVGAGLSPGCCIVRKKRSVVAQKPRLDPPIFSPTSNIFPPFGNMDKDQNNKEKVVLFDGLGRGNKLKKLKLKLGGVTHTIHTKYTTDFGCGGGSSIAKSSSLIDAFTPQLKPLPQDDVGGGPFCSDGGNGFGVKRKDHLKVDSSSRKEYSSKGKIFKESAPMDNEPVRKSKRVPKRCVLDADEDDDEDEEIRFLGRLSDSKVARSERIQMNDDFHGDANGEYKSSRLGKDRRNKSRSEKKYKDKDYLEEEEELASDDEPEPNGKKLKKGSLCLPPEGWKESPPTTRNRALQSGKDILTGAGSGFHELATDLLPAPSKRKEKVSEVERQLKKAEATQRRKVQSEKAAREAEAEAIRKILGQDSKKKKKEEKLKQQRDELIQGRTGSAVTLAPNTVRWVIGPNGTIVTFSDDIGLPGIFSPVPCSYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLHCYRAIQEMMQPLISC >ONI28041 pep chromosome:Prunus_persica_NCBIv2:G1:9315873:9319047:1 gene:PRUPE_1G118900 transcript:ONI28041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFVGAGLSPGCCIVRKKRSVVAQKPRLDPPIFSPTSNIFPPFGNMDKDQNNKEKVVLFDGLGRGNKLKKLKLKLGGVTHTIHTKYTTDFGCGGGSSIAKSSSLIDAFTPQLKPLPQDDVGGGPFCSDGGNGFGVKRKDHLKVDSSSRKEYSSKGKIFKESAPMDNEPVRKSKRVPKRCVLDADEDDDEDEEIRFLGRLSDSKVARSERIQMNDDFHGDANGEYKSSRLGKDRRNKSRSEKKYKDKDYLEEEEELASDDEPEPNGKKLKKGSLCLPPEGWKESPPTTRNRALQSGKDILTGAGSGFHELATDLLPAPSKRKEKVSEVERQLKKAEATQRRKVQSEKAAREAEAEAIRKILGQDSKKKKKEEKLKQQRDELIQGRTGSAVTLAPNTVRWVIGPNGTIVTFSDDIGLPGIFSPVPCSYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLHCYRAIQEMMQPLISC >ONI28042 pep chromosome:Prunus_persica_NCBIv2:G1:9315873:9318990:1 gene:PRUPE_1G118900 transcript:ONI28042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFVGAGLSPGCCIVRKKRSVVAQKPRLDPPIFSPTSNIFPPFGNMDKDQNNKEKVVLFDGLGRGNKLKKLKLKLGGVTHTIHTKYTTDFGCGGGSSIAKSSSLIDAFTPQLKPLPQDDVGGGPFCSDGGNGFGVKRKDHLKVDSSSRKEYSSKGKIFKESAPMDNEPVRKSKRVPKRCVLDADEDDDEDEEIRFLGRLSDSKVARSERIQMNDDFHGDANGEYKSSRLGKDRRNKSRSEKKYKDKDYLEEEEELASDDEPEPNGKKLKKGSLCLPPEGWKESPPTTRNRALQSGKDILTGAGSGFHELATDLLPAPSKRKEKVSEVERQLKKAEATQRRKVQSEKAAREAEAEAIRKILGQDSKKKKKEEKLKQQRDELIQGRTGSAVTLAPNTVRWVIGPNGTIVTFSDDIGLPGIFSPVPCSYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLHCYRAIQEMMQPLISC >ONI28043 pep chromosome:Prunus_persica_NCBIv2:G1:9315873:9319028:1 gene:PRUPE_1G118900 transcript:ONI28043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFVGAGLSPGCCIVRKKRSVVAQKPRLDPPIFSPTSNIFPPFGNMDKDQNNKEKVVLFDGLGRGNKLKKLKLKLGGVTHTIHTKYTTDFGCGGGSSIAKSSSLIDAFTPQLKPLPQDDVGGGPFCSDGGNGFGVKRKDHLKVDSSSRKEYSSKGKIFKESAPMDNEPVRKSKRVPKRCVLDADEDDDEDEEIRFLGRLSDSKVARSERIQMNDDFHGDANGEYKSSRLGKDRRNKSRSEKKYKDKDYLEEEEELASDDEPEPNGKKLKKGSLCLPPEGWKESPPTTRNRALQSGKDILTGAGSGFHELATDLLPAPSKRKEKVSEVERQLKKAEATQRRKVQSEKAAREAEAEAIRKILGQDSKKKKKEEKLKQQRDELIQGRTGSAVTLAPNTVRWVIGPNGTIVTFSDDIGLPGIFSPVPCSYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLHCYRAIQEMMQPLISC >ONI30728 pep chromosome:Prunus_persica_NCBIv2:G1:27691331:27693890:1 gene:PRUPE_1G269700 transcript:ONI30728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLCFPGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >ONI30727 pep chromosome:Prunus_persica_NCBIv2:G1:27690036:27693890:1 gene:PRUPE_1G269700 transcript:ONI30727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQNNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >ONI35680 pep chromosome:Prunus_persica_NCBIv2:G1:44950570:44951887:1 gene:PRUPE_1G549900 transcript:ONI35680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIATVEGITSIVLDPSKNTVTVTGDANPVKVIKKVRKFRKSASVMSIGPPPPQSEKKDEKKDLVPFNTKDMSEMSQTIRRSINDKVNRPMNGQVGLLNGPKKTNSTH >ONI35916 pep chromosome:Prunus_persica_NCBIv2:G1:45755683:45758448:1 gene:PRUPE_1G560400 transcript:ONI35916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLAMALAMVLAVAQEVEGQLMVGFYGETCPQAEPIVRNVVREAILSDTNMAPVLLRLHFHDCFVEGCDGSILIENGPSGERHAFGHQGVGGFEVIEKAKAELEAACQGVVSCADIVALAARDAIAMAGGPAYEVPTGRRDGVVSNMSLADDMPDVSNSIQQLKVKFMRKGLTEKDLVLLSAAHTIGTTACFFLTKRLYNFFPGGGSDPAINPTLLVELKQKCPQNGDVNVRLPIDQGSGQAFDLHILQNIRNGFAVLESDAKLNEDAVTRGIMDNYFGFFSNLPFGPSFEADFVEAILKMGQIGVRTGFQGAPRRVCASF >ONI31766 pep chromosome:Prunus_persica_NCBIv2:G1:31296740:31297304:1 gene:PRUPE_1G329800 transcript:ONI31766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSIIFFISNFSATTSTTPPKINPSLPPKIQSFTVVFRSRTWPPLPTSNFISPTLFLPIGWYICIFRTLFANQEENQINYT >ONI31223 pep chromosome:Prunus_persica_NCBIv2:G1:29448335:29450907:1 gene:PRUPE_1G299200 transcript:ONI31223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLLDRDLISNLPQSIIESILTRLPIRDAIRTSCLSTKWRYKWTTLTHLAFDEKCVTLSNDRTLVERSLIDFITRALFLHQGPVHKFQLSTSYLQSCPDIDQWILFLSRNDIKELVLELGEGEWFRVPSCLFYCKKLTRLELFRCELDPPPAFKGFLCLKSLNLHQVLVAPDAIESLISGCPLLESLALSYFDSLALNIRAPNLKYLCLEGEFKDICLENTPLLVAISVAMYVTDDIAEHFEQSSNCNFIKFLGGIPRLERLVGHIYFTKYLSIGNDQGILPITYNHLKIIELYQVSFEDMKEILVVLRLITNSPNLQELQISGSSNTLAAIEASDLDFWEKQCPSDCAFGRLKVVKMTDMSGVPHEMEFIKFLLKKSPVLETMSITPYAYVLDGRLNMLIELVSFKRASPEAEILFIQD >ONI31225 pep chromosome:Prunus_persica_NCBIv2:G1:29448335:29450935:1 gene:PRUPE_1G299200 transcript:ONI31225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLLDRDLISNLPQSIIESILTRLPIRDAIRTSCLSTKWRYKWTTLTHLAFDEKCVTLSNDRTLVERSLIDFITRALFLHQGPVHKFQLSTSYLQSCPDIDQWILFLSRNDIKELVLELGEGEWFRVPSCLFYCKKLTRLELFRCELDPPPAFKGFLCLKSLNLHQVLVAPDAIESLISGCPLLESLALSYFDSLALNIRAPNLKYLCLEGEFKDICLENTPLLVAISVAMYVTDDIAEHFEQSSNCNFIKFLGGIPRLERLVGHIYFTKYLSIGNDQGILPITYNHLKIIELYQVSFEDMKEILVVLRLITNSPNLQELQISGSSNTLAAIEASDLDFWEKQCPSDCAFGRLKVVKMTDMSGVPHEMEFIKFLLKKSPVLETMSITPYAYVLDGRLNMLIELVSFKRASPEAEILFIQD >ONI31224 pep chromosome:Prunus_persica_NCBIv2:G1:29448335:29450904:1 gene:PRUPE_1G299200 transcript:ONI31224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLLDRDLISNLPQSIIESILTRLPIRDAIRTSCLSTKWRYKWTTLTHLAFDEKCVTLSNDRTLVERSLIDFITRALFLHQGPVHKFQLSTSYLQSCPDIDQWILFLSRNDIKELVLELGEGEWFRVPSCLFYCKKLTRLELFRCELDPPPAFKGFLCLKSLNLHQVLVAPDAIESLISGCPLLESLALSYFDSLALNIRAPNLKYLCLEGEFKDICLENTPLLVAISVAMYVTDDIAEHFEQSSNCNFIKFLGGIPRLERLVGHIYFTKYLSIGNDQGILPITYNHLKIIELYQVSFEDMKEILVVLRLITNSPNLQELQISGSSNTLAAIEASDLDFWEKQCPSDCAFGRLKVVKMTDMSGVPHEMEFIKFLLKKSPVLETMSITPYAYVLDGRLNMLIELVSFKRASPEAEILFIQD >ONI29022 pep chromosome:Prunus_persica_NCBIv2:G1:14850721:14852047:1 gene:PRUPE_1G176000 transcript:ONI29022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIASSQIQIQQPGPPTTTTTTTTTTNSFSIESIEASIQSLTRSWHRRQQWHRFFWFDNNNSTQFQDLNLAPWRTHLANFLESTPIHAISISLLIVDLILTILELSSSLLTECNNSPNKNKKTTPEIWYHWVGIAILALLSAKTVAQAVGLGMRTFLRRRPGYVIDGVVLMGALVLEAFFERKGGGLLVVVSLWRVVRVVESAFELSDEAIEAQIQSVVCQFEMLKEENRRLAETISEQDEIIEKLQEDLDQYSTRFMINGYHKP >ONI35507 pep chromosome:Prunus_persica_NCBIv2:G1:44137721:44144447:-1 gene:PRUPE_1G540200 transcript:ONI35507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISISAIYTPPLSQNPLLVPSPISKLPRFSPPRSAILCFSSSPPPPPDMDATTGPSLYPLHRSKTLHLVRHAQGIHNVEGEKDHSAYMSYDLFDAHLTPLGWNQVDNLHKHVQACGLSKRVELVITSPLLRTMQTAVGVFGGGAYSDGIDVPPLMVANAGNSNHPAISSLNCPPFIAVELCREHLGVHPCDKRRSVSEYRPLFPAIDFSLIENEDDILWTPDIREKNEEVASRGLKFLNWLWTRKEKEIAIVTHSGFLFHTLSAFGSDCHPSVKSEICTHFANCELRSVIIVDRSLMGSDSSTTNYPGKIPQGPDLPSDIADEKQSEKGASK >ONI35508 pep chromosome:Prunus_persica_NCBIv2:G1:44137734:44144259:-1 gene:PRUPE_1G540200 transcript:ONI35508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISISAIYTPPLSQNPLLVPSPISKLPRFSPPRSAILCFSSSPPPPPDMDATTGPSLYPLHRSKTLHLVRHAQGIHNVEGEKDHSAYMSYDLFDAHLTPLGWNQVDNLHKHVQACGLSKRVELVITSPLLRTMQTAVGVFGGGAYSDGIDVPPLMVANAGNSNHPAISSLNCPPFIAVELCREHLGVHPCDKRRSVSEYRPLFPAIDFSLAKNEDDILWTPDIREKNEEVASRGLKFLNWLWTRKEKEIAIVTHSGFLFHTLSAFGSDCHPSVKSEICTHFANCELRSVIIVDRSLMGSDSSTTNYPGKIPQGPDLPSDIADEKQSEKGASK >ONI35509 pep chromosome:Prunus_persica_NCBIv2:G1:44137721:44144322:-1 gene:PRUPE_1G540200 transcript:ONI35509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDLFDAHLTPLGWNQVDNLHKHVQACGLSKRVELVITSPLLRTMQTAVGVFGGGAYSDGIDVPPLMVANAGNSNHPAISSLNCPPFIAVELCREHLGVHPCDKRRSVSEYRPLFPAIDFSLIENEDDILWTPDIREKNEEVASRGLKFLNWLWTRKEKEIAIVTHSGFLFHTLSAFGSDCHPSVKSEICTHFANCELRSVIIVDRSLMGSDSSTTNYPGKIPQGPDLPSDIADEKQSEKGASK >ONI29853 pep chromosome:Prunus_persica_NCBIv2:G1:23073009:23075208:1 gene:PRUPE_1G217700 transcript:ONI29853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEEEGERKGKKQRKQNGSEAKKQSKVAAAFAERVQRNGVRVKARRGEATDSHSLAERARREKINVRMKLLQSLVPGCDQINGKAHALDEIIKYVQLLQNQVECLAAELAFVDAMLYDDCELNPSTNPCASDQRLCCLEPPSSVPFRSLADAAPTPCTFASLLLTEDQKASLIPQVQDGGSFEDVGKHPARLDHSCTF >ONI29852 pep chromosome:Prunus_persica_NCBIv2:G1:23073009:23075208:1 gene:PRUPE_1G217700 transcript:ONI29852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEEEGERKGKKQRKQNGSEAKKQSKVAAAFAERVQRNGVRVKARRGEATDSHSLAERARREKINVRMKLLQSLVPGCDQINGKAHALDEIIKYVQLLQNQVECLAAELAFVDAMLYDDCELNPSTNPCASDQATRKKKENRGKATALNLASVLCPFR >ONI33333 pep chromosome:Prunus_persica_NCBIv2:G1:36230131:36234648:-1 gene:PRUPE_1G417800 transcript:ONI33333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGQWFSISKSTKLVAKEFTRASLKMLSKKASCDSHGQDSSYFLGWQEYEKNPYDPVQNPNGIIQMGLAENQLSFDLVESWLASNPDALELKRNGGSVFRELALFQDYHGFPAFKNELVEFMAEMRGNRVKFDPNKLVLTAGSTSANETLMFCLAEPGEAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSENGFQITAPALEEAYQRAQEFNLKVKGVLVTNPSNPLGTTMTTLELNHLIDFALAKEIHIISDEIYSGTVFNSPSFVSIVEAVTERNLEDTELWNRVHIVYSLSKDLGLPGFRVGMIYSNNQTVVSAATKMSSFGLVSSQTQYMLSHMLKDKKFTANYLKENQKRLRKRRQMFVCGLKGAGIRCLKSNAGLFCWVDMRHLLKSNTFEAEKELWMKVVCEFGLNISPGSSCHCSEPGWFRMCYANMSEDTLEVAMQRIKALVEPTMVHQSVVSKSTWLQTKWVFQLASYDRESDR >ONI29780 pep chromosome:Prunus_persica_NCBIv2:G1:22746111:22749127:-1 gene:PRUPE_1G214200 transcript:ONI29780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISKLSNPSPASSLSSTFNPRASQPKCLIGFLTTTTNFSSKVSSSKLSIRSKSSLKGSLVVRCSQGDGNGNPAKRTYLHDLYEKEGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPAIFQKAISTSNAYNDQFRELVQSGKDIESAYWELVVKDIQDASKLFESIYDQTDAGDGYVSVEVSPKLADDTQGTIEAAKWLHKVVARPNVYIKIPATAPCIPSIKEVIANGISVNVTLIFSLTRYEAVIDAYLDGLEASGLNDLSKVTSVASFFVSRVDTLIDKLLEKIGTPEALDLRGKAAVAQAALAYQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYSDTLYVAPLIGPDTVSTMPDQALQAFIDHGTVSRTIDSNVSEAEGIYSALEKLGIDWSYVGNQLEIEGVDSFKKSFDSLLDTLQEKANSLKLISL >ONI29779 pep chromosome:Prunus_persica_NCBIv2:G1:22745941:22749359:-1 gene:PRUPE_1G214200 transcript:ONI29779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISKLSNPSPASSLSSTFNPRASQPKCLIGFLTTTTNFSSKVSSSKLSIRSKSSLKGSLVVRCSQGDGNGNPAKRTYLHDLYEKEGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPAIFQKAISTSNAYNDQFRELVQSGKDIESAYWELVVKDIQDASKLFESIYDQTDAGDGYVSVEVSPKLADDTQGTIEAAKWLHKVVARPNVYIKIPATAPCIPSIKEVIANGISVNVTLIFSLTRYEAVIDAYLDGLEASGLNDLSKVTSVASFFVSRVDTLIDKLLEKIGTPEALDLRGKAAVAQAALAYQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYSDTLYVAPLIGPDTVSTMPDQALQAFIDHGTVSRTIDSNVSEAEGIYSALEKLGIDWSYVGNQLEIEGVDSFKKSFDSLLDTLQEKANSLKLISL >ONI26472 pep chromosome:Prunus_persica_NCBIv2:G1:1904170:1913931:1 gene:PRUPE_1G027300 transcript:ONI26472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALKPSLPMLSFFLLLSLYSNTFIHTALADGVTPEEAKQLRDEVREMFYHAFNGYMEHAFPLDELRPQSCGGEDSLGGYALTLIDSLDTLALLGDRDRFAASVEWIGKNLQFDINKTVSVFETTIRVLGGLLSAHLIASDYATGMKIPTYNNELLNLAEDLARRLLPAFDTPSGIPFGSVNLMHGVDEHESKITSTAGGGTLTLEFGVLSRLTKDPIFEQVTMNAVRGLWARRSRLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYAAAMHYLYNDPWYVEVNMDSAAVVWPLFNSLQAFWPGLQVLAGDIDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQHGQRSYPLRPELMESTYWLYKATRDPQYLDAGRDMVASLQYSARCPCGFCHISDVEFHKQEDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYIFSTEGHLLPATPEISLVQEHCSYFGAYCHTGNMQNESSASDVASNPQETNDGSLYGRVRTRFPSGSTFSDSTPISGLIKGVCPGLTHGQKFGISYVASSHRAQEESRNLRKPTVAESHSLVVVPGQTSEYSPSENKNYHEKFSESSVGGAVSDTPQKR >ONI26473 pep chromosome:Prunus_persica_NCBIv2:G1:1904170:1913931:1 gene:PRUPE_1G027300 transcript:ONI26473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALKPSLPMLSFFLLLSLYSNTFIHTALADGVTPEEAKQLRDEVREMFYHAFNGYMEHAFPLDELRPQSCGGEDSLGGYALTLIDSLDTLALLGDRDRFAASVEWIGKNLQFDIGMKIPTYNNELLNLAEDLARRLLPAFDTPSGIPFGSVNLMHGVDEHESKITSTAGGGTLTLEFGVLSRLTKDPIFEQVTMNAVRGLWARRSRLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYAAAMHYLYNDPWYVEVNMDSAAVVWPLFNSLQAFWPGLQVLAGDIDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQHGQRSYPLRPELMESTYWLYKATRDPQYLDAGRDMVASLQYSARCPCGFCHISDVEFHKQEDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYIFSTEGHLLPATPEISLVQEHCSYFGAYCHTGNMQNESSASDVASNPQETNDGSLYGRVRTRFPSGSTFSDSTPISGLIKGVCPGLTHGQKFGISYVASSHRAQEESRNLRKPTVAESHSLVVVPGQTSEYSPSENKNYHEKFSESSVGGAVSDTPQKR >ONI26474 pep chromosome:Prunus_persica_NCBIv2:G1:1905041:1913931:1 gene:PRUPE_1G027300 transcript:ONI26474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYHAFNGYMEHAFPLDELRPQSCGGEDSLGGYALTLIDSLDTLALLGDRDRFAASVEWIGKNLQFDINKTVSVFETTIRVLGGLLSAHLIASDYATGMKIPTYNNELLNLAEDLARRLLPAFDTPSGIPFGSVNLMHGVDEHESKITSTAGGGTLTLEFGVLSRLTKDPIFEQVTMNAVRGLWARRSRLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYAAAMHYLYNDPWYVEVNMDSAAVVWPLFNSLQAFWPGLQVLAGDIDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQHGQRSYPLRPELMESTYWLYKATRDPQYLDAGRDMVASLQYSARCPCGFCHISDVEFHKQEDHMESFFLAETVKYLWLLFDLAVGPDNLVENGPYKYIFSTEGHLLPATPEISLVQEHCSYFGAYCHTGNMQNESSASDVASNPQETNDGSLYGRVRTRFPSGSTFSDSTPISGLIKGVCPGLTHGQKFGISYVASSHRAQEESRNLRKPTVAESHSLVVVPGQTSEYSPSENKNYHEKFSESSVGGAVSDTPQKR >ONI35082 pep chromosome:Prunus_persica_NCBIv2:G1:42331698:42335925:-1 gene:PRUPE_1G514500 transcript:ONI35082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMAKYKVDKDLGCGFMGGIFQCRSYWSRKSSVHSLPSNSSKNDLKAPINDDYSTKSEESKSQQPKSTEAAIVVSSNSGRPSPNRDSKHSRKPSLGHPRPSACHQKAQPRRHSDAGRRSTSSSNGTSSQIKVLQIQDLSKETKLQRASTTRSAELSRKITDHQQANENKPLVRATSSNMVLSGQLGNLRQPGVSNQAASNSPIATIKTLTYHPRNLEDSNSTPTRKFGKLGGNVVMGNIVRKNSDEFGGLAWNKLDPEVLKSMGNEAYKQGRFEEALAFYDRAIALDSNKAAYHSNKGAALVGLGRLIEAVFECKEAIQIEPCYHKAHHRLATTYLRLGEAEKALDHYKHSGPYANSKDVDQCQALQKCLSRCTEAQKLQEWNILLNETQLAISSGANSAPQVFALQAEALLKLHRHQEAYATYQKRPSFSIDICTKFFGLASSAFLLMIGAQVYLAVGRFEDAIAAAQNAARLNPSDKNVAAVVKRARAVASARVSGNLLFKASKFSEACVVYSQGLQHDPHNSVLLCNRAACRTKLGQFEKAIDDCNAALNVLPSYSKARLRRADCNAKKGGGLQFKIMKF >ONI35081 pep chromosome:Prunus_persica_NCBIv2:G1:42331698:42335925:-1 gene:PRUPE_1G514500 transcript:ONI35081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMAKYKVDKDLGCGFMGGIFQCRSYWSRKSSVHSLPSNSSKNDLKAPINDDYSTKSEESKSQQPKSTEAAIVVSSNSGRPSPNRDSKHSRKPSLGHPRPSACHQKAQPRRHSDAGRRSTSSSNGTSSQIKVLQIQDLSKETKLQRASTTRSAELSRKITDHQQANENKPLVRATSSNMVLSGQLGNLRQPGVSNQAASNSPIATIKTLTYHPRNLEDSNSTPTRKFGKLGGNVVMGNIVRKNSDEFGGLAWNKLDPEVLKSMGNEAYKQGRFEEALAFYDRAIALDSNKAAYHSNKGAALVGLGRLIEAVFECKEAIQIEPCYHKAHHRLATTYLRLGEAEKALDHYKHSGPYANSKDVDQCQALQKCLSRCTEAQKLQEWNILLNETQLAISSGANSAPQVFALQAEALLKLHRHQEAYATYQKRPSFSIDICTKFFGLASSAFLLMIGAQVYLAVGRFEDAIAAAQNAARLNPSDKNVAAVVKRARAVASARVSGNLLFKASKFSEACVVYSQGLQHDPHNSVLLCNRAACRTKLGQFEKAIDDCNAALNVLPSYSKARLRRADCNAKLERWGPSIQDYEVLIRETPGDEEVGKALFEAKIQLKTQRGEDIKDMKFGSNLVLISSNERFRHFVTSPAKPNKSKCCKPCIKSAQDFHLSIFSRWRWKTIHTWPKWRM >ONI35080 pep chromosome:Prunus_persica_NCBIv2:G1:42332378:42335323:-1 gene:PRUPE_1G514500 transcript:ONI35080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMAKYKVDKDLGCGFMGGIFQCRSYWSRKSSVHSLPSNSSKNDLKAPINDDYSTKSEESKSQQPKSTEAAIVVSSNSGRPSPNRDSKHSRKPSLGHPRPSACHQKAQPRRHSDAGRRSTSSSNGTSSQIKVLQIQDLSKETKLQRASTTRSAELSRKITDHQQANENKPLVRATSSNMVLSGQLGNLRQPGVSNQAASNSPIATIKTLTYHPRNLEDSNSTPTRKFGKLGGNVVMGNIVRKNSDEFGGLAWNKLDPEVLKSMGNEAYKQGRFEEALAFYDRAIALDSNKAAYHSNKGAALVGLGRLIEAVFECKEAIQIEPCYHKAHHRLATTYLRLGEAEKALDHYKHSGPYANSKDVDQCQALQKCLSRCTEAQKLQEWNILLNETQLAISSGANSAPQVFALQAEALLKLHRHQEAYATYQKRPSFSIDICTKFFGLASSAFLLMIGAQVYLAVGRFEDAIAAAQNAARLNPSDKNVAAVVKRARAVASARVSGNLLFKASKFSEACVVYSQGLQHDPHNSVLLCNRAACRTKLGQFEKAIDDCNAALNVLPSYSKARLRRADCNAKLERWGPSIQDYEVLIRETPGDEEVGKALFEAKIQLKTQRGEDIKDMKFGSNLVLISSNERFRHFVTSPGMSVVLFCSKTKQKQVLQALHQVCTRFPSVNFLKVEVEDHPYLAKMEDVSTIPAFKIYKNGSRVKEIPGSNHELLESSVKLYSS >ONI33086 pep chromosome:Prunus_persica_NCBIv2:G1:35615203:35616518:1 gene:PRUPE_1G404700 transcript:ONI33086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLSRRSTRKEVNRGSWTAEEDQKLAQVIEIHGPKRWKFVATKAGLNRCGKSCRLRWMNYLRPNIKRGNISDQEEDLILRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKIKQRERQNRAVSKAEQESSDTKNIQIMEMNALTIGREDASKCEQSFKSGFNGDEFFDCSSDEGPLNLEWMNKFLEMDESWFTLHDI >ONI34546 pep chromosome:Prunus_persica_NCBIv2:G1:40515352:40515867:-1 gene:PRUPE_1G486700 transcript:ONI34546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQVLSPQNCLKDSLSPQQALMNPNRNPNPNRVRTSPQTDRKKRRPTRPNNNSPSPVQKPAAPKNIVMGQVKILKRGDEIPKATPVRSPQKQNPNPQVPDLGSTSRMGPDSKKVPERNWASGFYAGSSSCIAAPPPESLPLPSFFAKKSAPSSTDEAASVLLKLLRLNLS >ONI27163 pep chromosome:Prunus_persica_NCBIv2:G1:5074319:5074908:1 gene:PRUPE_1G071600 transcript:ONI27163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSSLTHSHTAASLLSIASLFRLSYHFPSTTEETKPTELFKIAN >ONI33711 pep chromosome:Prunus_persica_NCBIv2:G1:37548747:37558391:-1 gene:PRUPE_1G442600 transcript:ONI33711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEANRVAEETFPDMLKNTPSNIRRLEDEIDQCKGHQKYLAQTRSPSDGSDVRWYFCKVPLAVNEMAASVPRTEIVGKGGYFRFGKRDSLAIEASFLQREEELLSCWWREYAECSEGPKERPSSSKKVAEREILSSLERGRSAELYKVEEERVGVPVKGGLYEVDLVKRHSFPVYWDGENRRVLRGHWFARKGADWLPLREDVSEQLEIAYRSQVWHRRMFQPSGLFAARVELQGSTPGLHALFTGEDNTWEAWLNMDASGFSSIITLGGNGMKLRRGYSASYTSKPTQNELRQQKEEEMDDYCSAVPVRHLVFMVHGIGQRLEKSNLVDDVGEFHHITASLAETHLTSRQRDTQRVLFIPCQWRKGLKLSGEAAVEKCTLDGVKGLRVMLSATVHDVLYYMSPIYCQDIINAVSNQLNRLYLKFLRRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMDWMFKEHDRDGESSPGVDNQSTYDTPTNLGDTFAFVNDQTDDVMGFNDENMSAQPSLLIHEDGNAEDASTVVGHETSDSNDFVARSVDLKQPHGNKDVYESVCESSNMLRGDGSSETTSINCGVPVGGVEKVVEEVCEETSNKDKVVELLREEIDTLKSKIAELEAKCGENDEVLATIPKQPLSEKLPPEGEGSPKSYTPFINYTKLEFKVDTFFAVGSPLGVFLALRNIRIGIGKGKEYWGEENTSEEMPACRQLFNIFHPFDPVAYRIEPLVCKEYISKRPVIIPYHKGGKRLHIGFQEFTEDLAARSQAIMDRINSVKVKVLTVCQSRNTDSLEDTAETAEEKEERSYGTLMMERVTGSEGGRIDHVLQDKTFEHPYISAIGAHTNYWRDYDTALFILKHLYQGIHEDNLPEKSGMGNSKKESNYARWSGHGQTADEELPLTFSERSMIRYFSRKAKKLIEKSPEL >ONI33326 pep chromosome:Prunus_persica_NCBIv2:G1:36195554:36198406:1 gene:PRUPE_1G417100 transcript:ONI33326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRITRLHKLVRSIRVGSSLSSSSKLRVHCCFGSVAASNAEQACEQSVESYNVKKNEYADVDWDNLGFGLTPTDYMYVMKCSNNGTFEKGQLNRYGNIELNPAAGVLNYGQGLYEGTKAYRKKDGNLILFRPDQNAMRMQFGAERMCMPSPSVDQFVDAVKQTVQANKCWVPPPGKGSLYIRPLLIGSGPILGLAPSPEYTFLVYASPVRNYFKEGSAPLNIYVEEEYDRASRGGAGGVKSITNYAPVLKALLRAKNRGFSDVLYLDSVNNKNLEEVSSCNIFIVKGNLISTPAAVGTILPGVTRRSIIEIARDLCYQVEERPIPVDELNEADEVFCTGTAVGVAPVGSITCHGKRMEYKTGAQTVCTQLYSTLVGIQTGHIEDKKAWIVEIE >ONI31049 pep chromosome:Prunus_persica_NCBIv2:G1:28815250:28817353:-1 gene:PRUPE_1G288800 transcript:ONI31049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSARVRLNRWQQAAVAVGSAVGALLDPRRADLIAALGETTGKPAFERVLERMKKSPEGRAVLLEQPRVISVKVGHAWDLPENTFGAAYAKFMGSRNFSPDDRPPVRFMETDELAYVAMRAREVHDFWHTLFGLPTNLMGESALKVIEFEQMYLPMCLMSVIGGSARFSEKQRKLFFQHYFPWAIQAGMQCTDLMCIYYEQHFHEDLEDVRRKWGIIPAPAVPKQTRAA >ONI31047 pep chromosome:Prunus_persica_NCBIv2:G1:28815250:28817409:-1 gene:PRUPE_1G288800 transcript:ONI31047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSARVRLNRWQQAAVAVGSAVGALLDPRRADLIAALGETTGKPAFERVLERMKKSPEGRAVLLEQPRVISVKVGHAWDLPENTFGAAYAKFMGSRNFSPDDRPPVRFMETDELAYVAMRAREVHDFWHTLFGLPTNLMGESALKVIEFEQMYLPMCLMSVIGGSARFSEKQRKLFFQHYFPWAIQAGMQCTDLMCIYYEQHFHEDLEDVRRKWGIIPAPAVPKQTRAA >ONI31048 pep chromosome:Prunus_persica_NCBIv2:G1:28815250:28817482:-1 gene:PRUPE_1G288800 transcript:ONI31048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSARVRLNRWQQAAVAVGSAVGALLDPRRADLIAALGETTGKPAFERVLERMKKSPEGRAVLLEQPRVISVKVGHAWDLPENTFGAAYAKFMGSRNFSPDDRPPVRFMETDELAYVAMRAREVHDFWHTLFGLPTNLMGESALKVIEFEQMYLPMCLMSVIGGSARFSEKQRKLFFQHYFPWAIQAGMQCTDLMCIYYEQHFHEDLEDVRRKWGIIPAPAVPKQTRAA >ONI31046 pep chromosome:Prunus_persica_NCBIv2:G1:28815248:28817482:-1 gene:PRUPE_1G288800 transcript:ONI31046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSARVRLNRWQQAAVAVGSAVGALLDPRRADLIAALGETTGKPAFERVLERMKKSPEGRAVLLEQPRVISVKVGHAWDLPENTFGAAYAKFMGSRNFSPDDRPPVRFMETDELAYVAMRAREVHDFWHTLFGLPTNLMGESALKVIEFEQMYLPMCLMSVIGGSARFSEKQRKLFFQHYFPWAIQAGMQCTDLMCIYYEQHFHEDLEDVRRKWGIIPAPAVPKQTRAA >ONI35202 pep chromosome:Prunus_persica_NCBIv2:G1:42787397:42791235:-1 gene:PRUPE_1G522100 transcript:ONI35202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSCPSSTSDSALTSATTSSGGGSSSSTRDSYLKHLNKLSHKISKPTTSNNNNTFLKKPNFEIPTPPPPPPVPLPPQPQQQQQQQQQQHQPPVYNINKNDFRDVVQKLTGSPAHHDRFSNPQPPSVHPPKPQISSRLQRIRPPPLAHVSNRPPPSLECAAPPTNPNAINNSIIPPRGSAAAHFNSIGRSTTPLSPLPPFPTVHAAAESPVSAYMRYLHNSISAVDANKNQAFCGFSPLAPLVSPRWTNQTPQQNQQAAPPQQGMLSSASQQQPPATAIASQPQFTLPPSPLPFGCLNSPRSPYPLMSPNQLGFPQLPLSPTVPVPSPRWRGF >ONI32176 pep chromosome:Prunus_persica_NCBIv2:G1:32757127:32764678:1 gene:PRUPE_1G352400 transcript:ONI32176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKRAYKLQEFVAHSSTVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSSGPETTGVRSLTFSPDGRALLCGLHESLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAIGNASRLNGHTESKPLSGGNLSLLNENAAKSLGRLSVSQCSDSLVKETKSLGRLSVSQNSDPTKEPKVIASTGNVPGTPQRINLNSSPKTIAASSAAVPSATTLKRSSTKINSTANLPTFNKSDVIPVIVPRTSIRPELPAESRKEAGGSGRTLAFSLQSKATDFRKLSNSREEVDKSTVSSVSDSAASNATELSSTADKNGFSTVISSTQGIHTAERNTKDDRYFGPGKHETSSMLEATASYQHESYETRGHKVSRDAYSAEGQRGGRMRSLAVNWEKRDRYSNYEGPTPNSTLGTASAVNMPPLNAFKQRGYPTSTEKELVSASDEDAIADLMEKHDQFVGSMQSRSTKLQVVYRYWERNDVKGVIGAMEKMADHAVIADVVSIMTEKIDIVTLDICTCLLPLLNGLLESNMDRQL >ONI32173 pep chromosome:Prunus_persica_NCBIv2:G1:32756056:32765732:1 gene:PRUPE_1G352400 transcript:ONI32173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKRAYKLQEFVAHSSTVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSSGPETTGVRSLTFSPDGRALLCGLHESLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAIGNASRLNGHTESKPLSGGNLSLLNENAAKSLGRLSVSQCSDSLVKETKSLGRLSVSQNSDPTKEPKVIASTGNVPGTPQRINLNSSPKTIAASSAAVPSATTLKRSSTKINSTANLPTFNKSDVIPVIVPRTSIRPELPAESRKEAGGSGRTLAFSLQSKATDFRKLSNSREEVDKSTVSSVSDSAASNATELSSTADKNGFSTVISSTQGIHTAERNTKDDRYFGPGKHETSSMLEATASYQHESYETRGHKVSRDAYSAEGQRGGRMRSLAVNWEKRDRYSNYEGPTPNSTLGTASAVNMPPLNAFKQRGYPTSTEKELVSASDEDAIADLMEKHDQFVGSMQSRSTKLQVVYRYWERNDVKGVIGAMEKMADHAVIADVVSIMTEKIDIVTLDICTCLLPLLNGLLESNMDRHLGISLEMLLKLVRVFGSVIYSTLSASSSVGVDIEAEQRLERCNNCFMELEKVKTCLSALTRRGGSIAKSAQELNLALQEVS >ONI32175 pep chromosome:Prunus_persica_NCBIv2:G1:32756056:32765734:1 gene:PRUPE_1G352400 transcript:ONI32175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKRAYKLQEFVAHSSTVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSSGPETTGVRSLTFSPDGRALLCGLHESLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAIGNASRLNGHTESKPLSGGNLSLLNENAAKSLGRLSVSQCSDSLVKETKSLGRLSVSQNSDPTKEPKVIASTGNVPGTPQRINLNSSPKTIAASSAAVPSATTLKRSSTKINSTANLPTFNKSDVIPVIVPRTSIRPELPAESRKEAGGSGRTLAFSLQSKATDFRKLSNSREEVDKSTVSSVSDSAASNATELSSTADKNGFSTVISSTQGIHTAERNTKDDRYFGPGKHETSSMLEATASYQHESYETRGHKVSRDAYSAEGQRGGRMRSLAVNWEKRDRYSNYEGPTPNSTLGTASAVNMPPLNAFKQRGYPTSTEKELVSASDEDAIADLMEKHDQFVGSMQSRSTKLQVVYRYWERNDVKGVIGAMEKMADHAVIADVVSIMTEKIDIVTLDICTCLLPLLNGLLESNMDRHLGISLEMLLKLVRVFGSVIYSTLSASSSVGVDIEAEQRLERCNNCFMELEKVKTCLSALTRGGSIAKSAQELNLALQEVS >ONI32174 pep chromosome:Prunus_persica_NCBIv2:G1:32756056:32765732:1 gene:PRUPE_1G352400 transcript:ONI32174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKRAYKLQEFVAHSSTVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSSGPETTGVRSLTFSPDGRALLCGLHESLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAIGNASRLNGHTESKPLSGGNLSLLNENAAKSLGRLSVSQCSDSLVKETKSLGRLSVSQNSDPTKEPKVIASTGNVPGTPQRINLNSSPKTIAASSAAVPSATTLKRSSTKINSTANLPTFNKSDVIPVIVPRTSIRPELPAESRKEAGGSGRTLAFSLQSKATDFRKLSNSREEVDKSTVSSVSDSAASNATELSSTADKNGFSTVISSTQGIHTAERNTKDDRYFGPGKHETSSMLEATASYQHESYETRGHKVSRDAYSAEGQRGGRMRSLAVNWEKRDRYSNYEGPTPNSTLGTASAVNMPPLNAFKQRGYPTSTEKELVSASDEDAIADLMEKHDQFVGSMQSRSTKLQVVYRYWERNDVKGVIGAMEKMADHAVIADVVSIMTEKIDIVTLDICTCLLPLLNGLLESNMDRHLGISLEMLLKLVRVFGSVIYSTLSASSSVGVDIEAEQRLERCNNCFMELEKVKTCLSALTRRGGSIAKSAQELNLALQEVS >ONI28128 pep chromosome:Prunus_persica_NCBIv2:G1:9874093:9877966:1 gene:PRUPE_1G125200 transcript:ONI28128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVEIDNRYPLRFYFRIADNLLKQASIYREEKNIVDLYVILLRFSSLVSETIPCHRDYQTFPPKERSTYRKKLLKVLDELESLKPEAQHLVNKLNEAQAVSQLPQLECLEGTSYGLGTPSLEWPPVNNNSLSINIRQPSSLTSQSSWKYNNDYSRVSTNTTQIDKQFQKLSLNMPLPNKETLSRHSFLGPNGLQGQWLGPTAKVKVQYPSSTGLIPTENSGLNQVVQTDIVAVKDGDQGGIKSTMESVLSLDDGVWPRPAQELGPSLINEIREDPFELVINQPSPPPVLARVQPEYAPIPPSKVADPRPGPAKPSVDGMPSSNSYQHLHVPVKLMDDFLRLARANTEKNLETCGILAGSLKNKVFHITTLIVPKQESTSDSCQTLNEEEVFEVQDRLSLFSLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTSSPHGIFHLSDPGGVSVIRNCDQRGFHPHEEPLDGTPIYEHCSHVFINSNLRIDVVDLR >ONI36221 pep chromosome:Prunus_persica_NCBIv2:G1:46920252:46926322:-1 gene:PRUPE_1G576100 transcript:ONI36221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQFHHNYFERRPIFRSKTPAVKWFKEWVPQDVVATGGKCSLLKWVTADTIKALKEKSKETVAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHYEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHISSHHEKSVMVDVPKYATPPAEKQIKTPKPPAGGYGSASSDRPYACPYEGCEKAYIHEYKLKLHLRREHPGHMSDENAENAPNNADNEMDEASDQDAYAGKRVNGKSQKQNRPKPNLKFPPAKVVQRKGATPSPATMNTMKKPWPVKDEVVYEEEDSEETEEDRDNVEDGWRYGENNDDDDEETEDED >ONI36222 pep chromosome:Prunus_persica_NCBIv2:G1:46920770:46925909:-1 gene:PRUPE_1G576100 transcript:ONI36222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQFHHNYFERRPIFRSKTPAVKWFKEWVPQDVVATGGKCSLLKWVTADTIKALKEKSKETVAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHYEGCGKKFLDSSKLKRHFLIHTGERDYRCNFEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHISSHHEKSVMVDVPKYATPPAEKQIKTPKPPAGGYGSASSDRPYACPYEGCEKAYIHEYKLKLHLRREHPGHMSDENAENAPNNADNEMDEASDQDAYAGKRVNGKSQKQNRPKPNLKFPPAKVVQRKGATPSPATMNTMKKPWPVKDEVVYEEEDSEETEEDRDNVEDGWRYGENNDDDDEETEDED >ONI27487 pep chromosome:Prunus_persica_NCBIv2:G1:6761787:6762588:1 gene:PRUPE_1G089900 transcript:ONI27487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKSINAPPIQTLPLFLLPLSSSPTPIPVQTNLHRPQFEAQLLHRSQFKPHLLHRLSIQTPPQPDPTPNLPAFPMPTASTAPPLFSPPSEAQVTPNPAQA >ONI27783 pep chromosome:Prunus_persica_NCBIv2:G1:8426134:8428724:1 gene:PRUPE_1G104600 transcript:ONI27783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIGTHLREKSLYALSFCSDFLLTPDDTLVFSVEAYGDEKKARKKALFQHKFSHHNLTVEAVWPGLFVDKPGNYWDVPFSMSLDLASVAADSGASYRICAHHNSGSPERLDSGQSDGVPASLLPGLSVTSTFSFKKNIELWRNNAQKLRMVQPYDIFLSNPHVSASGIIGAAMTASFGDSSVRSQIADDDPEGFRGFSIRAPEVKSAFLADIFASASFTAQHGNFQRLFLDLTRFHARLDFPSGSKFLSGATHLAQDFFNSQQPNLEAIQDICPNATLSLQQQIAGPFSFRVDSGVAVELKNQDWNIRVDEPVFALEYALQVLGSAKAVAWYSPKHQECMIELRFYET >ONI27784 pep chromosome:Prunus_persica_NCBIv2:G1:8426866:8428560:1 gene:PRUPE_1G104600 transcript:ONI27784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKRKLERRHFFSTRCFSHHNLTVEAVWPGLFVDKPGNYWDVPFSMSLDLASVAADSGASYRICAHHNSGSPERLDSGQSDGVPASLLPGLSVTSTFSFKKNIELWRNNAQKLRMVQPYDIFLSNPHVSASGIIGAAMTASFGDSSVRSQIADDDPEGFRGFSIRAPEVKSAFLADIFASASFTAQHGNFQRLFLDLTRFHARLDFPSGSKFLSGATHLAQDFFNSQQPNLEAIQDICPNATLSLQQQIAGPFSFRVDSGVAVELKNQDWNIRVDEPVFALEYALQVLGSAKAVAWYSPKHQECMIELRFYET >ONI27782 pep chromosome:Prunus_persica_NCBIv2:G1:8426134:8428724:1 gene:PRUPE_1G104600 transcript:ONI27782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKLRWAMDGSFWDLDISTPRTLDGLARPVPGDPLPLGLTRGARLSRPKQIDFMQRFMPVPFVPSYAAANGFSLQRVLTIPISDNWFGTLLGQFNLQRFVSSVKKSGKTTPPDSASSWMQSIGTHLREKSLYALSFCSDFLLTPDDTLVFSVEAYGDEKKARKKALFQHKFSHHNLTVEAVWPGLFVDKPGNYWDVPFSMSLDLASVAADSGASYRICAHHNSGSPERLDSGQSDGVPASLLPGLSVTSTFSFKKNIELWRNNAQKLRMVQPYDIFLSNPHVSASGIIGAAMTASFGDSSVRSQIADDDPEGFRGFSIRAPEVKSAFLADIFASASFTAQHGNFQRLFLDLTRFHARLDFPSGSKFLSGATHLAQDFFNSQQPNLEAIQDICPNATLSLQQQIAGPFSFRVDSGVAVELKNQDWNIRVDEPVFALEYALQVLGSAKAVAWYSPKHQECMIELRFYET >ONI30736 pep chromosome:Prunus_persica_NCBIv2:G1:27782809:27783637:1 gene:PRUPE_1G270500 transcript:ONI30736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASVLLAWPSILKFLRCIVRPHKTITRSKFQPRRMFTIYILLCGALLYSAQTKELCLVIGSFLWAGSCLACPCRNTHV >ONI33246 pep chromosome:Prunus_persica_NCBIv2:G1:35980393:35981398:-1 gene:PRUPE_1G412500 transcript:ONI33246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKAAIFTNNASLKSFGTKLGFSVFQIDALYKNSPAGAEPPVDFGASELLKLLGFQVGKTVETSQYDLVFVHVGAGEVNVEKDKATDDVGYLNALVGAITQIAQPGSEIGARLHLSVVTSYGKVSEKDDPNLLVSFRKDDEKSDLSKLVPRQSYTMKGSQPRKDVRHHCPMLIAQWQYAVTRKDMAETFSFKDFKEYGGNLVIPADRFLHEVAFKLWKAPKYGA >ONI33247 pep chromosome:Prunus_persica_NCBIv2:G1:35980053:35981696:-1 gene:PRUPE_1G412500 transcript:ONI33247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKAAIFTNNASLKSFGTKLGFSVFQIDALYKNSPAGAEPPVDFGASELLKLLGFQVGKTVETSQYDLVFVHVGAGEVNVEKDKATDDVGYLNALVGAITQIAQPGSEIGARLHLSVVTSYGKVSEKDDPNLLVSFRKDDEKSDLSKLVPRQSYTMKGSQPRKDVRHHCPMLIAQWQYAVTRKDMAETFSFKDFKEYGGNLVIPADRFLHEVAFKLWKAPKYGA >ONI33245 pep chromosome:Prunus_persica_NCBIv2:G1:35980393:35982241:-1 gene:PRUPE_1G412500 transcript:ONI33245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRAVVLYGDGLVRFVDPSHIHLHSLASKASCGFLSLPIAPPSESEDERIVREFALLLDAYEAYHDTVRSTSLVIISERFMGMKAAIFTNNASLKSFGTKLGFSVFQIDALYKNSPAGAEPPVDFGASELLKLLGFQVGKTVETSQYDLVFVHVGAGEVNVEKDKATDDVGYLNALVGAITQIAQPGSEIGARLHLSVVTSYGKVSEKDDPNLLVSFRKDDEKSDLSKLVPRQSYTMKGSQPRKDVRHHCPMLIAQWQYAVTRKDMAETFSFKDFKEYGGNLVIPADRFLHEVAFKLWKAPKYGA >ONI33248 pep chromosome:Prunus_persica_NCBIv2:G1:35980053:35982333:-1 gene:PRUPE_1G412500 transcript:ONI33248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRAVVLYGDGLVRFVDPSHIHLHSLASKASCGFLSLPIAPPSESEDERIVREFALLLDAYEAYHDTVRSTSLVIISERFMGMKAAIFTNNASLKSFGTKLGFSVFQIDALYKNSPAGAEPPVDFGASELLKLLGFQVGKTVETSQYDLVFVHVGAGEVNVEKDKATDDVGYLNALVGAITQIAQPGSEIGARLHLSVVTSYGKVSEKDDPNLLVSFRKDDEKSDLSKLVPRQSYTMKGSQPRKDVSTVEIL >ONI33249 pep chromosome:Prunus_persica_NCBIv2:G1:35980764:35982241:-1 gene:PRUPE_1G412500 transcript:ONI33249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRAVVLYGDGLVRFVDPSHIHLHSLASKASCGFLSLPIAPPSESEDERIVREFALLLDAYEAYHDTVRSTSLVIISERFMGMKAAIFTNNASLKSFGTKLGFSVFQIDALYKNSPAGAEPPVDFGASELLKLLGFQVGKTVETSQYDLVFVHVGAGEVNVEKDKATDDVGYLNALVGAITQIAQPGSEIGARLHLSVVTSYGKVSEKDDPNLLVSFRKDDEKSDLSKLVPRQSYTMKGSQPRKDVSNSQGYG >ONI30954 pep chromosome:Prunus_persica_NCBIv2:G1:28574559:28577577:-1 gene:PRUPE_1G283900 transcript:ONI30954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPELPTEIIFSHILPRLPPKDLMMQCSPFFVADFWNDRNRSTTNFLFQNENRFFSSKIEEKEQQGGGNNNNNRVLIPTPVTEYLSRCEALQHYRYDGVQSVHGLVCASSSYGGPVFILNPTTRESIQLPHVKHNALVVYNFGFSPLTNEYKVLQLINFRNSGFQFNAFTLGQDSSWRPLQVDPAAGNVDLPFKIWAVARSPNSVCINGAIHWIDKSEKNIAVFDVGEESFSVVPLSEDCAQYLVDYDANFPSIVELSTHIDVKVGDLQRMNSSLRIVLTYGYPKLMIIFHGRKYAHGEIEPIRMLLLERRCAFVTYTTREAPRQELKGTDKARQQAAAHGGLLPQAVVSQQHNQFQQDQSAPFHYYNIPPQASHETTFFPSMNPRGAWREKFQFRVAATGAALC >ONI31978 pep chromosome:Prunus_persica_NCBIv2:G1:32168828:32173502:-1 gene:PRUPE_1G342800 transcript:ONI31978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYERIQKPQGGGGFSPGKLRTMLLGVEKKRKEKEDPESKFALRSQPSDFDEAGGGGSDNCKDVDVVSVLPECSTSTAADSVGSEMLSDRRLKDNTSVNSRVRNQEDPSLDYDSGHDAVCVSSSIFEFQKAERAPQRVPLAPFSKPAPSKWDDAQKWIASPTWNRPKMGQAQMQGGQGVGSRKVGNFGYGSRQPTTKVVVEVPDKKIAIFEEPDTKRIDTNQAKMESGGKKFVSWEADPYPIADSYGKPVLMIENSVGESAINLSQHDASAALHGTTTFIPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVGATTPIRSPTNSRPSTPGRAAPASSLIDQEANKELSEKELQMKTRREIMALGTQLGKMNIAAWASKEEDKDASTSLRTVPAEQPGKSVIETRAAAWEEAEKAKYIARFKREELKIQAWENHQKAKTEAEMRKIEVEVERIRGRAHSKLMNKLAATRHKAQEKRAASEAKRNQQAAKTEQQAEYIRRTGRIPSSFSCWGWCS >ONI31980 pep chromosome:Prunus_persica_NCBIv2:G1:32168957:32173045:-1 gene:PRUPE_1G342800 transcript:ONI31980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGVEKKRKEKEDPESKFALRSQPSDFDEAGGGGSDNCKDVDVVSVLPECSTSTAADSVGSEMLSDRRLKDNTSVNSRVRNQEDPSLDYDSGHDAVCVSSSIFEFQKAERAPQRVPLAPFSKPAPSKWDDAQKWIASPTWNRPKMGQAQMQGGQGVGSRKVGNFGYGSRQPTTKVVVEVPDKKIAIFEEPDTKRIDTNQAKMESGGKKFVSWEADPYPIADSYGKPVLMIENSVGESAINLSQHDASAALHGTTTFIPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVGATTPIRSPTNSRPSTPGRAAPASSLIDQEANKELSEKELQMKTRREIMALGTQLGKMNIAAWASKEEDKDASTSLRTVPAEQPGKSVIETRAAAWEEAEKAKYIARFKREELKIQAWENHQKAKTEAEMRKIEVEVERIRGRAHSKLMNKLAATRHKAQEKRAASEAKRNQQAAKTEQQAEYIRRTGRIPSSFSCWGWCS >ONI31979 pep chromosome:Prunus_persica_NCBIv2:G1:32168828:32173402:-1 gene:PRUPE_1G342800 transcript:ONI31979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYERIQKPQGGGGFSPGKLRTMLLGVEKKRKEKEDPESKFALRSQPSDFDEAGGGGSDNCKDVDVVSVLPECSTSTAADSVGSEMLSDRRLKDNTSVNSRVRNQEDPSLDYDSGHDAVCVSSSIFEFQKAERAPQRVPLAPFSKPAPSKWDDAQKWIASPTWNRPKMGQAQMQGGQGVGSRKVGNFGYGSRQPTTKVVVEVPDKKIAIFEEPDTKRIDTNQAKMESGGKKFVSWEADPYPIADSYGKPVLMIENSVGESAINLSQHDASAALHGTTTFIPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVGATTPIRSPTNSRPSTPGRAAPASSLIDQEANKELSEKELQMKTRREIMALGTQLGKMNIAAWASKEEDKDASTSLRTVPAEQPGKSVIETRAAAWEEAEKAKYIARFKREELKIQAWENHQKAKTEAEMRKIEVEVERIRGRAHSKLMNKLAATRHKAQEKRAASEAKRNQQAAKTEQQAEYIRRTGRIPSSFSCWGWCS >ONI33691 pep chromosome:Prunus_persica_NCBIv2:G1:37452140:37455807:-1 gene:PRUPE_1G441000 transcript:ONI33691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSLLLGCLLAFLIISCNANQIENLNKLLRSRKSANPPHPKSWESLDFADGQVSALYVGTHDGLKEADKIGALPGQPQGVDFDQYGGYVTVDPQAGRALFYYFVESPQNSSTKPLVLWLNGGPGCSSFGYGAMEELGPFRVNSDRKTLFQNEYAWNHVANVLFLESPAGVGFSYSNTTSDYENVGDKRTAQDSYKFLINWLERFPQYKTRDFFITGESYAGHYVPQLASTILQHNKATNQTNIINLKGIAIGNAWIDDSTGQLGIYDYLWSHALNSDETNAGIHKYCDFASDNSSSSCDKYQNQAGDEAGNVDIYNIYAPLCKISQAKSPSSSTGSVNGFDPCSDYYVDTYLNLVEVQAALHVKPTNWSACGGVGWTDSPTTMLPTIKQLIASGISLWIYSGDNDGRVPFTSSRYALSTLKLPLQTTWRPWYSNTEVGGYVAGYKGLTFATIRGAGHMVPSYQPQRALTFISSFLQGKLPPTS >ONI29982 pep chromosome:Prunus_persica_NCBIv2:G1:23871659:23874372:-1 gene:PRUPE_1G225000 transcript:ONI29982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPFQAPQGYSSAAPYVGSAAPSSMYLGVPPYGSSLFNGSSIPPYDVPFSGGSAYHYNYGSRLSTGSPYRPLHLSGPTPYSSGSMIGNGGMYGMPPMMERYGLGLPMGPGPMGPRPGFYPDDKSQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQQAAKNDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESKKSPSPAPDENDQCTG >ONI29978 pep chromosome:Prunus_persica_NCBIv2:G1:23871633:23874372:-1 gene:PRUPE_1G225000 transcript:ONI29978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPFQAPQGYSSAAPYVGSAAPSSMYLGVPPYGSSLFNGSSIPPYDVPFSGGSAYHYNYGSRLSTGSPYRPLHLSGPTPYSSGSMIGNGGMYGMPPMMERYGLGLPMGPGPMGPRPGFYPDDKSQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQQAAKNDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESKKSPSPAPDENDQ >ONI29980 pep chromosome:Prunus_persica_NCBIv2:G1:23871633:23874372:-1 gene:PRUPE_1G225000 transcript:ONI29980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSISRSSFVLFQKSAAKPFQAPQGYSSAAPYVGSAAPSSMYLGVPPYGSSLFNGSSIPPYDVPFSGGSAYHYNYGSRLSTGSPYRPLHLSGPTPYSSGSMIGNGGMYGMPPMMERYGLGLPMGPGPMGPRPGFYPDDKSQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQQAAKNDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESKKSPSPAPDENDQ >ONI29973 pep chromosome:Prunus_persica_NCBIv2:G1:23869926:23874490:-1 gene:PRUPE_1G225000 transcript:ONI29973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPFQAPQGYSSAAPYVGSAAPSSMYLGVPPYGSSLFNGSSIPPYDVPFSGGSAYHYNYGSRLSTGSPYRPLHLSGPTPYSSGSMIGNGGMYGMPPMMERYGLGLPMGPGPMGPRPGFYPDDKSQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQAAKNDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESKKSPSPAPDENDQELELVVGRRSCRLDRWCGQALVGALA >ONI29979 pep chromosome:Prunus_persica_NCBIv2:G1:23871633:23874372:-1 gene:PRUPE_1G225000 transcript:ONI29979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSISRSSFVLFQKSAAKPFQAPQGYSSAAPYVGSAAPSSMYLGVPPYGSSLFNGSSIPPYDVPFSGGSAYHYNYGSRLSTGSPYRPLHLSGPTPYSSGSMIGNGGMYGMPPMMERYGLGLPMGPGPMGPRPGFYPDDKSQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQAAKNDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESKKSPSPAPDENDQ >ONI29976 pep chromosome:Prunus_persica_NCBIv2:G1:23869926:23874490:-1 gene:PRUPE_1G225000 transcript:ONI29976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSISRSSFVLFQKSAAKPFQAPQGYSSAAPYVGSAAPSSMYLGVPPYGSSLFNGSSIPPYDVPFSGGSAYHYNYGSRLSTGSPYRPLHLSGPTPYSSGSMIGNGGMYGMPPMMERYGLGLPMGPGPMGPRPGFYPDDKSQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQQAAKNDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESKKSPSPAPDENDQELELVVGRRSCRLDRWCGQALVGALA >ONI29981 pep chromosome:Prunus_persica_NCBIv2:G1:23871659:23874372:-1 gene:PRUPE_1G225000 transcript:ONI29981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPFQAPQGYSSAAPYVGSAAPSSMYLGVPPYGSSLFNGSSIPPYDVPFSGGSAYHYNYGSRLSTGSPYRPLHLSGPTPYSSGSMIGNGGMYGMPPMMERYGLGLPMGPGPMGPRPGFYPDDKSQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQAAKNDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESKKSPSPAPDENDQCTG >ONI29974 pep chromosome:Prunus_persica_NCBIv2:G1:23869926:23874490:-1 gene:PRUPE_1G225000 transcript:ONI29974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPFQAPQGYSSAAPYVGSAAPSSMYLGVPPYGSSLFNGSSIPPYDVPFSGGSAYHYNYGSRLSTGSPYRPLHLSGPTPYSSGSMIGNGGMYGMPPMMERYGLGLPMGPGPMGPRPGFYPDDKSQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQQAAKNDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESKKSPSPAPDENDQELELVVGRRSCRLDRWCGQALVGALA >ONI29977 pep chromosome:Prunus_persica_NCBIv2:G1:23871633:23874372:-1 gene:PRUPE_1G225000 transcript:ONI29977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPFQAPQGYSSAAPYVGSAAPSSMYLGVPPYGSSLFNGSSIPPYDVPFSGGSAYHYNYGSRLSTGSPYRPLHLSGPTPYSSGSMIGNGGMYGMPPMMERYGLGLPMGPGPMGPRPGFYPDDKSQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQAAKNDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESKKSPSPAPDENDQ >ONI29975 pep chromosome:Prunus_persica_NCBIv2:G1:23869926:23874490:-1 gene:PRUPE_1G225000 transcript:ONI29975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSISRSSFVLFQKSAAKPFQAPQGYSSAAPYVGSAAPSSMYLGVPPYGSSLFNGSSIPPYDVPFSGGSAYHYNYGSRLSTGSPYRPLHLSGPTPYSSGSMIGNGGMYGMPPMMERYGLGLPMGPGPMGPRPGFYPDDKSQKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQAAKNDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGADKPAESKKSPSPAPDENDQELELVVGRRSCRLDRWCGQALVGALA >ONI29617 pep chromosome:Prunus_persica_NCBIv2:G1:20775533:20778650:1 gene:PRUPE_1G205700 transcript:ONI29617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRPNILVTGTPGTGKTTTSSALAEATQLRHINVGDLVKAKNLHDGWDDDLDCYIINEDLVCDELEDTMEEGGNIVDYHGCDFFPERWFDLVVVLQTDNTVLYDRLTRRGYSESKLSNNIECEIFQTLLEEAKESYPQDIVLPLKSDSIQDISTNLSTLTEWIRRWQPST >ONI29615 pep chromosome:Prunus_persica_NCBIv2:G1:20775445:20778664:1 gene:PRUPE_1G205700 transcript:ONI29615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRPNILVTGTPGTGKTTTSSALAEATQLRHINVGDLVKAKNLHDGWDDDLDCYIINEDLVCDELEDTMEEGGNIVDYHGCDFFPERWFDLVVVLQTDNTVLYDRLTRRGYSESKLSNNIECEIFQTLLEEAKESYPQDIVLPLKSDSIQDISTNLSTLTEWIRRWQPST >ONI29616 pep chromosome:Prunus_persica_NCBIv2:G1:20777263:20778650:1 gene:PRUPE_1G205700 transcript:ONI29616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRPNILVTGTPGTGKTTTSSALAEATQLRHINVGDLVKAKNLHDGWDDDLDCYIINEDLVCDELEDTMEEGGNIVDYHGCDFFPERWFDLVVVLQTDNTVLYDRLTRRGYSESKLSNNIECEIFQTLLEEAKESYPQDIVLPLKSDSIQDISTNLSTLTEWIRRWQPST >ONI33317 pep chromosome:Prunus_persica_NCBIv2:G1:36156265:36157816:-1 gene:PRUPE_1G416500 transcript:ONI33317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGEPTRLHPTLQVPPWDPFDDLTPTSPFSAPNPSVNVNSNAGNGDYSPLSPMFLDSLAALHRYLPSNESDSLGDDPDMPMNPISCDQFRMFEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGAACPEFRKGHCAKGDSCEFAHGVFECWLHPARYRTQPCKDGLGCHRRVCFFAHTPEQLRVLPGQSPRTHGSGAFDSYSYGSSPTSILISPPISPPSDSPPMSPNSPQLGCNSVSELVASMRNFKLAKMKMSAPPAWGPQMGSGFGSSPRGSALRPAFCSLPSTPTRTSGRAGPGAVDIWDHPCEEEPAMERVESGRDLRARMYARLSKENSMGRVGRVDSGMSAPDVGWVSELVSE >ONI35023 pep chromosome:Prunus_persica_NCBIv2:G1:42070536:42074147:1 gene:PRUPE_1G510800 transcript:ONI35023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFTYAKLCFLPYCLVIFLFVSSPNWVAFPSATSTSHTEAEALLKWKASFFPNQALNNLTWYDLPTHNINATNSSSTNPKPRTSPCTWTGVSCNSARSVNKIELVNRGLQGTLHEFSFLSFPNLEYLNLSLNKLFDAIPPQISNLSKLHYLDLSWNNFSGRIPPEIGLLRNLTYLYLYDNKLSGLIPKEIGNLKSLVYLALSSNNLSGLIPPNIGNLINLNTLYLYSNQLSGLIPKEIGNLKSLVNLSLSYNNLTGVIPPNIGNLINLNTLHLYSNQLSGLIPKEIGNLKSLNTLHLYSNQLSGLIPKEIGNLKSLIKLNLGKNQLNGSLPVSIGELRNLENFHLADNQLSGPIPQEIENLKKLTNLQLSINQFSGYLPHNICQGGKLTNFTVFRNHLTGPIPKSLKNCTSLFRLRLDQNQFTGNISEDFGIYPNLHFMDVSHNNFYGEISHNWQKSPKLTTLRLAGNNLTGSIPPEIGNATQIQELDLSSNHLVGLIPMGFGRLTFLERLMLNGNQLLGRIPSEFGSLTDLDYLDLSTNKFSDSIPSILGDLLKLYHLNLSNNKLSQAIPLQLGKLVQLNELDLSHNSLEGSIPSAISNMESLMILNLSHNNLSGSIPSSFEEMHGLSYVDISYNHLEGPLPNIKAFQEAPPERLEGNKGLCGKVGALLPPCNAHGSKKDHKVISVLAVFVLLSALFIIVFVIMQRRKKHQDTKQNHMHGEISFSVLNFDGKSMYEEIIRATEDFDSIYCIGNGGHGSVYKVNFSSGDVVAVKKLHMLWDGETKFQKEFLNEVRALSEIRHRNIVKLYGFCAHKRHSFLVYEYLERGSLAAMLSKNEEAKELGWSKRVNIVKGLAHALSYMHHDCLPPIVHRDISSKNILLDSEYEACVSDFGTAKFLNPDSTNWTAAAGTYGYMAPELAYTMEVNENCDVYSFGVVTLEIIMGKHPGDLFSSFLSISSSSSSSSSSALAAHQIPIVDVLDQRISPPTHQVANEVVSLVKIAFSCLNSSPKSRPIMKQVSHFLSNSMLHLSKPIHMMTCGELLALDPLVT >ONI31253 pep chromosome:Prunus_persica_NCBIv2:G1:29647677:29652754:1 gene:PRUPE_1G301600 transcript:ONI31253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTYFSSSMYSVRTQGSLSYSFNDYSYLLNERFDRNPIHSSTLSSSKSCCCTCCALSTHRVPINPCYLYGLRQSTLLQWSACRRLILGRRDRYNYRVQEQSPDWGCYERPCSLMERNVYSRRGRRRKGRCCRADGEGEGELYNSGDLDDAEAMLSLLSEEVGEECFRRERNGFSFKIVELEGRRRLSGRERSSSKRVEEESRGSLSGSERKVNSSKRVEEESRRSLSGRERNAISSKKVEAEKRSFGGREKNGSSSKGVQVEIEGNNSSECNSGKKKNDGRLSSSESNSKRQFESATIDLSEGDSRQKEERGMFLRSENLRGRKGGSSSSYYSFSSSGDFEIDFQDKHGLLEEPASSVYKDSECDRFDEQVSEEYRKHRDDSDGNGEITRQTNTAVEGGVTWDWRKKTEKKLTEVVAEETQADWKSSEMHSRVMKTKQHELGKASGSHKQFDDEQETSYLTKATKEQYSQTENQVGGVPESRRKFQEHNEISEICRNSVETTSWSQKRPTQRENLGIATNLVQETKDEHYKTAGNINKKEDLNRDNQKLSRVSQVRVADAERTSNWQGQSDTRGIYQEENTNVLLSSVNQIEVQHHQIDQQIIGCVNLGRKPQQVTDISEICDSGVETANIIQPEIRIKNQAERSNLVPASSGESSEPYSGMDEKAFQRIQSRKGTDDVTEMPLVRASNKERNTNAQRISKKRTINQGSDIASAATSFEETRQRNNETDETLMQVKPRKEAQSSTGLSNFYEKDSEGASSFQASLSTVSQARIQPDDVVGNKRSPQAMLLPPPSQLIARGSLHIESTSGMATQEVSGEISESGSPALCTHSGKQTSALHQESHTGSGNAETEAEIEYLIPEDALGSAYRLEKSSSQFLGDFIESVRYGVSTSENQNETVSEPRLVYGGEEEGQSTSALLQESGSGNGNPGTPGEILYLINPEDALNSAHRLEKSSSQFVGEFSEKVRHEVSTSKNQNVNTVSEEKLVHGDEKYGQRNSSQNGSQDLQKKKNDSRRSSGGSGTKGPSDEMWDVTDPSVLRTPMAEKSEVTTSGNAIVKRTGRSVWNIVADILRLKWSSNAETPRSAGKSGGRISSNESASSEAWFSGREPEDNNEKNAKGDQDMQPEPTSDQLQPGKSFSQSEGGVSGIMRTKDKVRYSEAGTPSSPIKDDSGLTSTAASVSSGEETLGSKENQKSSQGSSSGIKKVESSQPLIASGIWSPVLEEISNPGITVSASGSTKHMDQFGSQKLNEVSDNVQMGGELKQRKLQRNKQVLRDRFDEWEDAYTLEIEQRKTDEMFMREALLEAKKAADTWEVPVGAVLVQHGKIIARGCNLVEELRDSTAHAEMICIREASNLLRTWRLADSTLYVTLEPCPMCAGAILQARIDTVVWGAPNKLLGADGSWIRLFPDGRGGNGSEQSDKPAAPVHPFHPKMNIRRGVLASECADIMKQFFQLRRKKKEKQADLPAPPARQPVSHHPSKLLTKMHDIFHIMFCL >ONI31252 pep chromosome:Prunus_persica_NCBIv2:G1:29647455:29653092:1 gene:PRUPE_1G301600 transcript:ONI31252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQFSNLIFDKDHILLHSEPHCQEPSKIHFSIQRNPIHSSTLSSSKSCCCTCCALSTHRVPINPCYLYGLRQSTLLQWSACRRLILGRRDRYNYRVQEQSPDWGCYERPCSLMERNVYSRRGRRRKGRCCRADGEGEGELYNSGDLDDAEAMLSLLSEEVGEECFRRERNGFSFKIVELEGRRRLSGRERSSSKRVEEESRGSLSGSERKVNSSKRVEEESRRSLSGRERNAISSKKVEAEKRSFGGREKNGSSSKGVQVEIEGNNSSECNSGKKKNDGRLSSSESNSKRQFESATIDLSEGDSRQKEERGMFLRSENLRGRKGGSSSSYYSFSSSGDFEIDFQDKHGLLEEPASSVYKDSECDRFDEQVSEEYRKHRDDSDGNGEITRQTNTAVEGGVTWDWRKKTEKKLTEVVAEETQADWKSSEMHSRVMKTKQHELGKASGSHKQFDDEQETSYLTKATKEQYSQTENQVGGVPESRRKFQEHNEISEICRNSVETTSWSQKRPTQRENLGIATNLVQETKDEHYKTAGNINKKEDLNRDNQKLSRVSQVRVADAERTSNWQGQSDTRGIYQEENTNVLLSSVNQIEVQHHQIDQQIIGCVNLGRKPQQVTDISEICDSGVETANIIQPEIRIKNQAERSNLVPASSGESSEPYSGMDEKAFQRIQSRKGTDDVTEMPLVRASNKERNTNAQRISKKRTINQGSDIASAATSFEETRQRNNETDETLMQVKPRKEAQSSTGLSNFYEKDSEGASSFQASLSTVSQARIQPDDVVGNKRSPQAMLLPPPSQLIARGSLHIESTSGMATQEVSGEISESGSPALCTHSGKQTSALHQESHTGSGNAETEAEIEYLIPEDALGSAYRLEKSSSQFLGDFIESVRYGVSTSENQNETVSEPRLVYGGEEEGQSTSALLQESGSGNGNPGTPGEILYLINPEDALNSAHRLEKSSSQFVGEFSEKVRHEVSTSKNQNVNTVSEEKLVHGDEKYGQRNSSQNGSQDLQKKKNDSRRSSGGSGTKGPSDEMWDVTDPSVLRTPMAEKSEVTTSGNAIVKRTGRSVWNIVADILRLKWSSNAETPRSAGKSGGRISSNESASSEAWFSGREPEDNNEKNAKGDQDMQPEPTSDQLQPGKSFSQSEGGVSGIMRTKDKVRYSEAGTPSSPIKDDSGLTSTAASVSSGEETLGSKENQKSSQGSSSGIKKVESSQPLIASGIWSPVLEEISNPGITVSASGSTKHMDQFGSQKLNEVSDNVQMGGELKQRKLQRNKQVLRDRFDEWEDAYTLEIEQRKTDEMFMREALLEAKKAADTWEVPVGAVLVQHGKIIARGCNLVEELRDSTAHAEMICIREASNLLRTWRLADSTLYVTLEPCPMCAGAILQARIDTVVWGAPNKLLGADGSWIRLFPDGRGGNGSEQSDKPAAPVHPFHPKMNIRRGVLASECADIMKQFFQLRRKKKEKQADLPAPPARQPVSHHPSKLLTKMHDIFHIMFCL >ONI31254 pep chromosome:Prunus_persica_NCBIv2:G1:29647474:29653007:1 gene:PRUPE_1G301600 transcript:ONI31254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTYFSSSMYSVRTQGSLSYSFNDYSYLLNERFDRNPIHSSTLSSSKSCCCTCCALSTHRVPINPCYLYGLRQSTLLQWSACRRLILGRRDRYNYRVQEQSPDWGCYERPCSLMERNVYSRRGRRRKGRCCRADGEGEGELYNSGDLDDAEAMLSLLSEEVGEECFRRERNGFSFKIVELEGRRRLSGRERSSSKRVEEESRGSLSGSERKVNSSKRVEEESRRSLSGRERNAISSKKVEAEKRSFGGREKNGSSSKGVQVEIEGNNSSECNSGKKKNDGRLSSSESNSKRQFESATIDLSEGDSRQKEERGMFLRSENLRGRKGGSSSSYYSFSSSGDFEIDFQDKHGLLEEPASSVYKDSECDRFDEQVSEEYRKHRDDSDGNGEITRQTNTAVEGGVTWDWRKKTEKKLTEVVAEETQADWKSSEMHSRVMKTKQHELGKASGSHKQFDDEQETSYLTKATKEQYSQTENQVGGVPESRRKFQEHNEISEICRNSVETTSWSQKRPTQRENLGIATNLVQETKDEHYKTAGNINKKEDLNRDNQKLSRVSQVRVADAERTSNWQGQSDTRGIYQEENTNVLLSSVNQIEVQHHQIDQQIIGCVNLGRKPQQVTDISEICDSGVETANIIQPEIRIKNQAERSNLVPASSGESSEPYSGMDEKAFQRIQSRKGTDDVTEMPLVRASNKERNTNAQRISKKRTINQGSDIASAATSFEETRQRNNETDETLMQVKPRKEAQSSTGLSNFYEKDSEGASSFQASLSTVSQARIQPDDVVGNKRSPQAMLLPPPSQLIARGSLHIESTSGMATQEVSGEISESGSPALCTHSGKQTSALHQESHTGSGNAETEAEIEYLIPEDALGSAYRLEKSSSQFLGDFIESVRYGVSTSENQNETVSEPRLVYGGEEEGQSTSALLQESGSGNGNPGTPGEILYLINPEDALNSAHRLEKSSSQFVGEFSEKVRHEVSTSKNQNVNTVSEEKLVHGDEKYGQRNSSQNGSQDLQKKKNDSRRSSGGSGTKGPSDEMWDVTDPSVLRTPMAEKSEVTTSGNAIVKRTGRSVWNIVADILRLKWSSNAETPRSAGKSGGRISSNESASSEAWFSGREPEDNNEKNAKGDQDMQPEPTSDQLQPGKSFSQSEGGVSGIMRTKDKVRYSEAGTPSSPIKDDSGLTSTAASVSSGEETLGSKENQKSSQGSSSGIKKVESSQPLIASGIWSPVLEEISNPGITVSASGSTKHMDQFGSQKLNEVSDNVQMGGELKQRKLQRNKQVLRDRFDEWEDAYTLEIEQRKTDEMFMREALLEAKKAADTWEVPVGAVLVQHGKIIARGCNLVEELRDSTAHAEMICIREASNLLRTWRLATFSGWEGRKRLGTVRQASCSRPPIPPEDEHPTRCVGIRVCRYNEAVLPAEKKKERKASRPASTARTSACFSPSIKVTYKDA >ONI31293 pep chromosome:Prunus_persica_NCBIv2:G1:29823847:29824284:-1 gene:PRUPE_1G304500 transcript:ONI31293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRIPHHLLLFTILFLSLLFLFLFHEYYNSTINLHKQIIISSSSHTINSYSHHHHHHHHRPSNFITRKVLASKFDFTPFVHHRQQHQRSKSSRGDHHHMQPQSEPSADGNEIDPRYGVEKRRVPTGPNPLHH >ONI26479 pep chromosome:Prunus_persica_NCBIv2:G1:1939953:1941410:-1 gene:PRUPE_1G027700 transcript:ONI26479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSQARQVHKELQDALAQLESIRYEVRSISLMNPGPLTRKLMDNPQDLAPTGANGSLEKPKEELMSTSTVLKDRTPESVNLHSQATAYERLAESDAVKSDSLKRSAEKENLSDEGGLFAVLPVSAESTGMLPNRKENVEGSDIVLEAILEAEVARNAKDFFSQPANQIQ >ONI26478 pep chromosome:Prunus_persica_NCBIv2:G1:1939756:1941980:-1 gene:PRUPE_1G027700 transcript:ONI26478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGISYGELFLLIGATAALVGPKDLPRIARTAGRLAGRAIGYVQLARGQFENVMQQSQARQVHKELQDALAQLESIRYEVRSISLMNPGPLTRKLMDNPQDLAPTGANGSLEKPKEELMSTSTVLKDRTPESVNLHSQATAYERLAESDAVKSDSLKRSAEKENLSDEGGLFAVLPVSAESTGMLPNRKENVEGSDIVLEAILEAEVARNAKDFFSQPANQIQ >ONI26480 pep chromosome:Prunus_persica_NCBIv2:G1:1939756:1941754:-1 gene:PRUPE_1G027700 transcript:ONI26480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSQARQVHKELQDALAQLESIRYEVRSISLMNPGPLTRKLMDNPQDLAPTGANGSLEKPKEELMSTSTVLKDRTPESVNLHSQATAYERLAESDAVKSDSLKRSAEKENLSDEGGLFAVLPVSAESTGMLPNRKENVEGSDIVLEAILEAEVARNAKDFFSQPANQIQ >ONI26481 pep chromosome:Prunus_persica_NCBIv2:G1:1939756:1941980:-1 gene:PRUPE_1G027700 transcript:ONI26481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSQARQVHKELQDALAQLESIRYEVRSISLMNPGPLTRKLMDNPQDLAPTGANGSLEKPKEELMSTSTVLKDRTPESVNLHSQATAYERLAESDAVKSDSLKRSAEKENLSDEGGLFAVLPVSAESTGMLPNRKENVEGSDIVLEAILEAEVARNAKDFFSQPANQIQ >ONI36391 pep chromosome:Prunus_persica_NCBIv2:G1:47356010:47362297:1 gene:PRUPE_1G583200 transcript:ONI36391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFNPASALPDPKNRSFYCSLFIVASLICGAYFIGGASIAKEYKERLTRWKVIYTRQNTKFDTCKNRCQPLGSEALPEGIVAKTSDLEVRPLWGSSVNNENSKPSMSLLAIAVGIKQKEIVDRIVKKFLSSDFVVMLFHYDGAVDKWRDLNWSDRAIHVSVMNQTKWWFAKRFLHPDIVSEYEYIFLWDEDLGVENFDPKRYLSIVREEGLEISQPALDPDKSDVYHPITARVKKLKVHRRFYKFKGSGRCDNHSSAPPCAGWVEMMAPVFSKAAWQCVWYMIQNDLIHAWGLDVQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVSDGNKVTNAPAPPQKGDPEALAPSASDKVNDRAKDAVLY >ONI36387 pep chromosome:Prunus_persica_NCBIv2:G1:47355959:47362297:1 gene:PRUPE_1G583200 transcript:ONI36387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFNPASALPDPKNRSFYCSLFIVASLICGAYFIGGASIAKEYKERLTRWKVIYTRQNTKFDTCKNRCQPLGSEALPEGIVAKTSDLEVRPLWGSSVNNENSKPSMSLLAIAVGIKQKEIVDRIVKKFLSSDFVVMLFHYDGAVDKWRDLNWSDRAIHVSVMNQTKWWFAKRFLHPDIVSEYEYIFLWDEDLGVENFDPKRYLSIVREEGLEISQPALDPDKSDVYHPITARVKKLKVHRRFYKFKGSGRCDNHSSAPPCAGWVEMMAPVFSKAAWQCVWYMIQNDLIHAWGLDVQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVSDGNKVTNAPAPPQKGDPEALAPSASDKVNDRAKVRMQSFIDMQIFKERWSNAVKEDKCWVDPFQLSAN >ONI36386 pep chromosome:Prunus_persica_NCBIv2:G1:47355915:47362297:1 gene:PRUPE_1G583200 transcript:ONI36386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFNPASALPDPKNRSFYCSLFIVASLICGAYFIGGASIAKEYKERLTRWKVIYTRQNTKFDTCKNRCQPLGSEALPEGIVAKTSDLEVRPLWGSSVNNENSKPSMSLLAIAVGIKQKEIVDRIVKKFLSSDFVVMLFHYDGAVDKWRDLNWSDRAIHVSVMNQTKWWFAKRFLHPDIVSEYEYIFLWDEDLGVENFDPKRYLSIVREEGLEISQPALDPDKSDVYHPITARVKKLKVHRRFYKFKGSGRCDNHSSAPPCAGWVEMMAPVFSKAAWQCVWYMIQNDLIHAWGLDVQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVSDGNKVTNAPAPPQKGDPEALAPSASDKVNDRAKVRMQSFIDMQIFKERWSNAVKEDKCWVDPFQLSAN >ONI36384 pep chromosome:Prunus_persica_NCBIv2:G1:47356048:47362297:1 gene:PRUPE_1G583200 transcript:ONI36384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFNPASALPDPKNRSFYCSLFIVASLICGAYFIGGASIAKEYKERLTRWKVIYTRQNTKFDTCKNRCQPLGSEALPEGIVAKTSDLEVRPLWGSSVNNENSKPSMSLLAIAVGIKQKEIVDRIVKKFLSSDFVVMLFHYDGAVDKWRDLNWSDRAIHVSVMNQTKWWFAKRFLHPDIVSEYEYIFLWDEDLGVENFDPKRYLSIVREEGLEISQPALDPDKSDVYHPITARVKKLKVHRRFYKFKGSGRCDNHSSAPPCAGWVEMMAPVFSKAAWQCVWYMIQNDLIHAWGLDVQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVSDGNKVTNAPAPPQKGDPEALAPSASDKVNDRAKVRMQSFIDMQIFKERWSNAVKEDKCWVDPFQLSAN >ONI36390 pep chromosome:Prunus_persica_NCBIv2:G1:47356011:47362297:1 gene:PRUPE_1G583200 transcript:ONI36390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFNPASALPDPKNRSFYCSLFIVASLICGAYFIGGASIAKEYKERLTRWKVIYTRQNTKFDTCKNRCQPLGSEALPEGIVAKTSDLEVRPLWGSSVNNENSKPSMSLLAIAVGIKQKEIVDRIVKKFLSSDFVVMLFHYDGAVDKWRDLNWSDRAIHVSVMNQTKWWFAKRFLHPDIVSEYEYIFLWDEDLGVENFDPKRYLSIVREEGLEISQPALDPDKSDVYHPITARVKKLKVHRFYKFKGSGRCDNHSSAPPCAGWVEMMAPVFSKAAWQCVWYMIQNDLIHAWGLDVQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVSDGNKVTNAPAPPQKGDPEALAPSASDKVNDRAKVRMQSFIDMQIFKERWSNAVKEDKCWVDPFQLSAN >ONI36385 pep chromosome:Prunus_persica_NCBIv2:G1:47355987:47362297:1 gene:PRUPE_1G583200 transcript:ONI36385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFNPASALPDPKNRSFYCSLFIVASLICGAYFIGGASIAKEYKERLTRWKVIYTRQNTKFDTCKNRCQPLGSEALPEGIVAKTSDLEVRPLWGSSVNNENSKPSMSLLAIAVGIKQKEIVDRIVKKFLSSDFVVMLFHYDGAVDKWRDLNWSDRAIHVSVMNQTKWWFAKRFLHPDIVSEYEYIFLWDEDLGVENFDPKRYLSIVREEGLEISQPALDPDKSDVYHPITARVKKLKVHRRFYKFKGSGRCDNHSSAPPCAGWVEMMAPVFSKAAWQCVWYMIQNDLIHAWGLDVQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVSDGNKVTNAPAPPQKGDPEALAPSASDKVNDRAKVRMQSFIDMQIFKERWSNAVKEDKCWVDPFQLSAN >ONI36388 pep chromosome:Prunus_persica_NCBIv2:G1:47356316:47361720:1 gene:PRUPE_1G583200 transcript:ONI36388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFNPASALPDPKNRSFYCSLFIVASLICGAYFIGGASIAKEYKERLTRWKVIYTRQNTKFDTCKNRCQPLGSEALPEGIVAKTSDLEVRPLWGSSVNNENSKPSMSLLAIAVGIKQKEIVDRIVKKFLSSDFVVMLFHYDGAVDKWRDLNWSDRAIHVSVMNQTKWWFAKRFLHPDIVSEYEYIFLWDEDLGVENFDPKRYLSIVREEGLEISQPALDPDKSDVYHPITARVKKLKVHRRFYKFKGSGRCDNHSSAPPCAGWVEMMAPVFSKAAWQCVWYMIQNDLIHAWGLDVQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVSDGNKVTNAPAPPQKGDPEALAPSASDKVNDRAKVRMQSFIDMQIFKERWSNAVKEDKCWVDPFQLSAN >ONI36382 pep chromosome:Prunus_persica_NCBIv2:G1:47355820:47362297:1 gene:PRUPE_1G583200 transcript:ONI36382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFNPASALPDPKNRSFYCSLFIVASLICGAYFIGGASIAKEYKERLTRWKVIYTRQNTKFDTCKNRCQPLGSEALPEGIVAKTSDLEVRPLWGSSVNNENSKPSMSLLAIAVGIKQKEIVDRIVKKFLSSDFVVMLFHYDGAVDKWRDLNWSDRAIHVSVMNQTKWWFAKRFLHPDIVSEYEYIFLWDEDLGVENFDPKRYLSIVREEGLEISQPALDPDKSDVYHPITARVKKLKVHRRFYKFKGSGRCDNHSSAPPCAGWVEMMAPVFSKAAWQCVWYMIQNDLIHAWGLDVQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVSDGNKVTNAPAPPQKGDPEALDIHHAKCMVGGPSYTQTTEIRLTSLCIQLPRETIYRKRHIEFSGDADSFQTADDNYLKTDMEVVI >ONI36383 pep chromosome:Prunus_persica_NCBIv2:G1:47356023:47362297:1 gene:PRUPE_1G583200 transcript:ONI36383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFNPCFCQASALPDPKNRSFYCSLFIVASLICGAYFIGGASIAKEYKERLTRWKVIYTRQNTKFDTCKNRCQPLGSEALPEGIVAKTSDLEVRPLWGSSVNNENSKPSMSLLAIAVGIKQKEIVDRIVKKFLSSDFVVMLFHYDGAVDKWRDLNWSDRAIHVSVMNQTKWWFAKRFLHPDIVSEYEYIFLWDEDLGVENFDPKRYLSIVREEGLEISQPALDPDKSDVYHPITARVKKLKVHRRFYKFKGSGRCDNHSSAPPCAGWVEMMAPVFSKAAWQCVWYMIQNDLIHAWGLDVQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVSDGNKVTNAPAPPQKGDPEALAPSASDKVNDRAKVRMQSFIDMQIFKERWSNAVKEDKCWVDPFQLSAN >ONI36389 pep chromosome:Prunus_persica_NCBIv2:G1:47355932:47362297:1 gene:PRUPE_1G583200 transcript:ONI36389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFNPASALPDPKNRSFYCSLFIVASLICGAYFIGGASIAKEYKERLTRWKVIYTRQNTKFDTCKNRCQPLGSEALPEGIVAKTSDLEVRPLWGSSVNNENSKPSMSLLAIAVGIKQKEIVDRIVKKFLSSDFVVMLFHYDGAVDKWRDLNWSDRAIHVSVMNQTKWWFAKRFLHPDIVSEYEYIFLWDEDLGVENFDPKRYLSIVREEGLEISQPALDPDKSDVYHPITARVKKLKVHRRFYKFKGSGRCDNHSSAPPCAGWVEMMAPVFSKAAWQCVWYMIQNDLIHAWGLDVQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVSDGNKAPSASDKVNDRAKVRMQSFIDMQIFKERWSNAVKEDKCWVDPFQLSAN >ONI34107 pep chromosome:Prunus_persica_NCBIv2:G1:38661341:38663583:1 gene:PRUPE_1G463100 transcript:ONI34107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLAIKWHEQVHWCASFPSSHMPIFNPRKKIEVIKVTKATSLSSESNAKKANLCAAKKERIKLPNHDDSSGGKTFHISEFLSHQSGIEAMLNTRALKSFESLDTDTYRCTLPKLQLLNFEAAPVLDLRVTPTNEDCIVEMLSCRFEGSEAVERQNSHFSDLYTPFHHDASISC >ONI34108 pep chromosome:Prunus_persica_NCBIv2:G1:38661327:38663588:1 gene:PRUPE_1G463100 transcript:ONI34108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLAIKWHEQVHWCASFPSSHMPIFNPRKKIEVIKVTKATSLSSESNAKKANLCAAKKERIKLPNHDDSSGGKTFHISEFLSHQSGIEAMLNTRALKSFESLDTDTYRCTLPKLQLLNFEAAPVLDLRVTPTNEDCIVEMLSCRFEGSEAVERQNSHFSDLYTPFHHDASISC >ONI34106 pep chromosome:Prunus_persica_NCBIv2:G1:38661341:38663583:1 gene:PRUPE_1G463100 transcript:ONI34106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLAIKWHEQVHWCASFPSSHMPIFNPRKKIEVIKVTKATSLSSESNAKKANLCAAKKERIKLPNHDDSSGGKTFHISEFLSHQSGIEAMLNTRALKSFESLDTDTYRCTLPKLQLLNFEAAPVLDLRVTPTNEDCIVEMLSCRFEGSEAVERQNSHFSAFMRNHMSWDTNDSESFLEVDVKLNLTLEIYTRPFTMMPVSAVERPGNLMMQALVDRLVPLLLQQLLQDYSKWVVDKQAVG >ONI34105 pep chromosome:Prunus_persica_NCBIv2:G1:38661328:38663588:1 gene:PRUPE_1G463100 transcript:ONI34105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLAIKWHEQVHWCASFPSSHMPIFNPRKKIEVIKVTKATSLSSESNAKKANLCAAKKERIKLPNHDDSSGGKTFHISEFLSHQSGIEAMLNTRALKSFESLDTDTYRCTLPKLQLLNFEAAPVLDLRVTPTNEDCIVEMLSCRFEGSEAVERQNSHFSAFMRNHMSWDTNDSESFLEVDVKLNLTLEIYTRPFTMMPVSAVERPGNLMMQALVDRLVPLLLQQLLQDYSKWVVDKQAVG >ONI35766 pep chromosome:Prunus_persica_NCBIv2:G1:45258115:45262405:-1 gene:PRUPE_1G553900 transcript:ONI35766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNVGHLSCPEGQSLSGKEKSLSDVLGTLSDSNVGLEKHIHISDHEIDGCKSEKLAEGERIGHVSSTDCTKDNDYNLKQQSCVNGASSAVPLWIFPAKSNIEVSAPFLEGNASTKKSSMSSDGCLDSAQPIETNQLEDRKIDGYPLKIGESELRAAVQTSLHDKQCKSESSSSNSTQLILQACSSDSCQEMSVDNKTCKNVKLSAIDTSTCTDFNIEEPAFRSLELDTTNLSSGKQCNVIKHSEPIHNNRTRTSDKVPDLTSDDNCGTVENINQFKSDLPKKKGAEICNPDRAETPYDLDDALDVAWRVAKEVEQEAEASGSSSSMEVRNSEMVHLSYADSADSDKEGCLTEAGSIQQQCNDQDKSASFSSAKEAVDLKMLTKKEQFCLEEAKEPLHDMVPGINDGCQKLEPCMRPEGSGDQTCHVFGIDLNEDVLENEVEHTEKSVKEAASICENVSKPIPMAAKSGICLPVPQFQSAGELSGWRGSSATSAFRRTSFSESCSRNKALSTNDTIGSSNYSHVKGIDLNVAAGVDFDVELLPKKSIPALSSNYTKESSVEVSSRQARMFDIDLNCVSENDENCHQLSPPASLSSHSVRDFDLNDNPISADACIDPYWPCEGTRANGGFDDPAISSVENSRQSDCKSFRSSCSPHLSSMEGFSHSHHAKPFLVAATNMLPSNEQMQRIVTLEHKASFTQSYPHAFLYNNGFYFDPNNSISSTVYPPPALPYMTDPHATTVIPQILGSGTLSMFSGAPHLMEVPHGSSPSDFAFIRPTFDLNAGANCPENGSRGTIARQLCIPLSNSTMEEQMKSFQQVALTATPMKRREPDGGWDSHQLCLRQAASWR >ONI35767 pep chromosome:Prunus_persica_NCBIv2:G1:45258085:45262446:-1 gene:PRUPE_1G553900 transcript:ONI35767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNVGHLSCPEGQSLSGKEKSLSDVLGTLSDSNVGLEKHIHISDHEIDGCKSEKLAEGERIGHVSSTDCTKDNDYNLKQQSCVNGASSAVPLWIFPAKSNIEVSAPFLEGNASTKKSSMSSDGCLDSAQPIETNQLEDRKIDGYPLKIGESELRAAVQTSLHDKQCKSESSSSNSTQLILQACSSDSCQEMSVDNKTCKNVKLSAIDTSTCTDFNIEEPAFRSLELDTTNLSSGKQCNVIKHSEPIHNNRTRTSDKVPDLTSDDNCGTVENINQFKSDLPKKKGAEICNPDRAETPYDLDDALDVAWRVAKEVEQEAEASGSSSSMEVRNSEMVHLSYADSADSDKEGCLTEAGSIQQQCNDQDKSASFSSAKEAVDLKMLTKKEQFCLEEAKEPLHDMVPGINDGCQKLEPCMRPEGSGDQTCHVFGIDLNEDVLENEVEHTEKSVKEAASICENVSKPIPMAAKSGICLPVPQFQSAGELSGWRGSSATSAFRRTSFSESCSRNKALSTNDTIGSSNYSHVKGIDLNVAAGVDFDVELLPKKSIPALSSNYTKESSVEVSSRQARMFDIDLNCVSENDENCHQLSPPASLSSHSVRDFDLNDNPISADACIDPYWPCEGTRANGGFDDPAISSVENSRQSDCKSFRSSCSPHLSSMEGFSHSHHAKPFLVAATNMLPSNEQMQRIVTLEHKASFTQSYPHAFLYNNGFYFDPNNSISSTVYPPPALPYMTDPHATTVIPQILGSGTLSMFSGAPHLMEVPHGSSPSDFAFIRPTFDLNAGANCPENGSRGTIARQLCIPLSNSTMEEQMKSFQQVALTATPMKRREPDGGWDSHQLCLRQAASWR >ONI35768 pep chromosome:Prunus_persica_NCBIv2:G1:45258105:45262405:-1 gene:PRUPE_1G553900 transcript:ONI35768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNVGHLSCPEGQSLSGKEKSLSDVLGTLSDSNVGLEKHIHISDHEIDGCKSEKLAEGERIGHVSSTDCTKDNDYNLKQQSCVNGASSAVPLWIFPAKSNIEVSAPFLEGNASTKKSSMSSDGCLDSAQPIETNQLEDRKIDGYPLKIGESELRAAVQTSLHDKQCKSESSSSNSTQLILQACSSDSCQEMSVDNKTCKNVKLSAIDTSTCTDFNIEEPAFRSLELDTTNLSSGKQCNVIKHSEPIHNNRTRTSDKVPDLTSDDNCGTVENINQFKSDLPKKKGAEICNPDRAETPYDLDDALDVAWRVAKEVEQEAEASGSSSSMEVRNSEMVHLSYADSADSDKEGCLTEAGSIQQQCNDQDKSASFSSAKEAVDLKMLTKKEQFCLEEAKEPLHDMVPGINDGCQKLEPCMRPEGSGDQTCHVFGIDLNEDVLENEVEHTEKSVKEAASICENVSKPIPMAAKSGICLPVPQFQSAGELSGWRGSSATSAFRRTSFSESCSRNKALSTNDTIGSSNYSHVKGIDLNVAAGVDFDVELLPKKSIPALSSNYTKESSVEVSSRQARMFDIDLNCVSENDENCHQLSPPASLSSHSVRDFDLNDNPISADACIDPYWPCEGTRANGGFDDPAISSVENSRQSDCKSFRSSCSPHLSSMEGFSHSHHAKPFLVAATNMLPSNEQMQRIVTLEHKASFTQSYPHAFLYNNGFYFDPNNSISSTVYPPPALPYMTDPHATTVIPQILGSGTLSMFSGAPHLMEVPHGSSPSDFAFIRPTFDLNAGANCPENGSRGTIARQLCIPLSNSTMEEQMKSFQQVALTATPMKRREPDGGWDSHQLCLRQAASWR >ONI26102 pep chromosome:Prunus_persica_NCBIv2:G1:379662:380649:1 gene:PRUPE_1G004000 transcript:ONI26102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPSKTLEHQAPTQASNTESDSKPVDEEKPQEAEGNPQNASKEEEEAEDDEEEPGECGFCLFMKGGGCKESFTAWEQCIEESEKNKEDIVEKCFEVTSALKKCMEAHPDYYQPILQAEKAAEAEAVKELEKEKAAESSKDQNAAASEQHSDSSGEKDA >ONI29118 pep chromosome:Prunus_persica_NCBIv2:G1:16037033:16039381:-1 gene:PRUPE_1G182400 transcript:ONI29118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSRVRIEFNALDPRTASCLEFLAQCNARKAKESNPSCAVQVKRRTDDEPPKITVTFVNGVEEVFDATATPAQDIRNMILEKGQSLETEQMFRDAGEPWPVVIPAEELHQPAPGTKITGERLYSQVC >ONI29119 pep chromosome:Prunus_persica_NCBIv2:G1:16037089:16039381:-1 gene:PRUPE_1G182400 transcript:ONI29119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSRVRIEFNALDPRTASCLEFLAQCNARKAKESNPSCAVQVKRRTDDEPPKITVTFVNGVEEVFDATATPAQDIRNMILEKGQSLETEQMFRDAGEPWPVVIPAEELHQPAPGTKPRKAEEKKQ >ONI29123 pep chromosome:Prunus_persica_NCBIv2:G1:16037033:16039366:-1 gene:PRUPE_1G182400 transcript:ONI29123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSRVRIEFNALDPRTASCLEFLAQCNARKAKESNPSCAVQVKRRTDDEPPKITVTFVNGVEEVFDATATPAQDIRNMILEKGQSLETEQMFRDAGEPWPVVIPAEELHQPAPGTKPRKAEEKKQ >ONI29122 pep chromosome:Prunus_persica_NCBIv2:G1:16037090:16039272:-1 gene:PRUPE_1G182400 transcript:ONI29122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSRVRIEFNALDPRTASCLEFLAQCNARKAKESNPSCAVQVKRRTDDEPPKITVTFVNGVEEVFDATATPAQDIRNMILEKGQSLETEQMFRDAGEPWPVVIPAEELHQPAPGTKPRKAEEKKQ >ONI29120 pep chromosome:Prunus_persica_NCBIv2:G1:16037521:16038728:-1 gene:PRUPE_1G182400 transcript:ONI29120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSRVRIEFNALDPRTASCLEFLAQCNARKAKESNPSCAVQVKRRTDDEPPKITVTFVNGVEEVFDATATPAQDIRNMILEKGQSLETEQMFRDAGEPWPVVIPAEELHQPAPGTKPRKAEEKKQ >ONI29121 pep chromosome:Prunus_persica_NCBIv2:G1:16037088:16039276:-1 gene:PRUPE_1G182400 transcript:ONI29121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSRVRIEFNALDPRTASCLEFLAQCNARKAKESNPSCAVQVKRRTDDEPPKITVTFVNGVEEVFDATATPAQDIRNMILEKGQSLETEQMFRDAGEPWPVVIPAEELHQPAPGTKPRKAEEKKQ >ONI29210 pep chromosome:Prunus_persica_NCBIv2:G1:17138986:17142101:1 gene:PRUPE_1G187300 transcript:ONI29210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQIYPVCVHVACKKKHHSPKLQPVMEEDDGSSKYPGNEIIKSNGADAGIFASRTSDVRWSNTAEGQGSNVGTKLEGDGHNLGNIKYNISDNTITALNGESDVGMFIFHNIGFYWSNASQGQGGREGNKGFLKPKDGKTKGGSSSAQGQGGGGGTKPKGDGYNIRGNNIKGDGDRKAFHKFGNIEYNLKTTNTEDDGSTSQANIRRNTITASNGASYVGMFNFHNADVWSNAGQGQGGRQGNKGFPKLKGISHNICNNKIRANKSTNVGLQNFGNIRYNCKTTSIYGWLGFVGFWYIFQNFVGPMWL >ONI30624 pep chromosome:Prunus_persica_NCBIv2:G1:27136629:27140131:-1 gene:PRUPE_1G263000 transcript:ONI30624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAHADLDRQIEHLMECKTLPEAEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDNTRKTPDYFL >ONI30984 pep chromosome:Prunus_persica_NCBIv2:G1:28689238:28691450:-1 gene:PRUPE_1G286400 transcript:ONI30984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLTGGSTPSIAAKCTIQRGFRVRSVAGFPSFLPKEVEKIKDPFARKLAARIERLPVSFGENCVMSSCVKPLVQSNTSPVVLLHGFDSSCLEWRYTYPLLEEAGLETWAVDILGWGFSDLERLPSCNVASKRDHFFQLWESYIKKPMILVGPSLGAAVAIDFAVSHPEAVERLVLIDASVYTEGTGDLASMPKMLAYAGVSLLKSLPLRFYVNFLCFSGISLSTNLDWANVGRLHCLFPWWEDATVDFMMSGGYNVSAQIEQVKQKTLIIWGEDDQIISSKLGVVVLLFFL >ONI30983 pep chromosome:Prunus_persica_NCBIv2:G1:28687851:28691597:-1 gene:PRUPE_1G286400 transcript:ONI30983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLTGGSTPSIAAKCTIQRGFRVRSVAGFPSFLPKEVEKIKDPFARKLAARIERLPVSFGENCVMSSCVKPLVQSNTSPVVLLHGFDSSCLEWRYTYPLLEEAGLETWAVDILGWGFSDLERLPSCNVASKRDHFFQLWESYIKKPMILVGPSLGAAVAIDFAVSHPEAVERLVLIDASVYTEGTGDLASMPKMLAYAGVSLLKSLPLRFYVNFLCFSGISLSTNLDWANVGRLHCLFPWWEDATVDFMMSGGYNVSAQIEQVKQKTLIIWGEDDQIISSKLGVRLHCELPNAVIRQIPDCGHIPHVEKPSSVAKMIVELVQQDQYKEVQRISQF >ONI33397 pep chromosome:Prunus_persica_NCBIv2:G1:36419943:36421838:-1 gene:PRUPE_1G421800 transcript:ONI33397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLRQGLNLARAQMLSRPRWLSSQSEALVETRTNDIGIISGIPEEHLRRRVIIYSPARTATQQGSGKVGKWKINFLSTQKWENPLMGWTSTGDPYANVGEAGLTFESEEAAKDFAERHGWDYTVKKRHTPLLKVKSYADNFKWKGPAKSEKSAADAARI >ONI33400 pep chromosome:Prunus_persica_NCBIv2:G1:36420508:36421651:-1 gene:PRUPE_1G421800 transcript:ONI33400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLRQGLNLARAQMLSRPRWLSSQSEALVETRTNDIGIISGIPEEHLRRRVIIYSPARTATQQGSGKVGKWKINFLSTQKWENPLMGWTSTGDPYANVGEAGLTFESEEAAKDFAERHGWDYTVKKRHTPLLKLHI >ONI33399 pep chromosome:Prunus_persica_NCBIv2:G1:36420175:36421838:-1 gene:PRUPE_1G421800 transcript:ONI33399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLRQGLNLARAQMLSRPRWLSSQSEALVETRTNDIGIISGIPEEHLRRRVIIYSPARTATQQGSGKVGKWKINFLSTQKWENPLMGWTSTGDPYANVGEAGLTFESEEAAKDFAERHGWDYTVKKRHTPLLKLHI >ONI33398 pep chromosome:Prunus_persica_NCBIv2:G1:36420508:36421651:-1 gene:PRUPE_1G421800 transcript:ONI33398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLRQGLNLARAQMLSRPRWLSSQSEALVETRTNDIGIISGIPEEHLRRRVIIYSPARTATQQGSGKVGKWKINFLSTQKWENPLMGWTSTGDPYANVGEAGLTFESEEAAKDFAERHGWDYTVKKRHTPLLKLHI >ONI27825 pep chromosome:Prunus_persica_NCBIv2:G1:8549838:8556894:1 gene:PRUPE_1G106600 transcript:ONI27825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEFGGKAHSYYGMKAVGKKSFEWDLNDWKWDGDLFTASPLNSVPSACRSKQLFPVRPETPSNAGLSNSSSSGSDNISPGNEKGKRELEKRRRAVFVENEVHDEAGSLNLNLGGQAYPIMEGEVQTGKKTKIVGTTSNRAICQVEDCKADLSNAKDYHRRHKVCDMHSKASTALVGNAMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNRRRRKTHPDTTANGGSLNDERGSSYLLISLLRILSNMHSSSSDQTKDQDLLSHLLRSLANLAGTADGRNISTLLQGSQGLFNSGTSVQIIKVPDVDDGVNLEDLRPVGQCSVVPASDMLERRISSVDDPGSLQVLSGLQATEPLPSRDSSESKSVTPEATSRRFQLNGIDLNNSYDDSQDYLENLGNSHVPASPGTASLGFSSWMQRDSHKSSPPQTSGNSDLTSTQSPSSSSGEAQSRTDRIVFKLFGKDPNDLPFILRSQILDWLSHSPTDIESYIRPGCIILTIYLRLEKSTWEELCCHLGSSLKTLLDAANDPFWRTGWVYTRVQHFVTFTYNGQVVLDTPLPLKSDKSCRISYIKPIAVSVSERAQFVVKGFNLSHSATRLLCALEGKYLVQETCYDMMDGVHTTVEHDELQCLKFSCSIPDVTGRGFIEVEDHGLSSSFFPFIVAEQEVCSEICMLEGEIEVAESADAEKLEAKNQALDFIHELGWLLHRSRAKFRLGHSDPNLDLFPFSRFRLLMEFSIEHDWCVVVKKLLSILFEGTVDAGEHTSVEFALLDMSLLHRAVRRNCRSMVEFLLKFIPNQGLTGSEQKQQVDRDGNSFLFKPDAVGPMGLTPLHVAASADGYEHVLDALTDDPGKVGIEAWKNARDSTGLTPYDYACLQSRYSYVHLVQRKISKTLESGHVVLDIPGVILDRNGKQKQSEAYKPSRVASLETEKIEMKAILRHCKLCAQKPAYGNTRSLVYRPAMLSMVAVAAVCVCVALLFKSTPEVLFVFQPFRWELLKFGSS >ONI26225 pep chromosome:Prunus_persica_NCBIv2:G1:840762:848009:1 gene:PRUPE_1G011000 transcript:ONI26225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLYTFPVEGILNKLASLAAQEISLFRGFKKELTKLRQSLLAIQDFLGDVAHQPQQRGKAVEEWVRKLKDIADDADNVLDEINYEYLRSPNINASLVDLKSEASFIGLVSKKIDATPQAIAGDRETNSFFDEDEIVVGRKEDLSKIIKILTNSNLDQENLSVMPIVGMAGLGKTTLAKSVFNDDSIGRHFHKKIWVCVSNTFEVNSILSWILECLNPTKVGIQRQDALLKNLVEELKERRYFLVLDDVWNEDPQKWSNLMTCLSKLHSARGSVVIVTTRSATVSSITEVRLPKCVLGSLSVDDCWVILKKRAFPVGSAPIAKDIETIGREIARKCAGIPLTAKFKKDFEMEREDLIQQWMAQGFLCSSPNKDMEDIGDEYFTILLQNSLFQDVIRDDFGTIIYCKMHDLVHDLAEVVSRSEMEDKLENQHVAWDRSKTSERNVEKRRSLFVNGDQALSINTLLISFKALRVLNLYRADIEELPSSIGVLIHLRYLNVSRTKIKQLPKSIGKLYNLQTLRMEDTWNLKTFPKEMENLINLRHVYFDYDMPVPFGMGRLEHLQTISPWFTLDKESNRGIDELGGLNQLKGELIIRGLEDARDGVQAGASNLVGKANLRRLTLEWGENDRERNDTDIDVLEGLRPNSELEILTIDKFMGSKLASWMMNGSLPLNLTEIRLENWEECKQVPSLGHLPNLRLVHLEGMHKLKCVGVEFYGYNHKETLFPALKSLTIRSCQALIKWEELPTDEKVAVFPCLEDLIIRGCPSLESIPITQAKGMPCLRKLEIRTLHLSSGVGNGLTSLPSGLPSCTSLKKLRIESCHNLISLPELDGKGMKCLRKLKIEDCEKLSSLPTRLEYCTSLQELSIQRCPNLEAIPNLDNLTSLRDLSIRGCDGLTSLPSGLPSCKSLQNLTIDMGAFSEELDCFPDFELPSQIQTLAITGWPKLKSLPQQQIQHVACLQDLYIESFDSVEALPERLGNLTSLNTLSISL >ONI28985 pep chromosome:Prunus_persica_NCBIv2:G1:14582861:14585060:-1 gene:PRUPE_1G173700 transcript:ONI28985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRLRGVGAGVSPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLYLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSKMEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKKANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKIYNTHIARAGRTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI35485 pep chromosome:Prunus_persica_NCBIv2:G1:44040611:44050971:1 gene:PRUPE_1G538800 transcript:ONI35485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSIRGVRMKKDQLLMDEIQELNRKGNLIHQENVELYKKVYGTRDVNGANRNPLLTNGLGIGEDSHGPVQLQLSQPQQQNCETTARATKLGLQLH >ONI35484 pep chromosome:Prunus_persica_NCBIv2:G1:44043281:44050518:1 gene:PRUPE_1G538800 transcript:ONI35484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSIRGVRMKKDQLLMDEIQELNRKGNLIHQENVELYKKVNLTRQQNMELYKKVYGTRDVNGANRNPLLTNGLGIGEDSHGPVQLQLSQPQQQNCETTARATKLGLQLH >ONI35483 pep chromosome:Prunus_persica_NCBIv2:G1:44043153:44050463:1 gene:PRUPE_1G538800 transcript:ONI35483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETSYIKSVIERYNKAKEEHHQFGNPTSEIKLWQREAAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSIRGVRMKKDQLLMDEIQELNRKGNLIHQENVELYKKVNLTRQQNMELYKKVYGTRDVNGANRNPLLTNGLGIGEDSHGPVQLQLSQPQQQNCETTARATKLGLQLH >ONI29099 pep chromosome:Prunus_persica_NCBIv2:G1:15895382:15895909:1 gene:PRUPE_1G181400 transcript:ONI29099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSYSISEQLILSGRPTITFSPLTLFTVSETLIFRAMVIFLLTTSISSQRTPHLLGIVMYKCIKAELITIISYFLPLKAPKQQGNKTQ >ONI29213 pep chromosome:Prunus_persica_NCBIv2:G1:17182701:17183724:-1 gene:PRUPE_1G187600 transcript:ONI29213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIKLPSTNRNRPPKQEVDDEEEEHEHKPYLLQLPEHIIMEIFCKIPTKTLIQCKRVRKSWRRWLSDPQFTKELFSRTPASILITGYSCLGHFLVDLDRTCNPKDVVLKLSCINKSLRTLSKSIVGSCNGFLCHHEVHHLHISNPVTGEFLSLPTPSKPDTVGGRYGFGFSPISDVYKLVRIMPIHKQVMVLTVGSGIWRDIGHPPDSFDGETLGKPCAYQNYFDSMNDHGTYVNGFLHWIG >ONI33953 pep chromosome:Prunus_persica_NCBIv2:G1:38238184:38240847:-1 gene:PRUPE_1G455400 transcript:ONI33953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKTKGYLEMYSLPTNFVILMFLFFFYFGDVLVLSLRTLSMDPCNPTAQQEPQTLISDQLTVLINGYSESRIPLLQSIIASYAASSLVSSILVLWGNPSTPSQTLAQLAHNLTQSSFGFNGISVIRQTSDSLNNRFLPRPSIKTRAVLICDDDVEVDPKSFEFAFKMWGSNPDRLVGFFVRSHDIDLSKKEWIYTIHPDKYSIMLTKFMLLKSEYLFRYSCAGGPVMAHMRRIVDKMNNCEDILMNFVVADEVNSGPILVGAERVRDWGDARNDHDDDDGNGRHRLIGEVAQVGLSSRKGKHRKRRGECIGEFHRVLGRMPLRFSYGKVVNSVGEQGLCQKGGKLVFCDQS >ONI36023 pep chromosome:Prunus_persica_NCBIv2:G1:46170067:46172936:1 gene:PRUPE_1G565600 transcript:ONI36023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRKGKYEVEVPPQNQLAITTPYKKCAACYKLYKKKEHLFEHINVSFHSVHQPRCGVEHITRPLAKEDCKRVFSEQGCDLCLKLFDSPSSLSSHKYMRRLSAPVSLGTTMEPCEEFKYDINSSKENHIGDGTEAIALDCEMVAGGSDGSLPTILFHTYVQPQDHVIHYSLQILYNGKTKILLGHSLENDLDCLRINCPDYLLRDTASYHPVMKTDLVSHPLKHLTRTYPGYDIQSGFHDPYEDCVSAMRLYERFHGLDQQKEGNAASLATMRAKDIPGSFDSWETNKQCNLIIGFSLQVNFLQFSFTYRRIDRNIT >ONI26507 pep chromosome:Prunus_persica_NCBIv2:G1:2113095:2117133:1 gene:PRUPE_1G029500 transcript:ONI26507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRVNAETMDGITIPPNFSTTLCILKSIVHEAPDEKNSHDATLKILSKVPKYSWEAKAVLALASFSLEYGEFWLTAQHQRSDQLAKSVACLKGVPVLLKPENLKQRGKSVAQLNNVIMSTLEVIDCILELEKLSSIYNEVKELREILTSARKDISVNVYWCIITTVACGTNFTLLTSDEGKSHDLVQYAQKITIILKNLKGQLKSCNKEIEKLQIYMKLKQLFQIPTEIMEAIKTLIFFKDDMKTTIFDGFTKKLVQIDILRTKNVLLFISSLNISDGYISLLEPIHELTKKNDEYKIVWIPIAEEWHEQQQIKFQTLRDKMPWYTINQTNAHISGIKYVKEDWNFKGKPMLVVLNKKSQLQHSNALHMISMWGCKALPFTQKKQEELLLSLHDTWFAEVVGEIHTSVSKWMKEEKYIFFYGGDSVWTNEFKEKAIKVINGDIIEKSNISIELYHVKKGANNSGMVDKFSTFWAAIETMFRIKVSNKQLDHVAQDVQKLLSLKNDKSGWAVLLHGHKVVTIGAGSTISKALEHCDTWNQHETTITVETLGNCFNAEHEKEKKKEFEQTGHVCTCFDISSAAGSTLEDMICYECGSLMETFISYKCHHVKKNDESRQILPAWTKAWNLTISEASAKLKQLMFGDGPVPLHMILDALSQFGSDYGRAKINSSWV >ONI32955 pep chromosome:Prunus_persica_NCBIv2:G1:35124009:35125932:1 gene:PRUPE_1G395700 transcript:ONI32955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNCYICLFIFINLLPIFAHQTNVRPPLSFTIPQFNLDTENILYEGDATPSLGMVELNTVSQLFRVGRCTYSQPLHLWDSATGSLADFTTHFTFMVDTHNSSKFSDGFAFFLAPVGYPIPPNSAGGSLGLFNSSTNFAVSKNQIVAVEFDSYTNIEWDPNGPHVGINVNKISSIVNTSWVFSSNRRKVANAWITYNATTNDLSVFWTYKENPNPTFIDSSFSLSHRVDLREVLPEWVTIGFSAATGTAPERHVISYWEFKAHLDSGEIRNKQKDTKMKKKYLIGGIAAFTLLILMIGVALCRLDVKKRTVRIGGHENYSKDVTSINKDLERRAFPKRFSYKELIAATNGFANTGRLGQGGSGHVYKGILQDLGCAIAKIFINEVKIISRLIHRNLVQFIGWCHKEGECLLVYAYMPNSSLDTHLSDIALGLASALRYLHEDAEQCVLHRDIKSANILLDKDFSTKLGDFGIAKLVDPWSRTQMIGAVGTFGYIAPEYVNGGRASKECDMFSFGVVALEIACGRRTYQDGEFHVPLVTDERLDKIFDKNEMECLLIVGLWCTHPNSKGRPRAGKVMKVLELEAPLPELPHDMHELEHHLSHHDLI >ONI30524 pep chromosome:Prunus_persica_NCBIv2:G1:26616253:26617631:-1 gene:PRUPE_1G255600 transcript:ONI30524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMENNLMMKNQKLEAFDILRKALVISARNTNFFIFTILTSLPLFCFLVYYESVLQKFLVQISEILKQPLDDLDYVWIIPFDTTRKMNKEYTDGFIHLGLLYLVPLHLLELSTVLVVVDLASKMYTEERPLMTLKEMLHIPLDKTRLKGTFITSLYFLVFSTCALLGLIWLATTYFVVFKGAMYDLFFAVWCGPSFAALLAIYLAWSAVWNGSLVISVLEGTYGIKAFALAIYFSSGSEWRGILLMLMFFAWEISLFCFGNVVKWVACTIYFCDCKNRALEKRLMMKGTTGESCG >ONI28504 pep chromosome:Prunus_persica_NCBIv2:G1:11340860:11341104:-1 gene:PRUPE_1G144500 transcript:ONI28504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIGASLLKLQNENSLDIKVKKETLKTSGLIKFNEKSDHKSDGQLLYLSTKASP >ONI26556 pep chromosome:Prunus_persica_NCBIv2:G1:2201342:2205294:-1 gene:PRUPE_1G030900 transcript:ONI26556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIYDLVEYVVEFFTKPSITETFVDILLCAVPIWLAVMIGLVIGWSWRPRWTGLLFLGLRSKVRFLWTAPPGFGARRLWLAFTALSAFSLCRTIWSNFKSKGKLAPSSAPDSAASASLAAPKLSASGTDIVGSITRGAERVQDVVTETDLEHLVNLIGGRDGEMEWQSLMERSTPSMAYKAWRHDPETGPTVYCSRTVFEDATHELVRDFFWDDEFRPKWDPMLTYCKILEECPQTGTMIVHWIKKFPFFCSDREYLIGRRIWEAGKTYYCVTKGVPYPGLPKRDKPRRVEHYFSSWIIKAVESRKGDGQLSACEVTLVHYEDMGIPKDVAKLGVRHGMWCTVKKLHSGMRAYQNARKSEASPSKCALMARITTKVSSDGSMNYLEPASGEEEKGQTIKNTRQNDNGIDWKWIVIGGTVALVCGLHTGAIGKGLLLGAGQRFARRR >ONI33801 pep chromosome:Prunus_persica_NCBIv2:G1:37773754:37776527:-1 gene:PRUPE_1G446700 transcript:ONI33801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAEMEQLTSGASNRIIPLLKTLRTVLLFVHTFFLSLLLFILPRRRRRVISESLLDAPSSKKRKSAWKREEEDTLRRRALAECYGTGDEHCPYRSATFLFFGGRNNTLFCRSWVPVSGTPRGILIIIHGLNEHSGRYADFARQLTSCNFGVYAMDWIGHGGSDGLHGYVPALDYVVADTVAFLEKIRLENPGVPCFLFGHSTGGAVVLKAAYSPKIEGMVEGIVLTSPALRVKPAHPIVGAVAPIVSLVAPKFQFKGANKRGIPVSRDPAALVAKYSDPLVYTGPIRVRTGYEILRISTHLTRNFKFVSVPFFVLHGTADRVTDPLASQDLYNEASSEFKDIKLYDGFLHDLLFEPEREEIAQDIINWMEKRLHRI >ONI34182 pep chromosome:Prunus_persica_NCBIv2:G1:38918861:38923729:-1 gene:PRUPE_1G467000 transcript:ONI34182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASLKLPLESQTYTSSLLMEQSRPEDMNGSEHIIDITGSSDASSSSLPHGRSLNSLNASQQEDRPSTSPRASTFQPSIFSSNGSNSRNSATGRRGDTRRRRSPLNSGLWISIELVLTVSQIVASVVVLSLSRHEHPRTPLFAWIVGYASGCVAILPLLYWRYRHRNQVSEQDSAQARHSSQINVPARPFSLSVSRTSEGDLQTTTASSRSSQSSEVLSRRVKVLVEYFKMALDCFFAVWFVVGNVWIFGGHSSASEAPNLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFREDLTQARGATPESINALPTYKFKLKKNKNSDNRDSSSAGVSEGGVVAAGTEKERVISGEDAVCCICLAKYANNDELRELPCSHFFHKECVDKWLKINASCPLCKSEVGESILGSLSAISGTQQRGDSRVGNEVANTIF >ONI34184 pep chromosome:Prunus_persica_NCBIv2:G1:38918977:38923760:-1 gene:PRUPE_1G467000 transcript:ONI34184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASLKLPLESQTYTSSLLMEQSRPEDMNGSEHIIDITGSSDASSSSLPHGRSLNSLNASQQEDRPSTSPRASTFQPSIFSSNGSNSRNSATGRRGDTRRRRSPLNSGLWISIELVLTVSQIVASVVVLSLSRHEHPRTPLFAWIVGYASGCVAILPLLYWRYRHRNQVSEQDSAQARHSSQINVPARPFSLSVSRTSEGDLQTTTASSRSSQSSEVLSRRVKVLVEYFKMALDCFFAVWFVVGNVWIFGGHSSASEAPNLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFREDLTQARGATPESINALPTYKFKLKKNKNSDNRDSSSAGVSEGGVVAAGTEKERVISGEDAVCCICLAKYANNDELRELPCSHFFHKECVDKWLKINASCPLCKSEVGESILGSLSAISGTQQRGDSRVGNEVANTIF >ONI34183 pep chromosome:Prunus_persica_NCBIv2:G1:38919021:38923729:-1 gene:PRUPE_1G467000 transcript:ONI34183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASLKLPLESQTYTSSLLMEQSRPEDMNGSEHIIDITGSSDASSSSLPHGRSLNSLNASQQEDRPSTSPRASTFQPSIFSSNGSNSRNSATGRRGDTRRRRSPLNSGLWISIELVLTVSQIVASVVVLSLSRHEHPRTPLFAWIVGYASGCVAILPLLYWRYRHRNQVSEQDSAQARHSSQINVPARPFSLSVSRTSEGDLQTTTASSRSSQSSEVLSRRVKVLVEYFKMALDCFFAVWFVVGNVWIFGGHSSASEAPNLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFREDLTQARGATPESINALPTYKFKLKKNKNSDNRDSSSAGVSEGGVVAAGTEKERVISGEDAVCCICLAKYANNDELRELPCSHFFHKECVDKWLKINASCPLCKSEVGESILGSLSAISGTQQRGDSRVGNEVANTIF >ONI33379 pep chromosome:Prunus_persica_NCBIv2:G1:36360470:36361840:-1 gene:PRUPE_1G420500 transcript:ONI33379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYQPTGRRRVGDMKFDVGSGGQVLDLDTAVKDGILGGGDGLICGGGVGVGVVAEKLDLKKMIEELESIEIPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHYTCPTTMQELWDDSVTPNKTLQQLICSWFSQKYLAMKKRSEDVQGRVLEILETLKKVKGQARVQALKELRQVVTAHASAKNTVVDNGGVALITSLLGPFTSHAVGSEAIGTLVNLNLSSEAKTILMQPAKISLMVDMLNEGSIETKINCTKLIEVLMEGKDLESENVSSLSLVVGLLRLVKDKRHCNGVLPGLSLLKTICSHESVRNSVVSVGAVPQLIELLPNLNHECLELALHILEVLSTLPEGRQALKDCPKTIPCVVRLLMKVSESCTQLALSILWAVCKLAPDECASLAVEAGLAAKLLLVIQSGCNPVLKQRAAELLKLCSLNYTATIFISKCKLTRTIQ >ONI29111 pep chromosome:Prunus_persica_NCBIv2:G1:15950078:15962254:-1 gene:PRUPE_1G181900 transcript:ONI29111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKMMHGRLITGRSVLEEDEVFSVARLLGDLVAYRAAGTGHLELMAGLALLRNQGQSPKSFEECMETPEEKIREAADLHKFAEAAYTGPLLDFGRNPFLFPCVWLNRQGILTPWARNRRPVLDGDNWLRGHAAAFLKYVKLSPEVLRKGRVNQAKCKAAYFVLVLHHLRSVVIAVRGTETPEDLITDSLCRECALSVEDLDGLINSPNIHAEVRQSVISSFPHHGHSGIVEAARDLFMQIEVSPRDDESGSNGLLSSLLGVGCECEGYSIRIVGHSLGGAIATLIGLRLYHRYPNLHVYTYGALPCVDSVVANACSEFVTSIVYNNEFSSRLSVGSIMRLRAAAITAMSQDSETDTAMILRLARHFLHVSKYQQNGTEVKDSASDVTSRAITEEKLNDHIYEMCNDEDQDLILWDDADMEDRVIQSDHDEFTNPFSNDVMSNHDPVSQFMVSVPRSESLTSRDPPEMYLPGLVIHIVPQPRSFDMPQCRGCAVQEKTQCHKAYIANRESFKDIIVSPSMFLDHLPWRCHDAMKQLLQAQRSQVQSLQVRSSQLVPNQPESV >ONI29109 pep chromosome:Prunus_persica_NCBIv2:G1:15950078:15962254:-1 gene:PRUPE_1G181900 transcript:ONI29109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSNIENLRWPAIILGIANAVVFVLGCFFVFWALPSCNRHILIPLMVVSFMATVRIGIMVNTGIAQEATAMTILEHSPAVSPAAVDTAFRHQTRVRYKKWLWWTRFATVVTVLQFAGASYLLYNMASFMSHNETTNHCILGKCFTGTDILKWRSFYATQDDAWKAHYREVFDHGIREALCCMGRVKYLSVLEEDEVFSVARLLGDLVAYRAAGTGHLELMAGLALLRNQGQSPKSFEECMETPEEKIREAADLHKFAEAAYTGPLLDFGRNPFLFPCVWLNRQGILTPWARNRRPVLDGDNWLRGHAAAFLKYVKLSPEVLRKGRVNQAKCKAAYFVLVLHHLRSVVIAVRGTETPEDLITDSLCRECALSVEDLDGLINSPNIHAEVRQSVISSFPHHGHSGIVEAARDLFMQIEVSPRDDESGSNGLLSSLLGVGCECEGYSIRIVGHSLGGAIATLIGLRLYHRYPNLHVYTYGALPCVDSVVANACSEFVTSIVYNNEFSSRLSVGSIMRLRAAAITAMSQDSETDTAMILRLARHFLHVSKYQQNGTEVKDSASDVTSRAITEEKLNDHIYEMCNDEDQDLILWDDADMEDRVIQSDHDEFTNPFSNDVMSNHDPVSQFMVSVPRSESLTSRDPPEMYLPGLVIHIVPQPRSFDMPQCRGCAVQEKTQCHKAYIANRESFKDIIVSPSMFLDHLPWRCHDAMKQLLQAQRSQVQSLQVRSSQLVPNQPESV >ONI29106 pep chromosome:Prunus_persica_NCBIv2:G1:15950520:15962010:-1 gene:PRUPE_1G181900 transcript:ONI29106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSNIENLRWPAIILGIANAVVFVLGCFFVFWALPSCNRHILIPLMVVSFMATVRIGIMVNTGIAQEATAMTILEHSPAVSPAAVDTAFRHQTRVRYKKWLWWTRFATVVTVLQFAGASYLLYNMASFMSHNETTNHCILGTASSNIPWKKHLMGFFVITVCFAALLQCFTGTDILKWRSFYATQDDAWKAHYREVFDHGIREALCCMGRVKYLSVLEEDEVFSVARLLGDLVAYRAAGTGHLELMAGLALLRNQGQSPKSFEECMETPEEKIREAADLHKFAEAAYTGPLLDFGRNPFLFPCVWLNRQGILTPWARNRRPVLDGDNWLRGHAAAFLKYVKLSPEVLRKGRVNQAKCKAAYFVLVLHHLRSVVIAVRGTETPEDLITDSLCRECALSVEDLDGLINPNIHAEVRQSVISSFPHHGHSGIVEAARDLFMQIEVSPRDDESGSNGLLSSLLGVGCECEGYSIRIVGHSLGGAIATLIGLRLYHRYPNLHVYTYGALPCVDSVVANACSEFVTSIVYNNEFSSRLSVGSIMRLRAAAITAMSQDSETDTAMILRLARHFLHVSKYQQNGTEVKDSASDVTSRAITEEKLNDHIYESEYRVNIKVCNDEDQDLILWDDADMEDRVIQSDHDEFTNPFSNDVMSNHDPVSQFMVSVPRSESLTSRDPPEMYLPGLVIHIVPQPRSFDMPQCRGCAVQEKTQCHKAYIANRESFKDIIVSPSMFLDHLPWRCHDAMKQLLQAQRSQVQSLQVRSSQLVPNQPESV >ONI29107 pep chromosome:Prunus_persica_NCBIv2:G1:15950520:15962010:-1 gene:PRUPE_1G181900 transcript:ONI29107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSNIENLRWPAIILGIANAVVFVLGCFFVFWALPSCNRHILIPLMVVSFMATVRIGIMVNTGIAQEATAMTILEHSPAVSPAAVDTAFRHQTRVRYKKWLWWTRFATVVTVLQFAGASYLLYNMASFMSHNETTNHCILGTASSNIPWKKHLMGFFVITVCFAALLQCFTGTDILKWRSFYATQDDAWKAHYREVFDHGIREALCCMGRVKYLSVLEEDEVFSVARLLGDLVAYRAAGTGHLELMAGLALLRNQGQSPKSFEECMETPEEKIREAADLHKFAEAAYTGPLLDFGRNPFLFPCVWLNRQGILTPWARNRRPVLDGDNWLRGHAAAFLKYVKLSPEVLRKGRVNQAKCKAAYFVLVLHHLRSVVIAVRGTETPEDLITDSLCRECALSVEDLDGLINSPNIHAEVRQSVISSFPHHGHSGIVEAARDLFMQIEVSPRDDESGSNGLLSSLLGVGCECEGYSIRIVGHSLGGAIATLIGLRLYHRYPNLHVYTYGALPCVDSVVANACSEFVTSIVYNNEFSSRLSVGSIMRLRAAAITAMSQDSETDTAMILRLARHFLHVSKYQQNGTEVKDSASDVTSRAITEEKLNDHIYEMCNDEDQDLILWDDADMEDRVIQSDHDEFTNPFSNDVMSNHDPVSQFMVSVPRSESLTSRDPPEMYLPGLVIHIVPQPRSFDMPQCRGCAVQEKTQCHKAYIANRESFKDIIVSPSMFLDHLPWRCHDAMKQLLQAQRSQVQSLQVRSSQLVPNQPESV >ONI29105 pep chromosome:Prunus_persica_NCBIv2:G1:15950078:15962254:-1 gene:PRUPE_1G181900 transcript:ONI29105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSNIENLRWPAIILGIANAVVFVLGCFFVFWALPSCNRHILIPLMVVSFMATVRIGIMVNTGIAQEATAMTILEHSPAVSPAAVDTAFRHQTRVRYKKWLWWTRFATVVTVLQFAGASYLLYNMASFMSHNETTNHCILGTASSNIPWKKHLMGFFVITVCFAALLQCFTGTDILKWRSFYATQDDAWKAHYREVFDHGIREALCCMGRVKYLSVLEEDEVFSVARLLGDLVAYRAAGTGHLELMAGLALLRNQGQSPKSFEECMETPEEKIREAADLHKFAEAAYTGPLLDFGRNPFLFPCVWLNRQGILTPWARNRRPVLDGDNWLRGHAAAFLKYVKLSPEVLRKGRVNQAKCKAAYFVLVLHHLRSVVIAVRGTETPEDLITDSLCRECALSVEDLDGLINPNIHAEVRQSVISSFPHHGHSGIVEAARDLFMQIEVSPRDDESGSNGLLSSLLGVGCECEGYSIRIVGHSLGGAIATLIGLRLYHRYPNLHVYTYGALPCVDSVVANACSEFVTSIVYNNEFSSRLSVGSIMRLRAAAITAMSQDSETDTAMILRLARHFLHVSKYQQNGTEVKDSASDVTSRAITEEKLNDHIYEMCNDEDQDLILWDDADMEDRVIQSDHDEFTNPFSNDVMSNHDPVSQFMVSVPRSESLTSRDPPEMYLPGLVIHIVPQPRSFDMPQCRGCAVQEKTQCHKAYIANRESFKDIIVSPSMFLDHLPWRCHDAMKQLLQAQRSQVQSLQVRSSQLVPNQPESV >ONI29110 pep chromosome:Prunus_persica_NCBIv2:G1:15950520:15958550:-1 gene:PRUPE_1G181900 transcript:ONI29110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFVITVCFAALLQCFTGTDILKWRSFYATQDDAWKAHYREVFDHGIREALCCMGRVKYLSVLEEDEVFSVARLLGDLVAYRAAGTGHLELMAGLALLRNQGQSPKSFEECMETPEEKIREAADLHKFAEAAYTGPLLDFGRNPFLFPCVWLNRQGILTPWARNRRPVLDGDNWLRGHAAAFLKYVKLSPEVLRKGRVNQAKCKAAYFVLVLHHLRSVVIAVRGTETPEDLITDSLCRECALSVEDLDGLINSPNIHAEVRQSVISSFPHHGHSGIVEAARDLFMQIEVSPRDDESGSNGLLSSLLGVGCECEGYSIRIVGHSLGGAIATLIGLRLYHRYPNLHVYTYGALPCVDSVVANACSEFVTSIVYNNEFSSRLSVGSIMRLRAAAITAMSQDSETDTAMILRLARHFLHVSKYQQNGTEVKDSASDVTSRAITEEKLNDHIYEMCNDEDQDLILWDDADMEDRVIQSDHDEFTNPFSNDVMSNHDPVSQFMVSVPRSESLTSRDPPEMYLPGLVIHIVPQPRSFDMPQCRGCAVQEKTQCHKAYIANRESFKDIIVSPSMFLDHLPWRCHDAMKQLLQAQRSQVQSLQVRSSQLVPNQPESV >ONI29108 pep chromosome:Prunus_persica_NCBIv2:G1:15950520:15962010:-1 gene:PRUPE_1G181900 transcript:ONI29108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSNIENLRWPAIILGIANAVVFVLGCFFVFWALPSCNRHILIPLMVVSFMATVRIGIMVNTGIAQEATAMTILEHSPAVSPAAVDTAFRHQTRVRYKKWLWWTRFATVVTVLQFAGASYLLYNMASFMSHNETTNHCILGTASSNIPWKKHLMGFFVITVCFAALLQCFTGTDILKWRSFYATQDDAWKAHYREVFDHGIREALCCMGRVKYLSVLEEDEVFSVARLLGDLVAYRAAGTGHLELMAGLALLRNQGQSPKSFEECMETPEEKIREAADLHKFAEAAYTGPLLDFGRNPFLFPCVWLNRQGILTPWARNRRPVLDGDNWLRGHAAAFLKYVKLSPEVLRKGRVNQAKCKAAYFVLVLHHLRSVVIAVRGTETPEDLITDSLCRECALSVEDLDGLINSPNIHAEVRQSVISSFPHHGHSGIVEAARDLFMQIEVSPRDDESGSNGLLSSLLGVGCECEGYSIRIVGHSLGGAIATLIGLRLYHRYPNLHVYTYGALPCVDSVVANACSEFVTSIVYNNEFSSRLSVGSIMRLRAAAITAMSQDSETDTAMILRLARHFLHVSKYQQNGTEVKDSASDVTSRAITEEKLNDHIYESEYRVNIKVCNDEDQDLILWDDADMEDRVIQSDHDEFTNPFSNDVMSNHDPVSQFMVSVPRSESLTSRDPPEMYLPGLVIHIVPQPRSFDMPQCRGCAVQEKTQCHKAYIANRESFKDIIVSPSMFLDHLPWRCHDAMKQLLQAQRSQVQSLQVRSSQLVPNQPESV >ONI31770 pep chromosome:Prunus_persica_NCBIv2:G1:31307279:31311585:1 gene:PRUPE_1G330100 transcript:ONI31770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASAAQASLAVKLPHLLQPLPSISNTHMEVISAETTKPRNRRPRPFFSSTHFQSLNPKSRSFRTVLTRVSGSGGGGAADATPQQYTPTDTEEIKSSSLGDGYVALFIRMLGLDHDSLDREQAVVALWKYSLGGKKCVDAIMQFPGCINLIVNLLRSDTSSTCEAAAGLLRSISLVNVYRDVVAQSGAIEEITGLLNRPSLSPEVKEQAISALWNLSVDEKFRLKIANSDVLPLLVKSMDDEDIKLKEAAGGVLANLALSHFNHSIMVEAGVIPKLAKLLRTDIEGSKVIRKEARNALLELCKDEYYRILIVDEGLVPVPMIGAAAYKSFRPSLYSWPRLPDGTEIEQTSKTPSRFGASELLLGLNVDDKNVNIEEAKMNAIVGRTQQQFLARIGAIELEDEKNQSEVTTGKRLTLLPWMDGVARLVLILGLEDESAIARAAESIADTSINEHIRIAFKEAGAVKPLVQHLDSKNDAVILAVTQALEKLSVSNGVCQIIEAEGVIDPLINVLKQPKIPEILMEKTLDILARILDPSKEMKSKFYDGPVNGSKEGSAAPINADAAHKCVSKTNSRESVLDFGVIAHLVEILKTPTPRLQRKAASILEFCTVIDPRMETIISVDVESGLDVVFQQKILEADMESEVVNQQPEKYALEVEEAGLAISAASRLFTKLLDSENFCQKIDSAHFTKLLCDILESNIPLNNKDWVAACLVKLGSLSGPRLGFEDPINMEVTLYETIPRLMEQIKTSFSPEAKEAAVVELNRIISEGVVDSTQAIASEGGIFPLVKLIEEGSERAIDACLAILYNLSMDSENHSAIVAAGAVPVLRRIVLSQRPQWTRALRLLRTLPT >ONI31773 pep chromosome:Prunus_persica_NCBIv2:G1:31307394:31310124:1 gene:PRUPE_1G330100 transcript:ONI31773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASAAQASLAVKLPHLLQPLPSISNTHMEVISAETTKPRNRRPRPFFSSTHFQSLNPKSRSFRTVLTRVSGSGGGGAADATPQQYTPTDTEEIKSSSLGDGYVALFIRMLGLDHDSLDREQAVVALWKYSLGGKKCVDAIMQFPGCINLIVNLLRSDTSSTCEAAAGLLRSISLVNVYRDVVAQSGAIEEITGLLNRPSLSPEVKEQAISALWNLSVDEKFRLKIANSDVLPLLVKSMDDEDIKLKEAAGGVLANLALSHFNHSIMVEAGVIPKLAKLLRTDIEGSKVIRKEARNALLELCKDEYYRILIVDEGLVPVPMIGAAAYKSFRPSLYSWPRLPDGTEIEQTSKTPSRFGASELLLGLNVDDKNVNIEEAKMNAIVGRTQQQFLARIGAIELEDEKNQSEVTTGKRLTLLPWMDGVARLVLILGLEDESAIARAAESIADTSINEHIRIAFKEAGAVKPLVQHLDSKNDAVILAVTQALEKLSVSNGVCQIIEAEGVIDPLINVLKQPKIPEILMEKTLDILARILDPSKEMKSKFYDGPVNGSKEGSAAPINADAAHKCVSKTNSSFVYSMISQGRIKCAECKCLPSISPNSLCGSLF >ONI31772 pep chromosome:Prunus_persica_NCBIv2:G1:31307279:31311585:1 gene:PRUPE_1G330100 transcript:ONI31772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASAAQASLAVKLPHLLQPLPSISNTHMEVISAETTKPRNRRPRPFFSSTHFQSLNPKSRSFRTVLTRVSGSGGGGAADATPQQYTPTDTEEIKSSSLGDGYVALFIRMLGLDHDSLDREQAVVALWKYSLGGKKCVDAIMQFPGCINLIVNLLRSDTSSTCEAAAGLLRSISLVNVYRDVVAQSGAIEEITGLLNRPSLSPEVKEQAISALWNLSVDEKFRLKIANSDVLPLLVKSMDDEDIKLKEAAGGVLANLALSHFNHSIMVEAGVIPKLAKLLRTDIEGSKVIRKEARNALLELCKDEYYRILIVDEGLVPVPMIGAAAYKSFRPSLYSWPRLPDGTEIEQTSKTPSRFGASELLLGLNVDDKNVNIEEAKMNAIVGRTQQQFLARIGAIELEDEKNQSEVTTGKRLTLLPWMDGVARLVLILGLEDESAIARAAESIADTSINEHIRIAFKEAGAVKPLVQHLDSKNDAVILAVTQALEKLSVSNGVCQIIEAEGVIDPLINVLKQPKIPEILMEKFYDGPVNGSKEGSAAPINADAAHKCVSKTNSRESVLDFGVIAHLVEILKTPTPRLQRKAASILEFCTVIDPRMETIISVDVESGLDVVFQQKILEDMESEVVNQQPEKYALEVEEAGLAISAASRLFTKLLDSENFCQKIDSAHFTKLLCDILESNIPLNNKDWVAACLVKLGSLSGPRLGFEDPINMEVTLYETIPRLMEQIKTSFSPEAKEAAVVELNRIISEGVVDSTQAIASEGGIFPLVKLIEEGSERAIDACLAILYNLSMDSENHSAIVAAGAVPVLRRIVLSQRPQWTRALRLLRTLPT >ONI31771 pep chromosome:Prunus_persica_NCBIv2:G1:31307279:31311585:1 gene:PRUPE_1G330100 transcript:ONI31771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASAAQASLAVKLPHLLQPLPSISNTHMEVISAETTKPRNRRPRPFFSSTHFQSLNPKSRSFRTVLTRVSGSGGGGAADATPQQYTPTDTEEIKSSSLGDGYVALFIRMLGLDHDSLDREQAVVALWKYSLGGKKCVDAIMQFPGCINLIVNLLRSDTSSTCEAAAGLLRSISLVNVYRDVVAQSGAIEEITGLLNRPSLSPEVKEQAISALWNLSVDEKFRLKIANSDVLPLLVKSMDDEDIKLKEAAGGVLANLALSHFNHSIMVEAGVIPKLAKLLRTDIEGSKVIRKEARNALLELCKDEYYRILIVDEGLVPVPMIGAAAYKSFRPSLYSWPRLPDGTEIEQTSKTPSRFGASELLLGLNVDDKNVNIEEAKMNAIVGRTQQQFLARIGAIELEDEKNQSEVTTGKRLTLLPWMDGVARLVLILGLEDESAIARAAESIADTSINEHIRIAFKEAGAVKPLVQHLDSKNDAVILAVTQALEKLSVSNGVCQIIEAEGVIDPLINVLKQPKIPEILMEKTLDILARILDPSKEMKSKFYDGPVNGSKEGSAAPINADAAHKCVSKTNSRESVLDFGVIAHLVEILKTPTPRLQRKAASILEFCTVIDPRMETIISVDVESGLDVVFQQKILEDMESEVVNQQPEKYALEVEEAGLAISAASRLFTKLLDSENFCQKIDSAHFTKLLCDILESNIPLNNKDWVAACLVKLGSLSGPRLGFEDPINMEVTLYETIPRLMEQIKTSFSPEAKEAAVVELNRIISEGVVDSTQAIASEGGIFPLVKLIEEGSERAIDACLAILYNLSMDSENHSAIVAAGAVPVLRRIVLSQRPQWTRALRLLRTLPT >ONI33594 pep chromosome:Prunus_persica_NCBIv2:G1:37163125:37168149:1 gene:PRUPE_1G434900 transcript:ONI33594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDGTMRLGALNLKPDRVGGFDSGPDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKERRRALQRKAQEDQIPTEEQEEMMRILERRETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKGTGEIYAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLHYSFQDSDFLYLIMEYLPGGDIMTLLMREDTLSEDVARFYIAESILAIHSIHQHNYIHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSTLLLENDDLTSQESISETEGHSGCDKVPWLMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGIECDWWSLGAIMYEMLVGYPPFCSDDPRITCRKIINWRTCLKFPDEPKISDEAKDLICHLLCDVESRLGTHGAEEIKAHPWFRCVQWDRLYEIEAAYKPTVIGDLDTQNFEKFPEVEGPPSTMPTVGPWRKMLTSKDTNFIGYTFKKSDVLRSLESSGTDMRSNGSAKAPSLISLLGRIDLQETAIPEGDQKQEI >ONI29276 pep chromosome:Prunus_persica_NCBIv2:G1:17581388:17584557:1 gene:PRUPE_1G190300 transcript:ONI29276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHVIFRIWILLMVNIGINIFFLACYFRRLEDTLDAFADRVSKPRLYLTDKMQIALAGGLGHGVAHAVFFCISLLTPAFGPGTFFVDRCSKIPFFLLSALIALAFVTIHTFSMVIAFNGYAERNKVDQLFVPIVHLVAGMVTLVNFASGGCIIGIPLLYFIAILTLLHCGKMVWRRLTENRGRQGSM >ONI29275 pep chromosome:Prunus_persica_NCBIv2:G1:17579784:17584630:1 gene:PRUPE_1G190300 transcript:ONI29275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAAGIGYALLALGPSLSLFVSVISKKPFLVLTVLSSTLLWLTSLIVLSGIWRAFLPLKSSTWWPYSILIFTSVAFQEGLRVLFWKVYKRLEDTLDAFADRVSKPRLYLTDKMQIALAGGLGHGVAHAVFFCISLLTPAFGPGTFFVDRCSKIPFFLLSALIALAFVTIHTFSMVIAFNGYAERNKVDQLFVPIVHLVAGMVTLVNFASGGCIIGIPLLYFIAILTLLHCGKMVWRRLTENRGRQGSM >ONI29277 pep chromosome:Prunus_persica_NCBIv2:G1:17581757:17584630:1 gene:PRUPE_1G190300 transcript:ONI29277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIAAAPIIAGGLGHGVAHAVFFCISLLTPAFGPGTFFVDRCSKIPFFLLSALIALAFVTIHTFSMVIAFNGYAERNKVDQLFVPIVHLVAGMVTLVNFASGGCIIGIPLLYFIAILTLLHCGKMVWRRLTENRGRQGSM >ONI29081 pep chromosome:Prunus_persica_NCBIv2:G1:15596681:15607767:-1 gene:PRUPE_1G180000 transcript:ONI29081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLLRLVLLVSLIALSLARGGNDMVLDTDSTPKTPSCNNPYLMAKVRNWVNGREAETFEGAGAKFGALLPSEKENAVKLPVVISNPLNGCNASSSKLSGAIALSARGDCEFSVKAKVAQSGGAKALVVINDDEGLAKMACPEDSTSLNISIFVVMIPKSNGESLKNSIQDGKKVELLLYSPKRPVVDFSVVFLWLMAVGTIIVASFWSKITAPEKSDERYNELAEKESNTGTAKDDSEDEVMNLSVKGAVCFVITASVFLLLLYFFMSTWFVWVLIVLFCIGGIEGMHNCLLSLILRTWRSGGRKTITLPLLDEVSILSLVVLALCVGFAVFWVVTRRASYSWVGQDVLGICLMITVLQIARLPNIKVATVLLCCAFVYDIFWVFLSPLMFKDSVMVVVAKGDNSGEGLPMLLRIPRFFDPWGGQNMLGFGDVLFPGLLIVFSYRFDKENKKHGISGYFLWLVTGYGIGLGFTYLGLYLMNGNGQPALLYLVPCTLGVTVFLGLIRRELKQLWDYGTEPEVSRSTVEPAVEGTRSV >ONI29227 pep chromosome:Prunus_persica_NCBIv2:G1:17269420:17271922:1 gene:PRUPE_1G188400 transcript:ONI29227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVASEAPLGKPNCSSQCGSVTQIPYPFGIEAGCYLDDWFQIICDNSASPPKAFLNVTGLEVLEISVEGTLKVASPITFSNCSNKPVGRQTQNLEGSPFLFSRKNKFTSMSCGGIALMTLHGSTIGGCLSICDYYSTSVLQTKSCSGMNCCQTTLPYCLSSFNTSFGAVNAESQKACKFAFLVDNDWFTSNSTNISAIGEMDYVPVVLEWYVVNYTKFDIYGTNNWKDDNSTDCSSSQCFCSKGYHGNPYLLHGCQDINECEDPDHPNRCGSGICINYPGKFFCQLPDRRSSRVNLAIIVPSSVLGLLFLMIGSWWSHKGIKKRKNIKRKEKFFKQNGGLVLEQQLSSGELNVEKVKLFNCKELEKATDHFNADRVIGQGGQGTVYKGMLADGRIVAVKKSKIVEGGDVGQFINEIVILSQISHRNVVKLLGCCLETEVPLLVYEFILNGTLFEYIHHKNEDFPLTWEMRLRISIEVAGALSYLHSAAAFPIYHRDVKSSNILLDEKYRAKVADFGTSRSVSIDQTHLTTLVRGTFGYLDPEYFQSSQFTAKSDVYSFGVVLAELLTGQKPVSFMRPQESRSLATYFLISMEENSLFDILDAQVMKDGENDQIVAVANLAKACLNLNGRKRPTMKEVAVELEGIQLSIKASDVQQNFAEVEYDQSQITDPWYIASLSSTGSCMDSGTSCSLDVQPPMSF >ONI27103 pep chromosome:Prunus_persica_NCBIv2:G1:4885325:4890177:-1 gene:PRUPE_1G068600 transcript:ONI27103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASNSPTQKKRYTVTALVFITLFLFTIFLFSKRALEPSLSLYKNPFPQIPSSSSSSSLPNSNLSLPNSTSTERVAVDPPSSSGSNYQLPLLHSISAQPLDPPTQQPVSSNSQTEEPTEFNDETEQDPGRENETPFGEMGETGCDLYLGTWVKDEHYPLYKPSSCPYVDEAFDCQSNGRSDSEYLKWRWKPEGCDLPRFNATDFLVRLRGKRLMLVGDSMNRNQFESILCLLREGLHNKSRMHEIHGHKITKGRGYYVFKFEDYDCTVEFVRSHFLVKEGVRLNGQGSSNPTLSIDQIDKTAKRWKRADILVFNTGHWWTHGKTARGKNYYKEGDYLYPKFDASEAYRRALRTWASWIDRNVNPSKQLIFYRGYSSAHFRGGDWDSGGSCNGEKEPVLSGAILDNYPLKMKIVDEVIKEMRVPVKLLNVTRLTNFRKDGHPSIYGKNATAGKKVSTRKQDCSHWCLPGVPDAWNELIYATLVIQQTKSKSKNS >ONI27102 pep chromosome:Prunus_persica_NCBIv2:G1:4884616:4890361:-1 gene:PRUPE_1G068600 transcript:ONI27102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASNSPTQKKRYTVTALVFITLFLFTIFLFSKRALEPSLSLYKNPFPQIPSSSSSSSLPNSNLSLPNSTSTERVAVDPPSSSGSNYQLPLLHSISAQPLDPPTQQPVSSNSQTEEPTEFNDETEQDPGRENETPFGEMGETGCDLYLGTWVKDEHYPLYKPSSCPYVDEAFDCQSNGRSDSEYLKWRWKPEGCDLPRKNYYKEGDYLYPKFDASEAYRRALRTWASWIDRNVNPSKQLIFYRGYSSAHFRGGDWDSGGSCNGEKEPVLSGAILDNYPLKMKIVDEVIKEMRVPVKLLNVTRLTNFRKDGHPSIYGKNATAGKKVSTRKQDCSHWCLPGVPDAWNELIYATLVIQQTKSKSKNS >ONI27529 pep chromosome:Prunus_persica_NCBIv2:G1:7009921:7012260:-1 gene:PRUPE_1G092400 transcript:ONI27529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSTMSAILVLVLHLFVLHLQYSEIHSLANTSAHDFSYLEIVYDANDTELEGTYDYIIVGGGTAGCPLAATLSANYSVLVLERGTLPTEYPNLLTSDGFIYNLQQEDDGQTPVERFVSGDGIDNVRGRVLGGTSMINAGVYVRANTSFFNQTGIEWDMDLVNKTYDWVEDTIVFKPDFQFWQNLTRTAFLEVGILPDNGFSLDHIEGTRLTGSTFDNNGTRHASDELLNKGDPNNLRVAVHAAVEKIIFSSNSSGVTAIGVIYTDSNGTTHQAFVRGEGEVILSAGPIGSPQLLLLSGVGPESYLTSLNISVVASHPYVGQYIYDNPRNFINILPPNPIEPSTVTVLGITSDFYQCSLSSLPFSIAPFSFFPNPTYPLPKTTFAHIVNKVPGPLSYGTVTLQSTSDVRVAPNVKFNYYSNSTDLAHCVSGMKKIGEFLSSDALKPYKVEDLPGIEGFDILGIPLPEDQTDDAAFETFCQVAVASYWHYHGGCLVGEVLDDDFRVTGINALRVVDGSTFPSTPASHPQGFYLMLGRYVGSKILQERLASE >ONI29211 pep chromosome:Prunus_persica_NCBIv2:G1:17169994:17177514:1 gene:PRUPE_1G187400 transcript:ONI29211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEAEEQPERYSVSDVSVFVKDGKVVDTSEGVDPPSLATKVARVVGSVKAGEPAAPASLDTVQELAKETGSSKEQIQAQNGLDDALKRRLQKLIDSNRVMLFMKGTPEEPKCRFSKMAVNILKKHKVEFGSFDLLTDNEVLEGIQKYSNWSLLPQIYFQGRARGFRHIGTLMKGCPSESTRKEFFY >ONI26157 pep chromosome:Prunus_persica_NCBIv2:G1:597296:599838:-1 gene:PRUPE_1G007200 transcript:ONI26157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNRLCFVLPADFNEIEPLDCQKLQKPAKEEIKKNPRGYCTSHILAFIKDSLCRLYYDLKWIHFCHHDGTRRKRHSGVFQDMDGIQLQDKAGGDNPRIFSFSELYIGSNGFSEDGVLGSGGFGKVYRAVLPSDGTVVAVKCLVERGEQFEKTFVAELLAVAHLRHRNLVRLRGWCVHENQLFLVYDYMPNLSLDRILFRRPENIGSAPLNWDRRRNIISGLAAALFYLHEQLETQIIHRDIKTSNVMLDSHYNARLGDFGLARWLEHELEYQTKTPSMKNHQFRLSETTRIGGTIGYLPPESFQKRSVATAKSDVFSFGIVVLEVVSGRRAVDLTCPDDQIILLDWIRRLSDEGKLLQAGDNRIPDGSYKLFDMENLFHLALLCTLHNPQSRPNMKWIVEALSGNIYGKLPVLPSFQCHPLYITLSSPTTTSTNNGSTNTRYTIATTNTTISSISSTFVTATGETIYATAEYGGSDVSSSESFRQKKSTFPMIETPREISYKEIISATNNFADSHRVAELDFGTAYQGFLNNRHHILVKRLGMKTCPALRARFSNELQNLGRLRHRNLVQLRGWCTEQGEMLVVYDYLADRLLSHLLFHHDYRFGNSILQWHHRYSIIKSLASAILYLHEEWDEQVIHRNITSSAVILDPDMSPRLSSFALAEFLTRKEHGHHATSNTSRSVRGIFGYMSPEYMESGEATPMADIYSFGVVMLEIISGQMAVDFRRPEVLLVKRVHEFEARKRPLEELADIRLNGAYNHKEMMRLIKLGIGCTRSNPRLRPNMRQIVRILDGNDKCFMEDGKKEESTKEWRQMNASSLSLIKRIQALGIQ >ONI32701 pep chromosome:Prunus_persica_NCBIv2:G1:34319190:34320832:-1 gene:PRUPE_1G380600 transcript:ONI32701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEVVQEKGVERRPFTCLRTTLVIRTYITMAGKLFITRETPFLAGLSLFKRTPSSYLLLKPGICKYSHHRRPLSQLLRMAPQDVQNGNHEVKEPTPKIAKLHENSDVSGNPTFFFRVKKLSEKAVLPSRGSPLSAGYDLSSATETKVPARGKALVPTDLSIAVPEGTYARIAPRSGLAWKHSINVGAGVIDADYRGSVGVILFNHSDVDFEIKEGDRIAQLIIEKIITPDVVEVEDLDLTARGNGGFGSTGV >ONI32305 pep chromosome:Prunus_persica_NCBIv2:G1:33223741:33226952:-1 gene:PRUPE_1G360000 transcript:ONI32305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQPLNLLDHLHQTILQFLNPFTASLSQARQAHAQILKTGLSSDTNLTTKLLSLYANNLCFDDANFVLDSISEPNLFSYSTLIHAFAKLNQFGHALGLFSQMLSRGLVPDSFLFPSVVKACAGLPASKAGKQVHAIASVSGLASDSFVQSSLVHMYIKCDQIRDARKLFDRVPQRDVIICSALISGYSRRGCVDEAMQLLSEMRGMCLEPNVVLWNGMIAGFNQSKLYADTVAVLQKMHSEGFQPDGSSISSALPAVGHLEDLGMGIQIHGYVVKQGLGSDKCVVSALIDMYGKCACSFETSQVFHEMDQMDVGACNALVTGLSRNGLVDNALKVFRQFKDQGMELNIVSWTSIIASCSQNGKDMEALELFREMQVEGVEPNSVTIPCLLPACGNIAALMHGKAAHCFSLRRGISNDVYVGSSLIDMYAKCGKIRLSRLCFDEMPTRNLVCWNAVMGGYAMHGKANETMEVFRLMQRSGQKPDFISFTCVLSACSQKGLTDEGWYYFNSMSKEHGLEARVEHYACMVTLLSRSGKLEEAYSMIKQMPFEPDACVWGALLSSCRVHSNVTLGKYVAKKLFNLEPKNPGNYILLSNIYASKGMWSEVDKVRDKMKSLGLRKNPGCSWIEVKNKVHMLLAGDKAHPQMNQIIEKLNKLSSEMKKLGYFPNTHFVLQDVEEQDKEQILCGHSEKLAVVLGLLNSPPGSSLRVIKNLRICGDCHAVIKFISSFEGREISVRDTNLFHHFKDGVCSCEDYW >ONI35252 pep chromosome:Prunus_persica_NCBIv2:G1:43062637:43070241:-1 gene:PRUPE_1G525700 transcript:ONI35252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLSLLGLLRRRLVSSLAAESTVDQVDWPRRLSSLSEALYRTHGSPSCGSVAGFATSLGNRYFHASGFCCAPERDYYETLGVSKRASRDEIKKAFRVLAKKYHPDANKDNPSSKRKFQEIKDAYETLQDPEKRAQYDKKRSSGSENVGYTAGDAEGFRYDNQTHGAAGAEGFRYGFQTHFSSSFHKIFSEIFEHEFDQVAADIEVELSLSFFEAAKGCTKHLSVNAHVPCDSCYGRGSPLNAKTKVCPTCRGIGRVTVPPFTSTCGTCKGAGKIIKESCISCGGLGVVEGTREVKVSIPAGVDSGDTIRIPEAGNSGVRGGQPGCFYIKLKVAEDPIFARDGADVYVNSNISFTQAILGGMVEVPTLSGKIEVKIPKGVQPGQHIVLRGKGLPKHGFLVSHGDQYVRFRVRFPTEINERQHAILEEFAREEIMHGNSTSNKRDWETPNTSLTWNTSKFLTLNSLPSSAPPLPVAPHYHIELGLAK >ONI28083 pep chromosome:Prunus_persica_NCBIv2:G1:9586699:9589044:-1 gene:PRUPE_1G122100 transcript:ONI28083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKKEEKAQAAAERIKAAALSAAKGLSRAQAERAAASAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDLKSNVITISGAASQCQKCFQPGHWTYECKNERVYISRPSRTQQLKNPKLKMKVSVSYDLDIPDIKEEKGEQQSKKSKRKHRAASDSGSDSEASVFDTDSGASSITGSDYSSEESSSDYSSSSDSEEERRHRRKKKKQKMGRRQRRNSSSSESSETESVSESDSDDRRSRRKSRTHSRKC >ONI33449 pep chromosome:Prunus_persica_NCBIv2:G1:36599103:36600684:-1 gene:PRUPE_1G424800 transcript:ONI33449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYALMCCRQCFRSNAKEIGFIKYR >ONI32940 pep chromosome:Prunus_persica_NCBIv2:G1:35096880:35099343:1 gene:PRUPE_1G395200 transcript:ONI32940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMPFSATFSTPLHGYSQTKTTRSSNSSASCPSMAFWAPSVKSSLGSSKSAIFQNGFSLQSPSFNGVFFKARSLGVYARAATEKTLYDFSVKDIDGKDVPLSKFKGKVLLIVNVASKCGLTSSNYSELSHLYEKYKTQGFEILAFPCNQFGGQEPGSNPEIKQFACTRFKAEFPIFDKVDVNGPSTAPVYQFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTTSPFQIERDIQKLVAA >ONI34552 pep chromosome:Prunus_persica_NCBIv2:G1:40591401:40591911:-1 gene:PRUPE_1G487200 transcript:ONI34552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKLQNTGEGVKVIADKPKAEANYWFKPKKGSVFPAKRKLVKTMVFDSIVKWVASVFYSALPPPPPSGAPANQPNIDKVPNSKKCKQISPVSGGN >ONI34586 pep chromosome:Prunus_persica_NCBIv2:G1:40703640:40712528:-1 gene:PRUPE_1G489000 transcript:ONI34586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPQYLHHHPRYATSNPYPADPPNLPNYPHRHHNNHHYNHHHHQQPPPQIQPPPPPPPPLPPTSSYHPLPPPPPPPPHPYNPSQPQLPFESEHSRTFHSLHDFPVSSPRVSSRVTLDAERHRHHRLPQFDLPYLEKNPDSWDPSRAYLDFERDRELLKPQFRLESEGSGSARFRGEYNEQLLRDQRAGDDEYNNRRRARVEPNSEIAYRELGFVSNQNSNNLDSNNLDFDSKSGGYDGRYDELMRSGGGRRDEVYENTQRWVHHDRQASRELYDPFEVDETNGGRNVSGNREYYGSETGRGSSNNRRKQIQKKSALLRLQMAKPSHKHYSAYFDNSGSSSHRGKGHYEYSDREMDEEERGGSPLELDVSFKSNSLVAKTVGNRNFKRDSVFDRDFSNSQLTKLSEDAVHLDRSVVVEDMTSNSDKDPRLLEEEVTTSGVESRCDIDSQPCSNVTDDSFGKSEVERASKSKVLQRDGKSAGSSQMPSHKVSKKKKVAKKVVKKIINPQPLPKHKIDEPGVADSFICRPSAAFGADKDETSSFADPCSNDVHALPVNKKVDGSSLNMLSDEHGTESNSCSKSTGSNSTSKLGSSNHEEFNIDQGPLTVDTSVQGLLKISNFSNNVTDSLRVASCPETDGVIDVSKQICHSGNSLSLDNVIRKESSEAMLSVEGNANSGFLSSEKIMMHDDIMNANGSGHGTETTLDIESGRNVLHQEIIVHDIGTVDAINEKVCKYQFPTSLQIGFVEELPKGISSAESSMTVGLSSSGETLAVCSNSGRGTTWDSDKVCTNYDENIIGKQPSADGASRSFGICATQRSPDITKSVGDSKSVTHKNKKKRKVRTRLDSSRASNTCAEPINVSVNKNSVDTTVSSSLKDASHAEVSVFGVGKLDIGSQPVNDGVSVIHGKSSVDGFCEAKLSTRSDVNCDPNETSPKYIKKRKLSASHLVLTTSQTNDGPADKSTFYTESTDAPLKSNGNPTQEEDEVAASSTGRLLATANLMPSQEGSTVFLKDNLAGVLSDAVAAARDAFTNDGMKSEHQGVDSCSIYEESVPDTLFLCPSQLRNEQKEAGTQVMVINNHHLDIMDIESNREENFDIVATDEQVIIHGETALCRVSSEVEPPELGYKFSCTDMESDHVSVKDSLPFASNRLLLCANDNEVSTTNSNEGVESVPDTLSDTGSPETSTDVPGVQMRTCSPSVIKISDGKDCGDDQKLGLKSVVEVGCSASARNSLSECTKSNLTSHPVTEGGQSVMGKTVALPLQDIKKTAHGLNLVTAESRVKNQLGQATRRIVPGHSYSVFSTSKKTGSSTHMAKPRTWHRNGNASASSLPASMPFSSTVPPQRNLPQKDGKLQSNSYVRKGNSLVRKPVPVAALPQSSHGFSSAVYRLNSLGIDGLKKNAGSESRVDVKNPPSLMRTGEMNAPFDRPRPPLPNGAKLSTCDAISLGVCTSSQLAEPLLSGENMSDPMNCLETKDAKIVVNDSLVTSETQENHSGPFNSLENQTELHDGNSAPSNTKNIVYVKHKLNQLVASSSPCDLPVHNTDKIQHSSFDGYYKRRKNQLIRTSSEGHAKQAVITSNDNLNSQVQKVSKIVPSRIYGKKRSQKVIAKTSKTGKHSLVWTPRGTQSSNNDGDSFDHQKVLPHLFPWKRARHWRTSMQSQASNFKYSSASTISKKLLLSRRRDTVYTRSTHGFSLRMYKVLSVGGSSLKWSKSIENRSKKANEEATRAVAAVEKKKREHSGAACVSSGSKFRNNISGKRIFRIGSVRYKMDPSRRTLQRISDDESSSSAVLNPEKDAKRSYVPRRLVIGNDEYVRIGNGNQLIRNPKKRTRILASERVRWSLHTARLRLAKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKIAVCTKFLKGLCSNPNCKLTHKVIPERMQDCSYFLQDM >ONI34585 pep chromosome:Prunus_persica_NCBIv2:G1:40703931:40712440:-1 gene:PRUPE_1G489000 transcript:ONI34585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPQYLHHHPRYATSNPYPADPPNLPNYPHRHHNNHHYNHHHHQQPPPQIQPPPPPPPPLPPTSSYHPLPPPPPPPPHPYNPSQPQLPFESEHSRTFHSLHDFPVSSPRVSSRVTLDAERHRHHRLPQFDLPYLEKNPDSWDPSRAYLDFERDRELLKPQFRLESEGSGSARFRGEYNEQLLRDQRAGDDEYNNRRRARVEPNSEIAYRELGFVSNQNSNNLDSNNLDFDSKSGGYDGRYDELMRSGGGRRDEVYENTQRWVHHDRQASRELYDPFEVDETNGGRNVSGNREYYGSETGRGSSNNRRKQIQKKSALLRLQMAKPSHKHYSAYFDNSGSSSHRGKGHYEYSDREMDEEERGGSPLELDVSFKSNSLVAKTVGNRNFKRDSVFDRDFSNSQLTKLSEDAVHLDRSVVVEDMTSNSDKDPRLLEEEVTTSGVESRCDIDSQPCSNVTDDSFGKSEVERASKSKVLQRDGKSAGSSQMPSHKVSKKKKVAKKVVKKIINPQPLPKHKIDEPGVADSFICRPSAAFGADKDETSSFADPCSNDVHALPVNKKVDGSSLNMLSDEHGTESNSCSKSTGSNSTSKLGSSNHEEFNIDQGPLTVDTSVQGLLKISNFSNNVTDSLRVASCPETDGVIDVSKQICHSGNSLSLDNVIRKESSEAMLSVEGNANSGFLSSEKIMMHDDIMNANGSGHGTETTLDIESGRNVLHQEIIVHDIGTVDAINEKVCKYQFPTSLQIGFVEELPKGISSAESSMTVGLSSSGETLAVCSNSGRGTTWDSDKVCTNYDENIIGKQPSADGASRSFGICATQRSPDITKSVGDSKSVTHKNKKKRKVRTRLDSSRASNTCAEPINVSVNKNSVDTTVSSSLKDASHAEVSVFGVGKLDIGSQPVNDGVSVIHGKSSVDGFCEAKLSTRSDVNCDPNETSPKYIKKRKLSASHLVLTTSQTNDGPADKSTFYTESTDAPLKSNGNPTQEEDEVAASSTGRLLATANLMPSQEGSTVFLKDNLAGVLSDAVAAARDAFTNDGMKSEHQGVDSCSIYEESVPDTLFLCPSQLRNEQKEAGTQVMVINNHHLDIMDIESNREENFDIVATDEQVIIHGETALCRVSSEVEPPELGYKFSCTDMESDHVSVKDSLPFASNRLLLCANDNEVSTTNSNEGVESVPDTLSDTGSPETSTDVPGVQMRTCSPSVIKISDGKDCGDDQKLGLKSVVEVGCSASARNSLSECTKSNLTSHPVTEGGQSVMGKTVALPLQDIKKTAHGLNLVTAESRVKNQLGQATRRIVPGHSYSVFSTSKKTGSSTHMAKPRTWHRNGNASASSLPASMPFSSTVPPQRNLPQKDGKLQSNSYVRKGNSLVRKPVPVAALPQSSHGFSSAVYRLNSLGIDGLKKNAGSESRVDVKNPPSLMRTGEMNAPFDRPRPPLPNGAKLSTCDAISLGVCTSSQLAEPLLSGENMSDPMNCLETKDAKIVVNDSLVTSETQENHSGPFNSLENQTELHDGNSAPSNTKNIVYVKHKLNQLVASSSPCDLPVHNTDKIQHSSFDGYYKRRKNQLIRTSSEGHAKQAVITSNDNLNSQVQKVSKIVPSRIYGKKRSQKVIAKTSKTGKHSLVWTPRGTQSSNNDGDSFDHQKVLPHLFPWKRARHWRTSMQSQASNFKYSSASTISKKLLLSRRRDTVYTRSTHGFSLRMYKVLSVGGSSLKWSKSIENRSKKANEEATRAVAAVEKKKREHSGAACVSSGSKFRNNISGKRIFRIGSVRYKMDPSRRTLQRISANLSYSLHSCCVDDESSSSAVLNPEKDAKRSYVPRRLVIGNDEYVRIGNGNQLIRNPKKRTRILASERVRWSLHTARLRLAKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKIAVCTKFLKGLCSNPNCKLTHKVIPERMQDCSYFLQGLCSNENCPYRHVNVNPKASTCEGFLKGYCADGNECRKKHSYVCPSFEATGTCPQGPKCKLHHPRNRTKGKKRKRTREQKNAWGRYFVSKDINFSEPRAVSGKHCAQNGDDIFDDGRAADFISIDASDEEAGESNDPINEQAASCDSDSSELELDDLDELIKPVRLLDRSPKTNIL >ONI34584 pep chromosome:Prunus_persica_NCBIv2:G1:40703640:40712721:-1 gene:PRUPE_1G489000 transcript:ONI34584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPQYLHHHPRYATSNPYPADPPNLPNYPHRHHNNHHYNHHHHQQPPPQIQPPPPPPPPLPPTSSYHPLPPPPPPPPHPYNPSQPQLPFESEHSRTFHSLHDFPVSSPRVSSRVTLDAERHRHHRLPQFDLPYLEKNPDSWDPSRAYLDFERDRELLKPQFRLESEGSGSARFRGEYNEQLLRDQRAGDDEYNNRRRARVEPNSEIAYRELGFVSNQNSNNLDSNNLDFDSKSGGYDGRYDELMRSGGGRRDEVYENTQRWVHHDRQASRELYDPFEVDETNGGRNVSGNREYYGSETGRGSSNNRRKQIQKKSALLRLQMAKPSHKHYSAYFDNSGSSSHRGKGHYEYSDREMDEEERGGSPLELDVSFKSNSLVAKTVGNRNFKRDSVFDRDFSNSQLTKLSEDAVHLDRSVVVEDMTSNSDKDPRLLEEEVTTSGVESRCDIDSQPCSNVTDDSFGKSEVERASKSKVLQRDGKSAGSSQMPSHKVSKKKKVAKKVVKKIINPQPLPKHKIDEPGVADSFICRPSAAFGADKDETSSFADPCSNDVHALPVNKKVDGSSLNMLSDEHGTESNSCSKSTGSNSTSKLGSSNHEEFNIDQGPLTVDTSVQGLLKISNFSNNVTDSLRVASCPETDGVIDVSKQICHSGNSLSLDNVIRKESSEAMLSVEGNANSGFLSSEKIMMHDDIMNANGSGHGTETTLDIESGRNVLHQEIIVHDIGTVDAINEKVCKYQFPTSLQIGFVEELPKGISSAESSMTVGLSSSGETLAVCSNSGRGTTWDSDKVCTNYDENIIGKQPSADGASRSFGICATQRSPDITKSVGDSKSVTHKNKKKRKVRTRLDSSRASNTCAEPINVSVNKNSVDTTVSSSLKDASHAEVSVFGVGKLDIGSQPVNDGVSVIHGKSSVDGFCEAKLSTRSDVNCDPNETSPKYIKKRKLSASHLVLTTSQTNDGPADKSTFYTESTDAPLKSNGNPTQEEDEVAASSTGRLLATANLMPSQEGSTVFLKDNLAGVLSDAVAAARDAFTNDGMKSEHQGVDSCSIYEESVPDTLFLCPSQLRNEQKEAGTQVMVINNHHLDIMDIESNREENFDIVATDEQVIIHGETALCRVSSEVEPPELGYKFSCTDMESDHVSVKDSLPFASNRLLLCANDNEVSTTNSNEGVESVPDTLSDTGSPETSTDVPGVQMRTCSPSVIKISDGKDCGDDQKLGLKSVVEVGCSASARNSLSECTKSNLTSHPVTEGGQSVMGKTVALPLQDIKKTAHGLNLVTAESRVKNQLGQATRRIVPGHSYSVFSTSKKTGSSTHMAKPRTWHRNGNASASSLPASMPFSSTVPPQRNLPQKDGKLQSNSYVRKGNSLVRKPVPVAALPQSSHGFSSAVYRLNSLGIDGLKKNAGSESRVDVKNPPSLMRTGEMNAPFDRPRPPLPNGAKLSTCDAISLGVCTSSQLAEPLLSGENMSDPMNCLETKDAKIVVNDSLVTSETQENHSGPFNSLENQTELHDGNSAPSNTKNIVYVKHKLNQLVASSSPCDLPVHNTDKIQHSSFDGYYKRRKNQLIRTSSEGHAKQAVITSNDNLNSQVQKVSKIVPSRIYGKKRSQKVIAKTSKTGKHSLVWTPRGTQSSNNDGDSFDHQKVLPHLFPWKRARHWRTSMQSQASNFKYSSASTISKKLLLSRRRDTVYTRSTHGFSLRMYKVLSVGGSSLKWSKSIENRSKKANEEATRAVAAVEKKKREHSGAACVSSGSKFRNNISGKRIFRIGSVRYKMDPSRRTLQRISDDESSSSAVLNPEKDAKRSYVPRRLVIGNDEYVRIGNGNQLIRNPKKRTRILASERVRWSLHTARLRLAKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKIAVCTKFLKGLCSNPNCKLTHKVIPERMQDCSYFLQGLCSNENCPYRHVNVNPKASTCEGFLKGYCADGNECRKKHSYVCPSFEATGTCPQGPKCKLHHPRNRTKGKKRKRTREQKNAWGRYFVSKDINFSEPRAVSGKHCAQNGDDIFDDGRAADFISIDASDEEAGESNDPINEQAASCDSDSSELELDDLDELIKPVRLLDRSPKTNIL >ONI34304 pep chromosome:Prunus_persica_NCBIv2:G1:39355506:39360225:-1 gene:PRUPE_1G473800 transcript:ONI34304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPEIRKIKANTTSSSDSPPVQDSPVFSYINNLSPIQPVKASHLAQGFPGLNSPPLVFTSPRINSHRNTSFLKRPQYQPLSSAEKSKTQDEAKKFLDGPVDPKITQLHMRLITDSQDCETKIRVESQQCSSSEGVDEYLADPSEVDCVDSTQSASPCLKQSNNVPETFTGSKETTLYDNKHNTGTDLGTEAKAPSEQAKEDLEGKQTFDAKPVKIIEQSDGELPYDECPNIESGLSIDNAYKREYRQHLHDQLQDRGGKHQDDCDHTPQSPPGRLQIVQVYENSAENVGAISKGMIGNMILHAPKARSEQGGMHRRCLQFEEAPPCATGKRDCSLSSIQEVNNSEPPSSMGESKLVKLSYADLKSTSKRQMGTPLPPRCGGNSPSTVPKPSGIGLHLNSIVNAAPLVRGTTRSIKLADHYIGVQVMKSASVMSSHLPDNVRCRSISLNMVEKDSAGPEDRDESETSIAASSAVPSSPHTVVFEGHGPTHEKRGFDAENIDDYEECKQSSPKKKRKKTSSTKDSDGTKRCNCKKTKCLKLYCDCFAAGVYCAESCACQGCFNITDYEDTVLETRQHIESRNPLAFAPKIVQHEEEIQFTPSSARHKRGCNCKKSMCLKKYCECYQANVGCSSGCRCDGCKNVYGRKGEYIPIEHGVGKDNISDKAGKERIESTFHEKLEMVATKKDILSTELYDSHNLTPLAPSFQCSDHANNVPKSPCLPTSYLPSPESDLTIISSYEKSTRSPLRHSESSDILLETSKELSDLGSYNWRVDYDNIGIVDTFSPRCDAAPTTCHITPMSDLCSMAMASSTSSKTSDWTNASQVQLCPGSHGLSSDSSLHRRSSPVTPMTRLGGTKSFQGLDFENGLYDILQDDTPEILKDSSTPIRSLKVSSPNKKRVSPPHSHNHELGASSSGALRSGRKFILKAVPSFPPLTPCIGSKGSSIIQNMSNLQDKGRKK >ONI34303 pep chromosome:Prunus_persica_NCBIv2:G1:39354866:39360419:-1 gene:PRUPE_1G473800 transcript:ONI34303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPEIRKIKANTTSSSDSPPVQDSPVFSYINNLSPIQPVKASHLAQGFPGLNSPPLVFTSPRINSHRNTSFLKRPQYQPLSSAEKSKTQDEAKKFLDGPVDPKITQLHMRLITDSQDCETKIRVESQQCSSSEGVDEYLADPSEVDCVDSTQSASPCLKQSNNVPETFTGSKETTLYDNKHNTGTDLGTEAKAPSEQAKEDLEGKQTFDAKPVKIIEQSDGELPYDECPNIESGLSIDNAYKREYRQHLHDQDRGGKHQDDCDHTPQSPPGRLQIVQVYENSAENVGAISKGMIGNMILHAPKARSEQGGMHRRCLQFEEAPPCATGKRDCSLSSIQEVNNSEPPSSMGESKLVKLSYADLKSTSKRQMGTPLPPRCGGNSPSTVPKPSGIGLHLNSIVNAAPLVRGTTRSIKLADHYIGVQVMKSASVMSSHLPDNVRCRSISLNMVEKDSAGPEDRDESETSIAASSAVPSSPHTVVFEGHGPTHEKRGFDAENIDDYEECKQSSPKKKRKKTSSTKDSDGTKRCNCKKTKCLKLYCDCFAAGVYCAESCACQGCFNITDYEDTVLETRQHIESRNPLAFAPKIVQHEEEIQFTPSSARHKRGCNCKKSMCLKKYCECYQANVGCSSGCRCDGCKNVYGRKGEYIPIEHGVGKDNISDKAGKERIESTFHEKLEMVATKKDILSTELYDSHNLTPLAPSFQCSDHANNVPKSPCLPTSYLPSPESDLTIISSYEKSTRSPLRHSESSDILLETSKELSDLGSYNWRVDYDNIGIVDTFSPRCDAAPTTCHITPMSDLCSMAMASSTSSKTSDWTNASQVQLCPGSHGLSSDSSLHRRSSPVTPMTRLGGTKSFQGLDFENGLYDILQDDTPEILKDSSTPIRSLKVSSPNKKRVSPPHSHNHELGASSSGALRSGRKFILKAVPSFPPLTPCIGSKGSSIIQNMSNLQDKGRKK >ONI34305 pep chromosome:Prunus_persica_NCBIv2:G1:39354866:39360407:-1 gene:PRUPE_1G473800 transcript:ONI34305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPEIRKIKANTTSSSDSPPVQDSPVFSYINNLSPIQPVKASHLAQGFPGLNSPPLVFTSPRINSHRNTSFLKRPQYQPLSSAEKSKTQDEAKKFLDGPVDPKITQLHMRLITDSQDCETKIRVESQQCSSSEGVDEYLADPSEVDCVDSTQSASPCLKQSNNVPETFTGSKETTLYDNKHNTGTDLGTEAKAPSEQAKEDLEGKQTFDAKPVKIIEQSDGELPYDECPNIESGLSIDNAYKREYRQHLHDQLQDRGGKHQDDCDHTPQSPPGRLQIVQVYENSAENVGAISKGMIGNMILHAPKARSEQGGMHRRCLQFEEAPPCATGKRDCSLSSIQEVNNSEPPSSMGESKLVKLSYADLKSTSKRQMGTPLPPRCGGNSPSTVPKPSGIGLHLNSIVNAAPLVRGTTRSIKLADHYIGVQVMKSASVMSSHLPDNVRCRSISLNMVEKDSAGPEDRDESETSIAASSAVPSSPHTVVFEGHGPTHEKRGFDAENIDDYEECKQSSPKKKRKKTSSTKDSDGTKRCNCKKTKCLKLYCDCFAAGVYCAESCACQGCFNITDYEDTVLETRQHIESRNPLAFAPKIVQHEEEIQFTPSSARHKRGCNCKKSMCLKKYCECYQANVGCSSGCRCDGCKNVYGRKGEYIPIEHGVGKDNISDKAGKERIESTFHEKLEMVATKKDILSTELYDSHNLTPLAPSFQCSDHANNVPKSPCLPTSYLPSPESDLTIISSYEKSTRSPLRHSESSDILLETSKELSDLGSYNWRVDYDNIGIVDTFSPRCDAAPTTCHITPMSDLCSMAMASSTSSKTSDWTNASQVQLCPGSHGLSSDSSLHRRSSPVTPMTRLGGTKSFQGLDFENGLYDILQDDTPEILKDSSTPIRSLKVSSPNKKRVSPPHSHNHELGASSSGALRSGRKFILKAVPSFPPLTPCIGSKGSSIIQNMSNLQDKGRKK >ONI33667 pep chromosome:Prunus_persica_NCBIv2:G1:37384611:37386912:1 gene:PRUPE_1G439700 transcript:ONI33667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSSQMERARSFLQSPTYGNIVTVLSIDGGGIRGIIPGTILKFLESELQKLDGEEARLADYFDVIAGTSTGGLVTAMLTTPDENNRPLFAAKDINDFYLEHCPKIFPQDSNYTLIGEAMDMVKAVSGPKYDGKYLHKIVKEILGDIRLSDTLTNVIIPTFDIKRLQPMVFSSYEEKKNTSINALLSDICIGTSAAPTYLPAHHFETKTSTGESRDFDLIDGGVAANNPALVAISEVTKEIHQGNSDFFAIRSTEYGRFLVISLGTGSAKSEEKYNADDAAKWGLLGWLTKGGSTPLVDVFTQASSDMVDFHLATVFRALDCEKNYLRIQDDTLEKTVSSVDIATQENLNNLVKVGEELLKKPVSRVNLQTGIYEPAHQDTNEEALVRVAQILSREREVRELRSPHGKAVTDSNSN >ONI28579 pep chromosome:Prunus_persica_NCBIv2:G1:11690811:11695623:-1 gene:PRUPE_1G149300 transcript:ONI28579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDPRKKSSADVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAFDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGMKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDVWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARVRNEKARRYLSSMRKKKQIPFSHKFPNADPLALRLLEKMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYRETLEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGATVVPPERTHASLPRACVLYSDNTVQHSTEVADDLSKCCIKEIEKPQIDRSSGIPTTRLPVPQTIQGGARPGKVVGSVLRFNNCGAAAAAEALEQRRMVRNPTAQPQYTATSTGSYPRRNPPCKNERGDCEGVEGSNGLQPKPQYMPRKVAAAQGGSGQWY >ONI28578 pep chromosome:Prunus_persica_NCBIv2:G1:11690238:11696358:-1 gene:PRUPE_1G149300 transcript:ONI28578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDPRKKSSADVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAFDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGMKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKVRNEKARRYLSSMRKKKQIPFSHKFPNADPLALRLLEKMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYRETLEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGATVVPPERTHASLPRACVLYSDNTVQHSTEVADDLSKCCIKEIEKPQIDRSSGIPTTRLPVPQTIQGGARPGKVVGSVLRFNNCGAAAAAEALEQRRMVRNPTAQPQYTATSTGSYPRRNPPCKNERGDCEGVEGSNGLQPKPQYMPRKVAAAQGGSGQWY >ONI29900 pep chromosome:Prunus_persica_NCBIv2:G1:23536956:23541680:-1 gene:PRUPE_1G220800 transcript:ONI29900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCFDSKEEEKLNNPVNEIDDRKQGQPTVSSNISRLPSGADRMRSRSNGGSRRDLGSKLPDLKDVPGVQIAAQIFTFRELVTATKNFRPESFIGEGGFGRVYKGRLESSGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPLDREPLDWNTRMKIASGAAKGLEYLHDKANPPVIYRDFKSSNILLEEGFHPKLSDFGLAKLGPTEDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKSIDSNRPHGEQNLITWARPLFNDRRKFSKLADPRLQGRYPMRGLYQALAVASMCIQEQAATRPLIGDVVTALSYLANQSYDPNMASGHGHRGSGEKDERRHRDERGGRILKNEEGGGSGRRWDLDGSEKDDSPKETARMLNRDLDRERAVAEAKMWGENWREKRRQSAQGSFDGTNL >ONI29901 pep chromosome:Prunus_persica_NCBIv2:G1:23536943:23541680:-1 gene:PRUPE_1G220800 transcript:ONI29901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMTGSRVNQRSLLTFPDCLLVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPLDREPLDWNTRMKIASGAAKGLEYLHDKANPPVIYRDFKSSNILLEEGFHPKLSDFGLAKLGPTEDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKSIDSNRPHGEQNLITWARPLFNDRRKFSKLADPRLQGRYPMRGLYQALAVASMCIQEQAATRPLIGDVVTALSYLANQSYDPNMASGHGHRGSGEKDERRHRDERGGRILKNEEGGGSGRRWDLDGSEKDDSPKETARMLNRDLDRERAVAEAKMWGENWREKRRQSAQGSFDGTNL >ONI31124 pep chromosome:Prunus_persica_NCBIv2:G1:29133920:29136514:1 gene:PRUPE_1G294000 transcript:ONI31124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKDDPKDHEFGEVKKEKPLNSKIRYLRYTGAIKAEMLKGLIAGGIKAEKLKTFINWSIKNEKLKGLVISRTQLARLKLWAARATTVLLLWAIAMQLKALGENLLPWISKSSFPPQRIYENNGYLMVSSNGGLNQMRSGICDMVAIARYMNVTLIVPELDNTSFWNDHSQFEDIFDVDYFIASLRDEVRILKELPPEQKKKVELESLYSMPPISWSNMTYYYNTIIPRMKKYEIVHFTKTDARLANNGIPEEVQKLRCRANYQALRFAPPIEELGKKIVRILRERGPFLVLHLRYEMDMVAFSGCTEGCNEEEIEETTKMRYAYPWWKEKEIDSEKKRKAGLCPLTPEETALALRALDIDPNIQVYIAAGDIYRAERRMAPLKEAFPNLVKKETLVEASDLVPFQNHSNQMAALDYYVSIESDIFVPTYGGNMAKVVEGHRRYLGYKKTILLDRRVLVDLIDQYNNGTLSWNQFSVRVKAAHADRMGNPTTRLEVPGKPKEEDYFHSNPQECLPLVNDEDMKQED >ONI32836 pep chromosome:Prunus_persica_NCBIv2:G1:34775511:34776919:1 gene:PRUPE_1G389300 transcript:ONI32836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLIRESIRNQNDVALGLNNKQLLQTEGRESNVVYTPRCPSTVIAAGSKGPTVQPRTSWQLLSFLKSKSADHLNSFAAEFISVIFSDGFPSCGGLSFANGIWVNRPLLLKPSFKQVVDTAYKAGLSQVDFQTNHNQAHLCKSLYFKGVWNEKFDASTTKEHDFHLLDGSTVNAPFMTGKKKQFNLYVSSTFHKSFIEVNEEGTEAAAASAIVDKLQCMPTDFVADHPFLFLIREEFTGTVLFTGHVLNPLAG >ONI31797 pep chromosome:Prunus_persica_NCBIv2:G1:31407579:31411166:-1 gene:PRUPE_1G331500 transcript:ONI31797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGLNSKESVLVVKEEINNDGCKDSGYARGAGGAHWPFLNKVSALPHLMPFKAAQDDKTKKMVSESFLSSGFMPISTADAFDHCQKQAPCEIQNYFNHDRQDGTHFSLTAYPMQHDVHSVHRPHDVKMISVTNQGFSVPVSNPFFKNPFATTGQNFAATTIKQQLQGIPVTAPYSVLPVSGSTEPWNNSKNSGSPSQLTIFYAGTVNVYDDISPEKVQAMMLLAGNVSSISSNAAQPKTQAPSAKLAVEDGVPVNQLTNTPPSGLSSPLSISSHTGVQSVSGSTNTDELMAPRTTGHPTSPVSKMEPPKIVNAVGSVAATSMIPSAVPQARKASLARFLEKRKERVMISAPYNFSKKSPDSNGVNFTQQGEQQ >ONI31798 pep chromosome:Prunus_persica_NCBIv2:G1:31408411:31411166:-1 gene:PRUPE_1G331500 transcript:ONI31798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGLNSKESVLVVKEEINNDGCKDSGYARGAGGAHWPFLNKVSALPHLMPFKAAQDDKTKKMVSESFLSSGFMPISTADAFDHCQKQAPCEIQNYFNHDRQDGTHFSLTAYPMQHDVHSVHRPHDVKMISVTNQGFSVPVSNPFFKNPFATTGQNFAATTIKQQLQGIPVTAPYSVLPVSGSTEPWNNSKNSGSPSQLTIFYAGTVNVYDDISPEKVQAMMLLAGNVSSISSNAAQPKTQAPSAKLAVEDGVPVNQLTNTPPSGLSSPLSISSHTGVQSVSGSTNTDELMAPRTTGHPTSPVSKMEPPKIVNAVGSVAATSMIPSAVPQARKASLARFLEKRKERVCCLFCGYVVNDIWFTR >ONI28613 pep chromosome:Prunus_persica_NCBIv2:G1:11954911:11955942:1 gene:PRUPE_1G151500 transcript:ONI28613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVFKSRVTKHLKALKAKMPRFGIFPTGGCFDGCRDHTQQASGFGTRIWNLCDKPLELQIRVGSILKKVHTIKPGSSKRLKCKSIYKAYMPGGGEIGSGGMKSLLYYYDETCHPYVWIHDTGGGDTLRMVKQQYISLEDLRDYCEIRIFRDHQRGCISVRKKPRPDFC >ONI34180 pep chromosome:Prunus_persica_NCBIv2:G1:38915995:38918586:1 gene:PRUPE_1G466900 transcript:ONI34180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNVLKVNRNATEDDLKKAYRRLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDSQKRAIYDQYGEEGLKDMPPPERYANGNGGGSKGFNPRNAEDIFAEFFGSSPFGFGSTGPGRSMRFQSDGGGGMFGGFGGNENIFRSYSEGVTPKKPTAVESKLPCSLEELYTGSTRKMKISRTVVDANGRQVPEQEILTIDVKPGWKKGTKITFPDKGNEQLGQLPADLVFVIDEKPHDTYKRDGNDLVVNHKVTLAEALGGTTVHLTTLDGRDLSIPVTDIVSPGYELLVAREGMPIPKEPRNRGDLKIKFEVRFPTRLTPEQRAGLKRTLAG >ONI34181 pep chromosome:Prunus_persica_NCBIv2:G1:38916305:38918086:1 gene:PRUPE_1G466900 transcript:ONI34181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHVLSDSQKRAIYDQYGEEGLKDMPPPERYANGNGGGSKGFNPRNAEDIFAEFFGSSPFGFGSTGPGRSMRFQSDGGGGMFGGFGGNENIFRSYSEGVTPKKPTAVESKLPCSLEELYTGSTRKMKISRTVVDANGRQVPEQEILTIDVKPGWKKGTKITFPDKGNEQLGQLPADLVFVIDEKPHDTYKRDGNDLVVNHKVTLAEALGGTTVHLTTLDGRDLSIPVTDIVSPGYELLVAREGMPIPKEPRNRGDLKIKFEVRFPTRLTPEQRAGLKRTLAG >ONI26469 pep chromosome:Prunus_persica_NCBIv2:G1:1887138:1897068:1 gene:PRUPE_1G027100 transcript:ONI26469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQFWWIKSSSLHASNFIVQNRGKCEVSSQRAGNKLGSTVYINIADDSNDKLPSTDQINISQVHLTKVTMGLVSLYLSIRLAKSNVFNTFIKIVQEKLPSVVQNFGAATLPFACVSNSLNKPMPLELDVSLPSFQDIRWSFARLLYLFNIQLEKNVATFFLVLLVACFSFVVIGGFLFFKFRGSNESLEDCFWEAWACLCSSSTHLKQRTRVERVIGFILAIWGILFYSRLLSTMTEQFRNNMYRLREGAQMQVLESDHIIICGVNSHLSFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQMDKLADNLAKDLIHIDILTKSCSLSLTKSFERAAANKARAIIILPTKGDRYEVDTDAFLSVLALQPIPNMESVPTIVEVSSSNTCELLKSISGLKVEPVENGASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSFPSLAGLKYRRVRHGFQEAVVCGLYRNGKIDFHPYDDEILQETDKVLFVAPVNGSKKPNVAYSNVVREIGNANENLEDQEKNGSTQSRDLQLKTRLENIVRRPNKPGSKGSDWTLGPKEFILLLGWRPDIIEMIEEYDNYLGPGSVVEILSDVPLDDRNRARQVAGQGKLKNVKVSHRIGNPMNFDTLQETIMNIQKSLKNKDIPLSIVVISDREWLLGDPTRADKQSAYSLLLAENICNKLHVKVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAENNELNEVWKDILNAEGDEIYVKDISLYIKEGENPSFFELAERAQLRKEVAIGYVKDNKKVINPDPKSEPLSLELTDSLIVISELEGEQPILM >ONI26468 pep chromosome:Prunus_persica_NCBIv2:G1:1886084:1897068:1 gene:PRUPE_1G027100 transcript:ONI26468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAFSFFSHFSLCCCCLCVLSPRRPQFLSSEPQTQTSLTLHTAMVVSQLQSLQLCVLPRRVPPTPNRFSSSKRKSMPCQFWWIKSSSLHASNFIVQNRGKCEVSSQRAGNKLGSTVYINIADDSNDKLPSTDQINISQVHLTKVTMGLVSLYLSIRLAKSNVFNTFIKIVQEKLPSVVQNFGAATLPFACVSNSLNKPMPLELDVSLPSFQDIRWSFARLLYLFNIQLEKNVATFFLVLLVACFSFVVIGGFLFFKFRGSNESLEDCFWEAWACLCSSSTHLKQRTRVERVIGFILAIWGILFYSRLLSTMTEQFRNNMYRLREGAQMQVLESDHIIICGVNSHLSFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQMDKLADNLAKDLIHIDILTKSCSLSLTKSFERAAANKARAIIILPTKGDRYEVDTDAFLSVLALQPIPNMESVPTIVEVSSSNTCELLKSISGLKVEPVENGASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSFPSLAGLKYRRVRHGFQEAVVCGLYRNGKIDFHPYDDEILQETDKVLFVAPVNGSKKPNVAYSNVVREIGNANENLEDQEKNGSTQSRDLQLKTRLENIVRRPNKPGSKGSDWTLGPKEFILLLGWRPDIIEMIEEYDNYLGPGSVVEILSDVPLDDRNRARQVAGQGKLKNVKVSHRIGNPMNFDTLQETIMNIQKSLKNKDIPLSIVVISDREWLLGDPTRADKQSAYSLLLAENICNKLHVKITRIKPSLTYIAAEEVMSLVTAQVAENNELNEVWKDILNAEGDEIYVKDISLYIKEGENPSFFELAERAQLRKEVAIGYVKDNKKVINPDPKSEPLSLELTDSLIVISELEGEQPILM >ONI26467 pep chromosome:Prunus_persica_NCBIv2:G1:1886084:1897068:1 gene:PRUPE_1G027100 transcript:ONI26467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAFSFFSHFSLCCCCLCVLSPRRPQFLSSEPQTQTSLTLHTAMVVSQLQSLQLCVLPRRVPPTPNRFSSSKRKSMPCQFWWIKSSSLHASNFIVQNRGKCEVSSQRAGNKLGSTVYINIADDSNDKLPSTDQINISQVHLTKVTMGLVSLYLSIRLAKSNVFNTFIKIVQEKLPSVVQNFGAATLPFACVSNSLNKPMPLELDVSLPSFQDIRWSFARLLYLFNIQLEKNVATFFLVLLVACFSFVVIGGFLFFKFRGSNESLEDCFWEAWACLCSSSTHLKQRTRVERVIGFILAIWGILFYSRLLSTMTEQFRNNMYRLREGAQMQVLESDHIIICGVNSHLSFILKQLNKYHEFAVRLGTATARRQRILLMSDLPRKQMDKLADNLAKDLIHIDILTKSCSLSLTKSFERAAANKARAIIILPTKGDRYEVDTDAFLSVLALQPIPNMESVPTIVEVSSSNTCELLKSISGLKVEPVENGASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSFPSLAGLKYRRVRHGFQEAVVCGLYRNGKIDFHPYDDEILQETDKVLFVAPVNGSKKPNVAYSNVVREIGNANENLEDQEKNGSTQSRDLQLKTRLENIVRRPNKPGSKGSDWTLGPKEFILLLGWRPDIIEMIEEYDNYLGPGSVVEILSDVPLDDRNRARQVAGQGKLKNVKVSHRIGNPMNFDTLQETIMNIQKSLKNKDIPLSIVVISDREWLLGDPTRADKQSAYSLLLAENICNKLHVKVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAENNELNEVWKDILNAEGDEIYVKDISLYIKEGENPSFFELAERAQLRKEVAIGYVKDNKKVINPDPKSEPLSLELTDSLIVISELEGEQPILM >ONI34907 pep chromosome:Prunus_persica_NCBIv2:G1:41722594:41725429:-1 gene:PRUPE_1G505100 transcript:ONI34907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHILVVPLPLQGHINPMFQFSKRLASKGLRVTLVTISSDTHHEPIETQLSLVKIESIYAASEEADKINAENKMQWLQNILSKRLPELISKQESIGYPISCIIYDSAMTWALDMAKGLGIAGASFFTHSCAVGTVYCNVHQGVLSVPLKEGPILLPGLPLLEPHDLPSFIYDPGSYPSFLKLVVGRFSNITDADWIFWNSFDCLEQEVVSWMRTRLPIKTIGPTLPSMYLDKRLEDDRDYGFNLFTPNIDTCIKWLESKDTGTVVYVSFGSMANLEEKQMQELALGLKRSKTNFLWVVRESEIQKLPSNFEEQTSEKGLVVNWCPQLQVLAHKAVGCFMTHCGWNSTLEALSSGVPMVAMPQWTDQLTNAKFVEDEWKVGVRVKVDQMGIVTKEEIERCIAQVMEGERGNEIKRNSMRWRELAKEAVAEGGSSDKNIEEFVAALLCK >ONI33797 pep chromosome:Prunus_persica_NCBIv2:G1:37762460:37763216:1 gene:PRUPE_1G446300 transcript:ONI33797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQTQPKWEGRTSADLKGPAAEQVWPLLADFCNLHKWFPKLETSYQVGGILGQPGLIRYCATPPADPDDQTTIKWVKEKLLMIDPIKRCLSYEILENNMGFHSYVGTMQVVPIHDIHHDVVLGCKIEWWVVCDPVDGWRLENLLDFLESSLPLVAKTMEHALLSTS >ONI33656 pep chromosome:Prunus_persica_NCBIv2:G1:37314920:37315673:1 gene:PRUPE_1G438800 transcript:ONI33656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFYHEEEPPNPSKRCKFLAACLTDAFSNCHIGRRLPTSSPEAEDQYITNDFDEEQEVIVSAIRSAAMEKLRRKPSSLTDSFSFVYSSKSGDLFISQKGVLNKKDQGEEDDEKEEFLSVKSCFSCCSTAATSRDVFLSVKTSLSRCSSLNGIDFKDFPRQSIIQQFRHCEGWPFGLCRKAVLLPPLPKSPSESWSWRKGTKYVKMV >ONI30489 pep chromosome:Prunus_persica_NCBIv2:G1:26444511:26446801:-1 gene:PRUPE_1G253500 transcript:ONI30489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATTSTLSSTASQLTPLSSLSPNPFSVKFSFTFRENKNRIAVPTSLKSQRCQLSALPALRVSASSQSAPTSVEASKVSALPSEMKAWVYGEYGGVDVLKFDTKVAVPELLEDQVLVKVVAAALNPVDFKRRQGKFQNTDSPLPTVPGYDVAGVVVKVGSKVKDFKEGDEVYGDINEKALEGPKKSGSLAEYTAVEERLLALKPKNLDFVQAAALPLAIETAYEGLERTGFSAGKSLLVLNGAGGVGSLVIQLAKHVFGASRVAATSSTGKLELLKSLGADLAIDYTKENFEELPEKFDVVYDAIGQCDKAVKVVKEGGSVVALTGAVTPPGFRFVVTSNGAVLKKLNPYLESGKVKAVIDPKGPFPFSKLVEAFSYLETNRATGKVVIHPIQ >ONI33744 pep chromosome:Prunus_persica_NCBIv2:G1:37644957:37647856:1 gene:PRUPE_1G444300 transcript:ONI33744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSEMRDMWVNRGKGLLIPSPAEEQKRLRSAKCTQEGAKEGFKAAVIACALTTVPTLAAVRMIPWAKHNLNYTAQALIICGASIASYFITADKTILACARKNAQLEDSLRRQKQ >ONI27520 pep chromosome:Prunus_persica_NCBIv2:G1:6929533:6931200:-1 gene:PRUPE_1G091800 transcript:ONI27520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVPQDKTLHFTIDKEVFGLERDTFLLPKDITQFAGMEEIGATVIAVYMSRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSAIKIYNSHIARPGRKAVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFEKKSFMVYNVFNFLRVQGSTL >ONI35515 pep chromosome:Prunus_persica_NCBIv2:G1:44185134:44185343:1 gene:PRUPE_1G540800 transcript:ONI35515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHGWLLYYIITTAVFFFGFPWIHVFVISISNLSTFLKLLKLKTFVSGRNLCSSMCTGSCSHTGSLSL >ONI34348 pep chromosome:Prunus_persica_NCBIv2:G1:39852907:39854129:1 gene:PRUPE_1G476800 transcript:ONI34348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKHGEFKTLIGGISYQTDEQSLKEAFAKYGEVINARIISDRETGRSRGFGFITSEEASSAIQALDGHELHGRRVRVNYATDRPRPNFGDGGGFETASTGGGFGNNINLGVAVAVGGAHNFVGGADNSFGSGGAQLGENKGGFSLHDPLKGNDKDDDDDAGNFLKRA >ONI26634 pep chromosome:Prunus_persica_NCBIv2:G1:2541431:2543826:1 gene:PRUPE_1G036400 transcript:ONI26634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVTGAHERLGSGAKLSIPTIDLKGIDSNSVLRTEVVEKIRDACEKWGFFQVQNGIPVEVLERMVDGIREFHEKENELKKQLCSNSTDSGNKEQYMSNNRFFKSSQGNSRDSFVCYMAPDPTKPADELPLVCRDIVNEYSKLVKDLGFTLFELLSEALGLKPNQLKDAYMDSVEGLSIMGHYYPPCPETKLTMGTGKHTDGCFITVLLQDQVGGLQVLYEDQWIDVRPIRGALVVNVGDLLQLISNDKFISVNHRVIAQSVGPRVSVPTFFRPHAENPKAYGPIKELLSAENPPIYRETNVKDYLKHYLSELVKGNSALDNFKL >ONI31966 pep chromosome:Prunus_persica_NCBIv2:G1:32095747:32096585:1 gene:PRUPE_1G341600 transcript:ONI31966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSIRLVSAAFVLVLLLVAAEMGPMAVEGRDAKKVEPKKKTCDSQSQKFKGMCFLTSSCVQSCKSEGFTGGQCRGFRRRCFCSKNC >ONI33819 pep chromosome:Prunus_persica_NCBIv2:G1:37830868:37833410:-1 gene:PRUPE_1G447600 transcript:ONI33819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASFRNASQHPNKQQQKQEREWLSVSFRPENFIPGVVIGFILGLLLDLSQPGKGQSKKNSISIGKVQQRSLVTSNGDEELKMVLVVRRDLKMTTGKIASQCAHAATGVYAELMQRSLLRQWEQYGQPKIVVTCKNQQEMNKLKEAAEGIGLPTFVVADAGRTQVVSGSKTVLAVGPGPKESVDSVTGKQALL >ONI33817 pep chromosome:Prunus_persica_NCBIv2:G1:37830868:37833489:-1 gene:PRUPE_1G447600 transcript:ONI33817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASFRNASQHPNKQKQEREWLSVSFRPENFIPGVVIGFILGLLLDLSQPGKGQSKKNSISIGKVQQRSLVTSNGDEELKMVLVVRRDLKMTTGKIASQCAHAATGVYAELMQSHRSLLRQWEQYGQPKIVVTCKNQQEMNKLKEAAEGIGLPTFVVADAGRTQVVSGSKTVLAVGPGPKESVDSVTGKQALL >ONI33818 pep chromosome:Prunus_persica_NCBIv2:G1:37830833:37833567:-1 gene:PRUPE_1G447600 transcript:ONI33818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASFRNASQHPNKQQKQEREWLSVSFRPENFIPGVVIGFILGLLLDLSQPGKGQSKKNSISIGKVQQRSLVTSNGDEELKMVLVVRRDLKMTTGKIASQCAHAATGVYAELMQSHRSLLRQWEQYGQPKIVVTCKNQQEMNKLKEAAEGIGLPTFVVADAGRTQVVSGSKTVLAVGPGPKESVDSVTGKQALL >ONI33823 pep chromosome:Prunus_persica_NCBIv2:G1:37832047:37833388:-1 gene:PRUPE_1G447600 transcript:ONI33823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASFRNASQHPNKQQKQEREWLSVSFRPENFIPGVVIGFILGLLLDLSQPGKGQSKKNSISIGKVQQRSLVTSNGDEELKMVLVVRRDLKMTTGKIASQCAHAATGVYAELMQSHRSLLRQWEQYGQPKIVVTCKNQQEM >ONI33822 pep chromosome:Prunus_persica_NCBIv2:G1:37830868:37833568:-1 gene:PRUPE_1G447600 transcript:ONI33822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASFRNASQHPNKQQKQEREWLSVSFRPENFIPGVVIGFILGLLLDLSQPGKGQSKKNSISIGKVQQRSLVTSNGDEELKMVLVVRRDLKMTTGKIASQCAHAATGVYAELMQSHRSLLRQWEQYGQPKIVVTCKNQQEMNKLKEAAEGIGLPTFVVADAGRTQDQKNQLIQ >ONI33821 pep chromosome:Prunus_persica_NCBIv2:G1:37831215:37833388:-1 gene:PRUPE_1G447600 transcript:ONI33821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASFRNASQHPNKQQQKQEREWLSVSFRPENFIPGVVIGFILGLLLDLSQPGKGQSKKNSISIGKVQQRSLVTSNGDEELKMVLVVRRDLKMTTGKIASQCAHAATGVYAELMQSHRSLLRQWEQYGQPKIVVTCKNQQEMNKLKEAAEGIGLPTFVVADAGRTQVVSGSKTVLAVGPGPKESVDSVTGKQALL >ONI33820 pep chromosome:Prunus_persica_NCBIv2:G1:37830868:37833388:-1 gene:PRUPE_1G447600 transcript:ONI33820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASFRNASQHPNKQQQKQEREWLSVSFRPENFIPGVVIGFILGLLLDLSQPGKGQSKKNSISIGKVQQRSLVTSNGDEELKMVLVVRRDLKMTTGKIASQCAHAATGVYAELMQSHRSLLRQWEQYGQPKIVVTCKNQQEMNKLKEAAEGIGLPTFVVADAGRTQVVSGSKTVLAVGPESVDSVTGKQALL >ONI35614 pep chromosome:Prunus_persica_NCBIv2:G1:44595979:44599101:1 gene:PRUPE_1G545900 transcript:ONI35614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNDLELKNDVGNTALHFAAVSGIKRIAEVMVDKNPTLPQIRGIKDLTPLHMATLLGHREIVWYLYDKTILMDRDHMGLLISAITADLYDVALDIIQKNPQIAFARDENGETPLHVMARKPLACYSGSQLGFWQRCKGSLLPHTKAPYGKKLMYMQATQLVKQLWEKILTLNSDSAISDLIRTPSSLLFTAAELGNIDFLIILLRSYPGLIWHVDEQNRSIFHTAVIHRQEKVFNLIYELGGLKELIVSYKDNNNNNMLHLAAKLAPVIRLNDDTGAALKLRRELLWFKEVEKIVQPLYKEMRNSIGKTPQILFTEEHKELLREGEVWMKGTASSCMVVATLIATVMFAAFSTVPGGNNNDTGIPIFLQSRAFIVFAISDAVSLISSAASILSFLSVLMSRYAEGDFLHSLPNRLIVGLATLFISITAMMITFVATVFIVLGSEFHGIKVPIALVAGVPVGFYALLQFPLLADMINHAYISRVSFRPCNHLLH >ONI35613 pep chromosome:Prunus_persica_NCBIv2:G1:44594441:44599091:1 gene:PRUPE_1G545900 transcript:ONI35613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTEVESAHETHGSFVSKATGHPLPPPDHGTPVIALFNSFRQPQNLQPVPAIPPKLGKWDQSAPAQVHTNVFTEVLRKDQQDVTKILETPSQDVANNVPNSALTNTNLVPYVILHLAALSGDWDIARNFLQSKPQAVRAKITKGSETALHIAAGAKRTIFVEELVKWMTSNDLELKNDVGNTALHFAAVSGIKRIAEVMVDKNPTLPQIRGIKDLTPLHMATLLGHREIVWYLYDKTILMDRDHMGLLISAITADLYDVALDIIQKNPQIAFARDENGETPLHVMARKPLACYSGSQLGFWQRCKGSLLPHTKAPYGKKLMYMQATQLVKQLWEKILTLNSDSAISDLIRTPSSLLFTAAELGNIDFLIILLRSYPGLIWHVDEQNRSIFHTAVIHRQEKVFNLIYELGGLKELIVSYKDNNNNNMLHLAAKLAPVIRLNDDTGAALKLRRELLWFKEVEKIVQPLYKEMRNSIGKTPQILFTEEHKELLREGEVWMKGTASSCMVVATLIATVMFAAFSTVPGGNNNDTGIPIFLQSRAFIVFAISDAVSLISSAASILSFLSVLMSRYAEGDFLHSLPNRLIVGLATLFISITAMMITFVATVFIVLGSEFHGIKVPIALVAGVPVGFYALLQFPLLADMINHAYISRVSFRPCNHLLH >ONI34337 pep chromosome:Prunus_persica_NCBIv2:G1:39610452:39612448:1 gene:PRUPE_1G475800 transcript:ONI34337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLPLIFALLSLAAVALANHAAQPAPQLYWSSVLPNTQMPRSISELLHPDSTNEEKSTNDVGKPETFPLGNQHYSSQKHYGGIPETSPLGNKHYSSQKHYGRGKPETFPLGNQHYSSQRHYGRGKPETFPLGNKHYSSQKHYGRGKPETFPLGNQHYSSQKKYGRGASPSDNQQLHYKDLAIFFLEKDMRPGTTMKFQFPINSNTATFLPRESAQSIPFSSNKLPEIFNHFSVKPTSEEAKTIKQTIEECEAPDLKGEEIYCATSLESMVDFSTSKLGTRNVEAISTEVLEKGATMSIQNYTTMPGLKKLAGDKVVVCHKQNYPYAVFFCHAIKHTAAYALSLKGDDGEKVKAVTICHLDTSEWDPEHMSFQIVNVKPGTIPICHFISTDAIAWVPNHKSA >ONI31864 pep chromosome:Prunus_persica_NCBIv2:G1:31679422:31682411:1 gene:PRUPE_1G335400 transcript:ONI31864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVKGVQVEELYSLDLDSLNNLRPVYGLIFLFKWRPGERDDRLVIKDPNPNLFFASQVINNACATQAILSILMNCPDVDIGPELSKLKEFTKNFPPELKGLAINNSDAIRAAHNSFARPEPFIPEEQKVAEKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCPGGQGDMEWLQMVQPVIQERIERYSKSEIRFNLLAIIKNRKEMYTAELKELQKKRERILQQLSALQSERPADSSKFEALNKGLSEINAGIEGATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKSGNPR >ONI31863 pep chromosome:Prunus_persica_NCBIv2:G1:31679422:31682411:1 gene:PRUPE_1G335400 transcript:ONI31863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDSLNNLRPVYGLIFLFKWRPGERDDRLVIKDPNPNLFFASQVINNACATQAILSILMNCPDVDIGPELSKLKEFTKNFPPELKGLAINNSDAIRAAHNSFARPEPFIPEEQKVAEKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCPGGQGDMEWLQMVQPVIQERIERYSKSEIRFNLLAIIKNRKEMYTAELKELQKKRERILQQLSALQSERPADSSKFEALNKGLSEINAGIEGATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKSGNPR >ONI28398 pep chromosome:Prunus_persica_NCBIv2:G1:11011432:11017711:-1 gene:PRUPE_1G140300 transcript:ONI28398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFSRRRMKLGRLKVQLSDSAQGTRSPIRQPKRNNSSNSECDAPASSNSDELDHQCSSAAHEISNSTMGSSENWMVLSVSGDKPTPRFNHAAAVIGNKMIVVGGESGNELLDDVQVLNFDSFTWTTASSKLYLSPSSLPLKIPACKGHRLVSWGKKALLIGGKTEPGNEKISVWAFDTETECWSHMEAKGDIPVARTGHTVVRASSVLILFGGEDAKRRKLNDLHMFDLKSLTWLPLHCTGTGPSPRSNHVAALYDDKTLFVFGGTSKSRTLSDLYSLDFETMVWSRIKKRGYHPSPRGGSCGVLCGTKWYIVGGGSRKKRHAETLIFDILTFEWSVAIASPPSSITANKGFSLDLVQHKEKDFLVSFGGCRKEPTNQVEVLTIEKNESSMSRRSFPSKVPGTLLPEKRSSTGLVGQLNNGSSQRSVNSVTRQNLASAIEHHGSGRKSLSESLLVDPNPVSGNVSLRKQFHNDEEYNTTVKVVKSSEDESSFSQPTEKKNSRSDSGVQTNVLGNRLYSEEMLSGYEYENSNHHNHGIGNLSVDNNDAMFLETDSKPGALSAPSSIYHYYESKMATQIRKNGILEGQLAAALTSREAAEKNLSSALKSRQEMERKLADTAKEMELLKEKAAGVEIAQEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPF >ONI28399 pep chromosome:Prunus_persica_NCBIv2:G1:11011432:11017414:-1 gene:PRUPE_1G140300 transcript:ONI28399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFSRRRMKLGRLKVQLSDSAQGTRSPIRQPKRNNSSNSECDAPASSNSDELDHQCSSAAHEISNSTMGSSENWMVLSVSGDKPTPRFNHAAAVIGNKMIVVGGESGNELLDDVQVLNFDSFTWTTASSKLYLSPSSLPLKIPACKGHRLVSWGKKALLIGGKTEPGNEKISVWAFDTETECWSHMEAKGDIPVARTGHTVVRASSVLILFGGEDAKRRKLNDLHMFDLKSLTWLPLHCTGTGPSPRSNHVAALYDDKTLFVFGGTSKSRTLSDLYSLDFETMVWSRIKKRGYHPSPRGGSCGVLCGTKWYIVGGGSRKKRHAETLIFDILTFEWSVAIASPPSSITANKGFSLDLVQHKEKDFLVSFGGCRKEPTNQVEVLTIEKNESSMSRRSFPSKVPGTLLPEKRSSTGLVGQLNNGSSQRSVNSVTRQNLASAIEHHGSGRKSLSESLLVDPNPVSGNVSLRKQFHNDEEYNTTVKVVKSSEDESSFSQPTEKKNSRSDSGVQTNVLGNRLYSEEMLSGYEYENSNHHNHGIGNLSVDNNDAMFLETDSKPGALSAPSSIYHYYESKMATQIRKNGILEGQLAAALTSREAAEKNLSSALKSRQEMERKLADTAKEMELLKEKAAGVEIAQEEANNLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPF >ONI32555 pep chromosome:Prunus_persica_NCBIv2:G1:33928932:33932147:1 gene:PRUPE_1G372900 transcript:ONI32555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAGYSVLQNCDVFFAIRPKDDYTANPAAVPVPNNKLSMQFSNSGELSDVETKFKTPSIEKVPAIAAEGVVTYGPWGGTGGFEFDDGVYSGIRQIKLSRNVGVVIYIKVQYDCDGEAVWGAKHGGTGGYKSDKIVFDFPNEILTHITGTFGAAMMMGPIVIKSLTFHTTKKKHGPYGEEQGTPFTTKLREGKIVGIHGRKGLFLDALGVHATEGMVNVETEIQTPPVTNTPNNCTAIIPKEPAGAITEVDNPHWSNKLLMTNRGKVEEVACGVIKEPTPSGPGPWGGDGGRAWDDGVFSGIRQIHLTRAAEGICSVQIEYDRNGQFIWSAKHGGNGGTAPHRIKLEYPHEVLTCISGYYGCISKNERPQIIKSLTFYTSRGKYGPFGEEVGTFFTSTTTEGKVVGLHGRSSFYLDAIGVHMQHWLGSGQKTSKISLFRKF >ONI32554 pep chromosome:Prunus_persica_NCBIv2:G1:33928932:33932147:1 gene:PRUPE_1G372900 transcript:ONI32554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFEGSEKKLVSVGPWGGQTGLIWEDGVYSTVKQLVIAHGAAIDSIQVEYDEKGTSFWAERHGGNGGWKTDTVKLAYPEEFLTSIEGHYGKISEWGPVSIRSLKFKSNKKTYGPFGIEQGTYFSLPAARAGSSKIVGFFGKSGWFLDSIGAYLRPIQNYQNQPSKTTLQTESYMIGGTDQNLAGYSVLQNCDVFFAIRPKDDYTANPAAVPVPNNKLSMQFSNSGELSDVETKFKTPSIEKVPAIAAEGVVTYGPWGGTGGFEFDDGVYSGIRQIKLSRNVGVVIYIKVQYDCDGEAVWGAKHGGTGGYKSDKIVFDFPNEILTHITGTFGAAMMMGPIVIKSLTFHTTKKKHGPYGEEQGTPFTTKLREGKIVGIHGRKGLFLDALGVHATEGMVNVETEIQTPPVTNTPNNCTAIIPKEPAGAITEVDNPHWSNKLLMTNRGKVEEVACGVIKEPTPSGPGPWGGDGGRAWDDGVFSGIRQIHLTRAAEGICSVQIEYDRNGQFIWSAKHGGNGGTAPHRIKLEYPHEVLTCISGYYGCISKNERPQIIKSLTFYTSRGKYGPFGEEVGTFFTSTTTEGKVVGLHGRSSFYLDAIGVHMQHWLGSGQKTSKISLFRKF >ONI26831 pep chromosome:Prunus_persica_NCBIv2:G1:3431594:3434261:1 gene:PRUPE_1G048800 transcript:ONI26831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAAAMSSSFSPSIYTVKCLRSSNQMSPLDHLHLKMAPTRMLSSSSLSNPCAIEPLLSINAGSNKFRVLRVSTAVAQEEVAVTDEIEQVASLAEEEQQEEKKAEEQVVSAGDEELGGEAAAEVSGGGDEGEEAVAVNTKLYFGNLPYSVDSAQLAGIIQDYGSPELIEVLYHRDTGKSRGFAFVTMSTAEDCNAVIENLDGREFMGRTLRVNLADKPRPKVPLYPETEFKLFVGNLSWSVTSESLTKAFQEYGNVVGARVLYDGETGRSRGYGFVCYSTKSEMDTALESLDGAELEGRALRVSLAEGKRS >ONI28544 pep chromosome:Prunus_persica_NCBIv2:G1:11545528:11548454:-1 gene:PRUPE_1G146800 transcript:ONI28544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEILSRLSIKTLFNCRRVCKDWLYIISDPQFAHLQASRLPLGILIKSHSFVKNSRKIKFTQIVEFSGSDMRLKKMRFAFAPKTSLPILEFRMVNSCNGLLCLSGESRDDPLYVCNPILGEFITIPPNYKGRCRAPLDGFGFSVETNQYKVLQSFYPMVDPECRSIDYLEFPFSALFHEALHWVSLAVESAECIHSFSFETEQFRSLPLTSNYGRVKREFHDLLKLGVLGGCLVLCVFGGCASRELDMWVMKDYGVQESWNKILVIEDLYMKDVSCHYTEPVMILIDGQILMAFNGRDVVFDNQEVKSLGKSQITPTGSAFDATSYSACFVPLSKVFKEEEVSMSSSNRVKAPSYELTQDLTSSTPTIYCYKLKLIPTIETACTMCEQNAVDDLWREEKHNLWVLVTRNCMQNNQEMVTISFKDSVK >ONI30403 pep chromosome:Prunus_persica_NCBIv2:G1:26171761:26176413:1 gene:PRUPE_1G249400 transcript:ONI30403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCSISVITQHLLLLKILLLLGMALGDPRTQTVQIMCGKQLEHNSTVFVPNFVSTMENISEQMRTSGFGVARTGSGPDTNYGLAQCYGDLSLLDCVLCYAEARTVLPQCFPYNGGRIYLDGCFMRSENYTFYEEFRGSDDRAVCGNTTRKSTAFEESARQVVQRAVESAPSNGRYARGEVSVSGTGNESAYVLADCWRTLDENSCRQCLENASVSMLGCLPWSEGRALYTGCFMRYSDRDFLNKEVGNGSSRGTIIVIVVSVISSLVVLVVGVAIGFYIWKHRYIQKKRRGSNDAEKWAKTLNDSSLNFKYSTIEKATGSFDIANKLGQGGFGTVYKGVLADGREIAVKRLFFNNRHRAADFYNEINIISSVEHKNLVRLLGCSCAGPESLLVYEYLANRSLDRFIFDQERGKTLNWDKRYEIIVGTAEGLVHLHDNSKTRIIHRDIKASNILLDSRLRAKIADFGLARSFEEDKSHISTAIAGTLGYMAPEYLAHGQLTEKVDVYSFGVLILEIVTGRQNNRSKSAEYSDSIVTITWKHFQAGTTEELYDSNLMLQNCNDDVKDGILRVVQIGLLCTQESPSLRPTMSKALQMLTKKEKHLPAPANPPFIDEKTMELNDTGDDPGYPLNADGASSVASVSYSSFYPR >ONI30405 pep chromosome:Prunus_persica_NCBIv2:G1:26171761:26176452:1 gene:PRUPE_1G249400 transcript:ONI30405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCSISVITQHLLLLKILLLLGMALGDPRTQTVQIMCGKQLEHNSTVFVPNFVSTMENISEQMRTSGFGVARTGSGPDTNYGLAQCYGDLSLLDCVLCYAEARTVLPQCFPYNGGRIYLDGCFMRSENYTFYEEFRGSDDRAVCGNTTRKSTAFEESARQVVQRAVESAPSNGRQCLENASVSMLGCLPWSEGRALYTGCFMRYSDRDFLNKEVGNGSSRGTIIVIVVSVISSLVVLVVGVAIGFYIWKHRYIQKKRRGSNDAEKWAKTLNDSSLNFKYSTIEKATGSFDIANKLGQGGFGTVYKGVLADGREIAVKRLFFNNRHRAADFYNEINIISSVEHKNLVRLLGCSCAGPESLLVYEYLANRSLDRFIFDQERGKTLNWDKRYEIIVGTAEGLVHLHDNSKTRIIHRDIKASNILLDSRLRAKIADFGLARSFEEDKSHISTAIAGTLGYMAPEYLAHGQLTEKVDVYSFGVLILEIVTGRQNNRSKSAEYSDSIVTITWKHFQAGTTEELYDSNLMLQNCNDDVKDGILRVVQIGLLCTQESPSLRPTMSKALQMLTKKEKHLPAPANPPFIDEKTMELNDTGDDPGYPLNADGASSVASVSYSSFYPR >ONI30404 pep chromosome:Prunus_persica_NCBIv2:G1:26172709:26176413:1 gene:PRUPE_1G249400 transcript:ONI30404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCSISVITQHLLLLKILLLLGMALGDPRTQTVQIMCGKQLEHNSTVFVPNFVSTMENISEQMRTSGFGVARTGSGPDTNYGLAQCYGDLSLLDCVLCYAEARTVLPQCFPYNGGRIYLDGCFMRSENYTFYEEFRGSDDRAVCGNTTRKSTAFEESARQVVQRAVESAPSNGRYARGEVSVSGTGNESAYVLADCWRTLDENSCRQCLENASVSMLGCLPWSEGRALYTGCFMRYSDRDFLNKEVGNGSSRGTIIVIVVSVISSLVVLVVGVAIGFYIWKHRYIQKKRRGSNDAEKWAKTLNDSSLNFKYSTIEKATGSFDIANKLGQGGFGTVYKGVLADGREIAVKRLFFNNRHRAADFYNEINIISSVEHKNLVRLLGCSCAGPESLLVYEYLANRSLDRFIFDQERGKTLNWDKRYEIIVGTAEGLVHLHDNSKTRIIHRDIKASNILLDSRLRAKIADFGLARSFEEDKSHISTAIAGTLGYMAPEYLAHGQLTEKVDVYSFGVLILEIVTGRQNNRSKSAEYSDSIVTITWKHFQAGTTEELYDSNLMLQNCNDDVKDGILRVVQIGLLCTQESPSLRPTMSKALQMLTKKEKHLPAPANPPFIDEKTMELNDTGDDPGYPLNADGASSVASVSYSSFYPR >ONI32070 pep chromosome:Prunus_persica_NCBIv2:G1:32465013:32468343:1 gene:PRUPE_1G347300 transcript:ONI32070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFCCHHADQVISSSHLHNRYQNNIKNDSMSSSSSSSLFLPSSSPPPSLLQQSYSPSSSSPALVGGGGGGEAGAGYIEHVVSKFDTLAGVAIKYGVEVADIKKMNGLVTDRQMFALRFLQIPLPGRHRPSPLSNGSNTPCQTSSDQTPPRHTQGDMFESFRSLRVTPQRKASPAMDSLQSYYGLKPRNQNSITEGFEMAVYKNGGGHYLENGHFLRGSPASDKPFGQRRKSRSLVKLDENGELSDSMPGTETREVDSDQFGEKLIRRRQKSEADFSRTPEMLLGDNSGSGSGTAGFSAFTGQCLALRPKAANRTALGTDVEAGGLNPIPVGLGDSFITDGLSGVRKSSSTSNLQDHDSSSSASIWSTSKWSLKPDLQVFSTAAIRKPIFDGLPKPTGRRKAALD >ONI32071 pep chromosome:Prunus_persica_NCBIv2:G1:32465013:32468773:1 gene:PRUPE_1G347300 transcript:ONI32071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFCCHHADQVISSSHLHNRYQNNIKNDSMSSSSSSSLFLPSSSPPPSLLQQSYSPSSSSPALVGGGGGGEAGAGYIEHVVSKFDTLAGVAIKYGVEVADIKKMNGLVTDRQMFALRFLQIPLPGRHRPSPLSNGSNTPCQTSSDQTPPRHTQGDMFESFRSLRVTPQRKASPAMDSLQSYYGLKPRNQNSITEGFEMAVYKNGGGHYLENGHFLRGSPASDKPFGQRRKSRSLVKLDENGELSDSMPGTETREVDSDQFGEKLIRRRQKSEADFSRTPEMLLGDNSGSGSGTAGFSAFTGQCLALRPKAANRTALGTDVEAGGLNPIPVGLGDSFITDGLSGVRKSSSTSNLQDHDSSSSASIWSTSKWSLKPDLQVFSTAAIRKPIFDGLPKPTGRRKAALD >ONI32072 pep chromosome:Prunus_persica_NCBIv2:G1:32465013:32468276:1 gene:PRUPE_1G347300 transcript:ONI32072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLVTDRQMFALRFLQIPLPGRHRPSPLSNGSNTPCQTSSDQTPPRHTQGDMFESFRSLRVTPQRKASPAMDSLQSYYGLKPRNQNSITEGFEMAVYKNGGGHYLENGHFLRGSPASDKPFGQRRKSRSLVKLDENGELSDSMPGTETREVDSDQFGEKLIRRRQKSEADFSRTPEMLLGDNSGSGSGTAGFSAFTGQCLALRPKAANRTALGTDVEAGGLNPIPVGLGDSFITDGLSGVRKSSSTSNLQDHDSSSSASIWSTSKWSLKPDLQVFSTAAIRKPIFDGLPKPTGRRKAALD >ONI27160 pep chromosome:Prunus_persica_NCBIv2:G1:5047466:5048600:-1 gene:PRUPE_1G071300 transcript:ONI27160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLSQPKMGFATPPEEEDQFRPITPIRVVVPKLRPLNNAKEDEDEDEELLLLEGDVHEHEECHTPKSPAAHVTFKQPPVCPPAPKKPTQARRKLRPRPSQGFFKVPDDLTSVFMAISSSSNPAKKKMRAS >ONI34292 pep chromosome:Prunus_persica_NCBIv2:G1:39326293:39329439:-1 gene:PRUPE_1G473200 transcript:ONI34292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGLGKQGDEPWRVLEFYSGIGGMRYSLMKAGVNAKVVEAFDINDTANDVYQHNFGHRPYQGNIQSLTAADLDRYGADAWLLSPPCQPYTRQGLQKQSGDARAFSFLNILELIPHTSQPPIMLFVENVVGFETSDTHTKMIEILGRTNFITQEFILSPLQFGVPYSRPRYFCLAKRKPSTFQSQLFNNQLLQSPGPLFRHTNTVETLFCELDEPQESWDRLLESCESIESFLEFKNCSDQSESNFVDTTTVSTDSDEVLDEGNEYCSGGLDQYAVPLSLIERWGSAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATLQPNNEDKASSLKEQCLRYFTPREIFSFHSK >ONI34290 pep chromosome:Prunus_persica_NCBIv2:G1:39326293:39329439:-1 gene:PRUPE_1G473200 transcript:ONI34290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLWKHSTSTTQLTMFTSTISATVPIRYGADAWLLSPPCQPYTRQGLQKQSGDARAFSFLNILELIPHTSQPPIMLFVENVVGFETSDTHTKMIEILGRTNFITQEFILSPLQFGVPYSRPRYFCLAKRKPSTFQSQLFNNQLLQSPGPLFRHTNTVETLFCELDEPQESWDRLLESCESIESFLEFKNCSDQSESNFVDTTTVSTDSDEVLDEGNEYCSGGLDQYAVPLSLIERWGSAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATLQPNNEDKASSLKEQCLRYFTPREVANLHSLPEDFQFPQQISLRQRYALLGNSLSIAVVAPLLQYLFSESS >ONI34293 pep chromosome:Prunus_persica_NCBIv2:G1:39326293:39329439:-1 gene:PRUPE_1G473200 transcript:ONI34293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLWKHSTSTTQLTMFTSTISATVPIRVISRALLLPISTGMERMHGFFLLLVNLTHDKTSDTHTKMIEILGRTNFITQEFILSPLQFGVPYSRPRYFCLAKRKPSTFQSQLFNNQLLQSPGPLFRHTNTVETLFCELDEPQESWDRLLESCESIESFLEFKNCSDQSESNFVDTTTVSTDSDEVLDEGNEYCSGGLDQYAVPLSLIERWGSAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATLQPNNEDKASSLKEQCLRYFTPREIFSFHSK >ONI34289 pep chromosome:Prunus_persica_NCBIv2:G1:39326594:39329427:-1 gene:PRUPE_1G473200 transcript:ONI34289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGLGKQGDEPWRVLEFYSGIGGMRYSLMKAGVNAKVVEAFDINDTANDVYQHNFGHRPYQGNIQSLTAADLDRYGADAWLLSPPCQPYTRQGLQKQSGDARAFSFLNILELIPHTSQPPIMLFVENVVGFETSDTHTKMIEILGRTNFITQEFILSPLQFGVPYSRPRYFCLAKRKPSTFQSQLFNNQLLQSPGPLFRHTNTVETLFCELDEPQESWDRLLESCESIESFLEFKNCSDQSESNFVDTTTVSTDSDEVLDEGNEYCSGGLDQYAVPLSLIERWGSAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATLQPNNEDKASSLKEQCLRYFTPREVANLHSLPEDFQFPQQISLRQRYALLGNSLSIAVVAPLLQYLFSESS >ONI34291 pep chromosome:Prunus_persica_NCBIv2:G1:39326594:39329231:-1 gene:PRUPE_1G473200 transcript:ONI34291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLWKHSTSTTQLTMFTSTISATVPIRVISRALLLPISTGMERMHGFFLLLVNLTHDKTSDTHTKMIEILGRTNFITQEFILSPLQFGVPYSRPRYFCLAKRKPSTFQSQLFNNQLLQSPGPLFRHTNTVETLFCELDEPQESWDRLLESCESIESFLEFKNCSDQSESNFVDTTTVSTDSDEVLDEGNEYCSGGLDQYAVPLSLIERWGSAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATLQPNNEDKASSLKEQCLRYFTPREVANLHSLPEDFQFPQQISLRQRYALLGNSLSIAVVAPLLQYLFSESS >ONI33113 pep chromosome:Prunus_persica_NCBIv2:G1:35683330:35686672:-1 gene:PRUPE_1G406200 transcript:ONI33113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQKKKGGALFGWSPQSSSAKQATATTTTGCCGGIHGCSSLEAINVVPPTNQNSTSKLELQVAELEKKVLKEKELRAVYKKRMERTQDYLRNCLQVAQDNGFLDLIHNYNNNMGPEPGLLSPNILTPNTSPRLPTTPLYQPSDLAVLIDQAHLNGWYIHPEEIEFEEKIGQGSTAHIYKGTWHGLDVAIKCIFPDFFESNENGISFFAQELDTLCRQRHRYVLQLMGACLDPPNYAWVVTEFLGTTLKEWLHGPGNRQRERMIPLPLLKERLDRALEISQAMQYLHGQKPKVIHRDLKPSNIFLDDAMHVRVADFGHARFLSDEEKALTGETGTYVYMAPEVIQCEPYNEKCDVYSFGIILNELLTGNYPYIETDYGPTKIAMEVVEANLRPRLPDDDDGQFRELIDLICLSWDGDASVRPSFATITYSLKDLQNRIL >ONI33114 pep chromosome:Prunus_persica_NCBIv2:G1:35684447:35686672:-1 gene:PRUPE_1G406200 transcript:ONI33114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQKKKGGALFGWSPQSSSAKQATATTTTGCCGGIHGCSSLEAINVVPPTNQNSTSKLELQVAELEKKVLKEKELRAVYKKRMERTQDYLRNCLQVAQDNGFLDLIHNYNNNMGPEPGLLSPNILTPNTSPRLPTTPLYQPSDLAVLIDQAHLNGWYIHPEEIEFEEKIGQGSTAHIYKGTWHGLDVAIKCIFPDFFESNENGISFFAQELDTLCRQRHRYVLQLMGACLDPPNYAWVVTEFLGTTLKEWLHGPGNRQRERMIPLPLLKERLDRALEISQAMQYLHGQKPKVIHRDLKPSNIFLDDAMHVRVADFGHARFLSDEEKALTGETGTYVYMAPEVIQCEPYNEKCDVYSFGIILNELLTGNYPYIETDYGPTKVTAHPLKSNKK >ONI31302 pep chromosome:Prunus_persica_NCBIv2:G1:29872378:29875418:-1 gene:PRUPE_1G305100 transcript:ONI31302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSTCVSAAKNGRILIVGATGFIGRFVAEASLDAGQPTYVLIRPGPLDPSKADIIKSLKDRGAIILHGVISDKALMEKLLREHEIEVVISAVGGTTILDQIILVEAIQAVGTIKRFLPSEFGHDVDRADPVEPGLTMYLEKRQVRRCVEKSGVPYTYICCNSIASWPYFDNKHPSEVLPPLDQFQIYGDGSVKAYFIDGADIGKFTMKTVDDIRTMNKNVHFRPPSNLYDINGLASLWEKKIGRTLPRVTITEDDLLTIAAENRIPESIVASFTHDIFIKGCQVNFPVDGPHDVEIGTLYPEESFRTLDECFNDFLLKLEDEQELEKNKVSTKTTAVVEPRAVTATCA >ONI32798 pep chromosome:Prunus_persica_NCBIv2:G1:34619462:34620284:-1 gene:PRUPE_1G386500 transcript:ONI32798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWTSSSFKSSAPEEGLSIASISLEEEQEDPAATHHGHNNYVSSHVHIKPTHTSQPLDKDVVLRRIRQRKRVNRVRAALQALLISSPFSPPVHENKWVDDAFAAPP >ONI26511 pep chromosome:Prunus_persica_NCBIv2:G1:2151089:2152553:-1 gene:PRUPE_1G029900 transcript:ONI26511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQISIVHHLLDDFAQRKAAKDVGYYVAVTSVDSIGDGRVKQGTGEVEFPVLFSGITFKLFKGEVLLGVVQEVLRYGVLLQSDPAETVFLSNKKMPDYRYVRGDNPMFLNDKLLSKIERDIVVRFVVIGTQRIPEKREFRAVVGLDADYLGPVS >ONI31015 pep chromosome:Prunus_persica_NCBIv2:G1:28766276:28769991:1 gene:PRUPE_1G287800 transcript:ONI31015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSPVGAQTAPTTTVRGLGSPATPNPGSSRSESRALMEKENTNEDSSVERSSGREDDYNYYEGSIPEEITTLPNLKIVWAPRATLEGQLPSNWGGCGNLEIVNLAQNLFSGEVVGVFERCKKLHYLNLGSNKLSGKIDEKLPVPCMTVFNVSGNLMSGPIPEFSYRVCPQVPPNSDLVQVHNPSVAYQLLFACRTQLDTHLPLFGASFTMIHDFSGNNFIGPIQYLPLALEGLGKRTVYAFLAGGNKLTGSFPESLLGQCDGLHGMIINVSNNRISGRIPFKVGVMCRSLRFLDVSDNLLSGSIPPDLGYWKSLVFLDLSRNRLQGQIPEDISHLNYLKYLSLANNNLTGAIPASFVRLQSLEVLKLSSNSLSGDIPQGLVNLKNITVFLLDDNKLSGHIPSGMTKARSLSTFNASFNNLSGSFPFNNSVMNCSGVLGNPFLNPCPIVSLTAPSTDQPDSSGNSQYHPDSSSETVGDEDNSGLNSIEIASIVSASAVVLVLLSLVILFFYTRKWIPDSRVQGFEYKEMTVFTDIGAPLTFENIVQATANFNASNYIGSGGFGATYKAEISPGTVVAVKRLAVGRFHGVQQFHAEIKTLGRVRHPNLVTLIGYHASETEMLLIYNYLPGGNLENFIKERSRRPFNWNILHKIALDIAHALAYLHDECIPRVLHRDVKPSNILLDDEYNAYLSDFGLSRLLGTSETHATTGVAGTFGYVAPEYAMTCRVSEKADVYSYGVVLLELISDKEALDPSFSSHGHGFNIVSWACMLLRMGRAKEVFMEGLWDAGPQDDLVEMLYLAVTCTVETLSIRPTMKQVVRRLKRIQPISG >ONI29820 pep chromosome:Prunus_persica_NCBIv2:G1:22854398:22856062:1 gene:PRUPE_1G215700 transcript:ONI29820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEMAEELSRKMYKYVLEVWVGLFLRERERERESLEVGWFGVVVVRVAWVVWGCIGGRLLLVRKREERSGMDRLAWGGADGGLFCFLFF >ONI28183 pep chromosome:Prunus_persica_NCBIv2:G1:10102677:10106750:1 gene:PRUPE_1G129700 transcript:ONI28183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTMATAAGAAVLIYYVFNRRLASQVDTDDDDSDRSGNLSKMRSARKRLSRRPAQAPATWLESLNTLSDTLRFTYSETLGKWPIGDLAFGINYLMRRQGNLQVASVYAVSDSVQLKGSGIIEELHYYLKLLTLCMLFSKKPFPVFLESGGFSQEDVLLQKPKAGLLKPAFTVIHDKNSKCFLLLIRGTHSIKDTLTAATGAVAPFHHSVLHDGGISNLVLGYAHCGMVAAARWISMISTPCLLKALGEYPDYKIKVIGHSLGGGTAALLTYILRERKEFSSSTCVTFAPAACITWELAESGKHFITTIINGSDLVPTFSAASVDDLRCEVTASSWLNDLRDQVERTRVLNVVYRSASALGSRLPSIASAKARVAGAGALLRPVSSSTQVVMKRAQNVVVRTHSSISSWSCMGARRRNVGPLLNSKADDSPEASVICEKDSESQTERVTIDPMQNNLESSFSGRPGHDDTDEEEQLLPVNENITTSTVGDITEGELWYELEKELTRQENDVNVEAQEEEAAAVREITDEENMLVDVAESNTAISSLDVSESHRFYPPGRIMHIISAPSSDSINLDHDGPIEEHVGIYETPRELYSKLRLSRTMINDHYMPMYKKMMELLIRELENDESSSCIM >ONI29634 pep chromosome:Prunus_persica_NCBIv2:G1:21045951:21048882:1 gene:PRUPE_1G206900 transcript:ONI29634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGAWQLQKLIVSFSDWGGSSRGIRSFMESYLPVFKEKNPQLEVVTELIRGQHPHLKGLYKNKSERVVCVKNMDPEEVLQYATRLRNSLGRKVVKLKTRHVTKHPSVQGTWTTDVKF >ONI29633 pep chromosome:Prunus_persica_NCBIv2:G1:21046057:21048882:1 gene:PRUPE_1G206900 transcript:ONI29633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGAWQLQKLIVSFSDWGGSSRGIRSFMESYLPVFKEKNPQLEVVTELIRGQHPHLKGLYKNKSERVVCVKNMDPEEVLQYATRLRNSLGRKVVKLKTRHVTKHPSVQGTWTTDVKF >ONI29635 pep chromosome:Prunus_persica_NCBIv2:G1:21047109:21048882:1 gene:PRUPE_1G206900 transcript:ONI29635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLPVFKEKNPQLEVVTELIRGQHPHLKGLYKNKSERVVCVKNMDPEEVLQYATRLRNSLGRKVVKLKTRHVTKHPSVQGTWTTDVKF >ONI34648 pep chromosome:Prunus_persica_NCBIv2:G1:40950859:40957521:-1 gene:PRUPE_1G492600 transcript:ONI34648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASPSFPSDSRGKWRKRKRDPQIRRGKREDDDDEDDDAVAAAEDNDLEQNDDVSEDPHHNPQSGAAPDPGPHETEVLDGGVRQSDFPPVVLRTVNRPHSSVLAIVALERANHSGGDAKGPTSPIVLENVSYGQLQALSAVPADSPALDPDRADGAGSSYVVTPPSIMEGRGVVKRFGNRVHVVPMHADWFSPATVHRLERQVVPHFFSGKSSDHTPEIYMQCRNEIVAKYMENPEKRLAFSDCSRLALAGRLSSDDLTRIIRFLDHWGIINYCAVAPSREPWSGSSYLREELNGEIHVPSAALKSIDSLIKFDKPRCRLKAADVYSSLPCHDDDDVSDLDNTIRKRLSENHCNHCSCSLPDVYYQSQKEVDVLMCSNCFHEGRFVVGHSSIDFIRVDSTKDYGDTDGENWTDQETLLLLEAMEVYNENWNEIADHVGTKSKAQCILHFLRLPVEDGLLENIEVPGVSMSSNSSDRDGRGGFHSNSNGDTAGSCPQDVDSESRFPFANSGNPVMSLVAFLASSVGPRVAASCAHAALTVFSEDNGVSASGSILQMEGSGHRMNPESIHGREGGAHGNIANSLQQKEENTAGHGSRGQNEAGTIPIPAEKVIAAAKAGLAAAAVKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMKECEQVEKTRQRMAGERARLMSARFGPAGVTAPMGLAGLGSSMSNSNTGTGRQQIMSPSASQPSVSGYSNNQPIHPHMPFVPRQSMLGLGPRMPLTSIQSSSSAPNAMFNAAGTAQPTLNHPMLRPVPGTSSGLG >ONI34650 pep chromosome:Prunus_persica_NCBIv2:G1:40950859:40955754:-1 gene:PRUPE_1G492600 transcript:ONI34650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHINMDFCFCGILLLADWFSPATVHRLERQVVPHFFSGKSSDHTPEIYMQCRNEIVAKYMENPEKRLAFSDCSRLALAGRLSSDDLTRIIRFLDHWGIINYCAVAPSREPWSGSSYLREELNGEIHVPSAALKSIDSLIKFDKPRCRLKAADVYSSLPCHDDDDVSDLDNTIRKRLSENHCNHCSCSLPDVYYQSQKEVDVLMCSNCFHEGRFVVGHSSIDFIRVDSTKDYGDTDGENWTDQETLLLLEAMEVYNENWNEIADHVGTKSKAQCILHFLRLPVEDGLLENIEVPGVSMSSNSSDRDGRGGFHSNSNGDTAGSCPQDVDSESRFPFANSGNPVMSLVAFLASSVGPRVAASCAHAALTVFSEDNGVSASGSILQMEGSGHRMNPESIHGREGGAHGNIANSLQQKEENTAGHGSRGQNEAGTIPIPAEKVIAAAKAGLAAAAVKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMKECEQVEKTRQRMAGERARLMSARFGPAGVTAPMGLAGLGSSMSNSNTGTGRQQIMSPSASQPSVSGYSNNQPIHPHMPFVPRQSMLGLGPRMPLTSIQSSSSAPNAMFNAAGTAQPTLNHPMLRPVPGTSSGLG >ONI34649 pep chromosome:Prunus_persica_NCBIv2:G1:40951220:40957147:-1 gene:PRUPE_1G492600 transcript:ONI34649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASPSFPSDSRGKWRKRKRDPQIRRGKREDDDDEDDDAVAAAEDNDLEQNDDVSEDPHHNPQSGAAPDPGPHETEVLDGGVRQSDFPPVVLRTVNRPHSSVLAIVALERANHSGGDAKGPTSPIVLENVSYGQLQALSAVPADSPALDPDRADGAGSSYVVTPPSIMEGRGVVKRFGNRVHVVPMHAADWFSPATVHRLERQVVPHFFSGKSSDHTPEIYMQCRNEIVAKYMENPEKRLAFSDCSRLALAGRLSSDDLTRIIRFLDHWGIINYCAVAPSREPWSGSSYLREELNGEIHVPSAALKSIDSLIKFDKPRCRLKAADVYSSLPCHDDDDVSDLDNTIRKRLSENHCNHCSCSLPDVYYQSQKEVDVLMCSNCFHEGRFVVGHSSIDFIRVDSTKDYGDTDGENWTDQETLLLLEAMEVYNENWNEIADHVGTKSKAQCILHFLRLPVEDGLLENIEVPGVSMSSNSSDRDGRGGFHSNSNGDTAGSCPQDVDSESRFPFANSGNPVMSLVAFLASSVGPRVAASCAHAALTVFSEDNGVSASGSILQMEGSGHRMNPESIHGREGGAHGNIANSLQQKEENTAGHGSRGQNEAGTIPIPAEKVIAAAKAGLAAAAVKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMKECEQVEKTRQRMAGERARLMSARFGPAGVTAPMGLAGLGSSMSNSNTGTGRQQIMSPSASQPSVSGYSNNQPIHPHMPFVPRQSMLGLGPRMPLTSIQSSSSAPNAMFNAAGTAQPTLNHPMLRPVPGTSSGLG >ONI33955 pep chromosome:Prunus_persica_NCBIv2:G1:38242565:38243993:-1 gene:PRUPE_1G455600 transcript:ONI33955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAYTIFPHKDNEKKNILAVQTLRNTIMGSTLMATTSILLCCGIAAVISSTYSVKKPLNDSVYGAHGEFMVALKYVTLLTIFLFSFMCHSLSIRFINQVNILINSPQDPMSLVTSEYVSELLEKGYLLNTVGNRLFYAALPLLLWIFGPVLVFLCSATMVPVLYNLDFVFNCTEKRKMDTGSENRAEFV >ONI33954 pep chromosome:Prunus_persica_NCBIv2:G1:38242565:38244738:-1 gene:PRUPE_1G455600 transcript:ONI33954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRKCYLDVILVPLGFLITASYHAWLWHKVRTDPQSTIIGINTNGRRFWVSAMMKDNEKKNILAVQTLRNTIMGSTLMATTSILLCCGIAAVISSTYSVKKPLNDSVYGAHGEFMVALKYVTLLTIFLFSFMCHSLSIRFINQVNILINSPQDPMSLVTSEYVSELLEKGYLLNTVGNRLFYAALPLLLWIFGPVLVFLCSATMVPVLYNLDFVFNCTEKRKMDTGSENRAEFV >ONI33956 pep chromosome:Prunus_persica_NCBIv2:G1:38242565:38243945:-1 gene:PRUPE_1G455600 transcript:ONI33956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLMATTSILLCCGIAAVISSTYSVKKPLNDSVYGAHGEFMVALKYVTLLTIFLFSFMCHSLSIRFINQVNILINSPQDPMSLVTSEYVSELLEKGYLLNTVGNRLFYAALPLLLWIFGPVLVFLCSATMVPVLYNLDFVFNCTEKRKMDTGSENRAEFV >ONI26269 pep chromosome:Prunus_persica_NCBIv2:G1:1039418:1043320:1 gene:PRUPE_1G014000 transcript:ONI26269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKAGIAKDVTELIGKTPLVYLNHVVDGCVARIAAKLEMMEPCSSVKDRIGYSMIADAEAKGLITPGQSVLIEPTSGNTGIGLAFMAAAKRYRLIITMPASMSLERRIILRAFGAELVLTDPAKGMKGAVQKAEEILAKTPDAYMLQQFENPANPKVHYETTGPEIWEGSGEKVDAFVSGIGTGGTITGAGKFLKEKNPSIKLYGVEPVESPVLTGGKPGPHKIQGIGAGFIPGVLEVNIIDEVVQISSDEAIETAKLLAHKEGLLVGISSGAAAAAAIRIAKRPENAGKLIVVIFPSFGERYLSSVLFESVRREAESMTFEP >ONI28923 pep chromosome:Prunus_persica_NCBIv2:G1:13841834:13843258:-1 gene:PRUPE_1G169300 transcript:ONI28923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHRFLFLTYPAQGHINPALQLAKRLIRNTGAQVTYVTSLYAYRRIVNGSTPNGLTYAPYSDGYDDGFKFSDDVDHYMSELRRAGSQVITDLVASSAKEGHPYTCLVYTILLPWAADLARELHLPSVLAWIQAATLFDVYYYYLSGYKDLIRESFGTDTNDPSCSIQLPGLPLDLASRDLPSFMVAENSYNFALPLFEKQFELLERETKPIILVNTFDALEPEALKAIDKYNLIGIGPLIPSAFLDGKDPSDTSFGGDLFQKSMDSSCIEWLNSKPEGSVIYVSFGSVSALSKDQMEEIAKGLLDYGRPFLWVIREKEERNGQDNETEKEEEKFSCREELKELGKIVLWCSQLEVLSNPSLGCFVTHCGWNSSMESLVSGVPVVAFPLWTDQRTNAKLIEDTWKTGVRVAPNEEGIVVGEELKRCLELVMGSGEIGEELRRNAKKWKGLAREAVSEGGSSDKNLMAFLDQIGN >ONI34738 pep chromosome:Prunus_persica_NCBIv2:G1:41135457:41137300:-1 gene:PRUPE_1G495900 transcript:ONI34738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKAEHSGWTYRKQQKIPINLGDKEENYSEDDQISQLPDAILISILSLLGIREAARTCVLSKRWVSLWKQITCLNFDDIDALSKLQKKRKRVKTTSSYNWVNQVLQLHQGLSLDEFQIHSSSPDSSPSSSEIDNWIEFAMWRRVQGLEIDLEAGRRSRFSSPSYTFPDKPFRSPFGISCIKSLKHLSLSFVNITGELVEHFLSYCELLEHLCVSCSDQ >ONI28925 pep chromosome:Prunus_persica_NCBIv2:G1:13880239:13882018:-1 gene:PRUPE_1G169500 transcript:ONI28925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFGNEFNGNVGVILAIQDMASAIRENSNQDRRHETEEDRVMRIQGQFRKTKPTILKGEPNPIAAEEWLRQIKRKMDNQRIPEDIRVVIACTYLEGQAYLWWESILSMPNTEITTWEAFESIFQLSKYADVVDRAHIAKQRPETKRTWDSSSGQINKQTRFNTPQCQSEKKFRPPLCYYCKQVGHVKRFCPQLQRYQGPQSNWARPVTRQSSQRPGGQYSQIRPRVPAVGKKSGQFTQGRVYVVGNVIDQTKPKTIEAMFLVFNSWARVLVDCGATHSFIPTSFASTLELEYENMKPSLLIGFPLGGSLEVDKVCRSCMIEMSGHRIVVDLMVLEMLEYDIILGMDWLTHYQEFNDVFPEDLHGLPPECEVEFAIEIYPGTTPIYIPPNRMAPAELKELNIQLQELQAKEYIHPSTSPWGAPALSVKKKDLTLRK >ONI29767 pep chromosome:Prunus_persica_NCBIv2:G1:22695207:22702199:1 gene:PRUPE_1G213800 transcript:ONI29767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSISRSMKCFLKGASSSPLVHFSGYSKDKNDPGDGGEILVFTFWTISCFEEVAEELVQMFILELNLKRLLVVDLPWSDELYPGEFSDLSICNLYSEETCKPVYPRLNDQKSEMPAARFNQQPDHDVLQVYLTTWLAEVNIDSERVDEIFVEVGDEMHVTIS >ONI29766 pep chromosome:Prunus_persica_NCBIv2:G1:22695207:22702199:1 gene:PRUPE_1G213800 transcript:ONI29766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLILFQVLHRGKLLASYKHMVSVVIHMVSISRSMKCFLKGASSSPLVHFSGYSKDKNDPGDGGEILVFTFWTISCFEEVAEELVQMFILELNLKRLLVVDLPWSDELYPGEFSDLSICNLYSEETCKPVYPRLNDQKSEMPAARFNQQPDHDVLQVYLTTWLAEVNIDSERVDEIFVEVGDEMHVTIS >ONI34834 pep chromosome:Prunus_persica_NCBIv2:G1:41516239:41517908:1 gene:PRUPE_1G501700 transcript:ONI34834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQEPVKHLEDCSVSNALGTWVFSVAGALLAIPVGIKKKSLAPLVFFGTTGTMLDIIMGITQCEREHAERQKQLLEAQHSATDASFAETGAES >ONI34836 pep chromosome:Prunus_persica_NCBIv2:G1:41515654:41517908:1 gene:PRUPE_1G501700 transcript:ONI34836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQEPVKHLEDCSVSNALGTWVFSVAGALLAIPVGIKKKSLAPLVFFGTTGTMLDIIMGITQCEREHAERQKQLLEAQHSATDASFAETGAES >ONI34835 pep chromosome:Prunus_persica_NCBIv2:G1:41515548:41517908:1 gene:PRUPE_1G501700 transcript:ONI34835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQEPVKHLEDCSVSNALGTWVFSVAGALLAIPVGIKKKSLAPLVFFGTTGTMLDIIMGITQCEREHAERQKQLLEAQHSATDASFAETGAES >ONI33828 pep chromosome:Prunus_persica_NCBIv2:G1:37846819:37851408:-1 gene:PRUPE_1G448100 transcript:ONI33828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFRDLSLGHSKRGTTATATTTTPPKTLSIPTKPITAMATDLPSPLGQLSAQLTDSDLRLTAYEIFVAACRTSTGKALTFTSSSASSHLDSPTQHANSPNGSPALQRSLTSAAASKMKKALGLKSPGSGSKKSPGSGGSGSGPGKPKRVMTVGELMRIQMGISDAMDSRVRRALLRISASQVGRRIESVVVPLELLQQLKSSDFTDKQEYDAWQKRTLKILEAGLLLHPHLPLDKSNNTAQRLRQIIHGALDRPFETGINNETMQVLRSAVTTLASRSSDGLYDSSHWADGLPLNLRLYERLLEACFDLHDETSVIDEVDELMEHIKKTWTILGMNQMLHNLCFTWVLFHRFVATGQVELDLLYAADSQLAEVAKDSKATKDPEYCKILSSTLTSILGWAEKRLLAYHDTFDSSNIDTMQAIVSLGVVAAKILIEDISNEYRRRRKSEVDVARNRIDTYIRSSLRTAFAQRMEKADSSRRASRHQPNPLPVLAILAKDVGELAVKEKQVFSPILKRWHPFAAGVAVATLHACYANEIKQFISGITELTPDAVQVLRAADKLEKDLVLIAVEDSVDSDDGGKAIIREMPPYEAEAAIANLVKVWIKTRVDRMKEWVDRNLQQEVWNPQVNEEGYAPSAVEVLRILDETLDAFFQLPIPMHPALLPDLMVGLDRCLQYYVTKAKSGCGSRNTFVPTMPALTRCTMGSKFQGFGKKKEKSPNPQKRNSQVATLNGDNSFGIPQLCVRINTLQRIRSELEVLEKRTITHLRNSESAHVEDFSNGLGKKFELTPAACVEAIQQLCEAVAYKMIFHDLSHVLWDGLYVGEPSSSRIEPFLDELEKNLLIISNTVHERVRTRIITDIMRASFDGFLLVLLAGGPSRAFARQDSQIIEDDFKSLKDLFWANGDGLPSELIDKFSTTVRGVLPLFRTDTESLVERFRRVTLESYGSSARSRLPLPPTSGQWNPTEPNTLLRVLCYRNDEAATKFLKKTYNLPKKL >ONI33532 pep chromosome:Prunus_persica_NCBIv2:G1:36928351:36931636:-1 gene:PRUPE_1G431000 transcript:ONI33532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPYRSSSTMAVVLFAIFTLSSALDMSIISYDDKNVVGESKSSWRTDEEVMSIYEGWLAKHGKAYNGLGEKERRFQIFKDNLRYIDDHNAKNLSYKLGLNRFADLSNDEYRSTFLGTKTRAQKRRLSNRNTKSDRYAPRVGDSLPDSVDWRKEGVVNPIKDQGSCGSCWAFATISSVEGINKLVTGDLLSLSEQELVDCDKTYNEGCNGGLMDYAFEFIISNGGIDSEEDYPYKGYDATCDTYRKNAKVVSIDDYEDVPAYDEKALKKAVANQPIAVAIEGGGRDFQLYNSGVFTGRCGTSLDHGVTVVGYGTDKGVDYWIVRNSWGGSWGEEGYIRMERNLGNTAHGICGIAMEASYPVKSGLNPPNPGPSPPSPVQPPSVCDNYYSCPESNTCCCIYEYANYCFAWGCCPLEGATCCDDHYSCCPSDYPVCNLNAGTCQLSKDNPLGVKALKRMPAKPHWAIGGGKRSSS >ONI27068 pep chromosome:Prunus_persica_NCBIv2:G1:4703282:4706505:1 gene:PRUPE_1G065800 transcript:ONI27068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGMEANKNKFIEEWGSARENLEHNFRWTRRNFALVGIFGIAIPILVYKGIVRDFHMQDEDAGRPYRKFL >ONI27291 pep chromosome:Prunus_persica_NCBIv2:G1:5603582:5603973:1 gene:PRUPE_1G077800 transcript:ONI27291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILAQCQPSNQTNKKIAEFLEAICEVALRKLLKKSQSVSSSTHSPHRYLTQTGRRNIAQLHNANFKKLQSQCLAAHIQTR >ONI33868 pep chromosome:Prunus_persica_NCBIv2:G1:37989873:37995512:1 gene:PRUPE_1G451000 transcript:ONI33868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSETVSQIAPKKLARQLDFTTVCRASANAALPEVQLQLQLQLQLQSPSQAQLSQNSPAKSHVHLQLQSPPPPPVAQPPKQLTPPPAIPQFLARPSPAPAANSRIQHPVHKLSISTSQASKQESPISRQRGDGKDGTPKKQKQCNCKNSRCLKLYCECFAAGIYCEGCNCSNCHNNVDNEAARQEAVGLILERNPNAFRPKIASSPQESRDGREDAGEIQAPGKHNKGCHCRKSGCLKKYCECFQANILCSENCKCMGCKNFEGSEERRALHHEDHNTVAYMQQANAAISGAIGSSGYGTPLVSRKRKSYELYFGTTNQTTHPIKQPQQENHLRPPIASSSLSSVPTCRTANAAVSRSSKSTYRSPLADIIQSKNIKDLCSRLVVVSGAAAEALAGNRRRETIDESSTNLSTQEGKECKKEHDVQNSVHDDHLGVNEADRDESSYSGLNGGDVQNSRPMSPGTLALMCDEQDKMFMAAGLPNGVGSSSPSMTQKSTQEIGCTEVYAEQERLVLIGFRDFLNHLITRGSIKETMCSPQAKRERVSQKEPVQGGTAKPSPEPRCQKEAYSNGIAKSPVSANGKMLHPVTTLHPVATVTSGDNDLSLKVGLPIEK >ONI33867 pep chromosome:Prunus_persica_NCBIv2:G1:37989873:37995512:1 gene:PRUPE_1G451000 transcript:ONI33867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSETVSQIAPKKLARQLDFTTVCRASANAALPEVQLQLQLQLQLQSPSQAQLSQNSPAKSHVHLQLQSPPPPPVAQPPKQLTPPPAIPQFLARPSPAPAANSRIQHPVHKLSISTSQASKQESPISRQRGDGKDGTPKKQKQCNCKNSRCLKLYCECFAAGIYCEGCNCSNCHNNVDNEAARQEAVGLILERNPNAFRPKIASSPQESRDGREDAGEIQAPGKHNKGCHCRKSGCLKKYCECFQANILCSENCKCMGCKNFEGSEERRALHHEDHNTVAYMQQANAAISGAIGSSGYGTPLVSRKRKSYELYFGTTNQTTHPIKQPQQVHFSSITKQENHLRPPIASSSLSSVPTCRTANAAVSRSSKSTYRSPLADIIQSKNIKDLCSRLVVVSGAAAEALAGNRRRETIDESSTNLSTQEGKECKKEHDVQNSVHDDHLGVNEADRDESSYSGLNGGDVQNSRPMSPGTLALMCDEQDKMFMAAGLPNGVGSSSPSMTQKSTQEIGCTEVYAEQERLVLIGFRDFLNHLITRGSIKETMCSPQAKRERVSQKEPVQGGTAKPSPEPRCQKEAYSNGIAKSPVSANGKMLHPVTTLHPVATVTSGDNDLSLKVGLPIEK >ONI26852 pep chromosome:Prunus_persica_NCBIv2:G1:3540269:3544838:-1 gene:PRUPE_1G050600 transcript:ONI26852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIFRSLHSHPFLFHPTALIRRKYVILYCTMRSAQTQTATQEKVKPQVKVSPHSTQSKALEKASKDYEAVIGIETHVQLNTLTKAFCSCPYNYGSPPNTSVCPICMGLPGALPVLNLKVIESAVKVGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDVPIATSGYIDLDLPLEFGGGHRKFGITRVHMEEDAGKLLHSEGGSYSQETAEVDLNRAGVPLLEIVSEPDMRNGIEAAEYAAELQRLVRYLGVSNGNMQEGSLRCDVNISVRPIGQLQFGTKVEIKNLNSFSSVSRAIDYEISRQVLLHSQGQDKEIVQETRLWEEGAQKTVTMRKKEGLADYRYFPEPDLPGVFLTTDYVDGIRNSLPELPETKRRRYEKMGLSMQDVLFLANDMNVAEFFDTTITKGADVKLATNWIMGDIAAYMKNEKLTINEIKLTPQELAELIASIKDGTISGKIGKEILFELLAKGGTVKGLIEAKDLVQIVDPAEIEKWVEKVLSENPKQLEQYRGGKTKLQGYFAGQVMKLSKGKANPGLLNKILLEKLNAKS >ONI31156 pep chromosome:Prunus_persica_NCBIv2:G1:29284925:29286548:-1 gene:PRUPE_1G296400 transcript:ONI31156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRLNWSSRSLSYAKILNNKDKAYRKREPESFVKKLLGDGLVTTAEEVISRTAFSSFYIEGQHIFEMLMKLGFLLTKNSLTIRVPGISKLFKTGDEIESEKLEKDVRASILGGLSGKEKRSQRISVDDLIDDCKTFYVAGQETTNSLLAWTVFLLAHHTDWQEEARKEVLQIFGKQTPNPDGIAKLKTMSMVINECLRLYSPVGSLSRKAEREVKLGKLIVPANVDLHANRFTEGVAKATNNNAGAFLPFGVGPRTCVGLNFAAIEAKIALSMVLQRYSFTLSPGYVHLSLQQVTNRPLRGVQVMLYSL >ONI27094 pep chromosome:Prunus_persica_NCBIv2:G1:4855221:4860369:-1 gene:PRUPE_1G067900 transcript:ONI27094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYETNNWIWDGMYNHPHVFGGLMLTAALLGLSTSYFGGITVPQLPYLWPDSGIFHKKKCEKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIANKGPPVLSMEERLALVSGLKWVDEVIPKAPYEITEQFMSRLFNEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILSSLRDTNGGEDQNNTSLHKTPESQPKSAHLSQFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILKNARQLGDFLLVGIHNDETVSEHRGHHPIMHLHERSLSVLGCRYVDEVIIGAPWEVTMDMVTTFNISLVVHGTVSEYNSLFTGESDPYSVPKSMGIFKLLESPKNITTTSVSQRIIANHDAYMKRNAKKAESEKKYYAQKTYVSGD >ONI27093 pep chromosome:Prunus_persica_NCBIv2:G1:4855316:4859447:-1 gene:PRUPE_1G067900 transcript:ONI27093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISDFLCAIVVSGFVSPLTEKVELRENIKQRKKCGNMEYETNNWIWDGMYNHPHVFGGLMLTAALLGLSTSYFGGITVPQLPYLWPDSGIFHKKKCEKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIANKGPPVLSMEERLALVSGLKWVDEVIPKAPYEITEQFMSRLFNEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILSSLRDTNGGEDQNNTSLHKTPESQPKSAHLSQFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILKNARQLGDFLLVGIHNDETVSEHRGHHPIMHLHERSLSVLGCRYVDEVIIGAPWEVTMDMVTTFNISLVVHGTVSEYNSLFTGESDPYSVPKSMGIFKLLESPKNITTTSVSQRIIANHDAYMKRNAKKAESEKKYYAQKTYVSGD >ONI35823 pep chromosome:Prunus_persica_NCBIv2:G1:45367783:45375237:1 gene:PRUPE_1G555900 transcript:ONI35823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIEAEEEQVQMEPPKIHRLDDSVVNRIAAGEVIQRPVSAVKELVENSLDACSSSINVVVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSTFEDLQSIKSMGFRGEALASMTYVAHVTVTTITKGQLHGYRVSYKDGVMEHEPKACAAVKGTQIMVENLFYNMTARRKTLQNSADDYSKIVDVLSRFAIHHMNVSFSCRKHGAARADVNSVATISRIDAIRSVYGVSVARCLMKVEALDKDPSSSVFQMEGFISNSNYVAKKITMVLFINDRLVDCTALKRALEIVYAATLPKASKPFIYMAIILPPEHVDVNVHPTKREVSLLNQEIIIEKIQSVVESRLRSSNETQTFQEQAVKPTPSCQMVSSNDSNRNPSPSGSKLQKVPVHKMVRTDSSDPAGRLHVYLQPESCGHLERNTSLTAIRSSVRQRRNPKETADLTSLQELIDEIDRNCHSGLLDIVRHCTYIGMADDVFALLQHDTHLYLANVVNLRGIMVILSRDVGKLKMSSN >ONI35821 pep chromosome:Prunus_persica_NCBIv2:G1:45365317:45381394:1 gene:PRUPE_1G555900 transcript:ONI35821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIEAEEEQVQMEPPKIHRLDDSVVNRIAAGEVIQRPVSAVKELVENSLDACSSSINVVVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSTFEDLQSIKSMGFRGEALASMTYVAHVTVTTITKGQLHGYRVSYKDGVMEHEPKACAAVKGTQIMVENLFYNMTARRKTLQNSADDYSKIVDVLSRFAIHHMNVSFSCRKHGAARADVNSVATISRIDAIRSVYGVSVARCLMKVEALDKDPSSSVFQMEGFISNSNYVAKKITMVLFINDRLVDCTALKRALEIVYAATLPKASKPFIYMAIILPPEHVDVNVHPTKREVSLLNQEIIIEKIQSVVESRLRSSNETQTFQEQAVKPTPSCQMVSSNDSNRNPSPSGSKLQKVPVHKMVRTDSSDPAGRLHVYLQPESCGHLERNTSLTAIRSSVRQRRNPKETADLTSLQELIDEIDRNCHSGLLDIVRHCTYIGMADDVFALLQHDTHLYLANVVNLSKELMYQQVLRRFAHFNAIQISEPAPVKELIVLALKEGNLDPECSENVELNEKIAEMNMELLKQKADMIEEYFCIHIDKDGNLSRLPVILDQYTPDMDRVPEFVLCLGNDVDWEEEKKCLQVISAALGNFYAMHPPMLPNPSGDGLQFYQKRKPFRNPEERLSCSTGDDVMTENEIEHELVAEAETAWAQREWSIQHVLFPSMRLFFKPPNSMATNGTFVRVASLEKLYRIFERC >ONI35820 pep chromosome:Prunus_persica_NCBIv2:G1:45367734:45381442:1 gene:PRUPE_1G555900 transcript:ONI35820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIEAEEEQVQMEPPKIHRLDDSVVNRIAAGEVIQRPVSAVKELVENSLDACSSSINVVVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSTFEDLQSIKSMGFRGEALASMTYVAHVTVTTITKGQLHGYRVSYKDGVMEHEPKACAAVKGTQIMVENLFYNMTARRKTLQNSADDYSKIVDVLSRFAIHHMNVSFSCRKHGAARADVNSVATISRIDAIRSVYGVSVARCLMKVEALDKDPSSSVFQMEGFISNSNYVAKKITMVLFINDRLVDCTALKRALEIVYAATLPKASKPFIYMAIILPPEHVDVNVHPTKREVSLLNQEIIIEKIQSVVESRLRSSNETQTFQEQAVKPTPSCQMVSSNDSNRNPSPSGSKLQKVPVHKMVRTDSSDPAGRLHVYLQPESCGHLERNTSLTAIRSSVRQRRNPKETADLTSLQELIDEIDRNCHSGLLDIVRHCTYIGMADDVFALLQHDTHLYLANVVNLSKELMYQQVLRRFAHFNAIQISEPAPVKELIVLALKEGNLDPECSENVELNEKIAEMNMELLKQKADMIEEYFCIHIDKDGNLSRLPVILDQYTPDMDRVPEFVLCLGNDVDWEEEKKCLQVISAALGNFYAMHPPMLPNPSGDGLQFYQKRKPFRNPEERLSCSTGDDVMTENEIEHELVAEAETAWAQREWSIQHVLFPSMRLFFKPPNSMATNGTFVRVASLEKLYRIFERC >ONI35819 pep chromosome:Prunus_persica_NCBIv2:G1:45367783:45381242:1 gene:PRUPE_1G555900 transcript:ONI35819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIEAEEEQVQMEPPKIHRLDDSVVNRIAAGEVIQRPVSAVKELVENSLDACSSSINVVVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSTFEDLQSIKSMGFRGEALASMTYVAHVTVTTITKGQLHGYRVSYKDGVMEHEPKACAAVKGTQIMVENLFYNMTARRKTLQNSADDYSKIVDVLSRFAIHHMNVSFSCRKHGAARADVNSVATISRIDAIRSVYGVSVARCLMKVEALDKDPSSSVFQMEGFISNSNYVAKKITMVLFINDRLVDCTALKRALEIVYAATLPKASKPFIYMAIILPPEHVDVNVHPTKREVSLLNQEIIIEKIQSVVESRLRSSNETQTFQEQAVKPTPSCQMVSSNDSNRNPSPSAGSKLQKVPVHKMVRTDSSDPAGRLHVYLQPESCGHLERNTSLTAIRSSVRQRRNPKETADLTSLQELIDEIDRNCHSGLLDIVRHCTYIGMADDVFALLQHDTHLYLANVVNLSKELMYQQVLRRFAHFNAIQISEPAPVKELIVLALKEGNLDPECSENVELNEKIAEMNMELLKQKADMIEEYFCIHIDKDGNLSRLPVILDQYTPDMDRVPEFVLCLGNDVDWEEEKKCLQVISAALGNFYAMHPPMLPNPSGDGLQFYQKRKPFRNPEERLSCSTGDDVMTENEIEHELVAEAETAWAQREWSIQHVLFPSMRLFFKPPNSMATNGTFVRVASLEKLYRIFERC >ONI35822 pep chromosome:Prunus_persica_NCBIv2:G1:45367783:45377332:1 gene:PRUPE_1G555900 transcript:ONI35822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIEAEEEQVQMEPPKIHRLDDSVVNRIAAGEVIQRPVSAVKELVENSLDACSSSINVVVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSTFEDLQSIKSMGFRGEALASMTYVAHVTVTTITKGQLHGYRVSYKDGVMEHEPKACAAVKGTQIMVENLFYNMTARRKTLQNSADDYSKIVDVLSRFAIHHMNVSFSCRKHGAARADVNSVATISRIDAIRSVYGVSVARCLMKVEALDKDPSSSVFQMEGFISNSNYVAKKITMVLFINDRLVDCTALKRALEIVYAATLPKASKPFIYMAIILPPEHVDVNVHPTKREVSLLNQEIIIEKIQSVVESRLRSSNETQTFQEQAVKPTPSCQMVSSNDSNRNPSPSGSKLQKVPVHKMVRTDSSDPAGRLHVYLQPESCGHLERNTSLTAIRSSVRQRRNPKETADLTSLQELIDEIDRNCHSGLLDIVRHCTYIGMADDVFALLQHDTHLYLANVVNLSKELMYQQVLRRFAHFNAIQISEPAPVKELIVLALKEGNLDPECSENVELNEKIAEEEITDWRIAL >ONI35754 pep chromosome:Prunus_persica_NCBIv2:G1:45165858:45177334:1 gene:PRUPE_1G552900 transcript:ONI35754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPENSKPNIPHYVRSSAKVHPSNDFDADPNSYSLEKFKLYETRQRFYLIGSDRNKRFFRVLKIDRSEPDDLNISEDPVVYSPQEIKSLLQRIAEGNRATGGLTFVAKVFGIAGCIKFLESYYLILVTKRRQIGSICGHAIYSIDESQLITIPHVSMQTDIAHSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVLSMGEEGMPYDNIFVWNAYLTQAIRSRCNNTIWTIALVHGHFKQIRVSIFGRDFSVSLVSRRSRHFAGTRYLKRGVNDRGRVANDVETEQIILDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFANAVGYLNQILSEENHLKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPSIVKRRLNQISRTSTGREASLRDLRANSGDLPRFGSSNETLNSAVNRDRESVPSQHKKNDNSGSEPPHFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTNLPKVDPDSTIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFKPQEGKPALWELDSDYYLHVSGIDDLFLDTCSQENDTHLGGLGNTLAPIPACKEDFLRMKLTSFDKLIERTCSSIKNVRLCSEPDQRTGGGTANSSVAPDAAEIQLKSPNWLFGQRKYEESGSAPKVTSHEISNGGSRNETGFGGFCDLNWLSSDGNDNEEDIFQRYLSMTSVDEANGWYGGTLLGDQDESSEIYKHYAELCQGPGIEPFKNDREMEKHYADALHMGTINIVDDAAVEVEMEAALKEYDQIGSDLGSIPTSCKSLAEDPSWLTRWIIGEEKVQRV >ONI35756 pep chromosome:Prunus_persica_NCBIv2:G1:45165893:45177334:1 gene:PRUPE_1G552900 transcript:ONI35756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLQKNVLSMGEEGMPYDNIFVWNAYLTQAIRSRCNNTIWTIALVHGHFKQIRVSIFGRDFSVSLVSRRSRHFAGTRYLKRGVNDRGRVANDVETEQIILDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFANAVGYLNQILSEENHLKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPSIVKRRLNQISRTSTGREASLRDLRANSGDLPRFGSSNETLNSAVNRDRESVPSQHKKNDNSGSEPPHFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTNLPKVDPDSTIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFKPQEGKPALWELDSDYYLHVSGIDDLFLDTCSQENDTHLGGLGNTLAPIPACKEDFLRMKLTSFDKLIERTCSSIKNVRLCSEPDQRTGGGTANSSVAPDAAEIQLKSPNWLFGQRKYEESGSAPKVTSHEISNGGSRNETGFGGFCDLNWLSSDGNDNEEDIFQRYLSMTSVDEANGWYGGTLLGDQDESSEIYKHYAELCQGPGIEPFKNDREMEKHYADALHMGTINIVDDAAVEVEMEAALKEYDQIGSDLGSIPTSCKSLAEDPSWLTRWIIGEEKVQRV >ONI35755 pep chromosome:Prunus_persica_NCBIv2:G1:45165734:45177334:1 gene:PRUPE_1G552900 transcript:ONI35755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPENSKPNIPHYVRSSAKVHPSNDFDADPNSYSLEKFKLYETRQRFYLIGSDRNKRFFRVLKIDRSEPDDLNISEDPVVYSPQEIKSLLQRIAEGNRATGGLTFVAKVFGIAGCIKFLESYYLILVTKRRQIGSICGHAIYSIDESQLITIPHVSMQTDIAHSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVLSMGEEGMPYDNIFVWNAYLTQAIRSRCNNTIWTIALVHGHFKQIRVSIFGRDFSVSLVSRRSRHFAGTRYLKRGVNDRGRVANDVETEQIILDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFANAVGYLNQILSEENHLKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPSIVKRRLNQISRTSTGREASLRDLRANSGDLPRFGSSNETLNSAVNRDRESVPSQHKKNDNSGSEPPHFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTNLPKVDPDSTIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFKPQEGKPALWELDSDYYLHVSGIDDLFLDTCSQENDTHLGGLGNTLAPIPACKEDFLRMKLTSFDKLIERTCSSIKNVRLCSEPDQRTGGGTANSSVAPDAAEIQLKSPNWLFGQRKYEESGSAPKVTSHEISNGGSRNETGFGGFCDLNWLSSDGNDNEEDIFQRYLSMTSVDEANGWYGGTLLGDQDESSEIYKHYAELCQGPGIEPFKNDREMEKHYADALHMGTINIVDDAAVEVEMEAALKEYDQIGSDLGSIPTSCKSLAEDPSWLTRWIIGEEKVQRV >ONI35753 pep chromosome:Prunus_persica_NCBIv2:G1:45165893:45177334:1 gene:PRUPE_1G552900 transcript:ONI35753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPENSKPNIPHYVRSSAKVHPSNDFDADPNSYSLEKFKLYETRQRFYLIGSDRNKRFFRVLKIDRSEPDDLNISEDPVVYSPQEIKSLLQRIAEGNRATGGLTFVAKVFGIAGCIKFLESYYLILVTKRRQIGSICGHAIYSIDESQLITIPHVSMQTDIAHSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVLSMGEEGMPYDNIFVWNAYLTQAIRSRCNNTIWTIALVHGHFKQIRVSIFGRDFSVSLVSRRSRHFAGTREGLKDEEQQTSYLKRGVNDRGRVANDVETEQIILDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFANAVGYLNQILSEENHLKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPSIVKRRLNQISRTSTGREASLRDLRANSGDLPRFGSSNETLNSAVNRDRESVPSQHKKNDNSGSEPPHFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTNLPKVDPDSTIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFKPQEGKPALWELDSDYYLHVSGIDDLFLDTCSQENDTHLGGLGNTLAPIPACKEDFLRMKLTSFDKLIERTCSSIKNVRLCSEPDQRTGGGTANSSVAPDAAEIQLKSPNWLFGQRKYEESGSAPKVTSHEISNGGSRNETGFGGFCDLNWLSSDGNDNEEDIFQRYLSMTSVDEANGWYGGTLLGDQDESSEIYKHYAELCQGPGIEPFKNDREMEKHYADALHMGTINIVDDAAVEVEMEAALKEYDQIGSDLGSIPTSCKSLAEDPSWLTRWIIGEEKVQRV >ONI35910 pep chromosome:Prunus_persica_NCBIv2:G1:45714033:45721905:1 gene:PRUPE_1G560000 transcript:ONI35910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEISYSLCEYCTVSKQKQKSLSLASTPLSLPIPLNRISSSSSSFSSSSSRLIRKEMSREEERAAEMENENGVWEEEQCRLYEAYNELHGLAQAFDTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYDADAHSPLCRLLLPSNSDSHSDSDSEPHEKSLSLQEIQAYIEAENMRLEKEPCLFSAKEIIVRVDYKYCPNLTIIDTPGLIAPAPGPKNRALQVQARAVEALVRAKMQHKEFIILCLEDCSDWSNATTRRVVMQIDPELSRTVIVSTKLDTKIPQFARSSDVEVFLSPPTCMLDGCILGDSPFFTSVPSGRVGSGHDSVYRSNDEFKKAISLREMEDVASLEEKLGRSLSIQERSRIGVSKLRCFLEELLQKRYMDNVPLIIPLLEKEYRSATRKMNEINQELSTLDELKLKEKGRVFHDLFLTKLSLLLKGTVVAPPDKFGETLQDERTNGGAFVSSDGLQFPHKLIPNAGMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDLHDGTNYSRTACVIAVAKARDTFEPFLHQLGCRLLHILKRLLPISVYLLQKDGEYLSGHEVFLRRVASAFNDFAESTERACREKCMEDLVSTTRYVTWSLHNKNRAGLRQFLDSFAGTEHNTMGSNCVPAGISQDSSFGSVANEKDTKSRADVKLSHVASGIDVASSIQTTETRLADLLDSTLWNRRLAPSSERIVYALVQQIFHGIKEYFLASAELKFNCFLLMPVVDKLPALLREDLKSAFEDDLDNIFDITNLRHLLGQRKRDAEIELKRIKRLKDKFRSLHKHLSSHQAHSTAFSDHR >ONI27750 pep chromosome:Prunus_persica_NCBIv2:G1:8268395:8269973:-1 gene:PRUPE_1G103600 transcript:ONI27750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSGVVSRQVPCFSGAEIDTTRSSTASFHGEFRVQGRRNFGVSLRTRRFGGQLNSGFCDDGHVQYYHVGPRCGFKKEKEIKKKLKLLKGLSKDLSASSQMGFGPLDYQKGLVAQFQEKLISLRAEEKELKRKRKEEKARLKAERMKNMVDSESSSSSSSESSESECGELVDMNRLRSEAPAKPILDSLQPFNHQEGAVLTLPSSLAIATHQENTTVEHVTEFGISQNQEAECCSGTSTSCVSSSGSIGHNDALSSSVMGASALKIEVCMGNKCKKSGGGALLEEFERVMGVEGTVVGCKCMGKCKNGPNIRVSNTVGGIQSEGTDDSVRVPTNPLYIGVGLEDVSLIVANLIGEDNEDLGLVPAA >ONI27751 pep chromosome:Prunus_persica_NCBIv2:G1:8268238:8270137:-1 gene:PRUPE_1G103600 transcript:ONI27751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSGVVSRQVPCFSGAEIDTTRSSTASFHGEFRVQGRRNFGVSLRTRRFGGQLNSGFCDDGHVQYYHVGPRCGFKKEKEIKKKLKLLKGLSKDLSASSQMGFGPLDYQKGLVAQFQEKLISEDAEALLLKQLEQLRAEEKELKRKRKEEKARLKAERMKNMVDSESSSSSSSESSESECGELVDMNRLRSEAPAKPILDSLQPFNHQEGAVLTLPSSLAIATHQENTTVEHVTEFGISQNQEAECCSGTSTSCVSSSGSIGHNDALSSSVMGASALKIEVCMGNKCKKSGGGALLEEFERVMGVEGTVVGCKCMGKCKNGPNIRVSNTVGGIQSEGTDDSVRVPTNPLYIGVGLEDVSLIVANLIGEDNEDLGLVPAA >ONI33469 pep chromosome:Prunus_persica_NCBIv2:G1:36665090:36668018:-1 gene:PRUPE_1G426500 transcript:ONI33469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLKQKEQACTRPFCFFCTMKEPDSFKRRAGISACFKELPLGEDQGNVLVLSSLWQIAMTHPDDPEFPSLGIFECMAKLIFKGINNKCWLQTDQNIYIPYYAAHAIGSYTMNKAEFAEKAVASGVIPPLLDLLRGKMSWVEQRVAARALGHLASYERTSEAVAQYEEEVVRSAMKVASTCLHVVYMSFVGVKDKRKRQKYHCDLLTRGVGGLEMEICKAEEWASQLQCWSLNILNCFACKEKSLNLICKQDFLKQLCGMWGGLANRSSPAGVGLIRILCYSKYGRKKISESREVIESLCNISRSSDDWQYMGIDCLLLLLKDPDTRYKVIDIAALFLVDLVELRSLGDRSNLGETITKALLLANYKQGKLNNNRKIEKNLEELWNLKVERRKRERGLCQEKIEKRMVLVDVIKQEANHMFCLGEIEEAIVKYSEALELCPLGLRKERMVLYSNRAQCQLLMRDSDAAIRDSTRALCLSTPVNSHSKSLWRRSQAYDMKGLAKESLMDCLAFINGCIKSESSRRVKIPHYAARMISKQMEATWLFSTARSTMATRQDFQVMKQVQESEGKGNLCESGDDKQDYRDEIMRVMMEKKGLSTILEEPLIVKEESRRKMERTRRV >ONI36305 pep chromosome:Prunus_persica_NCBIv2:G1:47175805:47177811:1 gene:PRUPE_1G579900 transcript:ONI36305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEVGGAGGESGTSSPKSRVKFLCSHGGKILPRPADGQLKYVGGETRVVSLSRDISFSELMKKLSSLFDGDMVLKYQLMTEDLDALVSVKSNEDLKHMLDEYNRLENEGSSRLRTFLFPSKPIMIENQTAALEHQMMEQRYIDAINGIVRLWPKMQPQQQMILAPNMIIGSSPMASMSISSACSSPKSASQEQQLLLADMSMPAHRITVSPPMQKVHSSPSICSSSFNIQQTYQNHAQGRHPYLNNLQHHHANQSSFSARAHHRGIRTPPPLLSPGRLSPGRSESGTGRSSLMGTTSTAHVQNHYNNNHHHHHAASGNSSPRSMSSHHRVSGGGGGYYSKCCYHDECPPYACNRAESLPRSPR >ONI30664 pep chromosome:Prunus_persica_NCBIv2:G1:27360776:27363165:1 gene:PRUPE_1G265500 transcript:ONI30664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDFYNVLRVNRDATQEDLKKAYKRLAMRWHPDKNPVDNEGAEAKFKQVCQAYDVLSDPQRRQIYDIYGEEGLNYGDPDDIFAEFFGGSGDRDRVFKKKNSLNGSYGGGGGGKSKKLAAIESKLVCSLEDLYKGTRRKMRISRTVPDEFGKPKTVEEILKIDIKPGWKKGTKITFPDKGNQEPGVSPADLIFVVDEKPHGVFKRDGNDLVVTQELSLLEALTGTFVNLTTLDGRILMIPVRDIIKPGHEELIPNEGMPISKDPTKKGNLRIKFDVVFPSKLSVEQKSDLRRVLGRAD >ONI30663 pep chromosome:Prunus_persica_NCBIv2:G1:27359512:27363162:1 gene:PRUPE_1G265500 transcript:ONI30663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDFYNVLRVNRDATQEDLKKAYKRLAMRWHPDKNPVDNEGAEAKFKQVCQAYDVLSDPQRRQIYDIYGEEGLNYGDPDDIFAEFFGGSGDRDRVFKKKNSLNGSYGGGGGGKSKKLAAIESKLVCSLEDLYKGTRRKMRISRTVPDEFGKPKTVEEILKIDIKPGWKKGTKITFPDKGNQEPGVSPADLIFVVDEKPHGVFKRDGNDLVVTQELSLLEALTGTFVNLTTLDGRILMIPVRDIIKPGHEELIPNEGMPISKDPTKKGNLRIKFDVVFPSKLSVEQKSDLRRVLGRAD >ONI27504 pep chromosome:Prunus_persica_NCBIv2:G1:6816430:6817266:-1 gene:PRUPE_1G090500 transcript:ONI27504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPEGIVGKLESPLATLLYKMGQKLPKATAVAINSFEEVDPEVVNVLKSRFQKFLNVGPFSLIPSSPSPSPSPPPLLNDEGGCLEWLDTQKPASVAYISFGSVVTPPPHELAAFAEALIEGGFPFIWSFRGNIEEILPKGYDKMSLNGKILSWAPQVQVLGHSSTGVFVTHCGWNSILESIVGGVPMICRPFFGDQNLNMRTVEAAWGIGVEVEGGLITKPAAIKALELVLKQKEGEEIREKLKILQNLAQQAVEWNGSSPRAFNSLVEIVTHGRN >ONI34981 pep chromosome:Prunus_persica_NCBIv2:G1:41938191:41942055:-1 gene:PRUPE_1G508600 transcript:ONI34981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTATLQPSCSFPLRAPKTATRDRASSLSFVRISSRSISLSSKITNVKQPVRPVAAATVGDTTAVPNDCSSAEELSISDSSNATLVEDESRGSGEKGEEKGGVGGLDDHKMTQVCDKLIEVFLVDKPTPTDWRRLLAFSKEWDDIRPHFYKRCQDRADAEDDPGMKHKLLRLGRKLKEIDEDVQRHNELLKVVRGAPSEISEIVSRRRKDFTQEFFVHVHTVAESYYDNPTEQNGLASIGNTCLAAVQAYDSATESIEALNAAELKFQDIINSPSVDAACRKIDHLAEKSQLDSTLVMMITKAWSAAKESSMTKDEVKDVLYHLYVTARGNLQKLMPKEIRIIKYLLTIEDPEERLSALHDAFTPGEELEGKDVDNLFTTPEKLHTWIKAVVDAYHFSQEGTLIREARDLMNPKIIQKLEELKKLVKNKFL >ONI34982 pep chromosome:Prunus_persica_NCBIv2:G1:41938145:41942161:-1 gene:PRUPE_1G508600 transcript:ONI34982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTATLQPSCSFPLRAPKTATRDRASSLSFVRISSRSISLSSKITNGCLLPVKQPVRPVAAATVGDTTAVPNDCSSAEELSISDSSNATLVEDESRGSGEKGEEKGGVGGLDDHKMTQVCDKLIEVFLVDKPTPTDWRRLLAFSKEWDDIRPHFYKRCQDRADAEDDPGMKHKLLRLGRKLKEIDEDVQRHNELLKVVRGAPSEISEIVSRRRKDFTQEFFVHVHTVAESYYDNPTEQNGLASIGNTCLAAVQAYDSATESIEALNAAELKFQDIINSPSVDAACRKIDHLAEKSQLDSTLVMMITKAWSAAKESSMTKDEVKDVLYHLYVTARGNLQKLMPKEIRIIKYLLTIEDPEERLSALHDAFTPGEELEGKDVDNLFTTPEKLHTWIKAVVDAYHFSQEGTLIREARDLMNPKIIQKLEELKKLVKNKFL >ONI30767 pep chromosome:Prunus_persica_NCBIv2:G1:27854746:27856083:-1 gene:PRUPE_1G271700 transcript:ONI30767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSSRSRQSGTSRNISDEQITDLVSKLQQLLPEIRNRRSDKVSASKVLQETCNYIRNLHREVDDLSDRLSELLASTDMDSDQAAIIRSLLM >ONI28425 pep chromosome:Prunus_persica_NCBIv2:G1:11146975:11151227:-1 gene:PRUPE_1G142000 transcript:ONI28425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIACCFSGLPTPSIRPQGLVGHFPNLHCPSLKFAHLRTNFNTPMATTPFQVSASSSSSTIGAPDEEKEVASSGRVGANDLLIVGPGVLGRLVAQKWREEHPGCEIHGQTMTVDHHEELSKVGINPCLKGTKTTHKFPYVIFCAPPSRTSDYPGDVRLAALNWNGEGSFLFTSSSAPYDCNDNGPCDEDTPAVPIGRSPRTDVLLKAEKVVLEFGGVVLRLAGLYKSDRGAHVYWLHKGIVETRPDHVLNLIHYEDAASLSVAILKKRLRNRILLGCDNHPLSRQEVMDLVTKSGKFSGVFEAFTGTTDPLGKRLNNSKTREEIGWEPKYPSFSQFLESI >ONI28424 pep chromosome:Prunus_persica_NCBIv2:G1:11146975:11151184:-1 gene:PRUPE_1G142000 transcript:ONI28424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIACCFSGLPTPSIRPQGLVGHFPNLHCPSLKFAHLRTNFNTPMATTPFQVSASSSSSTIGAPDEEKEVASSGRVGANDLLIVGPGVLGRLVAQKWREEHPGCEIHGQTMTVDHHEELSKVGINPCLKGTKTTHKFPYVIFCAPPSRTSDYPGDVRLAALNWNGEGSFLFTSSSAPYDCNDNGPCDEDAASLSVAILKKRLRNRILLGCDNHPLSRQEVMDLVTKSGKFSGVFEAFTGTTDPLGKRLNNSKTREEIGWEPKYPSFSQFLESI >ONI28423 pep chromosome:Prunus_persica_NCBIv2:G1:11147451:11151134:-1 gene:PRUPE_1G142000 transcript:ONI28423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIACCFSGLPTPSIRPQGLVGHFPNLHCPSLKFAHLRTNFNTPMATTPFQVSASSSSSTIDEEKEVASSGRVGANDLLIVGPGVLGRLVAQKWREEHPGCEIHGQTMTVDHHEELSKVGINPCLKGTKTTHKFPYVIFCAPPSRTSDYPGDVRLAALNWNGEGSFLFTSSSAPYDCNDNGPCDEDTPAVPIGRSPRTDVLLKAEKVVLEFGGVVLRLAGLYKSDRGAHVYWLHKGIVETRPDHVLNLIHYEDAASLSVAILKKRLRNRILLGCDNHPLSRQEVMDLVTKSGKFSGVFEAFTGTTDPLGKRLNNSKTREEIGWEPKYPSFSQFLESI >ONI28422 pep chromosome:Prunus_persica_NCBIv2:G1:11146975:11151184:-1 gene:PRUPE_1G142000 transcript:ONI28422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIACCFSGLPTPSIRPQGLVGHFPNLHCPSLKFAHLRTNFNTPMATTPFQVSASSSSSTIDEEKEVASSGRVGANDLLIVGPGVLGRLVAQKWREEHPGCEIHGQTMTVDHHEELSKVGINPCLKGTKTTHKFPYVIFCAPPSRTSDYPGDVRLAALNWNGEGSFLFTSSSAPYDCNDNGPCDEDAASLSVAILKKRLRNRILLGCDNHPLSRQEVMDLVTKSGKFSGVFEAFTGTTDPLGKRLNNSKTREEIGWEPKYPSFSQFLESI >ONI28426 pep chromosome:Prunus_persica_NCBIv2:G1:11148794:11151134:-1 gene:PRUPE_1G142000 transcript:ONI28426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIACCFSGLPTPSIRPQGLVGHFPNLHCPSLKFAHLRTNFNTPMATTPFQVSASSSSSTIDEEKEVASSGRVGANDLLIVGPGVLGRLVAQKWREEHPGCEIHGQTMTVDHHEELSKVGINPCLKGTKTTHKFPYVIFCAPPSRTSDYPGDVRLAALNWNGEGSFLFTSSSAPYDCNDNGPCDEDTPAVPIGRSPRTDVLLKAEKVVLEFGGVVLRLAGLYKSDRGAHVYWLHKGIVETRPDHVLNLIHYEDAASLSVAILKKRLRNRILLGCDNHPLSRS >ONI30678 pep chromosome:Prunus_persica_NCBIv2:G1:27397636:27398118:1 gene:PRUPE_1G266200 transcript:ONI30678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTGITPSQVFGQSSRVPDINENSIREELERQYQSQIDDLKSHYESKLGDLQSKYEDLSSQIHLMKAHVGFQANPTESGSEQIPDASSLHQRRLSVSSEQQHQFDPEA >ONI31788 pep chromosome:Prunus_persica_NCBIv2:G1:31370947:31371869:-1 gene:PRUPE_1G330900 transcript:ONI31788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNKSMTRFLLFQLSLIIFLFFFTLHPIPTLGSPANDSDFIRTSCGTTLYPQVCYTSLSRYASAIQNNPAQLAKVAIGVSLAKARRMAAYVSDISRQADYGADPRSAAALHDCFSNFDEAVDQIHDSLTQMRQLSGPAGSGGGSFLFQMSNVQTWMSAALTDEETCTDGFEDVAEGPLKTDVTKRVENVKKVTSNALALVNSFAQKGPGAPTPR >ONI28252 pep chromosome:Prunus_persica_NCBIv2:G1:10620431:10628447:-1 gene:PRUPE_1G134400 transcript:ONI28252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMEATSSSASMASSFHEQERLDLKSIWAVVISVNSCIHKLISNAKARNSIRLRCTFKFSAQKEEFFEFSEQSVISNLYWGIDSIEAAIRAKCPEQKASLLKKAEQMLQVPALLDEHGVTAGFKNCCLVCCSYFYLSVVRKLQEDEWQVALHFLQAVLVFPRLVQTEFAPELCERIFASCTTNSGKQETRESRSLGSIFSAAYIEDDKDEAIRLMARVYRDWLMYYQVMLYGETTQLHCGYREMFSPDNESQYSMHGKSSSSGSSNTTEDEHSLHPHWNHQKVHPFDPQQDTGGGMEEELKTSIYIPEFEEYEKTTKDLDQATHFDVTNFLGSSSIKRLQDMLDDYQSDMRTSVDSCSEFSAYDNESEVMDDGECSMTTTRISADLPKSEICDWKLQDSVPKEAPEVNHSMLLGSRCPINLTLTISEHRDKKPNILRSCYVENECASQKNYKINQMDHQRSNSRRKPNLHTPKSFLEVSSYSAKDSKSELLGITEKAISKLLYLEGLGKWDEDCALEVTTIYELLGKKKGEKCAILKDMILDQLLAGISTSKEEMIIRASVSILTSIVAANKSAIEDIKKKGLQLSDLASALKRNVHEAAILFYLMNLSPAEIKSLEILPILAGVMCNSNSYMGRSESLPTPLTASLMIIEILVTAFDHCTNNMHLAEISSPKVLHGLIDVARTSNIEELISWATVLVKCIQYDGHCRRYISKQAPVAPFVHLLESNKKHAKFIALEFFHEVLCMPRSSAIIFLKRLHQEGSTNIMNSLMLCVQQMQPQYQLLAANLLLHLDTLDNTTCKSVFRDEAMQVILKSVASEEGSDTQLLSAFIVSNLGGTYSWTGEPYTIAWLVKKACLTSSYQRNMIKNIYWLDDCLEDAGTDSWCSKIARSLINIGNPVFHSLEKGLKSKLRRVSRDCLTAIAWLGFEIAKSPESIKFSACEILLSGVEEFLHPGMELEERVLACLCIYNYASGKGMKKLIHFSEGVRESLRRLSNVTWMAEELHKVADYVLPTLSRISCVHTQILEVFITCSGAVCALIYYMGFLYSGHSDGSIKVWNIKGQSATLVWDMKEHKKAVTCFSLFEPGDSLISGSLDKTIRVWQVVHRKLECIEVIATKEPIQHLNTYGQTIFATTNGHGIKVFDASRKVKDNCKNKKVKCLAVVQGKIYAGCKDSSIQEFSTTNNRAQEIKAATKFWKLQKKPINAIVTYKDWLYSASSVVEGSNLKEWRRHSKPQMSLKTGKRECIMAMGIVEDFIYLNCSSATNIIQIWLRGTQQKVGRISAGSRITSLLTANDIILCGTETGLIKGWIPL >ONI28253 pep chromosome:Prunus_persica_NCBIv2:G1:10621292:10628527:-1 gene:PRUPE_1G134400 transcript:ONI28253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMEATSSSASMASSFHEQERLDLKSIWAVVISVNSCIHKLISNAKARNSIRLRCTFKFSAQKEEFFEFSEQSVISNLYWGIDSIEAAIRAKCPEQKASLLKKAEQMLQVPALLDEHGVTAGFKNCCLVCCSYFYLSVVRKLQEDEWQVALHFLQAVLVFPRLVQTEFAPELCERIFASCTTNSGKQETRESRSLGSIFSAAYIEDDKDEAIRLMARVYRDWLMYYQVMLYGETTQLHCGYREMFSPDNESQYSMHGKSSSSGSSNTTEDEHSLHPHWNHQKVHPFDPQQDTGGGMEEELKTSIYIPEFEEYEKTTKDLDQATHFDVTNFLGSSSIKRLQDMLDDYQSDMRTSVDSCSEFSAYDNESEVMDDGECSMTTTRISADLPKSEICDWKLQDSVPKEAPEVNHSMLLGSRCPINLTLTISEHRDKKPNILRSCYVENECASQKNYKINQMDHQRSNSRRKPNLHTPKSFLEVSSYSAKDSKSELLGITEKAISKLLYLEGLGKWDEDCALEVTTIYELLGKKKGEKCAILKDMILDQLLAGISTSKEEMIIRASVSILTSIVAANKSAIEDIKKKGLQLSDLASALKRNVHEAAILFYLMNLSPAEIKSLEILPILAGVMCNSNSYMGRSESLPTPLTASLMIIEILVTAFDHCTNNMHLAEISSPKVLHGLIDVARTSNIEELISWATVLVKCIQYDGHCRRYISKQAPVAPFVHLLESNKKHAKFIALEFFHEVLCMPRSSAIIFLKRLHQEGSTNIMNSLMLCVQQMQPQYQLLAANLLLHLDTLDNTTCKSVFRDEAMQVILKSVASEEGSDTQLLSAFIVSNLGGTYSWTGEPYTIAWLVKKACLTSSYQRNMIKNIYWLDDCLEDAGTDSWCSKIARSLINIGNPVFHSLEKGLKSKLRRVSRDCLTAIAWLGFEIAKSPESIKFSACEILLSGVEEFLHPGMELEERVLACLCIYNYASGKGMKKLIHFSEGVRESLRRLSNVTWMAEELHKVADYVLPTLSRISCVHTQILEVFITCSGAVCALIYYMGFLYSGHSDGSIKVWNIKGQSATLVWDMKEHKKAVTCFSLFEPGDSLISGSLDKTIRVWQVVHRKLECIEVIATKEPIQHLNTYGQTIFATTNGHGIKVFDASRKVKDNCKNKKVKCLAVVQGKIYAGCKDSSIQEFSTTNNRAQEIKAATKFWKLQKKPINAIVTYKDWLYSASSVVEGSNLKEWRRHSKPQMSLKTGKRECIMAMGIVEDFIYLNCSSATNIIQIWLRGTQQKVGRISAGSRITSLLTANDIILCGTETGLIKGWIPL >ONI28254 pep chromosome:Prunus_persica_NCBIv2:G1:10621727:10628333:-1 gene:PRUPE_1G134400 transcript:ONI28254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMEATSSSASMASSFHEQERLDLKSIWAVVISVNSCIHKLISNAKARNSIRLRCTFKFSAQKEEFFEFSEQSVISNLYWGIDSIEAAIRAKCPEQKASLLKKAEQMLQVPALLDEHGVTAGFKNCCLVCCSYFYLSVVRKLQEDEWQVALHFLQAVLVFPRLVQTEFAPELCERIFASCTTNSGKQETRESRSLGSIFSAAYIEDDKDEAIRLMARVYRDWLMYYQVMLYGETTQLHCGYREMFSPDNESQYSMHGKSSSSGSSNTTEDEHSLHPHWNHQKVHPFDPQQDTGGGMEEELKTSIYIPEFEEYEKTTKDLDQATHFDVTNFLGSSSIKRLQDMLDDYQSDMRTSVDSCSEFSAYDNESEVMDDGECSMTTTRISADLPKSEICDWKLQDSVPKEAPEVNHSMLLGSRCPINLTLTISEHRDKKPNILRSCYVENECASQKNYKINQMDHQRSNSRRKPNLHTPKSFLEVSSYSAKDSKSELLGITEKAISKLLYLEGLGKWDEDCALEVTTIYELLGKKKGEKCAILKDMILDQLLAGISTSKEEMIIRASVSILTSIVAANKSAIEDIKKKGLQLSDLASALKRNVHEAAILFYLMNLSPAEIKSLEILPILAGVMCNSNSYMGRSESLPTPLTASLMIIEILVTAFDHCTNNMHLAEISSPKVLHGLIDVARTSNIEELISWATVLVKCIQYDGHCRRYISKQAPVAPFVHLLESNKKHAKFIALEFFHEVLCMPRSSAIIFLKRLHQEGSTNIMNSLMLCVQQMQPQYQLLAANLLLHLDTLDNTTCKSVFRDEAMQVILKSVASEEGSDTQLLSAFIVSNLGGTYSWTGEPYTIAWLVKKACLTSSYQRNMIKNIYWLDDCLEDAGTDSWCSKIARSLINIGNPVFHSLEKGLKSKLRRVSRDCLTAIAWLGFEIAKSPESIKFSACEILLSGVEEFLHPGMELEERVLACLCIYNYASGKGMKKLIHFSEGVRESLRRLSNVTWMAEELHKVADYVLPTLSQRISCVHTQILEVFITCSGAVCALIYYMGFLYSGHSDGSIKVWNIKGQSATLVWDMKEHKKAVTCFSLFEPGDSLISGSLDKTIRVWQVVHRKLECIEVIATKEPIQHLNTYGQTIFATTNGHGIKVFDASRKVKDNCKNKKVKCLAVVQGKIYAGCKDSSIQEFSTTNNRAQEIKAATKFWKLQKKPINAIVTYKDWLYSASSVVEGSNLKEWRRHSKPQMSLKTGKRECIMAMGIVEDFIYLNCSSATNIIQIWLRGTQQKVGRISAGSRITSLLTANDIILCGTETGLIKGWIPL >ONI28255 pep chromosome:Prunus_persica_NCBIv2:G1:10621727:10628333:-1 gene:PRUPE_1G134400 transcript:ONI28255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMEATSSSASMASSFHEQERLDLKSIWAVVISVNSCIHKLISNAKARNSIRLRCTFKFSAQKEEFFEFSEQSVISNLYWGIDSIEAAIRAKCPEQKASLLKKAEQMLQVPALLDEHGVTAGFKNCCLVCCSYFYLSVVRKLQEDEWQVALHFLQAVLVFPRLVQTEFAPELCERIFASCTTNSGKQETRESRSLGSIFSAAYIEDDKDEAIRLMARVYRDWLMYYQVMLYGETTQLHCGYREMFSPDNESQYSMHGKSSSSGSSNTTEDEHSLHPHWNHQKVHPFDPQQDTGGGMEEELKTSIYIPEFEEYEKTTKDLDQATHFDVTNFLGSSSIKRLQDMLDDYQSDMRTSVDSCSEFSAYDNESEVMDDGECSMTTTRISADLPKSEICDWKLQDSVPKEAPEVNHSMLLGSRCPINLTLTISEHRDKKPNILRSCYVENECASQKNYKINQMDHQRSNSRRKPNLHTPKSFLEVSSYSAKDSKSELLGITEKAISKLLYLEGLGKWDEDCALEVTTIYELLGKKKGEKCAILKDMILDQLLAGISTSKEEMIIRASVSILTSIVAANKSAIEDIKKKGLQLSDLASALKRNVHEAAILFYLMNLSPAEIKSLEILPILAGVMCNSNSYMGRSESLPTPLTASLMIIEILVTAFDHCTNNMHLAEISSPKVLHGLIDVARTSNIEELISWATVLVKCIQYDGHCRRYISKQAPVAPFVHLLESNKKHAKFIALEFFHEVLCMPRSSAIIFLKRLHQEGSTNIMNSLMLCVQQMQPQYQLLAANLLLHLDTLDNTTCKSVFRDEAMQVILKSVASEEGSDTQLLSAFIVSNLGGTYSWTGEPYTIAWLVKKACLTSSYQRNMIKNIYWLDDCLEDAGTDSWCSKIARSLINIGNPVFHSLEKGLKSKLRRVSRDCLTAIAWLGFEIAKSPESIKFSACEILLSGVEEFLHPGMELEERVLACLCIYNYASGKGMKKLIHFSEGVRESLRRLSNVTWMAEELHKVADYVLPTLSQRISCVHTQILEVFITCSGAVCALIYYMGFLYSGHSDGSIKVWNIKGQSATLVWDMKEHKKAVTCFSLFEPGDSLISGSLDKTIRVWQVVHRKLECIEVIATKEPIQHLNTYGQTIFATTNGHGIKVFDASRKVKDNCKNKKVKCLAVVQGKIYAGCKDSSIQEFSTTNNRAQEIKAATKFWKLQKKPINAIVTYKDWLYSASSVVEGSNLKEWRRHSKPQMSLKTGKRECIMAMGIVEDFIYLNCSSATNIIQIWLRGTQQKVGRISAGSRITSLLTANDIILCGTETGLIKGWIPL >ONI26961 pep chromosome:Prunus_persica_NCBIv2:G1:4162736:4163242:1 gene:PRUPE_1G058600 transcript:ONI26961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKVLLNFVLLQSKEFQKKKRTVFLQEPKMSPPIRNVVMALLVVLLITSTEADVQIPRTKRLRITNSLASKVDMKVHCKSGAHDLGEQIVRPTESYEFRFKTNVMGTSLFFCSFQWGNEFHYFDVYKNGRDDCSKCFWTIVEKGPCLYGVQGVCHEWNKN >ONI27639 pep chromosome:Prunus_persica_NCBIv2:G1:7795392:7797369:-1 gene:PRUPE_1G097600 transcript:ONI27639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSTSLKQEFLKKWIKGLQVCNSSSEKKMTIMERKKAIKMSADIAMASTRNGTTCWSRAVIANSTQSNRVVVERILGPHKPSRSVSMVMHKKILKKSRRVCSSRSRRVLMRKRDVAKTRSIAKRLVWKKTRVLKSLVPGGEFMEDEMCLIAETLDYIVSLRAQVDVMRCLATTTEFINGK >ONI26250 pep chromosome:Prunus_persica_NCBIv2:G1:964946:966234:1 gene:PRUPE_1G012700 transcript:ONI26250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERRWEDLQMDCLVKVLVKVGLESLLLDVPFVCKSWYMPTLNPSCWQSLIFPDNECIEVWPWDVSECPNFQNLMDRFASEYQIDGDRCSVTAFLKFVINRSSGNATVLKLPKCCTVEAFEFAANACPGLVTLSLPGDVLDNKHTNLELIGNWKNLEVLSLGSCLNLAKILVIIQTHCKNLYGLDLSKGSVHGREALSIVKLVPNIKYLNLKGAKVRRDSLVTLLCGCKDLVMLDARDCSGFNENDDELSKLASHISKFMCEGSEFPEFLCGMDNFVLPVVGYSFQQLVEENWDEMLNDLRDAFNDLSDEE >ONI26249 pep chromosome:Prunus_persica_NCBIv2:G1:964699:966234:1 gene:PRUPE_1G012700 transcript:ONI26249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERRWEDLQMDCLVKVLVKVGLESLLLDVPFVCKSWYMPTLNPSCWQSLIFPDNECIEVWPWDVSECPNFQNLMDRFASEYQIDGDRCSVTAFLKFVINRSSGNATVLKLPKCCTVEAFEFAANACPGLVTLSLPGDVLDNKHTNLELIGNWKNLEVLSLGSCLNLAKILVIIQTHCKNLYGLDLSKGSVHGREALSIVKLVPNIKYLNLKGAKVRRDSLVTLLCGCKDLVMLDARDCSGFNENDDELSKLASHISKFMCEGSEFPEFLCGMDNFVLPVVGYSFQQLVEENWDEMLNDLRDAFNDLSDEE >ONI31755 pep chromosome:Prunus_persica_NCBIv2:G1:31266012:31268447:1 gene:PRUPE_1G329100 transcript:ONI31755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEEMMMMTSSSNLFENSSSYGMPLPLSSIEEEEEEEEEEESTISVSDDDDDDAESLFSIDFNMNHNNMHNSYKKEEEDDREVCYYHYYVAVGRKSESSMDALLWTVNHAATPQSSSTSLVNVVIVHVFPPLQFIPSPLGMLPKSKVSPKMVDKYMVQERDRRRKLLEKYVDACSAAKVKVDVMLIESDTVSKAILDLIPTQNIKTLVVGTTNSSMRKLRSKKGSGIASQILRNAPETSCEIRIICKGKEVMDQDHTITGSISSRSSNANSLSTQEEDDNQELPISPDYNKQGLHYTLPAVKSPSAIPDTTTSAPLLSLNGYKAMWRGKLKPKKQLDFAV >ONI35582 pep chromosome:Prunus_persica_NCBIv2:G1:44476173:44484382:-1 gene:PRUPE_1G544200 transcript:ONI35582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESSESKPDFRIGQRVHSSGDPRRIGTVRYVGPVQGYSGTWVGVDWDNGEGKHDGTINGVQYFQARSEQSGSFVRSQNLSSGISLLQALQLRYRGDTTKEEEDEMYVLSASNKRVNVQLVGKEKIQDKLSRLEELTSASVSYLGVSSPGVPCDISTNVPNLKELDLTGNLISEWKDVSTICEQLADLFALNLSYNLMAHDMVGLPHLKRIRILVLNNIGINWTQVEILKQSLPEIEELHLMGNKISTIEPASSFAVLGFDYLRLLNLEDNCIADWNEILKLSQLRSLEQLHLSNNSLIRVFYPDDGMMHELLNGYDSCEESHKPFQNLRCLLLGGNNIEDLASIDSLNSFPQLVDIRLSENPVADPGQGGIPRFVLVARLAKVEMLNGSEVSSRERKESEIRYVRLVMSKMQGNSKELQWLHPRFAELKGFHGIEDEKPLVGAAGPQKMASGLLSITLKCVGASIGEKPSLTKKLPGATTVGKLKILCESFFKLKSIKLKLFLQEEGSPLPMLLDDEMATLTDLGIGNESTILVDEES >ONI35587 pep chromosome:Prunus_persica_NCBIv2:G1:44477747:44485481:-1 gene:PRUPE_1G544200 transcript:ONI35587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESSESKPDFRIGQRVHSSGDPRRIGTVRYVGPVQGYSGTWVGVDWDNGEGKHDGTINGVQYFQARSEQSGSFVRSQNLSSGISLLQALQLRYRGDTTKEEEDEMYVLSASNKRVNVQLVGKEKIQDKLSRLEELTSASVSYLGVSSPGVPCDISTNVPNLKELDLTGNLISEWKDVSTICEQLADLFALNLSYNLMAHDMVGLPHLKRIRILVLNNIGINWTQVEILKQSLPEIEELHLMGNKISTIEPASSFAVLGFDYLRLLNLEDNCIADWNEILKLSQLRSLEQLHLSNNSLIRVFYPDDGMMHELLNGYDSCEESHKPFQNLRCLLLGGNNIEDLASIDSLNSFPQLVDIRLSENPVADPGQGGIPRFVLVARLAKVEMLNGSEVSSRERKESEIRYASFFSCSSFSLVYHHKCTLLCRAFRFL >ONI35586 pep chromosome:Prunus_persica_NCBIv2:G1:44475393:44485510:-1 gene:PRUPE_1G544200 transcript:ONI35586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESSESKPDFRIGQRVHSSGDPRRIGTVRYVGPVQGYSGTWVGVDWDNGEGKHDGTINGVQYFQARSEQSGSFVRSQNLSSGISLLQALQLRYRGDTTKEEEDEMYVLSASNKRVNVQLVGKEKIQDKLSRLEELTSASVSYLGVSSPGVPCDISTNVPNLKELDLTGNLISEWKDVSTICEQLADLFALNLSYNLMAHDMVGLPHLKRIRILVLNNIGINWTQVEILKQSLPEIEELHLMGNKISTIEPASSFAVLGFDYLRLLNLEDNCIADWNEILKLSQLRSLEQLHLSNNSLIRVFYPDDGMMHELLNGYDSCEESHKPFQNLRCLLLGGNNIEDLASIDSLNSFPQLVDIRLSENPVADPGQGGIPRFVLVARLAKVEMLNGSEVSSRERKESEIRYVRLVMSKMQGNSKELQWLHPRFAELKGFHGIEDEKPLVGAAGPQKMASGLLSITLKCVGASIGEKPSLTKKLPGATTVGKLKILCESFFKLKSIKLKLFLQEEGSPLPMLLDDEMATLTDLGIGNESTILVDEES >ONI35585 pep chromosome:Prunus_persica_NCBIv2:G1:44475228:44485510:-1 gene:PRUPE_1G544200 transcript:ONI35585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESSESKPDFRIGQRVHSSGDPRRIGTVRYVGPVQGYSGTWVGVDWDNGEGKHDGTINGVQYFQARSEQSGSFVRSQNLSSGISLLQALQLRYRGDTTKEEEDEMYVLSASNKRVNVQLVGKEKIQDKLSRLEELTSASVSYLGVSSPGVPCDISTNVPNLKELDLTGNLISEWKDVSTICEQLADLFALNLSYNLMAHDMVGLPHLKRIRILVLNNIGINWTQVEILKQSLPEIEELHLMGNKISTIEPASSFAVLGFDYLRLLNLEDNCIADWNEILKLSQLRSLEQLHLSNNSLIRVFYPDDGMMHELLNGYDSCEESHKPFQNLRCLLLGGNNIEDLASIDSLNSFPQLVDIRLSENPVADPGQGGIPRFVLVARLAKVEMLNGSEVSSRERKESEIRYVRLVMSKMQGNSKELQWLHPRFAELKGFHGIEDEKPLVGAAGPQKMASGLLSITLKCVGASIGEKPSLTKKLPGATTVGKLKILCESFFKLKSIKLKLFLQEEGSPLPMLLDDEMATLTDLGIGNESTILVDEES >ONI35584 pep chromosome:Prunus_persica_NCBIv2:G1:44475393:44485481:-1 gene:PRUPE_1G544200 transcript:ONI35584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESSESKPDFRIGQRVHSSGDPRRIGTVRYVGPVQGYSGTWVGVDWDNGEGKHDGTINGVQYFQARSEQSGSFVRSQNLSSGISLLQALQLRYRGDTTKEEEDEMYVLSASNKRVNVQLVGKEKIQDKLSRLEELTSASVSYLGVSSPGVPCDISTNVPNLKELDLTGNLISEWKDVSTICEQLADLFALNLSYNLMAHDMVGLPHLKRIRILVLNNIGINWTQVEILKQSLPEIEELHLMGNKISTIEPASSFAVLGFDYLRLLNLEDNCIADWNEILKLSQLRSLEQLHLSNNSLIRVFYPDDGMMHELLNGYDSCEESHKPFQNLRCLLLGGNNIEDLASIDSLNSFPQLVDIRLSENPVADPGQGGIPRFVLVARLAKVEMLNGSEVSSRERKESEIRYVRLVMSKMQGNSKELQWLHPRFAELKGFHGIEDEKPLVGAAGPQKMASGLLSITLKCVGASIGEKPSLTKKLPGATTVGKLKILCESFFKLKSIKLKLFLQEEGSPLPMLLDDEMATLTDLGIGNESTILVDEES >ONI35583 pep chromosome:Prunus_persica_NCBIv2:G1:44476173:44484382:-1 gene:PRUPE_1G544200 transcript:ONI35583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESSESKPDFRIGQRVHSSGDPRRIGTVRYVGPVQGYSGTWVGVDWDNGEGKHDGTINGVQYFQARSEQSGSFVRSQNLSSGISLLQALQLRYRGDTTKEEEDEMYVLSASNKRVNVQLVGKEKIQDKLSRLEELTSASVSYLGVSSPGVPCDISTNVPNLKELDLTGNLISEWKDVSTICEQLADLFALNLSYNLMAHDMVGLPHLKRIRILVLNNIGINWTQVEILKQSLPEIEELHLMGNKISTIEPASSFAVLGFDYLRLLNLEDNCIADWNEILKLSQLRSLEQLHLSNNSLIRVFYPDDGMMHELLNGYDSCEESHKPFQNLRCLLLGGNNIEDLASIDSLNSFPQLVDIRLSENPVADPGQGGIPRFVLVARLAKVEMLNGSEVSSRERKESEIRYVRLVMSKMQGNSKELQWLHPRFAELKGFHGIEDEKPLVGAAGPQKMASGLLSITLKCVGASIGEKPSLTKKLPGATTVGKLKILCESFFKLKSIKLKLFLQEEGSPLPMLLDDEMATLTDLGIGNESTILVDEES >ONI29201 pep chromosome:Prunus_persica_NCBIv2:G1:17072732:17080537:1 gene:PRUPE_1G186900 transcript:ONI29201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAPGQLNLNEVPSWGSRSVDCFEKLEQIGEGTYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVINLKEIVTSPGPEKDDQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQHTRLPPIQQSGQAHSQMRPGPNQPIHGSQPPVAAGPSHHYGKPRGPSGGPSRYPPGGNPGGGYNHPNRGGQGGGGGYSSGPYPTQGRGGPYGSSGMPGAGPRGGGSGYGVGGPNYPQNGPYGGSTAGRGSNMMGGNRNQQYGWQQ >ONI29204 pep chromosome:Prunus_persica_NCBIv2:G1:17073467:17080041:1 gene:PRUPE_1G186900 transcript:ONI29204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKKRRLLREIGANWRGNLWVSYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVINLKEIVTSPGPEKDDQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPIFPGKDERISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQHTRLPPIQQSGQAHSQMRPGPNQPIHGSQPPVAAGPSHHYGKPRGPSGGPSRYPPGGNPGGGYNHPNRGGQGGGGGYSSGPYPTQGRGGPYGSSGMPGAGPRGGGSGYGVGGPNYPQNGPYGGSTAGRGSNMMGGNRNQQYGWQQ >ONI29203 pep chromosome:Prunus_persica_NCBIv2:G1:17073467:17080041:1 gene:PRUPE_1G186900 transcript:ONI29203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKKRRLLREIGANWRGNLWVSYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVINLKEIVTSPGPEKDDQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQHTRLPPIQQSGQAHSQMRPGPNQPIHGSQPPVAAGPSHHYGKPRGPSGGPSRYPPGGNPGGGYNHPNRGGQGGGGGYSSGPYPTQGRGGPYGSSGMPGAGPRGGGSGYGVGGPNYPQNGPYGGSTAGRGSNMMGGNRNQQYGWQQ >ONI29202 pep chromosome:Prunus_persica_NCBIv2:G1:17072732:17080537:1 gene:PRUPE_1G186900 transcript:ONI29202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAPGQLNLNEVPSWGSRSVDCFEKLEQIGEGTYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVINLKEIVTSPGPEKDDQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPIFPGKDERISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQHTRLPPIQQSGQAHSQMRPGPNQPIHGSQPPVAAGPSHHYGKPRGPSGGPSRYPPGGNPGGGYNHPNRGGQGGGGGYSSGPYPTQGRGGPYGSSGMPGAGPRGGGSGYGVGGPNYPQNGPYGGSTAGRGSNMMGGNRNQQYGWQQ >ONI29205 pep chromosome:Prunus_persica_NCBIv2:G1:17072732:17080537:1 gene:PRUPE_1G186900 transcript:ONI29205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVINLKEIVTSPGPEKDDQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQHTRLPPIQQSGQAHSQMRPGPNQPIHGSQPPVAAGPSHHYGKPRGPSGGPSRYPPGGNPGGGYNHPNRGGQGGGGGYSSGPYPTQGRGGPYGSSGMPGAGPRGGGSGYGVGGPNYPQNGPYGGSTAGRGSNMMGGNRNQQYGWQQ >ONI35547 pep chromosome:Prunus_persica_NCBIv2:G1:44274797:44281262:-1 gene:PRUPE_1G542000 transcript:ONI35547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPEADRHRARARPAVRTRVPLRQLLRVASVACGIQFGWALQLSLLTPYVQELGIPHAWASVIWLCGPLSGLVVQPLVGHMSDRCNSRFGRRRPFIVIGAACIAVAVLIIGYSADIGYLLGDRGGGVRPRAIGVFVFGFWILDVANNVTQGPCRALLADLTAKDYRRTRVANAYFSLFMAVGNVLGYATGSFSYLYKVFPFSITSACNINCANLKSAFLVDIAFIVITTCVSISAAQELPLSSSNSTTPFSEEGPGQSSHAEEAFLWELFGTFRYFSGSIWIILLVIALNWIGWFPFLLFDTDWMGREIYGGKPNEGVNYSTGVRMGALGLMLNSVVLGITSVLMEKLCRKWGAGFVWGISNFLMTLCFLTMLVITYVNKGIGIVGRDSPPDGIVIAALVVFAILGVPLAITYSVPYALISSRIESLGLGQGLSMGVLNLAIVIPQVVVSLGSGPWDQLFGGGNSPAFVVAAVAALAAGLLAILAIPRSAPQKPRAFT >ONI35546 pep chromosome:Prunus_persica_NCBIv2:G1:44273773:44281717:-1 gene:PRUPE_1G542000 transcript:ONI35546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPEADRHRARARPAVRTRVPLRQLLRVASVACGIQFGWALQLSLLTPYVQELGIPHAWASVIWLCGPLSGLVVQPLVGHMSDRCNSRFGRRRPFIVIGAACIAVAVLIIGYSADIGYLLGDRGGGVRPRAIGVFVFGFWILDVANNVTQGPCRALLADLTAKDYRRTRVANAYFSLFMAVGNVLGYATGSFSYLYKVFPFSITSACNINCANLKSAFLVDIAFIVITTCVSISAAQELPLSSSNSTTPFSEEGPGQSSHAEEAFLWELFGTFRYFSGSIWIILLVIALNWIGWFPFLLFDTDWMGREIYGGKPNEGVNYSTGVRMGALGLMLNSVVLGITSVLMEKLCRKWGAGFVWGISNFLMTLCFLTMLVITYVNKGIGIVGRDSPPDGIVIAALVVFAILGVPLAITYSVPYALISSRIESLGLGQGLSMGVLNLAIVIPQVVVSLGSGPWDQLFGGGNSPAFVVAAVAALAAGLLAILAIPRSAPQKPRAFT >ONI35545 pep chromosome:Prunus_persica_NCBIv2:G1:44274707:44281324:-1 gene:PRUPE_1G542000 transcript:ONI35545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPEADRHRARARPAVRTRVPLRQLLRVASVACGIQFGWALQLSLLTPYVQELGIPHAWASVIWLCGPLSGLVVQPLVGHMSDRCNSRFGRRRPFIVIGAACIAVAVLIIGYSADIGYLLGDRGGGVRPRAIGVFVFGFWILDVANNVTQGPCRALLADLTAKDYRRTRVANAYFSLFMAVGNVLGYATGSFSYLYKVFPFSITSACNINCANLKSAFLVDIAFIVITTCVSISAAQELPLSSSNSTTPFSEEGPGQSSHAEEAFLWELFGTFRYFSGSIWIILLVIALNWIGWFPFLLFDTDWMGREIYGGKPNEGVNYSTGVRMGALGLMLNSVVLGITSVLMEKLCRKWGAGFVWGISNFLMTLCFLTMLVITYVNKGIGIVGRDSPPDGIVIAALVVFAILGVPLAVVVSLGSGPWDQLFGGGNSPAFVVAAVAALAAGLLAILAIPRSAPQKPRAFT >ONI26641 pep chromosome:Prunus_persica_NCBIv2:G1:2569860:2571730:1 gene:PRUPE_1G036900 transcript:ONI26641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMISGYTANGDRLQQLKAFDESKSGVKGIVDKGITKVPPIFVRPPEFCGGDKPNSGHRTRTQFSVPVVDLADTVGQRADVVAGVRRAAETVGFFQVVNHGIPKRVLDEMLAAARGFHELPREVKSEYYSRELLRKVKFGSNFDLYQSRFANWRDSLFCVMSPEPLDPQELPLICRDITMEYSENVHKMGVTLFELLSEALGLKPDHLIGLDCAKGHLVLSHYYPPCPEPELTMGTSKHSDPDFLTILLQDQIGGLQVLYENQWIDVPPVPGALVVNIGDLLQLVSNDRFKSVEHQVLANHAGPRVSIACFFTLHLHPSTRLYGPIKELLSEDRPPVYKETSLKDFIAYYDEKGLDGNSALTYFKCQR >ONI26642 pep chromosome:Prunus_persica_NCBIv2:G1:2570560:2575615:1 gene:PRUPE_1G036900 transcript:ONI26642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSENVHKMGVTLFELLSEALGLKPDHLIGLDCAKGHLVLSHYYPPCPEPELTMGTSKHSDPDFLTILLQDQIGGLQVLYENQWIDVPPVPGALVVNIGDLLQLVSNDRFKSVEHQVLANHAGPRVSIACFFTLHLHPSTRLYGPIKELLSEDRPPVYKETSLKDFIAYYDEKGLDGNSALTYFKCQSPSPTAKGL >ONI26640 pep chromosome:Prunus_persica_NCBIv2:G1:2566976:2571731:1 gene:PRUPE_1G036900 transcript:ONI26640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTAGRSSKLQRRRSPSSGHHFRRPRKNRCMKMISGYTANGDRLQQLKAFDESKSGVKGIVDKGITKVPPIFVRPPEFCGGDKPNSGHRTRTQFSVPVVDLADTVGQRADVVAGVRRAAETVGFFQVVNHGIPKRVLDEMLAAARGFHELPREVKSEYYSRELLRKVKFGSNFDLYQSRFANWRDSLFCVMSPEPLDPQELPLICRDITMEYSENVHKMGVTLFELLSEALGLKPDHLIGLDCAKGHLVLSHYYPPCPEPELTMGTSKHSDPDFLTILLQDQIGGLQVLYENQWIDVPPVPGALVVNIGDLLQLVSNDRFKSVEHQVLANHAGPRVSIACFFTLHLHPSTRLYGPIKELLSEDRPPVYKETSLKDFIAYYDEKGLDGNSALTYFKCQR >ONI26644 pep chromosome:Prunus_persica_NCBIv2:G1:2574041:2575428:1 gene:PRUPE_1G036900 transcript:ONI26644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGIPKRVLEEMLAAARGFHELLTEVKAEYYSREQMRKVKFRSNFDLYQTKFASWRDSLFCVMGPEPLDPKELPDVCRDATMEYSDYVHKLGVTLFELLSEALGLKSDHLLGLDCAKGHVILSHYYPPCPEPELTMGTTKHSDPSFLTILLQDQIGGLQVLYENQWVDVPPVPGALVVNIGDLLQLVSNDRFKSVEHQVLANHAGPRVSVACFFTLNFYPSTRLYGPIKELLSEDHPPLYRETSLQNFSAHYDGKGLDGNSALTRFKWQRQQ >ONI26643 pep chromosome:Prunus_persica_NCBIv2:G1:2573454:2575996:1 gene:PRUPE_1G036900 transcript:ONI26643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNSTNQARLQQLKDFDESKAGVKGIVDKGITKIPSIFVRPKEYSAAGDPSSSQPTRTQFSIPIVDLADTTGLHDDVVAGVRQAAETVGFFQVMNHGIPKRVLEEMLAAARGFHELLTEVKAEYYSREQMRKVKFRSNFDLYQTKFASWRDSLFCVMGPEPLDPKELPDVCRDATMEYSDYVHKLGVTLFELLSEALGLKSDHLLGLDCAKGHVILSHYYPPCPEPELTMGTTKHSDPSFLTILLQDQIGGLQVLYENQWVDVPPVPGALVVNIGDLLQLVSNDRFKSVEHQVLANHAGPRVSVACFFTLNFYPSTRLYGPIKELLSEDHPPLYRETSLQNFSAHYDGKGLDGNSALTRFKWQRQQ >ONI29454 pep chromosome:Prunus_persica_NCBIv2:G1:19176131:19179422:-1 gene:PRUPE_1G199100 transcript:ONI29454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIFFIILSFFLVPTRTQEKGFISVVISSKGLEFSKDLLIEQAVSSIIPLQLPQIEKSVKIPLVGRVHVTGDSGIALIASGATANLSMDWWYSYSSWLFDISDNGDASIQVEGMEVGVTLVLNGQEGTLKLSVLECGCYVKDISIKLDGGASWLYQGLVDAFEGQIVSAVEDNISKKIREGIMKLDSLLKSLPKQIALDDIAALNVTFVGNPVLSNSSIEFHINGLFMAPNDDFASSLYNKGSLDHVLFNAPAKMVEISLHENVFSSVSLVFFNENYMQWVINKTPDQSLLNTAGWKYIVPQLYKQYPNEDMELNISVSSPPIMTAVNNGINITVYSDVTISVMDADEVIPVACISLENHASCFPDILINKLAGTIKLNHVTAYLKWSKIGNLHMHLVQAVLSTILKTVVVPYVNLHLWKGLPLRLPHGFTLHNSEIFSINLRLMIFSDVAIAEQSPTSVSRPLISIR >ONI32838 pep chromosome:Prunus_persica_NCBIv2:G1:34783633:34787044:-1 gene:PRUPE_1G389500 transcript:ONI32838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTDFFAGEIATELLKTLLSISRKSCLSKGTAESLIASINVLLPIIQEIKFSGVELPAARQFQLDRFSEVLKQGLELANKVLASPRWNVYKNLLLARKMEKLENTVSKFMQGPLQAHILADVHQMRIETAQRFDRFEGNQRLEQRLGALKIGTCSGGGGGGGWMEESVRRVEEEGKMKWEISNSLVLGLGLGMKKVKEMIFGREDLRVVGICGIGGSGKTTLARELCKDDQVRSYFQERILFLTVSQSPNVEHLKAKIVGFIMGNQMLSPTSVLPQWNLQYEWLNVTKTLVVLDDVWSLAELEPLLFKIPGCKILVVSRFKFPKVIDATYDVELLKEDEALSLFCHSAFGQKSVPPAADKNLVKQIVNECKRLPLALKVIGASLRDQPEWYWANARSRLSRGEAICESHENNLLQRMAISVNCLPRKVKECFLDLGAFPEDKKIPLDLLTNMWVETHGIDEEGALAILAELSDKNLLTLVKDARDGDIYSSCYDISVTQHDVLRDLALHLSDDDCVNNRSRLLMARREEELPREWDRYSDQPFNARIVSIHTGEMKEMDWFQMEFPKCEVLILNFASNEYLLPPFIANMPKLRALIIMNYSTSNATLHGFSVLSILPKLRTLWLEKVSVPQLSTTPLKNLRKFSIILCKISNSLDQSAFPLLLEVTIDHCDDLFMLPSCICEMHLLKNLSITNCHSLYQLPTDLDKLKSLQILRLYACPALQTLPAGICELLCLKYLDISQCVNLRCLPIGIGKLANLEKIDMRECSQIRNLPKSATTLHSLRRVVCDEEMSGLWRDAEKALPDLLVQAAEKHFDLDWLDE >ONI32106 pep chromosome:Prunus_persica_NCBIv2:G1:32542711:32544515:1 gene:PRUPE_1G348600 transcript:ONI32106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVLIRFGHDWDETCMQMDEVLASVAETLKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ONI32103 pep chromosome:Prunus_persica_NCBIv2:G1:32542666:32544515:1 gene:PRUPE_1G348600 transcript:ONI32103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERVVVIRFGHDWDDTCMQMDEVLASVAETLKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ONI32105 pep chromosome:Prunus_persica_NCBIv2:G1:32542711:32544434:1 gene:PRUPE_1G348600 transcript:ONI32105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVLIRFGHDWDETCMQMDEVLASVAETLKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ONI32107 pep chromosome:Prunus_persica_NCBIv2:G1:32542711:32544434:1 gene:PRUPE_1G348600 transcript:ONI32107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVLIRFGHDWDETCMQMDEVLASVAETLKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ONI32104 pep chromosome:Prunus_persica_NCBIv2:G1:32542711:32544434:1 gene:PRUPE_1G348600 transcript:ONI32104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVLIRFGHDWDETCMQGLFHSYTLKTWYKSFTFDLCLQIEMDEVLASVAETLKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ONI26226 pep chromosome:Prunus_persica_NCBIv2:G1:851353:852586:1 gene:PRUPE_1G011100 transcript:ONI26226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCACGLELIALDKMFNEEKCFPSTSKRSSSRREYFSWLVKPWSSFFEERKSKCFYG >ONI28250 pep chromosome:Prunus_persica_NCBIv2:G1:10600710:10602355:-1 gene:PRUPE_1G134200 transcript:ONI28250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQTEDTGSVPPKEEEVSSSTMTQQIVISEKTSVRTEEELGHSEQELSSVENLPLQAENIEMEAVEHLIKPRLSVVQPSKAFDPIIEMVWMANASHLNPKVPEGTVGQIGPIDTQPSPSHVEGSDEQATENEPYVASAPIQETCVSASSAPALDVPPINANTHGPANPPVTYVIKDYDDHNPDSQAQNNLTRLSKLKQSIESLSSNTQSSVIQAKTFMMEWMTRPFDHVRSYGVMSEVHQALNVLAQSDHKLYEILCFAMDQLDQLRDALSQYHDSLDLASNAEYTVSRFQQSYTDRDEAIANGESKATEIQEVDAEIKGLETALQHAKKRRTQLAKEINEQLGRAETQDKVVRSLESKILTFKLAIRRPAMLLKEAEFKFQNCLEILRDIFD >ONI32292 pep chromosome:Prunus_persica_NCBIv2:G1:33163390:33170887:-1 gene:PRUPE_1G358800 transcript:ONI32292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDTESCCSRPVDFSPTLTRKQKLKVNIYNEVLRRLKSSDVADAKLAGFEDELWAHFNRLPTRYALDVNVERAQDVLMHKRLLLMAHDPATRPAVEVRLVQLFSYNGLGISLFVSIQLCSVFHPPPAFGLSPDLELALEAEQLHVHHGNDTVSTSQLYTRPMHEITISTNDKPKLLSELTSLLSDIGLNIREAHAFSTTDGYSLDVFVVDGWACEKTERLRHALAKEISWSEKNPCLDYNVISPVPEQETGMMFTNGHVSSLNEEKDIWEIDATLLRYEKKIASGSYGDLFQGSFCGQAVAIKVLSMEHLNETMREFTQEVYIMRKVRHKNVVQLIGACTKPPKLCIVTEFLSGGSMYDFVHKQTGALSLQFLLRVAIDVSRGMNYLHQNNIIHRDLKAANLLMDDNGVVKVADFGIARVQAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWELLTGKLPYENSTPLQAAVGVVQKGLRPTIPRHTNPMLMELMERCWQQDPSLRPEFSEIVKMLQHIARRVANGSEDRRKGKSAKVVPTFMQDSE >ONI27721 pep chromosome:Prunus_persica_NCBIv2:G1:8096443:8099112:-1 gene:PRUPE_1G101600 transcript:ONI27721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSNQKTTAKNNIISNANAANNTTVTKVKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGQDTILNFPLSTYPKELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNGPNNDISGQTKPNVEAILPNVTQNPNDQERGLGFFNNLAYSPGETVVTTQLPRPTTATSALGLLLQSSKFKEMMEMTTATDSISSQPELDTPQCTFPDDIQTYFDCQESSSYGEGDDLIFSELNSFMPPMFQCDFTA >ONI30457 pep chromosome:Prunus_persica_NCBIv2:G1:26371255:26375008:-1 gene:PRUPE_1G252700 transcript:ONI30457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRENWKGRKVDRTIKEEERYLLIKGRRIMAETDEPHPPDEQHASSTQHDQTNGSPPPHEQSPEQNKSPPANTPTPPSSPPPAQAAPAPKSETPPPSSPPPAPKASPPPKAAPPPSSPPPSSPPPASPPPSSPPPNSPPPSSPPPKAPTPSPPPHSPPPSTSSSRTPPSAPPPPPSTTPNKAPAPSSGGSSSPSPPTDSSQQGGSPQSPPTNSQSPPASPPPPVNPDGTIPTTNPTALSPPNVPTPPTVSGTTPQPPPLSSNSTPTLSTPSNLNSSKSSEYTGGSSSRHTGTVVGLTVAGVFLVALLALIFVFIRRRKKQAQVYPPNYLPPTPGPPGSNLSGGYYLAQQQSPATSGPTESFYSSGPPGRSSFDNSYQAHSGDSGLIAATKVHFTYEELMEITNGFSRQNVLGEGGFGCVYKGWLPEGRVVAVKQLKAGSGQGEKEFRAEVEIISRVHHRHLVSLVGYCISDNERLLIYEFVPNKTLEHHLYGAGMPVLEWTRRMKIALGSAKGLAYLHEDCHPKIIHRDIKSANILLDDVFEAQVADFGLAKLTNDTNTHVSTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELVTGRKPVDTSRPLGDESLVEWARPILLKALETGDLSELVDPRLEKHYVEGEMIRMIEAAAACVRHSALKRPRMAQVVRALDCEGELSDLCNGVKVGQSTAYDSGQYNEDIVRFRRTALGSGGSSEYEMSSRDFTTREISGPQSVWTRPDSSDESETRAFTIRGGEKGFSGTPGRHNF >ONI30458 pep chromosome:Prunus_persica_NCBIv2:G1:26371255:26375041:-1 gene:PRUPE_1G252700 transcript:ONI30458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPYCLLYRVGFWSRENWKGRKVDRTIKEEERYLLIKGRRIMAETDEPHPPDEQHASSTQHDQTNGSPPPHEQSPEQNKSPPANTPTPPSSPPPAQAAPAPKSETPPPSSPPPAPKASPPPKAAPPPSSPPPSSPPPASPPPSSPPPNSPPPSSPPPKAPTPSPPPHSPPPSTSSSRTPPSAPPPPPSTTPNKAPAPSSGGSSSPSPPTDSSQQGGSPQSPPTNSQSPPASPPPPVNPDGTIPTTNPTALSPPNVPTPPTVSGTTPQPPPLSSNSTPTLSTPSNLNSSKSSEYTGGSSSRHTGTVVGLTVAGVFLVALLALIFVFIRRRKKQAQVYPPNYLPPTPGPPGSNLSGGYYLAQQQSPATSGPTESFYSSGPPGRSSFDNSYQAHSGDSGLIAATKVHFTYEELMEITNGFSRQNVLGEGGFGCVYKGWLPEGRVVAVKQLKAGSGQGEKEFRAEVEIISRVHHRHLVSLVGYCISDNERLLIYEFVPNKTLEHHLYGAGMPVLEWTRRMKIALGSAKGLAYLHEDCHPKIIHRDIKSANILLDDVFEAQVADFGLAKLTNDTNTHVSTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELVTGRKPVDTSRPLGDESLVEWARPILLKALETGDLSELVDPRLEKHYVEGEMIRMIEAAAACVRHSALKRPRMAQVVRALDCEGELSDLCNGVKVGQSTAYDSGQYNEDIVRFRRTALGSGGSSEYEMSSRDFTTREISGPQSVWTRPDSSDESETRAFTIRGGEKGFSGTPGRHNF >ONI30459 pep chromosome:Prunus_persica_NCBIv2:G1:26370949:26374644:-1 gene:PRUPE_1G252700 transcript:ONI30459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDEPHPPDEQHASSTQHDQTNGSPPPHEQSPEQNKSPPANTPTPPSSPPPAQAAPAPKSETPPPSSPPPAPKASPPPKAAPPPSSPPPSSPPPASPPPSSPPPNSPPPSSPPPKAPTPSPPPHSPPPSTSSSRTPPSAPPPPPSTTPNKAPAPSSGGSSSPSPPTDSSQQGGSPQSPPTNSQSPPASPPPPVNPDGTIPTTNPTALSPPNVPTPPTVSGTTPQPPPLSSNSTPTLSTPSNLNSSKSSEYTGGSSSRHTGTVVGLTVAGVFLVALLALIFVFIRRRKKQAQVYPPNYLPPTPGPPGSNLSGGYYLAQQQSPATSGPTESFYSSGPPGRSSFDNSYQAHSGDSGLIAATKVHFTYEELMEITNGFSRQNVLGEGGFGCVYKGWLPEGRVVAVKQLKAGSGQGEKEFRAEVEIISRVHHRHLVSLVGYCISDNERLLIYEFVPNKTLEHHLYGAGMPVLEWTRRMKIALGSAKGLAYLHEDCHPKIIHRDIKSANILLDDVFEAQVADFGLAKLTNDTNTHVSTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELVTGRKPVDTSRPLGDESLVEWARPILLKALETGDLSELVDPRLEKHYVEGEMIRMIEAAAACVRHSALKRPRMAQVVRALDCEGELSDLCNGVKVGQSTAYDSGQYNEDIVRFRRTALGSGGSSEYEMSSRDFTTREISGPQSVWTRPDSSDESETRAFTIRGGEKGFSGTPGRHNF >ONI28879 pep chromosome:Prunus_persica_NCBIv2:G1:13495894:13497978:1 gene:PRUPE_1G166200 transcript:ONI28879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPPPGKCFQELWFGLLVSFLLCLVLLCLNYSTSSGANNGIDLSMSKQVRPIGDMKINDTEDSCSDRYIYIHDDLPSKFNSDFLINCKSISAGISSSSIPSMCPDLLNLGLGPQIENSDGVLANESWFVTNPYLLEVIFHNKMKKYECLTSNSTLASAIYVPFYTGMDASVHLWDSNLTIRDSSAKNLVKWLSGQPEWKKMWGRDHFFVSGRTSWDFRRVRDNSSEWGSKLRFLPESMNMTMLSMEGSLWKNDIAIPYPTSFHPAKDIEVVQWQNRVRQQERPYLFAFVGAPRPHLQGSIRGKIIDHCQASTTCKFLHCGENNCHNPVNIIRVFQSSVYCLQPRGDSDTRRSAFDAILAGCIPVFFHPATAFSQYLWYLPKNHTKYSVFIPVRDVKDLKEEGIIEKVLQGISKDRELAMREEVIRIIAKLVYADPGSRLDTPDAFDIAVQGILERIENVRKVIREGRDPSIGFAEVDGTKFTFPDTID >ONI32750 pep chromosome:Prunus_persica_NCBIv2:G1:34445220:34447363:1 gene:PRUPE_1G383500 transcript:ONI32750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRKALAKRQFHAIRVTLAPSSVVLEHQTIVPPNAAQANFHREYLTATGSSGKGFFRRFLHRRALNQSAKLPEFLTIPVGEKLREKLSGINISSDRLRLAGLSPPQPDLATPDDALYGISVSDAKKILRLSQVEKLKAKLREIPESSISYSEFVRICVEGCEGEEQGAEFSKMLDESGNVIVLGNVVFLRPEQVAKSMEGIISQSMAMPNDPRRRELQQLETQKMVIDQKARALVRGELYCGLGFLLFQTIGAIRLTFWELSWDVMEPICFFVTSMYFALGYGFFLRTSTEPTFQGFFQRRFEAQQQRLMEAHKFDVQKYKQLRKVFYPNSDHSASFSS >ONI36146 pep chromosome:Prunus_persica_NCBIv2:G1:46619528:46645235:-1 gene:PRUPE_1G572000 transcript:ONI36146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRFKFVFCAVTIVICLGVFGIGSVSAQNRRPKNVQAAVRAKWSGTPLLLEAGELLSKEQKDLFWDFVEVWHQSEKDDVNSHNAKDCLRKIVKHGLSILSEPLASLFEFSLMLRSASPTLVLYRQLAEESLSSFPPVDESNSSEHVEGKRSDPLNVGLNPKSPNGKCCWVDIGGALFLDAADLKIWLHSPRESSGDSFQQPEIFEFDHIHFDSSVGSPVAVLYGALGTDCFREFHLTLVEAAKEGKVKYVARQVLPSGCDAEIDHCGAVGTRDSVNLGGYGVELALKNMEYKAMDDSTIKKGVTLEDPRTEDLSQEVRGFIFSKILERKPELTSEIMAFRDYLLSSTVSDTLDVWELKDLGHQTAQRIVHASDPLHAMQEINQNFPSIVSSLSRMKLNDSVKDEISANQRMIPPGKSLMALNGALLNIEDIDLYLLVDLVHQDLSLADQFSKLKIPHSTARKLLSTVPPPESNMLRVDFRSNHVHYLNNLEEDAMYKRWRNNLNEILMPVFPGQLRYIRKNLFYAISVIDPATVCGLESIDMITSLYENNFPMRFGVVLYSSKFIKQIETSGGEDDSKIEEDISSLIIRLFIYIKENHGIQTAFQFLSNINKLRIDSDGSDDDALEMHHVEGAFVETVLSKAKSPPQDLLLKLEKEQTYKELSQESSMFVFKLGLAKLQCGLLMNGLVVDSNEEALINSMNDELPRIQEQVYYGHINSRTDVLDKFLSESGTTRYNPQIIAGGKPRFVSLSTYVLGGEGVLNDINYLHSPETMDDLKPVTHLLAVNVASKKGMKLLHEGLYYLMDGSNAARVGVLFIVNQHADVSSLLFVKVFEITASSYSHKKKVLNFLSQMCTLYEHNYLLAPSKAAESTQAFIDKVCELAEANGLSSKPYRSALSEFSADKLRKYVNKVAQFLYRELRLESGVNAVITNGRVTPLNDESTFLSHDLRLLESLEFAQRIKHIVEIIEEVKWQDVDPDTLTSKFISDTIMCVSSSMAMRDRSSESARFDILNAEYSAIVLNNENSSIHIDAVFDPLSPYGQKLSSILRVLWKYIRPSMRIVLNPMSSLVDLPLKNYYRYVVPTVDDFSSTDYTINGPKAFFANMPLSKTLTMNLDVPDPWLVEPVIAVHDLDNILLENLGETRTLQAVFELEALVLTGHCSEKDHDPPRGLQLIIGTKSTPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYVLKDNGDGSGSKTFSKRITINDLRGKVVHLEVAKKKGKEHENLLVSDVEDNTQDNKEGSSWNTNFLKWASGFIGAAEQSKKSGSTSVEQGKDVRHGKMINIFSIASGHLYERFLKIMILSVLKNTRRPVKFWFIKNYLSPQFKDVIPLMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQIVRADMGELYDMDIKGRPLAYTPFCDNNKDMDGYRFWRQGFWKEHLRGKSYHISALYVVDLKKFRETAAGDNLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSKAKTIDLCNNPMTKEPKLQGARRIVSEWPDLDLEARQFTAKILGDELDVQEPTPLPNQSEKSVIGSPAEDLESRAEL >ONI36147 pep chromosome:Prunus_persica_NCBIv2:G1:46624379:46645235:-1 gene:PRUPE_1G572000 transcript:ONI36147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRFKFVFCAVTIVICLGVFGIGSVSAQNRRPKNVQAAVRAKWSGTPLLLEAGELLSKEQKDLFWDFVEVWHQSEKDDVNSHNAKDCLRKIVKHGLSILSEPLASLFEFSLMLRSASPTLVLYRQLAEESLSSFPPVDESNSSEHVEGKRSDPLNVGLNPKSPNGKCCWVDIGGALFLDAADLKIWLHSPRESSGDSFQQPEIFEFDHIHFDSSVGSPVAVLYGALGTDCFREFHLTLVEAAKEGKVKYVARQVLPSGCDAEIDHCGAVGTRDSVNLGGYGVELALKNMEYKAMDDSTIKKGVTLEDPRTEDLSQEVRGFIFSKILERKPELTSEIMAFRDYLLSSTVSDTLDVWELKDLGHQTAQRIVHASDPLHAMQEINQNFPSIVSSLSRMKLNDSVKDEISANQRMIPPGKSLMALNGALLNIEDIDLYLLVDLVHQDLSLADQFSKLKIPHSTARKLLSTVPPPESNMLRVDFRSNHVHYLNNLEEDAMYKRWRNNLNEILMPVFPGQLRYIRKNLFYAISVIDPATVCGLESIDMITSLYENNFPMRFGVVLYSSKFIKQIETSGGEDDSKIEEDISSLIIRLFIYIKENHGIQTAFQFLSNINKLRIDSDGSDDDALEMHHVEGAFVETVLSKAKSPPQDLLLKLEKEQTYKELSQESSMFVFKLGLAKLQCGLLMNGLVVDSNEEALINSMNDELPRIQEQVYYGHINSRTDVLDKFLSESGTTRYNPQIIAGGKPRFVSLSTYVLGGEGVLNDINYLHSPETMDDLKPVTHLLAVNVASKKGMKLLHEGLYYLMDGSNAARVGVLFIVNQHADVSSLLFVKVFEITASSYSHKKKVLNFLSQMCTLYEHNYLLAPSKAAESTQAFIDKVCELAEANGLSSKPYRSALSEFSADKLRKYVNKVAQFLYRELRLESGVNAVITNGRVTPLNDESTFLSHDLRLLESLEFAQRIKHIVEIIEEVKWQDVDPDTLTSKFISDTIMCVSSSMAMRDRSSESARFDILNAEYSAIVLNNENSSIHIDAVFDPLSPYGQKLSSILRVLWKYIRPSMRIVLNPMSSLVDLPLKNYYRYVVPTVDDFSSTDYTINGPKAFFANMPLSKTLTMNLDVPDPWLVEPVIAVHDLDNILLENLGETRTLQAVFELEALVLTGHCSEKDHDPPRGLQLIIGTKSTPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYVLKDNGDGSGSKTFSKRITINDLRGKVVHLEVAKKKGKEHENLLVSDVEDNTQDNKEGSSWNTNFLKWASGFIGAAEQSKKSGSTSVSPNSLQRPYTKLCNNPEAT >ONI29965 pep chromosome:Prunus_persica_NCBIv2:G1:23857821:23859778:-1 gene:PRUPE_1G224700 transcript:ONI29965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELLSNMMDCAAKLKEFTAEDLKACTNGFHQNNLIGATQFGKLYRGQIKLGFIGTDQARAVTVKIWDEKSRCITSIHDEFLMVKEEVQFLTHPSINGHPNLVKLIGYCCEKEVKAVVYDLNPWDTLHNLTVKDYLNWVQRLNVLLQFARLLEFLHNQDKPYLVLNINASHIMLDWDCRPKLFDFGHITGGIIGEMTTLKKQIPISIGFVDPFFTAKGGSFWHTSCDVFSFGVILLGLIAKRGFEPVCVENPHLGLDCLVQYWAKKEYKPNGSLVHRSLQEDWGYVDEDGLAITELGMRCVEFFPRNRPTIKKAVELLEGLLVFQRFGDARPNKREKTFHGIGNHAGGT >ONI31697 pep chromosome:Prunus_persica_NCBIv2:G1:31024938:31027248:-1 gene:PRUPE_1G325500 transcript:ONI31697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGYRSLEYRSDLLKFHRIMERIRENVREPLCTVPAYSTCAIGTLLEVTYAIGTVRGYL >ONI31695 pep chromosome:Prunus_persica_NCBIv2:G1:31024938:31027377:-1 gene:PRUPE_1G325500 transcript:ONI31695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFMARKGYRSLEYRSDLLKFHRIMERIRENVREPLCTVPAYSTCAIGTLLEVTYAIGTVRGYL >ONI31696 pep chromosome:Prunus_persica_NCBIv2:G1:31025632:31025817:-1 gene:PRUPE_1G325500 transcript:ONI31696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGYRSLEYRSDLLKFHRIMERIRENVREPLCTVPAYSTCAIGTLLEVTYAIGTVRGYL >ONI28031 pep chromosome:Prunus_persica_NCBIv2:G1:9298287:9301695:1 gene:PRUPE_1G118400 transcript:ONI28031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSAENKVLIREFNADTDREVVGKLERNCELGSKRGVSIFTNMMSDPCCRIRFYPLHVMLVAELLENGELVGVVRGCMKHVGTGFGASYEIGSILGLRVSPTHRRMGIGLKLMNSVEEWLLRKGAQYTFLATEKSNIASTNLFTFKCNYVNLSSLVIFVQPICSPIDDLLPQEIKIEKLHIDQAIFLYKNKLRGKDMYPTDIDVILKEKLSLGTWVCYFKEHGWINLNTEENGKDITSKTQSSWVIFSIWNTCEAYKLHIRKSHPLRSFHATLSHAREKILSCLKLPVRVSMQSTFGFLFLYGIHGEGEKLGELMKSVWNFASRLGQNVKDSKLILTELALCDPLIKHVPKDSNMSCINDVWYAKSLISHADEKDELLLKGQLGNVFVDPREF >ONI28032 pep chromosome:Prunus_persica_NCBIv2:G1:9298283:9301695:1 gene:PRUPE_1G118400 transcript:ONI28032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSAENKVLIREFNADTDREVVGKLERNCELGSKRGVSIFTNMMSDPCCRIRFYPLHVMLVAELLENGELVGVVRGCMKHVGTGFGASYEIGSILGLRVSPTHRRMGIGLKLMNSVEEWLLRKGAQYTFLATEKSNIASTNLFTFKCNYVNLSSLVIFVQPICSPIDDLLPQEIKIEKLHIDQAIFLYKNKLRGKDMYPTDIDVILKEKLSLGTWVCYFKEHGWINLNTEENEHLRVSVSLRDSRRGREARGAHEICVELRITVGTKCEGQQVDFN >ONI28033 pep chromosome:Prunus_persica_NCBIv2:G1:9298285:9301695:1 gene:PRUPE_1G118400 transcript:ONI28033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHVGTGFGASYEIGSILGLRVSPTHRRMGIGLKLMNSVEEWLLRKGAQYTFLATEKSNIASTNLFTFKCNYVNLSSLVIFVQPICSPIDDLLPQEIKIEKLHIDQAIFLYKNKLRGKDMYPTDIDVILKEKLSLGTWVCYFKEHGWINLNTEENGKDITSKTQSSWVIFSIWNTCEAYKLHIRKSHPLRSFHATLSHAREKILSCLKLPVRVSMQSTFGFLFLYGIHGEGEKLGELMKSVWNFASRLGQNVKDSKLILTELALCDPLIKHVPKDSNMSCINDVWYAKSLISHADEKDELLLKGQLGNVFVDPREF >ONI28034 pep chromosome:Prunus_persica_NCBIv2:G1:9298283:9301708:1 gene:PRUPE_1G118400 transcript:ONI28034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHVGTGFGASYEIGSILGLRVSPTHRRMGIGLKLMNSVEEWLLRKGAQYTFLATEKSNIASTNLFTFKCNYVNLSSLVIFVQPICSPIDDLLPQEIKIEKLHIDQAIFLYKNKLRGKDMYPTDIDVILKEKLSLGTWVCYFKEHGWINLNTEENEHLRVSVSLRDSRRGREARGAHEICVELRITVGTKCEGQQVDFN >ONI26978 pep chromosome:Prunus_persica_NCBIv2:G1:4244742:4245269:1 gene:PRUPE_1G060100 transcript:ONI26978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLFYFVLFLSLAFGPRSCFGFWPGKKHFVRIKNELSTQQLNYHCESDMDDLGDRILAPHQEWEFKFRASFDTYFQCSMWYANFIVTFDVFYPSDAFMDRCGGVHCIWRTQESGIYLFHIKTGEWEKMYDWGTRT >ONI27485 pep chromosome:Prunus_persica_NCBIv2:G1:6721667:6723526:1 gene:PRUPE_1G089700 transcript:ONI27485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDARLKAYIEEHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGRRKQSNINRSRSDQSEDHLKDAEDAHALSSSALERLQLHMQLQSLQNPFSFYNTPALWPKLHPLQEKMIQTLRSMNDQNCNNPLMHHHSLSSPHQTVEGHKSNSDVYEQLQPTSASIRIQEEYAKLCNPKNMDELENALNGMSSSDAAAYHAYISTSNQVDSTIVVTKAADGMEHSNDAGTHDHQQINSSFQTEFGDILSNKSVAYTPQQEDDQIAEFDCFKEMNGSKDSLVWWANEFDAKSASSNSWDSTSVLQSQGMFQDYELRYNL >ONI29573 pep chromosome:Prunus_persica_NCBIv2:G1:20077412:20080380:-1 gene:PRUPE_1G202600 transcript:ONI29573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAGNQVRASHILIKHQGSRRKASWKDPEGAIIKNTTRDSAVSQLKALHDDIISGKAKFDDLASRYSDCSSAKRGGDLGPFGKGQMQKPFEEATFALKVGEMSDIVDTDSGVHIIMRTG >ONI29574 pep chromosome:Prunus_persica_NCBIv2:G1:20077752:20078966:-1 gene:PRUPE_1G202600 transcript:ONI29574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAGNQVRASHILIKHQGSRRKASWKDPEGAIIKNTTRDSAVSQLKALHDDIISGKAKFDDLASRYSDCSSAKRGGDLGPFGKGQMQKPFEEATFALKVGEMSDIVDTDSGVHIIMRTG >ONI26276 pep chromosome:Prunus_persica_NCBIv2:G1:1058525:1059136:-1 gene:PRUPE_1G014400 transcript:ONI26276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRMSVQFPITPTFLLPTKGGHVNSSVRLKPPCACNHSVSQFQPRRPLTDPRSKQSLLKAVDESQANSESGPESVSTQQKESAGDGESAASQPVELSELGKEIKEAMQKRKEEKDGDFLSGVADEVREIEWPAFAKVLGTTGVVLGVIAGSSVVLLTVNAVLAEFSDQVFAGKGVQDFFS >ONI35073 pep chromosome:Prunus_persica_NCBIv2:G1:42294887:42297864:1 gene:PRUPE_1G513800 transcript:ONI35073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQNVVVSDAKGVAVTVAVTVTVANQSMFSSSVPKPRTAEAGGGGGCFTIPRNIKLLKVETEGGAARVNAWVDSLRASSPPRVKSATETVDYSSWNLHHPSAMSMFEEITNYSKGKQIVLFLDYDGTLSPIVEDPDRAFMSREMRNAVKAAARYFPTAIVSGRCRDKVHSFVKLGELYYAGSHGMDIKGPSKSSKYKNGNQAVLFQPASEFVPMIDEVCKILVEKTRSISGARVENNKFCLSVHFRCVDEKSWAALAEQVRLVLNEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANSNEVLPVYIGDDRTDEDAFKVLRDRGQGFGILVSKVPKETNASYSLQEPAEVKDFLWRLVEWKRLSQQQRN >ONI26794 pep chromosome:Prunus_persica_NCBIv2:G1:3290222:3291088:-1 gene:PRUPE_1G046300 transcript:ONI26794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLATHFSAFVLLFPVGLRRLLCSSSLYLKNPSLYRSKIWYFSEPKWKNFDLYTLTIALPIASFSEIFIFLAFSGHPTYRFAFFQQSAAIFLFWALVILIICRENIDTLHIDEGFVFVIAAISFLVEYSVIGKGISGLGGAMYDILGTLTLVCAVCSLYLSIRPCSFCAEFFLSSGLVLKGTWILQLGLSLYTDAFGLKGCKKMSVWPNQENAEWKCDLEEDGLRGVSLMTLLFIGHAIAVLLLSLMLFALVASNWNLRCGEASGPLLAQLDSGHVLMPSSLDLDME >ONI27956 pep chromosome:Prunus_persica_NCBIv2:G1:9016686:9020804:-1 gene:PRUPE_1G113400 transcript:ONI27956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFSPVTVGQVSFLLGVIPLIAAWIYSEFLEYKKNSLSSKLYSEVNLVEVEKEAVKEDDRAVLLEGGGLQSASPKARTLSAWSPIFRFILMDEAFLLENRLTLRAISEFSVLLAYFYLCDRTDFFNTATKSYNRDLFIFLYFLLIIVSAMASFTIHNEKSPLTGKSILYLNRHQTEEWKGWMQVLFLLYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVLFCCVVLNNSYVLYYICPMHTLFTLMVYGALGIMNKHNEIGSVIAVKIIGCFLVVILVWEIPGVFELLWSPLTFLLGYTDPAKPGLPLLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEEAEWKRKMSIKTAVTLITVTVGYLWFEYIYKMDKLTYNKYHPYTSWIPITVYISLRNVTQQFRSYSLTLFAWLGKITLETYILQFHVWLRSGVPDAQPKLLLSMIPEYPLLNFMLTSAIYVAISYRLFDLTNTLKIAFVPSKDDKRLVNNIITATAISCGLYFISFVFLKIPQMLKVEWWDMYTMVFIRKVKCQGMTALRKAEILFRQKFNLNTTLEI >ONI27955 pep chromosome:Prunus_persica_NCBIv2:G1:9016611:9020804:-1 gene:PRUPE_1G113400 transcript:ONI27955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFSPVTVGQVSFLLGVIPLIAAWIYSEFLEYKKNSLSSKFRYSEVNLVEVEKEAVKEDDRAVLLEGGGLQSASPKARTLSAWSPIFRFILMDEAFLLENRLTLRAISEFSVLLAYFYLCDRTDFFNTATKSYNRDLFIFLYFLLIIVSAMASFTIHNEKSPLTGKSILYLNRHQTEEWKGWMQVLFLLYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVLFCCVVLNNSYVLYYICPMHTLFTLMVYGALGIMNKHNEIGSVIAVKIIGCFLVVILVWEIPGVFELLWSPLTFLLGYTDPAKPGLPLLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEEAEWKRKMSIKTAVTLITVTVGYLWFEYIYKMDKLTYNKYHPYTSWIPITVYISLRNVTQQFRSYSLTLFAWLGKITLETYILQFHVWLRSGVPDAQPKLLLSMIPEYPLLNFMLTSAIYVAISYRLFDLTNTLKIAFVPSKDDKRLVNNIITATAISCGLYFISFVFLKIPQMLV >ONI27957 pep chromosome:Prunus_persica_NCBIv2:G1:9016686:9020983:-1 gene:PRUPE_1G113400 transcript:ONI27957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFSPVTVGQVSFLLGVIPLIAAWIYSEFLEYKKNSLSSKFRYSEVNLVEVEKEAVKEDDRAVLLEGGGLQSASPKARTLSAWSPIFRFILMDEAFLLENRLTLRAISEFSVLLAYFYLCDRTDFFNTATKSYNRDLFIFLYFLLIIVSAMASFTIHNEKSPLTGKSILYLNRHQTEEWKGWMQVLFLLYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVLFCCVVLNNSYVLYYICPMHTLFTLMVYGALGIMNKHNEIGSVIAVKIIGCFLVVILVWEIPGVFELLWSPLTFLLGYTDPAKPGLPLLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEEAEWKRKMSIKTAVTLITVTVGYLWFEYIYKMDKLTYNKYHPYTSWIPITVYISLRNVTQQFRSYSLTLFAWLGKITLETYILQFHVWLRSGVPDAQPKLLLSMIPEYPLLNFMLTSAIYVAISYRLFDLTNTLKIAFVPSKDDKRLVNNIITATAISCGLYFISFVFLKIPQMLKVEWWDMYTMVFIRKVKCQGMTALRKAEILFRQKFNLNTTLEI >ONI27954 pep chromosome:Prunus_persica_NCBIv2:G1:9016262:9021084:-1 gene:PRUPE_1G113400 transcript:ONI27954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIFSPVTVGQVSFLLGVIPLIAAWIYSEFLEYKKNSLSSKLYSEVNLVEVEKEAVKEDDRAVLLEGGGLQSASPKARTLSAWSPIFRFILMDEAFLLENRLTLRAISEFSVLLAYFYLCDRTDFFNTATKSYNRDLFIFLYFLLIIVSAMASFTIHNEKSPLTGKSILYLNRHQTEEWKGWMQVLFLLYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVLFCCVVLNNSYVLYYICPMHTLFTLMVYGALGIMNKHNEIGSVIAVKIIGCFLVVILVWEIPGVFELLWSPLTFLLGYTDPAKPGLPLLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEEAEWKRKMSIKTAVTLITVTVGYLWFEYIYKMDKLTYNKYHPYTSWIPITVYISLRNVTQQFRSYSLTLFAWLGKITLETYILQFHVWLRSGVPDAQPKLLLSMIPEYPLLNFMLTSAIYVAISYRLFDLTNTLKIAFVPSKDDKRLVNNIITATAISCGLYFISFVFLKIPQMLV >ONI28499 pep chromosome:Prunus_persica_NCBIv2:G1:11328769:11333267:-1 gene:PRUPE_1G144100 transcript:ONI28499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISAQAQSGHEEKASWDLCIAVREWGVSLTETTHSSLGHHKLSNSHEKSFCQGTYLKAYYYLELKQLADELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPRDRILWDVGHQSYPHKILTGRRDKMHTMRQTNGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGGNNHVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIIILNDNKQVSLPTASLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPVHNLAAKVDEYARGMISGTGSSLFEELGLYYIGPVDGHNLDDLISILKEVKSTKTTGPVLIHLITEKGRGYPYAEKAADKYHGVAKFDPATGKQFKASASTQSYTTYFAEALIAEAEADKDIVAIHAAMGGGTGMNLFLRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGSFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGVGVQLPTGNKGTPLEVGKGRILIEGERVALLGYGAAVQSCLAASSLVESHGLRLTVADARFCKPLDRALIRSLAKSHEFLITVEEGSIGGFGSHVAQFLALDGLLDGKLKWRPLVLPDRYIDHGSPADQLVEAGLTPSHIAATVFNVLGQTREALKIMS >ONI28498 pep chromosome:Prunus_persica_NCBIv2:G1:11329206:11332928:-1 gene:PRUPE_1G144100 transcript:ONI28498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCTFSFPAHIYRPTTHSDAQKSTSLFSHFSWETVLPCQSQHKLNQVMRKRPAGICASLSESGEYHSQRPPTPLLDTINYPIHMKNLSVKELKQLADELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPRDRILWDVGHQSYPHKILTGRRDKMHTMRQTNGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGGNNHVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIIILNDNKQVSLPTASLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPVHNLAAKVDEYARGMISGTGSSLFEELGLYYIGPVDGHNLDDLISILKEVKSTKTTGPVLIHLITEKGRGYPYAEKAADKYHVLLFAGVAKFDPATGKQFKASASTQSYTTYFAEALIAEAEADKDIVAIHAAMGGGTGMNLFLRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGSFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGVGVQLPTGNKGTPLEVGKGRILIEGERVALLGYGAAVQSCLAASSLVESHGLRLTVADARFCKPLDRALIRSLAKSHEFLITVEEGSIGGFGSHVAQFLALDGLLDGKLKWRPLVLPDRYIDHGSPADQLVEAGLTPSHIAATVFNVLGQTREALKIMS >ONI28497 pep chromosome:Prunus_persica_NCBIv2:G1:11328769:11333267:-1 gene:PRUPE_1G144100 transcript:ONI28497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCTFSFPAHIYRPTTHSDAQKSTSLFSHFSWETVLPCQSQHKLNQVMRKRPAGICASLSESGEYHSQRPPTPLLDTINYPIHMKNLSVKELKQLADELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPRDRILWDVGHQSYPHKILTGRRDKMHTMRQTNGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGGNNHVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIIILNDNKQVSLPTASLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPVHNLAAKVDEYARGMISGTGSSLFEELGLYYIGPVDGHNLDDLISILKEVKSTKTTGPVLIHLITEKGRGYPYAEKAADKYHGVAKFDPATGKQFKASASTQSYTTYFAEALIAEAEADKDIVAIHAAMGGGTGMNLFLRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGSFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGVGVQLPTGNKGTPLEVGKGRILIEGERVALLGYGAAVQSCLAASSLVESHGLRLTVADARFCKPLDRALIRSLAKSHEFLITVEEGSIGGFGSHVAQFLALDGLLDGKLKWRPLVLPDRYIDHGSPADQLVEAGLTPSHIAATVFNVLGQTREALKIMS >ONI35757 pep chromosome:Prunus_persica_NCBIv2:G1:45172411:45172699:1 gene:PRUPE_1G553000 transcript:ONI35757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNAYLLGSTSVEDLSSSSPRSLQEALKCLSELKKCTFTYLNQTLHKHFWHFSKHSQTYPSVFSRVMRRFPPELYR >ONI27746 pep chromosome:Prunus_persica_NCBIv2:G1:8248428:8256348:1 gene:PRUPE_1G103200 transcript:ONI27746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEDDDWDLSAEELDSLERDAFQKLAQQRINSASACSSSSFSSSHNHHQLHQSFPSTINNSNRNCFQGSPAKPIPNYLPNKVAPLSPGTRVLPSLVPCKVNLDERPKELPKLSVKFFLHASGNIAAKFPYDQVLVGAVRKIPKSIWNAKERLWMFPISSLSPAEKILHETSGVNVEVDNLDPLVHRAIAAAFVVPDIRDQYDRIPSCIESKLLPFQREGVRFILQHGGRALLADEMGLGKTLQAIAVASCVRDSWPVLILTPSSLRLQWASMIQQWMNIPSSDILVVLSQCGGSNRSGFTVVSSNTKGTIHLDGLFNIISYDVVPKLQNLLMASEFKVVIADESHFLKNAQAKRTTASLPVIKKAQYAILLSGTPALSRPIELFKQLEALYPDVYKSVHEYGNRYCKGGTFGLYQGASNHEELHNLMKATVMIRRLKNDVLSELPVKRRQQVFLDLAEKDMKQINALFRELEVVKAKIKACQIKEEVDSLKFAEKNLINKIYTDSAEAKIPAVLDYLGTVIEAGCKFLVFAHHQSMIDSIYQFLLKKKVGCIRIDGSIPTVSRQAYVTEFQEKDSVKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQMLDGHENTLQVSTSQPPQSSPAKQKTLDSYMKRCNSQEDSENHPQFKNPRH >ONI27450 pep chromosome:Prunus_persica_NCBIv2:G1:6495720:6497840:1 gene:PRUPE_1G087300 transcript:ONI27450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPNISSSSFLWYFIRFLVLVCLILCSLETCFTLEESDVKSHLGLEYSHTVQVKSLLPATTCSPSTQGHDKKASVLKVVHKHGPCSHFNQSHKENAPTHTQILEQDQARVNSIHSRRNPKKAIDRRGQRQRDSTTVPALSGSTVGAADYIVTVGLGTPKKQLSLTFDTGSDLTWTQCRPCIRPCYKQVDPIFDPSVSSSYANVSCNSVVCSQLKSGTGYAPACATSTCVYTTTYGDGSISVGFFAKETITLTSTDVFDGFLFGCGKVNQGLFRGSAGLLGLGRDSISFVEQTAAKYGRFFSYCLPSTSSSTGFLSFGREKRGRASKGVKFTRLATLPQKEYSSLYGINLVGITVGGRKLPISTSVFKTSGTIIDSGTVITRLPPQAYSALRAAFQQGMKSYPRAPAISILDTCYDFSSYETVTIPKIAISFKGGLTLDLDGTGIIYPVTASQACLAFAANKNDSDIAVFGNVQQLKFEVVYDVDKGKLGFASGGCL >ONI33352 pep chromosome:Prunus_persica_NCBIv2:G1:36281133:36285384:-1 gene:PRUPE_1G418600 transcript:ONI33352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMNDHNNNNNGSNNNWLGFSLSPHMKMEVASDPHQHHHHHHYNHQGQASSAAAALPSTFYNLNPHLSSSGLCYGVGENGGFHSPLTVMPLKSDGSLCVMEALSRSQTEGMVVPNSSPKLEDFLGGASMGAGDYGSQEREAMALSLDSIYYHENAEAEQHIPVHSHPYYSGIPCHGMYQTPLEEESKQSHIVGSENPQMTQMQEGLKTWVARQYSAHQALEQHMNNNLVHDGGGSGSVNGAMNCGDLQSLTLSMSPGSQSSCVTAPRQISPTGTECVAIETKKRGGGKLSQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGSATHINFPLENYTAQLEEMKNMSRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVEKIMASNTLLAGEFARRTKEIEPNIEANAIEYNPSAQNNGEANQPEINNGNGLDWKMALYQSAQEQPTSCVQTVDQKSIGSLNYRHPSFSMALQDLIGVESVNSSQPLMDESAKVGAHFSNPSSLVTSLSSSREASPDKSGPNMLFAKPPLASKFICPTSAAAAAVSSWFPTAQLRPAAISMSHLPLFAAWNDT >ONI26720 pep chromosome:Prunus_persica_NCBIv2:G1:2956143:2960338:1 gene:PRUPE_1G041300 transcript:ONI26720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLRSVRDFTTQEAKFLSGVSQQVEVAQTELQLMHGFLKDADRRQVDDASVLILVAKIRDAAYDLEDVIQTYGLKVATKNKGGMKNVLKRFGSIFKQWVDLHKIGAEIENITVKISNLRSSLQSYNIIRETREIGGASSLQSFERQQQLRRTYSHVIERVVVGIKDNVKEIVTYLVKEENCLRVVSIWGMGGSGKTTLAKQIYHHDEVRCHFKSFAWVCISQQYQVRDVLEGILIKLISATKEQREEIAKMRDYEIAKKLFLVQRERRCLVILDDIWSIETFNSLKAAFPLYEETQSRVLLTTRNEAVALHVDRNGFLHQPQALKENDSWELFEKIAISGRIGEDSGVYKKMKELGIEMLRHCAGLPLAIIVLAGVLARKKNVKEWETVHENVHECIRRGKFHEQEYEGASWVLALSYDDLPYHLKPCFLYLGHYPEDHEISVSSLTKLWVAEGLISLRPRRHGFEETMEDIARKCLSELVERCVVQVGRNGSTGTIKTCRIHDLIRDLCLIKAEEESFLQIGHSLHENESTNMFTSSMVAKATPLGKVRRLAIYLDENADWLVSSRGETSGHLRSLLYYDLREWRPTSKKLLLSPLTGFKVLRILKLEGVNEKVEVVELPSEIGNMVHLRFLSVRSSNINTFPSSLGNLICLQTLDFRVKNDLNIPNVIWKMKELRHLYLPMFYRAGVELKLSTLGHLQTLDFLSSKYCDLKDVIGLTNIRKLNIRLSSSLENLE >ONI26719 pep chromosome:Prunus_persica_NCBIv2:G1:2956143:2960338:1 gene:PRUPE_1G041300 transcript:ONI26719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLRSVRDFTTQEAKFLSGVSQQVEVAQTELQLMHGFLKDADRRQVDDASVLILVAKIRDAAYDLEDVIQTYGLKVATKNKGGMKNVLKRFGSIFKQWVDLHKIGAEIENITVKISNLRSSLQSYNIIRETREIGGASSLQSFERQQQLRRTYSHVIERVVVGIKDNVKEIVTYLVKEENCLRVVSIWGMGGSGKTTLAKQIYHHDEVRCHFKSFAWVCISQQYQVRDVLEGILIKLISATKEQREEIAKMRDYEIAKKLFLVQRERRCLVILDDIWSIETFNSLKAAFPLYEETQSRVLLTTRNEAVALHVDRNGFLHQPQALKENDSWELFEKIAISGRIGEDSGVYKKMKELGIEMLRHCAGLPLAIIVLAGVLARKKNVKEWETVHENVHECIRRGKFHEQEYEGASWVLALSYDDLPYHLKPCFLYLGHYPEDHEISVSSLTKLWVAEGLISLRPRRHGFEETMEDIARKCLSELVERCVVQVGRNGSTGTIKTCRIHDLIRDLCLIKAEEESFLQIGHSLHENESTNMFTSSMVAKATPLGKVRRLAIYLDENADWLVSSRGETSGHLRSLLYYDLREWRPTSKKLLLSPLTGFKVLRILKLEGVNEKVEVVELPSEIGNMVHLRFLSVRSSNINTFPSSLGNLICLQTLDFRVKNDLNIPNVIWKMKELRHLYLPMFYRAGVELKLSTLGHLQTLDFLSSKYCDLKDVIGLTNIRKLNIRLSSSLENLE >ONI34009 pep chromosome:Prunus_persica_NCBIv2:G1:38416723:38417922:-1 gene:PRUPE_1G458800 transcript:ONI34009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSADEFDVIVVGAGIMGSSTAYQTAKRDQKTLFLEQFDFLHHRGSSRGAVGESWTIRATYPEAESEIGYNVYFKVHHLDMAPANNKVLHAIVESCGKNSVPFRVMKRDQLDREFWGRVRISKDWVAVATEHGEVIKPTKANGAVLRDNMEVKGVERDGVRKGVWVCTENGERFWGKKCVVTVGAWTTKLVKTVGGIELPMQPLETAVCYWRIKEGHEGGFAIGGDFPTFASYGDTYIYGTLSLEYPGLIKVAVHGGYPCDPDKRPWGPGNPLAPLKEWIEGRFSGVVDSGGPVATQLCMYSMTPDEDFVIDFLGGEFGKDVVVGGGFSGHGFKLSPVVGRILADLALSGEAQGVELKHFRIARFQENPKGNVKDFL >ONI33566 pep chromosome:Prunus_persica_NCBIv2:G1:37044597:37046246:1 gene:PRUPE_1G432900 transcript:ONI33566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLQQNSVLGSPNSNLGTCGGGELLKLEKMGMNVNSYLNSSSALMEKGVIKESTGKAASGGGNESEHGMHTWTERERRKKMRDMFSSLHALLPQLPAKADKSTIVDESVRYIKSLEKTLQTKQKQKLDKLQSTSALIASNTELAGETREAFLADLLHPSKNLMVTNAFPASLSPACFETWFSPTVVVNICGPDAQFSVCSPRKPGLLTTILYILEKHELDVVSAHVSSDQYRCMYMIHAHAGGACDHFPEALSVEDTFKLAAGEINLWLLSC >ONI31920 pep chromosome:Prunus_persica_NCBIv2:G1:31939546:31939909:1 gene:PRUPE_1G339300 transcript:ONI31920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHYKTFSMNQQGLCKTSIIDAFAEEIFHSHIYSPDLLCQTFSDCVVCAIPLVTKGTSFYFICYTIFFPFFFFEC >ONI35280 pep chromosome:Prunus_persica_NCBIv2:G1:43169428:43170802:-1 gene:PRUPE_1G527300 transcript:ONI35280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAACVGILASPMSSSNARYCSNLYPNAESYRICNWCLTQKEDTKEKSQNSSNSSSSCRKEGQDHDPAKINKKTNSSKTSDHQNKQGHGGNSYGGLRGTMQLQPSGPIKKQRPLDLHHNHQGFGSASPSPSPSPSPKSPSTRKRILTNGQKEAEKIRRTRSEDISNLNNGIITRQVFRNKVRRYKLLDEVSSQ >ONI35279 pep chromosome:Prunus_persica_NCBIv2:G1:43169789:43170571:-1 gene:PRUPE_1G527300 transcript:ONI35279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSKGTTAAAAAAAQPTHECCMCGDFGFSYELFQCKVCQFRSQHRYCSNLYPNAESYRICNWCLTQKEDTKEKSQNSSNSSSSCRKEGQDHDPAKINKKTNSSKTSDHQNKQGHGGNSYGGLRGTMQLQPSGPIKKQRPLDLHHNHQGFGSASPSPSPSPSPKSPSTRKRILTNGQKEAEKIRRTRSEDISNLNNGIITRQVFRNKVRRYKLLDEVSSQ >ONI34497 pep chromosome:Prunus_persica_NCBIv2:G1:40392109:40395160:-1 gene:PRUPE_1G484800 transcript:ONI34497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARRASHAGSWYTDNRQKLSEELEGWLRESGLTKSPDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNITRVFLLGPSHHYYTSKCALSTATVYKTPIGDLTIDLEVIEELKATGKFELMDIRVDEAEHSMEMHLPYLAKVFEGHQVKVVPILVGSLKAESEAMYGQLLGKYVDDPQNFFSVSSDFCHWGSRFNYTHYDKKHGAIHKSIEALDRIGMDIIETGNPDAFKEYLLEFDNTICGRHPISVFLHMLKNCSTSIKINFLRYEQSSQCKTMRDSSVSYASAAAKVDA >ONI34499 pep chromosome:Prunus_persica_NCBIv2:G1:40392556:40395059:-1 gene:PRUPE_1G484800 transcript:ONI34499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARRASHAGSWYTDNPRKLSEELEGWLRESGLTKSPDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNITRVFLLGPSHHYYTSKCALSTATVYKTPIGDLTIDLEVIEELKATGKFELMDIRVDEAEHSMEMHLPYLAKVFEGHQVKVVPILVGSLKAESEAMYGQLLGKYVDDPQNFFSVSSDFCHWGSRFNYTHYDKKHGAIHKSIEALDRIGMDIIETGNPDAFKEYLLEFDNTICGRHPISVFLHASSQVEGNNARVIF >ONI34500 pep chromosome:Prunus_persica_NCBIv2:G1:40392499:40395308:-1 gene:PRUPE_1G484800 transcript:ONI34500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPARKLSEELEGWLRESGLTKSPDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNITRVFLLGPSHHYYTSKCALSTATVYKTPIGDLTIDLEVIEELKATGKFELMDIRVDEAEHSMEMHLPYLAKVFEGHQVKVVPILVGSLKAESEAMYGQLLGKYVDDPQNFFSVSSDFCHWGSRFNYTHYDKKHGAIHKSIEALDRIGMDIIETGNPDAFKEYLLEFDNTICGRHPISVFLHASSQVEGNNARVIF >ONI34496 pep chromosome:Prunus_persica_NCBIv2:G1:40392287:40395059:-1 gene:PRUPE_1G484800 transcript:ONI34496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARRASHAGSWYTDNPRKLSEELEGWLRESGLTKSPDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNITRVFLLGPSHHYYTSKCALSTATVYKTPIGDLTIDLEVIEELKATGKFELMDIRVDEAEHSMEMHLPYLAKVFEGHQVKVVPILVGSLKAESEAMYGQLLGKYVDDPQNFFSVSSDFCHWGSRFNYTHYDKKHGAIHKSIEALDRIGMDIIETGNPDAFKEYLLEFDNTICGRHPISVFLHMLKNCSTSIKINFLRYEQSSQCKTMRDSSVSYASAAAKVDA >ONI34498 pep chromosome:Prunus_persica_NCBIv2:G1:40392100:40395308:-1 gene:PRUPE_1G484800 transcript:ONI34498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPARKLSEELEGWLRESGLTKSPDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNITRVFLLGPSHHYYTSKCALSTATVYKTPIGDLTIDLEVIEELKATGKFELMDIRVDEAEHSMEMHLPYLAKVFEGHQVKVVPILVGSLKAESEAMYGQLLGKYVDDPQNFFSVSSDFCHWGSRFNYTHYDKKHGAIHKSIEALDRIGMDIIETGNPDAFKEYLLEFDNTICGRHPISVFLHMLKNCSTSIKINFLRYEQSSQCKTMRDSSVSYASAAAKVDA >ONI31774 pep chromosome:Prunus_persica_NCBIv2:G1:31311724:31313844:1 gene:PRUPE_1G330200 transcript:ONI31774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSSCLSLTKNLVHASLSNNNNNNNGSLPPPPPLQANPTKPPLSSRNQTPTVLPRKKQQNQPQRKPRQPSVIEIERAIGGGRFRDADPRDLEEQKNAKFDMSMMNFPSKFEGPVEKKLRETGEWITNKTERGFRLSGKKILKFVFLWLLPIWSFSLLVASGTIKLNSPFINDLIM >ONI31775 pep chromosome:Prunus_persica_NCBIv2:G1:31311724:31313844:1 gene:PRUPE_1G330200 transcript:ONI31775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSSCLSLTKNLVHASLSNNNNNNNGSLPPPPPLQANPTKPPLSSRNQTPTVLPRKKQQNQPQRKPRQPSVIEIERAIGGGRFRDADPRDLEEQKNAKFDMSMMNFPSKFEGPVEKKLRETGEWITNKTERGFRLSGKKILKFVFLWLLPIWSFSLLVASGTIKLNSPFINDLIM >ONI32401 pep chromosome:Prunus_persica_NCBIv2:G1:33552077:33553167:1 gene:PRUPE_1G365700 transcript:ONI32401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKMMLKVVILVSLLILRLADSQETVTTPPVESPHPPPVESPHPPPKIECDGECNRRCELSSRPNLCKRACGTCCERCNCVPPGTSGHYETCPCYANMTTHRGLHKCP >ONI33827 pep chromosome:Prunus_persica_NCBIv2:G1:37839288:37846735:1 gene:PRUPE_1G448000 transcript:ONI33827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVASGSGDTRGASGSNDSSLVPYLPELRQPVVYSLHHGLKPPIGRLSISWSRGNNLRVSVLRPPSAEDSDDVEVGGRVVEVRLSNGDGETGDAKWRRIAYGSVPPFALLQSRRSSVASLSKMSMSPSPYNIDWWEYVMEYSKDINSLLGDSKAPPNPVIDDPKTVLRNVEEPTSLKAAWELMEMFYADKQCQSWLLERLVDWLADYDSLLSSTQPTVHSKLVDFQNELVGLQVIEDNPKYWEVLSSALAVGWIEIVVKMLRLHGSYQFHQLGNREIENGLVETVSFLISKMPRMRPEVEYGRLGECYKAKPDFIKAWEKWRGKITKLDCSAFWLQCDHRQTREGLKNMIQIMLGNTDNICNVTCHWIELFISHFLYVRPFTVGLESMYSLAQKCMQLKPMSSAHGLIAIILGILGENTEVILAECSRAFGPWMVAHAIELLTTGSDEAEFLLQEERHSLGGISIAELHRLVYAQVLSSHPLTWQIAPIYLTSCMKQGMGLLEILLYKLPIQHNETLLKNLEICRLYELDTISSNIMKIAGVYHWKHGRKGSGVFWLQQARDEIRLSRIAQHLFDSVGKSISDESFKQWEGLIELLGSESKTTGGLEFLHKYRDFKKSLKQVYGGKTTDTARQAVESLISLMKNPSTPQRFWLPILHDSLKLLNWQERPLLNVSQTSLLLNKLQELSMAKLRPDFIEADLPPQALSSVRLALATNLGRAILEE >ONI30520 pep chromosome:Prunus_persica_NCBIv2:G1:26605675:26609290:1 gene:PRUPE_1G255300 transcript:ONI30520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEIVAGSADFPLKRSREEEENGVYAASTVSVETDTGKEADGVSAVIPGWFSEISPMWPGEAHSLKIEKILFQGKSDYQDVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPVKVLVIGGGDGGVLREVARYSSIEQIDICEIDKMVVDVSKQFFPAVAVGYEDPRVKLHVGDGVAFLKAVPAGTYDAVIVDSSDPIGPAQELFEKPFFQTVANALRPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPAVDFKHPVNPIDANDSQKSRPLKFYNSEIHTAAFCLPSFAKKVIDAKAN >ONI33187 pep chromosome:Prunus_persica_NCBIv2:G1:35865716:35869009:1 gene:PRUPE_1G410300 transcript:ONI33187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLEVLLFTNVSLLSTIFTVLAILAGVLGYLYGPYWGVRRVPSPPTIPLVGHLPLLAEYGPDVFSVLAKQYGPIFRFHMGRQPLIIVADAELCREVGIKKFKDIQNRSIPSPISASPLHQKGLFFTRDARWSAMRNTILSVYQPSHLASLVPTMQSFIESATEKLGSSKEEDITFSNLSLRLTTDVIGQAAFGVNFGLSKPQSISDSINKQIGGSQDINNVEVSDFINQHIYSTTQLKMDLSGSLSIILGLLVPVLQEPFRQILKRIPGTMDWKVERTNRKLSGRLDELVGKKMRDRDRGSKDFLSLIMNARDSETVSKSVFTPDYISAVTYEHLLAGSATTAFTLSSIVYLVAGHPEVEEKLLAEIDGFGPPDQMPTAHDLQHKFPYIDQVIKEAMRFYMVSPLVARETSRQVEIGGYLLPKGTWVWLALGVLAKDPKNFPEPDKFRPERFDPSCKEVKQRHPYAFIPFGIGPRSCIGQKFSLQELKLSLIHLYRNYVFRHSPGMEKPLQLEYGIVLNFKNGVKLRVIKRTLFQHA >ONI33188 pep chromosome:Prunus_persica_NCBIv2:G1:35866273:35869009:1 gene:PRUPE_1G410300 transcript:ONI33188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPLIIVADAELCREVGIKKFKDIQNRSIPSPISASPLHQKGLFFTRDARWSAMRNTILSVYQPSHLASLVPTMQSFIESATEKLGSSKEEDITFSNLSLRLTTDVIGQAAFGVNFGLSKPQSISDSINKQIGGSQDINNVEVSDFINQHIYSTTQLKMDLSGSLSIILGLLVPVLQEPFRQILKRIPGTMDWKVERTNRKLSGRLDELVGKKMRDRDRGSKDFLSLIMNARDSETVSKSVFTPDYISAVTYEHLLAGSATTAFTLSSIVYLVAGHPEVEEKLLAEIDGFGPPDQMPTAHDLQHKFPYIDQVIKEAMRFYMVSPLVARETSRQVEIGGYLLPKGTWVWLALGVLAKDPKNFPEPDKFRPERFDPSCKEVKQRHPYAFIPFGIGPRSCIGQKFSLQELKLSLIHLYRNYVFRHSPGMEKPLQLEYGIVLNFKNGVKLRVIKRTLFQHA >ONI33189 pep chromosome:Prunus_persica_NCBIv2:G1:35866615:35869009:1 gene:PRUPE_1G410300 transcript:ONI33189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPLIIVADAELCREVGIKKFKDIQNRSIPSPISASPLHQKGLFFTRDARWSAMRNTILSVYQPSHLASLVPTMQSFIESATEKLGSSKEEDITFSNLSLRLTTDVIGQAAFGVNFGLSKPQSISDSINKQIGGSQDINNVEVSDFINQHIYSTTQLKMDLSGSLSIILGLLVPVLQEPFRQILKRIPGTMDWKVERTNRKLSGRLDELVGKKMRDRDRGSKDFLSLIMNARDSETVSKSVFTPDYISAVTYEHLLAGSATTAFTLSSIVYLVAGHPEVEEKLLAEIDGFGPPDQMPTAHDLQHKFPYIDQVIKEAMRFYMVSPLVARETSRQVEIGGYLLPKGTWVWLALGVLAKDPKNFPEPDKFRPERFDPSCKEVKQRHPYAFIPFGIGPRSCIGQKFSLQELKLSLIHLYRNYVFRHSPGMEKPLQLEYGIVLNFKNGVKLRVIKRTLFQHA >ONI35556 pep chromosome:Prunus_persica_NCBIv2:G1:44320539:44320760:1 gene:PRUPE_1G542500 transcript:ONI35556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSLLHSRYLIFREKLHNFRDVYTNRKKIVIRILYELIISYNGVTMMHKNCSIELKKIVKQTLITVDYNFFL >ONI30688 pep chromosome:Prunus_persica_NCBIv2:G1:27473425:27475997:1 gene:PRUPE_1G267200 transcript:ONI30688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFLSASTSPLTSNLSLGKTRFRFSPKHGRPSIAHSIQPPFNSNADLNFQTLSPLNPLLANSPLSHAATRVSSHGFLDKDEKDDILPVFEERPVKFVFWVLVWASVSLALFAASGDANAAAAAAADSIRASSFGLKIASALRGSGWPDEAVVFALATLPVIELRGAIPVGYWLQLKPVMLTVLSVLGNMVPVPFIILYLKRFASFLAGKNKAAARFLDILFVRAKEKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASILDMPFWAAVSANFFGVVLAGLLVNLLVNLGLKYAIITGIILFIISTFMWSILRNLRKSLSSSS >ONI26688 pep chromosome:Prunus_persica_NCBIv2:G1:2829262:2832206:1 gene:PRUPE_1G039700 transcript:ONI26688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCPTAFFCNHAATAAPWSSSRTGTSRSFCRRSSAKATIKAKLDQKTSLQYKKLGDSDLVISEITLGTMTFGEQNTEKEAHEILSYAFENGINALDTAETHPFPMKKETQGSTDRFISSWLKSQPRDKVILATKVAGYSETASYLRDNAKVLRVDTANIKESVEKSLKRLGTDYIDLIQINWPDRYAPIFGAYSYDFSKWRPSVPFVEQLKAFQELIDEGKVRYIGVSIETSYGVMEFVHAAKVEGLPKIVSIQNSYSLLVRTRFEKRVSPRVKVQRPHVLNVTQ >ONI26687 pep chromosome:Prunus_persica_NCBIv2:G1:2829186:2833797:1 gene:PRUPE_1G039700 transcript:ONI26687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCPTAFFCNHAATAAPWSSSRTGTSRSFCRRSSAKATIKAKLDQKTSLQYKKLGDSDLVISEITLGTMTFGEQNTEKEAHEILSYAFENGINALDTAETHPFPMKKETQGSTDRFISSWLKSQPRDKVILATKVAGYSETASYLRDNAKVLRVDTANIKESVEKSLKRLGTDYIDLIQINWPDRYAPIFGAYSYDFSKWRPSVPFVEQLKAFQELIDEGKVRYIGVSIETSYGVMEFVHAAKVEGLPKIVSIQNSYSLLVRTRFEIDLVEVCHPKNYNIGLLAYSPLGVGTLTGKYIDINSEAAKKGRLNLLPGYMERYNKSIAREATIKYIQTAKKHGLTPVQLALGFARDRPFVTSTIVGATSVNQLKEDIDAFLWTERPLPPEVVADIEDIFKRYKDPPMF >ONI35575 pep chromosome:Prunus_persica_NCBIv2:G1:44442140:44446730:-1 gene:PRUPE_1G543900 transcript:ONI35575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEKLPSESRGKPIRCRAAVSRKPGEPLVIEEIMVAPPMPHEVRIRIICTSLCQTDLSFWKMKDFPAIFPRILGHEAIGVVESVGEDVNEVTEGDTVIPTFKSECGECADCKSTRSNLCAKFPRPSIFMPRYGTSRFTDLSGEVLYHFLSVSSFTEYTVVDKAHITKIDPAIPPSSACLLSCGVSTGVGAAWRTAKVEKGSTVAIFGLGSIGLAVAEGARLCGAARIIGVDVNRDKFEIGKKFGLTDFVDPANCENNSVIKEMTGGGADYCFECVGLITLMQEAYACCRKGWGKTVVLGMDGPGSQVTLPSLDIIFGKTLTGSLFGGLKPKSDIAVLINRYKDKELQLDEFVTHEIRFEEINKAFDLLIEGKCLRCVIYMTNE >ONI35577 pep chromosome:Prunus_persica_NCBIv2:G1:44442947:44446516:-1 gene:PRUPE_1G543900 transcript:ONI35577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEKLPSESRGKPIRCRAAVSRKPGEPLVIEEIMVAPPMPHEVRIRIICTSLCQTDLSFWKMKDFPAIFPRILGHEAIGVVESVGEDVNEVTEGDTVIPTFKSECGECADCKSTRSNLCAKFPRPSIFMPRYGTSRFTDLSGEVLYHFLSVSSFTEYTVVDKAHITKIDPAIPPSSACLLSCGVSTGVGAAWRTAKVEKGSTVAIFGLGSIGLAVAEGARLCGAARIIGVDVNRDKFEIGKKFGLTDFVDPANCENNSVSEQVIKEMTGGGADYCFECVGLITLMQEAYACCRKGWGKTVVLGMDGPGSQVTLPSLDIIFGKTLTGSLFGGLKPKSDIAVLINRYKDKELQLDEFVTHEIRFEEINKAFDLLIEGKCLRCVIYMTNE >ONI35578 pep chromosome:Prunus_persica_NCBIv2:G1:44443494:44446716:-1 gene:PRUPE_1G543900 transcript:ONI35578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEKLPSESRGKPIRCRAAVSRKPGEPLVIEEIMVAPPMPHEVRIRIICTSLCQTDLSFWKMKDFPAIFPRILGHEAIGVVESVGEDVNEVTEGDTVIPTFKSECGECADCKSTRSNLCAKFPRPSIFMPRYGTSRFTDLSGEVLYHFLSVSSFTEYTVVDKAHITKIDPAIPPSSACLLSCGVSTGVGAAWRTAKVEKGSTVAIFGLGSIGLAVAEGARLCGAARIIGVDVNRDKFEIGKKFGLTDFVDPANCENNSVSEVIKEMTGGGADYCFECVGLITLMQEAYACCRKEFVEVCWKVCSMCL >ONI35576 pep chromosome:Prunus_persica_NCBIv2:G1:44442140:44446716:-1 gene:PRUPE_1G543900 transcript:ONI35576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEKLPSESRGKPIRCRAAVSRKPGEPLVIEEIMVAPPMPHEVRIRIICTSLCQTDLSFWKMKDFPAIFPRILGHEAIGVVESVGEDVNEVTEGDTVIPTFKSECGECADCKSTRSNLCAKFPRPSIFMPRYGTSRFTDLSGEVLYHFLSVSSFTEYTVVDKAHITKIDPAIPPSSACLLSCGVSTGVGAAWRTAKVEKGSTVAIFGLGSIGLAVAEGARLCGAARIIGVDVNRDKFEIGKKFGLTDFVDPANCENNSVSEVIKEMTGGGADYCFECVGLITLMQEAYACCRKGWGKTVVLGMDGPGSQVTLPSLDIIFGKTLTGSLFGGLKPKSDIAVLINRYKDKELQLDEFVTHEIRFEEINKAFDLLIEGKCLRCVIYMTNE >ONI34972 pep chromosome:Prunus_persica_NCBIv2:G1:41925717:41927036:1 gene:PRUPE_1G508200 transcript:ONI34972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRTLESGNGGERPQFPPLARQESYNLSNLDEAQSHLGNINSKNGMHFDELLKNVISVEEGQQLQNPSSSSLPASFFLGNFNLNGALSRKTADEVWKEIAHHEHVNTVVANESLQQRLSTIGETPATPEHFLVRAGVINIGNQPSLMNAAQPIMGIDPTVVSQQTDWLQFQMAAVQQQMTMLDSNLKVRESVYENSAVNFDYSENQVGMSMPMPAISASSCESRATAVRKRHFSDEMKERTIERRQKRMIKNRESAARSRARKQAYTNELEHEVFQLGKVNSWLKKQKEVEMILASNPTSMPKYQLRRTSSAPY >ONI26917 pep chromosome:Prunus_persica_NCBIv2:G1:3850396:3860450:-1 gene:PRUPE_1G054700 transcript:ONI26917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQVLPNSRKLKDLEAGKRKLEEFRKKKAAERAKKASSTSQTNAPEVSSNEKQPLETELVRVTVPDGAGTSDGPSGAYTETSSVSSNNDYKAVDFSQKKEQAPLNNSHASPSTYDFNDQVHKHASDQEYKSYGGFGFAGSLDVNHSNGTKGMNNDFEKYTGNSGETTSDRSIALRPQASQDFDSNTSHSSYHGRNEFQFKEHNISLMDSVSNSGSSHPTVTKISPQNSVSALLQSEASNVSTVSGGPPPSSLYEGLAEPSNSLRGFAHEVGKNMHGNSVDLSDPITFRFGEGKLTSSASGFHNLQSTAVQTSESMGFGSDARSSSLYSVTPETNSRRSRSSFLDSINVSKASSGTVFQHGEPEESLMSNSYKSNGMSFLGSSPFRKPSMDDDTVRSFSKFETGAHAFENSVKSLFPPNAGMDQQRPIIEGNSMERKHEFYSPNQNEDFSALEQHIEDLTQEKFSLQRALDASRALAESLAAENSSLTESYNQQRSVVDQLKSDLENIQEEIKAHLVELDAVRNEYANAHLECNAADERAKLLASEVIGLEEKALRLRSSELKLERQLENTQAEISSYKKKLSSLEKDRSDLQSTINALQEEKKLLQSMLRKASTSGKNVDVSKNTNNKDVSTSTEDLDAIPDTLGQERDDASSFPILPASGQPTFDVSSVNLPHDQMQTIENIHTLISELALEKEELIQSLASESSNCSKLKELNNELSRKLEAQTQRLELLTAQSMANENIIVRQPSPVDIPDNTPYADEGDEVVERVLGWIMKLFPGGPSRRRTSKLL >ONI26918 pep chromosome:Prunus_persica_NCBIv2:G1:3850827:3860010:-1 gene:PRUPE_1G054700 transcript:ONI26918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQVLPNSRKLKDLEAGKRKLEEFRKKKAAERAKKASSTSQTNAPEVSSNEKQPLETELVRVTVPDGAGTSDGPSGAYTETSSVSSNNDYKAVDFSQKKEQAPLNNSHASPSTYDFNDQVHKHASDQEYKSYGGFGFAGSLDVNHSNGTKGMNNDFEKYTGNSGETTSDRSIALRPQASQDFDSNTSHSSYHGRNEFQFKEHNISLMDSVSNSGSSHPTVTKISPQNSVSALLQSEASNVSTVSGGPPPSSLYEGLAEPSNSLRGFAHEVGKNMHGNSVDLSDPITFRFGEGKLTSSASGFHNLQSTAVQTSESMGFGSDARSSSLYSVTPETNSRRSRSSFLDSINVSKASSGTVFQHGEPEESLMSNSYKSNGMSFLGSSPFRKPSMDDDTVRSFSKFETGAHAFENSVKSLFPPNAGMDQQRPIIEGNSMERKHEFYSPNQNEDFSALEQHIEDLTQEKFSLQRALDASRALAESLAAENSSLTESYNQQRSVVDQLKSDLENIQEEIKAHLVELDAVRNEYANAHLECNAADERAKLLASEVIGLEEKALRLRSSELKLERQLENTQAEISSYKKKLSSLEKDRSDLQSTINALQEEKKLLQSMLRKASTSGKNVDVSKNTNNKDVSTSTEDLANEDAIPDTLGQERDDASSFPILPASGQPTFDVSSVNLPHDQMQTIENIHTLISELALEKEELIQSLASESSNCSKLKELNNELSRKLEAQTQRLELLTAQSMANENIIVRQPSPVDIPDNTPYADEGDEVVERVLGWIMKLFPGGPSRRRTSKLL >ONI34483 pep chromosome:Prunus_persica_NCBIv2:G1:40327164:40328992:-1 gene:PRUPE_1G483900 transcript:ONI34483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWDQLNIFFKKTGAVVIFGLNALRGRTISSQGAAVGAWNSSNAESLIRYTVKKGYRIHGWELGNELSGNGVGTRVAAGQYASDTKSLQSIVQNVYAGFEAKPQVLAPGGFFDENWFTEFIHKTPQSLQVVTHHIYNLGPGSAGDLIQKILDPSVLDGISQTFKSLQSLLEKSNTPAVAWVGESGGAYNSGHNLVTNAFVFSFWYLDQLGMSASYDTKTYCRQTLIGGNYGLLDTNTFVPNPDYYSALLWHRLMGNKVLSTSFPGTKKLRAYAHCSKKTQGITVLLINLDSNTKVQVRVSTESPGKLSYLNFQGLRGSKASQNVREEYHLTAKDGDLHSKVMLLNGKALSVDSSGNIPALEPITVGSSNPTTVAPLSIVFVHIPGITVPACK >ONI34482 pep chromosome:Prunus_persica_NCBIv2:G1:40326843:40329946:-1 gene:PRUPE_1G483900 transcript:ONI34482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWDQLNIFFKKTGAVVIFGLNALRGRTISSQGAAVGAWNSSNAESLIRYTVKKGYRIHGWELGNELSGNGVGTRVAAGQYASDTKSLQSIVQNVYAGFEAKPQVLAPGGFFDENWFTEFIHKTPQSLQVVTHHIYNLGPGSAGDLIQKILDPSVLDGISQTFKSLQSLLEKSNTPAVAWVGESGGAYNSGHNLVTNAFVFSFWYLDQLGMSASYDTKTYCRQTLIGGNYGLLDTNTFVPNPDYYSALLWHRLMGNKVLSTSFPGTKKLRAYAHCSKKTGITVLLINLDSNTKVQVRVSTESPGKLSYLNFQGLRGSKASQNVREEYHLTAKDGDLHSKVMLLNGKALSVDSSGNIPALEPITVGSSNPTTVAPLSIVFVHIPGITVPACK >ONI34480 pep chromosome:Prunus_persica_NCBIv2:G1:40326844:40330433:-1 gene:PRUPE_1G483900 transcript:ONI34480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEIMRSLVILSLLCYWVYMATHSLKSSISVASQSTEGTLFVNGTAAIGFIDDDFVCATIDWWPPQKCDYGTCSWGSASLLNLDLDNAILLNAIKAFSPLKIRLGGTLQDKVIYQTEESQQPSTSFLKDGSELFGFTQGYLPMSRWDQLNIFFKKTGAVVIFGLNALRGRTISSQGAAVGAWNSSNAESLIRYTVKKGYRIHGWELGNELSGNGVGTRVAAGQYASDTKSLQSIVQNVYAGFEAKPQVLAPGGFFDENWFTEFIHKTPQSLQVVTHHIYNLGPGSAGDLIQKILDPSVLDGISQTFKSLQSLLEKSNTPAVAWVGESGGAYNSGHNLVTNAFVFSFWYLDQLGMSASYDTKTYCRQTLIGGNYGLLDTNTFVPNPDYYSALLWHRLMGNKVLSTSFPGTKKLRAYAHCSKKTGITVLLINLDSNTKVQVRVSTESPGKLSYLNFQGLRGSKASQNVREEYHLTAKDGDLHSKVMLLNGKALSVDSSGNIPALEPITVGSSNPTTVAPLSIVFVHIPGITVPACK >ONI34481 pep chromosome:Prunus_persica_NCBIv2:G1:40327164:40330229:-1 gene:PRUPE_1G483900 transcript:ONI34481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEIMRSLVILSLLCYWVYMATHSLKSSISVASQSTEGTLFVNGTAAIGFIDDDFVCATIDWWPPQKCDYGTCSWGSASLLNLDLDNAILLNAIKAFSPLKIRLGGTLQDKVIYQTEESQQPSTSFLKDGSELFGFTQGYLPMSRWDQLNIFFKKTGAVVIFGLNALRGRTISSQGAAVGAWNSSNAESLIRYTVKKGYRIHGWELGNELSGNGVGTRVAAGQYASDTKSLQSIVQNVYAGFEAKPQVLAPGGFFDENWFTEFIHKTPQSLQVVTHHIYNLGPGSAGDLIQKILDPSVLDGISQTFKSLQSLLEKSNTPAVAWVGESGGAYNSGHNLVTNAFVFSFWYLDQLGMSASYDTKTYCRQTLIGGNYGLLDTNTFVPNPDYYSALLWHRLMGNKVLSTSFPGTKKLRAYAHCSKKTQGITVLLINLDSNTKVQVRVSTESPGKLSYLNFQGLRGSKASQNVREEYHLTAKDGDLHSKVMLLNGKALSVDSSGNIPALEPITVGSSNPTTVAPLSIVFVHIPGITVPACK >ONI31011 pep chromosome:Prunus_persica_NCBIv2:G1:28741234:28742800:1 gene:PRUPE_1G287400 transcript:ONI31011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNQTEHMSDHLSEQTSIFGLRLWIVLGVCVGAAFVLVLFVISLWLASKRSKNKTLQKPTIPIVSKEIQEIRVDHVRTQVQAYPYPEPDPIPRIERQALLTPTEDESPARYQKVHIETQIASTLSLSLSLCQPQLQNQKHLEATKAFHVQETPIPLLRVKSLGVESNGKTQQLCASAQAKKEVASVLQSPKPVLEKKTQVSEHASAPAAKAQVVGWPPIRSFRKNSMASNLAKNNDDAEGKQGSGCLWDAETMVLLIWTDPW >ONI29300 pep chromosome:Prunus_persica_NCBIv2:G1:17771144:17772121:1 gene:PRUPE_1G191900 transcript:ONI29300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRQRRQLNLRLPLPESSECRPCVSVPLPPTATVTAAVTNNSSFGSISAVDLEKLQVLGHGDSGTVYKVNHKRTSNTYALKLVHAHSNDPTVRRQLFREMEILRRTNSPHVVRCHAIFEKPSGDIGILMEYMDSGTLETLLKAQGTFSEPNLAHVARQVLNGLNYLHTNKIIHRDIKPANVLVNSNMEVKIADFGVSKILCRTSDACNSYVGTCAYMSPERFDPDTYGGNYNGYAGDIWSLGLTLMELYMGHFPLLPPGQKPDWATLMCAICFGEPPSLPEGVSEEFRSFMECCLQKESEKRWTAAQLLTHPFVSTDPSISVS >ONI35563 pep chromosome:Prunus_persica_NCBIv2:G1:44378817:44384253:1 gene:PRUPE_1G543000 transcript:ONI35563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQAPPFSLIQILSPPYPSLSITPSTKPFLSGEVPFLSSCLKPNSEKNQKRERERAKEMTGQFQKSSMYMEHNPGIVENGDFRKNLDDDGRPKRTGTWMTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLMAFSFITYFTSTLLADCYRSPDPVHGKRNYTYMDVVRANLGGRKVQLCGLAQYGNLIGVTIGYTITASISMVAVKRSNCFHKHGHHVKCRTSNNPFMIIFACIQILLSQIPNFHKLSWLSIIAAVMSFAYSSIGLGLSVAKVIAGPHARTTLTGGTVGIDVSASDKVWKTFQAIGDIAFAYAYSTVLVEIQDTLKSPPAENKAMKRATSIGIATTTVFYVLCGCVGYAAFGNDAPGNFLTGFGFYEPFWLVDLANICIAIHLIGAYQVFCQPIFGFVESQCAKRWPESKFINSEHAVNLPFHGAYCFNSFRLVWRTAYVVMTAILAMLFPFFNDFLGLLGAASFWPLTVYFPIEMYIARTKMPRFSFTWAWMKILSWACLVISLVSAAAAIQGLATDVKKYKPFQTEQ >ONI32162 pep chromosome:Prunus_persica_NCBIv2:G1:32723825:32727831:1 gene:PRUPE_1G352000 transcript:ONI32162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQQVQCSSCWSTIPIDIPKGQIMSAAAGGASSSKPLNVQSRSRRLRCEAVVGRPHTGRDPNVKKADWLRQKAPQGDKYEEVKESLSRLNLKTVCEEAQCPNIGECWNGGGDGISTATIMLLGDTCTRGCRFCAVKTSRTPPPPDPMEPLNTANAIVSWGVDYIVLTSVDRDDLPDGGSRHFALTVQALKTLKPDIMVECLTSDFRGDLTAVDTLVYSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLKHAKESKKGMITKTSIMLGLGESDDELKQAMADLRAIDVDILTLGQYLQPTPLHLTVKEYVVPEKFVFWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVRERVKGSTGIF >ONI32165 pep chromosome:Prunus_persica_NCBIv2:G1:32722824:32727831:1 gene:PRUPE_1G352000 transcript:ONI32165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQQVQCSSCWSTIPIDIPKGQIMSAAAGGASSSKPLNVQSRSRRLRCEAVVGRPHTGRDPNVKKADWLRQKAPQGDKYEEVKESLSRLNLKTVCEEAQCPNIGECWNGGGDGISTATIMLLGDTCTRGCRFCAVKTSRTPPPPDPMEPLNTANAIVSWGVDYIVLTSVDRDDLPDGGSRHFALTVQALKTLKPDIMVECLTSDFRGDLTAVDTLVYSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLKHAKESKKGMITKTSIMLGLGESDDELKQAMADLRAIDVDILTLGQYLQPTPLHLTVKEYVVPEKFVFWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVRERVKGSTGIF >ONI32163 pep chromosome:Prunus_persica_NCBIv2:G1:32723201:32727831:1 gene:PRUPE_1G352000 transcript:ONI32163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQQVQCSSCWSTIPIDIPKGQIMSAAAGGASSSKPLNVQSRSRRLRCEAVVGRPHTGRDPNVKKADWLRQKAPQGDKYEEVKESLSRLNLKTVCEEAQCPNIGECWNGGGDGISTATIMLLGDTCTRGCRFCAVKTSRTPPPPDPMEPLNTANAIVSWGVDYIVLTSVDRDDLPDGGSRHFALTVQALKTLKPDIMVECLTSDFRGDLTAVDTLVYSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLKHAKESKKGMITKTSIMLGLGESDDELKQAMADLRAIDVDILTLGQYLQPTPLHLTVKEYVVPEKFVFWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVRERVKGSTGIF >ONI32164 pep chromosome:Prunus_persica_NCBIv2:G1:32722824:32727831:1 gene:PRUPE_1G352000 transcript:ONI32164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQQVQCSSCWSTIPIDIPKGQIMSAAAGGASSSKPLNVQSRSRRLRCEAVVGRPHTGRDPNVKKADWLRQKAPQGDKYEEVKESLSRLNLKTVCEEAQCPNIGECWNGGGDGISTATIMLLGDTCTRGCRFCAVKTSRTPPPPDPMEPLNTANAIVSWGVDYIVLTSVDRDDLPDGGSRHFALTVQALKTLKPDIMVECLTSDFRGDLTAVDTLVYSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLKHAKESKKGMITKTSIMLGLGESDDELKQAMADLRAIDVDILTLGQYLQPTPLHLTVKEYVVPEKFVFWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVRERVKGSTGIF >ONI33901 pep chromosome:Prunus_persica_NCBIv2:G1:38045398:38048830:-1 gene:PRUPE_1G452100 transcript:ONI33901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSLDEETSKKVLRQVEFYFSDSNLPRDDFLKKTISESEEGLVSLALICSFTRMRNHLKLGDVKPDSVPEDRVKAVAETLRKSTTLKLSEDGTKVGRSTEILKPEELIEQVDIRTVAASPFEYDAKLEDVETFFGQFAKVNSVRLPRHVADKKLFCGTALVEFSTEEEANKILEQSLDYSGLKLDLKPKKDFDTDREREVKEYEARPRTDTNRKNNSKAEADYPKGLIVAFTLKSTSDKASAEHSGTPASANGSTNGNKTDGQVDSSENATEETERKESEDVNRGDVDEEIPGEDVKEKKENADEKNSSDGGEGKETGDGKNPSEVPAAKSEEKEERLSAAAYKDNMDVVMREDLKIVFQKFGTVKYIDFKIGEESGYIRFEEPEAAQKARAAAVLTDLGGLVVKNFIATLEPVSGEAEKEYWSLFRGHQEKFRDSKSHRGGGRGGKHNNRGWKHGRSRDNDNGGRPNKARKF >ONI35053 pep chromosome:Prunus_persica_NCBIv2:G1:42188560:42192059:1 gene:PRUPE_1G512500 transcript:ONI35053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVFNQSIGASQSLGHFNTSGLHQEFGKGSVRLISKAFEVDVGLSKKGCYNSSQRHLSIIRASTSQTSLIDIVSSPSHNKSNDSRKKSGEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPIDMIYTSALIRAQMTAMLAMTQHRRKKVPVIMHNESEQATAWSQIFSEDTKKQSIPVVADWRLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDVPPPNGESLEMCAQRAVAYFKDQIEPQLLAGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYISKEGKFIRRGSPAGPAEAGVYAYTKKLALYRQKLDEMVH >ONI35058 pep chromosome:Prunus_persica_NCBIv2:G1:42189091:42191569:1 gene:PRUPE_1G512500 transcript:ONI35058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVFNQSIGASQSLGHFNTSGLHQEFGKGSVRLISKAFEVDVGLSKKGCYNSSQRHLSIIRASTSQTSLIDIVSSPSHNKSNDSRKKSGEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPIDMIYTSALIRAQMTAMLAMTQHRRKKVPVIMHNESEQATAWSQIFSEDTKKQSIPVVADWRLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDVPPPNGESLEMCAQRAVAYFKDQIEPQLLAGKNVMIAAHGNSLRSIIMYLDKLTSQEVSFRTINWNTHALHFQRGEIH >ONI35055 pep chromosome:Prunus_persica_NCBIv2:G1:42187890:42192025:1 gene:PRUPE_1G512500 transcript:ONI35055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVFNQSIGASQSLGHFNTSGLHQEFGKGSVRLISKAFEVDVGLSKKGCYNSSQRHLSIIRASTSQTSLIDIVSSPSHNKSNDSRKKSGEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPIDMIYTSALIRAQMTAMLAMTQHRRKKVPVIMHNESEQATAWSQIFSEDTKKQSIPVVADWRLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDVPPPNGESLEMCAQRAVAYFKDQIEPQLLAGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYISKEGKFIRRGSPAGPAEAGVYAYTKKLALYRQKLDEMVH >ONI35057 pep chromosome:Prunus_persica_NCBIv2:G1:42188049:42192059:1 gene:PRUPE_1G512500 transcript:ONI35057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVFNQSIGASQSLGHFNTSGLHQEFGKGSVRLISKAFEVDVGLSKKGCYNSSQRHLSIIRASTSQTSLIDIVSSPSHNKSNDSRKKSGEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPIDMIYTSALIRAQMTAMLAMTQHRRKKVPVIMHNESEQATAWSQIFSEDTKKQSIPVVADWRLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDVPPPNGESLEMCAQRAVAYFKDQIEPQLLAGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYISKEGKFIRRGSPAGPAEAGVYAYTKKLALYRQKLDEMVH >ONI35054 pep chromosome:Prunus_persica_NCBIv2:G1:42189040:42191751:1 gene:PRUPE_1G512500 transcript:ONI35054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVFNQSIGASQSLGHFNTSGLHQEFGKGSVRLISKAFEVDVGLSKKGCYNSSQRHLSIIRASTSQTSLIDIVSSPSHNKSNDSRKKSGEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPIDMIYTSALIRAQMTAMLAMTQHRRKKVPVIMHNESEQATAWSQIFSEDTKKQSIPVVADWRLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDVPPPNGESLEMCAQRAVAYFKDQIEPQLLAGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYISKEGKFIRRGSPAGPAEAGVYAYTKKLALYRQKLDEMVH >ONI35056 pep chromosome:Prunus_persica_NCBIv2:G1:42188068:42192709:1 gene:PRUPE_1G512500 transcript:ONI35056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVFNQSIGASQSLGHFNTSGLHQEFGKGSVRLISKAFEVDVGLSKKGCYNSSQRHLSIIRASTSQTSLIDIVSSPSHNKSNDSRKKSGEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPIDMIYTSALIRAQMTAMLAMTQHRRKKVPVIMHNESEQATAWSQIFSEDTKKQSIPVVADWRLNERMYGELQGLNKQETADRYGKEQVHEWRRSYDVPPPNGESLEMCAQRAVAYFKDQIEPQLLAGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYISKEGKFIRRGSPAGPAEAGVYAYTKKLALYRQKLDEMVH >ONI36417 pep chromosome:Prunus_persica_NCBIv2:G1:47427957:47431345:-1 gene:PRUPE_1G584100 transcript:ONI36417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKLGDLPFGFLLLVLGYAVISCEAALSKHKDPTLTAKALNRGSFPPGFIFGSAGAAYQFEGGAKEGGRGPSIWDTFTHKYPDKITDGSNGDVAIDSYHRYKEDVSIVKDMGWDAYRFSISWSRILPTGMLSGGVNQEGIKYYNNLINELVANGIKPFVTIFHWDLPQGIEDAYGGFLSPKSVDDFRDYAEICFREFGDRVKHWITLNEPHTFSNAGYTSGVFAPGRCSNHTKCNGGNSGTEPYLVSHHQLLAHAAAMKVYREKFLATQKGVVGIALNTGFSVPFSDSQADKDAASRAMDFSYGWFLDPLTRGQYPQSMQSLVGNRLPKFTKEQSQMLKGSFDFIGLNYYSSSYAKAVPPNKAGEPSYATDSQADSLNNRNGVPIGPVAASDWLYVYPRGLRDVLLYTKRKYKNPLIYITENGVDEHNDPKLSLEKALIDKDRIKYYDEHLYYLQLAIKDGVNVKGFFAWSLFDNFEWANGYTVRFGIYFVDYKNGNKRYPKQSAHWFKNFLNGKTTGFVSKPKSTSGDKSRRSGSI >ONI26812 pep chromosome:Prunus_persica_NCBIv2:G1:3356446:3363965:1 gene:PRUPE_1G047500 transcript:ONI26812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPEDPVVSKKSGLLFEKRLIERHISEYGKCPITGEPLTADDIVPVRTGKIVRPRPAQAASIPGMLGMFQLEWDSLMLANFAMEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLALAERQIPMSAPSTIAANASVHSNGKPAADDQLGPGLRNVRPGISPNILDDMTECNSVLSQQRKRRQISPTLAPVDALERYTQISSHPLHKTSKPGILSIDIHSNKNIIATGGVDTNAVIFDQPSGQIISTLSGHSKKVNSVKIVPRDDLLITGSADKTVRIWQGSDDGNYNCNHVLKDHTAEVQAVTVHATNNYFVTASLDGTWCFYDLSSGLCLTQVEDPSASEGYTSLAFHPDGLILGTGTTGGLVKIWDVKSQTNVAKFEGHVGAVTSISFSENGYFLATAASDGIKLWDLRKLKNFRTFTPYGPDTPTNCVEFDYSGSYLAAAGSDIRVIQATSVKLDWNTIKTFPDMSGTGKATSVKFGPDAKYIAVGSMDRNLRIFGLPGDDSAMEP >ONI30068 pep chromosome:Prunus_persica_NCBIv2:G1:24219220:24223603:-1 gene:PRUPE_1G229200 transcript:ONI30068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSIFFFLLISIFIDFTLSLTPDGLSLLSLKSAVEQPSDGSAFSDWLDTDDTPCRWTGISCMNVTGFPEPRVVGIALSGKNLRGYIPSELGTLVYLRRLNLHSNNFHGSIPSQLFNATSLHSIFLYGNNLSGSLPPSICNLPRLQNLDLSNNSLSGSLQAEYLKNCKQLQRLILARNRFSGEIPAGIWSDMENLIQLDLSSNEFTGSVPEDFGELKSLSGTLNLSYNHLSGKIPKSLGHLPVTVSFDLRNNNLSGEIPQTGSFSNQGPTAFLNNPLLCGFPLQKTCKNPGQSSPGNPNSGPGSENGPRKGLSPGLIILISVADAAGVAFIGLVVVYIYWKRKDNSNGCSCTGKSKFGGNEKLHLCQLCSCACINGGFGNEDSEQGDPEKAERGKGEGDLVAIDKGFTFELDELLRASAYVLGKSGLGIVYKVVLGSGIPVAVRRLGEGGDQRYKEFAAEVQAIGRVKHPNVVKLRAYYWAPDEKLLISDFISHGSLASALRGRNGQSSSSLSWTTRLKIAKGTARGLAYLHECSPRKFVHGDIKPSNILLDNESQAYVSDFGLNKLITITGNNPSSGGFMGGALPYLKSVPTERSNNYRAPEARVPGNKPTQKWDVYSFGVVLLELLTGKSPELSPTTSTSVEVPDLVRWVRKGFEDENPLSDMVDPMLLQEVHAKKEVLAAFHIALACTETDPEVRPRMKTVSENLERVGT >ONI31458 pep chromosome:Prunus_persica_NCBIv2:G1:30398390:30403332:-1 gene:PRUPE_1G314300 transcript:ONI31458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAKVSESKNNSNGQFQDSAKKGQCACQSSKTCKRKRVEIKSDNSSTIVSNPIDEILLWHNAIKRELNDIVEASRRIQLSGDFSDLSAFNKRLQFIAEVCIFHSIAEDKVIFPALDAELTFAQEHAEEEIQFDKLRHLMESIQRAGANSSTSEFYMKLCSHADQIIDSILKHFQNEELQVLPLARKHFSSKIQRKLLYQSLCLMPLKLIECVLPWLVGSLSEEQASSFLQNIRIAAPASDSALVTLFSGWACKGRSANMCLSSCIQTDGADDNQRPVKSVSLISEAAACQAMESVNTLQSSCGNQTCCVPGLGVNDSNLGVGSLTAAKSLRALSFNPSAPSLNSSLFNWETDASFTDTNSAPRPIDNIFKFHKAIRKDLEYLDVESGKLNDCNETFIRHFTGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHAYTLDHKQEEKLFEDISSVLSELSQLSEFISTGNFSDDSTQSGFNSFEHNDTLRKYNELATKLQGMCKSIRVTLDQHVFREELELWPLFDKHFSVEEQDKIVGRIIGTTGAEVLQSMLPWVTDVLTQEEQNKLMDTWKQATKNTMFSEWLNEWWDGTPAASSHTETLENCSSLVSGADAYESLGHSDDTFKPGWKDIFRMNQNELESEIRKVSRDSTLDPRRKAYLIQNLMTSRWIASQQKSPQASAVEGSNGEDLLGCSPSFCDSQKQVFGCEHYKRNCKVRAACCGKLFTCRFCHDNVSDHSMDRKATSEMMCMRCLKIQPVGPVCTTPSCGGFSMANYYCSICKFFDDERTVYHCPSCNLCRVGKGLGIDFFHCMTCNCCLGMKLLDHKCREKGLEINCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYVCPICSKSLGDMAVYFGMLDALLASEELPEEYRDRCQDILCNDCNKKGTAPFHWLYHKCGSCGSYNTKVIRVDSNTHCLT >ONI31456 pep chromosome:Prunus_persica_NCBIv2:G1:30398341:30404706:-1 gene:PRUPE_1G314300 transcript:ONI31456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFPGGGGGGVAVMAGPLTPLDPSPSKTCLKNSALKSPILIFLLFHKAIRSELDGLHQAAMAFATSQASSADIEPLLERYHFLRAIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHEGESVLFDQLFELLNSNMQNEESYRRELASCTGALQTSISQHMSKEEEQVFPLLIEKFTFEEQASLVWQFLCSIPVNMMAEFLPWLSSSISSDEHQDMRKYLSKVIPEEKLLQQVVFAWMEGAKVSESKNNSNGQFQDSAKKGQCACQSSKTCKRKRVEIKSDNSSTIVSNPIDEILLWHNAIKRELNDIVEASRRIQLSGDFSDLSAFNKRLQFIAEVCIFHSIAEDKVIFPALDAELTFAQEHAEEEIQFDKLRHLMESIQRAGANSSTSEFYMKLCSHADQIIDSILKHFQNEELQVLPLARKHFSSKIQRKLLYQSLCLMPLKLIECVLPWLVGSLSEEQASSFLQNIRIAAPASDSALVTLFSGWACKGRSANMCLSSCIQTDGADDNQRPVKSVSLISEAAACQAMESVNTLQSSCGNQTCCVPGLGVNDSNLGVGSLTAAKSLRALSFNPSAPSLNSSLFNWETDASFTDTNSAPRPIDNIFKFHKAIRKDLEYLDVESGKLNDCNETFIRHFTGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHAYTLDHKQEEKLFEDISSVLSELSQLSEFISTGNFSDDSTQSGFNSFEHNDTLRKYNELATKLQGMCKSIRVTLDQHVFREELELWPLFDKHFSVEEQDKIVGRIIGTTGAEVLQSMLPWVTDVLTQEEQNKLMDTWKQATKNTMFSEWLNEWWDGTPAASSHTETLENCSSLVSGADAYESLGHSDDTFKPGWKDIFRMNQNELESEIRKVSRDSTLDPRRKAYLIQNLMTSRWIASQQKSPQASAVEGSNGEDLLGCSPSFCDSQKQVFGCEHYKRNCKVRAACCGKLFTCRFCHDNVSDHSMDRKATSEMMCMRCLKIQPVGPVCTTPSCGGFSMANYYCSICKFFDDERTVYHCPSCNLCRVGKGLGIDFFHCMTCNCCLGMKLLDHKCREKGLEINCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYVCPICSKSLGDMAVYFGMLDALLASEELPEEYRDRCQDILCNDCNKKGTAPFHWLYHKCGSCGSYNTKVIRVDSNTHCLT >ONI31459 pep chromosome:Prunus_persica_NCBIv2:G1:30398390:30403570:-1 gene:PRUPE_1G314300 transcript:ONI31459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIQRAGANSSTSEFYMKLCSHADQIIDSILKHFQNEELQVLPLARKHFSSKIQRKLLYQSLCLMPLKLIECVLPWLVGSLSEEQASSFLQNIRIAAPASDSALVTLFSGWACKGRSANMCLSSCIQTDGADDNQRPVKSVSLISEAAACQAMESVNTLQSSCGNQTCCVPGLGVNDSNLGVGSLTAAKSLRALSFNPSAPSLNSSLFNWETDASFTDTNSAPRPIDNIFKFHKAIRKDLEYLDVESGKLNDCNETFIRHFTGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHAYTLDHKQEEKLFEDISSVLSELSQLSEFISTGNFSDDSTQSGFNSFEHNDTLRKYNELATKLQGMCKSIRVTLDQHVFREELELWPLFDKHFSVEEQDKIVGRIIGTTGAEVLQSMLPWVTDVLTQEEQNKLMDTWKQATKNTMFSEWLNEWWDGTPAASSHTETLENCSSLVSGADAYESLGHSDDTFKPGWKDIFRMNQNELESEIRKVSRDSTLDPRRKAYLIQNLMTSRWIASQQKSPQASAVEGSNGEDLLGCSPSFCDSQKQVFGCEHYKRNCKVRAACCGKLFTCRFCHDNVSDHSMDRKATSEMMCMRCLKIQPVGPVCTTPSCGGFSMANYYCSICKFFDDERTVYHCPSCNLCRVGKGLGIDFFHCMTCNCCLGMKLLDHKCREKGLEINCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYVCPICSKSLGDMAVYFGMLDALLASEELPEEYRDRCQDILCNDCNKKGTAPFHWLYHKCGSCGSYNTKVIRVDSNTHCLT >ONI31457 pep chromosome:Prunus_persica_NCBIv2:G1:30398828:30404478:-1 gene:PRUPE_1G314300 transcript:ONI31457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFPGGGGGGVAVMAGPLTPLDPSPSKTCLKNSALKSPILIFLLFHKAIRSELDGLHQAAMAFATSQASSADIEPLLERYHFLRAIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHEGESVLFDQLFELLNSNMQNEESYRRELASCTGALQTSISQHMSKEEEQVFPLLIEKFTFEEQASLVWQFLCSIPVNMMAEFLPWLSSSISSDEHQDMRKYLSKVIPEEKLLQQVYDTKVVFAWMEGAKVSESKNNSNGQFQDSAKKGQCACQSSKTCKRKRVEIKSDNSSTIVSNPIDEILLWHNAIKRELNDIVEASRRIQLSGDFSDLSAFNKRLQFIAEVCIFHSIAEDKVIFPALDAELTFAQEHAEEEIQFDKLRHLMESIQRAGANSSTSEFYMKLCSHADQIIDSILKHFQNEELQVLPLARKHFSSKIQRKLLYQSLCLMPLKLIECVLPWLVGSLSEEQASSFLQNIRIAAPASDSALVTLFSGWACKGRSANMCLSSCIQTDGADDNQRPVKSVSLISEAAACQAMESVNTLQSSCGNQTCCVPGLGVNDSNLGVGSLTAAKSLRALSFNPSAPSLNSSLFNWETDASFTDTNSAPRPIDNIFKFHKAIRKDLEYLDVESGKLNDCNETFIRHFTGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHAYTLDHKQEEKLFEDISSVLSELSQLSEFISTGNFSDDSTQSGFNSFEHNDTLRKYNELATKLQGMCKSIRVTLDQHVFREELELWPLFDKHFSVEEQDKIVGRIIGTTGAEVLQSMLPWVTDVLTQEEQNKLMDTWKQATKNTMFSEWLNEWWDGTPAASSHTETLENCSSLVSGADAYESLGHSDDTFKPGWKDIFRMNQNELESEIRKVSRDSTLDPRRKAYLIQNLMTSRWIASQQKSPQASAVEGSNGEDLLGCSPSFCDSQKQVFGCEHYKRNCKVRAACCGKLFTCRFCHDNVSDHSMDRKATSEMMCMRCLKIQPVGPVCTTPSCGGFSMANYYCSICKFFDDERTVYHCPSCNLCRVGKGLGIDFFHCMTCNCCLGMKLLDHKCREKGLEINCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYVCPICSKSLGDMAVYFGMLDALLASEELPEEYRDRCQDILCNDCNKKGTAPFHWLYHKCGSCGSYNTKVIRVDSNTHCLT >ONI31455 pep chromosome:Prunus_persica_NCBIv2:G1:30398390:30404705:-1 gene:PRUPE_1G314300 transcript:ONI31455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFPGGGGGGVAVMAGPLTPLDPSPSKTCLKNSALKSPILIFLLFHKAIRSELDGLHQAAMAFATSQASSADIEPLLERYHFLRAIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHEGESVLFDQLFELLNSNMQNEESYRRELASCTGALQTSISQHMSKEEEQVFPLLIEKFTFEEQASLVWQFLCSIPVNMMAEFLPWLSSSISSDEHQDMRKYLSKVIPEEKLLQQVVFAWMEGAKVSESKNNSNGQFQDSAKKGQCACQSSKTCKRKRVEIKSDNSSTIVSNPIDEILLWHNAIKRELNDIVEASRRIQLSGDFSDLSAFNKRLQFIAEVCIFHSIAEDKVIFPALDAELTFAQEHAEEEIQFDKLRHLMESIQRAGANSSTSEFYMKLCSHADQIIDSILKHFQNEELQRKLLYQSLCLMPLKLIECVLPWLVGSLSEEQASSFLQNIRIAAPASDSALVTLFSGWACKGRSANMCLSSCIQTDGADDNQRPVKSVSLISEAAACQAMESVNTLQSSCGNQTCCVPGLGVNDSNLGVGSLTAAKSLRALSFNPSAPSLNSSLFNWETDASFTDTNSAPRPIDNIFKFHKAIRKDLEYLDVESGKLNDCNETFIRHFTGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHAYTLDHKQEEKLFEDISSVLSELSQLSEFISTGNFSDDSTQSGFNSFEHNDTLRKYNELATKLQGMCKSIRVTLDQHVFREELELWPLFDKHFSVEEQDKIVGRIIGTTGAEVLQSMLPWVTDVLTQEEQNKLMDTWKQATKNTMFSEWLNEWWDGTPAASSHTETLENCSSLVSGADAYESLGHSDDTFKPGWKDIFRMNQNELESEIRKVSRDSTLDPRRKAYLIQNLMTSRWIASQQKSPQASAVEGSNGEDLLGCSPSFCDSQKQVFGCEHYKRNCKVRAACCGKLFTCRFCHDNVSDHSMDRKATSEMMCMRCLKIQPVGPVCTTPSCGGFSMANYYCSICKFFDDERTVYHCPSCNLCRVGKGLGIDFFHCMTCNCCLGMKLLDHKCREKGLEINCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYVCPICSKSLGDMAVYFGMLDALLASEELPEEYRDRCQDILCNDCNKKGTAPFHWLYHKCGSCGSYNTKVIRVDSNTHCLT >ONI32592 pep chromosome:Prunus_persica_NCBIv2:G1:34034935:34039208:1 gene:PRUPE_1G375000 transcript:ONI32592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESANLHHQHHQLQENLVGSSSLAATPSCYAVGTKHAWTPSATLSSSGNSSNSGLDPLNSSMVPDLGFHWLTNITSEHQSPHDLAKIKEELTSSSSSDHHHHHHNSFPKLTEMLTSAAASTSIDHDQYYQFMKNEEKNQLIMNDLSEKLLLKTLSSGCQINSIINPHHHQISSAGEFYSNDDHHHLLHNSNLIGGVPPGMPSRSGGHFSQIYPSINVSNLNRSLSSSSISNSSLDMNLQAMDLLGASARFSTGTSSSFSTQPNSHDTLGLYKETHDSFATLQQMHQSTDPHRLSCGNNNKISSFDNEITEVKRPGSSIEPKVTQATAPKKSRLESRTACPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNKSSKTMQGGVTEINENDETKRDLRSRGLCLVPLSCMSYVTSDIGEGGSIWPAPNFGGGT >ONI32593 pep chromosome:Prunus_persica_NCBIv2:G1:34035234:34039193:1 gene:PRUPE_1G375000 transcript:ONI32593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESANLHHQHHQLQENLVGSSSLAATPSCYAVGTKHAWTPSATLSSSGNSSNSGLDPLNSSMVPDLGFHWLTNITSEHQSPHDLAKIKEELTSSSSSDHHHHHHNSFPKLTEMLTSAAASTSIDHDQYYQFMKNEEKNQLIMNDLSEKLLLKTLSSGCQINSIINPHHHQISSAGEFYSNDDHHHLLHNSNLIGGVPPGMPSRSGGHFSQIYPSINVSNLNRSLSSSSISNSSLDMNLQAMDLLGASARFSTGTSSSFSTQPNSHDTLGLYKETHDSFATLQQMHQSTDPHRLSCGNNNKISSFDNEITEVKRPGSSIEPKVTQATAPKKSRLESRTACPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNKSSKTMQGGVTEINENDETKRDLRSRGLCLVPLSCMSYVTSDIGEGGSIWPAPNFGGGT >ONI30819 pep chromosome:Prunus_persica_NCBIv2:G1:28073656:28077627:-1 gene:PRUPE_1G275000 transcript:ONI30819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNTRKGVNSKPTDDYDSNNMDPVKLQVRPSTRSNLFSRNPKHNNRSSFLIIASLTIALAFTLCYLLVSARNSKSFGKKRYGIVIDGGSTGTRIHVFGYGVDGGNTAVFDFGTDGLSSMRVNPGLSAYAEDPESAGGSLRELVEFGKGRVPKEQWENTEIRLMATAGLRLLDLDAQNRILDSCRKVLRSSGFKFQNEWASVITGSDEGLYAWVVANHALGTLGGNPLQTTGIIELGGASAQVTFVSSEPVPHEFSRVVKYGNVTYNLYSHSLLHYGQNVAYDSLKEAIVSGDFNSDAESLQKRKSIDPCTPKGYSHKMQSSKLSPSSSVEKNRHLSTLQSRGNFSECRSAAIMMLQKGKDKCSYQHCSIGSNFIPKLQGKFLATENFFHTSKFFGLASKAFLPDLMMAGQQFCGEDWSKLKKRHHRLDEEALLHYCFSSAYTVALLHDSLGIAMDDERIRVANQVGSIPLDWALGAFILQSTSDLDCGLCQSGGSPS >ONI30816 pep chromosome:Prunus_persica_NCBIv2:G1:28073667:28077627:-1 gene:PRUPE_1G275000 transcript:ONI30816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNTRKGVNSKPTDDYDSNNMDPVKLQVRPSTRSNLFSRNPKHNNRSSFLIIASLTIALAFTLCYLLVSARNSKSFGKKRYGIVIDGGSTGTRIHVFGYGVDGGNTAVFDFGTDGLSSMRVNPGLSAYAEDPESAGGSLRELVEFGKGRVPKEQWENTEIRLMATAGLRLLDLDAQNRILDSCRKVLRSSGFKFQNEWASVITGSDEGLYAWVVANHALGTLGGNPLQTTGIIELGGASAQVTFVSSEPVPHEFSRVVKYGNVTYNLYSHSLLHYGQNVAYDSLKEAIVSGDFNSDAESLQKRKSIDPCTPKGYSHKMQSSKLSPSSSVEKNRHLSTLQSRGNFSECRSAAIMMLQKGKDKCSYQHCSIGSNFIPKLQGKFLATENFFHTSKFFGLASKAFLPDLMMAGQQFCGEDWSKLKKRHHRLDEEALLHYCFSSAYTVALLHDSLGIAMDDERIRVANQVGSIPLDWALGAFILQSTSDLDVGHSDWISTVISDGSPTLLSLIFIFAILMFTVWSLSKWRKPQLKTIYDLEKGRYIVTRVSR >ONI30818 pep chromosome:Prunus_persica_NCBIv2:G1:28074158:28077229:-1 gene:PRUPE_1G275000 transcript:ONI30818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNTRKGVNSKPTDDYDSNNMDPVKLQVRPSTRSNLFSRNPKHNNRSSFLIIASLTIALAFTLCYLLVSARNSKSFGKKRYGIVIDGGSTGTRIHVFGYGVDGGNTAVFDFGTDGLSSMRVNPGLSAYAEDPESAGGSLRELVEFGKGRVPKEQWENTEIRLMATAGLRLLDLDAQNRILDSCRKVLRSSGFKFQNEWASVITGSDEGLYAWVVANHALGTLGGNPLQTTGIIELGGASAQVTFVSSEPVPHEFSRVVKYGNVTYNLYSHSLLHYGQNVAYDSLKEAIVSGDFNSDAESLQKRKSIDPCTPKGYSHKMQSSKLSPSSSVEKNRHLSTLQSRGNFSECRSAAIMMLQKGKDKCSYQHCSIGSNFIPKLQGKFLATENFFHTSKFFGLASKAFLPDLMMAGQQFCGEDWSKLKKRHHRLDEEALLHYCFSSAYTVALLHDSLGIAMDDERVANQVGSIPLDWALGAFILQSTSDLDCGLCQSGGSPS >ONI30817 pep chromosome:Prunus_persica_NCBIv2:G1:28073655:28077627:-1 gene:PRUPE_1G275000 transcript:ONI30817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLNTRKGVNSKPTDDYDSNNMDPVKLQVRPSTRSNLFSRNPKHNNRSSFLIIASLTIALAFTLCYLLVSARNSKSFGKKRYGIVIDGGSTGTRIHVFGYGVDGGNTAVFDFGTDGLSSMRVNPGLSAYAEDPESAGGSLRELVEFGKGRVPKEQWENTEIRLMATAGLRLLDLDAQNRILDSCRKVLRSSGFKFQNEWASVITGSDEGLYAWVVANHALGTLGGNPLQTTGIIELGGASAQVTFVSSEPVPHEFSRVVKYGNVTYNLYSHSLLHYGQNVAYDSLKEAIVSGDFNSDAESLQKRKSIDPCTPKGYSHKMQSSKLSPSSSVEKNRHLSTLQSRGNFSECRSAAIMMLQKGKDKCSYQHCSIGSNFIPKLQGKFLATENFFHTSKFFGLASKAFLPDLMMAGQQFCGEDWSKLKKRHHRLDEEALLHYCFSSAYTVALLHDSLGIAMDDESVVSVKVEEAPVEDNL >ONI30578 pep chromosome:Prunus_persica_NCBIv2:G1:26908870:26912412:-1 gene:PRUPE_1G259900 transcript:ONI30578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTTRNQSPPSDPQGMFAGLVVFLVEKRVQSRRLQIWKQKLVQMGATIEDSLTKRVTHILAMDPEALLQQLGSERLAHFKGTVVVYQWLEDSLSSGEKVSEDMYHLKLEADKSSNDYISSDDEPPKPKKLRSSSPDDLAHTSIPKTPESPNKDQITALQSAVPYNPPDLNKNITQIFGKLINIYRALGDDRRSFSYYKAVPVIEKLPFKIQSVDQVKDLPNIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTLALFEEIWGVGPATALKLYEKGHRTLDDLKNEDSLTNSQKLGLRYYDDIKQRIPRHEAEEMEHLLQKVGENVLPGVVIVCGGSYRRGKASCGDLDIVITHPDGTSHKGFLPKYVKQLKDMKFLREDLIFSTHSEEGTDSGVDTYFGLCTYLGRELRHRIDLKVFGLPIGDICIWTNSLDRE >ONI30576 pep chromosome:Prunus_persica_NCBIv2:G1:26907196:26912555:-1 gene:PRUPE_1G259900 transcript:ONI30576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTTRNQSPPSDPQGMFAGLVVFLVEKRVQSRRLQIWKQKLVQMGATIEDSLTKRVTHILAMDPEALLQQLGSERLAHFKGTVVVYQWLEDSLSSGEKVSEDMYHLKLEADKSSNDYISSDDEPPKPKKLRSSSPDDLAHTSIPKTPESPNKDQITALQSAVPYNPPDLNKNITQIFGKLINIYRALGDDRRSFSYYKAVPVIEKLPFKIQSVDQVKDLPNIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTLALFEEIWGVGPATALKLYEKGHRTLDDLKNEDSLTNSQKLGLRYYDDIKQRIPRHEAEEMEHLLQKVGENVLPGVVIVCGGSYRRGKASCGDLDIVITHPDGTSHKGFLPKYVKQLKDMKFLREDLIFSTHSEEVLFFSVHVSFSFEGI >ONI30579 pep chromosome:Prunus_persica_NCBIv2:G1:26909324:26912555:-1 gene:PRUPE_1G259900 transcript:ONI30579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTTRNQSPPSDPQGMFAGLVVFLVEKRVQSRRLQIWKQKLVQMGATIEDSLTKRVTHILAMDPEALLQQLGSERLAHFKGTVVVYQWLEDSLSSGEKVSEDMYHLKLEADKSSNDYISSDDEPPKPKKLRSSSPDDLAHTSIPKTPESPNKDQITALQSAVPYNPPDLNKNITQIFGKLINIYRALGDDRRSFSYYKAVPVIEKLPFKIQSVDQVKDLPNIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTLALFEEIWGVGPATALKLYEKGHRTLDDLKNEDSLTNSQKLGLRYYDDIKQRIPRHEAEEMEHLLQKVGENVLPGVVIVCGGSYRRGKASCGDLDIVITHPDGTSHKGFLPKYVKQLKDMKFLREDLIFSTHSEECTYVSVTHMLS >ONI30577 pep chromosome:Prunus_persica_NCBIv2:G1:26907938:26912555:-1 gene:PRUPE_1G259900 transcript:ONI30577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTTRNQSPPSDPQGMFAGLVVFLVEKRVQSRRLQIWKQKLVQMGATIEDSLTKRVTHILAMDPEALLQQLGSERLAHFKGTVVVYQWLEDSLSSGEKVSEDMYHLKLEADKSSNDYISSDDEPPKPKKLRSSSPDDLAHTSIPKTPESPNKDQITALQSAVPYNPPDLNKNITQIFGKLINIYRALGDDRRSFSYYKAVPVIEKLPFKIQSVDQVKDLPNIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTLALFEEIWGVGPATALKLYEKGHRTLDDLKNEDSLTNSQKLGLRYYDDIKQRIPRHEAEEMEHLLQKVGENVLPGVVIVCGGSYRRGKASCGDLDIVITHPDGTSHKGFLPKYVKQLKDMKFLREDLIFSTHSEEGTDSGVDTYFGLCTYLGRELRHRIDLKVYPLEIYAFGLIAWTGNDVLNRRLRLLAESKGFRLDDTGLFPATHGSSGSKRGVRASASLKFDTEKEVFDFLGFPWLEPHERNL >ONI27452 pep chromosome:Prunus_persica_NCBIv2:G1:6517668:6518946:1 gene:PRUPE_1G087500 transcript:ONI27452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANISKLHPGFFYLVEKSQPASELQFHLLPCPSLSLLSLSLYATANNLTSGTLSLPLLPPPNPSLSLSSLLLSLTSTKLKFQIWVFLSSFN >ONI29908 pep chromosome:Prunus_persica_NCBIv2:G1:23592203:23594088:1 gene:PRUPE_1G221500 transcript:ONI29908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSYFKRHWNSFAPHHGYSPSFRQVPVQRQATPSPKVVSIPVQFVGLERNRSASAQKIQKAFRGFLVRKSVKKIAAIKGDVDEIEKRISKKETVELMKREAKERLKVNETLMSLLFRLDSVKGVDPGVRDFRRAVIKKAIALQERVDAAVADDDHTLGEVGEASGIDNGESISETVGGAVEDKDSGEDFEGGKAAPVDQTQDLQSVAREEDCNSNAAPKSLNGTLNLPSLEQTAETDGVTNVNEIREIVGDESEEEGESESQSVSSYNPQNSMIEDVEENPLVKQEEEEDDGMEIVKAEDEGGNGIGFGVRDREENKRSRELLERMVEDNEKMMGMMVELFERNEIQTRMLSSLSQRVEQLERALVCERLRRRKKRQAAAAAADFVPQDNNARKQ >ONI31406 pep chromosome:Prunus_persica_NCBIv2:G1:30221710:30222995:-1 gene:PRUPE_1G311000 transcript:ONI31406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSEKCSIRPSIEWIKSPDSQANATLFLAKFYGRAGLLNLINAGSQHLRPAIFKSLLYEACGRIVNPTFGSVGLMSSGSWAQCQAAVDAVLAGSPIAGLDADALNLIPSPPNKSGDIRHVFRDSNSGASRNKVTTRNRFKRSMNRTKTHPGSLTEFATGSHMAQFCNFPDPEDLCPSPEGNGAGGDYDGEVGLELTLGLVPMRLN >ONI31403 pep chromosome:Prunus_persica_NCBIv2:G1:30211625:30213822:1 gene:PRUPE_1G310700 transcript:ONI31403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKKATTLLEEVIEKAGGCAVMDGGFATQLERHGAAINDPLWSAVCLIKDPDLIKRVHLEYLEAGADILVTSSYQATIPGFLSRGLSIEEGELLLKKSVKLAVEARNSFWDALKVTPEHQYNRALVAASIGSYGAYLADGSEYSGCYGPQVNVDKLKDFHRRRLQVLVEAGPDLLAFETIPNKLEAQACVELLEEENIQIPSWICFTSVDGENAPSRESFKECLDIINKSNKIHAVGINCAPPHLIQSLICKFKELTNKAIVVYPNSGEIWDGKAKRWLPAKSFDDNNFECFATSWRDAGAKLIGGCCRTTPSTVQVISKALKGNSC >ONI26625 pep chromosome:Prunus_persica_NCBIv2:G1:2480285:2482639:1 gene:PRUPE_1G035500 transcript:ONI26625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATSTSKVPSITNYDRKSEVKTFDDTKEGVKGLVDAGITQVPRIFHQPVDQYPINNTCDSEPTKTQLRIPVIDLEGLEYDNNPTKRKEIVAKVREASETWGFFQIANHGIPVDVLEEITNGVRGFFEQDTEVKKELYTRDYFRPVIYNSNFDLYSAPATNWRDSFLCNMAPNPPKPEDLPQVCRDILVEYSKQVMKLGKLLFQLLSEALGLKPSHLNDMDCSLGLQVLCNYYPPCPQPELTLGASKHADDDFITVVLQDHIGGLQVLHQNKWIDVLPVPGALVVNIGDLLQLISNDRFRSVEHRVLANRAGPRVSVASFFTTGFLALPQIYGPIKELLSEDNLPKYRETTVKEYNAYFFNKGLDGTSALTHFKL >ONI31344 pep chromosome:Prunus_persica_NCBIv2:G1:30009701:30010685:-1 gene:PRUPE_1G307400 transcript:ONI31344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMSTKSSLEFDDGGAYVDDDVFFADITRQILLLTADNEDFPKTKTTSSFSTNVTKRSFDIPAPDSSSLGYISWLGNKNTDCSVPASLSKLWENSNGTGVFIPQAVNPRSNYKPRRMNNNTRIYKRVHSKQ >ONI31345 pep chromosome:Prunus_persica_NCBIv2:G1:30010090:30010610:-1 gene:PRUPE_1G307400 transcript:ONI31345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMSTKSSLEFDDGGAYVDDDVFFADITRQILLLTADNEDFPKTKTTSSFSTNVTKRSFDIPAPDSSSLGYISWLGNKNTDCSVPASLSKLWENSNGTGVFIPQAVNPRSNYKPTGRMNNNTRIYKRVHSKQ >ONI34954 pep chromosome:Prunus_persica_NCBIv2:G1:41903063:41905479:-1 gene:PRUPE_1G508000 transcript:ONI34954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLRTICRPHTVFTSFMCCRHQARFSSRVSFRNPNYNPRFAPVSDFARLNWSGSWFRVNQRRTMVKASNWDQPKSPYETLELERDADDDQIKIAYRRLAKFYHPDVYDGRGTLEEGETAEARFIKIQAAYELLIDEDRRGQYDRANRVNPMKASQAWMEWLMKKRRAFDQRGDMAIAAWAEQQQRELNIRARRLSRSKVDPDEEKRILAREKKASAEYFSSTLKRHTLVLKKRDIMRRKAEEEKRKIIGQLLAAEGLELDTDDEESR >ONI33232 pep chromosome:Prunus_persica_NCBIv2:G1:35969091:35975101:1 gene:PRUPE_1G412200 transcript:ONI33232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMKHLLRKLHIGGGLNEHQRLAETRPETSPSTNLNPTASSPASSTGSATMGRITAVESVSDRTAGDGGSGGGVDYNLLEEEFQVQLALAISASDPDSRDDPDSAQIDAAKRISLGCPATVTDTQAPFEILSLRYWSQNVVDYNEKVVDGFYDVYGMTSNSLRQGKMPLLVDLQAVSVSDNVDYDVILVNRLVDPELQQLEKTAYAVSLESRISQHGVLLSGLIQKIADIVVDRMGGPVGDADEILRRWKVRRYELRSSMKTIILPLGLIDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLIKIDSGSEYIIDLMGAPGTLIPAEVPSSQLPNSFFAIRSFQDATELPKDMCLLQAEGTGMLAVPPDLDRLSRVGSSQSEEASYVGVQTKNDRSVVEENQTESLRSEIGTPLRSLRKSCESSSGTSEKATSAQKRKVKNVSKYVISAAKNPEFAQKLHAVLLESGASPPPDLFSDMNPQYLDEAKLLDQIHANGKLVDDGIHNYLVQLLSGNEQSTQAAAAVSYDNFDNFLKQSAVDLAEQRNELETNILSLPSDTVDEGFVIVSGGTSETTQIGAKSSDPVLVSPQGMNSEAFHEDKSHELSLSKPMETANSGLCTSCDSHYERYPALGEVAEWEILWEDLQIGERIGIGSYGEVYHADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTRPPHFSILTEYLPRGSLYRLLHRPNSQLDEKRRMRMAFDVAKGMNYLHTSHPTVVHRDLKSPNLLVDKNWNVKVCDFGLSRTKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELATCCVPWKGLNPMQVVGAVGFQNRRLEIPEDMDPVVAEIIRDCWQREPNLRPSFSQLMVRLRRLQRLVGRTNSTNQTTE >ONI34921 pep chromosome:Prunus_persica_NCBIv2:G1:41798666:41809509:1 gene:PRUPE_1G506100 transcript:ONI34921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGRCHEEKKMMGRGADGGCGTEERPCPISRVTPKIPATQPEIPEKSSSLRIDFYSQAKKALCERSPYDVTEDGSASSVPTTLPRSLASFLSRQSDNRKRHKKSHASAEKKSSRQSERSRGSNIWAETEDYFRPLKLPDIDTLCQVSELSNLAARKCFLIPVLGNGPRVNANENVKANGVFVSEENANAGNSNSVVVKDESINGGNANDAVVKEDNANVGNANEVVVKAESANDGNAISVAVRNVNENGGNENGVVEDEVKRVKDEHSMEIDSVGASGLPEGDKGCSVSDSPYGLEWLLGYRNKIALTSERPSKKRKVLGVDAGLEKVLIGSPCDGNSSLCHFCCMGDAGKESNRLIVCRSCKVGVHRKCYGVVEDVDASWVCSWCKQKTDTSNSVKPCALCPKQGGALKPVLKSIENGGSVEFAHLFCCQWMPEVYIEDLVKMEPILNVGGVNETRRKLICNVCKVKWGACVRCSHGTCRTSFHPLCAREAKQRMEIWGKYGCDNVELRAFCPKHSEVPDNRNIQLVDPPVSTDGNANVSNHLPVALSESKLNKLRVGRRNGDKIAVATGAPDSISEKSGDCESQEIAFPSSRLNARLQSDCSDAQPIIDAGSFERSSEDVNASSSHNFSLILKKLIDCGKVNVKDVASDIGLSSDSLAASLADDSMFPDVQCRIVKWLKDHSNLDLRQKNGKMKLRSAISSMAEFGGSDGSDAASLSESDMTDVAVKSVPPRRRTKSSFRILKDNKVISSSEGIFCDNGTLNKIKVDQMITDEQENSSKVSIPDPVEKNLTEAVGFQHSLPTLTPKSEGNSAKPLNCSVVQKGQEQLATIPLQSTSVIVNEDQLFSVAKPVDPEVNKSEPEVPSCYVHPYIEKKLFEMQNGANPICGSSEGEISRLEASSHASVCCNHQYKHPKCCDNICKSDEVTLEELVKARKMGAQEPSPEDEVEGELIYYQNRLLSNVVARKHFTDILMYNVVKTLPWELDAVRKQKWDAVLVNQYLCELREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSFRKDVLDESSHQENVMKLNTSSGRSGFSSQLMHRAKETFPRVAVPRISVEKHTGFVHSVADFSKEHPRSCDICRRSETLLNPILVCSSCKVAIHLDCYRSVRESTGPWYCELCEELSSSRSSGAPVNFWEKDHFAAECGLCGGKTGAFRKSSDGQWVHAFCAEWIFESTFKRGQVSPVEGMETISKGIDFCYICRRKCGVCIKCNYGNCQATFHPSCARSSGFYMHVKTLGGKIQHKGYCEKHSVEQRAKAETQKHGTEDLENLRKIRRELLICSHDLLAVRRDHVARSVLVHSPFLLPDVSSESATTSLKGHTDGYKSCSEAFQKSDDVTVDSTISEKRRTRVLITIDNDQRTDDDSSTSQDHFTPKFTERAQFSEKQIPCRPTAANCNISEDGGWRSKSRKHAETFEKELVMTSDQASMKNMLLPKGYAYVPADCIPNEKQINQDACSGEPPEGDG >ONI34920 pep chromosome:Prunus_persica_NCBIv2:G1:41798666:41809509:1 gene:PRUPE_1G506100 transcript:ONI34920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGRCHEEKKMMGRGADGGCGTEERPCPISRVTPKIPATQPEIPEKSSSLRIDFYSQAKKALCERSPYDVTEDGSASSVPTTLPRSLASFLSRQSDNRKRHKKSHASAEKKSSRQSERSRGSNIWAETEDYFRPLKLPDIDTLCQVSELSNLAARKCFLIPVLGNGPRVNANENVKANGVFVSEENANAGNSNSVVVKDESINGGNANDAVVKEDNANVGNANEVVVKAESANDGNAISVAVRNVNENGGNENGVVEDEVKRVKDEHSMEIDSVGASGLPEGDKGCSVSDSPYGLEWLLGYRNKIALTSERPSKKRKVLGVDAGLEKVLIGSPCDGNSSLCHFCCMGDAGKESNRLIVCRSCKVGVHRKCYGVVEDVDASWVCSWCKQKTDTSNSVKPCALCPKQGGALKPVLKSIENGGSVEFAHLFCCQWMPEVYIEDLVKMEPILNVGGVNETRRKLICNVCKVKWGACVRCSHGTCRTSFHPLCAREAKQRMEIWGKYGCDNVELRAFCPKHSEVPDNRNIQLVDPPVSTDGNANVSNHLPVALSESKLNKLRVGRRNGDKIAVATGAPDSISEKSGDCESQEIAFPSSRLNARLQSDCSDAQPIIDAGSFERSSEDVNASSSHNFSLILKKLIDCGKVNVKDVASDIGLSSDSLAASLADDSMFPDVQCRIVKWLKDHSNLDLRQKNGKMKLRSAISSMAEFGGSDGSDAASLSESDMTDVAVKSVPPRRRTKSSFRILKDNKVISSSEGIFCDNGTLNKIKVDQMITDEQENSSKVSIPDPVEKNLTEAVGFQHSLPTLTPKSEGNSAKPLNCSVVQKGQEQLATIPLQSTSVIVNEDQLFSVAKPVDPEVNKSEPEVPSCYVHPYIEKKLFEMQNGANPICGSSEGEISRLEASSHASVCCNHQYKHPKCCDNICKSDEVTLEELVKARKMGAQEPSPEDEVEGELIYYQNRLLSNVVARKHFTDILMYNVVKTLPWELDAVRKQKWDAVLVNQYLCELREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSFRKDVLDESSHQENVMKLNTSSGRSGFSSQLMHRAKETFPRVAVPRISVEKHTGFVHSVADFSKEHPRSCDICRRSETLLNPILVCSSCKVAIHLDCYRSVRESTGPWYCELCEELSSSRSSGAPVNFWEKDHFAAECGLCGGKTGAFRKSSDGQWVHAFCAEWIFESTFKRGQVSPVEGMETISKGIDFCYICRRKCGVCIKCNYGNCQATFHPSCARSSGFYMHVKTLGGKIQHKGYCEKHSVEQRAKAETQKHGTEDLENLRKIRVELERVRLLCERIIKREKVKRELLICSHDLLAVRRDHVARSVLVHSPFLLPDVSSESATTSLKGHTDGYKSCSEAFQKSDDVTVDSTISEKRRTRVLITIDNDQRTDDDSSTSQDHFTPKFTERAQFSEKQIPCRPTAANCNISEDGGWRSKSRKHAETFEKELVMTSDQASMKNMLLPKGYAYVPADCIPNEKQINQDACSGEPPEGDG >ONI34922 pep chromosome:Prunus_persica_NCBIv2:G1:41798666:41809509:1 gene:PRUPE_1G506100 transcript:ONI34922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGRCHEEKKMMGRGADGGCGTEERPCPISRVTPKIPATQPEIPEKSSSLRIDFYSQAKKALCERSPYDVTEDGSASSVPTTLPRSLASFLSRQSDNRKRHKKSHASAEKKSSRQSERSRGSNIWAETEDYFRPLKLPDIDTLCQVSELSNLAARKCFLIPVLGNGPRVNANENVKANGVFVSEENANAGNSNSVVVKDESINGGNANDAVVKEDNANVGNANEVVVKAESANDGNAISVAVRNVNENGGNENGVVEDEVKRVKDEHSMEIDSVGASGLPEGDKGCSVSDSPYGLEWLLGYRNKIALTSERPSKKRKVLGVDAGLEKVLIGSPCDGNSSLCHFCCMGDAGKESNRLIVCRSCKVGVHRKCYGVVEDVDASWVCSWCKQKTDTSNSVKPCALCPKQGGALKPVLKSIENGGSVEFAHLFCCQWMPEVYIEDLVKMEPILNVGGVNETRRKLICNVCKVKWGACVRCSHGTCRTSFHPLCAREAKQRMEIWGKYGCDNVELRAFCPKHSEVPDNRNIQLVDPPVSTDGNANVSNHLPVALSESKLNKLRVGRRNGDKIAVATGAPDSISEKSGDCESQEIAFPSSRLNARLQSDCSDAQPIIDAGSFERSSEDVNASSSHNFSLILKKLIDCGKVNVKDVASDIGLSSDSLAASLADDSMFPDVQCRIVKWLKDHSNLDLRQKNGKMKLRSAISSMAEFGGSDGSDAASLSESDMTDVAVKSVPPRRRTKSSFRILKDNKVISSSEGIFCDNGTLNKIKVDQMITDEQENSSKVSIPDPVEKNLTEAVGFQHSLPTLTPKSEGNSAKPLNCSVVQKGQEQLATIPLQSTSVIVNEDQLFSVAKPVDPEVNKSEPEVPSCYVHPYIEKKLFEMQNGANPICGSSEGEISRLEASSHASVCCNHQYKHPKCCDNICKSDEVTLEELVKARKMGAQEPSPEDEVEGELIYYQNRLLSNVVARKHFTDILMYNVVKTLPWELDAVRKQKWDAVLVNQYLCELREAKKQGRKERRHKEAQAVLAAATAAAAASSRISSFRKDVLDESSHQENVMKLNTSSGRSGFSSQLMHRAKETFPRVAVPRISVEKHTGFVHSVADFSKEHPRSCDICRRSETLLNPILVCSSCKVAIHLDCYRSVRESTGPWYCELCEELSSSRSSGAPVNFWEKDHFAAECGLCGGKTGAFRKSSDGQWVHAFCAEETISKGIDFCYICRRKCGVCIKCNYGNCQATFHPSCARSSGFYMHVKTLGGKIQHKGYCEKHSVEQRAKAETQKHGTEDLENLRKIRVELERVRLLCERIIKREKVKRELLICSHDLLAVRRDHVARSVLVHSPFLLPDVSSESATTSLKGHTDGYKSCSEAFQKSDDVTVDSTISEKRRTRVLITIDNDQRTDDDSSTSQDHFTPKFTERAQFSEKQIPCRPTAANCNISEDGGWRSKSRKHAETFEKELVMTSDQASMKNMLLPKGYAYVPADCIPNEKQINQDACSGEPPEGDG >ONI28103 pep chromosome:Prunus_persica_NCBIv2:G1:9637562:9639683:1 gene:PRUPE_1G122900 transcript:ONI28103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKWLVFFSMAATMLALHVVDGQEESSVKPLVKIVKGKKLCDKGWECKGWSVYCCNQTISDYFQSYQFETLFSKRNAPVAHAVGFWDYHSFITAAAEHQPHGFGTTGGKLQGMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKEESPSQFYCDDYYKYTYPCAPGASYHGRGALPLYWNYNYGETGDALKVDLLNHPEYIEQNATLAFQAAIWRWMNPVKKHLPSAHDVFVGKWKPSKNDTLAKRTPGFGTTINLLYGDQVCGQGDVDSMDNIVSHYLYYLDLIGVGREEAGPHEVLSCAEQKAFDPSSSSSSSSSSSS >ONI31140 pep chromosome:Prunus_persica_NCBIv2:G1:29228913:29231751:1 gene:PRUPE_1G295500 transcript:ONI31140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSATSSMAAKFAFFPPDPPSYSVYVDEPTGKLRISDVHPRDDVDVVKLSTKKGNEIVAMFVKNPCASLTLLYSHGNAADLGQMYHIFTELSLHLGVNLMGYDYSGYGQSSGKPSEQDTYADIEAAYKCLEETYGIKEEDVILYGQSVGSGPALELAIRLPHLRAVVLHSPILSGLRVMYPVKKTFWFDIYKNIDKIPFVNCPVLVIHGTEDEVVDFSHGKQLWELCKEKYEPLWLKGGNHCNLELYPEYLRHLRKFISAIEKLPRVQSLPEPSADQLESSLKTIDNKEKARSSTDQKEKSRSSTGQREKSRLSTDGREKSRTSTDKREKSRKSTDRSGKARNSTDQSERARNSFDRLGDMVRSVGLCNVDCLKHAALEA >ONI31141 pep chromosome:Prunus_persica_NCBIv2:G1:29229987:29231751:1 gene:PRUPE_1G295500 transcript:ONI31141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHCLHLYAKPSEQDTYADIEAAYKCLEETYGIKEEDVILYGQSVGSGPALELAIRLPHLRAVVLHSPILSGLRVMYPVKKTFWFDIYKNIDKIPFVNCPVLVIHGTEDEVVDFSHGKQLWELCKEKYEPLWLKGGNHCNLELYPEYLRHLRKFISAIEKLPRVQSLPEPSADQLESSLKTIDNKEKARSSTDQKEKSRSSTGQREKSRLSTDGREKSRTSTDKREKSRKSTDRSGKARNSTDQSERARNSFDRLGDMVRSVGLCNVDCLKHAALEA >ONI31142 pep chromosome:Prunus_persica_NCBIv2:G1:29229927:29231751:1 gene:PRUPE_1G295500 transcript:ONI31142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEQDTYADIEAAYKCLEETYGIKEEDVILYGQSVGSGPALELAIRLPHLRAVVLHSPILSGLRVMYPVKKTFWFDIYKNIDKIPFVNCPVLVIHGTEDEVVDFSHGKQLWELCKEKYEPLWLKGGNHCNLELYPEYLRHLRKFISAIEKLPRVQSLPEPSADQLESSLKTIDNKEKARSSTDQKEKSRSSTGQREKSRLSTDGREKSRTSTDKREKSRKSTDRSGKARNSTDQSERARNSFDRLGDMVRSVGLCNVDCLKHAALEA >ONI30546 pep chromosome:Prunus_persica_NCBIv2:G1:26751120:26754649:1 gene:PRUPE_1G257400 transcript:ONI30546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAALPIVGMMMTECAGAGVIILSKAAMSTGMSNLIFVFYSNALAALILLPTSLAFHRSRPPLTFPVLCWFFLLGLIGFVAQFVGYAGINYSSPTLGTAMLNLLPAFTCILAVIFRMETVDWRSSSTLAKSLGTMLSISGAFIVTLYKGPALLLTSSTDILSYKQLFSQQSNWVIGGILLLVYCVLASSSYIIQASVIKKYPAELIMVFYYCFFVAIQAVVVSLIVETDLSAWSLKPKMRLIAVVYSAVFGSTFTIGVTTWCLHRAGPVFIAMFKPLGIIVAVSIGVTFVGDTFYLGSLVGAIVIVIGFYSVMWGKANEEKMDGGAETKSLATSNQRVPLLQNTLEEI >ONI27330 pep chromosome:Prunus_persica_NCBIv2:G1:5885461:5887160:1 gene:PRUPE_1G080500 transcript:ONI27330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYNTTKHLLSLTALVFVAGALLKNVTAQNCGCAPDLCCSQYGYCGSSEDYCGPGCQQGPCTGGGGGTPTTPSPSGGGGSSVADIVTQEFFNGIINQAPSDCVGKNFYTRDGFINAVNSYSDLGRRGSVDESKREIAAFFAHATHETGYFCFIEEREEYRQTYCEPSTDYPCNPDKQYYGRGALQITGNKNYGPAGKSIGLDLLNSPETVASDADVAFKTAIWYWVVNVRNALSQGFGATTRAINGAKECDGQQPDLVQARAALYQSYCSQLNVDPGANLSC >ONI34656 pep chromosome:Prunus_persica_NCBIv2:G1:40974389:40975661:1 gene:PRUPE_1G493000 transcript:ONI34656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVFGTVLLEEIDRSFSMDGGEDQSKQSTRRTPFTELNQVSSDFILAMAMQEQEQAYTMLETIESDSSDEEEDDASSPNENYDQDDTAFFESREFETEFGFLANEDSGSSSDQEMDEDDFDVDELTYEELIALGEFIGEEKRGLPSNEISTCLQPYTSKSPSSIQSKTSIDRCVICQIEYEDGEALVALSCQHPYHWDCISKWLEIKKSCPICSTEVSSTSSSKTKNI >ONI26051 pep chromosome:Prunus_persica_NCBIv2:G1:209501:210249:1 gene:PRUPE_1G001500 transcript:ONI26051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVELKVRLHCRVCEKAVRKALCRIKGVRCVEIDVTSNKITVLGFMDRKMIVKAVRKTGRRAEVWPSSPPSTSILSKSCCPAREQPTSPSGFRCILPSWAF >ONI29684 pep chromosome:Prunus_persica_NCBIv2:G1:22269073:22273065:-1 gene:PRUPE_1G208600 transcript:ONI29684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWVLGFMGMVLCRRTDGFADVNGTKEEDLHYTESNVYGYGPNGYGVFSRPLMVGLTLINGAAAKGAVCLDGTLPGFHIHRGYGSGANSWLIQLEGGGWCDTIRNCVYRKKTRRGSSAYMEKQIPFAGILSNKAGENPDFYNWNRVKVRYCDGASFGGDSQNEAAQLYFRGQRIWEAAMADLMSKGMRYANQALLSGCSAGGVATVLHCDEFRAMFRGTTRVKCLSDAGLFLDVIDVSGRRTLRNMFRRVVSLQGAYKSLPWSCTNRLNPTLCFFPQHLIASVKTPLFLVNAAYDTWQIQASLASPTADPNGLWHECTKNHARCAAWQMNFLQGLIPIVSFMFFNKSGFRNQMLKAVSGFSRASKNGLFINSCFAHCQTERQDTWFSQNSPHIGNKGIAKSVGNWYFDRVNIKAIDCPYPCDKSCHNLVFR >ONI29683 pep chromosome:Prunus_persica_NCBIv2:G1:22268553:22273508:-1 gene:PRUPE_1G208600 transcript:ONI29683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWVLGFMGMVLCRRTDGFADVNGTKEEDLHYTESNVYGYGPNGYGVFSRPLMVGLTLINGAAAKGAVCLDGTLPGFHIHRGYGSGANSWLIQLEGGGWCDTIRNCVYRKKTRRGSSAYMEKQIPFAGILSNKAGENPDFYNWNRVKVRYCDGASFGGDSQNEAAQLYFRGQRIWEAAMADLMSKGMRYANQALLSGCSAGGVATVLHCDEFRAMFRGTTRVKCLSDAGLFLDVIDVSGRRTLRNMFRRVVSLQGAYKSLPWSCTNRLNPTLCFFPQHLIASVKTPLFLVNAAYDTWQIQASLASPTADPNGLWHECTKNHARCAAWQMNFLQGFRNQMLKAVSGFSRASKNGLFINSCFAHCQTERQDTWFSQNSPHIGNKVCPIVYLLFHKLHNEQIPCHFFFTLFFVIDESVCLCGVLMQSIIYLQGIAKSVGNWYFDRVNIKAIDCPYPCDKSCHNLVFR >ONI29686 pep chromosome:Prunus_persica_NCBIv2:G1:22269537:22273065:-1 gene:PRUPE_1G208600 transcript:ONI29686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWVLGFMGMVLCRRTDGFADVNGTKEEDLHYTESNVYGYGPNGYGVFSRPLMVGLTLINGAAAKGAVCLDGTLPGFHIHRGYGSGANSWLIQLEGGGWCDTIRNCVYRKKTRRGSSAYMEKQIPFAGILSNKAGENPDFYNWNRVKVRYCDGASFGGDSQNEAAQLYFRGQRIWEAAMADLMSKGMRYANQALLSGCSAGGVATVLHCDEFRAMFRGTTRVKCLSDAGLFLDVIDVSGRRTLRNMFRRVVSLQGAYKSLPWSCTNRLNPTLCFFPQHLIASVKTPLFLVNAAYDTWQIQASLASPTADPNGLWHECTKNHARCAAWQMNFLQGDLTSFVSVFMLCVPNDD >ONI29682 pep chromosome:Prunus_persica_NCBIv2:G1:22268553:22273530:-1 gene:PRUPE_1G208600 transcript:ONI29682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWVLGFMGMVLCRRTDGFADVNGTKEEDLHYTESNVYGYGPNGYGVFSRPLMVGLTLINGAAAKGAVCLDGTLPGFHIHRGYGSGANSWLIQLEGGGWCDTIRNCVYRKKTRRGSSAYMEKQIPFAGILSNKAGENPDFYNWNRVKVRYCDGASFGGDSQNEAAQLYFRGQRIWEAAMADLMSKGMRYANQALLSGCSAGGVATVLHCDEFRAMFRGTTRVKCLSDAGLFLDVIDVSGRRTLRNMFRRVVSLQGAYKSLPWSCTNRLNPTLCFFPQHLIASVKTPLFLVNAAYDTWQIQASLASPTADPNGLWHECTKNHARCAAWQMNFLQGFRNQMLKAVSGFSRASKNGLFINSCFAHCQTERQDTWFSQNSPHIGNKGIAKSVGNWYFDRVNIKAIDCPYPCDKSCHNLVFR >ONI29685 pep chromosome:Prunus_persica_NCBIv2:G1:22269073:22273065:-1 gene:PRUPE_1G208600 transcript:ONI29685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWVLGFMGMVLCRRTDGFADVNGTKEEDLHYTESNVYGYGPNGYGVFSRPLMVGLTLINGAAAKGAVCLDGTLPGFHIHRGYGSGANSWLIQLEGGGWCDTIRNCVYRKKTRRGSSAYMEKQIPFAGILSNKAGENPDFYNWNRVKVRYCDGASFGGDSQNEAAQLYFRGQRIWEAAMADLMSKGMRYANQALLSGCSAGGVATVLHCDEFRAMFRGTTRVKCLSDAGLFLDVIDVSGRRTLRNMFRRVVSLQGAYKSLPWSCTNRLNPTLCFFPQHLIASVKTPLFLVNAAYDTWQIQASLASPTADPNGLWHECTKNHARCAAWQMNFLQGLIPIVSFMFFNKSGFRNQMLKAVSGFSRASKNGLFINSCFAHCQTERQDTWFSQNSPHIGNKVCPIVYLLFHKLHNEQIPCHFFFTLFFVIDESVCLCGVLMQSIIYLQGIAKSVGNWYFDRVNIKAIDCPYPCDKSCHNLVFR >ONI29681 pep chromosome:Prunus_persica_NCBIv2:G1:22268553:22273495:-1 gene:PRUPE_1G208600 transcript:ONI29681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLWVLGFMGMVLCRRTDGFADVNGTKEEDLHYTESNVYGYGPNGYGVFSRPLMVGLTLINGAAAKGAVCLDGTLPGFHIHRGYGSGANSWLIQLEGGGWCDTIRNCVYRKKTRRGSSAYMEKQIPFAGILSNKAGENPDFYNWNRVKVRYCDGASFGGDSQNEAAQLYFRGQRIWEAAMADLMSKGMRYANQALLSGCSAGGVATVLHCDEFRAMFRGTTRVKCLSDAGLFLDVIDVSGRRTLRNMFRRVVSLQGAYKSLPWSCTNRLNPTLHLIASVKTPLFLVNAAYDTWQIQASLASPTADPNGLWHECTKNHARCAAWQMNFLQGFRNQMLKAVSGFSRASKNGLFINSCFAHCQTERQDTWFSQNSPHIGNKGIAKSVGNWYFDRVNIKAIDCPYPCDKSCHNLVFR >ONI35332 pep chromosome:Prunus_persica_NCBIv2:G1:43371818:43373131:1 gene:PRUPE_1G530600 transcript:ONI35332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYKCLFFASLIFSSVFVTMSQQACTPQAFNQKTFAACHNLPILNSTIHWNYYPSKGTVDVAFAQAVVSDSRWVAWAINPTSTGMVGSQAIVAFKRTDGAMSVYSSPIKSYGTRLEQGNLSFPLFDVSAVYENNQIVIFATVGLPNNASVVNHVWQQGTLSGNTPQMHSVSGPNVQSFGTLDFLSGKVETVRRGTSFVFRVKISHGIINTISWGILMPVGAIVARHFKAADPAWFHVHRACQMLGYFGGVAGFATGLWLGHKSSGVEYKGHRCIGITLFALATLQVLVALGLRPNKTDKKRVFWNWFHYLVGYGTIILGIVNILKGFDMLQPGKWWKFSYLITIGVLGCVAAVLEARAWFLVLIRKTDQAAEQNKDDTSVV >ONI30338 pep chromosome:Prunus_persica_NCBIv2:G1:25892694:25894736:1 gene:PRUPE_1G245400 transcript:ONI30338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPACSAQIPEVVLGSSTGPKSMPVLAFGTAANNLQPIQLKTAVLEAIKLGYRHFDTAAVYGSEQPLGEAIKEALKLGLVASRDQLFITSKLWSNDAHPHHVIPALKKSLENLQLEYLDLYLIHWPISAKPGKFVFPLVDVMPMDFKGVWAAMEESQRLGLTKSIGVSNFTCKKIETLLSHATIPPSVNQVEMSPFWQQKKLRDFCKANGIVVTAFSPLGAKGTSWGSNHVMESKVLQDIAEARGKTVAQVCIRWVYQTGATLAVKSYNKERLKQNLQVFDWELSEDDLHKINQIPQHKMVTRGELVSADGPYKSLEELWDGEI >ONI32797 pep chromosome:Prunus_persica_NCBIv2:G1:34610263:34614905:-1 gene:PRUPE_1G386400 transcript:ONI32797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIINNPILSFISIFALSVLLANTSHASTPSPCNRSCPGVGTDPIHYPFGFSHGCEIRLNCTPHTGIFIGEFPIQTITPDSIRVTLQSRCNRPLHTLRQLYSPRYAPTSRNAILLQNCTDGQPSSSCEIPNILVQARFDAPPNCSSNSSLSCYAETGKDVRFLDFEKVEHRDCKFLLSSISASPPQLLNSSAPPPLFLEVEVVELGWWLEGTCGCHKNANCTTVVSPNGTQGFTCKCNNGLLGDGYVAGSGCWKGSQVCNPAKYLSGQCGGKTRFIVLIGAVFVGASLMISLALICCFIRRQSKLKARLCTKRLLSEATGNCNIPFYPYKEIEKATNGFSEKQGLGTGAYGTVYAGKLHDEWVAIKRIKHRGNDSIEQVMNEIRLISSVRHQNLVRLLGCSIERGEQILVYEFMANGTLCQHLQRERGDGLSWPIRLTIATQTAQAIAHLHSAIKPPIYHRDIKSSNILLDCNFKAKLADFGLSRLGMAESSHISTAPQGTPGYLDPQYHQNFHLSDKSDVYSFGVVLVEIITGLKAVDFKRPQNEVNLAALATDRIGRGCLNEIIDPLLEPHMDAWTLLSVHKVGELAFRCLAFHRDMRPSMMEVTAELELIKLSRWPSSSEDNTYTASSEGSSCGSSSSVSEKPLNISKSQSERSVILLQSGAAGSLNSTETLKKDNSPVSVHQDSWLSDQSSPSSNSLLSNAIQ >ONI29654 pep chromosome:Prunus_persica_NCBIv2:G1:21226973:21232438:1 gene:PRUPE_1G207600 transcript:ONI29654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFSAIKRVFSPHSKEKGSEKKNTKEKKGLGKLKHGETNSFIPLFREPSSIEKIFGDFEREQQRVNFRPPTPDEQPRTPPFVPPRVASPRDPSPRVGSPRAASPRAPSPRAPSPRAPSPRILHHHKEIRYRPEPTLRNHHASATKIQAAYRGYMARRSFRALKGLVRLQGVVRGQNVKRQTLNAMKYMQLLVRVQSQIQSRRIQMLENQAKHQGQYKNDKEVESTFGKWTLSQASETGNNDEWDDSLLTKEEVEARLQKKVEAVVKRERAMAYAYSHQLLKATPKSGQTPIADIRSGGFPWWWNWLERQLPQKDPPTETNALKNFQLTPPRSHSEMKPSPVPQASSQRQHPFLFDNNLDTPKSSKSTINLTTLKQAGTPPPINMSSTPQANSLGLSKYTRRPPAGGAESPFGFPLKDDDSLTSCPPFSVPNYMAPTMSAKAKARAGSNPRERFVGTPSSESKRRLSFPLTQGIGSFKWNKASFFSNNKDSSSQRILDKNQPPQSLGNLSIDSTVSMPAGVGRRPFNRFV >ONI29655 pep chromosome:Prunus_persica_NCBIv2:G1:21226533:21232438:1 gene:PRUPE_1G207600 transcript:ONI29655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFSAIKRVFSPHSKEKGSEKKNTKEKKGLGKLKHGETNSFIPLFREPSSIEKIFGDFEREQQRVNFRPPTPDEQPRTPPFVPPRVASPRDPSPRVGSPRAASPRAPSPRAPSPRAPSPRILHHHKEIRYRPEPTLRNHHASATKIQAAYRGYMARRSFRALKGLVRLQGVVRGQNVKRQTLNAMKYMQLLVRVQSQIQSRRIQMLENQAKHQGQYKNDKEVESTFGKWTLSQASETGNNDEWDDSLLTKEEVEARLQKKVEAVVKRERAMAYAYSHQLLKATPKSGQTPIADIRSGGFPWWWNWLERQLPQKDPPTETNALKNFQLTPPRSHSEMKPSPVPQASSQRQHPFLFDNNLDTPKSSKSTINLTTLKQAGTPPPINMSSTPQANSLGLSKYTRRPPAGGAESPFGFPLKDDDSLTSCPPFSVPNYMAPTMSAKAKARAGSNPRERFVGTPSSESKRRLSFPLTQGIGSFKWNKASFFSNNKDSSSQRILDKNQPPQSLGNLSIDSTVSMPAGVGRRPFNRFV >ONI27679 pep chromosome:Prunus_persica_NCBIv2:G1:7948691:7950777:1 gene:PRUPE_1G099600 transcript:ONI27679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHYTLSNKMKEEEVNRCQIQEWYPKFKSLSIKTRIHELPESFVQYLLDDSGPFLLPVSISNEDAFPNRIHNPEEADDYQVSEGSDDDESEQPPLPPSFPELELQVKESIESLGGSVFPKLNWSAPKDSAWISTTGTLKCSSFSEIALLLRSSDSLIHDLCHAYHSCCDKTSSRPKSFFLALRKWYQSLKPEMELRCFVRNQNLVGISQREVTTFYPALLEKKDSLPVLIEDFFVENLMSRFELENYTFDVYVTEDNRIKVLDFNPWGAFTLPLMFSWEELDQNCSEPGDNGVDLRIVEGHCAVRPGLKTAVPYDYLDTSPGSGWDQFMRNADEELQQQTRNAQAGA >ONI27680 pep chromosome:Prunus_persica_NCBIv2:G1:7948691:7950736:1 gene:PRUPE_1G099600 transcript:ONI27680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHYTLSNKMKEEEVNRCQIQEWYPKFKSLSIKTRIHELPESFVQYLLDDSGPFLLPVSISNEDAFPNRIHNPEEADDYQVSEGSDDDESEQPPLPPSFPELELQVKESIESLGGSVFPKLNWSAPKDSAWISTTGTLKCSSFSEIALLLRSSDSLIHDLCHAYHSCCDKTSSRPKSFFLALRKWYQSLKPEMELRCFVRNQNLVGISQREVTTFYPALLEKKDSLPVLIEDFFVENLMSRFELENYTFDVYVTEDNRIKVLDFNPWGAFTLPLMFSWEELDQNCSEPGDNGVDLRIVEGHCAVRPGLKTAVPYDYLDTSPGSGWDQFMRNADEELQQQTRNAQAGA >ONI31133 pep chromosome:Prunus_persica_NCBIv2:G1:29188986:29190628:1 gene:PRUPE_1G294800 transcript:ONI31133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFSTKNATPETYRDFIQALRDQLTAGRPTSNGIPVLPRREDVPDAQRFLLVDLTNSQGNTIRLAIDVVNAYVVGYAADGRAYLLQENARDNRPPIHTLFRDATTRIDLGFDGSYSGLSRVAREAVERNTPRNRARNRAGASAHDNTPVLEQIPMGRNELDTAISLLRSASSPTNQALGFIVIIQMLSEAARFRAIEGLVRTTMRETYDPLMRGLAMESLETHWSDLSEQIQRAQQRNETGFDRTIVLHNVGNERREVNSVDSPFVRGVAMLLYDRNGNCNPGSGPHRHDEL >ONI36020 pep chromosome:Prunus_persica_NCBIv2:G1:46149375:46150267:-1 gene:PRUPE_1G565300 transcript:ONI36020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGEKSAIPLLYLARVGDPTFHEDAGLIKKQAASNHTLIPANYPLASAAPLLCAGITVYASMVRHKMNQPGKSLGVIGFGGLGHMAVKFGKAFEEALSQLGADNFVVSSDRNQMKALVKSVDFIIDIASGDHPFDPYMELLKLVEFCVTGGTKDIQEMIDFFASNGIHPMIEIIPIQSANEAIEGLLKSVVIYWFAIDIENSLK >ONI28728 pep chromosome:Prunus_persica_NCBIv2:G1:12546194:12547101:1 gene:PRUPE_1G158100 transcript:ONI28728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLASVFEKVGMESLLLNIPFVCKSWYKASLSPCCWLSLDFTEILTKYYYTWSESHDRFVKRFVDRSKGHATFLRLPGCCTLEAFKYVADVCPGLKASSLPSELVFLQPSMIIELIEKWENLESLSLKSRINIAELLSPISLHCKNFRRLDIHGASVGEDAALSIVKLVPNIEHLSLRGARIPRGSLITILRGCKKLVCLDVSGGIGFDEEDEEISKLASHITNFSCQGSRPRLDYDYDSDSHDYYDSDDDYDDDEYAGSDGYYCSGGSSD >ONI30207 pep chromosome:Prunus_persica_NCBIv2:G1:25271871:25272364:1 gene:PRUPE_1G238000 transcript:ONI30207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTQFIVFILIITTIFGSSAKVNSETDSCSSSLNLQNANLPFDAASLHCLSVWDAHNYILRYSQTSSNIWTFVLSTPAVNSFIAIGFSSNGQMVGSSAIVGWGVLNRTHDQTIFLGWDFSKPCGA >ONI30333 pep chromosome:Prunus_persica_NCBIv2:G1:25824550:25830205:1 gene:PRUPE_1G244900 transcript:ONI30333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPREMDQQYSRDFPILSYILSRLDPESNPPLSPQLQETLLTQLPHLNHPKVLASMTHLIPTTLSQTLSLLRALGTPPDPSTVVVARAKIAEIQSKLQNSSSSVLQETQNYSQVREAAEKELEIYKAVVRLEEMHATYEEQLRDVEVRLAEAYGSVVVDLEKEEGEVIKLNAEVVRILKEAESGVAVERVELSGRHLRFLPEAFGKLHGLVSLNLSNNQLQSIPDSIAGLEKLEELYVSSNLLVSLPDSLGLLLNLRILNVSGNKLDALPESIARCSSLVELDASFNNLMCLPTNIGYGLLNLERLSIHLNKIRSLPPSICEMRSLRYLDVHFNELRGLPHAIGRLTTLEVLNLSSNFSDLTELPESIGDLTNLRELDLSNNQIRALPAKFGLLRNLNKLNLDQNPLVIPPMEIVTQGVEAVKEYMAQRWLDIIAEEQQRSMLEASKQTAQTGWLGWGTSLLNNLVSSVSHGVAGNLGGKRDSRDPCLDQQL >ONI30016 pep chromosome:Prunus_persica_NCBIv2:G1:23964641:23967842:-1 gene:PRUPE_1G226600 transcript:ONI30016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSQDDFDRLLLFEHTRKTAEVNYAKNPLDADNLTKWGGALLELSQFQSLADSKGMINDSISKLEEALQINPAKHDALWCLGNAHTSFAFLTPDLDEARPYFDKASEFFQKAADEDPGNELYQKSLEVTSKAPELHMEIHKQGMGQQILGGGPAAPSSSTKTKTKKSSDLKYDIFGWVILAVGIVAWVGMAKSNMPPPPPR >ONI30015 pep chromosome:Prunus_persica_NCBIv2:G1:23962377:23967842:-1 gene:PRUPE_1G226600 transcript:ONI30015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSQDDFDRLLLFEHTRKTAEVNYAKNPLDADNLTKWGGALLELSQFQSLADSKGMINDSISKLEEALQINPAKHDALWCLGNAHTSFAFLTPDLDEARPYFDKASEFFQKAADEDPGNELYQKSLEVTSKAPELHMEIHKQGMGQQILGGGPAAPSSSTKTKTKKSSDLKYDIFGWVILAVGIVAWVGMAKSNMPPPPPR >ONI28625 pep chromosome:Prunus_persica_NCBIv2:G1:12002056:12004216:1 gene:PRUPE_1G152000 transcript:ONI28625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLHFFHLLLLISFFLCNLNLSLAKPSHPPKGLIFHVTKDVSTLQYMTTIHHGTPLVPTKLVVDLGGPFLWLNCGSSSSSSRLIAQGSIQCLAAKPKTHNQGSKNYLSSNDNNKKCHVFPENSITQMATQGELVQDIMAIQVIDGSSKTESGSITTLDSNFLFSCAPMFLLNGLASGARGMLGLGRTQISMASQISAKLSSKLQFMLCLSSSNGVILHNNGHFGSEISNSLTYTYTYTPFLTNQQEYFINLKYIKISGKRLSLNKEGFREGIKLSTTVPYTTMESSVYVTFTRAYEQAAMAMNMTRVAPVAPFVLCFSSEQAEGRGVGPKVPAIDLVLQSEMVKWRIHGRNSMVQVSNQVMCLGFLDGGSDLKTSIVIGGYQLEDTLLHFDLSASVLGFSSQTSCSDLRLDFALKDSL >ONI35733 pep chromosome:Prunus_persica_NCBIv2:G1:45106095:45108859:1 gene:PRUPE_1G551600 transcript:ONI35733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHVNELHSEDSPSTNGGAQKQHVCKEIGCGKVFRFASRLRKHEDSHVKLDTVEAFCSEPGCMKHFTNEQCLQAHIHSCHQHTTCEICGTKQLRNNIKRHLLTHEDKHSIERIKCDYKGCLHTFTTKSNLTKHVKAVHLEHKPFVCSFSGCGLRFAYKHVRDNHEKTGCHVYTQGDFEEADEQFRSRPRGGRKRECPDIPMLVRKRVTPPNQLGQECEYISWLHSQEDNDEQ >ONI35731 pep chromosome:Prunus_persica_NCBIv2:G1:45106873:45108860:1 gene:PRUPE_1G551600 transcript:ONI35731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGYDLNGLVPGGRPPVKLHQVNLNHALFGLRRPYICSVDDCHSSYRRKDHLNRHLLQHQGKLFKCPIENCNREFGFQGNMRRHVNELHSEDSPSTNGGAQKQHVCKEIGCGKVFRFASRLRKHEDSHVKLDTVEAFCSEPGCMKHFTNEQCLQAHIHSCHQHTTCEICGTKQLRNNIKRHLLTHEDKHSIERIKCDYKGCLHTFTTKSNLTKHVKAVHLEHKPFVCSFSGCGLRFAYKHVRDNHEKTGCHVYTQGDFEEADEQFRSRPRGGRKRECPDIPMLVRKRVTPPNQLGQECEYISWLHSQEDNDEQ >ONI35730 pep chromosome:Prunus_persica_NCBIv2:G1:45106095:45108859:1 gene:PRUPE_1G551600 transcript:ONI35730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLDSLHCPSEASGSQSPNAVACSLVGETFRVGALAMDKGQAEMEGPIFRDIRRYYCEYCGIRRSKKSLIASHILSHHKDEMEMSSVDEDREVEQEKSNTCQECGVSFRKPAHLKQHMQSHSLERPYICSVDDCHSSYRRKDHLNRHLLQHQGKLFKCPIENCNREFGFQGNMRRHVNELHSEDSPSTNGGAQKQHVCKEIGCGKVFRFASRLRKHEDSHVKLDTVEAFCSEPGCMKHFTNEQCLQAHIHSCHQHTTCEICGTKQLRNNIKRHLLTHEDKHSIERIKCDYKGCLHTFTTKSNLTKHVKAVHLEHKPFVCSFSGCGLRFAYKHVRDNHEKTGCHVYTQGDFEEADEQFRSRPRGGRKRECPDIPMLVRKRVTPPNQLGQECEYISWLHSQEDNDEQ >ONI35732 pep chromosome:Prunus_persica_NCBIv2:G1:45106152:45108860:1 gene:PRUPE_1G551600 transcript:ONI35732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGYDLNGLVPGGRPPVKLHQVNLNHALFGLRRPYICSVDDCHSSYRRKDHLNRHLLQHQGKLFKCPIENCNREFGFQGNMRRHVNELHSEDSPSTNGGAQKQHVCKEIGCGKVFRFASRLRKHEDSHVKLDTVEAFCSEPGCMKHFTNEQCLQAHIHSCHQHTTCEICGTKQLRNNIKRHLLTHEDKHSIERIKCDYKGCLHTFTTKSNLTKHVKAVHLEHKPFVCSFSGCGLRFAYKHVRDNHEKTGCHVYTQGDFEEADEQFRSRPRGGRKRECPDIPMLVRKRVTPPNQLGQECEYISWLHSQEDNDEQ >ONI33277 pep chromosome:Prunus_persica_NCBIv2:G1:36065533:36066572:1 gene:PRUPE_1G414300 transcript:ONI33277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASKLVQLQGKATQASKVAAEYGSSYYKQLLEKNRHYIQEPPTVERCNLLSKQLFYTRLASIPGRYELLRKEVDSVKQLWKNRQELRVEDAGIAVLFGLECFAWFCAGEIVGRGFKFTGYHV >ONI33278 pep chromosome:Prunus_persica_NCBIv2:G1:36065717:36066593:1 gene:PRUPE_1G414300 transcript:ONI33278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASKLVQLQGKATQASKVAAEYGSSYYKQLLEKNRHYIQEPPTVERCNLLSKQLFYTRLASIPGRYELLRKEVDSVKQLWKNRQELRVEDAGIAVLFGLECFAWFCAGEIVGRGFKFTGYHV >ONI33702 pep chromosome:Prunus_persica_NCBIv2:G1:37502996:37503983:-1 gene:PRUPE_1G441800 transcript:ONI33702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSYNARGFLLLLAAASLLAASEARTLVVGGSEGWRYGFNYTDWAFQNSPFYIKDQLVFKYENDSGYSVYQLPNLWSYIKCDFSKAKLLASETQGTGEGFKVELTEWRPSYFASSGKDGKNCKDGLMKLFAVPLPRWN >ONI32052 pep chromosome:Prunus_persica_NCBIv2:G1:32381611:32385203:-1 gene:PRUPE_1G346400 transcript:ONI32052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWLVSRASRRFLKPSHSFSRTLFAVSSTYQLTTNSKNPNPNPYPNATLLGPFTQGHRWTPSCVEFDLRLLAHISSSSSSTGAKNYGNRASNDAKVEVEASWIDLYLPRQARPYAKLARLDRPIGTWLLAWPCMWSITLAASPGHLPDFKMMTIFGCGALLLRGAGCTINDLLDRDIDTMVERTKLRPVASGLLTPFKGLCFLGFQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRLTFWPQAYLGLTFNWGALLGWAAVKGSVDPAIVLPLYLSGVCWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWITGFGIMCISSLALSGYNVEIGWPYYAFLAAASGQLAWQIWTADLSSRVDCNRKFVSNKWFGAIIFSGILFGKLSS >ONI31927 pep chromosome:Prunus_persica_NCBIv2:G1:31981979:31983130:1 gene:PRUPE_1G339800 transcript:ONI31927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSSSGSSLGPGGLDLTQSFFKPISSAAPPSPTKRHTKISVVGAGNVGMAIAQTILTQDLADELVLIDVNPDKLRGEMLDLQHAAAFLPRTKILASVDYAVTVGSDLCIVTAGARQNLGESRLNLLQRNVALFRKIIPPLVKFSPDTILLIVSNPVDVLTHVAWKLSGFPSNRVLGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGVPVLSFLEKQEIAYEKETLEEIHKAVVDSAYEVISLKGYTSWAIGYSVANLARSILRDQRKIHPVSVLAKGFYGVEGGEVFLSLPAQLGRGGVLGVTNIHLTDEEEQRLRDSARTILDVQSQLNLGI >ONI31533 pep chromosome:Prunus_persica_NCBIv2:G1:30610424:30613042:1 gene:PRUPE_1G318400 transcript:ONI31533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKACELCLELRPVVYCKADSAHLCLPCDAKVHSANPIVGCHHRTILCDSCKYRSVDIECLDHLMFMCRVCDASLHRKCSPHGQHRKRTLASSFTGCPSAKDFAAFWGFKLDESDGVGSNSQRFKKISRGNGHGGHYQKRSTGNSFILDQILDLKRLQLSQDQPNQENPSRSLEHSLNHHRLQHSQDDLGRTGSQQRDSCLPVQGLMVKFDPLPLPFPEQLEQYFPSSSSTAGFPLHTESFWQGRSTVQNSRLWSQNMQDLGVCEEQAYDHHDPNTPDVDSTFRNFEESFGGDQQDVPYSFMEKKGHARTAKR >ONI31535 pep chromosome:Prunus_persica_NCBIv2:G1:30610425:30613042:1 gene:PRUPE_1G318400 transcript:ONI31535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKACELCLELRPVVYCKADSAHLCLPCDAKVHSANPIVGCHHRTILCDSCKYRSVDIECLDHLMFMCRVCDASLHRKCSPHGQHRKRTLASSFTGCPSAKDFAAFWGFKLDESDGVGSNSQRFKKISRGNGHGGHYQKRSTGNSFILDQILDLKRLQLSQDQPNQENPSRSLEHSLNHHRLQHSQDDLGRTGSQQRDSCLPVQGLMVKFDPLPLPFPEQLEQYFPSSSSTAGFPLHTESFWQGRSTVQNSRLWSQNMQDLGVCEEQAYDHHDPNTPDVDSTFRNFEESFGGDQQDVPYSFMEKKGHARTAKR >ONI31534 pep chromosome:Prunus_persica_NCBIv2:G1:30610425:30613042:1 gene:PRUPE_1G318400 transcript:ONI31534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKACELCLELRPVVYCKADSAHLCLPCDAKVHSANPIVGCHHRTILCDSCKYRSVDIECLDHLMFMCRVCDASLHRKCSPHGQHRKRTLASSFTGCPSAKDFAAFWGFKLDESDGVGSNSQRFKKISRGNGHGGHYQKRSTGNSFILDQILDLKRLQLSQDQPNQENPSRSLEHSLNHHRLQHSQDDLGRTGSQQRDSCLPVQGLMVKFDPLPLPFPEQLEQYFPSSSSTAGFPLHTESFWQGRSTVQNSRLWSQNMQDLGVCEEQAYDHHDPNTPDVDSTFRNFEESFGGDQQDVPYSFMEKKGHARTAKR >ONI28107 pep chromosome:Prunus_persica_NCBIv2:G1:9660701:9661936:1 gene:PRUPE_1G123300 transcript:ONI28107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTISQLLVLAAAFIYLSAFCCKIGMADDFTPERGDVPDQIGSAEQDPAQIVAKALLCFNDKYVYSSCEESYRLNESGDLKVPAAKTDEYCNGPCLQETHLVLDCVDNILSNFLFYNKATVQDVRDTIQAGCGYGEERGKFDVPEHIKAEGSKAYKAANYQILIGLVVMIMGNGLLF >ONI31866 pep chromosome:Prunus_persica_NCBIv2:G1:31684789:31689557:1 gene:PRUPE_1G335600 transcript:ONI31866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKSIQAMQNLQTAPYLKTKKKLRSELPRRTRSQISPVLYSSLKFNAPSETSGFSSFSVNSNSCSYFGGEVSCESSRVSVGSESEARSSLRKRHFEETEKHRKMLFPRATRSYYGGKAEKKEVGGDGEPEVSESSCVESNSGADFGGFVDRKLKLKSKRGKGSEIVNENGGNEGSEAVSRPEISESDKVSLEFKENKVTSFNSVIELCSESKLPEKTVKDGENRAPEFEFPEISENYFGENFAISNSESTIEQRPDSLQIGSDLACKEQFSYDDVSEYSSSQTLSELQSNIFDENSELSLSEYTPSIFFNSGSEFSERSDEDSTHSPTFTLLLQYREEFTRSSTALYFIAASCVKELYKDDYTFLKFEDEEDEASYQLLRNRERIQVFLRDYTEEYSSTTECGDLILQQRWQMVRWIVERSNQMKLQQETKFLGVSLLDRFLSKGFFKSKRILQIVGIACLTLATRIEENQPYNCVRKRDFHVESNVYSRCEVVAMEWLVQEVLSFQCFLPTIYNFLWFYLRAARADAQVEKRAKYLAVLQMSDHVQLRYWPSTVAAALVILASLEGNHEVSRQRVIETHVRTEGDDLHECIESLEWLLHYV >ONI36111 pep chromosome:Prunus_persica_NCBIv2:G1:46461265:46463914:-1 gene:PRUPE_1G570200 transcript:ONI36111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLQPDPFLNELTSMFEKSTEKGSVWVTFKRSSLKSKVQRNKMKTAGEEIEYRCLIRATFGNKTISTSVGPKEHQRFQSSYATVLKAHMTALKKRDRKEKKKAAEADKKGGAKKPKRV >ONI32209 pep chromosome:Prunus_persica_NCBIv2:G1:32899176:32905305:1 gene:PRUPE_1G354000 transcript:ONI32209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLVLHHPNPAAAAALGSLTSNNYLRLRALKINRHSGKKQAPPVTFGSQNSSLGYRRLVSPSTSSICAAGEDSFKSSAVFTDDSSAVTMTDEEMESIGILSIDQALEPYKEHFKYRIKKYVDQKGLIETYEGGLEEFAQGYVKFGFNREEDGIVYREWAPAAQEAQLIGDFNGWDGSKHKMEKNQYGVWSIKIPNSGGNSAIPHNSRVKFRFKHGNEVWVDRIPAWIKYATVDPARFAAPYDGVYWDPPPSERFQFKHPHPPKPKAPRIYEAHVGMSSSEPQISSYREFADDVLPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHCLGLRVLMDVVHSHASNNVTDGLNGFEVGQSSQESYFHTGDRGYHKLWDSRLFNYSNWEVLRFLLSNLRWWLEEFKFDGFRFDGVTSMLYHHHGINMAFTGDYHEYFSEATDVDAVVYLMLANYLIHKILPDATVIAEDVSGMPGLGRPVSEGGIGFDYRLAMAIPDKWIDYLKNKNDEEWSMNEISCSLTNRRYTEKCISYAESHDQAIVGDKTIAFFLMDREMYSGMSCLTDASPTIERGIALHKMIHFLTMALGGEGYLNFMGNEFGHPEWVDFPREGNGWSYEKCRRQWNLVDTDHLRYKFMNAFDRAMNLLDEKFSFLSSTQQIVSSTNEEDKVIVFERGDLVFVFNFHPENTYDGYKVGCDLPGKYRVALDSDAYEFGGHGRVGHDVDHFTFPEGIPGVPETNFNNRPNSFKVLSPAHTCVVYYRVDESLEADVDETSIAEVVVGKENFEELGSVIDDGNVGPRAEKSGEGSSDD >ONI32207 pep chromosome:Prunus_persica_NCBIv2:G1:32899214:32905305:1 gene:PRUPE_1G354000 transcript:ONI32207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLVLHHPNPAAAAALGSLTSNNYLRLRALKINRHSGKKQAPPVTFGSQNSSLGYRRLVSPSTSSICAAVGEDSFKSSAVFTDDSSAVTMTDEEMESIGILSIDQALEPYKEHFKYRIKKYVDQKGLIETYEGGLEEFAQGYVKFGFNREEDGIVYREWAPAAQEAQLIGDFNGWDGSKHKMEKNQYGVWSIKIPNSGGNSAIPHNSRVKFRFKHGNEVWVDRIPAWIKYATVDPARFAAPYDGVYWDPPPSERFQFKHPHPPKPKAPRIYEAHVGMSSSEPQISSYREFADDVLPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHCLGLRVLMDVVHSHASNNVTDGLNGFEVGQSSQESYFHTGDRGYHKLWDSRLFNYSNWEVLRFLLSNLRWWLEEFKFDGFRFDGVTSMLYHHHGINMAFTGDYHEYFSEATDVDAVVYLMLANYLIHKILPDATVIAEDVSGMPGLGRPVSEGGIGFDYRLAMAIPDKWIDYLKNKNDEEWSMNEISCSLTNRRYTEKCISYAESHDQAIVGDKTIAFFLMDREMYSGMSCLTDASPTIERGIALHKMIHFLTMALGGEGYLNFMGNEFGHPEWVDFPREGNGWSYEKCRRQWNLVDTDHLRYKFMNAFDRAMNLLDEKFSFLSSTQQIVSSTNEEDKVIVFERGDLVFVFNFHPENTYDGYKVGCDLPGKYRVALDSDAYEFGGHGRVGHDVDHFTFPEGIPGVPETNFNNRPNSFKVLSPAHTCVVYYRVDESLEADVDETSIAEVVVGKENFEELGSVIDDGNVGPRAEKSGEGSSDD >ONI32208 pep chromosome:Prunus_persica_NCBIv2:G1:32899214:32905305:1 gene:PRUPE_1G354000 transcript:ONI32208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLVLHHPNPAAAAALGSLTSNNYLRLRALKINRHSGKKQAPPVTFGSQNSSLGYRRLVSPSTSSICAAVGEDSFKSSAVFTDDSSAVTMTDEEMESIGILSIDQALEPYKEHFKYRIKKYVDQKGLIETYEGGLEEFAQGYVKFGFNREEDGIVYREWAPAAQEAQLIGDFNGWDGSKHKMEKNQYGVWSIKIPNSGGNSAIPHNSRVKFRFKHGNEVWVDRIPAWIKYATVDPARFAAPYDGVYWDPPPSERFQFKHPHPPKPKAPRIYEAHVGMSSSEPQISSYREFADDVLPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHCLGLRVLMDVVHSHASNNVTDGLNGFEVGQSSQESYFHTGDRGYHKLWDSRLFNYSNWEVLRFLLSNLRWWLEEFKFDGFRFDGVTSMLYHHHGINMAFTGDYHEYFSEATDVDAVVYLMLANYLIHKILPDATVIAEDVSGMPGLGRPVSEGGIGFDYRLAMAIPDKWIDYLKNKNDEEWSMNEISCSLTNRRYTEKCISYAESHDQAIVGDKTIAFFLMDREMYSGMSCLTDASPTIERGIALHKFGHPEWVDFPREGNGWSYEKCRRQWNLVDTDHLRYKFMNAFDRAMNLLDEKFSFLSSTQQIVSSTNEEDKVIVFERGDLVFVFNFHPENTYDGYKVGCDLPGKYRVALDSDAYEFGGHGRVGHDVDHFTFPEGIPGVPETNFNNRPNSFKVLSPAHTCVVYYRVDESLEADVDETSIAEVVVGKENFEELGSVIDDGNVGPRAEKSGEGSSDD >ONI30436 pep chromosome:Prunus_persica_NCBIv2:G1:26292604:26299678:1 gene:PRUPE_1G251400 transcript:ONI30436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNEFRFFLSCDINLPVTFRIERLEGTLRPPKSPSSGTFYLNFPFGSRETVSFNHYSSIQFSFCTADVDPTSTTEERRAELYVECALYIDGAPFGLPTRTRLESSGPLYCWNELITLSTKYRDLTGHSQLALTVWDVSCGKDEGLVGGATILLFNSKKQLKTGKQKLRLWQGKVADGSFPTSTPGKVPRHERGELERLEKLVNRYERGQIQCVDWLDRLAFKAMERIKERESSRNGSLHLYLVVDFCSFEHRVVFQESGANFLLPSPIASTNDIVTVWDPEVGKINPSEHKQLKLARSLTRGIIDRDLKPSSNERKSIQRILKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMGKWEMIDVCDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRALRNIELASFLRWYVAVELHDPAYAKRFYCTYELLEENMMKLSAGMHGDEDGFKLWQSLVRQTELTAQLCSIMRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFEEPIRSPLAPGVLITGIVPSESSIFKSALHPLRLTFRAANGGNCKIIFKKGDDIRQDQLVVQMVYLMDRLLKLENLDLHLTPYRVLATGQDEGMLEFIPSRSLAQILSEHRSITSYLQKFHPDEHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNIIRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >ONI30437 pep chromosome:Prunus_persica_NCBIv2:G1:26292478:26299678:1 gene:PRUPE_1G251400 transcript:ONI30437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNEFRFFLSCDINLPVTFRIERLEGTLRPPKSPSSDVDPTSTTEERRAELYVECALYIDGAPFGLPTRTRLESSGPLYCWNELITLSTKYRDLTGHSQLALTVWDVSCGKDEGLVGGATILLFNSKKQLKTGKQKLRLWQGKVADGSFPTSTPGKVPRHERGELERLEKLVNRYERGQIQCVDWLDRLAFKAMERIKERESSRNGSLHLYLVVDFCSFEHRVVFQESGANFLLPSPIASTNDIVTVWDPEVGKINPSEHKQLKLARSLTRGIIDRDLKPSSNERKSIQRILKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMGKWEMIDVCDALELLSPVFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRALRNIELASFLRWYVAVELHDPAYAKRFYCTYELLEENMMKLSAGMHGDEDGFKLWQSLVRQTELTAQLCSIMRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFEEPIRSPLAPGVLITGIVPSESSIFKSALHPLRLTFRAANGGNCKIIFKKGDDIRQDQLVVQMVYLMDRLLKLENLDLHLTPYRVLATGQDEGMLEFIPSRSLAQILSEHRSITSYLQKFHPDEHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNIIRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >ONI35955 pep chromosome:Prunus_persica_NCBIv2:G1:45953802:45955165:1 gene:PRUPE_1G562500 transcript:ONI35955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSFNPKTQTYTSPRPPVPFPIDPNLSLTSFLFQSSTSFPNNLALADADIAKTLTFLQLKSLVFKLAHALLNHNIKKETSSSSSPKTPSTSRFFSIVAITAIATNCNL >ONI29349 pep chromosome:Prunus_persica_NCBIv2:G1:18368413:18369237:-1 gene:PRUPE_1G194400 transcript:ONI29349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPKTYLFVLFTTLLLLSSSCFCDQGSANIDLKRPIKRADQHPLLKDWIKRQVLSGPNHLHDILPPKVDDAKNYRTLNEVPSGPNPLHNILPPQLDDPTNHQILREVPSGPNPLHHILPPQQKLDDPANHQILREVPSGPNPLHHNVPPQQKLDDPENYHLTRLVPTGPNQEESPEEPPEFDDSPAHYHQMRVVPTGPNQEESPEEPPELDDNPANYHLNRLVPTGPNRAESPEELPSRVLSGPPPQPSPVKANLLKLRSRRLLGIPIAKSLE >ONI31490 pep chromosome:Prunus_persica_NCBIv2:G1:30509373:30511396:1 gene:PRUPE_1G316300 transcript:ONI31490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIPIVAIVTSLHLIAFVFAVGAERRRSTAKIVPDEYDEHTYCVYGTDASTAYGLAAFGLLFVSQTVLNVVTRCLCCGKGLVTGSSTTWTVFFFVFSWTSFLGAEACLLAGSAKNAYHTKYRGIFNADDLSCSTLRKGVFAAAAALTLLSLAGSSFYYWAHSKADTGGWEKHRNEGLDMSTSNYANHEQQQQTGGFEKV >ONI31489 pep chromosome:Prunus_persica_NCBIv2:G1:30509273:30511587:1 gene:PRUPE_1G316300 transcript:ONI31489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIPIVAIVTSLHLIAFVFAVGAERRRSTAKIVPDEYDEHTYCVYGTDASTAYGLAAFGLLFVSQTVLNVVTRCLCCGKGLVTGSSTTWTVFFFVFSWTSFLGAEACLLAGSAKNAYHTKYRGIFNADDLSCSTLRKGVFAAAAALTLLSLAGSSFYYWAHSKADTGGWEKHRNEGLDMSTSNYANHEQQQQTGGFEKV >ONI29422 pep chromosome:Prunus_persica_NCBIv2:G1:18931130:18937189:-1 gene:PRUPE_1G197800 transcript:ONI29422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLEAVGDLALHTILSKLEAEDSARAACVSKKLRASASEDSLWSHFCARDLDLSQPLDPHGNLTPSFKEGYQLWREAFHMYPWSLVKRVKKCWDKLRNWLTINFPEAESTLNKGASEDDIQELEKILKVKLPLPTRILYRFHDGQDFEDKHFQNSLVGCPLGIIGGYSFYNHLVTVYLLPLRQVISETKEITPKLDFPGRSKCVVVAASCTYSEKLFFLNCTSGQLYVGTRNLLDDGEMLPCVPNALISSVHDCSVDQQQDAMLLWLEEHGRRLENGIIKLRQEENFRSISQFPEESPLCSTAITNGVKVRASAVFVPEQATSQKYSFAYSIRMSLLPEGCIINGMTFSSCQLHWRHWIIRAKDVVIADVNGEAVVGQKKYCFI >ONI29421 pep chromosome:Prunus_persica_NCBIv2:G1:18929568:18937189:-1 gene:PRUPE_1G197800 transcript:ONI29421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLEAVGDLALHTILSKLEAEDSARAACVSKKLRASASEDSLWSHFCARDLDLSQPLDPHGNLTPSFKEGYQLWREAFHMYPWSLVKRVKKCWDKLRNWLTINFPEAESTLNKGASEDDIQELEKILKVKLPLPTRILYRFHDGQDFEDKHFQNSLVGCPLGIIGGYSFYNHLVTVYLLPLRQVISETKEITPKLDFPGRSKCVVVAASCTYSEKLFFLNCTSGQLYVGTRNLLDDGEMLPCVPNALISSVHDCSVDQQQDAMLLWLEEHGRRLENGIIKLRQEENFRSISQFPEESPLCSTAITNGVKVRASAVFVPEQATSQKYSFAYSIRMSLLPEGCIINGMTFSSCQLHWRHWIIRAKDVVIADVNGEAVVGQYPLLHPGDSEFVYESCTLLPFSSGSIEV >ONI29420 pep chromosome:Prunus_persica_NCBIv2:G1:18929568:18937190:-1 gene:PRUPE_1G197800 transcript:ONI29420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLEAVGDLALHTILSKLEAEDSARAACVSKKLRASASEDSLWSHFCARDLDLSQPLDPHGNLTPSFKEGYQLWREAFHMYPWSLVKRVKKCWDKLRNWLTINFPEAESTLNKGASEDDIQELEKILKVKLPLPTRILYRFHDGQDFEDKHFQNSLVGCPLGIIGGYSFYNHLVTVYLLPLRQVISETKEITPKLDFPGRSKCVVVAASCTYSEKLFFLNCTSGQLYVGTRNLLDDGEMLPCVPNALISSVHDCSVDQQQDAMLLWLEEHGRRLENGIIKLRQEENFRSISQFPEESPLCSTAITNGVKVRASAVFVPEQATSQKYSFAYSIRMSLLPEGCIINGMTFSSCQLHWRHWIIRAKDVVIADVNGEAVVGQFEGAKGRPI >ONI29423 pep chromosome:Prunus_persica_NCBIv2:G1:18931360:18937079:-1 gene:PRUPE_1G197800 transcript:ONI29423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLEAVGDLALHTILSKLEAEDSARAACVSKKLRASASEDSLWSHFCARDLDLSQPLDPHGNLTPSFKEGYQLWREAFHMYPWSLVKRVKKCWDKLRNWLTINFPEAESTLNKGASEDDIQELEKILKVKLPLPTRILYRFHDGQDFEDKHFQNSLVGCPLGIIGGYSFYNHLVTVYLLPLRQVISETKEITPKLDFPGRSKCVVVAASCTYSEKLFFLNCTSGQLYVGTRNLLDDGEMLPCVPNALISSVHDCSVDQQQDAMLLWLEEHGRRLENGIIKLRQEENFRSISQFPEESPLCSTAITNGVKVRASAVFVPEQATSQKYSFAYSIRMSLLPEGCIINGMTFSSCQLHWRHWIIRAKDVVIADVNGEAVVGQVSGSPLFP >ONI29419 pep chromosome:Prunus_persica_NCBIv2:G1:18929887:18937079:-1 gene:PRUPE_1G197800 transcript:ONI29419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLEAVGDLALHTILSKLEAEDSARAACVSKKLRASASEDSLWSHFCARDLDLSQPLDPHGNLTPSFKEGYQLWREAFHMYPWSLVKRVKKCWDKLRNWLTINFPEAESTLNKGASEDDIQELEKILKVKLPLPTRILYRFHDGQDFEDKHFQNSLVGCPLGIIGGYSFYNHLVTVYLLPLRQVISETKEITPKLDFPGRSKCVVVAASCTYSEKLFFLNCTSGQLYVGTRNLLDDGEMLPCVPNALISSVHDCSVDQQQDAMLLWLEEHGRRLENGIIKLRQEENFRSISQFPEESPLCSTAITNGVKVRASAVFVPEQATSQKYSFAYSIRMSLLPEGCIINGMTFSSCQLHWRHWIIRAKDVVIADVNGEAVVGQYPLLHPGDSEFVYESCTLLPFSSGSIEGSFAFIPGSLREPKGGPFDVAVAQFPLQVPDYIF >ONI29426 pep chromosome:Prunus_persica_NCBIv2:G1:18929568:18937189:-1 gene:PRUPE_1G197800 transcript:ONI29426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLEAVGDLALHTILSKLEAEDSARAACVSKKLRASASEDSLWSHFCARDLDLSQPLDPHGNLTPSFKEGYQLWREAFHMYPWSLVKRVKKCWDKLRNWLTINFPEAESTLNKGASEDDIQELEKILKVKLPLPTRILYRFHDGQDFEDKHFQNSLVGCPLGIIGGYSFYNHLVTVYLLPLRQVISETKEITPKLDFPGRSKCVVVAASCTYSEKLFFLNCTSGQLYVGTRNLLDDGEMLPCVPNALISSVHDCSVDQQQDAMLLWLEEHGRRLENGIIKLRQEENFRSISQFPEESPLCSTAITNGVKVRASAVFVPEQATSQKYSFAYSIRMSLLPEGCIINGMTFSSCQLHWRHWIIRAKDVVIADVNGEAVVGQVSGSPLFP >ONI29424 pep chromosome:Prunus_persica_NCBIv2:G1:18931242:18937189:-1 gene:PRUPE_1G197800 transcript:ONI29424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLEAVGDLALHTILSKLEAEDSARAACVSKKLRASASEDSLWSHFCARDLDLSQPLDPHGNLTPSFKEGYQLWREAFHMYPWSLVKRVKKCWDKLRNWLTINFPEAESTLNKGASEDDIQELEKILKVKLPLPTRILYRFHDGQDFEDKHFQNSLVGCPLGIIGGYSFYNHLVTVYLLPLRQVISETKEITPKLDFPGRSKCVVVAASCTYSEKLFFLNCTSGQLYVGTRNLLDDGEMLPCVPNALISSVHDCSVDQQQDAMLLWLEEHGRRLENGIIKLRQEENFRSISQFPEESPLCSTAITNGVKVRASAVFVPEQATSQKYSFAYSIRMSLLPEGCIINGMTFSSCQLHWRHWIIRAKDVVIADVNGEAVVGQVSGSPLFP >ONI29425 pep chromosome:Prunus_persica_NCBIv2:G1:18931360:18937079:-1 gene:PRUPE_1G197800 transcript:ONI29425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLEAVGDLALHTILSKLEAEDSARAACVSKKLRASASEDSLWSHFCARDLDLSQPLDPHGNLTPSFKEGYQLWREAFHMYPWSLVKRVKKCWDKLRNWLTINFPEAESTLNKGASEDDIQELEKILKVKLPLPTRILYRFHDGQDFEDKHFQNSLVGCPLGIIGGYSFYNHLVTVYLLPLRQVISETKEITPKLDFPGRSKCVVVAASCTYSEKLFFLNCTSGQLYVGTRNLLDDGEMLPCVPNALISSVHDCSVDQQQDAMLLWLEEHGRRLENGIIKLRQEENFRSISQFPEESPLCSTAITNGVKVRASAVFVPEQATSQKYSFAYSIRMSLLPEGCIINGMTFSSCQLHWRHWIIRAKDVVIADVNGEAVVGQVSGSPLFP >ONI31256 pep chromosome:Prunus_persica_NCBIv2:G1:29654993:29656690:-1 gene:PRUPE_1G301800 transcript:ONI31256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLTAWSLSPALPSLSSPITNSHKPIKALLHPNFLRPFSSTKTNPVIGLSRSLTQVQNPLCSRISYTAAVAPKRAYKVLAVSGLLNGNFETDGELERNIPNTDATIDIQLPRRSLLVKFTCDLCGERTDRLVNRLAYERGLIYVQCAGCLKHHKLVDNLGLVVEYDLRKDIDVDTETDKV >ONI30093 pep chromosome:Prunus_persica_NCBIv2:G1:24598200:24599220:1 gene:PRUPE_1G231100 transcript:ONI30093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTEILVTCIKNFRRNRGPVSGILKGYVGLSTVATDLVEIQINSSVLNYEFITHRLGLIPLTSDQQIGSWGCCQIWRFQTILILLRAKALGALKLL >ONI36241 pep chromosome:Prunus_persica_NCBIv2:G1:46989398:46990386:1 gene:PRUPE_1G577300 transcript:ONI36241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAEGKDINARNYVENLKKQSGYGVSTLCLVYNATGDTIRLVASKDWYGNIGRAPYPTQIANGQWGAFLHVIKTSGMATGGSVAAVAYRGKNNNGNNCDWLLGWRNPWNGPLSTSTNKVYTEIREARHYEPAGVWPGVYNSLVGSGVCSSGTWNGCFSTISSGCSITKLHESTLIVTMLYFG >ONI26845 pep chromosome:Prunus_persica_NCBIv2:G1:3494273:3500391:-1 gene:PRUPE_1G049900 transcript:ONI26845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSLVLESVREFTIQEAKFLSGVSHQVEVAQNELQLMQGFLKDADARQGQDETVRIWVAKIRDAAYDLEDVIQTYGLKVVSKKKRGLKNVLKRFACIFKEGVHLHQIGAEIENITTKISALRSSLQSYNIKEIRDSSGGESSLQLHERLRRSYSHVVERDVVGLESNVEDLVMHLLKDENRHPVVSIWGMGGLGKTTLARKFYHHKKVRQHFHSFAWVCVSQRFQVRNVWEGILIELISPTKEKRQEVKDMTDVEIAKELFRVLQKMKCLVILDDIWRIETWNLLKAAFPDVETESTILLTTRNQAVASLAKRNAYPLQPLNEMESWELFEKKAIHARAEIDLGMYEILGRNMLQHCKGLPLAIIVLAGVLARKNSIREWERVSKNVHEYISRGIKHEEEYEGVSRVLALSYDDLPYYLKPCFLYLGHYPEDSEFLVSELTKLWVAEGLISLGQQRHGSRETIEDIARDYLSELVERCLVQEGRSGSTRTIKSCRIHDLVRDMCLLKAKDESFLQMNYSLQENTSSMAAEATQLGKIRRLAIYLDKNANMLVSSRNETNSHVRSLLFFGLIEWIPKSEKGLLSPLKDFKVLRVLKVEDLWTRRVELPSEIGNMVHLRFLSVRRSKIKTFPPSLGSLVCLQTLDFRVPAYINIVIPNVIMKMKQLRHLYLPRNYRAKGKLKLSTLGHLQTLHNLSSEYCDLKDVGRLTNLRKLKIRVLGSLQNLEEILKSTGSTLNRIRSLIVKNDTNSGEEQAMQIVSSYRGIYKLKLDGPITELPKELHNYPNLTKLVLWSCGLKEDQMGILEKLPNLTTLRLGYKTFRKNTKILVFSKGGFPSLEFLHVYGMSQITEWRVEEGAMPRLCRLNITYCSGLTTLPDGLRYLTNLRKLTIRGMRRELHRRIEEDGEDFYKIQHVPSLVIGEPDDF >ONI27655 pep chromosome:Prunus_persica_NCBIv2:G1:7877145:7877531:1 gene:PRUPE_1G098500 transcript:ONI27655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIIRLWRRGFRCTCIEAQRPQRFLQDRSSVVSVLISLISDTWANGPHDIKLISLGGGLTTVACYWSSQASPKCCTTAWAWHTPPNQV >ONI32800 pep chromosome:Prunus_persica_NCBIv2:G1:34627143:34631796:-1 gene:PRUPE_1G386700 transcript:ONI32800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEGGINSRMGGADKVIVAVKAEKVISKTALAWALTHVVHPDDCVTLLAVFSAVKTGNKFWNFPRFTGDCGSSSREDLPDRICQISESCSQMVLQFHSQIQVTVRIKVVLSTPGGAVAAEARCNGANWVVLDKKLKQERKYCMEELGCNIVVMNGSQPKVLRLNLACQDELQTPFFSAASSPETHVGKLQGLSRMKHSTPVSSPEEPSTSYTRTTGEGSSSSYDTVTSLFLVYEQNPLFEGPQRGNHRRNYSEDPYEELETIGERLITLSKPRPSSVVTTQSVFWIPQNHTVDHGNPPTPQNCNNAHKVRSPTFQTLFDEYAQFDQDTRKDKHGPKDTHHKSYLINSSIRDAVSLGRTSSVPPPLCSLCQHKTPVFGKPPKQFSYKELEEATDAFSDMNFLAEGGFGVVHRGVLRDGQIVAVKQLKFGGSQADADFCREVRVLSCAQHRNVVLLIGYCIEGKARVLVYEYICNSSLDFHLHVNRTSLDCESRLKIATGAARGLRYLHEDCRVGCIVHRDLRPNNILLTHDFEPLVADFGLARLYSEWEMSNEDRVIGTSGYLAPEYVDGGQITHKVDVYAFGVVLLELMTGRRISELQYVKGHHILEEWFHPLATLQPNRIFSNSYQLLDPNLASPENLDLPHQLQTMARAASLCLHRDPESRPPMSKVLRVLEGGDPVVPLGLDLNSDGSRSGHLNGLRSQRQPEARGSHSRTLSH >ONI32804 pep chromosome:Prunus_persica_NCBIv2:G1:34628473:34631605:-1 gene:PRUPE_1G386700 transcript:ONI32804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEGGINSRMGGADKVIVAVKAEKVISKTALAWALTHVVHPDDCVTLLAVFSAVKTGNKFWNFPRFTGDCGSSSREDLPDRICQISESCSQMVLQFHSQIQVTVRIKVVLSTPGGAVAAEARCNGANWVVLDKKLKQERKYCMEELGCNIVVMNGSQPKVLRLNLACQDELQTPFFSAASSPETHVGKLQGLSRMKHSTPVSSPEEPSTSYTRTTGEGSSSSYDTVTSLFLVYEQNPLFEGPQRGNHRRNYSEDPYEELETIGERLITLSKPRPSSVVTTQSVFWIPQNHTVDHGNPPTPQNCNNAHKVRSPTFQTLFDEYAQFDQDTRKDKHGPKDTHHKSYLINSSIRDAVSLGRTSSVPPPLCSLCQHKTPVFGKPPKQFSYKELEEATDAFSDMNFLAEGGFGVVHRGVLRDGQIVAVKQLKFGGSQADADFCREVRVLSCAQHRNVVLLIGYCIEGKARVLVYEYICNSSLDFHLHVNRTSLDCESRLKIATGAARGLRYLHEDCRVGCIVHRDLRPNNILLTHDFEPLVLETDS >ONI32801 pep chromosome:Prunus_persica_NCBIv2:G1:34627387:34629828:-1 gene:PRUPE_1G386700 transcript:ONI32801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGCNIVVMNGSQPKVLRLNLACQDELQTPFFSAASSPETHVGKLQGLSRMKHSTPVSSPEEPSTSYTRTTGEGSSSSYDTVTSLFLVYEQNPLFEGPQRGNHRRNYSEDPYEELETIGERLITLSKPRPSSVVTTQSVFWIPQNHTVDHGNPPTPQNCNNAHKVRSPTFQTLFDEYAQFDQDTRKDKHGPKDTHHKSYLINSSIRDAVSLGRTSSVPPPLCSLCQHKTPVFGKPPKQFSYKELEEATDAFSDMNFLAEGGFGVVHRGVLRDGQIVAVKQLKFGGSQADADFCREVRVLSCAQHRNVVLLIGYCIEGKARVLVYEYICNSSLDFHLHVNRTSLDCESRLKIATGAARGLRYLHEDCRVGCIVHRDLRPNNILLTHDFEPLVADFGLARLYSEWEMSNEDRVIGTSGYLAPEYVDGGQITHKVDVYAFGVVLLELMTGRRISELQYVKGHHILEEWFHPLATLQPNRIFSNSYQLLDPNLASPENLDLPHQLQTMARAASLCLHRDPESRPPMSKVLRVLEGGDPVVPLGLDLNSDGSRSGHLNGLRSQRQPEARGSHSRTLSH >ONI32802 pep chromosome:Prunus_persica_NCBIv2:G1:34627143:34630647:-1 gene:PRUPE_1G386700 transcript:ONI32802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGCNIVVMNGSQPKVLRLNLACQDELQTPFFSAASSPETHVGKLQGLSRMKHSTPVSSPEEPSTSYTRTTGEGSSSSYDTVTSLFLVYEQNPLFEGPQRGNHRRNYSEDPYEELETIGERLITLSKPRPSSVVTTQSVFWIPQNHTVDHGNPPTPQNCNNAHKVRSPTFQTLFDEYAQFDQDTRKDKHGPKDTHHKSYLINSSIRDAVSLGRTSSVPPPLCSLCQHKTPVFGKPPKQFSYKELEEATDAFSDMNFLAEGGFGVVHRGVLRDGQIVAVKQLKFGGSQADADFCREVRVLSCAQHRNVVLLIGYCIEGKARVLVYEYICNSSLDFHLHVNRTSLDCESRLKIATGAARGLRYLHEDCRVGCIVHRDLRPNNILLTHDFEPLVADFGLARLYSEWEMSNEDRVIGTSGYLAPEYVDGGQITHKVDVYAFGVVLLELMTGRRISELQYVKGHHILEEWFHPLATLQPNRIFSNSYQLLDPNLASPENLDLPHQLQTMARAASLCLHRDPESRPPMSKVLRVLEGGDPVVPLGLDLNSDGSRSGHLNGLRSQRQPEARGSHSRTLSH >ONI32803 pep chromosome:Prunus_persica_NCBIv2:G1:34627143:34631383:-1 gene:PRUPE_1G386700 transcript:ONI32803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGCNIVVMNGSQPKVLRLNLACQDELQTPFFSAASSPETHVGKLQGLSRMKHSTPVSSPEEPSTSYTRTTGEGSSSSYDTVTSLFLVYEQNPLFEGPQRGNHRRNYSEDPYEELETIGERLITLSKPRPSSVVTTQSVFWIPQNHTVDHGNPPTPQNCNNAHKVRSPTFQTLFDEYAQFDQDTRKDKHGPKDTHHKSYLINSSIRDAVSLGRTSSVPPPLCSLCQHKTPVFGKPPKQFSYKELEEATDAFSDMNFLAEGGFGVVHRGVLRDGQIVAVKQLKFGGSQADADFCREVRVLSCAQHRNVVLLIGYCIEGKARVLVYEYICNSSLDFHLHVNRTSLDCESRLKIATGAARGLRYLHEDCRVGCIVHRDLRPNNILLTHDFEPLVADFGLARLYSEWEMSNEDRVIGTSGYLAPEYVDGGQITHKVDVYAFGVVLLELMTGRRISELQYVKGHHILEEWFHPLATLQPNRIFSNSYQLLDPNLASPENLDLPHQLQTMARAASLCLHRDPESRPPMSKVLRVLEGGDPVVPLGLDLNSDGSRSGHLNGLRSQRQPEARGSHSRTLSH >ONI36260 pep chromosome:Prunus_persica_NCBIv2:G1:47095623:47098785:1 gene:PRUPE_1G578800 transcript:ONI36260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLTSSNMGKRRTRGPTRNLKLAQIPIGERFEISWRNRRAVGESSTFFKAECTALVRQTQDLPLQVKSWKEIPFDIKKKAFEHMLKRFKVEDHMTWVLDQIHRSYHNYRHHLKKMWYETCETTEEARKKVPPNVADDDWQYLINLWSSPEWQSMSMKNKENGSKNNIIHTSGSKSFSQIREEERKKTGNDPGRTLLWELTHVRPDGLAANPASQEALSKLKKLYTQISAENSQMTEDEIFVKVFGPERSSRVRGYGDGVTPKELWGPSSSSSSTVNELRRQLEESKQRQEESEQRSAAEVQGLKEQLGRVEGLLSAQMNRFEGLLLQLTSHMHSPPQIERRTEISPSRNGRPRTTRPRR >ONI36259 pep chromosome:Prunus_persica_NCBIv2:G1:47091905:47098803:1 gene:PRUPE_1G578800 transcript:ONI36259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKQACRGRGRPRKKPNVVSERVVSERVVSEKVVNEKEGAFLNFVSQNIERNDIGWNQSDDEDHNDEEFNPEMEIDSGNSSASSMGSGWSYRDQNVSSVDRTVQRLIKKYGEVNLQMENSSKKIDVAQTKPLQVFGSWSRFQHELEAPSHDPRCNKTELSASLSVIKRVMKMDAAVPFNIPVDPIALRLHDYFDVIDTPMDFGTICNHLENGTKYTNSEDVFKDVQYIWKNCCNYYNEGNFVLDLMKRVKEKFMTYWTAAGLCSKEPGTSSVLTSSNMGKRRTRGPTRNLKLAQIPIGERFEISWRNRRAVGESSTFFKAECTALVRQTQDLPLQVKSWKEIPFDIKKKAFEHMLKRFKVEDHMTWVLDQIHRSYHNYRHHLKKMWYETCETTEEARKKVPPNVADDDWQYLINLWSSPEWQSMSMKNKENGSKNNIIHTSGSKSFSQIREEERKKTGNDPGRTLLWELTHVRPDGLAANPASQEALSKLKKLYTQISAENSQMTEDEIFVKVFGPERSSRVRGYGDGVTPKELWGPSSSSSSTVNELRRQLEESKQRQEESEQRSAAEVQGLKEQLGRVEGLLSAQMNRFEGLLLQLTSHMHSPPQIERRTEISPSRNGRPRTTRPRR >ONI36261 pep chromosome:Prunus_persica_NCBIv2:G1:47095784:47098548:1 gene:PRUPE_1G578800 transcript:ONI36261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRTRGPTRNLKLAQIPIGERFEISWRNRRAVGESSTFFKAECTALVRQTQDLPLQVKSWKEIPFDIKKKAFEHMLKRFKVEDHMTWVLDQIHRSYHNYRHHLKKMWYETCETTEEARKKVPPNVADDDWQYLINLWSSPEWQSMSMKNKENGSKNNIIHTSGSKSFSQIREEERKKTGNDPGRTLLWELTHVRPDGLAANPASQEALSKLKKLYTQISAENSQMTEDEIFVKVFGPERSSRVRGYGDGVTPKELWGPSSSSSSTVNELRRQLEESKQRQEESEQRSAAEVQGLKEQLGRVEGLLSAQMNRFEGLLLQLTSHMHSPPQIERRTEISPSRNGRPRTTRPRR >ONI36219 pep chromosome:Prunus_persica_NCBIv2:G1:46905606:46907391:-1 gene:PRUPE_1G575900 transcript:ONI36219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTVINLFQIKVSIYNFQQFRHLDRPGWKLSWIWQDDDQVIWDMWGAEAMEQGNCSKFRSSPQLPHCCKKQPVIIDFLPGAPYNKQFSNCCKGGELSSMIQDSSKFLSAFQMNVGFGKTNNITEVMPTNFTLGIPGYTCGDAFLVSPTRSSPDGRRWVQTLETWNVTCMYSQFRASPSPKCCVSLSAFYNSTIVPCPKCSCGCQGLPGAKCLKSGEKSPHLLELPRAQENEEVPPLVTCSQHMCPIRVHWHVKQSYKEYWRVKITITNLNFVKNYSSWSLVVQHPNLRSVTQLFSFNYHPLNTYGNINDTGMFWGIKSYNDMLLASGQSGNAQSEMLLQKDPGIFTFREGWTFPRRISFNGDECVMPPPDEYPTLPNSATTSRPSLVFFSFLILAFVF >ONI36218 pep chromosome:Prunus_persica_NCBIv2:G1:46905606:46909298:-1 gene:PRUPE_1G575900 transcript:ONI36218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPLFLFGAQRSNAVLFIIFISSLVTSFSLSYGYDSLDPYANITITWDFRLQTGSTYDIKVSIYNFQQFRHLDRPGWKLSWIWQDDDQVIWDMWGAEAMEQGNCSKFRSSPQLPHCCKKQPVIIDFLPGAPYNKQFSNCCKGGELSSMIQDSSKFLSAFQMNVGFGKTNNITEVMPTNFTLGIPGYTCGDAFLVSPTRSSPDGRRWVQTLETWNVTCMYSQFRASPSPKCCVSLSAFYNSTIVPCPKCSCGCQGLPGAKCLKSGEKSPHLLELPRAQENEEVPPLVTCSQHMCPIRVHWHVKQSYKEYWRVKITITNLNFVKNYSSWSLVVQHPNLRSVTQLFSFNYHPLNTYGNINDTGMFWGIKSYNDMLLASGQSGNAQSEMLLQKDPGIFTFREGWTFPRRISFNGDECVMPPPDEYPTLPNSATTSRPSLVFFSFLILAFVF >ONI28891 pep chromosome:Prunus_persica_NCBIv2:G1:13597886:13602308:-1 gene:PRUPE_1G167300 transcript:ONI28891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDVAVPQHGTVGKRQGNEAACCKTGPGYATPLDAMSGPKEALIYVTAVYSGTGIQKPDYLATVDVDPSSPTYSKVIHRLPVPYIGDELHHTGWNSCSSCYGDPSAQRRFLVLPGLISGRIYAIDTKTNPKAPSLHKVVEPEEIIQKTGLAYPHTSHCLASGDLLVSCLGDKDGSAAGSGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISTSWGAPAAFTKGFNPQHVSDGLYGRHLHVYSWPEGELKQTLDLGNTGLLPLEIRFLHDPSKDTGFVGCALTSNIVRFFKTEDGSWSHEVAISVKSLKVQNWILPEMPGLITDFLISLDDRFLYFVNWLHGDVRQYNIEDPKNPKLTGQIWVGGLIQKGSPVVAEAEDGTTRQFDVPEIQGKKLRGGPQMIQLSLDGKRLYITNSLFSTWDRQFYPDLLEKGSHIIQLDVNTENGGLAVNPNFFVDFGAEPGGPALAHEMRYPGGDCTSDIWV >ONI28892 pep chromosome:Prunus_persica_NCBIv2:G1:13598014:13602258:-1 gene:PRUPE_1G167300 transcript:ONI28892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDVAVPQHGTVGKRQGNEAACCKTGPGYATPLDAMSGPKEALIYVTAVYSGTGIQKPDYLATVDVDPSSPTYSKVIHRLPVPYIGDELHHTGWNSCSSCYGDPSAQRRFLVLPGLISGRIYAIDTKTNPKAPSLHKVVEPEEIIQKTGLAYPHTSHCLASGDLLVSCLGDKDGSAAGSGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISTSWGAPAAFTKGFNPQHVSDGLYGRHLHVYSWPEGELKQTLDLGNTGLLPLEIRFLHDPSKDTGFVGCALTSNIVRFFKTEDGSWSHEVAISVKSLKVQNWILPEMPGLITDFLISLDDRFLYFVNWLHGDVRQYNIEDPKNPKLTGQIWVGGLIQKGSPVVAEAEDGTTRQFDVPEIQVWKEVERWTTDDPVKLGREATLHHQFALQYMGSPVLPGPFGERISHNTA >ONI28955 pep chromosome:Prunus_persica_NCBIv2:G1:14278291:14280400:1 gene:PRUPE_1G171500 transcript:ONI28955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAKSQRYAVVTGANKGVGFATVRQLASNGVIVVLTARDEKRGLEAVDKLKEFGLSDLVVFHQLDVTDAASIASLADFVKAQFGKLDILVNNAGVGGTIVDPEAMRAAAASGLGKEGVEVNWTELMTQTYELAEECVKTNYFGAKKMTKALLPLLQLSDTPRIINLTSTVAALKNIPNEWAKGVLSDAEKLTEERIDDVLNEFLKDFKEEMLETKGWPPSLSAYILSKATLNAYTRFVAKKYPNFFVNCVCPGFVKTDMTFNAGILTIDEGAESVVRLAMLTKGSPSGLYFLLKEVSSF >ONI32038 pep chromosome:Prunus_persica_NCBIv2:G1:32326746:32329757:-1 gene:PRUPE_1G345600 transcript:ONI32038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLISESFEVAPKATPIMADLPKEHFIASVTEDYDVTCWGCGLHLLLPSHAPVFKCGWCGALTNQNAGKRECKYFWLRRLRDRCFVCILLMFMLFVICGGVWAAFPVIFSISYFRGIFHSIITFILSVTTVYTFSSASFACAGTPPCVVWGSYPAVGKGALENYTFCQLCSKPKSPRSHHCRSCGMCILDMDHHCPFIGNCVGAANHRHFIALLISVVTSTFYISIMTVYVCWHIWPSITYEPFDHSYGFGSDFAMRAIREIVYGLLKSVVLLSPRGLVLVYLFVSSVSLGIGLSILLWQQLCFIYEGKTYLNHLNDGVGEKDCQNLVQFFGCPYSFSIYLPHCSLSRFLPSFRKKTQRHKK >ONI32039 pep chromosome:Prunus_persica_NCBIv2:G1:32326408:32329972:-1 gene:PRUPE_1G345600 transcript:ONI32039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMLFVICGGVWAAFPVIFSISYFRGIFHSIITFILSVTTVYTFSSASFACAGTPPCVVWGSYPAVGKGALENYTFCQLCSKPKSPRSHHCRSCGMCILDMDHHCPFIGNCVGAANHRHFIALLISVVTSTFYISIMTVYVCWHIWPSITYEPFDHSYGFGSDFAMRAIREIVYGLLKSVVLLSPRGLVLVYLFVSSVSLGIGLSILLWQQLCFIYEGKTYLNHLNDGVGEKDCQNLVQFFGCPYSFSIYLPHCSLSRFLPSFRKKTQRHKK >ONI26271 pep chromosome:Prunus_persica_NCBIv2:G1:1044177:1053583:-1 gene:PRUPE_1G014100 transcript:ONI26271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEYDRDDSPMREHREEGIYDDLDENGTDKSSRHRSKDRKKSSRGEEKDTRSKDRERSRRSSDDFVKEREKESKDSEKDRVSSKERRKDDRDDRYKDKNRDNKAREKDYDRESHRETEHERGKDRKDRGKEKEREKEREVEKDSDRGRDKERGKEKIKDRDKDKEREKERDRAKEKEREKEREKHKDREKGRENYKDTDRERVKDKYREKEREVDHDKDKSRDRVSRRSLDENYEWSKDGGRDDKAKLNEEYTGDKDIKQGKVSHNAEDERKAEGLSGGAHLSALELEERIMKTKEERLKKKKEDVPEVLAWVSRSRKLEDKRNAEKQKALQLSKIFEEQDNIGQGESEDEETAQDTTHDLAGVKVLHGLDKVMEGGAVVLTLKDQNILADGGVNEDIDMLENVEIGEQKQRDDAYKAAKKKTGIYVDKFNDDLNTEKKILPQYDDPVPDEGLTLDERGRFTGEAEKKLEELRKRIQGVPTNNRFEDLNMSGNITSDFYTQEEMLQFKKPKKGKKKSLRKKEKLDLDALEAEAVSAGLGVADLGSRNDAKRQANKEEQERLEAERRNSAYQLAYAKADEASKSLRLEQILTVIPEEDETPAFADDDDDLYKSLERARKLALKKKEEETASGPQAIALLATTTASSQTADNQIPSTGESQDNKVVFTEMEEFVWGLQLDEESHKPESEDVFMQEDEEPKPSHEERMNEPGGWTEVKDMDEDEKPATEDKEEIVPDETIHEVAVGKGLSGVLKLLKDRGTLKEGIEWGGRNMDKKKSKLLGIVDDDDEPKEPHTSRQKKDEHKDTRPSSSSHQKETRPSKVYQEKDIHIERTDEFGRTLTPKEAFRTLSHKFHGKGPGKMKQEKRMKQYQEELKLKQMKSSDTPSLSAERMRDTQARLQTPYLVLSGHVKPGQTSDPRSGFATVEKDFPGGLTPMLGDRKVENYLGIKRKAEPESSGTPKKPKT >ONI26272 pep chromosome:Prunus_persica_NCBIv2:G1:1043829:1054260:-1 gene:PRUPE_1G014100 transcript:ONI26272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEYDRDDSPMREHREEGIYDDLDENGTDKSSRHRSKDRKKSSRGEEKDTRSKDRERSRRSSDDFVKEREKESKDSEKDRVSSKERRKDDRDDRYKDKNRDNKAREKDYDRESHRETEHERGKDRKDRGKEKEREKEREVEKDSDRGRDKERGKEKIKDRDKDKEREKERDRAKEKEREKEREKHKDREKGRENYKDTDRERVKDKYREKEREVDHDKDKSRDRVSRRSLDENYEWSKDGGRDDKAKLNEEYTGDKDIKQGKVSHNAEDERKAEGLSGGAHLSALELEERIMKTKEERLKKKKEDVPEVLAWVSRSRKLEDKRNAEKQKALQLSKIFEEQDNIGQGESEDEETAQDTTHDLAGVKVLHGLDKVMEGGAVVLTLKDQNILADGGVNEDIDMLENVEIGEQKQRDDAYKAAKKKTGIYVDKFNDDLNTEKKILPQYDDPVPDEGLTLDERGRFTGEAEKKLEELRKRIQGVPTNNRFEDLNMSGNITSDFYTQEEMLQFKKPKKGKKKSLRKKEKLDLDALEAEAVSAGLGVADLGSRNDAKRQANKEEQERLEAERRNSAYQLAYAKADEASKSLRLEQILTVIPEEDETPAFADDDDDLYKSLERARKLALKKKEEETASGPQAIALLATTTASSQTADNQIPSTGESQDNKVVFTEMEEFVWGLQLDEESHKPESEDVFMQEDEEPKPSHEERMNEPGGWTEVKDMDEDEKPATEDKEEIVPDETIHEVAVGKGLSGVLKLLKDRGTLKEGIEWGGRNMDKKKSKLLGIVDDDDEPKEPHTSRQKKDEHKDTRPSSSSHQKETRPSKVYQEKDIHIERTDEFGRTLTPKEAFRTLSHKFHGKGPGKMKQEKRMKQYQEELKLKQMKSSDTPSLSAERMRDTQARLQTPYLVLSGHVKPGQTSDPRSGFATVEKDFPGGLTPMLGDRKVENYLGIKRKAEPESSGTPKKPKT >ONI26270 pep chromosome:Prunus_persica_NCBIv2:G1:1043920:1054260:-1 gene:PRUPE_1G014100 transcript:ONI26270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEYDRDDSPMREHREEGIYDDLDENGTDKSSRHRSKDRKKSSRGEEKDTRSKDRERSRRSSDDFVKEREKESKDSEKDRVSSKERRKDDRDDRYKDKNRDNKAREKDYDRESHRETEHERGKDRKDRGKEKEREKEREVEKDSDRGRDKERGKEKIKDRDKDKEREKERDRAKEKEREKEREKHKDREKGRENYKDTDRERVKDKYREKEREVDHDKDKSRDRVSRRSLDENYEWSKDGGRDDKAKLNEEYTGDKDIKQGKVSHNAEDERKAEGLSGGAHLSALELEERIMKTKEERLKKKKEDVPEVLAWVSRSRKLEDKRNAEKQKALQLSKIFEEQDNIGQGESEDEETAQDTTHDLAGVKVLHGLDKVMEGGAVVLTLKDQNILADGGVNEDIDMLENVEIGEQKQRDDAYKAAKKKTGIYVDKFNDDLNTEKKILPQYDDPVPDEGLTLDERGRFTGEAEKKLEELRKRIQGVPTNNRFEDLNMSGNITSDFYTQEEMLQFKKPKKGKKKSLRKKEKLDLDALEAEAVSAGLGVADLGSRNDAKRQANKEEQERLEAERRNSAYQLAYAKADEASKSLRLEQILTVIPEEDETPAFADDDDDLYKSLERARKLALKKKEEETASGPQAIALLATTTASSQTADNQIPSTGESQDNKVVFTEMEEFVWGLQLDEESHKPESEDVFMQEDEEPKPSHEERMNEPGGWTEVKDMDEDEKPATEDKEEIVPDETIHEVAVGKGLSGVLKLLKDRGTLKEGIEWGGRNMDKKKSKLLGIVDDDDEPKEPHTSRQKKDEHKDTRPSSSSHQKETRPSKVYQEKDIHIERTDEFGRTLTPKEAFRTLSHKFHGKGPGKMKQEKRMKQYQEELKLKQMKSSDTPSLSAERMRDTQARLQTPYLVLSGHVKPGQTSDPRSGFATVEKDFPGGLTPMLGDRKVENYLGIKRKAEPESSGTPKKPKT >ONI31782 pep chromosome:Prunus_persica_NCBIv2:G1:31338685:31341828:1 gene:PRUPE_1G330500 transcript:ONI31782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEAKLLARVQHRNVVNLLGYCAHGVEKLLVYEYVAHESLDKLLFKSNRQEELDWKRRYDIICGIARGLLYLHEDSHNCIIHRDIKASNILLDDKWVPKIADFGMAHLFPEDETHVNTRVAGTNGYMAPEYVMHGHLSVKADVFSFGVVVLELISGQRNSSFNLNVDAQSLLDWAYKLYKKGRSLEIMDPTLASSAVTEQVAMCIQIGLLCIQGDPQLRPTMHRVVVILSKKPSNLEEPTRPGVPGSRYRRSRRPPGLSSTGGSSGESNSRSRTFGSSFTATGTTSAAPELDDRGKRPAEI >ONI31783 pep chromosome:Prunus_persica_NCBIv2:G1:31338606:31341828:1 gene:PRUPE_1G330500 transcript:ONI31783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEAKLLARVQHRNVVNLLGYCAHGVEKLLVYEYVAHESLDKLLFKSNRQEELDWKRRYDIICGIARGLLYLHEDSHNCIIHRDIKASNILLDDKWVPKIADFGMAHLFPEDETHVNTRVAGTNGYMAPEYVMHGHLSVKADVFSFGVVVLELISGQRNSSFNLNVDAQSLLDWAYKLYKKGRSLEIMDPTLASSAVTEQVAMCIQIGLLCIQGDPQLRPTMHRVVVILSKKPSNLEEPTRPGVPGSRYRRSRRPPGLSSTGGSSGESNSRSRTFGSSFTATGTTSAAPELDDRGKRPAEI >ONI31780 pep chromosome:Prunus_persica_NCBIv2:G1:31337612:31341828:1 gene:PRUPE_1G330500 transcript:ONI31780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKNFLNNIIKTFGFSSSKEGQNEEDLEKIAAQEQKVFPFETLVAATKNFHSTHELGRGGFGPVYKGKLEDGREIAVKKLSQSSNQGKKEFMNEAKLLARVQHRNVVNLLGYCAHGVEKLLVYEYVAHESLDKLLFKSNRQEELDWKRRYDIICGIARGLLYLHEDSHNCIIHRDIKASNILLDDKWVPKIADFGMAHLFPEDETHVNTRVAGTNGYMAPEYVMHGHLSVKADVFSFGVVVLELISGQRNSSFNLNVDAQSLLDWAYKLYKKGRSLEIMDPTLASSAVTEQVAMCIQIGLLCIQGDPQLRPTMHRVVVILSKKPSNLEEPTRPGVPGSRYRRSRRPPGLSSTGGSSGESNSRSRTFGSSFTATGTTSAAPELDDRGKRPAEI >ONI31781 pep chromosome:Prunus_persica_NCBIv2:G1:31338294:31341828:1 gene:PRUPE_1G330500 transcript:ONI31781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWLLGKLEDGREIAVKKLSQSSNQGKKEFMNEAKLLARVQHRNVVNLLGYCAHGVEKLLVYEYVAHESLDKLLFKSNRQEELDWKRRYDIICGIARGLLYLHEDSHNCIIHRDIKASNILLDDKWVPKIADFGMAHLFPEDETHVNTRVAGTNGYMAPEYVMHGHLSVKADVFSFGVVVLELISGQRNSSFNLNVDAQSLLDWAYKLYKKGRSLEIMDPTLASSAVTEQVAMCIQIGLLCIQGDPQLRPTMHRVVVILSKKPSNLEEPTRPGVPGSRYRRSRRPPGLSSTGGSSGESNSRSRTFGSSFTATGTTSAAPELDDRGKRPAEI >ONI31113 pep chromosome:Prunus_persica_NCBIv2:G1:29101690:29104233:-1 gene:PRUPE_1G293300 transcript:ONI31113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLQRLSLRMMALKPQRLHNIAETTSQSVAKRVRTMTTESSMKDSFAKYTDYLNDLNEKRERVVKASRDITINSKKVIFQVHRISKHNKEEVLQKAEKDLATVTDQYISRLVRELQGTDFWKLRRAYSPGVQEYVEAATFCKFCRTGTLLNLDEMNATLLPLSDPSLEPLQINVLDYLLGLADLTGELMRLAIGRISDGELDFAKKICEFVREIYRELTLVVPFMDDSHDMKTKMDTMLQSVMKIENACFGVHVRGSEYMPLLGSDDPTSFLLGVPDVEI >ONI31114 pep chromosome:Prunus_persica_NCBIv2:G1:29102120:29103849:-1 gene:PRUPE_1G293300 transcript:ONI31114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTESSMKDSFAKYTDYLNDLNEKRERVVKASRDITINSKKVIFQVHRISKHNKEEVLQKAEKDLATVTDQYISRLVRELQGTDFWKLRRAYSPGVQEYVEAATFCKFCRTGTLLNLDEMNATLLPLSDPSLEPLQINVLDYLLGLADLTGELMRLAIGRISDGELDFAKKICEFVREIYRELTLVVPFMDDSHDMKTKMDTMLQSVMKIENACFGVHVRGSEYMPLLGSDDPTSFLLGVPDVEI >ONI34930 pep chromosome:Prunus_persica_NCBIv2:G1:41828123:41831641:-1 gene:PRUPE_1G506600 transcript:ONI34930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTRLKAKENSNPIPPYKFTPSPSFTSHNQLRHHFYLSSPLSVSQRNEERMKNRSSRKISATWIPIFCIPAFLLGMLITSRMWVAPESNGQLISTRRQEQELQIVSEDCATKKKPGQEKDVMDEIYKTHESIQLARSLDKQMASIQMELAAARSSQEMGTSSGAGGNSQLSKDSPTRKKAFIVIGINTAFSSRKRRDSVRETWMPQGEKLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEDAQHNDFLRLEHVEGYHELSAKTKIFFTTALANWDADFYVKVDDDVHVNLGMLATTLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYALSRDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKHVHEKCGEGDAAVWSSLF >ONI34932 pep chromosome:Prunus_persica_NCBIv2:G1:41828123:41831641:-1 gene:PRUPE_1G506600 transcript:ONI34932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTRLKAKENSNPIPPYKFTPSPSFTSHNQLRHHFYLSSPLSVSQRNEERMKNRSSRKISATWIPIFCIPAFLLGMLITSSRMWVAPESNGQLISTRRQEQELQIVSEDCATKKKPGQEKDVMDEIYKTHESIQLARSLDKQMASIQMELAAARSSQEMGTSSGAGGNSQLSKDSPTRKKAFIVIGINTAFSSRKRRDSVRETWMPQGEKLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEDAQHNDFLRLEHVEGYHELSAKTKIFFTTALANWDADFYVKVDDDVHVNLGMLATTLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYALSRDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKHVHEKCGEGDAAVWSSLF >ONI34931 pep chromosome:Prunus_persica_NCBIv2:G1:41828029:41831766:-1 gene:PRUPE_1G506600 transcript:ONI34931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTRLKAKENSNPIPPYKFTPSPSFTSHNQLRHHFYLSSPLSVSQRNEERMKNRSSRKISATWIPIFCIPAFLLGMLITSSRMWVAPESNGQLISTRRQEQELQIVSEDCATKKKPGQEKDVMDEIYKTHESIQSLDKQMASIQMELAAARSSQEMGTSSGAGGNSQLSKDSPTRKKAFIVIGINTAFSSRKRRDSVRETWMPQGEKLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEDAQHNDFLRLEHVEGYHELSAKTKIFFTTALANWDADFYVKVDDDVHVNLGMLATTLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYALSRDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKHVHEKCGEGDAAVWSSLF >ONI34929 pep chromosome:Prunus_persica_NCBIv2:G1:41828029:41831766:-1 gene:PRUPE_1G506600 transcript:ONI34929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTRLKAKENSNPIPPYKFTPSPSFTSHNQLRHHFYLSSPLSVSQRNEERMKNRSSRKISATWIPIFCIPAFLLGMLITSRMWVAPESNGQLISTRRQEQELQIVSEDCATKKKPGQEKDVMDEIYKTHESIQSLDKQMASIQMELAAARSSQEMGTSSGAGGNSQLSKDSPTRKKAFIVIGINTAFSSRKRRDSVRETWMPQGEKLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEDAQHNDFLRLEHVEGYHELSAKTKIFFTTALANWDADFYVKVDDDVHVNLGMLATTLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYALSRDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKHVHEKCGEGDAAVWSSLF >ONI26047 pep chromosome:Prunus_persica_NCBIv2:G1:179494:183844:1 gene:PRUPE_1G001100 transcript:ONI26047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWFSVGIKVVCLVSMMCTVSGIGANWGTQASHPLPPDTVVRLLRENGIRKVKLFDADYDTLRSLGKSEGVEVMVGIPNDMLASLATSVKAAEKWVSKNVSAHVSSSNVKIRYVAVGNEPFLETYNGSFLRTTFPALQNVQSALIKAGLSNQVKVTVPLNADVYASSNGLPSGGDFRTDIHGLMLQIVKFLSDNGAPFTVNIYPFISLYIDSNFPVDYAFFDGNASPITDGSLTYYNMFDANYDTLVWALQRNGFGNLPIIVGEIGWPTDGDQNANIVYAQRFNQGFMSHILGGRGTPMRPGPIDAYLFSLIDEDAKSIDPGNFERHWGIFTFDGIAKYQLNLGSTNSGSLVPAKGVHYLERKWCVMKPSANLDDPQVALSVSYACGLADCTSLGYGTSCGSLDARGNISYAVNSYYQRNNQLDVACKFPNLSMITKTNPSVGSCRFEIMIEPYYGGAGRRFGCLQKPLSLVALIIFFSLTVV >ONI27148 pep chromosome:Prunus_persica_NCBIv2:G1:4998154:5002286:-1 gene:PRUPE_1G070500 transcript:ONI27148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEAPNNELTNGGGGGGMVEQQASQRPSSNGVLSVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSLRGGAPSSAPSSTTTSDHKPHLLGGPTPFILGKRVRGAHDDDNGNHNHSHDAKDPSDHANDGAVSVVGHSMASMLGPTAGGPGGFWAPHFGQVWSFAATPPPEMMAQSAVSHQQHQQHQQQQHSLFLQQQPMGEASAARVGNYLPGHLNLLASLSGGHGNSGRREDNPR >ONI27149 pep chromosome:Prunus_persica_NCBIv2:G1:4998154:5002319:-1 gene:PRUPE_1G070500 transcript:ONI27149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEAPNNELTNGGGGGGMVEQQASQRPSSNGVLSVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSLRGGAPSSAPSSTTTSDHKPHLLGGPTPFILGKRVRGAHDDDNGNHNHSHDAKDPSDHANDGAVSVVGHSMASMLGPTAGGPGGFWAPHFGQVWSFAATPPPEMMAQSAVSHQQHQQHQQQQHSLFLQQQPMGEASAARVGNYLPGHLNLLASLSGGHGNSGRREDNPR >ONI27147 pep chromosome:Prunus_persica_NCBIv2:G1:4998154:5002310:-1 gene:PRUPE_1G070500 transcript:ONI27147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEAPNNELTNGGGGGGMVEQQASQRPSSNGVLSVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSLRGGAPSSAPSSTTTSDHKPHLLGGPTPFILGKRVRGAHDDDNGNHNHSHDAKDPSDHANDGAVSVVGHSMASMLGPTAGGPGGFWAPHFGQVWSFAATPPPEMMAQSAVSHQQHQQHQQQQHSLFLQQQPMGEASAARVGNYLPGHLNLLASLSGGHGNSGRREDNPR >ONI35244 pep chromosome:Prunus_persica_NCBIv2:G1:43030356:43034017:-1 gene:PRUPE_1G525200 transcript:ONI35244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNYRDENDDLTLSLLPEPLPQNGDHENKDESLSIRVWIETKKLWQIVGPAIFSRLASYTMNVITQAFAGHLGEVQLASISIANTVIVGFNFGLLLGMASALETLCGQAFGAKRYHMLGIYLQRSWIVLFLCCFLLTPVYVFATPILKLLGQTDDVAELSGVVALWLLPLHFSFAFQFPLQRFLQCQLKNIVIAWVSLTGLLVNAFVSWLFIYVLDYGVVGAAIALDISWWFLVFGLYVYAACGWCPQTWTGFSMQAFSGLWEFIKLSAASGVMLCLENWYYRILILMTGNLKNATIAVDALSVCMTINGWELMIPLAFFAGTGVRVANELGAGNWKGAKFATKVSVVESTVIGVFFCVLIMALHDKIAYIFTSSSAVLEAVDEMAYLLAVTILLNSVQPVLSGVAVGSGWQAWVAYINLFCYYIVGLPLGFLMGWVFNLSIGGIWGGMIFGGTGIQTLILAIITIRRDWEKEAEKANQRVLQWSTPKPDNQSEEQVH >ONI30344 pep chromosome:Prunus_persica_NCBIv2:G1:25934709:25938018:1 gene:PRUPE_1G245800 transcript:ONI30344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWMMGEGGGHYCSKKTDDICGNVCGQESGRMLSMSRVRCILRGFDLKVLIILFTLIPTCIFGIYVHGQKISYFLRPLWESPPKPFHDIPHYYHENVSMENLCRLHGWGIREYPRRVFDAVLFSNEMDILTIRWKELYPYVTQFVLLESNSTFTGLQKPLFFSRYRDQFKFVEPRLTYGTTGGRFKRGENPFVEEAYQRVTLDNLLKIAGITDDDLLIMSDVDEIPSRHTINLLRWCDEVPEILHLRLKNYLYSFEFLVDNNSWRASVHRYRKGKTTYAHYRQTDDILADAGWHCSFCFRYISEFIFKMKAYSHYDRVRFSNYLNPKRVQKVICKGSDLFDMLPEEYTFKEIIGKMGPVPHSFSAVHLPSHLFEDAERYKFLLPGNCQRESG >ONI32779 pep chromosome:Prunus_persica_NCBIv2:G1:34513618:34518659:1 gene:PRUPE_1G384900 transcript:ONI32779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDYYVSSDDDYYDEDDDRNNMELLEYVENYESLRPEAPTSKVISKESLLAAQRDDLQRVMDVLSLKEYHARTLLIHYRWDVDKVLAVLVERGKDRLYAEAGVTVVEDDNLVSSQFSSEVMCGICMDEIPSDQVTIMDCGHYFCNYCWTEHFIVKINDGQSRRIKCMAHKCNAICDEAIIRNLVSARDPNLAEKFERFLLESYIEDNRKVKWCPSVPHCGNAIRIEDDELSCEVECACGLQFCFKCLSKAHSPCSCRMWELWCQKCQDESVTVNYIAVNTKSCPKCYKLVEKNGGCNLVICVCGQPFCWLCGAPTGSDHTWDSIAGHSCGRFKEDEDMKLELAKRDLFRYTHYFNRYQAHTDSLKLESTLKKSIQEKISCLEEKELMFKDLSWATKALYRLFRSRQIISYSYPFAYHMFGDLFENEMTAEERTIKQNLFEDQQQQLEANMEKLSLFTEEPFHEYKHEQIMELRMRILNLSTLTDKLCEKLYDCIENDLLGSLQWTRHSIAPYNSNGVEKASELMVSRDTTTSNYEIDLATNVD >ONI32776 pep chromosome:Prunus_persica_NCBIv2:G1:34513618:34518660:1 gene:PRUPE_1G384900 transcript:ONI32776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDYYVSSDDDYYDEDDDRNNMELLEYVENYESLRPEAPTSKVISKESLLAAQRDDLQRVMDVLSLKEYHARTLLIHYRWDVDKVLAVLVERGKDRLYAEAGVTVVEDDNLVSSQFSSEVMCGICMDEIPSDQVTIMDCGHYFCNYCWTEHFIVKINDGQSRRIKCMAHKCNAICDEAIIRNLVSARDPNLAEKFERFLLESYIEDNRKVKWCPSVPHCGNAIRIEDDELSCEVECACGLQFCFKCLSKAHSPCSCRMWELWCQKCQDESVTVNYIAVNTKSCPKCYKLVEKNGGCNLVICVCGQPFCWLCGAPTGSDHTWDSIAGHSCGRFKEDEDMKLELAKRDLFRYTHYFNRYQAHTDSLKLESTLKKSIQEKISCLEEKELMFKDLSWATKALYRLFRSRQIISYSYPFAYHMFGDLFENEMTAEERTIKQNLFEDQQQQLEANMEKLSLFTEEPFHEYKHEQIMELRMRILNLSTLTDKLCEKLYDCIENDLLGSLQWTRHSIAPYNSNGVEKASELMVSRDTTTSNYEIDLATNVD >ONI32778 pep chromosome:Prunus_persica_NCBIv2:G1:34513767:34518659:1 gene:PRUPE_1G384900 transcript:ONI32778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDYYVSSDDDYYDEDDDRNNMELLEYVENYESLRPEAPTSKVISKESLLAAQRDDLQRVMDVLSLKEYHARTLLIHYRWDVDKVLAVLVERGKDRLYAEAGVTVVEDDNLVSSQFSSEVMCGICMDEIPSDQVTIMDCGHYFCNYCWTEHFIVKINDGQSRRIKCMAHKCNAICDEAIIRNLVSARDPNLAEKFERFLLESYIEDNRKVKWCPSVPHCGNAIRIEDDELSCEVECACGLQFCFKCLSKAHSPCSCRMWELWCQKCQDESVTVNYIAVNTKSCPKCYKLVEKNGGCNLVICVCGQPFCWLCGAPTGSDHTWDSIAGHSCGRFKEDEDMKLELAKRDLFRYTHYFNRYQAHTDSLKLESTLKKSIQEKISCLEEKELMFKDLSWATKALYRLFRSRQIISYSYPFAYHMFGDLFENEMTAEERTIKQNLFEDQQQQLEANMEKLSLFTEEPFHEYKHEQIMELRMRILNLSTLTDKLCEKLYDCIENDLLGSLQWTRHSIAPYNSNGVEKASELMVSRDTTTSNYEIDLATNVD >ONI32775 pep chromosome:Prunus_persica_NCBIv2:G1:34513618:34518659:1 gene:PRUPE_1G384900 transcript:ONI32775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDYYVSSDDDYYDEDDDRNNMELLEYVENYESLRPEAPTSKVISKESLLAAQRDDLQRVMDVLSLKEYHARTLLIHYRWDVDKVLAVLVERGKDRLYAEAGVTVVEDDNLVSSQFSSEVMCGICMDEIPSDQVTIMDCGHYFCNYCWTEHFIVKINDGQSRRIKCMAHKCNAICDEAIIRNLVSARDPNLAEKFERFLLESYIEDNRKVKWCPSVPHCGNAIRIEDDELSCEVECACGLQFCFKCLSKAHSPCSCRMWELWCQKCQDESVTVNYIAVNTKSCPKCYKLVEKNGGCNLVICVCGQPFCWLCGAPTGSDHTWDSIAGHSCGRFKEDEDMKLELAKRDLFRYTHYFNRYQAHTDSLKLESTLKKSIQEKISCLEEKELMFKDLSWATKALYRLFRSRQIISYSYPFAYHMFGDLFENEMTAEERTIKQNLFEDQQQQLEANMEKLSLFTEEPFHEYKHEQIMELRMRILNLSTLTDKLCEKLYDCIENDLLGSLQWTRHSIAPYNSNGVEKASELMVSRDTTTSNYEIDLATNVD >ONI32777 pep chromosome:Prunus_persica_NCBIv2:G1:34513596:34518659:1 gene:PRUPE_1G384900 transcript:ONI32777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDYYVSSDDDYYDEDDDRNNMELLEYVENYESLRPEAPTSKVISKESLLAAQRDDLQRVMDVLSLKEYHARTLLIHYRWDVDKVLAVLVERGKDRLYAEAGVTVVEDDNLVSSQFSSEVMCGICMDEIPSDQVTIMDCGHYFCNYCWTEHFIVKINDGQSRRIKCMAHKCNAICDEAIIRNLVSARDPNLAEKFERFLLESYIEDNRKVKWCPSVPHCGNAIRIEDDELSCEVECACGLQFCFKCLSKAHSPCSCRMWELWCQKCQDESVTVNYIAVNTKSCPKCYKLVEKNGGCNLVICVCGQPFCWLCGAPTGSDHTWDSIAGHSCGRFKEDEDMKLELAKRDLFRYTHYFNRYQAHTDSLKLESTLKKSIQEKISCLEEKELMFKDLSWATKALYRLFRSRQIISYSYPFAYHMFGDLFENEMTAEERTIKQNLFEDQQQQLEANMEKLSLFTEEPFHEYKHEQIMELRMRILNLSTLTDKLCEKLYDCIENDLLGSLQWTRHSIAPYNSNGVEKASELMVSRDTTTSNYEIDLATNVD >ONI26803 pep chromosome:Prunus_persica_NCBIv2:G1:3329666:3334971:-1 gene:PRUPE_1G047100 transcript:ONI26803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKHTIADACRVMKRCGISGAKTKAALKELLKLYENNWELIVDDDYRVLLDVILDKNSKEEGVKSKKKEAPSYDKTEAVELPTQRVHTRQQKKQALYLSKSCSDATPSRQAHPSDDDLLSDSSSENNQPPLRRYSMKQQEASSIHESEGVKSKNKEAQTEDAERPTKRLWTRQQRKQALSQSKSSLGTTPSRSAHPNDVELLSDSSCEDLQPPLCKYSLKHQEEEARIRESVGVKSKNKKALSYDKTEDVELPTKRLCTSQRKKQAVSLSKSSLEKTPSRSAHLNDDLFSDSSSEELQTLSRRTVLRHQEEEVLIHESKGVKSENKEVPLYDKIEVDAFSTKKLYTIQQEKKSCPFSKSCLETAPSRKAHQNDEDVFSDSEESEECIPLLRTNWRNQEEGALVIWDNLDPVHSCNPGVAENLNVILLHDSSYYDGCNADDGEDSSGLLEVEPLNVDNRDSVYDLSQMDVSSSPPTGEVKIPLIGTSSPQYDFHLPNCDAAVEVVEGRCIKSYSNGESHLAIQIKSTVDKKTRLRDSPDLRISNAQHVLARKDDYQRDNCSAPSFPSEVFVFQNLIKIVPHIPKHIVFSGFECLNHLIGFTTEDIEKICGESGKRLKVLRGLQSSKLCKVEAAQNHHSSLGVVKSCVYIDDITRGEERVKISLEDGRNVEDLPTFFYIPRNLVYKNAYVKFSLVRISDEGCCPHCYGDCLASPIPCICAIETRGGFAYTPGGLVKDKFLEVCISMKQEPKEHHYVYCKKCPLESSKNKKSPVACKGHLFRKFIKECWSKCGCNVNCGNRIVQQGITVKLQVFLTLEGKGWGLQTLEDLPRGAFVCEYVGEIVTNTELYERNMHVGKKHTCPVLLDADWGSGGVLKDEEALCLDATVYGNVARFINHRCFDATLVEIPVEVETPDHHYYHVALFTTRNVAAMEELTWDYGIDFDDHDHPVKTFQCLCGSPFCRGRNL >ONI26804 pep chromosome:Prunus_persica_NCBIv2:G1:3329386:3336812:-1 gene:PRUPE_1G047100 transcript:ONI26804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKHTIADACRVMKRCGISGAKTKAALKELLKLYENNWELIVDDDYRVLLDVILDKNSKEEGVKSKKKEAPSYDKTEAVELPTQRVHTRQQKKQALYLSKSCSDATPSRQAHPSDDDLLSDSSSENNQPPLRRYSMKQQEASSIHESEGVKSKNKEAQTEDAERPTKRLWTRQQRKQALSQSKSSLGTTPSRSAHPNDVELLSDSSCEDLQPPLCKYSLKHQEEEARIRESVGVKSKNKKALSYDKTEDVELPTKRLCTSQRKKQAVSLSKSSLEKTPSRSAHLNDDLFSDSSSEELQTLSRRTVLRHQEEEVLIHESKGVKSENKEVPLYDKIEVDAFSTKKLYTIQQEKKSCPFSKSCLETAPSRKAHQNDEDVFSDSEESEECIPLLRTNWRNQEEGALVIWDNLDPVHSCNPGVAENLNVILLHDSSYYDGCNADDGEDSSGLLEVEPLNVDNRDSVYDLSQMDVSSSPPTGEVKIPLIGTSSPQYDFHLPNCDAAVEVVEGRCIKSYSNGESHLAIQIKSTVDKKTRLRDSPDLRISNAQHVLARKDDYQRDNCSAPSFPSEVFVFQNLIKIVPHIPKHIVFSGFECLNHLIGFTTEDIEKICGESGKRLKVLRGLQSSKLCKVEAAQNHHSSLGVVKSCVYIDDITRGEERVKISLEDGRNVEDLPTFFYIPRNLVYKNAYVKFSLVRISDEGCCPHCYGDCLASPIPCICAIETRGGFAYTPGGLVKDKFLEVCISMKQEPKEHHYVYCKKCPLESSKNKKSPVACKGHLFRKFIKECWSKCGCNVNCGNRIVQQGITVKLQVFLTLEGKGWGLQTLEDLPRGAFVCEYVGEIVTNTELYERNMHVGKKHTCPVLLDADWGSGGVLKDEEALCLDATVYGNVARFINHRCFDATLVEIPVEVETPDHHYYHVALFTTRNVAAMEELTWDYGIDFDDHDHPVKTFQCLCGSPFCRGRNL >ONI26805 pep chromosome:Prunus_persica_NCBIv2:G1:3329386:3336805:-1 gene:PRUPE_1G047100 transcript:ONI26805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKHTIADACRVMKRCGISGAKTKAALKELLKLYENNWELIVDDDYRVLLDVILDKNSKEERVESKEKETLIHESKGVKSKKKEAPSYDKTEAVELPTQRVHTRQQKKQALYLSKSCSDATPSRQAHPSDDDLLSDSSSENNQPPLRRYSMKQQEASSIHESEGVKSKNKEAQTEDAERPTKRLWTRQQRKQALSQSKSSLGTTPSRSAHPNDVELLSDSSCEDLQPPLCKYSLKHQEEEARIRESVGVKSKNKKALSYDKTEDVELPTKRLCTSQRKKQAVSLSKSSLEKTPSRSAHLNDDLFSDSSSEELQTLSRRTVLRHQEEEVLIHESKGVKSENKEVPLYDKIEVDAFSTKKLYTIQQEKKSCPFSKSCLETAPSRKAHQNDEDVFSDSEESEECIPLLRTNWRNQEEGALVIWDNLDPVHSCNPGVAENLNVILLHDSSYYDGCNADDGEDSSGLLEVEPLNVDNRDSVYDLSQMDVSSSPPTGEVKIPLIGTSSPQYDFHLPNCDAAVEVVEGRCIKSYSNGESHLAIQIKSTVDKKTRLRDSPDLRISNAQHVLARKDDYQRDNCSAPSFPSEVFVFQNLIKIVPHIPKHIVFSGFECLNHLIGFTTEDIEKICGESGKRLKVLRGLQSSKLCKVEAAQNHHSSLGVVKSCVYIDDITRGEERVKISLEDGRNVEDLPTFFYIPRNLVYKNAYVKFSLVRISDEGCCPHCYGDCLASPIPCICAIETRGGFAYTPGGLVKDKFLEVCISMKQEPKEHHYVYCKKCPLESSKNKKSPVACKGHLFRKFIKECWSKCGCNVNCGNRIVQQGITVKLQVFLTLEGKGWGLQTLEDLPRGAFVCEYVGEIVTNTELYERNMHVGKKHTCPVLLDADWGSGGVLKDEEALCLDATVYGNVARFINHRCFDATLVEIPVEVETPDHHYYHVALFTTRNVAAMEELTWDYGIDFDDHDHPVKTFQCLCGSPFCRGRNL >ONI26806 pep chromosome:Prunus_persica_NCBIv2:G1:3329336:3336805:-1 gene:PRUPE_1G047100 transcript:ONI26806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKHTIADACRVMKRCGISGAKTKAALKELLKLYENNWELIVDDDYRVLLDVILDKNSKEERVESKEKETLIHESKGVKSKKKEAPSYDKTEAVELPTQRVHTRQQKKQALYLSKSCSDATPSRQAHPSDDDLLSDSSSENNQPPLRRYSMKQQEASSIHESEGVKSKNKEAQTEDAERPTKRLWTRQQRKQALSQSKSSLGTTPSRSAHPNDVELLSDSSCEDLQPPLCKYSLKHQEEEARIRESVGVKSKNKKALSYDKTEDVELPTKRLCTSQRKKQAVSLSKSSLEKTPSRSAHLNDDLFSDSSSEELQTLSRRTVLRHQEEEVLIHESKGVKSENKEVPLYDKIEVDAFSTKKLYTIQQEKKSCPFSKSCLETAPSRKAHQNDEDVFSDSEESEECIPLLRTNWRNQEEGALVIWDNLDPVHSCNPGVAENLNVILLHDSSYYDGCNADDGEDSSGLLEVEPLNVDNRDSVYDLSQMDVSSSPPTGEVKIPLIGTSSPQYDFHLPNCDAAVEVVEGRCIKSYSNGESHLAIQIKSTVDKKTRLRDSPDLRISNAQHVLARKDDYQRDNCSAPSFPSEVFVFQNLIKIVPHIPKHIVFSGFECLNHLIGFTTEDIEKICGESGKRLKVLRGLQSSKLCKVEAAQNHHSSLGVVKSCVYIDDITRGEERVKISLEDGRNVEDLPTFFYIPRNLVYKNAYVKFSLVRISDEGCCPHCYGDCLASPIPCICAIETRGGFAYTPGGLVKDKFLEVCISMKQEPKEHHYVYCKKCPLESSKNKKSPVACKGHLFRKFIKECWSKCGCNVNCGNRIVQQGITVKLQVFLTLEGKGWGLQTLEDLPRGAFVCEYVGEIVTNTELYERNMHVGKKHTCPVLLDADWGSGGVLKDEEALCLDATVYGNVARFINHRCFDATLVEIPVEVETPDHHYYHVALFTTRNVAAMEELTWDYGIDFDDHDHPVKTFQCLCGSPFCRGRNL >ONI26807 pep chromosome:Prunus_persica_NCBIv2:G1:3329370:3336805:-1 gene:PRUPE_1G047100 transcript:ONI26807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKHTIADACRVMKRCGISGAKTKAALKELLKLYENNWELIVDDDYRVLLDVILDKNSKEERVESKEKETLIHESKGVKSKKKEAPSYDKTEAVELPTQRVHTRQQKKQALYLSKSCSDATPSRQAHPSDDDLLSDSSSENNQPPLRRYSMKQQEASSIHESEGVKSKNKEAQTEDAERPTKRLWTRQQRKQALSQSKSSLGTTPSRSAHPNDVELLSDSSCEDLQPPLCKYSLKHQEEEARIRESVGVKSKNKKALSYDKTEDVELPTKRLCTSQRKKQAVSLSKSSLEKTPSRSAHLNDDLFSDSSSEELQTLSRRTVLRHQEEEVLIHESKGVKSENKEVPLYDKIEVDAFSTKKLYTIQQEKKSCPFSKSCLETAPSRKAHQNDEDVFSDSEESEECIPLLRTNWRNQEEGALVIWDNLDPVHSCNPGVAENLNVILLHDSSYYDGCNADDGEDSSGLLEVEPLNVDNRDSVYDLSQMDVSSSPPTGEVKIPLIGTSSPQYDFHLPNCDAAVEVVEGRCIKSYSNGESHLAIQIKSTVDKKTRLRDSPDLRISNAQHVLARKDDYQRDNCSAPSFPSEVFVFQNLIKIVPHIPKHIVFSGFECLNHLIGFTTEDIEKICGESGKRLKVLRGLQSSKLCKVEAAQNHHSSLGVVKSCVYIDDITRGEERVKISLEDGRNVEDLPTFFYIPRNLVYKNAYVKFSLVRISDEGCCPHCYGDCLASPIPCICAIETRGGFAYTPGGLVKDKFLEVCISMKQEPKEHHYVYCKKCPLESSKNKKSPVACKGHLFRKFIKECWSKCGCNVNCGNRIVQQGITVKLQVFLTLEGKGWGLQTLEDLPRGAFVCEYVGEIVTNTELYERNMHVGKKHTCPVLLDADWGSGGVLKDEEALCLDATVYGNVARFINHRCFDATLVEIPVEVETPDHHYYHVALFTTRNVAAMEELTWDYGIDFDDHDHPVKTFQCLCGSPFCRGRNL >ONI26216 pep chromosome:Prunus_persica_NCBIv2:G1:786857:790353:-1 gene:PRUPE_1G010300 transcript:ONI26216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDRTLSAEPKKSDPENVKVIEWEEFEQELVRLWSLSSALSEAQEKKQSLQRKLESLIEVEAESLSRSNELEEMHQKLEARKMVLGNMSRHSMLAVEDTKKKEEQLSIELRSLLVAGTALSVARKRLEESNRLLSTEKGYVRLKNLQKMLRRRQQHMISQVSLLYPVKFTMGPAQEQELDSFPNTSRSGNSARFKPANQGTLTILGLHLTMLPFKMMSFFTNKKEVQKSATALGYVGHVRSCLTYSFLLKSSIALSIALGWFTFLYY >ONI26215 pep chromosome:Prunus_persica_NCBIv2:G1:785941:790509:-1 gene:PRUPE_1G010300 transcript:ONI26215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDRTLSAEPKKSDPENVKVIEWEEFEQELVRLWSLSSALSEAQEKKQSLQRKLESLIEVEAESLSRSNELEEMHQKLEARKMVLGNMSRHSMLAVEDTKKKEEQLSIELRSLLVAGTALSVARKRLEESNRLLSTEKGYVRLKNLQKMLRRRQQHMISQVSLLYPVKFTMGPAQEQELDSFPNTSRSGNSARFKPANQGTLTILGLHLTMLPFKMMSFFTNKKEVQKSATALGYVGHAVLLIASYLKVPLRYPLRLGGSHSYIIDYGPSVEATSSDLSSNTVVSTDVKHVEFPLFLDGQDTTRAAYAVFLLNKDLEQLLNYIGVKSLGPRHVLANLKELVRTIQSVDYIDT >ONI26217 pep chromosome:Prunus_persica_NCBIv2:G1:785941:789311:-1 gene:PRUPE_1G010300 transcript:ONI26217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKLEARKMVLGNMSRHSMLAVEDTKKKEEQLSIELRSLLVAGTALSVARKRLEESNRLLSTEKGYVRLKNLQKMLRRRQQHMISQVSLLYPVKFTMGPAQEQELDSFPNTSRSGNSARFKPANQGTLTILGLHLTMLPFKMMSFFTNKKEVQKSATALGYVGHVRSCLTYSFLLKSSIALSIALGWFTFLYY >ONI26946 pep chromosome:Prunus_persica_NCBIv2:G1:4071358:4072113:1 gene:PRUPE_1G057100 transcript:ONI26946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSRNVLLLMVVFFMITRCQAIFRHHTQTIRSTNDVGAEMTVHCKSKDDDLGSHLISVKRFEWGSESHYFDIYISYRDHPPCNVCLWSIRPKGPCMWNYET >ONI27699 pep chromosome:Prunus_persica_NCBIv2:G1:7994281:7997174:1 gene:PRUPE_1G100200 transcript:ONI27699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGLINANPVVYEKKERRVRSVPSAAADEYAVEPIDQQEIFDILSEFNIKDPEHPYSLEELKVITEDAIDVDDSRGSVRVTFTPTVEHCSMATVIGLCLRVKLLRSLPSRYKVDIRVAPGSHATEAAVNKQLNDKERVAAALENPGLLDMVDECLSPSYE >ONI34757 pep chromosome:Prunus_persica_NCBIv2:G1:41182898:41184437:-1 gene:PRUPE_1G497000 transcript:ONI34757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRINCSEAINCGGIGGISYPFWGVNRANYCGLPGFEVQCHNNVPVINMSNINYRILKTNSSRVPPSVTVARQDYWKTICPPTFVNTSINFSLFNYTSSGVTNLTFYYGCNTSTSNTTSTSQVCSSGNKISYVTPLFLSNYNSSALTKGSVSQCNSTTTSSQICIRASANMTVSSVTPSPPADTVAPVACKNQVIVPVSRTAAVALKANRTAIQDAVDGGFELELKVGTDICNTCVESGGMCGTTSGSFNCFCKDRAYATRCNTTPISNQPPRPKGSKAKYVIGAYI >ONI29016 pep chromosome:Prunus_persica_NCBIv2:G1:14842077:14845488:-1 gene:PRUPE_1G175800 transcript:ONI29016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVRRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPDADMISLIHHAIDSGVTFLDTSDIYGPFTNEILLGKALKGGVREKVELATKFGISFADNKREVRGDPAYVRAAIEGSLKRLGVDSVDLYYQHRIDTRVPIEVTVGELKKLVEEGKVKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGANLVENLPNDDFRKYLPRFQAENLEHNKTIFERVSDLAARKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNIGALSVKLTPEELAELESFASADAVKGDRYQNDFSTWKNSETPPVSSLEST >ONI31355 pep chromosome:Prunus_persica_NCBIv2:G1:30033801:30036688:-1 gene:PRUPE_1G308300 transcript:ONI31355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRHENVKLRLFISGQQDNVQTERQGNEVSPLFSKGFKADEYEKAESRCTFFNLMKYWKDSLDFASKAWEMGRSDPRKVIFAIKMGLALSIVSLLIFWKKSYHDIGQYSIWAILTVIVMFEFSIGGTFIKGFNRGLGTLFAGILAFCFAELSLLAANLEEVVIVMSIFIVGFFASYLKLYPTMKPYEYGFRVFVLTYCILMVAGNRTREYNEAVATRLVLIAVGAAVCLVVNICIYPIWSGEDLHNLVVKNFKGVAASLEGCVNGYLKCTEYERIPSKILTYQAADDPLYKGYRSVVESTSQEETLLGFAIWEPPHGRYRMLKYPWINFVKLSGALRHCAFMVMALHGCILSEIQAPAEKRQVFCSELQRVGAEGAKVLRELGKKVEKMEKLGPGDILKDVHEAAEHLQKKIDQKSYLLVNSERWEIGRRPKELEDDPQRLLDAKEHDNMQLGFKSLSETVLDLRPVTKACTPCAPQSASSDNMFRDQASWPLPLSFGGHGVINEDDCRTYESASALSLATFASLLIELVARLQNVVDTFQELGEKADFKVPVLNAPPTKKSVIWPW >ONI31357 pep chromosome:Prunus_persica_NCBIv2:G1:30033465:30036275:-1 gene:PRUPE_1G308300 transcript:ONI31357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSLLGSFKADEYEKAESRCTFFNLMKYWKDSLDFASKAWEMGRSDPRKVIFAIKMGLALSIVSLLIFWKKSYHDIGQYSIWAILTVIVMFEFSIGGTFIKGFNRGLGTLFAGILAFCFAELSLLAANLEEVVIVMSIFIVDPSGFFASYLKLYPTMKPYEYGFRVFVLTYCILMVAGNRTREYNEAVATRLVLIAVGAAVCLVVNICIYPIWSGEDLHNLVVKNFKGVAASLEGCVNGYLKCTEYERIPSKILTYQAADDPLYKGYRSVVESTSQEETLLGFAIWEPPHGRYRMLKYPWINFVKLSGALRHCAFMVMALHGCILSEIQAPAEKRQVFCSELQRVGAEGAKVLRELGKKVEKMEKLGPGDILKDVHEAAEHLQKKIDQKSYLLVNSERWEIGRRPKELEDDPQRLLDAKEHDNMQLGFKSLSETVLDLRPVTKACTPCAPQSASSDNMFRDQASWPLPLSFGGHGVINEDDCRTYESASALSLATFASLLIELVARLQNVVDTFQELGEKADFKVPVLNAPPTKKSVIWPW >ONI31356 pep chromosome:Prunus_persica_NCBIv2:G1:30033465:30036339:-1 gene:PRUPE_1G308300 transcript:ONI31356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSLLGSFKADEYEKAESRCTFFNLMKYWKDSLDFASKAWEMGRSDPRKVIFAIKMGLALSIVSLLIFWKKSYHDIGQYSIWAILTVIVMFEFSIGGTFIKGFNRGLGTLFAGILAFCFAELSLLAANLEEVVIVMSIFIVGFFASYLKLYPTMKPYEYGFRVFVLTYCILMVAGNRTREYNEAVATRLVLIAVGAAVCLVVNICIYPIWSGEDLHNLVVKNFKGVAASLEGCVNGYLKCTEYERIPSKILTYQAADDPLYKGYRSVVESTSQEETLLGFAIWEPPHGRYRMLKYPWINFVKLSGALRHCAFMVMALHGCILSEIQAPAEKRQVFCSELQRVGAEGAKVLRELGKKVEKMEKLGPGDILKDVHEAAEHLQKKIDQKSYLLVNSERWEIGRRPKELEDDPQRLLDAKEHDNMQLGFKSLSETVLDLRPVTKACTPCAPQSASSDNMFRDQASWPLPLSFGGHGVINEDDCRTYESASALSLATFASLLIELVARLQNVVDTFQELGEKADFKVPVLNAPPTKKSVIWPW >ONI33448 pep chromosome:Prunus_persica_NCBIv2:G1:36596955:36598518:-1 gene:PRUPE_1G424700 transcript:ONI33448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSGFWVLVLLLGTVLVLVLNVSSSRNGYSYPDFVFNHTGDGPKRIAISRKLKENGYTPRTQKKGNVRNVNLDDYHPIDPSPSSKASIKPGPIEHGTPIIPYIPKPLPPAQPSPGATP >ONI32997 pep chromosome:Prunus_persica_NCBIv2:G1:35268753:35272972:1 gene:PRUPE_1G398600 transcript:ONI32997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLTPSTQVHADDPPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVEGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYSHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDNSLEFLILASDGLWDVVTNEEAVAMIKPIQDPKQAAERLMQEAYQRGSADNITCVVVRFLANQGGTSRSSSG >ONI32998 pep chromosome:Prunus_persica_NCBIv2:G1:35268301:35273046:1 gene:PRUPE_1G398600 transcript:ONI32998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLTPSTQVHADDPPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVEGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYSHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDNSLEFLILASDGLWDVVTNEEAVAMIKPIQDPKQAAERLMQEAYQRGSADNITCVVVRFLANQGGTSRSSSG >ONI32996 pep chromosome:Prunus_persica_NCBIv2:G1:35268549:35272995:1 gene:PRUPE_1G398600 transcript:ONI32996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNSVLTPSTQVHADDPPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVEGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTKSAIADAYSHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDNSLEFLILASDGLWDVVTNEEAVAMIKPIQDPKQAAERLMQEAYQRGSADNITCVVVRFLANQGGTSRSSSG >ONI33337 pep chromosome:Prunus_persica_NCBIv2:G1:36241569:36244353:-1 gene:PRUPE_1G417900 transcript:ONI33337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNVNLNPSRRFGDNGGSLLSGSAYSKSRSSPILSVALIAVGALLLLAYSYNGGRGGGGGIVKGVVSRVEAAGHSCTAEVQRAIPVLKKAYGDSMHKVLHVGPDTCAVVSTLLSEEETEAWGVEPYDVEDAGRSCKALVRKDVVRVVDIKYPLPYRPKSFSIVLVSDALDYLSDKYLNKTLPDLARVSVDGLVVFTGYPRKQRAKAAEVSKFGKAAKLRSTSWWSKYFGQISLDENEDAAKKFKLAATKMSYVSNCQVFHLKSFN >ONI33339 pep chromosome:Prunus_persica_NCBIv2:G1:36241870:36243992:-1 gene:PRUPE_1G417900 transcript:ONI33339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALYSQALPILSRGLLRSYQSHLSPWEHCFFLPIHTTAAEVEVVALLKGLLAGLKILAAGHSCTAEVQRAIPVLKKAYGDSMHKVLHVGPDTCAVVSTLLSEEETEAWGVEPYDVEDAGRSCKALVRKDVVRVVDIKYPLPYRPKSFSIVLVSDALDYLSDKYLNKTLPDLARVSVDGLVVFTGYPRKQRAKAAEVSKFGKAAKLRSTSWWSKYFGQISLDENEDAAKKFKLAATKMSYVSNCQVFHLKSFN >ONI33334 pep chromosome:Prunus_persica_NCBIv2:G1:36241568:36244360:-1 gene:PRUPE_1G417900 transcript:ONI33334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNVNLNPSRRFGDNGGSLLSGSAYSKSRSSPILSVALIAVGALLLLAYSYNGGRGGGGGIVKGVVSRVEGHSCTAEVQRAIPVLKKAYGDSMHKVLHVGPDTCAVVSTLLSEEETEAWGVEPYDVEDAGRSCKALVRKDVVRVVDIKYPLPYRPKSFSIVLVSDALDYLSDKYLNKTLPDLARVSVDGLVVFTGYPRKQRAKAAEVSKFGKAAKLRSTSWWSKYFGQISLDENEDAAKKFKLAATKMSYVSNCQVFHLKSFN >ONI33338 pep chromosome:Prunus_persica_NCBIv2:G1:36241870:36243992:-1 gene:PRUPE_1G417900 transcript:ONI33338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALYSQALPILSRGLLRSYQSHLSPWEHCFFLPIHTTAAEVEVVALLKGLLAGLKILAAGHSCTAEVQRAIPVLKKAYGDSMHKVLHVGPDTCAVVSTLLSEEETEAWGVEPYDVEDAGRSCKALVRKDVVRVVDIKYPLPYRPKSFSIVLVSDALDYLSDKYLNKTLPDLARVSVDGLVVFTGYPRKQRAKAAEVSKFGKAAKLRSTSWWSKYFGQISLDENEDAAKKFKLAATKMSYVSNCQVFHLKSFN >ONI33336 pep chromosome:Prunus_persica_NCBIv2:G1:36241494:36244363:-1 gene:PRUPE_1G417900 transcript:ONI33336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNVNLNPSRRFGDNGGSLLSGSAYSKSRSSPILSVALIAVGALLLLAYSYNGGRGGGGGIVKGVVSRVEGHSCTAEVQRAIPVLKKAYGDSMHKVLHVGPDTCAVVSTLLSEEETEAWGVEPYDVEDAGRSCKALVRKDVVRVVDIKYPLPYRPKSFSIVLVSDALDYLSDKYLNKTLPDLARVSVDGLVVFTGYPRKQRAKAAEVSKFGKAAKLRSTSWWSKYFGQISLDENEDAAKKFKLAATKMSYVSNCQVFHLKSFN >ONI33340 pep chromosome:Prunus_persica_NCBIv2:G1:36241573:36244353:-1 gene:PRUPE_1G417900 transcript:ONI33340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALYSQALPILSRGLLRSYQSHLSPWEHCFFLPIHTTAAEVGGGGGIVKGVVSRVEGHSCTAEVQRAIPVLKKAYGDSMHKVLHVGPDTCAVVSTLLSEEETEAWGVEPYDVEDAGRSCKALVRKDVVRVVDIKYPLPYRPKSFSIVLVSDALDYLSDKYLNKTLPDLARVSVDGLVVFTGYPRKQRAKAAEVSKFGKAAKLRSTSWWSKYFGQISLDENEDAAKKFKLAATKMSYVSNCQVFHLKSFN >ONI33335 pep chromosome:Prunus_persica_NCBIv2:G1:36241570:36244353:-1 gene:PRUPE_1G417900 transcript:ONI33335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNVNLNPSRRFGDNGGSLLSGSAYSKSRSSPILSVALIAVGALLLLAYSYNGGRGGGGGIVKGVVSRVEGHSCTAEVQRAIPVLKKAYGDSMHKVLHVGPDTCAVVSTLLSEEETEAWGVEPYDVEDAGRSCKALVRKDVVRVVDIKYPLPYRPKSFSIVLVSDALDYLSDKYLNKTLPDLARVSVDGLVVFTGYPRKQRAKAAEVSKFGKAAKLRSTSWWSKYFGQISLDENEDAAKKFKLAATKMSYVSNCQVFHLKSFN >ONI35954 pep chromosome:Prunus_persica_NCBIv2:G1:45949124:45950984:-1 gene:PRUPE_1G562400 transcript:ONI35954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFSKFAGDDAEPHIFKSHIPSKKRSEVPISAIESLRRDLLNVLNSVEFSNPSESAEDVLIYNNANNHPSIAVHEIGLGAILLKPPTSPAEETSEPVDKAPDEEAATRNSSSDKETVNK >ONI31397 pep chromosome:Prunus_persica_NCBIv2:G1:30162819:30164226:1 gene:PRUPE_1G310100 transcript:ONI31397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPRKQPLNQRNGFRKFKEDRFPAEDYKKVRKVRVICYDPDATDESSSSEDEGRGRSYVKKPKRIIHEINLHPIFTPPAKVVVEPESSCQDSNNGVKTPKPKVGFFARTSRRQSSSPFRGVRQRKWGKWAAEIRDPFKGRRTWLGTFSTPEDASKAYEAKRLELEELWARETAAPATSSEKSNNTSSSAVVSHMSYANIQQPVSSEDSDSALSRRSPSSVLDLETSASNNIGNVVDLEKEVVDDSPDLGELQIPDLGFLDDPLGSLPFENELSLGPELDSLCLEEFGKFFNEFSSIEDVQIGGINGYEPTSLPDFYFEDLGKDDIACWLDEPLNIACQ >ONI29833 pep chromosome:Prunus_persica_NCBIv2:G1:22984800:22988311:1 gene:PRUPE_1G216600 transcript:ONI29833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRSTSKFNSRLTRLLLLLFIFCFRQETVQCQETEPPSSSKQPHFKNQLQRIILSIVLGVVTGLICALLTALLVRCFLRYISRTPILKGPVIFSPKIDPKTLQLALTNENQLLGSSPNGKYYRTVLDNGLIIAVKQLGPFSECSSPESQSKSVKRRIQQELEVLAGLRHRHLMSLRAYVREHDRFSLVYDFVPNGSLEDAMNRVRANQLQLGWEVRLRVAVGVIKGLQYLHSYVPQIMHYNLKPTNVMLDSEFEPRLGDYGLAKLTPYLDGATSGYSAPECFQNGRYSDKSDIFSFGMILGVLLTGRDPTDAFFGEAASGGSLGRWLRHLQQAGEAREALDKSIIGEEGEEDEMLMAVRIAVVCLSDLPAERPSSDELVHMLTQLHSF >ONI29834 pep chromosome:Prunus_persica_NCBIv2:G1:22984800:22988311:1 gene:PRUPE_1G216600 transcript:ONI29834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRSTSKFNSRLTRLLLLLFIFCFRQETVQCQETEPPSSSKQPHFKNQLQRIILSIVLGVVTGLICALLTALLVRCFLRYISRTPILKGPVIFSPKIDPKTLQLALTNENQLLGSSPNGKYYRTVLDNGLIIAVKQLGPFSECSSPESQSKSVKRRIQQELEVLAGLRHRHLMSLRAYVREHDRFSLVYDFVPNGSLEDAMNRVRANQLQLGWEVRLRVAVGVIKGLQYLHSYVPQIMHYNLKPTNVMLDSEFEPRLGDYGLAKLTPYLDGATSGYSAPECFQNGRYSDKSDIFSFGMILGVLLTGRDPTDAFFGEAASGGSLGRWLRHLQQAGEAREALDKSIIGEEGEEDEMLMAVRIAVVCLSDLPAERPSSDELVHMLTQLHSF >ONI31352 pep chromosome:Prunus_persica_NCBIv2:G1:30020014:30020707:1 gene:PRUPE_1G308000 transcript:ONI31352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTRKKEKTNKKQKPERTLHLSQGVICRPNLCDVQQKPEKRFYMFTSSSPPRHADFRVNLPQCHLI >ONI31572 pep chromosome:Prunus_persica_NCBIv2:G1:30706024:30708334:-1 gene:PRUPE_1G320100 transcript:ONI31572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAEREQLNRTLNQHLNTIHETFQLLDQTPPSTLEKVSWEKVMQMGDQVTKQATIAGMLWNGETPEVRALEENMASYFNILQGFLLLSHGSIVGAGPTLSSCVHASVKQVVDSSFKLLKESVSLYGARNKDGKFSMPQLAGAVWEACSALKKTPATNVTAIGRAMTQVAVSMKDVLREMKELKPGSSDLTDEASNESSIEAEIEPQDDKISSDGDLGNDLSPEEMRVAQLAIAVVSETLVVIKELIRTITSLLKRENPNDSSNLVDSLEKLLRMCQGIGIQIDELGASLYPPQEVPTMKAALGKISSFVDDMQAELQNLKGNLEAFCQACTCLKSSLGQLESAVGCPGTVDLETRVQKIDLNN >ONI34808 pep chromosome:Prunus_persica_NCBIv2:G1:41408002:41414405:1 gene:PRUPE_1G500200 transcript:ONI34808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQQPQGPSWPPLQLHVLPSLFLTKLSFRVMSEEDKRNREGLCWILEAKAQGERGSSSSDTQTERERKRQRERDGVCSRMAEDAQDSESPVKQVKQEAHDIQSQIKDAMRSRVPYFKEQSDSLTFEGVRRLLEKDLGLETFALDVHKRFVKEHLVECLEGAGDDNTSKSSGETDEKSIIKGEAAESPEGYKSNKDVKETYSEDEEKMEDSPVMGLLAGNKTAKSGTEETKSTKSKKAPSETVIKSALRKRVSYIKANSEKITMAGLRRLLEEDLKLEKYTLDPCKKFINEHLDKVLESCEISEPAPVKKNVKKSVQRKASTKVRSDESSGSSDNESDEEEDEVKPRNKSVPKGKMQNSNDLKKRKRMANETNISGKKRIKPSETEPEDKSDAEVSGNVSEDDRSQSSAEKPVKKKEVSTPAYGKRVEHLRSVIKACGMSVAPSVYKKVKQVPESKREAHLIKELEEILSKEGLSAHPTEKEIKEVKKKKERAKELEGIDMSNIVTSSRRRSTTSFVPPPKPKIPVDSDSEDSEDTADDNDEEENEVEDEDNGNSDNNHSDEDDADDSD >ONI34810 pep chromosome:Prunus_persica_NCBIv2:G1:41408895:41414405:1 gene:PRUPE_1G500200 transcript:ONI34810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSPVMGLLAGNKTAKSGTEETKSTKSKKAPSETVIKSALRKRVSYIKANSEKITMAGLRRLLEEDLKLEKYTLDPCKKFINEHLDKVLESCEISEPAPVKKNVKKSVQRKASTKVRSDESSGSSDNESDEEEDEVKPRNKSVPKGKMQNSNDLKKRKRMANETNISGKKRIKPSETEPEDKSDAEVSGNVSEDDRSQSSAEKPVKKKEVSTPAYGKRVEHLRSVIKACGMSVAPSVYKKVKQVPESKREAHLIKELEEILSKEGLSAHPTEKEIKEVKKKKERAKELEGIDMSNIVTSSRRRSTTSFVPPPKPKIPVDSDSEDSEDTADDNDEEENEVEDEDNGNSDNNHSDEDDADDSD >ONI34807 pep chromosome:Prunus_persica_NCBIv2:G1:41408002:41414405:1 gene:PRUPE_1G500200 transcript:ONI34807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQQPQGPSWPPLQLHVLPSLFLTKLSFRVMSEEDKRNREGLCWILEAKAQGERGSSSSDTQTERERKRQRERDGVCSRMAEDAQDSESPVKQVKQEAHDIQSQIKDAMRSRVPYFKEQSEYSLTFEGVRRLLEKDLGLETFALDVHKRFVKEHLVECLEGAGDDNTSKSSGETDEKSIIKGEAAESPEGYKSNKDVKETYSEDEEKMEDSPVMGLLAGNKTAKSGTEETKSTKSKKAPSETVIKSALRKRVSYIKANSEKITMAGLRRLLEEDLKLEKYTLDPCKKFINEHLDKVLESCEISEPAPVKKNVKKSVQRKASTKVRSDESSGSSDNESDEEEDEVKPRNKSVPKGKMQNSNDLKKRKRMANETNISGKKRIKPSETEPEDKSDAEVSGNVSEDDRSQSSAEKPVKKKEVSTPAYGKRVEHLRSVIKACGMSVAPSVYKKVKQVPESKREAHLIKELEEILSKEGLSAHPTEKEIKEVKKKKERAKELEGIDMSNIVTSSRRRSTTSFVPPPKPKIPVDSDSEDSEDTADDNDEEENEVEDEDNGNSDNNHSDEDDADDSD >ONI34809 pep chromosome:Prunus_persica_NCBIv2:G1:41408459:41414405:1 gene:PRUPE_1G500200 transcript:ONI34809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAQDSESPVKQVKQEAHDIQSQIKDAMRSRVPYFKEQSDSLTFEGVRRLLEKDLGLETFALDVHKRFVKEHLVECLEGAGDDNTSKSSGETDEKSIIKGEAAESPEGYKSNKDVKETYSEDEEKMEDSPVMGLLAGNKTAKSGTEETKSTKSKKAPSETVIKSALRKRVSYIKANSEKITMAGLRRLLEEDLKLEKYTLDPCKKFINEHLDKVLESCEISEPAPVKKNVKKSVQRKASTKVRSDESSGSSDNESDEEEDEVKPRNKSVPKGKMQNSNDLKKRKRMANETNISGKKRIKPSETEPEDKSDAEVSGNVSEDDRSQSSAEKPVKKKEVSTPAYGKRVEHLRSVIKACGMSVAPSVYKKVKQVPESKREAHLIKELEEILSKEGLSAHPTEKEIKEVKKKKERAKELEGIDMSNIVTSSRRRSTTSFVPPPKPKIPVDSDSEDSEDTADDNDEEENEVEDEDNGNSDNNHSDEDDADDSD >ONI33464 pep chromosome:Prunus_persica_NCBIv2:G1:36648110:36649371:1 gene:PRUPE_1G426100 transcript:ONI33464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAVGAAKKKFDEDEAPRSPESVGLVIGVTGIVGNSLAEILPISDTPGGPWKVYGVARRPRPNWNADHPVEYIQCDISDADDAKAKLSPLSDVTHIFYVTWTNRSTEAENCEANGAMFRNVLNSVIPNAPNLRHVCLQTGAKHYVGPFEAFGKIQPHEPPFTEDLPRLDYPNFYYTMEDLLFAEMEKKEDLTWSVHRPDAIFGFSPYSMMNIVGTLCVYAAICKHERVPLKFPGSKAAWNCYSVASDADLIAEQHIWAAVDPYAKNEAFNINNGDVFKWKHFWKVLAEQFGIEEYGIDEEEGAKLSLVELMKGKERVWEEIVKENQLQPTRLEEVGVWWFVDAWLGGEGLLCSMNKSKEHGFVGFRNSRNSFVTWIDKTKAFKIVP >ONI33463 pep chromosome:Prunus_persica_NCBIv2:G1:36645103:36649762:1 gene:PRUPE_1G426100 transcript:ONI33463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAIGAAKKKFDEDEAPRSPESVGLVIGVTGIVGNSLAEILPISDTPGGPWKVYGVARRPRPNWNADHPVEYIQCDISDADDAKAKLSPLSDVTHIFYVTWTNRSTEAENCEANGAMFRNVLNSVIPNAPNLRHVCLQTGAKHYVGPFEAFGKIQPHEPPFTEDLPRLDYPNFYYTMEDLLFAEMEKKEDLTWSVHRPDAIFGFSPYSMMNIVGTLCVYAAICKHERVPLKFPGSKAAWNCYSVASDADLIAEQHIWAAVDPYAKNEAFNINNGDVFKWKHFWKVLAEQFGIEEYGIDEEEGAKLSLVELMKGKERVWEEIVKENQLQPTRLEEVGVWWFVDAWLGGEGLLCSMNKSKEHGFVGFRNSRNSFVTWIDKTKAFKIVP >ONI31044 pep chromosome:Prunus_persica_NCBIv2:G1:28812690:28815065:1 gene:PRUPE_1G288700 transcript:ONI31044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKPAANNTQAAPIPNEGRVVTNEPASKPTAPPPAPEPVDITKQIPAGIHSTSSNNYMRADGQNTGNFITDRPSTKVHSAPGGGSSLDYLFGGPGGN >ONI31045 pep chromosome:Prunus_persica_NCBIv2:G1:28812931:28815001:1 gene:PRUPE_1G288700 transcript:ONI31045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKPAANNTQAAPIPNEGRVVTNEPASKPTAPPPAPEPVDITKQIPAGIHSTSSNNYMRADGQNTGNFITDRPSTKVHSAPGGGSSLDYLFGGPGGN >ONI31043 pep chromosome:Prunus_persica_NCBIv2:G1:28813724:28815001:1 gene:PRUPE_1G288700 transcript:ONI31043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKPAANNTQAAPIPNEGRVVTNEPASKPTAPPPAPEPVDITKQIPAGIHSTSSNNYMRADGQNTGNFITDRPSTKVHSAPGGGSSLDYLFGGPGGN >ONI30494 pep chromosome:Prunus_persica_NCBIv2:G1:26485527:26487783:1 gene:PRUPE_1G253900 transcript:ONI30494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANCARRTLQFSSSSAKTLLCSTPSSPFASKASNLIELTAVKSTSASRLAAQKLKLSRFPVELAGVQSLIPLHSATASALFTSLLSLHNNSWGCLSEGFATPL >ONI32184 pep chromosome:Prunus_persica_NCBIv2:G1:32812784:32814643:-1 gene:PRUPE_1G352900 transcript:ONI32184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERLLILQPHNWASRRDHDMMLYYNRPGTSICMAFAPEEEAEVLEPFLEKLRLLRLEPSWKPLHHSSKFEVS >ONI35449 pep chromosome:Prunus_persica_NCBIv2:G1:43826884:43827325:1 gene:PRUPE_1G536500 transcript:ONI35449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMKASSSSTSSNTGMMSRMKKDCLFFYVSLQEGFRNLKAFFVGQAKKLTARNEQEATEAELRTSKMQVEAADAAEETKKRLSNSKSV >ONI31768 pep chromosome:Prunus_persica_NCBIv2:G1:31305021:31307154:-1 gene:PRUPE_1G330000 transcript:ONI31768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSFTFQIPQALPSLSHPRRTSVTITSQHRQSYDPKPEKTVITSIFQNPADYFHLKKSSLAIQVGAVLATIEQPAFAVTGVNFEEDLTWVLIQSGVIAFWYFLLMPPIIMNWLRIRWYKRNLLEMYLQFMCVFLFFPGVLLWAPFLNFRKFPRDPSMKYPWSTPEDPDQVKAGFLKYPFAEPEDYS >ONI31769 pep chromosome:Prunus_persica_NCBIv2:G1:31305021:31307144:-1 gene:PRUPE_1G330000 transcript:ONI31769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSFTFQIPQALPSLSHPRRTSVTITSQHRQSYDPKPEKTVITSIFQNPADYFHLKKSSLAIQVGAVLATQIEQPAFAVTGVNFEEDLTWVLIQSGVIAFWYFLLMPPIIMNWLRIRWYKRNLLEMYLQFMCVFLFFPGVLLWAPFLNFRKFPRDPSMKYPWSTPEDPDQVKAGFLKYPFAEPEDYS >ONI28557 pep chromosome:Prunus_persica_NCBIv2:G1:11599966:11604274:-1 gene:PRUPE_1G147800 transcript:ONI28557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEWRTVYLPFCLIFRPSQGTPLDLSFPNIISIKVNGFSQSDLPPADLKQPAWKPYLYRGRQRMLFSVHETVHAAMYDRDEIPDSISISGQINCRADLEGLPDVSFPLKDHIEVLSFHPCAQVPEKGIDKQAVMFSPPLGNFVLMRYEAVCGLGPPIKGFYQLSMVSEDKGDFLFKLRLMDGYKSPLTMEFCTVTMPFPMRRVVSFDGTPSVGIVSTTDHSVEWKIVMGGRGLTKSIEATFPGKVQFAPWKPKKLPPSSSAFGSIADEDSDIETDGNNNSMVNIDEFLMEKMSKDLQPADLEEPFCWHAYNYAKVSFKIVGASLSGISIDPKSVSIYPAVKAPVEFSTQVTSGDYILWNTLGRCPSAAATKV >ONI28558 pep chromosome:Prunus_persica_NCBIv2:G1:11599966:11604750:-1 gene:PRUPE_1G147800 transcript:ONI28558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEWRTVYLPFCLIFRPSQGTPLDLSFPNIISIKVNGFSQSDLPPADLKQPAWKPYLYRGRQRMLFSVHETVHAAMYDRDEIPDSISISGQINCRADLEGLPDVSFPLKDHIEVLSFHPCAQVPEKGIDKQAVMFSPPLGNFVLMRYEAVCGLGPPIKGFYQLSMVSEDKGDFLFKLRLMDGYKSPLTMEFCTVTMPFPMRRVVSFDGTPSVGIVSTTDHSVEWKIVMGGRGLTKSIEATFPGKVQFAPWKPKKLPPSSSAFGSIADEDSDIETDGNNNSMVNIDEFLMEKMSKDLQPADLEEPFCWHAYNYAKVSFKIVGASLSGISIDPKSVSIYPAVKAPVEFSTQVTSGDYILWNTLGRCPSAAATKV >ONI28555 pep chromosome:Prunus_persica_NCBIv2:G1:11600304:11604482:-1 gene:PRUPE_1G147800 transcript:ONI28555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGCSIRAIWILNRLDAVVFSRRFPVVEKRWRGTCKSENETSTEGDLNYRVFTSLPSDSELAAAFVDRKRREGSLRGFGVRVSQSAEGSDSWLDDPITRHIIGIYINKEEDGDRNVLWPLILHTKGHYVILVFPLVEPRHLKAYVTLCNRSDCGNAVGVEDSISSILLDLPSITGAFMVAHAIGDIITGDVGEPEVVVSASPSVGGLLDSLTGSIGISSISSRAKPVAAPVASSTPSSGAVTGTVTSDAHKTGSRTLDKDALRTFISSSMPFGTPLDLSFPNIISIKVNGFSQSDLPPADLKQPAWKPYLYRGRQRMLFSVHETVHAAMYDRDEIPDSISISGQINCRADLEGLPDVSFPLKDHIEVLSFHPCAQVPEKGIDKQAVMFSPPLGNFVLMRYEAVCGLGPPIKGFYQLSMVSEDKGDFLFKLRLMDGYKSPLTMEFCTVTMPFPMRRVVSFDGTPSVGIVSTTDHSVEWKIVMGGRGLTKSIEATFPGKVQFAPWKPKKLPPSSSAFGSIADEDSDIETDGNNNSMVNIDEFLMEKMSKDLQPADLEEPFCWHAYNYAKVSFKIVGASLSGISIDPKSVSIYPAVKAPVEFSTQVTSGDYILWNTLGRCPSAAATKV >ONI28556 pep chromosome:Prunus_persica_NCBIv2:G1:11599966:11604750:-1 gene:PRUPE_1G147800 transcript:ONI28556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGCSIRAIWILNRLDAVVFSRRFPVVEKRWRGTCKSENETSTEGDLNYRVFTSLPSDSELAAAFVDRKRREGSLRGFGVRVSQSAEGSDSWLDDPITRHIIGIYINKEEDGDRNVLWPLILHTKGHYVILVFPLVEPRHLKAYVTLCNRSDCGNAVGVEDSISSILLDLPSITGYGTPLDLSFPNIISIKVNGFSQSDLPPADLKQPAWKPYLYRGRQRMLFSVHETVHAAMYDRDEIPDSISISGQINCRADLEGLPDVSFPLKDHIEVLSFHPCAQVPEKGIDKQAVMFSPPLGNFVLMRYEAVCGLGPPIKGFYQLSMVSEDKGDFLFKLRLMDGYKSPLTMEFCTVTMPFPMRRVVSFDGTPSVGIVSTTDHSVEWKIVMGGRGLTKSIEATFPGKVQFAPWKPKKLPPSSSAFGSIADEDSDIETDGNNNSMVNIDEFLMEKMSKDLQPADLEEPFCWHAYNYAKVSFKIVGASLSGISIDPKSVSIYPAVKAPVEFSTQVTSGDYILWNTLGRCPSAAATKV >ONI32596 pep chromosome:Prunus_persica_NCBIv2:G1:34041350:34045562:1 gene:PRUPE_1G375100 transcript:ONI32596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPTVRAKQSGYDTVPVVGPLVKARLLRSELCRFRGVSGCITKAGFVSRPQNARKCTRVHCTFSSSSNGNGSMAENFNENDEDYVNSSVLEAVEVKSGIDGFMIKMRDGRHMRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVPIARPTMYQVVREMIDKMGYEVRLVRVTKRVHEAYFAQLYLRKAGSETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSSHGPASDGLLFTELDRPSGQPCVETKEFNLVRNMLIAAVEERYRDAAQWRDKLLQLRARRNLA >ONI32598 pep chromosome:Prunus_persica_NCBIv2:G1:34041409:34045557:1 gene:PRUPE_1G375100 transcript:ONI32598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMRDGRHMRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVPIARPTMYQVVREMIDKMGYEVRLVRVTKRVHEAYFAQLYLRKAGSETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSSHGPASDGLLFTELDRPSGQPCVETKEFNLVRNMLIAAVEERYRDAAQWRDKLLQLRARRNLA >ONI32597 pep chromosome:Prunus_persica_NCBIv2:G1:34041673:34045668:1 gene:PRUPE_1G375100 transcript:ONI32597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPTVRAKQSGYDTVPVVGPLVKARLLRSELCRFRGVSGCITKAGFVSRPQNARKCTRVHCTFSSSSNGNGSMAENFNENDEDYVNSSVLEAVEVKSGIDGFMIKMRDGRHMRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVPIARPTMYQVVREMIDKMGYEVRLVRVTKRVHEAYFAQLYLRKAEWSTMC >ONI32594 pep chromosome:Prunus_persica_NCBIv2:G1:34041329:34045667:1 gene:PRUPE_1G375100 transcript:ONI32594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPTVRAKQSGYDTVPVVGPLVKARLLRSELCRFRGVSGCITKAGFVSRPQNARKCTRVHCTFSSSSNGNGSMAENFNENDEDYVNSSVLEAVEVKSGIDGFMIKMRDGRHMRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVPIARPTMYQVVREMIDKMGYEVRLVRVTKRVHEAYFAQLYLRKAGSETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSSHGPASDGLLFTELDRPSGQPCVETKEFNLVRNMLIAAVEERYRDAAQWRDKLLQLRARRNLA >ONI32595 pep chromosome:Prunus_persica_NCBIv2:G1:34041656:34045630:1 gene:PRUPE_1G375100 transcript:ONI32595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPTVRAKQSGYDTVPVVGPLVKARLLRSELCRFRGVSGCITKAGFVSRPQNARKCTRVHCTFSSSSNGNGSMAENFNENDEDYVNSSVLEAVEVKSGIDGFMIKMRDGRHMRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVPIARPTMYQVVREMIDKMGYEVRLVRVTKRVHEAYFAQLYLRKAGSETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSSHGPASDGLLFTELDRPSGQPCVETKEFNLVRNMLIAAVEERYRDAAQWRDKLLQLRARRNLA >ONI32599 pep chromosome:Prunus_persica_NCBIv2:G1:34041692:34045630:1 gene:PRUPE_1G375100 transcript:ONI32599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMRDGRHMRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVPIARPTMYQVVREMIDKMGYEVRLVRVTKRVHEAYFAQLYLRKAGSETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSSHGPASDGLLFTELDRPSGQPCVETKEFNLVRNMLIAAVEERYRDAAQWRDKLLQLRARRNLA >ONI32600 pep chromosome:Prunus_persica_NCBIv2:G1:34041329:34045667:1 gene:PRUPE_1G375100 transcript:ONI32600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMRDGRHMRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVPIARPTMYQVVREMIDKMGYEVRLVRVTKRVHEAYFAQLYLRKAGSETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSSHGPASDGLLFTELDRPSGQPCVETKEFNLVRNMLIAAVEERYRDAAQWRDKLLQLRARRNLA >ONI28200 pep chromosome:Prunus_persica_NCBIv2:G1:10140256:10142008:-1 gene:PRUPE_1G130500 transcript:ONI28200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAARRTLASALSRTLASTHTCSKSFSSRSRFAVALLNKQQTQLLPDLVNITYRSKHSGQGYSPLNDATPNWSNRPPKETILLDGCDYEHWLIVMEYDDPKPSEEEMINSYVKTLATVLGSEEEAKKKIYSVSTTTYTGFGALISEELSLKVKGLPGVLWVLPDSYLDVPNKDYGGDLYIDGKVIPRPQYRYNERQQQTRNRPRPRYDRRRETMQVERREPMQGQSLGQDRREPVQQTTQSSTQGGGTGSTRNQGEFNRGNV >ONI30894 pep chromosome:Prunus_persica_NCBIv2:G1:28365560:28368148:-1 gene:PRUPE_1G280000 transcript:ONI30894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHLQHKIQKYEEFVDGRLKPDLVRAIAQRDKVFEQQKVFSDLRNNIENLEKNSVTSLRTLVNLGSEVYMQADVPDTRRIFVDIGLGFHVEFTWSEALNYISQREEKIARQIEEYTNLIASIKAQIKLVCEGIRELLQLPAEKRAPERIF >ONI27328 pep chromosome:Prunus_persica_NCBIv2:G1:5872790:5873326:1 gene:PRUPE_1G080300 transcript:ONI27328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYNTIKHLVSLIALFYVAEAVLKNLTAQSCGCAPEICCSQYGDCGSGDGYWGQGYKEGPCTSSGGSTTTTPRSNGSGGSSVADIVTPEFFNRIINQVFRPSFYESKIKANWSKYMIWALIVQV >ONI30646 pep chromosome:Prunus_persica_NCBIv2:G1:27264124:27267845:1 gene:PRUPE_1G264000 transcript:ONI30646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLNGFDHVSVSSNSSVKDANCGEYNDNGLHTSSTDRMHKPGDLSTENSASNSVTVVSQRSNVQIMNVNDVDTQTKFNDHSVIEANEPVFLDEISSSVDETSAKEEGILDNCGILPSTCLPCLASTVPSVEKRRSLISSPPSARKKAALKLPFKWKEQANATLFSSKKLLQRPIAGSQVPFCPIEKKMFDSWSHIEPNTFKVRGPNYFRDKKKEFAPSYAAYYPFGLDVFLSQRKIDHIARFVELPIVNSSGDLPAILVVNVQVPLYPAAIFQGETDGEGMNFVLYFKLSDIYSKELPSNFQENIRRLIGDEVEKVKGFPVDTIAPFRERLKILGRVVNVEDLHLSAPERKLMQAYNEKPVLSRPQHEFYLGENYLEIDLDMHRFSYISRKGFEAFLDRLKLCILDVGLTIQGNKPEELPEQILCCIRLNGIDYMNYHQLGLTQDPL >ONI30643 pep chromosome:Prunus_persica_NCBIv2:G1:27263463:27267845:1 gene:PRUPE_1G264000 transcript:ONI30643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSTPDRCVGGRLGSSKKKKSRKRRRDGVKRWAKQSPGRAARLPEGSPDRFDGSAPPDRSTFNNPTFQASTESIEEAWFDPVAIFESDCDEDFQSAPDEMPSLNGFDHVSVSSNSSVKDANCGEYNDNGLHTSSTDRMHKPGDLSTENSASNSVTVVSQRSNVQIMNVNDVDTQTKFNDHSVIEANEPVFLDEISSSVDETSAKEEGILDNCGILPSTCLPCLASTVPSVEKRRSLISSPPSARKKAALKLPFKWKEQANATLFSSKKLLQRPIAGSQVPFCPIEKKMFDSWSHIEPNTFKVRGPNYFRDKKKEFAPSYAAYYPFGLDVFLSQRKIDHIARFVELPIVNSSGDLPAILVVNVQVPLYPAAIFQGETDGEGMNFVLYFKLSDIYSKELPSNFQENIRRLIGDEVEKVKGFPVDTIAPFRERLKILGRVVNVEDLHLSAPERKLMQAYNEKPVLSRPQHEFYLGENYLEIDLDMHRFSYISRKGFEAFLDRLKLCILDVGLTIQGNKPEELPEQILCCIRLNGIDYMNYHQLGLTQDPL >ONI30645 pep chromosome:Prunus_persica_NCBIv2:G1:27263463:27267845:1 gene:PRUPE_1G264000 transcript:ONI30645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLNGFDHVSVSSNSSVKDANCGEYNDNGLHTSSTDRMHKPGDLSTENSASNSVTVVSQRSNVQIMNVNDVDTQTKFNDHSVIEANEPVFLDEISSSVDETSAKEEGILDNCGILPSTCLPCLASTVPSVEKRRSLISSPPSARKKAALKLPFKWKEQANATLFSSKKLLQRPIAGSQVPFCPIEKKMFDSWSHIEPNTFKVRGPNYFRDKKKEFAPSYAAYYPFGLDVFLSQRKIDHIARFVELPIVNSSGDLPAILVVNVQVPLYPAAIFQGETDGEGMNFVLYFKLSDIYSKELPSNFQENIRRLIGDEVEKVKGFPVDTIAPFRERLKILGRVVNVEDLHLSAPERKLMQAYNEKPVLSRPQHEFYLGENYLEIDLDMHRFSYISRKGFEAFLDRLKLCILDVGLTIQGNKPEELPEQILCCIRLNGIDYMNYHQLGLTQDPL >ONI30644 pep chromosome:Prunus_persica_NCBIv2:G1:27263463:27267845:1 gene:PRUPE_1G264000 transcript:ONI30644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSTPDRCVGGRLGSSKKKKSRKRRRDGVKRWAKQSPGRAARLPEGSPDRFDGSAPPDRSTFNNPTFQESIEEAWFDPVAIFESDCDEDFQSAPDEMPSLNGFDHVSVSSNSSVKDANCGEYNDNGLHTSSTDRMHKPGDLSTENSASNSVTVVSQRSNVQIMNVNDVDTQTKFNDHSVIEANEPVFLDEISSSVDETSAKEEGILDNCGILPSTCLPCLASTVPSVEKRRSLISSPPSARKKAALKLPFKWKEQANATLFSSKKLLQRPIAGSQVPFCPIEKKMFDSWSHIEPNTFKVRGPNYFRDKKKEFAPSYAAYYPFGLDVFLSQRKIDHIARFVELPIVNSSGDLPAILVVNVQVPLYPAAIFQGETDGEGMNFVLYFKLSDIYSKELPSNFQENIRRLIGDEVEKVKGFPVDTIAPFRERLKILGRVVNVEDLHLSAPERKLMQAYNEKPVLSRPQHEFYLGENYLEIDLDMHRFSYISRKGFEAFLDRLKLCILDVGLTIQGNKPEELPEQILCCIRLNGIDYMNYHQLGLTQDPL >ONI31389 pep chromosome:Prunus_persica_NCBIv2:G1:30106043:30107079:1 gene:PRUPE_1G309500 transcript:ONI31389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLPCIGIMKTKIPQIKEKLRKGNIVVGLTIPKGNNQIVGEVCWRFTLSVPGCLRGQWMRVYVVDDRS >ONI32709 pep chromosome:Prunus_persica_NCBIv2:G1:34341014:34345688:-1 gene:PRUPE_1G381200 transcript:ONI32709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSCTHLHFIQALKGGLPVKTLNVARGRPVLKFKKLIDICETLDAKNGDLFPAIPPKDEFRGLFMDRDWVMGESTRNCTVRNITIKTEREDSGFNSSDNNDGRDDLDGFGNMTLKQIKETCKSKKRKRSKSVDLNKKLEMCCPIKQEYSELQTDEEDCDLIEPLSNWKVKLSKKANAKTKSKRVKNCVSTVSQSAILTTKLEHIPSEPETFQFSQDVPTPVFDIKVEVPETDSSDFQNTTCFLGNTSLACDNQAGYCEVMPNELPETAADCVSRTGLPISLTKESQICGVDEDWYEDMEYDNPTPIQILTTSGWDIIKADDPEITSYQCFDFPLLEYNIEGHITDLVHPDIFIEAISSSQDHNFDTHETLSSEDERLYQTNSETQVQMSNMAVDDSFQCRGPINVTDGCLPEPDNRADVTSNVEASASSISDCGLGSGSCLVSAAADSPMAEEEKQSQTFPCAVAERNLSPGIFSSDGNDELTTLVNGGSPELKQQRPPQRLFQTRKIISPASQEKLCKAMKSIELQDEDISKIHRTCKGNLCFGKQAENTIGGAGGPDQIRRAIKTQNNRNSKYEKNHSHPKGIPKGSNVSAAAPRFSTGCTSIRACSESAIAFSQRQMHDIECLATKLSNELKTMKEIAEERLQREAYPATSLRYNANEARMAIKNVTRVEASARRLLSMMSRDCNRFCKIMKMADNGSNASENVANKEKKITFADEAGEKLCHVKFFENDMASFPGIDGDQEQEFLLK >ONI33373 pep chromosome:Prunus_persica_NCBIv2:G1:36347487:36348242:1 gene:PRUPE_1G420000 transcript:ONI33373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLITTLFTIALLLSFQLSLAARPDPAFTNAALKTQQQDKEAEAIAVEDGGEISCAGLEMEECLMRRTLAAHVDYIYTQKHKP >ONI36017 pep chromosome:Prunus_persica_NCBIv2:G1:46147742:46149435:1 gene:PRUPE_1G565200 transcript:ONI36017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVKENWIKGALLILTSHIAWSAWLILQAVVSKVYTARLSLTTMICFFASLQSSFLALFFARNPISWRLEWNLQLFTIVYCGVVISALAYYLQKWCLSYKGPVLAAMFIPLQVIIVALFSAIAFAERLHFGSGGVQLDRSVSHYRGPLLCAVGKEGKTILLLNRQRMEKGYLKTLKCWRMIFQS >ONI36019 pep chromosome:Prunus_persica_NCBIv2:G1:46147720:46150393:1 gene:PRUPE_1G565200 transcript:ONI36019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVKENWIKGALLILTSHIAWSAWLILQAVVSKVYTARLSLTTMICFFASLQSSFLALFFARNPISWRLEWNLQLFTIVYCGVVISALAYYLQKWCLSYKGPVLAAMFIPLQVIIVALFSAIAFAERLHFGSLIGAFLIIVGLYCVLWGKKERQSCC >ONI36018 pep chromosome:Prunus_persica_NCBIv2:G1:46147665:46150501:1 gene:PRUPE_1G565200 transcript:ONI36018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVKENWIKGALLILTSHIAWSAWLILQAVVSKVYTARLSLTTMICFFASLQSSFLALFFARNPISWRLEWNLQLFTIVYCGVVISALAYYLQKWCLSYKGPVLAAMFIPLQVIIVALFSAIAFAERLHFGSLIGAFLIIVGLYCVLWGKKERQSCC >ONI32626 pep chromosome:Prunus_persica_NCBIv2:G1:34145489:34147738:1 gene:PRUPE_1G377000 transcript:ONI32626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGADAGSAVAPFAKWRDEFSRTFQYYLDRSTPNPVYRWLGTLAVAAIYVLRVYYVQGFYIVSYGLGIYILNLLIGFLSPKVDPELEALDGASLPTRGSDEFKPFIRRLPEFKFWYSITKAFCVAFLMTFFSIFDVPVFWPILLCYWIVLFVLTMKRQILHMIKYKYIPFSIGKQRYTGKKPATSSGGSD >ONI29028 pep chromosome:Prunus_persica_NCBIv2:G1:14972907:14974671:-1 gene:PRUPE_1G176500 transcript:ONI29028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFEKLKWVRKGLVDWKRHKWRSSKVYIDNLREKLCAAFRGLVFLGNKIKRLEKDLKTELQKEEQYWKLKSRVEWLQEGDKNTKFFHSKTVSRRRINRLHGLEDQGGIWYGEAYDIKRIVKSYFQDLFMSANPVERQITDNILVVHEILHTLKTAQGSDQQYMAMKLDMAKAYDRVKWAFLDAMMEKLRFESRFRGWIMECVTIVSCKDGLGKYLGLKDDFGASKQQIFESVCQKISVRLHGWAEQFLFAIGKEILFKIVAMAMPNHAMSCFKLSVSLCKEIEGEIARFWWKNGADRKPIHWVGWKKLSRLKKDGGLGFRELTCFNLAMLAKIGWRILCQPQSVKLEILLRRIILSCY >ONI35573 pep chromosome:Prunus_persica_NCBIv2:G1:44434305:44436369:1 gene:PRUPE_1G543700 transcript:ONI35573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVSNKQVILRDYVSGRYPTESDMYVKTSNISLKVPHVQGGQGEGPCNNIVLVKNLYLSCDPMMRFLIMDTSHYKMTKYEYCTPGSPICGYGVAKVLDSSNPEFKEGDLVWGLTRWEEYSFITNTKSLIKIQHTDVPLSYYTGILGMPGKSAYAGFFEVCTPKKGEYVFVSAASGAVGQLVGQFAKLAGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEEHDLNAALKRYFPQGIDVYFENVGGDMLEAVLLNMREHGRITICGMISQYNLPEPQGIKNVMQIAFKRLHIHGFTHRDYDHIVPKYLDFVLPYIRQGKLVYVEDIVEGLENGPAALVGLFNGRNFGKQVIALAHQ >ONI36429 pep chromosome:Prunus_persica_NCBIv2:G1:47452615:47454370:1 gene:PRUPE_1G584600 transcript:ONI36429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDEQLQVLSMLDAAKTQFYHFTAVVISGMGFFTDSYDLFCISLVTKLLGRIYYHVEGSAKPGSLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKGAYGITLMLMVGCSIGSGLSFGSSPTSVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIVVSSIFRAVYHADPYSVDPIGSTVPQADYVWRIILMFGAAPAALTFYSRMKMPETPRYTALVAKDQNKACQDMAKVLNVEMKEQQQVAHAQPQNNRSSKFALFSKKFLRRHGLHLLGTASTWFLLDIAYYSQNLFQKDIFSAVGWLPSASSMSALDELYKIARAQTLIALCGTVPGYWVTVFLIDHIGRFAIQLIGFFFMTAFMFLLAIPYHHWTRPENNIGFIVIYGLTFFFANFGPNSTTFVVPAEIFPARFRSTCHGISAAAGKAGAIIGAFGFLYASQNQDKSKTDPGYPPGIGMRNSLILLGMTSILGFFFTFLVPESKGKSLEEMSRENEDEGDDQVQPNRVEVTV >ONI28328 pep chromosome:Prunus_persica_NCBIv2:G1:10843732:10845723:1 gene:PRUPE_1G138600 transcript:ONI28328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRDKDVPANKKLRLSLYSIVQDYLQGDVSTQPELQPNIDIEFIEKNIKDNESDFAPLSKSYTVFKHHLGRRLLIKHNTKRSSSGTNSDLCMYILFVLLTIYKQCFIGKSQCIKKRAIYYTDTNQFKSEYDAYGVIDYVFCVLSHIRLSLKVISDLIGKVIGQFTFVCDDTPHDCSSSAEEIASCHRIVRTSFNSCDASFILLVEKNTVFHGSAQVKFHENAKCIMVSDKGYGDRSTKVFLKKLYYDLRRPVIAIVDCNPYGLQINLHIQ >ONI31180 pep chromosome:Prunus_persica_NCBIv2:G1:29334971:29339396:1 gene:PRUPE_1G297300 transcript:ONI31180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHAVCDNTVGSPEVEINYLNQQVQSNESGMQELESHLKVLTKLDLDLAYSSEKLANLHVPLIFLLAQQNDLEAMATVNNYILADFIEKVLVFNFLSSILDSEVRELDNFMDALQAGIIDARQKISSCRHLGKLYSIMEGKLNDSEESLKQSQDQISELKMQSERFQRTVLAFAHENWKTDIAMDLSENAQWANKNAKSNLKMPRQQRHNLWMLEKSLSRELYLEKKLTESRQNEEELRLKLHHTEQVAFHMEEAAEVVWGRFLEAENAAEVLQGISKELLGRLQLIHFNLNGSIQRESELKFKLQASLEELKAKDTALLKLKNVHEENIKKDVELSALREKVVFLEKQLKESELQLKNANSTNESSQEKLGEMERLVESLKENIFTTEVKAESAELKVAELTGTNVELTEEMSFLKGSASNTEKKVGSLEKQLREVEIQLQHAKASSEASQEQQNMLYAAIWDMETLIEDLKSKVSKAENKTESTEEQCVVLSETNSELNAEITVLRNRVEYLESSLDQANNAKFESAEELNTRIKFMMDMAMQLAIERERIQKQVHILIMEKKLLVEKLWNTKKDATECNNEDSENKELPFSKNNFLNATSMKTSTEAVTESLDKSFQVDEPSEEAPECDTDAGASSSASSMVSEPETSREAIDLVHN >ONI31186 pep chromosome:Prunus_persica_NCBIv2:G1:29336130:29338680:1 gene:PRUPE_1G297300 transcript:ONI31186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHAVCDNTVGSPEVEINYLNQQVQSNESGMQELESHLKVLTKLDLDLAYSSEKLANLHVPLIFLLAQQNDLEAMATVNNYILADFIEKVLVFNFLSSILDSEVRELDNFMDALQAGIIDARQKISSCRHLGKLYSIMEGKLNDSEESLKQSQDQISELKMQSERFQRTVLAFAHENWKTDIAMDLSENAQWANKNAKSNLKMPRQQRHNLWMLEKSLSRELYLEKKLTESRQNEEELRLKLHHTEQVAFHMEEAAEVVWGRFLEAENAAEVLQGISKELLGRLQLIHFNLNGSIQRESELKFKLQASLEELKAKDTALLKLKNVHEENIKKDVELSALREKVVFLEKQLKESELQLKNANSTNESSQEKLGEMERLVESLKENIFTTEVKAESAELKVAELTGTNVELTEEMSFLKGSASNTEKKVGSLEKQLREVEIQLQHAKASSEASQEQQNMLYAAIWDMETLIEDLKSKVSKAENKTESTEEQCVVLSETNSELNAEITVLRNRVEYLESSLDQANNAKFESAEELNTRIKFMMDMAMQLAIERERIQKQVHILIMEKKLLVEKLWNTKKDATECNNEDSENKELPFSKNNFLNATSMKTSTEAVTESLDKSFQAGG >ONI31182 pep chromosome:Prunus_persica_NCBIv2:G1:29335729:29339124:1 gene:PRUPE_1G297300 transcript:ONI31182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHAVCDNTVGSPEVEINYLNQQVQSNESGMQELESHLKVLTKLDLDLAYSSEKLANLHVPLIFLLAQQNDLEAMATVNNYILADFIEKVLVFNFLSSILDSEVRELDNFMDALQAGIIDARQKISSCRHLGKLYSIMEGKLNDSEESLKQSQDQISELKMQSERFQRTVLAFAHENWKTDIAMDLSENAQWANKNAKSNLKMPRQQRHNLWMLEKSLSRELYLEKKLTESRQNEEELRLKLHHTEQVAFHMEEAAEVVWGRFLEAENAAEVLQGISKELLGRLQLIHFNLNGSIQRESELKFKLQASLEELKAKDTALLKLKNVHEENIKKDVELSALREKVVFLEKQLKESELQLKNANSTNESSQEKLGEMERLVESLKENIFTTEVKAESAELKVAELTGTNVELTEEMSFLKGSASNTEKKVGSLEKQLREVEIQLQHAKASSEASQEQQNMLYAAIWDMETLIEDLKSKVSKAENKTESTEEQCVVLSETNSELNAEITVLRNRVEYLESSLDQANNAKFESAEELNTRIKFMMDMAMQLAIERERIQKQVHILIMEKKLLVEKLWNTKKDATECNNEDSENKELPFSKNNFLNATSMKTSTEAVTESLDKSFQVDEPSEEAPECDTDAGASSSASSMVSEPETSREVKARCLSLKYVSIIVFVFSVSAMYLLNQEPFLFDEL >ONI31187 pep chromosome:Prunus_persica_NCBIv2:G1:29334971:29339124:1 gene:PRUPE_1G297300 transcript:ONI31187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELESHLKVLTKLDLDLAYSSEKLANLHVPLIFLLAQQNDLEAMATVNNYILADFIEKVLVFNFLSSILDSEVRELDNFMDALQAGIIDARQKISSCRHLGKLYSIMEGKLNDSEESLKQSQDQISELKMQSERFQRTVLAFAHENWKTDIAMDLSENAQWANKNAKSNLKMPRQQRHNLWMLEKSLSRELYLEKKLTESRQNEEELRLKLHHTEQVAFHMEEAAEVVWGRFLEAENAAEVLQGISKELLGRLQLIHFNLNGSIQRESELKFKLQASLEELKAKDTALLKLKNVHEENIKKDVELSALREKVVFLEKQLKESELQLKNANSTNESSQEKLGEMERLVESLKENIFTTEVKAESAELKVAELTGTNVELTEEMSFLKGSASNTEKKVGSLEKQLREVEIQLQHAKASSEASQEQQNMLYAAIWDMETLIEDLKSKVSKAENKTESTEEQCVVLSETNSELNAEITVLRNRVEYLESSLDQANNAKFESAEELNTRIKFMMDMAMQLAIERERIQKQVHILIMEKKLLVEKLWNTKKDATECNNEDSENKELPFSKNNFLNATSMKTSTEAVTESLDKSFQVDEPSEEAPECDTDAGASSSASSMVSEPETSREVKARCLSLKYVSIIVFVFSVSAMYLLNQEPFLFDEL >ONI31183 pep chromosome:Prunus_persica_NCBIv2:G1:29334923:29339124:1 gene:PRUPE_1G297300 transcript:ONI31183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHAVCDNTVGSPEVEINYLNQQVQSNESGMQELESHLKVLTKLDLDLAYSSEKLANLHVPLIFLLAQQNDLEAMATVNNYILADFIEKVLVFNFLSSILDSEVRELDNFMDALQAGIIDARQKISSCRHLGKLYSIMEGKLNDSEESLKQSQDQISELKMQSERFQRTVLAFAHENWKTDIAMDLSENAQWANKNAKSNLKMPRQQRHNLWMLEKSLSRELYLEKKLTESRQNEEELRLKLHHTEQVAFHMEEAAEVVWGRFLEAENAAEVLQGISKELLGRLQLIHFNLNGSIQRESELKFKLQASLEELKAKDTALLKLKNVHEENIKKDVELSALREKVVFLEKQLKESELQLKNANSTNESSQEKLGEMERLVESLKENIFTTEVKAESAELKVAELTGTNVELTEEMSFLKGSASNTEKKVGSLEKQLREVEIQLQHAKASSEASQEQQNMLYAAIWDMETLIEDLKSKVSKAENKTESTEEQCVVLSETNSELNAEITVLRNRVEYLESSLDQANNAKFESAEELNTRIKFMMDMAMQLAIERERIQKQVHILIMEKKLLVEKLWNTKKDATECNNEDSENKELPFSKNNFLNATSMKTSTEAVTESLDKSFQVDEPSEEAPECDTDAGASSSASSMVSEPETSREVKARCLSLKYVSIIVFVFSVSAMYLLNQEPFLFDEL >ONI31188 pep chromosome:Prunus_persica_NCBIv2:G1:29336223:29338680:1 gene:PRUPE_1G297300 transcript:ONI31188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELESHLKVLTKLDLDLAYSSEKLANLHVPLIFLLAQQNDLEAMATVNNYILADFIEKVLVFNFLSSILDSEVRELDNFMDALQAGIIDARQKISSCRHLGKLYSIMEGKLNDSEESLKQSQDQISELKMQSERFQRTVLAFAHENWKTDIAMDLSENAQWANKNAKSNLKMPRQQRHNLWMLEKSLSRELYLEKKLTESRQNEEELRLKLHHTEQVAFHMEEAAEVVWGRFLEAENAAEVLQGISKELLGRLQLIHFNLNGSIQRESELKFKLQASLEELKAKDTALLKLKNVHEENIKKDVELSALREKVVFLEKQLKESELQLKNANSTNESSQEKLGEMERLVESLKENIFTTEVKAESAELKVAELTGTNVELTEEMSFLKGSASNTEKKVGSLEKQLREVEIQLQHAKASSEASQEQQNMLYAAIWDMETLIEDLKSKVSKAENKTESTEEQCVVLSETNSELNAEITVLRNRVEYLESSLDQANNAKFESAEELNTRIKFMMDMAMQLAIERERIQKQVHILIMEKKLLVEKLWNTKKDATECNNEDSENKELPFSKNNFLNATSMKTSTEAVTESLDKSFQAGG >ONI31184 pep chromosome:Prunus_persica_NCBIv2:G1:29336048:29339124:1 gene:PRUPE_1G297300 transcript:ONI31184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHAVCDNTVGSPEVEINYLNQQVQSNESGMQELESHLKVLTKLDLDLAYSSEKLANLHVPLIFLLAQQNDLEAMATVNNYILADFIEKVLVFNFLSSILDSEVRELDNFMDALQAGIIDARQKISSCRHLGKLYSIMEGKLNDSEESLKQSQDQISELKMQSERFQRTVLAFAHENWKTDIAMDLSENAQWANKNAKSNLKMPRQQRHNLWMLEKSLSRELYLEKKLTESRQNEEELRLKLHHTEQVAFHMEEAAEVVWGRFLEAENAAEVLQGISKELLGRLQLIHFNLNGSIQRESELKFKLQASLEELKAKDTALLKLKNVHEENIKKDVELSALREKVVFLEKQLKESELQLKNANSTNESSQEKLGEMERLVESLKENIFTTEVKAESAELKVAELTGTNVELTEEMSFLKGSASNTEKKVGSLEKQLREVEIQLQHAKASSEASQEQQNMLYAAIWDMETLIEDLKSKVSKAENKTESTEEQCVVLSETNSELNAEITVLRNRVEYLESSLDQANNAKFESAEELNTRIKFMMDMAMQLAIERERIQKQVHILIMEKKLLVEKLWNTKKDATECNNEDSENKELPFSKNNFLNATSMKTSTEAVTESLDKSFQAGG >ONI31181 pep chromosome:Prunus_persica_NCBIv2:G1:29334923:29339124:1 gene:PRUPE_1G297300 transcript:ONI31181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHAVCDNTVGSPEVEINYLNQQVQSNESGMQELESHLKVLTKLDLDLAYSSEKLANLHVPLIFLLAQQNDLEAMATVNNYILADFIEKVLVFNFLSSILDSEVRELDNFMDALQAGIIDARQKISSCRHLGKLYSIMEGKLNDSEESLKQSQDQISELKMQSERFQRTVLAFAHENWKTDIAMDLSENAQWANKNAKSNLKMPRQQRHNLWMLEKSLSRELYLEKKLTESRQNEEELRLKLHHTEQVAFHMEEAAEVVWGRFLEAENAAEVLQGISKELLGRLQLIHFNLNGSIQRESELKFKLQASLEELKAKDTALLKLKNVHEENIKKDVELSALREKVVFLEKQLKESELQLKNANSTNESSQEKLGEMERLVESLKENIFTTEVKAESAELKVAELTGTNVELTEEMSFLKGSASNTEKKVGSLEKQLREVEIQLQHAKASSEASQEQQNMLYAAIWDMETLIEDLKSKVSKAENKTESTEEQCVVLSETNSELNAEITVLRNRVEYLESSLDQANNAKFESAEELNTRIKFMMDMAMQLAIERERIQKQVHILIMEKKLLVEKLWNTKKDATECNNEDSENKELPFSKNNFLNATSMKTSTEAVTESLDKSFQVDEPSEEAPECDTDAGASSSASSMVSEPETSREVKARCLSLKYVSIIVFVFSVSAMYLLNQEPFLFDEL >ONI31185 pep chromosome:Prunus_persica_NCBIv2:G1:29336130:29338680:1 gene:PRUPE_1G297300 transcript:ONI31185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHAVCDNTVGSPEVEINYLNQQVQSNESGMQELESHLKVLTKLDLDLAYSSEKLANLHVPLIFLLAQQNDLEAMATVNNYILADFIEKVLVFNFLSSILDSEVRELDNFMDALQAGIIDARQKISSCRHLGKLYSIMEGKLNDSEESLKQSQDQISELKMQSERFQRTVLAFAHENWKTDIAMDLSENAQWANKNAKSNLKMPRQQRHNLWMLEKSLSRELYLEKKLTESRQNEEELRLKLHHTEQVAFHMEEAAEVVWGRFLEAENAAEVLQGISKELLGRLQLIHFNLNGSIQRESELKFKLQASLEELKAKDTALLKLKNVHEENIKKDVELSALREKVVFLEKQLKESELQLKNANSTNESSQEKLGEMERLVESLKENIFTTEVKAESAELKVAELTGTNVELTEEMSFLKGSASNTEKKVGSLEKQLREVEIQLQHAKASSEASQEQQNMLYAAIWDMETLIEDLKSKVSKAENKTESTEEQCVVLSETNSELNAEITVLRNRVEYLESSLDQANNAKFESAEELNTRIKFMMDMAMQLAIERERIQKQVHILIMEKKLLVEKLWNTKKDATECNNEDSENKELPFSKNNFLNATSMKTSTEAVTESLDKSFQAGG >ONI32394 pep chromosome:Prunus_persica_NCBIv2:G1:33528701:33531158:-1 gene:PRUPE_1G365200 transcript:ONI32394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKTGILLSNDRIFNLGPYTLDFTSSGRHMAVCGLKGHGYCLNVKYNSQTIEMILSSDTVLLTDDVQVRETVCDVVFLHNESFFAAVQKNMANVLIPGSYPYIYNRDGTELHCLKEHGAVLRLQFLKTHFLLASINNFGQLHYQDVTMGEMVGSYRTGLGRADVMQGPPLGNAFHPNGHLMATAGKENKIKLWDLRKLEDEALQTLPGQPKTLDFSRKVLLACLGCGSSVQILRDLHGTQNYKTYMNHRMVKGYQIEKLLFRPCEDVLDIGHSMGWSSILIPGCGEPNFDSWVANPFETSKHRSEREVHSLLDKLPPETIMLDPAKIGTRKKEKPTKQEKEVEIEAVKGTVLKKKTKGRNKPSKRTTTTEEIIANAKRPFLEQQMKEEEQLARKKQKTIEQVELPTSSQRFAHTKATA >ONI27336 pep chromosome:Prunus_persica_NCBIv2:G1:5937673:5938727:-1 gene:PRUPE_1G081000 transcript:ONI27336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILRDRAFGNSKRHSQRHNPIQTTMPVYPVEDIPNPFGELGPNLSDSELRETVYEILVEACRSSGAKPLTYIPQSEKTDRSDRTTLTSLPSSFQRSTSSAASRVKKALGLKQTASSRRRLGDGDSVSQGKTKRSGTVWELMRFQMRVSEQTDTRVRRALLRVAAGQLGKRIECMVLPLELLQQFKSSDFPSQQEYEGWQRRNLKVLDAGLLLYPSLPLDKKDTAPQQLQKIIRGALEKPIETGKHNESMQVLRGVVMPLACRSFDGSVSDTCHKGMSETSSSKLDQGIEKKKLSTQKKQKYAYIPDNFSTLEQGFNGCGGENMLSSTHDHSPHLRYVVECA >ONI33031 pep chromosome:Prunus_persica_NCBIv2:G1:35417464:35419054:1 gene:PRUPE_1G401200 transcript:ONI33031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKSKDEKRLEQRLKELHKDVSKKQKFEDAVVSLNSLLRDHYASASPSLRKLYYGVVCRVATVLKTRYTSPGFWASGLALFRLAQSLVSDPAEKAHLLSCISEAQQVVHQENDPPQPSSSPNQGYLFEGHLTVDREPPQPQWLVQSNLMTAALAAGSSSVPGRPESGNDDSNNSESAVNLLQSLIDNLDSVFPPGIMDDVRAAPRVPPASKRVVANLPVITITEEVLKKLGEEAECAICKENLVVNDKMQELPCKHTFHPPCLKPWLDEHNSCPICRHELQTDDHAYESWKEREREAEEDRKGAANAVRGGEYMYV >ONI33030 pep chromosome:Prunus_persica_NCBIv2:G1:35417623:35421996:1 gene:PRUPE_1G401200 transcript:ONI33030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKSKDEKRLEQRLKELHKDVSKKQKFEDAVVSLNSLLRDHYASASPSLRKLYYGVVCRVATVLKTRYTSPGFWASGLALFRLAQSLVSDPAEKAHLLSCISEAQQVVHQENDPPQPSSSPNQGYLFEGHLTVDREPPQPQWLVQSNLMTAALAAGSSSVPGRPESGNDDSNNSESAVNLLQSLIDNLDSVFPPGIMDDVRAAPRVPPASKRVVANLPVITITEEVLKKLGEEAECAICKENLVVNDKMQELPCKHTFHPPCLKPWLDEHNSCPICRHELQTDDHAYESWKEREREAEEDRKGAANAVRGGEYIYLTELLAKDGFLVISVPYNVTFDHVQAAAQVYERFNACLDTILASGLPNANLSPAQLAQLPVFSVGHSNGALLQVLAGSYFSDKVPKANAIIAYNNRPATEAVPYFEQLGPLVNQMVPIVEASPVSSMARTASGDAWKALVDAAGAMLPDNQETLSSLTKFVDQLPSVLNEVTQGISEFKPTPSENRVFFKSSYNVKHTLLVKFNFDAIDETYTLEETLKPRVESIGGTLEKVEISGNHITPCIQEPKWQVGNVYTPADAVAQSLKTLSLNDVRVLSRTISDWFRGFED >ONI30315 pep chromosome:Prunus_persica_NCBIv2:G1:25747716:25749997:-1 gene:PRUPE_1G243900 transcript:ONI30315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLGNLALLLDVSSPRTIILDRKIRPVALDALLNLNLNLPKRDSHSHAHNGFIVAKGFDSDGETRSQRVVARGKANSKVNGVDFDRDEEGNGNGNGEDQDPFDWEKEMRKRVKEIEERKELVKKAEELQSQIEEDYEDDGREETEQEKRMRVRKELEKVAKEQAERRATAQLMFELGQKAYGRGMYGRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHDDCIDLYMLLEKKHPSVSIRRQAAELRYILQAPKLKITQEEMVTIPLIGSSYDSYAGTWSDKYKDKDERSSGTITNQLPSSKDYFGDFMVWRPPIGLEKNQVFWISLTLWLGLVGAALFLQN >ONI30316 pep chromosome:Prunus_persica_NCBIv2:G1:25748227:25749997:-1 gene:PRUPE_1G243900 transcript:ONI30316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLGNLALLLDVSSPRTIILDRKIRPVALDALLNLNLNLPKRDSHSHAHNGFIVAKGFDSDGETRSQRVVARGKANSKVNGVDFDRDEEGNGNGNGEDQDPFDWEKEMRKRVKEIEERKELVKKAEELQSQIEEDYEDDGREETEQEKRMRVRKELEKVAKEQAERRATAQLMFELGQKAYGRGMYGRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHDDCIDLYMLLEKKHPSVSIRRQAAELRYILQAPKLKITQEEMVTIPLIGSSYDRYWCFSLNHIVHFNG >ONI30238 pep chromosome:Prunus_persica_NCBIv2:G1:25376149:25380974:1 gene:PRUPE_1G239400 transcript:ONI30238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSSLVSASEATVFIDTSLGTHLAVAVSHHDTVSALKRRIEYEHQSCFTSMGNFTVEALKVKRKGCFYHLSDSMLVKNAFNGVNKNWFLYADASDVPRKRLSNFKDSIPLLLEGNERAKEKVTTADQNGSVDFARETSQNLEIGVKHSDTDHCTTSVRETINRPEREIQEDHRLCSEGYRVPTTQECKEDGSRKESDVQRNVSLEKASPSEKKGQCKTKQRKKETVHLKENDASVHGFGKEQSHADSIPPEISTGNQSRDVSCDMTIENKKAMEEPCRSSASTMDNRSDSRIHGTNDTSKETEGPGKHIKGRKNTSVEEASQSQPAAKKKRKFESISGLEDSLKENNVLICDSNNETSKPEIASEFSLGDKQKNANAILDGVNAESSDLLTNSSSRSKKKRKKSSSSLNQVVPAAPSERDVMEEKSKVAAAGINDKNSGGEDDVASVNEQELRENDEVPSSLDVDNITDSGNVESKTGAAQEKLDGHPPLLVKVPSEGGTDWPERVGEERESSHRKDSVLATAEKHKLPSQDKRDGNDANGSVTPSKKQRKTRKTKGSTGETQIKSGSEHGISLDEPHEVVHGDHASDKTKEGESNLSQKNGKDVSEKETGKDVSEKETGSSHFVRKTDKPDGNEVETLEQIGKPQENAESMNQNMKNKSKRKQSAMTKNLLNLQAEGENVGILDPALTTDNKTEVVDNTSRNSKLEKTTPVKQSNGNALESDKDYGIETDLIPARSNSMSIESKVPSLALEHVSDGRPLEANVTGSPPENGCSNEGDNDMEVSCDGNTANFDKHLVPSQLRHEVVASGEMHAEEVTGTNRVDNKPKDKKKRKKLDVHSSGPFSDLQSPLKSNENQGIGGKTVACNSSSIQPQGSLSKGDDVMPQHEKKVPKKVPKTGTKAPSSDVSGKMNSVSEAARKHSVAIVSGTNTRTGKKKEVSSVSNPNLAKTNNKADQNKMGNKRQSGVNRTRVASGKASGIDHGEVVNSSQNKKLSAIPRTIFKDDSSGSSEDEDEVDVSQASTQTPSDYSSSSSYSDGESNADMSSPRSGNAGGSSVIKSCTSGIKDLKWTELAKSSSTFKKAKLTASQSPPEDDEIVPDSQPIS >ONI30237 pep chromosome:Prunus_persica_NCBIv2:G1:25376150:25380963:1 gene:PRUPE_1G239400 transcript:ONI30237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSSLVSASEATVFIDTSLGTHLAVAVSHHDTVSALKRRIEYEHQSCFTSMGNFTVEALKVKRKGCFYHLSDSMLVKNAFNGVNKNWFLYADASDVPRKRLSNFKDSIPLLLEGNERAKEKVTTADQNGSVDFARETSQNLEIGVKHSDTDHCTTSVRETINRPEREIQEDHRLCSEGYRVPTTQECKEDGSRKESDVQRNVSLEKASPSEKKGQCKTKQRKKETVHLKENDASVHGFGKEQSHADSIPPEISTGNQSRDVSCDMTIENKKAMEEPCRSSASTMDNRSDSRIHGTNDTSKETEGPGKHIKGRKNTSVEEASQSQPAAKKKRKFESISGLEDSLKENNVLICDSNNETSKPEIASEFSLGDKQKNANAILDGVNAESSDLLTNSSSRSKKKRKKSSSSLNQVVPAAPSERDVMEEKSKVAAAGINDKNSGGEDDVASVNEQELRENDEVPSSLDVDNITDSGNVESKTGAAQEKLDGHPPLLVKVPSEGGTDWPERVGEERESSHRKDSVLATAEKHKLPSQDKRDGNDANGSVTPSKKQRKTRKTKGSTGETQIKSGSEHGISLDEPHEVVHGDHASDKTKEGESNLSQKNGKDVSEKETGKDVSEKETGSSHFVRKTDKPDGNEVETLEQIGKPQENAESMNQNMKNKSKRKQSAMTKNLLNLQAEGENVGILDPALTTDNKTEVVDNTSRNSKLEKTTPVKQSNGNALESDKDYGIETDLIPARSNSMSIESKVPSLALEHVSDGRPLEANVTGSPPENGCSNEGDNDMEVSCDGNTANFDKHLVPSQLRHEVVASGEMHAEEVTGTNRVDNKPKDKKKRKKLDVHSSGPFSDLQSPLKSNENQGIGGKTVACNSSSIQPQGSLSKGDDVMPQHEKKVPKKVPKTGTKAPSSDVSGKMNSVSEAARKHSVAIVSGTNTRTGKKKEVSSVSNPNLAKTNNKADQNKMGNKRQSGVNRTRVASGKASGIDHGEVVNSSQNKKLSAIPRTIFKDDSSGSSEDEDEVDVSQASTQTPSDYSSSSSYSDGESNADMSSPRSGNAGGSSVIKSCSTSGIKDLKWTELAKSSSTFKKAKLTASQSPPEDDEIVPDSQPIS >ONI26100 pep chromosome:Prunus_persica_NCBIv2:G1:372862:374457:-1 gene:PRUPE_1G003800 transcript:ONI26100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMARLLFFDTEQIMAVLEKQSGKICYMYDLQFIWVSKDYDFAPIRLLLTIGMFCSPLLWVYYLGFLQSSHVGLLCWSLIAVLVGGICVRFCF >ONI36502 pep chromosome:Prunus_persica_NCBIv2:G1:47699561:47714097:-1 gene:PRUPE_1G587400 transcript:ONI36502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAPSSSLLFLVPRGCYSCSCVKFVIMAKGNNNKKKSQQPPSPTPMVSEPSPPRITSNVRQNLQLLKLWKDYQKRKSSTPKHSTSYRRKKVPKEQLPEDLDLYRDPTTTLYYTNQGWDIAVPVLLVDGYNVCGYWAKLKKHFINGRLDMARQKLIDELVTFSLLREVKVVAVFDAMMSGLPTHKEDFNGVDVVYSGESCADAWIEKEVAALREDGCPKVWVVTSDHIQQHAAHGAGAFIWSCKALVSEIKASQKEFERMLQEHRSTSFQGRLLKHNLDSEVVDALKDLRRKLSETESK >ONI27449 pep chromosome:Prunus_persica_NCBIv2:G1:6494444:6495638:1 gene:PRUPE_1G087200 transcript:ONI27449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNVINKFTDALKHLIFKWQYVSGQTANSTENRKLLVKEEAGISALLKERLSAGSKPWTSGVGSSPFVIYI >ONI27080 pep chromosome:Prunus_persica_NCBIv2:G1:4773141:4775227:-1 gene:PRUPE_1G066900 transcript:ONI27080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARISDIMGLKGGVIPLGFAALVMFSCVADDLSGEYLWGRVSVEALPRMTLLR >ONI27081 pep chromosome:Prunus_persica_NCBIv2:G1:4773943:4775227:-1 gene:PRUPE_1G066900 transcript:ONI27081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARISDIMGLKGGVIPLGFAALVMFSCVADDLSGEYLWGRVSVEALPRMTLLRFYWVQA >ONI31993 pep chromosome:Prunus_persica_NCBIv2:G1:32228997:32233332:1 gene:PRUPE_1G343800 transcript:ONI31993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMESNTGFHHDETFGYGLNRHAISFQSGAINSTSDMIPMGNYFGTDGGMMFSANSGIINNNPVISQSGNSSGSLLLDSVPGLKHDTGLAVEWSVEEQYKLEEGLVKYAEEPSIMRYIKIAATLRDKTVRDVALRCRWMTRKRRKPEEHIMGKKGNIRKDKLMESSSKTNILSAPPLDMAAYSLMVHHMDHNERLHCEGISGTAKHLLEQNAQAFSQITSNLSTYKLQENIDLFCRTRNNITSILNDMRGMPGIMSRMPPLPVSINEELANSILRNTT >ONI31994 pep chromosome:Prunus_persica_NCBIv2:G1:32228996:32233689:1 gene:PRUPE_1G343800 transcript:ONI31994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMESNTGFHHDETFGYGLNRHAISFQSGAINSTSDMIPMGNYFGTDGGMMFSANSGIINNNPVISQSGNSSGSLLLDSVPGLKHDTGLAVEWSVEEQYKLEEGLVKYAEEPSIMRYIKIAATLRDKTVRDVALRCRWMTRKRRKPEEHIMGKKGNIRKDKLMESSSKTNILSAPPLDMAAYSLMVHHMDHNERLHCEGISGTAKHLLEQNAQAFSQITSNLSTYKLQENIDLFCRTRNNITSILNDMRGMPGIMSRMPPLPVSINEELANSILRNTT >ONI31995 pep chromosome:Prunus_persica_NCBIv2:G1:32228997:32233332:1 gene:PRUPE_1G343800 transcript:ONI31995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMESNTGFHHDETFGYGLNRHAISFQSGAINSTSDMIPMGNYFGTDGGMMFSANSGIINNNPVISQSGNSSGSLLLDSVPGLKHDTGLAVEWSVEEQYKLEEGLVKYAEEPSIMRYIKIAATLRDKTVRDVALRCRWMTRKRRKPEEHIMGKKGNIRKDKLMESSSKTNILSAPPLDMAAYSLMVHHMDHNERLHCEGISGTAKHLLEQNAQAFSQITSNLSTYKLQENIDLFCRTRNNITSILNDMRGMPGIMSRMPPLPVSINEELANSILRNTT >ONI27921 pep chromosome:Prunus_persica_NCBIv2:G1:8860402:8863361:-1 gene:PRUPE_1G111000 transcript:ONI27921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTNALGASSSGTANEKDALPIESTNGAVPLPSLETKPEQTDVKSRVDAMWEQMNKGVSNKKFKDLLKKSSTVNKTPKKASDNWKAYLGLAPKKAESPKENAPQKGSNVTQNSSSDDVVQDSSSDKARRLAAAALVEVKDAAAAASGRGKVEITEVRDFAGQEIEYKKLVDADSKEASEKAKAPAASAVDAVLEQIKKKQKLSVLDKTKKDWGEFKEEKGLDEELDAYKKSSNQYLDKVNFLQRADFREFERERDARLALQSKRRPDMREDP >ONI27922 pep chromosome:Prunus_persica_NCBIv2:G1:8860947:8863233:-1 gene:PRUPE_1G111000 transcript:ONI27922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTNALGASSSVWFSGSGTANEKDALPIESTNGAVPLPSLETKPEQTDVKSRVDAMWEQMNKGVSNKKFKDLLKKSSTVNKTPKKASDNWKAYLGLAPKKAESPKENAPQKGSNVTQNSSSDDVVQDSSSDKARRLAAAALVEVKDAAAAASGRGKVEITEVRDFAGQEIEYKKLVDADSKEASEKAKAPAASAVDAVLEQIKKKQKLSVLDKTKKDWGEFKEEKGLDEELDAYKKSSNQYLDKVNFLQRADFREFERERDARLALQSKRRPDMREDP >ONI28593 pep chromosome:Prunus_persica_NCBIv2:G1:11790803:11791777:-1 gene:PRUPE_1G150300 transcript:ONI28593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHMLFATITTTMPSSAAATSSSSPTPKPKSSNPNPNGNPNCTLLCKHSPSATLDLLILILVLFSGTFLITSYFSYIFNSLSLLLSHSSIHLHHIPVPYVVGFVAFFAVALFIVEFCCGTRSRKCDRPGCKGLKKAMEFDLQLQTEECVKTGSKDIDKLPWKGGSEGNPDYECLRSELRKMAPPNGRAVLLFRARCGCPVAKLEGWGPKRGRRHKKVLPGVLNSKGL >ONI28595 pep chromosome:Prunus_persica_NCBIv2:G1:11790803:11791777:-1 gene:PRUPE_1G150300 transcript:ONI28595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHMLFATITTTMPSSAAATSSSSPTPKPKSSNPNPNGNPNCTLLCKHSPSATLDLLILILVLFSGTFLITSYFSYIFNSLSLLLSHSSIHLHHIPVPYVVGFVAFFAVALFIVEFCCGTRSRKCDRPGCKGLKKAMEFDLQLQTEECVKTGSKDIDKLPWKGGSEGNPDYECLRSELRKMAPPNGRAVLLFRARCGCPVAKLEGWGPKRGRRHKKVLPGVLNSKGL >ONI28591 pep chromosome:Prunus_persica_NCBIv2:G1:11788394:11791997:-1 gene:PRUPE_1G150300 transcript:ONI28591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHMLFATITTTMPSSAAATSSSSPTPKPKSSNPNPNGNPNCTLLCKHSPSATLDLLILILVLFSGTFLITSYFSYIFNSLSLLLSHSSIHLHHIPVPYVVGFVAFFAVALFIVEFCCGTRSRKCDRPGCKGLKKAMEFDLQLQTEECVKTGSKDIDKLPWKGGSEGNPDYECLRSELRKMAPPNGRAVLLFRARCGCPVAKLEGWGPKRGRRHKKALASMVPNGGDNR >ONI28592 pep chromosome:Prunus_persica_NCBIv2:G1:11790803:11791777:-1 gene:PRUPE_1G150300 transcript:ONI28592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHMLFATITTTMPSSAAATSSSSPTPKPKSSNPNPNGNPNCTLLCKHSPSATLDLLILILVLFSGTFLITSYFSYIFNSLSLLLSHSSIHLHHIPVPYVVGFVAFFAVALFIVEFCCGTRSRKCDRPGCKGLKKAMEFDLQLQTEECVKTGSKDIDKLPWKGGSEGNPDYECLRSELRKMAPPNGRAVLLFRARCGCPVAKLEGWGPKRGRRHKKVLPGVLNSKGL >ONI28594 pep chromosome:Prunus_persica_NCBIv2:G1:11790803:11791777:-1 gene:PRUPE_1G150300 transcript:ONI28594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHMLFATITTTMPSSAAATSSSSPTPKPKSSNPNPNGNPNCTLLCKHSPSATLDLLILILVLFSGTFLITSYFSYIFNSLSLLLSHSSIHLHHIPVPYVVGFVAFFAVALFIVEFCCGTRSRKCDRPGCKGLKKAMEFDLQLQTEECVKTGSKDIDKLPWKGGSEGNPDYECLRSELRKMAPPNGRAVLLFRARCGCPVAKLEGWGPKRGRRHKKVLPGVLNSKGL >ONI34252 pep chromosome:Prunus_persica_NCBIv2:G1:39211132:39218483:-1 gene:PRUPE_1G471200 transcript:ONI34252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFSRSSRAEEDEEALKWAAIERLPTCLRIGRGLFIDGEGQARAVDVEKLGLLERKTLLERLVSNAEKDNEKFLLKLKERIDRVRLDVPTIEVRFNHLTVEAKVYIGSRALPTLLNFAINMLQGMLHYLHIFPSRKTPLTILQNISGIIKPQRMTLLLGPPSSGKTTLLLALAGRLGKDLKSSGRVTYNGHGMEEFVPQRTSAYISQNDLHIGEMTVKETLAFSARCQGVGSNCDMLAELCRREKEENIKPDPDIDIYLKAAALEGQETSVVTDYILKILGLEVCANTMVGDEMVRGISGGERKRVTIGEMLVGPVRALFMDEISTGLDSSTTFQIVNSLRQSIHILSRTAVVSLLQPAPETYSLFDDIILLSDGQIVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQEQYWARLDEPYRFVTVNDFAEAFQSFPVGQKLGDELAVPFDKSKSHRAALSAKKYGVNKKELFRACVSREFLLMKRNSFAFIFKFALLIMLASITASIFLRIKMHKNTVEDGGVYMGALFFAVIVAMFNGISELNMAIMKLPVFYKQRDLLFFPSWAYSLPAWILKIPITLVESAIWVVITYYVIGFDPCAERLFKQYILLLCINQMASGMFRFMAALGRDVIVASTTGSFALLIIMVLGGFVLSREAVPKWWLWGYWISPLMYGQNAITVNEFLGKNWRHVPPNSTESLGVLILKSHGIFPEARWYWIGVAALFGYIFLFNLLLTLALQYLDPFGKPQTVLSKEGMAERNASTTGEFIELLPRGKSSSGQRSLPLSARGGRTDEANGKRKRGMVLPFQPLSLAFDEIRYAIDMPQEMKAEGAQEDQLELLKSVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGHIKGSIMVSGYPKRQETFARISGYCEQTDIHSPHVTVYESLLFSAWLRLLPEVDSATRKMFIEEVMELVELTSLRGALVGLPSVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKLGGEQMYGGPLGSHSSHLIKYFEGINGVPKIKDGYNPATWMLEITSAAQEAALRVNFTEVYKNSEQFKSSKAMIKELSTPPSGSRDLYFPTRYSQSFLIQCMACLWKQHWSYWRNPSYSAVRLLFTTFIALLFGLIFWNLGSKRTKQQDLFNAMGSMYAAVIFLGVQNGASVQPVVAVERTVFYRERAAGMYSALPYAFGQMMIELPYIFIQTVIYGFIVYSMMGFDWIAAKFFWFLFFLYFTLLYYTLYGMMTMALTPNHNIAAITSSSFYAIWNLFSGFVVPPTRIPIWWKWYYWVCPVAWTLYGMVASQFGDIKDTLESGESVEHFLRSYFGYKHDFLGIVAVVIVGFSLLFGFIFAYGIRAFNFQKR >ONI31926 pep chromosome:Prunus_persica_NCBIv2:G1:31979670:31980632:-1 gene:PRUPE_1G339700 transcript:ONI31926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGCLVCVFVFLVASRAFFEEVGAAGECGKTPIRSAATSLSPCLSSARNVRAKVPPTCCTKVGALISTAPKCLCAVLLSPLAKQAGINPAIAITIPKRCKIKNRRAGKKCGRYVVP >ONI27977 pep chromosome:Prunus_persica_NCBIv2:G1:9084938:9086840:-1 gene:PRUPE_1G114700 transcript:ONI27977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKKLALFSLLLNLAIVLAIVPKTTGEGYGGGGDDECGDLLGSDLYKDICPEAEAIIFARVRLAVFQDTRMAASLLRLHFHDCFVNGCDASVLLDDTDNFVGEKTAAPNLNSLRGFEVIDAIKQELEFVCPQTVSCADILATAARDSVVVSGGPSWDVQMGRKDSLTASKALANNIIPGPNSTIGNLVAKFQNVNLSLKDMVALSGAHTMGKARCTTFAARLQDSTNPNTPEASLEFIQSLQQLCSVSDSSTLANLDLATPETFDNQYYVNLLSGEGLLPSDQNLVTGDEQTRELVETYAQDMSTFFQDFKDSMITLGRLGPFTGTKGEIRRNCRSVN >ONI34582 pep chromosome:Prunus_persica_NCBIv2:G1:40697929:40702578:1 gene:PRUPE_1G488800 transcript:ONI34582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYKGIRELNSLKKDYEGDCEDDKRLARRRKRKCIADRNFEPKSWFSATKKRRDSSIQGEEEMADKDFEKSLNGLDLYGDWVDDDVDPDYKKFLENLTEDGSSYVLVVVRENENLELIKFEQEDGELDETILDTPETLKKSQMKKKTEIKKALRGGIKREQIYCFNDSVIPLNKEEFKSPRSVRYAMSKKTRGIQEDSEGLSKKKSSGVKKNVNVEAPDPVSDRTKGRSNKIHGLEVPTTRETLKSYHVKKKNVNKKGADGGTKGLPVKTPHLAESGHNHGAASDQIDTDMDYQEFLDGLRKYGGKVVYTPTTGGPVAVVLEEDVESKNEYFTSFTAVDVDSGWCVETCDTSHAQFRKGLMKDLKRPYDQEEYKRLLKELTLRRPINHDRNLRNGRTKSYPVAGKLGASYREQHIVLARKIDAAGSDRPRILNLLRGFFYWLKNVAQEGCFCPWSDSSCLKLLPQADRKRKFSRM >ONI34581 pep chromosome:Prunus_persica_NCBIv2:G1:40697929:40702578:1 gene:PRUPE_1G488800 transcript:ONI34581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYKGIRELNSLKKDYEGDCEDDKRLARRRKRKCIADRNFEPKSWFSATKKRRDSSIQGEEEMADKDFEKSLNGLDLYGDWVDDDVDPDYKKFLENLTEDGSSYVLVVVRENENLELIKFEQEDGELDETILDTPETLKKSQMKKKTEIKKALRGGIKREQIYCFNDSVIPLNKEEFKSPRSVRYAMSKKTRGIQEDSEGLSKKKSSGVKKNVNVEAPDPVSDRTKGRSNKIHGLEVPTTRETLKSYHVKKKNVNKKGADGGTKGLPVKTPHLAESGHNHGAASDQIDTDMDYQEFLDGLRKYGGKVVYTPTTGGPVAVVLEEDVESKNEYFTSFTAVDVDSGWCVETCDTSHAQFRKGLMKDLKRPYDQEEYKRLLKELTLRRPINHDRNLRNGRTKSYPVAGKLGASYREQHIVLARKIDAAGSDRPRILNLLRGFFYWLKNVAQEGCFCPWSDSSCLKLLPQADRKRKFSRM >ONI32882 pep chromosome:Prunus_persica_NCBIv2:G1:34868783:34870585:1 gene:PRUPE_1G391300 transcript:ONI32882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPKFMPFLIFVIFLTTLLQNSEANQFPSVHPPHKGIRAAYWPSFNTFPASSIDTSYFTHIYYAFLLPEPTTYKLNLTQFDQKTIPQFIGALRTRNPPVKTLLSIGGGGNNATVFSLMASTQLTRKAFISSSIEVARKFGFQGLDLDWEFPADAVDMSNLALLYKEWRKALDNEARISGKPRLLLTSAVYYASKFTFYGGPRAYPAWAISKYLDWASPMCFDYHGSWENFTGLHAALYDSKSNISTSYGLGSWIKAGVPPNKLVMGLPLYGRTWTLQNPIVNRVGVPALGVGPGDGVLVFHQIVNFNKRTNATVVYDSKAVAYYSYSGSSWIGYDDVRSVNLKVRFAKSLGLGGYFFWALGQDKDWTISSQASNAWKY >ONI36033 pep chromosome:Prunus_persica_NCBIv2:G1:46188673:46205116:1 gene:PRUPE_1G566100 transcript:ONI36033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKEAISQNDLLLLLKPNHQDKEELPTVYAFALVESRQASSFRIRMYLAGEAKNLKTDAVETCPRLLNIKSLVTSSIEGERFFVTRKICSLSTIAREYVALWSIGSLPFKDIILGAAEKNIDSEGQAWKISRPLEEFIKDNLNESQQNAIQAGLSRKPFILIQGPPGTGKTQTILGLLSAILHATPARVHSSSGSQNIKLRQKLTVQEKFHHWQLASPWLSGSNPREEIMPVNGDDGFFPTTGNELKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRVLNSGVRDESDRSYNPKIVRIGLKAHHSVQAVSMDDMVERKKGSMGGSKDRDGGADRFRAEILEEAVIVFSTLSFSGSPLFSKYNRGFDVVIIDEAAQAVEPAILVPLTNGCKQVFLIGDPVQLPATVISPIAAKFGYGMSLFERFQRAGYPVTMLKMQYRMHPEIRSFPSREFYSESLEDGPNIKEQTKRSWHDYRCFGPFCFFDLHEAKESEDSGSKSNDAEVEFVMLLYNKLVSKYPELKSSHQFAIISPYAAQVNLLKERFKSTFGVQSEKVVDITTVDGCQGREKDVAIFSCVRASEKGAIGFLADFRRMNVGITRAKSSILVVGSASTLRKGDEHWNNLVESAEKRNSLFKVSKPYASFFSDENLESMAIKKESSMEEVQNDELDNDPGSYNFGDADQAQGDDNDYGDGDGEADMGDGGDD >ONI36032 pep chromosome:Prunus_persica_NCBIv2:G1:46188673:46199734:1 gene:PRUPE_1G566100 transcript:ONI36032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKDKLQEAAPIARFHKIVLGWDYYGLLTELTKNEKKNKKKNKGEIDDGLGMGKVKDTYKDVDDYISTYEPLLFEEVKAQIIQSKDENQLLNPKRNLVVACTEVDGFHLATLTYEKSDMDDKEAISQNDLLLLLKPNHQDKEELPTVYAFALVESRQASSFRIRMYLAGEAKNLKTDAVETCPRLLNIKSLVTSSIEGERFFVTRKICSLSTIAREYVALWSIGSLPFKDIILGAAEKNIDSEGQAWKISRPLEEFIKDNLNESQQNAIQAGLSRKPFILIQGPPGTGKTQTILGLLSAILHATPARVHSSSGSQNIKLRQKLTVQEKFHHWQLASPWLSGSNPREEIMPVNGDDGFFPTTGNELKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRVLNSGVRDESDRSYNPKIVRIGLKAHHSVQAVSMDDMVERKKGSMGGSKDRDGGADRFRAEILEEAVIVFSTLSFSGSPLFSKYNRGFDVVIIDEAAQADYV >ONI36035 pep chromosome:Prunus_persica_NCBIv2:G1:46194175:46205116:1 gene:PRUPE_1G566100 transcript:ONI36035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNGDDGFFPTTGNELKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRVLNSGVRDESDRSYNPKIVRIGLKAHHSVQAVSMDDMVERKKGSMGGSKDRDGGADRFRAEILEEAVIVFSTLSFSGSPLFSKYNRGFDVVIIDEAAQAVEPAILVPLTNGCKQVFLIGDPVQLPATVISPIAAKFGYGMSLFERFQRAGYPVTMLKMQYRMHPEIRSFPSREFYSESLEDGPNIKEQTKRSWHDYRCFGPFCFFDLHEAKESEDSGSKSNDAEVEFVMLLYNKLVSKYPELKSSHQFAIISPYAAQVNLLKERFKSTFGVQSEKVVDITTVDGCQGREKDVAIFSCVRASEKGAIGFLADFRRMNVGITRAKSSILVVGSASTLRKGDEHWNNLVESAEKRNSLFKVSKPYASFFSDENLESMAIKKESSMEEVQNDELDNDPGSYNFGDADQAQGDDNDYGDGDGEADMGDGGDD >ONI36034 pep chromosome:Prunus_persica_NCBIv2:G1:46188673:46205116:1 gene:PRUPE_1G566100 transcript:ONI36034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKEAISQNDLLLLLKPNHQDKEELPTVYAFALVESRQASSFRIRMYLAGEAKNLKTDAVETCPRLLNIKSLVTSSIEGERFFVTRKICSLSTIAREYVALWSIGSLPFKDIILGAAEKNIDSEGQAWKISRPLEEFIKDNLNESQQNAIQAGLSRKPFILIQGPPGTGKTQTILGLLSAILHATPARVHSSSGSQNIKLRQKLTVQEKFHHWQLASPWLSGSNPREEIMPVNGDDGFFPTTGNELKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRVLNSGVRDESDRSYNPKIVRIGLKAHHSVQAVSMDDMVERKKGSMGGSKDRDGGADRFRAEILEEAVIVEPAILVPLTNGCKQVFLIGDPVQLPATVISPIAAKFGYGMSLFERFQRAGYPVTMLKMQYRMHPEIRSFPSREFYSESLEDGPNIKEQTKRSWHDYRCFGPFCFFDLHEAKESEDSGSKSNDAEVEFVMLLYNKLVSKYPELKSSHQFAIISPYAAQVNLLKERFKSTFGVQSEKVVDITTVDGCQGREKDVAIFSCVRASEKGAIGFLADFRRMNVGITRAKSSILVVGSASTLRKGDEHWNNLVESAEKRNSLFKVSKPYASFFSDENLESMAIKKESSMEEVQNDELDNDPGSYNFGDADQAQGDDNDYGDGDGEADMGDGGDD >ONI36030 pep chromosome:Prunus_persica_NCBIv2:G1:46188673:46205116:1 gene:PRUPE_1G566100 transcript:ONI36030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKDKLQEAAPIARFHKIVLGWDYYGLLTELTKNEKKNKKKNKGEIDDGLGMGKVKDTYKDVDDYISTYEPLLFEEVKAQIIQSKDENQLLNPKRNLVVACTEVDGFHLATLTYEKSDMDDKEAISQNDLLLLLKPNHQDKEELPTVYAFALVESRQASSFRIRMYLAGEAKNLKTDAVETCPRLLNIKSLVTSSIEGERFFVTRKICSLSTIAREYVALWSIGSLPFKDIILGAAEKNIDSEGQAWKISRPLEEFIKDNLNESQQNAIQAGLSRKPFILIQGPPGTGKTQTILGLLSAILHATPARVHSSSGSQNIKLRQKLTVQEKFHHWQLASPWLSGSNPREEIMPVNGDDGFFPTTGNELKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRVLNSGVRDESDRSYNPKIVRIGLKAHHSVQAVSMDDMVERKKGSMGGSKDRDGGADRFRAEILEEAVIVEPAILVPLTNGCKQVFLIGDPVQLPATVISPIAAKFGYGMSLFERFQRAGYPVTMLKMQYRMHPEIRSFPSREFYSESLEDGPNIKEQTKRSWHDYRCFGPFCFFDLHEAKESEDSGSKSNDAEVEFVMLLYNKLVSKYPELKSSHQFAIISPYAAQVNLLKERFKSTFGVQSEKVVDITTVDGCQGREKDVAIFSCVRASEKGAIGFLADFRRMNVGITRAKSSILVVGSASTLRKGDEHWNNLVESAEKRNSLFKVSKPYASFFSDENLESMAIKKESSMEEVQNDELDNDPGSYNFGDADQAQGDDNDYGDGDGEADMGDGGDD >ONI36031 pep chromosome:Prunus_persica_NCBIv2:G1:46188673:46205116:1 gene:PRUPE_1G566100 transcript:ONI36031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKDKLQEAAPIARFHKIVLGWDYYGLLTELTKNEKKNKKKNKGEIDDGLGMGKVKDTYKDVDDYISTYEPLLFEEVKAQIIQSKDENQLLNPKRNLVVACTEVDGFHLATLTYEKSDMDDKEAISQNDLLLLLKPNHQDKEELPTVYAFALVESRQASSFRIRMYLAGEAKNLKTDAVETCPRLLNIKSLVTSSIEGERFFVTRKICSLSTIAREYVALWSIGSLPFKDIILGAAEKNIDSEGQAWKISRPLEEFIKDNLNESQQNAIQAGLSRKPFILIQGPPGTGKTQTILGLLSAILHATPARVHSSGSQNIKLRQKLTVQEKFHHWQLASPWLSGSNPREEIMPVNGDDGFFPTTGNELKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRVLNSGVRDESDRSYNPKIVRIGLKAHHSVQAVSMDDMVERKKGSMGGSKDRDGGADRFRAEILEEAVIVFSTLSFSGSPLFSKYNRGFDVVIIDEAAQAVEPAILVPLTNGCKQVFLIGDPVQLPATVISPIAAKFGYGMSLFERFQRAGYPVTMLKMQYRMHPEIRSFPSREFYSESLEDGPNIKEQTKRSWHDYRCFGPFCFFDLHEAKESEDSGSKSNDAEVEFVMLLYNKLVSKYPELKSSHQFAIISPYAAQVNLLKERFKSTFGVQSEKVVDITTVDGCQGREKDVAIFSCVRASEKGAIGFLADFRRMNVGITRAKSSILVVGSASTLRKGDEHWNNLVESAEKRNSLFKVSKPYASFFSDENLESMAIKKESSMEEVQNDELDNDPGSYNFGDADQAQGDDNDYGDGDGEADMGDGGDD >ONI36029 pep chromosome:Prunus_persica_NCBIv2:G1:46188673:46205117:1 gene:PRUPE_1G566100 transcript:ONI36029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKDKLQEAAPIARFHKIVLGWDYYGLLTELTKNEKKNKKKNKGEIDDGLGMGKVKDTYKDVDDYISTYEPLLFEEVKAQIIQSKDENQLLNPKRNLVVACTEVDGFHLATLTYEKSDMDDKEAISQNDLLLLLKPNHQDKEELPTVYAFALVESRQASSFRIRMYLAGEAKNLKTDAVETCPRLLNIKSLVTSSIEGERFFVTRKICSLSTIAREYVALWSIGSLPFKDIILGAAEKNIDSEGQAWKISRPLEEFIKDNLNESQQNAIQAGLSRKPFILIQGPPGTGKTQTILGLLSAILHATPARVHSSSGSQNIKLRQKLTVQEKFHHWQLASPWLSGSNPREEIMPVNGDDGFFPTTGNELKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRVLNSGVRDESDRSYNPKIVRIGLKAHHSVQAVSMDDMVERKKGSMGGSKDRDGGADRFRAEILEEAVIVFSTLSFSGSPLFSKYNRGFDVVIIDEAAQAVEPAILVPLTNGCKQVFLIGDPVQLPATVISPIAAKFGYGMSLFERFQRAGYPVTMLKMQYRMHPEGREKDVAIFSCVRASEKGAIGFLADFRRMNVGITRAKSSILVVGSASTLRKGDEHWNNLVESAEKRNSLFKVSKPYASFFSDENLESMAIKKESSMEEVQNDELDNDPGSYNFGDADQAQGDDNDYGDGDGEADMGDGGDD >ONI36028 pep chromosome:Prunus_persica_NCBIv2:G1:46188673:46205116:1 gene:PRUPE_1G566100 transcript:ONI36028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKDKLQEAAPIARFHKIVLGWDYYGLLTELTKNEKKNKKKNKGEIDDGLGMGKVKDTYKDVDDYISTYEPLLFEEVKAQIIQSKDENQLLNPKRNLVVACTEVDGFHLATLTYEKSDMDDKEAISQNDLLLLLKPNHQDKEELPTVYAFALVESRQASSFRIRMYLAGEAKNLKTDAVETCPRLLNIKSLVTSSIEGERFFVTRKICSLSTIAREYVALWSIGSLPFKDIILGAAEKNIDSEGQAWKISRPLEEFIKDNLNESQQNAIQAGLSRKPFILIQGPPGTGKTQTILGLLSAILHATPARVHSSSGSQNIKLRQKLTVQEKFHHWQLASPWLSGSNPREEIMPVNGDDGFFPTTGNELKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRVLNSGVRDESDRSYNPKIVRIGLKAHHSVQAVSMDDMVERKKGSMGGSKDRDGGADRFRAEILEEAVIVFSTLSFSGSPLFSKYNRGFDVVIIDEAAQAVEPAILVPLTNGCKQVFLIGDPVQLPATVISPIAAKFGYGMSLFERFQRAGYPVTMLKMQYRMHPEIRSFPSREFYSESLEDGPNIKEQTKRSWHDYRCFGPFCFFDLHEAKESEDSGSKSNDAEVEFVMLLYNKLVSKYPELKSSHQFAIISPYAAQVNLLKERFKSTFGVQSEKVVDITTVDGCQGREKDVAIFSCVRASEKGAIGFLADFRRMNVGITRAKSSILVVGSASTLRKGDEHWNNLVESAEKRNSLFKVSKPYASFFSDENLESMAIKKESSMEEVQNDELDNDPGSYNFGDADQAQGDDNDYGDGDGEADMGDGGDD >ONI34859 pep chromosome:Prunus_persica_NCBIv2:G1:41580633:41583079:1 gene:PRUPE_1G502800 transcript:ONI34859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVERVEVIAPKPEGTIPDEFIRSENEQPGITTVHGKVLEVPTIDFSDPDEQKLIRQIAEASSNWGMYQIVNHDIPSEAIRNLQAVGNEFFELPQEEKEAYAKPLDSDSMEGYGTKLFKEFSDGNVTKKGWVDHMFNKIWPPSVINYQFWPKNPPSYREANEEYAKHMHKVVEKLFRLLSLGLGLEGQELKKASGGDDLIYLLKINYYPPCPRPDLALGVVAHTDMSIVTILVPNDVQGLQASRDGRWYDVRYIPNALVIHIGDQMEVMSNGKYKSVLHRTTVNKDKTRISWPVFLEPPADHVIGPLPQLVNQENPPKYKTKTYGEYVYCKLNKIPQ >ONI27065 pep chromosome:Prunus_persica_NCBIv2:G1:4695534:4698407:-1 gene:PRUPE_1G065600 transcript:ONI27065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNPTKITFKLRREEKNSFTQEDIHVPSASSLSSSSSSSSSSSDSDNEIIEAHFNSHQLVLYDPATAKANANAVATVHEKVNRPNPFPFHRPPRVLPSVGAFTVQCASCFKWRLIPTKEKYEEIREHILEQPFYCATAREWRDFVSCDDPEDITQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGGTRFADVYYESPSGKKLRSSVEIQKYLLQHPEYLDAGVTMSQFSFQTPKPLQEDYVRKRPDNYVKKRPAHLTASSAAKRLLEPGAEVSPIAWVGPDDSPDLQLGRPACSPYSQAPLFDPFVRPTKKRATRIPSKIYRANAYSSTESR >ONI27066 pep chromosome:Prunus_persica_NCBIv2:G1:4696165:4697983:-1 gene:PRUPE_1G065600 transcript:ONI27066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNPTKITFKLRREEKNSFTQEDIHVPSASSLSSSSSSSSSSSDSDNEIIEAHFNSHQLVLYDPATAKANANAVATVHEKVNRPNPFPFHRPPRVLPSVGAFTVQCASCFKWRLIPTKEKYEEIREHILEQPFYCATAREWRDFVSCDDPEDITQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGGTRFADVYYESPSGKKLRSSVEIQKYLLQHPEYLDAGVTMSQFSFQTPKPLQEDYVRKRPDNYVKKRPAHLTASSAAKRLLEPGAEVSPIAWVGPDDSPDLQLGRPACSPYSQAPLFDPFVRPTKKRATRIPSKIYRANAYSSTESR >ONI31512 pep chromosome:Prunus_persica_NCBIv2:G1:30567503:30570816:1 gene:PRUPE_1G317400 transcript:ONI31512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRNLGSLCSFWNFRSIFQSRTTEIELGTHTIKSHGAALAKNHMHDWLILLLLAVIEVILFIIQPFHRFVGKDMMTDLKYPMKGNTVPVWAVPMYAVLLPIAVFVLFYARRKDVYDLHHSTLGLLFAVLITGVVTDAIKNAVGRPRPDFFWRCFPDGKDVYDQWGGVLCHGKDSDIKEGHKSFPSGHASWSFAGLGFLSLYLCGKIKAFDRKGHVAKLCIVFLPLLAASLVGISRVDDYWHHWQDVFAGGLLGLVVAAFCYRQFFPSPFNDEGWGPYAYFKALEEMRSNSHAASPVNALSVQVMGAQNVTERRRQNGDPFAALSADRYTSIRLDEIESGAK >ONI31513 pep chromosome:Prunus_persica_NCBIv2:G1:30567746:30570976:1 gene:PRUPE_1G317400 transcript:ONI31513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRNLGSLCSFWNFRSIFQSRTTEIELGTHTIKSHGAALAKNHMHDWLILLLLAVIEVILFIIQPFHRFVGKDMMTDLKYPMKGNTVPVWAVPMYAVLLPIAVFVLFYARRKDVYDLHHSTLGLLFAVLITGVVTDAIKNAVGRPRPDFFWRCFPDGKDVYDQWGGVLCHGKDSDIKEGHKSFPSGHASWSFAGLGFLSLYLCGKIKAFDRKGHVAKLCIVFLPLLAASLVGISRVDDYWHHWQDVFAGGLLGLVVAAFCYRQFFPSPFNDEGWGPYAYFKALEEMRSNSHAASPVNALSVQVMGAQNVTERRRQNGDPFAALSADRYTSIRLDEIESGAK >ONI31515 pep chromosome:Prunus_persica_NCBIv2:G1:30567714:30570894:1 gene:PRUPE_1G317400 transcript:ONI31515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRNLGSLCSFWNFRSIFQMYAVLLPIAVFVLFYARRKDVYDLHHSTLGLLFAVLITGVVTDAIKNAVGRPRPDFFWRCFPDGKDVYDQWGGVLCHGKDSDIKEGHKSFPSGHASWSFAGLGFLSLYLCGKIKAFDRKGHVAKLCIVFLPLLAASLVGISRVDDYWHHWQDVFAGGLLGLVVAAFCYRQFFPSPFNDEGWGPYAYFKALEEMRSNSHAASPVNALSVQVMGAQNVTERRRQNGDPFAALSADRYTSIRLDEIESGAK >ONI31511 pep chromosome:Prunus_persica_NCBIv2:G1:30567503:30571061:1 gene:PRUPE_1G317400 transcript:ONI31511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRNLGSLCSFWNFRSIFQSRTTEIELGTHTIKSHGAALAKNHMHDWLILLLLAVIEVILFIIQPFHRFVGKDMMTDLKYPMKGNTVPVWAVPMYAVLLPIAVFVLFYARRKDVYDLHHSTLGLLFAVLITGVVTDAIKNAVGRPRPDFFWRCFPDGKDVYDQWGGVLCHGKDSDIKEGHKSFPSGHASWSFAGLGFLSLYLCGKIKAFDRKGHVAKLCIVFLPLLAASLVGISRVDDYWHHWQDVFAGGLLGLVVAAFCYRQFFPSPFNDEGWGPYAYFKALEEMRSNSHAASPVNALSVQVMGAQNVTERRRQNGDPFAALSADRYTSIRLDEIESGAK >ONI31514 pep chromosome:Prunus_persica_NCBIv2:G1:30567687:30570981:1 gene:PRUPE_1G317400 transcript:ONI31514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRNLGSLCSFWNFRSIFQSRTTEIELGTHTIKSHGAALAKNHMHDWLILLLLAVIEVILFIIQPFHRFVGKDMMTDLKYPMKGNTVPVWAVPMYAVLLPIAVFVLFYARRKDVYDLHHSTLGLLFAVLITGVVTDAIKNAVGRPRPDFFWRCFPDGKDVYDQWGGVLCHGKDSDIKEGHKSFPSGHASWSFAGLGFLSLYLCGKIKAFDRKGHVAKLCIVFLPLLAASLVGISRVDDYWHHWQDVFAGGLLGLVVAAFCYRQFFPSPFNDEGWGPYAYFKALEEMRSNSHAASPVNALSVQVMGAQNVTERRRQNGDPFAALSADRYTSIRLDEIESGAK >ONI31516 pep chromosome:Prunus_persica_NCBIv2:G1:30567713:30570860:1 gene:PRUPE_1G317400 transcript:ONI31516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRNLGSLCSFWNFRSIFQMYAVLLPIAVFVLFYARRKDVYDLHHSTLGLLFAVLITGVVTDAIKNAVGRPRPDFFWRCFPDGKDVYDQWGGVLCHGKDSDIKEGHKSFPSGHASWSFAGLGFLSLYLCGKIKAFDRKGHVAKLCIVFLPLLAASLVGISRVDDYWHHWQDVFAGGLLGLVVAAFCYRQFFPSPFNDEGWGPYAYFKALEEMRSNSHAASPVNALSVQVMGAQNVTERRRQNGDPFAALSADRYTSIRLDEIESGAK >ONI30092 pep chromosome:Prunus_persica_NCBIv2:G1:24481536:24485868:1 gene:PRUPE_1G231000 transcript:ONI30092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSCVLLCLMVAWIVIQALQNSFARRIPTNLPPGPKPFPLIGNLLELGNKPHISLTKLSKRYGPTMTLQLGQITTVIVSSSAVAKQVLRTHDQFFCNRTIPDAVQACKHAKYGMPWLTVSSTWRNLRKICNSQLFAAKVLDANQANRHLKVQELIADVKESVVKGKAAEVGRAAFKTTLNLMSRTVFSVDLADPNSERAREFKELVWSIMEEAGKPNLADYFPVLKKIDPMGIRRRLGKHFQKMIDLFDRMIVQRLESRKSRDYDLFGAGTDTTSATLEWAMAELLRNPEKLSKAQEELKQVVGKGKPVEESDITRLPYLQAIIKETFRLHPVVPLLLPRKAQADIEICGYIVPKGVQVLVNAWAIGRDPSIWDNPTSFIPERFSGLDIDVTGQNFELIPFGGGRRICPGLPLAMRMLNLMLGSLINSFDNWKLEDGVVPEKMNMDEKFGLTLQMAHPVIAVPINPDPNCS >ONI36433 pep chromosome:Prunus_persica_NCBIv2:G1:47478481:47481075:-1 gene:PRUPE_1G584900 transcript:ONI36433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVSKGGGGGLAASRIVVITGVSKGLGRALAVEMAKRGHTVIGCSRAQDKLNALQSELSSDKHLFLNTDVRSNTSIAELARVVKEKRGIPDIIVNNAGIINDNKKLWEVPVEEFDSVIDTNVKGIANVLRHFIPLMLSRNEGKNPAGIIVNMSSGWGRSGAAHVAPYCASKWAVEGLTRSVARELPSEMAILALNPGVIHTDMLESCFGDSSMKNYPKPDAWAVKAATMILNLTPADNGASLTV >ONI33804 pep chromosome:Prunus_persica_NCBIv2:G1:37793121:37796923:1 gene:PRUPE_1G447000 transcript:ONI33804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAFYVDAINFELMMMMKMARRRPHQTCLISCMFMFLFFFSNQTSALDDGDEHQLQLLLSFKASINDPLNFLSDWNKTTSSNNPCNWHGITCDNNNTIKTVELSGRNISGKLSSSIFHLSHIETIDLSNNQLAGQLPKDMFVGVSNSLRHLNLSNNNFTGIVPQGSVSSLEVLDLSNNMISGQIPDGIGSFSSLKFLDLGGNVLLGSIPSSISNMSSLEDLTLASNQLSGKIPTQLGQLKSLKWIYLGYNNLSGQIPEQIGNLVQLNHLDLVFNKLTGQIPVSLSNLTQLRYLFLYGNKLTGPVPQSLFGLEKLVSLDLSDNFLSGEISENVGQLQNLEILHLFSNNFTGKIPSSLASLPRLQVLQLWSNKFSGEIPRRLGYRNNLTVLDLSTNSLTGKIPDKLCDSGRLFKLILFSNSLEGEIPRSFSSCKSLGRVRLQNNRLSGEISAEFTKLPLVYFLDISGNNLSGRIGERKWDMPSLQMLNIGRNGFFGNLPDNFGSEKLENLDLSENRFSGTISPSFGNLSELMQLKLSHNELSGPIPQQLSSCMKLVSLDLSHNRLTGTIPTSLSDMPVLGDLDLSENDISGEIPRNLGVIESLVQVNISHNKLHGTLPYTAAFLAINASAVAGNDLCGGDTTTTSGLPPCKRVKRNPTWWFVVTCSLVALMGFGVAAYVFVIMRRRNDLKVKTVEGEGGIWELQFFDSKVSRSVTIHDIFSAAKQGNVIAMGKTGISYRGESVLNGMQFVVKEDTMNSIPPSFRCKMVEFGRLRHPNVIKLIGICHSQKGAYVLYEYCEGKVLSQVLRDLSWEQRRKIALGIARALRFLHCRSSPSVVAGHVSPEKVIVDAKDEPRIRLSLPGMVQPDSKGFIASSYIAPEAKEGKGITEKSDIYGFGLVLIELLTGKGPADTEFGAHESIVEWARYCYSDCHLDVWTDPKIRGHVSSNQNEIVETMNLALHCTAGDPTARPCADELYKTLDSIMRTSSCVSSLKVSSPF >ONI33806 pep chromosome:Prunus_persica_NCBIv2:G1:37793250:37796923:1 gene:PRUPE_1G447000 transcript:ONI33806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAFYVDAINFELMMMMKMARRRPHQTCLISCMFMFLFFFSNQTSALDDGDEHQLQLLLSFKASINDPLNFLSDWNKTTSSNNPCNWHGITCDNNNTIKTVELSGRNISGKLSSSIFHLSHIETIDLSNNQLAGQLPKDMFVGVSNSLRHLNLSNNNFTGIVPQGSVSSLEVLDLSNNMISGQIPDGIGSFSSLKFLDLGGNVLLGSIPSSISNMSSLEDLTLASNQLSGKIPTQLGQLKSLKWIYLGYNNLSGQIPEQIGNLVQLNHLDLVFNKLTGQIPVSLSNLTQLRYLFLYGNKLTGPVPQSLFGLEKLVSLDLSDNFLSGEISENVGQLQNLEILHLFSNNFTGKIPSSLASLPRLQVLQLWSNKFSGEIPRRLGYRNNLTVLDLSTNSLTGKIPDKLCDSGRLFKLILFSNSLEGEIPRSFSSCKSLGRVRLQNNRLSGEISAEFTKLPLVYFLDISGNNLSGRIGERKWDMPSLQMLNIGRNGFFGNLPDNFGSEKLENLDLSENRFSGTISPSFGNLSELMQLKLSHNELSGPIPQQLSSCMKLVSLDLSHNRLTGTIPTSLSDMPVLGDLDLSENDISGEIPRNLGVIESLVQVNISHNKLHGTLPYTAAFLAINASAVAGNDLCGGDTTTTSGLPPCKRVKRNPTWWFVVTCSLVALMGFGVAAYVFVIMRRRNDLKVKTVEGEGGIWELQFFDSKVSRSVTIHDIFSAAKQGNVIAMGKTGISYRGESVLNGMQFVVKEDTMNSIPPSFRCKMVEFGRLRHPNVIKLIGICHSQKGAYVLYEYCEGKVLSQVLRDLSWEQRRKIALGIARALRFLHCRSSPSVVAGHVSPEKVIVDAKDEPRIRLSLPGMVQPDSKGFIASSYIAPEAKEGKGITEKSDIYGFGLVLIELLTGKGPADTEFGAHESIVEWARYCYSDCHLDVWTDPKIRGHVSSNQNEIVETMNLALHCTAGDPTARPCADELYKTLDSIMRTSSCVSSLKVSSPF >ONI33805 pep chromosome:Prunus_persica_NCBIv2:G1:37793121:37796923:1 gene:PRUPE_1G447000 transcript:ONI33805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAFYVDAINFELMMMMKMARRRPHQTCLISCMFMFLFFFSNQTSALDDGDEHQLQLLLSFKASINDPLNFLSDWNKTTSSNNPCNWHGITCDNNNTIKTVELSGRNISGKLSSSIFHLSHIETIDLSNNQLAGQLPKDMFVGVSNSLRHLNLSNNNFTGIVPQGSVSSLEVLDLSNNMISGQIPDGIGSFSSLKFLDLGGNVLLGSIPSSISNMSSLEDLTLASNQLSGKIPTQLGQLKSLKWIYLGYNNLSGQIPEQIGNLVQLNHLDLVFNKLTGQIPVSLSNLTQLRYLFLYGNKLTGPVPQSLFGLEKLVSLDLSDNFLSGEISENVGQLQNLEILHLFSNNFTGKIPSSLASLPRLQVLQLWSNKFSGEIPRRLGYRNNLTVLDLSTNSLTGKIPDKLCDSGRLFKLILFSNSLEGEIPRSFSSCKSLGRVRLQNNRLSGEISAEFTKLPLVYFLDISGNNLSGRIGERKWDMPSLQMLNIGRNGFFGNLPDNFGSEKLENLDLSENRFSGTISPSFGNLSELMQLKLSHNELSGPIPQQLSSCMKLVSLDLSHNRLTGTIPTSLSDMPVLGDLDLSENDISGEIPRNLGVIESLVQVNISHNKLHGTLPYTAAFLAINASAVAGNDLCGGDTTTTSGLPPCKRVKRNPTWWFVVTCSLVALMGFGVAAYVFVIMRRRNDLKVKTVEGEGGIWELQFFDSKVSRSVTIHDIFSAAKQGNVIAMGKTGISYRGESVLNGMQFVVKEDTMNSIPPSFRCKMVEFGRLRHPNVIKLIGICHSQKGAYVLYEYCEGKVLSQVLRDLSWEQRRKIALGIARALRFLHCRSSPSVVAGHVSPEKVIVDAKDEPRIRLSLPGMVQPDSKGFIASSYIAPEAKEGKGITEKSDIYGFGLVLIELLTGKGPADTEFGAHESIVEWARYCYSDCHLDVWTDPKIRGHVSSNQNEIVETMNLALHCTAGDPTARPCADELYKTLDSIMRTSSCVSSLKVSSPF >ONI32131 pep chromosome:Prunus_persica_NCBIv2:G1:32623932:32630266:1 gene:PRUPE_1G350300 transcript:ONI32131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPAYSNGYHPQQQQHGMSQRDKVRRGTRARSREHRESFGSGGNFGGLRVSEHNKQQQQQQQQGAPCTDFDMAYFHSYAHVGIHEEMIKDRVRTETYRTAIMQHQSSIAGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIAVQANEVVKANNLSETIIVLHGRVEDVDIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKPGGLILPSSATLYMAPITHSDRYSESIDFWRNVYGIDMSAMLPLAKQCAFEEPCVETIVGENVLTWPHVVKQVDCHTITLHELESVTTNYKFKSMMRAPLHGFAFWFDVEFSGPALAGTNNHAPSSLISNHPVDGQQRKKRANPNEALVLSTAPEDPPTHWQQTVLYFYDPIEVEQDQLIEGSVTLSQSKENRRFMNIHLEYASGGRSFVKESVMRPGFSAELSG >ONI32132 pep chromosome:Prunus_persica_NCBIv2:G1:32624077:32629760:1 gene:PRUPE_1G350300 transcript:ONI32132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPAYSNGYHPQQQQHGMSQRDKVRRGTRARSREHRESFGSGGNFGGLRVSEHNKQQQQQQQQGAPCTDFDMAYFHSYAHVGIHEEMIKDRVRTETYRTAIMQHQSSIAGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIAVQANEVVKANNLSETIIVLHGRVEDVDIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKPGGLILPSSATLYMAPITHSDRYSESIDFWRNVYGIDMSAMLPLAKQCAFEEPCVETIVGENVLTWPHVVKQVDCHTITLHELESVTTNYKFKSMMRAPLHGFAFWFDVEFSGPALAGTNNHAPSSLISNHPVDGQQRKKRANPNEALVLSTAPEDPPTHWQQTVLYFYDPIEVEQDQLIEGSVTLSQSKENRRFMNIHLEYASGGRSFVKESVMR >ONI32247 pep chromosome:Prunus_persica_NCBIv2:G1:33027003:33028677:-1 gene:PRUPE_1G356200 transcript:ONI32247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSNLAEQLREYQIRSKHDWASVSFFSSTSNHTLSRVDVVLFVIWELVILAFLVFSAVSLYFRHMQLAFLLLCIAMLLLLCIKITKQVRLARKKKRRMLLPLSM >ONI27060 pep chromosome:Prunus_persica_NCBIv2:G1:4653826:4657720:1 gene:PRUPE_1G065200 transcript:ONI27060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSIQNDLNAPHSMGTTIIGVTYNGGVVLGADSRTSTGVYVANRASDKITQLTDNVYLCRSGSAADSQVLSDYVRHFLHQHTIQQGQPATVKVAANLIRLFAYNNKNMLQTGLIIGGWDKYKGGKIYGVPLGGTILEQPFAIGGSGSTYLYGFFDQEWKEGMTKEEAERLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNYYPGDTLPLWHEELEPQNSLLDILSSSGPDMMVT >ONI33126 pep chromosome:Prunus_persica_NCBIv2:G1:35724982:35725854:1 gene:PRUPE_1G407300 transcript:ONI33126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRIAGLDSPLFSTLQHIMDFTDEPDKSFNAPTRTYVRDAKAMASTPADVKEYPNSYVFVVDMPGLKSGDIKVQVEDDNVLLITGERKREEEKEGAKYVRMERRVGKFMRKFVLPENANVEAISAVCQDGVLTVTVEKLPPPEPKKPKTIEVKIA >ONI29318 pep chromosome:Prunus_persica_NCBIv2:G1:18057111:18059417:1 gene:PRUPE_1G192900 transcript:ONI29318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGTPSPAQAPKSVDAKPVVTDEVQRVFKSFDANGDGKISVSELGNVLKALGSSVSADELQRVMGNLDTDRDGFICLDEFNAFWVSGSKDGNAAELCDAFQSLRPGPQRLHLGQRAPFGAQSTPTNAVWLCAAIGLLFGLPILKLDVAFTAFISVSTIGWVGSYAVPIFARLVMLKRTSNQDPFIWVEQAGQFAWWPSCGKQNGQKALLRHFKGEES >ONI34604 pep chromosome:Prunus_persica_NCBIv2:G1:40770284:40777160:1 gene:PRUPE_1G489800 transcript:ONI34604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTTTFKGANVFMSRNLVPPEIFDALHDALKLNGANVFLCCDPSRTGPDDYHVIASSDHEKFEDLRAKGCNLLGPQCVFSCANQHRALPKQGFTCCLAMDGFKVLASGFEGDEKAKIEKLVTAMGGVLHAKASLDVNFVIVKNVLAGKYKAITELVLFMQWALNTLKKPIVTINWLSQCWNEHRNVPQDSFRVLPFSGLMISVTRIPADERKEIEKLITENGGKYSAELTKNKELESYVYFSMSDLHNTSRLQLNEANLEIFMFFPEGDKYKVAQRWGHIRIVTRKWFDQSISRRACLNEDSYPVQGGSISSNKSVRGCFTLQNSQRSSSGNLQSVPPSVVADSNLTAAPCSGTMDSDLEATVSQNMTTMFSHAPHVVKNEDSKAPPLESKSEAYLDGCVADDSQSEDNDLYLSECRISLVGFKVSEMRRLVNMIRRGGGSRYMSFNDKLTHIVVGTPSEIQKKEVRGFAALGVIHVVRTTWLDDCDREKKEIPVLPKHIAYDLVLPEGALIGMTSTIQGTISTTHLSIPSDQLHGNTSAATGMGSLEKKREKKPEINMKGDKSMEAAVGPSKWSKLPVINGKSKVQLNNTIDGRLMMQYDSSVQNGKESSVFKGRLFCFSNSFPEDRRGDIIQWVNQGGGDVVDGDLKQKVHFTIECHGVITSSVDVAQTTYVSSHWIRSCLEDGCLLDVSSHILYAPLPCRIPLPGFENFRFCVSQYEEKDRLLLRNLCFVLGAKFGEKLTKKVTHLLCKFTNGPKYQAACIKGIHPITAEWVYECVKKNKVVALDQFYPKKVTAEDREAGLCTMSQYPTQAVQMISAGNSSECPSQSQDLRTSSGENIGSRNDSLREEASEPSFCNKKARVSEDDGEKGLLSSGVHLRIPACTTGDRKVKSSGEVSQVVPDVASAIEDLLEQTSKIHDQKSPGRSLCDSSIFSPECSALRQDHSDAHSVIGLSRHWLNRAGKKDDIHYPSEEQKAGLYDGFSETQTESQVVGYEEDLSGRQMLIDRVRTRSSLA >ONI27421 pep chromosome:Prunus_persica_NCBIv2:G1:6324648:6330136:-1 gene:PRUPE_1G085000 transcript:ONI27421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTQFDGTAAFSGGGFMPPQATQTADPSFNTSKNRDVQTLLPLTVKQIKDAFVSANEKSEFVIDGVDVNNVTLVGMVRDKVGRITDVAFILDDGTGRLDCNKWFDEAIDANEMERILDGMYVRVHGRLKSFQGKKTLNVFSIRPVNDYNEIASHFIECIYVHVYNSRLQKAHVDVGVTTQPHMTIPTNQFSGQYSFDGQSSTEVKVLEILSQPSYLGREEGAHLDDIARQLKIPVNDLMLAVDNLVQEGKVYSTVDDFHFKSTANA >ONI27420 pep chromosome:Prunus_persica_NCBIv2:G1:6324571:6329859:-1 gene:PRUPE_1G085000 transcript:ONI27420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTQFDGTAAFSGGGFMPPQATQTADPSFNTSKNRDVQTLLPLTVKQIKDAFVSANEKSEFVIDGVDVNNVTLVGMVRDKVGRITDVAFILDDGTGRLDCNKWFDEAIDANEMERILDGMYVRVHGRLKSFQGKKTLNVFSIRPVNDYNEIASHFIECIYVHVYNSRLQKAHVDVGVTTQPHMTIPTNQFSGQYSFDGQSSTEVKVLEILSQPSYLGREEGAHLDDIARQLKIPVNDLMLAVDNLVQEGKVYSTVDDFHFKSTANA >ONI27419 pep chromosome:Prunus_persica_NCBIv2:G1:6324648:6329720:-1 gene:PRUPE_1G085000 transcript:ONI27419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTQFDGTAAFSGGGFMPPQATQTADPSFNTSKVTLVGMVRDKVGRITDVAFILDDGTGRLDCNKWFDEAIDANEMERILDGMYVRVHGRLKSFQGKKTLNVFSIRPVNDYNEIASHFIECIYVHVYNSRLQKAHVDVGVTTQPHMTIPTNQFSGQYSFDGQSSTEVKVLEILSQPSYLGREEGAHLDDIARQLKIPVNDLMLAVDNLVQEGKVYSTVDDFHFKSTANA >ONI35378 pep chromosome:Prunus_persica_NCBIv2:G1:43532108:43536074:1 gene:PRUPE_1G532400 transcript:ONI35378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTKQSKWLEQNLQDMEEKVHSTLKIIDNDGDSFAQRAEMYYRKRPELVAYVEESFRAYRALAERYDHLSRDLQSANRTIATVFPERVQYAMEDEDEEIASQASTSSDGPNKASTEESKQNIPKVPKLPNKDFRSKSMLKRGPLKRNTSCFKATITPRSGLTKDEALEEIDKLQKDILALQTEKEFVKILYERGYDRYWEFENEITGMQKRVCSLQDEYGIGTVIEDNEARTLMAATALKSCKDSLTKLQEKKDETEEEARVEHLRVREACMKFEDLKDEFRLKDADWCDPTEEDEAENIDLETRNVDQERHDQELLRSKILEQLDTSSNTSTITELAEKIDGLVNKVVSLETAVSSQNALVNRFKSETDELQAHIRSLEEEKEILMENSDRMRKRIKELEEELRRIKNLKRSVEDQNNNLQAHFTEASCNLDHLSGKLHSVKHEEEDENAGLFQEVRALDAKPEKEIKVDSDKSATDEESVVLEDKMTEDEIKKVDVTILKNSVKGEEENQSDPSNSLGLMTEKPQEPMQQEQVENQALSETVDSNLEVEPQELELANEGDQPNWRQLFLKGLEDREKVLLEEYTSILRDYKDARKKLGEVEKKNRDNIFDLAMEIRELRSVVSSKDKEIKLLKQKLGSPETNLDDSPNTCSTVYKYPNQEGSLESPTQVAASPYSPVPSLNFDKEIAASYLGEQATERFEDSSGNLKVSPRKEEEKATRKRHVVRPHSVSAIEGRFRSDIDELLEENLEFWLRFSTSVHQIQKFQTSIQDLQSELIKLKNKKKHEGGSKQQSLQSDGRPIYRHLREIQTELSLWLEHNAVLKDDLQRRFSSLCHIQDEISRLSNLGSEGEKMELISKYQAAKFQGEVLNMKQENNKVNDELKAGLSRVRGLKFEVEKTLARLDEELGISMASKSIEPKRSTSKARIPLRSFLFGVKLRRQKPSIFSCASPALQKQHSDLAAAAPPPEQPM >ONI29909 pep chromosome:Prunus_persica_NCBIv2:G1:23596375:23604985:1 gene:PRUPE_1G221600 transcript:ONI29909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKLDLPDDLLLSKPSDQSWTSKVEASGGNDEEKVLIGSSDDSKDPAASESSIPLSPQWLYAKPSESKLEMRGPSSLGNSTDSNQKEGWRLEGSDDKKDWRRPATESENSRRWREEERETSLLGGRRDRRKPERRVDSVPVRDTTDNRALPASERWHDGRNSVHEVRRDSKWSSRWGPEDKEKEPRTEKRTDVEKEDAHTNNDNQSLGGNNRSAPERESDSRDKWRPRHRMEVHSGGSNTYRAAPGFGPERGRAEGSNLGFTLGRGRSNAIGRSSAGVIGSAPSGKSESVPGKPRHSADSFCYPRAKLLDIYRQRKLDLSFATMPDEMEDSPPTTEVGFVEPLAFLAPDAEEEAILSDIWKGKITSSGVVYNSFRKGRSTESSTGVGDLEAVDGVLGVLPSNIPEESINTLQDAASADTNGSLWNYGPQRNVVDEKDVNHKEWQNKATAGKDLDGLSLTFQKSNGICSDFETDGAYNSADQLHVRDSRQIADSTFSSHSLSDDIEFTPSSDIRSKLPDDSNTLYALGSTEQMQSTNPRAKEVERDVPPEDLCLYYLDPQGVIQGPYLGVDIISWFEQGFFGTDLLVRLADTPEGTPFNELGEFMPHLKVWDGQGNIMNPSSNIEESSGLIGNLESSLPSSAPVSEITDSFMGNDHRRPLPELNSLSAQHILPRTSEPEAPLQLPNSRGQSFNDFVADDEDIVFPGIPGTTGYSTAKSSGTIHDPIANSISHLPPTELTESGMPIQNDNKLHPFGLLWSELEGGQTKHIKSANTPSSAGRAVPFGAISDPAVVAETWSDVHRKNTVSDTNLYQDMIAPRQLAHMEQEPSHYDLAEQLMSQQIQQQQLQQRNMLSSFGHLNDAVLEHLPNQNLIHQQLANHSSADMDHLLALQMQQHRQAQLQQHHQLQQQQFHQQQKLLQEQQQSQVQQVLLEQLLRGQMHDPALRQQHVDPARANNVLDQVLLEQHLLHELQQRSHHLPRHVDPSMEQLIQKFGHSPQGHQTDLFELLSRAQHGQIQSLEHQMQARQQLPMGMRQRMEEERHVSSVWPADESNQFLRGHAGTQQRAHSSGFSPLDFYQRQQRPSHEEQLSHLDRNHSLQDRLQQGFYEPGSLPFERSMSLPAGAPGMNLDVVNAMARAQGLDMQDSAGRMQSAGQLGTFSSGIHSHNPHHPLPNQFHVSHLDALEGHWSEKNEQLENDWLDSRFQQLHINAERQKRESEIKIPSQDRTLWMSDGSNEEHSKRLLMELLHKKSGHQPTESSNVSNDMFSDKRLSSGLYSGSSSSNHAFILHADQEAGLNNSFRVGSYGSNPCELPQEERACSVESNEKLMYRPDSGALIERESFLAGINATTQSIYTNSNMISKSSINKERSELEGRKRGSKSEAIIMGRAFETQERMAEQAGLAVQDYGERATNALGMHNSSGVSGGNAGFYGDKIGRSNSFAEETTKDRVPVPSKGQDNILLRRPAVSNASASQEGLSELISNPVFRGKTSSGAPDGGRPDQVVNPVNQGSDVISSSKKDVHFRRALSVSDVDVSEASFMDMLKSNTKKVGPMDAHTAAGFSEASDAMQGSRSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIDD >ONI29910 pep chromosome:Prunus_persica_NCBIv2:G1:23596743:23603740:1 gene:PRUPE_1G221600 transcript:ONI29910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKLDLPDDLLLSKPSDQSWTSKVEASGGNDEEKVLIGSSDDSKDPAASESSIPLSPQWLYAKPSESKLEMRGPSSLGNSTDSNQKEGWRLEGSDDKKDWRRPATESENSRRWREEERETSLLGGRRDRRKPERRVDSVPVRDTTDNRALPASERWHDGRNSVHEVRRDSKWSSRWGPEDKEKEPRTEKRTDVEKEDAHTNNDNQSLGGNNRSAPERESDSRDKWRPRHRMEVHSGGSNTYRAAPGFGPERGRAEGSNLGFTLGRGRSNAIGRSSAGVIGSAPSGKSESVPGKPRHSADSFCYPRAKLLDIYRQRKLDLSFATMPDEMEDSPPTTEVGFVEPLAFLAPDAEEEAILSDIWKGKITSSGVVYNSFRKGRSTESSTGVGDLEAVDGVLGVLPSNIPEESINTLQDAASADTNGSLWNYGPQRNVVDEKDVNHKEWQNKATAGKDLDGLSLTFQKSNGICSDFETDGAYNSADQLHVRDSRQIADSTFSSHSLSDDIEFTPSSDIRSKLPDDSNTLYALGSTEQMQSTNPRAKEVERDVPPEDLCLYYLDPQGVIQGPYLGVDIISWFEQGFFGTDLLVRLADTPEGTPFNELGEFMPHLKVWDGQGNIMNPSSNIEESSGLIGNLESSLPSSAPVSEITDSFMGNDHRRPLPELNSLSAQHILPRTSEPEAPLQLPNSRGQSFNDFVADDEDIVFPGIPGTTGYSTAKSSGTIHDPIANSISHLPPTELTESGMPIQNDNKLHPFGLLWSELEGGQTKHIKSANTPSSAGRAVPFGAISDPAVVAETWSDVHRKNTVSDTNLYQDMIAPRQLAHMEQEPSHYDLAEQLMSQQIQQQQLQQRNMLSSFGHLNDAVLEHLPNQNLIHQQLANHSSADMDHLLALQMQQHRQAQLQQHHQLQQQQFHQQQKLLQEQQQSQVQQVLLEQLLRGQMHDPALRQQHVDPARANNVLDQVLLEQHLLHELQQRSHHLPRHVDPSMEQLIQKFGHSPQGHQTDLFELLSRAQHGQIQSLEHQMQARQQLPMGMRQRMEEERHVSSVWPADESNQFLRGHAGTQQRAHSSGFSPLDFYQRQQRPSHEEQLSHLDRNHSLQDRLQQGFYEPGSLPFERSMSLPAGAPGMNLDVVNAMARAQGLDMQDSAGRMQSAGQLGTFSSGIHSHNPHHPLPNQFHVSHLDALEGHWSEKNEQLENDWLDSRFQQLHINAERQKRESEIKIPSQDRTLWMSDGSNEEHSKRLLMELLHKKSGHQPTESSNVSNDMFSDKRLSSGLYSGSSSSNHAFILHADQEAGLNNSFRVGSYGSNPCELPQEERACSVESNEKLMYRPDSGALIERESFLAGINATTQSIYTNSNMISKSSINKERSELEGRKRGSKSEAIIMGRAFETQERMAEQAGLAVQDYGERATNALGMHNSSGVSGFYGDKIGRSNSFAEETTKDRVPVPSKGQDNILLRRPAVSNASASQEGLSELISNPVFRGKTSSGAPDGGRPDQVVNPVNQGSDVISSSKKDVHFRRALSVSDVDVSEASFMDMLKSNTKKVGPMDAHTAAGFSEASDAMQGSRSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIDD >ONI30266 pep chromosome:Prunus_persica_NCBIv2:G1:25474909:25480746:1 gene:PRUPE_1G240800 transcript:ONI30266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGLVRFPGSLDPGAQEFIPRNPNQLTLFGPPPPQPLPPPPHQVFYPYPPISEVVPYAQYAAPPAYASAAPTVCTPVPPPSVAATRAVLLSSVPSDVSEGTVRREVEGFGEVRWVQMERVCEGIVTVHFYDLRHAERALREFREQNMQQQQVRLRNNYASYTFIPNTPPPLPPNNLSSQLLPVTPGRGLIAGQPVWAHFVIPALKAVPDGHNQGTIVIFNLDSAVTTSTLKDTFQAFGPVKELRETPSKKHQRFIEFFDVRDAAKALKEMNGKEINGKPVVIEFSRPGGHSRKYLNAVGNTTQTLVSPTNIIAPLHSNNALVSYPPSPSRELVGKFSRRPNSLNVAPPPPRLYASLSPQIQFPSSRNKSTNICSSKGNVGANGSLRRSNSSSVEAQMGGLNLGGAVEESHLVPGQPIRSCSSSKKSCQNSQNQVVMSPPRPQQAKSSRGRKGRQGKKMDSKFLIKEEAMAESSSADTRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIVANGGGDDGDDDEQQPQKQPFSSYDFVYLPIDFNNKCNVGYGFVNMTSPEATWRLYKAFHLQHWEVFNSRKICEVTYARVQDYRSSSQLKADKDPVEAHKIYN >ONI30267 pep chromosome:Prunus_persica_NCBIv2:G1:25474909:25478406:1 gene:PRUPE_1G240800 transcript:ONI30267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETGLVRFPGSLDPGAQEFIPRNPNQLTLFGPPPPQPLPPPPHQVFYPYPPISEVVPYAQYAAPPAYASAAPTVCTPVPPPSVAATRAVLLSSVPSDVSEGTVRREVEGFGEVRWVQMERVCEGIVTVHFYDLRHAERALREFREQNMQQQQVRLRNNYASYTFIPNTPPPLPPNNLSSQLLPVTPGRGLIAGQPVWAHFVIPALKAVPDGHNQGTIVIFNLDSAVTTSTLKDTFQAFGPVKELRETPSKKHQRFIEFFDVRDAAKALKEMNGKEINGKPVVIEFSRPGGHSRKYLNAVGNTTQTLVSPTNIIAPLHSNNALVSYPPSPSRELVGKFSRRPNSLNVAPPPPRLYASLSPQIQFPSSRNKSTNICSSKGNVGANGSLRRSNSSSVEAQMGGLNLGGAVEESHLVPGQPIRSCSSSKKSCQNSQNQVVMSPPRPQQAKSSRGRKGRQGKKMDSKFLIKEEAMAESSSADTRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIVANGGGDDGDDDEQQPQKQPFSSYDFVYLPIDFNNKCNVGYGFVNMTSPEATWRLYKAFHLQHWEVFNSRKICEVTYARVQGLEALKEHFKNSKFPCEMEHYLPVVFSPPRDGRQLTHPLPIVGAQTLNNNINNSSSSSPVSLLLTPLPPHLHDQHDHDMDAPVSTCDSDDDDEGSSRSGGVFMPDDDDDDDDDDDERDRQTASASLEIKGYTYNTL >ONI31347 pep chromosome:Prunus_persica_NCBIv2:G1:30012977:30013841:1 gene:PRUPE_1G307600 transcript:ONI31347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELQKESVTPDRPAPPSAPPLTATGIPVDPLMISNNTPHSPVPWSTGLCNCCEDVSSCCLTCWCPCVTFGRIAEIVDRGSTSCGVSGTIYSLMLCLVGCSCLYSCFYRSKLRGQYLLEERPCTDCCVHFCCEECALCQEYRQLQNQGFDMSIGWHGNVERQKRLAATMASPSPLPPQLQTGMTR >ONI27515 pep chromosome:Prunus_persica_NCBIv2:G1:6881294:6883765:-1 gene:PRUPE_1G091500 transcript:ONI27515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTDRYATVSRACVNLLKIELQTAQKCIDSVEKFLLRLKHVRDQLAVVAISISDDDLMIAVLNGLSSNYDMIKIVLIACETSISFKDFSNHLLAAEQATDSCVILLHSPMACMLSHSSTSIGFTSSTPSSNLSSVGILPTPLMASLSPTGYMISYTHVRSFGSFGGRGKLSGSRPFGRGFPNNFQGPPKSGIIPECQICSKRGHTTTNCFFPNYTSSQGSSVMEYQICGKKGRGALDCYYRSNYAYQGSPPPSFLTAMTAQASFSPNAVWIADSGASHHMVPHMTTMHNVTPCNSAENVIVGNREDF >ONI27918 pep chromosome:Prunus_persica_NCBIv2:G1:8854460:8857063:1 gene:PRUPE_1G110800 transcript:ONI27918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSEKMVAEAEVICQKTMAMPVLDMKYRVCVSKEHNRQIDVASPPSSAPSFGEVCVSETISTEISRFKSVVSCTETINKAVMESSAIKFVPDIHSGSHTDIGGRDSMDDEHIRIDDLSAHVGPLFKSPLPSAFYAVFDGHGGPEAASYVKRNAMRLFFEDADLPQRMDMDAVFFRELENSHRKAFLLADDALAHEHSVSSLCGTTALTALILGRHLLVANAGDCRAVLCRKGVAVDMSQDHKPTYLPERRRVEQLGGHIDDGYLNGLSVTRALGDWDLKLPLGSSSPLIAEPDVQQVMLTEDDEFLIIGCDGIWDVMSSQYAVSLVRRGLRRHDDPQQCARELVKEALRLNTTDNLTVIVVRLSSPSRVVECSPQRPRLRICSLSEEARSRLRSLLGGN >ONI32510 pep chromosome:Prunus_persica_NCBIv2:G1:33788743:33792299:1 gene:PRUPE_1G370200 transcript:ONI32510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRRLSSRMADEISRSAQRETSAASSTAAVAAENAKLKSKSIWPSVLRWIPTSTDKIIAAEKRLLSLVKTPYVQERVNIGSGPPGSKVRWFRSESNEERCINTVSFDSKEGSPTLVMIHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYIASKYALKHPEHVQHLILVGPAGFSSESDTKFQWVTQFKATWKGAIFNHLWESNFTPQKLVRGLGPLGPNLVRSYTSTRFGSYSTGTTLTEEESGLLTDYVYHTLAAKASGELCLKYIFSFGAFARSPLIHSAPEWKVPTTFIYGYLDWMNYQGAQEAGKHMKVPCEIIRVPEAGHFVFIDQPDRFHSAVLYACRRFLSPDPDVESLPEGLTSA >ONI32511 pep chromosome:Prunus_persica_NCBIv2:G1:33788662:33792290:1 gene:PRUPE_1G370200 transcript:ONI32511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRRLSSRMADEISRSAQRETSAASSTAAVAAENAKLKSKSIWPSVLRWIPTSTDKIIAAEKRLLSLVKTPYVQERVNIGSGPPGSKVRWFRSESNEERCINTVSFDSKEGSPTLVMIHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYIASKYALKHPEHVQHLILVGPAGFSSESDTKFQWVTQFKATWKGAIFNHLWESNFTPQKLVRGLGPLGPNLVRSYTSTRFGSYSTGTTLTEEESGLLTDYVYHTLAAKASGELCLKYIFSFGAFARSPLIHSAPEWKVPTTFIYGYLDWMNYQGAQEAGKHMKVPCEIIRVPEAGHFVFIDQPDRFHSAVLYACRRFLSPDPDVESLPEGLTSA >ONI29003 pep chromosome:Prunus_persica_NCBIv2:G1:14715117:14718006:1 gene:PRUPE_1G174800 transcript:ONI29003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATGSHTNKTSQKKDRPQIIKLNKALELAKKWVNDMTEPAEDEHFEIQSRPARLGLGAKVPRPSKFVPSDDPLERKLHYKLDAGRRAAAKIAEESAAASAASDDDDDEDLDSRTKAFEKKRPAAPVTPSLGGKKRKKVNHNTLVRS >ONI29004 pep chromosome:Prunus_persica_NCBIv2:G1:14715117:14718006:1 gene:PRUPE_1G174800 transcript:ONI29004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATGSHTNKTSQKKDRPQIIKLNKALELAKKWVNDMTEPAEDEHFEIQSRPARLGLGAKVPRPSKFVPSDDPLERKLHYKLDAGRRAAAKIAEESAAASAASDDDDDEDLDSRTKAFEKKRPAAPVTPSLGGKKRKKVNHNTLVRS >ONI26964 pep chromosome:Prunus_persica_NCBIv2:G1:4174069:4176139:-1 gene:PRUPE_1G058800 transcript:ONI26964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIKAVAFLSTHDLRRRPPPRSHYRDLRCCSPQCRGIHGPAPAFFPLLSNFGPRFLTYGRLLSLRTIINLAWYNIPFCHKKCAVIIWEKKHLIEELYFSHVI >ONI26963 pep chromosome:Prunus_persica_NCBIv2:G1:4174083:4176139:-1 gene:PRUPE_1G058800 transcript:ONI26963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIKAVAFLSTHDLRRRPPPRSHYRDLRCCSPQWGIHGPAPAFFPLLSNFGPRFLTYGRLLSLRTIINLAWYNIPFCHKKCAVIIWEKKHLIEELYFSHVI >ONI27453 pep chromosome:Prunus_persica_NCBIv2:G1:6528714:6530392:1 gene:PRUPE_1G087600 transcript:ONI27453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSNPINKSCSPGDVEQKPFPCLGLCNNRVLALSSLRSQCLLRFSYRLWLLVPRWHRFLVSSDFTLVTGSVWWYLSLRAPFFAVGLWCPSGFVFSFFPTSS >ONI34024 pep chromosome:Prunus_persica_NCBIv2:G1:38446174:38448308:1 gene:PRUPE_1G459100 transcript:ONI34024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELMNFSKMEDQKAIQEAASQGLKSMEHLIRFLSHQQQTNQSSRLDCTDITDHTVSKFKKVISLLNRTGHARFRRGPVQPDPPVQFPSSSSHPSYLQTLSLAPALNPRPSPAPAPVTTPAIVPPAPIESSYVQSQPHSMTLDFTRPNVFASNPKSTEIEFAKDSFSVSSSSSFMSSAITGDGSVSNGKQGSSIFLATAPAVSGAKPPLSTAPIKKRCHEHDHHSDDASCKYSGSGSASGSGKCHCSKRRKNRVKKTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERAPDDPAMLIVTYEGEHRHAPENVGLVFEST >ONI27706 pep chromosome:Prunus_persica_NCBIv2:G1:8007163:8007975:1 gene:PRUPE_1G100600 transcript:ONI27706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFAISCFLLLLASSTLFITIQGAQPYQQIHQPKSSAINLGWVSAVLSHKGYRAMALTLETVLEPLIRTQFINHSTTLTLFCPQDQAFFNSKYPQPPPLTLLKYHIVPFKIDPHTMEASFHLGSKVETLLPGHPLVVTSLPGTGASNPSLNQVKVTDWVIFNNGRLIVHGVENFFDPEYDVVIAKEVPSRGFSDF >ONI33116 pep chromosome:Prunus_persica_NCBIv2:G1:35697315:35700913:-1 gene:PRUPE_1G406400 transcript:ONI33116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAALSTLISSKNLPPHHCASSSSSSLSRSIWLHPKPLSPPSSFLAPIAPAKSLSSSSIGLSRSSKIHTANFSSTMASAYKPEAARVPPALPLPTPPVTKFKIGLCQLAVTADKERNIAHARKAIEDAAAKGAQLVLLPEIWNGPYSNDSFPVYAEDIDAGGDASPSTAMLSEVSQRLKITIVGGSIAERSGDRLYNTSCVFGTDGRLLAKHRKIHLFDIDIPGKITFIESKTLTAGQTPTIVDTEVGRIGIGICYDIRFQELAMIYGARGAHLICYPGAFNMTTGPLHWELLQRARAADNQLYVATCSPARDAGAGYVAWGHSTLVGPFGEVLATTEHEETIIIAEVDYSLLELRRTNLPLLKQRRGDLYQLVDVQRLDSQ >ONI30388 pep chromosome:Prunus_persica_NCBIv2:G1:26086989:26088966:-1 gene:PRUPE_1G248300 transcript:ONI30388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKCTMVISGSQLMSYISDFTTICWFMEPEFQEAVRRLHRVVGNAVVDDRYIVVGTGSTQLYQAALYALTSPGGPEPVSVVSASPYYSAYPEETDYLRSGLYKWAGDAYEFDKNGTGPYIEVVNSPNNPDGTLREAVVKNRGDEGKLIHDLAYYWPQYTPIIRPADHDIMTFTFSKSTGHAGSRIGWAVVKDKEVARKMSKFIEMSTLGVSKDSQQRAAKIMGVICDDYKNFKSDELFFEHTRRVMAERWERLRQVVDRNQVFSLPKYPKRYCLFSGELIEPYPGFAWLEAKEEEEEIDSQKVLRGCIKVQGRTGSRFGVAQKYVRVSLLSNEEVFNQFLERLSTINSISNGH >ONI32885 pep chromosome:Prunus_persica_NCBIv2:G1:34879928:34883456:-1 gene:PRUPE_1G391500 transcript:ONI32885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPDFGDHLRVVSITKTASVFPKVVHPPKILSLSNLDRQCPVHMYLVFFYEHENNNLFVDRDSVFRGLKCGLEEALSVWYPAAGRLTLNPSDGNKLNLWCNNKGAILLEAETQVEISELGDLSQYNDFLEKLVYKPVFDGDFSQMPLVVAQVTKFGCGGYSIGIGISHSLFDGPAAYDFMCAWASNSAVIMKQHKALELPNKLPVHDRGTLLMGKFGHEAPKGVGAKLLQNGNDVVPSVLTRAAAVDHLYQLIMQAAAASGDQIKLDQIENNYVHKTFHLSGALIESLKREVFGGDMGGFSCSSFEVVAAHLWKAKTKALGARKETMVCLQFAVDARNKVEPSLPEGFSGNAYVLASVALTAGQVEQATHKAIVEKIREAKSSVTSNYVKAYMEAVAGPQTSLPPIKELTLISDWTRMPFHKVGFLQEAAAYASPLVSPIPQVAYFMQNPRDNRGIDMRVGLLPHYLSAFTRYFLTNK >ONI34697 pep chromosome:Prunus_persica_NCBIv2:G1:41042385:41044940:1 gene:PRUPE_1G493900 transcript:ONI34697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAFSGAAAAATAATTSRRLPASAKLSLSPSSSSSLKLLGSTPIVSRLFLKPKRCSAAPLPSRAFSSAAAAPKCLASDPEQLKLAREDIRELLKTTFSHPILVRLGWHDAGTYNKNIEEWPRRGGANGSLRFEIELKHAANAGLVNALKLIQPLKDKYSDVTYADLFQLASATAVEEAGGPKIPMKYGRVDVSAPEQCPEEGRLPSAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTAQWLKFDNSYFTDIKEKKDEDLLVLPTDGVLFEDPAFKVYAEKYAEDQEAFFKDYAEAHAKLSNLGAKFDPPEGIVIDDGPSQPVPEKFVAAKYSSGKD >ONI34696 pep chromosome:Prunus_persica_NCBIv2:G1:41042227:41045442:1 gene:PRUPE_1G493900 transcript:ONI34696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAFSGAAAAATAATTSRRLPASAKLSLSPSSSSSLKLLGSTPIVSRLFLKPKRCSAAPLPSRAFSSAAAAPKCLASDPEQLKLAREDIRELLKTTFSHPILVRLGWHDAGTYNKNIEEWPRRGGANGSLRFEIELKHAANAGLVNALKLIQPLKDKYSDVTYADLFQLASATAVEEAGGPKIPMKYGRVDVSAPEQCPEEGRLPSAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGAPGGQSWTAQWLKFDNSYFTDIKEKKDEDLLVLPTDGVLFEDPAFKVYAEKYAEDQEAFFKDYAEAHAKLSNLGAKFDPPEGIVIDDGPSQPVPEKFVAAKYSSGKRELSENMKQKIRAEYQAVGGSPDKPLQSNYFLNIIIVIGVLALLTSLVGN >ONI33541 pep chromosome:Prunus_persica_NCBIv2:G1:36958925:36967381:-1 gene:PRUPE_1G431500 transcript:ONI33541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEDCCVKVAVHIRPLIGDEKLQGCKDCVTVVPGKPQVQIGTHSFTFDNVYGSTGSPSSAMFEECVAPLVDGLFHGYNATVLAYGQTGSGKTYTMGTGFRDGCQTGIIPQVMNVLFSKIETLKHQTEFQLHVSFIEILKEEVRDLLDPSFLSKPEGANGHVGKVTAPGKPPIQIRESSNGVITLAGSTELSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKVNPTCSGNNGVSESMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRDPMSSEMLKMRQQLEYLQAELCSRGGGSSSDEIQVLKERITWLEAANEDLCRELHEYRSKCTGVEQLERDGHVGSTCSVKSDGLKRGLQSIESADYQMGEAITAGDSQEIDEEVAKEWEHNILQNTMDKELHELNKRLQQKESEMKFIEGSDTVALKQHFGKKIMELEDEKRAVQQERDRLLGEVENLANSDGQAQKLQDVHSQKLKALEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDSSAVANGNGTHLQSNEKSLQRWLDHELEVMVNVHEVRHEYEKQSQVRAALAEELAMLKQLNEFASKGLSPPRGKNGFARVSSMSPNARMARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMADAKNLLQYMFNSLADTRCQLWEKEMEMDEMKEHLKELVGLLRQSETRRKEVEKELKLREQAVATALATSASADHHQGNSHNSLKHCADDTSGPLSPISVPAQKQLKYTAGIVNGSVRESIAFIDQTRKMVPIGQLPTKKLAVIGQAGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIMRAKPRLQARSDVM >ONI33539 pep chromosome:Prunus_persica_NCBIv2:G1:36958130:36967659:-1 gene:PRUPE_1G431500 transcript:ONI33539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEDCCVKVAVHIRPLIGDEKLQGCKDCVTVVPGKPQVQIGTHSFTFDNVYGSTGSPSSAMFEECVAPLVDGLFHGYNATVLAYGQTGSGKTYTMGTGFRDGCQTGIIPQVMNVLFSKIETLKHQTEFQLHVSFIEILKEEVRDLLDPSFLSKPEGANGHVGKVTAPGKPPIQIRESSNGVITLAGSTELSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKVNPTCSGNNGVSESMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRDPMSSEMLKMRQQLEYLQAELCSRGGGSSSDEIQVLKERITWLEAANEDLCRELHEYRSKCTGVEQLERDGHVGSTCSVKSDGLKRGLQSIESADYQMGEAITGDSQEIDEEVAKEWEHNILQNTMDKELHELNKRLQQKESEMKFIEGSDTVALKQHFGKKIMELEDEKRAVQQERDRLLGEVENLANSDGQAQKLQDVHSQKLKALEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDSSAVANGNGTHLQSNEKSLQRWLDHELEVMVNVHEVRHEYEKQSQVRAALAEELAMLKQLNEFASKGLSPPRGKNGFARVSSMSPNARMARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMADAKNLLQYMFNSLADTRCQLWEKEMEMDEMKEHLKELVGLLRQSETRRKEVEKELKLREQAVATALATSASADHHQGNSHNSLKHCADDTSGPLSPISVPAQKQLKYTAGIVNGSVRESIAFIDQTRKMVPIGQLPTKKLAVIGQAGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIMRAKPRLQARSDVM >ONI33540 pep chromosome:Prunus_persica_NCBIv2:G1:36958925:36967381:-1 gene:PRUPE_1G431500 transcript:ONI33540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEDCCVKVAVHIRPLIGDEKLQGCKDCVTVVPGKPQVQIGTHSFTFDNVYGSTGSPSSAMFEECVAPLVDGLFHGYNATVLAYGQTGSGKTYTMGTGFRDGCQTGIIPQVMNVLFSKIETLKHQTEFQLHVSFIEILKEEVRDLLDPSFLSKPEGANGHVGKVTAPGKPPIQIRESSNGVITLAGSTELSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKVNPTCSGNNGVSESMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRDPMSSEMLKMRQQLEYLQAELCSRGGGSSSDEIQVLKERITWLEAANEDLCRELHEYRSKCTGVEQLERDGHVGSTCSVKSDGLKRGLQSIESADYQMGEAITAGDSQEIDEEVAKEWEHNILQNTMDKELHELNKRLQQKESEMKFIEGSDTVALKQHFGKKIMELEDEKRAVQQERDRLLGEVENLANSDGQAQKLQDVHSQKLKALEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDSSAVANGNGTHLQSNEKSLQRWLDHELEVMVNVHEVRHEYEKQSQVRAALAEELAMLKQLNEFASKGLSPPRGKNGFARVSSMSPNARMARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMADAKNLLQYMFNSLADTRCQLWEKEMEMDEMKEHLKELVGLLRQSETRRKEVEKELKLREQAVATALATSASADHHQGNSHNSLKHCADDTSGPLSPISVPAQKQLKYTAGIVNGSVRESIAFIDQTRKMVPIGQLPTKKLAVIGQAGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIMRAKPRLQARSDVM >ONI33538 pep chromosome:Prunus_persica_NCBIv2:G1:36958043:36967793:-1 gene:PRUPE_1G431500 transcript:ONI33538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEDCCVKVAVHIRPLIGDEKLQGCKDCVTVVPGKPQVQIGTHSFTFDNVYGSTGSPSSAMFEECVAPLVDGLFHGYNATVLAYGQTGSGKTYTMGTGFRDGCQTGIIPQVMNVLFSKIETLKHQTEFQLHVSFIEILKEEVRDLLDPSFLSKPEGANGHVGKVTAPGKPPIQIRESSNGVITLAGSTELSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKVNPTCSGNNGVSESMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRDPMSSEMLKMRQQLEYLQAELCSRGGGSSSDEIQVLKERITWLEAANEDLCRELHEYRSKCTGVEQLERDGHVGSTCSVKSDGLKRGLQSIESADYQMGEAITGDSQEIDEEVAKEWEHNILQNTMDKELHELNKRLQQKESEMKFIEGSDTVALKQHFGKKIMELEDEKRAVQQERDRLLGEVENLANSDGQAQKLQDVHSQKLKALEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDSSAVANGNGTHLQSNEKSLQRWLDHELEVMVNVHEVRHEYEKQSQVRAALAEELAMLKQLNEFASKGLSPPRGKNGFARVSSMSPNARMARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMADAKNLLQYMFNSLADTRCQLWEKEMEMDEMKEHLKELVGLLRQSETRRKEVEKELKLREQAVATALATSASADHHQGNSHNSLKHCADDTSGPLSPISVPAQKQLKYTAGIVNGSVRESIAFIDQTRKMVPIGQLPTKKLAVIGQAGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIMRAKPRLQARSDVM >ONI33542 pep chromosome:Prunus_persica_NCBIv2:G1:36958040:36967707:-1 gene:PRUPE_1G431500 transcript:ONI33542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEDCCVKVAVHIRPLIGDEKLQGCKDCVTVVPGKPQVQIGTHSFTFDNVYGSTGSPSSAMFEECVAPLVDGLFHGYNATVLAYGQTGSGKTYTMGTGFRDGCQTGIIPQVMNVLFSKIETLKHQTEFQLHVSFIEILKEEVRDLLDPSFLSKPEGANGHVGKVTAPGKPPIQIRESSNGVITLAGSTELSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKVNPTCSGNNGVSESMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRDPMSSEMLKMRQQLEYLQAELCSRGGGSSSDEIQVLKERITWLEAANEDLCRELHEYRSKCTGVEQLERDGHVGSTCSVKSDGLKRGLQSIESADYQMGEAITGCHIVAGDSQEIDEEVAKEWEHNILQNTMDKELHELNKRLQQKESEMKFIEGSDTVALKQHFGKKIMELEDEKRAVQQERDRLLGEVENLANSDGQAQKLQDVHSQKLKALEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDSSAVANGNGTHLQSNEKSLQRWLDHELEVMVNVHEVRHEYEKQSQVRAALAEELAMLKQLNEFASKGLSPPRGKNGFARVSSMSPNARMARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMADAKNLLQYMFNSLADTRCQLWEKEMEMDEMKEHLKELVGLLRQSETRRKEVEKELKLREQAVATALATSASADHHQGNSHNSLKHCADDTSGPLSPISVPAQKQLKYTAGIVNGSVRESIAFIDQTRKMVPIGQLPTKKLAVIGQAGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIMRAKPRLQARSDVM >ONI33543 pep chromosome:Prunus_persica_NCBIv2:G1:36958301:36967381:-1 gene:PRUPE_1G431500 transcript:ONI33543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEDCCVKVAVHIRPLIGDEKLQGCKDCVTVVPGKPQVQIGTHSFTFDNVYGSTGSPSSAMFEECVAPLVDGLFHGYNATVLAYGQTGSGKTYTMGTGFRDGCQTGIIPQVMNVLFSKIETLKHQTEFQLHVSFIEILKEEVRDLLDPSFLSKPEGANGHVGKVTAPGKPPIQIRESSNGVITLAGSTELSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKVNPTCSGNNGVSESMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRDPMSSEMLKMRQQLEYLQAELCSRGGGSSSDEIQVLKERITWLEAANEDLCRELHEYRSKCTGVEQLERDGHVCVGSTCSVKSDGLKRGLQSIESADYQMGEAITGDSQEIDEEVAKEWEHNILQNTMDKELHELNKRLQQKESEMKFIEGSDTVALKQHFGKKIMELEDEKRAVQQERDRLLGEVENLANSDGQAQKLQDVHSQKLKALEAQILDLKKKQESQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDSSAVANGNGTHLQSNEKSLQRWLDHELEVMVNVHEVRHEYEKQSQVRAALAEELAMLKQLNEFASKGLSPPRGKNGFARVSSMSPNARMARISSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMADAKNLLQYMFNSLADTRCQLWEKEMEMDEMKEHLKELVGLLRQSETRRKEVEKELKLREQAVATALATSASVRILGNSHNSLKHCADDTSGPLSPISVPAQKQLKYTAGIVNGSVRESIAFIDQTRKMVPIGQLPTKKLAVIGQAGKLWRWKRSHHQWLVQFKWKWQKPWRLSEWIRHSDETIMRAKPRLQARSDVM >ONI35413 pep chromosome:Prunus_persica_NCBIv2:G1:43682540:43688265:1 gene:PRUPE_1G534400 transcript:ONI35413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNPQPLQARPFEEHGRGPIPIEDDEAEYEDGGDDGMEDMEEVHVNSVSVAERGGVGGGGGGGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDVPTGVPTVEVSYDQNTRGVADTPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMLRKNGQFASLKQNSGDSGWDSAQSGLQDGTSRPETVLRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNLTMDHIEPGTPIEVKPLLVEGEFSGNQDEHGTLEGSSKTVIERSNDASVNLDEQDLHETAEDLTNSLPMGIVSSANDEQEPLVELTNPSDTDLEIPTNFD >ONI27315 pep chromosome:Prunus_persica_NCBIv2:G1:5770069:5778823:1 gene:PRUPE_1G079300 transcript:ONI27315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESPIRMSETSGKWASHKKAAKITPSSANMAAEELKLLLRGHRLHSSEKDASPNRSGSAPPTMEGSFLSIDNLLSQQHSSTTGSLASLSSVIERCESEEQLLADPAYLAYYCANVNLNPRLPPPLISWENRRLVRHIGSFSQNWGPVDDSGNAPLHVSQGSLPTHKEESEDDQSPKQVSSDWVDQTSQIWSEEDAASLVGQHKNAGDLIQEDFGGSPQPVYNHSRTLGNEIPEEFIDQRPVSSSLHDPPINVTAAIRTTMVATSADNTVLSLNDDSSPAPIASSSSLDFTRTTGINDAGVAVIESEMKALNISNMLENKKNQEQWQRSYQNHFPQHQIHQQQNSLSQLQSGKSQIASQGAYIGMDQYLHSTTKFAADVQPLLQTSGFTPPLYATAAAYMSSANPYYSNFQAPGVFPPQYVGGYALNPTGFPPYIGGYHPPGAVPVVVDGTVGPSFNAQTSGVATGGSISPGADMQHLSKFYGQLGFPLQTSFSDPMYMQYHQQPFVESYGVSSQFDSLASRGGLDSKKVSNHATYLDDHKIQQQRNGSLGNLNPQRGGPVSPNYFGSAPNVGILMQYPTSPLSGPVLPVSPISSGRNTGLYSGWPGQRGFDSFDDPKIYNFLEELKSGKGRKFELSDITGHIVEFSADQHGSRFIQQKLENCSAEEKASVFKEVLPHASKLMTDVFGNYVIQKFFEYGSSQQRKELAKQLSGQILPLSLQMYGCRVIQKALEVIEIEQKVQLVHELDGHVMRCVRDQNGNHVIQKCIESIPTEKIGFIISAFHGQVATLSMHPYGCRVIQRVLEHCTDELQCQFIVDEILESVCALAQDQYGNYVTQHVLERGKPHERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGGAAERERLVREIVGHNEGNENLLVMMKDQFANYVIQKALEICTDSQRVILINRIRAHTHALKKYTYGKHIVSRFEQLFGEENQSGS >ONI27316 pep chromosome:Prunus_persica_NCBIv2:G1:5770069:5778823:1 gene:PRUPE_1G079300 transcript:ONI27316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESPIRMSETSGKWASHKKAAKITPSSANMAAEELKLLLRGHRLHSSEKDASPNRSGSAPPTMEGSFLSIDNLLSQQHSSTTGSLASLSSVIERCESEEQLLADPAYLAYYCANVNLNPRLPPPLISWENRRLVRHIGSFSQNWGPVDDSGNAPLHVSQGSLPTHKEESEDDQSPKQVSSDWVDQTSQIWSEEDAASLVGQHKNAGDLIQEDFGGSPQPVYNHSRTLGNEIPEEFIDQRPVSSSLHDPPINVTAAIRTTMVATSADNTVLSLNDDSSPAPIASSSSLDFTRTTGINDAGVAVIESEMKALNISNMLENKKNQEQWQRSYQNHFPQHQIHQQQNSLSQLQSGKSQIASQGAYIGMDQYLHSTTKFAADVQPLLQTSGFTPPLYATAAAYMSSANPYYSNFQAPGVFPPQYVGGYALNPTGFPPYIGGYHPPGAVPVVVDGTVGPSFNAQTSGVATGGSISPGADMQHLSKFYGQLGFPLQTSFSDPMYMQYHQQPFVESYGVSSQFDSLASRGGLDSKKVSNHATYLDDHKIQQQRNGSLGNLNPQRGGPVSPNYFGSAPNVGILMQYPTSPLSGPVLPVSPISSGRNTGLYSGWPGQRGFDSFDDPKIYNFLEELKSGKGRKFELSDITGHIVEFSADQHGSRFIQQKLENCSAEEKASVFKEVLPHASKLMTDVFGNYVIQKFFEYGSSQQRKELAKQLSGQILPLSLQMYGCRVIQKALEVIEIEQKVQLVHELDGHVMRCVRDQNGNHVIQKCIESIPTEKIGFIISAFHGQVATLSMHPYGCRVIQRVLEHCTDELQCQFIVDEILESVCALAQDQYGNYVTQHVLERGKPHERSQIISKLSGHIVQLSQHKFASNVVEKCLEYGGAAERERLVREIVGHNEGNENLLVMMKDQFANYVIQKALEICTDSQRVILINRIRAHTHALKKYTYGKHIVSRFEQLFGEENQSGS >ONI26767 pep chromosome:Prunus_persica_NCBIv2:G1:3156760:3157381:1 gene:PRUPE_1G044200 transcript:ONI26767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLYKNFIFMVLGGLFMTIHVKSRVGHLHEKLSTLTIQKRPRQLIFFFLLLLFSTAAAHLSLSLSLSSPRSARAYHLVESCRLVHSALFSLYLRH >ONI27454 pep chromosome:Prunus_persica_NCBIv2:G1:6532178:6532777:1 gene:PRUPE_1G087700 transcript:ONI27454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRTRGRQKIDIKKIENEDDRLITFSKRRSGIYKKASELAALCGAEVGVVVFSPKGKPYSYGSPGIEYVANRFLNVVADQNADERISHGILEVYRQARVYEVNENHNELVGQLEAVKEQSKALQQLVEERGSGEQQCWWEPALHNQDLQGVKNIHESFKGLVNHVCTHLKLRYGSSSNSFDIGIASSSAALPFHAAT >ONI29865 pep chromosome:Prunus_persica_NCBIv2:G1:23143014:23150467:1 gene:PRUPE_1G217900 transcript:ONI29865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKEVALHSNGFIHQPRPLNDDESWELFEKIAIFGREGITFEVSTKMKKLGKKMLQHCVGLPLAIIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSSLNELVERCMVQIGERGSIRKIKSCRLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTAIEATLKGKVRRLAIYVDDNVDKLVPSSYERDDRLRSLLYFGPRYWMPNNNKLVSPIFKDFKLLRVLKVEGIKLLVKLPNEIGNMVHLRFLSLRHSFINWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRTLAIQVTSPLKNLEETLESTSSTLDRIQSLYVHNLLGIRSGTEVAQIVLSCRHIYKLDLNGRTVQLPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLCLMEETFEDGLDTLVFSKGSFLQLESLSLICMYELRDFTVEKGAMPSLHRLCMQRCMGLTTLPDGLRHITTLWELSFTEMSRTFHSRLQVGGDDFYKIQHVPSIVFANMQED >ONI29864 pep chromosome:Prunus_persica_NCBIv2:G1:23143014:23150467:1 gene:PRUPE_1G217900 transcript:ONI29864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKEVALHSNGFIHQPRPLNDDESWELFEKIAIFGREGITFEVSTKMKKLGKKMLQHCVGLPLAIIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSSLNELVERCMVQIGERGSIRKIKSCRLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTAIEATLKGKVRRLAIYVDDNVDKLVPSSYERDDRLRSLLYFGPRYWMPNNNKLVSPIFKDFKLLRVLKVEGIKLLVKLPNEIGNMVHLRFLSLRHSFINWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRTLAIQVTSPLKNLEETLESTSSTLDRIQSLYVHNLLGIRSGTEVAQIVLSCRHIYKLDLNGRTVQLPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLCLMEETFEDGLDTLVFSKGSFLQLESLSLICMYELRDFTVEKGAMPSLHRLCMQRCMGLTTLPDGLRHITTLWELSFTEMSRTFHSRLQVGGDDFYKIQHVPSIVFANMQED >ONI29866 pep chromosome:Prunus_persica_NCBIv2:G1:23143014:23150467:1 gene:PRUPE_1G217900 transcript:ONI29866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKEVALHSNGFIHQPRPLNDDESWELFEKIAIFGREGITFEVSTKMKKLGKKMLQHCVGLPLAIIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSSLNELVERCMVQIGERGSIRKIKSCRLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTAIEATLKGKVRRLAIYVDDNVDKLVPSSYERDDRLRSLLYFGPRYWMPNNNKLVSPIFKDFKLLRVLKVEGIKLLVKLPNEIGNMVHLRFLSLRHSFINWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRTLAIQVTSPLKNLEETLESTSSTLDRIQSLYVHNLLGIRSGTEVAQIVLSCRHIYKLDLNGRTVQLPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLCLMEETFEDGLDTLVFSKGSFLQLESLSLICMYELRDFTVEKGAMPSLHRLCMQRCMGLTTLPDGLRHITTLWELSFTEMSRTFHSRLQVGGDDFYKIQHVPSIVFANMQED >ONI29867 pep chromosome:Prunus_persica_NCBIv2:G1:23143014:23149889:1 gene:PRUPE_1G217900 transcript:ONI29867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKEVALHSNGFIHQPRPLNDDESWELFEKIAIFGREGITFEVSTKMKKLGKKMLQHCVGLPLAIIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSSLNELVERCMVQIGERGSIRKIKSCRLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTAIEATLKGKVRRLAIYVDDNVDKLVPSSYERDDRLRSLLYFGPRYWMPNNNKLVSPIFKDFKLLRVLKVEGIKLLVKLPNEIGNMVHLRFLSLRHSFINWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRTLAIQVTSPLKNLEETLESTSSTLDRIQSLYVHNLLGIRSGTEVAQIVLSCRHIYKLDLNGRTVQLPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLCLMEETFEDGLDTLVFSKGSFLQLESLSLICMYELRDFTVEKGAMPSLHRLCMQRCMGLTTLPDGLRHITTLWELSFTEMSRTFHSRLQVGGDDFYKIQHVPSIVFANMQED >ONI29863 pep chromosome:Prunus_persica_NCBIv2:G1:23143014:23150467:1 gene:PRUPE_1G217900 transcript:ONI29863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIVSFVIERLGEFIIQDAKFLFGVSDKVEFAQTELQLMQGFLKDADLRQRDDETVRVWVAQIRKAAYDLEDVIEIFILRVATKKRGMKHVLKRFGCVLKEGVDLHKIGSQIENVTTQLSNLRSSLQTYNIKEIRNSRGATSLYERQQQVRRTYSHIIERDVVGLENSAEELVKHLVKKEFSHRIVSIWGMGGLGKTTLAKQIYRHNEVRRHFGCFVWICISQQFQVRSVWEEILIKLISATTAQREEFAKLRDDEIAKKIYLLQQKSRCLVVLDDIWSIEAWESLKAAFPLYDAETESRILLTTRNKEVALHSNGFIHQPRPLNDDESWELFEKIAIFGREGITFEVSTKMKKLGKKMLQHCVGLPLAIIVLAGLLARKDTINEWETVLKNVYAYIRRGKDHEHEVTGTSWVLSLSYDNLPYYLKPCFLYLGHFPEDFEISVKRLTQLWMAEGLISLVQQRQGSMETMEEIAFSSLNELVERCMVQIGERGSIRKIKSCRLHDLMRDLCLLKAEEENFLQTVNLSHRETMYALPSPTAIEATLKGKVRRLAIYVDDNVDKLVPSSYERDDRLRSLLYFGPRYWMPNNNKLVSPIFKDFKLLRVLKVEGIKLLVKLPNEIGNMVHLRFLSLRHSFINWLPSSLGNLICMQTLDLRINGTNVVPDVFWMMEQLRHLYLPFYYTARGKKLRLSTLHDLQTLHHVSSLCCDLNDLTQLTSLRTLAIQVTSPLKNLEETLESTSSTLDRIQSLYVHNLLGIRSGTEVAQIVLSCRHIYKLDLNGRTVQLPDLQHFPNLTKLTLCRCDLKANQMAVLEKQPNLKTLCLMEETFEDGLDTLVFSKGSFLQLESLSLICMYELRDFTVEKGAMPSLHRLCMQRCMGLTTLPDGLRHITTLWELSFTEMSRTFHSRLQVGGDDFYKIQHVPSIVFANMQED >ONI30309 pep chromosome:Prunus_persica_NCBIv2:G1:25717658:25718470:1 gene:PRUPE_1G243300 transcript:ONI30309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVDATDRGDLARKCNLGISYLQGLIFPVYFFSEFIVDHNHRIEIGGFEQRVIEVSNCIACTHLEILRLPIHLCKFLNVIPLSVFCFLVFW >ONI31210 pep chromosome:Prunus_persica_NCBIv2:G1:29425701:29427034:-1 gene:PRUPE_1G298800 transcript:ONI31210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIVLLCEKLPLLLKGNNKETDINAFPDNVENCTYSDHHVIYTHIAGDEPEKWSGSESGWTMYIGSPIHTQTNDVYQISDPKEGGEKNSDKKAILEYFDDDESDDSMASDASSGPISSHHELVLPCEVGEGEGRLRQAAKQDQHGNYFKCSSGKKVGYRKLKKRDERLGIKEEKEEEFLHKADSAGSHV >ONI31211 pep chromosome:Prunus_persica_NCBIv2:G1:29426246:29426698:-1 gene:PRUPE_1G298800 transcript:ONI31211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQVVGDEPEKWSGSESGWTMYIGSPIHTQTNDVYQISDPKEGGEKNSDKKAILEYFDDDESDDSMASDASSGPISSHHELVLPCEVGEGEGRLRQAAKQDQHGNYFKCSSGKKVGYRKLKKRDERLGIKEEKEEEFLHKADSAGSHV >ONI32054 pep chromosome:Prunus_persica_NCBIv2:G1:32395223:32399195:1 gene:PRUPE_1G346600 transcript:ONI32054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDLINLNLSDSTEKIIAEYIWIGGSGLDLRSKARTLPGPVTDPSKLPKWNYDGSSTDQAPGDDSEVILYPQAIFKDPFRRGKNVLVICDAYTPAGVPIPTNKRFNAAKIFSHPDVVAEEPWYGIEQEYTLLQKDTKWPLGWPVGGFPGPQGPYYCGAGADKAFGRDIVDSHYKACLFAGINVSGINAEVMPGQWEFQVGPTVGIAAGDQLWAARYILERITEIAGVILSLDPKPIQGDWNGAGAHTNYSTKSMRNDGGIDVIKKAIEKLSLCHKEHIAAYGKGNERRLTGRHETADIHTFSWGVANRGASVRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIAETTILSKS >ONI26064 pep chromosome:Prunus_persica_NCBIv2:G1:229978:230807:1 gene:PRUPE_1G002200 transcript:ONI26064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLLGCQYGLQIRWAFVKLCSQDSVWRHDFSSYMVREYYRVYIHTHDIWVVSFSVQHIEFLGKDWTCFAALELILWLTELLLESNTKFGSSCKDQEREADKSLPMPQKITRLIYNLWTASLWHGKGSFTLPHSCSLQPEPILVFDFESNFSQPQDQSQGYKSGL >ONI28706 pep chromosome:Prunus_persica_NCBIv2:G1:12342903:12343240:1 gene:PRUPE_1G156100 transcript:ONI28706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEKKVERKTFCYHTKVDAHTLITSQGGLSDFKFNTVFFILFDAFFILNLLYMGLCALRSTVH >ONI30176 pep chromosome:Prunus_persica_NCBIv2:G1:24889703:24890108:-1 gene:PRUPE_1G235000 transcript:ONI30176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGLSWADQWDNNPDPPPPGSSENDKKKGKDGSKSSFGKAILSFKWVEKLRKKSAKESDS >ONI27021 pep chromosome:Prunus_persica_NCBIv2:G1:4513594:4523376:1 gene:PRUPE_1G063500 transcript:ONI27021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKLSEGKDPWLTSVNNHIGRQYWEFDPNLGTPEERAQVEKARHEFRKNRFQSKHSSDLLMRLQFAKEKACEMKQVPAQVKARSDEEEISEEAVGITLRRALSFYSTLQAEDGFWPGDYGGPLFLLPGLVIGLSVTGALHAILPHEHQQEICRYLYNHQNMDGGWGLHIEGPSTMFCTALSYVTLRLLGEEMDGGDGAMDRARKWILDRGGVTFIPSWGKMWLSVLGVYEWSGNNPLPPELWLLPYSLPIHPGRMWCHCRMVYLPMSYLYGKRFVGPINGLVLSLRRELYTFPYHLIDWDQARNLCAKEDLYYPHPILQDILWGSLQKVGEPLLMKWPFSRLRQKALRTVMQHIHYEDENTQYICIGPVNKVLNMICCWVEDPNSKAYRNHLSRIKDYLWVAEDGMKMQGYNGSQFWDVALAVQAILATNLVNESGFVLQKAHNFIKNTQVKANSSGNLSYWYRHISKGGWPFSTPDNGWPVSDCTAEGLKNSTGGFASYELTRSYAWLEMINPAETFGDIIIDYQYVECTSAAIEGLKSFMKLYPGHRRKEIEACIVKAVKFIESIQLPDGSWYGSWGVCYTYGTWFGIKGLVAGGKTYHTSHSIRRACDFLLSKQLGSGGWGESYLSCQNKVYTNLEGNKPHIVNTGWAMLGLIEAGQAERDPIPLHRAAKLLINSQMENGDFPQQQIMGVFNKNCMISYSAYRNIFPIWALGEYSNRVLALLPYKCINQITRK >ONI27020 pep chromosome:Prunus_persica_NCBIv2:G1:4513594:4523376:1 gene:PRUPE_1G063500 transcript:ONI27020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKLSEGKDPWLTSVNNHIGRQYWEFDPNLGTPEERAQVEKARHEFRKNRFQSKHSSDLLMRLQFAKEKACEMKQVPAQVKARSDEEEISEEAVGITLRRALSFYSTLQAEDGFWPGDYGGPLFLLPGLVIGLSVTGALHAILPHEHQQEICRYLYNHQNMDGGWGLHIEGPSTMFCTALSYVTLRLLGEEMDGGDGAMDRARKWILDRGGVTFIPSWGKMWLSVLGVYEWSGNNPLPPELWLLPYSLPIHPGRMWCHCRMVYLPMSYLYGKRFVGPINGLVLSLRRELYTFPYHLIDWDQARNLCAKEDLYYPHPILQDILWGSLQKVGEPLLMKWPFSRLRQKALRTVMQHIHYEDENTQYICIGPVNKVLNMICCWVEDPNSKAYRNHLSRIKDYLWVAEDGMKMQGYNGSQFWDVALAVQAILATNLVNESGFVLQKAHNFIKNTQVKANSSGNLSYWYRHISKGGWPFSTPDNGWPVSDCTAEGLKAALLLSLMPSEIVGEAIQADQLYDAVNVILSLQNSTGGFASYELTRSYAWLEMINPAETFGDIIIDYQYVECTSAAIEGLKSFMKLYPGHRRKEIEACIVKAVKFIESIQLPDGSWYGSWGVCYTYGTWFGIKGLVAGGKTYHTSHSIRRACDFLLSKQLGSGGWGESYLSCQNKVYTNLEGNKPHIVNTGWAMLGLIEAGQAERDPIPLHRAAKLLINSQMENGDFPQQQIMGVFNKNCMISYSAYRNIFPIWALGEYSNRVLALLPYKCINQITRK >ONI32124 pep chromosome:Prunus_persica_NCBIv2:G1:32589789:32592430:-1 gene:PRUPE_1G349600 transcript:ONI32124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATAAAGATPHPSSFPHLFSNSIIKSRHSISVTRNSINPSSPNTPNPTWTASEIADAVNGRIVKWGPPGTVSTDTRTLQPNQWFFAIAGLNFDAHDFITLELSRNKGCAGVIGNRVCENWDKGFVQFDGNTVISLTKMASYARNRFHGEVVGVTGSVGKTSTKCMVALALQIQSSRGLVLHVHQSRGNWNSEIGVALSLIGVPAGTGIVVLELGMSGKGEILELARMARPTIRVILNVGASHFQNFSSLKEIGMAKGEILAEAKPGDVCVLNADDPLVMSLPVPLGVKKVLFGQRVGCDVRLVASESTDGGLGVRVVLQNHQEMVEFVIASPGLHLALNACAAAAVATLLGVSLSEIGNRLSAFSPVHMRSELEVSRNGIKIVNDAYNANPVSTKAAIDLLESIDIDCGGKRIVVLGDMLELGAIELESHKMILKCCCCSAHIDLVGLVGRRFCAAAKNLNLLEDRNIVYALDSETFAQEIVKRVSYNDVVLVKGSRAMQMEKVVNAIKAMEC >ONI30563 pep chromosome:Prunus_persica_NCBIv2:G1:26828458:26833494:1 gene:PRUPE_1G258700 transcript:ONI30563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGHAPPPKQEELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTFLVPQMGGGHGEKAKMIQTILFVAGLNTLLQTFFGTRLPAVIGASYTYVPTTISIILAGRYSDVLNPEEKFEKIMRGTQGALIVASTLQIVVGFSGLWRNVARFISPLSAVPLVALSGFGLYEFGFPVFAKCVEIGLPQLIILLIFSQYIPHLMRSETLFFDRFAVLFSVAIVWVYAHLLTVGGAYKNTGPTTQLTCRTDRAGIIGAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGGFIAVSRYASATPLPPSILSRGVGWQGVGILFCGIFGTGSGSSVSVENAGLLALTRVGSRRVVQISASFMIFFSILGKFGAVFASIPAPIIAALYCFFFAYVGSAGLTLLQYCNLNSFRTKFILGFSIYMGLSIPQYFNEYTLVKGYGPVHTGARWFNDMVNVPFSSEAFVAGFLGLFLDITLHRNDNATRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >ONI30561 pep chromosome:Prunus_persica_NCBIv2:G1:26828852:26833519:1 gene:PRUPE_1G258700 transcript:ONI30561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGHAPPPKQEELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTFLVPQMGGGHGEKAKMIQTILFVAGLNTLLQTFFGTRLPAVIGASYTYVPTTISIILAGRYSDVLNPEEKFEKIMRGTQGALIVASTLQIVVGFSGLWRNVARFISPLSAVPLVALSGFGLYEFGFPVFAKCVEIGLPQLIILLIFSQYIPHLMRSETLFFDRFAVLFSVAIVWVYAHLLTVGGAYKNTGPTTQLTCRTDRAGIIGAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGGFIAVSRYASATPLPPSILSRGVGWQGVGILFCGIFGTGSGSSVSVENAGLLALTRVGSRRVVQISASFMIFFSILGKFGAVFASIPAPIIAALYCFFFAYVGSAGLTLLQYCNLNSFRTKFILGFSIYMGLSIPQYFNEYTLVKGYGPVHTGARWFNDMVNVPFSSEAFVAGFLGLFLDITLHRNDNATRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >ONI30562 pep chromosome:Prunus_persica_NCBIv2:G1:26828524:26833494:1 gene:PRUPE_1G258700 transcript:ONI30562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGHAPPPKQEELQPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTFLVPQMGGGHGEKAKMIQTILFVAGLNTLLQTFFGTRLPAVIGASYTYVPTTISIILAGRYSDVLNPEEKFEKIMRGTQGALIVASTLQIVVGFSGLWRNVARFISPLSAVPLVALSGFGLYEFGFPVFAKCVEIGLPQLIILLIFSQYIPHLMRSETLFFDRFAVLFSVAIVWVYAHLLTVGGAYKNTGPTTQLTCRTDRAGIIGAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGGFIAVSRYASATPLPPSILSRGVGWQGVGILFCGIFGTGSGSSVSVENAGLLALTRVGSRRVVQISASFMIFFSILGKFGAVFASIPAPIIAALYCFFFAYVGSAGLTLLQYCNLNSFRTKFILGFSIYMGLSIPQYFNEYTLVKGYGPVHTGARWFNDMVNVPFSSEAFVAGFLGLFLDITLHRNDNATRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >ONI35667 pep chromosome:Prunus_persica_NCBIv2:G1:44894235:44897549:-1 gene:PRUPE_1G549100 transcript:ONI35667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGPSSPLLTPYKMGKFNLSHRVVLAPLTRQRSYGNVPQPHAILYYSQRTSNGGLLIAEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKGGVFFCQIWHVGRVSNSGYQPNGQAPISSSDKPLTPQLRSNGIDVAEFTPPRRLRTDEIPQIVNDFRLAARNAIEAGFDGVEIHGAHGYLLDQFMKDQVNDRTDEYGGSLENRCRFALEVVEAVANEIGADKVGIRLSPFANYMESGDSDPKALGLYMANSLNKYGILYCHMVEPRMRTVGEKCACPHSLVPMRKAFNGTFIAAGGFDREDGNNAVAEGRADLIAFGRWFLANPDLPKRFELNAPLNKYNRETFYVSDPVIGYTDYPFLETTA >ONI31154 pep chromosome:Prunus_persica_NCBIv2:G1:29275902:29277405:1 gene:PRUPE_1G296200 transcript:ONI31154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPISFRSRLDCEGRQIIFTRWFRRRLVGVVVLSRCSRICALLLHWHGGFVVRWWSCLDFALVCKVLVSIVRA >ONI35884 pep chromosome:Prunus_persica_NCBIv2:G1:45653372:45658095:-1 gene:PRUPE_1G559200 transcript:ONI35884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKPTTSMRCHHCAGPLSKEMETSGWTVPPLIRDSFSMIGSAVGGTASAFYGFNHVMPVVRRWVKGPMWLHFFIGAPPVIVFSSACAGLAGQ >ONI35885 pep chromosome:Prunus_persica_NCBIv2:G1:45654289:45659127:-1 gene:PRUPE_1G559200 transcript:ONI35885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKPTTSMRCHHCAGPLSKEMETSGWTVPPLIRDSFSMIGSAVGGTASAFYGFNHVMPVVRRWVKGPMWLHFFIGAVELLTKKNKRVWLLNEEAIPNDVM >ONI35882 pep chromosome:Prunus_persica_NCBIv2:G1:45652117:45659121:-1 gene:PRUPE_1G559200 transcript:ONI35882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKPTTSMRCHHCAGPLSKEMETSGWTVPPLIRDSFSMIGSAVGGTASAFYGFNHVMPVVRRWVKGPMWLHFFIGAPPVIVFSSACAGLAGGAVPALAQLASSSYRAVVSSSSLPPTSEDEKIHKSRTSSTL >ONI35883 pep chromosome:Prunus_persica_NCBIv2:G1:45651976:45659190:-1 gene:PRUPE_1G559200 transcript:ONI35883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKPTTSMRCHHCAGPLSKEMETSGWTVPPLIRDSFSMIGSAVGGTASAFYGFNHVMPVVRRWVKGPMWLHFFIGAPPVIVFSSACAGLAGGAVPALAQLASSSYRAVVSSSSLPPTSEDEKIHKSRTSSTL >ONI35881 pep chromosome:Prunus_persica_NCBIv2:G1:45652117:45659127:-1 gene:PRUPE_1G559200 transcript:ONI35881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKPTTSMRCHHCAGPLSKEMETSGWTVPPLIRDSFSMIGSAVGGTASAFYGFNHVMPVVRRWVKGPMWLHFFIGAPPVIVFSSACAGLAVVSSSSLPPTSEDEKIHKSRTSSTL >ONI26500 pep chromosome:Prunus_persica_NCBIv2:G1:2035399:2038507:1 gene:PRUPE_1G028800 transcript:ONI26500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKPPGEEISHKSTLAILNKVSNYSWESKAVLALAAFALEYGEFWLLAKIHQSDLLAKSVAILKRVPVLLKPADLQKRRQAVVELNVLIKTTLQVIECIFELEKLSTYDPKDVPALAIAMDHIPVDVYWSIITIVACATKITLLTSDEEKHYDLSQFAQKIHYILNKLKIQLLICKKQIEEAETYRKLRKLFQTPAEVMEVFKALIFTKDTVQPIIDGSTNKTVNIDVLRRKYVLLFISTLDISDDDISILKPVYEGTKKEDKYKIVWIPIVEHWTDELRKKFELLRAKMPWYTVQYFAPVAGIRFIKEEWHFKGKPAVVVMNPQGKVENTNALHLIRIHGMKAFPFHKGIEDKITNDREWITPIVNDIHPSIQTWIKEEKFIFFYGGKDNDWIQQFTKKATIIANDPSIKDLKINIELFCVGKSPKGGEDLGVLGRFWNGIESLFFTNVNKQTDTVTKEIQKLLSYKNESGWAVLSKGSTVVVSGHGFTILKVLDDFDTWKTFIKEKGFELAFKAHYEKVIQTMKHCSRLDIPTVAGKVPETMKCPECPRTMETYVSYKCCHTDGPINAHH >ONI26497 pep chromosome:Prunus_persica_NCBIv2:G1:2033813:2038506:1 gene:PRUPE_1G028800 transcript:ONI26497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIAQNAVSKVTSAVTNTAHHIEGELSLFTMSDNKILELIYATHVHEDDSFDVDSLFLVTENIIKRSTQIVDSIVQGTQVHVDTIDEKPPKASFSSPLCTLKSIGCEMSCKPPGEEISHKSTLAILNKVSNYSWESKAVLALAAFALEYGEFWLLAKIHQSDLLAKSVAILKRVPVLLKPADLQKRRQAVVELNVLIKTTLQVIECIFELEKLSTYDPKDVPALAIAMDHIPVDVYWSIITIVACATKITLLTSDEEKHYDLSQFAQKIHYILNKLKIQLLICKKQIEEAETYRKLRKLFQTPAEVMEVFKALIFTKDTVQPIIDGSTNKTVNIDVLRRKYVLLFISTLDISDDDISILKPVYEGTKKEDKYKIVWIPIVEHWTDELRKKFELLRAKMPWYTVQYFAPVAGIRFIKEEWHFKGKPAVVVMNPQGKVENTNALHLIRIHGMKAFPFHKGIEDKITNDREWITPIVNDIHPSIQTWIKEEKFIFFYGGKDNDWIQQFTKKATIIANDPSIKDLKINIELFCVGKSPKGGEDLGVLGRFWNGIESLFFTNVNKQTDTVTKEIQKLLSYKNESGWAVLSKGSTVVVSGHGFTILKVLDDFDTWKTFIKEKGFELAFKAHYEKVIQTMKHCSRLDIPTVAGKVPETMKCPECPRTMETYVSYKCCHTDGPINAHH >ONI26498 pep chromosome:Prunus_persica_NCBIv2:G1:2033813:2038506:1 gene:PRUPE_1G028800 transcript:ONI26498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIAQNAVSKVTSAVTNTAHHIEGELSLFTMSDNKILELIYATHVHEDDSFDVDSLFLVTENIIKRSTQIVDSIVQMSCKPPGEEISHKSTLAILNKVSNYSWESKAVLALAAFALEYGEFWLLAKIHQSDLLAKSVAILKRVPVLLKPADLQKRRQAVVELNVLIKTTLQVIECIFELEKLSTYDPKDVPALAIAMDHIPVDVYWSIITIVACATKITLLTSDEEKHYDLSQFAQKIHYILNKLKIQLLICKKQIEEAETYRKLRKLFQTPAEVMEVFKALIFTKDTVQPIIDGSTNKTVNIDVLRRKYVLLFISTLDISDDDISILKPVYEGTKKEDKYKIVWIPIVEHWTDELRKKFELLRAKMPWYTVQYFAPVAGIRFIKEEWHFKGKPAVVVMNPQGKVENTNALHLIRIHGMKAFPFHKGIEDKITNDREWITPIVNDIHPSIQTWIKEEKFIFFYGGKDNDWIQQFTKKATIIANDPSIKDLKINIELFCVGKSPKGGEDLGVLGRFWNGIESLFFTNVNKQTDTVTKEIQKLLSYKNESGWAVLSKGSTVVVSGHGFTILKVLDDFDTWKTFIKEKGFELAFKAHYEKVIQTMKHCSRLDIPTVAGKVPETMKCPECPRTMETYVSYKCCHTDGPINAHH >ONI26499 pep chromosome:Prunus_persica_NCBIv2:G1:2035327:2038507:1 gene:PRUPE_1G028800 transcript:ONI26499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKPPGEEISHKSTLAILNKVSNYSWESKAVLALAAFALEYGEFWLLAKIHQSDLLAKSVAILKRVPVLLKPADLQKRRQAVVELNVLIKTTLQVIECIFELEKLSTYDPKDVPALAIAMDHIPVDVYWSIITIVACATKITLLTSDEEKHYDLSQFAQKIHYILNKLKIQLLICKKQIEEAETYRKLRKLFQTPAEVMEVFKALIFTKDTVQPIIDGSTNKTVNIDVLRRKYVLLFISTLDISDDDISILKPVYEGTKKEDKYKIVWIPIVEHWTDELRKKFELLRAKMPWYTVQYFAPVAGIRFIKEEWHFKGKPAVVVMNPQGKVENTNALHLIRIHGMKAFPFHKGIEDKITNDREWITPIVNDIHPSIQTWIKEEKFIFFYGGKDNDWIQQFTKKATIIANDPSIKDLKINIELFCVGKSPKGGEDLGVLGRFWNGIESLFFTNVNKQTDTVTKEIQKLLSYKNESGWAVLSKGSTVVVSGHGFTILKVLDDFDTWKTFIKEKGFELAFKAHYEKVIQTMKHCSRLDIPTVAGKVPETMKCPECPRTMETYVSYKCCHTDGPINAHH >ONI33712 pep chromosome:Prunus_persica_NCBIv2:G1:37560966:37566926:1 gene:PRUPE_1G442700 transcript:ONI33712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEVVGAEAGSPKPSDHKRKLEDLEPEAQQEIVDLTSDGPDDLNVEPDAANEVDVPPSDESEAKRPRLEDKPDEIANENGYQEEKVEQPEKENEDQLNVDSGHSEHPQPPSVEVTESVKDQQKPEVNEQHYDINGEQSETQKPSENSVAEDAQEPPQEVSQPHYAEEPQQGDAYTSANHNLTHKMEVPNNKVGVLIGKAGDTIRYLQYNSGAKIQITRDSDADPYSATRPVEIIGSSFSISKAEKLINAVIAEADAGGSPSLVARGVATAQAAAAAEQIQIQVPNEKVGLIIGRGGETIKGLQTRSGARIQLIPQHLPEGDESKERTVRVTGDKKQIEVARELIKEVMNQTVRPSPLSSGFNHQGYRPHGPGGPQWGPRGPHLPQQSTYDYPQRGPYPSHNPHYPPAYGSYPQHMGPRSGFGSGWEQRPPPSMQGMPPHGGGYDYYSGQGPDAPVSAQHSAPVPSHVPGPSPNPTMAPPPSQANYNYGQPHGPDYGHPAPYSQTAPPQHSYGHGYEEPKYDNHAPTQHPYGGHGTSQPYPQTGAQPGYGPQQHYGKPQSYGMASQGPAPQSYGPPRAGQPGDATYQGAAPAQSYGPNVPAQQPYPYASSVPAQQTYPTYGSAPTDGYNQPPPVSGSGYPQQGGQPVSYGQPGAQQAPGYAQVAPTAGYTQYASTQQGYTEQSAPNAAGYGYQGSQDPGYGGVSASTYGAPAAVQPGYAQPTTQQSYDQSVPQSAGYGAAPTASAGYGKTVSPQPGYPQYDSSQMYAAAPR >ONI32042 pep chromosome:Prunus_persica_NCBIv2:G1:32332437:32337584:-1 gene:PRUPE_1G345800 transcript:ONI32042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLAFLGCWILLLASILAVDSKSDGLGEWHILTKQNFSSQIRLHPHILLIVTLPWSGESQSFMKDVARLVTDRPEEFSSLKLMLMHRNTEKLLVEAIGATTDAEETTVLYYHHSVSYKYRGRLRPQNVLSSLRPYVSIEPEELLFKSLTTPEDLKAFLDSTDKALLLFEFCEWSSKLLAKRKMNGTDRSGFGVQGDPIGLNFSVEANRSPAHLGKNNQKGMETANMKCGVDYGLGGVPWLGGFSSVNDSASLERSEKMSPGVASFCTRKEYQLFDSFFSKFMTVAREFFLPPERHKFGLVSERSMLSNLGVEDSGSWLAVLYFSGCPSCSKVIKKEDDLKNALQMDNLVVTELEGDGNTLQPAFPANQPSVLLFVDRSSELSETRIKCKEALDAFRELALHYLISQQVDGQPEDKSEMSKVEDYHALRSKSGHPKLKLSQAAQMIKLKDKMSNFMIVNEGKQVTLDKISLDLQGSSLKEILDIVLKQKKKAKLSSLAKELGFQLLSDDMDIKLVNTMPVRTEVQSDQHTQELSKEATITSSVDSDKDQFPQGTSISAEEHLEISEVTGSEISFQNDEEKTAYVDTSKQFLSVDSEQNRADHKLDTAEDLKVEEEISSRVDKSGEQQLHFQGFKGSFFFSDGNDRLLHALTGGSKVPAVVIVDPVAAQHHVLSEETNLSYSSLADFLAEFVNGSLLPYQQSESVLHRSREATQPPFVNLDFHQVDTIPQVTSRTFSELVIGFNQSDTDAWNKDVLVLFSNRWCGFCQRMELVVHEVYRSMKDYVKMLKSGSKNEKTMFHDGKYFLSVVT >ONI32044 pep chromosome:Prunus_persica_NCBIv2:G1:32334032:32337462:-1 gene:PRUPE_1G345800 transcript:ONI32044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLAFLGCWILLLASILAVDSKSDGLGEWHILTKQNFSSQIRLHPHILLIVTLPWSGESQSFMKDVARLVTDRPEEFSSLKLMLMHRNTEKLLVEAIGATTDAEETTVLYYHHSVSYKYRGRLRPQNVLSSLRPYVSIEPEELLFKSLTTPEDLKAFLDSTDKALLLFEFCEWSSKLLAKRKMNGTDRSGFGVQGDPIGLNFSVEANRSPAHLGKNNQKGMETANMKCGVDYGLGGVPWLGGFSSVNDSASLERSEKMSPGVASFCTRKEYQLFDSFFSKFMTVAREFFLPPERHKFGLVSERSMLSNLGVEDSGSWLAVLYFSGCPSCSKVIKKEDDLKNALQMDNLVVTELEGDGNTLQPAFPANQPSVLLFVDRSSELSETRIKCKEALDAFRELALHYLISQQVDGQPEDKSEMSKVEDYHALRSKSGHPKLKLSQAAQMIKLKDKMSNFMIVNEGKQVTLDKISLDLQGSSLKEILDIVLKQKKKAKLSSLAKELGFQLLSDDMDIKLVNTMPVRTEVQSDQHTQELSKEATITSSVDSDKDQFPQGTSISAEEHLEISEVTGSEISFQNDEEKTAYVDTSKQFLSVDSEQNRADHKLDTAEDLKVEEEISSRVDKSGEQQLHFQGFKGSFFFSDGNDRLLHALTGGSKVPAVVIVDPVAAQHHVLSEETNLSYSSLADFLAEFVNGSLLPYQQSESVLHRSREATQPPFVNLDFHQVDTIPQVTSRTFSELVIGFNQSDTDAWNKDVLVLFSNRWCGFCQRMELVVHEVYRSMKDYVKMLKSGSKNEKTMFHDVQIFT >ONI32041 pep chromosome:Prunus_persica_NCBIv2:G1:32332437:32337584:-1 gene:PRUPE_1G345800 transcript:ONI32041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLAFLGCWILLLASILAVDSKSDGLGEWHILTKQNFSSQIRLHPHILLIVTLPWSGESQSFMKDVARLVTDRPEEFSSLKLMLMHRNTEKLLVEAIGATTDAEETTVLYYHHSVSYKYRGRLRPQNVLSSLRPYVSIEPEELLFKSLTTPEDLKAFLDSTDKALLLFEFCEWSSKLLAKRKMNGTDRSGFGVQGDPIGLNFSVEANRSPAHLGKNNQKGMETANMKCGVDYGLGGVPWLGGFSSVNDSASLERSEKMSPGVASFCTRKEYQLFDSFFSKFMTVAREFFLPPERHKFGLVSERSMLSNLGVEDSGSWLAVLYFSGCPSCSKVIKKEDDLKNALQMDNLVVTELEGDGNTLQPAFPANQPSVLLFVDRSSELSETRIKCKEALDAFRELALHYLISQQVDGQPEDKSEMSKVEDYHALRSKSGHPKLKLSQAAQMIKLKDKMSNFMIVNEGKQVTLDKISLDLQGSSLKEILDIVLKQKKKAKLSSLAKELGFQLLSDDMDIKLVNTMPVRTEVQSDQHTQELSKEATITSSVDSDKDQFPQGTSISAEEHLEISEVTGSEISFQNDEEKTAYVDTSKQFLSVDSEQNRADHKLDTAEDLKVEEEISSRVDKSGEQQLHFQGFKGSFFFSDGNDRLLHALTGGSKVPAVVIVDPVAAQHHVLSEETNLSYSSLADFLAEFVNGSLLPYQQSESVLHRSREATQPPFVNLDFHQVDTIPQVTSRTFSELVIGFNQSDTDAWNKDVLVLFSNRWCGFCQRMELVVHEVYRSMKDYVKMLKSGSKNEKTMFHDGDLKDVMLKLPFIYLLDCTLNDCSLILKSMNQREVYPALVLFPAERKNVLPYEGDMAVTEIFKFMADHGSNSHHLISEKGILWTVAKKRGRNQNFFKVQLSDIHEEGPIEKDTLHEVLLTKTHKQVIRDDQAKSHTSQGFNEAALRVVTGSILVATDKLTVHPFDKSEILIVKADQVTGFQGLIINKHIRWDALNELEQGLEMLAEAPLSFGGPLIKGGMPLVALTRRFVKTEYPEVLQGVFFLDQLATIQKIKELKSGNQSVSDYWFFFGYSSWGWDQLFDEIAEGAWNLSDDGLKHLEWPLG >ONI32043 pep chromosome:Prunus_persica_NCBIv2:G1:32334011:32337462:-1 gene:PRUPE_1G345800 transcript:ONI32043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLAFLGCWILLLASILAVDSKSDGLGEWHILTKQNFSSQIRLHPHILLIVTLPWSGESQSFMKDVARLVTDRPEEFSSLKLMLMHRNTEKLLVEAIGATTDAEETTVLYYHHSVSYKYRGRLRPQNVLSSLRPYVSIEPEELLFKSLTTPEDLKAFLDSTDKALLLFEFCEWSSKLLAKRKMNGTDRSGFGVQGDPIGLNFSVEANRSPAHLGKNNQKGMETANMKCGVDYGLGGVPWLGGFSSVNDSASLERSEKMSPGVASFCTRKEYQLFDSFFSKFMTVAREFFLPPERHKFGLVSERSMLSNLGVEDSGSWLAVLYFSGCPSCSKVIKKEDDLKNALQMDNLVVTELEGDGNTLQPAFPANQPSVLLFVDRSSELSETRIKCKEALDAFRELALHYLISQQVDGQPEDKSEMSKVEDYHALRSKSGHPKLKLSQAAQMIKLKDKMSNFMIVNEGKQVTLDKISLDLQGSSLKEILDIVLKQKKKAKLSSLAKELGFQLLSDDMDIKLVNTMPVRTEVQSDQHTQELSKEATITSSVDSDKDQFPQGTSISAEEHLEISEVTGSEISFQNDEEKTAYVDTSKQFLSVDSEQNRADHKLDTAEDLKVEEEISSRVDKSGEQQLHFQGFKGSFFFSDGNDRLLHALTGGSKVPAVVIVDPVAAQHHVLSEETNLSYSSLADFLAEFVNGSLLPYQQSESVLHRSREATQPPFVNLDFHQVDTIPQVTSRTFSELVIGFNQSDTDAWNKDVLVLFSNRWCGFCQRMELVVHEVYRSMKDYVKMLKSGSKNEKTMFHDGKYFLSVVRAQ >ONI33231 pep chromosome:Prunus_persica_NCBIv2:G1:35959918:35966123:1 gene:PRUPE_1G412100 transcript:ONI33231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARRLANQAFVKRLVSEAKQFRQNETVLSSSTSPVLYTPSRYVSSLSPCSFMRTSSRSDSLAGKNVSHNVGYGTGTQTRSISVDALKNSDTFPRRHNSATPDEQTKMAELCGFGSLDSLIDATVPKSIRLESMKFAKFDEGLTESQMLEHMQYLASKNKIFKSFIGMGYYNTYVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNFQTLITDLTGLPMSNASLLDEGTAAAEAMAMCNNIQKGKKKTFVIANNCHPQTIDICKTRADGFDLKVVTADLKDIDYKSGDVCGVLVQYPGTEGEVLDYGEFIKNAHANGVKVVMATDLLALTLLKPPGEFGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKTISQRVHGLAGAFAVGLKKLGTVEVQGLPFFDTVKVKTSDAHAIADAAIKQGINLRVVDTNTITASFDETTTLEDVDKLFKVFALGKPVPFTAASLAPEVQPAIPSGLTRESPYLTHPIFNSYHTEHELLRYIHRLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFSDIHPFAPAEQAAGYQEMLQDLGDLLCTLTGFDSFSLQPNAGAAGEYAGLMVIRAYHFARGDHHRNVCIIPVSAHGTNPASAAMCGMKIVSVGTDAKGNINIEELRKAAEANKDNLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGWIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVPTGGFPAPDKSQPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEDYYPILFRGVNGTVAHEFIVDLRGFKHTAGIEPEDVAKRLMDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIEKGKADLHNNVLKGAPHPPSLLMGDTWTKPYSREYAAFPALWLRSAKFWPTTGRVDNVYGDRNLICTLQPAPQAVEEQAAATA >ONI28616 pep chromosome:Prunus_persica_NCBIv2:G1:11967937:11971616:1 gene:PRUPE_1G151700 transcript:ONI28616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEFLKIQKCVLKVNIHCDGCKQKVKKILQKIDGVFTTDIDAEQGKVTVSGNVDPSILIKKLAKSGKHAELWGAPKANNNNNQSHMANQLKNMQIDNGKGGNNKAQKGGGGNNQPKGGGGNNQPKGGQPGQQQQQQQQQLQQQQQLQQQQQQQHLQQLKQLQQLQQMKGFQDLNLPQLKGMQMPPFKGPDPNPNQKAVKFNLREDEDLSDDDYDDLDDEDYDDEDYDDEFDDDMDDPHHPLNKMKPVMGNGKVPNMMAMMNGNLPQMMNMNAAQKGGNGGQNGGGGGGGGGGNGKKGGGGPVPVQVNMGGGNNEGKNGGGKKGGGGGDHNQNQGGGGGKNGGKNGGFPSDGKNGGGAINKGGPNANINNANGGKKGGGGGGGGGGGGMSEAQAMSNAFHSMGGRPQGMPGGGNMGQVANMNNMAMGPMGGMPMSQMGNIPAVQGLPAAPMNGGGGGGGAGYFQGAGPEAMPGNPFQQQQYLQAVMNQQRAMGNERFSPMVYARPPPAVNYMPAGPPPPYYPYPPPPPAGEYTHFFSDENTSSCNVM >ONI28617 pep chromosome:Prunus_persica_NCBIv2:G1:11967937:11971616:1 gene:PRUPE_1G151700 transcript:ONI28617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEFLKIQKCVLKVNIHCDGCKQKVKKILQKIDGVFTTDIDAEQGKVTVSGNVDPSILIKKLAKSGKHAELWGAPKANNNNNQSHMANQLKNMQIDNGKGGNNKAQKGGGGNNQPKGGGGNNQPKGGQPGQQQQQQQQQLQQQQQLQQQQQQQHLQQLKQLQQLQQMKGFQDLNLPQLKGMQMPPFKGPDPNPNQKAVKFNLREDEDLSDDDYDDLDDEDYDDEDYDDEFDDDMDDPHHPLNKMKPVMGNGKVPNMMAMMNGNLPQMMNMNAAQKGKNGGFPSDGKNGGGAINKGGPNANINNANGGKKGGGGGGGGGGGGMSEAQAMSNAFHSMGGRPQGMPGGGNMGQVANMNNMAMGPMGGMPMSQMGNIPAVQGLPAAPMNGGGGGGGAGYFQGAGPEAMPGNPFQQQQYLQAVMNQQRAMGNERFSPMVYARPPPAVNYMPAGPPPPYYPYPPPPPAGEYTHFFSDENTSSCNVM >ONI26436 pep chromosome:Prunus_persica_NCBIv2:G1:1702674:1703447:1 gene:PRUPE_1G024700 transcript:ONI26436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQVPSSYKKRKFSNSEDFLNLIVKEKVASIPLIGIRATMPNSCLARTHAIRISTKARQNKDWDFEIKGYFPDKDCRIVDSRGNTMAHKELMASKDLYHVVVTPDMDQAFVIGVITILDYIYVESTRC >ONI30415 pep chromosome:Prunus_persica_NCBIv2:G1:26206142:26212028:-1 gene:PRUPE_1G249800 transcript:ONI30415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLNRGCSLLWLHKESLMNKEKEYMGTTSSMLWKVGLLLLALIEASSATLSPTGVNYEVEALVAIKSDLIDPHNVLENWDSNSVDPCSWRMVTCTADGYVSALGLPSQSLSGILSPAIGNLSNLQSVLLQNNAISGPIPATIGILEKLQTLDLSNNSFTGEIPDSLGNLKNLNYLRLNNNSLTGPCPESLSTVEGLTLVDLSFNNLSGTLPKISARTFKIVGNPLICGVKAENCSAVLPEPLSLPPDALKAQSDSRMKRRHMTIVFGTSFGAALGVIIIIGLLVWWRYRHNQQIFFDVNADQYDPEVCLGHLKRYTFKELRAATDHFNSKNILGRGGFGIVYKGSLNDGTLVAVKRLKDYNTAGGEIQFQTEVEMISLAVHRNLLRLCGFCSTENERLLVYPFMPNGSVASRLRDHIHGRPALDWARRKRIALGTARGLVYLHEQCDPRIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGQKALDFGRVANQKGVMLDWVKKLHQEGKVNLMVDKDLKGNFDRVELEEMVQVALLCTQFNPLHRPKMSEVLKMLEGDGLAEKWEASQKVETPRFRSCEHPRQRYSDFIEESSLVLEAMELSGPR >ONI30414 pep chromosome:Prunus_persica_NCBIv2:G1:26206490:26212028:-1 gene:PRUPE_1G249800 transcript:ONI30414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLNRGCSLLWLHKESLMNKEKEYMGTTSSMLWKVGLLLLALIEASSATLSPTGVNYEVEALVAIKSDLIDPHNVLENWDSNSVDPCSWRMVTCTADGYVSALGLPSQSLSGILSPAIGNLSNLQSVLLQNNAISGPIPATIGILEKLQTLDLSNNSFTGEIPDSLGNLKNLNYLRLNNNSLTGPCPESLSTVEGLTLVDLSFNNLSGTLPKISARTFKIVGNPLICGVKAENCSAVLPEPLSLPPDALKAQSDSRMKRRHMTIVFGTSFGAALGVIIIIGLLVWWRYRHNQQIFFDVNDQYDPEVCLGHLKRYTFKELRAATDHFNSKNILGRGGFGIVYKGSLNDGTLVAVKRLKDYNTAGGEIQFQTEVEMISLAVHRNLLRLCGFCSTENERLLVYPFMPNGSVASRLRDHIHGRPALDWARRKRIALGTARGLVYLHEQCDPRIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGQKALDFGRVANQKGVMLDWVKKLHQEGKVNLMVDKDLKGNFDRVELEEMVQVALLCTQFNPLHRPKMSEVLKMLEGDGLAEKWEASQKVETPRFRSCEHPRQRYSDFIEESSLVLEAMELSGPR >ONI32716 pep chromosome:Prunus_persica_NCBIv2:G1:34358483:34362336:-1 gene:PRUPE_1G381600 transcript:ONI32716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAFASASAISDQRQKIEQYKHILSTVISSSDVVQAKKFIDHMLSEDVPLVVSRQLLQNFAQELGRWEPETQKEIAHYALSQIRAVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGINLDSGMRVVDDTFKLSKCVQIARLYLEDDDAVNAEAFIHKASFLITNIQHEVLNLQYKVCYARILDLKRRFLEAALRYYEFSQIEKRQIGDEEIDEEALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFSEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIEPHKAEKIASKMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIVGLCQALNDVLDSMAKKGLPIPV >ONI32715 pep chromosome:Prunus_persica_NCBIv2:G1:34358784:34362212:-1 gene:PRUPE_1G381600 transcript:ONI32715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAFASASAISDQRQKIEQYKHILSTVISSSDVVQAKKFIDHMLSEDVPLVVSRQLLQNFAQELGRWEPETQKEIAHYALSQIRAVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGINLDSGMRVVDDTFKLSKCVQIARLYLEDDDAVNAEAFIHKASFLITNIQHEVLNLQYKVCYARILDLKRRFLEAALRYYEFSQIEKRQIGDEEIDEEALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFSEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIEPHKAEKIASKMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIVGLCQALNDVLDSMAKKGLPIPV >ONI32714 pep chromosome:Prunus_persica_NCBIv2:G1:34358483:34362371:-1 gene:PRUPE_1G381600 transcript:ONI32714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAFASASAISDQRQKIEQYKHILSTVISSSDVVQAKKFIDHMLSEDVPLVVSRQLLQNFAQELGRWEPETQKEIAHYALSQIRAVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGINLDSGMRVVDDTFKLSKCVQIARLYLEDDDAVNAEAFIHKASFLITNIQHEVLNLQYKVCYARILDLKRRFLEAALRYYEFSQIEKRQIGDEEIDEEALEQALSAAVTCTILAAAGPQRSRVLATLYKVYLERILRKPEIDAFSEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIEPHKAEKIASKMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIVGLCQALNDVLDSMAKKGLPIPV >ONI35591 pep chromosome:Prunus_persica_NCBIv2:G1:44491772:44494261:-1 gene:PRUPE_1G544400 transcript:ONI35591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAEAPPGDAKAGEKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVNWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKQSTA >ONI35592 pep chromosome:Prunus_persica_NCBIv2:G1:44492442:44494261:-1 gene:PRUPE_1G544400 transcript:ONI35592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAEAPPGDAKAGEKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVNWEEKTLYDYLLNPKKV >ONI27773 pep chromosome:Prunus_persica_NCBIv2:G1:8387545:8393135:-1 gene:PRUPE_1G104300 transcript:ONI27773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENPADGDVIKEEGKAASNGHSAVEDSQNNPQDRSRSKEDGTKTVPYYKLFSFADSLDYLLMSVGTISAIGNGASVPLMTIIFGDLINSFGQTGNNKEVVDAVSKVALKLVYVAVGAAAAAFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGTFIQLIATFVGGFVIAFVKGWLLTLVMLSSIPLLVLSGAIMRILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAIANYNNSLIKAYNSGVQEGLASGFGIGSAMLIMMCSYALAIWFGGKMILEKGYTGGEVINVVSAVLTGSMSLGQASPCLSVFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVVSLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESESIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGPHSELIKDPEGAYSQLIRLQEMSSVSEQTAVNNHERLSSVDSRRHSSQRFSNLRSISRGSSGSGNSNRHSFSITYGVPTAVDSLETASVGRDIPASASSRGPPEVSIRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFSILISSVIKTFYEPPPQLRKDSKFWALTFIVLGVVAFIALPARQYFFAVAGCNLIKRVRSMCYEKVVYMEVSWFDNPQYSSGAIGARLSADAASLRGVVGDALGLLVENSATAIAGLCIAFVANWQLALIILVLLPLLGLTGYVQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGTGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAIGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGITIENVKGEIELCHVSFKYPTRPDVPVFQDLCLTIRHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGVEIQKLQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFICSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKGADVIAVVENGVIAEKGKHETLIGIKDGTYASLVALHASASS >ONI27774 pep chromosome:Prunus_persica_NCBIv2:G1:8387545:8392548:-1 gene:PRUPE_1G104300 transcript:ONI27774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGTFIQLIATFVGGFVIAFVKGWLLTLVMLSSIPLLVLSGAIMRILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAIANYNNSLIKAYNSGVQEGLASGFGIGSAMLIMMCSYALAIWFGGKMILEKGYTGGEVINVVSAVLTGSMSLGQASPCLSVFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVVSLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESESIVQEALDRIMINRTTVVVAHRLSTVRNADTIAVIHRGTIVEKGPHSELIKDPEGAYSQLIRLQEMSSVSEQTAVNNHERLSSVDSRRHSSQRFSNLRSISRGSSGSGNSNRHSFSITYGVPTAVDSLETASVGRDIPASASSRGPPEVSIRRLAYLNKPEIPVLLLGTIAAAVNGAILPIFSILISSVIKTFYEPPPQLRKDSKFWALTFIVLGVVAFIALPARQYFFAVAGCNLIKRVRSMCYEKVVYMEVSWFDNPQYSSGAIGARLSADAASLRGVVGDALGLLVENSATAIAGLCIAFVANWQLALIILVLLPLLGLTGYVQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGTGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAIGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGITIENVKGEIELCHVSFKYPTRPDVPVFQDLCLTIRHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGVEIQKLQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFICSLQQGYDTIVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDAESERVVQDALDRIMVDRTTIVVAHRLSTIKGADVIAVVENGVIAEKGKHETLIGIKDGTYASLVALHASASS >ONI30091 pep chromosome:Prunus_persica_NCBIv2:G1:24478389:24480327:1 gene:PRUPE_1G230900 transcript:ONI30091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSSKQLCQKLTAGRRKREMDFLSCVLLCLMVAWIVIQALQNSFARRIPTNLPPGPKPFPLIGNLLELGNKPHISLTKLSKRYGPIMTLQLGQLTTVVVSSSIVAKQVLRTYDRFFCNRTNADALQACSHAKHGMPFIPVSAKWRNLRRICNSQLFATKVLNANQANRQLKVQELIADVSESVVKGKAVEVGRAAFLTTLNLLSRTVFSVDLADPKSETAREFKELVWGIMEEVGKPNLADYFPVLKKIDPMGIRRRLTKHFRKMIDLFDRLIFQRLETRKSHDYVTANDMLDTLINMSEEKNEDMDIPETHHLFLDLFVAATETTSATLEWAMAELLCNPEKLSKAQEELEQVIGKGKPVEETDTARLPYLQAIIKETFRLHPALPFLLPRKAEADLEICGYFVPKGAQVLVNAWAIGRDPGFWDNPASFIPERFLGLDMDVAGGSFELIPFGGGRRICPGLPLAMRMLNLMLGSLLNSFHNWKLEDGVVPENMNMEEKFGITLQMAQPLRAAAMAVP >ONI27355 pep chromosome:Prunus_persica_NCBIv2:G1:6013936:6017316:-1 gene:PRUPE_1G081700 transcript:ONI27355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKIEYAINILGTSENSSSLTVHRVDDWEYFQKSGLKEKYKKARTEDKIQNSMDRMLDKHNIDTIKKTMQMHEDTFKHQIQELHRLYSVQKMLMDELKKEMKRNRFRGLVTSSADHINHSQLINWQNSTTQASSGYNFHLQRLRDDPISREGSGSCSGDTLRMSRGFDLERPAEEDMSTGVSTIDQEQAGPSSHMAFKSKNMSIADCDEDSEVELTLSIGSSKNKKRSKSYQPQLGCAELIHKEKELDSPASFKSDRGGDCSDPTTPMSSSSATFDQERKQPHWLFHGLKLK >ONI27357 pep chromosome:Prunus_persica_NCBIv2:G1:6014052:6017313:-1 gene:PRUPE_1G081700 transcript:ONI27357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKIEYAINILGTSENSSSLTVHRVDDWEYFQKSGLKEKYKKARTEDKIQNSMDRMLDKHNIDTIKKTMQMHEDTFKHQIQELHRLYSVQKMLMDELKKEMKRNRFRGLVTSSADHINHSQLINWQNSTTQASSGYNFHLQRLRDDPISREGSGSCSGDTLRMSRGFDLERPAEEDMSTGVSTIDQEQAGPSSHMAFKSKNMSIADCDEDSEVELTLSIGSSKNKKRSKSYQPQLGCAELIHKEKELDSPASFKSDRGGDCSDPTTPMSSSSATFDQERKQPHWLFHGLKLK >ONI27356 pep chromosome:Prunus_persica_NCBIv2:G1:6014052:6016253:-1 gene:PRUPE_1G081700 transcript:ONI27356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKIEYAINILGTSENSSSLTVHRVDDWEYFQKSGLKEKYKKARTEDKIQNSMDRMLDKHNIDTIKKTMQMHEDTFKHQIQELHRLYSVQKMLMDELKKEMKRNRFRGLVTSSADHINHSQLINWQNSTTQASSGYNFHLQRLRDDPISREGSGSCSGDTLRMSRGFDLERPAEEDMSTGVSTIDQEQAGPSSHMAFKSKNMSIADCDEDSEVELTLSIGSSKNKKRSKSYQPQLGCAELIHKEKELDSPASFKSDRGGDCSDPTTPMSSSSATFDQERKQPHWLFHGLKLK >ONI27358 pep chromosome:Prunus_persica_NCBIv2:G1:6014052:6017243:-1 gene:PRUPE_1G081700 transcript:ONI27358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMLDKHNIDTIKKTMQMHEDTFKHQIQELHRLYSVQKMLMDELKKEMKRNRFRGLVTSSADHINHSQLINWQNSTTQASSGYNFHLQRLRDDPISREGSGSCSGDTLRMSRGFDLERPAEEDMSTGVSTIDQEQAGPSSHMAFKSKNMSIADCDEDSEVELTLSIGSSKNKKRSKSYQPQLGCAELIHKEKELDSPASFKSDRGGDCSDPTTPMSSSSATFDQERKQPHWLFHGLKLK >ONI29084 pep chromosome:Prunus_persica_NCBIv2:G1:15662627:15669808:1 gene:PRUPE_1G180200 transcript:ONI29084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVPPPPPTTAADTTQKPKEDEKVDYFNLPCPIPYEEIHREALMSLKPELFEGMRFDFTKGLNQRFSLSHSVFMGPMEVPSQSPETIKIPTAHYEFGANFIDPKLMLFGRIMTDGRLNARVKCDLSENLTLKANSQLTNEPHMSHGMVNFDYKGKDYRSQFQLGNGALFGANYIQSVTPHLSLGGEVFWAGQHRKSGIGYAARYNTDKMVATGQVASTGMVALSYVQKVSEKVSLASDFMYNYMSRDVTASFGYDYILRQCRLRGKIDSNGTVAAFLEERLNMGLNFVLSAEIDHRKKDYKFGFGLTVGE >ONI34007 pep chromosome:Prunus_persica_NCBIv2:G1:38401461:38403268:1 gene:PRUPE_1G458600 transcript:ONI34007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSADEFDVIVVGAGIMGSSTAYQTAKRGQKTLLLEQFDFLHHRGSSHGESRTIRATYPEDYYTPLVLQSYKLWQQAESEIGYNVYFKAHQLDMAPANDKVLHAVVESCRKNLVPFRFMNRDQLDREFSGRIRIPEDWVAVATEHGGVIKPTKAVSMFQTLALQNGAVLRDNMGVKGVERDGVRGGVWVCTENGERFWGKKCVVTVGAWTTKLVKTVAGIELPIKPLETTVCYWRIKEGHEGGFAIGGDFPTFASYGDTYIYGTPSLEYPGLIKVAVHGGYPCDPDKRPWGPGNPLAPLKEWIEGRFSGVVDSGGPVATQLCMYSMTPDEDFVIDFLGGEFGKDVVVGGGFSGHGFKLSPVVGRILADLALSGEAQGVELKHFRIARFQENPKGNVKDFL >ONI29949 pep chromosome:Prunus_persica_NCBIv2:G1:23778096:23784722:1 gene:PRUPE_1G224000 transcript:ONI29949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSGYNINDLLQEAQTRWLKPAEVLYILQNHEKFKLASEPPQQPSSGSLFLFNKRVLRFFRRDGHHWRKKKDGRTVGEAHERLKVGNAETLNCYYAHGENNPNFQRRSYWMLDPAYEHIVLVHYREISEGKSSTGSFAQSPVSSSSFSHSPSSKTTQNRGSVSMISDLREPYQNLSSPGSVEVNSDAAIKKNGRENPDKLYGTGESDSSAKFDVGQALRRLEEQLSLNEDSFNEFVDDNPNSDIMDRFNEFLDDTNGSDILEDHSDMTNQDQFTAFHGPEYVVHDQFYGGRVQMQNNTNNSGEHSQFIGQEFADRNKDSAPWKEVLDSCKPSSVVEPKEKCLYGLDTNEKLPSSFTSGPTEGQEHCQWLNSDGTNVKNFSLSLPEEVDSFKLSPYSSAMGTHSDYYTSLFEQGQTGTLDSDISLTVAQKQKFTIREISPEWGYATEATKVIIVGSFLCDPSDSAWSCMFGDIEVPAQIIQDGVLCCEAPPHLFGKVTICITSSNRVSCSEVREFEYRVKGSSGTNNSPPTETTKSAEELLLLVRFVQMLMSDSSMQNRDSVEPETLRRLKADDDSWDSIIEALLLGSGSASSNIYWLLEELLKDKLQQWLSSRSHGLDQTGCSLSKKEQGIIHMVAGLGFEWALNSILSCGVNINFRDINGWTALHWAARFGREKMVAVLIASGASAGAVTDPNSQDPIGKTPASIAASSGHKGLAGYLSEVSLTSHLSSLTLEESELSKGSAEVEAEITVNSISNRSLQGNEDQASLKNTLAAVRNAAQAAARIQSAFRAHSFRKRQHKEAGVSVDDYGISSDDIQGLSAMSKLAFRNPRDYNSAAVSIQKKYRGWKGRKDFLALRQKVVKIQAHVRGYQVRKHYKVICWAVGILDKIVLRWRRKGVGLRGFRHETQSSEESEDEDILKVFRKQKVDGAIDEAVSRVLSMVESPEARQQYHRMLERYHQAKAELGGTSGEADVPNSLDDTFNIEDIDMYQFP >ONI29951 pep chromosome:Prunus_persica_NCBIv2:G1:23778096:23784783:1 gene:PRUPE_1G224000 transcript:ONI29951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSGYNINDLLQEAQTRWLKPAEVLYILQNHEKFKLASEPPQQPSSGSLFLFNKRVLRFFRRDGHHWRKKKDGRTVGEAHERLKGKSSTGSFAQSPVSSSSFSHSPSSKTTQNRGSVSMISDLREPYQNLSSPGSVEVNSDAAIKKNGRENPDKLYGTGESDSSAKFDVGQALRRLEEQLSLNEDSFNEFVDDNPNSDIMDRFNEFLDDTNGSDILEDHSDMTNQDQFTAFHGPEYVVHDQFYGGRVQMQNNTNNSGEHSQFIGQEFADRNKDSAPWKEVLDSCKPSSVVEPKEKCLYGLDTNEKLPSSFTSGPTEGQEHCQWLNSDGTNVKNFSLSLPEEVDSFKLSPYSSAMGTHSDYYTSLFEQGQTGTLDSDISLTVAQKQKFTIREISPEWGYATEATKVIIVGSFLCDPSDSAWSCMFGDIEVPAQIIQDGVLCCEAPPHLFGKVTICITSSNRVSCSEVREFEYRVKGSSGTNNSPPTETTKSAEELLLLVRFVQMLMSDSSMQNRDSVEPETLRRLKADDDSWDSIIEALLLGSGSASSNIYWLLEELLKDKLQQWLSSRSHGLDQTGCSLSKKEQGIIHMVAGLGFEWALNSILSCGVNINFRDINGWTALHWAARFGREKMVAVLIASGASAGAVTDPNSQDPIGKTPASIAASSGHKGLAGYLSEVSLTSHLSSLTLEESELSKGSAEVEAEITVNSISNRSLQGNEDQASLKNTLAAVRNAAQAAARIQSAFRAHSFRKRQHKEAGVSVDDYGISSDDIQGLSAMSKLAFRNPRDYNSAAVSIQKKYRGWKGRKDFLALRQKVVKIQAHVRGYQVRKHYKVICWAVGILDKIVLRWRRKGVGLRGFRHETQSSEESEDEDILKVFRKQKVDGAIDEAVSRVLSMVESPEARQQYHRMLERYHQAKAELGGTSGEADVPNSLDDTFNIEDIDMYQFP >ONI29950 pep chromosome:Prunus_persica_NCBIv2:G1:23777968:23784786:1 gene:PRUPE_1G224000 transcript:ONI29950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSGYNINDLLQEAQTRWLKPAEVLYILQNHEKFKLASEPPQQPSSGSLFLFNKRVLRFFRRDGHHWRKKKDGRTVGEAHERLKVGNAETLNCYYAHGENNPNFQRRSYWMLDPAYEHIVLVHYREISEGKSSTGSFAQSPVSSSSFSHSPSSKTTQNRGSVSMISDLREPYQNLSSPGSVEVNSDAAIKKNGRENPDKLYGTGESDSSAKFDVGQALRRLEEQLSLNEDSFNEFVDDNPNSDIMDRFNEFLDDTNGSDILEDHSDMTNQDQFTAFHGPEYVVHDQFYGGRVQMQNNTNNSGEHSQFIGQEFADRNKDSAPWKEVLDSCKPSSVVEPKEKCLYGLDTNEKLPSSFTSGPTEGQEHCQWLNSDGTNVKNFSLSLPEEVDSFKLSPYSSAMGTHSDYYTSLFEQGQTGTLDSDISLTVAQKQKFTIREISPEWGYATEATKVIIVGSFLCDPSDSAWSCMFGDIEVPAQIIQDGVLCCEAPPHLFGKVTICITSSNRVSCSEVREFEYRVKGSSGTNNSPPTETTKSAEELLLLVRFVQMLMSDSSMQNRDSVEPETLRRLKADDDSWDSIIEALLLGSGSASSNIYWLLEELLKDKLQQWLSSRSHGLDQTGCSLSKKEQGIIHMVAGLGFEWALNSILSCGVNINFRDINGWTALHWAARFGREKMVAVLIASGASAGAVTDPNSQDPIGKTPASIAASSGHKGLAGYLSEVSLTSHLSSLTLEESELSKGSAEVEAEITVNSISNRSLQGNEDQASLKNTLAAVRNAAQAAARIQSAFRAHSFRKRQHKEAGVSVDDYGISSDDIQGLSAMSKLAFRNPRDYNSAAVSIQKKYRGWKGRKDFLALRQKVVKIQAHVRGYQVRKHYKVICWAVGILDKIVLRWRRKGVGLRGFRHETQSSEESEDEDILKVFRKQKVDGAIDEAVSRVLSMVESPEARQQYHRMLERYHQAKAELGGTSGEADVPNSLDDTFNIEDIDMYQFP >ONI28432 pep chromosome:Prunus_persica_NCBIv2:G1:11192683:11195625:1 gene:PRUPE_1G142400 transcript:ONI28432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVFRSSQATVGKAELARTELGFCKLNGNLKTNICFGQSTTWKNARLQLTVRAVQSEAVRSDKVSGPARRCKQNDGVRLFVGLPLDTVSDCNAVNHARAIAAGLKALKLLGVEGVELPVWWGVVEKEAMGKYEWSGYLAVAEMVQKAGLELHVSLCFHASKQPKISLPEWVSRLGESQPNIFFKDRSGQQYKECLSLAVDELPVLNGKTPIQVYHDFCESFKSSFTPFLGSTITGISMSLGPDGELQYPSHHRLVKNKIPGVGEFQCYDESMLSNLKQHAEATGNPLWGLGGPHDVPNYDQSPNSSNFFKDHGGSWESPYGDYFLSWYSNQLISHGDRLLSLASSTFTDAEVTIYGKVPLIHSWYKTRSHASELTSGFYNTSSRDGYEAVAQMFARNSCKIILPGMDLSDEHQPQDSLSSPELLLSQITTACRKHGVEIAGQNSSVSGGRGGFQQIKKNLMGENVMDLFTYQRMGADFFSPEHFPLFSKFVWTLNQPALQSDDLPIEEEIVESVHSNSESVIHMQAA >ONI36312 pep chromosome:Prunus_persica_NCBIv2:G1:47204345:47207864:1 gene:PRUPE_1G580600 transcript:ONI36312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTACFVIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMYLKAIDRFNDLVVSVYVTAGHTRLMLLHDSRNDDGIKSFFQEVHELYIKTLLNPLYLPGSRITSSHFDTKVRALARKYL >ONI36313 pep chromosome:Prunus_persica_NCBIv2:G1:47202109:47207863:1 gene:PRUPE_1G580600 transcript:ONI36313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTACFVIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMYLKAIDRFNDLVVSVYVTADTHTRLMLLHDSRNDDGIKSFFQEVHELYIKTLLNPLYLPGSRITSSHFDTKVRALARKYL >ONI36315 pep chromosome:Prunus_persica_NCBIv2:G1:47202108:47207863:1 gene:PRUPE_1G580600 transcript:ONI36315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTACFVIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMYLKAIDRFNDLVVSVYVTADTHTRLMLLHDSRNDDGIKSFFQEVHELYIKTLLNPLYLPGSRITSSHFDTKVRALARKYL >ONI36314 pep chromosome:Prunus_persica_NCBIv2:G1:47202106:47207863:1 gene:PRUPE_1G580600 transcript:ONI36314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTACFVIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMYLKAIDRFNDLVVSVYVTADTHTRLMLLHDSRNDDGIKSFFQEVHELYIKTLLNPLYLPGSRITSSHFDTKVRALARKYL >ONI36316 pep chromosome:Prunus_persica_NCBIv2:G1:47202104:47207863:1 gene:PRUPE_1G580600 transcript:ONI36316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTACFVIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMYLKAIDRFNDLVVSVYVTADTHTRLMLLHDSRNDDGIKSFFQEVHELYIKTLLNPLYLPGSRITSSHFDTKVRALARKYL >ONI36229 pep chromosome:Prunus_persica_NCBIv2:G1:46947029:46953106:-1 gene:PRUPE_1G576600 transcript:ONI36229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKPLINAFKTKSKQATLLWLEGFREACCLHRVFILCHRSRKLLIRTGQCFLLNGFIFLGSIIILNSVIVPALHWILPDRCPEFCSQELCSFGGILKFYSFLRLGLIQLFYVTWFYPLYVFSIILSNIWYNDIAKYGFAAMGRSGPTTLDPVRQNEALALQIGAGTERPTGLGGVMIGIGEQVYSLLLLSCFFLEVYVTGFIPYAGKALNFLLLSWMYAYYCFEYKWNFSEVRLDKRLDFFESNWAFFAGFGSPCVLPVLFFSPLVSYGFMAILFPLFVLTATGSEAEQVISSQRTKWEVAGFGRLPIFNAADTLSMRVLSLFPQESQELLQKKKDS >ONI36228 pep chromosome:Prunus_persica_NCBIv2:G1:46946465:46953230:-1 gene:PRUPE_1G576600 transcript:ONI36228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKPLINAFKTKSKQATLLWLEGFREACCLHRVFILCHRSRKLLIRTGQCFLLNGFIFLGSIIILNSVIVPALHWILPDRCPEFCSQELCSFGGILKFYSFLRLGLIQLFYVTWFYPLYVFSIILSNIWYNDIAKYGFAAMGRSGPTTLDPVRQNEALALQIGAGTERPTGLGGVMIGIGEQVYSLLLLSCFFLEVYVTGFIPYAGKALNFLLLSWMYKWNFSEVRLDKRLDFFESNWAFFAGFGSPCVLPVLFFSPLVSYGFMAILFPLFVLTATGSEAEQVISSQRTKWEVAGFGRLPIFNAADTLSMRVLSLFPQESQELLQKKKDS >ONI36232 pep chromosome:Prunus_persica_NCBIv2:G1:46947345:46953193:-1 gene:PRUPE_1G576600 transcript:ONI36232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKPLINAFKTKSKQATLLWLEGFREACCLHRVFILCHRSRKLLIRTGQCFLLNGFIFLGSIIILNSVIVPALHWILPDRCPEFCSQELCSFGGILKFYSFLRLGLIQLFYVTWFYPLYVFSIILSNIWYNDIAKYGFAAMGRSGPTTLDPVRQNEALALQIGAGTERPTGLGGVMIGIGEQVYSLLLLSCFFLEVYVTGFIPYAGKALNFLLLSWMYAYYCFEYKWNFSEVRLDKRLDFFESNWAFFAGFGSPCVLPVLFFSPLVSYGFMAILFPLFVLTATGSEAEQVISSQRTKWEVAGFGRLPIFNAADTLS >ONI36231 pep chromosome:Prunus_persica_NCBIv2:G1:46947091:46953106:-1 gene:PRUPE_1G576600 transcript:ONI36231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKPLINAFKTKSKQATLLWLEGFREACCLHRVFILCHRSRKLLIRTGQCFLLNGFIFLGSIIILNSVIVPALHWILPDRCPEFCSQELCSFGGILKFYSFLRLGLIQLFYVTWFYPLYVFSIILSNIWYNDIAKYGFAAMGRSGPTTLDPVRQNEALALQIGAGTERPTGLGGVMIGIGEQVYSLLLLSCFFLEVYVTGFIPYAGKALNFLLLSWMYAYYCFEYKWNFSEVRLDKRLDFFESNWAFFAGFGSPCVLPVLFFSPLVSYGFMAILFPLQQVQRLSKLFLLKEQNGKLLGLEGFQYLMLQILYR >ONI36227 pep chromosome:Prunus_persica_NCBIv2:G1:46946340:46953261:-1 gene:PRUPE_1G576600 transcript:ONI36227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKPLINAFKTKSKQATLLWLEGFREACCLHRVFILCHRSRKLLIRTGQCFLLNGFIFLGSIIILNSVIVPALHWILPDRCPEFCSQELCSFGGILKFYSFLRLGLIQLFYVTWFYPLYVFSIILSNIWYNDIAKYGFAAMGRSGPTTLDPVRQNEALALQIGAGTERPTGLGGVMIGIGEQVYSLLLLSCFFLEVYVTGFIPYAGKALNFLLLSWMYAYYCFEYKWNFSEVRLDKRLDFFESNWAFFAGFGSPCVLPVLFFSPLVSYGFMAILFPLFVLTATGSEAEQVISSQRTKWEVAGFGRLPIFNAADTLSNPRSCFRKRKTAKKLGVMLTQVLNRSSIPPNLYII >ONI36230 pep chromosome:Prunus_persica_NCBIv2:G1:46946465:46953193:-1 gene:PRUPE_1G576600 transcript:ONI36230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKPLINAFKTKSKQATLLWLEGFREACCLHRVFILCHRSRKLLIRTGQCFLLNGFIFLGSIIILNSVIVPALHWILPDRCPEFCSQELCSFGGILKFYSFLRLGLIQLFYVTWFYPLYVFSIILSNIWYNDIAKYGFAAMGRSGPTTLDPVRQNEALALQIGAGTERPTGLGGVMIGIGEQVYSLLLLSCFFLEVYVTGFIPYAGKALNFLLLSWMYKWNFSEVRLDKRLDFFESNWAFFAGFGSPCVLPVLFFSPLVSYGFMAILFPLQQVQRLSKLFLLKEQNGKLLGLEGFQYLMLQILYR >ONI33112 pep chromosome:Prunus_persica_NCBIv2:G1:35676922:35680899:-1 gene:PRUPE_1G406100 transcript:ONI33112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKHSANGGEVVLEVRTEVSNGAKGSSSKGSESSAPKPSKVGSPAKGSTESSTGVSKSVPVSFPSPEISRSSPGKPPKIPTANETLTKRKSFTRLVSKPKSRFGEQSVPIDPNMFEESGLDQVGANSPYSPYRGSFNRASPGIRTVSISSRVPVMPSPGRVKEQEDEEIYKKVKLSRDKHRKVKTKVLFEWVVFLGILACLVSSLTVEKLENFNMWGLEVWKWCVLVMVIFCGMLVTNWFMHFVVFVIERNFLLRKKVLYFVHGMKKSVQVFIWLALVLLTWLLVFNGGKVERSETSTKILNYVTWTLVSVLIGAFLWLLKTLLLKILASSFHVNTFFDRIQESIFHQYVLQTLSGPALIEEAERVGRSPSTGQLSFRTTKKAKAGKEKEVIDMGKLQKMKQEKVSAWTMKVLVDAVSTSGLSTISHTLDEMEHGGVEQTDKEITNEMEATAAAYHIFLNVAPAGSKYIEEEDLMRFMIKEEVDLVFPLFEGADTGRIDRKALTDWVVKVYNGRKALAHSLNDTKTAVRQLNKLVTGILVVITVVVWLLLMEIATTKVLVFLSSQLVVAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVPLMVEEMNILNTVFLKLNNEKIYYPNSVLSTKPISNYYRSPDMGDIVEFSIAFMTSVEKIGLLKEKINKHLERNSQHWHPNHNLVVIEIENLNKLKMGLYVNHTMNFQEFGEKNKRRTELVIELKKILEELNITYNLLPQEVHITQTKIDATVASK >ONI33109 pep chromosome:Prunus_persica_NCBIv2:G1:35676919:35680904:-1 gene:PRUPE_1G406100 transcript:ONI33109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKHSANGGEVVLEVRTEVSNGAKGSSSKGSESSAPKPSKVGSPAKGSTESSTGVSKSVPVSFPSPEISRSSPGKPPKIPTANETLTKRKSFTRLVSKPKSRFGEQSVPIDPNMFEESGLDQVGANSPYSPYRGSFNRASPGIRTVSISSRVPVMPSPGRVKEQEDEEIYKKVKLSRDKHRKVKTKVLFEWVVFLGILACLVSSLTVEKLENFNMWGLEVWKWCVLVMVIFCGMLVTNWFMHFVVFVIERNFLLRKKVLYFVHGMKKSVQVFIWLALVLLTWLLVFNGGKVERSETSTKILNYVTWTLVSVLIGAFLWLLKTLLLKILASSFHVNTFFDRIQESIFHQYVLQTLSGPALIEEAERVGRSPSTGQLSFRTTKKAKAGKEKEVIDMGKLQKMKQEKVSAWTMKVLVDAVSTSGLSTISHTLDEMEHGGVEQTDKEITNEMEATAAAYHIFLNVAPAGSKYIEEEDLMRFMIKEEVDLVFPLFEGADTGRIDRKALTDWVVKVYNGRKALAHSLNDTKTAVRQLNKLVTGILVVITVVVWLLLMEIATTKVLVFLSSQLVVAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVPLMVEEMNILNTVFLKLNNEKIYYPNSVLSTKPISNYYRSPDMGDIVEFSIAFMTSVEKIGLLKEKINKHLERNSQHWHPNHNLVVIEIENLNKLKMGLYVNHTMNFQEFGEKNKRRTELVIELKKILEELNITYNLLPQEVHITQTKIDATVASK >ONI33110 pep chromosome:Prunus_persica_NCBIv2:G1:35677181:35680142:-1 gene:PRUPE_1G406100 transcript:ONI33110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKHSANGGEVVLEVRTEVSNGAKGSSSKGSESSAPKPSKVGSPAKGSTESSTGVSKSVPVSFPSPEISRSSPGKPPKIPTANETLTKRKSFTRLVSKPKSRFGEQSVPIDPNMFEESGLDQVGANSPYSPYRGSFNRASPGIRTVSISSRVPVMPSPGRVKEQEDEEIYKKVKLSRDKHRKVKTKVLFEWVVFLGILACLVSSLTVEKLENFNMWGLEVWKWCVLVMVIFCGMLVTNWFMHFVVFVIERNFLLRKKVLYFVHGMKKSVQVFIWLALVLLTWLLVFNGGKVERSETSTKILNYVTWTLVSVLIGAFLWLLKTLLLKILASSFHVNTFFDRIQESIFHQYVLQTLSGPALIEEAERVGRSPSTGQLSFRTTKKAKAGKEKEVIDMGKLQKMKQEKVSAWTMKVLVDAVSTSGLSTISHTLDEMEHGGVEQTDKEITNEMEATAAAYHIFLNVAPAGSKYIEEEDLMRFMIKEEVDLVFPLFEGADTGRIDRKALTDWVVKVYNGRKALAHSLNDTKTAVRQLNKLVTGILVVITVVVWLLLMEIATTKVLVFLSSQLVVAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVPLMVEEMNILNTVFLKLNNEKIYYPNSVLSTKPISNYYRSPDMGDIVEFSIAFMTSVEKIGLLKEKINKHLERNSQHWHPNHNLVVIEIENLNKLKMGLYVNHTMNFQEFGEKNKRRTELVIELKKILEELNITYNLLPQEVHITQTKIDATVASK >ONI33111 pep chromosome:Prunus_persica_NCBIv2:G1:35676922:35680846:-1 gene:PRUPE_1G406100 transcript:ONI33111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKHSANGGEVVLEVRTEVSNGAKGSSSKGSESSAPKPSKVGSPAKGSTESSTGVSKSVPVSFPSPEISRSSPGKPPKIPTANETLTKRKSFTRLVSKPKSRFGEQSVPIDPNMFEESGLDQVGANSPYSPYRGSFNRASPGIRTVSISSRVPVMPSPGRVKEQEDEEIYKKVKLSRDKHRKVKTKVLFEWVVFLGILACLVSSLTVEKLENFNMWGLEVWKWCVLVMVIFCGMLVTNWFMHFVVFVIERNFLLRKKVLYFVHGMKKSVQVFIWLALVLLTWLLVFNGGKVERSETSTKILNYVTWTLVSVLIGAFLWLLKTLLLKILASSFHVNTFFDRIQESIFHQYVLQTLSGPALIEEAERVGRSPSTGQLSFRTTKKAKAGKEKEVIDMGKLQKMKQEKVSAWTMKVLVDAVSTSGLSTISHTLDEMEHGGVEQTDKEITNEMEATAAAYHIFLNVAPAGSKYIEEEDLMRFMIKEEVDLVFPLFEGADTGRIDRKALTDWVVKVYNGRKALAHSLNDTKTAVRQLNKLVTGILVVITVVVWLLLMEIATTKVLVFLSSQLVVAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVPLMVEEMNILNTVFLKLNNEKIYYPNSVLSTKPISNYYRSPDMGDIVEFSIAFMTSVEKIGLLKEKINKHLERNSQHWHPNHNLVVIEIENLNKLKMGLYVNHTMNFQEFGEKNKRRTELVIELKKILEELNITYNLLPQEVHITQTKIDATVASK >ONI26508 pep chromosome:Prunus_persica_NCBIv2:G1:2125893:2128361:1 gene:PRUPE_1G029600 transcript:ONI26508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEVIDCIFQLEKLSITCNDVKELREILANARKDISVNVYWCIITTVACATNVTLLTSDEGNSHDLVQYSQKITIILNKLKQQLRICKEEKEKLRTYMKIKPQIEIPTEIVEVMKILIFFKHNAETTIFDGSIKQLVHIDILRRKNVLLFISSLEISEDYIARLRPIYDFTKDKNEYKIVWIPIVEKWTKDLQHKFETLRAKMPWYTVGQAGAHIAGIKYIKEDWNFNGKPMLVVLNTMSQLQHFNALRMIWIWGCQAFPFTQEKEEQLLLSLQDTWFSAIMDGIDTEISKWNKDDYIFFYGGDSERVNQFKEKATALINDEIKKESKISIKLYPVEKNANNDGRDDSFSTFWSAIENMFHIKVINKQVDDVVKQVQKLLFYKDDKSGWAVLIQGRRLVTIGGSTMYTVLEQYHTWNQKVTLTVENFGQVFNQEHGTAVAEGPGHVCSCFSIPSATGSTLEAMVCYECGNSMETFFSYKCCHVKKKAPLITY >ONI35297 pep chromosome:Prunus_persica_NCBIv2:G1:43236123:43241935:1 gene:PRUPE_1G528400 transcript:ONI35297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGIYSASPTLKRQKPLLKSTSLFRKDSGSVSVSFSARSKSADRGFSASVAREISAELSTADGAPAKKKGLVKDPHALWRRYVDWLYQHKELGLFLDVSRVGFTDEFVSEMEPRFQAAFKAMEELEKGAIANPDEGRMVGHYWLRNPKLAPNSFLRLQIENTLGDLLKFSNDVVSGKIKPPSSPAGRFTQVLSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLNFAKQGVAITQEGSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIEEMLAGALLMDESNRTTVVKNNPAALLALSWYWASDGLGSKDMVVLPYKDSLLLFSRYLQQLVMESIGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYGNDRESITVTVQEVTPRSVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTLEEVADRCHSPEQIEMIYKIIAHMAANDRALIAEGSCGSPRSIKVFLGECNVDALYA >ONI35298 pep chromosome:Prunus_persica_NCBIv2:G1:43236197:43240596:1 gene:PRUPE_1G528400 transcript:ONI35298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGIYSASPTLKRQKPLLKSTSLFRKDSGSVSVSFSARSKSADRGFSASVAREISAELSTADGAPAKKKGLVKDPHALWRRYVDWLYQHKELGLFLDVSRVGFTDEFVSEMEPRFQAAFKAMEELEKGAIANPDEGRMVGHYWLRNPKLAPNSFLRLQIENTLGDLLKFSNDVVSGKIKPPSSPAGRFTQVLSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLNFAKQGVAITQEGSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIEEMLAGALLMDESNRTTVVKNNPAALLALSWYWASDGLGSKDMVVLPYKDSLLLFSRYLQQLVMESIGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYGNDRESITVTVQEVTPRSVGALIALYERAVGIYASLVNINAYHQPGK >ONI36212 pep chromosome:Prunus_persica_NCBIv2:G1:46873630:46875251:1 gene:PRUPE_1G575400 transcript:ONI36212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKASPMPIQNQLCSIVCMASSVFLNCHISAALLFEWRSTKLIPNKNRLIIKGLKLRASWNLPRQIVIGQVQMSEKCQIRDAHQKVGSWTNQEFLTVSCHQSSTGFFQSKDFVISSSISSR >ONI31374 pep chromosome:Prunus_persica_NCBIv2:G1:30059125:30059604:-1 gene:PRUPE_1G308900 transcript:ONI31374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMHVLCGPVVEIESVVVNALEKKVTLICRYPRVAKVPARPLVAAVYRNPLNKFALIKRIFRSSSS >ONI28324 pep chromosome:Prunus_persica_NCBIv2:G1:10813326:10817874:-1 gene:PRUPE_1G138300 transcript:ONI28324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDSVEGNHHGDFNEDEFAENSFSPEASDRSGVLGDPEILPRVGDEYQVEVPSLIAVSDYLWLLKNPTVAEIAAGGSFGLIAGLPIPVMWINEGVDGKKHEPETAFHDAVYVSNKNESLKSEHVRETLFVLASDNIKCKVEPMDVKFDHGVISGESAKFALKQEVITEMHQNDTGRGCPVPGSAGDNWSDIEEASFLLGLYIFGKNLILVKKFVGSKKMGDILSFYYGKFYRSDRYRRWSECQKRKSRKCIFGQRIFTGSRQQELLSRLLPDVSVECQNTLLEVSKTFGEGKILLEEYVFILKARFGLNALVEAVGIGKGKRDLTGIATETLKSNQAVPVRPEIPIGKACSTLTPLEIVNFLTGDFRLSKARSSDLFWEAVWPRLLARGWHSEQPSHGFSTGSKHSLVFLIPGIKKFSRRKLVKGSHYFDSVTDVLSKVASDPELLELDMGANKGSGSKDENGWTDETKLDEEDFPNQQRHCYLKPRTPKRNGDVVKFTVVDTSLSNGKTSKVRELRSLPFELNTPTSSSDSEDDDGDASESTDKSISVDNLCSHRDEVNVPKAIKIRLGRKDDKYFEYDTSKWEHQVFVQGANIPKDDNVGMCNDMQPRKAMKCQKSRKMVSQNKNHVAPVSKRRRLAACSRAETTRSMDHVLQGRLLQQDACSSGGHLELSEKTPSQMDPSEEKLSSTSTSSRGGSPVFSGEGIPGRNNLHVEQPHEIPQPRTLIDLNIPISLDAETDEPFTMIERQDDQTSQELDEPHSVKSSECRGTSEQQPTINSRRQSTRNRPLTTKVLEAFACGFLDTKQKRKSSDAFPGDSSKPSRRARTRKRVPDSFDASLADFNMQETTAAIHNSTADGFSKVDMVS >ONI28323 pep chromosome:Prunus_persica_NCBIv2:G1:10812744:10818013:-1 gene:PRUPE_1G138300 transcript:ONI28323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDSVEGNHHGDFNEDEFAENSFSPEASDRSGVLGDPEILPRVGDEYQVEVPSLIAVSDYLWLLKNPTVAEIAAGGSFGLIAGLPIPVMWINEGVDGKKHEPETAFHDAVYVSNKNESLKSEHVRETLFVLASDNIKCKVEPMDVKFDHGVISGESAKFALKQEVITEMHQNDTGRGCPVPGSAGDNWSDIEEASFLLGLYIFGKNLILVKKFVGSKKMGDILSFYYGKFYRSDRYRRWSECQKRKSRKCIFGQRIFTGSRQQELLSRLLPDVSVECQNTLLEVSKTFGEGKILLEEYVFILKARFGLNALVEAVGIGKGKRDLTGIATETLKSNQAVPVRPEIPIGKACSTLTPLEIVNFLTGDFRLSKARSSDLFWEAVWPRLLARGWHSEQPSHGFSTGSKHSLVFLIPGIKKFSRRKLVKGSHYFDSVTDVLSKVASDPELLELDMGANKGSGSKDENGWTDETKLDEEDFPNQQRHCYLKPRTPKRNGDVVKFTVVDTSLSNGKTSKVRELRSLPFELNTPTSSSDSEDDDGDASESTDKSISVDNLCSHRDEVNVPKAIKIRLGRKDDKYFEYDTSKWEHQVFVQGANIPKDDNVGMCNDMQPRKAMKCQKSRKMVSQNKNHVAPVSKRRRLAACSRAETTRSMDHVLQGRLLQQDACSSGGHLELSEKTPSQMDPSEEKLSSTSTSSRGGSPVFSGEGIPGRNNLHVEQPHEIPQPRTLIDLNIPISLDAETDEPFTMIERQDDQTSQELDEPHSVKSSECRGTSEQQPTINSRRQSTRNRPLTTKVLEAFACGFLDTKQKRKSSDAFPGDSSKPSRRARTRKRVPDSFDASLADFNMQETTAAIHNSTADGFSKVDMVS >ONI28325 pep chromosome:Prunus_persica_NCBIv2:G1:10812747:10818275:-1 gene:PRUPE_1G138300 transcript:ONI28325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVEGNHHGDFNEDEFAENSFSPEASDRSGVLGDPEILPRVGDEYQVEVPSLIAVSDYLWLLKNPTVAEIAAGGSFGLIAGLPIPVMWINEGVDGKKHEPETAFHDAVYVSNKNESLKSEHVRETLFVLASDNIKCKVEPMDVKFDHGVISGESAKFALKQEVITEMHQNDTGRGCPVPGSAGDNWSDIEEASFLLGLYIFGKNLILVKKFVGSKKMGDILSFYYGKFYRSDRYRRWSECQKRKSRKCIFGQRIFTGSRQQELLSRLLPDVSVECQNTLLEVSKTFGEGKILLEEYVFILKARFGLNALVEAVGIGKGKRDLTGIATETLKSNQAVPVRPEIPIGKACSTLTPLEIVNFLTGDFRLSKARSSDLFWEAVWPRLLARGWHSEQPSHGFSTGSKHSLVFLIPGIKKFSRRKLVKGSHYFDSVTDVLSKVASDPELLELDMGANKGSGSKDENGWTDETKLDEEDFPNQQRHCYLKPRTPKRNGDVVKFTVVDTSLSNGKTSKVRELRSLPFELNTPTSSSDSEDDDGDASESTDKSISVDNLCSHRDEVNVPKAIKIRLGRKDDKYFEYDTSKWEHQVFVQGANIPKDDNVGMCNDMQPRKAMKCQKSRKMVSQNKNHVAPVSKRRRLAACSRAETTRSMDHVLQGRLLQQDACSSGGHLELSEKTPSQMDPSEEKLSSTSTSSRGGSPVFSGEGIPGRNNLHVEQPHEIPQPRTLIDLNIPISLDAETDEPFTMIERQDDQTSQELDEPHSVKSSECRGTSEQQPTINSRRQSTRNRPLTTKVLEAFACGFLDTKQKRKSSDAFPGDSSKPSRRARTRKRVPDSFDASLADFNMQETTAAIHNSTADGFSKVDMVS >ONI31660 pep chromosome:Prunus_persica_NCBIv2:G1:30938641:30948444:1 gene:PRUPE_1G324100 transcript:ONI31660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSCKLVGGGSLPSAVGENQFPWKRSVTTTPKRALPLQVRSEGKRKIEAPKAFGVSRRDLMLCLPAGTLAAATLFPIKPAEARIVKPEIRRKIQEKFEKIREQFGLSKPKTNDGKEPYPSPPSSEEKKPQTLPPAPPQNAQGSLLVPPVEAILP >ONI32907 pep chromosome:Prunus_persica_NCBIv2:G1:35005917:35014218:-1 gene:PRUPE_1G393200 transcript:ONI32907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYRKPPDGLLEISERVYVFDCCFTTDVLEEDEYKVYIGGIASQLREQFPEASFMVFNFRDGENQSLICNILSEYDMTVMDYPRHYEGCPLLTMEAIHHFLRSSESWLSLGQQNVLLMHCERGGWLVLAFMLAALLIYRKQYTGEHKTLDIIYKQAPRELLQLMSPLNPMPSQLRYLQYITRRNVGSEWPPLDRALTLDCIIIRFIPNMDGEGGCRPIFRIYGQDPFMAADRTPKVLFSTPKRSKLVRHYKQADCELVKIDIHCHIQGDVVLECISLDNDLEREEMMFRIMFNTAFIRSNILMLNREDIDILWNVKDQFPKDFRAEVLFSEMDAATSLISIDLPGMEEKDGLPVEAFAKVQEIFSNVDWLDPKTDVTLNVLQRIASSGALQNLDSASVHNTETGSLLLESTSANLKSEVKASENNIKGPTSVAQGKQDSASAYTAETGNLLLESLPAKLISEPKAAENSIKSPQYRPHGKQSLSSFEPSLNANSIRKKIEPQELQVALLRPAQSKIISQRAPQASRSAPVSYCNSLQGSPVPISRYHSAPSALGITALLQDHAASNSVEEITHPVTISPPSHASSAPDLTVPKSVQPGKLSIPAPPPLSASPSSLQSSGHATVEKISAATAPSSLPPALPQRTSNSSLSLLTQHTETTLQGRGGSPSSSVKNSVSIPPPPPPPFSGSPPLPSFLEASSSSSPKNSISALAPPPPTSFPGASSSLNNTISGPPPPSFQRTSSSFESSVSAPPPPPPPPFSGSSSTVMTSSIAALPPPPPPPPPPTSVLLLLLLVLLLQQSSPPPPPPPAFAPKESLSQNSAPVPPVPPPPLPNGVSISGGAPTAPHFRASNGNIPSIPGPPSGVPFSLKGRGLTRPGSRNQAQPKKANLKPYHWLKLTRAMQGSLWAEAQKADEATKPPEFDMSELESLFSAVTPNSDHGNTGGKSSRRTTGPKSEKVQLIELRRAYNCEIMLSKVKIPLPDVMSSVLALDDSALDIDQVENLIKFCPTKEEMELLKGYSGDKENLGRCEQFFLELMKVPRVEPKLRVFSFKIQFHSQVSDLRKNLNTVNSVADQIRSSEKLKRIMQTILSLGNALNHGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLAEKLPELLDFPKDLTSLEASTKIQLKYLAEEMQAISKGLEKVVQELTASENDGPVSETFCKTLKEFLSHAEAEVRSLASLYSNVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFARAHEENCKQLEFEKKKAQKEAQNEKMKAGTVPKKELLIQTPIKSGNIKS >ONI29639 pep chromosome:Prunus_persica_NCBIv2:G1:21090420:21093881:-1 gene:PRUPE_1G207200 transcript:ONI29639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALIAMLVVLGVVVPLGASKEQLSTRECENLGFTGLALCSDCNSLAEYVKDQELVSDCRKCCTEDSDDSISKVTYSGAILEVCMRKLVFYPEIVGFIEEEKDRFPSVKVQYIFNSPPKLILLDDADEHKETIRIDNWKREHILQFLQGKVKRASAI >ONI31315 pep chromosome:Prunus_persica_NCBIv2:G1:29921011:29923272:1 gene:PRUPE_1G305900 transcript:ONI31315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMSLSSTEESTTSDSISISPNNHLLPTTIAVSPIAKSSPHADSLCRLGSGASSVILDSEISSGGGGGIEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAAKAYDVAAQRFRGRDAVTNFKPSPTGTGTDDDDESDDVEAAFLNTHSKSEIVDMLRKHTYNDELEQSKRNNYGFLDANGKRARAHGPLGPFGSDRVPKAREQLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLQSGNNFNNHTSTSTSGGSACKGVLLNFEDVGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVSFQRSTGQDKQLYIDWKPRMNVNNNNNSGVGSNPVPVQQVQMVRLFGVNIFKIPGSGGGAVVDAATAAIGGGSCNNNINNVGKRMREMELLELEFSKKPRIIGAL >ONI27187 pep chromosome:Prunus_persica_NCBIv2:G1:5225989:5229004:1 gene:PRUPE_1G073200 transcript:ONI27187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKEEKAEAAAERIKAAALTAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDLKSNVSTIAGAASQCQKCYQPGHWTYECKNERVYISRPSRTQQLKNPKLKMKVSESYDLDNPDNINKEEKSEKQSKKSKRKHRATSDSGSDSEASVFDTDDSGASSVTGSDYSSEESSSDYSSSSDSEEERKRLRRKKKKQKMGRRRRRYSSSSESSETESASESDSDDRRSRRKSRRHSRKR >ONI27188 pep chromosome:Prunus_persica_NCBIv2:G1:5225989:5229004:1 gene:PRUPE_1G073200 transcript:ONI27188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKEEKAEAAAERIKAAALTAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDLKSNVSTIAGAASQCQKCYQPGHWTYECKNERVYISRPSRTQQLKNPKLKMKVSESYDLDNPDNINKEEKSEKQSKKSKRKHRATSDSGSDSEASVFDTDDSGASSVTGSDYSSEESSSDYSSSSDSEEERKRLRRKKKKQKMGRRRRRYSSSSESSETESASESDSDDRRSRRKSRRHSRKR >ONI27186 pep chromosome:Prunus_persica_NCBIv2:G1:5225989:5227851:1 gene:PRUPE_1G073200 transcript:ONI27186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKEEKAEAAAERIKAAALTAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDLKSNVSTIAGAASQCQKCYQPGHWTYECKNERVYISRPSRTQQLKNPKLKMKVSESYDLDNPDNINKEEKSEKQSKKSKRKHRATSDSGSDSEASVFDTDDSGASSVTGSDYSSEESSSDYSSSSDSEEERKRLRRKKKKQKMGRRRRRYSSSSESSETESASESDSDDRRSRRKSRRHSRKR >ONI30393 pep chromosome:Prunus_persica_NCBIv2:G1:26122218:26123368:1 gene:PRUPE_1G248800 transcript:ONI30393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPLSILIVMCCYLYSCKLVLSGQAIESPQYKVVHSESDFELRQYRESSWMSALVRETTSFEKATKDGFHRLYQYIHGANLNTSEITMTAPVLTSIVPSVHGPAEYYVRLYLPAKYERTPPQPSSDLNLQFDKWRSHCIAVRKFTGFAKDDHFHKEFETLVNSLNKHLNGKPAILEDKSSYAIAQYNASYHLSGRLNEVWMDLSGFTSDC >ONI36516 pep chromosome:Prunus_persica_NCBIv2:G1:47776718:47781580:-1 gene:PRUPE_1G588100 transcript:ONI36516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNTSEELGKHSTSVYRVREDSEYVRLVISNETRTAEADILQPQSETRVKSFLWWIRALAGCLVIIIFLLIFLKWGMPFLFEKVLLPILQWEATAFGRPVLALVLVASLALFPVVLIPSGPSMWLAGMIFGYGFGFVIIMVGTTIGMVLPYLIGLFFRDRIHQWLKRWPRNAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVVTSMTFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVKYGNYHLTTVEIIYNIISLIVAVITTVAFTVYAKNALNELKREEETSGVEASASGHGSLEMEKASC >ONI36513 pep chromosome:Prunus_persica_NCBIv2:G1:47777307:47778812:-1 gene:PRUPE_1G588100 transcript:ONI36513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNTSEELGKHSTSVYRVREDSEYVRLVISNETRTAEADILQPQSETRVKSFLWWIRALAGCLVIIIFLLIFLKWGMPFLFEKVLLPILQWEATAFGRPVLALVLVASLALFPVVLIPSGPSMWLAGMIFGYGFGFVIIMVGTTIGMVLPYLIGLFFRDRIHQWLKRWPRNAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVVTSMTFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVKYGNYHLTTVEIIYNIISLIVAVITTVAFTVYAKNALNELKREEETSGVEASASGHGSLEMEKASC >ONI36519 pep chromosome:Prunus_persica_NCBIv2:G1:47776718:47781581:-1 gene:PRUPE_1G588100 transcript:ONI36519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNTSEELGKHSTSVYRVREDSEYVRLVISNETRTAEADILQPQSETRVKSFLWWIRALAGCLVIIIFLLIFLKWGMPFLFEKVLLPILQWEATAFGRPVLALVLVASLALFPVVLIPSGPSMWLAGMIFGYGFGFVIIMVGTTIGMVLPYLIGLFFRDRIHQWLKRWPRNAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVVTSMTFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVKYGNYHLTTVEIIYNIISLIVAVITTVAFTVYAKNALNELKREEETSGVEASASGHGSLEMEKASC >ONI36515 pep chromosome:Prunus_persica_NCBIv2:G1:47776718:47781579:-1 gene:PRUPE_1G588100 transcript:ONI36515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNTSEELGKHSTSVYRVREDSEYVRLVISNETRTAEADILQPQSETRVKSFLWWIRALAGCLVIIIFLLIFLKWGMPFLFEKVLLPILQWEATAFGRPVLALVLVASLALFPVVLIPSGPSMWLAGMIFGYGFGFVIIMVGTTIGMVLPYLIGLFFRDRIHQWLKRWPRNAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVVTSMTFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVKYGNYHLTTVEIIYNIISLIVAVITTVAFTVYAKNALNELKREEETSGVEASASGHGSLEMEKASC >ONI36514 pep chromosome:Prunus_persica_NCBIv2:G1:47776718:47781563:-1 gene:PRUPE_1G588100 transcript:ONI36514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNTSEELGKHSTSVYRVREDSEYVRLVISNETRTAEADILQPQSETRVKSFLWWIRALAGCLVIIIFLLIFLKWGMPFLFEKVLLPILQWEATAFGRPVLALVLVASLALFPVVLIPSGPSMWLAGMIFGYGFGFVIIMVGTTIGMVLPYLIGLFFRDRIHQWLKRWPRNAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVVTSMTFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVKYGNYHLTTVEIIYNIISLIVAVITTVAFTVYAKNALNELKREEETSGVEASASGHGSLEMEKASC >ONI36518 pep chromosome:Prunus_persica_NCBIv2:G1:47776718:47780862:-1 gene:PRUPE_1G588100 transcript:ONI36518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNTSEELGKHSTSVYRVREDSEYVRLVISNETRTAEADILQPQSETRVKSFLWWIRALAGCLVIIIFLLIFLKWGMPFLFEKVLLPILQWEATAFGRPVLALVLVASLALFPVVLIPSGPSMWLAGMIFGYGFGFVIIMVGTTIGMVLPYLIGLFFRDRIHQWLKRWPRNAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVVTSMTFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVKYGNYHLTTVEIIYNIISLIVAVITTVAFTVYAKNALNELKREEETSGVEASASGHGSLEMEKASC >ONI36512 pep chromosome:Prunus_persica_NCBIv2:G1:47776718:47779198:-1 gene:PRUPE_1G588100 transcript:ONI36512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVSYVYLILLDTFNNFQLNLIALSTASGVSLMMTNTSEELGKHSTSVYRVREDSEYVRLVISNETRTAEADILQPQSETRVKSFLWWIRALAGCLVIIIFLLIFLKWGMPFLFEKVLLPILQWEATAFGRPVLALVLVASLALFPVVLIPSGPSMWLAGMIFGYGFGFVIIMVGTTIGMVLPYLIGLFFRDRIHQWLKRWPRNAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVVTSMTFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVKYGNYHLTTVEIIYNIISLIVAVITTVAFTVYAKNALNELKREEETSGVEASASGHGSLEMEKASC >ONI36517 pep chromosome:Prunus_persica_NCBIv2:G1:47776718:47781372:-1 gene:PRUPE_1G588100 transcript:ONI36517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNTSEELGKHSTSVYRVREDSEYVRLVISNETRTAEADILQPQSETRVKSFLWWIRALAGCLVIIIFLLIFLKWGMPFLFEKVLLPILQWEATAFGRPVLALVLVASLALFPVVLIPSGPSMWLAGMIFGYGFGFVIIMVGTTIGMVLPYLIGLFFRDRIHQWLKRWPRNAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVVTSMTFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVKYGNYHLTTVEIIYNIISLIVAVITTVAFTVYAKNALNELKREEETSGVEASASGHGSLEMEKASC >ONI32872 pep chromosome:Prunus_persica_NCBIv2:G1:34832713:34833750:-1 gene:PRUPE_1G390600 transcript:ONI32872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFIIKKKLAKKMRQNRPIPHWIRLRTDNTIRYNAKRRHWRRTKLGF >ONI28604 pep chromosome:Prunus_persica_NCBIv2:G1:11924149:11924551:1 gene:PRUPE_1G150900 transcript:ONI28604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPATSSASFNLGKPIMYEIKTIMEATMNLSEHCRIGGSVYRAIIDGQVLAVKNTKEDVTEELNILQKVNHANLVKLMGISSDHLEAFGFPMPPFVTPPSLKLIFPNQLIFPNQQ >ONI36201 pep chromosome:Prunus_persica_NCBIv2:G1:46825017:46829651:1 gene:PRUPE_1G574800 transcript:ONI36201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQNFNQFRLIVGLYTFWVKMASMEQPSPLKRREASASRKDDKLIITPLGAGNEVGRSCVYLSYKGKTVLFDCGIHLAYSGMAALPYFDEIDPSTIDVVLISHFHLDHAASLPYFLEKTTFKGRVFMTYATKAIYKLLLTDYVKVSKVSVEDMLFDEQDINASMDKIEVIDFHQTVEVEGIRFWCYTAGHVLGAAMFMVDIAGIRVLYTGDYSREEDRHLRAAEIPQFSPDVCIIESTYGVQHHQPRHIREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWANHPDLQNIPIYYASPLAKRCLSVYETYTLSMNDRIRNAKSNPFIFKYISPLKSIENFKDVGPSVVMASPGGLQSGLSRQLFDKWCSDKKNACVIPGYVVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHYISFSAHADCFQTTAFLEELRPPNIILVHGEANEMGRLKQKLMTQFADRNTNILTPKNCQSVEMYFNSQKMAKAIGRPAEKTPEVGESISGLLVKKGFSYQIMASDDLHVFSQLCTANVRQRITIPFASGFIVIKHRLSQIYESVESSVDEESGVPTLRVHDRVTVKQDTDKHISLHWSSDPISDMVSDSIVALILNINREVPKVVVESEDVKTEEENGKKVEKVIHALLVSLFGDVKPGENGKLVITVDGNVAQLDKQSGDVESEHEGLKEKVKAAIRRIQSAVKPIPLSAT >ONI36202 pep chromosome:Prunus_persica_NCBIv2:G1:46824694:46829652:1 gene:PRUPE_1G574800 transcript:ONI36202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEQPSPLKRREASASRKDDKLIITPLGAGNEVGRSCVYLSYKGKTVLFDCGIHLAYSGMAALPYFDEIDPSTIDVVLISHFHLDHAASLPYFLEKTTFKGRVFMTYATKAIYKLLLTDYVKVSKVSVEDMLFDEQDINASMDKIEVIDFHQTVEVEGIRFWCYTAGHVLGAAMFMVDIAGIRVLYTGDYSREEDRHLRAAEIPQFSPDVCIIESTYGVQHHQPRHIREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWANHPDLQNIPIYYASPLAKRCLSVYETYTLSMNDRIRNAKSNPFIFKYISPLKSIENFKDVGPSVVMASPGGLQSGLSRQLFDKWCSDKKNACVIPGYVVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHYISFSAHADCFQTTAFLEELRPPNIILVHGEANEMGRLKQKLMTQFADRNTNILTPKNCQSVEMYFNSQKMAKAIGRPAEKTPEVGESISGLLVKKGFSYQIMASDDLHVFSQLCTANVRQRITIPFASGFIVIKHRLSQIYESVESSVDEESGVPTLRVHDRVTVKQDTDKHISLHWSSDPISDMVSDSIVALILNINREVPKVVVESEDVKTEEENGKKVEKVIHALLVSLFGDVKPGENGKLVITVDGNVAQLDKQSGDVESEHEGLKEKVKAAIRRIQSAVKPIPLSAT >ONI28224 pep chromosome:Prunus_persica_NCBIv2:G1:10419659:10421796:1 gene:PRUPE_1G132300 transcript:ONI28224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGEAQNKKKMAIIGVSALILVAMVVAVTVGVTVSRHQGKSGGEQTSTSTKAIQSICQPTDYKKTCEDNLSKVASNVTDPKELVKAGFQVAIGQLREVIKNSTTLKALAKDSRANQALQNCKELLEYAIDDLGDSFDKLGPFDFTKLDSYVEDLKVWLSAAMTYEQTCLDGFQNTTGDAGAKMRQFLKTSQELTSNGLAMVSEVSTLFKALNIKTGRRLLQDAATATDENRFQRAKIIPAWIDNRRLDLATATPLTLKPDVVVSKKGDGKYKTINEALKDIPKNNEVKVFVIYVKEGVYDEHVFFDKHMTNVMLIGDGPTKTVITGRKNYADGTQTYQTATVAVVGDYFIAKDVGFENTAGAIGHQAVALRVQSDLSIFYNCNMDGYQDTLYTQTHRQFYRDCTISGTIDFIFGDAAAVFQNCKMIVRKPLENQACMVTAHGRLDMRSPSALILQNCTISGERGYDKELNKAYLGRPWTSLARAIVMQSQIDDVIAPEGWMEWTGTANHNTCWFGEFGNRGVGAELSKRVTWSGMKKLTPEQAADFTAGKFIFGDRWILPSGVPYVAGMMTGV >ONI32887 pep chromosome:Prunus_persica_NCBIv2:G1:34893797:34894712:1 gene:PRUPE_1G391700 transcript:ONI32887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDLNFYFFSIKVVYLQIYLSFFSFKIFIWAYISKLLAYNFKLEFSSFSIENPIGLLSKSFGIAQKLNTFFPFHTEKYIN >ONI32545 pep chromosome:Prunus_persica_NCBIv2:G1:33888993:33891933:-1 gene:PRUPE_1G372100 transcript:ONI32545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSEMSSVKVGERKQVKRPAQASSRKGCMRGKGGPENAMCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSHEAASAYDAAARKLYGSQAKLNLPDQYHHQVPSSANTHMLSQTPNPSAQLTLLHQNPSGTSSACLSNDYAAPLNDVAVPVLRDHFPNVKAHQPHGTYPEPKPEAEHSKMKVEENMSGNEGMESGIFWGNVSGNFPMFDDSIWVEAAMSLDFPVIEDHGIFSSNFVDGSVWEPLQPSPWCV >ONI34612 pep chromosome:Prunus_persica_NCBIv2:G1:40802139:40804846:1 gene:PRUPE_1G490300 transcript:ONI34612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQALEMDSGEKRLNELGYKQELRREMSLFKTLAITFSCMSVFTGTPLYGQSLRYAGPATMIWGWLVVTFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPRWGPFASWCCAWLETIGLVSAIGAQAYSGSQALQMLILLATGTNKGGGYFASRSVFLCMYMGLTIAWAVLNTFALQVIAFLNIISIWWQVIGGFLMIIMLPLVAQPTQTASYVFTHFETSPEATGVSSIPYAVILSVLLSNYCLYGYDAAAHLTEETKGADRTGPIAILSSIGIISVFGWAYYLALTFSIRDLDYLYNEDNETAGALVPAQIIYDAFYGRFQNSTGAVIFLCIIWGSFFFCGLSVTTTAARAVYAVSRDKCLPLSPIWRKVHPRSKVPINAVWLCAAISMLLGLPILKLDVVFTAILSVSTIGWVGSYAVPIFARLVMAEDNFKPGPFYLGRARRPVCLVAFLWICYTCSIFLLPTSYPLRWKTFNYAPIALSVALALIMLWWVLDARKWYKGPVRNIDAQNGNH >ONI27157 pep chromosome:Prunus_persica_NCBIv2:G1:5039171:5044492:1 gene:PRUPE_1G071200 transcript:ONI27157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISKTEVNLRRLLAAAPQQQNQAKLVHYIATLREQLEQLAEERTPEGLPRVSKAVLSDYSEKIEVIASKLAAPPPDIQAPPESLARISVKANSSDTGDNQFPLSPGLRRRFVPTSNEDGTRETLSVDSSAPVKLDAAAQAHIEKHRKLQEDLTDEMVGLARQLKESSLMMSHSLKDTEKILDSTEKAVESSLASTGHATTRAADIYSKTSKTTCFTWLVMFVMTCVFVMVVLLIRVT >ONI27158 pep chromosome:Prunus_persica_NCBIv2:G1:5039272:5043756:1 gene:PRUPE_1G071200 transcript:ONI27158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISKTEVNLRRLLAAAPQQQNQAKLVHYIATLREQLEQLAEERTPEGLPRVSKAVLSDYSEKIEVIASKLAAPPPDIQAPPESLARISVKANSSDTGDNQFPLSPGLRRRFVPTSNEDGTRETLSVDSSAPVKLDAAAQAHIEKHRKLQEDLTDEMVGLARQLKESSLMMSHSLKDTEKGINRKEEVKE >ONI27156 pep chromosome:Prunus_persica_NCBIv2:G1:5039273:5044469:1 gene:PRUPE_1G071200 transcript:ONI27156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISKTEVNLRRLLAAAPQQQNQAKLVHYIATLREQLEQLAEERTPEGLPRVSKAVLSDYSEKIEVIASKLAAPPPDIQAPPESLARISVKANSSDTGDNQFPLSPGLRRRFVPTSNEDGTRETLSVDSSAPVKLDAAAQAHIEKHRKLQEDLTDEMVGLARQLKESSLMMSHSLKDTEKILDSTEKAVESSLASTGHATTRAADIYSKTSKTTCFTWLVMFVMTCVFVMVVLLIRVT >ONI27159 pep chromosome:Prunus_persica_NCBIv2:G1:5039272:5043756:1 gene:PRUPE_1G071200 transcript:ONI27159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISKTEVNLRRLLAAAPQQQNQAKLVHYIATLREQLEQLAEERTPEGLPRVSKAVLSDYSEKIEVIASKLAAPPPDIQAPPESLARISVKANSSDTGDNQFPLSPGLRRRFVPTSNEDGTRETLSVDSSAPVKLDAAAQAHIEKHRKLQEDLTDEMVGLARQLKESSLMMSHSLKDTEKGINRKEEVKE >ONI28760 pep chromosome:Prunus_persica_NCBIv2:G1:12702147:12709381:-1 gene:PRUPE_1G159700 transcript:ONI28760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNEWINGYLEAILDSGSSAIEEQKPVPENLRDRGNFNPTKYFVEEVVTGVDESDLYRTWIKVVATRNTRERGSRLENMCWRIWHLTRKKKQLEIEEHQRLANRRWEREQGRRDATEDMSEDLSEGEKGDGLGEMLPSDTPRKKFQRNISNLEVWSDDKKEKKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELSRALARMPGVYRVDLFTRQVSSPEVDWSYGEPAEMLTAGPEDGDGDLGESSGAYIIRIPFGPRDQYLSKELLWPYIQEFVDGALAHILNMSKVLGEQIGKGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAEVVITSTKQEIDEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMVVIPPGMDFSNVVVQEDAPEVDGELTQLTGGTDGSSPKALPTIWSELMRFLTNPHKPMILALSRPDPKKNLTTLLKAFGECRPLRDLANLTLIMGNRDYIDEMSAGNASVLTTVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQQSIAGALLKLLSEKNLWGECRKNGWKNIHLYSWPEHCRTYLTRVAACRMRHPQWQTDTPEDEMAAEGSLNDSLKDVQDMSLRLSVDGDKSSLNESLDVTAAAGDHEVQDQVKRVLSKMKKPEYGPKDEGGGNKLLDNVASKYPMLRRRRKLIVVALDCYDSSGSPEKQMIQVVQEIFKAVRLDSQSARVTGFALLTAMPMSETVEFLASGKIQANEFDALVCSSGSEVYYPGTYTEEDGRLFPDPDYASHIDYRWGCEGLKKTIWKLLNAPDGDRNSAASSHIQEDLKSSNAHCISYLIKDPSKARKVDDLRQKLRMRGLRCHPMYSRSSTRMQIVPLLASRAQALRYLFVRWRLNVANMYVFLGDSGDTDYEEMISGTHKTIIMKGVVAKGSEELLRTSGSYLRDDIVPPESPLVTYVSGKAKADEIANALKQVSKSAAGMLL >ONI28762 pep chromosome:Prunus_persica_NCBIv2:G1:12704205:12708953:-1 gene:PRUPE_1G159700 transcript:ONI28762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNEWINGYLEAILDSGSSAIEEQKPVPENLRDRGNFNPTKYFVEEVVTGVDESDLYRTWIKVVATRNTRERGSRLENMCWRIWHLTRKKKQLEIEEHQRLANRRWEREQGRRDATEDMSEDLSEGEKGDGLGEMLPSDTPRKKFQRNISNLEVWSDDKKEKKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELSRALARMPGVYRVDLFTRQVSSPEVDWSYGEPAEMLTAGPEDGDGDLGESSGAYIIRIPFGPRDQYLSKELLWPYIQEFVDGALAHILNMSKVLGEQIGKGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAEVVITSTKQEIDEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMVVIPPGMDFSNVVVQEDAPEVDGELTQLTGGTDGSSPKALPTIWSELMRFLTNPHKPMILALSRPDPKKNLTTLLKAFGECRPLRDLANLTLIMGNRDYIDEMSAGNASVLTTVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQQSIAGALLKLLSEKNLWGECRKNGWKNIHLYSWPEHCRTYLTRVAACRMRHPQWQTDTPEDEMAAEGSLNDSLKDVQDMSLRLSVDGDKSSLNESLDVTAAAGDHEVQDQVKRVLSKMKKPEYGPKDEGGGNKLLDNVASKYPMLRRRRKLIVVALDCYDSSGSPEKQMIQVVQEIFKAVRLDSQSARVTGFALLTAMPMSETVEFLASGKIQANEFDALVCSSGSEVYYPGTYTEEDGRLFPDPDYASHIDYRWGCEGLKKTIWKLLNAPDGDRNSAASSHIQEDLKSSNAHCISYLIKDPSKARKVDDLRQKLRMRGLRCHPMYSRSSTRMQIVPLLASRAQALR >ONI28761 pep chromosome:Prunus_persica_NCBIv2:G1:12702930:12709383:-1 gene:PRUPE_1G159700 transcript:ONI28761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNEWINGYLEAILDSGSSAIEEQKPVPENLRDRGNFNPTKYFVEEVVTGVDESDLYRTWIKVVATRNTRERGSRLENMCWRIWHLTRKKKQLEIEEHQRLANRRWEREQGRRDATEDMSEDLSEGEKGDGLGEMLPSDTPRKKFQRNISNLEVWSDDKKEKKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELSRALARMPGVYRVDLFTRQVSSPEVDWSYGEPAEMLTAGPEDGDGDLGESSGAYIIRIPFGPRDQYLSKELLWPYIQEFVDGALAHILNMSKVLGEQIGKGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAEVVITSTKQEIDEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMVVIPPGMDFSNVVVQEDAPEVDGELTQLTGGTDGSSPKALPTIWSELMRFLTNPHKPMILALSRPDPKKNLTTLLKAFGECRPLRDLANLTLIMGNRDYIDEMSAGNASVLTTVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQQSIAGALLKLLSEKNLWGECRKNGWKNIHLYSWPEHCRTYLTRVAACRMRHPQWQTDTPEDEMAAEGSLNDSLKDVQDMSLRLSVDGDKSSLNESLDVTAAAGDHEVQDQVKRVLSKMKKPEYGPKDEGGGNKLLDNVASKYPMLRRRRKLIVVALDCYDSSGSPEKQMIQVVQEIFKAVRLDSQSARVTGFALLTAMPMSETVEFLASGKIQANEFDALVCSSGSEVYYPGTYTEEDGRLFPDPDYASHIDYRWGCEGLKKTIWKLLNAPDGDRNSAASSHIQEDLKSSNAHCISYLIKDPSKARKVDDLRQKLRMRGLRCHPMYSRSSTRMQIVPLLASRAQALRYLFVRWRLNVANMYVFLGDSGDTDYEEMISGTHKTIIMKGVVAKGSEELLRTSGSYLRDDIVPPESPLVTYVSGKAKADEIANALKQVSKSAAGM >ONI34663 pep chromosome:Prunus_persica_NCBIv2:G1:40997588:41000357:-1 gene:PRUPE_1G493300 transcript:ONI34663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVITVMDIDDDNNPSPKPNNNNNMGKNVVVAAAPSQGKATPWVEKYRPQSLADVAAHRDIVDTIDRLTSENRLPHLLLYGPPGTGKTSTILAVARKLYGAQYHNMILELNASDDRGIDVVRQQIQDFASTQSFSFGGDSAKSSVKLVLLDEADAMTKDAQFALRRVIEKYTRNTRFSLICNHVNKIIPALQSRCTRFRFAPLEEFHVSERLKHVIETEGLDVSESGLAAVVRLSNGDMRKALNILQSTHMASQQQITEEAVYLCTGNPLPKDIEQISYWLLNESFAESFKRIQLSYLFIC >ONI34661 pep chromosome:Prunus_persica_NCBIv2:G1:40995951:41000567:-1 gene:PRUPE_1G493300 transcript:ONI34661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVITVMDIDDDNNPSPKPNNNNNMGKNVVVAAAPSQGKATPWVEKYRPQSLADVAAHRDIVDTIDRLTSENRLPHLLLYGPPGTGKTSTILAVARKLYGAQYHNMILELNASDDRGIDVVRQQIQDFASTQSFSFGAKSSVKLVLLDEADAMTKDAQFALRRVIEKYTRNTRFSLICNHVNKIIPALQSRCTRFRFAPLEEFHVSERLKHVIETEGLDVSESGLAAVVRLSNGDMRKALNILQSTHMASQQQITEEAVYLCTGNPLPKDIEQISYWLLNESFAESFKRISDMKTTKGLALIDIVREVTMFVFKIKMPPVVRVQLINDLADIEYRLTFGCNDRLQLGSLVASFTTARSALVSAAK >ONI34662 pep chromosome:Prunus_persica_NCBIv2:G1:40996741:41000312:-1 gene:PRUPE_1G493300 transcript:ONI34662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVITVMDIDDDNNPSPKPNNNNNMGKNVVVAAAPSQGKATPWVEKYRPQSLADVAAHRDIVDTIDRLTSENRLPHLLLYGPPGTGKTSTILAVARKLYGAQYHNMILELNASDDRGIDVVRQQIQDFASTQSFSFGGDSAKSSVKLVLLDEADAMTKDAQFALRRVIEKYTRNTRFSLICNHVNKIIPALQSRCTRFRFAPLEEFHVSERLKHVIETEGLDVSESGLAAVVRLSNGDMRKALNILQSTHMASQQQITEEAVYLCTGNPLPKDIEQISYWLLNESFAESFKRISDMKTTKGLALIDIVREVTMFVFKIKMPPVVRVQLINDLADIEYRLTFGCNDRLQLGSLVASFTTARSALVSAAK >ONI33152 pep chromosome:Prunus_persica_NCBIv2:G1:35766633:35769025:1 gene:PRUPE_1G408300 transcript:ONI33152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYRIYYTPTGTDRGNVGRPSFPGYLSSETPSLLFDPTLPSTEPPSYSSDFLQRDIRSLTPGAYAVDDTGGIRFRPEPILGVAASAGASIKGYPSPLEVPSLLSQRQAAAAVSISASVPADISKERPPGSLSNVDGPPVLKGESNVLFVDGLPTDCTRREVGHIFRPFIGFKEIKVVHKEPRRSGDKAMVLCFVEFADPKCALTAMEALQGYKFDIKKPDSSALRIQFAHFPFRLPADGNEQRIGIPR >ONI27537 pep chromosome:Prunus_persica_NCBIv2:G1:7121592:7125109:-1 gene:PRUPE_1G093200 transcript:ONI27537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRIGVVLFLLYLSVFYPQSEVQSFATPSDHDLSYMKFVYNSTDLPLEEVYDYIVVGGGTAGCPLAATLSAKYSVLLLEKGSVPSAYPSVLRQDGIITTLALEDDGKTPAERFTSEDGVANVRGRVLGGSSMINTGIYSRAEKEFYGNSGIEWDMDLVNKAYQWVENTVISPQNVSPWQSVVKEGLLEAGVCPDNGYNLNHIPGSKVTGTLFDNQGRRHGAVELLNLGNPKNLRVAVHATVERIIFSSNALSLSARGIVYSDSKGRSHKAFIHGKGEVILSAGAIGSTQLLLLSGVGPEPYLSSIKIPVVHPEPYIGQFMRDNPRNYITILPPFQLDASTPQIAGITSDFYIETFSGLPFSTPPFSVFPDPSFSTKINSTFGQIAYKIPGPLSHGSLRLQSSYDVKVGPNVRFNYFANPLDLARCVSATRKIGDLLSTNSLKPFKAQDLPGIDGFNFFGPPLPMNLADTASLETFCRETVATFWHYHGGCLVGKVVDGDLRVKGINALRVVDGSTFKFSPGTNPQATLMMLGRYIGVKMLKER >ONI27826 pep chromosome:Prunus_persica_NCBIv2:G1:8563658:8566164:1 gene:PRUPE_1G106700 transcript:ONI27826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSLSFSSSLLPHPLPLNKNSTAIHRAQDSNFKLVHCALAAPATRTRSTSSSSSETRKRHWKQGEFPGVSETSIPGTYRRAPLKNVKKKLDRKNNAKAWANTVTEALSDAIDKKQWLQALEVFDMLREQPFYQPKEGTYMKLLGLLGRCGQPHRARQLFDAMVEEGCEPTLELYTALLTAYCRNNLIDEAFSVLNQMKTLPHCQPDVFTYSTLIKVCIDALKFELVESLYEEMAERLITPNTVTQNIVLSGYGKAGKYDQMEKVLSGMLEGATCKPDVWTMNVILSVFGNKGQIDMMERWYEKFRDFGIEPETRTFNILIGAYGKKKLYDKMSTVMEYMRKLQFPWTTATYNNVIEAFADVGDAKNMEYTFDQMRAEGMKADTKTFCCLINGYANAGLFHKVVSSVQLAGKFEIPENTTFYNAVIAACAKAEDLMEMERVFKRMKEKQCPPDSTTYSLMVEAYSKEGMNDKIYYLEQEIGVDVNQNNNSSGIESDAVV >ONI35376 pep chromosome:Prunus_persica_NCBIv2:G1:43526584:43530941:1 gene:PRUPE_1G532300 transcript:ONI35376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFTQLSWWLWSGKQKEPRISNSSSLSSSPDPGMWESDGLKFPSVKGASMASSSSRRVKRKWHSREERKIDREYDLVLVPSEGGCFSGSESDDSDWSVGWLEPHGPGFRSDDDTDDSFAVLVPCYGHVIHDMVKDPKSNILSTVGNIPDGYSDESKKYMEEWLSSLRNS >ONI35375 pep chromosome:Prunus_persica_NCBIv2:G1:43526604:43530941:1 gene:PRUPE_1G532300 transcript:ONI35375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFTQLSWWLWSGKQKEPRISNSSSLSSSPDPGMWESDGLKFPSVKGASMASSSSRRVKRKWHSREERKIDREYDLVLVPSEGGCFSGSESDDSDWSVGWLEPHGPGFRSDDDTDDSFAVLVPCYGHVIHDMVKDPKSNILSTVGNIPDGYSDESKKYMEEWLSSLRNS >ONI35377 pep chromosome:Prunus_persica_NCBIv2:G1:43526494:43530941:1 gene:PRUPE_1G532300 transcript:ONI35377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFTQLSWWLWSGKQKEPRISNSSSLSSSPDPGMWESDGLKFPSVKGASMASSSSRRVKRKWHSREERKIDREYDLVLVPSEGGCFSGSESDDSDWSVGWLEPHGPGFRSDDDTDDSFAVLVPCYGHVIHDMVKDPKSNILSTVGNIPDGYSDESKKYMEEWLSSLRNS >ONI35374 pep chromosome:Prunus_persica_NCBIv2:G1:43526552:43530941:1 gene:PRUPE_1G532300 transcript:ONI35374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFTQLSWWLWSGKQKEPRISNSSSLSSSPDPGMWESDGLKFPSVKGASMASSSSRRVKRKWHSREERKIDREYDLVLVPSEGGCFSGSESDDSDWSVGWLEPHGPGFRSDDDTDDSFAVLVPCYGHVIHDMVKDPKSNILSTVGNIPDGYSDESKKYMEEWLSSLRNS >ONI29161 pep chromosome:Prunus_persica_NCBIv2:G1:16641602:16646043:1 gene:PRUPE_1G184200 transcript:ONI29161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPFTGSSRKLNETQTELPRKETETALPQKETESQLPQDRTSEAENSNQTWNIRENVITATESRNVGIFNFNNEYHEGGAVDHHQGMKGQNKTDYNIDCNKIDSKDGEFVGIGKFGNKYYNSRKDEARSSSEEEETSKP >ONI29160 pep chromosome:Prunus_persica_NCBIv2:G1:16641602:16646072:1 gene:PRUPE_1G184200 transcript:ONI29160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPFTGSSRKLNETQTELPRKETETALPQKETESQLPQDRTSEAENSNQTWNIRENVITATESRNVGIFNFNNEYHEGGAVDHHQGMKGQNKTDYNIDCNKIDSKDGEFVGIGKFGNKYYNSRKDEARSSSEEEETSKP >ONI31575 pep chromosome:Prunus_persica_NCBIv2:G1:30744180:30749160:-1 gene:PRUPE_1G320400 transcript:ONI31575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNMEESLLLPKYREDEQQRNKSSSDSSASTLMTWGSFFEEVKRLGCIAGPMVAVILSQYLLQVISMMMVGHLSELALSSTAIAISLSGVTGFSLFLGMASALETLCGQAYGAKQYQKLGLQTYTAIFSLNLVCLPLSLIWIYMEKILIFIGQDPVISHEAGKFTTWLLPALFAYATLQPLIRYFQTQSLIIPMLISSFAILLFHIPLCWILVFKSGLDNLGGALAISISYWLNVILLGLYMKFSSACSKTRAPISKEIFQGIGEFFRFAVPSAIMICLEWWSFELLILLSGLLPNPALETSVLSVCLQTISTLYAIPYGFGAAASTRVSNELGAGNPQGARVATCAAMFLAVTETSIITTTLFACRNVFGYTFSNEKEVIDYVTTMAPLVCLSVILDSLQGVLSGIARGTGWQHIGAYINLGAFYLCGIPVAATMAFWVQLRGRGLWIGIQVGSFVQTILLSFVTSCTNWEKQASKARERIFEGRPPELNGLCDKAESNEF >ONI31576 pep chromosome:Prunus_persica_NCBIv2:G1:30744967:30749035:-1 gene:PRUPE_1G320400 transcript:ONI31576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNMEESLLLPKYREDEQQRNKSSSDSSASTLMTWGSFFEEVKRLGCIAGPMVAVILSQYLLQVISMMMVGHLSELALSSTAIAISLSGVTGFSLFVRMASALETLCGQAYGAKQYQKLGLQTYTAIFSLNLVCLPLSLIWIYMEKILIFIGQDPVISHEAGKFTTWLLPALFAYATLQPLIRYFQTQSLIIPMLISSFAILLFHIPLCWILVFKSGLDNLGGALAISISYWLNVILLGLYMKFSSACSKTRAPISKEIFQGIGEFFRFAVPSAIMICLEWWSFELLILLSGLLPNPALETSVLSVCLQTISTLYAIPYGFGAAASTRVSNELGAGNPQGARVATCAAMFLAVTETSIITTTLFACRNVFGYTFSNEKEVIDYVTTMAPLVCLSVILDSLQGVLSGIARGTGWQHIGAYINLGAFYLCGIPVAATMAFWVQLRGRGLWIGIQVGSFVQTILLSFVTSCTNWEKQASKARERIFEGRPPELNGLCDKAESNEF >ONI32879 pep chromosome:Prunus_persica_NCBIv2:G1:34861724:34863545:1 gene:PRUPE_1G391100 transcript:ONI32879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIRTARSALRLSSSCSCKTLINGIQRHPNPSLQVQKAISTSLFQNRPYASETLPKSPMEANILRILRNEEEYQSEYAPPEQPPTKFNSFTVQDRPGELWMTMRGKFGDTEDIKIEVTMFDGYETVPKTGDDSSGEDVRLHLSMIVDISRGDGSNDLEFLCSAWPDRLEVQKVYVLDRYRMPAKPYMGPDFRSLKRTIQKRFIEYLEARGVDDELSVFLHQYMANKDRIELIKWLGKVKSFLEK >ONI32880 pep chromosome:Prunus_persica_NCBIv2:G1:34861804:34863896:1 gene:PRUPE_1G391100 transcript:ONI32880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIRTARSALRLSSSCSCKTLINGIQRHPNPSLQVQKAISTSLFQNRPYASETLPKSPMEANILRILRNEEEYQSEYAPPEQPPTKFNSFTVQDRPGELWMTMRGKFGDTEDIKIEVTMFDGYETVPKTGDDSSGEDVRLHLSMIVDISRGDGSNDLEFLCSAWPDRLEVQKVYVLDRYRMPAKPYMGPDFRSLKRTIQKRFIEYLEARGVDDELSVFLHQYMANKDRIELIKWLGKVKSFLEK >ONI35855 pep chromosome:Prunus_persica_NCBIv2:G1:45485376:45486274:1 gene:PRUPE_1G557300 transcript:ONI35855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDLKGLTICFVYSRFSTSSYWRYLELEDSQGLIGIIVRNLTKQTALHTKIAFASLKSSSEPEESEDDSGEPEEPEDYYLWQGQLSNDVLRLQGGDRVSILVRPDDVGFVRVKKTGVHLEWDKVMNENMDNPDPHLYDWETKGDF >ONI30050 pep chromosome:Prunus_persica_NCBIv2:G1:24140097:24143807:-1 gene:PRUPE_1G228400 transcript:ONI30050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIASISILRHSTIIPTTTATTLPTVAKLIRQISTLRCFSVAAAKQQHNPNVSRKSQPPSKKLLRAKQTVKDYSSLAPVLSPQDKPPLSESKSVGTVAAAQANFMRVIVEPPRSFDESKVELLCVVKAVLKKIKRRVLVGDKVLVGSIDWVDRRGMIENVYQRSSEILDPPVANVDHLLVLFSMDQPKLEPFALTRFLIEAESTGIPLTLALNKCELVDEEAMVAWNSRLHKWGYKPNFCSVESKYGLDSLAFILRDQTSVIVGPSGVGKSSLINALRNNHNASDAAEGENLFDPILGSKWFEDQLVGQVSTRSGRGKHTTRNVSLLPLSAGGYLADTPGFNQPSLMKVTKQSLAQAFPEIRQMLSDSEPAKCSFNDCLHLGEPGCIVKADWERYPYYFQLLDEIRIREEFQLRTFGTKKEGDVRYKMGDKGVQQAEPRLELKKHRRVSRKSMNQSLLDELDELDDDDNLLDEENDPFLKAMRDENQ >ONI28732 pep chromosome:Prunus_persica_NCBIv2:G1:12552549:12554137:1 gene:PRUPE_1G158300 transcript:ONI28732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTGWFWVQYSYALITRLRNNKIDTRVSDQWANLPVLFLILDNLQEPTDHIRVAAVCKQWRVAAKEYNRISQRWQRELLPMLLVPTATGSKGKPKLYNFPGGETSDIELSVPCNMISMCHGHGWLATMDVLEKHLIVGKSKFFWNQHLELSKQELWTITLVNPFRKAAAPIRLPRLGFCYHHSFASQGSDELRKCGLVPKHIPKVILSEDPTLNPDSYMVIAVCRRVSTLAFTKGGRKYWTQLFTARGVMDAIFHKSLVLAVLDFGNILSVDINSRSMKTKYFNQERFERRADEAYLVESTKGDLLHVRRLPKDDHGGSGKRFMVYKLVFNERGGSVQHVEMKSIGDEVLFLSENGSISVLASNFPQCQPNSIYYMDFRLRTEISNFNLEDETITRYRYSSDISQALWIVDMFNGLC >ONI29086 pep chromosome:Prunus_persica_NCBIv2:G1:15689949:15690540:1 gene:PRUPE_1G180400 transcript:ONI29086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKGIWSFVPETKHIITFFNPLNPIVGPNSTRKTTILEYLKLSCSNELPPNARSGHNFIHDPKVVVETKPNAQIKLRFRTSAIKDVVCIRSFQLTQKALKWSSKPSTSSFKPSYHRTGEGHLENVIFVHQDEANWPFQDPSTLKEKV >ONI28927 pep chromosome:Prunus_persica_NCBIv2:G1:13904668:13906929:-1 gene:PRUPE_1G169700 transcript:ONI28927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSNGLSLVLLVGLVFSSLMVATYAGNFYQDFDLTWGGNRPKIFKGGQLLSLSLDKVSGSGFQSKKEYLFGRIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYVLHTNVFTQGKGNREQQFYLWFNPSRNFHTYSVIWKPQHIIFLVDNIPIRVFNNAESVGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFNVMESKTSNSFAEWHTNALDAPSRRRLRWVQKYFMIYNYCTDLKRFPQGPPVECKH >ONI26455 pep chromosome:Prunus_persica_NCBIv2:G1:1808017:1808869:1 gene:PRUPE_1G026100 transcript:ONI26455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKTSGTGPKTAGRPNIIVSEARVVYTKSFVGKTLQVVNIFLRDLSFDFNEAWVVLINSFVGVGKSLQVVKVLYRDLSFDFSEA >ONI31625 pep chromosome:Prunus_persica_NCBIv2:G1:30837030:30840473:-1 gene:PRUPE_1G322600 transcript:ONI31625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLGGKKVVQMVLGFGGSGVGQALAAVAAALLLRLLSGPGPALSPETEAGDDDNDATDDKGETPISWKLVPVTIQWRNINCSLSDKSSTSIRFLLKNVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQLTASPRLHLSGLLEVNGNSSPNKAYKFAYVRQEDLFFSQLTVRETLSLAAELQLPEISSAEARLEYVNSLLFKLGLVSCADTNVGDAKVRGVSGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAQDGHTVICSIHQPRGSVYSKFDDIVLLTEGALVYAGPAHDEPLAYFSKFGYHCPAHENPAEFLADLISIDYSSAESVYSSQKRVDALVESFSQQSSLVLYATPITRREVFNNRTKFSKKSRVQKKGGWWMQFRLLLRRAWMQASRDGSTNKVRARMSIASAIIFGSVFWRMGRSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVNREHAKGSYTLGPYLLSKLLAEIPVGAAFPLMFGAILYPMARLHPALSRF >ONI31624 pep chromosome:Prunus_persica_NCBIv2:G1:30835800:30840480:-1 gene:PRUPE_1G322600 transcript:ONI31624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLGGKKVVQMVLGFGGSGVGQALAAVAAALLLRLLSGPGPALSPETEAGDDDNDATDDKGETPISWKLVPVTIQWRNINCSLSDKSSTSIRFLLKNVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQLTASPRLHLSGLLEVNGNSSPNKAYKFAYVRQEDLFFSQLTVRETLSLAAELQLPEISSAEARLEYVNSLLFKLGLVSCADTNVGDAKVRGVSGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAQDGHTVICSIHQPRGSVYSKFDDIVLLTEGALVYAGPAHDEPLAYFSKFGYHCPAHENPAEFLADLISIDYSSAESVYSSQKRVDALVESFSQQSSLVLYATPITRREVFNNRTKFSKKSRVQKKGGWWMQFRLLLRRAWMQASRDGSTNKVRARMSIASAIIFGSVFWRMGRSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVNREHAKGSYTLGPYLLSKLLAEIPVGAAFPLMFGAILYPMARLHPALSRFGKFCGIVTVESFAASAMGLTVGAMVPTTEAAMAVGPSLMTVFIVFGGYYVNAENTPIIFRWIPHISLIRWAFQGLCINEFRGLQFDHQHSYDIQNGEQALERISFGGSHIRDTMIAQSRILLFLYCTTYLLLQKNKPKYQQLEAAPLDEIQPAVQLEPLNTEQDEQNQPKELPVTLNQVELNQPLESSSPSDQAPEFVLEGL >ONI31623 pep chromosome:Prunus_persica_NCBIv2:G1:30835354:30840480:-1 gene:PRUPE_1G322600 transcript:ONI31623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLGGKKVVQMVLGFGGSGVGQALAAVAAALLLRLLSGPGPALSPETEAGDDDNDATDDKGETPISWKLVPVTIQWRNINCSLSDKSSTSIRFLLKNVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQLTASPRLHLSGLLEVNGNSSPNKAYKFAYVRQEDLFFSQLTVRETLSLAAELQLPEISSAEARLEYVNSLLFKLGLVSCADTNVGDAKVRGVSGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAQDGHTVICSIHQPRGSVYSKFDDIVLLTEGALVYAGPAHDEPLAYFSKFGYHCPAHENPAEFLADLISIDYSSAESVYSSQKRVDALVESFSQQSSLVLYATPITRREVFNNRTKFSKKSRVQKKGGWWMQFRLLLRRAWMQASRDGSTNKVRARMSIASAIIFGSVFWRMGRSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVNREHAKGSYTLGPYLLSKLLAEIPVGAAFPLMFGAILYPMARLHPALSRFGKFCGIVTVESFAASAMGLTVGAMVPTTEAAMAVGPSLMTVFIVFGGYYVNAENTPIIFRWIPHISLIRWAFQGLCINEFRGLQFDHQHSYDIQNGEQALERISFGGSHIRDTMIAQSRILLFLYCTTYLLLQKNKPKYQQLEAAPLDEIQPAVQLEPLNTEQDEQNQPKELPVTLNQVELNQPLESSSPSDQAPEFVLEGAK >ONI31860 pep chromosome:Prunus_persica_NCBIv2:G1:31664746:31667517:1 gene:PRUPE_1G335100 transcript:ONI31860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSAVKSGDGSGSGGSVAPFLRKCYEMVDDNDADSIISWSETGDSFVIWDMTQFSILLLPKYFKHSNFSSFMRQLNIYGFRKIDSDRWVFANEGFIRGQKHLLKNISRRKHPQGTDQRKTLQQKDNPDGPCENIVENGLWREVENLKTDKVALKQELVKLRQHQEISENNLLLLRNRLHGMEKNQQQMLSFLVMAMQSPGFLVQLLQPKENSWRIAEPGNMLEQGVDDGIPITSDGAIVRYQPPVDEAPKPVLAANSGSDKQTEFDSYIDGMNDFVVNPDFMKMLMDEKLSSLENQAPYTLPDVSDDGAWEQLLLASPFLEDIEAAKEDGKETVDSRMEVESTASELQESQNFDTLIEQMKKSQNFASESTVYGSNVESSQSLEHITEQMGYLASDSNSKRGTQSGK >ONI28882 pep chromosome:Prunus_persica_NCBIv2:G1:13525288:13528236:1 gene:PRUPE_1G166500 transcript:ONI28882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACVGLHPEVGTLSVVINCLCRMNRVDLGFSVLATILKHGLQPNAYTLNALLHGVCKYRSLSEAMDLFQKIEEKGLACSEITYATIINGLSRAGKTCMALEILEQMYEDGRFKPVPHCYNPIIDRLRKERRIDEALTLFRDMINKSVVPDKFSYTSLIYGLCNMGLWTRALALFEIMKNKGIKPNVFHFNSLIDASCKSGNSVLDALGKEGKTAEALNLVEEMFRRGVKPNVVTYSSLINSLCHSAQWKEATRLFNRMLDEGIAPNVVTFTTVIHALCKERRIEEALSVLELMPQRGMRLNIFTYNSLIYGMCRTYQWAEATRLFDEMAKKVIDLMVIKDRVPDIASCYKALVNGYMQAKRTGEALRLVEEMIEQGVMPDLETLKALRGLRPKRHVLMQRKTSRPGCDPILRYGLWKRALALFEIMNENGIIPDAVTFTSLISAACKSGKWEEAVRLFRNLIDSIRGQAYEAVPSFKQLQPPGDSHTRRSTFDSFLTGCIPVFFHPATAYTQYLWHLPQNHTKYSVFIPVKEVEDLKEGIIEKTLLGISKHEELAMRDEVIRLIPNLVYADPRSRLETEDAFDLAVQGILERIENVREVIRERKDPGIGFADEDNFKFTFPETPE >ONI33795 pep chromosome:Prunus_persica_NCBIv2:G1:37745545:37747281:-1 gene:PRUPE_1G446100 transcript:ONI33795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANREPREPWGVKVKSGDSVVVEAGTWEILYLTEAHLDDVKKAKGSDPIELYFTITDGELDETNVSKTIPPESFEKLVILMRLK >ONI27078 pep chromosome:Prunus_persica_NCBIv2:G1:4759995:4761459:1 gene:PRUPE_1G066700 transcript:ONI27078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILDGLGLGSALSNIEGRYSDAGTHGLRPHAMHRRLCGTFGIYMSPRLGRHASVTACVYSLRMWAIVDAKGMTNRSVGKPMVCWIAMS >ONI34189 pep chromosome:Prunus_persica_NCBIv2:G1:38938281:38940912:-1 gene:PRUPE_1G467300 transcript:ONI34189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMQFTNAVSFRPEQLSGAGTRDYQTLPRRKSVSVSFAGISAEMSPAQPGKPEIELEFIGPKPGNDGSYPVESAKAISGEKLLRDVMSDNKIELYATYGKLMNCGGGGSCGTCIVEIIDGKDLLNERTNTELKYLKKKPESWRLACQTIVGNKENSGKVVVQRIPQWKK >ONI35589 pep chromosome:Prunus_persica_NCBIv2:G1:44485037:44491580:1 gene:PRUPE_1G544300 transcript:ONI35589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNLTSLPEDTLEDNEQRAPQQPRPLSAFASRPDRPPTQTSSQKYSALDWKGFFDQEEDIRIPDSDDVFHVYTAGTEGPVVFCLHGGGYSGLSFALSASKIKEKARVVAMDLRGHGKSTTENETDLSIETMCNDVLAVVKAIYGDSPPAIVLVGHSMGGSVAVHVAAKRALCSLAGLVVVDVVEGTALASLIHMQKILSSRVHHFSSIEKAIEWSVRGGSLRNIDSARVSVPTTLKYDDSKKCYIYRARLEETEQYWKSWYEGLSEKFLSCPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPDEFATLVTNFISRNRIGPRGVEIPGLRRPSQTNP >ONI35588 pep chromosome:Prunus_persica_NCBIv2:G1:44485037:44491580:1 gene:PRUPE_1G544300 transcript:ONI35588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNLTSLPEDTLEDNEQRAPQQPRPLSAFASRPDRPPTQTSSQKYSALDWKGFFDQEEDIRIPDSDDVFHVYTAGTEGPVVFCLHGGGYSGLSFALSASKIKEKARVVAMDLRGHGKSTTENETDLSIETMCNDVLAVVKAIYGDSPPAIVLVGHSMGGSVAVHVAAKRALCSLAGLVVVDVVEGTALASLIHMQKILSSRVHHFSSIEKAIEWSVRGGSLRNIDSARVSVPTTLKYDDSKKCYIYRARLEETEQYWKSWYEGLSEKFLSCPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPDEFATLVTNFISRNRIGPRGVEIPGLRRPSQTNP >ONI35590 pep chromosome:Prunus_persica_NCBIv2:G1:44485242:44491580:1 gene:PRUPE_1G544300 transcript:ONI35590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNLTSLPEDTLEDNEQRAPQQPRPLSAFASRPDRPPTQTSSQKYSALDWKGFFDQEEDIRIPDSDDVFHVYTAGTEGPVVFCLHGGGYSGLSFALSASKIKEKARVVAMDLRGHGKSTTENETDLSIETMCNDVLAVVKAIYGDSPPAIVLVGHSMGGSVAVHVAAKRALCSLAGLVVVDVVEGTALASLIHMQKILSSRVHHFSSIEKAIEWSVRGGSLRNIDSARVSVPTTLKYDDSKKWYEGLSEKFLSCPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPDEFATLVTNFISRNRIGPRGVEIPGLRRPSQTNP >ONI34579 pep chromosome:Prunus_persica_NCBIv2:G1:40685818:40693364:-1 gene:PRUPE_1G488700 transcript:ONI34579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALDVATEKLSNLGIDASPPNLQKNLHLLTAEQIELAKILLETGQSHLFEHWTEPGVDDEEKKAFFDQVTRLNSSYPGGLASYIKTARELLADSKAGKNPFDGYTPSVPTGETLTFGDDSFISFEEAGVKEARKAAFVLVAGGLGERLGYNGIKLALPRETTTGMCFLQYYIESILALQDANSKLAPPGESQTKIPLVIMTSDDTHSHTLELLESNSYFGMEPTQVKLLKQEKVACLDDNDARLAVDPRNKYRIQTKPHGHGDVHSLLYSSGLLNIWREAGLRWVLFFQDTNGLLFNGIPAALGVSFTRQYHVNSLAVPRKAKDAIGGITRLTHADGRTMVINVEYNQLDPLLRATGYPDGDANSETGYSPFPGNINQLILELGPYIEELTKTGGAIKEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPTARVGFTVMEPWLAYAPVKNNPEDAARVPKGNPYHSATSGEMLVYRANSLILRKAGVQVADPEQQVFNGQEVEAWPRITWKPKCAITFTGIKSKVSGSCSISQRSTLVIKGRNVFINDLSLDGALIIDPADDAEVKVEGSVQNKGWVLEPVDYKDTSVPEELRIRGFRINKIEQLEKN >ONI34578 pep chromosome:Prunus_persica_NCBIv2:G1:40685818:40693364:-1 gene:PRUPE_1G488700 transcript:ONI34578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALDVATEKLSNLGIDASPPNLQKNLHLLTAEQIELAKILLETGQSHLFEHWTEPGVDDEEKKAFFDQVTRLNSSYPGGLASYIKTARELLADSKAGKNPFDGYTPSVPTGETLTFGDDSFISFEEAGVKEARKAAFVLVAGGLGERLGYNGIKLALPRETTTGMCFLQYYIESILALQDANSKLAPPGESQTKIPLVIMTSDDTHSHTLELLESNSYFGMEPTQVKLLKQEKVACLDDNDARLAVDPRNKYRIQTKPHGHGDVHSLLYSSGLLNIWREAGLRWVLFFQDTNGLLFNGIPAALGVSFTRQYHVNSLAVPRKAKDAIGGITRLTHADGRTMVINVEYNQLDPLLRATGYPDGDANSETGYSPFPGNINQLILELGPYIEELTKTGGAIKEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPTARVGFTVMEPWLAYAPVKNNPEDAARVPKGNPYHSATSGEMLVYRANSLILRKAGVQVADPEQQVFNGQEVEAWPRITWKPKCAITFTGIKSKVSGSCSISQRSTLVIKGRNVFINDLSLDGALIIDPADDAEVKVEGSVQNKGWVLEPVDYKDTSVPEELRIRGFRINKIEQLEKN >ONI34580 pep chromosome:Prunus_persica_NCBIv2:G1:40685818:40693364:-1 gene:PRUPE_1G488700 transcript:ONI34580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALDVATEKLSNLGIDASPPNLQKNLHLLTAEQIELAKILLETGQSHLFEHWTEPGVDDEEKKAFFDQVTRLNSSYPGGLASYIKTARELLADSKAGKNPFDGYTPSVPTGETLTFGDDSFISFEEAGVKEARKAAFVLVAGGLGERLGYNGIKLALPRETTTGMCFLQYYIESILALQDANSKLAPPGESQTKIPLVIMTSDDTHSHTLELLESNSYFGMEPTQVKLLKQEKVACLDDNDARLAVDPRNKYRIQTKPHGHGDVHSLLYSSGLLNIWREAGLRWVLFFQDTNGLLFNGIPAALGVSFTRQYHVNSLAVPRKAKDAIGGITRLTHADGRTMVINVEYNQLDPLLRATGYPDGDANSETGYSPFPGNINQLILELGPYIEELTKTGGAIKEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPTARVGFTVMEPWLAYAPVKNNPEDAARVPKGNPYHSATSGEMLVYRANSLILRKAGVQVADPEQQVFNGQEVEAWPRITWKPKCAITFTGIKSKVSGSCSISQRSTLVIKGRNVFINDLSLDGALIIDPADDAEVKVEGSVQNKGWVLEPVDYKDTSVPEELRIRGFRINKIEQLEKN >ONI34576 pep chromosome:Prunus_persica_NCBIv2:G1:40683210:40693558:-1 gene:PRUPE_1G488700 transcript:ONI34576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALDVATEKLSNLGIDASPPNLQKNLHLLTAEQIELAKILLETGQSHLFEHWTEPGVDDEEKKAFFDQVTRLNSSYPGGLASYIKTARELLADSKAGKNPFDGYTPSVPTGETLTFGDDSFISFEEAGVKEARKAAFVLVAGGLGERLGYNGIKLALPRETTTGMCFLQYYIESILALQDANSKLAPPGESQTKIPLVIMTSDDTHSHTLELLESNSYFGMEPTQVKLLKQEKVACLDDNDARLAVDPRNKYRIQTKPHGHGDVHSLLYSSGLLNIWREAGLRWVLFFQDTNGLLFNGIPAALGVSFTRQYHVNSLAVPRKAKDAIGGITRLTHADGRTMVINVEYNQLDPLLRATGYPDGDANSETGYSPFPGNINQLILELGPYIEELTKTGGAIKEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPTARVGFTVMEPWLAYAPVKNNPEDAARVPKGNPYHSATSGEMLVYRANSLILRKAGVQVADPEQQVFNGQEVEAWPRITWKPKCAITFTGIKSKVSGSCSISQRSTLVIKGRNVFINDLSLDGALIIDPADDAEVKVEGSVQNKGWVLEPVDYKDTSVPEELRIRGFRINKIEQLEKN >ONI34577 pep chromosome:Prunus_persica_NCBIv2:G1:40683210:40693555:-1 gene:PRUPE_1G488700 transcript:ONI34577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALDVATEKLSNLGIDASPPNLQKNLHLLTAEQIELAKILLETGQSHLFEHWTEPGVDDEEKKAFFDQVTRLNSSYPGGLASYIKTARELLADSKAGKNPFDGYTPSVPTGETLTFGDDSFISFEEAGVKEARKAAFVLVAGGLGERLGYNGIKLALPRETTTGMCFLQYYIESILALQDANSKLAPPGESQTKIPLVIMTSDDTHSHTLELLESNSYFGMEPTQVKLLKQEKVACLDDNDARLAVDPRNKYRIQTKPHGHGDVHSLLYSSGLLNIWREAGLRWVLFFQDTNGLLFNGIPAALGVSFTRQYHVNSLAVPRKAKDAIGGITRLTHADGRTMVINVEYNQLDPLLRATGYPDGDANSETGYSPFPGNINQLILELGPYIEELTKTGGAIKEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPTARVGFTVMEPWLAYAPVKNNPEDAARVPKGNPYHSATSGEMLVYRANSLILRKAGVQVADPEQQVFNGQEVEAWPRITWKPKCAITFTGIKSKVSGSCSISQRSTLVIKGRNVFINDLSLDGALIIDPADDAEVKVEGSVQNKGWVLEPVDYKDTSVPEELRIRGFRINKIEQLEKN >ONI26586 pep chromosome:Prunus_persica_NCBIv2:G1:2292370:2293445:1 gene:PRUPE_1G033000 transcript:ONI26586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGRDRSINTMFFFPSSQILNQSFRSLNSLTNTNNSQWKVAENTIYILKMAEMVLIITLILSSSNTFVPAMARPLKTEKPALTGFMLYKIDREPVPPTGPSRCIPGHLNEDGDCPRSLHP >ONI35275 pep chromosome:Prunus_persica_NCBIv2:G1:43131053:43134824:-1 gene:PRUPE_1G526900 transcript:ONI35275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDPSIPSSSSSQMSEDDDRSPPFDLIDGQIASNKARNCSGVSGSSGSGGNFIEYSVPYSDQVLENVLENVLCFLTSRSDRNAASLVCKSWYRAEALTRSELFIGNCYAVSPRRATARFTRVRAVTIKGKPRFADFNLMPAHWGAHLAPWVSSMAKAYPWLEKLFLKRMSVTDDDLALLAESFPGFKELVLVCCDGFGTSGLAVVASKCRQLRVLDLTESDVMDDDVDWICCFPESQTCLESLMFECVECLINFEALEKLVARSPSLKKLSLNRFVSIGQLYRLMVRAPQLTHLGTGSFNTSEVMAQGDQELDYRSAFAACKSLVYLSGFREILLDYLPAINPVCGNLTTLNFSYANINAEQLKSVICHCHKLQTFWVLDSICDEGLKAVARTCKDLRELRVFPVNAQEDIEGPVSEVGLQAISEGCRKLRSILYFCQRMTNAAVIAMSKNCSDLVVFRLCIMGRHRPDHVTGESMDEGFGAIVMNCKKLTRLAVSGLLTDGAFSYIGKYGKLVRTLSVAFAGDSDTGLKHVLEGCSNLQKLEIRDSPFGDTALRSGLHHYYNMRFLWMSSCTLTRQGCREIARELPGLVVEVMKNEQEEDTGEPDNVDILYMYRSLEGARDDIPKFVEIL >ONI34283 pep chromosome:Prunus_persica_NCBIv2:G1:39313023:39316415:1 gene:PRUPE_1G472900 transcript:ONI34283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVHIDLAAQQQHEAELAAAASQPLPDDDDDTFE >ONI33274 pep chromosome:Prunus_persica_NCBIv2:G1:36047703:36053839:1 gene:PRUPE_1G414000 transcript:ONI33274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGGEGLLAPARSAADLSPLEWKFSQVFGERTAGEEVQEVDIISAIEFDRTGDHLATGDRGGRVVLFERTDTKDHGGHRRDLERMDYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKIQEKKVKKVCEMNVDASKSVENGAVGSLSASSKPSLANGVCKERPISSPSNDNSIPSGGLPSLHLPVVTSHETSLMARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPTHCNMLAYSSSRGSIRLIDLRQSALCDTHSKLFEEREVPGSRSFFTEIIASISDIKFAKNGRQVLSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGHRVATGSYSNQFRVFGCSEGSTEATTLEASKNPMRRQVQTPSRPSRSLGNLPRVLRRGADNSGADANGNSFDFTTKLLHLAWHPTENSLACAASNSLYMYYA >ONI33273 pep chromosome:Prunus_persica_NCBIv2:G1:36047900:36053478:1 gene:PRUPE_1G414000 transcript:ONI33273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGGEGLLAPARSAADLSPLEWKFSQVFGERTAGEEVQEVDIISAIEFDRTGDHLATGDRGGRVVLFERTDTKDHGGHRRDLERMDYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKIQEKKVKKVCEMNVDASKSVENGAVGSLSASSKPSLANGVCKERPISSPSNDNSIPSGGLPSLHLPVVVTSHETSLMARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPTHCNMLAYSSSRGSIRLIDLRQSALCDTHSKLFEEREVPGSRSFFTEIIASISDIKFAKNGRQVLSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGHRVATGSYSNQFRVFGCSEGSTEATTLEASKNPMRRQVQTPSRPSRSLGNLPRVLRRGADNSGADANGNSFDFTTKLLHLAWHPTENSLACAASNSLYMYYA >ONI33363 pep chromosome:Prunus_persica_NCBIv2:G1:36313312:36324313:1 gene:PRUPE_1G419400 transcript:ONI33363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQSSAAQPFRPPPVASLGPQSFGSSPSLQYRPVVPTQQGQQFIQSASQQFQPVGQGIPSSNVGMPASQSQQLQFSQPMQPYPLRPSQPGHATPSSQALPMQYMQTRPITSAPSQSQQPALPFNNQMPGLAGGGMPYSSSYIFAPPSYAQPQNNVSSSSQFQPISQVQAHVSVTGQPWVSSGNQGAAVPTPVPQSGQQPSSTTFTDSAVNVPSQTQQSSSDWQEHTSGDGRRYYFNRRTKQSSWEKPLELMTPMERADASTVWKEYTSSDGKKYYYNKVTRESKWTIPEELKLAREQAQRELAQGTRSEMNLTSHAPPAVASAETPMGSSSVGPSTSSALPGMVSSPVAVIPVSSFSNPSPIAPTGSSVASGAQSSITGGVGIQPPVVTVTPPPASVSGSTGVPPTLVNAITKSVSTFENVTSQDIGSADDGAFTQDIEEAKRGMAVAGKVNVTPSEEKTVDEEPLVYASKQEAKNAFKALLESANVHSDWTWEQTMREIINDKRYGALKTLGERKQAFNEYLGQRKKLENEERRMRQKKAREEFSKMLEESKELMSATRWSKAVSMFENDERFKAVERARDREDLYESYIVELERKEKEKAAEDHKQNIAEYRKFLESCDFIKVNSQWRKVQDRLEDDERCLRLEKLDRLLIFQDYIRDLEKEEEEQKKIQKEQLRRVERKNRDEFRKLMEEHVADGTLTAKTYWRDYCMKVKDLSSYEAVASNTSGSTPKELFEDVAEELEKQYHEDKARIKDAMKLGKVTLASTLTFEEFKVAILEDIGFPSISDINFKLVYEELLERAKEKEEKEAKKRQRLGDDFNKLLHTFKEITASSNWEDCKHLFEETQEYRSIGEENFSREVFEEYITNLQEKAKEKERKREEEKAKKEREREEKEKRKDKERKEKEREREKEKGKERSKKDETDSENVDITDSHGHKEDKKREKDKDRKHRKRHQSSMDDVGSDKEEKEESKKRRHSSDRKRSRKHTPESDSESRHRRHKREHQDGSRRNGGYGELEDGEVGEDGEIQ >ONI29961 pep chromosome:Prunus_persica_NCBIv2:G1:23850570:23851763:-1 gene:PRUPE_1G224600 transcript:ONI29961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAGKSGSLKMLEPCKPPPTKRSRKERTREVPQATPWFYTITHENVNSGAMYDPSLKKWHHPTISSLPTKLIVLPVASAGGLVCFLDIGNRNFYVCNPLNQSFKELPARSVKVWSRVAVGMTLNGSSTSEGYKILWVGCDGEYEVYDSVRNSWIRPGIMPSSVKLPLSLNFRSQAVSIDDTLYFMRSDPEGIVSYDMVTGIWKQFIIPTPLHLTDHTLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVSSREWLKVPGCVVPHGRKRQWIACGTAFYPCLTAVA >ONI29964 pep chromosome:Prunus_persica_NCBIv2:G1:23850570:23851763:-1 gene:PRUPE_1G224600 transcript:ONI29964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAGKSGSLKMLEPCKPPPTKRSRKERTRGKSSGTTNTTEPMEQHIWKDFPEDLYEAVIARLPIATFFRFRTVCRKWNSLLDSESFSQHCAEVPQATPWFYTITHENVNSGAMYDPSLKKWHHPTISSLPTKLIVLPVASAGGLVCFLDIGNRNFYVCNPLNQSFKELPARSVKVWSRVAVGMTLNGSSTSEGYKILWVGCDGEYEVYDSVRNSWIRPGIMPSSVKLPLSLNFRSQAVSIDDTLYFMRSDPEGIVSYDMVTGIWKQFIIPTPLHLTDHTLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVSSREWLKVPGCVVPHGRKRQWIACGTAFYPCLTAVA >ONI29959 pep chromosome:Prunus_persica_NCBIv2:G1:23850147:23854797:-1 gene:PRUPE_1G224600 transcript:ONI29959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLAMLRQLIGQLQELVEVYGSHPHYHLQQHHHLHLQPSLQFQLPLLNHHSQQQHQQHQHPRWCPINVDEGSPDDYYSFMMAAGKSGSLKMLEPCKPPPTKRSRKERTREVPQATPWFYTITHENVNSGAMYDPSLKKWHHPTISSLPTKLIVLPVASAGGLVCFLDIGNRNFYVCNPLNQSFKELPARSVKVWSRVAVGMTLNGSSTSEGYKILWVGCDGEYEVYDSVRNSWIRPGIMPSSVKLPLSLNFRSQAVSIDDTLYFMRSDPEGIVSYDMVTGIWKQFIIPTPLHLTDHTLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVSSREWLKVPGCVVPHGRKRQWIACGTAFYPCLTAVA >ONI29960 pep chromosome:Prunus_persica_NCBIv2:G1:23850570:23853892:-1 gene:PRUPE_1G224600 transcript:ONI29960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLAMLRQLIGQLQELVEVYGSHPHYHLQQHHHLHLQPSLQFQLPLLNHHSQQQHQQHQHPRWCPINVDEGSPDDYYSFMMAAGKSGSLKMLEPCKPPPTKRSRKERTRGKSSGTTNTTEPMEQHIWKDFPEDLYEAVIARLPIATFFRFRTVCRKWNSLLDSESFSQHCAEVPQATPWFYTITHENVNSGAMYDPSLKKWHHPTISSLPTKLIVLPVASAGGLVCFLDIGNRNFYVCNPLNQSFKELPARSVKVWSRVAVGMTLNGSSTSEGYKILWVGCDGEYEVYDSVRNSWIRPGIMPSSVKLPLSLNFRSQAVSIDDTLYFMRSDPEGIVSYDMVTGIWKQFIIPTPLHLTDHTLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVSSREWLKVPGCVVPHGRKRQWIACGTAFYPCLTAVA >ONI29963 pep chromosome:Prunus_persica_NCBIv2:G1:23850570:23851763:-1 gene:PRUPE_1G224600 transcript:ONI29963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAGKSGSLKMLEPCKPPPTKRSRKERTRGKSSGTTNTTEPMEQHIWKDFPEDLYEAVIARLPIATFFRFRTVCRKWNSLLDSESFSQHCAEVPQATPWFYTITHENVNSGAMYDPSLKKWHHPTISSLPTKLIVLPVASAGGLVCFLDIGNRNFYVCNPLNQSFKELPARSVKVWSRVAVGMTLNGSSTSEGYKILWVGCDGEYEVYDSVRNSWIRPGIMPSSVKLPLSLNFRSQAVSIDDTLYFMRSDPEGIVSYDMVTGIWKQFIIPTPLHLTDHTLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVSSREWLKVPGCVVPHGRKRQWIACGTAFYPCLTAVA >ONI29958 pep chromosome:Prunus_persica_NCBIv2:G1:23850100:23854797:-1 gene:PRUPE_1G224600 transcript:ONI29958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAGKSGSLKMLEPCKPPPTKRSRKERTRGKSSGTTNTTEPMEQHIWKDFPEDLYEAVIARLPIATFFRFRTVCRKWNSLLDSESFSQHCAEVPQATPWFYTITHENVNSGAMYDPSLKKWHHPTISSLPTKLIVLPVASAGGLVCFLDIGNRNFYVCNPLNQSFKELPARSVKVWSRVAVGMTLNGSSTSEGYKILWVGCDGEYEVYDSVRNSWIRPGIMPSSVKLPLSLNFRSQAVSIDDTLYFMRSDPEGIVSYDMVTGIWKQFIIPTPLHLTDHTLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVSSREWLKVPGCVVPHGRKRQWIACGTAFYPCLTAFSLTIRFITVGCKLSRPTCF >ONI29962 pep chromosome:Prunus_persica_NCBIv2:G1:23850147:23852653:-1 gene:PRUPE_1G224600 transcript:ONI29962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAGKSGSLKMLEPCKPPPTKRSRKERTRGKSSGTTNTTEPMEQHIWKDFPEDLYEAVIARLPIATFFRFRTVCRKWNSLLDSESFSQHCAEVPQATPWFYTITHENVNSGAMYDPSLKKWHHPTISSLPTKLIVLPVASAGGLVCFLDIGNRNFYVCNPLNQSFKELPARSVKVWSRVAVGMTLNGSSTSEGYKILWVGCDGEYEVYDSVRNSWIRPGIMPSSVKLPLSLNFRSQAVSIDDTLYFMRSDPEGIVSYDMVTGIWKQFIIPTPLHLTDHTLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVSSREWLKVPGCVVPHGRKRQWIACGTAFYPCLTAVA >ONI28547 pep chromosome:Prunus_persica_NCBIv2:G1:11558727:11561806:-1 gene:PRUPE_1G147100 transcript:ONI28547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQRFLQLPLGITMDILSRLSVKTLFNCRCVCKAWLSIISDPQFTHLHASRSPFGILIEIFLPPPLTKPMELYFTHLQAEACAAPGSDLQLEEITFSPRNTLPPVDDAMPEFRLINSCNGLLCFGANEGFPLYVCNPVLGEYITIPPANRNDKWLIVGLGFSVRTNVYKVFQLNNPDTEAEIYTIGAGGAWRSIGPPPPGDFNNLSFNNFLHGAVHWIPYGGRSISSKVIQSFDFEREQFRPLSLPSLLAKNQFPYSLTLEVIGGCLHLCVLEDDASKLDMWVMKEYGVQESWTKILAFENLFGLWTERICDRYNPIMFLSTGEILMFYNFERVVCYNQEEKSFRKIKIPSTKKWSFQPIAYSPSFLSLYDIAKAEDVKRVGSSKRYNKLPV >ONI30424 pep chromosome:Prunus_persica_NCBIv2:G1:26248367:26249994:-1 gene:PRUPE_1G250500 transcript:ONI30424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALNHLFDLPDQICYVQCGFCATILLVSVPCSSLSMLAVTVRCGHCSCLLSVNMMKASFVPLHLLASLTQDEQREELCLEEVDKQNNNPLDMRSPSMVISNSDNEEEDTISMNPIVNKPPEKRQRAPSAYNHFIKEEIRRLKAENPSMTHKEAFSTAAKNWAQFPPIQYKGDGPDGESCTSQREENVTWDSDVPEAHEDGKVYRERKAPRHSIWARTPFE >ONI33835 pep chromosome:Prunus_persica_NCBIv2:G1:37895476:37895892:1 gene:PRUPE_1G448800 transcript:ONI33835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEKKHSMIHEDHKGNISKETSQNPLAARAKEEPGGQGELEIPNSNEDVEGESSEVVQPRMTVVRCTLAEPNTTGDWRRRFIFHTHVKY >ONI35771 pep chromosome:Prunus_persica_NCBIv2:G1:45269797:45275530:-1 gene:PRUPE_1G554200 transcript:ONI35771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQTTPISQQSSQHCHPSYSLDNVTYTKLIQYSTKTGSLVHGKLAHAHMVKTCFKPCLFLLNNLLNMYCKRGELDSACNLFDKMPKRNVISYNSLISGYTQVGLFDKAMGVFNEARVTGLKLDKFTYASALSVCGQTCYIELGKLVHGLIVLSGSGSDVVLTNSLMDMYSKCGWVDHAKILFENSDNLDDVSWNSLIASYARIGANEETLRTLVKMHQCGFSLNTYTLGSALKACCKIFDNSELFGKLLHGYTVKLGLDLDVVVGTALLDMYAKTGDLGDAIQIFKVMPYRNVVMYNAMIAGFLQIDTFSYEHANEVFNLLSQMQRLGVKPSTFTFSIILRACKSVEAFEYGKQVHTQVCKYNLQGDEFIGSGLIDFYSSLGLFEDALKCFNLTPRLDIVSWTSMVAGYIQNGETESAFDLFYELLASGKKPDEFIISSMLGACANLAAARSGEQIQGYAVKAGVGKFTIVQNSQICMYAKSGDIDSANLTFTETENPDVVSWSVMICSKAQHGCAREALELFELMKNCGIAPNHITFLGVLTACSHGGLVEEGLRYFEIMKNDYGMTTNVQHCACAVDLLGRAGRLVDAENFIFNSGFEDNPVMWRALLSACRVYKDTVAAKRVAEKLINLEPQAAASYVLLYNIYNDAGIELPAKKIRELMTDRGVKKEPGLSWIEVGNEVHSFVSGDQSHQMIQLIYARLEEMLGKMKKIGCMITSGPEIKDRKVVYYHSENLAVTFGIISLPQSAPVRVMKNLRVCSDCHTTMKFFSEVEKREIILRDPIRFHHFRDGACSCRDYW >ONI29008 pep chromosome:Prunus_persica_NCBIv2:G1:14738677:14739810:-1 gene:PRUPE_1G175100 transcript:ONI29008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVGAISATKDVTFSSQREEEELVKNDDMKNTAALGDDQPSLSQLPEGDEHNAEEGDLDEEDDEAAALKSEKELVLGPQFSLKEQLEKDKDDESLRKWKEQLLGSVDLSTAGESKEPEVKVLSLTIMCRGRPDLVLPIPFTNKPKSSLFTLKDGCHYRIRFTFTVSKNIVSGLKYTNTVWKTGVRVDNSKRMLGTFSPRQDPYTYETEEETVPSSMFARGWYCVRTKFLDDDGKCYLDMSYYFEIQKNWPKSS >ONI29009 pep chromosome:Prunus_persica_NCBIv2:G1:14738353:14740276:-1 gene:PRUPE_1G175100 transcript:ONI29009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTAALGDDQPSLSQLPEGDEHNAEEGDLDEEDDEAAALKSEKELVLGPQFSLKEQLEKDKDDESLRKWKEQLLGSVDLSTAGESKEPEVKVLSLTIMCRGRPDLVLPIPFTNKPKSSLFTLKDGCHYRIRFTFTVSKNIVSGLKYTNTVWKTGVRVDNSKRMLGTFSPRQDPYTYETEEETVPSSMFARGWYCVRTKFLDDDGKCYLDMSYYFEIQKNWPKSS >ONI30852 pep chromosome:Prunus_persica_NCBIv2:G1:28206194:28208716:1 gene:PRUPE_1G277100 transcript:ONI30852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGGAVDATDKEASKPIPIPKVRVSRVLSVLDFTLRVVAALGTLASAIAMGTSRETLPFTAQFVRFRARYKDLPTLTFFVIANSIVCVYLVLSLPLSFVHIIWTAAKNSRIVLIISDTVMMGLLTAGASAAAAIVYLAHYGNSSTNWFAFCRQFNSFCERISGSLIGSFVAITVLVLLIIMSSVAISRR >ONI30853 pep chromosome:Prunus_persica_NCBIv2:G1:28206187:28208716:1 gene:PRUPE_1G277100 transcript:ONI30853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGGAVDATDKEASKPIPIPKVRVSRVLSVLDFTLRVVAALGTLASAIAMGTSRETLPFTAQFVRFRARYKDLPTLTFFVIANSIVCVYLVLSLPLSFVHIIWTAAKNSRIVLIISDTVMMGLLTAGASAAAAIVYLAHYGNSSTNWFAFCRQFNSFCERISGSLIGSFVAITVLVLLIIMSSVAISRR >ONI30383 pep chromosome:Prunus_persica_NCBIv2:G1:26053399:26057027:1 gene:PRUPE_1G247900 transcript:ONI30383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHHLPCLLLSLWLTQFPAGFASDASIKATFINPLSCSAKIMTCNASLYHINISLNKEQIASFYSVFPSEVNPIKHNSKQDYLISVPCSCKNISGTVGYFYDTTYKVKPSDTFYDVSNQIYSGQPLSVKEELPKFVPEANFPIHLPCGCVESDSQIVVTYTVQEHDTLSDIGILLSAKIDNIENMNKNMTENPSFIVVGWVLFVPMEKNGLKTSKTGIRPKWIILIGIILAVTLLSICTLILLLYRRRTPEKKVEVPNKSVSRSSKSLAAHRSFSLHNQLLHKENMEDGPVFESDGPVIFGVEQIEEATGYFDETRKIGEGGYGSVYFGVIGEKEIAVKKMKSNSTKEFFAELKVLCKIHHINVVELLGYASGDDHLYLVYEYVQNGSLSEHLHDPLLKGHQPLSWTARTQIALDAAKGIEYIHDHTKARYVHRDIKTSNILLDEGLRAKVADFGLAKLVGRTNEEDIIATRLVGTPGYLPPESVKELQVTHKTDVFAFGVVLAELITGQRALFRDNREPEKMKSLITVIKKIFQDEDPESALEAATDGNLRSNYPMEDIFKMAEIAEWCLSEEAVERPEMREIVVMLSQIMVSSIEWEASLGGNSQVFSGVFNGR >ONI31408 pep chromosome:Prunus_persica_NCBIv2:G1:30229287:30230321:1 gene:PRUPE_1G311200 transcript:ONI31408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGKIKTRNWFNKNTSCLLFKKIGEEGPMKTHRLPNHQVQVVHVRTCMTHSIIKPNLIKHFSLIYNALQKLISLGLIRVVRNIFLPRKGKMSKKERVQISVLC >ONI32543 pep chromosome:Prunus_persica_NCBIv2:G1:33882265:33885278:-1 gene:PRUPE_1G371900 transcript:ONI32543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPKSAAGDPYDKSFIQPSMEKFEDFDQVFEKEESLSASLVSNGRVDFHGKVADKKKTGGWKASPFIIATHVTDWIGAAYVLTLVGAFLADAYLGRFKTIIIFSCVYAVGMVLLTLSTSIDSLRPPPCTTRPCNKATDGQTAFLYGALGLIALGTGGIKPCVSSFGADQFDEADSREVQTKYAFFNWFFFAINIGALLGITVLVYIQDNKGWTWGFGIPTMAMICSIAILAAGVPYYRYQKPMGSPFTRFIQVAVASVRNHFNGVEVVREADLYEVKTNQSDILGARKLPHTTQYRFLDKAAVVTDPEGSPKSRWMLCTVTQVEEFKCFIRVLPVWTSTIALSISFSQLSTFFVSQANKTNRHLGPNFEIPAGSVPVFAAINALILVPIYEKWMVPTIRRRTGHPRGLTSLQRMGVGLFVSIFALGSAALVEKKRRDHLNPLSKMSVFWLLPQFFLIGTAEVFTYVGQLEFFYDEATDGTRSISSAMFLSEIGIGSWLSTALVKIIDSATGGEEQGWLRNDLNMSKLDYFYWILTAINGVNFLVYLWVARRYKVETLSFKPSWCRIRCTYIRFYIDDDAQHIHKANGRSQN >ONI32631 pep chromosome:Prunus_persica_NCBIv2:G1:34157168:34158634:-1 gene:PRUPE_1G377500 transcript:ONI32631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMMIKCFFAMAIILHAFVAFSKAEADTTQGSTAVLITVDQSGKGDYKKIQDAIDAVPSNNVDLVFIRVRRGVYEEKISVPADKPFITISGTKANDTIITWNDGGEIFESPTFSVLASDFIGRYLTIQNTYGAGGKAVALRVSGDRAAFYGCKILSYQDTLLDDTGRHYYNNCYIEGATDFICGNAASFFERCHLHSISGGAGAITAQRRMSPSEDTGFTFFSCKISGVKTAMLGRPWGGYSRVVFAFTYMSNAILPQGWDDWGNSPDQLSTVYYGQYRCSGAGAATSKRVEWARNLTGEEAAPFLTKDSIDGKAWIRSAPTSFKKKAFSTKT >ONI36306 pep chromosome:Prunus_persica_NCBIv2:G1:47178448:47179513:-1 gene:PRUPE_1G580000 transcript:ONI36306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVTYLYVCTSLSIEMKRDTRQKIAGLFDKQVDDYLVGRPTYPKEWFSMLAALTPQHSLAWDLGEHYEQVIGSDISESQLKHALQHPRVHYIHTPVSMSDDELVALLGGENSVDLVTVAEAVHWFDLPKFYSLVKRVLRKPGGVIAVWGYNASVTSPTLDGVVKRFYETAKPFRDRKTQYLWEGYRTLPFPFQSVGLGSEGEPLPLEIPKEMSFEGILRMLRSSSAVTAAKGQGVDLLSKEVIEELETTCKAFMLAGKV >ONI32406 pep chromosome:Prunus_persica_NCBIv2:G1:33568480:33570220:1 gene:PRUPE_1G366100 transcript:ONI32406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNETAAAGVQVQGVRRMKRAEIDTRQPFRSVKEAVSLFGEKFLAGELYATQLKQMQNGAHENGHRHGPASRIGSVTAELEETKQSLQKAREESELMENCLSSLKQELERTKRELHQLKEREYEKQFVEAEIIEDVRNIVEDSDSTKFEMKTQTSDEEEGSFEFQNKRYVTFANPPSLAQVKIAQGLGVDEKLQRHPSLSLKKKKNKPFIPLIAGMFSKKKGGPQVAYP >ONI26654 pep chromosome:Prunus_persica_NCBIv2:G1:2606963:2608051:-1 gene:PRUPE_1G037600 transcript:ONI26654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSKSYLIHLSQALPPTTSPGRTIFGAPVHHSDTSFPIIAIAIIGIIATAFLLVSYYIFVIKCCLNWHRVDLLRRFSLSRNRRDEEQLMAYSPGLETRGLDEAVIRSIPLLQFKKDRNRGFEEGSFCECAVCLNEFQEDEKLRIIPNCSHIFHIDCIDVWLQSNANCPLCRTSISTTTRFPFDRIVAPSSSPQDPSPYAGSLSGGDEDYVVIELSNDNSMEQTMLGRQERLNSGEASVISISPSPRKLENRSVPKKLARKFHNKVTSMGDECIDIRGKDEQFSIQPIRRSFSMDSSADRQLYLAVQEAVQQQQQQQQHRQVSEMSPIEGCSSSGRVRRSFFSFGNGRGSRSAILPVYLET >ONI31855 pep chromosome:Prunus_persica_NCBIv2:G1:31641177:31645354:-1 gene:PRUPE_1G334800 transcript:ONI31855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGDDIVEMAVSSLGKGFDLTSDFRLKYCKGKQRLVLLNDADKKELAVPGFGSIQQVSTDIKCDKGDRTRYQSDILTFNQMSQYFNQKASVPGKIPSGLFNAMFGFESGSWAADAAKIKHLALDGYFIIFFNVHIDRYPLVLADQVRHSVPSTWDPPALARFIEKYGTHIVVGLSIGGEDVVLVKQDKSSNMEPSDLKNHLNELGDQHFTGTCNFSPLHSKAKDQRHKAPPAFNVFHHDPKSVALNGFSTANTKDGISVIGYKRGGDPLASSHCEWLQTVGSMPDAIQFNCIPITSLLKDVPGKGFLSHAINLYLRYKPPIADLQYFLDFQAHKLWAPVLNDLALGPATNRATQAPALHFSLMGPKLYVNTAQVRVGNRPVIGMRLYLEGRKCNRLAVHLQHLSNTPFMLQQNKIDPEPPTWRGSDDIGDDRYFEAINWKRFSHVCTVPVKYDPNWTSSNKTAAFVVSGAQLVVKHHETKSVLHLRLLFTKVSDCFLVQSNWTESPSQLSQKSTSSGLFSAISTSISGNNWVREKVQPDDDQVIVDSSVFPTGPPVPLQLQKFVKYVDMSHLCRGPQDNPGYWLVTGAKLNLVKGKISLQVKFSLLNMSSPATSPTLRDLMYNINVGEA >ONI33027 pep chromosome:Prunus_persica_NCBIv2:G1:35408147:35413672:1 gene:PRUPE_1G401000 transcript:ONI33027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVAGVSLDLNWFLQFIFTAFIIAFGLLHFVKNTASKYFEVDANFEGGDHSDRTQMPGALMEDPVCAVCGNSGPKKCSRCKAVRYCSQKCQEKHWKSGHKTECKNTLSNSRFKTSGGGGKTFSGLALVPIRGISKHIKKPKEILFPYDEFVQLFNWDKLRFPPCGLLNCGNSCFANVVLQCLSSTRPLVAYLLEKGHRRECICEDWCFLCEFQTHLERASQSSQAFSPTNIISRLPNIGGNLVHGRQEDAHELMRFAIDTMQSVCLSEFGGEKAVHPSTQETTIIQHIFGGLLQSQVICTKCKNISNRYDNMMDLTVEIHGDASSLEECLDQFTIKEWLDGENKYKCDGCNDYVKAWKRLTVKRAPNILTIALKRFQSGRFGKINKRVTFPETLDLNPYMSETGDGANIYKLYAVVVHVDMLNASFFGHYICYTKDFGGNWYRIDDCKVATVNLEEVLSQGAYMLLYSRVQPRASCLTLEPPRKTEEMIDVEVQPCRKEQVECSTVESADSTCHSGFVPSDTSLPPQISSCVEDSSAVIIPEAVRERSDNADLSLKSPSSVPKEVCIVENNTLGSMSSPSVSREREISSCEKVPAGKLDLDTVRGDPVVIAIPNGELCRPVLDYVSARCEKYLSSSGGEYVNGNSEDMDIDNCQSGSSVAEDIGICKSNGPTYADGITSPVAHSGFLNGNGVCRVEKVDKG >ONI33028 pep chromosome:Prunus_persica_NCBIv2:G1:35408147:35413672:1 gene:PRUPE_1G401000 transcript:ONI33028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVAGVSLDLNWFLQFIFTAFIIAFGLLHFVKNTASKYFEVDANFEGGDHSDRTQMPGALMEDPVCAVCGNSGPKKCSRCKAVRYCSQKCQEKHWKSGHKTECKNTLSNSRFKTSGGGGKTFSGLALVPIRGISKHIKKPKEILFPYDEFVQLFNWDKLRFPPCGLLNCGNSCFANVVLQCLSSTRPLVAYLLEKGHRRECICEDWCFLCEFQTHLERASQSSQAFSPTNIISRLPNIGGNLVHGRQEDAHELMRFAIDTMQSVCLSEFGGEKAVHPSTQETTIIQHIFGGLLQSQVICTKCKNISNRYDNMMDLTVEIHGDASSLEECLDQFTIKEWLDGENKYKCDGCNDYVKAWKRLTVKRAPNILTIALKRFQSGRFGKINKRVTFPETLDLNPYMSETGDGANIYKLYAVVVHVDMLNASFFGHYICYTKDFGGNWYRIDDCKVATVNLEEVLSQGAYMLLYSRVQPRASCLTLEPPRKTEEMIDVEVQPCRKEQISSCVEDSSAVIIPEAVRERSDNADLSLKSPSSVPKEVCIVENNTLGSMSSPSVSREREISSCEKVPAGKLDLDTVRGDPVVIAIPNGELCRPVLDYVSARCEKYLSSSGGEYVNGNSEDMDIDNCQSGSSVAEDIGICKSNGPTYADGITSPVAHSGFLNGNGVCRVEKVDKG >ONI33071 pep chromosome:Prunus_persica_NCBIv2:G1:35541039:35547582:-1 gene:PRUPE_1G403600 transcript:ONI33071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFHFWVTFMQRLIFIVSSLISDLRGNRLSGQIPDEIGDCSSLRNLDLSFNEIYGDIPFSISKLKQLENLILKNNQLIGPLPSTLSQIPNLKILDLAQNNLSGEIPRLIYWNEVLQYLGLRGNNLVGTLSPDMCQLTGLWYFDVRNNSLTGSIPQSIGNCTAFQVLDLSYNQLTGDIPFNIGFLQVATLSLQGNKLSGPIPSVIGLMQALAVLDLSSNMLSGPIPPILGNLTYTEKLYLHGNKLNGSIPPELGQMTKLHYLELNDNLLTGHFPPELGKLTDLFDLNVANNNLEGHIPDNLSSCTNLNSLNVHGNKLTGTIPPALQRLESMTYLNLSSNNLRGSIPIELSRIGNLDTLDLSNNKISGTIPSSLGDLEHLLKLNLSRNHLTGFVPGEFGNLRSIMEIDLSSNHLTGLIPQELSQLQNMFSLRLDHNNLTGDVVPLINCLSLAVLNVSYNNLAGDIPTSNNFSRFSPDSFVGNPNLCGYWLNSPCHESRPTERATISKAAILGIALGALVILLMILIAACRPYNPTPFPETSLDKPVNYSTPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYSHYPQCLKEFETELATVGSIKHRNLVSLQGYSLSSSGNLLFYDYMDNGSLWDLLHGPSKKKKLDWATRLQIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCTSKSYTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGVVLLELLTGRKAVDNESNLHHLILSKTANNAVMETVDPEVTATCMDLAAVKKVFQLALLCTKRQPTDRPTMHEVTRVLGSLVPSPALPKQSTPLNPASTQLLPSAKVPCYMDEYANLKTPHMLNCPSMSTSDAQLFLKFGEVISQNSE >ONI33070 pep chromosome:Prunus_persica_NCBIv2:G1:35541513:35548397:-1 gene:PRUPE_1G403600 transcript:ONI33070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNGRAVMAFRVDFVLLVLLVCVSFGYVDSEDGTTLLEIKKSFRDVDNVLYDWTDAPSLDYCVWRGVTCDNVTFNVIALNLSGLNLDGEISPAIGNLKGLQSIDLRGNRLSGQIPDEIGDCSSLRNLDLSFNEIYGDIPFSISKLKQLENLILKNNQLIGPLPSTLSQIPNLKILDLAQNNLSGEIPRLIYWNEVLQYLGLRGNNLVGTLSPDMCQLTGLWYFDVRNNSLTGSIPQSIGNCTAFQVLDLSYNQLTGDIPFNIGFLQVATLSLQGNKLSGPIPSVIGLMQALAVLDLSSNMLSGPIPPILGNLTYTEKLYLHGNKLNGSIPPELGQMTKLHYLELNDNLLTGHFPPELGKLTDLFDLNVANNNLEGHIPDNLSSCTNLNSLNVHGNKLTGTIPPALQRLESMTYLNLSSNNLRGSIPIELSRIGNLDTLDLSNNKISGTIPSSLGDLEHLLKLNLSRNHLTGFVPGEFGNLRSIMEIDLSSNHLTGLIPQELSQLQNMFSLRLDHNNLTGDVVPLINCLSLAVLNVSYNNLAGDIPTSNNFSRFSPDSFVGNPNLCGYWLNSPCHESRPTERATISKAAILGIALGALVILLMILIAACRPYNPTPFPETSLDKPAVNYSTPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYSHYPQCLKEFETELATVGSIKHRNLVSLQGYSLSSSGNLLFYDYMDNGSLWDLLHGPSKKKKLDWATRLQIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCTSKSYTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGVVLLELLTGRKAVDNESNLHHLILSKTANNAVMETVDPEVTATCMDLAAVKKVFQLALLCTKRQPTDRPTMHEVTRVLGSLVPSPALPKQSTPLNPASTQLLPSAKVPCYMDEYANLKTPHMLNCPSMSTSDAQLFLKFGEVISQNSE >ONI33069 pep chromosome:Prunus_persica_NCBIv2:G1:35541039:35548895:-1 gene:PRUPE_1G403600 transcript:ONI33069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNGRAVMAFRVDFVLLVLLVCVSFGYVDSEDGTTLLEIKKSFRDVDNVLYDWTDAPSLDYCVWRGVTCDNVTFNVIALNLSGLNLDGEISPAIGNLKGLQSIDLRGNRLSGQIPDEIGDCSSLRNLDLSFNEIYGDIPFSISKLKQLENLILKNNQLIGPLPSTLSQIPNLKILDLAQNNLSGEIPRLIYWNEVLQYLGLRGNNLVGTLSPDMCQLTGLWYFDVRNNSLTGSIPQSIGNCTAFQVLDLSYNQLTGDIPFNIGFLQVATLSLQGNKLSGPIPSVIGLMQALAVLDLSSNMLSGPIPPILGNLTYTEKLYLHGNKLNGSIPPELGQMTKLHYLELNDNLLTGHFPPELGKLTDLFDLNVANNNLEGHIPDNLSSCTNLNSLNVHGNKLTGTIPPALQRLESMTYLNLSSNNLRGSIPIELSRIGNLDTLDLSNNKISGTIPSSLGDLEHLLKLNLSRNHLTGFVPGEFGNLRSIMEIDLSSNHLTGLIPQELSQLQNMFSLRLDHNNLTGDVVPLINCLSLAVLNVSYNNLAGDIPTSNNFSRFSPDSFVGNPNLCGYWLNSPCHESRPTERATISKAAILGIALGALVILLMILIAACRPYNPTPFPETSLDKPVNYSTPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYSHYPQCLKEFETELATVGSIKHRNLVSLQGYSLSSSGNLLFYDYMDNGSLWDLLHGPSKKKKLDWATRLQIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCTSKSYTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGVVLLELLTGRKAVDNESNLHHLILSKTANNAVMETVDPEVTATCMDLAAVKKVFQLALLCTKRQPTDRPTMHEVTRVLGSLVPSPALPKQSTPLNPASTQLLPSAKVPCYMDEYANLKTPHMLNCPSMSTSDAQLFLKFGEVISQNSE >ONI26232 pep chromosome:Prunus_persica_NCBIv2:G1:883254:888299:1 gene:PRUPE_1G011400 transcript:ONI26232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVMEAFCLKNKEKLNNNNMVVSGRGSSKIRGEVVLMKKNVMDFTDIRASFTDRIFELLGKGVSMQLISNNQPEPAENGLISRGRLGKAAYLEKWVTTITSTTAGETTFTISMDWENSMGVPEALLVKNHHHSQFYLKTITLENVPEHGRLHFVCNSWVYPARYYKYNRIFFPNKAYLPSKTPGLLLPYREEELKNLRGSGSRKLKEWDRVYDYATYNDLGSPDDGPEHARPILGGSQCPYPRRGKTGRKPTKTDPDSESRLALLDLNIYVPRDERFSHVKFSDFIAYFLKSLAQLPNGPTLKKIRDCIPWELFKELVRSDGERFLKFPLPDVINKDRSAWRTDEEFAREMLAGVSPVNIACLQEFPPTSKLKPDVYGNQNSSIREEHIEKNMDDLTVEQAMQWNRLFILDHHDALMPYLRRINSTNTKTYATRTLLFLKEDGTLKPLAIELSLPHPQGDHHGADSKVFTPAPKGSKRIEDSVWQLAKAYVAVNDSGYHQLISHWLNTHAVIEPFVIATNRQLSVLHPIHKLLQPHFRDTMNINALARHILINAGGVLERTVFPAKFSMEMSSAIYENWVFTEQALPADLLKRGMAVPDPNGLQGLRLVIEDYPFAVDGLEIWSAIETWVTEYCSCYYKTDDEVHSDTELKSWWTELRNEGHGDKKDEPWWPEMKTRDELIQSCTIIIWVASALHAAVNFGQYPYAGFLPNRPTVSRRFMPEAGTDEYAELESNPDLAFLKTITSQFNTLLGISLIEILSRHSTDEVYLGQTDNPEWISDDKPRAAFKKFGDTLMEIEKRIDERNNDEKYKNRVGPVKVPYTLLYPSTSDTKREGGLTGRGIPNSVSI >ONI26233 pep chromosome:Prunus_persica_NCBIv2:G1:883837:888299:1 gene:PRUPE_1G011400 transcript:ONI26233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWENSMGVPEALLVKNHHHSQFYLKTITLENVPEHGRLHFVCNSWVYPARYYKYNRIFFPNKAYLPSKTPGLLLPYREEELKNLRGSGSRKLKEWDRVYDYATYNDLGSPDDGPEHARPILGGSQCPYPRRGKTGRKPTKTDPDSESRLALLDLNIYVPRDERFSHVKFSDFIAYFLKSLAQVLLPELRSLCDKTINEFDTFEDVFNLYDGCIELPNGPTLKKIRDCIPWELFKELVRSDGERFLKFPLPDVINKDRSAWRTDEEFAREMLAGVSPVNIACLQEFPPTSKLKPDVYGNQNSSIREEHIEKNMDDLTVEQAMQWNRLFILDHHDALMPYLRRINSTNTKTYATRTLLFLKEDGTLKPLAIELSLPHPQGDHHGADSKVFTPAPKGSKRIEDSVWQLAKAYVAVNDSGYHQLISHWLNTHAVIEPFVIATNRQLSVLHPIHKLLQPHFRDTMNINALARHILINAGGVLERTVFPAKFSMEMSSAIYENWVFTEQALPADLLKRGMAVPDPNGLQGLRLVIEDYPFAVDGLEIWSAIETWVTEYCSCYYKTDDEVHSDTELKSWWTELRNEGHGDKKDEPWWPEMKTRDELIQSCTIIIWVASALHAAVNFGQYPYAGFLPNRPTVSRRFMPEAGTDEYAELESNPDLAFLKTITSQFNTLLGISLIEILSRHSTDEVYLGQTDNPEWISDDKPRAAFKKFGDTLMEIEKRIDERNNDEKYKNRVGPVKVPYTLLYPSTSDTKREGGLTGRGIPNSVSI >ONI26231 pep chromosome:Prunus_persica_NCBIv2:G1:883254:888299:1 gene:PRUPE_1G011400 transcript:ONI26231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVMEAFCLKNKEKLNNNNMVVSGRGSSKIRGEVVLMKKNVMDFTDIRASFTDRIFELLGKGVSMQLISNNQPEPAENGLISRGRLGKAAYLEKWVTTITSTTAGETTFTISMDWENSMGVPEALLVKNHHHSQFYLKTITLENVPEHGRLHFVCNSWVYPARYYKYNRIFFPNKAYLPSKTPGLLLPYREEELKNLRGSGSRKLKEWDRVYDYATYNDLGSPDDGPEHARPILGGSQCPYPRRGKTGRKPTKTDPDSESRLALLDLNIYVPRDERFSHVKFSDFIAYFLKSLAQVLLPELRSLCDKTINEFDTFEDVFNLYDGCIELPNGPTLKKIRDCIPWELFKELVRSDGERFLKFPLPDVINKDRSAWRTDEEFAREMLAGVSPVNIACLQEFPPTSKLKPDVYGNQNSSIREEHIEKNMDDLTVEQAMQWNRLFILDHHDALMPYLRRINSTNTKTYATRTLLFLKEDGTLKPLAIELSLPHPQGDHHGADSKVFTPAPKGSKRIEDSVWQLAKAYVAVNDSGYHQLISHWLNTHAVIEPFVIATNRQLSVLHPIHKLLQPHFRDTMNINALARHILINAGGVLERTVFPAKFSMEMSSAIYENWVFTEQALPADLLKRGMAVPDPNGLQGLRLVIEDYPFAVDGLEIWSAIETWVTEYCSCYYKTDDEVHSDTELKSWWTELRNEGHGDKKDEPWWPEMKTRDELIQSCTIIIWVASALHAAVNFGQYPYAGFLPNRPTVSRRFMPEAGTDEYAELESNPDLAFLKTITSQFNTLLGISLIEILSRHSTDEVYLGQTDNPEWISDDKPRAAFKKFGDTLMEIEKRIDERNNDEKYKNRVGPVKVPYTLLYPSTSDTKREGGLTGRGIPNSVSI >ONI30977 pep chromosome:Prunus_persica_NCBIv2:G1:28660964:28663783:1 gene:PRUPE_1G285800 transcript:ONI30977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPEKERETQVYMAKLAEQAERYEEMVECMKKVAKLDLELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEHNVKLIKGYRQKVEEELSKICNDILSIIDKHLIPSSTSGEATVFYYKMKGDYYRYLAEFKTDQDRKEAAEQSLKGYEAASATANTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGDDNFKGEETKPAEPATAAT >ONI26198 pep chromosome:Prunus_persica_NCBIv2:G1:723132:727002:-1 gene:PRUPE_1G008900 transcript:ONI26198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFTLPTVALFILLKLSLTFVHGGIPTTLDGPFKPVTVPLDKSFRGNAVDLPETDPRVKRIVKGFEPEQISVSLSGTHDSVWISWITGEFQIGDNIEPLDPKTVSGVVVYGRYGFPMTNRSTGNNSLVYNQLYQFEGLKNYTSGIIHHVRLAGLIPNTLYQYQCGDPSIPAMSSVSYFKTMPVSGPKSYPSRVAVVGDLGLTYNTTSTVDHLLANGPDLLLLVGDVSYADLYLTNGTGSDCYSCSFPHTPIQETYQPRWDYWGRYMQPLVSKVPIMVLEGDHDIEQQAGNQTFVSYSSRFAFPSEESGSSSTLFYSFNAGGIHFIMLGAYTAYNKSADQYMWLEKDLANFDRNLTPWLVATWHPPWYSTYMAHYREAECMRVEMEDILYKYGVDIVFNGHVSEVHAYERSNRVYNYTLDPCGPVYITVGDGGNREKMAIAHADEPGNCPEPCTTPDKLMGGFCAFNFTSGPAAGKFCWDRQPEYSAYRESSFGHGILEVKNETHALWSWHRNQDFYRYAGDQIFIVRQPDSCPVTANVTQNN >ONI26196 pep chromosome:Prunus_persica_NCBIv2:G1:722699:727002:-1 gene:PRUPE_1G008900 transcript:ONI26196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFTLPTVALFILLKLSLTFVHGGIPTTLDGPFKPVTVPLDKSFRGNAVDLPETDPRVKRIVKGFEPEQISVSLSGTHDSVWISWITGEFQIGDNIEPLDPKTVSGVVVYGRYGFPMTNRSTGNNSLVYNQLYQFEGLKNYTSGIIHHVRLAGLIPNTLYQYQCGDPSIPAMSSVSYFKTMPVSGPKSYPSRVAVVGDLGLTYNTTSTVDHLLANGPDLLLLVGDVSYADLYLTNGTGSDCYSCSFPHTPIQETYQPRWDYWGRYMQPLVSKVPIMVLEGDHDIEQQAGNQTFVSYSSRFAFPSEESGSSSTLFYSFNAGGIHFIMLGAYTAYNKSADQYMWLEKDLANFDRNLTPWLVATWHPPWYSTYMAHYREAECMRVEMEDILYKYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVYITVGDGGNREKMAIAHADEPGNCPEPCTTPDKLMGGFCAFNFTSGPAAGKFCWDRQPEYSAYRESSFGHGILEVKNETHALWSWHRNQDFYRYAGDQIFIVRQPDSCPVTANVTQNN >ONI26197 pep chromosome:Prunus_persica_NCBIv2:G1:722436:727145:-1 gene:PRUPE_1G008900 transcript:ONI26197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFTLPTVALFILLKLSLTFVHGGIPTTLDGPFKPVTVPLDKSFRGNAVDLPETDPRVKRIVKGFEPEQISVSLSGTHDSVWISWITGEFQIGDNIEPLDPKTVSGVVVYGRYGFPMTNRSTGNNSLVYNQLYQFEGLKNYTSGIIHHVRLAGLIPNTLYQYQCGDPSIPAMSSVSYFKTMPVSGPKSYPSRVAVVGDLGLTYNTTSTVDHLLANGPDLLLLVGDVSYADLYLTNGTGSDCYSCSFPHTPIQETYQPRWDYWGRYMQPLVSKVPIMVLEGDHDIEQQAGNQTFVSYSSRFAFPSEESGSSSTLFYSFNAGGIHFIMLGAYTAYNKSADQYMWLEKDLANFDRNLTPWLVATWHPPWYSTYMAHYREAECMRVEMEDILYKYGVDIVFNGHFENNQQVHAYERSNRVYNYTLDPCGPVYITVGDGGNREKMAIAHADEPGNCPEPCTTPDKLMGGFCAFNFTSGPAAGKFCWDRQPEYSAYRESSFGHGILEVKNETHALWSWHRNQDFYRYAGDQIFIVRQPDSCPVTANVTQNN >ONI26195 pep chromosome:Prunus_persica_NCBIv2:G1:722437:727144:-1 gene:PRUPE_1G008900 transcript:ONI26195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFTLPTVALFILLKLSLTFVHGGIPTTLDGPFKPVTVPLDKSFRGNAVDLPETDPRVKRIVKGFEPEQISVSLSGTHDSVWISWITGEFQIGDNIEPLDPKTVSGVVVYGRYGFPMTNRSTGNNSLVYNQLYQFEGLKNYTSGIIHHVRLAGLIPNTLYQYQCGDPSIPAMSSVSYFKTMPVSGPKSYPSRVAVVGDLGLTYNTTSTVDHLLANGPDLLLLVGDVSYADLYLTNGTGSDCYSCSFPHTPIQETYQPRWDYWGRYMQPLVSKVPIMVLEGDHDIEQQAGNQTFVSYSSRFAFPSEESGSSSTLFYSFNAGGIHFIMLGAYTAYNKSADQYMWLEKDLANFDRNLTPWLVATWHPPWYSTYMAHYREAECMRVEMEDILYKYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVYITVGDGGNREKMAIAHADEPGNCPEPCTTPDKLMGGFCAFNFTSGPAAGKFCWDRQPEYSAYRESSFGHGILEVKNETHALWSWHRNQDFYRYAGDQIFIVRQPDSCPVTANVTQNN >ONI26199 pep chromosome:Prunus_persica_NCBIv2:G1:722437:727020:-1 gene:PRUPE_1G008900 transcript:ONI26199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFTLPTVALFILLKLSLTFVHGGIPTTLDGPFKPVTVPLDKSFRGNAVDLPETDPRVKRIVKGFEPEQISVSLSGTHDSVWISWITGEFQIGDNIEPLDPKTVSGVVVYGRYGFPMTNRSTGNNSLVYNQLYQFEGLKNYTSGIIHHVRLAGLIPNTLYQYQCGDPSIPAMSSVSYFKTMPVSGPKSYPSRVAVVGDLGLTYNTTSTVDHLLANGPDLLLLVGDVSYADLYLTNGTGSDCYSCSFPHTPIQETYQPRWDYWGRYMQPLVSKVPIMVLEGDHDIEQQAGNQTFVSYSSRFAFPSEESGSSSTLFYSFNAGGIHFIMLGAYTAYNKSADQYMWLEKDLANFDRNLTPWLVATWHPPWYSTYMAHYREAECMRVEMEDILYKYGVDIVFNGHVSEVHAYERSNRVYNYTLDPCGPVYITVGDGGNREKMAIAHADEPGNCPEPCTTPDKLMGGFCAFNFTSGPAAGKFCWDRQPEYSAYRESSFGHGILEVKNETHALWSWHRNQDFYRYAGDQIFIVRQPDSCPVTANVTQNN >ONI32123 pep chromosome:Prunus_persica_NCBIv2:G1:32586584:32587971:-1 gene:PRUPE_1G349500 transcript:ONI32123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLQGQVIGIPINSAAYAFESSPKRLLPDPAIQYPETGPSKLRPTGRGNSVLKKMNKLGKKADSFAHGVKEHVRLGPKITETVKGKLSLGAKIIQVGGLEKVFKHAFSVRAGEKLLKVSQCYLSTTAGPIAGLLFISTDKIAFCSERSIKLSSPNGELIRVHYKVVIPVNKIKRVNQSENVKKPSEKYIEIVTVDNFDFWFMGFLNYQKTLKYLEEAISQA >ONI30506 pep chromosome:Prunus_persica_NCBIv2:G1:26545420:26550717:-1 gene:PRUPE_1G254600 transcript:ONI30506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGKKGGIDIDWNNVLDSRDDHCDPLERVAVPEPKPKTSTADEPPKQSGMGDDQQRDRLYEDMPDSELDDSIRRNKLTQQKMAHRLPDKGEKLQIALKRMVDEWERRRKLRREGTGTGKWEKPTQAVISRNIGASIGSSEEASFVSLFKRKLEENSDSRTASEYHGESSLHPCNRQNMKNNGELLQKEGHKGRSSSRFLRHQCPNNFYHKRDKCSLSNGDHKGMDSSPYPLHHCGENMSSCATRNDASQVNDLRPRKAQNIVVVDDEESQSMDTTEEAEELPECMKETKIYYPSRRDPESVEICYGDIKCLDPGCYLTSTIMNFYIRYLQQQASSKDRGIFDCHFFNTFFYEKLKEAVAYKGNDKDKLFGKFRRWWKGVNIFQKAYLLIPIHEDVHWSLVIICIPDKEEESGPIVLHLDSLGVHSPRSVFQNIKSYLKEEWNYLDQEVAVSDIPISDSIWNQLPNKIEEKKLAVPQQRNEYDCGLFVLFFMERFIEEAPQRLQRKNLAMFGKRWFKPEEASSLRMKIRKLLIQEFRDAHQVNCSKESSPQSGASEE >ONI30507 pep chromosome:Prunus_persica_NCBIv2:G1:26544968:26551022:-1 gene:PRUPE_1G254600 transcript:ONI30507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGKKGGIDIDWNNVLDSRDDHCDPLERVAVPEPKPKTSTADEPPKQSGMGDDQQRDRLYEDMPDSELDDSIRRNKLTQQKMAHRLPDKGEKLQIALKRMVDEWERRRKLRREGTGTGKWEKPTQAVISRNIGASIGSSEEASFVSLFKRKLEENSDSRTASEYHGESSLHPCNRQNMKNNGELLQKEGHKGRSSSRFLRHQCPNNFYHKRDKCSLSNGDHKGMDSSPYPLHHCGENMSSCATRNDASQVNDLRPRKAQNIVVVDDEESQSMDTTEEAEELPECMKETKIYYPSRRDPESVEICYGDIKCLDPGCYLTSTIMNFYIRYLQQQASSKDRGIFDCHFFNTFFYEKLKEAVAYKGNDKDKLFGKFRRWWKGVNIFQKAYLLIPIHEDVHWSLVIICIPDKEEESGPIVLHLDSLGVHSPRSVFQNIKRMELFGSRSRRFRYSYFRQYMEPTSQ >ONI30508 pep chromosome:Prunus_persica_NCBIv2:G1:26547667:26551022:-1 gene:PRUPE_1G254600 transcript:ONI30508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGKKGGIDIDWNNVLDSRDDHCDPLERVAVPEPKPKTSTADEPPKQSGMGDDQQRDRLYEDMPDSELDDSIRRNKLTQQKMAHRLPDKGEKLQIALKRMVDEWERRRKLRREGTGTGKWEKPTQAVISRNIGASIGSSEEASFVSLFKRKLEENSDSRTASEYHGESSLHPCNRQNMKNNGELLQKEGHKGRSSSRFLRHQCPNNFYHKRDKCSLSNGDHKGMDSSPYPLHHCGENMSSCATRNDASQVNDLRPRKAQNIVVVDDEESQSMDTTEEAEELPECMKETKIYYPSRRDPESVEICYGDIKCLDPGCYLTSTIMNFYIRYLQQQASSKDRGIFDCHFFNTFFYEKLKEAVAYKGNDKDKLFGKFRRWWKGVNIFQKAYLLIPIHEE >ONI30505 pep chromosome:Prunus_persica_NCBIv2:G1:26544967:26551022:-1 gene:PRUPE_1G254600 transcript:ONI30505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGKKGGIDIDWNNVLDSRDDHCDPLERVAVPEPKPKTSTADEPPKQSGMGDDQQRDRLYEDMPDSELDDSIRRNKLTQQKMAHRLPDKGEKLQIALKRMVDEWERRRKLRREGTGTGKWEKPTQAVISRNIGASIGSSEEASFVSLFKRKLEENSDSRTASEYHGESSLHPCNRQNMKNNGELLQKEGHKGRSSSRFLRHQCPNNFYHKRDKCSLSNGDHKGMDSSPYPLHHCGENMSSCATRNDASQVNDLRPRKAQNIVVVDDEESQSMDTTEEAEELPECMKETKIYYPSRYLQQQASSKDRGIFDCHFFNTFFYEKLKEAVAYKGNDKDKLFGKFRRWWKGVNIFQKAYLLIPIHEDVHWSLVIICIPDKEEESGPIVLHLDSLGVHSPRSVFQNIKSYLKEEWNYLDQEVAVSDIPISDSIWNQLPNKIEEKKLAVPQQRNEYDCGLFVLFFMERFIEEAPQRLQRKNLAMFGKRWFKPEEASSLRMKIRKLLIQEFRDAHQVNCSKESSPQSGASEE >ONI31561 pep chromosome:Prunus_persica_NCBIv2:G1:30687065:30694768:1 gene:PRUPE_1G319700 transcript:ONI31561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGHRRVRRKDNYVQENGDTSQSNAGDELDPWTAWAYRPRTISMLLIGACFLIWVSGALDPESSASGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRIYLPENPKSRFKNVYETLFDEFVVAHIVGWWGKAILIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLTLCIVFMTVELNTFFLKFCLWIPPRNPLIVYRLILWWLIAIPTIREYNSYLQDRTPVKKVGAFCWLSVAICIIELLICIKFGHGLYPKPMPLWMVTFWMSAGVALVLFLIVWSWQLHRSLGRKRR >ONI31565 pep chromosome:Prunus_persica_NCBIv2:G1:30691066:30694744:1 gene:PRUPE_1G319700 transcript:ONI31565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSWVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRIYLPENPKSRFKNVYETLFDEFVVAHIVGWWGKAILIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLTLCIVFMTVELNTFFLKFCLWIPPRNPLIVYRLILWWLIAIPTIREYNSYLQDRTPVKKVGAFCWLSVAICIIELLICIKFGHGLYPKPMPLWMVTFWMSAGVALVLFLIVWSWQLHRSLGRKRR >ONI31564 pep chromosome:Prunus_persica_NCBIv2:G1:30687702:30694742:1 gene:PRUPE_1G319700 transcript:ONI31564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGHRRVRRKDNYVQENGDTSQSNAGDELDPWTAWAYRPRTISMLLIGACFLIWVSGALDPESSASGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRIYLPENPKSRFKNVYETLFDEFVVAHIVGWWGKAILIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLTLCIVFMTVELNTFFLKFCLWIPPRNPLIVYRLILWWLIAIPTIREYNSYLQDRTPVKKVGAFCWLSVAICIIELLICIKFGHGLYPKPMPLWMVTFWMSAGVALVLFLIVWSWQLHRSLGRKRR >ONI31563 pep chromosome:Prunus_persica_NCBIv2:G1:30687700:30694742:1 gene:PRUPE_1G319700 transcript:ONI31563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGHRRVRRKDNYVQENGDTSQSNAGDELDPWTAWAYRPRTISMLLIGACFLIWVSGALDPESSASGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRIYLPENPKSRFKNVYETLFDEFVVAHIVGWWGKAILIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLTLCIVFMTVELNTFFLKFCLWIPPRNPLIVYRLILWWLIAIPTIREYNSYLQDRTPVKKVGAFCWLSVAICIIELLICIKFGHGLYPKPMPLWMVTFWMSAGVALVLFLIVWSWQLHRSLGRKRR >ONI31560 pep chromosome:Prunus_persica_NCBIv2:G1:30688016:30694742:1 gene:PRUPE_1G319700 transcript:ONI31560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQWRSSYVRTIFVRMQIPHDTTFLKKTCKLDDHGTSSCIHIMSCEQISSLYWRPMEPNGHRRVRRKDNYVQENGDTSQSNAGDELDPWTAWAYRPRTISMLLIGACFLIWVSGALDPESSASGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRIYLPENPKSRFKNVYETLFDEFVVAHIVGWWGKAILIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLTLCIVFMTVELNTFFLKFCLWIPPRNPLIVYRLILWWLIAIPTIREYNSYLQDRTPVKKVGAFCWLSVAICIIELLICIKFGHGLYPKPMPLWMVTFWMSAGVALVLFLIVWSWQLHRSLGRKRR >ONI31562 pep chromosome:Prunus_persica_NCBIv2:G1:30689435:30694742:1 gene:PRUPE_1G319700 transcript:ONI31562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGHRRVRRKDNYVQENGDTSQSNAGDELDPWTAWAYRPRTISMLLIGACFLIWVSGALDPESSASGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRIYLPENPKSRFKNVYETLFDEFVVAHIVGWWGKAILIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYKWVGLSRQPNIIGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLTLCIVFMTVELNTFFLKFCLWIPPRNPLIVYRLILWWLIAIPTIREYNSYLQDRTPVKKVGAFCWLSVAICIIELLICIKFGHGLYPKPMPLWMVTFWMSAGVALVLFLIVWSWQLHRSLGRKRR >ONI34887 pep chromosome:Prunus_persica_NCBIv2:G1:41651876:41655189:1 gene:PRUPE_1G503900 transcript:ONI34887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAFTRSLGFFSALKKVILSTKKTNSSLPLPFAVSAMDGNSSCALVLCGKSSAENETAKTLKNSGALKLTDNTELSILLHSESAKPIKEDAFGVDSFMNSLSTNRFGRFLLWSPRLPSTHDVISQNFCELPIGAVCVADVQYKGRGRSKNVWESPKGCLLFSFTLQMEDGRVVPLIQYVVSLAVTEAIKDVCDKNGLPYVDVKIKWPNDLYLNGLKVGGILCTSTFKSKQFNVSAGIGLNVDNEKPTTCLNTFLRELSVTTYQFRREDILAAFFDKFEKFYELFINQGFQSLEELYYKTWLHSGQRVIVQEKNDDQVVENVVTIQVWQTRKLLDELLTQSLNIHAYVHERVCTLSYDINPTKICYFILSGSDRNLRVTFMDKILGLVFIKDMPLSLDIQKLKNVFSKNV >ONI34885 pep chromosome:Prunus_persica_NCBIv2:G1:41651876:41657245:1 gene:PRUPE_1G503900 transcript:ONI34885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAFTRSLGFFSALKKVILSTKKTNSSLPLPFAVSAMDGNSSCALVLCGKSSAENETAKTLKNSGALKLTDNTELSILLHSESAKPIKEDAFGVDSFMNSLSTNRFGRFLLWSPRLPSTHDVISQNFCELPIGAVCVADVQYKGRGRSKNVWESPKGCLLFSFTLQMEDGRVVPLIQYVVSLAVTEAIKDVCDKNGLPYVDVKIKWPNDLYLNGLKVGGILCTSTFKSKQFNVSAGIGLNVDNEKPTTCLNTFLRELSVTTYQFRREDILAAFFDKFEKFYELFINQGFQSLEELYYKTWLHSGQRVIVQEKNDDQVVENVVTIQGLTSSGYLLAIGDDNQMCELHPDGNSFDFFKGLVRQKLD >ONI34886 pep chromosome:Prunus_persica_NCBIv2:G1:41651876:41657245:1 gene:PRUPE_1G503900 transcript:ONI34886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAFTRSLGFFSALKKVILSTKKTNSSLPLPFAVSAMDGNSSCALVLCGKSSAENETAKTLKNSGALKLTDNTELSILLHSESAKPIKEDAFGVDSFMNSLSTNRFGRFLLWSPRLPSTHDVISQNFCELPIGAVCVADVQYKGRGRSKNVWESPKGCLLFSFTLQMEDGRVVPLIQYVVSLAVTEAIKDVCDKNGLPYVDVKIKWPNDLYLNGLKVGGILCTSTFKSKQFNVSAGIGLNVDNEKPTTCLNTFLRELSVTTYQFRREDILAAFFDKFEKFYELFINQGFQSLEELYYKTWLHSGQRVIVQEKNDDQVVENVVTIQGLTSSGYLLAIGDDNQMCELHPDGNSFDFFKGLVRQKLD >ONI30032 pep chromosome:Prunus_persica_NCBIv2:G1:24032115:24034470:-1 gene:PRUPE_1G227100 transcript:ONI30032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRHWNLVYSLATLFLQLLLQYLMTITAIDPDKEAYEFGLPYIQRAGVDHKINFCHSDALTVLNDLIANGKEEGSFDFAFVDANKDAYIKYHELLLKLVKVGGIIAYDNTLWFGTVVEAEENVEEFAKKGRKHLLQLNSFLAADDRIELALVSIGDGLTLCRRLY >ONI30029 pep chromosome:Prunus_persica_NCBIv2:G1:24032284:24034289:-1 gene:PRUPE_1G227100 transcript:ONI30029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKVEKIILKSPALLKYIFETSCFPREHEQLKQLREATVEKYQFWSLMNVPVDEGLLLSMILKLMNANKTLELGVFTGYSLLTTALAIPHDGKITAIDPDKEAYEFGLPYIQRAGVDHKINFCHSDALTVLNDLIANGKEEGSFDFAFVDANKDAYIKYHELLLKLVKVGGIIAYDNTLWFGTVVEAEENVEEFAKKGRKHLLQLNSFLAADDRIELALVSIGDGLTLCRRLY >ONI30031 pep chromosome:Prunus_persica_NCBIv2:G1:24032115:24033632:-1 gene:PRUPE_1G227100 transcript:ONI30031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRHWNLVYSLATLFLQLLLQYLMTITAIDPDKEAYEFGLPYIQRAGVDHKINFCHSDALTVLNDLIANGKEEGSFDFAFVDANKDAYIKYHELLLKLVKVGGIIAYDNTLWFGTVVEAEENVEEFAKKGRKHLLQLNSFLAADDRIELALVSIGDGLTLCRRLY >ONI30030 pep chromosome:Prunus_persica_NCBIv2:G1:24032115:24033691:-1 gene:PRUPE_1G227100 transcript:ONI30030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIQYIFETSCFPREHEQLKQLREATVEKYQFWSLMNVPVDEGLLLSMILKLMNANKTLELGVFTGYSLLTTALAIPHDGKITAIDPDKEAYEFGLPYIQRAGVDHKINFCHSDALTVLNDLIANGKEEGSFDFAFVDANKDAYIKYHELLLKLVKVGGIIAYDNTLWFGTVVEAEENVEEFAKKGRKHLLQLNSFLAADDRIELALVSIGDGLTLCRRLY >ONI26602 pep chromosome:Prunus_persica_NCBIv2:G1:2356477:2360943:1 gene:PRUPE_1G033900 transcript:ONI26602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSKLPLHICHVHKLSIFINRTHIFFHSIALVFLLYYRASFFFFHDTTKTKATTLAWLLVFVSEILLSFEWLLSQSFRWRPVSRIAFPERLPGDDKLPAVDVFICTADPEKEPTVGVMNTVLSAMAMDYPPDKLHVYLSDDGGAAVTLKGMREARRFAKWWLPFCRRYGIKCRAPEAYFSTEEEDADFGGSEFIQDREDIKEKYEVFKKRVREKATVGDTRSRLGRDHPAVIEVIQEASSDDAIRENETKNMPLLVYVSREKRPSHPHYFKAGALNVLLRVSGVISNSPYILGLDCDMHCHDPSSARQAMCFHLDPKISPSLALVQFPQKFHNISNNDIYDSQLRSVFSLLWQGYDGVGGPCVSGTGYYIKRLSLCSNSIHEDGDPMKLRQSYGPSNEFIKSLHQNNKPDMLIHRKNALLNEAQLLASCAFENGTEWGEEVGFMYGSVVEDYFTGFRLHCKGWISVYCNPPRPQFLGSGTTNLDDFLVQGTRWTSGLVDVAISKFCPLIYGPLKTHTFVQSMCYAELALFPILYFLPLWCFATIPQLCLLNGIPLYPEVSNSYFIVFSFVFLSSISKHLYEVLSTGFTFRHWINEQRIWMMKSVTSHLYGSVDAFMKKIGMREASFFPTNKVDDVDQLKRYNMGVFDFQTSILFLAPMAALVILNMASFAVGISRVIFSGELDKFFIQVFIPFYVILMNYPIVEGMLIRKDRGRIPPSVTLLSAIVSLIFYFLGSIIFM >ONI26603 pep chromosome:Prunus_persica_NCBIv2:G1:2356476:2360944:1 gene:PRUPE_1G033900 transcript:ONI26603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSKLPLHICHVHKLSIFINRTHIFFHSIALVFLLYYRASFFFFHDTTKTKATTLAWLLVFVSEILLSFEWLLSQSFRWRPVSRIAFPERLPGDDKLPAVDVFICTADPEKEPTVGVMNTVLSAMAMDYPPDKLHVYLSDDGGAAVTLKGMREARRFAKWWLPFCRRYGIKCRAPEAYFSTEEEDADFGGSEFIQDREDIKEKYEVFKKRVREKATVGDTRSRLGRDHPAVIELRVSGVISNSPYILGLDCDMHCHDPSSARQAMCFHLDPKISPSLALVQFPQKFHNISNNDIYDSQLRSVFSLLWQGYDGVGGPCVSGTGYYIKRLSLCSNSIHEDGDPMKLRQSYGPSNEFIKSLHQNNKPDMLIHRKNALLNEAQLLASCAFENGTEWGEEVGFMYGSVVEDYFTGFRLHCKGWISVYCNPPRPQFLGSGTTNLDDFLVQGTRWTSGLVDVAISKFCPLIYGPLKTHTFVQSMCYAELALFPILYFLPLWCFATIPQLCLLNGIPLYPEVSNSYFIVFSFVFLSSISKHLYEVLSTGFTFRHWINEQRIWMMKSVTSHLYGSVDAFMKKIGMREASFFPTNKVDDVDQLKRYNMGVFDFQTSILFLAPMAALVILNMASFAVGISRVIFSGELDKFFIQVFIPFYVILMNYPIVEGMLIRKDRGRIPPSVTLLSAIVSLIFYFLGSIIFM >ONI32992 pep chromosome:Prunus_persica_NCBIv2:G1:35247719:35251222:1 gene:PRUPE_1G398200 transcript:ONI32992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALAATSPEPHLLPHTTHTVEVNSLLPATTCTPSTKGHTNDKATILEVVHKHGPCSILHKSSKTSTTKSDDKYHAQILKQDEARVNSIHSRLNYRIHDPFTQSAAATTLPAKSGLPLGTGNYLVTLALGTPAKQLSLVFDTGSDLTWTQCRPCLRSCYTQSEPIFDPSLSASYKNLSCTSATCTQLSSAGIQHGCSSASSSCLYRIRRVLLHRGLAKEKLTLTSMDVFEGFLFGCGQDNEGLFNGTAGLLGLGRNNISLVEQTAMKYNRFFSYCLPSTSSSTGHLTFGNGGGSANGVKFTKLTTLSERASSFYGLGLTGISVGGRQLPVASSVFSSSGTIIDSGTVITRLPATAYTALRDAFREGMKNYTMTMPFSLLDTCYNFSGNETVSFPDIAFGFADGVTVDLDAAGILLAVSDSQVCLAFAGNKNDRDFGIIGNVQQKRLEVVYDVAGGKVGFAPASCP >ONI28231 pep chromosome:Prunus_persica_NCBIv2:G1:10505918:10507105:1 gene:PRUPE_1G132700 transcript:ONI28231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSIVLEGQKGSGGVGKNIPQVINKTNMVVINKPSSSSSFLPSPSNPSQSHKNLFLSPQTPFLAPTFLDQCFLCRQKLLPGKDIYMYKGDRGFCSVECRYRQIFMDEEESLRQEKQCSMAAMKPTSASSSSSSSSAASNERKGTRNRGSGFAY >ONI30994 pep chromosome:Prunus_persica_NCBIv2:G1:28706105:28708684:1 gene:PRUPE_1G286800 transcript:ONI30994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKALTLLPHRPFSSTPEIPTLYSFLQPSVFALKRDLPPSQKSHSDLPTPPPKTLAPDHITTLEATLHKSLITHNTDEAWKSFKTLTGSSAFPSKSLTNSLITHLSSLGDIHNLKRAFATVVYVVEKNPGFLDFETVGTLLDAMKCANTAAPAFALIKSVFKNRFFLPFSVWGNVLIEISRKNGNFVAFLRVFEENCRIALDEKLESMKPDLAACNAALEGCCRELESVSDAEKVVETMAVLGVRPDESSFGFLAYLYALKGLEEKITELEGLMGGFGFSNKRVFQSNLINGYVKSGKLESVSATILRILREGDGDFLNLGEETYCEVVKGYLMSASVKELATLIIEAQKLESSTVVVDRSVGYGIVNACVHIGLSDKAHGILDEMNAQGGSLGLGVYVPILKAYCKEHRTAEATQLVMDVSNSGLQLDTGTYDALIESSMSSQDFQSAFSLYRDMREARISDLKGSYLTIMTGLMENHRPELMAAFLDEVVEDPRIEVGTHDWNSIIHAFCKAGRLEDARRTFRRMIFLQHKPNEQTYLSLISGYVSVEKYFCVLMLWHEVKRNVSVDGEKGIKFDHNMVDAFLYALVKGGFFDAVMQVVEKSQEMKVFVDKWRYKQAFMETHKKLKVSKLRKRNFRKMEALVAFKNWAGLNA >ONI33971 pep chromosome:Prunus_persica_NCBIv2:G1:38272594:38275608:-1 gene:PRUPE_1G456300 transcript:ONI33971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWVFGYGSLVWNPGFEYDEKVIGYIKDYRRVFDVACIDHRGTPEHPARTCSLEYQKGAICWGAAYSVRGGPEREKLAMEYLERRECEYDLKTLVNFYKEGDFSESEPSLTGVIVFTSTPDKESNKYYLGPAPLEDMARQIATAFGPCGNNRDYLFLLEKAMFDIDHEDDYVIELANEVRKVLGTGGNGILKEKKMAGPPHLPLKSHIQALQLHPLPEAIAMDS >ONI26561 pep chromosome:Prunus_persica_NCBIv2:G1:2225157:2227384:1 gene:PRUPE_1G031300 transcript:ONI26561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASVAVVAEELKAMEIAKPVVVGDDGGEAKNAATADIAATAAESQKKTKKMNKNRIHVSNSKRPFLFYCNLAKRYIKQYNSVELFALGMAIPTVITIAETLKRNGLAVEKKISTCTVVSKLVDVENGRIVLKAQIAILLEKAENIEETAVAAA >ONI27976 pep chromosome:Prunus_persica_NCBIv2:G1:9076374:9084942:1 gene:PRUPE_1G114600 transcript:ONI27976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFFINLAANRFGRGSFAKLFVGSVPRTTTEEDIRPLFEEHGEVIEVALIKDRKTGQQQGCCFIKYATSEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEKEVEEIFSPYGRVEDVYLMRDELKQSRGCGFVKYSQRDMALAAINGLNGRYTMRGCDQPLTVRFADPKRPRSTDSRVPAFGGPGFGPRFQTPGARPVPSVGDPMNDQIPTHAWHPMSPPNLGPSPNAGIRGFGGHFLPRAGNMALPLNSGGHGGSAEGPLPGMPVSSSLTSQQSFNQSMPHVGQKISPVQKPIQSPQHLPPSLQQHPQTPASYSHTQTVRQLGQAQLSHSSGQTPFSQALPSQQFIGLGGQLSVPQPEVPKNASSATTLPAPLNINLQRHSVSSTTNQQPLSSAPPVQQPLLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQGLALHQISQATKQQQSQWTGVVPQTVASTAAATPAADVPSATSVAPVTQTTAPAKCIWTEHTSPDGYKYYYNNATGESRWEKPAEFILFEQQQQQQKASVQQAHTPSLPQNLSAQQVSHNQQLHLQSQLQPHLRTNVHLQQPSFSSSYQASQASGIIGHQNVQEFGYSQLPTTRSVGDPTHFQQGLQTAQEWMWKNKRTGA >ONI27975 pep chromosome:Prunus_persica_NCBIv2:G1:9075540:9085435:1 gene:PRUPE_1G114600 transcript:ONI27975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYQTHHPRRSPPSYRGGRGGPPPHRAFDSPPRHSPGGFRPLGAAGGGGFGPNHQDQPPLSGQKRGFSRGGSPDRFGRGSFAKLFVGSVPRTTTEEDIRPLFEEHGEVIEVALIKDRKTGQQQGCCFIKYATSEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLVEYKLFVGSLNKQATEKEVEEIFSPYGRVEDVYLMRDELKQSRGCGFVKYSQRDMALAAINGLNGRYTMRGCDQPLTVRFADPKRPRSTDSRVPAFGGPGFGPRFQTPGARPVPSVGDPMNDQIPTHAWHPMSPPNLGPSPNAGIRGFGGHFLPRAGNMALPLNSGGHGGSAEGPLPGMPVSSSLTSQQSFNQSMPHVGQKISPVQKPIQSPQHLPPSLQQHPQTPASYSHTQTVRQLGQAQLSHSSGQTPFSQALPSQQFIGLGGQLSVPQPEVPKNASSATTLPAPLNINLQRHSVSSTTNQQPLSSAPPVQQPLLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQGLALHQISQATKQQQSQWTGVVPQTVASTAAATPAADVPSATSVAPVTQTTAPAKCIWTEHTSPDGYKYYYNNATGESRWEKPAEFILFEQQQQQQKASVQQAHTPSLPQNLSAQQVSHNQQLHLQSQLQPHLRTNVHLQQPSFSSSYQASQASGIIGHQNVQEFGYSQLPTTRSVGDPTHFQQGLQTAQEWMWKNKRTGA >ONI27974 pep chromosome:Prunus_persica_NCBIv2:G1:9075565:9085427:1 gene:PRUPE_1G114600 transcript:ONI27974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYQTHHPRRSPPSYRGGRGGPPPHRAFDSPPRHSPGGFRPLGAAGGGGFGPNHQDQPPLSGQKRGFSRGGSPDRFGRGSFAKLFVGSVPRTTTEEDIRPLFEEHGEVIEVALIKDRKTGQQQGCCFIKYATSEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEKEVEEIFSPYGRVEDVYLMRDELKQSRGCGFVKYSQRDMALAAINGLNGRYTMRGCDQPLTVRFADPKRPRSTDSRVPAFGGPGFGPRFQTPGARPVPSVGDPMNDQIPTHAWHPMSPPNLGPSPNAGIRGFGGHFLPRAGNMALPLNSGGHGGSAEGPLPGMPVSSSLTSQQSFNQSMPHVGQKISPVQKPIQSPQHLPPSLQQHPQTPASYSHTQTPLSSAPPVQQPLLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQGLALHQISQATKQQQSQWTGVVPQTVASTAAATPAADVPSATSVAPVTQTTAPAKCIWTEHTSPDGYKYYYNNATGESRWEKPAEFILFEQQQQQQKASVQQAHTPSLPQNLSAQQVSHNQQLHLQSQLQPHLRTNVHLQQPSFSSSYQASQASGIIGHQNVQEFGYSQLPTTRSVGDPTHFQQGLQTAQEWMWKNKRTGA >ONI27973 pep chromosome:Prunus_persica_NCBIv2:G1:9075486:9085904:1 gene:PRUPE_1G114600 transcript:ONI27973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYQTHHPRRSPPSYRGGRGGPPPHRAFDSPPRHSPGGFRPLGAAGGGGFGPNHQDQPPLSGQKRGFSRGGSPDRFGRGSFAKLFVGSVPRTTTEEDIRPLFEEHGEVIEVALIKDRKTGQQQGCCFIKYATSEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEKEVEEIFSPYGRVEDVYLMRDELKQSRGCGFVKYSQRDMALAAINGLNGRYTMRGCDQPLTVRFADPKRPRSTDSRVPAFGGPGFGPRFQTPGARPVPSVGDPMNDQIPTHAWHPMSPPNLGPSPNAGIRGFGGHFLPRAGNMALPLNSGGHGGSAEGPLPGMPVSSSLTSQQSFNQSMPHVGQKISPVQKPIQSPQHLPPSLQQHPQTPASYSHTQTVRQLGQAQLSHSSGQTPFSQALPSQQFIGLGGQLSVPQPEVPKNASSATTLPAPLNINLQRHSVSSTTNQQPLSSAPPVQQPLLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQLMQPSNQGLALHQISQATKQQQSQWTGVVPQTVASTAAATPAADVPSATSVAPVTQTTAPAKCIWTEHTSPDGYKYYYNNATGESRWEKPAEFILFEQQQQQQKASVQQAHTPSLPQNLSAQQVSHNQQLHLQSQLQPHLRTNVHLQQPSFSSSYQASQASGIIGHQNVQEFGYSQLPTTRSVGDPTHFQQGLQTAQEWMWKNKRTGA >ONI29880 pep chromosome:Prunus_persica_NCBIv2:G1:23398942:23400013:-1 gene:PRUPE_1G219200 transcript:ONI29880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQISTGMYELLEELIDERAVDLLKTNVAETDDSDHSSVISVIQSSSKWSYC >ONI32958 pep chromosome:Prunus_persica_NCBIv2:G1:35133624:35136627:-1 gene:PRUPE_1G396000 transcript:ONI32958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRKPSYTNPKPCKHLSAYKLKHGLSGYKSLQKCLKTTPNGRTSVQNPGTEIPRCSSCNGYQGRLYICLICSSISCSSHALLHTQSEIGHYLAVDIERAELYCCACSDQVYDPDFDKTVMSKHMVDLPYSTNSADSIGERLCERKRLGSGVELVDLKKAEKSALTRDQRAKSCYPLGLRGLTNLGNTCFMNSVLQALLHAPPLRNYFLSDRHNPKTCRKRTANRLCLPCELHGIFSAVYLGDRTPYSPAQFLYSWWQHSENLASYEQQDAHEFFISVLDGIHEKESKARNQTRDTGDCQCIAHRVFSGLLRSDVTCMTCGFTSTTYDPCLDISLNLDTSHCSWSDASNKPVKPNDNSSSSTLLGCLDLFTKPEKLGSDQKLYCQNCEELRDSSKQMSIRKLPLVLCLHIKRFEHSLVRKMMRKIDSYLHFPFSLDMTPYLSSSIVRNRFGNRIFAFEGDESDISTEFEIFAVVTHSGTLESGHYVTYLRIKNQWYKCDNAWINEVDEGIVRASQCYMMFYVHKMLYYKSHEDLSRLPISTRIEAFHRVAGCC >ONI35101 pep chromosome:Prunus_persica_NCBIv2:G1:42446022:42449192:-1 gene:PRUPE_1G515900 transcript:ONI35101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIQNQTQLQNLTSWTYLPSNKAINSSSNPEAIANPCNIWSGISCNTAGSISKINLTKSGLQGNNVTGSIPPEIGNLTQLHLLNLSSNHLVGKIPMELGRLTSLVRLILNNNQLSGGIPQELGSLTHLDYLDLSTNKLSQSIPSSLGNFVQMHHMNLSNNKLSHGTPTKLGRLVQLSVLDLSHNNLAEEIPTEFSKLGSLVTLNISHNNLSGVIPENFVELRGLEFVDISYNQLRGPIPHNKAFQEAPIEALQGNKALCGNVTGLHPCNNSPVEKKHNSKIGFKVVYCLIMLPVLGAFMLAFYGIFITLRRKRESQQEEQSDIHPKEFELRAISIFDGKVLYEEIIRATEDFDAAYCIGRGAVGSVYKAKLPSDDLVAVKKLHIPCDGVWRKEFLNEVRALTEIRHRNIVKLYGFCSHARHSFLVYEYLERGSLFSILCNDEEARKFDWIKRVNIIKGVAHGLSYMHHDVSLPIVHRDISSKNILLDTEYEAHISDFGTAKILDYESSNWTAVVGTIGYIAPELAYTLKVTEKCDVYGFGVLALEVIKGKYPSNLVGSALSSAIWGGKMLGDVLDDRLAHPTGKILDEVVTILKLAVACLHENPELRPTMHDISCNNTNLPYTERQIEG >ONI35099 pep chromosome:Prunus_persica_NCBIv2:G1:42446022:42449191:-1 gene:PRUPE_1G515900 transcript:ONI35099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFLKKKAMRASTYDKVCSLAYCLILYVQLVFASAISTETDALLKWKASIQNQTQLQNLTSWTYLPSNKAINSSSNPEAIANPCNIWSGISCNTAGSISKINLTKSGLQGNNVTGSIPPEIGNLTQLHLLNLSSNHLVGKIPMELGRLTSLVRLILNNNQLSGGIPQELGSLTHLDYLDLSTNKLSQSIPSSLGNFVQMHHMNLSNNKLSHGTPTKLGRLVQLSVLDLSHNNLAEEIPTEFSKLGSLVTLNISHNNLSGVIPENFVELRGLEFVDISYNQLRGPIPHNKAFQEAPIEALQGNKALCGNVTGLHPCNNSPVEKKHNSKIGFKVVYCLIMLPVLGAFMLAFYGIFITLRRKRESQQEEQSDIHPKEFELRAISIFDGKVLYEEIIRATEDFDAAYCIGRGAVGSVYKAKLPSDDLVAVKKLHIPCDGVWRKEFLNEVRALTEIRHRNIVKLYGFCSHARHSFLVYEYLERGSLFSILCNDEEARKFDWIKRVNIIKGVAHGLSYMHHDVSLPIVHRDISSKNILLDTEYEAHISDFGTAKILDYESSNWTAVVGTIGYIAPELAYTLKVTEKCDVYGFGVLALEVIKGKYPSNLVGSALSSAIWGGKMLGDVLDDRLAHPTGKILDEVVTILKLAVACLHENPELRPTMHDISCNNTNLPYTERQIEG >ONI35102 pep chromosome:Prunus_persica_NCBIv2:G1:42446022:42449308:-1 gene:PRUPE_1G515900 transcript:ONI35102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIQNQTQLQNLTSWTYLPSNKAINSSSNPEAIANPCNIWSGISCNTAGSISKINLTKSGLQGTLHEFSFLSFPNVEYIDLSRNKIYGAIPPQISSLSKLIYLDLSDNKLSGKIPPEIGFLTNLQNLYLYTNKLNGSVPQEIGQLKSLLVLNLMENRLSGPLPMSIGNLSKLQFIQLRDNQLSGSIPQVIENLMNLVVLRLARNNFTGHLPQNLCRGGLLANFTANGNRLIGRIPESLRNCTTLYRVRLDGNQLTGNISEDFGVYPKLDYINLSNNRFYGELSHKWGRSLQLTNLEIAGNNVTGSIPPEIGNLTQLHLLNLSSNHLVGKIPMELGRLTSLVRLILNNNQLSGGIPQELGSLTHLDYLDLSTNKLSQSIPSSLGNFVQMHHMNLSNNKLSHGTPTKLGRLVQLSVLDLSHNNLAEEIPTEFSKLGSLVTLNISHNNLSGVIPENFVELRGLEFVDISYNQLRGPIPHNKAFQEAPIEALQGNKALCGNVTGLHPCNNSPVEKKHNSKIGFKVVYCLIMLPVLGAFMLAFYGIFITLRRKRESQQEEQSDIHPKEFELRAISIFDGKVLYEEIIRATEDFDAAYCIGRGAVGSVYKAKLPSDDLVAVKKLHIPCDGVWRKEFLNEVRALTEIRHRNIVKLYGFCSHARHSFLVYEYLERGSLFSILCNDEEARKFDWIKRVNIIKGVAHGLSYMHHDVSLPIVHRDISSKNILLDTEYEAHISDFGTAKILDYESSNWTAVVGTIGYIAPELAYTLKVTEKCDVYGFGVLALEVIKGKYPSNLVGSALSSAIWGGKMLGDVLDDRLAHPTGKILDEVVTILKLAVACLHENPELRPTMHDISCNNTNLPYTERQIEG >ONI35100 pep chromosome:Prunus_persica_NCBIv2:G1:42446022:42449380:-1 gene:PRUPE_1G515900 transcript:ONI35100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFLKKKAMRASTYDKVCSLAYCLILYVQLVFASAISTETDALLKWKASIQNQTQLQNLTSWTYLPSNKAINSSSNPEAIANPCNIWSGISCNTAGSISKINLTKSGLQGTLHEFSFLSFPNVEYIDLSRNKIYGAIPPQISSLSKLIYLDLSDNKLSGKIPPEIGFLTNLQNLYLYTNKLNGSVPQEIGQLKSLLVLNLMENRLSGPLPMSIGNLSKLQFIQLRDNQLSGSIPQVIENLMNLVVLRLARNNFTGHLPQNLCRGGLLANFTANGNRLIGRIPESLRNCTTLYRVRLDGNQLTGNISEDFGVYPKLDYINLSNNRFYGELSHKWGRSLQLTNLEIAGNNVTGSIPPEIGNLTQLHLLNLSSNHLVGKIPMELGRLTSLVRLILNNNQLSGGIPQELGSLTHLDYLDLSTNKLSQSIPSSLGNFVQMHHMNLSNNKLSHGTPTKLGRLVQLSVLDLSHNNLAEEIPTEFSKLGSLVTLNISHNNLSGVIPENFVELRGLEFVDISYNQLRGPIPHNKAFQEAPIEALQGNKALCGNVTGLHPCNNSPVEKKHNSKIGFKVVYCLIMLPVLGAFMLAFYGIFITLRRKRESQQEEQSDIHPKEFELRAISIFDGKVLYEEIIRATEDFDAAYCIGRGAVGSVYKAKLPSDDLVAVKKLHIPCDGVWRKEFLNEVRALTEIRHRNIVKLYGFCSHARHSFLVYEYLERGSLFSILCNDEEARKFDWIKRVNIIKGVAHGLSYMHHDVSLPIVHRDISSKNILLDTEYEAHISDFGTAKILDYESSNWTAVVGTIGYIAPELAYTLKVTEKCDVYGFGVLALEVIKGKYPSNLVGSALSSAIWGGKMLGDVLDDRLAHPTGKILDEVVTILKLAVACLHENPELRPTMHDISCNNTNLPYTERQIEG >ONI35103 pep chromosome:Prunus_persica_NCBIv2:G1:42446235:42448018:-1 gene:PRUPE_1G515900 transcript:ONI35103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGRLTSLVRLILNNNQLSGGIPQELGSLTHLDYLDLSTNKLSQSIPSSLGNFVQMHHMNLSNNKLSHGTPTKLGRLVQLSVLDLSHNNLAEEIPTEFSKLGSLVTLNISHNNLSGVIPENFVELRGLEFVDISYNQLRGPIPHNKAFQEAPIEALQGNKALCGNVTGLHPCNNSPVEKKHNSKIGFKVVYCLIMLPVLGAFMLAFYGIFITLRRKRESQQEEQSDIHPKEFELRAISIFDGKVLYEEIIRATEDFDAAYCIGRGAVGSVYKAKLPSDDLVAVKKLHIPCDGVWRKEFLNEVRALTEIRHRNIVKLYGFCSHARHSFLVYEYLERGSLFSILCNDEEARKFDWIKRVNIIKGVAHGLSYMHHDVSLPIVHRDISSKNILLDTEYEAHISDFGTAKILDYESSNWTAVVGTIGYIAPELAYTLKVTEKCDVYGFGVLALEVIKGKYPSNLVGSALSSAIWGGKMLGDVLDDRLAHPTGKILDEVVTILKLAVACLHENPELRPTMHDISCNNTNLPYTERQIEG >ONI26345 pep chromosome:Prunus_persica_NCBIv2:G1:1312930:1318025:-1 gene:PRUPE_1G019000 transcript:ONI26345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRTSENFEGDIVSKQVDLQQGDMKFNIKGTGTGSISFKDMDPKIKGTGSISNKQMDPKFKGAGSISGKEMIFRADKIDLKHIDIQLEKHLSRVWSKNIERTRPKEEWEIDLSKLDIRYVVARGTYGIVYRGTYDERDVAVKVLDWGEDGYATANDTNAARESFQKEVAVWHKLDHPNVTKFIGASMGTSDLKIPSKSSSSDGLDSNPARACCVVVEYLAGGTLKQYLIRNRQKKLAFKVVIQLALDLARGLSYLHSQKIVHRDVKTENMLLDTRRNLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEVYCCDMPYPDLSFADVSSAVVRQNLRPEIPRCCPSSFSNIMRKCWDANANKRPEMGEVVRMLEAIDTSKGGGMIPEDRSPGCFCFAPTRGP >ONI30768 pep chromosome:Prunus_persica_NCBIv2:G1:27859550:27862255:-1 gene:PRUPE_1G271800 transcript:ONI30768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTFWGKMLNRRSALIGFISCFCFSSTFLSGSIVAASPHDPLLGSARVVFQTNYGDIEFGFYPSVAPKTVEHIYKLVRLGGYNTNHFFRVEKGFVAQVADVASGRLAPMNEEQRREAEKTVIGEFSQVKHVRGILSMGRYEDPNSAASSFSMLLGDSPHLDGQPTERITILSSYYYDTEMESCEHERSIMKRRLAASAIEIERQRMKCFP >ONI30770 pep chromosome:Prunus_persica_NCBIv2:G1:27860171:27862256:-1 gene:PRUPE_1G271800 transcript:ONI30770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTFWGKMLNRRSALIGFISCFCFSSTFLSGSIVAASPHDPLLGSARVVFQTNYGDIEFGFYPSVAPKTVEHIYKLVRLGGYNTNHFFRVEKGFVAQVADVASGRLAPMNEEQRREAEKTVIGEFSQVKHVRGILSMGRYEDPNSAASSFSMLLGDSPHLDGQYAIFGKVTKGDETLKALEELPTHREGIFVMPTERITILSSYYYDMSWHY >ONI30769 pep chromosome:Prunus_persica_NCBIv2:G1:27859977:27862146:-1 gene:PRUPE_1G271800 transcript:ONI30769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTFWGKMLNRRSALIGFISCFCFSSTFLSGSIVAASPHDPLLGSARVVFQTNYGDIEFGFYPSVAPKTVEHIYKLVRLGGYNTNHFFRVEKGFVAQVADVASGRLAPMNEEQRREAEKTVIGEFSQVKHVRGILSMGRYEDPNSAASSFSMLLGDSPHLDGQYAIFGKVTKGDETLKALEELPTHREGIFVMPTERITILSSYYYDTEMESCEHERSIMKRRLAASAIEIERQRMKCFP >ONI32289 pep chromosome:Prunus_persica_NCBIv2:G1:33155371:33156265:-1 gene:PRUPE_1G358500 transcript:ONI32289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPVKVYGPPISTAVSRVLACLLEKGVDYQLISVNMSKGEHKKPDYLKIQPFGQVPAFQDEDISLFESRAICRYICDKYADRGNKGLYGTNPLAKASIDQWLEAEGQSFSPPSSTLVFQLAFAPRMKLKQDQGVIRQNEEKLKKVLDVYEKRLGESRFLAGDEFSLADLSHLPNGHYLVNATDRGELFTSRNNVGRWWTEISTRDSWEKVVEMQKPTAN >ONI26694 pep chromosome:Prunus_persica_NCBIv2:G1:2856523:2861986:1 gene:PRUPE_1G040200 transcript:ONI26694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQQSKDELLYQQVSYGNIEGIKSLCREGAGLEWIDREGKTPLIFACMNPGLYNVAKSLIELGANVNAYRPGRNAGTPLHHAAKKGLEDIVNLLLSHGANVLIMNDDCQTPLDVARAKGHTNVVRAIERHICLFSGWLREFYGPGFLEVLAPQLVSRKVWVVVLPSGSRKPTKPFKLELAIYSSMQDARPRTVVALWKVNLEEPKLHQSDPSVVFHDSSTIPRGRRRRRSIYISQEARCRHQKVRQTRIKLASADENDKKQLQWFCNACKGIPQARPAFLANNQPPVPATAPPPAEDLELAMAINASIQSALQERPSFPDAHPTYEGSASSSDNGCGTSSMNTGSYNGWDAPIATAAPNASSSSERPGNESGPKTEIQDISSIQTAPTSDIIPSAPPVADEEPIHYPSIDFSPIDMPSPSVEIIPAKLNEKKGGSDSSSCVICLDAPVEGACIPCGHMAGCMSCLGEIKAKKWGCPVCRAKIDQIVKLYSV >ONI26695 pep chromosome:Prunus_persica_NCBIv2:G1:2856523:2861986:1 gene:PRUPE_1G040200 transcript:ONI26695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQQSKDELLYQQVSYGNIEGIKSLCREGAGLEWIDREGKTPLIFACMNPGLYNVAKSLIELGANVNAYRPGRNAGTPLHHAAKKGLEDIVNLLLSHGANVLIMNDDCQTPLDVARAKGHTNVVRAIERHICLFSGWLREFYGPGFLEVLAPQLVSRKVWVVVLPSGSRKPTKPFKLELAIYSSMQDARPRTVVALWKVNLEEPKLHQSDPSVVFHDSSTKTRIKLASADENDKKQLQWFCNACKGIPQARPAFLANNQPPVPATAPPPAEDLELAMAINASIQSALQERPSFPDAHPTYEGSASSSDNGCGTSSMNTGSYNGWDAPIATAAPNASSSSERPGNESGPKTEIQDISSIQTAPTSDIIPSAPPVADEEPIHYPSIDFSPIDMPSPSVEIIPAKLNEKKGGSDSSSCVICLDAPVEGACIPCGHMAGCMSCLGEIKAKKWGCPVCRAKIDQIVKLYSV >ONI29932 pep chromosome:Prunus_persica_NCBIv2:G1:23707911:23710210:1 gene:PRUPE_1G223200 transcript:ONI29932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYQTFFSSAATPPASSSLSLNMGNPHGAYRTTDHLQFQNNKSPPPANGFLGLMSDMEVSNNINSSQSKSFGGPETAVRLGTKKGEKKIRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTKDEGIVVTTYEGMHSHPIEKSTDNFEHILSQMQIYTSI >ONI31226 pep chromosome:Prunus_persica_NCBIv2:G1:29451514:29454687:-1 gene:PRUPE_1G299300 transcript:ONI31226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRKEGKALCFVVLLCLWTTSVTGLLSPKGVNPEVQALMAIKEALKDPRGVLKNWDETSVDPCIWNMVTCSLDGLVIGLGTPSQNLSGTLSPSIGNLTNLQLVTFQDNHITGSIPTELGKLQKLQTLDLSSNLFNGQIPSTLSHLKSLQYLRLNNNSLSGAIPSSFANMTQLAFLDMSFNNLSGPVPRFPAKTFNAVGNPLMCVSGIEQDCFETTRMPPAFPSNNSQNAQPAGRPRSHKIALAFASSLGCICLLILGFGFLLWWRQKHNKQIFLDVNEISEQHHEEVCLGNLRSFHFRELQAATHNFSSKNLVGKGGFGNVYKGCLRDGTVIAVKRLKDANAIGGEIQFQTELEMISLAVHRNLLRLYGFCMTAKERLLVYPYMSNGSVASRLKAKPALDWSTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHHDSHITTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILILELISGQRALEFGKAANQKGAILDWVKKIQQEKKFDVLVDKELKNDYDPIELEEMIQVALLCTQNLPRQRPKMSEVVRMLEGDGLAEKWEASQRAESNRCRANEFSSSERYSDLTDDSSLLAQAMELSGPR >ONI26368 pep chromosome:Prunus_persica_NCBIv2:G1:1449523:1451692:1 gene:PRUPE_1G020600 transcript:ONI26368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTVMSSSTSSSSVASTAATNVVFEIGKYKVVLQRAEPETTCSTKSSNIPVPPPKPLLIGMPCEAGEFPLLLLLHGYLLSNSFYSQLIQHIASHGFIVVAPQLYTIAGPDTTGEIKSTAAITNWLSEGLQFLLPPHVQANLSKLALAGHSRGGKVSFALALGKEGNTNRKFSALIGIDPVDGMDKGKQTPPPVLTYVPHSFDLDMAVMVIGSGLGEVKRNPLFPPCAPKGVNHEDFFNECQKPACYFVAKDYGHLDMLDDETKGIRGKSTYCLCKNGKSREPMRKFVGGVVVAFLQAYLEGDNSHLMAIRDGLDETVPVELQIVKFNL >ONI27988 pep chromosome:Prunus_persica_NCBIv2:G1:9127026:9130339:1 gene:PRUPE_1G115500 transcript:ONI27988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASDLGSTDDYEALMSMTDVELLKSAWRQEKAAPEILQFESRLIKRVREQIQLMEETVEEFTESGFDPLTVSLYQMDLDRTQFLLRSYLRIRLQKIEKYMFHIFATAELLTRLSKEEKWFIERCCVDLQTHLEKSVLSQLPYTYQSIFQQSVINDETDMVAKPQLDTFIVCKTKYYLGHIQLEDNADGEPDGSGSQKPLEEPFEMEPNVLYFVRYKAVKKFVEEGKIDLY >ONI27989 pep chromosome:Prunus_persica_NCBIv2:G1:9127026:9130339:1 gene:PRUPE_1G115500 transcript:ONI27989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASDLGSTDDYEALMSMTDVELLKSAWRQEKAAPEILQFESRLIKRVREQIQLMIEKYMFHIFATAELLTRLSKEEKWFIERCCVDLQTHLEKSVLSQLPYTYQSIFQQSVINDETDMVAKPQLDTFIVCKTKYYLGHIQLEDNADGEPDGSGSQKPLEEPFEMEPNVLYFVRYKAVKKFVEEGKIDLY >ONI27990 pep chromosome:Prunus_persica_NCBIv2:G1:9127426:9129565:1 gene:PRUPE_1G115500 transcript:ONI27990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASDLGSTDDYEALMSMTDVELLKSAWRQEKAAPEILQFESRLIKRVREQIQLMEETVEEFTESGFDPLTVSLYQMDLDRTQFLLRSYLRIRLQKIEKYMFHIFATAELLTRLSKEEKWFIERCCVDLQTHLEKSVLSQLPYTYQSIFQQSVINDETDMVAKPQLDTFIVCKTKYYLGHIQLEDNADGEPDGR >ONI26733 pep chromosome:Prunus_persica_NCBIv2:G1:3012036:3014903:-1 gene:PRUPE_1G042200 transcript:ONI26733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLSCLPHSLPVVSHSSPSSSSTKYLIPSNPPYPKRLRFDSRKSKYSKSFALRAALEEVSVLDPAPPSSSGSKGKPNPELVASLKLKLLSAVSGLNRGLAASEDDLRKADTAAKEIEAAGGPVDLSTDLDKLQGRWKLIYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDIFSKDFDNIVELELGAPWPLPPVEATATLAHKFELIGYARVKIVFEKTTVKTTGNLSQLPLLELPKLPDGLRPPSNPGSGEFDVTYLDADIRITRGDRDELRVFVVS >ONI35307 pep chromosome:Prunus_persica_NCBIv2:G1:43267598:43268565:1 gene:PRUPE_1G528900 transcript:ONI35307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHDIAKRIKEINERLTWIAISRNNYNFQSTVRGAEQVERSKTTSFVDVSTIIGREEEKNKVLSMLKSESGDQLVIPIVGMGGLGKTAFAQSVYNDQNVTTHFHKRIWVCVSDPFEEIKIAKAIIEVLNKDDTRKNSNEFHAKI >ONI31872 pep chromosome:Prunus_persica_NCBIv2:G1:31704832:31707231:-1 gene:PRUPE_1G336000 transcript:ONI31872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFLLPLLIFITFIVSNPPPCSAQLSAFSITDSQWTPAQQNKTLLSPNLVFAAGFLPLPTSPNLFNFSVWYRNISIGDSVVWSANPKTPVGLTASLVVTAAGVLRLSNSSAGGNVNLWPGPHSQNPNTTKLVLRDDGNLIFGKWESFDFPTDTILPNQSMSGTNITLFSKNGKFSFVNASKLVFNQTDVYQPIDNAFRMLDSTGKLQQENGDSFITSDFGLNRSRRLTIDDDGNLRIYSFDQNPREWTVVWQAGYELCKVHGMCGPNAICVSDGSSSSDCVCPPGFKESVGGIKDSGCERKIELTNLANTKFLRLDYVNFTGGSNQTNWPATNFSVCESRCLAKNNCLGFMFKYDGKGYCVLQLDRLLYGYWSPDTETAMFLRVDNSEADPTKFTGMTELLETTCPVQISLPLPPQESNATTRNIVIICTLFAAELISGVLFFWAFIKKYIKYRDMARTLGLEFLPAGGPKRFSYAELKAATKDFSNLIGRGGFGDVYRGELSDQRVVAVKCLKHVTGGDAEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILVYEYVPNGSLDKYLFQPGRVVSSEPEEETGVLVDNGQKPILDWGIRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGDDFCPKISDFGLAKLKKKEDMVTISRMQGTRGYMAPEWVKMDPITPKADVYSFGMVLLELVSGVRNNEIQGSRIESEDWYFPRWAFDKVFKEMNVEDILDRQIKHSYDSRLHFDTVNRMVKTAMWCLQDRPELRPSMGKVAKMLEGTVDITEPKKPTIFFLTDD >ONI35075 pep chromosome:Prunus_persica_NCBIv2:G1:42314344:42316662:1 gene:PRUPE_1G514000 transcript:ONI35075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFDRLPDSLILQIFDLVSDVKTLIRCRAVSKRFNSLVPQTDSLLLTVDRVISSDSDSDSANDDVSHLLVTFLKSILKSIHDLVSARPDPTRARSQNSPAQILRSFHNVRNLSIELPSGDLRLEKGTVLKWRADFGKTLRSCVILGFRSVVAGGETPVPGDDADFAGGLKVRVVWTISALIAASARHYLLKEVVREQRGLETLVLRDREGEGVVVMEKEGLRECGREDTCDAEEEEEEVEEEGWDRGRSRVPSVRMRMRHVPRMELKGGVWVEGATLVVVRPSLSGDVEDGDLAMGAFGGDVLYGEVVEALLKAKSYLLEMNSF >ONI29474 pep chromosome:Prunus_persica_NCBIv2:G1:19478669:19481879:1 gene:PRUPE_1G199900 transcript:ONI29474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRSQISFVFLVLPCLGLVTLSLCLGFVSAASSLPQPNKLTWHYYKIHNTCHEAEIYVRHQVQLLWNKDKTITPKLLRLLYSDCFVTGCDASVLLDGPNSEKTAPQNYGLGGFVFIDKVKSVLEQRCPGVVSCADILNLAARDAVHLAGAPSYPVLTGRRDGLTSSKSSVDLPSPSISWQAALTYFKSRGLDVLDMTTLLGAHSMGKTHCRYIVDRLYNFNNTGKPDPSMERSFISDMRKLCPPRTKKGQSDPLVYLNPGFGANHTFTESYYSRVLSHKAVLGIDQQLLYGDDTKEITKEFAAGFEDFRKSFALSINRMGAYQVLTGNQGEIRKNCRIPNKK >ONI31583 pep chromosome:Prunus_persica_NCBIv2:G1:30769248:30770252:-1 gene:PRUPE_1G320900 transcript:ONI31583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRRFMQLVEEKKKRALEKKEAPLKWEQKLEAAAKAKADAEAKERKLKSSKHKRRSVSVSDNDSDTDSSDGRRKSSKRTHKKHKKHAHSDTSDSEKRKEKKSKRKPKRHSSSSSDEGSDEYESDYEGNRKRKKRNHKRHRHHDSRSDSGASNSSSDDDIETVKRSHSKHHRRHQRSDSDSSGSYSDEDERRIRRGHSKHHKRHRQLRSLSPDSSSYEDGDVRRKRHAKHRKRHRRSRSPSVDSRSADSDSHLHDRRSRSLGKSSDDNHDEAGKQLRHKRSGHHCHHHHKHRYHHLDEDRDPEPNGKHIEDAKGNATDNNYNDAHEDKISRLIF >ONI31584 pep chromosome:Prunus_persica_NCBIv2:G1:30769063:30771497:-1 gene:PRUPE_1G320900 transcript:ONI31584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRRFMQLVEEKKKRALEKKEAPLKWEQKLEAAAKAKADAEAKERKLKSSKHKRRSVSVSDNDSDTDSSDGRRKSSKRTHKKHKKHAHSDTSDSEKRKEKKSKRKPKRHSSSSSDEGSDEYESDYEGNRKRKKRNHKRHRHHDSRSDSGASNSSSDDDIETVKRSHSKHHRRHQRSDSDSSGSYSDEDERRIRRGHSKHHKRHRQLRSLSPDSSSYEDGDVRRKRHAKHRKRHRRSRSPSVDSRSADSDSHLHDRRSRSLGKSSDDNHDEAGKQLRHKRSGHHCHHHHKHRYHHLDEDRDPEPNGKHIEDAKGNATDNNYNDAHEDKISRLIF >ONI31585 pep chromosome:Prunus_persica_NCBIv2:G1:30768981:30771269:-1 gene:PRUPE_1G320900 transcript:ONI31585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRRFMQLVEEKKKRALEKKEAPLKWEQKLEAAAKAKADAEAKERKLKSSKHKRRSVSVSDNDSDTDSSDGRRKSSKRTHKKHKKHAHSDTSDSEKRKEKKSKRKPKRHSSSSSDEGSDEYESDYEGNRKRKKRNHKRHRHHDSRSDSGASNSSSDDDIETVKRSHSKHHRRHQRSDSDSSGSYSDEDERRIRRGHSKHHKRHRQLRSLSPDSSSYEDGDVRRKRHAKHRKRHRRSRSPSVDSRSADSDSHLHDRRSRSLGKSSDDNHDEAGKQLRHKRSGHHCHHHHKHRYHHLDEDRDPEPNGKHIEDAKGNATDNNYNDAHEDKISRLIF >ONI28494 pep chromosome:Prunus_persica_NCBIv2:G1:11322978:11323789:1 gene:PRUPE_1G143800 transcript:ONI28494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKEGFVDVSCFFLWEGSADSEADHHTGPLNANNSSKIEIALEAEDAESCSSGTLSDHAFGACEALDSYCKNAEERQQSRCSSKVGLQSDAGSGYEEDEEEEVESKMVCHVNKSMDEMEEDRLFWETCMAVGYPSSSP >ONI34044 pep chromosome:Prunus_persica_NCBIv2:G1:38512273:38513938:-1 gene:PRUPE_1G460500 transcript:ONI34044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASFRWILQLHKDVPKAARFYAQGLDFSVNVCTLRWAELQSGPLKLALMHSPNDHVMQEGYSSLLSFTVPDINHTVTRLMALGAELDGPIKYEIHGKVAAVRCIDGHMLGLYEPA >ONI28294 pep chromosome:Prunus_persica_NCBIv2:G1:10704251:10704612:1 gene:PRUPE_1G136200 transcript:ONI28294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYACITQINYALSLRSPLLLFVTVEQSHIDWTNNHGSEWPDKKRNKRCEKSSVVSVLISLISDMWVNDPHDIKLISLGGGFATVACYWGSQVSLKRCTIAGAWRTPLNLV >ONI30925 pep chromosome:Prunus_persica_NCBIv2:G1:28484030:28486820:1 gene:PRUPE_1G281800 transcript:ONI30925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMMMTKVISTVHGQIPRTRLLQWRSATHAIYQLPSSEPPDLSETLASTRSVFSNPCFNLLVLCRNIDSLKKVHSLLVLHGLSNDLLCRTKLISLYGSFGYVKCARLLFDQMPSPDFYSWKVMLRWYFMHNLYAEVMGFYTSMRICVREHDNVVFSIVLKACSELRDFNEGRKVHCQIVKVASPDSFVLTGLVDVYAKCGWIECSRAVFDGIVDGNVVCWTSMIVGYVQNDCPQDGLVLFNRMREELIKGNQFTLGSVLTACTKLRALHQGKWIHGHLIKTGIEVSSFLVTSLLDMYVKCGDIRYARSIFDELPAIDLVSWTAMIVGYTQSGCPDEALKLFTDEKWVGLLPNSITTASVLSSCAQSCNLNLGRSIHGLGIKLGLEDSTVRNALVDMYAKCHMIGDARYIFETILDKNVIAWNSIISGYSQNGSAYEALQLFHQMRSESFSHDAFTLASVLSACTTLGFLSVGSSLHAHSLKDGLLTSNIYVGTALLNLYAKCGDAESARLVFDGMGVKNTVTWSAMIGGYGVQGDSRGSLALFSDMLKKHLEPTEVIFTTLLSACSHTGMVEEGRRYFNSLSQDYNFKPSMKHYACMVDLLARAGKLEEALEFIERMPVQPDVSLFGAFLHGCGLYSRFDLGEAAIRRMLELHPDEACYYVLMSNLYASDGRWSQVNQVRELMKQRGLSKSLAYSQVEMDIRNDIAPVKVACVG >ONI28748 pep chromosome:Prunus_persica_NCBIv2:G1:12602155:12603110:1 gene:PRUPE_1G158900 transcript:ONI28748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFESVWSKTHRVKMVVMWEIMPMVFIRPDCKIGGEGEKCDSRGRRNMRGGEEGQITSSPHPPKDMALKGRGV >ONI33496 pep chromosome:Prunus_persica_NCBIv2:G1:36787930:36792091:-1 gene:PRUPE_1G428200 transcript:ONI33496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDFSFLQPLDLITKDAYQLQKMVREKKDRMNAANQKNESKEESERENAREVEEERRDREKEEEEDREDKSHKEREQEIDKENEETHEDHNEIEKMEPEENGTCGGVEPMEITPSSISLPSEIPSSDVTVLEGHTSEVFACAWSPSGSLLASGSGDSTARIWTIADGGCGSGMQNEPANVAVLKHFKGRANEKSKDVTTLDWNGDGTLLATGSYDGQARIWSRDGELRTTLIKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWDIKSGEWKQQFEFHSAPTLDVDWRNNVSFATCSTDTLIHVCKVGENQPIKTFSGHQGEVNAIKWDPTGSLLASCSDDYTAKIWSTKQDKYLHDLKEHVKEIYTIRWSPTGPGTNNPNQQLVLASASFDSTIKLWDVELGRLLYSFNGHRDPVYSVAFSPDGEYLASGSMDKCMHIWSVKEGKIVKTYTGNGGIFEVCWNKEGDKVAACFANNVVCVVDFRM >ONI33495 pep chromosome:Prunus_persica_NCBIv2:G1:36787914:36792091:-1 gene:PRUPE_1G428200 transcript:ONI33495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTSNELNYLVFRYLHESGFTHSAYAFGYEAELHKSTIDGNVVPPGALVTFIQKGLQYLELEADLSSSSDADMDDDFSFLQPLDLITKDAYQLQKMVREKKDRMNAANQKNESKEESERENAREVEEERRDREKEEEEDREDKSHKEREQEIDKENEETHEDHNEIEKMEPEENGTCGGVEPMEITPSSISLPSEIPSSDVTVLEGHTSEVFACAWSPSGSLLASGSGDSTARIWTIADGGCGSGMQNEPANVAVLKHFKGRANEKSKDVTTLDWNGDGTLLATGSYDGQARIWSRDGELRTTLIKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWDIKSGEWKQQFEFHSAPTLDVDWRNNVSFATCSTDTLIHVCKVGENQPIKTFSGHQGEVNAIKWDPTGSLLASCSDDYTAKIWSTKQDKYLHDLKEHVKEIYTIRWSPTGPGTNNPNQQLVLASASFDSTIKLWDVELGRLLYSFNGHRDPVYSVAFSPDGEYLASGSMDKCMHIWSVKEGKIVKTYTGNGGIFEVCWNKEGDKVAACFANNVVCVVDFRM >ONI27928 pep chromosome:Prunus_persica_NCBIv2:G1:8881458:8888770:-1 gene:PRUPE_1G111500 transcript:ONI27928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVRMNTADVAAEVKCLRRLIGMRCANVYDLSPKTYMLKLMNSSGVTESGESEKVFLLMESGVRLHTTAYVRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIVLFQFGLGANAYYVILELYAQGNVILADSDFMVMTLLRSHRDDDKGVAIMSRHRYPIEICRVFERTTAAKLQEALTFSKEPDNNESVKDQEGVNNVSDAPKEKKGSRKGGKPAESSKNTGDAKAKQVTLKNVLGEALGYGPALSEHIILDAGLIPNTKLCNENKLDDDTIQLLVEAVAKFEDWLHDVISGDKIPEGYILMQNKNSGKSNPPSEPGSSGQIYDEFCPILLNQFKSREYVEFETFDASLDEFYSKIESQRSEQQQKAKESSATQKLNKIRVDQENRVHMLRKEVDHCVNMAELIEYNLDDVDAAIIAVRVALAKGTSWEDIARTVKEEKKSGNPVAAIIDKLQLERNCMTLLLSNNLDEMDDDEKTLPADKVEVDLALSAHANARRWYEQKKKQENKQEKTVTAHEKAFKAAERKTRLQLSQEKAVATISHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHAELHGASSTVIKNHRPEQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVHPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEEGTNDVDESGPLKELSDSESEKEVAEEKLPEESKIIPDSAIPIQQPDLKDLSEAMSSQNGLTTTIDKAQDSHEIPKKDRTLNDSDRKNVVNVAVNGVASVTPQLEDLIDRALGLGSAAMSVKNYSVEPSPVDLVVEHNLEENKAAVREKPHISKAERRKLKKGQTSSVSEEHAKLQNEKLKHDVSASPPEKEVHDKKPGGGKVGRGQKGKLKKMKEKYADQDEEERRIRMALLASAGRVQKNGEPQNENSAPAEDKKPGPEDAPKICYRCKKPGHLSRDCQEHQDDSLHSHANVGVEDDPLGLDKSASELDKVTIEEDDIHEIGEEEKEKLNDVDYLTGNPLPSDILLYAVPVCGPYSSVQSYKYRVKITPGSVKRGKAAKTAMNLFSHMTEATVREKELMKACTDPELVAAIIGNVKITSAGLTQLKQKQKKVKKSNSKAGS >ONI26924 pep chromosome:Prunus_persica_NCBIv2:G1:3899502:3900894:1 gene:PRUPE_1G055300 transcript:ONI26924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKKIPVLFNGGRPICESMIIVEYIEETWPHKYPFLPTDPYERAMARFWVKYFEEKGPAIWMVSQTTGDEQVKFKKESLEVLRTIDNHAGTLGKNKFFGGDNIGILDIALGCIAHWTEVIEVVAGVKLFEAHAFPYLHAWTQNFKEVPAIEENLPDCDKMLVLFKRGREKLLASQ >ONI29005 pep chromosome:Prunus_persica_NCBIv2:G1:14722640:14727708:1 gene:PRUPE_1G174900 transcript:ONI29005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSWICETCGNRELVDGNNGFFYCSQCSSQAQDYKDTGVADEDFYDETGDAQGGLYSARHTRRVNISAVKPELLSQPLDNNPLSQTNMENDNAAAADAVGPTEPEDFGFDTVEGYYSEVRLRYVKGVQRMIELQCEALVREFKVNPLICGLSGSIWLRFLAWTRVFQDDWGDRTFDDAEIRQQGEPPEDFKPRSKYRDEPHTRFGQRAVMIWFRSLRNTIPLSYTLAISFLACHLSREAVLPTDIVKWSVEGKLPYFSAFLEIEKDLGRPSRACPISSSLMFRPSESVPVQKLEALAASIAESIGLHLPPVNFYAIASRYLKKLSLPVGKVLPHACHIYEWSMPPDLWLSTNELRLPTRVFVMSILIVAVRVLYNIHGFGEWEKSLSRNHTLSSTSNQMGDLDPTSNSKMRSGTAEDLGSPSHNLDDTDTELVRNLSNAQNSELDAAELLSSLEAKHNEIADTYEYCKDLPTYLQFCKDVVFAGSKSSFKDHKEEELIELLWNFYQSRKDSETAIEQGLLCGETVNQKRLRDSNDISKEKKFSNKCPVSSPYSGDETPFADDQQRSKNGDNSCSSSQNSQNSEVKDAETLKEEAIARMKLDMEEKRFFYIPPRVNLKRFDYLHYVRKKDEGAYTYVAHADYYILLRACARVAEVEIRCMHIAVLSFERRLAWMEKRINHCLHLTPPIVSCEYCTGVVPENTNTENDIESIGFPDLNL >ONI29006 pep chromosome:Prunus_persica_NCBIv2:G1:14722640:14727708:1 gene:PRUPE_1G174900 transcript:ONI29006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSWICETCGNRELVDGNNGFFYCSQCSSQAQDYKDTGVADEDFYDETGDAQGGLYSARHTRRVNISAVKPELLSQPLDNNPLSQTNMENDNAAAADAVGPTEPEDFGFDTVEGYYSEVRLRYVKGVQRMIELQCEALVREFKVNPLICGLSGSIWLRFLAWTRVFQDDWGDRTFDDAEIRQQGEPPEDFKPRSKYRDEPHTREAVLPTDIVKWSVEGKLPYFSAFLEIEKDLGRPSRACPISSSLMFRPSESVPVQKLEALAASIAESIGLHLPPVNFYAIASRYLKKLSLPVGKVLPHACHIYEWSMPPDLWLSTNELRLPTRVFVMSILIVAVRVLYNIHGFGEWEKSLSRNHTLSSTSNQMGDLDPTSNSKMRSGTAEDLGSPSHNLDDTDTELVRNLSNAQNSELDAAELLSSLEAKHNEIADTYEYCKDLPTYLQFCKDVVFAGSKSSFKDHKEEELIELLWNFYQSRKDSETAIEQGLLCGETVNQKRLRDSNDISKEKKFSNKCPVSSPYSGDETPFADDQQRSKNGDNSCSSSQNSQNSEVKDAETLKEEAIARMKLDMEEKRFFYIPPRVNLKRFDYLHYVRKKDEGAYTYVAHADYYILLRACARVAEVEIRCMHIAVLSFERRLAWMEKRINHCLHLTPPIVSCEYCTGVVPENTNTENDIESIGFPDLNL >ONI33547 pep chromosome:Prunus_persica_NCBIv2:G1:36977939:36980017:1 gene:PRUPE_1G431700 transcript:ONI33547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVAKPETGPDGAINLMIWQCIIPGKSSTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYQLFIQEPAEYKRRVRQQAKQYPPVL >ONI33550 pep chromosome:Prunus_persica_NCBIv2:G1:36977939:36980017:1 gene:PRUPE_1G431700 transcript:ONI33550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVAKPETGPDGAINLMIWQCIIPGKSSTDWEGGYFPLTLHFSEDYPSKPPKWVEASHYSEANPSRHSGFARSTQPC >ONI33549 pep chromosome:Prunus_persica_NCBIv2:G1:36977935:36980017:1 gene:PRUPE_1G431700 transcript:ONI33549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYQLFIQEPAEYKRRVRQQAKQYPPVL >ONI33548 pep chromosome:Prunus_persica_NCBIv2:G1:36977939:36980017:1 gene:PRUPE_1G431700 transcript:ONI33548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVAKPETGPDGAINLMIWQCIIPGKSSTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYQLFIQEPAEYKRRVRQQAKQYPPVL >ONI33551 pep chromosome:Prunus_persica_NCBIv2:G1:36978212:36979143:1 gene:PRUPE_1G431700 transcript:ONI33551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVAKPETGPDGAINLMIWQCIIPGKSSTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSVSNQHCSNRSMEIVVIGEFILM >ONI30762 pep chromosome:Prunus_persica_NCBIv2:G1:27837738:27840056:-1 gene:PRUPE_1G271400 transcript:ONI30762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNGMAFFSANFMLQTPHDHDDHQPPTSLNPMLPSCTPQDFHGVASFLGKRSVSFSGIELGEEAHGEDDLSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDVLKRQFEAIKADNDALQAQNQKLQAEILALKSREPAESINLNKETEGSCSNRSENNSDIKLDISRTPAIDSPQSTHPNPTSRTLFSSSLIRPPSGVAQLFQNTSRPEVIQCQKIDQMVKEESLTNMFCGIDDQSAGFWPWLETHQFN >ONI30761 pep chromosome:Prunus_persica_NCBIv2:G1:27837763:27840056:-1 gene:PRUPE_1G271400 transcript:ONI30761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNGMAFFSANFMLQTPHDHDDHQPPTSLNPMLPSCTPQDFHGVASFLGKRSVSFSGIELGEEAHGEDDLSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDVLKRQFEAIKADNDALQAQNQKLQAEILALKSREPAESINLNKETEGSCSNRSENNSDIKLDISRTPAIDSPQSTHPNPTSRTLFSSSLIRPPSGVAQLFQNTSRPEVIQCQKIDQMVKEESLTNMFCGIDDQSAGFWPWLETHQFN >ONI31132 pep chromosome:Prunus_persica_NCBIv2:G1:29186595:29188672:1 gene:PRUPE_1G294700 transcript:ONI31132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSFSTKNTTPQKYRDFIESLRQRLTAGRSKSHGIPVLPRREDVPDAQRFLLVDLTNSGNNTIRLAIDVVNAYVVGYAAGGRSYFLKENARENPPPIHTLFRDTTRMPPLDFDGSYTGLSRAAQEAVKRNIARDRARNPAVAGLHPDTPILERIPLGRNELDDAINLLRLAPSQSDQAVGFIVVIQMICEAARFRFIEGLLRNSMKDVYDPTIPGPATRSLENHWSDISKEIQRVPANQTQFQKAVVLHNIKNERVEVRSVDSDVVRGVAMLLYDRNQNANPGPSAKKPLLKN >ONI29240 pep chromosome:Prunus_persica_NCBIv2:G1:17420994:17422301:1 gene:PRUPE_1G189100 transcript:ONI29240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIPEIAKCPEQLLPLKQWEDAFLAEFSELRLDVSHFEGYNASTSQDPQSKNLLHDSHQLPGSIVFEKFANVKSKEIDIHWPHDCCILGSSIDQLSLSTTEGSNASLPAENFSPKSHVSQSSSDSPLLSLILRMDSVARVSMLRKRINAIEAMSTLSRNDCLWLFSLCAVVDTPLDADTSASLRSLLRRCAALRAAKYVLDDEVVMLNILATISGRYFGQSEN >ONI29239 pep chromosome:Prunus_persica_NCBIv2:G1:17420994:17422301:1 gene:PRUPE_1G189100 transcript:ONI29239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREWLRWEAARIPKVRVAKLDRSKFNIEQSDYMPKIPEIAKCPEQLLPLKQWEDAFLAEFSELRLDVSHFEGYNASTSQDPQSKNLLHDSHQLPGSIVFEKFANVKSKEIDIHWPHDCCILGSSIDQLSLSTTEGSNASLPAENFSPKSHVSQSSSDSPLLSLILRMDSVARVSMLRKRINAIEAMSTLSRNDCLWLFSLCAVVDTPLDADTSASLRSLLRRCAALRAAKYVLDDEVVMLNILATISGRYFGQSEN >ONI33121 pep chromosome:Prunus_persica_NCBIv2:G1:35709269:35711085:1 gene:PRUPE_1G406800 transcript:ONI33121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKENLCLYGFPTEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINELPTIFEVVTGTVKKQVKEKSSNSNHGSNRSKSNSKRGSEPHPRYTKAVQSKDEDEEGLEDDDEDEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCTNKRARP >ONI33120 pep chromosome:Prunus_persica_NCBIv2:G1:35708170:35711085:1 gene:PRUPE_1G406800 transcript:ONI33120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGALYNPRTVEEVFRDFKGRRAAMIKALTTEVEDFFQQCDPEKENLCLYGFPTEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINELPTIFEVVTGTVKKQVKEKSSNSNHGSNRSKSNSKRGSEPHPRYTKAVQSKDEDEEGLEDDDEDEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCTNKRARP >ONI34144 pep chromosome:Prunus_persica_NCBIv2:G1:38790712:38792756:1 gene:PRUPE_1G464800 transcript:ONI34144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRSRSIQKDQHQMGHLPIADAGSDVLGHNPKSNSFFSVPGLFVGLSSKGLIDSDSVRSPTSPLDFRVFSNLGNPFRSPRSNSDGQQRSWGSSKVGLSIIDSFDDDVKFSGKVPRSSESKNILFGPGMRIKTPDSQSNTNSFASPKSLPKNYAVFPHSKIKSPLEKGSSDVLFEIGESPTEPESFGKIRSCSLDSGRAFSTLSGLSNLNPNSTSGNFCMGSLTTQPFIGGSPNLATQMNTGSIGSSNGLVGSLSASEIELSEDYTCVISHGANPKKTHIFGDCILGCHSNDLSNFGKNEGKEIGFARPGTSLGNFVQYPSNNFLSFCYYCNKKLEEGKDIYIYRGEKAFCSLSCRSEEILIDEELEKCNDQSSEKPLESDEELFETGIIADK >ONI34148 pep chromosome:Prunus_persica_NCBIv2:G1:38789901:38792756:1 gene:PRUPE_1G464800 transcript:ONI34148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRSRSIQKDQHQMGHLPIADAGSDVLGHNPKSNSFFSVPGLFVGLSSKGLIDSDSVRSPTSPLDFRVFSNLGNPFRSPRSNSDGQQRSWGSSKVGLSIIDSFDDDVKFSGKVPRSSESKNILFGPGMRIKTPDSQSNTNSFASPKSLPKNYAVFPHSKIKSPLEKGSSDVLFEIGESPTEPESFGKIRSCSLDSGRAFSTLSGLSNLNPNSTSGNFCMGSLTTQPFIGGSPNLATQMNTGSIGSSNGLVGSLSASEIELSEDYTCVISHGANPKKTHIFGDCILGCHSNDLSNFGKNEGKEIGFARPGTSLGNFVQYPSNNFLSFCYYCNKKLEEGKDIYIYRGEKAFCSLSCRSEEILIDEELEKCNDQSSEKPLESDEELFETGIIADK >ONI34146 pep chromosome:Prunus_persica_NCBIv2:G1:38790779:38792756:1 gene:PRUPE_1G464800 transcript:ONI34146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRSRSIQKDQHQMGHLPIADAGSDVLGHNPKSNSFFSVPGLFVGLSSKGLIDSDSVRSPTSPLDFRVFSNLGNPFRSPRSNSDGQQRSWGSSKVGLSIIDSFDDDVKFSGKVPRSSESKNILFGPGMRIKTPDSQSNTNSFASPKSLPKNYAVFPHSKIKSPLEKGSSDVLFEIGESPTEPESFGKIRSCSLDSGRAFSTLSGLSNLNPNSTSGNFCMGSLTTQPFIGGSPNLATQMNTGSIGSSNGLVGSLSASEIELSEDYTCVISHGANPKKTHIFGDCILGCHSNDLSNFGKNEGKEIGFARPGTSLGNFVQYPSNNFLSFCYYCNKKLEEGKDIYIYRGEKAFCSLSCRSEEILIDEELEKCNDQSSEKPLESDEELFETGIIADK >ONI34147 pep chromosome:Prunus_persica_NCBIv2:G1:38789901:38792756:1 gene:PRUPE_1G464800 transcript:ONI34147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRSRSIQKDQHQMGHLPIADAGSDVLGHNPKSNSFFSVPGLFVGLSSKGLIDSDSVRSPTSPLDFRVFSNLGNPFRSPRSNSDGQQRSWGSSKVGLSIIDSFDDDVKFSGKVPRSSESKNILFGPGMRIKTPDSQSNTNSFASPKSLPKNYAVFPHSKIKSPLEKGSSDVLFEIGESPTEPESFGKIRSCSLDSGRAFSTLSGLSNLNPNSTSGNFCMGSLTTQPFIGGSPNLATQMNTGSIGSSNGLVGSLSASEIELSEDYTCVISHGANPKKTHIFGDCILGCHSNDLSNFGKNEGKEIGFARPGTSLGNFVQYPSNNFLSFCYYCNKKLEEGKDIYIYRGEKAFCSLSCRSEEILIDEELEKCNDQSSEKPLESDEELFETGIIADK >ONI34145 pep chromosome:Prunus_persica_NCBIv2:G1:38790793:38792756:1 gene:PRUPE_1G464800 transcript:ONI34145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRSRSIQKDQHQMGHLPIADAGSDVLGHNPKSNSFFSVPGLFVGLSSKGLIDSDSVRSPTSPLDFRVFSNLGNPFRSPRSNSDGQQRSWGSSKVGLSIIDSFDDDVKFSGKVPRSSESKNILFGPGMRIKTPDSQSNTNSFASPKSLPKNYAVFPHSKIKSPLEKGSSDVLFEIGESPTEPESFGKIRSCSLDSGRAFSTLSGLSNLNPNSTSGNFCMGSLTTQPFIGGSPNLATQMNTGSIGSSNGLVGSLSASEIELSEDYTCVISHGANPKKTHIFGDCILGCHSNDLSNFGKNEGKEIGFARPGTSLGNFVQYPSNNFLSFCYYCNKKLEEGKDIYIYRGEKAFCSLSCRSEEILIDEELEKCNDQSSEKPLESDEELFETGIIADK >ONI30402 pep chromosome:Prunus_persica_NCBIv2:G1:26166799:26170043:1 gene:PRUPE_1G249300 transcript:ONI30402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNCVLLLLLPLFFLVKTAHSDPRATEAALMCTNETALMAERQTFVANFLATMDAVTPQIAVQRYAAAVNGTGNNTVYAFGECMKDLSKQDCDVCFAQCKTQILRCLPFQKATRGGRLFYDGCYLRYDDFNFFNQSLSAQDTSVCGGTGFGGNQSVFKDNALRLVRNLSFVAPKNDGFSVGFVGQGNETVYGLAQCWEFVNGSACEECLADTVVRIGSCPPKAEGRVLNAGCYLRYSTQKFYSNNTSTDAAGNGGHRGLAIILAVTAAVFAVVLSIFSVAFFTRKKILKRRKEKKQLGPLLATVNKSQLNFSYEVLERATNYFHDSNKLGQGGSGSVYKGVLPDGKVVAIKRLFFNTRQWVDHFFNEVNLISGIHHKKLVKLLGCSITGPESLLVYEYVPNQSLNDYFTVKNNVEPLRWELRYKIILGTAEGLAYLHEESKVRIIHRDIKLSNILLDEDFMPKIADFGLARLFPEDKTHISTAIAGTLGYMAPEYVVRGKLTEKADVYSFGVLVIEVVCGKRNNCYIPNSVSILHMAWDLYGTGRLCSAVDPLLEGKFDEEEASRLLQIGLLCVQASAELRPAMSLVVKMLIENPEIPQPTQPPFLNSGSAEFGRQIPSGTFNTLPDSNNTHSSRNSMTQSWIEPR >ONI30697 pep chromosome:Prunus_persica_NCBIv2:G1:27510962:27513850:-1 gene:PRUPE_1G267700 transcript:ONI30697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELGLIEQSFNSRYSLWVQEALSELPDSFTITDPCLSGHPIVFASKGFLKMLGYSKSEVIGRNGRVFQGPGTCRRSVVEIREAIREERAIQINLLNYRKDGTPFWMLFHMCPVFNKEDGMVTHFVGVQVPISRKPRRSGRNGVNLCEEGSRMNDIFYGSCRKEVCSSVELGRVLPLESAFDDADDRGLEIEESCEASDVEKTRAATAINNILSVLTHYSELTGRLVCGKRCSLSLGLLSSSLNISLGRIKQSFVLTDPHLPDMPIVYASDAFFKLTGYTRHEVLGRNCRFLSGVDTDSSMIYRIKESIQNEKACTVRILNYRKDKSSFWNLLHISPVRNASGKIAYFVGVQMEEGCKNQVGHGLSPEMRQLSAVGAVRIAVRTLSMGAGPSKSEESNNL >ONI30698 pep chromosome:Prunus_persica_NCBIv2:G1:27510517:27514327:-1 gene:PRUPE_1G267700 transcript:ONI30698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELGLIEQSFNSRYSLWVQEALSELPDSFTITDPCLSGHPIVFASKGFLKMLGYSKSEVIGRNGRVFQGPGTCRRSVVEIREAIREERAIQINLLNYRKDGTPFWMLFHMCPVFNKEDGMVTHFVGVQVPISRKPRRSGRNGVNLCEEGSRMNDIFYGSCRKEVCSSVELGRVLPLESAFDDADDRGLEIEESCEASDVEKTRAATAINNILSVLTHYSELTGRLVCGKRCSLSLGLLSSSLNISLGRIKQSFVLTDPHLPDMPIVYASDAFFKLTGYTRHEVLGRNCRFLSGVDTDSSMIYRIKESIQNEKACTEG >ONI34219 pep chromosome:Prunus_persica_NCBIv2:G1:39024783:39026892:1 gene:PRUPE_1G468600 transcript:ONI34219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSHSLLSRITLVIITINLNTQLIFAQPRYLSKFCFPNEGNYTTNSTYRTNLNRLLSTSLLSNGNGHGFYSSSSTQNDLERICAIGLCTGDINPDACGSCLSNSTIFIAQACPNQKVAVLWREVCMLRYSNRSIRGFMEAGAIFYTTSSGNMSSSNVGRFNRQLMTLLERLRGEAAAGGDLVKFAVGNVSKNNVISQTIYGLAQCTPDLTELDCNNCLVSIAGDIPQCCYGKLGGRLGTPSCYIYKYISPLLHWDIYLQALQFDFASIRVATNNFSEENKLGWGGFGDVYRGTLLSGEDIAVKRLSTDSALGDLEFKNEVLLVARLQHRNLVRLLGFCLEGNERLLVYEFVPNASLDQFIFDPIKCANLVWESRYKIILGIGWGLLFPHEDSRFRIIHCDLKTSNILLDAEMNAKISDFGMTKLFMLDQRQGETIRIVGTYGYMAHEYGYFYDKSDVYSFGVLVLEIISGQKMCSSRHEENEDLLSYARKNWKEGTASNLIDPTLRTGSRTDEIMRCIQIGLLWLQQSMAARPTMASVILMLTSSSLSLPVPSQPPFFMIELEMSGVVG >ONI26343 pep chromosome:Prunus_persica_NCBIv2:G1:1307036:1309359:-1 gene:PRUPE_1G018900 transcript:ONI26343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGQETSSFVSDFLEKCGGYAVLDGGFATELERHGADLNDPLWSAKCLISSPHLVRRVHLDYLDAGANVIITASYQATIQGFEAKGFSKEEAKALIRKSVEIAIEAREIYYDKLQSRRPVLVAASVGSYGAYLADGSEYSGNYGDAVTVETLKDFHRERVQILANSGADLIAFETTPNKIEAKAYAELLEEEGIDIPAWFSFTSKDGINVVSGDSISECTSIADSCKQVVAVGINCTPPRFIHGLVSLIRKV >ONI26340 pep chromosome:Prunus_persica_NCBIv2:G1:1306269:1310016:-1 gene:PRUPE_1G018900 transcript:ONI26340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGQETSSFVSDFLEKCGGYAVLDGGFATELERHGADLNDPLWSAKCLISSPHLVRRVHLDYLDAGANVIITASYQATIQGFEAKGFSKEEAKALIRKSVEIAIEAREIYYDKLQSRRPVLVAASVGSYGAYLADGSEYSGNYGDAVTVETLKDFHRERVQILANSGADLIAFETTPNKIEAKAYAELLEEEGIDIPAWFSFTSKDGINVVSGDSISECTSIADSCKQVVAVGINCTPPRFIHGLVSLIRKVTSKPIVIYPNSGETYDGLTKQWQSSGEVDEEFADIVIGKWHEAGASLFGGCCRTTPNTIRAISRVLSNQKSSAINEDA >ONI26344 pep chromosome:Prunus_persica_NCBIv2:G1:1307036:1309359:-1 gene:PRUPE_1G018900 transcript:ONI26344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGQETSSFVSDFLEKCGGYAVLDGGFATELERHGADLNDPLWSAKCLISSPHLVRRVHLDYLDAGANVIITASYQATIQGFEAKGFSKEEAKALIRKSVEIAIEAREIYYDKLQSRRPVLVAASVGSYGAYLADGSEYSGNYGDAVTVETLKDFHRERVQILANSGADLIAFETTPNKIEAKAYAELLEEEGIDIPAWFSFTSKDGINVVSGDSISECTSIADSCKQVVAVGINCTPPRFIHGLVSLIRKV >ONI26341 pep chromosome:Prunus_persica_NCBIv2:G1:1306269:1310016:-1 gene:PRUPE_1G018900 transcript:ONI26341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGQETSSFVSDFLEKCGGYAVLDGGFATELERHGADLNDPLWSAKCLISSPHLVRRVHLDYLDAGANVIITASYQATIQGFEAKGFSKEEAKALIRKSVEIAIEAREIYYDKLQSRRPVLVAASVGSYGAYLADGSEYSGNYGDAVTVETLKDFHRERVQILANSGADLIAFETTPNKIEAKAYAELLEEEGIDIPAWFSFTSKDGINVVSGDSISECTSIADSCKQVVAVGINCTPPRFIHGLVSLIRKVTSKPIVIYPNSGETYDGLTKQWVQSSGEVDEEFADIVIGKWHEAGASLFGGCCRTTPNTIRAISRVLSNQKSSAINEDA >ONI26342 pep chromosome:Prunus_persica_NCBIv2:G1:1306515:1307743:-1 gene:PRUPE_1G018900 transcript:ONI26342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPLHVLFSCALFYMVCGSFFSGLVCVTMFLDDYLISCIMILLFLLRSGNYGDAVTVETLKDFHRERVQILANSGADLIAFETTPNKIEAKAYAELLEEEGIDIPAWFSFTSKDGINVVSGDSISECTSIADSCKQVVAVGINCTPPRFIHGLVSLIRKVTSKPIVIYPNSGETYDGLTKQWVQSSGEVDEEFADIVIGKWHEAGASLFGGCCRTTPNTIRAISRVLSNQKSSAINEDA >ONI30861 pep chromosome:Prunus_persica_NCBIv2:G1:28247308:28256230:1 gene:PRUPE_1G277900 transcript:ONI30861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSRGGNAYGQQSYTGQSAYGQNLGPAYAGNSAGGPEGGSQVPMGSRHSSMLVGSEEVDASGYRAHPSAAAHYGGQYSSIYGSAALSSAPQVPPMSTKGSGPSVLESRGGYVPAKPESPKFSSGDYISSSSHGYGHKVDQLYGEKAPDYPAIDRRQFGERQSAYIGRDLQGEPTGRYADSVGFGPQHQSEIYDRIDKAVLLRQEQLLKSQSLQSASLDGSARQADYLAARGAASRHPTQDLTSFGGRMDADPRSLSMLSGSSYGGQPAPSILGAAPRRNDDLMFSQSSSNPGYGVSLPPGRDYATGKGIRGSSLESDYPGSLSHGGHPRIDERKDDRASYLQEFELREEARRRERLRERERDREREKEWERERERERERERERERERILERRVKERDRERKRALETRRERTPPRVSRDRRGSSLAKEGRSLRQDSPHHEALHRRHSPVKDKRREYVCKVYSTRLMDVERDYLSIDKRYPRLFIPSEFCKAVVNWPRENLHLSIHTPVSFEHDFVEEENATELKERATEMLVEEPEKSGRGNIVWNAKIILMSGLSKNALEELSSERGSDDRLSHICNILRFAVLKKDRSCMAIGGQWNPADGGDPSVDDSPLVQTALRYGKDVAKLDLQNCKHWNRFLEIHYDRIGKDGVFSHKEVTVIFVPDLSECLPSLDSWRDQWLAHKKAVAERECQLSLKKERSREKEVLKDKEMESSKHKRVDKEDKKKESASTGGAKEVKKLEQDGTNMKGNASEGKGDVNGKKLEKKDVSGGDKGRIEDKKEQVETAEVQTTGTVKTGKKKIIKKVVRQKVVGKGSSDTTTKQTDNLGDGGTKGNSETPGQEEESSADPAVVKTFVRKKVIKKVPVGKAAQNEDNIGTKVKVENETGCSEDKSDPSGSTNTSVKTIVKKKIIKRVPKRKATGVELNEGVAKSKKDGDGDEKNVGDETESVRKQTADAEKPASDVVETEKKVISKPKASKTQVSDKPTDMANSSKADAKDVKEDKKDEKGAGEKSGSVTKVEIEPDTQKIARKDNHNGTKKKLKDDEKTKDEKEKKDRDGKDESRSKSNKELKETRKPEEPPRHPGLILQTQWSKDSKLRSSSLSLDLLLDYTDKDTEESTFELSLFAETLYEKLQYQMGCRLLTFLQKLRIKFVMKRNQRKRQREIEKVEKGNDEKSSTKRLKINELPVTNQPAKSSEALSSSRSDGEKQDEEKAVIEENSSVDHVDEVKMEHIADDEEDPEEDPEEYEEMEDASPHPSNENNEEGKSNVIPVLGNEKDESKVKEQANTKAAETKAKAEADTGERKEGKVDTGKKETPRAKEVVDKELLQAFRFFDRNQVGYIRVEDMRLIIHNLGKFLSHRDVKELVQSALLESNTGRDDHILYKKLVRMTDI >ONI30863 pep chromosome:Prunus_persica_NCBIv2:G1:28248037:28256230:1 gene:PRUPE_1G277900 transcript:ONI30863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRHSSMLVGSEEVDASGYRAHPSAAAHYGGQYSSIYGSAALSSAPQVPPMSTKGSGPSVLESRGGYVPAKPESPKFSSGDYISSSSHGYGHKVDQLYGEKAPDYPAIDRRQFGERQSAYIGRDLQGEPTGRYADSVGFGPQHQSEIYDRIDKAVLLRQEQLLKSQSLQSASLDGSARQADYLAARGAASRHPTQDLTSFGGRMDADPRSLSMLSGSSYGGQPAPSILGAAPRRNDDLMFSQSSSNPGYGVSLPPGRDYATGKGIRGSSLESDYPGSLSHGGHPRIDERKDDRASYLQEFELREEARRRERLRERERDREREKEWERERERERERERERERERILERRVKERDRERKRALETRRERTPPRVSRDRRGSSLAKEGRSLRQDSPHHEALHRRHSPVKDKRREYVCKVYSTRLMDVERDYLSIDKRYPRLFIPSEFCKAVVNWPRENLHLSIHTPVSFEHDFVEEENATELKERATEMLVEEPEKSGRGNIVWNAKIILMSGLSKNALEELSSERGSDDRLSHICNILRFAVLKKDRSCMAIGGQWNPADGGDPSVDDSPLVQTALRYGKDVAKLDLQNCKHWNRFLEIHYDRIGKDGVFSHKEVTVIFVPDLSECLPSLDSWRDQWLAHKKAVAERECQLSLKKERSREKEVLKDKEMESSKHKRVDKEDKKKESASTGGAKEVKKLEQDGTNMKGNASEGKGDVNGKKLEKKDVSGGDKGRIEDKKEQVETAEVQTTGTVKTGKKKIIKKVVRQKVVGKGSSDTTTKQTDNLGDGGTKGNSETPGQEEESSADPAVVKTFVRKKVIKKVPVGKAAQNEDNIGTKVKVENETGCSEDKSDPSGSTNTSVKTIVKKKIIKRVPKRKATGVELNEGVAKSKKDGDGDEKNVGDETESVRKQTADAEKPASDVVETEKKVISKPKASKTQVSDKPTDMANSSKADAKDVKEDKKDEKGAGEKSGSVTKVEIEPDTQKIARKDNHNGTKKKLKDDEKTKDEKEKKDRDGKDESRSKSNKELKETRKPEEPPRHPGLILQTQWSKDSKLRSSSLSLDLLLDYTDKDTEESTFELSLFAETLYEKLQYQMGCRLLTFLQKLRIKFVMKRNQRKRQREIEKVEKGNDEKSSTKRLKINELPVTNQPAKSSEALSSSRSDGEKQDEEKAVIEENSSVDHVDEVKMEHIADDEEDPEEDPEEYEEMEDASPHPSNENNEEGKSNVIPVLGNEKDESKVKEQANTKAAETKAKAEADTGERKEGKVDTGKKETPRAKEVVDKELLQAFRFFDRNQVGYIRVEDMRLIIHNLGKFLSHRDVKELVQSALLESNTGRDDHILYKKLVRMTDI >ONI30862 pep chromosome:Prunus_persica_NCBIv2:G1:28247308:28256230:1 gene:PRUPE_1G277900 transcript:ONI30862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSRGGNAYGQQSYTGQSAYGQNLGPAYAGNSAGGPEGGSQVPMGSRHSSMLVGSEEVDASGYRAHPSAAAHYGGQYSSIYGSAALSSAPQVPPMSTKGSGPSVLESRGGYVPAKPESPKFSSGDYISSSSHGYGHKVDQLYGEKAPDYPAIDRRQFGERQSAYIGRDLQGEPTGRYADSVGFGPQHQSEIYDRIDKAVLLRQEQLLKSQSLQSASLDGSARQADYLAARGAASRHPTQDLTSFGGRMDADPRSLSMLSGSSYGGQPAPSILGAAPRRNDDLMFSQSSSNPGYGVSLPPGRDYATGKGIRGSSLESDYPGSLSHGGHPRIDERKDDRASYLQEFELREEARRRERLRERERDREREKEWERERERERERERERERERILERRVKERDRERKRALETRRERTPPRVSRDRRGSSLAKEGRSLRQDSPHHEALHRRHSPVKDKRREYVCKVYSTRLMDVERDYLSIDKRYPRLFIPSEFCKIILMSGLSKNALEELSSERGSDDRLSHICNILRFAVLKKDRSCMAIGGQWNPADGGDPSVDDSPLVQTALRYGKDVAKLDLQNCKHWNRFLEIHYDRIGKDGVFSHKEVTVIFVPDLSECLPSLDSWRDQWLAHKKAVAERECQLSLKKERSREKEVLKDKEMESSKHKRVDKEDKKKESASTGGAKEVKKLEQDGTNMKGNASEGKGDVNGKKLEKKDVSGGDKGRIEDKKEQVETAEVQTTGTVKTGKKKIIKKVVRQKVVGKGSSDTTTKQTDNLGDGGTKGNSETPGQEEESSADPAVVKTFVRKKVIKKVPVGKAAQNEDNIGTKVKVENETGCSEDKSDPSGSTNTSVKTIVKKKIIKRVPKRKATGVELNEGVAKSKKDGDGDEKNVGDETESVRKQTADAEKPASDVVETEKKVISKPKASKTQVSDKPTDMANSSKADAKDVKEDKKDEKGAGEKSGSVTKVEIEPDTQKIARKDNHNGTKKKLKDDEKTKDEKEKKDRDGKDESRSKSNKELKETRKPEEPPRHPGLILQTQWSKDSKLRSSSLSLDLLLDYTDKDTEESTFELSLFAETLYEKLQYQMGCRLLTFLQKLRIKFVMKRNQRKRQREIEKVEKGNDEKSSTKRLKINELPVTNQPAKSSEALSSSRSDGEKQDEEKAVIEENSSVDHVDEVKMEHIADDEEDPEEDPEEYEEMEDASPHPSNENNEEGKSNVIPVLGNEKDESKVKEQANTKAAETKAKAEADTGERKEGKVDTGKKETPRAKEVVDKELLQAFRFFDRNQVGYIRVEDMRLIIHNLGKFLSHRDVKELVQSALLESNTGRDDHILYKKLVRMTDI >ONI28656 pep chromosome:Prunus_persica_NCBIv2:G1:12102446:12106054:1 gene:PRUPE_1G153600 transcript:ONI28656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATLSATQLLPLSLPKPSQKPRHAHFPFQSLNHSPRLFSSNGFVSNCSPIQALLNSTPITTEAGSEPEPFTLRQICQSHVPDHVLCKMEELGFVVPTPVQRQALPTLFSGRDCILHAQTGSGKTLTYLLLVFSIVNARRSAVQALIVVPTRELGMQVTKVARMLATKPKEGELEQKSCTVMALLDGGMLMRHKSWLKAEPPTIVVATIGSLCQMLEKHMIKLEAMRVLVIDEVDFMFSSKQVSSLRKLLTSYSSINTRQTVFASASIPQHKIFLDKCIQQKWTKNDTIHVHVNPVEPMPSCLHHRFVICLKNRRHETLLSLLQSDAPQSAIVFVGEQSEKSKKAGNASSATLLINFLKASYEGYSDILLLEEDMNFNSRAASLSEVRQAGSYLLVSTDIAARGLDLPETTHIYNYDLPRTAIDYLHRAGRTGFKKSINFCILNVYIVLTIDGQDYPLQQGHGYIG >ONI28659 pep chromosome:Prunus_persica_NCBIv2:G1:12102446:12106054:1 gene:PRUPE_1G153600 transcript:ONI28659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATLSATQLLPLSLPKPSQKPRHAHFPFQSLNHSPRLFSSNGFVSNCSPIQALLNSTPITTEAGSEPEPFTLRQICQSHVPDHVLCKMEELGFVVPTPVQRQALPTLFSGRDCILHAQTGSGKTLTYLLLVFSIVNARRSAVQALIVVPTRELGMQVTKVARMLATKPKEGELEQKSCTVMALLDGGMLMRHKSWLKAEPPTIVVATIGSLCQMLEKHMIKLEAMRVLVIDEVDFMFSSKQVSSLRKLLTSYSSINTRQTVFASASIPQHKIFLDKCIQQKWTKNDTIHVHVNPVEPMPSCLHHRFVICLKNRRHETLLSLLQSDAPQSAIVFVGEQSEKSKKAGNASSATLLINFLKASYEGYSDILLLEEDMNFNSRAASLS >ONI28657 pep chromosome:Prunus_persica_NCBIv2:G1:12102446:12106054:1 gene:PRUPE_1G153600 transcript:ONI28657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATLSATQLLPLSLPKPSQKPRHAHFPFQSLNHSPRLFSSNGFVSNCSPIQALLNSTPITTEAGSEPEPFTLRQICQSHVPDHVLCKMEELGFVVPTPVQRQALPTLFSGRDCILHAQTGSGKTLTYLLLVFSIVNARRSAVQALIVVPTRELGMQVTKVARMLATKPKEGELEQKSCTVMALLDGGMLMRHKSWLKAEPPTIVVATIGSLCQMLEKHMIKLEAMRVLVIDEVDFMFSSKQVSSLRKLLTSYSSINTRQTVFASASIPQHKIFLDKCIQQKWTKNDTIHVHVNPVEPMPSCLHHRFVICLKNRRHETLLSLLQSDAPQSAIVFVGEQSEKSKKAGNASSATLLINFLKASYEGYSDILLLEEDMNFNSRAASLSEVRQAGSYLLVSTDIAARGLDLPETTHIYNYDLPRTAIDYLHRAGRTGRKPFSDKKCSVTSIIISKERFVLEKYENELMFNCEELIV >ONI28661 pep chromosome:Prunus_persica_NCBIv2:G1:12102446:12106054:1 gene:PRUPE_1G153600 transcript:ONI28661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTKVARMLATKPKEGELEQKSCTVMALLDGGMLMRHKSWLKAEPPTIVVATIGSLCQMLEKHMIKLEAMRVLVIDEVDFMFSSKQVSSLRKLLTSYSSINTRQTVFASASIPQHKIFLDKCIQQKWTKNDTIHVHVNPVEPMPSCLHHRFVSEKSKKAGNASSATLLINFLKASYEGYSDILLLEEDMNFNSRAASLSEVRQAGSYLLVSTDIAARGLDLPETTHIYNYDLPRTAIDYLHRAGRTGRKPFSDKKCSVTSIIISKERFVLEKYENELMFNCEELIV >ONI28660 pep chromosome:Prunus_persica_NCBIv2:G1:12102446:12106054:1 gene:PRUPE_1G153600 transcript:ONI28660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTKVARMLATKPKEGELEQKSCTVMALLDGGMLMRHKSWLKAEPPTIVVATIGSLCQMLEKHMIKLEAMRVLVIDEVDFMFSSKQVSSLRKLLTSYSSINTRQTVFASASIPQHKIFLDKCIQQKWTKNDTIHVHVNPVEPMPSCLHHRFVICLKNRRHETLLSLLQSDAPQSAIVFVGEQSEKSKKAGNASSATLLINFLKASYEGYSDILLLEEDMNFNSRAASLSEVRQAGSYLLVSTDIAARGLDLPETTHIYNYDLPRTAIDYLHRAGRTGRKPFSDKKCSVTSIIISKERFVLEKYENELMFNCEELIV >ONI28658 pep chromosome:Prunus_persica_NCBIv2:G1:12102446:12106054:1 gene:PRUPE_1G153600 transcript:ONI28658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATLSATQLLPLSLPKPSQKPRHAHFPFQSLNHSPRLFSSNGFVSNCSPIQALLNSTPITTEAGSEPEPFTLRQICQSHVPDHVLCKMEELGFVVPTPVQRQALPTLFSGRDCILHAQTGSGKTLTYLLLVFSIVNARRSAVQALIVVPTRELGMQVTKVARMLATKPKEGELEQKSCTVMALLDGGMLMRHKSWLKAEPPTIVVATIGSLCQMLEKHMIKLEAMRVLVIDEVDFMFSSKQVSSLRKLLTSYSSINTRQTVFASASIPQHKIFLDKCIQQKWTKNDTIHVHVNPVEPMPSCLHHRFVSEKSKKAGNASSATLLINFLKASYEGYSDILLLEEDMNFNSRAASLSEVRQAGSYLLVSTDIAARGLDLPETTHIYNYDLPRTAIDYLHRAGRTGRKPFSDKKCSVTSIIISKERFVLEKYENELMFNCEELIV >ONI30322 pep chromosome:Prunus_persica_NCBIv2:G1:25769320:25772751:1 gene:PRUPE_1G244200 transcript:ONI30322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFQVGVWSLWSIWLCGLALFCLSLYATQCFLPSLKDQIMRPKLSQLGAGVSEPPRIIIFSAPRPFTGSVGARQNLAIRSWLALSPQIAVVLFSKDPSVVSFAGAFDSRVLVEPNIDFTFLGTPFFHSMMARSRSFSSDISVLVDPETIVLPDFISTMNYAYKLDHDWLLVASSRNVSDLPFYLVEDGKHWRRENGKLMTTKEEIFGQSWQSTLCEGKLLMAWNNKDLPLHSGVLPPFLHGRGVHNSWVVSEALSSELRFVFDASWTISSFYLVDQEHQTDWNVGGSNASNFERSWEYAGNSHIGALYGSLSYHEINYRSLVKLLKCDGQYIFVNTTENIVCPTVYQSAGRLWKGWILRFGWKKNTLAWAEGVKSPGQLSDCSQMVPTKHTKPLDLPFSLETLLSFNADKNNTIVLTAAGYSYKDMLMSWVCRLRQLQVTNFIICALDQEIYEFAVLQGLPVFRDPLAPSEISFSDCHFGTKCFQKVTKVKSKMVLKILMMGYNVLLSDADVYWFRNPLPLLYSFGPAVLAAQSDEFNKTGPINLPRRLNSGFYFARSDGSTIDAMKKVVAHAATSDLSEQPSFYDTLCGEGGSNRVGDDRCLEPETNLSVHFLDRDLFPNGAYLDLWQRKKVRAACVKQGCFVLHNNWIRGRLKKLERQVLSGLWEYDTSTRMCQHHSKLVKKV >ONI26109 pep chromosome:Prunus_persica_NCBIv2:G1:410241:410930:1 gene:PRUPE_1G004600 transcript:ONI26109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFYKICLFRKHKSISKVLKPNHPINYEKENNVTVIPAALTKPPKVLKPRRHTENLCRLISIFHKVQTLFATLL >ONI30684 pep chromosome:Prunus_persica_NCBIv2:G1:27456102:27458195:-1 gene:PRUPE_1G266800 transcript:ONI30684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRETCFSFCFRKSRSNPSSDHESSSSSSEALLPQKDDCVDLLDSKPGWKVAYILGNESIEKMATYGLTTNFMVYLVREYHMDQVSAANILNIWTCGYCLLTVVGASVADIYLGKFLTIALASLASLLGMVTITLTALVPQLRPPPCVPDGQKHLVQCVSNTKTQLGFLFAGLSWLAIGTGGIRPCSIPFGIDQFDSTTVEGRKNVSSFLNWYYASSTVVLLINQTLVIYIQDSISWAWGFGIPTLLMSSSIPLFLAGSKIYHHVKPKRSTFSSFTQVLVAAYKKRHLKLHNDERVCGVFLYVSSDGNLVLSKHSLTTKFSFLKKAALVVDNDLKDDGSCSNPWRLCSMQQVEEVICFMKILPIWASGGICVMAYAQEGTFVVSQALKMDRHIGPNFEMPAGSVKMMSLITLCICLPFYDRVLQPALRKITKHENGITPLQRIGIGYLFSILFMVVAGLVERQRRASALSQASEDGVAPISVFWLFPQLMLLGMVELFGIVGHIELYNKEFPEKMRSIGNSLIYLCTAGATYLSAVVASIVYSVTGKHGEPNWLDNDINAGRLDYFYFLIAALGVLNFVYFWSCARGYTYTSSARAVESIEADILV >ONI32450 pep chromosome:Prunus_persica_NCBIv2:G1:33709300:33710799:-1 gene:PRUPE_1G368600 transcript:ONI32450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGPYSGTSTLALVARASAFTFGLVYGSVKLRVLKMKAKSHKKAEAKAKH >ONI28288 pep chromosome:Prunus_persica_NCBIv2:G1:10685182:10686977:-1 gene:PRUPE_1G135700 transcript:ONI28288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAHCATFPFLTPPICSAAKPTKPILSASIALASKPTRRKNYLRPKILKTLAEPDPPPRTPLLPEQPLTSPVIPIESPVTQYENDSNLERSSDQGDVVAGEVNKVEEFSVSETTPEYNGIVGKLSAKSVLKFGAYLVGAYLFQAFFTVWLLGNDNPDEENRKSKSSGLSLSKGKVLNTNVGSGLSNVVYLDELQLDEKIEEIRAMAREARKQEKKEGKGNVGDEDDVIDESSMPRNRIGIEKEVGERLLKLQNRLNSKREKLQGPYVKDFGKHENSEDENLKEGEGGLMFKKKLKFKAEAKRSPKGFGGLEEHDENRPELDFEQSVSETLEEEPKLLQDDGNHLDKGTGKMDSGKDIGVGTIEPKNGTVQRTRRGRSSEGVKSKKSRELGKKKSRLKKEVHETTIKSGDHVNGSSRHKEAGKERVPNKVSGNRSKNEIDPWWLDLPYVLYVSHARLF >ONI28287 pep chromosome:Prunus_persica_NCBIv2:G1:10684654:10686977:-1 gene:PRUPE_1G135700 transcript:ONI28287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAHCATFPFLTPPICSAAKPTKPILSASIALASKPTRRKNYLRPKILKTLAEPDPPPRTPLLPEQPLTSPVIPIESPVTQYENDSNLERSSDQGDVVAGEVNKVEEFSVSETTPEYNGIVGKLSAKSVLKFGAYLVGAYLFQAFFTVWLLGNDNPDEENRKSKSSGLSLSKGKVLNTNVGSGLSNVVYLDELQLDEKIEEIRAMAREARKQEKKEGKGNVGDEDDVIDESSMPRNRIGIEKEVGERLLKLQNRLNSKREKLQGPYVKDFGKHENSEDENLKEGEGGLMFKKKLKFKAEAKRSPKGFGGLEEHDENRPELDFEQSVSETLEEEPKLLQDDGNHLDKGTGKMDSGKDIGVGTIEPKNGTVQRTRRGRSSEGVKSKKSRELGKKKSRLKKEVHETTIKSGDHVNGSSRHKEAGKERVPNKVSGNRSKNEIDPWWLDLPYVLVILMRRGSGSEGQGGLYTLKFSSQPQNQRDSSYTVAFEDRADANNFCFLLESLFEDLGDFSADIAPLPNKELREAIKSDNMKVIFVKKGQLPLYAGQPFEEVEMALRSLVEHD >ONI28289 pep chromosome:Prunus_persica_NCBIv2:G1:10684175:10687059:-1 gene:PRUPE_1G135700 transcript:ONI28289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAHCATFPFLTPPICSAAKPTKPILSASIALASKPTRRKNYLRPKILKTLAEPDPPPRTPLLPEQPLTSPVIPIESPVTQYENDSNLERSSDQGDVVAGEVNKVEEFSVSETTPEYNGIVGKLSAKSVLKFGAYLVGAYLFQAFFTVWLLGNDNPDEENRKSKSSGLSLSKGKVLNTNVGSGLSNVVYLDELQLDEKIEEIRAMAREARKQEKKEGKGNVGDEDDVIDESSMPRNRIGIEKEVGERLLKLQNRLNSKREKLQGPYVKDFGKHENSEDENLKEGEGGLMFKKKLKFKAEAKRSPKGFGGLEEHDENRPELDFEQSVSETLEEEPKLLQDDGNHLDKGTGKMDSGKDIGVGTIEPKNEDKTREVI >ONI27360 pep chromosome:Prunus_persica_NCBIv2:G1:6035067:6038604:1 gene:PRUPE_1G081800 transcript:ONI27360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAFSGQTQGLKPTTPPATTALFNPSLSSSSELLSTSHLSLFKNSTSIACGFSSKQASFLRGQFCSRHFLGFDYNLARRKQAGHVVSPYCILPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMEVEQILDRETGLELNEENVEKVLSEIRPYLAGTGGGILELVQINDYVVKVRLSGPAAGVMTVRVALTQKLREKIPVIAAVQLIE >ONI27361 pep chromosome:Prunus_persica_NCBIv2:G1:6034853:6039648:1 gene:PRUPE_1G081800 transcript:ONI27361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAFSGQTQGLKPTTPPATTALFNPSLSSSSELLSTSHLSLFKNSTSIACGFSSKQASFLRGQFCSRHFLGFDYNLARRKQAGHVVSPYCILPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMEVEQILDRETGLELNEENVEKVLSEIRPYLAGTGGGILELVQINDYVVKVRLSGPAAGVMTVRVALTQKLREKIPVIAAVQLIE >ONI27359 pep chromosome:Prunus_persica_NCBIv2:G1:6034850:6039777:1 gene:PRUPE_1G081800 transcript:ONI27359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAFSGQTQGLKPTTPPATTALFNPSLSSSSELLSTSHLSLFKNSTSIACGFSSKQASFLRGQFCSRHFLGFDYNLARRKQAGHVVSPYCILPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMEVEQILDRETGLELNEENVEKVLSEIRPYLAGTGGGILELVQINDYVVKVRLSGPAAGVMTRMEKLFGQFLLHYTHQRLKIHGSSLHLNLLATAATAPRNAKKAKSWKPPPMPTATLGPGAKNENGDQPQPPKNGTP >ONI27362 pep chromosome:Prunus_persica_NCBIv2:G1:6034796:6038889:1 gene:PRUPE_1G081800 transcript:ONI27362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAFSGQTQGLKPTTPPATTALFNPSLSSSSELLSTSHLSLFKNSTSIACGFSSKQASFLRGQFCSRHFLGFDYNLARRKQAGHVVSPYCILPLTEENVEKVLDEVRPGLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMEVEQILDRETGLELNEENVEKVLSEIRPYLAGTGGGILELVQINDYVVKVRLSGPAAGVMTVRVALTQKLREKIPVIAAVQLIE >ONI28516 pep chromosome:Prunus_persica_NCBIv2:G1:11417990:11420022:-1 gene:PRUPE_1G145400 transcript:ONI28516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGQMQRQWVDYTKSLFLEGFLDGQFLQLQQLQDESNPDFVVEVVSLFFEDSEKLLNDLTRALEQPSVDFKRVDAHVHQFKGSSSSIGAQRVKNACIAFRNFCEEQNTEGCVRCVQQVKQEYYLVKNKLETLFAMEQQIVAAGGSIPILELSF >ONI26218 pep chromosome:Prunus_persica_NCBIv2:G1:792688:795906:-1 gene:PRUPE_1G010400 transcript:ONI26218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAREAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFGEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMLPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKK >ONI35210 pep chromosome:Prunus_persica_NCBIv2:G1:42816692:42817372:1 gene:PRUPE_1G522700 transcript:ONI35210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLQVLTPKRKAVNFEKITWTLIIDLQVKFPPSSKRIAALMSFFTFLKEIEVLCMLIHMGLDSYLSYL >ONI33471 pep chromosome:Prunus_persica_NCBIv2:G1:36682517:36683790:1 gene:PRUPE_1G426700 transcript:ONI33471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGFATFLLVCLLLSSSVFEATMAGSSFCDSKCGVRCSKAGYQERCLKYCGICCEKCHCVPSGTYGNKDECPCYRDLKNSKGNPKCP >ONI33472 pep chromosome:Prunus_persica_NCBIv2:G1:36682521:36683428:1 gene:PRUPE_1G426700 transcript:ONI33472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGFATFLLVCLLLSSSVFEATMAAFCDSKCGVRCSKAGYQERCLKYCGICCEKCHCVPSGTYGNKDECPCYRDLKNSKGNPKCP >ONI27634 pep chromosome:Prunus_persica_NCBIv2:G1:7777118:7782072:1 gene:PRUPE_1G097400 transcript:ONI27634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRQSVAVSSAADTDRTENPIPSKSDPQQKKAQSFDFLGSSKKVFALCFAFRIANALLVQTYFNPDEHWQALEVAHRITFGFGHLTWEWEKGIRSYLHPLLFALLYKLLAVLGLDTPWFMVRAPRLFQSFFSAIGDLYLYKLSVILFGHCVAKWALFSQLTNWFMFYCLTRTLSNSLETVLTLVSLYYWPCLRPSSSKLPLESRKWGLIIAALACAIRPTSAIIWLYVGLLELFVTRDRLRFIFLEVAPMGVLVLGLTFLLDRLMYGSWVLVPLNFLKFNFLSAGGDYYGTHKWHWYFTQGFTVMIFSFLPFSVAGIMQSKHWKLSGLIAWVLGLYSVLGHKEFRFVLPVLPIALIFSGHSLAALRTTISANGRGKESSNIHRTCPAKMQVAIFFLLATNIPMALYMSLVHQRGTEDVTYYLSKEVLDGKVTNILFLMPCHATPYYATVHHNLPMRFLDCSPREEKGIPDESDHFMIDPVGFASEFAKNWSLPSHIVLFDSEEKLLKDFLISHSFKEIKRFFHAHFKVDRDLQASVVVYGFTGK >ONI27636 pep chromosome:Prunus_persica_NCBIv2:G1:7777118:7783583:1 gene:PRUPE_1G097400 transcript:ONI27636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRQSVAVSSAADTDRTENPIPSKSDPQQKKAQSFDFLGSSKKVFALCFAFRIANALLVQTYFNPDEHWQALEVAHRITFGFGHLTWEWEKGIRSYLHPLLFALLYKLLAVLGLDTPWFMVRAPRLFQSFFSAIGDLYLYKLSVILFGHCVAKWALFSQLTNWFMFYCLTRTLSNSLETVLTLVSLYYWPCLRPSSSKLPLESRKWGLIIAALACAIRPTSAIIWLYVGLLELFVTRDRLRFIFLEVAPMGVLVLGLTFLLDRLMYGSWVLVPLNFLKFNFLSAGGDYYGTHKWHWYFTQGFTVMIFSFLPFSVAGIMQSKHWKLSGLIAWVLGLYSVLGHKEFRFVLPVLPIALIFSGHSLAALRTTISANGRGKESSNIHRTCPAKMQVAIFFLLATNIPMALYMSLVHQRGTEDVTYYLSKEVLDGKVTNILFLMPCHATPYYATVHHNLPMRFLDCSPREEKGIPDESDHFMIDPVGFASEFAKNWSLPSHIVLFDSEEKLLKDFLISHSFKEIKRFFHAHFKVDRDLQASVVVYGFTGK >ONI27635 pep chromosome:Prunus_persica_NCBIv2:G1:7777118:7783584:1 gene:PRUPE_1G097400 transcript:ONI27635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRQSVAVSSAADTDRTENPIPSKSDPQQKKAQSFDFLGSSKKVFALCFAFRIANALLVQTYFNPDEHWQALEVAHRITFGFGHLTWEWEKGIRSYLHPLLFALLYKLLAVLGLDTPWFMVRAPRLFQSFFSAIGDLYLYKLSVILFGHCVAKWALFSQLTNWFMFYCLTRTLSNSLETVLTLVSLYYWPCLRPSSSKLPLESRKWGLIIAALACAIRPTSAIIWLYVGLLELFVTRDRLRFIFLEVAPMGVLVLGLTFLLDRLMYGSWVLVPLNFLKFNFLSAGGDYYGTHKWHWYFTQGFTVMIFSFLPFSVAGIMQSKHWKLSGLIAWVLGLYSVLGHKEFRFVLPVLPIALIFSGHSLAALRTTISANGRGKESSNIHRTCPAKMQVAIFFLLATNIPMALYMSLVHQRGTEDVTYYLSKEVLDGKVTNILFLMPCHATPYYATVHHNLPMRFLDCSPREEKGIPDESDHFMIDPVGFASEFAKNWSLPSHIVLFDSEEKLLKDFLISHSFKEIKRFFHAHFKVDRDLQASVVVYGFTGK >ONI27633 pep chromosome:Prunus_persica_NCBIv2:G1:7777118:7781934:1 gene:PRUPE_1G097400 transcript:ONI27633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRQSVAVSSAADTDRTENPIPSKSDPQQKKAQSFDFLGSSKKVFALCFAFRIANALLVQTYFNPDEHWQALEVAHRITFGFGHLTWEWEKGIRSYLHPLLFALLYKLLAVLGLDTPWFMVRAPRLFQSFFSAIGDLYLYKLSVILFGHCVAKWALFSQLTNWFMFYCLTRTLSNSLETVLTLVSLYYWPCLRPSSSKLPLESRKWGLIIAALACAIRPTSAIIWLYVGLLELFVTRDRLRFIFLEVAPMGVLVLGLTFLLDRLMYGSWVLVPLNFLKFNFLSAGGDYYGTHKWHWYFTQGFTVMIFSFLPFSVAGIMQSKHWKLSGLIAWVLGLYSVLGHKEFRFVLPVLPIALIFSGHSLAALRTTISANGRGKESSNIHRTCPAKMQVAIFFLLATNIPMALYMSLVHQRGTEDVTYYLSKEVLDGKVTNILFLMPCHATPYYATVHHNLPMRFLDCSPREEKGIPDESDHFMIDPVGFASEFAKNWSLPSHIVLFDSEEKLLKDFLISHSFKEIKRFFHAHFKVDRDLQASVVVYGFTGK >ONI36254 pep chromosome:Prunus_persica_NCBIv2:G1:47069594:47069821:1 gene:PRUPE_1G578400 transcript:ONI36254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLYFEFQIPRPGGAVLDLGRLFKIIQGVARGLLYLHHDSCLKVIRRDLKVSNILLDENMNPKLPDFGLARIV >ONI26122 pep chromosome:Prunus_persica_NCBIv2:G1:460390:460867:-1 gene:PRUPE_1G005200 transcript:ONI26122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNEFRLTSPGIDHEGRLPRKYTLEVTKNLALAVQDIDAPEPKYPIVLWTHWLVVNIQPVLEVAMHDPSFKFKLYALDDKMHLGNKVTKEKLLETIDG >ONI31067 pep chromosome:Prunus_persica_NCBIv2:G1:28896337:28898315:1 gene:PRUPE_1G290100 transcript:ONI31067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEEKVTMDLINQPSEHLCYVRCNFCSTVLAVGLPFKRLLDTVTVKCGHCSNLSFLSTRPALQGQCLSDHPTSLTLQAGCCSEFRKGQSSSSSSPISSEPSSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSAAAKNWARYIPSPSAGSDSGSCSSTNNILQE >ONI31065 pep chromosome:Prunus_persica_NCBIv2:G1:28896143:28898315:1 gene:PRUPE_1G290100 transcript:ONI31065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSAAASRSSTYDDDDFVSGGCVGLPFKRLLDTVTVKCGHCSNLSFLSTRPALQGQCLSDHPTSLTLQAGCCSEFRKGQSSSSSSPISSEPSSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSAAAKNWARYIPSPSAGSDSGSCSSTNNILQE >ONI31066 pep chromosome:Prunus_persica_NCBIv2:G1:28896339:28898315:1 gene:PRUPE_1G290100 transcript:ONI31066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEEKVTMDLINQPSEHLCYVRCNFCSTVLAVGLPFKRLLDTVTVKCGHCSNLSFLSTRPALQGQCLSDHPTSLTLQQAGCCSEFRKGQSSSSSSPISSEPSSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSAAAKNWARYIPSPSAGSDSGSCSSTNNILQE >ONI26463 pep chromosome:Prunus_persica_NCBIv2:G1:1875243:1877079:1 gene:PRUPE_1G026900 transcript:ONI26463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCSSLAVVCPCAVPKLSSKLSKTRVRVSSGDQSFTTSKLYGNLGKKRLTRLNAAGFAEIEPDLNEDPHDRWETNSISMEDFKFGEYDGHHTYHEGEDKGTFWGSIAEDIEAVETPTGFQGLISWLFLPAIATGMFLHVPGEYLYIGAGLFTIVFCIIEMDKPDKPHNFEPQIYNMERGARDKLIADYNTMNIWDFNEKYGDLWDFTVTVKKEDIMKR >ONI28478 pep chromosome:Prunus_persica_NCBIv2:G1:11275291:11293124:1 gene:PRUPE_1G143200 transcript:ONI28478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVISQHGLDIEALKSSRLPLSGGAQTGSSQAVGVSKDSKTGLAENEMSNMDPFSTSRPPVGPSSTGQDYYQGSTTHRSSQSFDHESPSSLDSRSANSQSQERRDTANWDKQVNRKDGKKATTKRKRGDTSIPTEPHLDNPQHLDTRNAIVNTRKGKINKVEPPAGFPIKGGENANFNIAPSSGQMEHFTSFSGSMRPLLRAKQEGQNLIEKQLDLTNTSNSMSRAPNAKLPEEMEVSSTHNALAQQQAAPVPLTHDTMGVWNQSKAGFPFDKSQVPRFSSNVVVPSNMTAEIQMQQLTSPSPGSSSFGKIQGGVPVTSSSYQVAEPRFSSPMQYSGAMPSTGKVSEHDGGNTNILADANKIFQAGRQNSALEMSMLRSAAVRDTGKTPVHLASGSPGMPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNVFPKEGGSTDGPRKEFIDHKGKTQFSNEPNSISDSTTPYGRLNNERETDKMLPGASSTGKFLETDSLSKETENPNMEEKNGPPPDLFVLAEEKKHLLVSQKPESETQTLETTASPACLTMTSQQPESSGARSGLPVSNPVENMENGHLQVGRVNQTSSLMGMNKQNSEIISWTGVGNQNEVSRGLLPASAGQPELVSERNNNAPGQFPNLGSSSALGSQHTDNHPTSFSFGDRWKPISGIGNDHHSASASKDAHMMPKHVSHGQVKEDNRTDLPPSPKYTMSEKWIMAKQKKKLLDEQNWTLKQLKARQKIATCFHKLKENVSSSEDISAKTKSVIELKKLQLFELQRRLRSEFLNDFFKPINTEMDHLRNCKKFRHGRRIKQLEKFEQKMKEERQKRIRERQKEFFGEIEVHKERLDDAFKIKRERWKVFNKYAKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLRDAKAVASQFEHDMDESGSGGATEKSEPSCENEDESDQAKHYMESNEKYYLMAHSIKENIAKQPSILNGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEINFWAPSILGIVYAGPPEERRRLFKERIVQRKFNVLLTTYEYLMNKHDRPKLSKLHWHYIIIDEGHRIKNASCKLNAELKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESSGDSTADQALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRVEDNLGTIGNSKARSVHNSVMELRNICNHPYLSQLHAEEVDTYIPKHYLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLNGKQYRYLRLDGHTSGGDRGTLIDMFNKPDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSEPEIDVFETIDKRRREEEMATWRKLACVQGMDSSETLPPLPSRLVTDDDLKEFCEVMKVYEVPKTGEVSNVGVKRKGGALGGLDTQRYGRGKRAREVRSYEEQWTEEEFEKLCQADSPDSPTKSKEEFLESNLPKDDSGSVVAVCKTELPAPLPPHLPLPSVELPQIQQSKEVTPPAKRGRGRPKRATLDQSPTAMALTAPSGTVKVDTGLQRGVVSSPVTNSGPDSSPSSVNVQGIGGIVQPNNIVASPSSQPTAPKPSVTPGSQTTIVSPSASTQVRGQGRKTQSGLEAPRRRGKKQVPQSPGVSGGLAGSDPKQNEVSQNTSVNPLENQAIGMSETVSCTSAVQHPDSLPGSVPLQGANGTDHQVGGAMALTSQPTLPSPSVAPSSQSSPSPSVPVQTKGQNRKAQSGAGAQRRRGKKQVPVSPAVPDVLDAQDLKPNLQPQDKPGDLSVSKDSAARSKQEADGLPGQNLNSTEESVNSTEAKQTISSSMIHETALRTLGPATGESLNVIACNATVTKEALPESCSSKPKNDKVSGNEGAAIPAEVNKSQSLEDKACPAIATSITAAPAHTPLTDSFPSSTAVENTSETKYDVAKIAPSSQSTPLYHSVPLASQSITPCPSESLEVKRQGRKTSNRAEAPRRRGRKQAPVLPAVSDGPAGQDPKLNSQLQNASAVTMGSKSVAPRSKQGTDGQELTNAIQAQTSQVHLASSLVGHDPKRKEQSGYSAHNRQPTNSTSALDSAAGSSDKSSALGRIQTADVNDVARVMKEVFSGTILSKAKIPETFGREGRVAPCIPLSSKIPVDTAKSQCLEDKSCPTLPTLETAAHALDLTGTDAKGERDKTPALNETHVPITNMDQPESKTAVGSIKELKGSKQLSVDGTTRVSKTVFQPVSPDVDVTASSIGACGSEVGSSLVFSSSVEHPQVIGGNKTESLSGESPKSSSVDLSDNKCPTISMNTDNASLHLGLTPPVPEGPVESGVVGPPAMIDSENKIEPCVKEHPTSPPCNAASLECAPLIPKDSDDVSNHSKDTSPISASPDRSAVIPDIPEMTETNAVDKTEPSSKESRESSPHDNVSTTFEIVCPGESAPMSVGLEDSELPGMAENDTGEMVESASKGCPKSSPVDISHEISTTITTIPNIVFGGGCIDKVDVPCTESEAANCSGEGNFLNSEISLKADDFEVTLGSADVASGHNTMHDIPTEKGILELRTDVIEDGSIDVCNVEVVPSEGDQMNVSCVGCYPSAKVSDTSLPASSLLTVGEINDSSDRGQVDSYVSQENPKSSGAALVVSQDDGFVSGDRSEILQSSSLVEEEPVGGASVKCQNSSSSSSEERKDSVTEKDVILSEELIPKNLDVPLSLITQEENIEGSSEERPSCSSILLDDSKGPGALTVVQIDLSQVCETLQENVVSEGMDPPSSSLVTGEEPTEEISKKNQVCRSVPVEEPEVSRAERDARIDSSQVDGILPQMVVDNLGSPLSSLVTEEGKIGDSLVKCVIGSPVQMKEEDKIDGISIKCLSGNSDLLGDSQGSDAEMDVSQARGFLTDTESVIVPSSSAAVKEGKIECSSERGPVNRSIVLEETSEDLVTDNVVVSRVGVFVPKNMLEAAVQPLSTLTKEQEKTECSSEKDQDGSSVQLDEPNGKEDEMDQMETPRYSGDLPDCIVSESVDLEEKIQGLSEKDPVSSLAPQLESKVSGT >ONI26915 pep chromosome:Prunus_persica_NCBIv2:G1:3841556:3848084:-1 gene:PRUPE_1G054600 transcript:ONI26915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASVCVSACLFHSKVCVVLCGGTDGKPNSKSVKLREDWRQRSRPIPPGGTYPAKDHCSRCGLCDTYYIAHVKEACAFLGDGMSKIEGLEPVVHGRGRKTGSSDEMYLGVYEELLYARKVIPVEGAQWTGIVTTIAIEMLKSGMVEAVICVQSDPNDRFTARPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKKLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANELVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVDNLLEVTPTTSSGNRQPFVMETVKADDNAKLGKGPEPAPKFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLYVNRIWGKQRADQHMPSYAKKIVDTYNKNGEIDRILTNK >ONI26914 pep chromosome:Prunus_persica_NCBIv2:G1:3841556:3848084:-1 gene:PRUPE_1G054600 transcript:ONI26914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASVCVSACLFHSKVCVVLCGGTDGKPNSKSVKLREDWRQRSRPIPPGGTYPAKDHCSRCGLCDTYYIAHVKEACAFLGDGMSKIEGLEPVVHGRGRKTGSSDEMYLGVYEELLYARKVIPVEGAQWTGIVTTIAIEMLKSGMVEAVICVQSDPNDRFTARPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKKLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANELVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVDNLLEVTPTTSSGNRQPFVMETVKADDNAKLGKGPEPAPKFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLYVNRIWGKQRADQHMPSYAKKIVDTYNKNGEIDRILTNK >ONI26913 pep chromosome:Prunus_persica_NCBIv2:G1:3841111:3848333:-1 gene:PRUPE_1G054600 transcript:ONI26913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLIAKLYSLPLSLSIVSSSSSSSSSSSSSSSKDGKPNSKSVKLREDWRQRSRPIPPGGTYPAKDHCSRCGLCDTYYIAHVKEACAFLGDGMSKIEGLEPVVHGRGRKTGSSDEMYLGVYEELLYARKVIPVEGAQWTGIVTTIAIEMLKSGMVEAVICVQSDPNDRFTARPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKKLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANELVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVDNLLEVTPTTSSGNRQPFVMETVKADDNAKLGKGPEPAPKFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLYVNRIWGKQRADQHMPSYAKKIVDTYNKNGEIDRILTNK >ONI26910 pep chromosome:Prunus_persica_NCBIv2:G1:3841556:3848206:-1 gene:PRUPE_1G054600 transcript:ONI26910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLIAKLYSLPLSLSIVSSSSSSSSSSSSSSSKDGKPNSKSVKLREDWRQRSRPIPPGGTYPAKDHCSRCGLCDTYYIAHVKEACAFLGDGMSKIEAAGVKKLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANELVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVDNLLEVTPTTSSGNRQPFVMETVKADDNAKLGKGPEPAPKFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLYVNRIWGKQRADQHMPSYAKKIVDTYNKNGEIDRILTNK >ONI26916 pep chromosome:Prunus_persica_NCBIv2:G1:3843049:3848333:-1 gene:PRUPE_1G054600 transcript:ONI26916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLIAKLYSLPLSLSIVSSSSSSSSSSSSSSSKDGKPNSKSVKLREDWRQRSRPIPPGGTYPAKDHCSRCGLCDTYYIAHVKEACAFLGDGMSKIEGLEPVVHGRGRKTGSSDEMYLGVYEELLYARKVIPVEGAQWTGIVTTIAIEMLKSGMVEAVICVQSDPNDRFTARPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKKLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANELVDVIAPSCYSCFDYTNGLAGEGKRESY >ONI26912 pep chromosome:Prunus_persica_NCBIv2:G1:3841111:3848333:-1 gene:PRUPE_1G054600 transcript:ONI26912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLIAKLYSLPLSLSIVSSSSSSSSSSSSSSSKDGKPNSKSVKLREDWRQRSRPIPPGGTYPAKDHCSRCGLCDTYYIAHVKEACAFLGDGMSKIEGLEPVVHGRGRKTGSSDEMYLGVYEELLYARKVIPVEGAQWTGIVTTIAIEMLKSGMVEAVICVQSDPNDRFTARPVLARTPEEVLAAKGVKPTLSPNLNTLALVEAAGVKKLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANELVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVDNLLEVTPTTSSGNRQPFVMETVKADDNAKLGKGPEPAPKFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLYVNRIWGKQRADQHMPSYAKKIVDTYNKNGEIDRILTNK >ONI26911 pep chromosome:Prunus_persica_NCBIv2:G1:3841111:3848333:-1 gene:PRUPE_1G054600 transcript:ONI26911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLIAKLYSLPLSLSIVSSSSSSSSSSSSSSSKDGKPNSKSVKLREDWRQRSRPIPPGGTYPAKDHCSRCGLCDTYYIAHVKEACAFLGDGMSKIEAAGVKKLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFSLPANELVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVDNLLEVTPTTSSGNRQPFVMETVKADDNAKLGKGPEPAPKFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLYVNRIWGKQRADQHMPSYAKKIVDTYNKNGEIDRILTNK >ONI32102 pep chromosome:Prunus_persica_NCBIv2:G1:32541565:32542167:-1 gene:PRUPE_1G348500 transcript:ONI32102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYEKYDVRKRNPNPKVAALLVIDVQNYFSSIAQPILQNLLTTIRLCRRASIPVIFTRHSHKSPSDYGMLDEWWRGDLIFDGTPESHLMPELNPNAQDHVVHKNTYSAFRNTRLQEYLQERGVEELIVTGVMTNLCCETTARDAFVRGFRVFFSTDATGTSDPELHEATLKNLAYGFAYLVDCNRLEGGLFPNQQLT >ONI36176 pep chromosome:Prunus_persica_NCBIv2:G1:46736667:46742207:1 gene:PRUPE_1G573400 transcript:ONI36176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTVRSLSPFLVFAFLLLSPAFASEADHKYQTEEPVTLWVNKVGPYNNPQETYNYYSLPFCHPSENAAHKWGGLGEVLGGNELIDSQIDIKFQKNVDRATICQLELDDQKVKQFKDAIENSYWFEFFMDDLPLWSFVGELHQDKNSENGKHVLFTHKLIIVKYNKDQIIHVNLTQDSPRPLEAGKKLDLTYSVKWIPTTVTFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRSLVILSAVVGTGAQLALLVLLVILLAIVGMLYVGRGAIVTTFIVCYALTSFISGYVSGGMYSRNGGKNWIKSMILTASLFPFMCFGIGFVLNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYAKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >ONI36177 pep chromosome:Prunus_persica_NCBIv2:G1:46736588:46742207:1 gene:PRUPE_1G573400 transcript:ONI36177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTVRSLSPFLVFAFLLLSPAFASEADHKYQTEEPVTLWVNKVGPYNNPQETYNYYSLPFCHPSENAAHKWGGLGEVLGGNELIDSQIDIKFQKNVDRATICQLELDDQKVKQFKDAIENSYWFEFFMDDLPLWSFVGELHQDKNSENGKHVLFTHKLIIVKYNKDQIIHVNLTQDSPRPLEAGKKLDLTYSVKWIPTTVTFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRSLVILSAVVGTGAQLALLVLLVILLAIVGMLYVGRGAIVTTFIVCYALTSFISGYVSGGMYSRNGGKNWIKSMILTASLFPFMCFGIGFVLNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYAKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >ONI32918 pep chromosome:Prunus_persica_NCBIv2:G1:35043604:35045964:-1 gene:PRUPE_1G393700 transcript:ONI32918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTGSHRSTIFIAIALSLIVNLLLLPVTESAQCKAWLVQSIPTDMPSLPRVPGVLSTGDVLKWLASNSTERLDVIAQYWQLIAHPEDPRSGDFGYSKEDLQRFGAQEGASVYSALENAADRNVTIRLLSHSGVYPDYTTEPTNLASGRPNVKNVTLLLSDWWGSGIVHTKVWISDRRDVYIGSANNDWKSLTQVKELGIYLVDCPKIARKVETYFDNLWKLASLNSTAHTTTVSDQQWQIDRQVPCWSHFVEYKARCSSPFPGSVETRHVAGYPILSDPYMFKLPLQTPGYNDSNLQPKSSYLSFAPPELSFGRYQADEQAWVETIKSVGIGGTVRISTMDWLGQSQYLKQTVYWASLSSAISEVVFSKKATVKILVAYWAHFINSTDPYLKSLLYSNVLCSSSKYNHCAGKVEIKYYIVPGFNLTGPAATANGTDTGNIYPGYTRVNHGKYAVSDVRAHVGTSNLIWDYFYTTAGVSFGTYKPAIVSQLQEVFDADWDSPYAVPVEELGEGGSACSS >ONI32919 pep chromosome:Prunus_persica_NCBIv2:G1:35043288:35046126:-1 gene:PRUPE_1G393700 transcript:ONI32919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIAMLLSGVYPDYTTEPTNLASGRPNVKNVTLLLSDWWGSGIVHTKVWISDRRDVYIGSANNDWKSLTQVKELGIYLVDCPKIARKVETYFDNLWKLASLNSTAHTTTVSDQQWQIDRQVPCWSHFVEYKARCSSPFPGSVETRHVAGYPILSDPYMFKLPLQTPGYNDSNLQPKSSYLSFAPPELSFGRYQADEQAWVETIKSVGIGGTVRISTMDWLGQSQYLKQTVYWASLSSAISEVVFSKKATVKILVAYWAHFINSTDPYLKSLLYSNVLCSSSKYNHCAGKVEIKYYIVPGFNLTGPAATANGTDTGNIYPGYTRVNHGKYAVSDVRAHVGTSNLIWDYFYTTAGVSFGTYKPAIVSQLQEVFDADWDSPYAVPVEELGEGGSACSS >ONI32917 pep chromosome:Prunus_persica_NCBIv2:G1:35043288:35046126:-1 gene:PRUPE_1G393700 transcript:ONI32917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTGSHRSTIFIAIALSLIVNLLLLPVTESAQCKAWLVQSIPTDMPSLPRVPGVLSTGDVLKWLASNSTERLDVIAQYWQLIAHPEDPRSGDFGYSKEDLQRFGAQEGASVYSALENAADRNVTIRLLSHSGVYPDYTTEPTNLASGRPNVKNVTLLLSDWWGSGIVHTKVWISDRRDVYIGSANNDWKSLTQVKELGIYLVDCPKIARKVETYFDNLWKLASLNSTAHTTTVSDQQWQIDSSPFPGSVETRHVAGYPILSDPYMFKLPLQTPGYNDSNLQPKSSYLSFAPPELSFGRYQADEQAWVETIKSVGIGGTVRISTMDWLGQSQYLKQTVYWASLSSAISEVVFSKKATVKILVAYWAHFINSTDPYLKSLLYSNVLCSSSKYNHCAGKVEIKYYIVPGFNLTGPAATANGTDTGNIYPGYTRVNHGKYAVSDVRAHVGTSNLIWDYFYTTAGVSFGTYKPAIVSQLQEVFDADWDSPYAVPVEELGEGGSACSS >ONI27019 pep chromosome:Prunus_persica_NCBIv2:G1:4491562:4502439:-1 gene:PRUPE_1G063400 transcript:ONI27019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEGGSPWLRTLNNHVGRQVWEFDPKLGTPEELLEIEKAREHFRNNRFVKKHSSDLFMRMQLSKENPGHAVLPQIKVKDTEDVTGETVTNTLRRAINFHSSLQAHDGHWPGDYGGPMFLLPGLVITLSITGALNAVLSDEHKREICRYLYNHQNKDGGWGLHIEGPSTMFGSVLTYVTLRLLGEGAKDGQGAMESGRKWILDHGGATAITSWGKMWLSVLGAFEWSGNNPLPPEIWLLPYMLPIHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTVLSLRKELFNVPYHEIDWNVARNQCAKEDLYYPHPLVQDILWSSLHMAVEPILMRWPGKKLREKALKTAIEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIYDYLWIAEDGMKMQGYNGSQLWDTAFAVQAIISTNLVEEFGSTLRKGHKFIKDSQVLENCPGDLDFWYRHISKGAWPFSTGDHGWPISDCTAEGLKAVLSLSKIPSEIVGEPIDAKRLYDSVNVVLSLQNSDGGFATYELTRSYRWLELINPAETFGDIVIDYPYVECTSAAIQALASFKKLYPGHRSEEIEHCIKRAVEFIEKIQESDGSWYGSWGVCFTYGTWFGVKGLVAAGRNYNNSSSIRKACDFLLSKQLASGGWAESYLSCQNKVYTNLEGDRSHVVNTGWAMLALIDAGQAERDPTPLHRAARRLINSQMENGDFPQEEIMGVFNRNCMITYAAYRNIFPIWALGEYRCRVLQAS >ONI33018 pep chromosome:Prunus_persica_NCBIv2:G1:35352435:35355923:1 gene:PRUPE_1G400300 transcript:ONI33018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNASCNPVFFNVGVVLDFDTGFGKKGLTCINMSLSDFYASHSYYNTRLVLHKRNSPSDVVVTASATNFVISLGDKAQVPIISYSATNPSLTSIRSPYFLRAAQNDSSQVKSLTAIIQAFGWREVVPIYVDNEFGEEIIPSLSDALQEATDDQIAAELYKLKGMQTQVFIVDMLPSLGSRLFDKANEIGMMEEGYAWIMTDGITNSFSYINSSVIENMQGVLGTKSYVPNTKVLESFRVRWKRKFQQDNPTVHGVKLDVFGFWAYDAAWSLAMAVEKVGAKNFSLQKMNRTSGNSSTTALEGFGISQNGPQLVQALLATSFKGLSGDFSLLNGQLQSSTFQIINVFGHGEKLVGYWTPQNGLERKLNSTKTGRYSTSDVSLGSIIWPGDTTSAPKGWQIPTSDTRLKILVPVQDGFSTFVTVTYDLGLIHLLSMLYPYAKLNGETAGSYNDLVNQVFLGNYDAAVGDITIRANRSLYVDFTLPYTESGVFMVVPIKDNKSKKAWVFLKSLTWELWVTSGCSFIFIGFVVWILENRINKDFRERVVSNLARFVVILWCFVVLILTQSYTASLTSLLTVQQLQPTVTDVNLLIKNRNNVAYQRSSFVYGILKQLGFQDENLKTFNSAEELNQLFHNGSRKYGISAAFDETPYMKLFLATYCSKYTMIPPTFKADGFGFVFPKGSPLARDVSRAILNVSEGEKMKDIERIWFEKEASCADPNSLVSSSSLSLDSFWGLFLIAGVASAFALLIFAAMFLHAERQEILQQLRRLVPGASLWTRICVVLRIYDQWDLRSYTFQNNGLEAPIEAPAAVPPGGPAADAPAAGAPVGAPLGQPAGDIELISDHDIIRLPEQESN >ONI33853 pep chromosome:Prunus_persica_NCBIv2:G1:37936490:37937438:-1 gene:PRUPE_1G449800 transcript:ONI33853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPISCCVDDVYSVASIILIIDDKFFLRCYLVVLTMYFIQFRIELLSVLIAVSFSDQSPGCSPRLFRIWKKSKTSISDLDMRCYRG >ONI35029 pep chromosome:Prunus_persica_NCBIv2:G1:42088795:42090259:1 gene:PRUPE_1G511200 transcript:ONI35029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQGTLEYLSNLLSSARRGKKKRKQIQTVAVKIRMDCEGCARKVKKVLSGVKGAKSVDIDLKQQKATVTGYNVEAKKVLKAAQSTKKKCELWPYVPYTLVAHPYVSGAYDKKAPPNMVRKVPDTATIGDTAVDESYTIMFSDDNPNACSVM >ONI34452 pep chromosome:Prunus_persica_NCBIv2:G1:40279841:40283844:-1 gene:PRUPE_1G483000 transcript:ONI34452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSFHVQYSLVSVLLSLCIASIIAVSMICGHHFDRSVRISGAELYQYGPVSIPLVELRSKVIAASDHHLINSNANRSSHLHRHLHINELVNQTVLRKIPKPKASREQELEQGLARARASIRRAVWSPNRSSTFDDDVSFPGGSVVYRNPGAFYQSYLEMEKKFKVYVYTEGDPPMAHDGPCKDIYSIEGRFIHEMENGAVGRRFKTKSPKRAHVYFMPFSVTWMVKYLYKPFTYNLTPLRDFVADYVRVISSRHPFWNRTRGADHFMLGCHDWTPHASAGNQFLYNTSIRVLCNANTSEGFNPQKDVSLPEIYLYGGNVPSKLISPPPPQTPRPYLGFFAGGLHGPIRPILLAHWKTRDEDLRVYEYLPEGEDYASMMLKSRFCLCPSGHEVASPRIVEAIYAECVPVILSEHYALPFSDVLRWEAFSIRVEVSEIWRLKEVLMAVPEEKYRWLVENLRVVRRHFELNQPAKRFDVFHMILHSVWLRRINLRFA >ONI29050 pep chromosome:Prunus_persica_NCBIv2:G1:15200790:15201684:-1 gene:PRUPE_1G177700 transcript:ONI29050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCQTAFKLLLWTSLTFASLIPHGLSLSQKRKGWGEKCTANGQAPTVQQIQVGFGSSPKFMVVVNNKCPMCPIIDVHLKCGSFPQALVNPRLLKVLGVDDCVINSGLPLAPLQTFSFNYSHQKYLMYPKIWSFQCE >ONI29049 pep chromosome:Prunus_persica_NCBIv2:G1:15200790:15201601:-1 gene:PRUPE_1G177700 transcript:ONI29049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCQTAFKLLLWTSLTFASLIPHGWGEKCTANGQAPTVQQIQVGFGSSPKFMVVVNNKCPMCPIIDVHLKCGSFPQALVNPRLLKVLGVDDCVINSGLPLAPLQTFSFNYSHQKYLMYPKIWSFQCE >ONI31110 pep chromosome:Prunus_persica_NCBIv2:G1:29082156:29084938:-1 gene:PRUPE_1G293100 transcript:ONI31110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSMSFSHDMDDEYEKLIRRMNPPRVVIDNKACKNATVIRVDSANEYGILLEVVQVLTDLNLIVTKAYISSDGGWFMDVFNVTDQDGNKVTDEGVLEYIQKSLGPEACFASSMRTVGVKQSTDHTAIELTGSDRPGLLSEVSAVLTNLKCNVVSAEVWTHNTRAASVMHVTDEETGLAVTDPQRLARIKELLCNVLKGSNKTRGARTVVSHAVTHTERRLHQMMFADRDYERVDDGLLDDNQRPNVNVVNWQDKDYSVVTIRSKDRPKLLFDTVCTLTDMQYVVFHASIDAEGPEAYQEYYIRHIDGSPVKSDAERQRVIQCLEAAIERRVSEGLKLELCTSDRVGLLSDVTRIFRENSLTVTRAEVATKAGKAVNTFYVRDTSGYPVDSKTIEAIRQVIGQTILKVKGSPEDPKPGSEESPTRFLFGGLFKSRSFVNFKLVRSYS >ONI31111 pep chromosome:Prunus_persica_NCBIv2:G1:29082156:29084436:-1 gene:PRUPE_1G293100 transcript:ONI31111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSHDMDDEYEKLIRRMNPPRVVIDNKACKNATVIRVDSANEYGILLEVVQVLTDLNLIVTKAYISSDGGWFMDVFNVTDQDGNKVTDEGVLEYIQKSLGPEACFASSMRTVGVKQSTDHTAIELTGSDRPGLLSEVSAVLTNLKCNVVSAEVWTHNTRAASVMHVTDEETGLAVTDPQRLARIKELLCNVLKGSNKTRGARTVVSHAVTHTERRLHQMMFADRDYERVDDGLLDDNQRPNVNVVNWQDKDYSVVTIRSKDRPKLLFDTVCTLTDMQYVVFHASIDAEGPEAYQEYYIRHIDGSPVKSDAERQRVIQCLEAAIERRVSEGLKLELCTSDRVGLLSDVTRIFRENSLTVTRAEVATKAGKAVNTFYVRDTSGYPVDSKTIEAIRQVIGQTILKVKGSPEDPKPGSEESPTRFLFGGLFKSRSFVNFKLVRSYS >ONI31109 pep chromosome:Prunus_persica_NCBIv2:G1:29081684:29085519:-1 gene:PRUPE_1G293100 transcript:ONI31109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSMSFSHDMDDEYEKLIRRMNPPRVVIDNKACKNATVIRVDSANEYGILLEVVQVLTDLNLIVTKAYISSDGGWFMDVFNVTDQDGNKVTDEGVLEYIQKSLGPEACFASSMRTVGVKQSTDHTAIELTGSDRPGLLSEVSAVLTNLKCNVVSAEVWTHNTRAASVMHVTDEETGLAVTDPQRLARIKELLCNVLKGSNKTRGARTVVSHAVTHTERRLHQMMFADRDYERVDDGLLDDNQRPNVNVVNWQDKDYSVVTIRSKDRPKLLFDTVCTLTDMQYVVFHASIDAEGPEAYQEYYIRHIDGSPVKSDAERQRVIQCLEAAIERRVSEGLKLELCTSDRVGLLSDVTRIFRENSLTVTRAEVATKAGKAVNTFYVRDTSGYPVDSKTIEAIRQVIGQTILKVKGSPEDPKPGSEESPTRFLFGGLFKSRSFVNFKLVRSYS >ONI28186 pep chromosome:Prunus_persica_NCBIv2:G1:10110103:10113145:1 gene:PRUPE_1G129900 transcript:ONI28186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTALRLSFHPSPHSLSRGACPLRQPLSNSLLTFPTPNPTLHSSTLIPFHRSHRRRQSPITMSDSGRSSSPAAQPPPMELNEESDFETILSPDGYISICGFGSLLSERSARSTFPDLINFRVARLNGFRRAYAHMAPIFFERGIAKPETKEIASLSVEPCEGESLIVTVFEITKSEIPAFIKREPEFRFLAVLPETLDGKPFDNRAVLCARYSDEEFFRVRCKGSKEMYFQLFGRYGIDKIWRDDILPCRTYLRHCVLAAKNLSEVAYNNFLDHTFLGDRKTTIRLYLATTGSGIMEEEPPESLKTRYGG >ONI33589 pep chromosome:Prunus_persica_NCBIv2:G1:37139083:37143429:1 gene:PRUPE_1G434400 transcript:ONI33589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEIEEGMLVEEEAVQTQKKPEKSPYEMLQESKSSVEEIVTKMLAIKQEKKPKSELRELVTQMFLNFVTLRQANRSILLDEDRVKAETESAKAPVDLTTLQLHNLMYEKSHYVKAIKACKDFKSKYPDIELVPEEEFFRDAPGHIKAPTLSNDVAHDLMMKRLNFELFQRKELCKLHQKLEIHKKGLLETIANRKKFLSSLPSHLKSLKKASLPVQNQLGLQHTKKLKQHHSAELLPPPLYVVYSQFMAQKEAFDEQIELEIVGSVKDAQAFAHQQANKDTGVSTNAEASRLEDDAPDEEDDGQRRRKRPKRVPVKQNLEQSGVYQVHALKIILHIHDDEASDPKSSKLMTLKFEYLLKLNVVCVGIDGSHEAAENNILCNLFPDDTGLELPHQSAKLIVGDAPAFDERRTSRPYKWAQHLAGIDFLPEVSPLLAAPETPSGDTAKHDVISGLSLYRQQNRIQTVVRRIRSRKKAQMALVEQIESLMKLKWPALSWESVPWVLHTPLCKLHGFSPLGPPPNPASSLSVIDKEQGQEPMDVDLVGRSGSSKEELESMREDGELPSLVPVASVSSDNKLAHQKGANLDRSRRLALLSKSPPISKAKSLSYKKHDEDSDLLLDIESDLDEPAHVVPEEENGVPIECFEVAGNSWMDFGVREFCLVLTRSIDTDKRKAKLEAKIKISTEYPLRPPFFALSLCSVSGDNHKESNDSECYNELRAMEAEVNLHIVKMLPQSEENNILAHQVCCLAMLFDYYMDEASPSSKKRLSTSVVDVGLCKPVIGQLVARSFRGRDRRKMISWKDMECTPGYPY >ONI33057 pep chromosome:Prunus_persica_NCBIv2:G1:35500750:35501378:1 gene:PRUPE_1G402900 transcript:ONI33057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFVLFFQKFFLFAFFFSENFLYSFFFFFRKFSLFCFSIYFVFYFSLCLHSHHRLTVTIVAQSSCPQPIVQVA >ONI31064 pep chromosome:Prunus_persica_NCBIv2:G1:28886173:28892942:-1 gene:PRUPE_1G290000 transcript:ONI31064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPKVEEAKPNAEEKPDDLEINSIGSLYNGPWDKKYWSSSRGKDRYPYPVGYRAVRAHNGSTYKMEIQEGPKGPLFSIIAADGQSSSGQTPYIAWEKFQKAFFPRMKIWHGKRFSCKIDGVEFFGFKNPFVQRLLRELAANVNGTAERSLVPSSFCNGASRTDVDNRFPDARPYLAIPRITGKRSRRREIVNSKSFSWTSIKRTRPEDLTSFAEHSDSVKGSKRYHNNGNSKLSSSLEEEYDICKYSKASPPLVHLVPAHQEASDFSVKDSLPLDSVGFSNHPRMDAVHEEARLFVGSGNCKSTVVASNLSVDEEQPLDRTEDPNPMVPENKTVDTVPKDSEGLTDVDLCAPDTYFTQDKTSDSAPAIQDRSASNVVISEGLLTESHREEETATSKSNASSEMSEFDLVGQEMAKSMMTFLLPQAIPLLKKGSRKEKGTVSPSEILPCAPSPGVILAVNNDRMEEKMYTQNNDFGSMVPTKSFVPDSLEDDQYGDHVVNHVISSSDKAEAGQDSSYPACLLNSHGLLVAVNEHNKSLDVHLETNGTKDIFPYKEVAMASTGGLQECDTNVFESLPVCMSPCKRVFSEEIRDKSANKDECSLGVNIHSMKSNETAVDLPEAINTIVDNQRGMLNSSKASQNENSVKTTVAETGNESFTKVPHLVYTKRKAQNICPIKGNHSGPLSESIICRNSGDICLPESYPSAETLLALETLQMGSSDDNLYKDSFCAEAKTVEHSSCLNADKPSVNSKGLLNGHCPAVLQEQALVGASKEKDTLCSLDLSVSRLENHVDKDVVGHENLLEPNDTETSQKQGTGLMHDPNSVPHSSDSKPHSMELNNELTGSLEFVGRYSHQNPVLSVLLSAKGTEIYVCVLCGPLVDKDGSLFIYKVAIEEPRVGCPSFVGHTSVTLPIRKDYFGRIALERSSLQFTPDGQYLVLLDSIKTPYCRQGSIHCLCSTCTSNCSEENTVKIVQVRLGYVSKVASLKAVDSLECILVCEPNNLVAVGESGRLHLWVMNSTWSAQIENFVLPAEDCISPGIVELKRIPNCTHIVVGHNGFGEFSLWDISKCILVSRFSAASSSICQFVPVSLFTWRIKCPVSSYSDIEEHINELVAATSNNQFSLEGEDIAVWLLVSSSSDSDAQQDYVSDDCDSNPMGRWRLALMVKNMVIFGSALDPRAAVIGASAGQGICGTCDGLVYMWELSTGNKFGAMHHFKGGSVSCIATDDSRPSPGAVAVAGDNQLLVFLHSEKSSVH >ONI32965 pep chromosome:Prunus_persica_NCBIv2:G1:35157071:35159007:-1 gene:PRUPE_1G396600 transcript:ONI32965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHHPPQSTNLCSPTSSPTTFVQADTNTFRDLVQKLTGLSGDSEKLPVTHPTRHYPKAPTIPGDPTGPRRSPFKLQERRHTMRKLEIKLSLANLHGSQSQTPRQTYQLLDSPIPSPVTPLGSDSLFFPSSGSESPSSPAAAASEEEKAIAEKGFYLHPSPLSTPRGSDPPELLTLFPLSSPGHHDNKLRREEDIGGAVCMASLIHMEKSFGGSHCFAKRDGVAVFEWLVW >ONI34554 pep chromosome:Prunus_persica_NCBIv2:G1:40610931:40611591:1 gene:PRUPE_1G487400 transcript:ONI34554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVAEAPWQKTEPTHFTMVSKAIVFTNFPLAGKTLPFFGLNQLASPLGLPLITSSPTSCCSVFFVIFLATIFLLPLV >ONI26849 pep chromosome:Prunus_persica_NCBIv2:G1:3527636:3528490:-1 gene:PRUPE_1G050300 transcript:ONI26849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGCRRRVMVVADPNQESAGALQYALSKAIRENDELILFNVENPNSWRNTLTTFLRRPSRSSGSAAASIEGSLGHADFLEEMKNACKLAKPKVRVRVEKVALIEGKEKASIILDHSKALGVDILIIGQRRSLSTIILGYRRPGGSTRGTKPIDTAEYLIDKSSCTCVGVQKKGQNGGYLLNTKTHKNFWLLA >ONI31916 pep chromosome:Prunus_persica_NCBIv2:G1:31923625:31925466:1 gene:PRUPE_1G339000 transcript:ONI31916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAIPEVILSCGDDILAIPVIGMGTAYPAPDPETDKAAVLEAIKAGYRHFDTALVYGSEKYLGQAISDAVRLGLIKSRSEVFITTKLFASFAEKDLVVPALNMSLRNLQLEYVDMYIIHWPFKFGGEVKSMPVAKEMVLPLDLKSVWGGMEECKRLGLARGIGVSNFTCNMLQDLLSIAKIPPVLNQLEMSPAWQTKKLNDFCKAKGIHVTAYSPLGGANSRVGDDRVLCSNILEDIAKAKGKTTAQVSLRWVYEQGVSMVTKSNNKERMKQNVDIFDWSLTDEELEKISHFPQRKAVTFASIVGPHDLIVDIDAQL >ONI33866 pep chromosome:Prunus_persica_NCBIv2:G1:37975397:37982988:-1 gene:PRUPE_1G450900 transcript:ONI33866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGLLMADNHKRSDPIIASKSKSVGSFVKIDSISIDLADANVKSSSSHAAKCEHFSIRGYVSEIRKKNWKVCWPFDSDGDLNKFDQLEDTSVLPPLKTPNFRFWSCQNCVQETGSKIIPEDHGTGIYCCDIGSDSNKTCAQVCSSASVLLSDCQQGPQSKVVEGKRVEAPTSTVGCGNEQHPSSCSTAKKEGKDEVPPTTIIANGNALEDSVSHEMPRLNSVETEVNPKRTQERHKDDTEAVRLEGNGSVEACKPGCESHEDTNVELDITNKNFWNKNHIQSSEAKYQTSTEDNHKESMNAFGTFKRAGMVDKADNATKLQTDACHPLVLDECDYASSENFDKSSGLSRKKNRKVRLLSELVNKGDAKTDCIRIEDSPSNTLSNISGAGIVSQSQVSVQENARVGFSDNKRRKFPEEEEQGCLEMSHPKSLNKKLKTFRRDEETTNAIAESVLEEDAVARTSLQADEKSNWNRHENERIPAVGKKKIKKCNDFGTCSSLVPPEDNVPIEAVDKVGNSSKGNATKSVSFTLMYDASTGRGIDQIPFPASEAEGKSGICKRKGKMPKVDNGQASLFPWNGMFRKSPKTREDVEIMQTGHPFHLAEDASAEKGLDLSLNSYLAAERCGKKSIPQSEDGFPSLSTWKDGSCKLDVFMRKNVEANYAANLKPSKSISNAFSGKGGHGEFSSKFYTYTMPILNEEKNYTSQIEQGSCSLQQMDISRSRNKEKIVGVQKSSAVPVNRKHSNHKSDKMSQQAAVDDIPMEIVELMAKNQYERCLHDAEHNKNIFENTNKARKAQVMDHSYGIGDLRISEETSQKRKPQARNAKNGITTRKNAGPAKQKSVDYSTYMNGKDFGVSRLDQMHCPTGFSALSQAQKKSTRDQFPAAGYSSCSCAQNCKWNGDMMGPGFSHSSLRTLATSNTCQSIPHPKEEAARLWSSGMPVHLPLTYSNPQKCPTQPSNVDMLLRPPGSLHKGNVNGDYDLNLFNLKSTNREKHTEEVGSGSFSRSNAEYSFSCKRHGSEPHQNAMGSFDLYSNETIPAMHLLSLMDAGMRSGAHFNMGGNPKFLKRPFPNDLYSKEYSAPDIGGYKATDTVKLPSSNCCGTNHHSEKSLDLFPMNPGGASTSSFQHSKGFRRATEFMGQGSLSCLKKEKIQNSNAPAQNRGPGSRKAACTGGGLGKNCGTIPVMQKGFLTVSDPMMSPQNCHTIEYPMLKKLEANNGNGAMNPPKSSSMSLMCSINRNPADFSLPEAGNEYMIRGEDLRVRKRINQSGDRNKRRRNRKQTP >ONI29623 pep chromosome:Prunus_persica_NCBIv2:G1:20914984:20915368:1 gene:PRUPE_1G206300 transcript:ONI29623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDSTTIEKLNTRRSESALFDACILAKELAKMEENKWELINKVWVAQLLGKGGELVTCVWLLMAHFVIGEQFQINEGRARAKLIVKK >ONI28709 pep chromosome:Prunus_persica_NCBIv2:G1:12352148:12356395:-1 gene:PRUPE_1G156300 transcript:ONI28709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFLKKFFPVVYRRTQEQGIDSNYCKYDNQGLQLFTSSLYLAGLTATFAASYTTRKFGRRPSMLIAGVFFIIGTILNGAAQDLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNITIGILFASLVNYGTNKITGGWGWRVSLGLAGLPAGLLTVGALLVVETPNSLVERGLLEEGKAVLRRIRGTDNVEPEFLELVEASRIAKEVKHPFRNLLKRRNRPQLIIAVALQIFQQFTGINAIMFYAPVLFNTLGFGNDAALYSAVITGAVNVVSTVVSIYSVDKVGRRMLLLQAGVQMFLSQVVIAVILGLKVKDHSDDLHKGFAIFVVVLVCTYVAAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCTNLLFTFVIAQGFLSMLCHFKFGIFLFFSGWVLIMSFFVLFLLPETKNIPIEEMTERVWKQHWLWKRFMDDYHIEGNQIAGDDLKKNGHANGFDPISQL >ONI28708 pep chromosome:Prunus_persica_NCBIv2:G1:12352148:12357396:-1 gene:PRUPE_1G156300 transcript:ONI28708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFAASSAGQEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMPPFLKKFFPVVYRRTQEQGIDSNYCKYDNQGLQLFTSSLYLAGLTATFAASYTTRKFGRRPSMLIAGVFFIIGTILNGAAQDLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNITIGILFASLVNYGTNKITGGWGWRVSLGLAGLPAGLLTVGALLVVETPNSLVERGLLEEGKAVLRRIRGTDNVEPEFLELVEASRIAKEVKHPFRNLLKRRNRPQLIIAVALQIFQQFTGINAIMFYAPVLFNTLGFGNDAALYSAVITGAVNVVSTVVSIYSVDKVGRRMLLLQAGVQMFLSQVVIAVILGLKVKDHSDDLHKGFAIFVVVLVCTYVAAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCTNLLFTFVIAQGFLSMLCHFKFGIFLFFSGWVLIMSFFVLFLLPETKNIPIEEMTERVWKQHWLWKRFMDDYHIEGNQIAGDDLKKNGHANGFDPISQL >ONI26658 pep chromosome:Prunus_persica_NCBIv2:G1:2657410:2658362:-1 gene:PRUPE_1G038000 transcript:ONI26658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSKLLVLLFGLVVLITSSLAEYNEKPKPPTPSPIYKPPAPIKKPSPPIVPKPKPPTPSPIYKPPAPIKKPSPPVYNKPSPPIVPKPKPPTLPPRVVRPPPSSPYGKPPSTQPPIVHSPPPTPVTKPLPPPYPKTPTLPPVVKPITPSYPKHPPPIKAPLPPQKPEGKKPPPPPTPYKKKPPPYYNPPQKP >ONI31487 pep chromosome:Prunus_persica_NCBIv2:G1:30502314:30506190:1 gene:PRUPE_1G316100 transcript:ONI31487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTGLQVTRARGEDRFYDPARARRAHQNQKAEQLRRAQSDVTPSQSPSLKGNPNREPENRVGSDDLPKPVAVPAFEPVVNPLSNLERFLQSISPSVPAQYLSKTTMRGLSTCDVEFQPYFVLGDLWESFKEWSAYGAGVPLILNDSDSVVQYYVPYLSGIQIYGHSMKLPTKTRRPDEDSDSEFRDSSSDGSSDYETDRLKYLREQRNHQNLSSEIPLRIERLSLREPHFPPHEDCSSDEGESINSQGCLLFEYFEQDLPYCREPLADKILDLAFHFPELKTLRSCDLLSSSWISVAWYPIYRIPTGPTLKDLDACFLTYHSLFTPMGGVQDDRAPVVTYPSEMDGVPKMSLPVFGLASYKFRGSLWTPNGGFERQLANSLSQAADNFLRVLQVNHPDFVFFSRR >ONI32048 pep chromosome:Prunus_persica_NCBIv2:G1:32366299:32370081:-1 gene:PRUPE_1G346100 transcript:ONI32048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALTRRGGGMTGDEKLEFVTSDGIEPIMSFDKMGLRDDLLRGVYNYGFEKPSAIQQRAVRPIIEGRDVIAQAQSGTGKTSMIALTVCQLVDTSSLEVQALILSPTRELAAQTEKVILAIGNFINIKAHSCIGGKSVGEDIRKLEYGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRYLPPELQVCLISATLPHEILEITNKFMTEPVRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSAMHGDMPQKERDAIMAEFRGGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >ONI34753 pep chromosome:Prunus_persica_NCBIv2:G1:41174930:41176242:1 gene:PRUPE_1G496700 transcript:ONI34753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRKITACDEACKAFRHITLIDGLCEPCEGAMETRWLFSVAFGSMWFGRLGYTFLRGSYGVSRGSYTTAIGYLRSRSLIEVEEIVGKYKKMCLTPLECMRDLLSFVRQMRCGMRGRKRTDLNKDLWTVYDEVLMRRKNHIQTILNCKVFWT >ONI35434 pep chromosome:Prunus_persica_NCBIv2:G1:43792081:43792884:1 gene:PRUPE_1G535800 transcript:ONI35434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFIFFKFWDSMGLNMGNQACSNTKNCSHNLADSNQNSYFAAVSSKLILGVFIFLTPVTPNPRTGMSFYGD >ONI36040 pep chromosome:Prunus_persica_NCBIv2:G1:46224153:46241757:1 gene:PRUPE_1G566400 transcript:ONI36040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVATNKEGHSYDENNDVQKMVFDLGAFVGDLTVEEDASSDDVSLEGLQQELEECKNDDVVANILSKGTKLREYTKGVENNIRQVELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKMTESKLAKFVEDIIVPPKMVDIIVDGEVNDEYMRTLEILSKKLKFVEVDLMVKTSKALKDVQPELEKLRQKAVSKVFDFVVQKLYALRKPKTNIQILQQNVLLKYKYVVSFLKEHGKEIYIEVRGAYIDTMNKVLSAHFRAYIQALEKLQLDIATSSDLIGVETRNTSLFSRGREPLKNRSAVFALGERRKILKEIEEPALIPHIAEASSMKYPYEVLFRSLHKLLMDTATSEYHFCDDFFGEESIFYDIFAGPFSVIDEHFNSILPNCYDAIGVMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNIALWPRFKMVFDLHLNSLRTANVKTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNLERLRMAIDDLLIKLAKSFPRPKLQTVFLINNYDMTIAVLKEADPEGGKIQMHFEELLKSNTALFVEELLLEHFSDLIKFVKTRASEDPSASSEKPITVAEVEPLVKDFGSRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDSIKRIVGGSALNKDLVSISSIMYEIRKYSRTF >ONI36042 pep chromosome:Prunus_persica_NCBIv2:G1:46224153:46241757:1 gene:PRUPE_1G566400 transcript:ONI36042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVATNKEGHSYDENNDVQKMVFDLGAFVGDLTVEEDASSDDVSLEGLQQELEECKNDDVVANILSKGTKLREYTKGVENNIRQVELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKMTESKLAKFVEDIIVPPKMVDIIVDGEVNDEYMRTLEILSKKLKFVEVDLMVKTSKALKDVQPELEKLRQKAVSKVFDFVVQKLYALRKPKTNIQILQQNVLLKYKYVVSFLKEHGKEIYIEVRGAYIDTMNKVLSAHFRAYIQALEKLQLDIATSSDLIGVETRNTSLFSRGREPLKNRSAVFALGERRKILKEIEEPALIPHIAEASSMKYPYEVLFRSLHKLLMDTATSEYHFCDDFFGEESIFYDIFAGPFSVIDEHFNSILPNCYDAIGVMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNIALWPRFKMVFDLHLNSLRTANVKTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNLERLRMAIDDLLIKLAKSFPRPKLQTVFLINNYDMTIAVLKEADPEGGKIQMHFEELLKSNTALFVEELLLEHFSDLIKFVKTRASEDPSASSEKPITVAEVEPLVKDFGSRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDSIKRIVGGSALNKDLVSISSIMYEIRKYSRTF >ONI36041 pep chromosome:Prunus_persica_NCBIv2:G1:46224153:46241757:1 gene:PRUPE_1G566400 transcript:ONI36041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVATNKEGHSYDENNDVQKMVFDLGAFVGDLTVEEDASSDDVSLEGLQQELEECKNDDVVANILSKGTKLREYTKGVENNIRQVELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKMTESKLAKFVEDIIVPPKMVDIIVDGEVNDEYMRTLEILSKKLKFVEVDLMVKTSKALKDVQPELEKLRQKAVSKVFDFVVQKLYALRKPKTNIQILQQNVLLKYKYVVSFLKEHGKEIYIEVRGAYIDTMNKVLSAHFRAYIQALEKLQLDIATSSDLIGVETRNTSLFSRGREPLKNRSAVFALGERRKILKEIEEPALIPHIAEASSMKYPYEVLFRSLHKLLMDTATSEYHFCDDFFGEESIFYDIFAGPFSVIDEHFNSILPNCYDAIGVMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNIALWPRFKMVFDLHLNSLRTANVKTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNLERLRMAIDDLLIKLAKSFPRPKLQTVFLINNYDMTIAVLKEADPEGGKIQMHFEELLKSNTALFVEELLLEHFSDLIKFVKTRASEDPSASSEKPITVAEVEPLVKDFGSRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDSIKRIVGGSALNKDLVSISSIMYEIRKYSRTF >ONI32467 pep chromosome:Prunus_persica_NCBIv2:G1:33748957:33749536:-1 gene:PRUPE_1G369500 transcript:ONI32467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNKKGYAWAISAGLNAALAAVSAKLITPQIVRYGLVILFNATMWGCYVNSLKALSSLQATVTNFAANFLSSGLAGYFLFQEPLSFQQRMTLLNGGFHSGFGALQM >ONI32466 pep chromosome:Prunus_persica_NCBIv2:G1:33747610:33749616:-1 gene:PRUPE_1G369500 transcript:ONI32466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNKKGYAWAISAGLNAALAAVSAKLITPQIVRYGLVILFNATMWGCYVNSLKALSSLQATVTNFAANFLSSGLAGYFLFQEPLSFQVPCSL >ONI32463 pep chromosome:Prunus_persica_NCBIv2:G1:33747610:33749646:-1 gene:PRUPE_1G369500 transcript:ONI32463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNKKGYAWAISAGLNAALAAVSAKLITPQIVRYGLVILFNATMWGCYVNSLKALSSLQATVTNFAANFLSSGLAGYFLFQEPLSFQFKFCVGIMLCQSSPHHKRRNRITHIHWPCHISPFTTRADEPMKINDDGRISMPRHIELDGTFWVNSKRKSSCFSLGDIKQ >ONI32464 pep chromosome:Prunus_persica_NCBIv2:G1:33748780:33749536:-1 gene:PRUPE_1G369500 transcript:ONI32464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNKKGYAWAISAGLNAALAAVSAKLITPQIVRYGLVILFNATMWGCYVNSLKALSSLQATVTNFAANFLSSGLAGYFLFQEPLSFQWFAGALLIVVGSFVLSKSSIEKKAHTH >ONI32465 pep chromosome:Prunus_persica_NCBIv2:G1:33748817:33749536:-1 gene:PRUPE_1G369500 transcript:ONI32465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNKKGYAWAISAGLNAALAAVSAKLITPQIVRYGLVILFNATMWGCYVNSLKALSSLQATVTNFAANFLSSGLAGYFLFQEPLSFQACLTVVCRCPAHCSWFIRT >ONI26087 pep chromosome:Prunus_persica_NCBIv2:G1:333102:340316:1 gene:PRUPE_1G003100 transcript:ONI26087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATLLLTPTPGATVFKSLFPPSNGPHHLRPQFSIRASISETAQSPPSITVDSPPLTQKLNKFSSRITEPKSQGGSQAILHGVGLSEDDLSKPQIGISSVWYEGNTCNMHLLGLSEAVKEGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEYVSGSISDEQRKNVLLNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDPLKLDECRLAGKYLLELLKMDLKPRDIITPKSLHNAMVIVMALGGSTNAVLHLIAIARSVGLELSLDDFQKVSDKVPFLADLKPSGKYVMEDVHKIGGTPAIIRYLLEHQFLHGDCMTVTGRTLAENAESFLPLSIGQDIIRPLENPIKKTGHLQILYGNLAPKGSVAKITGKEGLYFSGPALVFEGEESMIAAISENPMSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKVALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLIENEDIINVDVENKRIDVQLTDQEMEKRRKNWIPPAYKANRGILYKYIKNVQSASKGCVTDE >ONI26086 pep chromosome:Prunus_persica_NCBIv2:G1:332962:340663:1 gene:PRUPE_1G003100 transcript:ONI26086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATLLLTPTPGATVFKSLFPPSNGPHHLRPQFSIRASISETAQSPPSITVDSPPLTQKLNKFSSRITEPKSQGGSQAILHGVGLSEDDLSKPQIGISSVWYEGNTCNMHLLGLSEAVKEGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEYVSGSISDEQRKNVLLNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDPLKLDECRLAGKYLLELLKMDLKPRDIITPKSLHNAMVIVMALGGSTNAVLHLIAIARSVGLELSLDDFQKVSDKVPFLADLKPSGKYVMEDVHKIGGTPAIIRYLLEHQFLHGDCMTVTGRTLAENAESFLPLSIGQDIIRPLENPIKKTGHLQILYGNLAPKGSVAKITGKEGLYFSGPALVFEGEESMIAAISENPMSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKEVALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLIENEDIINVDVENKRIDVQLTDQEMEKRRKNWIPPAYKANRGILYKYIKNVQSASKGCVTDE >ONI34110 pep chromosome:Prunus_persica_NCBIv2:G1:38663602:38667656:-1 gene:PRUPE_1G463200 transcript:ONI34110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTPRGSGTNGYIQTNKFFIKSRTGKVADSSRGFDDDQGMGGVMKKANRDILEHDRKRQIQLKLVVLEDKLIDQGFTDTEIAEKLEEARKTLEAAAASEELGGSTAVVISDKKVSDTQTHQIAARKEKQMETLRAALGLASSDLTEKDTDEVDDGLKSSRKNGPNDDGRPQERSEHAFLDRDYSRKKHGEEKQKAEKDDKKKSVKESRRNKKEESRKKRHKYDSSDTDNSGSPPKADKKKKRRGTSSSDEDDSEVDVGKKHKVAKKHNKRRNENTDDSDSATSDDDAKDSSRKKVDKFKKPHRRHDSDEDDSEVDGRKKQKVVKKHNKSRKNDTDDSDSATSDDGAEGRSRKEVEKSKQPRRRHDSDEDSGSDKDFSKSRAEKEKLHVKPSRRHDSGDESDSDSRMERKKSQVEKQRNQHGSRKVERDNFVVEDDNKGNNVRSGKSRKNYDVDDESDYERSRKSRGAITEKSRGSGRIDTDDDVTVEREDRVHSKDSEPQWVSRRGNQDYEERGGGRSRSKDDQEHKGRKHGRDEEDHGIRGRHNKEEENRGRKHGRDEEDHEYRKHRENHEEEHGNRKLGKMEEEQGNKDIDRDQVMDYKRAKYDDARSNERRRHENDKHNEEDPKYRRRVEDVEEERGNRRHGKIDEERGSKETQREKRRHGNDKHIEEDRKYRRHAEDIEEERGNRRYGKVDEERGSKETERVRRRHENDKHNEDDRIYRRHGEDVEEERGIRRHGNIDEEGGSKEPERDRHLDYKRARSDDSRRHGNIDEEGGSKETERDRHLDYKRARYDDSRSSERRRYENDKHNDGRSRNRD >ONI34112 pep chromosome:Prunus_persica_NCBIv2:G1:38663603:38667656:-1 gene:PRUPE_1G463200 transcript:ONI34112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTPRGSGTNGYIQTNKFFIKSRTGKVADSSRGFDDDQGMGGVMKKANRDILEHDRKRQIQLKLVVLEDKLIDQGFTDTEIAEKLEEARKTLEAAAASEELGGSTAVVISDKKVSDTQTHQIAARKEKQMETLRAALGLASSDLTEKDTDEVDDGLKSSRKNGPNDDGRPQERSEHAFLDRDYSRKKHGEEKQKAEKDDKKKSVKESRRNKKEESRKKRHKYDSSDTDNSGSPPKADKKKKRRGTSSSDEDDSEVDVGKKHKVAKKHNKRRNENTDDSDSATSDDDAKDSSRKKVDKFKKPHRRHDSDEDDSEVDGRKKQKVVKKHNKSRKNDTDDSDSATSDDGAEGRSRKEVEKSKQPRRRHDSDEDSGSDKDFSKSRAEKEKLHVKPSRRHDSGDESDSDSRMERKKSQVEKQRNQHGSRKVERDNFVVEDDNKGNNVRSGKSRKNYDVDDESDYERSRKSRGAITEKSRGSGRIDTDDDVTVEREDRVHSKDSEPQWVSRRGNQDYEERGGGRSRSKDDQEHKGRKHGRDEEDHGIRGRHNKEEENRGRKHGRDEEDHEYRKHRENHEEEHGNRKLGKMEEEQGNKDIDRDQVMDYKRAKYDDARSNERRRHENDKHNEEDPKYRRRVEDVEEERGNRRHGKIDEERGSKETQREKRRHGNDKHIEEDRKYRRHAEDIEEERGNRRYGKVDEERGSKETERVRRRHENDKHNEDDRIYRRHGEDVEEERGIRRHGNIDEEGGSKEPERDRHLDYKRARSDDSRRHGNIDEEGGSKETERDRHLDYKRARYDDSRSSERRRYENDKHNDGRSRNRD >ONI34109 pep chromosome:Prunus_persica_NCBIv2:G1:38663603:38667693:-1 gene:PRUPE_1G463200 transcript:ONI34109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTPRGSGTNGYIQTNKFFIKSRTGKVADSSRGFDDDQGMGGVMKKANRDILEHDRKRQIQLKLVVLEDKLIDQGFTDTEIAEKLEEARKTLEAAAASEELGGSTAVVISDKKVSDTQTHQIAARKEKQMETLRAALGLASSDLTEKDTDEVDDGLKSSRKNGPNDDGRPQERSEHAFLDRDYSRKKHGEEKQKAEKDDKKKSVKESRRNKKEESRKKRHKYDSSDTDNSGSPPKADKKKKRRGTSSSDEDDSEVDVGKKHKVAKKHNKRRNENTDDSDSATSDDDAKDSSRKKVDKFKKPHRRHDSDEDDSEVDGRKKQKVVKKHNKSRKNDTDDSDSATSDDGAEGRSRKEVEKSKQPRRRHDSDEDSGSDKDFSKSRAEKEKLHVKPSRRHDSGDESDSDSRMERKKSQVEKQRNQHGSRKVERDNFVVEDDNKGNNVRSGKSRKNYDVDDESDYERSRKSRGAITEKSRGSGRIDTDDDVTVEREDRVHSKDSEPQWVSRRGNQDYEERGGGRSRSKDDQEHKGRKHGRDEEDHGIRGRHNKEEENRGRKHGRDEEDHEYRKHRENHEEEHGNRKLGKMEEEQGNKDIDRDQVMDYKRAKYDDARSNERRRHENDKHNEEDPKYRRRVEDVEEERGNRRHGKIDEERGSKETQREKRRHGNDKHIEEDRKYRRHAEDIEEERGNRRYGKVDEERGSKETERVRRRHENDKHNEDDRIYRRHGEDVEEERGIRRHGNIDEEGGSKEPERDRHLDYKRARSDDSRRHGNIDEEGGSKETERDRHLDYKRARYDDSRSSERRRYENDKHNDGRSRNRD >ONI34111 pep chromosome:Prunus_persica_NCBIv2:G1:38663603:38667684:-1 gene:PRUPE_1G463200 transcript:ONI34111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTPRGSGTNGYIQTNKFFIKSRTGKVADSSRGFDDDQGMGGVMKKANRDILEHDRKRQIQLKLVVLEDKLIDQGFTDTEIAEKLEEARKTLEAAAASEELGGSTAVVISDKKVSDTQTHQIAARKEKQMETLRAALGLASSDLTEKDTDEVDDGLKSSRKNGPNDDGRPQERSEHAFLDRDYSRKKHGEEKQKAEKDDKKKSVKESRRNKKEESRKKRHKYDSSDTDNSGSPPKADKKKKRRGTSSSDEDDSEVDVGKKHKVAKKHNKRRNENTDDSDSATSDDDAKDSSRKKVDKFKKPHRRHDSDEDDSEVDGRKKQKVVKKHNKSRKNDTDDSDSATSDDGAEGRSRKEVEKSKQPRRRHDSDEDSGSDKDFSKSRAEKEKLHVKPSRRHDSGDESDSDSRMERKKSQVEKQRNQHGSRKVERDNFVVEDDNKGNNVRSGKSRKNYDVDDESDYERSRKSRGAITEKSRGSGRIDTDDDVTVEREDRVHSKDSEPQWVSRRGNQDYEERGGGRSRSKDDQEHKGRKHGRDEEDHGIRGRHNKEEENRGRKHGRDEEDHEYRKHRENHEEEHGNRKLGKMEEEQGNKDIDRDQVMDYKRAKYDDARSNERRRHENDKHNEEDPKYRRRVEDVEEERGNRRHGKIDEERGSKETQREKRRHGNDKHIEEDRKYRRHAEDIEEERGNRRYGKVDEERGSKETERVRRRHENDKHNEDDRIYRRHGEDVEEERGIRRHGNIDEEGGSKEPERDRHLDYKRARSDDSRRHGNIDEEGGSKETERDRHLDYKRARYDDSRSSERRRYENDKHNDGRSRNRD >ONI34113 pep chromosome:Prunus_persica_NCBIv2:G1:38663603:38667656:-1 gene:PRUPE_1G463200 transcript:ONI34113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLRAALGLASSDLTEKDTDEVDDGLKSSRKNGPNDDGRPQERSEHAFLDRDYSRKKHGEEKQKAEKDDKKKSVKESRRNKKEESRKKRHKYDSSDTDNSGSPPKADKKKKRRGTSSSDEDDSEVDVGKKHKVAKKHNKRRNENTDDSDSATSDDDAKDSSRKKVDKFKKPHRRHDSDEDDSEVDGRKKQKVVKKHNKSRKNDTDDSDSATSDDGAEGRSRKEVEKSKQPRRRHDSDEDSGSDKDFSKSRAEKEKLHVKPSRRHDSGDESDSDSRMERKKSQVEKQRNQHGSRKVERDNFVVEDDNKGNNVRSGKSRKNYDVDDESDYERSRKSRGAITEKSRGSGRIDTDDDVTVEREDRVHSKDSEPQWVSRRGNQDYEERGGGRSRSKDDQEHKGRKHGRDEEDHGIRGRHNKEEENRGRKHGRDEEDHEYRKHRENHEEEHGNRKLGKMEEEQGNKDIDRDQVMDYKRAKYDDARSNERRRHENDKHNEEDPKYRRRVEDVEEERGNRRHGKIDEERGSKETQREKRRHGNDKHIEEDRKYRRHAEDIEEERGNRRYGKVDEERGSKETERVRRRHENDKHNEDDRIYRRHGEDVEEERGIRRHGNIDEEGGSKEPERDRHLDYKRARSDDSRRHGNIDEEGGSKETERDRHLDYKRARYDDSRSSERRRYENDKHNDGRSRNRD >ONI27849 pep chromosome:Prunus_persica_NCBIv2:G1:8656701:8659229:-1 gene:PRUPE_1G108100 transcript:ONI27849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDLDLGALNHAMSSAQISKDKEPAAPSYYSNEDDAGGVQITCFTEVVNDTTFHFQIMRLPKQIYAWIGCNSAKLGHLYAAAPMRPNSTVGVTSILGGASDNTGSSIARRLVLKTGLNIILACNIPKNSPMIEADAEKLLVLKLISLGYTRPKSEGLSS >ONI27169 pep chromosome:Prunus_persica_NCBIv2:G1:5100318:5105347:-1 gene:PRUPE_1G071900 transcript:ONI27169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNALSILPACPPKSTRLSTLFTLGFVMAFSTGLANVSLKYNSVGFYQMSKIAVTPSIVLAEFLLYNKRVSFSKVLALTVVSFGVAVATVTDLQFHLFGACVALAWIIPSAVNKILWSSLQQQENWTALALMWKTTPITLFFLVALIPWLDPPGALSFNWNFNNTLAILLSAILGFLLQWSGALALGATSAVTHVVLGQFKTCVILLGNYYLFSSNPGKTSISGAFTAIAGMSIYTYLNLKQQSSKAPRLASSLPKSKLSKENGSIHEGNFGSESV >ONI27168 pep chromosome:Prunus_persica_NCBIv2:G1:5100318:5105347:-1 gene:PRUPE_1G071900 transcript:ONI27168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPCYCSYIQFCNCCWYNFREQIALAWIIPSAVNKILWSSLQQQENWTALALMWKTTPITLFFLVALIPWLDPPGALSFNWNFNNTLAILLSAILGFLLQWSGALALGATSAVTHVVLGQFKTCVILLGNYYLFSSNPGKTSISGAFTAIAGMSIYTYLNLKQQSSKAPRLASSLPKSKLSKENGSIHEGNFGSESV >ONI27167 pep chromosome:Prunus_persica_NCBIv2:G1:5100594:5104980:-1 gene:PRUPE_1G071900 transcript:ONI27167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFLVRKDVKKILKRKDSDAGERGRALEDLRAALFNEFRSSEGAKRQQQQLCGPATALTFNFVIAVGIIFVNKLVLKVVGFQFPIFLTFIHYVVSWFLMAVLNALSILPACPPKSTRLSTLFTLGFVMAFSTGLANVSLKYNSVGFYQMSKIAVTPSIVLAEFLLYNKRVSFSKVLALTVVSFGVAVATVTDLQFHLFGACVALAWIIPSAVNKILWSSLQQQENWTALALMWKTTPITLFFLVALIPWLDPPGALSFNWNFNNTLAILLSAILGFLLQWSGALALGATSAVTHVVLGQFKTCVILLGNYYLFSSNPGKTSISGAFTAIAGMSIYTYLNLKQQSSKAPRLASSLPKSKLSKENGSIHEGNFGSESV >ONI27166 pep chromosome:Prunus_persica_NCBIv2:G1:5100594:5104980:-1 gene:PRUPE_1G071900 transcript:ONI27166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFLVRKDVKKILKRKDSDAGERGRALEDLRAALFNEFRSSEGAKRQQQQLCGPATALTFNFVIAVGIIFVNKLVLALTVVSFGVAVATVTDLQFHLFGACVALAWIIPSAVNKILWSSLQQQENWTALALMWKTTPITLFFLVALIPWLDPPGALSFNWNFNNTLAILLSAILGFLLQWSGALALGATSAVTHVVLGQFKTCVILLGNYYLFSSNPGKTSISGAFTAIAGMSIYTYLNLKQQSSKAPRLASSLPKSKLSKENGSIHEGNFGSESV >ONI28831 pep chromosome:Prunus_persica_NCBIv2:G1:13194088:13202694:-1 gene:PRUPE_1G163800 transcript:ONI28831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLTLAEGILTKVISLAAQEISLAWGFRAELERLRKTLSTVEGYLGDVVHQPQGRGKSIEDWVTNLKGVAQDADDVLDEINYELLRRKVELQNHMKKKVLNFFSLSSPVAFSFKMAHKIQKINASLADLKSEASVIGLVSRKVDAASQGIRGSIQTDSLPEKDGMIVGREKALSSIVTTLTNSNNNQENLYVTAIVGMAGLGKTTLAKSVYNEQSIDRYFDKKIWVCVSDPFNINVILICMLESLNPTKATVRENVDALLKYLQEELKEKRYLLVLDDVWNEDPRKWNNLMSYLAKLNSVRGSNIIVTTRSAKVASISEKLLPRHNLANLSTDECWSILKDRAFVDSRVPMDPDLEKIGKEIAENCAGVPLMAKVLGGILFSKKSIAEWSSIKDSRIWDLPEEDDRIIPVLKLSYDHLKSPSLKQCFTYCSVLRKYVEIERDNLIQLWMAQGLLHPSPDKSKEMEDIGNEYFDILLQSSLFQDARTDEYGIISKCKMHDLVHDLAELVSKSETSTQDKYGHTLEVRHVALVSTSILESIRERFKALRVLNLFKANIEELPISIGKLKHLRYLDISETRFKILPNSIGKLYNLQTLRATNCALEEFPKEVENLINLRHIYWDERTKFPLGILGKLSCLRTLPPFYVDTEMGREIEELAGLNQLKGQLIIYNMEHVRDRDEAGKAKLEEKKNLRHLIFVWTKDRPTTNNNEEDALEGLQPHPKLESLMIKYFMGAKFPSWMTSRSLWLDNLKKIVLCGCNKCEEVPTLGHLPHLTVVWIDGMNNLKCVGADFYGYNNVYHVATSGTRKRALFPVLKELCIRNCNELIEWMEAPKEVMAFPCLEELEINNCPKLRKAPSHFPFLKKLKIKGILLKNGNNLISIEIRDCNKLTCIALDVFSCCASLGKLDVRNCRQLRHLPDGLDTLPLLERLTIKECPSLELIPIRHSGIASLRELKIEHCEGLSGLLSVLEYCTSLQVMSIENCKNLTSIQLRTLASLCKLRIGCCPGLSGRLSGLEYCASLQELWIWDCSNLTSIGITYDMSLTCLQEMTIVSCDELSSLPALQQCPSLRKLHITNCPKVTSISSISLHDNDHDFKCAAVAAALSISHENLLQSTILSSLEHLSICDCPSLQSVPDLHSFTSLRALVVIGCGRLQRLVSGLDLQSIPDLQNFTSLRRLSIRDCKRLERLVCSGLQMPVSLGELCVVNCISLQSIPDLHIFPSLRRLTIENCETLERLVSSGLQMPVSLVELKIREAPNLETLPSLDNFTSLSTLKKLELGGFWDGLDSFPGFHVGTGSSQLETLILTGWPKLKSLPEQIQYFTSLTYLWIECFDGMEAFPEWLGNLTSLTRLEIWNFKNLMYLPSVNTMQRLTKLQTLQISGCPLLKQRCTKDSGPEWTKISHIPDIKDFGVATVYSISQKSFLKHFSKE >ONI35956 pep chromosome:Prunus_persica_NCBIv2:G1:45963986:45970682:1 gene:PRUPE_1G562600 transcript:ONI35956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTIQMRATRTRRRNNGIFLTLSLLLLLSLFANPTNASIHIYDNQTFREVGNAYLLSGGSEGIAASLSTGSYIRFEKITFWRSKDAADKHSDMEHSTGLIQVIIFEAADRNNIGGSAYGGQRAICCTPDLAKMEGCKQGEVIRRPSAIDINWPIVLNVQFRGNYLYANMDYKEVSIPKTGMYNLFFVACDPKLKGLVMSGKTLWKNPDGYLPGRMAPLMKFYVFMSLAYVVLGIIWFTQYVRFWKDVLQLQHCITLVIALGLFEMILWYVDYVNFNNTGMRPIVVTTLVVTVGAVRKTVSRLLILIVSMGYGVVRPTLGGLTSKVLLLGTTFFLATELLNITEYVGTINDVSGRARLFLVLPDAFLDAFLILWIFTSLSRTLEQLQAKRSSVKLDMYRKFSNALAVTVIASVAWIVYEVYFKATDPFNEKWQSAWIITAFWDILAFALLCVICYLWAPSQSSQRYAYSEEVGEESDDEESQSLTRGKPEGDIGLVKQENGGSTEDLDEDDSEEDKRE >ONI36456 pep chromosome:Prunus_persica_NCBIv2:G1:47556530:47561573:-1 gene:PRUPE_1G585800 transcript:ONI36456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSLRYRFSSSGSRSSLIRIMTATASVSATNPTHTHSSVLPNHHLQRHKWEGPIGGGRGSGGEYDQIRADVNCPRCSKQMAVLFSTRPLSITGRETGLYQALNLCPNCKTAFYFRPLKLVPLHGTFIEIGRLKPKDDTRANSFHAKPEASCAVDSSPAATDLPTPKEIYKALDDFVVGQERAKKVLSVAVYNHYKRIHHASLQTRSGAESADSKLQGVLDSDSVELEKSNVLLMGPTGSGKTLLAKTLARVVNVPFAIADSTTLTQAGYVGEDVESILYRLLMEADFDVEAAQKGIVYIDEVDKITKKAESLSRDVSGEGVQQALLKMLEGTVVNIPDKGARKHPRGDSIQMDTRNILFICGGAFVGLEKAISERLQDSSIGFGAPVRANMRTSELTDAISASALLESVETGDLIAYGLIPEFVGRFPILVSLSSLNEDQLVQVLTEPKNALGKQYKKMFNMNNVKLHFTDNALRLIAKKAMAKNTGARGLRAILENILTDAMFEDVFIFTGAYWVGTLYTLTIEGSS >ONI36447 pep chromosome:Prunus_persica_NCBIv2:G1:47555081:47561674:-1 gene:PRUPE_1G585800 transcript:ONI36447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSLRYRFSSSGSRSSLIRIMTATASVSATNPTHTHSSVLPNHHLQRHKWEGPIGGGRGSGGEYDQIRADVNCPRCSKQMAVLFSTRPLSITGRETGLYQALNLCPNCKTAFYFRPLKLVPLHGTFIEIGRLKPKDDTRANSFHAKPEASCAVDSSPAATDLPTPKEIYKALDDFVVGQERAKKVLSVAVYNHYKRIHHASLQTSDSVELEKSNVLLMGPTGSGKTLLAKTLARVVNVPFAIADSTTLTQAGYVGEDVESILYRLLMEADFDVEAAQKGIVYIDEVDKITKKAESLSRDVSGEGVQQALLKMLEGTMDTRNILFICGGAFVGLEKAISERLQDSSIGFGAPVRANMRTSELTDAISASALLESVETGDLIAYGLIPEFVGRFPILVSLSSLNEDQLVQVLTEPKNALGKQYKKMFNMNNVKLHFTDNALRLIAKKAMAKNTGARGLRAILENILTDAMFEVPNIKPETNGVCAVLVDEEAVGSVDAPGLGAKILSGDGALEQFLHETKSGEHMGKGGVDGRGECLQHVLKLQSRAMVVGACSCASG >ONI36454 pep chromosome:Prunus_persica_NCBIv2:G1:47555081:47561641:-1 gene:PRUPE_1G585800 transcript:ONI36454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSRLVGLSLRMTPAPIPFMQNQRPPALLIRLRQPRTCPPRRKFIRRLMTLLSARKEPKRCFPLQFTTTTKGYITLPCRLESADSKLQGVLDSDSVELEKSNVLLMGPTGSGKTLLAKTLARVVNVPFAIADSTTLTQAGYVGEDVESILYRLLMEADFDVEAAQKGIVYIDEVDKITKKAESLSRDVSGEGVQQALLKMLEGTVVNIPDKGARKHPRGDSIQMDTRNILFICGGAFVGLEKAISERLQDSSIGFGAPVRANMRTSELTDAISASALLESVETGDLIAYGLIPEFVGRFPILVSLSSLNEDQLVQVLTEPKNALGKQYKKMFNMNNVKLHFTDNALRLIAKKAMAKNTGARGLRAILENILTDAMFEVPNIKPETNGVCAVLVDEEAVGSVDAPGLGAKILSGDGALEQFLHETKSGEHMGKGGVDGRGECLQHVLKLQSRAMVVGACSCASG >ONI36457 pep chromosome:Prunus_persica_NCBIv2:G1:47556530:47561573:-1 gene:PRUPE_1G585800 transcript:ONI36457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSLRYRFSSSGSRSSLIRIMTATASVSATNPTHTHSSVLPNHHLQRHKWEGPIGGGRGSGGEYDQIRADVNCPRCSKQMAVLFSTRPLSITGRETGLYQALNLCPNCKTAFYFRPLKLVPLHGTFIEIGRLKPKDDTRANSFHAKPEASCAVDSSPAATDLPTPKEIYKALDDFVVGQERAKKVLSVAVYNHYKRIHHASLQTRSGAESADSKLQGVLDSDSVELEKSNVLLMGPTGSGKTLLAKTLARVVNVPFAIADSTTLTQAGYVGEDVESILYRLLMEADFDVEAAQKGIVYIDEVDKITKKAESLSRDVSGEGVQQALLKMLEGTVVNIPDKGARKHPRGDSIQMDTRNILFICGGAFVGLEKAISERLQDSSIGFGAPVRANMRTSELTDAISASALLESVETGDLIAYGLIPEFVGRFPILVSLSSLNEDQLVQVLTEPKNALGKQYKKMFNMNNVKLHFTDNALRLIAKKAMAKNTGARGLRAILENILTDAMFEDVFIFTGAYWVGTLYTLTIEGSS >ONI36455 pep chromosome:Prunus_persica_NCBIv2:G1:47555081:47561641:-1 gene:PRUPE_1G585800 transcript:ONI36455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSLRYRFSSSGSRSSLIRIMTATASVSATNPTHTHSSVLPNHHLQRHKWEGPIGGGRGSGGEYDQIRADVNCPRCSKQMAVLFSTRPLSITGRETGLYQALNLCPNCKTAFYFRPLKLVPLHGTFIEIGRLKPKDDTRANSFHAKPEASCAVDSSPAATDLPTPKEIYKALDDFVVGQERAKKVLSVAVYNHYKRIHHASLQTRSGAESADSKLQGVLDSDSVELEKSNVLLMGPTGSGKTLLAKTLARVVNVPFAIADSTTLTQAGYVGEDVESILYRLLMEADFDVEAAQKGIVYIDEVDKITKKAESLSRDVSGEGVQQALLKMLEGTMDTRNILFICGGAFVGLEKAISERLQDSSIGFGAPVRANMRTSELTDAISASALLESVETGDLIAYGLIPEFVGRFPILVSLSSLNEDQLVQVLTEPKNALGKQYKKMFNMNNVKLHFTDNALRLIAKKAMAKNTGARGLRAILENILTDAMFEDVFIFTGAYWVGTLYTLTIEGSS >ONI36449 pep chromosome:Prunus_persica_NCBIv2:G1:47555081:47561673:-1 gene:PRUPE_1G585800 transcript:ONI36449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSLRYRFSSSGSRSSLIRIMTATASVSATNPTHTHSSVLPNHHLQRHKWEGPIGGGRGSGGEYDQIRADVNCPRCSKQMAVLFSTRPLSITGRETGLYQALNLCPNCKTAFYFRPLKLVPLHGTFIEIGRLKPKDDTRANSFHAKPEASCAVDSSPAATDLPTPKEIYKALDDFVVGQERAKKVLSVAVYNHYKRIHHASLQTRSGAESADSKLQGVLDSDSVELEKSNVLLMGPTGSGKTLLAKTLARVVNVPFAIADSTTLTQAGYVGEDVESILYRLLMEADFDVEAAQKGIVYIDEVDKITKKAESLSRDVSGEGVQQALLKMLEGTMDTRNILFICGGAFVGLEKAISERLQDSSIGFGAPVRANMRTSELTDAISASALLESVETGDLIAYGLIPEFVGRFPILVSLSSLNEDQLVQVLTEPKNALGKQYKKMFNMNNVKLHFTDNALRLIAKKAMAKNTGARGLRAILENILTDAMFEVPNIKPETNGVCAVLVDEEAVGSVDAPGLGAKILSGDGALEQFLHETKSGEHMGKGGVDGRGECLQHVLKLQSRAMVVGACSCASG >ONI36450 pep chromosome:Prunus_persica_NCBIv2:G1:47555081:47561663:-1 gene:PRUPE_1G585800 transcript:ONI36450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSLRYRFSSSGSRSSLIRIMTATASVSATNPTHTHSSVLPNHHLQRHKWEGPIGGGRGSGGEYDQIRADVNCPRCSKQMAVLFSTRPLSITGRETGLYQALNLCPNCKTAFYFRPLKLVPLHGTFIEIGRLKPKDDTRANSFHAKPEASCAVDSSPAATDLPTPKEIYKALDDFVVGQERAKKVLSVAVYNHYKRIHHASLQTRSGAESADSKLQGVLDSDSVELEKSNVLLMGPTGSGKTLLAKTLARVVNVPFAIADSTTLTQAGYVGEDVESILYRLLMEADFDVEAAQKGIVYIDEVDKITKKAESLSRDVSGEGVQQALLKMLEGTVVNIPDKGARKHPRGDSIQMDTRNILFICGGAFVGLEKAISERLQDSSIGFGAPVRANMRTSELTDAISASALLESVETGDLIAYGLIPEFVGRFPILVSLSSLNEDQLVQVPNIKPETNGVCAVLVDEEAVGSVDAPGLGAKILSGDGALEQFLHETKSGEHMGKGGVDGRGECLQHVLKLQSRAMVVGACSCASG >ONI36452 pep chromosome:Prunus_persica_NCBIv2:G1:47555052:47561676:-1 gene:PRUPE_1G585800 transcript:ONI36452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSLRYRFSSSGSRSSLIRIMTATASVSATNPTHTHSSVLPNHHLQRHKWEGPIGGGRGSGGEYDQIRADVNCPRCSKQMAVLFSTRPLSITGRETGLYQALNLCPNCKTAFYFRPLKLVPLHGTFIEIGRLKPKDDTRANSFHAKPEASCAVDSSPAATDLPTPKEIYKALDDFVVGQERAKKVLSVAVYNHYKRIHHASLQTRSGAESADSKLQGVLDSDSVELEKSNVLLMGPTGSGKTLLAKTLARVVNVPFAIADSTTLTQAGYVGEDVESILYRLLMEADFDVEAAQKGIVYIDEVDKITKKAESLSRDVSGEGVQQALLKMLEGTVVNIPDKGARKHPRGDSIQMDTRNILFICGGAFVGLEKAISERLQDSSIGFGAPVRANMRTSELTDAISASALLESVETGDLIAYGLIPEFVGRFPILVSLSSLNEDQLVQVLTEPKNALGKQYKKMFNMNNVKLHFTDNALRLIAKKAMAKNTGARGLRAILENILTDAMFEVPNIKPETNGVCAVLVDEEAVGSVDAPGLGAKILSGDGALEQFLHETKSGEHMGKGGVDGRGECLQHVLKLQSRAMVVGACSCASG >ONI36451 pep chromosome:Prunus_persica_NCBIv2:G1:47555081:47561670:-1 gene:PRUPE_1G585800 transcript:ONI36451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSLRYRFSSSGSRSSLIRIMTATASVSATNPTHTHSSVLPNHHLQRHKWEGPIGGGRGSGGEYDQIRADVNCPRCSKQMAVLFSTRPLSITGRETGLYQALNLCPNCKTAFYFRPLKLVPLHGTFIEIGRLKPKDDTRANSFHAKPEASCAVDSSPAATDLPTPKEIYKALDDFVVGQERAKKVLSVAVYNHYKRIHHASLQTRSGAESADSKLQGVLDSDSVELEKSNVLLMGPTGSGKTLLAKTLARVVNVPFAIADSTTLTQAGYVGEDVESILYRLLMEADFDVEAAQKGIVYIDEVDKITKKAESLSRDVSGEGVQQALLKMLEGTVVNIPDKGARKHPRGDSIQMDTRNILFICGGAFVGLEKAISERLQDSSIGFGAPVRANMRTSELTDAISASALLESVETGDLIAYGLIPEFVGRFPILVSLSSLNEDQLVQVKLHFTDNALRLIAKKAMAKNTGARGLRAILENILTDAMFEVPNIKPETNGVCAVLVDEEAVGSVDAPGLGAKILSGDGALEQFLHETKSGEHMGKGGVDGRGECLQHVLKLQSRAMVVGACSCASG >ONI36448 pep chromosome:Prunus_persica_NCBIv2:G1:47555051:47561664:-1 gene:PRUPE_1G585800 transcript:ONI36448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSLRYRFSSSGSRSSLIRIMTATASVSATNPTHTHSSVLPNHHLQRHKWEGPIGGGRGSGGEYDQIRADVNCPRCSKQMAVLFSTRPLSITGRETGLYQALNLCPNCKTAFYFRPLKLVPLHGTFIEIGRLKPKDDTRANSFHAKPEASCAVDSSPAATDLPTPKEIYKALDDFVVGQERAKKVLSVAVYNHYKRIHHASLQTSDSVELEKSNVLLMGPTGSGKTLLAKTLARVVNVPFAIADSTTLTQAGYVGEDVESILYRLLMEADFDVEAAQKGIVYIDEVDKITKKAESLSRDVSGEGVQQALLKMLEGTVVNIPDKGARKHPRGDSIQMDTRNILFICGGAFVGLEKAISERLQDSSIGFGAPVRANMRTSELTDAISASALLESVETGDLIAYGLIPEFVGRFPILVSLSSLNEDQLVQVLTEPKNALGKQYKKMFNMNNVKLHFTDNALRLIAKKAMAKNTGARGLRAILENILTDAMFEVPNIKPETNGVCAVLVDEEAVGSVDAPGLGAKILSGDGALEQFLHETKSGEHMGKGGVDGRGECLQHVLKLQSRAMVVGACSCASG >ONI36453 pep chromosome:Prunus_persica_NCBIv2:G1:47555081:47561665:-1 gene:PRUPE_1G585800 transcript:ONI36453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSRLVGLSLRMTPAPIPFMQNQRPPALLIRLRQPRTCPPRRKFIRRLMTLLSARKEPKRCFPLQFTTTTKGYITLPCRLESADSKLQGVLDSDSVELEKSNVLLMGPTGSGKTLLAKTLARVVNVPFAIADSTTLTQAGYVGEDVESILYRLLMEADFDVEAAQKGIVYIDEVDKITKKAESLSRDVSGEGVQQALLKMLEGTMDTRNILFICGGAFVGLEKAISERLQDSSIGFGAPVRANMRTSELTDAISASALLESVETGDLIAYGLIPEFVGRFPILVSLSSLNEDQLVQVLTEPKNALGKQYKKMFNMNNVKLHFTDNALRLIAKKAMAKNTGARGLRAILENILTDAMFEVPNIKPETNGVCAVLVDEEAVGSVDAPGLGAKILSGDGALEQFLHETKSGEHMGKGGVDGRGECLQHVLKLQSRAMVVGACSCASG >ONI31943 pep chromosome:Prunus_persica_NCBIv2:G1:32021075:32029492:-1 gene:PRUPE_1G340700 transcript:ONI31943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTPPPLPNQSMTSISHAVGQTGAPVAGPSKIDPNQIPRPIPNSSVIVHETRQCNQANPPPPTTSDYIVRDTGNCSPRNMRCTINQIPCTADLLATSGMQLALLLQPLALPQPSEEPIPVVDFGESGPLRCSRCKGYINPFMKFIDQGRQFVCNLCGFTDETPRDYHCNLGPDGRRRDSDDRPELCRGMVEFVASKEYMVRDPMLSMYFFLIDVSMNAMQTGATAAACSAIRQVISDLPEGPRTMVGIATFDTTIHFYNLKRSLQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLESIPSLFQDNKTADSAFGAAVKAAFLAMKSNGGKLLVFQSVMPSIGTGALSAREAEGRTNTSAGEKEVHKLLQPAEKILKTMAVEVAEYQVCVDIFITTQTYIDIASMSVIPRITGGQVYYYYPFSAVADSAKIYNDLRWNVTRPQGFEAVMRVRCSQGLRVQEYHGNFCKRIPTDVDLPGIDCDKTIMVTIKHDDKLQDGSECAFQCALLYTTLYGQRRIRVTTLSLPCTSMLNNLFRTADLDTQFACILKQAAIEIPSCPLMQVRERLTDRCIRILCSYRKFCATVSSSGQLVLPETLKLLPLYILALTKSTGLRAAGKLDERSFWINYVSSVSTPLAIALVYPRMVAIHDLLSKTDESPFPPAIPLSSEHISDEGIYLLENGEDCLIYIGNSVDPDMLTKLFGISSVDEIPTQFVLQQHDNPFSKKLNDLINEIRRQRCSYLRDDPCSSQGNLLLYMPNKRKKFLVLEIMQERRSIRDALLLIYRRRQEFEWPLLYRVSNTHPSANSNEDVII >ONI31947 pep chromosome:Prunus_persica_NCBIv2:G1:32020466:32030813:-1 gene:PRUPE_1G340700 transcript:ONI31947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGPPRPGDRPPPPNYNYNPSHSVLPPNSDSLSQNLQNLNLNRPPSMPTSAPRPSPPPKSAPSPSPLARPAQRRPLSGPPPVGPSSQPSFPPYVGPPRPSGPPATSPLGYRPPPPTNAFPPAPPPGILPRFPPPGSGPSTTMAAALAPPPPLAQPQPPQTMHSVLGSSVGRDPGPAVQQPPPFSVASQGLQQPHPPQTWSMQPNQAPQTAPTSLQQQRMFGTPPPLPNQSMTSISHAVGQTGAPVAGPSKIDPNQIPRPIPNSSVIVHETRQCNQANPPPPTTSDYIVRDTGNCSPRNMRCTINQIPCTADLLATSGMQLALLLQPLALPQPSEEPIPVVDFGESGPLRCSRCKGYINPFMKFIDQGRQFVCNLCGFTDETPRDYHCNLGPDGRRRDSDDRPELCRGMVEFVASKEYMVRDPMLSMYFFLIDVSMNAMQTGATAAACSAIRQVISDLPEGPRTMVGIATFDTTIHFYNLKRSLQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLESIPSLFQDNKTADSAFGAAVKAAFLAMKSNGGKLLVFQSVMPSIGTGALSAREAEGRTNTSAGEKEVHKLLQPAEKILKTMAVEVAEYQVCVDIFITTQTYIDIASMSVIPRITGGQVYYYYPFSAVADSAKIYNDLRWNVTRPQGFEAVMRVRCSQGLRVQEYHGNFCKRIPTDVDLPGIDCDKTIMVTIKHDDKLQDGSECAFQCALLYTTLYGQRRIRVTTLSLPCTSMLNNLFRTADLDTQFACILKQAAIEIPSCPLMQVRERLTDRCIRILCSYRKFCATVSSSGQLVLPETLKLLPLYILALTKSTGLRAAGKLDERSFWINYVSSVSTPLAIALVYPRMVAIHDLLSKTDESPFPPAIPLSSEHISDEGIYLLENGEDCLIYIGNSVDPDMLTKLFGISSVDEIPTQFVLQQHDNPFSKKLNDLINEIRRQRCSYLRLKLCKKGDPSGMLFFSYIVEDKSLNGLSYIEFLIHIHRQIQMKM >ONI31942 pep chromosome:Prunus_persica_NCBIv2:G1:32020640:32030796:-1 gene:PRUPE_1G340700 transcript:ONI31942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGPPRPGDRPPPPNYNYNPSHSVLPPNSDSLSQNLQNLNLNRPPSMPTSAPRPSPPPKSAPSPSPLARPAQRRPLSGPPPVGPSSQPSFPPYVGPPRPSGPPATSPLGYRPPPPTNAFPPAPPPGILPRFPPPGSGPSTTMAAALAPPPPLAQPQPPQTMHSVLGSSVGRDPGPAVQQPPPFSVASQGLQQPHPPQTWSMQPNQAPQTAPTSLQQQRMFGTPPPLPNQSMTSISHAVGQTGAPVAGPSKIDPNQIPRPIPNSSVIVHETRQCNQANPPPPTTSDYIVRDTGNCSPRNMRCTINQIPCTADLLATSGMQLALLLQPLALPQPSEEPIPVVDFGESGPLRCSRCKGYINPFMKFIDQGRQFVCNLCGFTDETPRDYHCNLGPDGRRRDSDDRPELCRGMVEFVASKEYMVRDPMLSMYFFLIDVSMNAMQTGATAAACSAIRQVISDLPEGPRTMVGIATFDTTIHFYNLKRSLQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLESIPSLFQDNKTADSAFGAAVKAAFLAMKSNGGKLLVFQSVMPSIGTGALSAREAEGRTNTSAGEKEVHKLLQPAEKILKTMAVEVAEYQVCVDIFITTQTYIDIASMSVIPRITGGQVYYYYPFSAVADSAKIYNDLRWNVTRPQGFEAVMRVRCSQGLRVQEYHGNFCKRIPTDVDLPGIDCDKTIMVTIKHDDKLQDGSECAFQCALLYTTLYGQRRIRVTTLSLPCTSMLNNLFRTADLDTQFACILKQAAIEIPSCPLMQVRERLTDRCIRILCSYRKFCATVSSSGQLVLPETLKLLPLYILALTKSTGLRAAGKLDERSFWINYVSSVSTPLAIALVYPRMVAIHDLLSKTDESPFPPAIPLSSEHISDEGIYLLENGEDCLIYIGNSVDPDMLTKLFGISSVDEIPTQFVLQQHDNPFSKKLNDLINEIRRQRCSYLRDDPCSSQGNLLLYMPNKRKKFLVLEIMQERRSIRDALLLIYRRRQEFEWPLLYRVSNTHPSANSNEDVII >ONI31946 pep chromosome:Prunus_persica_NCBIv2:G1:32020510:32030809:-1 gene:PRUPE_1G340700 transcript:ONI31946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGPPRPGDRPPPPNYNYNPSHSVLPPNSDSLSQNLQNLNLNRPPSMPTSAPRPSPPPKSAPSPSPLARPAQRRPLSGPPPVGPSSQPSFPPYVGPPRPSGPPATSPLGYRPPPPTNAFPPAPPPGILPRFPPPGSGPSTTMAAALAPPPPLAQPQPPQTMHSVLGSSVGRDPGPAVQQPPPFSVASQGLQQPHPPQTWSMQPNQAPQTAPTSLQQQRMFGTPPPLPNQSMTSISHAVGQTGAPVAGPSKIDPNQIPRPIPNSSVIVHETRQCNQANPPPPTTSDYIVRDTGNCSPRNMRCTINQIPCTADLLATSGMQLALLLQPLALPQPSEEPIPVVDFGESGPLRCSRCKGYINPFMKFIDQGRQFVCNLCGFTDETPRDYHCNLGPDGRRRDSDDRPELCRGMVEFVASKEYMVRDPMLSMYFFLIDVSMNAMQTGATAAACSAIRQVISDLPEGPRTMVGIATFDTTIHFYNLKRSLQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLESIPSLFQDNKTADSAFGAAVKAAFLAMKSNGGKLLVFQSVMPSIGTGALSAREAEGRTNTSAGEKEVHKLLQPAEKILKTMAVEVAEYQVCVDIFITTQTYIDIASMSVIPRITGGQVYYYYPFSAVADSAKIYNDLRWNVTRPQGFEAVMRVRCSQGLRVQEYHGNFCKRIPTDVDLPGIDCDKTIMVTIKHDDKLQDGSECAFQCALLYTTLYGQRRIRVTTLSLPCTSMLNNLFRTADLDTQFACILKQAAIEIPSCPLMQVRERLTDRCIRILCSYRKFCATVSSSGQLVLPETLKLLPLYILGLRAAGKLDERSFWINYVSSVSTPLAIALVYPRMVAIHDLLSKTDESPFPPAIPLSSEHISDEGIYLLENGEDCLIYIGNSVDPDMLTKLFGISSVDEIPTQFVLQQHDNPFSKKLNDLINEIRRQRCSYLRLKLCKKGDPSGMLFFSYIVEDKSLNGLSYIEFLIHIHRQIQMKM >ONI31949 pep chromosome:Prunus_persica_NCBIv2:G1:32020640:32030796:-1 gene:PRUPE_1G340700 transcript:ONI31949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGPPRPGDRPPPPNYNYNPSHSVLPPNSDSLSQNLQNLNLNRPPSMPTSAPRPSPPPKSAPSPSPLARPAQRRPLSGPPPVGPSSQPSFPPYVGPPRPSGPPATSPLGYRPPPPTNAFPPAPPPGILPRFPPPGSGPSTTMAAALAPPPPLAQPQPPQTMHSVLGSSVGRDPGPAVQQPPPFSVASQGLQQPHPPQTWSMQPNQAPQTAPTSLQQQRMFGTPPPLPNQSMTSISHAVGQTGAPVAGPSKIDPNQIPRPIPNSSVIVHETRQCNQANPPPPTTSDYIVRDTGNCSPRNMRCTINQIPCTADLLATSGMQLALLLQPLALPQPSEEPIPVVDFGESGPLRCSRCKGYINPFMKFIDQGRQFVCNLCGFTDETPRDYHCNLGPDGRRRDSDDRPELCRGMVEFVASKEYMVRDPMLSMYFFLIDVSMNAMQTGATAAACSAIRQVISDLPEGPRTMVGIATFDTTIHFYNLKRSLQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLESIPSLFQDNKTADSAFGAAVKAAFLAMKSNGGKLLVFQSVMPSIGTGALSAREAEGRTNTSAGEKEVHKLLQPAEKILKTMAVEVAEYQVCVDIFITTQTYIDIASMSVIPRITGGQVYYYYPFSAVADSAKIYNDLRWNVTRPQGFEAVMRVRCSQGLRVQEYHGNFCKRIPTDVDLPGIDCDKTIMVTIKHDDKLQDGSECAFQCALLYTTLYGQRRIRVTTLSLPCTSMLNNLFRTADLDTQFACILKQAAIEIPSCPLMQVRERLTDRCIRILCSYRKFCATVSSSGQLVLPETLKLLPLYILGLRAAGKLDERSFWINYVSSVSTPLAIALVYPRMVAIHDLLSKTDESPFPPAIPLSSEHISDEGIYLLENGEDCLIYIGNSVDPDMLTKLFGISSVDEIPTQFVLQQHDNPFSKKLNDLINEIRRQRCSYLRYASFCYLVFWLL >ONI31950 pep chromosome:Prunus_persica_NCBIv2:G1:32022030:32030320:-1 gene:PRUPE_1G340700 transcript:ONI31950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGPPRPGDRPPPPNYNYNPSHSVLPPNSDSLSQNLQNLNLNRPPSMPTSAPRPSPPPKSAPSPSPLARPAQRRPLSGPPPVGPSSQPSFPPYVGPPRPSGPPATSPLGYRPPPPTNAFPPAPPPGILPRFPPPGSGPSTTMAAALAPPPPLAQPQPPQTMHSVLGSSVGRDPGPAVQQPPPFSVASQGLQQPHPPQTWSMQPNQAPQTAPTSLQQQRMFGTPPPLPNQSMTSISHAVGQTGAPVAGPSKIDPNQIPRPIPNSSVIVHETRQCNQANPPPPTTSDYIVRDTGNCSPRNMRCTINQIPCTADLLATSGMQLALLLQPLALPQPSEEPIPVVDFGESGPLRCSRCKGYINPFMKFIDQGRQFVCNLCGFTDETPRDYHCNLGPDGRRRDSDDRPELCRGMVEFVASKEYMVRDPMLSMYFFLIDVSMNAMQTGATAAACSAIRQVISDLPEGPRTMVGIATFDTTIHFYNLKRSLQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLESIPSLFQDNKTADSAFGAAVKAAFLAMKSNGGKLLVFQSVMPSIGTGALSAREAEGRTNTSAGEKEVHKLLQPAEKILKTMAVEVAEYQVCVDIFITTQTYIDIASMSVIPRITGGQVYYYYPFSAVADSAKIYNDLRWNVTRPQGFEAVMRVRCSQGLRVQEYHGNFCKRIPTDVDLPGIDCDKTIMVTIKHDDKLQDGSECAFQCALLYTTLYGQRRIRVTTLSLPCTSMLNNLFRTADLDTQFACILKQAAIEIPSCPLMQVRERLTDRCIRILCSYRKFCATVSSSGQLVLPETLKLLPLYILALTKSTGLRAAGKLDERSFWINYVSSVSTPLAIALVYPRMVAIHDLLSKTDESPFPPAIPLSSEHISDEGIYLLENGEDCLIYIGNSVDPDMLTKLFGISSVDEIPTQFVLQQHDNPFSKKLNDLINEIRRQRCSYLRYASFCYLVFWLL >ONI31944 pep chromosome:Prunus_persica_NCBIv2:G1:32020598:32030796:-1 gene:PRUPE_1G340700 transcript:ONI31944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGPPRPGDRPPPPNYNYNPSHSVLPPNSDSLSQNLQNLNLNRPPSMPTSAPRPSPPPKSAPSPSPLARPAQRRPLSGPPPVGPSSQPSFPPYVGPPRPSGPPATSPLGYRPPPPTNAFPPAPPPGILPRFPPPGSGPSTTMAAALAPPPPLAQPQPPQTMHSVLGSSVGRDPGPAVQQPPPFSVASQGLQQPHPPQTWSMQPNQAPQTAPTSLQQQRMFGTPPPLPNQSMTSISHAVGQTGAPVAGPSKIDPNQIPRPIPNSSVIVHETRQCNQANPPPPTTSDYIVRDTGNCSPRNMRCTINQIPCTADLLATSGMQLALLLQPLALPQPSEEPIPVVDFGESGPLRCSRCKGYINPFMKFIDQGRQFVCNLCGFTDETPRDYHCNLGPDGRRRDSDDRPELCRGMVEFVASKEYMVRDPMLSMYFFLIDVSMNAMQTGATAAACSAIRQVISDLPEGPRTMVGIATFDTTIHFYNLKRSLQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLESIPSLFQDNKTADSAFGAAVKSCHQLELGPFQLERLKEELILLREVHKLLQPAEKILKTMAVEVAEYQVCVDIFITTQTYIDIASMSVIPRITGGQVYYYYPFSAVADSAKIYNDLRWNVTRPQGFEAVMRVRCSQGLRVQEYHGNFCKRIPTDVDLPGIDCDKTIMVTIKHDDKLQDGSECAFQCALLYTTLYGQRRIRVTTLSLPCTSMLNNLFRTADLDTQFACILKQAAIEIPSCPLMQVRERLTDRCIRILCSYRKFCATVSSSGQLVLPETLKLLPLYILALTKSTGLRAAGKLDERSFWINYVSSVSTPLAIALVYPRMVAIHDLLSKTDESPFPPAIPLSSEHISDEGIYLLENGEDCLIYIGNSVDPDMLTKLFGISSVDEIPTQFVLQQHDNPFSKKLNDLINEIRRQRCSYLRLKLCKKGDPSGMLFFSYIVEDKSLNGLSYIEFLIHIHRQIQMKM >ONI31948 pep chromosome:Prunus_persica_NCBIv2:G1:32021083:32029492:-1 gene:PRUPE_1G340700 transcript:ONI31948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTPPPLPNQSMTSISHAVGQTGAPVAGPSKIDPNQIPRPIPNSSVIVHETRQCNQANPPPPTTSDYIVRDTGNCSPRNMRCTINQIPCTADLLATSGMQLALLLQPLALPQPSEEPIPVVDFGESGPLRCSRCKGYINPFMKFIDQGRQFVCNLCGFTDETPRDYHCNLGPDGRRRDSDDRPELCRGMVEFVASKEYMVRDPMLSMYFFLIDVSMNAMQTGATAAACSAIRQVISDLPEGPRTMVGIATFDTTIHFYNLKRSLQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLESIPSLFQDNKTADSAFGAAVKAAFLAMKSNGGKLLVFQSVMPSIGTGALSAREAEGRTNTSAGEKEVHKLLQPAEKILKTMAVEVAEYQVCVDIFITTQTYIDIASMSVIPRITGGQVYYYYPFSAVADSAKIYNDLRWNVTRPQGFEAVMRVRCSQGLRVQEYHGNFCKRIPTDVDLPGIDCDKTIMVTIKHDDKLQDGSECAFQCALLYTTLYGQRRIRVTTLSLPCTSMLNNLFRTADLDTQFACILKQAAIEIPSCPLMQVRERLTDRCIRILCSYRKFCATVSSSGQLVLPETLKLLPLYILALTKSTGLRAAGKLDERSFWINYVSSVSTPLAIALVYPRMVAIHDLLSKTDESPFPPAIPLSSEHISDEGIYLLENGEDCLIYIGNSVDPDMLTKLFGISSVDEIPTQFVLQQHDNPFSKKLNDLINEIRRQRCSYLRLKLCKKGDPSGMLFFSYIVEDKSLNGLSYIEFLIHIHRQIQMKM >ONI31945 pep chromosome:Prunus_persica_NCBIv2:G1:32020632:32030796:-1 gene:PRUPE_1G340700 transcript:ONI31945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPPGPPRPGDRPPPPNYNYNPSHSVLPPNSDSLSQNLQNLNLNRPPSMPTSAPRPSPPPKSAPSPSPLARPAQRRPLSGPPPVGPSSQPSFPPYVGPPRPSGPPATSPLGYRPPPPTNAFPPAPPPGILPRFPPPGSGPSTTMAAALAPPPPLAQPQPPQTMHSVLGSSVGRDPGPAVQQPPPFSVASQGLQQPHPPQTWSMQPNQAPQTAPTSLQQQRMFGTPPPLPNQSMTSISHAVGQTGAPVAGPSKIDPNQIPRPIPNSSVIVHETRQCNQANPPPPTTSDYIVRDTGNCSPRNMRCTINQIPCTADLLATSGMQLALLLQPLALPQPSEEPIPVVDFGESGPLRCSRCKGYINPFMKFIDQGRQFVCNLCGFTDETPRDYHCNLGPDGRRRDSDDRPELCRGMVEFVASKEYMVRDPMLSMYFFLIDVSMNAMQTGATAAACSAIRQVISDLPEGPRTMVGIATFDTTIHFYNLKRSLQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLDLLLESIPSLFQDNKTADSAFGAAVKAAFLAMKSNGGKLLVFQSVMPSIGTGALSAREAEGRTNTSAGEKEVHKLLQPAEKILKTMAVEVAEYQVCVDIFITTQTYIDIASMSVIPRITGGQVYYYYPFSAVADSAKIYNDLRWNVTRPQGFEAVMRVRCSQGLRVQEYHGNFCKRIPTDVDLPGDGSECAFQCALLYTTLYGQRRIRVTTLSLPCTSMLNNLFRTADLDTQFACILKQAAIEIPSCPLMQVRERLTDRCIRILCSYRKFCATVSSSGQLVLPETLKLLPLYILALTKSTGLRAAGKLDERSFWINYVSSVSTPLAIALVYPRMVAIHDLLSKTDESPFPPAIPLSSEHISDEGIYLLENGEDCLIYIGNSVDPDMLTKLFGISSVDEIPTQFVLQQHDNPFSKKLNDLINEIRRQRCSYLRLKLCKKGDPSGMLFFSYIVEDKSLNGLSYIEFLIHIHRQIQMKM >ONI35612 pep chromosome:Prunus_persica_NCBIv2:G1:44589122:44593012:1 gene:PRUPE_1G545800 transcript:ONI35612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTINSSVSSSLLIKSPSIPITTSSFPNSNPATAVRTPRWNPQALQFRVSSSANQTVEVASPPENVGGADCATESGADIVRKFYGGINVQDLASVEELIAEKCVYEDLVFPRPFVGRKDILQFFKKFNDSVGKDLQFVIDDISTEDSAAVGVTWHLEWNGKPFPFSKGCSFYRLEVVNGKRQIIYGRDSVEPAIKPGDTVLVAIRGVAWLLRQFPQLADRF >ONI28303 pep chromosome:Prunus_persica_NCBIv2:G1:10743008:10746095:1 gene:PRUPE_1G136900 transcript:ONI28303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHDADDPKQSTADMTAFVQNLLQQMQSRFQTMSDSIITKIDEMGNRIDELEQSINDLRTEMGVEGSPSPSAPSKSNIEPKSADDSTNE >ONI30512 pep chromosome:Prunus_persica_NCBIv2:G1:26564987:26569385:1 gene:PRUPE_1G254700 transcript:ONI30512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPAFTPRPPGSIGSLPGLPRGPVPGIPGVRPIMPPVVRPTLPSVTPAEKPQTTVYVGKIPPTVDNDFMLYLLQLCGPVKSWKCTQVPTDATPRGFGFCEFESAEGVLRALRLLSKFNIDGQDLVLNVNQATREYLERFVEKKTENSKKLKEAEAAEKEDESALGVEKNVPSNPAVLDSKEEDSNSSNKENDAANFGIVTDEDREADREAMEKLTSLIEERLKTKPLPPPPTPAPGNGTGNSNSELPAKSRDGDSDVDITRNDASEEKNDEETTSDNKAISEQDRPETSSPDRNRKQDRRSRDKERDLKREKEREIERYERETERERVRKEREQRRKIEDAEHQYDKCLKDWEHREREKEKQRQYEKEREKERERKRKKEVIYEEDDEDEDSRKKWRRSALEEKRKRRLREKEDDLADRQKEEEEIAEAERRADEEKQLQQEREALRDLSVHAANGSEQVALAEESFVELRDKATEQNNEGDSGHENHMGYGTLQNGNSGDESTMTSVHASEPQQSGSAPAKKLGFGLVGSGKRTAVPSVFNEEDDDAHKDKKMRPLVPIDYSTEELQAVQQTASGPPSNLAAAAEFAKRISNVSSREEKPDTDKERNRRANDRSSQRDRDRNDDDTNRTRDENKEKTDRDTNREHGLDKPRTTDNKKLLDAKQLIDMIPKTKEELFSYEINWAIYDKHALHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVGAERMLQLLQSILDEEAEMFVLKMWRMLIFEIKKVETGLASRTRA >ONI30513 pep chromosome:Prunus_persica_NCBIv2:G1:26563941:26569385:1 gene:PRUPE_1G254700 transcript:ONI30513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPAFTPRPPGSIGSLPGLPRGPVPGIPGVRPIMPPVVRPTLPSVTPAEKPQTTVYVGKIPPTVDNDFMLYLLQLCGPVKSWKCTQVPTDATPRGFGFCEFESAEGVLRALRLLSKFNIDGQDLVLNVNQATREYLERFVEKKTENSKKLKEAEAAEKEDESALGVEKNVPSNPAVLDSKEEDSNSSNKENDAANFGIVTDEDREADREAMEKLTSLIEERLKTKPLPPPPTPAPGNGTGNSNSELPAKSRDGDSDVDITRNDASEEKNDEETTSDNKAISEQDRPETSSPDRNRKQDRRSRDKERDLKREKEREIERYERETERERVRKEREQRRKIEDAEHQYDKCLKDWEHREREKEKQRQYEKEREKERERKRKKEVIYEEDDEDEDSRKKWRRSALEEKRKRRLREKEDDLADRQKEEEEIAEAERRADEEKQLQQEREALRDLSVHAANGSEQVALAEESFVELRDKATEQNNEGDSGHENHMGYGTLQNGNSGDESTMTSVHASEPQQSGSAPAKKLGFGLVGSGKRTAVPSVFNEEDDDAHKDKKMRPLVPIDYSTEELQAVQQTASGPPSNLAAAAEFAKRISNVSSREEKPDTDKERNRRANDRSSQRDRDRNDDDTNRTRDENKEKTDRDTNREHGLDKPRTTDNKKLLDAKQLIDMIPKTKEELFSYEINWAIYDKHALHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVGAERMLQLLQSILDEEAEMFVLKMWRMLIFEIKKVETGLASRTRA >ONI30509 pep chromosome:Prunus_persica_NCBIv2:G1:26563941:26569387:1 gene:PRUPE_1G254700 transcript:ONI30509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPSSPATILESNPQSDTPKPQSNQPDPVPASTPPPLSSSIPIPVNPNSNPPLVSLPLPPPPPSISYAPQQGSGAGPPSAPSFRPVPQFSPIPSPGVPNPNYHNPGVQPPGVSSGSPMAPGVPPPSSVPPHMMHYQMQPMRAYAPMPNGYAAMPTGAPQGSMPLPGLARYPSPYHAMLRPAFTPRPPGSIGSLPGLPRGPVPGIPGVRPIMPPVVRPTLPSVTPAEKPQTTVYVGKIPPTVDNDFMLYLLQLCGPVKSWKCTQVPTDATPRGFGFCEFESAEGVLRALRLLSKFNIDGQDLVLNVNQATREYLERFVEKKTENSKKLKEAEAAEKEDESALGVEKNVPSNPAVLDSKEEDSNSSNKENDAANFGIVTDEDREADREAMEKLTSLIEERLKTKPLPPPPTPAPGNGTGNSNSELPAKSRDGDSDVDITRNDASEEKNDEETTSDNKAISEQDRPETSSPDRNRKQDRRSRDKERDLKREKEREIERYERETERERVRKEREQRRKIEDAEHQYDKCLKDWEHREREKEKQRQYEKEREKERERKRKKEVIYEEDDEDEDSRKKWRRSALEEKRKRRLREKEDDLADRQKEEEEIAEAERRADEEKQLQQEREALRDLSVHAANGSEQVALAEESFVELRDKATEQNNEGDSGHENHMGYGTLQNGNSGDESTMTSVHASEPQQSGSAPAKKLGFGLVGSGKRTAVPSVFNEEDDDAHKDKKMRPLVPIDYSTEELQAVQQTASGPPSNLAAAAEFAKRISNVSSREEKPDTDKERNRRANDRSSQRDRDRNDDDTNRTRDENKEKTDRDTNREHGLDKPRTTDNKKLLDAKQLIDMIPKTKEELFSYEINWAIYDKHALHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVGAERMLQLLQSILDEEAEMFVLKMWRMLIFEIKKVETGLASRTRA >ONI30511 pep chromosome:Prunus_persica_NCBIv2:G1:26563941:26569385:1 gene:PRUPE_1G254700 transcript:ONI30511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPAFTPRPPGSIGSLPGLPRGPVPGIPGVRPIMPPVVRPTLPSVTPAEKPQTTVYVGKIPPTVDNDFMLYLLQLCGPVKSWKCTQVPTDATPRGFGFCEFESAEGVLRALRLLSKFNIDGQDLVLNVNQATREYLERFVEKKTENSKKLKEAEAAEKEDESALGVEKNVPSNPAVLDSKEEDSNSSNKENDAANFGIVTDEDREADREAMEKLTSLIEERLKTKPLPPPPTPAPGNGTGNSNSELPAKSRDGDSDVDITRNDASEEKNDEETTSDNKAISEQDRPETSSPDRNRKQDRRSRDKERDLKREKEREIERYERETERERVRKEREQRRKIEDAEHQYDKCLKDWEHREREKEKQRQYEKEREKERERKRKKEVIYEEDDEDEDSRKKWRRSALEEKRKRRLREKEDDLADRQKEEEEIAEAERRADEEKQLQQEREALRDLSVHAANGSEQVALAEESFVELRDKATEQNNEGDSGHENHMGYGTLQNGNSGDESTMTSVHASEPQQSGSAPAKKLGFGLVGSGKRTAVPSVFNEEDDDAHKDKKMRPLVPIDYSTEELQAVQQTASGPPSNLAAAAEFAKRISNVSSREEKPDTDKERNRRANDRSSQRDRDRNDDDTNRTRDENKEKTDRDTNREHGLDKPRTTDNKKLLDAKQLIDMIPKTKEELFSYEINWAIYDKHALHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVGAERMLQLLQSILDEEAEMFVLKMWRMLIFEIKKVETGLASRTRA >ONI30510 pep chromosome:Prunus_persica_NCBIv2:G1:26563941:26569385:1 gene:PRUPE_1G254700 transcript:ONI30510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMCGLARYPSPYHAMLRPAFTPRPPGSIGSLPGLPRGPVPGIPGVRPIMPPVVRPTLPSVTPAEKPQTTVYVGKIPPTVDNDFMLYLLQLCGPVKSWKCTQVPTDATPRGFGFCEFESAEGVLRALRLLSKFNIDGQDLVLNVNQATREYLERFVEKKTENSKKLKEAEAAEKEDESALGVEKNVPSNPAVLDSKEEDSNSSNKENDAANFGIVTDEDREADREAMEKLTSLIEERLKTKPLPPPPTPAPGNGTGNSNSELPAKSRDGDSDVDITRNDASEEKNDEETTSDNKAISEQDRPETSSPDRNRKQDRRSRDKERDLKREKEREIERYERETERERVRKEREQRRKIEDAEHQYDKCLKDWEHREREKEKQRQYEKEREKERERKRKKEVIYEEDDEDEDSRKKWRRSALEEKRKRRLREKEDDLADRQKEEEEIAEAERRADEEKQLQQEREALRDLSVHAANGSEQVALAEESFVELRDKATEQNNEGDSGHENHMGYGTLQNGNSGDESTMTSVHASEPQQSGSAPAKKLGFGLVGSGKRTAVPSVFNEEDDDAHKDKKMRPLVPIDYSTEELQAVQQTASGPPSNLAAAAEFAKRISNVSSREEKPDTDKERNRRANDRSSQRDRDRNDDDTNRTRDENKEKTDRDTNREHGLDKPRTTDNKKLLDAKQLIDMIPKTKEELFSYEINWAIYDKHALHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVGAERMLQLLQSILDEEAEMFVLKMWRMLIFEIKKVETGLASRTRA >ONI28067 pep chromosome:Prunus_persica_NCBIv2:G1:9478920:9479430:1 gene:PRUPE_1G120700 transcript:ONI28067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGNLFLKPDLENQNSRFSRPPGYAPQPAAASNSNGKSGSKAKSGSKKKKGKPSGDNFRISGNTINGGKADKAGVFGFGNKYIGRKKQIEEESSSGSESDDSSEDRE >ONI26394 pep chromosome:Prunus_persica_NCBIv2:G1:1511680:1515158:-1 gene:PRUPE_1G022000 transcript:ONI26394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKPQIQMPLVKLGSQGLEVSRLGFGCGGLSGIYSGNKAPLFDEAACSVIEEAFNRGITFFDTSDLYGQNHHNEFLLAKVLKQLPRENVQLATKFGIVMSDNYQFGVRGTPEYVRACCEASLKRLDVNYIDLYYQHRVDVSVPIEDTMGELKKLVNEGKIRYIGLSEASVDTIKRAHAVHPITAVQMEYSLWCREIENEIIPLCRQLGIGIVSYSPLGRGFFGGKAVVESLSAESLLYTHPRFNGENLEKNKLLYGKLANLAAKHACTVPQLALAWLLHQDNYIVPIPGTTQVKNLDINIRSLDVMLTEEDLKEICDAVPIDEVCGDREFALFAKFVWPYANTPAK >ONI30003 pep chromosome:Prunus_persica_NCBIv2:G1:23942295:23943318:-1 gene:PRUPE_1G226300 transcript:ONI30003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLNVLTARLSTICSMRTAKPCRSSSFVMGPRSHGSVSRSSYKALGLKASSSFCVSTMAVYKVKLLEPNGEEAKFDAPEDAHILDSAESAGLKLPHLCRSGACSTCTGLMVLGSVDQSEGVFLDEMQIEKGYVATCVSYPTSDCVIHTHKESDLH >ONI26398 pep chromosome:Prunus_persica_NCBIv2:G1:1521868:1525058:1 gene:PRUPE_1G022300 transcript:ONI26398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRQKGWSGNALMLMGLLLVSFVGKIASLSVTVNDIECVYEYVLYEDDTVSGNFVVVDHDIFWGSDHPGLDLIVTSPGGNTVHTSKGTSGDKFEFKAPRSGMYKFCFHNPYSTPETVSFNIHVGHIPNEHNIAKDEHLDPINVKIAELREALESVTLEQKYLKARDVRHRHTNESTRRRVVMYTVGEYIALAAASALQVVYIRKLFSKSVGYNRV >ONI32796 pep chromosome:Prunus_persica_NCBIv2:G1:34606454:34609337:1 gene:PRUPE_1G386300 transcript:ONI32796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLGFPSLQLQFQSPRKSSSFNSSSSSTRRFLVRPISASVSEITPSSVSAQPPEPTNLPVKKIPGGYGLPFVGPIKDRLDFFYNQGRNEFFKSRVQKYQSTVFRVNMPPGPFISKNPQVVVLLDGKSFPVLFDVSKVEKKDLFTGTYMPSLELTGGYRILSYLDPSEPKHDKLKRVIFYLLKSSRDSVLPEFHSSYTELFETLESKLADKGKADFVEANDQAAFNFLARSLYRANPADTPLGLDGPKLVSKWVLFNLGPLLMLGLPKFIEDPLLHTFRLPPFLIKKDYQRLYDFFYQSSGHVLDEAERLGVSRDEACHNLLFATCFNSFGGMKILFPNMLKWIGRAGVKLHTQLAEEIRSVVRSNGGKITMGGMEQMPLMKSVVYEAFRIEPPVQLQYGKAKTDLLIESHDAAFKVKEGEMLFGFQSFATKDSKIFERAEEFVADRFVGEDGEKLLKHVLWSNGPETESPTVGNKQCAGKDFVVLASRLLVVEFFLRYDSFEIEVGSSPLGPAITVTSLKRASF >ONI29678 pep chromosome:Prunus_persica_NCBIv2:G1:22260521:22263067:-1 gene:PRUPE_1G208400 transcript:ONI29678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFILSFLFASLFLETQLTKHILFLLLLLLLESHLIAIMSTETIVASVPADDQPIEGKVHEEITHASKEIESLPSKDDGKKDEKDLVVVAEKSDGSESQLISSMSEETSENNQTEHPIVVEGQVDHVPVEVGAKEVESSDQDPEASITKDLASEAVASQADESLTIENVPVEKPAVESVENTLVEKVATEAVEEEAEKAQTVEVDEDKPVEIVGIEEAEKEAEKAETMEAAENKPVEEIAIEEAEKEAEKAETIEAAENKTVEEVATEEAEKEEEKAETIEAAENKPVEEVAIEEAEKEAEKAETIEAYENKLVETIETEAVEKEAEQTQAMEAEENKPEEKPATEPVEKQIDDEKPKVEASEADPSTEAAGQAVEETLETSLVKDSEPVNEVEGKPHEQLKVLLEMERNIEKVLKPEENVATDSVPAIEEAQANEKVSHVAENAEKEAGVVDEVGKLLKDETEVSENAAVEEEKIVKTEQETEEKIEKTEAKKTEDDNKLENVAEVADRELGEAKEVLVKEDVYKDIKENEEVVVPSAIVEPVVEAKAGDDASKLENTEETANESKTEPETLEASKDQEKQDVSVKAAQKQSGGIISKVKQSIVKVKKAIIGKSPSSKVLSPEVKAEEPVK >ONI29675 pep chromosome:Prunus_persica_NCBIv2:G1:22260116:22263634:-1 gene:PRUPE_1G208400 transcript:ONI29675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIMSTETIVASVPADDQPIEGKVHEEITHASKEIESLPSKDDGKKDEKDLVVVAEKSDGSESQLISSMSEETSENNQTEHPIVVEGQVDHVPVEVGAKEVESSDQDPEASITKDLASEAVASQADESLTIENVPVEKPAVESVENTLVEKVATEAVEEEAEKAQTVEVDEDKPVEIVGIEEAEKEAEKAETMEAAENKPVEEIAIEEAEKEAEKAETIEAAENKTVEEVATEEAEKEEEKAETIEAAENKPVEEVAIEEAEKEAEKAETIEAYENKLVETIETEAVEKEAEQTQAMEAEENKPEEKPATEPVEKQIDDEKPKVEASEADPSTEAAGQAVEETLETSLVKDSEPVNEVEGKPHEQLKVLLEMERNIEKVLKPEENVATDSVPAIEEAQANEKVSHVAENAEKEAGVVDEVGKLLKDETEVSENAAVEEEKIVKTEQETEEKIEKTEAKKTEDDNKLENVAEVADRELGEAKEVLVKEDVYKDIKENEEVVVPSAIVEPVVEAKAGDDASKLENTEETANESKTEPETLEASKDQEKQDVSVKAAQKQSGGIISKVKQSIVKVKKAIIGKSPSSKVLSPEVKAEEPVK >ONI29676 pep chromosome:Prunus_persica_NCBIv2:G1:22260116:22263314:-1 gene:PRUPE_1G208400 transcript:ONI29676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAGKAIMSTETIVASVPADDQPIEGKVHEEITHASKEIESLPSKDDGKKDEKDLVVVAEKSDGSESQLISSMSEETSENNQTEHPIVVEGQVDHVPVEVGAKEVESSDQDPEASITKDLASEAVASQADESLTIENVPVEKPAVESVENTLVEKVATEAVEEEAEKAQTVEVDEDKPVEIVGIEEAEKEAEKAETMEAAENKPVEEIAIEEAEKEAEKAETIEAAENKTVEEVATEEAEKEEEKAETIEAAENKPVEEVAIEEAEKEAEKAETIEAYENKLVETIETEAVEKEAEQTQAMEAEENKPEEKPATEPVEKQIDDEKPKVEASEADPSTEAAGQAVEETLETSLVKDSEPVNEVEGKPHEQLKVLLEMERNIEKVLKPEENVATDSVPAIEEAQANEKVSHVAENAEKEAGVVDEVGKLLKDETEVSENAAVEEEKIVKTEQETEEKIEKTEAKKTEDDNKLENVAEVADRELGEAKEVLVKEDVYKDIKENEEVVVPSAIVEPVVEAKAGDDASKLENTEETANESKTEPETLEASKDQEKQDVSVKAAQKQSGGIISKVKQSIVKVKKAIIGKSPSSKVLSPEVKAEEPVK >ONI29677 pep chromosome:Prunus_persica_NCBIv2:G1:22260116:22263314:-1 gene:PRUPE_1G208400 transcript:ONI29677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFILSFLFASLFLETQLTKHILFLLLLLLLESHLIAIMSTETIVASVPADDQPIEGKVHEEITHASKEIESLPSKDDGKKDEKDLVVVAEKSDGSESQLISSMSEETSENNQTEHPIVVEGQVDHVPVEVGAKEVESSDQDPEASITKDLASEAVASQADESLTIENVPVEKPAVESVENTLVEKVATEAVEEEAEKAQTVEVDEDKPVEIVGIEEAEKEAEKAETMEAAENKPVEEIAIEEAEKEAEKAETIEAAENKPVEEVAIEEAEKEAEKAETIEAYENKLVETIETEAVEKEAEQTQAMEAEENKPEEKPATEPVEKQIDDEKPKVEASEADPSTEAAGQAVEETLETSLVKDSEPVNEVEGKPHEQLKVLLEMERNIEKVLKPEENVATDSVPAIEEAQANEKVSHVAENAEKEAGVVDEVGKLLKDETEVSENAAVEEEKIVKTEQETEEKIEKTEAKKTEDDNKLENVAEVADRELGEAKEVLVKEDVYKDIKENEEVVVPSAIVEPVVEAKAGDDASKLENTEETANESKTEPETLEASKDQEKQDVSVKAAQKQSGGIISKVKQSIVKVKKAIIGKSPSSKVLSPEVKAEEPVK >ONI27740 pep chromosome:Prunus_persica_NCBIv2:G1:8225511:8227675:1 gene:PRUPE_1G102900 transcript:ONI27740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNEAELSFLSPSLSPTSRWWSEDTVAIVTGANKGIGFALVKRMAELGVTVILTARDIERGCKAVEALRAQGLYNVAFSCLDVSDPSSIRAFTSWFSKRYAALDILVNNAAVSFNDLNENSVEHAETVMKTNFYGPKLLTEALLPMFRSSSSVSRILNVSSRLGSLNQLRNPSIKQVMKSERLSEEEIEGVVNLFLDDVKSGTWKSKGWPKLWTDYAVSKLALNAYTRVLAKRYEGRGLSVNSFCPGFTQTSMTHCKGDHTADDAAGMAARLALLPSHQIPSGKFFFWGSSNAISSKL >ONI36124 pep chromosome:Prunus_persica_NCBIv2:G1:46484100:46485338:1 gene:PRUPE_1G570700 transcript:ONI36124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKKSTKPDWVLIIKVGEDEKIKMPKPSENSTHKLVHKITSASATTLTEKEFPLLKEAGLSASLITLEANAINSPIYTTDTTVQVVYVAGGSGQIQIVGLNGKLALDTQVKALVICLWFQASSWLVKLAGENGLECFSVITSSQPVLEDLAGKISALVVALSPEMLQISLNITPELQDLLRSRIKKI >ONI33869 pep chromosome:Prunus_persica_NCBIv2:G1:37996219:38000983:1 gene:PRUPE_1G451100 transcript:ONI33869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKPSPPKKALIICIYYFIVPLYGKHIIMHRSLLNAHQQPLSFTLSSRNSNSPSTVHSHVAQTMAHTCPRSLPTSAEKLSYKYRSSSQTRSVSDSTETAMSPAMEEALDDAQKLRDEFLKVLRSRRSGEVPLSVEPAKPVSHPLFQEASPPTFSEAMNACPKANIPNFKDKLHEENLYLITEEGEQGRLPVWILSMKENNTQKRPAVVFLHSTNKNKEWLRPLLEAYASREYVAIAIDSRYHGERASNISTYRDALISSWKKGDTMPFLFDTTWDLIKLADYLTQREDVDPTRIGITGESLGGMHAWFAAAADTRYAVVVPIIGVQGFRWAIDNDKWQARVDSIKPVFEAARIDLGKTSIDKEVVEKVWDRIAPGLASKFDSPYTIPAIAPRPLLIVNGEEDPRCPLAGLEIPKSRACKAYEDAQSIHNFKLIAEPGIGHQMTAFMVKEASYWFDQFLMP >ONI33870 pep chromosome:Prunus_persica_NCBIv2:G1:37997398:38000983:1 gene:PRUPE_1G451100 transcript:ONI33870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAWCCTITIGVPLSVEPAKPVSHPLFQEASPPTFSEAMNACPKANIPNFKDKLHEENLYLITEEGEQGRLPVWILSMKENNTQKRPAVVFLHSTNKNKEWLRPLLEAYASREYVAIAIDSRYHGERASNISTYRDALISSWKKGDTMPFLFDTTWDLIKLADYLTQREDVDPTRIGITGESLGGMHAWFAAAADTRYAVVVPIIGVQGFRWAIDNDKWQARVDSIKPVFEAARIDLGKTSIDKEVVEKVWDRIAPGLASKFDSPYTIPAIAPRPLLIVNGEEDPRCPLAGLEIPKSRACKAYEDAQSIHNFKLIAEPGIGHQMTAFMVKEASYWFDQFLMP >ONI36105 pep chromosome:Prunus_persica_NCBIv2:G1:46438261:46446666:1 gene:PRUPE_1G569600 transcript:ONI36105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPPSVSPSVPHKLAKMEVSSVHGVGVQHVLCGPSPISSLSILSATPWRSTRAKNSHLCCATTLVKERHTEPPNNGSGIPKRHSKQYLARQSAILEVQESSDLDSALTRLGGSLKVQDLNAIIRHFGILKRWHDLSQLFEWMQQNGKISASSYSSYIKFMGKSLNPVKALEIYNNIQDASTKKNVHICNSVLGSLIRSGKFDGSFKLFHQMKQDGLTPDAVTYSTLLAGCNKVKHGYSKALELVQELQRNELQMDSVIYGTLLAVCASNNKLEEAEGYFKQMKNEGYLPNVFHYSAMLNAYSISGNYKEADDLVQDMKSAGLVPNKVILTTLLKVYVRGGLFEKSRELLAELEALGYAEDEMPYCLLMDALAKAGRIHEAKLVFDEMKEKSIRSNGYSYSIMISAFCRGGLLEDAKQLSKDVERTHDKFDLVMLNTMICAYCRAGEMDSVMEMMRKMDEQKITPDYNTFHILIKYFCKEKLYLLAYQTMEDMHNKGHQPDEELCSSLMFLLGKIRAYSEAYSVYNILRYSKRTMCKALHEKILHILLAGQLLKDAYVVVKDNAGLISKPAVKKFSTAFLKLGNINLINDVLKVIDASGCKIDQGLFQMAISRYIALPEKKELLIQMLLWMPGQGYVVDSATRNLILKNSHLFGRQHIADVLSKQHMISKASKSRKKDNLV >ONI35965 pep chromosome:Prunus_persica_NCBIv2:G1:45980819:45986174:-1 gene:PRUPE_1G562900 transcript:ONI35965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKRDRPVTLSKTKKKGREHKETIVNSIRQAAEDYSSVYVFSFENMRNLKFKEFRDQLKSTSRFFLGSNKVMQISLGRSDSDEIRPDLHKISKVLHGNAGLCFTNLPKEEVERLFSEYKEYDFARTGSIATEKVELQEGPLEQFTHEMEPFLRKQGMPVRLNKGVVELVSDFLVCDEGKPLSPESARILRLLGIKMATFRLHLISRWSPGEFELYGPGPDDSGVESS >ONI36438 pep chromosome:Prunus_persica_NCBIv2:G1:47512717:47525518:1 gene:PRUPE_1G585300 transcript:ONI36438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTPPAKKKRATEMESPPPAGPGPLVIYEDDPPPPSLPPTDDSHHLLCTYQCRQMVKSDFIDAFSNAEKQVSDYQSRLEALNNNFCKVESERKKFLDQFLYAEQELAAAKGREQALQEQLLKEVHDSHERLTKQLQSYSELEVKLQNEMNLRMKAESSAALAEENASSLEGKLSHLSESIEREKKRLHNDLAHLKKESKLSVARITADLERMECRAHNAEKESELLQEQLDDLKEQLSECVQQKSEVEKKLSSSTLQEVKSTDDILVKHLQEELRNYDAEVREARKLKSSHENVELLKEKLLEEKSRRERVESELSKLQELQPSMKTLEDELTSWKLMLKDIPGVSCSEDIPVKFAALQKEVIDSMMKVGLANARLKQVEVSLDAAQIDKQNAETEAALAKEKVDASKSEVNRIELMLSMVTEERDKLRNVVNELKLAKNDEAGHETSNQTFLQELESSLAKKECYIKELECGLCEQKEVNSRQREEIKLLNERLNNEARRIKSLERESDRLGSEIALLESKLGHGDFSAVNTKVLRMVNTLTVDNEAKQTIEALRTELQKTKEKLQAVEELRSQSGDAGKLVDSYISEKIVQLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTRFTLQSIYAQSDDEKLEFEYESGSTNILANDYTSHPEISHQVEIFIRKLNSIPAFTANLTVESFNRRTLT >ONI36439 pep chromosome:Prunus_persica_NCBIv2:G1:47512717:47525518:1 gene:PRUPE_1G585300 transcript:ONI36439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTPPAKKKRATEMESPPPAGPGPLVIYEDDPPPPSLPPTDDSHHLLCTYQCRQMVKSDFIDAFSNAEKQVSDYQSRLEALNNNFCKVESERKKFLDQFLYAEQELAAAKGREQALQEQLLKEVHDSHERLTKQLQSYSELEVKLQNEMNLRMKAESSAALAEENASSLEGKLSHLSESIEREKKRLHNDLAHLKKESKLSVARITADLERMECRAHNAEKESELLQEQLDDLKEQLSECVQQKSEVEKKLSSSTLQEVKSTDDILVKHLQEELRNYDAEVREARKLKSSHENVELLKEKLLEEKSRRERVESELSKLQELQPSMKTLEDELTSWKLMLKDIPGVSCSEDIPVKFAALQKEVIDSMMKVGLANARLKQVEVSLDAAQIDKQNAETEAALAKEKVDASKSEVNRIELMLSMVTEERDKLRNVVNELKLAKNDEAGHETSNQTFLQELESSLAKKECYIKELECGLCEQKEVNSRQREEIKLLNERLNNEARRIKSLERESDRLGSEIALLESKLGHGDFSAVNTKVLRMVNTLTVDNEAKQTIEALRTELQKTKEKLQAVEELRSQSGDAGKLVDSYISEKIVQLKEQIATLEKREERL >ONI30171 pep chromosome:Prunus_persica_NCBIv2:G1:24857188:24857640:1 gene:PRUPE_1G234600 transcript:ONI30171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEHGQEAMMSSGQLPVGFRFMPTDKELVTHYLMNKVFDRPVPAAEAIQDIDATQFYSTHPKNLDSTKIREEKKKITEKRKERFS >ONI33011 pep chromosome:Prunus_persica_NCBIv2:G1:35322524:35326237:1 gene:PRUPE_1G399600 transcript:ONI33011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGATNLFGSFFFLVCLSIEFSLVVRGQNRTTIPVNVGVVLDDLDSPNTKVWLTCINLGLSDFYTSHGSSTTRLALSIGDSREDVVDAAAAALDLIKNDKVQAILGPKSSMQANFVIELGDKAQVPIISFSATSPSLTSIRSPYFFRAAQNDSSQVKAISAVVQAFGWREAVPIYIDNEYGQGVIPYLVDALQEVQAQVPYRSAIPPEATDDQLAAELYKLMTMQTRVFIVHMLPSLGSRLFAKAQEMDMMDGDCVWIMASGMTNHLTTMNASVINSLQGALGVRTYVPQTKELVDFRARWKRQFQEDNPTIIDAELDVPALWAYDAAFALAMAVENVGTENFGFEKANASTNSSTDLEYFGVSQNGPELCQSLSSTNFRGLSGDFSFVDGQLQNSIFELVNVIGNAAKTIGFWKPQSGLEKKLNLTNTNGPYSTSKSNLAPILWPGDSPSVPKGWEIPTNGKRLRVGVPVIDGFAEFVKVVPGPRANTTEVSGFCIDVFNAAMAGLPYAVTYDFIPFAKPDGTSAGSYDDLVQQVFLGNFDALAADTTIRANRSLYVDFTLPYTESGVVMVVPMKDGKNKSAWIFLKPLTWDLWLTSLCFFVFIGFVVWVLEHRINEEFRGPPSHQIGTSFWFSFSTMVFAQREKVVSNLARFVVIVWVFVVLILTQSYTASLTSLLTVQQLQPTFSNLSDLKKNKEYIGYIEGSFVRDLLIQRGVDPNKLRPYKSSDECHEFLTNGSANGGIAAAIDETPNMKLFLAKYCSKYTMIGPIFKTDGFGFVFPKGSPLVPDVSRAILNVTEGDAMKEIENKWFAGDATCSDTKPTISDSNSLGLDSFRGLFLIAGVSSSLSLIIFAASFCYRHWHMFMTTGASAWKRIKVMLRIYDQKDLSSHTFRKTGSQDGKYMYNAGNIATSVEASPNNNSTRPPSPSSPESIGHSNHTNPHSPFFREERILQVVVGQEYPEM >ONI34186 pep chromosome:Prunus_persica_NCBIv2:G1:38926033:38928807:1 gene:PRUPE_1G467100 transcript:ONI34186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSEPAILATCRMCAINLFRVFPPNYRNGGGGENDDDEPMFDPAWPHLQIVYELLLKFVTSSFLDAKIAKKYVDHSFILRLLELFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAINNIFYRFLFETERHNGIAELLEIFGSIISGFALPLKEEHRMFLWRVLIPLHKPKNLGSYFQQLSYCITQFIEKEPKLASVVIKGLLKYWPITSSQKEVMFLGELEEILEAVNMVEFQKVMVPLFCRIGCCINSSHFQVAERALFFWNNDHIVNLIAHNRQVILPIILPALERNAHNHWNQAVLNLTLNVRKMFVEMDDQLFLSSHAHYMEEEAKQSSAAKKRKEAWERLENAASLQPVTGTTAVLVTSLATSIAC >ONI34187 pep chromosome:Prunus_persica_NCBIv2:G1:38926033:38928807:1 gene:PRUPE_1G467100 transcript:ONI34187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDPAWPHLQIVYELLLKFVTSSFLDAKIAKKYVDHSFILRLLELFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAINNIFYRFLFETERHNGIAELLEIFGSIISGFALPLKEEHRMFLWRVLIPLHKPKNLGSYFQQLSYCITQFIEKEPKLASVVIKGLLKYWPITSSQKEVMFLGELEEILEAVNMVEFQKVMVPLFCRIGCCINSSHFQVAERALFFWNNDHIVNLIAHNRQVILPIILPALERNAHNHWNQAVLNLTLNVRKMFVEMDDQLFLSSHAHYMEEEAKQSSAAKKRKEAWERLENAASLQPVTGTTAVLVTSLATSIAC >ONI34185 pep chromosome:Prunus_persica_NCBIv2:G1:38926033:38928806:1 gene:PRUPE_1G467100 transcript:ONI34185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQFLSKLPRKALKSDERPESPRTSTPRSSSRTGPSGVGGGTPRSNGGGNLGPVRSNGPKRMSSAVFPASVVAGIEPLVPFKDVPTSEKMNLFVSKVSLCCVTFDFTDPTKNSIEKDVKRQTLLELVDFVASGSMRFSEPAILATCRMCAINLFRVFPPNYRNGGGGENDDDEPMFDPAWPHLQIVYELLLKFVTSSFLDAKIAKKYVDHSFILRLLELFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAINNIFYRFLFETERHNGIAELLEIFGSIISGFALPLKEEHRMFLWRVLIPLHKPKNLGSYFQQLSYCITQFIEKEPKLASVVIKGLLKYWPITSSQKEVMFLGELEEILEAVNMVEFQKVMVPLFCRIGCCINSSHFQVAERALFFWNNDHIVNLIAHNRQVILPIILPALERNAHNHWNQAVLNLTLNVRKMFVEMDDQLFLSSHAHYMEEEAKQSSAAKKRKEAWERLENAASLQPVTGTTAVLVTSLATSIAC >ONI31925 pep chromosome:Prunus_persica_NCBIv2:G1:31977051:31978428:-1 gene:PRUPE_1G339600 transcript:ONI31925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIPSRSYISFISDQIILSLSMAYTSSSLYSSSLAWDSHNNHLGLVNNTDRMSLAMDEYMMMTMMMKAPCCSPPLVDQSDFSTGYLEDALLEFSEPSKRRRVLLYTDNEINHSATTTSVLEKSHWNSHWEFSENFDCMTQLTSSSALSVLPGDPVSITTPISRVCEETNRVTKINTAEEAPMPAPEAIDSSSSSSYKEDSANTNSDYLPARPAAVVGGSSSDEKRRKKKGVIRKVVYPFALVKPGGVEGDITLNDINERILMPPTRPVRHPVGDFACRPCVSADGPGLSGKAVVALTRIHTQGRGTITIIRTKG >ONI31924 pep chromosome:Prunus_persica_NCBIv2:G1:31976697:31978571:-1 gene:PRUPE_1G339600 transcript:ONI31924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIPSRSYISFISDQIILSLSMAYTSSSLYSSSLAWDSHNNHLGLVNNTDRMSLAMDEYMMMTMMMKAPCCSPPLVDQSDFSTGYLEDALLEFSEPSKRRRVLLYTDNEINHSATTTSVLEKSHWNSHWEFSENFDCMTQLTSSSALSVLPGDPVSITTPISRVCEETNRVTKINTAEEAPMPAPEAIDSSSSSSYKEDSANTNSDYLPARPAAVGGSSSDEKRRKKKGVIRKVVYPFALVKPGGVEGDITLNDINERILMPPTRPVRHPVGDFACRPCVSADGPGLSGKAVVALTRIHTQGRGTITIIRTKG >ONI33533 pep chromosome:Prunus_persica_NCBIv2:G1:36937084:36942350:1 gene:PRUPE_1G431100 transcript:ONI33533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLVIRPNSFAAQMEENAVKEAASAGIQSVEKFISLISQHHHQFQDSSFSSSSNPEAATEYKAVADMAVNKFRKVISLLDKGRTGHARFRRAPVTPSPPPPPPPPPPPQKTETQIPRPSIQEPHNPRTDQPPGFKTEQSSAFKVYCPTPSVRLPPLPHNPHLKTTPVVLTKSVGCAERKLDAPTTINFSPSPSISAANSYMSSLTTGDAEGSVQHSMSSGFQFTNMSQSSSGKPPLSSSSLKRKCNSMDDVAALRCGSSSGRCHCSKKRKSKVKRVVRVPAISMKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSQRGCLARKHVERALDDPTMLIVTYEGDHNHSHTGTDPTPDLVLESS >ONI33534 pep chromosome:Prunus_persica_NCBIv2:G1:36937969:36942350:1 gene:PRUPE_1G431100 transcript:ONI33534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELMMGFIDSFAAQMEENAVKEAASAGIQSVEKFISLISQHHHQFQDSSFSSSSNPEAATEYKAVADMAVNKFRKVISLLDKGRTGHARFRRAPVTPSPPPPPPPPPPPQKTETQIPRPSIQEPHNPRTDQPPGFKTEQSSAFKVYCPTPSVRLPPLPHNPHLKTTPVVLTKSVGCAERKLDAPTTINFSPSPSISAANSYMSSLTTGDAEGSVQHSMSSGFQFTNMSQSSSGKPPLSSSSLKRKCNSMDDVAALRCGSSSGRCHCSKKRKSKVKRVVRVPAISMKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSQRGCLARKHVERALDDPTMLIVTYEGDHNHSHTGTDPTPDLVLESS >ONI35973 pep chromosome:Prunus_persica_NCBIv2:G1:46035583:46038923:-1 gene:PRUPE_1G563400 transcript:ONI35973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNQAKPGLLCNPEASQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQELLRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGQKYLGMVSMIGVNFEDNHVATGFGNHLARPMLRDEWHENLTFEEGVKLLEKCMRVLLYRDRSAVNKLQISKITEEGVTISQPYSLKTFWGFSAFENPTLGAEGSW >ONI35972 pep chromosome:Prunus_persica_NCBIv2:G1:46035624:46038923:-1 gene:PRUPE_1G563400 transcript:ONI35972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNQAKPGLLCNPEASQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQELLRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGQKYLGMVSMIGVNFEDNHVATGFGNHLARPMLRDEWHENLTFEEGVKLLEKCMRVLLYRDRSAVNKLQISKITEEGVTISQPYSLKTFWGFSAFENPTLGAEGSW >ONI35974 pep chromosome:Prunus_persica_NCBIv2:G1:46035654:46038738:-1 gene:PRUPE_1G563400 transcript:ONI35974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNQAKPGLLCNPEASQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQELLRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGQKYLGMVSMIGVNFEDNHVATGFGNHLARPMLRDEWHENLTFEEGVKLLEKCMRVLLYRDRSAVNKLQISKITEEGVTISQPYSLKTFWGFSAFENPTLGAEGSW >ONI35975 pep chromosome:Prunus_persica_NCBIv2:G1:46035628:46038738:-1 gene:PRUPE_1G563400 transcript:ONI35975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNQAKPGLLCNPEASQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQELLRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGQKYLGMVSMIGVNFEDNHVATGFGNHLARPMLRDEWHENLTFEEGVKLLEKCMRVLLYRDRSAVNKLQISKITEEGVTISQPYSLKTFWGFSAFENPTLGAEGSW >ONI29156 pep chromosome:Prunus_persica_NCBIv2:G1:16437468:16439374:1 gene:PRUPE_1G183800 transcript:ONI29156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSKKPKDEKEAVSSILEDLHGFSYGIYTKAVKLTTADTVTSYVYSLFWGFQQISTQAGNQVPSYFVWEVLFTMAIIGQGLLLFALLIVNMHNFLQSLGRRYYFLLSFLLLKCSTCQSCLKGYRGWFEFSPRYCILDTLRFDILQQVEATKL >ONI26789 pep chromosome:Prunus_persica_NCBIv2:G1:3276783:3278352:1 gene:PRUPE_1G046000 transcript:ONI26789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLACFGFSKKKKRRKPGNKVAAAGDHGRGSYVPLDSSLTIIGVDGARESLHSAGSELRDKPKEQTRFKIRKKVSFNLNVQTYEPISTGYHFLESDEEEEVEKNVQEVSKGSLSTSASQRDSTTLRMGLFPSNYRYQNVRDSYDEDDHIADEESDLDNDDNDIDDDDDSEIDDQRISQEGFSRQFCSSSIKGELEYPNARGNFQYVHSVLSPVENLTQWKAAKAKAAAPKQQKENIAALSQEPRMPPSSRSSYNQSKPLLQEIPVHASLSSWLNSQSTN >ONI35425 pep chromosome:Prunus_persica_NCBIv2:G1:43756314:43759326:-1 gene:PRUPE_1G535400 transcript:ONI35425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDATISNASSEDRVKAEAPQLAAVLKEMKEGLDTVRIKVQALTAKVKSNNYPTVEGISYLEAKHLLLLNYCQSLVYYLLRKARGFSINGHPVVQSLVEIRLFLEKIRPIDKKLQYQIEKLTKVTASTTENVQPGGKESQAGVPQQTDDLLKYHPNPDMLVSKADVTSKDGNDVYRPPKFAPTSMEEDKLSKQDKNALRKEKNTLRQARHSAFVRELVDDLEGRPEEIVESVGAESLELARYRAKMEDRARQEEELFMRAPITKKEKQREKHLKKSRNGLLGLTDNFYDEVRTLPLEDENDGRIPSFSSARGGMGGRHKKRKMRR >ONI35426 pep chromosome:Prunus_persica_NCBIv2:G1:43756519:43759300:-1 gene:PRUPE_1G535400 transcript:ONI35426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDATISNASSEDRVKAEAPQLAAVLKEMKEGLDTVRIKVQALTAKVKSNNYPTVEGISYLEAKHLLLLNYCQSLVYYLLRKARGFSINGHPVVQSLVEIRLFLEKIRPIDKKLQYQIEKLTKVTASTTENVQPGGKESQAGVPQQTDDLLKYHPNPDMLVSKADVTSKDGNDVYRPPKFAPTSMEEDKLSKQDKNALRKEKNTLRQARHSAFVRELVDDLEGRPEEIVESVGAESLELARYRAKMEDRARQEEELFMRAPITKKEKQREKHLKKSRNGLLGLTDNFYDEVRTLPLEDENDGRIPSFSSARGGMGGRHKKRKMRR >ONI33708 pep chromosome:Prunus_persica_NCBIv2:G1:37533667:37534554:1 gene:PRUPE_1G442300 transcript:ONI33708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVMLYVVYQKYNEAMHGLALSIMELLAIGLGVDRMLYREFFEDAVSVMRTNLYPTCQEPNLSLGTGPHCDSNALTILHQDLVGGLDVFVDNKWQKVRPIPGALVINIGGVFAALSNGIYRSSLHRAVVNSHKERRSSVFFMCPRADKLVKLAEELVPTSEGAQESFRISHGQIYSKLL >ONI33707 pep chromosome:Prunus_persica_NCBIv2:G1:37532722:37534887:1 gene:PRUPE_1G442300 transcript:ONI33707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQWWILRVSSRVMLWQLRMLPSSLIRSSCLSHGFFLVTNHKVDAYLIQLAYDHVDHLFNLPIEEKIKVVYQKYNEAMHGLALSIMELLAIGLGVDRMLYREFFEDAVSVMRTNLYPTCQEPNLSLGTGPHCDSNALTILHQDLVGGLDVFVDNKWQKVRPIPGALVINIGGVFAALSNGIYRSSLHRAVVNSHKERRSSVFFMCPRADKLVKLAEELVPTSEGAQESFRISHGQIYSKLL >ONI31053 pep chromosome:Prunus_persica_NCBIv2:G1:28837843:28838820:1 gene:PRUPE_1G289100 transcript:ONI31053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWLQHFDCSTFILALKLMFHLMLVIDFEQIGDGGDGGGVVLHGVPWGERVLSIAHEALKQFGDNVKLFSFKTTPRGYVYVRLDKLLNEYGCPSMGELESYSQEYKKRLDEVGALGEIPENLALEVSSPGAERLLKIPDDLLRFIDMPMRVSYVEDVDSKCCEKEGVFNLETIEAESESCVWKLANVKENRDPASKGRPLTRKQRDWRLKLPFSGHRRVLLYLEY >ONI31052 pep chromosome:Prunus_persica_NCBIv2:G1:28836952:28839085:1 gene:PRUPE_1G289100 transcript:ONI31052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRRSLQFLSVGRCFASSSSSFRSLSFLSAHPKSPLPFLLNSPPPLPSSSSSYLSGLVRLSLKSCPTIFPFRTLRFVNTESSEDNQDDPFQGEEIEEQGTSGGWEEGDTTDGWEEEDVGDPEIGDGGDGGGVVLHGVPWGERVLSIAHEALKQFGDNVKLFSFKTTPRGYVYVRLDKLLNEYGCPSMGELESYSQEYKKRLDEVGALGEIPENLALEVSSPGAERLLKIPDDLLRFIDMPMRVSYVEDVDSKCCEKEGVFNLETIEAESESCVWKLANVKENRDPASKGRPLTRKQRDWRLKLPFSGHRRVLLYLEY >ONI33892 pep chromosome:Prunus_persica_NCBIv2:G1:38026709:38028245:1 gene:PRUPE_1G451700 transcript:ONI33892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCLSTLCGHIVAHRSSSSVLFIYIISIFSLLCLEVSVIVAIFYKMNWEMQLAKFIDKNHIKFKDFLIFHVNMCRLIVILILVPQIKVIVLAAILWVIGAEPRAHCNDSEIPNFRYSFLAAPTQSVLDVSRHGFRNYEASPRESFLSYVNRFFRMQFHRRVSLS >ONI33891 pep chromosome:Prunus_persica_NCBIv2:G1:38026222:38028245:1 gene:PRUPE_1G451700 transcript:ONI33891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCLSTLCGHIVAHRSSSSVLFIYIISIFSLLCLEVSVIVAIFYKMNWEMQLAKFIDKNHIKFKDFLIFHVNMCRLIVILILVPQIKVIVLAAILWVIGAEPRAHCNDSEIPNFRYSFLAAPTQSVLDVSRHGFRNYEASPRESFLSYVNRFFRMQFHRRVSLS >ONI33889 pep chromosome:Prunus_persica_NCBIv2:G1:38025910:38028245:1 gene:PRUPE_1G451700 transcript:ONI33889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCARCCVHTSMRAVNLFVNLCGVGVIIYSLWLIKKWQVGVSELPSVSYIPKPWFIYTCLGVGMAVCLSTLCGHIVAHRSSSSVLFIYIISIFSLLCLEVSVIVAIFYKMNWEMQLAKFIDKNHIKFKDFLIFHVNMCRLIVILILVPQIKVIVLAAILWVIGAEPRAHCNDSEIPNFRYSFLAAPTQSVLDVSRHGFRNYEASPRESFLSYVNRFFRMQFHRRVSLS >ONI33888 pep chromosome:Prunus_persica_NCBIv2:G1:38026222:38028245:1 gene:PRUPE_1G451700 transcript:ONI33888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCARCCVHTSMRAVNLFVNLCGVGVIIYSLWLIKKWQVGVSELPSVSYIPKPWFIYTCLGVGMAVCLSTLCGHIVAHRSSSSVLFIVSFLFQYIISIFSLLCLEVSVIVAIFYKMNWEMQLAKFIDKNHIKFKDFLIFHVNMCRLIVILILVPQIKVIVLAAILWVIGAEPRAHCNDSEIPNFRYSFLAAPTQSVLDVSRHGFRNYEASPRESFLSYVNRFFRMQFHRRVSLS >ONI33893 pep chromosome:Prunus_persica_NCBIv2:G1:38026314:38027976:1 gene:PRUPE_1G451700 transcript:ONI33893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCLSTLCGHIVAHRSSSSVLFIYIISIFSLLCLEVSVIVAIFYKMNWEMQLAKFIDKNHIKFKDFLIFHVNMCRLIVILILVPQIKVIVLAAILWVIGAEPRAHCNDSEIPNFRYSFLAAPTQSVLDVSRHGFRNYEASPRESFLSYVNRFFRMQFHRRVSLS >ONI33890 pep chromosome:Prunus_persica_NCBIv2:G1:38026679:38027790:1 gene:PRUPE_1G451700 transcript:ONI33890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKISFSFSVISFLFNIVLVFVLVHQKFIYTCLGVGMAVCLSTLCGHIVAHRSSSSVLFIYIISIFSLLCLEVSVIVAIFYKMNWEMQLAKFIDKNHIKFKDFLIFHVNMCRLIVILILVPQIKVIVLAAILWVIGAEPRAHCNDSEIPNFRYSFLAAPTQSVLDVSRHGFRNYEASPRESFLSYVNRFFRMQFHRRVSLS >ONI32743 pep chromosome:Prunus_persica_NCBIv2:G1:34419027:34422245:-1 gene:PRUPE_1G383100 transcript:ONI32743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKTSDKLSEFLESGVYRLEDSNAVFIDPVRVLNRSYTRFRVSPSAYYSRSFDSKHAGQEARVSSNFRKRKRKEKKPQPLNDRERAADQRHQEVRPFLLKAHESLVRATELLEVVSNLKGNLCPSTSSPGAEQSLVELGRVWQAPLYEITLNFHPNDNAREDGGAPTIQYDEQRAFPVFNNLVVNETSEDVEAELLNSRYILPPESSFYMSDLGQIHNLIPAESDCGFNLIVIDPPWENGSARQKQRYSTLPNRYFLSLPVKQICHTDGALVALWVTNREKLRGFVEKELFPAWGVKYVATFFW >ONI32742 pep chromosome:Prunus_persica_NCBIv2:G1:34419187:34422080:-1 gene:PRUPE_1G383100 transcript:ONI32742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSKTSDKLSEFLESGVYRLEDSNAVFIDPVRVLNRSYTRFRVSPSAYYSRSFDSKHAGQEARVSSNFRKRKRKEKKPQPLNDRERAADQRHQEVRPFLLKAHESLVRATELLEVVSNLKGNLCPSTSSPGAEQSLVELGRVWQAPLYEITLNFHPNDNAREDGGAPTIQYDEQRAFPVFNNLVVNETSEDVEAELLNSRYILPPESSFYMSDLGQIHNLIPAESDCGFNLIVIDPPWENGSARQKQRYSTLPNRYFLSLPVKQICHTDGALVALWVTNREKLRGFVEKELFPAWGVKYVATFFWLKVKADGSLISDLDLFHHRPYECLLLGLVHGKVTDSKQLSSTKPILLDNQIMLSIPGGYSRKPPIAGLLHEYAPRLQRGRCIELFAREMTAGWISWGNEPLHFQESINFMKT >ONI31658 pep chromosome:Prunus_persica_NCBIv2:G1:30925363:30929886:-1 gene:PRUPE_1G323900 transcript:ONI31658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNHLTCLNPCRKIVRMSATQTENPRISFESLPIKPPSHPTYDLWGVIKSALSEDAGDRGDVTCLATIPSDMEVEAHFLAKENGIIAGIALAEMVFHEVDPSLKVEWSQKDGDSVHKGLDFGKVYGRAHSIVVAERVALNFMQRMSGVATLTKAMADLASPARILETRKTAPGLRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISIAGGITNALKSVDLYLEQRNLQMEVEVETRTIEEVDKVLDYASQTETSLTRIMLDNMVVPLPNGDVDVTMLEQAVNLIGRRFETEASGNVTLETVHKIGQTGVDYISSGALTHSVKALDISLKIDTELALQVGRRTQRA >ONI31657 pep chromosome:Prunus_persica_NCBIv2:G1:30925817:30930368:-1 gene:PRUPE_1G323900 transcript:ONI31657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASLCFSLQNSCNASSATGILYDPPLGFRFRSRIRKIVRMSATQTENPRISFESLPIKPPSHPTYDLWGVIKSALSEDAGDRGDVTCLATIPSDMEVEAHFLAKENGIIAGIALAEMVFHEVDPSLKVEWSQKDGDSVHKGLDFGKVYGRAHSIVVAERVALNFMQRMSGVATLTKAMADLASPARILETRKTAPGLRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISIAGGITNALKSVDLYLEQRNLQMEVEVETRTIEEVDKVLDYASQTETSLTRIMLDNMVVPLPNGDVDVTMLEQAVNLIGRRFETEASGNVTLETVHKIGQTGVDYISSGALTHSVKALDISLKIDTELALQVGRRTQRA >ONI31655 pep chromosome:Prunus_persica_NCBIv2:G1:30925363:30930819:-1 gene:PRUPE_1G323900 transcript:ONI31655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASLCFSLQNSCNASSATGILYDPPLGFRFRSRIRKIVRMSATQTENPRISFESLPIKPPSHPTYDLWGVIKSALSEDAGDRGDVTCLATIPSDMEVEAHFLAKENGIIAGIALAEMVFHEVDPSLKVEWSQKDGDSVHKGLDFGKVYGRAHSIVVAERVALNFMQRMSGVATLTKAMADLASPARILETRKTAPGLRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISIAGGITNALKSVDLYLEQRNLQMEVEVETRTIEEVDKVLDYASQTETSLTRIMLDNMVVPLPNGDVDVTMLEQAVNLIGRRFETEASGNVTLETVHKIGQTGVDYISSGALTHSVKALDISLKIDTELALQVGRRTQRA >ONI31656 pep chromosome:Prunus_persica_NCBIv2:G1:30925817:30930368:-1 gene:PRUPE_1G323900 transcript:ONI31656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASLCFSLQNSCNASSATGILYDPPLGFRFRSRIRKIVRMSATQTENPRISFESLPIKPPSHPTYDLWGVIKSALSEDAGDRGDVTCLATIPSDMEVEAHFLAKENGIIAGIALAEMVFHEVDPSLKVEWSQKDGDSVHKGLDFGKVYGRAHSIVVAERVALNFMQRMSGVATLTKAMADLASPARILETRKTAPGLRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISIAGGITNALKSVDLYLEQRNLQMEVEVETRTIEEVDKVLDYASQTETSLTRIMLDNMVVPLPNGDVDVTMLEQAVNLIGRRFETEASGNVTLETVHKIGQTGVDYISSGALTHSVKALDISLKIDTELALQVGRRTQRA >ONI31654 pep chromosome:Prunus_persica_NCBIv2:G1:30925363:30930548:-1 gene:PRUPE_1G323900 transcript:ONI31654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASLCFSLQNSCNASSATGILYDPPLGFRFRSRIRKIVRMSATQTENPRISFESLPIKPPSHPTYDLWGVIKSALSEDAGDRGDVTCLATIPSDMEVEAHFLAKENGIIAGIALAEMVFHEVDPSLKVEWSQKDGDSVHKGLDFGKVYGRAHSIVVAERVALNFMQRMSGVATLTKAMADLASPARILETRKTAPGLRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISIAGGITNALKSVDLYLEQRNLQMEVEVETRTIEEVDKVLDYASQTETSLTRIMLDNMVVPLPNGDVDVTMLEQAVNLIGRRFETEASGNVTLETVHKIGQTGVDYISSGALTHSVKALDISLKIDTELALQVGRRTQRA >ONI30919 pep chromosome:Prunus_persica_NCBIv2:G1:28448435:28451516:-1 gene:PRUPE_1G281400 transcript:ONI30919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHLLKASMLSPSGPNTSRSSRPTPHLVSHTIFKTTNNVFRSNPGRKLYHLLRVSSSPTSPIRYSRKKRVDESESLTLDNIRHSLIRQEESIIFSLLERAQYCYNADTYDHDAISAEGFRGSLVEFMIWETEKLHAQVGRYKSPDEHPFFPAYLPEPMLPPLQYPQVLHPCAASININNKLWNMYFRNLLPRLVKAGDDGNCGSAAVCDTLCLQELSKRIHYGKFVAEAKFLQSPAEYETAIRAQDRTQLMALLTFETVEEAIQKRVEMKAKTYAQEFKIHQEEDEASPVYKIKPHLVASLYRDSIMPLTKEVQVEYLLRRLD >ONI31631 pep chromosome:Prunus_persica_NCBIv2:G1:30869142:30873318:1 gene:PRUPE_1G323200 transcript:ONI31631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVQRILVCNYLLISLAVVLGFPNGSWAEDKLVNLTRLKMFVDELPDMPKIKAFHLVNGVPKPKSLQIGMYKIKWKFHRDLPPTPVFAYGARWRTATVPGPTIEALHGIDTYVTWMNRLPSKHILPWDPTIPTARAATNKGVPTVVHVHGAIDEPASDGNANAWFTARFEARGPLWSNRKYHYRNQQHPGNLWYHDHAMGLTRVNLLAGLIGAYIIRHPQVEGPLGLPRDEFDRTLIVFDRSFRTDGSIYMNSTGNNPSIHPQWQPEYFGDAIIVNGKAWPRLTVRRRKYRFRIINASNARFFRFFFTNGLRFTHVGSDSAYIGEPVETNDILLGPSEITDVVVDFSKSKNDNAILANDAPYPYPSGDPVNDANSKVMKFLVVGRSAVDTSRIPKRLISYPVPDLSSVSRTRYIAMYEYTSDIDEPTHLYLNGKPYEAPVTEIAKAGTSEVWNVINLTEDNHPLHIHLGLFVVLEQRELVDVEKFKDCMNKTNDAVNCNISKYARGKKIDVPAYEKGWKNVFKMRPGSVTKILLRFAYLHTNASYEFDPTVEPGYVYHCHILDHEDNAMMRPLKVVR >ONI31632 pep chromosome:Prunus_persica_NCBIv2:G1:30869142:30873344:1 gene:PRUPE_1G323200 transcript:ONI31632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVQRILVCNYLLISLAVVLGFPNGSWAEDKLVNLTRLKMFVDELPDMPKIKAFHLVNGVPKPKSLQIGMYKIKWKFHRDLPPTPVFAYGARWRTATVPGPTIEALHGIDTYVTWMNRLPSKHILPWDPTIPTARAATNKGVPTVVHVHGAIDEPASDGNANAWFTARFEARGPLWSNRKYHYRNQQHPGNLWYHDHAMGLTRVNLLAGLIGAYIIRHPQVEGPLGLPRDEFDRTLIVFDRSFRTDGSIYMNSTGNNPSIHPQWQPEYFGDAIIVNGKAWPRLTVRRRKYRFRIINASNARFFRFFFTNGLRFTHVGSDSAYIGEPVETNDILLGPSEITDVVVDFSKSKNDNAILANDAPYPYPSGDPVNDANSKVMKFLVVGRSAVDTSRIPKRLISYPVPDLSSVSRTRYIAMYEYTSDIDEPTHLYLNGKPYEAPVTEIAKAGTSEVWNVINLTEDNHPLHIHLGLFVVLEQRELVDVEKFKDCMNKTNDAVNCNISKYARGKKIDVPAYEKGWKNVFKMRPGSVTKILLRFAYLHTNASYEFDPTVEPGYVYHCHILDHEDNAMMRPLKVVR >ONI33898 pep chromosome:Prunus_persica_NCBIv2:G1:38038071:38044367:-1 gene:PRUPE_1G452000 transcript:ONI33898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDRTNSTPSEGLGDSIQKVRALHGRTSGPTRRSTKGQWTPEEDEILRRAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVKKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPGINKEAWTQDEELALIRAHQMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLKSGLLTQFQGLPHVGHQNQSILSSSSRMQSSGDDSGAKAAEGEEISECSQDSTVAGCFLSATEMTNVVPHPREEFQINEVSRLGNDPSCSPASCSEPYYPSIGDATFSIPEIPPEMVCSKFIEQNFSHEAGASMSGNFQFNLHELPINSSLECGQESSRMHTHCVGCNESHEGVNAPFQTSTSMGNMAVGFVKSEHMLISDDECCRVLFSDAMNGGCFSSGDFTNGANMVDLGACTDSVLLQPSNLQISETGRTSASQVYHPLSSDVTGTSCSQVVSAHEGPLIYAGEPSHLFRVQEQEFVTNSNDGFIYTNDSASNDTGMQEQSDLVKDPSKLVPVNTFDSGLDSQNCPVDVRSDEQTEQQDGGALCYEPPRFPSLDIPFFSCDLVQSGNDMQQEYSPLGIRQLMMSSMNCLTPYRLWDSPSRESSPDAVLKSAAKTFTGTPSILKKRHRDLLSPLSPLSDRRIDKRLGTDLTSSLARDFSRLDVMFEDSEEKTTLLSPSSNKNRNSDSPSEDKENKGTCESRIEKGTDSAALSDDGIAHNDFDNGESQEKTKQFQGIADIEAKNKAQQTSGVLVEHNANDLLLCSPVGCKAEKAMGTSTRTPRSQFRKSFEATNPGVPSKSFSARQCASVKSPTICVKKHESYSLVDTCVQSDSLSVHPETTGDNAGNDISIENIFGDTPFKRSIESPSAWKSPWFINSFVPGPRVDTEISIEDIGFFMSPGDRSYDAIGLMKQISEQTAAAYANAQEVLGNETPETLFRERRKNQALVDPENNHGPPNQPGSSSLSAANVLVERRTLDFSECGTPGKGTENAKSSNAKTFSSPSSYLLKGCR >ONI33899 pep chromosome:Prunus_persica_NCBIv2:G1:38038085:38044367:-1 gene:PRUPE_1G452000 transcript:ONI33899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDRTNSTPSEGLGDSIQKVRALHGRTSGPTRRSTKGQWTPEEDEILRRAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVKKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPGINKEAWTQDEELALIRAHQMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLKSGLLTQFQGLPHVGHQNQSILSSSSRMQSSGDDSGAKAAEGEEISECSQDSTVAGCFLSATEMTNVVPHPREEFQINEVSRLGNDPSCSPASCSEPYYPSIGDATFSIPEIPPEMVCSKFIEQNFSHEAGASMSGNFQFNLHELPINSSLECGQESSRMHTHCVGCNESHEGVNAPFQTSTSMGNMAVGFVKSEHMLISDDECCRVLFSDAMNGGCFSSGDFTNGANMVDLGACTDSVLLQPSNLQISETGRTSASQVYHPLSSDVTGTSCSQVVSAHEGPLIYAGEPSHLFRVQEQEFVTNSNDGFIYTNDSASNDTGMQEQSDLVKDPSKLVPVNTFDSGLDSQNCPVDVRSDEQTEQQDGGALCYEPPRFPSLDIPFFSCDLVQSGNDMQQEYSPLGIRQLMMSSMNCLTPYRLWDSPSRESSPDAVLKSAAKTFTGTPSILKKRHRDLLSPLSPLSDRRIDKRLGTDLTSSLARDFSRLDVMFEDSEEKTTLLSPSSNKNRNSDSPSEDKENKGTCESRIEKGTDSAALSDDGIAHNDFDNGESQEKTKQFQGIADIEAKNKVDVIPTSQITSGVLVEHNANDLLLCSPVGCKAEKAMGTSTRTPRSQFRKSFEATNPGVPSKSFSARQCASVKSPTICVKKHESYSLVDTCVQSDSLSVHPETTGDNAGNDISIENIFGDTPFKRSIESPSAWKSPWFINSFVPGPRVDTEISIEDIGFFMSPGDRSYDAIGLMKQISEQTAAAYANAQEVLGNETPETLFRERRKNQALVDPENNHGPPNQPGSSSLSAANVLVERRTLDFSECGTPGKGTENAKSSNAKTFSSPSSYLLKGCR >ONI33900 pep chromosome:Prunus_persica_NCBIv2:G1:38038012:38044400:-1 gene:PRUPE_1G452000 transcript:ONI33900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDRTNSTPSEGLGDSIQKVRALHGRTSGPTRRSTKGQWTPEEDEILRRAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVKKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPGINKEAWTQDEELALIRAHQMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLKSGLLTQFQGLPHVGHQNQSILSSSSRMQSSGDDSGAKAAEGEEISECSQDSTVAGCFLSATEMTNVVPHPREEFQINEVSRLGNDPSCSPASCSEPYYPSIGDATFSIPEIPPEMVCSKFIEQNFSHEAGASMSGNFQFNLHELPINSSLECGQESSRMHTHCVGCNESHEGVNAPFQTSTSMGNMAVGFVKSEHMLISDDECCRVLFSDAMNGGCFSSGDFTNGANMVDLGACTDSVLLQPSNLQISETGRTSASQVYHPLSSDVTGTSCSQVVSAHEGPLIYAGEPSHLFRVQEQEFVTNSNDGFIYTNDSASNDTGMQEQSDLVKDPSKLVPVNTFDSGLDSQNCPVDVRSDEQTEQQDGGALCYEPPRFPSLDIPFFSCDLVQSGNDMQQEYSPLGIRQLMMSSMNCLTPYRLWDSPSRESSPDAVLKSAAKTFTGTPSILKKRHRDLLSPLSPLSDRRIDKRLGTDLTSSLARDFSRLDVMFEDSEEKTTLLSPSSNKNRNSDSPSEDKENKGTCESRIEKGTDSAALSDDGIAHNDFDNGESQEKTKQFQGIADIEAKNKVDVIPTSQIAQQTSGVLVEHNANDLLLCSPVGCKAEKAMGTSTRTPRSQFRKSFEATNPGVPSKSFSARQCASVKSPTICVKKHESYSLVDTCVQSDSLSVHPETTGDNAGNDISIENIFGDTPFKRSIESPSAWKSPWFINSFVPGPRVDTEISIEDIGFFMSPGDRSYDAIGLMKQISEQTAAAYANAQEVLGNETPETLFRERRKNQALVDPENNHGPPNQPGSSSLSAANVLVERRTLDFSECGTPGKGTENAKSSNAKTFSSPSSYLLKGCR >ONI30094 pep chromosome:Prunus_persica_NCBIv2:G1:24605597:24606985:1 gene:PRUPE_1G231200 transcript:ONI30094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSTCASSASLVFLSFHFASLLIGNCHLRWFPGNALIIRLLGRSHTYNYLHARLQQKWSLNRGWKLVDLVNDYFVVRFELEEDLNFVLIGGPWIIAGQYLVMKKWRPEFCPAIEKIKQGWINSTTPSDRFLLCFDVWTLKRIWNFLGKLLKIDALTTSLNQGKFARLCVKLDLSRPLEAFIQINNVWYNVEYEGLPDTCYMCGRYGHKREHCDVQDVAPVEKTGVKNVF >ONI33026 pep chromosome:Prunus_persica_NCBIv2:G1:35397165:35400928:-1 gene:PRUPE_1G400900 transcript:ONI33026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWEMETDNIEDMDMEVLPSMWPEDIGADAGKQFNIDKPGQDQDMLEEVTFIEEPTIVDFKRLLELTNYTDKGSSQLAFLVKHWEYKQANAVRLLREELDILSKQRQEVELKKLEILEEHRFEEESYGGDKRPISILDEVYDIWLDIAPKKHDVVVQSKRVEIEAEYDTVVYWKQRAMHLEKLLEASAEREQILVDKLQESIQSLERQSSPVEELSQILKRADNFLHFVLQNAPVVIGHQDKELRYRFIYNHFPSLQEEDIIGRTDVEIFTGAGVKESQDFKKEVLEKGLPAKREITFETPLFGSKTFLIYVEPVFSKAGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILTTTKLDREQRQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGHVAEDVPVEVIGDVLRIRQILTNLISNAIKFTHEGKVGIRLYVVSDPSSEEGERCPQKLNADQSTVSENGLKEDADQEGFHGDGPYQNHSLNDEPRAPAKSEVSVDADQEEEPQTTTVWLRCDVYDTGIGIPENALPSLFKRYMQVSADHARKYGGTGLGLAICKQLVELMGGQLTVSSQEHCGSTFTFVLPYKVSTSSDHSDDPDEVVDMANHDAATDEVAESFFQFQPRTLGSLFSSNGSGRTQKLLPHKIGFSGSHKLNGFSQNSYSFPQNNIIPEEMASVENGCSAIDVAETLSEPESSASHIPNHNCETPAHADKQGQDDTNNQFQDSRNRDSSYHAEPSREVSVAAKIREPQGTCKRQEKSDADSESTSSKIPKSRSKPKILLVEDNKINVMVTQNMMKRLGHSIDVVNNGIEAVRAVQHCSYNLILMDVHMPVMDGLQTTRIIRSFEETGNWDAAEKAGIELTVPSQDALQNGHGYTPSGNRIPIIAVSIY >ONI33025 pep chromosome:Prunus_persica_NCBIv2:G1:35396792:35401964:-1 gene:PRUPE_1G400900 transcript:ONI33025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWEMETDNIEDMDMEVLPSMWPEDIGADAGKQFNIDKPGQDQDMLEEVTFIEEPTIVDFKRLLELTNYTDKGSSQLAFLVKHWEYKQANAVRLLREELDILSKQRQEVELKKLEILEEHRFEEESYGGDKRPISILDEVYDIWLDIAPKKHDVVVQSKRVEIEAEYDTVVYWKQRAMHLEKLLEASAEREQILVDKLQESIQSLERQSSPVEELSQILKRADNFLHFVLQNAPVVIGHQDKELRYRFIYNHFPSLQEEDIIGRTDVEIFTGAGVKESQDFKKEVLEKGLPAKREITFETPLFGSKTFLIYVEPVFSKAGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILTTTKLDREQRQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGHVAEDVPVEVIGDVLRIRQILTNLISNAIKFTHEGKVGIRLYVVSDPSSEEGERCPQKLNADQSTVSENGLKEDADQEGFHGDGPYQNHSLNDEPRAPAKSEVSVDADQEEEPQTTTVWLRCDVYDTGIGIPENALPSLFKRYMQVSADHARKYGGTGLGLAICKQLVELMGGQLTVSSQEHCGSTFTFVLPYKVSTSSDHSDDPDEVVDMANHDAATDEVAESFFQFQPRTLGSLFSSNGSGRTQKLLPHKIGFSGSHKLNGFSQNSYSFPQNNIIPEEMASVENGCSAIDVAETLSEPESSASHIPNHNCETPAHADKQGQDDTNNQFQDSRNRDSSYHAEPSREVSVAAKIREPQGTCKRQEKSDADSESTSSKIPKSRSKPKILLVEDNKINVMVTQNMMKRLGHSIDVVNNGIEAVRAVQHCSYNLILMDVHMPVMDGLQTTRIIRSFEETGNWDAAEKAGIELTVPSQDALQNGHGYTPSGNRIPIIAMTANAFSESADECFASGMDSFVPKPITFQKIKERLEQYLPD >ONI33024 pep chromosome:Prunus_persica_NCBIv2:G1:35396792:35401274:-1 gene:PRUPE_1G400900 transcript:ONI33024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWEMETDNIEDMDMEVLPSMWPEDIGADAGKQFNIDKPGQDQDMLEEVTFIEEPTIVDFKRLLELTNYTDKGSSQLAFLVKHWEYKQANAVRLLREELDILSKQRQEVELKKLEILEEHRFEEESYGGDKRPISILDEVYDIWLDIAPKKHDVVVQSKRVEIEAEYDTVVYWKQRAMHLEKLLEASAEREQILVDKLQESIQSLERQSSPVEELSQILKRADNFLHFVLQNAPVVIGHQDKELRYRFIYNHFPSLQEEDIIGRTDVEIFTGAGVKESQDFKKEVLEKGLPAKREITFETPLFGSKTFLIYVEPVFSKAGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILTTTKLDREQRQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGHVAEDVPVEVIGDVLRIRQILTNLISNAIKFTHEGKVGIRLYVVSDPSSEEGERCPQKLNADQSTVSENGLKEDADQEGFHGDGPYQNHSLNDEPRAPAKSEVSVDADQEEEPQTTTVWLRCDVYDTGIGIPENALPSLFKRYMQVSADHARKYGGTGLGLAICKQLVELMGGQLTVSSQEHCGSTFTFVLPYKVSTSSDHSDDPDEVVDMANHDAATDEVAESFFQFQPRTLGSLFSSNGSGRTQKLLPHKIGFSGSHKLNGFSQNSYSFPQNNIIPEEMASVENGCSAIDVAETLSEPESSASHIPNHNCETPAHADKQGQDDTNNQFQDSRNRDSSYHAEPSREVSVAAKIREPQGTCKRQEKSDADSESTSSKIPKSRSKPKILLVEDNKINVMVTQNMMKRLGHSIDVVNNGIEAVRAVQHCSYNLILMDVHMPVMDGLQTTRIIRSFEETGNWDAAEKAGIELTVPSQDALQNGHGYTPSGNRIPIIAMTANAFSESADECFASGMDSFVPKPITFQKIKERLEQYLPD >ONI31276 pep chromosome:Prunus_persica_NCBIv2:G1:29767893:29770421:-1 gene:PRUPE_1G303600 transcript:ONI31276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYTAISLVILVSCMFFWAGSCSEEEDDDLAPMEETEQEALYSAIQGFVGKQWNGSDLYPDPCGWTPIQGVSCDLFDGLWYVTSLNIGPIHDNSLNCSTSAKFRPQLFDLKHLKSLSLFNCFLLPHKHPVPIPTDNWWKLASSLESLEFRSNPGLIGQIPSSFGSLRKLKSLVLVENGLAGELPTNIGDLIQLKRLVLAGNWFTGPIPNGFGELNRLLILDLSRNSFSGPFPMTLGSLTSLLKLDLSNNQLEGKLPGELANLKQLTLLDFRNNNFSGGLSKSLQEMHSLEEIALSNNPIGGELNSLEWQNIRNLVILELSGTGLTGEIPESISKLKRLRFLGLSDNKLTGNLLPKLATLPCLSALYLHGNNLTGVMKFSERFYHKMGSRFGAWNNPNLCFMSVLVPSGHVPFGVKPCQQDQEVTLLEPNSKTKLVNGSLNQNSHFTTSLGFSSSGIDGIWWLSGLLQLMIVVLLTCFL >ONI28412 pep chromosome:Prunus_persica_NCBIv2:G1:11102989:11106936:1 gene:PRUPE_1G141100 transcript:ONI28412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVSNTRRLSRALKSPIFIKSDQFLSPDVRVVEAPLTSFQWRNLSTVSSRNSLFSGITRGYLLPMEQRTMCTMMTLRAPFSSEASTVEGGSTEVVKELYDKMLQSVNIKRSMPPNAWLWSLIENCKKHEDIKLLFDILQNLRRFRLSNLRIHSDFNCNLCREVTKACVRVGALDFGKKALWKHNVYGLAPTIGSAHHLLLHAKERGDAKLMMEIMSLLKKNDLPLQPGTADIVFSICYNTDNWQLMSKYSKRFVKAGVKLRQTAFDLWMEFAAKIGDVESLWKIEKLRSESMKQHTVASGFSCAKGFLLVHKPEEAASVIQVLNQTFPDAKKSSIVVELQKLVSEWPLEVIRHQKEEDRKALAISLTSDIPAMVSGLLTTGLQVNVNIEDLTSKEGVLY >ONI33291 pep chromosome:Prunus_persica_NCBIv2:G1:36105682:36106784:-1 gene:PRUPE_1G415300 transcript:ONI33291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKATSLVALMAVFVTVLSVIGAAQAAESPAPSPTSASASISPSFISAFAAAFAAIVFGSALRV >ONI33749 pep chromosome:Prunus_persica_NCBIv2:G1:37647678:37651282:-1 gene:PRUPE_1G444400 transcript:ONI33749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPSSTLLLTLHLPSSSATQMARYITRATLLSIIPIPIRHTAASFPALPHSISPPKTQRFYASKCSLFGWGFHSLCGDEWRGAARPPFLSGPIYSSQKEYRKMRRRATKTKEKEKEIELDVSICIEEDLPDDPEVLSIAELLRLNVPLAMKLAFDGLNGSVYKTRDNAISDVGGFESVELSVLLCNDEFIRKLNKEWRNEDHATDVLSLSQHVPDLKLPILMLGDIVISVETAARQAEERGHSLIDEIRILMVHGLLHLLGFDHEVSEEAEVEMEKEEELLLKSLGWKGKGLIQSAHDAETHVNSHEEKPNGELLKDRKREGSLRFYKPKFKYIFCDMDGTLLNSKSQISSTTVKALKEVSSRGVKVVIATGKSRSAVINIFKEVDLAGKDGIVSEFSPGVFLQGLLVYGKQGQEIFRKNLDPSVCREACLYSLENKVPLIAFGKDRCLSLFDHPSVDSLHTVYNEPKAEIMPSVEHLLADADIQKVLFLDTAEVVATTLRPYWSQATGDRAKVVQAVPGHLEIVPAGTSKGSGVNMLLDHLGITPKEIMAIGDGENDIEMLELASLGIVLSNGSEKSKAVANVIGASNDEDGAADAIYRYAF >ONI33745 pep chromosome:Prunus_persica_NCBIv2:G1:37647281:37651423:-1 gene:PRUPE_1G444400 transcript:ONI33745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPSSTLLLTLHLPSSSATQMARYITRATLLSIIPIPIRHTAASFPALPHSISPPKTQRFYASKCSLFGWGFHSLCGDEWRGAARPPFLSGPIYSSQKEYRKMRRRATKTKEKEKEIELDVSICIEEDLPDDPEVLSIAELLRLNVPLAMKLAFDGLNGSVYKTRDNAISDVGGFESVELSVLLCNDEFIRKLNKEWRNEDHATDVLSLSQHVPDLKLPILMLGDIVISVETAARQAEERGHSLIDEIRILMVHGLLHLLGFDHEVSEEAEVEMEKEEELLLKSLGWKGKGLIQSAHDAETHVNSHEEKPNDRKREGSLRFYKPKFKYIFCDMDGTLLNSKSQISSTTVKALKEVSSRGVKVVIATGKGLLVYGKQGQEIFRKNLDPSVCREACLYSLENKVPLIAFGKDRCLSLFDHPSVDSLHTVYNEPKAEIMPSVEHLLADADIQKVLFLDTAEVVATTLRPYWSQATGDRAKVVQAVPGHLEIVPAGTSKGSGVNMLLDHLGITPKEIMAIGDGENDIEMLELASLGIVLSNGSEKSKAVANVIGASNDEDGAADAIYRYAF >ONI33747 pep chromosome:Prunus_persica_NCBIv2:G1:37647281:37651423:-1 gene:PRUPE_1G444400 transcript:ONI33747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPSSTLLLTLHLPSSSATQMARYITRATLLSIIPIPIRHTAASFPALPHSISPPKTQRFYASKCSLFGWGFHSLCGDEWRGAARPPFLSGPIYSSQKEYRKMRRRATKTKEKEKEIELDVSICIEEDLPDDPEVLSIAELLRLNVPLAMKLAFDGLNGSVYKTRDNAISDVGGFESVELSVLLCNDEFIRKLNKEWRNEDHATDVLSLSQHVPDLKLPILMLGDIVISVETAARQAEERGHSLIDEIRILMVHGLLHLLGFDHEVSEEAEVEMEKEEELLLKSLGWKGKGLIQSAHDAETHVNSHEEKPNGELLKDRKREGSLRFYKPKFKYIFCDMDGTLLNSKSQISSTTVKALKESRSAVINIFKEVDLAGKDGIVSEFSPGVFLQGLLVYGKQGQEIFRKNLDPSVCREACLYSLENKVPLIAFGKDRCLSLFDHPSVDSLHTVYNEPKAEIMPSVEHLLADADIQKVLFLDTAEVVATTLRPYWSQATGDRAKVVQAVPGHLEIVPAGTSKGSGVNMLLDHLGITPKEIMAIGDGENDIEMLELASLGIVLSNGSEKSKAVANVIGASNDEDGAADAIYRYAF >ONI33748 pep chromosome:Prunus_persica_NCBIv2:G1:37647281:37651423:-1 gene:PRUPE_1G444400 transcript:ONI33748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPSSTLLLTLHLPSSSATQMARYITRATLLSIIPIPIRHTAASFPALPHSISPPKTQRFYASKCSLFGWGFHSLCGDEWRGAARPPFLSGPIYSSQKEYRKMRRRATKTKEKEKEIELDVSICIEEDLPDDPEVLSIAELLRLNVPLAMKLAFDGLNGSVYKTRDNAISDVGGFESVELSVLLCNDEFIRKLNKEWRNEDHATDVLSLSQHVPDLKLPILMLGDIVISVETAARQAEERGHSLIDEIRILMVHGLLHLLGFDHEVSEEAEVEMEKEEELLLKSLGWKGKGLIQSAHDAETHVNSHEEKPNGELLKDRKREGSLRFYKPKFKYIFCDMDGTLLNSKSQISSTTVKALKEVSSRGVKVVIATGKGLLVYGKQGQEIFRKNLDPSVCREACLYSLENKVPLIAFGKDRCLSLFDHPSVDSLHTVYNEPKAEIMPSVEHLLADADIQKVLFLDTAEVVATTLRPYWSQATGDRAKVVQAVPGHLEIVPAGTSKGSGVNMLLDHLGITPKEIMAIGDGENDIEMLELASLGIVLSNGSEKSKAVANVIGASNDEDGAADAIYRYAF >ONI33746 pep chromosome:Prunus_persica_NCBIv2:G1:37647678:37651282:-1 gene:PRUPE_1G444400 transcript:ONI33746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPSSTLLLTLHLPSSSATQMARYITRATLLSIIPIPIRHTAASFPALPHSISPPKTQRFYASKCSLFGWGFHSLCGDEWRGAARPPFLSGPIYSSQKEYRKMRRRATKTKEKEKEIELDVSICIEEDLPDDPEVLSIAELLRLNVPLAMKLAFDGLNGSVYKTRDNAISDVGGFESVELSVLLCNDEFIRKLNKEWRNEDHATDVLSLSQHVPDLKLPILMLGDIVISVETAARQAEERGHSLIDEIRILMVHGLLHLLGFDHEVSEEAEVEMEKEEELLLKSLGWKGKGLIQSAHDAETHVNSHEEKPNDRKREGSLRFYKPKFKYIFCDMDGTLLNSKSQISSTTVKALKEVSSRGVKVVIATGKSRSAVINIFKEVDLAGKDGIVSEFSPGVFLQGLLVYGKQGQEIFRKNLDPSVCREACLYSLENKVPLIAFGKDRCLSLFDHPSVDSLHTVYNEPKAEIMPSVEHLLADADIQKVLFLDTAEVVATTLRPYWSQATGDRAKVVQAVPGHLEIVPAGTSKGSGVNMLLDHLGITPKEIMAIGDGENDIEMLELASLGIVLSNGSEKSKAVANVIGASNDEDGAADAIYRYAF >ONI27920 pep chromosome:Prunus_persica_NCBIv2:G1:8857659:8860045:1 gene:PRUPE_1G110900 transcript:ONI27920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLARRKTYLLSRNLSNTSSEAVRYSSLTSFSRGYASSGSDDNDVVVVGGGPGGYVAAIKASQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKHAFHNHGVKFSSVEVDLPAMMSQKDKAVSNLTRGIEGLFKKNKVTYVKGYGKFISPSEISVDTIDGENTVVKGKNIIIATGSDVKSLPGIAIDEKKIVSSTGALALTEIPKKLVVVGAGYIGLEMGSVWRRLGSEVTVVEFGPDIVPTMDSEIRKQFQRSLEKQGMKFVLKTKVVSVDTSGDGVYAIGDVIPGPMLAHKAEEDGVACVEYLAGKVGHVDYDLVPGVVYTHPEVASVGKTEEQVKSLGVEYRVGKFPFMANSRAKAIDDAEGLVKILADKETDKILGVHIMAPNAGELIHEAAIALQYDASSEDIARVCHAHPTMSEALKEAAMATYDKPIHI >ONI27919 pep chromosome:Prunus_persica_NCBIv2:G1:8857634:8860066:1 gene:PRUPE_1G110900 transcript:ONI27919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLARRKTYLLSRNLSNTSSEAVRYSSLTSFSRGYASSGSDDNDVVVVGGGPGGYVAAIKASQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKHAFHNHGVKFSSVEVDLPAMMSQKDKAVSNLTRGIEGLFKKNKVTYVKGYGKFISPSEISVDTIDGENTVVKGKNIIIATGSDVKSLPGIAIDEKKIVSSTGALALTEIPKKLVVVGAGYIGLEMGSVWRRLGSEVTVVEFGPDIVPTMDSEIRKQFQRSLEKQGMKFVLKTKVVSVDTSGDGVKLTLEPAAGGDQTTFEADVVLVSAGRVPFTSGLDLDKIGVETDKGGRILVNERFSTNVPGVYAIGDVIPGPMLAHKAEEDGVACVEYLAGKVGHVDYDLVPGVVYTHPEVASVGKTEEQVKSLGVEYRVGKFPFMANSRAKAIDDAEGLVKILADKETDKILGVHIMAPNAGELIHEAAIALQYDASSEDIARVCHAHPTMSEALKEAAMATYDKPIHI >ONI31475 pep chromosome:Prunus_persica_NCBIv2:G1:30475268:30479235:-1 gene:PRUPE_1G315600 transcript:ONI31475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGRRHHHNLVPLAALISRELKSEKMEKPTVRYGHAAQSRKGEDYFLIKTDGQRVTGNSSSTFSAFAIFDGHNGNAAAVYTRENLLKHVLGAIPRGLGREEWLQALPRALVAGFVKTDKDFQSRGETSGTTATFVIVDGWTVTVASVGDSRCILDTQGGAVSTLTVDHRLEENVEERERVAASGGEVGRLSIIGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAQSCRGLPAELAARQVVKEALRSRGLKDDTTCIVVDIIPPDHSVQPSTPPKKQNKLRALFFRKKSRDSANKLSKKLSAVGIVEELFEEGSAMLAERLGSDECTAQSTTSGLFMCAVCQVDLAPSEGISVHAGSIFSTSSKPWQGPFLCSDCCNKKDAMEGKRPSGVKVA >ONI34104 pep chromosome:Prunus_persica_NCBIv2:G1:38656870:38660186:1 gene:PRUPE_1G463000 transcript:ONI34104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASTSASASKLFSFSSLSFRLRSLTTASSISSNCSTTSKKKKEKENLKQRVVIKEKRRTRSDREFKLETIKRYGDTAAHIPVMLGEVLDVFSASKQLRYFVDCTVGAAGHSSAIIEGHPEMEVYVGLDVDPVALEKARARINSVLHNPTSSTLKASTFLENFRYVKSLLCDVDETLLDTGIDGILMDLGMSSMQVNDPERGFSVLANGPLDMRMDPQASLKAEDILNSWPETEVGRILREYGEESNWYSLQNRIVKARLNGGLHSTGDLVDLIKSATPLSRGRQGWIKTATRVFQALRIAVNDELKTLEDSLYACFDCLAPGGRLAVISFHSLEDRIVKQTFLDIINVKDGDGDIDMNEEEARNKDLRKVRNDIDESEAWIKQMVQGLRGTILTKRPITPSEKEESLNSRSRSAKLRVIQKA >ONI34103 pep chromosome:Prunus_persica_NCBIv2:G1:38656870:38660186:1 gene:PRUPE_1G463000 transcript:ONI34103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASTSASASKLFSFSSLSFRLRSLTTASSISSNCSTTSKKKKEKENLKQRVVIKEKRRTRSDREFKLETIKRYGDTAAHIPVMLGEVLDVFSASKQLRYFVDCTVGAAGHSSAIIEGHPEMEVYVGLDVDPVALEKARARINSVLHNPTSSTLKASTFLENFRYVKSLLCDVDETLLDTGIDGILMDLGMSSMQVNDPERGFSVLANGPLDMRMDPQASLKAEDILNSWPETEVGRILREYGEESNWYSLQNRIVKARLNGGLHSTGDLVDLIKSATPLSREGRQGWIKTATRVFQALRIAVNDELKTLEDSLYACFDCLAPGGRLAVISFHSLEDRIVKQTFLDIINVKDGDGDIDMNEEEARNKDLRKVRNDIDESEAWIKQMVQGLRGTILTKRPITPSEKEESLNSRSRSAKLRVIQKA >ONI29596 pep chromosome:Prunus_persica_NCBIv2:G1:20347279:20347895:1 gene:PRUPE_1G203900 transcript:ONI29596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHIPDLIMCRKQLGMAIGRLCEKCDGNCVICDSLVRLAHLFGSVTINWQFHR >ONI33506 pep chromosome:Prunus_persica_NCBIv2:G1:36837102:36837793:1 gene:PRUPE_1G429200 transcript:ONI33506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKHHGFIKVFAMNSISAVIFLFLILSSAVEVEGSRPLVHKLSSNSNSISKFQIVRAYSGPSRRGVGHALMHLNLSPAPIKISEAYSGPDKGGDGH >ONI27165 pep chromosome:Prunus_persica_NCBIv2:G1:5087199:5090580:1 gene:PRUPE_1G071800 transcript:ONI27165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGKDIYDVFAAIVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYQFILADSLQKVVILTALFLWQAFSKRGNLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGTLMVQIVVLQSVIWYTLMLFMFEYRGAKLLISEQFPETAASITSFRVDSDVVSLNGREPLQTDAEIGDDGKLHVVVKRSSASSMVSSFNKSHGLNSLTSMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFNASKAPSPKHGYTNSFQGGFGDVYSVQSSKGVTPRTSNFDEEALKMSTSNKKRGARSMSGEIFNGGMVSSYPPPNPMFSGSTSGGPKKKDSGGGGGGGGGGSGGAAPNKELHMFVWSSSASPVSEGNLRHAVNRAASTDFGVIDPSKAAALHQHETAASKGMHELIENMSPGRKMSGDRELETEEGSKFPPSASPYSSCQKKVDMEGGVAKKHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVAWSLISYKWHIKMPTIVSGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFAMAVRFLTGPAVIAATSIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHADILSTAVIFGMLVALPITILYYFLLGL >ONI29025 pep chromosome:Prunus_persica_NCBIv2:G1:14917128:14919336:1 gene:PRUPE_1G176200 transcript:ONI29025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQRRLFGAAYAVDLNSNGSSKGFFPTEKMKWSVYQMLGSLVFLWEMILGNLIDTTLCLPSDPIDFLILLEQKSSHNLELSSCQSALSLISYTSSLYDERLADDKLVLASLEKYILWLFQQEKLSTPLSYQLLKFSGKNIANGIIVHGKNSHMVNVNLIAELIAGGDNHGSTLLVSLLTQLLEKGHENDIISVVNLVATIINIFPIASDQLCLHVLIGPSKAIIFSTSLVSTINSAIHEACLKGPALVDHDEETSSGEKVSSSYFFSLRSLHTVLPGIVDWKNFFDPADRVQPISFFGIFCHDLCTLYCLLALFTRISDQRNRTGEELVCTMDYLRFVMAVLEGLIFSSDLSGYELWLLPINDGTSVTTKNNWSRMIVEELVMSLAVPCLASKSFINLHKPAIHVAVTLLKRPKVPEWMRSMFDDSCISGIIQYLAANNLST >ONI32126 pep chromosome:Prunus_persica_NCBIv2:G1:32601821:32612483:-1 gene:PRUPE_1G349800 transcript:ONI32126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLKGVVGGSGTGPKDLPYNIGEPYPSAWGSWTHFRGTSKDDGSPVSVFSISGSNAQDGHLAAARNGVKRLRTVRHPNILSFLHSTEAETLDASTTKQTIYIVTEPVMPLSEKIKELSLQGIQRDEYFAWGLHQIAKAVSFLNNDCKLVHANVCLASVVVTQTLDWKLHAFDVLSEFDGSNEASAGQMLQFAWLVGPQYKPMELLKSDWAAIRKSPPWAIDSWGLGCLIYELFSGLKLSKTEELRNTASIPKSLLPDYQRLLSSTPSRRLNTSKLIENSEYFQNKLVDTIHFMEILNLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASALEFGSAAAPALTALLKMGAWLSTEEFSVKVLPTIVKLFASNDRAIRVGLLQHVDQFGESLTAQVVDEQVYPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIATHLNDGTRKRVLINAFTVRALRDTFSPARGAGIMALCATSSYYDSTEIATRILPNIVVLTIDPDNDVRSKAFQAVDQFLQIVKQSYEKTNSGDTAGAAGLGISSIPGNASLLGWAMSSLTLKGKPSEQAPLAPVNISTSLTETTSNASSVVDTPSTATAHVSTTPDFADQHVPESPTSTDGWGELENGIDGEHESDKDGWDDIEPLEEPKPSPVLASIQAAQKRPVSQPVSQPKQQATSLRPKNTAKAIKNEDDDLWGSIAAPAPKTISKPLNLKTSGAVDDDDPWAAIAAPQPTTKAKPLAAVKGRGTKPAAPKLGAQRINRTSSSGV >ONI28071 pep chromosome:Prunus_persica_NCBIv2:G1:9501157:9502201:1 gene:PRUPE_1G121100 transcript:ONI28071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHQVKNQVRDSFEQGFDSLHSVADVVTFPLAPLDGAIHGTSRGVLNWLTDTHPEDRSNSKQKGLPNGGGYGGGQGSGYGGGNGRNGGGYPLGINPNRKLVTVKGNQISDNNADAQAFNNFANKYNGGYNGHNYHDNGQGFNNSGNRNNGGYNGQNHDDDDDEDDAIFGFEDNKISGNKGKVKGFNDFGNQYF >ONI32326 pep chromosome:Prunus_persica_NCBIv2:G1:33282320:33285837:1 gene:PRUPE_1G361300 transcript:ONI32326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKSISVFLSFPFLFLLTFISFHAQTKADENRVYIVYMGSAASSSPRSKNSLRNDHALLLKSVLRRKANAVVHTYRHGFSGFAARLSEEEARSIAHKPGVVSVFPDPLLKLHTTQSWEFLKYQTALEIYSNPNSISGAANGFSSVSANGSDTIIGILDTGIWPESESFNDKDMGPIPSRWKGTCMKSDDFSSSNCNRKLIGARFYDTSESDDTETEDGSPRDSEGHGSHVAATAAGSIVQGASYYGVAAGTAKGGSPTSRIAVYKVCSSEGCLGSAILAAFDDAIADGVDVLSLSLGSPIEYEPELSSDPIAIGAFHAVEQGITVVCSAGNDGPSRETVVNAAPWIVTVAATTIDRDFESDVVLGGNKTIKGRGINFSELQKSPVHPLIYAGSAGEGDARNCDANSMVAEKIKGKIVMCDTNDDNYSRNEQIDAVKSLGGVGIIFQEKNPGVVVVISTALPATVVSVKDGLDILSYINSTRNPVATILATVTVTKYKPAPIVAYFSSRGPSHGARNILKPDIAAPGVNILAAWMADDKGIALEGKDPPLFNVISGTSMACPHVSGIAATVKSQNPTWSPSAIRSALITTATQTDNLGAPLTTDSNSTATPYDYGAGEVRTTGPLQPGLVYETDTIDYLNYLCYYGYNISQIKTIARTAPKEFACPKDSNADYISNINYPSIAISNFNGKKTKNVSRRVTNVAGDGETVFTATVDAPTGLSVTVIPDKLEFSKNNQKLSYQVVFSSTTSSPKGDMFGSLTWTNGKNKVRSPFVVSI >ONI27615 pep chromosome:Prunus_persica_NCBIv2:G1:7683948:7686158:-1 gene:PRUPE_1G096700 transcript:ONI27615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVKKTKKTHESINTRLALVMKSGKYTLGYKTVIDSLRNSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKTLPGGQ >ONI31136 pep chromosome:Prunus_persica_NCBIv2:G1:29208475:29212358:1 gene:PRUPE_1G295100 transcript:ONI31136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLDSPVQTQMAVSLFSNPLSAEYHGSKRMEGKQSTGRRRVFVQTETGCVFGMELDRSDNVHTVKRRLQIALNVPTDESSLTFGDLVLKNDLSAVRNDSPLLLTRNLMHRSSSTPCLSPTGRDLQQRDRSGPIEILGNSDQFARTTQVVKDMVKAIKMGVDPIPVHSGLGGAYYFRNSRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAFLLDKDHFANVPPTALVKITHSIFNINDGVNGNKTPQKKLVSKIASCQQFIQHDFDASDHGTSSFPVASVHHIGILDIRIFNTDRHAGNLLVRKLDGVGMFGKVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSDDELEYIEKLDPNEDCEMLRRELPMIREACLRVLVLCTIFLKESAAYGLCLAEIGEMMSREFRSGEEEPSELEVICMEARRMLAEREALSPKADLVGDQEFLFDIDCEDTELDYPLKLAADDYVSRTPFQFGNGSGHGRSPLSKLEESIEEEEDSEVEEQEALASLRSPERVPSVSKLSMSLKNTVLGEKSHLKYTGTRAENGYMANTSSGHRSANEQLPASMSFVKLADMSEDDWAWFLEKFQDLMYPAFAKRKFITLGQRQRQRLGTSCQF >ONI27387 pep chromosome:Prunus_persica_NCBIv2:G1:6189076:6190629:1 gene:PRUPE_1G083300 transcript:ONI27387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFLNDMEREKPIRFTSQHLRIATDNFTNLLGQGGFGAVYKGIFSDGTLVAVKVLNGSSDKRIEEQFMAEVSTIGKIHHFNLVRLYGFCFGKYLRALVYEYMGNGSLDKFLFHSNKDLGFEKLHEIAVGTARGIAYLHEECQQRIVHYDIKPGNILLDANFFPKVADFGLAKLCNRDNTHITMTGGRGTPGYAAPEVWMQFAVTHKCDVYSFGMLLFEIVGGRRNLDINIQDSQDWFPRWVWKKFEPGELGEIMAVCGIEEKDKERAERIVKVALWCVQYMPEARPSMSFVVKMLEGSVEIPRPSTNPFQHLMSDTPYPTAPVYDTSNGTYSTSAYGSDPSQMVTGTPIMKNHEIEIAST >ONI34564 pep chromosome:Prunus_persica_NCBIv2:G1:40626822:40629742:1 gene:PRUPE_1G487700 transcript:ONI34564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCISCQDLYDEDAAGTCKECYEEANETEEELKREIDELKAKVAFLKFWSPTPSARSHPASFSDVVLVASEDTSAGAPVAVPAHKAVLVSRSPVFRAMLENEMEESLSGTIKIGDVSYDALRTFVNYLYTAEVCLDEDMACDLLILAEKYQVQHLKDYCEKFLVSKLNWDNSVMNYTFAHQHNAKHIIDAALTLITDNMDKLTTREEYVELVEKDPRLVVEIYEAYLSKQVNTAAQKDSSRKT >ONI34565 pep chromosome:Prunus_persica_NCBIv2:G1:40628015:40629331:1 gene:PRUPE_1G487700 transcript:ONI34565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCISCQDLYDEDAAGTCKECYEEANETEEELKREIDELKAKVAFLNARSHPASFSDVVLVASEDTSAGAPVAVPAHKAVLVSRSPVFRAMLENEMEESLSGTIKIGDVSYDALRTFVNYLYTAEVCLDEDMACDLLILAEKYQVQHLKDYCEKFLVSKLNWDNSVMNYTFAHQHNAKHIIDAALTLITDNMDKLTTREEYVELVEKDPRLVVEIYEAYLSKQVNTAAQKDSSRKT >ONI31937 pep chromosome:Prunus_persica_NCBIv2:G1:32013578:32017593:1 gene:PRUPE_1G340500 transcript:ONI31937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCSARNGAVRQYVRSKVPRLRWTPELHHCFLHSIERLGGHKKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRGDLGTRQQVTDRSCTQPRKQLFEEHDDGCLDELNGVSFYPSSKSIRESDSQLIYSTPRRSKRARTETTSSISEGLQQQCSQGIYETVSNPYSFDDNVLAMAQQQRGGFKEPNPSALSLPQPHLHYNFNHPSSQFSLQESDFFKVTKPEARADADQDNEDGDCQLSLSLSLHHPSSHKSKSNASSSEFSGAISSYSSSRSNYKDCSTSSSGNHRSINLNLSIALCGK >ONI31938 pep chromosome:Prunus_persica_NCBIv2:G1:32013578:32017593:1 gene:PRUPE_1G340500 transcript:ONI31938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCSARNGAVRQYVRSKVPRLRWTPELHHCFLHSIERLGGHKKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRGDLGTRQQDRSCTQPRKQLFEEHDDGCLDELNGVSFYPSSKSIRESDSQLIYSTPRRSKRARTETTSSISEGLQQQCSQGIYETVSNPYSFDDNVLAMAQQQRGGFKEPNPSALSLPQPHLHYNFNHPSSQFSLQESDFFKVTKPEARADADQDNEDGDCQLSLSLSLHHPSSHKSKSNASSSEFSGAISSYSSSRSNYKDCSTSSSGNHRSINLNLSIALCGK >ONI30927 pep chromosome:Prunus_persica_NCBIv2:G1:28490605:28492994:-1 gene:PRUPE_1G282000 transcript:ONI30927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGLLLNALTTSRNGAFCGSMPSWRKHFKLMTKTQISSVIEGHGIWHLCHQSLVLRGNPNMLKQRNVRVEAGWLFKRGDQGLNARSEQSEAANEDILIFFFQLDLATRVQYALNTEQYDIAQQLRNKLTEVEAEVIRQQEAKRGSSLKSEAQDKAISIIRLRADLQKAIESENYVLAAKLRDSISELEAESLAASAKALAHENANYSFRLGQRVRHKKFGYRAVVCGMDPVCCESSSWMETAEVEKLHQGSNQPFYQVLVDVHADPNLLVAYVSEENLLAPDEPDVQLREKYNRPRHEIPFDPLDEGHGSDA >ONI27511 pep chromosome:Prunus_persica_NCBIv2:G1:6860698:6864051:-1 gene:PRUPE_1G091100 transcript:ONI27511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKLLKATVVAVNSFDDVDPEIENALKSRLQKLLNYGPLSLISRSPHSPEDESGCIEWLDKSKPASVVYIAFGSAATPPPHELEALAQALIETGFPFIWSFRGNIEDFLPKGCNKSSLNGKIVSWAPQVQVLGHASVGVFVTHAGWNSVMESISGGVPMICRPFFGDHTLNMRTIVAVWGIGTEFERGVITKIGMVKALELVLKHKEGKEMRDKIGALKNLALQAVESNGSSSQAFNSLVDIVTK >ONI27510 pep chromosome:Prunus_persica_NCBIv2:G1:6860501:6865871:-1 gene:PRUPE_1G091100 transcript:ONI27510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNHDESIKTETETAMQSLSKNAPSKHEELKVKTMMKSREKGMMRSDFGGGKQALDFLPAFSRFQICDLPDGVVTGNLDSPIATLLHKMGQKLLKATVVAVNSFDDVDPEIENALKSRLQKLLNYGPLSLISRSPHSPEDESGCIEWLDKSKPASVVYIAFGSAATPPPHELEALAQALIETGFPFIWSFRGNIEDFLPKGCNKSSLNGKIVSWAPQVQVLGHASVGVFVTHAGWNSVMESISGGVPMICRPFFGDHTLNMRTIVAVWGIGTEFERGVITKIGMVKALELVLKHKEGKEMRDKIGALKNLALQAVESNGSSSQAFNSLVDIVTK >ONI31588 pep chromosome:Prunus_persica_NCBIv2:G1:30778532:30779945:-1 gene:PRUPE_1G321100 transcript:ONI31588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENILLPLSIIVPTSFIVALIFHAFKFKLSNASSKANLPPGSFGWPIIGETFAFLNQTQEKFAGYRICFGAHITSRGFLKTEAVVRNAVSMDCMVQEHLKLHWDGKSRVEVYHLAQLLVMTLSSSFFTGLQDNQERSAKLTRLTNEKKAAMSSGANEIKINDIMSHLLFNPDPTGRFMPENEVADKVMGLMMGGFHSPSMATSFLVKYLGEGPDICDKVQTDLELTLHIARSKESGSTNKNTENFGAPEVFDTSRFENGKAPQAHSNFPFGSGPRICPGKEYARLQLLCFLHRLVTRYKFQVLNPCAKIAGGMNPVPEEGFHIRLQPYIWRGGN >ONI26447 pep chromosome:Prunus_persica_NCBIv2:G1:1758692:1761948:1 gene:PRUPE_1G025500 transcript:ONI26447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKREQSKLGGVGGGASAPPAKRGRPFGSGGNSAAAAAAAAAETAAPSTLLGPSLHVHSSFADQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKDDMRKDTTPLAKIPGLLDALLSVIDDWRDIALPKEHVKAPRVRNLGANLLVTGFGNEYEALGSNGTLPLPGLGSSSQEASVLSNVTKLRSSSEWWLDEDGLFNLDEEGRAERQQCAVAASNIIRNFSFMPDNEVIMAQHRHCLETVFQCIEDYLTEDEELVTNALETIVNLAPLLDLGIFSSSKPSYIKITGKRAVQAIMGMLGSVVKTWHCAAAELLGRLIINPDNESFLLPFVPQIHKRLVDLMSLPSVDAQTAHGAQAAAVGALYNLAEVNMDCRLKLASERWAIDRLLKVIKAPHPVPEVCRKAAMILESLVSEPQNRALLLAYENAFAEILFSDARYSDTFARILYELTSRPNNKVAAARGVWGM >ONI36190 pep chromosome:Prunus_persica_NCBIv2:G1:46777441:46780804:1 gene:PRUPE_1G574100 transcript:ONI36190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSLRIRRTLCALSNLHRTLSATSALSFSHSSSSPLLNGPTSVQSPASHPKSWTLLLHSRTFRSSSLSSARSAPYDNNQNEKMDPNTVLFEGCDYNHWLIVMDYPQTNRPPAEEMVRAYEETCAKGLNISVEEAKQKMYACSTTTYTGFQVVMSEEESQKFEGLPGVIFVLPDSYIDPQNKEYGGDKYVNGTIYPRPPPVHHGRQQGRYHDRNRNPDPPRYNGQGPTPNQQGNPSYNLKGPMQDRGNYGPSQTYGSQRQGDNRGPLPVNTPGGRDAYQPGRDPVPSYQGNYNQAGQQNYHPQEQRNFPEGDQRNYAPPGPVGFRRDDRNYVPPQTETHGQGLGGFQGQGTARAYGQGPSSGAYGQGPSSGVYGQGPNSGAYGQGPSSGAYGQGPSSGAYGQGPSSGAYGQGPSSGAYGQEGQRSGAYGQEGQRSGAYGQEGQRSGAYGQEGQRSVAFGQGPSSGAFGQGPSSGPFGQVTEVTASGNGQSYPGYGGDQRFSQGEQRNVQGEQSNYAPTGQTGIEQGRY >ONI36191 pep chromosome:Prunus_persica_NCBIv2:G1:46777451:46780749:1 gene:PRUPE_1G574100 transcript:ONI36191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSLRIRRTLCALSNLHRTLSATSALSFSHSSSSPLLNGPTSVQSPASHPKSWTLLLHSRTFRSSSLSSARSAPYDNNQNEKMDPNTVLFEGCDYNHWLIVMDYPQTNRPPAEEMVRAYEETCAKGLNISVEEAKQKMYACSTTTYTGFQVVMSEEESQKFEGLPGVIFVLPDSYIDPQNKEYGGDKYVNGTIYPRPPPVHHGRQQGRYHDRNRNPDPPRYNGQGPTPNQQGNPSYNLKGPMQDRGNYGPSQTYGSQRQGDNRGPLPVNTPGGRDAYQPGRDPVPSYQGNYNQAGQQNYHPQEQRNFPEGDQRNYAPPGPVGFRRDDRNYVPPQTETHGQGLGGFQGQGTARAYGQGPSSGAYGQGPSSGVYGQGPNSGAYGQGPSSGAYGQGPSSGAYGQGPSSGAYGQGPSSGAYGQEGQRSGAYGQEGQRSGAYGQEGQRSGAYGQEGQRSVAFGQGPSSGAFGQGPSSGPFGQVTEVTASGNGQSYPGYGGDQRFSQGEQRNVQGEQSNYAPTGQTGIEQVRDPLFSN >ONI30324 pep chromosome:Prunus_persica_NCBIv2:G1:25774125:25777335:-1 gene:PRUPE_1G244400 transcript:ONI30324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSYCLSSARNTITPEAFLLHNSHLYLPKHRSFSFSTETHFPRKETNFLRPQTPLPKSFLPILRLNNSKITQPFASLSSFAEAEGEKQQNQDAKAEEHHENAKTEDQAESPGMAQAFHISSRTASAISICIVFAALSLPFFMKSLWQGMALKTKMLSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTLRQAVVTAAVLEFSGAFFMGTHVTSTMQKGILVANVFQGKDTLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGSMVGFGLVYGGAGAVFWSSLARVISSWVVSPFMGALVSFLVYKCIRRFVYSAPNPGQAAAAAAPIAVFVGVSGISFAAFPLGENLALALARALACGIAGAVLVYRIIRKQLGHLLLKSTSSEAEQKEGTIHPKNIGFLSDIAGPTGTQLEIVYGVFGYMQILSACFMSFAHGGNDVSNAIGPLAAALSILHGGASGAEIVIPTDVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWAVTIPAGAFFSVVYTWILTKLLSYIL >ONI36123 pep chromosome:Prunus_persica_NCBIv2:G1:46479668:46481390:1 gene:PRUPE_1G570600 transcript:ONI36123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKKDILAKTFGEHLNILTTCASTLIVICKRKETNIKIQSLWWNCKVGLTGHHCLWLILVSDALLRLALCDRMKGEGSNGCCFCSLV >ONI34918 pep chromosome:Prunus_persica_NCBIv2:G1:41795281:41797241:-1 gene:PRUPE_1G506000 transcript:ONI34918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSELWAIFGPGVAGAVFGAGWWFWVDAVVCSSVKVSFVHYLPGIFASLAALMFNCVKKEDIDYSPYEESEWRLKLWLFIAYVVAFVSLAASVGLLIQDSLVTTGPPVWTGVAGVLQSVFVLISGLIYWTAHPE >ONI34919 pep chromosome:Prunus_persica_NCBIv2:G1:41795720:41797216:-1 gene:PRUPE_1G506000 transcript:ONI34919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSELWAIFGPGVAGAVFGAGWWFWVDAVVCSSVKVSFVHYLPGIFASLAALMFNCVKKEDIDYSPYEESEWRLKLWLFIAYVVAFVSLAASVGLLIQDSLVTTGPPVWTGVAGVLQSVFVLIREHPY >ONI31358 pep chromosome:Prunus_persica_NCBIv2:G1:30036702:30038487:-1 gene:PRUPE_1G308400 transcript:ONI31358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNAVSFSETMAIISSTTSQPLLCTNRTIFSTKPSFSPRPKTHFCTQRPVFAALDLQKWPSQQSPATHKRDSKLKTLVCRAARRKPTTTVQQENDSSERLLQIVLWVAEAVYILWLFLLPYAPGDPVWAISSDTVNSLVGLSLNFFFILPLLNSVTAGLINAPVLHPMSEGLFNFVIGWTFMFAPLLFTDRKRDRYKGSLDVLWGFQMFLTNTFLIPYMAIRLNEAESDYTPSKRSQLGSVLTNGAPIVGLLGGVICLISALWALFGRMDGNFGSISDRWEFLISYLGSERLAYAFIWDIGLYTVFQPWLIGENLQNVQSSKIGIVNYLRFVPVVGLVAYLVCLNMDEEL >ONI26036 pep chromosome:Prunus_persica_NCBIv2:G1:90379:100408:-1 gene:PRUPE_1G000600 transcript:ONI26036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKREPCKYFQRGSCQFGDRCKFLHVIQQQQKSNIFGFGPQSGSNQQRKSNPYGFGVQNNSQSKGPADFGSKQSQFKPFENKWSRFSSQTASAAPSSQKSDKQPQATNHRCTDPDSCRSIIIEDNEHESPLWKLTCYGHWKNFPCDIVGDISYEELRAAAYDDAKHGLNMQSIIERERNLLNTKLIEFDKLGKPQGALLKPNHSGQNPLPSASQNAFLQAANNSAAPSLSSFTQLGTSLNTGFGPRPSAPSNNGFAQLNPFANSTQTSSGFGTNNFLSGSAAVSSQIPSATTGLPPILSDGPTSASNAVRQSTTEVQFLTNMQREKISGETSIWLKKTWSPGEIPEDEPPDALVEL >ONI26039 pep chromosome:Prunus_persica_NCBIv2:G1:89926:100588:-1 gene:PRUPE_1G000600 transcript:ONI26039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKREPCKYFQRGSCQFGDRCKFLHVIQQQQKSNIFGFGPQSGSNQQRKSNPYGFGVQNNSQSKGPADFGSKQSQFKPFENKWSRFSSQTASAAPSSQKSDKQPQATNHRCTDPDSCRSIIIEDNEHESPLWKLTCYGHWKNFPCDIVGDISYEELRAAAYDDAKHGLNMQSIIERERNLLNTKLIEFDKLGKPQGALLKPNHSGQNPLPSASQNAFLQAANNSAAPSLSSFTQLGTSLNTGFGPRPSAPSNNGFAQLNPFANSTQTSSGFGTNNFLSGSAELTCKGRRFLGRRVFG >ONI26038 pep chromosome:Prunus_persica_NCBIv2:G1:90379:100408:-1 gene:PRUPE_1G000600 transcript:ONI26038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKREPCKYFQRGSCQFGDRCKFLHVIQQQQKSNIFGFGPQSGSNQQRKSNPYGFGVQNNSQSKGPADFGSKQSQFKPFENKWSRFSSQTASAAPSSQKSDKQPQATNHRCTDPDSCRSIIIEDNEHESPLWKLTCYGHWKNFPCDIVGDISYEELRAAAYDDAKHGLNMQSIIERERNLLNTKLIEFDKLGKPQGALLKPNHSGQNPLPSASQNAFLQAANNSAAPSLSSFTQLGTSLNTGFGPRPSAPSNNGFAQLNPFANSTQTSSGFGTNNFLSGSAGSHGSQFPATAHVNVFPSSTGFGNTGVMRHETNPFSTLAVSSQIPSATTGLPPILSDGPTSASNAVRQSTTEVQFLTNMQREKISGETSIWLKKTWSPGEIPEDEPPDALVEL >ONI26037 pep chromosome:Prunus_persica_NCBIv2:G1:89887:100651:-1 gene:PRUPE_1G000600 transcript:ONI26037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKREPCKYFQRGSCQFGDRCKFLHVIQQQQKSNIFGFGPQSGSNQQRKSNPYGFGVQNNSQSKGPADFGSKQSQFKPFENKWSRFSSQTASAAPSSQKSDKQPQATNHRCTDPDSCRSIIIEDNEHESPLWKLTCYGHWKNFPCDIVGDISYEELRAAAYDDAKHGLNMQSIIERERNLLNTKLIEFDKLGKPQGALLKPNHSGQNPLPSASQNAFLQAANNSAAPSLSSFTQLGTSLNTGFGPRPSAPSNNGFAQLNPFANSTQTSSGFGTNNFLSGSAGFGNTGVMRHETNPFSTLAVSSQIPSATTGLPPILSDGPTSASNAVRQSTTEVQFLTNMQREKISGETSIWLKKTWSPGEIPEDEPPDALVEL >ONI26035 pep chromosome:Prunus_persica_NCBIv2:G1:89928:100588:-1 gene:PRUPE_1G000600 transcript:ONI26035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKREPCKYFQRGSCQFGDRCKFLHVIQQQQKSNIFGFGPQSGSNQQRKSNPYGFGVQNNSQSKGPADFGSKQSQFKPFENKWSRFSSQTASAAPSSQKSDKQPQATNHRCTDPDSCRSIIIEDNEHESPLWKLTCYGHWKNFPCDIVGDISYEELRAAAYDDAKHGLNMQSIIERERNLLNTKLIEFDKLGKPQGALLKPNHSGQNPLPSASQNAFLQAANNSAAPSLSSFTQLGTSLNTGFGPRPSAPSNNGFAQLNPFANSTQTSSGFGTNNFLSGSAVSSQIPSATTGLPPILSDGPTSASNAVRQSTTEVQFLTNMQREKISGETSIWLKKTWSPGEIPEDEPPDALVEL >ONI35247 pep chromosome:Prunus_persica_NCBIv2:G1:43037102:43040567:-1 gene:PRUPE_1G525300 transcript:ONI35247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVKEAMASSSPVHLQPHCPVLRHRHHPVLRRPPQWPRPRRHLHRQHRYHRHHLRVHGMASALETLCGQAYGAKQFHMLGIYMQRSWVVLFLCSLLLLPLFVFATPLLKLMGQSEAVAERTGLVALWSIPFHLSFPFQLTLQRFLQSQLKMGVIAWVCGGVLALHVFVSWFFVYELGIGIVGTTLTIGFAWWASVVAFFAYTVSGGCSETWTGFSTQAFFGLWDFFKLSLASGFMLLLENFYYRVLVIVSGYFNNTEIAVDALSICMTIYAWESMIPLGFLAATGVRVANELGAGNTKAAKFATTVAVLTSLAVGLLFWLIIIAFNEKLAMIFTSSSPVITMVNELSVLLAFTILLNCIQPVLSGVAVGSGWQAIVATINIGSYYLVGVPVGVVLGWLLLFGFKGLWTGMISGTVVQTLILVIITMRLDWEKEAQRAQIQIAKEATSKPRISAQQ >ONI35245 pep chromosome:Prunus_persica_NCBIv2:G1:43037102:43040567:-1 gene:PRUPE_1G525300 transcript:ONI35245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEREGEEHPFTLLLSPHQYQQEDAEEDGNLIKRTWLESKRLWQVAAPSIFSRIALFSVTVITQSFAGHLSGLDLAAISIVNTVIIAITFGFMLGMASALETLCGQAYGAKQFHMLGIYMQRSWVVLFLCSLLLLPLFVFATPLLKLMGQSEAVAERTGLVALWSIPFHLSFPFQLTLQRFLQSQLKMGVIAWVCGGVLALHVFVSWFFVYELGIGIVGTTLTIGFAWWASVVAFFAYTVSGGCSETWTGFSTQAFFGLWDFFKLSLASGFMLLLENFYYRVLVIVSGYFNNTEIAVDALSICMTIYAWESMIPLGFLAATGVRVANELGAGNTKAAKFATTVAVLTSLAVGLLFWLIIIAFNEKLAMIFTSSSPVITMVNELSVLLAFTILLNCIQPVLSGVAVGSGWQAIVATINIGSYYLVGVPVGVVLGWLLLFGFKAQRAQIQIAKEATSKPRISAQQ >ONI35246 pep chromosome:Prunus_persica_NCBIv2:G1:43037781:43040458:-1 gene:PRUPE_1G525300 transcript:ONI35246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEREGEEHPFTLLLSPHQYQQEDAEEDGNLIKRTWLESKRLWQVAAPSIFSRIALFSVTVITQSFAGHLSGLDLAAISIVNTVIIAITFGFMLGMASALETLCGQAYGAKQFHMLGIYMQRSWVVLFLCSLLLLPLFVFATPLLKLMGQSEAVAERTGLVALWSIPFHLSFPFQLTLQRFLQSQLKMGVIAWVCGGVLALHVFVSWFFVYELGIGIVGTTLTIGFAWWASVVAFFAYTVSGGCSETWTGFSTQAFFGLWDFFKLSLASGFMLLLENFYYRVLVIVSGYFNNTEIAVDALSICMTIYAWESMIPLGFLAATGVRVANELGAGNTKAAKFATTVAVLTSLAVGLLFWLIIIAFNEKLAMIFTSSSPVITMVNELSVLLAFTILLNCIQPVLSGVAVGSGWQAIVATINIGSYYLVGVPVGVVLGWLLLFGFKGLWTGMISGTVVQTLILVIITMRLDWEKEAQRAQIQIAKEATSKPRISAQQ >ONI32877 pep chromosome:Prunus_persica_NCBIv2:G1:34856972:34858757:-1 gene:PRUPE_1G391000 transcript:ONI32877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRMVLVPRKKKIGKVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAFGAHDHATTGIFEVEPKQCPGFVFRKSILIGRTDLGPKEVRAFMEKLAEEYSGNTYHLITKNCNHFCNDVCTRLTGKPIPRWVNRLARLGFFCNCVLPAGLNETKVRQVKSDGVYSGDKKKLRSLSTNCASSNPRPSSLQPSSGGSAGRSSRQRHCVPPSSSLIHSSSTSALTVKV >ONI32878 pep chromosome:Prunus_persica_NCBIv2:G1:34856845:34858757:-1 gene:PRUPE_1G391000 transcript:ONI32878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRMVLVPRKKKIGKVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAFGAHDHATTGIFEVEPKQCPGFVFRKSILIGRTDLGPKEVRAFMEKLAEEYSGNTYHLITKNCNHFCNDVCTRLTGKPIPRWVNRLARLGFFCNCVLPAGLNETKVRQVKSDGVYSGDKKKLRSLSTNCWGFCRQK >ONI32876 pep chromosome:Prunus_persica_NCBIv2:G1:34856718:34859237:-1 gene:PRUPE_1G391000 transcript:ONI32876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRMVLVPRKKKIGKVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAFGAHDHATTGIFEVEPKQCPGFVFRKSILIGRTDLGPKEVRAFMEKLAEEYSGNTYHLITKNCNHFCNDVCTRLTGKPIPRWVNRLARLAGLNETKVRQVKSDGVYSGDKKKLRSLSTNCASSNPRPSSLQPSSGGSAGRSSRQRHCVPPSSSLIHSSSTSALTVKV >ONI31691 pep chromosome:Prunus_persica_NCBIv2:G1:31016077:31017567:1 gene:PRUPE_1G325300 transcript:ONI31691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQQAQDVLPLKPQHGSNSPISAHTQKAHPHLLSKPFDPPIENFQPPPIARSSPKPQSNIHHPTSQTAPVQQNLAPLHQQVHHVFHTLHVVFIQCNLHHMATVSKHS >ONI34705 pep chromosome:Prunus_persica_NCBIv2:G1:41052819:41058380:1 gene:PRUPE_1G494000 transcript:ONI34705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKVDNLPLVTFCRERKDFIKTASDTRYALAAAHLSYFHSLKHIGDALCKFVDEDLIISGAGGSSSPPGSPVLTLPSDEGKPRRHPHKNDKHSSSSTSISHSVSVDSLKEEDIEDSHLHLSSGSGSDSDLESPSGHIHIEESPEQEVPSSSSYGYPPTSHMYYMRRSGTPMQTMSYEEPGRYPAQNGPYPDPYPGYSGYQPYGGGGFFGYPMGSPMTSEYPYNRRPPSPPPSDPPPAPPSPPKTSTWDFLNVFDTFDNSGYLGYNPRARYGYGSTTSSPDSKEVREREGIPELEDETEQEVMKEVHKEKRKANEDGYLSRNRNSGEGTSRGVRLQQPSSEGSSGTVPLHSSEGSSGTVPLHSSERSSGTVPLHSSESSHSVQGKEIKSSPDTIGSKNSEEEGAKKKRVSFEFEAPSTLGVGSSKGSSLTTLSVHGTRDLQEVVKEIRDEFETASSYGKEVAMLLEVGKLPYQPRGAALKGRSLSFSFLVFCHAFSCLVIASNIIYAMTFLFLLLRV >ONI34702 pep chromosome:Prunus_persica_NCBIv2:G1:41052327:41056542:1 gene:PRUPE_1G494000 transcript:ONI34702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKVDNLPLVTFCRERKDFIKTASDTRYALAAAHLSYFHSLKHIGDALCKFVDEDLIISGAGGSSSPPGSPVLTLPSDEGKPRRHPHKNDKHSSSSTSISHSVSVDSLKEEDIEDSHLHLSSGSGSDSDLESPSGHIHIEESPEQEVPSSSSYGYPPTSHMYYMRRSGTPMQTMSYEEPGRYPAQNGPYPDPYPGYSGYQPYGGGGFFGYPMGSPMTSEYPYNRRPPSPPPSDPPPAPPSPPKTSTWDFLNVFDTFDNSGYLGYNPRARYGYGSTTSSPDSKEVREREGIPELEDETEQEVMKEVHKEKRKANEDGYLSRNRNSGEGTSRGVRLQQPSSEGSSGTVPLHSSEGSSGTVPLHSSERSSGTVPLHSSESSHSVQGKEIKSSPDTIGSKNSEEEGAKKKRVSFEFEAPSTLGVGSSKGSSLTTLSVHGTRDLQEVVKEIRDEFETASSYGKEVAMLLEVGKLPYQPRGAALKVIFSRILYLVAPSMLSSQPPSGQPVRLTSKTMKMAKAYQGEPGKDFNKKSGNLSSTLEKLYAWEKKLYKEVKDEEKLRVDYEKKCKRLKSLDYHGAESAKIDATQASVRKLLTKINVCIRAVDTISSRIHKLRDEELLPQVTELIHGHDPLRLMVGTFTLFLVGRIYVTRVNDF >ONI34700 pep chromosome:Prunus_persica_NCBIv2:G1:41052073:41058380:1 gene:PRUPE_1G494000 transcript:ONI34700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKVDNLPLVTFCRERKDFIKTASDTRYALAAAHLSYFHSLKHIGDALCKFVDEDLIISGAGGSSSPPGSPVLTLPSDEGKPRRHPHKNDKHSSSSTSISHSVSVDSLKEEDIEDSHLHLSSGSGSDSDLESPSGHIHIEESPEQEVPSSSSYGYPPTSHMYYMRRSGTPMQTMSYEEPGRYPAQNGPYPDPYPGYSGYQPYGGGGFFGYPMGSPMTSEYPYNRRPPSPPPSDPPPAPPSPPKTSTWDFLNVFDTFDNSGYLGYNPRARYGYGSTTSSPDSKEVREREGIPELEDETEQEVMKEVHKEKRKANEDGYLSRNRNSGEGTSRGVRLQQPSSEGSSGTVPLHSSEGSSGTVPLHSSERSSGTVPLHSSESSHSVQGKEIKSSPDTIGSKNSEEEGAKKKRVSFEFEAPSTLGVGSSKGSSLTTLSVHGTRDLQEVVKEIRDEFETASSYGKEVAMLLEVGKLPYQPRGAALKVIFSRILYLVAPSMLSSQPPSGQPVRLTSKTMKMAKAYQGEPGKDFNKKSGNLSSTLEKLYAWEKKLYKEVKDEEKLRVDYEKKCKRLKSLDYHGAESAKIDATQASVRKLLTKINVCIRAVDTISSRIHKLRDEELLPQVTELIHGLIRMWKSMLKCHQKQFQAIMESKIRSLKVNTGLRRDSGLKATLELEMELLKWCTSFNNWVNTQKSYVESLNGWLLKCINQEPEVTPDGVAPFSPSRMGAPPIFVVCNDWCQAMERISEKGVADAMHDFASTLHQLWERQDEEQRQRIKAEYVSKNLESQLRKLRMERAKREHDHDASTDKTALSKAPSESGVSPLDDLKVDLDSMRKRLSEEKARHKEAIKLVNHAASNSLQAGLVPIFETLNNFTSEALKVHEQVRLQDAGGSY >ONI34703 pep chromosome:Prunus_persica_NCBIv2:G1:41052988:41056255:1 gene:PRUPE_1G494000 transcript:ONI34703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKVDNLPLVTFCRERKDFIKTASDTRYALAAAHLSYFHSLKHIGDALCKFVDEDLIISGAGGSSSPPGSPVLTLPSDEGKPRRHPHKNDKHSSSSTSISHSVSVDSLKEEDIEDSHLHLSSGSGSDSDLESPSGHIHIEESPEQEVPSSSSYGYPPTSHMYYMRRSGTPMQTMSYEEPGRYPAQNGPYPDPYPGYSGYQPYGGGGFFGYPMGSPMTSEYPYNRRPPSPPPSDPPPAPPSPPKTSTWDFLNVFDTFDNSGYLGYNPRARYGYGSTTSSPDSKEVREREGIPELEDETEQEVMKEVHKEKRKANEDGYLSRNRNSGEGTSRGVRLQQPSSEGSSGTVPLHSSEGSSGTVPLHSSERSSGTVPLHSSESSHSVQGKEIKSSPDTIGSKNSEEEGAKKKRVSFEFEAPSTLGVGSSKGSSLTTLSVHGTRDLQEVVKEIRDEFETASSYGKEVAMLLEVGKLPYQPRGAALKVIFSRILYLVAPSMLSSQPPSGQPVRLTSKTMKMAKAYQGEPGKDFNKKSGNLSSTLEKLYAWEKKLYKEVKDEEKLRVDYEKKCKRLKSLDYHGAESAKIDATQASVRKLLTKINVCIRAVDTISSRIHKLRDEELLPQVTELIHGHDPLRLMVGTFTLFLVGRIYVTRVNDF >ONI34704 pep chromosome:Prunus_persica_NCBIv2:G1:41052252:41055891:1 gene:PRUPE_1G494000 transcript:ONI34704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKVDNLPLVTFCRERKDFIKTASDTRYALAAAHLSYFHSLKHIGDALCKFVDEDLIISGAGGSSSPPGSPVLTLPSDEGKPRRHPHKNDKHSSSSTSISHSVSVDSLKEEDIEDSHLHLSSGSGSDSDLESPSGHIHIEESPEQEVPSSSSYGYPPTSHMYYMRRSGTPMQTMSYEEPGRYPAQNGPYPDPYPGYSGYQPYGGGGFFGYPMGSPMTSEYPYNRRPPSPPPSDPPPAPPSPPKTSTWDFLNVFDTFDNSGYLGYNPRARYGYGSTTSSPDSKEVREREGIPELEDETEQEVMKEVHKEKRKANEDGYLSRNRNSGEGTSRGVRLQQPSSEGSSGTVPLHSSEGSSGTVPLHSSERSSGTVPLHSSESSHSVQGKEIKSSPDTIGSKNSEEEGAKKKRVSFEFEAPSTLGVGSSKGSSLTTLSVHGTRDLQEVVKEIRDEFETASSYGKEVAMLLEVGKLPYQPRGAALKVIFSRILYLVAPSMLSSQPPSGQPVRLTSKTMKMAKAYQGEPGKDFNKKSGNLSSTLEKLYAWEKKLYKEVKDEEKLRVDYEKKCKRLKSLDYHGAESAKIDATQASVRKLLTKINVCIRAVDTISSRIHKLRDEELLPQVTELIHG >ONI34699 pep chromosome:Prunus_persica_NCBIv2:G1:41052195:41058380:1 gene:PRUPE_1G494000 transcript:ONI34699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKVDNLPLVTFCRERKDFIKTASDTRYALAAAHLSYFHSLKHIGDALCKFVDEDLIISGAGGSSSPPGSPVLTLPSDEGKPRRHPHKNDKHSSSSTSISHSVSVDSLKEEDIEDSHLHLSSGSGSDSDLESPSGHIHIEESPEQEVPSSSSYGYPPTSHMYYMRRSGTPMQTMSYEEPGRYPAQNGPYPDPYPGYSGYQPYGGGGFFGYPMGSPMTSEYPYNRRPPSPPPSDPPPAPPSPPKTSTWDFLNVFDTFDNSGYLGYNPRARYGYGSTTSSPDSKEVREREGIPELEDETEQEVMKEVHKEKRKANEDGYLSRNRNSGEGTSRGVRLQQPSSEGSSGTVPLHSSEGSSGTVPLHSSERSSGTVPLHSSESSHSVQGKEIKSSPDTIGSKNSEEEGAKKKRVSFEFEAPSTLGVGSSKGSSLTTLSVHGTRDLQEVVKEIRDEFETASSYGKEVAMLLEVGKLPYQPRGAALKVIFSRILYLVAPSMLSSQPPSGQPVRLTSKTMKMAKAYQGEPGKDFNKKSGNLSSTLEKLYAWEKKLYKEVKDEEKLRVDYEKKCKRLKSLDYHGAESAKIDATQASVRKLLTKINVCIRAVDTISSRIHKLRDEELLPQVTELIHGLIRMWKSMLKCHQKQFQAIMESKIRSLKVNTGLRRDSGLKATLELEMELLKWCTSFNNWVNTQKSYVESLNGWLLKCINQEPEVTPDGVAPFSPSRMGAPPIFVVCNDWCQAMERISEKGVADAMHDFASTLHQLWERQDEEQRQRIKAEYVSKNLESQLRKLRMERAKREHDHDASTDKTALSKAPSESGVSPLDDLKVDLDSMRKRLSEEKARHKEAIKLVNHAASNSLQAGLVPIFETLNNFTSEALKVHEQVRLQDAGGSY >ONI34698 pep chromosome:Prunus_persica_NCBIv2:G1:41052291:41058380:1 gene:PRUPE_1G494000 transcript:ONI34698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKVDNLPLVTFCRERKDFIKTASDTRYALAAAHLSYFHSLKHIGDALCKFVDEDLIISGAGGSSSPPGSPVLTLPSDEGKPRRHPHKNDKHSSSSTSISHSVSVDSLKEEDIEDSHLHLSSGSGSDSDLESPSGHIHIEESPEQEVPSSSSYGYPPTSHMYYMRRSGTPMQTMSYEEPGRYPAQNGPYPDPYPGYSGYQPYGGGGFFGYPMGSPMTSEYPYNRRPPSPPPSDPPPAPPSPPKTSTWDFLNVFDTFDNSGYLGYNPRARYGYGSTTSSPDSKEVREREGIPELEDETEQEVMKEVHKEKRKANEDGYLSRNRNSGEGTSRGVRLQQPSSEGSSGTVPLHSSEGSSGTVPLHSSERSSGTVPLHSSESSHSVQGKEIKSSPDTIGSKNSEEEGAKKKRVSFEFEAPSTLGVGSSKGSSLTTLSVHGTRDLQEVVKEIRDEFETASSYGKEVAMLLEVGKLPYQPRGAALKVIFSRILYLVAPSMLSSQPPSGQPVRLTSKTMKMAKAYQGEPGKDFNKKSGNLSSTLEKLYAWEKKLYKEVKDEEKLRVDYEKKCKRLKSLDYHGAESAKIDATQASVRKLLTKINVCIRAVDTISSRIHKLRDEELLPQVTELIHGLIRMWKSMLKCHQKQFQAIMESKIRSLKVNTGLRRDSGLKATLELEMELLKWCTSFNNWVNTQKSYVESLNGWLLKCINQEPEVTPDGVAPFSPSRMGAPPIFVVCNDWCQAMERISEKGVADAMHDFASTLHQLWERQDEEQRQRIKAEYVSKNLESQLRKLRMERAKREHDHDASTDKTALSKAPSESGVSPLDDLKVDLDSMRKRLSEEKARHKEAIKLVNHAASNSLQAGLVPIFETLNNFTSEALKVHEQVRLQDAGGSY >ONI34701 pep chromosome:Prunus_persica_NCBIv2:G1:41052241:41058380:1 gene:PRUPE_1G494000 transcript:ONI34701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKVDNLPLVTFCRERKDFIKTASDTRYALAAAHLSYFHSLKHIGDALCKFVDEDLIISGAGGSSSPPGSPVLTLPSDEGKPRRHPHKNDKHSSSSTSISHSVSVDSLKEEDIEDSHLHLSSGSGSDSDLESPSGHIHIEESPEQEVPSSSSYGYPPTSHMYYMRRSGTPMQTMSYEEPGRYPAQNGPYPDPYPGYSGYQPYGGGGFFGYPMGSPMTSEYPYNRRPPSPPPSDPPPAPPSPPKTSTWDFLNVFDTFDNSGYLGYNPRARYGYGSTTSSPDSKEVREREGIPELEDETEQEVMKEVHKEKRKANEDGYLSRNRNSGEGTSRGVRLQQPSSEGSSGTVPLHSSEGSSGTVPLHSSERSSGTVPLHSSESSHSVQGKEIKSSPDTIGSKNSEEEGAKKKRVSFEFEAPSTLGVGSSKGSSLTTLSVHGTRDLQEVVKEIRDEFETASSYGKEVAMLLEVGKLPYQPRGAALKVIFSRILYLVAPSMLSSQPPSGQPVRLTSKTMKMAKAYQGEPGKDFNKKSGNLSSTLEKLYAWEKKLYKEVKDEEKLRVDYEKKCKRLKSLDYHGAESAKIDATQASVRKLLTKINVCIRAVDTISSRIHKLRDEELLPQVTELIHGLIRMWKSMLKCHQKQFQAIMESKIRSLKVNTGLRRDSGLKATLELEMELLKWCTSFNNWVNTQKSYVESLNGWLLKCINQEPEVTPDGVAPFSPSRMGAPPIFVVCNDWCQAMERISEKGVADAMHDFASTLHQLWERQDEEQRQRIKAEYVSKNLESQLRKLRMERAKREHDHDASTDKTALSKAPSESGVSPLDDLKVDLDSMRKRLSEEKARHKEAIKLVNHAASNSLQAGLVPIFETLNNFTSEALKVHEQVRLQDAGGSY >ONI35129 pep chromosome:Prunus_persica_NCBIv2:G1:42561535:42562931:1 gene:PRUPE_1G517900 transcript:ONI35129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPMLMRRRKVARVGVRGGGGGEGTGSYQTYSLFLYFSSSLSPYLRHSHPPPFISLLLWLSLIGLDKLGFLGSGHYGFGATTYRASELFGSDCEVDLVLDV >ONI34367 pep chromosome:Prunus_persica_NCBIv2:G1:40043878:40051091:1 gene:PRUPE_1G478600 transcript:ONI34367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANAGLVAGSYKRNELVRIRHDSDSAPKPLKNLNGQICQICGDTVGLTATGDVFVACNECAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPRVDGDDDEDDIDDLENEFNYAQGNSNARRQWQGEDADLSSSSRHESQQPIPLLTNGQPMSGEIPCATPDNQSVRTTSGPLDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQDKNMMQMTSRYAEGKGDNEGTGSNGEELQMADDARQPLSRIVPISSSHLTPYRVVIILRLIILGFFLQYRATHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWFPINRETYLDRLTLRYDREGEPSQLAPIDVFVSTVDPMKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLQPNIIVKSCCGSRKKGKTSNKKYIDKKRAVKRTESTIPIFNMEDIEEGVEGYDDERTLLMSQKSLEKRFGQSPVFIAATFMEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISVYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGKLKLLERIAYINTIVYPLTSIPLIAYCLLPAFCLLTEKFIIPEISNFASMWFILLFVSIIATGILELRWSGVSIEDWWRNEQFWIIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLLVNMVGIVAGVSYAINSGYQSWGPLFGKLFFALWVVAHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTNDATKAASNGQCGVNC >ONI34368 pep chromosome:Prunus_persica_NCBIv2:G1:40043909:40049965:1 gene:PRUPE_1G478600 transcript:ONI34368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANAGLVAGSYKRNELVRIRHDSDSAPKPLKNLNGQICQICGDTVGLTATGDVFVACNECAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPRVDGDDDEDDIDDLENEFNYAQGNSNARRQWQGEDADLSSSSRHESQQPIPLLTNGQPMSGEIPCATPDNQSVRTTSGPLDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQDKNMMQMTSRYAEGKGDNEGTGSNGEELQMADDARQPLSRIVPISSSHLTPYRVVIILRLIILGFFLQYRATHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWFPINRETYLDRLTLRYDREGEPSQLAPIDVFVSTVDPMKEPPLVTANTVLSILSVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLQPNIIVKSCCGSRKKGKTSNKKYIDKKRAVKRTESTIPIFNMEDIEEGVEGYDDERTLLMSQKSLEKRFGQSPVFIAATFMEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISVYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGKLKLLERIAYINTIVYPLTSIPLIAYCLLPAFCLLTEKFIIPEVSTNPASIPLKK >ONI35133 pep chromosome:Prunus_persica_NCBIv2:G1:42574490:42578229:1 gene:PRUPE_1G518300 transcript:ONI35133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPVDDLSQFDISKEEKDKLVAEVIRYVLFKTHHNSGCPIKREELTQLLTKNYRQRNLPTLVINEAIQKLSTIFGYEMRELQRSRPSSTNQGRFSQQSVAEAKSYVILSKLPSDVYKKYVEDDRTAPLTGFTFVVLSIVHISGGKTTEEDLWRHLRRMGLDEKNESHPVLGNIKQALETLVQQRYLQKDKVSGPEGHTLFYELAERALDAPVSDSIKAYIAQIVNKEVGTADADD >ONI26570 pep chromosome:Prunus_persica_NCBIv2:G1:2237268:2243612:1 gene:PRUPE_1G031700 transcript:ONI26570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVKFIPRDQIDKDENSSTPAKQKQKQKKRSGSHDDKPRKGKRSSRYSSSDGEDLERIKKGSRKKWYSSDEYSSSSYSSGNESEGNSDCDRRKSQSKRKGKRSSEDMSKDEVSYRSKKRSSSGKKAYISEDHSSSPSDGEDSDSYSDRKERRKKGSRKYGKKKSKNRSHGSLEDEEFSDGGRGTSPSKDGEIARKEIGLEWMLRPEGKTDRTPTVIVEEQPKETLVEEIKKVNPRELNPYFKDDGSGYPEDTDEPKAGADQLLSSSVVGDGGASWRLKALKRAKEQATREGRRLQEVVGERWGSLGQLTVSAASNKAAQSRAHLHAIKSRKKGLSEDNQDTSRHYLKDVSLGHPKMREPKVRDSLSWKKQKSRDVSTKDSGLIAEAVSSLNTFSNDGSFMSEVLGKRIDDFSSPVYENVADTSKPSEVSAAFKGELSANQLAAKAFQLRLKGKHEEAEELLKEVENIKAKQADGDNSIRPQNERSTSRYVKQDVSLRQKKKEDDADMHLAQRIMQNKKYSISGQADDEYDYDDDGPSRKSRKKRGSDDHKVTQKNSFANRFSTQQERCLFCFENPSRPAHLVVAIANFSYLMLPKQQPVAPGHCCILPMQHVPSTRAVDDDVWQEIRNFKKCLIMMFAKQEKEVVFLETVMGLAQQRRHCIVECIPLPHEIAKEAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPEHFPYFHVEFGLNKGFVHVIDDETQFNSNLGLNVIRGMLQLPEEDMYRRRRYETVEAQKQAVQSFDQDWAPFDWTKQLHES >ONI26571 pep chromosome:Prunus_persica_NCBIv2:G1:2236774:2243612:1 gene:PRUPE_1G031700 transcript:ONI26571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVKFIPRDQIDKDENSSTPAKQKQKQKKRSGSHDDKPRKGKRSSRYSSSDGEDLERIKKGSRKKWYSSDEYSSSSYSSGNESEGNSDCDRRKSQSKRKGKRSSEDMSKDEVSYRSKKRSSSGKKAYISEDHSSSPSDGEDSDSYSDRKERRKKGSRKYGKKKSKNRSHGSLEDEEFSDGGRGTSPSKDGEIARKEIGLEWMLRPEGKTDRTPTVIVEEQPKETLVEEIKKVNPRELNPYFKDDGSGYPEDTDEPKAGADQLLSSSVVGDGGASWRLKALKRAKEQATREGRRLQEVVGERWGSLGQLTVSAASNKAAQSRAHLHAIKSRKKGLSEDNQDTSRHYLKDVSLGHPKMREPKVRDSLSWKKQKSRDVSTKDSGLIAEAVSSLNTFSNDGSFMSEVLGKRIDDFSSPVYENVADTSKPSEVSAAFKGELSANQLAAKAFQLRLKGKHEEAEELLKEVENIKAKQADGDNSIRPQNERSTSRYVKQDVSLRQKKKEDDADMHLAQRIMQNKKYSISGQADDEYDYDDDGPSRKSRKKRGSDDHKVTQKNSFANRFSTQQERCLFCFENPSRPAHLVVAIANFSYLMLPKQQPVAPGHCCILPMQHVPSTRAVDDDVWQEIRNFKKCLIMMFAKQEKEVVFLETVMGLAQQRRHCIVECIPLPHEIAKEAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPEHFPYFHVEFGLNKGFVHVIDDETQFNSNLGLNVIRGMLQLPEEDMYRRRRYETVEAQKQAVQSFDQDWAPFDWTKQLHES >ONI26572 pep chromosome:Prunus_persica_NCBIv2:G1:2236776:2243612:1 gene:PRUPE_1G031700 transcript:ONI26572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVKFIPRDQIDKDENSSTPAKQKQKQKKRSGSHDDKPRKGKRSSRYSSSDGEDLERIKKGSRKKWYSSDEYSSSSYSSGNESEGNSDCDRRKSQSKRKGKRSSEDMSKDEVSYRSKKRSSSGKKAYISEDHSSSPSDGEDSDSYSDRKERRKKGSRKYGKKKSKNRSHGSLEDEEFSDGGRGTSPSKDGEIARKEIGLEWMLRPEGKTDRTPTVIVEEQPKETLVEEIKKVNPRELNPYFKDDGSGYPEDTDEPKAGADQLLSSSVVGDGGASWRLKALKRAKEQATREGRRLQEVVGERWGSLGQLTVSAASNKAAQSRAHLHAIKSRKKGLSEDNQDTSRHYLKDVSLGHPKMREPKVRDSLSWKKQKSRDVSTKDSGLIAEAVSSLNTFSNDGSFMSEVLGKRIDDFSSPVYENVADTSKPSEVSAAFKGELSANQLAAKAFQLRLKGKHEEAEELLKEVENIKAKQADGDNSIRPQNERSTSRYVKQDVSLRQKKKEDDADMHLAQRIMQNKKYSISGQADDEYDYDDDGPSRKSRKKRGSDDHKVTQKNSFANRFSTQQERCLFCFENPSRPAHLVVAIANFSYLMLPKQQPVAPGHCCILPMQHVPSTRAVDDDVWQEIRNFKKCLIMMFAKQEKEVVFLETVMGLAQQRRHCIVECIPLPHEIAKEAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPEHFPYFHVEFGLNKGFVHVIDDETQFNSNLGLNVIRGMLQLPEEDMYRRRRYETVEAQKQAVQSFDQDWAPFDWTKQLHES >ONI26567 pep chromosome:Prunus_persica_NCBIv2:G1:2236771:2243558:1 gene:PRUPE_1G031700 transcript:ONI26567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVKFIPRDQIDKDENSSTPAKQKQKQKKRSGSHDDKPRKGKRSSRYSSSDGEDLERIKKGSRKKWYSSDEYSSSSYSSGNESEGNSDCDRRKSQSKRKGKRSSEDMSKDEVSYRSKKRSSSGKKAYISEDHSSSPSDGEDSDSYSDRKERRKKGSRKYGKKKSKNRSHGSLEDEEFSDGGRGTSPSKDGEIARKEIGLEWMLRPEGKTDRTPTVIVEEQPKETLVEEIKKVNPRELNPYFKDDGSGYPEDTDEPKAGADQLLSSSVVGDGGASWRLKALKRAKEQATREGRRLQEVVGERWGSLGQLTVSAASNKAAQSRAHLHAIKSRKKGLSEDNQVSSNNQSDMDSKKDTSRHYLKDVSLGHPKMREPKVRDSLSWKKQKSRDVSTKDSGLIAEAVSSLNTFSNDGSFMSEVLGKRIDDFSSPVYENVADTSKPSEVSAAFKGELSANQLAAKAFQLRLKGKHEEAEELLKEVENIKAKQADGDNSIRPQNERSTSRYVKQDVSLRQKKKEDDADMHLAQRIMQNKKYSISGQADDEYDYDDDGPSRKSRKKRGSDDHKVTQKNSFANRFSTQQERCLFCFENPSRPAHLVVAIANFSYLMLPKQQPVAPGHCCILPMQHVPSTRAVDDDVWQEIRNFKKCLIMMFAKQEKEVVFLETVMGLAQQRRHCIVECIPLPHEIAKEAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPEHFPYFHVEFGLNKGFVHVIDDETQFNSNLGLNVIRGMLQLPEEDMYRRRRYETVEAQKQAVQSFDQDWAPFDWTKQLHES >ONI26568 pep chromosome:Prunus_persica_NCBIv2:G1:2236846:2243558:1 gene:PRUPE_1G031700 transcript:ONI26568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVKFIPRDQIDKDENSSTPAKQKQKQKKRSGSHDDKPRKGKRSSRYSSSDGEDLERIKKGSRKKWYSSDEYSSSSYSSGNESEGNSDCDRRKSQSKRKGKRSSEDMSKDEVSYRSKKRSSSGKKAYISEDHSSSPSDGEDSDSYSDRKERRKKGSRKYGKKKSKNRSHGSLEDEEFSDGGRGTSPSKDGEIARKEIGLEWMLRPEGKTDRTPTVIVEEQPKETLVEEIKKVNPRELNPYFKDDGSGYPEDTDEPKAGADQLLSSSVVGDGGASWRLKALKRAKEQATREGRRLQEVVGERWGSLGQLTVSAASNKAAQSRAHLHAIKSRKKGLSEDNQVSSNNQSDMDSKKDTSRHYLKDVSLGHPKMREPKVRDSLSWKKQKSRDVSTKDSGLIAEAVSSLNTFSNDGSFMSEVLGKRIDDFSSPVYENVADTSKPSEVSAAFKGELSANQLAAKAFQLRLKGKHEEAEELLKEVENIKAKQADGDNSIRPQNERSTSRYVKQDVSLRQKKKEDDADMHLAQRIMQNKKYSISGQADDEYDYDDDGPSRKSRKKRGSDDHKVTQKNSFANRFSTQQERCLFCFENPSRPAHLVVAIANFSYLMLPKQQPVAPGHCCILPMQHVPSTRAVDDDVWQEIRNFKKCLIMMFAKQEKEVVFLETVMGLAQQRRHCIVECIPLPHEIAKEAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPEHFPYFHVEFGLNKGFVHVIDDETQFNSNLGLNVIRGMLQLPEEDMYRRRRYETVEAQKQAVQSFDQDWAPFDWTKQLHES >ONI26573 pep chromosome:Prunus_persica_NCBIv2:G1:2237563:2243612:1 gene:PRUPE_1G031700 transcript:ONI26573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVKFIPRDQIDKDENSSTPAKQKQKQKKRSGSHDDKPRKGKRSSRYSSSDGEDLERIKKGSRKKWYSSDEYSSSSYSSGNESEGNSDCDRRKSQSKRKGKRSSEDMSKDEVSYRSKKRSSSGKKAYISEDHSSSPSDGEDSDSYSDRKERRKKGSRKYGKKKSKNRSHGSLEDEEFSDGGRGTSPSKDGEIARKEIGLEWMLRPEGKTDRTPTVIVEEQPKETLVEEIKKVNPRELNPYFKDDGSGYPEDTDEPKAGADQLLSSSVVGDGGASWRLKALKRAKEQATREGRRLQEVVGERWGSLGQLTVSAASNKAAQSRAHLHAIKSRKKGLSEDNQDTSRHYLKDVSLGHPKMREPKVRDSLSWKKQKSRDVSTKDSGLIAEAVSSLNTFSNDGSFMSEVLGKRIDDFSSPVYENVADTSKPSEVSAAFKGELSANQLAAKAFQLRLKGKHEEAEELLKEVENIKAKQADGDNSIRPQNERSTSRYVKQDVSLRQKKKEDDADMHLAQRIMQNKKYSISGQADDEYDYDDDGPSRKSRKKRGSDDHKVTQKNSFANRFSTQQERCLFCFENPSRPAHLVVAIANFSYLMLPKQQPVAPGHCCILPMQHVPSTRAVDDDVWQEIRNFKKCLIMMFAKQEKEVVFLETVMGLAQQRRHCIVECIPLPHEIAKEAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPEHFPYFHVEFGLNKGFVHVIDDETQFNSNLGLNVIRGMLQLPEEDMYRRRRYETVEAQKQAVQSFDQDWAPFDWTKQLHES >ONI26569 pep chromosome:Prunus_persica_NCBIv2:G1:2236846:2243612:1 gene:PRUPE_1G031700 transcript:ONI26569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVKFIPRDQIDKDENSSTPAKQKQKQKKRSGSHDDKPRKGKRSSRYSSSDGEDLERIKKGSRKKWYSSDEYSSSSYSSGNESEGNSDCDRRKSQSKRKGKRSSEDMSKDEVSYRSKKRSSSGKKAYISEDHSSSPSDGEDSDSYSDRKERRKKGSRKYGKKKSKNRSHGSLEDEEFSDGGRGTSPSKDGEIARKEIGLEWMLRPEGKTDRTPTVIVEEQPKETLVEEIKKVNPRELNPYFKDDGSGYPEDTDEPKAGADQLLSSSVVGDGGASWRLKALKRAKEQATREGRRLQEVVGERWGSLGQLTVSAASNKAAQSRAHLHAIKSRKKGLSEDNQDTSRHYLKDVSLGHPKMREPKVRDSLSWKKQKSRDVSTKDSGLIAEAVSSLNTFSNDGSFMSEVLGKRIDDFSSPVYENVADTSKPSEVSAAFKGELSANQLAAKAFQLRLKGKHEEAEELLKEVENIKAKQADGDNSIRPQNERSTSRYVKQDVSLRQKKKEDDADMHLAQRIMQNKKYSISGQADDEYDYDDDGPSRKSRKKRGSDDHKVTQKNSFANRFSTQQERCLFCFENPSRPAHLVVAIANFSYLMLPKQQPVAPGHCCILPMQHVPSTRAVDDDVWQEIRNFKKCLIMMFAKQEKEVVFLETVMGLAQQRRHCIVECIPLPHEIAKEAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPEHFPYFHVEFGLNKGFVHVIDDETQFNSNLGLNVIRGMLQLPEEDMYRRRRYETVEAQKQAVQSFDQDWAPFDWTKQLHES >ONI30763 pep chromosome:Prunus_persica_NCBIv2:G1:27844331:27849004:-1 gene:PRUPE_1G271500 transcript:ONI30763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKTDSGSIRVPYRNLREAEVEMMGTDEAHHRIDLNSSSSSSPRVLNGTGDLSPPPSQPGHKHNTLTTLILSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGIWSDKCSLKFGRRRPFILAGSLMISVSVVLIGFSADIGYLLGDTKEHCSTFKGTRTRAAFVFIIGFWLLDLANNTVQGPARALLADLAGPEQRNTANAVFCSWMAVGNILGFSAGASGSWHRWFPFLLSRACCEACGNLKAAFLIAVLFLTLCTLVTIYFADEVPLTTHKTNRLSDAAPLLEDPQQNGLDLSKLKPDKQVIDNANQSRTVNDYERDIHLKEAISKVEEDKNGGFNDGPGAVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPKGNLSEVHAYDQGVREGAFGLLLNSVVLGISSFLIEPMCKRMGSRLVWALSNFIVFACMAGTAIISWISVGGYSKGIEHVIGGNENIRIASLVVFALLGFPLAITYSVPFSVTAELTADAGGGQGLAIGVLNLAIVVPQMIVSLGAGPWDALFGGGNIPAFVLASFAALAGGVFAVRRLPNLSSNSFKSTGFHFG >ONI30764 pep chromosome:Prunus_persica_NCBIv2:G1:27843811:27849253:-1 gene:PRUPE_1G271500 transcript:ONI30764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKIWKKAPLHSCRISHDFSFYIGYLLGDTKEHCSTFKGTRTRAAFVFIIGFWLLDLANNTVQGPARALLADLAGPEQRNTANAVFCSWMAVGNILGFSAGASGSWHRWFPFLLSRACCEACGNLKAAFLIAVLFLTLCTLVTIYFADEVPLTTHKTNRLSDAAPLLEDPQQNGLDLSKLKPDKQVIDNANQSRTVNDYERDIHLKEAISKVEEDKNGGFNDGPGAVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPKGNLSEVHAYDQGVREGAFGLLLNSVVLGISSFLIEPMCKRMGSRLVWALSNFIVFACMAGTAIISWISVGGYSKGIEHVIGGNENIRIASLVVFALLGFPLAITYSVPFSVTAELTADAGGGQGLAIGVLNLAIVVPQMIVSLGAGPWDALFGGGNIPAFVLASFAALAGGVFAVRRLPNLSSNSFKSTGFHFG >ONI34039 pep chromosome:Prunus_persica_NCBIv2:G1:38494571:38498781:1 gene:PRUPE_1G460200 transcript:ONI34039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYSGKRAVNRLVSKKGSGLVLRETPNRDRNAQLCSRLGCSGRLNTVKGAQIEKAKSSSRPAFRSTSSGKEIIGSSSRSCIAVGNTRKSITEPCKKLSSNLETDSSETSSVQDDPEVSELAPPPGKIQRGLHPEPKNAESSVVTLMEVGSSDVASNTRSRKSFNQRSGLRNQDSLVGSNVSSGSKNTSQATQASTTRNGLRNLRCNSISDIVPSSCSSSDSSLNRRETIKKRISEGESSSAARGKKMNGSSSAGQNSNSSHGISISDSRRARNMPSNRDNGAASVRTRRTVTGHSRGRLSNQGSGNNLSANGSPVVGPQTSQPEMSINLNSPSSLQQLSHEAPLIRPNSYSRPGSSSGNLHSIMRAGAADVGFSRSLMNQDGLRRYNMDGIAEVLLALERIEQDEELSFEQILVLETNLFLNGLNFYDQHRDMRLDIDNMSYEEEYVAGDEVGRLHCEHSFHVNCVNQWLRLKNWCPICKTAAAPSKPPPST >ONI34036 pep chromosome:Prunus_persica_NCBIv2:G1:38494571:38498684:1 gene:PRUPE_1G460200 transcript:ONI34036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYSGKRAVNRLVSKKGSGLVLRETPNRDRNAQLCSRLGCSGRLNTVKGAQIEKAKSSSRPAFRSTSSGKEIIGSSSRSCIAVGNTRKSITEPCKKLSSNLETDSSETSSVQDDPEVSELAPPPGKIQRGLHPEPKNAESSVVTLMEVGSSDVASNTRSRKSFNQRSGLRNQDSLVGSNVSSGSKNTSQATQASTTRNGLRNLRCNSISDIVPSSCSSSDSSLNRRETIKKRISEGESSSAARGKKMNGSSSAGQNSNSSHGISISDSRRARNMPSNRDNGAASVRTRRTVTGHSRGRLSNQGSGNNLSANGSPVVGPQTSQPEMSINLNSPSSLQQLSHEAPLIRPNSYSRPGSSSGNLHSIMRAGAADVGFSRSLMNQDGLRRYNMDGIAEVLLALERIEQDEELSFEQILVLETNLFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALPEEALSDCLKKSTYQFTPLEESSIECDGKKDDVKCSICQEEYVAGDEVGRLHCEHSFHVNCVNQWLRLKNWCPICKTAAAPSKPPPST >ONI34038 pep chromosome:Prunus_persica_NCBIv2:G1:38493781:38498891:1 gene:PRUPE_1G460200 transcript:ONI34038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYSGKRAVNRLVSKKGSGLVLRETPNRDRNAQLCSRLGCSGRLNTVKGAQIEKAKSSSRPAFRSTSSGKEIIGSSSRSCIAVGNTRKSITEPCKKLSSNLETDSSETSSVQDDPEVSELAPPPGKIQRGLHPEPKNAESSVVTLMEVGSSDVASNTRSRKSFNQRSGLRNQDSLVGSNVSSGSKNTSQATQASTTRNGLRNLRCNSISDIVPSSCSSSDSSLNRRETIKKRISEGESSSAARGKKMNGSSSAGQNSNSSHGISISDSRRARNMPSNRDNGAASVRTRRTVTGHSRGRLSNQGSGNNLSANGSPVVGPQTSQPEMSINLNSPSSLQQLSHEAPLIRPNSYSRPGSSSGNLHSIMRAGAADVGFSRSLMNQDGLRRYNMDGIAEVLLALERIEQDEELSFEQILVLETNLFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALPEEALSDCLKKSTYQFTPLEESSIECDGKKDDVKCSICQEEYVAGDEVGRLHCEHSFHVNCVNQWLRLKNWCPICKTAAAPSKPPPST >ONI34040 pep chromosome:Prunus_persica_NCBIv2:G1:38494571:38498684:1 gene:PRUPE_1G460200 transcript:ONI34040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYSGKRAVNRLVSKKGSGLVLRETPNRDRNAQLCSRLGCSGRLNTVKGAQIEKAKSSSRPAFRSTSSGKEIIGSSSRSCIAVGNTRKSITEPCKKLSSNLETDSSETSSVQDDPEVSELAPPPGKIQRGLHPEPKNAESSVVTLMEVGSSDVASNTRSRKSFNQRSGLRNQDSLVGSNVSSGSKNTSQATQASTTRNGLRNLRCNSISDIVPSSCSSSDSSLNRRETIKKRISEGESSSAARGKKMNGSSSAGQNSNSSHGISISDSRRARNMPSNRDNGAASVRTRRTVTGHSRGRLSNQGSGNNLSANGSPVVGPQTSQPEMSINLNSPSSLQQLSHEAPLIRPNSYSRPGSSSGNLHSIMRAGAADVGFSRSLMNQDGLRRYNMDGIAEVLLALERIEQDEELSFEQILVLETNLFLNGLNFYDQHRDMRLDIDNMSYEEEYVAGDEVGRLHCEHSFHVNCVNQWLRLKNWCPICKTAAAPSKPPPST >ONI34037 pep chromosome:Prunus_persica_NCBIv2:G1:38494103:38498786:1 gene:PRUPE_1G460200 transcript:ONI34037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYSGKRAVNRLVSKKGSGLVLRETPNRDRNAQLCSRLGCSGRLNTVKGAQIEKAKSSSRPAFRSTSSGKEIIGSSSRSCIAVGNTRKSITEPCKKLSSNLETDSSETSSVQDDPEVSELAPPPGKIQRGLHPEPKNAESSVVTLMEVGSSDVASNTRSRKSFNQRSGLRNQDSLVGSNVSSGSKNTSQATQASTTRNGLRNLRCNSISDIVPSSCSSSDSSLNRRETIKKRISEGESSSAARGKKMNGSSSAGQNSNSSHGISISDSRRARNMPSNRDNGAASVRTRRTVTGHSRGRLSNQGSGNNLSANGSPVVGPQTSQPEMSINLNSPSSLQQLSHEAPLIRPNSYSRPGSSSGNLHSIMRAGAADVGFSRSLMNQDGLRRYNMDGIAEVLLALERIEQDEELSFEQILVLETNLFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALPEEALSDCLKKSTYQFTPLEESSIECDGKKDDVKCSICQEEYVAGDEVGRLHCEHSFHVNCVNQWLRLKNWCPICKTAAAPSKPPPST >ONI34041 pep chromosome:Prunus_persica_NCBIv2:G1:38493784:38498814:1 gene:PRUPE_1G460200 transcript:ONI34041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYSGKRAVNRLVSKKGSGLVLRETPNRDRNAQLCSRLGCSGRLNTVKGAQIEKAKSSSRPAFRSTSSGKEIIGSSSRSCIAVGNTRKSITEPCKKLSSNLETDSSETSSVQDDPEVSELAPPPGKIQRGLHPEPKNAESSVVTLMEVGSSDVASNTRSRKSFNQRSGLRNQDSLVGSNVSSGSKNTSQATQASTTRNGLRNLRCNSISDIVPSSCSSSDSSLNRRETIKKRISEGESSSAARGKKMNGSSSAGQNSNSSHGISISDSRRARNMPSNRDNGAASVRTRRTVTGHSRGRLSNQGSGNNLSANGSPVVGPQTSQPEMSINLNSPSSLQQLSHEAPLIRPNSYSRPGSSSGNLHSIMRAGAADVGFSRSLMNQDGLRRYNMDGIAEVLLALERIEQDEELSFEQILVLETNLFLNGLNFYDQHRDMRLDIDNMSYEEEYVAGDEVGRLHCEHSFHVNCVNQWLRLKNWCPICKTAAAPSKPPPST >ONI35289 pep chromosome:Prunus_persica_NCBIv2:G1:43189527:43189858:-1 gene:PRUPE_1G527700 transcript:ONI35289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQAASQTRFRALKHENGIDGSATIIVRVIACFQPLQDCQAEYFRHLLKPVT >ONI33931 pep chromosome:Prunus_persica_NCBIv2:G1:38169221:38178331:1 gene:PRUPE_1G454000 transcript:ONI33931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVETSEELEFKWGKKKGRGGRNKDVQFYESFTYDGVEYGLYDCVYLYKESEPEPEIGKLIKIWETGEKAKKVKVLWFFRPCEILNFLGAEEILENELFLASGEGVGLANLNPLEAIAGKCNVLCISKDKENPLPSAEELQMAEFVFRRTFDVGQQKIMDKIDGQIAGIDVKFMFNRMDIQKPGGVLKVDLGKNEVCGNSIESNETMALSKKNSFKEHVTLEKIGNCVDSSTQENADLVKHKPLLVEKPAFVVGLKSSDMDKTNEKGEHASNPKALLRSKVKSNEGEVRSGKVHARQVAEEENVKCTKDSVDLDNRPTKKAKIDCTIKVSNDKGKICEQRHVKVEEKIRCTKGSGELDHGQSKSKLNTSTNVVNDKSKSSDHKRMNDKKVLSSTASTLDDKCKVKNMENSLGTNKAPCKKTKLEDKATTLSNVKLPKTSQRKDFLGTNEGPSKKMKPDVKVTTLSNGKLPPPTESQNKAKNYGFQVLEVTQRPDADRRTWFKGFPWEDRMQTAHEQGTLVLLQNLDPAFTSAEVEDIVWHGFKETCTAKMIQRISNSSPHSGQALVIFKTREAAQMVVRKLDEGCLLLSNGRPLVGSIGTHSAEKKPIFFGHLVIDKLRHQMLREREMKEAVSTSHCSQPNTTEYDMAMEWCLLQERSDLVWGNLYKQQGKELRKLKAELKAK >ONI27540 pep chromosome:Prunus_persica_NCBIv2:G1:7148502:7149951:-1 gene:PRUPE_1G093500 transcript:ONI27540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQYLLKAFVRGKGEVILSAGTIGSPQPLLLSGVGPKSYLSSIKIPVVHHEPNIGQSMRDNPRYYITILPPSPLVPSGGQTVSITKDFYVETLAGPPFSSTPFSLFPHPSVRIKIDSTFGHIVGKFPGPSSYGSLTLQS >ONI34238 pep chromosome:Prunus_persica_NCBIv2:G1:39147284:39153680:1 gene:PRUPE_1G470000 transcript:ONI34238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNLTRFVILLVKIQSSKDTGPLRKKERARRMEIVFSVVRNFTSGNIRHISSLEVFTFFILYSTSGSCPIHPLVRMQK >ONI33254 pep chromosome:Prunus_persica_NCBIv2:G1:35996976:35999526:-1 gene:PRUPE_1G412900 transcript:ONI33254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSVKRSSSLSLGERICVAFIPFIAIIEVLVFAVTDCFSYRPRETKCRFALGDMSVLANETRFTVNELEALYELFKKLSSSIIDDGSIHKEELQLALFRTPYGENLFLDRVFDLFDEKKNGVIEFDEFVHALNVFHPYAPIDDKIDFAFRLYDLRQTGFIEREEVKQMVIAIMMESDLKLSDDLLESIIDKTFADADADKDGKISKEDWKAFVFRHPTLLKNMTLPYLKDITVVFPSFIFHTEVEEDSEFEVFSY >ONI33253 pep chromosome:Prunus_persica_NCBIv2:G1:35996976:35999551:-1 gene:PRUPE_1G412900 transcript:ONI33253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSRSSSLSLGERICVAFIPFIAIIEVLVFAVTDCFSYRPRETKCRFALGDMSVLANETRFTVNELEALYELFKKLSSSIIDDGSIHKEELQLALFRTPYGENLFLDRVFDLFDEKKNGVIEFDEFVHALNVFHPYAPIDDKIDFAFRLYDLRQTGFIEREEVKQMVIAIMMESDLKLSDDLLESIIDKTFADADADKDGKISKEDWKAFVFRHPTLLKNMTLPYLKDITVVFPSFIFHTEVEEDSEFEVFSY >ONI35019 pep chromosome:Prunus_persica_NCBIv2:G1:42037994:42038632:1 gene:PRUPE_1G510500 transcript:ONI35019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLLQVHPEETTSTWANRFTLSCAQLASFCFFFHLHFLLVEASQHMCFSKTITSSGHGLFLFYCWFKKK >ONI26461 pep chromosome:Prunus_persica_NCBIv2:G1:1861301:1863583:-1 gene:PRUPE_1G026700 transcript:ONI26461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREEGVPDRYTYPSLVKACASEAKVWEGKAIHGSAVRCGVDGDVFVSTSLIDLYGKCREILCARKVFYGMSERNVVSWTAMVVGYASVGDLDEARRLFDQMPQRNVVSWNVIISGFVKLGDLTNARRIFDQMPEKNVVSFTTMIDGYAKYGDMASARFLFDQAPNKDIVAWSALISGYAQNGQPNEALKIFLEMSTRNVKPDEFIMVSLMSACSQVGCLQVAKWVDSYLSQSSIDVRQDHVRAALIDMNAKCGNIERATSLFEAMPKQDMISYCSMIQGLSVHGRGNQAVALFNKMLNEGLAPDEVAFTVILTACSRAGLVEEGWHFFESMRPYDLLKSMPMEPHAGAWGALLGACKLNGNIELGELVANRLFEIEPLNPGNYVLLSNIYAAADCWFDVSSVRDKMEEQGIKKISGCSWISSKG >ONI29455 pep chromosome:Prunus_persica_NCBIv2:G1:19221041:19221513:-1 gene:PRUPE_1G199200 transcript:ONI29455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADVSSEVN >ONI30339 pep chromosome:Prunus_persica_NCBIv2:G1:25909218:25910911:1 gene:PRUPE_1G245500 transcript:ONI30339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAFRRLNGFTRSTEPDPISDPPRKSTTATPTNKRMLRDTTTTAGTGTGGGAMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGLKARTNFVYPSSPPHAAVNDHLLPPFSFHKQSQPCVKRSNGYGAGHSSSWPSVTPFTQYPAANNDDAAASPLNMLFFRNFINSSPNPSPVYNQFPYNISGASSSSSSAALVNTTSCGQPHETNMSASTNFSSTGTGSSSSMTVATSVPLMDITTSSTKPTTHDDHSDFFAVESSDSGLLEEVIHRFFPKPTSKKSMDPPKSADYGRHNMNSIPSSSSQASFVSANQAPRFDGPTKNHPHQHGGVCYNFEELLPQPQQLGNSFSVGMDYQPQVSQTTQAVPFYNDHVPINVQLGGQDYSMVDNNMFQYPEFMGGFAARVQN >ONI33212 pep chromosome:Prunus_persica_NCBIv2:G1:35918115:35918986:-1 gene:PRUPE_1G411400 transcript:ONI33212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRVYPSSKPTTNGGAAVPAVATTTAAATANPSNTKPQLRQPYRPQPQYHHRRHRRSNCHCNFCCCCFWSILIILALALLAAIAGAAVYILYRPHRPEFTLTSVRIAKLNLTTSSDLSTSHLTTLFNLTLSSKNPNNHLTFSYEPFALSLSSSDVQIGNGSIPAFTSGTKNSTFFRSILSTSQDLDVESVKSLRSDLRKKTGVALELQMDTKVKVAMGKLKSKKVGIRVTCEGIKGAVPKGKSPSVASVANSKCKVDLRIKIWKWTF >ONI32945 pep chromosome:Prunus_persica_NCBIv2:G1:35109992:35118055:1 gene:PRUPE_1G395500 transcript:ONI32945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSITRKKTPFQKHREEEEAKKKRAEEETARLYKEFVDSFQGDNAPGSKAFVRGGTINPNEKVKADTEGEKSKDGVSVPKKGSRYVPYLPTPSLPSKGKESEKKREEEKSREKEKLKPREIDKYMEERKQDYEMRDKRNQEREHWRDGRPIENSAPSSRFDELPDEFDPSGKLLGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVILSGPSGPPVTSVPSQNSELVLTPNVPDITVVPPEDDHLRHVVDTMALYVLDGGCAFEQAIMERGRGNPLFTFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPPLPTVKSPEHGKEAGTTYAAGRSRRVEPERTLTDSQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDVLHNSSAPVKNASAYRTRFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVVPFHSICGDAPEIDKKITSEDTGDACKTNQDAALAMGKGAAMRELLSLPLAELERRCRHNGLSLVGGRETMVARLLSLEEAEKQRGYELDDDLKYAQSHSSSARYSSSRREMNIEPDSMGISAQGKGSLPLVQTLPIPQPELKALTKKEKSDPVLPASKWAREDDDSDDEQKRSARDLGLSYSSSGSENAGDGPSKADEMEVATDASIPAQPDSGISEEQRQKLRRLEVALIEYRESLEERGIKNPEEIERKVAIHRKRLESEYGLSDSSEDACGSKRTSSERKDRRDDDNTSRKRHRSGSQSDSPLQRSSNRDREREHDLDRDRERQRGSDRDRAHDFEGDRVRDREKSGSREGDDHERDRGRERDRDRRRRMK >ONI32944 pep chromosome:Prunus_persica_NCBIv2:G1:35109992:35118055:1 gene:PRUPE_1G395500 transcript:ONI32944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSITRKKTPFQKHREEEEAKKKRAEEETARLYKEFVDSFQGDNAPGSKAFVRGGTINPNEKVKADTEGEKSKDGVSVPKKGSRYVPYLPTPSLPSKGKESEKKPLQREEEKSREKEKLKPREIDKYMEERKQDYEMRDKRNQEREHWRDGRPIENSAPSSRFDELPDEFDPSGKLLGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVILSGPSGPPVTSVPSQNSELVLTPNVPDITVVPPEDDHLRHVVDTMALYVLDGGCAFEQAIMERGRGNPLFTFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPPLPTVKSPEHGKEAGTTYAAGRSRRVEPERTLTDSQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDVLHNSSAPVKNASAYRTRFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVVPFHSICGDAPEIDKKITSEDTGDACKTNQDAALAMGKGAAMRELLSLPLAELERRCRHNGLSLVGGRETMVARLLSLEEAEKQRGYELDDDLKYAQSHSSSARYSSSRREMNIEPDSMGISAQGKGSLPLVQTLPIPQPELKALTKKEKSDPVLPASKWAREDDDSDDEQKRSARDLGLSYSSSGSENAGDGPSKADEMEVATDASIPAQPDSGISEEQRQKLRRLEVALIEYRESLEERGIKNPEEIERKVAIHRKRLESEYGLSDSSEDACGSKRTSSERKDRRDDDNTSRKRHRSGSQSDSPLQRSSNRDREREHDLDRDRERQRGSDRDRAHDFEGDRVRDREKSGSREGDDHERDRGRERDRDRRRRMK >ONI32947 pep chromosome:Prunus_persica_NCBIv2:G1:35111187:35118055:1 gene:PRUPE_1G395500 transcript:ONI32947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKQDYEMRDKRNQEREHWRDGRPIENSAPSSRFDELPDEFDPSGKLLGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVILSGPSGPPVTSVPSQNSELVLTPNVPDITVVPPEDDHLRHVVDTMALYVLDGGCAFEQAIMERGRGNPLFTFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPPLPTVKSPEHGKEAGTTYAAGRSRRVEPERTLTDSQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDVLHNSSAPVKNASAYRTRFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVVPFHSICGDAPEIDKKITSEDTGDACKTNQDAALAMGKGAAMRELLSLPLAELERRCRHNGLSLVGGRETMVARLLSLEEAEKQRGYELDDDLKYAQSHSSSARYSSSRREMNIEPDSMGISAQGKGSLPLVQTLPIPQPELKALTKKEKSDPVLPASKWAREDDDSDDEQKRSARDLGLSYSSSGSENAGDGPSKADEMEVATDASIPAQPDSGISEEQRQKLRRLEVALIEYRESLEERGIKNPEEIERKVAIHRKRLESEYGLSDSSEDACGSKRTSSERKDRRDDDNTSRKRHRSGSQSDSPLQRSSNRDREREHDLDRDRERQRGSDRDRAHDFEGDRVRDREKSGSREGDDHERDRGRERDRDRRRRMK >ONI32946 pep chromosome:Prunus_persica_NCBIv2:G1:35111364:35118055:1 gene:PRUPE_1G395500 transcript:ONI32946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKQDYEMRDKRNQEREHWRDGRPIENSAPSSRFDELPDEFDPSGKLLGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVILSGPSGPPVTSVPSQNSELVLTPNVPDITVVPPEDDHLRHVVDTMALYVLDGGCAFEQAIMERGRGNPLFTFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWIPPPLPTVKSPEHGKEAGTTYAAGRSRRVEPERTLTDSQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDVLHNSSAPVKNASAYRTRFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVVPFHSICGDAPEIDKKITSEDTGDACKTNQDAALAMGKGAAMRELLSLPLAELERRCRHNGLSLVGGRETMVARLLSLEEAEKQRGYELDDDLKYAQSHSSSARYSSSRREMNIEPDSMGISAQGKGSLPLVQTLPIPQPELKALTKKEKSDPVLPASKWAREDDDSDDEQKRSARDLGLSYSSSGSENAGDGPSKADEMEVATDASIPAQPDSGISEEQRQKLRRLEVALIEYRESLEERGIKNPEEIERKVAIHRKRLESEYGLSDSSEDACGSKRTSSERKDRRDDDNTSRKRHRSGSQSDSPLQRSSNRDREREHDLDRDRERQRGSDRDRAHDFEGDRVRDREKSGSREGDDHERDRGRERDRDRRRRMK >ONI27832 pep chromosome:Prunus_persica_NCBIv2:G1:8590599:8593129:-1 gene:PRUPE_1G107000 transcript:ONI27832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRTIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTEARKNGTCAWLRPDGKTQVTVEYYNDKGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKESFDFRPGMIAINLDLKRGGNGRFLKTAAYGHFGRDDTDFTWEVVKPLKWDKVQA >ONI27833 pep chromosome:Prunus_persica_NCBIv2:G1:8590995:8592176:-1 gene:PRUPE_1G107000 transcript:ONI27833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRTIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTEARKNGTCAWLRPDGKTQVTVEYYNDKGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKESFDFRPGMIAINLDLKRGGNGRFLKTAAYGHFGRDDTDFTWEVVKPLKWDKVQA >ONI27831 pep chromosome:Prunus_persica_NCBIv2:G1:8590599:8592703:-1 gene:PRUPE_1G107000 transcript:ONI27831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRTIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTEARKNGTCAWLRPDGKTQVTVEYYNDKGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKESFDFRPGMIAINLDLKRGGNGRFLKTAAYGHFGRDDTDFTWEVVKPLKWDKVQA >ONI31092 pep chromosome:Prunus_persica_NCBIv2:G1:28979938:28982271:1 gene:PRUPE_1G291800 transcript:ONI31092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGEVAIILSSSVCLFLFLALIKIFHKLWWKPTSIQRLMALQGIKGPAYRLVHGNTKEIFNMQNEAMGKPRGLSHDIFSRVQPHFHSWTKLYGKNFLQWYGLRPQLIITEPELCKEILNDKDRAYTKSKPKSFVKQLLGDGLVTTTNIEKWGKLRKVANYAFHGESLKSMTPATIASAEIMLERWKNQDGKEIEMFEEFRLLTSEVISRTAFGSSYLEGEKIFEMLMKLSFLSFKNILKLRIPGISKFYKTCDEIESENLEKGVYNSIIEIVKKREKKAMAGEEDGFGSDFLGLLLKAYHDANDNQRISVDDLVDECKTFYFGGQETSNSLLAWTVFLLAIHTDWQEEARKEVLEIFGKQNPNTDGIARLKTMTMIINETLRLYPPVVALEREAEREVRLGNLIIPAAVELVIPCLAFHHEPGFWGQDVHLFKPERFSEGVAKATKNNIATFLPFGMGPRNCVGLNFATNEVKIVLSMILQRYSFTLSPAYVHSPFRLLTVRPQHGLQIMLHSL >ONI35676 pep chromosome:Prunus_persica_NCBIv2:G1:44924714:44931201:1 gene:PRUPE_1G549600 transcript:ONI35676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSILCDAEVALIVFSSRGRLYEYSNNNIRNTIERYKKACSDSSGSTSITEINAQYYQQESAKLRQQIQMLQNSNRHLMGDALSTLSVKELKQLENRLERGINRIRSKKHEMLLAEIEYLQKKEIELENENVCLRTKISEVERLQQANMVGPELNAIQALASRNFFSQNMMEGGATYPQQDKKILHLG >ONI35675 pep chromosome:Prunus_persica_NCBIv2:G1:44924535:44931199:1 gene:PRUPE_1G549600 transcript:ONI35675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSILCDAEVALIVFSSRGRLYEYSNNNSIRNTIERYKKACSDSSGSTSITEINAQYYQQESAKLRQQIQMLQNSNRHLMGDALSTLSVKELKQLENRLERGINRIRSKKHEMLLAEIEYLQKKEIELENENVCLRTKISEVERLQQANMVGPELNAIQALASRNFFSQNMMEGGATYPQQDKKILHLG >ONI35674 pep chromosome:Prunus_persica_NCBIv2:G1:44924522:44931398:1 gene:PRUPE_1G549600 transcript:ONI35674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSILCDAEVALIVFSSRGRLYEYSNNNSIRNTIERYKKACSDSSGSTSITEINAQYYQQESAKLRQQIQMLQNSNRHLMGDALSTLSVKELKQLENRLERGINRIRSKKHEMLLAEIEYLQKKEIELENENVCLRTKISEVERLQQANMVGPELNAIQALASRNFFSQNMMEGGATYPQQDKKILHLG >ONI35467 pep chromosome:Prunus_persica_NCBIv2:G1:43913102:43915399:1 gene:PRUPE_1G537600 transcript:ONI35467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNLSSLVAVLAITLAFSLYLYSLLWKSRSVVRKLPPQAGGAWPIIGHLHLLYRSSEPPHLVFGKLADKYGPIFTIKFGVERRVVVSSSEMAKECLKTHDKVFCSRVKSLGPEILGYNYACFAFSSYGRYFCEIKKMVMHELFSNQRIEMLKHIRESQVNTAIREIYEWWTKNKKSSGSNEVVVEMKEWFMDISQNVIFMMILGQRCSEVTNYEKMGRKIFNDVLHLLGILVVSDAVPFLRWLDLGGHEKAMRKKFKEVDQLLQGWLDEHKQKRKISGGVKGDDDRDFMDAMTSFLDDDLKVTKEYDADTINKATSLALLLAGVDVTTGTLTWALCLLLNNPETLKRAQEELDQNVGRERQVKESDLDNLVYVRAVLKETMRLYPPGPLAMAHMSREDCIVGGYHIPAKTPVFVNIGKIQLDPKLWPEPNEFRPERFLTTHKNIDVSGQDFELLPFSSGRRMCTGASLAMKMMPLALASLLHGFEIATLGDKPVDMRETMELTNHKSTLLQVLVTPRLPAQLYEHHK >ONI33428 pep chromosome:Prunus_persica_NCBIv2:G1:36519319:36525850:1 gene:PRUPE_1G423500 transcript:ONI33428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAFCAFVSLLVGIMTEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKDLEKEKKKITVQVTRDGFRQKLSIYDLLPGDIVHLSIGDLVPADGLFVSGFSVLINESSLTGESEPVNVNAVNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLFSRKLQEGSHLIWSGDEALEILEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKDVGTSKGASNLSSELPDSSLRVLLQSIFNNTGGEVVKNKDGKIELLGTPTETAILEFGMLLGGDFEAERQASKVVKVEPFNSLKKRMGVVLELPEGGFRVHCKGASEIVLAACDKFLSPDGEVVPLDRASIDLLNGIIERFASEALRTLCLAYMEVGNEFSAESPIPSSGYTCIGIVGIKDPVRPGVKESVEICRSAGITVRMVTGDNINTAKAIARECGILTDGGLAIEGPEFREKSEEELQKIIPKLQVMARSSPMDKHTLVKQLRTTFEEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYLNIQKFVQFQLTVNVVALVVNFSSACLTGNTPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRTPVGRKGNFITNVMWRNILGQSLYQFVIIWFLQTRGKEAFQLVGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVFKGILQNYVFVTVLSCTVIFQIIIIEFLGTFASTSPLSLQQWFVSVLLGFLGMPISAALKFIPV >ONI33427 pep chromosome:Prunus_persica_NCBIv2:G1:36519404:36525817:1 gene:PRUPE_1G423500 transcript:ONI33427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKIKSTHIWKVEAHLEQLILDEKFRVAVLVSQAALQFIQGLSFLSDYTVPEEVKAAGFQICADELGSIVEGRDVKKLRIHGGVETITGKLGTSSVNGISTSEQLLSQRKEIYGINKFTERPSRGFFVYVWEALQDTTLMILAFCAFVSLLVGIMTEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKDLEKEKKKITVQVTRDGFRQKLSIYDLLPGDIVHLSIGDLVPADGLFVSGFSVLINESSLTGESEPVNVNAVNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLFSRKLQEGSHLIWSGDEALEILEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKDVGTSKGASNLSSELPDSSLRVLLQSIFNNTGGEVVKNKDGKIELLGTPTETAILEFGMLLGGDFEAERQASKVVKVEPFNSLKKRMGVVLELPEGGFRVHCKGASEIVLAACDKFLSPDGEVVPLDRASIDLLNGIIERFASEALRTLCLAYMEVGNEFSAESPIPSSGYTCIGIVGIKDPVRPGVKESVEICRSAGITVRMVTGDNINTAKAIARECGILTDGGLAIEGPEFREKSEEELQKIIPKLQVMARSSPMDKHTLVKQLRTTFEEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYLNIQKFVQFQLTVNVVALVVNFSSACLTGNTPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRTPVGRKGNFITNVMWRNILGQSLYQFVIIWFLQTRGKEAFQLVGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVFKGILQNYVFVTVLSCTVIFQIIIIEFLGTFASTSPLSLQQWFVSVLLGFLGMPISAALKFIPV >ONI33429 pep chromosome:Prunus_persica_NCBIv2:G1:36519404:36525817:1 gene:PRUPE_1G423500 transcript:ONI33429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAFCAFVSLLVGIMTEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKDLEKEKKKITVQVTRDGFRQKLSIYDLLPGDIVHLSIGDLVPADGLFVSGFSVLINESSLTGESEPVNVNAVNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLFSRKLQEGSHLIWSGDEALEILEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKDVGTSKGASNLSSELPDSSLRVLLQSIFNNTGGEVVKNKDGKIELLGTPTETAILEFGMLLGGDFEAERQASKVVKVEPFNSLKKRMGVVLELPEGGFRVHCKGASEIVLAACDKFLSPDGEVVPLDRASIDLLNGIIERFASEALRTLCLAYMEVGNEFSAESPIPSSGYTCIGIVGIKDPVRPGVKESVEICRSAGITVRMVTGDNINTAKAIARECGILTDGGLAIEGPEFREKSEEELQKIIPKLQVMARSSPMDKHTLVKQLRTTFEEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYLNIQKFVQFQLTVNVVALVVNFSSACLTGNTPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRTPVGRKGNFITNVMWRNILGQSLYQFVIIWFLQTRGKEAFQLVGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVFKGILQNYVFVTVLSCTVIFQIIIIEFLGTFASTSPLSLQQWFVSVLLGFLGMPISAALKFIPV >ONI33430 pep chromosome:Prunus_persica_NCBIv2:G1:36519404:36525817:1 gene:PRUPE_1G423500 transcript:ONI33430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAFCAFVSLLVGIMTEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKDLEKEKKKITVQVTRDGFRQKLSIYDLLPGDIVHLSIGDLVPADGLFVSGFSVLINESSLTGESEPVNVNAVNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLFSRKLQEGSHLIWSGDEALEILEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKDVGTSKGASNLSSELPDSSLRVLLQSIFNNTGGEVVKNKDGKIELLGTPTETAILEFGMLLGGDFEAERQASKVVKVEPFNSLKKRMGVVLELPEGGFRVHCKGASEIVLAACDKFLSPDGEVVPLDRASIDLLNGIIERFASEALRTLCLAYMEVGNEFSAESPIPSSGYTCIGIVGIKDPVRPGVKESVEICRSAGITVRMVTGDNINTAKAIARECGILTDGGLAIEGPEFREKSEEELQKIIPKLQVMARSSPMDKHTLVKQLRTTFEEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYLNIQKFVQFQLTVNVVALVVNFSSACLTGNTPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRTPVGRKGNFITNVMWRNILGQSLYQFVIIWFLQTRGKEAFQLVGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVFKGILQNYVFVTVLSCTVIFQIIIIEFLGTFASTSPLSLQQWFVSVLLGFLGMPISAALKFIPV >ONI33426 pep chromosome:Prunus_persica_NCBIv2:G1:36519314:36525817:1 gene:PRUPE_1G423500 transcript:ONI33426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLNENFDLKAKNSSEEALQRWRKLCWIVKNKKRRFRFTANLPKRFEAEAIRRTNQEKFRVAVLVSQAALQFIQGLSFLSDYTVPEEVKAAGFQICADELGSIVEGRDVKKLRIHGGVETITGKLGTSSVNGISTSEQLLSQRKEIYGINKFTERPSRGFFVYVWEALQDTTLMILAFCAFVSLLVGIMTEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKDLEKEKKKITVQVTRDGFRQKLSIYDLLPGDIVHLSIGDLVPADGLFVSGFSVLINESSLTGESEPVNVNAVNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLFSRKLQEGSHLIWSGDEALEILEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDRALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKDVGTSKGASNLSSELPDSSLRVLLQSIFNNTGGEVVKNKDGKIELLGTPTETAILEFGMLLGGDFEAERQASKVVKVEPFNSLKKRMGVVLELPEGGFRVHCKGASEIVLAACDKFLSPDGEVVPLDRASIDLLNGIIERFASEALRTLCLAYMEVGNEFSAESPIPSSGYTCIGIVGIKDPVRPGVKESVEICRSAGITVRMVTGDNINTAKAIARECGILTDGGLAIEGPEFREKSEEELQKIIPKLQVMARSSPMDKHTLVKQLRTTFEEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYLNIQKFVQFQLTVNVVALVVNFSSACLTGNTPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRTPVGRKGNFITNVMWRNILGQSLYQFVIIWFLQTRGKEAFQLVGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVFKGILQNYVFVTVLSCTVIFQIIIIEFLGTFASTSPLSLQQWFVSVLLGFLGMPISAALKFIPV >ONI35211 pep chromosome:Prunus_persica_NCBIv2:G1:42830935:42831562:-1 gene:PRUPE_1G522800 transcript:ONI35211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRRSSNKRKPDIARCKKHPKHQQSPGVCSLCLRQKLERLAQKLSTYSGSPLLLRRTLSTTSPSTTSSLSSYYSSSSGSSLSSPAPGYEQKGPISWFLSGENNVLTKSRSLVNYFPRRMRGKEGSEEKKKSRFWSKLLRPRSKRREESLVHSRTVREIRVPNRVF >ONI30588 pep chromosome:Prunus_persica_NCBIv2:G1:26933771:26937527:-1 gene:PRUPE_1G260300 transcript:ONI30588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWSFAAGSNLLTGFGAKIERESKLKLNDFAKELRSFRSVDLSGRNFGDEGLIFLSESLGYNQTAEEVSFAANGITGTGIKALDGVLQANIMLKTLNLSGNPIGDEGIKCLCDILANNNGIQKLQLNSTDLGDEGAKAIAEMLKNNSSLRALELNNNMIDYSGFTSLAGALVENNTIRNIHLNGNYGGALGANALAKGLEGNKSLRELHLHGNSIGDEGVAALMSGLSLHKGKLTLLDIGNNSFSAKGAFHVGGYVKKTKSLLWLNVYMNDIGDEGAENIADALKQNRTITTIDLGGNNIHAKGVTAIAEALKVNSVITFLELGYNPMGPDGVKALSEVLKFHGNIETLKLGWCQIGAKGAEFIADMLKYNTTIRVLDLRANGLRNEGASCLARSLKVVNEALSSLDLGFNEIRVCIDFVFSVRFFHILAVFCTI >ONI30586 pep chromosome:Prunus_persica_NCBIv2:G1:26932577:26938649:-1 gene:PRUPE_1G260300 transcript:ONI30586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASAISLCSHPKILLRPLTNKQRLQSQCQSQGSGSAWLGYRTQVVFLPPILPRRRRCLVVKAASAAEGGPRRPASARRVYRESQSASSLPVAPVKQLASFVVPAASFFAVTFVLWKLVEKILVPKRQRTSSVENKTPAEGMKWSFAAGSNLLTGFGAKIERESKLKLNDFAKELRSFRSVDLSGRNFGDEGLIFLSESLGYNQTAEEVSFAANGITGTGIKALDGVLQANIMLKTLNLSGNPIGDEGIKCLCDILANNNGIQKLQLNSTDLGDEGAKAIAEMLKNNSSLRALELNNNMIDYSGFTSLAGALVENNTIRNIHLNGNYGGALGANALAKGLEGNKSLRELHLHGNSIGDEGVAALMSGLSLHKGKLTLLDIGNNSFSAKGAFHVGGYVKKTKSLLWLNVYMNDIGDEGAENIADALKQNRTITTIDLGGNNIHAKGVTAIAEALKVNSVITFLELGYNPMGPDGVKALSEVLKFHGNIETLKLGWCQIGAKGAEFIADMLKYNTTIRVLDLRANGLRNEGASCLARSLKVVNEALSSLDLGFNEIRDDGAFAIAQALKANEDVTVTSLNLASNFLTKFGQSALTDARDHVYEMSEKEINIFF >ONI30587 pep chromosome:Prunus_persica_NCBIv2:G1:26933470:26937527:-1 gene:PRUPE_1G260300 transcript:ONI30587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWSFAAGSNLLTGFGAKIERESKLKLNDFAKELRSFRSVDLSGRNFGDEGLIFLSESLGYNQTAEEVSFAANGITGTGIKALDGVLQANIMLKTLNLSGNPIGDEGIKCLCDILANNNGIQKLQLNSTDLGDEGAKAIAEMLKNNSSLRALELNNNMIDYSGFTSLAGALVENNTIRNIHLNGNYGGALGANALAKGLEGNKSLRELHLHGNSIGDEGVAALMSGLSLHKGKLTLLDIGNNSFSAKGAFHVGGYVKKTKSLLWLNVYMNDIGDEGAENIADALKQNRTITTIDLGGNNIHAKGVTAIAEALKVNSVITFLELGYNPMGPDGVKALSEVLKFHGNIETLKLGWCQIGAKGAEFIADMLKYNTTIRVLDLRANGLRNEGASCLARSLKVVNEALSSLDLGFNEIRDDGAFAIAQALKANEDVTVTSLNLASNFLTKFGQSALTDARDHVYEMSEKEINIFF >ONI28966 pep chromosome:Prunus_persica_NCBIv2:G1:14407208:14414036:-1 gene:PRUPE_1G172400 transcript:ONI28966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFDDSQSQQLLRDYNENEEEEASNSVVSPRTCSEGHRSTLLLRTKQGGSICLLCFSNLISNPQSPTVHVSYALSQLSQAISSDPPFLRSLVDFHPHFLVSPLVHALSSFDDDPIARQVVHLISALCESSGASISADFVARVSDRLSSGALAWSRGQLYTLHSLGVLLNCQQNNPYAHIRDKYGLITNLVEGLQLPSEEIRGEILFVLYKVSVLQYASEVGDGTDFLFAFCPKLLRLSLEALMKTQSDDVRLNCVAFLTVLALRGLFGAAYAVDLNSMSSSEGDSFEQATEDGKDANPMSILFTEAIKGPMLSTDSQVQISTLDLLFHYMSSWEGTSGKEAQFLVEENIADYVFEILRLSECKDPVVKSCVQVLDILSKAEQAFKQRLLVGFATLVPVLNYVADIPLHPVQNQTLKLILNCISDCPGMVSSSHITELVPVLAKMLKKHSDGEIGMLEETFILTCSVVVAIVRTPSIHGNLNLQISIKEAMQHAVSACLSISEKNPCKLLHSLFLLKEVYNIYSREGNSTDSTKSELRQFIVNVCTKHLLPWLGTNFNEMDEETVLGVLETFHSILLQDSNNQAAELAENLVSNSWFSLSFGCLGLFPTEKMKWRVYLMLSSLVDVLVGNDSGQPIRDATLCLPSDPIDLLFLLGQKNSRNLELSSCQSAILLILYTSSLYDERLADDKLVLASLEQYILVNSSDLQGGSTDPSTVMRLVYLYGLYRGLAKVSYQIPYSPEAERILFKILSENEWDLPSARIHPISLKWLFQQEKLSTPLSYQLLKFCGNNIGNGIIVHGKNSHTVNINSIAELIAGGDNHGATLLVSLLTQLLEKEGHEHNIISVVHLVGTIIDIFPVASDQLWLHGIGSALRNLFCESTYTQSPQISTPVLVLIFKILCSVHHGTLSDDECWLAVTMKLINIITTRAADGWNQECLIVTGILCLILYHSSNEVLIAPSKAIILSTSLVSTINSTIHEACLKGPALVDHDEETSSGEVLIFVLLLNFFSLRSLHTVFPGIMDWKNFFDPRDRLQPISFIRIFCHDLCRLVHFGSPLVKLVASYCLLELFTRISDQRNRTGEELVCTMDYLMSVMAVLEGLIFYSDLRVAMNCGLCLSMILGWGLQGMQGTIVITKNHWSRMIVEELAMSLAVPCLASKSFINLHKPAIHVAVTLLKLPKVPEWMRSVFDDSCISGIIQNLAANNLSTEIVLLFRALLNSEYLKAEQICSVNQLLQACRKQKYTDNSQDESAKEHKKKAVAILDDMGEVCEYLIHLMSSESCLDRDSGGLNFGDKRLLEEIELFFKTSTVTEGN >ONI26762 pep chromosome:Prunus_persica_NCBIv2:G1:3138101:3138430:1 gene:PRUPE_1G043800 transcript:ONI26762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVQFHWIYSCIYREKLRTKFGLPDKPCCDCGVHFFCDSCALCQEHAELKIRGLDPSKGWTGPPNAAPKAFAMFR >ONI27852 pep chromosome:Prunus_persica_NCBIv2:G1:8673832:8676269:-1 gene:PRUPE_1G108400 transcript:ONI27852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAEIPETLIAVEEEAEEGRFFACYLLSSRSPRYKGHAYIGAWSNKRAHLNSVPLFVLIWFEWAWQHPTVSKAVRQAAASFKSLGGLVRKIKLAYTILTPPPWQSLKITVNFFSTQYTKHSAGCPPLPEQMKVKVCSMDELPSCTKPSDDLLENEDEWCHERECDEDMNSSTLPEETLLDFRTHNSADDQQKTLSDLIVQSSADDQQDDTGKIINEAYGCSEVVGEDCTDQFGFMASPVRMPSSNGTTSFDTEVTKDIGLCGSSDDMSVDLGRPAREQSTAIVADDDQSPSRSYLRPCGAEVIDLTTHPLCRSHLICLMILWYTVKETKADKNRETTFSKLLGLQASPSSCSISAIVFSSFSNCSMSAIVFSSKIVRSMGSKPTRSPPIGLEEQPC >ONI28749 pep chromosome:Prunus_persica_NCBIv2:G1:12603659:12618027:-1 gene:PRUPE_1G159000 transcript:ONI28749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACMEQDQQYYPRRERVRSRRKVLQMSQKNNIHKGLSGGSPSESKVCFLCGQAGHVCKDCSQLQQAVSSLAPQNPQKLVRYPAQSYTSVQLLPVESQPQQSTDKAPMPQKSRCGPVGRGQVFRVTGGEAMEERVNAVINDFTGVSVEDIIRKVATFFESDHGMPINGKTIQEKMFIFFRKLCNCELWLVEEFCVKEFRCLGFGEFLMFLEKYSCLLPHELCKFLTGDGNGKCPLEVCMPQDHLVVLVSQALNSLWEDEKITKLNIPLLLRKQFPSIGFQTIENGSVEDFLSLVGKHKNTAISKRVLFSMTLCGTSYGMESPEHCKNDTFRSTLVNANIGQTAETHESVTSKDAIKILLRGPMMSDLNLWAHWDLLFAPYLGTLVPWLLNEVNTDELLCLVTKDGKVIRLDHSSTVDAFLEAVLQGSSFQTAVKMLSLFALFGGEKHVPVSLLKLHVQHAFEVILKNYVDNMEIHGNKNFLSQGEALCGQQMIGEGSTSKFCNELNRDVTRMNTGEPVLSRFIIDCLGYLPAEFRSFAADVLLSGMRSIFKHAASAILSECNQTEQRLMIHEVGLSLGIVEWIHDYNEFCSYDTTDLFMSGATCFNAVRYETGSGSKYMQDVSDTLAASEGSIGASVGVDEQKGECTEPCIKISGAEASEARIGSGSKHSTELNELEDAVLVIESIRRDEFGLDSSLSNVGSSILKKQHARLGRALHCLSEELYSQDSHFLLELVQNADDNIYPTNVEPTLTFILQESGIVVLNNERGFSAQNIRALCDVGNSTKKGSKAGYIGQKGIGFKSVFRVTDAPEIHSNGFHIKFDISEGQIGLILPTVVPPRSIHLFSSLASSDNDKADWNCWNTCIVLPFRSILSDRTVMKSVINMFSDLHPSLLLFLHRLQCIKFRNLLDDTLTVMRKDIVGDGIIKISHGKEKMTWFVVSQKLQADFIRGDVQTTEISIAFTLKESDNGDYCPDIAPQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEFPGLFVNAERSFCALPCFKENPGRAVTAYMSFVPLVGDVHGFFSSLPRLIISKLRVSNCLLLEGGNNEWVPPCRVLRGWNKQARLVLPDDLLQEHLGLGFLHKNIVVPDPLARVLGIVDYGPKVLLQIVDSLCHTQNGLKSMGLSWLASWLSSLYAMSFNSSVEASFDSGVEMEFIENLRKIPFIPLSDGTYVAVDKGPIWLHFDALKTGFEGQHGLESFPDLYANLQIVSPSFLFASCADVSYMNVTTVEKVTSMLRRIGVQQLSAHEIIKVHILPAISDGRITDRDKNLMTDYICFVMVHLQSSCYTCQVEKEYIISELRNKAYILTNNGFKRPAEASVHFSAAFGNPVDINNLINGVDMVWHEVDISYLKHPTTRSLPNGLMKWREFFQKIGITDFVKVVQVEKGINSLSDSFLKKLIWDKDSISLGLNATDWESPELVQLLSLLSRENNKKGCEYLLEVLDTLWDNCYSDKTTGYCTSKSVADRQPFRSSFISCICDAQWVVSTMDDELHYPKDLYHNCDPVRSILGTSAPCSVPKVRSGKFASDIGFKTRVSLGDVFEILKLWRCENPFRASLAQMFKIYSLIWNEMAPSNQRITDEFHPGPFIFVPYESSFSHEDVVSGIFLSPEEVYWDDSTSFVNHIKGIRPQYSSTGINHIPLNKMLSNFYPGLHDFFVGGCGVHEIPALRSYLRVLLDLSNIALPSQAANAVFRVFLKWTDGLKSGLSAEDIVYLKDSLTNIECTVLPTVQDKWVSLHPSFGHVFWCDDIELRKQFMHLDGVDFLYFGELSNADVEMLFKKVSILLKALGIPALSEAVTREAIFYGLADCSTKAALMDWALPYVQRYLQSVHPDKYAQLKQSGFDILNRLQVVVVEKLFYQNVIKSSGRKSNKRLRCSCLLQGSNLYTTQEPDSHALFVELSRLFFDGNPELHLANFLHMITIMAESGSTQEQTEFFILNSQKVPKLSGEESIWSLSSVTSLTDNYKSLPKSFTFTEVNEKNSWESKRKVINWPPIDWKTAPGFGCTDANLSKTQAPVAHSVSVDINWTIEDDSAMTSAALVLPGSDDLQEHSGYACNETGNGMHTEFDPINVGFVSDSPDLGSFPNFSKRDQPRYGTSSGRDAMLTGRLGELVAFKYLISKAGKSVVKWVNECNETGLPYDIVVGEKEDSTEFIEVKATQSPRKDWFHISMREWQFAVDKGEAFSILHVILLGNNAAKVSVYKNPVQLCMLGKLKLHLMMPKQQNELFLLS >ONI36409 pep chromosome:Prunus_persica_NCBIv2:G1:47397174:47401940:1 gene:PRUPE_1G583600 transcript:ONI36409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKQKRKPPKPLRLPSVHFTFISNSLGFKHFPPLSHCLTAMTLYRLLLRSLRRPSTPPSLTQSLTSLALQNPNPSIPFHLTTTATRTFAFSSAEEAAAERRRRKRRLRIEPPINALRRDSHPPPPRDPNAPRLPDTTSALVGHRLNLHNRVQSLIRAGDLDAASAVARHSVFSNTRPTVFTCNAIVAAMYRAKRYNDAVALFQFFYNQSNIVPNVVSYNILINAHCDDGRVDVGLEVYRHILANAPFSPSQVTYRHLTKGLVDAGRIGEAVDLLREMLNKNLGADSGVYNNLINGFLHLENFDKAVELFDELKDRCLAYDGVVNATFMDWFFNKGKEKEAMESYKSELDRQFRMTTATGNVLLEVLLKHGKKKEAWALFDQMLDNHTPPTIQAVNSETFNIMVNECFGLGKFDEALATFKKVGTKVNSRPFSMDVAGYNNIIARYCENGMLSEAETLFAELSSKALTPDVTTHRTLIDAYLKVERIDDALKIFRRMAEVGLRVVASLGNRVFDELIKNGKAMDCAQILKKMGEKDPKPDASFYDVVIRGLCNEVAFDPSRDLLEEMVRYGIGVPPALQQFVNEVFGKAGRGEEIQRVLNMSKWGNTPAQARPRQFQPMRSPQMAGQQEPPSGPSQMAGQHHSSSAPPQMAGPYQPSSGPYQMAGQHHPSSAPSQMAGPYQPSSGPYQMAGQHHPSSAPRQMAGPYQPPSGPYQMAGTYQTSTGSPQIAASQHHPAQPPQMAGQYYPPSGGPHVTASYPPSEASQTLGPQHPLSGSAQGIESQQSPSEAPQMTQQENVSS >ONI36408 pep chromosome:Prunus_persica_NCBIv2:G1:47397174:47401299:1 gene:PRUPE_1G583600 transcript:ONI36408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKQKRKPPKPLRLPSVHFTFISNSLGFKHFPPLSHCLTAMTLYRLLLRSLRRPSTPPSLTQSLTSLALQNPNPSIPFHLTTTATRTFAFSSAEEAAAERRRRKRRLRIEPPINALRRDSHPPPPRDPNAPRLPDTTSALVGHRLNLHNRVQSLIRAGDLDAASAVARHSVFSNTRPTVFTCNAIVAAMYRAKRYNDAVALFQFFYNQSNIVPNVVSYNILINAHCDDGRVDVGLEVYRHILANAPFSPSQVTYRHLTKGLVDAGRIGEAVDLLREMLNKNLGADSGVYNNLINGFLHLENFDKAVELFDELKDRCLAYDGVVNATFMDWFFNKGKEKEAMESYKSELDRQFRMTTATGNVLLEVLLKHGKKKEAWALFDQMLDNHTPPTIQAVNSETFNIMVNECFGLGKFDEALATFKKVGTKVNSRPFSMDVAGYNNIIARYCENGMLSEAETLFAELSSKALTPDVTTHRTLIDAYLKVERIDDALKIFRRMAEVGLRVVASLGNRVFDELIKNGKAMDCAQILKKMGEKDPKPDASFYDVVIRGLCNEVAFDPSRDLLEEMVRYGIGVPPALQQFVNEVFGKAGRGEEIQRVLNMSKWGNTPAQARPRQFQPMRSPQMAGQQEPPSGPSQMAGQHHSSSAPPQMAGPYQPSSGPYQMAGQHHPSSAPSQMAGPYQPSSGPYQMAGQHHPSSAPRQMAGPYQPPSGPYQMAGTYQTSTGSPQIAASQHHPAQPPQMAGQYYPPSGGPHVTASYPPSEASQTLGPQHPLSGSAQGIESQQSPSEAPQMTQQENVSS >ONI36407 pep chromosome:Prunus_persica_NCBIv2:G1:47397174:47401325:1 gene:PRUPE_1G583600 transcript:ONI36407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKQKRKPPKPLRLPSVHFTFISNSLGFKHFPPLSHCLTAMTLYRLLLRSLRRPSTPPSLTQSLTSLALQNPNPSIPFHLTTTATRTFAFSSAEEAAAERRRRKRRLRIEPPINALRRDSHPPPPRDPNAPRLPDTTSALVGHRLNLHNRVQSLIRAGDLDAASAVARHSVFSNTRPTVFTCNAIVAAMYRAKRYNDAVALFQFFYNQSNIVPNVVSYNILINAHCDDGRVDVGLEVYRHILANAPFSPSQVTYRHLTKGLVDAGRIGEAVDLLREMLNKNLGADSGVYNNLINGFLHLENFDKAVELFDELKDRCLAYDGVVNATFMDWFFNKGKEKEAMESYKSELDRQFRMTTATGNVLLEVLLKHGKKKEAWALFDQMLDNHTPPTIQAVNSETFNIMVNECFGLGKFDEALATFKKVGTKVNSRPFSMDVAGYNNIIARYCENGMLSEAETLFAELSSKALTPDVTTHRTLIDAYLKVERIDDALKIFRRMAEVGLRVVASLGNRVFDELIKNGKAMDCAQILKKMGEKDPKPDASFYDVVIRGLCNEVAFDPSRDLLEEMVRYGIGVPPALQQFVNEVFGKAGRGEEIQRVLNMSKWGNTPAQARPRQFQPMRSPQMAGQQEPPSGPSQMAGQHHSSSAPPQMAGPYQPSSGPYQMAGQHHPSSAPSQMAGPYQPSSGPYQMAGQHHPSSAPRQMAGPYQPPSGPYQMAGTYQTSTGSPQIAASQHHPAQPPQMAGQYYPPSGGPHVTASYPPSEASQTLGPQHPLSGSAQGIESQQSPSEAPQMTQQENVSS >ONI27097 pep chromosome:Prunus_persica_NCBIv2:G1:4865985:4870686:1 gene:PRUPE_1G068100 transcript:ONI27097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVSLFSVSVDSEGITSLNIGFEEDELPVLLLNAAIILINTCNIEQLENIPTNLSQAFFAFMRNLWAKVRNEMLQKNPLESTQGENLYISNIRISHMAESLFRLSIRAAHRTKPLPFHVVERAIFGFSESGFKDFMSNHWEVSPFLVRRGLSEGDLVEEDDIFGPFVGLLNSTGKFPSFLSSMLPKMVSCLPISSDELNILTFLEEVKIKLGCPLICQQDVRVLRTDSHLKREVHFFQESLNSCCIKDPHYFTIEEVLKCQEAYKEGYTIALRGMEFRFENLAAIANELASLFGQPSVGANMYLTPPNSQGLARHYDDHCVFICQLVGTKQWRLFPQSNVQLPRLYDTLDRLHDSEVQNSMAECKQFLLREGDILYIPRGILHEACTENLSFDGSDGYSLHLTLGIEVEPPFEWEGFIHVAFFSWNENQKQAHNSFESSSGIIHDICVNLLHGAIGMIGDSDSTFRKACLVASVFSQSHTLNWLDLNQRVIFCQLIDKINTESHFLEVFTSIELAVHKNKDPFQRIRWLGSLNLEEESSPNHDQYMPLMGMKNLLSLCIQHKDKVEAAFQQLRSRFSSEVIFEDAIESYKILLGKYRKARKQYMNGMISLHYEL >ONI27096 pep chromosome:Prunus_persica_NCBIv2:G1:4865985:4870686:1 gene:PRUPE_1G068100 transcript:ONI27096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKHCSKRKRKPESDDISDDAAFAVVLAALSSPNPNSLRPLIKKCLNKLRNSLTNPILSLLPALLTFKSPTIASRAAEMVGSAALESLDMNQRISSDAGIINGLLAALGSSKTRLPVAACHALLDLCTTSVGRRRFAHSSALPRLLFGFLQVHKSSMISVSLFSVSVDSEGITSLNIGFEEDELPVLLLNAAIILINTCNIEQLENIPTNLSQAFFAFMRNLWAKVRNEMLQKNPLESTQGENLYISNIRISHMAESLFRLSIRAAHRTKPLPFHVVERAIFGFSESGFKDFMSNHWEVSPFLVRRGLSEGDLVEEDDIFGPFVGLLNSTGKFPSFLSSMLPKMVSCLPISSDELNILTFLEEVKIKLGCPLICQQDVRVLRTDSHLKREVHFFQESLNSCCIKDPHYFTIEEVLKCQEAYKEGYTIALRGMEFRFENLAAIANELASLFGQPSVGANMYLTPPNSQGLARHYDDHCVFICQLVGTKQWRLFPQSNVQLPRLYDTLDRLHDSEVQNSMAECKQFLLREGDILYIPRGILHEACTENLSFDGSDGYSLHLTLGIEVEPPFEWEGFIHVAFFSWNENQKQAHNSFESSSGIIHDICVNLLHGAIGMIGDSDSTFRKACLVASVFSQSHTLNWLDLNQRVIFCQLIDKINTESHFLEVFTSIELAVHKNKDPFQRIRWLGSLNLEEESSPNHDQYMPLMGMKNLLSLCIQHKDKVEAAFQQLRSRFSSEVIFEDAIESYKILLGKYRKARKQYMNGMISLHYEL >ONI30635 pep chromosome:Prunus_persica_NCBIv2:G1:27225668:27239493:-1 gene:PRUPE_1G263700 transcript:ONI30635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARPISPSDSRGILYQLRSTTSLRFCRKDSLNRRVANLYFLTISILSTTPISPVHPVTNVVPLSLVLFVSLVKEAFEDWKRFQNDMTINNNSVDVLQDQKWESIPWKKLQVGDIVRIKKNGFFPADLLFLASTNPDGVCYIETANLDGETNLKIRKALEKTWDYLTPEKASEFKGEVQCEQPNNSLYTFTGNLIIDKQTLPLTPNEIMLRGCSLRNTEYMVGAVIFTGHETKVMMNAMNVPSKRSTLERKLDKLILALFATLFMMCLIGAISSGVFINHKYYYLGLRGKKNEDSAYSSFDPDNRFLVIILTMFTLITLYSTIIPISLYVSIEMIKFIQSTQYINNDLRMYHVESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGTGVTEIERGVAQRNGIKLDEGYKSANTAHEKGFNFDDAKLMRGAWRNEPNPDLCKEFFRCLAICHTVLPEGEETPEKITYQAASPDESALVIAAKNFGFFFYRRTPTTIYVRESHIEKVGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADNVIYERLADGQDDLKKVSREHLELFGSSGLRTLCLAYRDLSPDIYESWNEKFIQAKSSLRDREKKLDEVAELIEKDLIFIGCTAIEDKLQEGVPNCIETLSRAGIKIWVLTGDKMETAINIAYACNLINNEMKQFVISSETDVIREVENRGDQVEIARVIKEEVKKQLRRCLEEAQNYLHTVTGPKLALVIDGKCLMYALDPSLRVTLLNLSLNCNSVVCCRVSPLQKAQVTSMVKKGARKITLSIGDGANDVSMIQAAHVGVGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYIRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPELYREGIRNVFFKWRVVAVWAFFSVYQSLVFYYFVTTSSDSGQNSSGKMFGIWDVSTMAFTCVVVTVNLRLLMMCNSITRWHYISVGGSISAWFIFVFVYCIIDHKKNLYYVIYVLMSTFYFYLTLMLVPIFALFGDFVYQGIQRWFFPFDYQIIQEMHWHEPEGRSRDDLLEIGNQLTPDEARSYAVAQLPREVSKHTGFAFDSPGYESFFASQLGVHAPQKAWDVARRASMKRTVKKK >ONI30633 pep chromosome:Prunus_persica_NCBIv2:G1:27225668:27239493:-1 gene:PRUPE_1G263700 transcript:ONI30633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWNRSSRPRLGRNSNSQPERTSTVRLGRVQPQAPGHRTIFCNDREANLPVRFPGNSISTTKYNFFTFLPKGLFEQFRRVANLYFLTISILSTTPISPVHPVTNVVPLSLVLFVSLVKEAFEDWKRFQNDMTINNNSVDVLQDQKWESIPWKKLQVGDIVRTANLDGETNLKIRKALEKTWDYLTPEKASEFKGEVQCEQPNNSLYTFTGNLIIDKQTLPLTPNEIMLRGCSLRNTEYMVGAVIFTGHETKVMMNAMNVPSKRSTLERKLDKLILALFATLFMMCLIGAISSGVFINHKYYYLGLRGKKNEDSAYSSFDPDNRFLVIILTMFTLITLYSTIIPISLYVSIEMIKFIQSTQYINNDLRMYHVESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGTGVTEIERGVAQRNGIKLDEGYKSANTAHEKGFNFDDAKLMRGAWRNEPNPDLCKEFFRCLAICHTVLPEGEETPEKITYQAASPDESALVIAAKNFGFFFYRRTPTTIYVRESHIEKVGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADNVIYERLADGQDDLKKVSREHLELFGSSGLRTLCLAYRDLSPDIYESWNEKFIQAKSSLRDREKKLDEVAELIEKDLIFIGCTAIEDKLQEGVPNCIETLSRAGIKIWVLTGDKMETAINIAYACNLINNEMKQFVISSETDVIREVENRGDQVEIARVIKEEVKKQLRRCLEEAQNYLHTVTGPKLALVIDGKCLMYALDPSLRVTLLNLSLNCNSVVCCRVSPLQKAQVTSMVKKGARKITLSIGDGANDVSMIQAAHVGVGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYIRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPELYREGIRNVFFKWRVVAVWAFFSVYQSLVFYYFVTTSSDSGQNSSGKMFGIWDVSTMAFTCVVVTVNLRLLMMCNSITRWHYISVGGSISAWFIFVFVYCIIDHKKNLYYVIYVLMSTFYFYLTLMLVPIFALFGDFVYQGIQRWFFPFDYQIIQEMHWHEPEGRSRDDLLEIGNQLTPDEARSYAVAQLPREVSKHTGFAFDSPGYESFFASQLGVHAPQKAWDVARRASMKRTVKKK >ONI30634 pep chromosome:Prunus_persica_NCBIv2:G1:27225976:27238689:-1 gene:PRUPE_1G263700 transcript:ONI30634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWNRSSRPRLGRNSNSQPERTSTVRLGRVQPQAPGHRTIFCNDREANLPVRFPGNSISTTKYNFFTFLPKGLFEQFRRVANLYFLTISILSTTPISPVHPVTNVVPLSLVLFVSLVKEAFEDWKRFQNDMTINNNSVDVLQDQKWESIPWKKLQVGDIVRIKKNGFFPADLLFLASTNPDGVCYIETANLDGETNLKIRKALEKTWDYLTPEKASEFKGEVQCEQPNNSLYTFTGNLIIDKQTLPLTPNEIMLRGCSLRNTEYMVGAVIFTGHETKVMMNAMNVPSKRSTLERKLDKLILALFATLFMMCLIGAISSGVFINHKYYYLGLRGKKNEDSAYSSFDPDNRFLVIILTMFTLITLYSTIIPISLYVSIEMIKFIQSTQYINNDLRMYHVESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGTGVTEIERGVAQRNGIKLDEGYKSANTAHEKGFNFDDAKLMRGAWRNEPNPDLCKEFFRCLAICHTVLPEGEETPEKITYQAASPDESALVIAAKNFGFFFYRRTPTTIYVRESHIEKVGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADNVIYERLADGQDDLKKVSREHLELFGSSGLRTLCLAYRDLSPDIYESWNEKFIQAKSSLRDREKKLDEVAELIEKDLIFIGCTAIEDKLQEGVPNCIETLSRAGIKIWVLTGDKMETAINIAYACNLINNEMKQFVISSETDVIREVENRGDQVEIARVIKEEVKKQLRRCLEEAQNYLHTVTGPKLALVIDGKCLMYALDPSLRVTLLNLSLNCNSVVCCRVSPLQKAQVTSMVKKGARKITLSIGDGANDVSMIQAAHVGVGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYIRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPELYREGIRNVFFKWRVVAVWAFFSVYQSLVFYYFVTTSSDSGQNSSGKMFGIWDVSTMAFTCVVVTVNLRLLMMCNSITRWHYISVGGSISAWFIFVFVYCIIDHKKNLYYVIYVLMSTFYFYLTLMLVPIFALFGDFVYQGIQRWFFPFDYQIIQEMHWHEPEGRSRDDLLEIGNQLTPDEARSYAVAQLPREVSKHTGFAFDSPGYESFFASQLGVHAPQKAWDVARRASMKRTVKKK >ONI27910 pep chromosome:Prunus_persica_NCBIv2:G1:8844105:8846723:1 gene:PRUPE_1G110600 transcript:ONI27910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEMVQDAFVKIIDIINKVHGLSSSVKSLPLWNHTIFDLQQALKLRAMGEFSSKKIIDFHDVPSPEEWQKVEGVCKIVGSIYEVSNALFGTKHLTPNVYLYHLHELHEILTKMSTDSDSFIRTIAEDMLNKFVKYWDNMFLLLAMAAVLDPRFKMKYIEFVCSKVKGRDRNSQVAAVLGAIRKHFDEYMIRFPEKENFMSDSSSSDSNSGHSPSPAHLNHIFGVLKDYYRFIQSSNQPTKKSDLDCYLEEPILLGARILVN >ONI27909 pep chromosome:Prunus_persica_NCBIv2:G1:8844105:8846723:1 gene:PRUPE_1G110600 transcript:ONI27909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEMVQDAFVKIIDIINKVHGLSSSVKSLPLWNHTIFDLQQALKLRAMGEFSSKKIIDFHDVPSPEEWQKVEGVCKIVGSIYEVSNALFGTKHLTPNVYLYHLHELHEILTKMSTDSDSFIRTIAEDMLNKFVKYWDNMFLLLAMAAVLDPRFKMKYIEFVCSKVKGRDRNSQVAAVLGAIRKHFDEYMIRFPEKENFMSDSSSSDSNSGHSPSPAHLNHIFGVLKDYYRFIQSSNQPTKKSDLDCYLEEPILLGARILVN >ONI30151 pep chromosome:Prunus_persica_NCBIv2:G1:24816924:24820079:-1 gene:PRUPE_1G234000 transcript:ONI30151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDTVHGPWKHHELKVKDSKTLLFGEKPVAVFGIRNPEEIPWGEAGADFIVESTGVFTDKDKAAFHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDIDILSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPQLNGKLTGMAFRVPTVDVSVVDLTVRIEKKATYEQIKAAIKEESEGKLKGILGYTEDDVVSTDFIGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVVDLIVHVASTL >ONI30153 pep chromosome:Prunus_persica_NCBIv2:G1:24816924:24819863:-1 gene:PRUPE_1G234000 transcript:ONI30153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDTVHGPWKHHELKVKDSKTLLFGEKPVAVFGIRNPEEIPWGEAGADFIVESTGVFTDKDKAAFHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDIDILSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPQLNGKLTGMAFRVPTVDVSVVDLTVRIEKKATYEQIKAAIK >ONI30152 pep chromosome:Prunus_persica_NCBIv2:G1:24816930:24819856:-1 gene:PRUPE_1G234000 transcript:ONI30152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDTVHGPWKHHELKVKDSKTLLFGEKPVAVFGIRNPEEIPWGEAGADFIVESTGVFTDKDKAAFHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDIDILSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPQLNGKLTGMAFRVPTVDVSVVDLTVRIEKKATYEQIKAAIKEESEGKLKGILGYTEDDVVSTDFIGDSR >ONI27906 pep chromosome:Prunus_persica_NCBIv2:G1:8826566:8827766:1 gene:PRUPE_1G110300 transcript:ONI27906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVLGYENVRNFCSYDYVCLSAHFIDENWKLRKWVLYFRCLWDPSVNCSEDCGMFKSLEALETHVQEKKELQLSGQLFNVYCFGEIISQMVEDAFRKISDLIDRVGELYSLKPLPLWYLTSSNLKQALELWSMGEFSSKDATDFYDVPSPEEWKIVEGVCKIVDSIYEVSNALFQTKHLTANVYLYHLHELREILSQMSSESEGFIATIVQDMMKKFDKYWDHMFLLLAICAVLDPRFKMKYVEFMRSKVKGGDGSSQVAAVLGRFPEKENFISESSFRRGPTKKSDLEYYLEEPVLPWSQDFNALTWWRTADLLAIPVSLATSFDAFYTKPRPVDERLVCLKPDLMNALICTRSWYGRFF >ONI27305 pep chromosome:Prunus_persica_NCBIv2:G1:5649390:5650043:-1 gene:PRUPE_1G078600 transcript:ONI27305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPILDEVKSTVTDPGQSHMCHTQWFAHYCCPDTK >ONI30775 pep chromosome:Prunus_persica_NCBIv2:G1:27889890:27891371:1 gene:PRUPE_1G272000 transcript:ONI30775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMADQTPSKRQREETLADDCEDPKRHKSYNHILSLLDEEEEEPSQDLSSIITTLQQELSSDSASEPLTAFPNSDADQEINQSSDSTAATAFEGYASSSSGSSSPSSSNTNSGFLKEGDEQEDDGERVMRHLLEASDDELGIPQREEVSGFDDAEDAGFNGLMMDGFSFGDGLWELQDEAANYYTLVQSELFM >ONI29158 pep chromosome:Prunus_persica_NCBIv2:G1:16450513:16452558:1 gene:PRUPE_1G184000 transcript:ONI29158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRRGIGSPTNDAETTQPPPDSKDVGGGNFGNNYFNVVLIAFVLLGLAYYSTSTTSEMSKLTILINYGGRWVDSRYENFKTRAVLVSNTITVKELQKQVYDIINVDPNDYEITIIAMYETMKSALPVEIADDDDARAFIFESRLKSYKIPLCITLEETNLLGSPQVPDYS >ONI29787 pep chromosome:Prunus_persica_NCBIv2:G1:22789141:22791923:-1 gene:PRUPE_1G214900 transcript:ONI29787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMVSALTQVIGTTEDHHQGTVVQSNPSSISHSIVKEEPDRPQPVQEQETVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEDAAIAYDNAALRFKGTKAKLNFPERVQGKTDFGMLMATSGSTRTTSSSSASTQQNQKFTGPASSGVVAHPPAAPSMAPQPETFPDLYQYAQLLSGNDIDFSYHSSNLFNHQDPFGSQISSPTTHFSSSNASQPPQRQQQDDQDEDGKDWNWNNPSQ >ONI34396 pep chromosome:Prunus_persica_NCBIv2:G1:40117148:40119500:-1 gene:PRUPE_1G480200 transcript:ONI34396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSKSLGILIEEALPEWRDKFLSYKDLKKQLKLIYPKDGDKPPNKRPRLADDDDRTDGGDIAGEGGEGAEVSKEVTDFVRLLENEMEKFNVFFEEKEEEYVIRWKELQDRVAEAKDSAEELMKVGREIVDFHGEMVLLENYSALNYTGLLKILKKHDKRTGALIRFPFVQRVMQQPFFTTDVLNKLVKECEAMLDHVFSKNGPSVPSEATEVEERCESTTVTENRDRLFRAPTELAEIKHMESVYVRQTISALRVLKEVRSGSSTVSAFSLPPLQTNVVEEDLKNIPILEQAAK >ONI34397 pep chromosome:Prunus_persica_NCBIv2:G1:40117349:40119404:-1 gene:PRUPE_1G480200 transcript:ONI34397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFNVFFEEKEEEYVIRWKELQDRVAEAKDSAEELMKVGREIVDFHGEMVLLENYSALNYTGLLKILKKHDKRTGALIRFPFVQRVMQQPFFTTDVLNKLVKECEAMLDHVFSKNGPSVPSEATEVEERCESTTVTENRDRLFRAPTELAEIKHMESVYVRQTISALRVLKEVRSGSSTVSAFSLPPLQTNVVEEDLKNIPILEQAAK >ONI36441 pep chromosome:Prunus_persica_NCBIv2:G1:47537353:47539708:-1 gene:PRUPE_1G585500 transcript:ONI36441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTHEWMRLAAVGNFCFRGPNPLSCKKKKTQKNPDHSGQGKDGDATLRSRKKRNRSRKGKRLKVLKFGQLRLNEHAVLQSSFLSNFN >ONI28921 pep chromosome:Prunus_persica_NCBIv2:G1:13822009:13823433:-1 gene:PRUPE_1G169100 transcript:ONI28921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHRFLLVTFPAQGHINPSLQFAKHLVRTTGAHVTYVTSLSAQSRIGNGSTPHGLTYSLYSDGYDNGFKDGDDIDHYMSELRRCGAQAITDLIVSSAKEGRPYTCLIYTILLPWAAEAARELHLPSVLVWIQPATVFDIYYYYFSGYKDLIRKNTCTTHPNGALCSIELPGLPLSLASRDLPSFMVGSNPYGFALPLFEEQFELLERETKPIILVNTFDALEPEALKAIDKYNLIGIGPLIPSAFLDGKDPSDKSFPGDRFQKSEDSSYIEWLNSRPEGSVVYVSFGSISVLSKPQMEEIAKGLLDSGRPFLWVIREKEGSNGRDKEAEKEEEKLSCREELEELGKIVPWCSQVEVLSSPSLGCFVTHCGWNSSLESLVSGVPVVAFPQWTDQGTNAKLIEDTWKTGVRVTPNDEGIVAGEELKRCLELVMGSGEIGEELRRNAKKWKGLAREAVSEGGSSDRNLKAFLDQIE >ONI36210 pep chromosome:Prunus_persica_NCBIv2:G1:46860849:46863833:1 gene:PRUPE_1G575200 transcript:ONI36210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTVVRFLSIATLFTICLLLCNANLSAPCKQNERQALLMFKHDLEDPSNRLSSWVGKGDCCNWTGVVCDNLTVHVRELHLAGHVDMLSGKINQSLLNLKHLTYLDLSNNDFQGMQIPSFLGSLKSLRYLNLSHSWFVGLIPHQLGNLTSLQVLDLGNPPYSEIYSLLEVESLQWISGLSQLQHLDMSGANLSKASDWLRVTNTLPSLVENLDMSFCGLYHIPGGIANMTNLKFLNLGYNSISSTIPKWLYRLSHLQSLSLSYNSFHGEISSSLGNLTSIVNLDLSFNQVAGNIPNSLGNLCKLTTLDMSENNLNGSVSEIFERFSRCSSVQIESLSLSWNNLSGHLTDKLDHFEKLRLLDLANNSISGPLPPSFGNLSCLEVVTIDDNNFTGVVSQLHFTNLTKLVRFEASGNSLTLETTPHWLPPFQLKILVLNSWHLEPSELPMWLQSQTQLQLLSMSNTRISGTIMTWFWNFSSQLTYIDLSENKFYGSLPLVSSTVDTLDLSNSSFSGTLFHFFCNNNSEPKALFALHLGNNLLSGKIPDCFRNWKNLVHLNLESNNLIGNIPRSLGYLIFLEYLHLRNNHLHGELPPYLKKCTELTILDLSYNKFLGKIPMWIGTSLSNLAVLSLRSNQFHGHIPYKLCNLTYLQILDLAHNNLSGRMPRCLYNFTAMTTPDDTRLGFDPVIYGVGQRENANVVTKGRVVKYGNILLSLVISLDLSDNIISGEIPEELTSLIYLQSVNLSYNLLSGRIPPKIGDMRRLESLDLSMNQLCGQIAPSMSSLTFLSALNLSYNNLTGEIPKSTQLQSLDQSSFIGNKLCGPPLEVNCSNTNGTVPSVADQKHGGSDLLEDGWFYLSLGLGFLFGFWSVLGSLLLNLPWSIVFSRFQNSIVKKLYGVIVEYF >ONI35348 pep chromosome:Prunus_persica_NCBIv2:G1:43421163:43421417:-1 gene:PRUPE_1G531200 transcript:ONI35348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTYVKLFAGCMHGWLAGCMVTQIKTSSKIPLASTLDLIAICFESCYLWFASFLVSGWLNLCRPYMPNVLEKYPGSNAFCTLVI >ONI30849 pep chromosome:Prunus_persica_NCBIv2:G1:28200888:28202202:-1 gene:PRUPE_1G276900 transcript:ONI30849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHTIPFSPNIAPRGLITSRSDSFPTRVNSDASTAGSLAPDSFCFSGVCSCGRRRFVEAAVATSLFPICPSTSIASKLPSDNYTTILEEVHPPRPDWYEEFYASVLNTSMQSYEDEIAGYKAELFAELKGKAQQVLEIGIGTGPNLRYYAADSGVRVFGVDPNIKMEKYARAAAVAAGLPLSNFEFVQAVGEAIPLDDASVDAVVGTLVLCSVKDVDKTLKEIKRVLRPGGVYLFVEHVAAKGRWNTPQIYTEYS >ONI30848 pep chromosome:Prunus_persica_NCBIv2:G1:28200711:28202202:-1 gene:PRUPE_1G276900 transcript:ONI30848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHTIPFSPNIAPRGLITSRSDSFPTRVNSDASTAGSLAPDSFCFSGVCSCGRRRFVEAAVATSLFPICPSTSIASKLPSDNYTTILEEVHPPRPDWYEEFYASVLNTSMQSYEDEIAGYKAELFAELKGKAQQVLEIGIGTGPNLRYYAADSGVRVFGVDPNIKMEKYARAAAVAAGLPLSNFEFVQAVGEAIPLDDASVDAVVGTLVLCSVKDVDKTLKEIKRVLRPGGVYLFVEHVAAKDGTLLRFTQSILDPLQQTLADGCHLTRETGRSISKSGFSDVKLSMTSLSSASIINPQLFGIACK >ONI29229 pep chromosome:Prunus_persica_NCBIv2:G1:17332835:17333557:1 gene:PRUPE_1G188600 transcript:ONI29229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSMYVSAIVRLDFILVGSLGFDFLWLDMTEWGGKLGFGSFVVAWFGSW >ONI29231 pep chromosome:Prunus_persica_NCBIv2:G1:17332873:17333281:1 gene:PRUPE_1G188600 transcript:ONI29231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSMYVSAIVRLDFILVGSLGFDFLWLDMTEWGGKLGFGSFVVAWFGSW >ONI29230 pep chromosome:Prunus_persica_NCBIv2:G1:17332873:17333476:1 gene:PRUPE_1G188600 transcript:ONI29230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSMYVSAIVRLDFILVGSLGFDFLWLDMTEWGGKLGFGSFVVAWFGSW >ONI35343 pep chromosome:Prunus_persica_NCBIv2:G1:43393966:43398132:-1 gene:PRUPE_1G530900 transcript:ONI35343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHLSTGKDRIDVKDEEDVAANQLGGEVKVADLIWVKIDGGSWWPGQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKHLYVDLLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSSRSKKQGSNSKESVAVYSPTHMSNRNHAGENHQTDSSSLTMKSKRLSTGKDRIDVKDEEDVAANQLGGKVKVPDLIWVKINGGSWWPAQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKYLYVDPLKYHSEFDIILKQNNGCYREILLKALEQKV >ONI35341 pep chromosome:Prunus_persica_NCBIv2:G1:43394801:43398084:-1 gene:PRUPE_1G530900 transcript:ONI35341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHLSTGKDRIDVKDEEDVAANQLGGEVKVADLIWVKIDGGSWWPGQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKHLYVDLLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSSRSKKQGSNSKESVAVYSPTHMSNRNHAGENHQTDSSSLTMKSKRLSTGKDRIDVKDEEDVAANQLGGKVKVPDLIWVKINGGSWWPAQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKYLYVDPLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSNRSKKQGSESKESVAVYSPTCVSNHNHARENHQTDSSSLVSSDFQWSLFRKLLILDVTYGNLVADY >ONI35340 pep chromosome:Prunus_persica_NCBIv2:G1:43394641:43398084:-1 gene:PRUPE_1G530900 transcript:ONI35340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHLSTGKDRIDVKDEEDVAANQLGGEVKVADLIWVKIDGGSWWPGQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKHLYVDLLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSSRSKKQGSNSKESVAVYSPTHMSNRNHAGENHQTDSSSLTMKSKRLSTGKDRIDVKDEEDVAANQLGGKVKVPDLIWVKINGGSWWPAQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKYLYVDPLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSNRSKKQGSESKESVAVYSPTCVSNHNHARENHQTDSSSLTTEVQRTEFSVSSFSWSMYMLIFMDCIVMHLHYPINVLLGKGFSEKTAICHYTTK >ONI35342 pep chromosome:Prunus_persica_NCBIv2:G1:43394959:43398084:-1 gene:PRUPE_1G530900 transcript:ONI35342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHLSTGKDRIDVKDEEDVAANQLGGEVKVADLIWVKIDGGSWWPGQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKHLYVDLLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSSRSKKQGSNSKESVAVYSPTHMSNRNHAGENHQTDSSSLTMKSKRLSTGKDRIDVKDEEDVAANQLGGKVKVPDLIWVKINGGSWWPAQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKYLYVDPLKYHSEFDIILKQNNGCYREILLKALEQKV >ONI35338 pep chromosome:Prunus_persica_NCBIv2:G1:43394439:43398084:-1 gene:PRUPE_1G530900 transcript:ONI35338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHLSTGKDRIDVKDEEDVAANQLGGEVKVADLIWVKIDGGSWWPGQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKHLYVDLLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSSRSKKQGSNSKESVAVYSPTHMSNRNHAGENHQTDSSSLTMKSKRLSTGKDRIDVKDEEDVAANQLGGKVKVPDLIWVKINGGSWWPAQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKYLYVDPLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSNRSKKQGSESKESVAVYSPTCVSNHNHARENHQTDSSSLTTEVQRTEFSVRVSPRRQQYVTIPQSKLELNMPIAKEEEAKRKSPREDGLQKKLKRNGSSDQAEVSARRLRVMQHLGLIAPSGSPFHKNGLIV >ONI35337 pep chromosome:Prunus_persica_NCBIv2:G1:43393947:43399313:-1 gene:PRUPE_1G530900 transcript:ONI35337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHLSTGKDRIDVKDEEDVAANQLGGEVKVADLIWVKIDGGSWWPGQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKHLYVDLLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSSRSKKQGSNSKESVAVYSPTHMSNRNHAGENHQTDSSSLTMKSKRLSTGKDRIDVKDEEDVAANQLGGKVKVPDLIWVKINGGSWWPAQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKYLYVDPLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSNRSKKQGSESKESVAVYSPTCVSNHNHARENHQTDSSSLVRVSPRRQQYVTIPQSKLELNMPIAKEEEAKRKSPREDGLQKKLKRNGSSDQAEVSARRLRVMQHLGLIAPSGSPFHKNGLIV >ONI35339 pep chromosome:Prunus_persica_NCBIv2:G1:43393957:43399812:-1 gene:PRUPE_1G530900 transcript:ONI35339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHLSTGKDRIDVKDEEDVAANQLGGEVKVADLIWVKIDGGSWWPGQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKHLYVDLLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSSRSKKQGSNSKESVAVYSPTHMSNRNHAGENHQTDSSSLTMKSKRLSTGKDRIDVKDEEDVAANQLGGKVKVPDLIWVKINGGSWWPAQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKYLYVDPLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSNRSKKQGSESKESVAVYSPTCVSNHNHARENHQTDSSSLTTEVQRTEFSVRVSPRRQQYVTIPQSKLELNMPIAKEEEAKRKSPREDGLQKKLKRNGSSDQAEVSARRLRVMQHLGLIAPSGSPFHKNGLIV >ONI35336 pep chromosome:Prunus_persica_NCBIv2:G1:43393966:43399812:-1 gene:PRUPE_1G530900 transcript:ONI35336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHLSTGKDRIDVKDEEDVAANQLGGEVKVADLIWVKIDGGSWWPGQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKHLYVDLLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSSRSKKQGSNSKESVAVYSPTHMSNRNHAGENHQTDSSSLTMKSKRLSTGKDRIDVKDEEDVAANQLGGKVKVPDLIWVKINGGSWWPAQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKYLYVDPLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSNRSKKQGSESKESVAVYSPTCVSNHNHARENHQTDSSSLVRVSPRRQQYVTIPQSKLELNMPIAKEEEAKRKSPREDGLQKKLKRNGSSDQAEVSARRLRVMQHLGLIAPSGSPFHKNGLIV >ONI35344 pep chromosome:Prunus_persica_NCBIv2:G1:43393966:43399812:-1 gene:PRUPE_1G530900 transcript:ONI35344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHLSTGKDRIDVKDEEDVAANQLGGEVKVADLIWVKIDGGSWWPGQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKHLYVDLLKYHSEFDIILKQNNGCYREILLKALEQDVSCLKSSRSKKQGSNSKESVAVYSPTHMSNRNHAGENHQTDSSSLTMKSKRLSTGKDRIDVKDEEDVAANQLGGKVKVPDLIWVKINGGSWWPAQVVDDNTVNVNNKPSKRSAGKVLVRLYGSYKYLYVDPLKYHSEFDIILKQNNGCYREILLKALEQKV >ONI30786 pep chromosome:Prunus_persica_NCBIv2:G1:27909307:27911323:-1 gene:PRUPE_1G272400 transcript:ONI30786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNNSGNSNNFLQVVASNFDVLALPLITLVYPLYASIKAIETKSRTDDQQWLTYWVLYSLMTIFELTFAKVLECFPIWPYAKLIFTCWLVLPQFNGAAYVYRHFIRPYYMNPPSPHQMWYIPRKKNIFSKPDDVLTAAEKYMEEHGTEAFERLITKADREARSRKSHNYMIFDDDYMY >ONI28716 pep chromosome:Prunus_persica_NCBIv2:G1:12447680:12450686:-1 gene:PRUPE_1G157000 transcript:ONI28716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQGSLSIASTAEDRVEAKITPIVIFSCVLAATGGLMFGYEVAISGGVTAMPDFLKKFYPDVYASTQKLNVNSNYCKYNNQGLQLFTSLLYLAALVATFFASYTTKRLGRKKTMLIAGVFFIVGTVLNAAFNLLSVVILGRVLLGCGVGFGNQVVPLFLSEIAPTRIRGGLNILFQLNATIGILLATLVNYGAAKIKGGWGWRLSLGLAGVPALMLTLGSLIVVDTPNSLIQRGKLDEGRSVLKKIRGTENIETEFAEIVEASRAAEEIKNPFRNLLERKNRPPLVIAIAMQIFQQFTGINAIFFYAPILFASMGFGSNASLYAAVITGAVNVLATIVSVFLVDKVGRRMLLLEAGIQMFLSQIVVAIVLALKVKDHSNNLSFGLAILVVVMVCIFVSAFAWSWGPIGWLIPSETFPLEARSAGQSVTVCVNMLFCFVIGQVFLLMLCSLKYAIFLFFAAWVLIMSLFVLLLIPETKNVPIEEMTERVWKKHWFWKRFMDDH >ONI33857 pep chromosome:Prunus_persica_NCBIv2:G1:37947287:37950562:-1 gene:PRUPE_1G450200 transcript:ONI33857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSEVAWPCIVVYAMMMISLMLNTQQIHGALPANTQKLIDEANKRGPYLGLVIPNSFEMNPLLQSPHFTSTDLIIDFSGRRFRFGTIANKKVILVMTGLSLINAGITTQLLLTLFNIEGVVHYGIAGNANPSLNIADVAIPHYWAHTALWNWQRYGQGPEDELPLEANGDYTRKIGYINVANYTVNVTDGSSYGNLLNNIWFQPEEVFPIDGTPEERQHAFWVAVDPHYYEISQKVEDVKLEGCLNSTTCLSNIPKVARVQRGASASIYLDNAAYRGFLYNKFNISPVDMETASVALICLQQRVPFIAIRAISDLAGGGSADSNEADMFTPLAAKNSVTVVVEFIKQLSAAATISV >ONI29415 pep chromosome:Prunus_persica_NCBIv2:G1:18878241:18889901:1 gene:PRUPE_1G197500 transcript:ONI29415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLSKSHSFRKPSSSSSFSSSYSQTHIGNSIGSTSISPNPSASPIEHSNSPKSTHIINGYPHIQLLGRKFCSLGSQIGSRRLFSSGYCLNCVNLRVNGFLRAQSVVAETRSFCSEPDRESIQYDVVIVGAGPAGLSAAIRLKQLCREKDVDLSVCVVEKGAEVGAHIISGNVFEPRALNELLPQWKEEESPITVPVTSDKFWFLTKDRAFSLPSPFSNKGNYVISLSQLVRWMGVKAEELGVEIYPGFAASEILYDANDTVVGIGTNDMGVAKDGSKKENFQRGIELKGRVTLLAEGCRGSLSEQIMKKYNLREKGDAQHQTYALGIKEVWEIDEGKHDPGAVLHTLGWPLDQKTYGGSFLYHMKDRQISIGFVVALNYHNPFLNPYEEFQKFKHHPAIKPLLEGGTVLQYGARTLNEGGFQSIPYPVFPGGAVIGCSAGFLNVPKIKGTHTAMKSGMLAAEATLSVLHEGSNLEKYWDALRSSWIWEELYKSRNFRPAFEYGLIPGLAISALEHYIMKGRAPLTLKHGKPDHEATNAAQFHSPIQYPKPDGVLSFDIPTSLHRSNTNHEHDQPAHLRLRDPKIPELVNLPEYSGPESRYCPARVYEYIPDEKGQLKLQINAQNCLHCKACDIKDPRQNIQWTAPEGGGGPGYSIM >ONI28912 pep chromosome:Prunus_persica_NCBIv2:G1:13712468:13714957:-1 gene:PRUPE_1G168300 transcript:ONI28912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLVFFLFPLLGLSFAEISSKIGINYGQLGNNLPSPNSSVEFIKSMNAGRVKLYDANPEILNLLSGTNLQVSIMVPNNEISTIASNQAKADEWVRNNVLPYYPQTMIRYLLVGNEVLSYNSSDQDRQMWLDLVPAMTKFKSSLKAQNITNIKVGTPLAMDVLESTFPPSNSMFRPDISNSVMAPMLRFLNRTNSFMFIDVYPFFPWSSNSTNISLDFALFRGNNNTNYYTDSGSGLIYTNLLDQMLDSLIYAMAKLGYQNIRLLITETGWPNSGDIEQPGANIHNAATYNRNLIHRMVAKPPLGTPARPGVVIPTFIFSLFDENQKTGPGTERHWGLLHADGTPIYDIDLTGKRRLEDYKPLPEANNNSPYKGMVWCVVAKGVNNDELDSALNNLCSSSSGNGTCDALSPGKECYEPVSEILHASYAFSSYWAKFWSHGATCYFDGLAEQTTVDPSHGSCKFPSVTL >ONI32706 pep chromosome:Prunus_persica_NCBIv2:G1:34335622:34336885:1 gene:PRUPE_1G380900 transcript:ONI32706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHYTRKTMQTTRIIQNSKILRAIPQVEVADNLHSIRFCPPVCFSSSLNIFFKTQNQRQQKLKKKTGQCFR >ONI28982 pep chromosome:Prunus_persica_NCBIv2:G1:14497569:14506483:1 gene:PRUPE_1G173400 transcript:ONI28982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYDFPEKYQNWTTTDPLDLFQAPIQKTEANPKAHIRRHLSQEARGYGNLVLWLDCDREGENICFEVMDCIGHSNETRRRIYRARFSSVTEKDILKAMDNLVEPNKDEALAVDARQEIDLKVGVAFTRFQTSFFQGKYGNLDSRVISYGPCQTPTLGFCVQRYLQINTFKPEKFWAVRPYIMQKGYELQLEWERNKLFDYDVAVMFQKLVMEDGILEVTEIVEKQESKSRPSGLNTVNLLKVASSALGFGPQMAMQVAERLYTQGFISYPRTESTAYPSSFDFRGTLGAQVNNPTWGSYVQRLLADGYQKPRSGTDVGDHPPVTPLRSATEDMLGNDAWRLYEYICTHFMGTVSPDCKYVRTKVEFSIGGEFFHCVGHRVLVKGFTSIMPWLAVTEKNLPQFTKGEKIEVSQVDLHEGMTSPPDYLSESELISLMEKNGIGTDASISVHINNICERNYVQVLAGRKLGPTALGITLIRGYQCIDPDLCLPDIRSFIEQQITLVAKGQADHFRVVQHVLQQFRQKYSYFVKQIENMDALFEAQFSPLADSGRVLSKCGKCLRYMKHISTQPSRLYCNTCEEVYYLPQKGSIKLYKELTCPLDNFELLIFSMPGPDGKSFPLCPYCYNSPPFEGIDTLFGAVKSGPSSQLGKGAGMPCFLCPHPTCRHSLIAQGVCACPECSGTLVLDPVSAPKWRLCCNMCNFLVLLPEGAHQISTTRERCPECDSTILEVDFNKKTTPLENGETLYTGCILCDELLHSLVEMKYGKSFFKRLGGRGRGRGSRRGGYRGRGRGTGKKVDPKMSFRDF >ONI28981 pep chromosome:Prunus_persica_NCBIv2:G1:14495752:14506483:1 gene:PRUPE_1G173400 transcript:ONI28981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDFPEKYQNWTTTDPLDLFQAPIQKTEANPKAHIRRHLSQEARGYGNLVLWLDCDREGENICFEVMDCIGHSNETRRRIYRARFSSVTEKDILKAMDNLVEPNKDEALAVDARQEIDLKVGVAFTRFQTSFFQGKYGNLDSRVISYGPCQTPTLGFCVQRYLQINTFKPEKFWAVRPYIMQKGYELQLEWERNKLFDYDVAVMFQKLVMEDGILEVTEIVEKQESKSRPSGLNTVNLLKVASSALGFGPQMAMQVAERLYTQGFISYPRTESTAYPSSFDFRGTLGAQVNNPTWGSYVQRLLADGYQKPRSGTDVGDHPPVTPLRSATEDMLGNDAWRLYEYICTHFMGTVSPDCKYVRTKVEFSIGGEFFHCVGHRVLVKGFTSIMPWLAVTEKNLPQFTKGEKIEVSQVDLHEGMTSPPDYLSESELISLMEKNGIGTDASISVHINNICERNYVQVLAGRKLGPTALGITLIRGYQCIDPDLCLPDIRSFIEQQITLVAKGQADHFRVVQHVLQQFRQKYSYFVKQIENMDALFEAQFSPLADSGRVLSKCGKCLRYMKHISTQPSRLYCNTCEEVYYLPQKGSIKLYKELTCPLDNFELLIFSMPGPDGKSFPLCPYCYNSPPFEGIDTLFGAVKSGPSSQLGKGAGMPCFLCPHPTCRHSLIAQGVCACPECSGTLVLDPVSAPKWRLCCNMCNFLVLLPEGAHQISTTRERCPECDSTILEVDFNKKTTPLENGETLYTGCILCDELLHSLVEMKYGKSFFKRLGGRGRGRGSRRGGYRGRGRGTGKKVDPKMSFRDF >ONI28980 pep chromosome:Prunus_persica_NCBIv2:G1:14495758:14506483:1 gene:PRUPE_1G173400 transcript:ONI28980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKVIMVAEKPSIALSIASVLSRGQMSTRKASTDVHEFEGMFLGFHVHFKVTSVIGHVFSVDFPEKYQNWTTTDPLDLFQAPIQKTEANPKAHIRRHLSQEARGYGNLVLWLDCDREGENICFEVMDCIGHSNETRRRIYRARFSSVTEKDILKAMDNLVEPNKDEALAVDARQEIDLKVGVAFTRFQTSFFQGKYGNLDSRVISYGPCQTPTLGFCVQRYLQINTFKPEKFWAVRPYIMQKGYELQLEWERNKLFDYDVAVMFQKLVMEDGILEVTEIVEKQESKSRPSGLNTVNLLKVASSALGFGPQMAMQVAERLYTQGFISYPRTESTAYPSSFDFRGTLGAQVNNPTWGSYVQRLLADGYQKPRSGTDVGDHPPVTPLRSATEDMLGNDAWRLYEYICTHFMGTVSPDCKYVRTKVEFSIGGEFFHCVGHRVLVKGFTSIMPWLAVTEKNLPQFTKGEKIEVSQVDLHEGMTSPPDYLSESELISLMEKNGIGTDASISVHINNICERNYVQVLAGRKLGPTALGITLIRGYQCIDPDLCLPDIRSFIEQQITLVAKGQADHFRVVQHVLQQFRQKYSYFVKQIENMDALFEAQFSPLADSGRVLSKCGKCLRYMKHISTQPSRLYCNTCEEVYYLPQKGSIKLYKELTCPLDNFELLIFSMPGPDGKSFPLCPYCYNSPPFEGIDTLFGAVKSGPSSQLGKGAGMPCFLCPHPTCRHSLIAQGVCACPECSGTLVLDPVSAPKWRLCCNMCNFLVLLPEGAHQISTTRERCPECDSTILEVDFNKKTTPLENGETLYTGCILCDELLHSLVEMKYGKSFFKRLGGRGRGRGSRRGGYRGRGRGTGKKVDPKMSFRDF >ONI35217 pep chromosome:Prunus_persica_NCBIv2:G1:42850290:42852340:1 gene:PRUPE_1G523200 transcript:ONI35217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVFKVGMSCQGCVGAVKRVLGKLEGVESYNIDFDAQNVTVKSNLPPETVLQTVSKTGKKTAYWRAEAPTKPEAKPVAAA >ONI35218 pep chromosome:Prunus_persica_NCBIv2:G1:42850250:42852660:1 gene:PRUPE_1G523200 transcript:ONI35218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVFKVGMSCQGCVGAVKRVLGKLEGVESYNIDFDAQNVTVKSNLPPETVLQTVSKTGKKTAYWRAEAPTKPEAKPVAAA >ONI35219 pep chromosome:Prunus_persica_NCBIv2:G1:42850290:42852340:1 gene:PRUPE_1G523200 transcript:ONI35219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQGCVGAVKRVLGKLEGVESYNIDFDAQNVTVKSNLPPETVLQTVSKTGKKTAYWRAEAPTKPEAKPVAAA >ONI27641 pep chromosome:Prunus_persica_NCBIv2:G1:7811757:7813363:1 gene:PRUPE_1G097800 transcript:ONI27641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSFAMSMLPLGVGWEHKGRLNLRGCSKIGALQNRKSCAMGFSVNLGYGSVIEVELWGIFWGLSMAWDADFRTVEIECDSTSAVALLKSPIDWCCYVKHIFREQNCAADALTVKCYDFDPGLHVFLEAPAFLSDVLAANVRGAVRPRLVSV >ONI33673 pep chromosome:Prunus_persica_NCBIv2:G1:37397984:37400628:-1 gene:PRUPE_1G440000 transcript:ONI33673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERTKLGTLKAPTHGKFITVLSIDGGGIRGIIPGIIVAFLESELQKLDGEEARVADYFDVVAGTSTGGLLTIMLTAPNDNNRPMYAAKDIKSFYFDNGPKIFPQGSWPLAKMIKAVLGPRYDGKYLHNLLKQKLGNTRCQQTLTNVVIPTFDIKRLQPTIFSSFEVKSKPHLNALLSDIGIGTSAAPTYFPAHYFETKDSAGKVENFNLVDGGVAANNPTLIAIGEVTKEIHRKNPDFNSIKAADHYGRLLVLSLGTGSPKVEEKYTANEASRWGVFGWLVSDHSTPLVDAFTQASADLVDFHMSVVFKSLESEKNYLRIQDDTLKGTVSSVDNSTKENLENLAKIGEELLKKPVSNVNLETGSFGPSKCETNEQALTRFAKLLSQEKHLRDQASSS >ONI33672 pep chromosome:Prunus_persica_NCBIv2:G1:37397984:37400629:-1 gene:PRUPE_1G440000 transcript:ONI33672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERTKLGTLKAPTHGKFITVLSIDGGGIRGIIPGIIVAFLESELQKLDGEEARVADYFDVVAGTSTGGLLTIMLTAPNDNNRPMYAAKDIKSFYFDNGPKIFPQGSWPLAKMIKAVLGPRYDGKYLHNLLKQKLGNTRCQQTLTNVVIPTFDIKRLQPTIFSSFEVKSKPHLNALLSDIGIGTSAAPTYFPAHYFETKDSAGKTLIAIGEVTKEIHRKNPDFNSIKAADHYGRLLVLSLGTGSPKVEEKYTANEASRWGVFGWLVSDHSTPLVDAFTQASADLVDFHMSVVFKSLESEKNYLRIQDDTLKGTVSSVDNSTKENLENLAKIGEELLKKPVSNVNLETGSFGPSKCETNEQALTRFAKLLSQEKHLRDQASSS >ONI35678 pep chromosome:Prunus_persica_NCBIv2:G1:44932336:44937207:-1 gene:PRUPE_1G549700 transcript:ONI35678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSIDDDWELPSLSSAVRTVVLVGRTGNGKSATGNSILGRKAFKSKTSSSGVTSTCELEKAVLRDGQVVNVIDTPGLFDFSARSDFVGKEIVKCIDLAKDGIHAVLVTFSVRTRFSQEEEAALRSLQTLFGSKIIDYMIVVFTGGDDLEENDETLEDYLGRECPEPLKEILVLCENRCVLFDNKTKDESKRVQQVQHLLSLVNKVIAQNGGRPYTDEIFAEVKRGAIKLRDQQEEVNSLKGYSKREISDLKNQMQRAYEEQLTRITEMVESKLRETTIRLEQQLADEQAARLRAEENAQMAQMRSDDEIRKLRENLMRAEEELRKRGEGKCAIL >ONI35677 pep chromosome:Prunus_persica_NCBIv2:G1:44932341:44937207:-1 gene:PRUPE_1G549700 transcript:ONI35677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSIDDDWELPSLSSAVRTVVLVGRTGNGKSATGNSILGRKAFKSKTSSSGVTSTCELEKAVLRDGQVVNVIDTPGLFDFSARSDFVGKEIVKCIDLAKDGIHAVLVTFSVRTRFSQEEEAALRSLQTLFGSKIIDYMIVVFTGGDDLEENDETLEDYLGRECPEPLKEILVLCENRCVLFDNKTKDESKRVQQVQHLLSLVNKVIAQNGGRPYTDEIFAEVKRGAIKLRDQQEEVNSLKGYSKREISDLKNQMQRAYEEQLTRITEMVESKLRETTIRLEQQLADEQAARLRAEENAQMAQMRSDDEIRKLRENLMRAEEELRKRGEGKCAIL >ONI31447 pep chromosome:Prunus_persica_NCBIv2:G1:30370922:30371827:1 gene:PRUPE_1G313800 transcript:ONI31447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRLPIFQKVSKLLRIFIFIAKVRKLSFENSFLRRKLQTSKKLKLLKHYNNGFRGEYQFSPSNTPLIHYHKRQLMKSCPQDIYFLFFFLCKCWGSSLRVEGEDICGDFIMEALPPATGEDDNAVALLEPLDWGDDEGSIDLRAQMFIDRFYEEMRMQRQESF >ONI28171 pep chromosome:Prunus_persica_NCBIv2:G1:10035684:10036913:1 gene:PRUPE_1G128500 transcript:ONI28171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFTSVIAPPRLFKALVLDADNLVPKIAPQAVKSAEIVEGDGGVGTIKKTSFGEGSEYSYVKHQVDALDKDNFVYSYSLIEGDALSDKIEKISYEIKLVASADGGSIIKNTSNYHIKGDVEIKEEHVKAGKERAHALFKVIETYLVANPDAYN >ONI32197 pep chromosome:Prunus_persica_NCBIv2:G1:32882599:32885751:1 gene:PRUPE_1G353600 transcript:ONI32197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNESKAKRMRVVEDKTEEDPKFHKNPEDRGASSSSSSRIETGIFGKIPPELFPHILKFLSSEDLIACSLVCRFLNYAASDESLWRRLYCMRWGLLPPTRKLRECPWKKLYIQRDEEDMVELVRNCSLEFKEYYIQMQAAKRSQAPLPSQVNDDGIILDRTVADQVSMWKSSKGLTDKVVADHACSGEICTYYQIGDVFVCEKTGQVHVCDDNCREVVMDPTNELWVCTISGHCFDRLLSPAEMEPDSELQQGGVTDEAEPFMGSGRFARAYQLGYNCADEKELEDALRFC >ONI32196 pep chromosome:Prunus_persica_NCBIv2:G1:32882080:32885751:1 gene:PRUPE_1G353600 transcript:ONI32196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISEDEDEILAHFLESEVLSEVSDQEEDKMKNESKAKRMRVVEDKTEEDPKFHKNPEDRGASSSSSSRIETGIFGKIPPELFPHILKFLSSEDLIACSLVCRFLNYAASDESLWRRLYCMRWGLLPPTRKLRECPWKKLYIQRDEEDMVELVRNCSLEFKEYYIQMQAAKRSQAPLPSQVNDDGIILDRTVADQVSMWKSSKGLTDKVVADHACSGEICTYYQIGDVFVCEKTGQVHVCDDNCREVVMDPTNELWVCTISGHCFDRLLSPAEMEPDSELQQGGVTDEAEPFMGSGRFARAYQLGYNCADEKELEDALRFC >ONI32195 pep chromosome:Prunus_persica_NCBIv2:G1:32882080:32885751:1 gene:PRUPE_1G353600 transcript:ONI32195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISEDEDEILAHFLESEVLSEVSDQEEEDKMKNESKAKRMRVVEDKTEEDPKFHKNPEDRGASSSSSSRIETGIFGKIPPELFPHILKFLSSEDLIACSLVCRFLNYAASDESLWRRLYCMRWGLLPPTRKLRECPWKKLYIQRDEEDMVELVRNCSLEFKEYYIQMQAAKRSQAPLPSQVNDDGIILDRTVADQVSMWKSSKGLTDKVVADHACSGEICTYYQIGDVFVCEKTGQVHVCDDNCREVVMDPTNELWVCTISGHCFDRLLSPAEMEPDSELQQGGVTDEAEPFMGSGRFARAYQLGYNCADEKELEDALRFC >ONI29603 pep chromosome:Prunus_persica_NCBIv2:G1:20510179:20510511:1 gene:PRUPE_1G204600 transcript:ONI29603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHNPDLIMCRKQPGIAIGRLCEKCDGKCVICDSLVRPCTLVRVCDECNYGSFQGRCVVCGGVGISDAYYCKECTQLEKDRDGCPKIVNLGSAKTDLFYERKKYGFKNR >ONI26704 pep chromosome:Prunus_persica_NCBIv2:G1:2907385:2910324:1 gene:PRUPE_1G040600 transcript:ONI26704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIQTLLKTLFKNPSTIKSQSQAKQLHAQILKTKGPSPPDLSFVLSVYSNLNLLHDSLTLFNTFHSPPTTLAWKSIIRCYTSHGLCRHSLASFVEMKAFGIYPDHNVFPSVLKSCTLIKDLRFGESVHGCIVRFGMDCDLYTCNALMNMYAKLEALAETGEQRFSAPKLFDGMPQRNQVSKFGSDLGSSVELSGRTVSLEVKSEGRMLLSDGNAKREVGGGDTLYSSQSNKLSNKLQVQVMGIDRNVNLISSREATPQIDAYREVDDKFNGNVNKVFMHKEDQRGTKVDSVRKVFDLMPKRDIVSWNTVIAGNAQNGMCEEALAMVKDMGNANLKPDSFTLSSVLPVFAEYVDVIKGKEIHGYAIRHGFDADVFVGSSLIDMYANCNRIKDSLRVFNLLPKRDAISWNSIIAGCVQNSMFDEGLIFFRQMLMGKIKPVPVSFSSTIPACAHLTTLHLGKQLHGYIIRGGFEDNVFVASSLVDMYAKCGNIRIARWIFDKMEQHDMVSWTAMIMGYALHGHAPDAFSSFEQMEGEAVKPNYVSFMAVLTACSHAGLVDKAWKYFNSMTKKYDIAPGIEHYAAVADVLGRAGRLEEAYQFISSMHMEPTGSVWLTLLAACRVHKNVELAEKVAEKIFTVDPENMGAYVLLSNVYSAAKRWKDAVKVRTCMRDKGLKKKPACSWVEVKNKVHAFVAEDKSHPYYDRIIEALDVISEQMEREGYVPNTNEVLHDVEEEQKKYLLYHHSERLAIAFGIISSPAGATIRVTKNIRVCVDCHAAIKFMSKIVGREMIVRDNSRFHHFKDGECSCGDYW >ONI32338 pep chromosome:Prunus_persica_NCBIv2:G1:33310739:33312989:-1 gene:PRUPE_1G362000 transcript:ONI32338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIAIGIERGVFSDDDNIINDDVVCFFYYEAAGGGGGGGGLDVKLLAAANVTIPAVFMFGDSIVDTGNNNDNLKTPARCNFPPYGRDFQGGIPTGRYSNGKVPSDFIAEELGIKELLPAYLDPNLAQNDLLTGVSFAVGATGYDPMTAKVVAVTQLSDQLLQFEEYIGRLKGIVGEDRANSILANSLFFVVASSNDLANTYFVTGIRKLEYDVPSYTDLMLNHASDFLKVTLKHIKSDRCQNHIIRISVKACNFDLFDFLRCEKGLYALGARRIGVFSAPPIGCLPSQRTLGGGILRDCVEKPNQAAMLFNSKLSAELDNLNKNLPNSNLVYVDIYNPLLDLIINPTNYGFEIADKGCCGSGKLEVSILCNEFEPETCTDDSNYVFWDSYHPTEAA >ONI31202 pep chromosome:Prunus_persica_NCBIv2:G1:29414393:29416226:-1 gene:PRUPE_1G298300 transcript:ONI31202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTWRRRLGNVRSFVGNSMGGLRGGSNLASWVVAGTLAYFLWVKPSQDLKREQQERAALASADPHAYVEKRKPVPDPQETGLIYGNKNRTRKPEE >ONI31203 pep chromosome:Prunus_persica_NCBIv2:G1:29414347:29416238:-1 gene:PRUPE_1G298300 transcript:ONI31203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTWRRRLGNVRSFVGNSMGGLRGGSNLASWVVAGTLAYFLWVKPSQDLKREQQERAALASADPHAYVEKRKPVPDPQETGLIYGNKNRTRKPEE >ONI31201 pep chromosome:Prunus_persica_NCBIv2:G1:29413838:29416242:-1 gene:PRUPE_1G298300 transcript:ONI31201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTWRRRLGNVRSFVGNSMGGLRGGSNLASWVVAGTLAYFLWVKPSQDLKREQQERAALASADPHAYVEKRKPVPDPQETGLIYGNKNRTRKPEE >ONI31204 pep chromosome:Prunus_persica_NCBIv2:G1:29414420:29416226:-1 gene:PRUPE_1G298300 transcript:ONI31204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTWRRRLGNVRSFVGNSMGGLRGGSNLASWVVAGTLAYFLWVKPSQDLKREQQERAALASADPHAYVEKRKPVPDPQETGLIYGNKNRTRKPEE >ONI28395 pep chromosome:Prunus_persica_NCBIv2:G1:11004065:11011371:1 gene:PRUPE_1G140200 transcript:ONI28395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYYKSISITKHALDLYTQLTEETKSGFWVCFQTLTPIGSKNFSDRSKAFTQSLAEMDVPKDQIATLMEHGLYNSAQMLGCFLVSSPAANAEVNPHLKAESLVLLGDSLFREREYRRAIHTYKQALQFCKMISKTSATSTRSSLSSNRSSSPNFFNISGINENEVKFKIASCHFSLGENGPALSEMDNIPSKVRNLQMNLLMGKLYRYSRQNRAAVSCFKECLRHCPYVIEAIVALAELGVAAKDIISLIPQTPSRSGRAPFDHFDSSRWLHRYVEAQCCIASNDYKGGLELFTDLLQRFPNNLHLLLEVAKVEAIIGKNDEAIMNFEKARSIDPYVVTYMDEYAMLLKTKSDFSKLNKLVHDLLISDSTRPEVFVALSVLWERKDERGSLSYAEKSIRIDERHITGYIMKGNLLLSMKRAEAAVVAFRAAQELRPDIRSYQGLVHSYLALSKIKEALYASREAMKAMPQSAKALKLVGDVHASNSSGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQEALSHYEDALRINRQNEAAKKGLERLEKQMKGVDPDAPEEDEENEVDDADGDQEETELL >ONI28397 pep chromosome:Prunus_persica_NCBIv2:G1:11004065:11011371:1 gene:PRUPE_1G140200 transcript:ONI28397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYYKSISITKHALDLYTQLTEETKSGFWVCFQTLTPIGSKNFSDRSKAFTQSLAEMDVPKDQIATLMEHGLYNSAQMLVLLGDSLFREREYRRAIHTYKQALQFCKMISKTSATSTRSSLSSNRSSSPNFFNISGINENEVKFKIASCHFSLGENGPALSEMDNIPSKVRNLQMNLLMGKLYRYSRQNRAAVSCFKECLRHCPYVIEAIVALAELGVAAKDIISLIPQTPSRSGRAPFDHFDSSRWLHRYVEAQCCIASNDYKGGLELFTDLLQRFPNNLHLLLEVAKVEAIIGKNDEAIMNFEKARSIDPYVVTYMDEYAMLLKTKSDFSKLNKLVHDLLISDSTRPEVFVALSVLWERKDERGSLSYAEKSIRIDERHITGYIMKGNLLLSMKRAEAAVVAFRAAQELRPDIRSYQGLVHSYLALSKIKEALYASREAMKAMPQSAKALKLVGDVHASNSSGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQEALSHYEDALRINRQNEAAKKGLERLEKQMKGVDPDAPEEDEENEVDDADGDQEETELL >ONI28396 pep chromosome:Prunus_persica_NCBIv2:G1:11004004:11011420:1 gene:PRUPE_1G140200 transcript:ONI28396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYYKSISITKHALDLYTQLTEETKSGFWVCFQTLTPIGSKNFSDRSKAFTQSLAEMDVPKDQIATLMEHGLYNSAQMLGCFLVSSPAANAEVNPHLKAESLVLLGDSLFREREYRRAIHTYKQALQFCKMISKTSATSTRSSLSSNRSSSPNFFNISGINENEVKFKIASCHFSLGENGPALSEMDNIPSKVRNLQMNLLMGKLYRYSRQNRAAVSCFKECLRHCPYVIEAIVALAELGVAAKDIISLIPQTPSRSGRAPFDHFDSSRWLHRYVEAQCCIASNDYKGGLELFTDLLQRFPNNLHLLLEVAKVEAIIGKNDEAIMNFEKARSIDPYVVTYMDEYAMLLKTKSDFSKLNKLVHDLLISDSTRPEVFVALSVLWERKDERGSLSYAEKSIRIDERHITGYIMKGNLLLSMKRAEAAVVAFRAAQELRPDIRSYQGLVHSYLALSKIKEALYASREAMKAMPQSAKALKLVGDVHASNSSGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQEALSHYEDALRINRQNEAAKKGLERLEKQMKGVDPDAPEEDEENEVDDADGDQEETELL >ONI26483 pep chromosome:Prunus_persica_NCBIv2:G1:1955034:1958573:1 gene:PRUPE_1G027900 transcript:ONI26483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVELEAIEGLRWCWNSWPASKTESTDLVIPLSIMCTPLMQQAELPVLPYDPVTCRQCGAVLNPYARVEYTSRIWFCSFCLHKNSFPHSYSNIGEANLPAELFPTYSAVEYAKTANPVTNSGPNHNWANGLSSSSLSLSSMVSTAPSSLPDGDPRGVRPAFVFVVDACTSGEELGALKNELLLVVEQLPETALVGLVTFDSMVRVHDLGFSECSRAVVFHGARELSSHQTQQFLGIKRPTYQQLGKMSVNQKQGFLVPVSECEFNITTAIEEIHSSLLGKPGHRPLRSTGVAISAAVGLLEGCSVSTGSRIMVFTSGPATLGPGIIVDSNLGHSIRTHRDLINGHTPYYGRSRSFYRQVLQRLTDASIVLDLFACSLDQAGVAELKVPVESSGGFMMLGESFASNQFRKCLRHIFTHDEEGYLKMYLDATIEIVTTKDVKICGALGPCVSLRKVNNLVSSSEIGEGGTYVWKLGTITNKTCIAFFFQVSNEQNVQPGSAFFIQFITRYRYGNMGIRKRVTTAARRWVGNRSPEIAVGFDQEAAASVIARLAIHRAETCFARDVIRWLDDTLIRFASKFGDYAEEDPSSFRLTSNFSLFPQFMYYLRRSQFIDVFNCSPDETAFFRLMLNREGVMGSLIMIQPTLFQYSFDGPPVPVLLDVRSISPDVILLFDSYFHVVIHYGSKIAQWRKLGYDKDPNHENLRKLLEAPEIDAEQLVADRVPAPRLIKCDQHGSQARFLLAKLNPSVTQNSTYSNGSDIIFTDDLSLQVFLDHLQVLAVQG >ONI34484 pep chromosome:Prunus_persica_NCBIv2:G1:40333530:40334007:1 gene:PRUPE_1G484000 transcript:ONI34484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMNLVCVVFLCSLIVGGNCQPCTEQNLKITQSKTGKMVQNKPEWNVKITNDCPCSQLDVKLSCDAFQTVEDIDSSILSKSGSECLVNNGQPIYPNTDFNFNYAWDNSFSFKPVHSQVGCS >ONI32617 pep chromosome:Prunus_persica_NCBIv2:G1:34117668:34120914:1 gene:PRUPE_1G376500 transcript:ONI32617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSHLLVRSDKLSLSSPFSSFSGQNLRRSPGFSGKFTSICVSSPATLPKISCQTASATSPPSSLDNGKAKAELKDFLHISDFDKSTILKILDRAVEVKALLKSGERTYLPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPNDIQMGKREETRDVARVLSRYNDIIMARVFAHQDILDLAKYATVPVVNGLTDYNHPCQIMADVLTIIEHVGQLEGTKVVYVGDGNNVVHSWLLMASVIPFHFVCACPKGFEPDKKTVEKAQQAGISKIEITNDPKEAVKGADVVYSDVWASMGQKEEAAYRHQVFQGFQVDENLMKIAGPKAYFMHCLPAERGVEVTDGVVEAPNSIVFPQAENRMHAQNAVMLHLLGA >ONI33558 pep chromosome:Prunus_persica_NCBIv2:G1:37011034:37013174:1 gene:PRUPE_1G432300 transcript:ONI33558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSVKYISECFVQPHHASEESKQPFYLTPGDLAMLSVHYIQKGLLFSKPPEAKLGQEDFTNSLLERLKHSLSIALVHFYPLAGRFVTEKNEDPPLYLVYVDCNNSPGAKFINATLDMSISDILSPTDAPLVVQSFFDHDRAVNHDGHTMSLLTIQVTELVDGIFIGLSMNHCLGDGTSYWHFFNIWSEIFQAQAQGDHNNFVPKISRPPVLKRWFPDGHGPIINLPFKHSDEFIGRYEAPKLRERMFHFSSESIAKLKAKANAESNTTKISSFQSLSALMWRSITRARGLPRDQGTNCRLAANNRGRLEPPLSGDYFGNSIHPIRSEIVPAGELLEHGLGWAAWKLHEAVVNHNDKLIREHVDGWLKSPAVYQLSFFDPFSVMMGSSPRFNMYGNQFGMGKALAPRSGYANKFSGKVSSYEGREEGSIDLEVCLPPDAMSALECDSEFMEAASLPLY >ONI26359 pep chromosome:Prunus_persica_NCBIv2:G1:1391579:1396420:-1 gene:PRUPE_1G019900 transcript:ONI26359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNADYECPHRVEIPPAKPFLKALKSSLKETFFPDDPFRQFKNQPPSRKLVLGLQHFVPILEWAPRYTFDFFKSDLIAGITIASLAVPQGISYANLANLPAIIGLYSSFVPPLVYAMLGSSKDLAVGTVAVASLLISSMLGKVVSPTENPKLYVQLALTSTFFAGAFQASLGLLRLGFVVDFLSHATIVGFMGGAATVVCLQQLKGVLGLVHFTHETDLISVMKSIFSQVHQWRWESAVLGCCFLFFLLLTRYFSKRKPAFFWINAMAPLCSVILGSILVFVTHAEKHGVQVIGHLKKGLNPPSVSELAFGSPYLTTAIKTGIITGVIGLAEGVAVGRSFAAFKNYHIDGNKEMIAFGMMNIAGSCTSCYLTAGPFSRSAVNFNAGCKTAVSNIVMATAVMFTLLFLTPLFHYTPLVVLSAIIMAAMLGLIDYEAVIHLWKVDKVDCIVCLGAYVGVVFGSVEIGLVIAVTVSMLRVLLFVARPRTFTLGNIPNSSIYRSIDQYPDANNIPGILILQIDAPIYFANANYLRERISRWIYEEEDKLKSSGETSLHYVILDLSTVGSIDTSGISMLEEVKKNVDIKGLKLVLANPRSEVIKKLEKSEFIEKIGQEWIYVTVGEAVSACNFMLHTCKPNPGETEVNRKDDNV >ONI35066 pep chromosome:Prunus_persica_NCBIv2:G1:42227865:42230852:1 gene:PRUPE_1G513100 transcript:ONI35066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGWKPLLPLIAVSALFIYEEWVSSPSCKRLPSPNLHGHEPNQDHPDDLKVMMVANLLLLGSEAGYFNLLFRDYYLSRFFTNSFRTLKPDMLLVLGDISARGYKLPNSKYISVIHQFQRVLGPFLGLPLHVVLGDRDIGECDKLSSDLVSWVSGRFPGLDSAGCGAFEIGNVSFVSLNAVALLCGNNELRFSVEKVVESESMDFQMGSELEGMDENGRVRKKVYEFGWRENAMSSGSGPVLLLHFPLSQTVAAANYDRSRSSSILDGRGRVGTGPYNLLHKLPPNATEYIFQALKPRIVFSAHTQEFHDHFHPDGTREVTVPAMTWNARDDPGFVVAIFRRNKGEVSVSYCSLARESHVLIAYVTLLILLVPMMVFPSTPNLRCFTQS >ONI29649 pep chromosome:Prunus_persica_NCBIv2:G1:21149405:21160004:-1 gene:PRUPE_1G207300 transcript:ONI29649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSTVLGSVTVPVESEGQTGAVWYTLDSSSGQVCLHIKTIKLPVNSSSGRAMNGYAGANPRRRVPSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVIIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRGLHRTEKNYHAMLEAEKKEKAASALRAHSSSVKGSQAKIPEDIIPKTGKLPAFIKEEVITSIYNDVFPCTAEKFFNLLLSDGSNYLHEYRSARKDTNLVIGQWHPADEYDGQLREITFRCICNSPMCPPDTAMTEWQHAVLSPDKKTLVFETVQQAHDVPFGSYFEVCNTLMMLFCFSDVISSGS >ONI29640 pep chromosome:Prunus_persica_NCBIv2:G1:21149405:21160008:-1 gene:PRUPE_1G207300 transcript:ONI29640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVSLDFLVPSLWEIEVAVAASVFVIFAYWFFTYRGGGGGYADRPVVDNSGAFGDAIDDKDKLKGDLQNSSAYLIKLELLAAKNLIGANLNGTSDPYAMITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSTVLGSVTVPVESEGQTGAVWYTLDSSSGQVCLHIKTIKLPVNSSRAMNGYAGANPRRRVPSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVIIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRGLHRTEKNYHAMLEAEKKEKAASALRAHSSSVKGSQAKIPEDIIPKTGKLPAFIKEEVITSIYNDVFPCTAEKFFNLLLSDGSNYLHEYRSARKDTNLVIGQWHPADEYDGQLREITFRCICNSPMCPPDTAMTEWQHAVLSPDKKTLVFETVQQAHDVPFGSYFERTIKTRVVKETTTNSTEKEETT >ONI29644 pep chromosome:Prunus_persica_NCBIv2:G1:21149405:21160026:-1 gene:PRUPE_1G207300 transcript:ONI29644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVSLDFLVPSLWEIEVAVAASVFVIFAYWFFTYRGGGGGYADRPVVDNSGAFGDAIDDKDKIQLKGDLQNSSAYLIKLELLAAKNLIGANLNGTSDPYAMITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSTVLGSVTVPVESEGQTGAVWYTLDSSSGQVCLHIKTIKLPVNSSSGRAMNGYAGANPRRRVPSDKQGPTVVHQKPGPLQTIFNLLPDEVIIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRGLHRTEKNYHAMLEAEKKEKAASALRAHSSSVKGSQAKIPEDIIPKTGKLPAFIKEEVITSIYNDVFPCTAEKFFNLLLSDGSNYLHEYRSARKDTNLVIGQWHPADEYDGQLREITFRCICNSPMCPPDTAMTEWQHAVLSPDKKTLVFETVQQAHDVPFGSYFEVCNTLMMLFCFSDVISSGS >ONI29645 pep chromosome:Prunus_persica_NCBIv2:G1:21150550:21159816:-1 gene:PRUPE_1G207300 transcript:ONI29645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVSLDFLVPSLWEIEVAVAASVFVIFAYWFFTYRGGGGGYADRPVVDNSGAFGDAIDDKDKIQLKGDLQNSSAYLIKLELLAAKNLIGANLNGTSDPYAMITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSTVLGSVTVPVESEGQTGAVWYTLDSSSGQVCLHIKTIKLPVNSSSGRAMNGYAGANPRRRVPSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVIIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRGLHRTEKNYHAMLEAEKKEKAASALRAHSSSVKGSQAKIPEDIIPKTGKLPAFIKEEVITSIYNDVFPCTAEKFFNLLLSDGSNYLHEYRSARKDTNLVIGQWHPADEYDGQLREITFRCICNSPMCPPDTAMTEWQHAVLSPDKKTLVFETVQQAHDVPFGSYFEVCNTLMMLFCFSDVISSGS >ONI29648 pep chromosome:Prunus_persica_NCBIv2:G1:21150550:21157629:-1 gene:PRUPE_1G207300 transcript:ONI29648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSTVLGSVTVPVESEGQTGAVWYTLDSSSGQVCLHIKTIKLPVNSSSGRAMNGYAGANPRRRVPSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVIIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRGLHRTEKNYHAMLEAEKKEKAASALRAHSSSVKGSQAKIPEDIIPKTGKLPAFIKEEVITSIYNDVFPCTAEKFFNLLLSDGSNYLHEYRSARKDTNLVIGQWHPADEYDGQLREITFRCICNSPMCPPDTAMTEWQHAVLSPDKKTLVFETVQQAHDVPFGSYFEVCNTLMMLFCFSDVISSGS >ONI29641 pep chromosome:Prunus_persica_NCBIv2:G1:21149405:21160016:-1 gene:PRUPE_1G207300 transcript:ONI29641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVSLDFLVPSLWEIEVAVAASVFVIFAYWFFTYRGGGGGYADRPVVDNSGAFGDAIDDKDKIQLKGDLQNSSAYLIKLELLAAKNLIGANLNGTSDPYAMITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSTVLGSVTVPVESEGQTGAVWYTLDSSSGQVCLHIKTIKLPVNSSSGRAMNGYAGANPRRRVPSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVIIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRGLHRTEKNYHAMLEAEKKEKAASALRAHSSSVKGSQAKIPEDIIPKTGKLPAFIKEEVITSIYNDVFPCTAEKFFNLLLSDGSNYLHEYRSARKDTNLVIGQWHPADEYDGQLREITFRCICNSPMCPPDTAMTEWQHAVLSPDKKTLVFETVQQAHDVPFGSYFERTIKTRVVKETTTNSTEKEETT >ONI29642 pep chromosome:Prunus_persica_NCBIv2:G1:21150394:21160003:-1 gene:PRUPE_1G207300 transcript:ONI29642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVSLDFLVPSLWEIEVAVAASVFVIFAYWFFTYRGGGGGYADRPVVDNSGAFGDAIDDKDKLKGDLQNSSAYLIKLELLAAKNLIGANLNGTSDPYAMITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSTVLGSVTVPVESEGQTGAVWYTLDSSSGQVCLHIKTIKLPVNSSSGRAMNGYAGANPRRRVPSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVIIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRGLHRTEKNYHAMLEAEKKEKAASALRAHSSSVKGSQAKIPEDIIPKTGKLPAFIKEEVITSIYNDVFPCTAEKFFNLLLSDGSNYLHEYRSARKDTNLVIGQWHPADEYDGQLREITFRCICNSPMCPPDTAMTEWQHAVLSPDKKTLVFETVQQAHDVPFGSYFEVCNTLMMLFCFSDVISSGS >ONI29647 pep chromosome:Prunus_persica_NCBIv2:G1:21150550:21157629:-1 gene:PRUPE_1G207300 transcript:ONI29647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSTVLGSVTVPVESEGQTGAVWYTLDSSSGQVCLHIKTIKLPVNSSSGRAMNGYAGANPRRRVPSDKQGPTVVHQKPGPLQTIFNLLPDEVIIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRGLHRTEKNYHAMLEAEKKEKAASALRAHSSSVKGSQAKIPEDIIPKTGKLPAFIKEEVITSIYNDVFPCTAEKFFNLLLSDGSNYLHEYRSARKDTNLVIGQWHPADEYDGQLREITFRCICNSPMCPPDTAMTEWQHAVLSPDKKTLVFETVQQAHDVPFGSYFEVCNTLMMLFCFSDVISSGS >ONI29646 pep chromosome:Prunus_persica_NCBIv2:G1:21150550:21157629:-1 gene:PRUPE_1G207300 transcript:ONI29646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSTVLGSVTVPVESEGQTGAVWYTLDSSSGQVCLHIKTIKLPVNSSRAMNGYAGANPRRRVPSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVIIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRGLHRTEKNYHAMLEAEKKEKAASALRAHSSSVKGSQAKIPEDIIPKTGKLPAFIKEEVITSIYNDVFPCTAEKFFNLLLSDGSNYLHEYRSARKDTNLVIGQWHPADEYDGQLREITFRCICNSPMCPPDTAMTEWQHAVLSPDKKTLVFETVQQAHDVPFGSYFEVCNTLMMLFCFSDVISSGS >ONI29643 pep chromosome:Prunus_persica_NCBIv2:G1:21150550:21159816:-1 gene:PRUPE_1G207300 transcript:ONI29643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVSLDFLVPSLWEIEVAVAASVFVIFAYWFFTYRGGGGGYADRPVVDNSGAFGDAIDDKDKIQLKGDLQNSSAYLIKLELLAAKNLIGANLNGTSDPYAMITCGTEKRFSSMVPGSRNPMWGEEFNFSVDELPVKINVTIYDWDIIWKSTVLGSVTVPVESEGQTGAVWYTLDSSSGQVCLHIKTIKLPVNSSRAMNGYAGANPRRRVPSDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVIIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHAFRGLHRTEKNYHAMLEAEKKEKAASALRAHSSSVKGSQAKIPEDIIPKTGKLPAFIKEEVITSIYNDVFPCTAEKFFNLLLSDGSNYLHEYRSARKDTNLVIGQWHPADEYDGQLREITFRCICNSPMCPPDTAMTEWQHAVLSPDKKTLVFETVQQAHDVPFGSYFEVCNTLMMLFCFSDVISSGS >ONI32914 pep chromosome:Prunus_persica_NCBIv2:G1:35039028:35042852:-1 gene:PRUPE_1G393600 transcript:ONI32914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKAKAFETLRRCVSQRGSSLSHHRAFSAQPNYAQYDDVEDLVTVEGRAKSRAAILNRPSALNALNNAMAARLKRLYESWEDNPDIGFVLMKGSGRAFCSGADAVSLYQLVNEGNVEECKNFFETLYKFVYIQGTYLKPHVAILDGITMGAGAGIALPGMFRVVTDKTVFVNPETQMGFHPDAGASFYLSRLPGYLGEYLALTGDKLNGVEMIACRLATHYTLNARLAVVEERLGKLATDEPSVIETSLAQYGDLVYLNKRSILSKLEVVDRCFSHDTIEEIIDALEAADSYDEWCTTALKKLKEASPLSLIVSLRSIREGRFQSLDQCLAREYRISLNAISRLVSSDFFEGVRARLVDKDFAPKWDPPSFKEVSKDMVDCYFSPLSEFEPELELPTASREPFMQ >ONI32915 pep chromosome:Prunus_persica_NCBIv2:G1:35039272:35042740:-1 gene:PRUPE_1G393600 transcript:ONI32915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKAKAFETLRRCVSQRGSSLSHHRAFSAQPNYAQYDDVEDLVTVEGRAKSRAAILNRPSALNALNNAMAARLKRLYESWEDNPDIGFVLMKGSGRAFCSGADAVSLYQLVNEGNVEECKNFFETLYKFVYIQGTYLKPHVAILDGITMGAGAGIALPGMFRVVTDKTVFVNPETQMGFHPDAGASFYLSRLPGYLGEYLALTGDKLNGVEMIACRLATHYTLNARLAVVEERLGKLATDEPSVIETSLAQYGDLVYLNKRSILSKLEVVDRCFSHDTIEEIIDALEKEAADSYDEWCTTALKKLKEASPLSLIVSLRSIREGRFQSLDQCLAREYRISLNAISRLVSSDFFEGVRARLVDKDFAPKWDPPSFKEVSKDMVDCYFSPLSEFEPELELPTASREPFMQ >ONI32916 pep chromosome:Prunus_persica_NCBIv2:G1:35039272:35042740:-1 gene:PRUPE_1G393600 transcript:ONI32916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKAKAFETLRRCVSQRGSSLSHHRAFSAQPNYAQYDDVEDLVTVEGRAKSRAAILNRPSALNALNNAMAARLKRLYESWEDNPDIGFVLMKGSGRAFCSGADAVSLYQLVNEGNVEECKNFFETLYKFVYIQGTYLKPHVAILDGITMGAGAGIALPGMFRVVTDKTVFVNPETQMGFHPDAGASFYLSRLPGYLGEYLALTGDKLNGVEMIACRLATHYTLNARLAVVEERLGKLATDEPSVIETSLAQYGDLVYLNKRSILSKLEVVDRCFSHDTIEEIIDALEKEAADSYDEWCTTALKKLKEASPLSLIVSLRSIREGRFQSLDQCLAREYRISLNAISRLVSSDFFEGVRARLVDKDFAPKVWDPPSFKEVSKDMVDCYFSPLSEFEPELELPTASREPFMQ >ONI35300 pep chromosome:Prunus_persica_NCBIv2:G1:43253705:43256321:-1 gene:PRUPE_1G528600 transcript:ONI35300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSVNPNTELSRCFASSLRPSYSKPNSSQTQLCRLSSGSLSNTFSGLSMAPSTEFSRSRRIFSLSKNESGERASGHGGLTYKDAGVDIDAGSELVRRIAKMAPGIGGFGGLYPLGDSYLVAGTDGVGTKLKLAFDTGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYFATSRLDVDLAEKVVKGIVDGCQQSDCTLLGGETAEMPDFYADGEYDLSGFAVGIVKKDSVIDGKNIVIGDVLIGLPSSGVHSNGFSLVRRVLAHSGLSLKDQLPGEAITLGEALMAPTVIYVKQVLDIISKGGVKGVAHITGGGFTDNIPRVFPKGLGAVIYNGSWEILPVFKWIQEVGRIEEAEMMRTFNMGIGMVLVVSKEASHRILEDGNGAYKAYRIGEVVRGEGVSYS >ONI33251 pep chromosome:Prunus_persica_NCBIv2:G1:35985845:35990894:1 gene:PRUPE_1G412700 transcript:ONI33251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKCPPYPVFLLLLFFRIFLAMAQNTTIPINVGVVLDFDSRLGKIGLSCINMALSDFYASHSNYNTRLVLHTRDSTRDVVVAADAALDLIKNVEVQAIIGLKSSKQANFVIDLGDKAQVPIMSFSATSPSLRGSYFFRIAQNDSSQVRAISTIIQAFGWSEAVPISVDNEFGEGVIPYLTTALQEVGARIPYWSVIPSKATDDQIVAELYRLMSMQTKVFIVHMLPSLGSRFFAKAKDIGMMEEGNVWITTNGMANSFSTSSSSVDIDNMQGVLGLKTYVPNTKDLENFRARWQTKFQQDNPTILNVKLDVFGLWAYDAAWALALAVEKVGGTNFSFRKMNGSHNSTDLGSLEVSQGGPELVRELSSTRFRGISGDFSLINGQLQSSTFQVVNVNDNGERGIGYWTPQNGLVRNIISTRNTNRYSTSNASLGPIIWPGDTTLAPRGWQIRPNGTIKVLVPVKVGFEEFVTVRHDSSTNTTKVTGYCIEVFDAVIKALPYPVPYEFYPFAKPNGKIAGRYNDLVNQVSLGNYDAAVGDITIRANRSLYVDFTLPFTESGISMVVPIKGKHDAKSTWVFLKPLTWDLWVTSGCFCIFIGFVVWFLEHPINEDFRGSPHHQIGTSFWFAFSTMVFAHRERVVSNLGRFVVIIWCFVVLVLTQSYTASLSSILTIQQLQPIVTDVNLLLKNGDNVGYQAGSFIYEILSQLGFQDDKLRTYRSAEELDELFRNGNENGGISAAFDETPYMKLFLATYCSKYTLVEPTFKADGFAFVFQKGSLLTLDVSTAITQVHEGDKMKAIEAKWFKKKASCSNPNTAGSYDTLSLDSFWGLFIVAGVASSLSLLICVAMFLYEHGHILTCIDSKASFRTRIREVLRAYDQEDKKSKKDSVQGIAAVESSPNTNCLCLRRPSRSRHPNKIIPEIVLEEQGTTCTQHSELNPNVQATQEIECAIELTAMT >ONI35708 pep chromosome:Prunus_persica_NCBIv2:G1:45018670:45024103:-1 gene:PRUPE_1G550800 transcript:ONI35708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTAVEASSPTFSSSSTCSLDDEMYDVFLSFRGEDTRKTFTDHLYWRLKHARVDVFIDENEIRGGEILPDELKQIIERSRISVIIFSRRYADSIWCLEELEKIMECRRTLGQIVLPIFYDVDAEDVRKQTGSFAEAFQTHEVRFHGFKDEEENIQSWRKSLTEAAGLDGLVFSKSDGYEGVFIRKIIDEINRKLTTVAVGVDSRLQDISTHLDVGGSNDVRIIGIWGMGGMGKTTVAEAIFNKYHPSFDAKSFIQNMRERKLVDLQEQLLFDILKPTKAEGSSMDDRRYEIKKRLPTRKVLVIFDDICHVDQLKALAIKRDSFGRGSRIVITTRNKHLLEIIEVDKICSLKAMNEVEALELLSLHAFKRRCPSEGYLELSREVVVYCGGLPLALKVLGSSLYNRSTTEWRNALDKWKSLPPKEIHQKLKLSYDELPDNYLRDAFLDISCFFIGMDMNYVMRILVRRGFSPVIGLSVLLEESLLTVGEDNKLMMHDLVRDMGREIARAQSPNIAGERSRLWHQEDVKDVLRNKSGTEEIEALTLDLQESEDPSFSSEAFRRMWRLRLLKLNYVQLTGSYKHISKELRWLCWHGFPLEVIPKDFYQKNLVAIDMSHSKLIRVWEDSHVFLSELKCLHLSHSACLKELPDFSRLPNLEELILRGCKRLLWGHYSMMRRLDKLKLFDLGYCNLTEDKVVNELRFLRSLKILRLDGNGFDRLPGLGSLSELEELTLNDCKNLTRISDLPKTLKFLKANYCTVLKSIEFLAKRSNMRELDLKDCRNLQYTKALGDLLHSMETIHMEGCTNLSARFKERILQGWAASGGGGLFFSGNDIPSWFTAVVNEDEIVYIDVPNSGIAALTVCIIYSSDDSESSGRFSLTVANRTQRTAFSIFPMTVSGVTPHEDYLWLGRIPNNVLNLKGGDKVHARAEFLREEGKKHLKLKKTGLCLEQSVSTHAGKVHEMEWESKPYTYPDTDDDAWPSKPSHNLIWKTTPLSVKEIYLRLEGNLMPEKGKRRSEESEEAADDDAWPSKPSRDLLLESYRKNYVKKRMRPE >ONI35712 pep chromosome:Prunus_persica_NCBIv2:G1:45019725:45023285:-1 gene:PRUPE_1G550800 transcript:ONI35712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTAVEASSPTFSSSSTCSLDDEMYDVFLSFRGEDTRKTFTDHLYWRLKHARVDVFIDENEIRGGEILPDELKQIIERSRISVIIFSRRYADSIWCLEELEKIMECRRTLGQIVLPIFYDVDAEDVRKQTGSFAEAFQTHEVRFHGFKDEEENIQSWRKSLTEAAGLDGLVFSKSDGYEGVFIRKIIDEINRKLTTVAVGVDSRLQDISTHLDVGGSNDVRIIGIWGMGGMGKTTVAEAIFNKYHPSFDAKSFIQNMRERKLVDLQEQLLFDILKPTKAEGSSMDDRRYEIKKRLPTRKVLVIFDDICHVDQLKALAIKRDSFGRGSRIVITTRNKHLLEIIEVDKICSLKAMNEVEALELLSLHAFKRRCPSEGYLELSREVVVYCGGLPLALKVLGSSLYNRSTTEWRNALDKWKSLPPKEIHQKLKLSYDELPDNYLRDAFLDISCFFIGMDMNYVMRILVRRGFSPVIGLSVLLEESLLTVGEDNKLMMHDLVRDMGREIARAQSPNIAGERSRLWHQEDVKDVLRNKSGTEEIEALTLDLQESEDPSFSSEAFRRMWRLRLLKLNYVQLTGSYKHISKELRWLCWHGFPLEVIPKDFYQKNLVAIDMSHSKLIRVWEDSHVFLSELKCLHLSHSACLKELPDFSRLPNLEELILRGCKRLLWGHYSMMRRLDKLKLFDLGYCNLTEDKVVNELRFLRSLKILRLDGNGFDRLPGLGSLSELEELTLNDCKNLTRISDLPKTLKFLKANYCTVLKSIEFLAKRSNMRELDLKDCRNLQYTKALGDLLHSMETIHMEGCTNLSARFKERILQVISLSFSDSHAYIHAESRFVMLTQNFLHMV >ONI35707 pep chromosome:Prunus_persica_NCBIv2:G1:45018670:45024079:-1 gene:PRUPE_1G550800 transcript:ONI35707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTAVEASSPTFSSSSTCSLDDEMYDVFLSFRGEDTRKTFTDHLYWRLKHARVDVFIDENEIRGGEILPDELKQIIERSRISVIIFSRRYADSIWCLEELEKIMECRRTLGQIVLPIFYDVDAEDVRKQTGSFAEAFQTHEVRFHGFKDEEENIQSWRKSLTEAAGLDGLVFSKSDGYEGVFIRKIIDEINRKLTTVAVGVDSRLQDISTHLDVGGSNDVRIIGIWGMGGMGKTTVAEAIFNKYHPSFDAKSFIQNMRERKLVDLQEQLLFDILKPTKAEGSSMDDRRYEIKKRLPTRKVLVIFDDICHVDQLKALAIKRDSFGRGSRIVITTRNKHLLEIIEVDKICSLKAMNEVEALELLSLHAFKRRCPSEGYLELSREVVVYCGGLPLALKVLGSSLYNRSTTEWRNALDKWKSLPPKEIHQKLKLSYDELPDNYLRDAFLDISCFFIGMDMNYVMRILVRRLSVLLEESLLTVGEDNKLMMHDLVRDMGREIARAQSPNIAGERSRLWHQEDVKDVLRNKSGTEEIEALTLDLQESEDPSFSSEAFRRMWRLRLLKLNYVQLTGSYKHISKELRWLCWHGFPLEVIPKDFYQKNLVAIDMSHSKLIRVWEDSHVFLSELKCLHLSHSACLKELPDFSRLPNLEELILRGCKRLLWGHYSMMRRLDKLKLFDLGYCNLTEDKVVNELRFLRSLKILRLDGNGFDRLPGLGSLSELEELTLNDCKNLTRISDLPKTLKFLKANYCTVLKSIEFLAKRSNMRELDLKDCRNLQYTKALGDLLHSMETIHMEGCTNLSARFKERILQGWAASGGGGLFFSGNDIPSWFTAVVNEDEIVYIDVPNSGIAALTVCIIYSSDDSESSGRFSLTVANRTQRTAFSIFPMTVSGVTPHEDYLWLGRIPNNVLNLKGGDKVHARAEFLREEGKKHLKLKKTGLCLEQSVSTHAGKVHEMEWESKPYTYPDTDDDAWPSKPSHNLIWKTTPLSVKEIYLRLEGNLMPEKGKRRSEESEEAADDDAWPSKPSRDLLLESYRKNYVKKRMRPE >ONI35709 pep chromosome:Prunus_persica_NCBIv2:G1:45018636:45024176:-1 gene:PRUPE_1G550800 transcript:ONI35709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTAVEASSPTFSSSSTCSLDDEMYDVFLSFRGEDTRKTFTDHLYWRLKHARVDVFIDENEIRGGEILPDELKQIIERSRISVIIFSRRYADSIWCLEELEKIMECRRTLGQIVLPIFYDVDAEDVRKQTGSFAEAFQTHEVRFHGFKDEEENIQSWRKSLTEAAGLDGLVFSKSDGYEGVFIRKIIDEINRKLTTVAVGVDSRLQDISTHLDVGGSNDVRIIGIWGMGGMGKTTVAEAIFNKYHPSFDAKSFIQNMRERKLVDLQEQLLFDILKPTKAEGSSMDDRRYEIKKRLPTRKVLVIFDDICHVDQLKALAIKRDSFGRGSRIVITTRNKHLLEIIEVDKICSLKAMNEVEALELLSLHAFKRRCPSEGYLELSREVVVYCGGLPLALKVLGSSLYNRSTTEWRNALDKWKSLPPKEIHQKLKLSYDELPDNYLRDAFLDISCFFIGMDMNYVMRILVRRGFSPVIGLSVLLEESLLTVGEDNKLMMHDLVRDMGREIARAQSPNIAGERSRLWHQEDVKDVLRNKSGTEEIEALTLDLQESEDPSFSSEAFRRMWRLRLLKLNYVQLTGSYKHISKELRWLCWHGFPLEVIPKDFYQKNLVAIDMSHSKLIRVWEDSHVFLSELKCLHLSHSACLKELPDFSRLPNLEELILRGCKRLLWGHYSMMRRLDKLKLFDLGYCNLTEDKVVNELRFLRSLKILRLDGNGFDRLPGLGSLSELEELTLNDCKNLTRISDLPKTLKFLKANYCTVLKSIEFLAKRSNMRELDLKDCRNLQYTKALGDLLHSMETIHMEGCTNLSARFKERILQGWAASGGGGLFFSGNDIPSWFTAVVNEDEIVYIDVPNSGIAALTVCIIYSSDDSESSGRFSLTVANRTQRTAFSIFPMTVSGVTPHEDYLWLGRIPNNVLNLKGGDKVHARAEFLREEGKKHLKLKKTGLCLEQSVSTHAGKVHEMEWESKPYTYPDTDDDAWPSKPSHNLIWKTTPLSVKEIYLRLEGNLMPEKGKRRSEESEEAADDDAWPSKPSRDLLLESYRKNYVKKRMRPE >ONI35710 pep chromosome:Prunus_persica_NCBIv2:G1:45019011:45023285:-1 gene:PRUPE_1G550800 transcript:ONI35710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTAVEASSPTFSSSSTCSLDDEMYDVFLSFRGEDTRKTFTDHLYWRLKHARVDVFIDENEIRGGEILPDELKQIIERSRISVIIFSRRYADSIWCLEELEKIMECRRTLGQIVLPIFYDVDAEDVRKQTGSFAEAFQTHEVRFHGFKDEEENIQSWRKSLTEAAGLDGLVFSKSDGYEGVFIRKIIDEINRKLTTVAVGVDSRLQDISTHLDVGGSNDVRIIGIWGMGGMGKTTVAEAIFNKYHPSFDAKSFIQNMRERKLVDLQEQLLFDILKPTKAEGSSMDDRRYEIKKRLPTRKVLVIFDDICHVDQLKALAIKRDSFGRGSRIVITTRNKHLLEIIEVDKICSLKAMNEVEALELLSLHAFKRRCPSEGYLELSREVVVYCGGLPLALKVLGSSLYNRSTTEWRNALDKWKSLPPKEIHQKLKLSYDELPDNYLRDAFLDISCFFIGMDMNYVMRILVRRGFSPVIGLSVLLEESLLTVGEDNKLMMHDLVRDMGREIARAQSPNIAGERSRLWHQEDVKDVLRNKSGTEEIEALTLDLQESEDPSFSSEAFRRMWRLRLLKLNYVQLTGSYKHISKELRWLCWHGFPLEVIPKDFYQKNLVAIDMSHSKLIRVWEDSHVFLSELKCLHLSHSACLKELPDFSRLPNLEELILRGCKRLLWGHYSMMRRLDKLKLFDLGYCNLTEDKVVNELRFLRSLKILRLDGNGFDRLPGLGSLSELEELTLNDCKNLTRISDLPKTLKFLKANYCTVLKSIEFLAKRSNMRELDLKDCRNLQYTKALGDLLHSMETIHMEGCTNLSARFKERILQGWAASGGGGLFFSGNDIPSWFTAVVNEDEIVYIDVPNSGIAALTVCIIYSSDDSESSGRFSLTVANRTQRTAFSIFPMTVSGVTPHEDYLWLGRIPNNVLNLKGGDKVHARAEFLREEGKKHLKLKKTGLCLEQSVSTHAGKVHEMEWESKPYTYPDTDDDAWPSKPSHNLIWKTTPLSVKEIYLRLEGNLMPEKGKRRSEESEEAADDDAWPSKPSRDLLLESYRKNYVKKRMRPE >ONI35711 pep chromosome:Prunus_persica_NCBIv2:G1:45019011:45023285:-1 gene:PRUPE_1G550800 transcript:ONI35711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTAVEASSPTFSSSSTCSLDDEMYDVFLSFRGEDTRKTFTDHLYWRLKHARVDVFIDENEIRGGEILPDELKQIIERSRISVIIFSRRYADSIWCLEELEKIMECRRTLGQIVLPIFYDVDAEDVRKQTGSFAEAFQTHEVRFHGFKDEEENIQSWRKSLTEAAGLDGLVFSKSDGYEGVFIRKIIDEINRKLTTVAVGVDSRLQDISTHLDVGGSNDVRIIGIWGMGGMGKTTVAEAIFNKYHPSFDAKSFIQNMRERKLVDLQEQLLFDILKPTKAEGSSMDDRRYEIKKRLPTRKVLVIFDDICHVDQLKALAIKRDSFGRGSRIVITTRNKHLLEIIEVDKICSLKAMNEVEALELLSLHAFKRRCPSEGYLELSREVVVYCGGLPLALKVLGSSLYNRSTTEWRNALDKWKSLPPKEIHQKLKLSYDELPDNYLRDAFLDISCFFIGMDMNYVMRILVRRGFSPVIGLSVLLEESLLTVGEDNKLMMHDLVRDMGREIARAQSPNIAGERSRLWHQEDVKDVLRNKSGTEEIEALTLDLQESEDPSFSSEAFRRMWRLRLLKLNYVQLTGSYKHISKELRWLCWHGFPLEVIPKDFYQKNLVAIDMSHSKLIRVWEDSHVFLSELKCLHLSHSACLKELPDFSRLPNLEELILRGCKRLLWGHYSMMRRLDKLKLFDLGYCNLTEDKVVNELRFLRSLKILRLDGNGFDRLPGLGSLSELEELTLNDCKNLTRISDLPKTLKFLKANYCTVLKSIEFLAKRSNMRELDLKDCRNLQYTKALGDLLHSMETIHMEGCTNLSARFKERILQGWAASGGGGLFFSGNDIPSWFTAVVNEDEIVYIDVPNSGIAALTVCIIYSSDDSESSGRFSLTVANRTQRTAFSIFPMTVSGVTPHEDYLWLGRIPNNVLNLKGGDKVHARAEFLREEGKKHLKLKKTGLCLEQSVSTHAGKVHEMEWESKPYTYPDTDDDAWPSKPSHNLIWKTTPLSVKEIYLRLEGNLMPEKGKRRSEESEEAADDDAWPSKPSRDLLLESYRKNYVKKRMRPE >ONI28413 pep chromosome:Prunus_persica_NCBIv2:G1:11107386:11108647:1 gene:PRUPE_1G141200 transcript:ONI28413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLERIRAAAYRSFLRLKHIHSLTTSSPSSRQEYDISSRLAGTPPTQIQSFMDTGSDVIWIKCLQSSNVFNPAESSSCSYYSCDSLSCNDLGASGNTCGGRDFREPCYYIGEYVDGSTTRGTISHDKFAFEGSERELVDVGHLDFGCSYYSSWEFVGNQTNRKTKFSYCMVLPDNEESVSRIYFGSEKQSSHTAWCCQTPFDQEEKAYYYVTLNEISIGGFVFDSGTTYTMLRSEAYDVFVTALHKAIRLLQRRDPMEWFEKCFEGSFQDLDSAGPDVTFFFYGVQVMLTKQTTYIEVEQVLWCLAIIRSNEKLSTFGNIQQRNYFVGYDVEQGVVSFAPVDCATF >ONI28793 pep chromosome:Prunus_persica_NCBIv2:G1:12894411:12895625:-1 gene:PRUPE_1G161500 transcript:ONI28793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRNIRRRSTIMVLQSIANIHHPYKHQIQKMIQSMLSIQIRKMNHVKEHVDVRTLSIIQIQKMNQVSEHIEVGRSLLSKHSDSEDEFRYRTRRSEKNHVKHSDLENESHNRARRSGKNPVNHSDSEDGSHYRARRSEKNHVKHSDLEDESLDRTRRSGKNPVNHSDSEDELRYRARRSEKNHVKHSGSEDVSRNRAHRSGKNPVKHSDSEDESRYRAQRSEKNHVKHSDSEDESRKRAGRSEKNLVKHSYSDDESRNRADRGDKNQSIKIGRDDGQGDAKGKHDNLELGKYPMKGRNESHYKRRNVAPKLSEEERAATLKEMRIDAELHKEQRWKRLKKAEENDALEATQAVKSSGRNFLDAVQTSVYGAERGGNSTIEESIRHQTHYLQGRSEASERNAFRR >ONI28791 pep chromosome:Prunus_persica_NCBIv2:G1:12894179:12897295:-1 gene:PRUPE_1G161500 transcript:ONI28791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRKHREPQEWLERLKFLYDSGLAIGRPVGSDSFKTLEALPKTEDAPSSSAASKQASASVPGALFEEKPQSANDAWRKLHLDPLLMTRQREQETLSRIKNNPVQMAMIHNSVEAKKPAEKLHDKKEHQKKKHHNGASKHSKHSSSIQASDSENDSKYVKHSDSEDESRKRARRHSEDEFRYRTRRSEKNHVKHSDLENESHNRARRSGKNPVNHSDSEDGSHYRARRSEKNHVKHSDLEDESLDRTRRSGKNPVNHSDSEDELRYRARRSEKNHVKHSGSEDVSRNRAHRSGKNPVKHSDSEDESRYRAQRSEKNHVKHSDSEDESRKRAGRSEKNLVKHSYSDDESRNRADRGDKNQSIKIGRDDGQGDAKGKHDNLELGKYPMKGRNESHYKRRNVAPKLSEEERAATLKEMRIDAELHKEQRWKRLKKAEENDALEATQAVKSSGRNFLDAVQTSVYGAERGGNSTIEESIRHQTHYLQGRSEASERNAFRR >ONI28792 pep chromosome:Prunus_persica_NCBIv2:G1:12894411:12895625:-1 gene:PRUPE_1G161500 transcript:ONI28792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRNIRRRSTIMVLQSIANIHHPYKHQIQKMIQSMLSIQIRKMNHVKEHVDVRTLSIIQIQKMNQVSEHIEVGRSLLSKHSDSEDEFRYRTRRSEKNHVKHSDLENESHNRARRSGKNPVNHSDSEDGSHYRARRSEKNHVKHSDLEDESLDRTRRSGKNPVNHSDSEDELRYRARRSEKNHVKHSGSEDVSRNRAHRSGKNPVKHSDSEDESRYRAQRSEKNHVKHSDSEDESRKRAGRSEKNLVKHSYSDDESRNRADRGDKNQSIKIGRDDGQGDAKGKHDNLELGKYPMKGRNESHYKRRNVAPKLSEEERAATLKEMRIDAELHKEQRWKRLKKAEENDALEATQAVKSSGRNFLDAVQTSVYGAERGGNSTIEESIRHQTHYLQGRSEASERNAFRR >ONI29896 pep chromosome:Prunus_persica_NCBIv2:G1:23510833:23514191:-1 gene:PRUPE_1G220500 transcript:ONI29896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGHRGSGMNMLQSYDTRLKCIKENSILSFNSASKFPIDFVEFDVQVTKDDYPVIFHDNFIGAEDKGVFKEQRVTDITLLEFLSYGPQKEAGKVERPMLRKIKDGRIFEWKVEKDDSLCTLQEVFEKVERSKGFNIELKFDDQIVYKEEKLEHVLRVILQVVNKFAKDRPILFSSFQPDAALLMRKLQSTYPVYFLTNGGSEIYADVRRNSMEEAIKVCLRGGLHGIVSEVKAIFRNPGAVTRIKEAKLGIITYGQLNNVAEAVYMQILMGVEGVIVDLVPEIAEAVFVVAHFFSEQELELAFLVEVMPE >ONI29897 pep chromosome:Prunus_persica_NCBIv2:G1:23510929:23512899:-1 gene:PRUPE_1G220500 transcript:ONI29897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRAVHVLNVPSLHQVTENAALALHSSRLAQGVKDEGEVTICGYEWPKFMVMGHRGSGMNMLQSYDTRLKCIKENSILSFNSASKFPIDFVEFDVQVTKDDYPVIFHDNFIGAEDKGVFKEQRVTDITLLEFLSYGPQKEAGKVERPMLRKIKDGRIFEWKVEKDDSLCTLQEVFEKVERSKGFNIELKFDDQIVYKEEKLEHVLRVILQVVNKFAKDRPILFSSFQPDAALLMRKLQSTYPVYFLTNGGSEIYADVRRNSMEEAIKVCLRGGLHGIVSEVKAIFRNPGAVTRIKEAKLGIITYGQLNNVAEAVYMQILMGVEGVIVDLVPEIAEAVFV >ONI29895 pep chromosome:Prunus_persica_NCBIv2:G1:23510626:23512984:-1 gene:PRUPE_1G220500 transcript:ONI29895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRAVHVLNVPSLHQVTENAALALHSSRLAQGVKDEGEVTICGYEWPKFMVMGHRGSGMNMLQSYDTRLKCIKENSILSFNSASKFPIDFVEFDVQVTKDDYPVIFHDNFIGAEDKGVFKEQRVTDITLLEFLSYGPQKEAGKVERPMLRKIKDGRIFEWKVEKDDSLCTLQEVFEKVERSKGFNIELKFDDQIVYKEEKLEHVLRVILQVVNKFAKDRPILFSSFQPDAALLMRKLQSTYPVYFLTNGGSEIYADVRRNSMEEAIKVCLRGGLHGIVSEVKAIFRNPGAVTRIKEAKLGIITYGQLNNVAEAVYMQILMGVEGVIVDLVPEIAEAVFVVAHFFSEQELELAFLVEVMPE >ONI35077 pep chromosome:Prunus_persica_NCBIv2:G1:42320384:42322892:1 gene:PRUPE_1G514200 transcript:ONI35077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTLATTTASSAFIEPTHHFFKSSTLAPLFSSTSLVNARRAFYLTVLPVFGYFPPIILLNKISNFTPLPNNTAFRDAAPEGIQGNKGLCGNNGVLQPCNERSSKKDQKRILVITFSLLAALLLLSSFFTVVFVLDKRKKLQHSDPERNNMHEAISFSILNFDGRAMYEEIKKATQDFDSMYCIGKGGHGSVYRANLSFANIVVAVKKLHLQQDSEKNVEKEFLNEVRTMTEIRHRNIVKLYGYCAHKRHSLLVYEYLERGSLAAMLSKNEEAKELGWSKRVNIVKGVAHALSYMHHDCLPPIVHRDITSNNILLDSEFEASVADFGAAKFLSTNSTNWTSLAGTYGYVAQLAYTMEVNEKCDVYSFGVVTLEIVVGRHPGDLISSLSTGSSSSSSSLSSSSSALLANQMLVVDVLDQRISPPTHQMAGEAVALVKIAFACLNASPQSRPTMKQVSQLLSSTQTQRLHLPKPLPMITCAELLAFNPLTT >ONI31721 pep chromosome:Prunus_persica_NCBIv2:G1:31067193:31067887:1 gene:PRUPE_1G326400 transcript:ONI31721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLIPTLNRVLIEKIIPPSKTTAGILLPESSTKLNSGKVVAVGPGAKDKAGNVIPVAVKEGDTVLLPEYGGTQVKLGDKELHLYRDEDILGTLHE >ONI31720 pep chromosome:Prunus_persica_NCBIv2:G1:31067083:31067928:1 gene:PRUPE_1G326400 transcript:ONI31720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLIPTLNRVLIEKIIPPSKTTAGILLPESSTKLNSGKVVAVGPGAKDKAGNVIPVAVKEGDTVLLPEYGGTQVKLGDKELHLYRDEDILGTLHE >ONI32251 pep chromosome:Prunus_persica_NCBIv2:G1:33043902:33044752:-1 gene:PRUPE_1G356600 transcript:ONI32251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDNVKPTMLGSIASQYYLSSYMTVSMFGSNIGSDTSLEIVLHILSAASEYNELPVRHNEAHFLQFELPISDNTSQT >ONI36145 pep chromosome:Prunus_persica_NCBIv2:G1:46618003:46618450:1 gene:PRUPE_1G571900 transcript:ONI36145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDKLLEVLLELRKICPLSRGAIIWMRVCLLKYSDANFFVCKLFIRLSSKLVYGPLYARGKQKVSYKKTLYATVECTRDLSNADCKNCLNFAINEFLSRNYKMRGKHAIYGSCYIIFEFYQFY >ONI26906 pep chromosome:Prunus_persica_NCBIv2:G1:3787593:3805679:1 gene:PRUPE_1G054200 transcript:ONI26906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVNLAVGSLVWVEDPEVAWMDGEVVGVNGEEIEINCTSGKTVVAKVSSVYPKDPEFPSCGVDDMTKLAYLHEPGVLQNLKSRYHINEIYTYTGSILIAVNPFRRLPHLYDNHMMEQYKGVAVGELSPHPFAIADAAYRQMINEGISQAILVSGESGAGKTESTKMLMRYLAYMGGRAASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVERYKLGNQRAFHYLNQSNCYELDGVDDSKEYLATRKAMNVVGISSDEQDAIFRVVAAILHLGNVEFAKGNETDSSEPKDDKSRFHLKIAAELFMCDGQSLEDSLCKRVIVTRDETIKKSLDPDSAAISRDALAKIVYTRLFDWLVNKINNSIGQDPDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFSQKLYQTFKDHKRFSKPKLSPTDFTICHYAGDVTYQTEFFLDKNKDYVVTEHQALLSASECSFVSGLFPLLPEESAKSSKFSSIGSRFKQQLQSLLETLSAIEPHYIRCVKPNNQLKPAIFENGAVLQQLCCGGVMEAIRISCAGYPTRKTFAEFASRFSILAPEVLNGSVDEVAACKKLLQKVNLKGYQVGKTKVFLRAGQMAELDAYRSEVLGRSASIIQRKVRSYLCRKKFVLLRLSAIQIQALCRGQVGRHQYENMRREAASVKIQKYGRSYISRNAYKNACSSAVSIQTGMRGLAARKELKCRKQTWGAVVIQSQCRKYLARARYLRMKKAAIATQCGWRRVVARKELRKLKMAAKDAGALQEAKNKLEKEVEELTWRLQLEKRMRADMEEAKTKENAKLQSTLQEMQLQFEETKSLLMKEREAAVKASEEAAKAAEASAKAAEASMKAAEQVPVVLELPVVDHEIVTKLTAENEQLKALVDSLEKKIDETEKKFEETSRLSEERFKQATEAESKIIKLKTAMQRLEEKLSDMETEDQILRQQALKISSSMKMSEHLAGAAAQEPLRPTPSKRFGSESLRRSQIERQHESVDALMNCLTSNVGFSEGKPVAAITIYKCLLHWRSFEAEKTSVFDRLIQIIGSAIADQDNNGHMAYWLSNTSTLLFLLQRSLRTAPRKPPTPTTLFGRMTQGFRSSSANLSVGASDVVRQVEAKYPALLFKQQLTAYVEKIYGIVRDNLKKEVSLMLSSCIQAPKTSKGSVSKSPEGSNSNSPPANPWNSMIASLNGFLSTLKENCVPPILVQKLSTQTFSYINVQIFNSLLLRRECCTFSNGQYVKNGLAELELWCSLAKEEYAGSSWDELKYVRQAVGFLVLHQKSRVSYDELTNDLCPVLSVQQLYRVCTQFWDDDYNTQSVAPEVISSMNFLMTDDSSNDDSSSFLLDDNSSIPFSVDDISSSMEDQSYSDVKPPAELLENSDFQFLQE >ONI26905 pep chromosome:Prunus_persica_NCBIv2:G1:3787593:3805679:1 gene:PRUPE_1G054200 transcript:ONI26905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVNLAVGSLVWVEDPEVAWMDGEVVGVNGEEIEINCTSGKTVVAKVSSVYPKDPEFPSCGVDDMTKLAYLHEPGVLQNLKSRYHINEIYTYTGSILIAVNPFRRLPHLYDNHMMEQYKGVAVGELSPHPFAIADAAYRQMINEGISQAILVSGESGAGKTESTKMLMRYLAYMGGRAASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVERYKLGNQRAFHYLNQSNCYELDGVDDSKEYLATRKAMNVVGISSDEQDAIFRVVAAILHLGNVEFAKGNETDSSEPKDDKSRFHLKIAAELFMCDGQSLEDSLCKRVIVTRDETIKKSLDPDSAAISRDALAKIVYTRLFDWLVNKINNSIGQDPDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFSQKLYQTFKDHKRFSKPKLSPTDFTICHYAGDVTYQTEFFLDKNKDYVVTEHQALLSASECSFVSGLFPLLPEESAKSSKFSSIGSRFKQQLQSLLETLSAIEPHYIRCVKPNNQLKPAIFENGAVLQQLCCGGVMEAIRISCAGYPTRKTFAEFASRFSILAPEVLNGSVDEVAACKKLLQKVNLKGYQVGKTKVFLRAGQMAELDAYRSEVLGRSASIIQRKVRSYLCRKKFVLLRLSAIQIQALCRGQVGRHQYENMRREAASVKIQKYGRSYISRNAYKNACSSAVSIQTGMRGLAARKELKCRKQTWGAVVIQSQCRKYLARARYLRMKKAAIATQCGWRRVVARKELRKLKMAAKDAGALQEAKNKLEKEVEELTWRLQLEKRMRADMEEAKTKENAKLQSTLQEMQLQFEETKSLLMKEREAAVKASEEAAKAAEASAKAAEASMKAAEQVPVVLELPVVDHEIVTKLTAENEQLKALVDSLEKKIDETEKKFEETSRLSEERFKQATEAESKIIKLKTAMQRLEEKLSDMETEDQILRQQALKISSSMKMSEHLAGAAAQPLGNGHHEPLRPTPSKRFGSESLRRSQIERQHESVDALMNCLTSNVGFSEGKPVAAITIYKCLLHWRSFEAEKTSVFDRLIQIIGSAIADQDNNGHMAYWLSNTSTLLFLLQRSLRTAPRKPPTPTTLFGRMTQGFRSSSANLSVGASDVVRQVEAKYPALLFKQQLTAYVEKIYGIVRDNLKKEVSLMLSSCIQAPKTSKGSVSKSPEGSNSNSPPANPWNSMIASLNGFLSTLKENCVPPILVQKLSTQTFSYINVQIFNSLLLRRECCTFSNGQYVKNGLAELELWCSLAKEEYAGSSWDELKYVRQAVGFLVLHQKSRVSYDELTNDLCPVLSVQQLYRVCTQFWDDDYNTQSVAPEVISSMNFLMTDDSSNDDSSSFLLDDNSSIPFSVDDISSSMEDQSYSDVKPPAELLENSDFQFLQE >ONI30277 pep chromosome:Prunus_persica_NCBIv2:G1:25575925:25577346:1 gene:PRUPE_1G241700 transcript:ONI30277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDWATVVLIRAGGTFYFRGVWFGGAHGIITIDPSKLEYMLKTRFNNFPKGQHYRERFRDLLGDGIFNADDQMWKEQRRVATTEMHSSRFVEHSFLSMQVLVHEKLLKLMDDVVNSRNGVIDLQEVLLRFTFDNICTAALGVDPGCLALDLPQIPFAKAFEEATELTLFRFMVPPFVWKPMKRFEMGYEKRLKESVQIVHEFAEKAVTERRNELVRLGGLNDRSDLLSRLMEYTDQNPEGQGTQKRFSNKFLVDFCISFILAGRDTSSVALAWFFWLVQKTPEVENKILKEMNEILGQRRQCSNIKEPADHDAIFTVEELKNMVYLQAALSESLRLYPAVPIDIKEVVEDDVFPDGTVVKKGGRVLYSMFSMARIESIWGQDCLEFRPERWIKDGVFVSENQFKYPVFNGGPRLCVGKKFAYMQMKMVAAAILLRYEVKVVEGHNVVPKLTTTLYMQNGLLVTLKPRLLTSTV >ONI27839 pep chromosome:Prunus_persica_NCBIv2:G1:8599790:8601933:-1 gene:PRUPE_1G107200 transcript:ONI27839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLNFDEQDGILRTISDAPPTHYTIKIQSLSLLSKHSLEKYESGEFEAGGYKWKLVFYPNGNKSRNVTEHISLNLVLAGANAPQTCWEVHAAFRLFLFDQNTGTYFALQEQNERRFHGMKLDWGFDKFLSLKAFTDASNGFLVEDTCVFGAEVFVRKERSTCKGECLSMIKDAIMYKHVWKIDNFSKLNAESYDSQTFIAGDQKWKIKLYPMGRDGAASGHLSLYLALAYPTSLPPASKIYAQYTLRLLNQATSTYHHEYKVSWWFSASSPMRGWPRFITVTWLKNTSYRFLVNDSCTVEAEVTVHGTASALE >ONI27838 pep chromosome:Prunus_persica_NCBIv2:G1:8600048:8602960:-1 gene:PRUPE_1G107200 transcript:ONI27838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTEKCVNKFSMPCRIFVFVEKIKGNSSKHASIMLYRAQKAGKQSMRKDHHHNMEEHLQSSAVKFFARNMTSLNFDEQDGILRTISDAPPTHYTIKIQSLSLLSKHSLEKYESGEFEAGGYKWKLVFYPNGNKSRNVTEHISLNLVLAGANAPQTCWEVHAAFRLFLFDQNTGTYFALQEQNERRFHGMKLDWGFDKFLSLKAFTDASNGFLVEDTCVFGAEVFVRKERSTCKGECLSMIKDAIMYKHVWKIDNFSKLNAESYDSQTFIAGDQKWKIKLYPMGRDGAASGHLSLYLALAYPTSLPPASKIYAQYTLRLLNQATSTYHHEYKVSWWFSASSPMRGWPRFITVTWLKNTSYRFLVNDSCTVEAEVTVHGTASALE >ONI26243 pep chromosome:Prunus_persica_NCBIv2:G1:925569:929149:1 gene:PRUPE_1G012200 transcript:ONI26243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAYTVASDSETTGEEKLSATFSETAIGIDIGTSQCSVAVWNGSQVELLKNTRNQKMMRSYVTFKDENPSGGVSSQLANEQDVLSGAAIFNMKRLIGRVDTDPVVHSSKSLPFLVQTLGIGVRPLIAALVNNVWRSTTPEEVVAIFLVELKAMAEIRLKRPIKNVVLTIPASFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQNASQNTNTGSEKIALIFNMGAGYCDVAVTATAGGVSQIKALAGSAIGGEDLLQNMMRHLLPDSESLLTSCGLDDIKSVGLLRVATQEAIHSLSSQTNVQVDVDLGNGTKICKVVDRGEFEEVNQDVFDKCASLIIQCLHDAKVDTEDVSDVIVVGGCSYIPKIKSLVMSTCKKQELYKGMNPLEAAVSGAALEGAVASGLSDPFGSLDLLTIQATPLAIGIRADGNNFVPIIPRSTTMPAQKDLIFTTAHDNQAEALIIVYEGEGKKVEENHLLGYFKITGIPLAPKGVPEIRVILDIDASSVLRVLAGVLMPGSHQPVNPVMGVRMPTVDDGHGWCAEALNRVYGSTLDLVTVQRKI >ONI26242 pep chromosome:Prunus_persica_NCBIv2:G1:926602:929084:1 gene:PRUPE_1G012200 transcript:ONI26242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAYTVASDSETTGEEKLSATFSETAIGIDIGTSQCSVAVWNGSQVELLKNTRNQKMMRSYVTFKDENPSGGVSSQLANEQDVLSGAAIFNMKRLIGRVDTDPVVHSSKSLPFLVQTLGIGVRPLIAALVNNVWRSTTPEEVVAIFLVELKAMAEIRLKRPIKNVVLTIPASFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQNASQNTNTGSEKIALIFNMGAGYCDVAVTATAGGVSQIKALAGSAIGGEDLLQNMMRHLLPDSESLLTSCGLDDIKSVGLLRVATQEAIHSLSSQTNVQVDVDLGNGTKICKVVDRGEFEEVNQDVFDKCASLIIQCLHDAKVDTEDVSDVIVVGGCSYIPKIKSLVMSTCKKQELYKGMNPLEAAVSGAALEGAVASGLSDPFGSLDLLTIQATPLAIGIRADGNNFVPIIPRSTTMPAQKDLIFTTAHDNQAEALIIVYEGEGKKVEENHLLGYFKITGIPLAPKGVPEIRVILDIDASSVLRVLAGVLMPGSHQPVNPVMGVRMPTVDDGHGWCAEALNRVYGSTLDLVTVQRKI >ONI30001 pep chromosome:Prunus_persica_NCBIv2:G1:23936229:23941457:-1 gene:PRUPE_1G226200 transcript:ONI30001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCVCETIEGPMIEEYTFSFSYSNSESQEVSMNISRSGNKKEGGTFKCKSTAEITPNQMRSSACKMVRTLVQLMRTLDRMPEERTILMKLLYYDDVTPAEYEPPFFRTCSEEEARNSWTKNPLKMEVGNVNSKHLVLALKVKSVLDPCEDENDDIQDDEVSLGADSMQRDDYSESDSEVNQSQEDRYIVAPVGDKQQPREDSSAPQEDNSMVDEDDTQDSVEDEQQSSRIKDWISSCHTDTVELTDVLSNFPDISVVLTEEIMDNLVKEGVLSKTGGDTYTINRQKSDYEFTLVKEEMDGQIIPIADKTPKVNDPMYMKALYHALPMQYVTVAKLHNKLGGEANQTTVRKFIDKMTREGFVEAKGNRRLGKRVIHSDTTQKKLTEVKKALNIDAMDVDNFEPNNKSKHLDHTMGSNYRDTSTCGALHSIGSDLTRMRIRSNCHQNSPMRSEQTTSKTKDHANTPTSRAQPVTSRESFVPGNENVRANGNSDHGDEGERVIRSSRSTQDKRSRKTSTVKEPILQYTKRQKSQAV >ONI30002 pep chromosome:Prunus_persica_NCBIv2:G1:23936229:23941531:-1 gene:PRUPE_1G226200 transcript:ONI30002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAQKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCVCETIEGPMIEEYTFSFSYSNSESQEVSMNISRSGNKKEGGTFKCKSTAEITPNQMRSSACKMVRTLVQLMRTLDRMPEERTILMKLLYYDDVTPAEYEPPFFRTCSEEEARNSWTKNPLKMEVGNVNSKHLVLALKVKSVLDPCEDENDDIQDDEVSLGADSMQRDDYSESDSEVNQSQEDRYIVAPVGDKQQPREDSSAPQEDNSMVDEDDTQDSVEDEQQSSRIKDWISSCHTDTVELTDVLSNFPDISVVLTEEIMDNLVKEGVLSKTGGDTYTINRQKKSDYEFTLVKEEMDGQIIPIADKTPKVNDPMYMKALYHALPMQYVTVAKLHNKLGGEANQTTVRKFIDKMTREGFVEAKGNRRLGKRVIHSDTTQKKLTEVKKALNIDAMDVDNFEPNNKSKHLDHTMGSNYRDTSTCGALHSIGSDLTRMRIRSNCHQNSPMRSEQTTSKTKDHANTPTSRAQPVTSRESFVPGNENVRANGNSDHGDEGERVIRSSRSTQDKRSRKTSTVKEPILQYTKRQKSQAV >ONI33076 pep chromosome:Prunus_persica_NCBIv2:G1:35569749:35571618:-1 gene:PRUPE_1G404000 transcript:ONI33076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTWFLLVSLLVVSAAAATQIKVTDNPADELVSVINSNRTAHKASTLYDNPGLACIALQYIKAYQSDCSAVGGTDAKKPLESEFAETFAPNCGVLASTLTPITGRLLGCQSKYVPPSEAFSKILIENSKSLEILYNKNHTEVGAAVSGSDGGSPYFWCVLFSSGKTNSSFATEGGAPKITRPGCFSGADDECSGADDRSRSSHMWSFFTAALIAMWYAFGL >ONI26058 pep chromosome:Prunus_persica_NCBIv2:G1:224286:224629:1 gene:PRUPE_1G002000 transcript:ONI26058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQWPHNANTCYHIHKRWFCLGLFRLQKGFEERRNWGLDLGREENREEEEELGFEGKAVSSIGCS >ONI35827 pep chromosome:Prunus_persica_NCBIv2:G1:45420745:45421817:1 gene:PRUPE_1G556100 transcript:ONI35827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRKCFYPFRSAFSIFKSTPKRALNVLKPELPNVQNFLSQFSGDPSILLKYSLTLMLFLDRLTFERVNLQFSLYSLLHALQTFVELSYEQVFTYIEIKTSNIYCQI >ONI31334 pep chromosome:Prunus_persica_NCBIv2:G1:29995604:29996555:-1 gene:PRUPE_1G307000 transcript:ONI31334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILKFLVIPFKHSQTNLSLKTRSLLLLMFSKFGSTLSSKECSLLAQEQIDWDTHNNTSASQKEELGLMYLP >ONI28518 pep chromosome:Prunus_persica_NCBIv2:G1:11423706:11426491:-1 gene:PRUPE_1G145500 transcript:ONI28518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNCRAFVYEGLLTDAECDHLISIAKSELKRSAVADNLSGQSKLSEVRTSSGMFIPKAKDPIVAGIEDKIATWTFLPKENGEDIQVLRYEPGQKYEPHYDYFADKVNIARGGHRIATVLMYLTDVTRGGETVFPEAEVPSRRKASEVDHSLSECAKKGIAVKPRRGDALLFFSLTPHAVPDENSLHAGCPVIEGEKWSATKWIHVDSFDKNLDASGNCADLNESCERWAALGECTKNSEYMVGSPDLPGYCRRSCKVC >ONI28517 pep chromosome:Prunus_persica_NCBIv2:G1:11423524:11426587:-1 gene:PRUPE_1G145500 transcript:ONI28517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVWLQLFFFFFLLSISSSSYAASPHTFTVNPSKVRQISWNPRAFVYEGLLTDAECDHLISIAKSELKRSAVADNLSGQSKLSEVRTSSGMFIPKAKDPIVAGIEDKIATWTFLPKENGEDIQVLRYEPGQKYEPHYDYFADKVNIARGGHRIATVLMYLTDVTRGGETVFPEAEVPSRRKASEVDHSLSECAKKGIAVKPRRGDALLFFSLTPHAVPDENSLHAGCPVIEGEKWSATKWIHVDSFDKNLDASGNCADLNESCERWAALGECTKNSEYMVGSPDLPGYCRRSCKVC >ONI28519 pep chromosome:Prunus_persica_NCBIv2:G1:11423706:11426077:-1 gene:PRUPE_1G145500 transcript:ONI28519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIPKAKDPIVAGIEDKIATWTFLPKENGEDIQVLRYEPGQKYEPHYDYFADKVNIARGGHRIATVLMYLTDVTRGGETVFPEAEVPSRRKASEVDHSLSECAKKGIAVKPRRGDALLFFSLTPHAVPDENSLHAGCPVIEGEKWSATKWIHVDSFDKNLDASGNCADLNESCERWAALGECTKNSEYMVGSPDLPGYCRRSCKVC >ONI30365 pep chromosome:Prunus_persica_NCBIv2:G1:25976987:25979370:-1 gene:PRUPE_1G246900 transcript:ONI30365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTTIPTTLLYFNPSPAASTESSSKRQAHNPRHVQLKLDKRQNGRNFLSKSIKCTQNRGIRNPTALASCLEATEAPPSKAAELVADKLKRLVLEFRSLAEPIDRVKRLLHYAARLPPYNESTRKPESRVPGCSTQVWVEAEMDELGRMRFRADSDSEISKGFCSCLIWMLDGAEAAEVLEVKTRDLEDVNVGVYGKVNSRVNTWHNVLLAMQRKTQALVVEREGKRPLEALPSLLASANEVSG >ONI34906 pep chromosome:Prunus_persica_NCBIv2:G1:41721605:41722250:-1 gene:PRUPE_1G505000 transcript:ONI34906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTRRSNWVVALMLVLTITYPSLTLTLSTSSSKDTPQKHTPMANQHPQGCGPSGWTFRCGCGSSPTGHWNWGVGDPGGATFSFE >ONI34682 pep chromosome:Prunus_persica_NCBIv2:G1:41018825:41022991:-1 gene:PRUPE_1G493600 transcript:ONI34682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPFKRDIDELIDAFAEGESTSLADMKRIWLSKKFSYIYEARPSTNLAFFMQSLYAHSIGYIIGTATLSHRLGGLYCLFCLYETQPFKPPFKIYLSLELKKLRKLVINAKEHDIRVVSALVKRMLEKNVFLFGSVDTNEGSFTETVDQLTQLQNARVQVAYKELFANTKIEDFLHMDLGMEVDLNMLKKMSTDYAEAKKIAINEASKVVDVQDIKHISEDKELVGDAVEKMVGQWDAQREVFYQQTGANQKLPEGEQQLEPQQLQLQLQLLSDDENFDQELEQLLSET >ONI34686 pep chromosome:Prunus_persica_NCBIv2:G1:41019294:41021783:-1 gene:PRUPE_1G493600 transcript:ONI34686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPFKRDIDELIDAFAEGESTSLADMKRIWLSKKFSYIYEARPSTNLAFFMQSLYAHSIGYIIGTATLSHRLGGLYCLFCLYETQPFKPPFKIYLSLEELKKLRKLVINAKEHDIRVVSALVKRMLEKNVFLFGSVDTNEGSFTETVDQLTQLQNARVQVAYKELFANTKIEDFLHMDLGMEVDLNMLKKMSTDYAEAKKIAINEASKVVDVQDIKHISEDKELVGDAVEKMVGQWDAQREVFYQQTGANQKLPEGEQQLEPQQLQLQLQLLSDDENFDQELEQLLSET >ONI34683 pep chromosome:Prunus_persica_NCBIv2:G1:41019294:41021783:-1 gene:PRUPE_1G493600 transcript:ONI34683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPFKRDIDELIDAFAEGESTSLADMKRIWLSKKFSYIYEARPSTNLAFFMQSLYAHSIGYIIGTATLSHRLGGLYCLFCLYETQPFKPPFKIYLSLELKKLRKLVINAKEHDIRVVSALVKRMLEKNVFLFGSVDTNEGSFTETVDQLTQLQNARVQVAYKELFANTKIEDFLHMDLGMEVDLNMLKKMSTDYAEAKKIAINEASKVVDVQDIKHISEDKELVGDAVEKMVGQWDAQREVFYQQTGANQKLPEGEQQLEPQQLQLQLQLLSDDENFDQELEQLLSET >ONI34685 pep chromosome:Prunus_persica_NCBIv2:G1:41018824:41022997:-1 gene:PRUPE_1G493600 transcript:ONI34685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPFKRDIDELIDAFAEGESTSLADMKRIWLSKKFSYIYEARPSTNLAFFMQSLYAHSIGYIIGTATLSHRLGGLYCLFCLYETQPFKPPFKIYLSLEELKKLRKLVINAKEHDIRVVSALVKRMLEKNVFLFGSVDTNEGSFTETVDQLTQLQNARVQVAYKELFANTKIEDFLHMDLGMEVDLNMLKKMSTDYAEAKKIAINEASKVVDVQDIKHISEDKELVGDAVEKMVGQWDAQREVFYQQTGANQKLPEGEQQLEPQQLQLQLQLLSDDENFDQELEQLLSET >ONI34689 pep chromosome:Prunus_persica_NCBIv2:G1:41018825:41022999:-1 gene:PRUPE_1G493600 transcript:ONI34689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPFKRDIDELIDAFAEGESTSLADMKRIWLSKKFSYIYEARPSTNLAFFMQSLYAHSIGYIIGTATLSHRLGGLYCLFCLYETQPFKPPFKIYLSLEELKKLRKLVINAKEHDIRVVSALVKRMLEKNVFLFGSVDTNEGSFTETVDQLTQLQNARVQVAYKELFANTKIEDFLHMDLRPARSWMFKI >ONI34690 pep chromosome:Prunus_persica_NCBIv2:G1:41019518:41021783:-1 gene:PRUPE_1G493600 transcript:ONI34690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPFKRDIDELIDAFAEGESTSLADMKRIWLSKKFSYIYEARPSTNLAFFMQSLYAHSIGYIIGTATLSHRLGGLYCLFCLYETQPFKPPFKIYLSLEELKKLRKLVINAKEHDIRVVSALVKRMLEKNVFLFGSVDTNEGSFTETVDQLTQLQNARVQVAYKELFANTKIEDFLHMDLRPARSWMFKI >ONI34687 pep chromosome:Prunus_persica_NCBIv2:G1:41018825:41022991:-1 gene:PRUPE_1G493600 transcript:ONI34687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQKVLLHLRGYIIGTATLSHRLGGLYCLFCLYETQPFKPPFKIYLSLEELKKLRKLVINAKEHDIRVVSALVKRMLEKNVFLFGSVDTNEGSFTETVDQLTQLQNARVQVAYKELFANTKIEDFLHMDLGMEVDLNMLKKMSTDYAEAKKIAINEASKVVDVQDIKHISEDKELVGDAVEKMVGQWDAQREVFYQQTGANQKLPEGEQQLEPQQLQLQLQLLSDDENFDQELEQLLSET >ONI34684 pep chromosome:Prunus_persica_NCBIv2:G1:41018825:41023026:-1 gene:PRUPE_1G493600 transcript:ONI34684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPFKRDIDELIDAFAEGESTSLADMKRIWLSKKFSYIYEARPSTNLAFFMQSLYAHSIGYIIGTATLSHRLGGLYCLFCLYETQPFKPPFKIYLSLEELKKLRKLVINAKEHDIRVVSALVKRMLEKNVFLFGSVDTNEGSFTETVDQLTQLQNARVQVAYKELFANTKIEDFLHMDLGMEVDLNMLKKMSTDYAEAKKIAINEASKVVDVQDIKHISEDKELVGDAVEKMVGQWDAQREVFYQQTGANQKLPEGEQQLEPQQLQLQLQLLSDDENFDQELEQLLSET >ONI34688 pep chromosome:Prunus_persica_NCBIv2:G1:41018825:41022999:-1 gene:PRUPE_1G493600 transcript:ONI34688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTPFKRDIDELIDAFAEGESTSLADMKRIWLSKKFSYIYEARPSTNLAFFMQSLYAHSIGYIIGTATLSHRLGGLYCLFCLYETQPFKPPFKIYLSLEELKKLRKLVINAKEHDIRVVSALVKRMLEKNVFLFGSVDTNEGSFTETVDQLTQLQNARVQVAYKELFANTKIEDFLHMDLGMEVDLNMLKKMSTDYAEAKKIAINGQQGRGCSRYKAHIRR >ONI31818 pep chromosome:Prunus_persica_NCBIv2:G1:31490568:31492533:-1 gene:PRUPE_1G332500 transcript:ONI31818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLVARTGRELQRYNMGRRQVVGCIPYRYKNGRDGAISDELEVLVITSQKGNAFMFPKGGWELDESVEEAASRESLEEAGVVGNVECELGKWSFMSKSQETYYEGYMFPLFVKEQLDLWPEKNVRRRIWMSAAKAREACQHWWMKEALDILVERLTSAQKQEEENALACSLS >ONI33072 pep chromosome:Prunus_persica_NCBIv2:G1:35557731:35563956:-1 gene:PRUPE_1G403700 transcript:ONI33072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGMLRLALSPDPKLLFSYSSSSNVDRFAFNFKPHLPQRRRSNLFLQPRSNSNFTLSSSLQASANTAALQQVQQEPRAAETSVLLDVSGMMCGGCVSRVKSVLSADERVDSVAVNMLTETAAIKLRPEVAADGVETVAESLAGRLTECGFASKRRASGMGVTESVRKWKETMKKKEEMLVKSRNRVIFAWTLVALCCGSHASHILHSLGIHVAHGSFWEVLHNSYAKAGLASGALLGPGRDLLFDGLRALKKGSPNMNSLVGFGSLAAFTISAVSLLNPGLQWDASFFDEPVMLLGFVLLGRSLEERARIRASSDMNELLSLINTQSRLVIASSENDSSADSVLCADAICVEVPTDDIRVGDSVLVLPGETIPVDGRVLAGRSVVDESMLTGESLPVFKEKDLTVSAGTINWDGPLRVEASSTGSNSMISKIVRMVEDAQGNEAPIQRLADSIAGPFVYSIMTLSATTFAFWYYIGTQIFPDVLLNDIAGPDGDPLLLSLKLAVDVLVVSCPCALGLATPTAILVGTSLGARQGLLVRGADVLERLANIDYIALDKAVRQTSEGITPSGYSKTIVYVGREGEGIIGAIAISDSLRHDAEFTVTRLQQKGIRTVLFSGDREEAVVTIAKAVGIENEFIKSSLTPQGKSGAISSLKDEGHRVAMVGDGINDAPSLALADVGIALQVEGQENAASNAASIILLGNKLSQVVDALELAQATMAKVYQNLSWAVAYNVIAIPIAAGVLLPQYDFAMTPSLSGGMMALSSIFVVTNSLLLQLHRSDGSRKIS >ONI33073 pep chromosome:Prunus_persica_NCBIv2:G1:35557572:35564004:-1 gene:PRUPE_1G403700 transcript:ONI33073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGMLRLALSPDPKLLFSYSSSSNVDRFAFNFKPHLPQRRRSNLFLQPRSNSNFTLSSSLQASANTAALQQVQQEPRAAETSVLLDVSGMMCGGCVSRVKSVLSADERVDSVAVNMLTETAAIKLRPEVAADGVETVAESLAGRLTECGFASKRRASGMGVTESVRKWKETMKKKEEMLVKSRNRVIFAWTLVALCCGSHASHILHSLGIHVAHGSFWEVLHNSYAKAGLASGALLGPGRDLLFDGLRALKKGSPNMNSLVGFGSLAAFTISAVSLLNPGLQWDASFFDEPVMLLGFVLLGRSLEERARIRASSDMNELLSLINTQSRLVIASSENDSSADSVLCADAICVEVPTDDIRVGDSVLVLPGETIPVDGRVLAGRSVVDESMLTGESLPVFKEKDLTVSAGTINWDGPLRVEASSTGSNSMISKIVRMVEDAQGNEAPIQRLADSIAGPFVYSIMTLSATTFAFWYYIGTQIFPDVLLNDIAGPDGDPLLLSLKLAVDVLVVSCPCALGLATPTAILVGTSLGARQGLLVRGADVLERLANIDYIALDKTGTLTEGKPAVSGIASFMYEESEILQISAAVENTASHPIAKAIINKAKSLNISIPVTKRQLTEPGFGTLAEVDGRLVAVGSLEWVHERFQGRTDMSDILNLEQAVRQTSEGITPSGYSKTIVYVGREGEGIIGAIAISDSLRHDAEFTVTRLQQKGIRTVLFSGDREEAVVTIAKAVGIENEFIKSSLTPQGKSGAISSLKDEGHRVAMVGDGINDAPSLALADVGIALQVEGQENAASNAASIILLGNKLSQVVDALELAQATMAKVYQNLSWAVAYNVIAIPIAAGVLLPQYDFAMTPSLSGGMMALSSIFVVTNSLLLQLHRSDGSRKIS >ONI35764 pep chromosome:Prunus_persica_NCBIv2:G1:45240025:45248361:1 gene:PRUPE_1G553700 transcript:ONI35764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEDLLVGSGGGVPPGFRLPITAVGLNPKKNKNKNKPNPNGNSNKLSQIQDPLAPLSPKIPGTQTIYIKTFGCSHNQSDSEYMAGQLSAFGYPLSDNPEEADLWLINTCTVKSPSQSAMDTLIAKGKGAKKPLVVAGCVPQGSRDIKELEGVSIVGVQQIDRVVEIVEETLKGHEVRLLSRKTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTVDGLVGRVKSVIADGVKEIWLSSEDTGAYGRDIGANLPILLNAIVAELPSDASTMLRIGMTNPPFILEHLKEIAEVLRHPCVYSFLHVPVQSGSDAVLTAMNREYTVSEFKTVVDILTELVPGMQIATDIICGFPGETDEDFTQTLSLIKLYKFPQVHISQFYPRPGTPAARMKKVPSTVVKRRSRDLTSVFEAFTPYVGMEGRVERIWITEVATDGIHLVGHTKGYVQVLVAAPESMLGTSAIVKITSVGRWSVFGEVIETIPHINDTVSGNERPKQEKKFPGLNHYETSACSTKSENCCCGPESCGGQSEKCAVTKNDVQLEDRNSRNLIGWLLRKRKNHVEKHVEKPVENEIGLGSQKKQEQTQGSLREWGVVDRALLGGMLVSFLTIVTLLLHLGFRILSSN >ONI27413 pep chromosome:Prunus_persica_NCBIv2:G1:6281602:6284742:1 gene:PRUPE_1G084400 transcript:ONI27413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRERDRDRDRERNRDRDRDRERRRDRDDRDRDRDRDRVRSKRSRTPERTRPRHTRSRTRSPDRYRSRTRSVSRSRSPDDRSHRRRHHHNRSPSAEARKRQRREPTAEDERERQKAAVADFVEGIAKEQKTQQKQSSDGGGDEGLDEDEIEMMKKFGIPTGFDSTKGKPVPGADVSGIRAVTKRQPRQYMNRRGGFNRPLPAERNR >ONI28064 pep chromosome:Prunus_persica_NCBIv2:G1:9461384:9463058:1 gene:PRUPE_1G120400 transcript:ONI28064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGFLILKPKIGDNKKASEDAKVNIEQENNSSSNPATGSKSCATSRNNICQNEITATNGATDVGVSNFDNYAVEGQGGSEGTKGFPERGGGYNIFCNKISADGARDVGIRNFGNTTHGMPFTTEEDHGEEAEGRSSSPKPQEGPTS >ONI33969 pep chromosome:Prunus_persica_NCBIv2:G1:38269256:38271579:-1 gene:PRUPE_1G456200 transcript:ONI33969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDMDLNAPHSMGTTIIGVTYNGGVVLGADSRTSTGVYVANRASDKITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVCANLVRLLSYGNKNMLETGLIVGGWDKYEGGKIYGIPLGGTLLELPFTIGGSGSSYLYGFFDQAWKEGMTKDEAEQLVVKAVSLAIARDGASGGVVRTVVINSEGVTRNYYPADKLPLWHEELEPQNSLLDILNTASPEPMNIQ >ONI33970 pep chromosome:Prunus_persica_NCBIv2:G1:38269336:38271576:-1 gene:PRUPE_1G456200 transcript:ONI33970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDMDLNAPHSMGTTIIGVTYNGGVVLGADSRTSTGVYVANRASDKITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTSLGQPATVKVCANLVRLLSYGNKNMLETGLIVGGWDKYEGGKIYGIPLGGTLLELPFTIGGSGSSYLYGFFDQAWKEGMTKDEAEQLVVKAVSLAIARDGASGGVVRTVVINSEGVTRNYYPADKLPLWHEELEPQNSLLDILNTASPEPMNIQ >ONI26450 pep chromosome:Prunus_persica_NCBIv2:G1:1776910:1781830:-1 gene:PRUPE_1G025700 transcript:ONI26450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELIPIGTILAVLTNQVIKTAHAAKDVFEKESFKVLSKHLFDIELVLKELQHQELNDSQAARLALESLETDVKRANSLVEKYKNRARFYLLVKCRHIVKEVQDVTRDIGRSLAALSLANTEVLSGISDKVNRLQNEMQRVEFEASQSQLQVFDKLNQGLKDQTLDQGFANDMLAEIAMAVGVPLEPSEISKELADFRKEKEEAASRKERAEVFFLEQIIELLSRADAARDYEEVKKQYKQRVQAIERYDTSEEYIQPLKPFICCIKGTVMVEPVSLCTGTTCERAAIIAWFDSEKRTDPETHEVLEDTKWRSNLPLRQSIEEWRELNYCLKIRSSKAKLLSGVETSMLDALSQMQDLMRENSINKDWIMIEGLTDIIISILGNSHNRDVKRKILITLKDIVEGHARNKEKVVESQGWDHIVPCLGRDSSISKAAIELLYELLQDRSGWNLSVCRKLSQQCSTILFLVYTLLKGTVRESAEIAEKILMKLFDIDEENISCAAKSGWYKPLIDRIVHGPETSRLSMVRTLVNMELVDSNLKLLGEEGVIPPLLEMASGNIEAKQLSLSALAELSSCNTNKELVAASGGVHLVLKLAFSPHVRSIIVVKCYEILEKFASDADGVKFFVDENGSQLELEPIFTNLISLQQNPKLAYNVRRPSLRTLLGICKFDAGLVKKAVVTGDAISLVLPLLDDSDSEIREIAISLLFLFSQHEPEGVVEYLLKPRRLEVLVGFLENDDKDDVQMAAAGILANLPKSEKSLTTKLIELDGHTAIINILRTGTMKAKENALSALFRFTDPTNLESQRILVEGGAYPLLVNFLRSSSVTAKARAAALIGNLSTSSQKLTVVSKPSGCWCFKPSGAPVCQAHGGTCSVTSTFCVLEAKALPDLVRLLSGEVYETAIEAIQTLSTLVLEASPQRGANVLHEADAIKPTLEILTWGTDSLKEEALSLLEKVFLSKEMVEFYGSTARLSLAGLTGSNFHEDGRHRRKAARVLSLLERYSRSSTSIIPGLYG >ONI26451 pep chromosome:Prunus_persica_NCBIv2:G1:1776910:1781913:-1 gene:PRUPE_1G025700 transcript:ONI26451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELIPIGTILAVLTNQVIKTAHAAKDVFEKESFKVLSKHLFDIELVLKELQHQELNDSQAARLALESLETDVKRANSLVEKYKNRARFYLLVKCRHIVKEVQDVTRDIGRSLAALSLANTEVLSGISDKVNRLQNEMQRVEFEASQSQLQVFDKLNQGLKDQTLDQGFANDMLAEIAMAVGVPLEPSEISKELADFRKEKEEAASRKERAEVFFLEQIIELLSRADAARDYEEVKKQYKQRVQAIERYDTSEEYIQPLKPFICCIKGTVMVEPVSLCTGTTCERAAIIAWFDSEKRTDPETHEVLEDTKWRSNLPLRQSIEEWRELNYCLKIRSSKAKLLSGVETSMLDALSQMQDLMRENSINKDWIMIEGLTDIIISILGNSHNRDVKRKILITLKDIVEGHARNKEKVVESQGWDHIVPCLGRDSSISKAAIELLYELLQDRSGWNLSVCRKLSQQCSTILFLVYTLLKGTVRESAEIAEKILMKLFDIDEENISCAAKSGWYKPLIDRIVHGPETSRLSMVRTLVNMELVDSNLKLLGEEGVIPPLLEMASGNIEAKQLSLSALAELSSCNTNKELVAASGGVHLVLKLAFSPHVRSIIVVKCYEILEKFASDADGVKFFVDENGSQLELEPIFTNLISLQQNPKLAYNVRRPSLRTLLGICKFDAGLVKKAVVTGDAISLVLPLLDDSDSEIREIAISLLFLFSQHEPEGVVEYLLKPRRLEVLVGFLENDDKDDVQMAAAGILANLPKSEKSLTTKLIELDGHTAIINILRTGTMKAKENALSALFRFTDPTNLESQRILVEGGAYPLLVNFLRSSSVTAKARAAALIGNLSTSSQKLTVVSKPSGCWCFKPSGAPVCQAHGGTCSVTSTFCVLEAKALPDLVRLLSGEVYETAIEAIQTLSTLVLEASPQRGANVLHEADAIKPTLEILTWGTDSLKEEALSLLEKVFLSKEMVEFYGSTARLSLAGLTGSNFHEDGRHRRKAARVLSLLERYSRSSTSIIPGLYG >ONI26449 pep chromosome:Prunus_persica_NCBIv2:G1:1776890:1782174:-1 gene:PRUPE_1G025700 transcript:ONI26449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELIPIGTILAVLTNQVIKTAHAAKDVFEKESFKVLSKHLFDIELVLKELQHQELNDSQAARLALESLETDVKRANSLVEKYKNRARFYLLVKCRHIVKEVQDVTRDIGRSLAALSLANTEVLSGISDKVNRLQNEMQRVEFEASQSQLQVFDKLNQGLKDQTLDQGFANDMLAEIAMAVGVPLEPSEISKELADFRKEKEEAASRKERAEVFFLEQIIELLSRADAARDYEEVKKQYKQRVQAIERYDTSEEYIQPLKPFICCIKGTVMVEPVSLCTGTTCERAAIIAWFDSEKRTDPETHEVLEDTKWRSNLPLRQSIEEWRELNYCLKIRSSKAKLLSGVETSMLDALSQMQDLMRENSINKDWIMIEGLTDIIISILGNSHNRDVKRKILITLKDIVEGHARNKEKVVESQGWDHIVPCLGRDSSISKAAIELLYELLQDRSGWNLSVCRKLSQQCSTILFLVYTLLKGTVRESAEIAEKILMKLFDIDEENISCAAKSGWYKPLIDRIVHGPETSRLSMVRTLVNMELVDSNLKLLGEEGVIPPLLEMASGNIEAKQLSLSALAELSSCNTNKELVAASGGVHLVLKLAFSPHVRSIIVVKCYEILEKFASDADGVKFFVDENGSQLELEPIFTNLISLQQNPKLAYNVRRPSLRTLLGICKFDAGLVKKAVVTGDAISLVLPLLDDSDSEIREIAISLLFLFSQHEPEGVVEYLLKPRRLEVLVGFLENDDKDDVQMAAAGILANLPKSEKSLTTKLIELDGHTAIINILRTGTMKAKENALSALFRFTDPTNLESQRILVEGGAYPLLVNFLRSSSVTAKARAAALIGNLSTSSQKLTVVSKPSGCWCFKPSGAPVCQAHGGTCSVTSTFCVLEAKALPDLVRLLSGEVYETAIEAIQTLSTLVLEASPQRGANVLHEADAIKPTLEILTWGTDSLKEEALSLLEKVFLSKEMVEFYGSTARLSLAGLTGSNFHEDGRHRRKAARVLSLLERYSRSSTSIIPGLYG >ONI33056 pep chromosome:Prunus_persica_NCBIv2:G1:35499917:35500520:-1 gene:PRUPE_1G402800 transcript:ONI33056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQATAKQQEILLRGQTYDTSNFLLTKNSNFINQRMTSLAKRQAQAKAVKLHYFYKFGLYGVDEILYILGNSTQIGICTTVGRS >ONI35579 pep chromosome:Prunus_persica_NCBIv2:G1:44455780:44458270:1 gene:PRUPE_1G544000 transcript:ONI35579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRVTVGNLALKIPVASKPARSVVHPSSAPCFCKIKLNNLPPQNAVVPCLPPEAQTLDSAAQANGVAATFHLSKSDLDRVGSKSIFAPKLCLKISIYTGRRGSTCGVNSGRLLGRVSVPLDLAGTECKPSVFHNGWVSVGKGVLKGVFSAQTQFHLNVKAEPDPRFVFQFDGEPECSPQVFQIQGNIRQPVFTCKFSFRSTGDRGTQRSRSLHLDHGNSRSWLGSFGSERERPGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGSWLILRPGDNTWKPWGRLEAWRERGGSDGLGYRFELIPDTSGAGIVLAESTLSLNKGGKFVLDLGSGSGTRPVPNSPGCSPRGSGDFGYGLWPYCLYRGFVMSAKVEGEGRCSKPAVEVSVQHVNCTEDAAAYVALAAAVDLSMDACRLFSQRLRKELCQDSELLR >ONI33967 pep chromosome:Prunus_persica_NCBIv2:G1:38267325:38268872:-1 gene:PRUPE_1G456100 transcript:ONI33967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMSSVAMAQSQSLHKVFVYGSLMAEDVCRVLLNRVPQSSPAILNGYIKGRVYPAILPVENDKVTGKLLLGITDPELHILDEFEDVEYERSTVEVSSVDSSDNLLVQAYVWSNKSDPNLYGDWNFEEWKQIHKKDFIKMTTGFIEELELPEAKPRVATYESFFQQGPENPAAS >ONI33968 pep chromosome:Prunus_persica_NCBIv2:G1:38267122:38269100:-1 gene:PRUPE_1G456100 transcript:ONI33968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMSSVAMAQSQSLHKVFVYGSLMAEDVCRVLLNRVPQSSPAILNGYHRYSIKGRVYPAILPVENDKVTGKLLLGITDPELHILDEFEDVEYERSTVEVSSVDSSDNLLVQAYVWSNKSDPNLYGDWNFEEWKQIHKKDFIKMTTGFIEELELPEAKPRVATYESFFQQGPENPAAS >ONI27673 pep chromosome:Prunus_persica_NCBIv2:G1:7933418:7942038:1 gene:PRUPE_1G099400 transcript:ONI27673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRRLLPLCSSINRTTFSSSGSGVVQGFRSYGGIASPEQTLLKLEDAETRAGADTLVLDDEIAQIGREFHAAKQSFLRVPEALKAMPKMNPEGIYVNKNLRLDSIQVYGFDYDYTLAHYSSNLQSLIYDLAKEHMVNEFHYPEICMEFKYDPTFPIRGLYYDKLKGCLLKLDFFGSIESDGCYYGRRKLSRKEIEEIYGTRHIGRDQARRLVGLMDFFCFSEACLIADIVQYFVDAKLEFDACYIYQDVNRAIQHVHRSGVAHRGILSDPHKYLVKNGQLLHFLKMLREKGKKLFLLTNSPYYFVDGGMRFMLEDSTDFRDSWRELFDVVIAKANKPDFYTSEHPFRCYDAEKDTLAFTKVDAFLPNKIYYHGCLKSFLQITKWKGPEVIYFGDHLFSDLRGPAKAGWRTAAIIHELEDEILIQNEDAYRLEQAKFHIVQELLGRVHATVANSQKSEAYTSLLEELNDWRHKSSHKMKRMFNKSFGATFLTDRGQESAFAYHIHQYADVYTSKPENFLLYPSEAWLHVPFDIKIMPHHVKVSSSLFKNE >ONI27674 pep chromosome:Prunus_persica_NCBIv2:G1:7933781:7938741:1 gene:PRUPE_1G099400 transcript:ONI27674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFRRLLPLCSSINRTTFSSSGSGVVQGFRSYGGIASPEQTLLKLEDAETRAGADTLVLDDEIAQIGREFHAAKQSFLRVPEALKAMPKMNPEGIYVNKNLRLDSIQVYGFDYDYTLAHYSSNLQSLIYDLAKEHMVNEFHYPEICMEFKYDPTFPIRGLYYDKLKGCLLKLDFFGSIESDGCYYGRRKLSRKEIEEIYGTRHIGRDQARRLVGLMDFFCFSEACLIADIVQYFVDAKLEFDACYIYQDVNRAIQHVHRSGVAHRGILSDPHKYLVKNGQLLHFLKMLREKGKKLFLLTNSPYYFVDGGMRFMLEDSTDFRDSWRELFDVVIAKANKPDFYTSEHPFRCYDAEKDTLAFTKVDAFLPNKIYYHGCLKSFLQITKWKGPEVIYFGDHLFSDLRGPAKAGWRTAAIIHELEDEILIQNEDAYRLEQVLLCKVIIYIYI >ONI36416 pep chromosome:Prunus_persica_NCBIv2:G1:47422004:47424292:-1 gene:PRUPE_1G584000 transcript:ONI36416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLVSVDSYMNLQLANTEEYIDGQFTGNLGEILIRRM >ONI36415 pep chromosome:Prunus_persica_NCBIv2:G1:47421403:47424393:-1 gene:PRUPE_1G584000 transcript:ONI36415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLVSVDSYMNLQLANTEEYIDGQFTGNLGEILIRCNNVLYLRGVPEDEEIEDAEQEDVQ >ONI33576 pep chromosome:Prunus_persica_NCBIv2:G1:37091669:37094996:-1 gene:PRUPE_1G433600 transcript:ONI33576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQHHFQQHYPPQQQQSSKSFRNLYTIDGHMTPAMAYYDPSTLQDHSQHPPYVPPFHVVGFAPGPVAATDGSDNGADLQWQYGFESKRKKLKEQDFLENNSQISSVELLQPRSVSTGLGLSLDNTRMASTGDSALLSLIGDDVDHELQRQDAEIDRFLKDQGDRLRQNILEKVQATQLQTLSVVEEKVLQKLREKEAEVESINKKNMELEERMEQLTVEAGAWQQLARRNENMISSLRFSLQHVYAQSRDSKEGCGDSEVDDTASCCNGRTLNFDMFCKENNDVKEMMSCKACRVNEVCMLLLPCKHLCLCKDCESKLSLCPLCQSSKFIGMEVYL >ONI33575 pep chromosome:Prunus_persica_NCBIv2:G1:37091650:37095048:-1 gene:PRUPE_1G433600 transcript:ONI33575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQHHFQQHYPPQQQQSSKSFRNLYTIDGHMTPAMAYYDPSTLQDHSQHPPYVPPFHVVGFAPGPVAATDGSDNGADLQWQYGFESKRKKLKEQDFLENNSQISSVELLQPRSVSTGLGLSLDNTRMASTGDSALLSLIGDDVDHELQRQDAEIDRFLKDQGDRLRQNILEKVQATQLQTLSVVEEKVLQKLREKEAEVESINKKNMELEERMEQLTVEAGAWQQLARRNENMISSLRFSLQHVYAQSRDSKEGCGDSEVDDTASCCNGRTLNFDMFCKENNDVKEMMSCKACRVNEVCMLLLPCKHLCLCKDCESKLSLCPLCQSSKFIGMEVYL >ONI34858 pep chromosome:Prunus_persica_NCBIv2:G1:41577480:41579444:1 gene:PRUPE_1G502700 transcript:ONI34858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSEAERVQDIALMSKANIPIEFIRSEHEQPGITTVHGKVLECPTIDFSNQDDEEILNQIVEASRNWGMYQIVNHDIPNEAISKLQAVGKAFFELPPEEKEVYAKPPDSKSVEGYGTKLQKELEGKKGWVDHLFHRVWPPSAINYQFWPKNPPSYREANEEYVKHLHKVVDKLFKVLSLGLGLEGQELKKAAGGDDMVYLLKINYYPPCPRPDLALGVVAHTDMSALTILVPNDVQGLQACRDGQWYDVRYIPNALVIHIGDQMEIMSNGKYKSVLHRSTVNKEKTRLSWPVFIEPPQDRVVGPLPQLVKEDNPPKYKAKKFGDYCYCKLNKIPQ >ONI30175 pep chromosome:Prunus_persica_NCBIv2:G1:24884149:24888664:1 gene:PRUPE_1G234900 transcript:ONI30175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFSYRPIFPGHLSEDNLVSPMRIANGYLVEGMPERNGDGFGRPWHSNRQVEDFFDRCDRGGLQDPVSNDILDLLPSDPFGMDMSTTFTAISGWLEDLEVDYGGYGRHHVGTSNGNYELFAGLNFFWNNAMRFHAFPGNIGVDHKSNVANGFAGCSKEKGVGDASCHGGFGSACAVADILNFGNESCGFSNQKNVEFQDGNGILTDEDGDGGTPPCALMFALGYLGVRDLFVVEKVCRSLRSTVHGDPLLWRSIHIDQPLNEKITDDILLQLTNRAQGSLQCLSLVECSKITDDGLKRVLESNPRLAKLSVPGCTKLSIEGIVNNLKAFKSKGAQDLKHLRIGGLYGVTQQHFEELKFLLGTDSQMKQNPRKLHFYHRGNFYLPNDDDSDIDIEMCPRCQNLRLVYDCPAEVCPGKRHPTQVCRACTLCIGRCVQCGRCITDDEYEETFCLEKLCSDCGKGHKSQERQDRKYGPSKSVILHEQNYGFGQHG >ONI33646 pep chromosome:Prunus_persica_NCBIv2:G1:37292226:37294377:-1 gene:PRUPE_1G438000 transcript:ONI33646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAANLSYEESRRQRMEENKKRMEALNLPQLAQALKAPSFPKPSPMKRAKPRTVEKQMVVVRRSSRVANLPTPVYKEIAVDPLRMPRRHSTSKHRDFSNRVYASDEARAEATERAEELESGLGSDHPTFVKPMLQSHVTGGFWLGLPIHFCNKNLPKRDESVILVDEDGDEYQIVYLANKRGLSGGWRGFAIAHELVDGDALVFQLIRPKTFKVYIIRVERT >ONI31461 pep chromosome:Prunus_persica_NCBIv2:G1:30408314:30412291:1 gene:PRUPE_1G314400 transcript:ONI31461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHHKLALILENPLNDAEFLLVKQTRPPKFNDEEYDSYVDSDLWDLPSTQLNLLEVKSEPSIAVEGAESWSGKIELKKFDVDSALNRVLEQVGFKAADVGDWSLLKYVEEAHFGPAPPINSVFVRGKLVASHPNLPESCKWLSVPSCLNWLLEVKPGNDRVGPLIVAGLLNEFVQSRELKIPSTLSYQEYPPGVVLVPMGSKTGKPFYTTNLVVFAPQNASSDSEKNGFIASGDALIVDPGCRSEFHEELAQIVTSLPRKLVVFVTHHHHDHVDGLSVIQRCNPDATLLAHENTMRRIGKDDWSLGFTAISGTEEICIGGQRLIALFSPGHTDGHMGLLHASTHSLIVGDHCVGQGSAVLDVTSGGNMTDYFQSTYKFMELAPHALVPMHGRVNLWPKHMLCGYLKNRRARESSILKAIENGAETLFDIVANVYSEVDPSVWIFAASNVRLHVDHLAQQDKLPKGFYLETFYHSIDELSDKVGKL >ONI31460 pep chromosome:Prunus_persica_NCBIv2:G1:30408116:30413120:1 gene:PRUPE_1G314400 transcript:ONI31460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHHKLALILENPLNDAEFLLVKQTRPPKFNDEEYDSYVDSDLWDLPSTQLNLLEVKSEPSIAVEGAESWSGKIELKKFDVDSALNRVLEQVGFKAADVGDWSLLKYVEEAHFGPAPPINSVFVRGKLVASHPNLPESCKWLSVPSCLNWLLEVKPGNDRVGPLIVAGLLNEFVQSRELKIPSTLSYQEYPPGVVLVPMGSKTGKPFYTTNLVVFAPQNASSDSEKNGFIASGDALIVDPGCRSEFHEELAQIVTSLPRKLVVFVTHHHHDHVDGLSVIQRCNPDATLLAHENTMRRIGKDDWSLGFTAISGTEEICIGGQRLIALFSPGHTDGHMGLLHASTHSLIVGDHCVGQGSAVLDVTSGGNMTDYFQSTYKFMELAPHALVPMHGRVNLWPKHMLCGYLKNRRARESSILKAIENGAETLFDIVANVYSEVDPSVWIFAASNVRLHVDHLAQQDKLPKEFLIQKFRKTCGLRFFSLWIWAYFSCGVRLYCTKPRISQFLVAGVVASFALLYSFKNRFSSK >ONI34575 pep chromosome:Prunus_persica_NCBIv2:G1:40682061:40682558:-1 gene:PRUPE_1G488600 transcript:ONI34575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLSTNDLLRIFEMLDRNGDGQVSLEELSWLLERIGVQFSLNELESSVGKPSLDFNEFLFFYKSISMQQNYKSDDDRHEDGNIHEEVVPDEDESDLVKAFNVFDLNGDGFISCEELGSVLRRLGVLEENSSRDCKTMIHVYDTNLDGLLDFQEFKNMMFQNTIS >ONI31085 pep chromosome:Prunus_persica_NCBIv2:G1:28958106:28960465:1 gene:PRUPE_1G291100 transcript:ONI31085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLGGLVIIVSSFLCLLLVLVLIKILYKLWLAPARLQKLMALQGIKGPPYRLIHGNTKDISNMIKEAMSKPKSLSSSHDILSVVQPHVHSWTKIYGKNYLQWHGSEAQLVITEPELCKEILNNKDKAYPKRVPESFVKKLLGDGLVTTAEGEKWAKMRRLATHAFHGESLKSMIPAMVASAETMLERWKNYEGKEIEVFEEFRFFTSEVISRTAFGSSYIEGQHIFEMLMKLGFLLTKNSFTIRVPGISKLFKTGDEIESEKLEKDVRASILEIVRKREEKVMTGGEDSFGSDFLGLLVKAHHDANDSQRISVDDLIDDCKTFYVAGQETTNSLLAWTVFLLAHHTDWQEETRKEVIQMFGKQTPNPDGIAKLKTMSMVINECLRLYSPVGTLLRKAEREVKLGKLTVPANVELVMSCLSLHHDPLIWGQDAQIFKPERFAEGVAKASNNNAGAFLPFGVGPRTCVGLNFATIEAKIALSMVLQRYSFTLSPGYVHLPFQLVTNRPLRGVQVMLHSL >ONI28407 pep chromosome:Prunus_persica_NCBIv2:G1:11084660:11088977:1 gene:PRUPE_1G140800 transcript:ONI28407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRRWLVFVVFTWMFVFSAGRELKIKHKDHGPVYNHTLATILVRYASTVYLSDLTELFTWTCSRCDGLIKDFEMIELVVDIQHCLQAFVGVAQDPNAIIIAFRGTQEHSIQNWVEDLFWKQLDLDYPGMPDAMVHHGFFNAYHNTTIRPGILNAIARAKEFYGDIDIIVTGHSMGGAMASFCALDLRVNQKERNVQVMTFGQPRIGNAAFASYFSELVPNTIRVTNEHDMVPHLPPYYTYFPQKTYHHFPREVWLYNVGIESLVYEVEKICDDSGEDPTCSRSVSGNSISDHLVYFGVELMAKTWRRCRIVMGPGVVEYSRTDLGGNFVLSRDLATPVLNLNAMSEAGAKPL >ONI28406 pep chromosome:Prunus_persica_NCBIv2:G1:11082661:11088856:1 gene:PRUPE_1G140800 transcript:ONI28406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAMKSFDPINPMMTPQLIIGLHMWVNDQLLASPFLGSPSTVLTRCQPPRASPKRCVSVIYGWSLTVTGTVRQPSELRSRGVSDMEKRRWLVFVVFTWMFVFSAGRELKIKHKDHGPVYNHTLATILVRYASTVYLSDLTELFTWTCSRCDGLIKDFEMIELVVDIQHCLQAFVGVAQDPNAIIIAFRGTQEHSIQNWVEDLFWKQLDLDYPGMPDAMVFITVKFLYSVACWNIKFQVSILFLLLQVHHGFFNAYHNTTIRPGILNAIARAKEFYGDIDIIVTGHSMGGAMASFCALDLRVNQKERNVQVMTFGQPRIGNAAFASYFSELVPNTIRVTNEHDMVPHLPPYYTYFPQKTYHHFPREVWLYNVGIESLVYEVEKICDDSGEDPTCSRSVSGNSISDHLVYFGVELMAKTWRRCRIVMGPGVVEYSRTDLGGNFVLSRDLATPVLNLNAMSEAGAKPL >ONI30184 pep chromosome:Prunus_persica_NCBIv2:G1:24943033:24943478:1 gene:PRUPE_1G235700 transcript:ONI30184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKFKFQKVIWLCTLGKSEEENIKFSLKCLSCPAFQEETIKSQPDAFDAKIEGPFLLLSYSTTPLVTKTIASLERAAVSALLMILIRPGIFLSSCILKRIIYAV >ONI35912 pep chromosome:Prunus_persica_NCBIv2:G1:45724490:45726054:1 gene:PRUPE_1G560100 transcript:ONI35912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRCRRTQNRVTSNGYWKALGVEEPVITRSSCNSTHKVGMKRYLAFYVGEAPNSGIKTNWIMHEYRLSPLSAGDGASSSSSSSTTTRSSKRRGHLKTVNNEFSEYVLCRVYERDEDDDDDDDGTVLSCLDEVFLSLDDLDEISLPI >ONI35911 pep chromosome:Prunus_persica_NCBIv2:G1:45724265:45726152:1 gene:PRUPE_1G560100 transcript:ONI35911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDQFKLPPGFRFDPTDEELVVHFLQRKAALLPFHPDVIPDLHLYPYDPWELDGKALREGNKWYFYSRRTQNRVTSNGYWKALGVEEPVITRSSCNSTHKVGMKRYLAFYVGEAPNSGIKTNWIMHEYRLSPLSAGDGASSSSSSSTTTRSSKRRGHLKTVNNEFSEYVLCRVYERDEDDDDDDDGTVLSCLDEVFLSLDDLDEISLPI >ONI32424 pep chromosome:Prunus_persica_NCBIv2:G1:33614833:33615765:-1 gene:PRUPE_1G367200 transcript:ONI32424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEGSHQPKQERSRTRWTASLDKTFADLVVKQIQLGNRPNNVFDKKTWNIIRDEFNRQTDLNFNNNQLRKHLDVLRTRFYGLKSAYDQTNDFTLDDSCCIEFAHLWEDLGVQPRPETIKVKDCPIYDQLCTIFADSTADGKYAQSSHYGGLDKSAGIDNAGLSSYPDCEAPPHSEIPPSSKPVQGNALSAEKVPKVIAERKRKRPSETHSSEDQRSRRDQEICDTMAQAMREMIAASKLRRATAMPSDKFSITNCIRALDEIEGIGGQLYFRALDLFEDPNLRETFLSLKGNQIRLTWLQAKCSKTAYG >ONI32425 pep chromosome:Prunus_persica_NCBIv2:G1:33614376:33616488:-1 gene:PRUPE_1G367200 transcript:ONI32425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEGSHQPKQERSRTRWTASLDKTFADLVVKQIQLGNRPNNVFDKKTWNIIRDEFNRQTDLNFNNNQLRKHLDVLRTRFYGLKSAYDQTNDFTLDDSCCIEFAHLWEDLGVQPRPETIKVKDCPIYDQLCTIFADSTADGKYAQSSHYGGLDKSAGIDNAGLSSYPDCEAPPHSEIPPSSKPVQGNALSAEKVPKVIAERKRKRPSETHSSEDQRSRRDQEICDTMAQAMREMIAASKLRRATAMPSDKFSITNCIRALDEIEGIGGQLYFRALDLFEDPNLRETFLSLKGNQIRLTWLQAKCSKTAYG >ONI32013 pep chromosome:Prunus_persica_NCBIv2:G1:32272745:32276174:1 gene:PRUPE_1G344500 transcript:ONI32013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVVSFSLGVCHFPAHPKSTIIRPLAPINRKAYFYDRMSAVPMALEKERPRKEEMGSKNKNKTLLDLFQPTASSAKRLKTDSIRATHSDSVSPVPPPSHDDSSSSDLTAQQKSRMEFQKLLAKARRNLSICSNRLSNSNSKGEGVKLEELLVEETWLEAFPSELQKPYAKTLSKFVENEICGGALPIYPPTHLIFNALNSTPFDRVKAVILGQDPYHGPGQAMGLSFSVPEGVKVPSSLVNIFKELHQDLGCSIPSHGNLEKWAVQGVLLLNAVLTVRNHQANSHAKKGWEQFTDAVIKTISQKREGVVFLLWGNSAQQKSKLIDESKHHILKAAHPSGLSANRGFFGCRHFSRTNQLLEEMGIPPIDWQL >ONI28707 pep chromosome:Prunus_persica_NCBIv2:G1:12346602:12348788:-1 gene:PRUPE_1G156200 transcript:ONI28707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVRVFLAVVLMVMAALKVSHAAVHKVGDSAGWTTIGGVDYKKWAASKTFHVGDVIKFEYNSQFHNVMRVTHPMYQSCNATVPLDSYATGNDTITITTKGHHFFICGIPGHCQAGQKVDINVLRHPSAAAPTPALASPAVPVAHAPAPGPNMAAPLKSVKGQFVVLGLAIATLAVLVSGLA >ONI31349 pep chromosome:Prunus_persica_NCBIv2:G1:30016829:30017893:-1 gene:PRUPE_1G307800 transcript:ONI31349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGTCLVFNSSTALHDADVNGILCLLTNTIQNKIIVLLAFPPSQLPSTFSLLSLSPTTAHHLVNVHAPATFPTHTSRPRFPKPKPTTPPRFSLSLSLSLSKNNPYPQPTPSNISQDLPPDYTTPCRFSLQVFIFSNVMF >ONI28055 pep chromosome:Prunus_persica_NCBIv2:G1:9335216:9335946:1 gene:PRUPE_1G119500 transcript:ONI28055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLILLHQFPVDVLTLTLIMQQLLFLAVHYMDFMINIILHLLHSIVIYQGMLLLSITEQCHSALLNMMLCLKRSSRRKAGLMTFTSYLIKWRQWS >ONI27099 pep chromosome:Prunus_persica_NCBIv2:G1:4872571:4874546:1 gene:PRUPE_1G068300 transcript:ONI27099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVQHLFINFTLFIYKKADTCLDSVGSSCEGIDCNKACLEKHPGSKGACDQSNLCTCFYDCPPQKTCNDGLGPCTHDCKRDCCAKKCANKHPAGVGICDDRLCFQYVPCQCEYPCN >ONI30488 pep chromosome:Prunus_persica_NCBIv2:G1:26440293:26441616:-1 gene:PRUPE_1G253400 transcript:ONI30488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAENEYKKGLWTKEEDRVLLDYVTVHGSGRWNRIPKLTGLKRNGKSCRLRWLNYLSPNVKRGDFSEEEEDLIIRLHNLLGNRWSLIAGRVPGRTDNQVKNYWNTRLCKKLGFKKQTPKPLASSSSETPKAPDSNTKQSCSCGTGSTVKDMEALQNDQNPLLDDIVAAEDSNSNEEIQWMTEHCAESLSYSDGHNLISWGMLEFLQGHPLELLYQNFELS >ONI26125 pep chromosome:Prunus_persica_NCBIv2:G1:478563:482046:-1 gene:PRUPE_1G005500 transcript:ONI26125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSGSTNEDIITFATYTSKGSNCQVAMLITVDDLNQSTDIIILDVPTVEGRLMLVDMAGSENIEQAGQIGFKAKMQTAKINQGNIALKRVVESIANGDSHVTQHKHKGFKRNFEIYWKINYQTLTAVLEGQTTSECQNPPYVTAKLDSKLTMLLQDSFEDAKTKILMVLCASPDPKEIHKTISTLEYGAKAKCIVRDPHTPIKDKIGTEDSSAVILGSRIAAMDEFILKLQRENKLKEKERNEAHRELQKKEEEVAALRAKFELVEGTGSGKKEEEINLKVTELEKKLEECQRMENEFVELERKRMEERILQQQQEVEILRRRLEKIELELCRSGDGNVEYLDCKGSKKMY >ONI27962 pep chromosome:Prunus_persica_NCBIv2:G1:9030791:9031211:1 gene:PRUPE_1G113700 transcript:ONI27962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTYDDNKLVKERLWIISIPFSAFWLRSSVVSVLISLISDTWANGPHDIKLISLGGGLATVACYWSSQASPKRCTIAWAWRTPPKRV >ONI27171 pep chromosome:Prunus_persica_NCBIv2:G1:5111501:5114680:-1 gene:PRUPE_1G072100 transcript:ONI27171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYEQQQHQQQMRMDTKKKAAHERKKSMDEERLEIVDLSGMSLDALPNPSLNLGTICKLDLSNNNLQNIPESLTARLLNVLVLDVHSNQLKSLPNSIGCLSKLKVLNVSGNLLAFLPKTIENCRSLEDLNANFNKLSQLPDTIGFELHNLKKLSVNSNKLVFLPRSLTHLSSLRVLDARLNCLRSLPEDLENLINLEILNVSQNFQYLETLPYSIGLLMSLVELDVSYNKITALPDSIGCLKKLQKLTVQGNPMSSPPPEVFEQGLHSVKEYLSEKMNGGHKSPPKKKSWMGKLVKYGTFNGARSVSREEKEGFIMPEYRSIDGLASPRYMGMFSPRRLFSPRNYFTR >ONI28346 pep chromosome:Prunus_persica_NCBIv2:G1:10890992:10897449:1 gene:PRUPE_1G139100 transcript:ONI28346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATNMDSARDVGGAPSTVLIPMRFVWPYGGRSVFLSGSFARWSELIPMTPVEGCPTVFQAIYSVTPGYHQYKFFVDGEWRHDEHQPYVSGEYGLVNTVLLATDPNFFHPNVTPEITSGSNMEEDTEAFRRLVRITDGNLTDVVPRITEGDLQGSRHRISVFLSAHTTYELLPESGKVVALDVDLPVKQAFHILHEQGIPLAPLWDFSKGQFVGVLTASDFILILRELGNHGSNLTEEELETHTIAAWKEGKAYLNGQIDGHGRALPRRFIHAGPYDNMKDVVLKLLQNEVATVPIIHSSSEDGTFPQLLHLASLSGILKCICRYFRHSSSSLPILQAPIGELHVGTWVPEIGESNCRPLAMLRPSASLSAALNLLVQAQVSSIPIVDDNDSLVDIYCRSDITALAKDRAYAHINLNEMTIHQALQLGQDSYSPFEPRSQRCQMCLRSDSLHKVMERLANPGVRRLVIVEAGSKRVEGIVSLSDIFKFLLG >ONI28344 pep chromosome:Prunus_persica_NCBIv2:G1:10890969:10897481:1 gene:PRUPE_1G139100 transcript:ONI28344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATNMDSARDVGGAPSTVLIPMRFVWPYGGRSVFLSGSFARWSELIPMTPVEGCPTVFQAIYSVTPGYHQYKFFVDGEWRHDEHQPYVSGEYGLVNTVLLATDPNFFHPNVTPEITSGSNMEEDTEAFRRLVRITDGNLTDVVPRITEGDLQGSRHRISVFLSAHTTYELLPESGKVVALDVDLPVKQAFHILHEQGIPLAPLWDFSKGQFVGVLTASDFILILRELGNHGSNLTEEELETHTIAAWKEGKAYLNGQIDGHGRALPRRFIHAGPYDNMKDVVLKLLQNEVATVPIIHSSSEDGTFPQLLHLASLSGILKCICRYFRHSSSSLPILQAPIGELHVGTWVPEIGESNCRPLAMLRPSASLSAALNLLVQAQVSSIPIVDDNDSLVDIYCRSDITALAKDRAYAHINLNEMTIHQALQLGQDSYSPFEPRSQRCQMCLRSDSLHKVMERLANPGVRRLVIVEAGSKRVEGIVSLSDIFKFLLG >ONI28347 pep chromosome:Prunus_persica_NCBIv2:G1:10890969:10897471:1 gene:PRUPE_1G139100 transcript:ONI28347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATNMDSARDVGGAPSTVLIPMRFVWPYGGRSVFLSGSFARWSELIPMTPVEGCPTVFQAIYSVTPGYHQYKFFVDGEWRHDEHQPYVSGEYGLVNTVLLATDPNFFHPNVTPEITSGSNMEEDTEAFRRLVRITDGNLTDVVPRITEGDLQGSRHRISVFLSAHTTYELLPESGKVVALDVDLPVKQAFHILHEQGIPLAPLWDFSKGQFVGVLTASDFILILRELGNHGSNLTEEELETHTIAAWKEGKAYLNGQIDGHGRALPRRFIHAGPYDNMKDVVLKLLQNEVATVPIIHSSSEDGTFPQLLHLASLSGILKCICRYFRHSSSSLPILQAPIGELHVGTWVPEIGESNCRPLAMLRPSASLSAALNLLVQAQVSSIPIVDDNDSLVDIYCRSDITALAKDRAYAHINLNEMTIHQALQLGQDSYSPFEPRSQRCQMCLRSDSLHKVMERLANPGVRRLVIVEAGSKRVEGIVSLSDIFKFLLG >ONI28343 pep chromosome:Prunus_persica_NCBIv2:G1:10890996:10897449:1 gene:PRUPE_1G139100 transcript:ONI28343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATNMDSARDVGGAPSTVLIPMRFVWPYGGRSVFLSGSFARWSELIPMTPVEGCPTVFQAIYSVTPGYHQYKFFVDGEWRHDEHQPYVSGEYGLVNTVLLATDPNFFHPNVTPEITSGSNMEEDTEAFRRLVRITDGNLTDVVPRITEGDLQGSRHRISVFLSAHTTYELLPESGKVVALDVDLPVKQAFHILHEQGIPLAPLWDFSKGQFVGVLTASDFILILRELGNHGSNLTEEELETHTIAAWKEGKAYLNGQIDGHGRALPRRFIHAGPYDNMKDVVLKLLQNEVATVPIIHSSSEDGTFPQLLHLASLSGILKCICRYFRHSSSSLPILQAPIGELHVGTWVPEIGESNCRPLAMLRPSASLSAALNLLVQAQVSSIPIVDDNDSLVDIYCRSDITALAKDRAYAHINLNEMTIHQALQLGQDSYSPFEPRSQRCQMCLRSDSLHKVMERLANPGVRRLVIVEAGSKRVEGIVSLSDIFKFLLG >ONI28345 pep chromosome:Prunus_persica_NCBIv2:G1:10890996:10897449:1 gene:PRUPE_1G139100 transcript:ONI28345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATNMDSARDVGGAPSTVLIPMRFVWPYGGRSVFLSGSFARWSELIPMTPVEGCPTVFQAIYSVTPGYHQYKFFVDGEWRHDEHQPYVSGEYGLVNTVLLATDPNFFHPNVTPEITSGSNMEEDTEAFRRLVRITDGNLTDVVPRITEGDLQGSRHRISVFLSAHTTYELLPESGKVVALDVDLPVKQAFHILHEQGIPLAPLWDFSKGQFVGVLTASDFILILRELGNHGSNLTEEELETHTIAAWKEGKAYLNGQIDGHGRALPRRFIHAGPYDNMKDVVLKLLQNEVATVPIIHSSSEDGTFPQLLHLASLSGILKCICRYFRHSSSSLPILQAPIGELHVGTWVPEIGESNCRPLAMLRPSASLSAALNLLVQAQVSSIPIVDDNDSLVDIYCRSDITALAKDRAYAHINLNEMTIHQALQLGQDSYSPFEPRSQRCQMCLRSDSLHKVMERLANPGVRRLVIVEAGSKRVEGIVSLSDIFKFLLG >ONI33102 pep chromosome:Prunus_persica_NCBIv2:G1:35662177:35665269:1 gene:PRUPE_1G405700 transcript:ONI33102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWNEVTGSGQQAGPSGVEAGPSSSDPGEARRFPLAAQPEIMRAAEKDDQYASFVYDACRDAVRHLLGTRTAVAYQNETKLLGQVLYYVLTTGSGQQTLGEEYCDITQVAGPYGLPPTPARRALFIVYQTALPYIAERISSRAANRGIVLADSDELYGNNASLSNDVQSSSVTPTSTASTSGASASAIRRLKEKFNGLWLSLVRRWPTVLPIAREFLQLVIRANLMFFYFEGLFYHISKRTAGIRYVFIGKPSNQRPRYQILGVFLLIQLCIIAAEGLRRSNLSSIAGSSHQTFGTHQTSGGRDLPVLNEEGHLISADAAKGSWVSDSSTSESQASGMSKCTLCLSNRQHPTATPCGHVFCWSCIMEWCNEKPECPLCRTPITHSSLVCLYHSDF >ONI33104 pep chromosome:Prunus_persica_NCBIv2:G1:35662346:35664690:1 gene:PRUPE_1G405700 transcript:ONI33104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWNEVTGSGQQAGPSGVEAGPSSSDPGEARRFPLAAQPEIMRAAEKDDQYASFVYDACRDAVRHLLGTRTAVAYQNETKLLGQVLYYVLTTGSGQQTLGEEYCDITQVAGPYGLPPTPARRALFIVYQTALPYIAERISSRAANRGIVLADSDELYGNNASLSNDVQSSSVTPTSTASTSGASASAIRRLKEKFNGLWLSLVRRWPTVLPIAREFLQLVIRANLMFFYFEGLFYHISKRTAGIRYVFIGKPSNQRPRYQILGVFLLIQLCIIAAEGLRRSNLSSIAGSSHQTFGTHQTSGGRDLPVLNEEGHLISADAAKGSWVSDSSTSEVYIPIMTLNSFPLLRLMYYFLYIMTSAV >ONI33103 pep chromosome:Prunus_persica_NCBIv2:G1:35662343:35665069:1 gene:PRUPE_1G405700 transcript:ONI33103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWNEVTGSGQQAGPSGVEAGPSSSDPGEARRFPLAAQPEIMRAAEKDDQYASFVYDACRDAVRHLLGTRTAVAYQNETKLLGQVLYYVLTTGSGQQTLGEEYCDITQVAGPYGLPPTPARRALFIVYQTALPYIAERISSRAANRGIVLADSDELYGNNASLSNDVQSSSVTPTSTASTSGASASAIRRLKEKFNGLWLSLVRRWPTVLPIAREFLQLVIRANLMFFYFEGLFYHISKRTAGIRYVFIGKPSNQRPRYQILGVFLLIQLCIIAAEGLRRSNLSSIAGSSHQTFGTHQTSGGRDLPVLNEEGHLISADAAKGSWVSDSSTSESQASGMSKCTLCLSNRQHPTATPCGHVFCWYCPFAFSLLWPLSLGFNTICLQELHYGVVQ >ONI28491 pep chromosome:Prunus_persica_NCBIv2:G1:11314350:11317968:-1 gene:PRUPE_1G143600 transcript:ONI28491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATQAVSNGAAEAPPAQAGPENVKEEATDPGPAGRRPDISLQIPPRPAAFGSSRSGKGLLQSQGSSKGSSLSGGLFRGLSFKKKATLPDGEKSFLLSTDPSKAPESPTIANFASALPWARCTSLPVTPASNLSPSVSTPISARMYNEVHKPHKETVQATVSRSLSVPGRNIVIVRSVSFATRNEQTQTDPSNDQITPVPVEETNDEEIPEEEAVCRICLDVCEEQNTLKMECFCKGALRLVHEECAIKWFSTKGNKKCDVCGKEVQNLPVTLLRVQSSVQRGTRQEHNQQSLRPETISAWQDFVVLVLISTICYFFFLEQLLHYTRQCVRTRNMRLQVS >ONI28489 pep chromosome:Prunus_persica_NCBIv2:G1:11313640:11316948:-1 gene:PRUPE_1G143600 transcript:ONI28489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGPENVKEEATDPGPAGRRPDISLQIPPRPAAFGSSRSGKGLLQSQGSSKGSSLSGGLFRGLSFKKKATLPDGEKSFLLSTDPSKAPESPTIANFASALPWARCTSLPVTPASNLSPSVSTPISARMYNEVHKPHKETVQATVSRSLSVPGRNIVIVRSVSFATRNEQTQTDPSNDQITPVPVEETNDEEIPEEEAVCRICLDVCEEQNTLKMECFCKGALRLVHEECAIKWFSTKGNKKCDVCGKEVQNLPVTLLRVQSSVQRGTRQEHNQQSLRPETISAWQDFVVLVLISTICYFFFLEQLLIGDLKTQAIVIAAPFAFTLGLLASIFSIILAIKEYIWTYAALEFALVAILVHLLYSVLHLMAIYSILIASVLGFGIAMSLNSLYMHYFTWRVQVAQNQNSSPV >ONI28488 pep chromosome:Prunus_persica_NCBIv2:G1:11313425:11317969:-1 gene:PRUPE_1G143600 transcript:ONI28488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATQAVSNGAAEAPPAQAGPENVKEEATDPGPAGRRPDISLQIPPRPAAFGSSRSGKGLLQSQGSSKGSSLSGGLFRGLSFKKKATLPDGEKSFLLSTDPSKAPESPTIANFASALPWARCTSLPVTPASNLSPSVSTPISARMYNEVHKPHKETVQATVSRSLSVPGRNIVIVRSVSFATRNEQTQTDPSNDQITPVPVEETNDEEIPEEEAVCRICLDVCEEQNTLKMECFCKGALRLVHEECAIKWFSTKGNKKCDVCGKEVQNLPVTLLRVQSSVQRGTRQEHNQQSLRPETISAWQDFVVLVLISTICYFFFLEQLLIGDLKTQAIVIAAPFAFTLGLLASIFSIILAIKEYIWTYAALEFALVAILVHLLYSVLHLMAIYSILIASVLGFGIAMSLNSLYMHYFTWRVQVAQNQNSSPV >ONI28490 pep chromosome:Prunus_persica_NCBIv2:G1:11313425:11317968:-1 gene:PRUPE_1G143600 transcript:ONI28490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATQAVSNGAAEAPPAQAGPENVKEEATDPGPAGRRPDISLQIPPRPAAFGSSRSGKGLLQSQGSSKGSSLSGGLFRGLSFKKKATLPDGEKSFLLSTDPSKAPESPTIANFASALPWARCTSLPVTPASNLSPSVSTPISARMYNEVHKPHKETVQATVSRSLSVPGRNIVIVRSVSFATRNEQTQTDPSNDQITPVPVEETNDEEIPEEEAVCRICLDVCEEQNTLKMECFCKGALRLVHEECAIKWFSTKGNKKCDVCGKEVQNLPVTLLRVQSSVQRGTRQEHNQQSLRPETISAWQDFVVLVLISTICYFFFLEQLLHHLHLHWASWHPYFPSSLQSRSIYGHMQLLSLHLWPFSSICSTPYFI >ONI28770 pep chromosome:Prunus_persica_NCBIv2:G1:12754823:12755782:-1 gene:PRUPE_1G160300 transcript:ONI28770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKSGNLGLDEALGHFNSVIQMNPIPSIWAINHLFGALSKMNQRSAVVSMYKQMLACVGLQPEVCTLNIVINCLCRMNRVDLGFSVLATTLKHGLQPNAYTLNALHNGEKGLACSEVTYARIINLLCRTGKTCIALEILEHNYQDGRFKPNPQCYNPIIDSLCKERRVDQRALALFEIMNEKGIIPDVVTFTSLIPAACKSGKWEEAVRLFRNLIDCGALPNILIFNSALDALCKDGKTAEALNLVEEMLLRGVKPDLVTYNSLIN >ONI30455 pep chromosome:Prunus_persica_NCBIv2:G1:26366381:26367827:1 gene:PRUPE_1G252500 transcript:ONI30455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQPQQQQPALVYPNNMRGQPSSSHHSNGSFGTVFIVLAVIVVISAIACFLGRLCNRRLHNSKPNKQPAHNFRPSKKEAAVNHNHMEFGNNNNPSFRAPKDADIEFGFDKKIPNGNGSGSESRGYMNNHSKPHHGNSDHHIHMKGEMKQPGDHIVIGEPRATG >ONI27382 pep chromosome:Prunus_persica_NCBIv2:G1:6145897:6152096:1 gene:PRUPE_1G082900 transcript:ONI27382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFLNDMEREKPIRFTTQQLRIATDNFTHLLSSGGSGAVYKGVFGNGTHVAVKVLNGSPDKRTEEQFMAEVSTIGRIHQFNLVRLYCFCFERHLRALVYEYMPNGVKYIRIYGLTPTNGSFHKFLFHGSKILRFEKLHEIAIGTAKGIAYLHEECEQRIVHYDTNPENILLDANLENTHITMTGGRGTPVYAAPEAWLGFPITHKCDVYSFGMLLFEIIGRRRNLDINIQDSQDWFPRWVWKKFEPGELRELMVVCGIEEKDKERAERMVKVALWCVQYKPEARPLMSVVVRMLEGAAEIPRPSVNPFRNLMPGTLHPNKPVGSAFHSERTSASGSISFQTVTEFSVMHATAFMTKYEIDIVIPLSSRCITLNV >ONI32970 pep chromosome:Prunus_persica_NCBIv2:G1:35170660:35173581:1 gene:PRUPE_1G396900 transcript:ONI32970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEEERVLENLLELQLQEQRVSLATINDALASEPNNTEILALHEEIVQAIKDAEEGLLHLKRARLLREADLVLDGSAHADEDVKMETLDPTDVEPEPLEEPSYTVGSKCRFCHTDGRWYNGQVIGLDGSNSAKISFLTPTSENMLICKFFLQQRCRFGTNCRLSHGVDVPLSSLKKYTPTIWEQSHVGSNIWAVTDGKTGVWREAKLESWDGGALGVGKVVFRDDGSSANLGEEAITLSEYAQMSAEEESDSSSEQSDFSDDEDEGPQGLGFLESTILQRGIQTDTAIFAKWENHTRGIASKMMANMGYLEGTGLGASGQGIVDPISVKVLPAKQSLDLAVGSCEGKVEEGKENQGKKRSRGGKRKREKKFAALARAAKEEEESTPDVFSLINSQLAMHGEALNGGSGKKQQNKGSGEGKRVDRRALVAYDDEVKDLKVRVVKLEEMASRNKKEKVVYEAAMRKLTETRKALAEAEAAQASASNAVVSREKEKRWLKF >ONI35787 pep chromosome:Prunus_persica_NCBIv2:G1:45301544:45301765:-1 gene:PRUPE_1G554700 transcript:ONI35787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLKTVTQNFNTNPIYSSHSQICKHNFPKSYHKTISSNLIQLYSCNPKQLKPFTNSNSNSSTHNMEPNYTSS >ONI26882 pep chromosome:Prunus_persica_NCBIv2:G1:3681818:3683661:-1 gene:PRUPE_1G052600 transcript:ONI26882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVLLLTQPHPWRPKYSFHSLFQNPLPSSQPSRSYTPKYRRWDSNAETIRSQRFGFNLRDKGNKEEEEDADGDEEEEEDYNYNGSKEKKKRRWWSDDYSESEMEEGSGGILDEAIDSVWILKVFRSYGWAFPAIIVSLLLSTGAKAFLMALAFPLCQSAFSLAFEKLWGGTQSRPKRKSKTRRRRKPFASTVDNVKMDEEQETSNKKMDYQSWVVGNDVSVDNSGQNASGLGGWDDLERTESARRQSRRKPMGKGKLSKRERKSDTPLLFRLLIAVFPFLGSWTKMFW >ONI27372 pep chromosome:Prunus_persica_NCBIv2:G1:6112114:6119524:-1 gene:PRUPE_1G082500 transcript:ONI27372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYQKCHRCFLQVFKAAHSYRHIENLSDFSPLFFTGVLEAVVAALFMNIYIVGLNQLSDIDIDKVNKPYLPLASGEYSVGTGIMIVTSFLLLSFWLGWVVGSWPLFWALFISFVLGTAYSINLPLLRWKRSAVVAAMCILAVRAVIVQLAFFLHMQDIPDIDGDKIFGIRSFTVRLGQKRVFWICISLLEMAYSVAVLVGASSSFLMSKCITVLGHAILASILWNRAKSVDLNSKAAITTFYMFIWKLFYAEYLLIPLVR >ONI27371 pep chromosome:Prunus_persica_NCBIv2:G1:6112572:6119233:-1 gene:PRUPE_1G082500 transcript:ONI27371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSALLVSFPNASVGGNGWRKGNLNKSFSGSYAAVRVSRSRAWDILERYCGVGSQQHLLKHHTRNTKEKSAFYRRHGKRLLVNATAGHPLESEPGACNSKSKWNSIKNAIDAFYRFSRPHTVIGTALSIISVSLLAVENLSDFSPLFFTGVLEAVVAALFMNIYIVGLNQLSDIDIDKVNKPYLPLASGEYSVGTGIMIVTSFLLLSFWLGWVVGSWPLFWALFISFVLGTAYSINLPLLRWKRSAVVAAMCILAVRAVIVQLAFFLHMQIHVYKRPAAFSRPLIFATAFMSFFSVVIALFKDIPDIDGDKIFGIRSFTVRLGQKRVFWICISLLEMAYSVAVLVGASSSFLMSKCITVLGHAILASILWNRAKSVDLNSKAAITTFYMFIWKLFYAEYLLIPLVR >ONI27373 pep chromosome:Prunus_persica_NCBIv2:G1:6112572:6118352:-1 gene:PRUPE_1G082500 transcript:ONI27373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYQKCHRCFLQVFKAAHSYRHIENLSDFSPLFFTGVLEAVVAALFMNIYIVGLNQLSDIDIDKVNKPYLPLASGEYSVGTGIMIVTSFLLLSFWLGWVVGSWPLFWALFISFVLGTAYSINLPLLRWKRSAVVAAMCILAVRAVIVQLAFFLHMQIHVYKRPAAFSRPLIFATAFMSFFSVVIALFKDIPDIDGDKIFGIRSFTVRLGQKRVFWICISLLEMAYSVAVLVGASSSFLMSKCITVLGHAILASILWNRAKSVDLNSKAAITTFYMFIWKLFYAEYLLIPLVR >ONI27374 pep chromosome:Prunus_persica_NCBIv2:G1:6112114:6119130:-1 gene:PRUPE_1G082500 transcript:ONI27374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYQKCHRCFLQVFKAAHSYRHIENLSDFSPLFFTGVLEAVVAALFMNIYIVGLNQLSDIDIDKVNKPYLPLASGEYSVGTGIMIVTSFLLLSFWLGWVVGSWPLFWALFISFVLGTAYSINLPLLRWKRSAVVAAMCILAVRAVIVQLAFFLHMQIHVYKRPAAFSRPLIFATAFMSFFSVVIALFKDIPDIDGDKIFGIRSFTVRLGQKRVFWICISLLEMAYSVAVLVGASSSFLMSKCITVLGHAILASILWNRAKSVDLNSKAAITTFYMFIWKLFYAEYLLIPLVR >ONI27370 pep chromosome:Prunus_persica_NCBIv2:G1:6112114:6119524:-1 gene:PRUPE_1G082500 transcript:ONI27370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSALLVSFPNASVGGNGWRKGNLNKSFSGSYAAVRVSRSRAWDILERYCGVGSQQHLLKHHTRNTKEKSAFYRRHGKRLLVNATAGHPLESEPGACNSKSKWNSIKNAIDAFYRFSRPHTVIGTALSIISVSLLAVENLSDFSPLFFTGVLEAVVAALFMNIYIVGLNQLSDIDIDKVNKPYLPLASGEYSVGTGIMIVTSFLLLSFWLGWVVGSWPLFWALFISFVLGTAYSINLPLLRWKRSAVVAAMCILAVRAVIVQLAFFLHMQDIPDIDGDKIFGIRSFTVRLGQKRVFWICISLLEMAYSVAVLVGASSSFLMSKCITVLGHAILASILWNRAKSVDLNSKAAITTFYMFIWKLFYAEYLLIPLVR >ONI34163 pep chromosome:Prunus_persica_NCBIv2:G1:38869889:38877330:-1 gene:PRUPE_1G466100 transcript:ONI34163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRIENSHGTEIPRKSRSLDLKSLYKSRTTKEVPTKSLKRKGSAEDGDENRDKKKKSRKEVSLSSLKNVNTSSKKSLDEVYHSGLNSGSHDPEAVKCGSSQILDSGSGFNGVSSLSLGNNVIQIPRRKRGFVGRKKFEGGQVLKLPDQSAGKVGLVDQNHQIAKLNVDDLGTQDELLNVKRKKGRDDFKENIDSELNSAPHADKEGVHTSHSVVSNGDSSLKKSRRNRRKRKDLACDSKIAAKEAEPLVDSSTKTCHDSQEDNEENRRNRRNRRKRKDLARDSKIAAKEAEPLVDSSTKTCHDSQEDNEENRRSRRKRKDLACGSKSAAKEADPLVDSSTKSCHDLQEDDEENLEENAARMLSSRFDPSCTGFSSNNKASALESANGLSFLLSSGQDFDSRRSKSISGSESPSVDNSGRVLRPRKQHKEKGHSRKRRHFYEVFLGNLDAYWVTNRRIKVFWPLDQTWYYGLVNDYDKEKKLHHVKYDDRDEEWIDLQNERFKLLLLPSEVPGKIERKKSTQRNRSSVERKGNLKPRKEKKKRELTSEDDSCMGSYMDTEPIISWLARSNRRVKSPSCAVKKQKTSGLSLKPPLSDEDVMLHGSLGDGSFRRDKIRTSHNSGRSSDVLRQEKPTSQGSTCPRDSKMPIVYFRRRRKTGSVLSHTSKGNHAYVSELGSITSFVPVKEIGDLEEPYDFVRRLDANGPLWYIDDAGLLKLTLPRTEAGKVTFELGVPMHSTINDSFGVEFSLFHAAMLHRYGTVVITWPKVYLEMLFVDNVVGLRFLLFEGCLEQAVAFVFLVLALFHHPIEQGKFLDFQLPVTSIRFKFSCVQLLRKQLVFAVYNFSQVKKSKWKYLDSKVRSHCLLTKKLPLSECTYDSIQALQNGTNQSPFMSLCGRPSSVKGTRRRSRQGINFMGGSRESTFVNISHSTSHSDELPRKLPPLALSFTAAPTFFLSLHLKLLMEHCVANICFRDPDSVELLGNSGSMLAVDCSSVEDFFNRGSKITHENNLKASPGNATSDHSFSKPETETALALCNGGWTKSSQHYQNGVLSVAGSSTGTEVPEKTGTDAVVHHPESDQCSLSPKHLVGKEKSDTDSQSFLNGLTVEIPSFDRFEKPVDGEVQSAQQPTDCSWNMSGSIIPSPNPTAPRSTWHRSRNSSSSFGSLSHGWSDGKADLFHNGFGNGPKKPRTQVSYTLPYGGFDFSSKQRNLQKGIPPKRIRRANEKRLSDVSRGSQRNLEQLSCEANVLINGSDRGWRECGAHIVLELFDHNEWKLAVKISGTTKYSYKAHQFLQPGSTNRYTHAMMWKGGKDWILEFPDRSQWALFREMHEECYNRNIRSALVKNIPIPGVRLIEESDDNGAEISFLRSSTKYFRQTETDVEMALDPSRVLYDMDSDDEQWIMKFQNSSEVDNSSSIEIDEEMFEKTMDMFEKAAYAQQCDQFTYEEIEEFVAVVGPMDVIKTIYEHWRGKRLRKGMPLIRHLQPSAWERYQQQVREWEQAMIKTNTILPNGCHEKAASVEKPPMFAFCLKPRGLEVPNKGSKQRSQKRFSVSGHSSGMLGDQDGFHAIGRRSNGFAFGDEKVVYPGHNYDSLDDSPLSQTSPRVFSPRDATNILISNDGFERNHLHRIHRSKSKKFGRTVSPVEPQMVSPYSHRVVGNRNGVQRWNTGFPDWSSQRYYQTDGPQRHDMGLLDGPDLDEFRLRDASGAAQHAHNVARLKREKAQKLFYRADLAIHKAVVSLMTAEAIKGSSEDSDSEG >ONI34164 pep chromosome:Prunus_persica_NCBIv2:G1:38870677:38876731:-1 gene:PRUPE_1G466100 transcript:ONI34164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRIENSHGTEIPRKSRSLDLKSLYKSRTTKEVPTKSLKRKGSAEDGDENRDKKKKSRKEVSLSSLKNVNTSSKKSLDEVYHSGLNSGSHDPEAVKCGSSQILDSGSGFNGVSSLSLGNNVIQIPRRKRGFVGRKKFEGGQVLKLPDQSAGKVGLVDQNHQIAKLNVDDLGTQDELLNVKRKKGRDDFKENIDSELNSAPHADKEGVHTSHSVVSNGDSSLKKSRRNRRKRKDLACDSKIAAKEAEPLVDSSTKTCHDSQEDNEENRRNRRNRRKRKDLARDSKIAAKEAEPLVDSSTKTCHDSQEDNEENRRSRRKRKDLACGSKSAAKEADPLVDSSTKSCHDLQEDDEENLEENAARMLSSRFDPSCTGFSSNNKASALESANGLSFLLSSGQDFDSRRSKSISGSESPSVDNSGRVLRPRKQHKEKGHSRKRRHFYEVFLGNLDAYWVTNRRIKVFWPLDQTWYYGLVNDYDKEKKLHHVKYDDRDEEWIDLQNERFKLLLLPSEVPGKIERKKSTQRNRSSVERKGNLKPRKEKKKRELTSEDDSCMGSYMDTEPIISWLARSNRRVKSPSCAVKKQKTSGLSLKPPLSDEDVMLHGSLGDGSFRRDKIRTSHNSGRSSDVLRQEKPTSQGSTCPRDSKMPIVYFRRRRKTGSVLSHTSKGNHAYVSELGSITSFVPVKEIGDLEEPYDFVRRLDANGPLWYIDDAGLLKLTLPRTEAGKVTFELGVPMHSTINDSFGVEFSLFHAAMLHRYGTVVITWPKVYLEMLFVDNVVGLRFLLFEGCLEQAVAFVFLVLALFHHPIEQGKFLDFQLPVTSIRFKFSCVQLLRKQLVFAVYNFSQVKKSKWKYLDSKVRSHCLLTKKLPLSECTYDSIQALQNGTNQSPFMSLCGRPSSVKGTRRRSRQGINFMGGSRESTFVNISHSTSHSDELPRKLPPLALSFTAAPTFFLSLHLKLLMEHCVANICFRDPDSVELLGNSGSMLAVDCSSVEDFFNRGSKITHENNLKASPGNATSDHSFSKPETETALALCNGGWTKSSQHYQNGVLSVAGSSTGTEVPEKTGTDAVVHHPESDQCSLSPKHLVGKEKSDTDSQSFLNGLTVEIPSFDRFEKPVDGEVQSAQQPTDCSWNMSGSIIPSPNPTAPRSTWHRSRNSSSSFGSLSHGWSDGKADLFHNGFGNGPKKPRTQVSYTLPYGGFDFSSKQRNLQKGIPPKRIRRANEKRLSDVSRGSQRNLEQLSCEANVLINGSDRGWRECGAHIVLELFDHNEWKLAVKISGTTKYSYKAHQFLQPGSTNRYTHAMMWKGGKDWILEFPDRSQWALFREMHEECYNRNIRSALVKNIPIPGVRLIEESDDNGAEISFLRSSTKYFRQTETDVEMALDPSRVLYDMDSDDEQWIMKFQNSSEVDNSSSIEIDEEMFEKTMDMFEKAAYAQQCDQFTYEEIEEFVAVVGPMDVIKTIYEHWRGKRLRKGMPLIRHLQPSAWERYQQQVREWEQAMIKTNTILPNGCHEKAASVEKPPMFAFCLKPRGLEVPNKGSKQRSQKRFSVSGHSSGMLGDQDGFHAIGRRSNGFAFGDEKVVYPGHNYDSLDDSPLSQTSPRVFSPRDATNILISNDGFERNHLHRIHRSKSKKFGRTVSPVEPQMVSPYSHRVVGNRNGVQRWNTGFPDWSSQRYYQTDGPQRHDMGLLDGPDLDEFRLRDASGAAQHAHNVARLKREKAQKLFYRADLAIHKAVVSLMTAEAIKGSSEDSDSEG >ONI28596 pep chromosome:Prunus_persica_NCBIv2:G1:11793638:11797081:-1 gene:PRUPE_1G150400 transcript:ONI28596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCLSCLAASTCGLCGSVAAGISRKSARLAYCGLFGLSLIVSWILREVGAPLLKKIPWISSSQTHTKEWYQIEAVLRVSLGNFLFFVTFALIMIGVKDQNDRRDSWHHGGWIAKMVVWLLLVILMFFLPDIVITIYGVLSKFGAGLFLLVQVLILLDFTHSWNDAWVEKDEQKWYIALLVVSIVCYLAAFTISGIMFIWFNPSGEDCGLNIFFIVMTMVLAFSFAVIALHPQVNGSLLPASVISVYSAYVLYTALSSEPRGYACNGLHHSKAVSVSTLLLGMATTVLSVLYSALRAGSSTTFLSPPSSPRAAEKKPLLDGKELEEGKETKEKEAKPVSYSYTFFHLIFALASMYSAMLLSGWTDSSESSDLIDVGWTSVWVRICTEWVTAGLYVWSLVAPFLLPDREF >ONI28597 pep chromosome:Prunus_persica_NCBIv2:G1:11793068:11797237:-1 gene:PRUPE_1G150400 transcript:ONI28597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCLSCLAASTCGLCGSVAAGISRKSARLAYCGLFGLSLIVSWILREVGAPLLKKIPWISSSQTHTKEWYQIEAVLRVSLGNFLFFVTFALIMIGVKDQNDRRDSWHHGGWIAKMVVWLLLVILMFFLPDIVITIYGVLSKFGAGLFLLVQVLILLDFTHSWNDAWVEKDEQKWYIALLVVSIVCYLAAFTISGIMFIWFNPSGEDCGLNIFFIVMTMVLAFSFAVIALHPQVNGSLLPASVISVYSAYVLYTALSSEPRGYACNGLHHSKAVSVSTLLLGMATTVLSVLYSALRAGSSTTFLSPPSSPRAGAAEKKPLLDGKELEEGKETKEKEAKPVSYSYTFFHLIFALASMYSAMLLSGWTDSSESSDLIDVGWTSVWVRICTEWVTAGLYVWSLVAPFLLPDREF >ONI28598 pep chromosome:Prunus_persica_NCBIv2:G1:11793068:11797238:-1 gene:PRUPE_1G150400 transcript:ONI28598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCLSCLAASTCGLCGSVAAGISRKSARLAYCGLFGLSLIVSWILREVGAPLLKKIPWISSSQTHTKEWYQIEAVLRVSLGNFLFFVTFALIMIGVKDQNDRRDSWHHGGWIAKMVVWLLLVILMFFLPDIVITIYGVLSKFGAGLFLLVQVLILLDFTHSWNDAWVEKDEQKWYIALLVVSIVCYLAAFTISGIMFIWFNPSGEDCGLNIFFIVMTMVLAFSFAVIALHPQVNGSLLPASVISVYSAYVLYTALSSEPRGYACNGLHHSKAVSVSTLLLGMATTVLSVLYSALRAGSSTTFLSPPSSPRAQLKRNLFSMAKSWKKGKKPRKKKQSLLVIPILFST >ONI26260 pep chromosome:Prunus_persica_NCBIv2:G1:1013348:1016455:1 gene:PRUPE_1G013300 transcript:ONI26260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSAAAPPGILNCSSPPLKPRVSFAVSRTDLTPTLPSLRASSSSLNPSRHLKLKHMDSQRSLSPRASLAENNGSTLTDSPTPSNSGVRIGEVKRVTKETNVSVKINLDGTGVADSSTGIPFLDHMLDQLASHGLLDVHVRAIGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGDFSAPLDEALIHVSLLVEHFFQSLVNTSGMTLHIRQLAGRNSHHIIEATFKAFARALRQATEHDRRRLGTVPSSKGVLSRS >ONI26261 pep chromosome:Prunus_persica_NCBIv2:G1:1013348:1015425:1 gene:PRUPE_1G013300 transcript:ONI26261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSAAAPPGILNCSSPPLKPRVSFAVSRTDLTPTLPSLRASSSSLNPSRHLKLKHMDSQRSLSPRASLAENNGSTLTDSPTPSNSGVRIGEVKRVTKETNVSVKINLDGTGVADSSTGIPFLDHMLDQLASHGLLDVHVRAIGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGDFSAPLDEALIHVSLDLSGRPYLGYDLQIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQVHNLLHMSVTTPITIFF >ONI26259 pep chromosome:Prunus_persica_NCBIv2:G1:1013348:1016455:1 gene:PRUPE_1G013300 transcript:ONI26259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSAAAPPGILNCSSPPLKPRVSFAVSRTDLTPTLPSLRASSSSLNPSRHLKLKHMDSQRSLSPRASLAENNGSTLTDSPTPSNSGVRIGEVKRVTKETNVSVKINLDGTGVADSSTGIPFLDHMLDQLASHGLLDVHVRAIGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGDFSAPLDEALIHVSLDLSGRPYLGYDLQIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGRNSHHIIEATFKAFARALRQATEHDRRRLGTVPSSKGVLSRS >ONI27151 pep chromosome:Prunus_persica_NCBIv2:G1:5015356:5017804:1 gene:PRUPE_1G070700 transcript:ONI27151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIVSNSALLFIPPLPPVRTVASNSYSPTTSALALSSKKLFSGFFYSSGSQRRQLGLRNSSFSARTVPKFTVASGGGIQQINETQFQDTVLNSDRPVLVEFVANWCGPCRLISPAMEWVAQEYRDRLTVVKIDHDANPKLIEEYKVYGLPALILFTNGQEVPESRREGAITKVKLKEYVDALLESVSVA >ONI28580 pep chromosome:Prunus_persica_NCBIv2:G1:11710974:11713998:-1 gene:PRUPE_1G149400 transcript:ONI28580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCSHPPVLNPSSGSGHVEKFGGLDSYVTGSPHSKLAILFLSDIFGFQAPKLRKFADKVAAAGYFVVVPDFFYGDPFVLADDGSFDRLPVWLKDHSPEKGFEDAKLVIEALKSKGVSAIGAAGFCWGAKVAVELGKHDHDFIHAGVLLHPAFTTVDDIKEVKVPIAILGAEFDHITPPEVLKQWEEVLIAKTEIKSYVKIFPEVEHGWTTRYNVEDEKSVKSAEEAHQDLLEWFSNHVK >ONI33063 pep chromosome:Prunus_persica_NCBIv2:G1:35517855:35520613:1 gene:PRUPE_1G403300 transcript:ONI33063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQAIRTCAALVACLAIFQGLVLCVHAHGDVNYKDALTKSIIFLEAQRSGKLPTSNRLAWRGDSAIDDGKQANVDLAGGYYDAGDNVKYGLPMAFTVTTLSWAAIFYKTQLQATGELENVLSGIKWGTDYFLKASARKGRLYVQVGDPNQDHQCWVRPEDMQTPRTVLEINEKTPGTEIAAETSAAMAASAIVFRSIDRVYSRRLLNKAKLLFQLAKSHKGTYDGECPFYCSYSGYNDELLWAATWLYMASGKPMYLKYIQEEAISANVNEFSWDLKYAGAQILLTKLFFKGEKTLENYKNQAESYICSNLPDSPYNQITMSPGGLIHLRDGANTQYVTGTAFLFSVYSDLLAHHNQKVNCGGKQFDSEAIAAFAKKQMDYLLGQNPKGRSYMVGFGPNAPKQPHHRGASVPTSGSNGVSCAMSFVYWFKKDVPNPNELTGAIVGGPDRFDNFEDKRWASSYTEPCTYVNSLAVGALAKLAA >ONI35854 pep chromosome:Prunus_persica_NCBIv2:G1:45479886:45482271:1 gene:PRUPE_1G557200 transcript:ONI35854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILQMSGIKLVVLHKHLRNKRREDKHEKEKVQRWKNALTQAADLCGEDLKNADNGNEAKFIKKILGEVNKQLYSKYQLDNEHLVGITSRVKVLSNFLDIEKSGSKDVVRMIGILGMGGIGKTTLAKTIYNKFERIFEGRSFLANVREVIAHQPINGLVGLQEQLLNDILKNEGIKVGSVAKGTEMIKKRLPCKRALVIIDDVDDLQQLEEIARARDWFGPGSRIIITTRNKHLLVQVGVDSTYVAEEMDEEEALELFSWHAFKRGYPDQEYLDLSKRVIRYCQGLPLALRVVGSFLIKRTALEWESQLERLERSPHEAITKILRISFDGLPDRIDRSTFLDISCFFIGMDKEYVTQILDGCGFSATLGIPILIERCLVTVSEQNKLMMHDLLRDMGREIVYENADGHPENFSRLWKREDVTDILSDKSVSTFPVKF >ONI35853 pep chromosome:Prunus_persica_NCBIv2:G1:45479886:45482738:1 gene:PRUPE_1G557200 transcript:ONI35853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILQMSGIKLVVLHKHLRNKRREDKHEKEKVQRWKNALTQAADLCGEDLKNADNGNEAKFIKKILGEVNKQLYSKYQLDNEHLVGITSRVKVLSNFLDIEKSGSKDVVRMIGILGMGGIGKTTLAKTIYNKFERIFEGRSFLANVREVIAHQPINGLVGLQEQLLNDILKNEGIKVGSVAKGTEMIKKRLPCKRALVIIDDVDDLQQLEEIARARDWFGPGSRIIITTRNKHLLVQVGVDSTYVAEEMDEEEALELFSWHAFKRGYPDQEYLDLSKRVIRYCQGLPLALRVVGSFLIKRTALEWESQLERLERSPHEAITKILRISFDGLPDRIDRSTFLDISCFFIGMDKEYVTQILDGCGFSATLGIPILIERCLVTVSEQNKLMMHDLLRDMGREIVYENADGHPENFSRLWKREDVTDILSDKSGTKKIGGVALDSDLDMTRFSAQAFTNMKKLRLLHLSRVELTGEFKDFPKNLMWLSWHYFPLESMPDDFPMQPKLVVLDLQYNSLKILWKDCKV >ONI33329 pep chromosome:Prunus_persica_NCBIv2:G1:36213747:36215842:1 gene:PRUPE_1G417400 transcript:ONI33329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKRGPWSPEEDTKLKSYIEQHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIRHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQLARRSSSGLIKQDIRRSSGSGGGGSNSHPMVPAADDQSPYWPELPVLAVPTVLPYSPSIQQHQHQQQHQPCFNDHASIRKLLIKLGGRFNSDVHHQDDTNVQPIQDGPTINLQFPPALDSAQQVYEQQSSAPVAFIRNSNDIMVSSHHHQFEQTPYMVNNNTDDIIGDGMHMFQGQNGNYVTDQLKEMVYNNMNPQRLDALEFLYGEDMMMVMNGESIGWGEMQLICPPTHVASSSVDADGGSYERLVPQELCGFNNGLSSYPAGVQ >ONI36021 pep chromosome:Prunus_persica_NCBIv2:G1:46158687:46159832:-1 gene:PRUPE_1G565400 transcript:ONI36021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSKLEWNLQLSTIAYCGVVISALAYYLQTWCISYKGPVFAAMFIPLQVIIVALFLAIAFAERLQFGSLIGAFLIIVGLYCVLWGKKERQSCC >ONI36491 pep chromosome:Prunus_persica_NCBIv2:G1:47661747:47663771:-1 gene:PRUPE_1G587100 transcript:ONI36491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRSENFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERYQKGEISLCRVYKRAGVEDHPSLPRSLPASKASTSRSSVLVAPSTTISSDNKKQHNTSTNINNNNINTVHSIMEKLQAFNEGQSQQFHQDHEHQVDQKMSVEPEGSSGNSDVTTVLGLSKRNAYHHNNIGQAHHHPLDQEEGMAAFLHQSNSKQAAANCFSLIPSSTNSSATLFTAGSSSVSSSNMNAIDDLHRLLTYQHVQQQAAAASSHHQQQHVHHHVVQYYDDAHHHPQPNNLFSTFPAVAPHVQSAIPPQQLAPNALPTAFSDRLWDWNPISDPNRPDYNNPFK >ONI36488 pep chromosome:Prunus_persica_NCBIv2:G1:47661676:47664477:-1 gene:PRUPE_1G587100 transcript:ONI36488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAATTSSSSTMSVNDESNKADHDHDHHHDGGDDDDEHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRSENFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERYQKGEISLCRVYKRAGVEDHPSLPRSLPASKASTSRSSVLVAPSTTISSDNKKQHNTSTNINNNNINTVHSIMEKLQAFNEGQSQQFHQDHEHQVDQKMSVEPEGSSGNSDVTTVLGLSKRNAYHHNNIGQAHHHPLDQEEGMAAFLHQSNSKQAAANCFSLIPSSTNSSATLFTAGSSSVSSSNMNAIDDLHRLLTYQHVQQQAAAASSHHQQQHVHHHVVQYYDDAHHHPQPNNLFSTFPAVAPHVQSAIPPQQLAPNALPTAFSDRLWDWNPISDPNRPDYNNPFKVLV >ONI36490 pep chromosome:Prunus_persica_NCBIv2:G1:47661916:47664396:-1 gene:PRUPE_1G587100 transcript:ONI36490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNDESNKADHDHDHHHDGGDDDDEHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRSENFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERYQKGEISLCRVYKRAGVEDHPSLPRSLPASKASTSRSSVLVAPSTTISSDNKKQHNTSTNINNNNINTVHSIMEKLQAFNEGQSQQFHQDHEHQVDQKMSVEPEGSSGNSDVTTVLGLSKRNAYHHNNIGQAHHHPLDQEEGMAAFLHQSNSKQAAANCFSLIPSSTNSSATLFTAGSSSVSSSNMNAIDDLHRLLTYQHVQQQAAAASSHHQQQHVHHHVVQYYDDAHHHPQPNNLFSTFPAVAPHVQSAIPPQQLAPNALPTAFSDRLWDWNPISDPNRPDYNNPFK >ONI36489 pep chromosome:Prunus_persica_NCBIv2:G1:47661971:47664111:-1 gene:PRUPE_1G587100 transcript:ONI36489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAATTSSSSTMSVNDESNKADHDHDHHHDGGDDDDEHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRSENFRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERYQKGEISLCRVYKRAGVEDHPSLPRSLPASKASTSRSSVLVAPSTTISSDNKKQHNTSTNINNNNINTVHSIMEKLQAFNEGQSQQFHQDHEHQVDQKMSVEPEGSSGNSDVTTVLGLSKRNAYHHNNIGQAHHHPLDQEEGMAAFLHQSNSKQAAANCFSLIPSSTNSSATLFTAGSSSVSSSNMNAIDDLHRLLTYQHVQQQAAAASSHHQQQHVHHHVVQYYDDAHHHPQPNNLFSTFPAVAPHVQSAIPPQQLAPNALPTAFSDRLWDWNPISDPNRPDYNNPFK >ONI31923 pep chromosome:Prunus_persica_NCBIv2:G1:31970995:31972061:1 gene:PRUPE_1G339500 transcript:ONI31923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLLLSWPLAGSQNGAKFSRRFSRPSFLVSVLIIISSNTHHHQTPPNINPQINASSSSLTFSNLIIS >ONI26454 pep chromosome:Prunus_persica_NCBIv2:G1:1801994:1804254:1 gene:PRUPE_1G026000 transcript:ONI26454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDILGACGIFGRFQIDSFNVIERWFLGLKWWISLFGHARRGVEGIAHFREACQRHRMRHMMTRCRKYHSR >ONI33574 pep chromosome:Prunus_persica_NCBIv2:G1:37080107:37081927:-1 gene:PRUPE_1G433500 transcript:ONI33574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMMDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPATDHIVSWGEDDATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTAQPHQVGFSHHHHHHHNSHSPLGINGHHHPSFFPFPSRGSISPSDSDEPPNWCDSDSPPLPSPTGGINNHNNNNNNFMSINNASVTGLAEDNERLRRSNSMLMSELAHMRKLYNDIIYFVQNHVKPVAPSNSYPSSLLLCNPPPNSMAPAATATKPSNNFNQLLGYYPAPATNAKQTPHMSTTTHHHVMNSSSPSNTTSKSSSVTILEDQQQPSSNGCKNTKLFGVPLLHSKKRLHPEEYGSNHGTSMMEASKARLILEKDDLGLHLMPPSAC >ONI26452 pep chromosome:Prunus_persica_NCBIv2:G1:1784307:1785488:-1 gene:PRUPE_1G025800 transcript:ONI26452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGTSKGILEIAKFGLYVSVPIVLMYTFANNTTNLQRFMGNHSYVVYPPEAPRPPSPEEMREMARELARKNNAR >ONI26612 pep chromosome:Prunus_persica_NCBIv2:G1:2434293:2435128:-1 gene:PRUPE_1G034700 transcript:ONI26612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPRPSSTINRRQPSRLQRRAPPPSSLQINRVPDWNIAIPLLSPLVSPDCPIDTTKSRDQHQQKEMPRHHQGAEAEKSAPAMVFKKWQHPAAPFCYEPAPFVSAFVPV >ONI32959 pep chromosome:Prunus_persica_NCBIv2:G1:35138652:35139210:-1 gene:PRUPE_1G396100 transcript:ONI32959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTAQQLIQYNGTDPSKPIYVALKGRVFDVTDGKSFYGPGGPYAMFAGKDASRALAKMTKNEEDITASLDGLSEKEIGVLTDWEKKFEAKYPVVGRVVS >ONI34000 pep chromosome:Prunus_persica_NCBIv2:G1:38367343:38370141:-1 gene:PRUPE_1G458000 transcript:ONI34000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLSPRVSEADLEDEFRVFGVIRSVWVARRPPGYAFVDFDDSRDAEDAIREIDGKNGWRVELSHNSRGGGGGGRGGGRGRSGGSDLKCYECGEPGHFARECRLRGGSGRRRSRSPPRYRRSPSYGRRSYSPRGRSPRRRSLTPRGNSRSRSPPYRGREELPYANGNGLKDRRRSRS >ONI33999 pep chromosome:Prunus_persica_NCBIv2:G1:38365333:38370081:-1 gene:PRUPE_1G458000 transcript:ONI33999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLSPRVSEADLEDEFRVFGVIRSVWVARRPPGYAFVDFDDSRDAEDAIREIDGKNGWRVELSHNSRGGGGGGRGGGRGRSGGSDLKCYECGEPGHFARECRLRGGSGRRRSRSPPRYRRSPSYGRRSYSPRGRSPRRRSLTPRGNSRSRSPPYRGREELPYANGNGLKDRRRSRS >ONI34001 pep chromosome:Prunus_persica_NCBIv2:G1:38367585:38370074:-1 gene:PRUPE_1G458000 transcript:ONI34001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLSPRVSEADLEDEFRVFGVIRSVWVARRPPGYAFVDFDDSRDAEDAIREIDGMYKIGVELSHNSRGGGGGGRGGGRGRSGGSDLKCYECGEPGHFARECRLRGGSGRRRSRSPPRYRRSPSYGRRSYSPRGRSPRRRSLTPRGNSRSRSPPYRGREELPYANGNGLKDRRRSRS >ONI33998 pep chromosome:Prunus_persica_NCBIv2:G1:38367487:38370105:-1 gene:PRUPE_1G458000 transcript:ONI33998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLSPRVSEADLEDEFRVFGVIRSVWVARRPPGYAFVDFDDSRDAEDAIREIDGKNGWRVELSHNSRGGGGGGRGGGRGRSGGSDLKCYECGEPGHFARECRLRGGSGRRRSRSPPRYRRSPSYGRRSYSPRGRSPRRRSLTPRGNSRSRSPPYRGREELPYANGNGLKDRRRSRS >ONI32353 pep chromosome:Prunus_persica_NCBIv2:G1:33369798:33375210:-1 gene:PRUPE_1G363000 transcript:ONI32353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVELASNKLSDGDSNPLPKMEQPTSSSKHRSVSLVGLFAAADKVDFVLMLFGSVGACIHGAVLPVFFVLFGRMIDSLGHLAKHPQQLSSRVSQHALYLVYLGLILFASAWIGVAFWMRTGERQTARLRLKYLQSVLKQDINFFDTEARDTNIIFHISSDAILVQDAIGDKTGHALRYLSQFIVGFGIGFTSVWRLTLLTLAVVPLIAIAGGAYTIIMSTLSEKGEAAYAEAGKVAEEVISQIRTVYSFGGEDRAIEAYSNSLNKALKLGKKGGFAKGVGVGFTYGLLFCAWALLLWYAGILVRHHDTNGGKAFTTIINVIFSGFALGQAAPNLAAIAKGRAAAANIMKMIETGSNSSKVSDNGIVLPKVSGQIDFCEVGFGYPSRPNRVLENLSFSIGAGKTFAVVGPSGSGKSTIISMIQRFYNPISGKILLDGHDVGILQLKWLREQMGLVNQEPALFATTIAGNILFGKEDADMDQIIEAAKAANAHSFIQGLPDGYYTQAGEGGTQLSGGQKQRIAIARAVLRNPKILLLDEATSALDAESEQIVQQALDKIMSHRTTIIVAHRLSTIRDVDTIIVLKNGQVVESGNHSELISKKGEYANLVSLQVLERVKDSKLTSGHSSRDSSFRETTNNYQQEAKPITTRQQNPSSAPTASIWELIKLNAPEWPYAILGSVGAVLAGMEAPLFALLITDILTAFYAPTGSQIKQEVKKVALIFVGVAVATVPIYLLQHYFYTLMGERLTTRVRLLMFTAMLSNEVGWFDLDENNTGALTSILAANATLVRSALADRLSTIVQNLALTATAFVIAFTLSWRIAAVVIASLPLLIGASIAEQLFLKGFGGDYNRAYSKATAVAREAIANIRTVAAFGCEERIAMQFASELNQPNKQAVIRGHISGFCYGLSQFFAFCSYALGLWYASILIKHKDSNFGDIMKSFMVLIITALSIAETLALTPDIVKGSQALGPIFRILKRETAINLNAPKSNVVADVKGDIEFRNVSFWYPARPDITIFDNLNLRVSAGKSLAVVGPSGSGKSSVIALVMRFYDPISGTVVIDGYDIKSLNLKSLRKKISLVQQEPALFSTTVYENIKYGNEEASDVEVITAAKAANADGFISRMPEGYKTQVGEKGVQLSGGQKQRVAIARAILKDPSILLLDEATSALDTESEKLVQEALDKLMEGRTTILVAHRLSTIRDANRIALLQNGRVVEMGSHEQLIGRPGSLYKQLVSLQQENSGGIH >ONI30656 pep chromosome:Prunus_persica_NCBIv2:G1:27333337:27334434:1 gene:PRUPE_1G264900 transcript:ONI30656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITKSDVKVLGMWPSPFVMRARISLNLKSVDYEFLQETFGSKSQLLLQSNPVHKKVPVLIHGDKPICESLVIVEYIDEVWASGPSILPSDPYDRATARFWAAYVSEKWYPSLKGIGAAQEEEAKKAAVEQVEEGLALLEEAYEKSSKGKDFFGGDEIGYLDIAFGCFLGWLRVIEKLNGVKLLDQTKTPGLVNWADKFCAHSAVKDVMPETDKLAEIAKILAAKLRGAAAPPK >ONI27702 pep chromosome:Prunus_persica_NCBIv2:G1:8002272:8005119:1 gene:PRUPE_1G100400 transcript:ONI27702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGAKKRKEENGRHMANLRRLIVACNVIYVLLRMLIFHSTFTWKNWVALLLTSLAYYIPYKQLAQMATPSYGNDGELLDGGFDMTTGGVCGYMHDVIYITSFVQVMSIISGKFWYTYLLIPGFGVYKSWGFIKGFMSQGSEGGVEDEKTRKKREKMEKKASRGQFVKTRSR >ONI27703 pep chromosome:Prunus_persica_NCBIv2:G1:8000937:8005119:1 gene:PRUPE_1G100400 transcript:ONI27703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGAKKRKEENGRHMANLRRLIVACNVIYVLLRMLIFHSTFTWKNWVALLLTSLAYYIPYKQLAQMATPSYGNDGELLDGGFDMTTGGVCGYMHDVIYITSFVQVMSIISGKFWYTYLLIPGFGVYKSWGFIKGFMSQGSEGGVEDEKTRKKREKMEKKASRGQFVKTRSR >ONI27701 pep chromosome:Prunus_persica_NCBIv2:G1:8001769:8005119:1 gene:PRUPE_1G100400 transcript:ONI27701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGAKKRKEENGRHMANLRRLIVACNVIYVLLRMLIFHSTFTWKNWVALLLTSLAYYIPYKQLAQMATPSYGNDGELLDGGFDMTTGGVCGYMHDVIYITSFVQVMSIISGKFWYTYLLIPGFGVYKSWGFIKGFMSQGSEGGVEDEKTRKKREKMEKKASRGQFVKTRSR >ONI27704 pep chromosome:Prunus_persica_NCBIv2:G1:8000937:8005135:1 gene:PRUPE_1G100400 transcript:ONI27704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGAKKRKEENGRHMANLRRLIVACNVIYVLLRMLIFHSTFTWKNWVALLLTSLAYYIPYKQLAQMATPSYGNDGELLDGGFDMTTGGVCGYMHDVIYITSFVQVMSIISGKFWYTYLLIPGFGVYKSWGFIKGFMSQGSEGGVEDEKTRKKREKMEKKASRGQFVKTRSR >ONI32817 pep chromosome:Prunus_persica_NCBIv2:G1:34697239:34699524:1 gene:PRUPE_1G387900 transcript:ONI32817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSVKAALVYLGTALVKLVCLATFLKVSDNESFDPYQEFLKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYTCAGIVATMPSITSYLRRGLGWHFPEVVGFQLFTSLVMAFISWQLFAACQRPSA >ONI32456 pep chromosome:Prunus_persica_NCBIv2:G1:33730210:33735751:-1 gene:PRUPE_1G369200 transcript:ONI32456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQVEKKVEEEESKNVEKSGELLFCGGTSWDVIGRRKGAVEGNLISPTRLRPLVGIDIRFVASGCSSCHCVALDVEGRCYTWGRNEKGQLGHGDSIQRDRPTVVSELSKHKVVRAASGRSHSVVITEDGYSFAFGWNKHGQLGSGSAKNEIEKSPVRCQVSDVKNTACGADFTVWLSCVEGASILTAGLPQYGQLGHGTDNEYNTKDSSVRLAYEAQPRPKAITSLAGETIVKVACGTNHTVAVDANGYVYTWGFGGYGRLGHREQKDEWAPRRVDVFQRQNLLPPDAVLSAGSVNSACTAGGGQLYMWGKIKTTGDDWMYPKPLMDLSGWNLRCMDSGNMHHFVGADSSCISWGHAQYGELGYGPNGQKSSAVPKKVEILDGMHVMSVACGMGHSMVIVDRTNVGDRLDQLDVYDGKASVEGSEEPLSGPPVSKQTPKKGASKATQNSKRKKSKDSSDSEEEDDNDGDSEDEDSDESDEQVNGRTEPKRQRGGKASGRGRGNGAKKAAPAEGKGAGRGRGRPPSANKSPKPAQGKTGTGKRGRPRK >ONI32457 pep chromosome:Prunus_persica_NCBIv2:G1:33730464:33735246:-1 gene:PRUPE_1G369200 transcript:ONI32457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQVEKKVEEEESKNVEKSGELLFCGGTSWDVIGRRKGAVEGNLISPTRLRPLVGIDIRFVASGCSSCHCVALDVEGRCYTWGRNEKGQLGHGDSIQRDRPTVVSELSKHKVVRAASGRSHSVVITEDGYSFAFGWNKHGQLGSGSAKNEIEKSPVRCQVSDVKNTACGADFTVWLSCVEGASILYGLQTAGLPQYGQLGHGTDNEYNTKDSSVRLAYEAQPRPKAITSLAGETIVKVACGTNHTVAVDANGYVYTWGFGGYGRLGHREQKDEWAPRRVDVFQRQNLLPPDAVLSAGSVNSACTAGGGQLYMWGKIKTTGDDWMYPKPLMDLSGWNLRCMDSGNMHHFVGADSSCISWGHAQYGELGYGPNGQKSSAVPKKVEILDGMHVMSVACGMGHSMVIVDRTNVGDRLDQLDVYDGKASVEGSEEPLSGPPVSKQTPKKGASKATQNSKRKKSKDSSDSEEEDDNDGDSEDEDSDESDEQVNGRTEPKRQRGGKASGRGRGNGAKKAAPAEGKGAGRGRGRPPSANKSPKPAQGKTGTGKRGRPRK >ONI34458 pep chromosome:Prunus_persica_NCBIv2:G1:40310513:40314851:1 gene:PRUPE_1G483500 transcript:ONI34458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRYRAGLFLIATVVVIWVTSAEVTQDIFTDYKQPFAVTYLGASLMVVYIPIAFIKDWLCNLLKRRSSKSGKNAESMNEFSAGFSSPLKHIGGQKDFELEIHGSLTRKDSDADLSPCAEAIPLVSKYKDDLTVPKHDKEVTARQIATYGFYIAPLWFFTEYFSNAALARTSVASTTVLSSTSGLFTLFVGAFLGEDSLNIAKVVAVFVSMAGVAMTTLGKTWATDESQLSAANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEGGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLEEVVIANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMFIHGRHYSAVYILGSAQVFAGFVIANLSDWFSKKLGL >ONI34460 pep chromosome:Prunus_persica_NCBIv2:G1:40310513:40314851:1 gene:PRUPE_1G483500 transcript:ONI34460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFSAGFSSPLKHIGGQKDFELEIHGSLTRKDSDADLSPCAEAIPLVSKYKDDLTVPKHDKEVTARQIATYGFYIAPLWFFTEYFSNAALARTSVASTTVLSSTSGLFTLFVGAFLGEDSLNIAKVVAVFVSMAGVAMTTLGKTWATDESQLSAANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEGGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLEEVVIANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMFIHGRHYSAVYILGSAQVFAGFVIANLSDWFSKKLGL >ONI34459 pep chromosome:Prunus_persica_NCBIv2:G1:40310513:40314851:1 gene:PRUPE_1G483500 transcript:ONI34459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRYRAGLFLIATVVVIWVTSAEVTQDIFTDYKQPFAVTYLGASLMVVYIPIAFIKDWLCNLLKRRSSKSEAIPLVSKYKDDLTVPKHDKEVTARQIATYGFYIAPLWFFTEYFSNAALARTSVASTTVLSSTSGLFTLFVGAFLGEDSLNIAKVVAVFVSMAGVAMTTLGKTWATDESQLSAANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEGGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLEEVVIANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMFIHGRHYSAVYILGSAQVFAGFVIANLSDWFSKKLGL >ONI34461 pep chromosome:Prunus_persica_NCBIv2:G1:40310513:40314851:1 gene:PRUPE_1G483500 transcript:ONI34461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFSAGFSSPLKHIGGQKDFELEIHGSLTRKDSDADLSPCAEAIPLVSKYKDDLTVPKHDKEVTARQIATYGFYIAPLWFFTEYFSNAALARTSVASTTVLSSTSGLFTLFVGAFLGEDSLNIAKVVAVFVSMAGVAMTTLGKTWATDESQLSAANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEGGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLEEVVIANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMFIHGRHYSAVYILGSAQVFAGFVIANLSDWFSKKLGL >ONI27745 pep chromosome:Prunus_persica_NCBIv2:G1:8239547:8240756:1 gene:PRUPE_1G103100 transcript:ONI27745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANNGEDRDNKFLRKAVEEAYKGVECGDGGPFGAVVVHNDEVLVSCHNMVLRNTDPIAHAEVTAIREACKQLKQSELADCDIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFISDALRGTGFYQKAHLEIKRADGNEAVIAEQVFEETKAKFQMY >ONI26566 pep chromosome:Prunus_persica_NCBIv2:G1:2235128:2236114:1 gene:PRUPE_1G031600 transcript:ONI26566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTREGTKKPKTTIEPRVISWEERKTLADKEAEVLEKQIEDLKTWTNMIDAMNEEQLKEYLKNRPDELKTVKIHKSNSKQRVKSHMLFLHFLVYVCFWF >ONI26670 pep chromosome:Prunus_persica_NCBIv2:G1:2732518:2736240:1 gene:PRUPE_1G038700 transcript:ONI26670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQLEVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLIGRIYYQQPGAAEPGSLPSNVSAAVNGVAFCGTLTGQLFFGWLGDKLGRKRVYGMVLMVMVICSVASGLSFGRDAKAVVSTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSTAFKHSFPAPSYEADAAASIFPQADYVWRIILMFGALPALLTYYWRAKMPETARYTALVAKNAKQAAADMSKVLQVEVEAEQEKIEQQGGNAFGLFSKDFLSRHGWHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAKTMSALEEVFKIARAQTLIALCSTVPGYWFTVAFIDRIGRFTIQLMGFFFMTVFMFALAIPYQHWTLRENRVGFVVMYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFQYAEKGIGVRNSLLILGVVNFLGLLFTFLVPESKGRSLEDLSGEAEQENAAPSESRQQEIESGTRTAPV >ONI32925 pep chromosome:Prunus_persica_NCBIv2:G1:35063189:35066115:-1 gene:PRUPE_1G394300 transcript:ONI32925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSALSSRLSNFRRLTDSEDHLSFRDVLNSRPACPSIVSWPGVKTRRAPRSSRVYGLFGGKKDNNEKGDDAASKAGIFGNMQNLYETVKKAQNVVQVEAVRVQKELAAAEFDGYCEGEIIKVTLSGNQQPVRTEITEAAMELGAEKLSLLVTEAYKDAHQKSVVAMKERMSNLAQSLGMPPGLGELK >ONI33695 pep chromosome:Prunus_persica_NCBIv2:G1:37466092:37469360:1 gene:PRUPE_1G441200 transcript:ONI33695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSKLRDTAKTITNGRGSRRERKLALQQDVDKLKKKLRHEENVHRALQRAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQLVHFRKDLYQEAVNISTSKRKMETSADLCDSYPIKNPKQEQPKSQAQKANKSTNATEKHWPSPSDDKQGKENQSSNNSTKKNNEKSLIHKAQVRTPVKRPPIDHKTAEKRSDPQKLQLEYRVMDQESAQVPDKAMSGDESPNKISENILKCLSSILMRMSSAKGSAESLPSFSTLAAQENNEPKESWDPYAICSEFGRRDIGPYKQLHAIEAETINPNQTANALFLLRRLKLLLRKLASVNLQHLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEIIVALMQKATINVGGHLLNAITIEHFILRLPYHSKYTLSKGTKNDEKTARSIFGLELSEPLVTFALSCGSWSSPAVRVYTASQVENELEVAKREYLQAAVGISSTKFAIPKLLNWYLPDFAKDIESLLDWICLQLPSELGKDAIKLLERGKNEPLSQVVQIIPYEFSFRYLLST >ONI33694 pep chromosome:Prunus_persica_NCBIv2:G1:37465886:37469160:1 gene:PRUPE_1G441200 transcript:ONI33694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRVKTRLQSMKPPLKNEKEKVKMQGSKLRDTAKTITNGRGSRRERKLALQQDVDKLKKKLRHEENVHRALQRAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQLVHFRKDLYQEAVNISTSKRKMETSADLCDSYPIKNPKQEQPKSQAQKANKSTNATEKHWPSPSDDKQGKENQSSNNSTKKNNEKSLIHKAQVRTPVKRPPIDHKTAEKRSDPQKLQLEYRVMDQESAQVPDKAMSGDESPNKISENILKCLSSILMRMSSAKGSAESLPSFSTLAAQENNEPKESWDPYAICSEFGRRDIGPYKQLHAIEAETINPNQTANALFLLRRLKLLLRKLASVNLQHLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEIIVALMQKATINVGGHLLNAITIEHFILRLPYHSKYGTKNDEKTARSIFGLELSEPLVTFALSCGSWSSPAVKCILHPKLRMNWKWQKESTYKLQLEFHQLNLQFQNS >ONI33693 pep chromosome:Prunus_persica_NCBIv2:G1:37465198:37469360:1 gene:PRUPE_1G441200 transcript:ONI33693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRVKTRLQSMKPPLKNEKEKVKMQGSKLRDTAKTITNGRGSRRERKLALQQDVDKLKKKLRHEENVHRALQRAFTRPLGALPRLPPYLPPYTLELLAEVAVLEEEVVRLEEQLVHFRKDLYQEAVNISTSKRKMETSADLCDSYPIKNPKQEQPKSQAQKANKSTNATEKHWPSPSDDKQGKENQSSNNSTKKNNEKSLIHKAQVRTPVKRPPIDHKTAEKRSDPQKLQLEYRVMDQESAQVPDKAMSGDESPNKISENILKCLSSILMRMSSAKGSAESLPSFSTLAAQENNEPKESWDPYAICSEFGRRDIGPYKQLHAIEAETINPNQTANALFLLRRLKLLLRKLASVNLQHLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEIIVALMQKATINVGGHLLNAITIEHFILRLPYHSKYTLSKGTKNDEKTARSIFGLELSEPLVTFALSCGSWSSPAVRVYTASQVENELEVAKREYLQAAVGISSTKFAIPKLLNWYLPDFAKDIESLLDWICLQLPSELGKDAIKLLERGKNEPLSQVVQIIPYEFSFRYLLST >ONI33696 pep chromosome:Prunus_persica_NCBIv2:G1:37466885:37469360:1 gene:PRUPE_1G441200 transcript:ONI33696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSADLCDSYPIKNPKQEQPKSQAQKANKSTNATEKHWPSPSDDKQGKENQSSNNSTKKNNEKSLIHKAQVRTPVKRPPIDHKTAEKRSDPQKLQLEYRVMDQESAQVPDKAMSGDESPNKISENILKCLSSILMRMSSAKGSAESLPSFSTLAAQENNEPKESWDPYAICSEFGRRDIGPYKQLHAIEAETINPNQTANALFLLRRLKLLLRKLASVNLQHLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEIIVALMQKATINVGGHLLNAITIEHFILRLPYHSKYTLSKGTKNDEKTARSIFGLELSEPLVTFALSCGSWSSPAVRVYTASQVENELEVAKREYLQAAVGISSTKFAIPKLLNWYLPDFAKDIESLLDWICLQLPSELGKDAIKLLERGKNEPLSQVVQIIPYEFSFRYLLST >ONI35892 pep chromosome:Prunus_persica_NCBIv2:G1:45683183:45687742:1 gene:PRUPE_1G559600 transcript:ONI35892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYDQGKGVEEAIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLTNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYLMLRKKQAFLDNYRKFPMFAENDLSEFDESREILQSLVEEYKACESPDYIKWGMEDPDQILTGEGNAAGTVDPKLAI >ONI35891 pep chromosome:Prunus_persica_NCBIv2:G1:45683217:45687694:1 gene:PRUPE_1G559600 transcript:ONI35891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYDQGKGVEEAIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLTNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYLMLRKKQAFLDNYRKFPMFAENDLSEFDESREILQSLVEEYKACESPDYIKWGMEWIQNWQYEQIR >ONI35895 pep chromosome:Prunus_persica_NCBIv2:G1:45683217:45687694:1 gene:PRUPE_1G559600 transcript:ONI35895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLTNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYLMLRKKQAFLDNYRKFPMFAENDLSEFDESREILQSLVEEYKACESPDYIKWGMEWIQNWQYEQIRIGRLLQDKSWHQEVHWMEHCKYELNVEFEFFPSEVICNVNFLWVF >ONI35894 pep chromosome:Prunus_persica_NCBIv2:G1:45683933:45687694:1 gene:PRUPE_1G559600 transcript:ONI35894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLTNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYLMLRKKQAFLDNYRKFPMFAENDLSEFDESREILQSLVEEYKACESPDYIKWGMEDPDQILTGEGNAAGTVDPKLAI >ONI35893 pep chromosome:Prunus_persica_NCBIv2:G1:45683334:45687274:1 gene:PRUPE_1G559600 transcript:ONI35893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYDQGKGVEEAIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLTNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYLMLRKKQAFLDNYRKFPMFAENDLSEFDESREILQSLVEEYKACESPDYIKWGMEDPDQILTGEGNAAGTVDPKLAI >ONI35890 pep chromosome:Prunus_persica_NCBIv2:G1:45683183:45687742:1 gene:PRUPE_1G559600 transcript:ONI35890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYDQGKGVEEAIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLTNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYLMLRKKQAFLDNYRKFPMFAENDLSEFDESREILQSLVEEYKACESPDYIKWGMEWIQNWQYEQIRIGRLLQDKSWHQEVHWMEHCKYELNVEFEFFPSEVICNVNFLWVF >ONI35498 pep chromosome:Prunus_persica_NCBIv2:G1:44093995:44099079:-1 gene:PRUPE_1G539600 transcript:ONI35498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRTTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIVHHGRLSEGEARRYFHQLIDGVDYCHSKGVYHRDLKPENLLLDSLGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIEKAEFSCPSWFPVGAKSLIHRILDPNPETRITIEQIRDHEWFQKNYVPVRSFEYEDVNLDDVNAVFDDADSEQEQRVSEHRGNEDMGPLVLNAFDLIILSQGLNLATMFDRGKDSMKYQTRFVSQKPAKVVLSSMEVVAQSMGFKTHIRNYKMRVEGLSANKTSHFSIILEIFEVAPTYYMVDIQKAAGDASEFLKFYKNFCSNLEDIIWKPPTESSKSRVSKSKSKKR >ONI35497 pep chromosome:Prunus_persica_NCBIv2:G1:44093996:44099079:-1 gene:PRUPE_1G539600 transcript:ONI35497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRTTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIVHHGRLSEGEARRYFHQLIDGVDYCHSKGVYHRDLKPENLLLDSLGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYDGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIEKAEFSCPSWFPVGAKSLIHRILDPNPETRITIEQIRDHEWFQKNYVPVRSFEYEDVNLDDVNAVFDDADSEEQRVSEHRGNEDMGPLVLNAFDLIILSQGLNLATMFDRGKDSMKYQTRFVSQKPAKVVLSSMEVVAQSMGFKTHIRNYKMRVEGLSANKTSHFSIILEIFEVAPTYYMVDIQKAAGDASEFLKFYKNFCSNLEDIIWKPPTESSKSRVSKSKSKKR >ONI34263 pep chromosome:Prunus_persica_NCBIv2:G1:39254072:39256075:-1 gene:PRUPE_1G471900 transcript:ONI34263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCSSLSYPNSLFLSKTKPFSAHIKPPTVSLGTRFRASADVPDFLSADWLESRKKKPFGPRLNFSAEEAVQHQLDALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHKERKMLSSLFVEENRFKQRVWIRGSRPEEEEIFQFTMVQRVGGSWDGYWLTESLLHDGDAFAGGLAY >ONI30085 pep chromosome:Prunus_persica_NCBIv2:G1:24351765:24358467:1 gene:PRUPE_1G230300 transcript:ONI30085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSFILLCLIVAWISIHTLYYSFARRSSNPTRLPPGPNPFPFIGNLLELGNKPHLSLTKLSQRYGPVMTLQLGQITTVVVSSSAVTKQVLRTHNQFFCIRPDVKESTVKGKAVEVGRAAFKTTLNLMSRTVFSVDLADLNSERAREFKESKVDPMGIRRRLGKHFQKMIDLFDRMIVQRLESRKSRDYDLFGAATDTTSATLEWAMAELLHNPEKLSKAQEELKQVIGKGKPTFRLHPVVPLLLPRRAQADVEIYTYIVPKGAQVLVNAWAIGRDPRIWDNPTSFIPERFLGLDIDVTGQNFELIPFGGGRRICPGLPLAMRMLNLMLGLLINSFDNWKLEDGVVPEKMNMEEKFGLSLRMAHPLIAVPI >ONI33106 pep chromosome:Prunus_persica_NCBIv2:G1:35672739:35675685:1 gene:PRUPE_1G405900 transcript:ONI33106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVEFFHANGFSNLTQKFDPKLVLNVNGNSHLDRDGSWYEETIDDDLKWSFALNVNGVLHKGTSEFQEIALLDTKRFGKVLVIDGKMQSAEVDEFIYHECLIHPALLCHPKPKTVFIMGGGEGSAAREALKHKLLDKVVMCDIDQEVVDFCRRHLTVNQEAFSHKKLNLVINDAKAELEKSCEKFDIIVGDLADPVEGGPCYQLYTKSFYEQILKPKLNHGGIFVTQAGPAGIFTHKEVFTSIFNTIKQVFKYVVAYAAHIPSFADTWGWVMASDEPFSINAEKMDRRIEERIDGELNYLNGALFISSATMNKTVSLSLLNETHVYTEEDARFIHGHGVAYRIN >ONI33107 pep chromosome:Prunus_persica_NCBIv2:G1:35673204:35675685:1 gene:PRUPE_1G405900 transcript:ONI33107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAEVDEFIYHECLIHPALLCHPKPKTVFIMGGGEGSAAREALKHKLLDKVVMCDIDQEVVDFCRRHLTVNQEAFSHKKLNLVINDAKAELEKSCEKFDIIVGDLADPVEGGPCYQLYTKSFYEQILKPKLNHGGIFVTQAGPAGIFTHKEVFTSIFNTIKQVFKYVVAYAAHIPSFADTWGWVMASDEPFSINAEKMDRRIEERIDGELNYLNGALFISSATMNKTVSLSLLNETHVYTEEDARFIHGHGVAYRIN >ONI34600 pep chromosome:Prunus_persica_NCBIv2:G1:40756752:40764726:1 gene:PRUPE_1G489600 transcript:ONI34600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGGGESSRASSKFVKAGDRQMFTVELRPGETTIVSWKKLLKDTNKVNGPSTSSAPEPPPANAHPALESRIAPVQQLSGDEVKDEAAPHRFSAVIEKIERLYMGKDSSDDEDLNDIPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERIVPAALPNQQPKKRRRKEVKGPGENDDSHVPNKHAKVGKTASAKITSTLVKNSSAPIQTVTVPTEHSEDVKFQNQLNVCGLSSTKKSTDSKTILDPSLLKVSEGDAAALQAEVKDMDKQKTGVLLSKDPSNRFKDAGGSSDGSYQKYHEKSAYAQTKPQSGRPSSNADEMETVRAREKNGVCEIPDLNLTDGKYAVPTTKPSHGHKRDSSSVRSKSSMLEKAITDLEKMVAESRPPAADNQDADNLSQAIKRRLPREIKAKLAKVARLAQASHGKITKELLNRLMNILGHLIQLRTLKRNLKVMISMGLSAKKEKDDRFQQIKREVIDMIKIKAPSLESKALQQQSGASDDFQEISSGAKELSKRKFSMDAALEDKICDLYDLFVDGLDEDAGPQIRKLYAELAGLWPNGFMDNHGIKRAICRSKERRRERYGRNKDQEKMRRKKMLAPRTEETVRVEASSIAQQQYMRERLATEPSSHSLTNKAVSGTAAAVRTPSPINGPSFDRLKQEKLKGSSSSSPDDARVGDGALTKKKAKRKPEQELDETRIRPEKLPSQQGEERHKSLKQAAGLPHKSNLQSTVLPSVEQSS >ONI34599 pep chromosome:Prunus_persica_NCBIv2:G1:40756752:40764726:1 gene:PRUPE_1G489600 transcript:ONI34599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGGGESSRASSKFVKAGDRQMFTVELRPGETTIVSWKKLLKDTNKVNGPSTSSAPEPPPANAHPALESRIAPVQQLSGDEVKDEAAPHRFSAVIEKIERLYMGKDSSDDEDLNDIPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERISVPAALPNQQPKKRRRKEVKGPGENDDSHVPNKHAKVGKTASAKITSTLVKNSSAPIQTVTVPTEHSEDVKFQNQLNVCGLSSTKKSTDSKTILDPSLLKVSEGDAAALQAEVKDMDKQKTGVLLSKDPSNRFKDAGGSSDGSYQKYHEKSAYAQTKPQSGRPSSNADEMETVRAREKNGVCEIPDLNLTDGKYAVPTTKPSHGHKRDSSSVRSKSSMLEKAITDLEKMVAESRPPAADNQDADNLSQAIKRRLPREIKAKLAKVARLAASHGKITKELLNRLMNILGHLIQLRTLKRNLKVMISMGLSAKKEKDDRFQQIKREVIDMIKIKAPSLESKALQQQSGASDDFQEISSGAKELSKRKFSMDAALEDKICDLYDLFVDGLDEDAGPQIRKLYAELAGLWPNGFMDNHGIKRAICRSKERRRERYGRNKDQEKMRRKKMLAPRTEETVRVEASSIAQQQYMRERLATEPSSHSLTNKAVSGTAAAVRTPSPINGPSFDRLKQEKLKGSSSSSPDDARVGDGALTKKKAKRKPEQELDETRIRPEKLPSQQGEERHKSLKQAAGLPHKSNLQSTVLPSVEQSS >ONI34598 pep chromosome:Prunus_persica_NCBIv2:G1:40756752:40764726:1 gene:PRUPE_1G489600 transcript:ONI34598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKGGGESSRASSKFVKAGDRQMFTVELRPGETTIVSWKKLLKDTNKVNGPSTSSAPEPPPANAHPALESRIAPVQQLSGDEVKDEAAPHRFSAVIEKIERLYMGKDSSDDEDLNDIPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERISVPAALPNQQPKKRRRKEVKGPGENDDSHVPNKHAKVGKTASAKITSTLVKNSSAPIQTVTVPTEHSEDVKFQNQLNVCGLSSTKKSTDSKTILDPSLLKVSEGDAAALQAEVKDMDKQKTGVLLSKDPSNRFKDAGGSSDGSYQKYHEKSAYAQTKPQSGRPSSNADEMETVRAREKNGVCEIPDLNLTDGKYAVPTTKPSHGHKRDSSSVRSKSSMLEKAITDLEKMVAESRPPAADNQDADNLSQAIKRRLPREIKAKLAKVARLAQASHGKITKELLNRLMNILGHLIQLRTLKRNLKVMISMGLSAKKEKDDRFQQIKREVIDMIKIKAPSLESKALQQQSGASDDFQEISSGAKELSKRKFSMDAALEDKICDLYDLFVDGLDEDAGPQIRKLYAELAGLWPNGFMDNHGIKRAICRSKERRRERYGRNKDQEKMRRKKMLAPRTEETVRVEASSIAQQQYMRERLATEPSSHSLTNKAVSGTAAAVRTPSPINGPSFDRLKQEKLKGSSSSSPDDARVGDGALTKKKAKRKPEQELDETRIRPEKLPSQQGEERHKSLKQAAGLPHKSNLQSTVLPSVEQSS >ONI34905 pep chromosome:Prunus_persica_NCBIv2:G1:41719741:41721230:-1 gene:PRUPE_1G504900 transcript:ONI34905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLRGTVAIASDASRRLLQSVSFHGVGARCLNIRAGMEIPDNKPLKFALQYIHGIGRARAAQILSELNMSNKLAMDLTRREVVALDDVLSKYVIGRDLAGLVDRDIKRMKDIQCYRGIRHVDNLPCRGQRTSTNARTRKGSQRVSVAASKKLKK >ONI26893 pep chromosome:Prunus_persica_NCBIv2:G1:3725378:3731204:-1 gene:PRUPE_1G053600 transcript:ONI26893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGSLWADEPNAPSTSVPRIPQPHPCKHSVSNVLRLLARREVSPQTKNSSKKLWGEASKSHSDSIGSRCEAARDARHGLVSWVEAESLQHLSARYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCRTGICLKVLSGHRRTPWVVRFHPLQPDILASGSLDHEVRLWDANTAECIGSRDFLRPIASIAFHAQGELLAVASGHKLYIWHYNKRGETSSPTIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDSSMTLATSPGYLRYPPPTVYLADAHSSDRSGLADGLPLMSLPFLIWPSFARDNGRISMQRSDLDNGSSNAQQRVDPSASVRLLTYSTPSGQYELLLSPIEPNSSSPVPEDTGTNPFLSEMETEVSQPAMDSLEPMEIQPEGRSNHIFPFGDSTYWELPFLQGWLIGQTQASQRNMRLVGDAAHDNPSPHGEMDNTAPLTSSVIPTSVNQSRGTGRSSSRHRTSRTHMVPTIGSNEGAGFNNITHSESEPQPVVNRIQSELANSFAAAAAAELPCTVKLRIWPHDVKNPCAPLDAERCRLIIPHAVLCSEMGAHFSPCGKFLAACVACMLPHMEADPGLQSQVNNDVTGAATSPTRHPISAHHVVYELRIYSLEEATFGMVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVVIDGETTVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDSSHGMSHTTSAFLDENMLEVPTYALEC >ONI26894 pep chromosome:Prunus_persica_NCBIv2:G1:3723717:3731594:-1 gene:PRUPE_1G053600 transcript:ONI26894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGSLWADEPNAPSTSVPRIPQPHPCKHSVSNVLRLLARREVSPQTKNSSKKLWGEASKSHSDSIGSRCEAARDARHGLVSWVEAESLQHLSARYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCRTGICLKVLSGHRRTPWVVRFHPLQPDILASGSLDHEVRLWDANTAECIGSRDFLRPIASIAFHAQGELLAVASGHKLYIWHYNKRGETSSPTIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDSSMTLATSPGYLRYPPPTVYLADAHSSDRSGLADGLPLMSLPFLIWPSFARDNGRISMQRSDLDNGSSNAQQRVDPSASVRLLTYSTPSGQYELLLSPIEPNSSSPVPEDTGTNPFLSEMETEVSQPAMDSLEPMEIQPEGRSNHIFPFGDSTYWELPFLQGWLIGQTQASQRNMRLVGDAAHDNPSPHGEMDNTAPLTSSVIPTSVNQSRGTGRSSSRHRTSRTHMVPTIGSNEGAGFNNITHSESEPQPVVNRIQSELANSFAAAAAAELPCTVKLRIWPHDVKNPCAPLDAERCRLIIPHAVLCSEMGAHFSPCGKFLAACVACMLPHMEADPGLQSQVNNDVTGAATSPTRHPISAHHVVYELRIYSLEEATFGMVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVVIDGETTVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDSSHGMSHTTSAFLDENMLEVPTYALEC >ONI26892 pep chromosome:Prunus_persica_NCBIv2:G1:3723742:3731576:-1 gene:PRUPE_1G053600 transcript:ONI26892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGSLWADEPNAPSTSVPRIPQPHPCKHSVSNVLRLLARREVSPQTKNSSKKLWGEASKSHSDSIGSRCEAARDARHGLVSWVEAESLQHLSARYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCRTGICLKVLSGHRRTPWVVRFHPLQPDILASGSLDHEVRLWDANTAECIGSRDFLRPIASIAFHAQGELLAVASGHKLYIWHYNKRGETSSPTIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDSSMTLATSPGYLRYPPPTVYLADAHSSDRSGLADGLPLMSLPFLIWPSFARDNGRISMQRSDLDNGSSNAQQRVDPSASVRLLTYSTPSGQYELLLSPIEPNSSSPVPEDTGTNPFLSEMETEVSQPAMDSLEPMEIQPEGRSNHIFPFGDSTYWELPFLQGWLIGQTQASQRNMRLVGDAAHDNPSPHGEMDNTAPLTSSVIPTSVNQSRGTGRSSSRHRTSRTHMVPTIGSNEAAAAELPCTVKLRIWPHDVKNPCAPLDAERCRLIIPHAVLCSEMGAHFSPCGKFLAACVACMLPHMEADPGLQSQVNNDVTGAATSPTRHPISAHHVVYELRIYSLEEATFGMVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVVIDGETTVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDSSHGMSHTTSAFLDENMLEVPTYALEC >ONI26895 pep chromosome:Prunus_persica_NCBIv2:G1:3723717:3731576:-1 gene:PRUPE_1G053600 transcript:ONI26895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGSLWADEPNAPSTSVPRIPQPHPCKHSVSNVLRLLARREVSPQTKNSSKKLWGEASKSHSDSIGSRCEAARDARHGLVSWVEAESLQHLSARYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCRTGICLKVLSGHRRTPWVVRFHPLQPDILASGSLDHEVRLWDANTAECIGSRDFLRPIASIAFHAQGELLAVASGHKLYIWHYNKRGETSSPTIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDSSMTLATSPGYLRYPPPTVYLADAHSSDRSGLADGLPLMSLPFLIWPSFARDNGRISMQRSDLDNGSSNAQQRVDPSASVRLLTYSTPSGQYELLLSPIEPNSSSPVPEDTGTNPFLSEMETEVSQPAMDSLEPMEIQPEGRSNHIFPFGDSTYWELPFLQGWLIGQTQASQRNMRLVGDAAHDNPSPHGEMDNTAPLTSSVIPTSVNQSRGTGRSSSRHRTSRTHMVPTIGSNEGAGFNNITHSESEPQPVVNRIQSELANSFAAAAAAELPCTVKLRIWPHDVKNPCAPLDAERCRLIIPHAVLCSEMGAHFSPCGKFLAACVACMLPHMEADPGLQSQVNNDVTGAATSPTRHPISAHHVVYELRIYSLEEATFGMVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVVIDGETTVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKGSYGSSNMIVLME >ONI28710 pep chromosome:Prunus_persica_NCBIv2:G1:12361223:12363662:1 gene:PRUPE_1G156400 transcript:ONI28710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFGTGTGGGDFEAKITPLVIISCILASSGGLMFGYDVGISGGVTSMPEFLREFFPTVYKKNSQPGLESNYCKYDNQGLQLFTSSLYLAALVATFVASYTTKSLGRKLTMLMAGIFFLVGTVFNAAAVNLAMLIIGRILLGCGVGFANQAVPLFLSEVAPTRIRGSLNILFQLMCTIGILVANMINYGTSKMSGPNGWRISLGLAAIPALLLTMGSLIVTDTPNSLIARGKMEEGKAILKKIRGVDNVEPEFLEIVEASRAANEVKHPFRNLLKRRNRPQLVIAICMQIFQQLTGINAIMFYAPVLFKTLGFKSDASLYSSAITGAVNVLSTVVSIYFVDRAGRRVLLLEAGVQMFLSQIVITVVLGIKLKDDVNNLGHGLGILVLVFVCSFVASFAWSWGPLGWLIPSEIFALDARSAGQSVAVFFNMLFTFIIAQAFLSMLCHMMFGIFLFFAIWVFAMTLFTLFLIPETKGVPIEEMTERVWKKHWFWKRYMDEVEDNPKAQANA >ONI26958 pep chromosome:Prunus_persica_NCBIv2:G1:4140626:4141603:-1 gene:PRUPE_1G058300 transcript:ONI26958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRQRRQLNLSLPLPEPSECRPYFSVSLPPTAAVTTAVTNNPSFGAISAADLEKLQVLGHGNSGTVYKVNHKQTSTTYALKRVHGNSNDPTVRRQLFREMEILRRTDSPHVIRCHAIFEKPSGDIGILMEYMDSGSLETLLKAQGTFAEPNLAHVASQVLNGLNYLHNNKIIHRDIKPANVLVNSNMEMKIADFGVSKILCRTLDACNSYVGTCAYMSPERFNPDIYGGNYNGYAGDIWSLGLTLMELYMGHFPLLPPGQKPDWATLMCAICFGEPPSLPEGVSEEFRSFIECCLQKESEKRWTAAQLLTHPFVSKDPRVSIS >ONI31843 pep chromosome:Prunus_persica_NCBIv2:G1:31599152:31600288:-1 gene:PRUPE_1G334100 transcript:ONI31843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKHFQSGRWVMLISSSPKLPCSPKPTSSKSAADCRYFRTCSASADALQILRPRRALSICCAARRRAVRYDDDGEDEEEEEYGHHKEIAVLELYSQSVRGEALIVHAVVDDQDVQVLIFKGFSSCLSYRTSPDPSKSILPARAVIKSIDRVKGPFDPSNIEYLQKGLTWEAFKSTTLPPNLQMYI >ONI36377 pep chromosome:Prunus_persica_NCBIv2:G1:47342343:47344709:-1 gene:PRUPE_1G582900 transcript:ONI36377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLTLHKHPMCAEIIEDFQKCHVDHPIGKFFGECTDLKIKLDRCFRQEKAVKRKVNFEESKKLKERLQASRKETAGISTENPVQA >ONI36379 pep chromosome:Prunus_persica_NCBIv2:G1:47342343:47344398:-1 gene:PRUPE_1G582900 transcript:ONI36379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLTLHKHPMCAEIIEDFQKCHVDHPIGKFFGECTDLKIKLDRCFRQEKAVKRKVNFEESKKLKERLQASRKETAGISTENPVQA >ONI36378 pep chromosome:Prunus_persica_NCBIv2:G1:47342942:47344178:-1 gene:PRUPE_1G582900 transcript:ONI36378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLTLHKHPMCAEIIEDFQKCHVDHPIGKFFGECTDLKIKLDRCFRQEKAVKRKVNFEESKKLKERLQASRKETAGISTENPVQA >ONI27059 pep chromosome:Prunus_persica_NCBIv2:G1:4619793:4620638:1 gene:PRUPE_1G065100 transcript:ONI27059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFPVYYKCYLGQINFHSFQMGSVVKEALESSQNQIMRYVVLPNKSIFSINQKTLVQTQGYFKPQFKFLIFVFLFEPEAIYEYIPKIQSEIYHQLLHKQTHESSEDAKLTCMVYPNNHKILFTIAILEFEGR >ONI34509 pep chromosome:Prunus_persica_NCBIv2:G1:40405249:40409506:-1 gene:PRUPE_1G485100 transcript:ONI34509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSSSSRARTRSSGPVLRSLSPSGRFYTASNASISSSASGFASSTSSSFSSPASAFFSHHKDHHYYNQQINHHHHHRSASPTRVNLYTSSAPSPSVRFSIDHRSISPNHSNRSITVSKKNGPISMPKKTCMCSPTSHPGSFRCSLHKNIGGGHNAAPYPTNRLNMRRSAMTNSLVRIGGVEGEWVKRALTALIRPCAHQQRRRAGFQPRPSRLSAMSTADK >ONI34508 pep chromosome:Prunus_persica_NCBIv2:G1:40406517:40409061:-1 gene:PRUPE_1G485100 transcript:ONI34508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSSSSRARTRSSGPVLRSLSPSGRFYTASNASISSSASGFASSTSSSFSSPASAFFSHHKDHHYYNQQINHHHHHRSASPTRVNLYTSSAPSPSVRFSIDHRSISPNHSNRSITVSKKNGPISMPKKTCMCSPTSHPGSFRCSLHKNIGGGHNAAPYPTNRLNMRRSAMTNSLVRIGGVEGEWVKRALTALIRPCAHQQRRRAGFQPRPSRLSAMSTADK >ONI30713 pep chromosome:Prunus_persica_NCBIv2:G1:27585337:27587791:1 gene:PRUPE_1G268500 transcript:ONI30713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFNSYILLFFFFLSFAAIIANAESDQPQQLDEYWKKRAEQAENYTMESYEPNPQRVTDEFNAEVGELIMGKNETRRNLKGQKKYVGPCKVTNPIDSCWRCDPNWANNRMKIVDCIQGFGRKTTGGKGGPIYVVTDPSDGDLVNPRPGTLRHAVIQKGPLWITFARNMVIKLQQELMVASDKTIDGRGANVNIFDGAGITIQFVKNVIITNLHIKQIKAKEGGIIRDSVDHFGQRTKSDGDGISIFGSSNIWIDHVSMENCTDGLIDAIMGSTGITISNCHFTKHNEVLLFGASNSYTQDKMMQITVAFNHFGKGLVQRMPRCRHGFFHVVNNDYTHWLMYAIGGSMNPTIISQGNRFIAGLNSATKEVTKREYTAEAEWKNWLWKSEGDLFMNGAFFVESGSPANLRADKLDMIPFKPGTYVTKMTKFAGALDCFVGKPC >ONI33010 pep chromosome:Prunus_persica_NCBIv2:G1:35310870:35314171:1 gene:PRUPE_1G399500 transcript:ONI33010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNRTVIPVNVGVVLDDLDSLTGKVWLSCLKMALSDFYASHGSSTTRLALSIRDPREDVVDAAAAALDLIKNVQVQAIIGPTSSMQANFVIDLGDKAKVPIISFSATSPSLTSIRSSYFFRAAQNDSSQVKAISAIVQAFGWRRAVPIYVDNEFGEGVLPSLVDALHDVQARVPYRCAIPPMATDDQLTAALYKLMTMQTRVFIVHMSQSLGARLFAKAQEIGMMKEGYVWIMTNGLTNLVSSTDASVIKSMQGVLGVRTSVPKTEELIDFRVRWKTQFQQQNPTIIDVELDVFALWAYDAAFALAMALENDGTGTGRISSFQNTNASINSSTDLLTFGVSENGPKLFQSLSNTKFKGLAGDFSFVNGQLQSPVFEIVNVNGNGAREIGFWTPESGLLKNSMNSTNTNRTYSTSQSNMGPIIWPGDSTSVPKGWEIPTNEKKLRVGVPVKIGSPEFVKVVRDPSTNKTLVSGYCIDIFNAVMEKLPYAVTYDFIPFAKPDGTSAGTYNQLVDQVYLGNFDALAAATTIRENRSLYVDFTLPYTESGVVMVVPTKDSKSQNAWVFLKPLTWDLWLTSSCFFIFIGFVIWVLEHRINEDFRGPLSNQVGTGLWFSFSTMVFAHREAVVSNLARFVVIVWVFVVLILTQSYTASLTSLLTIQELQPTFTDLNQLLKNKESVGYPKGSFVYQLLLKQGFDDLKIKAYQSPEECDDLLTKGSAKGGIAAAVDETPNLRLFIAKYCSKYTIIGPIFKTNGFAFVLPKGSALVPDVSRAILNLTDGDEMKEIENKWFGKQATCEYTKSPFSDSKSLGLNSFWGLLLIARVASSSALMISVATFLFMHRHILMTRGTSVWKRIGVMLSIFLQRDLSSHTFRNKNSGHVVEASPVSSSIGQPSPFSLSNHTGPASPFFSEQGTPVSVAVYQNPEIPTTPDQG >ONI26745 pep chromosome:Prunus_persica_NCBIv2:G1:3075094:3080115:-1 gene:PRUPE_1G043100 transcript:ONI26745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLEMVGDFATQEAKFLSGVSHQVEVAQTELQLMQGFLKDADARQGQDATVQVWVAKTRDAAYDLEDVIETYGLKVASKKKRGIKNILRRFACIFREGVDVRKIGLEIENITAKISNLRLSLQSYNIARVPTEIGGESFSQLHERQRLLRRTYSHVIERDVVGLEYNVEELVMHLVKDENRHRVVSIWGMGGLGKTTLARQVYHHKNVRQHFDSFAWVCVSQRCEIRNVWEGIFIKLISATKEQRQDIKEMTYDEIAKKLFCVMQEMRCLVILDDIWSIETWNFLNVAFPNEQTQSTILLTTRYEAVALPPNRNCFLHKLQPLNENESLALLEKIAIFGRPDIDSGIYSKMRELGRKLLRHCAGLPLAIIVLAGVLSTKNTIKQWEMVNENVYAYIRRGRGHEQEYEGALWVLALSYDDLPYHLKPCFLYLGHYPEDREISVSTLTKLWMAEGLISLRQQRQSLGETMENIAHNCLTELVERCVVQVGRSGSTGTIKTCQIHDLIRDLCLLKAEEESFLQIGYSLQENKATNPVTSSMVAKATPVGKIRRLAIYLDENADRLVSSRDETNGHVRSLLYFVLGEWRPRSEKVLLSPLTDFKVLRVLKVEDVDEVEVELPSEIGNMVHLRFLSVRDSKIKRFPSSLGNLICLQTLDFRVRYVELFIPNVIWKMKQLRHLYLPRRYTASGNLKLSTLGHLQTLDFLSSEYCDLNDVAGLTNLLKLQIRLSLPLENLEEILKSVGSTLNRIQSLLVYNGYYSVRNTSYEEQGNQIVSSCRHIYKLKLDGPTAELPKELHSYPNLTKLELCSCSLKDDQMGILENLPNLTTLLLISEVFEENTKILVFSKGGFPSLQFLSVFRMDEITEWRVEEGAMPSLWRLRMGFCSGLTTLPDGLTYLTNLKELTIFGMPRELHSRIQEDGEDFCKIQHVPSVVIGEPYDPPMQ >ONI26747 pep chromosome:Prunus_persica_NCBIv2:G1:3075094:3080116:-1 gene:PRUPE_1G043100 transcript:ONI26747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLEMVGDFATQEAKFLSGVSHQVEVAQTELQLMQGFLKDADARQGQDATVQVWVAKTRDAAYDLEDVIETYGLKVASKKKRGIKNILRRFACIFREGVDVRKIGLEIENITAKISNLRLSLQSYNIARVPTEIGGESFSQLHERQRLLRRTYSHVIERDVVGLEYNVEELVMHLVKDENRHRVVSIWGMGGLGKTTLARQVYHHKNVRQHFDSFAWVCVSQRCEIRNVWEGIFIKLISATKEQRQDIKEMTYDEIAKKLFCVMQEMRCLVILDDIWSIETWNFLNVAFPNEQTQSTILLTTRYEAVALPPNRNCFLHKLQPLNENESLALLEKIAIFGRPDIDSGIYSKMRELGRKLLRHCAGLPLAIIVLAGVLSTKNTIKQWEMVNENVYAYIRRGRGHEQEYEGALWVLALSYDDLPYHLKPCFLYLGHYPEDREISVSTLTKLWMAEGLISLRQQRQSLGETMENIAHNCLTELVERCVVQVGRSGSTGTIKTCQIHDLIRDLCLLKAEEESFLQIGYSLQENKATNPVTSSMVAKATPVGKIRRLAIYLDENADRLVSSRDETNGHVRSLLYFVLGEWRPRSEKVLLSPLTDFKVLRVLKVEDVDEVEVELPSEIGNMVHLRFLSVRDSKIKRFPSSLGNLICLQTLDFRVRYVELFIPNVIWKMKQLRHLYLPRRYTASGNLKLSTLGHLQTLDFLSSEYCDLNDVAGLTNLLKLQIRLSLPLENLEEILKSVGSTLNRIQSLLVYNGYYSVRNTSYEEQGNQIVSSCRHIYKLKLDGPTAELPKELHSYPNLTKLELCSCSLKDDQMGILENLPNLTTLLLISEVFEENTKILVFSKGGFPSLQFLSVFRMDEITEWRVEEGAMPSLWRLRMGFCSGLTTLPDGLTYLTNLKELTIFGMPRELHSRIQEDGEDFCKIQHVPSVVIGEPYDPPMQ >ONI26748 pep chromosome:Prunus_persica_NCBIv2:G1:3076202:3080056:-1 gene:PRUPE_1G043100 transcript:ONI26748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLEMVGDFATQEAKFLSGVSHQVEVAQTELQLMQGFLKDADARQGQDATVQVWVAKTRDAAYDLEDVIETYGLKVASKKKRGIKNILRRFACIFREGVDVRKIGLEIENITAKISNLRLSLQSYNIARVPTEIGGESFSQLHERQRLLRRTYSHVIERDVVGLEYNVEELVMHLVKDENRHRVVSIWGMGGLGKTTLARQVYHHKNVRQHFDSFAWVCVSQRCEIRNVWEGIFIKLISATKEQRQDIKEMTYDEIAKKLFCVMQEMRCLVILDDIWSIETWNFLNVAFPNEQTQSTILLTTRYEAVALPPNRNCFLHKLQPLNENESLALLEKIAIFGRPDIDSGIYSKMRELGRKLLRHCAGLPLAIIVLAGVLSTKNTIKQWEMVNENVYAYIRRGRGHEQEYEGALWVLALSYDDLPYHLKPCFLYLGHYPEDREISVSTLTKLWMAEGLISLRQQRQSLGETMENIAHNCLTELVERCVVQVGRSGSTGTIKTCQIHDLIRDLCLLKAEEESFLQIGYSLQENKATNPVTSSMVAKATPVGKIRRLAIYLDENADRLVSSRDETNGHVRSLLYFVLGEWRPRSEKVLLSPLTDFKVLRVLKVEDVDEVEVELPSEIGNMVHLRFLSVRDSKIKRFPSSLGNLICLQTLDFRVRYVELFIPNVIWKMKQLRHLYLPRRYTASGNLKLSTLGHLQTLDFLSSEYCDLNDVAGLTNLLKLQIRLSLPLENLEEILKSVGSTLNRIQSLLVYNGYYSVRNTSYEEQGNQIVSSCRHIYKLKLDGPTAELPKELHSYPNLTKLELCSCSLKDDQMGILENLPNLTTLLLISEVFEENTKILVFSKGGFPSLQFLSVFRMDEITEWRVEEGAMPSLWRLRMGFCSGLTTLPDGLTYLTNLKELTIFGMPRELHSRIQEDGEDFCKIQHVPSVVIGEPYDPPMQ >ONI26746 pep chromosome:Prunus_persica_NCBIv2:G1:3076202:3080056:-1 gene:PRUPE_1G043100 transcript:ONI26746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLEMVGDFATQEAKFLSGVSHQVEVAQTELQLMQGFLKDADARQGQDATVQVWVAKTRDAAYDLEDVIETYGLKVASKKKRGIKNILRRFACIFREGVDVRKIGLEIENITAKISNLRLSLQSYNIARVPTEIGGESFSQLHERQRLLRRTYSHVIERDVVGLEYNVEELVMHLVKDENRHRVVSIWGMGGLGKTTLARQVYHHKNVRQHFDSFAWVCVSQRCEIRNVWEGIFIKLISATKEQRQDIKEMTYDEIAKKLFCVMQEMRCLVILDDIWSIETWNFLNVAFPNEQTQSTILLTTRYEAVALPPNRNCFLHKLQPLNENESLALLEKIAIFGRPDIDSGIYSKMRELGRKLLRHCAGLPLAIIVLAGVLSTKNTIKQWEMVNENVYAYIRRGRGHEQEYEGALWVLALSYDDLPYHLKPCFLYLGHYPEDREISVSTLTKLWMAEGLISLRQQRQSLGETMENIAHNCLTELVERCVVQVGRSGSTGTIKTCQIHDLIRDLCLLKAEEESFLQIGYSLQENKATNPVTSSMVAKATPVGKIRRLAIYLDENADRLVSSRDETNGHVRSLLYFVLGEWRPRSEKVLLSPLTDFKVLRVLKVEDVDEVEVELPSEIGNMVHLRFLSVRDSKIKRFPSSLGNLICLQTLDFRVRYVELFIPNVIWKMKQLRHLYLPRRYTASGNLKLSTLGHLQTLDFLSSEYCDLNDVAGLTNLLKLQIRLSLPLENLEEILKSVGSTLNRIQSLLVYNGYYSVRNTSYEEQGNQIVSSCRHIYKLKLDGPTAELPKELHSYPNLTKLELCSCSLKDDQMGILENLPNLTTLLLISEVFEENTKILVFSKGGFPSLQFLSVFRMDEITEWRVEEGAMPSLWRLRMGFCSGLTTLPDGLTYLTNLKELTIFGMPRELHSRIQEDGEDFCKIQHVPSVVIGEPYDPPMQ >ONI27987 pep chromosome:Prunus_persica_NCBIv2:G1:9123630:9124455:1 gene:PRUPE_1G115400 transcript:ONI27987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLLVLCVAFSLILAFLQADAKRYNLHQRHLAQQKNDSNLHASDTKDQSQPATTNNNEAKHDDDDDANESYGKFGHDSSESDSHRYFVDDQFHPH >ONI29729 pep chromosome:Prunus_persica_NCBIv2:G1:22476674:22479515:-1 gene:PRUPE_1G211400 transcript:ONI29729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMEGRRKITELMLLLLLIGCSASQIQASSENEPDAIFYEPFSESFEGRWIVSSKDEYKGVWKLSKSEGHDDYGLLVSEKARKYAVVKDLGKAVSLKYGTIVLQFEVRFQNGLECGGAYLKYLRPQEAGWKPKEFDNDSPYSIMFGPDKCGSTNKVHFIFKHKNPKSGEYVEHHLKDPPSVPSDKLSHVYTAILKPNNEVRIIIDGEEKKKANFLSANDFEPALIPAKTIPDPDDKKPEDWDERAKIPDPHAVKPDDWDEDAPMEIEDEDAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGVWEPTKMDNPKCSLAPGCGEWKKPMKINPAYKGKWSPPLIDNPSYKGIWKPQEIPNPSYFETEKPDFEAITAIGIEIWTMQDGILFDNILIADDEKVAESYRLTTWKPKFENEKEKQKAEEEAAGLSDGLSGFQKKIFDVLNKIADVPFLDVYKPKIIDLIEKGEKQPNITIGIIVSIVVVLLTVVFKILFGGKKPKVTVPETDRPAAAEASNTQGSSEEKEDESEKEDAAAPPRRRSTRRET >ONI26470 pep chromosome:Prunus_persica_NCBIv2:G1:1899262:1903561:1 gene:PRUPE_1G027200 transcript:ONI26470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGYAKRRVSPAGGRRPKSALGPEKKPKTVNLKKQIRSIERLLRKNLSAEMKEAQEKKLEGLKKQQEIQFRLAVERKIFMRDRKIKFFERRKIERRIRRLEKLQRASSSGQAQDAESSVQLSKLKEDLEYVRFFPKTEKYVPLFTGGEVSDIVDKRNKLREKIKANLIAAAASGKDVEETGSEDDGLLDLSEDDFFLNGSSSDEADADDEWTDKSTREQASSASGKATSGMSSDERNQRQVSARALMPPPRPSSNSRTSSVRAQSRFGPSSSKNSSKKIAEMSTSSNTSNSRSGTSFSTSTSRSGTSFKARGSSNSTAAQSSNLSSNSDARKPRRKRRPKKKKQQG >ONI26471 pep chromosome:Prunus_persica_NCBIv2:G1:1899265:1903561:1 gene:PRUPE_1G027200 transcript:ONI26471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGYAKRRVSPAGGRRPKSALGPEKKPKTVNLKKQIRSIERLLRKNLSAEMKEAQEKKLEGLKKQQEIQFRLAVERKIFMRDRKIKFFERRKIERRIRRLEKLQRASSSGQAQDAESSVQLSKLKEDLEYVRFFPKTEKYVPLFTGGEVSDIVDKRNKLREKIKANLIAAAASGKDVEETGSEDDGLLDLSEDDFFLNGSSSDEADADDEWTDKSTREQASSASGKATSGMSSDERNQVSARALMPPPRPSSNSRTSSVRAQSRFGPSSSKNSSKKIAEMSTSSNTSNSRSGTSFSTSTSRSGTSFKARGSSNSTAAQSSNLSSNSDARKPRRKRRPKKKKQQG >ONI27840 pep chromosome:Prunus_persica_NCBIv2:G1:8605320:8607329:-1 gene:PRUPE_1G107300 transcript:ONI27840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNNLNFDDQYGILRTFSDSMPTHYTFKIQSFSLMSKHSLERYESEDFEAGGYKWKLAFYPNGKKSKNVKEHISLYLVLAGANGPQTCWEVYAAFGLFLLDQNNEEQKERCFHGIKLDWGFDQFLSQKDFTDASNGFLVDDACVFGAEVFVRKERSTCKGECLSMIKDAVMYKHVWKIENFSKLDEESYDSETFIAGDQKWKIEFYPEGRDNGKGSHLSIDLALADPTSLSPTSKLYAQFTLRLVDPVYSSRHFEYGTKATWWFSASSPKRGWPKFITLGIFSDKSLGYLENDSTIVEAEVTVLGTASALD >ONI27841 pep chromosome:Prunus_persica_NCBIv2:G1:8605124:8607385:-1 gene:PRUPE_1G107300 transcript:ONI27841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNNLNFDDQYGILRTFSDSMPTHYTFKIQSFSLMSKHSLERYESEDFEAGGYKWKLAFYPNGKKSKNVKEHISLYLVLAGANGPQTCWEVYAAFGLFLLDQNNGKYLALEEEQKERCFHGIKLDWGFDQFLSQKDFTDASNGFLVDDACVFGAEVFVRKERSTCKGECLSMIKDAVMYKHVWKIENFSKLDEESYDSETFIAGDQKWKIEFYPEGRDNGKGSHLSIDLALADPTSLSPTSKLYAQFTLRLVDPVYSSRHFEYGTKATWWFSASSPKRGWPKFITLGIFSDKSLGYLENDSTIVEAEVTVLGTASALD >ONI29620 pep chromosome:Prunus_persica_NCBIv2:G1:20808222:20813397:-1 gene:PRUPE_1G206000 transcript:ONI29620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAVNCSLFSGLNPKIISSNRRISASIPSLGCWRTKSSHQITYSALALCRRESNKYIPAICAVLSGTEDIGVSSSGFEDVPVTVTTSGTSDAGELKINVEVSGAKTRAIFDEVFDKMVTAAQPIPGFRRVKGGKTPNIPRDILLEVLGPSKVYKQVIKKVINSAIAEYVEKEGLKVRKDLRVEQSFEDLEVAFEPGEDFSFDAVIHLP >ONI29252 pep chromosome:Prunus_persica_NCBIv2:G1:17514724:17515306:-1 gene:PRUPE_1G189700 transcript:ONI29252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPLIAGAFPIGYSSVLQAEALALKQALIWAQRPNLKRIEVEGDSKMLQSIVGDIQELLHQFHEVGIDHIYHKANTVAYELSKKGHTVDASCIWFGNFPTHV >ONI31432 pep chromosome:Prunus_persica_NCBIv2:G1:30310330:30311534:1 gene:PRUPE_1G312500 transcript:ONI31432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPAKLQLDEDRQHSVSRDQMMMMNGNRPSPLKINKASYLIQKPPNNNNSSSRMANQQHPHEHQQHHQQQRQPVIIYTQSPKIIHTQARDFMALVQKLTGLDQPQQPESTTTTDDHVHVKLDASSLSQGRRNQDDQIIDINNMNSTASQSIISSCSHDDNDQSSSGLTDENCDQNNNKNVDVVHHQGNKGSLSSSCGYYPPNAMFSHPSQPYFADIPLFTPTSTSSTDFFCSPRPLYRFSDSSSHASPNVNVGGSISPSMFKFIKGLTEY >ONI34611 pep chromosome:Prunus_persica_NCBIv2:G1:40799138:40800631:-1 gene:PRUPE_1G490200 transcript:ONI34611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILLATGTNKGGGYFASKGVFLCMYMALIIIWAALNTFALQVIAYLNIISIWWQVIGGLLVTILLPLVAQSTQPASYVFTHFETSPESTGISSAPYAVILSVLLSIYSLYGYDAAAHLTEETKDADRTGPVAILSSLGIISVFGWAYYLALTFSIRDLDYLYNTDNETAGALVPAQIIYDAFYGKFHNSTGAVVFLCIIWGSYFFCGLSVTTTAARVVYALSRDKGIPFSPIWRKVHPRSKVPTNAVWLCAAIGLLLGLPILKLDVVFTAIISVSTIGWVGGYAIPILARLVMAEENFKPGPFYLGRASRTVCLVAFLWICYACSAFLLPTFYPLRWKTFNYAPVALTFVLTLIMLWWVLDARKWFKGPVRNIDVQDGN >ONI34610 pep chromosome:Prunus_persica_NCBIv2:G1:40798956:40801759:-1 gene:PRUPE_1G490200 transcript:ONI34610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQVLEMDSGEKRLNELGYKQELRREMTLFKTLAITFSCISVFSGTPLYGQSLHYAGPATLIWGWVAVTFFTWFVAIAMAEICSSFPTTGSLYFWAAQLAGPRWGPFASWCCAWLETIGVVSAIGAQAYSGSQALQMIILLATGTNKGGGYFASKGVFLCMYMALIIIWAALNTFALQVIAYLNIISIWWQVIGGLLVTILLPLVAQSTQPASYVFTHFETSPESTGISSAPYAVILSVLLSIYSLYGYDAAAHLTEETKDADRTGPVAILSSLGIISVFGWAYYLALTFSIRDLDYLYNTDNETAGALVPAQIIYDAFYGKFHNSTGAVVFLCIIWGSYFFCGLSVTTTAARVVYALSRDKGIPFSPIWRKVHPRSKVPTNAVWLCAAIGLLLGLPILKLDVVFTAIISVSTIGWVGGYAIPILARLVMAEENFKPGPFYLGRASRTVCLVAFLWICYACSAFLLPTFYPLRWKTFNYAPVALTFVLTLIMLWWVLDARKWFKGPVRNIDVQDGN >ONI35406 pep chromosome:Prunus_persica_NCBIv2:G1:43634647:43671581:1 gene:PRUPE_1G534000 transcript:ONI35406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSGGHDGDSASGSQAQKFSPPGPKIRFSEVGDEAVLNILWDRYEKAIDKVEKRRLFHVFLKQFLVVYKNWEPVNSGQISEVASTTIQTAEYSSNSDVVIGCFAGHPAEVILILTEEITQLTAMVAELNTSTVRSSADLSSHSTTLNIISEGMPLLDALMIVTRSLHNCRVFGYHGGIQKLTALMKGVVVQLKTISGALSADEKSSDYTVERTGLLQQILVYVVSIICSFIDLNSNVYEKGQLYSNTIGSVSRDGTSPVDSSGSSKVPSSEIRLRWHQRAVVSVMEAGGLNWLVELLRVIRRLSMKERWTDTSLLDLSLRILHSTLSQNPRGQNHFKSIGGLEVLLDGLGIPSSNGLMSKRSAVEKRYENTLLKIFQLHVLSLEVLKEAVYGNISNLQFLCENGRVQKFANSFCSPAFMFQEYKQQTKDMSGQLDFQMPMVDFGSDNTVKNHIAETSVALPANVSYSQLWSDYAVKLSRVFCSFLPASEDIKSHDLEASTGQTVVAVSSLYGELSIKWVMRVLVTVFPCIKACSNQNDLPSHLRVFVNTLQHCVLNAFRKVLVSSPASLKVFRDEGIWELIFSEHFFYFGPASDDLSGECCTYKESPPELLSAFSGINNILQMEVISFVEFAATSNGSAHNLPELSALLDSLEQSACNPEVASVLAKSLIRILQLSAEKTVASFKSVNAFPRVLKVACIQAQESRRFVNVSPSVESNVVEVVPNNRKSNSHETMQRWLKCMETSMELYMEFFSTAEDARSLVLHSSECIGYLFDLFWEEGLRKNVFKHIFELMKSVSSSEEDQRAKLQLCSKYLETFTQIKEREKSFAELSISLLVGMRDMLQIDPVYYQTLFRDGECFLHVVSLLNGNLDEANGEKLVLNVLQTLTCLLASNDASKATFRVLAGKGYQTLQSLLLEFCQSRSSEGLLNALLDMLVDGKFDMKSGPKIKNEDVIILYLRVLRESSDSSQHNGLDVFQQLLRDSISNRASCVRAGMLNFLLDWFSQEDNDSVILKIAQLIQVVGGHSTSGKDIRKIFALLRSEKIGNRQQYCSLLLSSVLSMLNEKGPTAFFDFSGNDSGIIIKTPVQWPLNKGFSFSCWLRVENFPRSGKMGLFNFLAENGRGCMAALAKDKLLYESMNLKRQSVQLQVNIVRKKWHFLCITHSIGRAFSGGSLLRCYVDGDLVSSERCRYAKVNELLTSCRIGAKFDVPLYDDDFAMESVKDSHPFLGQVGPVYLFNDAISSEQVQGIYSLGPSYMYSFLDNEAASSKDNPVLSGVLDVKDGLASKIIFGLNAQACDGRKLFNVSPMLDHVSDRNSFEATVMVGTQQCSRRLLQQIIYCVGGVSVFFPLIAQSEKYENEESGQFEHTLPIPITRERVTAEVIELIASVLDENIANQQQMHLLSGFSILGFLLQSVPPQQLNLETLSALKHLFNVVANCGLAELLTKEAISSIFLNPLIWLYTVYKVQRELYMFLIQQFDNDPRLLKSLCRLPRVIDVIRQFYWDNPKSRFAIGSMPLLHPVTKQVLGERPSNEEIRKIRLLLLSLGEMSLRQKIAAADIRALIAFFETSQDSTCIEDVLHMIIRALSQKPLLASFLEQVNLVGGCHIFVNLLQREYEPIRLLSLQLLGRLLVDLPSEKKGARFFYLAVGRSRSLSDGHKKNSMRMQPIFSAMSDRLFRFPQTDNLCASLFDVLLGGASPKQVLQKHSQVERQRSKGHVSHFLLPQILVLIFRFLSGCEDVASRMKIFRDLLDLLDSDPSNVEAFMEFGWNAWLTACVKLGVFKSYKVNPQDQDDNEKNEQDIVRNLFGVVLCHYVHSVKGGWQQLEETVTFLLMQCEHEGVSFRYLLRDIYTDLIRKLVELSSEENIFISQPCRDNTLYLLRLVDEMLISEIDQKLPFPASSSDFSLDSLELERHKDYGSALYEVLQGEIDSQTSRIPGSCKQPINNAEGIVNEKWWNPYDNLWIILSEMNGKGPSKSLPKSSPSVGPSFGQRARGLVESLNIPAAEVAAVVVSGGIGSALGGKPNKNVDKAMLLRGERCPRIIFRLVILYLCRASLERASRCVQQVISLLPCLLVADDEQSKSRLQLFIWALLVVRSQFGMLDDGARFHVISHLIRETVNFGKSMLATSIMGRDDSLDSGNNVKEAGSIHNLIQRDRVLAAVADEAKYTKSLDTDRQRQLRELQSRMDENSSAESNNRKAFEDEIQSSLTSILALDDSRRAAFQLTHEEEQQNVVAKWIHMFRALIDERGPWSANPFPNSSVRHWKLDKIEDTWRRRQKLRQNYHFDEKLCHPSSSVPSNEVTPPVNESKSGFVGHIPEQMKRFLLKGVWKITDEGCSEPNEIDTELGGQKPSIPKDTSDSQCSELAKDTSDWMQERKDSSSSSLETETSEVVTSVPCVLVTPKRKLAGHLAVMKNVLHFFGEFLVEGTGGSSVFRNFHGSSNHDLTKPDQKQKSVKQPLYLDSDSEKGATVDKFEAMNENVLKRKQLKNIKRHRRWNMGKIKAVSWTRYLLRYSAIEIFFSDSAAPVFLNFATQKDAKDTGTLIVATRNEYLFPKGSGRDKSGAISFVDRRVALEMAETARESWRRREMTNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSEVLDFNKSSTFRDLSKPVGALDVKRFEVFEDRYRSFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYQNCLSNTSDVKELIPEFFYMPEFLVNSNSYHFGVRQDGEPIADVCLPPWAKGSPEEFINKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLETMEDDLQRSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLRFAPGSINLTSIVCSSSHQRSAALYVRTVDSNVVLVNQGLTLSVKMWLTTSLQSGGNFTFSGSQDPSFGVGSDILSPRKIGSPSAENVELGAQCFATMQTPSENFLISCGNWENSFQVISLNDGRMVQSIRQHKDVVSCIAVTSDGSFLATGSYDTTIMVWEVFRGRTQEKRTRNTQTELPRKDYVIVETPFRILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLQDGRYVRSLRHPSGCALSKLVASRHGRIVFYADDDLSLHLYSINGKHLASSESNGRLNCVELSGCGEFLVCAGDQGQIIVRSMNSLEVIKKCNGVGKIITSLTVTPEECFLAGTKEGTLLVYSIENTQLRKANLPRNSKSKPSSTG >ONI35408 pep chromosome:Prunus_persica_NCBIv2:G1:43634697:43671554:1 gene:PRUPE_1G534000 transcript:ONI35408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSGGHDGDSASGSQAQKFSPPGPKIRFSEVGDEAVLNILWDRYEKAIDKVEKRRLFHVFLKQFLVVYKNWEPVNSGQISEVASTTIQTAEYSSNSDVVIGCFAGHPAEVILILTEEITQLTAMVAELNTSTVRSSADLSSHSTTLNIISEGMPLLDALMIVTRSLHNCRVFGYHGGIQKLTALMKGVVVQLKTISGALSADEKSSDYTVERTGLLQQILVYVVSIICSFIDLNSNVYEKGQLYSNTIGSVSRDGTSPVDSSGSSKVPSSEIRLRWHQRAVVSVMEAGGLNWLVELLRVIRRLSMKERWTDTSLLDLSLRILHSTLSQNPRGQNHFKSIGGLEVLLDGLGIPSSNGLMSKRSAVEKRYENTLLKIFQLHVLSLEVLKEAVYGNISNLQFLCENGRVQKFANSFCSPAFMFQEYKQQTKDMSGQLDFQMPMVDFGSDNTVKNHIAETSVALPANVSYSQLWSDYAVKLSRVFCSFLPASEDIKSHDLEASTGQTVVAVSSLYGELSIKWVMRVLVTVFPCIKACSNQNDLPSHLRVFVNTLQHCVLNAFRKVLVSSPASLKVFRDEGIWELIFSEHFFYFGPASDDLSGECCTYKESPPELLSAFSGINNILQMEVISFVEFAATSNGSAHNLPELSALLDSLEQSACNPEVASVLAKSLIRILQLSAEKTVASFKSVNAFPRVLKVACIQAQESRRFVNVSPSVESNVVEVVPNNRKSNSHETMQRWLKCMETSMELYMEFFSTAEDARSLVLHSSECIGYLFDLFWEEGLRKNVFKHIFELMKSVSSSEEDQRAKLQLCSKYLETFTQIKEREKSFAELSISLLVGMRDMLQIDPVYYQTLFRDGECFLHVVSLLNGNLDEANGEKLVLNVLQTLTCLLASNDASKATFRVLAGKGYQTLQSLLLEFCQSRSSEGLLNALLDMLVDGKFDMKSGPKIKNEDVIILYLRVLRESSDSSQHNGLDVFQQLLRDSISNRASCVRAGMLNFLLDWFSQEDNDSVILKIAQLIQVVGGHSTSGKDIRKIFALLRSEKIGNRQQYCSLLLSSVLSMLNEKGPTAFFDFSGNDSGIIIKTPVQWPLNKGFSFSCWLRVENFPRSGKMGLFNFLAENGRGCMAALAKDKLLYESMNLKRQSVQLQVNIVRKKWHFLCITHSIGRAFSGGSLLRCYVDGDLVSSERCRYAKVNELLTSCRIGAKFDVPLYDDDFAMESVKDSHPFLGQVGPVYLFNDAISSEQVQGIYSLGPSYMYSFLDNEAASSKDNPVLSGVLDVKDGLASKIIFGLNAQACDGRKLFNVSPMLDHVSDRNSFEATVMVGTQQCSRRLLQQIIYCVGGVSVFFPLIAQSEKYENEESGQFEHTLPIPITRERVTAEVIELIASVLDENIANQQQMHLLSGFSILGFLLQSVPPQQLNLETLSALKHLFNVVANCGLAELLTKEAISSIFLNPLIWLYTVYKVQRELYMFLIQQFDNDPRLLKSLCRLPRVIDVIRQFYWDNPKSRFAIGSMPLLHPVTKQVLGERPSNEEIRKIRLLLLSLGEMSLRQKIAAADIRALIAFFETSQDSTCIEDVLHMIIRALSQKPLLASFLEQVNLVGGCHIFVNLLQREYEPIRLLSLQLLGRLLVDLPSEKKGARFFYLAVGRSRSLSDGHKKNSMRMQPIFSAMSDRLFRFPQTDNLCASLFDVLLGGASPKQVLQKHSQVERQRSKGHVSHFLLPQILVLIFRFLSGCEDVASRMKIFRDLLDLLDSDPSNVEAFMEFGWNAWLTACVKLGVFKSYKVNPQDQDDNEKNEQDIVRNLFGVVLCHYVHSVKGGWQQLEETVTFLLMQCEHEGVSFRYLLRDIYTDLIRKLVELSSEENIFISQPCRDNTLYLLRLVDEMLISEIDQKLPFPASSSDFSLDSLELERHKDYGSALYEVLQGEIDSQTSRIPGSCKQPINNAEGIVNEKWWNPYDNLWIILSEMNGKGPSKSLPKSSPSVGPSFGQRARGLVESLNIPAAEVAAVVVSGGIGSALGGKPNKNVDKAMLLRGERCPRIIFRLVILYLCRASLERASRCVQQVISLLPCLLVADDEQSKSRLQLFIWALLVVRSQFGMLDDGARFHVISHLIRETVNFGKSMLATSIMGRDDSLDSGNNVKEAGSIHNLIQRDRVLAAVADEAKYTKSLDTDRQRQLRELQSRMDENSSAESNNRKAFEDEIQSSLTSILALDDSRRAAFQLTHEEEQQNVVAKWIHMFRALIDERGPWSANPFPNSSVRHWKLDKIEDTWRRRQKLRQNYHFDEKLCHPSSSVPSNEVTPPVNESKSGFVGHIPEQMKRFLLKGVWKITDEGCSEPNEIDTELGGQKPSIPKDTSDSQCSELAKDTSDWMQERKDSSSSSLETETSEVVTSVPCVLVTPKRKLAGHLAVMKNVLHFFGEFLVEGTGGSSVFRNFHGSSNHDLTKPDQKQKSVKQPLYLDSDSEKGATVDKFEAMNENVLKRKQLKNIKRHRRWNMGKIKAVSWTRYLLRYSAIEIFFSDSAAPVFLNFATQKDAKDTGTLIVATRNEYLFPKGSGRDKSGAISFVDRRVALEMAETARESWRRREMTNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSEVLDFNKSSTFRDLSKPVGALDVKRFEVFEDRYRSFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYQNCLSNTSDVKELIPEFFYMPEFLVNSNSYHFGVRQDGEPIADVCLPPWAKGSPEEFINKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLETMEDDLQRSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLRFAPGSINLTSIVCSSSHQRSAALYVRTVDSNVVLVNQGLTLSVKMWLTTSLQSGGNFTFSGSQDPSFGVGSDILSPRKIGSPSAENVELGAQCFATMQTPSENFLISCGNWENSFQVISLNDGRMVQSIRQHKDVVSCIAVTSDGSFLATGSYDTTIMVWEVFRGRTQEKRTRNTQTELPRKDYVIVETPFRILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLQDGRYVRSLRHPSGCALSKLVASRHGRIVFYADDDLSLHLYSINGTKEGTLLVYSIENTQLRKANLPRNSKSKPSSTG >ONI35407 pep chromosome:Prunus_persica_NCBIv2:G1:43634710:43670732:1 gene:PRUPE_1G534000 transcript:ONI35407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSGGHDGDSASGSQAQKFSPPGPKIRFSEVGDEAVLNILWDRYEKAIDKVEKRRLFHVFLKQFLVVYKNWEPVNSGQISEVASTTIQTAEYSSNSDVVIGCFAGHPAEVILILTEEITQLTAMVAELNTSTVRSSADLSSHSTTLNIISEGMPLLDALMIVTRSLHNCRVFGYHGGIQKLTALMKGVVVQLKTISGALSADEKSSDYTVERTGLLQQILVYVVSIICSFIDLNSNVYEKGQLYSNTIGSVSRDGTSPVDSSGSSKVPSSEIRLRWHQRAVVSVMEAGGLNWLVELLRVIRRLSMKERWTDTSLLDLSLRILHSTLSQNPRGQNHFKSIGGLEVLLDGLGIPSSNGLMSKRSAVEKRYENTLLKIFQLHVLSLEVLKEAVYGNISNLQFLCENGRVQKFANSFCSPAFMFQEYKQQTKDMSGQLDFQMPMVDFGSDNTVKNHIAETSVALPANVSYSQLWSDYAVKLSRVFCSFLPASEDIKSHDLEASTGQTVVAVSSLYGELSIKWVMRVLVTVFPCIKACSNQNDLPSHLRVFVNTLQHCVLNAFRKVLVSSPASLKVFRDEGIWELIFSEHFFYFGPASDDLSGECCTYKESPPELLSAFSGINNILQMEVISFVEFAATSNGSAHNLPELSALLDSLEQSACNPEVASVLAKSLIRILQLSAEKTVASFKSVNAFPRVLKVACIQAQESRRFVNVSPSVESNVVEVVPNNRKSNSHETMQRWLKCMETSMELYMEFFSTAEDARSLVLHSSECIGYLFDLFWEEGLRKNVFKHIFELMKSVSSSEEDQRAKLQLCSKYLETFTQIKEREKSFAELSISLLVGMRDMLQIDPVYYQTLFRDGECFLHVVSLLNGNLDEANGEKLVLNVLQTLTCLLASNDASKATFRVLAGKGYQTLQSLLLEFCQSRSSEGLLNALLDMLVDGKFDMKSGPKIKNEDVIILYLRVLRESSDSSQHNGLDVFQQLLRDSISNRASCVRAGMLNFLLDWFSQEDNDSVILKIAQLIQVVGGHSTSGKDIRKIFALLRSEKIGNRQQYCSLLLSSVLSMLNEKGPTAFFDFSGNDSGIIIKTPVQWPLNKGFSFSCWLRVENFPRSGKMGLFNFLAENGRGCMAALAKDKLLYESMNLKRQSVQLQVNIVRKKWHFLCITHSIGRAFSGGSLLRCYVDGDLVSSERCRYAKVNELLTSCRIGAKFDVPLYDDDFAMESVKDSHPFLGQVGPVYLFNDAISSEQVQGIYSLGPSYMYSFLDNEAASSKDNPVLSGVLDVKDGLASKIIFGLNAQACDGRKLFNVSPMLDHVSDRNSFEATVMVGTQQCSRRLLQQIIYCVGGVSVFFPLIAQSEKYENEESGQFEHTLPIPITRERVTAEVIELIASVLDENIANQQQMHLLSGFSILGFLLQSVPPQQLNLETLSALKHLFNVVANCGLAELLTKEAISSIFLNPLIWLYTVYKVQRELYMFLIQQFDNDPRLLKSLCRLPRVIDVIRQFYWDNPKSRFAIGSMPLLHPVTKQVLGERPSNEEIRKIRLLLLSLGEMSLRQKIAAADIRALIAFFETSQDSTCIEDVLHMIIRALSQKPLLASFLEQVNLVGGCHIFVNLLQREYEPIRLLSLQLLGRLLVDLPSEKKGARFFYLAVGRSRSLSDGHKKNSMRMQPIFSAMSDRLFRFPQTDNLCASLFDVLLGGASPKQVLQKHSQVERQRSKGHVSHFLLPQILVLIFRFLSGCEDVASRMKIFRDLLDLLDSDPSNVEAFMEFGWNAWLTACVKLGVFKSYKVNPQDQDDNEKNEQDIVRNLFGVVLCHYVHSVKGGWQQLEETVTFLLMQCEHEGVSFRYLLRDIYTDLIRKLVELSSEENIFISQPCRDNTLYLLRLVDEMLISEIDQKLPFPASSSDFSLDSLELERHKDYGSALYEVLQGEIDSQTSRIPGSCKQPINNAEGIVNEKWWNPYDNLWIILSEMNGKGPSKSLPKSSPSVGPSFGQRARGLVESLNIPAAEVAAVVVSGGIGSALGGKPNKNVDKAMLLRGERCPRIIFRLVILYLCRASLERASRCVQQVISLLPCLLVADDEQSKSRLQLFIWALLVVRSQFGMLDDGARFHVISHLIRETVNFGKSMLATSIMGRDDSLDSGNNVKEAGSIHNLIQRDRVLAAVADEAKYTKSLDTDRQRQLRELQSRMDENSSAESNNRKAFEDEIQSSLTSILALDDSRRAAFQLTHEEEQQNVVAKWIHMFRALIDERGPWSANPFPNSSVRHWKLDKIEDTWRRRQKLRQNYHFDEKLCHPSSSVPSNEVTPPVNESKSGFVGHIPEQMKRFLLKGVWKITDEGCSEPNEIDTELGGQKPSIPKDTSDSQCSELAKDTSDWMQERKDSSSSSLETETSEVVTSVPCVLVTPKRKLAGHLAVMKNVLHFFGEFLVEGTGGSSVFRNFHGSSNHDLTKPDQKQKSVKQPLYLDSDSEKGATVDKFEAMNENVLKRKQLKNIKRHRRWNMGKIKAVSWTRYLLRYSAIEIFFSDSAAPVFLNFATQKDAKDTGTLIVATRNEYLFPKGSGRDKSGAISFVDRRVALEMAETARESWRRREMTNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSEVLDFNKSSTFRDLSKPVGALDVKRFEVFEDRYRSFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYQNCLSNTSDVKELIPEFFYMPEFLVNSNSYHFGVRQDGEPIADVCLPPWAKGSPEEFINKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLETMEDDLQRSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLRFAPGSINLTSIVCSSSHQRSAALYVRTVDSNVVLVNQGLTLSVKMWLTTSLQSGGNFTFSGSQDPSFGVGSDILSPRKIGSPSAENVELGAQCFATMQTPSENFLISCGNWENSFQVISLNDGRMVQSIRQHKDVVSCIAVTSDGSFLATGSYDTTIMVWEVFRGRTQEKRTRNTQTELPRKDYVIVETPFRILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLQDGRYVRSLRHPSGCALSKLVASRHGRIVFYADDDLSLHLYSINGKHLASSESNGRLNCVELSGCGEFLVCAGDQGQIIVRSMNSLEVIKKCNGVGKIITSLTVTPEECFLAGTKEGTLLVYSIENTQLRKANLPRNSKSKPSSTG >ONI35409 pep chromosome:Prunus_persica_NCBIv2:G1:43634710:43671563:1 gene:PRUPE_1G534000 transcript:ONI35409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSGGHDGDSASGSQAQKFSPPGPKIRFSEVGDEAVLNILWDRYEKAIDKVEKRRLFHVFLKQFLVVYKNWEPVNSGQISEVASTTIQTAEYSSNSDVVIGCFAGHPAEVILILTEEITQLTAMVAELNTSTVRSSADLSSHSTTLNIISEGMPLLDALMIVTRSLHNCRVFGYHGGIQKLTALMKGVVVQLKTISGALSADEKSSDYTVERTGLLQQILVYVVSIICSFIDLNSNVYEKGQLYSNTIGSVSRDGTSPVDSSGSSKVPSSEIRLRWHQRAVVSVMEAGGLNWLVELLRVIRRLSMKERWTDTSLLDLSLRILHSTLSQNPRGQNHFKSIGGLEVLLDGLGIPSSNGLMSKRSAVEKRYENTLLKIFQLHVLSLEVLKEAVYGNISNLQFLCENGRVQKFANSFCSPAFMFQEYKQQTKDMSGQLDFQMPMVDFGSDNTVKNHIAETSVALPANVSYSQLWSDYAVKLSRVFCSFLPASEDIKSHDLEASTGQTVVAVSSLYGELSIKWVMRVLVTVFPCIKACSNQNDLPSHLRVFVNTLQHCVLNAFRKVLVSSPASLKVFRDEGIWELIFSEHFFYFGPASDDLSGECCTYKESPPELLSAFSGINNILQMEVISFVEFAATSNGSAHNLPELSALLDSLEQSACNPEVASVLAKSLIRILQLSAEKTVASFKSVNAFPRVLKVACIQAQESRRFVNVSPSVESNVVEVVPNNRKSNSHETMQRWLKCMETSMELYMEFFSTAEDARSLVLHSSECIGYLFDLFWEEGLRKNVFKHIFELMKSVSSSEEDQRAKLQLCSKYLETFTQIKEREKSFAELSISLLVGMRDMLQIDPVYYQTLFRDGECFLHVVSLLNGNLDEANGEKLVLNVLQTLTCLLASNDASKATFRVLAGKGYQTLQSLLLEFCQSRSSEGLLNALLDMLVDGKFDMKSGPKIKNEDVIILYLRVLRESSDSSQHNGLDVFQQLLRDSISNRASCVRAGMLNFLLDWFSQEDNDSVILKIAQLIQVVGGHSTSGKDIRKIFALLRSEKIGNRQQYCSLLLSSVLSMLNEKGPTAFFDFSGNDSGIIIKTPVQWPLNKGFSFSCWLRVENFPRSGKMGLFNFLAENGRGCMAALAKDKLLYESMNLKRQSVQLQVNIVRKKWHFLCITHSIGRAFSGGSLLRCYVDGDLVSSERCRYAKVNELLTSCRIGAKFDVPLYDDDFAMESVKDSHPFLGQVGPVYLFNDAISSEQVQGIYSLGPSYMYSFLDNEAASSKDNPVLSGVLDVKDGLASKIIFGLNAQACDGRKLFNVSPMLDHVSDRNSFEATVMVGTQQCSRRLLQQIIYCVGGVSVFFPLIAQSEKYENEESGQFEHTLPIPITRERVTAEVIELIASVLDENIANQQQMHLLSGFSILGFLLQSVPPQQLNLETLSALKHLFNVVANCGLAELLTKEAISSIFLNPLIWLYTVYKVQRELYMFLIQQFDNDPRLLKSLCRLPRVIDVIRQFYWDNPKSRFAIGSMPLLHPVTKQVLGERPSNEEIRKIRLLLLSLGEMSLRQKIAAADIRALIAFFETSQDSTCIEDVLHMIIRALSQKPLLASFLEQVNLVGGCHIFVNLLQREYEPIRLLSLQLLGRLLVDLPSEKKGARFFYLAVGRSRSLSDGHKKNSMRMQPIFSAMSDRLFRFPQTDNLCASLFDVLLGGASPKQVLQKHSQVERQRSKGHVSHFLLPQILVLIFRFLSGCEDVASRMKIFRDLLDLLDSDPSNVEAFMEFGWNAWLTACVKLGVFKSYKVNPQDQDDNEKNEQDIVRNLFGVVLCHYVHSVKGGWQQLEETVTFLLMQCEHEGVSFRYLLRDIYTDLIRKLVELSSEENIFISQPCRDNTLYLLRLVDEMLISEIDQKLPFPASSSDFSLDSLELERHKDYGSALYEVLQGEIDSQTSRIPGSCKQPINNAEGIVNEKWWNPYDNLWIILSEMNGKGPSKSLPKSSPSVGPSFGQRARGLVESLNIPAAEVAAVVVSGGIGSALGGKPNKNVDKAMLLRGERCPRIIFRLVILYLCRASLERASRCVQQVISLLPCLLVADDEQSKSRLQLFIWALLVVRSQFGMLDDGARFHVISHLIRETVNFGKSMLATSIMGRDDSLDSGNNVKEAGSIHNLIQRDRVLAAVADEAKYTKSLDTDRQRQLRELQSRMDENSSAESNNRKAFEDEIQSSLTSILALDDSRRAAFQLTHEEEQQNVVAKWIHMFRALIDERGPWSANPFPNSSVRHWKLDKIEDTWRRRQKLRQNYHFDEKLCHPSSSVPSNEGVWKITDEGCSEPNEIDTELGGQKPSIPKDTSDSQCSELAKDTSDWMQERKDSSSSSLETETSEVVTSVPCVLVTPKRKLAGHLAVMKNVLHFFGEFLVEGTGGSSVFRNFHGSSNHDLTKPDQKQKSVKQPLYLDSDSEKGATVDKFEAMNENVLKRKQLKNIKRHRRWNMGKIKAVSWTRYLLRYSAIEIFFSDSAAPVFLNFATQKDAKDTGTLIVATRNEYLFPKGSGRDKSGAISFVDRRVALEMAETARESWRRREMTNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSEVLDFNKSSTFRDLSKPVGALDVKRFEVFEDRYRSFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYQNCLSNTSDVKELIPEFFYMPEFLVNSNSYHFGVRQDGEPIADVCLPPWAKGSPEEFINKNREALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLETMEDDLQRSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLRFAPGSINLTSIVCSSSHQRSAALYVRTVDSNVVLVNQGLTLSVKMWLTTSLQSGGNFTFSGSQDPSFGVGSDILSPRKIGSPSAENVELGAQCFATMQTPSENFLISCGNWENSFQVISLNDGRMVQSIRQHKDVVSCIAVTSDGSFLATGSYDTTIMVWEVFRGRTQEKRTRNTQTELPRKDYVIVETPFRILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLQDGRYVRSLRHPSGCALSKLVASRHGRIVFYADDDLSLHLYSINGKHLASSESNGRLNCVELSGCGEFLVCAGDQGQIIVRSMNSLEVIKKCNGVGKIITSLTVTPEECFLAGTKEGTLLVYSIENTQLRKANLPRNSKSKPSSTG >ONI28911 pep chromosome:Prunus_persica_NCBIv2:G1:13692897:13708188:-1 gene:PRUPE_1G168200 transcript:ONI28911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAVVNPISTVSSSASANQMATISVRNNLVLVSSSQCGHALQFLFSNYKFRTRQVFLGTWNGRRGGFPLNRGFRVFCQSKTEEMQIRRYSPFLESAFLDRNGAFVSGEWHAVPDIWRSSAERYGDRIALTDPYHDPPSSMTYKQLEEEILDFAEGLRVVGVKPEEKIALFADNSCRWLVADQGIMATGAINVVRGSRSSVEELLQIYDHSESVALAVDSPELFNRIAEAFSSKVVMKFVILLWGDKSSLASEGKIPVFNYREILDLGRESRKSAPDFNDARQQYVHEAINSDDIATLVYTSGTTGNPKGVMLTHRNLLHQIKNLWDVVPAEVGDRFLSMLPPWHAYERACEYFIFTYGIEQVYTTVRNLKDDLRHYQPNYIISVPLVYETLYSGIQKQISTSSAARKFIALTFIRISLAYMEFKRIYEGTYLTRNQKQPSYLASVYDWLWARIVAAILWPLHMLGKKLVYSKIHSAIGISKAGISGGGSLPPHVDKFFEAIGVKVQNGYGLTETSPVIAARRPNCNVLGSVGPPIRHTEFKVVESETGEVLLPGSTGIVKVRGPQVMKGYYKNPGATEQVLDEDGWLNTGDIGWIAPHHSTGRSRRCGGVVVLEGRAKDTIVLSTGLQGVHFKLDQS >ONI28910 pep chromosome:Prunus_persica_NCBIv2:G1:13692897:13708188:-1 gene:PRUPE_1G168200 transcript:ONI28910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAINVVRGSRSSVEELLQIYDHSESVALAVDSPELFNRIAEAFSSKVVMKFVILLWGDKSSLASEGKIPVFNYREILDLGRESRKSAPDFNDARQQYVHEAINSDDIATLVYTSGTTGNPKGVMLTHRNLLHQIKNLWDVVPAEVGDRFLSMLPPWHAYERACEYFIFTYGIEQVYTTVRNLKDDLRHYQPNYIISVPLVYETLYSGIQKQISTSSAARKFIALTFIRISLAYMEFKRIYEGTYLTRNQKQPSYLASVYDWLWARIVAAILWPLHMLGKKLVYSKIHSAIGISKAGISGGGSLPPHVDKFFEAIGVKVQNGYGLTETSPVIAARRPNCNVLGSVGPPIRHTEFKVVESETGEVLLPGSTGIVKVRGPQVMKGYYKNPGATEQVLDEDGWLNTGDIGWIAPHHSTGRSRRCGGVVVLEGRAKDTIVLSTGENVEPVELEEAAMRSSLIQQIVVVGQDQRRLGAIIVPNKDEVLLAAKKLSIVDVNASDLSKDKMTSLLYEELRKWTSGCSFQIGPILIVDEPFTIDSGFMTPTMKIRRDRVVAQYKEQIENLYK >ONI28908 pep chromosome:Prunus_persica_NCBIv2:G1:13692897:13708188:-1 gene:PRUPE_1G168200 transcript:ONI28908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAVVNPISTVSSSASANQMATISVRNNLVLVSSSQCGHALQFLFSNYKFRTRQVFLGTWNGRRGGFPLNRGFRVFCQSKTEEMQIRRYSPFLESAFLDRNGAFVSGEWHAVPDIWRSSAERYGDRIALTDPYHDPPSSMTYKQLEEEILDFAEGLRVVGVKPEEKIALFADNSCRWLVADQGIMATGAINVVRGSRSSVEELLQIYDHSESVALAVDSPELFNRIAEAFSSKVVMKFVILLWGDKSSLASEGKIPVFNYREILDLGRESRQQYVHEAINSDDIATLVYTSGTTGNPKGVMLTHRNLLHQIKNLWDVVPAEVGDRFLSMLPPWHAYERACEYFIFTYGIEQVYTTVRNLKDDLRHYQPNYIISVPLVYETLYSGIQKQISTSSAARKFIALTFIRISLAYMEFKRIYEGTYLTRNQKQPSYLASVYDWLWARIVAAILWPLHMLGKKLVYSKIHSAIGISKAGISGGGSLPPHVDKFFEAIGVKVQNGYGLTETSPVIAARRPNCNVLGSVGPPIRHTEFKVVESETGEVLLPGSTGIVKVRGPQVMKGYYKNPGATEQVLDEDGWLNTGDIGWIAPHHSTGRSRRCGGVVVLEGRAKDTIVLSTGENVEPVELEEAAMRSSLIQQIVVVGQDQRRLGAIIVPNKDEVLLAAKKLSIVDVNASDLSKDKMTSLLYEELRKWTSGCSFQIGPILIVDEPFTIDSGFMTPTMKIRRDRVVAQYKEQIENLYK >ONI28909 pep chromosome:Prunus_persica_NCBIv2:G1:13693378:13707907:-1 gene:PRUPE_1G168200 transcript:ONI28909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAVVNPISTVSSSASANQMATISVRNNLVLVSSSQCGHALQFLFSNYKFRTRQVFLGTWNGRRGGFPLNRGFRVFCQSKTEEMQIRRYSPFLESAFLDRNGAFVSGEWHAVPDIWRSSAERYGDRIALTDPYHDPPSSMTYKQLEEEILDFAEGLRVVGVKPEEKIALFADNSCRWLVADQGIMATGAINVVRGSRSSVEELLQIYDHSESVALAVDSPELFNRIAEAFSSKVVMKFVILLWGDKSSLASEGKIPVFNYREILDLGRESRKSAPDFNDARQQYVHEAINSDDIATLVYTSGTTGNPKGVMLTHRNLLHQIKNLWDVVPAEVGDRFLSMLPPWHAYERACEYFIFTYGIEQVYTTVRNLKDDLRHYQPNYIISVPLVYETLYSGIQKQISTSSAARKFIALTFIRISLAYMEFKRIYEGTYLTRNQKQPSYLASVYDWLWARIVAAILWPLHMLGKKLVYSKIHSAIGISKAGISGGGSLPPHVDKFFEAIGVKVQNGYGLTETSPVIAARRPNCNVLGSVGPPIRHTEFKVVESETGEVLLPGSTGIVKVRGPQVMKGYYKNPGATEQVLDEDGWLNTGDIGWIAPHHSTGRSRRCGGVVVLEGRAKDTIVLSTGENVEPVELEEAAMRSSLIQQIVVVGQDQRRLGAIIVPNKDEVLLAAKKLSIVDVNASDLSKDKMTSLLYEELRKWTSGCSFQIGPILIVDEPFTIDSGFMTPTMKIRRDRVVAQYKEQIENLYK >ONI26861 pep chromosome:Prunus_persica_NCBIv2:G1:3592314:3593660:1 gene:PRUPE_1G051100 transcript:ONI26861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLGVMAGHQMGWGIIEEEGWRKGPWTAEEDRLLIEYVRVHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPNEESIIVELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKVPSDASEKAKTRLLRRQQFHQQQQQQQQQQQQQQQQQLQLINQAEVKRIMAFLDENENNKISSWPQVKQDMDTSSAAYPHNTADHQEQGFFYSMLNGNVYVPEDSSNEDSFLWDGLWNLDDVHGNFGTAAGKASFHNLVVPFC >ONI35006 pep chromosome:Prunus_persica_NCBIv2:G1:42005435:42006323:1 gene:PRUPE_1G509900 transcript:ONI35006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVGYGFAEAYVLRGLHKKKLKMEQEEERAKLGGAESEMAQPSGCLFRVMKKVHPSNGQSQRACSAETDETGEVGALNQKVR >ONI26672 pep chromosome:Prunus_persica_NCBIv2:G1:2748497:2751988:-1 gene:PRUPE_1G038900 transcript:ONI26672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNKTIVWFRRDLRIEDNPALAAAARDGSVFPVYIWCPQDEGQFYAGRVSRWWLKQSLAHLDHSLRSLGAELVLIRTQSTLAALLECIEAIGATKVVFNHLYDPISLVCDHNIKGKLVELGISVQSYNADLLNEPWEVYDARGQAFTTFEAYWDKSLNMQRELVTLLPPWKLVPATGNVAKCSIEELGLENETEKSSNALLARAWSPGWSNADKALSEFSELHLLQYSKNRTKVGQNSTSLLSPYLHFGELSVRKVFQLARMKQILWAKEGNSIGVESVTLFLRAIGLREYSRYLCFNFPFTHERPLLSNLNFFPWQADQNNFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKVLLLPWKWGMKYFWDTLLDADLESDILGWQYISGSLPDGHELERLDSPEVQGSKFDPEGEYVRHWLPELARLPTEWIHHPWDAPDNVLKVSGVELGFNYPKPFIEIDLAREHLTKAIFKMWEMEAAAKAANSNGTDEVVVDNSDGIESLPIPKVILKNNAPCPTYSSNDQKVPTCQNSKSNQFNRKRSKHMEEERPLQDNLHNPNEAGTSRTNEDCSSTAESSMSKKQTTSRNSFSVPQSCSSSKDNSFLECESSDMKQSWQEQIDMEQSSSKDGATGDECL >ONI36347 pep chromosome:Prunus_persica_NCBIv2:G1:47269074:47269751:1 gene:PRUPE_1G581800 transcript:ONI36347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPKPSRSFLIYEYVLTFMYKLLKLLVLEIPFLMFPQLFTQVKRLCLCLLNLLNILPQVVQSLDWSGSSNQSITSIVHPLICN >ONI28652 pep chromosome:Prunus_persica_NCBIv2:G1:12089214:12091842:1 gene:PRUPE_1G153300 transcript:ONI28652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEDDLFFADLTRQISLLIMDDDEDPVATCPSVSLQAFSCAIHHPPAQPTSLYEQSRRRETKGTGVFIPQSSQPRRKHRQGRFASYNTKSHRSSQTDHHKRTVSQVSSDCAFRPKNG >ONI28653 pep chromosome:Prunus_persica_NCBIv2:G1:12090439:12091200:1 gene:PRUPE_1G153300 transcript:ONI28653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEDDLFFADLTRQISLLIMDDDEDPVATCPSVSLQAFSCAIHHPPAQPTSLYEQSRRRETKGTGVFIPQSSQPRRKHRQGRFASYNTKSHRSSQTDHHKRTVSQVSSDCAFRPKNG >ONI30802 pep chromosome:Prunus_persica_NCBIv2:G1:27990512:27992266:1 gene:PRUPE_1G273700 transcript:ONI30802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALFVKEEGWVDLSDTNSGSRVFLFAAIFLIYVLLFGLNMHFPVEYLVEPKEVMNFTLFSLEFIDQEGIPSLSNIYEPRFGGHQTLEEREQSFFAKNQTLHCGFIKGPPGFSSTGFDIDVKDKAYKNKCKIAVSSCIFGSSDFLRRPTSKKVNELVFIEHCECRALVKQFWHREEPSPPGPPPLVT >ONI29250 pep chromosome:Prunus_persica_NCBIv2:G1:17507809:17513850:1 gene:PRUPE_1G189500 transcript:ONI29250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLPTRFSPVMSFCTVHAVSSSHHRICKRFPIFLKLSSSSSSLSALKAMDIIDETTNNDSSYHELHLSMQGHASSGNFAKALDFLNSMRNVPGKPTVYDFNALLYSYLKSQSVLLEYVVQVYHGMERFGPTPNSSTFNVLLNGMLSLGHLKDAFVIAEKMVGGGFLPSFTSLSKVLKKMLQVGDLVNSIGVFKLMLKLEYFPTEPSLSLLISKLSKARMIQEVWFVCYALISKGHFFGAYVYNPILWALCKSGQSYNALELYYWMKRKGIVHNACSYTALIYGFGREGLWRDLLGCLNEMESDGCKPSVITYTIIIKFLCGEGRIAGALDFLTKMEREGCEPDMTTYNVILHELCLQDRVDEVVHLLDMIENKGFSPNSYTYAALGGGLLKTGKIGIACELLLGVITRGCYVDVAVYNIYFHCLCHENRSKEALYLLKKMMEEGLMPSNVSFNTILKGFCRENNISKALKLLDCFKWDENGPDVISFNTILSVACKQKKHSMIQRVLSRLKNGGVQPNAVSLNCLIQYFCKVEKFSDCLKLLDYMTCNGSSPTIVTFNVLLGSLCKNGLVGIAQQVFKHLRNTGFFPDTTSYNILIHAFIREGNKVMVNQLVNYMYSQGLKPDLFTYGSLISGLCKEGKASVALKLRDEMVENGLAPSIVIYNTLLEAMFQRGTYSDIFSLLKILVLEGCQPNEATFEILNRPMCKSWMKRSVEVAKFLELVDECKAN >ONI35184 pep chromosome:Prunus_persica_NCBIv2:G1:42733422:42737053:1 gene:PRUPE_1G521100 transcript:ONI35184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTDFRHWDELIPDALGLIFSNLTLQDILTVIPRVCQSWSKVAMGPYCWQEIDIEEWSNRCQPQHLDRMLQMLIARSCGSLRKLCVSGLQNDMMFSYITEHAGSLQTLRLPRSEIGDSIVEQTAGRLSTITFLDLSYCGKIGSRALEAIGKNCKLLVGLCRNMHPLDTAGKPLMDEEAHAIATTMPRLKHLEMAYHLISTKSALQILSNCTELEFLDLRGCWDVQLEDKFLKEKYPKLKVLGPLILDYYERNEWEDCSDFSDASEYLAWEFVAGELEDYYDDDDDVSYDGMWDDEGRLEELELRFYEGIDQNGDAVYGGHGWPPSP >ONI35186 pep chromosome:Prunus_persica_NCBIv2:G1:42733422:42737053:1 gene:PRUPE_1G521100 transcript:ONI35186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTDFRHWDELIPDALGLIFSNLTLQDILTVIPRVCQSWSKVAMGPYCWQEIDIEEWSNRCQPQHLDRMLQMLIARSCGSLRKLCVSGLQNDMMFSYITEHAGSLQTLRLPRSEIGDSIVEQTAGRLSTITFLDLSYCGKIGSRALEAIGKNCKLLVGLCRNMHPLDTAGKPLMDEEAHAIATTMPRLKHLEMAYHLISTKSALQILSNCTELEFLDLRGCWDVQLEDKFLKEKYPKLKVLGPLILDYYERNEWEDCSDFSDASEYLAWEFVAGELEDYYDDDDDVSYDGMWDDEGRLEELELRFYEGIDQNGDAVYGGHGWPPSP >ONI35183 pep chromosome:Prunus_persica_NCBIv2:G1:42734654:42737053:1 gene:PRUPE_1G521100 transcript:ONI35183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTDFRHWDELIPDALGLIFSNLTLQDILTVIPRVCQSWSKVAMGPYCWQEIDIEEWSNRCQPQHLDRMLQMLIARSCGSLRKLCVSGLQNDMMFSYITEHAGSLQTLRLPRSEIGDSIVEQTAGRLSTITFLDLSYCGKIGSRALEAIGKNCKLLVGLCRNMHPLDTAGKPLMDEEAHAIATTMPRLKHLEMAYHLISTKSALQILSNCTELEFLDLRGCWDVQLEDKFLKEKYPKLKVLGPLILDYYERNEWEDCSDFSDASEYLAWEFVAGELEDYYDDDDDVSYDGMWDDEGRLEELELRFYEGIDQNGDAVYGGHGWPPSP >ONI35185 pep chromosome:Prunus_persica_NCBIv2:G1:42733422:42737053:1 gene:PRUPE_1G521100 transcript:ONI35185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTDFRHWDELIPDALGLIFSNLTLQDILTVIPRVCQSWSKVAMGPYCWQEIDIEEWSNRCQPQHLDRMLQMLIARSCGSLRKLCVSGLQNDMMFSYITEHAGSLQTLRLPRSEIGDSIVEQTAGRLSTITFLDLSYCGKIGSRALEAIGKNCKLLVGLCRNMHPLDTAGKPLMDEEAHAIATTMPRLKHLEMAYHLISTKSALQILSNCTELEFLDLRGCWDVQLEDKFLKEKYPKLKVLGPLILDYYERNEWEDCSDFSDASEYLAWEFVAGELEDYYDDDDDVSYDGMWDDEGRLEELELRFYEGIDQNGDAVYGGHGWPPSP >ONI35182 pep chromosome:Prunus_persica_NCBIv2:G1:42733422:42737053:1 gene:PRUPE_1G521100 transcript:ONI35182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTDFRHWDELIPDALGLIFSNLTLQDILTVIPRVCQSWSKVAMGPYCWQEIDIEEWSNRCQPQHLDRMLQMLIARSCGSLRKLCVSGLQNDMMFSYITEHAGSLQTLRLPRSEIGDSIVEQTAGRLSTITFLDLSYCGKIGSRALEAIGKNCKLLVGLCRNMHPLDTAGKPLMDEEAHAIATTMPRLKHLEMAYHLISTKSALQILSNCTELEFLDLRGCWDVQLEDKFLKEKYPKLKVLGPLILDYYERNEWEDCSDFSDASEYLAWEFVAGELEDYYDDDDDVSYDGMWDDEGRLEELELRFYEGIDQNGDAVYGGHGWPPSP >ONI33356 pep chromosome:Prunus_persica_NCBIv2:G1:36293541:36295344:-1 gene:PRUPE_1G418900 transcript:ONI33356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQAKLFVGGISRETSEETLKYHFRSYGTVVGSVIAKDRGTNNPRGFGFVWFSDSSSADKALEDPHVILGRRVDVKKAIPRNEHQSTWLSRSSSRSFENSNNQFRTRKIFVGGLSANLTEEGFKDYFEKFGSVTDVVVMYDNTTRRPRGFGFVTFASEDAVENVMQQSFHELNGRFVEVKKAVPKEENNSNDSGYAPVNYPPYTYSPTPTYGILPSPTPYNGFGPFMYGTSVYGGWYPTGGSGGIPYGIAPIAPQAWMFPYGNSLYPSYMRGGGLVAGGYSGILGHGAVGGISNQVVFGNGQAPASVKSSRVEAEKLDVDSGSNSAGLKKRNSKRS >ONI33357 pep chromosome:Prunus_persica_NCBIv2:G1:36293856:36295146:-1 gene:PRUPE_1G418900 transcript:ONI33357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQAKLFVGGISRETSEETLKYHFRSYGTVVGSVIAKDRGTNNPRGFGFVWFSDSSSADKALEDPHVILGRRVDVKKAIPRNEHQSTWLSRSSSRSFENSNNQFRTRKIFVGGLSANLTEEGFKDYFEKFGSVTDVVVMYDNTTRRPRGFGFVTFASEDAVENVMQQSFHELNGRFVEVKKAVPKEENNSNDSGYAPVNYPPYTYSPTPTYGILPSPTPYNGFGPFMYGTSVYGGWYPTGGSGGIPYGIAPIAPQAWMFPYGNSLYPSYMRGGGLVAGGYSGILGHGAVGGISNQVVFGNGQAPASVKSSRVEAEKLDVDSGSNSAGLKKRNSKRS >ONI33355 pep chromosome:Prunus_persica_NCBIv2:G1:36293541:36295610:-1 gene:PRUPE_1G418900 transcript:ONI33355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQAKLFVGGISRETSEETLKYHFRSYGTVVGSVIAKDRGTNNPRGFGFVWFSDSSSADKALEDPHVILGRRVDVKKAIPRNEHQSTWLSRSSSRSFENSNNQFRTRKIFVGGLSANLTEEGFKDYFEKFGSVTDVVVMYDNTTRRPRGFGFVTFASEDAVENVMQQSFHELNGRFVEVKKAVPKEENNSNDSGYAPVNYPPYTYSPTPTYGILPSPTPYNGFGPFMYGTSVYGGWYPTGGSGGIPYGIAPIAPQAWMFPYGNSLYPSYMRGGGLVAGGYSGILGHGAVGGISNQVVFGNGQAPASVKSSRVEAEKLDVDSGSNSAGLKKRNSKRS >ONI35007 pep chromosome:Prunus_persica_NCBIv2:G1:42008531:42020959:1 gene:PRUPE_1G510000 transcript:ONI35007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRITKWKLEKTKVKVVFRLQFNATHVPQTGWDKLFISFIPADSGKATAKTTKANVRNGTCKWGDPIYETTRLLQDTKTKQYDEKLYKLVVTMGSSRSSVLGEANINLADYADASKPSSVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRESGLRTTSDQNRNDVSTARRISSSEDTVNDQMDKMNARVKFKELSPLEEEVGLNEEYADSTVGFDGSSNTSESIYAEKHDTSSTHEIDSLKSTTSGDLGGLSLSQSPGQEKGDPSDQQFLAQGTNEWAHGWGSDFSADAGLPNSYEENSRLRGSLEAAESSILELKQEVSTLQSHADEIGIEAQKFSVQLDAEIASGERLAKEVSVLRSECSKLKEDLEEQKSSKLSRETIEIGQDYLFHELQLRWFKGLSDMDDKIRELQRKACFGIHEMDFASFLSDFEGLLGVLQVLKQETGQASSGLNLTSVKQADEMSLHKREQLVIGTRFDADFYQPEGVLHCLSIPGPVLQDFDSVDAANAMKGEVFELLREVNELKAERESLAKKADQMECYYEALIQELEENQRQMMGELQNLRNEHSTCLYTISSTKAEMERIQQDMNNERIIFSKEKCDFDSLNKELERRATTAEAALKRARMNYSIAVNQLQKDLELLSFQVQSMYENNENLIKQAFADSLLPSLPACEETLQNQKLDSEESHSAEHLQCQNQFSGINKQHLDGNILSEDLRKSLLFQKGLYQKVEEELYEVHLVNVYLDVFSKTLQVTLVEASADFGLTKEKVHDLSQQLELSTESNELLMRRLQTALDEIRFLNEYKDTCNSNCNDLALRNQVLEADLQNATSENDLLIQKIAEWKDMIKEYETYESKYKACTTEKLQLENLLKKETLENDTLQNRLSSLQEELKYVRTDFDELTYVKENLQNIVNFLQGKLWNLLASYDQKYKGMDLCIGCVSQDLESKDLTGVVLQIEQLQHNAYEKIVQLMEEKKDIAQERDIARESLSAAESDNLIIKRQFEHDLRGIMDKLELSNALVRKLQLQVEALANRPEISSVAEENYAQQYRELFSDLNQLEMELQQLTSKNQDLAGQIMEFEKVTEELGRCKLSMAAMSEEKEALIISLQDKTEESSKLAQELNSLQGSLLSLHDDLQTERNLGDKLESTITDLTSQLNEKNCQLLGFDGQKAEVVYLKQLLSDLELEKSRVSGLLLDSEECLKDVREECSSVSALEGQLSEMHEFSIAADVGLTFTKTQYETRIEEIGRCNMTIAALSEEKEALMTSLQDKTEESSKLALELKYLQGSLLSLHDELQIERNLRDKLESAITDLTSQLNEKHCQLLGFDQQNAELVHLKQLVSDLELEKSRVSRLLFDSEECLKDARRECSFISALEAQLSEMHEFSIAADVGLTFTKTQFETRIEELGRCNLTIAALSEEKEALMVSLHDKTEESSKLVLKLNSLQGSLFSLHDELQIERNLRDKLEGTITDLTYQLNEKNNQLLDFDHQKAELVHLKQLVSDLELEKSRVLRLLLDSEECLKDVREECSSISALEAQLSEMHEFSIAADVGFTFAKTQYRAMIEELGQKLQFSDSHVSELRNDHLNVENMLNKCLASERHYLEENTKLMASLSSLKSELEASSAQNRILLDTNSAMRTELEEYKERAENVEGVVHVDNSQSVLEIERLEYTLMTSEEEIDNLIFSKEALEVKVLVLKAKLDEQCAQITLLEGYKDELIMLRNKCSELTQRLAEQVLKTEEFKNLSIHFKELKDKAYAEGLHAHDKREPEGPPVAMQESLRIAFIKEQYETKLQELKQQLAMCKKHSEEMLMKLQDAINEVENRKRSEATHVKRNEELGMRILELESDLHSALSEKREIMKAYDLMKAEKECSLISLECCKEEKQQLEASLQKCNEEMAKIALELTSTKDLLESSSASINNQGEGNGSLHKADYISDDPVVEKVRHKKLTSGVQSSIVREDPLAKFSELDLANYEAADPECLNSIDEVDQSNGLINIHSEQDDLVSRGVNGIPSVVPSKQKDVLNSDMKHLVLANEHFKAQSLKSSMDNLNKELERMKHENLLLPLDDHHFDPNFSGVQRELMQLNKVNEELGSIFPLFNEFSCSGNALERVLALEVELAEALQAKKKSTFQFQSSFVKQHSDEEAVFHSFRDINELIKDMLDLKGRYATVETELKEMHDRYSQLSLQFAEVEGERQKLMMTLKNVRASKKAQYLNRSSTSPFLDPS >ONI35011 pep chromosome:Prunus_persica_NCBIv2:G1:42009976:42020107:1 gene:PRUPE_1G510000 transcript:ONI35011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRITKWKLEKTKVKVVFRLQFNATHVPQTGWDKLFISFIPADSGKATAKTTKANVRNGTCKWGDPIYETTRLLQDTKTKQYDEKLYKLVVTMGSSRSSVLGEANINLADYADASKPSSVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRESGLRTTSDQNRNDVSTARRISSSEDTVNDQMDKMNARVKFKELSPLEEEVGLNEEYADSTVGFDGSSNTSESIYAEKHDTSSTHEIDSLKSTTSGDLGGLSLSQSPGQEKGDPSDQQFLAQGTNEWAHGWGSDFSADAGLPNSYEENSRLRGSLEAAESSILELKQEVSTLQSHADEIGIEAQKFSVQLDAEIASGERLAKEVSVLRSECSKLKEDLEEQKSSKLSRETIEIGQDYLFHELQLRWFKGLSDMDDKIRELQRKACFGIHEMDFASFLSDFEGLLGVLQVLKQETGQASSGLNLTSVKQADEMSLHKREQLVIGTRFDADFYQPEGVLHCLSIPGPVLQDFDSVDAANAMKGEVFELLREVNELKAERESLAKKADQMECYYEALIQELEENQRQMMGELQNLRNEHSTCLYTISSTKAEMERIQQDMNNERIIFSKEKCDFDSLNKELERRATTAEAALKRARMNYSIAVNQLQKDLELLSFQVQSMYENNENLIKQAFADSLLPSLPACEETLQNQKLDSEESHSAEHLQCQNQFSGINKQHLDGNILSEDLRKSLLFQKGLYQKVEEELYEVHLVNVYLDVFSKTLQVTLVEASADFGLTKEKVHDLSQQLELSTESNELLMRRLQTALDEIRFLNEYKDTCNSNCNDLALRNQVLEADLQNATSENDLLIQKIAEWKDMIKEYETYESKYKACTTEKLQLENLLKKETLENDTLQNRLSSLQEELKYVRTDFDELTYVKENLQNIVNFLQGKLWNLLASYDQKYKGMDLCIGCVSQDLESKDLTGVVLQIEQLQHNAYEKIVQLMEEKKDIAQERDIARESLSAAESDNLIIKRQFEHDLRGIMDKLELSNALVRKLQLQVEALANRPEISSVAEENYAQQYRELFSDLNQLEMELQQLTSKNQDLAGQIMEFEKVTEELGRCKLSMAAMSEEKEALIISLQDKTEESSKLAQELNSLQGSLLSLHDDLQTERNLGDKLESTITDLTSQLNEKNCQLLGFDGQKAEVVYLKQLLSDLELEKSRVSGLLLDSEECLKDVREECSSVSALEGQLSEMHEFSIAADVGLTFTKTQYETRIEEIGRCNMTIAALSEEKEALMTSLQDKTEESSKLALELKYLQGSLLSLHDELQIERNLRDKLESAITDLTSQLNEKHCQLLGFDQQNAELVHLKQLVSDLELEKSRVSRLLFDSEECLKDARRECSFISALEAQLSEMHEFSIAADVGLTFTKTQFETRIEELGRCNLTIAALSEEKEALMVSLHDKTEESSKLVLKLNSLQGSLFSLHDELQIERNLRDKLEGTITDLTYQLNEKNNQLLDFDHQKAELVHLKQLVSDLELEKSRVLRLLLDSEECLKDVREECSSISALEAQLSEMHEFSIAADVGFTFAKTQYRAMIEELGQKLQFSDSHVSELRNDHLNVENMLNKCLASERHYLEENTKLMASLSSLKSELEASSAQNRILLDTNSAMRTELEEYKERAENVEGVVHVDNSQSVLEIERLEYTLMTSEEEIDNLIFSKEALEVKVLVLKAKLDEQCAQITLLEGYKDELIMLRNKCSELTQRLAEQVLKTEEFKNLSIHFKELKDKAYAEGLHAHDKREPEGPPVAMQESLRIAFIKEQYETKLQELKQQLAMCKKHSEEMLMKLQDAINEVENRKRSEATHVKRNEELGMRILELESDLHSALSEKREIMKAYDLMKAEKECSLISLECCKEEKQQLEASLQKCNEEMAKIALELTSTKDLLESSSASINNQGEGNGSLHKADYISDDPVVEKVRHKKLTSGVQSSIVREDPLAKFSELDLANYEAADPECLNSIDEVDQSNGLINIHSEQDDLVSRGVNGIPSVVPSKQKDVLNSDMKHLVLANEHFKAQSLKSSMDNLNKELERMKHENLLLPLDDHHFDPNFSGVQRELMQLNKVNEELGSIFPLFNEFSCSGNALERVLALEVELAEALQAKKKSTFQFQRYILYNSD >ONI35010 pep chromosome:Prunus_persica_NCBIv2:G1:42008616:42020959:1 gene:PRUPE_1G510000 transcript:ONI35010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRITKWKLEKTKVKVVFRLQFNATHVPQTGWDKLFISFIPADSGKATAKTTKANVRNGTCKWGDPIYETTRLLQDTKTKQYDEKLYKLVVTMGSSRSSVLGEANINLADYADASKPSSVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRESGLRTTSDQNRNDVSTARRISSSEDTVNDQMDKMNARVKFKELSPLEEEVGLNEEYADSTVGFDGSSNTSESIYAEKHDTSSTHEIDSLKSTTSGDLGGLSLSQSPGQEKGDPSDQQFLAQGTNEWAHGWGSDFSADAGLPNSYEENSRLRGSLEAAESSILELKQEVSTLQSHADEIGIEAQKFSVQLDAEIASGERLAKEVSVLRSECSKLKEDLEEQKSSKLSRETIEIGQDYLFHELQLRWFKGLSDMDDKIRELQRKACFGIHEMDFASFLSDFEGLLGVLQVLKQETGQASSGLNLTSVKQADEMSLHKREQLVIGTRFDADFYQPEGVLHCLSIPGPVLQDFDSVDAANAMKGEVFELLREVNELKAERESLAKKADQMECYYEALIQELEENQRQMMGELQNLRNEHSTCLYTISSTKAEMERIQQDMNNERIIFSKEKCDFDSLNKELERRATTAEAALKRARMNYSIAVNQLQKDLELLSFQVQSMYENNENLIKQAFADSLLPSLPACEETLQNQKLDSEESHSAEHLQCQNQFSGINKQHLDGNILSEDLRKSLLFQKGLYQKVEEELYEVHLVNVYLDVFSKTLQVTLVEASADFGLTKEKVHDLSQQLELSTESNELLMRRLQTALDEIRFLNEYKDTCNSNCNDLALRNQVLEADLQNATSENDLLIQKIAEWKDMIKEYETYESKYKACTTEKLQLENLLKKETLENDTLQNRLSSLQEELKYVRTDFDELTYVKENLQNIVNFLQGKLWNLLASYDQKYKGMDLCIGCVSQDLESKDLTGVVLQIEQLQHNAYEKIVQLMEEKKDIAQERDIARESLSAAESDNLIIKRQFEHDLRGIMDKLELSNALVRKLQLQVEALANRPEISSVAEENYAQQYRELFSDLNQLEMELQQLTSKNQDLAGQIMEFEKVTEELGRCKLSMAAMSEEKEALIISLQDKTEESSKLAQELNSLQGSLLSLHDDLQTERNLGDKLESTITDLTSQLNEKNCQLLGFDGQKAEVVYLKQLLSDLELEKSRVSGLLLDSEECLKDVREECSSVSALEGQLSEMHEFSIAADVGLTFTKTQYETRIEEIGRCNMTIAALSEEKEALMTSLQDKTEESSKLALELKYLQGSLLSLHDELQIERNLRDKLESAITDLTSQLNEKHCQLLGFDQQNAELVHLKQLVSDLELEKSRVSRLLFDSEECLKDARRECSFISALEAQLSEMHEFSIAADVGLTFTKTQFETRIEELGRCNLTIAALSEEKEALMVSLHDKTEESSKLVLKLNSLQGSLFSLHDELQIERNLRDKLEGTITDLTYQLNEKNNQLLDFDHQKAELVHLKQLVSDLELEKSRVLRLLLDSEECLKDVREECSSISALEAQLSEMHEFSIAADVGFTFAKTQYRAMIEELGQKLQFSDSHVSELRNDHLNVENMLNKCLASERHYLEENTKLMASLSSLKSELEASSAQNRILLDTNSAMRTELEEYKERAENVEGVVHVDNSQSVLEIERLEYTLMTSEEEIDNLIFSKEALEVKVLVLKAKLDEQCAQITLLEGYKDELIMLRNKCSELTQRLAEQVLKTEEFKNLSIHFKELKDKAYAEGLHAHDKREPEGPPVAMQESLRIAFIKEQYETKLQELKQQLAMCKKHSEEMLMKLQDAINEVENRKRSEATHVKRNEELGMRILELESDLHSALSEKREIMKAYDLMKAEKECSLISLECCKEEKQQLEASLQKCNEEMAKIALELTSTKDLLESSSASINNQGEGNGSLHKADYISDDPVVEKVRHKKLTSGVQSSIVREDPLAKFSELDLANYEAADPECLNSIDEVDQSNGLINIHSEQDDLVSRGVNGIPSVVPSKQKDVLNSDMKHLVLANEHFKAQSLKSSMDNLNKELERMKHENLLLPLDDHHFDPNFSGVQRELMQLNKVNEELGSIFPLFNEFSCSGNALERVLALEVELAEALQAKKKSTFQFQSSFVKQHSDEEAVFHSFRDINELIKDMLDLKGRYATVETELKEMHDRYSQLSLQFAEVEGERQKLMMTLKNVRASKKAQYLNRSSTSPFLDPS >ONI35009 pep chromosome:Prunus_persica_NCBIv2:G1:42008505:42020959:1 gene:PRUPE_1G510000 transcript:ONI35009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRITKWKLEKTKVKVVFRLQFNATHVPQTGWDKLFISFIPADSGKATAKTTKANVRNGTCKWGDPIYETTRLLQDTKTKQYDEKLYKLVVTMGSSRSSVLGEANINLADYADASKPSSVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRESGLRTTSDQNRNDVSTARRISSSEDTVNDQMDKMNARVKFKELSPLEEEVGLNEEYADSTVGFDGSSNTSESIYAEKHDTSSTHEIDSLKSTTSGDLGGLSLSQSPGQEKGDPSDQQFLAQGTNEWAHGWGSDFSADAGLPNSYEENSRLRGSLEAAESSILELKQEVSTLQSHADEIGIEAQKFSVQLDAEIASGERLAKEVSVLRSECSKLKEDLEEQKSSKLSRETIEIGQDYLFHELQLRWFKGLSDMDDKIRELQRKACFGIHEMDFASFLSDFEGLLGVLQVLKQETGQASSGLNLTSVKQADEMSLHKREQLVIGTRFDADFYQPEGVLHCLSIPGPVLQDFDSVDAANAMKGEVFELLREVNELKAERESLAKKADQMECYYEALIQELEENQRQMMGELQNLRNEHSTCLYTISSTKAEMERIQQDMNNERIIFSKEKCDFDSLNKELERRATTAEAALKRARMNYSIAVNQLQKDLELLSFQVQSMYENNENLIKQAFADSLLPSLPACEETLQNQKLDSEESHSAEHLQCQNQFSGINKQHLDGNILSEDLRKSLLFQKGLYQKVEEELYEVHLVNVYLDVFSKTLQVTLVEASADFGLTKEKVHDLSQQLELSTESNELLMRRLQTALDEIRFLNEYKDTCNSNCNDLALRNQVLEADLQNATSENDLLIQKIAEWKDMIKEYETYESKYKACTTEKLQLENLLKKETLENDTLQNRLSSLQEELKYVRTDFDELTYVKENLQNIVNFLQGKLWNLLASYDQKYKGMDLCIGCVSQDLESKDLTGVVLQIEQLQHNAYEKIVQLMEEKKDIAQERDIARESLSAAESDNLIIKRQFEHDLRGIMDKLELSNALVRKLQLQVEALANRPEISSVAEENYAQQYRELFSDLNQLEMELQQLTSKNQDLAGQIMEFEKVTEELGRCKLSMAAMSEEKEALIISLQDKTEESSKLAQELNSLQGSLLSLHDDLQTERNLGDKLESTITDLTSQLNEKNCQLLGFDGQKAEVVYLKQLLSDLELEKSRVSGLLLDSEECLKDVREECSSVSALEGQLSEMHEFSIAADVGLTFTKTQYETRIEEIGRCNMTIAALSEEKEALMTSLQDKTEESSKLALELKYLQGSLLSLHDELQIERNLRDKLESAITDLTSQLNEKHCQLLGFDQQNAELVHLKQLVSDLELEKSRVSRLLFDSEECLKDARRECSFISALEAQLSEMHEFSIAADVGLTFTKTQFETRIEELGRCNLTIAALSEEKEALMVSLHDKTEESSKLVLKLNSLQGSLFSLHDELQIERNLRDKLEGTITDLTYQLNEKNNQLLDFDHQKAELVHLKQLVSDLELEKSRVLRLLLDSEECLKDVREECSSISALEAQLSEMHEFSIAADVGFTFAKTQYRAMIEELGQKLQFSDSHVSELRNDHLNVENMLNKCLASERHYLEENTKLMASLSSLKSELEASSAQNRILLDTNSAMRTELEEYKERAENVEGVVHVDNSQSVLEIERLEYTLMTSEEEIDNLIFSKEALEVKVLVLKAKLDEQCAQITLLEGYKDELIMLRNKCSELTQRLAEQVLKTEEFKNLSIHFKELKDKAYAEGLHAHDKREPEGPPVAMQESLRIAFIKEQYETKLQELKQQLAMCKKHSEEMLMKLQDAINEVENRKRSEATHVKRNEELGMRILELESDLHSALSEKREIMKAYDLMKAEKECSLISLECCKEEKQQLEASLQKCNEEMAKIALELTSTKDLLESSSASINNQGEGNGSLHKADYISDDPVVEKVRHKKLTSGVQSSIVREDPLAKFSELDLANYEAADPECLNSIDEVDQSNGLINIHSEQDDLVSRGVNGIPSVVPSKQKDVLNSDMKHLVLANEHFKAQSLKSSMDNLNKELERMKHENLLLPLDDHHFDPNFSGVQRELMQLNKVNEELGSIFPLFNEFSCSGNALERVLALEVELAEALQAKKKSTFQFQSSFVKQHSDEEAVFHSFRDINELIKDMLDLKGRYATVETELKEMHDRYSQLSLQFAEVEGERQKLMMTLKNVRASKKAQYLNRSSTSPFLDPS >ONI35008 pep chromosome:Prunus_persica_NCBIv2:G1:42008565:42020959:1 gene:PRUPE_1G510000 transcript:ONI35008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRITKWKLEKTKVKVVFRLQFNATHVPQTGWDKLFISFIPADSGKATAKTTKANVRNGTCKWGDPIYETTRLLQDTKTKQYDEKLYKLVVTMGSSRSSVLGEANINLADYADASKPSSVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRESGLRTTSDQNRNDVSTARRISSSEDTVNDQMDKMNARVKFKELSPLEEEVGLNEEYADSTVGFDGSSNTSESIYAEKHDTSSTHEIDSLKSTTSGDLGGLSLSQSPGQEKGDPSDQQFLAQGTNEWAHGWGSDFSADAGLPNSYEENSRLRGSLEAAESSILELKQEVSTLQSHADEIGIEAQKFSVQLDAEIASGERLAKEVSVLRSECSKLKEDLEEQKSSKLSRETIEIGQDYLFHELQLRWFKGLSDMDDKIRELQRKACFGIHEMDFASFLSDFEGLLGVLQVLKQETGQASSGLNLTSVKQADEMSLHKREQLVIGTRFDADFYQPEGVLHCLSIPGPVLQDFDSVDAANAMKGEVFELLREVNELKAERESLAKKADQMECYYEALIQELEENQRQMMGELQNLRNEHSTCLYTISSTKAEMERIQQDMNNERIIFSKEKCDFDSLNKELERRATTAEAALKRARMNYSIAVNQLQKDLELLSFQVQSMYENNENLIKQAFADSLLPSLPACEETLQNQKLDSEESHSAEHLQCQNQFSGINKQHLDGNILSEDLRKSLLFQKGLYQKVEEELYEVHLVNVYLDVFSKTLQVTLVEASADFGLTKEKVHDLSQQLELSTESNELLMRRLQTALDEIRFLNEYKDTCNSNCNDLALRNQVLEADLQNATSENDLLIQKIAEWKDMIKEYETYESKYKACTTEKLQLENLLKKETLENDTLQNRLSSLQEELKYVRTDFDELTYVKENLQNIVNFLQGKLWNLLASYDQKYKGMDLCIGCVSQDLESKDLTGVVLQIEQLQHNAYEKIVQLMEEKKDIAQERDIARESLSAAESDNLIIKRQFEHDLRGIMDKLELSNALVRKLQLQVEALANRPEISSVAEENYAQQYRELFSDLNQLEMELQQLTSKNQDLAGQIMEFEKVTEELGRCKLSMAAMSEEKEALIISLQDKTEESSKLAQELNSLQGSLLSLHDDLQTERNLGDKLESTITDLTSQLNEKNCQLLGFDGQKAEVVYLKQLLSDLELEKSRVSGLLLDSEECLKDVREECSSVSALEGQLSEMHEFSIAADVGLTFTKTQYETRIEEIGRCNMTIAALSEEKEALMTSLQDKTEESSKLALELKYLQGSLLSLHDELQIERNLRDKLESAITDLTSQLNEKHCQLLGFDQQNAELVHLKQLVSDLELEKSRVSRLLFDSEECLKDARRECSFISALEAQLSEMHEFSIAADVGLTFTKTQFETRIEELGRCNLTIAALSEEKEALMVSLHDKTEESSKLVLKLNSLQGSLFSLHDELQIERNLRDKLEGTITDLTYQLNEKNNQLLDFDHQKAELVHLKQLVSDLELEKSRVLRLLLDSEECLKDVREECSSISALEAQLSEMHEFSIAADVGFTFAKTQYRAMIEELGQKLQFSDSHVSELRNDHLNVENMLNKCLASERHYLEENTKLMASLSSLKSELEASSAQNRILLDTNSAMRTELEEYKERAENVEGVVHVDNSQSVLEIERLEYTLMTSEEEIDNLIFSKEALEVKVLVLKAKLDEQCAQITLLEGYKDELIMLRNKCSELTQRLAEQVLKTEEFKNLSIHFKELKDKAYAEGLHAHDKREPEGPPVAMQESLRIAFIKEQYETKLQELKQQLAMCKKHSEEMLMKLQDAINEVENRKRSEATHVKRNEELGMRILELESDLHSALSEKREIMKAYDLMKAEKECSLISLECCKEEKQQLEASLQKCNEEMAKIALELTSTKDLLESSSASINNQGEGNGSLHKADYISDDPVVEKVRHKKLTSGVQSSIVREDPLAKFSELDLANYEAADPECLNSIDEVDQSNGLINIHSEQDDLVSRGVNGIPSVVPSKQKDVLNSDMKHLVLANEHFKAQSLKSSMDNLNKELERMKHENLLLPLDDHHFDPNFSGVQRELMQLNKVNEELGSIFPLFNEFSCSGNALERVLALEVELAEALQAKKKSTFQFQSSFVKQHSDEEAVFHSFRDINELIKDMLDLKGRYATVETELKEMHDRYSQLSLQFAEVEGERQKLMMTLKNVRASKKAQYLNRSSTSPFLDPS >ONI28733 pep chromosome:Prunus_persica_NCBIv2:G1:12554867:12560096:1 gene:PRUPE_1G158400 transcript:ONI28733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSPQMVKKRVPEWLNSSLWSSTPSPSLDDDRVQPYTSKPTATTTITSSSSTSDYEPPSMVDPPIPVPPPKPTREDKPPPQPQSTDSLPNHEDKNNTTPSPEDISRQAQLLVELSRKTINLRDLRRIASQGIPDGAGIRSTVWKLLLGYLPSDRGLWSSELTKKRSQYKHFKDELLMNPSEITRKMDNCTNCDTDESKGQSKGLLSRSEITHGEHPLSLGQSSVWNQFFQDTEIIEQIDRDVKRTHPDLHFFSGDSSDAKSNQDALKNILIVFAKLNPGIRYVQGMNEILAPLFYVFKTDPNEEDAASAEADTFFCFVELLSGFRDHFCQQLDNSVVGIRSTITKLSQLLKEHDEELWRHLEITTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPQETLLRVCCAMLILIRRRLLAGDFTSNLKLLQNYPPTNIRHLLYVAHKLRVQSSG >ONI32037 pep chromosome:Prunus_persica_NCBIv2:G1:32324873:32326076:-1 gene:PRUPE_1G345500 transcript:ONI32037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCSYLLNHVQIKGWPWPSRMRISKHTNPTVTESFKTTSRRHPKQGLLIAQCSLCLVQVGASPPSVALSPLYLPPSGEPSHITDAVSSILGIGKPDTSHTLSNLMHKLVLADLDPATAKLAIGFLGPFFSLFSFLFIIRIVMSWYPKIPVGKFPYVVAYAPTEPLLVPTRKLIPPLGGVDVTPVVWFGLISFLNEILVGPQGLLVLLSQQVNT >ONI32130 pep chromosome:Prunus_persica_NCBIv2:G1:32618308:32618590:1 gene:PRUPE_1G350200 transcript:ONI32130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQHIPLCKVATNLYPNGNRRMSGRTHNNAVWEKFKFQTLSPHRRQRLQHLYACELSQFPRIEHSASYAQ >ONI28823 pep chromosome:Prunus_persica_NCBIv2:G1:13131821:13133360:1 gene:PRUPE_1G163400 transcript:ONI28823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVGMWCSSLLYVDRRIMLGHRRFSSLSSKLKWSASQGFFFYCCLCSSSVFFPGLCYGMPCSLCGCQMTIILLIKGFFR >ONI28650 pep chromosome:Prunus_persica_NCBIv2:G1:12083686:12088133:1 gene:PRUPE_1G153200 transcript:ONI28650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPTAQESRSRDLDKLLLRPGNLVGPNFEPGPALRDDLKEYGRVLVVGAGGLGCELLKDLALSGFRKLEVIDMDRIEVSNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKELEFYSKFSIIALGLDSIEARSYINSVACSFLEYDSDDSALEETSKPMVDGGTEGFQGHARVIVPGVTPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHSGKAFDPDDPEHMKWVYNEATKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACVLETLKIASGCSKTLSNYLTYNGAEGLHTEVTEFVKDKDCLVCGPGVLIQLDTSITLEKFIDLLKEHPKLLLSKASITHRGKSLYMQAPPVLEEMTRSNLSIPLFDLIGKIPKDVVHAIGTTTKNDKKTSCLRKLRVVFKGVDGIADMDTAGET >ONI28651 pep chromosome:Prunus_persica_NCBIv2:G1:12083686:12087253:1 gene:PRUPE_1G153200 transcript:ONI28651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPTAQESRSRDLDKLLLRPGNLVGPNFEPGPALRDDLKEYGRVLVVGAGGLGCELLKDLALSGFRKLEVIDMDRIEVSNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKELEFYSKFSIIALGLDSIEARSYINSVACSFLEYDSDDSALEETSKPMVDGGTEGFQGHARVIVPGVTPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHSGKAFDPDDPEHMKWVYNEATKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACVLETLKIASGCSKTLSNYLTYNGAEGLHTEVTEFVKDKDCLVCGPGVLIQLDTSITLEKVGFSRNK >ONI26187 pep chromosome:Prunus_persica_NCBIv2:G1:692542:694269:-1 gene:PRUPE_1G008400 transcript:ONI26187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKFPKLETFVAKQLPSYFSRMASDNGSNLIGDPAIWCGSVSKSSLSPESSSSSTEEFSSSGSNLIDNMPGLTKSPFVPVNFLDTFPQLSRVQEPNLLDPSSLSSSSSSTQVEVVDSLGKTKGCDEPSMFPYLSIPSFPTPQVIDQIQRQPGMIEWLKLNQNLASHSSKGFNDYWLSTTKTQPMKHTGRSSRLQQQINQHRKQPHNNLSSAASTPGKLFRGVRQRHWGKWVAEIRLPRNRARVWLGTFDTAEEAAMAYDTAAYMLRGEHAHLNFPDLKHQLKANALKGTTAALLEAKLQAITSSQAHQKAIMESKSSQLASPNKHSADDHDDHKYSNLMINSNFSQNPTRKEWQFGLESKVGSDHQVVIESKKSKQDLVSADVDAVQLSRMPSLDMDMIWDALLVSDS >ONI28157 pep chromosome:Prunus_persica_NCBIv2:G1:9984197:9985138:-1 gene:PRUPE_1G127200 transcript:ONI28157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYETEFTSVIAPPRLFKALVLDADNLVPKIAPQAVKSAEIVEGDGGVGTIKKTSFGEGSEYSYVKHQVDALDKDNFVYNYSLIEGDALSDKIEKISYEIKLVASADGGSIIKNTSNYHTKGDVEIKEEHVKAGKERAHALFKVIETYLVAFLDAYN >ONI35012 pep chromosome:Prunus_persica_NCBIv2:G1:42021168:42023731:-1 gene:PRUPE_1G510100 transcript:ONI35012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGQDLIELKFRLSDGTDIGPHKYSPSTTVQSLKEKILAQWPKEKENGPRTINDLKLINAGKILENNRTLADSRLPVGELPGGLITMHVVVRPPIADKKNDKLLNDSPEKPRCSCSIL >ONI32962 pep chromosome:Prunus_persica_NCBIv2:G1:35143328:35147374:-1 gene:PRUPE_1G396300 transcript:ONI32962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKYNAGLGLIGTVVFIWVASAEVTQRIFTEYKQPFALTYLGVSLMVVYLPIAALKHWICSLLKPNLVDNFYNNESAMSTSVGLDIPLIINEMHQSPETDVTSCPVTDKDFSNTEEGRPLIAKSEEDESHLLERSDQLSPWEIAKCGLILTPIWFLTEYLSNSALANTSVASTTVLTSTSGLFTLFFGALLRQDSISIPKIVAVFVSMAGVAMTTAGNTWAPDELLSISETRKHSITGDICGLLSAASYGLFTVLLKKFAGSEEEGDKVDVQKLFGYVGLFTLLGLWWLGHFLWFGLLHWLQRWACP >ONI32961 pep chromosome:Prunus_persica_NCBIv2:G1:35143646:35147025:-1 gene:PRUPE_1G396300 transcript:ONI32961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKYNAGLGLIGTVVFIWVASAEVTQRIFTEYKQPFALTYLGVSLMVVYLPIAALKHWICSLLKPNLVDNFYNNESAMSTSVGLDIPLIINEMHQSPETDVTSCPVTDKDFSNTEEGRPLIAKSEEDESHLLERSDQLSPWEIAKCGLILTPIWFLTEYLSNSALANTSVASTTVLTSTSGLFTLFFGALLRQDSISIPKIVAVFVSMAGVAMTTAGNTWAPDELLSISETRKHSITGDICGLLSAASYGLFTVLLKKFAGSEEEGDKVDVQKLFGYVGLFTLLGLWWLVWPLNAIGIKPSFELPQSKSVGEVVLLNGFMGSVLSDYFWALSVVWTSPLVATLGMSLTIPLAMVADMVIHGRHFSAVYIFGCIQVFAGFVMANLSDKFSRKEELK >ONI30095 pep chromosome:Prunus_persica_NCBIv2:G1:24644519:24646469:-1 gene:PRUPE_1G231300 transcript:ONI30095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLMVAWVSVHALYYSFARRSPKKLPPGPKPLPFIGNLLELGNKPHRSLTKLSQRYGPIMTLHLGQITTVVVSSSTIAKQVLQTHDQLFCNRTVLDSVQACKHGENGMPWIPVSAKWRNLRKICNSQLFATKVLDASQANRRLKVQELIADVHESVVKGDGVEIGSAAFKTTLNLMSRTVFSVDLASQNSERAREFKELVRSIMEEISKPNLADYFPVLKKIDPVGIRRRLTRHILKMFDLFDRLIIQRMESRKAPDYIITSDMLDTLINSSEEKNEDMDMVETQHLFLDLFVAATDTTSAILEWAMAELLHNPEKLSKAQEELKHIIGKGKPVEESDITRLPYLQAIIKETLRLHTAAPLLIPRKAGADVEICGYIVPKGAQVLVNAWAIGRDPSIWDNPNSFMPERFLGLDMDVTGRNFELIPFGGGRRICPGLPLAMRMLNLMLGSLLNSFDNWKLEDGVAPETMNMEDKFGLTLQKAQPLIAVPMT >ONI31779 pep chromosome:Prunus_persica_NCBIv2:G1:31323518:31328313:1 gene:PRUPE_1G330400 transcript:ONI31779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKFATMLHRNTNKITLILVYTLLEWILIILLLLNSLFSFLIIKFADYFGLKTPCLWCSRLDHLLEPGKNKNSHRDLVCETHANEISKLGYCSNHQKLAESQDMCEDCSSQPDSEEWSKKFAFFPWMKQIGVIQGGDEKVIQNGDENLNCSCCGMKLNKFYPPCILIKPSWEVLDYTQKQSLTMEAGVDAQTEEGDHSDQSRSDFIIDQHEDEEAIEVNRKDNTIFDVDGGCKRREDEAEEHSACSVCDYGCKEIVANEDDKVDRVIEEQEPIKEANLNVSMDDQPRDHQTFIQASCDNGLSPEILPQHLEFYIDQDDCRLVLVDLIDSPTTTELQSHKKYKVEDQGNSSYEDVILDFGMCFEAQAKPVVESWRSSEESVTLLSFHESKEEGRASVLDSEDLGENRSSSSVFQGEEGGIAKEENEPVATTQATQTSSQEDDDDDDDDGQSNAAIARDDIDSDVHQAFEDDVYMHNDEIDAEVSIGTEIPDQEPIDEMQLAQEFLHSSYPCAQEDPSTSCANLHACDHHGSKQAEEELLKFKTFSAETGEEAKENHFSLGSEFNEIEEEKVPDTPTSIDSLHQLHKELLLFERREVGTEESLDGSVLSDIEGGDGVMTIEKLKTVLRAERKALNELYAELEEERSASAVAASQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEAMQLLNELMVKREKEKQEVERELEICRKKVQDYEAKERMMILRRMKDGSTRSRSSSGPCSNAEDSDGLSIDLNNESKEEDSREEGSNQNTPTDAVLYLEESLASFEEEKLSILDQLKELEEKLLTLSDEEEEHFQNMKPIKYFLSENGNGYHEKLDVSSEVNGVANGHSKEMNGKHNIKGSKGKRLLPLFDAIEAEAEDGELELNGDTGGYDSFASQDFVIKFEEENKKFAIEEEVGHVYERLQALEADREFLKHCISSLRKGDKGLVLLQEILEHLRDLRSVELRLRTTGDSVL >ONI26489 pep chromosome:Prunus_persica_NCBIv2:G1:1968259:1971607:-1 gene:PRUPE_1G028100 transcript:ONI26489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGLVSQVKEVSQSAIATLRPWGELLEPTALSLPSNLSEVTTRLAQNLTHFRSNYTLVLLIVLFLSLIYHPVSIIVFLIIFAAWLVLYFSRDQPLEVFGFTVGDRVVMVILGLVTVLALVLTHVWLNVVVSVVIGVALVSLHAVFRGTEDLVMDDQESPYGALLSDDQDPSGNYTIM >ONI30000 pep chromosome:Prunus_persica_NCBIv2:G1:23932576:23933266:1 gene:PRUPE_1G226100 transcript:ONI30000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSSKSIIYECKTAQGHRTRTTIKETVAHVTYDSLTKGTRIIKCLLPKREWVRSINYPRKFGNGP >ONI31951 pep chromosome:Prunus_persica_NCBIv2:G1:32029663:32033468:1 gene:PRUPE_1G340800 transcript:ONI31951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHRRRLPPTTLRTLTIDSAAAMVPESVVLIRRFLLFVAVCLSCLLLYNDSNALRFLPRFSSSPSVLFSANNSPLVSGQHRLQKVLKDAAMEDGTVILTTLNEAWAAPNSIIDLFLESFKIGVGTQRLLNHLVIIALDQKAFHRCLELHTHCFALITQGVDFRREAYFMTPHYLKMMWARIDFLRSVLEMGYNFVFTDADVMWFRDPFPQFYMDADFQIACDHFLGSSDDLENKPNGGFNYVKSNNRSIEFYKFWYSSRETYPGFHDQDVLNIIKFHPSTFTIGLRMKFLDTAYFGGFCEPSKDLNQVCTMHANCCYGLDSKLHDLRIMVQNWKQFMSLRPNLKRYLILPWGVPQNCSLDSLRRYDAPESNNPHDLQD >ONI33434 pep chromosome:Prunus_persica_NCBIv2:G1:36534820:36538463:-1 gene:PRUPE_1G423800 transcript:ONI33434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFINDAIVSMDALLTAYEPSAVFFLCPVETSYGNDALLSTLARLHDSLLPSLQRGFRIISSSRASEDKMVSNIAISLKMLSMRIVKFGWKLLDLCYLSEEVFKDNLPIPSAAEMFPAKIEDPFIRADILVQTLREINGISVCAQENQNRQTFLQNVEKNFNILSKMENLQNNGWIVVDDEQLGYVSGILMSSHKVIVKEHPSTTVPLTNNKVQIDEDVAIVESRISQIKDLFPDYGKGFLAACLEAYNQNPEEVIQRILEGTLHKDLQSLDTSLETMPVSKNATVSRNDKGKGKLVEFTAPPATNTVAVARDKPNSSSSVSSSSTQGRFVRKSKADLPDSDILDNRNAEYTAKTAALISKYEDEYEDEYDDSFDDLGLSVADSGVGESEIFSEKSSSNMGRPFEKQNESSSRSAPSSKWGSRQKPQYYVKDGKNYSYKVAGSIAVANAGEASLITEAQQDMIHGLGRGGNLPLGAVKKLTEYSEEQNKQFDIPQMEGRGRGFIGNARGRGRKGGRQRDSSEEQDNKQNDASEVEGQENTENQRGGRGRGRRGGGGGRNFRKDRAMNKHFSGLGGS >ONI33435 pep chromosome:Prunus_persica_NCBIv2:G1:36534948:36538143:-1 gene:PRUPE_1G423800 transcript:ONI33435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAVFHSKNIYGNDALLSTLARLHDSLLPSLQRGFRIISSSRASEDKMVSNIAISLKMLSMRIVKFGWKLLDLCYLSEEVFKDNLPIPSAAEMFPAKIEDPFIRADILVQTLREINGISVCAQENQNRQTFLQNVEKNFNILSKMENLQNNGWIVVDDEQLGYVSGILMSSHKVIVKEHPSTTVPLTNNKVQIDEDVAIVESRISQIKDLFPDYGKGFLAACLEAYNQNPEEVIQRILEGTLHKDLQSLDTSLETMPVSKNATVSRNDKGKGKLVEFTAPPATNTVAVARDKPNSSSSVSSSSTQGRFVRKSKADLPDSDILDNRNAEYTAKTAALISKYEDEYEDEYDDSFDDLGLSVADSGVGESEIFSEKSSSNMGRPFEKQNESSSRSAPSSKWGSRQKPQYYVKDGKNYSYKVAGSIAVANAGEASLITEAQQDMIHGLGRGGNLPLGAVKKLTEYSEEQNKQFDIPQMEGRGRGFIGNARGRGRKGGRQRDSSEEQDNKQNDASEVEGQENTENQRGGRGRGRRGGGGGRNFRKDRAMNKHFSGLGGS >ONI33436 pep chromosome:Prunus_persica_NCBIv2:G1:36534933:36538278:-1 gene:PRUPE_1G423800 transcript:ONI33436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNIAISLKMLSMRIVKFGWKLLDLCYLSEEVFKDNLPIPSAAEMFPAKIEDPFIRADILVQTLREINGISVCAQENQNRQTFLQNVEKNFNILSKMENLQNNGWIVVDDEQLGYVSGILMSSHKVIVKEHPSTTVPLTNNKVQIDEDVAIVESRISQIKDLFPDYGKGFLAACLEAYNQNPEEVIQRILEGTLHKDLQSLDTSLETMPVSKNATVSRNDKGKGKLVEFTAPPATNTVAVARDKPNSSSSVSSSSTQGRFVRKSKADLPDSDILDNRNAEYTAKTAALISKYEDEYEDEYDDSFDDLGLSVADSGVGESEIFSEKSSSNMGRPFEKQNESSSRSAPSSKWGSRQKPQYYVKDGKNYSYKVAGSIAVANAGEASLITEAQQDMIHGLGRGGNLPLGAVKKLTEYSEEQNKQFDIPQMEGRGRGFIGNARGRGRKGGRQRDSSEEQDNKQNDASEVEGQENTENQRGGRGRGRRGGGGGRNFRKDRAMNKHFSGLGGS >ONI33433 pep chromosome:Prunus_persica_NCBIv2:G1:36535174:36540180:-1 gene:PRUPE_1G423800 transcript:ONI33433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRHAQTRQPGNKGFAKTQRVFVPKNQDQNPGPKEPTLSSSLRQSLATQSNAETATVAAAAPSASRVRMGEKGEWVSSRAHVGNFVNYLPQDEAVAAGLGADEGGLDALQSQRVVDLLNRELSRLLKLNPKEFWRQVASDISLHEFLDSFLQFRSRWYDFPHHGAKEMVAGVIVGEFELSRRVFMALYRISSNRDPGARAADSLSPKDHEVLLQEKKLLDLPKLLDICAIYGHENEDLTRVLALFSSGNPGDQGPSLLTDLLEVMDFINDAIVSMDALLTAYEPSAVFFLCPVETSYGNDALLSTLARLHDSLLPSLQRGFRIISSSRASEDKMVSNIAISLKMLSMRIVKFGWKLLDLCYLSEEVFKDNLPIPSAAEMFPAKIEDPFIRADILVQTLREINGISVCAQENQNRQTFLQNVEKNFNILSKMENLQNNGWIVVDDEQLGYVSGILMSSHKVIVKEHPSTTVPLTNNKVQIDEDVAIVESRISQIKDLFPDYGKGFLAACLEAYNQNPEEVIQRILEGTLHKDLQSLDTSLETMPVSKNATVSRNDKGKGKLVEFTAPPATNTVAVARDKPNSSSSVSSSSTQGRFVRKSKADLPDSDILDNRNAEYTAKTAALISKYEDEYEDEYDDSFDDLGLSVADSGVGESEIFSEKSSSNMGRPFEKQNESSSRSAPSSKWGSRQKPQYYVKDGKNYSYKVAGSIAVANAGEASLITEAQQDMIHGLGRGGNLPLGAVKKLTEYSEEQNKQFDIPQMEGRGRGFIGNARGRGRKGGRQRDSSEEQDNKQNDASEVEGQENTENQRGGRGRGRRGGGGGRNFRKDRAMNKHFSGLGGS >ONI26428 pep chromosome:Prunus_persica_NCBIv2:G1:1681066:1684038:1 gene:PRUPE_1G024000 transcript:ONI26428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDGSESVSPTTQRLQSLSAADTRGKHRIQAELKRLEQEARFLEEELEQLEKMDKASAACKEILNNAETRPDPLLPV >ONI26427 pep chromosome:Prunus_persica_NCBIv2:G1:1680766:1684414:1 gene:PRUPE_1G024000 transcript:ONI26427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDGSESVSPTTQRLQSLSAADTRGKHRIQAELKRLEQEARFLEEELEQLEKMDKASAACKEILNNAETRPDPLLPVTHGPLNPFWDRWFEGPKDSKGCRCWIL >ONI28069 pep chromosome:Prunus_persica_NCBIv2:G1:9482298:9483401:1 gene:PRUPE_1G120900 transcript:ONI28069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSFRAVISCCCCCFKCEETHQPVKDPQPPPKNYDSPKPTVVDPQPPPKNSDSPKPTVVDPRAGMTFNIHGNEISNNKADDVGLSQFGNKKNGGPIANNQDGGSHGAKQGGGDKLHLNLQGESDKVCFNLEGNKIHGNQAKNVGFSEFGNA >ONI29418 pep chromosome:Prunus_persica_NCBIv2:G1:18896832:18901760:-1 gene:PRUPE_1G197700 transcript:ONI29418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDKSPAHGGGLPPPSGRYSGFLPTGTPFNVKPEPASSSLFPPLASGASADSGHFGHGMSAESSHFSHDISRMPDNPPRKLGHRRAHSEILTLPDDISFDSDLGVVGGADGPSFSDETEEDLFSMYLDMEKFNSSSATSSFQFGEPSSSAAAPVPALTPALRAPTSSAENIAIGSNERPRVRHQHSQSMDGSTSIKPEMLVSSSEDISAADSKKALSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELEQKVQTLQTEATSLSAQLTLLQRDTNGLTAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQAMPNGGPMMNFASFGSGQQFYPNNQAMHTLLTAQQFQQLQIHSQKQQHQFQQHQLHQLQQQQMQQQQQQQQQQQQPQSGDLKMGGSIPSPSQKDNTSEVNQSVTKDC >ONI29417 pep chromosome:Prunus_persica_NCBIv2:G1:18896781:18901932:-1 gene:PRUPE_1G197700 transcript:ONI29417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDKSPAHGGGLPPPSGRYSGFLPTGTPFNVKPEPASSSLFPPLASGASADSGHFGHGMSAESSHFSHDISRMPDNPPRKLGHRRAHSEILTLPDDISFDSDLGVVGGADGPSFSDETEEDLFSMYLDMEKFNSSSATSSFQFGEPSSSAAAPVPALTPALRAPTSSAENIAIGSNERPRVRHQHSQSMDGSTSIKPEMLVSSSEDISAADSKKALSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELEQKVQTLQTEATSLSAQLTLLQRDTNGLTAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQAMPNGGPMMNFASFGSGQQFYPNNQAMHTLLTAQQFQQLQIHSQKQQHQFQQHQLHQLQQQQMQQQQQQQQQQQQPQSGDLKMGGSIPSPSQKDNTSEVNQSVTKDC >ONI33606 pep chromosome:Prunus_persica_NCBIv2:G1:37190344:37191658:1 gene:PRUPE_1G435500 transcript:ONI33606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSNQLKSESLLELMKEHLTTDAGKQITKKIGLVYQINIAPKKIGFDEVIFTVDLKKGEVKKGPYEGGKPDATFSFKDDDFVKVALGKMNPQVAFMRGAMKIKGSLSAATKFTPDIFPKPAKM >ONI34379 pep chromosome:Prunus_persica_NCBIv2:G1:40069879:40071699:1 gene:PRUPE_1G479200 transcript:ONI34379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYHAIKRSKLIRPALKTTAIAANKREAEQSCLALLQACDAVPKLAQLHGHVLKLGLRNNPLVLTKFTSTASDLKAIDYASPFLFAPDADTHLYDSFLFNTIIRAYAQTSHGKHKALCFYNVMLEGAVLPNKFTYPFVLKACAGLGDLNLGTSVHGSVVKFGFDDDVHVCNTMVHMYCCCSGGIESARKVFDEMPKLDSVSWSAMIGGYVRVGWSTDAVDLFREMQMVGVRPDEITMVSVLSACTDLGALELGKWVESYIDKEGIQKTVELSNALIDMFSKCGDVEKALKLFRNMSGRTIVSWTSVIDGLAMHGRGMEAMSLFEEMIGTGVAPDDVAFIGLFSACSHSGLVEKGKSYFSSMVEKFHIVPKIEHYGCMVDMLCRAGLVKEALEFIQKMPIEPNPIVLRTLISACRAHGELKLGESITKELIRNEPMQESNYVLLSNIYAKMTHWEKKAKIREVMDKRGMKKIPGSTMIELDHEIYEFVAGDKSHKQYKQIYEMVDEMGREMKRAGYIPTTSEVLLDIDEEDKEDALNRHSEKLAIAFALLNTPPGTPIRIVKNLRVCDDCHSATKFISKIYNREIVVRDRNRFHHFKDGMCSCRDFW >ONI26565 pep chromosome:Prunus_persica_NCBIv2:G1:2232555:2233744:1 gene:PRUPE_1G031500 transcript:ONI26565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSPKHTCLKLQLKEAQQPIYVKGTWFESRFDLSITDGLNAWICHASEEQVRDRAAQWDQPVSEYVALAERYLGFQHPDSAYGFADAGDGHKRLSWTFEKEGTKLEWRWKCQPSPNSKQTTAAVLDFLMDANVGLSVNFLLFVNFFIYTEAVCFMNMNDMTFKCSILYVYFVGIYPI >ONI26563 pep chromosome:Prunus_persica_NCBIv2:G1:2232555:2234753:1 gene:PRUPE_1G031500 transcript:ONI26563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSPKHTCLKLQLKEAQQPIYVKGTWFESRFDLSITDGLNAWICHASEEQVRDRAAQWDQPVSEYVALAERYLGFQHPDSAYGFADAGDGHKRLSWTFEKEGTKLEWRWKCQPSPNSKQTTAAVLDFLMDANVGLSEEVVRKTQSFERLKVEAEKCLAQSEKLTNEKIEFESAIYAKFLGVLNSKKAKLRELRDKISKQEIAGKLPEEEEASSDQTEPFVSSDEKSEDESLKDLPVTSKDVPRTRSRGRGRKRAADN >ONI26564 pep chromosome:Prunus_persica_NCBIv2:G1:2232555:2234753:1 gene:PRUPE_1G031500 transcript:ONI26564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSPKHTCLKLQLKEAQQPIYVKGTWFESRFDLSITDGLNAWICHASEEQVRDRAAQWDQPVSEYVALAERYLGFQHPDSAYGFADAGDGHKRLSWTFEKEGTKLEWRWKCQPSPNSKQTTAAVLDFLMDANVGLSFLGVLNSKKAKLRELRDKISKQEIAGKLPEEEEASSDQTEPFVSSDEKSEDESLKDLPVTSKDVPRTRSRGRGRKRAADN >ONI28121 pep chromosome:Prunus_persica_NCBIv2:G1:9832650:9835248:1 gene:PRUPE_1G124500 transcript:ONI28121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMDGIEHRTVQVNGINMHIAEKGQGPLILFIHGFPELWYSWRHQITALASLGYRAVAPDLRGFGDTDAPDSPTSYTCLHVVGDLIALLDTIAPDHDKVFVVGHDWGAFIAWYLCLFRPDRVKALVNMSVAFRPRNPQRKNLESLKAVYGDDYYMCRFQEPGVIEAEFAKIGTARVMKEFLTYRNPGPLFLPKDKMFGHSLDAPIVLPSWLSEDEVNYYASKFEKTGFTGGINYYRNLDLNWELTAAWTGAQVKVPVKFIVGDQDLTYNSVGTKDFIHKGGFKKYVPLLEEVVVMEGVAHFINQERPDEINKHIHDFIAKFH >ONI29715 pep chromosome:Prunus_persica_NCBIv2:G1:22380947:22383709:-1 gene:PRUPE_1G210300 transcript:ONI29715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFRPINASLYLCDNKFHIEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWESLDINRYVLKNTTTGEIIVKHFNKDQENNQSNFRDSTNAELEVQDKMALLEWFANEYRRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEFSDDGEVYDDSE >ONI29714 pep chromosome:Prunus_persica_NCBIv2:G1:22380863:22384027:-1 gene:PRUPE_1G210300 transcript:ONI29714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFRPINASLYLCDNKFHIEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWESLDINRYVLKNTTTGEIIVKHFNKDQENNQSNFRDSTNAELEVQDKMALLEWFANEYRRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEFSDDGEVYDDSE >ONI29716 pep chromosome:Prunus_persica_NCBIv2:G1:22380900:22383961:-1 gene:PRUPE_1G210300 transcript:ONI29716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFRPINASLYLCDNKFHIEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSSEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWESLDINRYVLKNTTTGEIIVKHFNKDQENNQSNFRDSTNAELEVQDKMALLEWFANEYRRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDEFSDDGEVYDDSE >ONI33515 pep chromosome:Prunus_persica_NCBIv2:G1:36877042:36878954:1 gene:PRUPE_1G430100 transcript:ONI33515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFALLFFSLVAQACSASQPTTHPHQPQQQKTEQGTKNIFILAGQSNMAGRGGVVNDTVTGIATWDGVVPPECRPNPSILRLSADLTWIEAREPLHADIDAKKTNGIGPGMAFAKAILVANRSGASGVIGLVPCAVGGTNITHWARGSFLYNQMIRRAKAALNNGGTIRALLWYQGESDTANREDAKSYKNRVETFFGDVRLDLQSPALPIIQVALASGEEAAFIDIVREAQLGVDFLNVRTVDAKGLPLEPDGLHLTTPAQVRLGQMLAAAYLQFLPSSSTTGTSDAPRTLSNFVSNLVIFLLLKICSITIS >ONI31641 pep chromosome:Prunus_persica_NCBIv2:G1:30875144:30879303:1 gene:PRUPE_1G323300 transcript:ONI31641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKRDYTSVMIVPTGIGAAIGGYAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAVKVSNYWEAIGVIAAHKAGVNPHSLRRNRIKNLRYTSLLPSNGYAIRVPQQLAD >ONI31646 pep chromosome:Prunus_persica_NCBIv2:G1:30875144:30879303:1 gene:PRUPE_1G323300 transcript:ONI31646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAVKVSNYWEAIGVIAAHKAGVNPHSLRRNRIKNLRYTSLLPSNGYAIRVPQQLAD >ONI31637 pep chromosome:Prunus_persica_NCBIv2:G1:30875139:30879401:1 gene:PRUPE_1G323300 transcript:ONI31637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKRDYTSVMIVPTGIGAAIGGYAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAEVRQCCNPTQSNVLEKMLMISQ >ONI31638 pep chromosome:Prunus_persica_NCBIv2:G1:30875144:30879303:1 gene:PRUPE_1G323300 transcript:ONI31638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAEVRQCCNPTQSNVLEKMLMISQ >ONI31640 pep chromosome:Prunus_persica_NCBIv2:G1:30875139:30879403:1 gene:PRUPE_1G323300 transcript:ONI31640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKRDYTSVMIVPTGIGAAIGGYAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAVKVSNYWEAIGVIAAHKAGVNPHSLRRNRIKNLRYTSLLPSNGYAIRVPQQLAD >ONI31635 pep chromosome:Prunus_persica_NCBIv2:G1:30875298:30878632:1 gene:PRUPE_1G323300 transcript:ONI31635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKRDYTSVMIVPTGIGAAIGGYAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAVKVSNYWEAIGVIAAHKAGEVRQCCNPTQSNVLEKMLMISQ >ONI31645 pep chromosome:Prunus_persica_NCBIv2:G1:30875144:30879303:1 gene:PRUPE_1G323300 transcript:ONI31645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAVKVSNYWEAIGVIAAHKAGVNPHSLRRNRIKNLRYTSLLPSNGYAIRVPQQLAD >ONI31647 pep chromosome:Prunus_persica_NCBIv2:G1:30875144:30877882:1 gene:PRUPE_1G323300 transcript:ONI31647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKRDYTSVMIVPTGIGAAIGGYAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAVCIQMFTHTF >ONI31644 pep chromosome:Prunus_persica_NCBIv2:G1:30875139:30879401:1 gene:PRUPE_1G323300 transcript:ONI31644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKRDYTSVMIVPTGIGAAIGGYAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAVKVSNYWEAIGVIAAHKAGVNPHSLRRNRIKNLRYTSLLPSNGYAIRVPQQLAD >ONI31639 pep chromosome:Prunus_persica_NCBIv2:G1:30875144:30879303:1 gene:PRUPE_1G323300 transcript:ONI31639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAEVRQCCNPTQSNVLEKMLMISQ >ONI31633 pep chromosome:Prunus_persica_NCBIv2:G1:30875144:30879303:1 gene:PRUPE_1G323300 transcript:ONI31633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKRDYTSVMIVPTGIGAAIGGYAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAVKVSNYWEAIGVIAAHKAGEVRQCCNPTQSNVLEKMLMISQ >ONI31636 pep chromosome:Prunus_persica_NCBIv2:G1:30875298:30878632:1 gene:PRUPE_1G323300 transcript:ONI31636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKRDYTSVMIVPTGIGAAIGGYAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAEVRQCCNPTQSNVLEKMLMISQ >ONI31634 pep chromosome:Prunus_persica_NCBIv2:G1:30875135:30879303:1 gene:PRUPE_1G323300 transcript:ONI31634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKRDYTSVMIVPTGIGAAIGGYAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAEVRQCCNPTQSNVLEKMLMISQ >ONI31642 pep chromosome:Prunus_persica_NCBIv2:G1:30875144:30879303:1 gene:PRUPE_1G323300 transcript:ONI31642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKRDYTSVMIVPTGIGAAIGGYAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAVKVSNYWEAIGVIAAHKAGVNPHSLRRNRIKNLRYTSLLPSNGYAIRVPQQLAD >ONI31643 pep chromosome:Prunus_persica_NCBIv2:G1:30875144:30879303:1 gene:PRUPE_1G323300 transcript:ONI31643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILNRPFPSLAAPNRRITKSRPSTPPSISCFNPKHSFKRDYTSVMIVPTGIGAAIGGYAGDALPVARTLSSVVDCLITHPNVLNAAMLYWPMPNALYVEGYALDRFAEGSWGLMPVHQNRVGLVLDSGIEEELRVRHLQVADAARASLGLPVVEYVVTDTPLQVEKWIDPKSGQSTGRIKHPDSLLRAVQALLKRSQVNAIAVVGRFTDDDDVQDTDDYRKGMGIDLLAGVEAVISHLVVKEFQIPCAHAPALSPLPLSPSLCPKSAAEELGYTFLPCVLAGLSNAPQYLVKNSESENGCILASDVDSVILPVDAFGGDGVLAFARSKRNKPLIIAVEENETVLDDTPDKVGVEAVKVSNYWEAIGVIAAHKAGVNPHSLRRNRIKNLRYTSLLPSNGYAIRVPQQLAD >ONI33809 pep chromosome:Prunus_persica_NCBIv2:G1:37812184:37814650:-1 gene:PRUPE_1G447200 transcript:ONI33809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQSKPMELLNTMVSEPYYLLHFLAFFSYFVLRTSVVQVLNTHITDRLLHREIQAALAFGLLTAIKIVREETWEGYIADTIFFAKIFVIGLTLIVDYHLTLWYIVVFTVIYIFTQQPAFQKLGTSSKLTPLQLESLLTEGSTSRLWLVEFRAAYSPACIRSSRCFPELSITYSNKNLSFGIVDLGLFPNAAGYFGISVSGSMSQLPTYVLFSHGAEVARYPELDFQAKPFGPPITKRFLSRYFKLDRHLLEYINGK >ONI33811 pep chromosome:Prunus_persica_NCBIv2:G1:37811168:37814730:-1 gene:PRUPE_1G447200 transcript:ONI33811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQSKPMELLNTMVSEPYYLLHFLAFFSYFVLRTSVVQVLNTHITDRLLHREIQAALAFGLLTAIKIVREETWEGYIADTIFFAKIFVIGLTLIVDYHLTLWYIVVFTVIYIFTQQPAFQKLGTSSKLTPLQLESLLTEGSTSRLWLVEFRAAYSPACIRSSRCFPELSITEHEPTSYICLILAWS >ONI33810 pep chromosome:Prunus_persica_NCBIv2:G1:37812184:37814650:-1 gene:PRUPE_1G447200 transcript:ONI33810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQSKPMELLNTMVSEPYYLLHFLAFFSYFVLRTSVVQVLNTHITDRLLHREIQAALAFGLLTAIKIVREETWEGYIADTIFFAKIFVIGLTLIVDYHLTLWYIVVFTVIYIFTQQPAFQKLVLSGTSSKLTPLQLESLLTEGSTSRLWLVEFRAAYSPACIRSSRCFPELSITYSNKNLSFGIVDLGLFPNAAGYFGISVSGSMSQLPTYVLFSHGAEVARYPELDFQAKPFGPPITKRFLSRYFKLDRHLLEYINGK >ONI33812 pep chromosome:Prunus_persica_NCBIv2:G1:37811168:37814730:-1 gene:PRUPE_1G447200 transcript:ONI33812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQSKPMELLNTMVSEPYYLLHFLAFFSYFVLRTSVVQVLNTHITDRLLHREIQAALAFGLLTAIKIVREETWEGYIADTIFFAKIFVIGLTLIVDYHLTLWYIVVFTVIYIFTQQPAFQKLVLSGTSSKLTPLQLESLLTEGSTSRLWLVEFRAAYSPACIRSSRCFPELSITEHEPTSYICLILAWS >ONI36045 pep chromosome:Prunus_persica_NCBIv2:G1:46248425:46252932:-1 gene:PRUPE_1G566700 transcript:ONI36045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSPLSPTLLTTTQSSSSPSTPKLLPSHSPLTSFSFPTKPTTTHTIHFHRLSSTSASDKWRAKVSFFPAFSSKGKDAKTLKEELLDAIASLDRGADATPEDQQTVDQIARKLEAVNPTKEPLKSDLLNGKWELIYTTSKSILQTQRPKFLRSRVNYQAINADTLRAQNMESWPTFNQVTADLTPLNARKVAVKFDYFKIAGLIPVKAPGRARGELEITYLDEELRVSRGDKGNLFILKMVDPSYRVPV >ONI36046 pep chromosome:Prunus_persica_NCBIv2:G1:46247930:46253777:-1 gene:PRUPE_1G566700 transcript:ONI36046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSPLSPTLLTTTQSSSSPSTPKLLPSHSPLTSFSFPTKPTTTHTIHFHRLSSTSASDKWRAKVSFFPAFSSKGKDAKTLKEELLDAIASLDRGADATPEDQQTVDQIARKLEAVNPTKEPLKSDLLNGKWELIYTTSKSILQTQRPKFLRSRVNYQAINADTLRAQNMESWPTFNQVTADLTPLNARKVAVKFDYFKIADTC >ONI30204 pep chromosome:Prunus_persica_NCBIv2:G1:25207695:25209608:-1 gene:PRUPE_1G237700 transcript:ONI30204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLILSLLLLIPFVFFLLRLQLQKWISITSPSQPQSATVRTPPSPTSLPIIGHLHHLLLTEAPPYQSLAHLAQKLGPIIHLQLGRVPTLVISSADLAKLVLKTHDHVFASRPQLVAAQWLSFGCSDVTFSPYGPYWRQARKICVTELLSSKRVASFHLVRDEEVNRLLSHVANLSGSEVDMSKLLFALANNVLCRVALGKRFIVEEEESKSKSEDRLVELLSETQALLAGWCVGDFFPEWEWVNWVSGFRRRLKRNLEGLRHLCDEIIQEHLNKTTSCEGGSGGGSREDFVDVLLRVRQRDDLEVPITDDNLKALVLDMFVAGTDTTSATLEWAMTELVRHPNVMKKAQEQVRKFASSTGKVDESHLQHFDYLKAVIKETMRLHPPVPLLVPRESMDKCTLDGYEIPEKTRVLINAYAIARDPQSWDNPLEYRPERFQDAGNVNVNVVDQDFRFLPFGGGRRGCPGYGFGLATVEIALARLLYHFDWDLPQGVGPDDVDLDETFGLATRKKSALVLVPTPSQHGSPIQGN >ONI27653 pep chromosome:Prunus_persica_NCBIv2:G1:7862824:7864529:-1 gene:PRUPE_1G098300 transcript:ONI27653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPNNLLGLLNILTFLISIPIVVVGVQMNRVHGGGECFELFRKPVLILGVMIMAMSVVGIIGACCRVSWVLWIYLFAMLLLIISLFIVYGIALVVVWNSGGGTRLPGTELKEYKLSGYSEWLQNRVQGKSWDTVKNCLVVGDACSEMPKNDTALKEFNMKELNPVMSGCCKPLAECEFTYQSPTVWNKTKNASSNSDCKAWDNNPKVKCFDCNSCRAGILEQLIIAWRKAHFFKFALIGFLSVIYSVGCCAFKESRKKPDYWRQ >ONI33130 pep chromosome:Prunus_persica_NCBIv2:G1:35731706:35735626:-1 gene:PRUPE_1G407600 transcript:ONI33130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRKTESVSNSSAGNSNHGQLLRDLEEISKALYLHKPPPKVLLSPSNARSKSAGKTRFPESKSNLNSNPRLLREDLLHKDKKSSSVWNWKKPLKALTHIGNRKFSCCFYLHVHSIEGWPENFNNLSVCVHWKRKDEVVETRSSRVVEGIAEFDETLMHKCSVYGSRNGPNHSVKYEEKLFLIYVSLSGAPGLDIGKHWVDLTRLLPLTFEELEGEKSYGKWTTSFNLSGKAKGASLNVSLGFLVTRDKSVGVSVNPNIPELINTEQRRSSSLDSGATMLRRVGSVPSSVSPRPAFSSQSLDLKVCREVLLTGGLELSKSINFLCQALDEANLSSATESDAEHVSPLKPKPDLDLLAAEKNEEYEDDDTEFNIVEVGTEMCEQLKSDQVPGHANDESAVEMIYVDEIIKDYNVDLDEKTMVITKDSCDSYVDEVAMDDSKHEKDSICTEGSTMEKVDSASHFQFISESADLDLPFVSGEFFEGKKHMELKSTYKASKTGKKSLSLDDVTESVSNDFLSILGMDCCMSSDGDAESPRECLLREFEKDTLASGNLFFNSDWDEEQPEIGSSVSPGSDFGDCFENSDLSLIIQAAEEEHKRASELLKRRKAKILEGLETEALMREWGLNEKDFQNSPRICSGGFGSPIELPLEEPLLPPLEEGFGPYVRMKGGGFLQSMNPSLFKNAKNGANLVIQISNPVVIPSKLGYDVMEIMQHLALVGIDKLREWVNQLLPLEDITGKTIQQVAWEAAPNTVVSERFEQILYGGRQDEGFPSSWSCNNLRSELGGSEMGSDSDSVSLEYLAPLAMAKIEALSLEGLRIQSHVSNGEAPSSVFPQSGGKMPAIGGKSANHGEVLRSGGVGGLQLCDFGDFDDDVDELMALSLSLEEWLRLDAKIIGDEDYSREQILKILAAHHAKCSDLVGGRLTRDIHCNDVSVSKCGLLGNNLTIALTVQLRDPFRNYEPVGVPMLALIQVERFLAPLMPKVSSALLNSSKENEHDEPVFEDIGDKKERETNEGDEGGNPQFKIIDVHLAGVDATPGNRQLWGTTTQLQSGSRWLLGSGMGKPSSFPLSSSTAIVRSSPLVSAKQRRDALWSISSHSQGTGATWRDSIAPHIRNPNVIFPKETIKPHVN >ONI33129 pep chromosome:Prunus_persica_NCBIv2:G1:35732016:35735500:-1 gene:PRUPE_1G407600 transcript:ONI33129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRKTESVSNSSAGNSNHGQLLRDLEEISKALYLHKPPPKVLLSPSNARSKSAGKTRFPESKSNLNSNPRLLREDLLHKDKKSSSVWNWKKPLKALTHIGNRKFSCCFYLHVHSIEGWPENFNNLSVCVHWKRKDEVVETRSSRVVEGIAEFDETLMHKCSVYGSRNGPNHSVKYEEKLFLIYVSLSGAPGLDIGKHWVDLTRLLPLTFEELEGEKSYGKWTTSFNLSGKAKGASLNVSLGFLVTRDKSVGVSVNPNIPELINTEQRRSSSLDSGATMLRRVGSVPSSVSPRPAFSSQSLDLKVCREVLLTGGLELSKSINFLCQALDEANLSSATESDAEHVSPLKPKPDLDLLAAEKNEEYEDDDTEFNIVEVGTEMCEQLKSDQVPGHANDESAVEMIYVDEIIKDYNVDLDEKTMVITKDSCDSYVDEVAMDDSKHEKDSICTEGSTMEKVDSASHFQFISESADLDLPFVSGEFFEGKKHMELKSTYKASKTGKKSLSLDDVTESVSNDFLSILGMDCCMSSDGDAESPRECLLREFEKDTLASGNLFFNSDWDEEQPEIGSSVSPGSDFGDCFENSDLSLIIQAAEEEHKRASELLKRRKAKILEGLETEALMREWGLNEKDFQNSPRICSGGFGSPIELPLEEPLLPPLEEGFGPYVRMKGGGFLQSMNPSLFKNAKNGANLVIQISNPVVIPSKLGYDVMEIMQHLALVGIDKLREWVNQLLPLEDITGKTIQQVAWEAAPNTVVSERFEQILYGGRQDEGFPSSWSCNNLRSELGGSEMGSDSDSVSLEYLAPLAMAKIEALSLEGLRIQSHVSNGEAPSSVFPQSGGKMPAIGGKSANHGEVLRSGGVGGLQLCDFGDFDDDVDELMALSLSLEEWLRLDAKIIGDEDYSREQILKILAAHHAKCSDLVGGRLTRDIHCNDVSVSKCGLLGNNLTIALTVQLRDPFRNYEPVGVPMLALIQVERFLAPLMPKVSSALLNSSKENEHDEPVFEDIGDKKERETNEGDEGGNPQFKIIDVHLAGVDATPGNRQLWGTTTQLQSGSRWLLGSGMGKPSSFPLSSSTAIVRSSPLVSAKQRRDALWSISSHSQGTGATWRDSIAPHIRNPNVIFPKETIKPHVN >ONI33131 pep chromosome:Prunus_persica_NCBIv2:G1:35732016:35735500:-1 gene:PRUPE_1G407600 transcript:ONI33131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRKTESVSNSSAGNSNHGQLLRDLEEISKALYLHKPPPKVLLSPSNARSKSAGKTRFPESKSNLNSNPRLLREDLLHKDKKSSSVWNWKKPLKALTHIGNRKFSCCFYLHVHSIEGWPENFNNLSVCVHWKRKDEVVETRSSRVVEGIAEFDETLMHKCSVYGSRNGPNHSVKYEEKLFLIYVSLSGAPGLDIGKHWVDLTRLLPLTFEELEGEKSYGKWTTSFNLSGKAKGASLNVSLGFLVTRDKSVGVSVNPNIPELINTEQRRSSSLDSGATMLRRVGSVPSSVSPRPAFSSQSLDLKVCREVLLTGGLELSKSINFLCQALDEANLSSATESDAEHVSPLKPKPDLDLLAAEKNEEYEDDDTEFNIVEVGTEMCEQLKSDQVPGHANDESAVEMIYVDEIIKDYNVDLDEKTMVITKDSCDSYVDEVAMDDSKHEKDSICTEGSTMEKVDSASHFQFISESADLDLPFVSGEFFEGKKHMELKSTYKASKTGKKSLSLDDVTESVSNDFLSILGMDCCMSSDGDAESPRECLLREFEKDTLASGNLFFNSDWDEEQPEIGSSVSPGSDFGDCFENSDLSLIIQAAEEEHKRASELLKRRKAKILEGLETEALMREWGLNEKDFQNSPRICSGGFGSPIELPLEEPLLPPLEEGFGPYVRMKGGGFLQSMNPSLFKNAKNGANLVIQISNPVVIPSKLGYDVMEIMQHLALVGIDKLREWVNQLLPLEDITGKTIQQVAWEAAPNTVVSERFEQILYGGRQDEGFPSSWSCNNLRSELGGSEMGSDSDSVSLEYLAPLAMAKIEALSLEGLRIQSHVSNGEAPSSVFPQSGGKMPAIGGKSANHGEVLRSGGVGGLQLCDFGDFDDDVDELMALSLSLEEWLRLDAKIIGDEDYSREQILKILAAHHAKCSDLVGGRLTRDIHCNDVSVSKCGLLGNNLTIALTVQLRDPFRNYEPVGVPMLALIQVERFLAPLMPKVSSALLNSSKENEHDEPVFEDIGDKKERETNEGDEGGNPQFKIIDVHLAGVDATPGNRQLWGTTTQLQSGSRWLLGSGMGKPSSFPLSSSTAIVRSSPLVSAKQRRDALWSISSHSQGTGATWRDSIAPHIRNPNVIFPKETIKPHVN >ONI33132 pep chromosome:Prunus_persica_NCBIv2:G1:35731706:35736025:-1 gene:PRUPE_1G407600 transcript:ONI33132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRKTESVSNSSAGNSNHGQLLRDLEEISKALYLHKPPPKVLLSPSNARSKSAGKTRFPESKSNLNSNPRLLREDLLHKDKKSSSVWNWKKPLKALTHIGNRKFSCCFYLHVHSIEGWPENFNNLSVCVHWKRKDEVVETRSSRVVEGIAEFDETLMHKCSVYGSRNGPNHSVKYEEKLFLIYVSLSGAPGLDIGKHWVDLTRLLPLTFEELEGEKSYGKWTTSFNLSGKAKGASLNVSLGFLVTRDKSVGVSVNPNIPELINTEQRRSSSLDSGATMLRRVGSVPSSVSPRPAFSSQSLDLKVCREVLLTGGLELSKSINFLCQALDEANLSSATESDAEHVSPLKPKPDLDLLAAEKNEEYEDDDTEFNIVEVGTEMCEQLKSDQVPGHANDESAVEMIYVDEIIKDYNVDLDEKTMVITKDSCDSYVDEVAMDDSKHEKDSICTEGSTMEKVDSASHFQFISESADLDLPFVSGEFFEGKKHMELKSTYKASKTGKKSLSLDDVTESVSNDFLSILGMDCCMSSDGDAESPRECLLREFEKDTLASGNLFFNSDWDEEQPEIGSSVSPGSDFGDCFENSDLSLIIQAAEEEHKRASELLKRRKAKILEGLETEALMREWGLNEKDFQNSPRICSGGFGSPIELPLEEPLLPPLEEGFGPYVRMKGGGFLQSMNPSLFKNAKNGANLVIQISNPVVIPSKLGYDVMEIMQHLALVGIDKLREWVNQLLPLEDITGKTIQQVAWEAAPNTVVSERFEQILYGGRQDEGFPSSWSCNNLRSELGGSEMGSDSDSVSLEYLAPLAMAKIEALSLEGLRIQSHVSNGEAPSSVFPQSGGKMPAIGGKSANHGEVLRSGGVGGLQLCDFGDFDDDVDELMALSLSLEEWLRLDAKIIGDEDYSREQILKILAAHHAKCSDLVGGRLTRDIHCNDVSVSKCGLLGNNLTIALTVQLRDPFRNYEPVGVPMLALIQVERFLAPLMPKVSSALLNSSKENEHDEPVFEDIGDKKERETNEGDEGGNPQFKIIDVHLAGVDATPGNRQLWGTTTQLQSGSRWLLGSGMGKPSSFPLSSSTAIVRSSPLVSAKQRRDALWSISSHSQGTGATWRDSIAPHIRNPNVIFPKETIKPHVN >ONI31676 pep chromosome:Prunus_persica_NCBIv2:G1:30986124:30989070:-1 gene:PRUPE_1G324900 transcript:ONI31676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWHDLYNVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYTMNFRFIAADTLQKIIMLFALGLWTNFTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGHKIPESGSLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGDDGKLHVKVRKSNASRRSLSAMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNPSDFYSMMGVQGFNTRHSNFGPADLYSVQSSRGPTPRPSNFEETPMPQAQTVTSPRFGFYPAQTVPASYPGPNPEFSAPVSKSSTKNQQQQPQVAAQQALNNGATKTNSHPHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGASEQSGRSDQGAKEIRMLVADHPQNGENKVAVTESGGGEGVYGGEAFSFGGRGGAAEGEEEREKEGPTGLNKVGSSSTAELHPKGGGGPEHDPSGSGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLIAFRWHVAMPKIIAKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVAGFAMAVRFLTGPAVMAAASIAVGLRGTLLHLAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYIILGL >ONI31675 pep chromosome:Prunus_persica_NCBIv2:G1:30984950:30989612:-1 gene:PRUPE_1G324900 transcript:ONI31675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWHDLYNVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYTMNFRFIAADTLQKIIMLFALGLWTNFTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGHKIPESGSLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGDDGKLHVKVRKSNASRRSLSAMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNPSDFYSMMGVQGFNTRHSNFGPADLYSVQSSRGPTPRPSNFEETPMPQAQTVTSPRFGFYPAQTVPASYPGPNPEFSAPVSKSSTKNQQQQPQVAAQQALNNGATKTNSHPHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGASEQSGRSDQGAKEIRMLVADHPQNGENKVAVTESGGGEGVYGGEAFSFGGRGGAAEGEEEREKEGPTGLNKVGSSSTAELHPKGGGGPEHDPSGSGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLIAFRWHVAMPKIIAKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVAGFAMAVRFLTGPAVMAAASIAVGLRGTLLHLAIVQGYIWNVDSVTNYSCLLHYSRTVNVCCHRSLNTRELYVVAQLCHTLNCDFGVCTYKLDEGFSGI >ONI31229 pep chromosome:Prunus_persica_NCBIv2:G1:29463337:29463612:-1 gene:PRUPE_1G299600 transcript:ONI31229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGGKKNSSSSKSLFYEAPLGYSIEDIRPNGGIKKFRSAAYSNCARKPS >ONI33829 pep chromosome:Prunus_persica_NCBIv2:G1:37854035:37855630:1 gene:PRUPE_1G448200 transcript:ONI33829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLGDDELGLILNWITDLNDRRSFSEVCKQWLRVEGLNRSSLRLLEPDALLQVLPRFPNLVTFETSKFITDADLSFLAQTCPKIEVINLSLKTPREISYQFDEVLIFDNVGDEGLCALAKGCPKLSKVLLRRRKNIGNFGVVSLLNLSHNLTYLDLGFCSLVSDLALEAIGSANSISVLSLQGCSLITDRGLGFLAKGSSSKTMKRLNLAECDRITDFGVSLLEQMCCLVELNLAECGPKVTDIGGLSIAAIQSLKRLNLSWLVNVSDHTLVALAENCLNLEMVDLTGCDLVTGVGIRAFAGHKCLEALVLRSCFNVSDSDVVHIVLRCQFLKSVVLDKGLRIWMLPQTQESISRFAELVWA >ONI34138 pep chromosome:Prunus_persica_NCBIv2:G1:38749839:38773779:1 gene:PRUPE_1G464500 transcript:ONI34138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKETEILSRLAANHLYLAQFEPLRAIVVALRARNPDLALAVLQTIVAHSGRFENILWSKSCPSPALLTYLSTLELLQFDNASSVWSFDPETLRLRAEFLLLVQNLIDRVSESMRKNFDLESIEKEKEKEGLGESESFEERAEFLGKSEDTGKDLRDASGELDSCVRILDRVLELGVNRLKPDSVVVGAADTDGGSENEAAGVVSIEEGELMCLRSVVWDNRDVFDALCWNIQSQVRGWEGYDSSGLAITLRRDENAGEMSKEDLKVLGLIQRSVQLAHLDAMKECMKDGDVDGVVSRIHFLHLDYGVEETEYRMVLQDLLKMVSSGKEGYGDSWRNMREKLLWIYSTAIASNCGHLVKMIQALQDDLLSKEIEVYRSLDNNQIPPPLERLQRYHVELNPDTETSTLNTVVGFCMRDMYHYARVSGLHVLECVMDTALSAVKREQLQEASNILLLFPRLQPLVATMGWDLLSGKTTARRKLMQLLWRSKSQVFRLEESSLYSNLSDEVSCVEYLCDSLCYQLDLASFVACVNSGQSWNSKLSLMLSAKEQIAFSSEDQQLDPFVENFVLERLSVQSPLRVLFDVVPGIKFQEAIELISMQPISSTLEAWKRMQDIELMHMRYALDSAVLAVGVMERTMTGERESLHQVAFDHLKDLQNHLEAVNDIPRKIMMANVIISLLHMDDLSLNLAHCASPGSYSESHYTCSSEQTDLTREEGNKLVVSFTGKLLDILHHCLPSTITELDHALSDGVSRGGRQALEWRASIAKHFIEEWEWRLSILQRLLPLSERQWRWKEALTVLRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDKATLELAEWVDSAVRRQSVEDVVSRATDGGTSTIHDLDFSSLRSQLGPLAAILLCIDVAATSARSAKISQQLLDQAQVLLSEIYPGVSPKIGSTYWDQILEVAVISVLKRILKRLHEFLDQDNPPALQVTLSGEIIIASPKESLRLGQRERVLDMLHHMIEDAHKGKRQFLSGKLHNLARAVADEETELNFYKGEGPSAEQKVLSDLDKDGVFGLGLRVAKQIPSSSAIGETSVQPVGYDVKDSGKRFFGSLSTKPMTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRGSTDAAGKVAEIMCADFVHEVISACVPPVYPPRSGHGWACIPVTPTFPKSGSENKVLSPSFKEAKPNSYCRSSSLPGIPLYPLELDIVKHLVKLSPVRAVLACVFGSTILYNGSDSSISSSLDGGLLQAPDVDRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTIKQTADGGEARAEARAIKRLREIDSDTESEVDDIVGSSSVSTALPDASGQDGAATEPWDGSSKSDVAELDTSVFLSFDWENEEPYEKAVQRLIDEGKLMDALALSDRFLRNGASDQLLQLIIECGEENHSVAGLSQGYGGNSIWSNNWQYCLRLKDKQVAARLALKYMHRWELDAALDVLTMCSCHLPQNDPIRKEVMHMRQALQRYSHILNADEHFSSWQEVEAECKEDPEGLALRLAGKGAVSAALEVAESAGLSIELRRELQGRQLVKLLTADPLSGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPDLRSKQLLVHFFLKRREGNLSDVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSAALILKEFPLLRDNNVIIAYAAKAIAISISSPPREYRVSVSGTRLKQKTRTGAPVRSSFTSSLNNLQKEARRAFSWAPRNTGDRAAPKDVYRKRKSSGLTSSEKVAWEAMAGIQEDRASSYSVDGQERLPAISISEEWMLTGDSTKDEAVRASHRYESAPDITLFKALLSLCSDDSVSAKSALDLCVNQMKNVLSSQQLPENASMEIIGRAYHATETFVQGLLYAKSLLRKLVGGSDLSSNSERSRDADDASSDAGSSSVGSQSTDELSEVLLQADIWLGRAELLQSLLGSGIAASLDDIADKESSACLRDRLIVDERYSMAVYTCKKCKIDVVPVWNAWGHALIRMEHYAQARVKFKQALQLYKADPAPVILEIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNVLYLPSTFPRSERSRRSHESANNNSTYISDFEDGPRSNLDSVRYVECVNYLQEYARQHLLNFMFRHGHYNDACMLFFPPNTVAPPPQPSTVGVASSSSSPQRPDPLGTDYGTIDDLCDLCIGYGAMPILEEVISERMTSANPKDVAVNQYTAAALARICIYCETHRHFNYLYKFQVIKKDHVAAGLCCIQLFMNSSLQEEAIKHLENAKMHFDEALSARYKGGDSTKLVTKGVRGKSASEKLTEEGLVKFSARVAIQVEVVRSYNDSDGPHWKHSLFGNPNDPETFRRRCKIAESLVEKNFDLAFQVIYEFNLPAVDIYAGVAASLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >ONI34139 pep chromosome:Prunus_persica_NCBIv2:G1:38749895:38773779:1 gene:PRUPE_1G464500 transcript:ONI34139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDMYHYARVSGLHVLECVMDTALSAVKREQLQEASNILLLFPRLQPLVATMGWDLLSGKTTARRKLMQLLWRSKSQVFRLEESSLYSNLSDEVSCVEYLCDSLCYQLDLASFVACVNSGQSWNSKLSLMLSAKEQIAFSSEDQQLDPFVENFVLERLSVQSPLRVLFDVVPGIKFQEAIELISMQPISSTLEAWKRMQDIELMHMRYALDSAVLAVGVMERTMTGERESLHQVAFDHLKDLQNHLEAVNDIPRKIMMANVIISLLHMDDLSLNLAHCASPGSYSESHYTCSSEQTDLTREEGNKLVVSFTGKLLDILHHCLPSTITELDHALSDGVSRGGRQALEWRASIAKHFIEEWEWRLSILQRLLPLSERQWRWKEALTVLRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDKATLELAEWVDSAVRRQSVEDVVSRATDGGTSTIHDLDFSSLRSQLGPLAAILLCIDVAATSARSAKISQQLLDQAQVLLSEIYPGVSPKIGSTYWDQILEVAVISVLKRILKRLHEFLDQDNPPALQVTLSGEIIIASPKESLRLGQRERVLDMLHHMIEDAHKGKRQFLSGKLHNLARAVADEETELNFYKGEGPSAEQKVLSDLDKDGVFGLGLRVAKQIPSSSAIGETSVQPVGYDVKDSGKRFFGSLSTKPMTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRGSTDAAGKVAEIMCADFVHEVISACVPPVYPPRSGHGWACIPVTPTFPKSGSENKVLSPSFKEAKPNSYCRSSSLPGIPLYPLELDIVKHLVKLSPVRAVLACVFGSTILYNGSDSSISSSLDGGLLQAPDVDRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTIKQTADGGEARAEARAIKRLREIDSDTESEVDDIVGSSSVSTALPDASGQDGAATEPWDGSSKSDVAELDTSVFLSFDWENEEPYEKAVQRLIDEGKLMDALALSDRFLRNGASDQLLQLIIECGEENHSVAGLSQGYGGNSIWSNNWQYCLRLKDKQVAARLALKYMHRWELDAALDVLTMCSCHLPQNDPIRKEVMHMRQALQRYSHILNADEHFSSWQEVEAECKEDPEGLALRLAGKGAVSAALEVAESAGLSIELRRELQGRQLVKLLTADPLSGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPDLRSKQLLVHFFLKRREGNLSDVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSAALILKEFPLLRDNNVIIAYAAKAIAISISSPPREYRVSVSGTRLKQKTRTGAPVRSSFTSSLNNLQKEARRAFSWAPRNTGDRAAPKDVYRKRKSSGLTSSEKVAWEAMAGIQEDRASSYSVDGQERLPAISISEEWMLTGDSTKDEAVRASHRYESAPDITLFKALLSLCSDDSVSAKSALDLCVNQMKNVLSSQQLPENASMEIIGRAYHATETFVQGLLYAKSLLRKLVGGSDLSSNSERSRDADDASSDAGSSSVGSQSTDELSEVLLQADIWLGRAELLQSLLGSGIAASLDDIADKESSACLRDRLIVDERYSMAVYTCKKCKIDVVPVWNAWGHALIRMEHYAQARVKFKQALQLYKADPAPVILEIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNVLYLPSTFPRSERSRRSHESANNNSTYISDFEDGPRSNLDSVRYVECVNYLQEYARQHLLNFMFRHGHYNDACMLFFPPNTVAPPPQPSTVGVASSSSSPQRPDPLGTDYGTIDDLCDLCIGYGAMPILEEVISERMTSANPKDVAVNQYTAAALARICIYCETHRHFNYLYKFQVIKKDHVAAGLCCIQLFMNSSLQEEAIKHLENAKMHFDEALSARYKGGDSTKLVTKGVRGKSASEKLTEEGLVKFSARVAIQVEVVRSYNDSDGPHWKHSLFGNPNDPETFRRRCKIAESLVEKNFDLAFQVIYEFNLPAVDIYAGVAASLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >ONI33570 pep chromosome:Prunus_persica_NCBIv2:G1:37052841:37055123:-1 gene:PRUPE_1G433100 transcript:ONI33570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCNVISILLLMLLQITNAASNAEEYQTYIIHMDHSHKPAYFLTHEAWHRSTLKSLSSTSPADRDDSEMLLYSYSHVMHGFSARLTPSQLSKLESSSAHVATYPESFGKMFTTHSPKFLGLRQNLGLWPAASYGQDVIIGIIDSGIWPESESFGDKGMSEVPERWKGACENGTAFTPSLCNKKLIGARSFSKGLEAAGISISKQYDYDSPRDFLGHGTHTSSTAAGNHVLSASHFGYAKGTARGVAPRAHVAMYKVLWASDSEKSAASDVLAGMDQAISDGVDIMSLSIGFDFLPYYNDIIAIGALSAIEKGIVVVCAAGNDGWAENSTYNGAPWITTVGAGTLDRSFTATLTLDNGLTVEGESYFPESVYISDKPLYYGKDNASKAICYYGALDPKEVAGKVVLCDNTMKTDVDGQKEEVRSAGAYAGIFMTDMSLLDPQDFVFPALIVPISTGAVIREYATQANTTKVKTLTFVHTNLGIKPAPQVAYFSSRGPDPITPGILKPDILAPGVDVLAAVAPNRLFIEVNNYNLASDYQLMSGTSMAAPHVAGVAALLKAVHREWSPAAIRSAIMTTAYSLDNTKTTIKDQWGGLPATPLEFGAGHINPNRAMNPGLIYDMDVQDYIEFLCGLGYTAKQMSAVIRRSQWSCRQQPTELNYPSFIAIFNGTDGSPKAKNFSRVVTNVGDGTSIYKAFLEVPSGMKIAVEPSSLTFTGKNQEQDFVLRVEIDNNAPKVTYGYLKWIDQQNHIVSSPVVVINY >ONI30908 pep chromosome:Prunus_persica_NCBIv2:G1:28431067:28434791:1 gene:PRUPE_1G280800 transcript:ONI30908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSNTKQQHQSASISLTKPVRCIVKLGGAAITCKNELETINEENLKTVSSQLRQSMTTGSNSGEVLGMDWSKQPGESEISSTLSDFEDRPVVDSSPFIVVHGAEGIPSIGMSPFSCGWSTRERNIASADLSAVAKAIESGLVPVLHGDAVLDDLLDCTILSGDAIISHLAAHLKPEYVVFLTDVSGVYDRPPSDPNAVLLREIAVAKDGSWSIEKPTFQNKNKQVETSVAAHDTTGGMLTKISEAAAIAKLGIDVYIVKAATSHAFKAFSGELKGNLPEDWLGTAIRFSNK >ONI30907 pep chromosome:Prunus_persica_NCBIv2:G1:28431067:28434791:1 gene:PRUPE_1G280800 transcript:ONI30907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSNTKQQHQSASISLTKPVRCIVKLGGAAITCKNELETINEENLKTVSSQLRQSMTTGSNSGEVLGMDWSKQPGESEISSTLSDFEDRPVVDSSPFIVVHGAGSFGHFQASKSGVHKGGLYQPLVKAGFVATRISVTKLNLEIVRALAREGIPSIGMSPFSCGWSTRERNIASADLSAVAKAIESGLVPVLHGDAVLDDLLDCTILSGDAIISHLAAHLKPEYVVFLTDVSGVYDRPPSDPNAVLLREIAVAKDGSWSIEKPTFQNKNKQVETSVAAHDTTGGMLTKISEAAAIAKLGIDVYIVKAATSHAFKAFSGELKGNLPEDWLGTAIRFSNK >ONI28411 pep chromosome:Prunus_persica_NCBIv2:G1:11094235:11097201:1 gene:PRUPE_1G141000 transcript:ONI28411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNPFDRHELVRYDVKQVVCSVCDTEQPVARVCTNCGVSMGEYFCEICKFYDDDTDKGQFHCNDCGICRIGGRENFYHCKKCGSCYSVGLRDNHLCVENSMRHHCPICYEFLFDSLKDTTVMKCGHTMHCECYNEMMKRDKYCCPICSKSVIDMSRTWRRIDEEIEATAMPEDYRYKKVWILCNDCNDTTEVYFHIIGQKCNHCKSYNTRTIAPPVLPQ >ONI28410 pep chromosome:Prunus_persica_NCBIv2:G1:11093798:11097201:1 gene:PRUPE_1G141000 transcript:ONI28410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGNERLDFGKMGYGCKHYRRRCQIRAPCCNEIYPCRHCHNEATVVCSVCDTEQPVARVCTNCGVSMGEYFCEICKFYDDDTDKGQFHCNDCGICRIGGRENFYHCKKCGSCYSVGLRDNHLCVENSMRHHCPICYEFLFDSLKDTTVMKCGHTMHCECYNEMMKRDKYCCPICSKSVIDMSRTWRRIDEEIEATAMPEDYRYKKVWILCNDCNDTTEVYFHIIGQKCNHCKSYNTRTIAPPVLPQ >ONI28409 pep chromosome:Prunus_persica_NCBIv2:G1:11093798:11097201:1 gene:PRUPE_1G141000 transcript:ONI28409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGNERLDFGKMGYGCKHYRRRCQIRAPCCNEIYPCRHCHNEATSMLSNPFDRHELVRYDVKQVVCSVCDTEQPVARVCTNCGVSMGEYFCEICKFYDDDTDKGQFHCNDCGICRIGGRENFYHCKKCGSCYSVGLRDNHLCVENSMRHHCPICYEFLFDSLKDTTVMKCGHTMHCECYNEMMKRDKYCCPICSKSVIDMSRTWRRIDEEIEATAMPEDYRYKKVWILCNDCNDTTEVYFHIIGQKCNHCKSYNTRTIAPPVLPQ >ONI30998 pep chromosome:Prunus_persica_NCBIv2:G1:28715877:28721608:1 gene:PRUPE_1G287100 transcript:ONI30998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLQYFFLAVAAVLATLQEVRSHGDQPLSKIAVHKAVSALHAHAYVKASPTILGLKGQYSEWVTLDFSSPNPSIDDWIGVFSPANFSASTCPPETPSTSAPFLCSAPIKYQYANYTSPRYKDTGKGFLKLQLINQRSDFSFALFSGGLSNPKLVAVSNKIAFKNPKAPVYPRLAQGKLWNEMTVTWTSGYDITEATPFVEWGSKGELVRSSAGTLNFDRNSLCGAPARTVGWRDPGFIHTAFLKELWPNTVYTYKVGHRLSNDSSILSQEYQFRASPYPGQNSVQRVVIFGDMGKDEADGSNEYNNFQRGSLNTTKQLIQDLKNIDIVFHIGDICYANGYISQWDQFTAQVEPIASAVPYMIASGNHERDWPGTGSFYGTTDSGGECGVLAQTMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYEFIENCLASVDRQKQPWLIFLAHRVLGYSSASFYVAEGSFEEPMGRESLQKLWQKYKVDIAVYGHVHNYERTCPIYQNICINKEKHYYKGSLNGTIHVVAGGGGASLATFAPVQTKWSIVKDYDYGFVKLTAFDRSNLLFEYKKSRDGKVYDSFRISRDYRDILACAVDSCPSTTLAS >ONI30997 pep chromosome:Prunus_persica_NCBIv2:G1:28716044:28721608:1 gene:PRUPE_1G287100 transcript:ONI30997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLQYFFLAVAAVLATLQEVRSHGDQPLSKIAVHKAVSALHAHAYVKASPTILGLKGQYSEWVTLDFSSPNPSIDDWIGVFSPANFSASTCPPETPSTSAPFLCSAPIKYQYANYTSPRYKDTGKGFLKLQLINQRSDFSFALFSGGLSNPKLVAVSNKIAFKNPKAPVYPRLAQGKLWNEMTVTWTSGYDITEATPFVEWGSKGELVRSSAGTLNFDRNSLCGAPARTVGWRDPGFIHTAFLKELWPNTVYTYKVGHRLSNDSSILSQEYQFRASPYPGQNSVQRVVIFGDMGKDEADGSNEYNNFQRGSLNTTKQLIQDLKNIDIVFHIGDICYANGYISQWDQFTAQVEPIASAVPYMIASGNHERDWPGTGSFYGTTDSGGECGVLAQTMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYEFIENCLASVDRQKQPWLIFLAHRVLGYSSASFYVAEGSFEEPMGRESLQKLWQKYKVDIAVYGHVHNYERTCPIYQNICINKEKHYYKGSLNGTIHVVAGGGGASLATFAPVQTKWSIVKDYDYGFVKLTAFDRSNLLFEYKKSRDGKVYDSFRISRDYRDILACAVDSCPSTTLAS >ONI35657 pep chromosome:Prunus_persica_NCBIv2:G1:44830700:44834704:1 gene:PRUPE_1G548100 transcript:ONI35657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLNSASVVVCVLVCQLFALHLGDLVSTVSEKYISAIGDPGMKNPNVRVALEAWNFCNEVGMEAPNMGSPRLADCADIDCSLTDHLDRTSLGMESKCVIHHRVNESDNNLRAGDNFPVRDFKPYTDPDLYAKEKELYLASLCEVQNSSDPWQFWMVMLKNGNFDKNTTLCPENGKPVSRIVTDRNFPCFGQGCMNQPLVYHNYSRLISYGDRAVSLIGGFYGTYDLDADLSKDATKGLNGGYHYDGRGIMRKLPVSPHFKVRLTLDVKRGGGSNSQFYLLDIGSCWKNNGKPCDGNVLTDVTRYSEMIINPETTSWCRPDNLVSCPPYHVTRTGDIIYRNETSRFPYSAYHLYCTPGNAEFLEKPYDICDPYSNPQAQELVQILPHPEWAVHGYPAKQGDGWVGDPRTWELDVGALSSQLYFYQDPGTKPARRVWSSINVGTEIYVSSPGETAEWTVSDFDVLVPEGVEDGSSSY >ONI35656 pep chromosome:Prunus_persica_NCBIv2:G1:44830700:44834704:1 gene:PRUPE_1G548100 transcript:ONI35656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLNSASVVVCVLVCQLFALHLGDLVSTVSEKYISAIGDPGMKNPNVRVALEAWNFCNEVGMEAPNMGSPRLADCADIDCSLTDHLDRTSLGMESKCVIHHRVNESDNNLRAGDNFPVRDFKPYTDPDLYAKEKELYLASLCEVQNSSDPWQFWMVMLKNGNFDKNTTLCPENGKPVSRIVTDRNFPCFGQGCMNQPLVYHNYSRLISYGDRAVSLIGGFYGTYDLDADLSKGIGKNSYFSVSWQKNLGTGSWIFSHRLTTSSKYPWLMLYLRADATKGLNGGYHYDGRGIMRKLPVSPHFKVRLTLDVKRGGGSNSQFYLLDIGSCWKNNGKPCDGNVLTDVTRYSEMIINPETTSWCRPDNLVSCPPYHVTRTGDIIYRNETSRFPYSAYHLYCTPGNAEFLEKPYDICDPYSNPQAQELVQILPHPEWAVHGYPAKQGDGWVGDPRTWELDVGALSSQLYFYQDPGTKPARRVWSSINVGTEIYVSSPGETAEWTVSDFDVLVPEGVEDGSSSY >ONI29953 pep chromosome:Prunus_persica_NCBIv2:G1:23786590:23789393:-1 gene:PRUPE_1G224200 transcript:ONI29953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDSVAKAFVEHYYTLFDANRGALANLYQEGSMLTFEGQKIQGSQNIVAKLTSLPFQQCQHSITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSFYVFNDIFRLNYA >ONI30366 pep chromosome:Prunus_persica_NCBIv2:G1:25982356:25987373:-1 gene:PRUPE_1G247000 transcript:ONI30366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLFLFSFLCFCLGLLSQRVSSQDHASTPPRGWNSYDSFIWTISEEEFLQSSDIISKQLLPHGYEYAVVDYLWYRRKVPGAYVDSLGFDVIDEWGRPIPDPDRWPSSKGGKGFTEVAAKVHSLGLKFGIHVMRGISTQAVNANTPILDTAKGTAYEESGRKWTAQDIGIKERTCAWMNNGFMSVNTELGAGKAFLRSLYQQYAEWGVDFVKNDCVFGEDIDVPEIIFVSEVLKQLDRPILYSLSPGTRATPTMAKDISGLVNMYRITGDDWDSWGDVLAHFDVSRDFAAANMIGANGLLGKSWPDLDMLPLGWLSNAGSNDGPHRYTNLGLREQRTQMTLWSMAKSPLMFGGDVRKLDDTTYGLITNPTLLEINSFSSNNKEFPYVSSNLSARAFKNDDLVHTWQARRHLTDARSSDTHVLGLTTCADVKAMGWSIQAVDQDPEHICWKENSRSKRRAPFCLNKRESLLALDEDILDKQKYQGKVNLLASDKMDLCLHASPKRKLTSKELKRGFFSPCRWDANQMWELNSNGTLVNSYSGLCATINSVEAQANSQGVRSWIATGQKGQIYVAFFNLNEDWATITAQKSDLAKELPGTKLSGGSCKGTEAWSGQDLGIIGQTISFSVIPHGAAVIVLQCT >ONI33995 pep chromosome:Prunus_persica_NCBIv2:G1:38349629:38353622:1 gene:PRUPE_1G457700 transcript:ONI33995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPDAEVSSILSLSAALSYGAASMAMVFINKAVLMEYSHSMTLLTVQQLATALLIHVGRRLGYTRSRGLEMSTAKKLLPVSLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFVSGKGKPTIQVSLSVILTAGGVVIAALGDFSFDFFGYSMALTSVFFQTMYLVLVEKSGAEDGLSSVEIMYYNSFLSLPVLMFLIVATGEFPNSLLLLIAKSNSFYFLVILILSFVMGIVLNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVQVHFLNVTGLVINTAGGVWYSFAKYQQRKSKPPKRISDVEAHRK >ONI35317 pep chromosome:Prunus_persica_NCBIv2:G1:43309502:43311375:1 gene:PRUPE_1G529700 transcript:ONI35317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINLVQGFRTKAHPKHAASIGLYPDAKYLVIRIPDSRALMILSRSLILALCIVSLPCLNSLLSIATTSSPEITTSDVMIPVKIGLLPLIFRDLANEGLFKRGTHKAVFVSGRRDEEAVKKSEILDVNEMELIDAEKQSSIPDNSIDFAFVSSFPTASKFLERTLKVGGIVALQLSDNPASGFKKPENYKMVYLRRFDATVLAMRKTGKASATTSPTRRRLFAEEAKKEALKNLEDVLLEPPRASSLKSRRYLKRTRYLPDLMGDSLESYPRRVFIDVGLPEKEGGSGTGWFAKNYPTRNKNFEMYKIETVTEESSGKEVVQTGMSEWLKKNVKEEEYVVMKAEAEVVEEMMNTKAIKLVDELFLECKPQGQNGNKGDNNSNSKTNYVRSRRAYWQCLALYGQLRDEGVAVHQWWG >ONI30260 pep chromosome:Prunus_persica_NCBIv2:G1:25448235:25453737:-1 gene:PRUPE_1G240500 transcript:ONI30260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHRTITGMSPEPLSASGSEKLSLPSLQSKMKCDPEGYESELHLIYNQFKSSLELFKQQADLGFKSVSGIRNDPAVAKDLGDRAMFLAHVTPFYPTHLAHFPAQLAEFIRSSAGTLPSGLCLHVTQALILLMNRKMVDMGDNLALFMELQTFGNKALRKLAYSHVVHSIKRMNQKHKNEVKNRALQNVLFEMLRQEDETKAKRVLITLRELHQRKVWFDERTANAICTACFHPSSRIMIACLSFLLDYEKFEDDDSDASSSEDEAPHTPQIVLNRESIYKAHHQGTIASKKKKKAKLQRAMRSMKKQQRLSSEKSNSNYHTPLNHLKDPQGFAEKLFSRLQTCNERFEVKMMMLKVIARTIGLHRLILLNFYPFLQKYIQPHQRDVTSLLAAAVQACHDMVPPDAIQPLFKQLVNQFVHDRSRPEAIAVGLNVTRELCLRIPLLMTEDLLQDLALYKKSHEKAVSIAARSLIGLFREICPSLLIKKDRGRPTNPKARPKAYGEVNVLSNVPGVELLEEDDGNEDGDDADEASLSGTDDDLDHDEMVASSDDEDNQIANSDSGSEEDSVVAEDVDSDGSIDDNDSDVSGDEDDEEDEDDEDEEDEENESNNNDAKDSGTGVKDNKAKKRKVADFDKQLTDADASLRALKRLAKENMEPISLDSTDGFLSNEDFQRIKELKAKKDARFALTQHGLLKKGADSKSPAFKIPNSDELSIKRVDPAKLEVHVKKRMSKEERLALVRAGREDRGKYQARAAIKQKKTGGMSNRQKEHKKPMPLAAKRAKVAKSRIDKKKKQQRAGKQFRGKKAWK >ONI30262 pep chromosome:Prunus_persica_NCBIv2:G1:25448235:25454350:-1 gene:PRUPE_1G240500 transcript:ONI30262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHRTITGMSPEPLSASGSEKLSLPSLQSKMKCDPEGYESELHLIYNQFKSSLELFKQQADLGFKSVSGIRNDPAVAKDLGDRAMFLAHVTPFYPTHLAHFPAQLAEFIRSSAGTLPSGLCLHVTQALILLMNRKMVDMGDNLALFMELQTFGNKALRKLAYSHVVHSIKRMNQKHKNEVKNRALQNVLFEMLRQEDETKAKRVLITLRELHQRKVWFDERTANAICTACFHPSSRIMIACLSFLLDYEKFEDDDSDASSSEDEAPHTPQIVLNRESIYKAHHQGTIASKKKKKAKLQRAMRSMKKQQRLSSEKSNSNYHTPLNHLKDPQGFAEKLFSRLQTCNERFEVKMMMLKVIARTIGLHRLILLNFYPFLQKYIQPHQRDVTSLLAAAVQACHDMVPPDAIQPLFKQLVNQFVHDRSRPEAIAVGLNVTRELCLRIPLLMTEDLLQDLALYKKSHEKAVSIAARSLIGLFREICPSLLIKKDRGRPTNPKARPKAYGEVNVLSNVPGVELLEEDDGNEDGDDADEASLSGTDDDLDHDEMVASSDDEDNQIANSDSGSEEDSVVAEDVDSDGSIDDNDSDVSGDEDDEEDEDDEDEEDEENESNNNDAKDSGTGVKDNKAKKRKVADFDKQLTDADASLRALKRLAKENMEPISLDSTDGFLSNEDFQRIKELKAKKDARFALTQHGLLKKGADSKSPAFKIPNSDELSIKRVDPAKLEVHVKKRMSKEERLALVRAGREDRGKYQARAAIKQKKTGGMSNRQKEHKKPMPLAAKRAKVAKSRIDKKKKQQRAGKQFRGKKAWK >ONI30261 pep chromosome:Prunus_persica_NCBIv2:G1:25448235:25454414:-1 gene:PRUPE_1G240500 transcript:ONI30261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHRTITGMSPEPLSASGSEKLSLPSLQSKMKCDPEGYESELHLIYNQFKSSLELFKQQADLGFKSVSGIRNDPAVAKDLGDRAMFLAHVTPFYPTHLAHFPAQLAEFIRSSAGTLPSGLCLHVTQALILLMNRKMVDMGDNLALFMELQTFGNKALRKLAYSHVVHSIKRMNQKHKNEVKNRALQNVLFEMLRQEDETKAKRVLITLRELHQRKVWFDERTANAICTACFHPSSRIMIACLSFLLDYEKFEDDDSDASSSEDEAPHTPQIVLNRESIYKAHHQGTIASKKKKKAKLQRAMRSMKKQQRLSSEKSNSNYHTPLNHLKDPQGFAEKLFSRLQTCNERFEVKMMMLKVIARTIGLHRLILLNFYPFLQKYIQPHQRDVTSLLAAAVQACHDMVPPDAIQPLFKQLVNQFVHDRSRPEAIAVGLNVTRELCLRIPLLMTEDLLQDLALYKKSHEKAVSIAARSLIGLFREICPSLLIKKDRGRPTNPKARPKAYGEVNVLSNVPGVELLEEDDGNEDGDDADEASLSGTDDDLDHDEMVASSDDEDNQIANSDSGSEEDSVVAEDVDSDGSIDDNDSDVSGDEDDEEDEDDEDEEDEENESNNNDAKDSGTGVKDNKAKKRKVADFDKQLTDADASLRALKRLAKENMEPISLDSTDGFLSNEDFQRIKELKAKKDARFALTQHGLLKKGADSKSPAFKIPNSDELSIKRVDPAKLEVHVKKRMSKEERLALVRAGREDRGKYQARAAIKQKKTGGMSNRQKEHKKPMPLAAKRAKVAKSRIDKKKKQQRAGKQFRGKKAWK >ONI31744 pep chromosome:Prunus_persica_NCBIv2:G1:31183832:31184712:1 gene:PRUPE_1G328000 transcript:ONI31744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHGFIGTQIELKSPADKFYKIFKGQAHLIPNVSSGHIKGVQVHEGDWETHGSVKIWNYHLGNEVGTFKEKVEYDDENKAATLIGLDGEMFKYYKSIKGIYQFAQKGDVSVANLTIHYEKRNANVEAPDRYVGLMVTLVRDLDAHFAKA >ONI30597 pep chromosome:Prunus_persica_NCBIv2:G1:27005927:27008010:-1 gene:PRUPE_1G261000 transcript:ONI30597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPFHLFPIAIALCLSFSLTHTSARFFPNISSIPSLLVPNVTASVPAAWDAFQKFGNCHAGDKVEGLSKLKKYFNYFGYIPNLPSNFTDDFDDDLQSALKTYQKNFNLNVTGELDERTIQHLVKRRCGNPDIVNGTTTMNSGKPTSSNTSNLHTVAHYSTFPGTPVWPPSRRDLTYAFLPENKLADDVKAVFLRAFQRWSAATPLNFSETTSFYTADIKIGFFSGDHGDGEPFDGVLGTLAHAFSPPSGRFHLDLDENWVITGDISTSSVTSAVDLESVAVHEIGHLLGLGHSSVEEAIMFPTISSRTKKVELASDDVLGIQSLYGANPSYDGTSGSSTTSTQARETSAAGDHLTSAPRLWGLSALLAVGFLLLSF >ONI29661 pep chromosome:Prunus_persica_NCBIv2:G1:21332360:21335995:1 gene:PRUPE_1G207700 transcript:ONI29661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFMNESYADRRLPHHRNPKEFVPLDHLADLWGMENLGQSFRARSLNLIPRMHHSLLSVPTLNAPLLMRHYFSAPSFLVSNFPSNQEFVEPVTPDYDEERVEQC >ONI29659 pep chromosome:Prunus_persica_NCBIv2:G1:21332355:21335957:1 gene:PRUPE_1G207700 transcript:ONI29659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFMNESYADRRLPHHRNPKEFVPLDHLADLWGMENLGQSFRARSLNLIPRMHHSLLSVPTLNAPLLMRHYFSAPSFLVSNFPSNQEFVEPVTPDYDEERVEQC >ONI29658 pep chromosome:Prunus_persica_NCBIv2:G1:21332372:21335949:1 gene:PRUPE_1G207700 transcript:ONI29658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFMNESYADRRLPHHRNPKEFVPLDHLADLWGMENLGQSFRARSLNLIPRMHHSLLSVPTLNAPLLMRHYFSAPSFLVSNFPSNQEFVEPVTPDYDEERVEQC >ONI29663 pep chromosome:Prunus_persica_NCBIv2:G1:21332348:21335995:1 gene:PRUPE_1G207700 transcript:ONI29663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFMNESYADRRLPHHRNPKEFVPLDHLADLWGMENLGQSFRARSLNLIPRMHHSLLSVPTLNAPLLMRHYFSAPSFLVSNFPSNQEFVEPVTPDYDEERVEQC >ONI29662 pep chromosome:Prunus_persica_NCBIv2:G1:21332355:21336071:1 gene:PRUPE_1G207700 transcript:ONI29662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFMNESYADRRLPHHRNPKEFVPLDHLADLWGMENLGQSFRARSLNLIPRMHHSLLSVPTLNAPLLMRHYFSAPSFLVSNFPSNQEFVEPVTPDYDEERVEQC >ONI29660 pep chromosome:Prunus_persica_NCBIv2:G1:21332355:21335995:1 gene:PRUPE_1G207700 transcript:ONI29660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFMNESYADRRLPHHRNPKEFVPLDHLADLWGMENLGQSFRARSLNLIPRMHHSLLSVPTLNAPLLMRHYFSAPSFLVSNFPSNQEFVEPVTPDYDEERVEQC >ONI29656 pep chromosome:Prunus_persica_NCBIv2:G1:21332348:21335995:1 gene:PRUPE_1G207700 transcript:ONI29656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFMNESYADRRLPHHRNPKEFVPLDHLADLWGMENLGQSFRARSLNLIPRMHHSLLSVPTLNAPLLMRHYFSAPSFLVSNFPSNQEFVEPVTPDYDEERVEQC >ONI29657 pep chromosome:Prunus_persica_NCBIv2:G1:21332354:21336005:1 gene:PRUPE_1G207700 transcript:ONI29657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFMNESYADRRLPHHRNPKEFVPLDHLADLWGMENLGQSFRARSLNLIPRMHHSLLSVPTLNAPLLMRHYFSAPSFLVSNFPSNQEFVEPVTPDYDEERVEQC >ONI32732 pep chromosome:Prunus_persica_NCBIv2:G1:34394978:34399517:-1 gene:PRUPE_1G382400 transcript:ONI32732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKDLNSKPAKPVADDGSGEPAHDDASGKDDRPLLKPDLAPQVSTEELQELEKKCAAYVRRDAYGTMGRGELTVKEKVLLGLALVTLVPIRVVLAMTILVIYYLICRICTLFSVPNRDEEQEDYAHMGGWRRAVIVQCGRSLSRLMLFVLGFYWINETYRIPSDAQPNPTTDCKDEGEEEGTERPGAIISNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTERVKEAHQNNSAPPMMLFPEGTTTNGDFLLPFKTGAFLAKAPVLPVILRYPYERFSPAWDSISGVRHVIFLFCQFVNHIEVTRLPVYYPSQQEKDDPKLYATNVRRLMASEGKMTQSDIGLAEKRVYHAALNGLFSQC >ONI32730 pep chromosome:Prunus_persica_NCBIv2:G1:34394978:34399651:-1 gene:PRUPE_1G382400 transcript:ONI32730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKDLNSKPAKPVADDGSGEPAHDDASGKDDRPLLKPDLAPQVSTEELQELEKKCAAYVRRDAYGTMGRGELTVKEKVLLGLALVTLVPIRVVLAMTILVIYYLICRICTLFSVPNRDEEQEDYAHMGGWRRAVIVQCGRSLSRLMLFVLGFYWINETYRIPSDAQPNPTTDCKDEGEEEGTERPGAIISNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTERVKEAHQNNSAPPMMLFPEGTTTNGDFLLPFKTGAFLAKAPVLPVILRYPYERFSPAWDSISGVRHVIFLFCQFVNHIEVTRLPVYYPSQQEKDDPKLYATNVRRLMASEGKMTQSDIGLAEKRVYHAALNGLFSQC >ONI32733 pep chromosome:Prunus_persica_NCBIv2:G1:34396003:34399181:-1 gene:PRUPE_1G382400 transcript:ONI32733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKDLNSKPAKPVADDGSGEPAHDDASGKDDRPLLKPDLAPQVSTEELQELEKKCAAYVRRDAYGTMGRGELTVKEKVLLGLALVTLVPIRVVLAMTILVIYYLICRICTLFSVPNRDEEQEDYAHMGGWRRAVIVQCGRSLSRLMLFVLGFYWINETYRIPSDAQPNPTTDCKDEGEEEGTERPGAIISNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTERVKEAHQNNSAPPMMLFPEGTTTNGDFLLPFKTGAFLAKAPVLPVILRYPYERFSPAWDSISGVRHVIFLFCQFVNHIEVTRLPVYYPSQQEKDDPKLYATNVRRLMASEGKMTQSDIGLAEKRVYHAALNGNNSRPSVLHQKDD >ONI32731 pep chromosome:Prunus_persica_NCBIv2:G1:34394978:34399480:-1 gene:PRUPE_1G382400 transcript:ONI32731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKDLNSKPAKPVADDGSGEPAHDDASGKDDRPLLKPDLAPQVSTEELQELEKKCAAYVRRDAYGTMGRGELTVKEKVLLGLALVTLVPIRVVLAMTILVIYYLICRICTLFSVPNRDEEQEDYAHMGGWRRAVIVQCGRSLSRLMLFVLGFYWINETYRIPSDAQPNPTTDCKDEGEEEGTERPGAIISNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTERVKEAHQNNSAPPMMLFPEGTTTNGDFLLPFKTGAFLAKAPVLPVILRYPYERFSPAWDSISGVRHVIFLFCQFVNHIEVTRLPVYYPSQQEKDDPKLYATNVRRLMASEGKMTQSDIGLAEKRVYHAALNGLFSQC >ONI32734 pep chromosome:Prunus_persica_NCBIv2:G1:34394991:34399651:-1 gene:PRUPE_1G382400 transcript:ONI32734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELKDLNSKPAKPVADDGSGEPAHDDASGKDDRPLLKPDLAPQVSTEELQELEKKCAAYVRRDAYGTMGRGELTVKEKVLLGLALVTLVPIRVVLAMTILVIYYLICRICTLFSVPNRDEEQEDYAHMGGWRRAVIVQCGRSLSRLMLFVLGFYWINETYRIPSDAQPNPTTDCKDEGEEEGTERPGAIISNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVSGVVTERVKEAHQNNSAPPMMLFPEGTTTNGDFLLPFKTGAFLAKAPVLPVILRYPYERFSPAWDSISGVRHVIFLFCQFVNHIEVTRLPVYYPSQQEKDDPKLYATNVRRLMASEGKMTQSDIGLAEKRVYHAALNGNNSRPSVLHQKDD >ONI36247 pep chromosome:Prunus_persica_NCBIv2:G1:47020757:47031763:1 gene:PRUPE_1G577700 transcript:ONI36247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGNEEPSKPNKAESPPQLKKSRTVITTASDDAGPTNPIFPGPLFPAVRRISTAVASRQWPPPDRRASNAPADHRDFSDRDWVFPSFVVPQNTSKRGKKLASSDSRTTAAHGKLVDASHRIASQSPPAASVPKPEAERKKLKVVPSGAPASSSSELTRLSSRWPGELKRHLLLVVLTFTCILSITYVFYLRQRVAQLEEWCTEKDIDSNSSFSLFLAEKNPFFFHFANAERRTVALYAVVIILTMPFVLYKHLDYLSQIRNLSKRMKSNKEEVPIKKRIAYMVDVCFSVYPYAKLLALLFATIFLIGFGGLALYAVNKNSFAEALWLSWTFVADSGNHADTEGLGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEMNHILILGWSDKLGSLLKQLAIANKSVGGGVVVILAERDKEEMELDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHIVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLRFENVLISFPDAIPCGIKVAADGGKIILNPDDNYVLKEGDEVLVIAEDDDTYSPCSFPKVCAGSCPKTVEPPKYPEKILFCGWRRDIDDMIMVLEAFLPPGSELWLFNEVPVIERDRKLTDGGLDVSRLENIKLVHKEGNAVIKRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPDKDTKTTSLRLSAFSHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFFEQGNEMCIKPAELYLYDQEELCFYDIMLRGRQRQEIVIGYRLANTERAIINPSPKSERRKWSHDDVFVVISMSE >ONI28832 pep chromosome:Prunus_persica_NCBIv2:G1:13197812:13198631:1 gene:PRUPE_1G163900 transcript:ONI28832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTNLQIMTSTLFCCSEFFLNNPLILAFQSFEFLLGIQCIQHPRLFPVFWDCLPIFWLDFFIWLRW >ONI28236 pep chromosome:Prunus_persica_NCBIv2:G1:10523039:10528563:1 gene:PRUPE_1G133000 transcript:ONI28236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGKGRTVLEVGADGVALITIINPPVNSLSFDVLYSLKDNFEEALRRNDVKAIVITGAKGKFSGGFDITAFGGLQGGQKDESKPGLMSVEVITDIFEGTSKPSVAAIDGLALGGGLEVAMVCNARISTPNAQLGLPELQLGLIPGFGGTQRLPRLVGIAKALEMMLTSKPVKGQEACDLGLVDAIVSPDQLVSTARQWALDILERRRPWVVTLHKTDKLEPLGEAREMLKFARAQVRKQAPNLKHPLVCIDVVEEGIASGGRSGLWKEAEAFQVLLHSDTSKSLIHIFFAQRGTSKVPGVTDRGLVPRRVTKVAVIGGGLMGSGIATALILSSYPVILKEVNDKFLQAGIGRVRANLQSRVKKGKMTQEKFEKTISLLKGVLDYESFKDVDIVIEAVIEKVSLKQQIFADLEKYCPQHCILASNTSTIDLNLIGEKTKSHDRIIGAHFFSPAHVMPLLEIVRTNQTSPQVVVDLLEVGKKIKKTPIVVGNCTGFAVNRMFFPYTQAGLLLVEHGADVYQIDRVITKFGMPMGPFRLADLVGFGVAIATGMQFIENFPERTYKSMLIPLMQEDGRAGESTRKGFYTYGDKRKASPDPELKKYIEKSRSISGVSIDSKLLKLSEKDIVEMIFFPVVNEACRVLAEGIAVKAADLDIAAVMGMGFPPYRGGILFWADLLGSKYIYSRLEEWSNIYGEFFKPCAYLAERAAKGASLSAPSKQANSRL >ONI33489 pep chromosome:Prunus_persica_NCBIv2:G1:36770746:36774250:1 gene:PRUPE_1G427800 transcript:ONI33489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQFHLLPQTFAIIFSLLLCIFVSVETARPTPSIATDKEALISFKSGVSLPPSFWDQNSSPCTNWTGVVCNKLGNRVVALHLSGLGLTGSISPHIGNLSFLRSLQLQNNKLTGNIPSQILHLFRLTSLNLSSNTIQGPLPSNLTQLTALQTLDLASNNITGTLPENLNSLKNLQVLNLARNRLHGPIPPSISNLSSTLTHLNLGTNSLSGTIPSELGFLYKLKELDLAGNQLTGTVALSIYNISSLLLFTVASNQLWGEIPSNIGHTLPNLLYFRNCFNLFTGNIPVSLHNISGIRSIRMSNNFLEGTVPPGLGNLPFIEMYNIGFNRIVSYGGDGLSFITSLTNSTRLQFLAIDGNHLEGVIPESIGNLSKVIEKLYMGGNRIFGHIPSSIGQLSSLTLLNVSYNLISGEIPPEIGQLEELQVLSLAANKMSGHITNSLGNLRKLNNIDLSRNSFVGHIPPSFANFQKLLSMDLSNNLLNGSISTEIFQNLPSLSTILNLSNNFLSGPLPEEIGLLGSVVTIDLSDNRLSGLIPNTIGKCTSLEGLLMARNKLSGPLPNVLGDMRGLEILDLSSNQLSGSIPDKLEDLQVLSYLNLSFNHLEGVIPKGGIFVKNSSSVHLEGNPKLCLHSSCVNPGGHRRKVLIPVLISTVLATLAVCVIVGCLLYVSKSKARVTETNSDLLIKGQHQMVTYEELRGATGNFSTENLIGSGSFGSVYRGCLRDGIEVAVGKLRNLARVYKELGSWKSFLAECEALRSVRHRNLVKLITSCSILDFKNMDFLALVYEYLSNGSLEDWIQGKRKNANGDGLNIVERLNVAIDVACGLDYLHHDCEVPVAHCDLKPSNILLDRDMIAKIGDFGLAKLLIERTSNNVLSSTDVLKGSIGYMPPGHLFGLLRMNYDAEYGFGQKPSTAGDAYSFGVVLLELFTGKSPTHESFTGDENIIRWVHSAFPQNIAQVLDSELLHLMQHPPNELERNCLTSIIEVGLSCTSASPEGRISLRDALHKLEAARQTLFKHTHVETESAKHEF >ONI33490 pep chromosome:Prunus_persica_NCBIv2:G1:36770544:36774586:1 gene:PRUPE_1G427800 transcript:ONI33490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQFHLLPQTFAIIFSLLLCIFVSVETARPTPSIATDKEALISFKSGVSLPPSFWDQNSSPCTNWTGVVCNKLGNRVVALHLSGLGLTGSISPHIGNLSFLRSLQLQNNKLTGNIPSQILHLFRLTSLNLSSNTIQGPLPSNLTQLTALQTLDLASNNITGTLPENLNSLKNLQVLNLARNRLHGPIPPSISNLSSTLTHLNLGTNSLSGTIPSELGFLYKLKELDLAGNQLTGTVALSIYNISSLLLFTVASNQLWGEIPSNIGHTLPNLLYFRNCFNLFTGNIPVSLHNISGIRSIRMSNNFLEGTVPPGLGNLPFIEMYNIGFNRIVSYGGDGLSFITSLTNSTRLQFLAIDGNHLEGVIPESIGNLSKVIEKLYMGGNRIFGHIPSSIGQLSSLTLLNVSYNLISGEIPPEIGQLEELQVLSLAANKMSGHITNSLGNLRKLNNIDLSRNSFVGHIPPSFANFQKLLSMDLSNNLLNGSISTEIFQNLPSLSTILNLSNNFLSGPLPEEIGLLGSVVTIDLSDNRLSGLIPNTIGKCTSLEGLLMARNKLSGPLPNVLGDMRGLEILDLSSNQLSGSIPDKLEDLQVLSYLNLSFNHLEGVIPKGGIFVKNSSSVHLEGNPKLCLHSSCVNPGGHRRKVLIPVLISTVLATLAVCVIVGCLLYVSKSKARVTETNSDLLIKGQHQMVTYEELRGATGNFSTENLIGSGSFGSVYRGCLRDGIEVA >ONI33491 pep chromosome:Prunus_persica_NCBIv2:G1:36770544:36774586:1 gene:PRUPE_1G427800 transcript:ONI33491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQFHLLPQTFAIIFSLLLCIFVSVETARPTPSIATDKEALISFKSGVSLPPSFWDQNSSPCTNWTGVVCNKLGNRVVALHLSGLGLTGSISPHIGNLSFLRSLQLQNNKLTGNIPSQILHLFRLTSLNLSSNTIQGPLPSNLTQLTALQTLDLASNNITGTLPENLNSLKNLQVLNLARNRLHGPIPPSISNLSSTLTHLNLGTNSLSGTIPSELGFLYKLKELDLAGNQLTGTVALSIYNISSLLLFTVASNQLWGEIPSNIGHTLPNLLYFRNCFNLFTGNIPVSLHNISGIRSIRMSNNFLEGTVPPGLGNLPFIEMYNIGFNRIVSYGGDGLSFITSLTNSTRLQFLAIDGNHLEGVIPESIGNLSKVIEKLYMGGNRIFGHIPSSIGQLSSLTLLNVSYNLISGEIPPEIGQLEELQVLSLAANKMSGHITNSLGNLRKLNNIDLSRNSFVGHIPPSFANFQKLLSMDLSNNLLNGSISTEIFQNLPSLSTILNLSNNFLSGPLPEEIGLLGSVVTIDLSDNRLSGLIPNTIGKCTSLEGLLMARNKLSGPLPNVLGDMRGLEILDLSSNQLSGSIPDKLEDLQVLSYLNLSFNHLEGVIPKGGIFVKNSSSVHLEGNPKLCLHSSCVNPGGHRRKVLIPVLISTVLATLAVCVIVGCLLYVSKSKARVTETNSDLLIKGQHQMVTYEELRGATGNFSTENLIGSGSFGSVYRGCLRDGIEVAVGKLRNLARVYKELGYSPHCQLVLGWNLNFLHGIRAGCPRVKAQRPHVLHVTQNVLSTC >ONI28172 pep chromosome:Prunus_persica_NCBIv2:G1:10040392:10041784:1 gene:PRUPE_1G128600 transcript:ONI28172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYETEFTSVIPPEKLFKAFILDADNLIPKIAPTAVKDTEILEGDGGVGTIKKVTFGEGSQYGYVKHRIDGIDKDNLTYSYTLIEGDALSDVIEKIVYDIKLVASPNGGSIVKTISHYHTKGDVEIKEEQVKAGKEKAAGLFKLVEGYLLANPDAYN >ONI35750 pep chromosome:Prunus_persica_NCBIv2:G1:45161261:45162107:1 gene:PRUPE_1G552700 transcript:ONI35750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDKNIGPMVLLLVFGSILLGINPSTAKICPQYCTDQAGYMTCPSSGNTQLSPSCNCCLAPAGCTLYRADGTSICTGT >ONI29072 pep chromosome:Prunus_persica_NCBIv2:G1:15452852:15454635:-1 gene:PRUPE_1G179200 transcript:ONI29072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGSSKQFRSEDFAPPGSDDEVNFKDKLLSSSCFEYTEGMEKDGFFIESGDYEVKDSPCGPSIRFSEHLKNKIYRPWKNSVIIQFVGKTHTYNFVLARLKQRWRLKGPMQLVDLNNGFFIVCFVLEEDLLYMLTGGPWVVHDTEEHVSRITVWVRLSGMGVEFFNSETIKCIGDLIGSSYKVDVHTVGQMIGKFARVCVEIDLNKPLIPNLVVEGRPVRVEYENLPVICFNCCRVGHNKEYCPFANPIHKNNETDMDMTGDIQEEMRSHQVEKLRKMRLDDNQKGPIDPTGDKAGFGIWNVVSTRKAWKKNFKNGTTASEDKGKGVSPEVVHKRQEKVFHSSNALPQKDKKEVVQKFNSEAGIYDAFGSRFNILENDCFDSEQEHVFGTLENLLSINGKAIQSKDDDGWNDLIPPNKGKKKVGRLRKVLSDISNKSSSRLAIVLRPSSKNLPHDSTITSMSELDQALGNLRRPVTSFDNGGLEIGEVNARRNDYYSISKPQARNIIYVVYRVVDQDMEIQDLKDSESVQLDSKVCEALIIGVSACCAKDGKAQGLKCMGPLSANSD >ONI28671 pep chromosome:Prunus_persica_NCBIv2:G1:12141701:12143195:-1 gene:PRUPE_1G154300 transcript:ONI28671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVCQGLQSCLDSHLVEPRTLRLKFSAPVAHHFSPNPGVKSWFPEANYIEEPREEKCHYEEPCINKPVDVHDNNKATSKTSDLGGWSFLQAVSNLSQQGPHKEDKENMYLPPQVYKTPSATKLTEKSLELCTEDLGSETGTIITEANIFSSDSEAEAKGKNVMPREQQKQRQFSGGKKGNVVHSFPPPLTTISGSDSIQVRPHREDGRLIMKAVKAPTTKSCFQAERSNGRLRLCFSKHFAPNFDSEELATQDDNEGCENDRSEEEEEEEAEQQDQEVVGENKEEDFVEQLEGDDDEGEKCMCLIEAEEVDGNSLKVGGEEGMDKFGRPSRCKEGGEHEKKGLLNWEPFWVAT >ONI30272 pep chromosome:Prunus_persica_NCBIv2:G1:25540893:25543014:1 gene:PRUPE_1G241300 transcript:ONI30272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQSWLAICSTTHDSIIPFSKTEPSPSSRPKLGGSDTSSSSDFPSTSTSDTSSSSLQSNLSLQTLPSLPSLQKLSPLDSLDLSVSHLCFTTLTPRQPSLPITCLAVHRDLLYAASGHEINIYDRTACTHLESFSARDAGSVKAVSFSDGKVLTSHQDSKIRAWQLTTATKRHKLLTVLPTVTDRLRSFVLPKNYVTIRRHKKRLWIEHADAVTGIAVNNGLIYSVSWDKSLKIWRETDLRCVESVKAHEDAVNAVVVSNDGTVYTGSADRRIRVWAKPFGEKRHVLVATLEKHKSAVNALALNDDGSVLFSGACDRSILVWEREDSANHMAVTGALRGHGKAILCLINVGGMLLSGSADRTVRMWQRGGDGSFSCLAVLEGHVRPVKSLVAVADGGSNGVVSVYSGSLDGEVKVWHVSISNGNSENINPVCELKM >ONI33477 pep chromosome:Prunus_persica_NCBIv2:G1:36704634:36708351:-1 gene:PRUPE_1G426900 transcript:ONI33477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFRCFLDTASLRIPQSPLPECVSGSSSQNPIGESHFDQTLEAAFSRLKISSFCRQPQLSRFDGDCSNGSVIKYPTNDHGLGGENFIPFCNDELQSLNLNSGGNGVLSHLGLQNCWVQSDIDCSNGFVSNLNQHSDNSPHWLQASLNGWSLADLRGRVAFLATDQDGCRCLQRAMEAVPNKELIDLIFLEVLEHVATLMLDPHGNYVVQKLVEVCSEEQRTQMLLMLTKKNDLQLICISLDTRGTRSVQKLLKYVTTKEQITLIMRALSPGVVALSKNSNGQHVIEHCLEHFSVQDNEGVYHDALLNLVQLNSHVMRGNYYGQKVLAWRDRSLQHM >ONI33479 pep chromosome:Prunus_persica_NCBIv2:G1:36704634:36708351:-1 gene:PRUPE_1G426900 transcript:ONI33479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFRCFLDTASLRIPQSPLPECVSGSSSQNPIGESHFDQTLEAAFSRLKISSFCRQPQLSRFDGDCSNGSVIKYPTNDHGLGGENFIPFCNDELQSLNLNSGGNGVLSHLGLQNCWVQSDIDCSNGFVSNLNQHSDNSPHWLQASLNGWSLADLRGRVAFLATDQDGCRCLQRAMEAVPNKELIDLIFLEVLEHVATLMLDPHGNYVVQKLVEVCSEEQRTQMLLMLTKKNDLQLICISLDTRGTRSVQKLLKYVTTKEQITLIMRALSPGVVALSKNSNGQHVIEHCLEHFSVQDNEHLLHVVANNCCGIAKDKSGCCVLQKCVEHSNGKNRERLVAAITAKAIVLAVDRYGNYVLQHLLGLRMPQITENLLRQLRGSYMSLSCNKYGSNVVEKCLLDSGEEQSSQIIFELLTNRNISMLLLHPFGNYVIQTALSVSKGVYHDALLNLVQLNSHVMRGNYYGQKVLAWRDRSLQHM >ONI33478 pep chromosome:Prunus_persica_NCBIv2:G1:36704634:36708376:-1 gene:PRUPE_1G426900 transcript:ONI33478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFRCFLDTASLRIPQSPLPECVSGSSSQNPIGESHFDQTLEAAFSRLKISSFCRQPQLSRFDGDCSNGSVIKYPTNDHGLGGENFIPFCNDELQSLNLNSGGNGVLSHLGLQNCWVQSDIDCSNGFVSNLNQHSDNSPHWLQASLNGWSLADLRGRVAFLATDQDGCRCLQRAMEAVPNKELIDLIFLEVLEHVATLMLDPHGNYVVQKLVEVCSEEQRTQMLLMLTKKNDLQLICISLDTRGTRSVQKLLKYVTTKEQITLIMRALSPGVVALSKNSNGQHVIEHCLEHFSVQDNEHLLHVVANNCCGIAKDKSGCCVLQKCVEHSNGKNRERLVAAITAKAIVLAVDRYGNYVLQHLLGLRMPQITENLLRQLRGSYMSLSCNKYGSNVVEKCLLDSGEEQSSQIIFELLTNRNISMLLLHPFGNYVIQTALSVSKGVYHDALLNLVQLNSHVMRGNYYGQKVLAWRDRSLQHM >ONI36234 pep chromosome:Prunus_persica_NCBIv2:G1:46957754:46964776:-1 gene:PRUPE_1G576800 transcript:ONI36234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGQSPPMSWRDSYRGMSSDNIKGLILALSSSLFIGASFIVKKKGLKKAGASGIRAGVGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILRERLHIFGILGCALCVVGSTTIVLHAPQERGIESVTEVWDLAMEPGFLLYAALVITAVFILIFHFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTLSGMNQLVYPQTWVFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQSPTQVVTEMCGFVTILGGTFLLHRTKDMVDGLPTSMSSMRLSKHADEDGIDGEGIPLRRQDSSRSP >ONI28883 pep chromosome:Prunus_persica_NCBIv2:G1:13530229:13532164:-1 gene:PRUPE_1G166600 transcript:ONI28883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDDLPEKFNHDLLKNCKYLTRGTDKPNLCPYFENFGFGPEIENNEGVLANESWFSTNQFLLEFIFHNKMKHYECLTKNSTLASAIYVPFYAGLDASLHLWDSNLTVRDTSAKELVDWLSRKPEWKKMLGRDHFLVAGRISWDFRRQTDDVSDWGSKLRWLPESMNMSMLSVEGSSWKNDYAIPYPTYFHPSKDIELIQWQDRMRKLERPYLFTFAGAPRPDQQSSIRGKIIDQCLASSTCKLIDCSSAGNIDCDNPASVMRVFQSSVYCLQPGGDSYTRRSAFDSILAGCIPVFFHPGTAYSQYLWHLPKNHSSYSVFIPVREAKDVPASIEEILLGISEDKEFAMREEVIRLIPNLVYADPRSRLETADAFDLAVKGILERIEDVREVIREGKDPSVGFADEDSYKYTFPQTQEQT >ONI33509 pep chromosome:Prunus_persica_NCBIv2:G1:36845804:36850029:1 gene:PRUPE_1G429500 transcript:ONI33509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSKTVVSDTDSSATQSDSSATKTDSDMIDGEDEEVSAPSPDSCPFSEGEKVLAFHETQIYEAKVTKVDFKMNEWRFYVHYLGWSKNWDEWVHLDRLMKNTDENVRKQEQLNANQRTHKNAKLTRTSQTKQKVSRGKKRKNDSVIKDKDAIPMEDLVLQIPLTLKKQLVDDCEFITHLGKLVKLPRTPNVDDIMKKYLDYRSKDSLKKTHSVEEILKGLCCYFDKALPVMLLYKNERQQYEKAIAHDASPSSVYGAEHLLRLFVKLPELLLNANIEEETLKDLQQKLMMLKRAPTNQMFKRGHTCNNLYIIPYNHSCCEMSQRRFQLLRSKSC >ONI30931 pep chromosome:Prunus_persica_NCBIv2:G1:28503206:28505804:-1 gene:PRUPE_1G282300 transcript:ONI30931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICPPIPRSSVSPLLLIRDSLFSNIFLEDGPKLKNLNGVLINTFEGLEAEALHALNGGKVTFNDGFGLPPVFPVGPFVPCEFEKLQGDDDRDQLRNWLDDQHDGSVVNVSFGSRTALSGDQIREVGDGLVKSGFRFLWVVKEKLVEPEEEEEGNLVIGHDLVEKLKGRGLVVKSWVEQGEILGHRAVGGFVSHCGWNSVVEAAWHGVRVLAWPQQSDQKINAEVCGLGFWAKTWPWGGGENDKVVVNGEEIGDKIKELMQSEALRVQAARIEQEAKKTAEVGGCRGKMLKRLIDEWRKN >ONI30516 pep chromosome:Prunus_persica_NCBIv2:G1:26581535:26584014:-1 gene:PRUPE_1G255000 transcript:ONI30516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRSGSGRRILTYPAVHPCEAISPQTLLNSLITLTHIICSYKSKFIASNNRNARETVRQIGVVRVFLEEIRDGQSGLSASAVLCLSELHLAFQKVQLLLEDLTRDDARVWMLMNSDRVANHFRVLSRAMGTALDVLPLGSVNAPVEVKEHVELVTRQARKAMFEVDPDDIRVVEAVRSVLVGFEKGVVSGESHLKWVLEYLGIRKWSNCERQVKFLDSEIEVENLTEEKREVGFLSSLKGFMCYCRCVLFNVVDGERGRRSETKCSSSEVLNRLNVEDFQCPISLEIMVDPVTIRTGHSYDRSSIMKWFRAGNPICPKTGEKLKNMEVVPNLALKRLIQQYCAANCVPFAASDHRNRDIGKTRLVVAGSLAAEGATKMVAEFLSGRLHVGTREEQNKAAYEIRLLSKTSIFNRSCLVEAGVIPHLLKLLSSADSVTQENAIAALLNLSKHSKSKDAIVENGGLDLIVDVLKKGLKPEACQHAAGTMFYLASIEEYRILIGENPEVMPALMEMIKDGTDRAKKNALVAIFGLLTHPANHRRVIAAGLVPVLVNILTSSDSEVLVTDALAILSTLAEKPDGSVAISGCKALDAIVRILNSSIPRAGKEFCVSLLMALCLNGGNDVVLLLVKSPSLMGSLYSQLSEGTSRTSRKASALIRLLHEFHERRSSGPMARGVLPQERFVHVR >ONI29512 pep chromosome:Prunus_persica_NCBIv2:G1:19571623:19573663:1 gene:PRUPE_1G200200 transcript:ONI29512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLLVSKLCRFSDMKLTVEFGGGLELLCNSVKIHNVNVELQSGAEKLTMKDLLSWIRTNLIKERPEMFMKGDTVRPGVLALVNDCDWELSGQLDTTLEEKDVVVFISTLHGG >ONI29513 pep chromosome:Prunus_persica_NCBIv2:G1:19571485:19573713:1 gene:PRUPE_1G200200 transcript:ONI29513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTVEFGGGLELLCNSVKIHNVNVELQSGAEKLTMKDLLSWIRTNLIKERPEMFMKGDTVRPGVLALVNDCDWELSGQLDTTLEEKDVVVFISTLHGG >ONI29514 pep chromosome:Prunus_persica_NCBIv2:G1:19571294:19573736:1 gene:PRUPE_1G200200 transcript:ONI29514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTVEFGGGLELLCNSVKIHNVNVELQSGAEKLTMKDLLSWIRTNLIKERPEMFMKGDTVRPGVLALVNDCDWELSGQLDTTLEEKDVVVFISTLHGG >ONI32609 pep chromosome:Prunus_persica_NCBIv2:G1:34075620:34077450:1 gene:PRUPE_1G375800 transcript:ONI32609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNQILHMNKGDGKTSYSQNSQVQGKILSVAKPIIHEAVLEILISNNIESMGIADLGCSSGPNTFLPISYIMDAIHAKRSSLGLQLSPSSTTEFRVYLNDLFSNDFNTIFMSLPAFYNNLKQEKGPEFGSVFVSAVPGSFHGRLFPAKTLHFVHSSCSIHWLSRVPPALSKTPAEAAGSALNRGKIYISKTSPQCVLDAYSLQFHEDFSSFLKSRAEEVVGGGRMVLSLIGR >ONI27437 pep chromosome:Prunus_persica_NCBIv2:G1:6415891:6423749:-1 gene:PRUPE_1G086300 transcript:ONI27437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNKPLSSHDAMELDKDCSIVLELSESDPLFDKKKKLLQDMGFSTKEEIHVKRSSDPNWISTSLKVMLQIERIINSDETELYFAGDEPMDMYGPRNELEALNSILQLVESSLSSACLMQKNVLQEFRDAIVDMMSQSGNKNILETTIVEGYNSDKEIKLLQWGENNGVKTRLEIAYVEGAGRGAIATEDLKVGDTALEIPTSLIIVEELVQADMYHALEKFEGISSETMLLLWSMKERHNGDSQFKIYFDTLPEEFHTGLSFGIDAMMVLDGTLLLDEITAAKEHLRAQYDDLFPGLCEDHPDIFPPELYTWELFLWACELWYSNSMKIKFPDGKLRTCLIPIAGFLNHSLDPHVVHYGRVDSATNTLKFRLSRPCNAGQECCLSYGNFSSSHLITFYGFVPQGDNLYDVIPLDIDAAQDDFTEGSSISNWTTHMVRATWLSHNCNIFYYGLPSPFLDCLRRARSPMPHTKDLLPENLKNEIEILEDLRAICDDMMDNLGDADLDDRKNTNWDVKLAIKFKDIQRSIVSSVLTSCYCGLKLVKDELSKCLAEDTPVSKQIEVYK >ONI30446 pep chromosome:Prunus_persica_NCBIv2:G1:26320428:26324011:-1 gene:PRUPE_1G251900 transcript:ONI30446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETEVTTTSVNVTKNERNGHLKAFDLVISKTLLQVPHMLQNCLKSQLKRLAKDDGVKTVISVPKKATSLEVDLEKQLQAWRENPSWADQPPDIKVSVPKGSFCNLSVNVNVGLPPDAVYNIVTDPDNKRVFKNIKEVISRKVLVDEGSRQVVELEQAALWRFLWWSGTIAVHVLVDQNREDHSMKFKQVKTGFMKRFEGCWRVEPLFVDEKTCFPFKPKTWTDYHSCTGGKGRIGSKVSLEQLIQPAIVPPPPISWYLRGITSKTTEMLINDLLAEAARIRGELNPEKSNKELEMSQDQEILSQVDNVSNIKERWALRRRNAKQHHRRLPTAK >ONI32421 pep chromosome:Prunus_persica_NCBIv2:G1:33611926:33614075:1 gene:PRUPE_1G367100 transcript:ONI32421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIVGLGLGDEKDITLRGLEAVKKCDQIFIEAYTSLLSFGLSSDGLSTLENLYGKPVTLADRETVEEKADQILSAAAASDVAFLVVGDPFGATTHTDLVVRAKKLGIDVKVVHNASVMNAVGICGLQLYHYGETVSIPFFTETWRPDSFYEKIQKNRGLGLHTLCLLDIRVKEPTLESLCRGRKQYEPPRYMSINTALEQLLEVEDNRGESAYDENTMCVGLARLGSEDQKIVSGTMKELQSVDFGAPLHCLVIVGKTHPVEEEMLDFYRLKKQNVEENDHGTV >ONI32423 pep chromosome:Prunus_persica_NCBIv2:G1:33611628:33614075:1 gene:PRUPE_1G367100 transcript:ONI32423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIVGLGLGDEKDITLRGLEAVKKCDQIFIEAYTSLLSFGLSSDGLSTLENLYGKPVTLADRETVEEKADQILSAAAASDVAFLVVGDPFGATTHTDLVVRAKKLGIDVKVVHNASVMNAVGICGLQLYHYGETVSIPFFTETWRPDSFYEKIQKNRGLGLHTLCLLDIRVKEPTLESLCRGRKQYEPPRYMSINTALEQLLEVEDNRGESAYDENTMCVGLARLGSEDQKIVSGTMKELQSVDFGAPLHCLVIVGKTHPVEEEMLDFYRLKKQNVEENDHGTV >ONI32422 pep chromosome:Prunus_persica_NCBIv2:G1:33611506:33614075:1 gene:PRUPE_1G367100 transcript:ONI32422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIVGLGLGDEKDITLRGLEAVKKCDQIFIEAYTSLLSFGLSSDGLSTLENLYGKPVTLADRETVEEKADQILSAAAASDVAFLVVGDPFGATTHTDLVVRAKKLGIDVKVVHNASVMNAVGICGLQLYHYGETVSIPFFTETWRPDSFYEKIQKNRGLGLHTLCLLDIRVKEPTLESLCRGRKQYEPPRYMSINTALEQLLEVEDNRGESAYDENTMCVGLARLGSEDQKIVSGTMKELQSVDFGAPLHCLVIVGKTHPVEEEMLDFYRLKKQNVEENDHGTV >ONI34660 pep chromosome:Prunus_persica_NCBIv2:G1:40986126:40996513:1 gene:PRUPE_1G493200 transcript:ONI34660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMSKSPSPEQREPISPSTSRSRDGTAMDDEEGTMARVAQFVEQLHASISSPHEKELITARLLGIAKARKDARTIIGSHSQAMPLFINILRSGTPVAKVNVAATLSALCKDEDLRLKVLLGGCIPPLLSLLKSESTEGRKAAAEAIYEVSSGGLSDDHVGMKIFITEGVVPNLWNQLNPKAKQDKVVEGFVTGALRNLCGDKDGYWRATLEAGGVDIIVGLLSSDNAAAQSNAASLLARLMLAFSDSIPKVIDSGAVKALLRLVGRENDVSVRASAADALEALSSKSTGAKKAIVNADGVPVLIGAIVAPSKECMQGECGQALQDHATRALANICGGMSSLILYLGELSQSPRLTSPVADIIGALAYTLMVFGHKSAANEESVNVTKIEDILVMLLKPRDNKLVQERVLEAMASLYGNNHLSSWLNHAQAKKVLIGLITMAAADVQEYLILSLTSLCCDGVGIWDSIGKREGIQLLISLMGLSSEQHQEYAVQFLAILTDQVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHVLWNLCCHSEDIRACVESAGAIPAFLWLLKSGGSRGQEASAMALTKLVRTADSATINQLLALLLGDSPSSKAYTIRVLGHVLIMASHEDLVHKGSAANKGLRSLVQVLNSSNEETQEYAASVLADLFSTRQDICDILATDEIVHPCMKLLTSTTQVVATQSARALGALSRPLKTKTSSKMSYIAEGDVKPLIKLAKTSSIDAAETAVAALANLLSDPHIAAEALAEDVVLALIRVLGDGTSEGKKNASRALHQLLKHFPVGDVLTGNAQCRFASLALVDSLNVLDMDGTDAADALEVVALLARTKQGVNFTYPPWSALAEVPSSLEPLVRCLAEGPSPLQDKSIEILSRLCGEQPVVLGDLLIARSRSLGSLANRIMHSSSLEVRVGGAALLICAAKEHKQKSMEVLDVAGYLKPLTYALVDMMKRNSSCSSLEIEVRTPRGFIERTAFHEGDEFDVPDPAIVLGGTVALWLLCIIGAFHAKSKLTIMEAGGLEALSDKLAGYTSNPQAEYEDTEGIWISALLLAVLFQDANVVLSPATMRIIPLLSLLLRSDEVIDRFFAAQSMASLVSNGSKGIILAIGNSGAVAGLITLIGYIESDMPNLVTLSEEFSLVRNPDQVVLEYLFDFEDVRVGSTARKSIPLLVDLLRPMPERPGAPPISVKLLTRIADGSDTNKLIMAEAGALDALTKYLSLSPQDSTEATITELFRILFSNPDLIRYEASASSLNQLIAVLRLGSRNARYSAARALHELFDAENIRDSDSARQSVHPLVDMLNSGSESEQEAALVALIKLTSGNSSKASLLTDVEGSPLESLYKILSCASSLELKRIAAQLCCVLFDNSEVRRNPIASECIEPLVSLMHSDTSTVVEAGVCAFEKLLDDEHQVELATAYDVVDLLVGLVSGTSNQLIEASICSLIKLGKDRTPCKLDMVNVGIIDKCLELLPVAPSSLCSSIAELFRILTNSNAIARSLDAAKIVEPLFVVLLRPDFSLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALENISTSWPKAVADAGGIFELGKVIIQDDPQPPHALWESAALVLSNVLHFDAEYYFKVPVVVLVKMLHSTVDTTIMVALNALLVHERSDNLSAEQMTEGGAIDALLDLLRSHQCEEASGRLLEALFNNVRIRQMKVSKYAIAPLSQYLLDPQTRSESGKLLAALALGDLSQHEGLARASDSVSACRALVSLLEDQPTEEMKMVAICALQNFVMNSRTNRRAVAEAGGILIIQELLLSPNTEIAGQTALLIKFLFSNHTLQEYVSNELIRSLTAALERELWSAATINEEVLRALHMIFINFPKLHISEATTLCIPNLIGALKSGSEAAQDVVLDTLCLLRHSWSTMPIDIAKSQAVIAAEAIPILQMLMKTCPPSFHERADSLLHCLPGCLTVTIKRGNNLKQAMGGTNAFCRLTIGNGPPRQTKVVSHSTSPEWKEGFTWEFDVPPKGQKLHIICKSKNTFGKTTLGRVTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIIWSNRMSDEET >ONI34659 pep chromosome:Prunus_persica_NCBIv2:G1:40986107:40996513:1 gene:PRUPE_1G493200 transcript:ONI34659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMSKSPSPEQREPISPSTSRSRDGTAMDDEEGTMARVAQFVEQLHASISSPHEKELITARLLGIAKARKDARTIIGSHSQAMPLFINILRSGTPVAKVNVAATLSALCKDEDLRLKVLLGGCIPPLLSLLKSESTEGRKAAAEAIYEVSSGGLSDDHVGMKIFITEGVVPNLWNQLNPKAKQDKVVEGFVTGALRNLCGDKDGYWRATLEAGGVDIIVGLLSSDNAAAQSNAASLLARLMLAFSDSIPKVIDSGAVKALLRLVGRENDVSVRASAADALEALSSKSTGAKKAIVNADGVPVLIGAIVAPSKECMQGECGQALQDHATRALANICGGMSSLILYLGELSQSPRLTSPVADIIGALAYTLMVFGHKSAANEESVNVTKIEDILVMLLKPRDNKLVQERVLEAMASLYGNNHLSSWLNHAQAKKVLIGLITMAAADVQEYLILSLTSLCCDGVGIWDSIGKREGIQLLISLMGLSSEQHQEYAVQFLAILTDQVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHVLWNLCCHSEDIRACVESAGAIPAFLWLLKSGGSRGQEASAMALTKLVRTADSATINQLLALLLGDSPSSKAYTIRVLGHVLIMASHEDLVHKGSAANKGLRSLVQVLNSSNEETQEYAASVLADLFSTRQDICDILATDEIVHPCMKLLTSTTQVVATQSARALGALSRPLKTKTSSKMSYIAEGDVKPLIKLAKTSSIDAAETAVAALANLLSDPHIAAEALAEDVVLALIRVLGDGTSEGKKNASRALHQLLKHFPVGDVLTGNAQCRFASLALVDSLNVLDMDGTDAADALEVVALLARTKQGVNFTYPPWSALAEVPSSLEPLVRCLAEGPSPLQDKSIEILSRLCGEQPVVLGDLLIARSRSLGSLANRIMHSSSLEVRVGGAALLICAAKEHKQKSMEVLDVAGYLKPLTYALVDMMKRNSSCSSLEIEVRTPRGFIERTAFHEGDEFDVPDPAIVLGGTVALWLLCIIGAFHAKSKLTIMEAGGLEALSDKLAGYTSNPQAEYEDTEGIWISALLLAVLFQDANVVLSPATMRIIPLLSLLLRSDEVIDRFFAAQSMASLVSNGSKGIILAIGNSGAVAGLITLIGYIESDMPNLVTLSEEFSLVRNPDQVVLEYLFDFEDVRVGSTARKSIPLLVDLLRPMPERPGAPPISVKLLTRIADGSDTNKLIMAEAGALDALTKYLSLSPQDSTEATITELFRILFSNPDLIRYEASASSLNQLIAVLRLGSRNARYSAARALHELFDAENIRDSDSARQSVHPLVDMLNSGSESEQEAALVALIKLTSGNSSKASLLTDVEGSPLESLYKILSCASSLELKRIAAQLCCVLFDNSEVRRNPIASECIEPLVSLMHSDTSTVVEAGVCAFEKLLDDEHQVELATAYDVVDLLVGLVSGTSNQLIEASICSLIKLGKDRTPCKLDMVNVGIIDKCLELLPVAPSSLCSSIAELFRILTNSNAIARSLDAAKIVEPLFVVLLRPDFSLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALENISTSWPKAVADAGGIFELGKVIIQDDPQPPHALWESAALVLSNVLHFDAEYYFKVPVVVLVKMLHSTVDTTIMVALNALLVHERSDNLSAEQMTEGGAIDALLDLLRSHQCEEASGRLLEALFNNVRIRQMKVSKYAIAPLSQYLLDPQTRSESGKLLAALALGDLSQHEGLARASDSVSACRALVSLLEDQPTEEMKMVAICALQNFVMNSRTNRRAVAEAGGILIIQELLLSPNTEIAGQTALLIKFLFSNHTLQEYVSNELIRSLTAALERELWSAATINEEVLRALHMIFINFPKLHISEATTLCIPNLIGALKSGSEAAQDVVLDTLCLLRHSWSTMPIDIAKSQAVIAAEAIPILQMLMKTCPPSFHERADSLLHCLPGCLTVTIKRGNNLKQAMGGTNAFCRLTIGNGPPRQTKVVSHSTSPEWKEGFTWEFDVPPKGQKLHIICKSKNTFGKTTLGRVTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIIWSNRMSDEET >ONI34658 pep chromosome:Prunus_persica_NCBIv2:G1:40986086:40996837:1 gene:PRUPE_1G493200 transcript:ONI34658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMSKSPSPEQREPISPSTSRSRDGTAMDDEEGTMARVAQFVEQLHASISSPHEKELITARLLGIAKARKDARTIIGSHSQAMPLFINILRSGTPVAKVNVAATLSALCKDEDLRLKVLLGGCIPPLLSLLKSESTEGRKAAAEAIYEVSSGGLSDDHVGMKIFITEGVVPNLWNQLNPKAKQDKVVEGFVTGALRNLCGDKDGYWRATLEAGGVDIIVGLLSSDNAAAQSNAASLLARLMLAFSDSIPKVIDSGAVKALLRLVGRENDVSVRASAADALEALSSKSTGAKKAIVNADGVPVLIGAIVAPSKECMQGECGQALQDHATRALANICGGMSSLILYLGELSQSPRLTSPVADIIGALAYTLMVFGHKSAANEESVNVTKIEDILVMLLKPRDNKLVQERVLEAMASLYGNNHLSSWLNHAQAKKVLIGLITMAAADVQEYLILSLTSLCCDGVGIWDSIGKREGIQLLISLMGLSSEQHQEYAVQFLAILTDQVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHVLWNLCCHSEDIRACVESAGAIPAFLWLLKSGGSRGQEASAMALTKLVRTADSATINQLLALLLGDSPSSKAYTIRVLGHVLIMASHEDLVHKGSAANKGLRSLVQVLNSSNEETQEYAASVLADLFSTRQDICDILATDEIVHPCMKLLTSTTQVVATQSARALGALSRPLKTKTSSKMSYIAEGDVKPLIKLAKTSSIDAAETAVAALANLLSDPHIAAEALAEDVVLALIRVLGDGTSEGKKNASRALHQLLKHFPVGDVLTGNAQCRFASLALVDSLNVLDMDGTDAADALEVVALLARTKQGVNFTYPPWSALAEVPSSLEPLVRCLAEGPSPLQDKSIEILSRLCGEQPVVLGDLLIARSRSLGSLANRIMHSSSLEVRVGGAALLICAAKEHKQKSMEVLDVAGYLKPLTYALVDMMKRNSSCSSLEIEVRTPRGFIERTAFHEGDEFDVPDPAIVLGGTVALWLLCIIGAFHAKSKLTIMEAGGLEALSDKLAGYTSNPQAEYEDTEGIWISALLLAVLFQDANVVLSPATMRIIPLLSLLLRSDEVIDRFFAAQSMASLVSNGSKGIILAIGNSGAVAGLITLIGYIESDMPNLVTLSEEFSLVRNPDQVVLEYLFDFEDVRVGSTARKSIPLLVDLLRPMPERPGAPPISVKLLTRIADGSDTNKLIMAEAGALDALTKYLSLSPQDSTEATITELFRILFSNPDLIRYEASASSLNQLIAVLRLGSRNARYSAARALHELFDAENIRDSDSARQSVHPLVDMLNSGSESEQEAALVALIKLTSGNSSKASLLTDVEGSPLESLYKILSCASSLELKRIAAQLCCVLFDNSEVRRNPIASECIEPLVSLMHSDTSTVVEAGVCAFEKLLDDEHQVELATAYDVVDLLVGLVSGTSNQLIEASICSLIKLGKDRTPCKLDMVNVGIIDKCLELLPVAPSSLCSSIAELFRILTNSNAIARSLDAAKIVEPLFVVLLRPDFSLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALENISTSWPKAVADAGGIFELGKVIIQDDPQPPHALWESAALVLSNVLHFDAEYYFKVPVVVLVKMLHSTVDTTIMVALNALLVHERSDNLSAEQMTEGGAIDALLDLLRSHQCEEASGRLLEALFNNVRIRQMKVSKYAIAPLSQYLLDPQTRSESGKLLAALALGDLSQHEGLARASDSVSACRALVSLLEDQPTEEMKMVAICALQNFVMNSRTNRRAVAEAGGILIIQELLLSPNTEIAGQTALLIKFLFSNHTLQEYVSNELIRSLTAALERELWSAATINEEVLRALHMIFINFPKLHISEATTLCIPNLIGALKSGSEAAQDVVLDTLCLLRHSWSTMPIDIAKSQAVIAAEAIPILQMLMKTCPPSFHERADSLLHCLPGCLTVTIKRGNNLKQAMGGTNAFCRLTIGNGPPRQTKVVSHSTSPEWKEGFTWEFDVPPKGQKLHIICKSKNTFGKTTLGRVTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIIWSNRMSDEET >ONI27716 pep chromosome:Prunus_persica_NCBIv2:G1:8064438:8066259:-1 gene:PRUPE_1G101300 transcript:ONI27716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGLKSLLSTAITTGVTEARARIFGHILNPTGQKSAHKILRKKLIGEKVAQWYPYDIKKDDPKIMAMEEQERLSKLEMLKRRGKGPPKKGHGRRAAKRNKK >ONI28703 pep chromosome:Prunus_persica_NCBIv2:G1:12337472:12346152:1 gene:PRUPE_1G156000 transcript:ONI28703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNHLHNGIEAPKLAWSRLPNSEDGEPDTVGLVKKSDESSIDSLDYEVIENYAYRKEQAQRGKLYVGYYVMVKWFFALLIGIGTGLAAVFINLSVENFAGWKFALTFAIIQKSYVAGFLVYVLINLVLVFSSVYIVTQFAPAAAGSGIPEIKGYLNGVDMPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSSKYHLRSRWLQIFHSDRDRRDLVTCGCAAGVSAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDISDGQEDYSFEEFLPMAVIGVIGGLLGALFNQLTFYIAYWRRNYLHKNGNRVKIIEACLISLITSIISFGLPLLRNCSPCPEANPDSGIECPRPPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEYSAQSLLTFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKVYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRKMTAKEASGKRVISFPRVVKVADVVSILRSNNHNGFPVIDHARNGETLVIGLMLRSHLLVLLQSKVDFQHSPLPCDPKGSRSIRHTISEFVKPASSKGLSIHDIRLSSDDLEMYIDLALFLNPSPYIVPEDMSLTKVYNLFRQLGLRHIFVVPRPSRVIGLITRKDLLIEENDDSASMELQSTSKVSQ >ONI28704 pep chromosome:Prunus_persica_NCBIv2:G1:12337748:12345039:1 gene:PRUPE_1G156000 transcript:ONI28704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNHLHNGIEAPKLAWSRLPNSEDGEPDTVGLVKKSDESSIDSLDYEVIENYAYRKEQAQRGKLYVGYYVMVKWFFALLIGIGTGLAAVFINLSVENFAGWKFALTFAIIQKSYVAGFLVYVLINLVLVFSSVYIVTQFAPAAAGSGIPEIKGYLNGVDMPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSSKYHLRSRWLQIFHSDRDRRDLVTCGCAAGVSAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDISDGQEDYSFEEFLPMAVIGVIGGLLGALFNQLTFYIAYWRRNYLHKNGNRVKIIEACLISLITSIISFGLPLLRNCSPCPEANPDSGIECPRPPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEYSAQSLLTFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKVYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRKMTAKEASGKRVISFPRVVKVADVVSILRSNNHNGFPVIDHARNGETLVIGLMLRSHLLVLLQSKVDFQHSPLPCDPKGSRSIRHTISEFVKPASSKGLSIHDIRLSSDDLEMYIDLALFLNPSPYIVPEDMSLTKVYNLFRQLGLRHIFVVPRPSRVIGLITRKDLLIEENDDSASMELQSTSVRK >ONI28701 pep chromosome:Prunus_persica_NCBIv2:G1:12337451:12346221:1 gene:PRUPE_1G156000 transcript:ONI28701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNHLHNGIEAPKLAWSRLPNSEDGEPDTVGLVKKSDESSIDSLDYEVIENYAYRKEQAQRGKLYVGYYVMVKWFFALLIGIGTGLAAVFINLSVENFAGWKFALTFAIIQKSYVAGFLVYVLINLVLVFSSVYIVTQFAPAAAGSGIPEIKGYLNGVDMPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSSKYHLRSRWLQIFHSDRDRRDLVTCGCAAGVSAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDISDGQEDYSFEEFLPMAVIGVIGGLLGALFNQLTFYIAYWRRNYLHKNGNRVKIIEACLISLITSIISFGLPLLRNCSPCPEANPDSGIECPRPPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEYSAQSLLTFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKVYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRKMTAKEASGKRVISFPRVVKVADVVSILRSNNHNGFPVIDHARNGETLVIGLMLRSHLLVLLQSKVDFQHSPLPCDPKGSRSIRHTISEFVKPASSKGLSIHDIRLSSDDLEMYIDLALFLNPSPYIVPEDMSLTKVYNLFRQLGLRHIFVVPRPSRVIGLITRKDLLIEENDDSASMELQSTSVRMQLASDGDL >ONI28699 pep chromosome:Prunus_persica_NCBIv2:G1:12337451:12346235:1 gene:PRUPE_1G156000 transcript:ONI28699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNHLHNGIEAPKLAWSRLPNSEDGEPDTVGLVKKSDESSIDSLDYEVIENYAYRKEQAQRGKLYVGYYVMVKWFFALLIGIGTGLAAVFINLSVENFAGWKFALTFAIIQKSYVAGFLVYVLINLVLVFSSVYIVTQFAPAAAGSGIPEIKGYLNGVDMPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSSKYHLRSRWLQIFHSDRDRRDLVTCGCAAGVSAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDISDGQEDYSFEEFLPMAVIGVIGGLLGALFNQLTFYIAYWRRNYLHKNGNRVKIIEACLISLITSIISFGLPLLRNCSPCPEANPDSGIECPRPPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEYSAQSLLTFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKVYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRKMTAKEASGKRVISFPRVVKVADVVSILRSNNHNGFPVIDHARNGETLVIGLMLRSHLLVLLQSKVDFQHSPLPCDPKGSRSIRHTISEFVKPASSKGLSIHDIRLSSDDLEMYIDLALFLNPSPYIVPEDMSLTKVYNLFRQLGLRHIFVVPRPSRVIGLITRKDLLIEENDDSASMELQSTSVRARHRDKSKVGRNGDVERPLLTSLLV >ONI28705 pep chromosome:Prunus_persica_NCBIv2:G1:12337472:12346152:1 gene:PRUPE_1G156000 transcript:ONI28705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNHLHNGIEAPKLAWSRLPNSEDGEPDTVGLVKKSDESSIDSLDYEVIENYAYRKEQAQRGKLYVGYYVMVKWFFALLIGIGTGLAAVFINLSVENFAGWKFALTFAIIQKSYVAGFLVYVLINLVLVFSSVYIVTQFAPAAAGSGIPEIKGYLNGVDMPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSSKYHLRSRWLQIFHSDRDRRDLVTCGCAAGVSAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDISDGQEDYSFEEFLPMAVIGVIGGLLGALFNQLTFYIAYWRRNYLHKNGNRVKIIEACLISLITSIISFGLPLLRNCSPCPEANPDSGIECPRPPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEYSAQSLLTFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKVYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRKMTAKEASGKRVISFPRVVKVADVVSILRSNNHNGFPVIDHARNGETLVIGLMLRSHLLVLLQSKVDFQHSPLPCDPKGSRSIRHTISEFVKPASSKGLSIHDIRLSSDDLEMYIDLALFLNPSPYIVPEDMSLTKVYNLFRQLGLRHIFVVPRPSRVIGLITRKDLLIEENDDSASMELQSTSVRSL >ONI28702 pep chromosome:Prunus_persica_NCBIv2:G1:12337471:12346152:1 gene:PRUPE_1G156000 transcript:ONI28702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNHLHNGIEAPKLAWSRLPNSEDGEPDTVGLVKKSDESSIDSLDYEVIENYAYRKEQAQRGKLYVGYYVMVKWFFALLIGIGTGLAAVFINLSVENFAGWKFALTFAIIQKSYVAGFLVYVLINLVLVFSSVYIVTQFAPAAAGSGIPEIKGYLNGVDMPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSSKYHLRSRWLQIFHSDRDRRDLVTCGCAAGVSAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDISDGQEDYSFEEFLPMAVIGVIGGLLGALFNQLTFYIAYWRRNYLHKNGNRVKIIEACLISLITSIISFGLPLLRNCSPCPEANPDSGIECPRPPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEYSAQSLLTFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKVYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRKMTAKEASGKRVISFPRVVKVADVVSILRSNNHNGFPVIDHARNGETLVIGLMLRSHLLVLLQSKVDFQHSPLPCDPKGSRSIRHTISEFVKPASSKGLSIHDIRLSSDDLEMYIDLALFLNPSPYIVPEDMSLTKVYNLFRQLGLRHIFVVPRPSRVIGLITRKDLLIEENDDSASMELQSTSDAVGK >ONI28700 pep chromosome:Prunus_persica_NCBIv2:G1:12337471:12346245:1 gene:PRUPE_1G156000 transcript:ONI28700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNHLHNGIEAPKLAWSRLPNSEDGEPDTVGLVKKSDESSIDSLDYEVIENYAYRKEQAQRGKLYVGYYVMVKWFFALLIGIGTGLAAVFINLSVENFAGWKFALTFAIIQKSYVAGFLVYVLINLVLVFSSVYIVTQFAPAAAGSGIPEIKGYLNGVDMPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSSKYHLRSRWLQIFHSDRDRRDLVTCGCAAGVSAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFIIWDISDGQEDYSFEEFLPMAVIGVIGGLLGALFNQLTFYIAYWRRNYLHKNGNRVKIIEACLISLITSIISFGLPLLRNCSPCPEANPDSGIECPRPPGMYGNYVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEYSAQSLLTFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKVYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRKMTAKEASGKRVISFPRVVKVADVVSILRSNNHNGFPVIDHARNGETLVIGLMLRSHLLVLLQSKVDFQHSPLPCDPKGSRSIRHTISEFVKPASSKGLSIHDIRLSSDDLEMYIDLALFLNPSPYIVPEDMSLTKVYNLFRQLGLRHIFVVPRPSRVIGLITRKDLLIEENDDSASMELQSTSSSASR >ONI32407 pep chromosome:Prunus_persica_NCBIv2:G1:33570829:33571131:-1 gene:PRUPE_1G366200 transcript:ONI32407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFNRFRKIIMRLLFSIPSRSATASSSSSSGATSSTPRQRNSTSCDRFFEPPKTSCSSYYSSHSHYNEAIADCIEFFNKSSSSTQEDMLDFDPQSSVMV >ONI35135 pep chromosome:Prunus_persica_NCBIv2:G1:42578306:42579911:-1 gene:PRUPE_1G518400 transcript:ONI35135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKNTHEYVAVLFYASWCPFSRMFKPTFSILASSYPSIPHFAFEESAIRPSILSKYGVHGFPTIFILNSTMRVRYQGSRTPGSLIAFYSDITGIKTVSLDQLSLEKIGYPLNHEKHESTEQESCPFSWARSPENMLRQETYLALASAFVLLRLLYFFFPTLLSFAQSAWRRNIRNMRLGSLLEHPLAFLKRAVQLFNSLKEPCKRSNLQEGAMNARVWASKSLATVSIGDASTSRGYSSD >ONI35134 pep chromosome:Prunus_persica_NCBIv2:G1:42578306:42581234:-1 gene:PRUPE_1G518400 transcript:ONI35134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRVWQTGIVILLLWVRLISAAEPTSCPTESVSDAIFGFRYSSCPANGGARSVDSIGVIVGDEVSLQRALNMVHKNTHEYVAVLFYASWCPFSRMFKPTFSILASSYPSIPHFAFEESAIRPSILSKYGVHGFPTIFILNSTMRVRYQGSRTPGSLIAFYSDITGIKTVSLDQLSLEKIGYPLNHEKHESTEQESCPFSWARSPENMLRQETYLALASAFVLLRLLYFFFPTLLSFAQSAWRRNIRNMRLGSLLEHPLAFLKRAVQLFNSLKEPCKRSNLQEGAMNARVWASKSLATVSIGDASTSRGYSSD >ONI26240 pep chromosome:Prunus_persica_NCBIv2:G1:919231:922543:-1 gene:PRUPE_1G012100 transcript:ONI26240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALFIAISLILQCCFSASTSHPLDTLNPTEINKIRLTVQKSHLGSLPNLTFHFVDTEEPEKSDVLKWLSSSEKKRSTPPRHAKVVARAGGQTHELIVDLATGSVISDHVYTGHGYPPLSFTELFQVSKLPLSHPKFKRSISARGLNLSEVSCLPFSIGWYGEHTTKRAVKVQCFYRGGSVNVFTRPIEGITMLVDIDLMQITMYTDRLRAPLPKAEGTDFQTPKGNSNSASCNKTNSGFTISGHKVKWANWVFHVGFNARAGVVISTASVFDSRKKKYRSVLYRGHVSETFVPYMDPTSEWYFKTFMDLGEFGFGRSADSLQPLIDCPENAKYVDGYMAGADGKPQKVQRAICIFERFSGDVAMRHTEINVPGKLIRSGQQEKTLVVRMVATVGNYDYVLDWEFEQSGTIKVGVGLTGVLEMKATSYTNNDQITESVYGTLVSENTVAVNHDHFLTYFLDLDVDGNDNSFVKSNLQTARTNPVNATSPRKSYWRVVKETAKTEAEARIRLGLEPAELLIVNPSRMTRLGNVVGYRLITGQPVTSLLADDDYPQIRAAYTKYQVWVTAYNKSEKWAGGFYADRSHGDDGLAVWSKRNRAIENKDIVVWYTVGFHHIPYQEDFPAMPTLHGGFELRPANFFESNPLL >ONI26241 pep chromosome:Prunus_persica_NCBIv2:G1:919926:922543:-1 gene:PRUPE_1G012100 transcript:ONI26241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALFIAISLILQCCFSASTSHPLDTLNPTEINKIRLTVQKSHLGSLPNLTFHFVDTEEPEKSDVLKWLSSSEKKRSTPPRHAKVVARAGGQTHELIVDLATGSVISDHVYTGHGYPPLSFTELFQVSKLPLSHPKFKRSISARGLNLSEVSCLPFSIGWYGEHTTKRAVKVQCFYRGGSVNVFTRPIEGITMLVDIDLMQITMYTDRLRAPLPKAEGTDFQTPKGNSNSASCNKTNSGFTISGHKVKWANWVFHVGFNARAGVVISTASVFDSRKKKYRSVLYRGHVSETFVPYMDPTSEWYFKTFMDLGEFGFGRSADSLQPLIDCPENAKYVDGYMAGADGKPQKVQRAICIFERFSGDVAMRHTEINVPGKLIRSGQQEKTLVVRMVATVGNYDYVLDWEFEQSGTIKVGVGLTGVLEMKATSYTNNDQITESVYGTLVSENTVAVNHDHFLTYFLDLDVDGNDNSFVKSNLQTARTNPVNATSPRKSYWRVVKETAKTEAEARIRLGLEPAELLIVNPSRMTRLGNVVGYRLITGQPVTSLLADDDYPQIRAAYTKYQVWVTAYNKSEKWAGGFYADRSHGDDGLAVWSKR >ONI26346 pep chromosome:Prunus_persica_NCBIv2:G1:1328473:1333844:1 gene:PRUPE_1G019100 transcript:ONI26346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPERNQIGTPKAKFEDSPVFNYINSLSPIKPVKSVHITQTFSSLSFASLPSVFTSPHVSAHKESRFLRRHNISDPSKPESSLESGNKVSANEDAAQLYINSEELREDCVQGVSTGEDSVEPSSEHSKFVIELPRNLKYDCGSPDCHPTTRCGTEAHCELEVADLSAPLVPYVQKTSEEGSSSDEAHLQIICQTVQRKEGTGCDWESLICDAADLLIFDSPNGTEAFKGLMQNSLDPVTRFCTSLAPQLTQNDVNDEQNVQVLDMVGSGGQLETEDPASQYGEASKLERTEQMEGHLNNCMVSSQSEKEDNKVETPLQFNCKPAVLNLQRGLRRRCLDFEMAGARRKSLDNVSNSSSNMLSQSDEKIATNDKQLVPMKPGGESSRCILPGIGLHLNALAKTSKDYKIIKCESLAYGRQLSLPNSTADIHSPTGQGPGHESFSSASSERDMDGTENGVQLAHDASQEPAFLANEEFNQNSPKKKRRRFEHAGETESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQECFNKPIHEDTVLATRKQIESRNPLAFAPKVIRSSDSVPELGQEESSKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSISCRCEGCKNAFGRKDGSFIGTEAELDEEEAEACEKSVAEKHQQKIEIQKNEEQRLDSALPTTPLRLSRQMVSLPFSSKNKPPRSSVFSIGGSSSGLYTSQKLGQPNILRPESKFERHSQSVPEDEMPEILQGDVSPSTGVKTASPNSKRVCPPNTDFGPSPGRRTGRKLILQSIPSFPSLTPQH >ONI26347 pep chromosome:Prunus_persica_NCBIv2:G1:1328471:1333847:1 gene:PRUPE_1G019100 transcript:ONI26347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPERNQIGTPKAKFEDSPVFNYINSLSPIKPVKSVHITQTFSSLSFASLPSVFTSPHVSAHKESRFLRRHNISDPSKPESSLESGNKVSANEDAAQLYINSEELREDCVQGVSTGEDSVEPSSEHSKFVIELPRNLKYDCGSPDCHPTTRCGTEAHCELEVADLSAPLVPYVQKTSEEGSSSDEAHLQIICQTVQRKEGTGCDWESLICDAADLLIFDSPNGTEAFKGLMQNSLDPVTRFCTSLAPQLTQNDVNDEQNVQVLDMVGSGGQLETEDPASQYGEASKLERTEQMEGHLNNCMVSSQSEKEDNKVETPLQFNCKPAVLNLQRGLRRRCLDFEMAGARRKSLDNVSNSSSNMLSQSDEKIATNDKQLVPMKPGGESSRCILPGIGLHLNALAKTSKDYKIIKCESLAYGRQLSLPNSTADIHSPTGQGPGHESFSSASSERDMDGTENGVQLAHDASQEPAFLANEEFNQNSPKKKRRRFEHAGETESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQECFNKPIHEDTVLATRKQIESRNPLAFAPKVIRSSDSVPELGEESSKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSISCRCEGCKNAFGRKDGSFIGTEAELDEEEAEACEKSVAEKHQQKIEIQKNEEQRLDSALPTTPLRLSRQMVSLPFSSKNKPPRSSVFSIGGSSSGLYTSQKLGQPNILRPESKFERHSQSVPEDEMPEILQGDVSPSTGVKTASPNSKRVCPPNTDFGPSPGRRTGRKLILQSIPSFPSLTPQH >ONI30515 pep chromosome:Prunus_persica_NCBIv2:G1:26578851:26581479:1 gene:PRUPE_1G254900 transcript:ONI30515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLISSFLRRHNPIILVFIFLSALYFVFSETPDVECVIDIQASSSWRNSSCEAGNWGGFISNCCEVVFDDYLYALGQRANQTGKLFLNSTEQTNCLVLMKGIKEDVLSCGIEKLTSGAGGCSDYTKLDVFNNLRNTMESLEEDCKLLGTAEKSDLVCSACLKRWEEIVASSDNKRGSSKVEANICGFAVLVSLTSKRIDDKAFVQALYECLGDQRLSAASNDSLSEEPGSFKISIKEIYAATDNLSAVNFIGQGGAGKVYKGILLNGKHVAVKHIINDGSVETFIREVTSLSDVRHPNLVALLGYCEDVEECFLVYELCQNGNLSEWLFGKDKSLPWITRLELAIDSARGLRFLHTYPDGCIVHRDIKPTNILIDNNFQAKLSDFGLSKVMDLGQSYVSSEVRGTFGYVDPEYRQNHHVNASGDVYSFGIVLLQLISGRRVINLNSQKPMPLSKMARALTKGGDVTEFADPKLNGEYSVVAFDLVFNLALSCTGLKQQRPGMEQVVSKLEKALDISTQMEPISLTAPINT >ONI33920 pep chromosome:Prunus_persica_NCBIv2:G1:38085864:38092286:-1 gene:PRUPE_1G453100 transcript:ONI33920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPQSQRSSSPSQPSGKGEVADVKSQLRNLAGSRAPGVDDSKRELFKKVISYMTIGIDVSSVFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCKDADPMIRGLALRSLCSLRVANLVEYLVGPLGAGLKDNNSYVRMIAVMGVLKLYHISASTCVDADFPAMLKHLLLNDRDTQVVANCLSALQEIWSLEGSTSEEVSREREILLSKPVIYYLLNRIREFSEWAQCLVLELVGKYVPADSSEIFDVMNLLEDRLQHANGAVVLATTKVFLQLTLSMTDVHQQVYERIKAPLLTLVSSGSPEQSYAVLSHLHLLVTRAPFIFSSDYKHFYCQYNEPSYVKKLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAEALVLVKDLLRKYPQWSHDCIAVVGNISSKNVQEPKAKAALIWMLGEYSQEMQDAPYILESLIENWEDEHSAEVRLHLLTAVMKCFFKRPPETQKSLGAALAAGLADFHQDVHDRALFYYRLLQYDMSTAEQVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQQPSYMFTYKEHRGPFEFSDEIGNLSIGTESADTVAQAHRVEANDKDLLLSTSEKEETRGLNNNSSAYSAPSYDVSSVPVPTSQMSELAISNPSVPGNAPQSGFAIDDLLGLGLPAAPAPAPSPPPLKLNPKAVLDPTTFQQKWRQLPISLSQEYSITPEGVAALTTPQALLRHMQGQAIHCIASGGQSPNFKFFFFAQKAEESSTFLVECIVNTSSAKAQIKIKADDQSATQPFSSVFQSALSKFGMP >ONI36477 pep chromosome:Prunus_persica_NCBIv2:G1:47639499:47642061:-1 gene:PRUPE_1G586900 transcript:ONI36477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVPSHFQSLGLPKQFPVRSYCSRALTCQNATRIVEFCNTQRKLFTSPHKTGHARLSRLIYAAGSGLEASIADVEGNLITLKTAKIVIESREDNKIQVRVDLTGDDTEKVFDKVLTNLARTAPPVPGFRRQKGGKTSKVPKSFLLDILGKERVTKFVIQEIISSTMGDYVKKENLNVKENKINTTQTAEELKLLFKPGTEFGFNAILELENSEIETPS >ONI36476 pep chromosome:Prunus_persica_NCBIv2:G1:47639266:47642311:-1 gene:PRUPE_1G586900 transcript:ONI36476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVPSHFQSLGLPKFPVRSYCSRALTCQNATRIVEFCNTQRKLFTSPHKTGHARLSRLIYAAGSGLEASIADVEGNLITLKTAKIVIESREDNKIQVRVDLTGDDTEKVFDKVLTNLARTAPPVPGFRRQKGGKTSKVPKSFLLDILGKERVTKFVIQEIISSTMGDYVKKENLNVKENKINTTQTAEELKLLFKPGTEFGFNAILELENSEIETPS >ONI32339 pep chromosome:Prunus_persica_NCBIv2:G1:33316036:33318527:-1 gene:PRUPE_1G362100 transcript:ONI32339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGFFFMEFSSSSSSQSKSQLIVYVCLVVFGLFHYPTITVEAAVKLPPGQTIPAVIVFGDSIMDTGNNNNLKSLIKCNFSPYGRDFQGHKPTGRFGNGKVPSDFIVEELGIKKYLPAYLDPSLRPEDLATGVCFASGGTGYDPMTPQIASVISLSDQLSMFKEYIGKLKGIVGEERTNFILSNALFLVVAGSDDLANTYFTIRARKAQYDVPAYTDLMVNSASSFVKELYGLGARRIGLFSAPPIGCVPSQRTLGGGLARDCAEEYNEAAKLFNAKLSRSLNSLNTALPNSRVVYVDVYNPLLDIILNPAKTGFKVAEKGCCGTGIIEVAVLCNKYDATCANANDYVFWDSYHPTERTYSVLIPPLLRRYVNDFLLGN >ONI31682 pep chromosome:Prunus_persica_NCBIv2:G1:31002659:31012364:-1 gene:PRUPE_1G325100 transcript:ONI31682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVSVVQSCFSGNGLRSAIAFEAEKGYRNALGRKMRFSNFVFSKISKLCFRSKHTFVKDALKEVDSYGIASISDRSKLLNKVSVLMGYDSLHNLIENERAEKQSGMYVKDAVDEFDVSLACRRFPSIILSSSPRVELYDGTTSFTERMLLETQSCEGFLSDTMGEMQSTTLVPVKNSYQPVPTEESSKKVSLESQKDAVSVQLSLDSSISCIHGISKKRCHQLENCGFHTLRKLLHHFPRTYADLQNAQIKIDDGQYLIFIGKVLNSRGIKASSTFSIFEVVVGCEITDNESTEHMNDFGDSRRKKTIYLHLKKFFRGTRFTSVPFLRIVEDKHKEGDFVCVSGKVRTMPSKDHYEMREYNIDVLKDENEASFHAKGRPYPIYPSKGGLNPNFLRDIIERVVQVLPVNVDPIPKNIILDFRLLSLQDAYTGIHQPKSINEADLARKRLIFDEFFYLQLGRLYQMLEGLGTQIEKDGLLDKYRKPESSAAYMEEWSSLTKKFSKTLPYTLTPSQLTAVSEIIWDLRQPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLNNLLENIEDFECKPSIALLTGSTPSKQSRIIHKGLQTGDISMVIGTTSLIADKVEFSALRIAVVDEQQRFGVIQRGRFNSKLYCTSISSRMLATNSDVTSKNDKHMAPHILAMSATPIPRTLALALYGDMSLTQKVLGVSHDLEQFPLLKTELSMRQPLSILGD >ONI31689 pep chromosome:Prunus_persica_NCBIv2:G1:31002659:31011473:-1 gene:PRUPE_1G325100 transcript:ONI31689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSNFVFSKISKLCFRSKHTFVKDALKEVDSYGIASISDRSKLLNKVSVLMGYDSLHNLIENERAEKQSGMYVKDAVDEFDVSLACRRFPSIILSSSPRVELYDGTTSFTERMLLETQSCEGFLSDTMGEMQSTTLVPVKNSYQPVPTEESSKKVSLESQKDAVSVQLSLDSSISCIHGISKKRCHQLENCGFHTLRKLLHHFPRTYADLQNAQIKIDDGQYLIFIGKVLNSRGIKASSTFSIFEVVVGCEITDNESTEHMNDFGDSRRKKTIYLHLKKFFRGTRFTSVPFLRIVEDKHKEGDFVCVSGKVRTMPSKDHYEMREYNIDVLKDENEASFHAKGRPYPIYPSKGGLNPNFLRDIIERVVQVLPVNVDPIPKNIILDFRLLSLQDAYTGIHQPKSINEADLARKRLIFDEFFYLQLGRLYQMLEGLGTQIEKDGLLDKYRKPESSAAYMEEWSSLTKKFSKTLPYTLTPSQLTAVSEIIWDLRQPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLNNLLENIEDFECKPSIALLTGSTPSKQSRIIHKGLQTGDISMVIGTTSLIADKVEFSALRIAVVDEQQRFGVIQRGRFNSKLYCTSISSRMLATNSDVTSKNDKHMAPHILAMSATPIPRTLALALYGDMSLTQITDLPPGRTPVETFIIEGNDNGFEDVYEMMLDELKVGGKVYLVYPVIEQSEQLPQLRAASADFEFISNRFQGYTCGLLHGRMKSDEKDEALRKFRLGETDILLSTQVIEIGVDVPDASMMVVMNADRFGIAQLHQLRGRVGRGVRKSKCILLASSVSSLTRLKVLGKSSDGFYLANMDLLLRGPGNLLGKKQSGHLPEFPIARLEVDGNILQEAHLAALKVLGVSHDLEQFPLLKTELSMRQPLSILGD >ONI31685 pep chromosome:Prunus_persica_NCBIv2:G1:31002659:31012364:-1 gene:PRUPE_1G325100 transcript:ONI31685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVSVVQSCFSGNGLRSAIAFEAEKGYRNALGRKMRFSNFVFSKISKLCFRSKHTFVKDALKEVDSYGIASISDRSKLLNKVSVLMGYDSLHNLIENERAEKQSGMYVKDAVDEFDVSLACRRFPSIILSSSPRVELYDGTTSFTERMLLETQSCEGFLSDTMGEMQSTTLVPVKNSYQPVPTEESSKKVSLESQKDAVSVQLSLDSSISCIHGISKKRCHQLENCGFHTLRKLLHHFPRTYADLQNAQIKIDDGQYLIFIGKVLNSRGIKASSTFSIFEVVVGCEITDNESTEHMNDFGDSRRKKTIYLHLKKFFRGTRFTSVPFLRIVEDKHKEGDFVCVSGKVRTMPSKDHYEMREYNIDVLKDENEASFHAKGRPYPIYPSKGGLNPNFLRDIIERVVQVLPVNVDPIPKNIILDFRLLSLQDAYTGIHQPKSINEADLARKRLIFDEFFYLQLGRLYQMLEGLGTQIEKDGLLDKYRKPESSAAYMEEWSSLTKKFSKTLPYTLTPSQLTAVSEIIWDLRQPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLNNLLENIEDFECKPSIALLTGSTPSKQSRIIHKGLQTGDISMVIGTTSLIADKVEFSALRIAVVDEQQRFGVIQRGRFNSKLYCTSISSRMLATNSDVTSKNDKHMAPHILAMSATPIPRTLALALYGDMSLTQMMLDELKVGGKVYLVYPVIEQSEQLPQLRAASADFEFISNRFQGYTCGLLHGRMKSDEKDEALRKFRLGETDILLSTQVIEIGVDVPDASMMVVMNADRFGIAQLHQLRGRVGRGVRKSKCILLASSVSSLTRLKVLGKSSDGFYLANMDLLLRGPGNLLGKKQSGHLPEFPIARLEVDGNILQEAHLAALKVLGVSHDLEQFPLLKTELSMRQPLSILGD >ONI31688 pep chromosome:Prunus_persica_NCBIv2:G1:31002082:31012915:-1 gene:PRUPE_1G325100 transcript:ONI31688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSNFVFSKISKLCFRSKHTFVKDALKEVDSYGIASISDRSKLLNKVSVLMGYDSLHNLIENERAEKQSGMYVKDAVDEFDVSLACRRFPSIILSSSPRVELYDGTTSFTERMLLETQSCEGFLSDTMGEMQSTTLVPVKNSYQPVPTEESSKKVSLESQKDAVSVQLSLDSSISCIHGISKKRCHQLENCGFHTLRKLLHHFPRTYADLQNAQIKIDDGQYLIFIGKVLNSRGIKASSTFSIFEVVVGCEITDNESTEHMNDFGDSRRKKTIYLHLKKFFRGTRFTSVPFLRIVEDKHKEGDFVCVSGKVRTMPSKDHYEMREYNIDVLKDENEASFHAKGRPYPIYPSKGGLNPNFLRDIIERVVQVLPVNVDPIPKNIILDFRLLSLQDAYTGIHQPKSINEADLARKRLIFDEFFYLQLGRLYQMLEGLGTQIEKDGLLDKYRKPESSAAYMEEWSSLTKKFSKTLPYTLTPSQLTAVSEIIWDLRQPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLNNLLENIEDFECKPSIALLTGSTPSKQSRIIHKGLQTGDISMVIGTTSLIADKVEFSALRIAVVDEQQRFGVIQRGRFNSKLYCTSISSRMLATNSDVTSKNDKHMAPHILAMSATPIPRTLALALYGDMSLTQITDLPPGRTPVETFIIEGNDNGFEDVYEMMLDELKVGGKVYLVYPVIEQSEQLPQLRAASADFEFISNRFQGYTCGLLHGRMKSDEKDEALRKFRLGETDILLSTQVIEIGVDVPDASMMVVMNADRFGIAQLHQLRGRVGRGVRKSKCILLASSVSSLTRLKVLGKSSDGFYLANMDLLLRGPGNLLGKKQSGHLPEFPIARLEVDGNILQEAHLAALKVLGVSHDLEQFPLLKTELSMRQPLSILGD >ONI31683 pep chromosome:Prunus_persica_NCBIv2:G1:31002082:31012915:-1 gene:PRUPE_1G325100 transcript:ONI31683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVSVVQSCFSGNGLRSAIAFEAEKGYRNALGRKMRFSNFVFSKISKLCFRSKHTFVKDALKEVDSYGIASISDRSKLLNKVSVLMGYDSLHNLIENERAEKQSGMYVKDAVDEFDVSLACRRFPSIILSSSPRVELYDGTTSFTERMLLETQSCEGFLSDTMGEMQSTTLVPVKNSYQPVPTEESSKKVSLESQKDAVSVQLSLDSSISCIHGISKKRCHQLENCGFHTLRKLLHHFPRTYADLQNAQIKIDDGQYLIFIGKVLNSRGIKASSTFSIFEVVVGCEITDNESTEHMNDFGDSRRKKTIYLHLKKFFRGTRFTSVPFLRIVEDKHKEGDFVCVSGKVRTMPSKDHYEMREYNIDVLKDENEASFHAKGRPYPIYPSKGGLNPNFLRDIIERVVQVLPVNVDPIPKNIILDFRLLSLQDAYTGIHQPKSINEADLARKRLIFDEFFYLQLGRLYQMLEGLGTQIEKDGLLDKYRKPESSAAYMEEWSSLTKKFSKTLPYTLTPSQLTAVSEIIWDLRQPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLNNLLENIEDFECKPSIALLTGSTPSKQSRIIHKGLQTGDISMVIGTTSLIADKVEFSALRIAVVDEQQRFGVIQRGRFNSKLYCTSISSRMLATNSDVTSKNDKHMAPHILAMSATPIPRTLALALYGDMSLTQKVLGVSHDLEQFPLLKTELSMRQPLSILGD >ONI31684 pep chromosome:Prunus_persica_NCBIv2:G1:31002082:31012915:-1 gene:PRUPE_1G325100 transcript:ONI31684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVSVVQSCFSGNGLRSAIAFEAEKGYRNALGRKMRFSNFVFSKISKLCFRSKHTFVKDALKEVDSYGIASISDRSKLLNKVSVLMGYDSLHNLIENERAEKQSGMYVKDAVDEFDVSLACRRFPSIILSSSPRVELYDGTTSFTERMLLETQSCEGFLSDTMGEMQSTTLVPVKNSYQPVPTEESSKKVSLESQKDAVSVQLSLDSSISCIHGISKKRCHQLENCGFHTLRKLLHHFPRTYADLQNAQIKIDDGQYLIFIGKVLNSRGIKASSTFSIFEVVVGCEITDNESTEHMNDFGDSRRKKTIYLHLKKFFRGTRFTSVPFLRIVEDKHKEGDFVCVSGKVRTMPSKDHYEMREYNIDVLKDENEASFHAKGRPYPIYPSKGGLNPNFLRDIIERVVQVLPVNVDPIPKNIILDFRLLSLQDAYTGIHQPKSINEADLARKRLIFDEFFYLQLGRLYQMLEGLGTQIEKDGLLDKYRKPESSAAYMEEWSSLTKKFSKTLPYTLTPSQLTAVSEIIWDLRQPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLNNLLENIEDFECKPSIALLTGSTPSKQSRIIHKGLQTGDISMVIGTTSLIADKVEFSALRIAVVDEQQRFGVIQRGRFNSKLYCTSISSRMLATNSDVTSKNDKHMAPHILAMSATPIPRTLALALYGDMSLTQMMLDELKVGGKVYLVYPVIEQSEQLPQLRAASADFEFISNRFQGYTCGLLHGRMKSDEKDEALRKFRLGETDILLSTQVIEIGVDVPDASMMVVMNADRFGIAQLHQLRGRVGRGVRKSKCILLASSVSSLTRLKVLGKSSDGFYLANMDLLLRGPGNLLGKKQSGHLPEFPIARLEVDGNILQEAHLAALKVLGVSHDLEQFPLLKTELSMRQPLSILGD >ONI31686 pep chromosome:Prunus_persica_NCBIv2:G1:31002659:31012364:-1 gene:PRUPE_1G325100 transcript:ONI31686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVSVVQSCFSGNGLRSAIAFEAEKGYRNALGRKMRFSNFVFSKISKLCFRSKHTFVKDALKEVDSYGIASISDRSKLLNKVSVLMGYDSLHNLIENERAEKQSGMYVKDAVDEFDVSLACRRFPSIILSSSPRVELYDGTTSFTERMLLETQSCEGFLSDTMGEMQSTTLVPVKNSYQPVPTEESSKKVSLESQKDAVSVQLSLDSSISCIHGISKKRCHQLENCGFHTLRKLLHHFPRTYADLQNAQIKIDDGQYLIFIGKVLNSRGIKASSTFSIFEVVVGCEITDNESTEHMNDFGDSRRKKTIYLHLKKFFRGTRFTSVPFLRIVEDKHKEGDFVCVSGKVRTMPSKDHYEMREYNIDVLKDENEASFHAKGRPYPIYPSKGGLNPNFLRDIIERVVQVLPVNVDPIPKNIILDFRLLSLQDAYTGIHQPKSINEADLARKRLIFDEFFYLQLGRLYQMLEGLGTQIEKDGLLDKYRKPESSAAYMEEWSSLTKKFSKTLPYTLTPSQLTAVSEIIWDLRQPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLNNLLENIEDFECKPSIALLTGSTPSKQSRIIHKGLQTGDISMVIGTTSLIADKVEFSALRIAVVDEQQRFGVIQRGRFNSKLYCTSISSRMLATNSDVTSKNDKHMAPHILAMSATPIPRTLALALYGDMSLTQITDLPPGRTPVETFIIEGNDNGFEDVYEMMLDELKVGGKVYLVYPVIEQSEQLPQLRAASADFEFISNRFQGYTCGLLHGRMKSDEKDEALRKFRLGETDILLSTQVIEIGVDVPDASMMVVMNADRFGIAQLHQLRGRVGRGVRKSKCILLASSVSSLTRLKVLGKSSDGFYLANMDLLLRGPGNLLGKKQSGHLPEFPIARLEVDGNILQEAHLAALKVLGVSHDLEQFPLLKTELSMRQPLSILGD >ONI31679 pep chromosome:Prunus_persica_NCBIv2:G1:31002659:31012364:-1 gene:PRUPE_1G325100 transcript:ONI31679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVSVVQSCFSGNGLRSAIAFEAEKGYRNALGRKMRFSNFVFSKISKLCFRSKHTFVKDALKEVDSYGIASISDRSKLLNKVSVLMGYDSLHNLIENERAEKQSGMYVKDAVDEFDVSLACRRFPSIILSSSPRVELYDGTTSFTERMLLETQSCEGFLSDTMGEMQSTTLVPVKNSYQPVPTEESSKKVSLESQKDAVSVQLSLDSSISCIHGISKKRCHQLENCGFHTLRKLLHHFPRTYADLQNAQIKIDDGQYLIFIGKVLNSRGIKASSTFSIFEVVVGCEITDNESTEHMNDFGDSRRKKTIYLHLKKFFRGTRFTSVPFLRIVEDKHKEGDFVCVSGKVRTMPSKDHYEMREYNIDVLKDENEASFHAKGRPYPIYPSKGGLNPNFLRDIIERVVQVLPVNVDPIPKNIILDFRLLSLQDAYTGIHQPKSINEADLARKRLIFDEFFYLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLNNLLENIEDFECKPSIALLTGSTPSKQSRIIHKGLQTGDISMVIGTTSLIADKVEFSALRIAVVDEQQRFGVIQRGRFNSKLYCTSISSRMLATNSDVTSKNDKHMAPHILAMSATPIPRTLALALYGDMSLTQITDLPPGRTPVETFIIEGNDNGFEDVYEMMLDELKVGGKVYLVYPVIEQSEQLPQLRAASADFEFISNRFQGYTCGLLHGRMKSDEKDEALRKFRLGETDILLSTQVIEIGVDVPDASMMVVMNADRFGIAQLHQLRGRVGRGVRKSKCILLASSVSSLTRLKVLGKSSDGFYLANMDLLLRGPGNLLGKKQSGHLPEFPIARLEVDGNILQEAHLAALKVLGVSHDLEQFPLLKTELSMRQPLSILGD >ONI31680 pep chromosome:Prunus_persica_NCBIv2:G1:31002082:31012489:-1 gene:PRUPE_1G325100 transcript:ONI31680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVSVVQSCFSGNGLRSAIAFEAEKGYRNALGRKMRFSNFVFSKISKLCFRSKHTFVKDALKEVDSYGIASISDRSKLLNKVSVLMGYDSLHNLIENERAEKQSGMYVKDAVDEFDVSLACRRFPSIILSSSPRVELYDGTTSFTERMLLETQSCEGFLSDTMGEMQSTTLVPVKNSYQPVPTEESSKKVSLESQKDAVSVQLSLDSSISCIHGISKKRCHQLENCGFHTLRKLLHHFPRTYADLQNAQIKIDDGQYLIFIGKVLNSRGIKASSTFSIFEVVVGCEITDNESTEHMNDFGDSRRKKTIYLHLKKFFRGTRFTSVPFLRIVEDKHKEGDFVCVSGKVRTMPSKDHYEMREYNIDVLKDENEASFHAKGRPYPIYPSKGGLNPNFLRDIIERVVQVLPVNVDPIPKNIILDFRLLSLQDAYTGIHQPKSINEADLARKRLIFDEFFYLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLNNLLENIEDFECKPSIALLTGSTPSKQSRIIHKGLQTGDISMVIGTTSLIADKVEFSALRIAVVDEQQRFGVIQRGRFNSKLYCTSISSRMLATNSDVTSKNDKHMAPHILAMSATPIPRTLALALYGDMSLTQITDLPPGRTPVETFIIEGNDNGFEDVYEMMLDELKVGGKVYLVYPVIEQSEQLPQLRAASADFEFISNRFQGYTCGLLHGRMKSDEKDEALRKFRLGETDILLSTQVIEIGVDVPDASMMVVMNADRFGIAQLHQLRGRVGRGVRKSKCILLASSVSSLTRLKVLGKSSDGFYLANMDLLLRGPGNLLGKKQSGHLPEFPIARLEVDGNILQEAHLAALKVLGVSHDLEQFPLLKTELSMRQPLSILGD >ONI31681 pep chromosome:Prunus_persica_NCBIv2:G1:31002082:31012915:-1 gene:PRUPE_1G325100 transcript:ONI31681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVSVVQSCFSGNGLRSAIAFEAEKGYRNALGRKMRFSNFVFSKISKLCFRSKHTFVKDALKEVDSYGIASISDRSKLLNKVSVLMGYDSLHNLIENERAEKQSGMYVKDAVDEFDVSLACRRFPSIILSSSPRVELYDGTTSFTERMLLETQSCEGFLSDTMGEMQSTTLVPVKNSYQPVPTEESSKKVSLESQKDAVSVQLSLDSSISCIHGISKKRCHQLENCGFHTLRKLLHHFPRTYADLQNAQIKIDDGQYLIFIGKVLNSRGIKASSTFSIFEVVVGCEITDNESTEHMNDFGDSRRKKTIYLHLKKFFRGTRFTSVPFLRIVEDKHKEGDFVCVSGKVRTMPSKDHYEMREYNIDVLKDENEASFHAKGRPYPIYPSKGGLNPNFLRDIIERVVQVLPVNVDPIPKNIILDFRLLSLQDAYTGIHQPKSINEADLARKRLIFDEFFYLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLNNLLENIEDFECKPSIALLTGSTPSKQSRIIHKGLQTGDISMVIGTTSLIADKVEFSALRIAVVDEQQRFGVIQRGRFNSKLYCTSISSRMLATNSDVTSKNDKHMAPHILAMSATPIPRTLALALYGDMSLTQITDLPPGRTPVETFIIEGNDNGFEDVYEMMLDELKVGGKVYLVYPVIEQSEQLPQLRAASADFEFISNRFQGYTCGLLHGRMKSDEKDEALRKFRLGETDILLSTQVIEIGVDVPDASMMVVMNADRFGIAQLHQLRGRVGRGVRKSKCILLASSVSSLTRLKVLGKSSDGFYLANMDLLLRGPGNLLGKKQSGHLPEFPIARLEVDGNILQEAHLAALKVLGVSHDLEQFPLLKTELSMRQPLSILGD >ONI31687 pep chromosome:Prunus_persica_NCBIv2:G1:31002082:31012915:-1 gene:PRUPE_1G325100 transcript:ONI31687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVSVVQSCFSGNGLRSAIAFEAEKGYRNALGRKMRFSNFVFSKISKLCFRSKHTFVKDALKEVDSYGIASISDRSKLLNKVSVLMGYDSLHNLIENERAEKQSGMYVKDAVDEFDVSLACRRFPSIILSSSPRVELYDGTTSFTERMLLETQSCEGFLSDTMGEMQSTTLVPVKNSYQPVPTEESSKKVSLESQKDAVSVQLSLDSSISCIHGISKKRCHQLENCGFHTLRKLLHHFPRTYADLQNAQIKIDDGQYLIFIGKVLNSRGIKASSTFSIFEVVVGCEITDNESTEHMNDFGDSRRKKTIYLHLKKFFRGTRFTSVPFLRIVEDKHKEGDFVCVSGKVRTMPSKDHYEMREYNIDVLKDENEASFHAKGRPYPIYPSKGGLNPNFLRDIIERVVQVLPVNVDPIPKNIILDFRLLSLQDAYTGIHQPKSINEADLARKRLIFDEFFYLQLGRLYQMLEGLGTQIEKDGLLDKYRKPESSAAYMEEWSSLTKKFSKTLPYTLTPSQLTAVSEIIWDLRQPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLNNLLENIEDFECKPSIALLTGSTPSKQSRIIHKGLQTGDISMVIGTTSLIADKVEFSALRIAVVDEQQRFGVIQRGRFNSKLYCTSISSRMLATNSDVTSKNDKHMAPHILAMSATPIPRTLALALYGDMSLTQITDLPPGRTPVETFIIEGNDNGFEDVYEMMLDELKVGGKVYLVYPVIEQSEQLPQLRAASADFEFISNRFQGYTCGLLHGRMKSDEKDEALRKFRLGETDILLSTQVIEIGVDVPDASMMVVMNADRFGIAQLHQLRGRVGRGVRKSKCILLASSVSSLTRLKVLGKSSDGFYLANMDLLLRGPGNLLGKKQSGHLPEFPIARLEVDGNILQEAHLAALKVLGVSHDLEQFPLLKTELSMRQPLSILGD >ONI31250 pep chromosome:Prunus_persica_NCBIv2:G1:29633634:29634840:1 gene:PRUPE_1G301400 transcript:ONI31250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAALGSDQLKQLKDIFMRFDMDSDGSLTQLELAALLRSLGVKPTGDQLHVLLANMDANGNGTVEFDELVTAILPDMNAEILINQEQLTEVFRSFDRDGNGYITAAELAGSMAKMGHPLTYRELSDMMQEADTNGDGVISFSEFATIMSRSAADFLGV >ONI34542 pep chromosome:Prunus_persica_NCBIv2:G1:40496754:40501577:-1 gene:PRUPE_1G486400 transcript:ONI34542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYCLLAGSPVDANAHYTTALELARLTGDFFWYAGALEGNVCALLIDRMGEKDSAVEDEVRFRYSSVISHYRKSFIQEHAQRVSPLTFELEATLKMARFLCRRELAKEVVGYLTSAADGAKSLIDASDRLVLYVEIARLYGTLGYQRKAAFFSRQVAQLYLQQDNRLAAISAMQVLAMTTRAYRVQSRASAEDSPSKKEIGSSLAEGGKMLHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWGAAARLLRSYYPLITPAGQNGLASALSNSADRLPSGTRCADPALPFIRLYSFPMHPSQMDIVKRNPAREDWWAGAANTGPFIYTPFSKGDANTNTKQELIWIVGEPVQILVELANPCGFDLRVDSIYLAVPSGNFDAFPVTVNLPPNSSKVVTLSGIPTSVGTVTIPGCTVHCFGVITEHLFKDVDNLLLGATQGLVLSDPFRCCGSARLKNISVPNISVVPPLPLLVSRVVGGDGAIILHEGEIHDLWISLANAGTVPVEQAHVSLSGKNQDSVISIASETLNSALPLRPGAEVTLPVTLRAWRHVLADADTAGRSGSGGTVRHSKDGSNPTLLIHYAGPLTNIGDPATNKSAVPPGRRLVVPLQICVLQGLSFVKARLLSMEIPAQVGENLPKPVHIEDSPTEALSSPTKMDRLVKIDPFRGSWGLRFLELELSNPTDVVFEITVSVQLENFSHDHRLSGDRDAAEYGYPKTRIDRDCSARVLIPLEHFKLPVLDDSFFVKDNLADGANSGRNSSFSERNTKAELNASIKNLISKIKVRWQSGRNSSGELNIKDAIQAALQTSVMDVLLPDPLTFCFRLSRYALEPENSSSHNSPNVQVHSAAAKGSVLAHEMTPMEVVVRNNTKEKIKMSLSITCRDVAGENCVEGTKATVLCSGVLSGINVEVPSLQEIKHSFSLYFLVPGEYTLVAASVIDDANDILRARARTKSSDEPIFCRGPPYHVRVVGTA >ONI34541 pep chromosome:Prunus_persica_NCBIv2:G1:40496323:40502732:-1 gene:PRUPE_1G486400 transcript:ONI34541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYCLLAGSPVDANAHYTTALELARLTGDFFWYAGALEGNVCALLIDRMGEKDSAVEDEVRFRYSSVISHYRKSFIQEHAQRVSPLTFELEATLKMARFLCRRELAKEVVGYLTSAADGAKSLIDASDRLVLYVEIARLYGTLGYQRKAAFFSRQVAQLYLQQDNRLAAISAMQVLAMTTRAYRVQSRASAEDSPSKEIGSSLAEGGKMLHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWGAAARLLRSYYPLITPAGQNGLASALSNSADRLPSGTRCADPALPFIRLYSFPMHPSQMDIVKRNPAREDWWAGAANTGPFIYTPFSKGDANTNTKQELIWIVGEPVQILVELANPCGFDLRVDSIYLAVPSGNFDAFPVTVNLPPNSSKVVTLSGIPTSVGTVTIPGCTVHCFGVITEHLFKDVDNLLLGATQGLVLSDPFRCCGSARLKNISVPNISVVPPLPLLVSRVVGGDGAIILHEGEIHDLWISLANAGTVPVEQAHVSLSGKNQDSVISIASETLNSALPLRPGAEVTLPVTLRAWRHVLADADTAGRSGSGGTVRHSKDGSNPTLLIHYAGPLTNIGDPATNKSAVPPGRRLVVPLQICVLQGLSFVKARLLSMEIPAQVGENLPKPVHIEDSPTEALSSPTKMDRLVKIDPFRGSWGLRFLELELSNPTDVVFEITVSVQLENFSHDHRLSGDRDAAEYGYPKTRIDRDCSARVLIPLEHFKLPVLDDSFFVKDNLADGANSGRNSSFSERNTKAELNASIKNLISKIKVRWQSGRNSSGELNIKDAIQAALQTSVMDVLLPDPLTFCFRLSRYALEPENSSSHNSPNVQVHSAAAKGSVLAHEMTPMEVVVRNNTKEKIKMSLSITCRDVAGENCVEGTKATVLCSGVLSGINVEVPSLQEIKHSFSLYFLVPGEYTLVAASVIDDANDILRARARTKSSDEPIFCRGPPYHVRVVGTA >ONI34539 pep chromosome:Prunus_persica_NCBIv2:G1:40496323:40502732:-1 gene:PRUPE_1G486400 transcript:ONI34539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDVSIETSSMIRVAVLPIGHVPPVLLRDYHSMLLRLQTIPLSAISSFYTEHQKSPFSNQPWDSGSLRFKFIVGGAPPSPWEDFQSNRKTLAVIGICHCPSSPDLDSVIDQFDSARRAYASALVDRCFAFCPGDSQLEDGSKKGGNLMLFPPADRATQEFHLQTMMQDIAASLLMEFEKWVLKAEPAGTIVKTPLDSQATLNSEEVIKAKKRRLGRAQKTMGDYCLLAGSPVDANAHYTTALELARLTGDFFWYAGALEGNVCALLIDRMGEKDSAVEDEVRFRYSSVISHYRKSFIQEHAQRVSPLTFELEATLKMARFLCRRELAKEVVGYLTSAADGAKSLIDASDRLVLYVEIARLYGTLGYQRKAAFFSRQVAQLYLQQDNRLAAISAMQVLAMTTRAYRVQSRASAEDSPSKEIGSSLAEGGKMLHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWGAAARLLRSYYPLITPAGQNGLASALSNSADRLPSGTRCADPALPFIRLYSFPMHPSQMDIVKRNPAREDWWAGAANTGPFIYTPFSKGDANTNTKQELIWIVGEPVQILVELANPCGFDLRVDSIYLAVPSGNFDAFPVTVNLPPNSSKVVTLSGIPTSVGTVTIPGCTVHCFGVITEHLFKDVDNLLLGATQGLVLSDPFRCCGSARLKNISVPNISVVPPLPLLVSRVVGGDGAIILHEGEIHDLWISLANAGTVPVEQAHVSLSGKNQDSVISIASETLNSALPLRPGAEVTLPVTLRAWRHVLADADTAGRSGSGGTVRHSKDGSNPTLLIHYAGPLTNIGDPATNKSAVPPGRRLVVPLQICVLQGLSFVKARLLSMEIPAQVGENLPKPVHIEDSPTEALSSPTKMDRLVKIDPFRGSWGLRFLELELSNPTDVVFEITVSVQLENFSHDHRLSGDRDAAEYGYPKTRIDRDCSARVLIPLEHFKLPVLDDSFFVKDNLADGANSGRNSSFSERNTKAELNASIKNLISKIKVRWQSGRNSSGELNIKDAIQAALQTSVMDVLLPDPLTFCFRLSRYALEPENSSSHNSPNVQVHSAAAKGSVLAHEMTPMEVVVRNNTKEKIKMSLSITCRDVAGENCVEGTKATVLCSGVLSGINVEVPSLQEIKHSFSLYFLVPGEYTLVAASVIDDANDILRARARTKSSDEPIFCRGPPYHVRVVGTA >ONI34540 pep chromosome:Prunus_persica_NCBIv2:G1:40496754:40502521:-1 gene:PRUPE_1G486400 transcript:ONI34540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDVSIETSSMIRVAVLPIGHVPPVLLRDYHSMLLRLQTIPLSAISSFYTEHQKSPFSNQPWDSGSLRFKFIVGGAPPSPWEDFQSNRKTLAVIGICHCPSSPDLDSVIDQFDSARRAYASALVDRCFAFCPGDSQLEDGSKKGGNLMLFPPADRATQEFHLQTMMQDIAASLLMEFEKWVLKAEPAGTIVKTPLDSQATLNSEEVIKAKKRRLGRAQKTMGDYCLLAGSPVDANAHYTTALELARLTGDFFWYAGALEGNVCALLIDRMGEKDSAVEDEVRFRYSSVISHYRKSFIQEHAQRVSPLTFELEATLKMARFLCRRELAKEVVGYLTSAADGAKSLIDASDRLVLYVEIARLYGTLGYQRKAAFFSRQVAQLYLQQDNRLAAISAMQVLAMTTRAYRVQSRASAEDSPSKKEIGSSLAEGGKMLHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWGAAARLLRSYYPLITPAGQNGLASALSNSADRLPSGTRCADPALPFIRLYSFPMHPSQMDIVKRNPAREDWWAGAANTGPFIYTPFSKGDANTNTKQELIWIVGEPVQILVELANPCGFDLRVDSIYLAVPSGNFDAFPVTVNLPPNSSKVVTLSGIPTSVGTVTIPGCTVHCFGVITEHLFKDVDNLLLGATQGLVLSDPFRCCGSARLKNISVPNISVVPPLPLLVSRVVGGDGAIILHEGEIHDLWISLANAGTVPVEQAHVSLSGKNQDSVISIASETLNSALPLRPGAEVTLPVTLRAWRHVLADADTAGRSGSGGTVRHSKDGSNPTLLIHYAGPLTNIGDPATNKSAVPPGRRLVVPLQICVLQGLSFVKARLLSMEIPAQVGENLPKPVHIEDSPTEALSSPTKMDRLVKIDPFRGSWGLRFLELELSNPTDVVFEITVSVQLENFSHDHRLSGDRDAAEYGYPKTRIDRDCSARVLIPLEHFKLPVLDDSFFVKDNLADGANSGRNSSFSERNTKAELNASIKNLISKIKVRWQSGRNSSGELNIKDAIQAALQTSVMDVLLPDPLTFCFRLSRYALEPENSSSHNSPNVQVHSAAAKGSVLAHEMTPMEVVVRNNTKEKIKMSLSITCRDVAGENCVEGTKATVLCSGVLSGINVEVPSLQEIKHSFSLYFLVPGEYTLVAASVIDDANDILRARARTKSSDEPIFCRGPPYHVRVVGTA >ONI31613 pep chromosome:Prunus_persica_NCBIv2:G1:30809928:30811578:1 gene:PRUPE_1G322000 transcript:ONI31613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLLVSLILLSLLSLSSSLPNQAVLDAAEILSDSGFVSMALTLELVSQSLVPQSPSLTIFAPPDTAFTRSGQPSLSLLQIHFCPLPLPLQTLKALPAGTKIPTLLSGHSLIVTTPSSGAPISLNNVKITSAAPLYDDGFLIIFGVDKFFDANFQLPIPIRSPVPDPVCESSTSSSSANVTTTIGFPGASWFEGASAVLRSNGYNVMASFLDLQLVGFKNPNSMTVFAPLDQAIENPLQYPSIFLRHVVPCRLLWSDLVRFNEGTVLPTYMEGFTITISRSGDVLLLNGVPVFFANMYYSDSLVVHGLRESLVMLEMPEVADESSPESGTNDEVPFDNTEF >ONI30224 pep chromosome:Prunus_persica_NCBIv2:G1:25315487:25317408:1 gene:PRUPE_1G238500 transcript:ONI30224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVYNHLAPLFLFLLQWIDCSCTCLLPRYLNFFHILVYKVYTDGRPTISTHGRKATIRDFYSVILPSLQRLHGDLGELDDAKEGYPSMESSGKKMIRGDGSLVNAELEREEECGICLEPCTKVVLPNCCHAMCIKCYRNWNRKSESCPFCRGNIKRVNSQDLWVLTCNEDVVDTETVNKEDLLRFYLYINSLPKDYPDALFLVYYEYSNLF >ONI30223 pep chromosome:Prunus_persica_NCBIv2:G1:25314689:25317408:1 gene:PRUPE_1G238500 transcript:ONI30223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIYYQPSYQESLNVLEADIQHANSLAAAIPRGKGGARFQMKLVYNHLAPLFLFLLQWIDCSCTCLLPRYLNFFHILVYKVYTDGRPTISTHGRKATIRDFYSVILPSLQRLHGDLGELDDAKEGYPSMESSGKKMIRGDGSLVNAELEREEECGICLEPCTKVVLPNCCHAMCIKCYRNWNRKSESCPFCRGNIKRVNSQDLWVLTCNEDVVDTETVNKEDLLRFYLYINSLPKDYPDALFLVYYEYSNLF >ONI34243 pep chromosome:Prunus_persica_NCBIv2:G1:39180283:39181682:-1 gene:PRUPE_1G470500 transcript:ONI34243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHPCPPNGDNEKKEIMEKRKQQLQTTVDKQIDRVNELQTSAFNLANYYFVFQGIILGVIVSGTRALRCSDRWFLFSLSLIAAILNLVSLLVIGGNYKRSVMQRNRTKSERNKLESDLEKLETSHSDHGLKNNILSYWSSIKIEASGQVTCQDPTSVEIKDEPEQRQVVPVDNYQKKLHDFYFLLCMGLFICFSVVVITPLYYERGQVPKTFFLNGPVSSAD >ONI35248 pep chromosome:Prunus_persica_NCBIv2:G1:43041741:43043378:-1 gene:PRUPE_1G525400 transcript:ONI35248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSPPFLRVSHELQVKRSHFPRDFAFGVSTSAAQIEGSTKEGGRGPSVWDHFIEKNPEIIYDHSNLFTAIDSYKRYKEDVKAVKDLGVDSYRFSISWTRILPNGTLSGGINQEGIDYYNNLIDEVIKNGLTPYVTIYHFDAPQALEDKYGGFLNRSIVNDFKDYCEICFKTFGDRVKNWITINEPYIIAVMGYDSGVSPPGRCSVPSLFPCTSGNSSTEPYIVTHNLLLAHATAVRLYREKFQEKQGGQIGISLVGQYAEPYSESLLDRAAAIRVLDFQLGWYMEPLVSGQYPRSMRVLVKERLPKFNKEEKKLINGSFDFIGINYYTARYAKHDPISPNKAMCYRNDALALSLVENIDGDQIGPPAKGSFMIYSYPQGLEKLLVFMKQNYQNPKIYISENGISEVEEEENGLDGALRDPHRIQSVLRHLFWINKAMEKGVNVKGYFCWTPFDNFEWGMGYTQKFGLYYVDHKDNLKRIPKQSAKWLPIFLNGQDELQLRHELPNILSTILL >ONI27008 pep chromosome:Prunus_persica_NCBIv2:G1:4458853:4459233:1 gene:PRUPE_1G062600 transcript:ONI27008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWIIIISLIFALITMCEGTICGIINGLVPKADVLVHCKSEQDDLGVHLIHYNATYEFEFKPNIWGTTQFYCSFTWPSRIEWFDIYKHQRDELLYCLWMVKPDGPCRYNRFSKSFADCYKWNNKI >ONI32561 pep chromosome:Prunus_persica_NCBIv2:G1:33957867:33962799:-1 gene:PRUPE_1G373400 transcript:ONI32561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRLPSTAVSFSWRRSRLPNLVPTQTVQMVQTSLESLSVCPNKVCMIAFCYSTSPQISADLTDSIPQPPSKQGPLQPGLYLVGTPIGNLEDITLRALRVLKSAHVILSEDTRHSGKLLHHYNIKTPLLSYHKFNETQREQTVLKRLKEGEIVALISDAGMPGISDPGTELAKLCVHENIPVIPIPGPSAFVAALSASGLSTDEFTFVGFLPKHAGSRRERLMVSANEATTQIFYVPPHKLRQFLEEASSLFGVTRQCVIAREITKVHEEFWRGTLGEAEEVFSTRTPRGEITVLVERKENSPVETPSESQLEDELRDLISNGHSLSTAVKLVADGTSVRKKTIYSIALRKFGKQLGSESHEDPCKRQLE >ONI26209 pep chromosome:Prunus_persica_NCBIv2:G1:759935:761997:-1 gene:PRUPE_1G009700 transcript:ONI26209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSALKTIFNLYVILRTVAAADKAFADPNKWTQMSILSTAGSGRFSSDRTIRDYVEKTWGIEPCRFPSDG >ONI26208 pep chromosome:Prunus_persica_NCBIv2:G1:760151:760543:-1 gene:PRUPE_1G009700 transcript:ONI26208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDGFFGFKDHFQSLCDTADGGKDFYLLGSDFESYLEAQLIISAAADKAFADPNKWTQMSILSTAGSGRFSSDRTIRDYVEKTWGIEPCRFPSDG >ONI29884 pep chromosome:Prunus_persica_NCBIv2:G1:23413846:23414223:-1 gene:PRUPE_1G219600 transcript:ONI29884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LASVAHGDSGATPGKKYFIRIVNNLDNKQLAFVCKSADDVISHSLPHRGDQFEFGFRLNFFGTTLYFCNLKYQNHHVVFDAWKLDKQLLRLCGGGVHCIWRAQEDGIYLFNTQWGNWLRKYVWDN >ONI33914 pep chromosome:Prunus_persica_NCBIv2:G1:38076720:38079433:1 gene:PRUPE_1G452800 transcript:ONI33914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRKLQKIREKMLWKWYQNSLAVHPIKTQVISSGLIWGFGDIAAQTITHSTAKAQRQIQAQGEDEDEELKINWRRVCTTSLFGFGFVGPVGHLWYEGLDRYIRSRLLLQPKSFRFVASKVAIDGFLFGPLDLLVFFTYMGFSAGKSVPQIKEDVKRDFLPAFVLEGGIWPIVQVVNFRFIPVRYQLLYVNFFCLLDSSFLSWLEQQEDAPWKQWLKSLVHFNKQKDEGG >ONI33916 pep chromosome:Prunus_persica_NCBIv2:G1:38077310:38078956:1 gene:PRUPE_1G452800 transcript:ONI33916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLWKWYQNSLAVHPIKTQVISSGLIWGFGDIAAQTITHSTAKAQRQIQAQGEDEDEELKINWRRVCTTSLFGFGFVGPVGHLWYEGLDRYIRSRLLLQPKSFRFVASKVAIDGFLFGPLDLLVFFTYMGFSAGKSVPQIKEDVKRDFLPAFVLEGGIWPIVQVVNFRFIPVRYQLLYVNFFCLLDSSFLSWLEQQEDAPWKQWLKSLVHFNKQKDEGG >ONI33915 pep chromosome:Prunus_persica_NCBIv2:G1:38076720:38079433:1 gene:PRUPE_1G452800 transcript:ONI33915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLWKWYQNSLAVHPIKTQVISSGLIWGFGDIAAQTITHSTAKAQRQIQAQGEDEDEELKINWRRVCTTSLFGFGFVGPVGHLWYEGLDRYIRSRLLLQPKSFRFVASKVAIDGFLFGPLDLLVFFTYMGFSAGKSVPQIKEDVKRDFLPAFVLEGGIWPIVQVVNFRFIPVRPC >ONI35037 pep chromosome:Prunus_persica_NCBIv2:G1:42110935:42115180:-1 gene:PRUPE_1G511600 transcript:ONI35037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPTEVLSVELQQHPHHVEDTTGRVERAQWLLNSPEPPGLWQQLLHGIKSNVFPQGNNYSSKQKTPASRVFSFLRGLFPILSWGRNYKASKFKNDVMAGLTLASLSVPQSIGYANLAKLDPQYGLYTSIVPPLVYSLMGSSRELAIGPVAVVSMLLASLVQKIEDPVANPVAYRKLIFTVTFFAGIFQAAFGIFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGINHFTTNTDVVSVLESVFNSIVHEPWYPLNIVLGCAFLIFLLLTRFIGKRNKKLFWLPAIAPLISVLLSTLIVFLTKADKHGVKIVKHIKGGLNPSSAHQLQLGGPHVGQAAKAGLISAVIALAEAIAVGRSFASIKGYHLDGNKEMIAMGCMNIAGSLTSCYVSTGSFSRTAVNFSAGCETVVSNIVMALTVILSVELLTRLLYFTPIAILASIILSALPGLVDITGAYHIWKVDKLDFLACIGAFFGVLFASAEIGLLAAVSISFAKILVNSLRPGIEVLGRLPRTDIFCNINQYPMATKTPSILIIGINSSLLCFANANSVRERVMRSVTKEENETEDQKEKGRIQHVILDMSNVINVDTSGILALEEIHNKLFSYGIELAMANPRWQVIHRLKVAKLLDRIGGERVFLTVGEAVDACLNPKVAGGSSC >ONI35038 pep chromosome:Prunus_persica_NCBIv2:G1:42110652:42116095:-1 gene:PRUPE_1G511600 transcript:ONI35038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPTEVLSVELQQHPHHVEDTTGRVERAQWLLNSPEPPGLWQQLLHGIKSNVFPQGNNYSSKQKTPASRVFSFLRGLFPILSWGRNYKASKFKNDVMAGLTLASLSVPQSIGYANLAKLDPQYGLYTSIVPPLVYSLMGSSRELAIGPVAVVSMLLASLVQKIEDPVANPVAYRKLIFTVTFFAGIFQAAFGIFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGINHFTTNTDVVSVLESVFNSIVHEPWYPLNIVLGCAFLIFLLLTRFIGKRNKKLFWLPAIAPLISVLLSTLIVFLTKADKHGVKIVKHIKGGLNPSSAHQLQLGGPHVGQAAKAGLISAVIALAEAIAVGRSFASIKGYHLDGNKEMIAMGCMNIAGSLTSCYVSTGSFSRTAVNFSAGCETVVSNIVMALTVILSVELLTRLLYFTPIAILASIILSALPGLVDITGAYHIWKVDKLDFLACIGAFFGVLFASAEIGLLAAVSISFAKILVNSLRPGIEVLGRLPRTDIFCNINQYPMATKTPSILIIGINSSLLCFANANSVRERVMRSVTKEENETEDQKEKGRIQHVILDMSISYGQPKMASDSQAKGGQIVRQNWRGEGFPHCW >ONI27010 pep chromosome:Prunus_persica_NCBIv2:G1:4465594:4465977:1 gene:PRUPE_1G062800 transcript:ONI27010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWIIIILLIFALITTCEGMTCGIINGLLNPKSDVLVHCKSENDDLGVHQLQYNASFEFHFSPNFWGTTQFYCSFVWPSRIEWFDVYKHKRDAFDLCLWMVKHDGPCRFDHGHQSFDDCFKWNNRI >ONI32392 pep chromosome:Prunus_persica_NCBIv2:G1:33524060:33526788:-1 gene:PRUPE_1G365000 transcript:ONI32392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEEDAKPVKVQREVKEEEEDDKMSLGTIMLNHKKKSTKIMNNANGNSTSAAREAKVKKEEQLDNDSDKPTKAKPKQEPRVKKEEKNNDSEDERGSNAKRSSNAMPDKELKTRTKKMEEEEEEEKKKKKKGSEVIQEQKKKEKKVPDATTELKKREKKEKKVYDLPGQKRDPPDERDPLRIFYETLYEQVPKSEMAQFWLMECGLLSKEEAKRVFEKKQKRSQQQNLGSPMKAVASVKKSTQSVTVKKPSTPVPSNQKKSTVSKDASTQSKKRKNEDRSSESDSDDDFALSTRLKKKRQAA >ONI28321 pep chromosome:Prunus_persica_NCBIv2:G1:10807270:10809963:1 gene:PRUPE_1G138100 transcript:ONI28321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPYTAIQFAVLHQLKTFAAGSSKTEDHIYLSPYLSYISGALAGCAATVGSYPFDLLRTLLASQGEPKVYPTMRTALVEIVKTRGFRGLYAGLSPTLVEIIPYAGLQFGTYDTFKRWTMTWNLYRSSNTNLQSREDGLSSFQLFLCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHRAYRNMFDALRRILQKEGWAGLYKGIVPSTVKAAPAGAVTFVAYEYTSDWLESALT >ONI28320 pep chromosome:Prunus_persica_NCBIv2:G1:10806578:10809963:1 gene:PRUPE_1G138100 transcript:ONI28320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETGQLKRAFIDATAGLIAGGISRTVTSPLDVIKIRFQVQLEPTTSWALLRKNLSQPSKYTGMLQATRDIFREEGLPGFWRGNVPALLMVMPYTAIQFAVLHQLKTFAAGSSKTEDHIYLSPYLSYISGALAGCAATVGSYPFDLLRTLLASQGEPKVYPTMRTALVEIVKTRGFRGLYAGLSPTLVEIIPYAGLQFGTYDTFKRWTMTWNLYRSSNTNLQSREDGLSSFQLFLCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHRAYRNMFDALRRILQKEGWAGLYKGIVPSTVKAAPAGAVTFVAYEYTSDWLESALT >ONI28319 pep chromosome:Prunus_persica_NCBIv2:G1:10806260:10809963:1 gene:PRUPE_1G138100 transcript:ONI28319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETGQLKRAFIDATAGLIAGGISRTVTSPLDVIKIRFQVQLEPTTSWALLRKNLSQPSKYTGMLQATRDIFREEGLPGFWRGNVPALLMVMPYTAIQFAVLHQLKTFAAGSSKTEDHIYLSPYLSYISGALAGCAATVGSYPFDLLRTLLASQGEPKVYPTMRTALVEIVKTRGFRGLYAGLSPTLVEIIPYAGLQFGTYDTFKRWTMTWNLYRSSNTNLQSREDGLSSFQLFLCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHRAYRNMFDALRRILQKEGWAGLYKGIVPSTVKAAPAGAVTFVAYEYTSDWLESALT >ONI36005 pep chromosome:Prunus_persica_NCBIv2:G1:46083142:46086925:1 gene:PRUPE_1G564200 transcript:ONI36005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGKKAKWEWGKKLNGNGDENGIHTSDSTHYISFLKIKNLQIFSHSSSFCFSTVTVATVTVQLPNHKIDIVVHWMATLPSRKMFISSSSIKPTQDPIQLATDELESKLRNGEAFSAIFFHLLATPARNPSSQASEAKLNHRRDLQEVQLARTSSSRVCRNPPPGHLLAPTLFPSCRISCNLLKFL >ONI30287 pep chromosome:Prunus_persica_NCBIv2:G1:25596137:25599602:1 gene:PRUPE_1G242200 transcript:ONI30287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGDEDNDAVLSDVEGDDSVPVAIKTPSPDEISAERFRELVAELDRERQAREAVENSKSDLQIQFNRLKALAHEAIKKRDEWGRQRDEALRDKEEASKTNEKVSAELAESNRAKDEALQQRDEIAKQLDEVVKERDGLRSDIGNSTHMLMSGIDKISGKVSNFKNFGVGGLPRSQKYTTGLPAVAYGVIKRTNEIVEELVRQIDSTAKSRNETREQMDQRNYEIAIEISQLEATIGGLREEVVKKTSIVEKLEKSMAEKNGKVSEIEREMEEKLSKAESEVSELKQLVGEYDDKLTNLDSKMEAQRPLLFDQLDLVSKIHDRLYHVMRIVDANNLDQSEFSESLFLPQETDMEENIRATLAGMESIYELTRIVIEKTRDLTEEKNREIKSLDETVNRLVKEKEQIGSLLRSALSKRITSSPSSKTSELFQVAENGLREAGIDFKFSKHVGDGKVDTLETEEDEIYALAGALENIVKASQLEIIDLQHSLEELRAELSLLKQHVEAQAKELDHRMRRIEELEEKERVANESVEGLMMDIAAAEEEIARWKAAAEQEAAAGTGVEQEFVAQLSALKLELEEAKQAIVESEKKLKFKEETADAAMAARDAAEKSLKLADLRASRLRDRVEELTRQLEEFESREDSRRGLSGPRYVCWPWQWLGLDFVGVSRSDIQQESSSNEMELSEPLL >ONI30286 pep chromosome:Prunus_persica_NCBIv2:G1:25596127:25599657:1 gene:PRUPE_1G242200 transcript:ONI30286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGDEDNDAVLSDVEGDDSVPVAIKTPSPDEISAERFRELVAELDRERQAREAVENSKSDLQIQFNRLKALAHEAIKKRDEWGRQRDEALRDKEEASKTNEKVSAELAESNRAKDEALQQRDEIAKQLDEVVKERDGLRSDIGNSTHMLMSGIDKISGKVSNFKNFGVGGLPRSQKYTTGLPAVAYGVIKRTNEIVEELVRQIDSTAKSRNETREQMDQRNYEIAIEISQLEATIGGLREEVVKKTSIVEKLEKSMAEKNGKVSEIEREMEEKLSKAESEVSELKQLVGEYDDKLTNLDSKMEAQRPLLFDQLDLVSKIHDRLYHVMRIVDANNLDQSEFSESLFLPQETDMEENIRATLAGMESIYELTRIVIEKTRDLTEEKNREIKSLDETVNRLVKEKEQIGSLLRSALSKRITSSPSSKTSELFQVAENGLREAGIDFKFSKHVGDGKVDTLETEEDEIYALAGALENIVKASQLEIIDLQHSLEELRAELSLLKQHVEAQAKELDHRMRRIEELEEKERVANESVEGLMMDIAAAEEEIARWKAAAEQEAAAGTGVEQEFVAQLSALKLELEEAKQAIVESEKKLKFKEETADAAMAARDAAEKSLKLADLRASRLRDRVEELTRQLEEFESREDSRRGLSGPRYVCWPWQWLGLDFVGVSRSDIQQESSSNEMELSEPLL >ONI32949 pep chromosome:Prunus_persica_NCBIv2:G1:35118378:35122204:-1 gene:PRUPE_1G395600 transcript:ONI32949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQTAATNDRSRTYWTPAMERYFIDLMLEQMHRGTRSGHTFNKQAWTDMLTMFNGKFDSHYDKDVLKSRYTSLWKQFNDVKNILGQSGFSWDEACQMVVADDYIWDAYIKVHPDARPYKTKAVLNFSDLCLIYGYTTADGRYSRSSHDLDFDDEVQGVAMGDGMGTLAPSSSERPRTDWSPAMDQYFVDLMLDQVGRGNKTDNTFNKKAWTDMLAKFNEEFGPQHGKRVLRHRYKKLLKYYSDAATLLRKNDFSWDEKRNMIVADDDVWDAYTKAHPHSRTYRTKTLPNYYDMFLIFGSEPDLGIDNHLHPQKDVDDISKVKVGEGKGGQTPTVSDRTRTYWTPPMDRYLIDLLLDQVHRGNKLGQTFITRAWIDMVTSFNARFRSHHDKDVLKNRYKHLRRQYNDIKNLLEHGGFLWDESREMIAAEDNVWDGYVKNHPDARQYRVKTVPGYNKLCVIFGEENSDGRYSRLACNSDPCGELPFLMTGEEKNDQSHTGVPLRMDWTPAMDRYFIDLMLDQLHQGNKIDHTFNEQAWAHMLESFNMKFGLQCDKYVLEDRYLCLVKQHDYISILLNHSGFMWDESQQMITADNDTWEAYIKEHPDAIQYRNAFLGSYSDLSKIFINTELDGKFSGQGVGMEAGLVALEIEMDRASGDLQLLAEDIEMSDQQRKRPTVMPSNSGRSTKAQKTDKDMQKALAEMAGLVTKLANNKEDSNYKSIESAIDALQAIPDMDDELMLDACDLLEDERKAKTFLALDAALRKKWLLRKLRPRK >ONI32950 pep chromosome:Prunus_persica_NCBIv2:G1:35118378:35121913:-1 gene:PRUPE_1G395600 transcript:ONI32950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVADDYIWDAYIKVHPDARPYKTKAVLNFSDLCLIYGYTTADGRYSRSSHDLDFDDEVQGVAMGDGMGTLAPSSSERPRTDWSPAMDQYFVDLMLDQVGRGNKTDNTFNKKAWTDMLAKFNEEFGPQHGKRVLRHRYKKLLKYYSDAATLLRKNDFSWDEKRNMIVADDDVWDAYTKAHPHSRTYRTKTLPNYYDMFLIFGSEPDLGIDNHLHPQKDVDDISKVKVGEGKGGQTPTVSDRTRTYWTPPMDRYLIDLLLDQVHRGNKLGQTFITRAWIDMVTSFNARFRSHHDKDVLKNRYKHLRRQYNDIKNLLEHGGFLWDESREMIAAEDNVWDGYVKNHPDARQYRVKTVPGYNKLCVIFGEENSDGRYSRLACNSDPCGELPFLMTGEEKNDQSHTGVPLRMDWTPAMDRYFIDLMLDQLHQGNKIDHTFNEQAWAHMLESFNMKFGLQCDKYVLEDRYLCLVKQHDYISILLNHSGFMWDESQQMITADNDTWEAYIKEHPDAIQYRNAFLGSYSDLSKIFINTELDGKFSGQGVGMEAGLVALEIEMDRASGDLQLLAEDIEMSDQQRKRPTVMPSNSGRSTKAQKTDKDMQKALAEMAGLVTKLANNKEDSNYKSIESAIDALQAIPDMDDELMLDACDLLEDERKAKTFLALDAALRKKWLLRKLRPRK >ONI32954 pep chromosome:Prunus_persica_NCBIv2:G1:35116538:35122266:-1 gene:PRUPE_1G395600 transcript:ONI32954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQTAATNDRSRTYWTPAMERYFIDLMLEQMHRGTRSGHTFNKQAWTDMLTMFNGKFDSHYDKDVLKSRYTSLWKQFNDVKNILGQSGFSWDEACQMVVADDYIWDAYIKVHPDARPYKTKAVLNFSDLCLIYGYTTADGRYSRSSHDLDFDDEVQGVAMGDGMGTLAPSSSERPRTDWSPAMDQYFVDLMLDQVGRGNKTDNTFNKKAWTDMLAKFNEEFGPQHGKRVLRHRYKKLLKYYSDAATLLRKNDFSWDEKRNMIVADDDVWDAYTKAHPHSRTYRTKTLPNYYDMFLIFGSEPDLGIDNHLHPQKDVDDISKVKVGEGKGGQTPTVSDRTRTYWTPPMDRYLIDLLLDQVHRGNKLGQTFITRAWIDMVTSFNARFRSHHDKDVLKNRYKHLRRQYNDIKNLLEHGGFLWDESREMIAAEDNVWDGYVKNHPDARQYRVKTVPGYNKLCVIFGEENSDGRYSRLACNSDPCGELPFLMTGEEKNDQSHTGVPLRMDWTPAMDRYFIDLMLDQLHQGNKIDHTFNEQAWAHMLESFNMKFGLQCDKYVLEDRYLCLVKQHDYISILLNHSGFMWDESQQMITADNDTWEAYIKN >ONI32951 pep chromosome:Prunus_persica_NCBIv2:G1:35116538:35122833:-1 gene:PRUPE_1G395600 transcript:ONI32951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLHQVLNFSDLCLIYGYTTADGRYSRSSHDLDFDDEVQGVAMGDGMGTLAPSSSERPRTDWSPAMDQYFVDLMLDQVGRGNKTDNTFNKKAWTDMLAKFNEEFGPQHGKRVLRHRYKKLLKYYSDAATLLRKNDFSWDEKRNMIVADDDVWDAYTKAHPHSRTYRTKTLPNYYDMFLIFGSEPDLGIDNHLHPQKDVDDISKVKVGEGKGGQTPTVSDRTRTYWTPPMDRYLIDLLLDQVHRGNKLGQTFITRAWIDMVTSFNARFRSHHDKDVLKNRYKHLRRQYNDIKNLLEHGGFLWDESREMIAAEDNVWDGYVKNHPDARQYRVKTVPGYNKLCVIFGEENSDGRYSRLACNSDPCGELPFLMTGEEKNDQSHTGVPLRMDWTPAMDRYFIDLMLDQLHQGNKIDHTFNEQAWAHMLESFNMKFGLQCDKYVLEDRYLCLVKQHDYISILLNHSGFMWDESQQMITADNDTWEAYIKEHPDAIQYRNAFLGSYSDLSKIFINTELDGKFSGQGVGMEAGLVALEIEMDRASGDLQLLAEDIEMSDQQRKRPTVMPSNSGRSTKAQKTDKDMQKALAEMAGLVTKLANNKEDSNYKSIESAIDALQAIPDMDDELMLDACDLLEDERKAKTFLALDAALRKKWLLRKLRPRK >ONI32953 pep chromosome:Prunus_persica_NCBIv2:G1:35116538:35122833:-1 gene:PRUPE_1G395600 transcript:ONI32953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQTAATNDRSRTYWTPAMERYFIDLMLEQMHRGTRSGHTFNKQAWTDMLTMFNGKFDSHYDKDVLKSRYTSLWKQFNDVKNILGQSGFSWDEACQMVVADDYIWDAYIKVHPDARPYKTKAVLNFSDLCLIYGYTTADGRYSRSSHDLDFDDEVQGVAMGDGMGTLAPSSSERPRTDWSPAMDQYFVDLMLDQVGRGNKTDNTFNKKAWTDMLAKFNEEFGPQHGKRVLRHRYKKLLKYYSDAATLLRKNDFSWDEKRNMIVADDDVWDAYTKAHPHSRTYRTKTLPNYYDMFLIFGSEPDLGIDNHLHPQKDVDDISKVKVGEGKGGQTPTVSDRTRTYWTPPMDRYLIDLLLDQVHRGNKLGQTFITRAWIDMVTSFNARFRSHHDKDVLKNRYKHLRRQYNDIKNLLEHGGFLWDESREMIAAEDNVWDGYVKNHPDARQYRVKTVPGYNKLCVIFGEENSDGRYSRLACNSDPCGELPFLMTGEEKNDQSHTGVPLRMDWTPAMDRYFIDLMLDQLHQGNKIDHTFNEQAWAHMLESFNMKFGLQCDKYVLEDRYLCLVKQHDYISILLNHSGFMWDESQQMITADNDTWEAYIKN >ONI32948 pep chromosome:Prunus_persica_NCBIv2:G1:35118378:35122204:-1 gene:PRUPE_1G395600 transcript:ONI32948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQTAATNDRSRTYWTPAMERYFIDLMLEQMHRGTRSGHTFNKQAWTDMLTMFNGKFDSHYDKDVLKSRYTSLWKQFNDVKNILGQSGFSWDEACQMVVADDYIWDAYIKVHPDARPYKTKAVLNFSDLCLIYGYTTADGRYSRSSHDLDFDDEVQGVAMGDGMGTLAPSSSERPRTDWSPAMDQYFVDLMLDQVGRGNKTDNTFNKKAWTDMLAKFNEEFGPQHGKRVLRHRYKKLLKYYSDAATLLRKNDFSWDEKRNMIVADDDVWDAYTKAHPHSRTYRTKTLPNYYDMFLIFGSEPDLGIDNHLHPQKDVDDISKVKVGEGKGGQTPTVSDRTRTYWTPPMDRYLIDLLLDQVHRGNKLGQTFITRAWIDMVTSFNARFRSHHDKDVLKNRYKHLRRQYNDIKNLLEHGGFLWDESREMIAAEDNVWDGYVKNHPDARQYRVKTVPGYNKLCVIFGEENSDGRYSRLACNSDPCGELPFLMTGEEKNDQSHTGVPLRMDWTPAMDRYFIDLMLDQLHQGNKIDHTFNEQAWAHMLESFNMKFGLQCDKYVLEDRYLCLVKQHDYISILLNHSGFMWDESQQMITADNDTWEAYIKEHPDAIQYRNAFLGSYSDLSKIFINTELDGKFSGQGVGMEAGLVALEIEMDRASGDLQLLAEDIEMSDQQRKRPTVMPSNSGRSTKAQKTDKDMQKALAEMAGLVTKLANNKEDSNYKSIESAIDALQAIPDMDDELMLDACDLLEDERKAKTFLALDAALRKKWLLRKLRPRK >ONI32952 pep chromosome:Prunus_persica_NCBIv2:G1:35116538:35122833:-1 gene:PRUPE_1G395600 transcript:ONI32952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAPSSSERPRTDWSPAMDQYFVDLMLDQVGRGNKTDNTFNKKAWTDMLAKFNEEFGPQHGKRVLRHRYKKLLKYYSDAATLLRKNDFSWDEKRNMIVADDDVWDAYTKAHPHSRTYRTKTLPNYYDMFLIFGSEPDLGIDNHLHPQKDVDDISKVKVGEGKGGQTPTVSDRTRTYWTPPMDRYLIDLLLDQVHRGNKLGQTFITRAWIDMVTSFNARFRSHHDKDVLKNRYKHLRRQYNDIKNLLEHGGFLWDESREMIAAEDNVWDGYVKNHPDARQYRVKTVPGYNKLCVIFGEENSDGRYSRLACNSDPCGELPFLMTGEEKNDQSHTGVPLRMDWTPAMDRYFIDLMLDQLHQGNKIDHTFNEQAWAHMLESFNMKFGLQCDKYVLEDRYLCLVKQHDYISILLNHSGFMWDESQQMITADNDTWEAYIKEHPDAIQYRNAFLGSYSDLSKIFINTELDGKFSGQGVGMEAGLVALEIEMDRASGDLQLLAEDIEMSDQQRKRPTVMPSNSGRSTKAQKTDKDMQKALAEMAGLVTKLANNKEDSNYKSIESAIDALQAIPDMDDELMLDACDLLEDERKAKTFLALDAALRKKWLLRKLRPRK >ONI31715 pep chromosome:Prunus_persica_NCBIv2:G1:31053431:31057119:1 gene:PRUPE_1G326100 transcript:ONI31715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEPPSNYQKYGVPFYVAAWAPDVRKSQPRDQDDDDDHQSTAPTETESAQSHPNQNHVVLAGGGGEGRSGVPNAILVAQFDPASVSLSHQPVAKLDTGSDLPYRMAVHPGGDGLICSFPNSCRWFEWDEESGEDPKLGLKQSDRVLDQLVDVGQQLALAFNKEGSVLAAGGEDGKLRVFKWPSMEIILNEAPAHTTVKQLDFSPDGKFLVSLGSRGPGRVWDITSSTVAASLPPEKDEVFCACRFSLISDGNYILYIADKSGSIVTWNTTTWKRIGSKTIARDIICAFDVSADGKLLACGTTQGDLVIVNSTRMQIQKVVKKAHLGFVTALSFSRDSRALASASLDSSARVSTLEDEKKTGGLNIWIIIFIILVAIAAYFLNNPEKLPSFNS >ONI31716 pep chromosome:Prunus_persica_NCBIv2:G1:31053431:31057119:1 gene:PRUPE_1G326100 transcript:ONI31716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHPGGDGLICSFPNSCRWFEWDEESGEDPKLGLKQSDRVLDQLVDVGQQLALAFNKEGSVLAAGGEDGKLRVFKWPSMEIILNEAPAHTTVKQLDFSPDGKFLVSLGSRGPGRVWDITSSTVAASLPPEKDEVFCACRFSLISDGNYILYIADKSGSIVTWNTTTWKRIGSKTIARDIICAFDVSADGKLLACGTTQGDLVIVNSTRMQIQKVVKKAHLGFVTALSFSRDSRALASASLDSSARVSTLEDEKKTGGLNIWIIIFIILVAIAAYFLNNPEKLPSFNS >ONI27609 pep chromosome:Prunus_persica_NCBIv2:G1:7589545:7590935:-1 gene:PRUPE_1G096200 transcript:ONI27609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNEVDNRHSSFESDSSSHSSSENDSSSDLDDSPGEFLLITKLNAYYSRLPKEPCMTSELSGRQFVIELLNGHPDRLFDFAIMNKNTFTNLCSTLKGLDFLQDDRSICVEGMIAEKSRQFNRILKAICQLGTPIIQPPNLDVTPPKIMENPNYHLWFKINDCIGAIDGTHISAWAPTSKQIPYRGKYYLVDSGYANMREFLAPYRGERYHLRDYRGRGRHPREAMELFYYRHSSLRNGIERCFGVLKARFPILKRIPVACCTMHNFIRMQSRNDIIFHQYQANDLQVVDEEHIHLHEDNANEMSDARDHIAEAMDGLYSESLVL >ONI26313 pep chromosome:Prunus_persica_NCBIv2:G1:1184193:1186050:-1 gene:PRUPE_1G016900 transcript:ONI26313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRMEMSLVLVLVTMFLAKAAAQSSCTNVIISMSPCLNYITGNSSTPSSGCCSQLASFVRSSPQCLCEVLNGGGSSLGINVNQTQALALPGACNVQTPPLSQCNAASPADSPAGTPESPSDGPSGTGSKNVPSTEAGSSGNSIKLSTTQLFVVLAAAYATLSMC >ONI33000 pep chromosome:Prunus_persica_NCBIv2:G1:35275776:35277215:-1 gene:PRUPE_1G398700 transcript:ONI33000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLCDSCKSATATLFCRADSAFLCVNCDSKIHAANKLASRHARVWLCEVCEQAPAHVTCKADDAALCVTCDRDIHSANPLSRRHERVPVTPFYDSGNSAANSAPVVKSVVNFLDDRYFSDVDGQDAETEVSREEAEAASWLLPNPKAMENPDLNSGEYFLPEMDPYLDLDYGHVDPKLEDAQEQNSCGTDGVVPVQSKSVQPQLVNDHSFEIDFSAASKPYVYGFHAQCLSQSVSSSSMDVSVVPDGNTTMTDRGGGVNTSGGSDFIG >ONI32999 pep chromosome:Prunus_persica_NCBIv2:G1:35275776:35277577:-1 gene:PRUPE_1G398700 transcript:ONI32999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLCDSCKSATATLFCRADSAFLCVNCDSKIHAANKLASRHARVWLCEVCEQAPAHVTCKADDAALCVTCDRDIHSANPLSRRHERVPVTPFYDSGNSAANSAPVVKSVVNFLDDRYFSDVDGQDAETEVSREEAEAASWLLPNPKAMENPDLNSGEYFLPEMDPYLDLDYGHVDPKLEDAQEQNSCGTDGVVPVQSKSVQPQLVNDHSFEIDFSAASKPYVYGFHAQCLSQSVSSSSMDVSVVPDGNTTMTDVCDPYTKSMSAAVESTHQAVQISSADREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTEVEIEAERLCRYGVVPSF >ONI27923 pep chromosome:Prunus_persica_NCBIv2:G1:8863811:8864756:-1 gene:PRUPE_1G111100 transcript:ONI27923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAKGGVKNLLLAQFKEGTSESQIDQLIQGYANLVNLIDPMKSFHWGHELSTEKQLEGYTHVFETTFESVEGVAEYIAHPAHLDFANLFLPNLEQLSFSSTADQQVITMKNPSEIQVMMMELLPDPKDQS >ONI32016 pep chromosome:Prunus_persica_NCBIv2:G1:32284479:32285872:-1 gene:PRUPE_1G344800 transcript:ONI32016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTEISNFSSFSPFRVAKKHSVPNNLDLISRSFDDALLCRLKTLTPPSISLSWLSKAVDFLALAQSEARVLISNLKVAALDDSLAWYLDDSVKLLDLCNSVSADIERFRQRRLLLTFVLHLLGDGNQSPEKLRRASKALSDFERCASDLGKRSNAEVLVRDLATGLRNVPRGKASRVGKLVRRTVHAVGLVTVFIAGGVVATIHGSPEMVKVGVPAEFSWAESLNELESEVSAELKRRFGGEKDKKQKGLLEELEDVGTRVREVCEVIDDLAGVAVEEEEEEKKRLTDVVKELERATGSFSDGLERLGNGVSEMFNGVLCNRNHMLEKVRARERGVGPKAINKT >ONI33934 pep chromosome:Prunus_persica_NCBIv2:G1:38186893:38188366:-1 gene:PRUPE_1G454300 transcript:ONI33934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLQAKAAEWSGVDSADAFAIDESNLFEKLGLQAFVNLSTNFYNRVYDDEQEWFRSIFASSKKEDAIQNQYEFFVQRMGGPNLYSQRRGHPALIARHRPFPVTHEAAERWLHHMQQALDTSSDIDAESKLKMMNFFRHTAFFLVAGDELKKNQTQAQQPPPSKHGTSNNHHACKKI >ONI27423 pep chromosome:Prunus_persica_NCBIv2:G1:6336308:6337335:-1 gene:PRUPE_1G085200 transcript:ONI27423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFELRRKMEIKGVSVPGGFDQELLFNRNLSRNPSVGCSSRIYYCRSSEGVPFNWEMQPGTPRNPPKEEAIPPISPPPAALSLGLPKPYMDQQPNKRPPTSPLPRLKFWRKSKKNKQRKNNVHDNVNYVVGGSDKFDKVEFCSSDSEFMASTSPQNSSPSSSSSFSFSKGRLSRQCSSLQSTQARESFSSSGHFSCSPWKFSSALISIARRV >ONI28163 pep chromosome:Prunus_persica_NCBIv2:G1:10007664:10008722:-1 gene:PRUPE_1G127700 transcript:ONI28163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYETEFTSVIPPARLFKAFILDADTLIPKIAPSAVKGAEIVEGDGGVGTIKKITFGEGSQFGFVKHRVDGIDKDKFSYSYTLIEGDALSDVIEKIAYETKLVASPNGGSIIKTTSHYHAKGDVVIKEEQVKAGKAKASGLFKLVEDYLTANPDAYN >ONI32928 pep chromosome:Prunus_persica_NCBIv2:G1:35067946:35069543:-1 gene:PRUPE_1G394400 transcript:ONI32928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSIVPDSVMESVKRTLSHVEEVGLHFHQVLSLSDPDLLAESPPLERAQSLLLLARATTTLFTVRLRCSGVDPDDHPVKSELERLRLYQEKLQRFVDLSKAPLRPSTTLNYQAATRFIEHSLPDLTPDQKRSMRDISRGEGSNIKYLERKVQKKRKYQSPNKQSVQSAANEFLEKAAREILGDSEGGRKGPLQANSSDEENVPMS >ONI32927 pep chromosome:Prunus_persica_NCBIv2:G1:35066609:35069830:-1 gene:PRUPE_1G394400 transcript:ONI32927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSIVPDSVMESVKRTLSHVEEVGLHFHQVLSLSDPDLLAESPPLERAQSLLLLARATTTLFTVRLRCSGVDPDDHPVKSELERLRLYQEKLQRFVDLSKAPLRPSTTLNYQAATRFIEHSLPDLTPDQKRSMRDISRGEGSNIKYLERKVQKKRKYQSPNKQSVQSAANEFLEKAAREILGDSEGGRKGPLQANSSDEENVPMS >ONI32929 pep chromosome:Prunus_persica_NCBIv2:G1:35066654:35069830:-1 gene:PRUPE_1G394400 transcript:ONI32929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSIVPDSVMESVKRTLSHVEEVGLHFHQVLSLSDPDLLAESPPLERAQSLLLLARATTTLFTVRLRCSGVDPDDHPVKSELERLRLYQEKLQRFVDLSKAPLRPSTTLNYQAATRFIEHSLPDLTPDQKRSMRDISRGEGSNIKYLERKVQKKRKYQSPNKQSVQSAANEFLEKAAREILGDSEGGRKGPLQANSSDEENVPMS >ONI32930 pep chromosome:Prunus_persica_NCBIv2:G1:35066652:35069830:-1 gene:PRUPE_1G394400 transcript:ONI32930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSIVPDSVMESVKRTLSHVEEVGLHFHQVLSLSDPDLLAESPPLERAQSLLLLARATTTLFTVRLRCSGVDPDDHPVKSELERLRLYQEKLQRFVDLSKAPLRPSTTLNYQAATRFIEHSLPDLTPDQKRSMRDISRGEGSNIKYLERKVQKKRKYQSPNKQSVQSAANEFLEKAAREILGDSEGGRKGPLQANSSDEENVPMS >ONI32926 pep chromosome:Prunus_persica_NCBIv2:G1:35066700:35069830:-1 gene:PRUPE_1G394400 transcript:ONI32926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSIVPDSVMESVKRTLSHVEEVGLHFHQVLSLSDPDLLAESPPLERAQSLLLLARATTTLFTVRLRCSGVDPDDHPVKSELERLRLYQEKLQRFVDLSKAPLRPSTTLNYQAATRFIEHSLPDLTPDQKRSMRDISRGEGSNIKYLERKVQKKRKYQSPNKQSVQSAANEFLEKAAREILGDSEGGRKGPLQANSSDEENVPMS >ONI33564 pep chromosome:Prunus_persica_NCBIv2:G1:37033241:37038449:1 gene:PRUPE_1G432700 transcript:ONI33564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRGTIGSLPEMLDFDHGSTSSDAIDQQICWNSTRNPAENRMPDYVQSPSDMNVGFVNSMGHERQHLSRWCLGEPSSSNSQTEVSRDERKPELGWSSSVDPSAEAASRLEERRYEPTNNLSLDNNVNAIFTQSSSSDAIPQNLNLNAGFAGHGGDNSQVMECPNTYKYNVSENERIRPPSSSDPFMLPSGSAGFLVGENDGRPGCSLEGRRVSCKRKAIEGNIGQSSVSGSCSYFQHTESGGRPSIPAHYNAGGSLSISAPSEQGNPRLGAGGRELTSDSLPDSNAGRSSEGSHRNFRVRINPSNQQNSIASARFSTGRAVRHSGVSSSQHSPSLLPVDHNMDLRPAPALDNMSSQNQPVVIHVPALPQNVQSLRWSGGGSSSRTGSLSNSVVFGDRDAPPREEVNSRSMARHILDHPIFVPATELRNSARHPAGASNRNLTGGNASIPGNVASASRTGSSSSVHPASAPTWVPHHNSHPQFPRRLSEYVRRSLFSATGNESGSQGTNYLPLRSAPASSAEIGNSSGAGNQGHHHSHPRSASWMERHGDGGLGVPYSLRTLAGAGEGSNRLVSEQICNVLGLMRRGESLRFEDVMILDQSVLFGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETISFRLKHKKYIAVGSQAETEPCCVCQEEYNDGEDLGMLECGHDFHSDCIKQWLKHKNLCPICKTTALAT >ONI33765 pep chromosome:Prunus_persica_NCBIv2:G1:37693382:37695424:-1 gene:PRUPE_1G445100 transcript:ONI33765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQLQRQVALKRSSLFEQQFLDSQQFVQLEDLEDDANPNFVEEIVTLFYKDSSRLFQKIEQTMQSRPIDFGKLDDYMHQFKGSSSSIGAIKVKNECSQFKEFCLAGNAEGCFWAYQRVKQEHHTLRIQLESYFQLRKQAGLVRRF >ONI33766 pep chromosome:Prunus_persica_NCBIv2:G1:37693382:37694586:-1 gene:PRUPE_1G445100 transcript:ONI33766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQFLDSQQFVQLEDLEDDANPNFVEEIVTLFYKDSSRLFQKIEQTMQSRPIDFGKLDDYMHQFKGSSSSIGAIKVKNECSQFKEFCLAGNAEGCFWAYQRVKQEHHTLRIQLESYFQLRKQAGLVRRF >ONI33764 pep chromosome:Prunus_persica_NCBIv2:G1:37693382:37695430:-1 gene:PRUPE_1G445100 transcript:ONI33764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQLQRQVALKRSSLFEQFLDSQQFVQLEDLEDDANPNFVEEIVTLFYKDSSRLFQKIEQTMQSRPIDFGKLDDYMHQFKGSSSSIGAIKVKNECSQFKEFCLAGNAEGCFWAYQRVKQEHHTLRIQLESYFQLRKQAGLVRRF >ONI36174 pep chromosome:Prunus_persica_NCBIv2:G1:46728241:46732676:-1 gene:PRUPE_1G573300 transcript:ONI36174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYELVKDIGSGNFGVARLMRNKETKELVAMKYIDRGHKIDENVAREIINHRSLRHPNIIRFREVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHSLQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKRIMAVQYKIPDYVHISQDCRHLLSRIFVANPARRITIKEIKNHPWFLKNLPRELTEAAQTIYYRKENPTFSLQSIEDIMKIVEEAKIPPPVSRSIGSFGWGEEDGDTKEEVEGEEEEDEYEKTVKEIHASGEVRVS >ONI36175 pep chromosome:Prunus_persica_NCBIv2:G1:46728676:46732208:-1 gene:PRUPE_1G573300 transcript:ONI36175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYELVKDIGSGNFGVARLMRNKETKELVAMKYIDRGHKIDENVAREIINHRSLRHPNIIRFREVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHSLQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTINRIMAVQYKIPDYVHISQDCRHLLSRIFVANPARRITIKEIKNHPWFLKNLPRELTEAAQTIYYRKENPTFSLQSIEDIMKIVEEAKIPPPVSRSIGSFGWGEEDGDTKEEVEGEEEEDEYEKTVKEIHASGEVRVS >ONI34869 pep chromosome:Prunus_persica_NCBIv2:G1:41593109:41594112:1 gene:PRUPE_1G503000 transcript:ONI34869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTARSTGTVKWFSAQKGFGFIAPDDGTEDLFVHQTSIQSDGFRTLSEGQPVDFLVELGEDGRTKAVDVVASIARSRGPGFDHGRGRGRFGGRGGGYGGRGVGNGGGGRGGYGRFGGGYDVGRGGGRGGGYSGGYGGGRGGGECYNCGGFGHLARDCIQDGGGGGGVGRFGGGGRGYGSRGGGGGRGCYNCGGEGHLARECPNDQK >ONI29002 pep chromosome:Prunus_persica_NCBIv2:G1:14691524:14693065:-1 gene:PRUPE_1G174700 transcript:ONI29002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVMALSSLIQAKDLTVVKAGLGVEVGYLQRRPEVMELYPSHLTIPVDFSISMNNIPLFSSIFPSTTHFLVSISIQSITPLPFRNFSTMKTVMSGYQSGSIAFLFGKGVLGVRIQASSHSFSIPFGLYIDLSQVSEFYFIF >ONI30599 pep chromosome:Prunus_persica_NCBIv2:G1:27012798:27013269:1 gene:PRUPE_1G261200 transcript:ONI30599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNRPYDTPRGDQRDGSNQDPASASETKKSSGSADCAKGNYTRKVEEGFDKIKAAASNGMNRVKIAAHLGLHWIKSKSKTSRKQ >ONI34640 pep chromosome:Prunus_persica_NCBIv2:G1:40908480:40910916:-1 gene:PRUPE_1G492000 transcript:ONI34640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIMLQIQVPSLPLFTSLVILVTLIIRWKRFKSPTVRAPILRLPPGPWKLPLIGNLHNLTGSLRHSLRDLAKKHGPIMHLKLGQVSAIAISSPELAKQVLKTHETAAFSQRPTVLAVEVLSYHFLGIITSPYNEYWRQMRKICVLELLSAKRVQSFSSIREEEAWNLVESISLSQGQPINLSEMIFSMQDSIIARSALGKKCKYQQEFRSLIKEAIILGEGFSLPDLFPSLKFLRHITRTKPALEKIHRKIDIILDEIIDDHHELKTVKTNTVASITTRTDEVLGLVHVLLQLQESGGLQFDLTTNHIKAVILDMYLAGAETSATTTEWAITELVKNPRAMDKAQAEVRHLLAGKRKNIQEEDIKKLDYLKLVIKETLRLHPPAPLIPREATQRIKIGGYDIPTEAKVLINAWAIGRDPKHWDNADCFLPERFQGSSIDFRGTNFELIPFGAGKRICPGISFGIASVELALSQLLYYFNWKLPSGKKVEELDMTESLGMTPRRRNDLFVIATPFVPS >ONI28730 pep chromosome:Prunus_persica_NCBIv2:G1:12547604:12549657:1 gene:PRUPE_1G158200 transcript:ONI28730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENERRWEGLNVDCLVNVFGRVGMQSLLLDVPFVCKSWYTASLSPSCWECLIFPGGFDGFDLPTHDLWSSEGELRTFMDRFRCIYRIDEDRFSLTAFLKVVVNRSRGHATVVRLPGFCSAEAMKYVADVCPRLKALYVDKMIPELIGKWKDLEELFLGSSIGVEKTLSEIGIHCKNFWRLHVGNAYICNTEALAIVKLVPNIKHLSLRQADIERDNLVTILQGCKELVALDVSDCRGFDEGDDEIYKLASHITNFRCEGSSSKYSSDSDDNLDRNPVYFFGYESD >ONI28731 pep chromosome:Prunus_persica_NCBIv2:G1:12547604:12549657:1 gene:PRUPE_1G158200 transcript:ONI28731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENERRWEGLNVDCLVNVFGRVGMQSLLLDVPFVCKSWYTASLSPSCWECLIFPGGFDGFDLPTHDLWSSEGELRTFMDRFRCIYRIDEDRFSLTAFLKVVVNRSRGHATVVRLPGFCSAEAMKYVADVCPRLKALYVDKMIPELIGKWKDLEELFLGSSIGVEKTLSEIGIHCKNFWRLHVGNAYICNTEALAIVKLVPNIKHLSLRQADIERDNLVTILQGCKELVALDVSDCRGFDEGDDEIYKLASHITNFRCEGSSSKYSSDSDDNLDRNPVYFFGYESD >ONI28729 pep chromosome:Prunus_persica_NCBIv2:G1:12547613:12549594:1 gene:PRUPE_1G158200 transcript:ONI28729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLPASICVGIPDPFAFHCLYLTFKMENERRWEGLNVDCLVNVFGRVGMQSLLLDVPFVCKSWYTASLSPSCWECLIFPGGFDGFDLPTHDLWSSEGELRTFMDRFRCIYRIDEDRFSLTAFLKVVVNRSRGHATVVRLPGFCSAEAMKYVADVCPRLKALYVDKMIPELIGKWKDLEELFLGSSIGVEKTLSEIGIHCKNFWRLHVGNAYICNTEALAIVKLVPNIKHLSLRQADIERDNLVTILQGCKELVALDVSDCRGFDEGDDEIYKLASHITNFRCEGSSSKYSSDSDDNLDRNPVYFFGYESD >ONI30026 pep chromosome:Prunus_persica_NCBIv2:G1:24021196:24022541:-1 gene:PRUPE_1G226900 transcript:ONI30026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFLVDRVLLPADATCVCFKRKGNYQRICMNLTPLQEERMRRLKRRMKVYFDAARPDHQEALRALWYATYPGQELQGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQCLLKKQGGKPAAWEYPFAVAGVNITFMIMQMLDLEAKSANLQALFSSLER >ONI30025 pep chromosome:Prunus_persica_NCBIv2:G1:24020332:24023141:-1 gene:PRUPE_1G226900 transcript:ONI30025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTPLQEERMRRLKRRMKVYFDAARPDHQEALRALWYATYPGQELQGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQCLLKKQGGKPAAWEYPFAVAGVNITFMIMQMLDLEATKPRTFIRAVFLQMLLENEWSFDLLYCVAFMVMDKQWLERNATYMEFNDVLKSTRAQLERELLMDDVFRIEDMPSYSLLC >ONI30024 pep chromosome:Prunus_persica_NCBIv2:G1:24020332:24023141:-1 gene:PRUPE_1G226900 transcript:ONI30024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFLVDRVLLPADATCVCFKRKGNYQRICMNLTPLQEERMRRLKRRMKVYFDAARPDHQEALRALWYATYPGQELQGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQCLLKKQGGKPAAWEYPFAVAGVNITFMIMQMLDLEATKPRTFIRAVFLQMLLENEWSFDLLYCVAFMVMDKQWLERNATYMEFNDVLKSTRAQLERELLMDDVFRIEDMPSYSLLC >ONI35914 pep chromosome:Prunus_persica_NCBIv2:G1:45740799:45754555:-1 gene:PRUPE_1G560300 transcript:ONI35914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFHVGGKVVDKVDLLRKKSLGWRFDVWPFCVLYALWLTTIVPSIDIVDSAIVFGALVALHILVWLFTVWSVDFKCFVHYTKVNDIHEADACKITPAKFSGSKEIVSLHFRKLLGGSSSSVDVEEIYFDFRKQRYIFSKEKDNFCKLPYPTKETFGYYLKSTGHGSEPKVIAATEKWGRNVFEYPQPTFQKLMKENCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSQLKTLTELRRVRVDNQTLMVHRCGKWIKLAGTDLLPGDVVSIGRSSGPNGEDRAVPADMLLLAGSAIVNEAILTGESTPQWKVSIMGRGIEEKLSARRDKSHVLFGGTKILQHTLDKGFPLKTPDGGCVAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAVIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFCGVVGSTSSTDIEPDMTKVPVRAAEILASCHALVFVDNKLVGDPLEKAALKGIDWTFKSDEKAVPKKGTGNPVLIVQRHHFASYLKRMAVVVRIEETFFAFVKGAPETIQGRLTEVPSFYVETYKRFTRQGSRVLALAYKSLPDMTVSEARSLDRDVVETGLTFAGFAVFNCPIRADSAAILSELKGSSHDLVMITGDQALTACHVASQVHIISKPALILGPKRDSEVYEWISPDEAEVIPYSENEVEALSESHDLCIGGDCFEMLQQTSAVIQVIPYVKVYARVAPEQKELILTTFKTVGRITLMCGDGTNDVGALKQAHVGVALLNAVPPTLSGKSPNETSKDESGKTMRTKKPKPALDAAGKSTGINGEVSSKGKAIATASHSAGNQNVSAAELKRQKLVSLQKKLMDELNEEGDGRSAPVVRLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSAERPHPHVFCSYVFLSLLGQFAIHLFFLISSVNEAERYMPDECIEPDSDFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSISENKPFLYAIVAAAGFFTVITSDLFRDLNDWLRLVPLPVGLRDKLLLWALLMFLTCYSWEKLLRWAFPGKVPAWKKRQRHAATSLEKKKNV >ONI35915 pep chromosome:Prunus_persica_NCBIv2:G1:45740799:45752134:-1 gene:PRUPE_1G560300 transcript:ONI35915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSQLKTLTELRRVRVDNQTLMVHRCGKWIKLAGTDLLPGDVVSIGRSSGPNGEDRAVPADMLLLAGSAIVNEAILTGESTPQWKVSIMGRGIEEKLSARRDKSHVLFGGTKILQHTLDKGFPLKTPDGGCVAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAVIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFCGVVGSTSSTDIEPDMTKVPVRAAEILASCHALVFVDNKLVGDPLEKAALKGIDWTFKSDEKAVPKKGTGNPVLIVQRHHFASYLKRMAVVVRIEETFFAFVKGAPETIQGRLTEVPSFYVETYKRFTRQGSRVLALAYKSLPDMTVSEARSLDRDVVETGLTFAGFAVFNCPIRADSAAILSELKGSSHDLVMITGDQALTACHVASQVHIISKPALILGPKRDSEVYEWISPDEAEVIPYSENEVEALSESHDLCIGGDCFEMLQQTSAVIQVIPYVKVYARVAPEQKELILTTFKTVGRITLMCGDGTNDVGALKQAHVGVALLNAVPPTLSGKSPNETSKDESGKTMRTKKPKPALDAAGKSTGINGEVSSKGKAIATASHSAGNQNVSAAELKRQKLVSLQKKLMDELNEEGDGRSAPVVRLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSAERPHPHVFCSYVFLSLLGQFAIHLFFLISSVNEAERYMPDECIEPDSDFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSISENKPFLYAIVAAAGFFTVITSDLFRDLNDWLRLVPLPVGLRDKLLLWALLMFLTCYSWEKLLRWAFPGKVPAWKKRQRHAATSLEKKKNV >ONI31573 pep chromosome:Prunus_persica_NCBIv2:G1:30711393:30714596:-1 gene:PRUPE_1G320200 transcript:ONI31573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSLLIEEGEDRGRVDRCGLFVAEVKRLGYIAGPMVAVNLSQYFLQIISIMMVGHLGQLSLSSTAIAISFCAVSGFSLLFGMACALETLSGQAYGAQQYKQLGLQINTAIFSLLLVCLPLSLVWIYMGEILIFMGQDPLISLEAGKFARMLLPALFAYATLQPLCKYLQTQSLIIPLLVCGCASLCFHIPFCWAMVFKSGLGHLGAALAIGMSYWLNVILLILYVTYSTACARTRVPISLELFQGIREFLRFAIPSAVMICLEWWSFELLILLAGFLPNPELETSVLSICLSTISSLYTIPEGLGAAGSTRVSNELGAGNPQAARLAVAAVMFLTVSGVVIISSTVFASRSVFGYIFSKDKEVVDYVTSMAPLICLSVISDNLHGVLSGIARGCGWQDLGVYVNLGAYYLVGIPVAATLCFWLDLRGRGLWIGIQVGSFLQAFLYSVITSCTNWEEKARKTRERIFVEKSPVR >ONI34226 pep chromosome:Prunus_persica_NCBIv2:G1:39106135:39110665:1 gene:PRUPE_1G469300 transcript:ONI34226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTFRLLILWTLFALHVIININITHARIQPPGIPATFCVSSKGNYTNDSTYQQNLNDLLSSLLSNSNGYGFYNSSRGQNSETVYAIGLCRGDAKSDVCGKCLSDAAYVLPEACPNQKEAIGFYRRCMLRYSNRSMFGLVEVNPAFSVRKVKNVSSTNLDAFNREVSALLNALTSEAAGRGDLLKFAVGNASVGANSNVTIYGLAQCTPELSEIECTNCFNVSLGAIRTCCSGSMGARVATPSCTIRYESHPFFYSTTYHGPLQHRPSASPPPPPANHTVPRGKKSNISRTVIVTVVVLVVSLFLIISICIYLRVKKRREKLEGKIATNNFCEENKLGRGGFGAVYRGRLLNEEEIAVKRLSRDSAQGDIEFRNEVELVAKLQHRNLVRLLGFCLEGNERLLVYEFVHNASLDQFIFDPIKRAQLDWDRRYKIIVGIGRGLVYLHEDSRLRVIHRDLKAGNILLDAEMNTKIADFGMARLFVLDQTEGETNRIVGTYGYMAPEYAMHGQFSVKSDVYSFGVLLLEIVSGQKNNALCHGGNVNLLNCVWKSWKEGEKTSNLIDPTLKTGLTTEIMRCIHIGLLCVQPNTIERPTMASVVLMLTSNSLTLPVPSQPFSVIQPETPTKVIRSNPSQNNSVQKSVNEASLTELFPR >ONI34422 pep chromosome:Prunus_persica_NCBIv2:G1:40205296:40207017:-1 gene:PRUPE_1G481700 transcript:ONI34422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLSLALPVHNPVKGFDMRNHGDGVEKKEISVGLGLESTKNQVRNKRSFDVAFDESAGNESKMLPLLLWSGQPNEEGDEKGQKRRDSVSVITKNEGEGNHVVGWPPIKSWRKKMLHQHHGVGHDHHHHHQYPHHQNQILEANSMPMSMLVKVKMEGVGIVRKIDIRPHHSYQTLKDTLITMFSKCGKEGGGAGAEYILAYQDKQGDWLLVADVPWQTFIKSVQRLQILRKGG >ONI34423 pep chromosome:Prunus_persica_NCBIv2:G1:40205556:40206744:-1 gene:PRUPE_1G481700 transcript:ONI34423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLSLALPVHNPVKGFDMRNHGDGVEKKEISVGLGLESTKNQVRNKRSFDVAFDESAGNESKMLPLLLWSGQPNEEGDEKGQKRRDSVSVITKNEGEGNHVVGWPPIKSWRKKMLHQHHGVGHDHHHHHQYPHHQNQILEANSMPMSMLVKVKMEGVGIVRKIDIRPHHSYQTLKDTLITMFSKCKRSGGKEGGGAGAEYILAYQDKQGDWLLVADVPWQTFIKSVQRLQILRKGG >ONI34424 pep chromosome:Prunus_persica_NCBIv2:G1:40205301:40207017:-1 gene:PRUPE_1G481700 transcript:ONI34424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLSLALPVHNPVKGFDMRNHGDGVEKKEISVGLGLESTKNQVRNKRSFDVAFDESAGNESKMLPLLLWSGQPNEEGDEKGQKRRDSVSVITKNEGEGNHVVGWPPIKSWRKKMLHQHHGVGHDHHHHHQYPHHQNQILEANSMPMSMLVKVKMEGVGIVRKIDIRPHHSYQTLKDTLITMFSKYQVAKRVVVQVQNIYLLIRTNKEIGCSLQMFPGKPSSSPCSACRY >ONI30604 pep chromosome:Prunus_persica_NCBIv2:G1:27026187:27027940:1 gene:PRUPE_1G261500 transcript:ONI30604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGVVSWRRRSEKLDGFDMSPMNSEEAHVLAVDDSLVDRKVIERLLRISSCKVTAVDSGRRALQFLGLDDDKSSSVGFDGLKVDLIITDYCMPGMTGYELLKKIKESSALKEIPVVIMSSENVLARIDRCLEEGAEDFIVKPVKLSDVKRLKDYMTREAGLEGSGMKKRKLREPCDLPSSPPSILPSSPSSSLSSPSKSPSPPLSSSFSAPSSPTSLDSPIRRLKMTNTD >ONI27433 pep chromosome:Prunus_persica_NCBIv2:G1:6379476:6380776:1 gene:PRUPE_1G085900 transcript:ONI27433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFEPQGQDSLKRRWQERRQQLGGAITPPSSHLIRNPNGASLHSFPGLLDDDLVSTMVPPVTVVLEGRSICQRISLQKHASYQSLAKALRQMFVDGSDVGAVNDKDLDLSNAVPGHVIAYEDMENDLLLAGDLNWKDFVRVAKRIRILPAKGNSRRGRRDA >ONI28194 pep chromosome:Prunus_persica_NCBIv2:G1:10123682:10125939:-1 gene:PRUPE_1G130100 transcript:ONI28194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLSASVLKCGRGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGHGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKLARREERLAQGPGERAAPTPAPQQAEGGAKKAKK >ONI28195 pep chromosome:Prunus_persica_NCBIv2:G1:10123783:10125855:-1 gene:PRUPE_1G130100 transcript:ONI28195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTKSPWPTPVRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGHGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKLARREERLAQGPGERAAPTPAPQQAEGGAKKAKK >ONI28922 pep chromosome:Prunus_persica_NCBIv2:G1:13833179:13834603:-1 gene:PRUPE_1G169200 transcript:ONI28922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHRFLLLTFPAQGHINPSLQFAKHLIRTTGAHVTYVTCLSAHRRLGNGSTPHGLTYSLFSDGYDNGFKDGDDYDHYMSELRRRGAQAITDLIVSSAKEGRPYTCLIYTILLPWAAVVARELHLPSVLVWIQPATVFDIYYYYFSGYKDLIQNSTSATHTNGALCSIELPGLPQSLTSRDLPSFMVDSNLYSFALLLFEEQFEVFERETKPIILVNTFDALESEALKAIDKYNLIGIGPLIPSSLLDGKDPSDKSFRGDLFQKSEDSSYIKWLNSRPEGSVVYVSFGSMSVLSKPQMEEIAKGLLDSGRPFLWVIREKEESKGQDKEADKEEEKLSCREELEELGKIVPWCSQVEVLSSPSLGCFVTHCGWNSSLESLVSGVPVVAFPQWTDQGTNAKLIEDTWKTGVRVTPNDEGIVVGEELKRCLELVMGSGEIGEELRRNAKKWKGLAREAVSEGGSSDRNLKAFLDQIE >ONI35226 pep chromosome:Prunus_persica_NCBIv2:G1:42896718:42898352:-1 gene:PRUPE_1G523700 transcript:ONI35226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGAAIVGMFLVLSMVMMPSCLATVYTVGDSSGWTSGVDYSTWTSGKTFAVGDSLVFNYGSGHTVDEVSGSDYKTCTVGNSITSDSSGATTIPLKAAGTRYFICAVVGHCGMGMKVSVNVVGSGSTAAPTTKGSPSPATSGGNSTVTSPAVTTPSTTGVDNSLSTSPVVALWSICVTCVAICVLILS >ONI29226 pep chromosome:Prunus_persica_NCBIv2:G1:17236744:17239897:-1 gene:PRUPE_1G188300 transcript:ONI29226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGFGRWLRMTSTNCKNSIYIPEEILIDILLRLPVKSLVRFMCVCKSWRDLIESSSFIGRHLNRNVKNHGLTFLVGLECNEVKPKIRHSIFSNETFKATCLDMGGFGLYGSSNGLVCLSCKSLDLHCPVTICNPSIMKFVRLPVTNVSCPPKYQYRCVLTFGFNSRLNDYKVVRLVTFIRGKKCNEVEVYSLSTNSWKSIGVIPPWIHALGWHSGRAVSNGIAYWIMGRGEGDSFHLVSFDTGSEVFEQVLLPEAIVEGLEGVEGEIQAYKESKSFKKIEKVVAPGTSLSLTPLGFRMRNELLLELHEKNSEGAYYLKRRTWNLEFSFFFFGSIAFEKCFNV >ONI26189 pep chromosome:Prunus_persica_NCBIv2:G1:707155:707790:-1 gene:PRUPE_1G008600 transcript:ONI26189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSWVPTALFVILALSFAIDVALAQEDQNAGVINHPAEKEGSLRREDCPSACKYRCSETSHKKPCMFFCKKCCDKCLCVPSGTYGHKEECPCYNNWKTKEDGPKCP >ONI30312 pep chromosome:Prunus_persica_NCBIv2:G1:25737042:25739724:-1 gene:PRUPE_1G243600 transcript:ONI30312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KDLEDHFESTRNSLEARFRELEAREEDIGVRETKSEPKDWNFRSEMEPKAGELRGLSKINLHSLMSLIEEHSDELLVQEKLLMEVEKYVRKKEMEFYSIDKRVKERTKKLNWVEKIVEEKSNLAESKEGEVKGFQEALNKYVAYIELKKRQLNEILGSIEKHKKEFDLKQELIEATKRSIEECDKELILKEEKLRLIQKSLVECPNTLESKEKMIREIDLTERDFGLLKKSMEERSCKLQFEARELELIDKRVSERLNEVKLKEKNLDQLQKSIQDGEKHLDKMSKGLQKKDTPQNLILKERQLEDQAKELELKQKEFDSINKCSEEQTQNLKSNERQLEDQAKELELKQKEFDLINKSTEEYSRNLKSKEKTNTLHSRVKIEQLEHIPSNNAFVPSSASNQSSINRDGRGLQLFVNDEISAVLEASLDQAKLVLDAMQGFYPSNSTLGNRECDLDLGVIRRSCILLLEALKKVSPQINPHVREEAIKLADVWKAKMTMATENWLEILGFLRLVTTYEITSSYDENELQSLIAIVVENEQATELPQALGSTKNASAIIICSPEKPESSLAKNAAAVSFPNLQLIATTDARTLQGFLHELARGNHLIHNETLAALQTSLDPANFVLDVMQNSFAQYWGDGDVPSKETVMLSYINLLEQLMGVSLHVGRHVKDDAEKLAIQWKAKMGADTQNSLERLGFLQFIATYGLFSTFSRDDIAPLLGRISQDKQARELCQKLRFANKIPAHFIMKLIERRKLLEAGRLICTFKFIDIFPPVPLLEKYVENRKNWRCRICKRKNSLDKKDKVLDNDIADLRAVIQCIKDCKLESEYPSGNIEIQIEVLEKIKEDRRRHSATSLACK >ONI28247 pep chromosome:Prunus_persica_NCBIv2:G1:10586491:10590272:-1 gene:PRUPE_1G134000 transcript:ONI28247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSDNQSLVHRTHTTQSHQQDEQPDQSSQPTIDFDPQNNCRPPHTNPIRYLLREQRLLFVLIGIAIATLFFSRFPLSNPELATTHDPGFESESGLDLPANPTRRVLYEARGVGFGSVMSAGGKVPLGLKKKNQRIVVTGGAGFVGSHLVDRLIARGDSVIVLDNFFTGRKENLVHHFGNPKFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQAESYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGLGIEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGNHVGPFNLGNPGEFTMLELAQVVQDTIDPNAKIEFRPNTEDDPHKRKPDISKAKELLGWEPNVSLRKGLPLMVADFRHRIFGDPRDNEGGSTTFA >ONI26562 pep chromosome:Prunus_persica_NCBIv2:G1:2226798:2231982:-1 gene:PRUPE_1G031400 transcript:ONI26562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKFSHSAIIVLFLCSFTTILAHEDEDPTIKTMEDFSGYPIPEPHLSFQNTVSSLSVDTRSLQKQIDELSTFSDTPAPSVTRILYSDKDVLARRFIKNLMGLSGLSVREDAIGNIFGRWEGYNPDLSAVGTGSHIDAIPYSGKYDGVVGVLGAIEAINVLKRSGFKPKRSLEVILFTSEEPTRFGISCLGSRLMAGSKTLANALKTTVDGQNISFFDAAQSAGYTKDEGDLSSVFLEKGSYSAFVELHIEQGPILEDEGISVGIVTAIAAPASIKVDFEGNGGHAGAVLMPNRNDAGLAAAELVLAVERHVLESGSIDTVGTVGILELHPGAINSIPSKSHLEIDTRDIDEERRNVVIEKIHQSAITIASKRGVNLSEFKIVNQDPPALSDKSILDAMEASSKELNLTHKFMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEYASSQDISNGVKVLALTLAKLSLQ >ONI26583 pep chromosome:Prunus_persica_NCBIv2:G1:2278899:2279637:1 gene:PRUPE_1G032700 transcript:ONI26583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSECEGKGSWPELVGVEGEVAKATIERENPIVTAKIVVEGETYVITNYDCYRVWVWVDKDDRLVTRPPIIG >ONI27889 pep chromosome:Prunus_persica_NCBIv2:G1:8755191:8759581:1 gene:PRUPE_1G109700 transcript:ONI27889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYNVPEHANDEVYMIQGMEQNLHFTTPFEQVEAMYNEGAPEYVVDQGLYYPAATNYGYYCTGFESPGEWEDHRRIFGVDGPDIQYTGAQNESLPFVYYTPSYGYAQSPYNPYNPYIPGAMIGVDGQQYCTIPPYQNSVSSPAYIPHVVQPDVVPNSSPDSLFDNGTSINRPDGRGVKYNLNSASGGFPNTPKSSANQMSPLTKVSEGSRGNGPSKHAVTHGSVVSGRFSTPASSHVHQVRSASGSISPVDNLPNGKVLPNHNQLKVEIPVGNGLSNFGSIAQGRGAVGKLRPKFNVGRALNDVHGGLEALGEQNCGPRINRLKNQIAVKAYTTKAGDCNAQGNIIIRTDQYNKDELPVDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLNSAYEDALRIAAGKPRGCPIFLFFSVNASGQFCGVAEMGGPVDFNKDMDFWQQDKWSGSFPVKWHIIKDVPNTSFRHIVLENNENKPVTNSRDTQEIMYKKGLEMLKIFKNHTLKTSLLDDFMYYEERQKFMQEERSRLLVRSFESPFLVTGLGPPRKLNSVGDDANNSKKTLVPSSAQVSSNFGVTNTSITNGNAEKKAPEAKDDAVSTLKIGSLTINRKQVDSKSSAAAATNAKSFDVVTVGSMPVRVNGFAESLGNLTVGTIPLDPRALQLEDGSLIKKGDQQK >ONI27887 pep chromosome:Prunus_persica_NCBIv2:G1:8755214:8760929:1 gene:PRUPE_1G109700 transcript:ONI27887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYNVPEHANDEVYMIQGMEQNLHFTTPFEQVEAMYNEGAPEYVVDQGLYYPAATNYGYYCTGFESPGEWEDHRRIFGVDGPDIQYTGAQNESLPFVYYTPSYGYAQSPYNPYNPYIPGAMIGVDGQQYCTIPPYQNSVSSPAYIPHVVQPDVVPNSSPDSLFDNGTSINRPDGRGVKYNLNSASGGFPNTPKSSANQMSPLTKVSEGSRGNGPSKHAVTHGSVVSGRFSTPASSHVHQVRSASGSISPVDNLPNGKVLPNHNQLKVEIPVGNGLSNFGSIAQGRGAVGKLRPKFNVGRALNDVHGGLEALGEQNCGPRINRLKNQIAVKAYTTKAGDCNAQGNIIIRTDQYNKDELPVDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLNSAYEDALRIAAGKPRGCPIFLFFSVNASGQFCGVAEMGGPVDFNKDMDFWQQDKWSGSFPVKWHIIKDVPNTSFRHIVLENNENKPVTNSRDTQEIMYKKGLEMLKIFKNHTLKTSLLDDFMYYEERQKFMQEERSRLLVRSFESPFLVTGLGPPRKLNSVGDDANNSKKTLVPSSAQVSSNFGVTNTSITNGNAEKKAPEAKDDAVSTLKIGSLTINRKQVDSKSSAAAATNAKSFDVVTVGSMPVRVNGFAESLGNLTVGTIPLDPRALQLEDGSLIKKGDQQK >ONI27891 pep chromosome:Prunus_persica_NCBIv2:G1:8755208:8759536:1 gene:PRUPE_1G109700 transcript:ONI27891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYNVPEHANDEVYMIQGMEQNLHFTTPFEQVEAMYNEGAPEYVVDQGLYYPAATNYGYYCTGFESPGEWEDHRRIFGVDGPDIQYTGAQNESLPFVYYTPSYGYAQSPYNPYNPYIPGAMIGVDGQQYCTIPPYQNSVSSPAYIPHVVQPDVVPNSSPDSLFDNGTSINRPDGRGVKYNLNSASGGFPNTPKSSANQMSPLTKVSEGSRGNGPSKHAVTHGSVVSGRFSTPASSHVHQVRSASGSISPVDNLPNGKVLPNHNQLKVEIPVGNGLSNFGSIAQGRGAVGKLRPKFNVGRALNDVHGGLEALGEQNCGPRINRLKNQIAVKAYTTKAGDCNAQGNIIIRTDQYNKDELPVDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLNSAYEDALRIAAGKPRGCPIFLFFSVNASGQFCGVAEMGGPVDFNKDMDFWQQDKWSGSFPVKWHIIKDVPNTSFRHIVLENNENKPVTNSRDTQEIMYKKGLEMLKIFKNHTLKTSLLDDFMYYEERQKFMQEERSRLLVRSFESPFLVTGLGPPRKLNSVGDDANNSKKTLVPSSAQVSSNFGVTNTSITNGNAEKKAPEAKDDAVSTLKIGSLTINRKQVDSKSSAAAATNAKSFDVVTVGSMPVRVNGFAESLGNLTVGTIPLDPRALQLEDGSLIKKGDQQK >ONI27888 pep chromosome:Prunus_persica_NCBIv2:G1:8755214:8760929:1 gene:PRUPE_1G109700 transcript:ONI27888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYNVPEHANDEVYMIQGMEQNLHFTTPFEQVEAMYNEGAPEYVVDQGLYYPAATNYGYYCTGFESPGEWEDHRRIFGVDGPDIQYTGAQNESLPFVYYTPSYGYAQSPYNPYNPYIPGAMIGVDGQQYCTIPPYQNSVSSPAYIPHVVQPDVVPNSSPDSLFDNGTSINRPDGRGVKYNLNSASGGFPNTPKSSANQMSPLTKVSEGSRGNGPSKHAVTHGSVVSGRFSTPASSHVHQVRSASGSISPVDNLPNGKVLPNHNQLKVEIPVGNGLSNFGSIAQGRGAVGKLRPKFNVGRALNDVHGGLEALGEQNCGPRINRLKNQIAVKAYTTKAGDCNAQGNIIIRTDQYNKDELPVDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLNSAYEDALRIAAGKPRGCPIFLFFSVNASGQFCGVAEMGGPVDFNKDMDFWQQDKWSGSFPVKWHIIKDVPNTSFRHIVLENNENKPVTNSRDTQEIMYKKGLEMLKIFKNHTLKTSLLDDFMYYEERQKFMQEERSRLLVRSFESPFLVTGLGPPRKLNSVGDDANNSKKTLVPSSAQVSSNFGVTNTSITNGNAEKKAPEAKDDAVSTLKIGSLTINRKQVDSKSSAAAATNAKSFDVVTVGSMPVRVNGFAESLGNLTVGTIPLDPRALQLEDGSLIKKGDQQK >ONI27890 pep chromosome:Prunus_persica_NCBIv2:G1:8755210:8759552:1 gene:PRUPE_1G109700 transcript:ONI27890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYNVPEHANDEVYMIQGMEQNLHFTTPFEQVEAMYNEGAPEYVVDQGLYYPAATNYGYYCTGFESPGEWEDHRRIFGVDGPDIQYTGAQNESLPFVYYTPSYGYAQSPYNPYNPYIPGAMIGVDGQQYCTIPPYQNSVSSPAYIPHVVQPDVVPNSSPDSLFDNGTSINRPDGRGVKYNLNSASGGFPNTPKSSANQMSPLTKVSEGSRGNGPSKHAVTHGSVVSGRFSTPASSHVHQVRSASGSISPVDNLPNGKVLPNHNQLKVEIPVGNGLSNFGSIAQGRGAVGKLRPKFNVGRALNDVHGGLEALGEQNCGPRINRLKNQIAVKAYTTKAGDCNAQGNIIIRTDQYNKDELPVDYVDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLNSAYEDALRIAAGKPRGCPIFLFFSVNASGQFCGVAEMGGPVDFNKDMDFWQQDKWSGSFPVKWHIIKDVPNTSFRHIVLENNENKPVTNSRDTQEIMYKKGLEMLKIFKNHTLKTSLLDDFMYYEERQKFMQEERSRLLVRSFESPFLVTGLGPPRKLNSVGDDANNSKKTLVPSSAQVSSNFGVTNTSITNGNAEKKAPEAKDDAVSTLKIGSLTINRKQVDSKSSAAAATNAKSFDVVTVGSMPVRVNGFAESLGNLTVGTIPLDPRALQLEDGSLIKKGDQQK >ONI29410 pep chromosome:Prunus_persica_NCBIv2:G1:18810471:18813559:1 gene:PRUPE_1G197200 transcript:ONI29410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYNYNLYDEPIKATSESAEWIFFATILGLQMSSAACDQASSPRKSQYALFGMLLAIAAVLISIWELTYRGKKERVVLRRWGMLWWFYHPPPRNTLFGTLPDVYGVAAGISQCIFCIVQYVYCLRHVDNPFKASLLPAIFLLCLGGSKLSNNRMNANTTDNNILRKPLDSQTIHVQDDKDSKENSSSTEETSKHATAKYYAPTNIPSLDDQEVEVESNIVDCRRVASQEVLAGDGHVGGGWDETMAKQVLQVAQEWQQWRWRLQWRQRGHLI >ONI29412 pep chromosome:Prunus_persica_NCBIv2:G1:18810471:18813559:1 gene:PRUPE_1G197200 transcript:ONI29412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYNYNLYDEPIKATSESAEWIFFATILGLQMSSAACDQASSPRKSQYALFGMLLAIAAVLISIWELTYRGKKERVVLRRWGMLWWFYHPPPRNTLFGTLPDVYGVAAGISQCIFCIVQYVYCLRHVDNPFKASLLPAIFLLCLGGSKLSNNRMNANTTDNNILRKPLDSQTIHVQDDKDSKENSSRLRAT >ONI29411 pep chromosome:Prunus_persica_NCBIv2:G1:18810471:18813559:1 gene:PRUPE_1G197200 transcript:ONI29411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYNYNLYDEPIKATSESAEWIFFATILGLQMSSAACDQASSPRKSQYALFGMLLAIAAVLISIWELTYRGKKERVVLRRWGMLWWFYHPPPRNTLFGTLPDVYGVAAGISQCIFCIVQYVYCLRHVDNPFKASLLPAIFLLCLGGSKLSNNRMNANTTDNNILRKPLDSQTIHVQDDKDSKENSSSTEETSKHATAKYYAPTNIPSLDDQEVESNIVDCRRVASQEVLAGDGHVGGGWDETMAKQVLQVAQEWQQWRWRLQWRQRGHLI >ONI26850 pep chromosome:Prunus_persica_NCBIv2:G1:3530372:3531831:1 gene:PRUPE_1G050400 transcript:ONI26850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKVYHRRHKKKRRSPNWSELQPELLQLITNNLSFVNVLQFKAVCSSWKHAATSSHTPWLMLPSSSSRENGQNNPDSAARCFYSLEEQKVYTIKNAFQGFDHGARCLGSSHGWLVIMDSNGNPHLLNPFSRRQIQLPLIWPFPRLTGDLSLESLRMCVSIAKAVLSSDPSCDNNFAVVVIYDSLPSKLSFCKHGEDSRWTVLNARREYCDVIFHNEQLFALAGDGSVEVWDFNNSFPIKTIDLRQPFAEIGNVDIVKDFSRGIHSTQTYLVESLAEILFVGRIIQNFVKHEDIGEGDLPQESDYISPYRTLHFYVLKLNITAKKWEKVESSLRNQALFLGGNQSMSVSTRDFPELEENSIYFTDDRWEEINYVEDYGGHDVGVYNLGNKIVKTLVLDQFNKWRVDPPPFWIVPNPW >ONI35266 pep chromosome:Prunus_persica_NCBIv2:G1:43091072:43093411:-1 gene:PRUPE_1G526300 transcript:ONI35266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHKDHAREALDGCGFYADIGIDILVEKALLGIDSYGFLRMHDLLQEKGQEVVRRESPDEPGRRNRLWNRKEVNYVLSQNTGTETIEGIMVDVEYLGVVHVNSKSFSKMNKLRYLKLMGVDLSNGLEYLPNSLRILEWSEFSLKSLPSSFYPEHEHLRELSMCHGDLEYLCGEVKPLHNLKRIDLRYSLSLVKTPDFRSIPFLEHLILEGCERLHEWIGFALCVVFAVPEHQLYGSEEDLDNFLCKLSINGEVGTPSVNYALESLLPAMSNHLWLCYLPPHECYFYFWCEDIYSNIEASFGFIGSTMEVIECGFHLVYEEDFEVLVEQTMSRQTSSVN >ONI34369 pep chromosome:Prunus_persica_NCBIv2:G1:40051743:40053741:1 gene:PRUPE_1G478700 transcript:ONI34369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVTEGVNNLQITDSSYNNKKNRIQVSNTKKPLFFYVNLAKRYMEQNNEVELSALGMAIATVVTIAEILKNNGLAFEKKITTSTIDMREDAGGRPIQKAKIEILLGKSEKFDEIMAADAAAAAVAAEEALEYEQS >ONI30265 pep chromosome:Prunus_persica_NCBIv2:G1:25460838:25464113:1 gene:PRUPE_1G240700 transcript:ONI30265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWMVKILCQNCLIEDGHCPTQMPKFTNHGLMDKDDGEPSFFIVRDDLLHPLINGNKARKLDGLIPLVEDHSGTHVVTCGGCQSAHAAAVAVSCSERGIKSHLLLRGEQPEILTGYNLMSTIYGNVTYVPRSLYANREKMLKSHADYLAGSSGNVIWFDDIMEASLSENDGAMSFLQKDAYRSDHPRKIVIVNEGAGDVVALLGLIRLVQYISQNHILGKERPLKLVVDAGTGTTAVGLGLGAKCLGLPWEVTAVMLADTIDGYRRQEKRLISGFKRHFGLQIDHCFDEIDRGLVHWVERCHPRKFGNVLEGEVEACQHIAQQTGILVDPVYTLAAWEMSTVLREQEAEGGATVVMLHTGGTLGMFGLAQRYKSYFSNLKTGLFN >ONI30264 pep chromosome:Prunus_persica_NCBIv2:G1:25460838:25464113:1 gene:PRUPE_1G240700 transcript:ONI30264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEGFPSKSTISAIKRGFQSQCVSNVKLDGEDFVSKLLDRRWTLPHPDAKIHQVMLSTSKVSQPGRALSDVSFFNKINPSFSHGLMDKDDGEPSFFIVRDDLLHPLINGNKARKLDGLIPLVEDHSGTHVVTCGGCQSAHAAAVAVSCSERGIKSHLLLRGEQPEILTGYNLMSTIYGNVTYVPRSLYANREKMLKSHADYLAGSSGNVIWFDDIMEASLSENDGAMSFLQKDAYRSDHPRKIVIVNEGAGDVVALLGLIRLVQYISQNHILGKERPLKLVVDAGTGTTAVGLGLGAKCLGLPWEVTAVMLADTIDGYRRQEKRLISGFKRHFGLQIDHCFDEIDRGLVHWVERCHPRKFGNVLEGEVEACQHIAQQTGILVDPVYTLAAWEMSTVLREQEAEGGATVVMLHTGGTLGMFGLAQRYKSYFSNLKTGLFN >ONI29177 pep chromosome:Prunus_persica_NCBIv2:G1:16867378:16867872:-1 gene:PRUPE_1G185600 transcript:ONI29177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFRLINSCNGLLCFGANEGFLLFVCNPVLGEYITIPPANRNDKWLIVGLGFCIGTNVYKVFQLNNPDTEAEIYTIGAGGAWRSIGPPPPGDFNNLLFNNFLHGAVHWIPYGGRSTSSQVIQSFDFEREQFRPLSLPSLLAKNEFLYSLTLEVLGGNKIEFLF >ONI33679 pep chromosome:Prunus_persica_NCBIv2:G1:37419313:37427633:-1 gene:PRUPE_1G440500 transcript:ONI33679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTTPSVGFLDTLRMERVRTILTHTYPYPHEHSRHAVIAVVVGCLFFISSDNINSLVEKLDNNIKWWSMYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLGFNVYVTSVLFLLFFHIIFLGLWYVGLVSRVAGKRPAILTILQNCAVLSVACCVFYSHCGNRAILRDRPLERKNSWFSFWKNDDRNTWLSKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGELACNGSCAGSSDEISPLYSLWATFIGLYIANYVVERSTGWALTHPVEGYEKSKEKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMDKVHDGAQQKDVLYDNFVGKDDLWFDFMADTGDGGNSSYTVARLIAQPSININRDDSMLHLPRGDLLLIGGDLAYPNPSAFTYERRLFCPFEYALQPPPWSKQEHIAVDKPELPCGVSELKQYDGPQCFVIPGNHDWFDGLHTFMRYICHKSWLGGWFMPQKKSYFALQLPQRWWVFGFDLALHGDIDVYQFKFFTELVKNKVRDDDSVIIMTHEPNWLLDWYWNDVSGKNVAHLICDYLKGRCKLRVAGDLHHYMRHSFVKTEDPVHVQHLLVNGCGGAFLHPTHTFSNFKKFYGASYESKAAYPSFEDSSRIALGNILKFRKKNWQFDFIGGIIYFLLVFSMFPQCKLDHILRDDSFSGHMGSFFGTVWNAFVYMLGQSYVSVAGAVVLLIVAIIFVPSKVSRKKRLMIGVLHVSAHLAAALILMLLLELGVEMCIQHKLLGTSGYHTLYQWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYFMSAFDVPEVMAVTRNNICKNGMESLSRAGAIIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHIHFDEAFSSLRIANYKSFTRFHIGSNGDLDVYTLAVDKVPKEWKLDPEWDSEDRKPRQPQQMSHHRKFPSKWSAAAAQQDPLNTVKIVDHFVIRQTDKTVNGASDGSVIS >ONI33680 pep chromosome:Prunus_persica_NCBIv2:G1:37419939:37426408:-1 gene:PRUPE_1G440500 transcript:ONI33680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTTPSVGFLDTLRMERVRTILTHTYPYPHEHSRHAVIAVVVGCLFFISSDNINSLVEKLDNNIKWWSMYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAAVYHLPSFQSMGVDMRMNLSLGFNVYVTSVLFLLFFHIIFLGLWYVGLVSRVAGKRPAILTILQNCAVLSVACCVFYSHCGNRAILRDRPLERKNSWFSFWKNDDRNTWLSKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGEVQLACNGSCAGSSDEISPLYSLWATFIGLYIANYVVERSTGWALTHPVEGYEKSKEKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMDKVHDGAQQKDVLYDNFVGKDDLWFDFMADTGDGGNSSYTVARLIAQPSININRDDSMLHLPRGDLLLIGGDLAYPNPSAFTYERRLFCPFEYALQPPPWSKQEHIAVDKPELPCGVSELKQYDGPQCFVIPGNHDWFDGLHTFMRYICHKSWLGGWFMPQKKSYFALQLPQRWWVFGFDLALHGDIDVYQFKFFTELVKNKVRDDDSVIIMTHEPNWLLDWYWNDVSGKNVAHLICDYLKGRCKLRVAGDLHHYMRHSFVKTEDPVHVQHLLVNGCGGAFLHPTHTFSNFKKFYGASYESKAAYPSFEDSSRIALGNILKFRKKNWQFDFIGGIIYFLLVFSMFPQCKLDHILRDDSFSGHMGSFFGTVWNAFVYMLGQSYVSVAGAVVLLIVAIIFVPSKVSRKKRLMIGVLHVSAHLAAALILMLLLELGVEMCIQHKLLGTSGYHTLYQWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYFMSAFDVPEVMAVTRNNICKNGMESLSRAGAIIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHIHFDEAFSSLRIANYKSFTRFHIGSNGDLDVYTLAVDKVPKEWKLDPEWDSEDRKPRQPQQMSHHRKFPSKWSAAAAQQDPLNTVKIVDHFVIRQTDKTVNGASDGSVIS >ONI31269 pep chromosome:Prunus_persica_NCBIv2:G1:29726304:29726992:1 gene:PRUPE_1G302900 transcript:ONI31269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTGEENAVPRVAVVMCLLKGKTVLLGRRRSSLGDSTFSLPGGHLEFGESFEECAAREVKEETGLDIDKEKIELLRVTNNLFVEELKAYHYVGIFTRAVLTDGDHREPQNVEPNMCDGWDWYEWDRLPQPLFWPLQNAVEAGFNPFHASP >ONI35293 pep chromosome:Prunus_persica_NCBIv2:G1:43216854:43219931:1 gene:PRUPE_1G528000 transcript:ONI35293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSCRAKAIRALSSPTTVRRRLPLVGAFCVLSLGISNLFPALSLSAFKTGSAQSLAPLLRSRFGSQAAAVHSAKMEGTSTTVPSIVVYVTVPNKEAGKKLAESLVREKLAACVNRVPGIESVYQWNGEVQTDSEELLIIKTRQSLFEALTEHVKANHPYDVPEVIALPINAGSLQYLEWVKNSTRG >ONI29872 pep chromosome:Prunus_persica_NCBIv2:G1:23335647:23336567:-1 gene:PRUPE_1G218400 transcript:ONI29872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHERFQFLKIWVSGLNASGVVKESIAQHALQLFAKMWHSIGLCNGVVMQF >ONI35391 pep chromosome:Prunus_persica_NCBIv2:G1:43569017:43573023:1 gene:PRUPE_1G532900 transcript:ONI35391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIAPSLSPSRLLLLTVSLSSSRSLSCFQLGRSFSAQVGTPICTVDIAEKGLKVSVKAFTVKPSQYLKGKARPPIVMENGEIPENANEHCPGPQSDSAGKSDACEGCPNQQICATAPKGPDPDVVAIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPEPDEAVIWRGPRKNALIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQYLDAGSIDGAIIVTTPQQVSLIDVRKEVSFCKKVGVQVLGIVENMSGLCQPVMDFKFLRMTETGEQIDVTEWAREHLKERTPEFLNIIACTEVFDSSGGGAERMCREMGVPFLGKVPLDPQLCKAAEEGRSCFVDQKCRVSAPALKKIIDKMMENPGLSRVLVDNDA >ONI26305 pep chromosome:Prunus_persica_NCBIv2:G1:1150542:1151601:1 gene:PRUPE_1G016100 transcript:ONI26305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPRSPPFQCSQCCLLAVPQLVVVSSRCIGDFESCGDGVSRLMLAITQTLSLSLPSRSGFISWLQLLI >ONI27050 pep chromosome:Prunus_persica_NCBIv2:G1:4604123:4609802:-1 gene:PRUPE_1G064800 transcript:ONI27050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIMPICKTVTPSPGLFFGKTREIRSSKCSFMVGNKVNFLRQRAQGAQVSFKSRKHGGALHATCRAEKILVANRGEIAVRIIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAASSQSYLVVPNVLSAAISRKCTMLHPGYGFLAENASFVEMCREHGINFIGPKPDSIRVMGDKSTARDTMKKAGVPTVPGSDGLLESTEEGIRLADEIGFPVMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGTFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYSQDEIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPNYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIEYHKLILDIEDFKNGKVDTAFIPKHEEELAAVRS >ONI27049 pep chromosome:Prunus_persica_NCBIv2:G1:4604206:4609086:-1 gene:PRUPE_1G064800 transcript:ONI27049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIMPICKTVTPSPGLFFGKTREIRSSKCSFMVGNKVNFLRQRAQGAQVSFKSRKHGGALHATCRAEKILVANRGEIAVRIIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAASSQSYLVVPNVLSAAISRKCTMLHPGYGFLAENASFVEMCREHGINFIGPKPDSIRVMGDKSTARDTMKKAGVPTVPGSDGLLESTEEGIRLADEIGFPVMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGTFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYSQDEIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPNYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIEYHKLILDIEDFKNGKVDTAFIPKHEEELAAVRS >ONI27048 pep chromosome:Prunus_persica_NCBIv2:G1:4603656:4608436:-1 gene:PRUPE_1G064800 transcript:ONI27048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNKVNFLRQRAQGAQVSFKSRKHGGALHATCRAEKILVANRGEIAVRIIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAASSQSYLVVPNVLSAAISRKCTMLHPGYGFLAENASFVEMCREHGINFIGPKPDSIRVMGDKSTARDTMKKAGVPTVPGSDGLLESTEEGIRLADEIGFPVMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGTFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYSQDEIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPNYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIEYHKLILDIEDFKNGKVDTAFIPKHEEELAAPQHLVLKN >ONI27045 pep chromosome:Prunus_persica_NCBIv2:G1:4603379:4609802:-1 gene:PRUPE_1G064800 transcript:ONI27045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIMPICKTVTPSPGLFFGKTREIRSSKCSFMVGNKVNFLRQRAQGAQVSFKSRKHGGALHATCRAEKILVANRGEIAVRIIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAASSQSYLVVPNVLSAAISRKCTMLHPGYGFLAENASFVEMCREHGINFIGPKPDSIRVMGDKSTARDTMKKAGVPTVPGSDGLLESTEEGIRLADEIGFPVMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGTFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYSQDEIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPNYDSLLGKLIVWAPTREKAIERMKRALDDTIITEDFKNGKVDTAFIPKHEEELAAPQHLVLKN >ONI27047 pep chromosome:Prunus_persica_NCBIv2:G1:4603379:4609802:-1 gene:PRUPE_1G064800 transcript:ONI27047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNKVNFLRQRAQGAQVSFKSRKHGGALHATCRAEKILVANRGEIAVRIIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAASSQSYLVVPNVLSAAISRKCTMLHPGYGFLAENASFVEMCREHGINFIGPKPDSIRVMGDKSTARDTMKKAGVPTVPGSDGLLESTEEGIRLADEIGFPVMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGTFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYSQDEIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPNYDSLLGKLIVWAPTREKAIERMKRALDDTIITEDFKNGKVDTAFIPKHEEELAAPQHLVLKN >ONI27051 pep chromosome:Prunus_persica_NCBIv2:G1:4604206:4608436:-1 gene:PRUPE_1G064800 transcript:ONI27051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNKVNFLRQRAQGAQVSFKSRKHGGALHATCRAEKILVANRGEIAVRIIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAASSQSYLVVPNVLSAAISRKCTMLHPGYGFLAENASFVEMCREHGINFIGPKPDSIRVMGDKSTARDTMKKAGVPTVPGSDGLLESTEEGIRLADEIGFPVMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGTFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYSQDEIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPNYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIEYHKLILDIEDFKNGKVDTAFIPKHEEELAAVRS >ONI27046 pep chromosome:Prunus_persica_NCBIv2:G1:4603656:4609086:-1 gene:PRUPE_1G064800 transcript:ONI27046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIMPICKTVTPSPGLFFGKTREIRSSKCSFMVGNKVNFLRQRAQGAQVSFKSRKHGGALHATCRAEKILVANRGEIAVRIIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAASSQSYLVVPNVLSAAISRKCTMLHPGYGFLAENASFVEMCREHGINFIGPKPDSIRVMGDKSTARDTMKKAGVPTVPGSDGLLESTEEGIRLADEIGFPVMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGTFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYSQDEIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPNYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIEYHKLILDIEDFKNGKVDTAFIPKHEEELAAPQHLVLKN >ONI31906 pep chromosome:Prunus_persica_NCBIv2:G1:31865407:31870877:1 gene:PRUPE_1G338200 transcript:ONI31906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCTKGTVLVVALVLGLCLDLRFASGSCASAAHESPHHHHHCDHGHDHDHDHDHHGESLLGSKLPEELAEEEDMKLYGFGFDQHGQDHGHDHEHFGALQLSVTGLWFHSLGCSLLVSMASLICLIILPVIFVQGKPSKAVVDSLALFGAGAMLGDAFLHQLPHAFGGGHSSSHDDHVGHSHHDHIGHEHSHSHSLKDLSVGLSILAGIVLFLLVEKLVRYVEENSGGSSAWSHGHHHHHHKTNKKLKDDNDSHDDLQSESSSGKDGRKLKMSSEEEAAAEVSNDSLMENNRHDSHIRKRNITTGDKQDVAAADDSNRDAKSSDGEPTRSPSNLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHEIPQEVGDFGILVRSGFTVSKALFFNFLSALVALVGTAMALLLGKNPGQSSLIEGFTAGGFIYIAVAGVLAEMNNNGSSSLKSTAFQLTALILGMGVALCISLFE >ONI33461 pep chromosome:Prunus_persica_NCBIv2:G1:36643691:36645917:-1 gene:PRUPE_1G426000 transcript:ONI33461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTNFNGAGVGFGTPLNFLGLGVGGGCGVGLGLGWGFGTAFGSQYHSSGVTFQGLDFGKKDHDGFALQKNHQDNRASR >ONI33462 pep chromosome:Prunus_persica_NCBIv2:G1:36644006:36645776:-1 gene:PRUPE_1G426000 transcript:ONI33462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTNFNGAGVGFGFGVGCGFGVGWGFGGTPLNFLGLGVGGGCGVGLGLGWGFGTAFGSQYHSSGVTFQGLDFGKKDHDGFALQKNHQDNRASR >ONI29877 pep chromosome:Prunus_persica_NCBIv2:G1:23376004:23377645:1 gene:PRUPE_1G218900 transcript:ONI29877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIPEVTHPHNQSSQAAKDPESNSPSLATVTDHQDSQTPQQPPAPHSSSSSPAEEEDTKKYGTHIMGAPAAPNVHPDNQRAAQWKASDHQQIHQQQQPYVQCAPINKPSNNPFEPAIHVFNSWSAKAETIARNIWHNLKTGPSVSEAAWGKVNLTAKALTEGGFESLFKQIFATDPNEKLKKTFACYLSSSTGPVAGTLYLSTARLAFCSDRPLTFTTPSGQAAWSYYKVMIPLANIGTVNPVVMKESPPEKYIQIVTIDGHEFWFMGFINFEKASHHLLDSVSDFRTAGNSAQPVVG >ONI31911 pep chromosome:Prunus_persica_NCBIv2:G1:31878249:31879085:-1 gene:PRUPE_1G338500 transcript:ONI31911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDALNMRNWGYYEPSFKGHLGLQLMSSMAERDTKAFVPGRDPAVMVSSNGAFHPRDCVVSDAPVPLNYMRDSWVNQRDKFLNMMPANPNYAVLPETSGAHSLQILQPPDSSRDERVARIEEPVANKEGGPSKKRGGGGAPKTPKVKKPRKPKDNNNPSVPRVKPAKKSLDVVINGINMDISGIPIPVCSCTGASQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLRGHWARHGTNKFVTIR >ONI31910 pep chromosome:Prunus_persica_NCBIv2:G1:31877949:31880177:-1 gene:PRUPE_1G338500 transcript:ONI31910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDALNMRNWGYYEPSFKGHLGLQLMSSMAERDTKAFVPGRDPAVMVSSNGAFHPRDCVVSDAPVPLNYMRDSWVNQRDKFLNMMPANPNYAVLPETSGAHSLQILQPPDSSRDERVARIEEPVANKEGGPSKKRGGGGAPKTPKVKKPRKPKDNNNPSVPRVKPAKKSLDVVINGINMDISGIPIPVCSCTGASQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLRGHWARHGTNKFVTIRDLSDTL >ONI35514 pep chromosome:Prunus_persica_NCBIv2:G1:44179629:44185073:1 gene:PRUPE_1G540700 transcript:ONI35514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGVGEEEEGHSDVTLLSSSVSMESVCHNSSELKERNYMGLSDCSSVDSSKVSTVSGGSKNSLNLKATDLRLGLPGSQSPERDSELRLISTQLDEKPLFPLHPIMDGNYSSLQKTVVSGNKRGFSDAMDGFLEVKTTSLYERELDLFIYFRITSGFTTAIFVMQGKYANSEVNLLLSPRTSPNLGLKTGSGLENLATQPAKTKEVASAKMVQERPHAVNETRPNHNGSGNSTSSAPATKAQVVGWPPIRSFRRQTLATTSKNTEEVEGKSGPGALFVKVSLDGAPYLRKVDLKNYSAYQELSSALEKMFSCFTIGQYGSHGAPGREISESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWNMFIDTCKRMRIMKSSDAIGLAPRSMEKCRNRN >ONI30598 pep chromosome:Prunus_persica_NCBIv2:G1:27011826:27012459:1 gene:PRUPE_1G261100 transcript:ONI30598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSISNHENSWADQWDYTNPDPLPVSETKNSSGAKAKYAKKVEEGLGKTKEVASNGMNKVKSGAQSGFHWIKDKYQKTTGKQ >ONI34246 pep chromosome:Prunus_persica_NCBIv2:G1:39193220:39197512:1 gene:PRUPE_1G470800 transcript:ONI34246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLVSYLTRKNEDSQEAILFLFKELDKMTARLYYFEKPAGRKRKQPVSSSGPANSTGTANTAGPSPSSAPSTPSTHTPADVISMPALPHSGSSSKPLMMFGSDGTGTPTSPSNQLADMDRFVEDGSLDDNVGTFLSHDDVNLNLTLGFTFTEVHSVKASTSKVTSCHFSSDGKFLASGGHDKKAVLWYTDTLKVKSTLEEHSALITDVRFSPSMPRLPGYSLRTFMGHSAFVMSFDFHPNKDDLICSCDSDGQIRYWSINNGSCSSVFKMRFQPHHGRFLAAAADNAVSILDVETQACRHSLQGQTKPVHSVCWDPSGEFLASVSEDSVRVWTLGSGGERECVHELSCNGTKFHSCVFHPTYTSLLVIGCYQSLELWNMTENKIMTLSAHDGLIDALTMSTVTGLVASASHDKFFKLWK >ONI27144 pep chromosome:Prunus_persica_NCBIv2:G1:4990057:4993199:1 gene:PRUPE_1G070300 transcript:ONI27144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVWFLCFVHRKRKIMSFFRELLKWLPFQPESQDRDTCNRNRLPHSSEPPSTPSKPVLSPSNPPSSSPTPPTLGRQPQSSSLHSSSSSPKFATSSSKLPPSPFVSPSSSSRQPPTPSSTSSPFSPQLSTYSSKPLQTSWSPASSEPHSLSTNPPTSSSKPSPSSSNQQSSSISTSSPSPKFPTSLSKTQPSSSSKLPQSTFPEPPSSSSKLPQSTFVNPSSSKLSTSSSKPPQASSKSHSSSPNPSTSPKPSPSSGLPVPSNEFPLIFKQVLSPASSNVINEGGEKNYVCVDIVPIFTIPEDIKDLIKNDIVPKVLKQPLSPTTYKDYFAALLYAEEFYHEKWADFNMKNVTLKLHEAAVYKNKDKEEKTFAEFEIDSVPENRPFLLSRDLVHVRPSGTNAEQFQGIIHRIIRSNLVLVEFEDEFYDYHYSTQKYDVSFSFNRVCLKRAHHAVQTASDTLFKNFLFPDCVSRTSIPTAPALPPGRHKLDAKQLSAVRQILSIQGSPPYLVAGQLGVERNVFRPSRTGAVVCEAVHQLCQTSLKNRILICAPSNRCCDGIMRSLLEVIPESDMFRANAAFREKDEVPDDILLSCLYEDPYFSCPPTEKLKKFRVIFSTLMSSFRLHDKGLTSGHFSHIFLVDASSAIEPETAVALTNFAEKSTTVIVTGQPGDNSRWVRADMARQQGLKISYFERLFKSRPYRSLNPMLITQLDQ >ONI27145 pep chromosome:Prunus_persica_NCBIv2:G1:4989933:4993223:1 gene:PRUPE_1G070300 transcript:ONI27145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFRELLKWLPFQPESQDRDTCNRNRLPHSSEPPSTPSKPVLSPSNPPSSSPTPPTLGRQPQSSSLHSSSSSPKFATSSSKLPPSPFVSPSSSSRQPPTPSSTSSPFSPQLSTYSSKPLQTSWSPASSEPHSLSTNPPTSSSKPSPSSSNQQSSSISTSSPSPKFPTSLSKTQPSSSSKLPQSTFPEPPSSSSKLPQSTFVNPSSSKLSTSSSKPPQASSKSHSSSPNPSTSPKPSPSSGLPVPSNEFPLIFKQVLSPASSNVINEGGEKNYVCVDIVPIFTIPEDIKDLIKNDIVPKVLKQPLSPTTYKDYFAALLYAEEFYHEKWADFNMKNVTLKLHEAAVYKNKDKEEKTFAEFEIDSVPENRPFLLSRDLVHVRPSGTNAEQFQGIIHRIIRSNLVLVEFEDEFYDYHYSTQKYDVSFSFNRVCLKRAHHAVQTASDTLFKNFLFPDCVSRTSIPTAPALPPGRHKLDAKQLSAVRQILSIQGSPPYLVAGQLGVERNVFRPSRTGAVVCEAVHQLCQTSLKNRILICAPSNRCCDGIMRSLLEVIPESDMFRANAAFREKDEVPDDILLSCLYEDPYFSCPPTEKLKKFRVIFSTLMSSFRLHDKGLTSGHFSHIFLVDASSAIEPETAVALTNFAEKSTTVIVTGQPGDNSRWVRADMARQQGLKISYFERLFKSRPYRSLNPMLITQLDQ >ONI32725 pep chromosome:Prunus_persica_NCBIv2:G1:34383389:34385937:1 gene:PRUPE_1G382100 transcript:ONI32725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRDGSLMRDKTQSFRGSRIVTAIVVGVLLGSVCAFFFPRGFFSSDPPIESRRFGKLDLQTSSSQCESTERINKLRSDIGSLSDKNDELKKQVQDLTEKLRLAEQGKDHAHEQFSVLGKPHKAGPLGTVKGLRTNPTVIPDESVNPRLAKILEDVAVQKELIVALANSNVKAMLEVWFTSIKRVGITNYLVVGLDDEIEEFCIANDVPVYKRDPDEGIDSIAKTGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFNHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTLPSIELLDRVAGRLSKEKAWDQAVFNEELFFPSHPGYDGLHASKRTMDFYLFMNSKVLFKTVRKDANLKKLKPVILHVNYHPDKLPRMKAIMEFYVNGKQDALEPFPEGSQW >ONI28159 pep chromosome:Prunus_persica_NCBIv2:G1:9997626:9998505:-1 gene:PRUPE_1G127400 transcript:ONI28159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYATEFTSVVPPARLFKALVLDADNLVPKIAPQAIKSAEIVEGDGGVGTIKKTSFGEGSEYSYVKHQVDALDKDNFVYNYSLIEGDALSDKIEKISYEIKLVASADGGSVIKNTSSYHTKGDVEIKEEHVKAGKEKAHALFKIIETYLVANPDAYN >ONI30876 pep chromosome:Prunus_persica_NCBIv2:G1:28281392:28284656:-1 gene:PRUPE_1G278700 transcript:ONI30876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFATQMVPEWQQAYMDYDYLKSLLKEIQRSKQRHKPPPVATTPRSHRLKRRLTLYRAFSGLTSQSRHSQQQPNSPSSISPVDIESQAILVNSVRSDGSESYQTTFLMAAEEGGVQELEYFRKVDDEFNKVDKFYRSKVDEVMKEAAVLNKQMDAFIAFRIKVENPQRPFDWSVEMTRLASDVAASTAALAASTPRGVRASRRAAMAMDVIEESGSNSQEEHSGDEEKDVKMTENVKIQEKKTENFRDTRPAPLDILNYVTMNHTVETPRSTIKGFLNVPPQTELKFSRDNLKKVEEQLKGAFIEFYRKLRLLKSYGFLNTLAFSKIMKKYDKITSRDTSKPYMNMVDNSYLGSSEEVTKLMERVETTFIKHFSNSNRRKGMAVLRPKAKIERHRITFAMGCFAGCTAALILALILMVRARNINNANNPEFDKGKTQYMDNMFPLYSLFGFIFLHMLMYAGNIYFWRRFRVNYSFIFGFKQGTELGYREVLLLSFGLAVLALASVLSNLDMEMDPKTKDYKALTELLPLFLVLLVVLILLCPFNLIYRSSRYFFLVCLFHCICAPLYKVTLPDFFLADQLTSQVQAIRSLQFYVCYYGWGDYKLRQNTCKSHDVFNTFTFIVACIPYWSRLLQVHSSSLLFLQMSS >ONI30875 pep chromosome:Prunus_persica_NCBIv2:G1:28280227:28284874:-1 gene:PRUPE_1G278700 transcript:ONI30875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFATQMVPEWQQAYMDYDYLKSLLKEIQRSKQRHKPPPVATTPRSHRLKRRLTLYRAFSGLTSQSRHSQQQPNSPSSISPVDIESQAILVNSVRSDGSESYQTTFLMAAEEGGVQELEYFRKVDDEFNKVDKFYRSKVDEVMKEAAVLNKQMDAFIAFRIKVENPQRPFDWSVEMTRLASDVAASTAALAASTPRGVRASRRAAMAMDVIEESGSNSQEEHSGDEEKDVKMTENVKIQEKKTENFRDTRPAPLDILNYVTMNHTVETPRSTIKGFLNVPPQTELKFSRDNLKKVEEQLKGAFIEFYRKLRLLKSYGFLNTLAFSKIMKKYDKITSRDTSKPYMNMVDNSYLGSSEEVTKLMERVETTFIKHFSNSNRRKGMAVLRPKAKIERHRITFAMGCFAGCTAALILALILMVRARNINNANNPEFDKGKTQYMDNMFPLYSLFGFIFLHMLMYAGNIYFWRRFRVNYSFIFGFKQGTELGYREVLLLSFGLAVLALASVLSNLDMEMDPKTKDYKALTELLPLFLVLLVVLILLCPFNLIYRSSRYFFLVCLFHCICAPLYKVTLPDFFLADQLTSQVQAIRSLQFYVCYYGWGDYKLRQNTCKSHDVFNTFTFIVACIPYWSRLLQCLRRLVEEKDPMQGYNGLKYFFTIVAVSMRTAYNLESLKNEVNWKILAGVFSIVAAIYGTYWDLVVDWGLLQRNSKNRWLRDKLLIPYKSVYYGAMVLNVLLRFAWLQTVLGFDVSFMHGQTMVAVVASLEIIRRGIWSFFRLENEHLNNVGKYRAFKSVPLPFNYDEDQDKHE >ONI30877 pep chromosome:Prunus_persica_NCBIv2:G1:28281799:28284656:-1 gene:PRUPE_1G278700 transcript:ONI30877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFATQMVPEWQQAYMDYDYLKSLLKEIQRSKQRHKPPPVATTPRSHRLKRRLTLYRAFSGLTSQSRHSQQQPNSPSSISPVDIESQAILVNSVRSDGSESYQTTFLMAAEEGGVQELEYFRKVDDEFNKVDKFYRSKVDEVMKEAAVLNKQMDAFIAFRIKVENPQRPFDWSVEMTRLASDVAASTAALAASTPRGVRASRRAAMAMDVIEESGSNSQEEHSGDEEKDVKMTENVKIQEKKTENFRDTRPAPLDILNYVTMNHTVETPRSTIKGFLNVPPQTELKFSRDNLKKVEEQLKGAFIEFYRKLRLLKSYGFLNTLAFSKIMKKYDKITSRDTSKPYMNMVDNSYLGSSEEVTKLMERVETTFIKHFSNSNRRKGMAVLRPKAKIERHRITFAMGCFAGCTAALILALILMVRARNINNANNPEFDKGKTQYMDNMFPLYSLFGFIFLHMLMYAGNIYFWRRFRVNYSFIFGFKQGTELGYREVLLLSFGLAVLALASVLSNLDMEMDPKTKDYKALTELLPLFLVLLVVLILLCPFNLIYRSSRYFFLVCLFHCICAPLYKVIKVNLRHFFSSSSK >ONI29235 pep chromosome:Prunus_persica_NCBIv2:G1:17387999:17391407:-1 gene:PRUPE_1G188800 transcript:ONI29235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDMDSDTCVGFKRTIKEIQETQTLTQRSNSPSATIPEQDSHSSEIVSPLKQDSDFTDKSPPCSVSDSSKKVKLSPHGQVSETHLEEIQEMPNYVSGKAEACGLISGLTVSDGVAQDFMEICEMGLVSDSEFVKTETQQTPDDEKERVVSDLVDKKGEGSVISEVGFADIEKLMQETKSVTELDCKEGVDASVSTSVENKVVFVGKEAESQSSLELKKKQLLEEVEAILVPAEKTLVQSGTDGSLSSFKIEVIDDTAMISLLGNGCGKELGFLGPAKCVAQGNGNKNAKKEMNGKKKARTSGRKEKVANHLVEAHSVSLKKGKGTKIFYSRMELEAMRYMNVVEQKKLWKDIYRGLGPVVAKEYENLASVKHQKNIHKNFEPHKRFEKMEVPPGILGVVASRGRWNQMNIFVH >ONI29233 pep chromosome:Prunus_persica_NCBIv2:G1:17382168:17391230:-1 gene:PRUPE_1G188800 transcript:ONI29233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDMDSDTCVGFKRTIKEIQETQTLTQRSNSPSATIPEQDSHSSEIVSPLKQDSDFTDKSPPCSVSDSSKKVKLSPHGQVSETHLEEIQEMPNYVSGKAEACGLISGLTVSDGVAQDFMEICEMGLVSDSEFVKTETQQTPDDEKERVVSDLVDKKGEGSVISEVGFADIEKLMQETKSVTELDCKEGVDASVSTSVENKVVFVGKEAESQSSLELKKKQLLEEVEAILVPAEKTLVQSGTDGSLSSFKIEVIDDTAMISLLGNGCGKELGFLGPAKCVAQGNGNKNAKKEMNGKKKARTSGRKEKVANHLVEAHSVSLKKGKGTKIFYSRMELEAMRYMNVVEQKKLWKDIYRGLGPVVAKEYENLASVKHQKNIHKNFEPHKRFEKMEVPPGILGEAFSENVDIELENLVNNQTQDASSLDPFCSYSATDEHGYPFPEKECSEDDDSDEDYASIQRPAFVVEGEPNFDSGSPEDGLEYLRRVRWEAARIPKVRVAKLDRSKFNIEQSDYMPKIPEIAKCPEQLLPLKQWEDAFLAEFSELRLALSRFEGYNASTSQDPQSKNLLHDSHQLPGSIVFEKFVNVKSKEIDIHWPHDCCILGSSIDQLSLSTTEGSNASLPAENFSPKSHVSQSSSDSPLLSVILRMDSVARVSMLRKRINVIEAMSTLSRNDCLWLFSLCAVVDTPLDADTSASLRSLLRRCAALRAAKYVLDDEVVMLNILATISGRYFGQSEN >ONI29234 pep chromosome:Prunus_persica_NCBIv2:G1:17381644:17391372:-1 gene:PRUPE_1G188800 transcript:ONI29234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDMDSDTCVGFKRTIKEIQETQTLTQRSNSPSATIPEQDSHSSEIVSPLKQDSDFTDKSPPCSVSDSSKKVKLSPHGQVSETHLEEIQEMPNYVSGKAEACGLISGLTVSDGVAQDFMEICEMGLVSDSEFVKTETQQTPDDEKERVVSDLVDKKGEGSVISEVGFADIEKLMQETKSVTELDCKEGVDASVSTSVENKVVFVGKEAESQSSLELKKKQLLEEVEAILVPAEKTLVQSGTDGSLSSFKIEVIDDTAMISLLGNGCGKELGFLGPAKCVAQGNGNKNAKKEMNGKKKARTSGRKEKVANHLVEAHSVSLKKGKGTKIFYSRMELEAMRYMNVVEQKKLWKDIYRGLGPVVAKEYENLASVKHQKNIHKNFEPHKRFEKMEVPPGILGEAFSENVDIELENLVNNQTQDASSLDPFCSYSATDEHGYPFPEKECSEDDDSDEDYASIQRPAFVVEGEPNFDSGSPEDGLEYLRRVRLCLVLRVIMQARLKIPNQKIFFMTPISYLGVLFLKNLLMLNPRKLTSTGLMTVASSGAPLISFHCQLLKAVMPLCLLRTSVLSLM >ONI28932 pep chromosome:Prunus_persica_NCBIv2:G1:14006948:14009135:-1 gene:PRUPE_1G170100 transcript:ONI28932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVAMEKTNTEKEEHDNKPYILQLPDHITVHIFCKVPIKTLIQCQCVCKSWRHSLSDPHFTKDLFSRTPPSFLFHGLSGGPINPKSEGYLLVDLNKISSPNDFTLKLYKDPNVRNLRVGVLGSCNGLLCIYELNRRCFYISNPITSESLALPTLSLSPLTIAYCERERIDNPYYCGFGYSPISNSYKVVLYLSSSGKSHEYEVLVLTVGSRIWRSIGNVSVFPSSRFQPYGVYVNGFLHWVGQYGNSSTLICAFDVESECFQQLSLPPYDLDLQLPANCLDFLDTQFTLGVLNGSLSVTVRSCSRSNITVWLMKDYGIHESWTKELEISSDVLGSPSFSRVLKFTEDGQVLLLNGSHLQAYTPGTRSVVTIEVDGIPSLVDECVHIPSFVSLRGVITG >ONI28931 pep chromosome:Prunus_persica_NCBIv2:G1:14006851:14009377:-1 gene:PRUPE_1G170100 transcript:ONI28931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVAMEKTNTEKEEHDNKPYILQLPDHITVHIFCKVPIKTLIQCQCVCKSWRHSLSDPHFTKDLFSRTPPSFLFHGLSGGPINPKSEGYLLVDLNKISSPNDFTLKLYKDPNVRNLRVGVLGSCNGLLCIYELNRRCFYISNPITSESLALPTLSLSPLTIAYCERERIDNPYYCGFGYSPISNSYKVVLYLSSSGKSHEYEVLVLTVGSRIWRSIGNVSVFPSSRFQPYGVYVNGFLHWVGQYGNSSTLICAFDVESECFQQLSLPPYDLDLQLPANCLDFLDTQFTLGVLNGSLSVTVRSCSRSNITVWLMKDYGIHESWTKELEISSDVLGSPSFSRVLKFTEDGQVLLLNGSHLQAYTPGTRSVVTIEVDGIPSLVDECVHIPSFVSLRGVITG >ONI34005 pep chromosome:Prunus_persica_NCBIv2:G1:38388434:38390156:-1 gene:PRUPE_1G458400 transcript:ONI34005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQAPHVVIFPFMSQGHTLPLLDLSKALSHRKIKVTIITTPANAKSIGQDTENHPNIGLVEIPFPIIHGLPQGTENTSQLPSMEFFFPFLMATKQLQKPFEQVLQGMSVSKSLPICVISDFFLGWTLSSCQAFGVPRLVFHGMCVLSMAICKSVCVHMPHTKAKSVLDPIDLPGLKLPFDLSVSELPAQLIQATDQNDPFFQFMSDVGQADCNSWGVVVNSFEELESGTVSSFETFYENGARAWCMGPLFLYDKIEGINKPISQNQPNKLIQFLNDQVTLGSVLYVSFGTQADLTDAQLDQVGLGLEEALVPFLLVVRSTTWSPPNGLEERLKGKGMIVREWVDQRRILSHRAVGGFFSHCGWNSVIESISAGVPILAWPMIAEQSLNAKLVAEGLGAGLGIRKSHDDLGSRIEVSRQAICEGVRELMEGEKGRDARERAQALGRVAWRAVQEGGSSHQALNKFIDHLCAFSSQLFTKNPNMIIL >ONI31145 pep chromosome:Prunus_persica_NCBIv2:G1:29239348:29246931:1 gene:PRUPE_1G295700 transcript:ONI31145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNLAKQVASRKTNLSSQSHFGSPSSTSMIFSQESSFLDKARHFEARKCINNRILVMGFRQVGDMASQKEELGRCSSLNPSYPYGISGFCNYAKGYASVAEAIASTDGEEDSSGSEEIQEMLEDLIRENNMVESHFKQPKRVVVGMGVGKYNLLRKRQIKLETEAWQEAAKEYQELLADMCEQKLAPNLPYIKSLFLGWFEPLRDAIAADQDSCKQPNSRQSHAPYFDHLPADKMAVITMHKLMGLLMTNNGGIGSVRVVQAACAIGEAIEHEVRIHRFLEKTKKKKNTIDKKAEADSVPVTIEQEKLADEQEKLTKEQERLRKKVNKLIKRQKMQQVRGIVKEQEDLKPWGQEAHVKVGCRLIQLLMDTAYIQPPVDQIGDGPPDIRPAFVHNLKTITRDTQKTSRRYGVIECDPIVRKGMEKTARHMVMPYMPMLVPPINWTGYDRGAYLFLPSYVMRTHGAKQQREVVKRTPRKQLEPVFEALDTLGSTKWRVNKRVLGVIDRIWASGGRLADLVDREDVPLPEEPDTEDEAEIRKWKWKLKAAKKENSERHSQRCDIELKLAASRKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDMCRGILEFSEGRHLGKSGLRWLKIHLANLYAGGVDKLSFDDRAAFTENHVDEIFDSADRPLEGRRWWLGAEDPFQCLAACINLCEALRSPSPETTISYMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVGGDKPADVYSGIAARVLDIMRNDAEKDPATNPNALHARLLINQVDRKLVKQTVMTSVYGVTYVGARDQIKRRLKERGSIADDTALFAAACYAARTTLTALGEMFEAARSIMSWLGECAKVIASENQPVRWITPLGLPVVQPYRQLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAVVGRLSTVFPHLDFSPLTRSRRLRSQRCS >ONI31144 pep chromosome:Prunus_persica_NCBIv2:G1:29239348:29246931:1 gene:PRUPE_1G295700 transcript:ONI31144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNLAKQVASRKTNLSSQSHFGSPSSTSMIFSQESSFLDKARHFEARKCINNRILVMGFRQVGDMASQKEELGRCSSLNPSYPYGISGFCNYAKGYASVAEAIASTDGEEDSSGSEEIQEMLEDLIRENNMVESHFKQPKRVVVGMGVGKYNLLRKRQIKLETEAWQEAAKEYQELLADMCEQKLAPNLPYIKSLFLGWFEPLRDAIAADQDSCKQPNSRQSHAPYFDHLPADKMAVITMHKLMGLLMTNNGGIGSVRVVQAACAIGEAIEHEVRIHRFLEKTKKKKNTIDKKAEADSVPVTIEQEKLADEQEKLTKEQERLRKKVNKLIKRQKMQQVRGIVKEQEDLKPWGQEAHVKVGCRLIQLLMDTAYIQPPVDQIGDGPPDIRPAFVHNLKTITRDTQKTSRRYGVIECDPIVRKGMEKTARHMVMPYMPMLVPPINWTGYDRGAYLFLPSYVMRTHGAKQQREVVKRTPRKQLEPVFEALDTLGSTKWRVNKRVLGVIDRIWASGGRLADLVDREDVPLPEEPDTEDEAEIRKWKWKLKAAKKENSERHSQRCDIELKLAASRKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDMCRGILEFSEGRHLGKSGLRWLKIHLANLYAGGVDKLSFDDRAAFTENHVDEIFDSADRPLEGRRWWLGAEDPFQCLAACINLCEALRSPSPETTISYMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVGGDKPADVYSGIAARVLDIMRNDAEKDPATNPNALHARLLINQVDRKLVKQTVMTSVYGVTYVGARDQIKRRLKERGSIADDTALFAAACYAARTTLTALGEMFEAARSIMSWLGECAKVIASENQPVRWITPLGLPVVQPYRQLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDIDEMNRILREKFVELYEAPILENLLEGFQQSFPTLTFPPLPDRGDFDLRDVLESPYFFN >ONI36336 pep chromosome:Prunus_persica_NCBIv2:G1:47247670:47253487:1 gene:PRUPE_1G581200 transcript:ONI36336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGWPGMQMEENGHIQRMAPFQSPSMGWPGVQGIPATPVVKRVIRLDVPVDKYPHYNFVGRILGPRGNSLKRVEAMTECRVYIRGRGSVKDSVKEEKLKEKPGYEHLNEPLHVLVEAEFPEDIINARLDHAVAILENLLKPVDESFDHYKKQQLRELAMLNGTLREESPSMSPSISPSMSPFNSTGMKRAKTGR >ONI36335 pep chromosome:Prunus_persica_NCBIv2:G1:47249132:47253487:1 gene:PRUPE_1G581200 transcript:ONI36335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPLCSRLLNHEIRRVSGFNQTLVDHERLEHESPFRTLSQNANGRPMDLEGWPGMQMEENGHIQRMAPFQSPSMGWPGVQGIPATPVVKRVIRLDVPVDKYPHYNFVGRILGPRGNSLKRVEAMTECRVYIRGRGSVKDSVKEEKLKEKPGYEHLNEPLHVLVEAEFPEDIINARLDHAVAILENLLKPVDESFDHYKKQQLRELAMLNGTLREESPSMSPSISPSMSPFNSTGMKRAKTGR >ONI36332 pep chromosome:Prunus_persica_NCBIv2:G1:47247898:47253487:1 gene:PRUPE_1G581200 transcript:ONI36332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVFIRADSIRLSRYLLYCSSCVMGERIPSGSYFQYPPPGVHASPHRSSLPVDRERYLAELLAEKQKLGPFMQILPLCSRLLNHEIRRVSGFNQTLVDHERLEHESPFRTLSQNANGRPMDLEGWPGMQMEENGHIQRMAPFQSPSMGWPGVQGIPATPVVKRVIRLDVPVDKYPHYNFVGRILGPRGNSLKRVEAMTECRVYIRGRGSVKDSVKEEKLKEKPGYEHLNEPLHVLVEAEFPEDIINARLDHAVAILENLLKPVDESFDHYKKQQLRELAMLNGTLREESPSMSPSISPSMSPFNSTGMKRAKTGR >ONI36333 pep chromosome:Prunus_persica_NCBIv2:G1:47247670:47253487:1 gene:PRUPE_1G581200 transcript:ONI36333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERIPSGSYFQYPPPGVHASPHRSSLPVDRERYLAELLAEKQKLGPFMQILPLCSRLLNHEIRRVSGFNQTLVDHERLEHESPFRTLSQNANGRPMDLEGWPGMQMEENGHIQRMAPFQSPSMGWPGVQGIPATPVVKRVIRLDVPVDKYPHYNFVGRILGPRGNSLKRVEAMTECRVYIRGRGSVKDSVKEEKLKEKPGYEHLNEPLHVLVEAEFPEDIINARLDHAVAILENLLKPVDESFDHYKKQQLRELAMLNGTLREESPSMSPSISPSMSPFNSTGMKRAKTGR >ONI36334 pep chromosome:Prunus_persica_NCBIv2:G1:47247670:47253487:1 gene:PRUPE_1G581200 transcript:ONI36334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILPLCSRLLNHEIRRVSGFNQTLVDHERLEHESPFRTLSQNANGRPMDLEGWPGMQMEENGHIQRMAPFQSPSMGWPGVQGIPATPVVKRVIRLDVPVDKYPHYNFVGRILGPRGNSLKRVEAMTECRVYIRGRGSVKDSVKEEKLKEKPGYEHLNEPLHVLVEAEFPEDIINARLDHAVAILENLLKPVDESFDHYKKQQLRELAMLNGTLREESPSMSPSISPSMSPFNSTGMKRAKTGR >ONI26502 pep chromosome:Prunus_persica_NCBIv2:G1:2054223:2057080:1 gene:PRUPE_1G029000 transcript:ONI26502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDLAKNLFSKVEASLFSMSDKKILDQIYATHYVNVDTSFDEDSLFEIVENILKHAIQTVDKIVQGTQVHEENIEEKPLKANFSTPLCILKSIASEMQCKPPGEKVAHETALAILNKLSNYSWEAKAVLTLAAFSMEYGEFWLLAQAQESDRLAKSISILKRVPFLLKPSNLQKRRQAVLELNNLIKVTMRVIGIFDQFEKLSSYDPKDVPELALAMEHIPVDAYWAILTLVACATKVTILTSDEDKEHDLVPYAQKIHFILNKLNMQLKICRKQVEDAEAYRRIRKIFRTPTEIKEVFKALIFSKDNVQPLIDGSTKQTVDIDILRKKNILLFLSSLDITDDDISILKPIYEFTKKEDQHKIVWIPIVEQWTDELRKKFETLRIMMPWYTVQISAPIAGFRFIKEEWNFKGKPTLVVMSPQGKVEHYNAFHMIRVWGPKAFPFTEATEKEISKSREWFGNLIREIYPTPPDSKEDEYIFFYGGKDKDWMKQFKEKATALANDLILKEAKINIKLFCVGKDSKGEDDFGILWRFWTGIESLFHTKINKQADSATLEIQKLLSYKNESGWAVLSKGSSLVVAGHGISILKVIEDFDKWKGQVREKGFEFCFTTYHAKIRLTPCCRLDIPGSTGKVPETMNCPDCNRSMETFISYKCCHIDGPNVHH >ONI31382 pep chromosome:Prunus_persica_NCBIv2:G1:30090677:30095370:-1 gene:PRUPE_1G309300 transcript:ONI31382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRGSLKTQSRWKRWRRKATMLQGKREAWSPCPSSLGMISLTVSAVLPQLRPPPCQHDQVCKEANGGQLAILYASLLLGALGSGGIRPCVVAFGADQFDETDQKQTTKIWNYFNWYYFVMGASILLAVTVLVYIQDNIGWGWGLGIPTIAMFISIIIFMVGYPLYRNLDPAGSPFTRLIQVSVAAYKKRKLPLVSDPRLLYQNDELDAPISLGGKLLHTKHMKFLDKAAIVTEEDNLKSPNLWRLNTVHRVEELKSVIRMGPIWGSGILLITAYAQQNTFSLQQAKTMDRHLTKSFEIPAGSMTVFNIVTMLSTIAFYDRLFIPFARKLTGLDRGITFLHRMGIGFVISILATLVAGFVETKRKNAAFAHGLVNQPHSMIPISVFWLIPQYSLHGMAEAFMSIGHLEFFYDQAPESMRSTATALFWTAISAGNYVSTLLVSLVHKFSAGPNGSNWLPDNNLNKGKLEYFYWVLTLLQVINLIYYIFCAKMYTFKPIQVIKKEAAESEEKGVELVNRV >ONI31381 pep chromosome:Prunus_persica_NCBIv2:G1:30090677:30095480:-1 gene:PRUPE_1G309300 transcript:ONI31381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVDETMEKMEEKGNHVARKKGGLVTMPFIFANEICEKLAVVGFNANMISYLTTQLHMPLTKAANTLTNVGGTASLTPLLGAFLSDAYAGRFWTIAIASIIYQIGMISLTVSAVLPQLRPPPCQHDQVCKEANGGQLAILYASLLLGALGSGGIRPCVVAFGADQFDETDQKQTTKIWNYFNWYYFVMGASILLAVTVLVYIQDNIGWGWGLGIPTIAMFISIIIFMVGYPLYRNLDPAGSPFTRLIQVSVAAYKKRKLPLVSDPRLLYQNDELDAPISLGGKLLHTKHMKFLDKAAIVTEEDNLKSPNLWRLNTVHRVEELKSVIRMGPIWGSGILLITAYAQQNTFSLQQAKTMDRHLTKSFEIPAGSMTVFNIVTMLSTIAFYDRLFIPFARKLTGLDRGITFLHRMGIGFVISILATLVAGFVETKRKNAAFAHGLVNQPHSMIPISVFWLIPQYSLHGMAEAFMSIGHLEFFYDQAPESMRSTATALFWTAISAGNYVSTLLVSLVHKFSAGPNGSNWLPDNNLNKGKLEYFYWVLTLLQVINLIYYIFCAKMYTFKPIQVIKKEAAESEEKGVELVNRV >ONI31383 pep chromosome:Prunus_persica_NCBIv2:G1:30090677:30095453:-1 gene:PRUPE_1G309300 transcript:ONI31383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMEEKGNHVARKKGGLVTMPFIFANEICEKLAVVGFNANMISYLTTQLHMPLTKAANTLTNVGGTASLTPLLGAFLSDAYAGRFWTIAIASIIYQIGMISLTVSAVLPQLRPPPCQHDQVCKEANGGQLAILYASLLLGALGSGGIRPCVVAFGADQFDETDQKQTTKIWNYFNWYYFVMGASILLAVTVLVYIQDNIGWGWGLGIPTIAMFISIIIFMVGYPLYRNLDPAGSPFTRLIQVSVAAYKKRKLPLVSDPRLLYQNDELDAPISLGGKLLHTKHMKFLDKAAIVTEEDNLKSPNLWRLNTVHRVEELKSVIRMGPIWGSGILLITAYAQQNTFSLQQAKTMDRHLTKSFEIPAGSMTVFNIVTMLSTIAFYDRLFIPFARKLTGLDRGITFLHRMGIGFVISILATLVAGFVETKRKNAAFAHGLVNQPHSMIPISVFWLIPQYSLHGMAEAFMSIGHLEFFYDQAPESMRSTATALFWTAISAGNYVSTLLVSLVHKFSAGPNGSNWLPDNNLNKGKLEYFYWVLTLLQVINLIYYIFCAKMYTFKPIQVIKKEAAESEEKGVELVNRV >ONI26971 pep chromosome:Prunus_persica_NCBIv2:G1:4202548:4203000:1 gene:PRUPE_1G059500 transcript:ONI26971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSTPRWQLHFFLVLLALAFVGQISCFNPDPIPGRKHFVRLVNNLRNGQLLVKCGSETPDDLLGEHLLGTNEEYEFGFRMNLYRTKFYRCDMSYSNYHNRFEAFTATKHFLEKCGGVHCIWRAQEDGISLYNIKHDQWSLIYRWEIQRI >ONI29839 pep chromosome:Prunus_persica_NCBIv2:G1:23000853:23004837:1 gene:PRUPE_1G217100 transcript:ONI29839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGQMKCSSSYGKPPWIFKGSALYQFHLVKAATVRACIPKEFRLVEAFGYTLGGFFLANYDDSPAGVFDELVVIAGLVWSPPTSCAWAAKVLVNSYEACDHGRKEVGLPSHVARFSKTITAVSGKSKSKNIGFLNAIGMNAVFCEPRDCMDVQVTEINDPTVKDICNINLTTFVPASNIGNWMGPAIKMSLPSFSGRTEYYPNLLKYSCQIECRVRAVHPAKVSGPPSMPKSEAEQSSEIHHATEEFMDNSKNLCVAVMSSKPILALKFSCMKMQVEAPVVVPNSFNNSLATS >ONI29840 pep chromosome:Prunus_persica_NCBIv2:G1:23000853:23004837:1 gene:PRUPE_1G217100 transcript:ONI29840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGQMKCSSSYGKPPWIFKGSALYQFHLVKAATVRACIPKEFRLVEAFGYTLGGFFLANYDDSPAGVFDELVVIAGLVWSPPTSCAWAAKVLVNSYEACDHGRKEVGLPSHVARFSKTITAVSGKSKSKNIGFLNAIGMNAVFCEPRDCMDVQVTEINDPTVKDICNINLTTFVPASNIGNWMGPAIKMSLPSFSGRTEYYPNLLKYSCQIECRVRAVHPAKVSGPPSMPKSEAEQSSEIHHATEEFMDNSKNLCVAVMSSKPILALKFSCMKMQVEAPVVVPNSFNNSLATS >ONI29841 pep chromosome:Prunus_persica_NCBIv2:G1:23000849:23004837:1 gene:PRUPE_1G217100 transcript:ONI29841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGQMKCSSSYGKPPWIFKGSALYQFHLVKAATVRACIPKEFRLVEAFGYTLGGFFLANYDDSPAGVFDELVVIAGLVWSPPTSCAWAAKVLVNSYEACDHGRKEVGLPSHVARFSKTITAVSGKSKSKNIGFLNAIGMNAVFCEPRDCMDVQVTEINDPTVKDICNINLTTFVPASNIGNWMGPAIKMSLPSFSGRTEYYPNLLKYSCQIECRVRAVHPAKVSGPPSMPKSEAEQSSEIHHATEEFMDNSKNLCVAVMSSKPILALKFSCMKMQVEAPVVVPNSFNNSLATS >ONI34165 pep chromosome:Prunus_persica_NCBIv2:G1:38882634:38886369:1 gene:PRUPE_1G466200 transcript:ONI34165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKAAQSSFRDRTQEFQSVAERLKKSFSSGPGSGQNGPSSGSKSKFEEQRSVVAVQSEFNKRASKIGYGIHQTSQKLGKLTKLAKRTSVFDDPTREIQELTAVIKQDITALNSAVVDLQLLSNSRNDSGNISSDTTSHSTTVVDDLKNRLMSTTKEFKEVLTMRTENMKVHENRRQLFSASASKDAANPFVRQRPLAARSAASSSSASPPPWANGSPSSSQLFPKKQTDGESQPLLQQQQQQQQQQQEMVPLQDSYMQSRAEALQNVESTIHELSNIFNQLATLVSQQGEIAIRIDENMDDTLANVEGAQGALLKYLNSISSNRWLMIKIFFVLIFFLMVFLFFVA >ONI34166 pep chromosome:Prunus_persica_NCBIv2:G1:38882771:38886365:1 gene:PRUPE_1G466200 transcript:ONI34166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKAAQSSFRDRTQEFQSVAERLKKSFSSGPGSGQNGPSSGSKSKFEEQRSVVAVQSEFNKRASKIGYGIHQTSQKLGKLTKLAKRTSVFDDPTREIQELTAVIKQDITALNSAVVDLQLLSNSRNDSGNISSDTTSHSTTVVDDLKNRLMSTTKEFKEVLTMRTENMKVHENRRQLFSASASKDAANPFVRQRPLAARSAASSSSASPPPWANGSPSSSQLFPKKQTDGESQPLLQQQQQQQQQQQEMVPLQDSYMQSRAEALQNVESTIHELSNIFNQLATLVSQQGEIAIRIDENMDDTLANVEGAQGALLKYLNSISSNRWLMIKIFFVLIFFLMVFLFFVA >ONI35886 pep chromosome:Prunus_persica_NCBIv2:G1:45659721:45662830:1 gene:PRUPE_1G559300 transcript:ONI35886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGIVHFAFSVLQLFLIFDAANGKTHRHNFVVKSSSYTRLCSTKDILTVNGQFPGPSLKAHRGDKMIIKVYNKANYNITFHWHGIKQPRNPWSDGPEYITQCPIRPGNKYTYKIEFTTEEGTMWWHAHSGWARATVHGAILVYPKPGSHYPFSKPYAEVPIILGEWWKKNVMEIPRNANITGGEPILSDAYTINGKPGHLYPCSKSGIFEMTVEHGKTYLLRIISAVMDEELFFGIANHKMILVGRDGSYTKQVVTSYIMIAPGQSMDVLLQANQPPSFYFMAARAYSSAIGAGFDKTMTTAILKYQASYHEPPEFPHYKFPHLPPYDRTQASTDFTKRIRSLATKDHPTNVPLHVNTHLFFTISVNLLNCSNKPCTGPFGKRFAASVNNISFVAPSIDILRAYYYKIPGVFENDFPKKPPMEFNYTGEDLPENLLTPSYGTKVVVLEYNASVELVLQGTNVLASDNHPVHLHGYNFYVVGWGFGNFNPKKDPLNYNLVDPPEENTVGVHKNGWVAIRFRADNPGVWLMHCHIERHQTWGMTVVFLVKNGNSPQNKILPPPHDLPTC >ONI30654 pep chromosome:Prunus_persica_NCBIv2:G1:27324598:27326287:1 gene:PRUPE_1G264700 transcript:ONI30654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNDLKVLGAWPSPYVMRARISLNIKSLDYEFLEETFGSKSQLLLQSNPVHKKIPVLIHGDKPICESLVIVEYIDEVWPSSPSILPSHPYDRATARFWASYIDEKWFPAMKGIAAAQSEEARKAAIEQVAEGLALLEDAFQKTSKGKDFFSGDKIGYLDIAFGCFLGWLRVTEKMNGIKLLDETKTPGLAKWAHKFCADAAVKDVMPETEKLAEVAKIITAKLRGAGAPPK >ONI32984 pep chromosome:Prunus_persica_NCBIv2:G1:35195006:35196774:1 gene:PRUPE_1G397400 transcript:ONI32984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRPTAFFYTHGVFFVWLCLAASASCDELTLSFYSASCPSAELMVRNTVRSASDIDPTIPGKLLRLLFHDCFVEGCDASVLLQGTGTERSDPANTSLGGFSVIDSAKRLLEIFCPGTVSCADIVALAARDAVEISGGPVVQIPTGRRDGKVSAASNVRPNIVDTSFTMDEMIKLFSSKGLSLDDLVTLSGAHTIGSAHCGAFSDRFQQDSKGKLKLIDTSLDNAYAEELMRQCPAGANPSTTVKIDPNTSFAFDNHYYQNLLAHRGLFQSDSVLLSDDRTRKLVESFAQDQVIFLESWAQSFLKLASIGVKTGEEGEIRASCPTTNA >ONI30058 pep chromosome:Prunus_persica_NCBIv2:G1:24181903:24185845:-1 gene:PRUPE_1G228900 transcript:ONI30058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATETSVRSRAKSHSDAEDDNDRDAVTLRRTETNSRPLRDRSRSHTSIGSFNVDLKRLLGLGAFGFFVALLFIFNLVNPVREANRARGLVRSSPTSELMDTLQSEGENKESLYWGTYRPRVYLGIRSRTPRSLIAGLMWIGGNDGKSFLRHVRKDSDELSIYSWAHHDDYNFGHQVIVDHDMNLATSFFKSKGEDSGYGGDWVVRIDVRSHKYSHKSKRNEEFWRSAHLFFYLADEDGNALTLGGDNLGIRESSPLASGLRTDIGSWQLHLKSRGDVEVHYFGFKMPHTHNLSDIVQEYLEPQVRKFGPLQLPDISDKSPNILVFQISAKIPFKTDIAFISGTDLESSRVEERVSSLTGTSLTSQLMEKQREFDTKFEKSFDQPDKIDQAADEFDKHAPPGFDKQVVSQALGFIQKAWGNAQRFVKDAQNGDTHTAIHHAVTEYKQFLFNQSAKLWVDQYPKVQSVAEKASSAASYWSQKYKHVVKDLTLKGYNISGLGYLPLVPIDKKMTKAPKHGEARK >ONI30060 pep chromosome:Prunus_persica_NCBIv2:G1:24181903:24185163:-1 gene:PRUPE_1G228900 transcript:ONI30060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIGGNDGKSFLRHVRKDSDELSIYSWAHHDDYNFGHQVIVDHDMNLATSFFKSKGEDSGYGGDWVVRIDVRSHKSKRNEEFWRSAHLFFYLADEDGNALTLGGDNLGIRESSPLASGLRTDIGSWQLHLKSRGDVEVHYFGFKMPHTHNLSDIVQEYLEPQVRKFGPLQLPDISDKSPNILVFQISAKIPFKTDIAFISGTDLESSRVEERVSSLTGTSLTSQLMEKQREFDTKFEKSFDQPDKIDQAADEFDKHAPPGFDKQVVSQALGFIQKAWGNAQRFVKDAQNGDTHTAIHHAVTEYKQFLFNQSAKLWVDQYPKVQSVAEKASSAASYWSQKYKHVVKDLTLKGYNISGLGYLPLVPIDKKMTKAPKHGEARK >ONI30055 pep chromosome:Prunus_persica_NCBIv2:G1:24181650:24186002:-1 gene:PRUPE_1G228900 transcript:ONI30055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATETSVRSRAKSHSDAEDDNDRDAVTLRRTETNSRPLRDRSRSHTSIGSFNVDLKRLLGLGAFGFFVALLFIFNLVNPVREANRARGLVRSSPTSELMDTLQSEGENKESLYWGTYRPRVYLGIRSRTPRSLIAGLMWIGGNDGKSFLRHVRKDSDELSIYSWAHHDDYNFGHQVIVDHDMNLATSFFKSKGEDSGYGGDWVVRIDVRSHKSKRNEEFWRSAHLFFYLADEDGNALTLGGDNLGIRESSPLASGLRTDIGSWQLHLKSRGDVEVHYFGFKMPHTHNLSDIVQEYLEPQVRKFGPLQLPDISDKSPNILVFQISAKIPFKTDIAFISGTDLESSRVEERVSSLTGTSLTSQLMEKQREFDTKFEKSFDQPDKVVSQALGFIQKAWGNAQRFVKDAQNGDTHTAIHHAVTEYKQFLFNQSAKLWVDQYPKVQSVAEKASSAASYWSQKYKHVVKDLTLKGYNISGLGYLPLVPIDKKMTKAPKHGEARK >ONI30056 pep chromosome:Prunus_persica_NCBIv2:G1:24181903:24185845:-1 gene:PRUPE_1G228900 transcript:ONI30056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATETSVRSRAKSHSDAEDDNDRDAVTLRRTETNSRPLRDRSRSHTSIGSFNVDLKRLLGLGAFGFFVALLFIFNLVNPVREANRARGLVRSSPTSELMDTLQSEGENKESLYWGTYRPRVYLGIRSRTPRSLIAGLMWIGGNDGKSFLRHVRKDSDELSIYSWAHHDDYNFGHQVIVDHDMNLATSFFKSKGEDSGYGGDWVVRIDVRSHKSKRNEEFWRSAHLFFYLADEDGNALTLGGDNLGIRESSPLASGLRTDIGSWQLHLKSRGDVEVHYFGFKMPHTHNLSDIVQEYLEPQVRKFGPLQLPDISDKSPNILVFQISAKIPFKTDIAFISGTDLESSRVEERVSSLTGTSLTSQLMEKQREFDTKFEKSFDQPDKIDQAADEFDKHAPPGFDKQVVSQALGFIQKAWGNAQRFVKDAQNGDTHTAIHHAVTEYKQFLFNQSAKLWVDQYPKVQSVAEKASSAASYWSQKYKHVVKDLTLKGYNISGLGYLPLVPIDKKMTKAPKHGEARK >ONI30057 pep chromosome:Prunus_persica_NCBIv2:G1:24181650:24186002:-1 gene:PRUPE_1G228900 transcript:ONI30057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATETSVRSRAKSHSDAEDDNDRDAVTLRRTETNSRPLRDRSRSHTSIGSFNVDLKRLLGLGAFGFFVALLFIFNLVNPVREANRARGLVRSSPTSELMDTLQSEGENKESLYWGTYRPRVYLGIRSRTPRSLIAGLMWIGGNDGKSFLRHVRKDSDELSIYSWAHHDDYNFGHQVIVDHDMNLATSFFKSKGEDSGYGGDWVVRIDVRSHKYSHKSKRNEEFWRSAHLFFYLADEDGNALTLGGDNLGIRESSPLASGLRTDIGSWQLHLKSRGDVEVHYFGFKMPHTHNLSDIVQEYLEPQVRKFGPLQLPDISDKSPNILVFQISAKIPFKTDIAFISGTDLESSRVEERVSSLTGTSLTSQLMEKQREFDTKFEKSFDQPDKVVSQALGFIQKAWGNAQRFVKDAQNGDTHTAIHHAVTEYKQFLFNQSAKLWVDQYPKVQSVAEKASSAASYWSQKYKHVVKDLTLKGYNISGLGYLPLVPIDKKMTKAPKHGEARK >ONI30059 pep chromosome:Prunus_persica_NCBIv2:G1:24181650:24186002:-1 gene:PRUPE_1G228900 transcript:ONI30059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIGGNDGKSFLRHVRKDSDELSIYSWAHHDDYNFGHQVIVDHDMNLATSFFKSKGEDSGYGGDWVVRIDVRSHKSKRNEEFWRSAHLFFYLADEDGNALTLGGDNLGIRESSPLASGLRTDIGSWQLHLKSRGDVEVHYFGFKMPHTHNLSDIVQEYLEPQVRKFGPLQLPDISDKSPNILVFQISAKIPFKTDIAFISGTDLESSRVEERVSSLTGTSLTSQLMEKQREFDTKFEKSFDQPDKVVSQALGFIQKAWGNAQRFVKDAQNGDTHTAIHHAVTEYKQFLFNQSAKLWVDQYPKVQSVAEKASSAASYWSQKYKHVVKDLTLKGYNISGLGYLPLVPIDKKMTKAPKHGEARK >ONI35036 pep chromosome:Prunus_persica_NCBIv2:G1:42108240:42110113:-1 gene:PRUPE_1G511400 transcript:ONI35036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAEEQENKQGENPRSMTKAQFLAWKRQKDDDASARRAEAARKRAEDIASGTVQLNGRELFVHEPWVFDNTLY >ONI35033 pep chromosome:Prunus_persica_NCBIv2:G1:42108355:42110113:-1 gene:PRUPE_1G511400 transcript:ONI35033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAEEQENKQGENPRSMTKAQFLAWKRQKDDDASARRAEAARKRAEDIASGTVQLNGRELFVHEPWVFDNTLY >ONI35035 pep chromosome:Prunus_persica_NCBIv2:G1:42108827:42110113:-1 gene:PRUPE_1G511400 transcript:ONI35035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAEEQENKQGENPRSMTKAQFLAWKRQKDDDASARRAEAARKRAEDIASGTVQLNGRELFVHEPWVFDNTLY >ONI35032 pep chromosome:Prunus_persica_NCBIv2:G1:42105006:42110113:-1 gene:PRUPE_1G511400 transcript:ONI35032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAEEQENKQGENPRSMTKAQFLAWKRQKDDDASARRAEAARKRAEDIASGTVQLNGRELFVHEPWVFDNTLY >ONI35034 pep chromosome:Prunus_persica_NCBIv2:G1:42108318:42110113:-1 gene:PRUPE_1G511400 transcript:ONI35034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAEEQENKQGENPRSMTKAQFLAWKRQKDDDASARRAEAARKRAEDIASGTVQLNGRELFVHEPWVFDNTLY >ONI28841 pep chromosome:Prunus_persica_NCBIv2:G1:13243294:13243949:-1 gene:PRUPE_1G164400 transcript:ONI28841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETFLKAYENIIQPVNGMNLWERTDFPEILPPMYNRQLGRPPKVRRKEAGEKETKTDGRSSEDTKTLSNMGHNSVTCHRHKPPNERNQPGKKKKAKSAVGSSIPASKPDNYDVEMGRKNQMREKAKQRAQVLKEKRDKKKAENAATSTVDSNNPTRARKRTKRSNTRYSNYASVQESQTGV >ONI27247 pep chromosome:Prunus_persica_NCBIv2:G1:5483368:5486365:1 gene:PRUPE_1G076200 transcript:ONI27247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLTQTLQLRHSLLHRPRVPPSLTQAHRARSTRSGKAQLIEIDLDPSTSSSSSSSSPANDSEKLMLKKLDDIVQTILIQRATPDWLPFVPGSSFWVPPRLAPLKVTDLVGKLADQLTDEESLSVATDRGWPCSQFFVHGSGSTETREVDMEAEGSAEVEVEVEVKVLTESQKPSRCEDD >ONI27248 pep chromosome:Prunus_persica_NCBIv2:G1:5483368:5485057:1 gene:PRUPE_1G076200 transcript:ONI27248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLTQTLQLRHSLLHRPRVPPSLTQAHRARSTRSGKAQLIEIDLDPSTSSSSSSSSPANDSEKLMLKKLDDIVQTILIQRATPDWLPFVPGSSFWVPPRLAPLKVTDLVGKLADQLTDEESLSVATDRGWPCSQFFVHGSGSTETREVDMEAEGSAEVEVEVEVKVLTESQKPSRCEDD >ONI30584 pep chromosome:Prunus_persica_NCBIv2:G1:26931106:26932329:-1 gene:PRUPE_1G260200 transcript:ONI30584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLLLVMAVLLFCMETQVSSDSNIQDHETQVVKGANRRLLPYLNCDELCNSRCRLHSRPNVCTRACGTCCVRCKCVPPGTSGNREACGRCYTDMTTHGNRLKCP >ONI30585 pep chromosome:Prunus_persica_NCBIv2:G1:26931058:26931877:-1 gene:PRUPE_1G260200 transcript:ONI30585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPKYLHVFPYMQVSSDSNIQDHETQVVKGANRRLLPYLNCDELCNSRCRLHSRPNVCTRACGTCCVRCKCVPPGTSGNREACGRCYTDMTTHGNRLKCP >ONI34983 pep chromosome:Prunus_persica_NCBIv2:G1:41942403:41945753:1 gene:PRUPE_1G508700 transcript:ONI34983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPTLLPPRIGVNLYQTPSLLSIQSPIQRKHSNSKTRNRKTPTNFRCFYCENPSSTYDFENLSSQENPDAEFSDTRSLSQSSRPYLLALWLRSCRSLNEVRRLHAVVLRCLANPVTYVFNNLICAYIVFGKLVDARKVLDKMTVRNVVSWTAIINGYLNFGLDDEALGLFSYAINEGVQPNGNMFVCVLNLCSKRVDYELGRQVHGGVLKGGWSNLIVDSAVVKLYAQCGELSSAYRAFDQMPKSDVVCWTTMITACSQQGHGQEAFSLFSQMLSEGFSPNEFTVCGVLKACGEEKELRFGRQLHGAIVKKIYKNDVFIETSLVDMYAKCGEMIDSRTVFDGMRNRNTVTWTSIIAGYARKGFSEEAICLFQVMKRRNIFVNNLTIVSILRACGSMRDSLMGREVHAQIVKNSTETNSHLGSTLVWFYCRCGEYSNATKVLQQMPLRDVVSWTAIISGCAHLGFESEALEFLNEMMEDGVEPNAFTYSSALKACAQLETVLHGKLIHSSANKSAAMSNVFVGSALISMYAKCGYVTEAFQVFDSMPERNLVSWKAMIVGYAKNGLCQEAMKLMYRMRTEGFEVDDYILATVLTACGELGWEMDPSCECSLQSS >ONI30364 pep chromosome:Prunus_persica_NCBIv2:G1:25972187:25975809:-1 gene:PRUPE_1G246800 transcript:ONI30364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPWGGIGAWAADSERAEAEELAEAAQAESQSFPSLKEAANTKPKKKKMTLSEFNMGGSFSASGPAASSRVGLTPEEMMRLPTGPKERSADEMQFGRLGGGFSSYGRSGSNPGRGRDRDDAEGSWGGGGRRSYGGFDDDRRGPPSRVSDFDQPSRADEVDNWALTKKSLPSSDSGRQNRYGSLGGGGGGGAGAGVGVGGPWSRADEVDNWAVGKKPAPARTSTFGSGFRDSGPEPDRWARGGREADGVERERPRLVLDPPKGELGVNESVQVVKTNKPSPFGAARPREEILAEKGLDWKKLDSEIDAKKTSRPTSAHSSRPSSSQSSRSEGPGLHGSENVVKPRPKVNPFGDAKPREVLLEERGKDWRKMDLELEHLSVDRLETEEERKLKEEIDHLKKELEKESPDKTNSETGQESGGDQASLRDIVLQKERELETLIHDLDDKVRFGQKAIDRPGSGAGRPGSGAGRPGSGAGRAGSFLDRTPSQSGSFEDSRSTEFIDRPHSHGKGDAWTRPFDDRRSFQGGRERGFLGNRDMGRARSRERW >ONI30363 pep chromosome:Prunus_persica_NCBIv2:G1:25972187:25975733:-1 gene:PRUPE_1G246800 transcript:ONI30363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPWGGIGAWAADSERAEAEELAEAAQAESQSFPSLKEAANTKPKKKKMTLSEFNMGGSFSASGPAASSRVGLTPEEMMRLPTGPKERSADEMQFGRLGGGFSSYGRSGSNPGRGRDRDDAEGSWGGGGRRSYGGFDDDRRGPPSRVSDFDQPSRADEVDNWALTKKSLPSSDSGRQNRYGSLGGGGGGGAGAGVGVGGPWSRADEVDNWAVGKKPAPARTSTFGSGFRDSGPEPDRWARGGREADGVERERPRLVLDPPKGELGVNESVQVVKTNKPSPFGAARPREEILAEKGLDWKKLDSEIDAKKTSRPTSAHSSRPSSSQSSRSEGPGLHGSENVVKPRPKVNPFGDAKPREVLLEERGKDWRKMDLELEHLSVDRPGSGAGRPGSGAGRAGSFLDRTPSQSGSFEDSRSTEFIDRPHSHGKGDAWTRPFDDRRSFQGGRERGFLGNRDMGRARSRERW >ONI30142 pep chromosome:Prunus_persica_NCBIv2:G1:24790180:24792840:1 gene:PRUPE_1G233300 transcript:ONI30142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAALRSRSLSLYSLPSSSIFQQWKLGFPALERAFSSSANRGLLDLQEVEKVLSDVKADDVKVIPANDLCEWADFMVLATGKSAWHVKNIAQALIYKSKQKQKGAQRLVLPSVEGQEGGKWIVIDSGKVIVHALDEKARAYYNLEDLWSKKKPEEDTIQDLEKAFVKIRPKNNSKRKPKPALKRA >ONI33925 pep chromosome:Prunus_persica_NCBIv2:G1:38123180:38125259:1 gene:PRUPE_1G453500 transcript:ONI33925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRLPHIFAFLTLTLVASHAALPPQLYWNSVLPNTQMPKSISELLQPDFAEEKGTTVGVGKGGVNVQAGKGKPGGGTNVNVGGKGGGVGVTTGKPGGGTNVGVGKGGVSVNTGHKGKPVYVGVKPGPNPFNYVYAATETQLHGNRNAAIFFLEKDIRPGTSMTLTFSGNSNTAAFVPRTTADSIPFSSNKLPEIFSQFSVKPESVEADIIKGTIEECESSGIRGEEKYCATSLESMVDFSTSKLGGNIQAISTEAEKGATLQKYTITPGVKKLAAGKSVVCHKQTYPYAVFYCHATKTTRAYVVPLKGADGLEAKAVAVCHTDTSEWNPKHLAFQVLKVKPGTVAVCHFLPKDHIVWVPKKST >ONI33855 pep chromosome:Prunus_persica_NCBIv2:G1:37942485:37944633:-1 gene:PRUPE_1G450000 transcript:ONI33855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSLTLLSLFFTYCLTQPSLFGNSRVTKTPLIAIYNGGDDTEYRGGWELVSENAGVSAMHMTILPNSNKAIMFDAAGFGPSGISLPPGDCRQVFNERDGYEDQFELDCCAHAVEFNIDTADIRPLKILTDTWSSCGGLSANGTLVQTGGWGDGGRSVRYLSGCKACDWEEHPMSLSASRWFSTQHILPNGDFIVIGGRRMFSYEYVPKVESSNQMYFLLPFLQETTDPVENNLYPFVFLSTDGNIFIFANNRSILLNPTTNKIIRELPVLDGGSRNYPSSGMATLLPIKLNVNDPNSNVIRAEILICGGADPRAGKLVEKGIFVTALQNCGRIDITNPKSTWQKEMMPTPRTMGDMLILPTGDILMINGAKKGTSGWNFAEDANSTPLLYKPDYPETQRFMELKATTIPRMYGSTSIVLPDGNILVAGSNTNYYYNFTGVKYPTELRVEKFYPPYFDPLLVFARPTIVSDYKGIMVKYQSNFVIEFKLKKYKVNHLDLKVTMYAPPFTTHGFSMGQRLLVLGIKGLENVGLGLFQIEVVAPPMAKIAPPGFYLVFVVHNGIPSSGIWLQIA >ONI29116 pep chromosome:Prunus_persica_NCBIv2:G1:16026957:16027555:1 gene:PRUPE_1G182200 transcript:ONI29116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMGGGSSWGRLWPQMAVALAAILVVVSSNVGSVEANAYPYSSPPPPPYVYSSPPPPVHSPPPPYVYMSPPPPSPSPPPPYVYKSPPPPSPSPPPTYEYKSPPPPSPSPPPPYVYKSPPPPPKELPPYHYKSPPPPSPSPPPPYHYTSPQRV >ONI30451 pep chromosome:Prunus_persica_NCBIv2:G1:26354850:26358153:-1 gene:PRUPE_1G252200 transcript:ONI30451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLYCYKVPVSELEGKIVGLYFSLSAYSPCVDFSPKLLEVYEKLKANGESFEVVVIPLDDDEESFKQDFKNMPWFSLPIGDKNVGKLARYFELSTLPTLVIIGADGKTVSKNVAEAIEEHGVLAYPFTPKKFEELIEIEKAKEKAQTLESILISGDRNFVIGKGGTEIPVSDLVGKNILLYFSAHWCPPCRAFLPKLVEAYHKIKAKDDAFEVIFISSDRDQGAFDEFFSGMPWLALPFGDSRKASLSRRFKVQGIPMLIAIGPTGQTVTKEARDLVMQHGANAYPFTEERLKEIEAESEEMAKGWPQKLKSAQHEEHELALARRKDYTCDGCDEPEEEGWSFYCEACNFDLHPKCALEEDKGAKSDAKQEQDPPQEGWVCDGYVCKKA >ONI30449 pep chromosome:Prunus_persica_NCBIv2:G1:26354850:26360519:-1 gene:PRUPE_1G252200 transcript:ONI30449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEQNVVELVNSEPHDFRSLLSSSQRDFVVRNNGDQIKVESLEGKKLGLYFSASWCGPCQRFTPALVEAYNELSPKGDFEVVFISADEDDESFNGYFSKMPWLAIPFSDSEARDGVDELFKVRGIPHLVILGEDGKVLSDSGVEIIEEHGVDGYPFTPEKIKELNDQEESARRDQSLKTILVSRLRDFVISNDGKNVPVSELEGKIVGLYFSLSAYSPCVDFSPKLLEVYEKLKANGESFEVVVIPLDDDEESFKQDFKNMPWFSLPIGDKNVGKLARYFELSTLPTLVIIGADGKTVSKNVAEAIEEHGVLAYPFTPKKFEELIEIEKAKEKAQTLESILISGDRNFVIGKGGTEIPVSDLVGKNILLYFSAHWCPPCRAFLPKLVEAYHKIKAKDDAFEVIFISSDRDQGAFDEFFSGMPWLALPFGDSRKASLSRRFKVQGIPMLIAIGPTGQTVTKEARDLVMQHGANAYPFTEERLKEIEAESEEMAKGWPQKLKSAQHEEHELALARRKDYTCDGCDEPEEEGWSFYCEACNFDLHPKCALEEDKGAKSDAKQEQDPPQEGWVCDGYVCKKA >ONI30452 pep chromosome:Prunus_persica_NCBIv2:G1:26355050:26356180:-1 gene:PRUPE_1G252200 transcript:ONI30452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWFSLPIGDKNVGKLARYFELSTLPTLVIIGADGKTVSKNVAEAIEEHGVLAYPFTPKKFEELIEIEKAKEKAQTLESILISGDRNFVIGKGGTEIPVSDLVGKNILLYFSAHWCPPCRAFLPKLVEAYHKIKAKDDAFEVIFISSDRDQGAFDEFFSGMPWLALPFGDSRKASLSRRFKVQGIPMLIAIGPTGQTVTKEARDLVMQHGANAYPFTEERLKEIEAESEEMAKGWPQKLKSAQHEEHELALARRKDYTCDGCDEPEEEGWSFYCEACNFDLHPKCALEEDKGAKSDAKQEQDPPQEGWVCDGYVCKKA >ONI30450 pep chromosome:Prunus_persica_NCBIv2:G1:26354850:26358459:-1 gene:PRUPE_1G252200 transcript:ONI30450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSLIANRYFFCLRSTILKANPNICCSLQVLAFITEPTSISPPLTCSASFRKKLSGLQVPVSELEGKIVGLYFSLSAYSPCVDFSPKLLEVYEKLKANGESFEVVVIPLDDDEESFKQDFKNMPWFSLPIGDKNVGKLARYFELSTLPTLVIIGADGKTVSKNVAEAIEEHGVLAYPFTPKKFEELIEIEKAKEKAQTLESILISGDRNFVIGKGGTEIPVSDLVGKNILLYFSAHWCPPCRAFLPKLVEAYHKIKAKDDAFEVIFISSDRDQGAFDEFFSGMPWLALPFGDSRKASLSRRFKVQGIPMLIAIGPTGQTVTKEARDLVMQHGANAYPFTEERLKEIEAESEEMAKGWPQKLKSAQHEEHELALARRKDYTCDGCDEPEEEGWSFYCEACNFDLHPKCALEEDKGAKSDAKQEQDPPQEGWVCDGYVCKKA >ONI30715 pep chromosome:Prunus_persica_NCBIv2:G1:27609350:27611660:1 gene:PRUPE_1G268700 transcript:ONI30715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINKSYFFLFFFFLSFALVIASDTDGTDQEEVQLDIYWKQRAEEAKKEAMQSFETDPEQVTEEFNSNVGELLMKQNGTRRHLRGNKKYNGPCMATNPMDACWRCDKNWAKNRKRLADCVQGFGKKTTGGKAGPIYVVTDPSDLDLVNPKPGTLRHAVIQKGPLWIIFARNMVIRLQQELLVTSDKTIDGRGANVNIHNGAGITLQFVKNVIITNLHIKKIVPKQGGMIRDSVDHIGRRTKSDGDGISIFGSSNVWIDHVSMENCADGLIDAIMGSTAITISNSHFTHHNDVMLFGASNSYTQDKIMQITVAFNHFGQGLVQRMPRCRHGFFHVVNNDYTHWLMYAIGGSMNPTIISQGNRFIAPPNQGAKEVTKRDHTAEAEWKNWEWRSEGDLMMNGAVFVQSGSGKSKHPAKMDLMPFKPGTYVAKLTKFSGALDCFVGKPC >ONI34102 pep chromosome:Prunus_persica_NCBIv2:G1:38654562:38655968:-1 gene:PRUPE_1G462900 transcript:ONI34102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTMDKQVEANPSSSSSPSPSPSSSPGRGREEGLKREEEDHEGEGGGGAALHFEDRARAAQYPTFIGKHKLAASISHLHNQIDIIQKELTQLETVGESSIVCKELIASVESSSDPLLPSTKGPADVGWDRWFRGAHNSRSHNRWI >ONI35175 pep chromosome:Prunus_persica_NCBIv2:G1:42708875:42709711:-1 gene:PRUPE_1G520500 transcript:ONI35175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKANITLPTSTHGGDLLQGDLPISILWYGNFSPPQKSIVVDFILSLNHIDPQKDQQSKSSVSVWWGTVQTYMKKAGKKPTRISLSTQLSDKNYSIGKNLKKSHVSELAHRVNSSPGGLTLVLTSHDVAVEDFCMSNCGSHGHKANSVFIWCAWPFHQPVYGPQTTPLGAPNGDVGVDGMVVNIASLLAGSVTNPFGSGYYMGSAEAPLEAASACPGVYGKGAYPGYAGVLQLDTSSGASYNAIGLNGRKYLLPALFDPNTSQCSTIE >ONI35346 pep chromosome:Prunus_persica_NCBIv2:G1:43417246:43434717:1 gene:PRUPE_1G531100 transcript:ONI35346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMREKIKIKKIDNLPARQVTFSKRRRGILKKAAELSVLCESEVAVVIFSATGKLFDYSSSSMKDVIERYQAHINGAEKFDEPSIELQPEKENHIRLSKELEEKSRQLRQMKGEDLEELNFDELQKLEQLVDASLGRVIETKDELIMSEIMALKRKGAELVEANNQLRQRMVMLSRGNIGPAPTEPERFVNNIGGGGEEGMSSESATNATISSCSSGPSLSLEDDCSDVTLALKLGLSPASIEDVLWVSVTAAFVTVEGEVDVENPSSWSKERSQVISVEVLAMARYSASVEDRATFALKGVSHYIYRIQVFFVGVITVQIGSGSPQNNGQINYKITVWFGSILTKVMKKTEPN >ONI35347 pep chromosome:Prunus_persica_NCBIv2:G1:43417246:43425757:1 gene:PRUPE_1G531100 transcript:ONI35347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMREKIKIKKIDNLPARQVTFSKRRRGILKKAAELSVLCESEVAVVIFSATGKLFDYSSSSMKDVIERYQAHINGAEKFDEPSIELQPEKENHIRLSKELEEKSRQLRQMKGEDLEELNFDELQKLEQLVDASLGRVIETKDELIMSEIMALKRKRAELVEANKQLRQRASNYHNHMLSRGNIGPALMEPERLNNNIGGGGEEEGMSSESATSTTCNSAPSLSLEDDSDDVTLSLKLGPECGEKDNSLTTKKK >ONI28127 pep chromosome:Prunus_persica_NCBIv2:G1:9861459:9865293:-1 gene:PRUPE_1G125100 transcript:ONI28127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAALEKLLQVGTKIVGVGRNYAAHAKELGNAVPKEPVLFLKPTSSYLENGGTIEVPPPLLSLDHEVELAVVISKKARDVSQATAMDYVAGYALALDMTAREIQASAKSAGLPWTIAKGQDTFTPISSLLPKEMVPDPDNIELWLKVDGELRQKGSTKDMIFKLPFLISHISSIMTLLEGDVILTGTPKGVGPVKVGQKITAGITNLLDVEFNVEKRQKQGSS >ONI28778 pep chromosome:Prunus_persica_NCBIv2:G1:12854534:12858351:1 gene:PRUPE_1G161000 transcript:ONI28778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVIPRKQERPTLCSIYYLLVSSTALSIGRSFTLNFSPYTPEVFVSKLINQLVSMSIEGSSEVQRWNVRDVAMGRIIDLDLNHPPPNENMALPGSLGLQVQGIGHYQGQATAAADFVDDEVVIISPRKFAEATNNSRQNPSRRSRRVIEGLSEGAEFYTRLSELTNFRAFSQLGASNWEVSVNVDVRNKPEYTATNVPMPPVVPQSEFLPEAPGFTCAICIGQLIEETSTKCGHIFCKKCIEKAIATQHKCPTCRHKLRKRDILRIYLPNSS >ONI28779 pep chromosome:Prunus_persica_NCBIv2:G1:12854534:12858351:1 gene:PRUPE_1G161000 transcript:ONI28779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVIPRKQERPTLCSIYYLLVSSTALSIGRSFTLNFSPYTPEVFVSKLINQLVSMSIEGSSEVQRWNVRDVAMGRIIDLDLNHPPPNENMALPGSLGLQVQGIGHYQGQATAAADFVDDEVVIISPRKFAEATNNSRQNPSRRSRRVIEGLSEELTNFRAFSQLGASNWEVSVNVDVRNKPEYTATNVPMPPVVPQSEFLPEAPGFTCAICIGQLIEETSTKCGHIFCKKCIEKAIATQHKCPTCRHKLRKRDILRIYLPNSS >ONI32246 pep chromosome:Prunus_persica_NCBIv2:G1:33023176:33027002:1 gene:PRUPE_1G356100 transcript:ONI32246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVEEVTLSPKTPAKSPFGSRFMATPLASPRMKKAVASMRGYLEEVGHLTKLDPQDAWLPITESRNGNAFYSAFHTLSSGIGIPSLLLPLSFTALGLAWGIICLSLAFIWQLYTLWLLIQLHESESGTRYSRYLRLSMAAFGEKLGKFLALFPIMYLSGGTCVTLIMIGGGTMKIFYEIVCGGTGVVNPLSTIEWYLVFTGSAILVAQLPNLNSIAGISLIGALTAVSYCTLIWVVSISKHRALPAVSYQPPEPKPNAAAATVFSVLNALGIITFAFRGHNLVLEIQGTMPSSTKSPSRLPMWNGVKFAYLIIALCLFPLAIASYWAYGNSIPSNGGMLNALYKFHSHDTSKFVLGLTSMLVVVNSLSSFQIYAMPVFDNLELRFTSCMNKPCPRWLRSGFRIFFGCFAFFIAVALPFLPSLAGLLGGIAVPITLAYPCFMWILIKKPCKNSAIFHWLLGSLGIVLSISIVTGAIWNIVTIGIQVHFFKPE >ONI31050 pep chromosome:Prunus_persica_NCBIv2:G1:28825324:28827352:1 gene:PRUPE_1G288900 transcript:ONI31050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEYHLLFIPRMVLQSSVLGFEAFACLFLFMAVFGFWLFPGGLAWAFCKVRDFKAIPGPPGYPLIGSLTVFTGSTRHRVLAKMAKSLKAEALMSFSVGLTRFVISSQPETAKEILNSAAFANRPANETAYELLFYRAMGFAPYGEYWRNLRRIAATHLFSPKRMAGSEVFRREVGLKMAEEIRSLMVEKGEVQMKNVLHFGSLNNVMMTVFGRCYEFGEGGEGHELDKLVREGYELLGLFNWNNHFPFLGWLDLQGVRKRCRILVSKVNAFVGKIIEEHRLKKVKGDNFDTDCSGDFVDVLLAMENDHKLGDSDMIAVLWEMIFRGTDTVATLLEWVLARMVLHPEIQAKAQSEIDSAVGASRPVLGSDVPNLPYLQSIVKECLRVHPPGPLLSWARIAIHDVYVGENFIPAGTTAMVNMWAITHDEKVWSEPKLFRPERFMEEEVSVVGTDLRLAPFGSGRRVCPGRALGLASVQLWLAQLLQNFNWVPSERSDVDLSECLKLSMEMKSPLVCRAVPRFV >ONI26108 pep chromosome:Prunus_persica_NCBIv2:G1:407445:415889:-1 gene:PRUPE_1G004500 transcript:ONI26108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFIRVQERFSQILTPKVRAALEYIYLFIAITLFCILVVMHANYVQQPGCSSELSGVETTEAQIIQIKITSAGLWSQNESEFTNVIDDVPDTKFVMRGKLALKSDSEVLDHPADSSTNSPNTMPTVDDAVSKNEIKEDRSNFLLSVKETVKAALINFIKIWQMRPRLLSVFRKHGTHIIGNLWSIAGIHLDLDIPKWLRILRLDRVNLYAVQWLENRSKTFEPTYLYTMEKGYFLLPESARAQHNIRSVNISISARHSCFGNRWQQLLINRFVGYDTILINSLLSSPGQGYLYNFQTKEFFNLSYAQEPPKGPARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLSELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTAAAFMQHLILYFWNRFEVPTLQRFIQNRRSQLQQHPDFHITSSTFLASTLHITRLNTRNPGLVNSDLASGPGLRSEPNPAVPSNGAEVPGLQEQSGNDNPDRVGNPQVPGQADLSEAENGPNPGAMNPFSSLLLWILGGASSEGLNSFLSMFRDVRDQRQVYAEPPRQENQDIQR >ONI32148 pep chromosome:Prunus_persica_NCBIv2:G1:32681733:32687880:-1 gene:PRUPE_1G351300 transcript:ONI32148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWISKVKEGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNDNMEREVKFFTETEENNQMRGPRTGVPYFL >ONI32149 pep chromosome:Prunus_persica_NCBIv2:G1:32681746:32687626:-1 gene:PRUPE_1G351300 transcript:ONI32149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWISKVKEGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNDNMEREVKFFTETEENNQMRGPRTGVPYFL >ONI29889 pep chromosome:Prunus_persica_NCBIv2:G1:23448507:23449334:1 gene:PRUPE_1G220100 transcript:ONI29889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKVNQRLLGFALLAIYIILISKTIGFTGLYVEKVVIHEQAGRPASPPPPPPAFPPSPRP >ONI27544 pep chromosome:Prunus_persica_NCBIv2:G1:7177115:7179265:-1 gene:PRUPE_1G093700 transcript:ONI27544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTIAAILLLHLSIFQSQSAVHSLATPSDNDFSYMEFVFNATDLPLEEEYDYIIVGGGTAGCPLAATLSANYSVLVVERGSILIEYPSVLREEGFVTNLMQEDDGKTAAQKFTSDDGVSNARGRVLGGSSMINAGFYSRAEKEFYAQSGIEWDMALVDKAYSWVEDTIVFQPKLETWQSVVKEALLEAGVGPDNGFSLDHIKGTKVGGSIFDDHGRRHGAVELLNRGEPKNLRVAVHATVESIIFSSNSISLSAIGIIYSDSNGKTHWANVHNKGEVILSAGAIGSPQLLLLSGISVIHPQPNIGQFMYDNPRNFIIILPPSPLDLSSLQIVGITSDFYIETLSYHVPANPKYLILANIAAKVPGPLSYGSLRLQSSFDVKIIPNVSFNYFTHPMDLARCFSGMRKLRDLLKTDSLEPFKTNSSSGTEGFKFYGPSLPVNQTDNASFETFCRSTVATFWHYHGGCLVGKVVDGRLRVMGINALPVVDGSTFNFSPGTNPQATLMMLGRYVGLEVLQEGEVCEG >ONI34924 pep chromosome:Prunus_persica_NCBIv2:G1:41811608:41816411:1 gene:PRUPE_1G506200 transcript:ONI34924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGTPPRAENGSANPNPATAACDGDRKPQSVDGYHASSNERTLESDVSKKRKSSILPLEVGTRVMCRWRDSKYHPVKVIERRRTHCGGPNDYEYYVHYTEFNRRLDEWVKLDQLDLDSVEAVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKDQG >ONI34923 pep chromosome:Prunus_persica_NCBIv2:G1:41811584:41816622:1 gene:PRUPE_1G506200 transcript:ONI34923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGTPPRAENGSANPNPATAACDGDRKPQSVDGYHASSNERTLESDVSKKRKSSILPLEVGTRVMCRWRDSKYHPVKVIERRRTHCGGPNDYEYYVHYTEFNRRLDEWVKLDQLDLDSVEAVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKDQG >ONI34025 pep chromosome:Prunus_persica_NCBIv2:G1:38452424:38455631:1 gene:PRUPE_1G459200 transcript:ONI34025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLVVRLLIIVCTLFNAFVCCWSFMHSDRSESMESHRLGLLEGNERDRVSLLAVKAQIKEDPHHVLSSWNESIHFCMWHGVTCSKRHHQRVTVLNLGSQNLVGSISPHIGNLSFLRELLLQGNSFRQQIPAEIGRLHRLQVLSLHNNSLSGPIPTNISNCFNLNFIRFGRNSLVGKIPSQLGALSRLRMFVLEFINLTGEIPPSLGNLSSLERLAAISNNLLGSIPSSLGRLKNLTFLALDLNRLSGTIPPSIFNLSALTTFSVSINQIQGSLPSDLGITLPNLQNFHCFTNRFTGPIPLSISNATHLARFIVAENKLSGINQNYLGSGTYGDLSFISDLTNATKLGRLYLDLNNFGGTLPPSISNLTTELTRLWFQENQLHGNIPVGIGNLINLEILNLGNNHFTGSIPRDIGKLSSLGLLSLRHNKLSGSIPSSLGNLTMLTYLQLQENNLQGNIPSSLGQCLRLLRLNLSQNNLDGAIPRQVFGLPSLSISLDLSRNHMTGSLPVEIGKLKSLGVLDVSDNMLYGELPSNLGSCLGLEVLHLQGNFFNGTIPSSMASLRGIQDLDLSRNNFSGEIPRFLEGFDFLKNMNLSFNELWGAVPTEGVFKNASATSVIGNIGLCGSVASLRLPNCSSKESKGRRRLPPRLKLIISIVSAFLGIALVFVPLYLCLLRKKGKKTASSSANLANSIYNLIGSGSFGSVYKGVLDDPDRSPQLVAIKVFNLSRQGASKSFLAECEALRNIRHRNLAKIITACSNVDFRGNDFKALVYEFMENGSLEEWLHPTSPKNLSLVQRLDIVMDVACALDYLHNHCETQIVHCDLKPSNVLLDKELTGHVSDFGLAKFLSKLTSNVSENHQTSSIGVRGSVGYAAPEMFTGKRPTDDMFSGGFNLHNFAKMAFLDRRVTEVADSLLLQDGTSDSIVIPRKIKECLSSIFGIGIACSAESPADRKDIGAVAYELHSIRDKLLV >ONI30712 pep chromosome:Prunus_persica_NCBIv2:G1:27577223:27577633:1 gene:PRUPE_1G268400 transcript:ONI30712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCEVVDTKEDGAFLKYQISEDMIIAGKKKSANFTVIFHEFDSEVKCNCSKFEFRGILCRHAIYVLIKHKMDLIPDKYILRRWRKDVTRRHTKIKISYNESNATLEAHQCDKMQKTFDEIKELAADSEEKCVIVMA >ONI33175 pep chromosome:Prunus_persica_NCBIv2:G1:35828692:35832145:1 gene:PRUPE_1G409600 transcript:ONI33175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTQRSSLSTNVADLVDASQSTGQDVSYIDSMPVYVRELIAGGAAGGFAKTSVAPLERTKILLQTRTEFRSLGVCQSLKKLFKHEGVRGFYKGNGASVVRIVPYAALHYMTYEQYRCWILNNYSALGSGPHIDLLAGAAAGGTAVLCTYPLDLARTKLAYQVVNTRGNFNYGMKGAQSQIVYKGIRDVLSSVYREGGLRGLYRGVGPTLTGILPYAGLKFYIYEELKTRVPEEYEKSIVTRLSCGALAGLFGQTLTYPLDVVRRQMQVENLQPSGQGGVRYKNTLEGLTYIVRNQGWKKLFSGLSINYIKIVPSVAIGFTAYDTMKAWLHVPPHQKSSQ >ONI33174 pep chromosome:Prunus_persica_NCBIv2:G1:35826933:35832145:1 gene:PRUPE_1G409600 transcript:ONI33174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIFLELIAGGAAGGFAKTSVAPLERTKILLQTRTEFRSLGVCQSLKKLFKHEGVRGFYKGNGASVVRIVPYAALHYMTYEQYRCWILNNYSALGSGPHIDLLAGAAAGGTAVLCTYPLDLARTKLAYQVVNTRGNFNYGMKGAQSQIVYKGIRDVLSSVYREGGLRGLYRGVGPTLTGILPYAGLKFYIYEELKTRVPEEYEKSIVTRLSCGALAGLFGQTLTYPLDVVRRQMQVENLQPSGQGGVRYKNTLEGLTYIVRNQGWKKLFSGLSINYIKIVPSVAIGFTAYDTMKAWLHVPPHQKSSQ >ONI29356 pep chromosome:Prunus_persica_NCBIv2:G1:18453849:18459196:1 gene:PRUPE_1G195000 transcript:ONI29356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYVISLFCEHVNQIFAQNTNLLVGVEERVRLFHADVRLLDSWFRDREVSKEDKTSTKFVIFNITKLMNDAEDTIDKVVISKMKVHMSSGVSKLLHCTYHYAVLREASIKLEHIKNEVESNVQKVKYFLRLSPRKKKFEIEIGKLIGTDKLYKGKRKAREFVTPFEISENYGGASSIIQYDIDESEEMHSSKWLKNNNEGQGSSKSGGPDWGMFYQLVMQDLKSHVLILKNLLREKEVLEKADTLHSYISELERINDFLEISNEPENKNEVRNTFFIQIMELIFKEKIVVNSLFKNITKQSTGLAKYFHQRQCAAMLNQAFRKINSIRNEINGIIDNYKKYMGRLEGEIAEIRRPLLDQSHMDDINTVGLDNEASKLVKQLTSGNSQLDLISIVGMEGIGKTTLAWKIYNDQSIKNNFDLSAWVDVSREISSDQYLLECLAKCIMPGNNQELLRMPEDELTKTLYEQLQQQRYLIVMDDLYSVEAWDYVKRVIPDNMNGSRILVTTRHQQVASYVSKGPLYFMHPLSDADSWKLLSDLAFRGSECPSTLEEIGSQIARNCHGVPLAIVIVGNLLAGEETWARYSWVYNNTPKCVIEGSERTFMTSTFNYLPRELRLCFLYFGMFPEHYEISAKQLIQLWIAEGFVHETDSVDIENVGESYLEELINLGLVQVVARRTDDGVKTCCVLGLLREFCIWQGDEIYNFFKTTFNREHMFWRQTRRLAISETVPENFSDYLDHISSTIRSFQLYGTRSFLSTMQQHLIIRKLKMLMVLNLGSLVVLQLPHALWELHNLRYLRINAPKLQHIPFSICGLFNLQTLDMRESCIHQLPGGVWKMQWLRHLHFKELRIKPGPGSRNSTLCPLKSLSGLYADRAARLFMVKAKFGNVKKIGFNGPSREVTSEFLQSLDHLNHLQTLKVVSPVDLPDSIVFPLTLTKVTLQETELQSVDIQTLEKLPNLVILKLQQHSVTGQHLCFNGGFPKLRVLHMVKLDARYCRVGSGAMCSLQCLFIKGCTDLTMSSDDLCRLTSLKNLEVVTPSTDLRNVLSQLEPSDRFTVLLTDSIHH >ONI29358 pep chromosome:Prunus_persica_NCBIv2:G1:18452464:18460444:1 gene:PRUPE_1G195000 transcript:ONI29358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYVISLFCEHVNQIFAQNTNLLVGVEERVRLFHADVRLLDSWFRDREVSKEDKTSTKFVIFNITKLMNDAEDTIDKVVISKMKVHMSSGVSKLLHCTYHYAVLREASIKLEHIKNEVESNVQKVKYFLRLSPRKKKFEIEIGKLIGTDKLYKGKRKAREFVTPFEISENYGGASSIIQYDIDESEEMHSSKWLKNNNEGQGSSKSGGPDWGMFYQLVMQDLKSHVLILKNLLREKEVLEKADTLHSYISELERINDFLEISNEPENKNEVRNTFFIQIMELIFKEKIVVNSLFKNITKQSTGLAKYFHQRQCAAMLNQAFRKINSIRNEINGIIDNYKKYMGRLEGEIAEIRRPLLDQSHMDDINTVGLDNEASKLVKQLTSGNSQLDLISIVGMEGIGKTTLAWKIYNDQSIKNNFDLSAWVDVSREISSDQYLLECLAKCIMPGNNQELLRMPEDELTKTLYEQLQQQRYLIVMDDLYSVEAWDYVKRVIPDNMNGSRILVTTRHQQVASYVSKGPLYFMHPLSDADSWKLLSDLAFRGSECPSTLEEIGSQIARNCHGVPLAIVIVGNLLAGEETWARYSWVYNNTPKCVIEGSERTFMTSTFNYLPRELRLCFLYFGMFPEHYEISAKQLIQLWIAEGFVHETDSVDIENVGESYLEELINLGLVQVVARRTDDGVKTCCVLGLLREFCIWQGDEIYNFFKTTFNREHMFWRQTRRLAISETVPENFSDYLDHISSTIRSFQLYGTRSFLSTMQQHLIIRKLKMLMVLNLGSLVVLQLPHALWELHNLRYLRINAPKLQHIPFSICGLFNLQTLDMRESCIHQLPGGVWKMQWLRHLHFKELRIKPGPGSRNSTLCPLKSLSGLYADRAARLFMVKAKFGNVKKIGFNGPSREVTSEFLQSLDHLNHLQTLKVVSPVDLPDSIVFPLTLTKVTLQETELQSVDIQTLEKLPNLVILKLQQHSVTGQHLCFNGGFPKLRVLHMVKLDARYCRVGSGAMCSLQCLFIKGCTDLTMSSDDLCRLTSLKNLEVVTPSTDLRNVLSQLEPSDRFTVLLTDSIHH >ONI29357 pep chromosome:Prunus_persica_NCBIv2:G1:18452464:18460444:1 gene:PRUPE_1G195000 transcript:ONI29357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYVISLFCEHVNQIFAQNTNLLVGVEERVRLFHADVRLLDSWFRDREVSKEDKTSTKFVIFNITKLMNDAEDTIDKVVISKMKVHMSSGVSKLLHCTYHYAVLREASIKLEHIKNEVESNVQKVKYFLRLSPRKKKFEIEIGKLIGTDKLYKGKRKAREFVTPFEISENYGGASSIIQYDIDESEEMHSSKWLKNNNEGQGSSKSGGPDWGMFYQLVMQDLKSHVLILKNLLREKEVLEKADTLHSYISELERINDFLEISNEPENKNEVRNTFFIQIMELIFKEKIVVNSLFKNITKQSTGLAKYFHQRQCAAMLNQAFRKINSIRNEINGIIDNYKKYMGRLEGEIAEIRRPLLDQSHMDDINTVGLDNEASKLVKQLTSGNSQLDLISIVGMEGIGKTTLAWKIYNDQSIKNNFDLSAWVDVSREISSDQYLLECLAKCIMPGNNQELLRMPEDELTKTLYEQLQQQRYLIVMDDLYSVEAWDYVKRVIPDNMNGSRILVTTRHQQVASYVSKGPLYFMHPLSDADSWKLLSDLAFRGSECPSTLEEIGSQIARNCHGVPLAIVIVGNLLAGEETWARYSWVYNNTPKCVIEGSERTFMTSTFNYLPRELRLCFLYFGMFPEHYEISAKQLIQLWIAEGFVHETDSVDIENVGESYLEELINLGLVQVVARRTDDGVKTCCVLGLLREFCIWQGDEIYNFFKTTFNREHMFWRQTRRLAISETVPENFSDYLDHISSTIRSFQLYGTRSFLSTMQQHLIIRKLKMLMVLNLGSLVVLQLPHALWELHNLRYLRINAPKLQHIPFSICGLFNLQTLDMRESCIHQLPGGVWKMQWLRHLHFKELRIKPGPGSRNSTLCPLKSLSGLYADRAARLFMVKAKFGNVKKIGFNGPSREVTSEFLQSLDHLNHLQTLKVVSPVDLPDSIVFPLTLTKVTLQETELQSVDIQTLEKLPNLVILKLQQHSVTGQHLCFNGGFPKLRVLHMVKLDARYCRVGSGAMCSLQCLFIKGCTDLTMSSDDLCRLTSLKNLEVVTPSTDLRNVLSQLEPSDRFTVLLTDSIHH >ONI29359 pep chromosome:Prunus_persica_NCBIv2:G1:18453690:18460444:1 gene:PRUPE_1G195000 transcript:ONI29359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYVISLFCEHVNQIFAQNTNLLVGVEERVRLFHADVRLLDSWFRDREVSKEDKTSTKFVIFNITKLMNDAEDTIDKVVISKMKVHMSSGVSKLLHCTYHYAVLREASIKLEHIKNEVESNVQKVKYFLRLSPRKKKFEIEIGKLIGTDKLYKGKRKAREFVTPFEISENYGGASSIIQYDIDESEEMHSSKWLKNNNEGQGSSKSGGPDWGMFYQLVMQDLKSHVLILKNLLREKEVLEKADTLHSYISELERINDFLEISNEPENKNEVRNTFFIQIMELIFKEKIVVNSLFKNITKQSTGLAKYFHQRQCAAMLNQAFRKINSIRNEINGIIDNYKKYMGRLEGEIAEIRRPLLDQSHMDDINTVGLDNEASKLVKQLTSGNSQLDLISIVGMEGIGKTTLAWKIYNDQSIKNNFDLSAWVDVSREISSDQYLLECLAKCIMPGNNQELLRMPEDELTKTLYEQLQQQRYLIVMDDLYSVEAWDYVKRVIPDNMNGSRILVTTRHQQVASYVSKGPLYFMHPLSDADSWKLLSDLAFRGSECPSTLEEIGSQIARNCHGVPLAIVIVGNLLAGEETWARYSWVYNNTPKCVIEGSERTFMTSTFNYLPRELRLCFLYFGMFPEHYEISAKQLIQLWIAEGFVHETDSVDIENVGESYLEELINLGLVQVVARRTDDGVKTCCVLGLLREFCIWQGDEIYNFFKTTFNREHMFWRQTRRLAISETVPENFSDYLDHISSTIRSFQLYGTRSFLSTMQQHLIIRKLKMLMVLNLGSLVVLQLPHALWELHNLRYLRINAPKLQHIPFSICGLFNLQTLDMRESCIHQLPGGVWKMQWLRHLHFKELRIKPGPGSRNSTLCPLKSLSGLYADRAARLFMVKAKFGNVKKIGFNGPSREVTSEFLQSLDHLNHLQTLKVVSPVDLPDSIVFPLTLTKVTLQETELQSVDIQTLEKLPNLVILKLQQHSVTGQHLCFNGGFPKLRVLHMVKLDARYCRVGSGAMCSLQCLFIKGCTDLTMSSDDLCRLTSLKNLEVVTPSTDLRNVLSQLEPSDRFTVLLTDSIHH >ONI36213 pep chromosome:Prunus_persica_NCBIv2:G1:46874286:46878430:-1 gene:PRUPE_1G575500 transcript:ONI36213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITHTSQGLYKETHIPKRRGSELTVRYRVICQLTLLNPYLFRHRRAFGRYRTGTPRIYRTRPFAVRRKYHCRQIALQITHIAHTHQVNQYSLIHRAMGGVVLLVRFLSIGTIIICLCNGNLGVPYCKEIERRALLMFKQDLNDSLNLLSSWVGEGDCCNWAGVVCHNLTGHVRKLRLGSYYLSGKLNPSLLNLKNLNYLDLSKNSFDGSQIPDFFGSLTSLRYLDLSQADFQGVIPHQLGNLSSLHHLDLHGNYLDVNNLQWISGLSLLQYLDMHAVNLSKASGRLQETNMLPSLVEYLDMSDCGLYHIPGGIANMTSLRVLNLQRNSISSTIPKWLYSFSHLESLFLSYNHLHADTSSSIGNLTALVNLLDFNHNQLGGKIPNSLKNLCKLTVFDLSWNHVSGRVSEIFESCSSCNSSQLESLSLSYNNLSGELTDMLGNFKNLAVLDLSSNSISGPIPPSIGSLSCLKLLNISNNSLYGDVSEVHFTNLTRLEELHANDNSLTLKTSRGWLPPFQLFMLHLNSWVLGPELPRWLQSQTRLEELYISNTRISGTIPIWFWNFSSLAIVDLSHNQLHGTDELKELTILYLGYNYLTGEIPDCWINWENLIVVDLHSNHLTGNIPSSIGDLIFLQELLLDNNHLSGELPLSLQKCKNLLLVSLAKNNFIGSIPTWIGKSLSQLMVLIFYSNKLHGDIPNELCNLLSLQILDPSLNNFVGAIPICFGKFRAMSSAKFESRGSSFFFRFKHGFFGKYIDNDMLVKESKIFGILDLSSNNLSGEIP >ONI27541 pep chromosome:Prunus_persica_NCBIv2:G1:7152808:7156108:-1 gene:PRUPE_1G093600 transcript:ONI27541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRIGVALFLLYLSVFYPQSEVQSFATPSDHDLSYMKFVYNSTDMPLEEVYDYIVVGGGTAGCPLAATLSAKYSVLLLEKGSVPSAYPSVLRQDEILTTLMLEDDGKTPAERFTSEDGVANVRGRVLGGSSMINIGIYSRADKEFYGNSGIEWDMDLVSKAYQWVENTIITPPNVSRWQSVVKEGLIEAGVRPDNGYNLNHIPGSKVTGTLFDIQGRRHGAVELLNLGNPKNLRVAVHATVERIIFSSNAPSLSARGIVYSDSKGRSHKAFIRGKGEVILSAGAIGSTQLLLLSGVGPESYLSSIKIPVVHPEPYIGQFMRDNPRNYITILPPFQLDASSAQIVGITSDFYIETFSGLPFSTPPFSVFPDPSFSTKINSTFGQIVYKIPGPLSYGSLRLQSSYDVKVGPNVRFNYFANPLDLARCVSATRKIGDLLSTNSLKPFKAQDLPGIDGFNFFGPPLPMNLTDTASVETFCRETVATFWHYHGGCLVGKVVDGDLRVKGINSLRVVDGSTFKFSPGTNPQATLMMLGRYIGVKMLKER >ONI27542 pep chromosome:Prunus_persica_NCBIv2:G1:7152808:7156689:-1 gene:PRUPE_1G093600 transcript:ONI27542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRIGVALFLLYLSVFYPQSEVQSFATPSDHDLSYMKFVYNSTDMPLEEVYDYIVVGGGTAGCPLAATLSAKYSVLLLEKGSVPSAYPSVLRQDEILTTLMLEDDGKTPAERFTSEDGVANVRGRVLGGSSMINIGIYSRADKEFYGNSGIEWDMDLVSKAYQWVENTIITPPNVSRWQSVVKEGLIEAGVRPDNGYNLNHIPGSKVTGTLFDIQGRRHGAVELLNLGNPKNLRVAVHATVERIIFSSNAPSLSARGIVYSDSKGRSHKAFIRGKGEVILSAGAIGSTQLLLLSGVGPESYLSSIKIPVVHPEPYIGQFMRDNPRNYITILPPFQLDASSAQIVGITSDFYIETFSGLPFSTPPFSVFPDPSFSTKINSTFGQIVYKIPGPLSYGSLRLQSSYDVKVGPNVRFNYFANPLDLARCVSATRKIGDLLSTNSLKPFKAQDLPGIDGFNFFGPPLPMNLTDTASVETFCRETVATFWHYHGGCLVGKVVDGDLRVKGINSLRVVDGSTFKFSPGTNPQATLMMLGRYIGVKMLKER >ONI27543 pep chromosome:Prunus_persica_NCBIv2:G1:7152808:7155006:-1 gene:PRUPE_1G093600 transcript:ONI27543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVYNSTDMPLEEVYDYIVVGGGTAGCPLAATLSAKYSVLLLEKGSVPSAYPSVLRQDEILTTLMLEDDGKTPAERFTSEDGVANVRGRVLGGSSMINIGIYSRADKEFYGNSGIEWDMDLVSKAYQWVENTIITPPNVSRWQSVVKEGLIEAGVRPDNGYNLNHIPGSKVTGTLFDIQGRRHGAVELLNLGNPKNLRVAVHATVERIIFSSNAPSLSARGIVYSDSKGRSHKAFIRGKGEVILSAGAIGSTQLLLLSGVGPESYLSSIKIPVVHPEPYIGQFMRDNPRNYITILPPFQLDASSAQIVGITSDFYIETFSGLPFSTPPFSVFPDPSFSTKINSTFGQIVYKIPGPLSYGSLRLQSSYDVKVGPNVRFNYFANPLDLARCVSATRKIGDLLSTNSLKPFKAQDLPGIDGFNFFGPPLPMNLTDTASVETFCRETVATFWHYHGGCLVGKVVDGDLRVKGINSLRVVDGSTFKFSPGTNPQATLMMLGRYIGVKMLKER >ONI32825 pep chromosome:Prunus_persica_NCBIv2:G1:34725720:34729578:1 gene:PRUPE_1G388500 transcript:ONI32825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIQQALQDFQALPFAIPVALGALSLLFLFSIKGLIFTKKSAFSQLPSVPVVPGLPVVGNLLQLKDKKPYKTFTKWAEKYGPIYSIRTGASTVVVLNTTDVAKEAMVTRYSSMSTKKLSKALKILTCNKCMVAISDYNDFHKMIKRYILTNVLGANAQKRHRSHRDTMRENVASRLHAHVKNAPQEAINFRKIFEYELFGISLKQALGKNIEDSIYVEELGTTLSRDEIFKVLVLDIMEAAIDVDWRDFFPYLRWVPNKSLEMKIQRLYTRRSAVMNALIDDRKKGIASGEELNCYTDYLLSEAKTLTSEQIAMLLWETIIETADTTLVTTEWAMYEIAKDSNRQGRLYQEIQNVCGSNKITEEHLSQLPYLSAVFHETLRKHSPAPIVPLRYAHEDTQLGGYYVPAGSEIAINIYGCNMDKNQWESPGEWKPERFLEPKYDPMDLYKTMAFGAGKRVCAGSLQAMLIACTTIGRLVQEFEWKLRDGEEENVDTVGLTTHKLHPMHAILKPRN >ONI35542 pep chromosome:Prunus_persica_NCBIv2:G1:44237296:44238278:1 gene:PRUPE_1G541700 transcript:ONI35542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRMHISFSNIVTIMTVCIICAKFSSMLAVLISETKLKQNHIKHICLFRQMAHFGYHCSLNSPSPPTPNLFCVQNSSFRAKR >ONI26254 pep chromosome:Prunus_persica_NCBIv2:G1:984088:988733:-1 gene:PRUPE_1G013000 transcript:ONI26254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNSIISGDKPTVMVTNDDGIEGPGLLALVQVLVSTRRYNVLVCAPESEQSAVSHCITWRHPIAAKQVHIDGATAYAVSGSPADCASLGISKTLFPSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFLNGVPAISVSYDWVGAKSSINDFTLAAEACLPIINGVLAELRNKTYPQNCFLNIDLPANIANHKGYKLTKQGKSLIKMGWRQVTSESDKAAGKMLSTMVMETDSTASTEINASATSAEHLLFTREIRSGQVDEDDSDHRSLQEGYITVTPLGALSNVEIDCHAYLKEWLPNAAERLSASAL >ONI26255 pep chromosome:Prunus_persica_NCBIv2:G1:984149:988733:-1 gene:PRUPE_1G013000 transcript:ONI26255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNSIISGDKPTVMVTNDDGIEGPGLLALVQVLVSTRRYNVLVCAPESYSAVSHCITWRHPIAAKQVHIDGATAYAVSGSPADCASLGISKTLFPSVPDLVISGINMGSNCGYHIVYSGTVAGAREAFLNGVPAISVSYDWVGAKSSINDFTLAAEACLPIINGVLAELRNKTYPQNCFLNIDLPANIANHKGYKLTKQGKSLIKMGWRQVTSESDKAAGKMLSTMVMETDSTASTEINASATSAEHLLFTREIRSGQVDEDDSDHRSLQEGYITVTPLGALSNVEIDCHAYLKEWLPNAAERLSASAL >ONI32328 pep chromosome:Prunus_persica_NCBIv2:G1:33288120:33290336:-1 gene:PRUPE_1G361500 transcript:ONI32328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVAFVVDKFRGLTKSTQELFDGLIHGHPQRSARRHPIEILKRLQREAFSDLMKLRDRQDKVERMVSLYGTSKGSPFQEASTLVRGEVDLLGAVLLMHNIDDDHKSDVLSRAGMRTGVDSRFAFETSVRQNDTLVTEFVASQKHKGSLSDLSECPLSLAKVSYTANVSNWLSAIAIPVGAQCRDVAVLTNPSHQGKGLTDLSSFGPPLLNQHNGSAVGLMVKKSNTVASLAQFVSGLGAQVGSGRVELCLSTFGQIVCQLPGGTKLSLLGLHQMPRLSSEYVDHRIGALTIPLGSLTHDRFRETIVEGSLTQDRSPEAMVEAYVPALGSNSPEKGSSGSIALMLESEVDEMTKLGGWIEMKQSNPRHLEWAVSVSDDSEDSVGWGMNLSGILGGPNLWDRVQIESYMKLNLGKRFSVKPGIAHIVDGNARMTALMLRSNWSL >ONI32329 pep chromosome:Prunus_persica_NCBIv2:G1:33287714:33290499:-1 gene:PRUPE_1G361500 transcript:ONI32329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGVDSRFAFETSVRQNDTLVTEFVASQKHKGSLSDLSECPLSLAKVSYTANVSNWLSAIAIPVGAQCRDVAVLTNPSHQGKGLTDLSSFGPPLLNQHNGSAVGLMVKKSNTVASLAQFVSGLGAQVGSGRVELCLSTFGQIVCQLPGGTKLSLLGLHQMPRLSSEYVDHRIGALTIPLGSLTHDRFRETIVEGSLTQDRSPEAMVEAYVPALGSNSPEKGSSGSIALMLESEVDEMTKLGGWIEMKQSNPRHLEWAVSVSDDSEDSVGWGMNLSGILGGPNLWDRVQIESYMKLNLGKRFSVKPGIAHIVDGNARMTALMLRSNWSL >ONI26847 pep chromosome:Prunus_persica_NCBIv2:G1:3511355:3512288:1 gene:PRUPE_1G050100 transcript:ONI26847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELHAAALAYYSNGSPELQRLAWSFFQSMDTNNDGRISSSEFYEFLQQSGYGWIVNDPSFFTKLDRNGDGGLDFYEVLTFYYIVKTRNILCQGCRVYLCGLYFTCVACFDVGAGHHQGTYDLCAACYGVKNFYHHHTYFLDNHVLLRSKRGLPPCASPDLNKAMTPVPQPLVIYNNYYFQAPERNKWFQAYRLFEIAVAAASIAANCTIM >ONI28405 pep chromosome:Prunus_persica_NCBIv2:G1:11057415:11062995:-1 gene:PRUPE_1G140700 transcript:ONI28405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASKPQVSSDEALSNGSNSSEEDPINDQEEEVDEEELEAVARSADSDDDEAAAGDASPGSDDDVAADENGDDGFEEDEDDVTNLSNAEIGKREKARLRDMQQMKKQKVQEILDTQNAAIDADMNNKGKGRLKYLLQQTELFAHFAKSDQSASQKKVKGKGRHASKITEEEEDEECLKEEEDGLSGTGTTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPTLRAVKFLGNPDERKHIREDLLVAGNFDVCVTSFEMAIKEKTCLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNFRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDEKDEKLDFKKIVSDNWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQVKDTIEVDEPEGTYFGDPLTAEEVEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIAAEMEGKTEEEVERYAKAFKERYKELNG >ONI28404 pep chromosome:Prunus_persica_NCBIv2:G1:11056142:11062993:-1 gene:PRUPE_1G140700 transcript:ONI28404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASKPQVSSDEALSNGSNSSEEDPINDQEEEVDEEELEAVARSADSDDDEAAAGDASPGSDDDVAADENGDDGFEEDEDDVTNLSNAEIGKREKARLRDMQQMKKQKVQEILDTQNAAIDADMNNKGKGRLKYLLQQTELFAHFAKSDQSASQKKVKGKGRHASKITEEEEDEECLKEEEDGLSGTGTTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPTLRAVKFLGNPDERKHIREDLLVAGNFDVCVTSFEMAIKEKTCLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNFRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDEKDEKLDFKKIVSDNWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQVKDTIEVDEPEGTYFGDPLTAEEVEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIAAEMEGKTEEEVERYAKAFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKSMTPSKRAMGRQPTESPTSGKKRKQLTMDDYVSGKRRK >ONI28403 pep chromosome:Prunus_persica_NCBIv2:G1:11055766:11063113:-1 gene:PRUPE_1G140700 transcript:ONI28403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASKPQVSSDEALSNGSNSSEEDPINDQEEEVDEEELEAVARSADSDDDEAAAGDASPGSDDDVAADENGDDGFEEDEDDVTNLSNAEIGKREKARLRDMQQMKKQKVQEILDTQNAAIDADMNNKGKGRLKYLLQQTELFAHFAKSDQSASQKKVKGKGRHASKITEEEEDEECLKEEEDGLSGTGTTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPTLRAVKFLGNPDERKHIREDLLVAGNFDVCVTSFEMAIKEKTCLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNFRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFVFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDEKDEKLDFKKIVSDNWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQVKDTIEVDEPEEVGDPLTAEEVEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIAAEMEGKTEEEVERYAKAFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKSMTPSKRAMGRQPTESPTSGKKRKQLTMDDYVSGKRRK >ONI30945 pep chromosome:Prunus_persica_NCBIv2:G1:28539592:28541920:-1 gene:PRUPE_1G283300 transcript:ONI30945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFKKSPAKIAKQNSVDPGFQAPADSETDKDTHKPARRTSSEPMLITPDFSKEKPGKRGSSPASYKNDFLDSGGIENQSVQELEKYAVYKAEETTQSVNNCLRIAENIREDATKTLDMLHAQGEQITRTHMAAVDIDKDLSRGEKLLNNLGGMFAMPWKAKKGKDISGPDFSAASAPKKSDPHQREKLGLAPIPKGRSAPATSPPDGSGALQQVEYEKAKQDDALSDLSNILGDLKGMAVDMGSELDRQNKTIDHLSDDVDELNSRMKGANQRTRRLLGK >ONI30946 pep chromosome:Prunus_persica_NCBIv2:G1:28539860:28541114:-1 gene:PRUPE_1G283300 transcript:ONI30946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFKKSPAKIAKQNSVDPGFQAPADSETDKDTHKPARRTSSEPMLITPDFSKEKPGKRGSSPASYKNDFLDSGGIENQSVQELEKYAVYKAEETTQSVNNCLRIAENIREDATKTLDMLHAQGEQITRTHMAAVDIDKDLSRGEKLLNNLGGMFAMPWKAKKGKDISGPDFSAASAPKKSDPHQREKLGLAPIPKGRSAPATSPPDGSGALQQVEYEKAKQDDALSDLSNILGDLKGMAVDMGSELDRSISFFYSLHILHDCIYISTLLLSNIWKLQAKQNYRSSL >ONI30947 pep chromosome:Prunus_persica_NCBIv2:G1:28539592:28541920:-1 gene:PRUPE_1G283300 transcript:ONI30947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFKKSPAKIAKQNSVDPGFQAPADSETDKDTHKPARRTSSEPMLITPDFSKEKPGKRGSSPASYKNDFLDSGGIENQSVQELEKYAVYKAEETTQSVNNCLRIAENIREDATKTLDMLHAQGEQITRTHMAAVDIDKDLSRGEKLLNNLGGMFAMPWKAKKGKDISGPDFSAASAPKKSDPHQREKLGLAPIPKGRSAPATSPPDGSGALQQVEVRVFVIMVIKNMATCSPDLLIWDWLSIPV >ONI28789 pep chromosome:Prunus_persica_NCBIv2:G1:12870159:12874066:-1 gene:PRUPE_1G161300 transcript:ONI28789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSHCRHRLLLRQKTKEDAFSVVDPPIDSPLENTFLWHFAVTFLAMVVVGMSMPLYTRFRACERNQSEYKFIQNIIEEISKHVLNSACLEVAEHPVGMQAQVQGMNKLLDLGGNDVRMVGVWGTGGIGKTTIAKAVYNSIAHKFEGCCFLANVRECSTSHGGLAKLQKTLLFEILRGKKLKVTNVDKGVTLIKEWLRRRKVLLVLDDVDDMEQLHKLVGACDWFGVGSRIIITTRDKQLLTAHDVNLIHEVKILHDPEALELLSWYAFKRSEPPLGDYVKLAERAMRYAQGLPLALKVLGSHLYGGSIHKWQAALDGFQGTEIQEVLKISYNALDDRVKKVFLDITCFFKGTSRNYVTEACELNTRYGIDVLIEKALISVEGSYIQMHDLLEKMGKDIIEQESPTEPGERSRLWFHEDVEHVLTNNTGTNKITGIVLNFPKQDNEIFLDVGTSFSKMKNLKILINYNVCLSGDASSIPNNLRVLDWHGCPFQSFPANFRPKALFVLNLPYSRIKQLGEGLKVLRKLTSLNFEESQFLIEIPDLSSSPNLRYLQASGCTSLVEVHPSVGYLDKLVVLDFRYCRELTKFPNKVRLKSLNFFGLYGCIKLESFPELVDKMKSLNELFLGRTAIKELPASIGHLIGLEKLDISSVSVIEKFMAPARHLIWLKELGLFGSAIKELPSSIGNLTTLKQLWLGGTAIQELPSSIGNLTTLKQLWLGGTAIQELPSSIGNLTALEILYLKGCENLANLPQSIYGLQSLESIFLDLCPKLVTLPNNLISEVLSSAESLPLEVRTKSYNSFKSCSLYLYFEECNVSDIDSLENFCCWSHIKKITLCNSNFVNLPVCFSKFVNLRELDLRGCKRLVEILVQLPTSIKWVHMCDCISLERFLTLSKILEDGYMQGISYMDLSNCHRLCYNLGLDVSKMAKLFNEVTYLSFFYIINLHTLHILLILYYVRCTHD >ONI29297 pep chromosome:Prunus_persica_NCBIv2:G1:17749304:17757249:-1 gene:PRUPE_1G191800 transcript:ONI29297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRSDGPASEPVRTSGRVRRRPTAYGRSMYYYNTSTSSLIQKRRNRKNKTKTRTAASHIAKIMRHGSRSQRSTQPSTPPDSNNHNAEASNLRRSTRKRKETKNRDLYTTDDSSGSEDLDIMKATGKSMKNQVHNSACKDEPSSPKHKKILETRQTPRREGLRPRRLKSSREQLVLRFDDEQDTSEEKIDQEETENGNDIEYNEADDGQNEGDGEDVGDGDGDDDGDGDGDEDGDDEEGEEEQDGRRRYDLRNRADVRKLRPRSPRRVLRQGMGTKVGRDVRKGGSRVHKRHRMTRTDDSDDSLLVDELDQGPAIPWGRGGSRSGPPWLFGGLDTHGTTAWGLNVAASGWGHQGDAFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARSEILDIHTRKWKHPPSRELKLELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVKVEKYHFVEAMSTITPAAHRGAVVHSRPLSLVVAPCLQRHLRKSMNYISDIFPPLAVTSQLTKLAMLSSGSAIPLVYRPRLLLCGGEGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTPDEALVHIFGEARRTTPSILYLPQLNLWWETAHEQLRAVLLTLLEELPSDLPILLLATLSVPPAEVDATASSIFSDRSVYQLGKPSTEDRFLFFDRLIEAALSVLLEGITKKPQESVSVPELPKAPKVASGPKVSELKAKVEAEQHALRRLRMCLRDVCNRLLYDKRFGAFHYPVSEEDAPNYRTIIQNPIDVAKLLQNVDSGQYITCSSFLQDVDLIVSNAKAYNGDDYNGARIVSRAHELRDAVHGMLSQMDPALVAYCDKIAAEGGPEHIPDGLGVSTFPVIPVVQLGTVTRASARLRNVQLEVPVDQNYEACRRPKRNVEPQLEVPVDQNYEALKQPKRNVEPQLEVHVDENYEVLKWPNRNVEPAHAAFYE >ONI29299 pep chromosome:Prunus_persica_NCBIv2:G1:17751516:17756831:-1 gene:PRUPE_1G191800 transcript:ONI29299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRSDGPASEPVRTSGRVRRRPTAYGRSMYYYNTSTSSLIQKRRNRKNKTKTRTAASHIAKIMRHGSRSQRSTQPSTPPDSNNHNAEASNLRRSTRKRKETKNRDLYTTDDSSGSEDLDIMKATGKSMKNQVHNSACKDEPSSPKHKKILETRQTPRREGLRPRRLKSSREQLVLRFDDEQDTSEEKIDQEETENGNDIEYNEADDGQNEGDGEDVGDGDGDDDGDGDGDEDGDDEEGEEEQDGRRRYDLRNRADVRKLRPRSPRRVLRQGMGTKVGRDVRKGGSRVHKRHRMTRTDDSDDSLLVDELDQGPAIPWGRGGSRSGPPWLFGGLDTHGTTAWGLNVAASGWGHQGDAFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARSEILDIHTRKWKHPPSRELKLELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVKVEKYHFVEAMSTITPAAHRGAVVHSRPLSLVVAPCLQRHLRKSMNYISDIFPPLAVTSQLTKLAMLSSGSAIPLVYRPRLLLCGGEGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTPDEALVHIFGEARRTTPSILYLPQLNLWWETAHEQLRAVLLTLLEELPSDLPILLLATLSVPPAEVDATASSIFSDRSVYQLGKPSTEDRFLFFDRLIEAALSVLLEGITKKPQESVSVPELPKAPKVASGPKVSELKAKVEAEQHALRRLRMCLRDVCNRLLYDKRFGAFHYPVSEEDAPNYRTIIQNPIDVAKLLQNVDSGQYITCSSFLQDVDLIVSNAKV >ONI29296 pep chromosome:Prunus_persica_NCBIv2:G1:17749304:17757250:-1 gene:PRUPE_1G191800 transcript:ONI29296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRSDGPASEPVRTSGRVRRRPTAYGRSMYYYNTSTSSLIQKRRNRKNKTKTRTAASHIAKIMRHGSRSQRSTQPSTPPDSNNHNAEASNLRRSTRKRKETKNRDLYTTDDSSGSEDLDIMKATGKSMKNQVHNSACKDEPSSPKHKKILETRQTPRREGLRPRRLKSSREQLVLRFDDEQDTSEEKIDQEETENGNDIEYNEADDGQNEGDGEDVGDGDGDDDGDGDGDEDGDDEEGEEEQDGRRRYDLRNRADVRKLRPRSPRRVLRQGMGTKVGRDVRKGGSRVHKRHRMTRTDDSDDSLLVDELDQGPAIPWGRGGSRSGPPWLFGGLDTHGTTAWGLNVAASGWGHQGDAFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARSEILDIHTRKWKHPPSRELKLELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVKVEKYHFVEAMSTITPAAHRGAVVHSRPLSLVVAPCLQRHLRKSMNYISDIFPPLAVTSQLTKLAMLSSGSAIPLVYRPRLLLCGGEGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTPDEALVHIFGEARRTTPSILYLPQLNLWWETAHEQLRAVLLTLLEELPSDLPILLLATLSVPPAEVDATASSIFSDRSVYQLGKPSTEDRFLFFDRLIEAALSVLLEGITKKPQESVSVPELPKAPKVASGPKVSELKAKVEAEQHALRRLRMCLRDVCNRLLYDKRFGAFHYPVSEEDAPNYRTIIQNPIDVAKLLQNVDSGQYITCSSFLQDVDLIVSNAKAYNGDDYNGARIVSRAHELRDAVHGMLSQMDPALVAYCDKIAAEGGPEHIPDGLGVSTFPVIPVVQLGTVTRASARLRNVQLEVPVDQNYEACRRPKRNVEPQLEVPVDQNYEALKQPKRNVEPQLEVHVDENYEVLKWPNRNVEPAHAASTAEDKSWLQDSILSKSSQGPETNETNPEVPESSHQHETSGEISGHNSHVIGSQDITMSDGEMTNQIESVRRLFVERTENYDIPQLERLYTRIMKGIFDIKHKGDIDGTKPSILRYLLKFAEGEANL >ONI29298 pep chromosome:Prunus_persica_NCBIv2:G1:17751516:17756831:-1 gene:PRUPE_1G191800 transcript:ONI29298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRSDGPASEPVRTSGRVRRRPTAYGRSMYYYNTSTSSLIQKRRNRKNKTKTRTAASHIAKIMRHGSRSQRSTQPSTPPDSNNHNAEASNLRRSTRKRKETKNRDLYTTDDSSGSEDLDIMKATGKSMKNQVHNSACKDEPSSPKHKKILETRQTPRREGLRPRRLKSSREQLVLRFDDEQDTSEEKIDQEETENGNDIEYNEADDGQNEGDGEDVGDGDGDDDGDGDGDEDGDDEEGEEEQDGRRRYDLRNRADVRKLRPRSPRRVLRQGMGTKVGRDVRKGGSRVHKRHRMTRTDDSDDSLLVDELDQGPAIPWGRGGSRSGPPWLFGGLDTHGTTAWGLNVAASGWGHQGDAFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDDIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARSEILDIHTRKWKHPPSRELKLELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVKVEKYHFVEAMSTITPAAHRGAVVHSRPLSLVVAPCLQRHLRKSMNYISDIFPPLAVTSQLTKLAMLSSGSAIPLVYRPRLLLCGGEGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTPDEALVHIFGEARRTTPSILYLPQLNLWWETAHEQLRAVLLTLLEELPSDLPILLLATLSVPPAEVDATASSIFSDRSVYQLGKPSTEDRFLFFDRLIEAALSVLLEGITKKPQESVSVPELPKAPKVASGPKVSELKAKVEAEQHALRRLRMCLRDVCNRLLYDKRFGAFHYPVSEEDAPNYRTIIQNPIDVAKLLQNVDSGQYITCSSFLQDVDLIVSNAKV >ONI31888 pep chromosome:Prunus_persica_NCBIv2:G1:31775804:31776452:1 gene:PRUPE_1G336900 transcript:ONI31888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIGISSHVPQPLKIPQCPLLPICPYSHKAYDSAAYAQLTCAHLTVGVEVESFPMQQRQEAAVHVSP >ONI35301 pep chromosome:Prunus_persica_NCBIv2:G1:43257804:43260847:-1 gene:PRUPE_1G528700 transcript:ONI35301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVSGSNPSADAKLRRAESQKDKLRVKRKTLQAVLEQCQRALVSLDTTGGADGDSDGDDNGEDEDDDDDNSSRGAVDDDERQQGRRSTSSRPDCEADELCNLLKSRVECPDFLEKLQCAQASSVPQNMAEEGSSWDLVNENDLWEDEDSDLGEEDYVLVRQEDIVEGIACFMAAYLLSLKQTKELTPNQLQDALSKTFSVRKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPVIVRAATKAFWTSCQVISKLL >ONI35302 pep chromosome:Prunus_persica_NCBIv2:G1:43257804:43260847:-1 gene:PRUPE_1G528700 transcript:ONI35302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVSGSNPSADAKLRRAESQKDKLRVKRKTLQAVLEQCQRALVSLDTTGGADGDSDGDDNGEDEDDDDDNSSRGAVDDDERQQGRRSTSSRPDCEADELCNLLKSRVECPDFLEKLQCAQASSVPQNMAAEEGSSWDLVNENDLWEDEDSDLGEEDYVLVRQEDIVEGIACFMAAYLLSLKQTKELTPNQLQDALSKTFSVRKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPVIVRAATKAFWTSCQVISKLL >ONI35303 pep chromosome:Prunus_persica_NCBIv2:G1:43257554:43261213:-1 gene:PRUPE_1G528700 transcript:ONI35303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVSGSNPSADAKLRRAESQKDKLRVKRKTLQAVLEQCQRALVSLDTTGGADGDSDGDDNGEDEDDDDDNSSRGAVDDDERQQGRRSTSSRPDCEADELCNLLKSRVECPDFLEKLQCAQASSVPQNMAEEGSSWDLVNENDLWEDEDSDLGEEDYVLVRQEDIVEGIACFMAAYLLSLKQTKHLAKHSP >ONI36069 pep chromosome:Prunus_persica_NCBIv2:G1:46315054:46322465:-1 gene:PRUPE_1G567800 transcript:ONI36069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGEQNLHQQQSHGGENSSELICPGCSMVFNRIAEDFSFRCVFVLILSLSIFLSGIFWILPYRSTKSGFDAKEAIKLSATVQAYFRLEKPVMDLVPHIRRLEYDINGEIGVPGTKVAILSMHQNDAYNWTDVVFGVLSDPINAPMIPVSLSVLRSSFVELFLKQTNLTVTTSIFGQPSMFEILKYPAGITVIPGQSASIWQIPQILFNFTLNNSTSDIVENFVQLKEQLRFGLHLRSYENVFLQITNKLGSTTDAPVVVQASLMSEFGGIVPQRLKQLAQTITGSPAKNLGLDNSVFGKVKSISLSSYLKGTLTATSPTPSPAPSPEPTISPYPASPVHSPAPSPDSNHLPPAPSKVAPHPRPCPYHGSGIPPSSSPTSHPNPTVPPTYAPNGSPYSPSMSPSSQLSPHVSPAPVVSNAPSPGNKGSAQDLISPSPSPSLSSSAVGPFYKEIRFLEFSGLLIFYLLCWLR >ONI30948 pep chromosome:Prunus_persica_NCBIv2:G1:28542832:28548406:1 gene:PRUPE_1G283400 transcript:ONI30948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPTSAHSNLATQSKFISSFIYSNTIRRNSRWLFISTFCSSRARRQLCVKTVATDQKDAATQTQEGSLATFPPDSASIASIIKYHAEFTPSFSIESFGLPKAFYATAESVRDMLIMNWNETYEYYEKLNVKQAYYLSMEFLQGRALLNAVGNLELSGAYAEALKKLGHNLEDVARQEPDAALGNGGLGRLASCFLDSLATQNYPAWGYGLRYKYGLFKQHITKDGQEEVAENWLEMGNPWEIPRNDVSYPVKFYGEVVSGPDGNKQWIGGENVTAVAYDVPIPGYKTKTTVNLRLWSTKVAPEEFDLRAFNTGDHAKAYAAIKNAEKICYILYPGDESVEGKSLRLKQQYTLCSASLQDIIARFERRSGEPMKWEEFPEKVAVQMNDTHPTLCIPELIRILMDAKGLSWKEAWDITRRTVAYTNHTVLPEALEKWSLQLIQELLPRHVQIIKLIDEELIHTIIAEYGTEDLDLLVQKLREMRILDNIELPDSVLEILSKSEESSAVDHIEEVDKEAKATDEEAQSEGLNTEKKKEVTFEPDPKLPKMVRMANLCVAGGHAVNGVAEIHSEIVKNEVFNDFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSTIITKWTGTEDWVKDTEILVTLGKFADNEDIQSEWREAKRRNKIKVASFLKEKTGYLVNPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSPDERKARFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDQEIGDLLKVVFVPDYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFAMNGCIQIGTLDGANVEIRQEVGEDNFFLFGAHAHEIAGLRNERAQGKFVADPRFEEVKAYVRSGVFGPYNYGELMGSLEGNEGYGRADYFLVGKDYPSYLECQDKVDEAYRDQKRWTKMSILNTAGSYKFSSDRTIHEYARDIWRIEPVVLP >ONI30949 pep chromosome:Prunus_persica_NCBIv2:G1:28542832:28548406:1 gene:PRUPE_1G283400 transcript:ONI30949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIMNWNETYEYYEKLNVKQAYYLSMEFLQGRALLNAVGNLELSGAYAEALKKLGHNLEDVARQEPDAALGNGGLGRLASCFLDSLATQNYPAWGYGLRYKYGLFKQHITKDGQEEVAENWLEMGNPWEIPRNDVSYPVKFYGEVVSGPDGNKQWIGGENVTAVAYDVPIPGYKTKTTVNLRLWSTKVAPEEFDLRAFNTGDHAKAYAAIKNAEKICYILYPGDESVEGKSLRLKQQYTLCSASLQDIIARFERRSGEPMKWEEFPEKVAVQMNDTHPTLCIPELIRILMDAKGLSWKEAWDITRRTVAYTNHTVLPEALEKWSLQLIQELLPRHVQIIKLIDEELIHTIIAEYGTEDLDLLVQKLREMRILDNIELPDSVLEILSKSEESSAVDHIEEVDKEAKATDEEAQSEGLNTEKKKEVTFEPDPKLPKMVRMANLCVAGGHAVNGVAEIHSEIVKNEVFNDFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSTIITKWTGTEDWVKDTEILVTLGKFADNEDIQSEWREAKRRNKIKVASFLKEKTGYLVNPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSPDERKARFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDQEIGDLLKVVFVPDYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFAMNGCIQIGTLDGANVEIRQEVGEDNFFLFGAHAHEIAGLRNERAQGKFVADPRFEEVKAYVRSGVFGPYNYGELMGSLEGNEGYGRADYFLVGKDYPSYLECQDKVDEAYRDQKRWTKMSILNTAGSYKFSSDRTIHEYARDIWRIEPVVLP >ONI36432 pep chromosome:Prunus_persica_NCBIv2:G1:47469101:47478345:1 gene:PRUPE_1G584800 transcript:ONI36432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSGIVSNSKNNLRVTTPQQSLRRLGLCSQIATATGGQHSSPIVFPEKQKRHKIKAASKTPPTPTPADDPNIVKALDHRIDIRASAAGDEKSDLLGYAVFSGKLVLDKRKTSSINTTSTDAQQQQTSSSSNDITNQEAVDAKLTSKALIWGSHMLHLDDVISVSYNVGLRHFTVHSYPLKKGSCGLSCFMKPRRSRKDFRFLASSIEEAVQWVGGFADQQCYVNCLPHPLLSSKKQASSELLPIDTPPELIFKCKSPPKMLVILNPRSGRGRSSKVFHAVVEPIFKLAGFKLEVVKTTSAGHARKLASSVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIVPAGSDNSLVWTVLGVRDPVSAAIAIVKGGLTATDVFAVEWIQTGVIHFGMTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSYEVEYLPALNEDLEGKLSAEREVVDMSELYTDIMRRSNTDGIPRASSLSSIDSIMTPTRMSGDLDATCSSNHATIEPSEYVRGLDPKSKRLSMGRNNITAEPEVIHPQLPLSTTPNWPRTRSKSRTDKGWTGLTATHDASRSSWGNAGTNDREDISSTLSDPGPIWDAEPKWDTEPNWDVENPIELPGPSDDVEAGRKEVVSRYEDKWVVTKGQFLGILVCNHACRTVQSSQVVAPKAEHDDNTLDMLLVHGSGRLRLLRFFMLLQMGRHLSLPYVENVKVKSVKIKASGKHGHNGCGIDGELFPLNGQVISSLLPEQCRLIGRSLSHQV >ONI29905 pep chromosome:Prunus_persica_NCBIv2:G1:23568649:23574767:-1 gene:PRUPE_1G221200 transcript:ONI29905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATVSVKQEITEKTNQIPNPSPIYLSSDSSSASSVNSSDVEDLDSSSLLGSVASKLKKNRDLAGGEGAPATKKLKSKGNAGFTFPLGFLHPLTPLEIAKAVPLAQVPAENVAKSVGVNSNNNNDNQVGLVLRSCKQFWKAGDYEGGSEGMANSGFSSVGMDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEICYGASYVHIDMLRNKKDGSEMLMVEDNGGGMAPDKMRQCMSLGYSAKSKMANTIGQYGNGFKTSTMRLGADVIVFSRCRGIDGGSPTQTIGMLSYSFLRDTGKEDIVVPIVDYEKTGQGWNRMVRGSLDDWERNLATIVQWSPYLSEEVLLQQFTLFKEHGTRIIIYNLWEDDEGQLELDFDTDPHDIQIRGVNRDEKKIHMAKQYPNSRHFLTYRHSLRSYASILYLKLPYNFRIILRGKDVEHHNIVNDMMLTKEVTYRPLHLPEGIPKDVNMIANVTLGFVKDARFHIDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTIVLSRLEARLVAMQKDFWSKNCQIVGYAPRRRSSDFSEREPLASNQEKSAGTKQNCPGSSTGGVMKMSSKKLEKGSSPTDASSEDEASYASIEPQQDPASDSRGHQMLRHSHSKTPATKGAEQVSTAGNVECSTKSKKHSHEAIERLKETGGPTMLKLLKDLSSEKARCKSLENELQQEKQKTEEMEKEQSALIEILVAEKAEREKEEERLRKSLTDASNTIDHLLEKVRKLEGMKLLKCKVESE >ONI28142 pep chromosome:Prunus_persica_NCBIv2:G1:9930607:9931666:1 gene:PRUPE_1G126100 transcript:ONI28142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFCVNGIVLEEQWALVIQIFFHKLKGDAFCFQGQFHSLCVKAKPRSMQGHLVSSCHLSFTHFFRFGLDVGVKCFCSFL >ONI28513 pep chromosome:Prunus_persica_NCBIv2:G1:11397668:11399472:1 gene:PRUPE_1G145200 transcript:ONI28513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAARITFRILIIALVLLVLFYVGRPLYWKISATIHEIRENKQTVQQGLSKIVLEAQKSVGWYHDESDSGSRVNRVAKNVGLATTRRLLLHQVS >ONI27484 pep chromosome:Prunus_persica_NCBIv2:G1:6707243:6711285:1 gene:PRUPE_1G089600 transcript:ONI27484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKGIACLSESENPIDQQHPHQAVYLMDSPSATPHFGSNSTAVSSPNWNEESPRVKFLCSFSGSILPRPQDGKLRYVGGETRIVSVPRDIKYEELMNKMRDLYEGAAVLKYQQPDEDLDALVSVVNDDDVTNMMEEYDKLGSGDGFTRLRIFLFSHPEQDGSSHYEGDERDNERRYVDALNNLNDGSDFRKQHPESPFINPVDDIHIAEQFFSPISLEGGLQRSCDMSAPQYNLHHLKIPHIGSGQHHQPITQRYNEMEAPWSPAYYSPRHHGHLDPRPMPEFPSSPSSARYRIPFPDLPDKCLDRMPEEYARQPLNHQPAYEHQTQYTENVVWLPSGAISGEKSGFPGHIFHGTNVLEGNSICEHCRMNFQRNQPHFEQSNTVNGFHQVANPSTECPPNRESFMMNSDAKLHHEIYASEQNNGPPSLYNETPNHERGWIPHHHLNCRTEEARPHVSGAGKLNDHYIVDGPSMNLPLGPSNMVDGHHVSSNYVHQRVGPEIGNEVFHDRLVPAPPHVHVAPSEERGVRYGNPPYAFGGDNPYPVSHGHVPGPAVWRNVQSPMHAAPSYEASNSAPQVNGTVNPGFLRHEDSPRFGLTVDNQNIWADSSQQMLGFDGKVVPDYSYGHTLKFNPNTLGQENHPPFPSDPTQPTPDMLNCAIPLDPVTGVVRLEGESLPGEEKEVNLVEKLEYSDMQGISQNKFSDKNYEMVSPELIHSNFPKLTEVSGDVVKTSDNDHSTPEVPKLSVSHFIPELMASVKRAALEEAEEVKANVKESGDPEKDSSIAEEAAANNLERVNTPGDGELDSDNDYLNNSKIEPTKAEAEAISKGLQTIKNDDLEEIRELGSGTYGAVFHGKWKGSDVAIKRIKSSCFAGRPSERERLIADFWKEALILGSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGRNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVTEKAN >ONI27482 pep chromosome:Prunus_persica_NCBIv2:G1:6707243:6712924:1 gene:PRUPE_1G089600 transcript:ONI27482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKGIACLSESENPIDQQHPHQAVYLMDSPSATPHFGSNSTAVSSPNWNEESPRVKFLCSFSGSILPRPQDGKLRYVGGETRIVSVPRDIKYEELMNKMRDLYEGAAVLKYQQPDEDLDALVSVVNDDDVTNMMEEYDKLGSGDGFTRLRIFLFSHPEQDGSSHYEGDERDNERRYVDALNNLNDGSDFRKQHPESPFINPVDDIHIAEQFFSPISLEGGLQRSCDMSAPQYNLHHLKIPHIGSGQHHQPITQRYNEMEAPWSPAYYSPRHHGHLDPRPMPEFPSSPSSARYRIPFPDLPDKCLDRMPEEYARQPLNHQPAYEHQTQYTENVVWLPSGAISGEKSGFPGHIFHGTNVLEGNSICEHCRMNFQRNQPHFEQSNTVNGFHQVANPSTECPPNRESFMMNSDAKLHHEIYASEQNNGPPSLYNETPNHERGWIPHHHLNCRTEEARPHVSGAGKLNDHYIVDGPSMNLPLGPSNMVDGHHVSSNYVHQRVGPEIGNEVFHDRLVPAPPHVHVAPSEERGVRYGNPPYAFGGDNPYPVSHGHVPGPAVWRNVQSPMHAAPSYEASNSAPQVNGTVNPGFLRHEDSPRFGLTVDNQNIWADSSQQMLGFDGKVVPDYSYGHTLKFNPNTLGQENHPPFPSDPTQPTPDMLNCAIPLDPVTGVVRLEGESLPGEEKEVNLVEKLEYSDMQGISQNKFSDKNYEMVSPELIHSNFPKLTEVSGDVVKTSDNDHSTPEVPKLSVSHFIPELMASVKRAALEEAEEVKANVKESGDPEKDSSIAEEAAANNLERVNTPGDGELDSDNDYLNNSKIEPTKAEAEAISKGLQTIKNDDLEEIRELGSGTYGAVFHGKWKGSDVAIKRIKSSCFAGRPSERERLIADFWKEALILGSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGRNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVTEKIDVYSFGIVMWELLTGDEPYTDMHCASIIGGIVNNTLRPQIPTWCDPEWKSLMESCWASEPSQRPSFSEISQKLRNMAAAMNVK >ONI27483 pep chromosome:Prunus_persica_NCBIv2:G1:6707243:6712924:1 gene:PRUPE_1G089600 transcript:ONI27483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKGIACLSESENPIDQQHPHQAVYLMDSPSATPHFGSNSTAVSSPNWNEESPRVKFLCSFSGSILPRPQDGKLRYVGGETRIVSVPRDIKYEELMNKMRDLYEGAAVLKYQQPDEDLDALVSVVNDDDVTNMMEEYDKLGSGDGFTRLRIFLFSHPEQDGSSHYEGDERDNERRYVDALNNLNDGSDFRKQHPESPFINPVDDIHIAEQFFSPISLEGGLQRSCDMSAPQYNLHHLKIPHIGSGQHHQPITQRYNEMEAPWSPAYYSPRHHGHLDPRPMPEFPSSPSSARYRIPFPDLPDKCLDRMPEEYARQPLNHQPAYEHQTQYTENVVWLPSGAISGEKSGFPGHIFHGTNVLEGNSICEHCRMNFQRNQPHFEQSNTVNGFHQVANPSTECPPNRESFMMNSDAKLHHEIYASEQNNGPPSLYNETPNHERGWIPHHHLNCRTEEARPHVSGAGKLNDHYIVDGPSMNLPLGPSNMVDGHHVSSNYVHQRVGPEIGNEVFHDRLVPAPPHVHVAPSEERGVRYGNPPYAFGGDNPYPVSHGHVPGPAVWRNVQSPMHAAPSYEASNSAPQVNGTVNPGFLRHEDSPRFGLTVDNQNIWADSSQQMLGFDGKVVPDYSYGHTLKFNPNTLGQENHPPFPSDPTQPTPDMLNCAIPLDPVTGVVRLEGESLPGEEKEVNLVEKLEYSDMQGISQNKFSDKNYEMVSPELIHSNFPKLTEVSGDVVKTSDNDHSTPEVPKLSVSHFIPELMASVKRAALEEAEEVKANVKESGDPEKDSSIAEEAAANNLERVNTPGDGELDSDNDYLNNSKIEPTKAEAEAISKGLQTIKNDDLEEIRELGSGTYGAVFHGKWKGSDVAIKRIKSSCFAGRPSERERLIADFWKEALILGSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGRNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVTEKIDVYSFGIVMWELLTGDEPYTDMHCASIIGIVNNTLRPQIPTWCDPEWKSLMESCWASEPSQRPSFSEISQKLRNMAAAMNVK >ONI27481 pep chromosome:Prunus_persica_NCBIv2:G1:6706535:6713264:1 gene:PRUPE_1G089600 transcript:ONI27481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKGIACLSESENPIDQQHPHQAVYLMDSPSATPHFGSNSTAVSSPNWNEESPRVKFLCSFSGSILPRPQDGKLRYVGGETRIVSVPRDIKYEELMNKMRDLYEGAAVLKYQQPDEDLDALVSVVNDDDVTNMMEEYDKLGSGDGFTRLRIFLFSHPEQDGSSHYEGDERDNERRYVDALNNLNDGSDFRKQHPESPFINPVDDIHIAEQFFSPISLEGGLQRSCDMSAPQYNLHHLKIPHIGSGQHHQPITQRYNEMEAPWSPAYYSPRHHGHLDPRPMPEFPSSPSSARYRIPFPDLPDKCLDRMPEEYARQPLNHQPAYEHQTQYTENVVWLPSGAISGEKSGFPGHIFHGTNVLEGNSICEHCRMNFQRNQPHFEQSNTVNGFHQVANPSTECPPNRESFMMNSDAKLHHEIYASEQNNGPPSLYNETPNHERGWIPHHHLNCRTEEARPHVSGAGKLNDHYIVDGPSMNLPLGPSNMVDGHHVSSNYVHQRVGPEIGNEVFHDRLVPAPPHVHVAPSEERGVRYGNPPYAFGGDNPYPVSHGHVPGPAVWRNVQSPMHAAPSYEASNSAPQVNGTVNPGFLRHEDSPRFGLTVDNQNIWADSSQQMLGFDGKVVPDYSYGHTLKFNPNTLGQENHPPFPSDPTQPTPDMLNCAIPLDPVTGVVRLEGESLPGEEKEVNLVEKLEYSDMQGISQNKFSDKNYEMVSPELIHSNFPKLTEVSGDVVKTSDNDHSTPEVPKLSVSHFIPELMASVKRAALEEAEEVKANVKESGDPEKDSSIAEEAAANNLERVNTPGDGELDSDNDYLNNSKIEPTKAEAEAISKGLQTIKNDDLEEIRELGSGTYGAVFHGKWKGSDVAIKRIKSSCFAGRPSERERLIADFWKEALILGSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGRNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVTEKIDVYSFGIVMWELLTGDEPYTDMHCASIIGGIVNNTLRPQIPTWCDPEWKSLMESCWASEPSQRPSFSEISQKLRNMAAAMNVK >ONI32682 pep chromosome:Prunus_persica_NCBIv2:G1:34271740:34273958:-1 gene:PRUPE_1G379600 transcript:ONI32682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKPQKTKELSVAIAEAPSTGDEGQQQSQPQPHTPRKRGRPRKIIEKTESTEEQSTPQTEEAAAAAEDVEEQSKKAKATEDEDQQEEEQQEQPKIKEEPSSASVRGGAKKEEGQARQPSRSRARRKSKPRKSS >ONI27657 pep chromosome:Prunus_persica_NCBIv2:G1:7878691:7881279:-1 gene:PRUPE_1G098600 transcript:ONI27657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIELKTAPVDFRFPTTNQTRHCFTRYIEFHRCVAAKGEESNECERFAKYYRSLCPGEWIERWAEQRENGSFPGPL >ONI27656 pep chromosome:Prunus_persica_NCBIv2:G1:7878691:7881279:-1 gene:PRUPE_1G098600 transcript:ONI27656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIELKTAPVDFRFPTTNQTRHCFTRYIEFHRCVAAKGEESNECERFAKYYRSLCPGEWVKVGRAEGEWKFPRSSLINIRTPWLDLSLT >ONI27658 pep chromosome:Prunus_persica_NCBIv2:G1:7878680:7881383:-1 gene:PRUPE_1G098600 transcript:ONI27658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIELKTAPVDFRFPTTNQTRHCFTRYIEFHRCVAAKGEESNECERFAKYYRSLCPGEWIERWAEQRENGSFPGPL >ONI26811 pep chromosome:Prunus_persica_NCBIv2:G1:3347336:3350486:-1 gene:PRUPE_1G047400 transcript:ONI26811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWSTETTLKNLGKLSHCILYFSYACFMHIEREKQRDGGRESSTVTQDEISSQISAQIFDFCDPELFQETLQNSEVTSSPNCCYEENSSFATNLSLPPDIETKFSTYQDNNGNTDTTIAQTPTTTSTTTTTTSTNTTTTAASTNTVTNTTNNNSGNLSIIFDSQEEIDNDISASIDFSQSPPFSVPPFLIPQQEQFDFSSVPPQISLADSVVEGFSQYPAEPVVPLMPASLSSVFEEDCLSSVPSYVPLNPSSPPCSFLGPGMGPPYMTAGTINPAALSADSSGIFTSSILMASELQPQDLEYQGDNGGIFCQESLPRVFNPEDLQALSAESQQLVNGAGNSTSLPTEIPIAEDPNFKVGKLSVEERKEKIHRYMKKRNERNFTKKIKYACRKTLADSRPRVRGRFAKNDDFGETHRPACSNHEDDEDDEVVVKEEEDLVDSSDIFAHISGVNSFKCSYPIQSWI >ONI26810 pep chromosome:Prunus_persica_NCBIv2:G1:3347336:3352396:-1 gene:PRUPE_1G047400 transcript:ONI26810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHVIQQQQQQQQEQDEISSQISAQIFDFCDPELFQETLQNSEVTSSPNCCYEENSSFATNLSLPPDIETKFSTYQDNNGNTDTTIAQTPTTTSTTTTTTSTNTTTTAASTNTVTNTTNNNSGNLSIIFDSQEEIDNDISASIDFSQSPPFSVPPFLIPQQEQFDFSSVPPQISLADSVVEGFSQYPAEPVVPLMPASLSSVFEEDCLSSVPSYVPLNPSSPPCSFLGPGMGPPYMTAGTINPAALSADSSGIFTSSILMASELQPQDLEYQGDNGGIFCQESLPRVFNPEDLQALSAESQQLVNGAGNSTSLPTEIPIAEDPNFKVGKLSVEERKEKIHRYMKKRNERNFTKKIKYACRKTLADSRPRVRGRFAKNDDFGETHRPACSNHEDDEDDEVVVKEEEDLVDSSDIFAHISGVNSFKCSYPIQSWI >ONI29704 pep chromosome:Prunus_persica_NCBIv2:G1:22365429:22368303:-1 gene:PRUPE_1G209900 transcript:ONI29704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTNSSNALLPKCVPVFSLAGEVRLRTRLVGDGIWARRRAGGVCMITCKSSSSSDVPNSNYVVPLDKSFSSSNSSCITRPLAEILRDLNKRIPDNIIQAPPHHHSTFIPWYHANRMLSFYAPGWCGEIRDVIFSDNGSVTVVYRVTIRGSDGEAHRESTGTVSPSDGRNADPVTAAEEIAFCRACARFGLGLYLYHED >ONI29705 pep chromosome:Prunus_persica_NCBIv2:G1:22364876:22368552:-1 gene:PRUPE_1G209900 transcript:ONI29705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTNSSNALLPKCVPVFSLAGEVRLRTRLVGDGIWARRRAGGVCMITCKSSSSSDGGKKAGGVPNSNYVVPLDKSFSSSNSSCITRPLAEILRDLNKRIPDNIIQAPPHHHSTFIPWYHANRMLSFYAPGWCGEIRDVIFSDNGSVTVVYRVTIRGSDGEAHRESTGTVSPSDGRNADPVTAAEEIAFCRACARFGLGLYLYHED >ONI29703 pep chromosome:Prunus_persica_NCBIv2:G1:22365429:22368303:-1 gene:PRUPE_1G209900 transcript:ONI29703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTNSSNALLPKCVPVFSLAGEVRLRTRLVGDGIWARRRAGGVCMITCKSSRGVPNSNYVVPLDKSFSSSNSSCITRPLAEILRDLNKRIPDNIIQAPPHHHSTFIPWYHANRMLSFYAPGWCGEIRDVIFSDNGSVTVVYRVTIRGSDGEAHRESTGTVSPSDGRNADPVTAAEEIAFCRACARFGLGLYLYHED >ONI32606 pep chromosome:Prunus_persica_NCBIv2:G1:34055849:34057605:-1 gene:PRUPE_1G375500 transcript:ONI32606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKTVVSVELLCSKCRKKVMKLIATVEGITSIVLDPSKNTVTVTGDADPVKVIKKVRKFRKSASVVSIGPPQSEKKDEKKDLVPYPPKTCQRCDVWYVIAEDGYNYCSIM >ONI31934 pep chromosome:Prunus_persica_NCBIv2:G1:31998461:32001225:1 gene:PRUPE_1G340200 transcript:ONI31934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDVSRDSARLITGSADQTAKLWNVQTGQQLFTFNFDSPARAVDLSVGDRLAVITTDPFMELSSAIHVKRIANDPADQVGESALILKGPQGRINRAVWGPLNRTIISAGEDAVIRIWDSETGKLLKENDKEVGHKKTITSLAKSVDGSHFLTGSLDKSAKLWDIRTLTLIKTYVTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKVLQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDSDYFNIKI >ONI26173 pep chromosome:Prunus_persica_NCBIv2:G1:677937:682143:-1 gene:PRUPE_1G008100 transcript:ONI26173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSYASKSPLLMKDTSSLYLPYPAPLAKHEEVVANPKLFMATLEKLHASMGTKFMIPIIGGKELDLHRLFVEVTSRGGIEKIIRDRRWKEVTAVFNFPSTATNASFVLRKYYNSLLLHYEQIYYFKAQAWNPLSSDNSHSPSMTAVPAARGGTKRKAAEAHATMHQPTQINSELPGARTTASSEDTVTGVIDGKFENGYLVTVIKGSTKLRGVLYQAPMNPVRGVPQVPQNYSMLVNRNESASAIIPGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDREISRMIGELWNKLKESEKSVYQEKAVKDKERYRIEMEDYRERLKAGQVISDAVPLQQRFPEVNPSMVESDAKIEETEPRDSPQTPDESNESNSSKTDK >ONI26172 pep chromosome:Prunus_persica_NCBIv2:G1:677937:682143:-1 gene:PRUPE_1G008100 transcript:ONI26172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSYASKSPLLMKDTSSLYLPYPAPLAKHEEVVANPKLFMATLEKLHASMGTKFMIPIIGGKELDLHRLFVEVTSRGGIEKIIRDRRWKEVTAVFNFPSTATNASFVLRKYYNSLLLHYEQIYYFKAQAWNPLSSDNSHSPSMTAVPAARGGTKRKAAEAHATMHQPTQINSELPGARTTASSEDTVTGVIDGKFENGYLVTVIKGSTKLRGVLYQAPMNPVRGVPQVPQNYSMLVNRNESASAIIPGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDREISRMIGELWNKLKESEKSVYQEKAVKDKERYRIEMEDYRERLKAGQVISDAVPLQQRFPEVNPSMVESDAKIEETEPRDSPQTPDESNESNSSKTDK >ONI26171 pep chromosome:Prunus_persica_NCBIv2:G1:677363:683543:-1 gene:PRUPE_1G008100 transcript:ONI26171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSYASKSPLLMKDTSSLYLPYPAPLAKHEEVVANPKLFMATLEKLHASMGTKFMIPIIGGKELDLHRLFVEVTSRGGIEKIIRDRRWKEVTAVFNFPSTATNASFVLRKYYNSLLLHYEQIYYFKAQAWNPLSSDNSHSPSMTAVPAARGGTKRKAAEAHATMHQPTQINSELPGARTTASSEDTVTGVIDGKFENGYLVTVIKGSTKLRGVLYQAPMNPVRGVPQVPQNYSMLVNRNESASAIIPGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDREISRMIGELWNKLKESEKSEKAVKDKERYRIEMEDYRERLKAGQVISDAVPLQQRFPEVNPSMVESDAKIEETEPRDSPQTPDESNESNSSKTDK >ONI26170 pep chromosome:Prunus_persica_NCBIv2:G1:677363:683543:-1 gene:PRUPE_1G008100 transcript:ONI26170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSYASKSPLLMKDTSSLYLPYPAPLAKHEEVVANPKLFMATLEKLHASMGTKFMIPIIGGKELDLHRLFVEVTSRGGIEKIIRDRRWKEVTAVFNFPSTATNASFVLRKYYNSLLLHYEQIYYFKAQAWNPLSSDNSHSPSMTAVPAARGGTKRKAAEAHATMHQPTQINSELPGARTTASSEDTVTGVIDGKFENGYLVTVIKGSTKLRGVLYQAPMNPVRGVPQVPQNYSMLVNRNESASAIIPGVHRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDREISRMIGELWNKLKESEKSEKAVKDKERYRIEMEDYRERLKAGQVISDAVPLQQRFPEVNPSMVESDAKIEETEPRDSPQTPDESNESNSSKTDK >ONI28201 pep chromosome:Prunus_persica_NCBIv2:G1:10143757:10144751:1 gene:PRUPE_1G130600 transcript:ONI28201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQLMVDAASSGWRDANGMLSKATVETKILPKINEKLSQLKYFKREYQKYSQLLRHSSGFGWDPTTKKFTTPKEVWKDYFKDLQIVIGNATTIGRNSLGLGDDTDARISRAEDRHVGIEDFVFDDENLEGNAISNETTQQAMRRISHSIDSIATDFRGVHNLLAKKVKKREQQSYMWDAIKETLNLDERACYKALSLLNTNTKKDAFLKMSYEERSNWISYNLE >ONI34421 pep chromosome:Prunus_persica_NCBIv2:G1:40199364:40203910:-1 gene:PRUPE_1G481600 transcript:ONI34421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLNLSFSLLLLLSALQLVSAQLATDPNEVSALNKIIDHWDLGNQLSLTIDPCIPNATWASNTANPRVLCDCAGSTCHITHLKIYALDILGEIPRELFELKELIDLNLGQNVLSGPIPAEIGQLSKLQYLSLGINNLTGPVPPEIGNLSKLLSLSFGSNQFSGPLPMELGKLTTLQELYLDSSGVTGPIPQELANLKSLKTLWASDNLFTGKLPEFLGIFAELKDLRLEGTLLEGPIPSNFGALVKLDILRVGDLGEEDSSLGFLENLTSLSTLSLRNCRVSGKIPEQIGNFAKLQYLDLSFNKLTGQIPNSFQKFTVLQFLYLGDNNLSGELPANIITPKLIALDVSFNPMSGNLPLNFARTGFSMNVLGTSINGNGLPDRGGFGLLNCLQGDAKCTNQLPSLSSFSIKCGGTGQTSASGIEYDDDSETLGAASFYKSSRWAVSSTGNFVFNSNGPRYIASTDSQITETLESELYKTARLSPSSLRYFGLDLMNGKYIVQLHFAEIAMEDDTSSWKGLGRRIFDVYIQGERVLQDFNIQKEAGGSKRALIKTFETNVSNTVMNIHFMWAGKGTCCIPLQGTYGPLVSAINVRQVPGAGNSANRGRKQVGRIVGISLGCAAAVMIVSSVFYLWWIKDDAPGHMRVQTDIPKK >ONI31590 pep chromosome:Prunus_persica_NCBIv2:G1:30785279:30787135:-1 gene:PRUPE_1G321300 transcript:ONI31590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLSHQYLKLQTLDVQLDPTPLLVSVRTLIEAVKLEFKAQGFETQLPEFPVFFNDHVANDFNTLFKAFPIDRTYMAAGVPGSFHGRLFPKASMNLMHSSFAVQWLTRVPQEVTKEVSPAWNKGRITYARNESSKVEEAYAAQFARDMKAFFTARSAELADDGLLAILMPCRPEPSLPSESTLMNILECLGDALADMVKESLLSEAAVDSFNLPIYVPSASEVEGVILLGTKNKNLVVVLRGRRKCASQQSFLVLSRFEFPAFMQELQWKTSCASISDPTSMLMNFSRDIRTSLKNSPSHLGLLALRTWQI >ONI31581 pep chromosome:Prunus_persica_NCBIv2:G1:30761940:30765830:1 gene:PRUPE_1G320700 transcript:ONI31581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSLARSSVRRAPSKSPISSPNPRIASPSPSSRCASPYGYILNRVAHYATAAAAETTTASSSPKSKDAGKGKITDEFTGKGAIGQVCQVIGAVVDVRFEEGLPPILTALEVLDNSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDERGDMKTDHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQDYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESITSFQGVLDGKYDDLSEQSFYMVGGIEEVIAKAEKIAKESAA >ONI29821 pep chromosome:Prunus_persica_NCBIv2:G1:22873338:22875787:-1 gene:PRUPE_1G215800 transcript:ONI29821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGLSGASVPGGLDVAWKYARPIEGNTHGTIYTFCESTFKSGGITRLKYHLAGFDPHKSVKKCKEVPPDTKNKMRIFYQGDLVRPGATRFATNYITINNILNKKAGLRQLFRSKEWYNSRFSESEEGKIIESRVLDHRLWDAMEGVQSIYEPLYSILRIIDTETASSSACERNWSTFALFHMKQRNRLAYTRLEKIVFCYYNMKLKLHDEEAEMNKVAENDYIDLLDIARVISEDVRSGDTSSFEKDMLGPRQGQRRPLRDDANASRKESSSNSSDNDGGSNVGSGDEDHGCREAGPGIGAIGKQYSKKRSQPINPSEEDMAISFGSMSIGTRPSTNSNESYDGYGYVMSNYSGTSYGAEDDETYYGPTSWVNPNYPIYRRTVGSSRETYMHHVQTWLTNYSGYMTWYDYCMNLDGCSSLFEPHRSFSFM >ONI26653 pep chromosome:Prunus_persica_NCBIv2:G1:2599648:2604398:-1 gene:PRUPE_1G037500 transcript:ONI26653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMVAIKRVVDYAVKIRVKSDKSGVETQNVKMSMNPFCEIALEEALRIKEAGMASEVVAVTMGLKQCVDTLRTGLAMGADRGIHVETSGPLYPLSVAKLLRALVEVEKPGLLILGKQAIDDDCNQTGQMVAGLLNWPQGTFASKVVLDKEKQIVTVDREVDGGLETLCLDMPAVITTDLRLNQPRYATLPNIMKAKSKAIKKFTPEDLNVEIKSDIEEVQVTEPPKRKAGVILSSVDELIDKLKNEAHVI >ONI26268 pep chromosome:Prunus_persica_NCBIv2:G1:1038370:1038896:-1 gene:PRUPE_1G013900 transcript:ONI26268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALHFTPSPSFTLPKQRHATRLSASFQLNIRPRHRSRRSCTVGSYKVVVEHEGLSTELEVEADETILEKALESGLSVPHDCKLGVCMTCPARLLSGKVDQSEGMLSDDVVEGGYTLLCVSYPQSDCHIRTIPEDELLSLQLATAND >ONI28005 pep chromosome:Prunus_persica_NCBIv2:G1:9179062:9180808:-1 gene:PRUPE_1G116500 transcript:ONI28005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRAIVVGISLFVFSIGAEGQGLSYNFYENSCPQVEAIVRAALQPIFLADPTAPAALLRLMFHDCQGCDASILVDPNENTVSSEMAAGKNFGIRKRESINILKSIVELECPQQVSCSDILVLTAREAVSASGGPQIKVPLGRRDSSVPPSYKLADSLLPSATIGVDGMLQLFAKKGMTVEESVAIMGAHTLGVTHCLNILNRLSRLDQGGRVEGMAPMFEAFLRINCPQGSLTSNASFVLNDPTTLTFDNHYYNNVIRGHGVLRVDAEMVMDPRTALAVKRFAADQDGFFQAFSSAFVKFSSSGVLSGNQGVVRKICNVLD >ONI28007 pep chromosome:Prunus_persica_NCBIv2:G1:9179062:9180185:-1 gene:PRUPE_1G116500 transcript:ONI28007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKNFGIRKRESINILKSIVELECPQQVSCSDILVLTAREAVSASGGPQIKVPLGRRDSSVPPSYKLADSLLPSATIGVDGMLQLFAKKGMTVEESVAIMGAHTLGVTHCLNILNRLSRLDQGGRVEGMAPMFEAFLRINCPQGSLTSNASFVLNDPTTLTFDNHYYNNVIRGHGVLRVDAEMVMDPRTALAVKRFAADQDGFFQAFSSAFVKFSSSGVLSGNQGVVRKICNVLD >ONI28006 pep chromosome:Prunus_persica_NCBIv2:G1:9179219:9180515:-1 gene:PRUPE_1G116500 transcript:ONI28006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRAIVVGISLFVFSIGAEGQGLSYNFYENSCPQVEAIVRAALQPIFLADPTAPAALLRLMFHDCQVQGCDASILVDPNENTVSSEMAAGKNFGIRKRESINILKSIVELECPQQVSCSDILVLTAREAVSASGGPQIKVPLGRRDSSVPPSYKLADSLLPSATIGVDGMLQLFAKKGMTVEESVAIMGAHTLGVTHCLNILNRLSRLDQGGRVEGMAPMFEAFLRINCPQGSLTSNASFVLNDPTTLTFDNHYYNNVIRGHGVLRVDAEMVMDPRTALAVKRFAADQDGFFQAFSSAFVKFSSSGVLSGNQGVVRKICNVLD >ONI34736 pep chromosome:Prunus_persica_NCBIv2:G1:41131086:41132680:-1 gene:PRUPE_1G495700 transcript:ONI34736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKAEHSGWTYRKRQKIPINLGDKEENYSEEDQISQLPDAILISILSLLGIREAARTCVLSKRWVFLWKQITTLNFDDIDALSKPQKKRKRVKTTSSYNWVKVLQLHQGPSLDEFQIHSSSPNSSPSSSEIDNWIEFAMWRRVQGLEIDFYVFLDKLFRSPFSISCIKSLKHLSLSFVNITGELVEHFLSYCELLEHLCVSCSDQLVNLKVAESTRSIITPFLSVKSCIPQLVTLNLHMNLSANGGAWLPEFPELTSLKDLSLSVIATDRLSLLFLTKLIERSPFLHGFTLKLGWARDSCKSNMQKVNKCPHQCLKVVKFSGFVGSIIDTDLAMYFTENAVALETFIVNLKKVVVEESALLSEFVTTQKKLRATRKRALQIGGKLPPGAELVIV >ONI29267 pep chromosome:Prunus_persica_NCBIv2:G1:17553688:17560142:-1 gene:PRUPE_1G189900 transcript:ONI29267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDSMPGSSGYLDLHPERKMFYFKNPYILRLTVVAGIGGLLFGYDTGVISGALLYIKDDFEAVKDSSFLQETIVSMAIVGAIIGAAAGGWINDAYGRKKATLLADIIFTLGAIVMAAAPDPYVLILGRLLVGLGVGVASVTAPVYIAEASPSEIRGGLVSTNVLMITGGQFLSYLVNLGFTEVPGTWRWMLGVSGVPAVIQFSLMLCLPESPRWLFMKDDKETAIAVMSKIYNLSRLEDEIDYLASQAEEEHHKKKDVSYWNVFKVKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFQSNQLALLLSLIVAAMNAAGTVLGIYLIDHFGRRKLALSSLSGVIVSLLILSAAFFVQSSGSTSVFYGWLAVIGLALYIGFFAPGMGPVPWTVNSEIYPEAYRGICGGMSATVNWISNLIVAQTFLSVAESIGTGATFLIIAVVAVIAFVFVILFLPETKGLTFEEVERIWKERAWGSSGSNTQSLLEQGDES >ONI29268 pep chromosome:Prunus_persica_NCBIv2:G1:17553687:17560142:-1 gene:PRUPE_1G189900 transcript:ONI29268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFKNPYILRLTVVAGIGGLLFGYDTGVISGALLYIKDDFEAVKDSSFLQETIVSMAIVGAIIGAAAGGWINDAYGRKKATLLADIIFTLGAIVMAAAPDPYVLILGRLLVGLGVGVASVTAPVYIAEASPSEIRGGLVSTNVLMITGGQFLSYLVNLGFTEVPGTWRWMLGVSGVPAVIQFSLMLCLPESPRWLFMKDDKETAIAVMSKIYNLSRLEDEIDYLASQAEEEHHKKKDVSYWNVFKVKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFQSNQLALLLSLIVAAMNAAGTVLGIYLIDHFGRRKLALSSLSGVIVSLLILSAAFFVQSSGSTSVFYGWLAVIGLALYIGFFAPGMGPVPWTVNSEIYPEAYRGICGGMSATVNWISNLIVAQTFLSVAESIGTGATFLIIAVVAVIAFVFVILFLPETKGLTFEEVERIWKERAWGSSGSNTQSLLEQGDES >ONI28014 pep chromosome:Prunus_persica_NCBIv2:G1:9222335:9227268:-1 gene:PRUPE_1G117000 transcript:ONI28014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAQSSGTGHAKAHNNTESVSKAIAQYTVDARLHAVFEQSGESGKSFDYSQSMRTTKDSVPEQQITAYLSRIQRGGHIQPFGCMMAVDEATFGVIAYSENARDLLDLTPQSVPILEKPEILTIGTDVRTLFTPSSAVLLEKAFGAREITLLNPIWIHSKISGKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISQLQSLPGGDIKILCETAVESVRELTGYDRVMVYKFHDDEHGEVVAESKRPDLEPYLGLHYPATDIPQASRFLFKQNRVRMIVDCHATPVHVIQDEGLMQPLCLVGSTLRAPHGCHSQYMANMGSIASLALAVIINGNDEEAVGGRNSMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKHVLRTQTLLCDMLLRDTPAGIVTQSPSIMDLVKCDGAALYYQGKYYPLGVTPTEAQIKDIVEWLLAFHGSSTGLSTDSLGDAGYPGAASLGDAVCGMAAAYITKRDFLFWFRSHTGKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQIILRDSFKDAETNNSKAVTQAQLGDLEFQGINELSSVAREMVRLIETATAPIFAVDVDGCINGWNAKVAELTGLSVEEATGKSLVHDLVYKESEEIVGRLLFRALRGEEDKNVEIKMRTFGPEHDNKPVFVVVNACCSKDYASNIVGVCFVGQDVTGQKVVMDKFIKIQGDYKAIVHSPNPLIPPIFASDDNTCCSEWNTAMAKLTGWSHGEILGKMLVGEVFGSCCRLKGPDAMTKFMIVLHNAIGGLDTDKFPFSFFDRNGKYVQALLTANKRVNAEGQVIGAFCFLQIASSELQQALKVQRQQENECFSRMKELAYICQEIKYPLSGIRFTNSLLETTDLTEDQKQFLETSAACEKQILKIIKDVDLDSIEDGSLELEKSEFFLGSVINAVVSQVMLLLRERDLQLIRDIPEEIKTLAVCGDQVRIQQVLADFLLNMVRYAPSPEGWVEIHVLPSLKKVPDGVTLVRTEFRSKIAIMVSEP >ONI28012 pep chromosome:Prunus_persica_NCBIv2:G1:9221269:9227268:-1 gene:PRUPE_1G117000 transcript:ONI28012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAQSSGTGHAKAHNNTESVSKAIAQYTVDARLHAVFEQSGESGKSFDYSQSMRTTKDSVPEQQITAYLSRIQRGGHIQPFGCMMAVDEATFGVIAYSENARDLLDLTPQSVPILEKPEILTIGTDVRTLFTPSSAVLLEKAFGAREITLLNPIWIHSKISGKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISQLQSLPGGDIKILCETAVESVRELTGYDRVMVYKFHDDEHGEVVAESKRPDLEPYLGLHYPATDIPQASRFLFKQNRVRMIVDCHATPVHVIQDEGLMQPLCLVGSTLRAPHGCHSQYMANMGSIASLALAVIINGNDEEAVGGRNSMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKHVLRTQTLLCDMLLRDTPAGIVTQSPSIMDLVKCDGAALYYQGKYYPLGVTPTEAQIKDIVEWLLAFHGSSTGLSTDSLGDAGYPGAASLGDAVCGMAAAYITKRDFLFWFRSHTGKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQIILRDSFKDAETNNSKAVTQAQLGDLEFQGINELSSVAREMVRLIETATAPIFAVDVDGCINGWNAKVAELTGLSVEEATGKSLVHDLVYKESEEIVGRLLFRALRGEEDKNVEIKMRTFGPEHDNKPVFVVVNACCSKDYASNIVGVCFVGQDVTGQKVVMDKFIKIQGDYKAIVHSPNPLIPPIFASDDNTCCSEWNTAMAKLTGWSHGEILGKMLVGEVFGSCCRLKGPDAMTKFMIVLHNAIGGLDTDKFPFSFFDRNGKYVQALLTANKRVNAEGQVIGAFCFLQIASSELQQALKVQRQQENECFSRMKELAYICQEIKYPLSGIRFTNSLLETTDLTEDQKQFLETSAACEKQILKIIKDVDLDSIEDGSLELEKSEFFLGSVINAVVSQVMLLLRERDLQLIRDIPEEIKTLAVCGDQVRIQQVLADFLLNMVRYAPSPEGWVEIHVLPSLKKVPDGVTLVRTEFRLVCPGDGLPPQLVQDMFHSSQWMTQEGLGLSMCRKILKLMNGEVQYIRESERCYFLIILEFPMPRSTKSID >ONI29966 pep chromosome:Prunus_persica_NCBIv2:G1:23860817:23863401:1 gene:PRUPE_1G224800 transcript:ONI29966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFVHNSAQDEEHIFRSRAPAVQVPDNVTLPEFVLQDAELYADNVAFVEAVTGKEITYGEVVRDTRRFSKALRSLGLRKGHVVVVVLPNVAEYAIVALGIMAAGGVFSGANPSGHASEIKKQVEVADAKIIVTNGASYEKVKGFGVPVIVLGEEVIEGAMNWNNLLEAADRAGNKINKEELKQSDLCALPFSSGTTGLSKGVMLTHRNLVANLSSTLFGVTPEMIGKVTVLGLIPFFHIYGITGICCATLRNKGKVVVMGRFELRTFLNALVSQEVTYAPIVPPIILNLVKNPIVDEFDLSKLKLQAIMTAAAPLAPDVLKKFENKFPGVQVQEAYGLTEHSCITLTHATPGVMAKKNSVGFILPNLQVKFIDPDTGRSLPRNTPGELCVLSQCVMKGYYNNEEETARIIDNNGWLHTGDIGYIDDDENVFIVDRIKELIKYKGFQVAPAELEAILLSHPSVEDVAVVPLPDEEAGEIPAASVVLAAGAKESEEDIIKFVASNVAHYKKVRVVHFVDSIPKSPSGKIMRRLVKEALIEKIKACKLHT >ONI33041 pep chromosome:Prunus_persica_NCBIv2:G1:35452766:35457766:1 gene:PRUPE_1G401800 transcript:ONI33041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGTVEVVKSNGCSRLSVGLSSPLPSFKALQPFAPASPAASSIGSEPVVRSGAPFAGLVICVTGLSKEARKQVKEATERLGGQYSPHLHPQCTHLVVQSFGGRKFEHALKHGLKNGLSIVTIGWFVDSVRKNVRLSESLYSIKSFGQNGIRLDEFNRLVGFISTENSCIPVDARGAKPLDTIEEPHLPFSGRESNRSTDSVLSGHSMHVDLDISSELRNKPLWVLKTAKEKYVQRLVHMSADLARQVGMMLEESQNGSADEETNVRKVPEDVQAYKNKANHEKRQRIVNSAKAGVRNRRGLRMQTCQTPMRPITPISLLDSICWSISEPTSTASIYTENVSVEDATEHHTSVFFDAKADGKDSEASFANLTRLLKESEKSELVFKNHFLTILFPLDRFAEMGPSSRTFFSNNGFACLQVLDHIYAFYQESMSVHEIEAAINTDSRHADRLRSVYSSKETAEHGYVSFKRIEFLGSRKSFEMLKRVNGDNNSNVYELLIRA >ONI33040 pep chromosome:Prunus_persica_NCBIv2:G1:35452766:35457766:1 gene:PRUPE_1G401800 transcript:ONI33040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGTVEVVKSNGCSRLSVGLSSPLPSFKALQPFAPASPAASSIGSEPVVRSGAPFAGLVICVTGLSKEARKQVKEATERLGGQYSPHLHPQCTHLVVQSFGGRKFEHALKHGLKNGLSIVTIGWFVDSVRKNVRLSESLYSIKSFGQNGIRLDEFNRLVGFISTENSCIPVDARGAKPLDTIEEPHLPFSGRESNRSTDSVLSGHSMHVDLDISSELRNKVIEAARREGVTLIDQWFVGCRASHVVCEGSASRKYLGHSSNIVTPLWVLKTAKEKYVQRLVHMSADLARQVGMMLEESQNGSADEETNVRKVPEDVQAYKNKANHEKRQRIVNSAKAGVRNRRGLRMQTCQTPMRPITPISLLDSICWSISEPTSTASIYTENVSVEDATEHHTSVFFDAKADGKDSEASFANLTRLLKESEKSELVFKNHFLTILFPLDRFAEMGPSSRTFFSNNGFACLQVLDHIYAFYQESMSVHEIEAAINTDSRHADRLRSVYSSKETAEHGYVSFKRIEFLGSRKSFEMLKRVNGDNNSNVYELLIRA >ONI33042 pep chromosome:Prunus_persica_NCBIv2:G1:35454044:35457766:1 gene:PRUPE_1G401800 transcript:ONI33042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYTGTKKKIFNACGVIVCVNCKARESCAILNKCNSFGGRKFEHALKHGLKNGLSIVTIGWFVDSVRKNVRLSESLYSIKSFGQNGIRLDEFNRLVGFISTENSCIPVDARGAKPLDTIEEPHLPFSGRESNRSTDSVLSGHSMHVDLDISSELRNKVIEAARREGVTLIDQWFVGCRASHVVCEGSASRKYLGHSSNIVTPLWVLKTAKEKYVQRLVHMSADLARQVGMMLEESQNGSADEETNVRKVPEDVQAYKNKANHEKRQRIVNSAKAGVRNRRGLRMQTCQTPMRPITPISLLDSICWSISEPTSTASIYTENVSVEDATEHHTSVFFDAKADGKDSEASFANLTRLLKESEKSELVFKNHFLTILFPLDRFAEMGPSSRTFFSNNGFACLQVLDHIYAFYQESMSVHEIEAAINTDSRHADRLRSVYSSKETAEHGYVSFKRIEFLGSRKSFEMLKRVNGDNNSNVYELLIRA >ONI33051 pep chromosome:Prunus_persica_NCBIv2:G1:35487174:35487992:1 gene:PRUPE_1G402400 transcript:ONI33051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHMTFYWSKDVTILIDSWRTTSWTSYSLTLIACLIVSAFYQYLEDLRVRLKTASSSAAKISSPAPIQTPLLGAKLDGAGGRFSAARLGGAVLFGVNAAIGYLLMLAVMSFNGGVFVAIVVGLAIGYLAFRSGDDDVAATVVDNPCACA >ONI27708 pep chromosome:Prunus_persica_NCBIv2:G1:8011205:8016180:-1 gene:PRUPE_1G100700 transcript:ONI27708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSSSSYPILCASFNQDTSCFAIGTKDGFKIFDSNTGRLCYERAIGAFVIVEMLFSSSLLAIVGAGEEPSLSPRRLCLFNTTTGTALRELNFLTSILAVRMNKKRLVVILQDKTYVYDINSLGILDTIDTIPNFKGLCAFSPSLEGCFLALPASISKGSVLLYNVMDLHLHCEIDAHRAPLAAMALSSNGTYIATASEQGTIIRVHLVSEATKSYSFRRGTYPSTIFSLSFGPSTQLPDILVGTSSSGSVHAFSLGFSIYQSRSKRSGSFLGSIIPGSVTDALDPAHHDVLHNAAPAGVKSYAVIRKVDKVADTSTSEIVACRATISIITYNGYFQEYNLSINNQNEFSWSLEREFKLLTVISDDAISS >ONI27707 pep chromosome:Prunus_persica_NCBIv2:G1:8011205:8016180:-1 gene:PRUPE_1G100700 transcript:ONI27707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSSSSYPILCASFNQDTSCFAIGTKDGFKIFDSNTGRLCYERAIGAFVIVEMLFSSSLLAIVGAGEEPSLSPRRLCLFNTTTGTALRELNFLTSILAVRMNKKRLVVILQDKTYVYDINSLGILDTIDTIPNFKGLCAFSPSLEGCFLALPASISKGSVLLYNVMDLHLHCEIDAHRAPLAAMALSSNGTYIATASEQGTIIRVHLVSEATKSYSFRRGTYPSTIFSLSFGPSTQLPDILVGTSSSGSVHAFSLGFSIYQRSKRSGSFLGSIIPGSVTDALDPAHHDVLHNAAPAGVKSYAVIRKVDKVADTSTSEIVACRATISIITYNGYFQEYNLSINNQNEFSWSLEREFKLLTVISDDAISS >ONI27709 pep chromosome:Prunus_persica_NCBIv2:G1:8010828:8016251:-1 gene:PRUPE_1G100700 transcript:ONI27709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSSSSYPILCASFNQDTSCFAIGTKDGFKIFDSNTGRLCYERAIGAFVIVEMLFSSSLLAIVGAGEEPSLSPRRLCLFNTTTGTALRELNFLTSILAVRMNKKRLVVILQDKTYVYDINSLGILDTIDTIPNFKGLCAFSPSLEGCFLALPASISKGSVLLYNVMDLHLHCEIDAHRAPLAAMALSSNGTYIATASEQGTIIRVHLVSEATKSYSFRRGTYPSTIFSLSFGPSTQLPDILVGTSSSGSVHAFSLGFSIYQRSKRSGSFLGSIIPGSVTDALDPAHHDVLHNAAPAGVKRLTRLLIHQLQKLWLAGQLFPL >ONI34645 pep chromosome:Prunus_persica_NCBIv2:G1:40935422:40936458:1 gene:PRUPE_1G492300 transcript:ONI34645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQMAIDDYDDSFTKPGAIPFKWEIRPGVPKIQTQQQKQPLPPPPQPHIHKHLNLKPPPSGSLFLPPPEPHTRSRSFRSASAARTRSERWRFEQPDNILARRPGRPDTVSAGCFMSPLLRRKSASNSKRGSGARTVPVPESEPDYTSDLETLARWSLSSRKSLLSPFRDSPTSTSSSSSPRPVCDAEWAGFGLF >ONI33267 pep chromosome:Prunus_persica_NCBIv2:G1:36022864:36024302:-1 gene:PRUPE_1G413400 transcript:ONI33267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKCSQCGNMGHNSRTCGVRQPRQRGGGGGGGLRLFGVQVLDLSSSSSSSNSLISRKKKNIIIKRCPPSMVHCLPSVAASSPTAPSSSTSSRLQSRALIDLNSEKMSNGYPSDGLTALPQENNNKGVAWSEEEHRVFVMGLEKLGKGDWKGISRHFVTTRTPTQVASHAQKYFLRINTLNKKRRRPNLFYLSAVFSSTLAAEPAAPLPTAATDLELELAAPIPLDQQTKPCPRALVIAPIC >ONI26130 pep chromosome:Prunus_persica_NCBIv2:G1:501744:503113:-1 gene:PRUPE_1G005800 transcript:ONI26130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPLYSLNTLRLGYSIVSCTFASTAKRFLVGDLKPSHISLQNHILPRSITSKISADQHNFTVAYLINSCGLSPEGAILSSKWLELQSSPGADSVLALLSKYGLSETQISKVVRSRPTILVADPEKILSPKLEFFSSVVVSREDLARILCFNPHLLLRSLENQIIPTYNFLRSLISEENVVSVLKRSSWIFRENRRKNVVPNIELLRELGMPQSCIALLVAHNTEVLIHKHEKFAAAVEEVKAMGFDMKKSTFVLALRALCGESSKSIWKRSREIYKRSWGWSENDVVSAFRKNPQCMTMSEKKIMEFGEENYSKMFSC >ONI26129 pep chromosome:Prunus_persica_NCBIv2:G1:501838:503037:-1 gene:PRUPE_1G005800 transcript:ONI26129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPLYSLNTLRLGYSIVSCTFASTAKRFLVGDLKPSHISLQNHILPRSITSKISADQHNFTVAYLINSCGLSPEGAILSSKWLELQSSPGADSVLALLSKYGLSETQISKVVRSRPTILVADPEKILSPKLEFFSSVVVSREDLARILCFNPHLLLRSLENQIIPTYNFLRSLISEENVVSVLKRSSWIFRENRRKNVVPNIELLRELGMPQSCIALLVAHNTEVLIHKHEKFAAAVEEVKAMGFDMKKSTFVLALRALCGESSKSIWKRSREIYKRSWGWSENDVVSAFRKNPQCMTMSEKKIMEVMDFFVNKMGYSSGVIATYPLVLCFSLEKRIIPRCSVVKVLLLKGLIDEDFSLSSVLLPQPHKFLERFVTRYINQLPILWDVYHLKLDVKDV >ONI33133 pep chromosome:Prunus_persica_NCBIv2:G1:35736003:35738357:1 gene:PRUPE_1G407700 transcript:ONI33133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIMQPTYDATVRFALSSLERNLLPDAVVRRLTRLLLASRLRSGYKPTSELQLSDLLQFVQSLKEMPIAIKTDDPKAQHYEVPTSFFKIVLGKNLKYSCYYFTDGSSTLEEAEKAMLELYCERSQIKNGHTVLDVGCGWGSLSLYIAQKYSNCKVTGICNSTTQKAFIEEQCRNLQLRNVEIIVADISTFEMEASYDRIFSIEMFEHMKNYKDLLKKISRWMKQDGLLFVHHFCHKAFAYHFEDKNEDDWITRYFFSGGTMPSANLLLYFQDDVSIVNHWLVNGKHYAQTSEEWLKRMDQNVASIKPIMESTYGKDSAVKWTVYWRTFFISVAELFGYNNGEEWMVAHFLFKKK >ONI33134 pep chromosome:Prunus_persica_NCBIv2:G1:35736171:35738034:1 gene:PRUPE_1G407700 transcript:ONI33134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIMQPTYDATVRFALSSLERNLLPDAVVRRLTRLLLASRLRSGYKPTSELQLSDLLQFVQSLKEMPIAIKTDDPKAQHYEVPTSFFKIVLGKNLKYSCYYFTDGSSTLEEAEKAMLELYCERSQIKNGHTVLDVGCGWGSLSLYIAQKYSNCKVTGICNSTTQKAFIEEQCRNLQLRNVEIIVADISTFEMEASYDRIFSIEMFEHMKNYKDLLKKISRWMKQDGLLFVHHFCHKAFAYHFEVKPEEDFILLSGTSSTLSAQLFFLPVF >ONI26273 pep chromosome:Prunus_persica_NCBIv2:G1:1047803:1049135:1 gene:PRUPE_1G014200 transcript:ONI26273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVWLQLRLVRQTCQQWSMNGLFLCWKRFRLMDRLAKLEASKHRYVDKFDVINICSKESQEHQSIDDWKQGENFQDNTMEIQVEAAGQGEFKEEVCKPELLASVVDIKVESGEIFHPMMTLVSCPLIESPFSKGGKSLTDQSAFLQLIDFIRFGREAEDESICLHADSDFQECYYNSNLRESSSSQLGRIDEDIFVKPIRVYKRKRWKRELLISFLIYLIVPRFSKFSRFH >ONI32901 pep chromosome:Prunus_persica_NCBIv2:G1:34965063:34965170:1 gene:PRUPE_1G392700 transcript:ONI32901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWSKAPDSSSGPLTRAWVQIPLLTISFLYFFSL >ONI33730 pep chromosome:Prunus_persica_NCBIv2:G1:37602049:37602990:-1 gene:PRUPE_1G443400 transcript:ONI33730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRALLLVKGVSSSSLPKNQLFCNFNCKSHFPRPNNNWVRTNCSTTQSSGPSLEPPDLPRLAQTARISLTPHEVEEFAPKIGLVIDWFGQLQDVDLQSVEPSIRADTEGDSFRADIPETFENRCDGCVHSIHASKFGFTFIRLIKPSKLTFGFQQGGHDCCCSKL >ONI33729 pep chromosome:Prunus_persica_NCBIv2:G1:37601736:37603130:-1 gene:PRUPE_1G443400 transcript:ONI33729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRALLLVKGVSSSSLPKNQLFCNFNCKSHFPRPNNNWVRTNCSTTQSSGPSLEPPDLPRLAQTARISLTPHEVEEFAPKIGLVIDWFGQLQDVDLQSVEPSIRADTEGDSFRADIPETFENREAMIAAVPNYEEPYIKVPKVLNKE >ONI32608 pep chromosome:Prunus_persica_NCBIv2:G1:34066073:34068506:1 gene:PRUPE_1G375700 transcript:ONI32608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTDRQREMEVMQILHMNKGVGETSYAQNSKLQSKIISITKPIIHDAVQEILRSNNIESMGIADLGCSSGPNTLLLISEIIDVIIRTKSCSIGLHLSATTELRVFLNDLFSNDFNTIFMSLPAFYNKLKQEKGPELGSLFISATPGSFYGRLFPARSLHFVHSSSSLHWLSQVPPGLDSKAAGEALNKGKIYISKTSPQCVLDAYALQFHKDFSLFLKSRAEEMFGGGRMVLSLMGRPSYDPTTPASCYQWELLAHALMTLVSEGLIAEEKVDSFDAPYYAPCEEELSLALKKEGSFLMDSLEAFEIDWDGGDGDQELHHTFDMVGSGQKVAKTIRAVVESMLESHFGKDIMDHLFQCYAELVSNHLSKSRTKYINLVLVIVKKD >ONI34464 pep chromosome:Prunus_persica_NCBIv2:G1:40318347:40322173:1 gene:PRUPE_1G483700 transcript:ONI34464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLPTTTKSERRWRSKPLQTSKPSILMAFFSCVAWLYVAGRLWQDAENRTVLTNILKKNLGQRPKVLSVEDKLAVLGCKDLERRIVEAEMDLTLAKSQGYLKNHLQQKVSSSGQLLAVIGVYTGFGSHLNRNMFRGSWMPKGDALRKLEERGVVIRFVIGRSPNQGDSLDRNIDKESRSTKDFLILEGHEEAQEELTKKVKFFFSTAVQNWDAEFYVKVDDNINLDLEGLIGLLEHRRAQDGAYIGCMKSGDVISEEGKAWYEPDWWKFGDQKSYFRHAGSSLIILSKNLAQYININSASLKTYAHDDVSVGSWMMGIQATYIDDNRLCCGSIRQDKVCSLT >ONI34463 pep chromosome:Prunus_persica_NCBIv2:G1:40318249:40322139:1 gene:PRUPE_1G483700 transcript:ONI34463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLPTTTKSERRWRSKPLQTSKPSILMAFFSCVAWLYVAGRLWQDAENRTVLTNILKKNLGQRPKVLSVEDKLAVLGCKDLERRIVEAEMDLTLAKSQGYLKNHLQQKVSSSGQLLAVIGVYTGFGSHLNRNMFRGSWMPKGDALRKLEERGVVIRFVIGRSPNQGDSLDRNIDKESRSTKDFLILEGHEEAQEELTKKVKFFFSTAVQNWDAEFYVKVDDNINLDLEGLIGLLEHRRAQDGAYIGCMKSGDVISEEGKAWYEPDWWKFGDQKSYFRHAGSSLIILSKNLAQYININSASLKTYAHDDVSVGSWMMGIQATYIDDNRLCCGSIRQDKVCSLT >ONI32050 pep chromosome:Prunus_persica_NCBIv2:G1:32371274:32374684:-1 gene:PRUPE_1G346200 transcript:ONI32050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSVSKRGNTQSDDFEVKQMAKKKVIVEEAFKTTPVPPWTKQITVRAMVTSFLLSIVFNFIVCKLNLTTGVIPSLNVAAGLMGFAVVKGYTAMIEKCGLLKQPFTRQENTVIQTCVVASSGIAFSSGTASYILGMSPKIAAQGDEGNTPINIKHLDLGWMIGFLFAVSFVGLFSIMALRKMMIIKYKLTYPSGTATAYLINSFHTPKGAKLAKKQVSVLFKSFCFSFVFAFFQWFFATADGCGFSSFPTFGLKAFAHKFYFDFSSTYVGVGMICPFMVNISLLVGSIISWGIMWPLIEQKKGIWYSANLSASSLHGIQGYRVFIAIAMMLGDGLFHVVYMLCLTTKSLATHKSEKKLDSSSSPSTNPDGLEAGSSEVVSANYDEERRMEYFLKDQIPNWVALVGYVALAAISIIAVPFIFPQLKWYHVLVAYLIAPVLAFCNAYGCGLSDWSLASNYGKFAIIIFSAWVGLDRGGVIAGLAACGVMMSIVSTASDLMQDFKTGYLTLSSPRSMFVSQVFGTAMGCVMSPLVFWFFYKAYPIGDPGGAYPAPYGLMYRGIALLGVEGVSSLPKNCVTLAVSFFAAAIAINIISELLQRYETKYRIYRFIPSPMCMAIPFYLGSYFAIDMCVGSLILFLWQRKNKQKADDFAPAVASGLICGDSLWGVPAAILAIVGVKAPICMKFLSASVNNKVDSLLNG >ONI32049 pep chromosome:Prunus_persica_NCBIv2:G1:32371010:32374924:-1 gene:PRUPE_1G346200 transcript:ONI32049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSVSKRGNTQSDDFEVKQMAKKKVIVEEAFKTTPVPPWTKQITVRAMVTSFLLSIVFNFIVCKLNLTTGVIPSLNVAAGLMGFAVVKGYTAMIEKCGLLKQPFTRQENTVIQTCVVASSGIAFSSGTASYILGMSPKIAAQGDEGNTPINIKHLDLGWMIGFLFAVSFVGLFSIMALRKMMIIKYKLTYPSGTATAYLINSFHTPKGAKLAKFYFDFSSTYVGVGMICPFMVNISLLVGSIISWGIMWPLIEQKKGIWYSANLSASSLHGIQGYRVFIAIAMMLGDGLFHVVYMLCLTTKSLATHKSEKKLDSSSSPSTNPDGLEAGSSEVVSANYDEERRMEYFLKDQIPNWVALVGYVALAAISIIAVPFIFPQLKWYHVLVAYLIAPVLAFCNAYGCGLSDWSLASNYGKFAIIIFSAWVGLDRGGVIAGLAACGVMMSIVSTASDLMQDFKTGYLTLSSPRSMFVSQVFGTAMGCVMSPLVFWFFYKAYPIGDPGGAYPAPYGLMYRGIALLGVEGVSSLPKNCVTLAVSFFAAAIAINIISELLQRYETKYRIYRFIPSPMCMAIPFYLGSYFAIDMCVGSLILFLWQRKNKQKADDFAPAVASGLICGDSLWGVPAAILAIVGVKAPICMKFLSASVNNKVDSLLNG >ONI27318 pep chromosome:Prunus_persica_NCBIv2:G1:5783779:5785447:-1 gene:PRUPE_1G079500 transcript:ONI27318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTFVLRTSGGEWSAKQHNGDLEASAASTFELQRKLVHTALSTDSSGGVQTSYSPVTPTSAVFQVIVGGGGGGGAFIGGGAAAAPGGGAAAAEAPPAEEKKEEKEESDDDMGFSLFD >ONI26937 pep chromosome:Prunus_persica_NCBIv2:G1:4020424:4034351:-1 gene:PRUPE_1G056500 transcript:ONI26937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLNLNRQPNMPNSAPRPSPFGQAPPFHSSAPPAGAPGAPPPFSRPGPPPAALARPAAPRSGPPQPVLPPATAPARPTGPPVGQPSSFVSRPPPGSLPPVGGLAPASGPPPSPFQTSGLLSSPVSTPLPASGPRSGPGSLPLGQSMPPSSGPGRMMSNGPPMFASGAMPGGPRFPPPGNASQPPVGHPPAMATTAGPPRTPTMHSMLGGPAVSAPQGPTVQQAPPFSAASQAMRPPPGSPYGSQPWSMQQGQVAPPSQFPGSAQPPRMFGMPPPPLPNQSMTTISPAVGQTGAPLAGSSKIDPNQIPRPVPSSSVLIHETRQSNQANPPPPATSDYIVRDNGNCSPRYMRCTINQIPCTADLLTTSGMPLSLLVEPFALPHPSEEPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGFTDDTPRDYHCNLGPDGRRRDADDRPELCRGTVEFVASKEYMVRDPMPAVYFFLVDVSMNAIQTGATAAACSAINQVIADLPEGPRTMVGIATFDSTVHFYNLKRALQQPLMLIVADVQDVYTPLETDVVVQLSECRQHLEQLLDSIPNMFQNSKIAESAFGAAIKAAFLAIKSTGGKLLVFQSVLPSTGIGALSAREAEGRANISSAEKEAHKLLQPADKTLKTMAIEFAEYQVCVDLFITTQSYIDIASIAVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQVQEYHGSFCKRIPTDVDLPGIDCDKTIMVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTTLSLPCTSMLSNLFRAADLDTQFACFMKQAANEIPLSALLRVREQVTNLCISSLLSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLRTEGKIDERSFWINHVSSLSVPLAVPLVYPRMVAIHDLDSKKEGDESPIPPVIPLSSEHVSDEGIYLLENGEDCFIYIGNLVDSNFLQQLFGVTSADELPTQYVLQQYDNPLSKKLNEVVNEIRRQRCSYLRLKLCKKGDPSGTLFFSYMVEDQSPNGPSYVEFLVHVHRQIQIKMAS >ONI26936 pep chromosome:Prunus_persica_NCBIv2:G1:4020414:4034376:-1 gene:PRUPE_1G056500 transcript:ONI26936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFVPPPGAPRPNNSNTPPPPNYNPNNAQRNPDSLADDMQNLNLNRQPNMPNSAPRPSPFGQAPPFHSSAPPAGAPGAPPPFSRPGPPPAALARPAAPRSGPPQPVLPPATAPARPTGPPVGQPSSFVSRPPPGSLPPVGGLAPASGPPPSPFQTSGLLSSPVSTPLPASGPRSGPGSLPLGQSMPPSSGPGRMMSNGPPMFASGAMPGGPRFPPPGNASQPPVGHPPAMATTAGPPRTPTMHSMLGGPAVSAPQGPTVQQAPPFSAASQAMRPPPGSPYGSQPWSMQQGQVAPPSQFPGSAQPPRMFGMPPPPLPNQSMTTISPAVGQTGAPLAGSSKIDPNQIPRPVPSSSVLIHETRQSNQANPPPPATSDYIVRDNGNCSPRYMRCTINQIPCTADLLTTSGMPLSLLVEPFALPHPSEEPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGFTDDTPRDYHCNLGPDGRRRDADDRPELCRGTVEFVASKEYMVRDPMPAVYFFLVDVSMNAIQTGATAAACSAINQVIADLPEGPRTMVGIATFDSTVHFYNLKRALQQPLMLIVADVQDVYTPLETDVVVQLSECRQHLEQLLDSIPNMFQNSKIAESAFGAAIKAAFLAIKSTGGKLLVFQSVLPSTGIGALSAREAEGRANISSAEKEAHKLLQPADKTLKTMAIEFAEYQVCVDLFITTQSYIDIASIAVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQVQEYHGSFCKRIPTDVDLPGIDCDKTIMVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTTLSLPCTSMLSNLFRAADLDTQFACFMKQAANEIPLSALLRVREQVTNLCISSLLSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLRTEGKIDERSFWINHVSSLSVPLAVPLVYPRMVAIHDLDSKKEGDESPIPPVIPLSSEHVSDEGIYLLENGEDCFIYIGNLVDSNFLQQLFGVTSADELPTQYVLQQYDNPLSKKLNEVVNEIRRQRCSYLRLKLCKKGDPSGTLFFSYMVEDQSPNGPSYVEFLVHVHRQIQIKMAS >ONI33983 pep chromosome:Prunus_persica_NCBIv2:G1:38308645:38312787:1 gene:PRUPE_1G457100 transcript:ONI33983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLPNNHVDKKEGGNGIVALAIDKDKNSQSALKWCIDSLVKQGQTVLLIHVKIKPPVFSQSPSLSTPRSNPNWDQHLVCKDPDPQTKELFLPFRVYCTRKNIQCKDIVLEDSDIAKALNEYVTQSAIEHLVVGASAKTGFLRFKISDVPGNVSKGAPDFCNVYVVSKGKLQSRRSASRPAPSISPIRAPVRSHTPSAKSEPYVFPPSGMKGHEKPPEPHRKSHDEMENFRSPFTRKGPNGKSYADLPMPDTDISYVSSGRPSIDRIFPAFYDNLDSGRITPPRMSSSTEIDLNHSFESMQYFGRRSVDVSSSPPTFSSVSQDSDRLSSASQPMEDVEAEMRRLKLELKQTMEMYSTACKEALTAKQKAVELQRWKLEEERRLEEAHLAEEAAMAIVERERAKSRAAIEAAEAAKRIAELEAQKRINAEMKALKESEEKRKALNALQHSDVRYRKYTIDEIEAATEFFTESRKIGEGGYGPVYRCYLDHTPVAIKVLRPDAAQGRSQFNQEVEVLSSIRHPNMVLLLGACPEYGCLVYEYMANGSLEDCLLRRGNSPPLSWQLRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPGNILIDRNFVSKISDVGLARLVPPAVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIMFLQMITAKPAMGLTHHVERAIEKGTFEDMLDPSVPDWPVKEAMKFAKLALQCSELRRKDRPDLGKVILPELNRLRGIAEDTMHHTLMAGDSPSSQHSQVSMQLEGGEPESHSAAS >ONI34829 pep chromosome:Prunus_persica_NCBIv2:G1:41498576:41500096:-1 gene:PRUPE_1G501500 transcript:ONI34829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAIDQTLGGHAFDLDLSGCSTTTNNTMSSDHWYDWSPVVDWDALPPTDQLAGDDFHELIESMMEDQEGTELNSSSAQDEVHELEASNDTTSSGEIMMLTEEDGGANGEDSKGLRLVHLLMAVAEALTGANKSRDLARVILVRLKELVSPTDGTNMERLAAYFTEALQGLLEGAGGVQGKHLIGNGTHRDHGHHPTDVIAAFQLLQDMSPYVKFGHFTANQAILEAVVHDRRVHVLDYDIMEGIQWASLMQALVSRKDGPPTPHLRITALSRGGSGSGRRSIGTIQETGRRLTAFAASIGQPFSFHQCRLDSDETFRPSALKLVKGEALVINCMLNLPHFGYRSPDSIASFLSGAKTLNPRLVTLVEEEVRPTGDGGFVARFMDSLYHYSAVYDSLEAGFPMQSRARALVERVFLGPRIAGSLARIYRANGEVGCSWSEWLGAVGFKPMPISFANHCQAKLLLGLFNDGYRVEEVSNHRLVLGWKSRCLLSASIWTSPSESDFVN >ONI35670 pep chromosome:Prunus_persica_NCBIv2:G1:44907602:44912506:1 gene:PRUPE_1G549300 transcript:ONI35670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHRTYISLHKPYPSYTSHLSSLSVQRLGFEDWTIIVDPMALVLHAGNTNKNGFKALIAAEYSGVKVELVKNFEMGVSNKSPKFLEMNPIGKVPVLETPDGPVFESNAIARYVTRLKADNPLFGSTLIEYAHVEQWIDFATLEIDANILRWLIPRIGFAVYLPPAEEAAISALKRALTALNTHLSSNTYLVGHSVTLADIIMACNLTLGFSRLLTKKFTSEFPHVERYFWTLVNQPNFRKILGEVKQAESVPSIQSAKKPSQAKEPTKPKDEPKKEAKKEPAKPKLEEAVAEEEAPKPKAKNSLDLLPPSKMILDEWKRLYSNTKTNFREVAVKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPKFIIDECYDMELYDWRKVDITDEDQKERVNQMIEDQEPFEGEALLDAKCFK >ONI35669 pep chromosome:Prunus_persica_NCBIv2:G1:44907599:44911258:1 gene:PRUPE_1G549300 transcript:ONI35669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHRTYISLHKPYPSYTSHLSSLSVQRLGFEDWTIIVDPMALVLHAGNTNKNGFKALIAAEYSGVKVELVKNFEMGVSNKSPKFLEMNPIGKVPVLETPDGPVFESNAIARYVTRLKADNPLFGSTLIEYAHVEQWIDFATLEIDANILRWLIPRIGFAVYLPPAEEAAISALKRALTALNTHLSSNTYLVGHSVTLADIIMACNLTLGFSRLLTKKFTSEFPHVERYFWTLVNQPNFRKILGEVKQAESVPSIQSAKKPSQAKEPTKPKDEPKKEAKKEPAKPKLEEAVAEEEAPKPKAKNSLDLLPPSKMILDEWKRLYSNTKTNFREVAVKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPKFIIDECYDMELYDWRKVDITDEDQKERVNQMIEDQEPFEGEALLDAKCFK >ONI32033 pep chromosome:Prunus_persica_NCBIv2:G1:32308154:32313719:1 gene:PRUPE_1G345200 transcript:ONI32033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQSISASFGFSLPFPQQQNCPCGNFVNPRKPQNIFSLPSSKRRRKQLLVTKFSRRSARRKWGFSACAISPDGPGPSMNVELAKSARRSAKILVLKRLSSELDADEFSEDSPQIQMGTNFTNFQEDPFVDKLRTQLGVIHPIPSPPINRNIAGLFVFFFFVGVVFDKLWTSRKKSKSGSENGRREAWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRAGLENWLIGLLQPVIDDLKKPDYVERVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLTLKFSIIPIFVPVGVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKVKAVGPVGDDFKSGDIQEGNKDFVGELSVTLVDARKLSYVFYGKTDPYVTLSLGDQIIRSKKNSQTTVIGPPGEPIWNQDFHMLVANPKKQKLCIQVKDSLGFTDLTIGTGEVDLGSLQDTVPTDRIVVLQGGWGLFKKGSSGEILLRLTYKAYVEDEEDDRTEVDSVDTDASDSDDELSESDESKDTTESANETDKESFMDVLAALIVSEEFQGIVASETGNGKILDDIPITGSKISRLQRGPDAESAPSNSSNVSEGSQGVALFWLAVVAGISVLIATNIGGSSLFNP >ONI28753 pep chromosome:Prunus_persica_NCBIv2:G1:12672343:12675182:1 gene:PRUPE_1G159300 transcript:ONI28753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSRSLYRTLRLRSFSTSTKPSHHNSHQQNHQYTDPNSFIGCWEAPKDPKEAEAKLAQLRRDYAKQVKEVRKEYIQEVELMRLEKLRKDEARKEALRLQNEERKRLKAEAAKVRAQQREVAEQEFRQTLLKERAEKLENWKMKEKMREEKKKEKNELLRRQSSLWINEPELEKKILEAIVDTTPL >ONI28754 pep chromosome:Prunus_persica_NCBIv2:G1:12666864:12675142:1 gene:PRUPE_1G159300 transcript:ONI28754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSRSLYRTLRLRSFSTSTKPSHHNSHQQNHQYTDPNSFIGCWEAPKDPKEAEAKLAQLRRDYAKQVKEVRKEYIQEVELMRLEKLRKDEARKEALRLQNEERKRLKAEAAKVRAQQREVAEQEFRQTLLKERAEKLENWKMKEKMREEKKKEKNELLRRQSSLWINEPELEKKILEAIVDTTPL >ONI26204 pep chromosome:Prunus_persica_NCBIv2:G1:743305:745863:1 gene:PRUPE_1G009300 transcript:ONI26204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVSRVLLISLISMVISAAIVHAKGRGHHGHRGKHLRHQNQNQSVQGGPVTYDGRSLIINGKRELLFSGAIHYTRSTPEMWPDLIKKAKHGGLNMIETYVFWNIHEPVQGQYNFEGNYDLVRFIMLVQEYKMYATIRLGPFIQAEWNHGGLPYWLREVPGVIFRSDSEAFKYHMEKYVQLIVNKLKEAKLFAPQGGPIVLAQIENEYNMVQLAYRELGNNYVRWAAAMAVQQNIGVPWVMCKQKDAPDPVINTCNGRQCGDTFMGPNKPYKPTLWTENWTAQYRVFGDPPSQRPVEDIAFAVARFFSKKGSLTNYYMYHGGTNFGRTSAIFTTTRYYDEAPLDEYGLPRDPKWSHLRDLHKALRLSRKSMLWGVPGVQKMSADTEVYFYEMPATDICAAFLTNNNLTTEATVSWRGQDYYLPPHSVSILPDCKTIVFNTQTIVAQHNSRNFVRSTVANNHKWMKYAEPIPSTLQVPVNNPTPLELYTLLKDTSDYAWYTTSLALNPQDLPRKESIQPVLRIASLGHALHLFVNGEYIGFGHGSHDEKSFVLEKPVHFKAGVNQITLLAMTLGLPDGGAYMEHRYAGPNLVIVLGLNTGTLDITKNGWGHQVGLNGEKLQVFTEEGSKQVQWDKTKGSAQGLTWYKTYFDAPEGNNPVAIRMTGMGKGMIWVNGKSIGRHWMSFLSPLGEPTQSEYHIPRSFIKPTQNLLVVLEEQPGKPKHIEILTVNRDTICSFITEYHPPNVKSWARQDSVFRPVLDVIRSSADIKCPDNKKVVAVEFASFGDPPAGSCGSYVLGKCNSPVSKEVVEQHCLGKSSCSVPIDRNLFFKNTTDGCPGIKKTLAFQVKCAI >ONI28839 pep chromosome:Prunus_persica_NCBIv2:G1:13226946:13236475:-1 gene:PRUPE_1G164200 transcript:ONI28839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARKDDVDLLKKEKHREKKHKKEKKDKEKRESKEKSEKDRSDGKHREKKDKKERNRDKKKDKEKDRDPVKDKISPSDEKRNLGKTESFGAEKFIPKEYKDNCVDKRYTGQVGGYQAEKLSQNHHLAEENHNSVLLELGRRTKDEARGIGNHVVEKISESDRKKDEGMVRLAAKDADILAEVKEKNKDKRVDDRKTDGQGIRVAAQISGNTMVQNPVGMVETKVGGLSRPLGSNVDRKIDGKEKTKEKEGDDKRREKRKEKDREKKKQGKDKDKDKEKKKEAKAKEKNGSKNAEPGKEKKKEEKAKEKNESKNAELDKEKKKEEKAKETNESKNAEPDKIRKSNKEDHIDPHYVITSQLPKDSNKSAGADGNLKKRKDLQTNGVLHANDIRPSKLPRPSSSSHPLTVNGRTVEPCQTSTPYVSDRLGSANNVKVDNKDCKINGIVESPSLSVSPAKLTSTAAQAVPVAEASVRPPHPDSRYLSQVYLVPKVDEVPDYDDEDWLFGCSGNQSKKPKVESSGVEETPEVWSEALRIESADVHALPYVIPY >ONI28838 pep chromosome:Prunus_persica_NCBIv2:G1:13227094:13234293:-1 gene:PRUPE_1G164200 transcript:ONI28838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPPPGYEKKARKDDVDLLKKEKHREKKHKKEKKDKEKRESKEKSEKDRSDGKHREKKDKKERNRDKKKDKEKDRDPVKDKISPSDEKRNLGKTESFGAEKFIPKEYKDNCVDKRYTGQVGGYQAEKLSQNHHLAEENHNSVLLELGRRTKDEARGIGNHVVEKISESDRKKDEGMVRLAAKDADILAEVKEKNKDKRVDDRKTDGQGIRVAAQISGNTMVQNPVGMVETKVGGLSRPLGSNVDRKIDGKEKTKEKEGDDKRREKRKEKDREKKKQGKDKDKDKEKKKEAKAKEKNGSKNAEPGKEKKKEEKAKEKNESKNAELDKEKKKEEKAKETNESKNAEPDKIRKSNKEDHIDPHYVITSQLPKDSNKSAGADGNLKKRKDLQTNGVLHANDIRPSKLPRPSSSSHPLTVNGRTVEPCQTSTPYVSDRLGSANNVKVDNKDCKINGIVESPSLSVSPAKLTSTAAQAVPVAEASVRPPHPDSRYLSQVYLVPKVDEVPDYDDEDWLFGCSGNQSKKPKVESSGVEETPEVWSEALRIESADVHALPYVIPY >ONI27270 pep chromosome:Prunus_persica_NCBIv2:G1:5578023:5582183:-1 gene:PRUPE_1G077200 transcript:ONI27270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTTLAPGLSRKLKKVLECRTDTPDVLASLNTLSSFYSENTPQSRRNLRSTIEKRGLSINLEFLEASQAAQEALDRVEDEVGALASSCDKIAVALSSCTATTGDIIATTERLKQELNVTTQRQQIVSCFLRDYQLSNQEISALRELELNENFFKALSHVQEIHANCKLLLRTHHQRAGLELMDMMAVYQEGAYERLCRWVQAECRRLGDSDNPEVSDLLKTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVFLLLDPDAAVDTVPATSQFSRSPESDSRKTESDLTFVMDRIFEGVCRPFKVRVEQVLQSQSSLIISYKLSNTLEFYSHTISDFLGRETALSDTLWTLKDAAQKTFFDILKTRGEKLLRYPPLVAVDLSPPPAVREGVSLLLEIVETHNSMMLPTLGKNPAFGPVISALLDPIIKICEQAAEAHKSKGAGHSSRRSRLSSDLGQFSKSPVDALLSNSSSAPSSQSSGTSSKIFLINCLCAIQQPLSGHEVAAEYVKNLGMMIDRHMQALVENEVDAILRRCGLSQKIPYFRKSLNKETGNTPLVEIEDTSPASLSECLKAFFGLVLGSESSLPEFEQMQVPKLRSEACIQVARSLAEAYDLTYQAIMDPKNGYSDPKSLARHPPDQIRTILGI >ONI27466 pep chromosome:Prunus_persica_NCBIv2:G1:6644550:6646008:1 gene:PRUPE_1G088900 transcript:ONI27466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKSSFSFILLIALLAASSLVVASAGNFNQDFQITWGDGRAKILNNGELLTLSLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIVFSVDGTPIREFKNQESNGVPFPKNQPMRIYSSLWNADDWATRGGLIKTDWSRAPFTASYRNFNANNACVSSNGASSCSSSSSQNSSSSASTSNAWLSEELDLTRQERLRWVQKNYMIYNYCTDAKRFPQGLPTECKS >ONI35681 pep chromosome:Prunus_persica_NCBIv2:G1:44954321:44961039:1 gene:PRUPE_1G550000 transcript:ONI35681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPLVRTKVLVRHLPPSLSHSDLLQQIDHQLADRYNWFCFRPGKNSQKNQRYSRAYIDFKRPEDVFEFAEFFDGHVFVNEKGSQFKAIVEYAPSQRVPKPCTKKDGREGTIYKDPDYLEFLKLIAKPVEHLPSAEIQLERKEAEQAGGAKEPPIITPLMEYVRQKRAIGSGTQVSSVVRKVRRRAGAASLNKRGSTSTKRVSEKKKYILKDSAKHTSRKDKSTFTVVPRREDQLASSSGKETLENEIGSVSGIPVIADSGKKKILLLKGKEREIPSAPEGMLPQGSVTSAGSYVSAAPKQNQRREAGGKLIRNILLNNEGRQSQSSTEIQPQQKILSLNSDVKRASRPSNARLGLNGQVSNNEPNSMSSEGDRKRATVDKFSKKDLHGTTNVSEKQEKHTRNKDRPDRGVWAPLRRADSSHASDEHLPSVPQRPQLPSDSFEVSHGEVKDDTSYGSRTGEVINPTSGRNSSHVENGSQRHFGRRGSAHNIKDDGSMNVSEGKPSKRGATVHGAPEKQVWVQKSASGS >ONI33569 pep chromosome:Prunus_persica_NCBIv2:G1:37048334:37050225:1 gene:PRUPE_1G433000 transcript:ONI33569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYSFLNDQLSKRTSIFGLHLWVVLGICVGAAIVLVLFLISLWFTSRRNSSSSKAKTFNQNSTIPNVSKEIQEIRIDHARNPVPDPNPIQHQNHPDPVADSEPLARAQALLLQPEDHESPAGGGGRQRIHIEIGKDHRISYPEKGGGSSHGSGEARSGDQGLMAVPEVSHLGWGHWYTLRELEDATNGFADENVIGEGGYGIVYRGIFEDNHMVAVKNLLNNKGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPTSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNSKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPQGEVCFHDQDYLITLSSNQNNWC >ONI33568 pep chromosome:Prunus_persica_NCBIv2:G1:37048108:37052629:1 gene:PRUPE_1G433000 transcript:ONI33568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYSFLNDQLSKRTSIFGLHLWVVLGICVGAAIVLVLFLISLWFTSRRNSSSSKAKTFNQNSTIPNVSKEIQEIRIDHARNPVPDPNPIQHQNHPDPVADSEPLARAQALLLQPEDHESPAGGGGRQRIHIEIGKDHRISYPEKGGGSSHGSGEARSGDQGLMAVPEVSHLGWGHWYTLRELEDATNGFADENVIGEGGYGIVYRGIFEDNHMVAVKNLLNNKGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPTSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNSKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPQGEVNLVEWLKAMVTNRTAEGVLDPRLPEIPSSRALKRALLVALRCVDPNAQKRPKMGHVVHMLEADEFPFRDDRRAGREYGRSPRDASRMDKRVIESGDSSGYESGAQTNQSLWRKRELEEEH >ONI33567 pep chromosome:Prunus_persica_NCBIv2:G1:37048108:37052629:1 gene:PRUPE_1G433000 transcript:ONI33567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYSFLNDQLSKRTSIFGLHLWVVLGICVGAAIVLVLFLISLWFTSRRNSSSSKAKTFNQNSTIPNVSKEIQEIRIDHARNPVPDPNPIQHQNHPDPVADSEPLARAQALLLQPEDHESPAGGGGRQRIHIEIGKDHRISYPEKGGGSSHGSGEARSGDQGLMAVPEVSHLGWGHWYTLRELEDATNGFADENVIGEGGYGIVYRGIFEDNHMVAVKNLLNNKGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPTSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNSKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPQGEVNLVEWLKAMVTNRTAEGVLDPRLPEIPSSRALKRALLVALRCVDPNAQKRPKMGHVVHMLEADEFPFRDDRRAGREYGRSPRDASRMDKRVIESGDSSGYESGAQTNQSLWRKRELEEEH >ONI26819 pep chromosome:Prunus_persica_NCBIv2:G1:3390344:3393663:1 gene:PRUPE_1G047900 transcript:ONI26819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLQNVRVFTTQEAKFLSGVSHQVEGAQTELLVMKGFQKNLDARRGEDPTVQLWVAQIRDAAYDLEDVIETYGLKVVSKKKRGVKNVLKRFACIFKEGVDLHRIGKEIENITAKISKLRSSLEKYNIKEIIRDRDSSDGESSSQLHQRLRQSYSHAVECDVVGLESNVEELVMHLVKDENRHRVVSIWGMGGLGKTTLARKVYHDKKVRQHFHSFAWFCVSQRYQVRNVWEGILIELISATKEQRQEVKDMRDDEIATKLFRVLQEMKCLVILDDIWRIETWNLLKAAFPNVETESTILLTTRNQAVATLPNRNAFLHKLQPLNENESWNLLEKKAISERADIDLGMFTKKRELGMKMLRHCKGLPLAIIVLAGVLARKNTIREWERVYENVHEYISRGIGHEEEYEGVSQVLALSYDDLPYYLKPCFLYLGHYLEDSEFLVSKLTKLWVAEGLISLRKQRHGLGETMEDIARDCLSELVERCLVQVGTSGSSGTIKGCQIHDLVRDMCLLKAKEESFLQINNSLQENTSSVVAEASQLGKIRRLAIYLDEKTDRLVSSRDETNGHVRSLLYFLPGGCQKDFKVLRVLKVEGLYNVEVELPNEIGNMVHLRFLSVKDSKIKKFPPSLGNLVCLQTLDYRVHFVIRHMLIPNVIMKMKQLRHLYLPLDYRAKSNLELSTLGHLQTLYSLAIEYCDLKDVGRLTNLRKLRLILSSSLQNLEEILKSTGSTLNRIRTLFVDNKFHYRGAKQAVQIVSSCRGIYKLALVGPIAELPKELPNYPNLTKLELCSCGLKEDQMGILEKLPNVTILKLIEIAFLGNTKILVFSKGGFPSLEFLDVFIMGGITEWRVEEGAMPRLCRLEIEYCWGLRTLPDGLRYLTNLRELTVRGMRRELHRRIEEDGDDFYKIQHVPSLVIGEPWDPAPMQ >ONI33269 pep chromosome:Prunus_persica_NCBIv2:G1:36032874:36033285:1 gene:PRUPE_1G413600 transcript:ONI33269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRGPGKNSGLNRENPDILVVMIAEKQIMRGGEEDKRDQNERERDGPSKACRGNKWHSATAPCSNVCACISLSFFLFLSLLSF >ONI27992 pep chromosome:Prunus_persica_NCBIv2:G1:9134232:9140734:-1 gene:PRUPE_1G115700 transcript:ONI27992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSNPISTPMIHAFVFALSLFVFQSESAPQAFRRDPGHPQWHHSAFHDVRDGVRSDVRRMLHSRAEVPFQVPLEVNVVLIGFNADGGYRYSVDAHKLEEFLKISFPLHRPSCLETGQPLDIEHQIVYNAFPAGQPELLALEKALKEVMVPAGNAREADFGREVPLFEVDATIVEPVFQRLYSYIFDTESAAYSAADDMDRQVPSAIFIVNFDKVRMDPRNKDIDLDSLMYGKLTQLTEEDMKKQEGDYIYRYRYNGGGASQVWLGSGRFVVIDLSAGPCTYGKIETEEGTVSSRTLPRLKNVVFPRGFGAASDHPTHDVFVGQLASLVSTTVEHVIAPDVRFETVDLTTRLLLPIIVLQNHNRYNIIDKGHNYSINIEAIEAEVKKMVHAGQEVVIVGGSHSLHRHEKLSIAVSKAMRSHSLQETKNDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEVADPNLSSKFFLRQHWADDSEGSSDSILKHKPLWSTYESKHGKKKKRLERKQGEFYRTYGTRVIPVFVLSLADVDPHLMMEDESLVWTSKDVVIVLEHQNEKIPLSYVSETQRRHAFPSQAQRHILAGLASAVGGLSAPYEKASHVHERSVVNWLWAAGCHPFGPFSNTSQVSQMLQDVALRNTIYARVDSALHRIRETSEAVQTFAAQYLKTPLGEPVKGKRNKTTTELWVEKFYKKTTNLPEPFPHELVDRLENYLDTLEEQLVELSSSLYGHRLQDAHLNSSEILQSSIFTQQYVDHVLANERDKMKCCDIEYKYPVQASQTYIYGGILIAGFVVYFVVIFFSSPVR >ONI27993 pep chromosome:Prunus_persica_NCBIv2:G1:9134539:9140537:-1 gene:PRUPE_1G115700 transcript:ONI27993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSNPISTPMIHAFVFALSLFVFQSESAPQAFRRDPGHPQWHHSAFHDVRDGVRSDVRRMLHSRAEVPFQVPLEVNVVLIGFNADGGYRYSVDAHKLEEFLKISFPLHRPSCLETGQPLDIEHQIVYNAFPAGQPELLALEKALKEVMVPAGNAREADFGREVPLFEVDATIVEPVFQRLYSYIFDTESAAYSAADDMDRQVPSAIFIVNFDKVRMDPRNKDIDLDSLMYGKLTQLTEEDMKKQEGDYIYRYRYNGGGASQVWLGSGRFVVIDLSAGPCTYGKIETEEGTVSSRTLPRLKNVVFPRGFGAASDHPTHDVFVGQLASLVSTTVEHVIAPDVRFETVDLTTRLLLPIIVLQNHNRYNIIDKGHNYSINIEAIEAEVKKMVHAGQEVVIVGGSHSLHRHEKLSIAVSKAMRSHSLQETKNDGRFHVHTKTYLDGAILKEEMERSADVLAAGLLEVADPNLSSKFFLRQHWADDSEGSSDSILKHKPLWSTYESKHGKKKKRLERKQGEFYRTYGTRVIPVFVLSLADVDPHLMMEDESLVWTSKDVVIVLEHQNEKIPLSYVSETQRRHAFPSQAQRHILAGLASAVGGLSAPYEKASHVHERSVVNWLWAAGCHPFGPFSNTSQVSQMLQDVALRNTIYARVDSALHRIRETSEAVQTFAAQYLKTPLGEPVKGKRNKTTTELWVEKFYKKTTNLPEPFPHELVDRLENYLDTLEEQLVELSSSLYGHRLQDAHLNSSEILQSSIFTQQ >ONI34910 pep chromosome:Prunus_persica_NCBIv2:G1:41741733:41746315:-1 gene:PRUPE_1G505400 transcript:ONI34910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASVQNRQFTRLDAPINGVVRSFNFSDLTRPKTTANFVEIDDASYFSSSDDDDENDNPGGEYGDLIRKGNSELEPSILDPRDEATSDSWVHRNPSMVRLTGKHPFNCEAPLARLMHHGFITPVPLHYVRNHGVVPKGTWQDWTVEVTGLVRRPARFTMEQLVREFKSREFPVTLVCAGNRRKEQNMVKQTIGFNWGPAGVSNSVWRGVPLCDVLKRCGIYGRKSGALNVCFEGAEDLPGGGGSKYGTSVKKEVAMDPSRDIIVAYMQNGEQLMPDHGFPVRVIIPGFIGGRMVKWLKRIVVTTRESDNYYHYKDNRVLPSHVNAELANAEAWWYKPEYIINELNINSVITTPCHEEILPINSWTSQRPYTMKGYAYSGGGKKVTRVEVTMDGGDTWQVCTLDHQEKPNKYGKYWCWCFWSLEVEVLSLLVAKEIAVRAWDETQNTQPEKLIWNVMGMMNNCWFRVRSNVCKRHKGEIGIVFEHPTQPGNQSGGWMAKEKHLDSESNTTLKKSVSTPFMNTTSSKTYSLSEVEKHNSPQSAWIIIQGHIYDCTRFLNDHPGGADSILINAGTDCTEEFDAIHSDKAKKMLEDYRIGELVTTTYASDSTSNSPNISVHGPHRTSSEDISFLVTPLAPIKEITPVKSVALTPREKIPCKLVAKTSISHDVRLFRFALPSEDQVLGLPVGKHIFVCATIEGKLCMRAYTPSSSIDEVGYFDLVVKIYFKNVHPKFPDGGLMSQHLDSLPIGAAIDIKGPLGHIEYTGRGHFLVNGKPKFAKKLAMLAGGTGITPIYQVAQAILKDPEDETEMYVVYANRTVDDILLREELDAWAKKYERFKVWYVVENGREGWEYSVGFITDTIMREHLPDGSDGSLALACGPPPMIKFAVQPNLLKMNYDTTDSLLVF >ONI35848 pep chromosome:Prunus_persica_NCBIv2:G1:45465297:45470182:-1 gene:PRUPE_1G556900 transcript:ONI35848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAKEFGLRNVSSHCSISDMDDYDLSRLLDKPRLNIERQRSFDERSLSELSIGLTRAGLDNIDSTYSPGGRSGFDTPASSARNSFEPHPMVAEAWEALRRSLVFFRSQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPIRKSDTIVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETEDCQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALALLKPDAEGKEFIERIAKRLHALSYHMRGYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSVAIMDLIESRWEELVGEMPLKICYPAIESHEWRIITGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIELAESRLLKDAWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPVIKRSSSWTC >ONI35850 pep chromosome:Prunus_persica_NCBIv2:G1:45464916:45470434:-1 gene:PRUPE_1G556900 transcript:ONI35850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAKEFGLRNVSSHCSISDMDDYDLSRLLDKPRLNIERQRSFDERSLSELSIGLTRAGLDNIDSTYSPGGRSGFDTPASSARNSFEPHPMVAEAWEALRRSLVFFRSQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPIRKSDTIVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETEDCQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALALLKPDAEGKEFIERIAKRLHALSYHMRGYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSVAIMDLIESRWEELVGEMPLKICYPAIESHEWRIITGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIELAESRLLKDAWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPVIKRSSSWTC >ONI35849 pep chromosome:Prunus_persica_NCBIv2:G1:45464919:45471252:-1 gene:PRUPE_1G556900 transcript:ONI35849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAKEFGLRNVSSHCSISDMDDYDLSRLLDKPRLNIERQRSFDERSLSELSIGLTRAGLDNIDSTYSPGGRSGFDTPASSARNSFEPHPMVAEAWEALRRSLVFFRSQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPIRKSDTIVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETEDCQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALALLKPDAEGKEFIERIAKRLHALSYHMRGYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSVAIMDLIESRWEELVGEMPLKICYPAIESHEWRIITGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIELAESRLLKDAWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPVIKRSSSWTC >ONI32535 pep chromosome:Prunus_persica_NCBIv2:G1:33849987:33856464:-1 gene:PRUPE_1G371400 transcript:ONI32535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGECCPTMDLLRSEPMQLAQLIIPIESSRHAISYLGDLGLFQFKDLNAEKSPFQRTYATQIKRCGEMARRLRFFKEQMKKAGLSPSTRSTTGNDIDLDNMEVKLGELEAELLEINANNEHLQRTYSELLEYKLVLQKAGEFFNSAQSSAAAQQRQFERQHSIEKSIDSPLLLEQEMTTDPSKHVKLGFVSGLVPREKSMTFERILFRATRGNVFLKQAVVNDRVVDPVSGDKVEKNVFIIFYSGERAKNKILKICEAFGANRYPFTDDLGKQFQMITEVSGKLSELKITIDAGLLHRSSLLQTIGHQHELWNLLVKKEKSIYHTLNMLSIDVTKMCLVAEGWCPVYASNQIQNALQRASFDSSSQVGAIFQVLQTKESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLYFIIRERKFSSQKLGDIVEMTFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGPSAYGCRDQSCSDATTVGLSKVRGTYPFGVDPKWHGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAKFFGDNLNIWYQFVPQIIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDNLGENQLFFGQKFLQILLLLSALVAVPWMLFPKPYLLKKQHEERHQGQSYVLLHGGDDPLEEDHHQSLHGHEEFEFTEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKILLLAWGFNNVIILIFGIIVFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGAGYKFYPFSFALLSEEDE >ONI32536 pep chromosome:Prunus_persica_NCBIv2:G1:33850183:33856211:-1 gene:PRUPE_1G371400 transcript:ONI32536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESALVFQCWFAFRFFPPYCLFFFGNSVIFQLNAEKSPFQRTYATQIKRCGEMARRLRFFKEQMKKAGLSPSTRSTTGNDIDLDNMEVKLGELEAELLEINANNEHLQRTYSELLEYKLVLQKAGEFFNSAQSSAAAQQRQFERQHSIEKSIDSPLLLEQEMTTDPSKHVKLGFVSGLVPREKSMTFERILFRATRGNVFLKQAVVNDRVVDPVSGDKVEKNVFIIFYSGERAKNKILKICEAFGANRYPFTDDLGKQFQMITEVSGKLSELKITIDAGLLHRSSLLQTIGHQHELWNLLVKKEKSIYHTLNMLSIDVTKMCLVAEGWCPVYASNQIQNALQRASFDSSSQVGAIFQVLQTKESPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLYFIIRERKFSSQKLGDIVEMTFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGPSAYGCRDQSCSDATTVGLSKVRGTYPFGVDPKWHGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAKFFGDNLNIWYQFVPQIIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDNLGENQLFFGQKFLQILLLLSALVAVPWMLFPKPYLLKKQHEERHQGQSYVLLHGGDDPLEEDHHQSLHGHEEFEFTEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKILLLAWGFNNVIILIFGIIVFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGAGYKFYPFSFALLSEEDE >ONI34852 pep chromosome:Prunus_persica_NCBIv2:G1:41536633:41541047:1 gene:PRUPE_1G502100 transcript:ONI34852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTAKGVSISDEDGNPVWSTPSLKSPVSALLLNEMGNLILLDQFNGSLWESFHYPTDTIVIGQHLPVGSFLSSTRSNFSIGDYRLIISDSDAILQWLGQTYWELSMDTNAYTNSNYIVEYMSIDRTGLHLLGRNGTVVVIQVLLSSSDLRIAKLESSGQFTVKSLSGTDWKQEFGGPADDCQIPLVCGRVGLCTASTSHTCSCPASFHAGSEDTGGCVPSGSFSLPFSCNSTINGSQLNSPAISYIRLDYGMDYFANVFSEPVKYGVNLSTCQALCSSDCTCLGIFYENSSGSCYTLKDELGSIFVSNTAKNDLLGYIKALVGSSPSNFSDNKNPSNQSKNFPVAALVLLPFSGFFLLVALGFLLWGRRRQSKKKEIKLGHFGSLSSGDMDAFYIPGLPKRFDYEELEVATDDFKTLIGSGGFGAVYKGVLPDKTVVAVKKIINLGVQGKKDFCSEIAVIGNIHHANLVKLKGFCAQGRQRLLVYEYMNRGSLDRSLFGSGPVLEWQERLDIALGTARGLAYLHSGCEQKIIHCDVKPENILLHDHFQAKISDFGLSKLLTTEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNTLRLQSHSLNNSSSGGGQSSSSSGSALVYFPLFALEMHEQGRYLELADWRLEGRVTSEEVEKFVRVALCCVHEEPALRPNMNTIVGMLEGGIPLGRPNLQSLNFLRFIGRGFTEASMIERGTEQIDRVLYPEVNASPTTTTMDSRNYFSYVSSQQVSGPR >ONI34851 pep chromosome:Prunus_persica_NCBIv2:G1:41536633:41543810:1 gene:PRUPE_1G502100 transcript:ONI34851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTAKGVSISDEDGNPVWSTPSLKSPVSALLLNEMGNLILLDQFNGSLWESFHYPTDTIVIGQHLPVGSFLSSTRSNFSIGDYRLIISDSDAILQWLGQTYWELSMDTNAYTNSNYIVEYMSIDRTGLHLLGRNGTVVVIQVLLSSSDLRIAKLESSGQFTVKSLSGTDWKQEFGGPADDCQIPLVCGRVGLCTASTSHTCSCPASFHAGSEDTGGCVPSGSFSLPFSCNSTINGSQLNSPAISYIRLDYGMDYFANVFSEPVKYGVNLSTCQALCSSDCTCLGIFYENSSGSCYTLKDELGSIFVSNTAKNDLLGYIKALVGSSPSNFSDNKNPSNQSKNFPVAALVLLPFSGFFLLVALGFLLWGRRRQSKKKEIKLGHFGSLSSGDMDAFYIPGLPKRFDYEELEVATDDFKTLIGSGGFGAVYKGVLPDKTVVAVKKIINLGVQGKKDFCSEIAVIGNIHHANLVKLKGFCAQGRQRLLVYEYMNRGSLDRSLFGSGPVLEWQERLDIALGTARGLAYLHSGCEQKIIHCDVKPENILLHDHFQAKISDFGLSKLLTTEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNTLRLQSHSLNNSSSGGGQSSSSSGSALVYFPLFALEMHEQGRYLELADWRLEGRVTSEEVEKFVRVALCCVHEEPALRPNMNTIVGMLEGGIPLGRPNLQSLNFLRFIGRGFTEASMIERGTEQIDRVLYPERKNLSSQSFEEDGGRRLS >ONI34850 pep chromosome:Prunus_persica_NCBIv2:G1:41536633:41541047:1 gene:PRUPE_1G502100 transcript:ONI34850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLFIPTVFLLFVLLVLVSGGSFSEFIYPNFSASHFQFVDNAGGAFLSSRNGTFKAAIVNPGAEQPNFYLCIIHVASNTVIWTANRNASISASGKMNLTAKGVSISDEDGNPVWSTPSLKSPVSALLLNEMGNLILLDQFNGSLWESFHYPTDTIVIGQHLPVGSFLSSTRSNFSIGDYRLIISDSDAILQWLGQTYWELSMDTNAYTNSNYIVEYMSIDRTGLHLLGRNGTVVVIQVLLSSSDLRIAKLESSGQFTVKSLSGTDWKQEFGGPADDCQIPLVCGRVGLCTASTSHTCSCPASFHAGSEDTGGCVPSGSFSLPFSCNSTINGSQLNSPAISYIRLDYGMDYFANVFSEPVKYGVNLSTCQALCSSDCTCLGIFYENSSGSCYTLKDELGSIFVSNTAKNDLLGYIKALVGSSPSNFSDNKNPSNQSKNFPVAALVLLPFSGFFLLVALGFLLWGRRRQSKKKEIKLGHFGSLSSGDMDAFYIPGLPKRFDYEELEVATDDFKTLIGSGGFGAVYKGVLPDKTVVAVKKIINLGVQGKKDFCSEIAVIGNIHHANLVKLKGFCAQGRQRLLVYEYMNRGSLDRSLFGSGPVLEWQERLDIALGTARGLAYLHSGCEQKIIHCDVKPENILLHDHFQAKISDFGLSKLLTTEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNTLRLQSHSLNNSSSGGGQSSSSSGSALVYFPLFALEMHEQGRYLELADWRLEGRVTSEEVEKFVRVALCCVHEEPALRPNMNTIVGMLEGGIPLGRPNLQSLNFLRFIGRGFTEASMIERGTEQIDRVLYPEVNASPTTTTMDSRNYFSYVSSQQVSGPR >ONI34849 pep chromosome:Prunus_persica_NCBIv2:G1:41537681:41543810:1 gene:PRUPE_1G502100 transcript:ONI34849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLFIPTVFLLFVLLVLVSGGSFSEFIYPNFSASHFQFVDNAGGAFLSSRNGTFKAAIVNPGAEQPNFYLCIIHVASNTVIWTANRNASISASGKMNLTAKGVSISDEDGNPVWSTPSLKSPVSALLLNEMGNLILLDQFNGSLWESFHYPTDTIVIGQHLPVGSFLSSTRSNFSIGDYRLIISDSDAILQWLGQTYWELSMDTNAYTNSNYIVEYMSIDRTGLHLLGRNGTVVVIQVLLSSSDLRIAKLESSGQFTVKSLSGTDWKQEFGGPADDCQIPLVCGRVGLCTASTSHTCSCPASFHAGSEDTGGCVPSGSFSLPFSCNSTINGSQLNSPAISYIRLDYGMDYFANVFSEPVKYGVNLSTCQALCSSDCTCLGIFYENSSGSCYTLKDELGSIFVSNTAKNDLLGYIKALVGSSPSNFSDNKNPSNQSKNFPVAALVLLPFSGFFLLVALGFLLWGRRRQSKKKEIKLGHFGSLSSGDMDAFYIPGLPKRFDYEELEVATDDFKTLIGSGGFGAVYKGVLPDKTVVAVKKIINLGVQGKKDFCSEIAVIGNIHHANLVKLKGFCAQGRQRLLVYEYMNRGSLDRSLFGSGPVLEWQERLDIALGTARGLAYLHSGCEQKIIHCDVKPENILLHDHFQAKISDFGLSKLLTTEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNTLRLQSHSLNNSSSGGGQSSSSSGSALVYFPLFALEMHEQGRYLELADWRLEGRVTSEEVEKFVRVALCCVHEEPALRPNMNTIVGMLEGGIPLGRPNLQSLNFLRFIGRGFTEASMIERGTEQIDRVLYPERKNLSSQSFEEDGGRRLS >ONI30623 pep chromosome:Prunus_persica_NCBIv2:G1:27134567:27136547:1 gene:PRUPE_1G262900 transcript:ONI30623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPDSSLFLLLFFFVAFALLSVGHATIQGDESEGIGRRALLSLKETPRGSNTTFECSPAGPCVPCLYSEKDEKYRCSETGYRIPLKCVETKRSLKDEKAKGSQKSRSTLEIYHNDAELHNAEELGTSVKHRSLLDDSATLEDGPQAYITYRSCIPAVSEEKLSVLGFEMIVLFFLLISGSVVYFRRKQTVSMTGFGAGRIQSNSRF >ONI30622 pep chromosome:Prunus_persica_NCBIv2:G1:27134567:27136547:1 gene:PRUPE_1G262900 transcript:ONI30622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPDSSLFLLLFFFVAFALLSVGHATIQGDESEGIGRRALLSLKETPRGSNTTFECSPAGPCVPCLYSEKKDEKYRCSETGYRIPLKCVETKRSLKDEKAKGSQKSRSTLEIYHNDAELHNAEELGTSVKHRSLLDDSATLEDGPQAYITYRSCIPAVSEEKLSVLGFEMIVLFFLLISGSVVYFRRKQTVSMTGFGAGRIQSNSRF >ONI26267 pep chromosome:Prunus_persica_NCBIv2:G1:1035996:1038126:1 gene:PRUPE_1G013800 transcript:ONI26267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKRGITFASVVYPHFLSRARSFGPKSNTTIPILHRSEQVSNYSSSFHLSPLFPSDAKPHSDSYDIELVDHDAWLVSSGLALAGRGTEGTASESSYLSEEVVDEPVDNCSLPVEGDPDFDDIDNMRIRGSLFYKLDRNSKEFEEYNFDFHRRKKSSKQKDDPKEIKRKSNELKESKTDSPIRDLFSRSEKQGRIANNSQLDEMDTACVGKKKLRTPTYNQLTGPFHEPFCLDIYISKASVRACIIHRVTSKVVAVAHSISKDMKFDLGSTRNAAACAAVGAVLAQRALGDDIHDVIYTPRKGERLEGKLQIVLQSIIDNGINVKVKLKQITRKKPSSSYSA >ONI32186 pep chromosome:Prunus_persica_NCBIv2:G1:32843164:32845553:-1 gene:PRUPE_1G353100 transcript:ONI32186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTGRAAHPLSLGLPPVHLQFLEEQSRPSKHKGVGIRSLLRYGGGKRLNNRTILPFFKNSVIPTTCCAAKATTSTGAAAGDMLEVDLREIKDKCKKWNWKGRYAINYFVSHSSSDPHSSNPNRPPILLVHGFGASIPHWRRNIRTLAQIYTVYAIDLIGFGASEKPVGFSYTMEKWAELILDFLDEIVQEPTVLIGNSVGSLACVIAAASASGAEAESNNKARVRGLVLLNCAGGMNNKAITDDWRIKLFLPLLWLIDFLLKQRGIASAIFERVKQRDNIRNILLSVYGNKESVDEELVEIIMEPTCDPGALDAFVSIVTGPPGPSPVQLMPTIISSSLPLLVLWGDEDPFTPLDGPIGKYFTSLPSQLPYVTLFVLQGVGHCPHDDRPDLVHQKLLPWLDHFSTVTHT >ONI33447 pep chromosome:Prunus_persica_NCBIv2:G1:36587573:36588039:1 gene:PRUPE_1G424600 transcript:ONI33447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINYTFPSHNWAIFQGFLVSLYIKIEVGVSIMKQVSSLVGIGKVSNSVQGIMQKIWIIFFYLIVLINQVDRNEDRRNLKDGQYAVL >ONI31867 pep chromosome:Prunus_persica_NCBIv2:G1:31689681:31692632:-1 gene:PRUPE_1G335700 transcript:ONI31867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPVEHANEGIEAVPQSTTATPNSRTNVSDIKTVKVSNVSQAVSGRDIKEFFSFSGDIQYVEMRRETENTQLAYVTFKDSQGADTAVLLSGATVAELSVTITPVDDYKLPPEAVPLPSSPEKKPAVAISAVRKAEAVASAMLAKGYILGKDAINKAKAFDERHQLMSNASATVVTIDQKMGLMEKLRAGTAVVNEKVREMDQRFMVSEKTKSAFAVAEQKASSAGSALMSNPYILTGASWVSNALSAVSKVAEDVGMMTKEKVDRAEEEKKEIEHRQRTEVITDFAQTHLDESSGRVPPAVSASYPDDTKLGVK >ONI29151 pep chromosome:Prunus_persica_NCBIv2:G1:16209247:16210565:1 gene:PRUPE_1G183300 transcript:ONI29151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRILFLFIIPIFILTSIQDGSPNEAEYLHKEMATKPFTGPSRKVSETQTELPRKETETVLPQKDTESELPQNRTSEAENSNNQTWNIRENVITGAESRNVGVFDFGNEYHEGGAVDHHQGRKGQNNRDYNIDGNKIDSKDGEFVGIGKFGNKYYNPRKEEGTSKKPWYKFW >ONI35355 pep chromosome:Prunus_persica_NCBIv2:G1:43481486:43485721:1 gene:PRUPE_1G531800 transcript:ONI35355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHKDYYGYYYPNQSQRAALAGLLVVLFPAFFPNLFGPLGRASPSFFSEWNAPKARHLHLLNPALLSQSSVEQQSKLWSPLPNQGWKHCLEEPKSLSLTPKGSTGYIQVFLDGGLNQQKMGICDAVAVAKILNATLVVPHLEVNPVWQDSSSFEEIFDLDHFIEVLRDEVSIVKDLPSEFSWSTREYYATGIRITRIKTAPVHASSDWYLENVLPILQRYGVAAISPFSHRLTFENLPKNIQRLRCKVNFKALAFVPHIRELGETLVNRLRYPPNRNQDAASDSQDGTNQIEKQGAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLKSQFTDEELRNQGRCPLTPEEIGLLLAALGFNNSTRLYLASHKVYGGEARISTLRRLFPLMEDKKSLASAEERAKVEGKASLLAAVDYYVSMQSDIFISASPGNMHNALVSHRAYMNLKTIRPSMSLLGKLFVNKSMEWSEFQHAVLDGHKSRQGQIRLRKEKQSIYTYPAPDCMCQA >ONI35354 pep chromosome:Prunus_persica_NCBIv2:G1:43481583:43485302:1 gene:PRUPE_1G531800 transcript:ONI35354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHKDYYGYYYPNQSQRAALAGLLVVLFPAFFPNLFGPLGRASPSFFSEWNAPKARHLHLLNPALLSQSSVEQQSKLWSPLPNQGWKHCLEEPKSLSLTPKGSTGYIQVFLDGGLNQQKMGVVAVAKILNATLVVPHLEVNPVWQDSSSFEEIFDLDHFIEVLRDEVSIVKDLPSEFSWSTREYYATGIRITRIKTAPVHASSDWYLENVLPILQRYGVAAISPFSHRLTFENLPKNIQRLRCKVNFKALAFVPHIRELGETLVNRLRYPPNRNQDAASDSQDGTNQIEKQGAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLKSQFTDEELRNQGRCPLTPEEIGLLLAALGFNNSTRLYLASHKVYGGEARISTLRRLFPLMEDKKSLASAEERAKVEGKASLLAAVDYYVSMQSDIFISASPGNMHNALVSHRAYMNLKTIRPSMSLLGKLFVNKSMEWSEFQHAVLDGHKSRQGQIRLRKEKQSIYTYPAPDCMCQA >ONI35085 pep chromosome:Prunus_persica_NCBIv2:G1:42357446:42362385:1 gene:PRUPE_1G514700 transcript:ONI35085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKMKAEALTLLLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSACYPVAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFEVAISRALNGIGLALVAPAMQSLVADSTDDRNRGMAFGWLQLTGNLGSIIGGLCSILIAPITFMGIPGWRISFHLVGLISVLVGILVRLYATDPHFPDGETKDSSQSSGNSLWSQVKELAQEAKSVIKIPSFQIVVAQGVTGSFPWSALSFAPMWLELTGFSHGKSAFLIALFVIGSSLGGLFGGKMGDILSIHLPNAGRIILAQISSASAIPLAAILLLVLPDNPSTVVIHGLVLFIMGFLISWNGPATNNPIFAEIVPERSRTNVYALDRSFESILSSFAPPVVGILAQHVYGYIPVAKGSSASEEIATDRGNASSLAKALYTAIGIPMALCCVIYSFLYCTYPRDRERAQMDALIESEMQQIELENSPTGEYSRVRFPESEEPYVNDRTVIEVDYEGEDALDADADDDEQTLLYRQLTFSNLSPQ >ONI35084 pep chromosome:Prunus_persica_NCBIv2:G1:42357372:42360940:1 gene:PRUPE_1G514700 transcript:ONI35084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKMKAEALTLLLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSACYPVAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFEVAISRALNGIGLALVAPAMQSLVADSTDDRNRGMAFGWLQLTGNLGSIIGGLCSILIAPITFMGIPGWRISFHLVGLISVLVGILVRLYATDPHFPDGETKDSSQSSGNSLWSQVKELAQEAKSVIKIPSFQIVVAQGVTGSFPWSALSFAPMWLELTGFSHGKSAFLIALFVIGSSLGGLFGGKMGDILSIHLPNAGRIILAQISSASAIPLAAILLLVLPDNPSTVVIHGLVLFIMGFLISWNGPATNNPIFAEIVPERSRTNVYALDRSFESILSSFAPPVVGILAQHVYGYIPVAKGSSASEEIATDRGNASSLAKALYTAIGIPMALCCVIYSFLYCTYPRDRERAQMDALIESEMQQIELENSPTGEYSRVRFPESEEPYVNDRTVIEVDYEGEDALDADADDDEQTLLYRQLTFSNLSPQ >ONI35172 pep chromosome:Prunus_persica_NCBIv2:G1:42698403:42700033:-1 gene:PRUPE_1G520300 transcript:ONI35172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNCLIEKPHAVCIPYPAQGHINPMLKLAKLLHYKGFHITYVNSEFNLKRLLKSRGPNSLDGLPSFRFETIPDGLPPTDANATQDIPSLCDSTRKRSLPYFRDLLSKLNSSPDCPPVSCIVSDGAMGFTLDAAQEFGLPEVLFWTTSACGFLAYVHYHRLIEKDASYLTNGYLETEIDWIPGMRGIRLKDIPSFIRTTDPDDIMLDFWVHETERTRKASAVIFNTFHDFEHEVLDALSTLLPPIYSIGPLHLQINQIPADSELKEIRSNLWTEEPECLEWLNSKEPNSVVYVNFGSITVMTAEQLIEFAWGLANSNQTFFWVIRPDLVGGDSAVVPPEFVEETKERSLLANWCPQEQVLSHPAVGGFLTHSGWNSTIESVCAGVPMICWPFFAEQQTNCRYSEKEWGIDMEIESDVKRNHVEGLVRKLMEGDEGKEMRKKALEWKKLATEATSPNGSSFVGLDKMVNEVLLSP >ONI33493 pep chromosome:Prunus_persica_NCBIv2:G1:36779693:36782683:1 gene:PRUPE_1G428000 transcript:ONI33493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTVAEQLNCTRITTRAAAKRRAMAALVEEHQLATKKRAVLGDVTNLVNVVVSANHKSYGELQKPNCAIKKEEKKAVATRDIEDPQLCGPYASDIYAYLRRMEVEPKRRPLPDYMEKVQKDANANMRAVLVDWLVEVAEEYKLLPDTLHLSVSYIDKYLSINVVNKQKLQLLGIASMFIASKYEEITPPNVDELCDITENTYTKEEVIKMEADILKSLKFEMGNPTTRTFLRKFTDIAQENYKTPNLRLEFLVCYLAELSLLDYKLVKFLPSLVAASVVFLARFMTRTKIHPWCPALQQYTGYKAADLKECVLAIHDMCLGRRARNLNVIQEKYKQLKFKCVAHTHCPQDIPASLFEDLKT >ONI29728 pep chromosome:Prunus_persica_NCBIv2:G1:22474393:22476663:1 gene:PRUPE_1G211300 transcript:ONI29728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLASAQIQRLIVTEKPIDTAQYKHPRNSKTSTRVAVTRKLLEKMPHSDTFAWNKLIQTHIANAHFDNALSTYHQMLLRGVRPDRHTLPRILSASRLSVDLPLGKQLHGHALKLGCSDDRYVVAALIELYGRLHSVDAAKGLFDKSPVKDSVSWTMLARLYIMEGKPGMALHVFDGMVESGAQIDPVALATAAGACGMLKSVIDGKKVHRVAKERGLEFDVLVSNTLLKMYMDCGCVDDAWSVFDQMPSKDVISWTGMIHANVKRGGFNEGLKLFRQMIADGAKPDSLSVSSVLPACARMSASKQGKEIHGYLIRNGIRMNLTVLNALMDMYVKSGFIESASKIFAGLKDKDVVSWTVMILGYSLHGQGQLGVNLFRQMEDSSIQIDEFTYAAVLRACVAALMVEEGKFYFNCIKTPAVAHSVLLVTLLSRYGLFDDAKNFIADKKIEGDAEVLRALLDGCRIHQQSKLGKRVIEQLCDLEPLNADNYVLLSNWYAHYAKWDMVEGLRGTIIDMGLKTKKAYTWMELRNKVHVFGTGDVSHPRSQGIYWELQGLMQKMEDEGHRRDSDFSFHDVDEERECIPIGHSEMLAISFGLISTQAGSTIRVTKNLRVCRNCHDSAKIISQMVGREIILKDPNCFHHFKDGYCSCGDFW >ONI28427 pep chromosome:Prunus_persica_NCBIv2:G1:11159503:11164701:1 gene:PRUPE_1G142100 transcript:ONI28427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGRQASAKPVGKNEGGEIRVSKKAEASGGEVTEARNGVSNSNDNSNNGRKENEEERSSRPKGEKRRSSRPNPRLSNPPKNLHGEQVAAGWPSWLSAVAGEAINGWTPRRADTFEKLDKIGQGTYSNVYKARDALTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPAIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIDNGGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCIAETFKDFPPSSLPLIETLLAIDPAERQTATAALRNEFFVTKPYACEPSSLPKYPPSKEMDAKLRDEEARRLRAVGKANADGVRKSRPRDCRGLPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSSNHIDPVFDPPDVPFSSTNFSYSKESIQTWSGPLVDPGAVGAPRRKKHASGHIHSQSKSSKKDSKR >ONI28428 pep chromosome:Prunus_persica_NCBIv2:G1:11159503:11164701:1 gene:PRUPE_1G142100 transcript:ONI28428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGRQASAKPVGKNEGGEIRVSKKAEASGGEVTEARNGVSNSNDNSNNGRKENEEERSSRPKGEKRRSSRPNPRLSNPPKNLHGEQVAAGWPSWLSAVAGEAINGWTPRRADTFEKLDKIGQGTYSNVYKARDALTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPAIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIDNGGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCIAETFKDFPPSSLPLIETLLAIDPAERQTATAALRNEIESCWES >ONI33084 pep chromosome:Prunus_persica_NCBIv2:G1:35607222:35607700:1 gene:PRUPE_1G404500 transcript:ONI33084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKNLRLTCQVSQDQVSSNMVDRISELPDVILVTIVSCLRALMEKVATSILSKRWRYVWVYSTYDSRLSGDLMTCKSMPTSSG >ONI34255 pep chromosome:Prunus_persica_NCBIv2:G1:39230850:39237744:-1 gene:PRUPE_1G471400 transcript:ONI34255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQCHGMFSPKSSHDEDDEEALKWAAIEKLPTYLRIRRGILTEAEGQAREIDIKNLGSLERRSKFLLKLKDRINRVGLDIPTIEVRFEHLSVEAEAYVGGRALPTIFNFCVNILEGFLNFVHVLPSRKQPLPILDDVSGIIKPRRMTLLLGPPSSGKTTLLLALAGKLAKELKFSGRVAYNGHGMEEFVPERTSAYISQHDLHIGEMTVRETLAFSARCQGVGPRYEMLAELSRREKAANIMPDADLDIYMKAASLEGQETNVVTDYILKILGLEVCADIMVGDEMVRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHILSGTALISLLQPAPETYDLFDDIILLSDGQIVYQGPRENVLEFFEHMGFKCPERKGVADFLQEVTSKKDQEQYWAQKEEPYNFISSKEFAEAFQSFHIGRKLGDELATPFDKSKGHPAALTTMKYGVSKKELLKACISREYLLMKRNSFVYIFKMTQLTLMAFMSMTLFLRTKMHRDTVADGGIYMGAMFFTIIIIMFNGFSELAMTIMKLPVFFKQRDLLFYPSWAYSLPTWILKIPITFIECAVWVVMTYYVIGFDPNIERFFKQYLLLLCLNQMASGLFRFMGALGRNIIVANTFGSFALLAVLVMGGFILSREDVQKWWLWGYWVSPMMYGQNAIAVNEFLGKSWSHVPPNSTESLGIMVLKSRGVFIEPYWYWIGVGATIGYIFLFNFFYTLALKYLDPFGKPQAILSKEALAEKTSDRTGDSIELSSRGKNSSDSRNESRRSVSSRTLSSRVGSITEANENRKRGMVLPFEPLWITFDEITYAVDMPEEMKTQGITEDRLKLLKGVTGAFRPGVLTALMGISGAGKTTLMDVLAGRKTGGYIEGNITISGHPKKQETFARISGYCEQTDIHSPHVTVYESLVYSAWLRLPPEVDSSTRKMFVEEVMELVELTPIREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLLKRGGEEIYVGPLGRHSTHLIKYFEEIDGVPKIKDGYNPATWMLDITAAAQEAALGVNFTEIYKNSELYGRNKALIKDLSTPPAGSKDLYFPTQYSQSFFSQCMACLWKQHLSYWRNPPYSAVRLLFTTFIALMFGTIFWDLGSKRRSQQDLFNAMGSMYAAVLFIGVQNASSVQPVVAIERTVFYRERAAGMYSALPYAFGQVVIELPYIFVQTIIYGVIVYAMIGFDWTVSKFLWYLFFMYFTFLYFTFYGMMTVAVTPNHNIAAIVSSAFYAIWNLFSGFIIPRTRMPIWWRWYYWICPVSYTLYGLVASQFGDIKEIFDSGESAGKSVEHFVKDYFGYRQDFLGVVAAVHVGICVLFGFTFAFSIKVFNFQKR >ONI35278 pep chromosome:Prunus_persica_NCBIv2:G1:43162082:43164050:-1 gene:PRUPE_1G527200 transcript:ONI35278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCNAESAIATCDPYNWDRRRKPKTNKTKPNNKPIKIRHFYYDDLVAATNGFAAESFLGKGSHGSVYKAFLDGGSLVAAVKKTKSSQTTSSSASLHNAENEIEILSRVHHPRLVNLIGFCSDSANDTKLIVVEYMPNGSLYDLLHLNSRPPGWTRRIRFGLHVAKAVQALHSSSPPVIHRDIKSSNVLIDKDWNARLGDLGLALRGHVEDVRIKCTPPAGTLGYLDPGYLAPGDLSAKSDVFSFGILLLEILSGRNAIDVNYSPPSVVDWAVPIIKRGDFAGICDQRIGPPADPAVIRHVAVLAAKCVRSTAEKRPTMAEVVECLKVMIKRIHAPSIWSSLKRRVNMKRVEKGKHQHRRGESREYEEVGNAKFVRGGSRRKLNGKVSSVLGAECEGEGEGEEMIDRRVAKSKSIGSVNEVKAWGGNNQVRKGAGVAVKVPSVVRLNKSRSTGVLNSPRIVRHQKRGFVFEFGGREVDSVELDMSKWVISLEGDEKSERKMLQKPLVSM >ONI30454 pep chromosome:Prunus_persica_NCBIv2:G1:26363444:26365487:-1 gene:PRUPE_1G252400 transcript:ONI30454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIKLNWDPKRIKMPREFDHHHHQLLHPKFSLFLLYLLSPSIGSTAAVAAPIGICYGRVANNLPPPAAVVQILQTNNISSVRLFNTDPATLQSFSSTPTIRLSIGVPNELLPTLASATVPAALAWLQSNILAHIPPNQIQYLAVGNEVFLKDPYYIPHVLPAILNLHQALQTLGLSQTIKLSSPQAASVLSASYPPSSASFDPSLQPSLLPLLHFLRDTNSPFMVNSYPYFSYLSSHPFVSLDYALFRASGGGEVVLDGGLAYSNLFDASVDAFVSAMEREGVAGVAVVVSETGWPTSGGEAASVENALAYNAEVVRRAVEGVGTPRRPGVGVEVFLFDLFDENEKGGEEYEKHFGIFGPDGLRTYGLRFN >ONI30595 pep chromosome:Prunus_persica_NCBIv2:G1:26981467:26984621:-1 gene:PRUPE_1G260800 transcript:ONI30595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSRGQVTITLGRGGQVVKRAVSGSDGSLSDSLPAAGTKRSVRDRLGGNVDGAFSHGSLHNSNKRQRGDYGVSSLNSNGFDDARIGKGDLRFKLMQKNAFRRAQSDDDQRRGDLREKLSKAVQRPSTGLDPRQRLPELKETGAMARIPSTRSADDLPHVDSLRNSYSPWTLDHLRRRSPDRVIVTSRGLSPQRNPEELQRRPLNRAFDDVRSVPYMSKDVLDATRPANAAPFMSNPPLPPGSVKPVAPPPPHLSQLPPLSSIVQKSSYVGDEQQTVDGLLQTLGLGKYAIIFKAEEIDMTALKQMGENDLKELGIPMGPRKKILLALLPRSKRPPAIR >ONI30594 pep chromosome:Prunus_persica_NCBIv2:G1:26981150:26985012:-1 gene:PRUPE_1G260800 transcript:ONI30594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSRGQVTITLGRGGQVVKRAVSGSDGSLSDSLPAAGTKRSVRDRLGGNVDGAFSHGSLHNSNKRQRGDYGVSSLNSNGFDDARIGKGDLRFKLMQKNAFRRAQSDDDQRRGDLREKLSKAVQRPSTGLDPRQRLPELKETGAMARIPSTRSADDLPHVDSLRNSYSPWTLDHLRRRSPDRVIVTSRGLSPQRNPEELQRRPLNRAFDDVRSVPYMSKDVLDATRPANAAPFMSNPPLPPGSVKPVAPPPPHLSQLPPLSSIVQKSSYVIDMTALKQMGENDLKELGIPMGPRKKILLALLPRSKRPPAIR >ONI26248 pep chromosome:Prunus_persica_NCBIv2:G1:960359:961111:1 gene:PRUPE_1G012600 transcript:ONI26248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKKKRQNLLTWCLSSVEGNDEVVLSWSFCGLANNKVWFLSTCRMKVKFSSGSKEVQSYRNWLMLCNDHIHKYKTAEEVVSLGSSLNLVIILALIKTHCKNFYGLDLSMTLLREREALAIVKLVPNIKCLNLKGANVSRDSLVTLLRGCKDLVVLDSRDCIGFDENDDEILKFASHINKFMCRGSIFRNFFVGGFLRMIWFVK >ONI28024 pep chromosome:Prunus_persica_NCBIv2:G1:9270724:9274282:-1 gene:PRUPE_1G117800 transcript:ONI28024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLSSPPPPSNPNFTVFSCTSQNPNNHSKFAFKIPTLPLHSSPYSLSAPPRCSIRDSSVPVLDQTPLPSSDSSNDAVSKEQSQSNAVLPEAESSKRVLVVRRPAMEVSGDGDGDGGEEAPTALDAGLSELAKKMPIFEPEQKAESGVKEKPLSVNLDLALYRAKILTRNYRYAEAEKLLEKCIYYWPEDGRAYVALGKALSKQSKTAEARAVYEKGCQATQGENPYIWQCWAVLENKMGNTRRARELFDAATVADKRHIAAWHGWGVLELKQGNIKKARHLLAKGLKFCGGNEYVYQTLALLEAKANRYEQARYLFRQATKCNPKSCASWLAWAQLEMQQENNRAARQLFEKAIQASPKNRFAWHVWGMFEANVGDVDKARKLLKIGHALNPRDPVLLQSLALLEYKNSTANLARQLFRRASELDPRHQPVWTAWGWMEWKEGNIETARKLYQKALSINSTSETAARCLQAWGVLEQRNGNLSAARRLFRSSLNINSQSYVTWMTWASLEENQGNSARAEEIRDLYFQQRIEIVDDASWIMGFLDIIDPALDRIKSLLKLDQNSQQIQQDSLRTITGANENNIDEESAGPSSKRNDMESESGFDVDAFVTEKLSLDPSKLEVQMETSRPFVLNRTRAKVS >ONI28023 pep chromosome:Prunus_persica_NCBIv2:G1:9270467:9274368:-1 gene:PRUPE_1G117800 transcript:ONI28023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLSSPPPPSNPNFTVFSCTSQNPNNHSKFAFKIPTLPLHSSPYSLSAPPRCSIRDSSVPVLDQTPLPSSDSSNDAVSKEQSQSNAVLPEAESSKRVLVVRRPAMEVSGDGDGDGGEEAPTALDAGLSELAKKMPIFEPEQKAESGVKEKPLSVNLDLALYRAKILTRNYRYAEAEKLLEKCIYYWPEDGRAYVALGKALSKQSKTAEARAVYEKGCQATQGENPYIWQCWAVLENKMGNTRRARELFDAATVADKRHIAAWHGWGVLELKQGNIKKARHLLAKGLKFCGGNEYVYQTLALLEAKANRYEQARYLFRQATKCNPKSCASWLAWAQLEMQQENNRAARQLFEKAIQASPKNRFAWHVWGMFEANVGDVDKARKLLKIGHALNPRDPVLLQSLALLEYKNSTANLARQLFRRASELDPRHQPVWTAWGWMEWKEGNIETARKLYQKALSINSTSETAARCLQRIEIVDDASWIMGFLDIIDPALDRIKSLLKLDQNSQQIQQDSLRTITGANENNIDEESAGPSSKRNDMESESGFDVDAFVTEKLSLDPSKLEVQMETSRPFVLNRTRAKVS >ONI29402 pep chromosome:Prunus_persica_NCBIv2:G1:18752291:18755471:-1 gene:PRUPE_1G196400 transcript:ONI29402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVDGDVSGEVVGSSAELEISRAGDENETGGSSIEGAFQLGQDDKMNQDYPGKDIIPQAVPVVSVVPADEPYVGQEFETEAAAHAFYNAYATRVGFIIRVSKLSRSRRDGSAIGRALVCNKEGYRMPDKREKIVRQRAETRVGCRAMILVRKVSSGKWVVTKFVKEHTHPLTPGKGRRDCIYDQYPNEHDKIRELSQQLAIEKKRAATYKRHLDLIFEHIEEHNESLSKKIQHIVESVKEIEGKEQQQHR >ONI26967 pep chromosome:Prunus_persica_NCBIv2:G1:4185567:4186425:1 gene:PRUPE_1G059100 transcript:ONI26967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVVLLITTTEADIQFPTTKTVRLTNSLASYVDMKVHCKSGAHDLGEQIVHPTESYEFSFKTNFLGTTLFFCSFQWGNEFHYFDVYKNGRDECSKCFWTIVEAGPCFSYFKSFNARPELSHAFTDVDAKFAQRGKEEKWV >ONI27902 pep chromosome:Prunus_persica_NCBIv2:G1:8788601:8824275:1 gene:PRUPE_1G110200 transcript:ONI27902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHGLSVEALRISVWKGDVVLKDLKLKAEALNSLKLPVTVKAGFIGTITLKVPWKSLGKEPVIVLIDRVFILAYPLTDGRTLKEDGEKLFEAKLQQIEETESATLEAISKSKLGSPPPGNSWLGSLIATIIGNLKISISNVHIRYEDSVSNPGHPFCSGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDSVPWKIDKGWEDLTPEEWVQIFEDGINEPADDRGMVSKWAVNRKYLVSPINGALKYHRVGNQEKNDPEVPFEKASLVLSDVSLTITEAQYHDWIKLLEVVSRYKTYVEVSHLRPMVPVSEGPYLWWRYAAQAGLQQKKMCYRFSWDRIRSLCQLRRRYIQLYAGSLQHLSNVNNAEIREIEKDLDSKVILLWRLLAHAKVESVKSKEAAEQRSFQKKSWFSFMWRTPAEGSTIVDAAEGSQLPEERLTKEEWQAINKLLSYQPDEALTSHSGKDVQNMIRFLVTVSIGQAAARIIDINQTEIVCCRFEQLQVSTKFKHRSTYCDVSLKFYGLSAPEGSLAQSVSSEKKVNALAASFVYNPVGENVDWRLSATISPCHVTVLMESFHRFLEFVKRSNAVSPTVTLETATALQMKIEQVTRRAQEQFQMVLEEQSRFALDIDLDAPKVRVPIGTCGSSKCDSHFLLDFGHFTLHTKDSQPDEQRQNLYSRFFITGRDIAAFFMDSGSDCQSCTWDVPNNDNHPLLSPSPDNVDNFYSLIDRCGMAVLVDQIKVPHPNYPSMRISIQVPNLGIHFSPSRFQRLMKLLNIFYGTLETCGQPAVDDFQAETPWSPADLSGDARILVWRGIGNSVATWQPCFLVLSGINLYVLESEKSQSHQRHSSMAGRQVYEVPPANIGGSSFCLAVSYRGMDNQKALESSSTLIIEFRAEEEKAVWLKGLIQATYQASAPPSVDVLGGTSDPVTDFGEPHIMNSKTADLVINGALVETKLFIYGKTSDKLDEELGETLILEVLANGGKLHMSRWEGDLTLKMKLHSLKIKDELQGRLSTTPQYLACSVLNNDNSVSSPVIIDPHWKEMSTLLHADDDTFTDALPDFMSMSDAGFGSQIMNMDTSATAEDINDGTGFASTDNLILEKNLVKGKVISGEIFYEAEGGDNLNFVSVTFMTRSSCSPDYDGIDTQMNLRMSKLEFFCNRPTLVALIDFGLDLSSVYCTESSADMSKLSDDRPLMNKEKIEENGRVKGLLGYGKGRVVFYLNMNVDSVTVFLNKEDGSPFAMFVQESFLLDLKVHPSSLSIEGTLGNFRLRDMSLGTDHCWAWLCDIRNPGVESLIKFKFNSYSAEDDDYEGYDYSLRGRLSAVRIIFLYRFVQEITVYFMELATPHTEEAIKLVDKVGGFEWLIQKYEIDGATALKLDLSLDTPIIIVPRNSSSKDFIQLDLGQLKVTNEFSWHGSPEKDPSAVHIDVLHAEILGINMSVGIDGCLGKSMIREGKGLDVHVRRSLRDVFKKVPTFSLEVKVGLLHAVMSDKEYKVILDCAFMNLCEEPKLPPTFRGGKSGTKDTMKLLVDKVNMNSQILLSRTVTIVAVVVDHALLELYNGIHAESPFAQIALEGLWVSYRMTSLSETDLYITIPKFSVVDIRPDTKPEMRLMLGSSTDASKQVSSGSLPFSLNKGSFRRADSDAGFHVDLPVSTMFLMDYRWRKSSQSFVVRVQQPRVLVVADFLLAVGEFFVPALRTITGREEVMDHENDPISKNSSIVFSEPIYKQTEDVVHLSPSRQLIADSLHIDEYTYDGCGKTICLSGEMDAKELHSTRPRPIIIIGRGKRLRFMNVKIENGSLLRNYTCLSNDSSYSVSFEDGVDIVLLDSSSSDDDDKKSLEYLDNSSDTSNISSYSESDPIPSFSFEAQVVSPEFTFYDASKSSLDDSYGEKLLRAKLDFSFMYASKENDTWIRALVKDLTVEAGSGLIVLDPVDISGGYTSVKDKTNMSLLSTDVCFHLSLSVVSLILNLQSQATAALQFGNPMPLVACTNFDRIWVSPKENGSCYNLTFWRPRAPSNYVILGDCVTSRPIPPSQAVMAVSNAYGRVRKPIGFNLLGLFSAIQGFGGGDSDVGSDCSLWMPVAPPGYIALGCIANIGKEPPPNHIVYCIRSDLVTSTTYSECLFCSPSNPQFASGFSIWRVENVLGSFHAHSSAECPSKNNCCNLSLLLLWNWNRHHSSPKESASNLAVDHSSGCQQTRNQTGNSSGWDIVRSISKANNCYVSTPNFERIWWDKGSDLRRPVSIWRPIARRGYAIMGDCITEGLEPPAVGIVFKADDPEVSAKPVQFTKVAHVVGKGFDEVFFWYPLAPPGYASLGCIVSRMDEAPCVDTFCCPRMDLVNQANILEASTFLARADLKKPSSRLAYAIGDSMKPKARENITAEVKLRCFSLTVLDSLCGMMTPLFDTTITNIKLATHGRLEAMNAVLISSIAASTFNTQLEAWEPLVEPFDGIFKFETYDTNVHSPSKFGKTMRIAATKLEQKAMKINEEAGGLCEQGEDQTLSALDEDDFQTVIVENKLGCDIYLKKFEENTESVDRLRHGDCISIWVPPPRFSDGFNVADESKEVRYYVAIQIHEAKGLPIVDDGNSHNFFCALRLVVDSQPTDQQKLFPQSARTKCVKPALSKINNLNEGKAEWNELFIFEVPRKGPAKLEVEVTNLAAKAGKGEVVGALSFSVGQGANMLRKIASVRVFNQGHDSQSVVSYPLRGRVQHNNLDDMDECGCLLVSTSYFERKTTPIFQRDLEAENASDRDIGFSVGLGPDGVWESIRSLLPLSVVPKSLQNDFMALEVVLKNGKKHAIFRGLATVINETDVNLKFSICHASRIRGYDSSLGKSDNINPGGSFVLPWRSTSNDSDQCLQICPSVDDPQPPYSWGSVVAVGSGYTYGKDLTLIDQVALSRQYTSKQENKIPNVTFRLNQLEKKDILLCCSSTINKQFWLSVGADASALHTELNAPVYDWRISVNSPMKLENRLPCPAEFTIWERTKDGKCIERQHGMISSRGGVHIYSADIQKPLYLTLFVQGGWVLEKDPVLVLNLYSNDHVSSFWMVHQKSRRRLRVSIERDMGGTTVAPKTIRFFVPYWITNDSSIPLAYRVVEVEPLDNADTDSLIPSRVKSAKTALKSPTNSMDRKLSSTRRNIQVLEVIEDTSPVPNMLSPQDYASRSGASLFPSQKDVYLSSRVGLSVAIRHSEIYSPGISLFELEKKERLDVKAFSSDGSYYKLSARLNMTSDRTKVVQFQPHSLFINRVGSSLCLQQCGSQSLAWIHPTDSPKPFCWQSCAKVELLKLRVDGYKWSAPFSVCNEGIMRVCLRKDTGNEQLQFRIAVRSGAKNSSYEVIFRPNSSLSPYRVENRSMFLPIRIRQVDGTSDSWNFLLPNTAVSFLWEDLGRRRLLEILVEGEDPLKSQKYDIDEISDHQPIHMGSGPSKALRVTVIKEEKVNVIKISDWMPESEPAGGLSRSQSSLLSQLSIQQQSPFLSDCEFHVIIELAELGISIIDHTPEEILYLSVQNLLFAYSTGLGSGISRLKLRMHGIQLDNQLPLIPTPVLFRPQRVGEETDYILKLSITMQSNGSLDLCVYPYIGLHGPENSAFLINIHEPIIWRIHEMIQQVNLSRLYDTQTTAVSVDPIIEIGVLSISEVRFKVSMAMSPSQRPRGVLGFWASLMTALGNTENMPVRINQRFHENVCMRQSSMISIAISNIRKDLLGQPLQLLSGVDILGNASSALGHMSKGMAALSFDKKFIQSRQRQESKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAITSDEQLLRRRLPRVIGGDNLIRPYDGYKAQGQAILQLAESGSFFLQVDLFKVRGKFALSDAYENHFLLRKGKILLVTHRRLILLQQPFTVAQRKFNPARDPCSVLWDVLWDDLVIMEKSYGKKDHPKAPPSRVILYLQEKSTEAREQVRVIKCIPDTPQALEVYSSIERAMNTYGSNKPKRMLKKSVTIPYAPMFDSASAEAIPKEGASVLSPRQVPASIPRSSTFGSSSSN >ONI27903 pep chromosome:Prunus_persica_NCBIv2:G1:8788601:8823110:1 gene:PRUPE_1G110200 transcript:ONI27903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHGLSVEALRISVWKGDVVLKDLKLKAEALNSLKLPVTVKAGFIGTITLKVPWKSLGKEPVIVLIDRVFILAYPLTDGRTLKEDGEKLFEAKLQQIEETESATLEAISKSKLGSPPPGNSWLGSLIATIIGNLKISISNVHIRYEDSVSNPGHPFCSGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDSVPWKIDKGWEDLTPEEWVQIFEDGINEPADDRGMVSKWAVNRKYLVSPINGALKYHRVGNQEKNDPEVPFEKASLVLSDVSLTITEAQYHDWIKLLEVVSRYKTYVEVSHLRPMVPVSEGPYLWWRYAAQAGLQQKKMCYRFSWDRIRSLCQLRRRYIQLYAGSLQHLSNVNNAEIREIEKDLDSKVILLWRLLAHAKVESVKSKEAAEQRSFQKKSWFSFMWRTPAEGSTIVDAAEGSQLPEERLTKEEWQAINKLLSYQPDEALTSHSGKDVQNMIRFLVTVSIGQAAARIIDINQTEIVCCRFEQLQVSTKFKHRSTYCDVSLKFYGLSAPEGSLAQSVSSEKKVNALAASFVYNPVGENVDWRLSATISPCHVTVLMESFHRFLEFVKRSNAVSPTVTLETATALQMKIEQVTRRAQEQFQMVLEEQSRFALDIDLDAPKVRVPIGTCGSSKCDSHFLLDFGHFTLHTKDSQPDEQRQNLYSRFFITGRDIAAFFMDSGSDCQSCTWDVPNNDNHPLLSPSPDNVDNFYSLIDRCGMAVLVDQIKVPHPNYPSMRISIQVPNLGIHFSPSRFQRLMKLLNIFYGTLETCGQPAVDDFQAETPWSPADLSGDARILVWRGIGNSVATWQPCFLVLSGINLYVLESEKSQSHQRHSSMAGRQVYEVPPANIGGSSFCLAVSYRGMDNQKALESSSTLIIEFRAEEEKAVWLKGLIQATYQASAPPSVDVLGGTSDPVTDFGEPHIMNSKTADLVINGALVETKLFIYGKTSDKLDEELGETLILEVLANGGKLHMSRWEGDLTLKMKLHSLKIKDELQGRLSTTPQYLACSVLNNDNSVSSPVIIDPHWKEMSTLLHADDDTFTDALPDFMSMSDAGFGSQIMNMDTSATAEDINDGTGFASTDNLILEKNLVKGKVISGEIFYEAEGGDNLNFVSVTFMTRSSCSPDYDGIDTQMNLRMSKLEFFCNRPTLVALIDFGLDLSSVYCTESSADMSKLSDDRPLMNKEKIEENGRVKGLLGYGKGRVVFYLNMNVDSVTVFLNKEDGSPFAMFVQESFLLDLKVHPSSLSIEGTLGNFRLRDMSLGTDHCWAWLCDIRNPGVESLIKFKFNSYSAEDDDYEGYDYSLRGRLSAVRIIFLYRFVQEITVYFMELATPHTEEAIKLVDKVGGFEWLIQKYEIDGATALKLDLSLDTPIIIVPRNSSSKDFIQLDLGQLKVTNEFSWHGSPEKDPSAVHIDVLHAEILGINMSVGIDGCLGKSMIREGKGLDVHVRRSLRDVFKKVPTFSLEVKVGLLHAVMSDKEYKVILDCAFMNLCEEPKLPPTFRGGKSGTKDTMKLLVDKVNMNSQILLSRTVTIVAVVVDHALLELYNGIHAESPFAQIALEGLWVSYRMTSLSETDLYITIPKFSVVDIRPDTKPEMRLMLGSSTDASKQVSSGSLPFSLNKGSFRRADSDAGFHVDLPVSTMFLMDYRWRKSSQSFVVRVQQPRVLVVADFLLAVGEFFVPALRTITGREEVMDHENDPISKNSSIVFSEPIYKQTEDVVHLSPSRQLIADSLHIDEYTYDGCGKTICLSGEMDAKELHSTRPRPIIIIGRGKRLRFMNVKIENGSLLRNYTCLSNDSSYSVSFEDGVDIVLLDSSSSDDDDKKSLEYLDNSSDTSNISSYSESDPIPSFSFEAQVVSPEFTFYDASKSSLDDSYGEKLLRAKLDFSFMYASKENDTWIRALVKDLTVEAGSGLIVLDPVDISGGYTSVKDKTNMSLLSTDVCFHLSLSVVSLILNLQSQATAALQFGNPMPLVACTNFDRIWVSPKENGSCYNLTFWRPRAPSNYVILGDCVTSRPIPPSQAVMAVSNAYGRVRKPIGFNLLGLFSAIQGFGGGDSDVGSDCSLWMPVAPPGYIALGCIANIGKEPPPNHIVYCIRSDLVTSTTYSECLFCSPSNPQFASGFSIWRVENVLGSFHAHSSAECPSKNNCCNLSLLLLWNWNRHHSSPKESASNLAVDHSSGCQQTRNQTGNSSGWDIVRSISKANNCYVSTPNFERIWWDKGSDLRRPVSIWRPIARRGYAIMGDCITEGLEPPAVGIVFKADDPEVSAKPVQFTKVAHVVGKGFDEVFFWYPLAPPGYASLGCIVSRMDEAPCVDTFCCPRMDLVNQANILEASTFLARADLKKPSSRLAYAIGDSMKPKARENITAEVKLRCFSLTVLDSLCGMMTPLFDTTITNIKLATHGRLEAMNAVLISSIAASTFNTQLEAWEPLVEPFDGIFKFETYDTNVHSPSKFGKTMRIAATSILNLNVSAANLETFIGSILSWRRQLELEQKAMKINEEAGGLCEQGEDQTLSALDEDDFQTVIVENKLGCDIYLKKFEENTESVDRLRHGDCISIWVPPPRFSDGFNVADESKEVRYYVAIQIHEAKGLPIVDDGNSHNFFCALRLVVDSQPTDQQKLFPQSARTKCVKPALSKINNLNEGKAEWNELFIFEVPRKGPAKLEVEVTNLAAKAGKGKSDNINPGGSFVLPWRSTSNDSDQCLQICPSVDDPQPPYSWGSVVAVGSGYTYGKDLTLIDQVALSRQYTSKQENKIPNVTFRLNQLEKKDILLCCSSTINKQFWLSVGADASALHTELNAPVYDWRISVNSPMKLENRLPCPAEFTIWERTKDGKCIERQHGMISSRGGVHIYSADIQKPLYLTLFVQGGWVLEKDPVLVLNLYSNDHVSSFWMVHQKSRRRLRVSIERDMGGTTVAPKTIRFFVPYWITNDSSIPLAYRVVEVEPLDNADTDSLIPSRVKSAKTALKSPTNSMDRKLSSTRRNIQVLEVIEDTSPVPNMLSPQDYASRSGASLFPSQKDVYLSSRVGLSVAIRHSEIYSPGISLFELEKKERLDVKAFSSDGSYYKLSARLNMTSDRTKLRVDGYKWSAPFSVCNEGIMRVCLRKDTGNEQLQFRIAVRSGAKNSSYEVIFRPNSSLSPYRLKLRMHGIQLDNQLPLIPTPVLFRPQRVGEETDYILKLSITMQSNGSLDLCVYPYIGLHGPENSAFLINIHEPIIWRIHEMIQQVNLSRLYDTQTTAVSVDPIIEIGVLSISEVRFKVSMAMSPSQRPRGVLGFWASLMTALGNTENMPVRINQRFHENVCMRQSSMISIAISNIRKDLLGQPLQLLSGVDILGNASSALGHMSKGMAALSFDKKFIQSRQRQESKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAITSDEQLLRRRLPRVIGGDNLIRPYDGYKAQGQAILQLAESGSFFLQVDLFKVRGKFALSDAYENHFLLRKGKILLVTHRRLILLQQPFTVAQRKFNPARDPCSVLWDVLWDDLVIMEKSYGKKDHPKAPPSRVILYLQEKSTEAREQVRVIKCIPDTPQALEVYSSIERAMNTYGSNKPKVKC >ONI27904 pep chromosome:Prunus_persica_NCBIv2:G1:8788601:8820639:1 gene:PRUPE_1G110200 transcript:ONI27904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHGLSVEALRISVWKGDVVLKDLKLKAEALNSLKLPVTVKAGFIGTITLKVPWKSLGKEPVIVLIDRVFILAYPLTDGRTLKEDGEKLFEAKLQQIEETESATLEAISKSKLGSPPPGNSWLGSLIATIIGNLKISISNVHIRYEDSVSNPGHPFCSGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDSVPWKIDKGWEDLTPEEWVQIFEDGINEPADDRGMVSKWAVNRKYLVSPINGALKYHRVGNQEKNDPEVPFEKASLVLSDVSLTITEAQYHDWIKLLEVVSRYKTYVEVSHLRPMVPVSEGPYLWWRYAAQAGLQQKKMCYRFSWDRIRSLCQLRRRYIQLYAGSLQHLSNVNNAEIREIEKDLDSKVILLWRLLAHAKVESVKSKEAAEQRSFQKKSWFSFMWRTPAEGSTIVDAAEGSQLPEERLTKEEWQAINKLLSYQPDEALTSHSGKDVQNMIRFLVTVSIGQAAARIIDINQTEIVCCRFEQLQVSTKFKHRSTYCDVSLKFYGLSAPEGSLAQSVSSEKKVNALAASFVYNPVGENVDWRLSATISPCHVTVLMESFHRFLEFVKRSNAVSPTVTLETATALQMKIEQVTRRAQEQFQMVLEEQSRFALDIDLDAPKVRVPIGTCGSSKCDSHFLLDFGHFTLHTKDSQPDEQRQNLYSRFFITGRDIAAFFMDSGSDCQSCTWDVPNNDNHPLLSPSPDNVDNFYSLIDRCGMAVLVDQIKVPHPNYPSMRISIQVPNLGIHFSPSRFQRLMKLLNIFYGTLETCGQPAVDDFQAETPWSPADLSGDARILVWRGIGNSVATWQPCFLVLSGINLYVLESEKSQSHQRHSSMAGRQVYEVPPANIGGSSFCLAVSYRGMDNQKALESSSTLIIEFRAEEEKAVWLKGLIQATYQASAPPSVDVLGGTSDPVTDFGEPHIMNSKTADLVINGALVETKLFIYGKTSDKLDEELGETLILEVLANGGKLHMSRWEGDLTLKMKLHSLKIKDELQGRLSTTPQYLACSVLNNDNSVSSPVIIDPHWKEMSTLLHADDDTFTDALPDFMSMSDAGFGSQIMNMDTSATAEDINDGTGFASTDNLILEKNLVKGKVISGEIFYEAEGGDNLNFVSVTFMTRSSCSPDYDGIDTQMNLRMSKLEFFCNRPTLVALIDFGLDLSSVYCTESSADMSKLSDDRPLMNKEKIEENGRVKGLLGYGKGRVVFYLNMNVDSVTVFLNKEDGSPFAMFVQESFLLDLKVHPSSLSIEGTLGNFRLRDMSLGTDHCWAWLCDIRNPGVESLIKFKFNSYSAEDDDYEGYDYSLRGRLSAVRIIFLYRFVQEITVYFMELATPHTEEAIKLVDKVGGFEWLIQKYEIDGATALKLDLSLDTPIIIVPRNSSSKDFIQLDLGQLKVTNEFSWHGSPEKDPSAVHIDVLHAEILGINMSVGIDGCLGKSMIREGKGLDVHVRRSLRDVFKKVPTFSLEVKVGLLHAVMSDKEYKVILDCAFMNLCEEPKLPPTFRGGKSGTKDTMKLLVDKVNMNSQILLSRTVTIVAVVVDHALLELYNGIHAESPFAQIALEGLWVSYRMTSLSETDLYITIPKFSVVDIRPDTKPEMRLMLGSSTDASKQVSSGSLPFSLNKGSFRRADSDAGFHVDLPVSTMFLMDYRWRKSSQSFVVRVQQPRVLVVADFLLAVGEFFVPALRTITGREEVMDHENDPISKNSSIVFSEPIYKQTEDVVHLSPSRQLIADSLHIDEYTYDGCGKTICLSGEMDAKELHSTRPRPIIIIGRGKRLRFMNVKIENGSLLRNYTCLSNDSSYSVSFEDGVDIVLLDSSSSDDDDKKSLEYLDNSSDTSNISSYSESDPIPSFSFEAQVVSPEFTFYDASKSSLDDSYGEKLLRAKLDFSFMYASKENDTWIRALVKDLTVEAGSGLIVLDPVDISGGYTSVKDKTNMSLLSTDVCFHLSLSVVSLILNLQSQATAALQFGNPMPLVACTNFDRIWVSPKENGSCYNLTFWRPRAPSNYVILGDCVTSRPIPPSQAVMAVSNAYGRVRKPIGFNLLGLFSAIQGFGGGDSDVGSDCSLWMPVAPPGYIALGCIANIGKEPPPNHIVYCIRSDLVTSTTYSECLFCSPSNPQFASGFSIWRVENVLGSFHAHSSAECPSKNNCCNLSLLLLWNWNRHHSSPKESASNLAVDHSSGCQQTRNQTGNSSGWDIVRSISKANNCYVSTPNFERIWWDKGSDLRRPVSIWRPIARRGYAIMGDCITEGLEPPAVGIVFKADDPEVSAKPVQFTKVAHVVGKGFDEVFFWYPLAPPGYASLGCIVSRMDEAPCVDTFCCPRMDLVNQANILEASTFLARADLKKPSSRLAYAIGDSMKPKARENITAEVKLRCFSLTVLDSLCGMMTPLFDTTITNIKLATHGRLEAMNAVLISSIAASTFNTQLEAWEPLVEPFDGIFKFETYDTNVHSPSKFGKTMRIAATSILNLNVSAANLETFIGSILSWRRQLELEQKAMKINEEAGGLCEQGEDQTLSALDEDDFQTVIVENKLGCDIYLKKFEENTESVDRLRHGDCISIWVPPPRFSDGFNVADESKEVRYYVAIQIHEAKGLPIVDDGNSHNFFCALRLVVDSQPTDQQKLFPQSARTKCVKPALSKINNLNEGKAEWNELFIFEVPRKGPAKLEVEVTNLAAKAGKGKSDNINPGGSFVLPWRSTSNDSDQCLQICPSVDDPQPPYSWGSVVAVGSGYTYGKDLTLIDQVALSRQYTSKQENKIPNVTFRLNQLEKKDILLCCSSTINKQFWLSVGADASALHTELNAPVYDWRISVNSPMKLENRLPCPAEFTIWERTKDGKCIERQHGMISSRGGVHIYSADIQKPLYLTLFVQGGWVLEKDPVLVLNLYSNDHVSSFWMVHQKSRRRLRVSIERDMGGTTVAPKTIRFFVPYWITNDSSIPLAYRVVEVEPLDNADTDSLIPSRVKSAKTALKSPTNSMDRKLSSTRRNIQVLEVIEDTSPVPNMLSPQDYASRSGASLFPSQKDVYLSSRVGLSVAIRHSEIYSPGISLFELEKKERLDVKAFSSDGSYYKLSARLNMTSDRTKVVQFQPHSLFINRVGSSLCLQQCGSQSLAWIHPTDSPKPFCWQSCAKVELLKLRVDGYKWSAPFSVCNEGIMRVCLRKDTGNEQLQFRIAVRSGAKNSSYEVIFRPNSSLSPYRVENRSMFLPIRIRQVDGTSDSWNFLLPNTAVSFLWEDLGRRRLLEILVEGEDPLKSQKYDIDEISDHQPIHMGSGPSKALRVTVIKEEKVNVIKISDWMPESEPAGGLSRSQSSLLSQLSIQQQSPFLSDCEFHVIIELAELGISIIDHTPEEILYLSVQNLLFAYSTGLGSGISRLKLRMHGIQLDNQLPLIPTPVLFRPQRVGEETDYILKLSITMQSNGSLDLCVYPYIGLHGPENSAFLINIHEPIIWRIHEMIQQVNLSRLYDTQTTAVSVDPIIEIGVLSISEVRFKVSMAMSPSQRPRGVLGFWASLMTALGNTENMPVRINQRFHENVCMRQSSMISLL >ONI27905 pep chromosome:Prunus_persica_NCBIv2:G1:8788601:8820073:1 gene:PRUPE_1G110200 transcript:ONI27905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHGLSVEALRISVWKGDVVLKDLKLKAEALNSLKLPVTVKAGFIGTITLKVPWKSLGKEPVIVLIDRVFILAYPLTDGRTLKEDGEKLFEAKLQQIEETESATLEAISKSKLGSPPPGNSWLGSLIATIIGNLKISISNVHIRYEDSVSNPGHPFCSGVTLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDSVPWKIDKGWEDLTPEEWVQIFEDGINEPADDRGMVSKWAVNRKYLVSPINGALKYHRVGNQEKNDPEVPFEKASLVLSDVSLTITEAQYHDWIKLLEVVSRYKTYVEVSHLRPMVPVSEGPYLWWRYAAQAGLQQKKMCYRFSWDRIRSLCQLRRRYIQLYAGSLQHLSNVNNAEIREIEKDLDSKVILLWRLLAHAKVESVKSKEAAEQRSFQKKSWFSFMWRTPAEGSTIVDAAEGSQLPEERLTKEEWQAINKLLSYQPDEALTSHSGKDVQNMIRFLVTVSIGQAAARIIDINQTEIVCCRFEQLQVSTKFKHRSTYCDVSLKFYGLSAPEGSLAQSVSSEKKVNALAASFVYNPVGENVDWRLSATISPCHVTVLMESFHRFLEFVKRSNAVSPTVTLETATALQMKIEQVTRRAQEQFQMVLEEQSRFALDIDLDAPKVRVPIGTCGSSKCDSHFLLDFGHFTLHTKDSQPDEQRQNLYSRFFITGRDIAAFFMDSGSDCQSCTWDVPNNDNHPLLSPSPDNVDNFYSLIDRCGMAVLVDQIKVPHPNYPSMRISIQVPNLGIHFSPSRFQRLMKLLNIFYGTLETCGQPAVDDFQAETPWSPADLSGDARILVWRGIGNSVATWQPCFLVLSGINLYVLESEKSQSHQRHSSMAGRQVYEVPPANIGGSSFCLAVSYRGMDNQKALESSSTLIIEFRAEEEKAVWLKGLIQATYQASAPPSVDVLGGTSDPVTDFGEPHIMNSKTADLVINGALVETKLFIYGKTSDKLDEELGETLILEVLANGGKLHMSRWEGDLTLKMKLHSLKIKDELQGRLSTTPQYLACSVLNNDNSVSSPVIIDPHWKEMSTLLHADDDTFTDALPDFMSMSDAGFGSQIMNMDTSATAEDINDGTGFASTDNLILEKNLVKGKVISGEIFYEAEGGDNLNFVSVTFMTRSSCSPDYDGIDTQMNLRMSKLEFFCNRPTLVALIDFGLDLSSVYCTESSADMSKLSDDRPLMNKEKIEENGRVKGLLGYGKGRVVFYLNMNVDSVTVFLNKEDGSPFAMFVQESFLLDLKVHPSSLSIEGTLGNFRLRDMSLGTDHCWAWLCDIRNPGVESLIKFKFNSYSAEDDDYEGYDYSLRGRLSAVRIIFLYRFVQEITVYFMELATPHTEEAIKLVDKVGGFEWLIQKYEIDGATALKLDLSLDTPIIIVPRNSSSKDFIQLDLGQLKVTNEFSWHGSPEKDPSAVHIDVLHAEILGINMSVGIDGCLGKSMIREGKGLDVHVRRSLRDVFKKVPTFSLEVKVGLLHAVMSDKEYKVILDCAFMNLCEEPKLPPTFRGGKSGTKDTMKLLVDKVNMNSQILLSRTVTIVAVVVDHALLELYNGIHAESPFAQIALEGLWVSYRMTSLSETDLYITIPKFSVVDIRPDTKPEMRLMLGSSTDASKQVSSGSLPFSLNKGSFRRADSDAGFHVDLPVSTMFLMDYRWRKSSQSFVVRVQQPRVLVVADFLLAVGEFFVPALRTITGREEVMDHENDPISKNSSIVFSEPIYKQTEDVVHLSPSRQLIADSLHIDEYTYDGCGKTICLSGEMDAKELHSTRPRPIIIIGRGKRLRFMNVKIENGSLLRNYTCLSNDSSYSVSFEDGVDIVLLDSSSSDDDDKKSLEYLDNSSDTSNISSYSESDPIPSFSFEAQVVSPEFTFYDASKSSLDDSYGEKLLRAKLDFSFMYASKENDTWIRALVKDLTVEAGSGLIVLDPVDISGGYTSVKDKTNMSLLSTDVCFHLSLSVVSLILNLQSQATAALQFGNPMPLVACTNFDRIWVSPKENGSCYNLTFWRPRAPSNYVILGDCVTSRPIPPSQAVMAVSNAYGRVRKPIGFNLLGLFSAIQGFGGGDSDVGSDCSLWMPVAPPGYIALGCIANIGKEPPPNHIVYCIRSDLVTSTTYSECLFCSPSNPQFASGFSIWRVENVLGSFHAHSSAECPSKNNCCNLSLLLLWNWNRHHSSPKESASNLAVDHSSGCQQTRNQTGNSSGWDIVRSISKANNCYVSTPNFERIWWDKGSDLRRPVSIWRPIARRGYAIMGDCITEGLEPPAVGIVFKADDPEVSAKPVQFTKVAHVVGKGFDEVFFWYPLAPPGYASLGCIVSRMDEAPCVDTFCCPRMDLVNQANILEASTFLARADLKKPSSRLAYAIGDSMKPKARENITAEVKLRCFSLTVLDSLCGMMTPLFDTTITNIKLATHGRLEAMNAVLISSIAASTFNTQLEAWEPLVEPFDGIFKFETYDTNVHSPSKFGKTMRIAATSILNLNVSAANLETFIGSILSWRRQLELEQKAMKINEEAGGLCEQGEDQTLSALDEDDFQTVIVENKLGCDIYLKKFEENTESVDRLRHGDCISIWVPPPRFSDGFNVADESKEVRYYVAIQIHEAKGLPIVDDGNSHNFFCALRLVVDSQPTDQQKLFPQSARTKCVKPALSKINNLNEGKAEWNELFIFEVPRKGPAKLEVEVTNLAAKAGKGKSDNINPGGSFVLPWRSTSNDSDQCLQICPSVDDPQPPYSWGSVVAVGSGYTYGKDLTLIDQVALSRQYTSKQENKIPNVTFRLNQLEKKDILLCCSSTINKQFWLSVGADASALHTELNAPVYDWRISVNSPMKLENRLPCPAEFTIWERTKDGKCIERQHGMISSRGGVHIYSADIQKPLYLTLFVQGGWVLEKDPVLVLNLYSNDHVSSFWMVHQKSRRRLRVSIERDMGGTTVAPKTIRFFVPYWITNDSSIPLAYRVVEVEPLDNADTDSLIPSRVKSAKTALKSPTNSMDRKLSSTRRNIQVLEVIEDTSPVPNMLSPQDYASRSGASLFPSQKDVYLSSRVGLSVAIRHSEIYSPGISLFELEKKERLDVKAFSSDGSYYKLSARLNMTSDRTKVVQFQPHSLFINRVGSSLCLQQCGSQSLAWIHPTDSPKPFCWQSCAKVELLKLRVDGYKWSAPFSVCNEGIMRVCLRKDTGNEQLQFRIAVRSGAKNSSYEVIFRPNSSLSPYRVENRSMFLPIRIRQVDGTSDSWNFLLPNTAVSFLWEDLGRRRLLEILVEGEDPLKSQKYDIDEISDHQPIHMGSGPSKALRVTVIKEEKVNVIKISDWMPESEPAGGLSRSQSSLLSQLSIQQQSPFLSDCEFHVIIELAELGISIIDHTPEEILYLSVQNLLFAYSTGLGSGISRLKLRMHGIQLDNQLPLIPTPVLFRPQRVGEETDYILKLSITMQSNGSLDLCVYPYIGLHGPENSAFLINIHEPIIWRIHEMIQQVNLSRLYDTQTTAVSVDPIIEIGVLSISEVRFKVSMAMSPSQRPRGVLGFWASLMTALGNTENMPVSVGILPPAIS >ONI26752 pep chromosome:Prunus_persica_NCBIv2:G1:3106980:3112505:1 gene:PRUPE_1G043400 transcript:ONI26752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDSGHRPQQWSHGFCKCGGSLGTCCLTCCLPCITFGRIAEIVDEGRSSCLSHGLLYGLLLTINFQWVYSCMYRKRLREKFGLPEEPCGDCCVHFFCEPCALCQEHAELKSRGFDPSKGWTGAPTAAPQVPPSMTK >ONI26753 pep chromosome:Prunus_persica_NCBIv2:G1:3109386:3112505:1 gene:PRUPE_1G043400 transcript:ONI26753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDYGHHPQQWSGGLCNCGENFCTCCLTCCLPCITFGRIAEIVDEGRSSCLSHGLLYGLLLTINFQWVYSCMYRKRLREKFGLPEEPCGDCCVHFFCEPCALCQEHAELKSRGFDPSKGWTGAPTAAPQVPPSMTK >ONI26212 pep chromosome:Prunus_persica_NCBIv2:G1:776237:777539:1 gene:PRUPE_1G010000 transcript:ONI26212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLIHLIPFLSLFPALFSSLLVLSQTTRSLHHTDDNSSQSLLGSFLTFVTTALERATEEESLLLNKIGDINEVSRQEVDEMINMFARQVCVSSSDNIQKRYSLSQWRIQDSRAKGSQCKNFEIFINCYCTNILVKYVTGYRLKGLIKKHLGQTF >ONI30687 pep chromosome:Prunus_persica_NCBIv2:G1:27471477:27472385:-1 gene:PRUPE_1G267100 transcript:ONI30687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRDKPYFSRHVPASASGWKRRRPLPPHPEPEPELDKPTYKLPPPPALVITGLPPDCSVLDLKSRFEIYGAISRIRIDRDAVGYVTYRATDSAEAAIAASLDPSFGITLDSKKLQVLWATDPLAQWRKGVGVGAENRDSSNGSSSNSNLLRAEVPLRRHGRGNKLASAIVNPRVAAADGSSALDVPAKAREIVAYDDIL >ONI35746 pep chromosome:Prunus_persica_NCBIv2:G1:45144631:45146525:-1 gene:PRUPE_1G552300 transcript:ONI35746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVRGQGGIQMLLTAEQDAQHIVNSARTAKTARLRQAKNEAEREVQLYRTNMEAEYEKMISDTSGSSGSNVKRLEEETDAKIKNLKESSSKVRSDIVSMLMKYVTTVKT >ONI34350 pep chromosome:Prunus_persica_NCBIv2:G1:39882597:39884880:1 gene:PRUPE_1G477000 transcript:ONI34350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLPLIFAILSLAAVGNHAAQPAPQLYWNSVLPNTQMPRSISVLLHPDSTNEEKSTYMNAVGNGKGKPEIFPLGIRRYVQKRYGGGIRRYNQERYGKGPPPSDNQLLHYKDLAIFFFEKDMRPGATMKFQFPRNSNTATFLPRESAQSIPFSSNKLSEIFNHFSVKPTSVEAKTIKQTIQECEAPGLKGEEKYCATSLESMVDFSTSKLGTRNVEAISTEVLERGATMSMHNYTTMPGLKKLAGDKVVVCHKENYPYAVFFCHAIKQTAAYVLSLKGDDGEKVKAVTICHLDTSEWNPEHLSFQILNVKPGTIPICHFISTDGIVWVPKHKSA >ONI33628 pep chromosome:Prunus_persica_NCBIv2:G1:37230089:37230783:1 gene:PRUPE_1G436700 transcript:ONI33628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRETLVQLWNNMEDAVVYRKVQIPWTKEQVMAEFKRLDKDGDDRISKEELKAAFDKFGSRWGSFRAWRALCHADANKDGFISMEEFTDLLNYALKCGYKL >ONI34382 pep chromosome:Prunus_persica_NCBIv2:G1:40087407:40088876:-1 gene:PRUPE_1G479500 transcript:ONI34382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQVLLAPLLRFQTFNALADEAVICLKCLDAKTSPNTMSRLAPNGLLFTSRLMEREIPLDMFSQSRYTIISGVKNFLTNICARIFTDILQRVLYPNPQGLLDPNQPLAVPGFPHPVFGSVTIINPMFSSDHGIPTGGLWGWHPSIELSVDDRTMFLTFSRGFPVSEEEVKELFIDLLGFYNCVESVQMEQVGPNEQPLYAKMVLISVIFVDKILKGNRVSKFRINGKHIWARKYERRE >ONI29781 pep chromosome:Prunus_persica_NCBIv2:G1:22750684:22753609:-1 gene:PRUPE_1G214300 transcript:ONI29781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRICMWVLALLFVFGFAWEVGADQNVPTERISGSAGDVLEDDPTGRLKVYVYELPNKYNKKILQKDPRCLNHMFAAEIFMHRFLLSSPVRTFNPEEADWFYTPIYTTCDLTPTGLPLPFKSPRMMRSAIQLISTNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKEGSITIPPYAPPQKMQAHLIPQETPRSIFVYFRGLFYDINNDPEGGYYARGARAAVWENFKNNPLFDISTDHPTTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFLAEEDVPNLDTILTSIPPEVILRKQRLLANPSMKWAMMFPQPAQPGDAFHQILNGLARKLPHAQSVYLKGGQKILNWTEGPVGDLKPW >ONI30557 pep chromosome:Prunus_persica_NCBIv2:G1:26809986:26811701:-1 gene:PRUPE_1G258300 transcript:ONI30557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSLSFSLDPQHHHLFPNTTHFKPHQTPLLPFSAPTSTLFPKYPLAIFHKSHPSSFKSSSSPSHSSTTTTTTTTTASDTTATDTATTTSTTTTSSSFLEYPLRTGRFLSSEELEQLKLLENFRYYQELESGSMWVRVMRPEEMDITVGLLAESFAESMLLPSGYVSVLGYLVKQYLFERMELSPHTATLIGFYRRRKEEGEEQQEKNTEDEEEVDEVEFLAGTVEVCFDKKGANASPPTPIPPKNSPYISNMAVKKSLRRRGIGWHLLKASEELISQMSSTREAYLHCRMIDTAPFNMYKKAGYDIVKTDNILVMLLLQRRKHLMCKKLPVLTSFSESDTFCSEEELTS >ONI35648 pep chromosome:Prunus_persica_NCBIv2:G1:44738796:44741304:1 gene:PRUPE_1G547400 transcript:ONI35648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFCDLGLLLIVALWLGFNGRAWSQPQQAQVPGFFIFGDSLVDNGNNNGIVTLARANYRPYGIDFPQGVTGRFTNGRTYVDVLAQLLGFQTYIPPYSRTRGAALLRGVNYASGAAGIRDETGDNLGAHTSMNQQVSNFGNTVAEMRRFFRGDANALSNYLGRCIFYSGMGSNDYLNNYFMPNFYTSSSDYTTKAYAAALLQDYSRQLSQLYALGARKVIVTGVGQIGCIPYQLARYHSNSSRCNENINNAILIFNSGLKKMVDNFNRGQLPGAKFVYLDSYQSTFDLFQNASAAGFEVIDKGCCGVGRNNGQITCLPLQQACRDRTKYMFWDAFHPTEAANILLGKASYSSNTQSYTCPINIQQLAML >ONI34032 pep chromosome:Prunus_persica_NCBIv2:G1:38482638:38484754:1 gene:PRUPE_1G459900 transcript:ONI34032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKGKETIKSMMRKFGDKALTPRLKEEVKKKGIMGDKTLPPRLREELKKQGVPDSKIIMGRAKRGIYAGRHIQFGNQISEDGGNKSRRTWKPNVQEKRLFSYILDRHIRVKVTTHALRCIDKAGGIDEYFLKTPYQRMDTEMGLVWKAKIEKLYEEVRQTPVALIKPEAEANLEQKFKQLKLDERDVRREMYGWSNKLKQIEEGADNQVADEEGARIGEQSSHVDVPEQLVANS >ONI27899 pep chromosome:Prunus_persica_NCBIv2:G1:8778313:8781550:-1 gene:PRUPE_1G110100 transcript:ONI27899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIHWFMVLWIALSLMIFQNSSNVEGRYHFHKRNKSSPAPSPDAGEPVAPSTPSLSPPPLPNVPSDPYPEDPGNNSSGSSDCVFNVMNYGAVGDGSADDTAAFRAAWKEACAVESGVVFAPADYSFKITSTIFSGPCQPGLVFQVDGILMPPEGPQTWPKGDSQKQWLVFYRLDKMTFTGSGTIEGNGQKWWDLPCKPHRGPNGSTLPGQCDSPALIRFFMSNNLVVKGLRIQNSPQFHMKFDGCEGVQIEKLSISSPKLSPNTDGIHIENTKSVGIYDSMISNGDDCISIGTGCANVDIRGVTCGPSHGISIGSLGVHNSQACVSNITVRDTIIRESDNGVRIKTWQGGTGCVSAIVFENIQMENVMNCLLVDQYYCLSKACRNETSAVYVTDLTYRNIKGTYDVRRPPIHFACSDTVACTNITLSEVELYPHEGELMDDPFCWNAYGTQETATIPPIDCLRDGEPESVAEVSQYTC >ONI27900 pep chromosome:Prunus_persica_NCBIv2:G1:8778361:8783868:-1 gene:PRUPE_1G110100 transcript:ONI27900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIHWFMVLWIALSLMIFQNSSNVEGRYHFHKRNKSSPAPSPDAGEPVAPSTPSLSPPPLPNVPSDPYPEDPGNNSSGSSDCVFNVMNYGAVGDGSADDTAAFRAAWKEACAVESGVVFAPADYSFKITSTIFSGPCQPGLVFQVDGILMPPEGPQTWPKGDSQKQWLVFYRLDKMTFTGSGTIEGNGQKWWDLPCKPHRGPNGSTLPGQCDSPALIRFFMSNNLVVKGLRIQNSPQFHMKFDGCEGVQIEKLSISSPKLSPNTDGIHIENTKSVGIYDSMISNGDDCISIGTGCANVDIRGVTCGPSHGISIGSLGVHNSQACVSNITVRDTIIRESDNGVRIKTWQGGTGCVSAIVFENIQMENVMNCLLVDQYYCLSKACRNETSAVYVTDLTYRNIKGTYDVRRPPIHFACSDTVACTNITLSEVELYPHEGELMDDPFCWNAYGTQETATIPPIDCLRDGEPESVAEVSQYTC >ONI27901 pep chromosome:Prunus_persica_NCBIv2:G1:8778313:8784047:-1 gene:PRUPE_1G110100 transcript:ONI27901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIHWFMVLWIALSLMIFQNSSNVEGRYHFHKRNKSSPAPSPDAGEPVAPSTPSLSPPPLPNVPSDPYPEDPGNNSSGSSDCVFNVMNYGAVGDGSADDTAAFRAAWKEACAVESGVVFAPADYSFKITSTIFSGPCQPGLVFQVDGILMPPEGPQTWPKGDSQKQWLVFYRLDKMTFTGSGTIEGNGQKWWDLPCKPHRGPNGSTLPGQCDSPALIRFFMSNNLVVKGLRIQNSPQFHMKFDGCEGVQIEKLSISSPKLSPNTDGIHIENTKSVGIYDSMISNGDDCISIGTGCANVDIRGVTCGPSHGISIGSLGVHNSQACVSNITVRDTIIRESDNGVRIKTWQGGTGCVSAIVFENIQMENVMNCLLVDQYYCLSKACRNETSAVYVTDLTYRNIKGTYDVRRPPIHFACSDTVACTNITLSEVELYPHEGELMDDPFCWNAYGTQETATIPPIDCLRDGEPESVAEVSQYTC >ONI26731 pep chromosome:Prunus_persica_NCBIv2:G1:2992488:2995258:-1 gene:PRUPE_1G042000 transcript:ONI26731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSRIATVLSFTQHHQSSSSQEEEINIFLKIMRTVALTVNRSETIKDLKALLCEKYGFPEHHQELFLGGDMLVDCQSLVDCGVQRDSTVHLVLQNTVGIKLFVKLPSSQRTIEIEAKAHDTIRNIKAMIQAKKMIPSDQFTLLYGGESLEEDSTLTSLGINNMSTLQMISAPKDVLSISVKSPSGETVKLKVRALFTVNDVKNIVGNTLGISVSDQNLIYSGNQLEDLKTLAFYDIKDKYVLEMSHPLFQIFVKVFDGRTLTIYVKQSDVVEDVKVKVFRKLEVSMKPYYYCRLLFGGKFLINGRDLASYNIQKDSTLSLVLSTNVV >ONI27599 pep chromosome:Prunus_persica_NCBIv2:G1:7531102:7539324:-1 gene:PRUPE_1G095400 transcript:ONI27599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLVALFVDPLYFYLPMLGGNTNTFCVKTDLRLRIVVTCFRTIADIFYLLHVIIKFRTAYVAPSSRVFGRGELVMDPKKIAHRYIRSDFFIDLIATLPLPQIVIWFIIPATRRTQTDHNNNALALIVLLQYVPRLYLIFPLSLQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGAAWYLLSIDRYTSCWKSFCKKEVSSTKCSLSYLDCDNFNSKGYQTWAHSTKVFENCNPANNNFKYGIFESAVAKNAVSANFITKYFYCLWWGLQQLSSYGQNLSTSTFIGETSFAILIAIVGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMKHRQLPEDLRRRVRRFVQYKWLATRGVDEEAILHGLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTAGTYIVREGDPVTEMLFIIRGALDSSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTVNLPSSTRTVKALTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRHKRRMTAKDLSLRESFALDEKVAYETGEGEEDLSVGSNPSQAKMNLGVTLLASRFAANTRRGAQKIKDEMPKLRKPDEPDFSTEHEYE >ONI27598 pep chromosome:Prunus_persica_NCBIv2:G1:7531595:7539003:-1 gene:PRUPE_1G095400 transcript:ONI27598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRKEKLVRFYSDEKRHEKRHKESLWGRRTEPSQLEKSSSQYKVSSSSLLKSDTGLVGARNRFVETFSIGHSKVFPEDHEPWRKRILDPGSEIVLQWNRVFIMSCLVALFVDPLYFYLPMLGGNTNTFCVKTDLRLRIVVTCFRTIADIFYLLHVIIKFRTAYVAPSSRVFGRGELVMDPKKIAHRYIRSDFFIDLIATLPLPQIVIWFIIPATRRTQTDHNNNALALIVLLQYVPRLYLIFPLSLQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGAAWYLLSIDRYTSCWKSFCKKEVSSTKCSLSYLDCDNFNSKGYQTWAHSTKVFENCNPANNNFKYGIFESAVAKNAVSANFITKYFYCLWWGLQQLSSYGQNLSTSTFIGETSFAILIAIVGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMKHRQLPEDLRRRVRRFVQYKWLATRGVDEEAILHGLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTAGTYIVREGDPVTEMLFIIRGALDSSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTVNLPSSTRTVKALTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRHKRRMTAKDLSLRESFALDEKVAYETGEGEEDLSVGSNPSQAKMNLGVTLLASRFAANTRRGAQKIKDEMPKLRKPDEPDFSTEHEYE >ONI27601 pep chromosome:Prunus_persica_NCBIv2:G1:7532897:7539003:-1 gene:PRUPE_1G095400 transcript:ONI27601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRKEKLVRFYSDEKRHEKRHKESLWGRRTEPSQLEKSSSQYKVSSSSLLKSDTGLVGARNRFVETFSIGHSKVFPEDHEPWRKRILDPGSEIVLQWNRVFIMSCLVALFVDPLYFYLPMLGGNTNTFCVKTDLRLRIVVTCFRTIADIFYLLHVIIKFRTAYVAPSSRVFGRGELVMDPKKIAHRYIRSDFFIDLIATLPLPQIVIWFIIPATRRTQTDHNNNALALIVLLQYVPRLYLIFPLSLQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGAAWYLLSIDRYTSCWKSFCKKEVSSTKCSLSYLDCDNFNSKGYQTWAHSTKVFENCNPANNNFKYGIFESAVAKNAVSANFITKYFYCLWWGLQQLSSYGQNLSTSTFIGETSFAILIAIVGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMKHRQLPEDLRRRVRRFVQYKWLATRGVDEEAILHGLPADLRRDIQRHLCLDLVRRV >ONI27597 pep chromosome:Prunus_persica_NCBIv2:G1:7531102:7539324:-1 gene:PRUPE_1G095400 transcript:ONI27597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRKEKLVRFYSDEKRHEKRHKESLWGRRTEPSQLEKSSSQYKVSSSSLLKSDTGLVGARNRFVETFSIGHSKVFPEDHEPWRKRILDPGSEIVLQWNRVFIMSCLVALFVDPLYFYLPMLGGNTNTFCVKTDLRLRIVVTCFRTIADIFYLLHVIIKFRTAYVAPSSRVFGRGELVMDPKKIAHRYIRSDFFIDLIATLPLPQTYLQSITVRLEEWRLKRRDTEEWMKHRQLPEDLRRRVRRFVQYKWLATRGVDEEAILHGLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTAGTYIVREGDPVTEMLFIIRGALDSSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTVNLPSSTRTVKALTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRHKRRMTAKDLSLRESFALDEKVAYETGEGEEDLSVGSNPSQAKMNLGVTLLASRFAANTRRGAQKIKDEMPKLRKPDEPDFSTEHEYE >ONI27600 pep chromosome:Prunus_persica_NCBIv2:G1:7531102:7539324:-1 gene:PRUPE_1G095400 transcript:ONI27600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASHVLGAAWYLLSIDRYTSCWKSFCKKEVSSTKCSLSYLDCDNFNSKGYQTWAHSTKVFENCNPANNNFKYGIFESAVAKNAVSANFITKYFYCLWWGLQQLSSYGQNLSTSTFIGETSFAILIAIVGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMKHRQLPEDLRRRVRRFVQYKWLATRGVDEEAILHGLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTAGTYIVREGDPVTEMLFIIRGALDSSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPKSTVNLPSSTRTVKALTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRHKRRMTAKDLSLRESFALDEKVAYETGEGEEDLSVGSNPSQAKMNLGVTLLASRFAANTRRGAQKIKDEMPKLRKPDEPDFSTEHEYE >ONI34947 pep chromosome:Prunus_persica_NCBIv2:G1:41894823:41897601:-1 gene:PRUPE_1G507800 transcript:ONI34947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPSKLSMAFDPSKLQTQIDIKPPPPPFFTESAAETLQEPTKLSFFASRYRRPRRHRADTWLISLFVILHIVAFMATMVVNDCWSKSHGDCVLKAFGRLSFQPLSENPLLGPSASTLDEMGALRRTVLTENHQTWRLFTFPCLHAGAIHLVINLSCVIFVGIHLEQEFGPIMAGLIYILSAFVGTLVAALFVEKRPSVGSSGALFGLLGATLSALIQNWEMYTNKFTALASVIFVSIGNFLLGLLPYVDNFSSIGGFISGFLLGSVFLSSPKVKEVAQDKGGLMDYDLKSYIKSRLRQKLDRPVYRSVSILLFGLMLAGCLVGVLQGINMNQYCGWCRYADCVPSKSWSCRDMENSCETMASNEQLTLTCMANGNFRVLPFTNISQARTNDLCSVICS >ONI34948 pep chromosome:Prunus_persica_NCBIv2:G1:41894576:41896932:-1 gene:PRUPE_1G507800 transcript:ONI34948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALRRTVLTENHQTWRLFTFPCLHAGAIHLVINLSCVIFVGIHLEQEFGPIMAGLIYILSAFVGTLVAALFVEKRPSVGSSGALFGLLGATLSALIQNWEMYTNKFTALASVIFVSIGNFLLGLLPYVDNFSSIGGFISGFLLGSVFLSSPKVKEVAQDKGGLMDYDLKSYIKSRLRQKLDRPVYRSVSILLFGLMLAGCLVGVLQGINMNQYCGWCRYADCVPSKSWSCRDMENSCETMASNEQLTLTCMANGNFRVLPFTNISQARTNDLCSVICS >ONI34949 pep chromosome:Prunus_persica_NCBIv2:G1:41894576:41897689:-1 gene:PRUPE_1G507800 transcript:ONI34949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPSKLSMAFDPSKLQTQIDIKPPPPPFFTESAAETLQEPTKLSFFASRYRRPRRHRADTWLISLFVILHIVAFMATMVVNDCWSKSHGDCVLKAFGRLSFQPLSENPLLGPSASTLDEMGALRRTVLTENHQTWRLFTFPCLHAGAIHLVINLSCVIFVGIHLEQEFGPIMAGLIYILSAFVGTLVAALFVEKRPSVGSSGALFGLLGATLSALIQNWEMYTNKFTALASVIFVSIGNFLLGLLPYVDNFSSIGGFISGFLLGSVFLSSPKVKEVAQDKGGLMDYDLKSYIKSRLRQKLDRPVYRSVSILLFGLMLAGCLVGVLQGINMNQYCGWCRYADCVPSKSWSCRDMENSYHGEQ >ONI31421 pep chromosome:Prunus_persica_NCBIv2:G1:30287567:30291123:-1 gene:PRUPE_1G312100 transcript:ONI31421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRALRYQPLKLPLFPPQFQNPINHLHPKPTFLVPRGTRLMVTAKKRPPIEGVSEELNSIANQNLDFAYTRRQVRSAFVEVQQQLDHCLFKLAPTGIRTEEWYERNSRGLQIFCKSWMPKQGVPIKGVLFFCHGYGDTCVFFFEGIARRIAASGYAVYAMDYPGFGLSEGLHGYIPSFDQLADDVIEQYTKIKGRPELKGLPHFILGQSMGGAVTLKVHLKEPYAWDGVVLVAPMCKAVYNVTCYNDHVRLKTAVELLYATKDIEMQVEKVSSPLLILHGAADKVTDPLVSQYLYEKASSEDKTLKLYPEGYHCILEGEPDDRIFSVLDDIITWLDSRCALT >ONI31423 pep chromosome:Prunus_persica_NCBIv2:G1:30287815:30290936:-1 gene:PRUPE_1G312100 transcript:ONI31423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRALRYQPLKLPLFPPQFQNPINHLHPKPTFLVPRGTRLMVTAKKRPPIEGVSEELNSIANQNLDFAYTRRQVRSAFVEVQQQLDHCLFKLAPTGIRTEEWYERNSRGLQIFCKSWMPKQGVPIKGVLFFCHGYGDTCVFFFEGIARRIAASGYAVYAMDYPGFGLSEGLHGYIPSFDQLADDVIEQYTKIKGRPELKGLPHFILGQSMGGAVTLKVHLKEPYAWDGVVLVAPMCKIAEDVTPPAAAQKILILMSKVMPKAKLIPQKDLAELAFRDPIKRKMAVYNVTCYNDHVRLKTAVELLYATKDIEMQVEKICQVSSPLLILHGAADKVTDPLVSQYLYEKASSEDKTLKLYPEGYHCILEGEPDDRIFSVLDDIITWLDSRCALT >ONI31424 pep chromosome:Prunus_persica_NCBIv2:G1:30288644:30290936:-1 gene:PRUPE_1G312100 transcript:ONI31424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRALRYQPLKLPLFPPQFQNPINHLHPKPTFLVPRGTRLMVTAKKRPPIEGVSEELNSIANQNLDFAYTRRQVRSAFVEVQQQLDHCLFKLAPTGIRTEEWYERNSRGLQIFCKSWMPKQGVPIKGVLFFCHGYGDTCVFFFEGIARRIAASGYAVYAMDYPGFGLSEGLHGYIPSFDQLADDVIEQYTKIKGRPELKGLPHFILGQSMGGAVTLKVHLKEPYAWDGVVLVAPMCKRM >ONI31422 pep chromosome:Prunus_persica_NCBIv2:G1:30287815:30290936:-1 gene:PRUPE_1G312100 transcript:ONI31422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRALRYQPLKLPLFPPQFQNPINHLHPKPTFLVPRGTRLMVTAKKRPPIEGVSEELNSIANQNLDFAYTRRQVRSAFVEVQQQLDHCLFKLAPTGIRTEEWYERNSRGLQIFCKSWMPKQGVPIKGVLFFCHGYGDTCVFFFEGIARRIAASGYAVYAMDYPGFGLSEGLHGYIPSFDQLADDVIEQYTKIKGRPELKGLPHFILGQSMGGAVTLKVHLKEPYAWDGVVLVAPMCKIAEDVTPPAAAQKILILMSKVMPKAKLIPQKDLAELAFRDPIKRKMAVYNVTCYNDHVRLKTAVELLYATKDIEMQVEKVSSPLLILHGAADKVTDPLVSQYLYEKASSEDKTLKLYPEGYHCILEGEPDDRIFSVLDDIITWLDSRCALT >ONI35889 pep chromosome:Prunus_persica_NCBIv2:G1:45682052:45682699:1 gene:PRUPE_1G559500 transcript:ONI35889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTHSEKQMHKSPMIKSRKTQLVSHSPHMENYSMFRFDKQSQSRAVLEAWNAGESKKQNLDRIRDRITFNSVMAKCWQTDIASTNSKWHISLRKLARPKNSGLKSFRIEVEGIRPPDIRQLLQYWDWNLNVGSFGNLNVVELNIFQALSYNNQGWGLGVQAQGFLDHHVQLPGYL >ONI30337 pep chromosome:Prunus_persica_NCBIv2:G1:25876459:25877328:-1 gene:PRUPE_1G245300 transcript:ONI30337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDDVDNFLTRLSRKRARDEEENRDKMSIILGTITSVIAIVVAWYNETYLVKEPSRDWDQERRCYLNRLYNGREVDCIEQLRVSKNAFKSLCTILHGKGGLTPTRNVSIEESVAIFLNILAHNLKFRVIGFDYYRSKETISRQFNSVLHARMRISEEYLKLHPCAISGSERDKWKWFENCIGALDGTHFIRLEQHNDLVLQDQDLEFLASVDHEISNHSTLEGNANRITSVQVTDQWTTFRDTLALQMFHDYQARGTTIS >ONI32293 pep chromosome:Prunus_persica_NCBIv2:G1:33177124:33178017:1 gene:PRUPE_1G358900 transcript:ONI32293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTPAAVAIPSFAGLKSAGASKVCSAAVKFAASPRMCAIKSSLKDVGVAVAATAASAILASNALAIEVLLGGDDGSLAFIPNSFSVSPGEKIVFKNNAGFPHNIVFDEDEIPSGVDAGKISMGEEDLLNAPGETYAITLTEKGSYAFYCSPHQGAGMIGKVTVN >ONI26331 pep chromosome:Prunus_persica_NCBIv2:G1:1255940:1259362:-1 gene:PRUPE_1G018000 transcript:ONI26331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIHIKPRLPLFLFLSLLFLAFSVSFTLGQDREQHCQKQCQDFKRPIIHSECIYQCRMWGPWSLPPAESSNHHKQQQQHEQEQQCKQRCQSQHGHRQQQQQCQQECYEQIRQQREREEMQQMCQQSCEMQGSGGQQQQRQQCQRQCEQQVEQLKQCQLRCQMQGQGQQQEQCQRTCRQELEDQQRRQQQEQGPGGGDNKLEDETLNGEPRRRFEQCMQGCERQQQGQGEQEQCPRQCREQFDKEKSQYQQCKQSCEKSSQHDDEKRQCKQQCKQQISLQQGGGNQEEDDDALNGSGYGGAKERFEQCKLSCQSQQGQGQQERCPKQCKQQFEHEKHQYKQCKRGCENQARDDFQKEQCKQQCTQQMSQQYGQQQEGSTGGGGLNQQQEEEGQMGSQGQSQSQSNNPYYFPSHRFQPRFQSNEGGLYVLERFTQRQSEVLRGIKNYRLAIFEAKPNTFVLPHHCDAEAIYVVLSGQCTCTLLMQDRKESFNMEHGDVIRVPAGATTYLVNNNSDETLRIAKLLQPVNNPGRFEEFFPAGSRNPESYFSVFSNDILESAFNTPREQLEHGFKQGQQQQGQGIVMRAPREQLQALSQAASSRRRGDRQSQGPFNLRQQRPVHSNNYGQFFEARPEEFNQLQDMDASVSCIEINQQAMMVPHFNSKATHLIMVVEGNGLVEMACPYLASQSQEIMGQQEQQGEPSGRYMKVTAQLSRGDVFVIPAGHPVALVAQNQSLRILGFGLNAQNNKRNFLAGQEDNIIMHMDREARQLAFGPEMEQIFSKQQQSYFVPTQQGRSRNQHLSSILEFAGVI >ONI36358 pep chromosome:Prunus_persica_NCBIv2:G1:47314610:47323894:1 gene:PRUPE_1G582500 transcript:ONI36358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKILENGFVERDKEDSDSSFDKVDPGKPTSLTWKRKLNSKGNDPLPFTLSLKEIIQMAPIGVRLWRHLREEATNGREAFINPFVKRLLTSSHGVPLGGIGAGSIGRSYSGEFQRWQLFPGKFEEKPVLADQFSVFVSRTNGEKYCTVLCPRRPEVLKESEVSGIGSWDWNLNGDNSTYHALFPRAWSVYEGEPDPALKIVCRQISPFIPHNYKESSFPVSVFTFTLYNSGKTAADVTLLFTWANSVGGLSEFSGHHFNSRAVIKDGVHGVLLHHKTANGLPPVTFAIAAEETDGIHVSECPCFVISGDSKGITAKDMWTEIKEHGSFDRLNSTETSSDSEPGSSIGAAIAASVTVPPDGVRTVTFSLAWDCPEVKFMGGKTYHRRYTKFYGTHGDAVANIAHDAILEHHHWESQIESWQRPVLDDKRLPEWYPITLFNELYYLNSGGTVWTDGSPPVHSLTSIGGRKFSLDRSSLGLKSIIDVPPQNDTAIDILGRMTSILEQVHTPIASNSAFGTNLLQEGEENIGQFLYLEGIEYQMWNTYDVHFYSSFALVMLFPKLQLSIQRDFAAAVMMHDPSKMRLLHDGKWVQRKVLGAVPHDIGLHDPWFEVNAYNLYNTDRWKDLNPKFVLQVYRDVVATGDKKFAQAVWPSVYVAMAYMEQFDKDGDGMIENDGFPDQTYDTWSVSGVSAYSGGLWLAALQAASAMAREVGDKGSEDYFWGKFQKAKVVYEKLWNGSYFNYDNSGQSSSSSIQADQLAGQWYARACGLLPIVDEDKARSALEKVYTYNVLKFKDGRQGAVNGMLPDGKVDMSSLQSREIWSGVTYAVAATMIHEDMIDMAFHTAGGVYEAAWSKEGLGYAFQTPEAWTTSGEFRSLAYMRPLAIWSMHWALSKPALFKQEMKLEADEGSLHRHKVGFAKVAQLLKLPQEEESRSILQAVFDYTCKRLWI >ONI36357 pep chromosome:Prunus_persica_NCBIv2:G1:47314403:47323894:1 gene:PRUPE_1G582500 transcript:ONI36357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKILENGFVERDKEDSDSSFDKVDPGKPTSLTWKRKLNSKGNDPLPFTLSLKEIIQMAPIGVRLWRHLREEATNGREAFINPFVKRLLTSSHGVPLGGIGAGSIGRSYSGEFQRWQLFPGKFEEKPVLADQFSVFVSRTNGEKYCTVLCPRRPEVLKESEVSGIGSWDWNLNGDNSTYHALFPRAWSVYEGEPDPALKIVCRQISPFIPHNYKESSFPVSVFTFTLYNSGKTAADVTLLFTWANSVGGLSEFSGHHFNSRAVIKDGVHGVLLHHKTANGLPPVTFAIAAEETDGIHVSECPCFVISGDSKGITAKDMWTEIKEHGSFDRLNSTETSSDSEPGSSIGAAIAASVTVPPDGVRTVTFSLAWDCPEVKFMGGKTYHRRYTKFYGTHGDAVANIAHDAILEHHHWESQIESWQRPVLDDKRLPEWYPITLFNELYYLNSGGTVWTDGSPPVHSLTSIGGRKFSLDRSSLGLKSIIDVPPQNDTAIDILGRMTSILEQVHTPIASNSAFGTNLLQEGEENIGQFLYLEGIEYQMWNTYDVHFYSSFALVMLFPKLQLSIQRDFAAAVMMHDPSKMRLLHDGKWVQRKVLGAVPHDIGLHDPWFEVNAYNLYNTDRWKDLNPKFVLQVYRDVVATGDKKFAQAVWPSVYVAMAYMEQFDKDGDGMIENDGFPDQTYDTWSVSGVSAYSGGLWLAALQAASAMAREVGDKGSEDYFWGKFQKAKVVYEKLWNGSYFNYDNSGQSSSSSIQADQLAGQWYARACGLLPIVDEDKARSALEKVYTYNVLKFKDGRQGAVNGMLPDGKVDMSSLQSREIWSGVTYAVAATMIHEDMIDMAFHTAGGVYEAAWSKEGLGYAFQTPEAWTTSGEFRSLAYMRPLAIWSMHWALSKPALFKQEMKLEADEGSLHRHKVGFAKVAQLLKLPQEEESRSILQAVFDYTCKRLWI >ONI26224 pep chromosome:Prunus_persica_NCBIv2:G1:827062:829360:1 gene:PRUPE_1G010900 transcript:ONI26224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHREEPPKSSGKDFVIFPRVKCKEIVQSILICCLTFCVQRVVHNAGFSYINGHGLLHGCISKRTKASAPSLI >ONI33776 pep chromosome:Prunus_persica_NCBIv2:G1:37706734:37711138:1 gene:PRUPE_1G445300 transcript:ONI33776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDRILARERQQMEEIRELDLEELQVEEVDDLHDSSGDERDLTGRGSSDEYTFNNCLASLHTYLGEVEDTHHRVAFLDGGAILNLPIFYLEGVVLFPEATLPLRVIQPNFVVAVERALTQVDAPYTIGVIRVYRDPDNGRIRFSNIGTTAEIRQYRRLEDGSLNVVTRGQQRFHLRRRWIDVEGAPCGEVQIIQEDIPLRAPRDAFGAVAPFSKPGGHHFSRILPSNNSHAKSNESMDVDNDSEANSDESFESALSLTEREIHQSVVDSYYGSDTMDESTTTTSSDDEKSQSQLQGSQSKDSESTDSLHSCPENNNVDLGSSSKSDMQSCKQKEPDRCWRNTDLKQFRRAPRAFWPHWVYRMYDSYCLAERAADMWKQIVGAPSMDSLVKKPDLLSFYIASKIPVSESTRQELLEIDGISYRLRREIELLQGVDLIQCKTCQTVIAKRSDMLVMSSEGPLGAYVNSNGFVHEIMTFYKANGLALIGLAVSEYSWFPGYAWTITNCATCETHMGWLFTATNKNLKPRLFWGVRSSQVSDGLH >ONI33777 pep chromosome:Prunus_persica_NCBIv2:G1:37706734:37710088:1 gene:PRUPE_1G445300 transcript:ONI33777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDRILARERQQMEEIRELDLEELQVEEVDDLHDSSGDERDLTGRGSSDEYTFNNCLASLHTYLGEVEDTHHRVAFLDGGAILNLPIFYLEGVVLFPEATLPLRVIQPNFVVAVERALTQVDAPYTIGVIRVYRDPDNGRIRFSNIGTTAEIRQYRRLEDGSLNVVTRGQQRFHLRRRWIDVEGAPCGEVQIIQEDIPLRAPRDAFGAVAPFSKPGGHHFSRILPSNNSHAKSNESMDVDNDSEANSDESFESALSLTEREIHQSVVDSYYGSDTMDESTTTTSSDDEKSQSQLQGSQSKDSESTDSLHSCPENNNVDLGSSSKSDMQSCKQKEPDRCWRNTDLKQFRRAPRAFWPHWVYRMYDSYCLAERAADMWKQIVGAPSMDSLVKKPDLLSFYIASKIPVSESTRQELLEIDGISYRLRREIELLQGVDLIQCKTCQTVIAKRSDMLVMSSEGPLGAYVNSNGFVHEIMTFYKANGLALIGLAVSEYSWFPGYALVP >ONI31398 pep chromosome:Prunus_persica_NCBIv2:G1:30165770:30167156:1 gene:PRUPE_1G310200 transcript:ONI31398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLLTDCKLERGMLATFSLTVSFFCLTCVILLTLFTLIKCIQFIKIKIHNFLTFFKILKFFFNVNYFKENVCFKKKEREMFIFFLNTKDFFFLYI >ONI26485 pep chromosome:Prunus_persica_NCBIv2:G1:1962960:1968115:1 gene:PRUPE_1G028000 transcript:ONI26485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMMSSQVVKRERAKLEACMTCPLCNKLFSEATTISECLHTFCRKCIYKKIIDEEVDYCPKCKADLGVSPLEKLRADNNWEDIRAKLFPSDRKKVNAAADLNVQDIKEKTVPSETKEFKAPADRNMQDITPKLFPSEREQVKAPSVSSVTFPAKRKERYLSSLVISTPQVSSSSDMSGRRRYPTRRSFTLQESLSIREPEKKEEDFLERLNSSGTPKKDAVYTRQNSIEGSSKQHMVNKGVEDNAQPGDGKANLWKPLNRRVEAASNTSADKLPVQLPAAESVLPDNLNKETREIKAIPEHDNISKVHGNENESNPAPSGSVTFRKAQRGRKRKPAASQGLNISAQYVIDANNKCERRFRPIWFSLIASNHQDGYAPLPQIPSCYLRVKDGNLPVSFIKKYLVKKLELTSEDEVEISLLGKPVIPTLQLQNLVDLWLRTTPASQIIQTSPGSSAKEYVMALMYGRKMQLR >ONI26484 pep chromosome:Prunus_persica_NCBIv2:G1:1962929:1968115:1 gene:PRUPE_1G028000 transcript:ONI26484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHVMMLLLCPMPMMSSQVVKRERAKLEACMTCPLCNKLFSEATTISECLHTFCRKCIYKKIIDEEVDYCPKCKADLGVSPLEKLRADNNWEDIRAKLFPSDRKKVNAAADLNVQDIKEKTVPSETKEFKAPADRNMQDITPKLFPSEREQVKAPSVSSVTFPAKRKERYLSSLVISTPQVSSSSDMSGRRRYPTRRSFTLQESLSIREPEKKEEDFLERLNSSGTPKKDAVYTRQNSIEGSSKQHMVNKGVEDNAQPGDGKANLWKPLNRRVEAASNTSADKLPVQLPAAESVLPDNLNKETREIKAIPEHDNISKVHGNENESNPAPSGSVTFRKAQRGRKRKPAASQGLNISAQYVIDANNKCERRFRPIWFSLIASNHQDGYAPLPQIPSCYLRVKDGNLPVSFIKKYLVKKLELTSEDEVEISLLGKPVIPTLQLQNLVDLWLRTTPASQIIQTSPGSSAKEYVMALMYGRKMQLR >ONI26486 pep chromosome:Prunus_persica_NCBIv2:G1:1965261:1968115:1 gene:PRUPE_1G028000 transcript:ONI26486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDITPKLFPSEREQVKAPSVSSVTFPAKRKERYLSSLVISTPQVSSSSDMSGRRRYPTRRSFTLQESLSIREPEKKEEDFLERLNSSGTPKKDAVYTRQNSIEGSSKQHMVNKGVEDNAQPGDGKANLWKPLNRRVEAASNTSADKLPVQLPAAESVLPDNLNKETREIKAIPEHDNISKVHGNENESNPAPSGSVTFRKAQRGRKRKPAASQGLNISAQYVIDANNKCERRFRPIWFSLIASNHQDGYAPLPQIPSCYLRVKDGNLPVSFIKKYLVKKLELTSEDEVEISLLGKPVIPTLQLQNLVDLWLRTTPASQIIQTSPGSSAKEYVMALMYGRKMQLR >ONI26487 pep chromosome:Prunus_persica_NCBIv2:G1:1964249:1968133:1 gene:PRUPE_1G028000 transcript:ONI26487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDITPKLFPSEREQVKAPSVSSVTFPAKRKERYLSSLVISTPQVSSSSDMSGRRRYPTRRSFTLQESLSIREPEKKEEDFLERLNSSGTPKKDAVYTRQNSIEGSSKQHMVNKGVEDNAQPGDGKANLWKPLNRRVEAASNTSADKLPVQLPAAESVLPDNLNKETREIKAIPEHDNISKVHGNENESNPAPSGSVTFRKAQRGRKRKPAASQGLNISAQYVIDANNKCERRFRPIWFSLIASNHQDGYAPLPQIPSCYLRVKDGNLPVSFIKKYLVKKLELTSEDEVEISLLGKPVIPTLQLQNLVDLWLRTTPASQIIQTSPGSSAKEYVMALMYGRKMQLR >ONI36108 pep chromosome:Prunus_persica_NCBIv2:G1:46452742:46453909:-1 gene:PRUPE_1G569900 transcript:ONI36108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQMYIPYQLHLLPPPDILRVTKLNYIEHIETDKLVEEESISFQAKVLERSGIGWILLWVPLQKKTEMVLFTVVNDLLSKHKINPKSIDILVSNCSLFCPMPSITSMILNKFGFRSNIKSFNLSRMGCSAGIWSISMAKDLLKVHRKSLALVLSMEAVTPNGYGGREKSTLLPNILFWMGGAAILLSNRKQDKRIAKYELQHLVRTHIDSDDQAYQSVFQQPDEAGHVGVSLSWALLHVAAKALRNNMSELGPLVLPYMEQLRYGWSLCYLEAKGRVTKGNPVWQIAFGSGFKCNSAVWKCIPDIDPMERNAWSDRVDSYPVNEIPNARSNVL >ONI30549 pep chromosome:Prunus_persica_NCBIv2:G1:26771575:26773766:1 gene:PRUPE_1G257700 transcript:ONI30549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAALPIVGMVLAESAQAGLIIVSKLAMSNGMSNLIFLCYSNALAALILLPISLAFHRSERPPLTFSTLCWFFLLGLIGFMAQFFGYAGINLSSPTLSTAMLNLIPAFTFILAVIFRMERIDGRSSSSLAKTLGTIVSISGAFIVTLYKGPPLLMTSSTDILSHNQLFLEQSNWVLGGMCIALNCVLASSWLIVQASVLKKYPAELIMVFYYCFFVAIQSVVVSLTVERDLSAWELKPKIRLIAVLYSGVFGSAFQVGVSTWCLHRTGPVFVAIFKPLGIVITVFVGATFLGDTFYLGSLIGAIVIVMGFYSVMWGKAKEEKMDDDAGARSVASSRQRVPLLQSHIEEI >ONI26252 pep chromosome:Prunus_persica_NCBIv2:G1:981494:982472:1 gene:PRUPE_1G012900 transcript:ONI26252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLHSLFSPLKKLWFRLHSAPKKTGRGIYILYEDVKSCPYEDVHVLWSILVEAHTPSLPSKSMSEGSNVLHSI >ONI26253 pep chromosome:Prunus_persica_NCBIv2:G1:981494:982449:1 gene:PRUPE_1G012900 transcript:ONI26253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLHSLFSPLKKLWFRLHSAPKKRRGIYILYEDVKSCPYEDVHVLWSILVEAHTPSLPSKSMSEGSNVLHSI >ONI30680 pep chromosome:Prunus_persica_NCBIv2:G1:27418071:27423437:-1 gene:PRUPE_1G266400 transcript:ONI30680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQRHYTMADGNKEKQQQPRSSFCLHVTECFQDGSPKLSRKSSSPDNLEDEKHGQRKPGGWKAMPYILGNDTFERLASFGLLANFMVYLTRMLHLDQVSASNIINVWSGFTNFAPLLGAFISDAYAGRFRTIAFASFSSLLGMATVTLTAWLPQLHPPACSAQQQTLDQCTGPTRAQLGTLLLGLGFLSIGSGGIRPCSIPFGVDQFDPTTEEGQKGINSFFNWYYATFTVVLLISQTVVVYIQDKISWSVGFAIPTLLMACSIVLLLVGSRVYVHAKPQGSMFSSIAQVLVAAHKKRHIKLPEEAGMVDGKFYDPPIKGFFVPKLPLTNQLRFLSKAAVILDNDLKPDSSPINNWRLCSVQQVEELKCVIKTIPVWASAIVSFTSMTQQGTFTVSQALKMDRHLGPHFEVPAGSLSVISLLTIGLWLPFYDRLLVPALRKFTKHEGGITVLQRCGIGIIFSVLSMLVAGLIERERRSFALLHPNEHVSFAWLVPQLVLMGLCEAFNIIALIEFFNREFPDHLRSVGNALLSCAFAGSSYLSSLVVNIVHHVTGRNKRPDWLTKDINAGRLDYFYFLLAGIGVLNFFYFVYCAQRYHYKTKNVYIIEEKPYANQVELASTDV >ONI33444 pep chromosome:Prunus_persica_NCBIv2:G1:36583627:36585209:1 gene:PRUPE_1G424400 transcript:ONI33444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSETCILRSCLQAIDSPEAQGNATLFLAKFFGRSDLLSFISAVPEPQRPALFQSLLFEACGRTVNPVNGAVGLLSSGNWHVCQSAVETVLSGGVLRPLTAVLTPSLHKSSETSSRGACTLQNLYYPSVPSAYYEAERVQPFDAGLSLKPNLTAGERGRGRGMRSSSCVSTAVEKRRRDTVSFSSEESVMTSTTSFERGGGLKDRKVLNLFV >ONI27683 pep chromosome:Prunus_persica_NCBIv2:G1:7953288:7958458:1 gene:PRUPE_1G099700 transcript:ONI27683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEEKETEKHSDLNSPKPSSSNNRNASDGFETASDGELGSNGSDNDDETQQQEEQQSLSQADDVVLKQKAFEQANDVKMGGNRLFGSGQYEEALSQYELALHLAPDMPSSVELRSICHANSAICCSKMGKYEDAIKECTKALELNPSYMKALLRRAEAHEKLEHFEEAIADMKKILELDPSNDQAKKTIRRLGPLAEEKREKMKEEMIGKLKDMGNSLLGRFGMSVDNFKSVKDPNTGSYSISFQR >ONI27682 pep chromosome:Prunus_persica_NCBIv2:G1:7953288:7958435:1 gene:PRUPE_1G099700 transcript:ONI27682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEEKETEKHSDLNSPKPSSSNNRNASDGFETASDGELGSNGSDNDDETQQQEEQQSLSQADDVVLKQKAFEQANDVKMGGNRLFGSGQYEEALSQYELALHLAPDMPSSVELRSICHANSAICCSKMGKYEDAIKECTKALELNPSYMKALLRRAEAHEKLEHFEEAIADMKKILELDPSNDQAKKTIRRLGPLAEEKREKMKEEMIGKLKDMGNSLLGRFGMSVDNFKSVKDPNTGSYSISFQR >ONI27681 pep chromosome:Prunus_persica_NCBIv2:G1:7953288:7958435:1 gene:PRUPE_1G099700 transcript:ONI27681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEEKETEKHSDLNSPKPSSSNNRNASDGFETASDGELGSNGSDNDDETQQQEEQQSLSQADDVVLKQKAFEQANDVKMGGNRLFGSGQYEEALSQYELALHLAPDMPSSVELRSICHANSAICCSKMGKYEDAIKECTKALELNPSYMKALLRRAEAHEKLEHFEEAIADMKKILELDPSNDQAKKTIRRLGPLAEEKREKMKEEMIGKLKDMGNSLLGRFGMSVDNFKSVKDPNTGSYSISFQR >ONI27684 pep chromosome:Prunus_persica_NCBIv2:G1:7953288:7958443:1 gene:PRUPE_1G099700 transcript:ONI27684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEEKETEKHSDLNSPKPSSSNNRNASDGFETASDGELGSNGSDNDDETQQQEEQQSLSQADDVVLKQKAFEQANDVKMGGNRLFGSGQYEEALSQYELALHLAPDMPSSVELRSICHANSAICCSKMGKYEDAIKECTKALELNPSYMKALLRRAEAHEKLEHFEEAIADMKKILELDPSNDQAKKTIRRLGPLAEEKREKMKEEMIGKLKDMGNSLLGRFGMSVDNFKSVKDPNTGSYSISFQR >ONI30294 pep chromosome:Prunus_persica_NCBIv2:G1:25643400:25646808:-1 gene:PRUPE_1G242700 transcript:ONI30294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSLGVNRLIFRSRIVYYVKTGLIDQALQVFDEMTRSDCRVFSIDYNRFIGVLVRHSRYDLAEHYYYEMVPQGFSLSAFTYSRFISGLCKIRNFTLIEKLIRDMDRLGAVPDIWAFNIYLNLLCQENRAHFALEVFHRMVDKGREPDVVSYTILIDGLCKARQFDKAVDIWTSMIRKGFKPDNIACTALVVGLCDGGKVDLAYNLVIGEMKGQVKFSNLMYNTLINGFCRAGRIDKAQAIKSFMKRNGCESDLVTHNVLLKYCCNEFMLEEAEKLMKKMERSGMEPDVYSYNQLLKGLCQANRADKAYLLMRTRMEPKGLCNVVSYNTIITAFCRAHRTGRAYKLFEEMGQKGTMPDVVTFTILIEAFLREGSSDIANKLLDQMTVMGLLPDRIFYTTIVDHLCKSGKIAMAYSVFSDMLEKGIAPDAVSFNALINGLCKASRVSEAMHLYEEMQNRGCCPDEVTFKLIIGGLIRENKLSVACRVWDQMMEKGFTLDGAVSERLVNAIHSKDGMKMQNGQHGCTMTVVGIIADMQRLRIHFENVSVRTLLIKFGHGYGFLCDMQ >ONI30010 pep chromosome:Prunus_persica_NCBIv2:G1:23943483:23948350:-1 gene:PRUPE_1G226400 transcript:ONI30010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYDSMCDETAETCLEHDALSDGCIENKGCKEETADCMLGTIFSPSFHISKHTNGEPANEVVEAAGISSEVSAIYLAMKNSKLECVDEHGQEPMSTDLYTDDDEFDEIDDFDPYLFIKNLPDLSSVVPTFRPMLLPKQTRSCPPTSLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVYVRCRPHLRDFLDRVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRDSCVFVEGNYLKDLSVLGRDLSRVIIVDNSPQAFGFQVDNGIPIESWFDDRSDKELLLLLPFLESLVGVEDVRPLIANKFNLRERVAAAVYPLNSCRGDPFER >ONI30005 pep chromosome:Prunus_persica_NCBIv2:G1:23943483:23948350:-1 gene:PRUPE_1G226400 transcript:ONI30005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKKKSSGRTAAREHASPKVTRAQKKAYENVQLVEKKVADLITSSARKQRVGGTHKKNGEPVTATISTMNYDSMCDETAETCLEHDALSDGCIENKGCKEETADCMLGTIFSPSFHISKHTNGEPANEVVEAAGISSEVSAIYLAMKNSKLECVDEHGQEPMSTDLYTDDDEFDEIDDFDPYLFIKNLPDLSSVVPTFRPMLLPKQTRSCPPTSLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVYVRCRPHLRDFLDRVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRDSCVFVEGNYLKDLSVLGRDLSRVIIVDNSPQAFGFQVDNGIPIESWFDDRSDKELLLLLPFLESLVGVEDVRPLIANKFNLRERVAAAVYPLNSCRGDPFER >ONI30006 pep chromosome:Prunus_persica_NCBIv2:G1:23943841:23947151:-1 gene:PRUPE_1G226400 transcript:ONI30006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKKKSSGRTAAREHASPKVTRAQKKAYENVQLVEKKVADLITSSARKQRVGGTHKKNGEPVTATISTMNYDSMCDETAETCLEHDALSDGCIENKGCKEETADCMLGTIFSPSFHISKHTNGEPANEDHNFHQECEIKYSRVDVLNGHVVQETSESLVRDEKSYYENTLSSVNITYPVVEAAGISSEVSAIYLAMKNSKLECVDEHGQEPMSTDLYTDDDEFDEIDDFDPYLFIKNLPDLSSVVPTFRPMLLPKQTRSCPPTSLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVYVRCRPHLRDFLDRVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRDSCVFVEGNYLKDLSVLGRDLSRVIIVDNSPQAFGFQVDNGIPIESWFDDRSDKELLLLLPFLESLVGVEDVRPLIANKFNLRERVAAAVYPLNSCRGDPFER >ONI30013 pep chromosome:Prunus_persica_NCBIv2:G1:23944163:23947151:-1 gene:PRUPE_1G226400 transcript:ONI30013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKKKSSGRTAAREHASPKVTRAQKKAYENVQLVEKKVADLITSSARKQRVGGTHKKNGEPVTATISTMNYDSMCDETAETCLEHDALSDGCIENKGCKEETADCMLGTIFSPSFHISKHTNGEPANEVDFVKFFRNEDHNFHQECEIKYSRVDVLNGHVVQETSESLVRDEKSYYENTLSSVNITYPVVEAAGISSEVSAIYLAMKNSKLECVDEHGQEPMSTDLYTDDDEFDEIDDFDPYLFIKNLPDLSSVVPTFRPMLLPKQTRSCPPTSLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVYVRCRPHLRDFLDRVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRDSCVFVEGNYLKDLSVLGRDLSRVIIVDNSPQVQDFSSQWLIFQPFTCIINMFLCLLWNRSTVGPFQDLD >ONI30007 pep chromosome:Prunus_persica_NCBIv2:G1:23943841:23947151:-1 gene:PRUPE_1G226400 transcript:ONI30007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKKKSSGRTAAREHASPKVTRAQKKAYENVQLVEKKVADLITSSARKQRVGGTHKKNGEPVTATISTMNYDSMCDETAETCLEHDALSDGCIENKGCKEETADCMLGTIFSPSFHISKHTNGEPANEDHNFHQECEIKYSRVDVLNGHVVQETSESLVRDEKSYYENTLSSVNITYPVVEAAGISSEVSAIYLAMKNSKLECVDEHGQEPMSTDLYTDDDEFDEIDDFDPYLFIKNLPDLSSVVPTFRPMLLPKQTRSCPPTSLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVYVRCRPHLRDFLDRVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRDSCVFVEGNYLKDLSVLGRDLSRVIIVDNSPQAFGFQVDNGIPIESWFDDRSDKELLLLLPFLESLVGVEDVRPLIANKFNLRERVAAAVYPLNSCRGDPFER >ONI30011 pep chromosome:Prunus_persica_NCBIv2:G1:23943841:23946588:-1 gene:PRUPE_1G226400 transcript:ONI30011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYDSMCDETAETCLEHDALSDGCIENKGCKEETADCMLGTIFSPSFHISKHTNGEPANEVDFVKFFRNEDHNFHQECEIKYSRVDVLNGHVVQETSESLVRDEKSYYENTLSSVNITYPVVEAAGISSEVSAIYLAMKNSKLECVDEHGQEPMSTDLYTDDDEFDEIDDFDPYLFIKNLPDLSSVVPTFRPMLLPKQTRSCPPTSLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVYVRCRPHLRDFLDRVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRDSCVFVEGNYLKDLSVLGRDLSRVIIVDNSPQAFGFQVDNGIPIESWFDDRSDKELLLLLPFLESLVGVEDVRPLIANKFNLRERVAAAVYPLNSCRGDPFER >ONI30008 pep chromosome:Prunus_persica_NCBIv2:G1:23943841:23947151:-1 gene:PRUPE_1G226400 transcript:ONI30008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKKKSSGRTAAREHASPKVTRAQKKAYENVQLVEKKVADLITSSARKQRVGGTHKKNGEPVTATISTMNYDSMCDETAETCLEHDALSDGCIENKGCKEETADCMLGTIFSPSFHISKHTNGEPANEVDFVKFFRNEDHNFHQECEIKYSRVDVLNGHVVQETSESLVRDEKSYYENTLSSVNITYPVVEAAGISSEVSAIYLAMKNSKLECVDEHGQEPMSTDLYTDDDEFDEIDDFDPYLFIKNLPDLSSVVPTFRPMLLPKQTRSCPPTSLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVYVRCRPHLRDFLDRVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRDSCVFVEGNYLKDLSVLGRDLSRVIIVDNSPQAFGFQVDNGIPIESWFDDRSDKELLLLLPFLESLVGVEDVRPLIANKFNLRERVAAAVYPLNSCRGDPFER >ONI30009 pep chromosome:Prunus_persica_NCBIv2:G1:23943841:23947151:-1 gene:PRUPE_1G226400 transcript:ONI30009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKKKSSGRTAAREHASPKVTRAQKKAYENVQLVEKKVADLITSSARKQRVGGTHKKNGEPVTATISTMNYDSMCDETAETCLEHDALSDGCIENKGCKEETADCMLGTIFSPSFHISKHTNGEPANEVDFVKFFRNEDHNFHQECEIKYSRVDVLNGHVVQETSESLVRDEKSYYENTLSSVNITYPVVEAAGISSEVSAIYLAMKNSKLECVDEHGQEPMSTDLYTDDDEFDEIDDFDPYLFIKNLPDLSSVVPTFRPMLLPKQTRSCPPTSLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVYVRCRPHLRDFLDRVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRDSCVFVEGNYLKDLSVLGRDLSRVIIVDNSPQAFGFQVDNGIPIESWFDDRSDKELLLLLPFLESLVGVEDVRPLIANKFNLRERVAAAVYPLNSCRGDPFER >ONI30012 pep chromosome:Prunus_persica_NCBIv2:G1:23944101:23948350:-1 gene:PRUPE_1G226400 transcript:ONI30012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKKKSSGRTAAREHASPKVTRAQKKAYENVQLVEKKVADLITSSARKQRVGGTHKKNGEPVTATISTMNYDSMCDETAETCLEHDALSDGCIENKGCKEETADCMLGTIFSPSFHISKHTNGEPANEVDFVKFFRNEDHNFHQECEIKYSRVDVLNGHVVQETSESLVRDEKSYYENTLSSVNITYPVVEAAGISSEVSAIYLAMKNSKLECVDEHGQEPMSTDLYTDDDEFDEIDDFDPYLFIKNLPDLSSVVPTFRPMLLPKQTRSCPPTSLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVYVRCRPHLRDFLDRVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRDSCVFVEGNYLKDLSVLGRDLSRVIIVDNSPQVQDFSSQWLIFQPFTCIINMFLCLLWNRSTVGPFQDLD >ONI30004 pep chromosome:Prunus_persica_NCBIv2:G1:23943483:23948350:-1 gene:PRUPE_1G226400 transcript:ONI30004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKKKSSGRTAAREHASPKVTRAQKKAYENVQLVEKKVADLITSSARKQRVGGTHKKNGEPVTATISTMNYDSMCDETAETCLEHDALSDGCIENKGCKEETADCMLGTIFSPSFHISKHTNGEPANEVVEAAGISSEVSAIYLAMKNSKLECVDEHGQEPMSTDLYTDDDEFDEIDDFDPYLFIKNLPDLSSVVPTFRPMLLPKQTRSCPPTSLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVYVRCRPHLRDFLDRVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVFRDSCVFVEGNYLKDLSVLGRDLSRVIIVDNSPQAFGFQVDNGIPIESWFDDRSDKELLLLLPFLESLVGVEDVRPLIANKFNLRERVAAAVYPLNSCRGDPFER >ONI30860 pep chromosome:Prunus_persica_NCBIv2:G1:28244396:28245057:1 gene:PRUPE_1G277800 transcript:ONI30860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMPVKQYHFTHSRTNIIKRYTVQIISHHYFICWLSFTNCTQHVTPIGLTAICPYLVHLFFGYPVIEVLMFHE >ONI31597 pep chromosome:Prunus_persica_NCBIv2:G1:30796793:30800064:-1 gene:PRUPE_1G321700 transcript:ONI31597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLEYTPLERLNDFFSHLNLGGRTINGCLEAYSCKHTGSDKKLSLSLENEILDYLGKSSDTDSSSPAEFLLTRSSRKTLIYLVLTLYHLYPDYDFSAVNAHQFFTEESWDSFKQMFDTYMFEASKEWTETNEGSSLLEALYKALDEVVRLAECEVYSYIPDSDADPFLERGAVWSFNFFFYNRKLKRVVSFRLSCESNLVADGLCYEEDGEIFDGMDI >ONI31598 pep chromosome:Prunus_persica_NCBIv2:G1:30796793:30800147:-1 gene:PRUPE_1G321700 transcript:ONI31598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLEYTPLERLNDFFSHLNLGGRTINGCLEAYSCKHTGSDKKLSLSLENEILDYLGKSSDTDSSSPAEFLLTRSSRKTLIYLVLTLYHLYPDYDFSAVNAHQFFTEESWDSFKQMFDTYMFEASKEWTETNEGSSLLEALYKALDEVVRLAECEVYSYIPDSDADPFLERGAVWSFNFFFYNRKLKRVVSFRLSCESNLVADGLCYEEDGEIFDGMDI >ONI31626 pep chromosome:Prunus_persica_NCBIv2:G1:30840722:30843593:1 gene:PRUPE_1G322700 transcript:ONI31626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSKEEPKTGPQPDLWYNLTLGPSFKDDSSNKYCTLRYEFKPASIDKTKPGTLKKTKENRVTVEFQNNQLGKPNVTFKGSSEDYKDNDAVLFFDGQTFRLERLHRAVKQLRHDRQPGESAAGAAQSGSAVEPQLSPVSKAPKPIHHPGRTAFPAVQVEVERIDVGIPGNPGAKSANKGVVDYSSDQLNVSAASPDPKNEEVEEHQDIDIEELFGSVSPENGNAAEDGANAGFDISMRNQNESDNEIADVDDSGDEVDKGPNAAEALRAQVNAEGREKQTSTSGSSSGSGSSSSGSGSGSSSSSSDNEGSDDDSVNSI >ONI34799 pep chromosome:Prunus_persica_NCBIv2:G1:41365244:41366820:1 gene:PRUPE_1G499600 transcript:ONI34799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVNKAVSAATKAAKNNTVINVCLVGSFIALSVRSVRQQNDIESLEAEKASLIKSNKAAKQTMWDWKQQLYAEASSTGGAVLVPLARLKAIYGEAPVAQIGEAVKEESKSAASKFVV >ONI27803 pep chromosome:Prunus_persica_NCBIv2:G1:8478480:8480751:1 gene:PRUPE_1G105500 transcript:ONI27803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLQVLQATPPHLSSPKTQISPLRGIESCSTMAELRQLHSKVIRLGLAADNDAMGRVIKFCALSKNGDLGYALQVFDTMLHPDAFIYNTVMRGYLQCHLPRNCIVLYSQMLQDSVTPNKYTFPSVIRACCNDDAIGEGKQVHAHVVKLGYGADGFCQNNLIHMYVKFQSLEEARRVFDKMLRMDAVSWTTLITGYSQCGFVDEAFELFELMPEKNSVSWNAMISSYVQSDRFHEAFALFQKMRVEKVELDKFMAASMLSACTGLGALEQGKWIHGYIEKSGIELDSKLATTIIDMYCKCGCLEKAFEVFNGLPHKGISSWNCMIGGLAMHGKGEAAIELFEKMQRDMVAPDNITFVNVLSACAHSGLVEEGQRYFQSMVEVHGIEPRKEHFGCMVDLLGRAGMLEEARKLISEMPMSPDVGVLGALLGACKIHGNVELGEHIGRIVIELEPENSGRYVLLANLYANAGRWEDVANVRRLMNDRGVKKVPGFSMIELEGVVNEFIAGGGAHPQTKEIYAKVDEMLKCIRSAGYVPDTEGVLHDLDEEEKENPLYYHSEKLAIAFGLLKTKPGETLRISKNLRVCKDCHQASKLISKVFDREIIVRDRNRFHHFKRGDCSCKDYW >ONI32178 pep chromosome:Prunus_persica_NCBIv2:G1:32779285:32779737:-1 gene:PRUPE_1G352600 transcript:ONI32178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSFSFPSCWAVSFSHHKLTPSALAHCPRPRRKSGRISCEVAIRSDSSSPSSSYSSSADITSSDEAVASSKIGARVKVKVPLKVYHVPRVPELEITGMEGELKQYVGLWKGKRISANLPYKVQFVVDVEGRGAVKFFAHLKEDEFDYL >ONI30268 pep chromosome:Prunus_persica_NCBIv2:G1:25481686:25483461:-1 gene:PRUPE_1G240900 transcript:ONI30268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFILVALFLALVIGLAESFEFQEKDLASEESLWGLYEGWRSHHTISHDLGEKEKRFNVFKENVKHVHKVNQMSKPYKLKLNKFADMTNHEFVSSYAGSKVSHYRSLHGSRRETAFTHENTDNLPPNVDWRKNGAVTGVKDQGKCGSCWAFSTVVAVEGINQIKTKALVSLSEQELVDCNRDPNEGCDGGLMEKAFDFIKKNGGITTEQNYPYRASDGPCDSTKMMNAPLVQIDGYENVPENNENALMKAVANQPVSVAIDAGGRDFQFYSEGVFNGDCGTELNHGVAVVGYGATLDGTKYWIVKNSWGEEWGEKGYIRIQRGVDAEEGLCGIAKDPSYPMKSSPSNTKRRSSSSFKDEL >ONI26095 pep chromosome:Prunus_persica_NCBIv2:G1:359817:362552:-1 gene:PRUPE_1G003400 transcript:ONI26095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYSFVARGSVILAEYTEFTGNFTSIASQCLQKLPSSNNKFTYNCDGHTFNYLVENGFTYCVVASESAGRQIPIAYLERVKDDFNKRYAGGKAGTAVANGLNREFGPKLKDHMKYCVDHPEEINKLAKVKAQVTEVKGVMMDNIEKVLDRGEKIELLVDKTDNLRSQAQDFRTQGTKMKRKMWFQNMKIKLIVVGIIILIGFVIFLSICHGFKCT >ONI35045 pep chromosome:Prunus_persica_NCBIv2:G1:42138407:42142040:1 gene:PRUPE_1G511900 transcript:ONI35045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSTFSFRHFLHRSPKLKPPLFAIASTSLSLLSISHLTPKRLKLHPRSPLYLPLCHCSSFSSSCPEKTQPQTLLLSKDERLASGSRQLETTTTIAAIVTSLGGPPGAVGIVRLSGPSAVAIVGRVFRQTKKKRKKTVGTWRPTSHVVEYGVVCDSNGNVIDEVLAVPMLAPRSYTREDVVELQCHGTQVCLNRVLRACVEAGARLAEPGEFTLRAFLNGRLDLTQAENVDKLISAKSVAAADAALAAIQGGFSSMVKTVRAQCIELLTEIEARLDFDDEMPPLDTNQVVMKINSMSEDVESALETANYDQLLQSGIQIAILGRPNVGKSSLLNAWSKTERAIVTEIAGTTRDVVEASITVHGIPVTLLDTAGIRETNDIVEKIGVERSEAVAMGADVIIMAICALDGWTPEDSELLNRIQSNKKSTGSSTPMILVINKIDCVSSDCMEWVKKYINSFSKHVLTSAVTGQGIQGLETAILEIVGLNKNSVGSRRWTVNQRQCEQLVRTKEALVRLKSSIEEEMPLDFWTIDLRDAAIALGQISGEDISEEVLSNIFGKFCIGK >ONI26927 pep chromosome:Prunus_persica_NCBIv2:G1:3928205:3928639:-1 gene:PRUPE_1G055600 transcript:ONI26927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFIRNAVLLMLLLVFSTSICDARKRRFVRVENNLALDGDLSLTVHCKSRDDDLGVKVLPINGTFEFSFKPNFIHTTQFYCSFQWPGRFEWFDIYKDSADKCSLCYWKVFPSAVCRLSWEFDINGAYTDCYPWNDHATHIIKS >ONI33651 pep chromosome:Prunus_persica_NCBIv2:G1:37301252:37303285:-1 gene:PRUPE_1G438300 transcript:ONI33651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNDGDNEEFGLSRNYFLAKELRGSGKRSHSKLSDIDVVDEQELIAAVSKIEPKHEKEVKELMNSYKSSYPEWAFELRCGLGLLMYGFGSKKALLEDFASTSLTEYSVVVVNGYLPGINIKQVVAALAELLWDQLKSKRKNPGSSSKVQQPFSSRSMDDLLAFLDEERKNECFVCVVIHNIDGPGLRDSETQQILARVASCSHIRMVASIDHVNAPLLWDKKMVHTQFNWCWHHVPTFAPYKIEGMLIPLILAHGNTTQSAKSATIVLQSLTSNAQSVFKVLAEYQLSHSNEEGK >ONI33650 pep chromosome:Prunus_persica_NCBIv2:G1:37300703:37303462:-1 gene:PRUPE_1G438300 transcript:ONI33650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNDGDNEEFGLSRNYFLAKELRGSGKRSHSKLSDIDVVDEQELIAAVSKIEPKHEKEVKELMNSYKSSYPEWAFELRCGLGLLMYGFGSKKALLEDFASTSLTEYSVVVVNGYLPGINIKQVVAALAELLWDQLKSKRKNPGSSSKVQQPFSSRSMDDLLAFLDEERKNECFVCVVIHNIDGPGLRDSETQQILARVASCSHIRMVASIDHVNAPLLWDKKMVHTQFNWCWHHVPTFAPYKIEGMLIPLILAHGNTTQSAKSATIVLQSLTSNAQSVFKVLAEYQLSHSNEEGMPVDNLYASCRERFLVSSQLTLNSHLTEFKDHELVKTKRNSDGQDCLHVPLTNEALEKLIAELSQ >ONI29238 pep chromosome:Prunus_persica_NCBIv2:G1:17402587:17407155:-1 gene:PRUPE_1G189000 transcript:ONI29238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWLCCTCHVEESYPSNENEHLKSPKHYTDGNQKGNKVSAPVKSDVQKAPPPIEVPPLSLEELKEKTDNFGSKSLIGEGSYGRVYYASLNDGKAVAVKKLDVASEPESNGEFLTQVSMVSRLKHENLVELLGYCVDGSLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWLQRVRIAVDAARGLEYLHEKVQPAIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGDYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKLPPPQAPEP >ONI29237 pep chromosome:Prunus_persica_NCBIv2:G1:17402587:17406886:-1 gene:PRUPE_1G189000 transcript:ONI29237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESANCRRRGLVAHAPPPGFFVRLENTSTDDDLYLRKKVRMRKWLCCTCHVEESYPSNENEHLKSPKHYTDGNQKGNKVSAPVKSDVQKAPPPIEVPPLSLEELKEKTDNFGSKSLIGEGSYGRVYYASLNDGKAVAVKKLDVASEPESNGEFLTQVSMVSRLKHENLVELLGYCVDGSLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWLQRVRIAVDAARGLEYLHEKVQPAIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGDYPAKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKLPPPQAPEP >ONI31537 pep chromosome:Prunus_persica_NCBIv2:G1:30614504:30616262:1 gene:PRUPE_1G318500 transcript:ONI31537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNDTASSTANGGLATSLPFKSPPRSDDAVFSSLYSAIFDRKPSLARYNESEPDASSNHPHRQLYHSLLVQDHQEMVNRHSRTLQQLHEASEEADALRRENIHLRALNLELNKHLSLLIHSSVQKQFVSPGSVETTPFGIVNRLHGMSIDDKRAEEDESDESPTSVIESEGADAENIDVERFTLPKSISVRSNGYVKVAQPGTSTAAGTRAATRPRTANTFNASVSHFRFTLPLNFLLFNSQKRSFLICSLIAMRFLFYFVCLCGSLLRNVAIDF >ONI31536 pep chromosome:Prunus_persica_NCBIv2:G1:30614504:30617644:1 gene:PRUPE_1G318500 transcript:ONI31536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNDTASSTANGGLATSLPFKSPPRSDDAVFSSLYSAIFDRKPSLARYNESEPDASSNHPHRQLYHSLLVQDHQEMVNRHSRTLQQLHEASEEADALRRENIHLRALNLELNKHLSLLIHSSVQKQFVSPGSVETTPFGIVNRLHGMSIDDKRAEEDESDESPTSVIESEGADAENIDVERFTLPKSISVRSNGYVKVAQPGTSTAAGTRAATRPRTANTFNASQKVYVPAGGIKKQEPLELEVYNQGMFKTELCNKWQEIGECPYGEHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGVACPYGHRCHFRHALTENEKFMGPNKPRAMKLAR >ONI27127 pep chromosome:Prunus_persica_NCBIv2:G1:4923464:4923908:1 gene:PRUPE_1G069200 transcript:ONI27127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNIKLFNQGTQRLPFLKMRKLTGADPLPTKDVDSHSCIVGNVQRLLHSFQAISSSSSMSSGKQFCGRLSCEAGAFYPSSPYLGTEFSFHGGYVGVSPILDRKLI >ONI27369 pep chromosome:Prunus_persica_NCBIv2:G1:6097825:6104553:-1 gene:PRUPE_1G082400 transcript:ONI27369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTVDETTKAVSDLTMESEGAMSKNARKKELKNKQKEEEKRRKEEEKAKQAAATASSQVKKIGATEDEEMDPTQYFENRLKYLATQKADNKNPYPHKFFVSMSVLEYVEKYDGLSNGEHRDEISVSLAGRIMSKRSSSSKLFFYDLHGGGPGGGKVQVMADLSKSELDESEFSKFHSSVKRGDIVGVTGFPGKTKRGELSIFPRSFIVLSHCLHMMARQKAGPASDNANVKKIDGWVPGSPRNPETYILKDQETRYRQRYLDLILNTEVRQIFKTRSKIIQYVRRFLDKRDFVEVETPMMNMIAGGAAARPFVTYHNELDMRLFMRVAPELYLKQLVVGGLERVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDVMTLTEELLSGMVKELTGGYKIKYHSNGLDKDPIEIDFTPPFRRIDMVEELNKIADLNINPADLSSEEANKYLKEACKKFDIKCSPPETTARLLDKLVGHFLEETCVHPAFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELANAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCGALEYGLPPTGGWGLGIDRLSMLLTDSQNIKEVLLFPAMKPQEEPSAKATASS >ONI27368 pep chromosome:Prunus_persica_NCBIv2:G1:6097874:6104489:-1 gene:PRUPE_1G082400 transcript:ONI27368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTVDETTKAVSDLTMESEGAMSKNARKKELKNKQKEEEKRRKEEEKAAATASSQVKKIGATEDEEMDPTQYFENRLKYLATQKADNKNPYPHKFFVSMSVLEYVEKYDGLSNGEHRDEISVSLAGRIMSKRSSSSKLFFYDLHGGGPGGGKVQVMADLSKSELDESEFSKFHSSVKRGDIVGVTGFPGKTKRGELSIFPRSFIVLSHCLHMMARQKAGPASDNANVKKIDGWVPGSPRNPETYILKDQETRYRQRYLDLILNTEVRQIFKTRSKIIQYVRRFLDKRDFVEVETPMMNMIAGGAAARPFVTYHNELDMRLFMRVAPELYLKQLVVGGLERVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDVMTLTEELLSGMVKELTGGYKIKYHSNGLDKDPIEIDFTPPFRRIDMVEELNKIADLNINPADLSSEEANKYLKEACKKFDIKCSPPETTARLLDKLVGHFLEETCVHPAFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELANAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCGALEYGLPPTGGWGLGIDRLSMLLTDSQNIKEVLLFPAMKPQEEPSAKATASS >ONI26146 pep chromosome:Prunus_persica_NCBIv2:G1:562744:564998:1 gene:PRUPE_1G006700 transcript:ONI26146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLASILAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKIKRMVIPDALKVLRLQAGHKYCLLGKLSSEVGWNHYETIKELENKRKERAQLAYERKKQLNKLRVKAEKVAEEKLGPQLEIIAPIKY >ONI26147 pep chromosome:Prunus_persica_NCBIv2:G1:562744:564564:1 gene:PRUPE_1G006700 transcript:ONI26147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGICAKRVVVDARHHMLGRLASILAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPPPYDKIKRMVIPDALKVLRLQAGHKYCLLGKLSSEVGWNHYETIKVRITCFSCLLLLSFLCPCVWVKHIIFSF >ONI36209 pep chromosome:Prunus_persica_NCBIv2:G1:46848640:46852485:1 gene:PRUPE_1G575100 transcript:ONI36209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILGKNRPISCFFRRLSAVEINQLSSWAGEGDCGNWTAVVCDNLTGHVCELHLVGHVDMLSGKINPSLPNLKHLTYLDLSNNDFRRMQIPSFLGSLKSLRYLNLSESLFTGLIPHQLGNLKSLQVLDLHDSYLELEVESLQWISGLSQLQHLDMSGANLSKASDWLRVTNTLPSLVENLDMSFCGLYHIPGGIANMTNLKFLHLQYNSISYTIPKWLYRLSHLQSLILSGNSFHGEISSSLGNLTSIVNLDLNSNQVAGNIPNSLGNLCKLTTLDMSENNLIESSQTQLQFLSMSNTRISGTIMTWFWNFSSQLRFIDLSENKLFGEVPHIFPSSQYQDIFLDSNQFNGSSPLVSSTVEILDLFQLIVFWNALSLLLEPKALSILHLDNHRLSGKIPDCFRNWKNLVVVNLESNNLIGNILRSLGYLHWSLKYLHLRNNHLHGELPPYLKKCTELTILDLSYNKFLGKIPMWIGTSLSILAVLSLRSNQFHGHSPYKLCDLTYLRILDPAHNNISGRMPRCLYKFKAMASNSEISHYSFYYGNNYYYDNSFEANNIETAILVSEGREVKYGSILLSLAISLDLSDNIISGEIPEELTSLIYLQSVNLSYNLLSGRIPPKIGDMRRLESLDLSMNQLCGQIAPSMSSLTFLSALNLSYNNLTGEIPKSTQLRSLDQTSFIGNKLCGPPLEVNCSNTNGTVPPVADQKRRK >ONI28317 pep chromosome:Prunus_persica_NCBIv2:G1:10792613:10794899:1 gene:PRUPE_1G137900 transcript:ONI28317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSSDFSTPPLPASPLPLSSTKENLTPFGSKIAELNESRSELLGRIQGLKQDLQSWRSKLDIQVKVYRDELSELKKSLNTEVDQLRSEFQELRTTLQQQQEDVTTSLRNLGLQDVSGDKKEGQETQDTVVEENGDKESNVSTKEFGTKEAES >ONI28243 pep chromosome:Prunus_persica_NCBIv2:G1:10574790:10576148:1 gene:PRUPE_1G133700 transcript:ONI28243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKIVLFVPLLVAAMALPLADAQLGNLLPPLLGLFRIQGTVFCTANGSVSVGGNAATPVFPNATVQLQCGAGNGVSTVTANASGVFSILLDPLHFLLSSLLTGCRLVVTTPLATCNASLPPSGVLISALQFFGTTLVGALSVINVTPVGFTLQV >ONI27606 pep chromosome:Prunus_persica_NCBIv2:G1:7571276:7574391:-1 gene:PRUPE_1G095900 transcript:ONI27606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFMLLELVGTLMESQKLFFRNVKLMSCIFLLIISLSSILFLSNMLSIKPSITDFTLKANLLAMNIGTPEFANLLNTLKGDLRLFVGLEWIFVFIFCFFSLFFATASILASAVTYRGKDMSIKELLSRAVKSLKRPFLTWFYITLLHLGYCLFLITFLVPLVVIFDLTFTMPSLLSIIIFLLALVFEAYLAVVWNLALVVSVLEEMCGIEALGKAGQLIKGLKMRGFFLNLLFGALSLPVFYGAHKFGKTVMSSNAAMIPLLLLNSISCLIGMFKLMAYTVLYHDCKATHGEELEMQGGTEYTKVAFTPLISADLP >ONI34294 pep chromosome:Prunus_persica_NCBIv2:G1:39330689:39338429:1 gene:PRUPE_1G473300 transcript:ONI34294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKKNPLVFMDVSVDGDPVERMVFELFSDVAPKTAENFRALCTGEKGIGPKSGRPLHYKGSFFHRIIKGYIAQGGDFIKRDGTAGESIYGEKFPDESPVLKHSGPGVLSMPVAVRDSLGSHFIITFAANHNLDRKHIVFGKLVQGHEVLKKIENAGDEDGNPTVLVKVINCGEHNEDKKKISKVKVGKDASSDANSHEVRRKGKHKKSSRDRRKRRRRRYDSTSESDSSSDSESESSEPDSDSDSYVSSSTDASSSSDDRRKKRKRSSKRDKHKRGKRRDKRRDRKRRRRDKKSKTRSRRASDGLTDTESEIESDSDHEHDGLDAKRKDRKSKKDTSEKAVGNQSPNAMDDGAASVHHKKREEAEVLEKEGASPKENGEKRSNGHGAGVKYDKSADRQPDIVDDHPGKSRSRSLSPKRAMSKSMSISPRRSLTKSPSLSPKRSESRSSSVSRSPPRALQRSRSISRSPVRSDSSRSPARGFSRSPARGFSRSPARGFSRSPVRGRRGRSPVKARSQRIIRSPVSRPRRSVSRSPPRKTSHKSFSRSPVKVPRSISRSPPRKAPHKSISRSPVRASSISRSPPRKSLLKSVSRSPVRDSRSISRSPVRSSRRSASRSSGRVPSRKSTSRSPFRAPTGNNRRSYSRSPSPVVRRVRSPPSPDRGRSLSRSVSPDGSPKRIRRGRGFSQRYSYARRYRTPSLSPVRSYRYGGGRSDRDRYSSYRRSRYSPRRYRSPPRRTPPRYRSRRSRTPVSRSPRYRSRHYSRSRSPISPIRSRSPIRSRSRSPVEVARSRASPRVERGRSPSRSRSPSESRSFPDSKSPIRTGKARSRSSSSGSPDGKKGLVSYGDGSPDSS >ONI27994 pep chromosome:Prunus_persica_NCBIv2:G1:9144423:9148398:-1 gene:PRUPE_1G115800 transcript:ONI27994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQALMNLLSLCWRPFGRGNGEGLNSVGIVGANFRGGGRDGKDSLLWFRDFGKYAWGDFSMAVVQANQVLEDQSQIESGPFGTFVGVYDGHGGPEAARYVCDNLFRHFQAISAESDGVVTAETIRNAFLRTEEGFTALVSELWSTRPNVATVGSCCLVGVICQRTLFVANLGDSRVVLGKRVGNTGEVAAIQLSTEHNANLEEVRHELKDLHPHDPQIVVLKHGVWRVKGIIQVSKSIGDVYMKHAQFNREPINAKFRLPEPMHMPILSANPTILSHPLHPNDSFLIFASDGLWEHLSNEKAVEIVHKHPHAGSAKRLVKTALQEAARKREMRYSDLRKIDKKVRRHFHDDITVIVLFLNHDLISRGTVEEPPVSIRSAVDH >ONI27995 pep chromosome:Prunus_persica_NCBIv2:G1:9144423:9147630:-1 gene:PRUPE_1G115800 transcript:ONI27995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSYVGYLRHCVYAAISAESDGVVTAETIRNAFLRTEEGFTALVSELWSTRPNVATVGSCCLVGVICQRTLFVANLGDSRVVLGKRVGNTGEVAAIQLSTEHNANLEEVRHELKDLHPHDPQIVVLKHGVWRVKGIIQVSKSIGDVYMKHAQFNREPINAKFRLPEPMHMPILSANPTILSHPLHPNDSFLIFASDGLWEHLSNEKAVEIVHKHPHAGSAKRLVKTALQEAARKREMRYSDLRKIDKKVRRHFHDDITVIVLFLNHDLISRGTVEEPPVSIRSAVDH >ONI34343 pep chromosome:Prunus_persica_NCBIv2:G1:39765398:39766715:-1 gene:PRUPE_1G476400 transcript:ONI34343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDQAPHDIENPCIPLVTSMSEELDGLSSLSSLCCIYRVPERLRRVSEKAYTPQVVSIGPLHHGEEGLKAMEDHKKRYLQDYIRRTRVSLADYVQKVKDQEAKLRSCYAETIQVSSDEFVRIILVDAAFIIEVLLRYRFDELQDEIDRIFNKPWLLPDVWPDMRLFENQLPFFILEELFDPEKIKVSSNNNNIERLSMLNLCHNFFKTLMHIEGTDGNMEKLCTSKVEHFVDFCRNLYLPLPLKPPAKGITELHRAGVKFKVGSPKNLFDIQFANGILEIPKLAISDETELTIRNLLAFEQCHCMENYINNYVVIVDRFVNTATDVELVVKQGIVENMLGDSSGGSTLINNLADGVIVDSNDFYFAILCADLNKYCRTSWHKWQANLRQNYCNTPWATISIATAIFLLILTFIQAVCSVISALPSKC >ONI33807 pep chromosome:Prunus_persica_NCBIv2:G1:37799896:37805848:-1 gene:PRUPE_1G447100 transcript:ONI33807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVTSACKDGGMKMQMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNTNLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRNCRSVDVLNVLSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPVQNFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRNLRQISQEVSQPNAAGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSILESDGVDDVTLLVNSSPGKMMGANLYANGVPSMSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADRSIDAYSAAAIKPGPCGLLGSRAGGFGDQVIHPLAHTIEHEEFMEVIKIENMGHYREDMIMPAADIFLLQLCSGVDENSVGTCAELVFAPIDASFSDDGPILPSGFRIIPLDSRMDAPSPNRTLDLASALEVGPAGSRASGDNAGHSGNTKSVMTIAFQFAFEIHLQDNVASMARQYVRSIIASVQRVALALSPSRFGSNSGFRPPPGTPEAQTLAGWICQSYRCYLGGDLLKSEGSESILKSLWHHSDAILCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGRKTLFSEFPQIMQQGFMCLQGGICMSSMGRPISYERAVAWKVLNEEETAHCICFMFINWSFV >ONI33808 pep chromosome:Prunus_persica_NCBIv2:G1:37799808:37806022:-1 gene:PRUPE_1G447100 transcript:ONI33808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVTSACKDGGMKMQMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNTNLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRNCRSVDVLNVLSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPVQNFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRNLRQISQEVSQPNAAGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSILESDGVDDVTLLVNSSPGKMMGANLYANGVPSMSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADRSIDAYSAAAIKPGPCGLLGSRAGGFGDQVIHPLAHTIEHEEFMEVIKIENMGHYREDMIMPAADIFLLQLCSGVDENSVGTCAELVFAPIDASFSDDGPILPSGFRIIPLDSRMDAPSPNRTLDLASALEVGPAGSRASGDNAGHSGNTKSVMTIAFQFAFEIHLQDNVASMARQYVRSIIASVQRVALALSPSRFGSNSGFRPPPGTPEAQTLAGWICQSYRCYLGGDLLKSEGSESILKSLWHHSDAILCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGRKTLFSEFPQIMQQGFMCLQGGICMSSMGRPISYERAVAWKVLNEEETAHCICFMFINWSFV >ONI32532 pep chromosome:Prunus_persica_NCBIv2:G1:33847102:33849051:-1 gene:PRUPE_1G371300 transcript:ONI32532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKIQIKRIENATNRQVTYSKRRNGLFKKAHELTVLCDATVSLIMVSNSGKIHEYISPSTTTKQFFDQFQKTKGVDIWSSHYEAMQEHLKKLKEVNRRLRKQIRQRVLGECLNDMSFDELRGVEQEMEGAVEVIRKRKIRMISNQIDTTKKKLRSATEMNRNLREFDARDDPHYGLVKNGREDYESAFGYSSNGGPRIFA >ONI32534 pep chromosome:Prunus_persica_NCBIv2:G1:33846755:33849607:-1 gene:PRUPE_1G371300 transcript:ONI32534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKIQIKRIENATNRQVTYSKRRNGLFKKAHELTVLCDATVSLIMVSNSGKIHEYISPSTTTKQFFDQFQKTKGVDIWSSHYEAMQEHLKKLKEVNRRLRKQIRQRVLGECLNDMSFDELRGVEQEMEGAVEVIRKRKVIRMISNQIDTTKKKLRSATEMNRNLREFDARDDPHYGLVKNGREDYESAFGYSSNGGPRIFA >ONI32531 pep chromosome:Prunus_persica_NCBIv2:G1:33846660:33849165:-1 gene:PRUPE_1G371300 transcript:ONI32531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKIQIKRIENATNRQVTYSKRRNGLFKKAHELTVLCDATVSLIMVSNSGKIHEYISPSTTTKQFFDQFQKTKGVDIWSSHYEAMQEHLKKLKEVNRRLRKQIRQRVLGECLNDMSFDELRGVEQEMEGAVEVIRKRKIRMISNQIDTTKKKLRSATEMNRNLREFDARDDPHYGLVKNGREDYESAFGYSSNGGPRIFA >ONI32528 pep chromosome:Prunus_persica_NCBIv2:G1:33846716:33849607:-1 gene:PRUPE_1G371300 transcript:ONI32528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKIQIKRIENATNRQVTYSKRRNGLFKKAHELTVLCDATVSLIMVSNSGKIHEYISPSTTTKQFFDQFQKTKGVDIWSSHYEAMQEHLKKLKEVNRRLRKQIRQRVLGECLNDMSFDELRGVEQEMEGAVEVIRKRKDARDDPHYGLVKNGREDYESAFGYSSNGGPRIFA >ONI32529 pep chromosome:Prunus_persica_NCBIv2:G1:33846742:33849613:-1 gene:PRUPE_1G371300 transcript:ONI32529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKIQIKRIENATNRQVTYSKRRNGLFKKAHELTVLCDATVSLIMVSNSGKIHEYISPSTTTKQFFDQFQKTKGVDIWSSHYEAMQEHLKKLKEVNRRLRKQIRQRVLGECLNDMSFDELRGVEQEMEGAVEVIRKRKLRSATEMNRNLREFDARDDPHYGLVKNGREDYESAFGYSSNGGPRIFA >ONI32530 pep chromosome:Prunus_persica_NCBIv2:G1:33846742:33849609:-1 gene:PRUPE_1G371300 transcript:ONI32530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKIQIKRIENATNRQVTYSKRRNGLFKKAHELTVLCDATVSLIMVSNSGKIHEYISPSTTTKQFFDQFQKTKGVDIWSSHYEAMQEHLKKLKEVNRRLRKQIRQRVLGECLNDMSFDELRGVEQEMEGAVEVIRKRKLRSATEMNRNLREFDARDDPHYGLVKNGREDYESAFGYSSNGGPRIFA >ONI32533 pep chromosome:Prunus_persica_NCBIv2:G1:33846657:33849629:-1 gene:PRUPE_1G371300 transcript:ONI32533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKIQIKRIENATNRQVTYSKRRNGLFKKAHELTVLCDATVSLIMVSNSGKIHEYISPSTTTKQFFDQFQKTKGVDIWSSHYEAMQEHLKKLKEVNRRLRKQIRQRVLGECLNDMSFDELRGVEQEMEGAVEVIRKRKIRMISNQIDTTKKKLRSATEMNRNLREFDARDDPHYGLVKNGREDYESAFGYSSNGGPRIFA >ONI34230 pep chromosome:Prunus_persica_NCBIv2:G1:39129698:39130764:-1 gene:PRUPE_1G469700 transcript:ONI34230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEEQTDMQSIKLASLEEKQHKKVRYYETTTIKLVIAYIFFQAVIFLSVFLQNPAIISCQHWWIPFSLSSLITFIFSISFTRFASKLERIQHQHDITVMERDSNHHRKMLQQRDPTNTHDLLQQQQQILKGDVVDVYQRNGFIYLVFAALLVYTVIILIACRFVICKD >ONI34233 pep chromosome:Prunus_persica_NCBIv2:G1:39129698:39131029:-1 gene:PRUPE_1G469700 transcript:ONI34233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEEQTDMQSIKLASLEEKQHKKVRYYETTTIKLVIAYIFFQAVIFLSVFLQNPAIISCQHWWIPFSLSSLITFIFSISFTRFASKLERIQHQHDITVMERDSNHHRKMLQQRDPTNTHDLLQQQQQILKGDVVDVYQRNGFIYLVFAALLVYTVIILIACRFVICKD >ONI34234 pep chromosome:Prunus_persica_NCBIv2:G1:39128782:39130810:-1 gene:PRUPE_1G469700 transcript:ONI34234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEEQTDMQSIKLASLEEKQHKKVRYYETTTIKLVIAYIFFQAVIFLSVFLQNPAIISCQHWWIPFSLSSLITFIFSISFTRFASKLERIQHQHDITVMERDSNHHRKMLQQRDPTNTHDLLQQQQQILKGDVVDVYQRNGFIYLVFAALLVYTVIILIACRFVICKD >ONI34232 pep chromosome:Prunus_persica_NCBIv2:G1:39128924:39131029:-1 gene:PRUPE_1G469700 transcript:ONI34232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEEQTDMQSIKLASLEEKQHKKVRYYETTTIKLVIAYIFFQAVIFLSVFLQNPAIISCQHWWIPFSLSSLITFIFSISFTRFASKLERIQHQHDITVMERDSNHHRKMLQQRDPTNTHDLLQQQQQILKGDVVDVYQRNGFIYLVFAALLVYTVIILIACRFVICKD >ONI34231 pep chromosome:Prunus_persica_NCBIv2:G1:39128975:39130764:-1 gene:PRUPE_1G469700 transcript:ONI34231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEEQTDMQSIKLASLEEKQHKKVRYYETTTIKLVIAYIFFQAVIFLSVFLQNPAIISCQHWWIPFSLSSLITFIFSISFTRFASKLERIQHQHDITVMERDSNHHRKMLQQRDPTNTHDLLQQQQQILKGDVVDVYQRNGFIYLVFAALLVYTVIILIACRFVICKD >ONI36462 pep chromosome:Prunus_persica_NCBIv2:G1:47584455:47598206:-1 gene:PRUPE_1G586100 transcript:ONI36462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETLNPQSKTLTSEDDNNGDQPMPDLPDQDPGSSDSDSDSGSEDGDQAQKNLQLQTLEAELSTNPGNYDAHVQYIKILRQIADIEKLRQAREAMNELFPLTPSMWQDWAKDEASLSTGSDAFPAIEKLYERGVFEYLSISLWRDYLDFVQENDPSVRECSPAGILKARDLFERALTAAGLHVSEGSKLWEAYRKFEQAIFDATDETDNQARDKQIQRIRTIFHRQLSVPHVNMRSTLLDYKAWEVEQGNILNAGSSDLDGISSHVASAYKKALEMYNARVHLEEQICRQDMSDSEKLQHFMSSGDPARVQMLYERAITEFPISSYLWLDYTHYLDKTLKVGSIITNVYSRAVKNCPCVGELWVRYLLSLERGHASEKEIAAVFEKSLQCILTLDEFLDLYLTRIDGLRRRISCPVEGESVLDYSLIRETFQNASEYFSQYLKNTDGLLRLHAYWARLELNLHKDLQAARGVWESLLKICGSMLEAWQGYITMEADLGHVSEARSLYRRCYSKRFPGTGSEDICYSWLRFEREFGSLDDFDRAVQKVTPRLEELQLFRSQQESKLTEEGENSSKKNVRDKRKQVSEIPDEHHPAKRQKDASQKPKKAHGKDSDVLNPGEQTVEKIKPKGDKPDIQNEQRMKERVPEKTKAYADQCTAFVSNINLKANDEHLRQFFSDVGGVVAIRLLHDKFSGKSRGLAYVDFSDDAHLVAAVAKNKQMLLGKKLSIARSDPKHGKKEHARGSESRESAETSNGPRAHQALQSSHNRGSDNVQLRGRNTFAIPRNVLALGQTANKPKTEEQGEDKPKSNDEFRNMFLKG >ONI36463 pep chromosome:Prunus_persica_NCBIv2:G1:47585032:47597925:-1 gene:PRUPE_1G586100 transcript:ONI36463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETLNPQSKTLTSEDDNNGDQPMPDLPDQDPGSSDSDSDSGSEDGDQAQKNLQLQTLEAELSTNPGNYDAHVQYIKILRQIADIEKLRQAREAMNELFPLTPSMWQDWAKDEASLSTGSDAFPAIEKLYERGVFEYLSISLWRDYLDFVQENDPSVRECSPAGILKARDLFERALTAAGLHVSEGSKLWEAYRKFEQAIFDATDETDNQARDKQIQRIRTIFHRQLSVPHVNMRSTLLDYKAWEVEQGNILNAGSSDLDGISSHVASAYKKALEMYNARVHLEEQICRQDMSDSEKLQHFMNYLKFEQSSGDPARVQMLYERAITEFPISSYLWLDYTHYLDKTLKVGSIITNVYSRAVKNCPCVGELWVRYLLSLERGHASEKEIAAVFEKSLQCILTLDEFLDLYLTRIDGLRRRISCPVEGESVLDYSLIRETFQNASEYFSQYLKNTDGLLRLHAYWARLELNLHKDLQAARGVWESLLKICGSMLEAWQGYITMEADLGHVSEARSLYRRCYSKRFPGTGSEDICYSWLRFEREFGSLDDFDRAVQKVTPRLEELQLFRSQQESKLTEEGENSSKKNVRDKRKQVSEIPDEHHPAKRQKDASQKPKKAHGKDSDVLNPGEQTVEKIKPKGDKPDIQNEQRMKERVPEKTKAYADQCTAFVSNINLKANDEHLRQFFSDVGGVVAIRLLHDKFSGKSRGLAYVDFSDDAHLVAAVAKNKQMLLGKKLSIARSDPKHGKKEHARGSESRESAETSNGPRAHQALQSSHNRGSDNVQLRGRNTFAIPRNVLALGQTANKPKTEEQGEDKPKSNDEFRNMFLKG >ONI36461 pep chromosome:Prunus_persica_NCBIv2:G1:47585032:47597925:-1 gene:PRUPE_1G586100 transcript:ONI36461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETLNPQSKTLTSEDDNNGDQPMPDLPDQDPGSSDSDSDSGSEDGDQAQKNLQLQTLEAELSTNPGNYDAHVQILRQIADIEKLRQAREAMNELFPLTPSMWQDWAKDEASLSTGSDAFPAIEKLYERGVFEYLSISLWRDYLDFVQENDPSVRECSPAGILKARDLFERALTAAGLHVSEGSKLWEAYRKFEQAIFDATDETDNQARDKQIQRIRTIFHRQLSVPHVNMRSTLLDYKAWEVEQGNILNAGSSDLDGISSHVASAYKKALEMYNARVHLEEQICRQDMSDSEKLQHFMNYLKFEQSSGDPARVQMLYERAITEFPISSYLWLDYTHYLDKTLKVGSIITNVYSRAVKNCPCVGELWVRYLLSLERGHASEKEIAAVFEKSLQCILTLDEFLDLYLTRIDGLRRRISCPVEGESVLDYSLIRETFQNASEYFSQYLKNTDGLLRLHAYWARLELNLHKDLQAARGVWESLLKICGSMLEAWQGYITMEADLGHVSEARSLYRRCYSKRFPGTGSEDICYSWLRFEREFGSLDDFDRAVQKVTPRLEELQLFRSQQESKLTEEGENSSKKNVRDKRKQVSEIPDEHHPAKRQKDASQKPKKAHGKDSDVLNPGEQTVEKIKPKGDKPDIQNEQRMKERVPEKTKAYADQCTAFVSNINLKANDEHLRQFFSDVGGVVAIRLLHDKFSGKSRGLAYVDFSDDAHLVAAVAKNKQMLLGKKLSIARSDPKHGKKEHARGSESRESAETSNGPRAHQALQSSHNRGSDNVQLRGRNTFAIPRNVLALGQTANKPKTEEQGEDKPKSNDEFRNMFLKG >ONI36460 pep chromosome:Prunus_persica_NCBIv2:G1:47584455:47598206:-1 gene:PRUPE_1G586100 transcript:ONI36460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETLNPQSKTLTSEDDNNGDQPMPDLPDQDPGSSDSDSDSGSEDGDQAQKNLQLQTLEAELSTNPGNYDAHVQILRQIADIEKLRQAREAMNELFPLTPSMWQDWAKDEASLSTGSDAFPAIEKLYERGVFEYLSISLWRDYLDFVQENDPSVRECSPAGILKARDLFERALTAAGLHVSEGSKLWEAYRKFEQAIFDATDETDNQARDKQIQRIRTIFHRQLSVPHVNMRSTLLDYKAWEVEQGNILNAGSSDLDGISSHVASAYKKALEMYNARVHLEEQICRQDMSDSEKLQHFMSSGDPARVQMLYERAITEFPISSYLWLDYTHYLDKTLKVGSIITNVYSRAVKNCPCVGELWVRYLLSLERGHASEKEIAAVFEKSLQCILTLDEFLDLYLTRIDGLRRRISCPVEGESVLDYSLIRETFQNASEYFSQYLKNTDGLLRLHAYWARLELNLHKDLQAARGVWESLLKICGSMLEAWQGYITMEADLGHVSEARSLYRRCYSKRFPGTGSEDICYSWLRFEREFGSLDDFDRAVQKVTPRLEELQLFRSQQESKLTEEGENSSKKNVRDKRKQVSEIPDEHHPAKRQKDASQKPKKAHGKDSDVLNPGEQTVEKIKPKGDKPDIQNEQRMKERVPEKTKAYADQCTAFVSNINLKANDEHLRQFFSDVGGVVAIRLLHDKFSGKSRGLAYVDFSDDAHLVAAVAKNKQMLLGKKLSIARSDPKHGKKEHARGSESRESAETSNGPRAHQALQSSHNRGSDNVQLRGRNTFAIPRNVLALGQTANKPKTEEQGEDKPKSNDEFRNMFLKG >ONI32302 pep chromosome:Prunus_persica_NCBIv2:G1:33208859:33209438:-1 gene:PRUPE_1G359700 transcript:ONI32302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAADHRSPSFMTLKELVPLQPWKTPPPPFSQSRCGKYTKVKDPIPKDGARVVFWAPKTRQREADDYDIDVEIPYQGLFEFIDKFVIRKVRLWIDRLLGEILCLPKYDAYHYHSR >ONI31485 pep chromosome:Prunus_persica_NCBIv2:G1:30488572:30492336:-1 gene:PRUPE_1G315900 transcript:ONI31485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVALFIFSLLTHLVVLHSNEELKINKGCPPEECQTHLPECDGLFTVNCSDRDNPRIQLKEEGYWHEFEIIDPEPNMILINDRKLEQRLKTNSCNDQVFNDLRLPGPSPVFDELFTPNLTLIKCSTPLHDTDLNYGCRNHNYNYYTTSPDHSLPSLPPSCSVFQMPKDPYKPFFSLSALTTTFQLLFRVREECHVDKGKFKCSVREKGDKLGLTLGLGIGLGCPVLLAVCLFLLWCYKKKGAASNFLSRNISSQPHTNSDIEGGVAYFGVPVFTYTELEEATNHFDSEKELGDGGFGTVYYGKLKDGREVAVKRLYEHNYKRVEQFMNEIEILTRLRHQNLVSLYGCTSRRSRELLLVYEYIPNGTVADHLHGERADPGALTWPIRMSIAIETANALSYLHASEIVHRDVKTTNILLDNNFCVKVADFGLSRLFPMDVTHVSTAPQGTPGYVDPEYHQCYQLTSKSDVYSFGVVLIELISSMPAVDISRHRHEINLANLAVSKIQKGLFNELVDQRLGFESDDEVRRMVIAVAELAFQCLQLDNDMRPTMCEVLEALKTIESGHDVPDNLKPAFDNAGMKTNILPPPSPDCDEIGLMKNKRLLSSPISVTQKWPSTRSTTPNASA >ONI31483 pep chromosome:Prunus_persica_NCBIv2:G1:30488595:30495659:-1 gene:PRUPE_1G315900 transcript:ONI31483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNLCILILMFLLLTEASFAVDFYYQNCSVPVSCGRQNISYPFYIQGRQESFCGYPGFQLSCHGDGEDAYPLLQLSGNNYIIHNIDYQRKSLLVSNSLLSGYLESTACNNDISSLHNLTLPNDQFELASNQADFFLLYNCNSSVVESFPKYKVGCFDQPTNKTRSNTSVLALPRDEYPKLGSDKCRGEVVVAHARGGYENGEVGLIQEVLSRGFELNWFASDCSRCQDSGGRCGFNYTTYHFRCLCPRRTHSVRCRDDEGIGLGCPVLLAVCLFLLWCYKKKGAASNFLSRNISSQPHTNSDIEGGVAYFGVPVFTYTELEEATNHFDSEKELGDGGFGTVYYGKLKDGREVAVKRLYEHNYKRVEQFMNEIEILTRLRHQNLVSLYGCTSRRSRELLLVYEYIPNGTVADHLHGERADPGALTWPIRMSIAIETANALSYLHASEIVHRDVKTTNILLDNNFCVKVADFGLSRLFPMDVTHVSTAPQGTPGYVDPEYHQCYQLTSKSDVYSFGVVLIELISSMPAVDISRHRHEINLANLAVSKIQKGLFNELVDQRLGFESDDEVRRMVIAVAELAFQCLQLDNDMRPTMCEVLEALKTIESGHDVPDNLKPAFDNAGMKTNILPPPSPDCDEIGLMKNKRLLSSPISVTQKWPSTRSTTPNASA >ONI31484 pep chromosome:Prunus_persica_NCBIv2:G1:30488576:30495659:-1 gene:PRUPE_1G315900 transcript:ONI31484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNLCILILMFLLLTEASFAVDFYYQNCSVPVSCGRQNISYPFYIQGRQESFCGYPGFQLSCHGDGEDAYPLLQLSGNNYIIHNIDYQRKSLLVSNSLLSGYLESTACNNDISSLHNLTLPNDQFELASNQADFFLLYNCNSSVVESFPKYKVGCFDQPTNKTRSNTSVLALPRDEYPKLGSDKCRGEVVVAHARGGYENGEVGLIQEVLSRGFELNWFASDCSRCQDSGGRCGFNYTTYHFRCLCPRRTHSVRCRDDEGDKLGLTLGLGIGLGCPVLLAVCLFLLWCYKKKGAASNFLSRNISSQPHTNSDIEGGVAYFGVPVFTYTELEEATNHFDSEKELGDGGFGTVYYGKLKDGREVAVKRLYEHNYKRVEQFMNEIEILTRLRHQNLVSLYGCTSRRSRELLLVYEYIPNGTVADHLHGERADPGALTWPIRMSIAIETANALSYLHASEIVHRDVKTTNILLDNNFCVKVADFGLSRLFPMDVTHVSTAPQGTPGYVDPEYHQCYQLTSKSDVYSFGVVLIELISSMPAVDISRHRHEINLANLAVSKIQKGLFNELVDQRLGFESDDEVRRMVIAVAELAFQCLQLDNDMRPTMCEVLEALKTIESGHDVPDNLKPAFDNAGMKTNILPPPSPDCDEIGLMKNKRLLSSPISVTQKWPSTRSTTPNASA >ONI34260 pep chromosome:Prunus_persica_NCBIv2:G1:39249369:39251491:-1 gene:PRUPE_1G471700 transcript:ONI34260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQKKKPKAVIVGGSIAGVSCAHTLILAGWDVVVVEKSYAAPTGSPTGAGLGLDPLALKLIQSWIKEPELLNKATLPLTIDQNDAIDGEKKVKWTLTRDEDFNCRAAHWADLHALLYNALPPNLFLWGHHFLSFTVSSDKGSVKVKATAHQTNEIIEIVGDLLVAADGCLSSIRQSFVPDHKLRYSGYCAWRGVLDFSGNENSETIIGIRKAYPELGKCLYFGLGSGSHTVLYELLNQRLNWIWYVHQPEPDLKRNSMTTKAGSYMIQNMHKEAEKVWLPEFVRVIKETRDPFINAIYDSEPLEQIYRDNVVLVGDAAHPTTPHAVRSTNMSVLDAAVLGQCLKKWGVENLQSALEEYQSIRLPVVSKQVLHARRMGRIKQGLVLPDRPSFDPKTANSEECQELHQRLMPFFSDVPAILL >ONI35654 pep chromosome:Prunus_persica_NCBIv2:G1:44800955:44804833:-1 gene:PRUPE_1G548000 transcript:ONI35654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKVQMKKIENPVHRQVTFCKRRAGLLKKAKELSVLCDADIGILIFSSHGKLFELATKGNMQGLIEKYMKMKPPRVSQADQAIETQTLDAKKEINLLKQEIEILQKGLRYMFGGGAGTMTLDELQVLEKHLEVWIYHVRSAKMDVLFQEIQLLRNSEGILTAANKYLQDKIVEENIGVTNITPMASDNPYPLTIPDDDIFQI >ONI35655 pep chromosome:Prunus_persica_NCBIv2:G1:44800773:44805151:-1 gene:PRUPE_1G548000 transcript:ONI35655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKVQMKKIENPVHRQVTFCKRRAGLLKKAKELSVLCDADIGILIFSSHGKLFELATKGNMQGLIEKYMKMKPPRVSQADQAIETQTLDAKKEINLLKQEIEILQKGLRYMFGGGAGTMTLDELQVLEKHLEVWIYHVRSAKMDVLFQEIQLLRNSEY >ONI31928 pep chromosome:Prunus_persica_NCBIv2:G1:31986049:31989109:-1 gene:PRUPE_1G339900 transcript:ONI31928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKTFSASDVSVHSTYASRYIRDSPAKYKMPENSTPKDVAFQMINDELMLDGKPRLNLASFVTTWMEPECEKLIMDSLNKNYVDMDEYPVTTELQNRCVNMIADLFHAPHEDGEAATGTGTVGSSEAIMLAGLAFKRKWQNKMKAQGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKVREDYYVMDPVKAVEMVDENTICVAAILGSTYNGEFEDVKLLNDLLLEKNKQTGWDTPIHVDAASGGFIAPFLYPDLEWDFRLPLVKSINVSGHKYGLVYAGIGWIVWRAKEDLPEDLIFHINYLGADQPTFTLNFSKSSSQIIAQYYQLIRLGFEGYRNIMENCQNNAMVLKAGLIKTERFKILSKDIGVPVVAFSLKNRSRYNEFKVSENLRRSGWIVPAYSMPADAKHVALLRVVIREDFSCTLAERLVSDITHVLQELDMLHPAAPPAIENGHLKVAANGLLKVPANGVANCDHKVAANGVANGKA >ONI32198 pep chromosome:Prunus_persica_NCBIv2:G1:32885967:32889294:-1 gene:PRUPE_1G353700 transcript:ONI32198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDGDIRDEGEGGGCGGGGGGGGGGEETAEGVIELVAQFGDYRRTQRKECYNLVRRMKLLLPLFEETRELDGPIPEGGVAWLANLKKVLLMAKKLLKTCNEGSKIYLALESEGIMVRFHAVHEKLSQALGGLPDELCISDEVKEQIELMIMQLRRARKRTDTQDIELAMDIMVVLSKKEDRNADSAIIERLAQKLDLHKIEDLNTEAIAIRNLVKERGGPSGETTQQIIDLFNRFRQIAGMEATNVIDDPVMPKMLEKCPSLMIPHEFLCPITLEIMTDPVIVASGQTYDRESIQKWFDTNHRTCPKTRETLAHLSLAPNYALKNLIMQWCEKNNFQLPKKETSAGQESSSTEHKEEILSLVERLSSSHLEVQRKAVMKIRLISKENPENRILIARSGGIPPLVQLLSYPDSKIQQHAVTALLNLSIDETNKKLITGEEAIPAIIEVLQNGSTEARENSAAALFSLSMLDENKVTVGLSDGIPPLVDLMQNGTIRGKKDAATALFNLSLNQANRSRAINAGIVPPLLQILKDRNLGMVDEALSIFLLLASHPDGRQEIGQLSFIETLVEFIREGTPKNKECATSVLLELGSNNSSFLLAALQFGVYEHLVEITGSGTNRAQRKANALMQLISKTEQIP >ONI30259 pep chromosome:Prunus_persica_NCBIv2:G1:25442927:25448229:1 gene:PRUPE_1G240400 transcript:ONI30259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLCCIAPVSIDRDRASPVAAKQSSQCQLGPEAPIRNVSYGSKPSYSAQVSSAGTESDRASVTAGHEVEDTISEARDSKVFGINGGVSGNVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKVHGPDKILMSPVREKGLRVIGEDSLRYMKKANWSNSRLGAPARPCKPFGVVHLKVSSIRASKSDDKRLSIFTGTKTLHLRCVSREGRAAWIEALLGAKDLFPRVLTSHDLVPSEDIVVSTEKLRLRLAQEGVGEPVIKDCESIMLLEVSELQNQLKTLQSKHVMLLDTLRQLETEKIELETTVVDETKERESYCGQGNRRFSDFYSVLSEGSASDSDADNESQDGADVETDEDEGTYFDTHDMLSSDALRSASYRSREDTLRSASHRSREGLGNGCLYDRDSFFSDRLHGVEEMRTVKYPYVKRRDNFPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSNLVDRALEWGKQGNDLMRILNVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLSLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRISGSGNYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLVGKWDEAMYYVLGDPTTKQKGYDPMTEAVLLWERDNYATKTRYNLSPFAISLNELTPGLLEKLPPTDSRLRPDQRHLENGEYELANAEKLRLEQLQRQARKLQERGWQPRWFRKDEDGCYSYAGGYWETREKKNWEGIPDIFGQTSDLPSCSGEE >ONI35685 pep chromosome:Prunus_persica_NCBIv2:G1:44980609:44984851:1 gene:PRUPE_1G550400 transcript:ONI35685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFGVKKEKEPPPSVGDASERINKRGETVDEKIKKLDIELNRYKEQIKKTRPGPAQEAVKSRAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGIKDAQQTMTALKSANKELKGMMKTVKIQDIDNLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEDLMGELDALEADMGLETEADGVPSYLQPDKESDLDAELHLPSAPIGHAAAPAGRSNAQAEDELGLPAVPRASLRG >ONI35686 pep chromosome:Prunus_persica_NCBIv2:G1:44977702:44984688:1 gene:PRUPE_1G550400 transcript:ONI35686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFGVKKEKEPPPSVGDASERINKRGETVDEKIKKLDIELNRYKEQIKKTRPGPAQEAVKSRAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGIKDAQQTMTALKSANKELKGMMKTVKIQDIDNLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEDLMGELDALEADMGLETEADGVPSYLQPDKESDLDAELHLPSAPIGHAAAPAGRSNAQAEDELGLPAVPRASLRG >ONI35831 pep chromosome:Prunus_persica_NCBIv2:G1:45438058:45440837:1 gene:PRUPE_1G556500 transcript:ONI35831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFWYNSIFLILSFSLVSFFPCSIYSLSTLSISESSNQTLVCALIHPNNQPSFLNCTSFPSGIRIPVDKNASSSFSGIVAGDGFLCSLMSLSSAPNTSILICWRFSANGTNMSRKRIYRGPALKQLVAGNSHVCGLVNGSTSRVECWQWHRFNSNTWNRHSYDFSSISVGENFVCGVSKIGKVTCLGSNNKVVGNEPSWNCSAVSAGFNHACGISSENDSLHCWGDMKGDKPQEKFTSLALGENRSCALRTNGTVVCWGENNFSLPVDLQETYFVAIEAKRSVFCGVLSSNFSLYCWGNPIFDASNNFTVFESDVKPGPCTRECPSWSNALPGYGRFCEQGYVVCQPHEGTEEPPDQRPFAPSPAPEIDKPPSSSGSKWSKKMVAFLVVGCVGSIAFVLVCCFLAFKFCKIRGSRIHDSGPLEMTQLQGHQGAVAANAAAAVVAGQPVLEKRLSHVVSMGANGASLEEFSLEELLEATSNFSEEHKIGTGSYGSVYYARLTDGRQVAIKRAETSASSSYVGGHARRQEDKDNAFVNELESLSRLNHKNLVRLLGFFEDTKERILVYEYMNNATLHDHLHKLPHSPLVSWAARINVALDAARGVEYLHVYAVPPVIHRDIKSSNILLDDTLHAKVSDFGLSLMGPEDDESHLSLRAAGTFGYMDPEYYRLQQLTTKSDVYSFGVVLLELLSGYNAIHKNENGVPRNVVDFVVPYIVNDEIHRILDPKMPAPNPFEIEAVAYVGYLAADCVSLEGRDRPSMTDIVSSLERALSFCLASVSRSSSTTQSST >ONI26732 pep chromosome:Prunus_persica_NCBIv2:G1:3003981:3008240:-1 gene:PRUPE_1G042100 transcript:ONI26732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPSSSKSNHSHTSLMKTPQSKQRLQFLKAHPSPNPNSAAKEPPAEHPVEVLARIRDYPDRKEPQPTSVLQINPQKQSIRVRADFGYRDFALDGVSLSEEDDLGSFYSKFVQSRIDSVKLGEKCTIMMYGPTGSGKSHTMFGCCKQPGIVYRSLKDILGDVGEDDCAGSMAGAFVQVTVLEIYNEEIYDLLSSNGGGGFGFGWSKGNGSNSKVRLEVMGKKAKNATYISGNEAGKISKEIQKVEKRRIVKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIEQAGQIGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKTKILMVLCASPDPKEIHKTISTLEYGAKAKCIVRGPHTPIKDKIGTEDSSAVILGSRIAAMDEFILKLQRENKLKEKERNEAHRELQKKEEEVAALRAKLELMEGTGSGKKEEEINLKVTEVAQTLKLELEKKLEECQRMANEFVELERRRMEERILQQQQEVEMLRRRLEEIELELCRSGDGNGKESGTKDHDGTQFAKRLLGIYASDDAGGMVKSMDLDMDDQEPFAREVKYVGGVAYQSDSSIVNAVNIDSFEPKYADRVCLSTVFEEEEMEEEEGLKENVEAEEVEKEVIEEKRVCMVDGSSLQSNYNMGSLTSLPKDYQHTPTVNRPSRNPGEDDCKGGPDDRLVRIRNIFTLCGNYRELSQHVTSPAPQHVTSPAPTVASVKSENEQKLTEQINKSRVCDEMALASKENYNPSDVVTDADTEVYVKWEASKENPGKFITTLKVVKDASLADLRKLIEIYLGADNQAFTFLMLGDPTGASVPKEKEATIQATKLPLCNNISNGYLASLRPLKGMQSPSQLPLSPLSLKSLENRLPLTPSSCFSVQGDCMTPKVAPNLGSTPYITVRRH >ONI30541 pep chromosome:Prunus_persica_NCBIv2:G1:26703126:26704025:1 gene:PRUPE_1G257000 transcript:ONI30541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDALSFWTVHHKGRDQIIKGVETVEYTSDIALGMCLCSDKKVKFLVEKKRNIMVQVLVLVYLHKGLNIISSLRGKKIGK >ONI26476 pep chromosome:Prunus_persica_NCBIv2:G1:1919207:1920881:-1 gene:PRUPE_1G027500 transcript:ONI26476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKTHENDLNLKATELRLGLPGRDESDEVQAVGQLPSALNKKRALPDTKSEEEYASKETSDAHKETPPAKAQIVGWPPIRSYRKNSLQANKTEAEISGIYVKVSMDGAPYLRKIDLRVYKGYPELLKALEVMFKFTIGQYSEREGYKGSEYAPTYEDKDGDWMLVGDVPWEMFMSSCKKLRIMKGSEARGLGCGV >ONI31674 pep chromosome:Prunus_persica_NCBIv2:G1:30977850:30979504:-1 gene:PRUPE_1G324800 transcript:ONI31674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQAMKKMEEGGSSGTDSTNSGICSSSEIVQLTQKVIAEVIGTYFVVFIGCGSVAVNKIYGSVTFPGIAITWGLIVMVMVYSVGHISGAHFNPAVTVTFAIFRHFPVKEVPLYVVAQVLGSILASGTLCLLLDVPQTAYFGTLPVGSDIRSLIIEIIASFLLMFVISGVATDNRAIGELAGIAVGMTIVIDVFVAGPVSGASMNPARSLGPAIVMHTYKGLWIYFAGPIVGTVLGGFAYNLIRFTDKPLRELTKSASFIKSLSTKP >ONI29561 pep chromosome:Prunus_persica_NCBIv2:G1:20012957:20014728:1 gene:PRUPE_1G202000 transcript:ONI29561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPITLCREIDSLIANFWWGNNSGGGNKIHWKRWELMGLSKAAGGMGFKNLGYFNDAMLARQAWRIICNPGALWVQILKGLYFPDCCFLEAQKGGRASWAWSSILLGRDIILSGAHWQILNGSSTSIWADKWIPGIPGGYLQPCLPVPVDAPVKSGNFTVKSGYNWIVNQNIRCPLANAQSSHTISLDTWKIIWESKTLPKVKQFLWRAVSNILPSFLNLYKRRLSSLHLCPICLESPESIEHMLVLCPWTACVWFGIAIGYRVDLQNFTSLDRWLGSLLRGESMFSLNSRWILSVVAFTCWHIWEARCKFVYNDIPIVPAATRSRACLAVSEFWNVTKKPVLGSVGMPIQISSPHPSHWLPPISSYVKINTDGSWKSGSAMVGVGVIIRKIAGSCIGGLAAQVPAQSPLMAEVLALKHGLLRAKELNLVNVVVESDSQVAINSVLRDVSSSNWELYPILKDIRFLKASFTNLNWAWVPHEANRSADAVASLARKGMCLESWLVRPPSSLVRVGTVRFGPVLLQIRTDPILFIRFGSVRF >ONI31935 pep chromosome:Prunus_persica_NCBIv2:G1:32001151:32002588:-1 gene:PRUPE_1G340300 transcript:ONI31935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIPMHDEEEAQRLPPKRLVNKVAVITGGARGIGAATAKLFADHGARVVIADILDDLGATLADSIGGRYIHCDVAKEADIESAIELALKWKGRLDIMFNNAGVPGPSGSITSLDMENVKHLLSVNVLGVIHGIKYAAAAMIKGRIGGSILCTSSSAAIMGGLGGHAYTLSKEAINGLVRSAACELGVHGIRVNCISPHGVPSEMLVSAYREHLGKMDMTAEDVSKIVGERGSLLRGRCATVEDVAEAALFVASEDAGFITAHNLVLDGGYTSACSTMSFIYQNGH >ONI26444 pep chromosome:Prunus_persica_NCBIv2:G1:1741997:1745745:1 gene:PRUPE_1G025200 transcript:ONI26444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAPPDSLFPFRHIHKPNSLLRTRTTRICCKASLITNSDSFEVGRLIGSYGFMNITSSSGSPLGEDTEYSSGDLGRLRVQDVGEGSVKIRLYDGRVSQGPLKGTPVVFKVYPGQRAGGNEADMMAANELNAHLFLQNSSNGICQNLAILVGGFETKTGEQWLAFRNHGKSSAADYAKVMSEKVSRNRAAGVWNKFEQEETIKRRRYFVTKLLQGIMRGLAYMHDHDRLHQSLGPASVILNTITERDAAYLVPRLRDLAFSVDIGYSNLEGDPGLLSEGLWRRASTAGAFTPMEKRAFGISDDIYEAGLLLAYLAFVPFCEAGIMDGLSLQRLLESTFQLDLGATRE >ONI26443 pep chromosome:Prunus_persica_NCBIv2:G1:1741894:1747410:1 gene:PRUPE_1G025200 transcript:ONI26443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAPPDSLFPFRHIHKPNSLLRTRTTRICCKASLITNSDSFEVGRLIGSYGFMNITSSSGSPLGEDTEYSSGDLGRLRVQDVGEGSVKIRLYDGRVSQGPLKGTPVVFKVYPGQRAGGNEADMMAANELNAHLFLQNSSNGICQNLAILVGGFETKTGEQWLAFRNHGKSSAADYAKVMSEKVSRNRAAGVWNKFEQEETIKRRRYFVTKLLQGIMRGLAYMHDHDRLHQSLGPASVILNTITERDAAYLVPRLRDLAFSVDIGYSNLEGDPGLLSEGLWRRASTAGAFTPMEKRAFGISDDIYEAGLLLAYLAFVPFCEAGIMDGLSLQRLLESTFQLDLGATRDYV >ONI26442 pep chromosome:Prunus_persica_NCBIv2:G1:1741894:1747410:1 gene:PRUPE_1G025200 transcript:ONI26442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAPPDSLFPFRHIHKPNSLLRTRTTRICCKASLITNSDSFEVGRLIGSYGFMNITSSSGSPLGEDTEYSSGDLGRLRVQDVGEGSVKIRLYDGRVSQGPLKGTPVVFKVYPGQRAGGNEADMMAANELNAHLFLQNSSNGICQNLAILVGGFETKTGEQWLAFRNHGKSSAADYAKVMSEKVSRNRAAGVWNKFEQEETIKRRRYFVTKLLQGIMRGLAYMHDHDRLHQSLGPASVILNTITERDAAYLVPRLRDLAFSVDIGYSNLEGDPGLLSEGLWRRASTAGAFTPMEKRAFGISDDIYEAGLLLAYLAFVPFCEAGIMDGLSLQRLLESTFQLDLGATREYCLADDRLLDAVKFLDLGDGAGWELLQAMLNPDFRKRPIAQAVLNHRFMTVGIL >ONI28867 pep chromosome:Prunus_persica_NCBIv2:G1:13361291:13363779:1 gene:PRUPE_1G165200 transcript:ONI28867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKSSSGREYKVKDMSQADFGRLEIELAEVEMPGLISCRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEFEKSGKLPDPASTDNQEFQLVLTIIRDGLKTDPKRYHKMKDRLVGVSEETTTGVKRLYQMQASGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVSVVCGYGDVGKGCAAALKQAGSRVIVTEIDPICALQALMEGLQVLPLEDVVSEADIFVTTTGNKDIIMVEHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETNTGIIILAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERKSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKEQADYISVPVEGPYKPAHYRY >ONI31089 pep chromosome:Prunus_persica_NCBIv2:G1:28973634:28976040:1 gene:PRUPE_1G291500 transcript:ONI31089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGEVAIILSSSVCLFLFLVLIKIFHKLWWKPTSIQRLMALQGIKGPAYRLVHGNTKEIFNMQNEAMGKPKGLSHDIFSRVQPHFHSWTKLYGKNFLQWYGLRPQLIITEPELCKEILNDKDRAYPKSKPKSFVKQLLGDGLVTTTNIEKWGKLRKVANFAFHGESLKSMTPATIASAEIMLERWKNQDGKEIEMFEEFRLLTSEVISRTAFGSSYLEGEKIFEMLMKLSFLSFKNILKLRIPGISKFYKTCDEIESENLEKGIYNSIIEIVKKRGKKAMAGEEDGFGSDFLGLLLKAHHDANDNQRISVDDLVDECKTFYLAGQETSSSLLAWTVFLLAIHTDWQEEARKEVLEIFGKQNPNTDGIARLKTMTMIINETLRLYPPIVVLEREAEREVRLGNLIIPATVELVIPCLAFHHEPGFWGQDVHLFKPERFSEGVAKATKNNIATFLPFGMGPRNCVGLNFATNEVKIVLSMILQRYSFTLSPAYVHSPFRLLTVRPQHGLQIMLHSL >ONI26228 pep chromosome:Prunus_persica_NCBIv2:G1:859245:866917:1 gene:PRUPE_1G011200 transcript:ONI26228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNRDARRAAMAAPNGLSRRRHRSSSLRDSPEDDGPVELQETSRLRDRKKDRDRDRDRERDRDRDRDRDRDRLSRSKRRRGERLMHGSNREDGGDDSSEESVNDEDEDEDDDGGGGVGVSGGSIRMLPPNPSSTTSISSSLLNHRKSFSPVNNMSSNNKHFRPHPALKVTDEMIGVSVPRKARSASTKRSHEWPSSCGVVGGDQIHRQASTSPVRPATSSMAAPSPSSPSSSHASAVRKKLKPNGPKLRPPKMSSSAKTTSSNQDEIEIEIAEVLYGMQRQPQGPTKQEIVVTDSIKFESREANKSTSDAKSRVSSPISNSPCALPQLPSAFTQNSSSSVTSLSAVAPKRKRPRPVKYDDENPSIFTIQNSAISTTSKVVTDQPSKVETSSPKLERNPGSAAENGGFSYNLANSHAVPASSEAQPEPDVPESKAASDSKPANDESDGQNVQVSKEEPQSPKKESPALRLDDNRQDMTMTKANTTVSEIENQREEKFQIDLMEIKPMTREDDKVVKFGKEENANVETEKCKAAVEEAEFKKPIVGSKERNIDLQLDLEKTDRDSGTACFSGNKLHHNVAKQQQNTEKTVQSSSVPLPMSVAAWPGGLPPMGYMAPLQGVVSMDGSTVSSAAIQPPHLLFNQPRPKRCETHCYIARNIYYHQQMSRMNPFWPVAAGSGSLYGGKHCNPNVLPPELHGNIPGRGVNSAQDKGQGLAMFPGPSAKDKSSQTANLVDAQRKQIVLQQALPPGAPSNILHGPAFIFPLNQQQAAAAASVRPASVKSPNAGAAALSSTSNSAPMTAAATAAPAPAMSFNYPNMTGNEPQYLAILQNNAYPFTMPTHVGAPPAYRGPHAQPMPYFNGSFYSSQMLHPSHLQQQQQQPPSQSQQSQQGHQNPSISSGSSSSQKHLQNQQQRPHPSGVNGGSGSLQGFPTSKNPSSQALQLQQQQRQQQQNPHPPHQARQLEPEMGGEDSPSTADSRVSRANMNIYGQNFAMPMRPPNFPLMTPPSSGSASGATGASGTEKKPQQQQQGPKTGVEASQAFAMSFASMNGATAATGIDLTSLAQNHAILQSFPEVRQSYQQFMAVQAVQHKKSYRVPEEGKTGGGDSPNVEEERKAMGGKASSTLGHSIAFSRTDLTDTSGSTIQSNNVIDSSTRTLNLSSTPGRTSSSILPPAVSSVNAPTSQQQQMQQQMRNQQQQQQMIQLQKQQFSAAGRSKTPATSNGSVYSDHLPSTSSMAAKFPNALSSFPQNLVQSSSSPAQSPQWKNSARTTTSQVPSSSLASSTSSSLKNLPQKHARTQQSHTQISFAANTKSSTQSQGLQPASSNQSPSPPVMVGSPTPTTSSMSKSAGGSPRTTTSTSTGNKAGQASSLSSQQAKNSPSVPSQKSSPVGGRNVPSILGVNTHITSPSTGTKSQLPQQQQQLQQQQQHQLQQQQHQHQQQQHQHQQQLSKQSIQQAQLFFSNPYIQAQASHSNNATSTAPSSGYYHNIQRRRPEQQQPPQGSSGSSSSGMLSLCPPVTHSNTSTTDPAKAAAAAAANNMKGSGLSSQTLIHHAQFAAAQSSGPHQIVPGGFPYVHAIPTVVQVKPAEQKKQPAGE >ONI26227 pep chromosome:Prunus_persica_NCBIv2:G1:859245:867025:1 gene:PRUPE_1G011200 transcript:ONI26227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNRDARRAAMAAPNGLSRRRHRSSSLRDSPEDDGPVELQETSRLRDRKKDRDRDRDRERDRDRDRDRDRDRLSRSKRRRGERLMHGSNREDGGDDSSEESVNDEDEDEDDDGGGGVGVSGGSIRMLPPNPSSTTSISSSLLNHRKSFSPVNNMSSNNKHFRPHPALKVTDEMIGVSVPRKARSASTKRSHEWPSSCGVVGGDQIHRQASTSPVRPATSSMAAPSPSSPSSSHASAVRKKLKPNGPKLRPPKMSSSAKTTSSNQDEIEIEIAEVLYGMQRQPQGPTKQEIVVTDSIKFESREANKSTSDAKSRVSSPISNSPCALPQLPSAFTQNSSSSVTSLSAVAPKRKRPRPVKYDDENPSIFTIQNSAISTTSKVVTDQPSKVETSSPKLERNPGSAAENGGFSYNLANSHAVPASSEAQPEPDVPESKAASDSKPANDESDGQNVQVSKEEPQSPKKESPALRLDDNRQDMTMTKANTTVSEIENQREEKFQIDLMAPPERDGEVDFISVDPKPTVIDAETEIKPMTREDDKVVKFGKEENANVETEKCKAAVEEAEFKKPIVGSKERNIDLQLDLEKTDRDSGTACFSGNKLHHNVAKQQQNTEKTVQSSSVPLPMSVAAWPGGLPPMGYMAPLQGVVSMDGSTVSSAAIQPPHLLFNQPRPKRCETHCYIARNIYYHQQMSRMNPFWPVAAGSGSLYGGKHCNPNVLPPELHGNIPGRGVNSAQDKGQGLAMFPGPSAKDKSSQTANLVDAQRKQIVLQQALPPGAPSNILHGPAFIFPLNQQQAAAAASVRPASVKSPNAGAAALSSTSNSAPMTAAATAAPAPAMSFNYPNMTGNEPQYLAILQNNAYPFTMPTHVGAPPAYRGPHAQPMPYFNGSFYSSQMLHPSHLQQQQQQPPSQSQQSQQGHQNPSISSGSSSSQKHLQNQQQRPHPSGVNGGSGSLQGFPTSKNPSSQALQLQQQQRQQQQNPHPPHQARQLEPEMGGEDSPSTADSRVSRANMNIYGQNFAMPMRPPNFPLMTPPSSGSASGATGASGTEKKPQQQQQGPKTGVEASQAFAMSFASMNGATAATGIDLTSLAQNHAILQSFPEVRQSYQQFMAVQAVQHKKSYRVPEEGKTGGGDSPNVEEERKAMGGKASSTLGHSIAFSRTDLTDTSGSTIQSNNVIDSSTRTLNLSSTPGRTSSSILPPAVSSVNAPTSQQQQMQQQMRNQQQQQQMIQLQKQQFSAAGRSKTPATSNGSVYSDHLPSTSSMAAKFPNALSSFPQNLVQSSSSPAQSPQWKNSARTTTSQVPSSSLASSTSSSLKNLPQKHARTQQSHTQISFAANTKSSTQSQGLQPASSNQSPSPPVMVGSPTPTTSSMSKSAGGSPRTTTSTSTGNKAGQASSLSSQQAKNSPSVPSQKSSPVGGRNVPSILGVNTHITSPSTGTKSQLPQQQQQLQQQQQHQLQQQQHQHQQQQHQHQQQLSKQSIQQAQLFFSNPYIQAQASHSNNATSTAPSSGYYHNIQRRRPEQQQPPQGSSGSSSSGMLSLCPPVTHSNTSTTDPAKAAAAAAANNMKGSGLSSQTLIHHAQFAAAQSSGPHQIVPGGFPYVHAIPTVVQVKPAEQKKQPAGE >ONI31919 pep chromosome:Prunus_persica_NCBIv2:G1:31935216:31945664:1 gene:PRUPE_1G339200 transcript:ONI31919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTEWQLPYRILGAEEEEESSSSTTSDPTDAVAFVGLSLVLGIACRHLLRGTRVPYTVALLILGIALGSIEYGTHHQMGKIGEGIRIWANIDPDLLLAVFLPALLFESSFSMEVHQIKRCMVQMIILAGPGVLISTFCLGSALKLTFPYGWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGKSYDWVEIIKFLSQVSLGAVGIGLAYGIVSVLWLGFIFNDTVIEITLTLAVSYVAYFTAQEGVEVSGVLTVMTLGMFYAAVARTAFKGESQQSLHHFCGVVIAEGVLSGENFLENGYSWAYLILLYVYIQVSRFIVVGVSFPLLRYFGYGLDWKEAIILIWSGLRGAVALSLSLSVKRTSDSSSLLSSDTGFLFVFFTGGIVFLTLIVNGSTTQFVLRLLDMDKLSAAKRRVLEYTKYEMLNKALEAFGDLGDDEELGPADWPTVRGYIASLNNVDSEHVHPHAASERDNNRDLTNLKDIRERLLNGVQAAYWSMLDEGRITQSTANILMQSVDEAIDLVSDEPLCDWKGLKAHVHFPNYYKFHKTSICPQKLVTYFTVQRLESACYICASFLRAHRIARQQLHDFIGDSEVASVVINESEAEGEEAKKFLEDVRVTFPQVLRVVKTRQVTYSVLNHLIDYLQNLEKVGLLEEKEMLHLHDAVQTDLKKLLRNPPLVKIPKINDLISLHPLMGALPPSVREPLEGSTKETMKLRGVTLYREGSKPTGIWLLSTGVVKWISKSIKNKHSLHPTFTHGSTLGLYEVLTGKPYICDMITDSVVLCFCIETHKILSVLQSDPSVEHFLWQESAIALVKLFLPQIFEKMAMQDLRALVAERSMMTIYIRGESFEIPYRSIGFLLEGFVKTQGVQEELITSPAPLLPPHGYQSFPNLEASGTRGASFSHLGSSYLVETRSRVIIFDIAAFESDSTLIRRPSSFVTHAVDHPHRSISGEHSGLMSWPEHFYKAKQQKQNPEGIELQANSLSARAMQWSIYGSMVNVRRRNRSFPRSDRIKPLHTVSYPSVPAYQGPPHNVSYPSVPSYHGRPLVSVRSEGATTVRKNLEVRKFTGQMSPPEPGERSRDPHKSHAVVEDYSSDESGGEDDVIVRIDSPSRLSFRRAP >ONI31918 pep chromosome:Prunus_persica_NCBIv2:G1:31935216:31945664:1 gene:PRUPE_1G339200 transcript:ONI31918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTEWQLPYRILGAEEEEESSSSTTSDPTDAVAFVGLSLVLGIACRHLLRGTRVPYTVALLILGIALGSIEYGTHHQMGKIGEGIRIWANIDPDLLLAVFLPALLFESSFSMEVHQIKRCMVQMIILAGPGVLISTFCLGSALKLTFPYGWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGKSYDWVEIIKFLSQVSLGAVGIGLAYGIVSVLWLGFIFNDTVIEITLTLAVSYVAYFTAQEGVEVSGVLTVMTLGMFYAAVARTAFKGESQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLSGENFLENGYSWAYLILLYVYIQVSRFIVVGVSFPLLRYFGYGLDWKEAIILIWSGLRGAVALSLSLSVKRTSDSSSLLSSDTGFLFVFFTGGIVFLTLIVNGSTTQFVLRLLDMDKLSAAKRRVLEYTKYEMLNKALEAFGDLGDDEELGPADWPTVRGYIASLNNVDSEHVHPHAASERDNNRDLTNLKDIRERLLNGVQAAYWSMLDEGRITQSTANILMQSVDEAIDLVSDEPLCDWKGLKAHVHFPNYYKFHKTSICPQKLVTYFTVQRLESACYICASFLRAHRIARQQLHDFIGDSEVASVVINESEAEGEEAKKFLEDVRVTFPQVLRVVKTRQVTYSVLNHLIDYLQNLEKVGLLEEKEMLHLHDAVQTDLKKLLRNPPLVKIPKINDLISLHPLMGALPPSVREPLEGSTKETMKLRGVTLYREGSKPTGIWLLSTGVVKWISKSIKNKHSLHPTFTHGSTLGLYEVLTGKPYICDMITDSVVLCFCIETHKILSVLQSDPSVEHFLWQESAIALVKLFLPQIFEKMAMQDLRALVAERSMMTIYIRGESFEIPYRSIGFLLEGFVKTQGVQEELITSPAPLLPPHGYQSFPNLEASGTRGASFSHLGSSYLVETRSRVIIFDIAAFESDSTLIRRPSSFVTHAVDHPHRSISGEHSGLMSWPEHFYKAKQQKQNPEGIELQANSLSARAMQWSIYGSMVNVRRRNRSFPRSDRIKPLHTVSYPSVPAYQGPPHNVSYPSVPSYHGRPLVSVRSEGATTVRKNLEVRKFTGQMSPPEPGERSRDPHKSHAVVEDYSSDESGGEDDVIVRIDSPSRLSFRRAP >ONI26395 pep chromosome:Prunus_persica_NCBIv2:G1:1515587:1517416:-1 gene:PRUPE_1G022100 transcript:ONI26395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENISDQREESGVPNQDSQSLDLEALQATSELNKFTMDDKGSASISTEEIVGRDQRTELVVEEEKSPNMSGVLLGRTEGLKSEEEDAVKAKFLNGKENSTEDGSVCGQENSTEDGAVADQQGLHPPLHSEIAEQKHAADSELRHSCSSEGDGKEIVGSDEIVKGEVVESVFLNEKMVGSDENLGLITGSGSVGGQEKTENGKGVVTDQQDLNNLVPEISEKEQIGSGSGSSLSGSGGVTDQNFEFGMRADDVTADQRANEADESNQEWRDIKEAIMRADQLDLESIGLKIERRVRASVEDMVRYPQMNSKTMSLSIGDWERILEADQVPMQRELGELKKKNVEITFENLSLEAQIDGEQRKMQALKALSEYMEGKLGVIKEAELDKGKTIAELEEETKTEVEKEKNEIHELQELVNVEESKETELKVEMPSKTPGLLHRPKLLMSAGIVITAILYTALKMKRR >ONI35495 pep chromosome:Prunus_persica_NCBIv2:G1:44082512:44088493:-1 gene:PRUPE_1G539400 transcript:ONI35495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFQLDPAKWGVNVQSLSGSPANFQAYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYERIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGVKNINKQGQEVLYDYEDKINQAVFPGLQGGPHNHTISGLAVALKQVKTPEYKAYQEQVLSNCSKFAESLLEKGYDLVSGGTENHLVLVNLRDKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFLEEDFAKVAEYFDAAVKLALKIKAAAKGTKLKDFVAILESDGEIQSEIAKLRHEVEQYAKQFPTIGFEKETMKYGE >ONI35494 pep chromosome:Prunus_persica_NCBIv2:G1:44082301:44088693:-1 gene:PRUPE_1G539400 transcript:ONI35494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMALRRLSSSINKPRQPFVNGGSIYHMSSLSSQAAQDKEKARATWIKQLNEPLEVVDPEISNIIELEKSRQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFQLDPAKWGVNVQSLSGSPANFQAYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYERIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGVKNINKQGQEVLYDYEDKINQAVFPGLQGGPHNHTISGLAVALKQVKTPEYKAYQEQVLSNCSKFAESLLEKGYDLVSGGTENHLVLVNLRDKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFLEEDFAKVAEYFDAAVKLALKIKAAAKGTKLKDFVAILESDGEIQSEIAKLRHEVEQYAKQFPTIGFEKETMKYGE >ONI35492 pep chromosome:Prunus_persica_NCBIv2:G1:44082614:44088674:-1 gene:PRUPE_1G539400 transcript:ONI35492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMALRRLSSSINKPRQPFVNGGSIYHMSSLSSQAAQDKEKARATWIKQLNEPLEVVDPEISNIIELEKSRQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFQLDPAKWGVNVQSLSGSPANFQAYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYERIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGVKNINKQGQEVLYDYEDKINQAVFPGLQGGPHNHTISGLAVALKQVKTPEYKAYQEQVLSNCSKFAESLLEKGYDLVSGGTENHLVLVNLRDKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFLEEDFAKVAEYFDAAVKLALKIKAAAKGTKLKDFVAILESDGEIQSEIAKLRHEVEQYAKQFPTIGFEKETMKYGE >ONI35493 pep chromosome:Prunus_persica_NCBIv2:G1:44082166:44088711:-1 gene:PRUPE_1G539400 transcript:ONI35493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMALRRLSSSINKPRQPFVNGGSIYHMSSLSSQAAQDKEKARATWIKQLNEPLEVVDPEISNIIELEKSRQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFQLDPAKWGVNVQSLSGSPANFQAYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYERIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGVKNINKQGQEVLYDYEDKINQAVFPGLQGGPHNHTISGLAVALKQVKTPEYKAYQEQVLSNCSKFAESLLEKGYDLVSGGTENHLVLVNLRDKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFLEEDFAKVAEYFDAAVKLALKIKAAAKGTKLKDFVAILESDGEIQSEIAKLRHEVEQYAKQFPTIGFEKETMKYGE >ONI32749 pep chromosome:Prunus_persica_NCBIv2:G1:34435889:34436546:1 gene:PRUPE_1G383400 transcript:ONI32749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFITKMGPLLLWLLLFFNAFAIHFSYHIPRHGSHYRRMCPPPLPPRRRPPPPPPPAWFYFYSPPPPSPPHSPHRPSSPPPRPPNP >ONI33662 pep chromosome:Prunus_persica_NCBIv2:G1:37366066:37367407:1 gene:PRUPE_1G439300 transcript:ONI33662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSPEVSLEVLPYLRVLKDGTIDRIAGTQVFPAGLDPQTGVLSKDIVLIPETGVSARLYIPNNITKTDHKLPLIVYFHGGAFFISSAADPLYHNSLNMLVAEAKAIAVSVNYRLAPEHPLPTAYEDSWAALNWVFGGGEDGDLWVKEHVDFGRVFLVGDSAGANIAHHLALRVKASDADPKVKIAGIGLVHPYFWGKEPIGGEVTDLVRKSMVDKWWQFVCPSEKAGDDPLINPFGDGAPSVEGLACGKVLVLVAGKDILRDRGRLYYDELVKSSWRGRKEYTETEGEDHVFHIFNPNCEKAKSLIKHLASFINQD >ONI33684 pep chromosome:Prunus_persica_NCBIv2:G1:37437643:37441480:1 gene:PRUPE_1G440700 transcript:ONI33684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNGSDSSVQQQEQSNQRPPPQQQQAAQTQAPPPQQWMAMQYPAAAMVMQHQMMPPQHYATPPPPPPQHYMAYHQYQQQQQHVQHLQQQQQLGSSGENKTIWVGDLHHWMDENYLHTCFASTGEIASIKVIRNKQTLLSEGYGFVEFFSHATAEKVLQTYTGILMPNTEQPFRLNWATFSTGDKRSDNAPDLSIFVGDLAADVTDSLLHETFSSKYPSVKAAKVVFDANTGRSKGYGFVRFGDENERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQYSSQGGYVSNGTPTQGFQSDGDSTNTTIFVGGLDPNVTDEDLRHPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALPKLNGTVIGKQTVRLSWGRNPANNSLERILATNGPELTMEVLFMMVMVMLCHHIMTQACMLRPHMEPIQFMAPTNNK >ONI33682 pep chromosome:Prunus_persica_NCBIv2:G1:37437324:37441599:1 gene:PRUPE_1G440700 transcript:ONI33682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNGSDSSVQQQEQSNQRPPPQQQQAAQTQAPPPQQWMAMQYPAAAMVMQHQMMPPQHYATPPPPPPQHYMAYHQYQQQQQHVQHLQQQQQLGSSGENKTIWVGDLHHWMDENYLHTCFASTGEIASIKVIRNKQTLLSEGYGFVEFFSHATAEKVLQTYTGILMPNTEQPFRLNWATFSTGDKRSDNAPDLSIFVGDLAADVTDSLLHETFSSKYPSVKAAKVVFDANTGRSKGYGFVRFGDENERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQYSSQGGYVSNGTPTQGFQSDGDSTNTTIFVGGLDPNVTDEDLRHPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALPKLNGTVIGKQTVRLSWGRNPANKQQFRTDFGNQWAGAYYGGPVYDGYGYALPPHHDPSMYAAAAYGAYPVYGTHQQQVS >ONI33683 pep chromosome:Prunus_persica_NCBIv2:G1:37437463:37441480:1 gene:PRUPE_1G440700 transcript:ONI33683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNGSDSSVQQQEQSNQRPPPQQQQAAQTQAPPPQQWMAMQYPAAAMVMQHQMMPPQHYATPPPPPPQHYMAYHQYQQQQQHVQHLQQQQQLGSSGENKTIWVGDLHHWMDENYLHTCFASTGEIASIKVIRNKQTLLSEGYGFVEFFSHATAEKVLQTYTGILMPNTEQPFRLNWATFSTGDKRSDNAPDLSIFVGDLAADVTDSLLHETFSSKYPSVKAAKVVFDANTGRSKGYGFVRFGDENERSQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQYSSQGGYVSNGTPTQGFQSDGDSTNTTIFVGGLDPNVTDEDLRHPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALPKLNGTVIGKQTVRLSWGRNPANKQFRTDFGNQWAGAYYGGPVYDGYGYALPPHHDPSMYAAAAYGAYPVYGTHQQQVS >ONI27964 pep chromosome:Prunus_persica_NCBIv2:G1:9042512:9045797:-1 gene:PRUPE_1G113900 transcript:ONI27964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKSPREKDSEVDLESGVVVSEEDSSDSPVSSAKKQAKTLISKVCGGLLDGSVKVEERVSLCGNGSNSNGVSPENLKVATNKMLQGNEGTDHVEKTRVKDKRKKMTNKKPPKPPRPPRGPSLDAADQKLIKELSEIAMWKRARIDRMKALKKMKAAKASSSNSSIFAMVFTILFCLVLIFQGISSRRSSPVSFEGSPVSGGGMEGSLISVQYYPNLSSTAPNGPGSESPNIVEQVAGSDPREKLKRWAG >ONI27965 pep chromosome:Prunus_persica_NCBIv2:G1:9042536:9046153:-1 gene:PRUPE_1G113900 transcript:ONI27965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKSPREKDSEVDLESGVVVSEEDSSDSPVSSAKKQAKTLISKVCGGLLDGSVKVEERVSLCGNGSNSNGVSPENLKVATNKMLQGNEGTDHVEKTRVKDKRKKMTNKKPPKPPRPPRGPSLDAADQKLIKELSEIAMWKRARIDRMKALKKMKAAKASSSNSSIFAMVFTILFCLVLIFQGISSRRSSPVSFEGSPVSGGGMEGSLISVQYYPNLSSTAPNGPGSESPNIVEQVAGSDPREKLKRWAG >ONI27966 pep chromosome:Prunus_persica_NCBIv2:G1:9042536:9046170:-1 gene:PRUPE_1G113900 transcript:ONI27966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKSPREKDSEVDLESGVVVSEEDSSDSPVSSAKKQAKTLISKVCGGLLDGSVKVEERVSLCGNGSNSNGVSPENLKVATNKMLQGNEGTDHVEKTRVKDKRKKMTNKKPPKPPRPPRGPSLDAADQKLIKELSEIAMWKRARIDRMKALKKMKAAKASSSNSSIFAMVFTILFCLVLIFQGISSRRSSPVSFEGSPVSGGGMEGSLISVQYYPNLSSTAPNGPGSESPNIVEQVAGSDPREKLKRWAG >ONI33280 pep chromosome:Prunus_persica_NCBIv2:G1:36074604:36077969:-1 gene:PRUPE_1G414500 transcript:ONI33280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSKSKTPREMQDNTSTVEEEKASSSAKKPTSEIDEVFAGKKRKGPETEKAKKPVEDGIEKHSSSAKKRTSEIDEIFAGKKRKKPETEKSEKPKNPNGDGIENPNKLNKKKKDKRIRDGGFGDLLSKPKKRMQDGFAIYTEEELGINKADAGSTPLCPFDCSCCF >ONI27760 pep chromosome:Prunus_persica_NCBIv2:G1:8279564:8285900:-1 gene:PRUPE_1G103800 transcript:ONI27760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENPADGNVNKKQGTTESKGHPAVEDSQNNLQDTSKSKETKTVPYYKLFSFADSLDYLLMSVGTISAIGNGVCMPLMTIIFGDMVNSFGGTENNKEVVDVVSKVALKYVYLAVGAASASFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKETNTGEIVGRMSGDTVLIQEAMGEKVGSFIQLIATFVGGFIIAFIKGWLLTLVMLSSIPLLVLSGAIIGIIISKVASRQQTAYSVAATVVEQTIGSIRTVASFTGEKQAIANYNSSLIKAYNSGVQEGLASGFGIGSVMLIIMCSYALAVWFGGKMILEKGYTGGEVMNVVFAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDAYDTNGQQLHDIRGDIELKDVCFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPQAGEVLIDDINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPKGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRVLLLDEATSALDAESERVVQEALDRIMINRTTVIVAHRLSTVRNADTIAVIHRGKIVEKGPHSELIKDPEGAYSQLIRLQEMSTVSEQTAINDHERLSRVDSRRHSSLDSRRHSSQRFSNLRSISRGSSGRGNSSRHSFSNSYGVPIGVLETASAEPDIPASTSSTVPPEVSLSRLAYLNKPEIPILLLGTIAAAANGVILPIFGIMISSIIKTFFEPPHQLRKDSKFWALIFLVLGVGSFIAQPSRQHLFAVAGCKLIKRVRSMCFEKVVYMEVSWFDDPEHSSGAIGARLSADAASLRGLVGDALGLLVQNLATAIAGLCIAFVANWQLALIILVLLPLLGVNGYFQIKFMKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRQGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALTMTAVGVSQSGSLTLDLSKGKSSASSIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDLPIFQDLCLTIHHGETVALVGESGSGKSTVVSLLQRFYEPDSGHITLDGIEIQKLQLKWLRQQIGLVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTVVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDVESERVVQDALDRIMVDRTTVVVAHRLSTIKGADVIAVVKNGVIAEKGKHETLINIKDGIYASLVALHASASS >ONI27759 pep chromosome:Prunus_persica_NCBIv2:G1:8279564:8286466:-1 gene:PRUPE_1G103800 transcript:ONI27759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENPADGNVNKKQGTTESKGHPAVEDSQNNLQDTSKSKETKTVPYYKLFSFADSLDYLLMSVGTISAIGNGVCMPLMTIIFGDMVNSFGGTENNKEVVDVVSKVALKYVYLAVGAASASFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKETNTGEIVGRMSGDTVLIQEAMGEKVGSFIQLIATFVGGFIIAFIKGWLLTLVMLSSIPLLVLSGAIIGIIISKVASRQQTAYSVAATVVEQTIGSIRTVASFTGEKQAIANYNSSLIKAYNSGVQEGLASGFGIGSVMLIIMCSYALAVWFGGKMILEKGYTGGEVMNVVFAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDAYDTNGQQLHDIRGDIELKDVCFSYPARPDEQIFDGFSLSIPSGATAALVGESGSGKSTVISLIERFYDPQAGEVLIDDINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPKGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRVLLLDEATSALDAESERVVQEALDRIMINRTTVIVAHRLSTVRNADTIAVIHRGKIVEKGPHSELIKDPEGAYSQLIRLQEMSTVSEQTAINDHERLSRVDSRRHSSLDSRRHSSQRFSNLRSISRGSSGRGNSSRHSFSNSYGVPIGVLETASAEPDIPASTSSTVPPEVSLSRLAYLNKPEIPILLLGTIAAAANGVILPIFGIMISSIIKTFFEPPHQLRKDSKFWALIFLVLGVGSFIAQPSRQHLFAVAGCKLIKRVRSMCFEKVVYMEVSWFDDPEHSSGAIGARLSADAASLRGLVGDALGLLVQNLATAIAGLCIAFVANWQLALIILVLLPLLGVNGYFQIKFMKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRQGLISGIGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALTMTAVGVSQSGSLTLDLSKGKSSASSIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDLPIFQDLCLTIHHGETVALVGESGSGKSTVVSLLQRFYEPDSGHITLDGIEIQKLQLKWLRQQIGLVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTVVGERGIQLSGGQKQRVAIARAIMKAPKILLLDEATSALDVESERVVQDALDRIMVDRTTVVVAHRLSTIKGADVIAVVKNGVIAEKGKHETLINIKDGIYASLVALHASASS >ONI31448 pep chromosome:Prunus_persica_NCBIv2:G1:30373672:30377908:1 gene:PRUPE_1G313900 transcript:ONI31448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSKEEFMVYNSMTRQKESFKPKVPGKVGMYVCGVTAYALSHLGHGRAAVNFDVLYRYLQHLGYEVTYVRNFTDVDDKIINRANEVGEDPLSLSNRFCQEYLKDMGDLQCLLPTHQPRVSDHMEHIKDLITQIINKDYAYAVDGDVFFAVEKFPNYGQLSGQRLEHNRAGERVAVDSRKRNPADFALWKSAKPGEPSWDSPWGPGRPGWHIECSAMSAHYLTFNFDIHGGGIDLIFPHHENEIAQSCAACQESSVSYWMHNGHVTNNNEKMSKSLGNFFTISEITERYHPLALRHFLISAHYRSPLNYTVSQLEGSSDAVYYIYQTLQDCEDALSPFQEGSLKEGTEKNGRTVKITPAAQECISKLHNEFETKMCDDLNTAHILTGAFQDALKLINSSLNLLKKKQQRQQQLLMIQSLVEIKKEVQELLNILGLLSSDTYSEVLQQFKMKALKRAGLVEDDVLDQIKERTLARKNKDFAKSDQIRAYLTTKGIALMDLGKETIWRPCVPAGEQPSLPNEQ >ONI26931 pep chromosome:Prunus_persica_NCBIv2:G1:3982211:3983553:-1 gene:PRUPE_1G056000 transcript:ONI26931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKLHGFWSSPFSCRVIWALKLKGIPYDYIEEDLHKKSPELLKYNPVHKKIPVLVHGGRPICESMIIVEYIEETWPHKHPFLPTDPYERAVARFWVKYVEEKGPAIWMVSQTTGDEQVKFKKESLEVLRTIDNHAAGTLGKNKFFGGDNIGILDIALGYIAHWTEVIEDVAGVKLFEAHAFPYLHAWTQNFKEVPAIKENLPDRDKMLVHFKGGRE >ONI33986 pep chromosome:Prunus_persica_NCBIv2:G1:38320743:38326314:-1 gene:PRUPE_1G457300 transcript:ONI33986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFKGQSRLPKFAVPKRYDITLKPDLTGCSFGGSVAVQLDIVCDTRFIVLNAADLSVDAASVSFASSKVIFKPWKVETFEEDGILVLEFGETLPVGSGVLGIGFEGKLNDKMKGFYTSTYEHNGEKKNMAVTQFEPVDARRCFPCWDEPAWKATFKIRLDDVPSELVALSNMPVVEEKVHGHLKTVSFQESPIMSTYLVAVVVGLFDYVEDRTSDGVKVRVYCQVGKANQGKFALNVAAKTLEFYRDYFAVPYSLPKLDMVAIPDFPGAMENYGLVTYQETDLLFDEQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWKMWTKFLDEITLGLKLDGLEGSHPIEVEINHASEVDEIFDAISYRKGASVIRMLQNYLGAECFQRSLASYITKHASSNAKTEDLWAALQEGSGEPVNKLMNSWTQQKGYPVISVKVKDQKLEFDQTQFYSSGSQGDGQWIVPITLCSGSYDVRKSFLLQKKFETFDVKEFLGCSIAGTGCRGSLCSWIKVNVDQTGFYRVKYEEELSAALRSAIEKKHLSATDRFGVLDDSFALSMARQQSFASLLTLLGAYREELDCTVLSNLIHISYKLATIAADAVPELLDLINQLFIGLFQYSAEKLGWEPKPGESHLDAMLRGDILTALAVFGHDLTIDEASRRFHAFLDDRNTPLLPPDIRKAVYVAVMQRVSMSERSGYESLLGVYRETDLREEKTRILRSLASCPDPNIILEFLNFLLSSEVRRQDAALGLSVSSKGRETAWTWLKDNWEHISETWSSGYLLRFVSAIISPLASFEKVKEVDEFFKVHPDLSITRTLKQSIERVQINAKWVQSVGSEKNLADIVKELAHRKY >ONI29077 pep chromosome:Prunus_persica_NCBIv2:G1:15526181:15527023:1 gene:PRUPE_1G179600 transcript:ONI29077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNGCTMPAGCSSVNSRVCKPSNTGGFVLSCIPRFQTGVASPCVSSWRAHQDAFAAHVHRGMVRN >ONI32297 pep chromosome:Prunus_persica_NCBIv2:G1:33196546:33198102:1 gene:PRUPE_1G359300 transcript:ONI32297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPSFLAKPPSSLSSIQKTSGLYRHCSFLSLVNQQKPLSTNLEANQSHFNSFITKATAAPGTKKVESDERVQRVHSIQEFDEALETAKNKLVVVEFAASHSAQSRDMYPFMVDLSRTCNDVEFLLVMGDESEKTRELFRREKIEKVPHFSFYKSMEKIHEEEGIDGDRLRGDVLYYGDNHSAVVQLHQREDVEKLIAEHKVDHKLIVLDVGLKHCGPCVKVYPTVVKLSRKMSDTVVFARMNGDENDSCLQFLKDMEVVEVPTFLFIRDGEICGRYVGSGKGELIGEILRYQGVRVTY >ONI26853 pep chromosome:Prunus_persica_NCBIv2:G1:3554351:3558017:1 gene:PRUPE_1G050700 transcript:ONI26853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANIIVQERDHNDVFAERDNSSSIASGLSLLCFFSLQIVHLSPQFRLFILPTAATLRYVRESEEIRLAMRPLELIKRVKEIQQEVYAKPETVKEKDTKQTVAGDLSKRLKDLHSVNDATSFKALEEWRKRKMERARLRELEKNGTLASQA >ONI29045 pep chromosome:Prunus_persica_NCBIv2:G1:15182868:15185678:1 gene:PRUPE_1G177500 transcript:ONI29045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTLVLSTLFSYHPHQTLNPNKNKSPFSSHTKRPFSSLTLKVSTFVKPKQPPPFHSPHNHQIRLSRQFRAYITVRDGADDGGGGDGDGNEQPVVGEDSAEFILSKQKISSWVYFTAILGSVLFILNVAWIDNSTGFGKPFVDAVSELSDSKEVVMLILILIFAIFHSGLASLRDTGEQLIGERAYRVLFAGTSLPLAVSTVVYFINHRYDGVQLWELKNAFGIHEFVWLSNFISFLFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQLVGQVIWCLAHTIWIGNSVAVAASVGLIGHHLFGAWNGDRRLAVRHGEAFEVVKKRTSIIPFAAILDGRQKLPKDYYKEFIRLPYLSITVLTLGAYFAHPLMQAASFRLHW >ONI34445 pep chromosome:Prunus_persica_NCBIv2:G1:40256379:40256768:-1 gene:PRUPE_1G482500 transcript:ONI34445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKQLSATILIFSILFYSSTFSLACHTCKPHPMPPAPAPQPETCPKDTLKLGVCMDLLGLVNLPVGTPPTSKCCALLEGLADMEAALCLCTVIKNNVLGLVNLEVPVALSLLVSACQKSVPPGFKCE >ONI32372 pep chromosome:Prunus_persica_NCBIv2:G1:33459319:33464335:1 gene:PRUPE_1G363900 transcript:ONI32372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWTIPSALLLLCLLSLLPDQGRKLQANAEDSSEELVDPPKVEDKIGAVPNGLSTDSDVAKREAESISKRSLRSNAEQFQFQAEVSRLMDIIIHSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDDTNLEIQIKLDKEKRILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADNVEVISKHNDDKQHVWESKADGSFVVSEDTYNEPLGRGTEIRLHLSEEAGEYLEESKLKELVKKYSEFINFPIHLWASKEVDVEVPADEDESSDEEESPESKSSEEETEKSEDEDEDSEKKPKTKKIKETTYEWELLNDVKAIWLRNPKEVTDEEYTKFYHSLSKDFGDEKPLSWSHFTAEGDVEFKAVLFVPPKAPHDLYESYYNANKSNLKLYVRRVFISDEFDELLPKYLNFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDESNDKDKKELEKSSDDDEKRGQYTRFWNEFGKSIKLGIIEDAANRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGASKEQLEKSPFIERLKKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKDKEVKESYKELTKWWKSALASDNVDDVKLSNRLADTPCVVVTSKYGWSANMERIMQSQTLSDASKQAYMRGKRILEINPRHPIIKELRERVVKNAEDESVKQTAQLIYQTALMESGFNLPDPKDFASRIYSSVKSSLNINPDVAVEEEEDVEEVETETATNEATATPEADADTLKDEL >ONI32373 pep chromosome:Prunus_persica_NCBIv2:G1:33459319:33464054:1 gene:PRUPE_1G363900 transcript:ONI32373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIIHSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDDTNLEIQIKLDKEKRILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADNVEVISKHNDDKQHVWESKADGSFVVSEDTYNEPLGRGTEIRLHLSEEAGEYLEESKLKELVKKYSEFINFPIHLWASKEVDVEVPADEDESSDEEESPESKSSEEETEKSEDEDEDSEKKPKTKKIKETTYEWELLNDVKAIWLRNPKEVTDEEYTKFYHSLSKDFGDEKPLSWSHFTAEGDVEFKAVLFVPPKAPHDLYESYYNANKSNLKLYVRRVFISDEFDELLPKYLNFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDESNDKDKKELEKSSDDDEKRGQYTRFWNEFGKSIKLGIIEDAANRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGASKEQLEKSPFIERLKKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKDKEVKESYKELTKWWKSALASDNVDDVKLSNRLADTPCVVVTSKYGWSANMERIMQSQTLSDASKQAYMRGKRILEINPRHPIIKELRERVVKNAEDESVKQTAQLIYQTALMESGFNLPDPKDFASRIYSSVKSSLNINPDVAVEEEEDVEEVETETATNEATATPEADADTLKDEL >ONI26303 pep chromosome:Prunus_persica_NCBIv2:G1:1141696:1142988:-1 gene:PRUPE_1G016000 transcript:ONI26303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNSLPSPADKYTKPTSSFFTSPRLFTSFTSKGYSETDAVMSPTSILETKPFFGLRNPFWSESNTPRTPEPETKRPWDKLDPKGIGLAIVDALNDDGSNPKPSKPESRMVIFGSQLKIQIPHLQPSVLSPSDSPKSAADFSIRTKNSQLGSFSSVSSESPAKNSPFKSANSGLETMNSARVFTSCLSVSEMELSEDYTCVISHGPNPKTTHIFDNCIVESSEGVPEFSPGGKVNGSSYLSESFLSFCDNCKKNLGPGKDIFMYRGEKAFCSRECRYQEMLLEEEGVDKL >ONI26304 pep chromosome:Prunus_persica_NCBIv2:G1:1141249:1144182:-1 gene:PRUPE_1G016000 transcript:ONI26304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNSLPSPADKYTKPTSSFFTSPRLFTSFTSKGYSETDAVMSPTSILETKPFFGLRNPFWSESNTPRTPEPETKRPWDKLDPKGIGLAIVDALNDDGSNPKPSKPESRMVIFGSQLKIQIPHLQPSVLSPSDSPKSAADFSIRTKNSQLGSFSSVSSESPAKNSPFKSANSGLETMNSARVFTSCLSVSEMELSEDYTCVISHGPNPKTTHIFDNCIVESSEGVPEFSPGGKVNGSSYLSESFLSFCDNCKKNLGPGKDIFMYRGEKAFCSRECRYQEMLLEEEGVDKL >ONI29601 pep chromosome:Prunus_persica_NCBIv2:G1:20407058:20407879:1 gene:PRUPE_1G204400 transcript:ONI29601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDMGKEGSNLTLRVINGTNLTELIIRLESLKKGSSGIKVLADTACIGLANYAVEEFGRDDISHRHVASARALSLHRPSYSCSYNNKG >ONI36010 pep chromosome:Prunus_persica_NCBIv2:G1:46116410:46117030:1 gene:PRUPE_1G564600 transcript:ONI36010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNSINFFSELEVNAIELLVQLSGSSCYNGGSTEEETDGKSRAPPPPRPRPSSSSFKYETLSEDGGEEEDVLSFGQRKKKFRSISDLYKQVDRAS >ONI35581 pep chromosome:Prunus_persica_NCBIv2:G1:44470794:44474171:-1 gene:PRUPE_1G544100 transcript:ONI35581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQSPSRNQRSKGFKVKHALQIFLLLAICIWLLYQLKHSHDKKAYEESSAKISGKMQNGQETIKLGRRSLHPRVEETSLDIGRHREKEEESEEEVDESKDEESEEEGRGAEDDEIDGHDQERAEDESEGVEDLIDEEDTEREEESEEKGNDLEIPRLLEDQAQNEDTRSTQEAREEQYKGDDASSAVKQNTQKLSSEIEVGSLRKVKEEEVDKENKTNGILDFRVDTDDSGPKFGNIGTAKYAAVDNAVYGEERGNHFGSSNLDVQAYTNSSINTIEQAKMSNDSTVALVEFADSLDGTEMLPKLYKDASLTSSRRHSYLEAVSGKENENPKSKDMQLGSSMTLSATENLDAPKQEMKVSIGSETESVVVSEAIADLEKSSAPKTTNENDQEVANV >ONI35580 pep chromosome:Prunus_persica_NCBIv2:G1:44470794:44474211:-1 gene:PRUPE_1G544100 transcript:ONI35580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQSPSRNQRSKGFKVKHALQIFLLLAICIWLLYQLKHSHDKKAYEESSAKISGKMQNGQETIKLGRRSLHPRVEETSLDIGRHREKEEESEEEVDESKDEESEEEGRGAEDDEIDGHDQERAEDESEGVEDLIDEEDTEREEESEEKGNDLEIPRLLEDQAQNEDTRSTQEAREEQYKGDDASSAVKQNTQKLSSEIEVGSLRKVKEEEVDKENKTNGILDFRVDTDDSGPKFGNIGTAKYAAVDNAVYGEERGNHFGSSNLDVQAYTNSSINTIEQAKMSNDSTVALVEFADSLDGTEMLPKLYKDASLTSSRRHSYLEAVSGKENENPKSKDMQLGSSMTLSATENLDAPKQEMKVSIGSETESVVVSEAIADLEKSSAPKTTNENDQEVANV >ONI27338 pep chromosome:Prunus_persica_NCBIv2:G1:5944184:5947018:-1 gene:PRUPE_1G081200 transcript:ONI27338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIVKPLWTLFSAIFLIYLVNGAAQPQVPCYFIFGDSLSDSGNNNGLFTVAKANFRPYGIDFPRGPTGRFSNGRNLVDAVAELLGLGSYIPPFATARGKEILKGVNYASGSAGIRNETGRQVTLYNFGARKIVLFGLGAIGSAPSEVAACGTKGSSCVAYINSAVQIFNGRLKSLVQELNSNLRNAKFIYIDYYGIGSSYALSRGSLITNVSCCGVKDGLNTCIPFQIPCRNRTRFMFWDGIHPTEATNVFIAARAYKAEFLTDAFPYDIHSLAQLS >ONI27339 pep chromosome:Prunus_persica_NCBIv2:G1:5944184:5947017:-1 gene:PRUPE_1G081200 transcript:ONI27339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIVKPLWTLFSAIFLIYLVNGAAQPQVPCYFIFGDSLSDSGNNNGLFTVAKANFRPYGIDFPRGPTGRFSNGRNLVDAVAELLGLGSYIPPFATARGKEILKGVNYASGSAGIRNETGRQVGQIISMAMQLQNHQSIVKQIASFRGNNYSSAEEHLGKCIYSVGIGTNDYFINYFVPLSSTSRQYTPQQYARVLIEQYSQQLRTLYNFGARKIVLFGLGAIGSAPSEVAACGTKGSSCVAYINSAVQIFNGRLKSLVQELNSNLRNAKFIYIDYYGIGSSYALSRGSLITNVSCCGVKDGLNTCIPFQIPCRNRTRFMFWDGIHPTEATNVFIAARAYKAEFLTDAFPYDIHSLAQLS >ONI30297 pep chromosome:Prunus_persica_NCBIv2:G1:25655122:25659861:-1 gene:PRUPE_1G242900 transcript:ONI30297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMMESVRLRVTFKERHILSKSQKTEGLKRSWVLLKPHHRTVSDLAAHLLHAFDLNASCPDGLLISMDGFVLPPFESTSIFRDKDIISVKRKGGTLSEIALVDDGTDSLEIEEIVERRPLNTGIKLLANEEFEKETGGYESESEEDEPYQLEDPLTVEDAPETGTSRRSKKRKLSNKPQSSKRKRIESATTEECSSFPEDLQNDVHAEKHQSRLLPRKSRSKKDKSFAGEDELDNLSTPQTDKRTNKTSKSTSNGKRSCQLQEEEVKGVVSSDTPGGSKKFPSRSARRKKAKRQWLREKLKGEKEELNKRQLLKPDNQQSSGKSNQRCPEEHQQPNTDNEEDEQSNADNDEGDEQPKTDNNEVHVQPSTDNDKEDDVVPVVIRPGYIRFENLGKVDADHPIQQNRTPVWNGITSKKRGQKWGMEKTPHSRKSDYKDLNPESPEALGSEKEIPVDDPIDFNKLELCTTLPEEGDQIAYRLIELSACWTPEVSSYRVGKVSWYDPQSNKIMLVQVPGYPIVFEETDDEASDVLPDASLYGEDGSLEVDYSSLIDVRIVKHGNLNTAKAVTGDQNAASGPRLNNNKEIHAAPQENGKVSAWDEISQALNAKKAELSQSDGWSRNEGLVRNSWSYRGLRGSALGPIVARLRTQSGL >ONI30300 pep chromosome:Prunus_persica_NCBIv2:G1:25655957:25659651:-1 gene:PRUPE_1G242900 transcript:ONI30300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMMESVRLRVTFKERHILSKSQKTEGLKRSWVLLKPHHRTVSDLAAHLLHAFDLNASCPDGLLISMDGFVLPPFESTSIFRDKDIISVKRKGGTLSEIALVDDGTDSLEIEEIVERRPLNTGIKLLANEEFEKETGGYESESEEDEPYQLEDPLTVEDAPETGTSRRSKKRKLSNKPQSSKRKRIESATTEECSSFPEDLQNDVHAEKHQSRLLPRKSRSKKDKSFAGEDELDNLSTPQTDKRTNKTSKSTSNGKRSCQLQEEEVKGVVSSDTPGGSKKFPSRSARRKKAKRQWLREKLKGEKEELNKRQLLKPDNQQSSGKSNQRCPEEHQQPNTDNEEDEQSNADNDEGDEQPKTDNNEVHVQPSTDNDKEDDVVPVVIRPGYIRFENLGKVDADHPIQQNRTPVWNGITSKKRGQKWGMEKTPHSRKSDYKDLNPESPEALGSEKEIPVDDPIDFNKLELCTTLPEEGDQIAYRLIELSACWTPEVSSYRVGKVSWYDPQSNKIMLVQVPGYPIVFEETDDEASDVLPDASLYGEDGSLEWCRLNCTDRWICNCHLHSHPMYILPPSLLQVHIAVNPHSTFETPRLVYEISIPWSNTKFFKFHFEAWGFCMI >ONI30301 pep chromosome:Prunus_persica_NCBIv2:G1:25655957:25659651:-1 gene:PRUPE_1G242900 transcript:ONI30301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMMESVRLRVTFKERHILSKSQKTEGLKRSWVLLKPHHRTVSDLAAHLLHAFDLNASCPDGLLISMDGFVLPPFESTSIFRDKDIISVKRKGGTLSEIALVDDGTDSLEIEEIVERRPLNTGIKLLANEEFEKETGGYESESEEDEPYQLEDPLTVEDAPETGTSRRSKKRKLSNKPQSSKRKRIESATTEECSSFPEDLQNDVHAEKHQSRLLPRKSRSKKDKSFAGEDELDNLSTPQTDKRTNKTSKSTSNGKRSCQLQEEEVKGVVSSDTPGGSKKFPSRSARRKKAKRQWLREKLKGEKEELNKRQLLKPDNQQSSGKSNQRCPEEHQQPNTDNEEDEQSNADNDEGDEQPKTDNNEVHVQPSTDNDKEDDVVPVVIRPGYIRFENLGKVDADHPIQQNRTPVETFQWNGITSKKRGQKWGMEKTPHSRKSDYKDLNPESPEALGSEKEIPVDDPIDFNKLELCTTLPEEGDQIAYRLIELSACWTPEVSSYRVGKVSWYDPQSNKIMLVQVPGYPIVFEETDDEASDVLPDASLYGEDGSLEWCRLNCTDRWICNCHLHSHPMYILPPSLLQVHIAVNPHSTFETPRLVYEISIPWSNTKFFKFHFEAWGFCMI >ONI30302 pep chromosome:Prunus_persica_NCBIv2:G1:25655957:25659651:-1 gene:PRUPE_1G242900 transcript:ONI30302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMMESVRLRVTFKERHILSKSQKTEGLKRSWVLLKPHHRTVSDLAAHLLHAFDLNASCPDGLLISMDGFVLPPFESTSIFRDKDIISVKRKGGTLSEIALVDDGTDSLEIEEIVERRPLNTGIKLLANEEFEKETGGYESESEEDEPYQLEDPLTVEDAPETGTSRRSKKRKLSNKPQSSKRKRIESATTEECSSFPEDLQNDVHAEKHQSRLLPRKSRSKKDKSFAGEDELDNLSTPQTDKRTNKTSKSTSNGKRSCQLQEEEVKGVVSSDTPGGSKKFPSRSARRKKAKRQWLREKLKGEKEELNKRQLLKPDNQQSSGKSNQRCPEEHQQPNTDNEEDEQSNADNDEGDEQPKTDNNEVHVQPSTDNDKEDDVVPVVIRPGYIRFENLGKVDADHPIQQNRTPVETFQWNGITSKKRGQKWGMEKTPHSRKSDYKDLNPESPEALGSEKEIPVDDPIDFNKLELCTTLPEEGDQIAYRLIELSACWTPEVSSYRVGKVSWYDPQSNKIMLVQVPGYPIVFEETDDEASDVLPDASLYGEDGSLEVLLVQSLKNYYNVAIISKWFQPSLSTSIRANIFIHQWCRLNCTDRWICNCHLHSHPMYILPPSLLQVHIAVNPHSTFETPRLVYEISIPWSNTKFFKFHFEAWGFCMI >ONI30299 pep chromosome:Prunus_persica_NCBIv2:G1:25655122:25659861:-1 gene:PRUPE_1G242900 transcript:ONI30299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMMESVRLRVTFKERHILSKSQKTEGLKRSWVLLKPHHRTVSDLAAHLLHAFDLNASCPDGLLISMDGFVLPPFESTSIFRDKDIISVKRKGGTLSEIALVDDGTDSLEIEEIVERRPLNTGIKLLANEEFEKETGGYESESEEDEPYQLEDPLTVEDAPETGTSRRSKKRKLSNKPQSSKRKRIESATTEECSSFPEDLQNDVHAEKHQSRLLPRKSRSKKDKSFAGEDELDNLSTPQTDKRTNKTSKSTSNGKRSCQLQEEEVKGVVSSDTPGGSKKFPSRSARRKKAKRQWLREKLKGEKEELNKRQLLKPDNQQSSGKSNQRCPEEHQQPNTDNEEDEQSNADNDEGDEQPKTDNNEVHVQPSTDNDKEDDVVPVVIRPGYIRFENLGKDHPIQQNRTPVETFQWNGITSKKRGQKWGMEKTPHSRKSDYKDLNPESPEALGSEKEIPVDDPIDFNKLELCTTLPEEGDQIAYRLIELSACWTPEVSSYRVGKVSWYDPQSNKIMLVQVPGYPIVFEETDDEASDVLPDASLYGEDGSLEWCRLNCTDRWICNCHLHSHPMYILPPSLLQVHIAVNPHSTFETPRLVYEISIPWSNTKFFKFHFEAWGFCMI >ONI30296 pep chromosome:Prunus_persica_NCBIv2:G1:25655122:25659862:-1 gene:PRUPE_1G242900 transcript:ONI30296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMMESVRLRVTFKERHILSKSQKTEGLKRSWVLLKPHHRTVSDLAAHLLHAFDLNASCPDGLLISMDGFVLPPFESTSIFRDKDIISVKRKGGTLSEIALVDDGTDSLEIEEIVERRPLNTGIKLLANEEFEKETGGYESESEEDEPYQLEDPLTVEDAPETGTSRRSKKRKLSNKPQSSKRKRIESATTEECSSFPEDLQNDVHAEKHQSRLLPRKSRSKKDKSFAGEDELDNLSTPQTDKRTNKTSKSTSNGKRSCQLQEEEVKGVVSSDTPGGSKKFPSRSARRKKAKRQWLREKLKGEKEELNKRQLLKPDNQQSSGKSNQRCPEEHQQPNTDNEEDEQSNADNDEGDEQPKTDNNEVHVQPSTDNDKEDDVVPVVIRPGYIRFENLGKDHPIQQNRTPVETFQWNGITSKKRGQKWGMEKTPHSRKSDYKDLNPESPEALGSEKEIPVDDPIDFNKLELCTTLPEEGDQIAYRLIELSACWTPEVSSYRVGKVSWYDPQSNKIMLVQVPGYPIVFEETDDEASDVLPDASLYGEDGSLEVDYSSLIDVRIVKHGNLNTAKAVTGDQNAASGPRLNNNKEIHAAPQENGKVSAWDEISQALNAKKAELSQSDGWSRNEGLVRNSWSYRGLRGSALGPIVARLRTQSGL >ONI30298 pep chromosome:Prunus_persica_NCBIv2:G1:25655122:25659861:-1 gene:PRUPE_1G242900 transcript:ONI30298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMMESVRLRVTFKERHILSKSQKTEGLKRSWVLLKPHHRTVSDLAAHLLHAFDLNASCPDGLLISMDGFVLPPFESTSIFRDKDIISVKRKGGTLSEIALVDDGTDSLEIEEIVERRPLNTGIKLLANEEFEKETGGYESESEEDEPYQLEDPLTVEDAPETGTSRRSKKRKLSNKPQSSKRKRIESATTEECSSFPEDLQNDVHAEKHQSRLLPRKSRSKKDKSFAGEDELDNLSTPQTDKRTNKTSKSTSNGKRSCQLQEEEVKGVVSSDTPGGSKKFPSRSARRKKAKRQWLREKLKGEKEELNKRQLLKPDNQQSSGKSNQRCPEEHQQPNTDNEEDEQSNADNDEGDEQPKTDNNEVHVQPSTDNDKEDDVVPVVIRPGYIRFENLGKVDADHPIQQNRTPVETFQWNGITSKKRGQKWGMEKTPHSRKSDYKDLNPESPEALGSEKEIPVDDPIDFNKLELCTTLPEEGDQIAYRLIELSACWTPEVSSYRVGKVSWYDPQSNKIMLVQVPGYPIVFEETDDEASDVLPDASLYGEDGSLEVDYSSLIDVRIVKHGNLNTAKAVTGDQNAASGPRLNNNKEIHAAPQENGKVSAWDEISQALNAKKAELSQSDGWSRNEGLVRNSWSYRGLRGSALGPIVARLRTQSGL >ONI27514 pep chromosome:Prunus_persica_NCBIv2:G1:6876229:6876814:-1 gene:PRUPE_1G091400 transcript:ONI27514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQFHIFLFVLYIILTSNSIVSATSQTHFFQQKPNNETILRVSKQLCWGCIGESLEFLFQHNLVRAAKWEMPLMWDFQLEKYAQWWAGIRKSDCKLEHSFPEGEFKLGENIYWGSGSTWTPSDAVKTWAAEEKYYTYVTNTCEAGQMCGHYTQIVWKSTRRVGCARAVCDDGDVFMTCNYDPVGNYIGERPY >ONI28950 pep chromosome:Prunus_persica_NCBIv2:G1:14221834:14224059:-1 gene:PRUPE_1G171100 transcript:ONI28950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMGTSILLSPNLSPCEEMVMCLSFNARFWGFMPLFWGFMPPFRFTSPDLPHSNPFGIVPWLPSDRFLLSSSRCTGFYGSGGDGDSRADLYSDPLCPPRSDSIYWLLQRSSKARNRVQSGICVELRHCYGSGACTTSFQSHGPVSLALGLL >ONI28949 pep chromosome:Prunus_persica_NCBIv2:G1:14221834:14224247:-1 gene:PRUPE_1G171100 transcript:ONI28949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMGTSILLSPNLSPCEEMVMCLSFNARFWGFMPLFWGFMPPFRFTSPDLPHSNPFGIVPWLPSDRFLLSSSRCTGFYGSGGDGDSRADLYSDPLCPPRSDSIYWLLQRSSKARNRVQSGICVELRHCYGSGACTTSFQSHGPVSLALGLL >ONI35349 pep chromosome:Prunus_persica_NCBIv2:G1:43426679:43427636:1 gene:PRUPE_1G531300 transcript:ONI35349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTMRKKIKIKKIDYLPARQVTFSKRRRGIFKKAEELSVLCESEVAVVIFSATGKLFDYSSSRSLEDFQQEYDSMLSSSSPQVGQWVVEP >ONI28112 pep chromosome:Prunus_persica_NCBIv2:G1:9751037:9753234:1 gene:PRUPE_1G123800 transcript:ONI28112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDNNKKKKIAIIAVSSLFLVAMVIAVTVGVTTSRSKGGSKSNDGQTTTSTKAIQAICQPTDYKETCQKSLESAGVNSTEPKELIKAGFKVAMDKLHEVIKNSTTLQELAKDPGANQALENCKELLDYAIGDLAASFDKLGPFDISKIDAYAEDLKIWLSAAMTYEQTCLDGFQNTTGDAGEKMKRLLNSTQELTSNGLAMVSEITSVLGSFNVKQSQRRLLAAGAGGDTKKNTPKIIPVWIDKRAMDVSRATPQSLKPDVTVAKDGSGQVKSIGEAVKQIPKNNADKTFVIYVKEGVYNEHVMIDKFMTNVMMIGDGPTKTKITGNKNYADGTKTFQTATVAVVGDYFVAKDIGFENSAGAKGHQAVALRVQSDLSIFYNCQMDGYQDTLYTQTHRQFYRDCTISGTIDFIFGDAAVVFQNCKMIVRKPMDNQACMVTAQGRMDRRQPTAMVLQNCTISGDPEYIPVKDKSKAYLGRPWKALARTIVMQSQIDDIITPEGWMEWTGSTNHKSCWFGEYGNRGPGSDMTRRVTWSGIKKLTPEHAVDFTAGRLMEGDRWIKPSGVPYVAGMVSV >ONI31876 pep chromosome:Prunus_persica_NCBIv2:G1:31724102:31727802:-1 gene:PRUPE_1G336300 transcript:ONI31876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPHLGFWGSFLVLLLLFPLYAPVQSQSGPDGVAMEALRKSIGPNSLGWSGSDYCKWSKVSCKNDNKVFKIQIGNQKLTGSLPTELQKLAYLQQLEVQSNELTGPFPSLSGLTSLQVLIAHNNNFSSFPPDFFVGLTNLYSIDIDYNPFSAWQIPGTITNATVLKHFSATSANITGKIPDFFTGTNFPSLIDLHMSFNYLEGELPASFSGSMIQSLWLNGQQGTNKLNGTIDVLQNMTNLHDVWLHGNSFTGPIPDFSKLSNLAALSLRDNKFTGVVPASLVNLNSLTAVNLTNNMLQGPMPKFGDGVKVDITGLNSFCNDKPGSDCDPRVNILLSIVKDMGYPTTFAENWKKNDPCDNWKGITCNGRNVTVINFPNLGLAGTISSNFSLLTSLRTLRLDSNHLTGTIPKELTQLPDLQEIDLRNNQLYGKIPAFKSNVIVKTEGNPDIGKDHISPNTPPGPNPTPGPPSDGAGKKSRTAVVVGAVIGSVGGLVVLGFVAFCLLKRKHKHSSGRVQSPNTLVIHPRHSGDQDAVKVTVASSRVNGGGNEYYNSPTSSGPNDIHVVEAGNMVISIQVLRNVTNNFSEDNILGKGGFGTVYKGELHDGTKIAVKRMESGVVAGKGLNEFKSEIAVLTKVRHRHLVGLLGYCLDGNERLLVYEYMPQGTLSQHLFNWKEDGLKPLEWTRRLTIALDVARGVEYLHGLANQTFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPEGKASIETRLAGTFGYLAPEYAATGRMTLKVDVYSFGVILMELITGRKAIDESQPEESLHLVTWFRRMLINKDALRKAIDPTIDISEETLSSISTVAELAGHCTARESYQRPDMGHAVNVLSSLVEHWKPSEPEDYDDMYGIDLEMTLPQALKKWQAFEGNSNLDESSSSSSFFASGDNTQTSIPTRPSGFADSFTSADGR >ONI31875 pep chromosome:Prunus_persica_NCBIv2:G1:31723716:31728237:-1 gene:PRUPE_1G336300 transcript:ONI31875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPHLGFWGSFLVLLLLFPLYAPVQSQSGPDGVAMEALRKSIGPNSLGWSGSDYCKWSKVSCKNDNKVFKIQIGNQKLTGSLPTELQKLAYLQQLEVQSNELTGPFPSLSGLTSLQVLIAHNNNFSSFPPDFFVGLTNLYSIDIDYNPFSAWQIPGTITNATVLKHFSATSANITAALSLRDNKFTGVVPASLVNLNSLTAVNLTNNMLQGPMPKFGDGVKVDITGLNSFCNDKPGSDCDPRVNILLSIVKDMGYPTTFAENWKKNDPCDNWKGITCNGRNVTVINFPNLGLAGTISSNFSLLTSLRTLRLDSNHLTGTIPKELTQLPDLQEIDLRNNQLYGKIPAFKSNVIVKTEGNPDIGKDHISPNTPPGPNPTPGPPSDGAGKKSRTAVVVGAVIGSVGGLVVLGFVAFCLLKRKHKHSSGRVQSPNTLVIHPRHSGDQDAVKVTVASSRVNGGGNEYYNSPTSSGPNDIHVVEAGNMVISIQVLRNVTNNFSEDNILGKGGFGTVYKGELHDGTKIAVKRMESGVVAGKGLNEFKSEIAVLTKVRHRHLVGLLGYCLDGNERLLVYEYMPQGTLSQHLFNWKEDGLKPLEWTRRLTIALDVARGVEYLHGLANQTFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPEGKASIETRLAGTFGYLAPEYAATGRMTLKVDVYSFGVILMELITGRKAIDESQPEESLHLVTWFRRMLINKDALRKAIDPTIDISEETLSSISTVAELAGHCTARESYQRPDMGHAVNVLSSLVEHWKPSEPEDYDDMYGIDLEMTLPQALKKWQAFEGNSNLDESSSSSSFFASGDNTQTSIPTRPSGFADSFTSADGR >ONI29673 pep chromosome:Prunus_persica_NCBIv2:G1:22237670:22239403:-1 gene:PRUPE_1G208300 transcript:ONI29673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAAHSSSSSIDSSSHPDLLLPVPAGSSSSSSLSQKINGNYGNSHINTRTDLSTDLRLGLSISPSSHSDLSSTSTPGEEALINWPPIKSILRSRLAGKADHPSLFVKVYMEGIPIGRKLNLFAHDGYAALITTLSLMFKATILCPEENHVHSDKYHVLTYEDQEGDWMLVGDVPWEIFLTTVKRLKITRADRC >ONI29674 pep chromosome:Prunus_persica_NCBIv2:G1:22238292:22239265:-1 gene:PRUPE_1G208300 transcript:ONI29674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAAHSSSSSIDSSSHPDLLLPVPAGSSSSSSLSQKINGNYGNSHINTRTDLSTDLRLGLSISPSSHSDLSSTSTPGEEALINWPPIKSILRSRLAGKADHPSLFVKVYMEGIPIGRKLNLFAHDGYAALITTLSLMFKATILSGPEENHVHSDKYHVLTYEDQEGDWMLVGDVPWEIFLTTVKRLKITRADRC >ONI34939 pep chromosome:Prunus_persica_NCBIv2:G1:41857102:41860968:1 gene:PRUPE_1G507200 transcript:ONI34939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKLKPSKTHHGPQTWKRISSPPMFRRARILIYSRHKKGGRLKEKELKQSILEPPVCSSICICKMLQCMREARKLWYDAVKTDRRLLRF >ONI28035 pep chromosome:Prunus_persica_NCBIv2:G1:9302192:9306892:-1 gene:PRUPE_1G118500 transcript:ONI28035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWWPLLVLGFAYAICKFLFMLIPPNVPSIEVDASDVLDDGNQTQENGFIYVPPRGRTPQAETKVQCYEPATMKYLGYFPALTPDEVKERVAQARKAQKIWAKSSFKQRRQFLRILLKYIIEHQELICEISSRDTGKTMVDASLGEIMTTCEKITWLLSEGERWLKPEYRCSGRSMLHKKSKVEFHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNSIVIKVSEHASWSGCFYFRIIQSALAAVGAPENLVDVITGFAETGEALVSSVDKLIFVGSPGVGKMIMRNAAEMLIPVTLELGGKDAFIVCEDADVEHVAQIAVRAVLQSSGQNCAGAERFYVHEDIYSSFVSQVSKIVKSVTAGPPLAGKYDMGAICLQEHSERLQNLVNDALDKGAKFVVRGSVGHIGEGAVDQFFPPTVIENVNHTMKLMQEEAFGPIMPIMKFSTDEEAVKLANDSKYGLGCAVFSGSQRRAKEIASQIHCGVAAINDFASTYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKSVVEDRWWPYIKTKIPKPIQYPVGENGFEFQESLVEALYGMNIWDRLRALLNVLKILTEQNSPANSKRRDD >ONI28036 pep chromosome:Prunus_persica_NCBIv2:G1:9301863:9307224:-1 gene:PRUPE_1G118500 transcript:ONI28036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPSKSMLLMTQENGFIYVPPRGRTPQAETKVQCYEPATMKYLGYFPALTPDEVKERVAQARKAQKIWAKSSFKQRRQFLRILLKYIIEHQELICEISSRDTGKTMVDASLGEIMTTCEKITWLLSEGERWLKPEYRCSGRSMLHKKSKVEFHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNSIVIKVSEHASWSGCFYFRIIQSALAAVGAPENLVDVITGFAETGEALVSSVDKLIFVGSPGVGKMIMRNAAEMLIPVTLELGGKDAFIVCEDADVEHVAQIAVRAVLQSSGQNCAGAERFYVHEDIYSSFVSQVSKIVKSVTAGPPLAGKYDMGAICLQEHSERLQNLVNDALDKGAKFVVRGSVGHIGEGAVDQFFPPTVIENVNHTMKLMQEEAFGPIMPIMKFSTDEEAVKLANDSKYGLGCAVFSGSQRRAKEIASQIHCGVAAINDFASTYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKSVVEDRWWPYIKTKIPKPIQYPVGENGFEFQESLVEALYGMNIWDRLRALLNVLKILTEQNSPANSKRRDD >ONI30090 pep chromosome:Prunus_persica_NCBIv2:G1:24440246:24442335:1 gene:PRUPE_1G230800 transcript:ONI30090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSCMLICLMVGWISIHALYYSFARTSPNKLPPGPNPLPFIGNLLELGNKPHLSLTKLSQRYGPIMTLHLGQITTVVVSSSAIAKQVLQTHDQLFCNRTVLDSVQACKHGENGMPWIPVSAKWRNLRKICNSQLFATKVLDASQGNRHLKVQELIADVHESVVKGDAVEIGRAAFKTTLNLMSRTVFSVDLADQNSERAREFKELVRSIMEEISKPNLADYFPVLKKIDPVGIRRRLTRHFLKMFDLFDRLIIQRMESRKAPDYIMTSDMLDTLINSSEEKNEDMDMVETQHLFLDLFAAATDTTSATLEWAMAELLRNPEKLSKAQEELKHIIGKGKPVEESDITRLPYLQAIIKETFRLHPAAPLLIPRKAGADVEICGYIVPEGAQVLVNAWAIGRDPSIWDNPNSFMPERFLGLDIDVTGRNFELIPFGGGRRICPGLPLAMRMLNLMLGSLLNCFDNWKLEDGVAPETMNMEDKFGLTLEKAQPLIAVPMT >ONI32607 pep chromosome:Prunus_persica_NCBIv2:G1:34058571:34061706:-1 gene:PRUPE_1G375600 transcript:ONI32607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVILDKKDAGDWIYRGEGAANLVLGYSGSTPSFTGKVMRLQKAPVNGSKSMNSPTALTVHERLLWKDTEDIVATSNKELACQLFVKHIMSPLLGSTYVDSGMRVSASKEFLESVEKNIISQRPASRVDAAKVDTECDSVLLMSDHSLFPRGTHEVEPCISVEIKPKCGFLPSSKFIDEGNAIKRSITRFQMHQALKLHQGEVSEYSDYNPLDMFSGSKDRIHKAIRDLFSTPQNNFRVFLNGSQIFGGLGGGADSTNFVTRGKFEDALKCVIQGGDGLRTMSFLQLVAETVHNSGVLDQLLEVQKLDNLDIDGAIHAYYDIVSEPCMVCRAFGKEKLSQKYLSLHSISLDESLKIVKDFLIAATAKDCSLMISFRPRKDGNTGSPYNNLYLESSNQFFDYKVNFIDLDLKQLKKMEEYYELDKKIVTYYNRMVETEERQKKNTSTMALRTAN >ONI32842 pep chromosome:Prunus_persica_NCBIv2:G1:34788326:34790253:-1 gene:PRUPE_1G389600 transcript:ONI32842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALHLLTQFRKPSPSLLFSQRLALLSSSSAANPRVSNSFSDDDREGSGVYRRALKFQRPTTITWRRREESLGNSDSFIGTVWHPWQENLGNSTSFIGTVWHPWQENLGNSASFIGTVWHPWQENLGNSASFIGTVIHPLRVVNNNDRGPFGVHTVLCVKNSPQSDSNFRILLMMWGEMAELSFKHLKQNDFIYVSGCLGSYTKADQNGDSRLHHQLVVQELNYVAQHGQGSSSKTYEEPQSDGVNLPRCFIPLTKSRWSCFREV >ONI32841 pep chromosome:Prunus_persica_NCBIv2:G1:34787496:34790440:-1 gene:PRUPE_1G389600 transcript:ONI32841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALHLLTQFRKPSPSLLFSQRLALLSSSSAANPRVSNSFSDDDREGSGVYRRALKFQRPTTITWRRREESLGNSDSFIGTVWHPWQENLGNSTSFIGTVWHPWQENLGNSASFIGTVWHPWQENLGNSASFIGTVIHPLRVVNNNDRGPFGVHTVLCVKNSPQSDSNFRILLMMWGEMAELSFKHLKQNDFIYVSGCLGSYTKADQNGDSRLHHQLVVQELNYVAQHGQGSSSKTYEEPQSDGGGAVSEKYKDRLHLWQVFFANPYEWWDNREDKLNPRQPDFKHKDTGEALWLSPGDPPWIKRQLELLDTRMAQQGHGQKRFRVSKWEYDE >ONI32846 pep chromosome:Prunus_persica_NCBIv2:G1:34788885:34790253:-1 gene:PRUPE_1G389600 transcript:ONI32846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALHLLTQFRKPSPSLLFSQRLALLSSSSAANPRVSNSFSDDDREGSGVYRRALKFQRPTTITWRRREESLGNSDSFIGTVWHPWQENLGNSTSFIGTVWHPWQENLGNSASFIGTVWHPWQENLGNSASFIGTVIHPLRVVNNNDRGPFGVHTVLCVKNSPQSDSNFRILLMMWGEMAELSFKHLKQNDFIYVSGCLGSYTKADQNGDSRLHHQ >ONI32844 pep chromosome:Prunus_persica_NCBIv2:G1:34788827:34790253:-1 gene:PRUPE_1G389600 transcript:ONI32844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALHLLTQFRKPSPSLLFSQRLALLSSSSAANPRVSNSFSDDDREGSGVYRRALKFQRPTTITWRRREESLGNSDSFIGTVWHPWQENLGNSTSFIGTVWHPWQENLGNSASFIGTVWHPWQENLGNSASFIGTVIHPLRVVNNNDRGPFGVHTVLCVKNSPQSDSNFRLFRFLHKGGSEWGFQTASPVSSAGVELCSTTWTRIKLQNL >ONI32845 pep chromosome:Prunus_persica_NCBIv2:G1:34787496:34790431:-1 gene:PRUPE_1G389600 transcript:ONI32845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALHLLTQFRKPSPSLLFSQRLALLSSSSAANPRVSNSFSDDDREGSGVYRRALKFQRPTTITWRRREESLGNSDSFIGTVWHPWQENLGNSTSFIGTVWHPWQENLGNSASFIGTVWHPWQENLGNSASFIGTVIHPLRVVNNNDRGPFGVHTVLCVKNSPQSDSNFRLFRFLHKGGSEWGFQTASPVSSAGVELCSTTWTRIKLQNL >ONI32840 pep chromosome:Prunus_persica_NCBIv2:G1:34787676:34790351:-1 gene:PRUPE_1G389600 transcript:ONI32840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALHLLTQFRKPSPSLLFSQRLALLSSSSAANPRVSNSFSDDDREGSGVYRRALKFQRPTTITWRRREESLGNSDSFIGTVWHPWQENLGNSTSFIGTVWHPWQENLGNSASFIGTVWHPWQENLGNSASFIGTVIHPLRVVNNNDRGPFGVHTVLCVKNSPQSDSNFRILLMMWGEMAELSFKHLKQNDFIYVSGCLGSYTKADQNGDSRLHHQLVVQELNYVAQHGQGSSSKTYEEPQSDGEKYKDRLHLWQVFFANPYEWWDNREDKLNPRQPDFKHKDTGEALWLSPGDPPWIKRQLELLDTRMAQQGHGQKRFRVSKWEYDE >ONI32839 pep chromosome:Prunus_persica_NCBIv2:G1:34787559:34790358:-1 gene:PRUPE_1G389600 transcript:ONI32839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALHLLTQFRKPSPSLLFSQRLALLSSSSAANPRVSNSFSDDDREGSGVYRRALKFQRPTTITWRRREESLGNSDSFIGTVWHPWQENLGNSTSFIGTVWHPWQENLGNSASFIGTVWHPWQENLGNSASFIGTVIHPLRVVNNNDRGPFGVHTVLCVKNSPQSDSNFRILLMMWGEMAELSFKHLKQNDFIYVSGCLGSYTKADQNGDSRLHHQELNYVAQHGQGSSSKTYEEPQSDGGGAVSEKYKDRLHLWQVFFANPYEWWDNREDKLNPRQPDFKHKDTGEALWLSPGDPPWIKRQLELLDTRMAQQGHGQKRFRVSKWEYDE >ONI32843 pep chromosome:Prunus_persica_NCBIv2:G1:34787620:34790355:-1 gene:PRUPE_1G389600 transcript:ONI32843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALHLLTQFRKPSPSLLFSQRLALLSSSSAANPRVSNSFSDDDREGSGVYRRALKFQRPTTITWRRREESLGNSDSFIGTVWHPWQENLGNSTSFIGTVWHPWQENLGNSASFIGTVWHPWQENLGNSASFIGTVIHPLRVVNNNDRGPFGVHTVLCVKNSPQSDSNFRLFRFLHKGGSEWGFQTASPVSSAGVELCSTTWTRIKLQNL >ONI31287 pep chromosome:Prunus_persica_NCBIv2:G1:29812095:29816767:1 gene:PRUPE_1G304200 transcript:ONI31287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRLGLLVAASIAAFAARQHNVKNSASTSRHSENGEANYKHQSEKEDEEQLTYSNDSLREKDGKDEEEEEEEEEVKLISSIFDRARDISPGDIEDEDILPEFKDLLSGEIEIPLLVNKMESKEKHVYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDVTELQRQLKIKTVEVGMLNITINSLQTERKKLQEEIAQGVSAKKELEAARYKLKELQRQIQLDANQTKGQLLLLKQQVSGLQAKEEEAVKKDAEIEKKLKAVKELEVEVMELKRKNKELQIEKRELTIKLNAAEARVAALSNMTESDMVANVREEVNNLKHANEDLSKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPQGKVSARDLNKSLSPKSQEKAKQLMLEYAGSERGQGDTDIESNFSHPSSPGSEDFDNVSIDSSTSRYNSLSKKPSIMQKLKRWGKSKDDSSALSSPSRSLSGGSPSRASMSVRPRGPLESLMIRNAGDGVAITTFGKVDQELPDSPQTPSLPNIRTQMSSSDSPNSVAASFQLMSKSVEGVLDEKYPAYKDRHKLALEREKQINERAQQARAEKFGDKSNVNLTYEPRAKAERPVALPPKLAHIKEKAVILGDSSNQTNDGNAVDSQAITKMKLAQIEKRPPRVPRPPPKASGDAPAGTTPKPSSGVPPPPPGGPPPPPPPPGGPPRPPPPPGSLPRGAGSADKVHRAPELVEFYQSLMKREAKKDTSSLISSSSNVSDARSNMIGEIENKSSFLLAVKADVEAQGDFVMSLAAEVRAASFTNIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKVDALREAAFEYQDLMKLEKHVSSFVDDPKLPCEAALKKMYSLLEKYELSFHALTCWLQEHFFDFVLCSKAFCHFVSRVEQSVYALLRTRDMAISRCKEFGIPVDWLLDSGVVGKIKLSSVQLARKYMKRVASELDALSGPEKEPIREFILLQGVRFAFRVHQFAGGFDAESMKAFEELRGRVSGQTEDNKQEP >ONI31288 pep chromosome:Prunus_persica_NCBIv2:G1:29812095:29816767:1 gene:PRUPE_1G304200 transcript:ONI31288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRLGLLVAASIAAFAARQHNVKNSASTSRHSENGEANYKHQSEKEDEEQLTYSNDSLREKDGKDEEEEEEEEEVKLISSIFDRARDISPGDIEDEDILPEFKDLLSGEIEIPLLVNKMESKEKHVYETEMANNASELERLRNLVKELEEREVKLEGELLEYYGLKEQESDVTELQRQLKIKTVEVGMLNITINSLQTERKKLQEEIAQGVSAKKELEAARYKLKELQRQIQLDANQTKGQLLLLKQQVSGLQAKEEEAVKKDAEIEKKLKAVKELEVEVMELKRKNKELQIEKRELTIKLNAAEARVAALSNMTESDMVANVREEVNNLKHANEDLSKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPQGKVSARDLNKSLSPKSQEKAKQLMLEYAGSERGQGDTDIESNFSHPSSPGSEDFDNVSIDSSTSRYNSLSKKPSIMQKLKRWGKSKDDSSALSSPSRSLSGGSPSRASMSVRPRGPLESLMIRNAGDGVAITTFGKVDQELPDSPQTPSLPNIRTQMSSSDSPNSVAASFQLMSKSVEGVLDEKYPAYKDRHKLALEREKQINERAQQARAEKFGDKSNVNLTYEPRAKAERPVALPPKLAHIKEKAVILGDSSNQTNDGNAVDSQAITKMKLAQIEKRPPRVPRPPPKASGDAPAGTTPKPSSGVPPPPPGGPPPPPPPPGGPPRPPPPPGSLPRGAGSADKVHRAPELVEFYQSLMKREAKKDTSSLISSSSNVSDARSNMIGEIENKSSFLLAVKADVEAQGDFVMSLAAEVRAASFTNIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKVDALREAAFEYQDLMKLEKHVSSFVDDPKLPCEAALKKMYSLLEKVEQSVYALLRTRDMAISRCKEFGIPVDWLLDSGVVGKIKLSSVQLARKYMKRVASELDALSGPEKEPIREFILLQGVRFAFRVHQFAGGFDAESMKAFEELRGRVSGQTEDNKQEP >ONI31404 pep chromosome:Prunus_persica_NCBIv2:G1:30213885:30216651:-1 gene:PRUPE_1G310800 transcript:ONI31404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRMSRHADGYRGYHDDPRPLMNRGLGHLSVHPAALEEEFAMKHREMQRIVAENRLVIDDNTLLQRELTDAKDEIHRLGQVLPKLRADKEAQSRELIEKGLKLEADLRATEPIKAEVMQLRAEVQKLSALRQELSSQVQGLTQEITRLQAENQQLIPMRADIDGMRNELVETRRAYEYERKASEEQVEQKQAMENNLVSMAREIEKLRAEQLNADRRARERGGGGYGMMNGSPGMRYSRGSYGNGYSSGWGPYDKRGSRR >ONI28533 pep chromosome:Prunus_persica_NCBIv2:G1:11526853:11527722:1 gene:PRUPE_1G146400 transcript:ONI28533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSISSCKTTTKNKTVKDKTLTGAGNLIKLLPTGTVFLFQFLNPVFTNNGHCSTVNKYLSAILIGISGFSCCFASFTDSYTGSDGQTHYALVTAKGLWPSTNSNSVDLSAYKLRLGDFVHAFFSLIVFAVVSLLDTNSVRCFYPGFESTEKVLLQVLPTVIGAIASTVFVVFPNNRHGIGYPSSSSDSSQDSEKS >ONI30938 pep chromosome:Prunus_persica_NCBIv2:G1:28525961:28528673:-1 gene:PRUPE_1G282800 transcript:ONI30938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKKMVRLRALICCIFVSWFSLSLAEYVEVSVGGVTSIASTDDNFICATLDWWPTNKCDYNQCPWGKAGIFNLDLDNKILNNAIKAFNPMRIRVGGSLQDQVNYGVGYGVKSCEGFKKQESGLFGFSDACLDRKRWDELNDFFNNTKAKITFGLNALQGKQVSPKDKSLRIGDWNPQNSRDLMEYTIAKGYQIDSYELGNELAGSGVAARIESDQYAKDIKKLKEVVTELYPDASKRPKIIGPASFYDKKWYENFLQATGPGVVDAVTHHLYNLGAGVDPTLIDRVQNPFFLSEIAQTFYDLSNTIKEFGPWSEAWVGEAGGAYNSGGKDVSHTFANGFWYLDQLGMTSTYSHKVYCRQALIGGNYALLNTTTFIPNPDYYGALLWHRLMGNRVLSTTHLGSPYLRAYSHCSKNQKGITLVLINMSNTTTFDVAVLPDYNLYLGPIRMRKHGHIVGREREEYHLTPEGGNIQSDVVLLNGNPLKLTNSSDIPKMEPKLVDPTSAISVAADSIVFVSIKDFHAPACA >ONI33468 pep chromosome:Prunus_persica_NCBIv2:G1:36662589:36664251:-1 gene:PRUPE_1G426400 transcript:ONI33468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAVGAAKKKLEGADTTAPEGYQSVALVIGLTGIVGNSLAEILPLHDTPGGPWKVYGVARRARPDWSVDHPVDYIQCDISDPEDTQAKLSELTDVTHIFYVTWTSRANEIENCEANGAMFRNLLRVVIPVAPNLRHVSLQTGTKHYLGPFECIGKIKAHDPPFTEDLPRLDVPNFYYTLEDILFEEVQKKEGLTWSVHRPYNIFGFSPHSLMNIIGTLCVYAAICKKEGKPLKFPGSKVAWECYAMASDADLIAEQHIWAAVDPNAANEAFNINNGDVFKWKQFWKGLAEQFGIEEHGFYEEEEGEGRLSLVEMMKGKEGVWEEIVKENELQPTKLEEVGVWWFADYVLGGEAVLDSMNKSKEHGFLGFRNSYKSFISWIEKMKSYKIVP >ONI33467 pep chromosome:Prunus_persica_NCBIv2:G1:36662628:36664251:-1 gene:PRUPE_1G426400 transcript:ONI33467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAVGAAKLEGADTTAPEGYQSVALVIGLTGIVGNSLAEILPLHDTPGGPWKVYGVARRARPDWSVDHPVDYIQCDISDPEDTQAKLSELTDVTHIFYVTWTSRANEIENCEANGAMFRNLLRVVIPVAPNLRHVSLQTGTKHYLGPFECIGKIKAHDPPFTEDLPRLDVPNFYYTLEDILFEEVQKKEGLTWSVHRPYNIFGFSPHSLMNIIGTLCVYAAICKKEGKPLKFPGSKVAWECYAMASDADLIAEQHIWAAVDPNAANEAFNINNGDVFKWKQFWKGLAEQFGIEEHGFYEEEEGEGRLSLVEMMKGKEGVWEEIVKENELQPTKLEEVGVWWFADYVLGGEAVLDSMNKSKEHGFLGFRNSYKSFISWIEKMKSYKIVP >ONI28123 pep chromosome:Prunus_persica_NCBIv2:G1:9848747:9849320:1 gene:PRUPE_1G124700 transcript:ONI28123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLLLLLLLLLLLSDEPIPIISFRPKPNPQFPYMPFWSSSPSFSSPSQPLNPQQSLTHLSQQRLEDFSSKNLHPPPTQTPNYSINSPRNGTSLDTDGIGNVRQLLKV >ONI35445 pep chromosome:Prunus_persica_NCBIv2:G1:43813504:43814227:1 gene:PRUPE_1G536200 transcript:ONI35445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSLPVLSHPNISTLVSHSGIPVIDLSKPYSKQLIVRACEEFCFFKIINHGVPMEFITMLESEAAKSFSLPLSEKEKAGHANTLGYGNKNIGKNGDVCWVEYLLLNANTKSNAQRTTVKSFGKLFKQVRA >ONI32460 pep chromosome:Prunus_persica_NCBIv2:G1:33743529:33746300:-1 gene:PRUPE_1G369400 transcript:ONI32460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSGHRENGRIKAEQYKAAQGQWLMQHQPSMKQIMAIMGERDAAIQERNLAFSEKKAALQERDMAFLQRDAAIAERNTAIMERDNAIANLQYRENSLNNGNVSSCPPGCQISRGVKHMHHPQQHVHHPPHMNEASYGTRDMHTSDSVPMPPDASLPTKSRQPKRPREPKTMAPNKKTSKSPRKVKRESEDLNKMTFDKLHEWKGSQDMGGGGDDVNKHLVVSKSDWKCQDLGLNQVAYDESTMPAPVCSCTGILRQCYKWGNGGWQSSCCTTTMSMYPLPAVPNKRHARVGGRKMSGSAFNKLLSRLAAEGHDLSNPVDLKDNWAKHGTNRYITIK >ONI32462 pep chromosome:Prunus_persica_NCBIv2:G1:33743529:33746308:-1 gene:PRUPE_1G369400 transcript:ONI32462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSGHRENGRIKAEQYKAAQGQWLMQHQPSMKQIMAIMGERDAAIQERNLAFSEKKAALQERDMAFLQRDAAIAERNTAIMERDNAIANLQYRENSLNNGNVSSCPPGCQISRGVKHMHHPQQHVHHPPHMNEASYGTRDMHTSDSVPMPPDASLPTKSRQPKRPREPKTMAPNKKTSKSPRKVKRESEDLNKMTFDKLHEWKGSQDMGGGGDDVNKHLVVSKSDWKCQDLGLNQVAYDESTMPAPVCSCTGILRQCYKWGNGGWQSSCCTTTMSMYPLPAVPNKRHARVGGRKMSGSAFNKLLSRLAAEGHDLSNPVDLKDNWAKHGTNRYITIK >ONI32461 pep chromosome:Prunus_persica_NCBIv2:G1:33743939:33745407:-1 gene:PRUPE_1G369400 transcript:ONI32461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSGHRENGRIKAEQYKAAQGQWLMQHQPSMKQIMAIMGERDAAIQERNLAFSEKKAALQERDMAFLQRDAAIAERNTAIMERDNAIANLQYRENSLNNGNVSSCPPGCQISRGVKHMHHPQQHVHHPPHMNEASYGTRDMHTSDSVPMPPDASLPTKSRQPKRPREPKTMAPNKKTSKSPRKVKRESEDLNKMTFDKLHEWKGSQDMGGGGDDVNKHLVVSKSDWKCQDLGLNQVAYDESTMPAPVCSCTGILRQCYKWGNGGWQSSCCTTTMSMYPLPAVPNKRHARVGGRKMSGSAFNKLLSRLAAEGHDLSNPVDLKDNWAKHGTNRYITIK >ONI27071 pep chromosome:Prunus_persica_NCBIv2:G1:4716335:4717962:1 gene:PRUPE_1G066100 transcript:ONI27071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETRTRARRRSCQATDFGATAVASSVDLLVEILMRLPAKPLLRFKCVSKQWYSIISDPQFIINYAQRNLKPLLGLLLSGRKEQLGPYPEFSFISIGGYGKTGRVPKFLTIPKLEIQDFCNGLMCYSKPHMDAPQFTSYYVCNSASGQSKRIRVLESPSSKRLMAVNLAFDPSKSPFYNVIFVSETSGSELNHLIKIDIYSSKTQTWRPSTKGLFIVPHDVDFGNGVYWNGAIYWYHRKKNSLAYFDFEADCLERLQMPLLSQGSYPMSFDYFGESGGHLHLVGTCRPGTTLFTVFEMEKDRSGWFVKCNGDLGDLTTTFPKMVTPRLSRRYLFSPVSLFRGEKEEELSIVLTIPGSVVQYNAKDKTSKKLCDLPKGFIFNDFMGQGFRFKSHQYMETLASI >ONI30314 pep chromosome:Prunus_persica_NCBIv2:G1:25745710:25746772:-1 gene:PRUPE_1G243800 transcript:ONI30314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNVDKLWSLLPQEAKDKATKDSAPLIDVTQYGFFKVLGKGALPQNQPVVVKAKLISKTAEKKIKEAGGAVVLTA >ONI32763 pep chromosome:Prunus_persica_NCBIv2:G1:34484987:34490393:-1 gene:PRUPE_1G384500 transcript:ONI32763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLSKIFKGSSHKLSEEHCRENYGEDPNNCGPSCSGEVWSENENEDIDRAIALSLLEENQKGKIVVGFDSQLEEDEQLARALQESLNVESPPQHGNGNTYQPSTPPQYGNGNTYQPITPPQHGNENTYQPSTPPQYGNGNTYHPITPPHYGNGNTYQPIPPPRYGNGNAYQPIPMYYPMGSSFLHLGITLTINLAIRRATIQNVMFASTLAHPFWVQKYCPSHEHDTTPRCCSCERMEPQDTKYVPLNDGRKLCLECLDSAIMDTSACQPLYLDIQEFYEGLNMKLEQQVPLLLVERQALNEAREGERNGHYHMPETRGLCLSEEQTISTISKRPRFGGGNHSMEMVTEPYKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRPLSQDVEEGICQVLAYMWLEAELISGSGSDVASTSSSSTSSATKKGTRSQFEKKLGGFFKHQVESDMSPVYGDGFRAGQQAIQKYGLRSTLDHIRMTGTFPY >ONI32764 pep chromosome:Prunus_persica_NCBIv2:G1:34484987:34489779:-1 gene:PRUPE_1G384500 transcript:ONI32764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLSKIFKGSSHKLSEEHCRENYGEDPNNCGPSCSGEVWSENENEDIDRAIALSLLEENQKGKIVVGFDSQLEEDEQLARALQESLNVESPPQHGNGNTYQPSTPPQYGNGNTYQPITPPQHGNENTYQPSTPPQYGNGNTYHPITPPHYGNGNTYQPIPPPRYGNGNAYQPIPMYYPMGSRICAGCNAEIGFGRYLNCLNAVWHPECFRCRACNLPISDYEFSTSGHYPYHKSCYKESYHPKCDVCKHFIPTNTAGLIEYRAHPFWVQKYCPSHEHDTTPRCCSCERMEPQDTKYVPLNDGRKLCLECLDSAIMDTSACQPLYLDIQEFYEGLNMKLEQQVPLLLVERQALNEAREGERNGHYHMPETRGLCLSEEQTISTISKRPRFGGGNHSMEMVTEPYKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRPLSQDVEEGICQVLAYMWLEAELISGSGSDVASTSSSSTSSATKKGTRSQFEKKLGGFFKHQVESDMSPVYGDGFRAGQQAIQKYGLRSTLDHIRMTGTFPY >ONI32766 pep chromosome:Prunus_persica_NCBIv2:G1:34484968:34490426:-1 gene:PRUPE_1G384500 transcript:ONI32766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLSKIFKGSSHKLSEEHCRENYGEDPNNCGPSCSGEVWSENENEDIDRAIALSLLEENQKGKIVVGFDSQLEEDEQLARALQESLNVESPPQHGNGNTYQPSTPPQYGNGNTYQPITPPQHGNENTYQPSTPPQYGNGNTYHPITPPHYGNGNTYQPIPPPRYGNGNAYQPIPMYYPMGSRICAGCNAEIGFGRYLNCLNAVWHPECFRCRACNLPISDYEFSTSGHYPYHKSCYKESYHPKCDVCKHFIPTNTAGLIEYRAHPFWVQKYCPSHEHDTTPRCCSCERMEPQDTKYVPLNDGRKLCLECLDSAIMDTSACQPLYLDIQEFYEGLNMKLEQQVPLLLVERQALNEAREGERNGHYHMPETRGLCLSEEQTISTISKRPRFGGGNHSMEMVTEPYKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRPLSQDVEEGICQVLAYMWLEAELISGSGSDVASTSSSSTSSATKKGTRSQFEKKLGGFFKHQVESDMSPVYGDGFRAGQQAIQKYGLRSTLDHIRMTGTFPY >ONI32765 pep chromosome:Prunus_persica_NCBIv2:G1:34484987:34490162:-1 gene:PRUPE_1G384500 transcript:ONI32765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLSKIFKGSSHKLSEEHCRENYGEDPNNCGPSCSGEVWSENENEDIDRAIALSLLEENQKGKIVVGFDSQLEEDEQLARALQESLNVESPPQHGNGNTYQPSTPPQYGNGNTYQPITPPQHGNENTYQPSTPPQYGNGNTYHPITPPHYGNGNTYQPIPPPRYGNGNAYQPIPMYYPMGSRICAGCNAEIGFGRYLNCLNAVWHPECFRCRACNLPISDYEFSTSGHYPYHKSCYKESYHPKCDVCKHFIPTNTAGLIEYRAHPFWVQKYCPSHEHDTTPRCCSCERMEPQDTKYVPLNDGRKLCLECLDSAIMDTSACQPLYLDIQEFYEGLNMKLEQQVPLLLVERQALNEAREGERNGHYHMPETRGLCLSEEQTISTISKRPRFGGGNHSMEMVTEPYKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRPLSQDVEEGICQVLAYMWLEAELISGSGSDVASTSSSSTSSATKKGTRSQFEKKLGGFFKHQVESDMSPVYGDGFRAGQQAIQKYGLRSTLDHIRMTGTFPY >ONI29611 pep chromosome:Prunus_persica_NCBIv2:G1:20653849:20655019:1 gene:PRUPE_1G205300 transcript:ONI29611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAHHFDRGPKSNHQFRFIFFKAVHPCLSFNQPTRQRIDKVYHQMEEYGFYDQTFILQLLSKVTDKPPKNALTACESAYCVVMHAFLQEAVGDFNRKDYDSMLEDEGVAPRAQASCEITFITSPSPVDPLKEIHRQMGILIAMAVATGHELLGHRMMKSTT >ONI30350 pep chromosome:Prunus_persica_NCBIv2:G1:25944062:25949393:-1 gene:PRUPE_1G246200 transcript:ONI30350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGRKPNETMRLIVTTFVGVVFGFLIGVSFPTLSLTKLNLSSSLLPNVDLTYNEDQKSDISRQTILNAWSSTRSNNSSTKAQSSNGTGRIWVPSNPRGAERLPPGILAAESDFYLRRLWGKPSEDLTIKPRYLVTFTVGLNQKKNIDAAVKKFSENFTILLFHYDGQTTEWDEFEWSERAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKQTVEKPGWCTDPHLPPCAAFVEIMAPVFSRSAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQTVPSLGSQGEPQNGKAPWQGVRERCRKEWTMFQMRVANAENAYFKAIGVDPSNSTTH >ONI30352 pep chromosome:Prunus_persica_NCBIv2:G1:25944062:25949432:-1 gene:PRUPE_1G246200 transcript:ONI30352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSRSAGGRKPNETMRLIVTTFVGVVFGFLIGVSFPTLSLTKLNLSSSLLPNVDLTYNEDQKSDISRQTILNAWSSTRSNNSSTKAQSSNGTGRIWVPSNPRGAERLPPGILAAESDFYLRRLWGKPSEDLTIKPRYLVTFTVGLNQKKNIDAAVKKFSENFTILLFHYDGQTTEWDEFEWSERAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKQTVEKPGWCTDPHLPPCAAFVEIMAPVFSRSAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQTVPSLGSQGEPQNGKAPWQGVRERCRKEWTMFQMRVANAENAYFKAIGVDPSNSTTH >ONI30351 pep chromosome:Prunus_persica_NCBIv2:G1:25944351:25947786:-1 gene:PRUPE_1G246200 transcript:ONI30351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSRSAGGRKPNETMRLIVTTFVGVVFGFLIGVSFPTLSLTKLNLSSSLLPNVDLTYNEDQKSDISRQTILNAWSSTRSNNSSTKAQSSNGTGRIWVPSNPRGAERLPPGILAAESDFYLRRLWGKPSEDLTIKPRYLVTFTVGLNQKKNIDAAVKKFSENFTILLFHYDGQTTEWDEFEWSERAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIKLVRKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKQTVEKPGWCTDPHLPPCAAFVEIMAPVFSRSAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQTVPSLGSQGEPQNGKAPWQGVRERCRKEWTMFQMRVANAENAYFKAIGVDPSNSTTH >ONI31898 pep chromosome:Prunus_persica_NCBIv2:G1:31826978:31830101:-1 gene:PRUPE_1G337600 transcript:ONI31898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKTRTIASVLISVLVVCSLSCAAELLRRFQHAPKADGSLSFLVLGDWGRRGAFNQSQVALQMGIIGEKLDIDFVISTGDNFYDNGLTGIDDPNFEDSFTKIYTAPSLQKQWYNVLGNHDYRGDVEAQLSPVLRELDAKWLCLRSFIVDTEIAELFFVDTTPFVDKYFTHPEDAVYDWSGILPRNHYLSNLLNDLDSALKESTAKWKIVIGHHTIRSAGYHGETKELVTQLLPILLENNVDLYMNGHDHCLEHISSPDSPLQFVTSGGGSKAWKGEASLYNPSEMKLYYDGQGFMSVQITERQVDIAFYDIFGNVLHKWATSNQLYPTITM >ONI33276 pep chromosome:Prunus_persica_NCBIv2:G1:36056967:36063342:1 gene:PRUPE_1G414200 transcript:ONI33276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAQYSSGPDSGNNKRKYEEQTTPPPRRATGFSAPITSSSPDSAPPSYSNVPPPVDDIQVAKQRAQEIAARLFNNASGGVAAGGLEAKRPRVENGFDSIDKGFSSAPPDLKPHLSNTAPSSIPVSYGSFQGSSKKIDVPNGRVGVIIGKGGETIKYLQTQSGAKIQVTRDGDADLSAPTRMVELMGTPEQIAKAEHLINEVLAEAESGGPAIVSRRLTVQAGAEQYVTKIPNNKVGLVIGKGGETIKNMQARTGARIQVIPLHLPPGDTSTERTLQIDGTSEQIEAAKQLVNEVISENRVRNPAMAGGYSQQGYQARPPTGWASGGPPMQQPGYGYGQSGAYSGPSPQYNAAQPPYPGYPPQPTSGGYPSNWDQSAAAPTQQTSQGSGYDYYGQQQPSHQQQNPGGPAAPADNAGYNYGQPPVSGYNQQGQGYPQEGYGGYHAPPQSGYGQPPSYDQQQGYSAAGYGNVSNPTQDGHTSSYGSQGDSAQAPPPVQQGYSASQQPSPNPAGYPPQGSTQPGYGVPPTSQTGYGSQPPAQAGYGAGYGAPPAQKPPANPAVYGQTTQSPSTPGAYGQPAPVQPGYAHSQPPQSGYAQPDSATRAPSSGYGAAQAGYGPPSYGAPPVGQPGYGQAPPPYNASYGAGYAQPPAYSADANANGNTRGTYDAAPASQAAQPSGVAKTSPQS >ONI28521 pep chromosome:Prunus_persica_NCBIv2:G1:11427205:11430154:-1 gene:PRUPE_1G145600 transcript:ONI28521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGSRDTAKENEEAEATATTPSPFGSRRSRAGFSDSFSSQDLRSLQLDEDIRIHEPSPQGVLEDDCPRSLESETSSPKASTSDSDARSSTTTDSDPRVNQNWRGFFRILKKGPQHPFPTFPPKSAPKPKLTRRKSKRIREDFIPQLNSPALRSSFDADFCRFKSSWKNYSLLDLQVATNNFSQENLIGEGGYAEVYKGTLEDGQIVAIKRLTRGSQEEMTADFLSELGVIVHVDHPNIAKLIGYGVEGGMHLVLHLSPHGSLASILYGPRENLDWGIRYKVALGTAKGLLYLHEGCQRRIIHKDIKASNILLAEDFEPQISDFGLAKWLPDHWTHHIVSKFEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSHKSLVMWMKRLALTASICVHQSSMNRPQMNQIFQILEGDESILEYVTKRQKSKLQRTYSEELFDADEYNSTKYLSDRDKQLEFVLGPSNEF >ONI28522 pep chromosome:Prunus_persica_NCBIv2:G1:11427205:11430154:-1 gene:PRUPE_1G145600 transcript:ONI28522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGSRDTAKENEEAEATATTPSPFGSRRSRAGFSDSFSSQDLRSLQLDEDIRIHEPSPQGVLEDDCPRSLESETSSPKASTSDSDARSSTTTDSDPRVNQNWRGFFRILKKGPQHPFPTFPPKSAPKPKLTRRKSKRIREDFIPQLNSPALRSSFDADFCRFKSSWKNYSLLDLQVATNNFSQENLIGEGGYAEVYKGTLEDGQIVAIKRLTRGSQEEMTADFLSELGVIVHVDHPNIAKLIGYGVEGGMHLVLHLSPHGSLASILYGPRENLDWGIRYKVALGTAKGLLYLHEGCQRRIIHKDIKASNILLAEDFEPQISDFGLAKWLPDHWTHHIVSKFEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSHKSLVMWAKPLLSTNNIKELADPCLGDAYDLQQMKRLALTASICVHQSSMNRPQMNQILEGDESILEYVTKRQKSKLQRTYSEELFDADEYNSTKYLSDRDKQLEFVLGPSNEF >ONI28520 pep chromosome:Prunus_persica_NCBIv2:G1:11427205:11430897:-1 gene:PRUPE_1G145600 transcript:ONI28520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITSVPAFQLIASGFAAFISKHNGSRDTAKENEEAEATATTPSPFGSRRSRAGFSDSFSSQDLRSLQLDEDIRIHEPSPQGVLEDDCPRSLESETSSPKASTSDSDARSSTTTDSDPRVNQNWRGFFRILKKGPQHPFPTFPPKSAPKPKLTRRKSKRIREDFIPQLNSPALRSSFDADFCRFKSSWKNYSLLDLQVATNNFSQENLIGEGGYAEVYKGTLEDGQIVAIKRLTRGSQEEMTADFLSELGVIVHVDHPNIAKLIGYGVEGGMHLVLHLSPHGSLASILYGPRENLDWGIRYKVALGTAKGLLYLHEGCQRRIIHKDIKASNILLAEDFEPQISDFGLAKWLPDHWTHHIVSKFEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSHKSLVMWAKPLLSTNNIKELADPCLGDAYDLQQMKRLALTASICVHQSSMNRPQMNQIFQILEGDESILEYVTKRQKSKLQRTYSEELFDADEYNSTKYLSDRDKQLEFVLGPSNEF >ONI28523 pep chromosome:Prunus_persica_NCBIv2:G1:11427643:11429991:-1 gene:PRUPE_1G145600 transcript:ONI28523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGSRDTAKENEEAEATATTPSPFGSRRSRAGFSDSFSSQDLRSLQLDEDIRIHEPSPQGVLEDDCPRSLESETSSPKASTSDSDARSSTTTDSDPRVNQNWRGFFRILKKGPQHPFPTFPPKSAPKPKLTRRKSKRIREDFIPQLNSPALRSSFDADFCRFKSSWKNYSLLDLQVATNNFSQENLIGEGGYAEVYKGTLEDGQIVAIKRLTRGSQEEMTADFLSELGVIVHVDHPNIAKLIGYGVEGGMHLVLHLSPHGSLASILYGPRENLDWGIRYKVALGTAKGLLYLHEGCQRRIIHKDIKASNILLAEDFEPQISDFGLAKWLPDHWTHHIVSKFEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSHKSLVMWAKPLLSTNNIKELADPCLGDAYDLQQMKRLALTASICVHQSSMNRPQMNQIFQILEGDESILEYVTKRQKSKLQRTYSEELFDADEYNSTKYLSDRDKQLEFVLGPSNEF >ONI26656 pep chromosome:Prunus_persica_NCBIv2:G1:2621431:2622231:-1 gene:PRUPE_1G037800 transcript:ONI26656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPRRGKDLQKQGMEEKGSEEVRYRGVRRRPWGKFASEIRDPSRQGARLWLGTFDTAEEAARAYDRAAFNLRGHLAILNFPNEYYSQVMGSPPHPPRFSSSFSSSSSSSSSSSSSSSAAAAAAAPGGSSSTGQGRQVFEIEYLDDHVLEDLLDSQEEKNKRK >ONI31868 pep chromosome:Prunus_persica_NCBIv2:G1:31692633:31695090:-1 gene:PRUPE_1G335800 transcript:ONI31868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCKVCIKKNRTDWLNTLLLSKFFGSCGVHHDLRKNEKNVFCIDCSIRLCRHCMTAHCLHTKLQICKYVYHDVVRLQEIQKHLDCSKIQTYKINGEKAVHLNPRPLAKDAKPSTKAKFGASCEACGRYLQDMPNRYCSIACKASIVPVKPEDQSHKFIAVTIPQYGDFSLKGNCNSETNMSEMESSISLAESSEEMTAWVCSALKPRKLLHKRKGIPHRAPLC >ONI26384 pep chromosome:Prunus_persica_NCBIv2:G1:1473281:1475746:1 gene:PRUPE_1G021100 transcript:ONI26384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATEKDPREEVIQAWYMDDSDEDQRLPHHREPKEFVSLDQLTELGVLSWRLDADNYETDEELKKIREERGYSYMDFCEVSPEKLPNYEEKIKSFFEEHLHTDEEIRYAVAGSGYFDVRDRNERWIRVWLKKGGLIVLPAGIYHRFTLDTNNYIKVMAMRLFVGDPVWTPFNRPHDHLPARQEYVKAFVHKETGDHAVDAAA >ONI26383 pep chromosome:Prunus_persica_NCBIv2:G1:1472378:1476034:1 gene:PRUPE_1G021100 transcript:ONI26383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWAEARVVSKPLQKFQGSSARTQNKSRAMVATEKDPREEVIQAWYMDDSDEDQRLPHHREPKEFVSLDQLTELGVLSWRLDADNYETDEELKKIREERGYSYMDFCEVSPEKLPNYEEKIKSFFEEHLHTDEEIRYAVAGSGYFDVRDRNERWIRVWLKKGGLIVLPAGIYHRFTLDTNNYIKAMRLFVGDPVWTPFNRPHDHLPARQEYVKAFVHKETGDHAVDAAA >ONI26385 pep chromosome:Prunus_persica_NCBIv2:G1:1472378:1476034:1 gene:PRUPE_1G021100 transcript:ONI26385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATEKDPREEVIQAWYMDDSDEDQRLPHHREPKEFVSLDQLTELGVLSWRLDADNYETDEELKKIREERGYSYMDFCEVSPEKLPNYEEKIKSFFEEHLHTDEEIRYAVAGSGYFDVRDRNERWIRVWLKKGGLIVLPAGIYHRFTLDTNNYIKAMRLFVGDPVWTPFNRPHDHLPARQEYVKAFVHKETGDHAVDAAA >ONI28968 pep chromosome:Prunus_persica_NCBIv2:G1:14430629:14434412:1 gene:PRUPE_1G172600 transcript:ONI28968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDFEFPAATNNLNDADMDLPDVEDDAISPSPKVGEEKEIGKNGLKKKLVKEGEGWDTPGSGDEVEVHYTGTLVDGTKFDSSRDRGTPFKFNLGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVRDILKDGGVIKKILTEGEKWENPKDLDEVFVKYEARLEDGTLVSKSDGVEFTVQDGFFCPALAKAVKTMKKGEKVLLNVKPQYGFGEVGRPSVGDEGAVPPNASLEITLELVSWKTVSDVTKDKKVLKKTLKEGEGYERPNDGSVVQVKLVGKLHDGTIFTKKGHDDEPFEFRIDEEQVIDGLDRAVKNMKKGEVALVTIQPEYAFGSSESAQDLAVVPANSTVYYEVELLSFVKEKESWDLNTQEKIEAAGKKKEEGNVLFKAGKYERASKRYEKAVKFIEYDSAFSDEEKQQSKMLKVTCNLNDAACKLKLKEYKQAEKLCTKVLELDSRNVKALYRRAQAYIQLVDLDLAELDIKKALEIDPDNRDVKQEYKVLKEKVREYNKKDAQFYGAIFAKMNKFEQVKSASGGAKQDPVPMTIDSKA >ONI30971 pep chromosome:Prunus_persica_NCBIv2:G1:28637750:28639396:1 gene:PRUPE_1G285200 transcript:ONI30971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDDRTSFPLEECGTRETQITHPIPLPRSGGWDAQSQGSGAKPKTSERYKNPARPPAKLPTEILFCDILPQLPPKTLMRCKYVCKSWSSLFRSPSFVTAFNNFHCNDRNKSTTNFLFQKNSPLECRPNRVQCVHGLVCASSRCGPVFILNPSTQESIELPYVIDNYRFAYSTYHFGYSPQTNEYKVLQILSFRPKQLSNRDIRFNTFTLGRDSSWRPLQKIIVVFDVREETFRLVSLPEEYAREFGLDNYGRNRIGVVEVGGCVGVFADKSWGHNEIVLWILKDYQNLVWVKEIITAMPRGAGYVEALGTIHTGELALALYFHGNSPEFDDGPPQLLLYDMESKQYRILDFIFPNNMGVARGIPIKLITSYDDSIAPLK >ONI34848 pep chromosome:Prunus_persica_NCBIv2:G1:41530767:41532079:1 gene:PRUPE_1G502000 transcript:ONI34848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEPPAPAPVPAPAAVEPTLPHHDVKLFNRWSFDDVQVSDISLADYVGVVPAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIRHAMEIIHLLTDLNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >ONI27551 pep chromosome:Prunus_persica_NCBIv2:G1:7305081:7308426:-1 gene:PRUPE_1G094200 transcript:ONI27551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNNHNKYTNNSNCFTYSFANTMVPSAPAKILIGISTDPNDSQELLSWAIKVLAQPNDTIVAIHVLVGEERKKRDLVAKKQSQLRRAKAYVISVLGEFARACQSKQVNLEAKVVFSSSIGKGLIVETKSMSADYLLLCGSRNQSIRTPSITKYCFKHAPDSCSLVLFGKSKQPQENSDSHSTDSKENHQPSPIHSGRTTSSSKKQTVQETRSTNPSPRTVLGEFEAESQSTEDYSGSYGDSVLTESPSLAGDSALTESPSLAPPMFKSQIKIRKRGLSPCRIITSFLGSPFRKRTGSLSNNEKRLPLLKCFSYGEITAATNSFHPDNIVGRGGYSEVYKGDLSDGRTIAVKMLAKDSKDANKEKEFLMELGVILHVCHPNTANLVGCCIENGLYLIFDFSQNGNLSSALHGRSCESLSWPIRYKIAIGVARGLNYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMHGIVDEKIDVFAFGVLLLEIVTGRKPVDSSKQNLLLWAKPLMESGDIEKLADPKLEGKYDAEQLQRVVLTASCCVRQSSVWRPPMSEICFSFWLRCWSF >ONI27553 pep chromosome:Prunus_persica_NCBIv2:G1:7305304:7308426:-1 gene:PRUPE_1G094200 transcript:ONI27553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNNHNKYTNNSNCFTYSFANTMVPSAPAKILIGISTDPNDSQELLSWAIKVLAQPNDTIVAIHVLVGEERKKRDLVAKKQSQLRRAKAYVISVLGEFARACQSKQVNLEAKVVFSSSIGKGLIVETKSMSADYLLLCGSRNQSIRTPSITKYCFKHAPDSCSLVLFGKSKQPQENSDSHSTDSKENHQPSPIHSGRTTSSSKKQTVQETRSTNPSPRTVLGEFEAESQSTEDYSGSYGDSVLTESPSLAGDSALTESPSLAPPMFKSQIKIRKRGLSPCRIITSFLGSPFRKRTGSLSNNEKRLPLLKCFSYGEITAATNSFHPDNIVGRGGYSEVYKGDLSDGRTIAVKMLAKDSKDANKEKEFLMELGVILHVCHPNTANLVGCCIENGLYLIFDFSQNGNLSSALHGKASYGIRGHRKTSRSKTGRKI >ONI27550 pep chromosome:Prunus_persica_NCBIv2:G1:7304810:7308526:-1 gene:PRUPE_1G094200 transcript:ONI27550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNNHNKYTNNSNCFTYSFANTMVPSAPAKILIGISTDPNDSQELLSWAIKVLAQPNDTIVAIHVLVGEERKKRDLVAKKQSQLRRAKAYVISVLGEFARACQSKQVNLEAKVVFSSSIGKGLIVETKSMSADYLLLCGSRNQSIRTPSITKYCFKHAPDSCSLVLFGKSKQPQENSDSHSTDSKENHQPSPIHSGRTTSSSKKQTVQETRSTNPSPRTVLGEFEAESQSTEDYSGSYGDSVLTESPSLAGDSALTESPSLAPPMFKSQIKIRKRGLSPCRIITSFLGSPFRKRTGSLSNNEKRLPLLKCFSYGEITAATNSFHPDNIVGRGGYSEVYKGDLSDGRTIAVKMLAKDSKDANKEKEFLMELGVILHVCHPNTANLVGCCIENGLYLIFDFSQNGNLSSALHGRSCESLSWPIRYKIAIGVARGLNYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMHGIVDEKIDVFAFGVLLLEIVTGRKPVDSSKQNLLLWAKPLMESGDIEKLADPKLEGKYDAEQLQRVVLTASCCVRQSSVWRPPMSEVLELLTHGHNSEVAKSWIPKFSSDELDDYSMVFGYDVPVDIDLEDYL >ONI27552 pep chromosome:Prunus_persica_NCBIv2:G1:7304810:7308526:-1 gene:PRUPE_1G094200 transcript:ONI27552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNNHNKYTNNSNCFTYSFANTMVPSAPAKILIGISTDPNDSQELLSWAIKVLAQPNDTIVAIHVLVGEERKKRDLVAKKQSQLRRAKAYVISVLGEFARACQSKQVNLEAKVVFSSSIGKGLIVETKSMSADYLLLCGSRNQSIRTPSITKYCFKHAPDSCSLVLFGKSKQPQENSDSHSTDSKENHQPSPIHSGRTTSSSKKQTVQETRSTNPSPRTVLGEFEAESQSTEDYSGSYGDSVLTESPSLAGDSALTESPSLAPPMFKSQIKIRKRGLSPCRIITSFLGSPFRKRTGSLSNNEKRLPLLKCFSYGEITAATNSFHPDNIVGRGGYSEVYKGDLSDGRTIAVKMLAKDSKDANKEKEFLMELGVILHVCHPNTANLVGCCIENGLYLIFDFSQNGNLSSALHGKASYGIRGHRKTSRSKTGRKI >ONI29012 pep chromosome:Prunus_persica_NCBIv2:G1:14788206:14789869:1 gene:PRUPE_1G175400 transcript:ONI29012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRCRHRLALFSFVETLLLLKRVRSRPKRFRFPPSSKTFTPELSWRPLNSQIFLIFLISLPPSTLALDQTSKRDSSVEIDNPTSSSHLSSLGSRSLLVQDLVVSI >ONI29687 pep chromosome:Prunus_persica_NCBIv2:G1:22274020:22276400:-1 gene:PRUPE_1G208700 transcript:ONI29687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLKHGGFKANYFIFAMMFLDNIGFVANMASLVLYFMFVMHFDLSGSATTTTNYLGTTFLHTIVGGFISDAYMNRLNTTLLFGAIELLGYMLLIIQSHDTKLQPLPCGESTCVHGTKALLFYASISFVGLGGGGIRGAVPALGADQFDHKDPEEHKYIASFFNWFLLSITVGAVVGVTFVVYVSSNVGWDIGFIISLSCAAVGLIFVALGKPYYRVRVPGKSPLTRVLEVLVVSVKNWRLEVPQSPDELYEIRDRESVSRGELIPHSNQFRLLDKAAILPKGTNGAGKWKVCTVTQVEEVKVLTRMMPILLSTILMNTCLAQLQTLSIQQGTLMDTRIGDFDVPSASIPVIPLVFMSLLIPVYEFAFVPVLRKITGHPNGITHLQRVGVGLILSAISMAIAGIVEVKRKHEIIHHNHKISLFWLSFHYAIFGIADMFTLVGLMEFFYTEAPVGMRSLSTSFSWLSLSIGYYLSSVFVELINLITSKLTKSKTGWLEGRDMNKSHADLFYWFLAILSVLNFAVYVWCAKWYKYKNDVSLDEEMLLKAGGRKDDQSFSASVGNVSKAEEKEEKQNGAK >ONI34336 pep chromosome:Prunus_persica_NCBIv2:G1:39587352:39588599:-1 gene:PRUPE_1G475700 transcript:ONI34336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSAHLRQSVSIGPLHHGKEGLNVVLEENKQRYLQDFIGRTNVSLEDYIKKIKDHEARLRRCYGEPIKFSSDEFVTIILVGAAFVIEPLLKDYFKFWDENDRIFDKPGMLQDAWTDTWLLENQLPFFILEDLFDPQKITLPANADNNSITEGLSIICISFSFFEHLLPVDKLEDNLETFRSVAHIIDLFRKIFKLYQPLESKAGGEL >ONI31323 pep chromosome:Prunus_persica_NCBIv2:G1:29951145:29953429:-1 gene:PRUPE_1G306400 transcript:ONI31323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGQCSSLLWSCRPCTPRIPLSPVAPSPILSTPSPTPIRASSKQLRLSTSRIGRVVAAVVSEKNAIQSSFAGTDGFKLTYLEGNSWLWDVGGLKILVDPILVGNLDFGIPWLYDAAKKFLKNFQLSDLPEVDCLLITQSLDDHCHLKTLKPLSEKYLNLRVIATPNAQTLLDPLFSNVTYMEPGQSSVIEARSGSTVKVQATAGPVLGPPWQRPENGYLVSSPQGKFTLYYEPHCVYNKSSVEKERADIVITPVIKQLLPKFTLVSGQEDAVELAKLLHAKFIVPMTNGDLDSKGLLASLVQAEGTIESFKELLSKELPDAQVLQPTPGVPLEIPPLP >ONI31852 pep chromosome:Prunus_persica_NCBIv2:G1:31629601:31632422:-1 gene:PRUPE_1G334700 transcript:ONI31852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERDLEGKLLGSADLLNIINGDHENRAKPVTSSLPMNGGNGIYSYSKNSYYQLPEELQNKASPAWNKGRIHYTSAPNEVIEAYACQFAEDMERFLNARAKELVPQGMMVMIMTGIPKGMPYSQIPTGMLYDSISSSLMDMAKEGIIEEGEVDSFNLAFYAASPEEMAGIVEKNGCFGIERMELTNPAAWMKGPVDIPTLVTHVRAAMEGMFSRHFGSQVMDELFGRLTHKLLDISDLINSTCQEKVQLFAVLKRK >ONI31854 pep chromosome:Prunus_persica_NCBIv2:G1:31629832:31630825:-1 gene:PRUPE_1G334700 transcript:ONI31854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQTILEAMQRKYKSQISQSCPHDYDDQMPEFQVFFNDLESNDFNTLFTSLPQDRQYLAAGVPGSFHGRLFPESSIHFVHTSFSLHWLSKLPEELQNKASPAWNKGRIHYTSAPNEVIEAYACQFAEDMERFLNARAKELVPQGMMVMIMTGIPKGMPYSQIPTGMLYDSISSSLMDMAKEGIIEEGEVDSFNLAFYAASPEEMAGIVEKNGCFGIERMELTNPAAWMKGPVDIPTLVTHVRAAMEGMFSRHFGSQVMDELFGRLTHKLLDISDLINSTCQEKVQLFAVLKRK >ONI31853 pep chromosome:Prunus_persica_NCBIv2:G1:31629832:31632378:-1 gene:PRUPE_1G334700 transcript:ONI31853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERDLEGKLLGSADLLNIINGDHENRAKPVTSSLPMNGGNGIYSYSKNSYYQKQSAGVEREKIIEEIREKLDMKTLSSVSNTIRLADLGCATGQNTFMTIQTILEAMQRKYKSQISQSCPHDYDDQMPEFQVFFNDLESNDFNTLFTSLPQDRQYLAAGVPGSFHGRLFPESSIHFVHTSFSLHWLSKLPEELQNKASPAWNKGRIHYTSAPNEVIEAYACQFAEDMERFLNARAKELVPQGMMVMIMTGIPKGMPYSQIPTGMLYDSISSSLMDMAKEGIIEEGEVDSFNLAFYAASPEEMAGIVEKNGCFGIERMELTNPAAWMKGPVDIPTLVTHVRAAMEGMFSRHFGSQVMDELFGRLTHKLLDISDLINSTCQEKVQLFAVLKRK >ONI28109 pep chromosome:Prunus_persica_NCBIv2:G1:9684058:9686184:1 gene:PRUPE_1G123500 transcript:ONI28109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGEVQNKKKIAIIGVSALILVAMVVAVTVGVTVSRHKGKSGGEQTSTSTKAIQSICQPTDYKKTCEDNLSKVASNVTDPKELVKAGFQVAIGQLREVIKNSTTLKELAKDSRANQALQNCKELLEYAIDDLGDSFDKLGPFDFTKLDSYVEDLKVWLSAAMTYEQTCLDGFQNTTGDAGAKMRQFLKTSQELTSNGLAMVSEVSTLFKALNIKTGRRLLQDAATATDENRFQRAKIIPAWIDNRRLDLATATPLTLKPDVVVSKKGDGKYKTINEALKDIPKNNEVKVFVIYVKEGVYDEHVFFDKHMTNVMLIGDGPTKTVITGRKNYADGTQTYQTATVAVVGDYFIAKDVGFENTAGAIGHQAVALRVQSDLSIFYNCNMDGYQDTLYTQTHRQFYRDCTISGTIDFIFGDAAAVFQNCKMIVRKPLENQACMVTAHGRLDMRSPSALILQNCTISGERGYDKELNKAYLGRPWTSLARAIVMQSQIDDVIAPEGWMEWTGTANHNTCWFGEFGNRGVGAELSKRVTWSGMKKLTPEQAADFTAGKFIFGDRWILPSGVPYVAGMMTGV >ONI27101 pep chromosome:Prunus_persica_NCBIv2:G1:4881439:4884062:-1 gene:PRUPE_1G068500 transcript:ONI27101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALCVHSTKKELDFLQLRQQLKQRIRNNHAKEVVSVCDGSIDKKNKLPCDNFGSFFGPSQHSIVQRLIEESKSLMPELKVLASRVLKNSQDKGKKSLKIPASATTKSRSTDLKLKCKSIKESRDYSFLFSDNAEVPKASKEEPALGKDSASKPSEERNPGSGFGIQRSSGTGRDLKTMGARLIFRSSTKKELDFLQLRQQLKQRIRNNHAEEVVVSVRDGSIHEKNKMPCDNFGSFFGPSHHAIAQRVIQESKALMPELKVLASRAFRNSQDQGKKSLKIPVDLKLKCKSIKESRDYSFLFSDDAEIPKSSKGEPALGKVSTPKPSEERNPGFGGIRRGSGRDLKIVRKQNPEEKERPKKPSCVMKEKERHRKRFRVEEDEDDDSVMVSTFDDILREERKSERIGRKEDEKERLLIQQEEKQARFRKAKKLRASKN >ONI29150 pep chromosome:Prunus_persica_NCBIv2:G1:16208305:16209197:-1 gene:PRUPE_1G183200 transcript:ONI29150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNGPACSANWLLCLIYKEKEFCLAHKQPIIDTSRQGSQTVKMSTGWLYLKPQLGDQKQGSKDSSKANTDQQNSNSSKSPSVSTKTLQPKKKDKGGRGNDFNIVGNNITGIKSERVGIFELGNTRIYKGQGKE >ONI29400 pep chromosome:Prunus_persica_NCBIv2:G1:18727686:18730075:-1 gene:PRUPE_1G196200 transcript:ONI29400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLRSQSRWCPLEQQLLQKRNSRENWDRFIPNRSAMDFDYAFYMLTHQGSSTRKGKENPPRYGVGYRKQLAEAMNMNRSRILAFKNKTPPPVELFPSNFSSDKPTESNPPKARRRYISQSADRTLDAPDLIDDYYLNLLDWGSSNVLAIALANKVYLWDATDDSTWELATFEDERGPVTSVNWAIDGRQIAIGLNNSEVELWDTAASSHVITLRGCHLSGVGSLAWNNHILTTGGMDGRIVNNDVRIGSHIVVETYRGHEQEVCGLKWSASGQQLASGGNDNLLHIWDNRSYSRTRWLHRLQDHTAAVKALAWCPFQRNLLASGGGGNDDGCIKFWNTHTGACLNSVDTGSEVCALLWNMNERELLSAHGFSQNQLTLWKYPSMFRIAELTGHTSTVLYMAQSPNGCTVASAAHETLKLWNAFGDPKEVKPAPKADPEPFAHLNRIH >ONI29556 pep chromosome:Prunus_persica_NCBIv2:G1:19913628:19917352:-1 gene:PRUPE_1G201600 transcript:ONI29556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDSKVATKNIKTKVATEFFSWSLGGRFLKPQRPRSTVYRNTTPSTEALMSSIKFHIPQLGFKKNYPEPHLRYRLALPSSSSTPSHIVCGLRGGPRKPLWRSRVLSTEAIQAVQSLKLSKSNPSKLEQVMGGRLSRLLKADLLDALAELQRQNEVELALKVFKFVREEVWYKPDLSLYCSMILLLGKNKLIEMAEELFSGLKEEGLEYDTRAFTEMIGAYIQVGMTEKAMETYELMKASGCAPDKLTFTILIRNLEKVGEEELAAHIKKYCAEYVDSPEKFFEEVERKHRTRSFNLV >ONI33157 pep chromosome:Prunus_persica_NCBIv2:G1:35781922:35782803:1 gene:PRUPE_1G408700 transcript:ONI33157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKALAFMEGKWFPWFLKAGLIGLVMFAGLTYEDQVGEAETGLLCISECTTCPVICSTPPPPQLESSSPPPSPPPVHPSPSQSYYSSPPPSPPPPPPSPPKQSPSPSSYSKGAPPPPSFVYFFNMPPSGPVPTTTTTTTGVLHNNSYPYYYFYASEASSLSAHAFFLFVFLVQLVFSFW >ONI28725 pep chromosome:Prunus_persica_NCBIv2:G1:12525402:12526046:-1 gene:PRUPE_1G157800 transcript:ONI28725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVVEDLASELLYLHEILQQPLLHKNIKLSNVMVDSDFRAKLGDFKFGNRKEPQMITKSYMAPEYINTGQLSKKSDVFSFEIVALEISCGKKPINPKFGGSQVNMVEWVWELYVKGRVIEAADPKLRGDFDEKQMECLLIVGLWCAHPNYNSRPSIQQVIQTLNFEVAVPILPSKMRTNSSPLTISISGSCSSIGSEGRQVDDSGPIISPIAH >ONI26278 pep chromosome:Prunus_persica_NCBIv2:G1:1074851:1075546:-1 gene:PRUPE_1G014600 transcript:ONI26278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIMWKNLCFPNFIKCLPTIQAPPDQPSNKNSPSSPSTTTCTSLLIKNFNTLYDFNLINSSSSSSSADDVHTSSSDSDSDLSPPDFATIFASQRFFFSSPGRSNSITESPHTTTTKPNKPPHKTTLVTKGVRVRKFSSNPYFDFRRSMEEMLEANRDHHMLVGHDHHHHSTSDDVEYLHELLLCYLSLNPKHAHKDIISAFTDLVICLLSANSSAPASAADDRRENPKTH >ONI29701 pep chromosome:Prunus_persica_NCBIv2:G1:22358982:22360810:-1 gene:PRUPE_1G209700 transcript:ONI29701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPACLFLNKMPKLKRRLLLTVLLLLAVSSASWFAIFLLHSSEEQGSPSNLGRLSASPPTPLPVDSGPYHNWQLFAADFEDMIQSFKIYVYPTNSASSSFASSASIFLPHPNPFDPKLGNYFSEHMFKLSLLSSSLITTNPEKANLFFLPFSVNLLRNDPRVHSEPSIADFVARYTTSISQDLPFWNASLGSDHFYACCHSVCREASSKHVGLRNNAIQLTCSSSYFQRFYVTHKDVALPQVWPRPPQHALNPPHARDKLVYFAGRIQNSNIRQQLVDLWGNETYMDIFPGQPSYPYEEGFRRSKYCLHVKGYEVNTARVSDAVHYGCVPVIISNHYELPFANVLDWSKFSVIVNNGDVALLKRTLLSITRRKYMSMYHNLCEVRRHFVWHETPKGYDSFYMTAYQLWLKRSSLRLSS >ONI33939 pep chromosome:Prunus_persica_NCBIv2:G1:38199135:38201298:-1 gene:PRUPE_1G454800 transcript:ONI33939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKEANPTFSKSTGLPRKRFYRARAHSNPLSDSHFPVPISPGHVDYSLHYPQHFPSSDQVDSGKKIQFADIGCGFGGLLISLSTLFPETLMIGMELRDKVTEYVKERILALRVTNPGQYQNISVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISPFLLDEYAYVLEVGGIIYTITDVEELGDWMKACLENHPMFEALTDEELKADPVTKLLSSATEEGQKVDRNGGQTFRAVYRRVAPSL >ONI30417 pep chromosome:Prunus_persica_NCBIv2:G1:26219610:26220226:1 gene:PRUPE_1G250000 transcript:ONI30417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFIQPLLAAAAQRRIFTCISASDLHHHLFCNFFMFGISCRDSLGSLCSFHLSFCESFSSLLCESFICIVMAWFFQALSLFYYSKFAILVGMPMPEFL >ONI33287 pep chromosome:Prunus_persica_NCBIv2:G1:36092586:36096869:1 gene:PRUPE_1G415000 transcript:ONI33287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLASAVVLLFLVSLSSFAAGSRDLIGDVLRLPSEASRFFGRGDDGPDEQDDGTVGTRWAVLIAGSNGYWNYRHQADICHAYQLLKKGGLKDENIVVFMYDDIAYNEENPRPGVIINSPHGDDVYKGVPKDYTGDDVTVNNFFAAILGNKTALTGGTGKVVDSGPNDHIFIYYSDHGGPGVLGMPTSPYIYANDLIEVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPEGLNIFATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDIHNLRSETLHQQYELVKTRTANDNSGFGSHVMQYGDVGLSKENLFVYMGTNPANDNYTFLGENSLRPSTKAVNQRDADLVHFWHKYRKAPEGSPRKIQAQKDFVEAMSHRMHMDQTMKLIGKLLFGIKKGPEVLNTVRPAGQPLVDDWDCLKTMVRSFETYCGSLSQYGMKHMRSLANICNAGMTKEQMTEASAQACTSVPSSRWSSLHRGFSA >ONI33288 pep chromosome:Prunus_persica_NCBIv2:G1:36092706:36096041:1 gene:PRUPE_1G415000 transcript:ONI33288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLASAVVLLFLVSLSSFAAGSRDLIGDVLRLPSEASRFFGRGDDGPDEQDDGTVGTRWAVLIAGSNGYWNYRHQADICHAYQLLKKGGLKDENIVVFMYDDIAYNEENPRPGVIINSPHGDDVYKGVPKDYTGDDVTVNNFFAAILGNKTALTGGTGKVVDSGPNDHIFIYYSDHGGPGVLGMPTSPYIYANDLIEVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPEGLNIFATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDIHNLRSETLHQQYELVKTRTANDNSGFGSHVMQYGDVGLSKENLFVYMGTNPANDNYTFLGENSLRPSTKAVNQRDADLVHFWHKYRKAPEGSPRKIQAQKDFVEAMSHRMHMDQTMKLIGKLLFGIKKGPEVLNTVRPAGQPLVDDWDCLKTMVVLLLFIFSLMLLKLF >ONI28768 pep chromosome:Prunus_persica_NCBIv2:G1:12744729:12745368:1 gene:PRUPE_1G160100 transcript:ONI28768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQSKEVSDAKSSRSTDAGGISGLPSSVKKSESKGTNQPHEQGRRNYSYPPNYASLVLFFKLMMKAMLIILGIGQYQT >ONI28790 pep chromosome:Prunus_persica_NCBIv2:G1:12889040:12891211:-1 gene:PRUPE_1G161400 transcript:ONI28790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASLANQRASSSSASFTNSWKHDVFLSFRGLDTRSNFTGHLYSALCQQGINTFMDDDELRRGEEISSTLLTAIEDSKISVVVFSKNYASSKWCLEELVKILDCKKSEQLVIPVFYKVNPSDVRNHRGSFGDALANVECKYKDNMEKVNRWREALSQAANLSGFTLLDE >ONI28679 pep chromosome:Prunus_persica_NCBIv2:G1:12203071:12207236:-1 gene:PRUPE_1G154700 transcript:ONI28679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTLNSERRPFSIKLWPPSQNTRQVLVDRMINNLSTKSLFTEKYALLSKEEAEQNAKRIEDVAFATADQNYEKEPDGDGSSAVQLYARECSKLLMEVLKKKPRSKEDSKVTSDTTPTQTLFDISKGVRAFIEAEEAEKLLKPLMEPGNTYTKICFSNRSFGLGAAHVVGPILVSLKNQLKEVDLSDFIAGRPEAEALEVMNIFAAALEGSALESLDLSNNALGEKGVRAFGALLKSQSCLEELYLINDGISEEAARAVCELIPSTKKLRVLHFHNNMTGDEGAIAISEVVKRSPLLEDFRCSSTRVGSEGGIALSEALETCTHLKKLDLRDNMFGVEGGVALSKALSKHESLKEVYLSYLNLEDEGAVAIANALKESAPSLEVLDIAGNEIKAKSVPAIAACIAAKTLLTKLNLGENELKDEGTIQISKVLEGLPQLKEVDMNTNLIRRAGARVLAQALVQKPGFKLLNINGNFISDEGIDEVKDAFKKSPDMLGSLDENDPEGEDDDGDDDKESEKGEGNEDELESKLKNLEVGQEE >ONI28678 pep chromosome:Prunus_persica_NCBIv2:G1:12203071:12207209:-1 gene:PRUPE_1G154700 transcript:ONI28678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTLNSERRPFSIKLWPPSQNTRQVLVDRMINNLSTKSLFTEKYALLSKEEAEQNAKRIEDVAFATADQNYEKEPDGDGSSAVQLYARECSKLLMEVLKKKPRSKEDSKVTSDTTPTQTLFDISKGVRAFIEAEEAEKLLKPLMEPGNTYTKICFSNRSFGLGAAHVVGPILVSLKNQLKEVDLSDFIAGRPEAEALEVMNIFAAALEGSALESLDLSNNALGEKGVRAFGALLKSQSCLEELYLINDGISEEAARAVCELIPSTKKLRVLHFHNNMTGDEGAIAISEVVKRSPLLEDFRCSSTRVGSEGGIALSEALETCTHLKKLDLRDNMFGVEGGVALSKALSKHESLKEVYLSYLNLEDEGAVAIANALKESAPSLEVLDIAGNEIKAKSVPAIAACIAAKTLLTKLNLGENELKDEGTIQISKVLEGLPQLKEVDMNTNLIRRAGARVLAQALVQKPGFKLLNINGNFISDEGIDEVKDAFKKSPDMLGSLDENDPEGEDDDGDDDKESEKGEGNEDELESKLKNLEVGQEE >ONI28173 pep chromosome:Prunus_persica_NCBIv2:G1:10046006:10047060:1 gene:PRUPE_1G128700 transcript:ONI28173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYESEFTSEIPPPRLFKAFVLDADNLVPKIAPQAIKHSEILEGDGGPGTIKKITFGEGSQYGYVKHKIDSIDKENHSYSYTLIEGDALGDNLEKISYETKLVASPSGGSIIKSTSHYHTKGDVEIKEEHVKAGKEKASNLFKLIETYLKGHPDAYN >ONI30317 pep chromosome:Prunus_persica_NCBIv2:G1:25750407:25755487:1 gene:PRUPE_1G244000 transcript:ONI30317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPSTMVKPLCNLHAPLLSSFHHKQPLILLTPQKLHHFTSATRTSTKPRLSLSPHYSNSRRFRFSPPKSTSINGYAAEEYKNDPRETEVELLERLRRLFAFLRSILPGGTWWSFSDEAQIRIFAKPVTVVRALTRMWGLVSRDRWVIFAAFSALIVAALSEISIPHYLTASIFTAQSGEVAVFRQNVRLLVLLCVVSGICSGIRGCCFGIANMLLVKRMRETLYSSLLLQDIFFFDTETVGDLTSRLGADCQQVSRVIGNDLNMILRNVLQGTGAMIYLLILSWPLGLCILVICSTLAAIMLIYGRYQKKAAKLTQEFTASANEVAQETFSLVRTVRVYGTEKQELGRYKLWLGKLADISLRQSAAYGFWNLSFNALYHSTQVIAVLVGGMSILAGHITAEKLTKFILYSEWLIYSTWWVGDNLSSLMQSVGASEKVFQLMDLLPSDQFISKGSRLQRLVGHVEFVNVSFHFATRPTVPVLQSISLSVKPNEVVAIVGLSGSGKSTLVNLLLRLYEPTNGQILIDGFPLQELDVKWWRERIGFVGQEPKLFRMDISSNISYGCTRDITQEDVEWAAKQAYAHDFILALPNGYHTLVDDDLLSGGQKQRIAIARAILRDPTILILDEATSALDAESEHNVKGVLRAVRSDKTTRRTVMVIAHRLSTIQAADRIVVMDAGRIVETGSHRELLVDDGLYARLTRRQADAVA >ONI35187 pep chromosome:Prunus_persica_NCBIv2:G1:42746162:42751584:1 gene:PRUPE_1G521200 transcript:ONI35187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSSSSLIPTKSAFIQGQGQSLLPSPRTHQPSLPIRPVRPIVAVHAAEPAKNPVVSDKPTKQQSAPTTSSPSSSAKTHNAVPAKWSVETWKSKKALQLPDYPNQEDLESVLRTIESFPPIVFAGEARSLEERIGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGVVLMFGGQMPVVKVGRMAGQFAKPRSDAFEEKDGVKLPSYRGDNVNGDAFDLKSRTPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELASRVDEALGFMTAAGLTVDHPIMTTTEFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMLWAGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEILNPQNKAGRITVITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRRRRIKSQSSVTSLGL >ONI29272 pep chromosome:Prunus_persica_NCBIv2:G1:17562050:17564746:-1 gene:PRUPE_1G190000 transcript:ONI29272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRTTHTYSSEDAAPDGPDSDIFVYYCKHCGSHLLITDTQLQKMPKRKTDKAYVLDKSKHLARLNIAEAGKVVLKRGEGKMEKQFRMNCVGCGLFVFYRSEEDLEGASFIYVVDGALSTVAAETNPQDAPVPPCISNLDGGLVQVAIEVEDRAQRSEC >ONI29271 pep chromosome:Prunus_persica_NCBIv2:G1:17562050:17564483:-1 gene:PRUPE_1G190000 transcript:ONI29271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKTDKAYVLDKSKHLARLNIAEAGKVVLKRGEGKMEKQFRMNCVGCGLFVFYRSEEDLEGASFIYVVDGALSTVAAETNPQDAPVPPCISNLDGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLNQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >ONI29269 pep chromosome:Prunus_persica_NCBIv2:G1:17562339:17564745:-1 gene:PRUPE_1G190000 transcript:ONI29269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRTTHTYSSEDAAPDGPDSDIFVYYCKHCGSHLLITDTQLQKMPKRKTDKAYVLDKSKHLARLNIAEAGKVVLKRGEGKMEKQFRMNCVGCGLFVFYRSEEDLEGASFIYVVDGALSTVAAETNPQDAPVPPCISNLDGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLNQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >ONI29270 pep chromosome:Prunus_persica_NCBIv2:G1:17562050:17564854:-1 gene:PRUPE_1G190000 transcript:ONI29270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKTDKAYVLDKSKHLARLNIAEAGKVVLKRGEGKMEKQFRMNCVGCGLFVFYRSEEDLEGASFIYVVDGALSTVAAETNPQDAPVPPCISNLDGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLNQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >ONI35828 pep chromosome:Prunus_persica_NCBIv2:G1:45427299:45433438:1 gene:PRUPE_1G556200 transcript:ONI35828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQVLLSSLQFLLAAFKLTIFLSLLFPPCMALKFETEALLDFKGQLKDPLSFLDSWNETAESPCGFFGVTCESGRVNGISLDNKNLSGEISPSIGVLDSLTTLSLPLNNITGRLPAQLTRCGNLRVLNITGNKMMGRIPDLSALANLKILDLSANSFSAAFPSWVTNLTGLVSLGLGENDFDEGEIPEGLGNLKNLTWLYLVASQLRGEIPESVYEMKALQTLGMSKNKLSGKLSKSISKLQNLHKIELFYNNLTGEIPPELANLALLREFDISSNKFYGKLPSVIGNLKNLVVFQLYGNNFSGEFPAGFGDMEHLSAVSIYGNRFSGEFPTNFGRFSPLASIDISENLFSGGFPKFLCEQGKLQFLLALDNNFSGELPDSYAHCKSLERFRVNQNRLSGKIPTEVWSLPKAKIVDFSDNDFSGGVSPSIGFSTSLNQLILQNNRFSGNLPLELGKLSTLERLYLSNNNFSGDIPSEIGALKQLSSLHLEQNSLTGPIPSELGNCVRLVDMNLAWNSLTGNIPSTFSLISSLNSLNLSENKLTGSIPENLVKLKLSSIDLSGNQLSGRVPSDLLTMGGDKAFNGNKGLCVDQYSRSRTNSGMNICTKKPSQKKVLENKLALFSVIASALVAILAGLLLVSYKNFKLGEADRENDLEGGKEIDPKWKLASFHQLEIDADEICALEEENLIGSGSTGRVYRIDLKKGGGTVAVKQLWKADGMKLLTAEMDILGKIRHRNILKLYACLVKGGSSLLVFEYMPNGNLFEALHRQIKGGQPELDWYQRYKIALGAARGISYLHHDCSPPIIHRDIKSTNILLDNDYEPKVADFGVAKIAENSQKGSDYSSLAGTHGYIAPELAYTPKVTEKCDVYSFGVVLLELVTGRRPIEEDYGEGKDIVYWVSTNLSDRENVVKILDDKVANESVLDDMIKVLKVAVLCTTKLPSLRPTMRDVIKMLTDADPSTFRSPKSNSDKNGKDFSSHELLI >ONI36194 pep chromosome:Prunus_persica_NCBIv2:G1:46787177:46787533:1 gene:PRUPE_1G574400 transcript:ONI36194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARYEKCLTETDVGSRFALPISWLSVLPPIEQGSHEFHLQVTDGFGFFWEFCCSIRRVGRYRKPVFQSEGWLKFVNHKGLKVGDKIVLDSEANDFRGTQFRIRAQKLNKECDQWLDV >ONI26422 pep chromosome:Prunus_persica_NCBIv2:G1:1663941:1667846:-1 gene:PRUPE_1G023700 transcript:ONI26422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMLYGAQNLKLIGGIAPATGLGSDGTKFHFGGFSKKGLVSYGGSYKTRTLAPICSVSSSRPASQPRFIQHKQEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYDRNMVVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKEKEPLKECKIVEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFKNAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLQLGPKVEDVRKPVNPLVFLFRLILGAMAGAYYVLVPIYMWIKDQIVPKGRPI >ONI26421 pep chromosome:Prunus_persica_NCBIv2:G1:1663890:1667757:-1 gene:PRUPE_1G023700 transcript:ONI26421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMLYGAQNLKLIGGIAPATGLGSDGTKFHFGGFSKKGLVSYGGSYKTRTLAPICSVSSSRPASQPRFIQHKQEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYDRNMVVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKEKEPLKECKIVEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFKNAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLQLGPKVEDVRKPVNPLVFLFRLILGAMAGAYYVLVPIYMWIKDQIVPKGRPI >ONI26424 pep chromosome:Prunus_persica_NCBIv2:G1:1664449:1667285:-1 gene:PRUPE_1G023700 transcript:ONI26424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMLYGAQNLKLIGGIAPATGLGSDGTKFHFGGFSKKGLVSYGGSYKTRTLAPICSVSSSRPASQPRFIQHKQEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYDRNMVVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKEKEPLKECKIVEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFKNAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLQLGPKVEDVRKPVNPLVFLFRLILGAMAGAYYVLVPIYMWIKDQIVPKGRPI >ONI26423 pep chromosome:Prunus_persica_NCBIv2:G1:1663941:1667757:-1 gene:PRUPE_1G023700 transcript:ONI26423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMLYGAQNLKLIGGIAPATGLGSDGTKFHFGGFSKKGLVSYGGSYKTRTLAPICSVSSSRPASQPRFIQHKQEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYDRNMVVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKEKEPLKECKIVEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFKNAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLQLGPKVEDVRKPVNPLVFLFRLILGAMAGAYYVLVPIYMWIKDQIVPKGRPI >ONI26866 pep chromosome:Prunus_persica_NCBIv2:G1:3607456:3608786:1 gene:PRUPE_1G051500 transcript:ONI26866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLESVRDFTIQEAKFLSGVSHQVEVAQTELQLMQGFLKDADARQGQDETVRIWVAKIRDAAYDLEDVIQTYGLKVVSKKKRGLKNVLKRFACIFKEGVDVHRIGNEIENITTRISDLRSSLQKYNIKQTRESSGGESSLQLHERLRRSYSHVVERDVVGLESNVEELVVHLVKDENRHQVVSVWGMGGLGKTTLARKVYHHKKVRQHFLSFAWVCISQRFQVRNVWERILIELTSATKEPKQEIKDMTDDEIAKKLFCVMEEMRCLVILDDIWSIETWNLLKVAFPNVETESTILLTTRNQAVASLPNRNVFLHKLQPLNENESWELLVKKAIPARAEIGIFSPFIFSFPGPYYIASHIETITLHMTQLM >ONI35205 pep chromosome:Prunus_persica_NCBIv2:G1:42798259:42799109:-1 gene:PRUPE_1G522300 transcript:ONI35205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONI35204 pep chromosome:Prunus_persica_NCBIv2:G1:42798116:42799567:-1 gene:PRUPE_1G522300 transcript:ONI35204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONI26238 pep chromosome:Prunus_persica_NCBIv2:G1:909697:913606:1 gene:PRUPE_1G011900 transcript:ONI26238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTLKLVFFLLFSFFTFSPITSSHHPLDPLTPSEFKLVRTLVKKSYPSPKHNLTFQYISLDEPDKPALHSWLSKPKSKPPPRRAFVITRLNRQSHELIVDLSNRYIVSDKVYNGNDGYPLLTLDEQTVASELPFSYKPFIDSVKKRRLNLSEVVCTTFSVGWFGEEHSGRVIKILCFYVEGSANLYVRPLEGVSLLVDLDELKIVEYYDRARVPVPKAEGTEYRRSKQKPPFGPRLNRFAIEQPDGPGFKINGHKISWANWEFHVGFDVRAGPIISLASMYDLEKGTYRQVLYRGFVSELFVPYMDPTEEWYYKTFFDAGEFGFGQSAMPLEPLADCPANAAFIDAYYAGEDGTPVKISNAICIFEQHSGSVLWRHTEIAISGEVITEVRPEVSLIVRMVTTIGNYDYILDWEFKPSGSIKIGVGLTGILEVKAADYTHTSQITEEAYGTLLAKNTIGVYHDHFLTYYLDLDVDGEANSFVKNNLVTKRVTDKSSLRKSYWTVESETAKTESNAKIQLCLKPSELVVVNPNKKTKRGNKIGYRLIPGSISNPLLSFDDFPQIRGAFTNYNVWVTPYNKSEKWAGGQYIDRSHGDDTLATWSLRNRGIENKDIVLWYTMGFHHVPSQEDFPIMPTLSGGFELRPTNFFESNPVLKTKPPIHVNCTTKP >ONI31189 pep chromosome:Prunus_persica_NCBIv2:G1:29339368:29339616:-1 gene:PRUPE_1G297400 transcript:ONI31189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKPSFKFASLALLLLLAAVAVGKVPGAEARGKLRCPRMIDCSKVCQGFPSRCINGDCICDGGNPPPKLQVEVNCEPNQLP >ONI29178 pep chromosome:Prunus_persica_NCBIv2:G1:16871290:16874428:-1 gene:PRUPE_1G185700 transcript:ONI29178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHIAAMEEQLVSERIKQKLNQVNLAAQTHLSPVQDHVNFTLQQAYFKCAYECFDRRRSQQEISNCVENCSVPVVTAQQRVEGEMARFQERLSRALMVCQDKHDVAKQQKATNTLNNLESCVEEATQESIKTLPHLAETLKASLSINSSVSNN >ONI33836 pep chromosome:Prunus_persica_NCBIv2:G1:37898077:37902059:-1 gene:PRUPE_1G448900 transcript:ONI33836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDLLSICQAETRRLLWIAGMLFAVILVVRHLELPYGNLLSSILSSTKVPLVGKSGFQAGYSPSNSEIVGNLSLSNDLNNTGTYAIHEKASNTRSSDSVLEGHEGSNRALEINEDEDDGKDASSGNLVKQNRTIIVENIKPLETNFAQEGGREPEVSSVEKKNTTDNTYLEGRIGNENNTVDVVNSTAGLPVSSPAPPMMNSSPSTAPAIFETNVGAPIKSVDSNVTSVEKDRTTPSEKTENSEQLHSDLNQTEHNSSMTRVPEVKIEPEVPILDVYSISDMNNLLLQSRASYNSMLAQWSSPADQELQYVASQIENAPIIKSDPTLYALLYRNLSVFKRSYELMEDTLKVYVYREGERPILHSPFLKGIYASEGWFMKQLEADKKFVTKNPQKAHLYYLPFSSRTLEERLYVPNSHSHKNLIQYLKDYVDMIAVKHPFWNRTGGADHFLVACHDWAPSETKKYMATCIRALCNSDIKEGFVFGKDVSLPETYIKNDKNPLRDLGGNRPSKRSILAFFAGSMHGYLRPILLQHWEDKDPDMKIFGKLPKVKGNKNYVRYMQSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWESFAVFVLEKDIPNLKNILLSIPKKKYLQMQMRVKKVQKHFLWHAKPEKYDIFHMILHSIWYNRLHQLKPI >ONI34383 pep chromosome:Prunus_persica_NCBIv2:G1:40091042:40094140:-1 gene:PRUPE_1G479600 transcript:ONI34383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDILISFFIFCIASSQLLGIVYSKTTFDVVKFGAVGDGQTDDTEAFVKAWTALCGAAAGGDDVPTLTIPGGKTFLLQPSEFEGPCKSKSVHVQVSGNLVAPKTPDAWKVCPPHSWLVFRKVDNLIIDGSGKIDGQGSAWWGEDSSLINALHFLRCDHLRLSGLTHINSPRSHIGISTSNDVEISHLTIIAPDESPNTDGINVTNSTYVNIHDSKIGTGDDCITIMSGSSNVRIAKIACGPGHGISVGSLGADGAYAAVENIYVRDCSFIGTQNGARIKTWPGGSGYARNITFENIILTAAKNPIIIDQQYCNGAHNCPKKVTFFCHTCIKAVLVSNVRFTGFRGTSDNEEAIKLDCNHISGCQNIELVKINITSTVPSKKVYASCNNAKGNSGSTVPTVSCLKYPTRPFI >ONI27800 pep chromosome:Prunus_persica_NCBIv2:G1:8472786:8474395:1 gene:PRUPE_1G105300 transcript:ONI27800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKRDQPDLEKRLCGVCGSTERWFLHYVRHRGHFRKLCTNCVLKNNPGLFCPICLDFFEHPLPARDQVMCVRCPSISHSACVAANSSFRSFQCPPCSQPTFSFFNLARQNDGQDAKTTVVIDKDAAKALVAAARIAAALMTKAAVAARVDAERRVKEAVVAKKKAREALERLTSLVSKEKEKDSKSGGVVSAPESLNAKPKLEGPGPIQVAPKISEPEGSNGLKTSHADPMEED >ONI27801 pep chromosome:Prunus_persica_NCBIv2:G1:8472810:8474395:1 gene:PRUPE_1G105300 transcript:ONI27801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKRDQPDLEKRLCGVCGSTERWFLHYVRHRGHFRKLCTNCVLKNNPGLFCPICLDFFEHPLPARDQVMCVRCPSISHSACVAANSSFRSFQCPPCSQPTFSFFNLARQNDGQDAKTTVVIDKDAAKALVAAARIAAALMTKAAVAARVDAERRVKEAVVAKKKAREALERLTSLVSKEKEKDSKSGGVVSAPESLNAKPKLEGPGPIQVAPKISEPEGSNGLKTSHADPMEED >ONI26068 pep chromosome:Prunus_persica_NCBIv2:G1:249807:256504:1 gene:PRUPE_1G002400 transcript:ONI26068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHQDDQDRIVSTGERPVKSDMVKDQPVSSEDERIVSVNPSPAAVITGPSSDATEQPKSSDVGGERSTPHPLNLYASQEQSISYGYGSNTGTWDGYSQYLNADGMHVVSPVIYNDNPSLLFHSGYGFNPEIAYGQYSPVATPLPSVMVDGQLYSTQQVPFSPSYYPQPSPPSMSHISSAIPVSPTELMTSESNRTDNMAFGPGSGYLVNFRSFSGGDPSGHLGSSALASPAIYPPPMGILGSYEHSVGQISHQQGPAHGFGLISSSYTGRYPHGSSYQSSNFGDASLSYSFGNDRNRLTVDKSRRRERDWDSICVFNSSHDGFNDRNRGPRASKLKGKNASDQSSYSAKKMGLSASGINLDSFNRLDFVTDYEDAKFFIIKSFSEDNVHKSIKYSVWASTPHGNKKLDAAYHEAQRIKGSCPVFLFFSVNASGQFCGVAEMVGSVDFEKDADYWQQDRWSGQFPVQWHIIKDVPNIRFRHILLENNDNKPVTHSRDCQEVNLKQGIELLKIFGDYDARTSIIDDFEFYDEREKSLKERKVRQQACSTTDGSDSLAVDSVKEISNSFDEALKLKGNSSEEVSGTELNISSKTDASAIFEHDSVDQISDSLSQVLQLEKGDKEIVGQSERSGGHHSESVDKKVATVVSTGSA >ONI34545 pep chromosome:Prunus_persica_NCBIv2:G1:40508003:40511745:-1 gene:PRUPE_1G486600 transcript:ONI34545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVVKDEWMRAAMTDDMLVVELLVRLKQSQTAASSSSSPLLESIPLRWGIRLPRSKSASSASRFDGVVSRQRNISKHGTDSATRCSPTTPLSWSGGTGSPSATADGFEESSCPRSKGTATYESTSTTTATKRARRKKTFAELKEEESSLLKERTYLEKEIATLQATFKQQRAKNENFKRIKLDLNMHPARNLSANFDGAGKAISSQPHERTASSTYGIPSNLPPHAEAQSDSYSEREAVSARDNSFLLPDLNMEPCGEESGSETLYGMS >ONI28018 pep chromosome:Prunus_persica_NCBIv2:G1:9241470:9241796:1 gene:PRUPE_1G117400 transcript:ONI28018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPIVPHRLVEARRRTEISSVVSVLISLISDTWANGPHDIKLISLGGGPTTVACYWGSQASPKRCTTAWAWRTPPKQVKKFPSQANL >ONI30212 pep chromosome:Prunus_persica_NCBIv2:G1:25288069:25293404:-1 gene:PRUPE_1G238200 transcript:ONI30212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFSSPRNDSFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLARDALNLLREKKDGYDIVVSDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEIRDIESHESIEGIQLIRNGSDQYDEGYFFSAEDLTSSRKRKDVDNKYDDKDFSDCSSAKKARVVWSVDLHQKFVKAVHQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKENDIKSSFGGTKHSDYSSKDLQGILSVQNSINIQQNDVAHGSYRFSGNNLVAQNADPKSNEGDIKGIVSEPVAEPKKGTTGNIPDSQKTRSSQMDFNSSYAETQKGLVGNVPDSQKIRSSQMRVNHSFASVESEVNLTAFDSTIPATYSWNKIQLEKEHKPLIQLNNGFSKPQLPGPQHHFQADQLQSIPSISSRPSIPGGDVTGSSKSKPSYSEYTNHHGSHVSPTISPTISATDSFPGQTKSCVVDHQLSETISTSTSNMKNQGINLSTDLESAQRNLILGSTSPFASLDDEFQICWYQGDCYGMNLELQNVEFSEYTDPTHVTEVPAHLYDALRFEYPCDPSEYSVLDQGLFIA >ONI30214 pep chromosome:Prunus_persica_NCBIv2:G1:25288501:25290256:-1 gene:PRUPE_1G238200 transcript:ONI30214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCNVCLAEVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKENDIKSSFGGTKHSDYSSKDLQGILSVQNSINIQQNDVAHGSYRFSGNNLVAQNADPKSNEGDIKGIVSEPVAEPKKGTTGNIPDSQKTRSSQMDFNSSYAETQKGLVGNVPDSQKIRSSQMRVNHSFASVESEVNLTAFDSTIPATYSWNKIQLEKEHKPLIQLNNGFSKPQLPGPQHHFQADQLQSIPSISSRPSIPGGDVTGSSKSKPSYSEYTNHHGSHVSPTISPTISATDSFPGQTKSCVVDHQLSETISTSTSNMKNQGINLSTDLESAQRNLILGSTSPFASLDDEFQICWYQGDCYGMNLELQNVEFSEYTDPTHVTEVPAHLYDALRFEYPCDPSEYSVLDQGLFIA >ONI30210 pep chromosome:Prunus_persica_NCBIv2:G1:25288146:25292978:-1 gene:PRUPE_1G238200 transcript:ONI30210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFSSPRNDSFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEIRDIESHESIEGIQLIRNGSDQYDEGYFFSAEDLTSSRKRKDVDNKYDDKDFSDCSSAKKARVVWSVDLHQKFVKAVHQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKENDIKSSFGGTKHSDYSSKDLQGILSVQNSINIQQNDVAHGSYRFSGNNLVAQNADPKSNEGDIKGIVSEPVAEPKKGTTGNIPDSQKTRSSQMDFNSSYAETQKGLVGNVPDSQKIRSSQMRVNHSFASVESEVNLTAFDSTIPATYSWNKIQLEKEHKPLIQLNNGFSKPQLPGPQHHFQADQLQSIPSISSRPSIPGGDVTGSSKSKPSYSEYTNHHGSHVSPTISPTISATDSFPGQTKSCVVDHQLSETISTSTSNMKNQGINLSTDLESAQRNLILGSTSPFASLDDEFQICWYQGDCYGMNLELQNVEFSEYTDPTHVTEVPAHLYDALRFEYPCDPSEYSVLDQGLFIA >ONI30211 pep chromosome:Prunus_persica_NCBIv2:G1:25288082:25292979:-1 gene:PRUPE_1G238200 transcript:ONI30211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFSSPRNDSFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLARDALNLLREKKDGYDIVVSDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEIRDIESHESIEGIQLIRNGSDQYDEGYFFSAEDLTSSRKRKDVDNKYDDKDFSDCSSAKKARVVWSVDLHQKFVKAVHQIGFDIGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKENDIKSSFGGTKHSDYSSKDLQGILSVQNSINIQQNDVAHGSYRFSGNNLVAQNADPKSNEGDIKGIVSEPVAEPKKGTTGNIPDSQKTRSSQMDFNSSYAETQKGLVGNVPDSQKIRSSQMRVNHSFASVESEVNLTAFDSTIPATYSWNKIQLEKEHKPLIQLNNGFSKPQLPGPQHHFQADQLQSIPSISSRPSIPGGDVTGSSKSKPSYSEYTNHHGSHVSPTISPTISATDSFPGQTKSCVVDHQLSETISTSTSNMKNQGINLSTDLESAQRNLILGSTSPFASLDDEFQICWYQGDCYGMNLELQNVEFSEYTDPTHVTEVPAHLYDALRFEYPCDPSEYSVLDQGLFIA >ONI30213 pep chromosome:Prunus_persica_NCBIv2:G1:25287276:25292978:-1 gene:PRUPE_1G238200 transcript:ONI30213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFSSPRNDSFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLARDALNLLREKKDGYDIVVSDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEIRDIESHESIEGIQLIRNGSDQYDEGYFFSAEDLTSSRKRKDVDNKYDDKDFSDCSSAKKARVVWSVDLHQKFVKAVHQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKENDIKSSFGGTKHSDYSSKDLQGILSVQNSINIQQNDVAHGSYRFSGNNLVAQNADPKSNEGDIKGIVSEPVAEPKKGTTGNIPDSQKTRSSQMDFNSSYAETQKGLVGNVPDSQKIRSSQMRVNHSFASVESEVNLTAFDSTIPATYSWNKIQLEKEHKPLIQLNNGFSKPQLPGPQHHFQADQLQSIPSISSRPSIPGGDVTGSSKSKPSYSEYTNHHGSHVSPTISPTISATDSFPGQTKSCVVDHQLSETISTSTSNMKNQGINLSTDLESAQRNLILGSTSPFASLDDEFQICWYQGDCYGMNLELQNVEFSEYTDPTHVTEVPAHLYDALRFEYPCDPSEYSVLDQGLFIA >ONI26790 pep chromosome:Prunus_persica_NCBIv2:G1:3279311:3281980:-1 gene:PRUPE_1G046100 transcript:ONI26790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDAKDATNGCKTEKSETTDSQRVEENVNGDEDSESNSLLPPRRGGMSRKTNKTRRKVQWNDKNGNKLVEVLEFEPSDVSDSDDEDADSCICIIM >ONI33372 pep chromosome:Prunus_persica_NCBIv2:G1:36345583:36345921:-1 gene:PRUPE_1G419900 transcript:ONI33372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSSSNFFNLRSTTTSVHPKSRPLSSNGSSHGCGKVDGVAMWLINSVTTCFFASLERCSCIRIATQDDGDDCNDLPLIFNDGNLRYEAEATTSRRRTGKGKKGIAGGPC >ONI35859 pep chromosome:Prunus_persica_NCBIv2:G1:45533689:45537362:1 gene:PRUPE_1G557700 transcript:ONI35859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAASPSTLFSPAPSSQPPIFHKPTTALSLCRVSLGLGLPRSLPLSTTHLKTRRNSSRFTTCCSTDAAKAPNKETPIELRYEAFPTVMDINQIREILPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDTFFFAGIDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGGEVVCEGEFLMATGTGSD >ONI35166 pep chromosome:Prunus_persica_NCBIv2:G1:42670303:42672367:-1 gene:PRUPE_1G519700 transcript:ONI35166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIALTSKKSHAVFVPFPSQGHINPLMQLAKLLHYKGFHITFVNTEYNHKRLLKSRGPDALNGLPTFRFETISDGLPPTDADATQDIPSLCDSTSKHSLPHFRDLLSKLNSSPDSPRVTCLITDGVMSFTLDAAQELGVPAVFFWTPSACGFMGYVQFHQLIEKGLTPFEDASYFTNGHLNTVLDWVPGMRNIRLKDLPTFMRTTEPDDIMLNFLVGETERTKKASAVILNTFHDLEHEVIDSLSTLLPPVYSIGPLNLQLKQIPAENELKSIVSNLWTEEPECLQWLDTKEPNSVVYVNFGSIAVTTNEQLIEFAWGLANSKKKFLWVIRPDLVRGESAVVPAEFLEETKDRSLLAHWCPQEQVLSHPAVGGFLTHSGWNSTLESLCGGVPLICWSFCGEQPTNCRYSCREWGVGLEVGDDVKRNYVEELVRKLMEGEEGKKMRKKALEWKKLAEEATTGPYGSSFLDLEQMVNKVLLSSKN >ONI36109 pep chromosome:Prunus_persica_NCBIv2:G1:46454838:46458772:1 gene:PRUPE_1G570000 transcript:ONI36109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTLQITSCQPCNYFRNTSQSLRFSSKPKVIQHKCMAVLKNKQWRLVSKYHQSARPVCLLGGKGKNESGGGDEASPWKALEKAMGNLKKEGSIEDVLRQQIEKKEFYEERGAGGGGGGGGSGRGGGSSGDGVGGSGGSEDEGLAGILDETLQVILATVGFIFLYFYIISGEEWTRLAKDYLKFVFSGSKSIRLNRAMYKWGKFYKRLTEKKEYDKFWLEKAIITTPTWWDSPEKYRHIVRSNLASDSDE >ONI30400 pep chromosome:Prunus_persica_NCBIv2:G1:26159090:26159866:-1 gene:PRUPE_1G249100 transcript:ONI30400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQLFHWFKVRFVITMGVTVNKQLISLSLLFLAFLSGQAHGQGLDLCAGANYAALCHSVVGREKSPYVAAEATVNRLISETKQAKSSAARLPHKDSPTCTYVYDEALYSLKESLRSLKSHDIGSFNVKLSAVMSFAEACKDSFEEMGKPFPIAKQNELIKHIASYALHIATFIP >ONI32830 pep chromosome:Prunus_persica_NCBIv2:G1:34749037:34752054:1 gene:PRUPE_1G388900 transcript:ONI32830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVTLSFSGYVAQNLASSANLRVGNCRGFHECWVRSRVFGSNQKPEFDPSVPVRKYHQTQFSRSKPSSLAAKTLPSLYTALAEEIVGESSKSPIVLGLISLLKSTAFVAGVSSAPSAMGISPFKPGSIMPFLQVSKWLPCNETVPVSILKEVDKGGTLCVDEVAEVPRLTKKELGRSGFLSRLLNSCSEDAKAVFTAVTVSVLFKSFLAEPRSIPSTSMYPTLDVGDRVLAEKVSYFFKKPEVSDIVIFKAPPILQEIGYSSGDVFIKRIVAKAGDCVEVRNGKLLVNGLVQDEHYILEPLAYEMDPVLIPEGYVFVMGDNRNNSFDSHNWGPLPVKNILGRSVFRYWPPSKVSDTTYEPQVADNAVAIS >ONI32831 pep chromosome:Prunus_persica_NCBIv2:G1:34749037:34752054:1 gene:PRUPE_1G388900 transcript:ONI32831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVTLSFSGYVAQNLASSANLRVGNCRGFHECWVRSRVFGSNQKPEFDPSVPVRKYHQTQFSRSKPSSLAAKTLPSLYTALAEEIVGESSKSPIVLGLISLLKSTAFVAGVSSAPSAMGISPFKPGSIMPFLQVSKWLPCNETVPVSILKEVDKGGTLCVDEVAEVPRLTKKELGRSGFLSRLLNSCSEDAKAVFTAVTVSVLFKSFLAEPRSIPSTSMYPTLDVGDRVLAEKVSYFFKKPEVSDIVIFKAPPILQEIGYSSGDVFIKRIVAKAGDCVEVRNGKLLVNGLVQDEHYILEPLAYEMDPVLIPEGYVFVMGDNRNNSFDSHNWGPLPVKNILGRSVFRYWPPSKVSDTTYEPQVADNAVAIS >ONI26923 pep chromosome:Prunus_persica_NCBIv2:G1:3892127:3894328:1 gene:PRUPE_1G055200 transcript:ONI26923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGANLSKASDWLPVTNTLPSLVEYLDMSDCGLYHIPGGIANMTNLKFLNIQDNSISSSIPKWLYRLSHLQSLIFSYNFFHGEISSSLGNLTSIVNLDLNSNQVEGNIPNSLGNLCKLTTLDMSRNNLNGSVSEIFVSFSRCSSGQIESLSLFGNNLSGQLTDKLDNFEKLRVLDLANNSISEVLIIGDNNLTGVVSQQHFTNLTRLVQFEASGNSLTIETTPHWLPPFQLFILVLNSWHLELSELPMWLQSQTQLEILSMPNTRISGNIDLSQNKLYVVNLESNNLIGNIPRSLGYLLFLQYLHLRNNHLHGELPPYLKKCTDLTILDLSYNKFLGKIPMWIGKSLSNLAVLSLRSNKLHGHIPYKLCSLANLQILDLAHNNLSGRMPRCLYNFTAMTTRLYFNHPFYIVGRIENANVVTKGREVKYGNILLSLAISLDLSENIISGEIPEELTSLIYLQSVNLSYNLLSGRIPPKIGDMRRLESLDLSMNQLCGQIAPSMSSLTFLSALNLSYNNLTGEIPESTQLQSLDQSSFIGNKLCGPPLEVNCSNTNGTVPPVADQKHGGSYLLEDSWFFLSLGLGFLFGFWSVLGSLLLNLPWSIVFSRFLNSIVKKLLWGNC >ONI31289 pep chromosome:Prunus_persica_NCBIv2:G1:29817084:29819694:-1 gene:PRUPE_1G304300 transcript:ONI31289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLLPNTVSFSLLTTPPKVPRSGTRTRFARHRRFLALAASPESKDDKTASSQKSTKLVTFLGKGGSGKTTSAVFAAQHFAMAGFSTCLVIHTQDPTAEFFLNCKIGSSPTLCNSNLSALRFETTQMLLGPLKELKQVDARLNTTQGVLEGIVGEELGVLPGMDPIFSTLALERLVGFFRNVAQRNHNKDKFDVIVYDGLSSDEMLRMISAGSKARLYLKYLRNAAEKTDIGRLAGPSVLRLVDEAMSISSSTTHRNGKMSTEIWDILEQMLERGSSAFSEPQKFGCYLVIDPDNPASVATALRYWGCSIQAGAQVSGAFAIASRHVNTESEERLKKTFSPLPFAFIPHLPFGSPLDWNAIIPNSVNENARNLLSLPASGSSSVTPSVKFDAARKSVTLFMPGFDKSEVKLYQYRGGSELLVEAGDQRRVIQLPSKIQGKVGGAKFNIMDRSLVITMR >ONI31290 pep chromosome:Prunus_persica_NCBIv2:G1:29816587:29819820:-1 gene:PRUPE_1G304300 transcript:ONI31290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGPLKELKQVDARLNTTQGVLEGIVGEELGVLPGMDPIFSTLALERLVGFFRNVAQRNHNKDKFDVIVYDGLSSDEMLRMISAGSKARLYLKYLRNAAEKTDIGRLAGPSVLRLVDEAMSISSSTTHRNGKMSTEIWDILEQMLERGSSAFSEPQKFGCYLVIDPDNPASVATALRYWGCSIQAGAQVSGAFAIASRHVNTESEERLKKTFSPLPFAFIPHLPFGSPLDWNAIIPNSVNENARNLLSLPASGSSSVTPSVKFDAARKSVTLFMPGFDKSEVKLYQYRGGSELLVEAGDQRRVIQLPSKIQGKVGGAKFNIMDRSLVITMR >ONI31291 pep chromosome:Prunus_persica_NCBIv2:G1:29817609:29819694:-1 gene:PRUPE_1G304300 transcript:ONI31291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLLPNTVSFSLLTTPPKVPRSGTRTRFARHRRFLALAASPESKDDKTASSQKSTKLVTFLGKGGSGKTTSAVFAAQHFAMAGFSTCLVIHTQDPTAEFFLNCKIGSSPTLCNSNLSALRFETTQMLLGPLKELKQVDARLNTTQGVLEGIVGEELGVLPGMDPIFSTLALERLVGFFRNVAQRNHNKDKFDVIVYDGLSSDEMLRMISAGSKARLYLKYLRNAAEKTDIGRLAGPSVLRLVDEAMSISSSTTHRNGKMSTEIWDILEQMLERGSSAFSEPQKFGCYLVIDPDNPASVATALRYWGCSIQAGAQVSGAFAIASRHVNTESEERLKKTFSPLPFAFIPHLPFGSPLDWNAIIPNSVNENARNLLSLPASGSSSVTPSVKFDAARKSVTLFMPGFDKSEVKLYQVC >ONI33753 pep chromosome:Prunus_persica_NCBIv2:G1:37662707:37668616:1 gene:PRUPE_1G444600 transcript:ONI33753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSEGPNASSPTQQPPKKYDTTIFLSGPSQSDLQRNAELEKFLIDSGLYESTDEAEKRVEVLGRIDRIVKDWVKQLTSQRGYTDQMVEDANAVIFTFGSYCLGVHGPGADIDTLCVGPSYVNREEDFFIILHDMLAEMKEVTELQPVPDAHVPVMKFKLRGISIDLLYASISLLVVPEDLDISHESVLFDVDEQTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWAILVARICQLYPNAIPSMLVSRFFRVYTQWRWPNPVTLCSIEENELGFPTWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSISTLRVMTEQFHHGNKICEEIELNKTQWSALFEPYLFFEAYKNYLQVDIVAADSDDLLAWKGWVESRFRQLTLKIERDTNGMLQCHPYPKEYVDTSKPCPHCAFFMGLQRKEGVRGQEGQQFDIRGTVDEFRQEINMYMFWKPGMDIYVCHVRRKQLPPFVFPDGYKRPRPLRHASQQDERNYEDAVGSGSGSAERHIKRKIDPKTEDVGPIKRVKQTAISPPRTESVSPSKNAGRSGGTPDISFSNGNRLECRATGDADKNSDVRLPVRQLESEKDSVGDMRVVEDVMHESITRNECTSMLVSEFPRIRNEAKPSQEGCAVKPSEQLEKPLFLE >ONI34454 pep chromosome:Prunus_persica_NCBIv2:G1:40288490:40295210:-1 gene:PRUPE_1G483200 transcript:ONI34454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWVNSYLEAILDVGPGLDDAKSSLLLRERGRFSPTRYFVEEVITRYDETDLHRSWVRAAATARSPEERNTRLENMCWRIWNLARKKKQLEGEEAQRISKWRLERERGRREATEDMSEDLSEGEKGDTVGDLSAHSDSNRGGKMRRISSVDAMENWASQQKDKKFYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSAPDVDWSYGEPTEMLNPINSENSKDEHGESSGAYIIRIPFGPKDKYIPKENLWPHIPEFVDGALNHIIQMSKALGEQIGAGQPVWPVAIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQSREEINTTYKIMRRIEAEELTLDASEIVITSTRQEIESQWRLYDGFDPILERKLRARIKRGVSCHGRFMPRMVVIPPGMEFHHIIPHDGDADGEGERHDDSSTSPDPPIWSEIMRFFTNPRKPMILALARADPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSSTNASVLLSILKLIDRYDLYGHVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPIVATQNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSDKQLWARCRQNGLKNIHLFSWPEHCKTYLSRITSCKPRQPQWQRSDAEFDNSDSDSPSDSLRDIQDISLNLKLSLDGDKTEGTGALDNALESDDRAAGGKIKEQNTVLTLSKGVLRGSPKAGQAEKEDNNSGAGKFPGFRKKKYVCVIAVDCDTTSEFTEIIEKVVEAAGKDKDPGSIGFILSTALAISEIHSLLISGGLSPSQFDAFICNSGGELYYPSSSSDDSPSGLPFVVDLDYRSHIEYRWGGECLRKTLVRWVSSFNEKKGAQTVTEDRSVSTNHCYAYKVKDLALIPPVKELRKLMRIQGLRCHVIYSQNGIRLNVIPVLASRSQALRYLYVRWGLNLSTAVVFVGESGDTDYEGLLGGLHRTVILKGVSCGARKLHANRNYSLEDVFPNDSPNMTQSEGCSQENIRASLSKLGILKR >ONI34455 pep chromosome:Prunus_persica_NCBIv2:G1:40288494:40295210:-1 gene:PRUPE_1G483200 transcript:ONI34455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSAPDVDWSYGEPTEMLNPINSENSKDEHGESSGAYIIRIPFGPKDKYIPKENLWPHIPEFVDGALNHIIQMSKALGEQIGAGQPVWPVAIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQSREEINTTYKIMRRIEAEELTLDASEIVITSTRQEIESQWRLYDGFDPILERKLRARIKRGVSCHGRFMPRMVVIPPGMEFHHIIPHDGDADGEGERHDDSSTSPDPPIWSEIMRFFTNPRKPMILALARADPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSSTNASVLLSILKLIDRYDLYGHVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPIVATQNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSDKQLWARCRQNGLKNIHLFSWPEHCKTYLSRITSCKPRQPQWQRSDAEFDNSDSDSPSDSLRDIQDISLNLKLSLDGDKTEGTGALDNALESDDRAAGGKIKEQNTVLTLSKGVLRGSPKAGQAEKEDNNSGAGKFPGFRKKKYVCVIAVDCDTTSEFTEIIEKVVEAAGKDKDPGSIGFILSTALAISEIHSLLISGGLSPSQFDAFICNSGGELYYPSSSSDDSPSGLPFVVDLDYRSHIEYRWGGECLRKTLVRWVSSFNEKKGAQTVTEDRSVSTNHCYAYKVKDLALIPPVKELRKLMRIQGLRCHVIYSQNGIRLNVIPVLASRSQALRYLYVRWGLNLSTAVVFVGESGDTDYEGLLGGLHRTVILKGVSCGARKLHANRNYSLEDVFPNDSPNMTQSEGCSQENIRASLSKLGILKR >ONI35615 pep chromosome:Prunus_persica_NCBIv2:G1:44599623:44605547:1 gene:PRUPE_1G546000 transcript:ONI35615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISNLAGCSHGGSSVNFGERRLTFRPTPALKTRISWPSKNPLAVGHLGKGHKNSGVRMALVDEKLARSGDLPKPSDILAFDLVQGALVKWSSVTDRSLPEPPTAVFLHGILGCRKNWGTFTRRLAQEFPTWQFLLVDLRCHGDSASIKKRGPHTVSSTALDVLKLVQQLRLTPRVLVGHSFGGKVALSMAEQAAKPLARPVRVWVLDATPGKVRPGGDGEDHPEELISVLSTLPQEQISSKRDVVDVLVRKGFSKDVAQWVVTNLRPTGPLGSSQSTFSWVFDLKGISEMYRSYEETNLWKIVEDVPRGVHVNFLKAERSLHRWALEDLQRIHAAEELAAEEAAGVEMHVLEDAGHWVHADNPDGLFKILSSSFQ >ONI30721 pep chromosome:Prunus_persica_NCBIv2:G1:27648522:27651771:1 gene:PRUPE_1G269200 transcript:ONI30721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRCSKAMENNNTEENSFLGNTPVEDKTKKSDDGHDEEGTSMEMEISKSSSSMDITIQGGHGWLAEPEQTNTLMPSSSAGKDRALLKHPQENELQSAKAKMGEVKEENEKLKLLLSQIVRNYQSLQMHFLGLLQKDEEAKKSMDPSSSARDQSNEQDEEADELVSLSLGRSSSTDQPRKDEQMKKTSHFSKNGKGDDQEGLNGAGLALELGCRFEPAADQSTEVVMKNSSSDNSCGDPKEDDPTEIWPPSKTLKTTRSGDDEVSQQTHLKKARVSVRARCDAPTMHDGCQWRKYGQKIAKGNPCPRAYYRCTVSPSCPVRKQVQRCADDMSILITTYEGSHNHPLPMSATAMASTTSAAASMLQSHSSISQQGHTAMAPISASTNLQGLNFSTSTLSQNSRLPQHFYFPNSSISTTNSHPTITLDLTAPSPSHFGRFPAAVFSSNPRYPSTRLNFSSSPSSSLDHNNNTLQLQAPWNNNHTAADYLNYGNRVLNQVGSTLNMGKQSIFQEPNNLYQSYIQNQKPPPPPLPPPPHQQLMLTETIASATKTITSNPKFQSALAAALTSFVGTNNGGSATTGVRENHHHHQHQSSTSTESASGLKLKWAESLTTNPIYPPSPNGIGCASSYLNKSS >ONI30720 pep chromosome:Prunus_persica_NCBIv2:G1:27648447:27651771:1 gene:PRUPE_1G269200 transcript:ONI30720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPKRCSKAMENNNTEENSFLGNTPVEDKTKKSDDGHDEEGTSMEMEISKGGHGWLAEPEQTNTLMPSSSAGKDRALLKHPQENELQSAKAKMGEVKEENEKLKLLLSQIVRNYQSLQMHFLGLLQKDEEAKKSMDPSSSARDQSNEQDEEADELVSLSLGRSSSTDQPRKDEQMKKTSHFSKNGKGDDQEGLNGAGLALELGCRFEPAADQSTEVVMKNSSSDNSCGDPKEDDPTEIWPPSKTLKTTRSGDDEVSQQTHLKKARVSVRARCDAPTMHDGCQWRKYGQKIAKGNPCPRAYYRCTVSPSCPVRKQVQRCADDMSILITTYEGSHNHPLPMSATAMASTTSAAASMLQSHSSISQQGHTAMAPISASTNLQGLNFSTSTLSQNSRLPQHFYFPNSSISTTNSHPTITLDLTAPSPSHFGRFPAAVFSSNPRYPSTRLNFSSSPSSSLDHNNNTLQLQAPWNNNHTAADYLNYGNRVLNQVGSTLNMGKQSIFQEPNNLYQSYIQNQKPPPPPLPPPPHQQLMLTETIASATKTITSNPKFQSALAAALTSFVGTNNGGSATTGVRENHHHHQHQSSTSTESASGLKLKWAESLTTNPIYPPSPNGIGCASSYLNKSS >ONI36070 pep chromosome:Prunus_persica_NCBIv2:G1:46329356:46330143:1 gene:PRUPE_1G567900 transcript:ONI36070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSIVISYQRVNTASSLGQSDGFFDCHDIVLVSDHPLSAVVCLKRISTALPRKWNIL >ONI32807 pep chromosome:Prunus_persica_NCBIv2:G1:34638212:34639948:-1 gene:PRUPE_1G386900 transcript:ONI32807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLPYLNSITAFLFTIIIISYYFSRRWRPAKLAPTEAKGAWPIFGHLPLLGGSTPPHITLAAMADQYGPLFTIRLGVHPSLVISSSEIAKECFTTNDLVLNSRPKLAVVDHIGYNGAMFAFAPSGPFWREMRKITTLELLSNRRLELLRRIRVSEVTTFLQELYKTWSTEEKRESNNSDGVLVELKQWFGDMTLNVILRMVAGKRYLVAADEDEKKEASRVQTALREFFHYWGLFVVGDAVPYLRWLDLGGHEKAMKKIGKELDAIVGEWVEGHKQRRASGYAKGEQDFIDAMLSVLDGADLGGFDADTVNKATILTMISGASDTTMVTLTWAISLLLNNPHVLKRAQNELDTEIGRQRVVSESDISKLVYIQAIVKETLRLYPAAPLSGPREFTEDCTIGGYHVSKGTRLITNLWKIQTDPRIWPDPLEFKPERFLTSHRDVDVKGLHFELIPFGSGRRACPGLAFGLQMVQFTLASFVHAFEISNPSSAPIDMTESFGLTNVKATPLQVLINPRLPSQLYG >ONI32913 pep chromosome:Prunus_persica_NCBIv2:G1:35030234:35038985:1 gene:PRUPE_1G393500 transcript:ONI32913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSDAKGDIGMSSKGESFSDIADMDIDDNGYDDDYDYSTSIHDLGEGFLKFFCKEAAIAFFNEYGLISHQINSYNDFIKNGIQRVFDSFGEIIVEPGYDPSKKGESEWRYASVKFGKVTLGMPSFWGGSDDEKEYKMLPRHARLQNMSYASKMKVNITVEVYTQKLVSSDKFKTGKSQYLDKQILSTDTRDIIIGSVPVMVKSDLCWMKDFEKGDCDFDHGGYFIIKGAEKTFIAQEQSCLKRLLITNNQGLTVAYRSEVKRHRLIIRLVGISKLENIEGVEKVLTVYFMSTEIPVWIWFFALGVSSDREVMNLIDYDSEDASISNILFASIRDADKLNDKVDGGFRRGKNALKYVDEVIRKTAFPPGESMEECISLYLFPNLKGLKQKARFLGYMVKSLLQAYAGRRKCDNRDDFRNKRLDLAGELLERELKAHIGHARRRMAKALQRDLYGDRAVRPIEHYLDASIVTNGISRAFSTGAWCHPFKRMERMSGVVATVGRANPLQTMVDMRKTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLATTGLVSTNVLESLIPQLLTCGMEKLVDDTFTSLRGKDKVFLNGDWVGVCEDSLSFVLELRRMRRRKKLPHQVEIKRDVHQGEVRIFSDAGRILRPLLVVENLNKVKASKGGKSSFQYFLDNGIIELIGAEEEEDCSTAWGIKYLFMEDKGKSATKFTHCELDMSFLLGLSCGIIPYANHDHARRVLYQAQKHSQQAIGFSTTNPNLRVDTLSHQLHYPQRPLFQTMTSDCLGKPGRHNRIVPKPEYYNGQNAIVAVNVHLGFNQEDSIVMNRASLERGMFRSEHIRSYKAEVDNKESLDKRRKPDDCVNFGKMQSKFGRVDSLDDDGFPYVGANLQSGDIIIGRCSESGADHSIKLKHTERGMVQKVLLSSNDDGKNFAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTIQGVVPDIVINPHAFPSRQTPGQLLEAALGKGIACGGSKRYATPFSTLSVDDITDQLHSAGFSRWGNERVYNGATGAMVRSLIFMGPTFYQRLIHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDSSQMHVCQKCKNVAYVILRTVAGGRKIRGPHCRICDSADDLVRVNVPYGAKLLCQELFSMGISLKFETRFC >ONI36345 pep chromosome:Prunus_persica_NCBIv2:G1:47262773:47264449:-1 gene:PRUPE_1G581600 transcript:ONI36345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSIAVKCHSQLWFVLIASFLFVFILLLSDYSALSAGRNTQGVTYFISNFANVIVNQDHSSSPPHHDHTTPTSSSSNESMSWLTLNVTNSTTTANNNTVKSVVINNPPVQPMSSEIGKKNSDSDLCLGRYIYVYNLPKRFNHDLLKNCHSLQRWTDMCPYISNMGLGPRIKNSKKQLVGNGWFATNQFLLEVIFHNRMKQYKCLTNDSSLASAVFVPFYPGLDVGRYLWDYNISVRDASPLEFVKWLSHRPEWKKMWGRDHFLVGGRIAWDFRRQTDKDSAWGSKLMFLPEAKNMTLLSIESSCWNNEQAIPYPTYFHPSKDSEVFEWQRRMRKRKRRHLFSFAGAPRPDSKDSIRDMIINQCQSSTSCKLVGCHRGANKCDDPLNVMKVFEASVFCLQPSGDSYTRRSTFDSILAGCIPVFFHPGSAYVQYLWHFPNTPSKYSVFISEKDIRDQKVMINETLHRIPKRQVSAMREEVIRLIPRVIYADPRAPRLETVEDAFDIAVKGVLDRVERIRREMKEGKDPGIAFPELNTTKFDMPGPGRERKLRSFRQHK >ONI32747 pep chromosome:Prunus_persica_NCBIv2:G1:34423532:34426726:1 gene:PRUPE_1G383200 transcript:ONI32747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQSATLPADIGFQCTDEELCISLGKIISGSPLPGNVIEDANPYQLVPSNLPDGFWYFIHSNENKPTNFGYWRTKGEACRIFSNSSITGWRATLEFYQGQAPNESKTDWVMQEYWLTQKKLSEDSKAKVSKDNERGTLIVTERVPDHDVQIMPEIDYVSRGDYLELLDLDTPASFSFSSDSSCLTMSSDECFDSLALLEELEPKNSQDLVNKNAGCKFSISAPPRPDELVIFAASSGSFSKSPSEERVKTHSPIPGSAVCGKISGKTSNNMNRIQKPDCRNEGGPSTSHNVDVSPSSHTASQEGKRRARTIGRTEKLKKKYFCFMPF >ONI32745 pep chromosome:Prunus_persica_NCBIv2:G1:34423903:34426682:1 gene:PRUPE_1G383200 transcript:ONI32745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSSSMVIMCPQSATLPADIGFQCTDEELCISLGKIISGSPLPGNVIEDANPYQLVPSNLPDGFWYFIHSNENKPTNFGYWRTKGEACRIFSNSSITGWRATLEFYQGQAPNESKTDWVMQEYWLTQKKLSEDSKAKEPRSLCRVFCSNEQKLNCKNLQKMVSSDSATYSAHSAVPRAKNCTSHSSTSKPQVSKDNERGTLIVTERVPDHDVQIMPEIDYVSRGDYLELLDLDTPASFSFSSDSSCLTMSSDECFDSLALLEELEPKNSQDLVNKNAGCKFSISAPPRPDELVIFAASSGSFSKSPSEERVKTHSPIPGSAVCGKISGKTSNNMNRIQKPDCRNEGGPSTSHNVDVSPSSHTASQEGKRRARTIGRTEKLKKKYFCFMPF >ONI32744 pep chromosome:Prunus_persica_NCBIv2:G1:34421802:34426682:1 gene:PRUPE_1G383200 transcript:ONI32744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGGSFSVVERLRVEIRNEQEKAVALWSSQNPKVIMCPQSATLPADIGFQCTDEELCISLGKIISGSPLPGNVIEDANPYQLVPSNLPDGFWYFIHSNENKPTNFGYWRTKGEACRIFSNSSITGWRATLEFYQGQAPNESKTDWVMQEYWLTQKKLSEDSKAKEPRSLCRVFCSNEQKLNCKNLQKMVSSDSATYSAHSAVPRAKNCTSHSSTSKPQVSKDNERGTLIVTERVPDHDVQIMPEIDYVSRGDYLELLDLDTPASFSFSSDSSCLTMSSDECFDSLALLEELEPKNSQDLVNKNAGCKFSISAPPRPDELVIFAASSGSFSKSPSEERVKTHSPIPGSAVCGKISGKTSNNMNRIQKPDCRNEGGPSTSHNVDVSPSSHTASQEGKRRARTIGRTEKLKKKYFCFMPF >ONI32746 pep chromosome:Prunus_persica_NCBIv2:G1:34423337:34426731:1 gene:PRUPE_1G383200 transcript:ONI32746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPQSATLPADIGFQCTDEELCISLGKIISGSPLPGNVIEDANPYQLVPSNLPDGFWYFIHSNENKPTNFGYWRTKGEACRIFSNSSITGWRATLEFYQGQAPNESKTDWVMQEYWLTQKKLSEDSKAKEPRSLCRVFCSNEQKLNCKNLQKMVSSDSATYSAHSAVPRAKNCTSHSSTSKPQVSKDNERGTLIVTERVPDHDVQIMPEIDYVSRGDYLELLDLDTPASFSFSSDSSCLTMSSDECFDSLALLEELEPKNSQDLVNKNAGCKFSISAPPRPDELVIFAASSGSFSKSPSEERVKTHSPIPGSAVCGKISGKTSNNMNRIQKPDCRNEGGPSTSHNVDVSPSSHTASQEGKRRARTIGRTEKLKKKYFCFMPF >ONI34197 pep chromosome:Prunus_persica_NCBIv2:G1:38972225:38972473:-1 gene:PRUPE_1G468000 transcript:ONI34197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSIKCGRGLCGTCCFFLSYLVNQKLSLGQPEIMILPPNPQTLGFFHFHFFFGLDDLNDKSPSLAHACLAPLCPQILRIQLL >ONI32225 pep chromosome:Prunus_persica_NCBIv2:G1:32928480:32931201:-1 gene:PRUPE_1G354700 transcript:ONI32225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLTVKPSFFLPKFSTSSSSASSSSVHFWAGSCSSSVTFRIKTKNRGSRLRIHAYESSKSDSPNASGDSKPPNGTLPKSRREILLEYVKNVQPEFMELFVKRAPQQVVDAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSIMMTGYMFQNAQYRLELQQGLEPVALPDVQDKKDAPDYAPGTQKNVSGEVIRWNNVSGPERIDAKKYIELLEAEIEELNHQVGRKSASGQNELLEYLKSLEPQNLKELTSSAGDDVVVAMNTFIKRLLAVSDPGQMKTSVTETSAPELAKLLYWLMVVGYSLRNIEVRFDMERVLGTPPKLAELPPGENV >ONI32226 pep chromosome:Prunus_persica_NCBIv2:G1:32929180:32931201:-1 gene:PRUPE_1G354700 transcript:ONI32226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLTVKPSFFLPKFSTSSSSASSSSVHFWAGSCSSSVTFRIKTKNRGSRLRIHAYESSKSDSPNASGDSKPPNGTLPKSRREILLEYVKNVQPEFMELFVKRAPQQVVDAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSIMMTGYMFQNAQYRLELQQGLEPVALPDVQDKKDAPDYAPGTQKNVSGEVIRWNNVSGPERIDAKKYIELLEAEIEELNHQVGRKSASGQNELLEYLKSLEPQNLKVNNFPSVYLNCFLLARCWVRGW >ONI27898 pep chromosome:Prunus_persica_NCBIv2:G1:8775715:8777749:-1 gene:PRUPE_1G110000 transcript:ONI27898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQTALPPELANNVIRLYRECLRRAKYVGHRQHNTELVVDMVRQQFKKHMLETDPEKIQKLKDDAARGLINHILFESERLSGRKFSSS >ONI27897 pep chromosome:Prunus_persica_NCBIv2:G1:8775715:8778027:-1 gene:PRUPE_1G110000 transcript:ONI27897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQTALPPELANNVIRLYRECLRRAKYVGHRQHNTELVVDMVRQQFKKHMLETDPEKIQKLKDDAARGLINHILFESERLSGRKFSSS >ONI33064 pep chromosome:Prunus_persica_NCBIv2:G1:35522534:35524022:1 gene:PRUPE_1G403400 transcript:ONI33064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIALDSSSTRIDIAPSSGLVACGLLFDSPETCRTVPAGEAAVSSTTSSSIGNNSDDESGSDDGENDEAQSSYKGPLDMMNALEEVLPMRRGISKFYNYKSKSFTSLAEASSSSNIKDLAKPDNAYTRKRRNLLASNNMLEKNRSFPLRSNGGGISKRPISTSRSTLALAVKLSSCSETSTSSTSEDSNSSSTSMSPRALPPYHPVGNSAAWRSYSLADLQECATSAATVNASRFSTTKPNMQH >ONI32238 pep chromosome:Prunus_persica_NCBIv2:G1:32992960:32998086:1 gene:PRUPE_1G355500 transcript:ONI32238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESSFIRGRQQQYLHHRNTTATDNNQAPQASNGTESAGAVLGFSEFSLADLKNATNNFSYDFIVSESGDKAPNVVYKGRLQNQNSPSWIAIKKFTKLAWPDPKQFADEAWGVGKLRHKRLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALYIAEALDYCSGEGRSLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPTHALDMIRGKNIILLMDSHLEGNFSTEQATVVFDLASRCLQYEPRERPNTKGLVATVAPLQNKSDHEEAPPTPQHPLSAMGDACSRMDLTAIHQILLMTHYKDDEGTNELSFQEWTQQMKDMLEARKRGDLAFRDKDFRSAMDCYSQFIDVGTMVSPTVYARRSLCHLLCDQPDAALRDAMQAQCVYPDWSTAFYMQAVALAKLDMHKDAADMLNEAATLEEKRQRGGRG >ONI32237 pep chromosome:Prunus_persica_NCBIv2:G1:32992960:32998086:1 gene:PRUPE_1G355500 transcript:ONI32237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESSFIRGRQQQYLHHRNTTATDNNQAPQASNGTESAGAVLGFSEFSLADLKNATNNFSYDFIVSESGDKAPNVVYKGRLQNQNSPSWIAIKKFTKLAWPDPKQFADEAWGVGKLRHKRLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALYIAEALDYCSGEGRSLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPTHALDMIRGKNIILLMDSHLEGNFSTEQATVVFDLASRCLQYEPRERPNTKGLVATVAPLQNKSDVPSYAMLGIPKHEEAPPTPQHPLSAMGDACSRMDLTAIHQILLMTHYKDDEGTNELSFQEWTQQMKDMLEARKRGDLAFRDKDFRSAMDCYSQFIDVGTMVSPTVYARRSLCHLLCDQPDAALRDAMQAQCVYPDWSTAFYMQAVALAKLDMHKDAADMLNEAATLEEKRQRGGRG >ONI33792 pep chromosome:Prunus_persica_NCBIv2:G1:37739101:37740005:-1 gene:PRUPE_1G445800 transcript:ONI33792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWQYQVSNEQFKLPPRLINENEDEVSLQQHVQPSPPQKSIMSSHSLTKSLKILMLMFNLSSKLPEDSPQELESHQFLMNVYVQEIE >ONI36240 pep chromosome:Prunus_persica_NCBIv2:G1:46987114:46988065:1 gene:PRUPE_1G577200 transcript:ONI36240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELETQHSQLPEEDPNNNYQANVDTSRPFRSVREAVAIFGDRLLLGEMYSSPKPNGNNIVQRTPSSSWKLLPMPANYKESEDVSSYGDEEENAGDKEVMDTLKKLEAELEETKVELKLLKERESETEVALASLNAELHKNMSKLAQAEANNAAMASNVLHVTARSSPFTGTNHGLMMEEEKNKREWMIRMEDSTSLAQILSIGTQKNGGGKREKKIMKKKPIVPLVQDLFFWKKGSSTPLRNPLFNSSPQLYY >ONI26558 pep chromosome:Prunus_persica_NCBIv2:G1:2216002:2218465:-1 gene:PRUPE_1G031100 transcript:ONI26558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFFCIASAMGIEVTDICMDKESECVIVYSEGLSHDSNHERIPSDHAISESYEHINGDREPQNLEESIEVKEYEVKECTTENSVDVSQMSHVEKSNEDQNAVGLNSENDRPVEKVQLNSRKTKDSNKSRASVIHTSKSAAGNARTKHTVPQPFTLATEKRALCGTRPVSAVLDCGNGGNKLSNTSDLRHLHTTKHNQPISPLISRKPLQPDKKKHFDEEDSCSVASSTVSRTIRSRATAASAPTFRCTERAEKRKEFYTKLEEKHQALEAEKTQSEARTKDEKEAAIKQLRRSLMFKANPMPSFYHEGPPPKTELKKLPPTRAKSPKLGRRKSCSDTVKLSHGDRSKGTFGRGNRHSMGNCKEDTTYAGSPKSEAQINIQKGNAVSEFIDETKNVDEMKKFVPASLNGQHMDIFVQS >ONI26559 pep chromosome:Prunus_persica_NCBIv2:G1:2215994:2220228:-1 gene:PRUPE_1G031100 transcript:ONI26559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEVTDICMDKESECVIVYSEGLSHDSNHERIPSDHAISESYEHINGDREPQNLEESIEVKEYEVKECTTENSVDVSQMSHVEKSNEDQNAVGLNSENDRPVEKVQLNSRKTKDSNKSRASVIHTSKSAAGNARTKHTVPQPFTLATEKRALCGTRPVSAVLDCGNGGNKLSNTSDLRHLHTTKHNQPISPLISRKPLQPDKKKHFDEEDSCSVASSTVSRTIRSRATAASAPTFRCTERAEKRKEFYTKLEEKHQALEAEKTQSEARTKDEKEAAIKQLRRSLMFKANPMPSFYHEGPPPKTELKKLPPTRAKSPKLGRRKSCSDTVKLSHGDRSKGTFGRGNRHSMGNCKEDTTYAGSPKSEAQINIQKGNAVSEFIDETKNVDEMKKFVPASLNGQHMDIFVQS >ONI26992 pep chromosome:Prunus_persica_NCBIv2:G1:4318313:4324926:1 gene:PRUPE_1G061200 transcript:ONI26992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEAPGTSGQQFCKDTINHDRSNKSAADDNENNICAQTGEEFSAEFLQDRISQRRLAPVVTGVDQRQSKRVGFNLNKNHKLVYEDLAGVVGLRRIDSDCSSEFSDFSPAAATGFVADIEKNVYPSNISRYHWEYGAIGQVSGKFSDEVNRDRVIGKPTTPPLYVLESPQSYHPCGQVFSEGSFSFKMKFLCSFGGRILPRPNDGKLRYVGGDTRILSIRKGTNLEELMNKTYAICNQPHTIKYQLPGEDLDALVSVCSDEDLHHMIEEYLELERTSQRLRIFLVPLNETESPSSVEARVTHPIGADSQFVFAVNGMLDPSPRKSSSGQSLASQTSQFGNTSDYSPTFRRESPTATYLETKDYSPSSSNVVGTLTKPAPQFLATLQIPKKSFNHSPPISPVPLQHRDPKSSNVQFYLDRPYCDGNGGIAPSVMEKLPCDNTYYLDAVGYNENLHHGPPVLNYHHHNKYLAKTSQTRKSQNVLSHNRSFSENSVPSPKYGQGGMNSERLVPLEKALHSEKSVSHPTVGLFSGSDDRDASDHRIMHAVLSDSQLQEHVQRSSEGEVISVSSLKCRRAKLPSLKMQRSSQEWPVQQEDMVDGKHEMVEYSNQFTIRKPDQCKEEQGLGMLNRTDRNDTCADKNWNNFEGSVDDISNDTVMEFNKVQNVNCLSSVSLSSDDSQFPGGGVSGLPISENEGSKDTMGRQGYEFDTTSQLFLRSQSCTRDQQCATTERISGQPGISRVATQEFLDKLATSAASDGEYSPLDKDPVNYPEYVVENVGLSRQSSEVTKCDDAIPVQSQCLDNHHDNKATESVVVVEDLTNSTPPGITSSKVAYHVSNIEDEDSDECSSPREIDTGSTAPESDDKGVTADGNHRHETISDVAIAEMEAGIYGLQIIKNDDLEELQELGSGTYGTVYHGKWRGTDVAIKRIKKSCFSGRSSEQERLTKDFWREAKILSTLHHPNVVAFYGVVPDGPGATLATVAEFMVNGSLRHVLIRKDRVLDRRKRLIILMDAAFGMEYLHLKNIVHFDLKCDNLLVNLRDPERPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSNRVSEKVDVYSFGIVMWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPKRCDSEWKNLMEQCWSPDPADRPSFTEITHRLRDMSTALQKKRPNLASR >ONI34438 pep chromosome:Prunus_persica_NCBIv2:G1:40241411:40245859:1 gene:PRUPE_1G482300 transcript:ONI34438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKHTVDIFVDRYRDMDISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVISEAYELEYGTDCLEMHVGAVQPGERALIIDDLIATGGTLSAAITLLERVGAEVVECGCVIGLPEVKGQCRLNGKPLYILVEPREIDNCC >ONI34439 pep chromosome:Prunus_persica_NCBIv2:G1:40241411:40245859:1 gene:PRUPE_1G482300 transcript:ONI34439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKHTVDIFVDRYRDMDISVVAGEVISEAYELEYGTDCLEMHVGAVQPGERALIIDDLIATGGTLSAAITLLERVGAEVVECGCVIGLPEVKCYAVELLLMIVTFQGQCRLNGKPLYILVEPREIDNCC >ONI34437 pep chromosome:Prunus_persica_NCBIv2:G1:40241411:40245859:1 gene:PRUPE_1G482300 transcript:ONI34437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKHTVDIFVDRYRDMDISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVISEAYELEYGTDCLEMHVGAVQPGERALIIDDLIATGGTLSAAITLLERVGAEVVECGCVIGLPEVKCYAVELLLMIVTFQGQCRLNGKPLYILVEPREIDNCC >ONI34442 pep chromosome:Prunus_persica_NCBIv2:G1:40241411:40245859:1 gene:PRUPE_1G482300 transcript:ONI34442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKHTVDIFVDRYRDMDISVVAGEVISEAYELEYGTDCLEMHVGAVQPGERALIIDDLIATGGTLSAAITLLGTVQA >ONI34435 pep chromosome:Prunus_persica_NCBIv2:G1:40241411:40245859:1 gene:PRUPE_1G482300 transcript:ONI34435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKHTVDIFVDRYRDMDISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVISEAYELEYGTDCLEMHVGAVQPGERALIIDDLIATGGTLSAAITLLDLV >ONI34440 pep chromosome:Prunus_persica_NCBIv2:G1:40241411:40245859:1 gene:PRUPE_1G482300 transcript:ONI34440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKHTVDIFVDRYRDMDISVVAGEVISEAYELEYGTDCLEMHVGAVQPGERALIIDDLIATGGTLSAAITLLERVGAEVVECGCVIGLPEVKGQCRLNGKPLYILVEPREIDNCC >ONI34436 pep chromosome:Prunus_persica_NCBIv2:G1:40241411:40245859:1 gene:PRUPE_1G482300 transcript:ONI34436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKHTVDIFVDRYRDMDISVVAGEVISEAYELEYGTDCLEMHVGAVQPGERALIIDDLIATGGTLSAAITLLDLV >ONI34441 pep chromosome:Prunus_persica_NCBIv2:G1:40241410:40245859:1 gene:PRUPE_1G482300 transcript:ONI34441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKHTVDIFVDRYRDMDISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVISEAYELEYGTDCLEMHVGAVQPGERALIIDDLIATGGTLSAAITLLGTVQA >ONI32089 pep chromosome:Prunus_persica_NCBIv2:G1:32490282:32492025:-1 gene:PRUPE_1G347600 transcript:ONI32089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGLVQKKTSTTTSTSTNTPSPSPSPSKPKTSCFLGCFGFSRKVSSSRLTTSRSKSSFPETDYIRSHHDRDHLHQQHQINKSNDIEMKTSTSSRRWYLISWSSWRFCANKSATKTVPIDASGTGTGKRQVKLSLKKTKSKSKSNSESGKLVSNAERTVSVTDAKPPGGSSQREDVLLLSTVSDPQQTQKPPPQTTSNTIILENRRRQLESSKDDTCQKRLSFRRKFDSLRSGGGRGGSQPGSPVKQEEKKPKSSGGRTASSAVMSHSESSPSQKRQSGRAANSALPHNKHSSSSSSSGSTVKAKADDDHQHQHQAVILMASNNNKKKKNSDPLVGMSIIVVTLIIMLFWGRLCAILCTCAWFYFLPRFTSSSAAATATATGTASIISDLDLNSEEYKKKVVLEGFLERNNHRNNNNI >ONI34727 pep chromosome:Prunus_persica_NCBIv2:G1:41116738:41120721:-1 gene:PRUPE_1G495400 transcript:ONI34727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSASNAMTIVATAAALSLPISFCRSTKLSTKKGVKGGFRVFAVFGEQSEIEKKNAWSTLFDVEDPRSKFPQTKGKFLDANLALEVARYDIQYCDWRARQDVLAIMLLHEKVVDVLNPLARDYKSIGTIKKELAELQEELGQAHRQVHISEARVSTALDKLAYMEELVNDKLLQDRATTYSEEASPSPSTSTQSLDTVKRRLPRKGLNISGPVQPYHPRLKNFWYPVAFSTDLKDDTMVPLDCFEEPWVLFRGKDGKPGCVQNTCAHRACPLDLGSVNEGRIQCPYHGWEYSTDGTCEKMPSTRLHKVKIKSLPCFEKDGMLWIWPGDGPPSATLPSLQPPSGFQIHAELVIELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSFVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKQCTTHLHQLHVCLPSSRNKTRLLYRMSLDFAPVLKHIPFMNFLWRHFAEQVLNEDLRLVLGQQERMNKGANVWNWPVTYDKLGVRYRQWRDALERGEKQLPFSKSS >ONI28561 pep chromosome:Prunus_persica_NCBIv2:G1:11611099:11615405:1 gene:PRUPE_1G148000 transcript:ONI28561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFFKPSRPKTPQEVAKAINDSLMALDTQTVVEVRALEKALEEVEKNFGTMKCMVSGDGETEPNMDQVSQLALEICKEGVLDLLIHKLPILGWEARKDLVHCWSILLKQKVDSTYCCAEYIENHLELLDFLVVCYDNKEIALNCGNMLRDCIRFPTLAKYILESASFVLFFKFVELPNFDVASDAFSTFKDLLTKHETVVSEFLTAHYDEFFDLYEKLLTSPNYVTRRQSLKLLSEFLLETPNSHIMKRYILEVRYLKVMMTLLKDSSKNIQISAFHIFKIFVANPNKPREVKVILAKNHEKLTELLENLSAGKGDEDEQFEEEKELIIAEIERVAQLSNF >ONI33858 pep chromosome:Prunus_persica_NCBIv2:G1:37951579:37955966:-1 gene:PRUPE_1G450300 transcript:ONI33858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLSSSLREPHHFSPNLGFRTANPLLFQASKRSSLRTLKLGFLQTKSSISSSTTQQDKDSVSSVNPVYVPTPQNRDLRTPHSGYHFDGTNRKFFEGWYFKVSIPERRQSFCFMYSVENPAFRKKLTPLEVAQNGPRSTGVGAQILGAYDKYICQYSEESQNFWGSRDELMLGNTFVAEKQLKPPNKEVPPKEFDRRVMEGFQVTPLWHQGSIRDDGRSDYVETVPTARWEYSTRPVYGWGDVGSKQKSTAGWLAAFPVFEPHWQICMAGGLSTGWIEWGGERFEFQNAPSYSEKNWGGAFPRKWFWVQCNVFEGASGEVALTAGGGLRQLPGLTETFENAALIGVHYGGKFYEFVPWYGDVSWEISPWGYWSIAAENETHKIELEATTEDSGTTLRAPTAESGLAPACKDTCFGELKLQIWERRYDGSKGKLILDVKSNMAAVEVGGGPWFSTWKGKTSTPELLSRALRVPVDVEGAYNLVPLFKPPGL >ONI28545 pep chromosome:Prunus_persica_NCBIv2:G1:11550554:11552857:-1 gene:PRUPE_1G146900 transcript:ONI28545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMNPSKRAANRAATCSKDRERLGEKWQQPRGPCPCTNFQQLPPAIAMEILSMLSMKTLLNCRCVCKEWLSLISDPQFTHPHLSRSRIGILIKKYPHNLKSWKPELTHVEECAESDSWVDTMNFTDNVPISEFGLVNSCNGLVCLSGPHKYDPCYVCNPILGEFIIIPPTQKGRGWCSFVGFGFSVRTNEYKVLQTSLSDNFCKAEAEIYTIGTGLWRSIGNAPMDFPELPFNSYLRGALHWVSYGGNMSMLINTFNYGTEQFQRLPSPCCFGQRKKQSSESFKLGVLGGCLLLSVFDDESSKIGMWVMKDYGVQESWTKFLVIVENLVRRTPFLSLHEPIMFLSNGEILMVHNNWDVVCYNKEKKSFREIRLTGTRSSFSFNAIAYSPSFVSLYDVSRGEEVKRVRAGNKSDKLRAVGSSDCVGSGMPPYKNTKLN >ONI28211 pep chromosome:Prunus_persica_NCBIv2:G1:10334430:10336560:1 gene:PRUPE_1G131600 transcript:ONI28211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASRINTYSNPGRIFEACENNWVSHFVWNFVFFGPWVLDLCLDMENASEEQRQRSLLGAGGRRDMPTRKGSSSWVAKKNERYGAGIESNRIR >ONI34994 pep chromosome:Prunus_persica_NCBIv2:G1:41971249:41972224:-1 gene:PRUPE_1G509200 transcript:ONI34994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTVNIWRCQIGLVYWKINFTHSQKSKGELAIKWKPPPLDWIKLNFDGSMCGNLAAIGFVIRDWNGNVWLAGAKNSGHISINVTECLELRDGLAHAIHNGWRKVLAEGDSKPIIDCINNKVLVPWSIHLIVQDIRLLSSYCEEISFQHIFRKANFTADALANLGHSLNPSHLWERGIPLGCSALFYFDLVGPACSRSFRL >ONI34326 pep chromosome:Prunus_persica_NCBIv2:G1:39482218:39490793:1 gene:PRUPE_1G475100 transcript:ONI34326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLPLIFALLSLAAVALAIHAAQPAPQLYWNSVLPNTQMPRSISELLHPDSKNEEKSTNDVGKPETFPLGNKRYYSQKRYGRGNKSYRSQKRYGRGNKSYSVQKNYGRGASPSDNQLLHYKDLAIFFLEKDMSPGTTMKFQFPRNSNTATFLPRESAQSIPFSSNKLPEIFNHFSVKPTSEEAKTIKQTIEECEAPGLKGEEIYCATSLESMVDFSTSKLGTRNVEAISTEVLEKGGTMSIQNYTTMPGLKKLAGDKVVVCHKQNYPYAVFFCHAIKHTAAYALSLKGDDGEKVKAVTICHLDTSEWDPEHMSFQIVNVKPGTIPICHFISTDAIAWVPNHKSA >ONI33037 pep chromosome:Prunus_persica_NCBIv2:G1:35444300:35447374:-1 gene:PRUPE_1G401600 transcript:ONI33037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHANRPKINPIYLGLWPKIINWAFERWHNNSFLTKKHSDSTFWLIFPPFPLLQTNFRIASVKTIPLRLFSFLYITDSSQFSVLQRTRQFLRSESSDNKRRLKGEEKPNMASKSKPFSSTRFNSIVEDDDDFQIPLTQTATAPKPSKKLKHSKPFSSDKENIPPSFFSNQDSILVQTQGFKSTAIEDFSLDSIPASFDYGSLDGDNLACSSACVDEVKEKEKEKEKGSLKSKGGYLCNSIESKLIKPRADCSLDSGNGNSPNEDFEELDVLLKLCDQAEEGESVGVSGMEEGYGIVEDENGGLVQCPLCGVDISDLSDEERQVHSNECLDKVEIEAQDASIPEEDQRKPQVSGQVLQWLRSLGLEKYEDAFVREEIDWDTLQWLTEEDLFSIGIAALGPRKKIVHALTQLREGTTSPGIEAQPRKRSASGVETVNDASEEPVDNSKTAANKLITDYFPGFTTARKQVCTTSGEQQRVEKRGSGSGHKGGAAKNHVTTRKLRDIPSWCCIPGTPFRVDAFKYLRRDCSHWFLTHFHMDHYQGLTKSFCHGKIYCSSITAKLVNMKIGIPWNNIKVLPLNQKINIAGIDVTCLDANHCPGSVIILFEPPNSKVWSKLTS >ONI33035 pep chromosome:Prunus_persica_NCBIv2:G1:35442793:35447413:-1 gene:PRUPE_1G401600 transcript:ONI33035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHANRPKINPIYLGLWPKIINWAFERWHNNSFLTKKHSDSTFWLIFPPFPLLQTNFRIASVKTIPLRLFSFLYITDSSQFSVLQRTRQFLRSESSDNKRRLKGEEKPNMASKSKPFSSTRFNSIVEDDDDFQIPLTQTATAPKPSKKLKHSKPFSSDKENIPPSFFSNQDSILVQTQGFKSTAIEDFSLDSIPASFDYGSLDGDNLACSSACVDEVKEKEKEKEKGSLKSKGGYLCNSIESKLIKPRADCSLDSGNGNSPNEDFEELDVLLKLCDQAEEGESVGVSGMEEGYGIVEDENGGLVQCPLCGVDISDLSDEERQVHSNECLDKVEIEAQDASIPEEDQRKPQVSGQVLQWLRSLGLEKYEDAFVREEIDWDTLQWLTEEDLFSIGIAALGPRKKIVHALTQLREGTTSPGIEAQPRKRSASGVETVNDASEEPVDNSKTAANKLITDYFPGFTTARKQVCTTSGEQQRVEKRGSGSGHKGGAAKNHVTTRKLRDIPSWCCIPGTPFRVDAFKYLRRDCSHWFLTHFHMDHYQGLTKSFCHGKIYCSSITAKLVNMKIGIPWNNIKVLPLNQKINIAGIDVTCLDANHCPGSVIILFEPPNSKAVLHTGDFRFSENAASMSFLQTCSIHTLILDTTYCNPQYDFPKQEAVIQFVIDAIQAETFNPKTLFLIGSYTIGKERLYLEVARVLRKNIYINPGKLHILKCLDFPEEDMRWFTSNEQESQIHVVPMWTLASFKRLKHMSNQYASRFSLIVAFSPTGWTFGKGKKKSPGRRSQQGTIIRYEVPYSEHSSFTELKEFVKLISPADIIPSVNNHGPDSAKAMISLLSSESQA >ONI33036 pep chromosome:Prunus_persica_NCBIv2:G1:35442793:35447374:-1 gene:PRUPE_1G401600 transcript:ONI33036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHANRPKINPIYLGLWPKIINWAFERWHNNSFLTKKHSDSTFWLIFPPFPLLQTNFRIASVKTIPLRLFSFLYITDSSQFSVLQRTRQFLRSESSDNKRRLKGEEKPNMASKSKPFSSTRFNSIVEDDDDFQIPLTQTATAPKPSKKLKHSKPFSSDKENIPPSFFSNQDSILVQTQGFKSTAIEDFSLDSIPASFDYGSLDGDNLACSSACVDEVKEKEKEKEKGSLKSKGGYLCNSIESKLIKPRADCSLDSGNGNSPNEDFEELDVLLKLCDQAEEGESVGVSGMEEGYGIVEDENGGLVQCPLCGVDISDLSDEERQVHSNECLDKVEIEAQDASIPEEDQRKPQVSGQVLQWLRSLGLEKYEDAFVREEIDWDTLQWLTEEDLFSIGIAALGPRKKIVHALTQLREGTTSPGIEAQPRKRSASGVETVNDASEEPVDNSKTAANKLITDYFPGFTTARKQVCTTSGEQQRVEKRGSGSGHKGGAAKNHVTTRKLRDIPSWCCIPGTPFRVDAFKYLRRDCSHWFLTHFHMDHYQGLTKSFCHGKIYCSSITAKLVNMKIGIPWNNIKVLPLNQKINIAGIDVTCLDANHCPGSVIILFEPPNSKAVLHTGDFRFSENAASMSFLQTCSIHTLILDTTYCNPQYDFPKQEAVIQFVIDAIQAETFNPKTLFLIGSYTIGTTLFGGCSCAPEKYLHQPRKAAYFKMSGFS >ONI36139 pep chromosome:Prunus_persica_NCBIv2:G1:46589085:46594461:-1 gene:PRUPE_1G571600 transcript:ONI36139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRNSLRFSPKLVTSNNSLHLSSISSSAANDVARPPLLSHSFSQSYSYSPSPSPSPSDSLKLGFQRPDGFRALSAATAVDLASGASKASYWARHYGRCYWELSKARLSMLVVATSGTGFVLGSGHAIDFSGLCWTCAGTMMVAASANSLNQVFEKNNDAKMNRTKQRPLPSGRITIPHAVTWASAAGLAGTALLASQANMLAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAAAGQVSLNAMLLPAALYFWQIPHFMALAYLCRNDYAAGGFRMLSLADASGQKTALVALRNCVYLIPLGFLAYDWGMTSGWFCLESTLLTLAITATAFSFHQDRTTHKARKMFHASLLYLPVFMSGIMFHRLSDNQQCLTEENSESSVELSLSSQDRNVNSKNKLRNSTDRTQVRPPVSYASVAPFPFLPVPSYVSS >ONI29763 pep chromosome:Prunus_persica_NCBIv2:G1:22684027:22689658:-1 gene:PRUPE_1G213600 transcript:ONI29763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREIKSPEGGLVTPASLDGNPDSNHRKKLGLYFIESDDRRTAFGRGYTGGTTPVNIHGKPIADLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVAFMIYVMHRPFSSSSNAVNNFLGISQASSVLGGFLADAYLGRYRTIAIFTTIYLAGLTGITLCATMDILAPKQDHCDQLALLLGECEPAKPWQMTYLYTVLYITGFGAAGIRPCVSSFGADQFDERSKDYKSHLDRFFNFFYLSVTTGAIVAFTAVVYIQIKLGWGFAFGSLALAMGISNLVFFVGTPLYRHRLPGGSPLTRVAQVLVAAYRKRNAPFSSSELIGLYEVPGKQSAIKGSGKIAHTNDFRCLDKAALQLKEDGVDPSPWRLCTVTQVEEVKILLKLIPIPACTIMLSAVLTEYLTLSVQQAYTLNTHMGQLKLPVTCMPVFPGLSIFLILSLYYSTFVPLSRRITGHPHGASQLQRVGIGLAVSILSVAWAAIFERYRRNYAIEHGYEGSFLSAMSDFSAFWLLIQYCLIGIAEVFCVVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFAASILNSIIKSVTGSSEKPSWLSQNINTGRFDYFYWLLTVLSVINFCVFLYCARRYKYRTEQGVKMEKQILANIKEQSLSG >ONI29762 pep chromosome:Prunus_persica_NCBIv2:G1:22684033:22689658:-1 gene:PRUPE_1G213600 transcript:ONI29762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREIKSPEGGLVTPASLDGNPDSNHRKKLGLYFIESDDRRTAFGRGYTGGTTPVNIHGKPIADLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVAFMIYVMHRPFSSSSNAVNNFLGISQASSVLGGFLADAYLGRYRTIAIFTTIYLAGLTGITLCATMDILAPKQDHCDQLALLLGECEPAKPWQMTYLYTVLYITGFGAAGIRPCVSSFGADQFDERSKDYKSHLDRFFNFFYLSVTTGAIVAFTAVVYIQIKLGWGFAFGSLALAMGISNLVFFVGTPLYRHRLPGGSPLTRVAQVLVAAYRKRNAPFSSSELIGLYEVPGKQSAIKGSGKIAHTNDFRCLDKAALQLKEDGVDPSPWRLCTVTQVEEVKILLKLIPIPACTIMLSAVLTEYLTLSVQQAYTLNTHMGQLKLPVTCMPVFPGLSIFLILSLYYSTFVPLSRRITGHPHGASQLQRVGIGLAVSILSVAWAAIFERYRRNYAIEHGYEGSFLSAMSDFSAFWLLIQYCLIGIAEVFCVVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFAASILNSIIKSVTGSSEKPSWLSQNINTGRFDYFYWLLTVLSVINFCVFLYCARRYKYRTEQGVKMEKQILANIKEQSLSG >ONI29764 pep chromosome:Prunus_persica_NCBIv2:G1:22684263:22686658:-1 gene:PRUPE_1G213600 transcript:ONI29764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREIKSPEGGLVTPASLDGNPDSNHRKKLGLYFIESDDRRTAFGRGYTGGTTPVNIHGKPIADLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVAFMIYVMHRPFSSSSNAVNNFLGISQASSVLGGFLADAYLGRYRTIAIFTTIYLAGLTGITLCATMDILAPKQDHCDQLALLLGECEPAKPWQMTYLYTVLYITGFGAAGIRPCVSSFGADQFDERSKDYKSHLDRFFNFFYLSVTTGAIVAFTAVVYIQIKLGWGFAFGSLALAMGISNLVFFVGTPLYRHRLPGGSPLTRVAQVLVAAYRKRNAPFSSSELIGLYEVPGKQSAIKGSGKIAHTNDFRCLDKAALQLKEDGVDPSPWRLCTVTQVEEVKILLKLIPIPACTIMLSAVLTEYLTLSVQQAYTLNTHMGQLKLPVTCMPVFPGLSIFLILSLYYSTFVPLSRRITGHPHGASQLQRVGIGLAVSILSVAWAAIFERYRRNYAIEHGYEGSFLSAMSDFSAFWLLIQYCLIGIAEVFCVVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFAASILNSIIKSVTGSSEKPSWLSQNINTGRFDYFYWLLTVLSVINFCVFLYCARRYKYRTEQGVKMEKQILANIKEQSLSG >ONI29761 pep chromosome:Prunus_persica_NCBIv2:G1:22684034:22689658:-1 gene:PRUPE_1G213600 transcript:ONI29761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREIKSPEGGLVTPASLDGNPDSNHRKKLGLYFIESDDRRTAFGRGYTGGTTPVNIHGKPIADLSKTGGWIAAFFIFGNEMAERMAYFGLSVNMVAFMIYVMHRPFSSSSNAVNNFLGISQASSVLGGFLADAYLGRYRTIAIFTTIYLAGLTGITLCATMDILAPKQDHCDQLALLLGECEPAKPWQMTYLYTVLYITGFGAAGIRPCVSSFGADQFDERSKDYKSHLDRFFNFFYLSVTTGAIVAFTAVVYIQIKLGWGFAFGSLALAMGISNLVFFVGTPLYRHRLPGGSPLTRVAQVLVAAYRKRNAPFSSSELIGLYEVPGKQSAIKGSGKIAHTNDFRCLDKAALQLKEDGVDPSPWRLCTVTQVEEVKILLKLIPIPACTIMLSAVLTEYLTLSVQQAYTLNTHMGQLKLPVTCMPVFPGLSIFLILSLYYSTFVPLSRRITGHPHGASQLQRVGIGLAVSILSVAWAAIFERYRRNYAIEHGYEGSFLSAMSDFSAFWLLIQYCLIGIAEVFCVVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFAASILNSIIKSVTGSSEKPSWLSQNINTGRFDYFYWLLTVLSVINFCVFLYCARRYKYRTEQGVKMEKQILANIKEQSLSG >ONI27272 pep chromosome:Prunus_persica_NCBIv2:G1:5582811:5584712:-1 gene:PRUPE_1G077300 transcript:ONI27272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGHLVSARLITLPTVKPANPKPSKLVPHLFKIRAASKRNSFSLSNKKNSRSLIKISTADGRWHGNWCCDYLLSLHDLRLEDLIEDENEKDAQVSVNLCVQKHASFGFSVDGKIMTSFTRKCSNCSSPYCRKINTQFNVWVLSSSRDEHTIQQPEIGGNLCEAWK >ONI27274 pep chromosome:Prunus_persica_NCBIv2:G1:5583394:5584636:-1 gene:PRUPE_1G077300 transcript:ONI27274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGHLVSARLITLPTVKPANPKPSKLVPHLFKIRAASKRNSFSLSNKKNSRSLIKISTADGRWHGNWCCDYLLSLHDLRLEDLIEDENEKDAQVSVNLCVQKHASFGFSVDGKIMTSFTRKCSNCSSPYCRKINTQFNVWVLSSSRDEHTIQQPEIGGDDPSLSL >ONI27271 pep chromosome:Prunus_persica_NCBIv2:G1:5582419:5584707:-1 gene:PRUPE_1G077300 transcript:ONI27271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGHLVSARLITLPTVKPANPKPSKLVPHLFKIRAASKRNSFSLSNKKNSRSLIKISTADGRWHGNWCCDYLLSLHDLRLEDLIEDENEKDAQVSVNLCVQKHASFGFSVDGKIMTSFTRKCSNCSSPYCRKINTQFNVWVLSSSRDEHTIQQPEIGGDDPSLTELDSLIQDTIRLATSIKDTCSELCEKSYPTVEYIGGQSTASIDKRWSRLLELRDLQ >ONI27273 pep chromosome:Prunus_persica_NCBIv2:G1:5583158:5584707:-1 gene:PRUPE_1G077300 transcript:ONI27273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGHLVSARLITLPTVKPANPKPSKLVPHLFKIRAASKRNSFSLSNKKNSRSLIKISTADGRWHGNWCCDYLLSLHDLRLEDLIEDENEKDAQVSVNLCVQKHASFGFSVDGKIMTSFTRKCSNCSSPYCRKINTQFNVWVLSSSRDEHTIQQPEIGGDDPSLSNLCEAWK >ONI31314 pep chromosome:Prunus_persica_NCBIv2:G1:29919123:29919932:-1 gene:PRUPE_1G305800 transcript:ONI31314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACDTNITPFNADRLIWNGDQRQPNRTPLNSLSKYSVGPTITCFKL >ONI28906 pep chromosome:Prunus_persica_NCBIv2:G1:13678224:13680388:-1 gene:PRUPE_1G168000 transcript:ONI28906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNKRAPTNRNRPPNQEDDDDEEEHDQKPYLLHLPEHIIMEIFCKIPTKTLIQCKRVCKSWRSWLSDPQFTKELFSRTPACLLVTGVRSRWGHFLVDLDRAGWQNDVALKLFSIRSLRTLCMTIVGSCNGFLCHYEAHFDLSRHLHISNPVTGEFLSLPTPPNPDRRDCYGFGFSPISEVYKLVRVLSPYGKPDQPDQVMVLTVGSGIWRNIGHPAYSFDGVTPHGIYINGFIHWIGHSSSDCSRLVCAFDVESEQFQELPLPPCIYDLDETYFKLGVLKGWLSVILNLNDDIIVWVMKDYGMKESWTKEHEFKNPVGFFGISVLNFTEEGQVLGLHRNRLQAYALVTKGLVRVEVDQLPSVVSEAWDLVPSFVSLKDIARGQLEGR >ONI26993 pep chromosome:Prunus_persica_NCBIv2:G1:4332353:4334170:-1 gene:PRUPE_1G061300 transcript:ONI26993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASLHFTTTNTPPSSSTLPKNPIQITRSPTTQITCKILINPSKKTLHQKTQKPLWPTLPPPNTKPLLPQVNPIKPNIYQSRLNPLQKLAASLLNTIETSLIEPLEKKQSLPKTIDPAVQISGNFSPVQECPVQYGLEVVGQIPDCLRGVYVRNGANPMFEPAGGHHLFDGDGMIHALTLGSANRASYSCRYTRTSRLEQEAKMGRSIFPKPIGELHGHSGLARLFLFMARAAVGLVDCSRGTGVANAGLVYFNGRLLAMSEDDLPYSVKVKGDGDLETIGRFDFKGGLDRPMIAHPKVDAVTGELHVLSYDVVKKPYLKYFKFGASGTKSRDVDITLDQPTMVHDFAITQNYVVIPDQQVVFKLSEMIKGGSPVIYDPNKTSRFGILPKNDVDESGIQWIDVPNCFCFHLCNAWEETSEVGDPIIVVIGSCMDPPDSVFKEHENNPIRAELTEMRMNLRTQESSRRVLVPGLNLEVGQVNKEVVGRKSKYVYMAITEPWPKCSGIAKVDLETGSVTKHLYGTGRFGGEPFYVPKRKNDSMGNHVEEDDDGFIMGFVRDEVDESSELVILKASSMKQVGLVRLPARVPYGFHGTFISEQDLKEQA >ONI32419 pep chromosome:Prunus_persica_NCBIv2:G1:33606657:33608673:1 gene:PRUPE_1G366900 transcript:ONI32419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFLTRGLVMVLGYAYPAYECYKTVEKNKPEIEQLRFWCQYWILVAVLTVCERIGDAFVSWVPMYSEAKLLFIIYLWFPKTKGTTYVYDSFFRPYLAKHENEIDRNLLELRTRAGDIAVVYWQRAASYGQTRIFDILQYVAAQSTPRPRPAQPQQGVRARQPPAARQPPPSPNQQPAAKTQLQPEEPPSPTSSTTSSKDQREIAEALAPSTKPKAAPQAAVSNTQKATAAASESASQSVPAEEVEPMQTEAVASSSSTEDTNPPQKETVMEESIRVTRARLRKARSAGR >ONI35917 pep chromosome:Prunus_persica_NCBIv2:G1:45759810:45762198:-1 gene:PRUPE_1G560500 transcript:ONI35917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVENEKIQALPEDFVTTTVDDEVEKKREISLGRNVHTTCHEVSEPDDDDDSTGDREAYMASVLARYRKSLIERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFALLWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASKDSHYSVFKAARMYRMECVKVNTLVSGEIDCDDFKAKLLCHKDKPAILNVNIGTTVKGAVDDLDLVIQKLEEAGFTHDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLKHINCLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYGGFQKEVQKCLKNAHYLKDRLREAGIGAMLNELSSTVVFERPQEEEFVRKWQLACQGNIAHVIVMPNITIEKLDDFLSELLQHRAKWFQDGKLQAPCIASDVGTENCLCASHK >ONI27717 pep chromosome:Prunus_persica_NCBIv2:G1:8072939:8077546:1 gene:PRUPE_1G101400 transcript:ONI27717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEKQARYALLLAARDSEYVKNVYGGYFNVFVAAFGAEGERWDLYRVVEGEFPEMSELENYDGFVISGSPYDAYGNDHWIIKLCFLLQSLDAMEKKILGICFGHQVLCRALGGKVGKAYTGWDIGLRKVKIVKDLSPFSFFDGLDEIPPALSIIECHQDEVLEVPLGAEVIGYSNKTGVEMFTIGGHILGIQGHPEYSKDILYNLIDRLLNNNCIEKGFAENARSALQLAEPDRKNWEKICKIFLKGR >ONI31379 pep chromosome:Prunus_persica_NCBIv2:G1:30088693:30089966:-1 gene:PRUPE_1G309200 transcript:ONI31379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGVKRSGSGAMDGMAMAESTSTPPLTSIVEAFEELGKFLQSQQQQQQQKLSLDTFCQASSLVSILFSCLGLAFKFAEMEYVSKVDDLVEASKTYDTLESIIDFDVANDMVKTPGSHSRNLRRVRQGLDLIRALFEQFLSTDDYSLREAASTAYAQVCAPYHSWTIRTAVAAGMYALPSRDQLLVNLEETHQSAEKKMKRYIRASRPVIEYIDKLYLSRSITLDW >ONI31380 pep chromosome:Prunus_persica_NCBIv2:G1:30088418:30090286:-1 gene:PRUPE_1G309200 transcript:ONI31380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGVKRSGSGAMDGMAMAESTSTPPLTSIVEAFEELGKFLQSQQQQQQQKLSLDTFCQASSLVSILFSCLGLAFKFAEMEYVSKVDDLVEASKTYDTLESIIDFDVANDMVKTPGSHSRNLRRVRQGLDLIRALFEQFLSTDDYSLREAASTAYAQVCAPYHSWTIRTAVAAGMYALPSRDQLLVNLEETLSREEDEKVHPGLTSGD >ONI29575 pep chromosome:Prunus_persica_NCBIv2:G1:20097082:20098302:1 gene:PRUPE_1G202700 transcript:ONI29575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKVRRNYLHTFHVVFCIINDGHVKLTSLNGYTTSVMKNIVVCD >ONI33087 pep chromosome:Prunus_persica_NCBIv2:G1:35616786:35623831:-1 gene:PRUPE_1G404900 transcript:ONI33087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNILIKTLTFSLVSLILYSVGRVVQVYWLRPKSLEKQLRQQGIRGRSYKLFQDDMKEIKMSSEEAWSKPMSLKHQIAPRVFPFFDQMVQNYGKVSLGWFETRPRLIVAEPELMKLILADKSEHITKPPLNPLVNLLQLGVSTLEGDQWAKRRRLITPAFHLEKLKGMVPAFVTSSSGLINRWENLIGVQGSCEVDVAPEFQNLAGDVIARTAFGSSFEEGKKIFELQKKQAVLVLEAYYGFYFPGLRFIPTKKKKMRYNLDNEIKTIRGMISRKEQAMENGEVGSNDLVTLFLQYDPKYWGEQVEEFNPERFAEGAAKASKDQFAFYPFGWGPRICLGQTFAVIEAKMALAMILQHFSFELSPSYIHAPIMGMTLQPQHGAPIILHRI >ONI33089 pep chromosome:Prunus_persica_NCBIv2:G1:35621640:35623886:-1 gene:PRUPE_1G404900 transcript:ONI33089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNILIKTLTFSLVSLILYSVGRVVQVYWLRPKSLEKQLRQQGIRGRSYKLFQDDMKEIKMSSEEAWSKPMSLKHQIAPRVFPFFDQMVQNYGKVSLGWFETRPRLIVAEPELMKLILADKSEHITKPPLNPLVNLLQLGVSTLEGDQWAKRRRLITPAFHLEKLKGMVPAFVTSSSGLINRWENLIGVQGSCEVDVAPEFQNLAGDVIARTAFGSSFEEGKKIFELQKKQAVLVLEAYYGFYFPGLRFIPTKKNKMRYNLDNEIKAILRGMISRKEQAMENGEVGSDDLLGLLLQCKEQEQNSMTIEDVIEECKLFYFAGQETTANWLTWTMIVLSMHPSWQEKAREEVLRVCGKETPDLGAINRLKIVSMILNEVLRLYPPVTVLYRHTQKKTNIGGLSIPAGVEFVLLILFLQYDPKYWGEQVEEFNPERFAEGVSKASKDEFAFYPFGWGPRICLGQTFAVIEAKMALAMILQHFSFELSPSYTHAPIVGITLQPQHGAPIILHRI >ONI30834 pep chromosome:Prunus_persica_NCBIv2:G1:28142303:28145914:1 gene:PRUPE_1G276000 transcript:ONI30834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESEGPTIKLFGKTIPLALAPLNHEASSAIDESCGAVDSDIAATACGGGVQDCSDDKQKLSSSATTNSLEGNSTRVDKETISEKELTAEKQEDDTSYQITEDLKTPTTSSGISENPKTPSVDRETSSLKSSNNGDQSEASCSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGIMRNVPVGAGRRKNKNSSASQYRHMLMSDALQTARASAANGAHNTTLGSNGTVLTFGSDSPLCESMASVLNLAEQAQNCTQSGFHRPEQRIGVSSIPGDNRDAHSSGSSITASNSSERGGKVGLQEPAIKSCQSFPPQVPCFPGSPWPYPWNSAQWPSGMPPPPFCHSGFPISFYPAPPYWGCTVPDSWNLPSLSSPSSTLSHCATSSGPNSPTLGKHSRDEDILNPASSQNEDHAKNNTSDGCVWIPKTLRIDDPSEAAKSSIWATLGIKNEKGNCTNEGGLFQAFQSKGDEKNHVVEASPVLQANPAALSRSLNFHERT >ONI30917 pep chromosome:Prunus_persica_NCBIv2:G1:28443342:28446074:1 gene:PRUPE_1G281200 transcript:ONI30917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRAASLLLNHVTTTASKSSVAAKPPFHLSRPSSPIFCFHFVNSIPFSAIPSRVSIDTNDYDSEPPYYAHSQTQEDEETKKVPVKAYFLCTSINLKSMQAENLSNVIPPSSRSTNYIALRFCDFPSQNAELGVWGKPSYCRYMVVFQYGSAVLFNVEDHEVGAYLDIVIRHASGLLPEMRKDDYAVREKPKLEEDMQGGPDYIVLKTLDTDAIRIIGSVLGQSIALDYFVSQVDGMVEEFADINRGMEKTGTFTMHKKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAKIHEYLREEYEVTQRFGNLDFKLKFVEVFFPL >ONI30915 pep chromosome:Prunus_persica_NCBIv2:G1:28443182:28446625:1 gene:PRUPE_1G281200 transcript:ONI30915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRAASLLLNHVTTTASKSSVAAKPPFHLSRPSSPIFCFHFVNSIPFSAIPSRVSIDTNDYDSEPPYYAHSQTQEDEETKKVPVKAYFLCTSINLKSMQAENLSNVIPPSSRSTNYIALRFCDFPSQNAELGVWGKPSYCRYMVVFQYGSAVLFNVEDHEVGAYLDIVIRHASGLLPEMRKDDYAVREKPKLEEDMQGGPDYIVLKTLDTDAIRIIGSVLGQSIALDYFVSQVDGMVEEFADINRGMEKTGTFTMHKKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAKIHEYLREEYEVTQRFGNLDFKLKFVEDILLGKAYGQEVTYRKFTTFISCKKSFKIESRIFWNGASSSY >ONI30916 pep chromosome:Prunus_persica_NCBIv2:G1:28443182:28446265:1 gene:PRUPE_1G281200 transcript:ONI30916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRAASLLLNHVTTTASKSSVAAKPPFHLSRPSSPIFCFHFVNSIPFSAIPSRVSIDTNDYDSEPPYYAHSQTQEDEETKKVPVKAYFLCTSINLKSMQAENLSNVIPPSSRSTNYIALRFCDFPSQNAELGVWGKPSYCRYMVVFQYGSAVLFNVEDHEVGAYLDIVIRHASGLLPEMRKDDYAVREKPKLEEDMQGGPDYIVLKTLDTDAIRIIGSVLGQSIALDYFVSQVDGMVEEFADINRGMEKTGTFTMHKKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAKIHEYLREEYEVTQRFGNLDFKLKFVEVFFPL >ONI30914 pep chromosome:Prunus_persica_NCBIv2:G1:28443182:28446625:1 gene:PRUPE_1G281200 transcript:ONI30914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRAASLLLNHVTTTASKSSVAAKPPFHLSRPSSPIFCFHFVNSIPFSAIPSRVSIDTNDYDSEPPYYAHSQTQEDEETKKVPVKAYFLCTSINLKSMQAENLSNVIPPSSRSTNYIALRFCDFPSQNAELGVWGKPSYCRYMVVFQYGSAVLFNVEDHEVGAYLDIVIRHASGLLPEMRKDDYAVREKPKLEEDMQGGPDYIVLKTLDTDAIRIIGSVLGQSIALDYFVSQVDGMVEEFADINRGMEKTGTFTMHKKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAKIHEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVLQNRKSDLLEWCIIFLLSIENIISLYEIVRDSTAASL >ONI36131 pep chromosome:Prunus_persica_NCBIv2:G1:46503653:46513293:1 gene:PRUPE_1G571100 transcript:ONI36131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVQHLRTAKTPNVRQLAAVLLRKKITGHWAKLSPQIKHLVKQSLIESITMEHSPPVRRASANVVSIVAKYAVPAGEWPDLLPFLFQCSQSAQEEHREVALILFSSLTETIGNTFRPHFADLQALLLKCLQDETSTRVRVAALKAVGSFLEFTHDGDEVVKFREFIPSILNVSRQCLAAGEEDVAVIAFEIFDELIESPAPLLGESVKSIVQFSLDVCSSQSLESNTRHQAIQIVSWLAKYKSSSLKKHKLVIPILQVMCPLLAESNNEDKDDDLAPDRAAAEVIDTMALNIPKHVFHPVLEFSSLSSQNANPKYREASVTALGVISEGCLELIKDKLDPVLHIVLGALRDPEEMVRGAASFALGQFAEHLQPEIVSHYQSVLPCILNALEDTSDEVKEKSYYALAAFCENMGEEILPFLNPLMGKLLGALQNSPRNLQETCMSAIGSVASAAEQAFVPYAERVLELMKNFLVLTNDVDLRSRARATELVGIVAMSVGRTGMEPILPPYIEAAISGFGLEYSELREYTHGFFSNVAEILDDGFIQYLPHVVPLAFSSCNLDDGSAVDIDESDDENINGVGGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFALHTKTSYGPYLEESFKILVRHSGYFHEDVRLQAIISLKHILVAAQAVYQNHSEGQARAKEVLDTVMNIFIKTMAEDDDKEVVAQACMSLADIIKDYGYMAVEPYVPRLVDATLVLLREESACQLTASDEEIDDDDVVHDEELMDAVSDLLPAFAKSMGPHFAPIFATLFEPLMKFARASRPLQDRTMVVACLAEVAQDMGAPIAGYIDRVMPLVLKELASSDATNRRNAAFCVGELCKNGGEGTLKYYGDILRGLYPLFGESEPDDAVRDNAAGAVARMIMVHPESIPLNQILSLVPDLVNVFAQVVASPLETPEVKAQIGRAFSHLVSLYGHQMQPLLSNLSPAHANALAAFAPKS >ONI36130 pep chromosome:Prunus_persica_NCBIv2:G1:46503653:46513293:1 gene:PRUPE_1G571100 transcript:ONI36130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVQHLRTAKTPNVRQLAAVLLRKKITGHWAKLSPQIKHLVKQSLIESITMEHSPPVRRASANVVSIVAKYAVPAGEWPDLLPFLFQCSQSAQEEHREVALILFSSLTETIGNTFRPHFADLQALLLKCLQDETSTRVRVAALKAVGSFLEFTHDGDEVVKFREFIPSILNVSRQCLAAGEEDVAVIAFEIFDELIESPAPLLGESVKSIVQFSLDVCSSQSLESNTRHQAIQIVSWLAKYKSSSLKKHKLVIPILQVMCPLLAESNNEDKDDDLAPDRAAAEVIDTMALNIPKHVFHPVLEFSSLSSQNANPKYREASVTALGVISEGCLELIKDKLDPVLHIVLGALRDPEEMVRGAASFALGQFAEHLQPEIVSHYQSVLPCILNALEDTSDEVKEKSYYALAAFCENMGEEILPFLNPLMGKLLGALQNSPRNLQETCMSAIGSVASAAEQAFVPYAERVLELMKNFLVLTNDVDLRSRARATELVGIVAMSVGRTGMEPILPPYIEAAISGFGLEYSELREYTHGFFSNVAEILDDGFIQYLPHVVPLAFSSCNLDDGSAVDIDESDDENINGVGGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFALHTKTSYGPYLEESFKILVRHSGYFHEDVRLQAIISLKHILVAAQAVYQNHSEGQARAKEVLDTVMNIFIKTMAEDDDKEVVAQACMSLADIIKDYGYMAVEPYVPRLVDATLVLLREESACQLTASDEEIDDDDVVHDEELMDAVSDLLPAFAKSMGPHFAPIFATLFEPLMKFARASRPLQDRTMVVACLAEVAQDMGAPIAGYIDRVMPLVLKELASSDATNRRNAAFCVGELCKNGGEGTLKYYGDILRGLYPLFGESEPDDAVRDNAAGAVARMIMVHPESIPLNQVLPVFLKVLPLKEDHEESMAVYSCVSTLVLSSNGQILSLVPDLVNVFAQVVASPLETPEVKAQIGRAFSHLVSLYGHQMQPLLSNLSPAHANALAAFAPKS >ONI31579 pep chromosome:Prunus_persica_NCBIv2:G1:30749131:30752340:1 gene:PRUPE_1G320500 transcript:ONI31579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVSASVKTPLIPNQERSHELEGATISQQGFRRGDFVEEAKLQLWLAGPLIAVSLLQYSLQVTSVMYVGHLGELALSSASMASSFASVTGFSVLLGMGSALETLCGQAYGAKQYNMLGVHMQRAMLTLLVVSIPLALIWFYTSTILMALGQDHEISTEAGTFNQWMIPSLFAFSLLQCLNRFLQTQNNVFPMMISSGITAFLHIMVCWALCFKFGLGNKGAALAISISNWVNVLLLASYVKFSLACKNTWTGFSEEALHDIFSFIKLAIPSAIMICFEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGSAISTRVSNELGAGRPQGAQLALRVMTIMALSEGAAIATATVLVRYVWGKLYSNENEVIIYVAKMMPLLALSDFLDGFQCVLSGAARGCGWQNLCVLINLGAYYVVGIPTAVLFAFILHIGGMGLWMGIICGLLVQVTALVAVNVCTDWYEEATKAANRVQNTVLVIDKAT >ONI31578 pep chromosome:Prunus_persica_NCBIv2:G1:30749521:30752340:1 gene:PRUPE_1G320500 transcript:ONI31578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFLRMAEVSASVKTPLIPNQERSHELEGATISQQGFRRGDFVEEAKLQLWLAGPLIAVSLLQYSLQVTSVMYVGHLGELALSSASMASSFASVTGFSVLLGMGSALETLCGQAYGAKQYNMLGVHMQRAMLTLLVVSIPLALIWFYTSTILMALGQDHEISTEAGTFNQWMIPSLFAFSLLQCLNRFLQTQNNVFPMMISSGITAFLHIMVCWALCFKFGLGNKGAALAISISNWVNVLLLASYVKFSLACKNTWTGFSEEALHDIFSFIKLAIPSAIMICFEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGSAISTRVSNELGAGRPQGAQLALRVMTIMALSEGAAIATATVLVRYVWGKLYSNENEVIIYVAKMMPLLALSDFLDGFQCVLSGAARGCGWQNLCVLINLGAYYVVGIPTAVLFAFILHIGGMGLWMGIICGLLVQVTALVAVNVCTDWYEEATKAANRVQNTVLVIDKAT >ONI31577 pep chromosome:Prunus_persica_NCBIv2:G1:30749521:30752340:1 gene:PRUPE_1G320500 transcript:ONI31577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFLRMAEVSASVKTPLIPNQERSHELEGATISQQGFRRGDFVEEAKLQLWLAGPLIAVSLLQYSLQVTSVMYVGHLGELALSSASMASSFASVTGFSVLLGMGSALETLCGQAYGAKQYNMLGVHMQRAMLTLLVVSIPLALIWFYTSTILMALGQDHEISTEAGTFNQWMIPSLFAFSLLQCLNRFLQTQNNVFPMMISSGITAFLHIMVCWALCFKFGLGNKGAALAISISNWVNVLLLASYVKFSLACKNTWTGFSEEALHDIFSFIKLAIPSAIMICFEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGSAISTRVSNELGAGRPQGAQLALRVMTIMALSEGAAIATATVLVRYVWGKLYSNENEVIIYVAKMMPLLALSDFLDGFQCVLSGAARGCGWQNLCVLINLGAYYVVGIPTAVLFAFILHIGGMGLWMGIICGLLVQVTALVAVNVCTDWYEEATKAANRVQNTVLVIDKAT >ONI27087 pep chromosome:Prunus_persica_NCBIv2:G1:4810025:4811236:1 gene:PRUPE_1G067400 transcript:ONI27087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMKKVEKIRQIVRLKQLVMRWKLTSLRRRSALSYDDSGPFPSGSNRRIPAGFLAVYVGAERIRFVIQARFVNLPVFVGLLKKAEEEFGFGCSGGLVLPCEVGFFKEILRFLERDESKFGRLGLEEFLKMVSEVGFDSCKELASNAAANSSCHAFTPLLQKARG >ONI30263 pep chromosome:Prunus_persica_NCBIv2:G1:25456263:25456724:-1 gene:PRUPE_1G240600 transcript:ONI30263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLKRKEKEILTMIFFLLIFWVLPTLGMGPLIRVVYLFCFSCFCLFLVLIRIPEFLHLSISFWLQFYLLIFYC >ONI33443 pep chromosome:Prunus_persica_NCBIv2:G1:36578737:36579899:1 gene:PRUPE_1G424300 transcript:ONI33443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQALNSPTTAGASPFRFEEAASKINYVEPWTKRKRSKRPRLDSPSTEEEYLALCLIMLARGGSGSTSAATTATNSAASHHMITQSPSNASHQEPSTSAQPPKLSYKCSVCNKAFPSYQALGGHKASHRKGAAGSAAEGPSTSSNTTTSGTTTVTASGRTHECSICHKSFPTGQALGGHKRCHYEGGVGASTATATATTTSAVTSSEGVGSTSHQAVSHGHRETFDLNMPALPEFSRDFFLCGEDEVESPHPAKKPRILTIMKPKQEISQN >ONI31862 pep chromosome:Prunus_persica_NCBIv2:G1:31673707:31675702:-1 gene:PRUPE_1G335300 transcript:ONI31862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQNQNGYADLEKQMLILHDHEEKHFMSSEIVRDVILGVSDGLTVPFALAAGLSGADVSSSIILIAGIAEVAAGAISMGLGGYLAAKSEADHYMKELKREQDEIIAVPDTEAAEVAEILSQYGAEPHEYGPVVNALRRNPQAWLDFMMKFELGLEKPDPMRAVQSAMTIAFSYIIGGLVPLVPYMLIPIATRAVVASVILTIVALMIFGFAKGHFTGTQPFKSALQTAFIGAIASAAAYSIAKLFQAYHH >ONI35130 pep chromosome:Prunus_persica_NCBIv2:G1:42565491:42567875:1 gene:PRUPE_1G518000 transcript:ONI35130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFSFTESKNSCHRSTFARAGLRSAITDIKDGGTTMHCWVPQSPNPSKRNLLLIHGLGVNAMWQFVDLLRHVTPHFNVYVPDLLFFGESSTTRPDRSESFQAECVMRVMEAHSVRRLSLVGLSYGGFVGYSLAANYRESVERVVICCAAVCLEEKDIREGVFPISDLDEAASILVPQTPQKLRELIKYTFFKPPPLGLIPSCLLMDFIEAMYTEHVKEQKELIMAVPKNRKLSDLPKIPQPTLIIWGEHDQVFPLEFAYKLKRHLGENAELVVIKDAGHAINVQKPKEYYNHIKSFLLDMQQPSANKHQQHSKPLQSLT >ONI33282 pep chromosome:Prunus_persica_NCBIv2:G1:36081813:36083995:1 gene:PRUPE_1G414700 transcript:ONI33282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIVEGDGSGAGRYTLRPTRLSNEDILFCIDVDPESMVEMKAAMGPNGRPLTRLDSIKQAILVFINAKLTINPEHRFAFACLGKSASWLRKEFSSEVDSAIAAFRVLSATSSSSNADLTSLFRVAAHEAKKSRAQNRLLRVILIYCRSSVRPNHQWPVNQKLFTLDVVYLHDKPGPENCPQEVYDSLVDALELVSEYEGYILESGQGLTRVLFRYMCMLLSHPQQRCPQDHLDIPKPLAKKLPAADSTTTDDSIITVSGISEVQNIRN >ONI28663 pep chromosome:Prunus_persica_NCBIv2:G1:12110798:12118537:-1 gene:PRUPE_1G153800 transcript:ONI28663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSADHCRISLSAPSQLCGAAGFSGRRQRVVKFCNGDLMGKKLNLTQLQLGAANVKKNGGVGKHVCMSLTTDVPVGEAKLRDIDIEKRDPRTVVAIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSTSLNRHISRAYNFGSGTSFGDGCVEVLAATQTPGAAGQKWFQGTADAVRQFHWLFELAIYWCDTGPEDLYIVMQYVAAIVATWVPESMLG >ONI28664 pep chromosome:Prunus_persica_NCBIv2:G1:12113567:12118597:-1 gene:PRUPE_1G153800 transcript:ONI28664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSADHCRISLSAPSQLCGAAGFSGRRQRVVKFCNGDLMGKKLNLTQLQLGAANVKKNGGVGKHVCMSLTTDVPVGEAKLRDIDIEKRDPRTVVAIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSTSLNRHISRAYNFGSGTSFGDGCVEVLAATQTPGAAGQKWFQGTADAVRQFHWLFEVLKKYRNALNR >ONI34892 pep chromosome:Prunus_persica_NCBIv2:G1:41698484:41699296:1 gene:PRUPE_1G504300 transcript:ONI34892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMPNLQLGIRYSIGKHASIVREIKPSDFDPKEKFWASCSLIGNQTRFIVMGNLFCSDYRIHRRFDLKGSSHGRTTDKPEGEIDEITTLKDLDLNFVFRLQGKWFQDLMNMNIGRQIDLDCQFLEAERIMDYSLPPPIGDL >ONI35658 pep chromosome:Prunus_persica_NCBIv2:G1:44839185:44849577:1 gene:PRUPE_1G548200 transcript:ONI35658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSGTKEQSHKEHRSRQSGSKADKKKRAASSQSGKKQNPKAFAFSSTVKAKRLQSRSVEKEQRRLHVPTIDRSYGEQPPYVVLVHGPPKVGKSLLIKSLVKHYTKHNLPEVRGPITIVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQHLKHRFWTEIYDGAKLFYLSGLIHGKYVKREIHNLARFISVMKFHPLSWRTAHPYVLVDRFEDVTPPEKVRLNNKCDRNVTLYGYLRGCNMKKGTKIHIAGVGDYSLAGMTGLADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSNIDEKGEATNEGKCQDVGVALVKSLQNTKYSVDEKLQESFINLFSRKPNLLSKAQSDGKDTDESREHIGRIESFEEYQSGEATKGEGSAEESDVEDFDGSESESSDKNEAAHKDASDHDATLKDHLKEHVEFHDGRSRRKVIFRNDLDRNDMEDSDLEAEDDGNDNNEDDIHASSGSESSEEDEDIHETDDEMGNIAKWKESLVERTSSRQIINLMQLVYGKSTSTQATSINEECDGSADDESDGDDFFKPKGEGNKKHGGIEGGNWNVEDCSKFTNYSNLKDWKEEKLREGIRDRFVTGDWSKASQRNQAAEAKVEDDDAVYGDFEDLETGEKHDGNHSSDASNDANHKEDDLAKEERRLKKLALRAKFDAQFDGAESSEEELENKHEGKFGRDQSKESGYFDRLKDEIELRKQMNIAELNDLDEATRLEIEGFRTGTYLRLEVHDVPYEMVEYFDPCHPILVGGIGVGEENVGHMQARLKRHRWHKKVLKTSDPIIVSIGWRRYQTIPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAFQNLSNNQVQFRITATAVVLEFNHTSRIVKKLKLVGHPCKIFKNTALVKDMFTSDLEIARFEGAAVRTVSGIRGQVKKAAKEEIGNQPKKMGGQPKEGIARCTFEDKIKMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTTAELRREHNIPIPVNKDSLYKPIERKLKKFNPLVIPKSLQAALPFASKPKDIPSRGRPLLENRRAVVMEPHERKVHALVQHLRLIRNEKMKKRKLKDDKKRKEIEVQKAKEEQLSKKRQREERRERYREQDKLKKKIRRNAED >ONI28234 pep chromosome:Prunus_persica_NCBIv2:G1:10514506:10521636:-1 gene:PRUPE_1G132900 transcript:ONI28234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTTTTAPREPPQPLSDADIIRLAQSGSPIPSFLLTTSSHQTLLSYLTSRSQSSSPSKPVSDYTLSLLSLISLSPQTHSLSTLLSSVLLSYTDLFTSFRIPHDPNSLKTIQFFHTLLPYVPITELPQIVEPIVSFLPQIVDSENAQILDLLPRCFNLIRNAEEIEGGGHFVNSVIDKILGINWSKGLVSKMVSIVRDFPVLDKTRAREFVEKVFVGMSSLDLQDLPSLVYQLLVLASKGFVKREVIEGIVTFFGSKMASKASSIVRQVEGTVLLHVNFAVKQDPSLGQEVIGLVRSDLRAFNHFTVAVLLSVSRVRKFGESSMGALKIALLTAYRDYKFVEDCKWIPDDMKEEYLQNVKVVEKAMLRAVNESNYGREHIVPSILEFSFLLLESMEGGNHKDPCNSSGLLGIEELAVQMLKALFEAHDMARNEIIEQCKFRILSLKPEQSVAIIRLLGNLVQSYPYPMLEHVSRMKELLDYFTFMHGKVAAEVVSVLLPLIKFSRDLQDYTILVMRKAMFRREDAVRLAATAAVIDLIVAEKQSKRDDPLSFQESSSQASSSQQSEIRYSVGGGLFQELSGLLQRCLYQQANVKEVLYHGLVKLVLVDPSSGRAVFDFLLPHFLQFFKEDEDVQLQINCCVKSESGKAYIGEPLHCLLSCISWMLLLQLHGKTEQALESSWACLGFSLSQENEAGRNSSVDSFCTAFWKIRKSLRNQNLEGIFGQTQDPGSASVEEDKRKCCSLVLSGIIEVVLNAVATELEKATDRKKLDLEKELIEFVDLHDSLDKDTGFSRHSTVIRRGNPRTSAHDVPNNMESGHSKVTQGRISFFATSSIYQILQTVLKLLNTDNPNHVAASQNNSQLTCKTSKCCSKMICFVLNASLSHIKSSSVLQNDDPLRTLIYGEIKVLGAPLLKLIFLLTSRPKMVSNQNKTEAKGKKDVQEQKEHLHLALICLKELIMICSRTSHLTGLLEDMVSISTLQHAGLDNEIETISIIEDQHTRSKELFIVKTLKPLFSELLALSFFSEVEIICDMILIFGGKLPCKLSNSHGAWAISVCKSNEILNSKVGKSLVTLAICLSSPPNDLIICQDMARALLQVIGSEGNVPMKVSEVYPLVNHLTSPTINSCILHIIEAVIVDMDWAIKKLKTFSLVSQKSIHLSQNIEQSLVLALEENLYARAEAVVKVLSSFASMSLNDTQAEFLLRFCARFYKHLAQMSKLRIAPKGCKQIFPSLKFLKLVEVTCKQLTNPLYDFVAIMQGKHQENANAKGMINKIKRENRCIPELIFQIEDYEKYLIQLSKVCKVNLLRHAKRSTARDFRIIPNNINREEDPPGQEPNQDNSNAVENESGEDLGDNEGDDDGSEHILSPEDNSPLVAGDSGSDNEDGDGLPSAKRLKRSNRVVQDSDDEA >ONI28235 pep chromosome:Prunus_persica_NCBIv2:G1:10514455:10521636:-1 gene:PRUPE_1G132900 transcript:ONI28235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALKIALLTAYRDYKFVEDCKWIPDDMKEEYLQNVKVVEKAMLRAVNESNYGREHIVPSILEFSFLLLESMEGGNHKDPCNSSGLLGIEELAVQMLKALFEAHDMARNEIIEQCKFRILSLKPEQSVAIIRLLGNLVQSYPYPMLEHVSRMKELLDYFTFMHGKVAAEVVSVLLPLIKFSRDLQDYTILVMRKAMFRREDAVRLAATAAVIDLIVAEKQSKRDDPLSFQESSSQASSSQQSEIRYSVGGGLFQELSGLLQRCLYQQANVKEVLYHGLVKLVLVDPSSGRAVFDFLLPHFLQFFKEDEDVQLQINCCVKSESGKAYIGEPLHCLLSCISWMLLLQLHGKTEQALESSWACLGFSLSQENEAGRNSSVDSFCTAFWKIRKSLRNQNLEGIFGQTQDPGSASVEEDKRKCCSLVLSGIIEVVLNAVATELEKATDRKKLDLEKELIEFVDLHDSLDKDTGFSRHSTVIRRGNPRTSAHDVPNNMESGHSKVTQGRISFFATSSIYQILQTVLKLLNTDNPNHVAASQNNSQLTCKTSKCCSKMICFVLNASLSHIKSSSVLQNDDPLRTLIYGEIKVLGAPLLKLIFLLTSRPKMVSNQNKTEAKGKKDVQEQKEHLHLALICLKELIMICSRTSHLTGLLEDMVSISTLQHAGLDNEIETISIIEDQHTRSKELFIVKTLKPLFSELLALSFFSEVEIICDMILIFGGKLPCKLSNSHGAWAISVCKSNEILNSKVGKSLVTLAICLSSPPNDLIICQDMARALLQVIGSEGNVPMKVSEVYPLVNHLTSPTINSCILHIIEAVIVDMDWAIKKLKTFSLVSQKSIHLSQNIEQSLVLALEENLYARAEAVVKVLSSFASMSLNDTQAEFLLRFCARFYKHLAQMSKLRIAPKGCKQIFPSLKFLKLVEVTCKQLTNPLYDFVAIMQGKHQENANAKGMINKIKRENRCIPELIFQIEDYEKYLIQLSKVCKVNLLRHAKRSTARDFRIIPNNINREEDPPGQEPNQDNSNAVENESGEDLGDNEGDDDGSEHILSPEDNSPLVAGDSGSDNEDGDGLPSAKRLKRSNRVVQDSDDEA >ONI28219 pep chromosome:Prunus_persica_NCBIv2:G1:10381907:10384156:1 gene:PRUPE_1G131900 transcript:ONI28219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSKKKKIALIIVFSVIGVAMIVAVAVGVTCSRKSAKQNKGTSSSKKAIETICQTTSYKETCQSSLEKAAGDTSDPKELIKTGFQVAADKLDEVIKNSNTLKDLAKDPMASQALDVCKEMLDTAAEDLLGAVDKMGPFDASKMNEYVEDLKVWLSAAVNHQQTCLDAFEGTKGEAGEKMKGFLKTAQELTSNGLAMVSEISSLLGSVNSNTHRRRLLGSSSGDQKSKRVYKPLPSWIGARKLDLLTATPQSLKPNVVVAQDGTGKYKSIQEALKEVPKKSAQPFVIYIKEGVYKEYVIVDKDMTNVVMIGDGPTKTRISGSKNYADGTTTMYTATFAAVGDYFVAKGIGFENTAGAAKHQAVALRVQSDFSIFYNCYIDAYQDTLYTQTYRQYYRDCTISGTVDFIFGDGVAMFQNCRMVVRKPGDSQSCMVTAQGRTDKNEITAIVLQNCTITGEPDYMAVKDKNKAYLGRPWKGFARVVVIQSQIDNAIAPEGWTEWTGEKFHTSCFVAESGNRGAGADMSKRVKWQTLMKLTPEQAAAFTPARLFEGDKWVKPSGVPYVSGMMSV >ONI27664 pep chromosome:Prunus_persica_NCBIv2:G1:7892916:7894209:1 gene:PRUPE_1G098800 transcript:ONI27664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFKSLALVALLVGISLSAINASEGRVARKDLGVDLGGIGVGGGVGLGIGLGGSGSGSGAGSGSGSGGSSSSSSSASSSASSSSGSGDAGSEAGSSAGSRASSGSGRGARGSGGGRGGGSGYGRGEGSGRGSGEGNGEGHGEGRGYGEGYGSGGGN >ONI31308 pep chromosome:Prunus_persica_NCBIv2:G1:29886383:29890011:1 gene:PRUPE_1G305300 transcript:ONI31308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSWLVDKNRIATKIKSASGTCDPERIKWQSNPTRACPNCQYTIDNSDVAQEWPGLPKGVKFDPTDQEIIWHLIAKSGAEDLKSHPFIDEFIITVDDDEGICCSHPHKLPSVKQDGSASHFFHRAIKAYNTGTRKRRKIHDGDGDVRWHKTGRTKPVMLDGVQRGCKKIMVLYMSTVGGGKPKKTNWVMHQYHLGTEEDEKDGEYVISKIYYQQQQVKQADKTDQDIPEGFDLVITEVDPVTPKSVTPEPPRTERKLADFDLGQDTPATSRDPFPQHHAEDEVHPKMDHAEDEVHPEMDHAEDEVHPEIEKPDHYDQHNVENEADEVINNTENNAQEDPKWWDSESQNLLDSQQLVEGLSLCDDLLQSQSPIRTGHENGEPARVKPRLADYAKLGPENLKKDLEECQNIVLDPANIMLEDTPPDFRLSQLEFGSQESFLSWGVKLAD >ONI31307 pep chromosome:Prunus_persica_NCBIv2:G1:29886383:29889969:1 gene:PRUPE_1G305300 transcript:ONI31307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSWLVDKNRIATKIKSASGTCDPERIKWQSNPTRACPNCQYTIDNSDVAQEWPGLPKGVKFDPTDQEIIWHLIAKSGAEDLKSHPFIDEFIITVDDDEGICCSHPHKLPSVKQDGSASHFFHRAIKAYNTGTRKRRKIHDGDGDVRWHKTGRTKPVMLDGVQRGCKKIMVLYMSTVGGGKPKKTNWVMHQYHLGTEEDEKDGEYVISKIYYQQQQVKQADKTDQDIPEGFDLVITEVDPVTPKSVTPEPPRTERKLADFDLGQDTPATSRDPFPQHHAEDEVHPKMDHAEDEVHPEMDHAEDEVHPEIEKPDHYDQHNVENEADEVINNTENNAQEDPKWWDSESQNLLDSQQLVEGLSLCDDLLQSQSPIRTGHENGEPARVKPRLADYAKLGPENLKKDLEECQNIVLDPANIMLEDTPPDFRLSQLEFGSQESFLSWGVKLAD >ONI28944 pep chromosome:Prunus_persica_NCBIv2:G1:14156346:14157568:1 gene:PRUPE_1G170800 transcript:ONI28944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWKHKGKISEQDTDELQYVKMIIKETRLHTLAPMIIPRETMSHFKIQDSDIDPKTLVFSWKDSEKFIPERFDGSSIDYKGQNFEFLLFGAGRRICPGMYMGITTLQPGLANLLYWFDWKLLNGMKEEDIDMEENKVIRAKEKVVHKPNYIKE >ONI30858 pep chromosome:Prunus_persica_NCBIv2:G1:28219781:28225324:1 gene:PRUPE_1G277600 transcript:ONI30858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKAKRRVATENGETGEDLVLATLIRNGDDLGPIVRHAFEMGRPESLLHQLKHVVKKKEVEIEDLCKTHYEEFILAVDELRGVLVDAEELKGELSSDNFKLQEVGSALLIKLEELLESYSIKKNVTEAIKMSKNCVQVLELCVKFNKHISEGQFYPALKTLDLIEKNYLQNIPVRAVRMIVEKRIPIIKLHIEKKVTSQFNEWLVHIRSSAKDIGQTAIGHAASARQRDEEMLERQRKAEEQNISGLGDFAYTLDVEEIDEESILKVDLTPLYRAYHIQSCLGIQEQFWEYYYRNRLLQLNSDLQISSAQPFVESHQIFLAQIAGYFIVEDRVLRTAGGLLLAEQVEKMWDTAIAKMKSVLEEQFSHMNSATHLLLVKDYVTLLGSTLRQYGYEVGPLLETLDKSRDKYHELLSEECRQQIANVIASDTYEQMVLKKDTDYESVVLSFNLQTSDITPAFPYIAPFSSTVPDACRIVRSFIKGCVDYLSHGAHTNFYDVVRKYLDKLLIDVLNEVILNTIQSGNIGVSQAMQIAANISALERACDFFLRHAAQLCGIPIRSVERPQACLTAKVVLKTSRDEAYLALLNLMNKKLDQFMALTENINWTLEEPPQNGNDYINEVVIYLDTLLSTAQQILPLDALYKVGNGALDHISNSIVSAFLSDSVKRFSANAVMGINYDLKMLESFADEKFHSTGLSEIYKEGSFRGCLIEARQLINLLLSSQPENFMNPVIREKNYNALDYKKVSSICEKFKDSTDGIFGSLSNRNNKQSGRKKSLDMLKKRLKDFN >ONI33313 pep chromosome:Prunus_persica_NCBIv2:G1:36145835:36148239:1 gene:PRUPE_1G416300 transcript:ONI33313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRVFGKAKQEANALPTIHKLNDTLEMLEKKEKVLVKKAAAEVEKAKQFTQARNRTAAIKCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGANATTETVDALRSGTSVMKAMNKATKIDDLEKTMDEINDQTESMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEELFQPATTAPAATVHVNAPVGRQPTQPAPQRNNRDEDELAALQAEMAL >ONI33314 pep chromosome:Prunus_persica_NCBIv2:G1:36146222:36148194:1 gene:PRUPE_1G416300 transcript:ONI33314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRVFGKAKQEANALPTIHKLNDTLEMLEKKEKVLVKKAAAEVEKAKQFTQARNRTAAIKCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGANATTETVDALRSGTSVMKAMNKATKIDDLEKTMDEINDQTESMKQIQEALSAPIGAAADFDEV >ONI33833 pep chromosome:Prunus_persica_NCBIv2:G1:37887100:37888020:-1 gene:PRUPE_1G448600 transcript:ONI33833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDQTQGSETESSSNSFTSTTPPSSPSSLQNPLSANLQKPNSPIHQEIELPGKKNTKRGRDSSKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGNSAILNFPELSDSLPRPVSLAPRDVQAAASKAAQMHQFDANPSMSCSMLSSSSSSSLSSLVSAIDNLSTESEELSEIVELPSLGYESTELRSEFVFVDSEDQWVYPPPWLQRLGDCGGGYVCDELGTPSSSGFEGWEYN >ONI26057 pep chromosome:Prunus_persica_NCBIv2:G1:223664:224285:1 gene:PRUPE_1G001900 transcript:ONI26057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQWPPNANTCYRIQKRWFCLGLFRLQNGFEERRNWGLDLGRKENKEEEEELGFEGKAMGFVGCS >ONI34097 pep chromosome:Prunus_persica_NCBIv2:G1:38640229:38640785:1 gene:PRUPE_1G462600 transcript:ONI34097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPGLWEDTIDSRFWFTFQRRNPQVVKLTWKYWFFVLNFSLFIVKMLHIDYKGRDHRSRE >ONI36426 pep chromosome:Prunus_persica_NCBIv2:G1:47434861:47438551:-1 gene:PRUPE_1G584300 transcript:ONI36426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTSTLDCPGCPPLRALTFDELGLIKVVEARGKQGRAEPKVVERWGDPDSSKCVLAASIDDRNSDPLLAVARRNGTVEVLNPLNGDLRFSVSNASINGVQSEDDPVAGLHLFAKKKSELASRSCTLLTCTTKGNASIRSFEVNKSAADVASTGSSRTWSVGGGGSILCSKVDGSENYFLSGGKRVEMNVWDLESCAKIWTAKPPPKNSLGIFTPTWFTSATFLSKDDHRKFVAGTNSHEVRLFDISAQRRPVISFDFRETAIKSVAEDLDGYTIYVGNGSGDLASFDIRTGKLLGCFLGKCSGSIRSIARHPEFPVIASCGLDSYLRLWNVKTRQLLSAINCAGSPEAASDKCSF >ONI36423 pep chromosome:Prunus_persica_NCBIv2:G1:47434126:47438705:-1 gene:PRUPE_1G584300 transcript:ONI36423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTSTLDCPGCPPLRALTFDELGLIKVVEARGKQGRAEPKVVERWGDPDSSKCVLAASIDDRNSDPLLAVARRNGTVEVLNPLNGDLRFSVSNASINGVQSEDDPVAGLHLFAKKKSELASRSCTLLTCTTKGNASIRSFEVNKSAADVASTGSSRTWSVGGGGSILCSKVDGSENYFLSGGKRVEMNVWDLESCAKIWTAKPVRLFDISAQRRPVISFDFRETAIKSVAEDLDGYTIYVGNGSGDLASFDIRTGKLLGCFLGKCSGSIRSIARHPEFPVIASCGLDSYLRLWNVKTRQLLSAVHLKQHLTNVLFDSNFADEEAEIAHSAADWPLHEDERDEGKTPPVKRKKSKEKKGIKKEAEAKSGNREDERDEPLHEDERDEGETPPVKRKKSKEKKGIKKEAEAKSGNKESSEEYGGKKVKSKKSSKRLKM >ONI36425 pep chromosome:Prunus_persica_NCBIv2:G1:47434403:47438551:-1 gene:PRUPE_1G584300 transcript:ONI36425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTSTLDCPGCPPLRALTFDELGLIKVVEARGKQGRAEPKVVERWGDPDSSKCVLAASIDDRNSDPLLAVARRNGTVEVLNPLNGDLRFSVSNASINGVQSEDDPVAGLHLFAKKKSELASRSCTLLTCTTKGNASIRSFEVNKSAADVASTGSSRTWSVGGGGSILCSKVDGSENYFLSGGKRVEMNVWDLESCAKIWTAKPPPKNSLGIFTPTWFTSATFLSKDDHRKFVAGTNSHEVRLFDISAQRRPVISFDFRETAIKSVAEDLDGYTIYVGNGSGDLASFDIRTGKLLGCFLGKCSGSIRSIARHPEFPVIASCGLDSYLRLWNVKTRQLLSAVHLKQHLTNVLFDSNFADEEAEIAHSAADWPLHEDERDEGKTPPVKRKKSKEKKGIKKEAEAKSGNREDERDEPLHEDERDEGETPPVKRKKSKEKKGIKKEAEAKSGNKESSEEYGGKKVKSKKSSKRLKM >ONI36424 pep chromosome:Prunus_persica_NCBIv2:G1:47434126:47438705:-1 gene:PRUPE_1G584300 transcript:ONI36424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTSTLDCPGCPPLRALTFDELGLIKVVEARGKQGRAEPKVVERWGDPDSSKCVLAASIDDRNSDPLLAVARRNGTVEVLNPLNGDLRFSVSNASINGVQSEDDPVAGLHLFAKKKSELASRSCTLLTCTTKGNASIRSFEVNKSAADVASTGSSRTWSVGGGGSILCSKVDGSENYFLSGGKRVEMNVWDLESCAKIWTAKPPPKNSLGIFTPTWFTSATFLSKDDHRKFVAGTNSHEVRLFDISAQRRPVISFDFRETAIKSVAEDLDGYTIYVGNGSGDLASFDIRTGKLLGCFLGKCSGSIRSIARHPEFPVIASCGLDSYLRLWNVKTRQLLSAVHLKQHLTNVLFDSNFADEEIAHSAADWPLHEDERDEGKTPPVKRKKSKEKKGIKKEAEAKSGNREDERDEPLHEDERDEGETPPVKRKKSKEKKGIKKEAEAKSGNKESSEEYGGKKVKSKKSSKRLKM >ONI33579 pep chromosome:Prunus_persica_NCBIv2:G1:37106587:37108098:-1 gene:PRUPE_1G433900 transcript:ONI33579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGPLFDLSLSLSLCMIFIIFIISDWMVLQFCGSTNWTNQTVKEHLVHLFGFQVWCVFIFKMVATFSLLKEKGVEKKDFGPAETEQT >ONI27332 pep chromosome:Prunus_persica_NCBIv2:G1:5921762:5923142:1 gene:PRUPE_1G080700 transcript:ONI27332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYNTTKYLTSVIALVFVAGALLNNATAQNCGCAPDLCCSQYGYCGTGNDYCGQGCKQGPCTGSGGTPTTPSGSSVADIVTPAFFNGIISQASSDCAGKNFYSRGGFLDAVNSYSDFGRLGSVDDSKREIAAFFAHVTHETGHFCYIEEINRGTYCDTTRTDYPCNPNKQYYGRGPLQLTWNYNYGAAGNSIGFDGLNSPETVASDAAVAFKTALWFWMNNVRPVVSQGFGATIRAINGAVECDGKEPGKVQARINYYNDYCTQLNVNPGGNLYC >ONI29831 pep chromosome:Prunus_persica_NCBIv2:G1:22968874:22970116:-1 gene:PRUPE_1G216400 transcript:ONI29831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKAKTLVFLLTLSLFLCKLPGLHGKQSDNDGPQSNTITFTDEDLRGIEVPHNDRLVVSMTVANSTRLDKILVANGASANVLFFHSLKRLGFTERDVEKVEIPRDYVDPKSFLGIIALPVTIGDEPRSSTVMVDFWVHASYSFAAYDAIFGIPGLSALRGVSSTYHLLLRFPTEAGIGQVRGDQLLARKLVKKLLQMQVKAGDVDTHLNWLVALIPLLIMVFARGNVHPLL >ONI31333 pep chromosome:Prunus_persica_NCBIv2:G1:29986761:29989593:-1 gene:PRUPE_1G306900 transcript:ONI31333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVQGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLGALIVMTILSVLVGLAAPNLLSRKWSHHITTLLFFGFGLWSLWDAFKEDGDDDEFAEVEAKLDADLKANAGATKKSSKDDDDLKKQKRSFLLQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPLGVVLGGILGQALCTTAAVLGGKSLASQISEKIVALSGGVLFIVFGIQSFLSTVEA >ONI31332 pep chromosome:Prunus_persica_NCBIv2:G1:29986288:29989899:-1 gene:PRUPE_1G306900 transcript:ONI31332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVQGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLGALIVMTILSVLVGLAAPNLLSRKWSHHITTLLFFGFGLWSLWDAFKEDGDDDEFAEVEAKLDADLKANAGATKKSSKDDDDLKKQKRSFLLQFFSPIFLKAFSITFFGEWGDKSQLATIGLAADENPLGVVLGGILLLYQAEFFSLFLESNPSFQQLRHDN >ONI33600 pep chromosome:Prunus_persica_NCBIv2:G1:37173657:37176543:1 gene:PRUPE_1G435200 transcript:ONI33600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFWSSTTSKAVGSLLSRRIGPRHLIRSGRKYDPVRCFSNIAGIETPSSIHKWTGDSRLDYNYRSRRQFLGCGDGEEGGVLSKVYEEKRVLGYSPEQLFDVVAAVDLYHGFVPWCQRSDIIKTFPDGSFDAELEIGFKFLVESYVSHVELERAKRIKTTVSNSSLFDHLINIWEFNPGPVPGSCNLYFLVDFKFRSPIYRQVASVFFKEVVSKLVGSFNERCRLIYGPGVPIHEHTYGQRA >ONI33601 pep chromosome:Prunus_persica_NCBIv2:G1:37173762:37176500:1 gene:PRUPE_1G435200 transcript:ONI33601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFWSSTTSKAVGSLLSRRIGPRHLIRSGRKYDPVRCFSNIAGIETPSSIHKWTGDSRLDYNYRSRRQFLGCGDGEEGGVLSKVYEEKRVLGYSPEQLFDVVAAVDLYHGFVPWCQRSDIIKTFPDGSFDAELEIGFKFLVESYVSHVELERAKRIKTTVSNSSLFDHLINIWEFNPGPVPGSCNLYFLVDFKFRSPIYRQVASVFFKEVVSKLVGSFNERCRLIYGPGVPIHEHTYGQRA >ONI27072 pep chromosome:Prunus_persica_NCBIv2:G1:4719104:4720422:1 gene:PRUPE_1G066200 transcript:ONI27072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDRRSLEKRRRCEASAAALVDCLDMLIEILMQLPAKQIFRTKAVSKEWYSIISDPIFVVNYAKRNSKSLSGFVLNGRRAPSPEFFFVSLRGNTSRPARDPQFIHIPQIKIQNICKGLLCYSQPHMDDSGFTSYYVCNPTSGQTKRISVLDHSDNRRVMSVDLAFDPLKSAFYNLIFVTELSRSESQQLIKIGTYSSKTQSWTYGLHNLAYEVGFGHGVHCNGAIYWRHVRNNSVSYFDFEANCIQNLRIPQMTSEPYRTNIGYLGESGGRLHLVRTCRPSSIRFTVFEMKDDRSGWLVKCQSDFGDLVACFPRMGRFAHGPYQFNLMNLFEGEREEDLNIVLKIPGAVVQYNAKDKTSRKLRGLNPTPFKNYKHTKKKSKN >ONI29196 pep chromosome:Prunus_persica_NCBIv2:G1:17031385:17036167:-1 gene:PRUPE_1G186700 transcript:ONI29196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDAVMEAAQKAKEAGSTRFCMGAAWRDTVGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQALKLKEAGLTAYNHNLDTSREYYPNVITTRTYDERLETIKFVRDAGISVCSGGIIGLGEAEEDRVGLLHTLATLPSHPESVPINALVSVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVKFSMPEQALCFLAGANSIFTGEKLLTTPNNDFDADQLMFKVLGLIPKAPSFSEEPAKAYEAEACEEAVSSSG >ONI29195 pep chromosome:Prunus_persica_NCBIv2:G1:17031199:17035948:-1 gene:PRUPE_1G186700 transcript:ONI29195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTRSVLRGQLRPSVAVLHSSFYSSLSSAAAIQAERTIRQGPRNDWNRDEIKAVYGSPVLDLLFHGAQVHRHTHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGLKAQKLMTKDAVMEAAQKAKEAGSTRFCMGAAWRDTVGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQALKLKEAGLTAYNHNLDTSREYYPNVITTRTYDERLETIKFVRDAGISVCSGGIIGLGEAEEDRVGLLHTLATLPSHPESVPINALVSVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVKFSMPEQALCFLAGANSIFTGEKLLTTPNNDFDADQLMFKVLGLIPKAPSFSEEPAKAYEAEACEEAVSSSG >ONI32628 pep chromosome:Prunus_persica_NCBIv2:G1:34151789:34152752:1 gene:PRUPE_1G377200 transcript:ONI32628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRTYVVIFFFWAALTLITPTLIFLSETSKPYLDSNGEKIEGIKARRMIEIMRYQRSRATTEAPAPTPTSDLKPALETRETNIGKGLLESLRLMIKNTKFKLT >ONI26439 pep chromosome:Prunus_persica_NCBIv2:G1:1734684:1735081:-1 gene:PRUPE_1G025000 transcript:ONI26439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISLRKGNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMGKKLDQRKKEEEIAKMQEKYGVSTKDK >ONI27310 pep chromosome:Prunus_persica_NCBIv2:G1:5744627:5749661:1 gene:PRUPE_1G078900 transcript:ONI27310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQAIIASKPTNNINAIFDSSSCSGTQNPSTLGHHLARRLVQIGITDVFTVPGDFNLSLLDGLITEPELNLIGCCNELNAGYAADGYARSRGVSACVVTFTVGGLSVINAIAGAYSENLAVICIVGGPNSNDYGSNRILHHTIGLADFSQELRCFQTVTCHQAVVKDLEDAQLQIDEAIAVSLKESKPVYISISCNLPDIPHPSFPQEPAPFFLTPKLTNQEGLEIAVEATAKYLNKAVKPVIVSGPLLRVAKACNSLIELADACGYAMAVMPSAKGLIPENHPNFIGTYWGVVSTPFCTEVVESADAYLFAGAIFDELNTAAYSLHVKMQKAVIIQSQNVVIANGPRFGGIQMRDFLKALARRLEYNSTAYKNYSRMHVSEGLPSQCDPKENLKVNILFKNIQNMLSSNTTVIAEAGDSWFNCQKLKLPQGCGYECQINYGSIGWSVGATLGYAQAAPNKRLISCIGDGSFQMTAQDVSTMLRCGQRNIIFLLNNGGYMTEEQFHFGPYNVIKNWNYAALVDAIHNGEGKCWTTKVHCEEELVEAIKTATEDKEECLCFIEVVLHMDDTSKELIQFACRLAARGRRQNP >ONI32470 pep chromosome:Prunus_persica_NCBIv2:G1:33757882:33759836:-1 gene:PRUPE_1G369800 transcript:ONI32470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPTLILSTFLLLLSISPPAHAAGGKWQLLQQNIGISAMHMQLLHNDRVVLFDRTDFGKSNLSLPDGKCVGNDCTAHSAEYDVTTNTFRPLTVLSDVWCSSGSVAPDGRLIQTGGFTNGERRVRIFEPCTGCDWKEIENGLAARRWYATDHILPDGRQIIIGGRRQFNYEFYPKSASTNKVFSLPFLVQTNDPDAENNLYPFVFLNVDGNLFIFANNRAILFDYVKNNVVKTYPQIPGGDPRSYPSTGSAVLLPLKNLKARSIEAEVLVCGGAPTGSYIKATKGTFTGALNTCARIKITDPNPQWVLETMPQARVMGDMTLLPDGTVLLINGASNGAAGWELGRNPVLNPVVYRPDNAAGSRFEQQNPTTIPRMYHSTAVLLRDGRVLVGGSNPHPNYEFTNVLFPTELRVEAFNPDYLDAKYGNLRPKIVTPKSQAKINYGKKLTIRFSVTGTLATNSVSVTMVAPSFTTHSFSMNHRSLVLASENVKSVGKSAYEVEVTTPASGNLAPSGYYLLYVVHQQIPSDGIWVQIM >ONI31488 pep chromosome:Prunus_persica_NCBIv2:G1:30505388:30508297:-1 gene:PRUPE_1G316200 transcript:ONI31488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVCVCVLEIIDLRPVQRYLLSSSMANSDVSMVLPRVLIVSRRTLRKNKFVDFVGEYHLDLIVSYGAVPVIVPRVSGVHMLLQSFEPIHGVLLCEGEDIDPSHYDAQLSGFSPDELEEIGKLHASDTAIDKEKDSIELGLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDVERELLKECPYQSCAQRVAHINYENYDGHRHGVKVVENTPLHQWFKDSLDDEKMEMWVNSYHHQGVKRLAERFAPMAFAPDGLVEGFYDPDAYNPEEGKFIMGLQFHPERMRQQDSDNFEYPGCAAAYREFVKAVRAYEKKLSQNSACVPKAPQLDQDLEMKRNSIVRSFSIAKNMYSRRGIVSGKESDQLDVGAEFLEANTALSLQQEKRLKQMGATVRNASAYIQRLKMSEERERVARAIIGKMSIEQLSDLLSFYRIMSQMCSDALDTKFHDLLNNEVEVDGS >ONI26432 pep chromosome:Prunus_persica_NCBIv2:G1:1692938:1693387:-1 gene:PRUPE_1G024300 transcript:ONI26432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMITLPIIFFLVFYGISNLSLLIVYLILRFCSGGRHVDDLEAQLTNRWPSNLYHRAPYSNYHARYIAVVAEETPPPQASTVFTYRTDQSFSATTADECVICLDEFMEGQKCRLLANCNHSFHKACIDEWLSTWKSRCPLCRATVQNIS >ONI35072 pep chromosome:Prunus_persica_NCBIv2:G1:42277762:42284306:-1 gene:PRUPE_1G513700 transcript:ONI35072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMMSRLRNLDAYPKINEDFYSRTLSGGVITLASSIVMLLLFLSELRLYLHAVTETKLVVDTSRGETLRINFDVTFSALPCSILSLDAMDISGEQHLDVKHDIIKKRLDSHGNVIESRPDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEAADEDCCNSCEDVREAYRKKGWAISNPDVIDQCKREGFLQRIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKDSFNISHTINRLSFGDYFPGVVNPLDGVHWAQATPSGMYQYFIKVVPTVYTDVSGHTIQSNQFSVTEHFRGTEVGNLQYLPGVFFFYDLSPIKVTFTEEHISFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMEIGKFS >ONI35292 pep chromosome:Prunus_persica_NCBIv2:G1:43204731:43207804:-1 gene:PRUPE_1G527900 transcript:ONI35292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCTVSSLLCAEDNIFDDNDFGSVRLEECEEAMWPLRNHRNYHQNRGFDDEGGLPLQSDEYLASMVEKECHHLPGSDYLMKLQSGDLDLGARKQALDWIGKANAHFSFGPLCQYLSINYLDRFLSAYELPNGKAWTMQLLAVACLSLAAKMEEIDVPLSLDLQVAESKFVFEARTIQRMELLVLSTLRWRMQAVTPFSFIDSFLLQINEDQIPLRASILRSSQLILTTAKGIDFLEFRPSEVAAAIAISVAGEAKTLDTEKAISMLIQHVDLVKERVVKCVNLIHDMSLMSGAFKDASGSAQSVPQSPIGVLDAACFSYKSEESTVGSCANSFHNSSDSKRRKLNRACEVEL >ONI35659 pep chromosome:Prunus_persica_NCBIv2:G1:44850344:44853011:-1 gene:PRUPE_1G548300 transcript:ONI35659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQPPTIPLLTPYKMGKFNLSHRIVFPPLARMRSYNNIPQPHAILYYSQRTSQGGLLIAEATLVSDTDQGWVNNPGLWTTEQVEAWKPIVDAVHAKGGVFFCQIVHPGRVSNSGFQPRGQTPISSTDKPLTSQILTNGVAVAEYTPPRRLRTDEIPQIVNDFRLAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNDRTDEYGGSLENRCRFTLEIVEAVANEIGADKVGIRLSPFADYMESGDSNPKALGLYMAKSLNKYGILYCHVVEPRMKTVGDKSETPYSLLPMREAFKGTFIAAGGFDREDGNNAVAKGRADLIAYGRWFLANPDLPKRFELNAPLNKYNRETFYTSDPVIGYTDYPFLETTA >ONI28153 pep chromosome:Prunus_persica_NCBIv2:G1:9977229:9978141:-1 gene:PRUPE_1G126900 transcript:ONI28153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYETEINSVIPPARLFNAFVLDADNLVPKIAPQAVKSTEILEGDGGVGTIKKINFGEGSTYSYVKHRIDGIDKENFVYKYSVIEGDAISETIEKISYETKLVASGSGSVVKSTSHYHTKGDFEIREEHVKAGKEKASHLFKLIENYLLEHHDAYN >ONI28154 pep chromosome:Prunus_persica_NCBIv2:G1:9977382:9978031:-1 gene:PRUPE_1G126900 transcript:ONI28154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYETEINSVIPPARLFNAFVLDADNLVPKIAPQAVKSTEILEGDGGVGTIKKINFGEGNTYSYVKHRIDGIDKENFVYKYSVIEGDAISETIEKISYETKLVASGSGSVVKSTSHYHTKGDFEIREEHVKAGKEKASHLFKLIENYLLEHHDAYN >ONI26085 pep chromosome:Prunus_persica_NCBIv2:G1:319777:321560:-1 gene:PRUPE_1G003000 transcript:ONI26085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVEEVRKAQRAEGPATVLAIGTATPPNCVDQATYPDYYFRITNSEHKTELKEKFQRMCDKSMIKKRYMYLTEEILKENPSMCEYMAPSLDARQDMVVVEIPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRSSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAIIVGSDPIPEIEKPLFEVVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLNEAFQPLGISDWNSLFWIAHPGGPAILDQVESKLSLKPEKLEATRHILSEYGNMSSACVLFILDEVRKRAAEKGLKTTGDGLDWGVLFGFGPGLTVETVVLHSVGLNA >ONI29092 pep chromosome:Prunus_persica_NCBIv2:G1:15763580:15765586:1 gene:PRUPE_1G180800 transcript:ONI29092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCLSKALRCVSQLYVLVHAIAPTELQTRNRNFTTPRKEVQRKILCLISQMDEDEVVLLGFWTSPYVMRVKIALAEKGVHYYYMEEGNLFKNKSTLLLKMNPVFKKVPVIIHNDKSICESLIILQYIDDVWNDRAPILSEDPYQRAKARFWIDFFDKKMADCGRRMWASKGADQEAAKKEFIESLKLLEGELGEKPYFEGDRFGLLDIALVPFSCRFYTYETLCNFSVEKECPKLIEWVKRCSLRESVSKSLPDQYKVYDFVLEFKKMLGIN >ONI34153 pep chromosome:Prunus_persica_NCBIv2:G1:38805661:38811583:-1 gene:PRUPE_1G465300 transcript:ONI34153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHEHKIPRMEDILNLPVQDPPCAEFSAAHIKWVKVEGGRQGGDDIALIPFARVGDFVKGESSNAECPASFRIESRRRRPEGSISKPRVDGYLEYTLYWCSYGPEDYRENESGLVDGSSTKPASGKGSRPGRRHMMRGCICHFTVKRLYTRPLLALIIYNQRNHVDKSGSPCHGILDQDAMGTRAMYAPRISEEQRQKVMSMLYVGIPLDSIVQHHMEMVEGHGGPHNRDDFLSRNDVRNMERVIRNSSHELHEDDECSIRMWVQRHRKNVFYFQDSSGSEPFVLGMQTDWQLQQLVQYGHNGYIAFHSTFGLKKLKYPLCTLLVFNASRDAIPVAWVITSSFVSQDIHKWIGLLAERIQTKDPRWRLDAFLVDDPSFEISIIREAFQCRVLLCIWRARHSWIRRLLKTCCNFDVQREMFKHLGWLLYCTRRPNTLDSVEEFMQVFVDQCAFMDYFKSRWLPNIELWANGIRSLPVAGPEPSAAIESYHLRLKSKLFNEQYVNSWSRVDWLIHTLTTEFQSSYWLDQYSIETGYFENLRDKSFSTNAWYQALQILNVDVILDEQNLQYAKVISQTDRSLAYTIWNPGSEFSLCDCPWSRLGNLCKHVIKVAITCKSRQVARPLLSAQVYRQALLTLLQNPPDDPVVLDHAILHATRLQQDIKGLEVLSNSGLLQPLPSEISSHVADNVLFPRLH >ONI29721 pep chromosome:Prunus_persica_NCBIv2:G1:22428213:22428840:-1 gene:PRUPE_1G210800 transcript:ONI29721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDLFSRAFRKKGYIPLSTYLKTYRTGDYVDVKVNGAVHKGMPHKFYHGRTGQVWNVTKRAIGVEMNKQVGNRVIKKRIHVRVEHVQPSRCEEEFRARMVRNDELKAEAKARGVKISTKRQPEGPKPGFIVGGASIETVTPIPYDVVNDLKGGY >ONI31738 pep chromosome:Prunus_persica_NCBIv2:G1:31122462:31123309:1 gene:PRUPE_1G327500 transcript:ONI31738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHGFIGTQIELKSPADKFYKIFKGQAHLIPNVSSGHIKGVQVHEGDWETHGSVKIWNYHLGNEVGTFKEKVEYDDENKVATLIGLDGEVFKYYKSFKGIYQFAQKGDVSVANLTIHYEKRNANVEAPDRYVGLMVTLVRDLDAHFAKA >ONI34974 pep chromosome:Prunus_persica_NCBIv2:G1:41928962:41931443:1 gene:PRUPE_1G508400 transcript:ONI34974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRSGGGGEVTVGQVSLLSCAWEALATLLTSALLSLAAQDPSAPSTASGPKSEGDNESEHSNYKAVDADEDGDEEKNNDGGLGETEEELSSDDGGGSGNNPNGKSNNSKAESGGDAAGVGEDGEEEEEEEGNNRDDDDDGDDDGDQDNEDDEDEEENDDDEGEDKEEEEIVEEDEPEDEEEDEEEALQPPKKRKK >ONI34976 pep chromosome:Prunus_persica_NCBIv2:G1:41928962:41931443:1 gene:PRUPE_1G508400 transcript:ONI34976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRSGGGGEVTVGQVSLLSCAWEALATLLTSALLSLAAQDPSAPSTASGPKSEGDNESEHSNYKAVDADEDGDEEKNNDGGLGETEEELSSDDGGGSGNNPNGKSNNSKAESGGDAAGVGEDGEEEEEEEGNNRDDDDDGDDDGDQDNEDDEDEEENDDDEGEDKEEEEIVEEDEPEDEEEDEEEALQPPKKRKK >ONI34975 pep chromosome:Prunus_persica_NCBIv2:G1:41929310:41931443:1 gene:PRUPE_1G508400 transcript:ONI34975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRSGGGGEVTVGQVSLLSCAWEALATLLTSALLSLAAQDPSAPSTASGPKSEGDNESEHSNYKAVDADEDGDEEKNNDGGLGETEEELSSDDGGGSGNNPNGKSNNSKAESGGDAAGVGEDGEEEEEEEGNNRDDDDDGDDDGDQDNEDDEDEEENDDDEGEDKEEEEIVEEDEPEDEEEDEEEALQPPKKRKK >ONI29791 pep chromosome:Prunus_persica_NCBIv2:G1:22804240:22804819:-1 gene:PRUPE_1G215200 transcript:ONI29791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEPPRGEEVALAAPDSYCGRLARLQTSWTESNPLWKFFACPKSERGKKGCGFFVWVDIEMPPSERALMAWLLRTKREFEDQIERARAREKKLWIAVFIS >ONI35162 pep chromosome:Prunus_persica_NCBIv2:G1:42643480:42644082:1 gene:PRUPE_1G519300 transcript:ONI35162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGDTTFTKVFVGGLAWETPTDEMRRYFQQFGEILEAVIIADKNTGKSKGYGFVTFRDSESARRACANPNPVIDGRRANCNIASLGRPRPSPPRGRNQASGPYQGSAPQAAQSYGGAPPLPPPLPPPAPIIYPPY >ONI26825 pep chromosome:Prunus_persica_NCBIv2:G1:3413854:3416729:1 gene:PRUPE_1G048400 transcript:ONI26825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPKVKVRQQEDQDDRRAVIDFCFPEKEHQDVSPPTIARIPKSYVPSVVMPSISVAEEMKDSEMEELSKQNIRASSIPRPRAVLSSPENDTVIGNKNRIKAERPSALKNHNLVRKPTQCFPCHITENSTKTRKSKDENSLKERKGSEITIPSQRRPPRNGKPSSTGNRVSFSCH >ONI26826 pep chromosome:Prunus_persica_NCBIv2:G1:3413819:3416786:1 gene:PRUPE_1G048400 transcript:ONI26826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEHQDVSPPTIARIPKSYVPSVVMPSISVAEGEMKDSEMEELSKQNIRASSIPRPRAVLSSPENDTVIGNKNRIKAERPSALKNHNLVRKPTQCFPCHITENSTKTRKSKDENSLKERKGSEITIPSQRRPPRNGKPSSTGNRVSFSCH >ONI26824 pep chromosome:Prunus_persica_NCBIv2:G1:3413797:3416867:1 gene:PRUPE_1G048400 transcript:ONI26824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPKVKVRQQEDQDDRRAVIDFCFPEKEHQDVSPPTIARIPKSYVPSVVMPSISVAEGEMKDSEMEELSKQNIRASSIPRPRAVLSSPENDTVIGNKNRIKAERPSALKNHNLVRKPTQCFPCHITENSTKTRKSKDENSLKERKGSEITIPSQRRPPRNGKPSSTGNRVSFSCH >ONI33101 pep chromosome:Prunus_persica_NCBIv2:G1:35660878:35661806:-1 gene:PRUPE_1G405600 transcript:ONI33101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAVSSSTSTIHIAQKSFPINPTPKNGCIFSSNSIRFASKPRRFCIIRSSSDVSAETATTEADSQSSIEVPQEPPSLISALNVERALRGIPITDVDHYGRLGLRRGCSYDEVLVAYKAKRDELKNQGLDEEELNKNLVSLKESYTILSSGDERRLYDWSLARSEKPDTYVWPYEVEKTRPAEGTPPPVQVLANLFNLGHVQIKVFLELISLWFCFDS >ONI33100 pep chromosome:Prunus_persica_NCBIv2:G1:35658527:35661943:-1 gene:PRUPE_1G405600 transcript:ONI33100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAVSSSTSTIHIAQKSFPINPTPKNGCIFSSNSIRFASKPRRFCIIRSSSDVSAETATTEADSQSSIEVPQEPPSLISALNVERALRGIPITDVDHYGRLGLRRGCSYDEVLVAYKAKRDELKNQGLDEEELNKNLVSLKESYTILSSGDERRLYDWSLARSEKPDTYVWPYEVEKTRPAEGTPPPVQEPDDPGPTRLVGYFILGWFILSIILSIALNR >ONI36466 pep chromosome:Prunus_persica_NCBIv2:G1:47602035:47609738:-1 gene:PRUPE_1G586400 transcript:ONI36466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNDVPLGSVDDFLKRCQQSGDAAYGALRSVLERLEDPKTRTQARIFLTDLQNRFPSKEACNQCFRTYHFQIEDIFFDQYEGYQGRKKLTMMVIPSIFVPEDWSFTFFEGLNRHSDSIFKDKTVAELGCGNGWISIAIAEKWLPSKVYGLDINPRAVKMSWINLYLNALDEKGQPIYDAEKKTLLDRVEFHESDLLSYCRANDIQLERIVGCIPQILNPNPDAMSKMITENASEEFLHSLSNYCALQGFLEDQFGLGLIARAVEEGIDVIKPMGIMIFNMGGRPGQAVCKRLFERRGFHVNKLWQTKILQAADTDISALVEIEKNSPHRFEFFMGLSGDQPICARTAWAYGNAGGRISHALSVYSCQLRQPNQVKTIFEFLNNGFHEISSSLDLSFEDDAVADEKIPFLAYLSSVLKGSSFGTYEPPAGRKHFRNLIAGFMKTYHRIPLKADNVVVFPSRAVAIENALRLFSPRLAIVDEHLTRHLPRNWLTSLAIEGAGTDNPSEDSLTIIEAPRQSDLMIELIRKLKPQVVVTGIAEYEAVTSSAFVHLLDVTREIGSRLFLDISDQFELSSLPGSNGVLKYIGGTTLPSHAAIICGLVKNKVYSDLEVAFVISEEEAIFKALSKTVELLEGNTAPISQCYYGCLFHELLAFQLADRHPPAQRETASTKSAEMIGFASSAISVLNNAELSISEAGNSSLIHMDVDQSFLRVPSPVKAAIFESFARQNIAESEIDVTTSIKQFIKSTYGYPVDSSTEFIYADSSLALFNKLVVCCIQEGGTLCFPAGSNGNYVSAAKFLKANIVTIPTNPADGFKLTDKVLSGALETVNKPWVYISGPTINPTGLIYSNKEIESLLSICAKVGARVVIDTSFSGLEFDFEGWGGWNLVDSLSKLNSSNPSFCVSLLGGLSLKMLSGALKFGFLVLNQSVLVETFYSFPGLSKPHNTVKYAIKKLLSLREQKPGDLWDAIAEHIKNLKSRSKRLKETLEKCGWDVLEPCGGVSMVAKPTSYLNKSVKFKKSPNDGGSTQKETMSEVKLDDSNIREVIHKGTGLCINSGSWTGIPGYCRFTIALEESEFERALDCVVKFKDTIKN >ONI35086 pep chromosome:Prunus_persica_NCBIv2:G1:42360962:42362408:-1 gene:PRUPE_1G514800 transcript:ONI35086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIGNLFSSPFLPSQLGNSRPHKFKLKPCTPPILKRSFTATNVRASSSTSFVDTTPTFELAKDFLKPVYGGSIIDTSCGSGLFSRLFARSGLFSLVVALDYSENMLQQNIVLVRADISRPPFATSSADAVHAVYSVSNLAAMVLKVADISRVLRPGGNISHITGSQQFVSDGKLEDLCNVCGLVSFTSVRNRAFVMISATKPN >ONI31787 pep chromosome:Prunus_persica_NCBIv2:G1:31360037:31362451:-1 gene:PRUPE_1G330800 transcript:ONI31787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLSLFNTCFLIFISPIFMAQYSSSSVSIRCSQTPYPQVCNHFINANLLSTLDDHEQRFTFRDLTLKVTMDQAIGAHQLVSSLNLNSLKDERAKLAWNDCLELHEDTVDKINRSIRSSNALDANTWLSASIANQQTCQNGFTNLKIPNSYLQSFPNYVTLTTNLSKLLSNSLSLHKASTSPSALHFSKQVRGKRRLLLSESDDGFPEWVSFADRKLLRSTGGGPKADMVVAQDGSGNYETISEAVGAAQNLRSGSTERFVIHVKAGVYKENVEIKKTLKNIMFIGDGIDATIVTGNRNSQDGSTTYSSATFGVTGEGFIAQGMTFENTAGPQKHQAVALRSGADHSVFYRCSFKGYQDTLYVYSQRQFYRECDVYGTIDFICGDATAVLQNCNVYVRKPMSNQVNTVTAQSRTDANENTGIVIHSSRVTAAPDLRAVQGSFRTYLGRPWKKYSRTVIMKSDLDGLIDPAGWFPWSGSFALSTLYYGEYMNSGAGGATGGRVKWPGYRVITSAVEAGKFTVGNILAGESWIPATGVPFSAGL >ONI30290 pep chromosome:Prunus_persica_NCBIv2:G1:25637036:25641064:1 gene:PRUPE_1G242500 transcript:ONI30290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDYVTEFPLSHIDRRPRKRPRIAWDVPQGHPKAQSGIYYEQDVGNGTSFGPPRVLPDHPSLFVKGLTQKGSPPWRDDDKDGHYMFALGENLTSRYKIHRKIGEGTFGQVLECWDRETKEVVAIKVVRSIKKYREAAMIEVDVLQLLGKYDRNGSRCVQIRNWFDYRNHICIVFEMLGPSLYDFLRKNNYRPFPVDLVRELGRQLLECVAFMHDMRLIHTDLKPENILFVSSEYVKVPDYKFTSRSPKDGTCHKRLPKSSAIKVIDFGSTAYDHQEHNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPFPPHMLRRADRHAEKYVRRGRLDWPEGATSRESIKAVLKLHRLQNIVMQHVDHSAGDLIDLLQGLLRYDPSNRLTAPEALRHPFFTRDHFRRF >ONI30291 pep chromosome:Prunus_persica_NCBIv2:G1:25637036:25641064:1 gene:PRUPE_1G242500 transcript:ONI30291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVDVLQLLGKYDRNGSRCVQIRNWFDYRNHICIVFEMLGPSLYDFLRKNNYRPFPVDLVRELGRQLLECVAFMHDMRLIHTDLKPENILFVSSEYVKVPDYKFTSRSPKDGTCHKRLPKSSAIKVIDFGSTAYDHQEHNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPFPPHMLRRADRHAEKYVRRGRLDWPEGATSRESIKAVLKLHRLQNIVMQHVDHSAGDLIDLLQGLLRYDPSNRLTAPEALRHPFFTRDHFRRF >ONI30292 pep chromosome:Prunus_persica_NCBIv2:G1:25637036:25641064:1 gene:PRUPE_1G242500 transcript:ONI30292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYSCLGSMTEMAVVMHDMRLIHTDLKPENILFVSSEYVKVPDYKFTSRSPKDGTCHKRLPKSSAIKVIDFGSTAYDHQEHNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPFPPHMLRRADRHAEKYVRRGRLDWPEGATSRESIKAVLKLHRLQNIVMQHVDHSAGDLIDLLQGLLRYDPSNRLTAPEALRHPFFTRDHFRRF >ONI31650 pep chromosome:Prunus_persica_NCBIv2:G1:30900145:30902340:1 gene:PRUPE_1G323600 transcript:ONI31650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLGGKKSAKVMQISGETFKLKTPVQAGEVVKNYPGHVLLKSEEVKHFGVRAKPLEPYQNLEPRGIYFLVELPKVELPKERVPRRVRSGINMSAKDRLESLMLSRRSVSDLSVMKSSSAASANSIVPEEEAREIDGAVRVRMRLPKVQVEKLMKQSRDEAEAAQRIVDLCLVNNNSGGQKASEKTNGNGGFGLNQQTHWKDGAHGRLLGSQGIRSREKRVSFRPDNEGEIQVAVAS >ONI35260 pep chromosome:Prunus_persica_NCBIv2:G1:43078915:43083671:-1 gene:PRUPE_1G526100 transcript:ONI35260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTDRQSAFDRILASIPFKGQVLNETSLWWFDKTQHITSNAVVLAPDENVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYNNGVRNYCGNALPDGLAKSQKLPANILTPTTKAADHDVPVTPDEIVECGLMTQAEYDEVSRKTLSLFEYGQRVALEHGLILVDTKYEFGKGKDGSILLIDEVHTPDSSRYWIAHTYEERFKNGLEPENVDKEFLRLWFKDHCNPYEDEVLPDAPEELVRELSWRYIFLYETITKSEFKLPSTEEPIHDRISRNVARALSSLT >ONI35256 pep chromosome:Prunus_persica_NCBIv2:G1:43078915:43083657:-1 gene:PRUPE_1G526100 transcript:ONI35256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYAPTLNPPKTLIPKLPNPNPSFSSISTFRTPKPKSKNFSTISMSVTAGQNQQQHQKPPSLDVLINSARKEEVIGAIKNSSSHCLSETNLHLTVPGLKSKTRGKVLNETSLWWFDKTQHITSNAVVLAPDENVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYNNGVRNYCGNALPDGLAKSQKLPANILTPTTKAADHDVPVTPDEIVECGLMTQAEYDERVALEHGLILVDTKYEFGKGKDGSILLIDEVHTPDSSRYWIAHTYEERFKNGLEPENVDKEFLRLWFKDHCNPYEDEVLPDAPEELVRELSWRYIFLYETITKSEFKLPSTEEPIHDRISRNVARALSSLT >ONI35257 pep chromosome:Prunus_persica_NCBIv2:G1:43079326:43083562:-1 gene:PRUPE_1G526100 transcript:ONI35257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYAPTLNPPKTLIPKLPNPNPSFSSISTFRTPKPKSKNFSTISMSVTAGQNQQQHQKPPSLDVLINSARKEEVIGAIKNSSSHCLSETNLHLTVPGLKSKTRGKVLNETSLWWFDKTQHITSNAVVLAPDENVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYNNGVRNYCGNALPDGLAKSQKLPANILTPTTKAADHDVPVTPDEIVECGLMTQAEYDEVSRKTLSLFEYGQRVALEHGLILVDTKYEFGKGKDGSILLIDEVHTPDSSRYWIAHTYEERFKNGLEPENVDKEFLRLWFKDHCNPYEDEVLPDAPEELVRELSWRYIFLYETITKSEFKLPSTEEPIHDRISRNVARALSSLT >ONI35258 pep chromosome:Prunus_persica_NCBIv2:G1:43078915:43083657:-1 gene:PRUPE_1G526100 transcript:ONI35258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYAPTLNPPKTLIPKLPNPNPSFSSISTFRTPKPKSKNFSTISMSVTAGQNQQQHQKPPSLDVLINSARKEEVIGAIKNSSSHCLSETNLHLTVPGLKSKTRGKVRDVYDSGDYLVMVTTDRQSAFDRILASIPFKGQVLNETSLWWFDKTQHITSNAVVLAPDENVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYNNGVRNYCGNALPDGLAKSQKLPANILTPTTKAADHDVPVTPDEIVECGLMTQAEYDERVALEHGLILVDTKYEFGKGKDGSILLIDEVHTPDSSRYWIAHTYEERFKNGLEPENVDKEFLRLWFKDHCNPYEDEVLPDAPEELVRELSWRYIFLYETITKSEFKLPSTEEPIHDRISRNVARALSSLT >ONI35259 pep chromosome:Prunus_persica_NCBIv2:G1:43079326:43083562:-1 gene:PRUPE_1G526100 transcript:ONI35259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYAPTLNPPKTLIPKLPNPNPSFSSISTFRTPKPKSKNFSTISMSVTAGQNQQQHQKPPSLDVLINSARKEEVIGAIKNSSSHCLSETNLHLTVPGLKSKTRGKVRDVYDSGDYLVMVTTDRQSAFDRILASIPFKGQVLNETSLWWFDKTQHITSNAVVLAPDENVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYNNGVRNYCGNALPDGLAKSQKLPANILTPTTKAADHDVPVTPDEIVECGLMTQAEYDEVSRKTLSLFEYGQRVALEHGLILVDTKYEFGKGKDGSILLIDEVHTPDSSRYWIAHTYEERFKNGLEPENVDKEFLRLWFKDHCNPYEDEVLPDAPEELVRELSWRYIFLYETITKSEFKLPSTEEPIHDRISRNVARALSSLT >ONI27933 pep chromosome:Prunus_persica_NCBIv2:G1:8938879:8942671:-1 gene:PRUPE_1G111900 transcript:ONI27933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASPNPIHNEKIEAIELPVIDLSAERSQVRNLIVKACEDYGFFKVINHGVPQDIVAKLEEQSLSFFAKTMAEKQRAGPAIPLGYGCKTIGFNGDMGEVEYLLFNTNPISVAQRSKTISNEPTKFSSAVSGYIEAMKDLACEILDLIGEGLWVPDTSVFSRLIRDVENDSFFRLNHYPHHLNKDCTSNINSSCSSKVGFGAHNDPQILTLLRSNDVGGLQISPQDGLWVPAPSDPTAFWVNVGDLLQAMTNGRFVSVRHRALNNSSNSKSRMSMAYFATPPLNACINALPEMVTPEKPRLYKPFTWGEYKSTLYSLRLGDTRLNLFRASTSDELAS >ONI35414 pep chromosome:Prunus_persica_NCBIv2:G1:43688540:43690140:-1 gene:PRUPE_1G534500 transcript:ONI35414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMKVIDSGVDEFPSNSLNLESYEVDVKHWEEDVEKRASASSSSLSSLELLADVAIQQSMELLLDEKTVVEELINFFARKRREQRVLAGKLGFELNNIFIPKKKRSRLGPLSLKRKGEYSDDHNCFGSDNLLQNDNNNNLNQKKKKQKRVHVQTEQLEPKPELPQELKHIISGIGGDVKLVIQKKICASDMSANNARFSIPGIKGKSADFLKEKEQSDLDIRDSDKKKRLIGIPVLMLNPYDLSFDSLRLKKWQMGGNHVYNLVSGWRKVAKANKLEEDEMVQLWSFRLNHDSQLCFVLVKVNSTTTTKEEAHQHIGSISCPNNEQLS >ONI28984 pep chromosome:Prunus_persica_NCBIv2:G1:14567311:14568122:1 gene:PRUPE_1G173600 transcript:ONI28984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEGGGSSTNQIVGNCIIGEPGSENVGMQNSFNGPDQRGLHVAGNRVVAHERAKNVGIQGVGNTNTRPDEGSSWWCCIL >ONI33127 pep chromosome:Prunus_persica_NCBIv2:G1:35725855:35729598:-1 gene:PRUPE_1G407400 transcript:ONI33127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKHRATPPSAAAEKSDNRRHLSMERSAYFARREAAKVLKLVLQGDAKRQSVGSIKTLVYGPSVRNKRGTFALICQTLKYLLIIQDVLKAADVLNSKWKKQDELVYIVTYDILFGQVSSSAVGDAEKFLMRRKEALQAALARLLVKKKVKSVEELIALYDIPDISNPRYVRVNTLKMDVETAVLELQKQFKVQKDDLVPDLLVLPPGSDLHDHHLIKNGNVFMQGKASSMVAAALAPKPEWEVLDACSAPGNKTVHLAALMRGKGKVIACELNEERVKRLKETIRLSGASNIKVVHGDFLDFNPEDPPYSKVRAILLDPSCSGSGTASARLDHLLPSSAPGQAADFTSTERLNKLAAFQRKALAHALSFPDVERVVYSTCSVNQVENEDVVKSVLPLAASNGFQLEAPFPEWHHRGLPVVEGAECLLRTDPKEDKEGFFIALFVRRSSGNQSEKPNVTDGHTHRASTAKRSYVGAHLNLFKMFAHAHLSRRNCSHCDCC >ONI35214 pep chromosome:Prunus_persica_NCBIv2:G1:42838769:42840584:-1 gene:PRUPE_1G523000 transcript:ONI35214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFSSSSDSLGVLVSNFPPKEEEKHGKDNYGYSKEFQAMLDSLDQEDCGEEMTGKKRRLSSEQVKALERNFEVENKLEPERKAKLAEELGLQPRQVAIWFQNRRARWKTKQLERDYGILKANYDGLKLNFDSLERQNKALAEKLRHLKAKLCRDTAESNGSAKEESPISMCKSSIMEQSRHQDFSDNGDNAEVNLFKNLKSKDGSSDSDSNGVMKEESNGNSSLGYNNGHSSLSSNSMVNWFQLSDSRSVAGKGFQTQLVRMEEQSLFSTEESCNFFSVDQAPTLHWYSAEQ >ONI34365 pep chromosome:Prunus_persica_NCBIv2:G1:40039065:40041159:1 gene:PRUPE_1G478400 transcript:ONI34365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQATSSLAASSLPSSSERSSSSAFQVEVKEGMESDEEIRRVPEIGGESAGASISGRETGSLAGPDRAQVVGEGQRKRGRSPADKESKRLKRLLRNRVSAQQARERKKAYLSDLEVRVKDLEQKNSELEEKLSTLQNENQMLRHILKNTTASRRGAGSNANAEGSL >ONI34766 pep chromosome:Prunus_persica_NCBIv2:G1:41210335:41212514:1 gene:PRUPE_1G497500 transcript:ONI34766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPRINIKVIISLFCSYMLSSHYITALRPALAIKECEFPAIFNFGDSNSDTGGLAASIYPSPPPYGETYFHIPAGRISDGRLIIDFLAKNLGQPFLSAYLDSLGANFSHGANFATGSSTIRLPNRILPAPGGYSPFTLTIQYSQFLQLKNRSQLIRDRGGIFASLMPKEEYFSKALYTFDIGQNDLGEGFFANLTIQQVNASIPDIISSFSANIKKIYDLGGRSFWIHNTGPIGCLPYILANFPSQKDEAGCAKSHNEVAQQFNHNLKEATVQLRKDLRLAAITYVDVYSVKYSLYKEPKKYGFELPLVGCCGSGGKYNFNGRTLDCGRTVTVNGRQIFAGSCKRPYVKVNWDGIHYTEAAAKIVFDKISTGAFSDPPLPFKQACHRSLD >ONI28938 pep chromosome:Prunus_persica_NCBIv2:G1:14019264:14023121:-1 gene:PRUPE_1G170300 transcript:ONI28938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGNSKNAKISSPPKHNPLELSLQQAFTNIQNHCSGFLHHLSHFPVFNPNPSSLKTQLESTFANLQNQAKHAFNTGLSSSVPKHSSGKTPVWARIAVNNKTQTTNSPGNGSKAAMSTEAIEERLAGVPVYALSNAAEEFVLVSGASSGKSLGLFCFKKEDAEALLEHIRIMDPGMRSGSKVVAVALNKVFQLKVDGVAFRLIPEYSQVKNALKEKEKVGTSDDDGFPGVPVFQSRSLILRSQSKSYRPVFFRKEDLESSLSRASREQNQLNPAFRPGDVQVAVLEEVIKGMKPK >ONI28937 pep chromosome:Prunus_persica_NCBIv2:G1:14018985:14023255:-1 gene:PRUPE_1G170300 transcript:ONI28937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGNSKNAKISSPPKHNPLELSLQQAFTNIQNHCSGFLHHLSHFPVFNPNPSSLKTQLESTFANLQNQAKHAFNTGLSSSVPKHSSGKTPVWARIAVNNKTQTTNSPGNGSKAAMSTEAIEERLAGVPVYALSNAAEEFVLVSGASSGKSLGLFCFKKEDAEALLEHIRIMDPGMRSGSKVVAVALNKVFQLKVDGVAFRLIPEYSQVKNALKEKEKVGTSDDDGFPGVPVFQSRSLILRSQSKSYRPVFFRKEDLESSLSRASREQNQLNPAFRPGDVQVAVLEEVIKGMKPK >ONI28936 pep chromosome:Prunus_persica_NCBIv2:G1:14017845:14023252:-1 gene:PRUPE_1G170300 transcript:ONI28936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGNSKNAKISSPPKHNPLELSLQQAFTNIQNHCSGFLHHLSHFPVFNPNPSSLKTQLESTFANLQNQAKHAFNTGLSSSVPKHSSGKTPVWARIAVNNKTQTTNSPGNGSKAAMSTEAIEERLAGVPVYALSNAAEEFVLVSGASSGKSLGLFCFKKEDAEALLEHIRIMDPGMRSGSKVVAVALNKVFQLKVDGVAFRLIPEYSQVKNALKEKEKVGTSDDDGFPGVPVFQSRSLILRSQSKSYRPVFFRKEDLESSLSRASREQNQLNPAFRPGDVQVAVLEEVIKGMKEGSTSTWDDVVFIPPGFDISTDPTKQ >ONI28935 pep chromosome:Prunus_persica_NCBIv2:G1:14017845:14023259:-1 gene:PRUPE_1G170300 transcript:ONI28935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGNSKNAKISSPPKHNPLELSLQQAFTNIQNHCSGFLHHLSHFPVFNPNPSSLKTQLESTFANLQNQAKHAFNTGLSSSVPKHSSGKTPVWARIAVNNKTQTTNSPGNGSKAAMSTEAIEERLAGVPVYALSNAAEEFVLVSGASSGKSLGLFCFKKEDAEALLEHIRIMDPGMRSGSKVVAVALNKVFQLKVDGVAFRLIPEYSQVKNALKEKEKVGTSDDDGFPGVPVFQSRSLILRSQSKSYRPVFFRKEDLESSLSRASREQNQLNPAFRPGDVQVAVLEEVIKGMKEGSTSTWDDVVFIPPGFDISTDPTKQ >ONI34770 pep chromosome:Prunus_persica_NCBIv2:G1:41257376:41259923:1 gene:PRUPE_1G497900 transcript:ONI34770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRIPIKAIVSLFCSYMLLSHITTLGLTLALEDCEFPAIFNFGDSNSDTGGLAASLSPPPPPYGETYFHMPVGRFSDGRLIIDFLAKSLGHPFLSAYLDSLGSNFSYGANFATANSTIRLPNVVQPAAGGFSPFYLNIQYMQFMQLKSRSQLIRHRGGIFENLMPKEEYFSKALYTFDIGQNDLAEGFIGNLTVQEVNASVPNIISGFSANIKKIYNLGARSFWIHNTGPIGCLAYILANFPAQKDEAGCAKSYNEVAQHFNHKLKEATVQLRKDLPLAAITYVDVYSVKHFLFKEPQKYGFELPLIACCGYGGKYNYNRSSGCGGTARVNGSQLFVGSCKDPSVRVNWDGIHYTEAAAKFISDKISTGAFSDPPLALKQACHKNLA >ONI29750 pep chromosome:Prunus_persica_NCBIv2:G1:22635734:22637769:-1 gene:PRUPE_1G212900 transcript:ONI29750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLHPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >ONI36144 pep chromosome:Prunus_persica_NCBIv2:G1:46611916:46613864:-1 gene:PRUPE_1G571800 transcript:ONI36144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSWSATSVFIHGWCIRLWHRQGRVPKACVVSIVAGSFECTNFATWQFGFLSRFLSK >ONI31059 pep chromosome:Prunus_persica_NCBIv2:G1:28855069:28856674:-1 gene:PRUPE_1G289500 transcript:ONI31059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFTHFLLLPRSLVTFVVHGCVLLSLGWLRCGVVDPAFLFGFRCVFYANIAIYRRRHDFVAAFGPRIWNSLQFSVWSWKSDCCWFVWDRV >ONI36196 pep chromosome:Prunus_persica_NCBIv2:G1:46797376:46815091:-1 gene:PRUPE_1G574600 transcript:ONI36196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKREEKMKKMFGKPSAESEDYAAVRELFRHHIESFDHFVTAGLETMLNKIKPIEVVDSSTGTSLATFLFPPQKEESKTARGKLLPFECRQAKVSYTGKFMADVYFKYNDGPAIRENFNFGQLPIMLMSKLCHLRGANPEKLVSCKEESSEMGGYFVLNGLERVVRLVILPKRNYPTSMVRNSFRDRKEGYTDKAVVIRCAREDQSSVTVKLYYLRNDSARLGFWIQGREYLLPVGLVLKALIDTTDHEIYKNLTCCYVEKDESCDVKKYEKGKGNVGTQLVGERAHIILDEVRDFITRRQCLEHIGGHFRPLMRGLEDESDLSVVGEAVLEDYIFVHLDKGFDKFNLLIFMVQKLFSLMDQTSLPDNPDALQNHEVLLPGHLITIYLKEKLEDWLQRAKKLLQDQINNKSKTFDFCSLVNVKKVLDKNPSKHISLAVENMLKTGRLVTQSGLDLQQRAGLTVQAERLNYLRYLSHFRAVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSTCRITSYFDAQGNIRNFLNIRESILTVLSELQMISSVPKLVQAGPPANLSVLLDGCVVGSISSKVVEKVVAQLRKLKVSASSVIPDDLEVGYVPLSSRGAYPGLYLFTSPSRFVRPVKNISIPSDEGQNIELIGPFEQVFMEIRCPDGRDGGRKDAFPATHEEIHPTGMLSVVASLTPWSDHNQSPRNMYQCQMAKQTMAFSLQALCNRADQKLYHLKTPQSPIVRTSAYKKYCIDEYPTGTNAIVAVLSYTGYDMEDAMILNKSSVDRGMFHGLIYQTETIDLSDQKSRSDRSRKFFRRSNINKNSSIDSDGLPYVGQTIKPNEELYSIHNEVTNESRSIKHKGSEPVIVDYVAIDGKKLLQKASIRFRHPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESVAAKGGSLHGKYVDATPFANSKNVNEETPPQSKTLVDELGEMLKVKGFNYHGTEVMYSGVYGTELTCEIFMGPVYYQRLRHMVSDKYQVRSTGTIDQITHQPIKGRKRGGGIRFGEMERDSMLAHGAAYLLHDRLHTCSDYHIADVCSICGSILTTSFVKPERRVGRAVKGLPPIRASKKVICHACQTSRGMETVAMPYVFKYLAAELAAMNIKLTLQLNNAA >ONI36197 pep chromosome:Prunus_persica_NCBIv2:G1:46797784:46814904:-1 gene:PRUPE_1G574600 transcript:ONI36197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKREEKMKKMFGKPSAESEDYAAVRELFRHHIESFDHFVTAGLETMLNKIKPIEVVDSSTGTSLAIWFGKPFLFPPQKEESKTARGKLLPFECRQAKVSYTGKFMADVYFKYNDGPAIRENFNFGQLPIMLMSKLCHLRGANPEKLVSCKEESSEMGGYFVLNGLERVVRLVILPKRNYPTSMVRNSFRDRKEGYTDKAVVIRCAREDQSSVTVKLYYLRNDSARLGFWIQGREYLLPVGLVLKALIDTTDHEIYKNLTCCYVEKDESCDVKKYEKGKGNVGTQLVGERAHIILDEVRDFITRRQCLEHIGGHFRPLMRGLEDESDLSVVGEAVLEDYIFVHLDKGFDKFNLLIFMVQKLFSLMDQTSLPDNPDALQNHEVLLPGHLITIYLKEKLEDWLQRAKKLLQDQINNKSKTFDFCSLVNVKKVLDKNPSKHISLAVENMLKTGRLVTQSGLDLQQRAGLTVQAERLNYLRYLSHFRAVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSTCRITSYFDAQGNIRNFLNIRESILTVLSELQMISSVPKLVQAGPPANLSVLLDGCVVGSISSKVVEKVVAQLRKLKVSASSVIPDDLEVGYVPLSSRGAYPGLYLFTSPSRFVRPVKNISIPSDEGQNIELIGPFEQVFMEIRCPDGRDGGRKDAFPATHEEIHPTGMLSVVASLTPWSDHNQSPRNMYQCQMAKQTMAFSLQALCNRADQKLYHLKTPQSPIVRTSAYKKYCIDEYPTGTNAIVAVLSYTGYDMEDAMILNKSSVDRGMFHGLIYQTETIDLSDQKSRSDRSRKFFRRSNINKNSSIDSDGLPYVGQTIKPNEELYSIHNEVTNESRSIKHKGSEPVIVDYVAIDGKKLLQKASIRFRHPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESVAAKGGSLHGKYVDATPFANSKNVNEETPPQSKTLVDELGEMLKVKGFNYHGTEVMYSGVYGTELTCEIFMGPVYYQRLRHMVSDKYQVRSTGTIDQITHQPIKGRKRGGGIRFGEMERDSMLAHGAAYLLHDRLHTCSDYHIADVCSICGSILTTSFVKPERRVGRAVKGLPPIRASKKVICHACQTSRGMETVAMPYVFKYLAAELAAMNIKLTLQLNNAA >ONI31899 pep chromosome:Prunus_persica_NCBIv2:G1:31831560:31833578:-1 gene:PRUPE_1G337700 transcript:ONI31899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNSAMACLYEKNVASRLHLVSVFCISLVVSGFAELQRFQHATKDDGSLSFLVVGDWGRRGFYNQSAVALQMGRIGEQLDIDFVVSTGDNFYGDGLRDVNDPAFLESFKDIYTATSLQKQWYSVLGNHDYRGDTEAQLSPALRKIDSRWLCQRSFILNTGIAEFFFVDTTPFVNKYLVDKEHNYDWRAVSPRGTYVANLLQDLKVALNKSTAKWKIVVGHHAIRSVGHHGDTPELISLLLPILKAHNVDMYMNGHDHCLEHISSLDSPIQYLTSGAGSKAWRGDVKSYKNNRAMKFFYDGQGFMSVKLTGSDAKIAFYDVLGRLLHTWKMSKPLHSTM >ONI30456 pep chromosome:Prunus_persica_NCBIv2:G1:26368408:26371360:1 gene:PRUPE_1G252600 transcript:ONI30456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLEGVAESLRPLVESKQWDYCVVWKLGDDPSRFIEMMGCCCGGGYEYDCVNVKEEEGEPHSAKLCRDGFLKHPIRTKACEALAQLPSSMPLYSGIHTEVIISAQPRWLCGHVNASSSNPSQSHDFVGTRVLIPVLSDLIELFSTKNIPENQKMLELFMAPCNNSLKQEPMATHDYVNVNLNASASLNEFHVDPLPEECPEKLLPSLNLANFIPRPQVFPSSASQTTSCPILEGSSSGSNPSSEHPLLSSRSRKSKRGDNLLQQQAGLASGSGSVVEKHKAKVTRKTGSGQYQSKNLFAERNRRSRIKDGHFALRALVPKISKMDIPAIIGDAVEYIEELKKKVKELEDELREIEEEEDLTKNKADVKILASDMRKEGSICLISTEHKQGSSSFVQKSPTVVQVEVNQIGKRDCLIKLFYEQSRGGFASLMENMDSLGLQVVDANVTTFDGNVLNILKVQANRDIQAKKLRDKLIQLTRETNQTVHKGIN >ONI33125 pep chromosome:Prunus_persica_NCBIv2:G1:35722929:35723979:1 gene:PRUPE_1G407200 transcript:ONI33125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSLRNMGFEPNLLETLHDLLDFSDEQNQSSHHAPSRQYVREAKAMAATPADIKEAQNAYIFVVDVPGLRPDMVNVQVEDDNVLVVSGERRREKEKDQGIKYLRMERRLGKYLKKFVLPENADIEKISAECQDGVLTVSVAKKPPPEPKKPKTIQVQISSGQGSGQGKQIGQGGGQGGEGGHGGDQGGGQGGQGGGQGGQHGSQGGQGGDQDR >ONI26361 pep chromosome:Prunus_persica_NCBIv2:G1:1420038:1421395:1 gene:PRUPE_1G020100 transcript:ONI26361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGRFPGVDLPPRRRKPTHRQESYAKYREAPPLREWLEPSVNQVTSMDLDEATHKARRRLEKRLGYCRPSSSRKDAAMGSNSKGLGRSWGFFSVISRNVRAERDVCAICLESFEAGEQAMDLSCAHKFHSNCLLPWLHAHPDCPYCRTPVS >ONI26362 pep chromosome:Prunus_persica_NCBIv2:G1:1420019:1421306:1 gene:PRUPE_1G020100 transcript:ONI26362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGRFPGVDLPPRRRKPTHRQESYAKYREAPPLREWLEPSVNQVTSMDLDEATHKARRRLEKRLGYCRPSSRKDAAMGSNSKGLGRSWGFFSVISRNVRAERDVCAICLESFEAGEQAMDLSCAHKFHSNCLLPWLHAHPDCPYCRTPVS >ONI26188 pep chromosome:Prunus_persica_NCBIv2:G1:700654:701484:-1 gene:PRUPE_1G008500 transcript:ONI26188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGNQREKDRERAQARGGKGKNKDDGLTPEQRRERDAKALQEKQAKKAAGAAPGGNSSGGKSK >ONI26351 pep chromosome:Prunus_persica_NCBIv2:G1:1366613:1369336:-1 gene:PRUPE_1G019500 transcript:ONI26351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKSKKGGKKKAADPFAKKDWYDIKAPSLFQNKNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQGDEEHAYRKMRLRAEDVQGRNVLTNFWGMNFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRLFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMVAQATSSDLKDLVRKFIAESIGKEIEKATMSIYPLQNVFIRKVKILKAPKFDLTKLMEVHGGNEDVGQKVERPAEEAVTEPPPEIVGA >ONI26944 pep chromosome:Prunus_persica_NCBIv2:G1:4061962:4063154:-1 gene:PRUPE_1G056900 transcript:ONI26944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKSNYKEEAPEAIMWSQKAWALLSTVRSQSPLIQCITNFVSMDLMANTLLSAGASPAMLHTLEELPEFTPQVHALCVNVGTLSAGWLPAMKLAAELAHKSGKPWVLDPVAAGASGFRLKACLELVELKPTVIRGNGSEIIALAKASVEPTKGVDSSHESTDAIESAKSLAQASGAIVAVSGAVDIVTDGKRIVGAHNGVAMMTKITATGCSVTALIAAFVSVDQSHVLEATASALSVFGIAGEMGMKMANGPASLRMHLIDSLYGIDEACVLSHAKITSLS >ONI28268 pep chromosome:Prunus_persica_NCBIv2:G1:10651333:10653250:1 gene:PRUPE_1G134900 transcript:ONI28268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQDLLTGDELLSDSFPYKEVHNGVLWEVDGKWVVQGAVDVDIGANPSAEGGGEDEGVDDQTVKVVDIVDTFRLQQPPFDKKQFVTWVKRYIKLLTPKLEGEKQEEFKKNIEGATKFLLSKLSDLQFFVGESMHDDGGLVFAYYKEGATDPTFIYFAHGLREVKC >ONI28267 pep chromosome:Prunus_persica_NCBIv2:G1:10651320:10653319:1 gene:PRUPE_1G134900 transcript:ONI28267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYQDLLTGDELLSDSFPYKEVHNGVLWEVDGKWVVQGAVDVDIGANPSAEGGGEDEGVDDQTVKVVDIVDTFRLQEQPPFDKKQFVTWVKRYIKLLTPKLEGEKQEEFKKNIEGATKFLLSKLSDLQFFVGESMHDDGGLVFAYYKEGATDPTFIYFAHGLREVKC >ONI30533 pep chromosome:Prunus_persica_NCBIv2:G1:26659953:26663649:1 gene:PRUPE_1G256300 transcript:ONI30533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFCAVPGFIVRKGVMGRNVLVFGLAILFLFGGVSSAAPTAPAKIVSGVFSNAASAFMKWLWSLRATSKTAISGRPLMKYESGFNVETVFDGSKLGIEPYSVEVLPSGELLILDSANSNLYKISSALSLYSRPKLVTGSPEGYSGHVDGRTREARLNHPKGLTVDDSGNIYIADTMNMAIRKISDAGVTTIAGGKQGSGGSHVDGPSEDAKFSDDFDVVYIGSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPLGIAMLVGAGFFGYMLALLQRRVGTIVSSQNDQGPMKTSIPPSPYQKPLKSVRPPLIPTEDEQEKQEEGFFGSIGKLLVNAGACGAEILGAIFPGFRKKHLNYQDQIQQQQQKHSNSWPVQDSYVIPDEDEPPSIETRTPTPRKTYAFMAKDAETMHQLRQSRVFYNGWDDIQQQQQQQKQQQHYHRYQSSNPNTFYEQSCEKTTEIVFGEVQEQDGKGEAVVIKPIDYGNPMFNHYSFTSQINPRGYNYGY >ONI32694 pep chromosome:Prunus_persica_NCBIv2:G1:34305977:34308677:1 gene:PRUPE_1G380300 transcript:ONI32694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRDLSTAMAIMLLRTLAFFTLAVNLATCQSFIGVNYGQVADNLPPPSATAKLLQSTSIQKVRLYGSDPAIIKAIANTGIGIVIGAANGDIPGLASDQNFAKSWVDANVAPFYPASNIILITVGNEVLTSGDQGLISQLVPAIQNVQNALDSSSLGGKIKVSTVHSMAVLKQSEPPSSGSFDPGFGDVMKWLLAFNSATGSPFAINPYPYFAYRSDPRPETLAFCLFQPNGGRFDSKTNIKYMNMFDAQVDAIRSALDSMGAKNVEIVVAETGWPYKGDSNEVGPSVENAKAYNGNLIAHLRSMAGTPLMPGKSVDTYLFALYDENLKPGPGSERAFGLFKPDLTMTYDVGLSKTRQTPSTPSSTPSTPSTTPSTPSTTPSTPSAVPQTPVNPSAPKPKKAAWCLPKAGVSDSQLQANIDYVCGHGFDCSPIQQGGACFEPNTVQSHAAYAMNLLYQTAGGDPLNCDFSQTATLSSNNPSYDGCHYPGGST >ONI30082 pep chromosome:Prunus_persica_NCBIv2:G1:24325781:24326783:1 gene:PRUPE_1G230000 transcript:ONI30082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWFFLRRYFTKQRHDLFSAATDTTSATLEWAMAELLRNLEKLSKAQEELKQVIGKGKSVQESDITRLPYLQAIIKETFWLHPTEICGYIVPKGAQVLANAWAIGRDPNIWDSPTSFIPERFLFLDIDVTGHNFELIPFGGGRRICPGLPFAMRMLNLMLGALINSFDNWKLEDGVVPKK >ONI26323 pep chromosome:Prunus_persica_NCBIv2:G1:1197227:1200289:-1 gene:PRUPE_1G017400 transcript:ONI26323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIFGLVGDGFAVVAADTSAVHSILVHKSNEDKIMVLDSHKLVAASGEPGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYMVNILMAGFDKEAGASLYYIDYIASLHKVEKGAFGYGSYFALSLMDRHYHSGMSVEEAIDLVDKCIIEIRSRLVVAPPNFVIKIVDKDGAREYAWRESIRDASVAAA >ONI26324 pep chromosome:Prunus_persica_NCBIv2:G1:1197139:1200391:-1 gene:PRUPE_1G017400 transcript:ONI26324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIFGLVGDGFAVVAADTSAVHSILVHKSNEDKIMVLDSHKLVAASGEPGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYMVNILMAGFDKEAGASLYYIDYIASLHKVEKGAFGYGSYFALSLMDRHYHSGMSVEEAIDLVDKCIIEIRSRLVVAPPNFVIKIVDKDGAREYAWRESIRDASVAAA >ONI35964 pep chromosome:Prunus_persica_NCBIv2:G1:45976666:45981468:1 gene:PRUPE_1G562800 transcript:ONI35964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQTGPPGFDDTSVDTTKPKTKSVKRNERKKEKRIQAALEKEKTSESGETKEEEAFVENLGHVSESVKSLTSQMNEFGVSSSPALVTPPSDSTEDSNPGGPVQDIDKKIRALKKKIRLAEAQQQKTHQQDNKSEQLDKLTKLEGWHKELKLLEDKKKAELTAS >ONI35963 pep chromosome:Prunus_persica_NCBIv2:G1:45977349:45980966:1 gene:PRUPE_1G562800 transcript:ONI35963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWKKEMSSQTGPPGFDDTSVDTTKPKTKSVKRNERKKEKRIQAALEKEKTSESGETKEEEAFVENLGHVSESVKSLTSQMNEFGVSSSPALVTPPSDSTEDSNPGGPVQDIDKKIRALKKKIRLAEAQQQKTHQQDNKSEQLDKLTKLEGWHKELKLLEDKKKAELTAS >ONI35962 pep chromosome:Prunus_persica_NCBIv2:G1:45976666:45981468:1 gene:PRUPE_1G562800 transcript:ONI35962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNNGGGGGGEDQPPTEIAELSKTLKDGERILAPTRRPDGTLRKPIRIRAGYVPQEEVAIYQSKGALWKKEMSSQTGPPGFDDTSVDTTKPKTKSVKRNERKKEKRIQAALEKEKTSESGETKEEEAFVENLGHVSESVKSLTSQMNEFGVSSSPALVTPPSDSTEDSNPGGPVQDIDKKIRALKKKIRLAEAQQQKTHQQDNKSEQLDKLTKLEGWHKELKLLEDKKKAELTAS >ONI34655 pep chromosome:Prunus_persica_NCBIv2:G1:40970413:40973430:1 gene:PRUPE_1G492900 transcript:ONI34655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSALIAMVGKNCFAIASDRRLGVQLQTVATDFKRINQVHDRLFIGLSGLATDAQTLYQRLMFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYFCQPVIAGLSDEDRPFICTMDSIGAKELAKDFVVAGTASESLYGACEAMFKPDMEAEELFEIVSQALLSSVDRDCLSGWGGHVFVVTPTEVKERILKGRMD >ONI27212 pep chromosome:Prunus_persica_NCBIv2:G1:5296562:5300393:-1 gene:PRUPE_1G074000 transcript:ONI27212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMMKCPKILFLFGVVLLHYSCFLQSAISSSTSNFTDQSALLAIQSKLSFDPTNIVLGGNWTTKTSFCNWVGVFCSKRRQRVTALKLSYMALQGTISPHIGNLSFLVSLDLRNNSFFGPLPNEISRLHRLRSLLLHNNQLQGSIPPTLYHCQNLEVLVLEVNRLTGPIPRELGFLPRLRTLFLGLNNLTGTIPSPLGNISTLERLSLDESGLTGSFSSALLNLTSLTMISLPKNSISGSLPVDLCHYWPNIQNISFSFNKFSGKIPSRIGQCRELKILSLSYNSFVGSIPEEVWSLQHLQILYLGGNKLTGTISPSIGNMSNLKRFAVGRNNIEGNIPGNLGHLSNLAFLGLAFNSLTGPIPQVIFNISSLEGLEMQSNALSGEFPSSAVFLPNLNSLLFHTNQITGPIPTYFSNFTKLTQLDADNNLLYGPIPMNLGSLKHLQFLNLARNQLTGEPGFDELRFLSSLLNSSSLEYIILYENPLNGIIPDSIGNFSQSLQVIYAPACQIRGHIPKSIGSLRNLTFLYLAHNNLSGKIPSSIRGLETLQRLHLQNNSIEGFVPNELCHLKNLGEILLSNNKISGLIPICIGNLRRLQIVLLDSNSLTSSIPMSLWNLESLLVLNLSFNSFDGNLPQGMTKLNAVQVIDLSWNQIAGNIPSVIAAFQSLRSLNLSNNLFVGNVPKTFGDLKGLEDLDLSHNSLSGTIPKSLETLKYLEHLNFSFNHLSGQIPSGGPFANFTAQSFLENGELCGRPDFGVRNCTPQSTQNYKAALHLFKYILPAIASTMILAALVYRLIKYHKKRNAGLPSSVEPTLSAIEHRMISYQELRRATNDFCESNALGVGSFGSVYKGILSNGTTVAVKVLNLQMEGALKSFDAECKVWRTIRHRNLVKVITSCSSHEVRALVLQYMCNGSLEKWLYSHNYCLNLVQRVSMMVDIALALEYLHHGQAEVVVHCDLKPSNILLDEDMVAHVGDFGLAKILAKNKDETQTRTIGTLGYVAPEYGSTGKVSTKGDVYSYGIMMLEIITRKKPTDEMFAGEQTLRQWINESLPNNCLEVVDAGLLSIEEGRNVNATKNIILSIMEISLRCCEQVPEERMDFKDVVTKLMKIKLALGNRRNRV >ONI26404 pep chromosome:Prunus_persica_NCBIv2:G1:1541156:1548834:1 gene:PRUPE_1G022600 transcript:ONI26404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPDSDEAAPEALENLKERGEPEASNSTVEDGDDAATAEDESAVLDISGKSLDFSIRENFDDAAALYLYKNVFNLLPKSIGALKRLRTLKFFGNEINLIPPLGSSEFGSLVGLERLQVRMPSPEFGGLPFNKLEGLKELELSKVPPRPSALQILSEIAGLKCLTKLSVCHFFIRYLPPEIGCLYNLEYLDLSFNKMKSLPAEISNLNALISLKVANNKLVELPSTLSSLQRLEILDLSNNRLTSLGFLELDLMHNLQNLNLQYNKLLMHFQIPSWICCNLEGNGKDTLDDDCSSSSVEMDVYETPIQKNDESLSRRGSRHSSTSLIIGHGHPSNSRCCAARKSGRWRKQGYHLQQRARQERLNNSRKWRGMDPSNLLHLKEDGECKPGNTDRLASESYPEGASDIINPDNDDGDKDSLSREVQSENVHEDVVCCKVSLKKELDVGNCSSVSIDSNTVDKSDEKDFCEFDASSIPGQEVSAKQDEGTSSEISKSTTHHKRPFDGHHDNPRQLKYPRSRAADSSNLSRKYSELSFCSTEDDLSEGFYDAGRDRPFMPLEVYEQNFHLDSREVLLVDKEWDAELDSILRSAQESVYRLYSDGNQADELQIASFLALFVSDHFGGTDRGALVEWARKANPLSDYRKPFVCTCPTGNMDSISLSTKPVAKTVEDIGFSDLCEKSLRSLKARRKSIIIPIGTLQFGVCRHRALLFKYLCDRLKPRVRCELVRGYLDFMPHAWNIILIKRGSSEIRMVVDACRPLDIREETNPEYYCRYIPLCRTKVSPPIGPTSYPSVSSCGETPKKSVTSLIRLKYGSNEAAGKMRTLEVCGALTDEIRNFDYSCLGEIRILGALKHPCIVEMYGHQISSKWAPSIDGSHEHRILQSIIWMEDIKDGSLQSFIQKLSKAGEKHVPAELALCIAKDVACALVELHSKHIIHRDIKSENILVDLDKKRADGTSVVKLCDFDRAVPLRSYLHTCCIAHIGTHQADVCVGTPRWMAPEVLRAMHKRNIYGLEVDIWSFGCLLLEMLTLQIPYAGVSEMEINELLTMGKRPKLTEELEAFRSLDEPIMTQAGAELDGTEADLDTLRFLVDLFYQCTEENPQNRPTADNLYELLLKHSSSPPKSRT >ONI26406 pep chromosome:Prunus_persica_NCBIv2:G1:1541479:1548670:1 gene:PRUPE_1G022600 transcript:ONI26406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPDSDEAAPEALENLKERGEPEASNSTVEDGDDAATAEDESAVLDISGKSLDFSIRENFDDAAALYLYKNVFNLLPKSIGALKRLRTLKFFGNEINLIPPLGSSEFGSLVGLERLQVRMPSPEFGGLPFNKLEGLKELELSKVPPRPSALQILSEIAGLKCLTKLSVCHFFIRYLPPEIGCLYNLEYLDLSFNKMKSLPAEISNLNALISLKVANNKLVELPSTLSSLQRLEILDLSNNRLTSLGFLELDLMHNLQNLNLQYNKLLMHFQIPSWICCNLEGNGKDTLDDDCSSSSVEMDVYETPIQKNDESLSRRGSRHSSTSLIIGHGHPSNSRCCAARKSGRWRKQGYHLQQRARQERLNNSRKWRGMDPSNLLHLKEDGECKPGNTDRLASESYPEGASDIINPDNDDGDKDSLSREVQSENVHEDVVCCKVSLKKELDVGNCSSVSIDSNTVDKSDEKDFCEFDASSIPGQEVSAKQDEGTSSEISKSTTHHKRPFDGHHDNPRQLKYPRSRAADSSNLSRKYSELSFCSTEDDLSEGFYDAGRDRPFMPLEVYEQNFHLDSREVLLVDKEWDAELDSILRSAQESVYRLYSDGNQADELQIASFLALFVSDHFGGTDRGALVEWARKANPLSDYRKPFVCTCPTGNMDSISLSTKPVAKTVEDIGFSDLCEKSLRSLKARRKSIIIPIGTLQFGVCRHRALLFKYLCDRLKPRVRCELVRGYLDFMPHAWNIILIKRGSSEIRMVVDACRPLDIREETNPEYYCRYIPLCRTKVSPPIGPTSYPSVSSCGETPKKSVTSLIRLKYGSNEAAGKMRTLEVCGALTDEIRNFDYSCLGEIRILGALKHPCIVEMYGHQISSKWAPSIDGSHEHRILQSIIWMEDIKDGSLQFYTKAFKSW >ONI26403 pep chromosome:Prunus_persica_NCBIv2:G1:1541216:1548834:1 gene:PRUPE_1G022600 transcript:ONI26403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPDSDEAAPEALENLKERGEPEASNSTVEDGDDAATAEDESAVLDISGKSLDFSIRENFDDAAALYLYKNVFNLLPKSIGALKRLRTLKFFGNEINLIPPLGSSEFGSLVGLERLQVRMPSPEFGGLPFNKLEGLKELELSKVPPRPSALQILSEIAGLKCLTKLSVCHFFIRYLPPEIGCLYNLEYLDLSFNKMKSLPAEISNLNALISLKVANNKLVELPSTLSSLQRLEILDLSNNRLTSLGFLELDLMHNLQNLNLQYNKLLMHFQIPSWICCNLEGNGKDTLDDDCSSSSVEMDVYETPIQKNDESLSRRGSRHSSTSLIIGHGHPSNSRCCAARKSGRWRKQGYHLQQRARQERLNNSRKWRGMDPSNLLHLKEDGECKPGNTDRLASESYPEGASDIINPDNDDGDKDSLSREVQSENVHEDVVCCKVSLKKELDVGNCSSVSIDSNTVDKSDEKDFCEFDASSIPGQEVSAKQDEGTSSEISKSTTHHKRPFDGHHDNPRQLKYPRSRAADSSNLSRKYSELSFCSTEDDLSEGFYDAGRDRPFMPLEVYEQNFHLDSREVLLVDKEWDAELDSILRSAQESVYRLYSDGNQADELQIASFLALFVSDHFGGTDRGALVEWARKANPLSDYRKPFVCTCPTGNMDSISLSTKPVAKTVEDIGFSDLCEKSLRSLKARRKSIIIPIGTLQFGVCRHRALLFKYLCDRLKPRVRCELVRGYLDFMPHAWNIILIKRGSSEIRMVVDACRPLDIREETNPEYYCRYIPLCRTKVSPPIGPTSYPSVSSCGETPKKSVTSLIRLKYGSNEAAGKMRTLEVCGALTDEIRNFDYSCLGEIRILGALKHPCIVEMYGHQISSKWAPSIDGSHEHRILQSIIWMEDIKDGSLQSFIQKLSKAGEKHVPAELALCIAKDVACALVELHSKHIIHRDIKSENILVDLDKKRADGTSVVKLCDFDRAVPLRSYLHTCCIAHIGTHQADVCVGTPRWMAPEVLRAMHKRNIYGLEVDIWSFGCLLLEMLTLQIPYAGVSEMEINELLTMGKRPKLTEELEAFRSLDEPIMTQAGAELDGTEADLDTLRFLVDLFYQCTEENPQNRPTADNLYELLLKHSSSPPKSRT >ONI26405 pep chromosome:Prunus_persica_NCBIv2:G1:1541479:1548670:1 gene:PRUPE_1G022600 transcript:ONI26405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPDSDEAAPEALENLKERGEPEASNSTVEDGDDAATAEDESAVLDISGKSLDFSIRENFDDAAALYLYKNVFNLLPKSIGALKRLRTLKFFGNEINLIPPLGSSEFGSLVGLERLQVRMPSPEFGGLPFNKLEGLKELELSKVPPRPSALQILSEIAGLKCLTKLSVCHFFIRYLPPEIGCLYNLEYLDLSFNKMKSLPAEISNLNALISLKVANNKLVELPSTLSSLQRLEILDLSNNRLTSLGFLELDLMHNLQNLNLQYNKLLMHFQIPSWICCNLEGNGKDTLDDDCSSSSVEMDVYETPIQKNDESLSRRGSRHSSTSLIIGHGHPSNSRCCAARKSGRWRKQGYHLQQRARQERLNNSRKWRGMDPSNLLHLKEDGECKPGNTDRLASESYPEGASDIINPDNDDGDKDSLSREVQSENVHEDVVCCKVSLKKELDVGNCSSVSIDSNTVDKSDEKDFCEFDASSIPGQEVSAKQDEGTSSEISKSTTHHKRPFDGHHDNPRQLKYPRSRAADSSNLSRKYSELSFCSTEDDLSEGFYDAGRDRPFMPLEVYEQNFHLDSREVLLVDKEWDAELDSILRSAQESVYRLYSDGNQADELQIASFLALFVSDHFGGTDRGALVEWARKANPLSDYRKPFVCTCPTGNMDSISLSTKPVAKTVEDIGFSDLCEKSLRSLKARRKSIIIPIGTLQFGVCRHRALLFKYLCDRLKPRVRCELVRGYLDFMPHAWNIILIKRGSSEIRMVVDACRPLDIREETNPEYYCRYIPLCRTKVSPPIGPTSYPSVSSCGETPKKSVTSLIRLKYGSNEAAGKMRTLEVCGALTDEIRNFDYSCLGEIRILGALKHPCIVEMYGHQISSKWAPSIDGSHEHRILQSIIWMEDIKDGSLQFYTKAFKSW >ONI29285 pep chromosome:Prunus_persica_NCBIv2:G1:17647312:17649174:-1 gene:PRUPE_1G191000 transcript:ONI29285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTNANEVPTNYDRKSELKAFDDTKEGVKGLVDAGITVVPRIFHQPPDQYSINNFDSEATQFSVPVIDLEGLEFGRPTKRKEIVTKVGEASETWGFFQIVNHGIPIDVLEEIKDGVRGFYEQDTQVKKQFYTRDHFKPVVYNSNFDLHRAPACNWRDTFMCYMAPNPTKAEDMPEVFRDILIEYSKQVMKLGKLLFELLSEALGLKPSHLNDIDCSLGLVLVGHYYPSCPQPELTLGTSKHADSDFITVLLQDHIGGLQVLHQNKWIDVPPVPGALVVNIGDLLQLISNERFKSVEHRVLANRVGPRVSVASFFTTGMQPLERLYGPIKELLSEDNPPKYRDTTIREYNAHFNEKGLDGTSALTHFEL >ONI30185 pep chromosome:Prunus_persica_NCBIv2:G1:24947286:24952877:1 gene:PRUPE_1G235800 transcript:ONI30185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISLEIVSVAFFIGLLTWVLLDIMRRRRGGGSNRTCLIYRADRGSKVFALLTIVANALISTFYLGFGIYEYWVGGGIISWKSIFSGMTWVLATLVTVYSKNTIHSEQNRWPWVLIIWWILSCSFYSLSLCLYLTNHFRSLDLPDILPKANIVEFASFPLSVLLFFNAFRYAAQEKNDLKHPLLEKEDETPPQNTDTYTKAGIWSKATFQWLNPLFKRGRIQKLELPHIPHVPPSERAENASYVLDESLRKQKTEDSSLPKSIMRAIRRSLAINAVFAGANTAASYIGPFLITNFVNYLLEKNDNSSIHHGLILAFIFFIAKTLESLSQRQWYFGAHLIGVRVRAALTVLIYKKSISIKYSGPSNGKIINLINVDVERIGDFCWYIHGIWLLPLQVVLALAILYRNLGAAPSAAALLSTVLIMVCNTPLANTQERLHSKIMEANDSRIKVTSEILKSMRVLKLHSWEPTFLKKLLQLRETERHWLKRYLYTCSAVAFLFWASPTLVSVTTFGVCILLNTPLTVGTVLSALATFRILQEPIYNLPELISMITQTKVSIDRIQEFVKDDQMKLIPCHTSKVSDVMVVLDAGEYAWKTTEQDLKKPTIKVTEKIEIMKGSKVAVCGSVGSGKSSLLLSILGEIPKISGAGAKVYATKAYVSQSAWIQTGTIRENVLFGKEMNKGCYEYVLEICALDHDVNTWADGDLTVVGERGMNLSGGEKQRIQLARAVYSDSDIYILDDPFSAVDAHTGTHLFKKCLLQHLSMKTVIYATHQLEFLEAADLVLVIKDGQIAESGKYEDLIADPNSELVRQMSAHKKSFDQVNTCQQDDSFNRRSHQVNLIEVLEEKEAINNGKLSGKSNEEEAETGRVKWRVYSTFVTSAYRGALVPVILLCQVFFQGLQMGSNYWIAWATKNEHKVSKKRLMWVFALLSAGSSIFILGRALFLSTIAIQTAQRLFLGMITSVFRAPISFFDSTPSSRILNRCSTDQNTVDMDIPYRIAGLAFALIQLISIIILMSQVAWQVFILFLGVLALSMWYQAYYITTARELARMVGIRKAPILHHFSESITGAGTLRCFNQGDRFLMKTMDLIDDYSRVAFHNYATMEWLSVRTNFLFNLVFFLLLIILVSLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNMCNVENKMISVERILQFTHIPSEAPLVIEDCRPVPEWPMAGKIELENIHVQYNPSLPTVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRIVEPSGGQILIDGVDISKIGLQDLRSRLSIIPQDPILFQGTMRTNLDPLQQHSDQELWEVLNQCRLAEIVRQDQRLLDAPVAEDGENWSVGQRQLVCLARVLLKKRKILVLDEATASIDTATDILIQETIRKETSGCTVITVAHRIPTVIDNDLVLVLGEGKVLEYDSPTRLLEDSSSAFSKLVAEFLRRSSMSNCYRDPS >ONI28776 pep chromosome:Prunus_persica_NCBIv2:G1:12827013:12830948:1 gene:PRUPE_1G160800 transcript:ONI28776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSLGQFTEGKVRNSQRGKMPLTVDLNYPAPCEDMVLNARFTWDNSQQGQDEAHSRSEPQASHDCEVIDDEVVIISPRIYAQAKNNSSRNLEVRDVPPTNTEQHNGLSDVAAQTHLIPLHCHKRRRTLRNQAVLNWELHFSSEDSDANKTKEVPIPELPQSTPPPETPAFSCPICLGPLSEETSTKCGHIFCKMCIEASIKVQHKCPTCRKRLRMKDTIRVYLPTK >ONI29606 pep chromosome:Prunus_persica_NCBIv2:G1:20540815:20541351:-1 gene:PRUPE_1G204900 transcript:ONI29606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINKNQKLSTAGNLANLLPTGTVLAFQALTPSISYNGRCHTFNKYLVALVILACSVICFVSSFTDSLPWEGKVYYGVATSEGLRVLNDDDHEIDKNEDIRQELKKLRVKPKDFIHAFASVFVFLIFAFSSSEVQGCYFPKSRELEYSLVIYLPLVVGLFSSFLFSIFPTKRRGIGCV >ONI35177 pep chromosome:Prunus_persica_NCBIv2:G1:42719399:42720858:-1 gene:PRUPE_1G520700 transcript:ONI35177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGSSSSLLKLFLVASLIQVSLGSSRFFQVSLATRRLSELVQDQTQLLKYHNGPLLSGKIAINLIWYGNFKPSQKAIISDFITSLSSSSPSKTTPPSVATWWKTTEKYYHLSSNKKTSLSLSLGRQILDEKYSLGKSLTTKQIVQLASKGDQKNAINVVLTSADVAVDGFCMNRCGTHGSALASTKTGLIKGSKRSKFAYIWVGNSETQCPGQCAWPFHQPIYGPQSPPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGYFQGPAEAPLEAASACPGIYGKGAYPGYAGDLLQDPSTGASYNANGANGRKYLLPALYDPATSSCSTLV >ONI27231 pep chromosome:Prunus_persica_NCBIv2:G1:5382584:5384379:-1 gene:PRUPE_1G075000 transcript:ONI27231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLAQIQLPNRWQEEAITGQQLHNFIHRYQEWVHSLLFHNLLHQTKARQQKPSKEDLSLQQKEEHHGEFELGLGSSFQCFVLGFGKFILIYYVRTCSRLMSSMWCIGFLSTDVVQWFFEDSVL >ONI31962 pep chromosome:Prunus_persica_NCBIv2:G1:32088194:32093661:1 gene:PRUPE_1G341400 transcript:ONI31962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVISANDQQGLVTSFLEIAVGQTADTARQFLQATGWQLEEAIQLFYVGNEAGSIAAAQPPTENIENLADQTSGANENVVHHGINENVGQLGEDEVRPPMPVIRDVLYDDAALYGSPRARFSQHESGSVIAFRNFEEEMKHPGVWESGQGATSSAETARDNLASLYRPPFKLLFQGSFEKAKGAASVQDKWLLVNLQSTKEFSSHMLNRDTWANEAVSQTIITNFVFWQTYDDTTEGRKVCTYYKLESMPVVLIIDPITGQRMRSWNGMVQPECLLEDLLPFLDSGPRDHHVTLSHKRPRESSLPQPQKTKVADETNEEDEEVQRALAASMEGMQETGGISKDKDEIITDKEEEKCLAKTPAYPPLPEEPKGDKNLLCRVGVRLPDGRRVQRNFLRTDPIQMLWSFCYSQLKEAETRRFRLTQAIPGASKSLDYDCQSTFEESGLANSMVSVTWE >ONI31963 pep chromosome:Prunus_persica_NCBIv2:G1:32088524:32093391:1 gene:PRUPE_1G341400 transcript:ONI31963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVISANDQQGLVTSFLEIAVGQTADTARQFLQATGWQLEEAIQLFYVGNEAGSIAAAQPPTENIENLADQTSGANENVVHHGINENVGQLGEDEVRPPMPVIRDVLYDDAALYGSPRARFSQHESGSVIAFRNFEEEMKHPGVWESGQGATSSAETARDNLASLYRPPFKLLFQGSFEKAKGAASVQDKWLLVNLQSTKEFSSHMLNRDTWANEAVSQTIITNFVFWQTYDDTTEGRKVCTYYKLESMPVVLIIDPITGQRMRSWNGMVQPECLLEDLLPFLDSGPRDHHVTLSHKRPRESSLPQPQKTKDETNEEDEEVQRALAASMEGMQETGGISKDKDEIITDKEEEKCLAKTPAYPPLPEEPKGDKNLLCRVGVRLPDGRRVQRNFLRTDPIQMLWSFCYSQLKEAETRRFRLTQAIPGASKSLDYDCQSTFEESGLANSMVSVTWE >ONI31964 pep chromosome:Prunus_persica_NCBIv2:G1:32088334:32093640:1 gene:PRUPE_1G341400 transcript:ONI31964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVISANDQQGLVTSFLEIAVGQTADTARQFLQATGWQLEEAIQLFYVGNEAGSIAAAQPPTENIENLADQTSGANENVVHHGINENVGQLGEDEVRPPMPVIRDVLYDDAALYGSPRARFSQHESGSVIAFRNFEEEMKHPGVWESGQGATSSAETARDNLASLYRPPFKLLFQGSFEKAKGAASVQDKWLLVNLQSTKEFSSHMLNRDTWANEAVSQTIITNFVFWQLQTKLMKKMRKCSAHWQLRWKACRRQVGYPKIKMKSLPTRRKKNA >ONI26871 pep chromosome:Prunus_persica_NCBIv2:G1:3626754:3627035:1 gene:PRUPE_1G052000 transcript:ONI26871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQVERKVTLKIRETWFLVVFSLRNIHLLSFQGFHECIRLEAFHIFFFLPVLCNKGILCYFCNGCSKITKKNTCHKGSVKGKNEVIFDMPIL >ONI35470 pep chromosome:Prunus_persica_NCBIv2:G1:43947203:43949098:-1 gene:PRUPE_1G537900 transcript:ONI35470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMVGKTTCMGVDATLTMTLILLTATIAMADDDTPIPANQPQVNTWFNNNVKPYTERQGTLDPALATAEAGQTVIKVMKDGSGQFKTITDAINSIPADNTKRVIVYIGEGKYEEKITIPRNKPFVTFFGSPTNMPTLTFAGTAQKYGTVNSATVIVESDYFMAANVIIKNSSPRPDGKAVGAQAVALRVSGNKSALYNCKLIGFQDTFCDDKGNHFLKDCFIEGTVDFIWGSGKSLYLNNELHVVGDNGLTVITAQARDSSSDDTGYSFVHCKITGTGNGTYLGRAWRISPMVVFAYTSMSEVINPAGWSDDNHPERDNTVFYGEYKCSGPGSSAAGRVKYTKQLTDEQIKPFLSLGYIQGSKWLLPPPNPKV >ONI26909 pep chromosome:Prunus_persica_NCBIv2:G1:3840248:3840848:1 gene:PRUPE_1G054500 transcript:ONI26909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNKSNTYQTSASDNEAPRFTTEEYSQLKSLLHNGTVSPFCASANKSSPSTTSWILDSGVTDHVAPPSMLNTLKVAPLFSPHSVYIQVLPDGMVIELIMSKFQTLWANMLEPLCY >ONI30974 pep chromosome:Prunus_persica_NCBIv2:G1:28651527:28653145:1 gene:PRUPE_1G285500 transcript:ONI30974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKESRPRKLVKSRYVCINPELPIEIIFCHILPRLPPKSLMRCKCVCKSWSSLFRSPSFVTAFNNFHCNDRNKSTTNFLFQKNSRLFSSKIEGQQGENNFLIPTPIAQLPLQTRSKFLERYPDHVQYILNPTPVAELSYLSRCEALECRPNRVQCVHGLICASSRCGPVFILNPSTQESIELPYVIDNYRFAYATYHFGYSPQTNEYKVLQILSFRLNRLSNRHIRFNTFTLGRDSSWRPLQVDPAHLRFLYAIGHTSEIMNGRSTGCVCLNGAIHWIHGTQKIIVVFDVRDETFRLVSLPEEYAREFGPDNYGPNKIAFPTGVVEVGGCVGVFADKSWGHNEIVLWILKDYKNLVWVKETITVMPRGAGYVEALGTIHTGELALALYFHGNSPGFDDGPPQLLLYDMESKQYRILDFIFPNNMGVARGIPIKLITSYDDSIAPLK >ONI33511 pep chromosome:Prunus_persica_NCBIv2:G1:36853457:36856628:1 gene:PRUPE_1G429700 transcript:ONI33511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLFLIFLLSLAIHSPPSSSTSDSLSLSRGSSLSVEKPEDVLVSADGVFTAGFHQVGNNSFCFAIWFTEPSSSDHYQNRTIVWMANRDQPVNGKRSKLSLLKTGNLILTDAGQSNVWATTTTSLSPVHLTLQNSGNLVLQKSDHFVLWQSFEFPTDTLLPHQPLTRNTILVSMRSQSNFSSGFYKLFFDNDNLLRLLYDGLQTSSVYWFEPWLAGQDAGRSTDNSSRIAVLDSLGNFTSSDKYTLMAADYGAKSQRRLTVDSDGNVRLYSWKKPGGKWVVSGQVISDPCKIHGVCGVNSVCSYDPGHGRKCSCIPGYRLINHTDWNYGCEPQYNYTCTKGESTFFKLSKLEFFGYDYGYFTNYTYEDCENLCLKLCNCKGFQYSRIPGRPTYSCYPKTVLLNGYRSPSFFGDLYLRVPKNHLLSYQKPQGEYRLNCLGTVVGLDRNYVKTSVTGSVKFLLWFACGVGGFEIICILLVLGLLRSTRPNSNEDMQGYVLAATGFKRFSYGELKKATRGFSEEIGRGAGGIVYKGVLADQRVAAIKMLNGADPGEAEFLGEANTIGRLNHMHLIEMWGFCSERKHRLLVYEYMEHGSLAQNLSSNVLDWKKRFEIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYQPKVADFGLSKLFNRGGLKNSSFSRIRGTRGYIAPEWVYNLPITSKVDVYSYGIVVLEMVTGKNPTMGVDAIDGEQRRLITWVREKVNGTTDIASRMGEIIDPSFEGNYDLKKMEILLEVALHCVEEDKDARPTMSQVVEMLLQLEKDESH >ONI35389 pep chromosome:Prunus_persica_NCBIv2:G1:43559126:43565214:-1 gene:PRUPE_1G532800 transcript:ONI35389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSDLVSMLESALGVSFGGSVTDSVVVIATTSVALILGVLVLVWRRSSDRSREVKQLAVPKPLVVKDEEDEFEVASGKTRVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVLDLDDYAEDDDKYEEKLKKETLAFFMLATYGDGEPTDNAARFYKWFTEGKDRGGWLQHLQYGVFALGNRQYEHFNKIGKVVDDQLSEEGAKRLIPVGLGDDDQCIEDDFSAWRESLWPELDQILRDEDDTNSVATPYTAVIPEYRVVIHDSTVVTSYEDNLLNMANGNASFDIHHPCRVNVAVQRELHKPESDRSCIHLEFDVSGTGITYETGDHVGVYADNCVETIEEAAKYLGQPLDLLFTLHTDSDDGTPLGSSLPPPFPGPCTLRTALAHYADLLNPPRKAALLALAAHAVEPSEAERLKFLSSSQGKVGNFHGNFLTWLCSFCICMFLFIFHIYSYHMFSTIQDEYSKWIVGSQRSLVEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRFAPHRVHVTCALVYGPTPTGRVHKGVCSFWMKNAVSLEKSHNSSWAPIFIRQSNFKLPADPSVPVIMVGPGTGFAPFRGFLQERMALKKEGAQLGPALLFFGCRNRRMDFIYEDELNNFVDEGVLSELIVAFSREGPTKEYVQHKMMDRAAHMWDLISQGGYFYVCGDAKGMARDVHRTLHTIVQEQEKVDSTKAEAIVKQLQMDGRYLRDVW >ONI35390 pep chromosome:Prunus_persica_NCBIv2:G1:43559126:43565214:-1 gene:PRUPE_1G532800 transcript:ONI35390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSDLVSMLESALGVSFGGSVTDSVVVIATTSVALILGVLVLVWRRSSDRSREVKQLAVPKPLVVKDEEDEFEVASGKTRVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVLDLDDYAEDDDKYEEKLKKETLAFFMLATYGDGEPTDNAARFYKWFTEGKDRGGWLQHLQYGVFALGNRQYEHFNKIGKVVDDQLSEEGAKRLIPVGLGDDDQCIEDDFSAWRESLWPELDQILRDEDDTNSVATPYTAVIPEYRVVIHDSTVVTSYEDNLLNMANGNASFDIHHPCRVNVAVQRELHKPESDRSCIHLEFDVSGTGITYETGDHVGVYADNCVETIEEAAKYLGQPLDLLFTLHTDSDDGTPLGSSLPPPFPGPCTLRTALAHYADLLNPPRKAALLALAAHAVEPSEAERLKFLSSSQGKDEYSKWIVGSQRSLVEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRFAPHRVHVTCALVYGPTPTGRVHKGVCSFWMKNAVSLEKSHNSSWAPIFIRQSNFKLPADPSVPVIMVGPGTGFAPFRGFLQERMALKKEGAQLGPALLFFGCRNRRMDFIYEDELNNFVDEGVLSELIVAFSREGPTKEYVQHKMMDRVSSTHVGPNISRGILLCMW >ONI35388 pep chromosome:Prunus_persica_NCBIv2:G1:43558793:43565431:-1 gene:PRUPE_1G532800 transcript:ONI35388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSDLVSMLESALGVSFGGSVTDSVVVIATTSVALILGVLVLVWRRSSDRSREVKQLAVPKPLVVKDEEDEFEVASGKTRVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVLDLDDYAEDDDKYEEKLKKETLAFFMLATYGDGEPTDNAARFYKWFTEGKDRGGWLQHLQYGVFALGNRQYEHFNKIGKVVDDQLSEEGAKRLIPVGLGDDDQCIEDDFSAWRESLWPELDQILRDEDDTNSVATPYTAVIPEYRVVIHDSTVVTSYEDNLLNMANGNASFDIHHPCRVNVAVQRELHKPESDRSCIHLEFDVSGTGITYETGDHVGVYADNCVETIEEAAKYLGQPLDLLFTLHTDSDDGTPLGSSLPPPFPGPCTLRTALAHYADLLNPPRKAALLALAAHAVEPSEAERLKFLSSSQGKDEYSKWIVGSQRSLVEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRFAPHRVHVTCALVYGPTPTGRVHKGVCSFWMKNAVSLEKSHNSSWAPIFIRQSNFKLPADPSVPVIMVGPGTGFAPFRGFLQERMALKKEGAQLGPALLFFGCRNRRMDFIYEDELNNFVDEGVLSELIVAFSREGPTKEYVQHKMMDRAAHMWDLISQGGYFYVCGDAKGMARDVHRTLHTIVQEQEKVDSTKAEAIVKQLQMDGRYLRDVW >ONI26945 pep chromosome:Prunus_persica_NCBIv2:G1:4070405:4070776:1 gene:PRUPE_1G057000 transcript:ONI26945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLIKNVLLPLMVLVLFRTTCGLSLHQPTQTVIATNDLGAEMNIHCKSKQDDLGSHLIPIKGKYEFSLRPNFCGTTQFYCSFQWGTEFHYSDICIDSRDFKFCDTNKCLWSIIPKGPCMWNY >ONI31498 pep chromosome:Prunus_persica_NCBIv2:G1:30528561:30531754:1 gene:PRUPE_1G316900 transcript:ONI31498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLVLVLLLLCNSHVLVGSLNDEGFALLSFKQSMTEDPEGSLSNWNSSDENPCTWNGITCKEQRVVSLSIPKKKLFGFLPSAMGSLSELRHVNLRNNKLYGSLPLELFEALGLQSLVLYGNSLSGSVPNVIGKLKYLQSLDLSQNLFNGSVPSSIVQCKRLKTIDLSQNNFTGFLPDGFGTGFVSLEKLDLSFNKFSGSIPSDMGNLSSLQGTVDLSHNLFSGAIPASLGNLPEKVYIDLTYNNLSGPIPQNGALMNRGPTAFIGNPRLCGPPLKNPCSSGTPGASPPSSIPFLPDNMPPQDSDDNAGKSGKSRGLSKRAVIAIIVSDIIGICLVGLLFSYCYSRIWAFSKVKDENGYGIDKGGKGRKECLCFRKDESETLSENMEQYDLVALDAQVAFDLDELLKASAFVLGKSGIGIVYKVVLEEGLTLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNVVTLRAYYWSVDEKLLIYDYIPNGSLATAIHGKPGMISFTPLSWSIRLKIMKGIAKGLVYLHEFSPKKYVHGDLKPNNILLGQDMEPHISDFGLGRLANIAGGSPSLQSNRMATEKSQERQQKSAPTEATVISPSSNLGSCYQAPEALKVVKPSQKWDVYSYGVILLEMITGRLPIVQVGSSEMDLVHWIQLCIDEKKPLLDVLDPNLMQDVDKEEEIIAVLKIAMACVHSSPERRPIMRHISDALDRLATSSV >ONI31497 pep chromosome:Prunus_persica_NCBIv2:G1:30528561:30531754:1 gene:PRUPE_1G316900 transcript:ONI31497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLVLVLLLLCNSHVLVGSLNDEGFALLSFKQSMTEDPEGSLSNWNSSDENPCTWNGITCKEQRVVSLSIPKKKLFGFLPSAMGSLSELRHVNLRNNKLYGSLPLELFEALGLQSLVLYGNSLSGSVPNVIGKLKYLQSLDLSQNLFNGSVPSSIVQCKRLKTIDLSQNNFTGFLPDGFGTGFVSLEKLDLSFNKFSGSIPSDMGNLSSLQGTVDLSHNLFSGAIPASLGNLPEKVYIDLTYNNLSGPIPQNGALMNRGPTAFIGNPRLCGPPLKNPCSSGTPGASPPSSIPFLPDNMPPQDSDDNAGKSGKSRGLSKRAVIAIIVSDIIGICLVGLLFSYCYSRIWAFSKVKDENGYGIDKGGKGRKECLCFRKDESETLSENMEQYDLVALDAQVAFDLDELLKASAFVLGKSGIGIVYKVVLEEGLTLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNVVTLRAYYWSVDEKLLIYDYIPNGSLATAIHGKPGMISFTPLSWSIRLKIMKGIAKGLVYLHEFSPKKYVHGDLKPNNILLGQDMEPHISDFGLGRLANIAGGSPSLQSNRMATEKSQERQQKSAPTEATVISPSSNLGSCYQAPEALKVVKPSQKWDVYSYGVILLEMITGRLPIVQVGSSEMDLVHWIQLCIDEKKPLLDVLDPNLMQDVDKEEEIIAVLKIAMACVHSSPERRPIMRHISDALDRLATSSV >ONI31496 pep chromosome:Prunus_persica_NCBIv2:G1:30528561:30532114:1 gene:PRUPE_1G316900 transcript:ONI31496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLVLVLLLLCNSHVLVGSLNDEGFALLSFKQSMTEDPEGSLSNWNSSDENPCTWNGITCKEQRVVSLSIPKKKLFGFLPSAMGSLSELRHVNLRNNKLYGSLPLELFEALGLQSLVLYGNSLSGSVPNVIGKLKYLQSLDLSQNLFNGSVPSSIVQCKRLKTIDLSQNNFTGFLPDGFGTGFVSLEKLDLSFNKFSGSIPSDMGNLSSLQGTVDLSHNLFSGAIPASLGNLPEKVYIDLTYNNLSGPIPQNGALMNRGPTAFIGNPRLCGPPLKNPCSSGTPGASPPSSIPFLPDNMPPQDSDDNAGKSGKSRGLSKRAVIAIIVSDIIGICLVGLLFSYCYSRIWAFSKVKDENGYGIDKGGKGRKECLCFRKDESETLSENMEQYDLVALDAQVAFDLDELLKASAFVLGKSGIGIVYKVVLEEGLTLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNVVTLRAYYWSVDEKLLIYDYIPNGSLATAIHGKPGMISFTPLSWSIRLKIMKGIAKGLVYLHEFSPKKYVHGDLKPNNILLGQDMEPHISDFGLGRLANIAGGSPSLQSNRMATEKSQERQQKSAPTEATVISPSSNLGSCYQAPEALKVVKPSQKWDVYSYGVILLEMITGRLPIVQVGSSEMDLVHWIQLCIDEKKPLLDVLDPNLMQDVDKEEEIIAVLKIAMACVHSSPERRPIMRHISDALDRLATSSV >ONI31969 pep chromosome:Prunus_persica_NCBIv2:G1:32115818:32117520:1 gene:PRUPE_1G341900 transcript:ONI31969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSFSFTSHANVAFAMLLLFFIMSTASQAQLSSTFYDRTCPNALATIKSSISRAVSQERRMAASLMRLHFHDCFVQGCDASLLLNDSASIVSEKNAFQNRGSARGFEVIDDAKAQVEKICPGVVSCADILAVAARDASVAVSGPSWTVKLGRRDATRASQAQAESDLPLFTDSLDRLISRFGDRGLSPRDLVALSGAHTIGQAQCFTFRDRIYNNGSDIDSNFATTRRRRCPAAAPNGNTNLAPLDLVTPNSFDYNYFKNLIQKKGLLESDQVLFSGGSTDSIVSEYSSKPATFRSDFGIAMIKMGDVSPLTGSAGEIRRICTAVN >ONI28065 pep chromosome:Prunus_persica_NCBIv2:G1:9468904:9469393:-1 gene:PRUPE_1G120500 transcript:ONI28065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGAKDKRLRVKGPVRMLTKILHITTKKSTCGEGMFQFIEVQFLCFCILKGYDRFELRVHKRVIDLFSSPDVIKQITSVTIEPSVEVEVTIADS >ONI28256 pep chromosome:Prunus_persica_NCBIv2:G1:10632045:10633385:1 gene:PRUPE_1G134500 transcript:ONI28256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQERQAGTAPHGILLAVVVVAVIVVPFFLGDQGEAITEGISELLSPVGLLLLPIILLLAIQFLSSDRGSFVSSMFSNGEPDTIHRVSGSPVGVALFLVLILFLLYNRISIFGGGDDDE >ONI33670 pep chromosome:Prunus_persica_NCBIv2:G1:37393010:37395438:-1 gene:PRUPE_1G439900 transcript:ONI33670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTKSIPLQPPTYGNLITVLSIDGGGIRGLIPGTILAFLESELQKLDGEDARLADYFDVIAGTSTGGLVTAMLTAPDENNRPLFAANDIKEFYLNECPKIFPQNTCPLFPHVTKIIKALTGPKYNGKYLHSLVRQKLGDKKLHQTLTNVVIPTFDIRNLQPTIFSSFEVNHKPCFDALLSDICIGTSAAPTYLPAHYFETKDGEGNVKEFNLIDGGVAANNPTLLAIGEVTKAIIKGSSDFFPIKPMDYGRFLVISLGTGSPKDEVKYNAHDAAKWGMLNWLTSGGSTPIINVFSYSSADMVDLNLSVVFQALHSEKNYLRIQDDKLQGDVASVDVATEKNLDSLVKVGEGLLKQPVSRVNLETGKFEACNHETNEEALTRFAKLLSEEKWLRLARSPQGHTPKPN >ONI33671 pep chromosome:Prunus_persica_NCBIv2:G1:37393010:37394998:-1 gene:PRUPE_1G439900 transcript:ONI33671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPDENNRPLFAANDIKEFYLNECPKIFPQNTCPLFPHVTKIIKALTGPKYNGKYLHSLVRQKLGDKKLHQTLTNVVIPTFDIRNLQPTIFSSFEVNHKPCFDALLSDICIGTSAAPTYLPAHYFETKDGEGNVKEFNLIDGGVAANNPTLLAIGEVTKAIIKGSSDFFPIKPMDYGRFLVISLGTGSPKDEVKYNAHDAAKWGMLNWLTSGGSTPIINVFSYSSADMVDLNLSVVFQALHSEKNYLRIQDDKLQGDVASVDVATEKNLDSLVKVGEGLLKQPVSRVNLETGKFEACNHETNEEALTRFAKLLSEEKWLRLARSPQGHTPKPN >ONI28599 pep chromosome:Prunus_persica_NCBIv2:G1:11802242:11802379:1 gene:PRUPE_1G150500 transcript:ONI28599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRMSSVLTLKQLSWDKCSRLMREQRTRLYIMWRCTVILLCWDE >ONI28682 pep chromosome:Prunus_persica_NCBIv2:G1:12211182:12213416:-1 gene:PRUPE_1G154800 transcript:ONI28682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >ONI28680 pep chromosome:Prunus_persica_NCBIv2:G1:12212645:12213082:-1 gene:PRUPE_1G154800 transcript:ONI28680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >ONI28681 pep chromosome:Prunus_persica_NCBIv2:G1:12211182:12213238:-1 gene:PRUPE_1G154800 transcript:ONI28681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >ONI29931 pep chromosome:Prunus_persica_NCBIv2:G1:23692229:23693984:-1 gene:PRUPE_1G223100 transcript:ONI29931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTPKATIKKKNPTFGNLEETSDENLYGKIVYNEQEAYDLYNEYGASIGLSIRRKQKRYDKYGVVRQLNFVCSKEGFREDSDPCKEKAIDRLETRTGCKAKIRFLLEGDVWKFSTFNPDHNHKLARLDERQFLQSNRDAQSLINLFKHKQVEDPVFFYTMQIDQENRMTNLFGGMDAMANAISTVFLGTCHFLCTWHISRNATLKLASSYAISEFKRLFNKCLEGGETKFKFECTWNEMISEFDLADNTWLKTLYQLREKWCPVFSLDTFTVRIKASQRSQSMNNVFHHMCTKTMRLTEFVHHYDKQTHGMRSRELEETFRHNQGLPSRAAIKSGLLLHAANIYTRKKNIYLRLSCKMFESMGLLCRHALRVLNVKEVTKIPSQYILKRWTKEAKKG >ONI28430 pep chromosome:Prunus_persica_NCBIv2:G1:11164957:11165142:-1 gene:PRUPE_1G142200 transcript:ONI28430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGHLDPGPMVEETAKAKVWKLGECGSRRSKSVGGGGQPASYRLNWMRWVAGQTIHCLVG >ONI28429 pep chromosome:Prunus_persica_NCBIv2:G1:11164900:11166082:-1 gene:PRUPE_1G142200 transcript:ONI28429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGHLDPGPMVEETAKAKVWKLGECGSRRSKSVGGGGQPASYRLNWMRWVAGQTIHCLVG >ONI29441 pep chromosome:Prunus_persica_NCBIv2:G1:19022560:19028491:-1 gene:PRUPE_1G198300 transcript:ONI29441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMISKASCAMASFPCSKGRSGLCVWPGMRQLCLRKGLLYGFMQLLSTPLKTLRGGASRSLRVAKFCSVVNMSPSLHIELVPCLRDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSKKNRNLTYILNTHHHHDHTGGNAELKARYGAKVIGSGIDKDRIPGIDIVLNDGDKWMFAGHEVHVMETPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGTPEQMQSSLSKIMSLPDDTDIYCGHEYTLSNSKFALSIEPENEALQSYAAHVTHLRNKGMPTVPTTLKLEKACNPFLRTSSIEIRQSLNIPATANDAEALGVIRQAKDTF >ONI29442 pep chromosome:Prunus_persica_NCBIv2:G1:19022872:19028355:-1 gene:PRUPE_1G198300 transcript:ONI29442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMISKASCAMASFPCSKGRSGLCVWPGMRQLCLRKGLLYGFMQLLSTPLKTLRGGASRSLRVAKFCSVVNMSPSLHIELVPCLRDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSKKNRNLTYILNTHHHHDHTGGNAELKARYGAKVIGSGIDKDRIPGIDIVLNDGDKWMFAGHEVHVMETPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGTPEQMQSSLSKIMSLPDDTDIYCGHEYTLNLRMRHFSPMQHMSPIFATRACLRFQPH >ONI32904 pep chromosome:Prunus_persica_NCBIv2:G1:34974805:34976767:1 gene:PRUPE_1G392900 transcript:ONI32904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERTVLFGKYEMGRLLGKGTFAKVYYGRQIASNESVAIKVISKDQVKKEGMMEQIKREIEVMRLVRHPNIVELKEVMATKTKIFFVMEYVKGGELFAKVAKGKLKEDQARKYFQQLISAVDFCHSRGVSHRDLKPENLLVDENEDLKISDFGLSALPEQLRNDGMLHTQCGTPAYVAPEVLRKKGYDGSKADIWSCGVVLFVLLAGFLPFQDENLMKMYRKVFKAEFECPPWFSTEAKRLVSKLLVSDPEKRITIPAIMRVPWFRKGFTRPVAFSMHQELVCEKSEEEEVQSDVQKASSNKSSSPKFLNAFEFISSMSSGFDLSNLFESKRKAGSMFTSKCSATAIMAKIELVAKTLSFKVAKVKDFKIRLQGPNEGRKGLLSVTAEVFEVAPEVAVVEFSKSAGDTLEYAKFCDEDVRPALKDIVWTWQGDGDGDGDGNGDGKAEGDQQDS >ONI33941 pep chromosome:Prunus_persica_NCBIv2:G1:38207406:38210365:1 gene:PRUPE_1G454900 transcript:ONI33941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEQKEELPPGFRFHPTDEELITFYLINKITDATFTGRAIADVDLNKCEPWELPAKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSEMVGMKKTLVFYRGRAPRGEKSNWVMHEYRIHSKSAFRTSKDEWVVCRVFQKSAGIKKYPTTQSRAANPYNLEIGPSVVPSPMMQIGDHQFPYGRNYMTSAELAEISRVLRNGGSGGGGSSNGTINVPIQPQFNYPNLGGGGGGFTISGLNLNLGAPATQPMLRPMPPPQLHHQGPPAMNPHQQDVMSNGANNNSLGPDHQAGYGAIDMNNNNANGNGNRFMNMEHCVDLDTYWPPY >ONI33942 pep chromosome:Prunus_persica_NCBIv2:G1:38207589:38210365:1 gene:PRUPE_1G454900 transcript:ONI33942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEQKEELPPGFRFHPTDEELITFYLINKITDATFTGRAIADVDLNKCEPWELPAKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSEMVGMKKTLVFYRGRAPRGEKSNWVMHEYRIHSKSAFRTSKDEWVVCRVFQKSAGIKKYPTTQSRAANPYNLEIGPSVVPSPMMQIGDHQFPYGRNYMTSAELAEISRVLRNGGSGGGGSSNGTINVPIQPQFNYPNLGGGGGGFTISGLNLNLGAPATQPMLRPMPPPQLHHQGPPAMNPHQQDVMSNGANNNSLGPDHQAGYGAIDMNNNNANGNGNRFMNMEHCVDLDTYWPPY >ONI33940 pep chromosome:Prunus_persica_NCBIv2:G1:38207589:38210365:1 gene:PRUPE_1G454900 transcript:ONI33940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEQKEELPPGFRFHPTDEELITFYLINKITDATFTGRAIADVDLNKCEPWELPAKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSEMVGMKKTLVFYRGRAPRGEKSNWVMHEYRIHSKSAFRTSKDEWVVCRVFQKSAGIKKYPTTQSRAANPYNLEIGPSVVPSPMMQIGDHQFPYGRNYMTSAELAEISRVLRNGGSGGGGSSNGTINVPIQPQFNYPNLGGGGGGFTISGLNLNLGAPATQPMLRPMPPPQLHHQGPPAMNPHQQDVMSNGANNNSLGPDHQAGYGAIDMNNNNANGNGNRFMNMEHCVDLDTYWPPY >ONI35212 pep chromosome:Prunus_persica_NCBIv2:G1:42834285:42834914:-1 gene:PRUPE_1G522900 transcript:ONI35212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLILGLGRAFRRKRTSSLDILSSKRAPRDYYKGKNCKPIGFHTRKAKYVVQQEKLPNYVVPDLTDFKLKPYVSQCPREFKTSESADAIK >ONI35213 pep chromosome:Prunus_persica_NCBIv2:G1:42834027:42835978:-1 gene:PRUPE_1G522900 transcript:ONI35213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLILGLGRAFRRKRTSSLDILSSKRAPRDYYKGKNCKPIGFHTRKAKYVVQQEKLPNYVVPDLTDFKLKPYVSQCPREFKTSESADAIK >ONI31435 pep chromosome:Prunus_persica_NCBIv2:G1:30322810:30323556:1 gene:PRUPE_1G312800 transcript:ONI31435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSWILILEFMDNGYLDLWLWFGYVETRTPLTGCYRRPLPGSLLCVSGSPVPRLDGSSLATGLLRIPPCGSAKRSPCWIVFPQYMYTIICTSPVTGNLDRDTWLCPGHPWWGVTEFLCWAVCACMTDI >ONI33354 pep chromosome:Prunus_persica_NCBIv2:G1:36291419:36291916:1 gene:PRUPE_1G418800 transcript:ONI33354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAVSGKYKINKLIKIRTWNDCRHNINYTFSPVLYTNNSHEGTHVKNEQPLGHGFWLTYGHSTCFKFQAQYARTFTLNHFLKQANYSLP >ONI28061 pep chromosome:Prunus_persica_NCBIv2:G1:9414529:9415136:1 gene:PRUPE_1G120100 transcript:ONI28061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNFPDDRQPPQNLGKVPKVQIQKQEGSITVNVRGNDIQAPNAKDIGVSNFNNITDHTGHGGEGCTAVTNREYNFDNNKIVGPGSERVGIHNFNNRTLLKNSNDEDDACSSGMVE >ONI26665 pep chromosome:Prunus_persica_NCBIv2:G1:2713491:2717273:1 gene:PRUPE_1G038500 transcript:ONI26665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGVLDSIINRLLEVRGRPGKQVQLQEAEIRQLCFVSKDIFLRQPNLLELDAPIKICGDVHGQYSDLLRLFEFGGLPSHSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRLWKVFTECFNCLPVAALIDEKILCMHGGLSPELNNLNQIKSLQRPTDVPDSGLLCDLLWSDPSKDIQGWGPNERGVSFTFGADRVTEFLRKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPAEKKSKFGFGSRASAKPGTPMKVKSFLGAVA >ONI26666 pep chromosome:Prunus_persica_NCBIv2:G1:2714011:2716785:1 gene:PRUPE_1G038500 transcript:ONI26666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGVLDSIINRLLEVRGRPGKQVQLQEAEIRQLCFVSKDIFLRQPNLLELDAPIKICGDVHGQYSDLLRLFEFGGLPSHSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRLWKVFTECFNCLPVAALIDEKILCMHGGLSPELNNLNQIKSLQRPTDVPDSGLLCDLLWSDPSKDIQGWGPNERGVSFTFGADRVTEFLRKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPAEKKSKFGFGSRASAKPGTPMKVKVCSSVSPNL >ONI26664 pep chromosome:Prunus_persica_NCBIv2:G1:2713121:2717273:1 gene:PRUPE_1G038500 transcript:ONI26664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPRLTAVLRGEMEPGVLDSIINRLLEVRGRPGKQVQLQEAEIRQLCFVSKDIFLRQPNLLELDAPIKICGDVHGQYSDLLRLFEFGGLPSHSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRLWKVFTECFNCLPVAALIDEKILCMHGGLSPELNNLNQIKSLQRPTDVPDSGLLCDLLWSDPSKDIQGWGPNERGVSFTFGADRVTEFLRKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPAEKKSKFGFGSRASAKPGTPMKVKSFLGAVA >ONI26663 pep chromosome:Prunus_persica_NCBIv2:G1:2712810:2717301:1 gene:PRUPE_1G038500 transcript:ONI26663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPRLTAVLRLGNLGTKISHITCCIRATTTAKTPRNVNKNAHNVSRYTVAIKPLKNKWEREKRGEMEPGVLDSIINRLLEVRGRPGKQVQLQEAEIRQLCFVSKDIFLRQPNLLELDAPIKICGDVHGQYSDLLRLFEFGGLPSHSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRLWKVFTECFNCLPVAALIDEKILCMHGGLSPELNNLNQIKSLQRPTDVPDSGLLCDLLWSDPSKDIQGWGPNERGVSFTFGADRVTEFLRKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPAEKKSKFGFGSRASAKPGTPMKVKSFLGAVA >ONI27263 pep chromosome:Prunus_persica_NCBIv2:G1:5548713:5551827:1 gene:PRUPE_1G076700 transcript:ONI27263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPSLFDKMINHLRATSKYYTGYPKDLGPSRIIHFTSEREFVQLLHEGYPVVVAFTLRCNLTRHLDSVLEEAAAEFHPHVKFMRVECPKYPGFCITRQRKEYPFIEIFHSPQQTLNQGRVADPNITKYAVKVLPFNYDTSAYGFREFFKRHGIQVSNPQ >ONI30904 pep chromosome:Prunus_persica_NCBIv2:G1:28413614:28417586:1 gene:PRUPE_1G280600 transcript:ONI30904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCVSKPHATIKAQQKRVRRVARRRGRKMKKVVTSNSDAATRRSVSEFVHLDFEKGAATTCKRSEVSSGTFHLTQLQWNHSQIDGNGGKCPGEAWFDSLSIMESDSEDDFCSVHGDGFPLAGNIPNGQLVQYESASCIVDSGCKYEGFYESYLKIDGNARHSVEKTQVSFNDKNPKQPAVIMLSYKRKSIDESEKTGASDHKYLFRPRAGLRIPCSTDEKPSPGSWSAVPPSVFKLRGENYFKDKQKYPAPNYSSYVPIGVDLFICPRKRDHIAQHLELPSVKPHDKVPSLLIVNIQLPTYPTTMFLGDCDGEGMSLVLYFKVNENFDKEISAQFQDSIRGPNYFEIDLDIHRFSYISRKGLESFRERLTNGVLDLGLTIQAQKQEELPEQVLCCLRLNKMEFVNHGQIPTLVTMDD >ONI30901 pep chromosome:Prunus_persica_NCBIv2:G1:28413614:28417586:1 gene:PRUPE_1G280600 transcript:ONI30901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCVSKPHATIKAQQKRVRRVARRRGRKMKKVVTSNSDAATRRSVSEFVHLDFEKGAATTCKRSEVSSGTFHLTQLQWNHSQIDGNGGKCPGEAWFDSLSIMESDSEDDFCSVHGDGFPLAGNIPNGQLVQYESASCIVDSGCKYEGFYESYLKIDGNARHSVEKTQVSFNDKNPKQPAVIMLSYKRKSIDESEKTGASDHKYLFRPRAGLRIPCSTDEKPSPGSWSAVPPSVFKLRGENYFKDKQKYPAPNYSSYVPIGVDLFICPRKRDHIAQHLELPSVKPHDKVPSLLIVNIQLPTYPTTMFLGDCDGEGMSLVLYFKVNENFDKEISAQFQDSIRKFVEDETEKVKGFAKDSVVPFRERLKIMAGLVNPDDLQLSSAERKLLSAYNDKPVLSRPQHNFYRGPNYFEIDLDIHRFSYISRKGLESFRERLTNGVLDLGLTIQAQKQEELPEQVLCCLRLNKMEFVNHGQIPTLVTMDD >ONI30902 pep chromosome:Prunus_persica_NCBIv2:G1:28413585:28417586:1 gene:PRUPE_1G280600 transcript:ONI30902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCVSKPHATIKAQQKRVRRVARRRGRKMKKVVTSNSDAATRRSVSEFVHLDFEKGAATTCKRSEVSSGTFHLTQLQWNHSQIDGNGGKCPGEAWFDSLSIMESDSEDDFCSVHGDGFPLAGNIPNGQLVQYESASCIVDSGCKYEGFYESYLKIDGNARHSVEKTQVSFNDKNPKQPAVIMLSYKRKSIDESEKTGASDHKYLFRPRAGLRIPCSTDEKPSPGSWSAVPPSVFKLRGENYFKDKQKYPAPNYSSYVPIGVDLFICPRKRDHIAQHLELPSVKPHDKVPSLLIVNIQLPTYPTTMFLGDCDGEGMSLVLYFKVNENFDKEISAQFQDSIRKFVEDETEKVKGFAKDSVVPFRERLKIMAGLVNPDDLQLSSAERKLLSAYNDKPVLSRPQHNFYRGPNYFEIDLDIHRFSYISRKGLESFRERLTNGVLDLGLTIQAQKQEELPEQVLCCLRLNKMEFVNHGQIPTLVTMDD >ONI30903 pep chromosome:Prunus_persica_NCBIv2:G1:28413434:28417586:1 gene:PRUPE_1G280600 transcript:ONI30903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCVSKPHATIKAQQKRVRRVARRRGRKMKKVVTSNSDAATRRSVSEFVHLDFEKGAATTCKRSEVSSGTFHLTQLQWNHSQIDGNGGKCPGEAWFDSLSIMESDSEDDFCSVHGDGFPLAGNIPNGQLVQYESASCIVDSGCKYEGFYESYLKIDGNARHSVEKTQVSFNDKNPKQPAVIMLSYKRKSIDESEKTGASDHKYLFRPRAGLRIPCSTDEKPSPGSWSAVPPSVFKLRGENYFKDKQKYPAPNYSSYVPIGVDLFICPRKRDHIAQHLELPSVKPHDKVPSLLIVNIQLPTYPTTMFLGDCDGEGMSLVLYFKVNENFDKEISAQFQDSIRKFVEDETEKVKGFAKDSVVPFRERLKIMAGLVNPDDLQLSSAERKLLSAYNDKPVLSRPQHNFYRGPNYFEIDLDIHRFSYISRKGLESFRERLTNGVLDLGLTIQAQKQEELPEQVLCCLRLNKMEFVNHGQIPTLVTMDD >ONI34553 pep chromosome:Prunus_persica_NCBIv2:G1:40599448:40600342:1 gene:PRUPE_1G487300 transcript:ONI34553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSSILQQTLIHNHLWLWSHLVIWTFSFFGSTEPTGSSQIQEREEDAEWTMESNIIFFTDLLFAGITDAISGFSQHVVVLLFPKTTPSIAVEFFFFIAFFFGSVLIAAISSLGLLCFTTNFWALV >ONI34805 pep chromosome:Prunus_persica_NCBIv2:G1:41400985:41406190:1 gene:PRUPE_1G500100 transcript:ONI34805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSKLYADDVSLLMVLLDTNPFFWSSSSLPFSVFLSHVLTFLNSILLLNQLNQVVVIATGYNSCSYIYDSSTSTNQGSDNGRMPARCVNLLQKLEEFVIEDEQLIKEGLREGIASSLLSGSLSMALCYIQRVFRSGPLHPQPRILCLQGSSDGPEQYVAIMNAIFSAQRSVVPIDSCYMGSSNSAFLQQASYITGGVYLKPQQPNGLFQYLSVFLP >ONI34806 pep chromosome:Prunus_persica_NCBIv2:G1:41400258:41406189:1 gene:PRUPE_1G500100 transcript:ONI34806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLDTNPFFWSSSSLPFSVFLSHVLTFLNSILLLNQLNQVVVIATGYNSCSYIYDSSTSTNQGSDNGRMPARCVNLLQKLEEFVIEDEQLIKEGLREGIASSLLSGSLSMALCYIQRVFRSGPLHPQPRILCLQGSSDGPEQYVAIMNAIFSAQRSVVPIDSCYMGSSNSAFLQQASYITGGVYLKPQQPNGLFQYLSTVFATDLHSRAFLQLPKSLGVDFRASCFCHKKTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSDVNSTSNKKRKTPET >ONI34804 pep chromosome:Prunus_persica_NCBIv2:G1:41399896:41406230:1 gene:PRUPE_1G500100 transcript:ONI34804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSKLYADDVSLLMVLLDTNPFFWSSSSLPFSVFLSHVLTFLNSILLLNQLNQVVVIATGYNSCSYIYDSSTSTNQGSDNGRMPARCVNLLQKLEEFVIEDEQLIKEGLREGIASSLLSGSLSMALCYIQRVFRSGPLHPQPRILCLQGSSDGPEQYVAIMNAIFSAQRSVVPIDSCYMGSSNSAFLQQASYITGGVYLKPQQPNGLFQYLSTVFATDLHSRAFLQLPKSLGVDFRASCFCHKKTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSDVNSTSNKKRKTPET >ONI36211 pep chromosome:Prunus_persica_NCBIv2:G1:46864098:46866573:-1 gene:PRUPE_1G575300 transcript:ONI36211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESKSISTSHANKVVYHNLTSHLNPSLLNLKNLHYLDLSNNDFEGRQIPNFFGSLASLRHLDLSQADFQGIIPPQLGNLSNLCYLDLHDNYFEVKNLQWISDLSLLQHLDMSVLAILYGEISSSIGNLTNIVNLDLYGNQLEGQIPNSLGNLCKLTVLDLSRNHVRGRVSEILESLSWCNSSQLESLSLSSNNLSGHLTEELGKFRALTVLDLSSNSISGPIPMSLGNLSFLEQLRIHNNSFTGVVSEVHFANLTRLVQLYANKNSLTLKASRDWLPPFLLQILFLDSWDLGPELPMWLQRHTQLQYLSISDTRISGTIPTRFWNFSSQLRFVDLSQNQLYGEVPNILGAPLDVIDLSSNNFSGSLPLVSSTVDVLDLSNSSFSGSIFHFFCDSMDRPKQLRILYLENNNLAGEIPEILIVLNLDNISFIGNIPISIGHLLFLQSLHLRNNHLSGENKFTGSIQTWIGESNSNLIILSLRSTMLHGDIPHELCNLVNLQILDLAHNNLSGTIPRCFNSFSAMVSLSNSGGPISFFSYTYGSSEKYMENAILVTKGRELKYGKFLTLVTSLDLSDNMISGEIPQEVTSLTSLLFLNLSRNHLTGKIPSKIGDMGSLESLDLSMNHLSGEIPPSMSTLTFLADLNLSYNNLTGQIPKSTQIQGFDQSRFIGNKLCGLPLNESCKANWVIPPVAVKKHRGSHLVEDGWFYLSLGLGFMFGFWSVLGSLLLNFPWSFAFSQLLNSIVQRLNG >ONI29666 pep chromosome:Prunus_persica_NCBIv2:G1:22214942:22215962:1 gene:PRUPE_1G208000 transcript:ONI29666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWKKTITSPFRKACTFFNQQPGRDQKKSQLQGNESSVMALHGEVMACSYEDVQVMWSILDKSKPSACNITAS >ONI28299 pep chromosome:Prunus_persica_NCBIv2:G1:10727915:10737069:-1 gene:PRUPE_1G136600 transcript:ONI28299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLKGEEAQKQEFVLASVSELASSSSSSSLTPAVARFSAEDGVGELRFQQEAESDARVNVDLQTAQLFKLGPVQSVCISEGSDTGTEKLFSRGVTIHFKNEEESGAFHNAFEQWKKDFIVQGKKLPNGEISSSKSKFDDKIEPSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRVDFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLINERMLETYVIARDRFLQPNGKMFPTLGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLQPLYASAFQGYFSQPVVDAFDPRLLVAPSMSHVIDFTKINEEDLYEFDIPLRFVAAVGTRVHGLACWFDVLFDGSTVQRWLTTAPGAPTTHWYQLRCVLSQPIYVMAGQEITGRLHMIAHNAQSYTIYLTLAAKMWGPGAEQGGIIQESSCKLDLKEPYYRMSQPQAYTITQDQQPHQQIQAQDIPIHSQDFEDSEFIPQPSPSSGVKMTLADFARSTGSLKDTL >ONI28300 pep chromosome:Prunus_persica_NCBIv2:G1:10728794:10736814:-1 gene:PRUPE_1G136600 transcript:ONI28300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLKGEEAQKQEFVLASVSELASSSSSSSLTPAVARFSAEDGVGELRFQQEAESDARVNVDLQTAQLFKLGPVQSVCISEGSDTGTEKLFSRGVTIHFKNEEESGAFHNAFEQWKKDFIVQGKKLPNGEISSSKSKFDDKIEPSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRVDFTGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLINERMLETYVIARDRFLQPNGKMFPTLGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLQPLYASAFQGYFSQPVVDAFDPRLLVAPSMSHVIDFTKINEEDLYEFDIPLRFVAAVGTRVHGLACWFDVLFDGSTVQRWLTTAPGAPTTHWYQLRCVLSQPIYVMAGQEITGRLHMIAHNAQSYTIYLTLAAKMWGPGAEQGGIIQESSCKLDLKEPYYRMSQPQAYTITQDQQPHQQIQAQDL >ONI33375 pep chromosome:Prunus_persica_NCBIv2:G1:36350902:36352283:-1 gene:PRUPE_1G420200 transcript:ONI33375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLVTKGLNFSRRRKRWLFALAALGVSGYGAYRVYNLPSVVKKRKRFVKLLGALISVAEMVSDSAETIGIVSKDLKEFLRSDSDEMPQSLVQISKIARSEEFSGSLSKLTEAMTVGIVRGCKLEMENQSESETGLGNSSFSDRVLEKVFSTAGTGFVSVVVGSFARNLIRGFYSNDQSVDNSSSSRSSLSDVPRWVNVVCSDRSKELMANCIQVFVSTAVAVYLDKTKDINFIDEMFDGLTNSKHQNKVRDVLVSLCNGAVETVVRTSHQVLTSSSSNSCSFSIVDQNEGLSGTTDQCLEQEVSAGQPKEGTSLDVIQSSGWVGKVSSTLAVPSNRKFVLDVTGRVTFETVRSLVEFMLWTVLDGLKRSVNVLHGEVEDRGLQVIRYFSGKSSVIVTICLVLHLYILSGTRVLFTA >ONI27705 pep chromosome:Prunus_persica_NCBIv2:G1:8005516:8006416:-1 gene:PRUPE_1G100500 transcript:ONI27705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFAFFYLLLLLSSTLFITIRAARPYEQPNQPKPSGGISVDLVSSVLSDKGYHAMSLTLEAVLEALTPTHFINHNTTLTLFCPQDQAFLNSKYPRPPLTLLRYHVVPFKIDKDTMEATFHHGSMVDTLLPGHPLVVTSPTGTGRYASLNLVKVTEWDLYNDGRLIIHGVEDFFDPAFQILRYPIYDVINIQENPREGFSMLKDALEIISLFILLALVAVFTYVLIRSFIFPQKPRDGEYALLY >ONI31753 pep chromosome:Prunus_persica_NCBIv2:G1:31221942:31223208:1 gene:PRUPE_1G328900 transcript:ONI31753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDIPSLSFLCAGIHLVSSLHQSNYTWSPYKVRAYLQRQWKQILSGRVSLQDFVSAKEVRLGTYCECIYFSVLISLLFVMYCLLT >ONI29232 pep chromosome:Prunus_persica_NCBIv2:G1:17363361:17366572:1 gene:PRUPE_1G188700 transcript:ONI29232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRRSSRRMDIVQITLFLWYMSAVGSEETSLAKPNCSSRCGSVTQIPYPFGIEAGCYLDDWFQIICDNSASPPKAFLNVTGLEVLEISVEGTLKVESPITFSNCSNKPVGRQTPNLEGSPFVFSMKNRFTSLSCGEIALMTSLDGSTIAGCLSICDDSSTSYLRTKSCIGMNCCQTTITPYLRSFNTSFGVVLNADRKACKYAFLVEHDWFTSNSTNVSAIGEMDYVPMVLEWHVLDLNYTKFDIYGTNNWRDDKSTDCSSSQCFCSKGHHGNPYLLHGCQDINECDDPNRCGSGICVNYPGGFKCQLPDQRSSRVTLAIIDWTFPSLVACRENLEGVMRFSASFSNDNNIEKKRDTQNKNIWWAHKVIKKRKDIKRKEKFFRQNGGLVLEQQLSSGELNVEKVKLFNCKELEKATDHFNADRVIGQGGQGTVYKGMLADGRIVAVKKPKIVEGGEVGQFINEIVILSQISHRNVVKLLGCCLETEVPLLVYEFIPNGTLFQYIHHQNEEFPLTWETRLRVSIEVAGALSYLHSAASFPIYHRDVKSSNILLDEKYRAKVADFGTSRSISIDQTHLTTLVRGTFGYLDPEYFQSSQFTEKSDVYSFGVILAELLTGQKPVSFMRSPESRCLATYFLLSMEDNNLFAILDAQVMKDGGKDEIVAVAILAKKCLNLNGRKRPTMKEVAVELEGIQLSVKASDVKQKFAEVEYDEGQITEPWDVSSLSIGSCMDSGTSSSFFESKRDSIYNPIIHTKRRA >ONI30126 pep chromosome:Prunus_persica_NCBIv2:G1:24720691:24723411:-1 gene:PRUPE_1G232500 transcript:ONI30126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFHIRQMEVSKPNLDTSISNSDSQTQTQMANKADEQTTISNSSSAVIDPNSGFCSTTKIFHSLRPKAPLPPQTTPLSVTHYILSHLQHSPPPPSTPALLDAAAGLRVLYPEFTRRVQSLAFSLQSQLGLSHGDCAFILSPNSLHVPVLYLSLFSLGVIVSPSNPASSIPDLSRQIRICKPAVAFATSATAHKIPNTLRLGTVLLDSAEFESMMTSPTAAQPRRVQVSQSDTATILYSSGTTGRVKGVALTHRNWISTLAGVYAVRSAAAASPQASVALCTVPFFHVYGIAFCLRVLAVGDTLASISGRFDLEAMMRAIELFRITHVAWAPPVAVAVVKRGDGNETGGYDLSSLQVIACGGAPLAKSVIDKLKKRLPNVQVAQGYGLTETTARVFGAVGPEETRVEGANGKLMPNIEAKIVEAASETGIGLPPLMQGEIWIRGPCIMKGYVGDDEATAAALDSEGWLKTGDLCYIDNDGYLFFVDRIKELIKYKAYQVAPAELEHLLQSHPDIVDAAVIPYPDEEAGQVPMAFVVRSSGSFVDESQVKDFIAKQVAPYKKIRRVAFINSIPKSAQGKVMRKELIKLALSKL >ONI26982 pep chromosome:Prunus_persica_NCBIv2:G1:4264484:4264809:1 gene:PRUPE_1G060500 transcript:ONI26982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGYFCIIAKKLIILLVVIAMVFSSLGMADLQCRAKCEDQPDCNAFCQRIGFKGGDCQPPLYQFCCCET >ONI27604 pep chromosome:Prunus_persica_NCBIv2:G1:7568107:7569057:-1 gene:PRUPE_1G095700 transcript:ONI27604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHMLLDFLRMVRDVSKLFPKNLKLMSSITLLIIFLDSILMVFLKICIKVLSTKAKSLQVNNTTTSAELTNILFTMTDYLRLYAGLAFIFICAFSFISLFFITASIIGSAVTYCRKDLSTKELLLRAVKSMKRTVVSWLYIRLLDLGYGLLVALLLLLLVLIFNITFTILAFSMILLVVALFFRTYLDVVWNLALVVSVLEDICGIEALGKAARLVKGSKLRGFFLNLFVAFSMSMFNGLVTIGTVAFPENARMIPSLFSYSITCLITMFLYMSYTVLYYECKKTHGEEVERKLSTEYTKVAFTESTPLLSADAP >ONI32270 pep chromosome:Prunus_persica_NCBIv2:G1:33081198:33086836:1 gene:PRUPE_1G357400 transcript:ONI32270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQMHFAIIWDQRACLLSFFTITVNVEFSSWRFNMFGETYGGCLIRQQGFEIDSFSLEVSIPPIVLENDHTVWLYMKRKYESELWFQGKSISECISAKDALKISLMESLETMLGCKSNMSAFRIRLTYTHRISSKTVENSVEGCHGGKRRKTGAGNGLNTIDDDIKCSGPPIEAGAVVEGSFVSLQANELSECLKLPLGQSNEPCCLEFLCYRTQIYVGGRYLKYSRNVSQTCWIIDDERMGEASVEEILGSNILPLCRGDNYKFHAAGREDIDVRMLGSGRPFLLEIQNARNIPSEESVKFLETKINNLEKKLVGVKNLKLVGSQSWELMREGEAEKQKQYTALVWISRPLKDEDLQSISSLEDMHILQRTPIRVLHRRSPLEREKIIHWMRIERIVGSSQYFLLHLCTQAGTYIKEFIHGDLGRTQPSIGSILTCRAEILRLDVTDVKMECFLTE >ONI32269 pep chromosome:Prunus_persica_NCBIv2:G1:33081198:33086836:1 gene:PRUPE_1G357400 transcript:ONI32269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDTEEASQPTVNGDNVRALCDVVRELPIRAVIDLLSIGVCTRCILRLFGIREHVYYRSSLSPSMLSSVLGDSTCSEKHMVDGNFRELVVCSICLGILQFTYWEDKKLVTEKESANELAVSISSLIRQQGFEIDSFSLEVSIPPIVLENDHTVWLYMKRKYESELWFQGKSISECISAKDALKISLMESLETMLGCKSNMSAFRIRLTYTHRISSKTVENSVEGCHGGKRRKTGAGNGLNTIDDDIKCSGPPIEAGAVVEGSFVSLQANELSECLKLPLGQSNEPCCLEFLCYRTQIYVGGRYLKYSRNVSQTCWIIDDERMGEASVEEILGSNILPLCRGDNYKFHAAGREDIDVRMLGSGRPFLLEIQNARNIPSEESVKFLETKINNLEKKLVGVKNLKLVGSQSWELMREGEAEKQKQYTALVWISRPLKDEDLQSISSLEDMHILQRTPIRVLHRRSPLEREKIIHWLEHISRNSFMGTSDAPNLALDQFLLVEQRYCGLM >ONI32268 pep chromosome:Prunus_persica_NCBIv2:G1:33081198:33086836:1 gene:PRUPE_1G357400 transcript:ONI32268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDTEEASQPTVNGDNVRALCDVVRELPIRAVIDLLSIGVCTRCILRLFGIREHVYYRSSLSPSMLSSVLGDSTCSEKHMVDGNFRELVVCSICLGILQFTYWEDKKLVTEKESANELAVSISSLIRQQGFEIDSFSLEVSIPPIVLENDHTVWLYMKRKYESELWFQGKSISECISAKDALKISLMESLETMLGCKSNMSAFRIRLTYTHRISSKTVENSVEGCHGGKRRKTGAGNGLNTIDDDIKCSGPPIEAGAVVEGSFVSLQANELSECLKLPLGQSNEPCCLEFLCYRTQIYVGGRYLKYSRNVSQTCWIIDDERMGEASVEEILGSNILPLCRGDNYKFHAAGREDIDVRMLGSGRPFLLEIQNARNIPSEESVKFLETKINNLEKKLVGVKNLKLVGSQSWELMREGEAEKQKQYTALVWISRPLKDEDLQSISSLEDMHILQRTPIRVLHRRSPLEREKIIHWMRIERIVGSSQYFLLHLCTQAGTYIKEFIHGDLGRTQPSIGSILTCRAEILRLDVTDVKMECFLTE >ONI27256 pep chromosome:Prunus_persica_NCBIv2:G1:5507717:5514718:1 gene:PRUPE_1G076500 transcript:ONI27256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTKTRTSSFSRNFASANSSQIPGLKHGPNGTMFISSGIPDLDKILGGGFSLGSLVMVMEDAEAPHHMLLLRNFMSQGLVHNQPLLYASPSKDPRQFLGTLPSPAVPKDDKSSHRDPDQEKGLRIAWQYKKYFGENQHSFDSQGGKHEFCNEFDLRKPLERQFLSGKHIDCISIQDSPNLVTLNDRCATFLSQFPRSDGSISSVGRIAIQSFCAPQCEFSNMEWEMLSFVRSLKGMVRSSNAVAFVTFPPNLLSPSSCKRWQHMADTLLSVKAIPDEDKELEKLLTGYQDMVGLLNVQKVARLNTQVPVILEATTLSIKLKKRRFMVLECLNQAPIDGSSGSSYGTTGSCSVSSKSGTLDF >ONI27257 pep chromosome:Prunus_persica_NCBIv2:G1:5507719:5514718:1 gene:PRUPE_1G076500 transcript:ONI27257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTKTRTSSFSRNFASANSSQIPGLKHGPNGTMFISSGIPDLDKILGGGFSLGSLVMVMEDAEAPHHMLLLRNFMSQGLVHNQPLLYASPSKDPRQFLGTLPSPAVPKDDKSSHRDPDQEKGLRIAWQYKKYFGENQHSFDSQGGKHEFCNEFDLRKPLERQFLSGKHIDCISIQDSPNLVTLNDRCATFLSQFPRSDGSISSVGRIAIQSFCAPQCEFSNMEWEMLSFVRSLKGMVRSSNAVAFVTFPPNLLSPSSCKRWQHMADTLLSVKAIPDEDKELEKLLTGYQDMVGLLNVQKVARLNTQVPVILEATTLSIKLKKRRFMVLECLNQAPIDGSSGSSYGTTGSCSVSSKSGTLDF >ONI27258 pep chromosome:Prunus_persica_NCBIv2:G1:5507719:5514718:1 gene:PRUPE_1G076500 transcript:ONI27258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTKTRTSSFSRNFASANSSQIPGLKHGPNGTMFISSGIPDLDKILGGGFSLGSLVMVMEDAEAPHHMLLLRNFMSQGLVHNQPLLYASPSKDPRQFLGTLPSPAVPKDDKSSHRDPDQEKGLRIAWQYKKYFGENQHSFDSQGGKHEFCNEFDLRKPLERQFLSGKHIDCISIQDSPNLVTLNDRCATFLSQFPSDGSISSVGRIAIQSFCAPQCEFSNMEWEMLSFVRSLKGMVRSSNAVAFVTFPPNLLSPSSCKRWQHMADTLLSVKAIPDEDKELEKLLTGYQDMVGLLNVQKVARLNTQVPVILEATTLSIKLKKRRFMVLECLNQAPIDGSSGSSYGTTGSCSVSSKSGTLDF >ONI27254 pep chromosome:Prunus_persica_NCBIv2:G1:5509723:5514718:1 gene:PRUPE_1G076500 transcript:ONI27254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTKTRTSSFSRNFASANSSQIPGLKHGPNGTMFISSGIPDLDKILGGGFSLGSLVMVMEDAEAPHHMLLLRNFMSQGLVHNQPLLYASPSKDPRQFLGTLPSPAVPKDDKSSHRDPDQEKGLRIAWQYKKYFGENQHSFDSQGGKHEFCNEFDLRKPLERQFLSGKHIDCISIQDSPNLVTLNDRCATFLSQFPRSDGSISSVGRIAIQSFCAPQCEFSNMEWEMLSFVRSLKGMVRSSNAVAFVTFPPNLLSPSSCKRWQHMADTLLSVKAIPDEDKELEKLLTGYQDMVGLLNVQKVARLNTQVPVILEATTLSIKLKKRRFMVLECLNQAPIDGSSGSSYGTTGSCSVSSKSGTLDF >ONI27255 pep chromosome:Prunus_persica_NCBIv2:G1:5507719:5514718:1 gene:PRUPE_1G076500 transcript:ONI27255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTKTRTSSFSRNFASANSSQIPGLKHGPNGTMFISSGIPDLDKILGGGFSLGSLVMVMEDAEAPHHMLLLRNFMSQGLVHNQPLLYASPSKDPRQFLGTLPSPAVPKDDKSSHRDPDQEKGLRIAWQYKKYFGENQHSFDSQGGKHEFCNEFDLRKPLERQFLSGKHIDCISIQDSPNLVTLNDRCATFLSQFPRSDGSISSVGRIAIQSFCAPQCEFSNMEWEMLSFVRSLKGMVRSSNAVAFVTFPPNLLSPSSCKRWQHMADTLLSVKAIPDEDKELEKLLTGYQDMVGLLNVQKVARLNTQVPVILEATTLSIKLKKRRFMVLECLNQAPIDGSSGSSYGTTGSCSVSSKSGTLDF >ONI27253 pep chromosome:Prunus_persica_NCBIv2:G1:5507713:5514723:1 gene:PRUPE_1G076500 transcript:ONI27253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTKTRTSSFSRNFASANSSQIPGLKHGPNGTMFISSGIPDLDKILGGGFSLGSLVMVMEDAEAPHHMLLLRNFMSQGLVHNQPLLYASPSKDPRQFLGTLPSPAVPKDDKSSHRDPDQEKGLRIAWQYKKYFGENQHSFDSQGGKHEFCNEFDLRKPLERQFLSGKHIDCISIQDSPNLVTLNDRCATFLSQFPRSDGSISSVGRIAIQSFCAPQCEFSNMEWEMLSFVRSLKGMVRSSNAVAFVTFPPNLLSPSSCKRWQHMADTLLSVKAIPDEDKELEKLLTGYQDMVGLLNVQKVARLNTQVPVILEATTLSIKLKKRRFMVLECLNQAPIDGSSGSSYGTTGSCSVSSKSGTLDF >ONI33905 pep chromosome:Prunus_persica_NCBIv2:G1:38055849:38059138:-1 gene:PRUPE_1G452300 transcript:ONI33905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGAKLQGLRSVSMVMLNFAVAFVFVSAERGLKLEASRFNGTEGSESDYLLRVVTFFWQSERSGYQHVWPEMKFGWQIIAGSIVGFCGAAFGSVGGVGGGGIFVPMLSLIMGFDPKSATAISKCMIMGAAVSTVYYNLKLRHPTLEMPIIDYDLALLIQPMLMLGISIGVAFNVIFADWMVTVLLIVLFIGTSTKAFLKGVETWKKETILKREATRHLESTGAGGNVEYKPLPSGPANDQQKETKELEVSVIENVCWKDLGLLVFVWVAFLALQIAKNHTTTCSPVYWVVNLLQIPISVGVSLYEAVSLYKGRRVIASKGEQGTVWRVHQLVIYCIFGVLAGIVGGLLGLGGGFVMGPLFLELGIPPQVSS >ONI33904 pep chromosome:Prunus_persica_NCBIv2:G1:38054965:38059138:-1 gene:PRUPE_1G452300 transcript:ONI33904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGAKLQGLRSVSMVMLNFAVAFVFVSAERGLKLEASRFNGTEGSESDYLLRVVTFFWQSERSGYQHVWPEMKFGWQIIAGSIVGFCGAAFGSVGGVGGGGIFVPMLSLIMGFDPKSATAISKCMIMGAAVSTVYYNLKLRHPTLEMPIIDYDLALLIQPMLMLGISIGVAFNVIFADWMVTVLLIVLFIGTSTKAFLKGVETWKKETILKREATRHLESTGAGGNVEYKPLPSGPANDQQKETKELEVSVIENVCWKDLGLLVFVWVAFLALQIAKNHTTTCSPVYWVVNLLQIPISVGVSLYEAVSLYKGRRVIASKGEQGTVWRVHQLVIYCIFGVLAGIVGGLLGLGGGFVMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLKRFPVPYALYFVAVATVAALVGQHIVRRLIILFGRASLIIFILAFTIFVSAISLGGVGISNMIGKIHQNEYMGFENLCKYDA >ONI36152 pep chromosome:Prunus_persica_NCBIv2:G1:46660431:46662036:1 gene:PRUPE_1G572300 transcript:ONI36152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPSCTSTSVSGFYNFLTHELNNLDQSFLSHSFMSIQFLQKVLSSLKSFHSQLTTLVQKLHLPIGEKWLDEYMDESSRLWEACNVLKLGVSCMENVFAAGANVLSSTDEFHYYLNEQTSNQVIRAVSAYQMEIIGLEHDNKALMETRVEQLSLRINENIVSMGSKFNGFSGFRGVMYAMRNVSSLLLMILLSGIVYYLPGSSFQEEGGLEGSVIFGSNFMVSVARLQRRVANVFEQIPGPPHGLLLHEFRETKSLMEEVRGELERMVEHEAEIHDIQDSVDKLKSCFGLLRSGVEAIIEQLDDFFDEIVEGRKKLLDMCTHR >ONI32605 pep chromosome:Prunus_persica_NCBIv2:G1:34053799:34055077:1 gene:PRUPE_1G375400 transcript:ONI32605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHYVAARESECLQVGDVVLFVCNSSVDCGGSRQLCKDNDTDQAKNEESLKEKVRENAVSIPYFIFRGQNLDALLSAKRTVSLRLGLFTLL >ONI34188 pep chromosome:Prunus_persica_NCBIv2:G1:38930117:38935462:-1 gene:PRUPE_1G467200 transcript:ONI34188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKENTNAAKVEEPTVRITRARAKAFGTSGEVVSSSKPFFKQDQKHGVRANSKRAASDENKGSAIATIGLQHKRRAVLKDVANVICENSYVKCTTDASQVQASKQARRGLAKNVKAASNVSEESTVVQEDAKAKLADELSKIRVAEPLEATLPVKLGEKEPVPQGMCHILGQHRGADLMLRVQPSAEPAELQNPQKKEENMIWEILGSSNDLDIVDIDSNLKDPQACSLYAPDIYSNISLIEVERRPSTTYMETLQQDITPSMRGILIDWLVEVSEEYKLVPDTLYLTVNLIDRFLSHNYVEKQRLQLLGVTCTLIASKYEEICAPRVEEFCFITDNTYSRDEVLEMESKVLNFLHFQLSVPTTKTFLRRFIHAAQASYKVPCVELELLANYLAELTLAEYGFLKFLPSLIAASAVFLARWTLDQSDHPWNPTLERYTSYKTSELKTTVVALEDLQLNTKGCPLNAIREKYRHQKFKSVATLTSKQRVIRSFQDQ >ONI33824 pep chromosome:Prunus_persica_NCBIv2:G1:37834144:37835382:1 gene:PRUPE_1G447700 transcript:ONI33824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVASSSSPPNHHHPQRPRTRFKRTQPFADRIVRALHHDLRLLHRRESTFFILGATGNVYTVALCATPSCTCPDRTTPCKHILFVFLRVLGLPLDDTCLRRRTLRPCQVSRLLGLPTLPESLAGVSVRQRFHQLFFNQGSSASSSRTRPKDVEIEEGRSCPVCLEEMGREERVVACGTCRNPIHEECLMKWKRSSGRRSAYCVICRARWRDINRTMEQDKYLNLTAYATSHDQKDDSDEGLCGGGG >ONI26407 pep chromosome:Prunus_persica_NCBIv2:G1:1549857:1552003:1 gene:PRUPE_1G022700 transcript:ONI26407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEEIEYDPTRQFCSIATDEQLDALGRAVDSGQIKYVGLGNETPYGVMKFVQVAERGTRHPKIVSGTHAACSIELLILGWLNAVIMRGIKVISLVAYSPLAMGILSGKEIFGGEYIDIAGNYSAHPVSLAIETSLKRDNKKNRIVPRHIQLAVRNDEELSKL >ONI27009 pep chromosome:Prunus_persica_NCBIv2:G1:4462999:4463385:1 gene:PRUPE_1G062700 transcript:ONI27009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWIIIILLLFALITMCSGRQQVCGIINGLVPESDVLVHCKSKQDDLGVHLLHYNALYEFKFKPNFWGTTQFYCSFTWPSRIEWFDIYKFQRDAGKFCYWMIKPDGPCRYNGLSKSFDKCYKWNQKI >ONI26475 pep chromosome:Prunus_persica_NCBIv2:G1:1914074:1916665:1 gene:PRUPE_1G027400 transcript:ONI26475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGESIEPLNFTIASVLKALAREGRVKDGETINGLVLKFGFGSDLTVQNAILDLFMRCGKLDVARRIFDQMDEKDVVSWNSMISGYGSNGRVDIARQLFDWMPERNTVSWTSMICGYVKSGDMVEARVLFEKLPTKDLVSWNVMISGYTNASDVDKALCLFEVMPIRDVGTWNLMISGLCKAGDIKRAEDFFNMMPNKNVASWTIMMDGYIKSGDVNSARSLFDQMPEKNLVSWSTIIGGYARNGEPRSALKMYKHFKELGVRPDATFILGIISACSQLGILGTAESIVGDYVGQSTLSNLQVGTSLIDMYAKCGSLERATQLFKMTRKKDLLCYSTMISAFANHGLGQDAISLFEEMKKEGIKPDGVSFLCILSACNHAGLVTEGRRYFKQMTVEYRIHPSEKHYACIVDLLGRGGRLKEAYNLICKMPFAAPSAVWGALLAACRVHRNVQLAEVSASELFKIEPDNSGNYILLSNIYAAAGKWDGVAKVRALIREHRVRKNRGSSWIELGSEVHEFVMGDMSHFDSEWIYLILDLLKEDMKFLGYVMDFEEKEELSTSTWHSDMFSYNKLEDG >ONI28929 pep chromosome:Prunus_persica_NCBIv2:G1:13955427:13956573:-1 gene:PRUPE_1G169900 transcript:ONI28929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNKSSLKANFPDLNIPYILQLPHHILGEIFCKIQIKTLVQCRFVCKFWHRLLSDPQFTEQLFSRRTCLLLRTFGSEVHLATLENDSSSPNDVAWKLSNDTIVLPTRDAYIKTPCSHFYISNPIMGESLAPPTPPDDYIISFPCGFGFSPISGAYKLVRFRFGGRLDPNPEVLVLTIGSGAWRSIENFRLQGENEYLGDEGVLELRA >ONI30831 pep chromosome:Prunus_persica_NCBIv2:G1:28121405:28122500:1 gene:PRUPE_1G275700 transcript:ONI30831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAEASEIASFFERIVRERDLSLFVPFILGLASATPRTDPENPDHDNPDQENPGRPTPHERLIFINPFAQTMMVVEGDSLQELGMKDGQPPASKASIDAMPCVKIVEGDGGSECVICLEQFEIDGVAKEMPCKHRFHGGCIEKWLKIHGSCPVCRYNMPVDEEEPGKKIDGADRERRVEGEIRVSFYVQESTRASEDSEQTPSGDFNASDSSSSPAADHDDMQS >ONI30808 pep chromosome:Prunus_persica_NCBIv2:G1:28043845:28045782:1 gene:PRUPE_1G274300 transcript:ONI30808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERSDMNIIGGDKMDEVMLPGFRFHPTDEELVGFYLKRKIQQRPLSIELIKQLDIYKFDPWDLPKLAASGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSEGACSSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDSLQTKRSSSFMDKTIPANDSWAICRIFKKTNSSHAHQRALSHHSWVSVLPETNTYDDHMLGSKAAHSAAHHHHQHEFSSERMPLTSKTNSTTNFCANNNNDIQISSTTSSMSPLDIYTSSYKPMNPLMMGCNIKLPYQYFPISNSNGDYFSSTPSFTFSSSPLETPSGPVAKCAVDVSSLLLNMSSSMLGDYNSSNSINKASAESTNFEFSTTTGSQDQCNGGFSSMPLPHHHEVAQGNNNMGNGHDTVVGLIKNNVSNVNSNLTEDADDQQWETNVRSNIGFPLMMSNSLPLPLNIGGGGGGDAWKSSLTWESSPCPSEMSTTRCYT >ONI33466 pep chromosome:Prunus_persica_NCBIv2:G1:36660220:36661839:-1 gene:PRUPE_1G426300 transcript:ONI33466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWARAVADAKKKYQGVDTSAPQGQRSVGLVIGVTGIVGNSLAEILPRPDTPGGPWKVYGVARRPRPKWNADHPVDYIQCDISDPEDTKAKLSKLTDVTHLFYVSWAHKATEVENCEANGAMLRNVLGVVIPVAPNIRHVSLQTGTKHYIGPFESFGKIKAHDPPFTEDLPRLDVSNFYYTQEDILFDEAKKKEGLSWSVHRPNTIFGFSPYSLMNVIGTLCVYAAICKHEKKPLKFPGSKAAWNCFSVASDADLIAEQHIWAAVDPYAKNEAFNVNNGDVFKWKHVWKVLAEQFGIEEYGIDEEEGERRLSLVEMMKGKGGVWEEIVKKNKLQPTKLEEVGVWWFADIVLCVESALDSMNKSKEHGFLGFRNSQESFTSWVQKMKAYKIVP >ONI35127 pep chromosome:Prunus_persica_NCBIv2:G1:42554799:42558579:1 gene:PRUPE_1G517700 transcript:ONI35127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHHVSNWLLSWFGALKISYGGRSSSGSGKGRSHDGLVKYGFSLVKGKANHPMEDYHVAKFVRSQGHELGLFAIYDGHLGDTVPAYLQKHLFSNILKEEEFWVDPNRSILKAYERTDQAILSHSSDLGRGGSTAVTAILLNSQRLWVANVGDSRAVLSKGGQAVQMSIDHEPNTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDVQDTFVDNTTDVLILASDGLWKVMDNQEAVDIARKIKDPLKAAKQLTAEALKRDSKDDISCVVVRFRG >ONI35126 pep chromosome:Prunus_persica_NCBIv2:G1:42553973:42558579:1 gene:PRUPE_1G517700 transcript:ONI35126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLCCFSGSYSQYGGRSSSGSGKGRSHDGLVKYGFSLVKGKANHPMEDYHVAKFVRSQGHELGLFAIYDGHLGDTVPAYLQKHLFSNILKEEEFWVDPNRSILKAYERTDQAILSHSSDLGRGGSTAVTAILLNSQRLWVANVGDSRAVLSKGGQAVQMSIDHEPNTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDVQDTFVDNTTDVLILASDGLWKVMDNQEAVDIARKIKDPLKAAKQLTAEALKRDSKDDISCVVVRFRG >ONI34086 pep chromosome:Prunus_persica_NCBIv2:G1:38602718:38603978:1 gene:PRUPE_1G461500 transcript:ONI34086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTGVLFGKYFKAVDDVAIHGSSREGLPTVTILMVMEEVTLNNYNNKNIPPSLGFRTSVEEPLYYKLLGLFYRPKFPFHKPRSEGVDGLDRVMVRLEEEVRCRACLICGEALDSPPPLGEEEEDHRQHQQEEGVPKKISMISRLPCSHLFHQDCIVRRWLHSRGRSRGGGCPVCQLTMLVKGTKDMLAKFQTPSRSWGLPLTIFTGGLITAGSLLCKLLKHRSSKGN >ONI26966 pep chromosome:Prunus_persica_NCBIv2:G1:4180940:4181357:1 gene:PRUPE_1G059000 transcript:ONI26966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPIRNVVMALLVVLLITSTEADVQIPRTKRVRITNSLASLVDMKVHCKSGAHDLGEQIVRPTESYEFRFKTNFLGTTLFFCSFQWGNEFHYFDVYKNGRDDCSKCFWTIVENGPCLYGVQGVCHQWNKN >ONI27526 pep chromosome:Prunus_persica_NCBIv2:G1:6967051:6973875:1 gene:PRUPE_1G092100 transcript:ONI27526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFTKMFASLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKDEFHAILEEEELKGAVVLIFANKQDLPGALDDAAITEALELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGG >ONI30200 pep chromosome:Prunus_persica_NCBIv2:G1:25186404:25188084:1 gene:PRUPE_1G237300 transcript:ONI30200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKGSGYHRRRLCNDSG >ONI35021 pep chromosome:Prunus_persica_NCBIv2:G1:42046298:42049488:-1 gene:PRUPE_1G510700 transcript:ONI35021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELENPLLNEFCAADTGVAAVQSSQGSAPNADQCLKQSLNGCKRFNRNRIADNGIQKSSDPKQVARSAKIPELTTNTLMSSKGSMKLPSGFVEQKQFKANTSHCRFMRCDTATKARKRTQLSLGLPTSSKLKRSRRSSSTERRTSIDDLSDVILAEILCRLPCNKFVFQCKSVSKHWCTLIADPYFIGRFVNIQSYKRTPKIRTLINKRVVEFPPKVSLSPNLLTQSLERIICFHRFVGNPVVVATYNDLLLCCTSMDYQRNYYICNAYTMQWVGLPPTPSRCHKRVRVGFICNVPDYKCEEDNWKGNNSQLNVECRSMVVRILPPVEYANDEKKCDTFKLNVEIFSSETGEWKESVVSSPRNFNFRGLNEFSFAYNGMLYWPTDRGLSVIGLGPFYDNDGTSSSSSSSNGDGNIDHKLGFTIFEEPLDRGFSVQYLGVCGGYVRMCNMSLMTRRLYVYELKESQDGDAAGKRLCLSERRVYSLDRQMFPDLSRCILNAFDPNNKDILYLRVNADIIKWNIHTGEWSKIVKDCETDRFYYTVVLPLWPTPVPRLA >ONI35022 pep chromosome:Prunus_persica_NCBIv2:G1:42046601:42049488:-1 gene:PRUPE_1G510700 transcript:ONI35022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELENPLLNEFCAADTGVAAVQSSQGSAPNADQCLKQSLNGCKRFNRNRIADNGIQKSSDPKQVARSAKIPELTTNTLMSSKGSMKLPSGFVEQKQFKANTSHCRFMRCDTATKARKRTQLSLGLPTSSKLKRSRRSSSTERRTSIDDLSDVILAEILCRLPCNKFVFQCKSVSKHWCTLIADPYFIGRFVNIQSYKRTPKIRTLINKRVVEFPPKVSLSPNLLTQSLERIICFHRFVGNPVVVATYNDLLLCCTSMDYQRNYYICNAYTMQWVGLPPTPSRCHKRVRVGFICNVPDYKCEEDNWKGNNSQLNVECRSMVVRILPPVEYANDEKKCDTFKLNVEIFSSETGEWKESVVSSPRNFNFRGLNEFSFAYNGMLYWPTDRGLSVIGLGPFYDNDGTSSSSSSSNGDGNIDHKLGFTIFEEPLDRGFSVQYLGVCGGYVRMCNMSLMTRRLYVYELKESQDGDAAGKRLCLSERRVYSLDRQMFPDLSRCILNAFDPNNKDILYLRVNADIIKWNIHTGEWSKIVKDCETDRFYYTVVLPLWPTPVPRLA >ONI36200 pep chromosome:Prunus_persica_NCBIv2:G1:46816658:46824106:1 gene:PRUPE_1G574700 transcript:ONI36200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDSSDCQESNEAGSSKEYVILHGELDLWIIEAKSLPNMDLTSERMRRCFTMFGTCSAPFGNRPAKTASGKHSMITSDPYVSVCLAGATVAQTRVISNCENPSWEEHFSVPVAHPVAKVEFHVKDNDVLGAELIGVVEISIDKIISGKPMNDWFPVIGNHGNCLKPFPELHVSIQFKPVGDNPLYKNGVGAGPEYNGVPNTYFPLRKGGSVTLYQDAHVPNDMLPDIVLDGGKKFQQRKCWEEICHAILEAQHLIYIIGWSVYHRVKLVREPTKPLPSGGELTLGELLKYKSQEGIRVVMLIWDDKTSHDKFLLKTEGVMQTHDEETKKFFRHSSVHCVLSPRYASNKLSIFKQQVVGTLFTHHQKCVLLDTASGNNRKLTAFIGGLDLCDGRYDTPEHRLFKDLNTVFENDIHNPTFPPNTYGPREPWHDLHCKIEGPAAYDILTNFEQRWRKAKKRDFKIKKVTNRHDDALIRLDRVSWIVSPSSGRDGDQNVRVSSEEDRENWHVQVFRSIDSGSVKGFPKGVQDAEAQNLICGKNLKIDKSIHVAYIKAIRSAQRFIYIENQYFVGSSYYWPSYKNAGADNLVPMELALKIASKIKANERFSVYIVIPMWPEGVPTASAVQEILYWQAQTMVMMYQVISKALEDAGLFDQYHPQDFLNFYCLGQREAPSSRSSSQTNQPTDNRGLALAQKFRRFMIYVHAKGMIVDDEYVIMGSANINQRSMDGSRDTEIAMGAYQPHHTWAAEKNLHPHGQVYGYRMSLWAEHLGGLEETYQDPESLECVKRVSEIAKQNWKAFVSEEHKEMKGHLMQYPVQIGRDGQVSSLPGYESFPDVGGKILGAPTNLPDALTT >ONI36199 pep chromosome:Prunus_persica_NCBIv2:G1:46816658:46824106:1 gene:PRUPE_1G574700 transcript:ONI36199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDSSDCQESNEAGSSKEYVILHGELDLWIIEAKSLPNMDLTSERMRRCFTMFGTCSAPFGNRPAKTASGKHSMITSDPYVSVCLAGATVAQTRVISNCENPSWEEHFSVPVAHPVAKVEFHVKDNDVLGAELIGVVEISIDKIISGKPMNDWFPVIGNHGNCLKPFPELHVSIQFKPVGDNPLYKNGVGAGPEYNGVPNTYFPLRKGGSVTLYQDAHVPNDMLPDIVLDGGKKFQQRKCWEEICHAILEAQHLIYIIGWSVYHRVKLVREPTKPLPSGGELTLGELLKYKSQEGIRVVMLIWDDKTSHDKFLLKTEGVMQTHDEETKKFFRHSSVHCVLSPRYASNKLSIFKQQVVGTLFTHHQKCVLLDTASGNNRKLTAFIGGLDLCDGRYDTPEHRLFKDLNTVFENDIHNPTFPPNTYGPREPWHDLHCKIEGPAAYDILTNFEQRWRKAKKRDFKIKKVTNRHDDALIRLDRVSWIVSPSSGRDGDQNVRVSSEEDRENWHVQVFRSIDSGSVKGFPKGVQDAEAQNLICGKNLKIDKSIHVAYIKAIRSAQRFIYIENQYFVGSSYYWPSYKNAGADNLVPMELALKIASKIKANERFSVYIVIPMWPEGVPTASAVQEILYWQAQTMVMMYQVISKALEDAGLFDQYHPQDFLNFYCLGQREAPSSRSSSQTNQPTDNRGLALAQKFRRFMIYVHAKGMIVDDEYVIMGSANINQRSMDGSRDTEIAMGAYQPHHTWAAEKNLHPHGQVYGYRMSLWAEHLGGLEETYQDPESLECVKRVSEIAKQNWKAFVSEEHKEMKGHLMQYPVQIGRDGQVSSLPGYESFPDVGGKILGAPTNLPDALTT >ONI36198 pep chromosome:Prunus_persica_NCBIv2:G1:46816658:46824111:1 gene:PRUPE_1G574700 transcript:ONI36198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDSSDCQESNEAGSSKEYVILHGELDLWIIEAKSLPNMDLTSERMRRCFTMFGTCSAPFGNRPAKTASGKHSMITSDPYVSVCLAGATVAQTRVISNCENPSWEEHFSVPVAHPVAKVEFHVKDNDVLGAELIGVVEISIDKIISGKPMNDWFPVIGNHGNCLKPFPELHVSIQFKPVGDNPLYKNGVGAGPEYNGVPNTYFPLRKGGSVTLYQDAHVPNDMLPDIVLDGGKKFQQRKCWEEICHAILEAQHLIYIIGWSVYHRVKLVREPTKPLPSGGELTLGELLKYKSQEGIRVVMLIWDDKTSHDKFLLKTEGVMQTHDEETKKFFRHSSVHCVLSPRYASNKLSIFKQQVVGTLFTHHQKCVLLDTASGNNRKLTAFIGGLDLCDGRYDTPEHRLFKDLNTVFENDIHNPTFPPNTYGPREPWHDLHCKIEGPAAYDILTNFEQRWRKAKKRDFKIKKVTNRHDDALIRLDRVSWIVSPSSGRDGDQNVRVSSEEDRENWHVQVFRSIDSGSVKGFPKGVQDAEAQNLICGKNLKIDKSIHVAYIKAIRSAQRFIYIENQYFVGSSYYWPSYKNAGADNLVPMELALKIASKIKANERFSVYIVIPMWPEGVPTASAVQEILYWQEGSCLKMHRKVHILYALNDTAQTMVMMYQVISKALEDAGLFDQYHPQDFLNFYCLGQREAPSSRSSSQTNQPTDNRGLALAQKFRRFMIYVHAKGMIVDDEYVIMGSANINQRSMDGSRDTEIAMGAYQPHHTWAAEKNLHPHGQVYGYRMSLWAEHLGGLEETYQDPESLECVKRVSEIAKQNWKAFVSEEHKEMKGHLMQYPVQIGRDGQVSSLPGYESFPDVGGKILGAPTNLPDALTT >ONI29216 pep chromosome:Prunus_persica_NCBIv2:G1:17193044:17203347:1 gene:PRUPE_1G187700 transcript:ONI29216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMIECSVCHSKLVSPNTKAFSRAYDRHKSRLSSKQRVLNVLLVVGDCMLVGLQPILVYMSKVDGKFEFSPISVNFLTEAAKVLFAVVMLLLQARNQKVGEKPLLSISTFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKMIMKRRFSIIQWEALALLLIGISVNQLRSLPEGSTALGLPVSTGAYVYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILVMAIVKGPSSFDILHGHSKATMFLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASALLFGHKLTMNFVLGISIVFISMHQFFSPISKVKEEEQNGKLEMIDVDDKQRSKDSFINMAAGANEEASHRVGPDERQPLLPT >ONI29217 pep chromosome:Prunus_persica_NCBIv2:G1:17193044:17203347:1 gene:PRUPE_1G187700 transcript:ONI29217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMIECSVCHSKLVSPNTKAFSRAYDRHKSRLSSKQRVLNVLLVVGDCMLVGLQPILVYMSKVDGKFEFSPISVNFLTEAAKVLFAVVMLLLQARNQKVGEKPLLSISTFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKMIMKRRFSIIQWEALALLLIGISVNQLRSLPEGSTALGLPVSTGAYVYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILVMAIVKGPSSFDILHGHSKATMFLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASALLFGHKLTMNFVLGISIVFISMHQFFSPISKVKEEEQNGKLEMIDVDDKQRSKDSFINMAAGANEEASHRVGPDERQPLLPT >ONI29214 pep chromosome:Prunus_persica_NCBIv2:G1:17192676:17203384:1 gene:PRUPE_1G187700 transcript:ONI29214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMIECSVCHSKLVSPNTKAFSRAYDRHKSRLSSKQRVLNVLLVVGDCMLVGLQPILVYMSKVDGKFEFSPISVNFLTEAAKVLFAVVMLLLQARNQKVGEKPLLSISTFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKMIMKRRFSIIQWEALALLLIGISVNQLRSLPEGSTALGLPVSTGAYVYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILVMAIVKGPSSFDILHGHSKATMFLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASALLFGHKLTMNFVLGISIVFISMHQFFSPISKVKEEEQNGKLEMIDVDDKQRSKDSFINMAAGANEEASHRVGPDERQPLLPT >ONI29215 pep chromosome:Prunus_persica_NCBIv2:G1:17192664:17203398:1 gene:PRUPE_1G187700 transcript:ONI29215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGMIECSVCHSKLVSPNTKAFSRAYDRHKSRLSSKQRVLNVLLVVGDCMLVGLQPILVYMSKVDGKFEFSPISVNFLTEAAKVLFAVVMLLLQARNQKVGEKPLLSISTFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKMIMKRRFSIIQWEALALLLIGISVNQLRSLPEGSTALGLPVSTGAYVYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILVMAIVKGPSSFDILHGHSKATMFLIANNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASALLFGHKLTMNFVLGISIVFISMHQFFSPISKVKEEEQNGKLEMIDVDDKQRSKDSFINMAAGANEEASHRVGPDERQPLLPT >ONI29894 pep chromosome:Prunus_persica_NCBIv2:G1:23497788:23499637:-1 gene:PRUPE_1G220400 transcript:ONI29894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNFVKNGVLRLPPGFRFHPTDEELVLQYLKRKVFSCPLPASIIPEVEVCKADPWDLPGDCEQERYFFSTREAKYPNGSRSNRATGSGYWKATGLDKQIVTSRGNQVVGMKKTLVFYRGKPPHGTRTDWIMHEYRLVLAENTAPNAPREKNSTQLQSPVVPMDNWVLCRIFLKKRGGKNEEEQVQQPCNDRVIRKPKTARPVFYDFMTKDRANLSLAPCSSSSGSSGVTEVVSSDQVDDHEESSSCNSFPYFRRKQ >ONI28199 pep chromosome:Prunus_persica_NCBIv2:G1:10136184:10139317:-1 gene:PRUPE_1G130400 transcript:ONI28199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNFDFDLGLGSSRPKSLNDQKNKTSSFSSTATGQSRPAWQPQTNKTTSWTHQPTPAQTVRPGLTNAPTSMVGDIFGKSWGSAAPSVSSTPIAVVNKNPNLFGDLVSSAMGGKINSNSNVPLKNATPASNKSSFSMGNVADSLPKTTPATGNGSVKSGGANYGASGNFGSFSTGFNTSNTINNSVNGNSNKSPNIGGPSLRNMVSSGVGVGGIGSGLSSKKDPFGSLVDLASKPSATINSSSKSNNKSSVPSDDAFGDFQNAPKPSTTTATFASSGFTSNDNSFMGSNSGSGFGDFGISPNPNPVQSTSSDPFDTLFVPSSGSARGAATANNGVGVQQSSDIDDWGTEFGGGHDVGGSTTELEGLPPPPAGVSASVAKNKGMDNYKQGQYPDAIKWLSWAVVLLEKSADSSAVAEVLSSRASCYKEVGEYKKAVADCTKVLEQDDANISVLVQRALLYESMEKYRLGAEDLRTVLRFDPSNRVARSTIHRLTQMAD >ONI35264 pep chromosome:Prunus_persica_NCBIv2:G1:43085620:43090244:1 gene:PRUPE_1G526200 transcript:ONI35264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGGEADPCLDLPEDVTSKACNSRSSILTSHYSLEDYSRLKKRCKEDDDDDDDGGTEPVVSHKNRLAGIATAPPCGTSSLVAPGRGIKRKIGCIDVATQMGRKNKIEEDYVSGETLGHGKFGSVWLCQSRISGAEYACKTLKKGEETVHREVEIMQHLSGHPGVVTLQAVYEESNCFHLVMELCSGGRLIDQMVQEVQYSEHRAANIFKEVMLVIKYCHDMGVVHRDIKPENILLTKAGKIKLADFGLAMRISNGQNLTGLAGSPAYVAPDVLLGKYSEKVDIWSAGVLLHALLVGVLPFQGDSLEAVFEAIKTVKLDFHTGIWESISKPARDLIGRMLTRDVSVRITADEVLRHPWILFYTERTLKALPIKSRLKNQAGAPCPQLAIPPRLKACLNKSVDSSLSETSGPLSSSDSCKSEEDQDDCGLVDALATAVSHVRISEPKRSRLCGPKGRPIEQQCSSNMKANNLCKAF >ONI35265 pep chromosome:Prunus_persica_NCBIv2:G1:43085620:43090412:1 gene:PRUPE_1G526200 transcript:ONI35265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGGEADPCLDLPEDVTSKACNSRSSILTSHYSLEDYSRLKKRCKEDDDDDDDGGTEPVVSHKNRLAGIATAPPCGTSSLVAPGRGIKRKIGCIDVATQMGRKNKIEEDYVSGETLGHGKFGSVWLCQSRISGAEYACKTLKKGEETVHREVEIMQHLSGHPGVVTLQAVYEESNCFHLVMELCSGGRLIDQMVQEVQYSEHRAANIFKEVMLVIKYCHDMGVVHRDIKPENILLTKAGKIKLADFGLAMRISNGQNLTGLAGSPAYVAPDVLLGKYSEKVDIWSAGVLLHALLVGVLPFQGDSLEAVFEAIKTVKLDFHTGIWESISKPARDLIGRMLTRDVSVRITADEVLRHPWILFYTERTLKALPIKSRLKNQAGAPCPQLAIPPRLKACLNKSVDSSLSETSGPLSSSDSCKSEEDQDDCGLVDALATAVSHVRISEPKRSRLCGPKGRPIEQQCSSNMKANNLCKAF >ONI35263 pep chromosome:Prunus_persica_NCBIv2:G1:43085620:43090243:1 gene:PRUPE_1G526200 transcript:ONI35263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGGEADPCLDLPEDVTSKACNSRSSILTSHYSLEDYSRLKKRCKEDDDDDDDGGTEPVVSHKNRLAGIATAPPCGTSSLVAPGRGIKRKIGCIDVATQMGRKNKIEEDYVSGETLGHGKFGSVWLCQSRISGAEYACKTLKKGEETVHREVEIMQHLSGHPGVVTLQAVYEESNCFHLVMELCSGGRLIDQMVQEVQYSEHRAANIFKEVMLVIKYCHDMGVVHRDIKPENILLTKAGKIKLADFGLAMRISNGQNLTGLAGSPAYVAPDVLLGKYSEKVDIWSAGVLLHALLVGVLPFQGDSLEAVFEAIKTVKLDFHTGIWESISKPARDLIGRMLTRDVSVRITADEVLRHPWILFYTERTLKALPIKSRLKNQAGAPCPQLAIPPRLKACLNKSVDSSLSETSGPLSSSDSCKSEEDQDDCGLVDALATAVSHVRISEPKRSRLCGPKGRPIEQQCSSNMKANNLCKAF >ONI35262 pep chromosome:Prunus_persica_NCBIv2:G1:43085620:43090379:1 gene:PRUPE_1G526200 transcript:ONI35262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGGEADPCLDLPEDVTSKACNSRSSILTSHYSLEDYSRLKKRCKEDDDDDDDGGTEPVVSHKNRLAGIATAPPCGTSSLVAPGRGIKRKIGCIDVATQMGRKNKIEEDYVSGETLGHGKFGSVWLCQSRISGAEYACKTLKKGEETVHREVEIMQHLSGHPGVVTLQAVYEESNCFHLVMELCSGGRLIDQMVQEVQYSEHRAANIFKEVMLVIKYCHDMGVVHRDIKPENILLTKAGKIKLADFGLAMRISNGQNLTGLAGSPAYVAPDVLLGKYSEKVDIWSAGVLLHALLVGVLPFQGDSLEAVFEAIKTVKLDFHTGIWESISKPARDLIGRMLTRDVSVRITADEVLRHPWILFYTERTLKALPIKSRLKNQAGAPCPQLAIPPRLKACLNKSVDSSLSETSGPLSSSDSCKSEEDQDDCGLVDALATAVSHVRISEPKRSRLCGPKGRPIEQQCSSNMKANNLCKAF >ONI35261 pep chromosome:Prunus_persica_NCBIv2:G1:43085620:43090243:1 gene:PRUPE_1G526200 transcript:ONI35261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGGEADPCLDLPEDVTSKACNSRSSILTSHYSLEDYSRLKKRCKEDDDDDDDGGTEPVVSHKNRLAGIATAPPCGTSSLVAPGRGIKRKIGCIDVATQMGRKNKIEEDYVSGETLGHGKFGSVWLCQSRISGAEYACKTLKKGEETVHREVEIMQHLSGHPGVVTLQAVYEESNCFHLVMELCSGGRLIDQMVQEVQYSEHRAANIFKEVMLVIKYCHDMGVVHRDIKPENILLTKAGKIKLADFGLAMRISNGQNLTGLAGSPAYVAPDVLLGKYSEKVDIWSAGVLLHALLVGVLPFQGDSLEAVFEAIKTVKLDFHTGIWESISKPARDLIGRMLTRDVSVRITADEVLRHPWILFYTERTLKALPIKSRLKNQAGAPCPQLAIPPRLKACLNKSVDSSLSETSGPLSSSDSCKSEEDQDDCGLVDALATAVSHVRISEPKRSRLCGPKGRPIEQQCSSNMKANNLCKAF >ONI27311 pep chromosome:Prunus_persica_NCBIv2:G1:5750197:5754371:1 gene:PRUPE_1G079000 transcript:ONI27311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKVSSHLITRQITFEKSHTHLNPHNPNQSPSLSQKLLYLLKHCVSTKELKQIHTQMLINSIHKPNFLLPKIVDLKDFSYASMLFSHIPEPNDYAFNIMIRGLTTTWHKYQLTLEFYYQMKSLCLMPNNFTYPFVFIACANLVELNHGRAAHSSVFKTGLDKDGHVTHSLITMYARCGKLGFARKVFDEICQRDLVSWNSMISGYSKMGYAGEAVRLFQEMRDAEFEPDEMSLVSILGACGDLGDLSLGRWVESFVVENKLELNSYVGSALIGMYGKCGDLSSARRVFDSMKKKDRVTWNAMITGYAQNGMSDEAMVLFDDMKERGVNPDKITLVGMLSACASVGALDLGRWIDIYASERGIQQDIYVGTALIDMYAKCGSLANALRVFEDMPQKNEVSWNAMISALAFHGRAHEAISLFKSMTEGAGATRPNDITFVGVLSACVHVGLVDEGRQLFNLMSSSFGLVPKVEHYSCLVDLLSRAGLVHEAWDFIKKMPEKPDEVVLGALLGACKKCKNVDVTKQVVQLLLELEPSNSGNYVISSKIYANLKMWDESAKMRVLMKQRGVSKTPGCSWIGNEHQLREFHSGDGSIEILHLLKLLYEDLKNEGYIPNINSV >ONI30136 pep chromosome:Prunus_persica_NCBIv2:G1:24753887:24757405:-1 gene:PRUPE_1G232800 transcript:ONI30136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIEDKGCYNNHGPTQEISGSSAINFEFHKANGASRTPHHRTALGKPTPSKWDDAQKWLVGLSRGPDKNQSKTKPRNSNADDLRLIAPVPQKEQDYSSGEDDGVEDQQEEEEEENGCDGSARPNQYDVETKKVDCDDSVWRSNKPMENSTAAMRSLCLRDMGTEMTPIASQEPSRTATPIRATTPAARSPISSGSSTPVRPCQHGMQASQGYQKSTDGRSSHEAKSCGRGSGAAKRYVEESNACKSMPDNQNSDQARKPSPLETRAMAWDEAERAKYMARYKREEVRIQAWENHEKRKAEMEMRKMEVKAERMKARGQEKLTNKLAATRRIAEEKRANAEAKLNEKALRTSEKADYIRRTGHLPSTFSFKLPSLCW >ONI30134 pep chromosome:Prunus_persica_NCBIv2:G1:24753887:24757654:-1 gene:PRUPE_1G232800 transcript:ONI30134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIEDKGCYNNHGPTQEISGSSAINFEFHKANGASRTPHHRTALGKPTPSKWDDAQKWLVGLSRGPDKNQSKTKPRNSNADDLRLIAPVPQKEQDYSSGEDDGVEDQQEEEEEENGCDGSARPNQYDVETKKVDCDDSVWRSNKPMENSTAAMRSLCLRDMGTEMTPIASQEPSRTATPIRATTPAARSPISSGSSTPVRPCQHGMQASQGYQKSTDGRSSHEAKSCGRGSGAAKRYVEESNACKSMPDNQNSDQARKPSPLETRAMAWDEAERAKYMARYKREEVRIQAWENHEKRKAEMEMRKMEVKAERMKARGQEKLTNKLAATRRIAEEKRANAEAKLNEKALRTSEKADYIRRTGHLPSTFSFKLPSLCW >ONI30135 pep chromosome:Prunus_persica_NCBIv2:G1:24753974:24757405:-1 gene:PRUPE_1G232800 transcript:ONI30135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIEDKGCYNNHGPTQEISGSSAINFEFHKANGASRTPHHRTALGKPTPSKWDDAQKWLVGLSRGPDKNQSKTKPRNSNADDLRLIAPVPQKEQDYSSGEDDGVEDQQEEEEEENGCDGSARPNQYDVETKKVDCDDSVWRSNKPMENSTAAMRSLCLRDMGTEMTPIASQEPSRTATPIRATTPAARSPISSGSSTPVRPCQHGMQASQGYQKSTDGRSSHEAKSCGRGSGAAKRYVEESNACKSMPDNQNSDQARKPSPLETRAMAWDEAERAKYMARYKREEVRIQAWENHEKRKAEMEMRKMEVKAERMKARGQEKLTNKLAATRRIAEEKRANAEAKLNEKALRTSEKADYIRRTGHLPSTFSFKLPSLCW >ONI33450 pep chromosome:Prunus_persica_NCBIv2:G1:36601331:36602365:-1 gene:PRUPE_1G424900 transcript:ONI33450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWWSRKMKYPVQKFCSRVTTRLGIRKSGLPKLQNDIRSCEYDDVHVMWRMLNSNEKTETIQLGGSSKKKRLSNLSNWFKRTPFVCRAF >ONI29521 pep chromosome:Prunus_persica_NCBIv2:G1:19763521:19765577:-1 gene:PRUPE_1G200900 transcript:ONI29521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKPRKKKGSLGRIAYVHPASGEFYYLRMLLNLQKGAFHFNDLKTVNGIIEPSYQAACKSLGLLRDDKEWIEALANAVNTATCPQLRQLFVTIILFCDVGNPQILFDTYWQNMSEDILHKLRQTFGMPNLIVSESELKNSLLFELEQLFNVSSSSLKEHHLPMPDEYRMLELRNKLLREELNYNYNNLEKEHSILVTQLNKGQKDVYDCVIKTIEEKMSGLFFVHGHGGTGKTFLWHTIITHSRFKIPLIVNNCSTCRIKKGTHLAKLIEKAALIVWDEAPMNHKHCFEALDKSLSDILSHLNPLDNNVPFGGKPLLLGGDFRQILPVIPGGTREEIIDASPNSSYLWPFFKIFHLKENMRLSKNGLNIEEKQKINDFAAWILRIATYPNFERNFNNFTYLRERVIVTPRNTTVTEINNYAIDLLPGQELITQLYDKIIEAKILAGSNIGHIVFIPRISLTATENKWPFIFKRRQFPIRPCYAMTINKSQGQSLKQVGLYLSQLVFTHGQLYVALSRVTSRQGLKILIENNKEVPNNYTKNIVYKDVLQNL >ONI35079 pep chromosome:Prunus_persica_NCBIv2:G1:42328526:42330327:1 gene:PRUPE_1G514400 transcript:ONI35079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSREAKGALSADLLVCFPSRTSLKLMPKPICSPARPSEPNKRHQYNQNHHHQPHHHQQLVKKSSIGKSSLGQASPLLWAKTKPMGSEISEPTSPKVTCAGQIKVRHKSGSCKSWQSVMEEIERIHNNKKQRKQRRPGWAEALGFKKEVMQFLTCLRNIRFDFRCFGSFPQPDISSDEDEEEEEEENRDCRENHVGGEGISDGGDQSSSRAMFSKWFMVLQENQSNGVCTEDKKERNDRQSLDDEVGSTSEVPVASVPPPNALLLMRCRSAPAKTWLEEKEEEEEDDEDEEEEEKEAEKKNGLEKEEKKAKVTLKSLMEEDKQRKKTESLVVMACDSDFYKISSDIAKETWVVGGMKDAISRSRSWKR >ONI32121 pep chromosome:Prunus_persica_NCBIv2:G1:32579428:32581860:1 gene:PRUPE_1G349300 transcript:ONI32121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSGIVQRPLVAAAALAVASVSTDISDKLPSHRSTDVCSTSDLSHSSLHNTFQDSKLSWVSHISVSKLADLSFISRIRVPVPNINFPTPNSGGKFVPKLLYSSVASSPVLLNLYQSADLVKTTNPTTYKHDISTSPSEVTYRWHLPEPNAIDVSGTSGCSSAKFRTVVVLLGWLGAKQKHLKKYAEWYTSRGFHVITFTLPMSEILKYQPGGKVEEHINSLVRHLADWLEGEHGKNLVFHTFSNTGWLTYGVMLEQFQKHDPSLMGRIRGCVVDSAPVAAPDPQVWASGFSAAFLKKHSVAAKGTVDSNESGMDALVGTKGVVAPKPAATEAALLVVLEKFFEVILNLPTVNRRLSDLVGLLSARQPSCPQLYIYSSADRVIPAGSVESFIKQQRRAGHEVRACNFVSTPHVDHFRNDPKLYTSELTQFLEDCVLTCCKESHLS >ONI30522 pep chromosome:Prunus_persica_NCBIv2:G1:26613248:26616041:1 gene:PRUPE_1G255500 transcript:ONI30522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSEGQYQNYGCLLFLFPIHISHSKSLSLSPAIATPKFSISSVRIEERPSSPPPASKPTSTKAPQPPKTPSPPLTTKARDYNNASTFSAAKKGTDPTLPAVIFNALDDIINNFIDPPLRPSVDPKHVLSNNFAPVDELPPTECEIIQGSLPPCLDGAYIRNGPNPQYLPRGPYHLFDGDGMLHSVRISKGRAVLCSRYVKTYKYTIERDAGYPILPSVFSGFNGLTASATRGALSAARVFTGQYNPANGIGLANTSLAFFGNQLYALGESDLPYSLRLTSNGDIQTLGRHDFDGKLFMSMTAHPKIDPETGEAFAFRYGPLPPFLTYFRFDANGTKQPDVPIFSMVTPSFLHDFAITKKYAIFVDIQIGMNPIDMITKGASPVGLDPSKVPRIGVIPRYAKDETEMRWFDVPGFNIIHAINAWDEEDAIVMVAPNILSAEHTMERMDLIHASVEKVRIDLKTGIVSRQPISTRNLDFAVFNPAYVGKKNKYVYAAVGDPMPKISGVVKLDVSNVEHKECIVASRMFGPGCYGGEPFFVAREPENPEADEDDGYVVTYVHDEKAGESSFLVMDAKSPRLDIVADVRLPRRVPYGFHGLFVKESDLNKL >ONI30523 pep chromosome:Prunus_persica_NCBIv2:G1:26613575:26616233:1 gene:PRUPE_1G255500 transcript:ONI30523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSVRISKGRAVLCSRYVKTYKYTIERDAGYPILPSVFSGFNGLTASATRGALSAARVFTGQYNPANGIGLANTSLAFFGNQLYALGESDLPYSLRLTSNGDIQTLGRHDFDGKLFMSMTAHPKIDPETGEAFAFRYGPLPPFLTYFRFDANGTKQPDVPIFSMVTPSFLHDFAITKKYAIFVDIQIGMNPIDMITKGASPVGLDPSKVPRIGVIPRYAKDETEMRWFDVPGFNIIHAINAWDEEDAIVMVAPNILSAEHTMERMDLIHASVEKVRIDLKTGIVSRQPISTRNLDFAVFNPAYVGKKNKYVYAAVGDPMPKISGVVKLDVSNVEHKECIVASRMFGPGCYGGEPFFVAREPENPEADEDDGYVVTYVHDEKAGESSFLVMDAKSPRLDIVADVRLPRRVPYGFHGLFVKESDLNKL >ONI26277 pep chromosome:Prunus_persica_NCBIv2:G1:1065603:1070226:-1 gene:PRUPE_1G014500 transcript:ONI26277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLRSLLLCVLLLLLGSALADTNAAARIHPPIVCANLSRANFDTLVPGFVFGAATASYQVEGAANLDGRGPSIWDTFTHKHPEKIADGSNGDVAIDQYHRYKEDVAIMKDIGLESYRFSISWSRVLPNGTLSGGINKKGIEYYNNLINELLHNGIEPLVTLFHWDVPQTLEDEYGGFLSNRIVNDFEEYAELCFKKFGDRVKHWTTLNEPYTFSSHGYAKGTHAPGRCSAWYNQTCFGGDSATEPYLVTHNLLLAHAAAVKLYKKKYQAYQKGVIGITVVTPWFEPASEAKEDIDAVFRALDFIYGWFMDPLTRGDYPQSMRSLVGERLPNFTKKESKSLSGSFDYIGINYYSARYASASKNYSGRPSYLNDVNVDVKTELNGVPIGPQAASSWLYFYPKGLYDLLRYTKEKYNDPIIYITENGVDEFNQPNPKLSLCQLLDDSNRIYYYYHHLCYLQAAIKEGVKVKGYFAWSLLDNFEWDNGYTVRFGINYVDYDNGLKRYSKHSTHWFKSFLKKSSRKTKKIRRYGNNNTSATKFVF >ONI26710 pep chromosome:Prunus_persica_NCBIv2:G1:2929218:2929838:1 gene:PRUPE_1G040800 transcript:ONI26710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCNWNMRHGGNVHGSNCAPASMPSGSTEIACRICDRVFMSTQALINHIESHIVDDGLTASRLRQLTQQTNTNPPIRGNPAFPLQNPFHQRNPFHDVHNNQIGFAPPPPHHQYPYPQQQLSPYALPRNVNVNVNQGVVGSHYMVMQSANQTAARMASEEDDLSSDCTMPLLSQLERPLPPVNYELDHRGNIGEASSDNLDLTLKL >ONI33488 pep chromosome:Prunus_persica_NCBIv2:G1:36765161:36769330:1 gene:PRUPE_1G427700 transcript:ONI33488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHFHLLPHTFAIILCVIVNIFPTIDSAIASNISTDKEALILFKSGVNLPPSFWDQNSSPCSNWTGVACNQIGQTQRVVSLDLSGSKLAGTINPHVGKLTKLQVLNLARNNLHGPIPSSISNLSATLTHLNLGTNSLSGTIPRELGFLYKLKELDLSVNQLTGTVALSIYNISSLVLFTVASNQLWGEIPSNIGHTLPNLLDFRSCFNLLTGNIPVSLHNVTGIRSIRMSNNFLEGTVPPGLSNLPFLETYNIRFNRIVSYGDDGLSFITSLTNSTRLKYLSIDENRLQGVIPESIGNLSKVLSQLYMGGNRIYGNIPLSIGQLSGLALLNVSYNSISGEIPSEIDQLKELQTLGLARNKMSGPIPNSLGNLRKLNHVDLSGNYFVGHVPPTFASFERLLSMDLSNNLLNGTIPREILNLSSLSTILNLSNNFLSGPLPEIGLLQNVVTVDLSDNALSGSIPSSFAKCTILEELFMARNNLSGSLPNALAEMRGLEILDLSSNQLSGSIPDELKELHALSYLNLSFNHLEGVIPNGGVFVKNTSNVHLEGNPKLCRDGPCGKSGNQRRKVLVLVLIIAAALVTLAVCTAVGCLLYFRKHKGSGYEATSRETRSSDLLNGEHQMVTYEELRVATGNFNQENLIGSGGFGFVYKGYLREGIEVAVKVLDVQIKGSWKSFLAECEALRSVRHRNLVKLITSCSSLDFKNMEFLALVYEYLSNGSLEDWINGKRKNADGDGLNIVERLNVAIDVACGLDYLHHDCEVPVVHCDLKPSNILLDTDMTAKIGDFGLAKLLMGRTMSTQHSLSSTNFLKGSIGYIPPEYGFGQKPSTAGDAYSFGVMLLELFTGKSPTHERFTGDQNLIKWVQSSIPHNMTKVIDSRMLDYLPNEDPRTIPELERNCLISILEVGLSCSCALPDARISLREAHYKLETARQTLSSVSHVESVKH >ONI35237 pep chromosome:Prunus_persica_NCBIv2:G1:42988560:42990414:-1 gene:PRUPE_1G524500 transcript:ONI35237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVYPKEYPFGFGCKDFYSFNGGGASWGCDFISQEGQNNHIEQHVLNENWDYCSSSPSLLQNVKEWDPNSSPEACTADQSVPPGHLSAMETPPPPPPPPPPTTTNRRKRRRTRSSKNKEEIENQRMTHIVVERNRRKQMNEYLAVLRSLMPQSYAQRGDQASIIGGAINFVKELEQLLQSMDSNKRSKQQPLAEFFTFPQFSTRATQSNNNSAGVQANEPNMAQSNSNQWAAADIEVTMVDSHANLKILSKKRPRQLLKMVAGFQSLRLSVLHLNVTTVDEMVLYSVSVKIFLHKVGVM >ONI35236 pep chromosome:Prunus_persica_NCBIv2:G1:42988157:42990414:-1 gene:PRUPE_1G524500 transcript:ONI35236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVYPKEYPFGFGCKDFYSFNGGGASWGCDFISQEGQNNHIEQHVLNENWDYCSSSPSLLQNVKEWDPNSSPEACTADQSVPPGHLSAMETPPPPPPPPPPTTTNRRKRRRTRSSKNKEEIENQRMTHIVVERNRRKQMNEYLAVLRSLMPQSYAQRGDQASIIGGAINFVKELEQLLQSMDSNKRSKQQPLAEFFTFPQFSTRATQSNNNSAGVQANEPNMAQSNSNQWAAADIEVTMVDSHANLKILSKKRPRQLLKMVAGFQSLRLSVLHLNVTTVDEMVLYSVSVKIEEGCLLNTVDEIAAAVNQMLRRIEEGGFS >ONI27971 pep chromosome:Prunus_persica_NCBIv2:G1:9063193:9066701:-1 gene:PRUPE_1G114400 transcript:ONI27971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDSDFHKMNIKPQEEGDTRIPMDSEGSSNVQNDKGKENEELTNSMKKLQVEESSSGQAGSSFKKKPVIIIVVGMAGSGKTTFLHRLVSHTRESNVRGYVMNLDPAVMTLPFGANIDIRDTVRYKEVMKQFNLGPNGGILTSLNLFATKFDEVVSVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVIAYVVDTPRSASPVTFMSNMLYACSILYKTRLPLVLAFNKTDVAQHQFALEWMEDFEAFQAAVSTDSSYSSTLAQSLSLVLDEFYKNLRSVGVSAVSGAGMKDFFKAIEASVEEYMGNYKADLEKRRAEKERLEEEHRKENMEKLRKDMEKSGGETVILSTGLKDKDERGKAMMDEDEEEVEEEEEDEMFTEDEDALDEDEDEEVGRFTF >ONI30426 pep chromosome:Prunus_persica_NCBIv2:G1:26253437:26257067:1 gene:PRUPE_1G250700 transcript:ONI30426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVASLPGFNLFSSLPSNPPPNDPNSSTTSASPSPPIPIPKYPPPLKKSQNAPPNPALKTVHRRTKYYKPVRDGVISSDGDRAVVIGENGVSYLLPGAPFEFQYSYSETPKVKPLAIREPAFLPFAPPTMPRPWTGKAPLKSAKEKKLKRKVPLLDSFGPMAPGTSGVKEVVMARPVELGKYPKQEKTREEILGEPLKKWEIKMLIQPQLSDNRQVNLGRDGLTHNMLDLIHSHWKRRPVCKVKCKGVPTVDMDNVCRHIEERTKGKIIHRVGGVVYLFRGRNYSYKDRPQYPLMLWKPAAPVYPKLIQEAPEGLTKDQAEEFRKKGKRLLPICKLAKNGVYITLVRDVRHAFEGSPLVKIDCRGMHASDYKKLGAKLKELVPCVLLSFDDEHILMWRGPNWISMHQAAPSPSDTADFDILSSTGRGKDYDKPCKPDTKTVMTSPKMMSLWKRSIDSNKALLLDELDLDPDALLKKVEEFEGVSQATEHSYPALIVSSEDGTSSSVQVFEEVPQSDPYSEYDDYSDNYDYESDDDDAFYDSDSIPLGSLPVDVIVEELDHD >ONI29983 pep chromosome:Prunus_persica_NCBIv2:G1:23876944:23879447:-1 gene:PRUPE_1G225100 transcript:ONI29983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKMLVVALFLIVGSVREASAEATVAQKCSNQFQKVAVCLSYATGKAETPTKECCESVKGIKDSEPECLCYVMQQANSGSDEIKKMGIQVAKLLQLPTACSLKNASASDCPKLLGIPAGSPEAAIFNNNASTATPSAPAGKSAPTKAGDSSGITKPGPHHAGLMATAVAIIFFTFPVVGSISNLFV >ONI32405 pep chromosome:Prunus_persica_NCBIv2:G1:33564139:33566732:-1 gene:PRUPE_1G366000 transcript:ONI32405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAATPPAVGPFYLRRRSPSTAMSMPRFRMAVRSAAVSVTPLLTKLHNDSATPLPLLRHVADAMAADMRAGLAVDGGSDLKMILSYVDSLPSGNEEGLFYALDLGGTNFRVLRVQLGGKQERVIATEFDQVSIPKELMFGTSEQLFDFIASGLAKFAQKEGNKFHLPTGTKREIGFTFSFPVKQTSIDSGILIKWTKGFSVSGTAGKDVVACLNEAMERRGLDMRVSALINDAVGTLAGARYWDDDVMVAVILGTGTNACYVERADAIPKLQGQVSSSGRTIINTEWGAFSDGLPLTEFDREMDAASINPGEQIFEKTISGMYLGEIVRRVLVKMAEADALFGESVPEKLSTPFALRTPDICAMQQDISDDLQDVGSILYNVAGVESNLSARKIVVDVCDTVVKRGGRLAGAGIVGILQKMEEDSKGLIFGKRTVVAMDGGLYENYPQYRRYLQEAVTELLGTEISKNVVIEHSKDGSGIGAALLAAANSQYA >ONI32404 pep chromosome:Prunus_persica_NCBIv2:G1:33563784:33567154:-1 gene:PRUPE_1G366000 transcript:ONI32404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAATPPAVGPFYLRRRSPSTAMSMPRFRMAVRSAAVSVTPLLTKLHNDSATPLPLLRHVADAMAADMRAGLAVDGGSDLKMILSYVDSLPSGNEEGLFYALDLGGTNFRVLRVQLGGKQERVIATEFDQQLFDFIASGLAKFAQKEGNKFHLPTGTKREIGFTFSFPVKQTSIDSGILIKWTKGFSVSGTAGKDVVACLNEAMERRGLDMRVSALINDAVGTLAGARYWDDDVMVAVILGTGTNACYVERADAIPKLQGQVSSSGRTIINTEWGAFSDGLPLTEFDREMDAASINPGEQIFEKTISGMYLGEIVRRVLVKMAEADALFGESVPEKLSTPFALRTPDICAMQQDISDDLQDVGSILYNVAGVESNLSARKIVVDVCDTVVKRGGRLAGAGIVGILQKMEEDSKGLIFGKRTVVAMDGGLYENYPQYRRYLQEAVTELLGTEISKNVVIEHSKDGSGIGAALLAAANSQYA >ONI30034 pep chromosome:Prunus_persica_NCBIv2:G1:24044097:24047196:-1 gene:PRUPE_1G227200 transcript:ONI30034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRSQKMYGKAAFALVFAILILLITYSIFIGTVDIRSYFLPLLPSPPPGAQPPRATGPPLKVYMYDLPRRFNVGMLNRKSTEQAPVTARTWPTWPRNSGLKRQHSVEYWMMGSLLFDGDGGDGRAAVRVSDPELADAFFVPFFSSLSFNTHGHHMTDPATEIDHQLQIDVLKILGESKYWQRSGGRDHVIPLTHPNAFRFLRPQINASIQIVVDFGRYPHVMSNLSKDVVSPYVHVVDSFTDDNHSNPYESRTTLLFFQGRTFRKDEGIVRVKLAKILAGYDDVHYERSVATGDNIKASSQRMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDEIELPFEDEIDYTKFSLFFSFKEALEPGYMVDQLRKFPKDRWIEMWRQLNSISHHFEFHYPPEKEDAVNMLWRQVKHKLPAVKLAIHRNRRLKIPDWWRRRK >ONI26477 pep chromosome:Prunus_persica_NCBIv2:G1:1933812:1936072:1 gene:PRUPE_1G027600 transcript:ONI26477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAVSRKMPNMLGVERDLNLKETELCLGLPGGGTVPEPETPRATGKRGFSETIDLKLNLQSKEDLNDNVKNIASKEKNNLLTCTKDPAKPPAKAQVVGWPPVRSYRKNIMAQKSSSEESTEKGSGCSAAFVKVCMDGAPYLRKVDLKMYKSYQELSNSLAKMFSSFTMGYYGAQGMIDFMNESKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKNRS >ONI28987 pep chromosome:Prunus_persica_NCBIv2:G1:14596645:14598804:-1 gene:PRUPE_1G173900 transcript:ONI28987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPSKAEKKIAYDSKLCQLLDEYGQILIVAADNVGSNQLQNIRKGLRGDSIVLMGKNTMMKRSIRIHAEKTGNNVYLNLVPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVQTVYDNGSVFSPEVLDLTEDDLMVKFALGVSMVTSLALAIAYPTLAAAPHMFLNAYKNVLAVSLATEYTFPQAEKVKEYLKDPSKFAAAVAPVAADAAPAAAAKVEEKKEEPAEESDEDIGFSLFDD >ONI31748 pep chromosome:Prunus_persica_NCBIv2:G1:31206632:31208687:1 gene:PRUPE_1G328400 transcript:ONI31748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGKLETEVEINTPADKFYKIFASQIMHLLPNVSSDKIQGVELHEGDWETAGSVKHWDYTLDRSSLSLKETVEAIDEENKTVTFQRFGWRNIEALQELQETEKTNGGSLGKWILDYEEVKDEIPAPQSYQDFAVNITEDLDAHLVNA >ONI31157 pep chromosome:Prunus_persica_NCBIv2:G1:29286726:29292744:1 gene:PRUPE_1G296500 transcript:ONI31157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQDTSPSVLLFFDKERFIFNAGEYESQLGSHPIASDSIVSHLSDV >ONI30765 pep chromosome:Prunus_persica_NCBIv2:G1:27849711:27851885:-1 gene:PRUPE_1G271600 transcript:ONI30765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGYAVEVTGLSPKATEKDVHDFFAFSGSIDHVEIVRSGECACTAYVTFKDSYSQETACLLSGATIIDQAVCITRWGHYQDEFDFWNRPRHEEETDSSPPQGSQNVPSAGEVVTMAQEVVKTMLSKGFVLGKDALAKAKSMDDSHQVSASAAARVTELSQRFGLADKICAGVGAVKSVDERYHVSEITKLAMSETGRKAAEAATAVANSSYFSTGALWVSDALSRAAKVAADLGTQNVRQ >ONI30766 pep chromosome:Prunus_persica_NCBIv2:G1:27849783:27851551:-1 gene:PRUPE_1G271600 transcript:ONI30766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGYAVEVTGLSPKATEKDVHDFFAFSGSIDHVEIVRSGECACTAYVTFKDSYSQETACLLSGATIIDQAVCITRWGHYQDEFDFWNRPRHEEETDSSPPQGSQNVPSAGEVVTMAQEVVKTMLSKGFVLGKDALAKAKSMDDSHQVSASAAARVTELSQRFGLADKICAGVGAVKSVDERYHVSEITKLAMSETGRKWRIAATFLRELFGCQML >ONI26673 pep chromosome:Prunus_persica_NCBIv2:G1:2754400:2756652:-1 gene:PRUPE_1G039000 transcript:ONI26673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGGNASSGMGVAEHCKNTFLELQRKKAYRYVIFKIDEKKKEVVVEKTGGPAESYDDFTASMPENDCRYAIYDFDFVTSENCQKSKIFFIAWSPSVSRIRAKMLYATSKDRFRRELDGIHYEIQATDPTEMELEVLRDRAH >ONI29785 pep chromosome:Prunus_persica_NCBIv2:G1:22770634:22774954:1 gene:PRUPE_1G214700 transcript:ONI29785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSVRVLPCDGDGFCMACKTKPSAEETLTCKTCYTPWHVACLAVPPETLAETLMWDCPDCAPPSDDGQIVGNTVADGGEVGSSGELIAAIRAIESDESLTEREKAKRRQELMSGGARPPSDGVGANPEKDDKTVGENDGLDNLEVDIKCSICMQLPERPVTTPCGHNFCLKCFQKWVKQGKRTCANCRCAVPPKMASNPRINSVLVEAIRIAKQSNGFIGEEQRAKVVHYVQIQNQNRPDKAFTTERAKKAGKANAASGRIFVTIPPDHFGPIPAENNPEKKTGVLVGETYDGRIECRQWGVHFPMISGIAGQSKHGAQSVVLSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKAHTFDQKFELRNQALRVSCLQGYPVRVVRSKKEKRSSYAPPAGLRYDGVYRIEKCWTKHGKQGFKVCRYLFVRCDNEPAPWTSDVHGDRPRLLPVIPELVNAIDVTERRVEPSWDFDEEKGCWMWKRSPPRSKIPVDSGNLGDKKQTRKVIKRKQKTTVSKRLLKGLECYLCHNVMTLPITTPCAHNFCKDCLEGAFAGISATTQRTCQGRRTLRAKKNVMKCPSCTNDIADFLNNPQVNTELKNIIESLQVKAVKSEEGAKESSKHTDGSHEKPDLVAEDPETRNASEATLDEAKEGADICMRDAERHEGKELFKQQDSVNCEVELGPVEPAKANKKELQATAVERNLKQTRKRKKANNRGNALDVGAMTSSKRGKVEAAA >ONI35595 pep chromosome:Prunus_persica_NCBIv2:G1:44505726:44510142:1 gene:PRUPE_1G544700 transcript:ONI35595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLSESRDLTRIERIGAHSHIRGLGLDSALEARDVSEGMVGQTSARKAAGVILQMIKEGKIAGRAVLLAGQPGTGKTAIAMGMAKSLGLETPFAMLAGSELFSLEMSKTEALMQAFRKAIGVRIKEETEVIEGEVVEVQIDRPAVAGAASKTGKLTLKTTEMETVYDLGAKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPHIKFMQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRSEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITTIRGTNYKSPHGIPIDLLDRLLIITTQPYTEDEIRKILEIRCQEEEVEMSEEAKHLLTKIGVDASLRYAIHLITASALACQKRKGNIVEMEDINRVYHLFLDVKRSTQYLMEYQSQYMFSEEGDEDDTNAMQS >ONI26308 pep chromosome:Prunus_persica_NCBIv2:G1:1175477:1176427:-1 gene:PRUPE_1G016400 transcript:ONI26308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKIIDLVLRGKATHSYDPPLYMPTYSKLCLEPSQISCNSMNSSRISLRPFKLSDANDFLKWASDDKVTRYLRWNTITSREEALTYIEKLATHPWRQSICLDDQSIGYVSVKPEQGDDMCRAHVSYVVSAEYCGQGIATVALRMAMCRVFRELPCLVRIEALVEVENKGSQRVLEKVGFLKEGLLRKYGYCKGEIRDMFIYSFLSTDKIM >ONI33394 pep chromosome:Prunus_persica_NCBIv2:G1:36409673:36413451:-1 gene:PRUPE_1G421500 transcript:ONI33394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSGGGGGNNNSSGNNSNNGGGGGGNNSNNGGGRGGGNNNNNNGGGRGGGNNNNNNGGGRGGGNDNHNNGGGRGGGNDNDSNDGSRGGGNNNDSNGGGRGSGNNNNSNGGGRGGGGGSGGNNNNNGGGNKKAEKTEELQPYPVKEQLPGVQYCVNSPPPWPEAVILGFQHYLLTLGITVFIPSLLVPQMGGGDIEKARVVQTMLFVSGLNTFMQSLFGTRLPSVVVGSYTYVIPTTSIILASRYKATTDPHEKFSETMRGIQGALIITASFQMIMGFLGLWRIAVRFLSPLSVVPFITFAGLGLSQLGFPLLARCVELGLPELIIVVFVSQYLSRFIHTKRQICGRFSVLFSVAIVWLFAQILTSSGVYNNTPENTQISCRTDRSGLISAAPWIYIPYPFQWGSPTFNAGEAFAMIAASFVSLFESSGTFYATARYGSATPVPPSVISRGVGWLGVGVLLNGMFGSPTGTTASVENAGLLALTRVGSRRVIQISAFFMIFFSVFGKIGALFASIPVPIIAALYCVLFGYVASAGLGFLQFCNLNSFRTKFILGFSFFMGVSVPQYFREYRSGHDHGGPRWFEDIVNVIFMSHTTVAALVGVILDNSLSRENDASRKDSGLNWWEKFSLYRSDVRNDEFYALPCRLDKFFPAL >ONI33004 pep chromosome:Prunus_persica_NCBIv2:G1:35286467:35287099:-1 gene:PRUPE_1G399100 transcript:ONI33004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQSAFFPMLILLLLVFSLGKMQGEAQTCSFPTIPMINCSKQSCILTCIQQYGGNINGGCIDNDTCCCKN >ONI34654 pep chromosome:Prunus_persica_NCBIv2:G1:40961121:40965608:-1 gene:PRUPE_1G492800 transcript:ONI34654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPAFHERGPPYVRWAAAMAVGQQTGVPWVMCKQDDAPDPVINSCNGMNCGETFAGPNSPNKPSLWTENWTSQYQVYGGEPYIRSAEEIAYQVALFIAKNRGSYVNYYMYHGGTNFGRTASAFVVTSYYDEAPLDEYGSIRQPKWGHLKELHAAVKLASSALLSGDQTSISLGQLQDAYVFKVQSGECAAFLVNKGPKHATVPFQESSYQLPPKSISILPDCKKVAFNTAKVSAQHATRSWQVTQKFDTAENWEEYKEAIPNYEKTTLRASALPEQISITKDESDYLWYTFSFQHDSNAQSTLSVKSHGHVLHTFVNGVFTGSAHGRHRNESFSLEQTVTLSKGINYISLLSAMVGLPDNGAYLERKVGGLHEVRVEDQDFSKSSWGYQVGLDGEKLQIHSDSGSSKVQWSKLGNSDHQPLTWYKILFDAAAGHDSIALNLGSMGKGEAWVNGQSIGRFWVSFQTPKGKPSQTWYNVPRSFLKPTGNLLVLLEEENGDPLGISLDKVSITQVCGHVSETHLPPVSKWLVQKTQNQNNTKTKLGRRPKIQLSCPPKKSISKVLFSSFGNPSGDCKTYATGSCHSSNSKAIVEQACLGKRRCSIPVSLQKFGDPCPSISKTLLVDAQCT >ONI34652 pep chromosome:Prunus_persica_NCBIv2:G1:40962082:40967930:-1 gene:PRUPE_1G492800 transcript:ONI34652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVWLLLCSFALLSKMSLVCNSEAGSVTYDARSLIIDGQHKILFSGSIHYPRSTPEMWPSLIAKAKEGGIDVIQTYVFWNLHEPQQGKFDFSGRHDIISFITEVQKQGLYVCLRIGPFIESEWSYGGLPIWLRDIPDIVFRSDNEPFKTEMEKFTTKMVTMMKAENLYASQGGPIILSQIENEYNMVEPAFHERGPPYVRWAAAMAVGQQTGVPWVMCKQDDAPDPVINSCNGMNCGETFAGPNSPNKPSLWTENWTSQYQVYGGEPYIRSAEEIAYQVALFIAKNRGSYVNYYMYHGGTNFGRTASAFVVTSYYDEAPLDEYGSIRQPKWGHLKELHAAVKLASSALLSGDQTSISLGQLQDAYVFKVQSGECAAFLVNKGPKHATVPFQESSYQLPPKSISILPDCKKVAFNTAKVSAQHATRSWQVTQKFDTAENWEEYKEAIPNYEKTTLRASALPEQISITKDESDYLWYTFSFQHDSNAQSTLSVKSHGHVLHTFVNGVFTGSAHGRHRNESFSLEQTVTLSKGINYISLLSAMVGLPDNGAYLERKVGGLHEVRVEDQDFSKSSWGYQVGLDGEKLQIHSDSGSSKVQWSKLGNSDHQPLTWYKILFDAAAGHDSIALNLGSMGKGEAWVNGQSIGRFWVSFQTPKGKPSQTWYNVPRSFLKPTGNLLVLLEEENGDPLGISLDKVSITQVCGHVSETHLPPVSKWLVQKTQNQNNTKTKLGRRPKIQLSCPPKKSISKVLFSSFGNPSGDCKTYATGSCHSSNSKAIVEQACLGKRRCSIPVSLQKFGDPCPSISKTLLVDAQCT >ONI34653 pep chromosome:Prunus_persica_NCBIv2:G1:40961121:40968420:-1 gene:PRUPE_1G492800 transcript:ONI34653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVCNSEAGSVTYDARSLIIDGQHKILFSGSIHYPRSTPEMWPSLIAKAKEGGIDVIQTYVFWNLHEPQQGKFDFSGRHDIISFITEVQKQGLYVCLRIGPFIESEWSYGGLPIWLRDIPDIVFRSDNEPFKTEMEKFTTKMVTMMKAENLYASQGGPIILSQIENEYNMVEPAFHERGPPYVRWAAAMAVGQQTGVPWVMCKQDDAPDPVINSCNGMNCGETFAGPNSPNKPSLWTENWTSQYQVYGGEPYIRSAEEIAYQVALFIAKNRGSYVNYYMYHGGTNFGRTASAFVVTSYYDEAPLDEYGSIRQPKWGHLKELHAAVKLASSALLSGDQTSISLGQLQDAYVFKVQSGECAAFLVNKGPKHATVPFQESSYQLPPKSISILPDCKKVAFNTAKVSAQHATRSWQVTQKFDTAENWEEYKEAIPNYEKTTLRASALPEQISITKDESDYLWYTFSFQHDSNAQSTLSVKSHGHVLHTFVNGVFTGSAHGRHRNESFSLEQTVTLSKGINYISLLSAMVGLPDNGAYLERKVGGLHEVRVEDQDFSKSSWGYQVGLDGEKLQIHSDSGSSKVQWSKLGNSDHQPLTWYKILFDAAAGHDSIALNLGSMGKGEAWVNGQSIGRFWVSFQTPKGKPSQTWYNVPRSFLKPTGNLLVLLEEENGDPLGISLDKVSITQVCGHVSETHLPPVSKWLVQKTQNQNNTKTKLGRRPKIQLSCPPKKSISKVLFSSFGNPSGDCKTYATGSCHSSNSKAIVEQACLGKRRCSIPVSLQKFGDPCPSISKTLLVDAQCT >ONI28582 pep chromosome:Prunus_persica_NCBIv2:G1:11738720:11742428:-1 gene:PRUPE_1G149600 transcript:ONI28582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCSNPPTLDPSGGSGHVEKFGGLDTYVTGSPDSKLAILLASDIFGYDAPNLRKLADKVAAAGFFVVVPDFFYGDPFVPGDDGSYANLPTWLKDHGPDKAFEESKPVLEVLKSKGVSAVGAAGFCYGAKVVVELSKHDFIQAAVLCHPSFVTLDDIKAVKVPISILGAEIDKMSPPEVVKQFEEALTAKSEIECHVKIFPKVAHGWTVRYNVEDEAAVKAAEEAHQDLLEWFLNHVK >ONI27232 pep chromosome:Prunus_persica_NCBIv2:G1:5385368:5390044:1 gene:PRUPE_1G075100 transcript:ONI27232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGEEYPDDTLTFMLLAIEQAKVAMDCLEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDTLLEQWREKRLSQSEVAKIFSKCKLYVTCEPCIMCAAALSFIGIKEVFYGCGNDKFGGCGSILSLHSSSSEPLISGGAPRGEGFKCNGGIMASEAVSLFRSFYEQGNPNAPKPHRPLAQQATQ >ONI29319 pep chromosome:Prunus_persica_NCBIv2:G1:18067522:18068366:-1 gene:PRUPE_1G193000 transcript:ONI29319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSFESICHNHHSLLNHHHHTEIAPSTLSSTLFLKPTTTNTPNTISISSLSSTKTFSISPTTSLSTPSSTQAISFDLLQQHLSTQNFREADEETRRLLIVLAGEQAQKRGYVFFSEAQFISEADLKAIDDLWRQHSNNKFGYSVQRKIYGKVSNDFTKFFIKVGWMKKLDTEVEQYNYRAFPNEFIWELNEDTPEGHLPLTNALRGTQLLKSILEHPAFKPTEEELLQAKAAGEDMGNGVTGLKGFMDGSKSKRVLKSDYSF >ONI29322 pep chromosome:Prunus_persica_NCBIv2:G1:18121804:18122259:-1 gene:PRUPE_1G193300 transcript:ONI29322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQQMLQCKPNASFSQHAAQLLFLRSPSRTPRPSASPSSSPYRTPRPAPPAPAQSPVLHTPASAARSPARVSTCSKPRHVPLHTQGPAPSNHSSGPPRAPSLTPPCFYFKAQ >ONI29403 pep chromosome:Prunus_persica_NCBIv2:G1:18755482:18762393:1 gene:PRUPE_1G196500 transcript:ONI29403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAVFGIAVRRASSILKSSPSKPLFLRSGFPTQEPIFFPAEHLPLPNPPNSSWVFRNLSHGTVNLVISQGKPKFEMHEIDPPKKEKWKTKKRFKLQRMREKQERKAANRKDPRRLGIKRKKRQKFATADERIKYKLEKARIKEALLIERLKRYEVPKVQGPEVKPDDLTGEERFYMKKMAQKKSNYAPIGKRGIFGGVILNMHMHWKKHETVKVICKPCKPGQVHEYAQEIARLSGGIPIQIVGDDTIVFYRGKNYVQPKVMSPVNTLSKKKALEKFKYEQSLESVRHFIAIAEKELELYYRHIALYGDPNDRNPLSILDSPTEETKESGNLKILGKQKLDSTSDFFSAGLSGTEADSSNSELSETDGSEHDNLSLSESDSEDDKIYSSDDEACTSKTQLFDFKHERLERRRENLI >ONI32177 pep chromosome:Prunus_persica_NCBIv2:G1:32768012:32776644:1 gene:PRUPE_1G352500 transcript:ONI32177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSPWDIPMLSNPNEYSNFQCFLQSITPSVPTQQQPPKVPMQMLNGCLSQSLGNSGIACFSLSDLWKFYKDWSCFGAGVPILLNSGDSVLQYYSPSLSALQIYTRKPVDYYSRLGISCTPKLESDSSDDSTSDLEVSCQTTERFGHLYCQYNETASPYDRVPLTDKINELAQNYPALLKFQSVQLSPYSWMAVAWYPIYQIPFTRNAKDLSACFITYNTLSSFQGSKLGAPKSIYILPA >ONI29346 pep chromosome:Prunus_persica_NCBIv2:G1:18341819:18343860:-1 gene:PRUPE_1G194200 transcript:ONI29346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSPELFTGKRPTDDMFKDGLSIHQLAAMALPNHVMDIVDPSLLLETDGEDDDDDRYDNDIQEKPITRYHVGGQLQARRLEECFVSVMRIGLSCSAMSQPEGMSMDVVVNKMKTIRDSCLDLRRS >ONI34706 pep chromosome:Prunus_persica_NCBIv2:G1:41058837:41063355:1 gene:PRUPE_1G494100 transcript:ONI34706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRVSLCFCLFLALCLTTIRAEEAESKEFVLTLDSSNFSDTVSKHDFVVVEFYAPWCGHCKKLAPEYEKAASILSSNDPPVILAKVDANEEANKGLASEYEVKGFPTIKILRNGGKIIQEYKGPREADGIVDYLKKQSGPASAELKTVEDATNLVGDKKIVVVGVFKKFSGEEFNNFTALAEKLRSDYEFGHTLDAKLLPRGESSVSGPVVRLFKPFDELFVDFEDFHVDALEKFVEESSLPVITEFNNDPSNHPFVIKFFNNPNEKALLFLNFSSEGVDAFKSKYREVAEKYNKEGISFLIGDLEASQGAFQYFGLKEDQVPLIIIQTNDGQKFLKPNVEPDHIIAWVQEYKEGKVSPYKKSEPIPEPNNEPVKVVVADSIQDVVLKSGKNVLLEFYAPWCGHCKKLAPILDELAVSYEKDSDVIIAKFDATANDVPSDFNVKYYPTLYFKTASGKILPFDEDERTKESFTAFIEKNRDKTEKQGSEKQDSGKDEL >ONI29147 pep chromosome:Prunus_persica_NCBIv2:G1:16189658:16191006:-1 gene:PRUPE_1G183100 transcript:ONI29147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHGNRFPGHGRTLVEQEPPRESIKQKLPQVNRQDQGGGGGIRSQIRDNNIMADPNSQNVGASNFHNVQGQGEGQGGGHDICGNTITAREGASSVGFHNFGNTTPGWKCRIL >ONI29148 pep chromosome:Prunus_persica_NCBIv2:G1:16190180:16190710:-1 gene:PRUPE_1G183100 transcript:ONI29148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSEHGNRFPGHGRTLVEQEPPRESIKQKLPQVNRQDQGGGGGIRSQIRDNNIMADPNSQNVGASNFHNVQGQGEGQGGGHDICGNTITAREGASSVGFHNFGNTTPGWKCRIL >ONI29149 pep chromosome:Prunus_persica_NCBIv2:G1:16189885:16190751:-1 gene:PRUPE_1G183100 transcript:ONI29149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSQTDVKILNQQEPSEHGNRFPGHGRTLVEQEPPRESIKQKLPQVNRQDQGGGGGIRSQIRDNNIMADPNSQNVGASNFHNVQGQGEGQGGGHDICGNTITAREGASSVGFHNFGNTTPGWKCRIL >ONI28232 pep chromosome:Prunus_persica_NCBIv2:G1:10511100:10514019:-1 gene:PRUPE_1G132800 transcript:ONI28232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDAKRSVPGALSAKPNSDDRKPSPAVTTATGKRVIIKSADMFQDMQKEAVDIAIGAFEKHSVEKDVAEYIKKEFDKRHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >ONI28233 pep chromosome:Prunus_persica_NCBIv2:G1:10513040:10514019:-1 gene:PRUPE_1G132800 transcript:ONI28233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDAKRSVPGALSAKPNSDDRKPSPAVTTATGKRVIIKSADMFQDMQKEAVDIAIGAFEKHSVEKDVAEYIKKEFDKRHGPTWHCIVGRNFGNPFNFSLPFSGYSFAFFLLGCFVQI >ONI32729 pep chromosome:Prunus_persica_NCBIv2:G1:34392194:34396534:1 gene:PRUPE_1G382300 transcript:ONI32729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFELKKRWRAIAPHQLKNKSAGRFCLFPKSKSDRSDPRQAPVYLNVYDLTPMNGYVYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTSLDPTQVREFMERQSLSYNGDTYHLIVKNCNHFCRDICHKLTGKSIPKWVNRLAKIGSICNCVLPEALKISSVQHDPNCQPYDSEKRSLRSTFSCLSSISMRQKQLSSSSLFLQSPLKGCLPPWELRRSNNGSLKER >ONI32728 pep chromosome:Prunus_persica_NCBIv2:G1:34392194:34396534:1 gene:PRUPE_1G382300 transcript:ONI32728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFELKKRWRAIAPHQLKNKSAGRFCLFPKSKSDRSDPRQAPVYLNVYDLTPMNGYVYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTSLDPTQVREFMERQSLSYNGDTYHLIVKNCNHFCRDICHKLTGKSIPKWVNRLAKIGSICNCVLPEALKISSVQHDPNCQPYDSEKRSLRSTFSCLSSISMRQKQLSSSSLFLQSPLKGCLPPWELRRSNNGSLKER >ONI28158 pep chromosome:Prunus_persica_NCBIv2:G1:9985573:9986467:1 gene:PRUPE_1G127300 transcript:ONI28158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYTDESTSVIPPPRLFKALVLEADTLIPKIAPQSVKSAEIVEGDGGVGTIKKISFGEGSHYSYVKHRIDGLDKDNFVYSYSLVEGDALSDKVEKISYEIKLVASADGGSIIKSSSNYHTTGDVEIKEEDVKAGKEKATGLFKLIENYLVANPDAYN >ONI35857 pep chromosome:Prunus_persica_NCBIv2:G1:45526046:45531248:-1 gene:PRUPE_1G557500 transcript:ONI35857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKPFNSLSIAFMMGGAFLILFLCSFLEFPSISTSIQPMKDPNLSLSLTKSTPDPFTNLISAFKKWDSQVGCAHFRGKHHEALLQSQNQNGSSSSLQQVQLGIESECREPKVEHISVMVKGWTWIPDNLDNLYSCPCGLSCLWTKSSVLADKPDALLFETTTPPLQRNTGDPLRAYMDLEAGRKKSGFEDLFISYHAKDDVQCTYAGALFHNGRNYHVSSYKHNDTLVYWSSSRCLPQRNKLAYKLLSLLPHHSFGKCLNNVGGLDMALSFYPECASDASVSQKWWDHLHCAMSHYKFVLAIENTMTESYVTEKLFYALDSGAVPIYFGAPNVWDFVPPHSIIDGTKFSKLEELASYVKALANDPVAYAEYHGWRRCGVMANYVKTRALSLDTLPCRLCEAVSRKGGRNARGK >ONI32128 pep chromosome:Prunus_persica_NCBIv2:G1:32616494:32617312:-1 gene:PRUPE_1G350000 transcript:ONI32128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKTKKETERDEVEELLQAAQDDMLLKLSLNSHLSRGVSLDDADIGRRFEALKMKTVITGAAPGAGAGPAASSVSKSNSNSIQVDEELKAVLGDDLSTRFAALKASIPMPSSDAAVRSSASSYYEIDNDNDDEDEVEKLIRWAKDAARLDPSPPSDDDDDQDKSESDDDDHHDDPKGNGPDRK >ONI32691 pep chromosome:Prunus_persica_NCBIv2:G1:34294988:34295326:-1 gene:PRUPE_1G380000 transcript:ONI32691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVPNKLLSLETIINMPCLNEHLFLQKIYKRDKPSIILLGFDNLGVWLGRGGCYLFCGSISSLVRAPKLRGLGGWWFESTWIRYIQLTESIFNLFSCDFVICFVGVLPPLP >ONI30288 pep chromosome:Prunus_persica_NCBIv2:G1:25599781:25601834:-1 gene:PRUPE_1G242300 transcript:ONI30288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFITPLLICLPFLVLLLFLSLKKNKQQHQRHLPPGPSKLPFIGNLHQLGSSTHQSLWKLSKTYGPVMLLHLGRVPTLIISSAEAAKEALKTNDLLCCTRPTTAGSRRLTYNYLDVAFAPYGEYWREIRKICVLELLSVKRVQSYWSVREEEVAKLVNSLSSSSSSGAPVDLTEKLFVLTASIIFRIVFGTSFRGSKFEHDTNIPELIHDIQTMLGGLSGADYFPSFIGWIMDRVSGVHKEFDRIWNELDGFFQQVIDDHLRAGRAVGEQDHEDIVDVLLKIVREQTGLFGAAQLGHNNIKAVLMNLFLGGIDTSATTMTWAMAELARKPKLMKKAQEEVRRCIGNKGKINEGDTDELEYLKMIIKETLRLHPPAPLILPREAMSHFKIQGYDVDPRTVVFVNDWAIARDPESWKDPEEFIPERFDGSSIDYKGQHFEFLPFGAGRRVCPGMYMGTTTIAFGLANLLYWFDWKLPNGMKEEDIDMEETGGLSLTIAKKTAFHLVPVKFSHETHIS >ONI30542 pep chromosome:Prunus_persica_NCBIv2:G1:26727229:26729207:1 gene:PRUPE_1G257100 transcript:ONI30542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEEAGHRSKLLGLKSVTVIMVMVEFLDVGLNILSKAAMKKGMSNFVFVVYANALALFVLLPSSFFFYRRRTYPPLSWSLICKLFLVSFMSSGAQLLKFIGINYSSPTMASAMIDLMPAFTFILAVITGMEILDLRITSRQAKCIGTVVSISGALMLTLYKGLPLIRADASHSKLISLQLLLSSQTNWFIGGIVLAFQSFLLAMMHIAETWVIRDYPAVLMVTTIRCIFVTIQSAAISLIAVKGLNAWKLKPDIELIAIGYNATFEVMLRTGIHLWAMNIKGPVYVAMFKPLGLVMALFVGVAFLGDTLYLGRLLGAAITVVGFYAVIWGKAQEEKEKAQNDQTRSFESFPQSEAEPLLPKHCKQGTEEKSLLERVFLIK >ONI30543 pep chromosome:Prunus_persica_NCBIv2:G1:26727275:26728441:1 gene:PRUPE_1G257100 transcript:ONI30543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEEAGHRSKLLGLKSVTVIMVMVEFLDVGLNILSKAAMKKGMSNFVFVVYANALALFVLLPSSFFFYRRRTYPPLSWSLICKLFLVSFMSSGAQLLKFIGINYSSPTMASAMIDLMPAFTFILAVITGMEILDLRITSRQAKCIGTVVSISGALMLTLYKGLPLIRADASHSKLISLQLLLSSQTNWFIGGIVLAFQSFLLAMMHIAETWVIRDYPAVLMVTTIRCIFVTIQSAAISLIAVKGLNAWKLKPDIELIAIGYNVRDDLFQLYRLSFL >ONI26676 pep chromosome:Prunus_persica_NCBIv2:G1:2802857:2804458:1 gene:PRUPE_1G039400 transcript:ONI26676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANTGSYMLSNSEGLKVSSCCIENLKKGRLVVFQRGIDHTPYTDMTNLQSRICGTTLRISLRKQRDTWHSPIQ >ONI28238 pep chromosome:Prunus_persica_NCBIv2:G1:10535676:10536253:1 gene:PRUPE_1G133200 transcript:ONI28238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGLVRLGVIGLRAWLENLKQVAVAAGSVLKALQNLSSRRNQRGKQRERECISCVFLTGFHHKSQLKRREKKTLA >ONI31808 pep chromosome:Prunus_persica_NCBIv2:G1:31439646:31442694:-1 gene:PRUPE_1G332100 transcript:ONI31808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRICQLKLFCGKDYPDNPPTVRFQTRINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRKLAQPPEGNEDGRGDQKGLVVKCCIF >ONI31810 pep chromosome:Prunus_persica_NCBIv2:G1:31439651:31442640:-1 gene:PRUPE_1G332100 transcript:ONI31810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRICQLKLFCGKDYPDNPPTVRFQTRINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRKLAQPPEGNEDGRGDQKGLVVKCCIF >ONI31807 pep chromosome:Prunus_persica_NCBIv2:G1:31439651:31442694:-1 gene:PRUPE_1G332100 transcript:ONI31807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRICQLKLFCGKDYPDNPPTVRFQTRINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRKLAQPPEGNEDGRGDQKGLVVKCCIF >ONI31809 pep chromosome:Prunus_persica_NCBIv2:G1:31437859:31442694:-1 gene:PRUPE_1G332100 transcript:ONI31809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRICQLKLFCGKDYPDNPPTVRFQTRINMTCVNQETGVVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRKLAQPPEGNEDGRGDQKGLVVKCCIF >ONI31396 pep chromosome:Prunus_persica_NCBIv2:G1:30161715:30162700:1 gene:PRUPE_1G310000 transcript:ONI31396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEEKYGLVGEQSESESERERESLSFWVFAGVLYAIIASNNLLFALALSFTELIHKEQKGFWVCSEEQRVKGGCVERFMSASFALAVIGALLKLWILTSSFFSAYLVICFMLLCWFRQRLNPVISSVSMSLRPKRTCSGLQYFGAFHIKRFSFLFLFLRGDLT >ONI26990 pep chromosome:Prunus_persica_NCBIv2:G1:4307339:4310915:1 gene:PRUPE_1G061000 transcript:ONI26990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNCKLWMITLIILLIVTKMAVAQSPIPTTLEGPFEPATRRFDPSLRRGSNDLPMDHPRLKKNVTLNFPEQIALAISSPTSMWISWVTGDAQIGKNVTPLDPSKVGSEVRYGKESGKYESVKKGVSVVYSQLYPFEGLLNYTSGIVHHVRIDGLKPGTKYYYKCGDSSIPAMSEEHAFETLPMPSQSTYPHRIAVVGDLGLTSNTSTTIDHLIQNDPSMILMVGDLTYANQYRTTGGKGASCFSCAFPDAPIRETYQPRWDGWGRFMEPLTSRVPMMVIEGNHEIEPQVSGITFKSYLTRFAVPSEESGSKSNFYYSFDAGGVHFIMLGAYVDYNATGAQYAWLKDDLHQLDRTVTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEALLYQYGVDIVFSGHVHAYERMNRVYNYMLDRCGPVYITVGDGGNIEQVDVDFADDPGKCPSAGDNTPEFGGVCHLNFSSGPAKGSFCWNKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDTYKEDSHGDQIYIVRQPELCSPSVKVVS >ONI26989 pep chromosome:Prunus_persica_NCBIv2:G1:4306921:4312008:1 gene:PRUPE_1G061000 transcript:ONI26989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNCKLWMITLIILLIVTKMAVAQSPIPTTLEGPFEPATRRFDPSLRRGSNDLPMDHPRLKKNVTLNFPEQIALAISSPTSMWISWVTGDAQIGKNVTPLDPSKVGSEVRYGKESGKYESVKKGVSVVYSQLYPFEGLLNYTSGIVHHVRIDGLKPGTKYYYKCGDSSIPAMSEEHAFETLPMPSQSTYPHRIAVVGDLGLTSNTSTTIDHLIQNDPSMILMVGDLTYANQYRTTGGKGASCFSCAFPDAPIRETYQPRWDGWGRFMEPLTSRVPMMVIEGNHEIEPQVSGITFKSYLTRFAVPSEESGSKSNFYYSFDAGGVHFIMLGAYVDYNATGAQYAWLKDDLHQLDRTVTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEALLYQYGVDIVFSGHVHAYERMNRVYNYMLDRCGPVYITVGDGGNIEQVDVDFADDPGKCPSAGDNTPEFGGVCHLNFSSGPAKGSFCWNKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDTYKEDSHGDQIYIVRQPELCSPSVKPSVSPSESSLSAALHSLVLSLSLPLLGMFSLLSSSK >ONI35090 pep chromosome:Prunus_persica_NCBIv2:G1:42383036:42385201:-1 gene:PRUPE_1G515200 transcript:ONI35090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLRVPQQVPPASEDCEQLKKAFKGWGTNEDLIISILGHRNAPQRKVIRQTYAEAYGEDLLKELEKELTSDFERSILLWTLDPAERDAFLANEATKKWTKSNQVLAEIACSRSSHELLMARQAYHSRYKKSLEEDVAHHTTGDFRKLLAPLVSSYRYEGDEVNLTLAKSEAKLLHEKISDKAYNDEDIIRILATRSKAQINATLNHYKNEFGNDINKDLKADPKDEYLAILRATIKCLVRPEKYFEKSLRLAINKRGTDEGALSRVVTTRAEVDMKLIKEQYHKRNSVTLDQAIKKDTTGDYEKMLLALVGHEDA >ONI32320 pep chromosome:Prunus_persica_NCBIv2:G1:33258048:33261049:-1 gene:PRUPE_1G360900 transcript:ONI32320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTTPNHTQTVSGWAAHDSSGKITPFIFKRRENGINDVTIEVLYCGICHTDLHHVRNDWGITMYPVVPGHEITGVITKVGSNVKEFKVGDRVGVGCLAATCLECDFCKDSQENYCDQVQFTYNGIFWDGSITYGGYSKMLVADHRYVVHIPANLPLDATAPLLCAGVTVFSPMKDHNLHQAPGKKIGVVGLGGLGHVAVKFGKAFGHHVTVISTSPSKEKEAKERLGADDFLVSTDAQQLKKGMRTLDFILNTVSAKHSLGPLLELLKVNGTMVVVGAPDQPFELPSFPMIFGKRAVKGSVIGGMRDTKEMMELCGKHNITCDIEVTTPHKLDAALDRVAKNDVRYRFVIDITAGLPSNL >ONI32322 pep chromosome:Prunus_persica_NCBIv2:G1:33258052:33260833:-1 gene:PRUPE_1G360900 transcript:ONI32322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTTPNHTQTVSGWAAHDSSGKITPFIFKRRENGINDVTIEVLYCGICHTDLHHVRNDWGITMYPVVPGHEITGVITKVGSNVKEFKVGDRVGVGCLAATCLECDFCKDSQENYCDQVQFTYNGIFWDGSITYGGYSKMLVADHRYVVHIPANLPLDATAPLLCAGVTVFSPMKDHNLHQAPGKKIGVVGLGGLGHVAVKFGKAFGHHVTVISTSPSKEKEAKERLGADDFLVSTDAQQLKLKLLMMLTERHEDA >ONI32321 pep chromosome:Prunus_persica_NCBIv2:G1:33258052:33266949:-1 gene:PRUPE_1G360900 transcript:ONI32321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTTPNHTQTVSGWAAHDSSGKITPFIFKRRENGINDVTIEVLYCGICHTDLHHVRNDWGITMYPVVPGHEITGVITKVGSNVKEFKVGDRVGVGCLAATCLECDFCKDSQENYCDQVQFTYNGIFWDGSITYGGYSKMLVADHRYVVHIPANLPLDATAPLLCAGVTVFSPMKDHNLHQAPGKKIGVVGLGGLGHVAVKFGKAFGHHVTVISTSPSKEKEAKERLGADDFLVSTDAQQLKKGMRTLDFILNTVSAKHSLGPLLELLKVNGTMVVVGAPDQPFELPSFPMIFGKRAVKGSVIGGMRDTKEMMELCGKHNITCDIEVTTPHKLDAALDRVAKNDVRYRFVIDITAGLPSNL >ONI27174 pep chromosome:Prunus_persica_NCBIv2:G1:5161924:5163336:1 gene:PRUPE_1G072400 transcript:ONI27174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIDQYYCKCICLALIFMLGAWSSQATSRNLQDASMYGKYEQWMARYGRVYTDIQEKEMRFKIFKENVAFIESSNSDANKPYKLSVNQFADLTNEEFKASRNGFLGHECSTKTTTFKYENVTAPPTVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGITKLTTGNLISLSEQELVDCDTKGVDQGCEGGLMDDAFQFISQNHGLRTETNYPYTGVDGTCNTKKEASHAAKITGHEDVPANSEAALLKAVANQPISVAIDAGGSDFQFYSSGVFTGSCGTSLDHGVTAVGYGVSADGTKYWLVKNSWGTEWGEKGYIRMQRGVEAKEGLCGIAMEASYPTA >ONI27213 pep chromosome:Prunus_persica_NCBIv2:G1:5307612:5308849:1 gene:PRUPE_1G074100 transcript:ONI27213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANALDEFYIPQVKDEKKPKAGLAFQSLDETYEFYNDYAKDAGFSVRISKEKKKKKTGEVVWKRYVCFKEGETDETWRKKKKTVSLHK >ONI30608 pep chromosome:Prunus_persica_NCBIv2:G1:27064215:27065166:1 gene:PRUPE_1G261900 transcript:ONI30608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINSSFVQLFVTLALLLGQAKPDPDPLQDYCVADTKIPQSFYLNGAPCINPKLAASSHFTTSVLSKPGNPKANPFGFNATLTNTLNLPGVNTLGLTMARVDIAANGIVPPHLHPRASEVAICLKGLLLVGFVDTSNRVFTQQLKPGESFVFPKALVHFLYNYDSANPAVALAGFSSQNPGVEIVSLATFTSKPSIPVEILKKAFQVSDLDVARMRKNLGG >ONI33402 pep chromosome:Prunus_persica_NCBIv2:G1:36443365:36446274:1 gene:PRUPE_1G422000 transcript:ONI33402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNYKAASRLSDLELTRSDLKTLLKATAKTEESLRKMDNKFEVIDETLLTSSRRVAPLQSLAMATKALETRINRAVTPALALLDNFKLSESIQHKLLELSEKMSTEKSMSKRLKKLVKYVDCVDQLKVSIDCICQEGEPVIQRLQEVVEFLSRTKATDQYRTHRLRETLVTLKALYETEVDAMRFEGLLDEALLNLQDEYESILEQIRHRNVVELQAAGKDDGGDEMVIMGSDLGTELEVELLRRISQTLAADDCLDICIDIYVKVRYKRVAKALMRLNPEYLKTHTSEEIDEMPWESLETAITLWIQHFELAVKAVLVSEKKLCEQVLGGIMEGLIWPECFAKIADKIMAVFFRFGEGVARSSKEPQKLFKLLDMFDSLEKLKPGFSEVFDGESGADICIRFRELEKLLIHASSKVFWEFGLQIEGSSDGLPPPPDGSVPKIVRYSVNYLKYLATENYSVAMAKVLRTEQIWKAGILSKPETDENLLRDAICNIMEALQRNVEAKRSGYNNNSRDKLGISIPLPHVFAMNTYWYIYMRTRNTELGKLLGDQYLKKNYKVVAEESAYMYQKQAWVPLVRILEQDDLEKQSKEAKVGLVRLKIEAFVKGLDDISKRHKGFCVIPEVDLRQQIRSATVKLVIPAYTEFLNSFSAALQGKSYLSPESVQELLGQVFDDGDGKLKRRGSRDRTGGGSSGMDGRIKDFRRSRSNTSDL >ONI35028 pep chromosome:Prunus_persica_NCBIv2:G1:42083353:42085883:1 gene:PRUPE_1G511100 transcript:ONI35028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDRDLNEYSPSLSRTGKQLSCSHQLCKSTANCKGPEEPCPYIVQYKSDDTASSGFLIEDVLHLTSASKNSTPSSVQASVVFGCGRKQSGGYLEGAAPDGVMGLGPGEISVPSVLAKAGMIQNSFSLCFDDNGSGRILFGDQGNLAQHYTPFLPAAGKYVNYFVGVERFCVGSSCLKQTGFQALVDSGSSFTYVPTEVYKKIVFEFDKQVNATRINIQQSPWKYCYNVSSWELLSIPTIKLMFPLNQSFLVHKPVFSESLNQKYTIFCLTLLRTDDDYGVIGQNFMVGYRMVFDWENLKLGWSIANCEDNGNGKRVNHTPSNNGSTSQLPTSEQQSIPNAHAVAPATARNTPSKSSVAASRQIPLLLCLTSSLLLLMRLLILSC >ONI35027 pep chromosome:Prunus_persica_NCBIv2:G1:42081695:42086120:1 gene:PRUPE_1G511100 transcript:ONI35027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLWLHWMLGAICFGSHVIAYNVLLCLPPIMILWCSPIFGASMVQDRDLNEYSPSLSRTGKQLSCSHQLCKSTANCKGPEEPCPYIVQYKSDDTASSGFLIEDVLHLTSASKNSTPSSVQASVVFGCGRKQSGGYLEGAAPDGVMGLGPGEISVPSVLAKAGMIQNSFSLCFDDNGSGRILFGDQGNLAQHYTPFLPAAGKYVNYFVGVERFCVGSSCLKQTGFQALVDSGSSFTYVPTEVYKKIVFEFDKQVNATRINIQQSPWKYCYNVSSWELLSIPTIKLMFPLNQSFLVHKPVFSESLNQKYTIFCLTLLRTDDDYGVIGQNFMVGYRMVFDWENLKLGWSIANCEDNGNGKRVNHTPSNNGSTSQLPTSEQQSIPNAHAVAPATARNTPSKSSVAASRQIPLLLCLTSSLLLLMRLLILSC >ONI35026 pep chromosome:Prunus_persica_NCBIv2:G1:42081695:42086135:1 gene:PRUPE_1G511100 transcript:ONI35026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAILFLLWACLFVNGSLSLTLSSKLVHRFSEEAMAVWESRGGDNASLKPWPKRNSLNYFQLLLQSDLKRQKLKLGSKYEHLFPSEGSQTLFFGNELDWLHYTWIDIGTPNVSFMVALDAGSDLLWVPCDCIQCAPLSASYYDTLDRDLNEYSPSLSRTGKQLSCSHQLCKSTANCKGPEEPCPYIVQYKSDDTASSGFLIEDVLHLTSASKNSTPSSVQASVVFGCGRKQSGGYLEGAAPDGVMGLGPGEISVPSVLAKAGMIQNSFSLCFDDNGSGRILFGDQGNLAQHYTPFLPAAGKYVNYFVGVERFCVGSSCLKQTGFQALVDSGSSFTYVPTEVYKKIVFEFDKQVNATRINIQQSPWKYCYNVSSWELLSIPTIKLMFPLNQSFLVHKPVFSESLNQKYTIFCLTLLRTDDDYGVIGQNFMVGYRMVFDWENLKLGWSIANCEDNGNGKRVNHTPSNNGSTSQLPTSEQQSIPNAHAVAPATARNTPSKSSVAASRQIPLLLCLTSSLLLLMRLLILSC >ONI33629 pep chromosome:Prunus_persica_NCBIv2:G1:37238881:37239467:1 gene:PRUPE_1G436800 transcript:ONI33629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHNNLDKVRNKRAKFPYTKEQIRNVFKRHDKNGDGQLSKDELDAAFKELGSIWPPGRAWFAQRYADDDGDGFISIDKELSKLVQYALELKYTLK >ONI34280 pep chromosome:Prunus_persica_NCBIv2:G1:39291449:39296784:-1 gene:PRUPE_1G472600 transcript:ONI34280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTCDHNEGVVKMKGLMPMSISMAMCGHGIREKETTEQMSRDEGSQTSQYSINDGILPSLGATSRNRPRHKLRRFIITPFHSHYRLWQSFLVCLVFYTAWVCPFEFGFMDRAKGPLAITDNVVNGFFAIDIVLTFFVAYLDKTSYLLIDNPKLIALRYAKTWLAFDIISTIPSELAQSVLPPPLETYGYFNILRLWRLRRVSAMFARLEKDRNYSYFWVRYLKLIFVTLLTVHCAGCFFYFLAAHNRNPRRTWLGLITDNFHDISLWDRYVTSMYWSIITLTTTGYGDLHPVNSLEMIFDIFYMLFNLGLQAYLIGNMTNLIVHGTARTRQFRDTIQAATSFAQRNQIPDRLHEQMLAHLCLKYRTNSEGLQQQETLDALPKAIRSSISHYLFYPLVDSVYLFQGVSRDLLFQLVSEMKAEYFPPKEDVILQNEAPTDLYIVVTGAVELILQRNGAEPVVREAKTGDVVGEIGVICYRPQMVTVRTKGLCQLLRLNRTAFLNIVQANVGDGTIIMNNFLQYLKEIEDPLMQGILADIEHMLARGRMDLPLSLCFAAKRGDDLLLHHLLRRGSDPNETDDNGRTAMHIAASNGSEHCALLLLEFGADPNTQDSEGNVPLWEAISGKHESLIKLLLNNGAHITSGNVGSFACAAVEQNNLQLLKDIAKHGGDVTLPKSNGTTALHTAVCEANVEIVKFLLEKGADADKPDSYGWNPRGLAEHQGHEEIIELFQKKSEIKKPTIVSMAKDPVLPHHGKFRSEPALPPYARDSRPSGSEISSTENTWRRAKNFRNSLFGIMSAANTGEREKDFATSSGIFTSTLSKISYPARVILSCPEKGETAAKLVLLPESLQELLDIGAKKFQFSPTKVLTKEGAEIEDIQLVRDGDHLLVVGDDGI >ONI26123 pep chromosome:Prunus_persica_NCBIv2:G1:465016:467015:-1 gene:PRUPE_1G005300 transcript:ONI26123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPLYSLNTLRLGYSIISCTFASTAKRFLVGDLKPSHISLQNHLLHRSITSKISADQHNFTVAYLINSCGLSPEGAILSSKSVELQSSQGADSVLALLSKHGLSETQISKVVRSRPTILAADPEKILSPKLEFFSSVVVSREDLARILCFNPHLLLRSLENQIIPAYNFLRSLISEENVVSVLKRSSWIFLENRRKNVVPNIELLRELGMPQSCIALLLAHNTEVLIHKHERFAAAVEEVKAMGFDMKKSTFVLALRALCGESSKSIWNRSREIYKRSWGWSDDDVISAFRKNPQCMILSEEKIMQGMNFFVNKMGWPSRVIATYPVILCFSLEKRIIPRCSVVKVLLLKGLIDEDFSLSSVLLPPPHKFLERYCTCQSINGTKIGYPQFTVP >ONI32772 pep chromosome:Prunus_persica_NCBIv2:G1:34495513:34498260:1 gene:PRUPE_1G384700 transcript:ONI32772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRQPLKSPCKPQMELDRISNLPCHVAEQILSCLPIREAVRTSVLSSKWRYKWAMVAHLVFDNHCLSAQNRTTFVNIVDHVLLLHIGPIHKFKLSNRDFLATSDIDRWILHLSRSSIKEFILEIWKGNRYKMHSSVFSCQDMTHLELFNCLLRPPPTFKGFSRLKSLDLQHVTLAQDVFDNMVVRCPLLEKLTVMNFDGFTLLNIDAPNLQFFDVGGVFEDVNFKNTLNLAVVSIGLYEHVCNYQRRGPPGSSSHLLKFFAHLPRIQRLEIQSYFLKVRPEDTTCAGTVNFQLDDNWNGPIARLRRVKINGIAGTKPELEFIRLLLLGSPALEKMTVKPASVTCGWEIVKKLLQYRRASVHAEIIYLDP >ONI32771 pep chromosome:Prunus_persica_NCBIv2:G1:34495513:34498260:1 gene:PRUPE_1G384700 transcript:ONI32771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRQPLKSPCKPQMELDRISNLPCHVAEQILSCLPIREAVRTSVLSSKWRYKWAMVAHLVFDNHCLSAQNRTTFVNIVDHVLLLHIGPIHKFKLSNRDFLATSDIDRWILHLSRSSIKEFILEIWKGNRYKMHSSVFSCQDMTHLELFNCLLRPPPTFKGFSRLKSLDLQHVTLAQDVFDNMVVRCPLLEKLTVMNFDGFTLLNIDAPNLQFFDVGGVFEDVNFKNTLNLAVVSIGLYEHVCNYQRRGPPGSSSHLLKFFAHLPRIQRLEIQSYFLKYLAIGTLPAKLPKPCLVLNYLSIRISFTDSDEILTAVCLLRSSPALQELEILVRPEDTTCAGTVNFQLDDNWNGPIARLRRVKINGIAGTKPELEFIRLLLLGSPALEKMTVKPASVTCGWEIVKKLLQYRRASVHAEIIYLDP >ONI31259 pep chromosome:Prunus_persica_NCBIv2:G1:29678173:29678743:-1 gene:PRUPE_1G302100 transcript:ONI31259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKAEKQVGTQLFGQAKKEPATSKASDGGAKAPASKAAPKKAASKPQAPKKKGKGGKAAAKN >ONI33794 pep chromosome:Prunus_persica_NCBIv2:G1:37742289:37742882:-1 gene:PRUPE_1G446000 transcript:ONI33794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSLARYESIKCRKKLSDFNDYICSIKGKADVDSRWIEMLDDLQKSLGLENNPQVFLSFHNLLAT >ONI33555 pep chromosome:Prunus_persica_NCBIv2:G1:37002438:37004290:1 gene:PRUPE_1G432100 transcript:ONI33555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSMKVHQFARGLWEHEPSLTLGCTKRLRPLAPKLANTTAGDTTTTALAPFDLKSFIRPESGPRKLGSSDHQEKKDSPHPQVETHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITAQLGKYGKIEGKNVFYWFQNHKARERQKQKRNSLGLSHSPRTPTPVITNLGEVVGEREEDSPYKRKCRSWGFDCSVEDSVVCKADEVVEGGRGRTLELFPLHPEGR >ONI30360 pep chromosome:Prunus_persica_NCBIv2:G1:25962954:25965660:-1 gene:PRUPE_1G246600 transcript:ONI30360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRFLLRLRDHHVLFGLVIWATLVLPSLSISVRSCSFPAMFNFGDSNSDTGGLSAAFGQAPYPNGETYFRTPSGRYSDGRLLIDFIAESLRLPHLSAFLDSVGSNFSHGANFATAGSTIRPPNTTISQIGVSPISLDVQLVQFLDFRTRSQIYQKKGEVFGKLLPKKEYFSQALYTFDIGQNDLTAGYKLNLTTEQVKAYVPDVLSQLSHAIKIVDEQGGRSYWIHNTGPLGCLPYVLDRFLVNPAQIDKYGCADQFNEVAQYFNQRLKEALLHLKKDLPLAAITYVDVYSVKRTLITQTKTYGFGNPLIACCGHGGKYNYNRYAKCGTKKTINGIETVIAKSCNDPTVQINWDGVHYTEAANKWIFQQIVKGSFSDPPNPLKMACRRSRKDH >ONI30361 pep chromosome:Prunus_persica_NCBIv2:G1:25963175:25965660:-1 gene:PRUPE_1G246600 transcript:ONI30361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRFLLRLRDHHVLFGLVIWATLVLPSLSISVRSCSFPAMFNFGDSNSDTGGLSAAFGQAPYPNGETYFRTPSGRYSDGRLLIDFIAESLRLPHLSAFLDSVGSNFSHGANFATAGSTIRPPNTTISQIGVSPISLDVQLVQFLDFRTRSQIYQKKGEVFGKLLPKKEYFSQALYTFDIGQNDLTAGYKLNLTTEQVKAYVPDVLSQLSHAIKIVDEQGGRSYWIHNTGPLGCLPYVLDRFLVNPAQIDKYGCADQFNEVAQYFNQRLKEALLHLKKDLPLAAITYVDVYSVKRTLITQTKTYGFGNPLIACCGHGGKYNYNRYAKCGTKKTINGIETVIAKSCNDPTVQINWDGVHYTEAANKWIFQQIVKGSFSDPPNPLKMACRRSRKDH >ONI33408 pep chromosome:Prunus_persica_NCBIv2:G1:36454501:36456732:-1 gene:PRUPE_1G422200 transcript:ONI33408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKPERPVDNEKPAVAKASSMFGGTRDKCFGCKNTVYPTEKVTVNGTPYHKMCFKCSHGGCTISPSNYIAHEGRLYCKHHHTQLIKEKGNLSQLEGDSEKNTVDENASAREVAAEI >ONI33406 pep chromosome:Prunus_persica_NCBIv2:G1:36454502:36456624:-1 gene:PRUPE_1G422200 transcript:ONI33406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKPERPVDNEKPAVAKASSMFGGTRDKCFGCKNTVYPTEKVTVNGTPYHKMCFKCSHGGCTISPSNYIAHEGRLYCKHHHTQLIKEKGNLSQLEGDSEKNTVDENASAREVAAEI >ONI33407 pep chromosome:Prunus_persica_NCBIv2:G1:36454698:36463431:-1 gene:PRUPE_1G422200 transcript:ONI33407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKPERPVDNEKPAVAKASSMFGGTRDKCFGCKNTVYPTEKVTVNGTPYHKMCFKCSHGGCTISPSNYIAHEGRLYCKHHHTQLIKEKGNLSQLEGDSEKNTVDENASAREVAAEI >ONI33404 pep chromosome:Prunus_persica_NCBIv2:G1:36454766:36456301:-1 gene:PRUPE_1G422200 transcript:ONI33404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKPERPVDNEKPAVAKASSMFGGTRDKCFGCKNTVYPTEKVTVNGTPYHKMCFKCSHGGCTISPSNYIAHEGRLYCKHHHTQLIKEKGNLSQLEGDSEKNTVDENASAREVAAEI >ONI33405 pep chromosome:Prunus_persica_NCBIv2:G1:36454501:36456664:-1 gene:PRUPE_1G422200 transcript:ONI33405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGTTQKCMACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKPERPVDNEKPAVAKASSMFGGTRDKCFGCKNTVYPTEKVTVNGTPYHKMCFKCSHGGCTISPSNYIAHEGRLYCKHHHTQLIKEKGNLSQLEGDSEKNTVDENASAREVAAEI >ONI31335 pep chromosome:Prunus_persica_NCBIv2:G1:29997196:29998677:1 gene:PRUPE_1G307100 transcript:ONI31335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNAQNGFPLLHFPSLCFLLLYFQLAHSRSSPLSSKTTVLDVAASIHTTLNALSSESHTQALSQQDQSSSAASSLSLPLHSRISLHKPSHSDYKSLTLARLERDSARVRSLTTRLDLALQGIATSDLKPVDTGNGLELEEAKGFEGPVISGTSQGSGEYFSRVGIGKPPSPAYVVLDTGSDVSWVQCAPCADCYQQAEPIFEPTSSDSFSPLSCENQRCKSLDVFECRNDTCLYEVSYGDGSYTVGDFVTETITIGGVAAKDIAIGCGHTNEGLFIGASGLLGLGGGPLSFPSQLNATSFSYCLVDRDSDSASTLEFNSPLHPNAVTAPLRRNPELDTFYYIGLAGLSVGGELLPIPESAFQIDDSGNGGIIIDSGTAVTRLQTDTYNALRDAFVKGTKDLTSTQGAALFDVCYDLSSKKSVEVPTVSFHFADGKVLPLPAKNYLIPIDSEGTFCFAFAPTPSSMSIIGNVQQQGTRVGFDIANSVVGFFPNQC >ONI33735 pep chromosome:Prunus_persica_NCBIv2:G1:37621002:37621562:1 gene:PRUPE_1G443800 transcript:ONI33735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYINVNVVTAATPHPPEKTRPAAVRNTTIPAGTRIGLNTTSTGVAMIRAITVPVNVNPDRTKRVVPAAPAVKNLPVRRREDGGAADWSWSWSFRVTCLD >ONI28959 pep chromosome:Prunus_persica_NCBIv2:G1:14334580:14335714:1 gene:PRUPE_1G171900 transcript:ONI28959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEYCHWRFAATEITRRNLRNSMIKNCLQGRQPAIIFFINIFSLRHLPQPLHPPLRWLTQNPNWMGMGIGIVIRGTWTLERTGIGFCTDIVVAIVQKIQIKLQIFKPHCHQTN >ONI34943 pep chromosome:Prunus_persica_NCBIv2:G1:41870394:41870777:-1 gene:PRUPE_1G507400 transcript:ONI34943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSEMSLSYRDYLTLLLLRPLFAIAVVFSFISVGWWLAWKLVLVHVPLVQEIFGLRKKTVKPKPQTGRFSKIYSTIEARNSASKW >ONI30097 pep chromosome:Prunus_persica_NCBIv2:G1:24669001:24670452:-1 gene:PRUPE_1G231500 transcript:ONI30097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRVSLASQESTIGIKMINARRRPLHTCAVSILVISHRAFTIAQGFSGPLGFIVKFAARVATLGGPLAYALQYQWITMAILSFIDNRILALEDMVERFYPPSHIVFNKIDDLVRVTETLPGKFDNVVSKIPACVSHVSFLDWTLVRAISLLNFVVATLLSYWRMSKGTKEKEIKVDTSSKVERNNEPGFVHEADGPKEPLTPSNNNKCAGGDQIDKGNIMSPRHVGVTKGATYKEVLLQKATNKAGVEKKEEQNINNKEEVESSIADDDDESETNSKDDGLLELFESAWLMTSPGRNASRNYTPRSLSFTY >ONI29280 pep chromosome:Prunus_persica_NCBIv2:G1:17586408:17591199:-1 gene:PRUPE_1G190500 transcript:ONI29280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESWDSSIDLVNVLKHEIRDGQLSFRGKRVVELGCNYGLPGIFACLKGASTVHFQDLNAETIRCTTIPNVLANLEQARDKQSRQPESPLTPSRQTLTQSVHFYAGDWEELPTVLSLVRNDGFEATTGMHLSFSEEDFMDGCSSQDGSILAQESSSRRSRKLSGSRAWERASETEQGGGYDIILMTEIPYSLTSLKKFYGLIKKCLRPPYGVLYLAMKKNYVGFNGAARHLKSLVDEEGIFGAHLVKEMSDRDVWKFFLK >ONI29279 pep chromosome:Prunus_persica_NCBIv2:G1:17586659:17589936:-1 gene:PRUPE_1G190500 transcript:ONI29279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSLLAQCLPGLVPHEKGSHSMSSISEREPHLPSPAVEIVPSKTAHPYKYAGENIELQGLNVFKGRVSVSDIIGFNSSEMISSKPDGRHTASESWDSSIDLVNVLKHEIRDGQLSFRGKRVVELGCNYGLPGIFACLKGASTVHFQDLNAETIRCTTIPNVLANLEQARDKQSRQPESPLTPSRQTLTQSVHFYAGDWEELPTVLSLVRNDGFEATTGMHLSFSEEDFMDGCSSQDGSILAQESSSRRSRKLSGSRAWERASETEQGGGYDIILMTEIPYSLTSLKKFYGLIKKCLRPPYGVLYLAMKKNYVGFNGAARHLKSLVDEEGIFGAHLVKEMSDRDVWKFFLK >ONI28550 pep chromosome:Prunus_persica_NCBIv2:G1:11567807:11579611:1 gene:PRUPE_1G147400 transcript:ONI28550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGFGKASGPTEPPKAQPSFGNFSGRPPSSSPPTTPLFSASAPVRSPPRGPEALGKLHSPFLAFEDARPAPSHPYPSAGVHRSTESLPSWDDEQRSFLKNYDTQAQERPSAVTSFVVSRNSGTSVTAKIARFQDTKGARSLPFMSKDENIRNSTQGVPRSHLVTPRIRSPPLVSYEDLHPFVGVEGRAFASSGMENQPKLLEDHAELQAHQGTSLVSHFEGSYASGRNFPVKHDDVQVPKRTRPSISPVMLNNGSNASFSTRDSRVHQRSLESPSNTISEAAASNLTSIPVAKRTRSPPLLPEDQVFNRNSYATEDGTEREMQAKAKRLARFRVELTKTLPNNPDIVEQGVSANRHEQSNVDKNKLVAYNSTEMSMDGTDGNALSENEGVELSGVIIGLCPDMCPESERAERERKGDLDQYERLDGDRNQTSMSLAVKKYNRTAERDANLIRPMPILQKTIDYLLNLLDQPYNDRFLSIYNFLWDRMRAIRMDLRMQHIFDQEAITMLEQMIRLHIIAMHELCEYSRGEGFAEGFDAHLNIEQMNKTSVELFQLYDDHRKKGINIPTEKEFRGYYALLKLDKHPGYMVEPAELSLDLAKMTPEIRQTSEVLFARDVARACRTGNFIAFFRLARKASYLQACLMHAHFSKLRSQALASVHAGLQNNQGIPISDIAKWLALEEEEIESLSEYHGFVIKSFREPYMVKEGPFLNSDEDYPTKCSKLVDMKKSRSIIKDLLTSTQLISLSTEATNEIQLIKKNKPEPKTVSYAERKSPVHDVPAVEVIKSFHEVDEEMPNFEAVSSPKDVRQKQQMIQTPIFSSPEVYRQKQQTIQTPILGQYTKHPQQVAAVPPSPWAFSSFKPQPDKVGTMEKQNYDALFRNSPEKNMHSGMEGMPLHIESKTALQDGSPVDTYSYGVEHPIRKIPVINKVEDEEPPDLDQEDENIDDMATDQHEEIAEAKIKLILRLWKRRSLKLRELREQKQLAANAALNSLSLGPPVQLKTDQLSTSGEFDIDLILRERYKKQGKSWSRLNVSDVIADILGRRNPDARCLCWKTVVCSQMNYLEGELGQRSHVLGAAPWLLSKLMPLENDVDDDDDLVISSPGVSIWKKWIPGQSGSDMTCYLSVVKDANFDNLVETVSGASAILFLTSESIPWKLQKVQLHNLLTSIPYGSCLPLLILSGSYNDIADPSSTVVDNLGLHDLDKSRISSFIVVPLVENQQTERVDGFFSDRRLREGLRWLASESPLQPILHHVKTRELILSHLNSSLDSLDKMKDYEVGPDKCILAFNEALGRSQKEIAAAVQENPCSWPSPEIALLEEFSDEYRVVKWYLPSIGWSSVQKVEPLISALGDSRLPDFPDNISWLPRCCNAGEEIENLRIELENGLIEYLTHSSTMMGLALAMKEAHVMLQRSCRLERDDSCCYIVPNWVMIFRRIFNWRLMGLASGTFSSAYILDCSHLNKAFGNPSKMGLEDSGPSPYYLDQPSLDEVIAVSYSPLLSRRDQALLEADRTLPETSPNGEIHGTPNTNDLMEMEDERRLMHDDQARVDDASRVNGTLENAGREIVMAGEVTKGAEKLSRLLEQCNILQNVIDEKLSIYF >ONI30198 pep chromosome:Prunus_persica_NCBIv2:G1:25164161:25165444:-1 gene:PRUPE_1G237100 transcript:ONI30198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQVLERDCIKPSSPTPPHLRKHELSFLDQIATPIFMPMILFYTNNVKIYSDRVKQSLSEILTQYYPLAGRVIDDAYVDCNDQGVHYVQAQVNCLLSDVISQPDPNQLNKLLPYDLDNVGDLILAVQVNIFDCGGMAIGICISHKIVDAFSVITFLNSWASVSRGNCDIKNSTISPPLFDVATLFPPRSISGYKPSTGIIRENIVTKRFVFSASMVASLRSKYTENNSNDRYPTRIEALSSFIWARFIASTQGEPNPKKIYKVLHAVNLRTRMDPPLPEYHIGNVSRFAIATLSFDGSKDTCYGLVGQMREALKTIDSDYLSTMRDGDTHLSFLKQRVAEFLKGEVVSLNFTSLCRFPLYETDFGWGKPVWVGSASLPFKNLVVFMDTASGGGIEAWVNLKEEDMAKFQEDEQLLACTYQAQDAKI >ONI27341 pep chromosome:Prunus_persica_NCBIv2:G1:5959849:5962106:-1 gene:PRUPE_1G081300 transcript:ONI27341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKIVRQLWVFTILLLVSINLCCNLVHGEPQVPCYFVFGDSLSDTGNNNGLLTLARVNYPPYGIDFPRGPTGRFSNGRNLVDVIAELLGFSHYIPPFATARGLKILEGVNYASGAAGIRDESGRNQLIRISFNQQLLNHQITVNRIASLMRNNRPSAVQYLGKCIYTVGIGTNDYINKYFLPRLYSTSRKYTPDQYAGVLIQEFSEQLRRLYKTGARKIALFGIGAIGSTPFEVDKCGGTNITSLCSAKINSAVQLFNERLKSLVTDLNTNLIDAKFTFIDYFGIGLSSAAASAGSMVSDAPCCEAESETGLCVPFSTPCQNRTQYSFWDAFHPTEISNVVVGRRAYKATLPTDAVPYDISHLAQV >ONI27340 pep chromosome:Prunus_persica_NCBIv2:G1:5959688:5962186:-1 gene:PRUPE_1G081300 transcript:ONI27340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKIVRQLWVFTILLLVSINLCCNLVHGEPQVPCYFVFGDSLSDTGNNNGLLTLARVNYPPYGIDFPRGPTGRFSNGRNLVDVIAELLGFSHYIPPFATARGLKILEGVNYASGAAGIRDESGRNQLIRISFNQQLLNHQITVNRIASLMRNNRPSAVQYLGKCIYTVGIGTNDYINKYFLPRLYSTSRKYTPDQYAGVLIQEFSEQLRRLYKTGARKIALFGIGAIGSTPFEVDKCGGTNITSLCSAKINSAVQLFNERLKSLVTDLNTNLIDAKFTFIDYFGIGLSSAAASADAPCCEAESETGLCVPFSTPCQNRTQYSFWDAFHPTEISNVVVGRRAYKATLPTDAVPYDISHLAQV >ONI34338 pep chromosome:Prunus_persica_NCBIv2:G1:39626286:39627706:1 gene:PRUPE_1G475900 transcript:ONI34338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVGNGKPIDHKGKPENTVLPQLARRPFSYKSDDRPTDNQLHYKNVAIFFLEKDMRPGTTMSFQFPRNSNTATFLPRERAQSIPFSSNKLPEIFNHFSVKPTSVEAKTIKQTIEECEAPGIKGEEIYCATSLESMVDFSTSKLRTRNVQAISTEVLEKGATMSMHKHTTMPGLKKLAGVKVVLCHKQNYPYAVFYCHVIKPTAAYVLSLKGDDGVKVKAVAICHLDTSEWNPKHLAFQILKVKPGTIPICHFLPTDHIVWVPNHKSA >ONI28117 pep chromosome:Prunus_persica_NCBIv2:G1:9814706:9817199:1 gene:PRUPE_1G124300 transcript:ONI28117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMDGIEHRTVQVNGINMHIAEKGQGPLILFIHGFPELWYSWRHQITALASLGYRAVAPDLRGFGDTDAPDSPTSYTCLHVIGDLVALLDAIAPDQDKVFVVGHDWGAFIAWYLCLFRPDRVKALVNMSVAFLPRNPQRKSLESLKAVYGDDYYICRFQEPGVIEAEFAKIGTARVMKEFLTYRNSGPLFLPKDKMFGHPLDAPIVLPSWLSEDEVNYYASKFEKTGFTGGINYYRNLDLNWELTAAWTGAQVKVPVKFIVGDQDLTYNSVGTKDFIHKGGFKKYVPLLEEVVVMEGVAHFINQERPDEINKHIHDFIAKFH >ONI27173 pep chromosome:Prunus_persica_NCBIv2:G1:5155375:5156666:1 gene:PRUPE_1G072300 transcript:ONI27173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFINQYYCKCICLALIFMLGAWSSQATSRNLQDASMYGKYEQWMARYGRVYTDIQEKEMRFKIFKENVAFIESSNSDANKPYKLSVNQFADLTNEEFKASRNGFLGHECSTKTTTFKYENVTAPPTVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGITKLTTGNLISLSEQELVDCDTKGVDQGCEGGLMDDAFQFINQNHGLSTETNYPYTGVDGTCNTKKEASHAAKITGHEDVPANSEAALLKAVANQPISVAIDAGGSDFQFYSSGVFTGSCGTSLDHGVTAVGYGVSADGTKYWLVKNSWGTEWGEKGYIRMQRGVEAKEGLCGIAMEASYPTA >ONI28223 pep chromosome:Prunus_persica_NCBIv2:G1:10413419:10415682:-1 gene:PRUPE_1G132200 transcript:ONI28223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTIWLNGFSSMDMESPAAVTEFLEAYTGKGTVHDVKLFPPKDGKSRTFAIVRFTHAEFADMIIALADHRILWYNESYLIAKKSRFGIVPDSEIFQHRMELVRLHLGCKISEEQFSVLWERSDVLVKFGVGLKNIYLFFSYASVDYKLEITLENDSQIELRRPHGQLTKFLLIQLLGAPRLLKKASGQWVRGVGFTPSCCIGQSSAVCLELLPGCELPNLRKSFIHYKEHDGPFVLERADAAINSTNMVKTIRLHGFPSIESPEAVTEFLEEYTGKATVSDVQILPPKDVKSRASAIVEFTHAEYADVIIPLADDRRLWYGDSYLKARKQKLENFEHNMELIKLHSGFLTSEEHFSVLWTTSDVSVKFGTAFKNIYLLFSFDDVEYKLEISPESISQIELNHPRGQFPKFLLIQLLGAPRIFKKDSQNRWVREVDFTPSCCIGQSSAVCLELPPRCELPNLRKCFVDYKENEGRFILEEGNTFSCNSDLVPIVGPPMGITLLQKVKKTTRK >ONI28222 pep chromosome:Prunus_persica_NCBIv2:G1:10413167:10415923:-1 gene:PRUPE_1G132200 transcript:ONI28222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTIWLNGFSSMDMESPAAVTEFLEAYTGKGTVHDVKLFPPKDGKSRTFAIVRFTHAEFADMIIALADHRILWYNESYLIAKKSRFGIVPDSEIFQHRMELVRLHLGCKISEEQFSVLWERSDVLVKFGVGLKNIYLFFSYASVDYKLEITLENDSQIELRRPHGQLTKFLLIQLLGAPRLLKKASGQWVRGVGFTPSCCIGQSSAVCLELLPGCELPNLRKSFIHYKEHDGPFVLERDAAINSTNMVKTIRLHGFPSIESPEAVTEFLEEYTGKATVSDVQILPPKDVKSRASAIVEFTHAEYADVIIPLADDRRLWYGDSYLKARKQKLENFEHNMELIKLHSGFLTSEEHFSVLWTTSDVSVKFGTAFKNIYLLFSFDDVEYKLEISPESISQIELNHPRGQFPKFLLIQLLGAPRIFKKDSQNRWVREVDFTPSCCIGQSSAVCLELPPRCELPNLRKCFVDYKENEGRFILEEGNTFSCNSDLVPIVGPPMGITLLQKVKKTTRK >ONI31805 pep chromosome:Prunus_persica_NCBIv2:G1:31434813:31437130:-1 gene:PRUPE_1G332000 transcript:ONI31805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLPANNKFVPNEQDVLQRHVAFFDRNHDGIVYPWETFQGFRAIGCGILLSTASSFLINAALSQKTRPGKFPSPLLPIEVKNIHKAKHGSDSGVYDSHGRFVPSKFEEIFCKHAHTHLDALTSDELMGMLRANREPKDYAGWVASWTEWKILYVLCKDNRGLLHKDTVRAVYDGSLFERMEKERIEKSASKKNE >ONI31806 pep chromosome:Prunus_persica_NCBIv2:G1:31435217:31436856:-1 gene:PRUPE_1G332000 transcript:ONI31806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLPANNKFVPNEQDVLQRHVAFFDRNHDGIVYPWETFQGFRAIGCGILLSTASSFLINAALSQKTRPGKFPSPLLPIEVKNIHKAKHGSDSGVYDSHGRFVPSKFEEIFCKHAHTHLDALTSDELMGMLRANREPKDYAGW >ONI31804 pep chromosome:Prunus_persica_NCBIv2:G1:31434432:31437130:-1 gene:PRUPE_1G332000 transcript:ONI31804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLPANNKFVPNEQDVLQRHVAFFDRNHDGIVYPWETFQGFRAIGCGILLSTASSFLINAALSQKTRPGKFPSPLLPIEVKNIHKAKHGSDSGVYDSHGRFVPSKFEEIFCKHAHTHLDALTSDELMGMLRANREPKDYAGWVASWTEWKILYVLCKDNRGLLHKDTVRAVYDGSLFERMEKERIEKSASKKNDVV >ONI31116 pep chromosome:Prunus_persica_NCBIv2:G1:29104670:29107555:1 gene:PRUPE_1G293400 transcript:ONI31116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFGNGAGGVRVLLHNKGIVEEDDLDRRWEEATGERVDELIFLSKHTAVSNRPALTVHPIGVPHLRPGDAAPQGGRPGWAAPPSPRIGPWLRHLKKLAQAHNLVPEFEITLEGTHHGPITNTPTMFLEIGSTDEYWKRQDAAQVIALLVWEGLGLGGGAAVGNWNGEKDKNRVLLGIGGGHYAPRHMDIVLKDGVWVGHLLSGYSLPMEDPSQSKAETNTKEIGGTWREAIKAGFEATQSAFPGGEILAHLDHKSFKSWQKNAITGFLGEQNIKIGKPNDFY >ONI31115 pep chromosome:Prunus_persica_NCBIv2:G1:29104670:29107555:1 gene:PRUPE_1G293400 transcript:ONI31115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLKTTSSGLLPTIAISKTLNKCFFTKAASPATLRLARRFHCSLPRKTTKKMVTLIVATTTDPASINPANALLAMPGWDPIPSCLQDMKGFGNGAGGVRVLLHNKGIVEEDDLDRRWEEATGERVDELIFLSKHTAVSNRPALTVHPIGVPHLRPGDAAPQGGRPGWAAPPSPRIGPWLRHLKKLAQAHNLVPEFEITLEGTHHGPITNTPTMFLEIGSTDEYWKRQDAAQVIALLVWEGLGLGGGAAVGNWNGEKDKNRVLLGIGGGHYAPRHMDIVLKDGVWVGHLLSGYSLPMEDPSQSKAETNTKEIGGTWREAIKAGFEATQSAFPGGEILAHLDHKSFKSWQKNAITGFLGEQNIKIGKPNDFY >ONI31895 pep chromosome:Prunus_persica_NCBIv2:G1:31809934:31812044:-1 gene:PRUPE_1G337500 transcript:ONI31895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSTRDSKTIFFFFGLRAACLSLCLVGSVAELQRFEHPPKADGSLSFLVVGDWGRRGLYNQSQVALQMGLIGEKAEIDFVISTGDNFYEDGLTGVDDPAFNESFSNIYTAPGLNKQWYNVLGNHDYRGDAEAQLSPVLRTIDKRWLCLRSFIVNAEIVDFFFVDTTPFVDDYFTNPKNHTYDWRGVTPREDYLSNLLKDVDSALKDSSAKWKIVVGHHTIKSAGHHGVTQELVTQLLPILKANNIDFYVNGHDHCLEHISDTHSEINFFTSGGGSKAWRGDIKWWSPEELKLYYDGQGFMSIQMTKASADIAFYDVFGNVLHKWSLSKHLRSATNRTFTYA >ONI31896 pep chromosome:Prunus_persica_NCBIv2:G1:31809934:31812044:-1 gene:PRUPE_1G337500 transcript:ONI31896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSTRDSKTIFFFFGLRAACLSLCLVGSVAELQRFEHPPKADGSLSFLVVGDWGRRGLYNQSQVALQMGLIGEKAEIDFVISTGDNFYEDGLTGVDDPAFNESFSNIYTAPGLNKQWYNVLGNHDYRGDAEAQLSPVLRTIDKRWLCLRSFIVNAEIVDFFFVDTTPFVDDYFTNPKNHTYDWRGVTPREDYLSNLLKDVDSALKDSSAKWKIVVGHHTIKSAGHHGVTQELVTQLLPILKANNIDFYVNGHDHCLEHISDTHSEINFFTSGGGSKAWRGDIKWWSPEELKLYYDGQGFMSIQMTKASADIAFYDVFGNVLHKWSLSKHLRSATNRTFTYA >ONI31897 pep chromosome:Prunus_persica_NCBIv2:G1:31809447:31812261:-1 gene:PRUPE_1G337500 transcript:ONI31897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSTRDSKTIFFFFGLRAACLSLCLVGSVAELQRFEHPPKADGSLSFLVVGDWGRRGLYNQSQVALQMGLIGEKAEIDFVISTGDNFYEDGLTGVDDPAFNESFSNIYTAPGLNKQWYNVLGNHDYRGDAEAQLSPVLRTIDKRWLCLRSFIVNAEIVDFFFVDTTPFVDDYFTNPKNHTYDWRGVTPREDYLSNLLKDVDSALKDSSAKWKIVVGHHTIKSAGHHGVTQELVTQLLPILK >ONI29829 pep chromosome:Prunus_persica_NCBIv2:G1:22937452:22939396:-1 gene:PRUPE_1G216200 transcript:ONI29829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSFRVRAEKVFGSLPSSTSTTSSLQVQSSPWSVTGDEVERREWRRGTDADSSDRDQTPCSSSFLEDEWGIRASIGLDPTLDYEDEEDEYDKVAAGTEGLGDRLFMNEVTHHGSYLNSHNVLHGSNKDPRANFLAARLRLKEDDAEARKLNSPAASASQVKEQLVKSPEDVGQPKSILKRKDNTSVVKAQKRVRFNPGCVTHCDSEESPEILEDFDMGSSDVNGMDSDNGSGLAPNASGVPDYLVNPSKYTRYSFDTTTEVDEDGNTRAFMDYLSHPKSSNTDSRSEMEDASAQLPKSVTFVSKKKTADGNAVNDINNMKQDDEDDRKPSLNRASFPVGIAAVEVQSEDSAVEEEGSAPNPADFSGCLETPGRKYRTKSLSDESDS >ONI31409 pep chromosome:Prunus_persica_NCBIv2:G1:30231237:30234272:-1 gene:PRUPE_1G311300 transcript:ONI31409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKGSTLVHLLVIVLSLVAFGFAIAAERQRSGRIEKDDRTNETYCVYNSDVATGYGVGGFLFLLSGESLLMGITKCMCFGRPLAPGGNRAWSIIYFVSSWTTFLVAEACLIAGATKNAYHTKYRGMIYAQNFSCEALRKGVFISGAVFVVVTMILNVYYYMYFTKATTTQAAHKANHSSSTVGMAGYA >ONI31410 pep chromosome:Prunus_persica_NCBIv2:G1:30231172:30234471:-1 gene:PRUPE_1G311300 transcript:ONI31410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKGSTLVHLLVIVLSLVAFGFAIAAERQRSVGRIEKDDRTNETYCVYNSDVATGYGVGGFLFLLSGESLLMGITKCMCFGRPLAPGGNRAWSIIYFVSSWTTFLVAEACLIAGATKNAYHTKYRGMIYAQNFSCEALRKGVFISGAVFVVVTMILNVYYYMYFTKATTTQAAHKANHSSSTVGMAGYA >ONI27445 pep chromosome:Prunus_persica_NCBIv2:G1:6483506:6484492:-1 gene:PRUPE_1G086900 transcript:ONI27445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPRPDGLRFIAPSLFFICFLYVLASISELRFDSLLKFGRCALANINMPSAQLYNSSNTSHSSPNITSLALNSSSNDEIRVLFGILTLPGQYQRRHFLRLIYGTQTPVGAKVDVKFVMCNLTKEEQEVLVALEIMRYDDIIILDCEENMNKGKTYTYFSSLPEMFNNTNGVYPPYHYVMKADDDAYFRLQNLVDSLKPLPREDLYYGYVIPCSSMEPFGHYMSGMGYLISWDIVEWIRESDIPKSHLEGPEDKTFGDWIKNGHRAKNRFHAKWSMYNFPEPPTKCTHELWPHTIAVHLLKNQEKWIRTLKYFNVTDNLKPSKLYHIP >ONI27527 pep chromosome:Prunus_persica_NCBIv2:G1:6983993:6986474:-1 gene:PRUPE_1G092200 transcript:ONI27527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTMSAMLLVLHIFVLHLQYSEVHSLATTSDHDFSYLTFAYDATDLELEGSYDYVIVGGGTSGCPLAATLSEKYKVLVLERGSLPTAYPNVLTADGFVYNLQQEDDGKTPVERFVSEDGIDNVRGRVLGGTSMINAGVYARANTSIYSASGVDWDMDLVNQTYEWVEDTIVYKPNAQSWQSVTKTAFLEAGVHPNHGFSLDHEEGTRITGSTFDNKGTRHAADELLNKGNSNNLRVGVHASVEKIIFSNAPGLTATGVIYRDSNGTPHQAFVRGKGEVIVSAGTIGTPQLLLLSGVGPESYLSSLNIPVVLSHPYVGEFLHDNPRNFINILPPNPIEPTIVTVLGISNDFYQCSFSSLPFTTPPFGFFPSTSYPLPNSTFAHFASKVAGPLSYGSLTLKSSSNVRVSPNVKFNYYSNPTDLSHCVSGMKKIGELLSTDALKPYKVEDLPGIEGFNILGIPLPKDQTDDAAFETFCRESVASYWHYHGGCLVGKVLDGDFRVTGINALRVVDGSTFPYTPASHPQGFYLMLGRYVGSKILQERSASDLKILDSLKSAASFVF >ONI32573 pep chromosome:Prunus_persica_NCBIv2:G1:33975948:33979306:-1 gene:PRUPE_1G373800 transcript:ONI32573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLSQFSSYTAAIFRTTGRSAGTSALCGPSSWKRRFDLCTNSKLINLESVLTRFHIQLYSSRSKGSSSSSKTTSPRSRKKSNSEPAMEPDKDSFYVVRKGDVVGVYKSFSDCQAQLGSSICDPPVSVYKGESMPKNTEEYLVSRGLKNAIYTIRAEDVKDDLFGKLVQCPVEDPCSKGETSVKDASKKRPQQLCGTETEGSCILMFDGASKGNPGLAGAGAVLRADDGSLICKVREGLGIATNNVAEYRAVILGLKCALRKGFSKIVVQGDSKLVCMQVQGLWKVKNQNMSDLYEEVKKLKDKFLSFKISHVLRGRNSEADAEANLAITLADGQVQEVSGK >ONI32577 pep chromosome:Prunus_persica_NCBIv2:G1:33976798:33979102:-1 gene:PRUPE_1G373800 transcript:ONI32577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLSQFSSYTAAIFRTTGRSAGTSALCGPSSWKRRFDLCTNSKLINLESVLTRFHIQLYSSRSKGSSSSSKTTSPRSRKKSNSEPAMEPDKDSFYVVRKGDVVGVYKSFSDCQAQLGSSICDPPVSVYKGESMPKNTEEYLVSRGLKNAIYTIRAEDVKDDLFGKLVQCPVEDPCSKGETSVKDASKKRPQQLCGTETEEEFGSTFISDDLSRKHAKIDHSAVLESPPLNRGSCILMFDGASKGNPGLAGAGAVLRADDGSLICKVREGLGIATNNVAEYRAVILGLKCALRKGFSKIVVQGDSKLVCMQVQGLWKVKNQNMSDLYEEVKKLKDKFLSFKISHVLRVREADSVYLLCYVICLV >ONI32575 pep chromosome:Prunus_persica_NCBIv2:G1:33976747:33979102:-1 gene:PRUPE_1G373800 transcript:ONI32575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLSQFSSYTAAIFRTTGRSAGTSALCGPSSWKRRFDLCTNSKLINLESVLTRFHIQLYSSRSKGSSSSSKTTSPRSRKKSNSEPAMEPDKDSFYVVRKGDVVGVYKSFSDCQAQLGSSICDPPVSVYKGESMPKNTEEYLVSRGLKNAIYTIRAEDVKDDLFGKLVQCPVEDPCSKGETSVKDASKKRPQQLCGTETEGSCILMFDGASKGNPGLAGAGAVLRADDGSLICKVREGLGIATNNVAEYRAVILGLKCALRKGFSKIVVQGDSKLVCMQVQGLWKVKNQNMSDLYEEVKKLKDKFLSFKISHVLR >ONI32576 pep chromosome:Prunus_persica_NCBIv2:G1:33976747:33979102:-1 gene:PRUPE_1G373800 transcript:ONI32576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLSQFSSYTAAIFRTTGRSAGTSALCGPSSWKRRFDLCTNSKLINLESVLTRFHIQLYSSRSKGSSSSSKTTSPRSRKKSNSEPAMEPDKDSFYVVRKGDVVGVYKSFSDCQAQLGSSICDPPVSVYKGESMPKNTEEYLVSRGLKNAIYTIRAEDVKDDLFGKLVQCPVEDPCSKGETSVKDASKKRPQQLCGTETEEEFGSTFISDDLSRKHAKIDHSAVLESPPLNRGSCILMFDGASKGNPGLAGAGAVLRADDGSLICKVREGLGIATNNVAEYRAVILGLKCALRKGFSKIVVQGDSKLVCMQVQGLWKVKNQNMSDLYEEVKKLKDKFLSFKISHVLR >ONI32574 pep chromosome:Prunus_persica_NCBIv2:G1:33975948:33979306:-1 gene:PRUPE_1G373800 transcript:ONI32574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLSQFSSYTAAIFRTTGRSAGTSALCGPSSWKRRFDLCTNSKLINLESVLTRFHIQLYSSRSKGSSSSSKTTSPRSRKKSNSEPAMEPDKDSFYVVRKGDVVGVYKSFSDCQAQLGSSICDPPVSVYKGESMPKNTEEYLVSRGLKNAIYTIRAEDVKDDLFGKLVQCPVEDPCSKGETSVKDASKKRPQQLCGTETEEEFGSTFISDDLSRKHAKIDHSAVLESPPLNRGSCILMFDGASKGNPGLAGAGAVLRADDGSLICKVREGLGIATNNVAEYRAVILGLKCALRKGFSKIVVQGDSKLVCMQVQGLWKVKNQNMSDLYEEVKKLKDKFLSFKISHVLRGRNSEADAEANLAITLADGQVQEVSGK >ONI28226 pep chromosome:Prunus_persica_NCBIv2:G1:10478513:10485219:-1 gene:PRUPE_1G132400 transcript:ONI28226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKMHLHILVSLLALSFGTELSTSDEAAMPEFTFSWMDDKDTFQAGDTATIRIRALNNFDKLDKNAFKPTLTVNGKPGNSSFVSGVLSDFEGDPTSWKLFFTTITAGLFNVMINEDRYKVFDSSLHFQVHPGKMYPSGCVVSWMGSGHEFEAGTIARVLILPKDAFGNNINISESSEDPKLHNFTVSAFYANESIASLPEITHLGWNEIGCIIVEFLVVKAGDLFLYVGGGNETLNGSPLPFKVNPGPLEVSNSVAKWDFEPNAWQLYSKMEVLIHQQDRYGNLVPGLYAFDAEVVEIKTNLSIPLADLHFEEVVAGIQLFSFSNLEPGNFLLTISDMKHDKSISNMPYAYNVFVGYCNGTNSVVNGSGLNSSTAGERAEFSVYLNDAYQYPSPAEIERLEVQIVREIDSYRVQSSIFPMQIINGTGPARGIRYGATSQIEITPSPSMDSANSSVGSFGTLATAFNVVYTPDKSGIYRIYVLCGNILLNGGQPCTMEVRGGEVNTSLSQVVKFSPKVPKMINNDVVVQLVDSFFNPVLSQQSRLKLNIVSVNSSGFSSSMFVDNSNGSYTVHYLAEDVGSYEMCASFEGKQVSPCPFGVNVYSSEYFPRAYIDTISVWEDESIAFDVLANDYFAGKNASVVEFSKPGHGSLLEFGRLLRYTPQKDYYGNDSFVYTMSDINGNLATAAVNISVLTIPPQFVSFPTELQATEDEISPRFGGFPGFEIRYSDMMESISVNLSAQSGTVFLAPMLMQFWEPVWTGLSVYTEDGEVKGLILEGNVEVINFALQSIQYYGNENFCGYDTVRVSTRNRNGVNVLDVPVLVEPINDPPFIHAPAYIILKNNEDESLIYDREKDKFEFCIGDPDLLAFHGNESLFAVTFSVEVNDGFLVTSLPAALITTTELKLKNSYQWLPLQTYVSISKHFKVKAKGVRFHGTVNDCNSVMQQLFYHGGEQDAILTVTLNDMGNYGCYFNCAEKISVPLQADASVNLIRRRPMSSLVAHGLGSAIVLEAIIVFSLGSALLFFTCKCATRLVNERRNKATRGPEPESTHSLRKGTPKTNVSEHATHLTGSCSSPLLGSQRPNFRQRSSCRQSGDEESSKAAYQRFRSISGRSQHTPAPSFMPLAIEKEQSETV >ONI28228 pep chromosome:Prunus_persica_NCBIv2:G1:10478532:10485219:-1 gene:PRUPE_1G132400 transcript:ONI28228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKMHLHILVSLLALSFGTELSTSDEAAMPEFTFSWMDDKDTFQAGDTATIRIRALNNFDKLDKNAFKPTLTVNGKPGNSSFVSGVLSDFEGDPTSWKLFFTTITAGLFNVMINEDRYKVFDSSLHFQVHPGKMYPSGCVVSWMGSGHEFEAGTIARVLILPKDAFGNNINISESSEDPKLHNFTVSAFYANESIASLPEITHLGWNEIGCIIVEFLVVKAGDLFLYVGGGNETLNGSPLPFKVNPGPLEVSNSVAKWDFEPNAWQLYSKMEVLIHQQDRYGNLVPGLYAFDAEVVEIKTNLSIPLADLHFEEVVAGIQLFSFSNLEPGNFLLTISDMKHDKSISNMPYAYNVFVGYCNGTNSVVNGSGLNSSTAGERAEFSVYLNDAYQYPSPAEIERLEVQIVREIDSYRVQSSIFPMQIINGTGPARGIRYGATSQIEITPSPSMDSANSSVGSFGTLATAFNVVYTPDKSGIYRIYVLCGNILLNGGQPCTMEVRGGEVNTSLSQVVKFSPKVPKMINNDVVVQLVDSFFNPVLSQQSRLKLNIVSVNSSGFSSSMFVDNSNGSYTVHYLAEDVGSYEMCASFEGKQVSPCPFGVNVYSSEYFPRAYIDTISVWEDESIAFDVLANDYFAGKNASVVEFSKPGHGSLLEFGRLLRYTPQKDYYGNDSFVYTMSDINGNLATAAVNISVLTIPPQFVSFPTELQATEDEISPRFGGFPGFEIRYSDMMESISVNLSAQSGTVFLAPMLMQFWEPVWTGLSVYTEDGEVKGLILEGNVEVINFALQSIQYYGNENFCGYDTVRVSTRNRNGVNVLDVPVLVEPINDPPFIHAPAYIILKNNEDESLIYDREKDKFEFCIGDPDLLAFHG >ONI28225 pep chromosome:Prunus_persica_NCBIv2:G1:10478857:10485519:-1 gene:PRUPE_1G132400 transcript:ONI28225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFYFNYKKWRTISTAVFLHKPESVRSFADPKPFGFLSMAIKMHLHILVSLLALSFGTELSTSDEAAMPEFTFSWMDDKDTFQAGDTATIRIRALNNFDKLDKNAFKPTLTVNGKPGNSSFVSGVLSDFEGDPTSWKLFFTTITAGLFNVMINEDRYKVFDSSLHFQVHPGKMYPSGCVVSWMGSGHEFEAGTIARVLILPKDAFGNNINISESSEDPKLHNFTVSAFYANESIASLPEITHLGWNEIGCIIVEFLVVKAGDLFLYVGGGNETLNGSPLPFKVNPGPLEVSNSVAKWDFEPNAWQLYSKMEVLIHQQDRYGNLVPGLYAFDAEVVEIKTNLSIPLADLHFEEVVAGIQLFSFSNLEPGNFLLTISDMKHDKSISNMPYAYNVFVGYCNGTNSVVNGSGLNSSTAGERAEFSVYLNDAYQYPSPAEIERLEVQIVREIDSYRVQSSIFPMQIINGTGPARGIRYGATSQIEITPSPSMDSANSSVGSFGTLATAFNVVYTPDKSGIYRIYVLCGNILLNGGQPCTMEVRGGEVNTSLSQVVKFSPKVPKMINNDVVVQLVDSFFNPVLSQQSRLKLNIVSVNSSGFSSSMFVDNSNGSYTVHYLAEDVGSYEMCASFEGKQVSPCPFGVNVYSSEYFPRAYIDTISVWEDESIAFDVLANDYFAGKNASVVEFSKPGHGSLLEFGRLLRYTPQKDYYGNDSFVYTMSDINGNLATAAVNISVLTIPPQFVSFPTELQATEDEISPRFGGFPGFEIRYSDMMESISVNLSAQSGTVFLAPMLMQFWEPVWTGLSVYTEDGEVKGLILEGNVEVINFALQSIQYYGNENFCGYDTVRVSTRNRNGVNVLDVPVLVEPINDPPFIHAPAYIILKNNEDESLIYDREKDKFEFCIGDPDLLAFHGNESLFAVTFSVEVNDGFLVTSLPAALITTTELKLKNSYQWLPLQTYVSISKHFKVKAKGVRFHGTVNDCNSVMQQLFYHGGEQDAILTVTLNDMGNYGCYFNCAEKISVPLQADASVNLIRRRPMSSLVAHGLGSAIVLEAIIVFSLGSALLFFTCKCATRLVNERRNKATRGPEPESTHSLRKGTPKTNVSEHATHLTGSCSSPLLGSQRPNFRQRSSCRQSGDEESSKAAYQRFRSISGRSQHTPAPSFMPLAIEKEQSETV >ONI28227 pep chromosome:Prunus_persica_NCBIv2:G1:10478513:10484779:-1 gene:PRUPE_1G132400 transcript:ONI28227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLIHQQDRYGNLVPGLYAFDAEVVEIKTNLSIPLADLHFEEVVAGIQLFSFSNLEPGNFLLTISDMKHDKSISNMPYAYNVFVGYCNGTNSVVNGSGLNSSTAGERAEFSVYLNDAYQYPSPAEIERLEVQIVREIDSYRVQSSIFPMQIINGTGPARGIRYGATSQIEITPSPSMDSANSSVGSFGTLATAFNVVYTPDKSGIYRIYVLCGNILLNGGQPCTMEVRGGEVNTSLSQVVKFSPKVPKMINNDVVVQLVDSFFNPVLSQQSRLKLNIVSVNSSGFSSSMFVDNSNGSYTVHYLAEDVGSYEMCASFEGKQVSPCPFGVNVYSSEYFPRAYIDTISVWEDESIAFDVLANDYFAGKNASVVEFSKPGHGSLLEFGRLLRYTPQKDYYGNDSFVYTMSDINGNLATAAVNISVLTIPPQFVSFPTELQATEDEISPRFGGFPGFEIRYSDMMESISVNLSAQSGTVFLAPMLMQFWEPVWTGLSVYTEDGEVKGLILEGNVEVINFALQSIQYYGNENFCGYDTVRVSTRNRNGVNVLDVPVLVEPINDPPFIHAPAYIILKNNEDESLIYDREKDKFEFCIGDPDLLAFHGNESLFAVTFSVEVNDGFLVTSLPAALITTTELKLKNSYQWLPLQTYVSISKHFKVKAKGVRFHGTVNDCNSVMQQLFYHGGEQDAILTVTLNDMGNYGCYFNCAEKISVPLQADASVNLIRRRPMSSLVAHGLGSAIVLEAIIVFSLGSALLFFTCKCATRLVNERRNKATRGPEPESTHSLRKGTPKTNVSEHATHLTGSCSSPLLGSQRPNFRQRSSCRQSGDEESSKAAYQRFRSISGRSQHTPAPSFMPLAIEKEQSETV >ONI30028 pep chromosome:Prunus_persica_NCBIv2:G1:24030479:24044053:1 gene:PRUPE_1G227000 transcript:ONI30028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLRAPSNYTEEPPRHPSLIVNSQEPFNAEPPRSALIASYVTPVDLFYKRNHGPIPVIDDIERYRVSIRGLVEKPLELSMADIRKLRRHIVTATLQCAGNRRTAMSKVKAVKGVGWDVSAIGNAIWGGAKLADVLELVGISKLTSVSPLGGKHVEFVSVDMCKEEKGGPYKGSIPLIQATNSDAEVLLAYEMNGEGFFMQRDYKMFPPTVNWENIDWSTRRPQMDFPVQSVICSLEEENTVKPGKIVVHGYAASGGGRGIERVDVSFDGGKTWVEAIRYQKSGIPYVADDTSSDKWAWVLFKAEADVSHTTEIFTKAVDISANVQPENVEVIWNLRGILNTSWHRVHIHVQHPDL >ONI30027 pep chromosome:Prunus_persica_NCBIv2:G1:24030479:24044053:1 gene:PRUPE_1G227000 transcript:ONI30027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLRAPSNYTEEPPRHPSLIVNSQEPFNAEPPRSALIASYVTPVDLFYKRNHGPIPVIDDIERYRVSIRGLVEKPLELSMADIRKLRRHIVTATLQCAGNRRTAMSKVKAVKGVGWDVSAIGNAIWGGAKLADVLELVGISKLTSVSPLGGKHVEFVSVDMCKEEKGGPYKGSIPLIQATNSDAEVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLSSINIIENECQGFFMQRDYKMFPPTVNWENIDWSTRRPQMDFPVQSVICSLEEENTVKPGKIVVHGYAASGGGRGIERVDVSFDGGKTWVEAIRYQKSGIPYVADDTSSDKWAWVLFKAEADVSHTTEIFTKAVDISANVQPENVEVIWNLRGILNTSWHRVHIHVQHPDL >ONI30033 pep chromosome:Prunus_persica_NCBIv2:G1:24041850:24044053:1 gene:PRUPE_1G227000 transcript:ONI30033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVKAVKGVGWDVSAIGNAIWGGAKLADVLELVGISKLTSVSPLGGKHVEFVSVDMCKEEKGGPYKGSIPLIQATNSDAEVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLSSINIIENECQGFFMQRDYKMFPPTVNWENIDWSTRRPQMDFPVQSVICSLEEENTVKPGKIVVHGYAASGGGRGIERVDVSFDGGKTWVEAIRYQKSGIPYVADDTSSDKWAWVLFKAEADVSHTTEIFTKAVDISANVQPENVEVIWNLRGILNTSWHRVHIHVQHPDL >ONI31233 pep chromosome:Prunus_persica_NCBIv2:G1:29492102:29493807:1 gene:PRUPE_1G300000 transcript:ONI31233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSMFKNSPADLSLPPPEGPNSGILVVQDEEATCCFGLFNSEMIKDLPLPQNKNLELYYATGFYPNRNMRFHKVFFIPVLNQPLSSNRYYAIYPYGKDRGEGYTSSKEEDLEMDTCCFAGRNDVLPQHFDPRNLHQQYEIHLQTRIINVSGGFVAKSMAQDGFPPEALWRKGWKLKSSTLNEFELGDAPGLDTALRARLPDFNFPLKPVVVGKWYCPFMFVKEGTPKSLRDERTRAMYYEMTLEQKWEQIFARDHHSNHHDQSNAVAVDAVVQSEVVMVAGREAVCDERSVGDGVMEFRSLNNVGEETRVGVSLAIVERMKWEQGRVGWVGGDDEGLVRVQRVEEYEGKGEWNKFGCFVLTERFALKRMDGSLVFTHDFKHTHQIRSKWE >ONI26585 pep chromosome:Prunus_persica_NCBIv2:G1:2290158:2291151:1 gene:PRUPE_1G032900 transcript:ONI26585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSTGAAAGKSSWPELVGTKGEEAVATIMKENPSLKAHTINQGSFITMDHRRDRVRVWIDEQGVVTAAPKIA >ONI28717 pep chromosome:Prunus_persica_NCBIv2:G1:12461669:12463692:1 gene:PRUPE_1G157100 transcript:ONI28717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVTDKRLRRGSPPWSDLPYDILCCIMGHLCFVDQIQFRAVCKNFGLVAGIRPKDKLPWVLTLNFSFKNDMYPSSLYDPCTLEAYKLDHELTSSSWTGVVKLQVCGARNGWLLLAEITPFFFFGHTDFYLYNPFTDDASINLPG >ONI28718 pep chromosome:Prunus_persica_NCBIv2:G1:12461819:12463008:1 gene:PRUPE_1G157100 transcript:ONI28718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVTDKRLRRGSPPWSDLPYDILCCIMGHLCFVDQIQFRAVCKNFGLVAGIRPKDKLPWVLTLNFSFKNDMYPSSLYDPCTLEAYKLDHELTSSSWTGVVKLQVCGARNGWLLLAEITPFFFFGHTDFYLYNPFTDDASINLPG >ONI35465 pep chromosome:Prunus_persica_NCBIv2:G1:43900665:43902392:1 gene:PRUPE_1G537400 transcript:ONI35465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQLSSLVALVVVTFAFSLFIRSRFWKPRAVRKSPPLAGGAWPIIGHLRLFKSPAEPLHMALGKLADKYGPIFTIKYGVERAVVVSSSEMAKECLKTHDKVFSSRVQSVVSEILGYNYAFFAFSTYGRYFHEIKKKVMHELFSNHRIEMLKHVRESEVSTSIREIYEQWTKNKKSGGSNEVVVEMKEWFGDISENVIFRMILGQRCTEAKNYAKNPLPGELMGRKIFHDVLHMLGTVVLSDAVPFLRWLDLGGHQIQKAMRKKLKEVDQLLQVWLDEHKQKRKISGGVKGDDDRDFMDTMISFLDDDLKVTKEYDADTINKATALTILLAGVDVTTGTLSWALSLLLNNPVTLKRAQEELDQVIGRERQVKESDIDNLVYLQAVLKETMRLYPPGPVPLAHVSTEDCILGGYHIPAKTRVFINIGKIQLDPKTWPEPNEFRPERFLTTHKNIDVRGQDFELIPFSSGRRMCAGLSLAMKMMPLALASLLHGFEIATPSDEPVDMSENMELTNHKSSLLEVVVTPRLPAQLYE >ONI30833 pep chromosome:Prunus_persica_NCBIv2:G1:28124969:28127186:-1 gene:PRUPE_1G275900 transcript:ONI30833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLQNMQNQNMNLVLSTDAKPRLKWTPELHQRFVEAVTQLGGADKATPKSLMRVMGIHGLTLYHLKSHLQKYRLGKSQQSENCTDIKQEDYKEIQSSDDHFDTDISDETHCQINESLQIAESLQLQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQTVLKKAQETLSGYGSSSVGVELAKAELTQLVSMVNNGCPSSSFSELTETGTSSLKYVERKQMRGSMESSLTSSESSGRKEEKQPMHKHGGDPEKSNTTCVELPLMDIHPENKPWNNVTNSHVIGRKRSSSPISDGICVEQPISKRTPTQRDKSGQHLRKSGLLDTIDLNRKYQNDIDSGPKVIDLNCKGI >ONI29360 pep chromosome:Prunus_persica_NCBIv2:G1:18473447:18481625:-1 gene:PRUPE_1G195100 transcript:ONI29360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVCFVAEGLEEFVSRNGEYLSEIRDQVQLALTELQLMRRFAKFVDGRQGDDVEARSWVARIIRDAAYDLEVIVETYSLKVVLRRKGVCQSAMKRYACMFIDRIRVRKIESKICDITNTISELRLSLQTNRIEVLIPNYLPPRDTEHHPHPIVGLEAKVKALVMRLEQNEDPVIAILGMGGIGKTTLAKEVYHHHAVRRHFDCFAWVCISQQFEVRRVWEEILVQFISPTNEQREEIASMDDDEIARKLIRLLGEKRCLVVIDDIWRTEDWELLRLPFPVYGATGSKILLTTRNKEVALYAARNGFILHCYPLTEDESWELFENIAFSGRNDRGPEIFERMKKLGVRMIRHCNGLPLAIVTLAGLLARKKTLDEWNRVYENVYRSYDIEEYTSVHWMLAMSYDDLPYYLKPCFLYLGQFPEDLEIPAKELTQLWIAEGFISLAQQKQRLLATVEDVAYNCLSELVERGIVQVGKRGSVRKIKTCRMHDLMRELCLSKAREEEFLKIVNFSNIGHEPTGKVRRLALYFDANDVELVSSRYESQDHVRSLLFFGPKNWIPKSTTYILSTFKDLKFLRVLKVEYMVREVRLPTEIGNMLCLRFLSLRKSNIIWLPPSLGNLICLQTLNLDFCHSNDRTPIIPNVIWKMEQLRHLYLLYYSSSSVLRLSNLCNLQTLSCVSSDFCDMSDLTKLTNLRKLGIRLSRPVQNLEELLRSASSTLNRIQSLFVKNDVGVNIQEEVMQIVLSCCRIYKLKLYGPIKELSTDPQHYPNLTKLSLCECHLEDDQMAILERLPNLRILSLQSLSFQESTKTLVCSSGGFLRLETLSLEDLKTLEEWRIEEGAMPSLLQLGIHCCYRLKTVPHGLIYISSLRDFTIGRMPRTFYSRLQEGGEDFYIVRRVPSLALGDVHEE >ONI29361 pep chromosome:Prunus_persica_NCBIv2:G1:18473447:18482035:-1 gene:PRUPE_1G195100 transcript:ONI29361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVCFVAEGLEEFVSRNGEYLSEIRDQVQLALTELQLMRRFAKFVDGRQGDDVEARSWVARIIRDAAYDLEVIVETYSLKVVLRRKGVCQSAMKRYACMFIDRIRVRKIESKICDITNTISELRLSLQTNRIEVLIPNYLPPRDTEHHPHPIVGLEAKVKALVMRLEQNEDPVIAILGMGGIGKTTLAKEVYHHHAVRRHFDCFAWVCISQQFEVRRVWEEILVQFISPTNEQREEIASMDDDEIARKLIRLLGEKRCLVVIDDIWRTEDWELLRLPFPVYGATGSKILLTTRNKEVALYAARNGFILHCYPLTEDESWELFENIAFSGRNDRGPEIFERMKKLGVRMIRHCNGLPLAIVTLAGLLARKKTLDEWNRVYENVYRSYDIEEYTSVHWMLAMSYDDLPYYLKPCFLYLGQFPEDLEIPAKELTQLWIAEGFISLAQQKQRLLATVEDVAYNCLSELVERGIVQVGKRGSVRKIKTCRMHDLMRELCLSKAREEEFLKIVNFSNIGHEPTGKVRRLALYFDANDVELVSSRYESQDHVRSLLFFGPKNWIPKSTTYILSTFKDLKFLRVLKVEYMVREVRLPTEIGNMLCLRFLSLRKSNIIWLPPSLGNLICLQTLNLDFCHSNDRTPIIPNVIWKMEQLRHLYLLYYSSSSVLRLSNLCNLQTLSCVSSDFCDMSDLTKLTNLRKLGIRLSRPVQNLEELLRSASSTLNRIQSLFVKNDVGVNIQEEVMQIVLSCCRIYKLKLYGPIKELSTDPQHYPNLTKLSLCECHLEDDQMAILERLPNLRILSLQSLSFQESTKTLVCSSGGFLRLETLSLEDLKTLEEWRIEEGAMPSLLQLGIHCCYRLKTVPHGLIYISSLRDFTIGRMPRTFYSRLQEGGEDFYIVRRVPSLALGDVHEE >ONI29367 pep chromosome:Prunus_persica_NCBIv2:G1:18477571:18481321:-1 gene:PRUPE_1G195100 transcript:ONI29367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVCFVAEGLEEFVSRNGEYLSEIRDQVQLALTELQLMRRFAKFVDGRQGDDVEARSWVARIIRDAAYDLEVIVETYSLKVVLRRKGVCQSAMKRYACMFIDRIRVRKIESKICDITNTISELRLSLQTNRIEVLIPNYLPPRDTEHHPHPIVGLEAKVKALVMRLEQNEDPVIAILGMGGIGKTTLAKEVYHHHAVRRHFDCFAWVCISQQFEVRRVWEEILVQFISPTNEQREEIASMDDDEIARKLIRLLGEKRCLVVIDDIWRTEDWELLRLPFPVYGATGSKILLTTRNKEVALYAARNGFILHCYPLTEDESWELFENIAFSGRNDRGPEIFERMKKLGVRMIRHCNGLPLAIVTLAGLLARKKTLDEWNRVYENVYRSYDIEEYTSVHWMLAMSYDDLPYYLKPCFLYLGQFPEDLEIPAKELTQLWIAEGFISLAQQKQRLLATVEDVAYNCLSELVERGIVQVGKRGSVRKIKTCRMHDLMRELCLSKAREEEFLKIVNFSNIGHEPTGKVRRLALYFDANDVELVSSRYESQDHVRSLLFFGPKNWIPKSTTYILSTFKDLKFLRVLKVEYMVREVRLPTEIGNMLCLRFLSLRKSNIIWLPPSLGNLICLQTLNLDFCHSNDRTPIIPNVIWKMEQLRHLYLLYYSSSSVLRLSNLCNLQTLSCVSSDFCDMSDLTKLTNLRKLGIRLSRPVQNLEELLRSASSTLNRIQSLFVKNDVGVNIQEEVMQIVLSCCRIYKLKLYGPIKELSTDPQHYPNLTKLSLCECHLEDDQMAILERLPNLRILSLQSLSFQESTKTLVCSSGGFLRLETLSLEDLKTLEEWRIEEGAMPSLLQLGIHCCYRLKTVPHGLIYISSLRDFTIGRMPRTFYSRLQEGGEDFYIVRRVPSLALGDVHEE >ONI29362 pep chromosome:Prunus_persica_NCBIv2:G1:18477571:18481321:-1 gene:PRUPE_1G195100 transcript:ONI29362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVCFVAEGLEEFVSRNGEYLSEIRDQVQLALTELQLMRRFAKFVDGRQGDDVEARSWVARIIRDAAYDLEVIVETYSLKVVLRRKGVCQSAMKRYACMFIDRIRVRKIESKICDITNTISELRLSLQTNRIEVLIPNYLPPRDTEHHPHPIVGLEAKVKALVMRLEQNEDPVIAILGMGGIGKTTLAKEVYHHHAVRRHFDCFAWVCISQQFEVRRVWEEILVQFISPTNEQREEIASMDDDEIARKLIRLLGEKRCLVVIDDIWRTEDWELLRLPFPVYGATGSKILLTTRNKEVALYAARNGFILHCYPLTEDESWELFENIAFSGRNDRGPEIFERMKKLGVRMIRHCNGLPLAIVTLAGLLARKKTLDEWNRVYENVYRSYDIEEYTSVHWMLAMSYDDLPYYLKPCFLYLGQFPEDLEIPAKELTQLWIAEGFISLAQQKQRLLATVEDVAYNCLSELVERGIVQVGKRGSVRKIKTCRMHDLMRELCLSKAREEEFLKIVNFSNIGHEPTGKVRRLALYFDANDVELVSSRYESQDHVRSLLFFGPKNWIPKSTTYILSTFKDLKFLRVLKVEYMVREVRLPTEIGNMLCLRFLSLRKSNIIWLPPSLGNLICLQTLNLDFCHSNDRTPIIPNVIWKMEQLRHLYLLYYSSSSVLRLSNLCNLQTLSCVSSDFCDMSDLTKLTNLRKLGIRLSRPVQNLEELLRSASSTLNRIQSLFVKNDVGVNIQEEVMQIVLSCCRIYKLKLYGPIKELSTDPQHYPNLTKLSLCECHLEDDQMAILERLPNLRILSLQSLSFQESTKTLVCSSGGFLRLETLSLEDLKTLEEWRIEEGAMPSLLQLGIHCCYRLKTVPHGLIYISSLRDFTIGRMPRTFYSRLQEGGEDFYIVRRVPSLALGDVHEE >ONI29366 pep chromosome:Prunus_persica_NCBIv2:G1:18473058:18481712:-1 gene:PRUPE_1G195100 transcript:ONI29366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVCFVAEGLEEFVSRNGEYLSEIRDQVQLALTELQLMRRFAKFVDGRQGDDVEARSWVARIIRDAAYDLEVIVETYSLKVVLRRKGVCQSAMKRYACMFIDRIRVRKIESKICDITNTISELRLSLQTNRIEVLIPNYLPPRDTEHHPHPIVGLEAKVKALVMRLEQNEDPVIAILGMGGIGKTTLAKEVYHHHAVRRHFDCFAWVCISQQFEVRRVWEEILVQFISPTNEQREEIASMDDDEIARKLIRLLGEKRCLVVIDDIWRTEDWELLRLPFPVYGATGSKILLTTRNKEVALYAARNGFILHCYPLTEDESWELFENIAFSGRNDRGPEIFERMKKLGVRMIRHCNGLPLAIVTLAGLLARKKTLDEWNRVYENVYRSYDIEEYTSVHWMLAMSYDDLPYYLKPCFLYLGQFPEDLEIPAKELTQLWIAEGFISLAQQKQRLLATVEDVAYNCLSELVERGIVQVGKRGSVRKIKTCRMHDLMRELCLSKAREEEFLKIVNFSNIGHEPTGKVRRLALYFDANDVELVSSRYESQDHVRSLLFFGPKNWIPKSTTYILSTFKDLKFLRVLKVEYMVREVRLPTEIGNMLCLRFLSLRKSNIIWLPPSLGNLICLQTLNLDFCHSNDRTPIIPNVIWKMEQLRHLYLLYYSSSSVLRLSNLCNLQTLSCVSSDFCDMSDLTKLTNLRKLGIRLSRPVQNLEELLRSASSTLNRIQSLFVKNDVGVNIQEEVMQIVLSCCRIYKLKLYGPIKELSTDPQHYPNLTKLSLCECHLEDDQMAILERLPNLRILSLQSLSFQESTKTLVCSSGGFLRLETLSLEDLKTLEEWRIEEGAMPSLLQLGIHCCYRLKTVPHGLIYISSLRDFTIGRMPRTFYSRLQEGGEDFYIVRRVPSLALGDVHEE >ONI29363 pep chromosome:Prunus_persica_NCBIv2:G1:18473447:18481625:-1 gene:PRUPE_1G195100 transcript:ONI29363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVCFVAEGLEEFVSRNGEYLSEIRDQVQLALTELQLMRRFAKFVDGRQGDDVEARSWVARIIRDAAYDLEVIVETYSLKVVLRRKGVCQSAMKRYACMFIDRIRVRKIESKICDITNTISELRLSLQTNRIEVLIPNYLPPRDTEHHPHPIVGLEAKVKALVMRLEQNEDPVIAILGMGGIGKTTLAKEVYHHHAVRRHFDCFAWVCISQQFEVRRVWEEILVQFISPTNEQREEIASMDDDEIARKLIRLLGEKRCLVVIDDIWRTEDWELLRLPFPVYGATGSKILLTTRNKEVALYAARNGFILHCYPLTEDESWELFENIAFSGRNDRGPEIFERMKKLGVRMIRHCNGLPLAIVTLAGLLARKKTLDEWNRVYENVYRSYDIEEYTSVHWMLAMSYDDLPYYLKPCFLYLGQFPEDLEIPAKELTQLWIAEGFISLAQQKQRLLATVEDVAYNCLSELVERGIVQVGKRGSVRKIKTCRMHDLMRELCLSKAREEEFLKIVNFSNIGHEPTGKVRRLALYFDANDVELVSSRYESQDHVRSLLFFGPKNWIPKSTTYILSTFKDLKFLRVLKVEYMVREVRLPTEIGNMLCLRFLSLRKSNIIWLPPSLGNLICLQTLNLDFCHSNDRTPIIPNVIWKMEQLRHLYLLYYSSSSVLRLSNLCNLQTLSCVSSDFCDMSDLTKLTNLRKLGIRLSRPVQNLEELLRSASSTLNRIQSLFVKNDVGVNIQEEVMQIVLSCCRIYKLKLYGPIKELSTDPQHYPNLTKLSLCECHLEDDQMAILERLPNLRILSLQSLSFQESTKTLVCSSGGFLRLETLSLEDLKTLEEWRIEEGAMPSLLQLGIHCCYRLKTVPHGLIYISSLRDFTIGRMPRTFYSRLQEGGEDFYIVRRVPSLALGDVHEE >ONI29365 pep chromosome:Prunus_persica_NCBIv2:G1:18473447:18482036:-1 gene:PRUPE_1G195100 transcript:ONI29365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVCFVAEGLEEFVSRNGEYLSEIRDQVQLALTELQLMRRFAKFVDGRQGDDVEARSWVARIIRDAAYDLEVIVETYSLKVVLRRKGVCQSAMKRYACMFIDRIRVRKIESKICDITNTISELRLSLQTNRIEVLIPNYLPPRDTEHHPHPIVGLEAKVKALVMRLEQNEDPVIAILGMGGIGKTTLAKEVYHHHAVRRHFDCFAWVCISQQFEVRRVWEEILVQFISPTNEQREEIASMDDDEIARKLIRLLGEKRCLVVIDDIWRTEDWELLRLPFPVYGATGSKILLTTRNKEVALYAARNGFILHCYPLTEDESWELFENIAFSGRNDRGPEIFERMKKLGVRMIRHCNGLPLAIVTLAGLLARKKTLDEWNRVYENVYRSYDIEEYTSVHWMLAMSYDDLPYYLKPCFLYLGQFPEDLEIPAKELTQLWIAEGFISLAQQKQRLLATVEDVAYNCLSELVERGIVQVGKRGSVRKIKTCRMHDLMRELCLSKAREEEFLKIVNFSNIGHEPTGKVRRLALYFDANDVELVSSRYESQDHVRSLLFFGPKNWIPKSTTYILSTFKDLKFLRVLKVEYMVREVRLPTEIGNMLCLRFLSLRKSNIIWLPPSLGNLICLQTLNLDFCHSNDRTPIIPNVIWKMEQLRHLYLLYYSSSSVLRLSNLCNLQTLSCVSSDFCDMSDLTKLTNLRKLGIRLSRPVQNLEELLRSASSTLNRIQSLFVKNDVGVNIQEEVMQIVLSCCRIYKLKLYGPIKELSTDPQHYPNLTKLSLCECHLEDDQMAILERLPNLRILSLQSLSFQESTKTLVCSSGGFLRLETLSLEDLKTLEEWRIEEGAMPSLLQLGIHCCYRLKTVPHGLIYISSLRDFTIGRMPRTFYSRLQEGGEDFYIVRRVPSLALGDVHEE >ONI29364 pep chromosome:Prunus_persica_NCBIv2:G1:18473447:18481755:-1 gene:PRUPE_1G195100 transcript:ONI29364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVCFVAEGLEEFVSRNGEYLSEIRDQVQLALTELQLMRRFAKFVDGRQGDDVEARSWVARIIRDAAYDLEVIVETYSLKVVLRRKGVCQSAMKRYACMFIDRIRVRKIESKICDITNTISELRLSLQTNRIEVLIPNYLPPRDTEHHPHPIVGLEAKVKALVMRLEQNEDPVIAILGMGGIGKTTLAKEVYHHHAVRRHFDCFAWVCISQQFEVRRVWEEILVQFISPTNEQREEIASMDDDEIARKLIRLLGEKRCLVVIDDIWRTEDWELLRLPFPVYGATGSKILLTTRNKEVALYAARNGFILHCYPLTEDESWELFENIAFSGRNDRGPEIFERMKKLGVRMIRHCNGLPLAIVTLAGLLARKKTLDEWNRVYENVYRSYDIEEYTSVHWMLAMSYDDLPYYLKPCFLYLGQFPEDLEIPAKELTQLWIAEGFISLAQQKQRLLATVEDVAYNCLSELVERGIVQVGKRGSVRKIKTCRMHDLMRELCLSKAREEEFLKIVNFSNIGHEPTGKVRRLALYFDANDVELVSSRYESQDHVRSLLFFGPKNWIPKSTTYILSTFKDLKFLRVLKVEYMVREVRLPTEIGNMLCLRFLSLRKSNIIWLPPSLGNLICLQTLNLDFCHSNDRTPIIPNVIWKMEQLRHLYLLYYSSSSVLRLSNLCNLQTLSCVSSDFCDMSDLTKLTNLRKLGIRLSRPVQNLEELLRSASSTLNRIQSLFVKNDVGVNIQEEVMQIVLSCCRIYKLKLYGPIKELSTDPQHYPNLTKLSLCECHLEDDQMAILERLPNLRILSLQSLSFQESTKTLVCSSGGFLRLETLSLEDLKTLEEWRIEEGAMPSLLQLGIHCCYRLKTVPHGLIYISSLRDFTIGRMPRTFYSRLQEGGEDFYIVRRVPSLALGDVHEE >ONI30083 pep chromosome:Prunus_persica_NCBIv2:G1:24331770:24333260:-1 gene:PRUPE_1G230100 transcript:ONI30083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLNHNHLSHSRCRCELGKVCRFRVFRRRFRRLRRLSPVREGGRGGGGLCLNFSSLLSIYFYFTIHSQTTPFRLTQGFGFGDRGTNQPSYGYIFQNLSNQNLLNPSYAGDEKLASYPEPPAKMMMYLKQASYATGSYSEVLSGGSFSPHKYADSDGGRNEMMLLASSK >ONI34335 pep chromosome:Prunus_persica_NCBIv2:G1:39577675:39578248:-1 gene:PRUPE_1G475600 transcript:ONI34335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFVNTEKDVEFLLKDGIVKNMLGDYNEVCTLINNLGKGIAVYRESFYFAILSERLNSYCRKPWNKWKANLRQHYFNTPWATISFIAAVLLLILTCIQAVCSIISVMPSKDQKY >ONI28037 pep chromosome:Prunus_persica_NCBIv2:G1:9308688:9311471:1 gene:PRUPE_1G118600 transcript:ONI28037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNKISGRQPKLGDHVSIEITPEHDELVSSIKEKMENIAVSVCIFRVPQELREHNEKKYTPDHVSIGPLHRAKETRVPQDDKWRYCYALLNRKPNLEATLNICVKALRELEHKARRCYEGEIDISSSDDFVQLLLIDGCFIIELFLKFAYKSLRSRRDPIFTKPGMLFEMRRDMALLENQIPFFVVQRLFQLVPLPPKCNEPLNELASRFYRRIIPGDDEHVIKDKFNQEGYHLLDLIRHCILPTNPKLHLKENVPQKHLDCAKKLKSAGIKFKTATSQSFLDIKFAHGVFKIPPLEVHHCTEALLRNLIALEQRQLDDTIQHVTSYAFLMGCLITSEKDVKLLRRRQILIHDEKKDKEVFQMFEKLCKEIKLMDFYYGRLFQDVAEYKSKSWHEKRQNLDTHLKTPTSVMVFVVAMLLLLLTFVGACFSILSFALHHV >ONI28529 pep chromosome:Prunus_persica_NCBIv2:G1:11460100:11463457:-1 gene:PRUPE_1G146000 transcript:ONI28529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSTKSSPVKSPLSSPEASTSSARTSSVSNSKASASLEGQSEQLSEQIKPAAVEEESKTSIDSEEDDKPLSSRVRMKPKPQKKTSSLVSGKRPLDEANVSEQSSVKRPKVSDSSTSAKNKQVSAKKEAKEEEDDDFITIADRAKKVRSDNKSSVALTKQKKVTKVGSSSIKKTIKKSKKGSNFSKYSKSTNVAPSSNDGQEKWASLVHNGVIFPPPYKPHGVKMLYNGEPVNLTPEQEEVATMYAVMKDTEYVQKKTFRNNFWNDWQKLLGKRHVIQKLDACDFTPIYDWYQNEKEKKKQLSKEEFVLMQDKKALQEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKLGKLKRRIRPSDVTINIGKDAPIPECPIPGESWKEVRHDNMVTWLAFWNDPINQKLFKYVFLSPSSSLKGQSDKEKYEKARMLKDYIGNIRAAYTKDFTSKDGAKRQIAVATYLIDKLALRAGNEKEDDEADTVGCCTLKVENVKAIAPNSLEFNFLGKDSIRYENTVEVEVPVYKAIIQFQAGKRGNDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDNILNKETREGDVSEKLVVYQHANKEQCSFRLSAIYDFRHSLQVAIICNHQRTVSKSHGAQMSKLMEKIGGLKGTLKELKTDLDRARKGKPPLEDANGKRKRNLTPEA >ONI28528 pep chromosome:Prunus_persica_NCBIv2:G1:11459211:11463457:-1 gene:PRUPE_1G146000 transcript:ONI28528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSTKSSPVKSPLSSPEASTSSARTSSVSNSKASASLEGQSEQLSEQIKPAAVEEESKTSIDSEEDDKPLSSRVRMKPKPQKKTSSLVSGKRPLDEANVSEQSSVKRPKVSDSSTSAKNKQVSAKKEAKEEEDDDFITIADRAKKVRSDNKSSVALTKQKKVTKVGSSSIKKTIKKSKKGSNFSKYSKSTNVAPSSNDGQEKWASLVHNGVIFPPPYKPHGVKMLYNGEPVNLTPEQEEVATMYAVMKDTEYVQKKTFRNNFWNDWQKLLGKRHVIQKLDACDFTPIYDWYQNEKEKKKQLSKEEFVLMQDKKALQEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKLGKLKRRIRPSDVTINIGKDAPIPECPIPGESWKEVRHDNMVTWLAFWNDPINQKLFKYVFLSPSSSLKGQSDKEKYEKARMLKDYIGNIRAAYTKDFTSKDGAKRQIAVATYLIDKLALRAGNEKEDDEADTVGCCTLKVENVKAIAPNSLEFNFLGKDSIRYENTVEVEVPVYKAIIQFQAGKRGNDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDNILNKETREGDVSEKLVVYQHANKEQCSFRLSAIYDFRHSLQVAIICNHQRTVSKSHGAQMSKLMEKIGGLKGTLKELKTDLDRARKGKPPLEDANGKRKRNLTPEALEKKIANTTEKVEKMEADMRTKEDLKTVALGTSKTNYLDPRITVAWCKRHEVPLEKIFNKSLLEKFAWAMDVDFDFRF >ONI30709 pep chromosome:Prunus_persica_NCBIv2:G1:27556444:27559845:1 gene:PRUPE_1G268200 transcript:ONI30709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGPGLYLDIGKKARDLLYKDYQSDHKFTVTTYTSTGVAISSTGIRKGDLYLGDVSTQLKNKNITTDVKVDTDSNLRTTITIDEPAPGLKAIFSFIVPDQRSGKVELQYQHEYAGISTGIGLTANPIVNFSGVVGNNLLSLGTDLSFDTASGNFTKWNAGLNFTHTDLIASLILNDKADTVTASYYHTVSPLTNTAVGAELSHSFSSNENSLTIGTQHALDPLTTVKGRVNNYGRASALIQHEWRPKSFFTISGEVDTRAIEKSAKIGLALALKP >ONI34873 pep chromosome:Prunus_persica_NCBIv2:G1:41613224:41615377:1 gene:PRUPE_1G503300 transcript:ONI34873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKYAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAFGTTDIALPSN >ONI34872 pep chromosome:Prunus_persica_NCBIv2:G1:41613275:41620319:1 gene:PRUPE_1G503300 transcript:ONI34872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKYAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAFGTTDIALPSN >ONI34026 pep chromosome:Prunus_persica_NCBIv2:G1:38457123:38460536:1 gene:PRUPE_1G459300 transcript:ONI34026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVNDKSIESLISASKSLRLSLQKSQGLGSALEKAGNRFEEINQRLPSLEAAVRPIRADKEALAAVGGHINRAVGPAASVLKVFDAVHGLEKSLLSDPRSDLPGYLSLLKRLEEALRFLGDNCGLAIQWLEDIVEYLEDNAVADDRYLSNLKKSLKGLRELQDGEGKANLDGGLLEAALEKLENEFRRLLMEHSVPLPMSSSSSLGEQACIAPSPLPVLVIQKLQAIIGRSIANNRLEKFISIYIEVRSSNVRASLQALNLDYLEISIAEFNDVQSIEGYIAQWGKHLEFAVKHLFEAEYKLCNDVFERIGLDVWMGCFAKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGAACIEIQNLTRDLIKSVIDGAAEIFWELLLQVQLQRQNPPPPDGSVPKLVSFITDYCNKLLGDDYKPLLTQVLIIDRSWKHEKFQEKLLINEVLEIIKAIEINLETWIKAYEDASLSNLFAMNNHWHLYRHLKGTKLGVLLGDAWLKEHEQYKDYYATVFLRDSWGKLPGHLSREGLILFSGGRATARDLVKKRLKTFNEAFDDMYKRQSNWIVSDKDLREKTCHLIVQAVVPVYRSYMQNYGPLVEQDASSSKYAKYSVQTLEKMLLSLFQPKPVRYGSFKGRQTSGKFNNGVTDLRRTTSAVV >ONI28685 pep chromosome:Prunus_persica_NCBIv2:G1:12260142:12261131:-1 gene:PRUPE_1G155100 transcript:ONI28685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITKELAFKGFPFIRIYQDGKVERLIESPHVPPSDQDPETGVSSKDITISHNPSISARLYLPNLPQNQTQKLPILVYFHGGAFCIESAFSLHAHCFLNRLVSEAQVAAVSVEYRLAPENPLPLAYEDSWAALQWVASHSNNQELDGKKDPWLAKFGDFDRLYIGGDSAGGNIAHNLAMKAGLERLCGGVKVLGAFLSHPYFWGSKPIRSEPKGEDFEKTLEYKAWDVVYPSAPGGIDNPMVNPAGEGAPSLAGLGCSKLLMCVAGKDQLRDRGVWYCDLVRESGWKGEVELFEVEGEEHCFHLGSETETENVKKLLKRLASFLV >ONI33536 pep chromosome:Prunus_persica_NCBIv2:G1:36946946:36950580:1 gene:PRUPE_1G431300 transcript:ONI33536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKWQPLLLSTIVLLSLCASTVSSSRPALTQSPSVSDDDVVSLLAFKSKADLHNALPFSSNTTTLQLCRWTGVQCAQSKIVRLIIQSQNLGGIFAPNTLTRLDQLRVLSLQNNSLTGPIPDLSGLTNLKTLFLDRNSFVGSLPPSLSSLHRLRTLDFSFNNLTGPLPAFLITGLDRLYYLRLDWNRFTGPVPALNQSSLRTFNVSGNNLTGVIPVTPTLLRFGPTAFSWNPGLCGELVNKECHPAAPFFGPTPAHEAPPPTRALGQSTAQEVQGVELTQPSRKRHRRIAVIIGFSSGVFVLICSLLFFVMALKKQRKPQTHRKTDIASPAGSDAHAAVVVQLEEELEQKVKRVQGIQVVKSGSLMFCAGESQLYSLDQLMRASAEMLGKGTIGTTYKAVLDNRLIVSVKRLDAGKLGGTSREVFERHMEAVGGLRHPNLVPLRAYFQAKDERLLVYDYQPNGSLFSLIHGTKSTRAKPLHWTSCLKIAEDVAQGLSYIHQAWRLVHGNLKSSNVLLGPDFEACLTDYCLSVLATTTLTSEEEPDSAAYKAPEIRINSLNDHDDHQQKHQPTSKSDVYAFGILLVELLTGKPPSHHQVLVPTDMVEWVMSMREDDQHDQDGEGNSRMGMLVEVAIACSSTSPEQRPTMWQVLKMLQEIKESASMEDDNELMDPQIVTGVP >ONI28370 pep chromosome:Prunus_persica_NCBIv2:G1:10965833:10966684:-1 gene:PRUPE_1G139800 transcript:ONI28370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPTSLTLSFSRWISTMEVTCSTITWRSLSQSPEHLKSFRSTNQSSREASELRLKRQVIGKCDRSMLLATTTTCRQIAEDSSSASIGQIATVDLGLERWLSR >ONI28369 pep chromosome:Prunus_persica_NCBIv2:G1:10965770:10966689:-1 gene:PRUPE_1G139800 transcript:ONI28369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPTSLTLSFSRWISTMESTNQSSREASELRLKRQVIGKCDRSMLLATTTTCRQIAEDSSSASIGQIATVDLGLERWLSR >ONI35327 pep chromosome:Prunus_persica_NCBIv2:G1:43353578:43361045:-1 gene:PRUPE_1G530400 transcript:ONI35327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRANVSPSLVLELLQRIARVIKDYVGVLNEDSIRKNFVLVYELLDEVIDFGFVQTTSTELLKSYIFNEPIILDSARLSSIGPTGLFMQGTKRMPGTAVTKSVVASEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGGGSAYDYRSSFGSGAVILDDCNFHESVRLDNFEVDKTLTLVPPDGEFPVMNYRMTQEFKPPFRINALIEEAGALKAEVILKIFAEFPSNITSNTIAVQMPLPKYTIRASFELEPGAVGQTTDFKEANKRLEWGLKKIVGGSEHTLRARLTFSPEAHGNITKESGPVSMTFTIPMYNSSRLQVKYLQIAKKSGTYNPYRWVRYVTLANSYVARI >ONI35328 pep chromosome:Prunus_persica_NCBIv2:G1:43353981:43361045:-1 gene:PRUPE_1G530400 transcript:ONI35328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRANVSPSLVLELLQRIARVIKDYVGVLNEDSIRKNFVLVYELLDEVIDFGFVQTTSTELLKSYIFNEPIILDSARLSSIGPTGLFMQGTKRMPGTAVTKSVVASEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGGGSAYDYRSSFGSGAVILDDCNFHESVRLDNFEVDKTLTLVPPDGEFPVMNYRMTQEFKPPFRINALIEEAGALKAEVILKIFAEFPSNITSNTIAVQMPLPKYTIRASFELEPGAVGQTTDFKEANKRLEWGLKKIVGGSEHTLRARLTFSPEAHGNITKESGPVSMTFTIPMYNSSRLQVGNDA >ONI28492 pep chromosome:Prunus_persica_NCBIv2:G1:11319468:11321967:1 gene:PRUPE_1G143700 transcript:ONI28492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSVVKMKFLDWYVKIALGSALIGASMEFFMIKTGFYDKVTVLESEKRAWENSPEAQAIRDALNPWKNRDAEARKES >ONI28493 pep chromosome:Prunus_persica_NCBIv2:G1:11319468:11321967:1 gene:PRUPE_1G143700 transcript:ONI28493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLDWYVKIALGSALIGASMEFFMIKTGFYDKVTVLESEKRAWENSPEAQAIRDALNPWKNRDAEARKES >ONI27937 pep chromosome:Prunus_persica_NCBIv2:G1:8950182:8954302:-1 gene:PRUPE_1G112100 transcript:ONI27937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVALFVSATKLAGLLVTVTVAANAFSFSRFWKKNLRPFQSPIDESDETLADFNLGEWEDEFFFGLATAPAHVEDRLNDAWLQFAEEDPDDKSESQGELQTTDAITGSASGDGGSQPVSLSGKATKTDTKRKPLKIAMEAMIRGYEKYIEGDGGEEEEVEKPVPDEDCHHNVAAWHNVLHPEERLRFWSDPDTELKLAKDTGISVFRMGIDWSRIMPKEPLSGLKESVNYAALERYKWIINRVHSYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVDYFMDFTKLVADSVSDMIDYWVTFNEPHVFCMLTYCAGAWPGGHPDMLEVATSALPTGVFQQAMHWMAIAHTKAYEYIHEQSSSSKPVVGVAHHVSFMRPYGLFDVAAVSLANSLTLYSYVDSISDKLDFIGINYYGQEVVCGAGLKQVATDEYSESGRGVYPDGLYRVLLQFHERYKHLNVPFMITENGVADETDLIRRPYLLEHLLAVYAAKIMGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANSLARIPRPSYHLFTKVATTGKITQDDREGAWNELHKAARAQKTRPFYRQVNKQGLMYAGTIGSRFYEKFFPRFF >ONI27935 pep chromosome:Prunus_persica_NCBIv2:G1:8949570:8954302:-1 gene:PRUPE_1G112100 transcript:ONI27935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVALFVSATKLAGLLVTVTVAANAFSFSRFWKKNLRPFQSPIDESDETLADFNLGEWEDEFFFGLATAPAHVEDRLNDAWLQFAEEDPDDKSESQGELQTTDAITGSASGDGGSQPVSLSGKATKTDTKRKPLKIAMEAMIRGYEKYIEGDGGEEEEVEKPVPDEDCHHNVAAWHNVLHPEERLRFWSDPDTELKLAKDTGISVFRMGIDWSRIMPKEPLSGLKESVNYAALERYKWIINRVHSYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVDYFMDFTKLVADSVSDMIDYWVTFNEPHVFCMLTYCAGAWPGGHPDMLEVATSALPTGVFQQAMHWMAIAHTKAYEYIHEQSSSSKPVVGVAHHVSFMRPYGLFDVAAVSLANSLTLYSYVDSISDKLDFIGINYYGQEVVCGAGLKQVATDEYSESGRGVYPDGLYRVLLQFHERYKHLNVPFMITENGVADETDLIRRPYLLEHLLAVYAAKIMGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANSLARIPRPSYHLFTKVATTGKITQDDREGAWNELHKAARAQKTRPFYRQVNKQGLMYAGGLDEPIQRPFIQRDWRFGHYEMEGLQDPLSRFSRFILRPFSLIRKPKKHNSFSSKSKTKTPAAMEEYLL >ONI27936 pep chromosome:Prunus_persica_NCBIv2:G1:8949593:8954302:-1 gene:PRUPE_1G112100 transcript:ONI27936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDWSRIMPKEPLSGLKESVNYAALERYKWIINRVHSYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVDYFMDFTKLVADSVSDMIDYWVTFNEPHVFCMLTYCAGAWPGGHPDMLEVATSALPTGVFQQAMHWMAIAHTKAYEYIHEQSSSSKPVVGVAHHVSFMRPYGLFDVAAVSLANSLTLYSYVDSISDKLDFIGINYYGQEVVCGAGLKQVATDEYSESGRGVYPDGLYRVLLQFHERYKHLNVPFMITENGVADETDLIRRPYLLEHLLAVYAAKIMGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANSLARIPRPSYHLFTKVATTGKITQDDREGAWNELHKAARAQKTRPFYRQVNKQGLMYAGGLDEPIQRPFIQRDWRFGHYEMEGLQDPLSRFSRFILRPFSLIRKPKKHNSFSSKSKTKTPAAMEEYLL >ONI33003 pep chromosome:Prunus_persica_NCBIv2:G1:35283764:35286316:1 gene:PRUPE_1G399000 transcript:ONI33003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPREKTKRSKISRGKKADWPFISVSENKYEKYVLSGHSANNLQALLDLYVFVYNNLKANSHPFHTLKTHTYNFVFARLKQRWRLKGPMQLVALDNGLFIVRFVLEEDLLYVLTGGPWVVAWQYVAVKKRRPDKATYRGVLVKVQAKPSAWKSQQLSMARRITLIQSVASSMPLYTMQTAKLPQALCEDLDKSSKSLGRGWCTKPQCMQLIR >ONI27698 pep chromosome:Prunus_persica_NCBIv2:G1:7984692:7989498:-1 gene:PRUPE_1G100100 transcript:ONI27698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRWILSAYSSHFCFCLVDGVPSTGSVRSCIEEERSALLSFKQDLKDPSGRLSSWAGRDCCQWQGISCNRNGHVAKLNLRNPYDWKTEDFNIQDPHSYVWRYDERRDELAYHEESYLGFTCIILLIMRSLKYLNYLDLSYNDFDGIHIPKFFGELKSLRYLNISHASFSGEIPHSLSKLSKLNYLDIDISSDYVSSMHSKSLNWLSHLSSLKYLNLNRVNLSSTGVPNWLHHVNMLPSLLELHLSGCLFESLPLSLQKINFTSLSVLDLSYNSFNTSSSPSWLFNLTNLRKLDLSKNSFGGPFPDELASLKSLEYLDLTYLGLKGRIPRVIGNMCKLKFLSLSRNEFYGEKIEEFWGSLSNCPNNTIALELLDLSSCGLEGQLPDSLGMLTSLQHLNLENLFLWGSIPESIGNLSSLKTLDLSSNNMNGSIPESLGKLSELVKLDLSWNSWEGILTEAHFINLTKLKAISISNMINGKPMSLVLNVAYDWVPPFKLHTLNIGDCRVGHGFWVLLQSQTELVYVSLRNTFISDSIPEEWLSKISSQVKLLDLSYNNFSGRLPLQLKFPKLSRINLGRNQLEGPLPIWPTNASFLDLQSNLFSGPIPSNLDQLMPELTHLHVSENYLNGTISLSICNMKDMGVISLRNNQLFGEFHQRWSSWHIVSIDVSHNNLSGNIPSLMDISISLQHFKVNNNNFGDSFFLAKLTDLIILNLGHNKFTGNIYLYGLDQNLRVLDLSHNNFSRTIPKCLKNMTTLVEVKGVIMGFPGFDTYNGQITITSKGEELEYRDDQLASWGNLIDLSSNNFEGEIPEQVGSLVGLNTLNLSMNRLTGEIPSSIGKLRWLETLDLSHNQLSGHIPQNFSSLTFISHFNLSYNNLIGNIPLGNQLQTLNDPSIYESNPLLCGAPLSTVCPGDDTRSRQTFTLEDHSKDDSEMFWFYVGMALGFIIGFWAVCGTLVLKKPLRYAYFKFFDNVKGKVALIITLKVARWQGRL >ONI30113 pep chromosome:Prunus_persica_NCBIv2:G1:24679786:24680308:-1 gene:PRUPE_1G231800 transcript:ONI30113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCKIFHLQKQNKRNILFELRYDTELLRNENKNISQLNVSFRLSFHRNFFSGNKHRERERKREHMPSDSYNGKAWPDRREAATICATQSCCGSSMPWSARSDAAARGEDRRRQTRGGARSRKMTRLLNPRLGLGFITLVIWDLDPKTHIQSETHLSSPNNG >ONI26925 pep chromosome:Prunus_persica_NCBIv2:G1:3902732:3905573:1 gene:PRUPE_1G055400 transcript:ONI26925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFVFCAEGPTKDGPSAGGKRARTKEILDADALEPEAPPPPLPPIPMSFKDKVAGDFGMAEEQMEIGDDDVIIKSRTIPSIQFSDKIKNALYRPWLTAVIIKLMGRPLAYTFLRARLLQEWELKGPMNLIDLENSYFIVKFVYEEDMKYVLTSGPWQIAGQYVVTQKWKLGFNAQEEKISHMTAWVRINGLNVEYFRYDVMEKIGNLIGNTIKVDANTMSQARGKFARICIELDLAKPLTPFIEVEGRTYGVVYEGINLVCFECGCYDHGRDTCPIIVQAKQQAADSVDTEGMEMNNTNKTDDLQVDNPTTLAAENPAKIHREWMLMKTRNFKKKVLNDSGKGAEISKRNPKNSNDYNGSRFNVLNEEGKSGNGIILEEFPPVKATALG >ONI32689 pep chromosome:Prunus_persica_NCBIv2:G1:34282138:34285658:-1 gene:PRUPE_1G379800 transcript:ONI32689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLWSSSHHQDNDPLQHEVKINELKAAIGPLSGRSLQFCTDACFRRYLEARNWNVDKAKKMLEETLNWRSTYKPEEICWREVANEGETGKVYRASFRDRSGRTVLILRPGMQNTVSIDNQMRHLVYLIENAVFNLPEGQEQMAWLIDFTGWTLSTTVPVKSARDTINILQNHYPERLAVAFLYNPPRIFEAFWKIVKYFLDNKTFHKVKFVYPKNKDSVELMKQYFDEKNLPTEFGGHAILNYDHEEFSKLMTQDDVRSAAFWGRDDKLPHYGNGHSGAEVAPEPVCLAPVAS >ONI32687 pep chromosome:Prunus_persica_NCBIv2:G1:34282552:34284138:-1 gene:PRUPE_1G379800 transcript:ONI32687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLWSSSHHQDNDPLQHEVKINELKAAIGPLSGRSLQFCTDACFRRYLEARNWNVDKAKKMLEETLNWRSTYKPEEICWREVANEGETGKVYRASFRDRSGRTVLILRPGMQNTVSIDNQMRHLVYLIENAVFNLPEGQEQMAWLIDFTGWTLSTTVPVKSARDTINILQNHYPERLAVAFLYNPPRIFEAFWKIVKYFLDNKTFHKVKFVYPKNKDSVELMKQYFDEKNLPTEFGGHAILNYDHEEFSKLMTQDDVRSAAFWGRDDKLPHYGNGHSGAEVAPEPVCLAPVAS >ONI32688 pep chromosome:Prunus_persica_NCBIv2:G1:34282138:34285460:-1 gene:PRUPE_1G379800 transcript:ONI32688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLWSSSHHQDNDPLQHEVKINELKAAIGPLSGRSLQFCTDACFRRYLEARNWNVDKAKKMLEETLNWRSTYKPEEICWREVANEGETGKVYRASFRDRSGRTVLILRPGMQNTVSIDNQMRHLVYLIENAVFNLPEGQEQMAWLIDFTGWTLSTTVPVKSARDTINILQNHYPERLAVAFLYNPPRIFEAFWKIVKYFLDNKTFHKVKFVYPKNKDSVELMKQYFDEKNLPTEFGGHAILNYDHEEFSKLMTQDDVRSAAFWGRDDKLPHYGNGHSGAEVAPEPVCLAPVAS >ONI33378 pep chromosome:Prunus_persica_NCBIv2:G1:36355302:36358214:-1 gene:PRUPE_1G420400 transcript:ONI33378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSFADSLRLDDDGGAENDVVPNNNDKAPISPTTDTKPQEDDNGRSGIESADEEQDDAQTRGVKDDLDEFKQTLTRQFWGVATFLAPPPSDSSTLSTRSGPDFDRSEPPDNRPEQLAMDLIEDEEDELEECAVGVTEEVLAFAKNIAMHPETWLDFPLDEEEDLGDFEMSDAQQEHALAIEHFAPRLAALRFELCPCHMSESYFWKVYFVLLHSRLNKQDAEILSTPQVMEARAKWMQELQCQTMSEYEGFGRNTSFLKDNSNIPNDDFVPVSSCSVYYEDKLLRTHPYESTMSPTVHETEKHPVESNEMPFIDKSVIEENPIIKIEDKNLTVGPSSKILDNIYEDDEDDWPEEDSDLGGYSGTAIHAVNEEDISFSDLEDDDFLTPTKLKIVSKK >ONI28526 pep chromosome:Prunus_persica_NCBIv2:G1:11442865:11445160:-1 gene:PRUPE_1G145800 transcript:ONI28526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESGGSNFDLPEEVLQVLPSDPFEQLDVARKITSVALSTRVSALESESYALRAKLAEKDQLIAELQAQVESLDASLSETADKLALAEREKEGLVKEKALLSNTVRKLNRDVSKLEVFRKTLMRSLEEEDENSTGASEAVAKPKTQTQENLSSKPQAQVGVSEGDATFATLPPSRASSIRSHYSETGSIFSEDRDTEAERPRISPGLLLASQTSTPRFTPPGSPPVYSASTSPTRTSKPVSPKRHSMSFATSRGMFDDRSSMPSSHHSTEYGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNGHKQTKEETLQKADEIFGPDNKDLYAIFEGLISRNVH >ONI28525 pep chromosome:Prunus_persica_NCBIv2:G1:11442690:11445281:-1 gene:PRUPE_1G145800 transcript:ONI28525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESGGSNFDLPEEVLQVLPSDPFEQLDVARKITSVALSTRVSALESESYALRAKLAEKDQLIAELQAQVESLDASLSETADKLALAEREKEGLVKEKALLSNTVRKLNRDVSKLEVFRKTLMRSLEEEDENSTGASEAVAKPKTQTQENLSSKPQAQVGEGDATFATLPPSRASSIRSHYSETGSIFSEDRDTEAERPRISPGLLLASQTSTPRFTPPGSPPVYSASTSPTRTSKPVSPKRHSMSFATSRGMFDDRSSMPSSHHSTEYGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNGHKQTKEETLQKADEIFGPDNKDLYAIFEGLISRNVH >ONI35878 pep chromosome:Prunus_persica_NCBIv2:G1:45623704:45627356:-1 gene:PRUPE_1G558900 transcript:ONI35878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSGSASVWLFLLFGFLQLLKLSGNVEGLFFVCCDALNALKSNLVDPNNVLQSWDPTLVNPCTWFHVTCNSENSVTRVDLGNANLSGQLVSQLGVLSNLQYLELYSNNITGNIPAELGTLTNLVSLDLYLNGLRGTIPDTLGKLEKLRFLRLNNNTLTGDIPMALTKIQSLQVLDLSSNNLTGDIPVNGSFSLFTPIRNTERERERESGACHQAGHMRTNKNCPKYGEDQETHSDTPDLDKADGKITALNPSNQAQQKTTTKKLVPKSATKIAVVEASDVDVGLSTKVLPLKFKCGSTEKLPDKQALGETESSERPVASDPETGKPTFKVNKIIISNKMKPENAPVESQKPPIVIRPPTDTDKGHVESQKPTIVIRPPANTDRDQVESQKPLIAKRPSCISLYG >ONI35877 pep chromosome:Prunus_persica_NCBIv2:G1:45621767:45627356:-1 gene:PRUPE_1G558900 transcript:ONI35877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSGSASVWLFLLFGFLQLLKLSGNVEGLFFVCCDALNALKSNLVDPNNVLQSWDPTLVNPCTWFHVTCNSENSVTRVDLGNANLSGQLVSQLGVLSNLQYLELYSNNITGNIPAELGTLTNLVSLDLYLNGLRGTIPDTLGKLEKLRFLRLNNNTLTGDIPMALTKIQSLQVLDLSSNNLTGDIPVNGSFSLFTPIRNTERERERESGACHQAGHMRTNKNCPKYGEDQETHSDTPDLDKADGKITALNPSNQAQQKTTTKKLVPKSATKIAVVEASDVDVGLSTKVLPLKFKCGSTEKLPDKQALGETESSERPVASDPETGKPTFKVNKIIISNKMKPENAPVESQKPPIVIRPPTDTDKGHVESQKPTIVIRPPANTDRDQVESQKPLIAKRPSCISLYG >ONI35876 pep chromosome:Prunus_persica_NCBIv2:G1:45622956:45627356:-1 gene:PRUPE_1G558900 transcript:ONI35876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSGSASVWLFLLFGFLQLLKLSGNVEGLFFVCCDALNALKSNLVDPNNVLQSWDPTLVNPCTWFHVTCNSENSVTRVDLGNANLSGQLVSQLGVLSNLQYLELYSNNITGNIPAELGTLTNLVSLDLYLNGLRGTIPDTLGKLEKLRFLRLNNNTLTGDIPMALTKIQSLQVLDLSSNNLTGDIPVNGSFSLFTPIRNTERERERESGACHQAGHMRTNKNCPKYGEDQETHSDTPDLDKADGKITALNPSNQAQQKTTTKKLVPKSATKIAVVEASDVDVGLSTKVLPLKFKCGSTEKLPDKQALGETESSERPVASDPETGKPTFKVNKIIISNKMKPENAPVESQKPPIVIRPPTDTDKGHVESQKPTIVIRPPANTDRDQVESQKPLIAKRPSYRPEAQPPLDWEIRKRISLGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVCIYVFLFLYVANLLFNAD >ONI34571 pep chromosome:Prunus_persica_NCBIv2:G1:40652755:40654198:1 gene:PRUPE_1G488300 transcript:ONI34571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCMSCEAISEGYDAATCKQRHEVLKAKVAFLTTSQPASFPDVLLFASDDGSADTAEPLVPVPAHKAVLVSRSPVFKAMLENEMEESQSGTIKISDVSYDALCAFVNYLYTAEICLDQQLAYYLLVMAEKYQVQHLKDYCQKFFVSNLNWDNVLPTYTFAHQHNSKPIIDVALRVITANMDKLTAREEYAELKERHPGLVLEIYEAHFSRAAAVRDQSSFSCVLRASSRSRGFPILRRQSGNQPQVSTASSTGLIVL >ONI32205 pep chromosome:Prunus_persica_NCBIv2:G1:32890547:32896649:1 gene:PRUPE_1G353800 transcript:ONI32205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKKEKKFLTVAPFECAWRKDLKFREAGRGCVAFEAFAHNDVTLVFRENVGSQHNHYKRDNSPHYTVILGSHRNRRLKIEVDGNTVVDVEGVGLCCSSAFQSYWISICDGLISIGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVSVLPLTHNHISLWKHVDCNEYICEEDGEEELDDEQTVYEKWGIENFLESWELSDVLFIVGTEESPVPAHKTILVASGNFPLSSSEDVIQLKGVTYPVLHALLQYIYTGRTQIPEPQLGSLRALGLQFEVIPLVKQCEEAMERLKLNKKLFDFGKSVELSYQCIRPHCCTAFPFGVPVNILRLKQLYLTSRYSDVNIHIEGHGLIAQSHKIILSLWSLPFAKMFTNGMSETIASEVFLRDISPEAFKGLLEFMYSGELNLEATIDSGALLLQLFLLADQFGVTLLHQECCKTLLEYLSKDSVCPILQVVSSIPSCKLIEETCEMNFSMHFDYCTTASIDFVLLDETTFSNIIQHPDLTVTSEEKVLNAILMWGVKAKELYGWEVVDEMIIYSTPELLFGDRLQTVHDLLSFVRFLLLPLALLEKLEKSNISRNISTFNNLVKEAINCIKHGLAGPENEHNLRIQHRRSSYKELQYIRDGDSNGVLYFAGTSYGKHQWVNPVLAKRITITASSPPSRFTDPKALVSRTYQGTSFAGPQIIDGHNCTWWMVDISADHQLICNYYTLRQDGSRTYMRYWKFQGSLDGKTWTNLRVHENDQTICKPGQFASWPVTGPNALLPYRFFRVVLTGPTTDASNPWNFCICLLELYGYFH >ONI32199 pep chromosome:Prunus_persica_NCBIv2:G1:32890485:32896648:1 gene:PRUPE_1G353800 transcript:ONI32199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKKEKKFLTVAPFECAWRKDLKFREAGRGCVAFEAFAHNDVTLVFRENVGSQHNHYKRDNSPHYTVILGSHRNRRLKIEVDGNTVVDVEGVGLCCSSAFQSYWISICDGLISIGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVSVLPLTHNHISLWKHVDCNEYICEEDGEEELDDEQTVYEKWGIENFLESWELSDVLFIVGTEESPVPAHKTILVASGNFPLSSSEDVIQLKGVTYPVLHALLQYIYTGRTQIPEPQLGSLRALGLQFEVIPLVKQCEEAMERLKLNKKLFDFGKSVELSYQCIRPHCCTAFPFGVPVNILRLKQLYLTSRYSDVNIHIEGHGLIAQSHKIILSLWSLPFAKMFTNGMSETIASEVFLRDISPEAFKGLLEFMYSGELNLEATIDSGALLLQLFLLADQFGVTLLHQECCKTLLEYLSKDSVCPILQVVSSIPSCKLIEETCEMNFSMHFDYCTTASIDFVLLDETTFSNIIQHPDLTVTSEEKVLNAILMWGVKAKELYGWEVVDEMIIYSTPELLFGDRLQTVHDLLSFVRFLLLPLALLEKLEKSNISRNISTFNNLVKEAINCIKHGLAGPENEHNLRIQHRRSSYKELQYIRDGDSNGVLYFAGTSYGKHQWVNPVLAKRITITASSPPSRFTDPKALVSRTYQGTSFAGPQIIDGHNCTWWMVDISADHQLICNYYTLRQDGSRTYMRYWKFQGSLDGKTWTNLRVHENDQTICKPGQFASWPVTGPNALLPYRFFRVVLTGPTTDASNPWNFCICLLELYGYFH >ONI32202 pep chromosome:Prunus_persica_NCBIv2:G1:32890316:32896343:1 gene:PRUPE_1G353800 transcript:ONI32202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKKEKKFLTVAPFECAWRKDLKFREAGRGCVAFEAFAHNDVTLVFRENVGSQHNHYKRDNSPHYTVILGSHRNRRLKIEVDGNTVVDVEGVGLCCSSAFQSYWISICDGLISIGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVSVLPLTHNHISLWKHVDCNEYICEEDGEEELDDEQTVYEKWGIENFLESWELSDVLFIVGTEESPVPAHKTILVASGNFPLSSSEDVIQLKGVTYPVLHALLQYIYTGRTQIPEPQLGSLRALGLQFEVIPLVKQCEEAMERLKLNKKLFDFGKSVELSYQCIRPHCCTAFPFGVPVNILRLKQLYLTSRYSDVNIHIEGHGLIAQSHKIILSLWSLPFAKMFTNGMSETIASEVFLRDISPEAFKGLLEFMYSGELNLEATIDSGALLLQLFLLADQFGVTLLHQECCKTLLEYLSKDSVCPILQVVSSIPSCKLIEETCEMNFSMHFDYCTTASIDFVLLDETTFSNIIQHPDLTVTSEEKVLNAILMWGVKAKELYGWEVVDEMIIYSTPELLFGDRLQTVHDLLSFVRFLLLPLALLEKLEKSNISRNISTFNNLVKEAINCIKHGLAGPENEHNLRIQHRRSSYKELQYIRDGDSNGVLYFAGTSYGKHQWVNPVLAKRITITASSPPSRFTDPKALVSRTYQGTSFAGPQIIDGHNCTWWMVDISADHQLICNYYTLRQDGSRTYMRYWKFQGSLDGKTWTNLRVHENDQTICKPGQFASWPVTGPNALLPYRFFRVVLTGPTTDASNPWNFCICLLELYGYFH >ONI32204 pep chromosome:Prunus_persica_NCBIv2:G1:32890968:32896343:1 gene:PRUPE_1G353800 transcript:ONI32204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKKEKKFLTVAPFECAWRKDLKFREAGRGCVAFEAFAHNDVTLVFRENVGSQHNHYKRDNSPHYTVILGSHRNRRLKIEVDGNTVVDVEGVGLCCSSAFQSYWISICDGLISIGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVSVLPLTHNHISLWKHVDCNEYICEEDGEEELDDEQTVYEKWGIENFLESWELSDVLFIVGTEESPVPAHKTILVASGNFPLSSSEDVIQLKGVTYPVLHALLQYIYTGRTQIPEPQLGSLRALGLQFEVIPLVKQCEEAMERLKLNKKLFDFGKSVELSYQCIRPHCCTAFPFGVPVNILRLKQLYLTSRYSDVNIHIEGHGLIAQSHKIILSLWSLPFAKMFTNGMSETIASEVFLRDISPEAFKGLLEFMYSGELNLEATIDSGALLLQLFLLADQFGVTLLHQECCKTLLEYLSKDSVCPILQVVSSIPSCKLIEETCEMNFSMHFDYCTTASIDFVLLDETTFSNIIQHPDLTVTSEEKVLNAILMWGVKAKELYGWEVVDEMIIYSTPELLFGDRLQTVHDLLSFVRFLLLPLALLEKLEKSNISRNISTFNNLVKEAINCIKHGLAGPENEHNLRIQHRRSSYKELQYIRDGDSNGVLYFAGTSYGKHQWVNPVLAKRITITASSPPSRFTDPKALVSRTYQGTSFAGPQIIDGHNCTWWMVDISADHQLICNYYTLRQDGSRTYMRYWKFQGSLDGKTWTNLRVHENDQTICKPGQFASWPVTGPNALLPYRFFRVVLTGPTTDASNPWNFCICLLELYGYFH >ONI32200 pep chromosome:Prunus_persica_NCBIv2:G1:32890859:32896382:1 gene:PRUPE_1G353800 transcript:ONI32200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKKEKKFLTVAPFECAWRKDLKFREAGRGCVAFEAFAHNDVTLVFRENVGSQHNHYKRDNSPHYTVILGSHRNRRLKIEVDGNTVVDVEGVGLCCSSAFQSYWISICDGLISIGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVSVLPLTHNHISLWKHVDCNEYICEEDGEEELDDEQTVYEKWGIENFLESWELSDVLFIVGTEESPVPAHKTILVASGNFPLSSSEDVIQLKGVTYPVLHALLQYIYTGRTQIPEPQLGSLRALGLQFEVIPLVKQCEEAMERLKLNKKLFDFGKSVELSYQCIRPHCCTAFPFGVPVNILRLKQLYLTSRYSDVNIHIEGHGLIAQSHKIILSLWSLPFAKMFTNGMSETIASEVFLRDISPEAFKGLLEFMYSGELNLEATIDSGALLLQLFLLADQFGVTLLHQECCKTLLEYLSKDSVCPILQVVSSIPSCKLIEETCEMNFSMHFDYCTTASIDFVLLDETTFSNIIQHPDLTVTSEEKVLNAILMWGVKAKELYGWEVVDEMIIYSTPELLFGDRLQTVHDLLSFVRFLLLPLALLEKLEKSNISRNISTFNNLVKEAINCIKHGLAGPENEHNLRIQHRRSSYKELQYIRDGDSNGVLYFAGTSYGKHQWVNPVLAKRITITASSPPSRFTDPKALVSRTYQGTSFAGPQIIDGHNCTWWMVDISADHQLICNYYTLRQDGSRTYMRYWKFQGSLDGKTWTNLRVHENDQTICKPGQFASWPVTGPNALLPYRFFRVVLTGPTTDASNPWNFCICLLELYGYFH >ONI32203 pep chromosome:Prunus_persica_NCBIv2:G1:32890317:32896652:1 gene:PRUPE_1G353800 transcript:ONI32203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKKEKKFLTVAPFECAWRKDLKFREAGRGCVAFEAFAHNDVTLVFRENVGSQHNHYKRDNSPHYTVILGSHRNRRLKIEVDGNTVVDVEGVGLCCSSAFQSYWISICDGLISIGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVSVLPLTHNHISLWKHVDCNEYICEEDGEEELDDEQTVYEKWGIENFLESWELSDVLFIVGTEESPVPAHKTILVASGNFPLSSSEDVIQLKGVTYPVLHALLQYIYTGRTQIPEPQLGSLRALGLQFEVIPLVKQCEEAMERLKLNKKLFDFGKSVELSYQCIRPHCCTAFPFGVPVNILRLKQLYLTSRYSDVNIHIEGHGLIAQSHKIILSLWSLPFAKMFTNGMSETIASEVFLRDISPEAFKGLLEFMYSGELNLEATIDSGALLLQLFLLADQFGVTLLHQECCKTLLEYLSKDSVCPILQVVSSIPSCKLIEETCEMNFSMHFDYCTTASIDFVLLDETTFSNIIQHPDLTVTSEEKVLNAILMWGVKAKELYGWEVVDEMIIYSTPELLFGDRLQTVHDLLSFVRFLLLPLALLEKLEKSNISRNISTFNNLVKEAINCIKHGLAGPENEHNLRIQHRRSSYKELQYIRDGDSNGVLYFAGTSYGKHQWVNPVLAKRITITASSPPSRFTDPKALVSRTYQGTSFAGPQIIDGHNCTWWMVDISADHQLICNYYTLRQDGSRTYMRYWKFQGSLDGKTWTNLRVHENDQTICKPGQFASWPVTGPNALLPYRFFRVVLTGPTTDASNPWNFCICLLELYGYFH >ONI32201 pep chromosome:Prunus_persica_NCBIv2:G1:32890328:32896343:1 gene:PRUPE_1G353800 transcript:ONI32201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKKEKKFLTVAPFECAWRKDLKFREAGRGCVAFEAFAHNDVTLVFRENVGSQHNHYKRDNSPHYTVILGSHRNRRLKIEVDGNTVVDVEGVGLCCSSAFQSYWISICDGLISIGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVSVLPLTHNHISLWKHVDCNEYICEEDGEEELDDEQTVYEKWGIENFLESWELSDVLFIVGTEESPVPAHKTILVASGNFPLSSSEDVIQLKGVTYPVLHALLQYIYTGRTQIPEPQLGSLRALGLQFEVIPLVKQCEEAMERLKLNKKLFDFGKSVELSYQCIRPHCCTAFPFGVPVNILRLKQLYLTSRYSDVNIHIEGHGLIAQSHKIILSLWSLPFAKMFTNGMSETIASEVFLRDISPEAFKGLLEFMYSGELNLEATIDSGALLLQLFLLADQFGVTLLHQECCKTLLEYLSKDSVCPILQVVSSIPSCKLIEETCEMNFSMHFDYCTTASIDFVLLDETTFSNIIQHPDLTVTSEEKVLNAILMWGVKAKELYGWEVVDEMIIYSTPELLFGDRLQTVHDLLSFVRFLLLPLALLEKLEKSNISRNISTFNNLVKEAINCIKHGLAGPENEHNLRIQHRRSSYKELQYIRDGDSNGVLYFAGTSYGKHQWVNPVLAKRITITASSPPSRFTDPKALVSRTYQGTSFAGPQIIDGHNCTWWMVDISADHQLICNYYTLRQDGSRTYMRYWKFQGSLDGKTWTNLRVHENDQTICKPGQFASWPVTGPNALLPYRFFRVVLTGPTTDASNPWNFCICLLELYGYFH >ONI29902 pep chromosome:Prunus_persica_NCBIv2:G1:23558426:23560752:1 gene:PRUPE_1G220900 transcript:ONI29902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNAAAPEKSVSFPRHSSKKNILFSSPITPRRYETIDEEAPPESQDPDQDPNSSNQTATEFSLSEVLEEVDHFLGSFSESKQNGNTDPTEELEVPDSVESLSKTVESMINKYGRSLARFGENEDDDQSFINAVTRISRISTMLDDFSSSSNTGSALNRTSTVLQRAMALLDEEFRNLLLLDQQDVNSAKSDYNLEQSFSSKITSKLSSFNSNSSGSSHDSVRGQQPQPEPDRLDEFPSFSEESISTMNKIATTMIAAGYENECCMVYSISRRNAFKSALNDIGYDSISIDEVQRMSWESLQAEIATWISVVKLCSSILFSRERKLCDAVFSGHKSLSESLFCNLARAVAIQLFNFADAVVLTKRSPEKLFTMLDMYETLRDLVPAIRDSYPEDIGNELISEAEAARNRLGEVAVSILCDLENSIKSDNGKTPVPSGAVHPLTRYVMNYLKYTCEYKDSLEQVFVEFEKTHGTPGTTSSPFQMQLLTVMDMLDSNLDMKSRLYRDPALRFIFLMNNGRYIMQKVKGSTEIHQLMGDTWCRKRSTDLRGYHKNYQRETWGKVLQCVNHEGLQVSGKVSKTVIKERFKCFNTLFDEIHKTQSTWVVSDEQLQSELRVSVSAVVIPAYRSFWGRFRQYLEGTKQAEKYIKYQPEDIENLIDDLFDGNPTSMMRRRT >ONI32051 pep chromosome:Prunus_persica_NCBIv2:G1:32378526:32381610:-1 gene:PRUPE_1G346300 transcript:ONI32051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVCVEIPPRVHSAGIWRYGGAVLTSGGDGSSTFPVLERQMGLAFFTTQILHHILRCFGIPVFVSQMLAGLILGPGVLGNIPSYAKFMLSIVSQNVIGTLTLFGYSMFLFLIGVKMDISIVLRTGRKALYTGVFASLIPLILSLLSLKFLTQPKLFQLTRKDISNLVFSAITNSQSSFPVIACLLGELRLLTSELGRLGLSSAIVCDILSLFLRSFTRLASRSMNKGQTAGLIPTLQTAFFMLVYIFAVVYVARPAMNWIIQQTPKGKPVHKGYLFFIMLVALITGLFSYWLDQFFYFGPFIFGLAVPDGPPLGSSLIQRYDFMVSAVLLPLFVTSNVLLVNPKDMSFQSHVVKANATLLLVSTAAKLVGTFVPAVFCKMPLMDALVLSLILSCKGVVELGNLATDGETLDNEVYCLMVFAILINACIVPLLVKHLYKPSRKYAGYQQRNVSCLRPNSELRILACIHRQDNISAVINLLDACCPTKDNPVGLYVLHLIQMFGQATPLFISHRMQRKTISNCAYSEDVLLSFFNFERDFCEAVTVHPFTAVCPSDSMHEDICTLALDRLASLLILPFHRHWTLDGSIKSQDPTIRSLNISVLERAPCSVGILVNRGPLRQQGKSSSSSQALQYKVALIFLGGEDDREALALTQRMAADPSISLTVYYLTASTDSDVPDWGRILDMESLRNYKEAHVSDDRYAFYTEEVAENGPQTVAILRNIVNQYELLVVGRRKNMETSQTSGLDEWSEFPELGIVGDMIASDDFRCRCSILVVQKQQYTTS >ONI31212 pep chromosome:Prunus_persica_NCBIv2:G1:29428803:29432822:1 gene:PRUPE_1G298900 transcript:ONI31212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTEYLRSIDWEQEAYPAYEDFTILLLFILYFPTVRFFLDKFVFERLGRRLIFGKGHEKLDLQSEEQRKKIRKFKESAWKFTYFLSAELLVLSVTYDEPWFTNTKYFWVGPGDQVWPDQKMKLRLKGVYMYAAGFYTYSIFALIFWETRRTDFGVSMGHHVATVILILLSYIFRFARVGSIVLALHDASDVFLEVGKMSKYSGAERTASFSFILFVLSWIILRLIYYPFWILRSTSYEVILALDKNKHSIEGPIYYYVFNTLLYCLLVFHIFWWVLIYRMLVKQIQARGQLSDDVRSDSEGEDDHED >ONI34722 pep chromosome:Prunus_persica_NCBIv2:G1:41099293:41101299:-1 gene:PRUPE_1G494900 transcript:ONI34722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRSGQSRAD >ONI35928 pep chromosome:Prunus_persica_NCBIv2:G1:45834331:45837622:1 gene:PRUPE_1G561300 transcript:ONI35928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKHIFCSSSLVEGLKPVMMMLLVQAAYTGMNIFYKMATEVGMNLTVLVVYKNMFSAALMVPVALIIEWKSRPKLTLVILFQAFISGLLGHEMTKFNVKVALVDCLYIYFKATISQNFYVQSLALTSTTSATAITKLVPAITFVIAICFRLERLALGTHAGRAKVVGTLVGIGGTMVFTFYKGKEIAIWSKHVNLLHKYTQQNSHVASSHRNTGSQLLGSLLALGSSTSFALWLVFQAKMSKTYPSQCHYSNTALMGRDWSQWKLGWDIKLLTAVYAGVVTTGLVFTVIAWCVQMRGPLFVSIFNPLCLLLVALSGSLLLHEKLYLGSILGGMLIVCGLYMVLW >ONI26740 pep chromosome:Prunus_persica_NCBIv2:G1:3057042:3057694:1 gene:PRUPE_1G042600 transcript:ONI26740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPATGNGSMMCLMQLKWTTCCERLVAYNNFKEDNLVVHLYSCIYRKKLRQNQKFYLPKEPWSDCCVHFCCERCALCQEHSQLQSRGFDPSRASNLAQSSSWIIGPPTSAPQVSMHK >ONI26028 pep chromosome:Prunus_persica_NCBIv2:G1:40340:51496:1 gene:PRUPE_1G000100 transcript:ONI26028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASDLPAMYSLLTNSLSGDDSVRKPAEAALSQSEARPGFCSCLMEVITAKDLVPRVDVRLMASVYFKNSVNRYWRHRRDSSGISNEEKIHLRQKLLSHFREENYQIAQMLAVLVSKIARIDYPKEWPELFSVLAQKLQSTDVLSSHRIFLTLFRTLKELSTKRLISDQKNFAEISSHFFDYSWHLWQSDVQTILHGFSTLSESYNSNTFDQHQDELYLTCERWLLCLKIIRQLVVSGFPSDAKCVQEVRPVKEVSPVLLNAIQSFLPYYSSFQKGHPKFWDFLKRACTKLMKVLIAIQGRHPYSFSDKCVLPTVVDFCLKKITEPEPDVLTFEQFLIQCMVMVKCVLECKEYKPSLTGRVMDENVVTLEQMKKSISGAVSGVLTSLMTSERIVVLCNILIRRYFVLSTNDLEEWYQSPESFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLGPVVVSILKEAMNGCPTSVTEITPGLLLKDAAYGAAAYVYYELSNYLSFKDWFNGALSLELSNDHPNMRIIHRKVALILGQWVSEIKEDTKRPVYCALIRLLQDKDLSVRLAACRSLCLHIEDASFSEREFIDLLPICWESCFKLIEEVQEFDSKVNILLLHLDATFLNIFKVISPLLLFVYLIAKCHKNGVCCVFIIIMGILVICPLNLCLAFD >ONI26029 pep chromosome:Prunus_persica_NCBIv2:G1:40340:51496:1 gene:PRUPE_1G000100 transcript:ONI26029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASDLPAMYSLLTNSLSGDDSVRKPAEAALSQSEARPGFCSCLMEVITAKDLVPRVDVRLMASVYFKNSVNRYWRHRRDSSGISNEEKIHLRQKLLSHFREENYQISSHFFDYSWHLWQSDVQTILHGFSTLSESYNSNTFDQHQDELYLTCERWLLCLKIIRQLVVSGFPSDAKCVQEVRPVKEVSPVLLNAIQSFLPYYSSFQKGHPKFWDFLKRACTKLMKVLIAIQGRHPYSFSDKCVLPTVVDFCLKKITEPEPDVLTFEQFLIQCMVMVKCVLECKEYKPSLTGRVMDENVVTLEQMKKSISGAVSGVLTSLMTSERIVVLCNILIRRYFVLSTNDLEEWYQSPESFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLGPVVVSILKEAMNGCPTSVTEITPGLLLKDAAYGAAAYVYYELSNYLSFKDWFNGALSLELSNDHPNMRIIHRKVALILGQWVSEIKEDTKRPVYCALIRLLQDKDLSVRLAACRSLCLHIEDASFSEREFIDLLPICWESCFKLIEEVQEFDSKVNILLLHLDATFLNIFKVISPLLLFVYLIAKCHKNGVCCVFIIIMGILVICPLNLCLAFD >ONI32306 pep chromosome:Prunus_persica_NCBIv2:G1:33227048:33230862:-1 gene:PRUPE_1G360100 transcript:ONI32306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFTRCRSHDIPISSSSDSPPPHYQQTSNKTHAQHKPTPSSKPNPYASAYNNPGNSFGKTPSSSQTQIGPILGRPYVDINIFYTLEKELGRGQFGVTRLCTEKATGKRYACKSISRRKLSTSKDIDDVRREIMILQHLTGQPNIVEFKGAYEDRQNLHLVMELCSGGELFDRITAKGSYSERQAAQIFRQIVNVVHVCHFMGVMHRDLKPENFLLVSKEENAPLKAIDFGLSVFIEQGKVYRDIVGSAYYVAPEVLERNYGKEIDVWSAGVILYILLAGAPPFWAETERGIFEAIMQAKLDLQSSPWPSISDSAKDLIKKMLTRDAKKRITAAEVLEHPWMTKDGEASDKPIDSAVLIRMKQFRAMNKLKKLALMVIAENLSEEEIHGLKQMFNNIDTDGSGSITFEELKTGLTRLGSKLSETEIKQLMDAADIDKNGTIDYTEFITATMHRHKLEKEENLYKAFQFFDKDQSGFITRDELRQAMTQYGMGDDATIDEIIDDVDTDKDGRINYEEFVAMMRQGVQDSEDKLR >ONI27189 pep chromosome:Prunus_persica_NCBIv2:G1:5229297:5230286:1 gene:PRUPE_1G073300 transcript:ONI27189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHKERREQMMEEESKRKEGGKKNGAAGSAESLCKKKTNDGFDPAAKAIIEECIISHEGEEGGGRAKNPDDVLAFSRSVNKIDSSLE >ONI29768 pep chromosome:Prunus_persica_NCBIv2:G1:22736057:22738572:1 gene:PRUPE_1G213900 transcript:ONI29768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADAKDEGYKLPPDFQENTKEALVDFTVTDSTELWLIDLPKDQNPDFNGVELSLKLHNDGTLGSFEAPSGKEYEVVSSAAEQKATVFVSSASSTKIAGKISRRVSFVHYPEPSELKERLNSKRLKKMYQELPSGVLTQSSNNFATPTRSSVLRNSQSAGGRSASTHSSRPKSSIHSVGEQSQHRKKRHTPEPSRSMNRSSQNSGRGSAVSSLGQGNSGISSLGEGLSGATSSGQGHSASKSSGQGHHSKSRKKVKDEN >ONI30327 pep chromosome:Prunus_persica_NCBIv2:G1:25788397:25792336:1 gene:PRUPE_1G244500 transcript:ONI30327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSPDPTKPSTIKFLCSYGGKILPRYPDGKLRYLGGETRVLAVHRNISFSELLLKLGELCGPSVTVSLRCQLPTEDLDALVSIKSDEDLANLIEEYDRAAASASPPPFANLKIRAFLSLVPPKPHKTPSSTPSSSASASTTSSSGTSSSSNYYYSAAASGSTPRFPMVSTPVDRCVRQISQATTPFQKSAAKVPHCANICHAHGSPSSRLYLIHNGNHWQ >ONI30325 pep chromosome:Prunus_persica_NCBIv2:G1:25788397:25791468:1 gene:PRUPE_1G244500 transcript:ONI30325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSPDPTKPSTIKFLCSYGGKILPRYPDGKLRYLGGETRVLAVHRNISFSELLLKLGELCGPSVTVSLRCQLPTEDLDALVSIKSDEDLANLIEEYDRAAASASPPPFANLKIRAFLSLVPPKPHKTPSSTPSSSASASTTSSSGTSSSSNYYYSAAASGSTPRFPMVSTPVDRCVRQISQATTPFQKSAAKVPHCANICHAHGSPSSRLYLIHNGNHWQ >ONI30328 pep chromosome:Prunus_persica_NCBIv2:G1:25788397:25790274:1 gene:PRUPE_1G244500 transcript:ONI30328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSPDPTKPSTIKFLCSYGGKILPRYPDGKLRYLGGETRVLAVHRNISFSELLLKLGELCGPSVTVSLRCQLPTEDLDALVSIKSDEDLANLIEEYDRAAASASPPPFANLKIRAFLSLVPPKPHKTPSSTPSSSASASTTSSSGTSSSSNYYYSAAASGSTPRFPMVSTPVDRCVRQISQATTPFQKSAAKVPHCANICHAHGSPSSRLYLIHNGNHWQ >ONI30326 pep chromosome:Prunus_persica_NCBIv2:G1:25788397:25790267:1 gene:PRUPE_1G244500 transcript:ONI30326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSPDPTKPSTIKFLCSYGGKILPRYPDGKLRYLGGETRVLAVHRNISFSELLLKLGELCGPSVTVSLRCQLPTEDLDALVSIKSDEDLANLIEEYDRAAASASPPPFANLKIRAFLSLVPPKPHKTPSSTPSSSASASTTSSSGTSSSSNYYYSAAASGSTPRFPMVSTPVDRCVRQISQATTPFQKSAAKVPHCANICHAHGSPSSRLYLIHNGNHWQ >ONI31231 pep chromosome:Prunus_persica_NCBIv2:G1:29484067:29486413:-1 gene:PRUPE_1G299800 transcript:ONI31231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLQIHHLTPSFFISKTTPLHSSLPLPHLISPTSQRTKIYLKPNPFSFPPILLSNPTFKFVRASASQASSFSTNEEESTEISPELEDLSPDGVVYKKTLALVECSMFAALTGLVYFLSNSLAIENYFGCFFSLPIVITSMRWGISTGRKTMVATTMLLLVLSGPVKALTYLLKHGLVGFTMGGLWRSGANWGLSILLCTIVRSLGAVGYVLISSFLISENILALITINIHASLTFIFTASGIYTVPSMGVIYALFGTLVLINSGSFMFLLHLLYSVFLTRLGMKSSLRLPRWLEKAI >ONI32005 pep chromosome:Prunus_persica_NCBIv2:G1:32242573:32243817:-1 gene:PRUPE_1G344100 transcript:ONI32005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAPESDDGSRRRLPQWMLGISSAGQVRKPSNGKEEGPASYETETLGENSHVLVKCETKRRKKKSTEQEEKCSGLGRRKVQESGAPERQKAKETLGENSHVLVKCEAKRRKRNSNEQDAECDGTFPEKNCNGHGRRKVQESDAPKKEKAKGSSCGSDEELEVRTWTDDDVELTVEDLVIIAEEYIRADGNINKEEEASNQECESDSRFPEIVSSGNELEDSADAQICNRRSLIADTTTFKPNGSLASKGIGLNSGGTGDPAQDMLDLFLGPLMKKTVEKESESRFLTEDVTFAHEIIRESHSNVVREGIAPIMKKKSSLKDKVAMFLD >ONI32003 pep chromosome:Prunus_persica_NCBIv2:G1:32241848:32244462:-1 gene:PRUPE_1G344100 transcript:ONI32003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAPESDDGSRRRLPQWMLGISSAGQVRKPSNGKEEGPASYETETLGENSHVLVKCETKRRKKKSTEQEEKCSGLGRRKVQESGAPERQKAKETLGENSHVLVKCEAKRRKRNSNEQDAECDGTFPEKNCNGHGRRKVQESDAPKKEKAKGSSCGSDEELEVRTWTDDDVELTVEDLVIIAEEYIRADGNINKEEEASNQECESDSRFPEIVSSGNELEDSADAQICNRRSLIADTTTFKPNGSLASKGIGLNSGGTGDPAQDMLDLFLGPLMKKTVEKESESRFLTEDVTFAHEIIRESHSNVVREGIAPIMKKKSSLKDKVAMFLD >ONI32008 pep chromosome:Prunus_persica_NCBIv2:G1:32241848:32244940:-1 gene:PRUPE_1G344100 transcript:ONI32008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAPESDDGSRRRLPQWMLGISSAGQVRKPSNGKEEGPASYETETLGENSHVLVKCETKRRKKKSTEQEEKCSGLGRRKVQESGAPERQKAKETLGENSHVLVKCEAKRRKRNSNEQDAECDGTFPEKNCNGHGRRKVQESDAPKKEKAKGSSCGSDEELEVRTWTDDDVELTVEDLVIIAEEYIRADGNINKEEEASNQECESDSRFPEIVSSGNELEDSADAQICNRRSLIADTTTFKPNGSLASKGIGLNSGGTGDPAQDMLDLFLGPLMKKTVEKESESRFLTEDVTFAHEIIRESHSNVVREGIAPIMKKKSSLKDKVAMFLD >ONI32007 pep chromosome:Prunus_persica_NCBIv2:G1:32241848:32244491:-1 gene:PRUPE_1G344100 transcript:ONI32007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAPESDDGSRRRLPQWMLGISSAGQVRKPSNGKEEGPASYETETLGENSHVLVKCETKRRKKKSTEQEEKCSGLGRRKVQESGAPERQKAKETLGENSHVLVKCEAKRRKRNSNEQDAECDGTFPEKNCNGHGRRKVQESDAPKKEKAKGSSCGSDEELEVRTWTDDDVELTVEDLVIIAEEYIRADGNINKEEEASNQECESDSRFPEIVSSGNELEDSADAQICNRRSLIADTTTFKPNGSLASKGIGLNSGGTGDPAQDMLDLFLGPLMKKTVEKESESRFLTEDVTFAHEIIRESHSNVVREGIAPIMKKKSSLKDKVAMFLD >ONI32004 pep chromosome:Prunus_persica_NCBIv2:G1:32242218:32244250:-1 gene:PRUPE_1G344100 transcript:ONI32004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAPESDDGSRRRLPQWMLGISSAGQVRKPSNGKEEGPASYETETLGENSHVLVKCETKRRKKKSTEQEEKCSGLGRRKVQESGAPERQKAKETLGENSHVLVKCEAKRRKRNSNEQDAECDGTFPEKNCNGHGRRKVQESDAPKKEKAKGSSCGSDEELEVRTWTDDDVELTVEDLVIIAEEYIRADGNINKEEEASNQECESDSRFPEIVSSGNELEDSADAQICNRRSLIADTTTFKPNGSLASKGIGLNSGGTGDPAQDMLDLFLGPLMKKTVEKESESRFLTEDVTFAHEIIRESHSNVVREGIAPIMKKKSSLKDKVAMFLD >ONI32009 pep chromosome:Prunus_persica_NCBIv2:G1:32241848:32243888:-1 gene:PRUPE_1G344100 transcript:ONI32009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAPESDDGSRRRLPQWMLGISSAGQVRKPSNGKEEGPASYETETLGENSHVLVKCETKRRKKKSTEQEEKCSGLGRRKVQESGAPERQKAKETLGENSHVLVKCEAKRRKRNSNEQDAECDGTFPEKNCNGHGRRKVQESDAPKKEKAKGSSCGSDEELEVRTWTDDDVELTVEDLVIIAEEYIRADGNINKEEEASNQECESDSRFPEIVSSGNELEDSADAQICNRRSLIADTTTFKPNGSLASKGIGLNSGGTGDPAQDMLDLFLGPLMKKTVEKESESRFLTEDVTFAHEIIRESHSNVVREGIAPIMKKKSSLKDKVAMFLD >ONI32006 pep chromosome:Prunus_persica_NCBIv2:G1:32242573:32243817:-1 gene:PRUPE_1G344100 transcript:ONI32006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAPESDDGSRRRLPQWMLGISSAGQVRKPSNGKEEGPASYETETLGENSHVLVKCETKRRKKKSTEQEEKCSGLGRRKVQESGAPERQKAKETLGENSHVLVKCEAKRRKRNSNEQDAECDGTFPEKNCNGHGRRKVQESDAPKKEKAKGSSCGSDEELEVRTWTDDDVELTVEDLVIIAEEYIRADGNINKEEEASNQECESDSRFPEIVSSGNELEDSADAQICNRRSLIADTTTFKPNGSLASKGIGLNSGGTGDPAQDMLDLFLGPLMKKTVEKESESRFLTEDVTFAHEIIRESHSNVVREGIAPIMKKKSSLKDKVAMFLD >ONI33367 pep chromosome:Prunus_persica_NCBIv2:G1:36338097:36338537:-1 gene:PRUPE_1G419700 transcript:ONI33367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNHEPVHFNRFECPVQETGLTTAEIQELLSLLQEGNSASPNSGSEDSNRAVYSVDEKKLRRKKSNRESARRSRWRKKRQVEDLTNDVNWLKVQNRELKNRLGVVAQKCHVTWRENDRLTSESLALRARLSDLHWNFVAMQMQSQ >ONI26482 pep chromosome:Prunus_persica_NCBIv2:G1:1947760:1953157:1 gene:PRUPE_1G027800 transcript:ONI26482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDGEVVPVDPQAVVAVKKKSSRSWVLLDCTGKATVLDVDKYAIMHRVHIHARDLRIVDPLLSYPSTILGRDRAIVLNLEHIKAIITAEEVLLRDPVDENVIPVVEELQRRLPPVNAIHESQKDGKEFPAGLIDVDAGEEDESPFEFRALEVALEAICSYLSARTTELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSVSGPPNWYPASPTIGSKISRASRASIATVRGDENDVEELEMLLEAYFMQIDGTLNKLATLREYIDDTEDYINIQLDNHRNQLIQLELFLSAGTLCMAIFSLVAGIFGMNIPYTWNDGYGYMFKWVCIVTGIVCACVFIIIMSYARFKGLVGS >ONI30924 pep chromosome:Prunus_persica_NCBIv2:G1:28474503:28481153:1 gene:PRUPE_1G281700 transcript:ONI30924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKMQCKSYLPGYYSVRDLNEDPNNCSWPVYYGDKTLSNRQYCNGFLPRATADAYPGYDKDVVKQTMLEHEAIFKNQVLELHRLYRIQRDLMDDIKRKELHRNQIPMETSLSSSPLVSQITSEDARKWHDSSFPLVNNVYAGPSIPGVEGIHSQSSAVKGNIPKNGLFPSQNGIISKDLEVMESRPTKVRKKMFDLQLPADVYIDSEEGEQFSDEKVSGTPSCQPNKGCKTALEGGTKLFSSNGGKTDCKGDALRSDSCLRSPNGLADLNEPIQFEETNASAYDYHLAFDSFHGKIQRPDLAAKSRLQLLGLPKDISLESRYVSDNVIQNNSQLENKGSGKGWFSHVLAGQSKSNLETVSECLQTERLPVSSQPMQVSINNVHEPTFYLTDRSKVDLWRERTVCGVENSERSREISNSKHPSIFVASHMPSPYPILPSSDGAKSWTHSVSSWENPGSSLSQKSISVQTHPCLNSSATLSKSSQSSVQSNGIFGDRRYLNNHSSSNQGSGSEVPYQNGFHHGSSSGSKEPVRFPSLSCDYQSSSNNHNGGPEHLMSHGSTTHPKGSNCLDVKSGREVNLNVVLSNSSSNEEILQQGLKIIGGEQKHVDHLAAFPWLRAKPASKNEFSNVGKVSKTGERGFFQSSMNNSSNKTEVGKDLNQIFAQDIKSVLSGNDVEARRNELGDIPCKRKLLGFPIFEKSHISKNESSSLTSPSVSISHQSERGGENTRRNRELDINLPCDPSAPELARKNVAEIVVVEEGRDTKVASFRHYIDLNSCISDDEVSLKPSVPSTSVKITVEIDLEAPIVPETDDDVIPGETSAEKQKEISLALPQHTAEPPQDELVRVAAEAIVSISSSGPHNHMNESSCDPPEASSTDPLVWFVEIASICGSDLESKFDTVLRGKDGEDKEESLSEEFDYFESMTLKLIETKEEDYMPKPLVPEDLKLEETGNTLPANQPRKGQSRRGRQRRDFQRDILPGIVSLSRHEVTEDLQTFGGLMRATGHAWHSGLTRRNSTRNGCGRGRRRAVVSPSPPVATSPACTPLVQQLNNTEMGLEDRSLTGWGKTTRRPRRQRCPAGNPPSVPLT >ONI26898 pep chromosome:Prunus_persica_NCBIv2:G1:3748512:3749601:1 gene:PRUPE_1G053900 transcript:ONI26898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMQQPLNAGQAQGQGQAKAEEWMQSTQDTANQARNVTSDAAQSAKESAQHGKDQSAGFIQQTGEQVMNMAHGAMDSVKSTLGMNEKK >ONI34800 pep chromosome:Prunus_persica_NCBIv2:G1:41371312:41374734:1 gene:PRUPE_1G499700 transcript:ONI34800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRLCQWSVIRSLLAILQWWGFNVTVIVMNKWIFQKLDFKFPLTVSCIHFICSAIGAYTVIKILKLKPLITVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWCSLIPIVGGILLTSVTELSFNMLGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILAVPAVVLEGNGVIEWLHTHQTLVPSLIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFKNPIPALNAVGCGITLVGCTFYGYVRHLLSQQLPGTPRTPRTPRGRMELLPLVNDKLDDKV >ONI30799 pep chromosome:Prunus_persica_NCBIv2:G1:27977565:27981551:-1 gene:PRUPE_1G273400 transcript:ONI30799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTNSDGRTRSSVQIFIVAGLCCFFYILGAWQRSGFGKGDSIALEITKNGADCNIIPSLSFESHHAGEAGKIDESESKPKVFKPCHPRYTDYTPCQDQKRAMTFPRDDMNYRERHCPPEEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAVQNWIQYEGKVFRFPGGGTQFPQGADKYIDQLASVIPIKNGTVRTALDTGCGVASWGAYLLSRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGVNDGKYLMEVDRVLRPGGYWVLSGPPINWRNNYKSWQRPREELEEEQRKIEEVAKLLCWEKKSEKGETAIWQKRVNTDSCGDRKDDSHATFCKSDEADAVWYKKMEACITPYPDSNNADGAAGGELEPFPKRLYAVPPRIASGSVSGVSVEAYEEDNNKWKKHVNAYRRINKLIDSGRYRNIMDMNAGLGGFAAALESPKLWVMNVVPTIAEKNTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHAHGVFSLYDGK >ONI30796 pep chromosome:Prunus_persica_NCBIv2:G1:27977561:27982503:-1 gene:PRUPE_1G273400 transcript:ONI30796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTNSDGRTRSSVQIFIVAGLCCFFYILGAWQRSGFGKGDSIALEITKNGADCNIIPSLSFESHHAGEAGKIDESESKPKVFKPCHPRYTDYTPCQDQKRAMTFPRDDMNYRERHCPPEEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAVQNWIQYEGKVFRFPGGGTQFPQGADKYIDQLASVIPIKNGTVRTALDTGCGVASWGAYLLSRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGVNDGKYLMEVDRVLRPGGYWVLSGPPINWRNNYKSWQRPREELEEEQRKIEEVAKLLCWEKKSEKGETAIWQKRVNTDSCGDRKDDSHATFCKSDEADAVWYKKMEACITPYPDSNNADGAAGGELEPFPKRLYAVPPRIASGSVSGVSVEAYEEDNNKWKKHVNAYRRINKLIDSGRYRNIMDMNAGLGGFAAALESPKLWVMNVVPTIAEKNTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHAHGVFSLYDGKCDWEDILIEMDRILRPEGAVVFRDEVDVLIKVKKIVGGMRWDTKMVDHEDGPLVPEKVLIAVKQYWVAGGNSTSTQ >ONI30797 pep chromosome:Prunus_persica_NCBIv2:G1:27977135:27982534:-1 gene:PRUPE_1G273400 transcript:ONI30797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTNSDGRTRSSVQIFIVAGLCCFFYILGAWQRSGFGKGDSIALEITKNGADCNIIPSLSFESHHAGEAGKIDESESKPKVFKPCHPRYTDYTPCQDQKRAMTFPRDDMNYRERHCPPEEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAVQNWIQYEGKVFRFPGGGTQFPQGADKYIDQLASVIPIKNGTVRTALDTGCGVASWGAYLLSRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGVNDGKYLMEVDRVLRPGGYWVLSGPPINWRNNYKSWQRPREELEEEQRKIEEVAKLLCWEKKSEKGETAIWQKRVNTDSCGDRKDDSHATFCKSDEADAVWYKKMEACITPYPDSNNADGAAGGELEPFPKRLYAVPPRIASGSVSGVSVEAYEEDNNKWKKHVNAYRRINKLIDSGRYRNIMDMNAGLGGFAAALESPKLWVMNVVPTIAEKNTLGVIYERGLIGIYHDWCEGFSTYPRTYDLIHAHGVFSLYDGKCDWEDILIEMDRILRPEGAVVFRDEVDVLIKVKKIVGGMRWDTKMVDHEDGPLVPEKVLIAVKQYWVAGGNSTSTQ >ONI33270 pep chromosome:Prunus_persica_NCBIv2:G1:36034426:36036739:1 gene:PRUPE_1G413700 transcript:ONI33270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDNQMYNVPTDLTARNSVVMDGIVPHTTMNSLVQSYSFDLNHQNHGMALVPVLSSFPGEPVMHSHSEFNGTNGAGIVESNPFVTSQRRHNVRDSSFISSSFADNTVFQATPHSAASLATILAASGSLQENLNNLAISGTPVSSSEAYVSNDCSNNSNSLFATSVNCGYDEILGSISSQWEINKYAAPSELGERTSVRTGLQPYSPTGNLDPNGWLSSNGENAMTYHSYSSCKFSNELALTLATSSPAIVGGVDIRNQCSDIGSSGMAHPSLNQTRFGSSEQNSCNSEELSLSFGSCKPPQLSHVICGSRYLHVVQEILFDIASYSLENLDQSSFSSARILSENADSDSRFELHKETALQKQEIKTKKSKLLTLLQMLDDCYNQCVDEIHTVVSAFHAATETDPHIHARFALQTISVLYKNLRERISTHFLAMTANSDPASPSESERSFEIHKQLALQHLKKKEHQIWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHVLAVKSGLTRNQVSNWFINARVRLWKPMIEEMYSEMNRRKTRQSDNTERNNRSHHHNISINHHKFGVN >ONI34306 pep chromosome:Prunus_persica_NCBIv2:G1:39362736:39367339:1 gene:PRUPE_1G473900 transcript:ONI34306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFLLPLIIYLTSFSIFFPSPSHAADTLTPSDTLRDNQTLVSAGGVFELGFFSDLISGNHYLGIWFKADAAKVVWVGNREAAILDSSGVLQIRSGNLLLSDRRQLQVIVNSANVASSPNTTATLLDTGNFVLKEGDTDTVIWQSFDVPSDTYLPGMKLGLFGQNTTQPSFQFLVSWVSPQNPARGLFTLTLDQANSTKVVVWRGDGRHMDIAFWDGHNLRFIFDNTSSKNDYNFSYHSIGEEDAYYTFSKGRYDLMWFVMASTGDLDQFFLLDGNIWSISHRLCEDFAGGNTGKCLSSLPSMCENGDSFSVMNGSLPSTFNSGGWINMGTSDCETLCKSNCSCTAFVSVQNGQQVCQLYYQSRKDLLKIVEKGPGIVYIRGGATSSSDGKKWRLWLAIAVPLASLLVLIPIFSFCYLRCRKGRKDQATRHEGIIHSDQVRLFQMGSTNASPIQYDEVRIANMMELGRQKDQELPLFSFSTIQTATNDFAKATKLGEGGFGPVYKGLLPEGQEIAVKRLSEISRQGLEEFKNEVSVICKLQHRNLVRLLGCCIEGEESILIYEYMPNKSLDSFIFDSTKRSILDWRRRMHIIEGIAQGLLYLHKYSRLRIIHRDLKTSNILLDSDMNPKISDFGMARIFTDNDTKGKTSRVVGTFGYMSPEYAMGGLFSEKSDVFSFGVILLEIISGKKNVALFEVDNQLNLLGNAWNLWKEGKSMELVDSTLTASCSSREVTRYIQMGLLCVQERAMDRPTMADVVSMLSNETIAMALPKEPASWSQLSSSDADSSSSRQRHHSTFDMTISGVHGR >ONI29906 pep chromosome:Prunus_persica_NCBIv2:G1:23585167:23586506:1 gene:PRUPE_1G221300 transcript:ONI29906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKEKGKKGLIVKTWERCKSIGRGRNMTTTTTTMVPSLTIKSRSCPHINLGVPKREEKRASRRQVAPEGCFSVYVGPQKQKFVVKTEYANHPLFKMLLEEAESEFGYDSQGPLVLPCNVEVFYKVLMEMDDCGNPKVPQGCGLAKRYGSYHLLSPSRMVAINQF >ONI30088 pep chromosome:Prunus_persica_NCBIv2:G1:24428427:24429735:1 gene:PRUPE_1G230600 transcript:ONI30088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSCCIVLLNLFFVLLFSIIQALQSKANPERHLLPPGPKPFPFIGNLLELGNKPHLSLTKLSQIYGPIITLQFGQYSYWGFPIPTFNGEWVPRPTPPFGIGLALQSLNN >ONI34313 pep chromosome:Prunus_persica_NCBIv2:G1:39405747:39407250:1 gene:PRUPE_1G474300 transcript:ONI34313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLNLSLVCLISFFTFFSFSYPATDTLTSLDTLGDIQTLVSSGGIFKLGLFSENFSGYHYLGIWFTADPKKVVWVDRENPILDSSGLLQIQAGNLVLTDRRQVQLIVNSGSVAAVSTNTSATLHDTGNFVLKEVYTGTIIWQSFDLPSDTYLPGMKLGLHTRTPSDMLRDNQTLVSSGGLFEFGFFGESVSGYYLGIWFTADPSNVV >ONI36157 pep chromosome:Prunus_persica_NCBIv2:G1:46675239:46677406:1 gene:PRUPE_1G572600 transcript:ONI36157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRKAPTREDEAGSAGKGKKLGSLQSQLLYNHHKKIYNEEALDLSAKTLQINPDFYTAWNYRKLAVQHKLAASHPDFNSIFDPELRLVEIALGTNPKAYGAWYHREWVLRRLSKAHSSSSYLNDYLDHELQLLETLLKRDSRNFHAWNHCRFLAALFNTSDHEELKFTNEMIQDNQDNLSNYSVWHHRRVLLSGLLKKKAQGFSSKEKVLHDEYELVDGAIVAGTEDQSGWFYHFSLLEQTVNVDAPFLVSSWPSHGSNVLLSRNRCSDDCSLSQFDSFHSSSGTFPLILYFTKAVQGVNSSTITIESSFCTKNDLHWTPLIQNRSQFFQAWVTHIIFPAANPHSSEPYLLEISVGQSQGIISQNGFPYSHPTQFNFKVHLCLGETERGKVEDLDGDIILWKDENFHALQTKSQEPHEVVSLDQLIINDVHEPNTSNWRAAIVANEIDLFQNLLFW >ONI34261 pep chromosome:Prunus_persica_NCBIv2:G1:39251680:39254629:1 gene:PRUPE_1G471800 transcript:ONI34261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGREVQSSLDGLRDKNVMQLKKLNTALFPVRYNDKYYADALASGDFTKLAYFSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGKKLLNHSLDLSSKQNISEIYLHVQTNNEDAINFYKKFGFEITETIQNYYTNITPPDCYVLTKYITQTKK >ONI34262 pep chromosome:Prunus_persica_NCBIv2:G1:39251680:39253963:1 gene:PRUPE_1G471800 transcript:ONI34262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGREVQSSLDGLRDKNVMQLKKLNTALFPVRYNDKYYADALASGDFTKLAYFSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGKKLLNHSLDLSSKQNISEIYLHVQTNNEDAINFYKKFGFEITETIQNYYTNITPPDCYVLTKYITQTKK >ONI32938 pep chromosome:Prunus_persica_NCBIv2:G1:35086200:35088105:-1 gene:PRUPE_1G395000 transcript:ONI32938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTCNYTCLSPSHDLQRWNKIIKAQVLKGNAEHAIQSYIDMQRLGFCADNYTFPILLKAAGNASYLRIGVTLHGQTVKTGFCDHLFVQTALLKMYSSLGLLVNARKAFDKMPVRDVVAWNSMLDVYASGGQMDNAIEIFDTMPLQDLSSFNIMISGFAGMGSVTSARSVFDGIPEKDIVSWNSMILACMNAGDVAEAHTLFEAMPERNVITWNTMIMGYLNNQLPTKAIDLFYKMKAGNTKPDYLTVTGALSACAHLGSLETGMEIHTYAKMLGQASSPHVVTALIDMYAKCGSIGSSLEVFYKSKVKDIYCWNAILSGLALHGFGSAALKFLGEMRENRIKPDDITFIALLSACSHAGLVEEGCQLFACMGKQFGITPKAEHYGCMVDLLSKARFFDSALQLIETMSFEPGESVLGALLGACVIHQDLENGEKAMKLIMDRACSLSDGEYMMFANLYASCGQWEEAQRWRNMMNDSGIVKTAGCSEIQINGRVHKFLAGEIGRD >ONI33501 pep chromosome:Prunus_persica_NCBIv2:G1:36811324:36813453:1 gene:PRUPE_1G428700 transcript:ONI33501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPFWYGLALIIFTLLLKLSTTKLGQKHKKNLPPSPPSLPMIGHLHLVKQPIHRTLQALAEKFGKVLLLRWGSRRVLLVSSPSVAEELFTKHDIIFASRPRLLVGKHFAYDFTTVTLAPYGDLWRNLRRVMTLEVFSSARLAQFSSIRQGEVRLLLNQTVKSCAKSMTKVELKSKFTELSFNVMTMMAVGKRYYGENVLDAEEAKNIQKVIRDGVDISGATNFGDFFPFLQWMDMTGIEKKMVSLMAKMDNFLQGLVDERREILSATCGSNRKEVKKLMIDNLLALQEKEPQFYTDQIIKGIIMVMLVAGTDTSSATLEWAMALLLNHPEAMEKVRAEIDTKVGQERLLEEQDLPKLTYLQNVINETLRLYPPTPLLVPHEASEDCVVRGFDVPRHTMLFINAWAIHRDPELWEDPTKFKPERFEGWSGEGSEGYKLIAFGAGRRGCPGAGLASRLVRLALGSLVQSFEWERIGEENVDMSEGLGLTMPRAKPLEAMCKPRPLMLA >ONI36072 pep chromosome:Prunus_persica_NCBIv2:G1:46335615:46338419:-1 gene:PRUPE_1G568100 transcript:ONI36072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPFLLFYTFMRIYIVSCFDYFLMLISSVLKPKKQRKTEGGSENREREREREREREEITMTSKSAVGIIIFFFILCLVRTGQAIWMTLPSSGTKCVSEEIQNNVVVLADYVVISDDHSHSPTISVKVTSPYGNDLHHRDNATHGQFAFTTQEAGNYLACFWVDSNNRGGSGVSVNLDWKTGIAAQDWDSVARKEKIEGLELELRKLEGAVEAIHDNLLYIKGREADMRNLSERTNTRVAWFSMMSLGVCIGVSGLQLLHLKQFFQKKKLI >ONI26042 pep chromosome:Prunus_persica_NCBIv2:G1:112407:118076:-1 gene:PRUPE_1G000800 transcript:ONI26042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAMSLSSENRKEEEQQGTSYTYWVRQVAEDTAPPPVPRKLSPQDILSAQSQPSTLGSLWNRAGTWEEKNVNKWATDRIKVCHGASFISGYLGVLRWQSRDIRCLQMCRRFAIRDCNLFDYGDMIIDSFLMSSSLLSDQAFLVTVRNKKRVSYTYELTLKVKGEWIFGEEKNTVKGQIDIPEFSFGELDDLQMEVRLGEEKDILRQDKLRVSKDLKLFLQPVREKLLQFEQELKDI >ONI26044 pep chromosome:Prunus_persica_NCBIv2:G1:114315:118076:-1 gene:PRUPE_1G000800 transcript:ONI26044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAMSLSSENRKEEEQQGTSYTYWVRQVAEDTAPPPVPRKLSPQDILSAQSQPSTLGSLWNRAGTWEEKNVNKWATDRIKVCHGASFISGYLGVLRWQSRDIRCLQMCRRFAIRDCNLFDYGDMIIDSFLMSSSLLSDQAFLVTVRNKKRVSYTYELTLKVKGEWIFGEEKNTVKGQIDIPEFSFGELDDLQKLKCHKWWTRSPLLKYKLK >ONI26041 pep chromosome:Prunus_persica_NCBIv2:G1:112408:118076:-1 gene:PRUPE_1G000800 transcript:ONI26041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAMSLSSENRKEEEQQGTSYTYWVRQVAEDTAPPPVPRKLSPQDILSAQSQPSTLGSLWNRAGTWEEKNVNKWATDRIKELLLSVGTLEFSGGKAEISDVFKCVGDAFLVTVRNKKRVSYTYELTLKVKGEWIFGEEKNTVKGQIDIPEFSFGELDDLQMEVRLGEEKDILRQDKLRVSKDLKLFLQPVREKLLQFEQELKDI >ONI26043 pep chromosome:Prunus_persica_NCBIv2:G1:113089:118076:-1 gene:PRUPE_1G000800 transcript:ONI26043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAMSLSSENRKEEEQQGTSYTYWVRQVAEDTAPPPVPRKLSPQDILSAQSQPSTLGSLWNRAGTWEEKNVNKWATDRIKELLLSVGTLEFSGGKAEISDVFKCVGDAFLVTVRNKKRVSYTYELTLKVKGEWIFGEEKNTVKGQIDIPEFSFGELDDLQKLKCHKWWTRSPLLKYKLK >ONI34098 pep chromosome:Prunus_persica_NCBIv2:G1:38641748:38645838:1 gene:PRUPE_1G462700 transcript:ONI34098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKGVVGEADKKDAATVPEVVAKKKRKGLFSRMFSGIFRLHGDDFEKRLKYISKEEATVLARMQRRSITWRRMSRHLILFSVLFEIIAIAYAIISTRATNLDWKMRTFRILPMFLLPALSFLAYSAFVTFTRMRDRKDAKTLERLRAERQAKIDELKERTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGTDSGLKFYVGDESEINASTGKSNDVELVHSGELRNRKPVHTRSNSTGSVPLTIPEEEAPRSARSDGHQVSEHSQLIVSHHSPQGASPQDGGWIARIAALLVGEDPTQSYALICGNCHMHNGLAKKEDFPYITYYCPHCHALNQPKHLEGRVSGSNTPITPSLSSLRAGVSSEATKHAGDSLDDSVLTSTSPVRAGSEIEEETEKPASGDTVSLEKHE >ONI34099 pep chromosome:Prunus_persica_NCBIv2:G1:38641748:38645838:1 gene:PRUPE_1G462700 transcript:ONI34099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKGVVGEADKKDAATVPEVVAKKKRKGLFSRMFSGIFRLHGDDFEKRLKYISKEEATVLARMQRRSITWRRMSRHLILFSVLFEIIAIAYAIISTRATNLDWKMRTFRILPMFLLPALSFLAYSAFVTFTRMRDRKDAKTLERLRAERQAKIDELKERTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGTDSGLKFYVGDESEINASTGKSNDVELVHSGELRNRKPVHTRSNSTGSVPLTIPEEEAPRSARSDGHQVSEHSQLIVSHHSPQGASPQDGGWIARIAALLVGLAKKEDFPYITYYCPHCHALNQPKHLEGRVSGSNTPITPSLSSLRAGVSSEATKHAGDSLDDSVLTSTSPVRAGSEIEEETEKPASGDTVSLEKHE >ONI34100 pep chromosome:Prunus_persica_NCBIv2:G1:38641748:38645838:1 gene:PRUPE_1G462700 transcript:ONI34100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKGVVGEADKKDAATVPEVVAKKKRKGLFSRMFSGIFRLHGDDFEKRLKYISKEEATVLARMQRRSITWRRMSRHLILFSVLFEIIAIAYAIISTRATNLDWKMRTFRILPMFLLPALSFLAYSAFVTFTRMRDRKDAKTLERLRAERQAKIDELKERTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGTDSGLKFYVGDESEINASTGKSNDVELVHSGELRNRKPVHTRSNSTGSVPLTIPEEEAPRSARSDGHQVSEHSQLIVSHHSPQGASPQDGGWIARIAALLVGLAKKEDFPYITYYCPHCHALNQPKHLEGRVSGSNTPITPSLSSLRAGVSSEATKHAGDSLDDSVLTSTSPVRAGSEIEEETEKPASGDTVSLEKHE >ONI33861 pep chromosome:Prunus_persica_NCBIv2:G1:37957137:37961378:-1 gene:PRUPE_1G450500 transcript:ONI33861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPVPRAGTALNGEACSVASPLSRSSCTHNNYPTSNGLLSSLSASLDPFVLSVFSPRSSRPLEKSKPKGHIWRRALSHFFICFIVGLFIGLTPFASMNFSVNLMSKHQDFSFDMISSVGFQLHDSVHRNVTLFDGVKMKKNVTTESQVKDWEAKDGILEKAVDNRLLIQESDLEFRKLLIIVTPTYAQPFQAYNLNRLAHTLKLISPPLLWIVVEMTSQSAETADILKRTGIMYRHLVCNNNLTDVRDRSVHQRNVALSHIETHRLDGIVYFADEDNVYSTDLFEQMRQIRRFGTWTVAKLMSGKMKPIIEGPVCNGTQVIGWHVNESSRRFQRFHAKISGFAFNSSILWDPKGWHRPTLEPIRQLETDNDDFKASTFIEQVVEDESQMEGLQHDCSTIMVWYHHHESSNTFYPHKWIMKDSLDVIASLK >ONI33860 pep chromosome:Prunus_persica_NCBIv2:G1:37957180:37961370:-1 gene:PRUPE_1G450500 transcript:ONI33860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPVPRAGTALNGEACSVASPLSRSSCTHNNYPTSNGLLSSLSASLDPFVLSVFSPRSSRPLEKSKPKGHIWRRALSHFFICFIVGLFIGLTPFASMNFSVNLMSKHQDFSFDMISSVGFQLHDSVHRNVTLFDGVKMKKNVTTESQVKDWEAKDGILEKAVDNRLLIQESDLEFRKLLIIVTPTYAQPFQAYNLNRLAHTLKLISPPLLWIVVEMTSQSAETADILKRTGIMYRHLVCNNNLTDVRDRSVHQRNVALSHIETHRLDGIVYFADEDNVYSTDLFEQMRQIRRFGTWTVAKLMSGKMKPIIEGPVCNGTQVIGWHVNESSRRFQRFHAKISGFAFNSSILWDPKGWHRPTLEPIRQLETDNDDFKASTFIEQVVEDESQMEGLQHDCSTIMVWYHHHESSNTFYPHKWIMKDSLDVIASLK >ONI27836 pep chromosome:Prunus_persica_NCBIv2:G1:8597649:8599568:1 gene:PRUPE_1G107100 transcript:ONI27836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLKNRKVSSQNTQIEFRFLVPRDMSVGQFIHILSSRLHLTPGKALFVFVKNTLPQTASRMDSIYESFKEDDGFLYMCYSSEKTFG >ONI27835 pep chromosome:Prunus_persica_NCBIv2:G1:8597587:8599534:1 gene:PRUPE_1G107100 transcript:ONI27835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKPFKQEFSFDERLEESKSIIAKYPDRIPVIIERYSRTDLPEMEKKKFLVPRDMSVGQFIHILSSRLHLTPGKALFVFVKNTLPQTASRMDSIYESFKEDDGFLYMCYSSEKTFG >ONI27837 pep chromosome:Prunus_persica_NCBIv2:G1:8597587:8599534:1 gene:PRUPE_1G107100 transcript:ONI27837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLKNRKVSSQNTQIEFRFLVPRDMSVGQFIHILSSRLHLTPGKALFVFVKNTLPQTASRMDSIYESFKEDDGFLYMCYSSEKTFG >ONI27834 pep chromosome:Prunus_persica_NCBIv2:G1:8597587:8599568:1 gene:PRUPE_1G107100 transcript:ONI27834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKPFKQEFSFDERLEESKSIIAKYPDRIPVIIERYSRTDLPEMEKKKFLVPRDMSVGQFIHILSSRLHLTPGKALFVFVKNTLPQTASRMDSIYESFKEDDGFLYMCYSSEKTFG >ONI35003 pep chromosome:Prunus_persica_NCBIv2:G1:41989731:41992369:1 gene:PRUPE_1G509600 transcript:ONI35003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLDEFDDYMNAQRKSLATKAITATTTVKMDAAKDTATTTDVEMDAATATIKMDAAIATVEMDAATAAPKHKGSDSPAVVEIAIEKRKRCDSLVGVEINALQPPSKLMPLQLPSKSMPLHPPLKSMPLQSLLKSMPL >ONI30677 pep chromosome:Prunus_persica_NCBIv2:G1:27395976:27397087:1 gene:PRUPE_1G266100 transcript:ONI30677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRLREQSMEELIPASPVRAKKSRSQARSSQLHGSISSQPQLVASRRPQPTTSNQSQRQAPSMSVQSQQQASTVRLTRSQETQQCHPNLSTPSHEDEPLITPSDETGEIEPTMNGRGAACSIAEWGTGTKLHIDFDTKWKWKPIKENAQKFSTQLGVIARNARKVPLTKVSWSGMPDHILDDIWKDVQDNTDVPDAYRPHCLKIVGNRWRDWKCRLKKEWYDKYETNEERLAITPPQVPTDQWKILVKYWGLPDVKECSEANKANRALGSAPHRTGRTSFAQVKNQ >ONI33897 pep chromosome:Prunus_persica_NCBIv2:G1:38033858:38037705:1 gene:PRUPE_1G451900 transcript:ONI33897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQFTSPDAHSSSPALSSSSSSSSFSSSSDRRINALVRHLDSSAMDPNNNSSISASPTSAHANSVFAHVVRAPEDPILGVTVAYNKDPSPAKLNLGVGAYRTEEGKPLVLNVVRKAEQLLVNDRSRVKEYLPIVGLADFNKLSAKLILGADSPPIQENRITTVQCLSGTGSLRVGGEFLAKHYHERTIYIPQPTWGNHTKVFTLAGLSVKSYRYYDPATRGLDFQGLLEDLRSAPAGAIVLLHACAHNPTGVDPTLEQWEQIRQLVRSRGLLPFFDSAYQGFASGSLDADAESVRRFAADGGECLIAQSYAKNMGLYGERVGALSIVCKTADVASKVESQVKLVIRPMYSNPPIHGASIVATILKDRDLFNEWTIELKAMADRIISMRHQLFESLRAKGTPGDWSHIIKQIGMFTFTGLNSEQVAFMTKEYHIYMTSDGRISMAGLSSRTVPHLTEAIHAAVTRVA >ONI30760 pep chromosome:Prunus_persica_NCBIv2:G1:27835188:27835894:-1 gene:PRUPE_1G271300 transcript:ONI30760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPILSPTPTTTSLVHHHHHQSLHLSSKLPFPTRPTTCNNNRPLTRLHVSTPTNKPNTTTTTTTTTTSKKPTTETIFFDGGAHYGDLLANLILGFTLLWLPLTLAAVFRAFFLRYRFTNLRVTVISGLTGQDRSDFSYKVIKDVQVVPRFIGEWGDIIITLRDGTKVDLRSVPKFREIAKYCLSLADKPAVLKEKGSKGF >ONI33473 pep chromosome:Prunus_persica_NCBIv2:G1:36685274:36690227:-1 gene:PRUPE_1G426800 transcript:ONI33473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQTLVLLISSIVFNLCGLFASGSDDHSRPMILPLYLSSPNANWHHRQTFDGRRLQRSEPNSHMRLYDDLLANGYYTTRLWIGTPPQQFALIVDTGSTVTYVPCFDCEQCGRHQDPRFQPDLSTTYQPVKCDINCNCDKKEAQCTYERRYAEMSSSSGVLGEDVLSFGNESELVPQRAVFGCENIETGDLYSQRADGIMGLGRGRLSVMDQLVDKGVISDSFSLCYGGMGVGGGAMVLGSIKSPPDMVFTHSDPFRSPYYNIELKEIHVAGKPLKLNSKIFDGKHGTVLDSGTTYAYLPKDAFHAFKDAVTRKVNFLKQIHGLDPNYQDICFSGAGRDVTQLSKVFPQVDMVFTKGQKFSLSPENYLFPHTKVSGAYCLGIFANGDSTTLLGGIVTRNTLVTYDRANDKIGFWKTNCSELWKRLNDSNAPPPVPSAFDGRNTSVEISPSIAPSGLPDTIIPGVLQVGLISFDMMLSINNTIKPNFTELAESIAHELELNISQVRLMNYTHTGNNFVIRWAIVPAESANCFSNTKATGIIRRLKEHQMHIPQKFGSYQLVKLKVEPQMKRSWWKQHYWAVAVAVIVTSVLGLLALGTWLVWKYRLQDIVSYEPVAARAIVPEQELQPL >ONI33474 pep chromosome:Prunus_persica_NCBIv2:G1:36684727:36690387:-1 gene:PRUPE_1G426800 transcript:ONI33474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLALTVNSADPRFQPDLSTTYQPVKCDINCNCDKKEAQCTYERRYAEMSSSSGVLGEDVLSFGNESELVPQRAVFGCENIETGDLYSQRADGIMGLGRGRLSVMDQLVDKGVISDSFSLCYGGMGVGGGAMVLGSIKSPPDMVFTHSDPFRSPYYNIELKEIHVAGKPLKLNSKIFDGKHGTVLDSGTTYAYLPKDAFHAFKDAVTRKVNFLKQIHGLDPNYQDICFSGAGRDVTQLSKVFPQVDMVFTKGQKFSLSPENYLFPHTKVSGAYCLGIFANGDSTTLLGGIVTRNTLVTYDRANDKIGFWKTNCSELWKRLNDSNAPPPVPSAFDGRNTSVEISPSIAPSGLPDTIIPGVLQVGLISFDMMLSINNTIKPNFTELAESIAHELELNISQVRLMNYTHTGNNFVIRWAIVPAESANCFSNTKATGIIRRLKEHQMHIPQKFGSYQLVKLKVEPQMKRSWWKQHYWAVAVAVIVTSVLGLLALGTWLVWKYRLQDIVSYEPVAARAIVPEQELQPL >ONI33475 pep chromosome:Prunus_persica_NCBIv2:G1:36686084:36690227:-1 gene:PRUPE_1G426800 transcript:ONI33475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQTLVLLISSIVFNLCGLFASGSDDHSRPMILPLYLSSPNANWHHRQTFDGRRLQRSEPNSHMRLYDDLLANGYYTTRLWIGTPPQQFALIVDTGSTVTYVPCFDCEQCGRHQDPRFQPDLSTTYQPVKCDINCNCDKKEAQCTYERRYAEMSSSSGVLGEDVLSFGNESELVPQRAVFGCENIETGDLYSQRADGIMGLGRGRLSVMDQLVDKGVISDSFSLCYGGMGVGGGAMVLGSIKSPPDMVFTHSDPFRSPYYNIELKEIHVAGKPLKLNSKIFDGKHGTVLDSGTTYAYLPKDAFHAFKDAVTRKVNFLKQIHGLDPNYQDICFSGAGRDVTQLSKVFPQVDMVFTKGQKFSLSPENYLFPHTKVSGAYCLGIFANGDSTTLLGGIVTRNTLVTYDRANDKIGFWKTNCSELWKRLNDSNAPPPVPSAFDGRNTSVEISPSIAPSGLPDTIIPGSLNELHPYRK >ONI33476 pep chromosome:Prunus_persica_NCBIv2:G1:36684727:36690388:-1 gene:PRUPE_1G426800 transcript:ONI33476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLALTVNSADPRFQPDLSTTYQPVKCDINCNCDKKEAQCTYERRYAEMSSSSGVLGEDVLSFGNESELVPQRAVFGCENIETGDLYSQRADGIMGLGRGRLSVMDQLVDKGVISDSFSLCYGGMGVGGGAMVLGSIKSPPDMVFTHSDPFRSPYYNIELKEIHVAGKPLKLNSKIFDGKHGTVLDSGTTYAYLPKDAFHAFKDAVTRKVNFLKQIHGLDPNYQDICFSGAGRDVTQLSKVFPQVDMVFTKGQKFSLSPENYLFPHTKVSGAYCLGIFANGDSTTLLGGIVTRNTLVTYDRANDKIGFWKTNCSELWKRLNDSNAPPPVPSAFDGRNTSVEISPSIAPSGLPDTIIPGSLNELHPYRK >ONI33921 pep chromosome:Prunus_persica_NCBIv2:G1:38092823:38095557:1 gene:PRUPE_1G453200 transcript:ONI33921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLHLPRTHISLCTHFSLFSKPKTPTFNIATTLHPILTCKLFSAPKSTLSASESIPISQFPGNHIETPQEFETPIEIHPEFETHIEIPLEKLFVPPETEVKYTDSGALSTRILKGSNILLSKYARDAQVNQAEFVKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKKLALTSKKPGKTQCINHFRINDSWYLVDLPGYGYAAAPQELRTDWVKFTKDYFLNRSTLVSVFLLIDASIPAKKIDLEYASWLGQNQIPMTLIFTKCDKRKKKRHGGKKAEENVQDFQELISGFFETVPPWIMTSSLTNQGRDEMLLHMAQLRNYWLKH >ONI26349 pep chromosome:Prunus_persica_NCBIv2:G1:1347851:1348210:1 gene:PRUPE_1G019300 transcript:ONI26349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRNRMENISNTKSKQTIIRKKWVIVSSSEWSKQVPDDIMQSVLHRLSVFDYFRCRSVCRSWRDSVDRAISSKRCRPAPQLPWLFCSLDRDFLSCCEYEPKSYKLNLPSDDAHDEYVG >ONI30534 pep chromosome:Prunus_persica_NCBIv2:G1:26663999:26666017:-1 gene:PRUPE_1G256400 transcript:ONI30534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSFAVALFFFFLLNQASLQVFADLVLEDGYTVTTVIDGHKLGINPYSVLPRPGSSDLLVLDSSGSAVYTVPFPIPGSQDSVIKRFSGNGDEGYSDGEPGLAQFKKPRSFAVDPKGNVFVADRGNNVIRKISASGSVSTIAGGYTHSLKPGREDGPSQNATFSPDLELALFADKCALLISDRGSQLVRLINLKPEDCARSSPSALGAASIWLLGLGLSCLFGLLVGIVIRPYIIRNEGRNRLGFSATWRRCQINLGKQVQTLCFAIKSATASSTPAVSLLRRLFLLCISHLSLMFRTNHVGSRVSPKECVSLIDCDFHSDSSFKVTEITDSSKYVDQLKDLVGVDGSMELCSRKQEDSNDGRSDVLSGSHGRIEGMIDSNIMGFVEGAKETTLPALGSNYGLVKRR >ONI30236 pep chromosome:Prunus_persica_NCBIv2:G1:25372875:25375747:1 gene:PRUPE_1G239300 transcript:ONI30236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCLLEHLKTQPFWLVVLFTLGSLSVLRFSLAFLQWVYVNFLRPAKNLKKYGSWALVTGPTDGIGKAFAFQLARKGLNLVLMGRNPEKLKDVSDSILAKYGKTQIKTVVVDFTGDLDDGVKRIRETIEGLDVGLLVNNVGISYPYARFFHEVDEELLKNLIKVNVEGTTKVTQAVLPGMLQRKRGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKQSGIDVQCQVPLYVATKMASIRRSSFFVPSTDGYARAALRWIGYEPRCTPYWPHTLLWALASSLPESVVDAWRLRFCLAIRKRGQLKDSQKQE >ONI30525 pep chromosome:Prunus_persica_NCBIv2:G1:26618214:26619648:-1 gene:PRUPE_1G255700 transcript:ONI30525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESNLIMKNPKLEAFGILRKALIISTRNTNFFIFIILTSLPLFCFLVYYESSLQKSLVEISKILNPLHNNVHGYNAYFNLSWSIPLDIATKLNKEFPYELTHLGLLYLVPLHLLKLSTVIVIVHLASKIYTEEIPTTMTFKEMVHRPFGKTRLKGTFVTYVYVLFLSTFTLLGLAWLGITYYALFRDFSFMDDRVSYAVLCWPSFVALLAMYLAWSSVWNVSVVISILEGTHGIKAFGQAIYLTSGCEWRGFILMLIFCAWEVGLRLPCLYIGSYERGNYIGLVAQVSLFCFGNVLKWVACMIYFYDCKNRALEKKLMMKSKKRVESCG >ONI32014 pep chromosome:Prunus_persica_NCBIv2:G1:32276801:32278286:1 gene:PRUPE_1G344600 transcript:ONI32014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIISSHHCNWSFGVQENLNTGMGFNKVVLPGYNGSYFPSRGGLVFSTGNCHIRYHSDRRHRPIFSSTTDDGINPDDSEDPNDAKDSSPKPETGGVNSEMLRENLERLVGTDDSSFSGIDLATLIRNKYGRSYDVQLIKKEFLGRNLLALNVMWKYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLAKLKERPRIGKAVSIFIDMDESGGRANEWIYK >ONI26828 pep chromosome:Prunus_persica_NCBIv2:G1:3418735:3423547:-1 gene:PRUPE_1G048500 transcript:ONI26828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSSVLESVRDFTIQEAKFLSGVSHQVEVAQNELQLMQGFLKDADARQGQDETVRIWVAKIRDAAYDLEDVIQTYGLKVVSKKKRGLRNVLKRFACIFKEGVHLHQIGAEIENITTKISALRSSLQSYNIKEIRDRDSGGGESSLQLHERLRRSYSHVVERDVVGLESNVEELVMHLVKDENRHQVISIWGMGGLGKTTLARQLYHHKKVRQHFHSFAWVCVSQRCQVRNVWEGILFKLISATKEHKQEIKEMTYDEIAKKLFRVMEEMRCLVILDDIWSIETWNLLKVAFPNVETESTILLTTRNQAVASLPNRNAFLHKLQPLNENESWELLVKKAIPAKAEIDLGMYRNLGWKMLQHCKGLPLAIIVLAGVLARKNSIREWGRVSANVHEYISKGIRQEEEYEGVSRVLALSYDDLPYFLKPCFLYLGHYPEDCNIWVSELTKLWVAEGLISLRQQRHGSGETMENIARDYLSELVERCLVQLGTSGSTGTIKGCRIHDLVRDMCLLKAKEESFLQINNSLQENTSSVATEAGQLGKIRRLAIYLDEKADRLVSSGDETNGHVRSLLYFLSEGWMPKSDKRLLYPLKDFKVLRVLKVEGLYGVEVKLPSEIGNMVHLRFLSVKDSNIKTFPSSLGNLICLQTLDFRVPAYVHIVIQNVIMKMKQLRHLYLPWNYGAKGKLELSTLGHLQTLHNLSTVKSKLCKWYQAVGVYTN >ONI26827 pep chromosome:Prunus_persica_NCBIv2:G1:3420111:3423341:-1 gene:PRUPE_1G048500 transcript:ONI26827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSSVLESVRDFTIQEAKFLSGVSHQVEVAQNELQLMQGFLKDADARQGQDETVRIWVAKIRDAAYDLEDVIQTYGLKVVSKKKRGLRNVLKRFACIFKEGVHLHQIGAEIENITTKISALRSSLQSYNIKEIRDRDSGGGESSLQLHERLRRSYSHVVERDVVGLESNVEELVMHLVKDENRHQVISIWGMGGLGKTTLARQLYHHKKVRQHFHSFAWVCVSQRCQVRNVWEGILFKLISATKEHKQEIKEMTYDEIAKKLFRVMEEMRCLVILDDIWSIETWNLLKVAFPNVETESTILLTTRNQAVASLPNRNAFLHKLQPLNENESWELLVKKAIPAKAEIDLGMYRNLGWKMLQHCKGLPLAIIVLAGVLARKNSIREWGRVSANVHEYISKGIRQEEEYEGVSRVLALSYDDLPYFLKPCFLYLGHYPEDCNIWVSELTKLWVAEGLISLRQQRHGSGETMENIARDYLSELVERCLVQLGTSGSTGTIKGCRIHDLVRDMCLLKAKEESFLQINNSLQENTSSVATEAGQLGKIRRLAIYLDEKADRLVSSGDETNGHVRSLLYFLSEGWMPKSDKRLLYPLKDFKVLRVLKVEGLYGVEVKLPSEIGNMVHLRFLSVKDSNIKTFPSSLGNLICLQTLDFRVPAYVHIVIQNVIMKMKQLRHLYLPWNYGAKGKLELSTLGHLQTLHNLSSKYFDLKDVGRLTNLRKLKIILSSSLQNLEENLKSTSSTLNCIRSLIVQNDTNSGQEQAMQMVSSCRGIYKLTLDGPIAELPKELHNYPNLTKLVLRSCGLKEDQMGILEKLSNLTILKLIGESFEENTKILVFSKGGFPSLEFLDVSSTHQITEWRVEEGAMPRLCRLNVVFCFGLTTLPDGLRYLTNLRELTITWMHRELHRRIEEDGEVSIKFNMYLPL >ONI26302 pep chromosome:Prunus_persica_NCBIv2:G1:1133991:1137502:-1 gene:PRUPE_1G015900 transcript:ONI26302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAFCSISILPSANPVLSSTSFAPLPLASRLRRKPCTLVSGAYRRTRRRRITRRKRLPDNDMSVAVPISSPPSRLDFDDAPFLGSRISAGERDVLTPNAEGDTSMPSPSKTAPHKNKHRMIKFFGVHLSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVITGLSALPWLIKPVYGFISDSVPLFGYRRRSYLILSGLLGALSWSLMATVVDGKFSVAFCIILGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLLTSVVAVLVNEQPVIGAAKGTNFALAGPNLLESWKQNFIQLWNAVRHPTVFFPTLFIFLWQATPHSDSAMFYFTTNKLGFTPEFLGRVKLVTSVASLLGVGLYNGFLKTVPLKKTFLVTTIFGSAIGLTQVFLVTGLNRKFGISDEWFALGDSLVITVLSQASFMPVLVLAARLCPEGVEATLFATLMSISNAGSVLGGLMGAGLTQLLGITKDQYDNLALLIILCNLSSLLPLPLLGLLPGDHLNAKPEESAEDIDIEMKSN >ONI26301 pep chromosome:Prunus_persica_NCBIv2:G1:1132683:1137621:-1 gene:PRUPE_1G015900 transcript:ONI26301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAFCSISILPSANPVLSSTSFAPLPLASRLRRKPCTLVSGAYRRTRRRRITRRKRLPDNDMSVAVPISSPPSRLDFDDAPFLGSRISAGERDVLTPNAEGDTSMPSPSKTAPHKNKHRMIKFFGVHLSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVITGLSALPWLIKPVYGFISDSVPLFGYRRRSYLILSGLLGALSWSLMATVVDGKFSVAFCIILGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLLTSVVAVLVNEQPVIGAAKGTNFALAGPNLLESWKQNFIQLWNAVRHPTVFFPTLFIFLWQATPHSDSAMFYFTTNKLGFTPEFLGRVKLVTSVASLLGVGLYNGFLKTVPLKKTFLVTTIFGSAIGLTQVFLVTGLNRKFGISDEWFALGDSLVITVLSQASFMPVLVLAARLCPEGVEATLFATLMSISNAGSVLGGLMGAGLTQLLGITKDQYDNLALLIILCNLSSLLPLPLLGLLPGDHLNAKPEESAEDIDIEMKSN >ONI31971 pep chromosome:Prunus_persica_NCBIv2:G1:32131359:32133462:-1 gene:PRUPE_1G342100 transcript:ONI31971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSSAASSADWSLAWVISCRETHKFNFFTSCKTSSSFSIPCYNLRVFNVNSSGCHSPILEDEASTTLPVIELDLKFPDPQEEFSTPDADNLNDFLCGLFQDRQTEEFAYEQYEKAKKKRPEFIPNKSTFEHLIRYLIRSKKWGSVLSVCDDFKNYHVLPDSYTCSRLIASCIRARKFKMVRTLLEVFKADDDVAVPAFDSAMRGYNELHMFKSTISLFERMKSNGIVPNSGCYCRIMEAYFKKGDSRKVVELFYELGSRQLDFTPFSTQIYGILCESLTKLGQPFEALEVFRSRTKEGISLDSSKIYSVLISSFASIREVEVAEELFEEAETKKMLRDPSVFLRLISMYVETGLTQKTLGVVKAMKGANIRVSDNICCAVVSGFSRKNRLLTAVKVFKDLVLMGCEPGQVTYASIINVYCRLGLHSKAEMIFREMEEKGFDKCVVAYSSMVVMYGKTGRPRDAMRLVAKMKERGCKPNVWIYNSLMDMHGRDNNLRQVSKLWTEMKRRKVAPDKVSYTTVIGAYNKAGDFEMCMRYYHEFRIHGGVLDKAMAGMMVGVFSKTGRVDELVKLLRDMKSEGTGLDGRLYRSALNALTDSGLQTQVKWLQGSFKVT >ONI27614 pep chromosome:Prunus_persica_NCBIv2:G1:7677848:7678252:-1 gene:PRUPE_1G096600 transcript:ONI27614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWVLRRHYTSDGRLILNEEKVRHHKYFRAHRSNGRLTLQLVPLDDEILIPPFVCDEGNKDENGNGNGIENECDDHDQNFDDHDDDDDDDHVVEDQAISGIGGSPGKCFNFNSLLRGPPCIFGVPVPAIRHVHS >ONI28795 pep chromosome:Prunus_persica_NCBIv2:G1:12928215:12932410:-1 gene:PRUPE_1G161700 transcript:ONI28795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASMANRGASSSSASFTKSWKYHVFLSFKGEDTRHNFTDHLYSALCQQGINSFRDDDELIRGEEISSALFTAIEESKISVVVFSKNYASSKWCLDELVKILDCKKSKQQLVIPVFYKVNPSDVRNQRGSFGDALANMECKYKENMQKVNKWRAALSQVASLSGFTLDERQSEYEFIQNIIEEISKHVLNTVCLEVAEHPVGMQAQVQVMNELLDLGESDVRMIGVWGTGGIGKTTIAKAVYNSIAHKFESCSFLANVRERSTSHEGSVGLQENLLSDIQRVKNLKVTNVDKGVTMIKEWLSRRKVLLVLDDVDDMEQLHKLVGARDWFGVGSRIIITTRDKQLLTAHEVNLIHEVKILDDDKALELFCWHAFKTSGPPLGDYVKLAERAIRYAQGLPLALKVLGCCLCGGSIDKWEHALDGFKSKKIQDVLKISYDTLDDIVKEVFLDIACFFKGKSRNYVIETLEACDLSPRYGIEVLIEKALISVEHGDYIRMHDLLEEMGKDIVEQESPTEAGGRSRLWFHEDVEHVLTNNTGKKKIVAIMLNSPKKDYEIFLDVDCFSKMKNLKIFMNYNVCLSGDIGCLPNMLRVLDWYRCPLQSFPPNFRPKGLGLLNLPYSRIKQLGEGLKHLTKLTSLNLMGSEFLTEIPDLSGSPNLRYLNASCCESLVEAAVNTEHFINVLLPGSEVPESLSFRKDVGVLLPNDNDHLLDLPIEIPWTSGLENLVLCIVCEPTESFIRLQFPYLSILNSTYPGNDYSVSKGLTGAGHVGLRYIAVPRKIPLPAHTKRRSDDQLKSFIHRIINVSYKGGRGLFKSCGVHLSLNSMPKDGFGFCSMPEDGDDDENEEELDADDGDEVRPGKRKKITSLCISM >ONI29437 pep chromosome:Prunus_persica_NCBIv2:G1:19000185:19007619:-1 gene:PRUPE_1G198200 transcript:ONI29437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDDRLAELNPKPRTCVVFGGRGFLGRSLVLRLLKLGKWIVRVADSTQSLHLDPSERDSLLSQALSSGIASLHGVDVRDKSQIITAIEGSSVVFYFDGADLRTDDFYHCYMIVVQGAKNVISACRECKVRRLIYNSSADVVFDGSHDINNGDESLPYPWKFDDMLSDFKAQAEALVLRSNDIDGLLTCALRPSNVFGHGDSHLVPFLVNLAKSGWGKFIIGSGENRSDFTYAENVTHAHICAEEALDNRMVSAAGKAFFITNLEPANFWEFVTLILDGLGYQRPLVKVPAKMARYILLFFKLMTEKYGLIKHNHSMSAHYIQLASHTRTFDCTAARNQIGYSPVVSLEEGVTLTIGSFSHLAKYSSFTSYSDFNEQSKVEKLLGSGEDILLWRDEKKSFTYFLALSLLFYWFFLCGRTFASSVSKLLLLVSFGLYGYGILPPKILGLTVKSLSLSCFEIPKMVVKDMIATIAYLWNRGVGYMRQLAQGDDWDIFFKFAASLYFLKLISSQALTVVIGVALVFAFTLFFIYEQYESEIDGFVKLLFNSTMLVFGSLRTNVPASVQLFLENHGIWRHGKGHATIKHQK >ONI29440 pep chromosome:Prunus_persica_NCBIv2:G1:19001682:19007618:-1 gene:PRUPE_1G198200 transcript:ONI29440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDDRLAELNPKPRTCVVFGGRGFLGRSLVLRLLKLGKWIVRVADSTQSLHLDPSERDSLLSQALSSGIASLHGVDVRDKSQIITAIEGSSVVFYFDGADLRTDDFYHCYMIVVQGAKNVISACRECKVRRLIYNSSADVVFDGSHDINNGDESLPYPWKFDDMLSDFKAQAEALVLRSNDIDGLLTCALRPSNVFGHGDSHLVPFLVNLAKSGWGKFIIGSGENRSDFTYAENVTHAHICAEEALDNRMVSAAGKAFFITNLEPANFWEFVTLILDGLGYQRPLVKVPAKMARYILLFFKLMTEKYGLIKHNHSMSAHYIQLASHTRTFDCTAARNQIGYSPVVSLEEGVTLTIGSFSHLAKYSSFTSYSDFNEQSKVEKLLGSGEVADILLWRDEKKSFTYFLALSLLFYWFFLCGRTFASSVSKLLLLVSFGLYGYGILPPKILGLTVKSLSLSCFEIPKMVVKDMIATIAYLWNRGVGYMRQLAQGDDWDIFFKGIELCRCSC >ONI29438 pep chromosome:Prunus_persica_NCBIv2:G1:19000483:19007509:-1 gene:PRUPE_1G198200 transcript:ONI29438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDDRLAELNPKPRTCVVFGGRGFLGRSLVLRLLKLGKWIVRVADSTQSLHLDPSERDSLLSQALSSGIASLHGVDVRDKSQIITAIEGSSVVFYFDGADLRTDDFYHCYMIVVQGAKNVISACRECKVRRLIYNSSADVVFDGSHDINNGDESLPYPWKFDDMLSDFKAQAEALVLRSNDIDGLLTCALRPSNVFGHGDSHLVPFLVNLAKSGWGKFIIGSGENRSDFTYAENVTHAHICAEEALDNRMVSAAGKAFFITNLEPANFWEFVTLILDGLGYQRPLVKVPAKMARYILLFFKLMTEKYGLIKHNHSMSAHYIQLASHTRTFDCTAARNQIGYSPVVSLEEGVTLTIGSFSHLAKYSSFTSYSDFNEQSKVEKLLGSGEVADILLWRDEKKSFTYFLALSLLFYWFFLCGRTFASSVSKLLLLVSFGLYGYGILPPKILGLTVKSLSLSCFEIPKMVVKDMIATIAYLWNRGVGYMRQLAQGDDWDIFFKFAASLYFLKLISSQALTVVIGVALVFAFTLFFIYEQYESEIDGFVKLLFNSTMLVFGSLRTNVPASVQLFLENHGIWRHGKGHATIKHQK >ONI29436 pep chromosome:Prunus_persica_NCBIv2:G1:19000185:19007618:-1 gene:PRUPE_1G198200 transcript:ONI29436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDDRLAELNPKPRTCVVFGGRGFLGRSLVLRLLKLGKWIVRVADSTQSLHLDPSERDSLLSQALSSGIASLHGVDVRDKSQIITAIEGSSVVFYFDGADLRTDDFYHCYMIVVQDVVFDGSHDINNGDESLPYPWKFDDMLSDFKAQAEALVLRSNDIDGLLTCALRPSNVFGHGDSHLVPFLVNLAKSGWGKFIIGSGENRSDFTYAENVTHAHICAEEALDNRMVSAAGKAFFITNLEPANFWEFVTLILDGLGYQRPLVKVPAKMARYILLFFKLMTEKYGLIKHNHSMSAHYIQLASHTRTFDCTAARNQIGYSPVVSLEEGVTLTIGSFSHLAKYSSFTSYSDFNEQSKVEKLLGSGEVADILLWRDEKKSFTYFLALSLLFYWFFLCGRTFASSVSKLLLLVSFGLYGYGILPPKILGLTVKSLSLSCFEIPKMVVKDMIATIAYLWNRGVGYMRQLAQGDDWDIFFKFAASLYFLKLISSQALTVVIGVALVFAFTLFFIYEQYESEIDGFVKLLFNSTMLVFGSLRTNVPASVQLFLENHGIWRHGKGHATIKHQK >ONI29439 pep chromosome:Prunus_persica_NCBIv2:G1:19000483:19006705:-1 gene:PRUPE_1G198200 transcript:ONI29439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTWKEDKLRIAIEGSSVVFYFDGADLRTDDFYHCYMIVVQGAKNVISACRECKVRRLIYNSSADVVFDGSHDINNGDESLPYPWKFDDMLSDFKAQAEALVLRSNDIDGLLTCALRPSNVFGHGDSHLVPFLVNLAKSGWGKFIIGSGENRSDFTYAENVTHAHICAEEALDNRMVSAAGKAFFITNLEPANFWEFVTLILDGLGYQRPLVKVPAKMARYILLFFKLMTEKYGLIKHNHSMSAHYIQLASHTRTFDCTAARNQIGYSPVVSLEEGVTLTIGSFSHLAKYSSFTSYSDFNEQSKVEKLLGSGEVADILLWRDEKKSFTYFLALSLLFYWFFLCGRTFASSVSKLLLLVSFGLYGYGILPPKILGLTVKSLSLSCFEIPKMVVKDMIATIAYLWNRGVGYMRQLAQGDDWDIFFKFAASLYFLKLISSQALTVVIGVALVFAFTLFFIYEQYESEIDGFVKLLFNSTMLVFGSLRTNVPASVQLFLENHGIWRHGKGHATIKHQK >ONI33047 pep chromosome:Prunus_persica_NCBIv2:G1:35472191:35476784:-1 gene:PRUPE_1G402100 transcript:ONI33047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGTFTNDTRLSTANKATALNPNAAEFVPFSLRSPSSGSTSTGQAATKFSSTGTFGKAVLDRTESSASNNSDEEAHQYWRHQLPDDITPDFKVMGEVESQGLGNLSLAGLSLHDDAEATQFPASTGSGYLLNESHINGNNFSEKLRASAPPFGDDLSSASFLHMSPKPWDKQIVNSNQLISNGQEGSPYDGFSRQGFMNDMFSEHAVVDDTDINPAEFLASQFPGFSAQSLAEVYFANGCDLNLTIEMLTQLELQVDGGFNENLNSKTVSSPDLSSMDFPALTPTDGQHSHPKYGDDDLQQSGNPYRSSDKDNMLFFKSSSSIPSRGAIDFASAVRKLAAQDSGGWKFERNGSPEAAIGSSRRSQLPSTYNTGHGRGIYGDRSQNRGSARTAPVWLETGDAVANMYSDVRDEARDHARLRNAYFEQEPSCSRDAGQWESTREDDRPARAARK >ONI33046 pep chromosome:Prunus_persica_NCBIv2:G1:35472191:35476920:-1 gene:PRUPE_1G402100 transcript:ONI33046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGTFTNDTRLSTANKATALNPNAAEFVPFSLRSPSSGSTSTGQAATKFSSTGTFGKAVLDRTESSASNNSDEEAHQYWRHQLPDDITPDFKVMGEVESQGLGNLSLAGLSLHDDAEATQFPASTGSGYLLNESHINGNNFSEKLRASAPPFGDDLSSASFLHMSPKPWDKQIVNSNQLISNGQEGSPYDGFSRQGFMNDMFSEHAVVDDTDINPAEFLASQFPGFSAQSLAEVYFANGCDLNLTIEMLTQLELQVDGGFNENLNSKTVSSPDLSSMDFPALTPTDGQHSHPKYGDDDLQQSGNPYRSSDKDNMLFFKSSSSIPSRGAIDFASAVRKLAAQDSGGWKFERNGSPEAAIGSSRRSQLPSTYNTGHGRGIYGDRSQNRGSARTAPVWLETGDAVANMYSDVRDEARDHARLRNAYFEQARQAYLIGNKALAKELSVKGQLHNMHMKEAHGKAQESIYRQRNPVAPEMQGNGRAQERMIDLHGLHVSEALHVLKHELSVLRSTARAGDPGLLVYICVGTGHHTRGSRTPARLPVAVQRYLLEEEGLDYTEPQPGLLRILLY >ONI33048 pep chromosome:Prunus_persica_NCBIv2:G1:35474099:35475670:-1 gene:PRUPE_1G402100 transcript:ONI33048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKKGTFTNDTRLSTANKATALNPNAAEFVPFSLRSPSSGSTSTGQAATKFSSTGTFGKAVLDRTESSASNNSDEEAHQYWRHQLPDDITPDFKVMGEVESQGLGNLSLAGLSLHDDAEATQFPASTGSGYLLNESHINGNNFSEKLRASAPPFGDDLSSASFLHMSPKPWDKQIVNSNQLISNGQEGSPYDGFSRQGFMNDMFSEHAVVDDTDINPAEFLASQFPGFSAQSLAEVYFANGCDLNLTIEMLTQLELQVDGGFNENLNSKTVSSPDLSSMDFPALTPTDGQHSHPKYGDDDLQQSGNPYRSSDKDNMLFFKSSSSIPSRGAIDFASAVRKLAAQDSGGWKFERNGSPEAAIGSSRRSQLPSTYNTGHGRGIYGDRSQNRGSARTAPVWLETGDAVANMYSDVRDEARDHARLRNAYFEQVSPQVCEVVFLLNVSPFFNIFITLCQQ >ONI30019 pep chromosome:Prunus_persica_NCBIv2:G1:24013089:24014550:1 gene:PRUPE_1G226700 transcript:ONI30019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQNAAREEENAEQREENAEQAEENAEQEEENAEQADENAEQEEDDAEQEEDNSEKAVENAAVKEKAKVAQEEENAEPIRSENSQIRPEFPNTRVKRIMKLDRGINKVNSEALLLVSCSAQLFLEFLAERSAEVATEKKRKIVKLEHMRVAVKRHRPTSDFLLDELPVPSQPSDHQPTDRSSSRTVSHAPAPARRDRSRSRAVSYKPAPAGTRRIDHFFRTKEIPIQTEQSLIETEEAPIETEEASIEIDEAPIETDEGPIETGEGPIETNES >ONI30017 pep chromosome:Prunus_persica_NCBIv2:G1:24013089:24015470:1 gene:PRUPE_1G226700 transcript:ONI30017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQNAAREEENAEQREENAEQAEENAEQEEENAEQADENAEQEEDDAEQEEDNSEKAVENAAVKEKAKVAQEEENAEPIRSENSQIRPEFPNTRVKRIMKLDRGINKVNSEALLLVSCSAQLFLEFLAERSAEVATEKKRKIVKLEHMRVAVKRHRPTSDFLLDELPVPSQPSDHQPTDRSSSRTVSHAPAPARRDRSRSRAVSYKPAPAGTRRIDHFFRTKEIPIQTEQSLIETEEAPIETEEASIEIDEAPIETDEGPIETDEASIETDEASIETDEASIETDEAPIVTDEGPIETGEGPIETNES >ONI30018 pep chromosome:Prunus_persica_NCBIv2:G1:24013089:24015470:1 gene:PRUPE_1G226700 transcript:ONI30018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQNAAREEENAEQREENAEQAEENAEQEEENAEQADENAEQEEDDAEQEEDNSEKAVENAAVKEKAKVAQEEENAEPIRSENSQIRPEFPNTRVKRIMKLDRGINKVNSEALLLVSCSAQLFLEFLAERSAEVATEKKRKIVKLEHMRVAVKRHRPTSDFLLDELPVPSQPSDHQPTDRSSSRTVSHAPAPARRDRSRSRAVSYKPAPAGTRRIDHFFRTKEIPIQTEQSLIETEEAPIETEEASIEIDEAPIETDEGPIETGEGPIETNES >ONI32469 pep chromosome:Prunus_persica_NCBIv2:G1:33753717:33755357:-1 gene:PRUPE_1G369700 transcript:ONI32469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHPNLILAFLFFFLFHFLLISLTHAAGGKWLLLQQNIGISAMHMQLLHNDRVVLFDRTDFGKSNLSLPEGQCRNDPNDTVLKVDCTAHSAEYDAPTNSFRPLMVQTDVWCSSGSVAQDGSLIQTGGFNDGERRVRIFQSCTGCDWKEYDNGLAARRWYATNHILPDGRQIIIGGRRQFNYEFYPKSESSSNVHSLPFLVQTNDPKVENNLYPFVFLNVDGNLFIFANNRAILFDYVKNVVVKTYPQIPGGEPRSYPSTGSAVLLPLKNLQAQFVEAEVLVCGGAPKGSYAKAVNGTFVEALKTCGRIKITDPNPQWVVETMPQARVMGDMTLLPDGTVLIINGAAVGTAGWEFGRNPVLNPVIYRPDNAVGSRFEQQNPTTIPRMYHSTAVLLRDGRVLVGGSNPHIYYEFTNVLFPTELRLESFNPDYLDAKSVNLRPKIVAPQSQAKINYGQKLAVRFSVAGTVAPNLVLVTMVAPSFTTHSFSMNQRLLVLAAESATNVAKSTYQVQVTTPGSGNLAPSGYYLLYVVHQQIPSEGIWVQIL >ONI26816 pep chromosome:Prunus_persica_NCBIv2:G1:3364649:3367787:-1 gene:PRUPE_1G047600 transcript:ONI26816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYFSYVIPSVGFGESRSGKHQRSLSVSNVDFESQDESLHRYVECRSPGENKETENNRESDYDHVSGGSTSGSEVFEEATDQHSPQKHLPYLMDDSVFISSDLHEFLLSSLPNIVKGCQWVLLYSTLKHGISLRTLIRKSSDLSGPCLLIVGDRQGAVFGGLLEGPLKPTAKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYMCLNDMLALGGGGNYALCLDGDLLSGTSGPCETFGNLCLAHNSEFELKNVELWGFTHASRYLP >ONI26813 pep chromosome:Prunus_persica_NCBIv2:G1:3364655:3367640:-1 gene:PRUPE_1G047600 transcript:ONI26813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLKEKVTERLSRLFAVSPDSSSSSSLPPSPDHHHPGARPDSKGGKSMSSYFSYVIPSVGFGESRSGKHQRSLSVSNVDFESQDESLHRYVECRSPGENKETENNRESDYDHVSGGSTSGSEVFEEATDQHSPQKHLPYLMDDSVFISSDLHEFLLSSLPNIVKGCQWVLLYSTLKHGISLRTLIRKSSDLSGPCLLIVGDRQGAVFGGLLEGPLKPTAKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYMCLNDMLALGGGGNYALCLDGDLLSGTSGPCETFGNLCLAHNSEFELKNVEVMCLSNLFAFKSLGFYTCVAVPSLI >ONI26814 pep chromosome:Prunus_persica_NCBIv2:G1:3364649:3367761:-1 gene:PRUPE_1G047600 transcript:ONI26814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLKEKVTERLSRLFAVSPDSSSSSSLPPSPDHHHPGARPDSKGGKSMSSYFSYVIPSVGFGESRSGENKETENNRESDYDHVSGGSTSGSEVFEEATDQHSPQKHLPYLMDDSVFISSDLHEFLLSSLPNIVKGCQWVLLYSTLKHGISLRTLIRKSSDLSGPCLLIVGDRQGAVFGGLLEGPLKPTAKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYMCLNDMLALGGGGNYALCLDGDLLSGTSGPCETFGNLCLAHNSEFELKNVELWGFTHASRYLP >ONI26815 pep chromosome:Prunus_persica_NCBIv2:G1:3364488:3367788:-1 gene:PRUPE_1G047600 transcript:ONI26815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLKEKVTERLSRLFAVSPDSSSSSSLPPSPDHHHPGARPDSKGGKSMSSYFSYVIPSVGFGESRSGKHQRSLSVSNVDFESQDESLHRYVECRSPGENKETENNRESDYDHVSGGSTSGSEVFEEATDQHSPQKHLPYLMDDSVFISSDLHEFLLSSLPNIVKGCQWVLLYSTLKHGISLRTLIRKSSDLSGPCLLIVGDRQGAVFGGLLEGPLKPTAKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYMCLNDMLALGGGGNYALCLDGDLLSGTSGPCETFGNLCLAHNSEFELKNVELWGFTHASRYLP >ONI31100 pep chromosome:Prunus_persica_NCBIv2:G1:29058661:29061309:1 gene:PRUPE_1G292600 transcript:ONI31100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQGIKGPSYRLIHGNTKEIFNMKMETMGSPRNLSDDVLSAVQPHIRSWTKIYGKNYLQWHGSQAQLVIMEPQLCKEILSNKDGAYPKREPEGYVKKLVGDSMGTTNQADKWSKVRKLANQAFHGESLKSMIPAMIASAETMLDGWKNHEGKEIEVYEQFRLFTSEVISRTAFSSSYLEGKSFFDNLMKLSFLLVKNSFKVRFPGISKIFKSSDDIESEKLDKAMRDFIIAIVKKREKAATTGEENKFGNDYLGLLLKAHLDTNDLVDECKTFYFAGQETSNGLLAWIIFLLALHTDWQEEARKEVLQLFGKQNPTHDDISKLKTMSMIINESLRLYPSVLSIDRKVTREVKLGRLVVPANVELLISCLALHHEPEFWGDDVHLFKPDRFSEGVAKATNNNIAAFLPFGMGPRTCVGFNFATIEAKIALSMILQRYQFTLSPGYVHSPVDYLTIRPQHGVQVMLHKL >ONI29788 pep chromosome:Prunus_persica_NCBIv2:G1:22795024:22800359:-1 gene:PRUPE_1G215000 transcript:ONI29788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRGKASKGKGLPLSHDDGSKKIGKSLTKKKKKSRKISASSMPTPAPAPVLNSGPSASNVAHATSSSHANPTIREKVRPEKNEKDSGQSHSGFIFMCNGKTKPECYRYRVFGLPLGKVEVVKKITPGTKLFLYDFDLKLLYGTYKATSNGGLDLEPIAFKGKFPAQVRFKIFQDCLPLPENAFKVAIKDNYQGGSKFKQELNNKQVHALISLFRPITASVPTPAAPLPDVARSGSFRSPITEEEYHPTAKLCLQKGSYLPGVQCTHELPIYMQSKQVVRYPLYDKYEAETHVAHAQPPTEPRHVVQRASLPHHADSYYLAAEHEPYLPEQPFLSYQDAYRRYGVTLEDEMVPRDQVVTYRSVYDRSQLRQGRERDVFAHSDYAAESYSRELPTAAASRVMLQSHSLAPSYEWPSTYQPYYQVTAHQDQSWVYADPLQRPLHGSSNSVEGNVPISSRFSCTGSALYH >ONI29789 pep chromosome:Prunus_persica_NCBIv2:G1:22795164:22799258:-1 gene:PRUPE_1G215000 transcript:ONI29789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRGKASKGKGLPLSHDDGSKKIGKSLTKKKKKSRKISASSMPTPAPAPVLNSGPSASNVAHATSSSHANPTIREKVRPEKNEKDSGQSHSGFIFMCNGKTKPECYRYRVFGLPLGKVEVVKKITPGTKLFLYDFDLKLLYGTYKATSNGGLDLEPIAFKGKFPAQVRFKIFQDCLPLPENAFKVAIKDNYQGGSKFKQELNNKQVHALISLFRPITASVPTPAAPLPDVARSGSFRSPITEEEYHPTAKLCLQKGSYLPGVQCTHELPIYMQSKQVVRYPLYDKYEAETHVAHAQPPTEPRHVVQRASLPHHADSYYLAAEHEPYLPEQPFLSYQDAYRRYGVTLEDEMVPRDQVVTYRSVYDRSQLRQGRERDVFAHSDYAAESYSRELPTAAASRVMLQSHSLAPSYEWPSTYQPYYQVTAHQDQSWVYADPLQRPLHGSSNSVEGNVPISSRFSCTGSALYH >ONI33553 pep chromosome:Prunus_persica_NCBIv2:G1:36986464:36987747:1 gene:PRUPE_1G431900 transcript:ONI33553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLCNPIHTFPYKPFPLFSLHSSRSPFFNSKRSFQPQPIITLSTRTRSYVAIKAAANSEIDMVQNKQGIYTPKQKKVVILWDLDNKPPRGPPYQAAMALKQVAQRFGEVVESSAYANRHAFDHLPQWVIEQRRERKQLDILERKGVVVPSEPYICGVCGRKCKSNLDLKKHFKQLHERERQKKLNRMRSLKGKKRQRFKERFVSGNHKYNEAARSLIKPKVGYGLASELRRAGVFVKTVEDKPQAADWALKRQMQHSMSRGIDWLFLVSDDSDFSEMLRKAREANLGTVVVGDFDRALGRHADVWVPWIGVENGEVSEKDLVPKRRRSEFFDEDDDDKGDGLFSHSVFDGGSELDNVVDELVVSRPLSSGMRISAFSEGELEEGDWVEDDGDSEDSEDYLLDSEDEEDGFF >ONI28559 pep chromosome:Prunus_persica_NCBIv2:G1:11606480:11611048:-1 gene:PRUPE_1G147900 transcript:ONI28559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHDQINTFLPDELIIEMFGRLDSKPSRDACSLVCKRWLALERLSRTTLRICATGSPDLVVDLLAGRFRNVRTIHIDERLNISLPCQPPGRRRRTDIAAVSSVKLHCASEKNGSPDAGSDSCSLSDAGLTAIGEGFPKLEKLSLIWCSNVSSLGLISLAEKCILLKSLDLQGCYVGDQGLAAVGQSCKQLEDLNLRFCEGLTDACVVELALGVGKSLKSLEFIHNKGVVAVAQGCPALKSMKLQCINVTDEALSAVGTSCPSLEVLALYSFQRFTDKGLSAIGNGCKKLKNLILSDCYFLSDNALESIATGCKELTHLEVNGCHNIGTMGLESIGKSCPRLTELALLYCQRIGNFALSEVGRGCQFLQALHLVDCSSIGDEAICSIAKGCRNLKKLHIRRCYEIGNTGIVAVGENCRSLTDLSLRFCDRVGDEALIAVAQCSSLQYLNVSGCHQIGDAGLIAIARGCPQLSYLDVSVLQNLGDMAMAELGEGCPNLKDIVLSHCRQITDVGINHLVKHCTMLASCHMVYCPGITSSGVATVVSSCPDIKKVLVEKCKVSSRTKRRAASVISYLCVDL >ONI28560 pep chromosome:Prunus_persica_NCBIv2:G1:11606880:11610797:-1 gene:PRUPE_1G147900 transcript:ONI28560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHDQINTFLPDELIIEMFGRLDSKPSRDACSLVCKRWLALERLSRTTLRICATGSPDLVVDLLAGRFRNVRTIHIDERLNISLPCQPPGRRRRTDIAAVSSVKLHCASEKNGSPDAGSDSCSLSDAGLTAIGEGFPKLEKLSLIWCSNVSSLGLISLAEKCILLKSLDLQGCYVGDQGLAAVGQSCKQLEDLNLRFCEGLTDACVVELALGVGKSLKSLGIAACAKITDTAMEAVGLHCKSLKNLSLDAEFIHNKGVVAVAQGCPALKSMKLQCINVTDEALSAVGTSCPSLEVLALYSFQRFTDKGLSAIGNGCKKLKNLILSDCYFLSDNALESIATGCKELTHLEVNGCHNIGTMGLESIGKSCPRLTELALLYCQRIGNFALSEVGRGCQFLQALHLVDCSSIGDEAICSIAKGCRNLKKLHIRRCYEIGNTGIVAVGENCRSLTDLSLRFCDRVGDEALIAVAQCSSLQYLNVSGCHQIGDAGLIAIARGCPQLSYLDVSVLQNLGDMAMAELGEGCPNLKDIVLSHCRQITDVGINHLVKHCTMLASCHMVYCPGITSSGVATVVSSCPDIKKVLVEKCKVSSRTKRRAASVISYLCVDL >ONI28884 pep chromosome:Prunus_persica_NCBIv2:G1:13538898:13542404:-1 gene:PRUPE_1G166700 transcript:ONI28884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSILSEVFLAICSNNCTHLLLEKPVLLFCCQSLSYYLVKTRCKSGNLGLDEALGHFDSVIQMKPIPSIWAINHLFGALSKMNQCSTVVSMYKQMLACVGLHPEVHTLSVVINCLCRMNRVDLGFSVLATILKHGLQPNAYTLNALLHGVCKYRSLSEAMELLQKIEEKGLACCEITYATIINGLCRAGKTCMALEILEQMYEDGRFKPDPQCYNPIIDRLCKERRTDEALTLFRDMINKNVAPDIVSYTSLIYGLCNMGLWTRALALFEMMNKKGRKPDVVTFNSIISAACKSGKWEEAVRLFRNMIDCGAFPNIVTFNSVLDALCKEGKTAEALNLMEEILCHSAQWKEATRLFNRMLDEGIAPDVVTFNTVIHALCKERRAEEALSVLELMSQRGMRLNIFTYNSLIYGMCLTDQWAEATRLFDEMVVQGVLPDIITLTILLDALFQGGMPEEAHKVVEARVKYGMELSKITCSMLIDGYCIRGKMDKAKKVIDLMVIKDHVPDIASCYKALVNGYMQAKRISEALRLVEEMIEKGVMPDLETLKALRDLRPKRHVVSAE >ONI32332 pep chromosome:Prunus_persica_NCBIv2:G1:33294360:33296561:-1 gene:PRUPE_1G361800 transcript:ONI32332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGHNMFRQRQWSHVPVTTSNAICIFLSYINIFFLLFLCIVSNTTETAATTVKLPGNVSVPAVIIFGDSIVDTGNNNNNFKTLARCNFLPYGKDLKGGMPTGRFSNGKVPSDLIVEAFGIKELLPAYLDPTLQPEDLLTGVVIAAGGAGYDPLTAQLAGVASLSDQLKQFQEYVEKLKGIAGEERTNFIIANCLIFVVAGSNDISNTYFLSGARKLEYDVPSYTDFMLNYASQYVKDLYGLGARRIGVLNAPPIGCVPSQRTIGGGVLRECDDKQNQASQLFNFKLSAEMDGLNKILPNSRVVYIEIYNPLLDLIINPTKYGFEVVNKGCCGSGIIEVTKLCNQFQPAGTCSDDSKYVFWDSYHPTESAYKIIVQQMLDKYANRFF >ONI32333 pep chromosome:Prunus_persica_NCBIv2:G1:33295162:33296447:-1 gene:PRUPE_1G361800 transcript:ONI32333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGHNMFRQRQWSHVPVTTSNAICIFLSYINIFFLLFLCIVSNTTETAATTVKLPGNVSVPAVIIFGDSIVDTGNNNNNFKTLARCNFLPYGKDLKGGMPTGRFSNGKVPSDLIVEAFGIKELLPAYLDPTLQPEDLLTGVVIAAGGAGYDPLTAQLAGVASLSDQLKQFQEYVEKLKGIAGEERTNFIIANCLIFVVAGSNDISNTYFLSGARKLEYDVPSYTDFMLNYASQYVKLGLIWPGGTKNRCTQCATHRMCAISTNDWRRRAKRM >ONI26453 pep chromosome:Prunus_persica_NCBIv2:G1:1787622:1788483:1 gene:PRUPE_1G025900 transcript:ONI26453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFNCMLATRDCHYKITHIEIVPTLLSKNPALLGLAPYLMLEWESESKNRALLGFAPNLMFELELANPATSSSEMLVLILAWPVLFTPNLLLASPFK >ONI32784 pep chromosome:Prunus_persica_NCBIv2:G1:34528818:34531152:1 gene:PRUPE_1G385400 transcript:ONI32784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKPTKLWIWHVRQSRGMGVAFVECMSFLLVLSSLECGLLSSVLCFLADHSSWILGLYIVSLLLRLGRMGMLLRGVWYFLVYGLFLLDFIRYDSSKKICAATFVS >ONI27435 pep chromosome:Prunus_persica_NCBIv2:G1:6403487:6405173:1 gene:PRUPE_1G086100 transcript:ONI27435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIFPLVSSKYIEAILQHTNPFFSKRPIPFSSFPSSIHPKMEDDNYIDHLHYEDKSEEEDAEEALSLCDLPLDNTHDQVHEFHEMSSKHLQARRSSSDQLFEFFSDISSDSFMCSAEDIIVCGKLIPFKQHMTEVPNAPPNPNDSHKNKQPTFRRRSESLSELQSSVTRSCSSKNQIMMRNSRSLDYRKLHRQSSMVSPTPGEMERNSSVRSVGKSDKVKSGNNKPRWFFLMFGIVKFPAEMDLSDIKNRQIRRNSSTTMFPREAFAGKFPDNRSSGKGSWRLLKALSCKDHASVAVTTPFCTQV >ONI27622 pep chromosome:Prunus_persica_NCBIv2:G1:7733938:7740581:1 gene:PRUPE_1G097200 transcript:ONI27622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSVMGNSFGCSASGERLVSAARDGDLQEAKALLECNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLLESGVDINLRNYRGQTALMQACQHGHWEVVQTLVLSKATIHRADYLNGGIALHFAALNGHSRCIRLLLVDYIPSTPDFWSTLRNASSNEESIAEFNHDVLVQIINRPADGGITALHMAALNGHVESVQLLLDLGASVFKVTVQDGTTIDLIGAGSTALHYAACGGNAQCCQILISRGASLNAENANGWTPLMVARSWHRDWLEEILSTEQQVQSQILPSPYLSLPLMSIVKIARECGWRNSDSLSTCQDPCVVCLEQQCTVAAEGCDHEFCTRCALYLCSTNCTTTVSHGPPGSIACPLCRSGIVSFVKLRGTRSVVKENPRTSLSLSLCSCSAEEPDSASITTPLCKPEFRCSGKSPLGSFRSLSCQKFPSFKINYNLCMGAPNINPCLVPSSGNRKLRNHLARCSRSGFRRSASQPEARRSWFSALNQNVTTGSGC >ONI27620 pep chromosome:Prunus_persica_NCBIv2:G1:7733937:7740581:1 gene:PRUPE_1G097200 transcript:ONI27620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSVMGNSFGCSASGERLVSAARDGDLQEAKALLECNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLLESGVDINLRNYRGQTALMQACQHGHWEVVQTLVLSKATVRLLLPTQFFQCSFDIDRVKKYDKFWKTGFQIHRADYLNGGIALHFAALNGHSRCIRLLLVDYIPSTPDFWSTLRNASSNEESIAEFNHDVLVQIINRPADGGITALHMAALNGHVESVQLLLDLGASVFKVTVQDGTTIDLIGAGSTALHYAACGGNAQCCQILISRGASLNAENANGWTPLMVARSWHRDWLEEILSTEQQVQSQILPSPYLSLPLMSIVKIARECGWRNSDSLSTCQDPCVVCLEQQCTVAAEGCDHEFCTRCALYLCSTNCTTTVSHGPPGSIACPLCRSGIVSFVKLRGTRSVVKENPRTSLSLSLCSCSAEEPDSASITTPLCKPEFRCSGKSPLGSFRSLSCQKFPSFKINYNLCMGAPNINPCLVPSSGNRKLRNHLARCSRSGFRRSASQPEARRSWFSALNQNVTTGSGC >ONI27623 pep chromosome:Prunus_persica_NCBIv2:G1:7733938:7740582:1 gene:PRUPE_1G097200 transcript:ONI27623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACQHGHWEVVQTLVLSKATIHRADYLNGGIALHFAALNGHSRCIRLLLVDYIPSTPDFWSTLRNASSNEESIAEFNHDVLVQIINRPADGGITALHMAALNGHVESVQLLLDLGASVFKVTVQDGTTIDLIGAGSTALHYAACGGNAQCCQILISRGASLNAENANGWTPLMVARSWHRDWLEEILSTEQQVQSQILPSPYLSLPLMSIVKIARECGWRNSDSLSTCQDPCVVCLEQQCTVAAEGCDHEFCTRCALYLCSTNCTTTVSHGPPGSIACPLCRSGIVSFVKLRGTRSVVKENPRTSLSLSLCSCSAEEPDSASITTPLCKPEFRCSGKSPLGSFRSLSCQKFPSFKINYNLCMGAPNINPCLVPSSGNRKLRNHLARCSRSGFRRSASQPEARRSWFSALNQNVTTGSGC >ONI27621 pep chromosome:Prunus_persica_NCBIv2:G1:7733938:7740581:1 gene:PRUPE_1G097200 transcript:ONI27621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSVMGNSFGCSASGERLVSAARDGDLQEAKALLECNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLLESGVDINLRNYRGQTALMQACQHGHWEVVQTLVLSKATIHRADYLNGGIALHFAALNGHSRCIRLLLVDYIPSTPDFWSTLRNASSNEESIAEFNHDVLVQIINRPADGGITALHMAALNGHVESVQLLLDLGASVFKVTVQDGTTIDLIGAGSTALHYAACGGNAQCCQILISRGASLNAENANGWTPLMVARSWHRDWLEEILSTEQQVQSQILPSPYLSLPLMSIVKIARECGWRNSDSLSTCQDPCVVCLEQQCTVAAEDWYYICACSYDAVDQVLLHYFVLCLGCDHEFCTRCALYLCSTNCTTTVSHGPPGSIACPLCRSGIVSFVKLRGTRSVVKENPRTSLSLSLCSCSAEEPDSASITTPLCKPEFRCSGKSPLGSFRSLSCQKFPSFKINYNLCMGAPNINPCLVPSSGNRKLRNHLARCSRSGFRRSASQPEARRSWFSALNQNVTTGSGC >ONI26557 pep chromosome:Prunus_persica_NCBIv2:G1:2211749:2213165:-1 gene:PRUPE_1G031000 transcript:ONI26557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISLTSITSIICMSKCLTNWMMRQTKIKNKKTIFIWVCFQMQPLCIFYIFLLSPLKNSDRGLCENMTE >ONI28738 pep chromosome:Prunus_persica_NCBIv2:G1:12563082:12563313:1 gene:PRUPE_1G158600 transcript:ONI28738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTLFLSLFHIKSLIKFNPTYALYTSVFLDVTLCEVWLDFSLLSHSCLLSRYFPICNDANHLFYI >ONI34384 pep chromosome:Prunus_persica_NCBIv2:G1:40096819:40099531:-1 gene:PRUPE_1G479700 transcript:ONI34384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDILISFFIFCIASSQLLGIVYSKTTFDVVKFGAVGDGQTDDSEAIVNAWKALCGAAAAGDDVPTLTVPGGKTFLLQPSEFEGPCKSKSVHIQVSGNLMAPKTPDAWKVCPSYSWLSFRNVDNLILDGSGKIDGQGSAWWSKALQFHRCDHLRLSGLTHINSPRSHIGIGTSNDVDISHLTIIAPDESPNTDGINVANSTYVYIHDSKIGTGDDCIAISSGASNVRIAKIACGPGHGISVGSLGANGAYAAVENVYVRDCSFNGTQNGARIKTWPGGSGYARNITFENITLTATKNPIIIDQQYCNGAHDCAKQSKAVLVSNVRFTDFRGTCGNEEAIKLDCNHISGCQNIELVKINITSTVPSKKVYASCNNAKGNSGSTVPTVSCLE >ONI30932 pep chromosome:Prunus_persica_NCBIv2:G1:28515255:28517051:-1 gene:PRUPE_1G282400 transcript:ONI30932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEIDPPNSSEQQPKAEDLFKAAEAGDASVFKSLCQKQLAEALSLRNDDARSVLHVAVSSSNSEVVKVLLAADESKNVINSVDEDGWSPLHSAASIGNSGIVELLLSKGADVNLKNGGGRSALHYAASKGWLEIAQILISHGAKVNLKDKVGCTPLHRAASTAKSQLCELLIEEGAEVDAVDRLGQTPLMSAVICYNQEVSLLLIRHGADVDVEDKEGYTVLGRASKEFRPLLIDAAKAMREG >ONI27996 pep chromosome:Prunus_persica_NCBIv2:G1:9150816:9152940:-1 gene:PRUPE_1G115900 transcript:ONI27996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESTVASKKIFESTVTTVQNPSNHQRVLKENGTIMAPSSSSSVTPKTTTSKPNVFKRFDVVSDHSDHHYVNNKNGCGCGGRVQKKIMQEWKILEKHLPDSIYVRAYETRIDLLRAVVVGASGTPYHDALFFFDIAFPSDYPTHPPEVHYRSFGLRPNPNLYASGYVCLSLLNTWPGKGKEKWDPSQSTILQVLVSIQGLVLNKEPYFNEPGTGNRFGCEASRAYNEKVFVLTCKTTLYLLSRAPLNFKAFTASFYRQRAGMILRACRAYANGRVVVGHYKDEDAGVAVTKVKRKFVASMVELYPQLFNAFQGTGASLEGLLEHLKVETRYPAPAKPRGKCIVKRAFDRLKTILGLGGSKKKTKEGEENENSNNKNDDGTKGNAGVAADAAAAAD >ONI30738 pep chromosome:Prunus_persica_NCBIv2:G1:27790298:27794511:-1 gene:PRUPE_1G270700 transcript:ONI30738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLDSSAKVDTAQSSFGSGVSKISSKTSPSSASPSLTIPSSGERSNGSSLPTPRTEGEILSSPNLKAFSFNELKNATRNFRPDSLLGEGGFGYVFKGWINEHTLTAAKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLYHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLSWATRMKVAIGAARGLSFLHEAESQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTQGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQNLVDWTKPYLGDRRKLFRIMDTKLEGQYPQKAAFTAATLASQCLCAESKLRPTMSVVLATLEQLEAPKTAARNSQSEQQTLSVPHRKSPMRQHHSPLNITPSASPLLSHRQSPRVR >ONI30739 pep chromosome:Prunus_persica_NCBIv2:G1:27790586:27794204:-1 gene:PRUPE_1G270700 transcript:ONI30739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLDSSAKVDTAQSSFGTLGSGVSKISSKTSPSSASPSLTIPSSGERSNGSSLPTPRTEGEILSSPNLKAFSFNELKNATRNFRPDSLLGEGGFGYVFKGWINEHTLTAAKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLYHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLSWATRMKVAIGAARGLSFLHEAESQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTQGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQNLVDWTKPYLGDRRKLFRIMDTKLEGQYPQKAAFTAATLASQCLCAESKLRPTMSVVLATLEQLEAPKTAARNSQSEQQTLSVPHRKSPMRQHHSPLNITPSASPLLSHRQSPRVR >ONI35050 pep chromosome:Prunus_persica_NCBIv2:G1:42170370:42172059:-1 gene:PRUPE_1G512200 transcript:ONI35050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGKRPRPPMKRTTSMSEITFDLNTISTEAAQSQPSDPNNPFNPLAFWGGGGGGGGLDGLDQRLMMKMASPSPSSPSPGSHRRNSADFVETAHFLRACGLCKRRLVPGRDIYMYRGDTAFCSLECRQQQMNLDERNDKCSSTAGRQVSTKGETVAAL >ONI32931 pep chromosome:Prunus_persica_NCBIv2:G1:35068590:35068808:1 gene:PRUPE_1G394500 transcript:ONI32931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPSNAQSPTDNKEMQSTVAHTTCAQMAAPQSIARSHPQATRRMLTTVIHTTRVNGSTQTNCSQSPTARG >ONI29456 pep chromosome:Prunus_persica_NCBIv2:G1:19270496:19271845:-1 gene:PRUPE_1G199300 transcript:ONI29456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISLFSTNNKEANFLQQYSNQIKINGLAAIDMQSTNQPQNSHTSTSRSSGSSEPCPAGTKWASRLLMECARAVSEKDSSKINHLLWMLNELASPYGDCEQKLASYFLQALFCKATDSGLRCYKTLTSVAEKSHSFDSARKLILKFQEVSPWTTFGHVASNGAILEALDGETKLHIIDISNTLCTQWPTLLEALATRNDETPHLKLTVVVTANIVKSVMKEIGQRMEKFARLMGVPFEINVISGLNNLGELTKEDLGVQEDEAIAVNCIGALRRIEVEERGAVIRMFQSLRPRVVTVVEEEADLFSSSVNHDFVKCFEECLRFYTLYFDMLEESFVPTSNERLMLERECSRSIVRVLGCDHHDHDDKNGGGECERRERGSQWSERLKEAFSPFGFSDDVVDDVKALLKRYRAGWALVLPPQGEDIDQTGVYLTWKEEPAVWASIWKPSN >ONI28148 pep chromosome:Prunus_persica_NCBIv2:G1:9956943:9958581:1 gene:PRUPE_1G126500 transcript:ONI28148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTCSMCYSNLQIPKLGLQSPSLGLTSLGRRATITTISQPLLECFRSIQYSDAPAKILDNQFLKHFVKVFGLDLETTGLSRKEGRIVEIAVRDLHGGKNSCFQTLVNPEQHVPNSHIHGITTDMVTHSGVPRMAELIPILVEYIKSRQVPGGHVILAAHNARCFDVPFLLKEFSCCSFDVPPDWLFLDTLALARELRKLHGSKALPKISLQGLREFYRIPLKGSNHRAMSDVNVLSSILPNMTFDLKLGVDDLLQRTFKASACNITRIRT >ONI28147 pep chromosome:Prunus_persica_NCBIv2:G1:9956954:9958567:1 gene:PRUPE_1G126500 transcript:ONI28147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTCSMCYSNLQIPKLGLQSPSLGLTSLGRRATITTISQPLLECFRSIQYSDAPAKILDNQFLKHFVKVFGLDLETTGLSRKEGRIVEIAVRDLHGGKNSCFQTLVNPEQHVPNSHIHGITTDMVTHSGVPRMAELIPILVEYIKSRQVPGGHVILAAHNARCFDVPFLLKEFSCCSFDVPPDWLFLDTLALARELRKLHGSKALPKISLQGLREFYRIPLKGSNHRAMSDVNVLSSILPNMTFDLKLGVDDLLQRTFKASACNITRIRT >ONI27465 pep chromosome:Prunus_persica_NCBIv2:G1:6641453:6643084:1 gene:PRUPE_1G088800 transcript:ONI27465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMLLLVSSILVCYAGNLNGDFDITWGDGRGKILNNGQLLTLSLDRASGSGFQSKHEYLFGKIDMQIKLVPGNSAGTVTTYYLSSKRSTWDEIDLEFLGNLSGDPYILHTNIYTQGKGDREQQFYLWFDPTSNFHTYSILWNPQRIILSVDGTPIREFKNLEASIGVPFPKSQPMRIFSSLWNADDWATRGGLVKTDWSQAPFTASYQNFNANACVWSSGASSCSSSSSSTSRPTSKSWLKEVLDTSKQERLKWVQKNYMIYNYCIDIKRFPQGLPPECRIKL >ONI33697 pep chromosome:Prunus_persica_NCBIv2:G1:37474346:37475712:1 gene:PRUPE_1G441300 transcript:ONI33697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVIPTRTAMDFDFNSARSSPCVTAPSTPRRFGEFYMSAPTSPTRMSDFYREFEEFSNSNWEDDEPPTHEGATPKSPKSPKDEFGFEDFAFDVSEEVERTSLSAEELFDGGVIRPFKPPPATNTPRTPSFFRQVLSPRWKKGKDHPLGPSSTTQMDNTTKRSDQHQRGRDRTPAALSSSVSGHRATRSLSPLRVSEYQWEEEEKQQQQQQQQNNRQLAPKAMFSPSAAASASKASKKWKLRDFLLFRSASEGRATDKDPFRKYSNLFKKNEDVKNSSFRSIDSPAATSSRRRGPVSAHELHYTMNKAVSNDMKKKTFLPYKQGILGRLAFNPAVSALANGFGSLSRS >ONI33318 pep chromosome:Prunus_persica_NCBIv2:G1:36163719:36168449:1 gene:PRUPE_1G416600 transcript:ONI33318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLRAWCFRSQVSKSNQQNHPKRSKEEAMENQKQTDNGADHKEKLVNSSSSHATNIILNHDFSGGLHSWHPNCCDGFVVSADSGHPEAKSAGNNYAVVNNRKECWQGLEQDITGRISPGSTYVVSACVGVSGPLQGSADVLATLKLEYQGSATNFLLIGRISVSNGRWETLDGKFSLSTMPDRVVFYLEGPSPGVDILIKSVVISSSSPKECQNGSSGNVNLGDENIILNPKFDDGLNNWSGRGCKIVLHDSMGDGKIVPQTGKVFASATERTQSWNGIQQDVTGRLQRKLAYEATAVVRIFGNNVTSSDVRATLWVQSPNQREQYIGIANVQATDKDWAQLQGKFLLNGSPSKVVVYLEGPPAGTDILLNSFVVKHAERVPPSPPPVIENPAFGVNIIENSNLSKGTNGWFPLGNCTLSVGTGSPHILPPMARDGLGPHEPLSGRYILVTKRTQTWMGPAQMIGDKLKLFLTYQVSAWVRIGAGATGPQNVNIALGVDNQWVNGGQVEASDNRWHEIGGSFRIEKQPSKVMVYVQGPAPGVDLMVAGVQIFPVDRQARFKYLKRQTDKIRKRDVVLKFSGLDSSSLLGCFVKVKQTKNSFPFGTCISRTNIDNEDFVDFFVKNFNWAVFGNELKWYWTEPQKGNFNYKDADELVDLCKSHNIDIRGHCIFWEVVDTVQQWIRSLSQNDLATAVQSRLTDLLTRYKGKFMHYDVNNEMLHGSFYQDKLGKDIRAKMFKSANQLDPSATLFVNDYHVEDGCDTRSSPERYIEHILDLQQQGAPVGGIGIQGHIDSPVGPIVCSALDKLGILGLPIWFTELDVSSVNEHVRADDLEVMLREGFANPAVEGIMMWGFWELFMSRQNSHLVNAEGDVNEAGKRYLELKKEWLSQAHGHIDEQGEFIFRGFQGTYNIEIATAPKKLVKTFVVGQGESPVEVPIAL >ONI33320 pep chromosome:Prunus_persica_NCBIv2:G1:36164768:36168445:1 gene:PRUPE_1G416600 transcript:ONI33320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRVVFYLEGPSPGVDILIKSVVISSSSPKECQNGSSGNVNLGDENIILNPKFDDGLNNWSGRGCKIVLHDSMGDGKIVPQTGKVFASATERTQSWNGIQQDVTGRLQRKLAYEATAVVRIFGNNVTSSDVRATLWVQSPNQREQYIGIANVQATDKDWAQLQGKFLLNGSPSKVVVYLEGPPAGTDILLNSFVVKHAERVPPSPPPVIENPAFGVNIIENSNLSKGTNGWFPLGNCTLSVGTGSPHILPPMARDGLGPHEPLSGRYILVTKRTQTWMGPAQMIGDKLKLFLTYQVSAWVRIGAGATGPQNVNIALGVDNQWVNGGQVEASDNRWHEIGGSFRIEKQPSKVMVYVQGPAPGVDLMVAGVQIFPVDRQARFKYLKRQTDKIRKRDVVLKFSGLDSSSLLGCFVKVKQTKNSFPFGTCISRTNIDNEDFVDFFVKNFNWAVFGNELKWYWTEPQKGNFNYKDADELVDLCKSHNIDIRGHCIFWEVVDTVQQWIRSLSQNDLATAVQSRLTDLLTRYKGKFMHYDVNNEMLHGSFYQDKLGKDIRAKMFKSANQLDPSATLFVNDYHVEDGCDTRSSPERYIEHILDLQQQGAPVGGIGIQGHIDSPVGPIVCSALDKLGILGLPIWFTELDVSSVNEHVRADDLEVMLREGFANPAVEGIMMWGFWELFMSRQNSHLVNAEGDVNEAGKRYLELKKEWLSQAHGHIDEQGEFIFRGFQGTYNIEIATAPKKLVKTFVVGQGESPVEVPIAL >ONI33319 pep chromosome:Prunus_persica_NCBIv2:G1:36163828:36168445:1 gene:PRUPE_1G416600 transcript:ONI33319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLRAWCFRSQVSKSNQQNHPKRSKEEAMENQKQTDNGADHKEKLVNSSSSHATNIILNHDFSGGLHSWHPNCCDGFVVSADSGHPEAKSAGNNYAVVNNRKECWQGLEQDITGRISPGSTYVVSACVGVSGPLQGSADVLATLKLEYQGSATNFLLIGRISVSNGRWETLDGKFSLSTMPDRVVFYLEGPSPGVDILIKSVVISSSSPKECQNGSSGNVNLGDENIILNPKFDDGLNNWSGRGCKIVLHDSMGDGKIVPQTGKVFASATERTQSWNGIQQDVTGRLQRKLAYEATAVVRIFGNNVTSSDVRATLWVQSPNQREQYIGIANVQATDKDWAQLQGKFLLNGSPSKVVVYLEGPPAGTDILLNSFVVKHAERVPPSPPPVIENPAFGVNIIENSNLSKGTNGWFPLGNCTLSVGTGSPHILPPMARDGLGPHEPLSGRYILVTKRTQTWMGPAQMIGDKLKLFLTYQVSAWVRIGAGATGPQNVNIALGVDNQWVNGGQVEASDNRWHEIGGSFRIEKQPSKVMVYVQGPAPGVDLMVAGVQIFPVDRQARFKYLKRQTDKIRKRDVVLKFSGLDSSSLLGCFVKVKQTKNSFPFGTCISRTNIDNEDFVDFFVKNFNWAVFGNELKWYWTEPQKGNFNYKDADELVDLCKSHNIDIRGHCIFWEVVDTVQQWIRSLSQNDLATAVQSRLTDLLTRYKGKFMHYDVNNEMLHGSFYQDKLGKDIRAKMFKSANQLDPSATLFVNDYHVEDGCDTRSSPERYIEHILDLQQQGAPVGGIGIQGHIDSPVGPIVCSALDKLGILGLPIWFTELDVSSVNEHVRADDLEVMLREGFANPAVEGIMMWGFWELFMSRQNSHLVNAEGDVNEAGKRYLELKKEWLSQAHGHIDEQGEFIFRGFQGTYNIEIATAPKKLVKTFVVGQGESPVEVPIAL >ONI33552 pep chromosome:Prunus_persica_NCBIv2:G1:36980622:36983331:-1 gene:PRUPE_1G431800 transcript:ONI33552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLVHGVVVLVCIPIVAVIVGLVLWRWNCYRKNRQSVGGRTENTRPEGLQAGIAKLHHHQPAGLESKRPTGNNYYRRGVSGKPLFSWANHPTSITDAVENGWSRFAFTSFMSSPSTKSRLLGLCAVGDQRIRETEPEISWEVCQGSADFMQKIRLNSGLKKVLNLGSSNSTAAASVIRTALPLPGPALGNNSFPQETYFEITILYSRGDELSESNGKVKEGEKTKLIQETKEENPGSDSLVHVTSSQRISRIEELKLSVKEDHHNHGKGEAILLSVGLTVGGSLPLKLPGSYPGSIGFGSNGSVHLDGIKLVFESEKATWGRIDKVIGCGFDPRQKKVYFTVESELVHVIHCKSEEFSTPLYPTLAANTDMTVLVNLGQSVFKYAPANAQRTPNPCFVGPLVRSSAAAFYEDSMELFSMERIDSQWLNRCTTKGSQNPSAANHELEFDEESEADLFEIVLESCGRSPHVVS >ONI31747 pep chromosome:Prunus_persica_NCBIv2:G1:31198792:31199409:-1 gene:PRUPE_1G328300 transcript:ONI31747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQDDNDVLEPPINFSTVDEGIFRSGFPQPPNFPFLKTLQLRSIIYLCPEPYPEENLEFLRSQNIQLFQFGIEGKKKASSSAASSIPKQTILEALKVLIDVRNHPVLIHCKRGKHRTGCLVGVLRKFQNWCLASVFEEYQRFAGAKSRTTDLRFIETFDVVGLRDCLYGIIHHYYRLAYYASKKRRLLLYTQAQQDMQTYRHYKP >ONI28019 pep chromosome:Prunus_persica_NCBIv2:G1:9241831:9244927:-1 gene:PRUPE_1G117500 transcript:ONI28019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFSCSASISPQHQAKVNFKSNKGLEDVRNLIKTVGVPSITCSPVKSLQNGNWVKLICGASFEDVVDIRNLSLVYALAGVDCIDCAADVSVVNAVNEGIDAAIEILPLRRPWVMISVNDDEDLHFRKAEFDPEDCPLDCSKPCERVCPADAISLEAQNSTTQLPFDTNASGAFKGGVLTERCYGCGRCIPVCPYDKIRVTSYIREAATTSELLKRNDVDAVEIHTTGRQIAPFKELWDGLADSIKYLRLAAVSLPDIGEATVSSMKDMYSVMEPDLCCFNLWQLDGRPMSGDIGRGATRESIAFALRLAAIKDRPHGFLQLAGGTNAHTVDGLKKVGLFQTTSFHRNSRDETLTGTSSSVHAIIGGVAYGGYARKIVGRILSNMQSQHGIASMEDYPDHLLQALREALSIVGTAKSFPISNSS >ONI28020 pep chromosome:Prunus_persica_NCBIv2:G1:9241833:9244672:-1 gene:PRUPE_1G117500 transcript:ONI28020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSKVNFKSNKGLEDVRNLIKTVGVPSITCSPVKSLQNGNWVKLICGASFEDVVDIRNLSLVYALAGVDCIDCAADVSVVNAVNEGIDAAIEILPLRRPWVMISVNDDEDLHFRKAEFDPEDCPLDCSKPCERVCPADAISLEAQNSTTQLPFDTNASGAFKGGVLTERCYGCGRCIPVCPYDKIRVTSYIREAATTSELLKRNDVDAVEIHTTGRQIAPFKELWDGLADSIKYLRLAAVSLPDIGEATVSSMKDMYSVMEPDLCCFNLWQLDGRPMSGDIGRGATRESIAFALRLAAIKDRPHGFLQLAGGTNAHTVDGLKKVGLFQTTSFHRNSRDETLTGTSSSVHAIIGGVAYGGYARKIVGRILSNMQSQHGIASMEDYPDHLLQALREALSIVGTAKSFPISNSS >ONI32613 pep chromosome:Prunus_persica_NCBIv2:G1:34094935:34099233:1 gene:PRUPE_1G376200 transcript:ONI32613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCCATMRANAHPIKVGKGGLRNQGSGFWGESVRVGLKSRDLSAQLLKISKFESRARKLKPGVAHSILTDINQDSVTFQSPLFETPKADPKNVASIILGGGAGTRLFPLTSQRAKPAVPIGGCYRLIDIPMSNCINSGIKKIFILTQFNSFSLNRHLARTYNFGDGMNFGDGFVEVLAATQTPGEAGKKWFQGTADAVRQFIWVFEDAKNKNVEHILILSGDHLYRMDYLDFVQKHIDTNADITVSCIPMDESRASDYGLMKIDQSGRIIQFAEKPKGPDLEAMQVDTSILGLSELEAMKSPYIASMGVYVFRTDVLLKLLRWSYPSCNDFGSEIIPSAVREHNVQAYLFNDYWEDIGTVKSFFDANLALTEQPPKFEFNDPKTPFYTSPRFLPPTKVEKCRIIDAIVSHGCFLRECSVQHSIVGVRSRLESGVELKDTMMMGADYYQTESEIASLVALGKVPVGVGSNTKIWNCIIDKNAKIGRNVMITNTDGVEEADRAEEGFYIRSGITVVLKNATIKDGTVI >ONI26391 pep chromosome:Prunus_persica_NCBIv2:G1:1502643:1503865:-1 gene:PRUPE_1G021700 transcript:ONI26391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSMQSIFLANSVAYGAGKNKCVGVISVPALHRYSPPMRVRSMAKDGQKEQPSTVTNASKSPPPPLTPLPSKASIKFSDLFAFSGPAPERINGRLAMVGFVSALAVELYNGQDVFAQISNGGVSLFVATSILLSVASLVPLFKGVSVESKSEGIMTSDAELLNGRLAMLGLVALVFTEYVKGGTLV >ONI31138 pep chromosome:Prunus_persica_NCBIv2:G1:29219747:29221177:-1 gene:PRUPE_1G295300 transcript:ONI31138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSGKTHILVFPYPAQGHMLPILDLTHQLALHGLSITILVTPKNLPNLTPLLHTHPSSIQTVVLPFPPHPKIPSGVENIKDIGNHGNLYVINALANLQAPIVHWFSSHPNPPVALISDFFLGWTLHLAHQLGIPRITFYSSGAFLASVFHYCWRNLDKMRSSSGIVHFPDLPRSPSFKQDQVPSVVRCHKESDPESELLRNSMLANTESWGCVFNSSEDLEAEYFAHLRAKMGHSRVYAVGPLSLTAAEAADDSSLGRANPNKDSDANVMTWLDGCPDGSVLYVCFGSQKLPNRQQMEALASGLERSRVRFVWAVKTGSAQQVKDGYGVLPDGFEERVGGRGLVIKGWAPQVLILGHKAVGGFVSHCGWNSVLEAIVAGVLILGWPMEADQFVNAKLLAEDMGVAVKVCEGDNAVPDPAELGKVISESMTGETPEKVRAKELRDKAFAAVGSGGSSSKHLDELVKELGQLKAGRK >ONI26619 pep chromosome:Prunus_persica_NCBIv2:G1:2457725:2460235:-1 gene:PRUPE_1G035100 transcript:ONI26619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVSFLGRVLFASIFILSAWQAFNEFGVDGGPAAKDLVPKFDVFKKNLSSKLRIELPHIDVKHLAAATVALKGVGGILFILNSSFGASLLLLQLAFTTPLIYDFYNYSPDNTKFGILLNDFLQHAALFGALLFFVGMKNSIPKRQLKKKAPKTKTG >ONI30531 pep chromosome:Prunus_persica_NCBIv2:G1:26638043:26643996:1 gene:PRUPE_1G256100 transcript:ONI30531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPETGIYKNHVKKESWRTILTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIEHSETNEEIFGVLSFVFWTLTLVPLLKYVFIVLKADDNGEGGTFALYSLLCRHARVSSLPNCQLADEELSDYKKENTGSSLQSSFGTRLKSTLERHRVLQRFLLVLALIGTCMVIGDGVLTPSISVFSAVSGLEFSMSEKHHKYVEVPIACIILIVLFALQHYGTHRVGFLFAPIVLTWLLCISAIGLYNILHFNPSVYKALSPHYMYKFLKKTQKGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTSLVYPALVLAYMGQAAYISSHHTVGSNPKIGFYVSVPEKLRWPVLVIAVLAAIVGSQAIITGTFSIIKQCSSLDCFPRVKIVHTSSKIHGQIYIPEINWILMVLCLAVTIGFRDTKRMGNASGFAVISVMLVTTCLMSLVIVLCWQQSVFVAICFVIFFGTIEALYFSASLVKFTEGAWVPVALALSFFIVMYVWHYGSIKRYEFELQNKVSINWLLSLGPSLGIVRVRGIGLIHTDLVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRPEERFLVGRIGPKEYRLYRCIVRYGYRDVHKDDMEFENDLMCSIAEFIRSGSPESNVTSEDLGKEDDKMTVVGTRSTHADGIQMSEHSTEMNESVQREIRSPPPTQRRKKVRFIVPESPKINMGTREELQELMEAREAGIAYILGNTYMNAKKGSSWMRRFAIDYGYEFLRRNSRTSSYALSVPHASTLEVGMIYHV >ONI35560 pep chromosome:Prunus_persica_NCBIv2:G1:44348548:44353725:1 gene:PRUPE_1G542800 transcript:ONI35560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIILGVIGPVMQLGQWLWSPAKRGLGYMVHYKRNAESLNLQIEELKVKKKGNQNLVDAFQLNGEEPEIKKWFEDANKAIADAAQLTGEVAASKNCISGMCPDLRWRYNLGKKAMEEKEAVNKLLEKGDFQTISVQVPHPIEIESTMSTGGFQAFGSTTKAMDQVMTALKDDKVTVIGVYGMGGVGKTTMVKQVGAQARKDGLFDHVIMAVFSQNPDMMKIQGQLSDMLGLKLQEETELGRAGRLKERILRGNKTLIILDDIWNASQTLTSIGIPSPIELQGCNSKVLITTRRLNVCHGMESNVKVHLNVLSEEDSWTLFAKRARKSFESVPFYEVARKVARECAGLPIALVAVAGALGDKDFDEWKEAARRLEMSQPANLEDDGDVFKCIKLSYEYLKGEDAKSCFLLCSLFAEDSHIAIQDLFSYGFGYGLFRDGNTLEGARAKARTVTKYLKASSLLLDGESEKYLRMHDVIRDMAILIASSEEHGHRFLVKAGWELNVWPNDTDEGCSAISLQDNCIRKLPDELVCPKLQILLLNDNSTLEEIPEAFFQSLNALRVLDLAETSISILPSSFNFLINLQTLHLDGCPDLKDISVLGKLKKLEILSLRYSGFKKFPEEIGNLANLRLLDLSWNSEINIIPSKVISRLSRLEELLMEGSFGDWGGKVEGAGERINAGFDELTCLSYLNILFVGICNVERIPKDVEFLPNWEKFFICIKRESLPMDYFTHSDSDCSRLLVLETTIDTLPSWFNSVVIERTEEIFYSECRGLKNFLVEYATKRLHGLISLRVERCHHMPSLMNTTTTLVPKRPVLEKLEELHLNWLNNLKALCVGDLPHGSLVNLKLLEVTGCEALEGTLLQPNLWQKLQNLEALNIQEMSRMEYVFESEGPKQEQAAFRNWREMTLAYLGELKSIWNGPAQYAIFHNLKVLTVSECRKLKTIFTTDASHCLMQLELEELRVYNCSSLETIIGANEGTLEDKIIFPQLRYISLRRLPELKSFYSGGVECPSLEYLCVHVCHSQFSFSASDFHSQKQVRVVR >ONI35561 pep chromosome:Prunus_persica_NCBIv2:G1:44348548:44353725:1 gene:PRUPE_1G542800 transcript:ONI35561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIILGVIGPVMQLGQWLWSPAKRGLGYMVHYKRNAESLNLQIEELKVKKKGNQNLVDAFQLNGEEPEIKKWFEDANKAIADAAQLTGEVAASKNCISGMCPDLRWRYNLGKKAMEEKEAVNKLLEKGDFQTISVQVPHPIEIESTMSTGGFQAFGSTTKAMDQVMTALKDDKVTVIGVYGMGGVGKTTMVKQVGAQARKDGLFDHVIMAVFSQNPDMMKIQGQLSDMLGLKLQEETELGRAGRLKERILRGNKTLIILDDIWNASQTLTSIGIPSPIELQGCNSKVLITTRRLNVCHGMESNVKVHLNVLSEEDSWTLFAKRARKSFESVPFYEVARKVARECAGLPIALVAVAGALGDKDFDEWKEAARRLEMSQPANLEDDGDVFKCIKLSYEYLKGEDAKSCFLLCSLFAEDSHIAIQDLFSYGFGYGLFRDGNTLEGARAKARTVTKYLKASSLLLDGESEKYLRMHDVIRDMAILIASSEEHGHRFLVKAGWELNVWPNDTDEGCSAISLQDNCIRKLPDELVCPKLQILLLNDNSTLEEIPEAFFQSLNALRVLDLAETSISILPSSFNFLINLQTLHLDGCPDLKDISVLGKLKKLEILSLRYSGFKKFPEEIGNLANLRLLDLSWNSEINIIPSKVISRLSRLEELLMEGSFGDWGGKVEGAGERINAGFDELTCLSYLNILFVGICNVERIPKDVEFLPNWEKFFICIKRESLPMDYFTHSDSDCSRLLVLETTIDTLPSWFNSVVIERTEEIFYSECRGLKNFLVEYATKRLHGLISLRVERCHHMPSLMNTTTTLVPKRPVLEKLEELHLNWLNNLKALCVGDLPHGSLVNLKLLEVTGCEALEGTLLQPNLWQKLQNLEALNIQEMSRMEYVFESEGPKQEQAAFRNWREMTLAYLGELKSIWNGPAQYAIFHNLKVLTVSECRKLKTIFTTDASHCLMQLELEELRVYNCSSLETIIGANEGTLEDKIIFPQLRYISLRRLPELKSFYSGGVECPSLEYLCVHVCHSQFSFSASDFHSQKQVRVVR >ONI35232 pep chromosome:Prunus_persica_NCBIv2:G1:42968509:42971162:-1 gene:PRUPE_1G524200 transcript:ONI35232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPQKIDDYIKESIDHSLGLPVSTHTLELKLRCSEESKQRLQNQYSVLLAKMKEKDQVLERARAEASMNAQALRKFVEENQRLASECAHLVTQCNKWERECSLYDHDREALMDFGNEADQRAKEAEIRVQELEDEVKELRDELGFYKHKLEMHSVDSSSDDTTIGEKLLESVLATFISKDEAVSAPAFLEANSGNESCQMLLKMWNRLRPSTQKVLSLVAKVKTLEKDKEHLTMNLCTAEEEVKLLFEENKVLDVENKRLLRQYHKERNQSGSGGKHNDSASAKSNKRKSSSKMSSPIQAKIDFSDQEPARQPLSPLRCNSPNSRNHKK >ONI33347 pep chromosome:Prunus_persica_NCBIv2:G1:36265416:36269963:1 gene:PRUPE_1G418400 transcript:ONI33347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFSWWSNKDTHRGTPVVVKMENPNWSMVELEGPSEEDFLISESPGRVRGKNAKQFTWVLLLKAHRAAGCLTSLGSAMLGLASAIRRRVASGRTDTDTDVEPIGRGRAVENPAVRSRFYFCIKMFLWLSLILLGFEVAAYFKGWHFGSPHLQLEYLWASPMGVKGVFDWVYSKWVLIRVEYLAPPLQFLTSACIVLFMIQSLDRLILCLGCFWIRFKKIKPVPKEGAFDPESGETGYFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKLLIQILDDSDDPTTQFLIKEDVHKWQQEGANILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPTPDFLKRTVPHFKDNDDLGLVQARWSFVNKDENLLTRLQNINLSFHFEVEQQVNSVFINFFGFNGTAGVWRIKALEEAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIVKSKISIGKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSFLNILPAPKAFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLASLVEKEPKHQRGVSVPDLVEMKEEIRQQEQRASRKKKHNRIYTKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVE >ONI28318 pep chromosome:Prunus_persica_NCBIv2:G1:10799790:10800668:-1 gene:PRUPE_1G138000 transcript:ONI28318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRTLELELISAKDLKDVNFISKMDVYAVVSLQGDDSHGKQKTKTKVAKDCGTHPTWNFPMKFTLDELLLNRLSLEFKLVCDRSLGDKDIGQVVVPVKELFDSVAAAAADPNSMKFVAYQVRKPSGKPKGELHFSYKFGDKVTAPVTAAPPKGYESVTAAPPKGYEPVTAYPMVGPSAPTMTGYSAYPPPAGSSTGPPPPPEYGYPPPPPGYGYPPPPGYGYPPPPPGYGYPPVQQPPVQEKKKNKFGMGLGAGLLGGMLGGMLIGDIVSDGGGGCGGGGGCGGGGCGGGF >ONI28140 pep chromosome:Prunus_persica_NCBIv2:G1:9914155:9918111:1 gene:PRUPE_1G125900 transcript:ONI28140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKSKREESLLKKRREGLQSQQFAPALQPSNVEKKLESLPSMVSGVWSDNNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIEHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGNGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVRPALPALECLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCTRLVQLLLHPSPSVLIPALRTVGNIVTGDDLQTQCIINNGALPCLLSLLTHNHKKSIKKEACWTISNITAGNREQIQSVIDASLIGPLVNLLQNAEFDIKKEAAWAISNATSGGTPEQIKYLVGEGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNAGNTGEVNLYAQLIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWVEEDDETLPSGDGSQPGFHFGGNELQVPSGGFNFS >ONI27640 pep chromosome:Prunus_persica_NCBIv2:G1:7808843:7809094:-1 gene:PRUPE_1G097700 transcript:ONI27640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRSSIWRVGSKKRKLRLSLIGNSKAAKSSIERKLMQLQKLIPGCIINSSNPETMFQEIANYIFLLEAKVNILRLLATSYGV >ONI36076 pep chromosome:Prunus_persica_NCBIv2:G1:46349292:46352333:-1 gene:PRUPE_1G568500 transcript:ONI36076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPGSRSESSTKADLKFEKKLEFYAKVRDTVSGLGAQKAIVKKKKLRSRQKKLKAYDLSSFSEFLPELKAKKQPTSEFKLNCKSKKTLILKEGKQLATVLEHPAFKSDPLAAIQQHLERTQPVVEVKPEKRKNKSGSKKRKDKKLKASAGPQSMDM >ONI33654 pep chromosome:Prunus_persica_NCBIv2:G1:37312243:37312845:1 gene:PRUPE_1G438600 transcript:ONI33654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGTYSIQETHYDILSVKQDASYEDIRASYRSAILNSHPDKSQNTSGSGDRFLKVQKAWEILGDSRARALYDIALRASRHDAIVAEDISLEDVMAEDAGEVIQLYYQCRCGDYFFVDSLELEKMGYALLRDGSKISFEAQNALPASLVLPCGSCSLKVRILINSDHFVSIDDHH >ONI36402 pep chromosome:Prunus_persica_NCBIv2:G1:47375131:47384237:-1 gene:PRUPE_1G583400 transcript:ONI36402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLNSSGNKKRELGFLILYAAAFYAFIIHRSLQLSRDHYLKLFGLRPGWLLPHRLNDVSDAQWRNFQGNLPVLTVVFTLFTLIANLLRTSFHLKARGMSIIWILISFTYLSYLHGACIIFILSIASVNFLFVKIFARTKYFSFVFWIFNIFFLLCNRVYEGYSFYMIGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHRDSHFDHKKHIQRCDICKSGKTCYQSLQERGVQNDKFSFMTYLSYLVYAPLYLAGPIVSFNAFASQLDVPQNNLSARDIAWYGLRWVFSLLLMELMTHLFYYNAFAISGMWKELHPMDVFIIGYGVLIFMWLKFFLIWRYFRFWSLICGIEVPENMPRCINNCYNLETFWKNWHASYNKWLVRYLYIPLGGTRRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCLFFIPEMILKSAVNAFQVESTHGEFVVRELSAVAGAITITCLMVCILYLIKAYTIHK >ONI36397 pep chromosome:Prunus_persica_NCBIv2:G1:47373508:47384238:-1 gene:PRUPE_1G583400 transcript:ONI36397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVNFLFVKIFARTKYFSFVFWIFNIFFLLCNRVYEGYSFYMIGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHRDSHFDHKKHIQRCDICKSGKTCYQSLQERGVQNDKFSFMTYLSYLVYAPLYLAGPIVSFNAFASQLDVPQNNLSARDIAWYGLRWVFSLLLMELMTHLFYYNAFAISGMWKELHPMDVFIIGYGVLIFMWLKFFLIWRYFRFWSLICGIEVPENMPRCINNCYNLETFWKNWHASYNKWLVRYLYIPLGGTRRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCLFFIPEMILKSAVNAFQVESTHGEFVVRELSAVAGAITITCLMVANLVGFVIGPSGISWLISQFLNREGLPVLAGMLLTFYVGTKLMFHIHDAKQSSS >ONI36400 pep chromosome:Prunus_persica_NCBIv2:G1:47373508:47380772:-1 gene:PRUPE_1G583400 transcript:ONI36400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFGYDYHWAHRDSHFDHKKHIQRCDICKSGKTCYQSLQERGVQNDKFSFMTYLSYLVYAPLYLAGPIVSFNAFASQLDVPQNNLSARDIAWYGLRWVFSLLLMELMTHLFYYNAFAISGMWKELHPMDVFIIGYGVLIFMWLKFFLIWRYFRFWSLICGIEVPENMPRCINNCYNLETFWKNWHASYNKWLVRYLYIPLGGTRRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCLFFIPEMILKSAVNAFQVESTHGEFVVRELSAVAGAITITCLMVANLVGFVIGPSGISWLISQFLNREGLPVLAGMLLTFYVGTKLMFHIHDAKQSSS >ONI36395 pep chromosome:Prunus_persica_NCBIv2:G1:47374028:47382676:-1 gene:PRUPE_1G583400 transcript:ONI36395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIWILISFTYLSYLHGACIIFILSIASVNFLFVKIFARTKYFSFVFWIFNIFFLLCNRVYEGYSFYMIGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHRDSHFDHKKHIQRCDICKSGKTCYQSLQERGVQNDKFSFMTYLSYLVYAPLYLAGPIVSFNAFASQLDVPQNNLSARDIAWYGLRWVFSLLLMELMTHLFYYNAFAISGMWKELHPMDVFIIGYGVLIFMWLKFFLIWRYFRFWSLICGIEVPENMPRCINNCYNLETFWKNWHASYNKWLVRYLYIPLGGTRRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCLFFIPEMILKSAVNAFQVESTHGEFVVRELSAVAGAITITCLMVANLVGFVIGPSGISWLISQFLNREGLPVLAGMLLTFYVGTKLMFHIHDAKQSSS >ONI36394 pep chromosome:Prunus_persica_NCBIv2:G1:47374028:47384074:-1 gene:PRUPE_1G583400 transcript:ONI36394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLNSSGNKKRELGFLILYAAAFYAFIIHRSLQLSRDHYLKLFGLRPGWLLPHRLNDVSDAQWRNFQGNLPVLTVVFTLFTLIANLLRTSFHLKARGMSIIWILISFTYLSYLHGACIIFILSIASVNFLFVKIFARTKYFSFVFWIFNIFFLLCNRVYEGYSFYMIGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHRDSHFDHKKHIQRCDICKSGKTCYQSLQERGVQNDKFSFMTYLSYLVYAPLYLAGPIVSFNAFASQLDVPQNNLSARDIAWYGLRWVFSLLLMELMTHLFYYNAFAISGMWKELHPMDVFIIGYGVLIFMWLKFFLIWRYFRFWSLICGIEVPENMPRCINNCYNLETFWKNWHASYNKWLVRYLYIPLGGTRRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCLFFIPEMILKSAVNAFQVESTHGEFVVRELSAVAGAITITCLMVANLVGFVIGPSGISWLISQFLNREGLPVLAGMLLTFYVGTKLMFHIHDAKQSSS >ONI36399 pep chromosome:Prunus_persica_NCBIv2:G1:47374028:47381620:-1 gene:PRUPE_1G583400 transcript:ONI36399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRLLFLQIFARTKYFSFVFWIFNIFFLLCNRVYEGYSFYMIGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHRDSHFDHKKHIQRCDICKSGKTCYQSLQERGVQNDKFSFMTYLSYLVYAPLYLAGPIVSFNAFASQLDVPQNNLSARDIAWYGLRWVFSLLLMELMTHLFYYNAFAISGMWKELHPMDVFIIGYGVLIFMWLKFFLIWRYFRFWSLICGIEVPENMPRCINNCYNLETFWKNWHASYNKWLVRYLYIPLGGTRRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCLFFIPEMILKSAVNAFQVESTHGEFVVRELSAVAGAITITCLMVANLVGFVIGPSGISWLISQFLNREGLPVLAGMLLTFYVGTKLMFHIHDAKQSSS >ONI36401 pep chromosome:Prunus_persica_NCBIv2:G1:47373508:47384237:-1 gene:PRUPE_1G583400 transcript:ONI36401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLNSSGNKKRELGFLILYAAAFYAFIIHRSLQLSRDHYLKLFGLRPGWLLPHRLNDVSDAQWRNFQGNLPVLTVVFTLFTLIANLLRTSFHLKARGMSIIWILISFTYLSYLHGACIIFILSIASVNFLFVKIFARTKYFSFVFWIFNIFFLLCNRVYEGYSFYMIGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHRDSHFDHKKHIQRCDICKSGKTCYQSLQERGVQNDKFSFMTYLSYLVYAPLYLAGPIVSFNAFASQLDVPQNNLSARDIAWYGLRWVFSLLLMELMTHLFYYNAFAISGMWKELHPMDVFIIGYGVLIFMWLKFFLIWRYFRFWSLICGIEVPENMPRCINNCYNLETFWKNWHASYNKWLVRYLYIPLGGTRRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCLFFIPEMILKSAVNAFQVESTHGEFVVRELSAVAGAITITCLMQTLLVLLLDHLALVG >ONI36398 pep chromosome:Prunus_persica_NCBIv2:G1:47373508:47381681:-1 gene:PRUPE_1G583400 transcript:ONI36398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRLLFLQIFARTKYFSFVFWIFNIFFLLCNRVYEGYSFYMIGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHRDSHFDHKKHIQRCDICKSGKTCYQSLQLDVPQNNLSARDIAWYGLRWVFSLLLMELMTHLFYYNAFAISGMWKELHPMDVFIIGYGVLIFMWLKFFLIWRYFRFWSLICGIEVPENMPRCINNCYNLETFWKNWHASYNKWLVRYLYIPLGGTRRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCLFFIPEMILKSAVNAFQVESTHGEFVVRELSAVAGAITITCLMVANLVGFVIGPSGISWLISQFLNREGLPVLAGMLLTFYVGTKLMFHIHDAKQSSS >ONI36396 pep chromosome:Prunus_persica_NCBIv2:G1:47373508:47384237:-1 gene:PRUPE_1G583400 transcript:ONI36396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGYSFYMIGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHRDSHFDHKKHIQRCDICKSGKTCYQSLQERGVQNDKFSFMTYLSYLVYAPLYLAGPIVSFNAFASQLDVPQNNLSARDIAWYGLRWVFSLLLMELMTHLFYYNAFAISGMWKELHPMDVFIIGYGVLIFMWLKFFLIWRYFRFWSLICGIEVPENMPRCINNCYNLETFWKNWHASYNKWLVRYLYIPLGGTRRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCLFFIPEMILKSAVNAFQVESTHGEFVVRELSAVAGAITITCLMVANLVGFVIGPSGISWLISQFLNREGLPVLAGMLLTFYVGTKLMFHIHDAKQSSS >ONI36393 pep chromosome:Prunus_persica_NCBIv2:G1:47373508:47384237:-1 gene:PRUPE_1G583400 transcript:ONI36393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLNSSGNKKRELGFLILYAAAFYAFIIHRSLQLSRDHYLKLFGLRPGWLLPHRLNDVSDAQWRNFQGNLPVLTVVFTLFTLIANLLRTSFHLKARGMSIIWILISFTYLSYLHGACIIFILSIASVNFLFVKIFARTKYFSFVFWIFNIFFLLCNRVYEGYSFYMIGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHRDSHFDHKKHIQRCDICKSGKTCYQSLQLDVPQNNLSARDIAWYGLRWVFSLLLMELMTHLFYYNAFAISGMWKELHPMDVFIIGYGVLIFMWLKFFLIWRYFRFWSLICGIEVPENMPRCINNCYNLETFWKNWHASYNKWLVRYLYIPLGGTRRKLLNVWVVFTFVAIWHDLEWKLLSWAWLTCLFFIPEMILKSAVNAFQVESTHGEFVVRELSAVAGAITITCLMVANLVGFVIGPSGISWLISQFLNREGLPVLAGMLLTFYVGTKLMFHIHDAKQSSS >ONI30835 pep chromosome:Prunus_persica_NCBIv2:G1:28149251:28150647:1 gene:PRUPE_1G276100 transcript:ONI30835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIQNFLQPLVKFVSTRWKVWTKTHSLSSELPPTHEPLFGNNKAHDETELSREEVDMVMGRLGMCNEHEAEGDNIGERVGAEELWRLFDEEEPSLEEVKEAFDVFDENRDGFIDAAEVQRVLSNFGFKDAFGLDECKRMIKAADMNQDGVIDFDEFVKHMENSFC >ONI32823 pep chromosome:Prunus_persica_NCBIv2:G1:34712908:34715588:-1 gene:PRUPE_1G388300 transcript:ONI32823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAVIKSCLGSWHVRVGRTVDGVLSFEEGWEEFVNHHGLKLGEILIFKHNGKRAFNVVAYEALGREKRKKPSEATASSTSRTSFACTMKKSPDHPTCTNP >ONI31411 pep chromosome:Prunus_persica_NCBIv2:G1:30235873:30237311:1 gene:PRUPE_1G311400 transcript:ONI31411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMVSSAAVVQRTSSPAQASMVAPFTGLKSASAFPVTRKANNDITSISSNGGRVQCMRVWPPIGLKKFETLSYLPPLSAESLAKEVDYLLRNNWVPTLEFELGNPFVHRENGNSPGYYDGRYWTMWKLPLFGCTDSSQVLKELDEASTTYPNSFIRIIGFDNIRQTQCVSFIAYKPPSF >ONI31861 pep chromosome:Prunus_persica_NCBIv2:G1:31667757:31669492:-1 gene:PRUPE_1G335200 transcript:ONI31861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANDGRGQARTLDPEKQTLLNQHTEKHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANATSSIVLTAGIAEVAAGAISMGLGGYLAAKSEADHYTRELRREQEEVVNVPDTEAAEVAEILAEYGVEPHEYTPVVNALRKNPKAWVDFMMKFELGLEKPDPRRALHSALTIAIAYVLGGSVPLLPYVFFPRAREALVASVVVTLGALLIFGFAKGHFTGNKPFQSAFQTALIGAVASAAAFGLAKAIHP >ONI28886 pep chromosome:Prunus_persica_NCBIv2:G1:13572929:13576246:1 gene:PRUPE_1G166800 transcript:ONI28886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEKPSFEPFRLFSSSGSGFLEQSEPPLPPPPPCVEVLPSEILPSVKYAVEPVQLGGLTLLKGRVSTQEVFTLSNSDLVPGKYEGGLKLWEGSLDLVKALSSEVQNGHLSFTGKRVLELGCGHGLPGIFACLKGAAAIHFQDFNAEVLQCLTIPNVNSNVPSFQPPALQVTKCDAGTEIRFFAGNWREIHKLLPYVQNIEKDFNVSTGKSLDAQYDIILMAETVYSISALPHLYELIKKCITPSHGVVYMAAKKHYFGVGGGTRRFLSIVEKDGVLASSMVAEVADGSSNVREVWKLSFK >ONI28885 pep chromosome:Prunus_persica_NCBIv2:G1:13572929:13576492:1 gene:PRUPE_1G166800 transcript:ONI28885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEKPSFEPFRLFSSSGSGFLEQSEPPLPPPPPCVEVLPSEILPSVKYAVEPVQLGGLTLLKGRVSTQEVFTLSNSDLVPGKYEGGLKLWEGSLDLVKALSSEVQNGHLSFTGKRVLELGCGHGLPGIFACLKGAAAIHFQDFNAEVLQCLTIPNVNSNVPSFQPPALQVTKCDAGTEIRFFAGNWREIHKLLPYVQNIEKDFNVSTGKSLDAQYDIILMAETVYSISALPHLYELIKKCITPSHGVVYMAAKKHYFGVGGGTRRFLSIVEKDGVLASSMVAEVADGSSNVREVL >ONI30087 pep chromosome:Prunus_persica_NCBIv2:G1:24374627:24376844:1 gene:PRUPE_1G230500 transcript:ONI30087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSSKQLCQKLTAGRRKREMDFLSCVLLCLMVAWIVIQALQYSFARRIPTNLPPGPKPFPLIGNLLELGNKPHISLTKLSKRYGPIMTLQLGQITTVIVSSSAVAKQVLRTHDQFFCNRTIPDAVQACKHAKYGMPWLTVSSTWRNLRKICNSHLFAAKVLDANQANRHLKVQELIADVKESVVKGKAVEVGRAAFKTTLNLMSRTVFSVDLADPNSERAREFKELVWSIMEEAGKPNLADYFRVLKKIDPMGIRRRLGKHFQKMIDLFDRMIVQRLESRKSRDYVTGNDMLDTLINMSEEKNEDMDMAETQHLFLDLFGAGTETTSATLEWAMAELLRNPEKLSKAQEELKQVVGKGKPVEESDITRLPYLQAIIKETFRLHPVVPLLLPRRAQADIEICGYIVPKGAQVLVNAWAIGRDPSIWDNPTSFIPERFLGLDIDVTGQNFELIPFGGGRRICPGLPLAMRMLNLMLGSLINSFDNWKLEDGVVPEKMTMDEKFGLTLQMAHPVIAVPIKY >ONI33537 pep chromosome:Prunus_persica_NCBIv2:G1:36955991:36958025:1 gene:PRUPE_1G431400 transcript:ONI33537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDRTDAEMMEMETTAIGQPELPSDAGQGDVLRDFLALARQLLNQGKPSQALQAVVMAMRTRGGDEAVFESLHRARELYRSRLQESSAVDQLASLFAECAIAEVHPSKTEPAAGDEGGPSVGLGPDAEGTSILAESGRMQIVLDAFSDGSSFICLQCGGLVSNHRKDEHYAYWCGQT >ONI29517 pep chromosome:Prunus_persica_NCBIv2:G1:19594139:19598201:-1 gene:PRUPE_1G200500 transcript:ONI29517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWNDSLHFCNWVGVTCNGCTKRVVILKLTAQKLAGSLPKSIGNLSRLTGIDLRNNSFAGEIPQEIGRLRSLRSLNLSRNSFGGKIPSNISHCAQLRVLRLVSNELIGSIPNQLSSLVNLYYVSADENKLTGAIPNWIGNFSYLHSLYLTQNNFRGSIPNELGRLTHLAEFSISMNNLFGIVPSSIYNISSITSFDVTGNQLRGELPPNVGISLPNLESFSCAMNNFTGAIPASWSNSSRLQKLDFGGNGLTGTLPAENLGRLRSLVWISFSRNRLGSGKADDLNFLSFLANCTGLEDLGLDNNHFGGELPRSIANLSTQLKYLYLGGNFIHGSIPEGIGNLTSLALLAMDNNYFSGSVPDAIGKLQKLQELYLHFNKFSEPIPSALGNLTSLITVFIQDNRFEGSIPPSLGNCQSLLTLDVSNNRLTGTIPRELFGISSLSISLRISNNSLTGSLPSEVGDLVNLVELDVSGNKLSGEIPTSLGSCSMLERLYMQGNEFERTIPESLKGLRTLEEMDISHNNLSGEIPKFLEKLRFLKYLNLSYNDFEGELPKEGIFSNASGLSIIGNNRVCGGIPRLLLHACPIKMSNSSSHRLLAPKVIILVACAVACIIALSCFIVARSKVKKSRAGLVTSDSYKGWKSVSYLELVESTNGFSVDNLIGSGSFGSVYKGVLPSDGRAVAVKVLNLQQRGAFKSFIDECKALRSIRHRNLLKIITACSSIDNQGNDFKSLVFEFMANGSLDSWLHPRDDEQPQTQSKRLSLIQRLNIATDVASALDYLHHCCETTIVHCDLKPSNVLLGEDMVAHVGDFGLARFLLEASDNYSQSQTLSAGLRGSIGCIPPASANDFQKPYPPQKFYPVPFGSPKIEELSHARSKIPLTYLSYTWKISQGTQGHRMAIAENSTCLAPRLIAQNRVRQHLRRQPHFVFFGCFRSATLGAAPEAPKPSDSWLVFVQNIEWHTHLLKPPKSIKRPPAPC >ONI26823 pep chromosome:Prunus_persica_NCBIv2:G1:3408379:3410412:-1 gene:PRUPE_1G048300 transcript:ONI26823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSKPVPNFFLYLLLFFFSLQLIIPLAESATDITSLVYKGCAKQTFSDPTGVYSQSLSALFGSLVQQSTKAKFFKTNSGSGQTTISGLFQCRGDLSNSDCYNCVSKLPQMSDNLCGKTIAARVQLIGCYMLYEISGFAQISGMEMLFKTCGGTNIAGSGFEERRDTAYTVLENGVVSGHGFYTTNYQQVYVLGQCQGDVGDSDCGECVKNAVQRAQVECGSAISGQIYLHKCFLSYSYYPNGVPRRSSSSSSSSSSSSSGSGNTGKTVAIILGGAAGVGFLVIFLLFARNLMKKHDDY >ONI33738 pep chromosome:Prunus_persica_NCBIv2:G1:37629021:37632743:-1 gene:PRUPE_1G444000 transcript:ONI33738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKSAKAMGPTGNLQMKVVKGDFGYVLEDVPHLCDYLSDLPTYPNPLQFNPAYSVVKYYFVNVDDTVAQKIVVHTDSPRGTHFRRAGPRQKVYFDSDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVNKVLGIDGGYKGFYARNTIDLTPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEEIRRRGLKVSVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESIENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGRGGLFEYIEKRLKENGHMVIVIAEGAGQDLLSESLQSMNQQDASGNKLLKDVGLWISQRIKNHFGRQQKISINLKYIDPTYMIRAIPSNAADNVYCTLLAQSAVHGAMAGYTGFICGPVNGRHSYIPFNHITEKQNKVVITDRMWARLLSSTNQPSFLNPKDVIEVQEGEEPPTQLLEGENCKDSKFATKDIPSMT >ONI33737 pep chromosome:Prunus_persica_NCBIv2:G1:37629021:37632743:-1 gene:PRUPE_1G444000 transcript:ONI33737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKSAKAMGPTGNLQMKVVKGDFGYVLEDVPHLCDYLSDLPTYPNPLQFNPAYSVVKQYFVNVDDTVAQKIVVHTDSPRGTHFRRAGPRQKVYFDSDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVNKVLGIDGGYKGFYARNTIDLTPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEEIRRRGLKVSVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESIENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGRGGLFEYIEKRLKENGHMVIVIAEGAGQDLLSESLQSMNQQDASGNKLLKDVGLWISQRIKNHFGRQQKISINLKYIDPTYMIRAIPSNAADNVYCTLLAQSAVHGAMAGYTGFICGPVNGRHSYIPFNHITEKQNKVVITDRMWARLLSSTNQPSFLNPKDVIEVQEGEEPPTQLLEGENCKDSKFATKDIPSMT >ONI33739 pep chromosome:Prunus_persica_NCBIv2:G1:37629954:37632583:-1 gene:PRUPE_1G444000 transcript:ONI33739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQKSAKAMGPTGNLQMKVVKGDFGYVLEDVPHLCDYLSDLPTYPNPLQFNPAYSVVKQYFVNVDDTVAQKIVVHTDSPRGTHFRRAGPRQKVYFDSDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVNKVLGIDGGYKGFYARNTIDLTPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEEIRRRGLKVSVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESIENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGRGGLFEYIEKRLKENGHMVIVIAEGAGQDLLSESLQSMNQQDASGNKLLKDVGLWISQRIKVSLATFSLCFFIHHITRSFSCS >ONI29511 pep chromosome:Prunus_persica_NCBIv2:G1:19544181:19551790:1 gene:PRUPE_1G200100 transcript:ONI29511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPFSPKEKGSDHWASSRAQVENLGSLDVGTRNSISEDMFNNISELMNFDTYAGWCSPAAMDQISASFGVPSCPSVTYAPLDALNFAEQNGEALPGTEGGETFNVGGSSFSCEDKIVFQQMDTPQFGVSTDSHDANDLAAKLNNGSFQQNNVMDVGKYMISRPPGLSLNEKMLKALSLFKESSGGGILAQLWVPVKYGDHYLLSTCEQPYLLDHILAGYREVSRTFTFPAEEKQGSILGLPGRVFVSKVPEWTSDVSYYNKAEYLRVDHAVNHQVRGSIALPVFNFDSEMSCCAVLELVSTKEKPNFDTEMEIVCNALQAVNLRTTVPPRLLPQCLSMNQRAALTEITDVLRAVCHAHILPLALTWIPCCYSEGDDDEIRRVRVRGGITNSNEKSILCIEETACYVNDRTMQGFVHACVEHHLEEGEGIAGKALQSNHPFFLHDVKVYDIYEYPLVHHARKYGLNAAVAIRLRSTYTGDDDYILEFFLPVNVKGSSEQQLLLNNLSGTMQKMCKSLRTVSDAELAGVQGSNTGVQKGPIPNSPQQRNSQTTSSDSELNSIENMPSDVFNRRNGGIKAENPREQAPGSRRQMEKKRSTAEKNVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKYDPSTGGFVATGSIIQEFDAQKSRLFPEKSLPVQNSELVTQDPVPVPSVSCNTGESLAIKLEEGGCCIPTSHEEGVKKQNILLMPQRDSKPIAIEGNKWGHSKNSLKLENSDCHFVSQSSSSLAAADDMDTGVDGDDGIVEYNQHTSSSMTDSTNCSGSTLRSSSSQSFEEQKQPNMNASSIENGSKIIVKATYKEDTIRFKFDPSVGCFQLYEEVAKRLKLQNGTFQLKYLDDEEEWVMLVSDADLRECLEILDDIGTRSVKFMVRDTPFGVGSSGSSNCFLAGGL >ONI35601 pep chromosome:Prunus_persica_NCBIv2:G1:44531831:44533635:1 gene:PRUPE_1G545100 transcript:ONI35601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFNLNHLEVFMCLTLALPKGIRRLTSLQTLDVCRCDGNNDEAFQIGDLRKLNLEESLTIQLVADATDKSEIEKAQLWDKKLFDLTFEFKGHTHSSSSVEILNALRPHPDLESLQIWCHNGTTWPNWIQSLHNLRFLTVGEGTQCELWPLGRLECLERLTLSCMNGVRKVGIEFLGLEDQTSFRIRAPQILFPKLKQLSFFYMEEWEEWEGVEEWTKEDSEITIMPCLSELTIEVCNSLKALPDFLFKTPLQSLHILYSRTLAEHYQEGNGEWAKMSARIPNIRISSRL >ONI27164 pep chromosome:Prunus_persica_NCBIv2:G1:5079440:5083199:1 gene:PRUPE_1G071700 transcript:ONI27164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGKDIYDVFAAISLYTWLAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHLISSNDPYAMNYKFILADSLQKVVILVALFLWRAFSKRNNLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGTLMVQIVVLQSVMWYTLILFMYEYRDAKLWVSEHFPETAASITSFRVDSDVVSLSGREPLQTDSEIGDDGKLHVVVKRSNALSFNRSHRLNSLNSMTSRVSNLTGVEFYSVQSSLETTTRASSFNQTDFYAMFSASKAPSSKHGYTNSFQGGFGDNEEALKMSTTNKKRRGRSMSCEVFNDGMVSSYPPANPMFERSTSGVPKKKDSGGGDGEAVRNKDLHMFVWNSSASPDPEGNLRHAVNRAASTDFGVIDPSKAAALQQHETAASKGMHDLIANMSPGRKTSADRELEIEEGSKFPASESPYGSFRKKVDMEDGGVAKNHQMPRASIMIRLILIMIWRKLIRNPNTYASLLGLAWSLISYKWHIKMPTIVSGSISILSDAGFGMAMFSLGLFMALQPKIIACGKSVATFAMAIRFLAGPAVIAGTSIAVGLRGVLLHVAIVQAALPQGIVPFVFAKEYNVHADVLSTAVIFGMLLNVAVTIIYYILLGL >ONI32553 pep chromosome:Prunus_persica_NCBIv2:G1:33921169:33927476:-1 gene:PRUPE_1G372800 transcript:ONI32553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHLVSKLLTLFLMTLFMSSELIQCTTVTYDKKAIIINGQRRLLISGSIHYPRSTPEMWEGLIQKAKDGGLDVIDTYVFWNGHEPSPGNYNFEGRYDLVRFIKTVQKAGLYLHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKMAMQGFTQKIVQMMKNEMLFASQGGPIILSQIENEYGPESKALGAAGHAYINWAAKMAVALDTGVPWVMCKEDDAPDPMINACNGFYCDGFSPNKPYKPTMWTEAWSGWFTEFGGTIHHRPVQDLAFSVARFIQKGGSYINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAIKLCEHALVSSDPTVTSLGAYQQAYVFNSGPRRCAAFLSNFHSTGARVTFNNMHYDLPAWSISILPDCRNVVFNTAKVGVQTSRVQMIPTNSRLFSWQTYDEDVSSLHERSSIAAGGLLEQINVTRDTSDYLWYMTNVDISSSELRGGKKPTLTVQSAGHALHVFVNGQFSGSAFGTREHRQFTFAKPVHLRAGINKIALLSIAVGLPNVGLHYESWKTGILGPVFLDGLGQGRKDLTMQKWFNKVGLKGEAMDLVSPNGGSSVDWIRGSLATQTKQTLKWYKAYFNAPGGDEPLALDMRSMGKGQVWINGQSIGRYWMAYANGDCSLCSYIGTFRPTKCQLGCGQPTQRWYHVPRSWLKPTQNLVVVFEELGGDPSKITLVKRSVAGVCADLQEHHPNAEKLDIDSHEESKTLHQAQVHLQCVPGQSISSIKFASFGTPTGTCGSFQQGTCHATNSHAIVEKNCIGRESCLVTVSNSIFGTDPCPNVLKRLSVEAVCSTGIATNQPDSRR >ONI27085 pep chromosome:Prunus_persica_NCBIv2:G1:4796600:4806483:-1 gene:PRUPE_1G067200 transcript:ONI27085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWSWPSEAQLNGIRQTVSEMAGRGIEDVRVVVSPYRICPLGAHIDHQGGTVSAMTINKGILLGFVPSGDTQVILRSGQFKGEARFRVDEVQCPRKNANDGSEIEIDEESDWGSYARGALYALQSGQNSLVQGIIGYIIGPEGMDSSGLSSSAAVGVAYLMALETANNLAVSPEENIEYDRLIENEFWGLRNGILDQSAILLSSYGCLLCMNCKTKEHKLIHPPKLGENHETEWQKAYKILLAFSGLKHNLTVNPGYNRRVAECQEAAAVLLHASGDGAAEPLLSNVEPEAYQTHKHILEPNLAKRAEHYFSENMRVSKGFEAWASGRFEDFGTLISESGLSSIQNYECDPSKGSWRIWSTVQWCRI >ONI27084 pep chromosome:Prunus_persica_NCBIv2:G1:4797080:4806201:-1 gene:PRUPE_1G067200 transcript:ONI27084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWSWPSEAQLNGIRQTVSEMAGRGIEDVRVVVSPYRICPLGAHIDHQGGTVSAMTINKGILLGFVPSGDTQVILRSGQFKGEARFRVDEVQCPRKNANDGSEIEIDEESDWGSYARGALYALQSGQNSLVQGIIGYIIGPEGMDSSGLSSSAAVGVAYLMALETANNLAVSPEENIEYDRLIENEFWGLRNGILDQSAILLSSYGCLLCMNCKTKEHKLIHPPKLGENHETEWQKAYKILLAFSGLKHNLTVNPGYNRRVAECQEAAAVLLHASGDGAAEPLLSNVEPEAYQTHKHILEPNLAKRAEHYFSENMRVSKGFEAWASGRFEDFGTLISESGLSSIQNYECGSEPLIQLRQILLRAPGVFGARFSGAGFRGCCVALVDTDHAAEAATFVSEEYNKVQPELASLLNPDTAVMICEAGDCARVI >ONI32127 pep chromosome:Prunus_persica_NCBIv2:G1:32612697:32616479:1 gene:PRUPE_1G349900 transcript:ONI32127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASVSVIIYITIAVLILLLISHSPKKGGSQRHRRLKLRSNFTFAPPLHHHNPIPFDPLVADIERRLEDRKWEQQHFDLNDSAPAAESQPEWEDFMNAEDYLNDEERFNVTNRLLLLFPKVDVDPADGFITEDELTQWNLKQAQKEVLHRTQRDMELHDKNRDGFVSFAEYQPPSWVQNADNNSFGYDMGWWKEEHFNASDADGDGLLNITEFNDFLHPADSKNPKLLQWLCKEEVRERDTDKDEKINFKEFFHGLFDLVRNYEEENHNSSHQFDDSMEAPARNLFAQLDKDGDGYLSDAELLPIIGKIHPSEHYYAKQQADYIISQADTDKDGRLTLTEMIENPYVFYSAIFNDDEEEDYEFHDEFR >ONI29680 pep chromosome:Prunus_persica_NCBIv2:G1:22267271:22269438:1 gene:PRUPE_1G208500 transcript:ONI29680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPIKPGDGKAKNPIMPSSLDQQPSGSSSLRPHSLVHQNNKKSKLEESDEDMFTVPDVEALPPPFNNITSNNTSSTTNATSNTTNNNNPEAQSGFPAKRRRGRNPVDKEYRRLKRLLRNRVSAQQARERKKVYVNELESRAKELEDSNSKLEEKISTLVNENAMLRKVLMNTRPKVDESIEPKAGSVK >ONI29679 pep chromosome:Prunus_persica_NCBIv2:G1:22267271:22269438:1 gene:PRUPE_1G208500 transcript:ONI29679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPIKPGDGKAKNPIMPSSLDQQPSGSSSLRPHSLVHQNNKKSKLDVPWFWSLDDDDDDDDDDGDDGDDVNVPEESDEDMFTVPDVEALPPPFNNITSNNTSSTTNATSNTTNNNNPEAQSGFPAKRRRGRNPVDKEYRRLKRLLRNRVSAQQARERKKVYVNELESRAKELEDSNSKLEEKISTLVNENAMLRKVLMNTRPKVDESIEPKAGSVK >ONI29830 pep chromosome:Prunus_persica_NCBIv2:G1:22966998:22967633:1 gene:PRUPE_1G216300 transcript:ONI29830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRREISCGVILFLIGFPCLVVFVFFNLVLFYNLQEPEFSVTNAFLTQFTFDTATNQNLHYNLTLDITIRNPNKRVGIEYRDIQATAMCGHKTFALLSLGGGILAPFYQGHENTTVLHNLLVQGKQFEEAKLCKCKSETVAGVYSIDLQLALRVKARYGMSIKGKSNKVGILCNLLVPLTTFGTSIDGNGGEYCFNTTKCFNTKNFFPEST >ONI30685 pep chromosome:Prunus_persica_NCBIv2:G1:27464411:27468254:1 gene:PRUPE_1G266900 transcript:ONI30685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTTAATHLLVSPYSFPNFTPHAFPSILYVVNSRTARRQQRLKAMSFVSVRESGCSLCLGFSEFKKGACSGFVRRCKDWDREGDFTLETEILEFMKSSKKPGAFPSKKELLESGREDLVDAIARKGGWLSLGWDLEEEEGAQDSNFRQWDSIVAKEGESSASSGSLIGASGMVSSFSDDSSQAASSSGRSLEAATTEDDTGIEGILNRLEKQRNLTFGFNLKEKEDDVFFPSTYKFPEISTDATVAGLVRSSRPASLNPKKAILNDSRDKPNHNRSLSDIDVLADSPRPEMWRTWSIQRAGFSDQDFEAAEISYDKMRGPEDVSREEIFQLRKGANEPDQRNKLNSHQEEISHKQIRDHIKYLESELSSALRSLRNRSDEAASQVHESSSDDFRKLSDAWEFQENEIMHAQDKLRSTRAKLAVLEGKMALAIIAAQKTVEEKQRRINDARRALQLLRTAYIVWPNSASEVLIAGSYDGWTTQRKMEKSSTGIFSLCLRLYPGRYEIKFVVDGEWRIDPLRPIVHNSGYENNVLIIT >ONI34532 pep chromosome:Prunus_persica_NCBIv2:G1:40472297:40474103:1 gene:PRUPE_1G486000 transcript:ONI34532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPLNPQPINTSLPATPLHQPKFKILFVCFPRKTKRKEKKIALQFNSMSNQGYRSQSPTSTLHHKRQSRSICLPACFSSMSNHPFDVLQSEGDYCNNCSRSRSPLPEIKDRCLNLISKISGGARPGRGRRCHGSADFSYDPSSYALNFEDDTSRADDQLPFSARLSASSIPTTSHEKKTSPNQLDEILENQECFVAKAESKGTTSQAGGVASRRRGRSRHSSTSVDFSYEPSSYAMNFEDDVNRDDELISHRRFGGLLAQPSASKMTAAAAAAAAAAGAPPPAKCSTLRPEIAAFS >ONI32263 pep chromosome:Prunus_persica_NCBIv2:G1:33062053:33063762:1 gene:PRUPE_1G357000 transcript:ONI32263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAPLSSAAQNPAKSLGFIATAMKRKDSYIQFFAMTGILLLSVRSLGQKYRLHDLHEDTSALKEEQEALTNRMNNIKRDLLHEASLEPTGLFASRLRLLFGERE >ONI33396 pep chromosome:Prunus_persica_NCBIv2:G1:36418665:36419798:1 gene:PRUPE_1G421700 transcript:ONI33396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTLNPYNSNTEKTAEIMSRYRPIAPKPETPANSAGENPSLSQKIRESPYLRNLWPQLQARPTRTRKRGRSALSPTTFKRQRTHHVFGFSTPCHVTSPAKNLTLDGFAHALSQLPIPTSFDAVKSTSLMTLPLLQYPPPPPSPPPPPPTSSVPVVTNQAMVPAEFELIKPCEGEEKLIDLNTVAEIPEEKDLLQQLQGSAPTPTTINVIAPQPVRPVGSSISVGCIKTDPSLAPAEQAPKKPEDVEDEVESEALPAIISDSHNKVRMANSAYKEMVGQPECSWLDSMVASDGRFGGSSCKRISGEVILELSESGVPVSSNGFSCWVRIEWGNEMNKHAINAFCDVIKLSCETKDYLFSWRFHTHSKEGSQSSSSNA >ONI32719 pep chromosome:Prunus_persica_NCBIv2:G1:34371544:34375526:1 gene:PRUPE_1G381800 transcript:ONI32719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLDNSSSPLLTVSTASGEASLSSSAHETMTLPEPPPKKKRNLPGMPDPEAEVIALSPTTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTTKEIRKRVYVCPETSCVHHNPTRALGDLTGIKKHFCRKHGEKKWKCERCSKKYAVQSDWKAHMKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESAKAQTLAIDGSDGNQNLNNQSPNPNAKGVVASPPPPPLTPSNTVVSPALSIQSSELPENPIGLSPPTPATTCLTTTAISTTTTTTTTTTTSTGNCNNGSSVFASIFAPSTASALISQPPQTTSPSSFSNQVSTLGRPDGSTTIPTVSSINEPTSLSLSTSLYLSSNGSSLFPTPEPQDHRHYAQPAVMSATALLQKAAQMGAAASNASLLRGFGLATSSSSPSQENSTALQWNKPESGGGTTQMAAGVGLELLSTASAAHLTDLMMGPPSQFGGQPMTRDLLGLSIGVGNSGSSASTGGLSALLNSFGGGGSGFDVAAAAAAAYGGGGGGAGSSQRESWEGAQGR >ONI29568 pep chromosome:Prunus_persica_NCBIv2:G1:20053336:20053557:1 gene:PRUPE_1G202400 transcript:ONI29568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKEAEGGSSSMQRRQPQAVSTKVVEAFHSEGELHLMAKRPILLLIFYFQLICLGKIENKFYFLQSLLINE >ONI29997 pep chromosome:Prunus_persica_NCBIv2:G1:23929637:23931337:1 gene:PRUPE_1G225900 transcript:ONI29997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVMEFAENLILKLMEDPKERDRKFREHVYAVKDQCNKTKEMWALPLRPYGFWTFERHNSQLRWDAQISQVAGRRDPYDDLLQHSTDPVTSRTK >ONI29998 pep chromosome:Prunus_persica_NCBIv2:G1:23929637:23931337:1 gene:PRUPE_1G225900 transcript:ONI29998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVMEFAENLILKLMEDPKERDRKFREHVYAVKDQCNKTKEMWALPLRPYGFWTFERHNSQLRWDAQISQVAGRRDPYDDLLQHSTDPVTSRTK >ONI35076 pep chromosome:Prunus_persica_NCBIv2:G1:42317677:42319811:1 gene:PRUPE_1G514100 transcript:ONI35076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTFYEKLASLACLIFYVQLVSTTPCFASSTEAEALLKWKASIQNQTLDNLSTWTYFPRKNATNFLRKPKANASPCKTWTGISCNAAGSIININLTTSGIQGTLHEFSFLSFPNLEYLDLSMNKLFDVIPPQISYLSKLVYLDLACNELFGRIPPEIGGLRNLTILYLFANKLSGEIPKEIGNLKSLVDLKLSVNSLTGSIPPKMGNLKSLVELYLYENRLNGSIPTSLGNLTTLTHLWLYTNKFSGTIPDDIGKMEFLVHLFLSNNNFTGCIPKNFGNLEKLQTLYLYKNQLSSSIPEELGNLKSLVGLDLSYNNLTGGIPPKFGNLKKLQLLYLHDNQLSGSIPKEIGNLKSIVDLGLSENQLNGSIPASFGTLTNLEILHLRDNKLSGPIPKEIENLKKLTKLHLDTNQFSGHLPQHICQGGQLTNFSVHNNNLTGPIPRSLKTCTSLFRIHLEGNQLTGNVSEVFGVYPNLDFIDVSHNNLYGEISLNWGQCPQLKTLLMAGNKLTGNIPPEIGNANKIHVLDLSSNHLVGVVPKEFGRLTSLVKLMLNGNQLSGRIPSEFGSLTDLEYLDMSRNSFNESIPSVLGGLVELNYLNLSNNKFSQAIPFQLGKLVQLSQLDISHNSLEGQMPSKISNMGSLETLNLSHNNLSGFIPTSFEGMHGLSFVDISYNDLEGPLVGN >ONI27194 pep chromosome:Prunus_persica_NCBIv2:G1:5249253:5261757:1 gene:PRUPE_1G073600 transcript:ONI27194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSFWKPRDRFSLDELRYLTDQLVKVQIVNEVNKDFVIEALRSIAELITYGDQHDSTFFEFFMEKQVMGEFVRILKVSRTVSVSLQLLQTISIMIQNLKNEHAIYYMFSNEHMNYLITYSFDFQNEELLSYYISFLRAISGKLDRNTISLLVKTHNDEVVSFPLYVEAIHFAFHEESMVRTAIRALTLNVYHVGDESVNRYVTSAPHADYFSNLVTFFRKQCINLNALVSDTMKNLGAESTETTTLISAAVDEIEDSLYYFSDVISAGIPDVGRLITDNILQLLIFPLLLPSLTRKAVEGVQIGAAISLYLVCCILRIVKIKDLANTIAAALFCPLDAVVPKFGSKPNGYTPLCAFEHESEPPDSNNLTKADAGILRVDVTNLSSSPKIHSADVPRENDCCDFHLSLREALISYITSGDDVQVAGSLSVLATLLQTKELDESMLDSLGILPQRKQHKKLLLQALVGEGSGEEQLFSSESGSSRDGVEFGSELDSCLQKLKVLDALVSLFCRSNISAETLWDGGWLLRQLLPYSQAEFNSHHLKLLNDSYKNCASALLKETRGIWPDLLITILSDEWKRCKRAIEASSPRKEPKCILLSSPKFSSEDGITSDSSFVAGERMCELVKVFVLQHQLQIFSVGRSLPEKPPIDPPADIFENSRAQSAGVDSSGPKLGTELRLVDAVPCRIAFERGKERHFCFLAISLGASGWVVLAEELPLKEPYGVVRMVAPLAGTDPKVDDKHSRWMHLRIRPSTFPFVEPARYGAYGKAKTKALVDGRWTLAFRDEESCNSALSMILEEISLQSNEVERRLKPLLDLETIVESSNSSLGPPKATSSYSTFSNSL >ONI27193 pep chromosome:Prunus_persica_NCBIv2:G1:5249253:5261757:1 gene:PRUPE_1G073600 transcript:ONI27193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSFWKPRDRFSLDELRYLTDQLVKVQIVNEVNKDFVIEALRSIAELITYGDQHDSTFFEFFMEKQVMGEFVRILKVSRTVSVSLQLLQTISIMIQNLKNEHAIYYMFSNEHMNYLITYSFDFQNEELLSYYISFLRAISGKLDRNTISLLVKTHNDEVVSFPLYVEAIHFAFHEESMVRTAIRALTLNVYHVGDESVNRYVTSAPHADYFSNLVTFFRKQCINLNALVSDTMKNLGAESTETTTLISAAVDEIEDSLYYFSDVISAGIPDVGRLITDNILQLLIFPLLLPSLTRKAVEGVQIGAAISLYLVCCILRIVKIKDLANTIAAALFCPLDAVVPKFGSKPNGYTPLCAFEHESEPPDSNNLTKADAGILRVDVTNLSSSPKIHSADVPRENDCCDFHLSLREALISYITSGDDVQVAGSLSVLATLLQTKELDESMLDSLGILPQRKQHKKLLLQALVGEGSGEEQLFSSESGSSRDGVEFGSELDSCLQKLKEQYGVVCSFLEVRASPRVHRFQVLDALVSLFCRSNISAETLWDGGWLLRQLLPYSQAEFNSHHLKLLNDSYKNCASALLKETRGIWPDLLITILSDEWKRCKRAIEASSPRKEPKCILLSSPKFSSEDGITSDSSFVAGERMCELVKVFVLQHQLQIFSVGRSLPEKPPIDPPADIFENSRAQSAGVDSSGPKLGTELRLVDAVPCRIAFERGKERHFCFLAISLGASGWVVLAEELPLKEPYGVVRMVAPLAGTDPKVDDKHSRWMHLRIRPSTFPFVEPARYGAYGKAKTKALVDGRWTLAFRDEESCNSALSMILEEISLQSNEVERRLKPLLDLETIVESSNSSLGPPKATSSYSTFSNSL >ONI27192 pep chromosome:Prunus_persica_NCBIv2:G1:5249253:5261757:1 gene:PRUPE_1G073600 transcript:ONI27192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSFWKPRDRFSLDELRYLTDQLVKVQIVNEVNKDFVIEALRSIAELITYGDQHDSTFFEFFMEKQVMGEFVRILKVSRTVSVSLQLLQTISIMIQNLKNEHAIYYMFSNEHMNYLITYSFDFQNEELLSYYISFLRAISGKLDRNTISLLVKTHNDEVVSFPLYVEAIHFAFHEESMVRTAIRALTLNVYHVGDESVNRYVTSAPHADYFSNLVTFFRKQCINLNALVSDTMKNLGAESTETTTLISAAVDEIEDSLYYFSDVISAGIPDVGRLITDNILQLLIFPLLLPSLTRKAVEGVQIGAAISLYLVCCILRIVKIKDLANTIAAALFCPLDAVVPKFGSKPNGYTPLCAFEHESEPPDSNNLTKADAGILRVDVTNLSSSPKIHSADVPRENDCCDFHLSLREALISYITSGDDVQVAGSLSVLATLLQTKELDESMLDSLGILPQRKQHKKLLLQALVGEGSGEEQLFSSESGSSRDGVEFGSELDSCLQKLKEQYGVVCSFLEVRASPRVHRFQVLDALVSLFCRSNISAETLWDGGWLLRQLLPYSQAEFNSHHLKLLNDSYKNCASALLKETRGIWPDLLITILSDEWKRCKRAIEASSPRKEPKCILLSSPKFSSEDGITSDSSFVAGERMCELVKVFVLQHQLQIFSVGRSLPEKPPIDPPADIFENSRAQSAGVDSSGPKLGTELRLVDAVPCRIAFERGKERHFCFLAISLGASGWVVLAEELPLKEPYGVVRMVAPLAGTDFILVNSTRCFLWKPKVDDKHSRWMHLRIRPSTFPFVEPARYGAYGKAKTKALVDGRWTLAFRDEESCNSALSMILEEISLQSNEVERRLKPLLDLETIVESSNSSLGPPKATSSYSTFSNSL >ONI28566 pep chromosome:Prunus_persica_NCBIv2:G1:11642387:11646269:1 gene:PRUPE_1G148400 transcript:ONI28566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESSSTHFTIMRSSDTDSKIEDSGNNLVLKAYPMLPMKTVNAEDEGESRVLEPYVGLEFDSADVARDFYSLYATRMGFRVRTGQLYRSRTDGSVSSRRFVCSKEGFQISSRTGCPALIRVQRRDSGKWVVDLFLKDHNHGLGSTEENHSPILQKKTLTRKNSVVELSHRPKIKSIEEIEDGRPCPSGIISAKRLKWDGDEMQPEVEPSAGLEFNSANEAYQFYHVYAENTGFRIRIGQLFRSKLDGSITSRRFVCSKEGFQHPSRVGCGAFMRIKRQDSGRWVVDRLDKNHNHELESQLEVQKTNLFSSKKFIEEEIGGLENEDIVDINNGNNVKRRRENHIGSDWYRVLFDYFQTKQAEDTGFFYAVEVDNGSCMSIFWADGRSRFSCCQFGDVIILDTSYRKGIYLVPFATFIGINHHKQPVLLGCALIADESEKSFTWLFQTWLRAMSGRCPLSIIADQDDVIQQAIMQVFPGTHHRFSLWQFKAKESEHLRLMNTSFKYEYEKCIYECQTADEFDAAWNALLCRYGLKDNGWLKAMYAKRKSWVPLYLRATFFAGIPFNESIESFFGTRFNAQTQLKEFVSQYERGLERRREEERKEDFNSFNLQAFLQTKEPLEEQCRRLYTLNIFRIFQKELLQSYSYLGFKIYEEGATIRYLVRKCGNDNEKCVVTVGPSNPKVICSCRMFEFEGVLCRHVLRVFQILDLKEVPPCYILQRWTKNAEYGIPCDSESGGNPQELKALMVWSLREAACQYIEAGATSLEKHRLAYEIMREGGRKLCWQR >ONI28567 pep chromosome:Prunus_persica_NCBIv2:G1:11642706:11645466:1 gene:PRUPE_1G148400 transcript:ONI28567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESSSTHFTIMRSSDTDSKIEDSGNNLVLKAYPMLPMKTVNAEDEGESRVLEPYVGLEFDSADVARDFYSLYATRMGFRVRTGQLYRSRTDGSVSSRRFVCSKEGFQISSRTGCPALIRVQRRDSGKWVVDLFLKDHNHGLGSTEENHSPILQKKTLTRKNSVVELSHRPKIKSIEEIEDGRPCPSGIISAKRLKWDGDEMQPEVEPSAGLEFNSANEAYQFYHVYAENTGFRIRIGQLFRSKLDGSITSRRFVCSKEGFQHPSRVGCGAFMRIKRQDSGRWVVDRLDKNHNHELESQLEVQKTNLFSSKKFIEEEIGGLENEDIVDINNGNNVKRRRENHIGSDWYRVLFDYFQTKQAEDTGFFYAVEVDNGSCMSIFWADGRSRFSCCQFGDVIILDTSYRKGIYLVPFATFIGINHHKQPVLLGCALIADESEKSFTWLFQTWLRAMSGRCPLSIIADQDDVIQQAIMQVFPGTHHRFSLWQFKAKESEHLRLMNTSFKYEYEKCIYECQTADEFDAAWNALLCRYGLKDNGWLKAMYAKRKSWVPLYLRATFFAGIPFNESIESFFGTRFNAQTQLKEFVSQYERGLERRREEERKEDFNSFNLQAFLQTKEPLEEQCRRLYTLNIFRIFQKELLQSYSYLGFKIYEEGATIRYLVRKCGNDNEKCVVTVGPSNPKVICSCRMFEFEGVLCRHVLRVFQILDLKEVPPCYILQRWTKNAEYGIPCDSESGGNPQELKALMVWSLREAACQYIEAGATSLEKHRLAYEIMREGGRKLCWQR >ONI32144 pep chromosome:Prunus_persica_NCBIv2:G1:32659271:32667433:-1 gene:PRUPE_1G351000 transcript:ONI32144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCTVHPPNPNMRMQGHRASKGVSFVNLVQQLVFLPAVVLSSVGASASITVRASSSSSEAAAVRMSQSEPPPPPPMAKKVEHKMEMFGDVRVDNYYWLRDDSRSNPDVLSYLQQENAYTQFLMSGTKKFEDELYAEIRGRIKEDDISAPERKGPYYYYRRTLKGKEYVQRCRRLIPNFQAEAPPSVYDTMPTGPDAPPEHVILDENIKAQHHDYYSIAAFKVSPNNKLVAYAEDTKGNEIYTIHVIDAETGAPVGLPLVDATSYLQWAGDEALVYITMDETLRPDKAWLHKLGTEQSSDSCLYHEKDDVFSLDLQTSESKKFLFVGSESKITRFNFYLDIAKLEDGLVVLTPRVNGIDTFVSHRGNHFFIRRRSDKCFNSEVIACPLENTSETTVLLPHRESVKIQDIQLFSEHLVVYEREEGLPKVTIYHLPDVGQPLKSLHSGQAVNFLDPTYSVDRSESEFSSRILRFSYSSMKTPPSVYDYDMKTGISVLKKIETVLGGFEASNYVTERKWASAPDGTQIPISIVYRKDLIKLDGSDPLLLYGYGSYEVCIDPSFKASRLSLLDHGFIYAIAHIRGGGEMGRQWYENGKLLKKKNTFTDFIACAEYLIEKKYCSKARLCIDGRSAGGLLIGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVKVQNYPNILVTAGLNDPRVMYSEPAKFVAKLRDMKTDKNIVMFKCEFGAGHSSKSGRFEKLQEDAFIYTFIMKALEMVPAQGSGLN >ONI32429 pep chromosome:Prunus_persica_NCBIv2:G1:33620498:33624700:1 gene:PRUPE_1G367400 transcript:ONI32429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKSRSKGCWAWLLVLVVLALVVTAIVVAIVKKKSNSSDDDDGPVPGPPGAITQKYSDALKLAVQFFDVQKSGKLVDNKISWRGDSGLKDGSDEKLDLSKGMYDAGDHMKFGFPMAFTATVLSWSILEYGDQMDAVNQLQTAQGSLKWITDFLVNAHPKDNVLYIQVGDPKKDHDCWNRPEDMTGKRPLTQINSSSPGTEVAAETAAAMASASLVFKKSDATYSAALLKHAKQLFTFADKNRGSYSQSIPEVQEFYNSTGYGDELLWAAAWLYHATGDQSYLDYVTGDNGDEFAQFGKPTWFSWDNKLAGTQVLLSRLSFFGGKDTSGNSGLQKYRKTAEAVMCGLLPNSPTATNSRTENGLIWVSEWNALQHPVSSAFLAALYSDYMLTSRTSKIECDGDSYKASDIRKFARSQANYVLGENPLKMSYLVGYGDKYPKYVHHRGASIPANKKPNCREGFKYLNTKDPNPNVAVGALVGGPFLNETYVDARNNSRQGEPSTYNSALIVGLLSGLVTTSSAVQSFT >ONI26099 pep chromosome:Prunus_persica_NCBIv2:G1:371487:372216:-1 gene:PRUPE_1G003700 transcript:ONI26099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFISTLPAECISHIVSCTTPLDACRSCLVSSLFQIAADSDIVWERFLPQDQDYKEIISTSSNSLSKKDLYFHLCKHSIITGNGNMITALQKQSGKKSYMVGPRELTVVLEDTPKCWQSLGILSPVSTNILLNITSIFFVIYLVKV >ONI33645 pep chromosome:Prunus_persica_NCBIv2:G1:37289890:37291959:-1 gene:PRUPE_1G437900 transcript:ONI33645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMVMAKSKLSYEESRRQRLEENKKRMEALNLPQLAQALKTTSSPKPSPMKRTKPRTVEKQMVVVRRSSRVANLPTPVYKEVVVDRVMIPRKSYSSRHRDLSNRVYASDEARAEAMERAENLESGLGSDHPIFVKTMLPSHVSGGFWLGLQVQFCKEHLPKGDEVMTLIDEDGNEYPTIYLARKTGLSGGWKGFAVAHDLVDGDALVFQLIRRTVFKVYILRVGRPEDGNKL >ONI34626 pep chromosome:Prunus_persica_NCBIv2:G1:40850365:40852855:-1 gene:PRUPE_1G491100 transcript:ONI34626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHTATGNLQLHHNPVFDVSLNVQEGSNYIDDDGRPKRTGTVWTSSAHIITAVIGSGVLSLAWAMAQLGWIAGPIVMVLFSFITYYTSTLLAACYRDPVTGKRNYTYSDAVRSNLGALQVKFCGSVQNVALFGISIGFNIAAAISMVAIQRCNCNHKSGGKNPCHININPYMIAFGISEILLSQIPNFDKLSWLSIVAAVMSFTYSGIGLALGIAKVAENGKIKGNITGITVGTVTPIQKMWRTFQALGNIAFAYSYSIILIEIQDTIKSPPSEYKTMKKATLLSLTLTSIFYILCGCMGYAAFGDLSPGNLLSDKGFHNPYWLINIANAAIVIHVVGAYQVFVQPIFALVEKTAAKVFPDSQFITKDIKIPIPGFGVYNLNLFRLVWRTFYVIITTLISMILPFFNDVVGFLGALVYWPLTVYFPVEMYIAQKKVPKWSTKWIFLQIISLSVLVIALAAAAGSVTGVVQNLKNYKPFKTSS >ONI29101 pep chromosome:Prunus_persica_NCBIv2:G1:15907946:15910518:1 gene:PRUPE_1G181600 transcript:ONI29101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKSAPELPPPIEGTYICCGGNYTTDDILPSLEDQGVRQLYPKGPNIAMPPSVLVLIIYFKKELRSLNRELQLHILELADILVERPSQYARSVEDISLIFKNLHHLLNSLCPHQARATLIHILELQIQRRKQAVEDIKRRREEAQRLLKDSIGTMEDTGASFVLK >ONI29102 pep chromosome:Prunus_persica_NCBIv2:G1:15908458:15910518:1 gene:PRUPE_1G181600 transcript:ONI29102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSVLVLIIYFKKELRSLNRELQLHILELADILVERPSQYARSVEDISLIFKNLHHLLNSLCPHQARATLIHILELQIQRRKQAVEDIKRRREEAQRLLKDSIGTMEDTGASFVLK >ONI29879 pep chromosome:Prunus_persica_NCBIv2:G1:23396760:23398179:1 gene:PRUPE_1G219100 transcript:ONI29879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVDEPVMGVPFYVVQNPYQAGAIPPNAVYGDPKGIPIHQTIYRDTPAPFNCVYCGNSGLTHVRSKPSLAAVVACMMPMFLGCCFLLPSCDCLWHKYHHCPSCQEKVGDFEKSDPCIVADPPHWTEHSFALPA >ONI29283 pep chromosome:Prunus_persica_NCBIv2:G1:17635194:17636081:-1 gene:PRUPE_1G190800 transcript:ONI29283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRGVWAHNFHQELSQFDHCLHRFPVISFDTEFPGFLRDTPRDALEDQRYEDVKFNVESLKLLQLGFTLSDAHGNIGGTWEFHLSGFNEKSDPHVVASISLLKRNGLDFARLGQFGISVAEFVFGFLRVLRIHRGLHDLTWVCFHGLYDLAYLLKLLTQKPLPDSVVMFAKALGVVFGTIYDVKFMARYCRGFFGGEIGLARVAKLLDVERSGEAHQAGSDSLLTAAVFSKMNATFRSVAGMSQGCLYGISPTIVRYWQPAPVILRRPCFPVAAPRVYGAGLIHSPFLPTYVHM >ONI32692 pep chromosome:Prunus_persica_NCBIv2:G1:34295677:34298297:-1 gene:PRUPE_1G380100 transcript:ONI32692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYLHLHANHNLVARIRPTRIPISIWLHEEAWNVVVSLSIIKIVWFTNPKTICSTMDFSCGRAPACFMNCHVEECSSDLRVGLRQASHVEECRGCPAG >ONI35468 pep chromosome:Prunus_persica_NCBIv2:G1:43919162:43921532:1 gene:PRUPE_1G537700 transcript:ONI35468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPRCSYICHKFFSSKSSNSNPIEEQLYPAMEFFNFSCSSDLLIPLVAAAFSLFLSSLFMKSRGERKSPPKAGGAWPIIGHLHLLGGSKPPHLVLGKMAERYGPIFTVNIGVQKALIVSSSEIAKECFTTNDKVFASRPKFMAAEIMAYNYALFPFSPYSHYSRQVRKIVLLEVLSNSRLEMLKHVRESEVKASMKGIYERCVTNGKSSTSSNKALVEMREWFLDINENIVIRMIVGKRFREATSSNSKGSNYYLKKETYMDFLRLSGTFVLSDAIPWLRWLDLGGHERAMKKVAKELDLVFNGWLEEHKQRRKISGQVKGGDDQLDFMDVMLSILDVDGANEITSDYDADTVNKATSMALIVAGVEAPAVQMTWALALLLNNREALKKAQEELDQIIGKDRQVKESDIKNLVYLQAIIKESMRLYPAGPLSLPHESTEDCMVGDYHVPAGTRLLVNLSKLHRDPRVWSDPNEFRPERFLTTHKSFDVKGHDFELIPFGSGRRMCPGMSLALKVIALTLASLLHGFEIGTPTDETVDMGETVGVTNNKATPLEVLFTPRLPAQLYE >ONI34811 pep chromosome:Prunus_persica_NCBIv2:G1:41412111:41416778:-1 gene:PRUPE_1G500300 transcript:ONI34811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQALITRSLFIPTPLCPPIASALFTQKNRTKTKTHQLFSREEKTQLTVAHSGCGGSEELRKKLDWKSSKMMWVFGYGSLIWKAGFNYDERLVGFIKGYRRVFYQGSTDHRGTPEYPGRTVTLEPAEGEVCWGVAYRISNKEDQEVALTYLEVREKQYDKKAYLDFFTEPNATTPAVSDVMVYIASPDKKHNVNYLGPASIEEIAKQIIQAEGPSGPNRDYLFQLEEALLQFGCKDAHVMDLASEVRRILSERELTST >ONI27732 pep chromosome:Prunus_persica_NCBIv2:G1:8159583:8168714:-1 gene:PRUPE_1G102400 transcript:ONI27732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTITEGLVNLGKLLVHIAENGHSFELDCEDTTPVEAVMRFIESVVGINLNDQLVLCLDMKLEPHRPLSDYKLPADGREVFIFNKARLQPNSSLPLPEQVDILEIAEPQSPSASHDPHPLDDALDPALKALPSYERQFRYHYHKGHAIYTSTQVKYENCERLWREQKVQERAVEVARGNLDQYYRMINQNYTEFMKRYSQQHRIHSDLLVNLGRDVDKLRSIKLHPALQTASRKCLSDFVKEENLRKAGESCSSSHRQFENKVSQFKQIFGEVKRKVEELFSNRASLPIRNLDLTIKEHQRYITEQKSIMQSLSKDVSTVKKLVDDCLSCQLSSSLRPHDAVSALGPMYDVHDKNHLPRMQACDRAISKLLDFCKDKKNEMNIFVHNYMQKITYISYIIKDAKLQFPVFREAMVRQEDLFLDLKLVRGICPAYRACLAEIVRRKASLKLYMGMAGQLAERLATKREAEVRRREEFLKAHSLYMPRDVLASMGLYDTPNQCDVNIAPFDTGLLDIDISDLDRYAPEFLAGLSSKGSFRGSHSMSNESCHSAEVGEIALDNLEKYDSEELLEGCELVEIAGTSKMEVENAKLKAELASAIAKICSFWPEVDYESLDDSKMEILLKDAAEKTAEALQLKDEYGKHLQSMLRMKEMQCLSYEKRIQELEQRLSDQYLQGQKLSNDKDASEFSLLSDKVDDCKQEMLGGREVHMPCLSNTEPMDEVSCISNCLDTKLGLFNAQPGKMRDGGDENMMDSSAVQNHQMDSSMQELHREELLARGKDVKDKMVGQLGMSLTNSSTAESMPEPLNVLPCETATEPGLDNKVSTELLLELESALADKSNQLSETEIKLKAAVEDVAMLKRELDTNRKLLDESQMNCAHLENCLHEAREEAQTHLCAADRRASEYGALRASAVKMRGLFERLRSCVYAQGGVASFAESLRTLAQSLGNSINDNEDDGTVEFRKCVRVLADRVGFLSRHREELLDKYPKVEAANEQLRKELEDKKDLVKTLYTKHQLEKQANKEKISFGRLEVHEIAAFVLNTAGHYEAINRNCSNYYLSAESVALFTDHLPHQPNYIVGQIVHIERQTVKPLAPTSTRSEHELTSDTGTDRLTLNSGSNPYGLPFGCEFFVVTVAMLPDTTIHSPPPS >ONI32150 pep chromosome:Prunus_persica_NCBIv2:G1:32688463:32692515:1 gene:PRUPE_1G351400 transcript:ONI32150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDEPVTVGSSSSGIDSSNIGFQLLKKQGWTQGTGLGASQQGRLEPVKAYLKDNKRGLGADTSRNKFFPVSENSDSSGNDEKNNSFAHLVQEEEGMGKGMVTSSGSSIAINPSNIGFQLLKKHGWKEGTGLGISEQGRIEPVQAYLKNNKRGLGADKLQKALNPPDSTVLNGKNDQELHSKKGKGLSKKMKKEQELEKRLQEREFERAFHREFWPDNV >ONI32151 pep chromosome:Prunus_persica_NCBIv2:G1:32688463:32692515:1 gene:PRUPE_1G351400 transcript:ONI32151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDEPVTVGSSSSGIDSSNIGFQLLKKQGWTQGTGLGASQQNNSFAHLVQEEEGMGKGMVTSSGSSIAINPSNIGFQLLKKHGWKEGTGLGISEQGRIEPVQAYLKNNKRGLGADKLQKALNPPDSTVLNGKNDQELHSKKGKGLSKKMKKEQELEKRLQEREFERAFHREFWPDNV >ONI27079 pep chromosome:Prunus_persica_NCBIv2:G1:4764398:4767348:-1 gene:PRUPE_1G066800 transcript:ONI27079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLVCFGKKLQHLCSCSFLKLACLSKPMGFLLVCYYRFVLRRAKPFWIELVYFVTLSFLGFWVLKALKPRTDPSFRPRNLDLFFTSVSASTVSSMSTVEMEVFSNAQLIILTILMFIGGEVFTSMVGLQLWKLKLMLLTKEKVASASSDISPSSTPTNNIVFDHVELGSLASKPEFQDLNLGVEVYDQDQIDKPLDGESLKFNSIKFLGCVVVGYLLVIHGLGVLMVSLYLAVISSARNILKNKELNMHTFSFFTTVSTFSSCGFVPTNENMMVFSKNTGLLLILIPQVLLGNTLLPSCLRFSIWVLGKIFKKKEHLCNYLLKNTRKLGFLHLLPSLHSSLLVVTVLGFIMVQLVAFLSLEWSSDSLSGLNSYQKIIGALFQSVNSRHTGETIVDLSIISPAILVLFVVMMYLPPYTSFLPIKDDDQNPLQPQERRKTRRGKVVENLIFSQLSYLAIFIVLVCITERKQMKEDPLNFNVLNIVIEVISAYGNVGFTTGYSCKRQIHPNGDCQDKWYGFSGRWSDQGKLVLIAVMFFGRLKKFNMDGGRAWKLL >ONI36464 pep chromosome:Prunus_persica_NCBIv2:G1:47599083:47599691:-1 gene:PRUPE_1G586200 transcript:ONI36464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRIVTKMVAFLGLLLLMLMAATTKTHALIPYPTRPSSLSLWGMTDDPFRILEQTPFTIPRDVSAAALQDTLALARADWKETATAHVITLDIPGMKKEDVKIEVEENRVLRISGERKTEEQVEGDKWHRAERTNGKFWRQFRLPGNADVDQIKAHLEDGVLRITVPKFAAEKKRQPKLIDIAHHTTSSDDADIKAASAKVA >ONI27847 pep chromosome:Prunus_persica_NCBIv2:G1:8650861:8652626:-1 gene:PRUPE_1G107900 transcript:ONI27847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAESLETGWEVFVDFRLFLLDQNKGIYLVLQDANLKKMCLHVAMLEVGFDRVIPLKAFADASNGYLIDDTCVFGAEVFVCKERRAGKAECLPRINNAVIVSKENKDFLHKKENNDFMNKYVWKIEEFSKLKPEPLESKPFNAGGQTWKIQLYPKGDSHGNHTHVSLSLTLANPEKLSTASKILAQFTLRIVDQLNAKHFFRQASNCFRASSPSWGWSNFIMLGFFKERDKGYLVMNTCVVEAEVTVRS >ONI28152 pep chromosome:Prunus_persica_NCBIv2:G1:9971006:9975073:-1 gene:PRUPE_1G126800 transcript:ONI28152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGGVSIGRTRGENRFYNPPAIRQRQQQQRQKLEQEKHQRQSVESDDCATSHSSGSGRAVLTDATNLDRFLEYTTPVVQAQYIPKTSVRRWRTHEPDLHPYFVLGDLWESFKEWSAYGAGVPLLLNGSDSVIQYYVPYLSAIQLYVDPSKPSTRIRRPGDESDAESSRETSSDGSSDYGTERGLNGAPYSALSWQNVADVNGHGWNRALPRNKPFNGSSSDESGEVCNPPGQLIFQYMEHDQPFGREPLADKISVLASQFPELRTYRSCDLSPSSWVSVAWYPIYRIPTGPTLQSLDACFLTFHSLSTPIKGTSTDRLRFSGAQAREVQNATFKHSLPIFGLASYKFKVSFWNLNGVHECQKANSLLRAADNWLRLLQVNHPDFNFFVSHSTTRR >ONI28871 pep chromosome:Prunus_persica_NCBIv2:G1:13406649:13412067:-1 gene:PRUPE_1G165500 transcript:ONI28871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASTAGGGGGPAPFLLKTYDMVDDSATDEIVSWSTNKKSFVVWNPPEFARLLLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIQDQKHLLKNIHRRKPIHSHSNPQGSMVDPERAALDDEIEKLSHDKATLEANISRFKQQRSDAKLQLEDLTQRVNSMEQRQKDLLKFLDKNVQNPTFVEHLTRKIEAMDFSACNKKRRLPDVDHLQPVMENSFVDNQSSSRSEFGNIFHQDFSSKLRLELSPADSDINLVSRSTQSSNEDGYSPTRKISEELKGVQKRTEGLLFAPETLELSDTGTSFAFKMDSLLSRKALTVGNPRLHSLQPGLSSNEEGDGHISCQLKLTLASSPLQVNSSPHSATIPQVGQDISKSLASGLNANGKESDIRAFTNKNPADEDMHKTCSQEATNNNQGPPPAPVRVNDVFWEQFLTERPGCSENEEASSNYRGNPYDEQDDGRLGHGMSRSAKDAETLTL >ONI35107 pep chromosome:Prunus_persica_NCBIv2:G1:42474189:42475587:1 gene:PRUPE_1G516300 transcript:ONI35107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFQAHPLSPNQKKAIPESENSSKKRKWDDEPYDDHDHDQVEQIFEKRWKPQTRAKSLFDIELHQETPLPLEWQRCLDIQSGQIHFYNTRTHMKTSRDPRRSPEPPSPAPHMSLDLELNLRSCESMIRKANISDHSSENSRPNSDISLHNFHDLFMEPSRPTKSKNPSRLGLQIDHLHHQEMVATVCMKCHMLVMLCRSSPACPNCKYMHPPDQTPPTLFKPRCSSFMC >ONI32351 pep chromosome:Prunus_persica_NCBIv2:G1:33358914:33361995:1 gene:PRUPE_1G362800 transcript:ONI32351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNGKSQRKKERREIRGQGRKEKDESIPGYVTLHNVKSLDDKKCFQQKKGKKGEAKGWCPQKIEHEVDQLERSDITNEHGLPTCIENNSYLSDGTQSSKKSKNNSYLSDGTQSSKKSKPLIVRIKLLKHSEPNASLACSPSGRVDLLPPERTEVVLAPSQPSAETNVELRQVSSKPDQDLPCSTSKGVETIGQKRSASAAFEDQIQSEDSLYASLIENWIPPPIQFADVGDGDEEWLFGTKHQNRCGSKRFKAANNEVSSCTRSTLWPQAQWLLEAGVYALPFTVPF >ONI32349 pep chromosome:Prunus_persica_NCBIv2:G1:33358913:33361995:1 gene:PRUPE_1G362800 transcript:ONI32349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALKYTFSDYARNAVSREALLEPTKLQREMEKNGKSQRKKERREIRGQGRKEKDESIPGYVTLHNVKSLDDKKCFQQKKGKKGEAKGWCPQKIEHEVDQLERSDITNEHGLPTCIENNSYLSDGTQSSKKSKNNSYLSDGTQSSKKSKPLIVRIKLLKHSEPNASLACSPSGRVDLLPPERTEVVLAPSQPSAETNVELRQVSSKPDQDLPCSTSKGVETIGQKRSASAAFEDQIQSEDSLYASLIENWIPPPIQFADVGDGDEEWLFGTKHQNRCGSKRFKAANNEVSSCTRSTLWPQAQWLLEAGVYALPFTVPF >ONI32350 pep chromosome:Prunus_persica_NCBIv2:G1:33358914:33361995:1 gene:PRUPE_1G362800 transcript:ONI32350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALKYTFSDYARNAVSREALLEPTKLQREMEKNGKSQRKKERREIRGQGRKEKDESIPGYVTLHNVKSLDDKKCFQQKKGKKGEAKGWCPQKIEHEVDQLERSDITNEHGLPTCIENNSYLSDGTQSSKKSKNNSYLSDGTQSSKKSKPLIVRIKLLKHSEPNASLACSPSGRVDLLPPERTEVVLAPSQPSAETNVELRQVSSKPDQDLPCSTSKGVETIGQKRSASAAFEDQIQSEDSLYASLIENWIPPPIQFADVGDGDEEWLFGTKHQNRCGSKRFKAANNEVSSCTRSTLWPQAQWLLEAGVYALPFTVPF >ONI35123 pep chromosome:Prunus_persica_NCBIv2:G1:42533074:42537007:-1 gene:PRUPE_1G517500 transcript:ONI35123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQHVEALEILLQGLCGVRRERLRVHEICLKSGPNLGAVASEVRLICNLEQTEPTWTVRHIGGAMRGAGAEQISVLVRTMVESKASKNVLRLFYALGYKLDHELLRVGFAFHFQRGTQITVTVSSVNKMLQLHATDEAVPVTPGIQLVEVTAPATSENYTEVVAAISSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGEELSS >ONI35124 pep chromosome:Prunus_persica_NCBIv2:G1:42533683:42537007:-1 gene:PRUPE_1G517500 transcript:ONI35124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQHVEALEILLQGLCGVRRERLRVHEICLKSGPNLGAVASEVRLICNLEQTEPTWTVRHIGGAMRGAGAEQISVLVRTMVESKASKNVLRLFYALGYKLDHELLRVGFAFHFQRGTQITVTVSSVNKMLQLHATDEAVPVTPGIQLVEVTAPATSENYTEVVAAISSFCEYLAPLLHLSKPGISTGVVPTAAAAAASLMSDGGGTTL >ONI32371 pep chromosome:Prunus_persica_NCBIv2:G1:33448438:33448773:-1 gene:PRUPE_1G363800 transcript:ONI32371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDAVAGNLTTIYVAVITGIKAYAVVFGQSFSGGFVLIVSTIVVCLILIATLTWDVSRKATYAIRRDHSVHVHDMCKGGICWHGVAVQSSASEVRLRLPHQLSYGSSLN >ONI32370 pep chromosome:Prunus_persica_NCBIv2:G1:33447472:33448917:-1 gene:PRUPE_1G363800 transcript:ONI32370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDAVAGNLTTIYVAVITGIKAYAVVFGQSFSGGFVLIVSTIVVCLILIATLTWDVSRKATYAIRRDHSVHVHDMCKGGICWHGVAVQSSASEVRLRLPHQLSYGSSLN >ONI31283 pep chromosome:Prunus_persica_NCBIv2:G1:29793718:29795134:-1 gene:PRUPE_1G303900 transcript:ONI31283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFTKDFKVGKCEGQKVVDGETMPLVLQPPEPNSSNDVESLVLALKKNKDWFEQMLIKNSAVLLRGFNVQNAEEFNDIIETFGWDDIRYVGPAPRTHVHKRVWTANEGPLSEFIYYHHEMVLIKEYPKKVILFCETPPPEGGETPFVPSFRVTERMLKEFPETVEEMDANGLKYTFTALSKNSTGSMRGRGWEDTFGTSDRAEAEKRANALGMDVEWLPDGAIKTILGPRSLTKVFDGRKERRMWFNTVVGMHGKELSSALMADGTEIPANVVNRCEQIIEEESIQFKWEKGDVLFFDNLALLHGRRPSLPPRRVLVATCK >ONI31836 pep chromosome:Prunus_persica_NCBIv2:G1:31574988:31579970:-1 gene:PRUPE_1G333700 transcript:ONI31836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSGDGGTTPVAEFSCNGPAPGATPTPPPLTVSGSFKEGKSSSRRRGFMRPSMDGDEFINLLHGSDPVKVELNRLENEVRDKDRELGEAQAQIKALKLSERAREKAVEELTDELSKVEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKFQEMNEERKTLDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERAAKSEAQLKEKYHMRLKVLEESVRGSSSSTNRSTAEGRSTSNGPSRRQSLGGADNIPKLTSNGYLSKRTPVRSLSSSTSSVLKHAKGTSKSFDGGTRSLDRGKLLLNGTSPSFSVNQSCEGTKDGEAQNNWKGNSDDKPNEFSTVDIEDSVPGVLYDLLQKEVVALRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEHENRAKRFGNAKGSVNSAQVLSGRGLARGGLTRSTQ >ONI35324 pep chromosome:Prunus_persica_NCBIv2:G1:43331821:43338208:1 gene:PRUPE_1G530100 transcript:ONI35324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHTSFNVDNIDQSRPLSPKFASLVDSVNGFAKLGKLSQAKPSCNDGENERRSNCSSDLNKSSRSEGPVTESCAPSSGFWGRTLDSVGSSSDVQVSNSSVASNSKVPGFGSSLQFSFNLSGNIAPALRTPGSGSSGTILGDACTDCSELNKSIYGADLSEKISGDAPKVRNSPSRNCKGSNNEVNGSSSDLHALKSRAVNSAPSSLPAVHKSIRTERVSKGTDALNSSRVLPTSLDRSNHAVNNCGRTSNLSKSREVGYPPSVSDSRLASAVESSSLPCVKAGKVDFVEARDAVSSQVTNSSNDRNGLKTSVFKVFDQFRGSKTSKHYPLGVGTEIAGKHIEKEIFPYELFVKIYNWNKVELRPSGLINCGNSCYANAVLQCLAFTPPLTAYLLQGLHSKVCVKKEWCFMCEFESLVSKAKEGKSPLSPMAILSQLRNIGSQLGNGREEDAHEFLRYAIDMMQSVCLMEAGVNASRSLKEETTLIGLTFGGYLRSKIECSKCQGKSERQERMMDLTVEIEGDIGTLEEALRRFTSTETLDGENKYQCSRCKSYEKAKKKLTILEAPNILTIALKRFQSGKFGKINKPIRFPEILDLAPYMSGTSDKSPIYRLYGVVVHLDIMNAAFSGHYVCYVKNSHNKWFKIDDSTVTAVELENVLMKGAYMLLYSRCSPRAPRLIRNRIISPDPKHRAIPSWISGKTTNLKPKSVSPHSSVDPFLPCSNPPEDTTSSQLKRILEEDSSSDNSSLISNNSDEGSCSTDSTRDSSSADDLSDYIFGDSGRGWNSPWRNFSDSDTSSSSSSSPTSTKHSPLSDSNRYASDGAMTVPFLNSDTSKQCRKLASSSSRNRETDSERLGPDSLRDVKFKKSSRERTVNI >ONI35323 pep chromosome:Prunus_persica_NCBIv2:G1:43330169:43338208:1 gene:PRUPE_1G530100 transcript:ONI35323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVGDLGFRSLVLVACFLVPVIGFFVVRRKWRLAKARTEEIKRLLILAKEEAARAEFEVAAGYAAVSVAENKGSYCAVCYCPTTTRCARCKAVRYCSGKCQIIHWRQGHKEECHPPSHQSIDGEGDAGLNVAKKDLEINTDKIENRQSVERFSEEPALPNPGCPPEIQCITDDDSEDEFLSERKGPNSTSESSATSFSGFSTSASCTGSSDDASVSESVSSCESDRPDGHPSANDALDMLHTSFNVDNIDQSRPLSPKFASLVDSVNGFAKLGKLSQAKPSCNDGENERRSNCSSDLNKSSRSEGPVTESCAPSSGFWGRTLDSVGSSSDVQVSNSSVASNSKVPGFGSSLQFSFNLSGNIAPALRTPGSGSSGTILGDACTDCSELNKSIYGADLSEKISGDAPKVRNSPSRNCKGSNNEVNGSSSDLHALKSRAVNSAPSSLPAVHKSIRTERVSKGTDALNSSRVLPTSLDRSNHAVNNCGRTSNLSKSREVGYPPSVSDSRLASAVESSSLPCVKAGKVDFVEARDAVSSQVTNSSNDRNGLKTSVFKVFDQFRGSKTSKHYPLGVGTEIAGKHIEKEIFPYELFVKIYNWNKVELRPSGLINCGNSCYANAVLQCLAFTPPLTAYLLQGLHSKVCVKKEWCFMCEFESLVSKAKEGKSPLSPMAILSQLRNIGSQLGNGREEDAHEFLRYAIDMMQSVCLMEAGVNASRSLKEETTLIGLTFGGYLRSKIECSKCQGKSERQERMMDLTVEIEGDIGTLEEALRRFTSTETLDGENKYQCSRCKSYEKAKKKLTILEAPNILTIALKRFQSGKFGKINKPIRFPEILDLAPYMSGTSDKSPIYRLYGVVVHLDIMNAAFSGHYVCYVKNSHNKWFKIDDSTVTAVELENVLMKGAYMLLYSRCSPRAPRLIRNRIISPDPKHRAIPSWISGKTTNLKPKSVSPHSSVDPFLPCSNPPEDTTSSQLKRILEEDSSSDNSSLISNNSDEGSCSTDSTRDSSSADDLSDYIFGDSGRGWNSPWRNFSDSDTSSSSSSSPTSTKHSPLSDSNRYASDGAMTVPFLNSDTSKQCRKLASSSSRNRETDSERLGPDSLRDVKFKKSSRERTVNI >ONI26388 pep chromosome:Prunus_persica_NCBIv2:G1:1492360:1493606:-1 gene:PRUPE_1G021400 transcript:ONI26388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASVQSLLANSVAYGAGKSKSLRVNHLLPAKYAPTLHRYPNMRVRSMAENGQEEQPSTAPEASKIPPPPPTPTPPPKRSPKISTKFSDVFAFSGPAPERINGRLAMVGFVSALAVELAKGQDLFAQISDGGVSLFLGTSILLSVASLIPLFRGVSVESKSDGIMTSDAELWNGRLAMLGLVALAFTEYVKGGTLV >ONI27667 pep chromosome:Prunus_persica_NCBIv2:G1:7917715:7921306:1 gene:PRUPE_1G099100 transcript:ONI27667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSMSSSSKLKSESSWPLRQQLLQERNTRENLDRFIPNRSAMDFDFAHFMLTEGRKVKKNPAMVSSPSKEAYRKQLAESMNMNRTRILAFKNKPPAPVELFPREFSSLPQEKPAKPRRHIPQTSERTLDAPDLVDDYYLNLLDWGSCNVITIALANTVYLWDATNGSTSEVTTFEDEVGPVTSVSWAPDGRHIAIGLDNSEVQLWDSTANKQLRTLRGCHRSRVGSIAWNNHILTTGGMDGCIVNNDVRVRSHIVETYRGHEQEVCGLKWSASGQQLASGGNDNLLHIWDRSVASSNSPTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACLNSVNTGSHVCALLWNKNERELLSSHGFTQNQLTLWKYPSMAKITELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPEVAKPAPKSNPEPFAHFNRIR >ONI27668 pep chromosome:Prunus_persica_NCBIv2:G1:7918145:7921306:1 gene:PRUPE_1G099100 transcript:ONI27668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDFAHFMLTEGRKVKKNPAMVSSPSKEAYRKQLAESMNMNRTRILAFKNKPPAPVELFPREFSSLPQEKPAKPRRHIPQTSERTLDAPDLVDDYYLNLLDWGSCNVITIALANTVYLWDATNGSTSEVTTFEDEVGPVTSVSWAPDGRHIAIGLDNSEVQLWDSTANKQLRTLRGCHRSRVGSIAWNNHILTTGGMDGCIVNNDVRVRSHIVETYRGHEQEVCGLKWSASGQQLASGGNDNLLHIWDRSVASSNSPTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACLNSVNTGSHVCALLWNKNERELLSSHGFTQNQLTLWKYPSMAKITELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPEVAKPAPKSNPEPFAHFNRIR >ONI27669 pep chromosome:Prunus_persica_NCBIv2:G1:7918317:7921306:1 gene:PRUPE_1G099100 transcript:ONI27669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDFAHFMLTEGRKVKKNPAMVSSPSKEAYRKQLAESMNMNRTRILAFKNKPPAPVELFPREFSSLPQEKPAKPRRHIPQTSERTLDAPDLVDDYYLNLLDWGSCNVITIALANTVYLWDATNGSTSEVTTFEDEVGPVTSVSWAPDGRHIAIGLDNSEVQLWDSTANKQLRTLRGCHRSRVGSIAWNNHILTTGGMDGCIVNNDVRVRSHIVETYRGHEQEVCGLKWSASGQQLASGGNDNLLHIWDRSVASSNSPTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACLNSVNTGSHVCALLWNKNERELLSSHGFTQNQLTLWKYPSMAKITELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPEVAKPAPKSNPEPFAHFNRIR >ONI28162 pep chromosome:Prunus_persica_NCBIv2:G1:10003924:10004880:1 gene:PRUPE_1G127600 transcript:ONI28162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYETEINSVIPPARLFNAFVLDADNLIPKIAPQAVKSTEILEGDGGVGTIKKINFGEGSTYSYVKHRIDGIDKENFVYKYSVIEGDAISETIEKISYETKLVASGSGSVVKSTSHYHTKGDFEIKEEHVKAGKEKASHLFKLIENYLLEHHDAYN >ONI28161 pep chromosome:Prunus_persica_NCBIv2:G1:10003924:10004826:1 gene:PRUPE_1G127600 transcript:ONI28161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYETEINSVIPPARLFNAFVLDADNLIPKIAPQAVKSTEILEGDGGVGTIKKINFGEGNTYSYVKHRIDGIDKENFVYKYSVIEGDAISETIEKISYETKLVASGSGSVVKSTSHYHTKGDFEIKEEHVKAGKEKASHLFKLIENYLLEHHDAYN >ONI33526 pep chromosome:Prunus_persica_NCBIv2:G1:36915334:36917455:1 gene:PRUPE_1G430700 transcript:ONI33526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSASAAAIPSRRESNRDSNRSKPKSTAAICPMEAEDESSEIVNAAYDFISDLPDECLACIFQSLGSRDRKQCSLVCLRWLRIEGQSRHRLSLDAKSDLLPFIPSLFSRFDAVTKLALKCDRKVVSIGDEALALISLRCRNLTRLKLRACRELTDAGMSSFAKNCKALKKLSCGSCTFGAKGMNAVLDNCSALEELSVKRLRGITDGAAAEPIGPGVAASSLKTICLKELYNGQCFGPLIMGAKNLRTLKLFRCSGDWDKLLQVIAERVTSMVEIHLEKLQVSDVGLAAISNCLDLEILHLVKTPECTNVGLVSVAQRCKLLRKLHIDGWKANRVGDEGLVAVAMSCPNLQELVLIGVNPTRVSLETLASNCPNLERLALCGSDTVGDVEISCIAAKCVALKKLCIKSCPVSDIGLKALASGCPNMVKVKLKKCRGVTAEGADWLRASRPALAVNLDTGETIQDNSASDGGANDNNAVELPAALAIQPVFLGIASSSSTGRSTTSFKSRLGLLTGRNFVVCALRRWSSRNNS >ONI30181 pep chromosome:Prunus_persica_NCBIv2:G1:24905949:24907112:-1 gene:PRUPE_1G235400 transcript:ONI30181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTYAIARLRVKIERETHACESRCACGLELIVLDKMFNGEKCFKSTSRGAALLREALLVEKSSSASCLFEER >ONI29382 pep chromosome:Prunus_persica_NCBIv2:G1:18541305:18546270:-1 gene:PRUPE_1G195700 transcript:ONI29382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQSVHGFDSDESDLDMHAETYENNEGMEDVLSENLDLCLDEKEGIAEPSADLPMNIEALEPNIGMEFNSRDEAREFYVAYGRRSGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVHRKDRVLPPPPVTREGCQAMIRLALRDGLKWVVTKFVKEHNHKLMSPSKVPWRGSGKHLASEDEKDKRIRELSLELYNERQKCKRRCAAYEEQLNLILKDLEKHTEHMSKKVADIVQSIREIEEEQSEDSDSGWT >ONI29383 pep chromosome:Prunus_persica_NCBIv2:G1:18541305:18547430:-1 gene:PRUPE_1G195700 transcript:ONI29383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQSVHGFDSDESDLDMHAETYENNEGMEDVLSENLDLCLDEKEGIAEPSADLPMNIEALEPNIGMEFNSRDEAREFYVAYGRRSGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVHRKDRVLPPPPVTREGCQAMIRLALRDGLKWVVTKFVKEHNHKLMSPSKVPWRGSGKHLASEDEKDKRIRELSLELYNERQKCKRRCAAYEEQLNLILKDLEKHTEHMSKKVADIVQSIREIEEEQSEDSDSGWT >ONI33681 pep chromosome:Prunus_persica_NCBIv2:G1:37431922:37432476:1 gene:PRUPE_1G440600 transcript:ONI33681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGKLMCASVFLLVLIFSYGIISTEERLTRTDLTNQAILLHRKVLENEVAAGSAPPTLTYESVPDDNYRPTTPGHSPGAGHNAGHSGGPE >ONI34548 pep chromosome:Prunus_persica_NCBIv2:G1:40526542:40530485:1 gene:PRUPE_1G486900 transcript:ONI34548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLVIALNLLLLLVHQNQPRPICVGATPDPLVSSVLAPLSSPISPIASPISPIASSMASFSPEIQEGSEGHQMEPHRKMLIALIVTCTALGVVLLSLLCLWVYHKKCPHKSHKKSAQSSDAEKGLALGPFMGKFNSIRMVSKKGSVSVIEYKVLEKGTNNFRDSNIIGEGGFGCVYKARLDDNLLVAVKKLDCASQDAEREFENEVELLHKIQHPNIISFLGCSTDGDTRFIVYELMHNGSLETQLHGPSRGSALTWHMRMKIALDAARGLEHLHEYCNPPVIHRDLKTSNILLDANFNAKLSDFGLAVADGAKNSNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGIVLLELLLGRRPVEKLAPTQCQSIVTWAMPQLTDRSKLPNIVDPVIKDTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVTQPTAPGSPPAGH >ONI34549 pep chromosome:Prunus_persica_NCBIv2:G1:40526542:40530485:1 gene:PRUPE_1G486900 transcript:ONI34549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLVIALNLLLLLVHQNQPRPICVGATPDPLVSSVLAPLSSPISPIASPISPIASSMASFSPDAEKGLALGPFMGKFNSIRMVSKKGSVSVIEYKVLEKGTNNFRDSNIIGEGGFGCVYKARLDDNLLVAVKKLDCASQDAEREFENEVELLHKIQHPNIISFLGCSTDGDTRFIVYELMHNGSLETQLHGPSRGSALTWHMRMKIALDAARGLEHLHEYCNPPVIHRDLKTSNILLDANFNAKLSDFGLAVADGAKNSNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGIVLLELLLGRRPVEKLAPTQCQSIVTWAMPQLTDRSKLPNIVDPVIKDTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVTQPTAPGSPPAGH >ONI32821 pep chromosome:Prunus_persica_NCBIv2:G1:34707930:34711759:-1 gene:PRUPE_1G388200 transcript:ONI32821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAQKETSNARRPHFFAFYSADLSSERLKIPERFMIHMEGRTSGLVSLFGPSGNAWNVDLIQKNGDLFFHHGWPAFVRDHFVECGDFLIFRYDGELCFTVLIFDQSACEKEAAFHSECGQDSSNFEKYMGRKRGREEAASSGKLVDGVAKKMRDSSSEEGCGHEGVMCEEATCSKEIKRAEKPGLDIVLCKANASIQNMCGKGDGLNVNGRVCVQMSSAHEIAQSFSSSNPYFVRIMKSFNISGSYTLNIPCKFSVAHLPNCKVKLVLHNWKGESWTVNSVPSTRVHTSHTLCGGWMAFVRYNDIKLGDICIFELVRECEFRVHVQSGETSSWLSAELALPSIKTFKSLSKIMTGNSPKVHSKSIRKIEKADKIAPKKFQEAAFTNDARKYGSTSKSLTKVSLSQSKAASKKLVNHRKKVVEDELNPGSSLRMRLTLDEERAARSFASCFPSFVKIMKKFNICGSYTLKIPYQFSTEHLPNYKTEIVLRNSKGECWTVNSVPDSKGRVVHTFCGGWMAFVRGNDVNIGDICIFELVGKREMQVHISGVGKKGFDHQDGEATSNAV >ONI32822 pep chromosome:Prunus_persica_NCBIv2:G1:34708906:34711483:-1 gene:PRUPE_1G388200 transcript:ONI32822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAQKETSNARRPHFFAFYSADLSSERLKIPERFMIHMEGRTSGLVSLFGPSGNAWNVDLIQKNGDLFFHHGWPAFVRDHFVECGDFLIFRYDGELCFTVLIFDQSACEKEAAFHSECGQDSSNFEKYMGRKRGREEAASSGKLVDGVAKKMRDSSSEEGCGHEGVMCEEATCSKEIKRAEKPGLDIVLCKANASIQNMCGKGDGLNVNGRVCVQMSSAHEIAQSFSSSNPYFVRIMKSFNISGSYTLNIPCKFSVAHLPNCKVKLVLHNWKGESWTVNSVPSTRVHTSHTLCGGWMAFVRYNDIKLGDICIFELVRECEFRVHVQSGETSSWLSAELALPSIKTFKSLSKIMTGNSPKVHSKSIRKIEKADKIAPKKFQEAAFTNDARKYGSTSKSLTKVSLSQSKAASKKLGKISPKSS >ONI34769 pep chromosome:Prunus_persica_NCBIv2:G1:41252566:41255410:1 gene:PRUPE_1G497800 transcript:ONI34769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRIPIKAIVSLFCSYMLLSHITTLSPTLALEDCEFPAIFNFGDSNSDTGGLAASLLPPPPPYGETYFHMPVGRFSDGRLIIDFLAKSLGHPFLSAYLDSLGTNFSYGANFATASSTIRLPDNIIPAGGFSPFYLNIQYMQFMQLKSRSQLIRHRGGIFASLMPKEEYFSKALYTFDIGQNDLGEGFFGNLTVQEVNASVPDIISGLSANIKKIYDLGARSFWIHNTGPIGCLPYILANFPAQTDEAGCAKSYNEVAQHFNQKLKEATVQLRKDLPLAAITYVDVYSVKYSLFKEPQKYGFELPLVACWVWWQVQL >ONI26604 pep chromosome:Prunus_persica_NCBIv2:G1:2363901:2366093:1 gene:PRUPE_1G034000 transcript:ONI26604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSHQENPEPKKVQFPLDSTAYQILDEIGFGVSAVVYKAICLPMNNTIVAIKSIDLDQSRANFDNIRRETKTLSLLSHPNILSAHCSFTVDRHLWVVMPFMSAGSLQSIISSAFPDGLPEPCIAVVLRETLKAMSYLHDQGHLHRDIKAGNILIDFNGSVKVADFGVSASVYDANSSGESSIRLNDVAGTPYWMAPEVIHSHNGYGCKADVWSFGITALELAHGGPPLSNLPPSKSLLLKITKRFRFSDYENRHDKNYKSKKFSKAFKDLVGCCLDQDPNKRPTAERLLRHSFFKHCRGLDFLVKNVLQGLPSVEERFKKTRALGGLMKEKGINAEDDEDEEEDDEGSSARQRAKHRRISGWNFNEDEFDLDPVFPVEPQGDSAVKMVRFGGESIIQDRGGEWSESNPSSPGRVEEEAESENVGVMEAGREAMAVGEHSENVGEIRGLLGGGGVDEEALMGALSGNVVGGLDREAMMGALVAFIGSLDEQRQNVMKLLSVLRGVEAWELSREEQMGQVIERLRVELENERGRNFQLEMELEFLRIQVSGAHSSTGAGID >ONI32906 pep chromosome:Prunus_persica_NCBIv2:G1:35002956:35004822:1 gene:PRUPE_1G393100 transcript:ONI32906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISYCPVALEILMNMDDHTPTKRKVDALQQDLLRLRKENEALRFLLTAMTTKCNTLEQLIREKNSERNDQFPVAQKTTQFLVRTDSKDNTLIVKDGYQWRKYGQKVTKDNPSSPRAYFKCSLAPRCPVKKKVQRCMVDKSLLVATYEGQHNHEAINGSPLGQFSCSSSTAVHNNNIIINPINFPSHGTSADINNNDVINIVSPSNSSRPVPITLDLTLSGSTSNQENNRSAGSPQNSSSSAQNIDCESRIEDYVAYLTKDHNFTQALAAAVASSITRPSADRQNQ >ONI34361 pep chromosome:Prunus_persica_NCBIv2:G1:40029013:40030917:-1 gene:PRUPE_1G478000 transcript:ONI34361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINQAAAVDTENPYIPLETSMRQELGRLSPISSSCCIYRVPERFRRVNENAYTPQVVSIGPLHHGKKGLEAMEEHKKRYLQEFLCRTKVSLEDCIKKIRDREARLRSCYAETNGFSSDEFVRIILVDAAFIVELLLKHNGRTPIKENDAIFKKPKIILDLETDMQLLENQLPIFILEDLFYLQQGTLPSDSDDRLSTFVTDLSLKFFTSRMTLMRDADQESILDRLSSTKKVEHFLDLILRSLCQSEVELIPLGCQSEVELIPLGYVTTTTRVKTSVTPSLTPSVTELYQAGVEFKVGSMKNLFDIRFTNGICEIPKIRVDDDTEISLRNLLAFEQCHYMHNHICDYMTIMDGFVNNAIDVDLLVERGIIENILGDRKELSALFNNLGLGICSDENFTFASLCEDLNRYYSSKRHKMMANLRHNYFNTPWRTLSVIAAVVLLILTLIQTVCSIISVA >ONI31905 pep chromosome:Prunus_persica_NCBIv2:G1:31858409:31864743:1 gene:PRUPE_1G338100 transcript:ONI31905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALGSPTIRVRTSTNCNVLLKELQQIWNDIGESEADQDRMLLELERECLDVYRRKVDEAANAKARLHQSVAAKEAELATLMATLGELNIDSPIQREKRSNSLKEKLASVAPLVEDLRVKKEERMKQFSDIKAQIEKISGEISGYNHLNNAPVSSLTLDEQDLSVRKLTEYQAHLHTLQKEKSDRLHKVLECVNEVHSLCGVLGLDFGQTVSEVDPSLHRTSIEQSTNISNSTFEGLEQTIQKLKTERKARIQKLKDIVASLLELWNLMDSSREERSKFSRITLILGNSEPEITEPGFLSTDIIEQASAEVERLTELKASRMKELVMKRRSELEEMCRMAHIEPDTSTSAEKSNALIDSGLVDPSELLANIEAQIVKAKEEALSRKEIMDRIDRWLFACEEENWLEDYNQDENRYSAGRGAHINLKRAERARVTVNKIPAIVDNLINKTLAWEDEKKMLFLYDGARLVSILEDYKVSRQQKEEEKKRSRDQKKLQDLLLTEKEAMYGSRPSPRKSTSFRKPNGYRPNGNGSMTPTPRRNSVGSGTPELLTPRSYSGRQNGYFKEMRRLSTTPLNFVAVSKEDTMSYASVCGSVLGSPPHD >ONI34137 pep chromosome:Prunus_persica_NCBIv2:G1:38747941:38748449:-1 gene:PRUPE_1G464400 transcript:ONI34137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEYGCSPSSSSLTTSIEKRKQRQNQQQQQQVGGNHDHRHQEKQYRGIRMRKWVAEIKEPNKRSRIWLGSYTTLVATARAYDTAVFYLRCPSARLNFPELKATEVGEKVDAVETAHRSPISQSKTTSSLVNFHKLDLNKYLDPENSNEN >ONI26935 pep chromosome:Prunus_persica_NCBIv2:G1:4015929:4019313:-1 gene:PRUPE_1G056400 transcript:ONI26935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLEEFNANLESLPNILARHYAVLRNLDKSLQDIQRQNEQRCEQEIEDIKREIKSGNITPDTSLIRFSDEALDEQKHSIGIADEKVMLAVRAYDLVDTHIQQLDQYLKTFEEELRERDTVVASALPAPSVDTGAKPGRSSEGGRGGRKKTRLATATAATATAAVNPTAMDLDIPVDPNEPTYCLCNQVSYGEMVACENPNCKVEWFHFGCVGLKDKPKGKWYCPDCAALKNRRKGR >ONI26251 pep chromosome:Prunus_persica_NCBIv2:G1:967833:972269:-1 gene:PRUPE_1G012800 transcript:ONI26251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKDHHLQQRDQQSKDDSRVEAVLQLLRKQAPLSVKQEKFCNTACVERFLKAKGDSVKKAAKQLRACLSWRQSIGTENLIADEFSAELAEGVAYVSGHDEESRPVLIFRIKQDYQKFHSQKLFTRLLVFTLEVAIESMPKNVEQFVLLFDASFFRSASAFMNLLLAALKIVAEYYPGRLFKAFVIDPPTMFSYLWKGVRPFLELSTATMVVSSLDFEESLDFNDFSNYPRASSLRFDPASIKSTAKIGSCSSSRFSFTVSHHQFDSLKPWYLSLTDTSASKVGPTGHSPLGPSLISPLNARSFSFASPAARTPRGTIHGYGNSAATRKSLFPSTPLPQRCTSSEASRTPRHPRTPRPSFLQSPAMFFRSSTSKECHVSKTEKSRESFVPFLKFYRRPYDEMIYRSKMRPPLGGLISIVSPHLRRRHVSVSQRF >ONI30803 pep chromosome:Prunus_persica_NCBIv2:G1:27992290:27996088:1 gene:PRUPE_1G273800 transcript:ONI30803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDPPKQTKATDKETDPPKQTKATDKETDPPKQSKATDMETNPPKQAKATDKETHPPKQSKATDMETNPPKQAKAMDVETDPPKQTIASSQDHLLQVPISSEVRANWMHDLPMHLLESILSDLPVKESVCTSCMCKSWHDNLWSFVSADDTSKSTESDFKKSLEKLLQNPAYFLNVRLRCTKFKRHSFLHEFLRELLKKKVINLEIAVDRHESLGFFPIPPEVFTSMHLWVLKIASARIILSPPGTFTRLSAIQVDVSRSSHASILDFYKWCPVLQDLQIQGKIMRLPDKKQKFQIRSQSLLRLKITLHLHKLQLDRGQKVYLSAGNLYEVDIDAPQLEVLDIDESIFAKFKIGNFKHLREVKLQSGFYEAKRQLGEVGELLVLSRGVFYTINQASLSTSCLVVGDGAFGALSFTFMDYLLSGNEAAIRNKFRMTFPDFYHVTSLRLCIADSSGWLFMPYLLAHLPNLQRLDLEVVDREEVRKGKKFKWNPPKDHVGWCLTSVKHVRMIGFGEMMEEASRILDFVREAATEERFEEITITYE >ONI28952 pep chromosome:Prunus_persica_NCBIv2:G1:14256826:14258900:-1 gene:PRUPE_1G171300 transcript:ONI28952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVVNLQHSHCKIALTWKINHGTSSFFFFPKTLTTKPSCKAGPFTSSLRSAQRLPSPLRPDVAPDSSSTKHTTLLVETFHEHQRLKALLQNLINGSCPLQLLGEDGDWTKDQFWAAIRFLKHTFRFNEILQLFDMWKNIEKSRINEFNYSKIIGLLGEEGLIEEAVRCFQEMKSHNLRPSLEVYNSVIHVCARQGNFEDALFFLNEMKEMNLAPETDTYDGLIEAYGKYRMYDQIGMCVKKMKLNGCSPDHITYNLLIREFARGGLLKRMESVYQSMLSRRMALQSSTLIAMVEVYAKFGILEKMENVYRRVLNSGTVVKNDLIRKLAEVYIDNYMFSRLEKLGVDLSSRFGQTDLVWCLRLLSQAGVLSQRGMDSIVDEMKEQNVPWNETVANIIMLAYLKMKDFTHLRIFLSQLLTQGVEPDIITVGIVFDANRIGYDGSRTLDTWRENGFLRKAVEMNTDPLVLTTFGKGHFLRNCEAAYSSLEPEDRENKTWTYHHLIDLVFKHTECSLSMKD >ONI28953 pep chromosome:Prunus_persica_NCBIv2:G1:14256450:14258989:-1 gene:PRUPE_1G171300 transcript:ONI28953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVVNLQHSHCKIALTWKINHGTSSFFFFPKTLTTKPSCKAGPFTSSLRSAQRLPSPLRPDVAPDSSSTKHTTLLVETFHEHQRLKALLQNLINGSCPLQLLGEDGDWTKDQFWAAIRFLKHTFRFNEILQLFDMWKNIEKSRINEFNYSKIIGLLGEEGLIEEAVRCFQEMKSHNLRPSLEVYNSVIHVCARQGNFEDALFFLNEMKEMNLAPETDTYDGLIEAYGKYRMYDQIGMCVKKMKLNGCSPDHITYNLLIREFARGGLLKRMESVYQSMLSRRMALQSSTLIAMVEVYAKFGILEKMENVYRRVLNSGTVVKNDLIRKLAEVYIDNYMFSRLEKLGVDLSSRFGQTDLVWCLRLLSQAGVLSQRGLAMMGLEL >ONI27245 pep chromosome:Prunus_persica_NCBIv2:G1:5477498:5479551:1 gene:PRUPE_1G076000 transcript:ONI27245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFDMSRHDAVKALNVYKRAGQQAEALADFYEYCKGLDLARTFQFPTVRQPPPSFLATMEEYIKEAPQSGSVNKRLEYQETHQQPQKPEESPPPPEPEKQEEEVEEKTEEEEEPQPKEEVVEPPPSISTNDTDLLVRLREINPKAAEIEESNALALAIVPQGNEQQSGASFTLVTTPSNHTSQVPMDKKFAGGFDKLLLDSLYEDEGARRQTQAMATDPFAMSNNIAPPTNVQMALMAQEQHQHQQIHQQQNQQYQQQQNMMMVPHPYYSQYPQQMQPLGSLNPFGDPFSFPPHYDQKWM >ONI35887 pep chromosome:Prunus_persica_NCBIv2:G1:45677124:45681676:1 gene:PRUPE_1G559400 transcript:ONI35887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAQNRAPKLSVFSVFLCSFMFQHLFLSPNANRAFAQSSPVFACDVGSNASVSSFGFCDTSLAIDLRVADLVKRLTLQEKIGFLVNSAGSVSRLGIPKYEWWSEALHGVSNVGPGTKFTNVVPGATSFPQVILTAASFNASLFEAIGRVVSTEARAMYNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLSSKYGSGYVRGLQQTDGGDKNKLKVAACCKHYTAYDLDNWKGVDRYHFNAVVTKQDLDDTFQPPFKSCVIDGNVASVMCSYNQVNGIPTCADPDLLAGVIRGEWKLNGYIVTDCDSLDVYYNTQHYTKTPEEAAAKALLAGVDLNCGPFLGKYTEGAVKGGLVNEAAIDKAISNNFATLMRLGFFDGDPSKLPYGKLGPKDVCTPENQELARETARQGIVLLKNSPGSLPLSPTAIKSLAVIGPNAAVTKTMIGNYEGIPCKYTTPLQGLTASVATTYVPGCANVACGTAQVDDAKKAAASADATVLVVGADQSIEAESRDRIDLHLPGQQTLLVTEVAKASKGPVILVIMSGGGFDISFAKNDSKITSILWVGYPGEAGGAAIADVIFGHYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDASNGYPGRTYRFYTGETVYSFGDGLGYSAFNHKLVRAPKLVSIPLEEGHVCHSSSCKSLDVVQERCENLAFDIHLGVKNTGSMSGGHTVLLFSSPPSVHNSPQKHLLGFEKVFLSAQREELVKFKVDVCKHLSVVDELGNRKVALGQHVLHVGSLKHSFSVGI >ONI35888 pep chromosome:Prunus_persica_NCBIv2:G1:45678406:45681662:1 gene:PRUPE_1G559400 transcript:ONI35888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNVGLAGLTYWSPNINIFRDPRWGRGQETPGEDPLLSSKYGSGYVRGLQQTDGGDKNKLKVAACCKHYTAYDLDNWKGVDRYHFNAVVTKQDLDDTFQPPFKSCVIDGNVASVMCSYNQVNGIPTCADPDLLAGVIRGEWKLNGYIVTDCDSLDVYYNTQHYTKTPEEAAAKALLAGVDLNCGPFLGKYTEGAVKGGLVNEAAIDKAISNNFATLMRLGFFDGDPSKLPYGKLGPKDVCTPENQELARETARQGIVLLKNSPGSLPLSPTAIKSLAVIGPNAAVTKTMIGNYEGIPCKYTTPLQGLTASVATTYVPGCANVACGTAQVDDAKKAAASADATVLVVGADQSIEAESRDRIDLHLPGQQTLLVTEVAKASKGPVILVIMSGGGFDISFAKNDSKITSILWVGYPGEAGGAAIADVIFGHYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDASNGYPGRTYRFYTGETVYSFGDGLGYSAFNHKLVRAPKLVSIPLEEGHVCHSSSCKSLDVVQERCENLAFDIHLGVKNTGSMSGGHTVLLFSSPPSVHNSPQKHLLGFEKVFLSAQREELVKFKVDVCKHLSVVDELGNRKVALGQHVLHVGSLKHSFSVGI >ONI31200 pep chromosome:Prunus_persica_NCBIv2:G1:29410282:29411546:1 gene:PRUPE_1G298200 transcript:ONI31200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGEIISGLHYLAPETPIPDPAHFGIMQNNMSSLHFNTLFNNLSQAQNFPAGHEFTQQSSSLSNNSSTSDDAEEHQHLRIIDERKQRRMISNRESARRSRMRKQKHLDELWSQVVRLRNENQSLIDRLNYVSESHDRVVQENTKLKEEASDLRQTLTNLQIGSPYNINATTFRELEPEVPCNTAHLRAESSNQSIAASADLLH >ONI31525 pep chromosome:Prunus_persica_NCBIv2:G1:30588472:30591769:-1 gene:PRUPE_1G317900 transcript:ONI31525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHMSRTETNGLPSFAHDLQILLVDHDIYSLMCIASTLEQYSFKVTTTEMASVALSMITEQKDDYKLVMANINMPDMDSLSFLRVLHKKEIPVIFMSSEMNVNVAKKALAEGACFFLEKPISLEDLKSVWQHVYRKIRTPMKYKHKPNYGTKMNSGKDCQGMKINEAGDVLRPPLGGVKSNGAGGECSLATGHALDIDKQNTSTLTCNAQGASGIKLPIDDKEEQEEVNRDSNGITGDKKPRAVWTPELHLKFTAALSALGDLKSRPKAILKLMNVPNITVRQVASHLQVSHLLISQEPIENLHALLPISLILLTVLKRIYILSFQKYKNQVQRIRGTDTTSLPSSLSRLSNCINRNEFPPAKQSSMVCQYDQRTSSFGVRGNTAQLDAPNSLITSPIPVAGFNNHDYRRQNLYSGHTILSHYTNHHTNNPSEFYLRTQGQFQNLNQLRETDSFGYGAGMNEIMNQQPLGIEENRFRTSESHFPSMNYITPEAAASPYISGNTFQVPNEPSVMNQEQYYTSSLTGPFDSQNQNQFSTEGARLTTEVLELVPEQIASGNATNPNKFPADFNDGSQKLDTTAGEASSPNKNQPLSEYDELLKLLEEDPQEASCVGSVPNAGDPDRYCEWLRETLLENSTDPL >ONI30692 pep chromosome:Prunus_persica_NCBIv2:G1:27485092:27487200:1 gene:PRUPE_1G267400 transcript:ONI30692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRCIAKHPTISLVDSCTTLKELKQIHSQLLVKGLLNDPHLSGQFVATIAIRNPSNLGYSSKVLDQCENPTLFTFNSMIRAYSKSSTPSKSFHFYSRILQSRDNFLPDNYTFNFLVRTCAQLLARETGPSVHAALTKRGFENDPHVQSGLIFMYAELGCLHSCHRAFGEIVEPDLVCQTAMVSACARCGDVGFARELFDEMPQRDPIAWNAMIAGYAQCGKSREALNLFHLMQMEGVRVNEVSMVSVLSACSHLGALDQGRWAHAYIERNKLRMTVTLGTALIDMYAKCGNMNKAMEVFWGMKEKNVYTWSSALGGLAMNGFGEKCLELFCLMNKEGVHPNEVTFVSVLRGCTVVGLVEEGRQHFDSMKKLYGIEPQLEHYGCIVDLYGRAGRLDEALNFINSMPMKPHAGAWGALLNASRMYKNMEIGELASRKIVKLEAKNHGAYVLLSNIYADSKLWDGVSNVRRTMKAKGVRKLPGCSVLEVDGEVHEFLVGDKSHPRYNEIEAMLGEISRRLKLAGYVANTNPVLFDIEEEEKEDALCKHSEKVAIAFGLISLKEGVPIRIVKNLRVCWDCHDVTKMISKLFNREIIVRDRNRFHHFQDGECSCKGYW >ONI33283 pep chromosome:Prunus_persica_NCBIv2:G1:36085540:36087576:1 gene:PRUPE_1G414800 transcript:ONI33283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCISSSAHKIQDGHEAPMSFERTDDSNGIQMHGSLYSLEGSKGVNQDSAILYQGYGLEAEAFCGVFDGHGRFGHRVSQLVRSNLPSLLQGQMKALDQQAIAVAEDDDSKNHIDRIETESEDSEPSKKFHKWKEAFVSSFKVMDKEIKLQENLDSSCSGTTAVVVIKQGEDLFIANLGDSRAVLGTTRENGIEAIQLTTDLKPALPSEAERIRACDGRVVALKQEPNIQRVWLPHIDLPGLAMS >ONI27926 pep chromosome:Prunus_persica_NCBIv2:G1:8871616:8873857:-1 gene:PRUPE_1G111300 transcript:ONI27926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAETKEPKRAYVTFLAGNGDYWKGVVGLAKGLRKTKSAYPLVVAVLPDVPEEHLQILESQGCIVRQIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYEKMIYLDGDIQVFDNIDHLFDMPEGYFYAVMDCFCEKTWSKTPQYKIGYCQQCPEKVQWPADLGSPPPPYFNAGMFVYEPRLPTYCDLLETVKVTPPSSFAEQDFLNMFFKDVYKPIPPAYNLVLALLWRHPENLDIDHVKVVHYCAAGSKPWRYTGEEEHMEREDIKMLVKKWWDIYEDPSLDYIKNNDDDEIVVKADEHVKVGPPFIDVISETDAVDQRSAPSAA >ONI27134 pep chromosome:Prunus_persica_NCBIv2:G1:4958393:4960245:-1 gene:PRUPE_1G069800 transcript:ONI27134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIFLVLVLLQTLFNILVVASAGNLNQDFDLTWGDGRAQILDNGQLLTLSLDKTSGSGFRSKNQYLFGKIDMQIKLVPGNSAGTVTAYYLSSLGSTHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTKDFHTYSILWNPQSIIFSVDGTPIRQFKNLESKGIPFPKNQAMSIYSSLWNADDWATRGGLVKADWSKAPFTASYRNFNAQACTWSSGSSRCSSSSSSGSSSWLSQSLDATGQERIKWVQKNYMIYNYCRDTKRFPQGFPAECSLA >ONI30371 pep chromosome:Prunus_persica_NCBIv2:G1:25998579:26000729:-1 gene:PRUPE_1G247300 transcript:ONI30371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIKVTSDVPGKKIYASCNNAIGTSIGTIVPNVPCLKAGAEPTTYSPATPPQALPLPPTPLPSLPLPVTPPPSLSMPSIDQPSLPMLAEPSLALILPATPPPAPHLPDTPPASPPVLATPPPSPPMPATPPLALILPATPPPLTATSPPSLPMPATPLPILPPLAPPLPNKPRPTLPLPTTPPLARPLRNRPRPLPLPTMPSPAPPLPFTPLASLVPTLPPPALPATPQMSFKGYSVRPRAPVWY >ONI30370 pep chromosome:Prunus_persica_NCBIv2:G1:25998623:26000729:-1 gene:PRUPE_1G247300 transcript:ONI30370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKITLDRAQNSIIIDQFYCNRDHKCKTQASAVSVDDVKYIDFEGTSASEEAIKLDCDQNSSCHNIIMDRIKVTSDVPGKKIYASCNNAIGTSIGTIVPNVPCLKAGAEPTTYSPATPPQALPLPPTPLPSLPLPVTPPPSLSMPSIDQPSLPMLAEPSLALILPATPPPAPHLPDTPPASPPVLATPPPSPPMPATPPLALILPATPPPLTATSPPSLPMPATPLPILPPLAPPLPNKPRPTLPLPTTPPLARPLRNRPRPLPLPTMPSPAPPLPFTPLASLVPTLPPPALPATPQMSFKGYSVRPRAPVWY >ONI26151 pep chromosome:Prunus_persica_NCBIv2:G1:571531:581264:-1 gene:PRUPE_1G006900 transcript:ONI26151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLDKIYKESEVSWFTPVEIFKPWYAHGIAEAIMRTANLSVPLKIYEIGGGSGTCAKGIMDYIMLNAPPRVYNNMTYISVEVSPSLAEIQRETVGEVRSHLSKFRVECRDATDRSGWGDAEQQPCWVIMLEVLDNLPHDLIYSENQVSPWMEVWVEKQQDRETLSELYKPLQDSLIKRCVEIINLDKKHDSQSSAILKAKSVWSKVFPKPQRCWLPTGCLKLLEVLHDVLPKMSLIASDFSYLPDVRILGERAPLVSTKKDGSSSDYSSYLDARGDADIFFPTEFWLLERIDHYCSGWLKLQKDLSSKEGKKRRTITLDTSSFMDEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNTV >ONI26149 pep chromosome:Prunus_persica_NCBIv2:G1:571531:581264:-1 gene:PRUPE_1G006900 transcript:ONI26149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCRALRPKNLPLLFHKPKVNPCELWGAPFGSLFSTHIVGDKPILVRDFIHSALYDPKHGYFSQRSKSVGVLPRAIKFNQLEGRKGYMQYLDKIYKESEVSWFTPVEIFKPWYAHGIAEAIMRTANLSVPLKIYEIGGGSGTCAKGIMDYIMLNAPPRVYNNMTYISVEVSPSLAEIQRETVGEVRSHLSKFRVECRDATDRSGWGDAEQQPCWVIMLEVLDNLPHDLIYSENQVSPWMEVWVEKQQDRETLSELYKPLQDSLIKRCVEIINLDKKHDSQSSAILKAKSVWSKVFPKPQRCWLPTGCLKLLEVLHDVLPKMSLIASDFSYLPDVRILGERAPLVSTKKDGSSSDYSSYLDARGDADIFFPTEFWLLERIDHYCSGWLKLQKDLSSKEGKKRRTITLDTSSFMDEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNTV >ONI26152 pep chromosome:Prunus_persica_NCBIv2:G1:572134:579502:-1 gene:PRUPE_1G006900 transcript:ONI26152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLDKIYKESEVSWFTPVEIFKPWYAHGIAEAIMRTANLSVPLKIYEIGGGSGTCAKGIMDYIMLNAPPRVYNNMTYISVEVSPSLAEIQRETVGEVRSHLSKFRVECRDATDRSGWGDAEQQPCWVIMLEVLDNLPHDLIYSENQVSPWMEVWVEKQQDRETLSELYKPLQDSLIKRCVEIINLDKKHDSQSSAILKAKSVWSKVFPKPQRCWLPTGCLKLLEVLHDVLPKMSLIASDFSYLPDVRILGERAPLVSTKKDGSSSDYSSYLDARGDADIFFPTEFWLLERIDHYCSGWLKLQKDLSSKEGKKRRTITLDTSSFMDEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNTV >ONI26150 pep chromosome:Prunus_persica_NCBIv2:G1:572134:581154:-1 gene:PRUPE_1G006900 transcript:ONI26150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCRALRPKNLPLLFHKPKVNPCELWGAPFGSLFSTHIVGDKPILVRDFIHSALYDPKHGYFSQRSKSVGVLPRAIKFNQLEGRKGYMQYLDKIYKESEVSWFTPVEIFKPWYAHGIAEAIMRTANLSVPLKIYEIGGGSGTCAKGIMDYIMLNAPPRVYNNMTYISVEVSPSLAEIQRETVGEVRSHLSKFRVECRDATDRSGWGDAEQQPCWVIMLERINLWSDIVRFVSLYLQVLDNLPHDLIYSENQVSPWMEVWVEKQQDRETLSELYKPLQDSLIKRCVEIINLDKKHDSQSSAILKAKSVWSKVFPKPQRCWLPTGCLKLLEVLHDVLPKMSLIASDFSYLPDVRILGERAPLVSTKKDGSSSDYSSYLDARGDADIFFPTEFWLLERIDHYCSGWLKLQKDLSSKEGKKRRTITLDTSSFMDEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNTV >ONI34002 pep chromosome:Prunus_persica_NCBIv2:G1:38370494:38371504:-1 gene:PRUPE_1G458100 transcript:ONI34002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVAEALRKVALVERDLTATYKASCLNALESWRFDKIYCLPSSPIGCLVAEKGYVKSKIYCDFKHIGNYFSIRW >ONI30467 pep chromosome:Prunus_persica_NCBIv2:G1:26394152:26395439:1 gene:PRUPE_1G253100 transcript:ONI30467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVSVLSLSPSFNSYDSKNEFEYAQLSANFSSKLKIAGSGSDFEFNDTKPAALDNEKEKPETEESEGVQNADAGGDCEKNEDEDDFSFVCTNPDGSPISADDIFQNGQIRPVYPIFNRDLLFADSDDGDSSRARGAAASSSSLRPPLKKLFFKERDTPSSSASESDELEGVPEGTYCEWSRKTVVVAPELKNKSNSTGSSKLWRVRDLKLRSNSDGKDAFVFLNPNSTTTSPKPSQETADNKSGAKIVKTVEKVKGKVKKAETVSSAHEKHYVMNRAKKEGDKRRSYLPYRQDLVGFFTNVNGLSRNVHPF >ONI35335 pep chromosome:Prunus_persica_NCBIv2:G1:43387266:43388543:-1 gene:PRUPE_1G530800 transcript:ONI35335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFLSWSDQNHYAHDPSLVNLMDGADSILSPAASMTETSRSAEASKSHKEAERRRRQRINTHLSTLRTLLPNTTRTDKASLLAEVVQHVRELRRQAGDLARQDGGSCCGGSTGSEPWPFPGESDEATVSYCDGEARLLKATLCCEDRPGLNRDLIQAIRSVQARAIRAEMMTVGGRTKNVVVMQWAGGGGEEEVRALKRALKAVVENRASGCGPGRLVLGKKRARVYGSVYEDENEY >ONI36509 pep chromosome:Prunus_persica_NCBIv2:G1:47756339:47760681:1 gene:PRUPE_1G587800 transcript:ONI36509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLAFLFLPVARGSILLRFIDIPFEHATRYHVWLGHLTMLLFTIHGLLYVVAWTIEGRLLHEILEWRDIGVAILPGVISLSAGLLMWVTSLPPVRKLNFELFFYTHQLYVIFIVFLALHVGDFIFTMAAGGIFIFILDRFLRFCQSRRVVDIISAKCLPCGTVELVLAKPENLRYNALSFVFLQVRELSWLQWHPFSVSSSPLDGKYHISVLIKVLGKWTEKLRGIILENSEKELPFSPHSKITASVEGPYGHEIPYHLMYENLILVAGGIGISPFLAVLSDILHCVREGRPCLLRNILIVWAVKRSNELSLLSTIDMDSICPSFSSKLNLETCIYVTRESEPPLEDGKVQNTQDFSLCPTSKSCGMSVLVGTGHTIWSGLYVISSTVGFVISMGLLDIFYINPFHISSWWYKGLLFVVCMLTSVVIFGGLVVGLWHLWERRILAKEQFEDDKLNIDKAEHKETVTREDSHLAKLTGIRYGARPDFKEIFEAVSQNWGHVDAGIIVCGPPTLQSSVAKEIRSHNFRRQSDHPIFHFNSHSFDL >ONI36508 pep chromosome:Prunus_persica_NCBIv2:G1:47754903:47760681:1 gene:PRUPE_1G587800 transcript:ONI36508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSVHNPLLWGQGSGYANVRRKTPLSVTLAKWILKIAMLALFISWIALMFLFPADFVQKLFEKWIHATNGTVFGITGSLFLLFSGPILLIAVLSIAYLVISGEEELHEKKPSKYPSFRLWTFPVLVDGPFGVVSAAELIGILLFVVFVIWAVYAYTMSILSLMSDQLTFKEKSCLFLEILGLRFGLIGMFCLAFLFLPVARGSILLRFIDIPFEHATRYHVWLGHLTMLLFTIHGLLYVVAWTIEGRLLHEILEWRDIGVAILPGVISLSAGLLMWVTSLPPVRKLNFELFFYTHQLYVIFIVFLALHVGDFIFTMAAGGIFIFILDRFLRFCQSRRVVDIISAKCLPCGTVELVLAKPENLRYNALSFVFLQVRELSWLQWHPFSVSSSPLDGKYHISVLIKVLGKWTEKLRGIILENSEKELPFSPHSKITASVEGPYGHEIPYHLMYENLILVAGGIGISPFLAVLSDILHCVREGRPCLLRNILIVWAVKRSNELSLLSTIDMDSICPSFSSKLNLETCIYVTRESEPPLEDGKVQNTQDFSLCPTSKSCGMSVLVGTGHTIWSGLYVISSTVGFVISMGLLDIFYINPFHISSWWYKGLLFVVCMLTSVVIFGGLVVGLWHLWERRILAKEQFEDDKLNIDKAEHKETVTREDSHLAKLTGIRYGARPDFKEIFEAVSQNWGHVDAGIIVCGPPTLQSSVAKEIRSHNFRRQSDHPIFHFNSHSFDL >ONI31194 pep chromosome:Prunus_persica_NCBIv2:G1:29382067:29382691:1 gene:PRUPE_1G297900 transcript:ONI31194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATSKAAIFIVFLVIASCVPFFSEAMVTKQRCSTTADCETFPCYSKEFKKICIDNFCECKVPNSDGSAQTNKNEGPCSSQEDCDKIDFLCRSGTLKCVDGKCICVGVN >ONI30193 pep chromosome:Prunus_persica_NCBIv2:G1:25060625:25061959:-1 gene:PRUPE_1G236600 transcript:ONI30193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEVEVISKEIIKPSSPTPDHLRYLQLSFLDQLAPPVYNPFVLFYEFNGETQPKITEISSHLKKSLADVLTLFYPLAGRVFKQNHFVDCNDEGVPYVEAQVKCSLSDFLNNPIPDELKKFIPLELDDIANELALGVQLNIFECGGFAIGQCISHKIADGLSHFMFSKTWAATALGDEPRIELPEFVSAALFPPKEFNIGYDAGIGITKNRVTKRFVFDSSKIESLKTKYKGKDSSGFNSRVLSLENEKGPSRVEALSAFIWSRFVATTKDDAGHDEKHYIVLHSVNLRPRFDPPLPQHCFGNFYRGAMTAPFLLSSGEECNYGAVIMKVRDEINKINNDYIKRLQQGNEHLSVLQESSDNVIRGEVDTFSFSSFCRFPVYDNDFGWGRPVWVSSPPLTFKNLVVFMDTKEADGIEAYISLEEEVMAKFECDTEFLACVSLSGC >ONI28766 pep chromosome:Prunus_persica_NCBIv2:G1:12732950:12741531:1 gene:PRUPE_1G159900 transcript:ONI28766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKEEQLAELLGTLGDFTSKENWDKFFTIRGTDDAFEWYAEWSELRNPLLSHLPPQPQILVPGCGSSRLSEHLYDAGFNSITNIDFSKVAISDCLRRNVRHRPDMRWRVMDMTAMQFEDEAFDVVVDKGGLDALMEPELGPKLGTQYLSEVRRVLKSGGKFICLTLAESHVLALLFSKFRFGWKMGIHAIPQKPSSKPSLLAFMVVAEKQVSSVLQEITSSFNDSSLALKGSQACGLLEAVEKENQIRRDYSTGSDVLYSLEELQLGARGDLTKLCPGHRFQLTLGGDSRFSYRAVVLDAQESSGPFAYHCGVFIVPKTRAHEWLFSSEEGQWMVVESSKAARLVMVLLDASHVSASMDDIQKDLSPLVKQLAPGKDDNGAQIPFMMASDGIKQRNIVHQVTSTITGPVIVEDVIYENVDGDISRILPSRDLTFRRLVFQRSEGLVQSEALLSEEGSNNKVGETERKKTNSSSKSKRRGIQRRSGETSHQLKVYHGYLASSYHTGILSGLMLISSYLESMASNQKSVKAVVIGLGAGLLPMFLNRCMPLMHTEVVELDPVVRKLAKEYFNFVEDDRLQVHIADGIQFVRNVANSAAADEISAVQEKEGAHCNTEPPSSNGSCLESHVEGKVPSKVDIVIIDVDSADSSSGMTCPAADFVQETFLQTVKDALSEKGLFIINLVSRSQAIKDSVISRMKVSLE >ONI28764 pep chromosome:Prunus_persica_NCBIv2:G1:12732950:12742541:1 gene:PRUPE_1G159900 transcript:ONI28764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKEEQLAELLGTLGDFTSKENWDKFFTIRGTDDAFEWYAEWSELRNPLLSHLPPQPQILVPGCGSSRLSEHLYDAGFNSITNIDFSKVAISDCLRRNVRHRPDMRWRVMDMTAMQFEDEAFDVVVDKGGLDALMEPELGPKLGTQYLSEVRRVLKSGGKFICLTLAESHVLALLFSKFRFGWKMGIHAIPQKPSSKPSLLAFMVVAEKQVSSVLQEITSSFNDSSLALKGSQACGLLEAVEKENQIRRDYSTGSDVLYSLEELQLGARGDLTKLCPGHRFQLTLGGDSRFSYRAVVLDAQESSGPFAYHCGVFIVPKTRAHEWLFSSEEGQWMVVESSKAARLVMVLLDASHVSASMDDIQKDLSPLVKQLAPGKDDNGAQIPFMMASDGIKQRNIVHQVTSTITGPVIVEDVIYENVDGDISRILPSRDLTFRRLVFQRSEGLVQSEALLSEEGSNNKVGETERKKTNSSSKSKRRGIQRRSGETSHQLKVYHGYLASSYHTGILSGLMLISSYLESMASNQKSVKAVVIGLGAGLLPMFLNRCMPLMHTEVVELDPVVRKLAKEYFNFVEDDRLQVHIADGIQFVRNVANSAAADEISAVQEKEGAHCNTEPPSSNGSCLESHVEGKVPSKVDIVIIDVDSADSSSGMTCPAADFVQETFLQTVKDALSEKGLFIINLVSRSQAIKDSVISRMKVAFSHLFCLQLEEDVNEVIFGLCSASCIKEDSFPEAALQLEKLLKLEHPEISQSIINTTKKLRQLK >ONI28765 pep chromosome:Prunus_persica_NCBIv2:G1:12732950:12742541:1 gene:PRUPE_1G159900 transcript:ONI28765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKEEQLAELLGTLGDFTSKENWDKFFTIRGTDDAFEWYAEWSELRNPLLSHLPPQPQILVPGCGSSRLSEHLYDAGFNSITNIDFSKVAISDCLRRNVRHRPDMRWRVMDMTAMQVRRVLKSGGKFICLTLAESHVLALLFSKFRFGWKMGIHAIPQKPSSKPSLLAFMVVAEKQVSSVLQEITSSFNDSSLALKGSQACGLLEAVEKENQIRRDYSTGSDVLYSLEELQLGARGDLTKLCPGHRFQLTLGGDSRFSYRAVVLDAQESSGPFAYHCGVFIVPKTRAHEWLFSSEEGQWMVVESSKAARLVMVLLDASHVSASMDDIQKDLSPLVKQLAPGKDDNGAQIPFMMASDGIKQRNIVHQVTSTITGPVIVEDVIYENVDGDISRILPSRDLTFRRLVFQRSEGLVQSEALLSEEGSNNKVGETERKKTNSSSKSKRRGIQRRSGETSHQLKVYHGYLASSYHTGILSGLMLISSYLESMASNQKSVKAVVIGLGAGLLPMFLNRCMPLMHTEVVELDPVVRKLAKEYFNFVEDDRLQVHIADGIQFVRNVANSAAADEISAVQEKEGAHCNTEPPSSNGSCLESHVEGKVPSKVDIVIIDVDSADSSSGMTCPAADFVQETFLQTVKDALSEKGLFIINLVSRSQAIKDSVISRMKVAFSHLFCLQLEEDVNEVIFGLCSASCIKEDSFPEAALQLEKLLKLEHPEISQSIINTTKKLRQLK >ONI27463 pep chromosome:Prunus_persica_NCBIv2:G1:6632432:6634448:-1 gene:PRUPE_1G088600 transcript:ONI27463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILCPPNYVLLISLMVGCFVAASASNFYQDFQVTWGDGRGKILNNGELLTLSLDKASGSGFQSKNEYLYGKIDMQIKLVPGNSAGTVTAYYLRSEGSSWDEIDFEFLGNLSGDPYIVHTNVFSQGKGNKEQQFYLWFDPTADFHTYSILWNAQHIVLYVDGTPIREFKNSESIGVPYPKNFPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFNADNACVWWSSGSTSCTSSNSNSTRSVLLSEELDSTGQERLQWVQKNYMVYNYCTDTKRFPQGLPPECTANKS >ONI30930 pep chromosome:Prunus_persica_NCBIv2:G1:28501190:28502574:-1 gene:PRUPE_1G282200 transcript:ONI30930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSFSALSHPSFQIPYTNLDFCEQPSNFHNRVQIKSYRRPATVVVSSSSCQRSSPSPSRRGLLHGSVSLAVSAAILLCSGPAEAGFLSGSTGIESIPGPELPQVDFLKRFNEENQKKYADNDARFKSTPLLKGLLEKSKLNKEKNSKEIQDKYCIRGAEWGVGDCSTEGMSPDEKDKFIAMLKEKAGVKD >ONI33800 pep chromosome:Prunus_persica_NCBIv2:G1:37773012:37773626:1 gene:PRUPE_1G446600 transcript:ONI33800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDQIPKSKWEGKTSADLEGSSAEQVWPLLADFCSLHKWFPDIATCYRVDGVPGQPGLIRYCARAPIDNDESTIKWAKEKLLSLDPIQRCLSYEIIESNLGFKSYVAVMQVVPINGGDGSIGCKIEWSYVCDPIEGWGLNDFRSYLDSSLQLMAEKMTEHTLLCTTG >ONI33993 pep chromosome:Prunus_persica_NCBIv2:G1:38343999:38348517:1 gene:PRUPE_1G457600 transcript:ONI33993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQPHDYASASAMAYAQQQRQAATNIQQQQQQPPQQQQFGYPPPQTQQFHGQPYVGPHPSLQQQFPYHPHLQQPQVLPHAPQHPHHLPPPPSFAPHLPPPLVPSPFHSGPTYDSPPPPPPAAPPSDPELHKLIDKVAEYAAKVGPDFEATVREKQQDNPEYAFLFGGDGHSYYRYKLWISKWGPGGSFNQPGFPPASMHMLRPPPNPMMSGPPSAGAMMGGPLPSYFYEQQRHGQPFGVYGRPEYDQSSNKPFKGLSGPLPPEVAIELNSVLKSLNGTKESIKGAKFWFMQRSIFAPALAEALRERVFGLDDCERQLHVIYLANDILFDSSHRRTGEGLDNESLALKPILGSMLARIYHNPQITEDNQLRLQQILQLWASNNIYDQDTIDALRNEMMGGPSSNSFTGLPKDLSSASTDSAAGLPPQTTNHNMLQWQPDRQSSVSGSHDQDHLDKHAGPGQAMPMSVPSQQFLPNSIPPAAFPGSMPIPSSVQPVINQQPAPHILPGQPANSGEKLPPYPLFPPGLIPEMVRKMQIGSGVPYSPMSPLDIPTVIPPSNVPQSEILERVSKFFKEIGEVNPSEGPLHNADGRDEDEYEREPSVRKGGACIPPPPNLQIDPETGTYADGSVDRKSGSGRLGLGATADPNEASQYDDVYSSYRKQRSTNYHSSMSARAATR >ONI33994 pep chromosome:Prunus_persica_NCBIv2:G1:38343801:38348517:1 gene:PRUPE_1G457600 transcript:ONI33994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQPHDYASASAMAYAQQQRQAATNIQQQQQQPPQQQQFGYPPPQTQQFHGQPYVGPHPSLQQQFPYHPHLQQPQVLPHAPQHPHHLPPPPSFAPHLPPPLVPSPFHSGPTYDSPPPPPPAAPPSDPELHKLIDKVAEYAAKVGPDFEATVREKQQDNPEYAFLFGGDGHSYYRYKLWISKWGPGGSFNQPGFPPASMHMLRPPPNPMMSGPPSAGAMMGGPLPSYFYEQQRHGQPFGVYGRPEYDQSSNKPFKGLSGPLPPEVAIELNSVLKSLNGTKESIKGAKFWFMQRSIFAPALAEALRERVFGLDDCERQLHVIYLANDILFDSSHRRTGEGLDNESLALKPILGSMLARIYHNPQITEDNQLRLQQILQLWASNNIYDQDTIDALRNEMMGGPSSNSFTGLPKDLSSASTDSAAGLPPQTTNHNMLQWQPDRQSSVSGSHDQDHLDKHAGPGQAMPMSVPSQQFLPNSIPPAAFPGSMPIPSSVQPVINQQPAPHILPGQPANSGEKLPPYPLFPPGLIPEMVRKMQIGSGVPYSPMSPLDIPTVIPPSNVPQSEILERVSKFFKEIGEVNPSEGPLHNADGRDEDEYEREPSVRKGGACIPPPPNLQIDPETGTYADGSVDRKSGSGRLGLGATADPNEASQYDDVYSSYRKQRSTNYHSSMSARAATR >ONI33992 pep chromosome:Prunus_persica_NCBIv2:G1:38343804:38348517:1 gene:PRUPE_1G457600 transcript:ONI33992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQPHDYASASAMAYAQQQRQAATNIQQQQQQPPQQQQFGYPPPQTQQFHGQPYVGPHPSLQQQFPYHPHLQQPQVLPHAPQHPHHLPPPPSFAPHLPPPLVPSPFHSGPTYDSPPPPPPAAPPSDPELHKLIDKVAEYAAKVGPDFEATVREKQQDNPEYAFLFGGDGHSYYRYKLWISKWGPGGSFNQPGFPPASMHMLRPPPNPMMSGPPSAGAMMGGPLPSYFYEQQRHGQPFGVYGRPEYDQSSNKPFKGLSGPLPPEVAIELNSVLKSLNGTKESIKGAKFWFMQRSIFAPALAEALRERVFGLDDCERQLHVIYLANDILFDSSHRRTGEGLDNESLALKPILGSMLARIYHNPQITEDNQLRLQQILQLWASNNIYDQDTIDALRNEMMGGPSSNSFTGLPKDLSSASTDSAAGLPPQTTNHNMLQWQPDRQSSVSGSHDQDHLDKHAGPGQAMPMSVPSQQFLPNSIPPAAFPGSMPIPSSVQPVINQQPAPHILPGQPANSGEKLPPYPLFPPGLIPEMVRKMQIGSGVPYSPMSPLDIPTVIPPSNVPQSEILERVSKFFKEIGEVNPSEGPLHNADGRDEDEYEREPSVRKGGACIPPPPNLQIDPETGTYADGSVDRKSGSGRLGLGATADPNEASQYDDVYSSYRKQRSTNYHSSMSARAATR >ONI35600 pep chromosome:Prunus_persica_NCBIv2:G1:44523923:44528095:1 gene:PRUPE_1G545000 transcript:ONI35600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALISVLLERLASTTYEYIEGELKHVLNVKEDVEKFTATLQVIQAVLEDAEQRQVTEASVKIWLDKLKDISYQMVDVLDEWNTDILKQQVEKQEKEGDPNALVTKKKVRFTSFSRCFCFGKVSRVILRRDIALKIKDLNDKLTEIYEERKKYQFLRKELGIQQPQQPQRPQTASYVNMSEIFGREKEHNILIRKLLGDSSEEEKGFLLIPIVGMGGMGKTTLTQLAYNDDRVKSRFDMRKWVCVSDPFDEIKIAKAISGDYSPSSNELDEVLQCMSRSIQGKRFLLVLDDVWTDDPKKWEQLKVPLIQSGAEGSRILVTTRKLGVANMMRATRNVINLGELSDEYCLSIFNHMAFSDRDVHEFGDISKEIVKKCKGLPLVAKTLGSLMQNKTKMGEWKEVLNSKIWDLEKVEQEVFQPLFLSYNDLAPTIKCCLLYCATFLKDYEFKRDDLIKLWMAQDYVISKGNKEKGITGDAVFDNLVARSFFQDFEKDLDTSIITGCKMHDIVHDFVQFLTKNECLIIDHGEETTNESKVLGDKVRHLTLRYVPEGPLPHFISSYNCKNLRTLATFDSGITTIDPNLILQLKCLRTLNLSGNSIKELPEEIGELIHLRHIDLSFSYDLEKLPDALCGLYNLSTLRLLFCFKLEKLPENMGNLINLKHLYVDNCSNLESLPKGIGRLTSLQTLDVFRCGGGDIDEAFRIGDLRKLNLEGSLEIQLVADATDKSEVEKAQLWDKKLFHLSVEFERQTNSSSSVEILNALRPHPDLESLAISFHNGTTWPDWIPYLHNLRFLSVACGTQSELWPLGKLEYLERLTIEEMEGVRMVRVEFLGLEDQTSFRIRSPQILFPKLKRLTFFSLSNWEDWEGVEEWTKEDSEITIMPCLSELTIEECELLKALPDFLFETPLQTLDISSSWRLSERYQEGNGEWAKISATIPNIRIS >ONI31891 pep chromosome:Prunus_persica_NCBIv2:G1:31787893:31788167:1 gene:PRUPE_1G337200 transcript:ONI31891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHITKGVLIFHEMNETEQDNSVKICAYRPAFFEQPSSPKSSNNEPFSSLAYTNKGKRLNSSFFKIKRGDTKKKLN >ONI35125 pep chromosome:Prunus_persica_NCBIv2:G1:42542683:42547734:1 gene:PRUPE_1G517600 transcript:ONI35125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGCSVVWFRRDLRVEDNPALAAGVRAGSVVCVFIWAPEEEGPYYPGRVSRWWLKHSLAHLDSSLRSLGTSLITKRSTDSVSSLLEVVISTGATQLFFNHLYDPISLVRDHRAKEVLTAQGIAVRSFNADLLYEPWDVNDVNGRPFTTFDAFWGRCLSMPYDPDAPLLPPKRIISGDTSRCPSDTLVFEDESEKGSNALLARAWSPGWSNADKALTTFINGPLLEYSQNRRKADGATTSLLSPHLHFGELSVRKAFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYISFNHPYSHERPLLGHLKFFPWIINQSYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTIPDGREFDRIDNPQFEGYKFDPNGEYVRKWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKTRLQEALLEMWQHEAASRAAVENGTEEGLGDSSESTPIAFPQDIQMEENYEPVRNNLPATRRYEDQMVPSMTTSLVRVEEEESSLEIRNLVEETRGEVPTNEMVNQEPRRDTLNQGVLQTIRNNTLPQPNAAIGLQHAIEDSTAESSGSSRRERDGGVVPVWSPSTSSYSEQFASDDNSIGTSSYLQRHPQSHQIMNWRRLSQTG >ONI33505 pep chromosome:Prunus_persica_NCBIv2:G1:36834131:36834823:-1 gene:PRUPE_1G429100 transcript:ONI33505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRMKKSSTASTSCVWLVMGLMVLLIVSTEFGQVDCRALRSATSDSGSDGGCKGIDGAEEVGMATFAVSSNYNSSTARRPSVRSLAFSLASGPSKKGPGH >ONI29096 pep chromosome:Prunus_persica_NCBIv2:G1:15827918:15832786:-1 gene:PRUPE_1G181200 transcript:ONI29096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDEEGSGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNAHSKATIGVEFQTQSMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDITRKTTFESVGRWLDELKTHSDTTVAMMLVGNKCDLENIRDVSVEDGKGLAEAEGLFFMETSALDSTNVIKAFELVIQEIYNNVSRKVLNSDTYKAELSVNRVSLVNNGADGSKKSQGYFSCCSG >ONI33455 pep chromosome:Prunus_persica_NCBIv2:G1:36621505:36625188:1 gene:PRUPE_1G425400 transcript:ONI33455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASTFMLLLAVMLLAISHICVVAEDREQQVKKTYIIHMDKSQMPASFEDDHFQWYDSSLKSVSNSADMLYTYRTIIHGFSTRLTAEEAELLEKQPGILSVLPERKYELHTTRTPEFLGLGKSEAFLPASDKVSQVIVGVVDTGVWPELKSYDDTGLAAVPSSWKGSCEVGTNFSSSSCNRKLIGARYFSKGYEAALGPIDEKTESKSPRDDDGHGTHTSSTATGSAVPGASLFSYASGTARGMAPQARVATYKACWLGGCFGSDITAAMEKAVEDGVNVLSLSIGGSQSDYYRDTVAIGAFSAAAQGILVSCSAGNGGPDSGSLSNVAPWITTVGAGTLDRDFPAFVSLGNEKKYRGISLYRGTPLSSGLLPLVYARNASTSSTGELCSPESLIPAKVAGKIVVCDRGGTPRVRKSLVVKKAGGLGMILANTDTYGEELVADAYLLPTAAVGQKAGDAIKSYIASGSNPTATIALGDTELDVQPSPVVASFSSRGPNLITPEILKPDLIAPGVNILAGWTGAVGPTGLAEDKRRVTFNIISGTSMSCPHVSGLAALVMAAHPEWSPAAIKSALMTTSYTTYKTGETIKDIATGNPATPFDYGAGHVDPVAALDPGLVYDAAVEDYLSFLCALNYTTTQIKLTTHKDFTCDSSKKYSLRDLNYPSFAVPLETASGKGGGSGASTTVKYTRTLTNVGTPATYKVSVSSQTPSVKITVEPESLSFSQAYEKKTYTVTFVASSSPSGTTSFGRLEWSDGKHTVGSPIAFSWE >ONI33446 pep chromosome:Prunus_persica_NCBIv2:G1:36586899:36592565:-1 gene:PRUPE_1G424500 transcript:ONI33446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVSTTLNPKASSQGGRPRTATRPPLLPSDPENGVVVPRRLKAREVTSRYMSSSSSSSASTCSNTRRCPSPLPSRTGVSSAAATPMTSSQSVKRSQSVERRRAVTPRPSSLDMRIGNGGSGGGEMSAAQKLLFTSTRSLSVSFQGESYSLQVSKVKPTPSPSTRKGTPERRKATTPFRADQSENSKPTEQQRWPARLRQPNCMTRSLDCTDERRRMSGSGANVVRALQNSMVDDVDGRLRSNSCNLGSVKATETVDDGTSATTQSEPVACSDTDSVSSGSTNSGPHESNGHGGALQGPRPRGIVVPARFWQETNNRLRRQSESKAIGAGARTMGSPKIAEANRLSIDSPTSSPRGVANSRAQLSPIRGTARPASPSKLSRSLMTSSPMRGVSPSRVRNGVAATPSSNLSNTPSILSFAADVRRGKVGENRIVDAHVVRLLHNRLLQWRFVNARANASLAAQRSNAERSLYNAWVTSSKLRESVRAKRIELQMLRQNLKLTSILKGQMIYLEELSLMDRDYSNSLSGATEALKASTLRLPVVGGARADVYNVKDAISSAVDVMQAMASSICLLLSKVGDVNSLVAELANVTAKEHDLLGQCRDLLSTVAAMQVEECSLRTHILQEKRVPDSLTAEV >ONI33445 pep chromosome:Prunus_persica_NCBIv2:G1:36586898:36592565:-1 gene:PRUPE_1G424500 transcript:ONI33445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVSTTLNPKASSQGGRPRTATRPPLLPSDPENGVVVPRRLKAREVTSRYMSSSSSSSASTCSNTRRCPSPLPSRTGVSSAAATPMTSSQSVKRSQSVERRRAVTPRPSSLDMRIGNGGSGGGEMSAAQKLLFTSTRSLSVSFQGESYSLQVSKVKPTPSPSTRKGTPERRKATTPFRADQSENSKPTEQQRWPARLRQPNCMTRSLDCTDERRRMSGSGANVVRALQNSMVDDVDGRLRSNSCNLGSVKATETVDDGTSATTQSEPVACSDTDSVSSGSTNSGPHESNGHGGALQGPRPRGIVVPARFWQETNNRLRRQSESKAIGAGARTMGSPKIAEANRLSIDSPTSSPRGVANSRAQLSPIRGTARPASPSKLSRSLMTSSPMRGVSPSRVRNGVAATPSSNLSNTPSILSFAADVRRGKVGENRIVDAHVVRLLHNRLLQWRFVNARANASLAAQRSNAEMIYLEELSLMDRDYSNSLSGATEALKASTLRLPVVGGARADVYNVKDAISSAVDVMQAMASSICLLLSKVGDVNSLVAELANVTAKEHDLLGQCRDLLSTVAAMQVEECSLRTHILQEKRVPDSLTAEV >ONI34801 pep chromosome:Prunus_persica_NCBIv2:G1:41375172:41377103:-1 gene:PRUPE_1G499800 transcript:ONI34801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPSSFLRQLSGREAWKSTSKRWVGGNNKSCGGGGGIGRSEGSLKQMEGLNMYGSDNNNGGLAMRKRLMVVVDDSSHSKHAMMWALTHVANKGDLLTLLHIIPASHDSSSVSPYLANSLGSLCKACKPEVEVEALVIQGPRLGTVISQVKKLEVSVLVLGQKKSSSLISCLFGSSGTEDFVEHCINNAECLTIGVRKQSKGVGGYLINTRWQKDFWLLA >ONI27334 pep chromosome:Prunus_persica_NCBIv2:G1:5925691:5928462:-1 gene:PRUPE_1G080900 transcript:ONI27334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHRQRPAALTEALQLQIRRYAQSATAYLLHQEPEVEPDFQSTFPRPDPKYAETIFAVPRTASGKSISANERKAGRVPSIVFEQEDGQHGGNKRLISVRTNQIRKLVGHLGRSFFLSRLFDLEVRSDFDSEDIVERVRVLPRMIHLHSATDAPLNVTFIRAPSHALLKVDIPLVFRGDDVSPGLKKGAYLNTIKRTVKFLCPADVIPPYIDVDLSELDVGQKILMGDLKVHPTLKLIQSKDEPVCKIMGARVSEQKKTK >ONI27335 pep chromosome:Prunus_persica_NCBIv2:G1:5926836:5928468:-1 gene:PRUPE_1G080900 transcript:ONI27335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHRQRPAALTEALQLQIRRYAQSATAYLLHQEPEVEPDFQSTFPRPDPKYAETIFAVPRTASGKSISANERKAGRVPSIVFEQEDGQHGGNKRLISVRTNQIRKLVGHLGRSFFLSRLFDLEVRSDFDSEDIVERVRVLPRMIHLHSATDAPLNVTFIRAPSHALLKVDIPLVFRGDDVSPGLKKGEG >ONI35865 pep chromosome:Prunus_persica_NCBIv2:G1:45566440:45567256:1 gene:PRUPE_1G558200 transcript:ONI35865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSLTSSLNSVALPKPFLSHSHRHDHHHERKPTIKLSLITCRSATNYESSFTYKVKDGENESTNFYKLLSLNPNINTSKDEIKKAYRSMALRYHPDVCHDPSISSTKEFVRLNQAYKTLSDPVLREEYDYELGLKICVGTTRVGFKMDNIRNHGVGAAQNRWRQQVLELKRKSNCRMAQKEGSWASRMRRAHNISTMD >ONI35267 pep chromosome:Prunus_persica_NCBIv2:G1:43105026:43106078:-1 gene:PRUPE_1G526400 transcript:ONI35267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCKQNERQALLMFKQDLKDPSNRLLSWVGEGDCGNWTGVVCDNLTGHVRELHLGNYYSDEYLNYSLYQDNSLGGKVNTSLLNLKHLSYLDLSNNDFGGIQIPSLLATTYWMKFENLQWLSGLSSLQNLDMSRVDLSKASDWFQVTNTLPSSMLVELHMSCCELNQIPVGVANMTRLEVLNLRWNIIWGTIPQWLYTCSNLESLSLYLNLLRGEISSSNGNLTAIVNLDLSANQLEGKIPSSLGNLCKLTVLDLSRNYFSGSVSEILGSLSRCSSGPMESLKLSTNDFLGPLSDQLGNFRHLRLLALSSNSISCPIPVSS >ONI26069 pep chromosome:Prunus_persica_NCBIv2:G1:259090:261793:-1 gene:PRUPE_1G002500 transcript:ONI26069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFYLTLLLGFVSFISFSLFALFYRHRAQFTGNNLPPGKVGYPVIGESYEFLASGWKGHPEKFIFDRMTKYSSDVFKTSIFGEKAAIFCGAACNKFLFSNENKLVTAWWPSSVNKVFPSSQETSAKEEAKKMRKMLPNFMKPEALQRYIGIMDTVAQRHFADGWENRKEVEVFPLAKNYTFWLAARLFVSLEDATEIARLGDPFALLASGIISMPLDFPGTPFYKAIKASNFIREELTKIIKQRKIDLAEGKASPTQDILSHMLLLCDEHGTHMKEHDIADKILGLLIGGHDTASATCTFIVKYLAELPHIYDEVYKEQMEILSCKAPGELLNWDDLQKMKYSWNVAQEVLRLAPPLQGAFREALADFVFNGFTIPKGWKLYWSANSTHKNADYFPEPFKFDPTRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNLVKRFKWEKILPDEKIVVDPLPMPAKGLPVRLFPHKKTA >ONI28208 pep chromosome:Prunus_persica_NCBIv2:G1:10267331:10268084:-1 gene:PRUPE_1G131300 transcript:ONI28208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYQGFMTTREDKVFYHIRHPYPVLYDHVYPAEEVSYFPAQVSEFQHIIENSTKTATVKTVEVPAQKPHQIKGGVLTSTEARKIYGPVKTMEVSIT >ONI34916 pep chromosome:Prunus_persica_NCBIv2:G1:41777661:41783817:-1 gene:PRUPE_1G505800 transcript:ONI34916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHIPRIFSSKGAKTMDPKKNRRKPRLERRNAVKHIDYDAASTSSSLDDSSSSPSLYTRSFDLDRTSFRVEGNEGDMERIYQTLGLGPDDFSISEEDWVARKIRSCSDLLPMSRLYQLDSLDSPKPDELREVVAELCDRVGDVTVTGTELTRADAAGPSGLCNAATASTTGGGVCIGIKGARPPVLKPPPSMRVPVVDNGCSTWDLLRDFAPEGEGDSVQKRLESSSSSASSCCGDEEEGEVGEEGAVEAGVRETVPLSEGCSFTTSNDDDSSSTTTVSPNERFSPNGRLKLKITHWEKGDLLGSGSFGSVYEAISDCGCFIAVKEVSLLDKGSQGVQRVYQLEQEIALLSQFEHQNIVQYYGTAKDESNLYIFLELVAKGSLQKLYQTYRLTDSHVSEYTRQILQGLKYLHDRRVIHRDIKCANILVHANGSVKLADFGLAKTIQMNDIKSSQGTAYWMAPEVVNRKHQGYGLPADIWSLGCTVLEMLTRRFPYPNLEWMQALFKIGKGEPPPVPDSLSKDAQDFIHRCLQVNPANRPTAAQLLNHPFLNRPLTTSSGSGSPYHHRGPS >ONI36043 pep chromosome:Prunus_persica_NCBIv2:G1:46236970:46237435:1 gene:PRUPE_1G566500 transcript:ONI36043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVLDEFCYYSLFDETLDFRTACYVEKKKCKVGGKQKRCSAMLKSVVIMKLRISSSLNCLGLGVLLILNVFDINLLGASNEHIFYSF >ONI35352 pep chromosome:Prunus_persica_NCBIv2:G1:43464340:43471604:1 gene:PRUPE_1G531600 transcript:ONI35352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNKIKIKKIDYLPARQVTFSKRRRGLFKKAAELSVLCESEVAVVIFSATGKLFDYSSSSTKDVIERYNADINGVEKLNNQEIELQLENENHIKLSKELEEKSRQLRQMKGEDLEGLNLDELLKLEQLVEASLGRVMETKEELIKSEIMALERKGTELVEANNQLRQTMVMLSGGNTGPALMDPERLNNNIEGGGEEEGMSAESAISTTCNSAVSLSLEDDSSDEVTLSLKLG >ONI26034 pep chromosome:Prunus_persica_NCBIv2:G1:79813:81596:-1 gene:PRUPE_1G000500 transcript:ONI26034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPTLKFENPRLRQAYIALQAWKAAIFSDPFNFTSSWNGSDVCSYMGVFCAPKSTGAHGRVVAGIDLNHADIAGYLPPELGLLSDLALFHLNSNRFCGVVPSSLRLMKLLHELDLSNNRFVGDFPKVVFSLPSLKYLDLRFNEFEGSVPSQLFDKDLDALFLNDNRFQFGIPPNLGNSPVSVLVLANNNLGGCIPGSIGNMAKTLNEIILLNDNLTGCLPPQIGLLTNLTVFDVSFNRLQGPLPSTIGKNMKSLEQLDVARNRLTGVIPASICQLPRLHNFTYSFNYFTGEAPACAANKNNINGRKNCIRGKLDQRSARECASPAARPVDCRKLQCSSTTIPGGGGGSGGGSKRRPPRVSTPSVPVGNKPPAQRRPPRVSTPPPPTSESSPSTRSHPPPPSNPSSSSSPPLVPNRAPPPSNSNSHSPTKPPPPTQRVSPRTHLPPSPPPSSSHHHWTLTPPPPPTQNVSPTTHLRPPPPPLPTHYNSSSSPPPPVLYHASPPDHSKHDLFIL >ONI32296 pep chromosome:Prunus_persica_NCBIv2:G1:33192854:33195027:1 gene:PRUPE_1G359200 transcript:ONI32296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLTLFCTGALLAGGLYWFVCILGPAEQKGKRAVDLSGGSISAEKVQDNYDKYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSLPGKSHRDATRLHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSRANVVGITINEYQVKRARLHNKKAGLDSLCEVVCGNFLEMPFPENSFDGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYMGDDAEHVEVIQGIERGDALPGLRSYVDIAETARKVGFEVVKERDLAKPPAEPWWTRLKMGRIAYWRNHILVTVLAAIGVAPKGTVDVHEMLFKTADYLTRGGESGIFTPMHMILCRKPETPKSS >ONI32160 pep chromosome:Prunus_persica_NCBIv2:G1:32709672:32710495:-1 gene:PRUPE_1G351800 transcript:ONI32160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGGAVGVLILDESVLLQCEVHLTLWQGLMKQSKTSKRLYELEFGLRMYRTVI >ONI31017 pep chromosome:Prunus_persica_NCBIv2:G1:28775842:28779465:-1 gene:PRUPE_1G288000 transcript:ONI31017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMGEANEERRRAMEYLSLQIDKYPRDLLQRLMCSSNTQQSQYAEPSEEEESDELELNLGLSLGGRFGVDKSSKKKLIRSSSIAGTMPFVRDDNDPTTPAQVSCPASLMRTSSLPTETEEEWRKRKELQTLRRLEAKRRRSEKQRNSNSSKAEKEGVSLEEERRELEGGPNLRDKQQQPYDGASRVNRTVGPPFVLPSWAAAAAARQASVGGGVDALEKLKAEILGSLQGFGGQPTSQGSMESQGGSSSGMSELESKPLQGSSNCGEARSPASNQLLQERSNQDTVGSSGTGTAEDASRASRADVESKCKKPNSSEKSGRETRTNAMEDMPCVFTKGDGPNGRKVEGILYRYGKGEEVRIMCVCHGSFLSPAEFVKHAGGSDVTHPLRHIVVNPAATSFS >ONI31018 pep chromosome:Prunus_persica_NCBIv2:G1:28776473:28778898:-1 gene:PRUPE_1G288000 transcript:ONI31018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMGEANEERRRAMEYLSLQIDKYPRDLLQRLMCSSNTQQSQYAEPSEEEESDELELNLGLSLGGRFGVDKSSKKKLIRSSSIAGTMPFVRDDNDPTTPAQVSCPASLMRTSSLPTETEEEWRKRKELQTLRRLEAKRRRSEKQRNSNSSKAEKEGVSLEEERRELEGGPNLRDKQQQPYDGASRVNRTVGPPFVLPSWAAAAAARQASVGGGVDALEKLKAEILGSLQGFGGQPTSQGSMESQGGSSSGMSELESKPLQDSATGEGDGTKEERLLCFFFLIVFP >ONI27181 pep chromosome:Prunus_persica_NCBIv2:G1:5190186:5190851:1 gene:PRUPE_1G072800 transcript:ONI27181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFLCFVFGLQGYGISRQGELQHREIHLDMFSMSRLRKTMPIKQYINDEHQDRTLETRQESGILFETK >ONI27848 pep chromosome:Prunus_persica_NCBIv2:G1:8654424:8656524:-1 gene:PRUPE_1G108000 transcript:ONI27848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNLKFEPDAAGVSTPFSNSPPTHYILKVESFSSLERHSAGRFESGQFDAGGYKWKLAVYPNGYKQKNVDDHISVYLEMAGADSLQTGWEVFVEFRLFLLDQNKGIYLVLQDANLNKMCLHGAMFEVGFDRVIPLNAFTDSSNGYLINDTCVFGAEVFVCKERRAGKAERLYAINSAMYKHPWKVYIPLKFRPELLESKPFFAGGQTWKIRLYPKGYDKGKDTHVSLYLTLANPEPASKILTEFTLRIVDQLNGKHFFCKGCQWFSALTPSFGFSRLIAFDILKQLDKGFLVQSYCLVEAEVNVHGIFTAL >ONI34911 pep chromosome:Prunus_persica_NCBIv2:G1:41752621:41753768:1 gene:PRUPE_1G505500 transcript:ONI34911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSQAKPHPKTMAKQELEKEEEEEKKKNGTKERPNKKEVIITVYVESPSPSSASHSHQKTDQFKKIKPNQNSRKPHQMAKTGGYDRRAHLLAYAQELRTTGGSQQVQWPKSGSKPKLRVGGKRFTTPVRLRRTKNKWRYQRLVPEVDISCNPKSIICGNKKATGSEPNSNFLRKLRGVVKGLSWHCNKGSKVAEKNHAV >ONI32300 pep chromosome:Prunus_persica_NCBIv2:G1:33204686:33206202:1 gene:PRUPE_1G359500 transcript:ONI32300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALDLQDFLLRARVLKLYRQALRITRRSPVDARGELRSTIRQELENNRHCNDRQRIRFFLSEGLERLKRLDEMLDMQGHPE >ONI32299 pep chromosome:Prunus_persica_NCBIv2:G1:33204904:33206202:1 gene:PRUPE_1G359500 transcript:ONI32299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALDLQDFLLRARVLKLYRQALRITRRSPVDARGELRSTIRQELENNRHCNDRQRIRFFLSEGLERLKRLDEMLDMQGHPE >ONI27444 pep chromosome:Prunus_persica_NCBIv2:G1:6469892:6472211:-1 gene:PRUPE_1G086800 transcript:ONI27444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRNRIHKDKGAEPTEFEESVAQAIFDLDNAGTDLKSELKDLYINSAVQVDVAGNRKAVVIHIPYRLRKAYRKIHVRLVRELEKKFSGKDVILIATRRIVRPPKKGSAAQRPRTRTLTAVHEAMLEDVVLPAEIVGKRTRYRLDGSKIMKVFLDPKERNNTEYKLESFSAVYRKLSGKDVVFEYPITDA >ONI27443 pep chromosome:Prunus_persica_NCBIv2:G1:6469891:6472221:-1 gene:PRUPE_1G086800 transcript:ONI27443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRNRIHKDKGAEPTEFEESVAQAIFDLDNAGTDLKSELKDLYINSAVQVDVAGNRKAVVIHIPYRLRKAYRKIHVRLVRELEKKFSGKDVILIATRRIVRPPKKGSAAQRPRTRTLTAVHEAMLEDVVLPAEIVGKRTRYRLDGSKIMKVFLDPKERNNTEYKLESFSAVYRKLSGKDVVFEYPITDA >ONI28495 pep chromosome:Prunus_persica_NCBIv2:G1:11325235:11326783:1 gene:PRUPE_1G143900 transcript:ONI28495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVNLNVVFLSLLVLIEVVSVCNGRVLSPAFYRKSCPQIGRIVRSITWSKVAANPTLAAKLLRLHYHDCFVRGCDASILIDSTSGNTAEKDAIPNRSIGGYDVIDEIKTKLEEECLDIVSCADIVALAARDAVSYQFGRPMWQVLTGRKDGRVSLASEASRDLPSGNANFTTLQQQFAGLGLNIIDLVALSGAHTIGVAHCAVFQRRLNATGKGDADPSLDPEYAQFLRTQCTTPPNPAVAVALDANSSVSFDSHYFAGLRHNKGLLRSDAALLTDPRSARVVKSFQGFHVFMANFGLSMKKMGAIGVKKGARDDGEIRKNCRVVNAAN >ONI33012 pep chromosome:Prunus_persica_NCBIv2:G1:35326875:35331333:1 gene:PRUPE_1G399700 transcript:ONI33012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKKNPTIILAPFILLRFLFFFSWIFNIAAAAAAVENTKIPVNVGVVVDLDAPSGKTYLSCIKMALPDFYASHAHFNTRLVLHTRNSNQTVVGAAAAALDLIKNVEVQAILGPETSMQASFVVNLGDEAHVPIVSFSASSPSLASLRSPYFFRVTQTDSYQVKAISSIVKNFGWRHVVPIYVDNTFGEGVIPFLVDALQKVDAHVPYRSVIPPSATDEQIEKELYKLMTMQTRVFIVHMMPHLCSKLFAMANKIGMMSEGYVWITTNGAGNRLRSLGPVVLSSMQGVLGVETEVPTTMELTEFKMRWKRQFQQDNPAIIDVYVDVIGLRAYDAAFALALAAEQVGDTSFSFQERNGSFSSTDLDTFKVSQYGPKLAQALSNTRFKGIAGDFSLDCGQLQSSRFQILNVNGDGVRTIAFWTPENGMVKTLSSTNTSILSTSEKCDFGTIIWPGDSLSVPKGWEIPTSGKKLKIGVPVKVGFTEFVKVTKIPSTNTTDVTGFSIDVFNAAVEVLPYALPFEFIPFENPDGTSAGTYNDLVYQVYLEKYDAVVGDTTIRANRSLYVDFTMPYTESGVVMVVPIRDTRRKCAWVFLKPLTWDLWLTTFCFFIFIGFVVWVLEHRINEDFRGPPSHQVGTSFWFSFSTMVFSHRERVVSNLARFLMIIWVFVVLVLTQSYTANLASLLTVEQLQPTVTDIKDLLRNGDNVGYIENTYVCEILKQLGFDNSKLKPIKTMEECDVALSKGSAKGGIAAVVDEIPNMKLLVAKYCSKYTMIGPIFKTDGFAFVFPKHSPLVPDLSQAVLNVTGGEKIMNIENKWFSQESKCEDKSTTPRVSSNSLGLESFWGLFLIAGMASILALIIFLASFLYKHRHVLKQSDSRASKWRRVRAMFEIFNDKDISSHTFKSSQQRDGIGGVGDEVKASPNSNWPESPFSYLDHTDMDFVLFEGQQTPSTTSHASPEIVPKIEHDIITVQEMHTTPVTDRLA >ONI28182 pep chromosome:Prunus_persica_NCBIv2:G1:10097181:10099210:-1 gene:PRUPE_1G129600 transcript:ONI28182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDSDFKSQLVTEICSISTRSIACSHRHGCSNSPAKSSSFIDWYRLLRVQENAGRDVIRKRYHELALQLHPDKNKHPKAEIAFKLVSEAYSCLSDNAKRRAFDLERWRKFCFECGTIPYTTHKTSSNASASEHKACNPTSSSRSCKVVKGLKDIRNRFREEARVIENCLRANAAAAPRRESSLFSPPAAYDVFQSRSSRESPIFNPSDHKVQGYPHLRTRIHSKPQNFWHLRTGHQVLNYEPGRATYDSPVFEVRSDRAFFKSRSTCVRS >ONI35598 pep chromosome:Prunus_persica_NCBIv2:G1:44515236:44519852:1 gene:PRUPE_1G544900 transcript:ONI35598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYTEFHQPDIASDRQHSQDVSISQILDHGSISFGRFAVESLAWEKWSVFRHNRCLEELEMYKSNGLVAQKKAYFEDYYKRVRGLKALEVQHQETTQPDPCPDVKINTMQLVYENCHDLSKQKTCGNDTVANSDSSLGTTVDKPRQAKQQPLNDCNDNTDKVIMADEANNTLSNVEPEQARIDASLSSTPSVTSSSRAAQHDSLVSDAVKNDADKPKKHAPPVLNAKVNAALPRNKSKLDCRITKDAVKSLEKSKPRPLHITSKRDNHLLPSKCNTRGAASKNNSNHVSSRKKLTEVCSSATVPNPSSTTSRLVPSYPSGRSDPEKANSNEKKLADRLPTNLPVLARSVQNTSNEKSIACGLKNMAVEKRSCIGVSRKPTDLGNQQMQRKVGQSENQKPKSMFTNNPARVNSERKNYGKERKEKEGKEENNAANRRYPKPASTATSSVHKNVKVVHKIAELKSGILPHA >ONI35599 pep chromosome:Prunus_persica_NCBIv2:G1:44515236:44519873:1 gene:PRUPE_1G544900 transcript:ONI35599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYTEFHQPDIASDRQHSQDVSISQILDHGSISFGRFAVESLAWEKWSVFRHNRCLEELEMYKSNGLVAQKKAYFEDYYKRVRGLKALEVQHQETTQPDPCPDVKINTMQLVYENCHDLSKQKTCGNDTVANSDSSLGTTVDKPRQAKQQPLNDCNDNTDKVIMADEANNTLSNVEPEQARIDASLSSTPSVTSSSRAAQHDSLVSDAVKNDADKPKKHAPPVLNAKVNAALPRNKSKLDCRITKDAVKSLEKSKPRPLHITSKRDNHLLPSKCNTRGAASKNNSNHVSSRKKLTEVCSSATVPNPSSTTSRLVPSYPSGRSDPEKANSNEKKLADRLPTNLPVLARSVQNTSNEKSIACGLKNMAVEKRSCIGVSRKPTDLGNQQMQRKVGQSENQKPKSMEKRKITLQTEDIQSPPQLRHPVFIRM >ONI35597 pep chromosome:Prunus_persica_NCBIv2:G1:44515236:44519873:1 gene:PRUPE_1G544900 transcript:ONI35597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYTEFHQPDIASDRQHSQDVSISQILDHGSISFGRFAVESLAWEKWSVFRHNRCLEELEMYKSNGLVAQKKAYFEDYYKRVRGLKALEVQHQETTQPDPCPDVKINTMQLVYENCHDLSKQKTCGNDTVANSDSSLGTTVDKPRQAKQQPLNDCNDNTDKVIMADEANNTLSNVEPEQARIDASLSSTPSVTSSSRAAQHDSLVSDAVKNDADKPKKHAPPVLNAKVNAALPRNKSKLDCRITKDAVKSLEKSKPRPLHITSKRDNHLLPSKCNTRGAASKNNSNHVSSRKKLTEVCSSATVPNPSSTTSRLVPSYPSGRSDPEKANSNEKKLADRLPTNLPVLARSVQNTSNEKSIACGLKNMAVEKRSCIGVSRKPTDLGNQQMQRKVGQSENQKPKSMFTNNPARVNSERKNYGKERKEKEGKEENNAANRRYPKPASTATSSVHKNVKVVHKIAELKSGILPHA >ONI29733 pep chromosome:Prunus_persica_NCBIv2:G1:22494995:22496889:-1 gene:PRUPE_1G211800 transcript:ONI29733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGSGIYENHPYVPRDLKLPGFVPGFLSQSTILGVYGLSSLLVVLLTWLFSGRSPKKSKIDRWLMCWWAFTGLTHMILEGYFAFSPEFYKDKTACYLAEVWKEYSKGDSRYAARDAGVVAVEGLTAVLEGPACLLAVYAIAKGKSYSYILQFAISLGQLYGTAVYFITSYLEGDNFAASSFYYYAYYVAANASWVVIPTLISIRCWKKICAAVQVQGHKKNKTR >ONI29734 pep chromosome:Prunus_persica_NCBIv2:G1:22495454:22496832:-1 gene:PRUPE_1G211800 transcript:ONI29734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGSGIYENHPYVPRDLKLPGFVPGFLSQSTILGVYGLSSLLVVLLTWLFSGRSPKKSKIDRWLMCWWAFTGLTHMILEGYFAFSPEFYKDKTACYLAEVWKEYSKGDSRYAARDAGVVAVEGLTAVLEGPACLLAVVQTVCAVLLSFAVLNMIFMMIWQICNS >ONI28698 pep chromosome:Prunus_persica_NCBIv2:G1:12335864:12337486:1 gene:PRUPE_1G155900 transcript:ONI28698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQKLENYIILINIHYFSEHNFDRRGGRWESLLILYVKGKLKPSCDTVLTDKCSVWCCSSHVMTAYFYNNTMHLLAASKPPKAHTTAAAEDPIPERVTPASLQSERFSESVKRTQRK >ONI27042 pep chromosome:Prunus_persica_NCBIv2:G1:4590600:4590953:-1 gene:PRUPE_1G064500 transcript:ONI27042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFMAEIGFGKKQTSLVCDSVYGAEEGDTCTFVFEKFNLSFDFFLSINPNINCNNFFVGQWLCTEGTATELRV >ONI33592 pep chromosome:Prunus_persica_NCBIv2:G1:37150373:37152828:-1 gene:PRUPE_1G434700 transcript:ONI33592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSRSPPLVHHQINSLTLNPCPVTLSFPFLKTTALQARLCALKTGADGGSRTGRPGTQGPDPGLLRKPVVSSGKDMDGISDEDEGEDGKWVDWEDKILEDTVPLVGFVRMILHSGKYESGDRLSPEHEKTVLERLLPFHPEAQKKIGSGIDYITVGYHPDFESSRCLFIVQKDGTLVDFSYWKCIKGLIRKNYPLYADSFILRHFRKRRRGL >ONI27438 pep chromosome:Prunus_persica_NCBIv2:G1:6433438:6433944:-1 gene:PRUPE_1G086400 transcript:ONI27438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEHGPIPPDSHPKQESELPSDFGLAKLEPMDIVRLNMQSHVYSFGVVLLEIITGRKAIDNSRAAGEHNLVAWIRELCLLLCFNIFVSKIIFRNYQYSVTFLYWTPF >ONI31742 pep chromosome:Prunus_persica_NCBIv2:G1:31175619:31177049:-1 gene:PRUPE_1G327800 transcript:ONI31742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSLSNLSAGDFAMDAGHEEAYEIPLNTGIQAELVLERLRGKRIRIGKDFFAKVRIFFATFFWVPVDCYKDFSIHAILHRN >ONI30620 pep chromosome:Prunus_persica_NCBIv2:G1:27125297:27127564:1 gene:PRUPE_1G262700 transcript:ONI30620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTCSQCGNNGHNSRTCTEASGAASAAKNGIMLFGVRVTEGNAFRKSASMNNLSQYEQTLQADSNGDAGYASDDVVHASGHRRERKRGVAWTEEEHRLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNHNRRRRRSSLFDITTDTPLTNSLMDEEHIGQQQHQETATALPQPQMNLGRHILGGFPVSTFPMALSPGVLPVSGETSSVDNLTSSIGPNLNLQNDVPQQAKGAPTTLSAKLIRPIPLHPVLPPPSSKMAGLNLNNKYTPSPKRDPTPLSLKLATTSSEEQSPNTTSSHSSAFQGMSSGSDSIISVA >ONI30893 pep chromosome:Prunus_persica_NCBIv2:G1:28360007:28364296:1 gene:PRUPE_1G279900 transcript:ONI30893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETEESNGNKKCHTKTRSLRGGANPQKHHHQHQLIQYSNQFGFCNQNQYQRYYYPALLPLPPPIPLQLALTPPLPQNYNFRSKTHLQKPSCRLNNAPFAASSETQVSTATISPSSEGFQEQTSSPFKGEDVRKLTCARTGKAIVTARRPDSGGVEGTVISLLANHFLVQFDSSERIFHYNVDISPNPSKEVARMIKQTLVEDNSALLSGAIPAYDGRKNLYSPVEFKSDRLEFYISLPIPTSKPSLPFGVFSGFQEKHQQLKLFRINIKLVSKIDGRELSSYLSKEGDDWKPLPQDYLHALDVVLREAPLEKCIPVGRSLYSSSMGGTKDIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESVGVISYLQKRLEFLRDLSQRKTRGLTEKERKEVERALKNIRVFVCHRETVQRYRVFGLTEEATENLWFADRDGKNLRLVTYFKDHYNYDIQFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTARILKMGCQRPKERKAIIDGVMRGPVGPTSGIQEREFKLHVSREMTRLKGRVLQPPKLKLGDGGHVRDLIPSRHDRQWNLLGSHVFEGTRIERWALISFGGTPDQKNSIPKFVHQLSQRCEQLGIFLNKNTIISPQFEPSQVLNNVSLLESKLKRIQRAASNNLQLLICVMERKHKGYADLKRIADTSVGVLSQCCLYSNLDKLGSQFLANLALKINAKVGGCTVSLYNSLPSQIPRLLQTDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPAANKYVSRMRSQTHRQEIIQDLGTMTEELLDEFYQEVGKLPKRIVFFRDGVSETQFYKVLQEELQAIKGACSKFPGFAPPITFAVVQKRHHTRLFPFKIDPSSRQNQLLDENIPPGTVVDTVITHPKEFDFYLCSHWGVKGTSRPTHYHILWDENQFTSDELQKLVNILCYTYVRCTKPVSLVPPAYYAHLAAYRGRLYLERSESTAYTRSGCTLSRAGPPKEMELPKLSENVRKLMFYC >ONI29350 pep chromosome:Prunus_persica_NCBIv2:G1:18383344:18384108:-1 gene:PRUPE_1G194500 transcript:ONI29350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKTYLFVLLTTLLLLSSSCFGDQVSANNDLKTLVSSSVPYSMKQSTKFADQHPLLKYRIKMQVPSGPNPLHNILLPKVDGAKNYHILREVPSGSNPLHHNIPPQKKFDDPANHQILREVPSGSNPLHHNIPPQKKFDDPTNHQILREVPSGSNPLHHNVPPQKKFDDPANHQILREVPSGSNPLHHNVPPLKKLDDPANFYPTRLVPTGPNRAESPGEPPSKVLSAPLPSPSPAKADPLKPHTRRLLGIPI >ONI28400 pep chromosome:Prunus_persica_NCBIv2:G1:11033366:11033674:-1 gene:PRUPE_1G140400 transcript:ONI28400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVTKMASERPVVIFSKSSCCMCHSIKTLFSDFGVNPAVYELDEMQRGKEIEQALSRLGCNPTVPAVFIGGEFVGGANEVMNLHLQRSLIPMLKRAGALWV >ONI34935 pep chromosome:Prunus_persica_NCBIv2:G1:41837589:41839811:1 gene:PRUPE_1G506800 transcript:ONI34935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAARRTSGGVFEGLYRVIMRRNSVYVTFVIAGAFLGERAVDYGVHKLWEYNNVGKRYEDISVLGQRPSEE >ONI30851 pep chromosome:Prunus_persica_NCBIv2:G1:28202389:28205035:-1 gene:PRUPE_1G277000 transcript:ONI30851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTNTEEVKEPELADSAPKDQGAGDNADGAPKDQGAGDNAEEDLKASNENHENSMPSPQQEEATIKKKYGGILPRKPPLISKDHERAYFDSADWALGKQGAKPKGPLEALRPKLQPTPQQQARSRRSSYSRAGDGDDGGNDNTPLEDQVDSGSSNTADEDQSCHRE >ONI30850 pep chromosome:Prunus_persica_NCBIv2:G1:28202575:28203755:-1 gene:PRUPE_1G277000 transcript:ONI30850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTNTEEVKEPELADSAPKDQGAGDNADGAPKDQGAGDNAEEDLKASNENHENSMPSPQQEATIKKKYGGILPRKPPLISKDHERAYFDSADWALGKQGAKPKGPLEALRPKLQPTPQQQARSRRSSYSRAGDGDDGGNDNTPLEDQVDSGSSNTADEDQSCHRE >ONI27914 pep chromosome:Prunus_persica_NCBIv2:G1:8847092:8851653:1 gene:PRUPE_1G110700 transcript:ONI27914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWSGGLFIIALAMILVFRYCSIVKIEPPKQSRKQSASDFFGNHPTNDSFITSSEIKVKKEAESYKKPHFIEVDGPSELFASHDIFKEGSRALLVWPHMRPLLSRSDSLPETAQGVKEASLAWKDLLSAIEKDKASKLSKSNSQEDKNCPFSVSTLDKIVSRDGVILEIPCGLVDDSSISLVGIPDGHSRSFQIQLLGSQLAGEPEPPIILHYNVSLPGDNMTEEPFVVQNTWTHELGWGKEERCPSHRSANNLKVDGLVLCNEQAVRSSLEENLNMSQPSSDMLTNVSRGGAYGSANFPFVEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVTKVKVAGGLDLLSALAKGLPVSEDHDLVVDVEHLKAPATLKKRLLMLVGVFSTGNNFERRMALRRAWMQYEAVRSGDVAVRFFIGLTKILPAKYIMKTDDDAFVRIDEVISSLKGKATNGLLYGLIAFESAPDREKGSKWYIDNKEWPHALYPPWAHGPGYIISRDIAKFIVRGHQESDLKLFKLEDVAMGIWIEQFKNSGHEVNYVTDDRFYSAGCESNYILAHYQSPRLVLCLWEKLQKKHEPVCCE >ONI27911 pep chromosome:Prunus_persica_NCBIv2:G1:8847198:8851660:1 gene:PRUPE_1G110700 transcript:ONI27911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWSGGLFIIALAMILVFRYCSIVKIEPPKQSRKQSASDFFGNHPTNDSFITSSEIKVKKEAESYKKPHFIEVDGPSELFASHDIFKEGSRALLVWPHMRPLLSRSDSLPETAQGVKEASLAWKDLLSAIEKDKASKLSKSNSQEDKNCPFSVSTLDKIVSRDGVILEIPCGLVDDSSISLVGIPDGHSRSFQIQLLGSQLAGEPEPPIILHYNVSLPGDNMTEEPFVVQNTWTHELGWGKEERCPSHRSANNLKVDGLVLCNEQAVRSSLEENLNMSQPSSDMLTNVSRGGAYGSANFPFVEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVTKVKVAGGLDLLSALAKGLPVSEDHDLVVDVEHLKAPATLKKRLLMLVGVFSTGNNFERRMALRRAWMQYEAVRSGDVAVRFFIGLHKNSQVNIELWREAEAYGDIQLMPFVDYYSLISLKTIAICIFGTKILPAKYIMKTDDDAFVRIDEVISSLKGKATNGLLYGLIAFESAPDREKGSKWYIDNKEWPHALYPPWAHGPGYIISRDIAKFIVRGHQESDLKLFKLEDVAMGIWIEQFKNSGHEVNYVTDDRFYSAGCESNYILAHYQSPRLVLCLWEKLQKKHEPVCCE >ONI27917 pep chromosome:Prunus_persica_NCBIv2:G1:8847092:8851049:1 gene:PRUPE_1G110700 transcript:ONI27917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWSGGLFIIALAMILVFRYCSIVKIEPPKQSRKQSASDFFGNHPTNDSFITSSEIKVKKEAESYKKPHFIEVDGPSELFASHDIFKEGSRALLVWPHMRPLLSRSDSLPETAQGVKEASLAWKDLLSAIEKDKASKLSKSNSQEDKNCPFSVSTLDKIVSRDGVILEIPCGLVDDSSISLVGIPDGHSRSFQIQLLGSQLAGEPEPPIILHYNVSLPGDNMTEEPFVVQNTWTHELGWGKEERCPSHRSANNLKVDGLVLCNEQAVRSSLEENLNMSQPSSDMLTNVSRGGAYGSANFPFVEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVTKVKVAGGLDLLSALAKGLPVSEDHDLVVDVEHLKAPATLKKRLLMLVGVFSTGNNFERRMALRRAWMQYEAVRSGDVAVRFFIGLTKILPAKYIMKTDDDAFVRIDEVISSLKGKATNGLLYGLIAFESAPDREKGSKWYIDNKEWPHALYPPWAHGPGYIISRDIAKFIVRGHQESDLKTGH >ONI27915 pep chromosome:Prunus_persica_NCBIv2:G1:8847872:8851001:1 gene:PRUPE_1G110700 transcript:ONI27915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWSGGLFIIALAMILVFRYCSIVKIEPPKQSRKQSASDFFGNHPTNDSFITSSEIKVKKEAESYKKPHFIEVDGPSELFASHDIFKEGSRALLVWPHMRPLLSRSDSLPETAQGVKEASLAWKDLLSAIEKDKASKLSKSNSQEDKNCPFSVSTLDKIVSRDGVILEIPCGLVDDSSISLVGIPDGHSRSFQIQLLGSQLAGEPEPPIILHYNVSLPGDNMTEEPFVVQNTWTHELGWGKEERCPSHRSANNLKVDGLVLCNEQAVRSSLEENLNMSQPSSDMLTNVSRGGAYGSANFPFVEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVTKVKVAGGLDLLSALAKGLPVSEDHDLVVDVEHLKAPATLKKRLLMLVGVFSTGNNFERRMALRRAWMQYEAVRSGDVAVRFFIGLHKNSQVNIELWREAEAYGDIQLMPFVDYYSLISLKTIAICIFGTKILPAKYIMKTDDDAFVRIDEVISSLKGKATNGLLYGLIAFESAPDREKGSKWYIDNKEWPHALYPPWAHGPGYIISRDIAKFIVRGHQESDLKTGH >ONI27916 pep chromosome:Prunus_persica_NCBIv2:G1:8847092:8851049:1 gene:PRUPE_1G110700 transcript:ONI27916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWSGGLFIIALAMILVFRYCSIVKIEPPKQSRKQSASDFFGNHPTNDSFITSSEIKVKKEAESYKKPHFIEVDGPSELFASHDIFKEGSRALLVWPHMRPLLSRSDSLPETAQGVKEASLAWKDLLSAIEKDKASKLSKSNSQEDKNCPFSVSTLDKIVSRDGVILEIPCGLVDDSSISLVGIPDGHSRSFQIQLLGSQLAGEPEPPIILHYNVSLPGDNMTEEPFVVQNTWTHELGWGKEERCPSHRSANNLKVDGLVLCNEQAVRSSLEENLNMSQPSSDMLTNVSRGGAYGSANFPFVEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVTKVKVAGGLDLLSALAKGLPVSEDHDLVVDVEHLKAPATLKKRLLMLVGVFSTGNNFERRMALRRAWMQYEAVRSGDVAVRFFIGLHKNSQVNIELWREAEAYGDIQLMPFVDYYSLISLKTIAICIFGTKILPAKYIMKTDDDAFVRIDEVISSLKGKATNGLLYGLIAFESAPDREKGSKWYIDNKEWPHALYPPWAHGPGYIISRDIAKFIVRGHQESDLKTGH >ONI27913 pep chromosome:Prunus_persica_NCBIv2:G1:8847069:8851653:1 gene:PRUPE_1G110700 transcript:ONI27913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWSGGLFIIALAMILVFRYCSIVKIEPPKQSRKQSASDFFGNHPTNDSFITSSEIKVKKEAESYKKPHFIEVDGPSELFASHDIFKEGSRALLVWPHMRPLLSRSDSLPETAQGVKEASLAWKDLLSAIEKDKASKLSKSNSQEDKNCPFSVSTLDKIVSRDGVILEIPCGLVDDSSISLVGIPDGHSRSFQIQLLGSQLAGEPEPPIILHYNVSLPGDNMTEEPFVVQNTWTHELGWGKEERCPSHRSANNLKVDGLVLCNEQAVRSSLEENLNMSQPSSDMLTNVSRGGAYGSANFPFVEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVTKVKVAGGLDLLSALAKGLPVSEDHDLVVDVEHLKAPATLKKRLLMLVGVFSTGNNFERRMALRRAWMQYEAVRSGDVAVRFFIGLHKNSQVNIELWREAEAYGDIQLMPFVDYYSLISLKTIAICIFGTKILPAKYIMKTDDDAFVRIDEVISSLKGKATNGLLYGLIAFESAPDREKGSKWYIDNKEWPHALYPPWAHGPGYIISRDIAKFIVRGHQESDLKLFKLEDVAMGIWIEQFKNSGHEVNYVTDDRFYSAGCESNYILAHYQSPRLVLCLWEKLQKKHEPVCCE >ONI27912 pep chromosome:Prunus_persica_NCBIv2:G1:8847035:8851668:1 gene:PRUPE_1G110700 transcript:ONI27912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWSGGLFIIALAMILVFRYCSIVKIEPPKQSRKQSASDFFGNHPTNDSFITSSEIKVKKEAESYKKPHFIEVDGPSELFASHDIFKEGSRALLVWPHMRPLLSRSDSLPETAQGVKEASLAWKDLLSAIEKDKASKLSKSNSQEDKNCPFSVSTLDKIVSRDGVILEIPCGLVDDSSISLVGIPDGHSRSFQIQLLGSQLAGEPEPPIILHYNVSLPGDNMTEEPFVVQNTWTHELGWGKEERCPSHRSANNLKVDGLVLCNEQAVRSSLEENLNMSQPSSDMLTNVSRGGAYGSANFPFVEGNPFTATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVTKVKVAGGLDLLSALAKGLPVSEDHDLVVDVEHLKAPATLKKRLLMLVGVFSTGNNFERRMALRRAWMQYEAVRSGDVAVRFFIGLHKNSQVNIELWREAEAYGDIQLMPFVDYYSLISLKTIAICIFGTKILPAKYIMKTDDDAFVRIDEVISSLKGKATNGLLYGLIAFESAPDREKGSKWYIDNKEWPHALYPPWAHGPGYIISRDIAKFIVRGHQESDLKLFKLEDVAMGIWIEQFKNSGHEVNYVTDDRFYSAGCESNYILAHYQSPRLVLCLWEKLQKKHEPVCCE >ONI27968 pep chromosome:Prunus_persica_NCBIv2:G1:9054488:9055374:1 gene:PRUPE_1G114100 transcript:ONI27968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGEAFGVETGSRSSVLSLLLLLFSVAPPILAVCFEISLLKSVTSLVLILASLILCLNLWFLRLLWLLIRLRLKHDSSCSFFEKWHTWLDEFVAELNKGNDKNLGPENRGYSFFKSKRL >ONI26781 pep chromosome:Prunus_persica_NCBIv2:G1:3237234:3240406:-1 gene:PRUPE_1G045300 transcript:ONI26781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTLVRAIAMAAAATTTTASRHSAISLLVPKRLFSTSRLTQPPSVPSLILGRRSLAPLSHAVRLPTATTRYTPIRCRVNRSGGSYSPLNSGSNFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYVQTLAKILGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGMPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRSPERQRRVEPQPSRAQDRPRYNDRTRYVRRRENVR >ONI26652 pep chromosome:Prunus_persica_NCBIv2:G1:2595576:2598209:-1 gene:PRUPE_1G037400 transcript:ONI26652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLMEEWIEYLDYIDETTQPSDFLWPNDTPSFREFEFSAVDVPAAFSPEKECPRKRGRTDSSCPGSGAKACREKLRRERLNDRFVELSAVLEPGRPPKSDKPAILDDAIRVLTQLRAEAQELKETNQKLLEEVKSLKAEKNELREEKLVLKADKERLEQQLKGMAISPSGFVPTHPAVPAAYHPGASKMAMYPSYSLVPMWHYLPPSVRDTSRDHELRPPAA >ONI30809 pep chromosome:Prunus_persica_NCBIv2:G1:28046954:28048070:1 gene:PRUPE_1G274400 transcript:ONI30809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQFTERERERERERERERERERERGERRMEHKQSEVVLFGTWASSFSGRVKIALKLKGIQYEYVEEDLVNKSQMLLSYNPVHKQVPVLVHRGKPIAESRVILEYIDENWSKTPNLLPKDPYERAKIRFWAKYYDEKIIPGIYSIIRSTGKYREKAIEDLSELVKVFEEGMKRDFQEDPLFFIDGSLSFLGIVVSSYACTYEAFHEAVTTVLIPEKNPAFFSWVHDLKGHPLIKETLPPHDKLVARLKHLQA >ONI26101 pep chromosome:Prunus_persica_NCBIv2:G1:374744:376501:-1 gene:PRUPE_1G003900 transcript:ONI26101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIISVLPAECISHIVSCTTPLDACRSSLVSHLFRIAADSDIVWERFLPQDYKEIISSSLNSLSKKDLYFHLCNHPIIIGNGNMSMALEKQSGKKCYMVGARELTVIWGDTPPYWQWISLPESRFAQVAELNYVWWLEIKGYIETKNLSPRTAYAAYFVYQLSSEHNPRTATTPFRFRVAYEQGTADERSVILDPITHEGIAPPQARYRGNGWIEIEMGEFITEEDNATVLFSLMEISSFCKSGLIVEGIELRPKQ >ONI34220 pep chromosome:Prunus_persica_NCBIv2:G1:39030499:39032438:-1 gene:PRUPE_1G468700 transcript:ONI34220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFFDLFARMTEIKNNTAVEVEIREVERGDVSVGRLVAKMPPAGRNLIRAKKFLDHSSYTGVPRTVHVIATANGTRTTTVLSAQYFNTNGRVTFLLENGKLVAEAETIPPKSIIMRGIGRFSHSTRVYS >ONI28124 pep chromosome:Prunus_persica_NCBIv2:G1:9849938:9850538:-1 gene:PRUPE_1G124800 transcript:ONI28124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKLPFLIGHISSIMTLLEGDVILTGTPKGVGPVKVGQKITAGITNLLDVEFNVEKRQKQGSS >ONI26298 pep chromosome:Prunus_persica_NCBIv2:G1:1117212:1120050:1 gene:PRUPE_1G015600 transcript:ONI26298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLPHTTLALPSNPNPNPNPNANTPTTLLTAISSSKNLRQLKQVHAQILKSNLDRSDDLLFNLLLSSCTLSPSLHYPLSVFNQISKPQTHLCNKLLREFSRRAEPDKALLVYERMRREDVAVDRFSFPPLLKAVSRASALTEGVEIHGLAWKLGFDSDPFVETALVRMYAACERIMEARLVFDKMSCRDVVAWSIMINGYCQSGLFDTAFYLFEEMKNSNMEPDPDEMILSAILSACGRAGKLTYGKAIHDFIKENDIVVDSHLRSALVTMYAGSGSMDLAQQLFDKTSPKDFVVATAMVSGYSKLGRVEDARLIFNQIVEKDRVCWSAMISGYADSDRPQEALRLFNEMEVSGIRPDQVTMLSVISACGHLGALDQAKWVHLYVGKNGFGRVLSVNNALIDMYAKCGSLERAKEVFEKMRRRNVISWTTMISAFAMHGDASNALNFFNQMKDENVEPNRVTFVGVLYACSHAGLVEEGRKIFELMINEYNITPKHEHYGCMVDLYGRAGLLTEALEVIEAMPFAPNVVIWGSLMAACQIHGEMELGEFAAKQLLEMEPEHDGALVALSNIYAKQRRWEDVGMVRKKMKNSDISKERGYSRIELNNEVHEFLMADRSHKQADQIYQKLDEVVSELKRVGYTPNTCCVLVDLEEEERKEAILLHSEKLALSYGLISKTKGSSIRIVKNLRICEDCHAFMKLASKVYEREIIVRDRTRFHHYKHGFCSCKDYW >ONI36510 pep chromosome:Prunus_persica_NCBIv2:G1:47767466:47770919:1 gene:PRUPE_1G587900 transcript:ONI36510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYGRDPWGGPLEINTADSATDDDRSRNLQDLDRAALSSRPLDETQQSWLLGPSGEQKKKKYVDLGCIIVSRKIFVWTVGTLLVSAFLAGFITLIVKTVPRHHRGRPPPDNYTLALHKSLMFFNAQRSGKLPKHNNVSWRGNSCLKDGNDASTTFKDLAGGFYDAGDAIKFNFPKSFAMTMLSWSVIEYSAKYEAAGELNHVKEIIKWGSDYFLKTFNHSADSIDKLVAQVGIGSTAGGSTTHNDHYCWMRPEDIDYPRPVTECHSCSDLAAEMAAALAAASIVFKDNKAYSQKLVHGAKTLFRFSRDQRGRYSAGGGSDAALFYNSTSYWDEFVWGGAWMYYATGNSSYLQLATTPGLAKHAGAFWGGPDYGVFSWDNKLAGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSYLPIFTTFNRTKGGLIQLNHGRPQPLQYVVNAAFLATLYSDYLEAADTPGWYCGPNFYSTDVLREFAKTQIDYILGKNPQKMSYVVGFGNRYPKHVHHRGASIPKNKIKYNCKGGWKWRDTSKANPNTLDGAMVAGPDKHDGFRDVRSNYNYTEPTLAGNAGLVAALVALSGEKNIGIDKNTIFSAVPPMFPTPPPPPAPWKP >ONI36036 pep chromosome:Prunus_persica_NCBIv2:G1:46210392:46214597:1 gene:PRUPE_1G566200 transcript:ONI36036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNICKNLMPTMRQLDGFTKYCRASYFAFIHSSPNFSVEVHAPDQEVVIALGSNVGDRLHNFNEALQLMRKSGIHITRHGCLYETAPAYVTDQPNFLNSAVRAVTQLGPHELLGALKKIEKEMGRTDGIRYGPRPIDLDILFYGKLRVSSEILTVPHERIWERPFVIAPLMDLLGSTIDSDTVACWHSFSMHSGGLFDAWEKLGGETLTGKEGLKRVLPIGEGFWDWSTKTSVMGILNLTPDSFSDGGKFQSVEAAISQVRSMISEGADMIDIGAQSTRPMASRISVQQELDRLIPVLEAVVGMPEAEGKIISVDTFYSEVAAEAVSKGAHIVNDVSAGLLDSNMFRVVAGLKVPYIAMHMRGDPSTMQNSENLKYDNVCKQVASELYSRVREAELIGIPAWRMIIDPGIGFSKNCDHNLDVLMGLPNIRAEIGSESLAMSHAPILIGPSRKKFLGEICSRTAGTERDPATVASVTAAVLGGANIVRVHNVRDNADAVKVCDAMLRQRKSVSPS >ONI36037 pep chromosome:Prunus_persica_NCBIv2:G1:46210392:46214597:1 gene:PRUPE_1G566200 transcript:ONI36037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNICKNLMPTMRQLDGFTKYCRASYFAFIHSSPNFSVEVHAPDQEVVIALGSNVGDRLHNFNEALQLMRKSGIHITRHGCLYETAPAYVTDQPNFLNSAVRAVTQLGPHELLGALKKIEKEMGRTDGIRYGPRPIDLDILFYGKLRVSSEILTVPHERIWERPFVIAPLMDLLGSTIDSDTVACWHSFSMHSGGLFDAWEKLGGETLTGKEGLKRVLPIGEGFWDWSTKTSVMGILNLTPDSFSDGGKFQSVEAAISQVRSMISEGADMIDIGAQSTRPMASRISVQQELDRLIPVLEAVVGMPEAEGKIISVDTFYSEVAAEAVSKGAHIVNDVSAGLLDSNMFRVVAGLKVPYIAMHMRGDPSTMQNSENLKYDNVCKQVASELYSRVREAELIGIPAWRMIIDPGIGFSKNCDHNLDVLMGLPNIRAEIGSESLAMSHAPILIGPSRKKFLGEICSRTAGTERDPATVASVTAAVLGGANIVRVHNVRDNADAVKVCDAMLRQRKSVSPS >ONI29310 pep chromosome:Prunus_persica_NCBIv2:G1:17975574:17976640:-1 gene:PRUPE_1G192500 transcript:ONI29310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGATVIAVYMRCLHDVLKRANMCSMVGFIDPATVSASSGTIADKSRLLAARLQKTDGEQIFLMPYNPGRNWILLIVRAKRETVYFLDPLPGNHVVDEEAKNIVNSAIKIYNSHIARPGRKAVIWKTLSGTPKQPSNVECGYYVMRFIKDIIMDPSLGFEKKVRRNYLHTFYVVFCIINDGHVKLPSLNRYSTSVMKNIVVCD >ONI34228 pep chromosome:Prunus_persica_NCBIv2:G1:39121397:39122129:-1 gene:PRUPE_1G469500 transcript:ONI34228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDPCPPNGDKEKKENMDKRKQELQTTIEKQDDQVNQLQTSAFNLANYYFVFQGLILGPIVTATTALRCSDRWFLFSLSLIAAILNLVSLLVVGSKYKKSVMQRHQTKSELIELESDLSKLETSPSDQRLKAKILSYWDTTKIQHPMTVGNKHETELRRRVMPDVTNHLRDFYYVICMGLFISFAVIVIVGCWTIPCKKALQCTPPISDVNTGKCIRVCEGAKCMSICTQF >ONI30559 pep chromosome:Prunus_persica_NCBIv2:G1:26817334:26817989:1 gene:PRUPE_1G258500 transcript:ONI30559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTPIWGKTKKIRGMAMDGSNAEELAIGCFVSIKTTLSDEFQGLQEGSKGGSRRNIRLLKANYIKELSYLGQAEDPLDVKNCYLDLNSLRAREELAIRQAEAESERIGVGVTSQAQNIFDALSKT >ONI31101 pep chromosome:Prunus_persica_NCBIv2:G1:29062217:29067565:1 gene:PRUPE_1G292700 transcript:ONI31101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSNSHTECGNLIKSNPRDEFLQWYGSQAQLVIVEPELCKEILNNKDGAFAKRKPQSYVKKLLGDGLATIEGEKWGKLRKLANHAFHAESLKSMVPEMVASAETMLLEGWKNNEGKEIEVFEPFRMFTSEVISRTAFGSSYLEGQNLFENLMKLSFLIFKNALTVRFPGISKFFKTSDEIESEKLQKGMHNSIIGIVEKREKKAMDGEEDSFGSDFLGLLLKAHHDTNDSQRISVDDLVDECKTFYFAGQETTNSMLAWTVFLLALNTDWQEEARKEVLQLFGRQNPNPDGLSKLKLMIINESLRLYPPVVSVERKVRREVRLGKLIVPANVELIIPILAIHHDPEFWGEDVQLFKPERFEEGVAKATHNNIAAFLPFGMGPRTCVAFNFAITEAKIALSMILQRYTFTLSPAYVHSPYEYLTVRPQHGVQVALHSL >ONI31885 pep chromosome:Prunus_persica_NCBIv2:G1:31760749:31765392:1 gene:PRUPE_1G336600 transcript:ONI31885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGEKDRANGLHACEDEASQSKLTRHISKQNKDNPEEKMGFTEKGIGFLRESDMGSDGFQSKSSKIGNLGSQELTLSYLCDSSKLGFLEKEFAGANLLTSLEKESFKGKEILVSANSSQDHKWVERDFLNLNETRLNWSKRELVEETERERRDKKPKLETLNLSLALPEVSLSLTASNALQNGDPPAMPRPSRSVQSLAPSTNNTQTTCSNDFTAASLSYSYSHPFSHNPSCSLTRNSTENYEYSVGKDDQIWNCGEGTNGSVHSRFKPIGDGVALSNHGGGIYSLMQSNRKDSCNNSLYRTTSSDNLSFFPSELPAKPKIDTQSGDSRGRGSESLRGLEDVDGGGRARKLSRPERILRELISESIPVMAQIIQELPDETLLSTKEYLKNLISMPEKKEELVSLQNRLHRRSDLTKENLAKCQKDQLEILVAVKMGLGNFVSGKNRLPTTELVEIFSFMRCKNVNCKSLLPVEDCDCKVCSANKGFCSSCMCPVCLNFDCASNTCSWVGCDVCGHWCHAACGIQRNLIKPGPSLKGPSGTSEMQFHCIGCGHASEMFGFVKDVFLCCAKDWGLETLIKELDCVRKIFRRSDDFKGRELHIKAEEIISKLGSQMMSPSDACNFIIQFFNYTDGVSEYPASRISTKELAVTQASLRKDATPFSQSASLPPKYAAYNTSSSIQCDLLSNDTRQNDLKSSLISNEDEFQFGTLPKIDGFESLESIVRIKEAEARMFQGKADEARREAEGYRQMIQTKTDKLEEEYAKKFSKLCLQETEERRRKKLEELKILESSHCDYYNMKTRMQAEIAGLLERMEATKQQRV >ONI33423 pep chromosome:Prunus_persica_NCBIv2:G1:36497301:36499564:-1 gene:PRUPE_1G423200 transcript:ONI33423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKGPALFSNIGKKATDLLNKDYSTDQKINITTNTETGVTLNSGLVKKGGLSCGDVAAQYKYKNAALNVKADTESNVSTMLTITDILPSTKTIASVKLPDYKSGKLEVQYLHEHASFTTAVGLNGSPAVDVSATLGTPSIAFGAEASYLTSSRVFAKYNAGVSLTKQNSSASVILADKGDSLRGSYLHHLSKLNGGAVVGEVNRRFSTNENTLTVGCSYVVDPETVVKARLNNHGNLGALLQHQLTPKSSLTICGAFDTKALEKNPKFGLALSLKP >ONI32560 pep chromosome:Prunus_persica_NCBIv2:G1:33953607:33959600:1 gene:PRUPE_1G373300 transcript:ONI32560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLLLITFAICRLIVTVGLTVDPTELLRLVVDGQLSVDPSDVDTASKDFGLMTRAEPLAVLHPGSAQDVARLVRAAYSSAHGFTVSARGHGHSINGQAQTNNGVVIEMNGGGSSGRVMSRVRSGSGRVSEKGMYVDAWGGELWIDVLRSTLEYGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISSVDELDVVTGRGELLTCSEEKNSELFHAVLGGLGQFGIITRARIALEPAPQRVRWIRVLYSNFSAFTKDQEFLISLHGQPSTHKFDYVEGFVIVDEGLINNWRSSFFSPSNPVKITSINSQGGVLYCLEITKNYHESTADTIDQEIEGLLKKLDFVPTSVFTTDLPYVDFLDRVHKAELKLRSKSLWDVPHPWLNLFVPKSRISDFDKGVFKGILGNKTSGPILIYPMNKDKWDQRSSVVTPDEDIFYLVALLRSALDTGDETHTLEHLTNQNRQILKFCDDAGIKVKQYLPHYTTQEEWMDHFGDKWGQFYQRKMDFDPRRILATGQRIFSPSSSVPISNHGGDKLLSIS >ONI28360 pep chromosome:Prunus_persica_NCBIv2:G1:10939962:10941439:-1 gene:PRUPE_1G139600 transcript:ONI28360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKTSDKPFKAHEQGQAQMGFASVLQRNTPPSQSGERRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAQEAALAYDRAALSMKGSQARTNFIYSDNTTFHSLLTPFDLQNLLPQQSQFFSAAKQQPTNHNSPPHQSGIFQNDQTQNPNIRSNNINTCGAETSRGSSAHDGDDDDFFFSNGSNSNSGYLACIVPDNCLRPPSESDHHATNHRSSKTSDFNIDQDCSFANTNSIETKSHCLDVMNVQAALMASNTSPSGDQLPSFDGFSHGFWDNQQPIWELHSRELSAAMVDNPFMIEDGCMGGALYPIVENSSFGLIPQATTSPSSFSCSPSVPPFGDAVFEFGQSLF >ONI33458 pep chromosome:Prunus_persica_NCBIv2:G1:36635468:36637780:1 gene:PRUPE_1G425700 transcript:ONI33458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPICMLPLTLMLLALSHISCVVAEEREQQMNKTYIIRMDKSKMPASFGDDHFQWYGSSLKSVSKSADVLYTYRTIIHGFSTRLTAEEAELLEKQTGVVSVLRELRYELHTTRTPEFLGITFARNEAIFPTSDKISRVVIGLIDTGVWPEIKSYDDKGLGPVPKTWKGKCEEGTNFNSSSCNRKLVGARFFPKGYEASMGQPIDERVESRSPRDDSGHGTHTSTTAAGSAVPGASLFGYASGTARGMATQARVATYKACWFGGCYSSDIIAAMDKAVEDGVNILSLSIGGTRYHNYYTDAMAIGAFSAMAKGIFVSGSAGNRGPAKGSLSHNAPWITTVGAGTLDRDFPAYVSLGNRKKYRGISIYAGPSLSCGLLPLVYAGNASNSIDGDLCSLDSLIPGKVAGKIVVCDKGITYNSEKSAVVKKAGGLGMILANTKAYGEEVVADSYLLPTVVVGQKAGDAIKRYIASHDNPKATFDFGKTQLGVEPSPVVAAFSSRGPNLISPTVLKPDLIAPGVNILAGWSGAVPPSGFYEDTRRVSFNIISGTSMSCPHVSGLAALLKAAHPKWSPAAVKSALMTTSYTTYKNGKPLKDIATRNAATPFDYGAGHVDPVAALDPGLVYDLGVEDYLSFLCALNYTTRDIKILTHIDFTCDSSKNYRAGDLNYPSFAVSLNTSSGNRGAGTKIYTRTLTNVGTPGTYKVSVSALSPSVKIWVEPKSLSFAQAYEKKMYTVTFVTSAMPSGTKSFANLEWSDGKHIVSSPIAISWF >ONI33927 pep chromosome:Prunus_persica_NCBIv2:G1:38140944:38142420:1 gene:PRUPE_1G453700 transcript:ONI33927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICFSHIIAFLTLTLVARASYAAAARDTTSTLPPQLHYWNSVFPGTTMPKALSELVQPDSVEPPKNFVGYNQHGVEDRTLSVYTQDDDTHLKDPTQRIFFLEKEIKPGTTMKYSLGRNIAGETFLPRKTAESIPFSSAKLPEILNKFAMKPGSVEATVIQETIQDCESEVLRGEDRYCATSLESMVDFAMSKLGRNVQAISTEVEKGATLQNYTVKPGVKNVNEGGNFILCHKLTYAYAVFFCHTFGQTRAYAVPLQGADGTTANAVAICHLDTSAWNPKNHPLQEVKVKPGTVPVCHYLPQGHIAWVPN >ONI31616 pep chromosome:Prunus_persica_NCBIv2:G1:30819326:30823372:-1 gene:PRUPE_1G322200 transcript:ONI31616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGILVSSPTSSSPLSTPIPSVILTQDELKKIAAYKAVEYVESGMVLGLGTGSTAKHAVDRIGELLHQGKLQNIVGIPTSKKTHEQALSVGIPLSDLDSHPVLDLAIDGADEVDPQLNLVKGRGGSLLREKMVEGACRKFVVIVDESKLVKHLGGSGLAMPVEIVPFCWKFTAKRLQDLFEDAGCVGKLRTFGENGEPFVTDNGNYIVDLYFKKDIGDLKAASDTILQLAGVVEHGMFLDMATTVIVASELGITVKNK >ONI36192 pep chromosome:Prunus_persica_NCBIv2:G1:46781535:46781888:-1 gene:PRUPE_1G574200 transcript:ONI36192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFERRLTETDTVGKLSLPIEWLRILPPIEQGSHEVKIYVSDGLGFYEFRCSTIKMGRYRKPFLQPEDWLKFVDHKGLKVGDKIILDSEPNDFIGSHFRIRAQKFNHEENKWVDV >ONI35380 pep chromosome:Prunus_persica_NCBIv2:G1:43540772:43546953:-1 gene:PRUPE_1G532600 transcript:ONI35380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKDPKKCSITEEDTATLLQRYTATTVLALLQEVAHWPEAKIDWIRLVAKTSTGISNAREYQMLWRHLAYREALVDKFDNGSQPLDDDSDLEYELEAFPAVCGEASTEAAACVKVLIASGLPSDSSHRNGTTVEAPLTINIPNGQPSRTHENSEPTCSMQGKNITVPVSVKKQPLPSATTSSVATADGGDANGSASNSMAPRKKRKKWSEAEDFELIAAVQKCGEGNWANILRADFKGDRTAGQLSQRWAIIKKRNQELNLGGNSSGKLSEAQLAARHSLSVALNMPNLTAKTIGTAGTNAHNKFARKVATSNPVLTTGAKAEPQSQQDLKPTKKPYQMELLGSTTKSQVTSKNTLTKPNCNDDDIVRAIAVAAGARIASPSDAASLLKAAQAKNAVHIMPTSGSIQSSLPGGMSTHSEPHPNLHMRTGLAGITLSTPPPTDVTPSAVHPGSSKALPPMSQPTPTNGTLLSRQIKGVSCSLDAKLPSKQEVRTEEGSVIAELGCTPIVLAQDEAVISRNGQDEQVKDDKVDSPNQKADLKILISNAENAVSSLNIERDETHHKAVISVQGEQRQSAKDNEVVCSLIGGGDPSAVDSSEKPSSTEKQTDLLGTVTDGCNGKHVLSKEEAGIKINGEHEG >ONI35383 pep chromosome:Prunus_persica_NCBIv2:G1:43540489:43547299:-1 gene:PRUPE_1G532600 transcript:ONI35383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWRHLAYREALVDKFDNGSQPLDDDSDLEYELEAFPAVCGEASTEAAACVKVLIASGLPSDSSHRNGTTVEAPLTINIPNGQPSRTHENSEPTCSMQGKNITVPVSVKKQPLPSATTSSVATADGGDANGSASNSMAPRKKRKKWSEAEDFELIAAVQKCGEGNWANILRADFKGDRTAGQLSQRWAIIKKRNQELNLGGNSSGKLSEAQLAARHSLSVALNMPNLTAKTIGTAGTNAHNKFARKVATSNPVLTTGAKAEPQSQQDLKPTKKPYQMELLGSTTKSQVTSKNTLTKPNCNDDDIVRAIAVAAGARIASPSDAASLLKAAQAKNAVHIMPTSGSIQSSLPGGMSTHSEPHPNLHMRTGLAGITLSTPPPTDVTPSAVHPGSSKALPPMSQPTPTNGTLLSRQIKGVSCSLDAKLPSKQEVRTEEGSVIAELGCTPIVLAQDEAVISRNGQDEQVKDDKVDSPNQKADLKILISNAENAVSSLNIERDETHHKAVISVQGEQRQSAKDNEVVCSLIGGGDPSAVDSSEKPSSTEKQTDLLGTVTDGCNGKHVLSKEEAGIKINGEHEG >ONI35382 pep chromosome:Prunus_persica_NCBIv2:G1:43540489:43546781:-1 gene:PRUPE_1G532600 transcript:ONI35382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWRHLAYREALVDKFDNGSQPLDDDSDLEYELEAFPAVCGEASTEAAACVKVLIASGLPSDSSHRNGTTVEAPLTINIPNGQPSRTHENSEPTCSMQGKNITVPVSVKKQPLPSATTSSVATADGGDANGSASNSMAPRKKRKKWSEAEDFELIAAVQKCGEGNWANILRADFKGDRTAGQLSQRWAIIKKRNQELNLGGNSSGKLSEAQLAARHSLSVALNMPNLTAKTIGTAGTNAHNKFARKVATSNPVLTTGAKAEPQSQQDLKPTKKPYQMELLGSTTKSQVTSKNTLTKPNCNDDDIVRAIAVAAGARIASPSDAASLLKAAQAKNAVHIMPTSGSIQSSLPGGMSTHSEPHPNLHMRTGLAGITLSTPPPTDVTPSAVHPGSSKALPPMSQPTPTNGTLLSRQIKGVSCSLDAKLPSKQEVRTEEGSVIAELGCTPIVLAQDEAVISRNGQDEQVKDDKVDSPNQKADLKILISNAENAVSSLNIERDETHHKAVISVQGEQRQSAKDNEVVCSLIGGGDPSAVDSSEKPSSTEKQTDLLGTVTDGCNGKHVLSKEEAGIKINGEHEG >ONI35381 pep chromosome:Prunus_persica_NCBIv2:G1:43540772:43546924:-1 gene:PRUPE_1G532600 transcript:ONI35381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYHRRRYSHSLTKGRYTATTVLALLQEVAHWPEAKIDWIRLVAKTSTGISNAREYQMLWRHLAYREALVDKFDNGSQPLDDDSDLEYELEAFPAVCGEASTEAAACVKVLIASGLPSDSSHRNGTTVEAPLTINIPNGQPSRTHENSEPTCSMQGKNITVPVSVKKQPLPSATTSSVATADGGDANGSASNSMAPRKKRKKWSEAEDFELIAAVQKCGEGNWANILRADFKGDRTAGQLSQRWAIIKKRNQELNLGGNSSGKLSEAQLAARHSLSVALNMPNLTAKTIGTAGTNAHNKFARKVATSNPVLTTGAKAEPQSQQDLKPTKKPYQMELLGSTTKSQVTSKNTLTKPNCNDDDIVRAIAVAAGARIASPSDAASLLKAAQAKNAVHIMPTSGSIQSSLPGGMSTHSEPHPNLHMRTGLAGITLSTPPPTDVTPSAVHPGSSKALPPMSQPTPTNGTLLSRQIKGVSCSLDAKLPSKQEVRTEEGSVIAELGCTPIVLAQDEAVISRNGQDEQVKDDKVDSPNQKADLKILISNAENAVSSLNIERDETHHKAVISVQGEQRQSAKDNEVVCSLIGGGDPSAVDSSEKPSSTEKQTDLLGTVTDGCNGKHVLSKEEAGIKINGEHEG >ONI29473 pep chromosome:Prunus_persica_NCBIv2:G1:19431792:19441153:-1 gene:PRUPE_1G199800 transcript:ONI29473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSGNHQEPSHASSSFNGTNPSNGNSAPVSAPESSGAAMAMKHNPGISMDWSAEEQAILDDGLAKYSTESNIIRYAKIAMQLQNKTVRDVALRCRWMTKKENSKRRKEEHNLTRKSKDKKERVIDTSAKPSHFAGRPNVAPYAPPMVTMDNDDGISYKAIGGITGELLEQNAQALNQISANLAAFQLE >ONI29472 pep chromosome:Prunus_persica_NCBIv2:G1:19432271:19440527:-1 gene:PRUPE_1G199800 transcript:ONI29472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSGNHQEPSHASSSFNGTNPSNGNSAPVSAPESSGAAMAMKHNPGISMDWSAEEQAILDDGLAKYSTESNIIRYAKIAMQLQNKTVRDVALRCRWMTKKENSKRRKEEHNLTRKSKDKKERVIDTSAKPSHFAGRPNVAPYAPPMVTMDNDDGISYKAIGGITGELLEQNAQALNQISANLAAFQIQENINLFCQTRDNILKIMNDLNDMPDVMKQMPPLPVKVNEELATHVGIPPHQMQS >ONI35830 pep chromosome:Prunus_persica_NCBIv2:G1:45436561:45437213:1 gene:PRUPE_1G556400 transcript:ONI35830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLGVQICNEVHIRILELHLHDGGGRPINLIETFSLVRIALCSLLKFSRVVNYYSAQERNFSYLPIKTY >ONI35978 pep chromosome:Prunus_persica_NCBIv2:G1:46046086:46048694:-1 gene:PRUPE_1G563700 transcript:ONI35978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRTKKRDMRSLWIQRINAGTRIHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDISRTAFPGNKNVVHAPKKEGLSMLV >ONI35980 pep chromosome:Prunus_persica_NCBIv2:G1:46046086:46048320:-1 gene:PRUPE_1G563700 transcript:ONI35980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRTKKRDMRSLWIQRINAGTRIHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDISRTAFPGNKNVVHAPKKEGLSMLV >ONI35979 pep chromosome:Prunus_persica_NCBIv2:G1:46045851:46048719:-1 gene:PRUPE_1G563700 transcript:ONI35979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRTKKRDMRSLWIQRINAGTRIHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDISRTAFPGNKNVVHAPKKEGLSMLV >ONI31621 pep chromosome:Prunus_persica_NCBIv2:G1:30831798:30833355:-1 gene:PRUPE_1G322400 transcript:ONI31621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSLLSSSSSSIVSFNPFSGIDRHQKRAARSATRVFASSRKEADHERYRQNFYRSRLVDENMIVLRKRVHEMKMVERNYEPPSDWLDWEKRYYTTYDSSICQLMGLLQSQLMNTRPSFALATMALIILSLPTSTLLLFFHLLDLTKGVLTLN >ONI31792 pep chromosome:Prunus_persica_NCBIv2:G1:31382575:31384459:1 gene:PRUPE_1G331100 transcript:ONI31792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSNPNGYNKYASEQLHHQGGPPTGIPVSSSTEPYFSTSHDQDTDTDTHSHHPYSHGQVPPHLRPRAPVPWSSGLCDCFSDPKNCCITCWCPCITFGQIAEIVDKGSTSCGASGALYTLITCVTGCPCFYSCFYRSKMRQQYALVESPCNDCLLHCFCECCALCQEYRHLQSRGFDMAIGWHGNIEERNREVAMTPVPPVVEQGMSRDK >ONI26907 pep chromosome:Prunus_persica_NCBIv2:G1:3818775:3820670:1 gene:PRUPE_1G054300 transcript:ONI26907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPHSFDQDDLFARRCIWVNGPVIVGAGPSGLAVGAGLKEQGVPFIILERANCIASLWQKRTYDRLKLHLPKQFCQLPNFPFPEDFPEYPTKNQFINYLESYAQHFDISPQFNETVQSAKYDETFGLWRVKTIVSTSNSNPAAAEVEYICRWLVVATGENAEKVVPEFEGLEEFGGNVMHVCDYKSGVAYRGKQVLVVGCGNSGMEVSLDLCNHNASPSMVVRSSVHVLPREICGKSTFGLAVLLMKWLPLWLADKILLILAWLIFGNLEKYGLRRPSLGPLELKNISGKTPVLDIGALQKIRSGEIKVVPGIKRFSHGRVELVDGQNLEIDSVILATGYRSNVPSWLKENEFFSREGIPKNSFPNGWKGKGGLYAVGFTRRGLSGASLDAMGVSQDIAKSWKEETKQKRKSVAARHRRCISHF >ONI26840 pep chromosome:Prunus_persica_NCBIv2:G1:3476059:3481475:1 gene:PRUPE_1G049400 transcript:ONI26840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPTEMKNASWSKANSVFQSLSLAHDSQATMAAMEGVVQNQPPQPQQQQPPQPMAVERLNDAVQQQLNLESVKTRAISLFKAISRILDDLNAYAYANTTPKWQDILSQYSMVNLELFNIVDEIKKVSKAFVVHPKNVNAENSTVLPVMLSSKLLPEMEVEDNAKREQLLHGLQSLPIGSQIEKLKARIDMIGAACESAEKVLADTRKAYCFGTRQGIPIVPTLDKAQAAKIQEQENLLRAAVNFGEGLRLPVDQRQNTTALPMHLVDVLTVGDGAQPFAETSGMYLKNTPVSSSNLTAQSPLMQVSGSQLMGRPAASPGGTSVSNFDNTTTSPLPYANSPRSSTNMMNTPSPQQQSQQQKQQQQQQQQQKLMQLPQHQQQQLLAQQQFRQSAMQGLGQNQLQQLHDLQGAQKFQSLHGQHQMQFSQPLGHQQFQGRQLPSGHVQHGIGQNQLNPGNQMNRHLGQFSGAANSALFNAAQTTPSQMIPNISATMPSQSLLPRMQFELSGNNPQRSHASQMLSDQMFNMGAANTSGMMPIQQQQQQQQQQQQHGSQGAFGNIPPNAQNLQPNMVALQGTPQNHPNFGQQRQQNQQ >ONI26839 pep chromosome:Prunus_persica_NCBIv2:G1:3476059:3481475:1 gene:PRUPE_1G049400 transcript:ONI26839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPTEMKNASWSKANSVFQSLSLAHDSQATMAAMEGVVQNQPPQPQQQQPPQPMAVERLNDAVQQQLNLESVKTRAISLFKAISRILDDLNAYAYANTTPKWQDILSQYSMVNLELFNIVDEIKKVSKAFVVHPKNVNAENSTVLPVMLSSKLLPEMEVEDNAKREQLLHGLQSLPIGSQIEKLKARIDMIGAACESAEKVLADTRKAYCFGTRQGIPIVPTLDKAQAAKIQEQENLLRAAVNFGEGLRLPVDQRQNTTALPMHLVDVLTVGDGAQPFAETSGMYLKNTPVSSSNLTAQSPLMQMYYASSGPLTQQRQKQVSGSQLMGRPAASPGGTSVSNFDNTTTSPLPYANSPRSSTNMMNTPSPQQQSQQQKQQQQQQQQQKLMQLPQHQQQQLLAQQQFRQSAMQGLGQNQLQQLHDLQGAQKFQSLHGQHQMQFSQPLGHQQFQGRQLPSGHVQHGIGQNQLNPGNQMNRHLGQFSGAANSALFNAAQTTPSQMIPNISATMPSQSLLPRMQFELSGNNPQRSHASQMLSDQMFNMGAANTSGMMPIQQQQQQQQQQQQHGSQGAFGNIPPNAQNLQPNMVALQGTPQNHPNFGQQRQQNQQ >ONI28928 pep chromosome:Prunus_persica_NCBIv2:G1:13952766:13953989:-1 gene:PRUPE_1G169800 transcript:ONI28928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSIYDDSGENERVSSMEKQKKNHNIPYILQLPSHILGEIFRKIQIKTLLQCRFVCKFWHRLLSDPQFTEQLFSGTTCLLLRGCGSDHLATLKNDSLGPNDVALKLLKDTIVLPKEVNIVSSCNGLLCFYKLDSWNPPYGRLYISNPITGESLALPTPPDENVISFPCGFGFSPMSGAYKLVRFRGTSQWRYEPYREVLVLTVGSGAWRSIGNFWYDLDYMLYGVCVSGFLHWIDWSRALICAFDLEREVFQELPLPPSWDLEGRNNISRLDFSVLQGCLSVTVTVDSQRKMSIWVMKEHGVKESWSLELTIGGVIVPRPCQQFFRNGRISLKFGDGPVLLKFGDGQVLLFNGGKLLAHASGKGLVDVEFDGIYLISAHVHIPSFVSPKHIIGG >ONI35506 pep chromosome:Prunus_persica_NCBIv2:G1:44129952:44134400:1 gene:PRUPE_1G540100 transcript:ONI35506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRWANPAPCSHGRVFQAPPGTWTISSVKLRNDFHSLRRSSSIEPQREKHRVRTAMVKAVVGEETQLKLAEDRLEQSSTPAQVGLLIGKLSSSLDRGFVFDIVPTPLNDAGAPACSLVEPTKDDKRKGTKPKSQSSDSSSLSIDKDWVAEHARQVSRMLVGGMKVVGIYIWASESAFKNSNITLCQTVMGVAKAAPLSENDWDERLLIHICYSPRRWTCRNCAVASNITSSSLRPCDFKMGRVLNSLQRFRCMYNFDIRLPIFHKNLSNVQTFSEALRLGISVHAKDLKSAKAMYDGNLVFNNDLCTSDGLHEVELLLPFMKDTEACSQKEAVGVLALSGSVCSFAFLNPKEPISQAVADIKDDIITSLQSRLDIICDEADGETGPTETGGQQARDDTGSQEARDGISSGTPVFQIVLQSFRSIMPAKLLFF >ONI35505 pep chromosome:Prunus_persica_NCBIv2:G1:44129952:44135808:1 gene:PRUPE_1G540100 transcript:ONI35505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRWANPAPCSHGRVFQAPPGTWTISSVKLRNDFHSLRRSSSIEPQREKHRVRTAMVKAVVGEETQLKLAEDRLEQSSTPAQVGLLIGKLSSSLDRGFVFDIVPTPLNDAGAPACSLVEPTKDDKRKGTKPKSQSSDSSSLSIDKDWVAEHARQVSRMLVGGMKVVGIYIWASESAFKNSNITLCQTVMGVAKAAPLSENDWDERLLIHICYSPRRWTCRNCAVASNITSSSLRPCDFKMGRVLNSLQRFRCMYNFDIRLPIFHKNLSNVQTFSEALRLGISVHAKDLKSAKAMYDGNLVFNNDLCTSDGLHEVELLLPFMKDTEACSQKEAVGVLALSGSVCSFAFLNPKEPISQAVADIKDDIITSLQSRLDIICDEADGETGPTETGGQQARDDTGSQEARDGISSGTPVFQIVLQSFRETCSLSLPRRVFVPWLAGTFVCDYLQPSETFEVLKDRCVELLSMEAPTDDSAILEPEIEAPSTVTKSFWDVAVPFSSASRSCLEKSGLDTLRGESSRKSIESSNVNIMAAVIFLLLSIIVGYVLIFVRRS >ONI28177 pep chromosome:Prunus_persica_NCBIv2:G1:10060429:10062215:1 gene:PRUPE_1G129100 transcript:ONI28177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHIFCLSIIPCLCTNKMPPIDSSFILSSTPSSSSHTNHISWFLLSSPLPLMASGLEKPRVTEIHVRMDCNGCVQKIKKALHGINGIYDLYIDFPQQKLTIIGWADPEKIVKAIKKTRKIATICSHTEQQTEPAPPPTEQAAPEGGAPAPADPPPAEQAPPAAEAVPPAEGAKPAEPPKESPPPELPTPEAAPVAAEANPGHQMHHPPRDVGEVHTIYHHPPDYGYRYGYSQGYTGYWNRYHNSQGHPQEPTPTPIPMGPTPTPTPPVYVTHSYNTYRPSPYVTEYEYIQPPPQPTHLSRMNYYNEEHHINVSNSNGNITSIFSDENPNACAVM >ONI32908 pep chromosome:Prunus_persica_NCBIv2:G1:35023502:35025585:1 gene:PRUPE_1G393300 transcript:ONI32908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNDDRFFKTLILSPFRYSKTRHIRIADILVDEEEGSETGGYDCVGDFNMDGIGDLPKDDRLMALQCSTPRRIISRWLSSLRRSKRRRSDVVRSQKIFKEVARRETGDESTHASCSTHGLKQSGQFVKDNGSFNLGVACGLIYLIVASKNELTKMVELRTQMELLLQNAKEGLQSKNAPFDAKPLELNEMNIASSATDFHQASSSSSDSQFSLQSGVVRDVCSEYIRNEEGEGERDECVAGMDQLEAELEAELERLQLQLDSENDSSNSEYPQQRLKASRDCALVIDCDEAEPPDYAEMPCDYGVPALELERRLHQVLEARQEERIKELEVALEYAKRKLHEKEIEVSWWKETATAALVSHHVPDPSASIASQHDPESTFHSFR >ONI30729 pep chromosome:Prunus_persica_NCBIv2:G1:27693059:27697577:-1 gene:PRUPE_1G269800 transcript:ONI30729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLVSFCSLFLILAIFTPISIGQLTPSESRILFQFQKLLEYPGALQVWTNWTNFCYLPPTPSLKVVCTNNRLTELTVIGNKSSPSHSPKPVSGSFVISQQTLSKSFSIDSFFTLLTKLSNLKVLSLVSLGLWGPLPAKINRFWSLEELNISSNFIYGQIPASLSSMKNLRSIVLADNLFNGSVPDLKSLAALEEINLGNNHLGPGFPSLGNSLVSIILRNNSMRSEIPPTLRNFDLLQKLDISSNKLVGPIPAFVFSLPSIQYLILAQNQLSGALSNNATCNGKLQVVDISQNLLIGKLPSCIGSKSLNRTVLDSWNCLSGGKSKYQHPYSFCHKEALAVKPPAKTQEQESKIKLGLLLGVIGGVVCAAAVIGLLILVIIKRAGQNREDKFDRSIVEKISVRSSPKPNINARRVPQMMRLPTLGLPPYRVFTLEEIDDATDNFDAANLMGEGSQGQVYKGWLRDGLLVQVKCVKLKQKQLPQNLNQSMEALSKLRHRHLVSLLGHCTVTYQDHPTTASTVFIVLENISNGSLVDHLTDWRKKEWLKWPQRMAVTIGIARGVQFLHTGVAPGIFGNNLKIENILLDESVSAKISNYNLPLPFQIGSESPLKGQGISSSKPLLNSAEAEKEDINQLGIILLQVLTGRLIKSASELDELKIQLEKGLIEGPSKLRGLMDSSIQGSFAYQSLKTAVEITVNCLSRDPSKRPSIDNVLWNLQYSIQVQEGWTSSGNLSAQM >ONI31158 pep chromosome:Prunus_persica_NCBIv2:G1:29293009:29295475:-1 gene:PRUPE_1G296600 transcript:ONI31158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLGGLIIVLSSFLCLLLVLGFIKILHKLWWTPIRMQKLMALQGIRGPSYRFIYGNTKEISNMQKEVMGRPHILSHDIFSVVQPHVHSWTNIYGKNYLQWHGSRAQLVVTEPELCKEILNNKGRAYLKKEAPNISKKLFGDGLAATNKAEKWAKLRKLATHAFHGESLKSMIPEMVASAEKMVERWKVYEGKEIEVFEEFRLFTSEVISRTAFGSSYSEGQDIFEMLRKLSFLIFKNILKVRVPGISKLFKTSDEIESEKLEKGIHASIIEMVKKREKKTMTGENGSFGSDFLGLLLKANHEANENQRISVQEIIDECKTFYFAGQETANTLLCWTVFLLALHTDWQEEARKEVLQLFGKQTPNLDGIGKLTTMSMIINETLRLYPPAVQVQRRVEREVRLGKLIVPSNLEFDVSIVALHHDPQIWGQDVQLFKPERFSEGVAKATNNHVGAFIPFSMGPRICVGLNFATTEVKIALSMILQRYAFTLSPGYVHSPLHLITVRPQHGVQVRLQPL >ONI31913 pep chromosome:Prunus_persica_NCBIv2:G1:31888326:31891434:1 gene:PRUPE_1G338700 transcript:ONI31913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNHTASSSAAPSSSSHDALENGGATHKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREIAQDQVIKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCDKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCTVRHVVRPEFQAALQPACSSRTASSTSPSSDTNFSINNNNNNNVPQVLPGFPLRPNPTHHHDHQREHALNNPHSSCNQQQQQQQVRNNILELQLLPSSNTHTTSPPNLDEKHATHLKLSIGSSSCDQRGDEKNDQTSQPSSSGIRRSSSNAEKMDGAGPTLEVARLKEFASEELKLAMAEKAYAEDARREAKRQIEMAELEFTNAKRMRQQALAELEKAQFLKEQAMKKISSAILQITCQACKQHFHASATTAVGPSDETSLAMSYMSSATTEGEGDHDQ >ONI27611 pep chromosome:Prunus_persica_NCBIv2:G1:7653893:7656453:1 gene:PRUPE_1G096400 transcript:ONI27611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTMSVIVLVLPLFVLHLQYSEVHSLSNNHPHDFSYLKFVYNASDPELEGTYDYIVVGGGTSGCSLAATLSEKYSVLVLERGALATEYPNLLTTDGFVYNLQQEDNGQTPVQRFVSGDGIDNVRGRVLGGTSMINAGVYARANISFYNQSGIDWDMDLVKKAYKWIEDTIVFRPKWQQWQDLVGHGLFQAGLSPHNRFSLNHKPGIRLTASTFDNQGTRHAADELLNKGNADNLRVGVHATVENIIFLTSRRGSSAVGVIYTDAKGQPHQAFVHTKGEVILSAGTIGSPQLLLLNGVGPESYLSSLQIKVNHDNPYVGQYVYDNPRNFVNILPPKPPKPSYVTELGITDDFYQCSISMSNYSTPPFSLFPSPSYPLPTSTFAHIVNKISGPLSYGYVTLRSSIDARVHPNVKFNYFSNPTDLAHCVSGMKNIGNFLRTNNLKPYRAHPHLPDIDGFNFFGKPLPKNQSDDASFEKFCRNTVASYWHYHGGCLVGKVVDDRLRVMGIDSLRVVDASTFPSMPASHPQGFYMMLGRYMGIKIMQDR >ONI31975 pep chromosome:Prunus_persica_NCBIv2:G1:32153938:32157708:-1 gene:PRUPE_1G342500 transcript:ONI31975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVPVQHYNMRSPNSYIGNPLHDLNTVDARPAAEIDSISDVDRDAVTEHSLDNDDGSSAVVSDCIHESYTNSLPIHGVRVGVEEDRSRLENHGGSSSSRAPYDLLSLQDVSPIESARARFLQLIVDHFISEHVVEVPNSEAAADYDSAQSGQDKLNKRKPGEVRYEGDPRLALPLMYVANMYQTLVNEANIRLDSLSGFREKTIGVALEASGGLYRCLAKKFPKKGPCTFKRRELATSIETRTRFPELVIQDEKRVRFVVVNGLDIVENPNNMPTDDAEWFKRLTGRNEVAVYARDFKFYSPRHKYRRVASNSSPNIAGLSTFPGTDNSSILAAAQGFRSPQNQQTTPCKHHMQPLLHQPQFQPVHQTHHQSINQSPHAVHYSQNHQCGATSHLPEIAHAHHSPTISQHMVCLQPLTGGHVGGRMHVLPSSPAKFCDECGVPYLRETSKFCSECGVKRLGV >ONI32348 pep chromosome:Prunus_persica_NCBIv2:G1:33348529:33356175:1 gene:PRUPE_1G362700 transcript:ONI32348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDGIGDEEKWLAEGIAGIQHHAFYMHRALDANNLRDALKYSALMLSELRTSRLSPHKYYDLYMRAFDELRKLEMFFKDESRHGVSIVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRAIQHPMRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVMDAVDFVLQNFTEMNKLWVRMQYQGPGRVREKHEKERSELRDLVGKNLHVLSQIEGVELELYKDTVLPRVLEQVINCKDELAQYYLMDCIIQVFPDEYHLQTLETLLAAFPQLQPTVDIKTVLSQLMERLSNYAASSTDVLPEFLQVEAFSKLSSAIGRVIEAQIDMPIVGSISLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGTTKLEDNRAIKQVVALLSAPLEKYDDIVTALTLSNYPRVMDHLDNGTNKVMAVVIIQSIMKNNSCISTADKVEVLFELIKGLIKDLDCTSADELDEEDFGEEQNSVARLIHMLYNDDPEEMLKILCTVKKHIMSGGPKRLPFTVPPLILSALKLVRRLQGQDGEVVGEEMPATPKKIFQILNQTIEALSSVPSPELALRLYLECAEAANDCDLEPVAYEFFTQAFVLYEEEVADSKAQVTAIHLIIGTLQRMNVFGIENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGVKDGERVLLCLKRALRIANAAQQMASVTRGSSGPVTLFVEILNKYLYFFEKGNPQITSAAIQGLVELIKTEMQSDSTNVSPAPDAFFSSTLRYIQFQKQKGGVMGEKYSPIKV >ONI34155 pep chromosome:Prunus_persica_NCBIv2:G1:38827273:38829101:1 gene:PRUPE_1G465500 transcript:ONI34155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNNQIPPTQNPPPHQPFPQSQSSAPPPGFSAKVVSQVKSNLIFKSKWAELNGAVGDLGTYIPIVVALTLSRDLNLGTTLIFTGVYNIITGAIYGVPMPVQPMKAIAATALANPDFGVPEIMAAGILTGGILLVLGVTGLMKLVYKFIPLCVVRGIQLAQGLSFALTAVKYIKKVQNLPKSKALGERHWFGLDGLVLAIVCTCFIVLVNGAGEEYHQRSEGQADAAVGSNNDVEGRPGRKARWRKIIASLPSAFLIFVLGVILAFIRKPKIVHEIKFGPSPLEVVKISRHAWKEGFIKGAIPQLPLSILNSVVAVCKLSNDLFPERDFSATSLSVTVGLMNVVGSWFGAMPSCHGAGGLAGQYKFGGRSGGCVALLGTAKLVLGLVLGTSLVTILNQFPVGVLGVLLLFAGIELAMCARDMNTKGESFVMLICTAVSLVGSSAALGFVVGMVVYLLLCIRKLGRDKPASMIWMHGVV >ONI34235 pep chromosome:Prunus_persica_NCBIv2:G1:39133668:39134429:-1 gene:PRUPE_1G469800 transcript:ONI34235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPCPTNVEKENIEKRKEELRTIFENQSDRVKHLQTSAFTLANYYFVFQGVILGGIANVRCSDRWFFFSLSLIAAILNLFSLIVIGGNYKRSLMHRDNTILERDQLQSTQAKQNYSHNSDRRSENSPKIQVSECEPSPSAPTQHQEAASLDPRSLQQASELRPTTSVPRQHQQVASQDPESAWSAHVDKYKKILRAIYFQICIGLFVSFAIIVLVGCWTIPCREAHHGKYPSEKCIRLCEGTECISICTQY >ONI30905 pep chromosome:Prunus_persica_NCBIv2:G1:28418077:28420632:-1 gene:PRUPE_1G280700 transcript:ONI30905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENQEQPPGPPPSTSSSPLKSAAPPPPPPPRPTITLPPRTSFETLFNSGGANSGPGFGLGFSPGPMTLVSSFLSDGEDCKSFSQLLAGAMSPAARPPGFPQLENRSSADGDDNSDFRFKQNRPSPMFSVPSPGLLDSPGLFSPGQGPFGMTHQQALAQVTAQAAQSSSYFHIPTEYSSSLSTAPATSLTQLPAFTSDSTAPQEMPSGAADSGVVLKESSDISHSDQRSQPSSFIVDKPNDDGYNWRKYGQKQVKGSEFPRSYYKCTHSNCPVKKKVERSIDGQITEIIYKGEHNHERPQSKRAKDSGNPIGNIQANPDLASQVHGGHLSKSKKGQESSQATHDHLSGTSDSEEVGDAETRVDEKDEDQPDPKRRNTEVRAEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTFPACNVRKHVERASTDPKAVITTYEGKHNHDVPASKTGSHYAANNNALNLRAVNAGTEKINKMDLRNNDQQPIARLRLKEEQIT >ONI30906 pep chromosome:Prunus_persica_NCBIv2:G1:28417709:28420876:-1 gene:PRUPE_1G280700 transcript:ONI30906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHQQALAQVTAQAAQSSSYFHIPTEYSSSLSTAPATSLTQLPAFTSDSTAPQEMPSGAADSGVVLKESSDISHSDQRSQPSSFIVDKPNDDGYNWRKYGQKQVKGSEFPRSYYKCTHSNCPVKKKVERSIDGQITEIIYKGEHNHERPQSKRAKDSGNPIGNIQANPDLASQVHGGHLSKSKKGQESSQATHDHLSGTSDSEEVGDAETRVDEKDEDQPDPKRRNTEVRAEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTFPACNVRKHVERASTDPKAVITTYEGKHNHDVPASKTGSHYAANNNALNLRAVNAGTEKINKMDLRNNDQQPIARLRLKEEQIT >ONI27603 pep chromosome:Prunus_persica_NCBIv2:G1:7563603:7567189:-1 gene:PRUPE_1G095600 transcript:ONI27603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSLLPINGGHLLFTQGSSFPNYHPSATLYKWGWKRQQGGNVVAYRANGHALQILANPNVSSRKRDSSNEVIMVDPLEAKRLAAKQMEEIKAKEKYKRKRQIEAINGAWAMIGLTAGLVIEAQTGKGILDQLVGYWNAIVNIFMPQ >ONI32713 pep chromosome:Prunus_persica_NCBIv2:G1:34355508:34358292:1 gene:PRUPE_1G381500 transcript:ONI32713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSIKGKLILLYFLFIFSSPSSVSKLFVKSNSHDQDPYHEPEPDPNQQQLVLQRLEEVVKNLTEIVARLEHKLSDPPKSLAPADEGLPLALQEKERYDGHKLIKQVPEEGSDQRKIGERARSVSVTKYSPFWSDRFQFVSAVKLDSQATCIHVLPFRDFEGVTKYVAVGDERGRVYVFLRNGDVLVEFDTLLGSPIMAMASFLSVYKNESFVVTGHKNGAILMHRVWEGVSGEEWSSLVMETVRKFDTGEDGLTIAILDVHHVGRVSYILASDVSGKITVFRENGSVHGSTMPSSRPLAFLKQRLLFLTETGAGSLDLRNMKVRESECEGLNRSLSRYYVFDATERSKAYGFTSEGDLIHVLLLGDIMNFKCRVRSKRKFEIDEPLAFQAIKGCLLIVSGEKVFVYNVSTQHYVRVGAPRIIFSAGLDEIRSSFLNYQTVDVDAEKRNVTPLIASDREKLVVLGLGGGYVGMYRSNLPVFKGEFNTMLWTSPVFFFVLFLFGAWQFFAKKKEALTSWGPDDPFSSTSATTGAPLGESSTGDRSFVESSSRNSDMMERRVSGLRGPSRRYASPPRYTGAATSSFRPGTADHNPRPSSVDPNFRTASELKFRGSGLDSSGFPKRRESLFVNTQVMDDSS >ONI31197 pep chromosome:Prunus_persica_NCBIv2:G1:29390048:29402294:-1 gene:PRUPE_1G298000 transcript:ONI31197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLTNSLSFLPYKRSFSLPDAMPSLPPPPPFPFLNPNSRHFRLPPNPNSNVVRGVRFDGPVVEIGQVSQTEDGDLVIETCVTRTLTPALTLEHGLQKINEVVEEFKLNPPSTPSGFHRFQVAVPPSAKALNWFCSQPESSAVYPLFFISKDTENPSLKSLYVNETRGVFGIGAAVYYTPSSFSSSSSSRIKRYLSNESTSVIAYGFMDNNYDQESSFMKHQAGSYYFFVPQIELNEYEGTSILAATIAWSDSSLCTFEDAIHSYELCFNQASCHIWPTAKSNHTMNIRCTLRKLNLEEDGTIPMVYMNALSSRRKYVVADIMALKEAPSSCQFCIRLSPTIAVASNMLDQAHKMCYSAHDCANINTVWASLIIEECCRLGLTYFCIAPGSRSSPLAVAASTHPLITCIVCFDERSLAFHAVGYARGSHKPAVVITSSGTAVSNLLPAVVEASQDFVPLLLLTADRPAELQDAGANQAINQVNHFGSFVRFFFSLPAPTDHIPARMVLTTLDSAVHWATSSPCGPVHINCPFREPLENSPRKWMLSCLKGLDFWMSSAEPFTKYIQVQRVHAYDDGCGQMSEIMNVIKGSNKGLLLIGAIHSEDEMWAVLLLAKHLQWPVVADILSGLRLRKLLTAFPEIEDDLLFVDHLDHALLSDSVRSGINLDVIIQIGSRITSKRVAKMLEDCFPCSYVMVDKHPFRQDPSHIVTHRIQSSIVEFADYLCKAGFPHMSNEWSAYLRMLNAMVARELSFQIYATDSLTEPQVAHVVSEALSAESALFIGNSMAIRDADMYGRGWSGCSDRIADVTSKSELPRHMIRVAGNRGASGIDGLLSTAVGFAVGCNKQVLCVIGDVSFLHDTNGLAIVNQRTLRKPMTIVVINNHGGAIFSLLPLADRVEPRILNQYFYTSHNVSIHELCAAHGVMHLHVKTKLELEDALFTSQHEEVDRVIEVESCIDANATFHSSLRKFACQAADHAMSLFSRLSVEDSTEDGALLYRVHRMEYSSFSIPLCAPPTMISVDDNETRFYREGFILTLYLEDGSVGFGEVSPLDIHRESLLDVEEQLRFLIHMMKGAQISCFLPLLKGSFSCWIWTNLGILPCTLLPSVRCGLEMAILNALATRQGSNLLGILHPRKAEGGISENSSTVQICALVDSKGTPTQVADVVAALVEEGFTAVKLKVARHGSPLHDAAVIQEIRKKVGYQIEVRADANRNWTYKEAIQFGSLVKDCDLQYIEEPVHNEGDIIKFCEESGLPVALDETIDSIREHPLHTLVKYTHPGIVAIVIKPSVVGGFENAAIIAQWAQQHQKMAVISAVFESGLGLSAYIQFSCYLNQKNSEICEMMNYALAPSIAHGLGTYRWLKEDVTTTPLKISCNPDSGSVEASVADADQVLRKFQINRNIIHGTFTGEQGCVYQLPVDSKDFSCSIKVHEIGQRYDDNVFVFLHGFLGTGEDWIAIMKAISGCARCVAIDLPGHGGTKIQNHGDNDATQDSGLSIEVVADLLCELIKHITPGKVTIVGYSMGARIALYMALRLTDKVKGAVVISGSPGLKDEVERKVRRAKDDSRARFLIAHGLELFLDNWYSGELWNSLRVHPRFCQIVGTRLLHEDVQSLAKVLSALSVGRQLPLWEDLRHCKTPLLLIVGEKDRKFKTIAKDMCLEIGGGTVTGDSPPNDISEIVEIPDCGHAAHLENPLPVISTLRRFLTRVNSSNQKAI >ONI31198 pep chromosome:Prunus_persica_NCBIv2:G1:29391558:29402199:-1 gene:PRUPE_1G298000 transcript:ONI31198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLTNSLSFLPYKRSFSLPDAMPSLPPPPPFPFLNPNSRHFRLPPNPNSNVVRGVRFDGPVVEIGQVSQTEDGDLVIETCVTRTLTPALTLEHGLQKINEVVEEFKLNPPSTPSGFHRFQVAVPPSAKALNWFCSQPESSAVYPLFFISKDTENPSLKSLYVNETRGVFGIGAAVYYTPSSFSSSSSSRIKRYLSNESTSVIAYGFMDNNYDQESSFMKHQAGSYYFFVPQIELNEYEGTSILAATIAWSDSSLCTFEDAIHSYELCFNQASCHIWPTAKSNHTMNIRCTLRKLNLEEDGTIPMVYMNALSSRRKYVVADIMALKEAPSSCQFCIRLSPTIAVASNMLDQAHKMCYSAHDCANINTVWASLIIEECCRLGLTYFCIAPGSRSSPLAVAASTHPLITCIVCFDERSLAFHAVGYARGSHKPAVVITSSGTAVSNLLPAVVEASQDFVPLLLLTADRPAELQDAGANQAINQVNHFGSFVRFFFSLPAPTDHIPARMVLTTLDSAVHWATSSPCGPVHINCPFREPLENSPRKWMLSCLKGLDFWMSSAEPFTKYIQVQRVHAYDDGCGQMSEIMNVIKGSNKGLLLIGAIHSEDEMWAVLLLAKHLQWPVVADILSGLRLRKLLTAFPEIEDDLLFVDHLDHALLSDSVRSGINLDVIIQIGSRITSKRVAKMLEDCFPCSYVMVDKHPFRQDPSHIVTHRIQSSIVEFADYLCKAGFPHMSNEWSAYLRMLNAMVARELSFQIYATDSLTEPQVAHVVSEALSAESALFIGNSMAIRDADMYGRGWSGCSDRIADVTSKSELPRHMIRVAGNRGASGIDGLLSTAVGFAVGCNKQVLCVIGDVSFLHDTNGLAIVNQRTLRKPMTIVVINNHGGAIFSLLPLADRVEPRILNQYFYTSHNVSIHELCAAHGVMHLHVKTKLELEDALFTSQHEEVDRVIEVESCIDANATFHSSLRKFACQAADHAMSLFSRLSVEDSTEDGALLYRVHRMEYSSFSIPLCAPPTMISVDDNETRFYREGFILTLYLEDGSVGFGEVSPLDIHRESLLDVEEQLRFLIHMMKGAQISCFLPLLKGSFSCWIWTNLGILPCTLLPSVRCGLEMAILNALATRQGSNLLGILHPRKAEGGISENSSTVQICALVDSKGTPTQVADVVAALVEEGFTAVKLKVARHGSPLHDAAVIQEIRKKVGYQIEVRADANRNWTYKEAIQFGSLVKDCDLQYIEEPVHNEGDIIKFCEESGLPVALDETIDSIREHPLHTLVKYTHPGIVAIVIKPSVVGGFENAAIIAQWAQQHQKMAVISAVFESGLGLSAYIQFSCYLNQKNSEICEMMNYALAPSIAHGLGTYRWLKEDVTTTPLKISCNPDSGSVEASVADADQVLRKFQINRNIIHGTFTGEQGCVYQLPVDSKDFSCSIKVHEIGQRYDDNVFVFLHGFLGTGEDWIAIMKAISGCARCVAIDLPGHGGTKIQNHGDNDATQDSGLSIEVVADLLCELIKHITPGKVTIVGYSMGARIALYMALRLTDKVKGAVVISGSPGLKDEVERKVRRAKDDSRARFLIAHGLELFLDNWYSGELWNSLRVHPRFCQIVGTRLLHEDVQSLAKVLSALSVGRQLPLWEDLRHCKTPLLLIVGEKDRKFKTIAKDMCLEIGGGTVTGDSPPNDISEIVEIPDCGHAAHLENPLPVISTLRRFLTRVNSSNQKAI >ONI31195 pep chromosome:Prunus_persica_NCBIv2:G1:29390631:29402304:-1 gene:PRUPE_1G298000 transcript:ONI31195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLTNSLSFLPYKRSFSLPDAMPSLPPPPPFPFLNPNSRHFRLPPNPNSNVVRGVRFDGPVVEIGQVSQTEDGDLVIETCVTRTLTPALTLEHGLQKINEVVEEFKLNPPSTPSGFHRFQVAVPPSAKALNWFCSQPESSAVYPLFFISKDTENPSLKSLYVNETRGVFGIGAAVYYTPSSFSSSSSSRIKRYLSNESTSVIAYGFMDNNYDQESSFMKHQAGSYYFFVPQIELNEYEGTSILAATIAWSDSSLCTFEDAIHSYELCFNQASCHIWPTAKSNHTMNIRCTLRKLNLEEDGTIPMVYMNALSSRRKYVVADIMALKEAPSSCQFCIRLSPTIAVASNMLDQAHKMCYSAHDCANINTVWASLIIEECCRLGLTYFCIAPGSRSSPLAVAASTHPLITCIVCFDERSLAFHAVGYARGSHKPAVVITSSGTAVSNLLPAVVEASQDFVPLLLLTADRPAELQDAGANQAINQVNHFGSFVRFFFSLPAPTDHIPARMVLTTLDSAVHWATSSPCGPVHINCPFREPLENSPRKWMLSCLKGLDFWMSSAEPFTKYIQVQRVHAYDDGCGQMSEIMNVIKGSNKGLLLIGAIHSEDEMWAVLLLAKHLQWPVVADILSGLRLRKLLTAFPEIEDDLLFVDHLDHALLSDSVRSGINLDVIIQIGSRITSKRVAKMLEDCFPCSYVMVDKHPFRQDPSHIVTHRIQSSIVEFADYLCKAGFPHMSNEWSAYLRMLNAMVARELSFQIYATDSLTEPQVAHVVSEALSAESALFIGNSMAIRDADMYGRGWSGCSDRIADVTSKSELPRHMIRVAGNRGASGIDGLLSTAVGFAVGCNKQVLCVIGDVSFLHDTNGLAIVNQSVMHLHVKTKLELEDALFTSQHEEVDRVIEVESCIDANATFHSSLRKFACQAADHAMSLFSRLSVEDSTEDGALLYRVHRMEYSSFSIPLCAPPTMISVDDNETRFYREGFILTLYLEDGSVGFGEVSPLDIHRESLLDVEEQLRFLIHMMKGAQISCFLPLLKGSFSCWIWTNLGILPCTLLPSVRCGLEMAILNALATRQGSNLLGILHPRKAEGGISENSSTVQICALVDSKGTPTQVADVVAALVEEGFTAVKLKVARHGSPLHDAAVIQEIRKKVGYQIEVRADANRNWTYKEAIQFGSLVKDCDLQYIEEPVHNEGDIIKFCEESGLPVALDETIDSIREHPLHTLVKYTHPGIVAIVIKPSVVGGFENAAIIAQWAQQHQKMAVISAVFESGLGLSAYIQFSCYLNQKNSEICEMMNYALAPSIAHGLGTYRWLKEDVTTTPLKISCNPDSGSVEASVADADQVLRKFQINRNIIHGTFTGEQGCVYQLPVDSKDFSCSIKVHEIGQRYDDNVFVFLHGFLGTGEDWIAIMKAISGCARCVAIDLPGHGGTKIQNHGDNDATQDSGLSIEVVADLLCELIKHITPGKVTIVGYSMGARIALYMALRLTDKVKGAVVISGSPGLKDEVERKVRRAKDDSRARFLIAHGLELFLDNWYSGELWNSLRVHPRFCQIVGTRLLHEDVQSLAKVLSALSVGRQLPLWEDLRHCKTPLLLIVGEKDRKFKTIAKDMCLEIGGGTVTGDSPPNDISEIVEIPDCGHAAHLENPLPVISTLRRFLTRVNSSNQKAI >ONI31196 pep chromosome:Prunus_persica_NCBIv2:G1:29390740:29402294:-1 gene:PRUPE_1G298000 transcript:ONI31196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLTNSLSFLPYKRSFSLPDAMPSLPPPPPFPFLNPNSRHFRLPPNPNSNVVRGVRFDGPVVEIGQVSQTEDGDLVIETCVTRTLTPALTLEHGLQKINEVVEEFKLNPPSTPSGFHRFQVAVPPSAKALNWFCSQPESSAVYPLFFISKDTENPSLKSLYVNETRGVFGIGAAVYYTPSSFSSSSSSRIKRYLSNESTSVIAYGFMDNNYDQESSFMKHQAGSYYFFVPQIELNEYEGTSILAATIAWSDSSLCTFEDAIHSYELCFNQASCHIWPTAKSNHTMNIRCTLRKLNLEEDGTIPMVYMNALSSRRKYVVADIMALKEAPSSCQFCIRLSPTIAVASNMLDQAHKMCYSAHDCANINTVWASLIIEECCRLGLTYFCIAPGSRSSPLAVAASTHPLITCIVCFDERSLAFHAVGYARGSHKPAVVITSSGTAVSNLLPAVVEASQDFVPLLLLTADRPAELQDAGANQAINQVNHFGSFVRFFFSLPAPTDHIPARMVLTTLDSAVHWATSSPCGPVHINCPFREPLENSPRKWMLSCLKGLDFWMSSAEPFTKYIQVQRVHAYDDGCGQMSEIMNVIKGSNKGLLLIGAIHSEDEMWAVLLLAKHLQWPVVADILSGLRLRKLLTAFPEIEDDLLFVDHLDHALLSDSVRSGINLDVIIQIGSRITSKRVAKMLEDCFPCSYVMVDKHPFRQDPSHIVTHRIQSSIVEFADYLCKAGFPHMSNEWSAYLRMLNAMVARELSFQIYATDSLTEPQVAHVVSEALSAESALFIGNSMAIRDADMYGRGWSGCSDRIADVTSKSELPRHMIRVAGNRGASGIDGLLSTAVGFAVGCNKQVLCVIGDVSFLHDTNGLAIVNQRTLRKPMTIVVINNHGGAIFSLLPLADRVEPRILNQYFYTSHNVSIHELCAAHGVMHLHVKTKLELEDALFTSQHEEVDRVIEVESCIDANATFHSSLRKFACQAADHAMSLFSRLSVEDSTEDGALLYRVHRMEYSSFSIPLCAPPTMISVDDNETRFYREGFILTLYLEDGSVGFGEVSPLDIHRESLLDVEEQLRFLIHMMKGAQISCFLPLLKGSFSCWIWTNLGILPCTLLPSVRCGLEMAILNALATRQGSNLLGILHPRKAEGGISENSSTVQICALVDSKGTPTQVADVVAALVEEGFTAVKLKVARHGSPLHDAAVIQEIRKKVGYQIEVRADANRNWTYKEAIQFGSLVKDCDLQYIEEPVHNEGDIIKFCEESGLPVALDETIDSIREHPLHTLVKYTHPGIVAIVIKPSVVGGFENAAIIAQWAQQHQKMAVISAVFESGLGLSAYIQFSCYLNQKNSEICEMMNYALAPSIAHGLGTYRWLKEDVTTTPLKISCNPDSGSVEASVADADQVLRKFQINRNIIHGTFTGEQGCVYQLPVDSKDFSCSIKVHEIGQRYDDNVFVFLHGFLGTGEDWIAIMKAISGCARCVAIDLPGHGGTKIQNHGDNDATQDSGLSIEVVADLLCELIKHITPGKVTIVGYSMGARIALYMALRLTDKVKGAVVISGSPGLKDEVERKVRRAKDDSRARFLIAHGLELFLDNWYSGELWNSLRVHPRFCQIVGTRLLHEDVQSLAKVLSALSVGRQLPLWEDLRHCKTPLLLIVGEKDRKFKTIAKDMCLEIGGGTVTGDSPPNDISEIVEIPDCGHAAHLENPLPVISTLRRFLTRVNSSNQKAI >ONI31151 pep chromosome:Prunus_persica_NCBIv2:G1:29263346:29267691:1 gene:PRUPE_1G295900 transcript:ONI31151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMELKMTDTCPENAMEILQSISKSVNLAKDLVERCQIGIQPFSDPELRIIIAQLEEVIKHIGECLSLIPPSTFGDQQYAEVAVRSLSKEMQNAHFEAQTSETNEQDTKMLSLEEQPKEKQTPKEETDLYSIDFEVSMENPQLLNTPQLIEILKSTSWVSKRKHGSMSGSLTTFPQVGEYMEPLYETFFCPLTKKIMDDPVTIRSGVTYERKAIVEWFKKFNDSEEIFCPITGQKLMSKSFNANIALKSTLEEWKERNQAARIKVARAALSLASSENMVLEAVKDVQSICQRNPYSKVQVRSVGILPLLVQCLEYKDKDVRCAVLELLRQLVEDDNDSKEMIAQTTNISTIIKMLSSSHQSIRHASLLYLLDLSRSQSLCERIGSVTGAILMLIRIKYRRSIDAFASEKADEILRNLEHSPNNIKNMAENGLLEPLLKNLTEGCEEMMMEMASYLGEIVLGHDSKTYVAERASPALIKMVHRGNTLTRRAAFKALAQLSSYQPNGKILEEAGIVQIMVEEMFIRNIQNEPMNSKNEAVAILANILDAGIELENLQVNSHGHTMTSDYVVCNIMYMLKNSTSDELNNNLIRILLFIAKIPRCAATIVSLVKETEASYTLIEFINNPHEELAIAATTLLTVLSPLMGNVLAERLCKTRGQPEDLVQSPTDTTPITEKQAVSAKFLAQLPHQNLTLNLALLYNNTVPTILEAISQIQKRGTRSSRFESAYLEGLVGILVRFTTTLYEPQILFSARTHNFTAVFTELLIQPSSDEVQRLSAIGLENLSTESIRLSKPPQIKRKKLFYLPKYLFCGSSRRRKIPLCPIHGGVCSSQNTFCIVDAKAVERLLVCLGNENAEVVEAALSTICTLLDDKVDVEKSVSMLSEANAVQHVLNVVKEHKEEGLWQKSFWVIEKFLNKGGDKSASDISNDRVLPAILVSAFHHGAGNTRQMAEKILRHLNKMPNLYTSNYTM >ONI31149 pep chromosome:Prunus_persica_NCBIv2:G1:29263346:29267691:1 gene:PRUPE_1G295900 transcript:ONI31149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKEDASPVESLADSILASISEITELVVSIEVEHENFIEIACYLYRASMAIMELKMTDTCPENAMEILQSISKSVNLAKDLVERCQIGIQPFSDPELRIIIAQLEEVIKHIGECLSLIPPSTFGDQQYAEVAVRSLSKEMQNAHFEAQTSETNEQDTKMLSLEEQPKEKQTPKEETDLYSIDFEVSMENPQLLNTPQLIEILKSTSWVSKRKHGSMSGSLTTFPQVGEYMEPLYETFFCPLTKKIMDDPVTIRSGVTYERKAIVEWFKKFNDSEEIFCPITGQKLMSKSFNANIALKSTLEEWKERNQAARIKVARAALSLASSENMVLEAVKDVQSICQRNPYSKVQVRSVGILPLLVQCLEYKDKDVRCAVLELLRQLVEDDNDSKEMIAQTTNISTIIKMLSSSHQSIRHASLLYLLDLSRSQSLCERIGSVTGAILMLIRIKYRRSIDAFASEKADEILRNLEHSPNNIKNMAENGLLEPLLKNLTEGCEEMMMEMASYLGEIVLGHDSKTYVAERASPALIKMVHRGNTLTRRAAFKALAQLSSYQPNGKILEEAGIVQIMVEEMFIRNIQNEPMNSKNEAVAILANILDAGIELENLQVNSHGHTMTSDYVVCNIMYMLKNSTSDELNNNLIRILLFIAKIPRCAATIVSLVKETEASYTLIEFINNPHEELAIAATTLLTVLSPLMGNVLAERLCKTRGQPEDLVQSPTDTTPITEKQAVSAKFLAQLPHQNLTLNLALLYNNTVPTILEAISQIQKRGTRSSRFESAYLEGLVGILVRFTTTLYEPQILFSARTHNFTAVFTELLIQPSSDEVQRLSAIGLENLSTESIRLSKPPQIKRKKLFYLPKYLFCGSSRRRKIPLCPIHGGVCSSQNTFCIVDAKAVERLLVCLGNENAEVVEAALSTICTLLDDKVDVEKSVSMLSEANAVQHVLNVVKEHKEEGLWQKSFWVIEKFLNKGGDKSASDISNDRVLPAILVSAFHHGAGNTRQMAEKILRHLNKMPNLYTSNYTM >ONI31150 pep chromosome:Prunus_persica_NCBIv2:G1:29263688:29267427:1 gene:PRUPE_1G295900 transcript:ONI31150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKALGGFHTSIYIVLKEDASPVESLADSILASISEITELVVSIEVEHENFIEIACYLYRASMAIMELKMTDTCPENAMEILQSISKSVNLAKDLVERCQIGIQPFSDPELRIIIAQLEEVIKHIGECLSLIPPSTFGDQQYAEVAVRSLSKEMQNAHFEAQTSETNEQDTKMLSLEEQPKEKQTPKEETDLYSIDFEVSMENPQLLNTPQLIEILKSTSWVSKRKHGSMSGSLTTFPQVGEYMEPLYETFFCPLTKKIMDDPVTIRSGVTYERKAIVEWFKKFNDSEEIFCPITGQKLMSKSFNANIALKSTLEEWKERNQAARIKVARAALSLASSENMVLEAVKDVQSICQRNPYSKVQVRSVGILPLLVQCLEYKDKDVRCAVLELLRQLVEDDNDSKEMIAQTTNISTIIKMLSSSHQSIRHASLLYLLDLSRSQSLCERIGSVTGAILMLIRIKYRRSIDAFASEKADEILRNLEHSPNNIKNMAENGLLEPLLKNLTEGCEEMMMEMASYLGEIVLGHDSKTYVAERASPALIKMVHRGNTLTRRAAFKALAQLSSYQPNGKILEEAGIVQIMVEEMFIRNIQNEPMNSKNEAVAILANILDAGIELENLQVNSHGHTMTSDYVVCNIMYMLKNSTSDELNNNLIRILLFIAKIPRCAATIVSLVKETEASYTLIEFINNPHEELAIAATTLLTVLSPLMGNVLAERLCKTRGQPEDLVQSPTDTTPITEKQAVSAKFLAQLPHQNLTLNLALLYNNTVPTILEAISQIQKRGTRSSRFESAYLEGLVGILVRFTTTLYEPQILFSARTHNFTAVFTELLIQPSSDEVQRLSAIGLENLSTESIRLSKPPQIKRKKLFYLPKYLFCGSSRRRKIPLCPIHGGVCSSQNTFCIVDAKAVERLLVCLGNENAEVVEAALSTICTLLDDKVDVEKSVSMLSEANAVQHVLNVVKEHKEEGLWQKSFWVIEKFLNKGGDKSASDISNDRVLPAILVSAFHHGAGNTRQMAEKILRHLNKMPNLYTSNYTM >ONI29727 pep chromosome:Prunus_persica_NCBIv2:G1:22470236:22471553:1 gene:PRUPE_1G211200 transcript:ONI29727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRFFTLQMIPWCFQLMGNHHLSRVQLRHTDQQRQVVGTIKLVKSDGLVLIYHSPIYVSELMMEFPKHLVCRSDSFYIGQKIPALSEDDQLQLGHKYFLLPQHLFQSVLSFVTIASFNTKDSSKTAFLRKAANCEPFDIQKTPSGCLRIRVSDEFISQMLEEGKLNEEAANNNDEANDFAKAKSRVCTTAQLQKDYTQLVGSRQWKPRLEPIRETREKSRRLSSFGMRRSSKKKSQPNSLKASQNQKSSSTATSKAKIKIKPSRK >ONI30269 pep chromosome:Prunus_persica_NCBIv2:G1:25511927:25516709:1 gene:PRUPE_1G241000 transcript:ONI30269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGLFKYADGVDKLLMVFGTLGSIGDGLMTPLTMLVLSRVINEYGGGEGTLTFSNAIVDKYSLRLLIVAIGVGVSAFIEGICWTRTAERQTSRMRMEYLKSVLRQEVAFFDSQANSSMTFQVISTISSDAHLIQDTIAEKMPNCLAHLSSFLFCFPVAFVLSWRLALAALPFSLMFLIPGIVFGKVLKDLGAETNGAYRVAGGIAEQAISSIRTVYSYVGENQTLKKFSIALEKSTELGIKQGFTKGLLIGSMGMIYAVWAFQAWVGSKLVTERGEKGGLVFISGICVILGGLAIMNALPNLSFITQATDAAARIFEMIDRIPAIDSEDERGKVLAYVKGDIEFRKVNFCYPSRPDTPVLQGLNLKVQAGKMVGLVGGSGSGKSTIISLLERFYDPVKGDILLDGYKLNKFQLQWLRSQMGLVNQEPILFATSIKENILFGKEGASMELVISAAKAANAHDFIVKLPLGFDTQVGQFGVQLSGGQKQRISIARAIIRDPKILLLDEATSALDAQSEKVVQEALDQASQGRTTIVIAHRLTTIRKADLIVVLQSGRVVEMGSHEDLVHKNDGEGGAYSKMLQLQQQAMRNGPDISYHPEDIIIKHPRTPHTPNSVRSSLQNSPALRSARSSWQNSPVPRNVRSSWQNSPAYPITPIFSISITNSFQAGQYDEFDDEMSQDSSYPSSSTWRLFKMNAPEWKQAILGCLGAAGFGSIQPVHAYCLGTVVAVYFQTNNSTIKSETRFYCYIFLSLAVFSFIANLLQHYNFAVMGERLSKRVRIKMLEKILTFEIGWFDQDENTSAAICARLTTEANMVRSLTADRISLLVQVFFSASIAFVIGLIVTWRIAIVMIAIQPLLIGSFYSRSVLMKSMSIKAQKAQAEGSQLASEAAFNHRTITAFSSQNRILNLFGDAMRGPRKENIKQSWISGFGLFSSQFLTTAAIALTYWYGGRLMNQNLVTAKHLFQVFFILMSTGKNIADAGSMTSDLARGGRAIKSIFSILGRESEISSEELEGIKKTFKGHIELKNVVFAYPVRPDQMIFKGLNLKIEAGKTMALVGQSGSGKSTVIGLIERFYDPLNGSVSIDGCDIKLYNLRKLRSQIALVSQEPTLFGGTIHENIVYGKENATVAEVRKAAKLANAHEFISSMEEGYETYCGERGVQLSGGQKQRIALARAMLKNPTILLLDEATSALDSVSENLVQEALEKMMVGRTCVVVAHRLSTIQKADSIAVIVNGKVAEKGSHHELLAIGHGGAYHSLIKLQINQSPYHLSMQV >ONI33554 pep chromosome:Prunus_persica_NCBIv2:G1:36992321:36992855:1 gene:PRUPE_1G432000 transcript:ONI33554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEYCCSSSSSSSTTTTTSIEKRKQRQNQQQQQQVGGNHDHRHQEKQYRGIRMRKWGKWVAEIREPNKRSRIWLGSYTTPVAAARAYDTAVFYLRGPSARLNFPELVFQEDGQQLHDMSAASIRKKATEVGAKVDAVETAHRSPISQSKTTSSLVNSQKPDLNKYPDPENSDEN >ONI28686 pep chromosome:Prunus_persica_NCBIv2:G1:12264857:12266121:-1 gene:PRUPE_1G155200 transcript:ONI28686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTTAKEIASEFLPRIRIYKDGTVERLLGSPYVPPSPHDPQTRVSSKDLTFSHNPNISARLYLPNVPQNQTQKLPILVYFHGGAFCIESAFSFLDHRYLNRLVSEAQVIAVSVEYRLAPENPLPIAYEDCWAALQWVTSHANNEELDDNKEPWLLNYGDFDRLYIGGDSAGGNIAHNLAMKVGAESISGAVKILGAFLSHSYFWGSKPIGSEPKGEDFEKTMEYKVWDFVYPSAPGGIDNPMVNPAGEGAPSLAGLGCSKLLVCVAGKDQLRDRGVWYCDLVRESGWKGEVELFEVEGEDHCFHIFSETETENVKKMIKRLASFLV >ONI35318 pep chromosome:Prunus_persica_NCBIv2:G1:43311904:43313385:-1 gene:PRUPE_1G529800 transcript:ONI35318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKITPFFFFFFFALLISVTKALDPCASQSDGSHDLSIIPTYSKCSPFSPPKADSWVTTVLNMASKDPARINYLSTLVAQKTTSAPIASGQQVLNIANYVVRLKLGTPGQLMFMVLDTSNDIAWAPCSGCTGCSATTFLTNSSTTYRSLDCSVHECAQARGLSCPATGSTGANGCFFNQSYGGDSSFSATLVQDALILGNDVLPKFSFGCINSISGGSVPSQGLLGLGRGSMSLLSQSGSLYSGVFSYCLPSFKSYYFSGSLKLGPAGQPKSIRTTPLLRNPHRPSLYYVNLTGITVGRVPVPIAPELLAFNPNTGAGTIIDSGTVITRFVQPVYTALRDEFRKQVKGTFSSLGAFDTCFAATNEAVAPTITFQFTGLKLTLPLENSLIHSSSGSVACLAMAAAPNNVNSVLNVIANLQQQNLRILFDVANSVVGIARENCN >ONI30711 pep chromosome:Prunus_persica_NCBIv2:G1:27560646:27569462:1 gene:PRUPE_1G268300 transcript:ONI30711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVRIEPLLHYYRREKPSYRSPSKSFKLSFLNALPKKLVYNGRSFCNFQPPTPRALTLRAASTDAATVETFESTDLFFKETFPLKRTEVVEGKIFVRLDHGKNAKNWMLTVGCSLPGKWVLHWGVSYVDDVGSEWDQPPSEMRPPGSIPIKDYAIDTPLNKSALPVGGDQSHEVKIDVKPNSAIAAINFVLKDEETGAWYQHRGRDFRVPLVDYLQEDDNVVGAKWGLGAWPGALGKLSNVFVKAESSHSKDQDSSNESRDPQQKTRRVEEFYEELPIAKEISVNNSATVSVRKCPETAKNLLCLETDLPDHVVVHWGVCRDDSKRWEIPAAPHPPETVVFKDKALRTRLQQKEGGKGCWALFTLEEGLAGFLFVFKLNESTWLKCAGNDFYIPLSSSNHSIALPREVPSEDAKVPDSSTEAVQEKKFTAYTNGIINEIRNLVSDISSEKNQKTKSKEAQESILQEIEKLASEAYSIFRSTVPTFTEEAISETEELKAPAKICSGTGTGFEILCQGFNWESHKTGRWYMELQSKAAELSSLGFTVIWLPPPTDSVSPEGYMPKDLYNLNSRYGNIDELKETVRTFHKVGIKVLGDAVLNHRCAEYQNQNGIWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGECFHAAPNIDHSQDFVRKDIKEWLQWLREEIGYDGWRLDFVRGFWGGYVKDYIDSTEPYFAVGEYWDSLCYTYGEMDHNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAALEKCEYWRLSDQKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPHDKEMQGYAYILTHPGTPTVFYDHIFSHYHSEIKALLSLRNRNKLNCRSRVKITQAERDVYAAIIDEKVAVKIGPGHYEPPSGPQRWSKSAEGRDYKVWEAS >ONI30710 pep chromosome:Prunus_persica_NCBIv2:G1:27560821:27569462:1 gene:PRUPE_1G268300 transcript:ONI30710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVRIEPLLHYYRREKPSYRSPSKSFKLSFLNALPKKLVYNGRSFCNFQPPTPRALTLRAASTDAATVETFESTDLFFKETFPLKRTEVVEGKIFVRLDHGKNAKNWMLTVGCSLPGKWVLHWGVSYVDDVGSEWDQPPSEMRPPGSIPIKDYAIDTPLNKSALPVGGDQSHEVKIDVKPNSAIAAINFVLKDEETGAWYQHRGRDFRVPLVDYLQEDDNVVGAKWGLGAWPGALGKLSNVFVKAESSHSKDQDSSNESRDPQQKTRRVEEFYEELPIAKEISVNNSATVSVRKCPETAKNLLCLETDLPDHVVVHWGVCRDDSKRWEIPAAPHPPETVVFKDKALRTRLQQKEGGKGCWALFTLEEGLAGFLFVFKLNESTWLKCAGNDFYIPLSSSNHSIALPREVPSEDAKVPDSSTEAVQEKKFTAYTNGIINEIRNLVSDISSEKNQKTKSKEAQESILQEIEKLASEAYSIFRSTVPTFTEEAISETEELKAPAKICSGTGTGFEILCQGFNWESHKTGRWYMELQSKAAELSSLGFTVIWLPPPTDSVSPEGYMPKDLYNLNSRYGNIDELKETVRTFHKVGIKVLGDAVLNHRCAEYQNQNGIWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGECFHAAPNIDHSQDFVRKDIKEWLQWLREEIGYDGWRLDFVRGFWGGYVKDYIDSTEPYFAVGEYWDSLCYTYGEMDHNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAALEKCEYWRLSDQKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPHDKEMQGYAYILTHPGTPTVFYDHIFSHYHSEIKALLSLRNRNKLNCRSRVKITQAERDVYAAIIDEKVAVKIGPGHYEPPSGPQRWSKSAEGRDYKVWEAS >ONI30884 pep chromosome:Prunus_persica_NCBIv2:G1:28340581:28342977:-1 gene:PRUPE_1G279400 transcript:ONI30884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSRKSNSAALLPDHEQKKQRFSEIKVGLDDADALIRKMDLEARSLQPSVKAVLLAKLREYKSDLNNLKREIKRITSPDANQAAREELLESGMADAHAVSSDQRERMTMSVERLNQSSDRITESRRTILETEELGVSILQDLHQQRETLLHSHQKLHGVDDAIDKSKRVLTAMSRRMTKHKWIIGSVIGALIVAILFILYFKFSHH >ONI26446 pep chromosome:Prunus_persica_NCBIv2:G1:1750070:1752246:-1 gene:PRUPE_1G025400 transcript:ONI26446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIPPELIFDILLQLQLKDLIRYTCVSKAWHAFIHNQDFIKAHLKRSIKTNSTRTILLEAPPSYLFSLPFDNDETLGTATIIGCLIQSKGPGKYTTGIVGYANGLLKKIPLITHEPHAHPSPKYGFGYNSTNDDYKLVGIIRKPANEYGYVTVSYEILTLDLASEKYREFSIPVDRIDNIERSGLDLDVLGDHLCIRVNRFMSRREAWIMKEYGGTESWSLLYSIDNGTGF >ONI33862 pep chromosome:Prunus_persica_NCBIv2:G1:37962305:37968602:1 gene:PRUPE_1G450600 transcript:ONI33862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLLAHFEVLTSYKNFHRSLRSITSFVVTATQREREGERVTMGTTSMASRVFLLLLIFLSSTNLSLSLYEDQVGLMDWHQQYIGKVKGAVFHTQKSGRRRVVVSTEENVIASLDLRHGEIFWRHVLGSNDVIDGIDIALGKYVITLSSGGGILRAWNLPDGQMVWESFLEGSGASKSLLTVPTNLKVDKDNLILVFGKGSLHAISSIDGEVLWKKEIAPESVEVQQIIQPLGSDIIYVLGFFGSSQFDAYKINARNGELLKHNSATFSGGFSSEALVVSSEILVTLDSTRSKLVIISFQDGEINYQQTHISDIFGDSLGTPVLLPSKLPGMFSVKIDGAVVFIRVTGEGKLEVLDKINNVAAISDAISLSEGQQAFALIQHGDGKIHLTVKPSHDLSGDLLKESIDMDNQRGTVHKIFINNYIRTDRSHGFRALIVMEDHSLLLLQQGAIVWSREDGLASIVDVVTSELPVEKEGVSVAKVEQNLFEWLKGHILKLKGTLMLASAEDVAAIQEMRLKSFEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGYGQVVWSLLLPTLRRSETCEYPTGLNIYHWQVPHHHALDENPSVLVVGRCGKNSDAPGVLSIVDAYTGKEINSMAAIHSVAQVIPLPFTDSTEQRLHLLIDVNQHGHLYPRTSEAIDIFQRELTNIYWYSVEADNGIIKGHVLKSNCIQEVIDNYCFESKDIWSIVFPSDSERIIATVIRKLSEVVHTQAKAIADEDVMFKYISKNLLFVATVAPKGSGPIGTATPEESWLTVYLIDTVTGRILHRMTHHGSQGPVHAVFSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDVWKLVLGKHNLTSPISSYSRPEVVTKSQSYFFTYSVKALAVTLTAKGITSKQVLIGTIGDQVLALDKRFLDPRRSVNPTTAEKEEGIIPLTDSLPIIPQSYVTHALKVEGLRGIVTVPAKLESTTLAFAYGVDLFFTQLAPSRTYDSLTDDFSYALLLITIVALIAAIFVTWILSEKKELREKWR >ONI33863 pep chromosome:Prunus_persica_NCBIv2:G1:37962319:37968600:1 gene:PRUPE_1G450600 transcript:ONI33863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLLAHFEVLTSYKNFHRSLRSITSFVVTATQREREGERVTMGTTSMASRVFLLLLIFLSSTNLSLSLYEDQVGLMDWHQQYIGKVKGAVFHTQKSGRRRVVVSTEENVIASLDLRHGEIFWRHVLGSNDVIDGIDIALGKYVITLSSGGGILRAWNLPDGQMVWESFLEGSGASKSLLTVPTNLKVDKDNLILVFGKGSLHAISSIDGEVLWKKEIAPESVEVQQIIQPLGSDIIYVLGFFGSSQFDAYKINARNGELLKHNSATFSGGFSSEALVVSSEILVTLDSTRSKLVIISFQDGEINYQQTHISDIFGDSLGTPVLLPSKLPGMFSVKIDGAVVFIRVTGEGKLEVLDKINNVAAISDAISLSEGQQAFALIQHGDGKIHLTVKPSHDLSGDLLKESIDMDNQRGTVHKIFINNYIRTDRSHGFRALIVMEDHSLLLLQQGAIVWSREDGLASIVDVVTSELPVEKEGVSVAKVEQNLFEWLKGHILKLKGTLMLASAEDVAAIQEMRLKSFEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGYGQVVWSLLLPTLRRSETCEYPTGLNIYHWQVPHHHALDENPSVLVVGRCGKNSDAPGVLSIVDAYTGKEINSMAAIHSVAQVIPLPFTDSTEQRLHLLIDVNQHGHLYPRTSEAIDIFQRELTNIYWYSVEADNGIIKGHVLKSNCIQEVIDNYCFESKDIWSIVFPSDSERIIATVIRKLSEVVHTQAKAIADEDVMFKYISKNLLFVATVAPKGSGPIGTATPEESWLTVYLIDTVTGRILHRMTHHGSQGPVHAVFSENWVVYHYFNLRAHRYEMSVIEIYDQSRAVC >ONI34152 pep chromosome:Prunus_persica_NCBIv2:G1:38804229:38805859:1 gene:PRUPE_1G465200 transcript:ONI34152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRELKLSHINQRQLLFYTDQDGSRGELVAVPSSLVFHNPRLRSAYVALQAWKQAMLSDPLGQTSNWVGSNVCSYTGVFCSRALDDPSVETVAGIDLNHGGIAGYLPEELGLLSDIALFHINSNRFCGSVPKSFSKLKLLFELDLSNNRFAGKFPLVVLRLPKLKFLDLRFNEFEGKVPKELFDKDLDAIFINHNMFSFELPDNLGNSPVSVIVLANNNFHGCVPASLGNMSKNLNEVVLTNNGFHSCLPKEIGMLKDVTVFDVSYNRIVGELPEAVGEMVSLEKLNVAHNMLRGNIPESVCELQNLRNFSFEHNFFTSEPPMCLKLEKFDDSKNCLRRRPKQRTMLHCKLALSKGVRCSSFGCRPSVPPSPSPPPPPPPSPSPPPPSPSPPPPSPSPPPPPPPPPPPPPFLVPSFLEFLYFSGKPQKLQPSNSHPSPPPPPIYSPPPASPSPPPSPPPCMRPSPPPPSPPAPVYDGPLPPTIGVSYASPPPPLFY >ONI35351 pep chromosome:Prunus_persica_NCBIv2:G1:43454705:43457149:1 gene:PRUPE_1G531500 transcript:ONI35351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMMREKIKIKKIDYLPARQVTFSKRRRGIFKKAAELSVLCESEVAVVIFSATGKLFDYSSSSFLTRNKVI >ONI30683 pep chromosome:Prunus_persica_NCBIv2:G1:27447046:27449520:1 gene:PRUPE_1G266700 transcript:ONI30683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHICVYAWKLTYTLPQCSAKHKHSPECYYIAEQLSPQRVPRQQKIFAHEMGSPLSLSQVQNTVEIETAQTTSRPRRLELHRDRDGSSSTLSTLHHHSQGEIRKILSPYMCSARPYYLQYISPCLKRENYIFIYVLGSALFYLHICVRLGLIISICVLGSAPSPPCTTLL >ONI31400 pep chromosome:Prunus_persica_NCBIv2:G1:30186429:30188629:-1 gene:PRUPE_1G310400 transcript:ONI31400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRLRGVGVGVSPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMFDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSNMEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVLGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDILKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQLFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIGRAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVQNEWAEFVFQIIKQGNY >ONI33203 pep chromosome:Prunus_persica_NCBIv2:G1:35894631:35899993:1 gene:PRUPE_1G410800 transcript:ONI33203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLITQVSRHRSELGQLTKVITRTYHPVNRLGGGAGGNKFLSTQERFKSSYVGSLARRVRDTDEASEVAHLKELYHRSDYESVIRFFESQPSLHSNPSALSEYVKALVRVGRLDESELLKTLQRGVANSAREEENIGGFSVLRSVGKSSKDGILGTASAPIHMVATEGGQFKEQLWRTVRTIALAFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMEAHMSKVLKAEDVDLSIIARGTPGFSGADLANLINIAALKAAMDDAKAVNMADLEYAKDKIMMGSERKSAVISDESRKLTAFHEGGHALVAIHTDGAHPVHKATIVPRGMSLGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGESEVTSGASDDLRQATSLARAMVTKYGMSKEVGLVSHNYDDNGKSMSTETRLLIEEEVKNFLERAYNNAKTILTSHSKELHALANALLEQETLTGTQIKALLAQVNSQQQQQQQPHQQVVAAKSSSQSKVPPSTPNAAASAAAAAAAAAAAATAAAKSTGIAPVGS >ONI30341 pep chromosome:Prunus_persica_NCBIv2:G1:25920459:25922946:-1 gene:PRUPE_1G245700 transcript:ONI30341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSRPDTFYTTEAVRSVSSEVSSDLIIQVKGSRYLLHKFPLLSKCLRLQRLCSEYQEASQHQIIQLHDFPSGVEAFELCAKFCYGITITLSAYNIVASRCAAEYLQMTEDVEKGNLIYKLEVFFNSCILQGWRDSIATLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKVSLSHSYSRRGRDDMSCNGAESLRHNKPANRGWWAEDIAELGIDLYWRTMIAIKSGGKMPSHLIGDALKIYASKWLPNISKNGCDHKQAASDSDSDSANDLTSKHRLILESIVSLVPVEKGAASTSFLLKLLKAANILNASSSSKMELARRVGLQLEDATVSDLIIPSVSYETDTRYDVDIVMTILEQFLLQGQSPPTSPPRSKLGFERRRSRSAENIDFEFQESRRSSSAAHSSKLKVAKLMDVYLQEIGRDVNLSLSKFIAIAEAIPEFARLDHDDLYRAIDIYLKAHPDLNKSERKRLCRILDCKKLSMEACMHAAQNELLPLRVVVQVLFFEQARAAMAGGKVTELPSNIRALLATHNIDPSRPPAPLSTSSLMPADDQWSVSGLKSPRSKMSTLRMKLAEDDDLDENDLNPDGIGRSSKLKALCLLPARPKRMFSKLWSTNRSTTSEKN >ONI30342 pep chromosome:Prunus_persica_NCBIv2:G1:25920012:25923723:-1 gene:PRUPE_1G245700 transcript:ONI30342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDVEKGNLIYKLEVFFNSCILQGWRDSIATLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKVSLSHSYSRRGRDDMSCNGAESLRHNKPANRGWWAEDIAELGIDLYWRTMIAIKSGGKMPSHLIGDALKIYASKWLPNISKNGCDHKQAASDSDSDSANDLTSKHRLILESIVSLVPVEKGAASTSFLLKLLKAANILNASSSSKMELARRVGLQLEDATVSDLIIPSVSYETDTRYDVDIVMTILEQFLLQGQSPPTSPPRSKLGFERRRSRSAENIDFEFQESRRSSSAAHSSKLKVAKLMDVYLQEIGRDVNLSLSKFIAIAEAIPEFARLDHDDLYRAIDIYLKAHPDLNKSERKRLCRILDCKKLSMEACMHAAQNELLPLRVVVQVLFFEQARAAMAGGKVTELPSNIRALLATHNIDPSRPPAPLSTSSLMPADDQWSVSGLKSPRSKMSTLRMKLAEDDDLDENDLNPDGIGRSSKLKALCLLPARPKRMFSKLWSTNRSTTSEKN >ONI30343 pep chromosome:Prunus_persica_NCBIv2:G1:25920012:25922706:-1 gene:PRUPE_1G245700 transcript:ONI30343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDVEKGNLIYKLEVFFNSCILQGWRDSIATLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKVSLSHSYSRRGRDDMSCNGAESLRHNKPANRGWWAEDIAELGIDLYWRTMIAIKSGGKMPSHLIGDALKIYASKWLPNISKNGCDHKQAASDSDSDSANDLTSKHRLILESIVSLVPVEKGAASTSFLLKLLKAANILNASSSSKMELARRVGLQLEDATVSDLIIPSVSYETDTRYDVDIVMTILEQFLLQGQSPPTSPPRSKLGFERRRSRSAENIDFEFQESRRSSSAAHSSKLKVAKLMDVYLQEIGRDVNLSLSKFIAIAEAIPEFARLDHDDLYRAIDIYLKAHPDLNKSERKRLCRILDCKKLSMEACMHAAQNELLPLRVVVQVLFFEQARAAMAGGKVTELPSNIRALLATHNIDPSRPPAPLSTSSLMPADDQWSVSGLKSPRSKMSTLRMKLAEDDDLDENDLNPDGIGRSSKLKALCLLPARPKRMFSKLWSTNRSTTSEKN >ONI29741 pep chromosome:Prunus_persica_NCBIv2:G1:22587414:22589224:-1 gene:PRUPE_1G212400 transcript:ONI29741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRATNNWRSMLVRLGENRAFATSTAPKMKPITQNLHASEPTGSTFRRIRKFLTKPENAPIAIVLGFITVPIIIGLHSLKQQLMHAPSVVFDKKKRESMFEVEQPDVAVSSSDRFINKSFLRKVGRIQDPGNPTMPDPVQRDAFTRPRTAETLKTAGVDPSRR >ONI30121 pep chromosome:Prunus_persica_NCBIv2:G1:24707305:24715583:1 gene:PRUPE_1G232300 transcript:ONI30121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVPREAEKLSLHNAGYLAQKRLARGLRLNHPEAAALIATQVLEFVRDGDKSVAHLMDIGRQLLGRKQVLPGVQHLLDMVQVEGTFPDGTKLITIHDPISSDNGNLELALKDSFLPVPSLDKFPEMEDNKIPGEVIFGGGAIVLNHGRKAIVLKVVNTGDRPVQVGSHYHFIEVNRALIFDRMKSYGMRLNIPAGTAKRFEPGESKHVTLVSIGGNRVIRGGNGIVDDPVDDGKQKAVLEALEARKIRNEEEPKTSEGVAGRDLAFTTVIPREAYANMYGPTTGDKIRLGDTNLFAEIEKDFTVYGDESVFGGGKVVRDGMGQSSGNQPANSLDTVITNAVIIDYSGIFKADIGIKEGNIFAVGKSGNPDVKDGVNMTIGVNTEVIAGEGMIVTAGAIDCHVHFICPQLAYEAISSGITTLVGGGTGPATGTRATTCTPAPSQMKLMLQATDDLPLNFGFTGKGNSSKPDGLHDIIRAGAMGLKLHEDWGTTPAVIDNCLTVGDQYDIQVNIHTDTLNESGFVEDTICAFKERTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTIDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTADKMKSQRGSIEPRGSENDNFRIKRYIAKYTINPAIVNGFSQYVGSVEVGKLADLVLWKPSFFGAKPEMVVKGGAIAWANMGDPNASIPTPEPVIARPMFGAFGKAGSANSIAFVSKAAIDNCVKDLYGLDKTVAAVGNVRKLNKLDMKLNDALPKIEVDPETYTVTADGQVLTCSPATTVPLSRNYFMF >ONI30122 pep chromosome:Prunus_persica_NCBIv2:G1:24707113:24715583:1 gene:PRUPE_1G232300 transcript:ONI30122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVPREAEKLSLHNAGYLAQKRLARGLRLNHPEAAALIATQVLEFVRDGDKSVAHLMDIGRQLLGRKQVLPGVQHLLDMVQVEGTFPDGTKLITIHDPISSDNGNLELALKDSFLPVPSLDKFPEMEDNKIPGEVIFGGGAIVLNHGRKAIVLKVVNTGDRPVQVGSHYHFIEVNRALIFDRMKSYGMRLNIPAGTAKRFEPGESKHVTLVSIGGNRVIRGGNGIVDDPVDDGKQKAVLEALEARKIRNEEEPKTSEGVAGRDLAFTTVIPREAYANMYGPTTGDKIRLGDTNLFAEIEKDFTVYGDESVFGGGKVVRDGMGQSSGNQPANSLDTVITNAVIIDYSGIFKADIGIKEGNIFAVGKSGNPDVKDGVNMTIGVNTEVIAGEGMIVTAGAIDCHVHFICPQLAYEAISSGITTLVGGGTGPATGTRATTCTPAPSQMKLMLQATDDLPLNFGFTGKGNSSKPDGLHDIIRAGAMGLKLHEDWGTTPAVIDNCLTVGDQYDIQVNIHTDTLNESGFVEDTICAFKERTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTIDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTADKMKSQRGSIEPRGSENDNFRIKRYIAKYTINPAIVNGFSQYVGSVEVGKLADLVLWKPSFFGAKPEMVVKGGAIAWANMGDPNASIPTPEPVIARPMFGAFGKAGSANSIAFVSKAAIDNCVKDLYGLDKTVAAVGNVRKLNKLDMKLNDALPKIEVDPETYTVTADGQVLTCSPATTVPLSRNYFMF >ONI30123 pep chromosome:Prunus_persica_NCBIv2:G1:24707305:24715583:1 gene:PRUPE_1G232300 transcript:ONI30123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVPREAEKLSLHNAGYLAQKRLARGLRLNHPEAAALIATQVLEFVRDGDKSVAHLMDIGRQLLGRKQVLPGVQHLLDMVQVEGTFPDGTKLITIHDPISSDNGNLELALKDSFLPVPSLDKFPEMEDNKIPGEVIFGGGAIVLNHGRKAIVLKVVNTGDRPVQVGSHYHFIEVNRALIFDRMKSYGMRLNIPAGTAKRFEPGESKHVTLVSIGGNRVIRGGNGIVDDPVDDGKQKAVLEALEARKIRNEEEPKTSEGVAGRDLAFTTVIPREAYANMYGPTTGDKIRLGDTNLFAEIEKDFTVYGDESVFGGGKVVRDGMGQSSGNQPANSLDTVITNAVIIDYSGIFKADIGIKEGNIFAVGKSGNPDVKDGVNMTIGVNTEVIAGEGMIVTAGAIDCHVHFICPQLAYEAISSGITTLVGGGTGPATGTRATTCTPAPSQMKLMLQATDDLPLNFGFTGKGNSSKPDGLHDIIRAGAMGLKLHEDWGTTPAVIDNCLTVGDQYDIQVNIHTDTLNESGFVEDTICAFKERTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTIDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTADKMKSQRGSIEPRGSENDNFRIKRYIAKYTINPAIVNGFSQYVGSVEVGKLADLVLWKPSFFGAKPEMVVKGGAIAWANMGDPNASIPTPEPVIARPMFGAFGKAGSANSIAFVSKAAIDNCVKDLYGLDKTVAAVGNVRKLNKLDMKLNDALPKIEVDPETYTVTADGQVLTCSPATTVPLSRNYFMF >ONI30124 pep chromosome:Prunus_persica_NCBIv2:G1:24708524:24714466:1 gene:PRUPE_1G232300 transcript:ONI30124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVPREAEKLSLHNAGYLAQKRLARGLRLNHPEAAALIATQVLEFVRDGDKSVAHLMDIGRQLLGRKQVLPGVQHLLDMVQVEGTFPDGTKLITIHDPISSDNGNLELALKDSFLPVPSLDKFPEMEDNKIPGEVIFGGGAIVLNHGRKAIVLKVVNTGDRPVQVGSHYHFIEVNRALIFDRMKSYGMRLNIPAGTAKRFEPGESKHVTLVSIGGNRVIRGGNGIVDDPVDDGKQKAVLEALEARKIRNEEEPKTSEGVAGRDLAFTTVIPREAYANMYGPTTGDKIRLGDTNLFAEIEKDFTVYGDESVFGGGKVVRDGMGQSSGNQPANSLDTVITNAVIIDYSGIFKADIGIKEGNIFAVGKSGNPDVKDGVNMTIGVNTEVIAGEGMIVTAGAIDCHVHFICPQLAYEAISSGITTLVGGGTGPATGTRATTCTPAPSQMKLMLQATDDLPLNFGFTGKGNSSKPDGLHDIIRAGAMGLKLHEDWGTTPAVIDNCLTVGDQYDIQVNIHTDTLNESGFVEDTICAFKERTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTSNTIDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTADKMKSQRGSIEPRGSENDNFRIKRYIAKYTINPAIVNGFSQYVGSVEVGKLADLVLWKPSFFGAKPEMVVKGGAIAWANMGDPNASIPTPEPVIARPMFGAFGKAGSANSIAFVSKA >ONI34360 pep chromosome:Prunus_persica_NCBIv2:G1:40024146:40026388:-1 gene:PRUPE_1G477900 transcript:ONI34360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELINHDPLVTSMSEVLDRLPPLSPSCCIYRVPKRLRRVSEQAYTPQVVSIGPLHHGKEALKAMEELKNRYLQDFLRRTNVSLEYFIKKIRAQEAKLRSCYAETIGFSRDEFVRIILVDAAFIIEVLLRFCYKHLRVENDRIFNQPRMLEDVWPDMRMLENQLPFFILEDLFDHERNIVGIQTTIIDLSYHFFKTLMHMKDMEDTLTRIRPPHQVEHFVDFVRKLYPLPPQLKSKVPQVQEPFQTLTIGMMSSCVMDVPQARGQLETQTIPSMTQLYRAGVKFKKGSSTNIFDIRFNIDDGILEIPKITISDQSEVTLTNLLVFEQTLCKKVENYINDYVVILNILVNTPEDVALLVKNGIVENKLGDSTKACTTIKNLADGVIMADEFYFTTLCENLNKYYRMFRHRWKEYLVKHFFNSPWTTKEIVAAAIFLMLTFVQTVCSIISAAQQ >ONI26161 pep chromosome:Prunus_persica_NCBIv2:G1:653770:653988:-1 gene:PRUPE_1G007600 transcript:ONI26161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMKYILTLNISSYHFVDLLSHSSSYLLSNRGRQSWHVLEYYFFFFIPPGHHLCAFSYTRGLLIGAGPGLI >ONI33124 pep chromosome:Prunus_persica_NCBIv2:G1:35720786:35721740:1 gene:PRUPE_1G407100 transcript:ONI33124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRNAGNIFAVLEDMLDLAEQEPEKPRNNNRNNNNPSRAYVRDAKAMAATPADVVEYPNAYVFVVDMPGIEAGQIKVQVENDNVLVLSGERRREEEIKESGVKYVRMERRVGKFMRKFVLPENANLDAISAVAKDGVLTVRVEKLPPPEPKRPKTIHVNVA >ONI28697 pep chromosome:Prunus_persica_NCBIv2:G1:12326524:12335720:1 gene:PRUPE_1G155800 transcript:ONI28697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTPAERRLQAIHGHLTTAAADSESPLRPNLTAGEFVSEQGYSVVLPEKLQTGKWNVYRSARSPLKLVSRFPDHPEIGTLHDNFVHAVDTFRDYKYLGTRIRVDGTVGEYKWMTYGEASTARSAIGSGLIYHGIPKGAGIGLYFINRPEWLIVDHACSAYSYISVPLYDTLGPDAVKYIVNHAVVQVIFCVPETLNSLLSFLADIPTVRLIVVVGGIDDQIPSLPSSTGVKVVTYSKLLSQGNSSLQPFFPPEPEDVATICYTSGTTGTPKGAVLTHGNLIANVSGATMAIKFYPSDVYISYLPLAHIYERANQVMTVYFGVAVGFFQGDSLKLMDDMAALRPTIFCSVPRLYNRIYAGIINAVKTSGVLRERLFNAAYNAKKQALLSGKNPSPMWDRLVFNKIKAKLGGRVRFMASGASPLSPDVMEFLKICFGGEVSEGYGMTETSCVISSVDGGDNLYGHVGSPNPACEIKLVDVPEMNYMSEDQPYPRGEICVRGPIIFQGYHKDEVQTREVIDEDGWLHTGDIGLWSPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVAQCFVHGDSLNSSLVAIVSVDPDVLKAWADSEGIKYQDLGQLCNDPRARAAVLADMDAVGREAQLRGFEFVKAVTLVLEPFTIENGLLTPTFKIKRPQAKEYFAKEISTMYSELSTSNPAPSKL >ONI27428 pep chromosome:Prunus_persica_NCBIv2:G1:6356153:6361348:1 gene:PRUPE_1G085400 transcript:ONI27428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSMKISPLDLMAAIIKGKMDPSNASADLSGAQVTSVMLENREFVMILTTSIAVLIGCVVVLIWRRSSAPPKPRVIQPPAPVIVTSEPEVDDGTKKVTIFFGTQTGTAEGFAKALAEEGKARYEKVTFKVVDLDDYAADDEAYEEKLKKESLAFFFLATYGDGEPTDNAARFYKWFTEGNERGEWLQTLHYGVFGLGNRQYEHFNKVAVVVDDVLTEQGAKRLVPVGLGDDDQCIEDDFSAWRESVWPELDQLLRDEDDAMTPISTPYTAAVLEYRVVIEDSADASVENKSWNNANGHAVIDAQHPCRANVAVRKELHTPASDRSCTHLEFDIAGTGLTYETGDHVGVYCENLPEIVEEALSLLGLSPETYFSIHTDKEDGTPLSGSSLAPPFPPCTLRTALTRYADLLSSPKKSALLALAAHASDPTEADRLRHLASPAGKDEYAQWVVASQRSLLEVMAEYPSAKPPLGVFFAAVAPRLQPRYYSISSSPRMASSRIHVTCALVYEKTPTGRIHKGVCSTWMKNSVPLEKSDDPSWAPIFVRQSNFRLPTDTKVPIIMIGPGTGLAPFRGFLQERLALIEAGAELGPSTLFFGCRNSKTDYIYEDELNNFLETGALSELVVAFSRQGPTKEYVQHKMTQKASDIWNMLSQGAYIYVCGDAKGMARDVHRTLHTIVQEQGSLDSSKAESMVKNLQMSGRYLRDVW >ONI34380 pep chromosome:Prunus_persica_NCBIv2:G1:40074069:40075994:-1 gene:PRUPE_1G479300 transcript:ONI34380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGSLSEGVIRKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPISLTMIHMSFCASLAFVLVRVFKLVEPVTMSRDLYISSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVSLKKESFKTDTMVNMVSISIGVAIAAYGEARFDTWGVILQLGAVAFEATRLVLIQILLTSKGITLNPITSLYYVAPCCLAFLFVPWIFVEYPILRDSSSFHFDFLIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKAKEAQKKTALADEEANRLLEDREGEGIGKRNESQN >ONI31802 pep chromosome:Prunus_persica_NCBIv2:G1:31428403:31428859:1 gene:PRUPE_1G331800 transcript:ONI31802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKEKKLANMPRGTDRGLPLTIQNDSNPPPLFVMHLVIFLPIFRVTISTTLLYSFVSLNKLYLKLAFCAHS >ONI31209 pep chromosome:Prunus_persica_NCBIv2:G1:29424280:29425084:-1 gene:PRUPE_1G298700 transcript:ONI31209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRGTISAPLSCLRLRSTTTSTPPLNVPVSRRTSTTTNNYSISDQDLDSRGFLLHRTIAELNLDHLNKVFVAVGFPKRDPDKIRTALEHTDALLWMEYRKTKRPVAFARATGDGVFNAIIWDVVVDPSFQGLGLGKAVMERLVEELVAKGICNIALYSEPRVLGFYRPLGFVADPDGIRGMVYSRKPKRKT >ONI35621 pep chromosome:Prunus_persica_NCBIv2:G1:44647210:44652823:-1 gene:PRUPE_1G546400 transcript:ONI35621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCSLHVKNINRSPFMHRNSFTEKPISWNFGNVRPSWAGVEDGRDFESGVLNSKRRVSSNGFRSLVVRAMGKKNNNGNSSNSSSSSGNGDRSIPEGDGEKENDSSENFRDTSSQKNYRINLDWREFRAKLYTGWQGEQAESDAQNQGGTPYQSKPLGLKWAHPISVPETGCVLVATEKLDGVRTFERTVVLLLRSGTRHPEEGPFGVVINRPLHKRIKHMKPTNLDLATTFSDCSLHFGGPLEASMFLLKTAGKTKLPGFEEVIPGLCFGARNSLDEAAGLVKKGLVKPQDFRFFVGYAGWQLDQLTEEIESDYWYVAACSSNLIYGASSESSSESLWEEILQLMGGQYSELSRKPKQQDM >ONI27069 pep chromosome:Prunus_persica_NCBIv2:G1:4707655:4708279:1 gene:PRUPE_1G065900 transcript:ONI27069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLESSTSSEHGGAVLGRYCKCRKHVLIRTSWTYLNPERKLYVYGTQTIIPGLLRCIRIMEEELQLQRAEVKKIMFWFGSCLIVKIILGLSVLSKPITGTRNS >ONI35572 pep chromosome:Prunus_persica_NCBIv2:G1:44427832:44428390:1 gene:PRUPE_1G543600 transcript:ONI35572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHRTISTSGPLWVTRVLPGVVSAATVVFLRMSISSFSSPICKPMIDRVGLISFLLILLVLAALHVLEPIGQLSRHLFGIETPIGYDPFESLKKHLQPVGLGALVVALFFLGNYQSSVHATYWGI >ONI29597 pep chromosome:Prunus_persica_NCBIv2:G1:20347991:20348416:-1 gene:PRUPE_1G204000 transcript:ONI29597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFDCADFCYIEEIDGPSKDYCDESNTQYPCKPNKGYYGRGPIQLSWNPNYGRAGESIGFDGLNSPETVANDPVISFKTALWYWMNSVRPVIGEGFGATIRAINGALECDGGNPATVQKRVEYFTEYCNQLGIAPGDNLTC >ONI28150 pep chromosome:Prunus_persica_NCBIv2:G1:9960200:9962752:1 gene:PRUPE_1G126600 transcript:ONI28150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIKMESDNSWSSLFSSSSSRRYQSRSDLFAHDETDGDDELKAEFLCPFCAEDFDVVGLCCHIDEEHPVEAKNGVCPVCAKRVGANLVSHITTQHGSLLKVQRKRKFRRGSNSTFSILRKELREGSLQALLGGSSFLVSSNTEADPLLSSFIYNPPTVDEDVSAQPDPLVEASFVKESTKEEFSERIVQQPPLSHKDQEEKARKCEFVQGLLMSTILDDL >ONI28149 pep chromosome:Prunus_persica_NCBIv2:G1:9960184:9962854:1 gene:PRUPE_1G126600 transcript:ONI28149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIKMESDNSWSSLFSSSSSRRYQSRSGHFSQTTPDLFAHDETDGDDELKAEFLCPFCAEDFDVVGLCCHIDEEHPVEAKNGVCPVCAKRVGANLVSHITTQHGSLLKVQRKRKFRRGSNSTFSILRKELREGSLQALLGGSSFLVSSNTEADPLLSSFIYNPPTVDEDVSAQPDPLVEASFVKESTKEEFSERIVQQPPLSHKDQEEKARKCEFVQGLLMSTILDDL >ONI34607 pep chromosome:Prunus_persica_NCBIv2:G1:40778916:40785364:1 gene:PRUPE_1G489900 transcript:ONI34607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLCNPVTGECSVYYDFPSEEKPLLEDKIVSVIGCMISLLNKGREDVISGRSSIMNSFRLADVSVMEDTLPPLAIFRSEMKRCCESLHVALENWLIPGDDRSLDVWRKLQRLKNVCYDSGFPRGEDYPCHTLFANWTPVYISSSKEDSRSVDSEVAFWRGGQVTEEGLKWLLEKGYKTIVDLRAETVKDNAYQSAIDDAIASGKVEMVKIPVEVGTAPSMEQVKNFARLVSDCSKKPIYLHSKEGALRTSAMVSRWRQYSTRYGLQFVSKQLTALNDVVLRDTNGAGKVLELSTSEKSFQLEKNESLQEGLDTIIGSNGVLPREVSPDRDETNQSLNGAYNDLMSVQDLSSVEPDQNGEGPRVNFCREVDPLNAQVPPCNVFSRKEISGFLGGKKISPNSYFNYQLKRLETLPISRVMNIKTMRRGGILGTDSAPELVEVGNSHGPPYGRDLSPEVQTSTSGNGTHFTRVSSGSVLPVVNGFGERDQTTANVSTTLSSNYDESVLPKEVKVDRKSNGRANLLSGDDDLGSIEGNMCASATGVVRVQSRKKAEMFLVRTDGYSCSREKVTESSLAFTHPSTQQQMLMWKSTPKTVLVLKKLGQELMEQAKEVVSFMYYQEKMNVLVEPEVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFKGAVPPIVSFNLGSLGFLTSHTFEDYMQDLRQVIHGNNTSDGVYITLRMRLRCEIFRNGRAMPGKVFDVLNEIVVDRGSNPYLSKIECYEQDRLITKVQGDGVIIATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAKLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKRDQTGDWFRSLIRCLNWNERLDQKAL >ONI34606 pep chromosome:Prunus_persica_NCBIv2:G1:40779707:40785364:1 gene:PRUPE_1G489900 transcript:ONI34606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLCNPVTGECSVYYDFPSEEKPLLEDKIVSVIGCMISLLNKGREDVISGRSSIMNSFRLADVSVMEDTLPPLAIFRSEMKRCCESLHVALENWLIPGDDRSLDVWRKLQRLKNVCYDSGFPRGEDYPCHTLFANWTPVYISSSKEDSRSVDSEVAFWRGGQVTEEGLKWLLEKGYKTIVDLRAETVKDNAYQSAIDDAIASGKVEMVKIPVEVGTAPSMEQVKNFARLVSDCSKKPIYLHSKEGALRTSAMVSRWRQYSTRYGLQFVSKQLTALNDVVLRDTNGAGKVLELSTSEKSFQLEKNESLQEGLDTIIGSNGVLPREVSPDRDETNQSLNGAYNDLMSVQDLSSVEPDQNGEGPRVNFCREVDPLNAQVPPCNVFSRKEISGFLGGKKISPNSYFNYQLKRLETLPISRVMNIKTMRRGGILGTDSAPELVEVGNSHGPPYGRDLSPEVQTSTSGNGTHFTRVSSGSVLPVVNGFGERDQTTANVSTTLSSNYDESVLPKEVKVDRKSNGRANLLSGDDDLGSIEGNMCASATGVVRVQSRKKAEMFLVRTDGYSCSREKVTESSLAFTHPSTQQQMLMWKSTPKTVLVLKKLGQELMEQAKEVVSFMYYQEKMNVLVEPEVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFKGAVPPIVSFNLGSLGFLTSHTFEDYMQDLRQVIHGNNTSDGVYITLRMRLRCEIFRNGRAMPGKVFDVLNEIVVDRGSNPYLSKIECYEQDRLITKVQGDGVIIATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAKLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKRDQTGDWFRSLIRCLNWNERLDQKAL >ONI34605 pep chromosome:Prunus_persica_NCBIv2:G1:40777747:40785364:1 gene:PRUPE_1G489900 transcript:ONI34605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACCLRCQLSIVVDMNRCTSSPSHLCAFKPCQFSGTSTSLFGFGFGFEFQRKERFKRRLKFVLSAELSKPFALSFGLDSQTFQPHDSTQSPRLGPIPGDIAEIEAYCRIFRSAERLHTALMDTLCNPVTGECSVYYDFPSEEKPLLEDKIVSVIGCMISLLNKGREDVISGRSSIMNSFRLADVSVMEDTLPPLAIFRSEMKRCCESLHVALENWLIPGDDRSLDVWRKLQRLKNVCYDSGFPRGEDYPCHTLFANWTPVYISSSKEDSRSVDSEVAFWRGGQVTEEGLKWLLEKGYKTIVDLRAETVKDNAYQSAIDDAIASGKVEMVKIPVEVGTAPSMEQVKNFARLVSDCSKKPIYLHSKEGALRTSAMVSRWRQYSTRYGLQFVSKQLTALNDVVLRDTNGAGKVLELSTSEKSFQLEKNESLQEGLDTIIGSNGVLPREVSPDRDETNQSLNGAYNDLMSVQDLSSVEPDQNGEGPRVNFCREVDPLNAQVPPCNVFSRKEISGFLGGKKISPNSYFNYQLKRLETLPISRVMNIKTMRRGGILGTDSAPELVEVGNSHGPPYGRDLSPEVQTSTSGNGTHFTRVSSGSVLPVVNGFGERDQTTANVSTTLSSNYDESVLPKEVKVDRKSNGRANLLSGDDDLGSIEGNMCASATGVVRVQSRKKAEMFLVRTDGYSCSREKVTESSLAFTHPSTQQQMLMWKSTPKTVLVLKKLGQELMEQAKEVVSFMYYQEKMNVLVEPEVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFKGAVPPIVSFNLGSLGFLTSHTFEDYMQDLRQVIHGNNTSDGVYITLRMRLRCEIFRNGRAMPGKVFDVLNEIVVDRGSNPYLSKIECYEQDRLITKVQGDGVIIATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAKLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKRDQTGDWFRSLIRCLNWNERLDQKAL >ONI29928 pep chromosome:Prunus_persica_NCBIv2:G1:23682569:23684523:1 gene:PRUPE_1G222800 transcript:ONI29928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLQLLGWMHRKFRQNSNEPFKVFVIGQPSLDDQQCYPKPNCGTKPFKQTQRDQHLRKSFNGLEAARAEEEYYEDESSAAASELFHGFLAIGTLGSEQVITEPSTPTLAISVENITEKETEVTENELKLINDELEKVLAADSAKDEICNDSSGRNSHVSNGRSSHGSTITLSGKTLEGSESNGINGTTVCPLQGYLFGSAYELSETTTVAKKEHRTSLGELFQRTKLAEEISGPKSAKEEKRAEKEAEKSAMHLMKKKLKKKMLYASSRSSGGPADPSSAETKLNKILHMFHRKVHPETSSAEQKTGKYHKNENKKKTSNDGAYNSGDQVLPDEDIMLYPERGFSLKQSMRRYKSQSNPPQFALSSIDSNENREHWIKTDADYLVLEL >ONI29927 pep chromosome:Prunus_persica_NCBIv2:G1:23680245:23684837:1 gene:PRUPE_1G222800 transcript:ONI29927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGWMHRKFRQNSNEPFKVFVIGQPSLDDQQCYPKPNCGTKPFKQTQRDQHLRKSFNGLEAARAEEEYYEDESSAAASELFHGFLAIGTLGSEQVITEPSTPTLAISVENITEKETEVTENELKLINDELEKVLAADSAKDEICNDSSGRNSHVSNGRSSHGSTITLSGKTLEGSESNGINGTTVCPLQGYLFGSAYELSETTTVAKKEHRTSLGELFQRTKLAEEISGPKSAKEEKRAEKEAEKSAMHLMKKKLKKKMLYASSRSSGGPADPSSAETKLNKILHMFHRKVHPETSSAEQKTGKYHKNENKKKTSNDGAYNSGDQVLPDEDIMLYPERGFSLKQSMRRYKSQSNPPQFALSSIDSNENREHWIKTDADYLVLEL >ONI26339 pep chromosome:Prunus_persica_NCBIv2:G1:1302261:1305206:-1 gene:PRUPE_1G018800 transcript:ONI26339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLQLSPLVSATPSFVAPTNQRESKAMAKDTSPTGLLRNCKTMNEVKQLHCQISKKGLRNRPSTVTNLITTCAEMGTFESLDYARKAFNLFLEDEETKGHILFMYNSLIRGYSSAGLSDEAVLLYVQMVVKGILPDKFTFPFVLSACSKVVAFSEGVQLHGALVKMGLEEDAFIENSLIHFYAESGELDYSRKVFDGMAERNIVSWTSLICGYARRQFPKEAVSLFFEMVAAGIKPNSVTMVCVISACAKLKDLELSERVCAYIGESGVKVNTLVVNALVDMYMKCGATDAAKRLFDECGDKNLVLYNTILSNYVRQGLAREALAVLDEMLRQGPRPDKVTLLSAISACAQLGDSLSGKCCHGYVIRNRLEGWDAICNAMIDMYMKCGKQEMACGIFDNMSNRTVVSWNSLIAGFIRSGDVNSAWQMFNEMPKSDLVSWNTMIGALVQESMFVEAIELFRVMQADGIKGDRVTMVEVASACGYLGALDLAKWTHAYIEKNKIDCDMRLGTALVDMFARCGDPQSAMKVFSSMARRDVSAWTAAIGAMAMEGNGERALELFDEMIRQGVKPDEVVFVAVLTACSHVGFVKQGWNIFRSMKSVHGISPHIIHYGCMVDLLGRAGLLGEAFDLVKGMPMEPNDVIWGTLLAACRTYKNVEIASYAAKRLSKLPTQRTGIHVLLSNIYASAEKWADVAKVRLHLKEKGIHKVPGSSSIEVNGMIHEFISGGDTNTEKSELTLMLQEINCRLREAGHVPDLDNVLLDVDEKEKEYLLSRHSEKLAIAFGLIGTGQGVPIRVVKNLRMCSDCHSFAKLVSRIYNREIIVRDNNRFHFFNQGLCSCSDYWQQEAASYM >ONI33565 pep chromosome:Prunus_persica_NCBIv2:G1:37040598:37043574:1 gene:PRUPE_1G432800 transcript:ONI33565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLYGTAPDSEEISNILSQLLHGHGSSASSSSSCMPFKPTYTHLPHSSVAPNHATTSEVLILETRHEDYHRFARSEDRRVADGNSAAAVVESSSGFDFTDSGGYFQAEVKEGMESDANTSLKGRRISSENDLGDFSYDSEKGHDRSEVPLNPAPPRSLSKRSRAAEVHNMSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMKNGLSLHPMCLPGVMQPMQLPHMGLGLEEGSNKFPKSSRGISPFYESEENPMQSAFNISPGCTISNQPMVLPSVANVPTSEATFGFEPSIQALYRPFSVPSSSKELFRDGEPQAKLDTSETGKNSSSHVDILSTKRPDV >ONI35950 pep chromosome:Prunus_persica_NCBIv2:G1:45902440:45906595:-1 gene:PRUPE_1G562000 transcript:ONI35950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSKMVVSTTNSDLPHRESSICSLSTLMADDDDQNDEQQSMTMDDILKNIYTTTTTTTTNDMDDNNHHHAEPRTVDEVWKEIVAGGVGVEEAAAEGEGGGDQVRAAAPEEMTLEDFLTRAGAVREDDVTVGAAGVPIGYGQFQVQPPPAPAQGQVVYGNGTTTSTSGGGGGGGGGGRAGKRRAVQEAPLDKATQQKQRRMIKNRESAARSRERKQAYTVELESLVTQLEEENARLVREEAEQKKERFKRLMENLIPIVEQRRPPRVLRRVHSVHW >ONI26576 pep chromosome:Prunus_persica_NCBIv2:G1:2250308:2250750:1 gene:PRUPE_1G032000 transcript:ONI26576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSECEGKDSWPELVGDEGKDAAATIESENHLVNAVIVKEGTFVTADFRCDRVRVWVNKRGIVTKVPIIG >ONI30273 pep chromosome:Prunus_persica_NCBIv2:G1:25546917:25551615:-1 gene:PRUPE_1G241400 transcript:ONI30273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPQSKFSAGSRGDNRARAPALRDGRRQFSSTSDDSALVNQILLTDKSHDRPYEVSSLAVKNILQTIEAILSRVMKSDTHGSIVLPGTPVPAATHADALEHEKALRASLSTLSESFDVPNHVFNAISCEILCKSLAGEDANKTTMDILDIVQNYDWDEKVVLALGAFAVKDGEFWLVAQLYTSNPLAKAVGQLKQLQEILERAGTVLKPKFDGYNNLVKAVLKVTKSIIQLQELQNDPHLNPEIKSAASTAHIPTAVYWTIRSIVVAASQLLGFTSSEPEYVTEAWELSSLAHKLENIFNHLQENLNKLHQIIQKIKDEDAFNAIARILESPHIDNSKPLRVLFYKDDQPALYDGLNKKRVDVDVLKRKVVILFISDLDVVLGNEYMIVQNMYMEKRQNLGRPESQFEIVWVPITDEWTAAKYQQFENLRDNMEWYSVFHPSVVSPIVVRYIRDQRKWNFVKKPLLVVMDPQGKIVHQNAIHMMCIWGSLAYPFTSTKERLLWDEETWRIELLADHLHPNLFTWITDRKYVCLYGGEDIEWIRNFTKSAKSVALEAGIALELLYVGRSKPKEKVVKQILSIIQTENLSHTLEWNTTIWYFWVRLESMWQSKGQLLSEQSTTHFKTDNLKNDPIMQGIISMLSFGSSDRGWAVIGIPSADMAKANGDHMLKSMKEFTDWKIRAADAGFIPALNEHLEGVFKQAPHHCTNLILPATGIMPETVACAECGRLMERFSMFRCCTD >ONI26234 pep chromosome:Prunus_persica_NCBIv2:G1:890054:891030:1 gene:PRUPE_1G011500 transcript:ONI26234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATQATLFTPTPSAPKTSVERSTVPWKQSVSSSFMAPKPLKLSSTTRTMRINAAAEEKTVAPPKEAPVGFTPPELDPTTPSPIFGGSTGGLLRKAQEEEFYVITWESPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVNPIEVKFTGKQVYDI >ONI32717 pep chromosome:Prunus_persica_NCBIv2:G1:34362664:34368578:1 gene:PRUPE_1G381700 transcript:ONI32717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSGNPQDVSVPPVEGVAGGGTAYGWTDGGLQGSNPHKGSVDPTEVPTADLVHVWCMPSTANVGPQEMPRNLELVNLLAARNERESIQIAVRPKVSWGGPGNAGVVQVQCSDLCSTSGDRLVVGQSIKLRRVVPILGVPDALVPLDLPVSQISLLPGETTAVWVSIDVPSVQPPGQYDGEIIITATKADAEIPSQCLGKSEKHQIYRDLRSCLEMVEPIDGKPVDEVVERLKSATTSLRRVLLSPLFSEFVSYNGPVDMMEEDAISSLSVRVKIHMTVWDFILPETPSLPAVFGISDTVIEDRFGVEHGSDEWYEALDQHFKWLLQYRISPYFCRWGDSMRVLTYTCPWPADHPKSDEYFSNPRLAAYALPYSRSVSGGDAAKDYMQKQIEILRTKSHWKKAYFYLWDEPLNLEQYESLRSIASEIHAYAPDARVLTTYYCGPSDAPLAPTAFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIIAEIQPENGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKANVPSAEIRFRRGLPPGDGVLFYPGKVFSSSNEPVASVRLERILSGLQDIEYLRLYSSRYGRDEGLALLEKTGLYQGPERYTLEHMPIDAMRGEIFNACRS >ONI32718 pep chromosome:Prunus_persica_NCBIv2:G1:34363194:34368578:1 gene:PRUPE_1G381700 transcript:ONI32718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTANVGPQEMPRNLELVNLLAARNERESIQIAVRPKVSWGGPGNAGVVQVQCSDLCSTSGDRLVVGQSIKLRRVVPILGVPDALVPLDLPVSQISLLPGETTAVWVSIDVPSVQPPGQYDGEIIITATKADAEIPSQCLGKSEKHQIYRDLRSCLEMVEPIDGKPVDEVVERLKSATTSLRRVLLSPLFSEFVSYNGPVDMMEEDAISSLSVRVKIHMTVWDFILPETPSLPAVFGISDTVIEDRFGVEHGSDEWYEALDQHFKWLLQYRISPYFCRWGDSMRVLTYTCPWPADHPKSDEYFSNPRLAAYALPYSRSVSGGDAAKDYMQKQIEILRTKSHWKKAYFYLWDEPLNLEQYESLRSIASEIHAYAPDARVLTTYYCGPSDAPLAPTAFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIIAEIQPENGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKANVPSAEIRFRRGLPPGDGVLFYPGKVFSSSNEPVASVRLERILSGLQDIEYLRLYSSRYGRDEGLALLEKTGLYQGPERYTLEHMPIDAMRGEIFNACRS >ONI34311 pep chromosome:Prunus_persica_NCBIv2:G1:39371407:39373317:1 gene:PRUPE_1G474100 transcript:ONI34311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLNLSLVCLISFFTFFSFSYPATDTLTSLDTLGDIQTLVSSGGIFKLGLFSENFSGYHYLGIWFTADPKKVVWVDRENPILDSSGLLQIQAGNLVLTDRRQVQLIVNSGSVAAVSTNTSATLHDTGNFVLKEVYTGTIIWQSFDLPKDDVIDTYYVAHINTTVVQLIFHFDFSIKDDVEIVVSVIIYDVCVSIVDVEKLNNVSYKYMRVVLKNLYVLFSETNNDHKY >ONI29191 pep chromosome:Prunus_persica_NCBIv2:G1:16947987:16951179:-1 gene:PRUPE_1G186500 transcript:ONI29191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSVMGVGMHLLKFTNWVSLFVLGFAFLYFYYAYQFSSSQIMAEGSSKSIYDFTVKDIHGNDVKLSEYGGKVLLIVNVASQCGLTQSNYKELSVLYEKYKNKGFEILAFPCNQFGGQEPGNNEEIQEVACTRFKAEFPIFDKIEVNGKNEAPLYKFLKLQKGGLFGNGIKWNFTKFLVNKEGKVVERYAPVTSPLKIEKDIQSLLESS >ONI29192 pep chromosome:Prunus_persica_NCBIv2:G1:16947449:16951179:-1 gene:PRUPE_1G186500 transcript:ONI29192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSVMGVGMHLLKFTNWVSLFVLGFAFLYFYYAYQFSSSQIMAEGSSKSIYDFTVKDIHGNDVKLSEYGGKVLLIVNVASQCGLTQSNYKELSVLYEKYKNKGFEILAFPCNQFGGQEPGNNEEIQEVACTRFKAEFPIFDKIEVNGKNEAPLYKFLKLQKGGLFGNGIKWNFTKFLVNKEGKVVERYAPVTSPLKIEKDIQSLLESS >ONI31727 pep chromosome:Prunus_persica_NCBIv2:G1:31083853:31087526:-1 gene:PRUPE_1G326900 transcript:ONI31727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQSTLNPSRALEGMHGVRVVPYSLFESEETTQDGDLPHSTCGSSAVGANQQLFMQRVWQQRPPCLKPIQGCIQGDHSVVETVANVLTSLPFIVLGIHAPRKNLNSKIYANSLIGVGVASSLYHSSRGKLRQYLRWADYTMIATATVCLSRALRNENPKLLMAASALFLPIQPLMVSAVHTGIMEVAFAKRALKDPELRTAHNVHKMSSLVGGVLFIADDMFPQTPFLHAAWHLAAAVGVSTCNKLLE >ONI26996 pep chromosome:Prunus_persica_NCBIv2:G1:4366417:4366922:-1 gene:PRUPE_1G061600 transcript:ONI26996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGAFTFSIPSTDELFCFNVADTVNCVAPPPGPSFGFLRISLLGPLKSIVHALGSLQSTMNAKNSSPIFLTSNIPARVPTSDCCNSSGLLTITAPQALAIRLLSVFRNLLIPLTPALLK >ONI30233 pep chromosome:Prunus_persica_NCBIv2:G1:25346804:25349612:1 gene:PRUPE_1G239000 transcript:ONI30233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSSSCIHHLTNQPIWLLLLSSLGFFIFLKQATSLANWVFIVLLRPPKNLKRYGSWAMVTGATDGIGKAFAYQLAQQGLNLILVSRNSAKLKSVSKEIQANYPTTQIKTIAFDFSVGSLGGMNELLEEEIRGLDVGVLINNVGVTYPSARFLHEVDEQVWTNVVKVNVEGTTRVTMAVLRGMVERKRGAVVNIGSGAGIVVPSHPLFTVYAATKAYVDQLSRSLHVEYKRYGIDVQSQVPLYVATKLASKVASIERSSLFIPTPHAYAKAAIRRIGYEARCTPFWAHSLQWCLGSLVPESLLDAWRLSIGLKRRAKLHHA >ONI32396 pep chromosome:Prunus_persica_NCBIv2:G1:33537122:33539832:1 gene:PRUPE_1G365400 transcript:ONI32396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVHGDHSGQNGNVRSLETTVATLFEFGEDSDVMRLLDRPRQVNVERKRSFDERSISELSNIGSPCRRSCFLGSPRPSSYYESHPMVADAWETLRRSVVHFRGQPVGTLAAVDHSVEELNYDQVFVRDFVPSALAFLMNREDEIVKNFLLKTLRLQSWEKIVDQFKLGEGVMPASFKVLHDPVRNFETITADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLSEMPECQNGIRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDNVGKEFVKRITDRLHALSYHMRSYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVMPDSLPDWVFDFMPSRGGYFIGNVSPARMDFRWFCLGNCVAILSSLATPEQSSAIMDLIESRWEELVGEMPLKICYPAIESHEWKIVTGCDPKNIRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLSKDSWPEYYDGKLGRYMGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLVKRSASWSS >ONI26329 pep chromosome:Prunus_persica_NCBIv2:G1:1231735:1235017:-1 gene:PRUPE_1G017800 transcript:ONI26329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTRLASDPEKQTQKSEGRVKTGIMYAAAIKEICNPQGQYVHIWNKMFVISCVFAVSLDPLFFYIPIIDQQNKCLQMDKVLQTVALILRSLTDVIFLLHFICEICDGLKTQTKKKSPPSTSETKLASDTPKTTAPNTQSNGHSNSKVETGEHSGPKSKKRLIGVAQELMPWLSVSIIIDFFALLPIPQLLIVVTFDKMRGFGYLEHKKVMNILLLGQYLPRMYRIHISSKELKRTTGIWVKGLFNFFLYILASHILGAFWYFFSIQREISCWHQNCVNHSIDSAQCINTVYCNGQTTTSRNITFLNEHCPLDTPDNATSSFNFGIFLDSLKNQNTEHIKFGKKFFYSFWWGLRNLSFVIISPTNLVPEQYLILSLLL >ONI26328 pep chromosome:Prunus_persica_NCBIv2:G1:1231330:1235153:-1 gene:PRUPE_1G017800 transcript:ONI26328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTRLASDPEKQTQKSEGRVKTGIMYAAAIKEICNPQGQYVHIWNKMFVISCVFAVSLDPLFFYIPIIDQQNKCLQMDKVLQTVALILRSLTDVIFLLHFICEICDGLKTQTKKKSPPSTSETKLASDTPKTTAPNTQSNGHSNSKVETGEHSGPKSKKRLIGVAQELMPWLSVSIIIDFFALLPIPQLLIVVTFDKMRGFGYLEHKKVMNILLLGQYLPRMYRIHISSKELKRTTGIWVKGLFNFFLYILASHILGAFWYFFSIQREISCWHQNCVNHSIDSAQCINTVYCNGQTTTSRNITFLNEHCPLDTPDNATSSFNFGIFLDSLKNQNTEHIKFGKKFFYSFWWGLRNLRAVFDLIATAVISNGIKFKSLYHWEYPESNAYFLW >ONI35432 pep chromosome:Prunus_persica_NCBIv2:G1:43769319:43795882:1 gene:PRUPE_1G535700 transcript:ONI35432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVNFLFFFLVICITLWLFFMLLAWILSRVVGASIRFRFGGWKCIRDLVVEFKKGAVESVSVGEIKLSLRQSLVKLFGFISKDPKLQVLICDLEVVMRPSNRSTPKAKSRRDPSRRPHKSGRGKWMMVVANIARYLSVSITDLVLKMPKASVEVKELKVDISKDGQSKQNLIVKLQISPIVVQRSEPRVSCDQLSNFCTGGSLSASQSSSSMMDRSSALFICEDFALSCEFGHDREVGVIIKNVDVACGEIAVNLNEELLLKSKSSSHTSSQPDTAIGSTIDSVASKKPHKKQQMIATLSKYTSLCPEKVSFSLPKLDVRFVHREYDLSVENNIMGIQLKSIKSQSSEDVGDTTRLDVQLDFSEIHLLREAGTSVLEILKVDVASLFYIPIQPTSPIRAEIDVKLGGTQCNVIMNRLKPWLRLHFSKKKRMVLREETSTLDKPPPTDTKAIMWTCTVSAPEMTIVLYSISGLPLYHGCSQSSHVFANNISNTGTTVHMELGELNLHMADEYQECLKESLFGVESNSGSLINVAKVSLDWGKKDMESSEEDGPKSKLVLSVDVTGMGVFFTFKRVESLISTAMSFQALLKNMSSSERRTSQSRGRSSKSSGKGTRLLKLNLERCSVKYCGEAGLENTVVADPKRVNYGSQGGRVVISTSDDGTPRVADVMSTISDKHKNLRYSISLDIFHLSLCVNKEKQSTQIELERARSVYQDHLEENKPETKVALFDMQNAKFVRRSGGLKEVAVCSLFSATDITVRWEPDVQLSLVELGLQLKLLVHNQKLQGHGNEHMEDVMRGSEQKKEAFAEPVNLEKHKKKESIFAVDVEMLSIYAEVGDGVDAMVQVQSIFSENARIGVLLEGLTLCFNGSRVFKSSRMQISRIPSASCPSDAKVPISTTWDWVIQGLDVHICLPYRLQLRAIDDSVEEMLRALKLVIAARTSVIFPMKKDTSKPKKPSSIKFGCLKFCIRKITADIEEEPLQGWLDEHYQLMKNEASELAVRLKFLDELVSKVNQFPKTTETIDSTQERKTFLNGVEIDVQDPSAVSKMQGEIYKQSFRSYYKACQNLAPSQGSGACREGFQAGFKPSTSRNSLLSITARDLDVSVARIDGGDDGMIEVIKTLDPVCRDNDIPFSRLYGSNLLVHAGSVVVQLRDYASPLLCGTSVKCEGRLVLAQQATSFQPQIHKEVYIGRWRKVNLLRSASGTTPPMKTFTDLSVHFQKAEVSFGVGYEPTFADVSYAFTVALRRANLCVRNPNPPPIPPKKEKNLPWWDDMRNYIHGNINLLFSETKFNILATTDPYEKLDKLQVITGSMEIQQSDGRVYVSANDFKIFLSSLESLANSRGLKLPKGISGALLEAPAFTVEVTIGWECESGNPMNHYLFAFPVEGRAREKVFDPFRSTSLSLRWTFSLRPSPSREKQGLYSTEAGSTDVDGTVYGPPHKDDNVPILSPTVNVGAHDLAWLIKFWNMNYLPPHKLRSFARWPRFGVPRIPRSGNLSLDRVMTEFMLRIDAAPTCIKHMPLDDDDPAKGLTFKMTKLKCEMCYSRGKQKYTFECKRDPLDLVYQCFDLHMPKAFLNKKESTSVAKVVQMTIKNSQSASTDRVPNEKSNNVSSCTEKHRDDGFLLSSDYFTIRRQAPKADPSRLLAWQEAGRRDLEMTYVRSEFENGSESDEHTRSDHSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGLSKAFQPPKPSPSRQYAQRKLHEEHQAHSGGERQQDGSSKPPTTSHGVTSSTVEHAETSGSLLSPSHPVKLENSSSAAENSSSAAVGNSSSVASGNSSSSVSVGNSSSSVAVAKNRDTTDSEEDGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHVGYEVIEQALGTGNVNIPECEPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPELKVKPLKELTFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSLPAEDDEDVEEEADEVVPDGVEEVELAKVDLEQKEREQKLILGDIRKLSLRCDTTGDLYPEKEGDLWMINCTRSTLVQGLKRELVNSKKSRKASYASLRMALHKAAQLRLMEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVAQFTTKNFVVRNCLANAKSDMLLSAWNPPPEWGKKVMLRVDAKQGAPKDGNSPLELFQVEIYPLKIHLTETMYRMMWGYLFPEEEQDSQRRQEVWKVSTTAGAKRVKKGSLIQDTFASSSQTIKESEAASKSNAFAPPSQSSVHADSVQESKLQNLKATIVSSPTRELRRTSSFDRSWEETVAESVATELVLQSITGPLGSGEPDESLKNKLKEPKAIKSGRSSHEEKKVAKSQEEKRSRPRKMMEFHNIKISQVELCVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKANSQREPSGSGVPDSDLNFSDNESQPGQPDQHPITFLKRPSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFQGDWSESDVEFSPFARQLTITKAKRLIRRHTKKFRSRKGSSSQQRDSLPSSPRETTAFESDSSSGGSSPYEDFNEGSIMATKEIP >ONI35430 pep chromosome:Prunus_persica_NCBIv2:G1:43769431:43795882:1 gene:PRUPE_1G535700 transcript:ONI35430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVNFLFFFLVICITLWLFFIFASRLLAWILSRVVGASIRFRFGGWKCIRDLVVEFKKGAVESVSVGEIKLSLRQSLVKLFGFISKDPKLQVLICDLEVVMRPSNRSTPKAKSRRDPSRRPHKSGRGKWMMVVANIARYLSVSITDLVLKMPKASVEVKELKVDISKDGQSKQNLIVKLQISPIVVQRSEPRVSCDQLSNFCTGGSLSASQSSSSMMDRSSALFICEDFALSCEFGHDREVGVIIKNVDVACGEIAVNLNEELLLKSKSSSHTSSQPDTAIGSTIDSVASKKPHKKQQMIATLSKYTSLCPEKVSFSLPKLDVRFVHREYDLSVENNIMGIQLKSIKSQSSEDVGDTTRLDVQLDFSEIHLLREAGTSVLEILKVDVASLFYIPIQPTSPIRAEIDVKLGGTQCNVIMNRLKPWLRLHFSKKKRMVLREETSTLDKPPPTDTKAIMWTCTVSAPEMTIVLYSISGLPLYHGCSQSSHVFANNISNTGTTVHMELGELNLHMADEYQECLKESLFGVESNSGSLINVAKVSLDWGKKDMESSEEDGPKSKLVLSVDVTGMGVFFTFKRVESLISTAMSFQALLKNMSSSERRTSQSRGRSSKSSGKGTRLLKLNLERCSVKYCGEAGLENTVVADPKRVNYGSQGGRVVISTSDDGTPRVADVMSTISDKHKNLRYSISLDIFHLSLCVNKEKQSTQIELERARSVYQDHLEENKPETKVALFDMQNAKFVRRSGGLKEVAVCSLFSATDITVRWEPDVQLSLVELGLQLKLLVHNQKLQGHGNEHMEDVMRGSEQKKEAFAEPVNLEKHKKKESIFAVDVEMLSIYAEVGDGVDAMVQVQSIFSENARIGVLLEGLTLCFNGSRVFKSSRMQISRIPSASCPSDAKVPISTTWDWVIQGLDVHICLPYRLQLRAIDDSVEEMLRALKLVIAARTSVIFPMKKDTSKPKKPSSIKFGCLKFCIRKITADIEEEPLQGWLDEHYQLMKNEASELAVRLKFLDELVSKVNQFPKTTETIDSTQERKTFLNGVEIDVQDPSAVSKMQGEIYKQSFRSYYKACQNLAPSQGSGACREGFQAGFKPSTSRNSLLSITARDLDVSVARIDGGDDGMIEVIKTLDPVCRDNDIPFSRLYGSNLLVHAGSVVVQLRDYASPLLCGTSVKCEGRLVLAQQATSFQPQIHKEVYIGRWRKVNLLRSASGTTPPMKTFTDLSVHFQKAEVSFGVGYEPTFADVSYAFTVALRRANLCVRNPNPPPIPPKKEKNLPWWDDMRNYIHGNINLLFSETKFNILATTDPYEKLDKLQVITGSMEIQQSDGRVYVSANDFKIFLSSLESLANSRGLKLPKGISGALLEAPAFTVEVTIGWECESGNPMNHYLFAFPVEGRAREKVFDPFRSTSLSLRWTFSLRPSPSREKQGLYSTEAGSTDVDGTVYGPPHKDDNVPILSPTVNVGAHDLAWLIKFWNMNYLPPHKLRSFARWPRFGVPRIPRSGNLSLDRVMTEFMLRIDAAPTCIKHMPLDDDDPAKGLTFKMTKLKCEMCYSRGKQKYTFECKRDPLDLVYQCFDLHMPKAFLNKKESTSVAKVVQMTIKNSQSASTDRVPNEKSNNVSSCTEKHRDDGFLLSSDYFTIRRQAPKADPSRLLAWQEAGRRDLEMTYVRSEFENGSESDEHTRSDHSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGLSKAFQPPKPSPSRQYAQRKLHEEHQAHSGGERQQDGSSKPPTTSHGVTSSTVEHAETSGSLLSPSHPVKLENSSSAAENSSSAAVGNSSSVASGNSSSSVSVGNSSSSVAVAKNRDTTDSEEDGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHVGYEVIEQALGTGNVNIPECEPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPELKVKPLKELTFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSLPAEDDEDVEEEADEVVPDGVEEVELAKVDLEQKEREQKLILGDIRKLSLRCDTTGDLYPEKEGDLWMINCTRSTLVQGLKRELVNSKKSRKASYASLRMALHKAAQLRLMEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVAQFTTKNFVVRNCLANAKSDMLLSAWNPPPEWGKKVMLRVDAKQGAPKDGNSPLELFQVEIYPLKIHLTETMYRMMWGYLFPEEEQDSQRRQEVWKVSTTAGAKRVKKGSLIQDTFASSSQTIKESEAASKSNAFAPPSQSSVHADSVQESKLQNLKATIVSSPTRELRRTSSFDRSWEETVAESVATELVLQSITGPLGSGEPDESLKNKLKEPKAIKSGRSSHEEKKVAKSQEEKRSRPRKMMEFHNIKISQVELCVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKANSQREPSGSGVPDSDLNFSDNESQPGQPDQHPITFLKRPSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFQGDWSESDVEFSPFARQLTITKAKRLIRRHTKKFRSRKGSSSQQRDSLPSSPRETTAFESDSSSGGSSPYEDFNEGSIMATKEIP >ONI35431 pep chromosome:Prunus_persica_NCBIv2:G1:43769318:43795882:1 gene:PRUPE_1G535700 transcript:ONI35431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVNFLFFFLVICITLWLFFMLLAWILSRVVGASIRFRFGGWKCIRDLVVEFKKGAVESVSVGEIKLSLRQSLVKLFGFISKDPKLQVLICDLEVVMRPSNRSTPKAKSRRDPSRRPHKSGRGKWMMVVANIARYLSVSITDLVLKMPKASVEVKELKVDISKDGQSKQNLIVKLQISPIVVQRSEPRVSCDQLSNFCTGGSLSASQSSSSMMDRSSALFICEDFALSCEFGHDREVGVIIKNVDVACGEIAVNLNEELLLKSKSSSHTSSQPDTAIGSTIDSVASKKPHKKQQMIATLSKYTSLCPEKVSFSLPKLDVRFVHREYDLSVENNIMGIQLKSIKSQSSEDVGDTTRLDVQLDFSEIHLLREAGTSVLEILKVDVASLFYIPIQPTSPIRAEIDVKLGGTQCNVIMNRLKPWLRLHFSKKKRMVLREETSTLDKPPPTDTKAIMWTCTVSAPEMTIVLYSISGLPLYHGCSQSSHVFANNISNTGTTVHMELGELNLHMADEYQECLKESLFGVESNSGSLINVAKVSLDWGKKDMESSEEDGPKSKLVLSVDVTGMGVFFTFKRVESLISTAMSFQALLKNMSSSERRTSQSRGRSSKSSGKGTRLLKLNLERCSVKYCGEAGLENTVVADPKRVNYGSQGGRVVISTSDDGTPRVADVMSTISDKHKNLRYSISLDIFHLSLCVNKEKQSTQIELERARSVYQDHLEENKPETKVALFDMQNAKFVRRSGGLKEVAVCSLFSATDITVRWEPDVQLSLVELGLQLKLLVHNQKLQGHGNEHMEDVMRGSEQKKEAFAEPVNLEKHKKKESIFAVDVEMLSIYAEVGDGVDAMVQVQSIFSENARIGVLLEGLTLCFNGSRVFKSSRMQISRIPSASCPSDAKVPISTTWDWVIQGLDVHICLPYRLQLRAIDDSVEEMLRALKLVIAARTSVIFPMKKDTSKPKKPSSIKFGCLKFCIRKITADIEEEPLQGWLDEHYQLMKNEASELAVRLKFLDELVSKVNQFPKTTETIDSTQERKTFLNGVEIDVQDPSAVSKMQGEIYKQSFRSYYKACQNLAPSQGSGACREGFQAGFKPSTSRNSLLSITARDLDVSVARIDGGDDGMIEVIKTLDPVCRDNDIPFSRLYGSNLLVHAGSVVVQLRDYASPLLCGTSVKCEGRLVLAQQATSFQPQIHKEVYIGRWRKVNLLRSASGTTPPMKTFTDLSVHFQKAEVSFGVGYEPTFADVSYAFTVALRRANLCVRNPNPPPIPPKKEKNLPWWDDMRNYIHGNINLLFSETKFNILATTDPYEKLDKLQVITGSMEIQQSDGRVYVSANDFKIFLSSLESLANSRGLKLPKGISGALLEAPAFTVEVTIGWECESGNPMNHYLFAFPVEGRAREKVFDPFRSTSLSLRWTFSLRPSPSREKQGLYSTEAGSTDVDGTVYGPPHKDDNVPILSPTVNVGAHDLAWLIKFWNMNYLPPHKLRSFARWPRFGVPRIPRSGNLSLDRVMTEFMLRIDAAPTCIKHMPLDDDDPAKGLTFKMTKLKCEMCYSRGKQKYTFECKRDPLDLVYQCFDLHMPKAFLNKKESTSVAKVVQMTIKNSQSASTDRVPNEKSNNVSSCTEKHRDDGFLLSSDYFTIRRQAPKADPSRLLAWQEAGRRDLEMTYVRSEFENGSESDEHTRSDHSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGLSKAFQPPKPSPSRQYAQRKLHEEHQAHSGGERQQDGSSKPPTTSHGVTSSTVEHAETSGSLLSPSHPVKLENSSSAAENSSSAAVGNSSSVASGNSSSSVSVGNSSSSVAVAKNRDTTDSEEDGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHVGYEVIEQALGTGNVNIPECEPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPELKVKPLKELTFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSLPAEDDEDVEEEADEVVPDGVEEVELAKVDLEQKEREQKLILGDIRKLSLRCDTTGDLYPEKEGDLWMINCTRSTLVQGLKRELVNSKKSRKASYASLRMALHKAAQLRLMEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVAQFTTKNFVVRNCLANAKSDMLLSAWNPPPEWGKKVMLRVDAKQGAPKDGNSPLELFQVEIYPLKIHLTETMYRMMWGYLFPEEEQDSQRRQEVWKVSTTAGAKRVKKGSLIQDTFASSSQTIKESEAASKSNAFAPPSQSSVHADSVQESKLQNLKATIVSSPTRELRRTSSFDRSWEETVAESVATELVLQSITGPLGSGEPDESLKNKLKEPKAIKSGRSSHEEKKVAKSQEEKRSRPRKMMEFHNIKISQVELCVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKANSQREPSGSGVPDSDLNFSDNESQPGQPDQHPITFLKRPSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFQGDWSESDVEFSPFARQLTITKAKRLIRRHTKKFRSRKGSSSQQRDSLPSSPRETTAFESDSSSGGSSPYEDFNEGSIMATKEIP >ONI35433 pep chromosome:Prunus_persica_NCBIv2:G1:43772780:43795882:1 gene:PRUPE_1G535700 transcript:ONI35433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKASVEVKELKVDISKDGQSKQNLIVKLQISPIVVQRSEPRVSCDQLSNFCTGGSLSASQSSSSMMDRSSALFICEDFALSCEFGHDREVGVIIKNVDVACGEIAVNLNEELLLKSKSSSHTSSQPDTAIGSTIDSVASKKPHKKQQMIATLSKYTSLCPEKVSFSLPKLDVRFVHREYDLSVENNIMGIQLKSIKSQSSEDVGDTTRLDVQLDFSEIHLLREAGTSVLEILKVDVASLFYIPIQPTSPIRAEIDVKLGGTQCNVIMNRLKPWLRLHFSKKKRMVLREETSTLDKPPPTDTKAIMWTCTVSAPEMTIVLYSISGLPLYHGCSQSSHVFANNISNTGTTVHMELGELNLHMADEYQECLKESLFGVESNSGSLINVAKVSLDWGKKDMESSEEDGPKSKLVLSVDVTGMGVFFTFKRVESLISTAMSFQALLKNMSSSERRTSQSRGRSSKSSGKGTRLLKLNLERCSVKYCGEAGLENTVVADPKRVNYGSQGGRVVISTSDDGTPRVADVMSTISDKHKNLRYSISLDIFHLSLCVNKEKQSTQIELERARSVYQDHLEENKPETKVALFDMQNAKFVRRSGGLKEVAVCSLFSATDITVRWEPDVQLSLVELGLQLKLLVHNQKLQGHGNEHMEDVMRGSEQKKEAFAEPVNLEKHKKKESIFAVDVEMLSIYAEVGDGVDAMVQVQSIFSENARIGVLLEGLTLCFNGSRVFKSSRMQISRIPSASCPSDAKVPISTTWDWVIQGLDVHICLPYRLQLRAIDDSVEEMLRALKLVIAARTSVIFPMKKDTSKPKKPSSIKFGCLKFCIRKITADIEEEPLQGWLDEHYQLMKNEASELAVRLKFLDELVSKVNQFPKTTETIDSTQERKTFLNGVEIDVQDPSAVSKMQGEIYKQSFRSYYKACQNLAPSQGSGACREGFQAGFKPSTSRNSLLSITARDLDVSVARIDGGDDGMIEVIKTLDPVCRDNDIPFSRLYGSNLLVHAGSVVVQLRDYASPLLCGTSVKCEGRLVLAQQATSFQPQIHKEVYIGRWRKVNLLRSASGTTPPMKTFTDLSVHFQKAEVSFGVGYEPTFADVSYAFTVALRRANLCVRNPNPPPIPPKKEKNLPWWDDMRNYIHGNINLLFSETKFNILATTDPYEKLDKLQVITGSMEIQQSDGRVYVSANDFKIFLSSLESLANSRGLKLPKGISGALLEAPAFTVEVTIGWECESGNPMNHYLFAFPVEGRAREKVFDPFRSTSLSLRWTFSLRPSPSREKQGLYSTEAGSTDVDGTVYGPPHKDDNVPILSPTVNVGAHDLAWLIKFWNMNYLPPHKLRSFARWPRFGVPRIPRSGNLSLDRVMTEFMLRIDAAPTCIKHMPLDDDDPAKGLTFKMTKLKCEMCYSRGKQKYTFECKRDPLDLVYQCFDLHMPKAFLNKKESTSVAKVVQMTIKNSQSASTDRVPNEKSNNVSSCTEKHRDDGFLLSSDYFTIRRQAPKADPSRLLAWQEAGRRDLEMTYVRSEFENGSESDEHTRSDHSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGLSKAFQPPKPSPSRQYAQRKLHEEHQAHSGGERQQDGSSKPPTTSHGVTSSTVEHAETSGSLLSPSHPVKLENSSSAAENSSSAAVGNSSSVASGNSSSSVSVGNSSSSVAVAKNRDTTDSEEDGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHVGYEVIEQALGTGNVNIPECEPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPELKVKPLKELTFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSLPAEDDEDVEEEADEVVPDGVEEVELAKVDLEQKEREQKLILGDIRKLSLRCDTTGDLYPEKEGDLWMINCTRSTLVQGLKRELVNSKKSRKASYASLRMALHKAAQLRLMEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVAQFTTKNFVVRNCLANAKSDMLLSAWNPPPEWGKKVMLRVDAKQGAPKDGNSPLELFQVEIYPLKIHLTETMYRMMWGYLFPEEEQDSQRRQEVWKVSTTAGAKRVKKGSLIQDTFASSSQTIKESEAASKSNAFAPPSQSSVHADSVQESKLQNLKATIVSSPTRELRRTSSFDRSWEETVAESVATELVLQSITGPLGSGEPDESLKNKLKEPKAIKSGRSSHEEKKVAKSQEEKRSRPRKMMEFHNIKISQVELCVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKANSQREPSGSGVPDSDLNFSDNESQPGQPDQHPITFLKRPSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFQGDWSESDVEFSPFARQLTITKAKRLIRRHTKKFRSRKGSSSQQRDSLPSSPRETTAFESDSSSGGSSPYEDFNEGSIMATKEIP >ONI33345 pep chromosome:Prunus_persica_NCBIv2:G1:36254339:36255953:1 gene:PRUPE_1G418200 transcript:ONI33345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFECSAPSLWREALSSYSSRIQSLNKPNLVSLDDFYRNALPSLLHQRNPSPFITTSELSDLMRWKLTRGKWRPRLLDFVSALDEAVVKSASQKAFQALPDISKAISELTVLKGLGPATASAVLAAYAPDVAPFMSDEAMVAALGNSKDYTLKQYLLFVNKLQEKAKELTAEGETFTPSDVERALWSGAVGAKLPSSQSDPDLKTDKSKNPNKRKRKR >ONI30575 pep chromosome:Prunus_persica_NCBIv2:G1:26905460:26907085:-1 gene:PRUPE_1G259800 transcript:ONI30575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSSVAPLLSVTPSKLDSSSSFLPSSSSSVSSVRVSLSLSWRFRPTSIRYLSSSSSSLSLRKQICRAAEYKFPDPIPEFADEETEKFRTHLLNKLSKKDLYEDSVEEVVGICTEIFSTFLHTEYGGPGTLLVVPFIDMADTLNERGLPGGQQAARAAIKWAQNHVDKDWNEWTGDKN >ONI31627 pep chromosome:Prunus_persica_NCBIv2:G1:30844303:30848332:1 gene:PRUPE_1G322800 transcript:ONI31627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKKWLLTLFSATFLSLLLLLLSSISAFSSPKPFPSIVQHGSHYPPAFAYYIWGGRGDRGRILRLLLAVYHPRNRYLLHLSADESEDERRRLASAIKAVPAIRAFGNVDVVGKPDRITYMGSSNIATTLRAAAILLKVDSGWDWFVTLSAMDYPLITQDDLSHVFSSVRRDLNFIDHTSDLGWKELHRVQPIVVDPGLYLARRSQIFHATEKRKTPDAFKIFTGSPWVILSRSFLEFCILGWDNLPRTMLMYFTNVMLSQEGYFHSVICNSPEFKNTTVNSDLRYMIWDTPPKMEPHFLNISDYDQMVQGGAAFARQFQKDDPVLDVVDEKILKRGRSRAAPGAWCSGWKSWWMDPCSQWGDANILKPGPQAKKFEESITNLLDDWTAQSNQCQ >ONI29595 pep chromosome:Prunus_persica_NCBIv2:G1:20333800:20334637:-1 gene:PRUPE_1G203800 transcript:ONI29595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLEIQAIADDITSKYVTPHVNIFYCLGGITLTCFLVQVAIGFAMTFYYRSTVTETFAAVQYMMTKANFGWLIRSVHRWSTSMMVLIMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPQDQIGYWAVKIVTGIPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >ONI26843 pep chromosome:Prunus_persica_NCBIv2:G1:3490342:3492402:1 gene:PRUPE_1G049700 transcript:ONI26843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRQTAFAYYKDAPEQIRRWVDEFFLEMDHDEDDQVSLHEFLTYMEMHEDCTHLSNPRFFDELKKEGSEELDFLDVVTLFYIVYSGKPFCNGDCKKFVKGVYFTCVKCFDHASDAANTFNVCTACYVDGKYVHGHKKFLDNFLLLQTKRMEALNQLPASSIHESKSPESGRTSETSNNSSSRRLTNEPSSSGASTEVVPVNSRPKNRAGRKALKTIEVLLALGNIFASTQCTIM >ONI29696 pep chromosome:Prunus_persica_NCBIv2:G1:22312603:22314560:1 gene:PRUPE_1G209300 transcript:ONI29696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASISFLGTAIASSQQLWLRPKLVGVTTTLSPRPQSQSLVVEAKATTKREDRTARHIRIRKKVEGTPERPRLAVFRSNKHIYAQVIDDTKMHTLASASTMQKPVSDEFDYTAGPTIVTKKVGEVIAKSCLEKGITKVAFDRGGYPYHGRVEALADAAREHGLQF >ONI29697 pep chromosome:Prunus_persica_NCBIv2:G1:22312516:22314568:1 gene:PRUPE_1G209300 transcript:ONI29697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASISFLGTAIASSQQLWLRPKLVGVTTTLSPRPQSQSLVVEAKATTKREDRTARHIRIRKKVEGTPERPRLAVFRSNKHIYAQVIDDTKMHTLASASTMQKPVSDEFDYTAGPTIEVAKKVGEVIAKSCLEKGITKVAFDRGGYPYHGRVEALADAAREHGLQF >ONI27809 pep chromosome:Prunus_persica_NCBIv2:G1:8489662:8493075:-1 gene:PRUPE_1G105800 transcript:ONI27809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKACESFSKSLIDEVQRWGCMKQTGVSLRYMMEFGSRPTRRNFIISAQFLHKELPIRIARRAIELEALPYGLSEKPAVLKVRDWYLDSFRDLRSFPEIKDANDEKEFTHMIKAIKVRHNNVVPMMALGVQQLKKGINPRIVYEDLDEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPHVVGYIHTKMSPVEVARNASEDARSICQREYGSAPKVNIYGDPDFTFPYVPTHLHTMVFELVKNSLRAVQERYMDSDKVAPSVRIIVADGIEDVTIKVSDEGGGIPRSGLPKIFTYLYSTARNPLDEHSEISEADAVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >ONI27810 pep chromosome:Prunus_persica_NCBIv2:G1:8489662:8493077:-1 gene:PRUPE_1G105800 transcript:ONI27810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKACESFSKSLIDEVQRWGCMKQTGVSLRYMMEFGSRPTRRNFIISAQFLHKELPIRIARRAIELEALPYGLSEKPAVLKVRDWYLDSFRDLRSFPEIKDANDEKEFTHMIKAIKVRHNNVVPMMALGVQQLKKGINPRIVYEDLDEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPHVVGYIHTKMSPVEVARNASEDARSICQREYGSAPKVNIYGDPDFTFPYVPTHLHTMVFELVKNSLRAVQERYMDSDKVAPSVRIIVADGIEDVTIKVSDEGGGIPRSGLPKIFTYLYSTARNPLDEHSEISEADAVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >ONI36080 pep chromosome:Prunus_persica_NCBIv2:G1:46354476:46358099:1 gene:PRUPE_1G568600 transcript:ONI36080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKSRSISPKRRRSRSPTPRRRKSRSPTPRRRKSRSPTPRRRKSRSPVLKRYKRQRSRSSSLSPIRKSSTSSLGLLEQKNASEKLRKEEEEEKKRRQQEAELKLLEEETSKRVEVAIRTKVEESLNSVEIKVEIQRQLEEGRKKLLTEVAALLEKEKEAALVEARNKEEQARKEREELEGMLEENRRRVEEAQRREALEQQRREEERYRELEELQRQKEEALRRKKQEEEEARLNQMKLLGKNKSRPKLSFAIGSK >ONI36078 pep chromosome:Prunus_persica_NCBIv2:G1:46352470:46358270:1 gene:PRUPE_1G568600 transcript:ONI36078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDLARSQSRSPSYRQRRSPSPVGHRGSRRSRRDRSRSPYSSYSYSRWKSRSISPKRRRSRSPTPRRRKSRSPTPRRRKSRSPTPRRRKSRSPVLKRYKRQRSRSSSLSPIRKSSTSSLGLLEQKNASEKLRKEEEEEKKRRQQEAELKLLEEETSKRVEVAIRTKVEESLNSVEIKVEIQRQLEEGRKKLLTEVAALLEKEKEAALVEARNKEEQARKEREELEGMLEENRRRVEEAQRREALEQQRREEERYRELEELQRQKEEALRRKKQEEEEARLNQMKLLGKNKSRPKLSFAIGSK >ONI36077 pep chromosome:Prunus_persica_NCBIv2:G1:46352470:46358279:1 gene:PRUPE_1G568600 transcript:ONI36077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDLARSQSRSPSYRQRRSPSPVGHRGSRRSRRDRSRSPYSSYSYSRWKSRSISPKRRRSRSPTPRRRKSRSPTPRRRKSRSPTPRRRKSRSPVLKRYKRQRSRSSSLSPIRKSSTSSLGLLEQKNASEKLRKEEEEEKKRRQQEAELKLLEEETSKRVEVAIRTKVEESLNSVEIKVEIQRQLEEGRKKLLTEVAALLEKEKEAALVEARNKEEQARKEREELEGMLEENRRRVEEAQRREALEQQRREEERYRELEELQRQKEEALRRKKQEEEEARLNQMKLLGKNKSRPKLSFAIGSK >ONI36079 pep chromosome:Prunus_persica_NCBIv2:G1:46352552:46358271:1 gene:PRUPE_1G568600 transcript:ONI36079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKSRSISPKRRRSRSPTPRRRKSRSPTPRRRKSRSPTPRRRKSRSPVLKRYKRQRSRSSSLSPIRKSSTSSLGLLEQKNASEKLRKEEEEEKKRRQQEAELKLLEEETSKRVEVAIRTKVEESLNSVEIKVEIQRQLEEGRKKLLTEVAALLEKEKEAALVEARNKEEQARKEREELEGMLEENRRRVEEAQRREALEQQRREEERYRELEELQRQKEEALRRKKQEEEEARLNQMKLLGKNKSRPKLSFAIGSK >ONI30358 pep chromosome:Prunus_persica_NCBIv2:G1:25957401:25960514:1 gene:PRUPE_1G246400 transcript:ONI30358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSELAVSHSESSAVTATVDPITASSSLKRKRPPMIEIPNVLQEIKTEKLRDFTPENDAVCFSGIGVGVSAAKGKKKFMEDTHKIVSCLQGNNSKKGFFGVYDGHGGKKAADFVADNLHNNILEMMEVHTEIEEAVKAGYLKTDQEFLKQGLGSGTCCVTALIEGQEVVISNLGDCRAVLCRGGVAEALTLDHTAEQEDERKRIENEGGYVEFHRGAWRVHGVLSVSRSIGDAHLKDWVLAEPETKILQLTPDMEFLVLASDGLWGEVGNQEAIDTVTRLCSVQKKLGPSGDLLKDNEEDYGCVSVSPSSKLRRISLVKKLKGTQSPGYKKTVNSWKESENDFPSENESPPSKSRRISLAKRANMKNESPIKETQSPGYKKTDNSCKDSENDFASENESPASKSRKISLVKRVNMKNESPVKDTQSPGYKKTVNSWKDSENDFPSENESPPSKSRRISLVKRVNMKIQPASGGLVAACKELVNLALSRGSLDDITVMIIDLNHFRCQLN >ONI30137 pep chromosome:Prunus_persica_NCBIv2:G1:24765420:24769881:1 gene:PRUPE_1G232900 transcript:ONI30137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRLFKRFCGLHKANARIQAGPPGYIHRRALSDSQVFNNGDDTVLPVLIVGAGPVGLVLSILLTKLGVKCSVLEKSNTFSKHPQAHFINNRSMEVFRKLDGLAEEIQRSQPPVDLWRKFIYCTSLYGSILGSVDHMQPQDFEQVVSPASVAHFSQYKLISLLLKQLENLSFKLCMSNELEGFNHRPFQERQLLMGHECVSIKANDDFISVTASFLKDGKRMERNIRCNIVVGTDGAGSTVRKLAGIDMRGKRDLQKLVSVHFSSRDLGKYLLSERPGMLFFIFNTEAIGVLVAHDLKQGEFVLQIPFYPPQQNLEDFSPEICEELIFKLVGRELSDINVIDIKPWVMHAEVAEKFISCGNRIILAGDAAHRFPPAGGFGMNTGIQDSHSLAWKIASVVKDIAPSSILHTYETERRPIAIFNTELSVQNFKAAMTVPAALGLDPTVANSVHQVINEGVGSILPSGLQRAILDGIFTIGRAQLSESLLNEKNPLGSSRLANLRRIFEEGKSLQLQFPAEDLGFRYLEGALIPDTDDALGAPEGPTGRRRDYVPSVVPGSRLPHMNVRILSNSSSEVTFSTLDLISGDKIEFLLIIAPTDSSYHLARAAFKVAEEFKVSARVCVLWPAGSVKQVEAGSKALLTPWENYIDVVEVKKSSNPSSWWDLCQMTDKGAILVRPDEHVAWRVKSGVVGDPITEMRRVFSATLGVKPHNRRDQEPDQ >ONI31724 pep chromosome:Prunus_persica_NCBIv2:G1:31072459:31076588:1 gene:PRUPE_1G326600 transcript:ONI31724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMATGLAESNGYIYIEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHYHSIWRDPSKFRDIYDEDYFISMLENDVRVVNKVPEYLMERFDHNLSNVYNFRIKAWSPILYYKDAVLPRLLEEKVIRISPFANRLSFDSPPAVQRLRCLANYEALRFSSPILTLGETLVARMKERSSNYGGKYISVHLRFEEDMVAFSCCVFDGGEQENEDMKAARERGWRGKFSKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFDKNTFIYLASGKIYNAEKTMAPLLEMFPNLQTKEMLASDEELAPFKNFSSRMAATDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTIRPDKRKLALLFDNPKIGWKTFKRQLLGMRTHGDSKGFELKRPNDSIYTFPCPDCMCRSNKTEDSRALSAT >ONI31723 pep chromosome:Prunus_persica_NCBIv2:G1:31071003:31077215:1 gene:PRUPE_1G326600 transcript:ONI31723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPYNRLPSSGHNSPSPPPSPLRSPRFRHSRSKAGGRFNQPGRTLIQRIVWHLLSVLIRRQGFFLFAPLLYISGMLLYMGSVSFEVVPVISHRPAPGSVYRSPQLYAKLRPEMDADNSSADAISTVWKHSYKGAEWRPCVNKSSGGLAESNGYIYIEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHYHSIWRDPSKFRDIYDEDYFISMLENDVRVVNKVPEYLMERFDHNLSNVYNFRIKAWSPILYYKDAVLPRLLEEKVIRISPFANRLSFDSPPAVQRLRCLANYEALRFSSPILTLGETLVARMKERSSNYGGKYISVHLRFEEDMVAFSCCVFDGGEQENEDMKAARERGWRGKFSKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFDKNTFIYLASGKIYNAEKTMAPLLEMFPNLQTKEMLASDEELAPFKNFSSRMAATDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTIRPDKRKLALLFDNPKIGWKTFKRQLLGMRTHGDSKGFELKRPNDSIYTFPCPDCMCRSNKTEDSRALSAT >ONI28144 pep chromosome:Prunus_persica_NCBIv2:G1:9941878:9945248:1 gene:PRUPE_1G126300 transcript:ONI28144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSMSCPLIFTLSFLLIFTALPSSFSQPTADAPAPASDSCNGVFLSYAYTTGAKLPPELKSNPKRQPYRFESVLTVLNNGLEDLKSWRVFVGFKNDEYLVSASNAVLADGTSLPGSVGNGTVLAGFPMTDLKTAIKTAGDLTQMEVQVKLLGTQFGVAPPKVPLPSNISLANDGFVCLNAVQGTNEMHVCCTVDAKFKTNITVDEEFLPRQNGDLSIMYDVTNTQDSNYWAQVTIANHNPLGRLDNWKLSWDWMADEFIFAMKGAYPSIVDSSDCIFGRQSTYYKDLDFSTVLNCEKRPTIIDLPPTKANDTLLGLVPNCCRNGTILPRSMDPSKSMSSFQIQIFKMPPDLNRSQFTPPQNWAINGTLNPDYKCGPPVRVSPSQFPDRSGLPVNSSAVASWQVVCNITQLKGASPRCCVSFSAFYNDSVIPCNTCACGCPSNTARTCSTTAPAMLLPPETLLVPFENRTVKAKAWAELKHLPVPNPIPCSDNCGVSINWHLYTDYSRGWSARVTLFNWDETSFVDWFAAVQMDKAGPGFEKMYSFNGSTLELNGVNNTVFMQGLEGLNYLVAETDAANPQKDPRVPGKQQSVISFTKKKTPGINVIGGDGFPTKVYFNGEECSLPKIYPSSGNRKSTPIMFSVLLMVVAFMVI >ONI31405 pep chromosome:Prunus_persica_NCBIv2:G1:30217941:30220164:1 gene:PRUPE_1G310900 transcript:ONI31405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKKVANAVGAKTARACDSCIRKRARWYCAADDAFLCQACDSSVHSANQLARRHERVLLKTASSVKPTDKDDQVSVSDNPAAPSWHGGFTRKARTPRQGKHKSQEGARNRFPQVPEVGADDSNSYDEENEEDQQQLLYRVPVFDPFVAEMCTATTSANSNEEAVANTCDVSKVSSSSPNYNHNGRDGFLLPSDMDLAEFAADVDSMLGRGLEDDECFGMEGLGLMDSKENESNCRVKLEDEEEEEEEEGGTGGVNFMGCELGETPEIDMMREPFVLNFEDYDDSPQSCGEQDDKLGVGMMDTTYSGDHQQHEEVHTGASKSGKKKEIFLRLDYEAVITAWDGSPWTSGGRPDFSSECLPDCMGVCGTELHYPYGDLNGLGVHPAMADGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFAGSAFPVLTK >ONI32893 pep chromosome:Prunus_persica_NCBIv2:G1:34929880:34933224:1 gene:PRUPE_1G392300 transcript:ONI32893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCHRNDIGSVVLDRATTTTSAGGGGHFRLWSSFSGASFRRKIFYAVSCGGSSRYRHHNDKNNVAPSSPPPPPATATRSTATKTTPQSHSKEKELEKVKPKSEKLSDLLNLAEFSESENDAMTKKKVEALDELKRVAKELQVEDDSAKRKEAASRVRLLAKEDVEARATLAMLGVIPPLVALLDSADVVAQIASLYALLNLGIGNDVNKAAILQAGAVHKMLKLIESPNPPDPSVSEAIIANFLGLSALDLNKPIIGASGAIPFMVKTLKNLDNTSSPQAKQDALRALYNLSIFPSNISFILETDLIPFFLNSLGDMEVSERILAILSNMVTVPEGRKAISSVRDAFPILVDALNWNDSPGCQEKASYILMVMAHKAFGDRQAMIEAGMVSALLELTLLGSTLAQKRASRILECLRVDKGKQVSQSFGGSMGAAVSAPICGSSSSSTNPNMGSKECLEEEEDMMSEEKKAVKQLVQQSLQNNMRRIVKRANLPQDFVPSDHFKSLTSSSTSKSLPF >ONI32894 pep chromosome:Prunus_persica_NCBIv2:G1:34930045:34932322:1 gene:PRUPE_1G392300 transcript:ONI32894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCHRNDIGSVVLDRATTTTSAGGGGHFRLWSSFSGASFRRKIFYAVSCGGSSRYRHHNDKNNVAPSSPPPPPATATRSTATKTTPQSHSKEKELEKVKPKSEKLSDLLNLAEFSESENDAMTKKKVEALDELKRVAKELQVEDDSAKRKEAASRVRLLAKEDVEARATLAMLGVIPPLVALLDSADVVAQIASLYALLNLGIGNDVNKAAILQAGAVHKMLKLIESPNPPDPSVSEAIIANFLGLSALDLNKPIIGASGAIPFMVKTLKNLDNTSSPQAKQDALRALYNLSIFPSNISFILETDLIPFFLNSLGDMEVSERILAILSNMVTVPEGRKAISSVRDAFPILVDALNWNDSPGCQEKASYILMVMAHKAFGDRQAMIEAGMVSALLELTLLGSTLAQKRASRILECLRVDKGKQVSQSFGGSMGAAVSAPICGSSSSSTNPNMGSKECLEEEEDMMSEEKKAVKQLVQQSLQNNMRRIVKRANLPQDFVPSDHFKSLTSSSTSKSLPF >ONI33516 pep chromosome:Prunus_persica_NCBIv2:G1:36879666:36881160:1 gene:PRUPE_1G430200 transcript:ONI33516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLLATLFSLLLAATQFNVGACAGNKNIFILAGQSNMAGRGGVSGGKWHGNVPPECQPRSSIVRLSAQLRWEQAHEPLHADIDVGKTCGVGPGMAFADEVLRARGSRFGVLGLVPCAVGGTRIGEWARGTRLYNELVRRATESVRDGGVIRAVLWYQGESDTVNRVDAEGYKGNFERLVMDLRCDLKNPNLPVIQVALASGEGQFVDVVRKGQLGVKLGNVECVDAKGLRLKEDDLHLTTISEVHLGIKLARSFLNSFGHHL >ONI26382 pep chromosome:Prunus_persica_NCBIv2:G1:1470106:1472060:1 gene:PRUPE_1G021000 transcript:ONI26382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWKQAWFMDESDEDPRLPHHRNPKELVPLDHLAELGVLYWRLNPKDYENDQQLRNIRETRGYNYMDLLDICPEKLENYEEKLKNFYTEHIHADEEIRYCLEGSGYFDVRDKNDRWIRIWIKAGDLIILPAGIYHRFTLDTSNYVKLMRLFMGEPVWISYNRPQEDHPARKEYIESFTKKVGVALEAH >ONI26381 pep chromosome:Prunus_persica_NCBIv2:G1:1469783:1472060:1 gene:PRUPE_1G021000 transcript:ONI26381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEAWFMDESDEDPRLPHHRNPKELVPLDHLAELGVLYWRLNPKDYENDQQLRNIRETRGYNYMDLLDICPEKLENYEEKLKNFYTEHIHADEEIRYCLEGSGYFDVRDKNDRWIRIWIKAGDLIILPAGIYHRFTLDTSNYVKLMRLFMGEPVWISYNRPQEDHPARKEYIESFTKKVGVALEAH >ONI32115 pep chromosome:Prunus_persica_NCBIv2:G1:32560362:32574169:1 gene:PRUPE_1G349100 transcript:ONI32115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKPRAPASFQSLKSLPADFRFSGLPASDRFGKSDDGNLGNSNVISSSIPENGGLGDIDVAEEGVEGSPGAVGDMDQVNDDSPYSGNTISIEDGPSRGDEDLDSVAPSLPSISSSRRERRWGDTTPYAVKKKLQSWFQLPNGNWELGRILSTSGTESVISLSNDKVAKVKTEDLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAINPFKRVSLYGNEYIEAYKRKAVESPHVYAIADTAIREMVRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEHEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGANIQTCKSARTAESRVVQCTEGERSYHIFYQLCAGAPPALREMLNLKSADEYKYLNQSNCYSITGVNDAEEFCVVKEALDVVHINKEDQQSVFAMLAAVLWLGNISFIVIDNENHVEAVEDEGLFNVAKLIGCGMDELKLALSTRKMRVGNDNIVQKLTLTQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTKVEFEDNQDCLSLFEKRPLGLLSLLDEESTFPNGTDLTFANKLKQHLSANSCFRGERDKAFAVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASSMLNRPEKPLVGPLYKLGGGVDSQKMSVATKFKGQLFLLMKRLENTTPHFIRCIKPNNLQSPGLYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLENVASQEPLSVSVAILHQFNILPEMYQVGCTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHQDRCYLKELRRGIATLQSFVRGEKTRKEYTILLQRHRSAVIIQKQMKRRIERRKFKNIYDASVVIQSVFRGWSVRRCSGGIGLLKPGSTQANEVDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDDSERNSDASVNASDDHDYSWDTGSNHRRQDSNGARPMSAGLSVISRLTEEFDQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFEAWKKDYGARLRETKLILHKIGNDEGTVDRVKKKWWGRRNSSRIN >ONI32116 pep chromosome:Prunus_persica_NCBIv2:G1:32562342:32574470:1 gene:PRUPE_1G349100 transcript:ONI32116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKPRAPASFQSLKSLPADFRFSGLPASDRFGKSDDGNLGNSNVISSSIPENGGLGDIDVAEEGVEGSPGAVGDMDQVNDDSPYSGNTISIEDGPSRGDEDLDSVAPSLPSISSSRRERRWGDTTPYAVKKKLQSWFQLPNGNWELGRILSTSGTESVISLSNDKVAKVKTEDLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAINPFKRVSLYGNEYIEAYKRKAVESPHVYAIADTAIREMVRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEHEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCTEGERSYHIFYQLCAGAPPALREMLNLKSADEYKYLNQSNCYSITGVNDAEEFCVVKEALDVVHINKEDQQSVFAMLAAVLWLGNISFIVIDNENHVEAVEDEGLFNVAKLIGCGMDELKLALSTRKMRVGNDNIVQKLTLTQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTKVEFEDNQDCLSLFEKRPLGLLSLLDEESTFPNGTDLTFANKLKQHLSANSCFRGERDKAFAVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASSMLNRPEKPLVGPLYKLGGGVDSQKMSVATKFKGQLFLLMKRLENTTPHFIRCIKPNNLQSPGLYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLENVASQEPLSVSVAILHQFNILPEMYQVGCTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHQDRCYLKELRRGIATLQSFVRGEKTRKEYTILLQRHRSAVIIQKQMKRRIERRKFKNIYDASVVIQSVFRGWSVRRCSGGIGLLKPGSTQANEVDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDDSERNSDASVNASDDHDYSWDTGSNHRRQDSNGARPMSAGLSVISRLTEEFDQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFEAWKKDYGARLRETKLILHKIGNDEGTVDRVKKKWWGRRNSSRIN >ONI27333 pep chromosome:Prunus_persica_NCBIv2:G1:5924538:5924864:1 gene:PRUPE_1G080800 transcript:ONI27333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHNTTKYLTISVIAIVFVAGALLKNVTAQNCGCAPGLCFSQYGYCGTGKDYCSQGCQQGPCTGGGGTPTTPSTNVGSSVADIVTPEFFNGIISQASSDCAGKNFYT >ONI28771 pep chromosome:Prunus_persica_NCBIv2:G1:12771595:12775801:-1 gene:PRUPE_1G160400 transcript:ONI28771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFYLGFRSFTSSSSSSSCSSSCSSSTSSVTAADIDIPPLQEKYDVFISFRGKDTRRTFTSHLYHALCGKKIETYIDYRLVRGDEIVPALLEAIKRSAISVIIFSENYASSTWCLDELVHILECKQKNGQLVIPIFYDISPSNVRKQQGSYALAFRHLEKCFRDSIDKVHKWRAALTEAASLSGFDDSEKKELEANLVKKVVQDIWAKLNRESSIDLRGLVGIEGKIEKIESLLCLDSPCVRCVGIWGMGGIGKTTLADAIFLRLSSKFEAHCFLANVREKSEQTDGLNQLRNKLLGEILKEKDLNIDTPSIPPITRYRLSSTKALIVLDDVNAPSQLEFLVGHHDQFCQGSRIIITARDKGLLEQKVDHDKIYKVEGLSSEEALQLFHSHAFRNKSLTADYTELSRKVVDYIEGIPLALKVMGSLFLGCKSKQEWEDQLNKLKRFPSEEIKKALRVSYDGLEENEKEIFLDIACFHKGYIRNYVKESLDGRGFCGEVGIKVLIDRSLISISKGRIEMHDLVQEMGRAIVCEQPIEERNRLFTARDVYQVLNNQRAATVQAISFDWSEIENLNLNDADFRKMYQLRWLRVGYSCYLNWERYPLQSLPSKFSPVNLVELRLPYSQVTGSQLWNEEQKLINLKVISLRFCEYLTEVPNLSRSLKIVHIDLRGCVSLVEIPSYFQTLDKLTYLELGGCTNLKNLPEIPCNVEFLDLSKTAIKELPSTVWSHKRITYFDITNCKFLERLPSRSCKLNVSGTFSLEGCVSLCEFSELPRNTTVLDLRGTTIKELPSSIEFVSSLTIIKLEACKSLVSLPTNIWRLKSLKSLDLSHCSKFQYFPEVSEPVEHLESLNLSGTAVKELPPSIGNLVALRKLDLHVCKNLEVVPNSIYNLSNLKTLMFDGCSELKKLPPVSVDLVSLLSLEALNLSYCSIQEIPDGLVCLTSLQELNLNKAKIKSIPGSIKQAAELSCLCLSDCKNLESLPELPPLLQRLEAGGCTSLKTESFCGRCLVALKCPVYDIPNWFSHQSEGSSINIQLPPDWFSTDFLGFALSLIRWKYSFKASNGESHEIKNSLYNPYLFGSSFQDSHEVFVWWYNVFEVVEAAQIPTAFYELVTEVYVDFSIDKYSAYRPIPEKCGVCLLYGEDAEIIKQRAL >ONI29385 pep chromosome:Prunus_persica_NCBIv2:G1:18654056:18656326:-1 gene:PRUPE_1G195800 transcript:ONI29385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASTSGQGFSPERNYRCWLDETFSSHETADDELSYTPDVDNNLIETSSQDFSLTLEPVIGMEFESAEDARDFYEMYGRHMGFTIRNNRTRRSLKDNAIIGREFVCSKEGFRAEKYATSQNRVLPSRPATRKGCNAMLRITAKDGGKWVIYGFVKEHNHELNPNKIPPRRSHRIAFCEDEKDLKIRELSTELHREKKKSAAYQEKLQIVLKYIEEHTQRLSLKVELATNNMRELESEEQDSSYSD >ONI29384 pep chromosome:Prunus_persica_NCBIv2:G1:18654026:18657517:-1 gene:PRUPE_1G195800 transcript:ONI29384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASTSGQGFSPERNYRCWLDETFSSHETADDELSYTPDVDNNLIETSSQDFSLTLEPVIGMEFESAEDARDFYEMYGRHMGFTIRNNRTRRSLKDNAIIGREFVCSKEGFRAEKYATSQNRVLPSRPATRKGCNAMLRITAKDGGKWVIYGFVKEHNHELNPNKIPPRRSHRIAFCEDEKDLKIRELSTELHREKKKSAAYQEKLQIVLKYIEEHTQRLSLKVELATNNMRELESEEQDSSYSD >ONI32012 pep chromosome:Prunus_persica_NCBIv2:G1:32269821:32272557:1 gene:PRUPE_1G344400 transcript:ONI32012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRVATERPFLLLPPLHRVPLRVGNVVELVGPSASAKTHILIQAAVNCILPKEWNGVHYGGLERLVMFIDLDCRFDILRLSEILKHQITEPNGSMYYDEELYALCMRRFLYVRCYDSLEFLATLKTLHYRLQKEKEVHHVGIQLLMIDSIGPFHWVDRGSTFFPLGGNKRKCLSLQSVFDAVVQDIKKLLLVHPMLVMATKEIILGNAYGGDEVKQNKSLLDASGLRNLASNTQQLPYREYMPSVWQSFVTHKILVRASDDHMPIRHYEEHSVYLSEWLLPSISFRDKFTVKDAGIVIVT >ONI33440 pep chromosome:Prunus_persica_NCBIv2:G1:36571986:36575206:-1 gene:PRUPE_1G424200 transcript:ONI33440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQNTSFLFSSNSFAFSPAVSSRSLAAPFNRSPSSAALSFIRFPNYRSALPPPRSSVSKSKSVQVRAMAAEDGKDPRLARISSAIRVIPDFPKPGILFQDITTLLLDTKAFKDTIDLFVERYKDKEISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGKVISEEYSLEYGTDIMEMHVGAVEAGERALIIDDLIATGGTLGAALRLLERVGVHVVECACVIELPGLKGRERLGDKPLFVLVNGDA >ONI33442 pep chromosome:Prunus_persica_NCBIv2:G1:36572185:36575079:-1 gene:PRUPE_1G424200 transcript:ONI33442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDGKDPRLARISSAIRVIPDFPKPGILFQDITTLLLDTKAFKDTIDLFVERYKDKEISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGKVISEEYSLEYGTDIMEMHVGAVEAGERALIIDDLIATGGTLGAALRLLERVGVHVVECACVIELPGLKGRERLGDKPLFVLVNGDA >ONI33441 pep chromosome:Prunus_persica_NCBIv2:G1:36572096:36575160:-1 gene:PRUPE_1G424200 transcript:ONI33441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQNTSFLFSSNSFAFSPAVSSRSLAAPFNRSPSSAALSFIRFPNYRSALPPPRSSVSKSKSVQVRAMAAEDGKDPRLARISSAIRVIPDFPKPGILFQDITTLLLDTKAFKDTIDLFVERYKDKEISVVAGIEARGFIFGPPIALAIGAKFVPMRKPNKLPGKVISEEYSLEYGTDIMEMHVGAVEAGERALIIDDLIATGGTLGAALRLLERVGVHVVECACVIELPGLKGRERLGDKPLFVLVNGDA >ONI35109 pep chromosome:Prunus_persica_NCBIv2:G1:42476946:42483567:-1 gene:PRUPE_1G516400 transcript:ONI35109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQESETGSDKFRLLVKLSSNTPVFGCRMFPIVRVSRHQSFLQLEVPPHELTFSWYREQTSSSFDREKVRCSVHLDMLAKMQCEECVKLNLSVKGSYHCSNSCYVDAWEKHKVYHRYAAETVSETLSNNQQEVRNIKSYGPDKYWPESDFVVGSSSDKNEKVMEPDGKIWIKVSSSKSYAPTEHDIGHRLRLECAAVDCSMGTNITPVNITVTDPVIYPPAHPPRSTIKCVWKSWNSGLEAHSSSDLTFSVLSYNILAGIYATGNRYSYCPEWALSWEYRMQNIINEIIEYDADILCLQEVQRDHFEDLLKPALAKCGYSVLYKTKTKEVYTPNQYTIDGCATFYRRDKFKEIVKYELEYDKSALPFVEALEPELKKDGRFRLLKDNVALVVILERVKNEGSFDGNQPRICVANTHIHASEKFPDVKWFQVVSLINGLGTIANSKIPLLICGDLNSLPLSNPHSFISKGILQSVPNKETDPLGVYQYLRPRPIYKLKSAYACFFQSDGIEEQQRRKMDSETMEPIFTNFTSEFTGTLDYIFFTGSLQGKLSGGKKKFNLPPSLIV >ONI35110 pep chromosome:Prunus_persica_NCBIv2:G1:42478220:42483567:-1 gene:PRUPE_1G516400 transcript:ONI35110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQESETGSDKFRLLVKLSSNTPVFGCRMFPIVRVSRHQSFLQLEVPPHELTFSWYREQTSSSFDREKVRCSVHLDMLAKMQCEECVKLNLSVKGSYHCSNSCYVDAWEKHKVYHRYAAETVSETLSNNQQEVRNIKSYGPDKYWPESDFVVGSSSDKNEKVMEPDGKIWIKVSSSKSYAPTEHDIGHRLRLECAAVDCSMGTNITPVNITVTDPVIYPPAHPPRSTIKCVWKSWNSGLEAHSSSDLTFSVLSYNILAGIYATGNRYSYCPEWALSWEYRMQNIINEIIEYDADILCLQEVQRDHFEDLLKPALAKCGYSVLYKTKTKEVYTPNQYTIDGCATFYRRDKFKEIVKYELEYDKSALPFVEALEPELKKDGRFRLLKDNVALVVILERVKNEGSFDGNQPRICVANTHIHASEKFPDVKWFQVVSLINGLGTIANSKIPLLICGDLNSLPLSNPHSFISKGILQSVPNKETDPLGVYQYLRPRPIYKLKSAYACFFQSDGIEEQQRRKMDSETMEPIFTNFTSEFTGTLDYIFFTGIRTISEFYDASDCYLHKFILIYVFFK >ONI35108 pep chromosome:Prunus_persica_NCBIv2:G1:42476226:42483808:-1 gene:PRUPE_1G516400 transcript:ONI35108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQESETGSDKFRLLVKLSSNTPVFGCRMFPIVRVSRHQSFLQLEVPPHELTFSWYREQTSSSFDREKVRCSVHLDMLAKMQCEECVKLNLSVKGSYHCSNSCYVDAWEKHKVYHRYAAETVSETLSNNQQEVRNIKSYGPDKYWPESDFVVGSSSDKNEKVMEPDGKIWIKVSSSKSYAPTEHDIGHRLRLECAAVDCSMGTNITPVNITVTDPVIYPPAHPPRSTIKCVWKSWNSGLEAHSSSDLTFSVLSYNILAGIYATGNRYSYCPEWALSWEYRMQNIINEIIEYDADILCLQEVQRDHFEDLLKPALAKCGYSVLYKTKTKEVYTPNQYTIDGCATFYRRDKFKEIVKYELEYDKSALPFVEALEPELKKDGRFRLLKDNVALVVILERVKNEGSFDGNQPRICVANTHIHASEKFPDVKWFQVVSLINGLGTIANSKIPLLICGDLNSLPLSNPHSFISKGILQSVPNKETDPLGVYQYLRPRPIYKLKSAYACFFQSDGIEEQQRRKMDSETMEPIFTNFTSEFTGTLDYIFFTVNSLRLESVLELLDKEALGSGLPSPQWSSDHIALMGSFTFTLERSSYQGQRLLPYLPSPWRTAAAQ >ONI28571 pep chromosome:Prunus_persica_NCBIv2:G1:11664753:11672861:1 gene:PRUPE_1G148800 transcript:ONI28571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVNFIIRPPRAEYDPKNDLLDEEFILKGKWYQRKDVEVKNSRGDVLKCSHYMPIVSPEEKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLRTVVDYLRADGNVSLIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDITNLNTSKVANSCFVPSLFGHAIDDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGGTFFDTLHDNFGKGSWRSVHEVNYDNGRSTASKEPATSSSQQDAIRQLRSRRPMSRTEVPSGISSKDDQSESKDGKANNDLCPSSSDMISFEFSNGHPCEPHVPTTMDDDQYVEYQLDDLAGFPSDVDEEERMFMEAVILSLKDLEMRHPQAEEQPPGDTPGSKLSQKDDRLDDASPAEQRELLETKSTSTSVGHHGSSKTGSTCTSVVEAHDSRPEDQTLTKVPSTEPASQTPPSIMDLGSSGPSTQSDTPPASIQSTSDSDMSANTTATVTVVKNPASNVMDGLIRRWDFNFFRNNHSR >ONI28572 pep chromosome:Prunus_persica_NCBIv2:G1:11666268:11672692:1 gene:PRUPE_1G148800 transcript:ONI28572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVSPEEKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLRTVVDYLRADGNVSLIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKIRLPKFTVKFAIQYMRRAIQKKAKFDITNLNTSKVANSCFVPSLFGHAIDDDFIQPHHSDRIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGGTFFDTLHDNFGKGSWRSVHEVNYDNGRSTASKEPATSSSQQDAIRQLRSRRPMSRTEVPSGISSKDDQSESKDGKANNDLCPSSSDMISFEFSNGHPCEPHVPTTMDDDQYVEYQLDDLAGFPSDVDEEERMFMEAVILSLKDLEMRHPQAEEQPPGDTPGSKLSQKDDRLDDASPAEQRELLETKSTSTSVGHHGSSKTGSTCTSVVEAHDSRPEDQTLTKVPSTEPASQTPPSIMDLGSSGPSTQSDTPPASIQSTSDSDMSANTTATVTVVKNPASNVMDGLIRRWDFNFFRNNHSR >ONI27234 pep chromosome:Prunus_persica_NCBIv2:G1:5394777:5395285:1 gene:PRUPE_1G075300 transcript:ONI27234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPMCNSCFSTATSQSKLTTLRPNPVFIAFFQFNLVCFHVMYPLHNKICCYYFFYSSDSDLLFYFTTVYD >ONI30636 pep chromosome:Prunus_persica_NCBIv2:G1:27248745:27252137:-1 gene:PRUPE_1G263800 transcript:ONI30636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPTLVNECSFSNANATSFNLSEIWQFPMNGGGASGGDSGGGGALGLRRPNFAQSFAQFGDVSGAIRDVLAGSDPNPMSLDVRGSHGGGAARKRLDAEDESPKSSGHIGGSDCDGKRLKTAARSRDETCESKAEAETSSGKPVEQSTQPPDPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNTRMNPGIEVFPSKDFGQQTFDTSAMAFGTQPPREYSRGSSPEWLHMQVGGGFERT >ONI28146 pep chromosome:Prunus_persica_NCBIv2:G1:9945779:9950086:-1 gene:PRUPE_1G126400 transcript:ONI28146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSNGMGSMSTASSSGAWKSADVVSDQFPAGLRVLVVDDDPTCLMILEKMLRTCLYEVTKCNRAEIALKLLRENKNGFDIVISDVHMPDMDGFKLLERVGLEMDLPVIMMSADDGKSVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKKKNEWKEVEQSGSVEEGDRQPKPSDDADYSSSANEGTWKNSKRRKDEEEEADERDDSSTLKKPRVVWSVELHQQFVGAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHPSNLNNSFLSPQEASFGAMSSLNGLDLQTLAVTGQLPAQSLATLQAGLGRSTAKSGMPMPLVDQRNLFSFENPKLRFAEGQQHLSSSKPMNLLHGIPTNMEAKQLANLHQSAQSLGGVNMQVNAHGVQNSALLMQMSQPQSRGQILNETSGTHVPRLSSSMGQPILSNGIPSGVLGRNGIADNGRGAGYNPVQQNSSMLNFPLNNSSELPGNNFTLGSTPGMSSLTSKGAFPEDANCEIKRSSGFAPSYDIFNELHHHKSNDWDLQNVGLTFDASQHTNTMHGSLDSSVLVHQGFSSSQRSAPSRNPSTVGKAMFSIGEGTHHVNVQNNGQHLNSLIVDNTVRVKAERVPDASSQTSYFHEQFGQEDLMSALLKQQQEGIGATENEFDFDGYSLDNIPV >ONI28145 pep chromosome:Prunus_persica_NCBIv2:G1:9945784:9950086:-1 gene:PRUPE_1G126400 transcript:ONI28145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSNGMGSMSTASSSGAWKSADVVSDQFPAGLRVLVVDDDPTCLMILEKMLRTCLYEVTKCNRAEIALKLLRENKNGFDIVISDVHMPDMDGFKLLERVGLEMDLPVIMMSADDGKSVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKKKNEWKEVEQSGSVEEGDRQPKPSDDADYSSSANEGTWKNSKRRKDEEEEADERDDSSTLKKPRVVWSVELHQQFVGAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHPSNLNNSFLSPQEASFGAMSSLNGLDLQTLAVTGQLPAQSLATLQAGLGRSTAKSGMPMPLVDQRNLFSFENPKLRFAEGQQHLSSSKPMNLLHGIPTNMEAKQLANLHQSAQSLGGVNMQVNAHGVQNSALLMQMSQPQSRGQILNETSGTHVPRLSSSMGQPILSNGIPSGVLGRNGIADNGRGAGYNPVQQNSSMLNFPLNNSSELPGNNFTLGSTPGMSSLTSKGAFPEDANCEIKRSSGFAPSYDIFNELHHHKSNDWDLQNVGLTFDASQHTNTMHGSLDSSVLVHQGFSSSQRSAPSRNPSTVGKAMFSIGEGTHHVNVQNNGQHLNSLIVDNTVRVKAERVPDASSQTSYFHEQFGQEDLMSALLKQQEGIGATENEFDFDGYSLDNIPV >ONI26310 pep chromosome:Prunus_persica_NCBIv2:G1:1177720:1179272:1 gene:PRUPE_1G016600 transcript:ONI26310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLRPLELSDIDDFMVWATDEKVPRFCTWEPYASKEEGLNFIKNVVLPHPWFMAICLENRPIGAISVTSNSGTSRCRGELGYVLGSRYWGKGIVTQAVKLVADIIFKEWTHLERLVALVDVENVASQRVLEKAGFQREGVLRKYFILKGRSRDMVMFGLLSTDWQT >ONI29700 pep chromosome:Prunus_persica_NCBIv2:G1:22356557:22359957:1 gene:PRUPE_1G209600 transcript:ONI29700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNPQLFPNGMPVPFVNEMFVLARDGVEFEVDKIPGSDGGRLKAKGTIYLSNIRMVFVSNKPVGNFIAFDMPLLYVHGEKFNQPIFFCNNISGQVEPVVPENQHGALYSTHSFKILFKEGGCGTFVPLFFNLISSVRQYNQHQHPNAGPQPHVDPLQAAQTPVDEMMRHAYVDPNDPTRIFLQQPTPESQLRRRTYQSQPAGQ >ONI34218 pep chromosome:Prunus_persica_NCBIv2:G1:39008965:39011716:-1 gene:PRUPE_1G468500 transcript:ONI34218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCKENQQENPQQPNCGFVQGPIIVGAGPSGLAAAACLSNHGVPSLILEKSDCIASLWQHKTYDRLKLHLPKQFCELPLMGFPEDFPRYPTKFQFISYMETYASHFSIKPKFNQAVQSAEFDSGFWRVKTQDFEYISKWLIVATGENAEPAIPEIGGMEKFQGPILHTSLYKSGSDFKNQRVLVVGCGNSGMEVSLDLCRHNANPHMVVRNTVHVLPREMFGFSTFGIAMALLKWLPLKLVDKVLLLLATIILGNTDQLGLRRPKTGPIELKNATGKTPVLDVGALSQIKSGKIKVVVEGVKEITRNGARFMNGQEKEFDSIILATGYKSNVPTWLKGCDFFTKDGMPKTPFPDCWRGSEGLYTVGFTRRGLLGTASDAVEIANDVAQLWKTNKDCRSSCNSHIILLK >ONI35528 pep chromosome:Prunus_persica_NCBIv2:G1:44219778:44221294:-1 gene:PRUPE_1G541400 transcript:ONI35528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYKALIAYTQMNKSNDNDWFRISADNPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPELDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMVKHKDDAASSAES >ONI35530 pep chromosome:Prunus_persica_NCBIv2:G1:44220834:44221241:-1 gene:PRUPE_1G541400 transcript:ONI35530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYKALIAYTQMNKSNDNDWFRISADNPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPELDGKTQKVGPFSDFPICPFLLLFCFVSVSEL >ONI35529 pep chromosome:Prunus_persica_NCBIv2:G1:44219238:44221864:-1 gene:PRUPE_1G541400 transcript:ONI35529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTQRLKEEYKALIAYTQMNKSNDNDWFRISADNPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPELDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMVKHKDDAASSAES >ONI27666 pep chromosome:Prunus_persica_NCBIv2:G1:7909976:7911830:1 gene:PRUPE_1G099000 transcript:ONI27666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSALEDELFPSTPGKFKIERSHGMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDSGSRYFSASWGGIQWEKQVRNSAQIHRSGGMSVLVTGAAGFVGTHVSLALKKRGDGVVGIDNFNSYYDPSLKKARRSLLKSHGVFIVEGDINDNRLLDKLFDTVAFTHVMHLAAQAGVRYAMENPHSYVHSNIAGLVTLLEVCKTANPQPSIVWASSSSVYGLNDNVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKNRVDLARDFTYIDDIVKGCLGSLDTSGKSTGSGGKKRGPAPYRIFNLGNTSPVTVPTLVSILERHLKMKAKKNFVDMPGNGDVPFTHANISLARREFGYKPTTDLQTGLKKFVRWYLSYYGYNHGKPVN >ONI33487 pep chromosome:Prunus_persica_NCBIv2:G1:36745069:36745463:1 gene:PRUPE_1G427600 transcript:ONI33487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVKLQIKRIENTTNIQVTFSKRRSGLIKKAYELSVLCDVDVALIMFSPSGRLSLFSGNKSIEEILARYVNLPEHERGR >ONI27714 pep chromosome:Prunus_persica_NCBIv2:G1:8054331:8057727:-1 gene:PRUPE_1G101100 transcript:ONI27714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLPSESDAIAKVSSIFIYPIKSCRGISVSQASVTPTGFRWDRQWLVVNYKGRAYTQRVEPKLSLVQVELPDEAFIEGWEPSKSSYLVLKAPGMDALKVSLIAPREVSNGVSVWEWSGSALDEGADASKWFSDYLGKPSRLVRFNTASETRPVEPEYARGHNIMFSDMYPYMLLSQGSMDALNNVLKEPIPVNRFRPNILVEGCEPFSEDLWTEIRIDKLAFLGVKLCSRCKIPTINQETGIAGAEPNETLRKIRSDSVLRPTQKQKGKVYFGQNLVFKGSLTGKKGNVVKVGDLVYVLKKVSSADEAAA >ONI27767 pep chromosome:Prunus_persica_NCBIv2:G1:8322076:8332673:1 gene:PRUPE_1G104000 transcript:ONI27767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQCSSSSNSSSGVDEKSVFSLTSSSKYEDYLGEKTKGDLNVKVEHLEAFGIDSQATIKGPMEEVARVEAEEAEDLLRDLGIPTPFLSRQSPRDIFCSRTLHLQSISAIGYDMDYTLIHYNVIAWEGRAYDYCMENLKKVGFPVDGLAFDPDLVIRGLVIDKEKGNLVKADRFAYVKRAMHGTKMLSNRAVSEMYGRELVDLRKESRWEFLNTLFSVSEAVAYMQMVDRLDDGTIAAQLGPLDYEGLYKAVGRALIRAHVEGQLKSEIMSKPELFVTPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMQHSFNRFLPNDMGWRDLFDIMVVSARKPEFFQLSHPMYEVVTREGLMRPCFKAKTGGLYSGGSAQMVENSLNIHGDEVLYVGDHIYTDVSQSKVHLRWRTALICRELEEEFSALIHSRGHRASLVELINQKEVVGDLFNQLRLASQRRTKGRPAQTLAATNLDDQELSESMQKLLIVMQRLDQKIAPMLEADGELFNKRYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSYSY >ONI27766 pep chromosome:Prunus_persica_NCBIv2:G1:8322076:8332673:1 gene:PRUPE_1G104000 transcript:ONI27766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQCSSSSNSSSGVDEKSVFSLTSSSKYEDYLGEKTKGDLNVKVEHLEAFGIDSQATIKGPMEEVARVEAEEAEDLLRDLGIPTPFLSRQSPRDIFCSRTLHLQSISAIGYDMDYTLIHYNVIAWEGRAYDYCMENLKKVGFPVDGLAFDPDLVIRGLVIDKEKGNLVKADRFAYVKRAMHGTKMLSNRAVSEMYGRELVDLRKESRWEFLNTLFSVSEAVAYMQMVDRLDDGTIAAQLGPLDYEGLYKAVGRALIRAHVEGQLKSEIMSKPELFVTPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMQHSFNRFLPNDMGWRDLFDIMVVSARKPEFFQLSHPMYEVVTREGLMRPCFKAKTGGLYSGGSAQMVENSLNIHGDEVLYVGDHIYTDVSQSKVHLRWRTALICRELEEEFSALIHSRGHRASLVELINQKEVVGDLFNQLRLASQRRTKGRPAQTLAATNLDDQELSESMQKLLIVMQRLDQKIAPMLEADGELFNKRWGFLSRAGLWDKSYLMRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSYSY >ONI29622 pep chromosome:Prunus_persica_NCBIv2:G1:20900344:20901008:-1 gene:PRUPE_1G206200 transcript:ONI29622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGMASGSDTVKDRVTRPEEFVGTPLVKDSVCLAAQCAESAQCLAALRKSLEDFMAATDSKFTATVIDMESLVDVFKSNIRDIEEDVSLLKKVLHLKNLENFLWDMDQYFKVACISEEEKVTVTCMVSDDVSAWQPKIETWTTLSKELRDQFLRNNTSSLS >ONI28180 pep chromosome:Prunus_persica_NCBIv2:G1:10077393:10085084:-1 gene:PRUPE_1G129400 transcript:ONI28180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKETVHRLEQESGYFFNMKYFEEKALAGEWDEVEKYLSGFTKVDENRYSMKIYFEVRKQKYLEALDRNDRAKAVEILVKDLKVFSTFNEELYKEITHLLTLDNFRENEQLSKYGDTKSARSIMLVELKKLIEANPLFREKLVLPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFMDHSCSPPNGARASTPVTLPVAALAKPSTYAPLGAHGGPFPPAAAAAAANANALAGWMSNANPSLSVQSPVVAASPFPVQPSQVSGLKHPRPPSNALGMIDYQSSDHEQLMKRLRSAQSVDEVSYPPHPQHASWSPDDLPRNVAWTLRQGFNVISMDFHPSHHTLLAVGCSNGEITIWEAGLRERLVSKPFKVWEMSTCSVPFQAAFVKDSSMSVSRVAWSPDGNFMGVAFSKYLVHLYAYQGPTDLRQHLEIDAHIGNVNDLAFSHPNKQLCVITCGDDKLIKVWDLSGRRLFNFEGHEAPVYSICPHQKENIQFIFSTAVDGKIKAWLYDNVGSRVDYDAPGQWCTTMLYSDDGNRLFSCGTSKDGESFLVEWNESEGAIKRTYSGFRKKSSGIVQFDTTRNHFLAVGEDNQIKFWDMDNTNILTSTDAEGGLLTLPRLRFNKEGNLLAVTTADNGVKILANAEGLRSLRAIETRSYEASRAPIEMKVSGSSMVPNINPTINKVERMDTSSPARPTHILNGNDSMARSMEKRRSLDDVSEKNKRWELAEIVDPVKCRVVTMPESKDPANKVARLLYTNSGSGILALGSNGVQKLWKWSRNEQNPSGKATASVVPQHWQPNSGLLMTNDVPENFEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPVSTFLSFHPLDNNIIAIGMEDSTIHIYNVRVDEVKTKLKGHQKHITGLAFSVNLKIMVSSGADAQLCFWNMDAWDKRKSVPLQLPAGKAPLGDTQVQFYSDQVRLLVYHETQLALYDAAKAECIRQWMPQDVLPAPISCAAYSASSQLVYAAFTDGNIGVFDADSLKLRCRIAMSVYLSQASSNSQTVYPLALTAHLHEPYQFAVGLTDGSVKVIEPSEAEGKWGVLVPVDNGTQNGWTATSSTNNPP >ONI34596 pep chromosome:Prunus_persica_NCBIv2:G1:40751284:40755005:-1 gene:PRUPE_1G489500 transcript:ONI34596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATALVLSPPPLSTRTPLLLLHCPHPLLPFRSLKPKPLPKHRLILSPSPNTPSFLVRADDGDGDSAGPDDYDMDDEELEEVDNKKDFDIEYDTLAAAAAAVAAANGEEDIAMVQSKSFVFTQGWDSEMVVDYRINEDEFHKISLLDCDFFIRKPPDPDNDVYDFREMYVTPPDTDVYAIPRVLAPMPQKYIRCAKSDFSCYNVTEPPIDAPRDPLYKSERDVLKVYMTKHYRNRRLRDPDFVLDFEEIYVIDSKTKSISRAKVLVSVPGGRSRDRRHDLLVIRDNGNSFRIIHGSEKDDPTTVIEREEWKKTRQDMERHLSKLRDFSVSNWF >ONI34597 pep chromosome:Prunus_persica_NCBIv2:G1:40752289:40754876:-1 gene:PRUPE_1G489500 transcript:ONI34597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATALVLSPPPLSTRTPLLLLHCPHPLLPFRSLKPKPLPKHRLILSPSPNTPSFLVRADDGDGDSAGPDDYDMDDEELEEVDNKKDFDIEYDTLAAAAAAVAAANGEEDIAMVQSKSFVFTQGWDSEMVVDYRINEDEFHKISLLDCDFFIRKPPDPDNDVYDFREMYVTPPDTDVYAIPRVLAPMPQKYIRCAKSDFSCYNVTEPPIDAPRDPLYKSERDVLKVYMTKHYRNRRLRDPDFVLDFEEIYVIDSKTKSISRAKVLVSVPGGRSRDRRHDLLVIRDNGNSFRIIHGSEKDDPTTVIEREEWKKTRQDMERHLSKLRDFSVSNWF >ONI34595 pep chromosome:Prunus_persica_NCBIv2:G1:40751284:40754923:-1 gene:PRUPE_1G489500 transcript:ONI34595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATALVLSPPPLSTRTPLLLLHCPHPLLPFRSLKPKPLPKHRLILSPSPNTPSFLVRADDGDGDSAGPDDYDMDDEELEEVDNKKDFDIEYDTLAAAAAAVAAANGEEDIAMVQSKSFVFTQGWDSEMVVDYRINEDEFHKISLLDCDFFIRKPPDPDNDVYDFREMYVTPPDTDVYAIPRVLAPMPQKYIRCAKSDFSCYNVTEPPIDAPRDPLYKSERDVLKVYMTKHYRNRRLRDPDFVLDFEEIYVIDSKTKSISRAKVLVSVPGGRSRDRRHDLLVIRDNGNSFRIIHGSEKDDPTTVIEREEWKKTRQDMERHLSKLRDFSVSNWF >ONI34354 pep chromosome:Prunus_persica_NCBIv2:G1:39953588:39955655:1 gene:PRUPE_1G477400 transcript:ONI34354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLPLIFAILSLAAVALANNAAQPAPQLYWNSVLPNTQMPRSISELLHPDSTNEEKSKPEIFPLQGNRVYTQSHYKKRPPPPSDEGKPENFPLANSFYTQTHYKKRPPPPSDEGKPENFPLGNSHYSQTGYAKGPPPPPKIFPFSGYSRKRYGGSPPPPSPFVQKDYGQNDKHYVQKNYGKVPPPPDNQLLHYKDLAIFFFEKDMRPGATMKFQFPRNSNTATFLPRESAQSIPFSSKKLPEIFNHFSVKPTSEEAKTIKQTIEECEAPGLKGEEKYCATSLESMVDFSTSKLGTRNVEAISTEVLEEGATKYMHNYTTMPGLKKLEGDKVVVCHKENYPYAVFFCHAIKQTAAYVLSLKADDGMKVKAVTICHLDTSEWDPEHLSFQILNVKPGTTPICHFLSTDAIAWVPKHKSA >ONI32960 pep chromosome:Prunus_persica_NCBIv2:G1:35139575:35142908:-1 gene:PRUPE_1G396200 transcript:ONI32960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKGVMGAGRRWAVDLTDNSTSPSSRDVPDPPGFSRTPLEQDDSTVSRQKKDAESTWKAQKAWEVAQTPFKNLLMMGFMMWMAGSTVHLFSIGITFSALWQPISALQGVGKVFEPYKDSKVDLIAPKLLFIALNLGGLALGVWKLNTLGLLPTHASDWVSSLPPAQEVEYSGGGIPLY >ONI33346 pep chromosome:Prunus_persica_NCBIv2:G1:36256372:36259245:1 gene:PRUPE_1G418300 transcript:ONI33346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVSSDRKYRRSSKTPLLPQKHDDHDSAEVGFNGASFSGAVFNMSTTIVGAGIMALPAAVKQLGLIPGLIMIVLGAMLTESSIDMIMRFTRASKTASYSGLVGDAFGGPGRTLLQLCVVVNNLGMLVVYMIIIGDVLSGTWSEGVRHSGVMEEWFGQRWWTARFSLLLLTTLLVLAPLISFKRVDSLRYTSALSVALAVVFVAMTAGVAIFKLMDGSVAFPRLMPKLVDQASFWKLFTTIPILVTAYICHHNIHPIENELIDPTQMKSIVRTSLTFCSTVYIATSFFSFLLFGDHTLDDVLANFDADLGVPYSSFLDDIVRVSYGVHLMLVFPIVFFSLRLNLDGLLFPFAIPIAFDNRRFYTVTAALMGFIFLGANFVPSIWDAFQFTGATAAISVGFIFPAAVALRDTHGIATKKDKLVSWVMIFLAVSSSTAAISSDIYSIVSRDKLAGS >ONI26031 pep chromosome:Prunus_persica_NCBIv2:G1:58976:70278:1 gene:PRUPE_1G000300 transcript:ONI26031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVMPFANKLILFFQKVWEESSGECLLQIQLLVALRNFVVALGYQSPICYDILLPILQKGIDIDSPDELNLLEDSMLLWEATLSHAPSMVPQLLAYFPCVVKIMERSFDHLQIAVSITEDYIILGGSEFLSIHASSVAQILDLVVGNVNDRGLLSTLPVIDILIQCFPLEVPQLISSTLQKLIVICLSGGDDQDPSKTAVKASSAAILARILVMNTNYLAHLTSEPSLLLLLQSSGIPTEENVLLCLVNIWLDKADNVSSIQRKTYGLALSIILTLRLPQVLNKLDQILSVCTTVILGGNDDLTEESSGDNITSSGSLSKGTIPSKEFRRRQLKFSDPINQMSLDASVRENLQTCATLHGESFNKAIGCMHPSAFSQLKQALKMA >ONI26032 pep chromosome:Prunus_persica_NCBIv2:G1:58976:70278:1 gene:PRUPE_1G000300 transcript:ONI26032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVMPFANKLILFFQKVWEESSGECLLQIQLLVALRNFVVALGYQSPICYDILLPILQKGIDIDSPDELNLLEDSMLLWEATLSHAPSMVPQLLAYFPCVVKIMERSFDHLQIAVSITEDYIILGGSEFLSIHASSVAQILDLVVGNVNDRGLLSTLPVIDILIQCFPLEVPQLISSTLQADNVSSIQRKTYGLALSIILTLRLPQVLNKLDQILSVCTTVILGGNDDLTEESSGDNITSSGSLSKGTIPSKEFRRRQLKFSDPINQMSLDASVRENLQTCATLHGESFNKAIGCMHPSAFSQLKQALKMA >ONI29185 pep chromosome:Prunus_persica_NCBIv2:G1:16888096:16893437:-1 gene:PRUPE_1G186000 transcript:ONI29185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFKEFWVKKTLVGLGLGQFLSLLITSTGFSSSELAKKGIKAPTSQSFLNYVLLAIVYGAIMLYRRKPLKAKWYYYVLLGMVDVEANFLVVKAYQYTSITSVMLLDCWSIPSVMLLTWVFLKTKYRFRKITGVVVCVAGLVMVVFSDVHAGDQAGGSNPRLGDVLVIAGSTLYAVSNVSEEFLVKNADRVELMAMLGFFGAIVSAIQISILERNELKSIHWSAGAALPFVGFSVAMFLFYSFVPVLLKTNGSTMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGVCVGLVIYSGGDKEEDQQRADVADEGAERSKHFDEEAASVSRGTTVAGSSKTGDSSKHEITEGEVFDNKSVGKDVQGRKS >ONI29184 pep chromosome:Prunus_persica_NCBIv2:G1:16887784:16893857:-1 gene:PRUPE_1G186000 transcript:ONI29184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFKEFWVKKTLVGLGLGQFLSLLITSTGFSSSELAKKGIKAPTSQSFLNYVLLAIVYGAIMLYRRKPLKAKWYYYVLLGMVDVEANFLGGSNPRLGDVLVIAGSTLYAVSNVSEEFLVKNADRVELMAMLGFFGAIVSAIQISILERNELKSIHWSAGAALPFVGFSVAMFLFYSFVPVLLKTNGSTMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGVCVGLVIYSGGDKEEDQQRADVADEGAERSKHFDEEAASVSRGTTVAGSSKTGDSSKHEITEGEVFDNKSVGKDVQGRKS >ONI26053 pep chromosome:Prunus_persica_NCBIv2:G1:213970:214521:-1 gene:PRUPE_1G001700 transcript:ONI26053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGTTPTSKKVRRERTPGRYKGVRMRAWGKWVSEIRLPKSGDRIWLGSYDAPDKAARAHDAAAYCIHGERAEFNFPKNRRPVLSKGSTVSLSKKDIQTIATDFSSADVSESTPVSSTMTTQVPSDKPASPNLLVSKGMASAYEVDSGSFAPSCTTGEAVASLENIQLDDFLMLDTDWIADFY >ONI29792 pep chromosome:Prunus_persica_NCBIv2:G1:22824839:22828740:1 gene:PRUPE_1G215300 transcript:ONI29792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQDGVQVDGEVESATSVPTPEFDEWANFGDQDIMQQHSAIRAEEAEKIPFLGDKEPLSSLAAEYQSGSAILLEKIKVLGEQYAAIRRTRGDGNCFFRSFMFSYLEHILESQDQSEVDRIKANVEQCRKTLQSLGYADFTFEDFFALFLEQLDSVLQGNEASISHDELILRSRDQSVSDYVVMFFRFVTSGEIRKRAEFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDTGGVSVNHHDFVPAGSDLPNASGCSEKVSPFITLLYRPGHYDILYPK >ONI32386 pep chromosome:Prunus_persica_NCBIv2:G1:33511960:33515539:1 gene:PRUPE_1G364700 transcript:ONI32386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQRHTPSRSATTMSPETAPPLIAAQLNYLLSHFPLLVKIENKWSGSKYYPGILDRFTLVISYCLDVIKWDIIFDAESPMAAPDVIFGPDDENFQQFLVLRGEEEGDTKSPKKFLNDWNSRDPTQLMLLIQELRDQYMSFQKKRVAEVDDDRLKFEISTIVAREGIEMHMSSGVDKPEEVKFSVPLLDMNINKMVPGCPWKHKQKIYLQVIYPVEKKYVSTPSAPRLKLVSTTELKSIFSIDDVKLPTWLNGMCMAEYLPHLEESLENQVLEAVSLIDVRRRFIEALALQFGRPLEADPVFCRKATFLAASGVFTFLVHVLISTQFPKQQPELMLQSSQHFNSQGAPIKSLFLTEYPWSPRWEIPLMAERICDYLTDEALNFKRYCNEPQLQH >ONI36356 pep chromosome:Prunus_persica_NCBIv2:G1:47309799:47313148:1 gene:PRUPE_1G582400 transcript:ONI36356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTSFAADTLGVLTICLVALLILLGFLCIIYLFYFRSRIRSQGFIQLSYFSGPWIIRITFILFAIWWGFGEIARLSLLRREGRLLNTLNSKWQENLCKCYIVSNLGFAEPCLFLTLVFLLRAPLQTMESGILSREGNGKTAGYVLFYCLPMLVLQLFVILIGPELHKNESLRKLPSYFTSTVNKTDHIALCTYPLLSTILLGIFGIILTAYLFWLGRQILKLVINKGLQKRVYTLIFSVSSFLPLRVTLLGFSVLSKPEHIQFEVLSFLAFLALLCCAGVCICMLVYCPVADSLALGSLQDLESRRRVNDDQNDTISLIANQSHMEESSGISPSRNSDVSAKRGSISFRTLEKEEGSSRIPFVELSLFSPSRDATPPESPPLLGWPMRPLPSSHSPVVQIHGTTDR >ONI30148 pep chromosome:Prunus_persica_NCBIv2:G1:24801576:24806266:-1 gene:PRUPE_1G233700 transcript:ONI30148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEIKAEFNNSGFIFDKEKEILQKCSTFCINFKLTPTDLVSSWEIYYLNRQINGTVVEDAEMDGFLGYLQSQQKVAIDEKELDLHIYSSRDVDMILNDEDEDIREDVLGTPPPFKSQNHYSEPSDSTPQTIGNISSGKPSKLATPFGRRTDKLVVKFSINNKPNTENREKEDDNENHEDDDVIRKVKPGKRCSLIVHGSGPEIGCRFMYDRIEDRFNALENRISKYATALVSSGQYEEPLDPTVSSQVWYLHPLPLKIIFTVGMIYCDGEGHLNEKSTLLQSSAEHSGGQRVRIELQNLSQFSIFPGQLVGIEGINPSGHCFIASKLVDSIPLPIADDANLPPAKKQALDQEILSVGVSGTQPELSVIIASGPFTTTDNLLFEPLRELLAYASKTLPQLLILLGPFIDSEHPEIKKGTVDRSFDEIFRSEILRRLQDHVEYMGSHARIVLVPSIRDANHDFVFPQPAFDIHPANLRHQITSLTNPGIFEGNQVKIGCCSVDILKHLSGEEISRNPKDGKLSDRMSRLANHIISQRSFYPLYPPAEGIPLDFSLAPEALNISLIPDILILPSDMKHFVKVLPLGERGEEKEQVKCICVNPGRLAKGEGGGTFVELNYYGNPDTCNASIIGI >ONI30147 pep chromosome:Prunus_persica_NCBIv2:G1:24801288:24806356:-1 gene:PRUPE_1G233700 transcript:ONI30147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEIKAEFNNSGFIFDKEKEILQKCSTFCINFKLTPTDLVSSWEIYYLNRQINGTVVEDAEMDGFLGYLQSQQKVAIDEKELDLHIYSSRDVDMILNDEDEDIREDVLGTPPPFKSQNHYSEPSDSTPQTIGNISSGKPSKLATPFGRRTDKLVVKFSINNKPNTENREKEDDNENHEDDDVIRKVKPGKRCSLIVHGSGPEIGCRFMYDRIEDRFNALENRISKYATALVSSGQYEEPLDPTVSSQKIIFTVGMIYCDGEGHLNEKSTLLQSSAEHSGGQRVRIELQNLSQFSIFPGQLVGIEGINPSGHCFIASKLVDSIPLPIADDANLPPAKKQALDQEILSVGVSGTQPELSVIIASGPFTTTDNLLFEPLRELLAYASKTLPQLLILLGPFIDSEHPEIKKGTVDRSFDEIFRSEILRRLQDHVEYMGSHARIVLVPSIRDANHDFVFPQPAFDIHPANLRHQITSLTNPGIFEGNQVKIGCCSVDILKHLSGEEISRNPKDGKLSDRMSRLANHIISQRSFYPLYPPAEGIPLDFSLAPEALNISLIPDILILPSDMKHFVKVLPLGERGEEKEQVKCICVNPGRLAKGEGGGTFVELNYYGNPDTCNASIIGI >ONI28843 pep chromosome:Prunus_persica_NCBIv2:G1:13248972:13252086:1 gene:PRUPE_1G164600 transcript:ONI28843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCNKVGSLLRHSISQNGQAPMASMLNAARCMSSKLFIGGLSFGTSDESLKEAFSSFGDVTEARVIMDRNTGKSRGFGFVDFASDESASSALNAMDGQELHGRNIRVSTATERTGPRPYNGGGGGGGYRGDGGY >ONI34222 pep chromosome:Prunus_persica_NCBIv2:G1:39078688:39079642:1 gene:PRUPE_1G468900 transcript:ONI34222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTLSSWFAKSLYANQIHDGGNAQIKSNAEGNENDTHTWSGHHTLYRSITMISSRRRWCCYSYWRRQRCRSSYRRGRSGRSWC >ONI26802 pep chromosome:Prunus_persica_NCBIv2:G1:3320151:3323269:-1 gene:PRUPE_1G047000 transcript:ONI26802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >ONI29562 pep chromosome:Prunus_persica_NCBIv2:G1:20015795:20019884:-1 gene:PRUPE_1G201900 transcript:ONI29562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQETSVEKEGVADSGLIDLVFSWSMEDVLNINLYKNQVTEIPDTFSTVKSYMKTFIPSLVEETHADLLSSMETLPQAPTREILAVTPKRHKNAKDFSYVIIIRGSGEAENYEPQTGDLIALTDIRPTCSDHLNRLRDSYLIAYVRPGRDNRLFIRSSKPISRGGGRKLFAVFLINMTTNVRIWKALISEKANTNIIKNVLQVQPNSSQGGNSCSICFSKEKCSAAVSNRWPSMGSDLNDSQEATVLNCINLSKCTHQNTIKLIWGPPGTGKTKTVAMSLLALSKLKCRTLTCAPTNVALLEVTARLLGLINQSLDYGKYGLGDIILFGNGERMKIDNYDDLVEVFLDYRIEILAQCFNPGTGWKHWLESMIGLLEDPQQKYSTRDDENDFQTFEEFVKEKLNSVGEHVEFCMVNLYTHLPTSCISLEVVTDMIGALDLLNSLKSLLREVGFANERSQLVLKDFLRKLRWLRKFCVPNLKNLEKIRKFCLANASLIFCTVSSSAKLQTEEKAPLDLLVIEEAAQLKECESAIPLQLPGLRHAVLIGDERQLPAVVISKISEKAGFGRSLFGRLLLLGHERHLLNVQYRMHPSISLFPKREFYNNQILDGPNVKQGSYEKCFLSGKMYGCYSFIDVANGQEEFDRGHSRKNMVEVAVVCEIVASLYREFIRTKKKVSVGVISPYKAQVNAIQERVTEYSEVSGTDGFSVSVQSVDGFQGGEDDVIIISTVRCNEEGYVGFISNLQRANVMLTRARHCLWILGNEATLIRSNSIWKKLILDAKKRKCFYNADEEKNLAQAIAVALMELGQVHIPLNSDSLLFKNAKWKVCFTNEFQNSIQKIKDTEIHREVVSLLTKLANGWRQSRKNKRTIGHGTCAQVLQKYKVKGLLNLIWSVDVLQENSDYVQVLKIWDVLPVSDTPELDKRLENMFRSYTTAQMNLCLLRCVDGDAVVPIRMPVDLSSSHEAEADPVQVLSKPLSSLSLKDEPQTSSSEPQSHRKTKNNMPRRQRK >ONI29560 pep chromosome:Prunus_persica_NCBIv2:G1:20010019:20020186:-1 gene:PRUPE_1G201900 transcript:ONI29560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQETSVEKEGVADSGLIDLVFSWSMEDVLNINLYKNQVTEIPDTFSTVKSYMKTFIPSLVEETHADLLSSMETLPQAPTREILAVTPKRHKNAKDFSYVIIIRGSGEAENYEPQTGDLIALTDIRPTCSDHLNRLRDSYLIAYVRPGRDNRLFIRSSKPISRGGGRKLFAVFLINMTTNVRIWKALISEKANTNIIKNVLQVQPNSSQGGNSCSICFSKEKCSAAVSNRWPSMGSDLNDSQEATVLNCINLSKCTHQNTIKLIWGPPGTGKTKTVAMSLLALSKLKCRTLTCAPTNVALLEVTARLLGLINQSLDYGKYGLGDIILFGNGERMKIDNYDDLVEVFLDYRIEILAQCFNPGTGWKHWLESMIGLLEDPQQKYSTRDDENDFQTFEEFVKEKLNSVGEHVEFCMVNLYTHLPTSCISLEVVTDMIGALDLLNSLKSLLREVGFANERSQLVLKDFLRKLRWLRKFCVPNLKNLEKIRKFCLANASLIFCTVSSSAKLQTEEKAPLDLLVIEEAAQLKECESAIPLQLPGLRHAVLIGDERQLPAVVISKISEKAGFGRSLFGRLLLLGHERHLLNVQYRMHPSISLFPKREFYNNQILDGPNVKQGSYEKCFLSGKMYGCYSFIDVANGQEEFDRGHSRKNMVEVAVVCEIVASLYREFIRTKKKVSVGVISPYKAQVNAIQERVTEYSEVSGTDGFSVSVQSVDGFQGGEDDVIIISTVRCNEEGYVGFISNLQRANVMLTRARHCLWILGNEATLIRSNSIWKKLILDAKKRKCFYNADEEKNLAQAIAVALMELGQVHIPLNSDSLLFKNAKWKVCFTNEFQNSIQKIKDTEIHREVVSLLTKLANGWRQSRKNKRTIGHGTCAQVLQKYKVKGLLNLIWSVDVLQENSDYVQVLKIWDVLPVSDTPELDKRLENMFRSYTTAQMNLCLLRCVDGDAVVPIRMPVDLSSSHEAEADPVQVLSKPLSSLSLKDEPQTSSSEPQSHRIFSPRRSLGVVLNEGGCTMWMASVWDVPIV >ONI29565 pep chromosome:Prunus_persica_NCBIv2:G1:20017074:20020186:-1 gene:PRUPE_1G201900 transcript:ONI29565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQETSVEKEGVADSGLIDLVFSWSMEDVLNINLYKNQVTEIPDTFSTVKSYMKTFIPSLVEETHADLLSSMETLPQAPTREILAVTPKRHKNAKDFSYVIIIRGSGEAENYEPQTGDLIALTDIRPTCSDHLNRLRDSYLIAYVRPGRDNRLFIRSSKPISRGGGRKLFAVFLINMTTNVRIWKALISEKANTNIIKNVLQVQPNSSQGGNSCSICFSKEKCSAAVSNRWPSMGSDLNDSQEATVLNCINLSKCTHQNTIKLIWGPPGTGKTKTVAMSLLALSKLKCRTLTCAPTNVALLEVTARLLGLINQSLDYGKYGLGDIILFGNGERMKIDNYDDLVEVFLDYRIEILAQCFNPGTGWKHWLESMIGLLEDPQQKYSTRDDENDFQTFEEFVKEKLNSVGEHVEFCMVNLYTHLPTSCISLEVVTDMIGALDLLNSLKSLLREVGFANERSQLVLKDFLRKLRWLRKFCVPNLKNLEKIRKFCLANASLIFCTVSSSAKLQTEEKAPLDLLVIEEAAQLKECESAIPLQLPGLRHAVLIGDERQLPAVVISKISEKAGFGRSLFGRLLLLGHERHLLNVQYRMHPSISLFPKREFYNNQILDGPNVKQGSYEKCFLSGKMYGCYSFIDVANGQEEFDRGHSRKNMVEVAVVCEIVASLYREFIRTKKKVSVGVISPYKAQVNAIQERVTEYSEVSGTDGFSVSVQSVDGFQGGEDDVIIISTVRCNEEGYVGFISNLQRANVMLTRARCFCHIAVVHVVAYTV >ONI29563 pep chromosome:Prunus_persica_NCBIv2:G1:20015471:20020186:-1 gene:PRUPE_1G201900 transcript:ONI29563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQETSVEKEGVADSGLIDLVFSWSMEDVLNINLYKNQVTEIPDTFSTVKSYMKTFIPSLVEETHADLLSSMETLPQAPTREILAVTPKRHKNAKDFSYVIIIRGSGEAENYEPQTGDLIALTDIRPTCSDHLNRLRDSYLIAYVRPGRDNRLFIRSSKPISRGGGRKLFAVFLINMTTNVRIWKALISEKANTNIIKNVLQVQPNSSQGGNSCSICFSKEKCSAAVSNRWPSMGSDLNDSQEATVLNCINLSKCTHQNTIKLIWGPPGTGKTKTVAMSLLALSKLKCRTLTCAPTNVALLEVTARLLGLINQSLDYGKYGLGDIILFGNGERMKIDNYDDLVEVFLDYRIEILAQCFNPGTGWKHWLESMIGLLEDPQQKYSTRDDENDFQTFEEFVKEKLNSVGEHVEFCMVNLYTHLPTSCISLEVVTDMIGALDLLNSLKSLLREVGFANERSQLVLKDFLRKLRWLRKFCVPNLKNLEKIRKFCLANASLIFCTVSSSAKLQTEEKAPLDLLVIEEAAQLKECESAIPLQLPGLRHAVLIGDERQLPAVVISKISEKAGFGRSLFGRLLLLGHERHLLNVQYRMHPSISLFPKREFYNNQILDGPNVKQGSYEKCFLSGKMYGCYSFIDVANGQEEFDRGHSRKNMVEVAVVCEIVASLYREFIRTKKKVSVGVISPYKAQVNAIQERVTEYSEVSGTDGFSVSVQSVDGFQGGEDDVIIISTVRCNEEGYVGFISNLQRANVMLTRARHCLWILGNEATLIRSNSIWKKLILDAKKRKCFYNADEEKNLAQAIAVALMELGQVHIPLNSDSLLFKNAKWKVCFTNEFQNSIQKIKDTEIHREVVSLLTKLANGWRQSRKNKRTIGHGTCAQVLQKYKVKGLLNLIWSVDVLQENSDYVQVLKIWDVLPVSDTPELDKRLENMFRSYTTAQMNLCLLRCVDGDAVVPIRMPVDLSSSHEAEADPVQVLSKPLSSLSLKDEPQTSSSEPQSHRKTKNNMPRRQRK >ONI29564 pep chromosome:Prunus_persica_NCBIv2:G1:20015471:20019724:-1 gene:PRUPE_1G201900 transcript:ONI29564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFIPSLVEETHADLLSSMETLPQAPTREILAVTPKRHKNAKDFSYVIIIRGSGEAENYEPQTGDLIALTDIRPTCSDHLNRLRDSYLIAYVRPGRDNRLFIRSSKPISRGGGRKLFAVFLINMTTNVRIWKALISEKANTNIIKNVLQVQPNSSQGGNSCSICFSKEKCSAAVSNRWPSMGSDLNDSQEATVLNCINLSKCTHQNTIKLIWGPPGTGKTKTVAMSLLALSKLKCRTLTCAPTNVALLEVTARLLGLINQSLDYGKYGLGDIILFGNGERMKIDNYDDLVEVFLDYRIEILAQCFNPGTGWKHWLESMIGLLEDPQQKYSTRDDENDFQTFEEFVKEKLNSVGEHVEFCMVNLYTHLPTSCISLEVVTDMIGALDLLNSLKSLLREVGFANERSQLVLKDFLRKLRWLRKFCVPNLKNLEKIRKFCLANASLIFCTVSSSAKLQTEEKAPLDLLVIEEAAQLKECESAIPLQLPGLRHAVLIGDERQLPAVVISKISEKAGFGRSLFGRLLLLGHERHLLNVQYRMHPSISLFPKREFYNNQILDGPNVKQGSYEKCFLSGKMYGCYSFIDVANGQEEFDRGHSRKNMVEVAVVCEIVASLYREFIRTKKKVSVGVISPYKAQVNAIQERVTEYSEVSGTDGFSVSVQSVDGFQGGEDDVIIISTVRCNEEGYVGFISNLQRANVMLTRARHCLWILGNEATLIRSNSIWKKLILDAKKRKCFYNADEEKNLAQAIAVALMELGQVHIPLNSDSLLFKNAKWKVCFTNEFQNSIQKIKDTEIHREVVSLLTKLANGWRQSRKNKRTIGHGTCAQVLQKYKVKGLLNLIWSVDVLQENSDYVQVLKIWDVLPVSDTPELDKRLENMFRSYTTAQMNLCLLRCVDGDAVVPIRMPVDLSSSHEAEADPVQVLSKPLSSLSLKDEPQTSSSEPQSHRKTKNNMPRRQRK >ONI29921 pep chromosome:Prunus_persica_NCBIv2:G1:23662790:23663674:-1 gene:PRUPE_1G222400 transcript:ONI29921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCEGCKSKVAKCLKAVEGIEDVTVDYANQRVVVKGKKANPLKVLERLQKKYSSNAELISPKPKPENKEKKEPQKKEEVKVVVLKMLMHCEGCANDIKKYLEKMKGVLSVEANMESSRVTVRGVVDPPKLIEYIKKQLGKHAEIVKQEQGQKQGNNNNNNNKKDPERENIFQYPPQYSSQHIYPNQTFSDENPFACSIM >ONI33698 pep chromosome:Prunus_persica_NCBIv2:G1:37476944:37481217:-1 gene:PRUPE_1G441400 transcript:ONI33698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRLSNGEEGSEVASVSEERTSQMAFFLSPPPPHHHTDIDVLLNFNISDLKPTATSPLEVKQITAPYGSWKSPIATDDVSAASKLLAGTAVDSLCRLICLESRPNESGRMVVVREPERAGEGPVDITPKEYAVRTVARGSESGGLINLLVLSYREVYKRICIAGCDPAIRESPTEPKWSSKGELFFITDRQNGCWNLYKWDAEFSRPLWNFGMNSYELIQSHEQNILIACSYRNEFWMFTCRQNGRSYLGILDDVQGSFSVLNIPFMDIDDIVLPKILCSLSLCAKAVKYLTALYISKLAYLSMQTLGINCLYVEGASEVTLDDHKSKAVAFKIIWSSSPDYLKYKPYFSLPKIIQFPTEVPGQTAFAYFYTPSNPDYQATQEEKPPLLLQAHGGPTFESHGILNLSIQYWTSRGWAFVAVHYGGSSGYGREYRERLLRKWGIVDVNDCCSCARYLVDSGKVDGKRLCITGDSAGGYTTLAALAFRDTFKAGASLYGIADLTMLRAETQKFESHYIDSLVGSEKDYFERSPINFVDRFSCPIILFQGLEDKDKGLPVALVEYEGEQHGFRKAENIKFTLQQQMVFFARLVGHFKVADDIIPFKIDNFD >ONI34627 pep chromosome:Prunus_persica_NCBIv2:G1:40855804:40857792:-1 gene:PRUPE_1G491200 transcript:ONI34627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHTTTRNLQLHHNPVFDVSLNVRGGSKYIDDDGRPKRTGTVWTSSAHIITAVIGSGVLSLAWAIAQLGWIAGPVVMVLFSFITYYTSTLLAACYRDPVTGKRNYTYSDAVRSNLGELQVKFCASVQNVVLIGVTIGYTIAAAISMVAIKRSNCYHKSGGKNPCHINNNPYMIAFGISEIVLSQIPNFDKLSWLSIVAAVMSFTYSGIGLALGIAEVAVNGKIKGNITGITIGTVNPIQKMWRTFQALGDIAFAYSYSIILIEIQDTIKSPPSEYKTMKKATLFSLIVTSIFYILCGCMGYAAFGDLSPGNLLTDKGFHNPFWLIDIANAAIVIHLVGAYQVFAQPIFALVEKTAAEFFPNSQFITEDIRIPIPGFGAYNFNLFRFVWRTFYVIITTLISMILPFFNDVVGFLGALGYWPLTVYFPVEMYIAQKKVPKWSTKWICLQILSLSVLVIALAAAAGSVTGVVQDLKIYKPFKTSS >ONI36311 pep chromosome:Prunus_persica_NCBIv2:G1:47199273:47202232:-1 gene:PRUPE_1G580500 transcript:ONI36311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGSPTHTLSLSLSLSPHNTNPFTNSIPSSLIYINLPPTPTPTFAQFNAALLNFHSIDMALSELALPISSIIILIFLAYKLYQRLRFKLPPGPRPWPIVGNLYDIKPVRFRCYAEWAEAYGPIISVWTGSTLNVIVSSSELAREVLKEHDQKLADRHRSRSAAKFSKDGQDLIWADYGPHYVKVRKVCTLELFSPKRIEALRPIREDEVTAMVESIFKHCTNPENNGKSLLVKKYLGAVAFNNITRLAFGKRFVNSEDVIDEQGLEFKAIVANGLKLGASLAMAEHIPWLRWMFPLEEEAFAKHGARRDRLTRAIMEEHTQARNKSGGAKQHFVDALLTLQDKYDLSEDTIIGLLWDMITAGMDTTAITVEWTMAELIKNPRVQQKAQEELDRVIGFERVMTETDFSNLPYLQCVAKEGMRLHPPTPLMLPHRANANVQIGGYDIPKGSNVHVNVWAVARDPEVWKNPYEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFSWTPAEGAKPEEIDMSENPGLVTYMRTPLQAVPTPRLPSHLYKRVAADM >ONI31128 pep chromosome:Prunus_persica_NCBIv2:G1:29163151:29170048:1 gene:PRUPE_1G294300 transcript:ONI31128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSEPKSLFFMDKSKDPICCMRLPKKIVAGEHKSAAGGGLCTSSS >ONI33021 pep chromosome:Prunus_persica_NCBIv2:G1:35384081:35388349:1 gene:PRUPE_1G400600 transcript:ONI33021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNAPFSSVFSLFFFFLVLGKFSAMSENTTIPINVSVGVIIDSDTWYGKLGLSCISMALSDFYASHSNYKTRLVLYKRNPTSDVVVTASAALDLIKNVQVQAIIGPETSMQANFVISLGNKAQVPIISYSATSPTLTSIRSSYFFRAAQNDSSQVKAMSAIIQAFGWREVVAIYVDNAFGEGVIPSLSDALQEVDARIAYRSVISPKATDDQIVAELYKLKEMETQVFIVHMFADLGSRLFNKAKQIGMMDEGYAWIMTDGMANSFSYINSSDRENMEGVLGIKTFVPNTKELESFGVRWKSKFQQDNPTVHDVKLDVFGYWAYDAAWALAMAVEKVGAKNFDFQKMNTTSGNFSTDLERFGVSQNGPQLAQALSGTIFKGLSGDFSLLNGQLQSSTFQIVNVIGSGEKLVGYWTPEKGFERKLNLTNTSTYSTSNGSLRSIIWPGDTTSAPKGWQIPTSGKRLKILVPLKQGFSEFVKVTPNPETKTTIVDGYCISVFEAVIKSLPYDVPYDLYPYAKPNGEIAGSYNDLVNEVFLGNYDAAVGDITIRANRSLYVDFTLPYTESGVSMIVPIKDNKSKNAWVFLKPLTWDLWVTSGCFFIFIGFVVWVLEHRINEDFRGPPHHQIGTSFWFSFSTMVFAHRERVVSNLARFVVIIWCFVVLILTQSYTASLTSLLTVQQLQPTVTDVNLLLKYKDNVAYQPGSFVHGILKELGFQDENLKTFNTPEELNQLFQNGSRKNGISAAFDETPYMKLFLATYCSKYTMVDPTFKADGFAFVFPKGSPLARDVSRGILNVNEGNQTKVIEDRWFKKQNCVDPNSLVSSNSLSLESFWGLFLIAGVASTLALLIFAAMFLYEHKDIFKQLDPEASLWKRFLIMLRIYDNKDLKSFTFKKRKLEVNTNFPPSPSVYSDHTEARNVFEEQMGTPSSADLEHAGFSPGASTSRSEMESAIEITERPRTHEIDPGSN >ONI26844 pep chromosome:Prunus_persica_NCBIv2:G1:3493637:3494077:1 gene:PRUPE_1G049800 transcript:ONI26844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRQTVLAYYKDAPQHIKRSVDECFIEMDVDGNDRVSWQEFLAYMEMHEDCKHLSTCSFFNELKKEEKEGLDFMDVVILVYIIYSGKPFCNGHSGSFIKGTYFTCVKCFDGHEHGQCSVPNKTFNVCTVCYVDGKICPWPRMVS >ONI26516 pep chromosome:Prunus_persica_NCBIv2:G1:2154421:2154871:1 gene:PRUPE_1G030100 transcript:ONI26516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHTLPVRGGVLTYLQNYFRCFYARLKDQNSSCLFIFTSLYEIFGFNPFGKYILLTICMSSNAVLLIILHTTITFLSQ >ONI31986 pep chromosome:Prunus_persica_NCBIv2:G1:32187769:32192782:1 gene:PRUPE_1G343100 transcript:ONI31986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKFGTPGSYFVLNTGDKIPAIGLGTWQSGGDLCVQAVKTALSVGYRHIDCAHLYGNEIEVGEALAEAFKGSLKREDVFLTSKLYCTMNSLNKIENYVRVSLKNLGVSYLDLYLMHWPDSSAFGDATDPPSKSGSEYRQFLNRLKKAWKAMEGLVELGLVRAIGVSNFSVPQIKQLLKFAKIVPAVNQVELHPFWRQDELVKFCQLKGIHVSAHTPLGVPTSTPGPSDSSSGGEDEPGTPRISFRRSKSVHGPMLKLSTVAEIADRHRKTPEQVILRWGLQRGTSVLPCSLKPDRIRKNIDIFSWSLSDDEWNRLNQIEPQVCLFGNGPLNNLSESGFVSGSGPLQAVREMEDDMESNA >ONI26065 pep chromosome:Prunus_persica_NCBIv2:G1:232612:236407:-1 gene:PRUPE_1G002300 transcript:ONI26065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDMRWLSSLASRASPALRNLPEQAQRESSSKNKPRKVLNQTPSDNVGAPLKGNSPKPNFEPQNPNLVSISTNPISAVNHKFRIDNKRIDHLYIAQILSRKDWFLLLDHELKAKRIFLNPQFVASVLQNQESPLHSLKFYIWVSSTDSLFAKNQSVRGVLAKTFYRKGPVVLSVELLKDIKNSGFKVSEDLLCILISSWGRLGLAKYCAEVFGQISFLGLSLSTRLYNAVIDALVKSNSLDLAYLKFQQMPADNCNPDRFTYNTLIHGVCKIGIVDEALRLLKQMEGLGYLPNVCTYTILIGGFCNSKRVDEAFRVLEIMKEKNVSPNEATIRSLVHGVFRCMAPSKAFELLLTFFERESVFFKVACDTILCCLSNYNMAKEIALFLKKSGARCYLPDSSTFNIIMVCLIKELANPQNEVQEIFESFIQRGVKPGFSTYLQLIEAMYKAGQGDEGNRIFDQMIKEGLVSNVFSYNMVIDCFCKAKMMDRASKAFGDMQRKGIPPTLVTFNTLLNGYCKVGEVGKAHELLALLLEHGFKPDMFTFSSIIDGLCRLNRIDDAFECFAEMVRWGVTPNAITYNILIRALCFIGDIARSMGLMKRMEADGIKPDAYSFNALIQCLCRMNKVEKAEELFLAMLTLGLNPDNYTYSAFIKALCDSGKLDVAKEIFLSMEAYGCFPDSSICDIILDSLVRNARVEEARSIIKCFHRRK >ONI26067 pep chromosome:Prunus_persica_NCBIv2:G1:234634:236383:-1 gene:PRUPE_1G002300 transcript:ONI26067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDMRWLSSLASRASPALRNLPEQAQRESSSKNKPRKVLNQTPSDNVGAPLKGNSPKPNFEPQNPNLVSISTNPISAVNHKFRIDNKRIDHLYIAQILSRKDWFLLLDHELKAKRIFLNPQFVASVLQNQESPLHSLKFYIWVSSTDSLFAKNQSVRGVLAKTFYRKGPVVLSVELLKDIKNSGFKVSEDLLCILISSWGRLGLAKYCAEVFGQISFLGLSLSTRLYNAVIDALVKSNSLDLAYLKFQQMPADNCNPDRFTYNTLIHGVCKIGIVDEALRLLKQMEGLGYLPNVCTYTILIGGFCNSKRVDEAFRVLEIMKEKNVSPNEATIRSLVHGVFRCMAPSKAFELLLTFFERESVFFKVACDTILCCLSNYNMAKEIALFLKKSGARCYLPDSSTFNIIMVCLIKELANPQNEVQEIFESFIQRGVKPGFSTYLQLIEAMYKAGQGDEGNRIFDQMIKEGLVSNVFSYNMVIDCFCKAKMMDRASKAFGDMQRKGGGSLQMPSHTIY >ONI26066 pep chromosome:Prunus_persica_NCBIv2:G1:232612:236482:-1 gene:PRUPE_1G002300 transcript:ONI26066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLSSLASRASPALRNLPEQAQRESSSKNKPRKVLNQTPSDNVGAPLKGNSPKPNFEPQNPNLVSISTNPISAVNHKFRIDNKRIDHLYIAQILSRKDWFLLLDHELKAKRIFLNPQFVASVLQNQESPLHSLKFYIWVSSTDSLFAKNQSVRGVLAKTFYRKGPVVLSVELLKDIKNSGFKVSEDLLCILISSWGRLGLAKYCAEVFGQISFLGLSLSTRLYNAVIDALVKSNSLDLAYLKFQQMPADNCNPDRFTYNTLIHGVCKIGIVDEALRLLKQMEGLGYLPNVCTYTILIGGFCNSKRVDEAFRVLEIMKEKNVSPNEATIRSLVHGVFRCMAPSKAFELLLTFFERESVFFKVACDTILCCLSNYNMAKEIALFLKKSGARCYLPDSSTFNIIMVCLIKELANPQNEVQEIFESFIQRGVKPGFSTYLQLIEAMYKAGQGDEGNRIFDQMIKEGLVSNVFSYNMVIDCFCKAKMMDRASKAFGDMQRKDIARSMGLMKRMEADGIKPDAYSFNALIQCLCRMNKVEKAEELFLAMLTLGLNPDNYTYSAFIKALCDSGKLDVAKEIFLSMEAYGCFPDSSICDIILDSLVRNARVEEARSIIKCFHRRK >ONI34428 pep chromosome:Prunus_persica_NCBIv2:G1:40225928:40230312:1 gene:PRUPE_1G482100 transcript:ONI34428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQVVAAQPSASFEYELLDGDSDHLRTVVGSTDQKSPWIEPSKLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAIKMLHPIKEDNMRIVLDKLEDMFRKCQGVRGVCWLHGTSIISGKICIIMKLYEGSVGDKMAQIRGGKLALSDVLRYGIGLAAGVFELHSKGILVLNLKPSNVLLNENDQAILGDFGIPYLLLGIPLISSDMTRRIGTPNYMAPEQWQPEVRGPISFETDSWGFGCSIVELLTGVRPWGGKSVDEISDSVVRRQEKPHIPSGLPPAIENLLLGCFEYDLRSRPLITDILNVFKSLQNAISSGGDWTGLGTRTITEQSNSTGYTEWFLSKDHLQVGDTVRSRKPANSCKPENMYVPEGTVVGVEGDTDHHGFVLVRIHGIHDPLRVHVSTLERVTFGLAAGDWVRLKKEDKKHSPVGILHSINRDGNVAVGFIGLETLWKGNSSEFQMAESYCVGQFVRLKANVLSPRFEWPRKRGGIWATGRISWILPNGCLIVKFPGMLTLGDENSTFVADPAEVALVTFNTCPGIVKKYQHLEDFHWAVRPLLVALGLFTAMKLGIFVGSKMGRSKVRKQQTGAAQNENQHTDGQNSGNPAWRPPNVANILFREGTSTGLAR >ONI34429 pep chromosome:Prunus_persica_NCBIv2:G1:40226362:40230312:1 gene:PRUPE_1G482100 transcript:ONI34429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQVVAAQPSASFEYELLDGDSDHLRTVVGSTDQKSPWIEPSKLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAIKMLHPIKEDNMRIVLDKLEDMFRKCQGVRGVCWLHGTSIISGKICIIMKLYEGSVGDKMAQIRGGKLALSDVLRYGIGLAAGVFELHSKGILVLNLKPSNVLLNENDQAILGDFGIPYLLLGIPLISSDMTRRIGTPNYMAPEQWQPEVRGPISFETDSWGFGCSIVELLTGVRPWGGKSVDEISDSVVRRQEKPHIPSGLPPAIENLLLGCFEYDLRSRPLITDILNVFKSLQNAISSGGDWTGLGTRTITEQSNSTGYTEWFLSKDHLQVGDTVRSRKPANSCKPENMYVPEGTVVGVEGDTDHHGFVLVRIHGIHDPLRVHVSTLERVTFGLAAGDWVRLKKEDKKHSPVGILHSINRDGNVAVGFIGLETLWKGNSSEFQMAESYCVGQFVRLKANVLSPRFEWPRKRGGIWATGRISWILPNGCLIVKFPGMLTLGDENSTFVADPAEVALVTFNTCPGIVKKYQHLEDFHWAVRPLLVALGLFTAMKLGIFVGSKMGRSKVRKQQTGAAQNENQHTDGQNSGNPAWRPPNVANILFREGTSTGLAR >ONI27508 pep chromosome:Prunus_persica_NCBIv2:G1:6830841:6833070:-1 gene:PRUPE_1G090900 transcript:ONI27508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYKRKGGENVENLSLVASKMLSSPPNLLLPPPSITVPDVTESSSFTPIMTVHTELSTNLPMGFKLNGSNYEIWALMIELHDTTQGKLGYLTGDTDAPDSQDPQFGKWKIVDAIVKSSMLRTVKSNLLNMFHTLPTAKEIWDAVNQMFYDVSNISQLYELQCQSDKVPSIENVFFMVRREAQRQITKLGSGTKIGEHVVVFASKNTALVSRPKGKDKLKCDHYGEKKHTIDTCWALHGAPDWEKEHKRLKKEQLDNKAHVAVAATSMADITTGHDHLTATHPPTLTEVSSTPTPPPPNNFGKGAFHAHDTCDTN >ONI33572 pep chromosome:Prunus_persica_NCBIv2:G1:37068979:37071979:1 gene:PRUPE_1G433300 transcript:ONI33572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGHHVRLCFWLAIAIISTTLAQPNNYIIHMDSTMMPKAFADHHSWYLATVNSALSKFRPNTTTTTSSSALSSKLIYSYTHVINGFSASLSLSELEALKTSPGYISSVKDLPVKPDTTHSSQFLGLSSKSGAWPVADYGKDVIIGLVDTGVWPESESFSDDGMSEIPPRWKGECESGTQFNSSLCNKKLIGARFFNKGLIAQNPNLTFPVNSTRDTDGHGTHTSSTAAGNYVPGASYFGYAPGTASGMAPKAHVAMYKALWEEGNLSSDIIAAIEQAIIDGVDVLSLSFGLDGVALYEDPVAIATFSALEKGVFVSTSAGNEGPFFGTLHNGIPWVLTVAAAIIDRDFEGTAHFGNGGSVTGSTLFPGNSSSTQFPIVFLDACDSLKKLKQVGKKIVVCQDRNDSLGKQVYNVNNATVAGGLFITDNTDLELFLQSPFPTIFLSPKEGEAIKDYINSNSQPTASLEFQKTLLGTKPAPVTTSYTSRGPSPSFPFTLKPDILAPGSLILAAWPQNIAVAVVNNKDLFSNFNLLSGTSMACPHAAGLAALLKAAYPKWSPAAIRSAMMTTSDTMDNTLSPIKDIGDGYQPASPLAMGAGHVNPNKALDPGLIYDAEIEDYINLLCALNYTNKQIQTITKSASNNCSTPSLDLNYPSFIAFFNANDSKPNVQTTQEFRRTVTYIGKGQSTYVASVTPLKGFEVAVVPNTLKFKEEGEKLSFVLSIKGPRRTKETLAFGYLTWAESGGEHVVRSPVVATNLSSDTVSLQS >ONI27073 pep chromosome:Prunus_persica_NCBIv2:G1:4744699:4745904:-1 gene:PRUPE_1G066300 transcript:ONI27073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCPTSNFPSDPFPAAAEEIACNQDLITLILIRLPVKSLLRFKCVSKTWLYLISNPQFSTRHRSLASAGIILCQSPGLIGHLSHHCRNSEQPFTSLNFIGDSAGVKVLQSINGLVLCCSYPKRGKRRSFYVCNPSTRQFLMLPPPNADGCDSCTTIFGVNLAFNPPKSPHYQVVCVQNCSSSSTASGNYQIEIYSSETGTWRLSGSPFVATSDMVFENGVLWNGTIHWISPKGATLCFDIDRELLRSMPSPPSHERWDKRRFRYFGESGGHLHLVEIYGPSTTQFQVFEMETDYSRWIPKYDIDMAAIVDELPGMVMEYLELHEYGSRFYAFVLLFVQEIEGGPFLLLHIPGKFISYNIRDRTFKEICGFGPKSTETNTSALQIGCFHAYQFVETLASV >ONI28645 pep chromosome:Prunus_persica_NCBIv2:G1:12062249:12064411:1 gene:PRUPE_1G152900 transcript:ONI28645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERTKVNAMRSGVVVLGALAFGYLTLQLGFRPFLERAQLAVDESEPPSSSSSEQQQLNSSSTRHF >ONI26143 pep chromosome:Prunus_persica_NCBIv2:G1:558639:560976:1 gene:PRUPE_1G006600 transcript:ONI26143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEENNYSAFMPPGNFNNLDYTLDHHHDEDQQMMKSRIDETPSENNNGMVNYLMNNHHQLQQQQQQMSTQLAPAGFCGSTSTSFDKLSFADVMQFADFGPKLGLNQTKISEEEPSGVDPVYFLKFPVLNDKFDNDVMVPQAEERFTGLGEEGKTKSMEEDQDEEARVSGSNSVQQLQFLGEDLENNPRGGVEPEPAAKNKRKRPRTTKTTQEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLLGEAPRHEVGESAGAMAMAVMPAVQAAQGGSGGPLIFPAANLPVNPNDPIKFVDFETGLREETAENKSCFADVEVKVLGFDAMIKILSQRRPGQLIKAIAALEDLQLNILHTNITTIEQTVLYSFNVKVESESRFTAEDIATSVQQIFSFIHANTASM >ONI26145 pep chromosome:Prunus_persica_NCBIv2:G1:558741:560976:1 gene:PRUPE_1G006600 transcript:ONI26145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEENNYSAFMPPGNFNNLDYTLDHHHDEDQQMMKSRIDETPSENNNGMVNYLMNNHHQLQQQQQQMSTQLAPAGFCGSTSTSFDKLSFADVMQFADFGPKLGLNQTKISEEEPSGVDPVYFLKFPVLNDKFDNDVMVPQAEERFTGLGEEGKTKSMEEDQDEEARVSGSNSVQQLQFLGEDLENNPRGGVEPEPAAKNKRKRPRTTKTTQEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLLGEAPRHEVGESAGAMAMAVMPAVQAAQGGSGGPLIFPAANLPVNPNDPIKFVDFETGLREETAENKSCFADVEVKVLGFDAMIKILSQRRPGQLIKAIAALEDLQLNILHTNITTIEQTVLYSFNVKVESESRFTAEDIATSVQQIFSFIHANTASM >ONI26144 pep chromosome:Prunus_persica_NCBIv2:G1:558804:560976:1 gene:PRUPE_1G006600 transcript:ONI26144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEENNYSAFMPPGNFNNLDYTLDHHHDEDQQMMKSRIDETPSENNNGMVNYLMNNHHQLQQQQQQMSTQLAPAGFCGSTSTSFDKLSFADVMQFADFGPKLGLNQTKISEEEPSGVDPVYFLKFPVLNDKFDNDVMVPQAEERFTGLGEEGKTKSMEEDQDEEARVSGSNSVQQLQFLGEDLENNPRGGVEPEPAAKNKRKRPRTTKTTQEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLLGEAPRHEVGESAGAMAMAVMPAVQAAQGGSGGPLIFPAANLPVNPNDPIKFVDFETGLREETAENKSCFADVEVKVLGFDAMIKILSQRRPGQLIKAIAALEDLQLNILHTNITTIEQTVLYSFNVKVESESRFTAEDIATSVQQIFSFIHANTASM >ONI36346 pep chromosome:Prunus_persica_NCBIv2:G1:47267651:47270869:1 gene:PRUPE_1G581700 transcript:ONI36346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADSNIVIMMIGNKTDLKHLRAVATEDAQGYAEKEGLSFIETSALEAINVEKAFQTILAEIYRIISKKSLSSDEPTPASIKEGKTIAVTGGSEVNTKKTCCSSS >ONI28151 pep chromosome:Prunus_persica_NCBIv2:G1:9964168:9966905:1 gene:PRUPE_1G126700 transcript:ONI28151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNSWSSLFSSSSSRRYQSRSGHFSQTTPDLFAHDETDGDDELKAEFLCPFCAEDFDVVGLCCHIDEEHPVEAKNGVCPVCSKRVGANLVSHITTQHGSLLKVQRKRKFRRGSNSTFSLLRKELREGSLQALLGGSSFLVSSNTEADPLLSSFIYNPPTVDEDVSAQPRPSVETSFVKESTKEEFSERTVQQPPLSHKDQEEKGRKCEFVRGLLMSTILDDL >ONI34398 pep chromosome:Prunus_persica_NCBIv2:G1:40122530:40129171:1 gene:PRUPE_1G480300 transcript:ONI34398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEGSENGSVVTQVSFGGFQHHARAKDLVTYLEDEIGLVYRCRLKTSWTPPESFPNFEINTADVKRTDDYSRVEPHAFVHFVSPDSVTFAMDAAGRCELFFNNEPLKVSLGPENPYFLNRRRRTKTPFKLSDVHVEIGSLVRQDEFFASWRGPPYGVDFIVDPFDGTCKFCFTMDTAFSFKGTKKHAVIKCDFKVEFLVREIAEIKQYTDTSYLVILLRLTSSPWVSYRTADDDIDQSVPFDLLDDDDPWIRTTDFTPSGAIGRCNSYRVLIPPRHGAKLKKAMNYLRERRVKEVCIKWPPKIQDEPDFGMSNTEPFFSIQYEEGISFEIMFLVNAVMHKGTVNQHQLSDSFFHLLRSQPKEINVAALKHLCSYRSPVFDACERLKVVQDWLLKNPKLVKDPKRLDDIAEVRRLVITPTKAYCLPPEVELSNRVLRKYKEVADRFLRVTFMDEGMQKINSNVLNYYVAPIVKEITSNSFLQKTNVFKRFRTILNDGFYLCGRKYTFLAFSSNQLRDRSAWFFAECVNISVGKITSWMGKFNNKNVAKCAARMGQCFSSTYATVEVTSSEVNDIPDIKRNGYVFSDGIGMITPDLALEVAEKLKLDRNPPCAYQIRFAGCKGVVACWPSKGDGFRLSLRTSMNKFESKHTTLEICSWTRYQPGFLNRQIITLLSTLNVEDEIFWRMQEKMVLKLNQMLVDTDVAFDVLTASCAEQGNAAAIMLSAGFKPQTEPHLRGMLTCIQAAQLWGLREKARIFVHSGRWLMGVLDELGVLEQGQCFVQVSTPLLESCFAKHGSSFAQIERNLQVIKGHVVIAKNPCLHPGDIRILEAVDAPGLHHLYDCLVFPQKGDRPHTDEASGSDLDGDLYFVTWDENLIPPSKKSWMPMQYDPAEAKLQGRPVTQQDIIDFFVKNMTNENLGPICNAHVVHADRSDYGALDVNCLKLAELAALAVDFPKTGKIVSLPQHLKPRLYPDFLGKEDNQSYKSTKILGRLYRKVRDAYDEDAATSSELHYFPSDIPYDMDLEVPGAADFVFDAWEKKCSYDGQLKGLMGQYKVKREEEIVTGHVWSIPKSNSKKQGELKERLSHSYNALKKEFRQMFENLDSNLEALTDDEKNLLCEKKASAWYQVTYHPKWVKQSPPLQEPDGPGDVVVMLSFAWIAADYLARIKIKCHGVEHIDSTKPINSLKRYLADRI >ONI34399 pep chromosome:Prunus_persica_NCBIv2:G1:40123438:40129110:1 gene:PRUPE_1G480300 transcript:ONI34399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEGSENGSVVTQVSFGGFQHHARAKDLVTYLEDEIGLVYRCRLKTSWTPPESFPNFEINTADVKRTDDYSRVEPHAFVHFVSPDSVTFAMDAAGRCELFFNNEPLKVSLGPENPYFLNRRRRTKTPFKLSDVHVEIGSLVRQDEFFASWRGPPYGVDFIVDPFDGTCKFCFTMDTAFSFKGTKKHAVIKCDFKVEFLVREIAEIKQYTDTSYLVILLRLTSSPWVSYRTADDDIDQSVPFDLLDDDDPWIRTTDFTPSGAIGRCNSYRVLIPPRHGAKLKKAMNYLRERRVKEVCIKWPPKIQDEPDFGMSNTEPFFSIQYEEGISFEIMFLVNAVMHKGTVNQHQLSDSFFHLLRSQPKEINVAALKHLCSYRSPVFDACERLKVVQDWLLKNPKLVKDPKRLDDIAEVRRLVITPTKAYCLPPEVELSNRVLRKYKEVADRFLRVTFMDEGMQKINSNVLNYYVAPIVKEITSNSFLQKTNVFKRFRTILNDGFYLCGRKYTFLAFSSNQLRDRSAWFFAECVNISVGKITSWMGKFNNKNVAKCAARMGQCFSSTYATVEVTSSEVNDIPDIKRNGYVFSDGIGMITPDLALEVAEKLKLDRNPPCAYQIRFAGCKGVVACWPSKGDGFRLSLRTSMNKFESKHTTLEICSWTRYQPGFLNRQIITLLSTLNVEDEIFWRMQEKMVLKLNQMLVDTDVAFDVLTASCAEQGNAAAIMLSAGFKPQTEPHLRGMLTCIQAAQLWGLREKARIFVHSGRWLMGVLDELGVLEQGQCFVQVSTPLLESCFAKHGSSFAQIERNLQVIKGHVVIAKNPCLHPGDIRILEAVDAPGLHHLYDCLVFPQKGDRPHTDEASGSDLDGDLYFVTWDENLIPPSKKSWMPMQYDPAEAKLQGRPVTQQDIIDFFVKNMTNENLGPICNAHVVHADRSDYGALDVNCLKLAELAALAVDFPKTGKIVSLPQHLKPRLYPDFLGKEDNQSYKSTKILGRLYRKVRDAYDEDAATSSELHYFPSDIPYDMDLEVPGAADFVFDAWEKKCSYDGQLKGLMGQYKVKREEEIVTGHVWSIPKSNSKKQGELKERLSHSYNALKKEFRQMFENLDSNLEALTDDEKNLLCEKKASAWYQVTYHPKWVKQSPPLQEPDGPGDVVVMLSFAWIAADYLARIKIKCHGVEHIDSTKPINSLKRYLADRI >ONI31267 pep chromosome:Prunus_persica_NCBIv2:G1:29708231:29715159:-1 gene:PRUPE_1G302700 transcript:ONI31267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTTDDIAEEISFQSFQDDCRMLGSLLQEVLQREVRSEIMEKVERTRILAQSACNMRIAGIVDIADVLEKQLASEMSKMGLEEALVLARTFSHYLNLMGIAETHHRVRKQRNDSNEASLAKSCDDIFNQLVHGGFSPDDLYNNVCNQKVEIVLTAHPTQINRRTLQYKHIRIAHLLDYKGRPEITNEDKEMLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNIVEQSLWTAVPHYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTKDVSLLSRWMAIDLYIREVDHLKFELSMNRCSDRLSRLADEILEQETSSEDRQESWNQCFGRHQLNLKQQGGTLPTQLPARADQPSCTECIPRIELPRTDYMLPNHQGGQAQDSPISDPSSQNPLHNGHMIKNGSPGSASRSSSQLLTQRKMFAESQVGRSSFRKLLEPMPPQRPGVPPYRVVLGNVKDKLMKTQRRLELLLENLPCEYNTWDCYDTTDQLLEPLLLCYESLQSCGSGVLADGRLADLIRRVATFGMVLMKLDLRQESGRHAETFDAITKYLEMGTYSEWEEEKKLEFLARELKGKRPLVPPSMEVSPDIREVLDTFRVAAELGSESLGAYVISMASRASDVLAVELLQKDARLSVSGEIGRPCPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYRKHIIKSHNGLQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGSLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATMRPPLSPREEKWRNLMEEISKISCQNYQSVVYENPEFLAYFHEATPQAELGFLNIGSRPTRRKSSTGIGHLRAIPWVFAWTQTRFVLPSWLGVGAGLKGVCEKGHTEDLKAMYKEWPFFQCTLDLIEMVLGKADTPIAKRYDEALVSESRQHIGSELRKELLTTEKYVLVVSGHEKLSGNNRSLRKLIESRLPFLNPLNMLQVEVLKRLRSDDDNNKLRDALLITINGIAAGMRNTG >ONI31266 pep chromosome:Prunus_persica_NCBIv2:G1:29707931:29715477:-1 gene:PRUPE_1G302700 transcript:ONI31266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTTDDIAEEISFQSFQDDCRMLGSLLQEVLQREVRSEIMEKVERTRILAQSACNMRIAGIVDIADVLEKQLASEMSKMGLEEALVLARTFSHYLNLMGIAETHHRVRKQRNDSNEASLAKSCDDIFNQLVHGGFSPDDLYNNKVEIVLTAHPTQINRRTLQYKHIRIAHLLDYKGRPEITNEDKEMLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNIVEQSLWTAVPHYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTKDVSLLSRWMAIDLYIREVDHLKFELSMNRCSDRLSRLADEILEQETSSEDRQESWNQCFGRHQLNLKQQGGTLPTQLPARADQPSCTECIPRIELPRTDYMLPNHQGGQAQDSPISDPSSQNPLHNGHMIKNGSPGSASRSSSQLLTQRKMFAESQVGRSSFRKLLEPMPPQRPGVPPYRVVLGNVKDKLMKTQRRLELLLENLPCEYNTWDCYDTTDQLLEPLLLCYESLQSCGSGVLADGRLADLIRRVATFGMVLMKLDLRQESGRHAETFDAITKYLEMGTYSEWEEEKKLEFLARELKGKRPLVPPSMEVSPDIREVLDTFRVAAELGSESLGAYVISMASRASDVLAVELLQKDARLSVSGEIGRPCPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYRKHIIKSHNGLQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGSLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATMRPPLSPREEKWRNLMEEISKISCQNYQSVVYENPEFLAYFHEATPQAELGFLNIGSRPTRRKSSTGIGHLRAIPWVFAWTQTRFVLPSWLGVGAGLKGVCEKGHTEDLKAMYKEWPFFQCTLDLIEMVLGKADTPIAKRYDEALVSESRQHIGSELRKELLTTEKYVLVVSGHEKLSGNNRSLRKLIESRLPFLNPLNMLQVEVLKRLRSDDDNNKLRDALLITINGIAAGMRNTG >ONI30801 pep chromosome:Prunus_persica_NCBIv2:G1:27985870:27989471:1 gene:PRUPE_1G273600 transcript:ONI30801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYALFTSLAQSSFSSFSDSPKLSSSKYQSHGLFLNAHKSFNAKFSPRQSTQLTRLRNVRRSPYICFFNGGGKPKGDLQEKETGEQWQILKRWEVPWEWQTVSLTSFACGLSFVLTGLVETAAIPYLGLNIQDLSIDEKAEILFLDQSITTAAVLAVLYTVASTFQPLPQDVYRYDLKDPFSLQKGWLLWAGIGLAGAIVAIAVTGAVASLFRGESPEREKDALVSLLPLIGSSSVRVPTPVAIGISAAVFALAHLTPGEFPQLFVLGSALGLSYAQTRNLLTPITIHALWNSGVILLLTFLQLQGYDIKELLQTT >ONI30800 pep chromosome:Prunus_persica_NCBIv2:G1:27985870:27989471:1 gene:PRUPE_1G273600 transcript:ONI30800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYALFTSLAQSSFSSFSDSPKLSSSKYQSHGLFLNAHKSFNAKFSPRQSTQLTRLRNVRRSPYICFFNGGGKPKGDLQEKETGEQWQILKRWEVPWEWQTVSLTSFACGLSFVLTGLVETAAIPYLGLNIQDLSIDEKAEILFLDQSITTAAVLAVLYTVASTFQPLPQDVYRYDLKDPFSLQKGWLLWAGIGLAGAIVAIAVTGAVASLFRGESPEREKDALVSLLPLIGSSSVSTACLLGITGVLAPILEETVFRGFFMVSMTKWVPTPVAIGISAAVFALAHLTPGEFPQLFVLGSALGLSYAQTRNLLTPITIHALWNSGVILLLTFLQLQGYDIKELLQTT >ONI26206 pep chromosome:Prunus_persica_NCBIv2:G1:755337:757434:1 gene:PRUPE_1G009500 transcript:ONI26206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMALLRSSRGNMACVFGTRRWNHVAAMPPPFDGVMEPKILSPQLVLPGSDRDPAQNNNIGFGFPSFLFGGSMELMAVPKRKTSPHKRGIRNGPKALKPVPVIIRCRACGRVKLPHFFCCSGDRGNGHERDGSTS >ONI27143 pep chromosome:Prunus_persica_NCBIv2:G1:4978236:4981724:1 gene:PRUPE_1G070200 transcript:ONI27143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVNINLMMREWIWVPRKLILKSQRGSKALFSRWLVDSGECFFLLFWLFLCFFFLVGFSVLFLDGSYPSLDWRASMSIFLEVLKCLLCCEDEHENYHGSYNQIPRQTSFHHNDYEYRTPRRNYSPPLTSTNQSPALYNYKPPSPPPQAPKSPFSSSSSSPKPPTSSSKPQQSSSPSPNFPTSLSKTQPSSSFYPYSTFPEPPSSSSKPPQSTFVNPSSSKPLQSSVNASSFTHEFLTIVFKSQESSSVDQPSALPKPPTSSSKLPQSTLISPSSPSLQFQTPSSRPSPPKLSTASSKPPQASSKSHSSSPKPPTSSKPSPSSGSLVSSTKLPPIFKQVLSPACPSVINQKVKKNYVWVEKDPLPIFLIPEDIKDLIKNDIVPNVLNQPLSPTTYKDYFAALLYAEEFYHEKWADFNMKNVTLKLHEAAVYKYLEKEEKTFIEFEIDSVPENRPFLLSRDIVYVRPSGTNVDQFQGIIYRIIRSSLVLVEFEDEFYAHHDSTQKYDVSFSFNRVCLKRAHQAVQTASDALFKNFLFPDCVSRTSIPTAPALLSTHPDKLSAVRRILSIRGSPPYLVAGSTTRGVVTEAVRQLCQTSPENKFLICAPSNRCCDGIMRSLLKVIPETDIFRANAAFRDKDEVPDDILPSCRYKETYFSCPPTEELREFRVIFSTYVSSFRLHDKGLTAGHFSHIFLVDASSAIEPETAVALTNFAEKSTTVIVTGQPGDHSRWVRADMARERGLKISYFERLFKSRPYRSLNPMLITHLDQ >ONI28402 pep chromosome:Prunus_persica_NCBIv2:G1:11049143:11055076:1 gene:PRUPE_1G140600 transcript:ONI28402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFPCFGSSNKEGSGVKEVTKKDSVKEGSAAQSHHVTRVSSDKKSRNGSDHKKEPAIPKDGPTAHIAAQTFTFRELAAATKNFRAECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDFPSDNEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRGHGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNSASGHSNRVGPSTPRHKDERRNMADGLDSPDEPGRGGRHGSPSTHKNSPDYRRRESNRDLNTGVELGRIETGTGSGRRWGLDGLERQESQRDSPVSAGRARETPRNRDLDRERAVAEAKVWGENWREKKRANAMGSFDGTNE >ONI29095 pep chromosome:Prunus_persica_NCBIv2:G1:15823481:15827151:1 gene:PRUPE_1G181100 transcript:ONI29095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIISIATTRYSKLLFSKPNNRHIKTIVPSIIRNIMSESDHQSPAFTSPKMVVKKILAKSQSEGDGATVRRAIGSRDLRNLDPFLMLDDFSVSPPAGFPDHPHRGFETVTYMLQGGITHQDFAGHKGTIWAGDVQWMTAGRGIVHSEMPAGEGPNTGLQLWINLSRKDKMIEPRYQELLCKDIRRAEKDGVEVRVIAGETMGVSSPVFTRTPALFFDFTLKPKAQLHQSIPETWTSFVYIIEGEGVFGSTHASAHHVLVLGPGDGLSVCNKTSEPLRFVLVGGQPINEPVVQHGPFVMNSQDEINQTIQDYHYGQNGFEMAKSWRSQ >ONI32580 pep chromosome:Prunus_persica_NCBIv2:G1:33986539:33990890:1 gene:PRUPE_1G374100 transcript:ONI32580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPANAWMGMQKKKWSLMVLALFSLSTAMVFFMRTAFDSCNANTSSSFEEGRDRASELVHSAGRAGSGGPSPLDFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGAEVVWITNQKPEEADEVIYSLENKMLDRGVQVFPAKGQKAIDTALKADLVVLNTAVAGKWLDAVLKENVPRVLPKVLWWIHEMRGHYFKVEYVKHLPFVAGAMIDSHTTAEYWKNRTQERLGIKMPDTYVVHLGNSKELMEVAEDSVSRRVLREHVRESLGVRNEDLLFAIINSVSRGKGQDLFLRSFHESLQIIKEKKLQVPSMHAVVVGSDMSKQTKFETELRNFVIEKKIQDRVHFVNKTLTVAPYLAAIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTMEIVVNGTTGLLHPVGKEGTTSLKNNIVKLATHVERRLTMGKKGYERVKERFLEPHMAQRIALVLREVLQKAKSRTNS >ONI33657 pep chromosome:Prunus_persica_NCBIv2:G1:37316024:37319962:-1 gene:PRUPE_1G438900 transcript:ONI33657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPTTTRELIHTPPQDYIHICLVNTNKGVPFISLIELRPLLSTSYAETNLSLALVSRKDTGLLVNDTTYNFRYPTDIHDRVWDTESVYDEKELQLSTPALVNKSYFHADFQIPSAIMSTAVATKNASDPLYVYLDAFDNNPEYHVYLHFAEIQKLPSHQQPRNLYIVLNGRPQEPSFVLPYLSTYTLHTTWPTTIFSSMSILKTDNSTLPPILNAYETYEAKKFLQLETNQGDIDAIENIKLRYKISKNWQGDPCSPQAYKWEGLNCSYHESRPPRIISLDLSSSGLRGQISPFIANLSMIQALDLSNNNLTGPIPDFLSYMPNLTVLNLGQNKLRGQVPAGLIDRNKTDGLSLSLCENPNLSKFGHVSCKSRKKHNVVIPVVVSSVGTIILLLTVAAIWWRCESEEIDEPIEPRGRKFTKSEIDKITSNSTLIGRGGFGEVYHGTLENDTQVAVKILDLSSSQGSEEFQNEVKLLMRVHHRNLVSLIGYCDEGDTMALIYEYIANGNLQQHISAADTPYKGLTWKQRLQVAVDAARGLEYLHDGCKPPILHRDLKPSNILLTETLQAKIADFGISKALATDSATHALTDLRGTYGYLDPEYCTTGQLTRKSDSYSFGIVLLELITGRPAIITELETVHVNVSDWVRAKFERMEIESIVDSRVQGTYEYSSAQKAIETALACVSKTPTERPEISHVYDRLKECFEIEKVSEELEIGSGDEGQLITQIRDCLEMNSQVQSKRLTV >ONI27129 pep chromosome:Prunus_persica_NCBIv2:G1:4935374:4940393:1 gene:PRUPE_1G069400 transcript:ONI27129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQTCGKPIDSLLEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHEDSPYIRAVGFLYLRYAADPKTLWNWVEPYIKDEEEFSPGSNGRTTTMGVYVRDLLLGQYYFDTLFPRIPVPVLRQIVAHLEKMKLPTKLSGITGEATRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPPPPYDKASSDDLRTHRSQSREYSDREYLDRDRDRDRGRDRDRNRERERERDSDRDRERYKERERDRDRDRARERVRDKEREREKDRERSSDYDRRSKYTERDSRRDQYESSRDGGRHYRRSRSRSRSRSRSRSRSLQAGAALRSSPQRDVNKDRTSASSNLAKLRDLYGDISEQKGDASMDRISRIDNGGEEVIRLGGSRWK >ONI29984 pep chromosome:Prunus_persica_NCBIv2:G1:23880676:23882092:1 gene:PRUPE_1G225200 transcript:ONI29984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSSLSERPGFLAVVLLILIGVGLFISSFSRVGTSMLCSLAGPTFLLAVDENYSPTGIQLQAILHYATSRQTPQQSRGEIGVSFEVLKARAPCNFLVFGLGFDSQMWASFNPRGTTLFLEEDPKWVQTVLKDAPHLRAQTVRYRTHLKEADDLLSSYRSEPSCSPSEAVLRGNAQCRLALNNLPDEVYEREWDLIMIDAPRGYFPEAPGRMAAIFSAAVMARRRQGSGVTHVFLHDVNRRVEKVYAEEFLCRKYLVKAVGRLWHFEIPSAANATEVDGARFC >ONI32111 pep chromosome:Prunus_persica_NCBIv2:G1:32549108:32551274:1 gene:PRUPE_1G348900 transcript:ONI32111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVFSYTACRQLSQMFAAIIFFHGSEYILAVGIHGKSNVTLKSLLISKNYLVAMIFSLLEYLIEYILFPGMKEHWWVSNWGLGMLIIGEIIRKMAIITAGRSFTHLIRVHHSEHHQLITNGIYRVVRHPGYCGFFIWSVGTQIMLCNPISTIAFALVVWRFFAQRIPYEEYFLRQFFGSQYEEYARRVPAGVPFIK >ONI32110 pep chromosome:Prunus_persica_NCBIv2:G1:32548628:32551337:1 gene:PRUPE_1G348900 transcript:ONI32110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLKHVWGDVERQFCASISHSGLTFPGLLTVHQSQRLIRSIVTSMAVVFSYTACRQLSQMFAAIIFFHGSEYILAVGIHGKSNVTLKSLLISKNYLVAMIFSLLEYLIEYILFPGMKEHWWVSNWGLGMLIIGEIIRKMAIITAGRSFTHLIRVHHSEHHQLITNGIYRVVRHPGYCGFFIWSVGTQIMLCNPISTIAFALVVWRFFAQRIPYEEYFLRQFFGSQYEEYARRVPAGVPFIK >ONI26888 pep chromosome:Prunus_persica_NCBIv2:G1:3706809:3709299:1 gene:PRUPE_1G053200 transcript:ONI26888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNEVANNPIHVVCIPVPAQSHTKAMLKLAKLLHHRGLHITFVSTEFNHKRFLKSLGPNSLDGLPSFRFETIPDGLSSSDEDTTQDQLLLGESIINNLLAPFRDLLRKLNHTATPDNPPVTHILSDGLMTFAITAAEEIGIPIVLSFSISASSFMGYKQYPTLLEKGLAPLKDESCLTNGFLDKVIDWIPGMNGIRLRDLPHNFMTTNPNDIFWNYCLEVMRRVDKASAVVLHTFDALEQDVLDALSSILPLVYAIGPLQLLLNQIPENPLNAMGCSLWKEETQSLKWLDSKAPNSVVYVSFGSSAVITPEQLVEFGWGLANSKLPFFWVIRPDLVVGESAILPPEFVDETKERGLIASWCPQEQVLKHSSVGGFLTHCGWSSTIESLCAGVPMLCWPCSTDQPTNCYYACNEWDIGMEIGKDVKREQVEKLVIELMEGEKGKQMKNKVMQWKKLAEEATSPHGSSSLNLDNFVNQVLLRKN >ONI29591 pep chromosome:Prunus_persica_NCBIv2:G1:20259348:20263343:-1 gene:PRUPE_1G203600 transcript:ONI29591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCMNCCGLECIEIKISSLFCTLRLVEMHELLEILTGQVVVGARYMLPIFFRLRASHKLRRTHFLLLLLGRPA >ONI29592 pep chromosome:Prunus_persica_NCBIv2:G1:20259486:20263343:-1 gene:PRUPE_1G203600 transcript:ONI29592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCMNCCGLECIEIKISSLFCTLRLVEMHELLEILTGQVVVGARYMLPIFFRLRASHKLRRTHFLLLLLGRPA >ONI29593 pep chromosome:Prunus_persica_NCBIv2:G1:20259486:20259707:-1 gene:PRUPE_1G203600 transcript:ONI29593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCMNCCGLECIEIKISSLFCTLRLVEMHELLEILTGQVVVGARYMLPIFFRLRASHKLRRTHFLLLLLGRPA >ONI35870 pep chromosome:Prunus_persica_NCBIv2:G1:45584338:45588313:1 gene:PRUPE_1G558500 transcript:ONI35870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTILSLNHSRTRGNEPYEVLQSAPCKRPRLSSSFYEKNPRLIPCLPDEISIQILSRIPRIHYLKLKSVSRTWKATILSAELFSLRKEMGTTEEWLYILTKVANDKLIWYALDPLAGRWQRLPPMPNVSREDESRKGLAALRMWNMAGSSIRITDVIMGWLGRKDAMDQMPFCGCSVGAVDGCLYVLGGFSKASALRCAWRYNPVTNSWSESSPMSIGRAYCKTGILDDKLYAVGGVTRGHGGLTPLQSAEVFDPKTGVWSQIPSMPFTKAQVLPTAFLADLLKPIATGLTSYRGRLFVPQSLYCWPFFVDVGGEVYDPEANSWVEMPIGLGEGWPARQAGTKMSATVDGELYALDPSSSPDNAKIKVYDYLADDWKVVAEDVPVRDFTESESPYLLAGFLGKLHVITKDANRNIAVLQVYVQNNVASISAASSSSLVDRIEHAERPTESELDLWKVIATRSAPAAELVSCLVLDI >ONI35871 pep chromosome:Prunus_persica_NCBIv2:G1:45584338:45588313:1 gene:PRUPE_1G558500 transcript:ONI35871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTILSLNHSRTRGNEPYEVLQSAPCKRPRLSSSFYEKNPRLIPCLPDEISIQILSRIPRIHYLKLKSVSRTWKATILSAELFSLRKEMGTTEEWLYILTKVANDKLIWYALDPLAGRWQRLPPMPNVSREDESRKGLAALRMWNMAGSSIRITDVIMGWLGRKDAMDQMPFCGCSVGAVDGCLYVLGGFSKASALRCAWRYNPVTNSWSESSPMSIGRAYCKTGILDDKLYAVGGVTRGHGGLTPLQSAEVFDPKTGVWSQIPSMPFTKAQVLPTAFLADLLKPIATGLTSYRGRLFVPQSLYCWPFFVDVGGEVYDPEANSWVEMPIGLGEGWPARQAGTKMSATVDGELYALDPSSSPDNAKIKVYDYLADDWKVVAEDVPVRDFTESESPYLLAGFLGKLHVITKDANRNIAVLQVYVQNNVASISAASSSSLVDRIEHAERPTESELDLWKVIATRSAPAAELVSCLVLDI >ONI36437 pep chromosome:Prunus_persica_NCBIv2:G1:47497437:47506663:-1 gene:PRUPE_1G585200 transcript:ONI36437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSASNHPQGSSRIGTSGDALYKELWHACAGPLVSLPREGERVYYFPQGHMEQLEASMHQGSEQQMPSFNLPSKILCKVVNVQLRAEPETDEVYAQVTLLPEADQSEVTSPDPPLPETPRCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVAADLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQPSNMPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFLVSVNKYLEAHSHKLSVGMRFKMRFEGEEVPERRFSGTIVGVCDNTSPGWANSEWRSLKVQWDEPSSILRPDRVSPWELEPLVATTPLNSQPALRNKRARPPVLPSPSPDLSALGEWKSQVESPSAFSYSDPQRGRDIYPSPKYNSASKVNSLCFTGNNSLAAVSGNSMFWSNRVDGVTESFSPVTNKDCGERRQGTGNGCRLFGIQLLENSNVEESSPVVMVSGKLGDIRPISSFDAESDQHSEPSNVNRSDLPSGSCDAEKSCLRSPQESQSRQIRSCTKVHMQGIAVGRAVDLTRFERYKDLLKKLEEMFDIEGELCGSTKKWQVVYTDDEDDMMMVGDDPWHEFCSMVRKIFIYTIEEVKRLSPKIKLTLNEEVKPGKPDSEAAVNTEDQSSIVGPAY >ONI36436 pep chromosome:Prunus_persica_NCBIv2:G1:47498125:47505366:-1 gene:PRUPE_1G585200 transcript:ONI36436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSASNHPQGSSRIGTSGDALYKELWHACAGPLVSLPREGERVYYFPQGHMEQLEASMHQGSEQQMPSFNLPSKILCKVVNVQLRAEPETDEVYAQVTLLPEADQSEVTSPDPPLPETPRCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVAADLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQPSNMPSSVISSHSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFLVSVNKYLEAHSHKLSVGMRFKMRFEGEEVPERRFSGTIVGVCDNTSPGWANSEWRSLKSFSPVTNKDCGERRQGTGNGCRLFGIQLLENSNVEESSPVVMVSGKLGDIRPISSFDAESDQHSEPSNVNRSDLPSGSCDAEKSCLRSPQESQSRQIRSCTKVHMQGIAVGRAVDLTRFERYKDLLKKLEEMFDIEGELCGSTKKWQVVYTDDEDDMMMVGDDPWHEFCSMVRKIFIYTIEEVKRLSPKIKLTLNEEVKPGKPDSEAAVNTEDQSSIVGPAY >ONI34871 pep chromosome:Prunus_persica_NCBIv2:G1:41610656:41612462:1 gene:PRUPE_1G503200 transcript:ONI34871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLNVFLPVLLVFMAISSGPKLSESARIFTIINNCKETVWPAVTPGESFNGGGFMLKQGQSVIFTAPVSWSGRIWGRTGCNFDNKNGNTSCQTGDCGGTLKCGASGKTPATLAEFTLAALDFYDVSLVDGFNLPMVVTPLNGTGNCSVSGCDGDLRSSCPSVLSVKANGKTVGCRSACDVFNTDEYCCRGVFGNPVTCKPTYYSKMFKEACPTAYSYAYDDPTSIFTCSGTDYVVAFCSSRKQPVCTYHNHKLVCSTNGSKGLKSMRWTVMLALMVMINLWIIF >ONI27039 pep chromosome:Prunus_persica_NCBIv2:G1:4575364:4578285:1 gene:PRUPE_1G064200 transcript:ONI27039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLSLNSRVEEEEGSRRERMSNIVDNGNHQGVRTIAVAVDEGIASQNALKWAVNHLLYGSTRHTPIKLLHVINPSNSNNTPHATGQAHEAPNMDFMLPFRCYCTRKRVQCETVVLEDQDVAEALLDYISRNGIEFIVLGATATSRIGFSRRLFKASESIPGRVLKLAPHFCSVYTIKQGRVCEGREASRPLPNIRAADERAEESNHVGRAPSNRAYDEVSLPDNDISFGRPSTDSISFYQNFGSADMSRVLSESSNYLESIAKLESDFGSFDLNIPHELSSNAQGMPFLSQKVLDEMEDEMKRLRLEQKQTMEMYHAACKEAVAAKQKAIELEEWKMKEGQRLEDTRRALEATLAAMEKERANSKATILAADGGAAERFAEKELQRTINADQIFKALREANDEEKKRVLDALGQSHIVFKYQSLVHILVVLFLFSLYYSLF >ONI30558 pep chromosome:Prunus_persica_NCBIv2:G1:26812223:26814651:-1 gene:PRUPE_1G258400 transcript:ONI30558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTITTPFKDPSHHSLARKLLPYAIYVILPIAVIRLYLYTPSLPQSTDQLPHSTAITISTTSSSSSSPSSLPPFPSSQEVERDKETPCDYTSGDWVQDKLGPLYNGTTCGTIKKGQNCITHGRPDLGYLFWRWKPKQCHIPRFEPNTFLQILSNKHIAFVGDSMARNQLESLLCMLATVSPPNLVYTDGEDNKFRRWHFSSHNVSVSVYWSPFLVKGIEKSQHGPNYNKLYLDQVDERWAADLGQMDKIVLSVGHWFLHPAVYFEGDSVLGCHYCPGLNHSEIGFYDVLRKAIKTTLKTITERRVANANGNGVNVIVTTFSPAHFEGEWDKAGACPKTKPYMEGEKQLEGMDAEMRQLEVEEVEAAKANGKKFAGFRLQALDVTKLSLMRPDGHPGPYMNPFPFADGVQERVQNDCVHWCLPGPIDTWNEIMLEVLNKWNNQGQ >ONI29835 pep chromosome:Prunus_persica_NCBIv2:G1:22989569:22990735:1 gene:PRUPE_1G216700 transcript:ONI29835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAILRLPPPSVSPLALATPTTTKSLALPCSSSIPRLLKSPRCPPLKAANVTEDPSAVDYSSVTSVFPAEACDTIGGEACDVEMYPEVKLKPEDRNNTAKTTSEQLDRDYLEYDSPKTVFPGEACDDLGGEFCEPEYQRGVH >ONI26459 pep chromosome:Prunus_persica_NCBIv2:G1:1842534:1845734:-1 gene:PRUPE_1G026500 transcript:ONI26459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKLQLDTSCFPILGSIKTSPNIRHSPLDKLELINKERKPRVVQSPNGGGTAKKGLSEVHRPIHDRCGNGAVVHEVEQKKHSFLCNPGGEKRKITKGSGAYVNSRDFDFELRAVNGNGVVKKVPSKCSTKWVTYGGCLPAILKALDEVEDLDKALKPWEDRLTNKERSIILKEQVSWKRAWEIFEWFKRKDFYELNVIHYNILLRILGKARKWSLVENLWDEMKVKGIAPINSTYGTLIDVYSKGGLKEEALLWLEKMNKQGMKPDEVTMGIVVHLYKKAGEFQKAEDFFDKWSLSLSFRQEGTSTTAAGGLGSSLHSHVSLSSHTYNTLIDTYGKAGQLKEASEIFATMLREGIAPTTVTFNTMMHICGNHGRLEEVASLMQKMEEIRCPADTRTYNILISLHAKHDNIDMATKYFTKMKEAHLEPDHVSYRILLYAYSLRHMVSEAEDLISEMDERGLEIDEFTQSALTRMYIESGMLEKSWFWFMRFHLSGKMSSECCSANIDAYGERGHILEAEKVFFCCQEVKKLSVLEFNVMIKAYGVGKHYDKACELFNSMESHGVVPDKCSYSSLIQILSSANMPHIAKPYLRKMQEARLVSDCIPYCAVISSFAKLGQLEMAEGLYKEMVGFSVQPDVIVFGVLINAFADVGSVKEALSYADAMKKAGLPGNTVIYNSLIKLYTKVGFLKEAEETYRLIQSSEDGPSIYASNCMIDLYSEQSMVKPAEEIFDGLKRKGNANEFSCAMMLCMYKKMGRFEEAIQIAEQMRELRLLTDLLSYNNVLGLYVMYGRFKEVVETFKEMMRAAIQPDDCTFKSLGLVLVKSGISKQAVAKLEVSVKKDAQSGLQAWMSALYSVVRMSGSNYV >ONI32957 pep chromosome:Prunus_persica_NCBIv2:G1:35129331:35132403:-1 gene:PRUPE_1G395900 transcript:ONI32957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEEEDLKSSGDMVEENEPKKGCSGALCTPINWFRMLCVEMHWSFVMGVLVVYGINQGLGGALYRVGTEYYMKDVQKVQPSEAQFYQGITSIPWLVKPLWGLLTDVLPIFGYRRRPYFIVAGSIGVISMLVLSFHEKLHIALALLSLTAGSAGVAVADVTIDACVAQNSINHPSLAADMQSLCALSSSIGALLGFSVSGIFVHLIGPKGVYGLLAIPFGLVFLIGTLLKEPHSPNFAYTQVNQKFIDAGKAMWTTLKCPDVWRPCLYMYFSLALSLNILDGMFYWYTDSKSGPSFSQENVGYIFSISSVGSLLGAVLYQNVLKDHPFRDLLFWTQLVYSLSGTLDLMLVLRLNLKFGIPDYFFVVIDESVSQMTRRLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNVGLLSATWGGGILLHALKVTRTQFDNLWLAILIRNFLRLAPLCLLFLVPRVDPNSSILPTEILNTKEDIETQETENIELVSLVNRVDDR >ONI31325 pep chromosome:Prunus_persica_NCBIv2:G1:29969842:29979593:1 gene:PRUPE_1G306600 transcript:ONI31325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQLIVAVEGTAAMGPYWSTIISDYLDKIIRCFCGNELSGQKPSTSTVELSLVTFYTHGSYCGCLVQRSGWTRDLDLFLQWLSAIPFSGGGFSDAAIAEGLSEALMMFPTVQNGNQNQQNVDCQKHCILLAASNPHPLPTPVYRPQMQNLEQNEIIDSQTENRLYDAEAVAKSFPQCSVSMSVICPKQLPKLRAIYNAGKRNPRAADPPIDNAKNPQFLVLISENFLEARATLSRPGSTNLPSNQSPVKMDIAPVASVTGPPPTSVPSVNGSVMNRQPVAVGNVPPATVKVEPSTVSSMVAGPAFPHIPSVRPPSQGVPSLQTSSPSSASQEMTTNNENVPDLKPVVSGVTHPSRPVGSILNNISQARVMNSAALTGGTSIGLQTMGQNPMAMHVSNMISSGMASSVGAAQNVFSSSGSGTLTQVAQNSGLSSFTSANSNVSGNNNLGISQPMSNLQGGVSMGQSVPGMSQGNLSGPQMVQSAIGMNPNMMSALGSSGSSSGTGTMIPTPGMPQQVQAGIQSLGANNSSAPNVPLSQQTSSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAANWPPTMQIVRLISQDHMNNKQYVGRADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQVGGQQQQQQQMQQQQPQQHPQLQQQQQQHPQLQQQQHPQLQQQQQQQQLQQQQQHPQMQQQQQQQQHPQMQPQQQQHPQMQPQQQQHPQMQQQPQQHPQLQQQQQQHPQLQQQQQQHPQLQQQQQIPQLQQQQQQQQLPQLQQQQQLPQLQQQQLPQLQQQQLSQLQSQQQLPQLQQLQQQHQQQQLVGTGMGQAYVQGRSQLVSQGQVPSQGSNMPGGGFMG >ONI31326 pep chromosome:Prunus_persica_NCBIv2:G1:29971433:29979593:1 gene:PRUPE_1G306600 transcript:ONI31326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFWELIVVDYLEKIVRCFCGNELSGQKPSTSTVELSLVTFYTHGSYCGCLVQRSGWTRDLDLFLQWLSAIPFSGGGFSDAAIAEGLSEALMMFPTVQNGNQNQQNVDCQKHCILLAASNPHPLPTPVYRPQMQNLEQNEIIDSQTENRLYDAEAVAKSFPQCSVSMSVICPKQLPKLRAIYNAGKRNPRAADPPIDNAKNPQFLVLISENFLEARATLSRPGSTNLPSNQSPVKMDIAPVASVTGPPPTSVPSVNGSVMNRQPVAVGNVPPATVKVEPSTVSSMVAGPAFPHIPSVRPPSQGVPSLQTSSPSSASQEMTTNNENVPDLKPVVSGVTHPSRPVGSILNNISQARVMNSAALTGGTSIGLQTMGQNPMAMHVSNMISSGMASSVGAAQNVFSSSGSGTLTQVAQNSGLSSFTSANSNVSGNNNLGISQPMSNLQGGVSMGQSVPGMSQGNLSGPQMVQSAIGMNPNMMSALGSSGSSSGTGTMIPTPGMPQQVQAGIQSLGANNSSAPNVPLSQQTSSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAANWPPTMQIVRLISQDHMNNKQYVGRADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQVGGQQQQQQQMQQQQPQQHPQLQQQQQQHPQLQQQQHPQLQQQQQQQQLQQQQQHPQMQQQQQQQQHPQMQPQQQQHPQMQPQQQQHPQMQQQPQQHPQLQQQQQQHPQLQQQQQQHPQLQQQQQIPQLQQQQQQQQLPQLQQQQQLPQLQQQQLPQLQQQQLSQLQSQQQLPQLQQLQQQHQQQQLVGTGMGQAYVQGRSQLVSQGQVPSQGSNMPGGGFMG >ONI28586 pep chromosome:Prunus_persica_NCBIv2:G1:11761618:11765021:1 gene:PRUPE_1G149900 transcript:ONI28586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENGIEGATNGRKEHEEETEQEPILMEQSERFCMFPIRYKQVWEMYKKAMASFWTAEEVDLSQDVQQWDALSDSEKHFVSHVLAFFAASDGIVLENLAARFLNDVQIPEARAFYGFQLAMENIHSEMYSLLLETYIKDSMEKHRLFNAIESIPCVSRKAKWALDWIHSSNSFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRKQLPWQKVHVIVHEAVEIEIEFVCEALPCALIGMNSDLMSQYIKFVADRLLVALGYQRKYNVENPFDWMEFISLQ >ONI28585 pep chromosome:Prunus_persica_NCBIv2:G1:11761462:11765720:1 gene:PRUPE_1G149900 transcript:ONI28585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENGIEGATNGRKEHEEETEQEPILMEQSERFCMFPIRYKQVWEMYKKAMASFWTAEEVDLSQDVQQWDALSDSEKHFVSHVLAFFAASDGIVLENLAARFLNDVQIPEARAFYGFQLAMENIHSEMYSLLLETYIKDSMEKHRLFNAIESIPCVSRKAKWALDWIHSSNSFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRKQLPWQKVHVIVHEAVEIEIEFVCEALPCALIGMNSDLMSQYIKFVADRLLVALGYQRKYNVENPFDWMEFISLQGKTNFFERRVGDYQKASVMSGLQDGGKNFIFKMDADF >ONI30221 pep chromosome:Prunus_persica_NCBIv2:G1:25301042:25306499:1 gene:PRUPE_1G238400 transcript:ONI30221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFNCLVIFLYFASSVVCQVKEFVSIDCGGTRNYTDPSTGLAWISDLGLMSQGKSVPVENPNGNLMQYQWRRDFPIDSKKYCYTLSTEERRRYLIRATFQYGSLKSEDTYPKFELYLDATQWSTVTIFNASRTYVNEMIIRASSGSVDVCICCATTGFPFISTLELRPLNLSMYATDYEDNFFLTVAARVNFGAPSIDVIRYPDDPYDRIWDSDLVKRQNYLVGVASGTQRINTSRDVNTNSREYPPVKVMQTAVVGTKGLLTYRLNLDGFPANARAYAYFAEIEDLGADESRKFKLEQPSLGDSNSAVVNIAENANGDYTLYEPSYMNASLEFVLSFSFRKTPDSTRGPLLNALEISKYVRIATKTDRQDLSVLNALRFMSAESLSVDEGDPCVPTHWDWVNCSSTTPLRITKIDLSGKNVKGEIPLELNNMQELIELWLDGNYLTGPFPDISSLINLKILHLENNKLTGPLPSYLGSLPSLQELYIQNNSFSGEIPAGLLTGKVTFNFEDNLRLHKGAQKQNHFKLIIGISVGVLAIVSILLIGSLLLLRNLQRRSSHQRSNEKGDSMRISTKPSTRHSISRMDEGIACYITLPDLEEATNNFSKKIGKGSFGSVYYGKMKDGKEVAVKMMADSSTHMNQQFVTEVALLSRIHHRNLVPLIGYCEEEHQCILVYEYMHNGTLRDHIHGSTSQKHLDWQTRLRVAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQTEEDLTHVSSVARGTVGYLDPEYYASQQLTEKSDVYSFGVVLLELISGKKAVSTEDFGDELNIVYWARSLIRKGDVVSIIDPFLQGNVKIDSIWRIAEVAIQCVEQHGVSRPRMQEIILAIQDAMKIEKGTEASQKISPSSSSSRAQSARKTLLTSFLEIESPDISNGCLVPSAR >ONI30222 pep chromosome:Prunus_persica_NCBIv2:G1:25301042:25306499:1 gene:PRUPE_1G238400 transcript:ONI30222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFNCLVIFLYFASSVVCQVKEFVSIDCGGTRNYTDPSTGLAWISDLGLMSQGKSVPVENPNGNLMQYQWRRDFPIDSKKYCYTLSTEERRRYLIRATFQYGSLKSEDTYPKFELYLDATQWSTVTIFNASRTYVNEMIIRASSGSVDVCICCATTGFPFISTLELRPLNLSMYATDYEDNFFLTVAARVNFGAPSIDVIRYPDDPYDRIWDSDLVKRQNYLVGVASGTQRINTSRDVNTNSREYPPVKVMQTAVVGTKGLLTYRLNLDGFPANARAYAYFAEIEDLGADESRKFKLEQPSLGDSNSAVVNIAENANGDYTLYEPSYMNASLEFVLSFSFRKTPDSTRGPLLNALEISKYVRIATKTDRQDLSVLNALRFMSAESLSVDEGDPCVPTHWDWVNCSSTTPLRITKMYIQNNSFSGEIPAGLLTGKVTFNFEDNLRLHKGAQKQNHFKLIIGISVGVLAIVSILLIGSLLLLRNLQRRSSHQRSNEKGDSMRISTKPSTRHSISRMDEGIACYITLPDLEEATNNFSKKIGKGSFGSVYYGKMKDGKEVAVKMMADSSTHMNQQFVTEVALLSRIHHRNLVPLIGYCEEEHQCILVYEYMHNGTLRDHIHGSTSQKHLDWQTRLRVAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQTEEDLTHVSSVARGTVGYLDPEYYASQQLTEKSDVYSFGVVLLELISGKKAVSTEDFGDELNIVYWARSLIRKGDVVSIIDPFLQGNVKIDSIWRIAEVAIQCVEQHGVSRPRMQEIILAIQDAMKIEKGTEASQKISPSSSSSRAQSARKTLLTSFLEIESPDISNGCLVPSAR >ONI33331 pep chromosome:Prunus_persica_NCBIv2:G1:36219861:36221750:1 gene:PRUPE_1G417600 transcript:ONI33331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPASIASPSSSIPKFPINVFEQKPCQPRSCFLLHLRRRPFSSSCIKAVSSMAQFGEPNKENKQKQKIEVSVVKDKLWEAIPVPVKELPWKKAADTALEQLLLLGYKALKWSFISFGVLSFLSDIIFSISSNYELIMPFGLFVGCFLTDVLKEGLQQVLPSSEESGLEKHFLGIGCFLAAVKFVSAGLPMQARVFVLHVANGGFMQVLWLWRGLLNKRDEDASLPMDVKS >ONI30605 pep chromosome:Prunus_persica_NCBIv2:G1:27038740:27039707:1 gene:PRUPE_1G261600 transcript:ONI30605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNLKFSWIDTMADNYPPPLDTYLVVSVMSMWTRMQPAYAANMWNEALNKRLGTEQQEQEQQQQYQH >ONI28548 pep chromosome:Prunus_persica_NCBIv2:G1:11563039:11565796:-1 gene:PRUPE_1G147200 transcript:ONI28548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPREKKGKNPMTSKKRVAQTPPISSKQQEQWQPNGPCKILQLQRALVMDILSRLTINTLFNCRCVCKAWLSLISDPQFAHLCISRSPIGILIKTFPPKSITRRLDFAQIEESADSRWRLEKMRFTPKTSLPISEFNLMNSCNGLICLSGPERGGLLYVCNPVLGEFITIERSGKGRPSFNCVGLGFSVGTGEYKVLQSFLQSSEPVTSYNYEAEIYTIGTGFWRSIGKASGSLGPQLPFNAYLHGALHWISCFRDVPELINSFNFETEQFQALPPPSYFGPLQKQFSDCLKLGVWEGCLVLCVFGDDSSKFVMWVMKDYGVQESWAKTFVIENLYPRELSCDLYEPMLFLSNGEIVMSYNDWVVVCYNQKRMSFRETRITRTRSEFHAIGYSPCFVSLYDISKAEEVKRVQGSKNCDKLSAEGSFDYAGSGMPHHKSTKLNSGYAWPAFEGGLPNSMNSSATPDFTAMGFPCARCGENLGYVFRGKGFLLCQRCSNAGSS >ONI32402 pep chromosome:Prunus_persica_NCBIv2:G1:33553307:33560249:1 gene:PRUPE_1G365800 transcript:ONI32402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPRDVRRGATRFKQPSGHKLFSCLAGVGAGAGGDFVQSAKSKKERLRKLSALGTASSSFQDCEMGCDVSDREDELPSKRFKLPKKFFDDCNGVDHASVPRKLRSAMKKRNRESASPSIPNSKKLNHAMSGIESPKRDGVNKPKPYLKQGGSDRSLRETVSGDITKDEEEVVETLYALAGLFPNNDANDNSKLDTESLDANPSALPESKETPTPAFEVGNDKSGSICPLKATEASSPSSVERLAKETDQVDSLNKSSTQSEPELPNSRKFCITSDDSVPHDLNISSVSAIVEECNEKPTANVVNFSVPSDLSLDSRKLKQPVQKESSIFGNKPETALELGKTMGSQVEVHNMVQESKKNGPVLWPGLSSNVSHGARNDSPSSSSQSPAAKIPAWLDAALSTSRASVQNVSSFGKVTNVLNGRRMWKKCAAHVYISHLIQALQNSESEDKLQPNEMRLHEGSKQVALLGANIYTKVKNGIVSASSIDISSAEKRPNEAKNGILEQKKLYQDQPECAMGSRAYPSPKQSFDFLSLSAGGGGLETNDSFSRARNGMEPSSQSQVPYIHSLMQHHTLIPLSLPQSHFSPSSCPNNPSAAQQAQLQLPPYHVNPFCGPQASPTALTKQQPQQQHLQLQQQQQRLWAAHLVAQYRPVGTTAPAVHFPSWQNGRQETMLIPCGQAVMSPSPSTLDLVGPKYAPLSQQQQQQLMAATSSFPPGRVKRQDHHLPSVYEESGGGFRAGSALPLQLLCSERL >ONI35401 pep chromosome:Prunus_persica_NCBIv2:G1:43615998:43616687:-1 gene:PRUPE_1G533700 transcript:ONI35401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKKVKLTYISNDSARKATFKKRKKGLMKKVSELSTLCDVEACAIIYSPYDTQPEIWPSPLGVQRVLAKFKTMPEMEQSKKMVNQESFLRQRIEKAKEQLKKQRKDNREKEMTRVMFQSLTGKPLQGLSMIDLNDLGWLIDQNLKEIYNKIKNLNEEAEKKQVQLEPTGLPVHAAAADQGIMERQPWFTDMMNPQEQHMGLGAGEEMMLPFGDQNHINAIWSNNAFFP >ONI32818 pep chromosome:Prunus_persica_NCBIv2:G1:34699558:34701441:-1 gene:PRUPE_1G388000 transcript:ONI32818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKLKCPLERPSFRVFLVGDDFSQHLRIPPAFIKNFNGRRLGKCTMRGPTGKCWAVELEQRRDGLFFHKGWPGFVKDHFIESEDFLIFDYDGGSEFDVTIYDKTCCEKDVKAAAKRHASDHLAETSTGGPILFSSENACFTKTFKPHNLYSLLIPKSIAVTEGLMSSEGILIKETIMLQDRTGRSWLVQLDVTSDGRLVMKRGWTTCRETNQISHGDTIIFEFVKQGVILLHIFRGRGEGGSSSVVVLSGVLPRKSSQNP >ONI32819 pep chromosome:Prunus_persica_NCBIv2:G1:34699558:34701079:-1 gene:PRUPE_1G388000 transcript:ONI32819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKLKCPLERPSFRVFLVGDDFSQHLRIPPAFIKNFNGRRLGKCTMRGPTGKCWAVELEQRRDGLFFHKGWPGFVKDHFIESEDFLIFDYDGGSEFDVTIYDKTCCEKDVKAAAKRHASDHLAETSTGGPILFSSENACFTKTFKPHNLYSLLIPKSIAVTEGLMSSEGILIKETIMLQDRTGRSWLVQLDVTSDGRLVMKRGWTTCRETNQISHGDTIIFEFVKQGVILLHIFRGRGEGGSSSVVVLSGVLPRKSSQNP >ONI32941 pep chromosome:Prunus_persica_NCBIv2:G1:35099710:35102613:1 gene:PRUPE_1G395300 transcript:ONI32941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMDPSQETNIIDQATSDQKDDDHHHRHSNSTNPFAQMIQQPFQWLQMLSSELDPTFVLGVVIVYGLSQGFSGSYFRVVADFYWKDVRKVQPSVVQLYIGLYYIPWVMKPIWGILTDVFPVQGYRRRPYFVLAGLTGIISALMVALSDSLAAAVALTCLIGVMASVAIADVTIDACIARNSIEIRWLASDLQSLCGFCSSAGALVGYSTSGFFVHHLGAQGALGLLAIPPVFLTVLGFVIYEEGSTSLRSVKKKVVEEVGVAIRGMYRTIKCPQVWKPSLYMYLSLTLSISTHEGQFYWYTDPTAGPAFSQEFVGMIYAVGAMASIVGVLIYHNTLKDYPFRNLLFFAQLLYAISGMLDLTFILRWNLILGIPDYFFVIMEECVSRIVSRIRWMPMIVLSTRLCPLGIEGTFFALLMCIDSLGSLSSKWGGGVVLHALNVTRTDFTNLWLVILIRNVLRFSTLALIFLVPKADQFDVLIPSDLLTKTSAVSAVDDESLELVPMKEKIEV >ONI26071 pep chromosome:Prunus_persica_NCBIv2:G1:276840:278242:1 gene:PRUPE_1G002600 transcript:ONI26071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEGGPRLSTVNNHIGRQHWEFDPDAGTPEERAQVERLGDEFKKNRFQVKQSADLLMRMQLTKENPCGGRIPAPRAVKVKETEEITEEAVTSTLRRALNFFSSIQAHDGHWPAESAGPLFFIQPLLIALHITGALNAVLGPEHQKEIIRYICNHQNEDGGWGLHIEGQSTMFGSALSYIALRLLGEDPHDDDGEEDKAVALARAPILSEINTAAPILSEINTAAVHWGSHVGESFGLAYVYSCRRHL >ONI26070 pep chromosome:Prunus_persica_NCBIv2:G1:277127:280076:1 gene:PRUPE_1G002600 transcript:ONI26070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEGGPRLSTVNNHIGRQHWEFDPDAGTPEERAQVERLGDEFKKNRFQVKQSADLLMRMQLTKENPCGGRIPAPRAVKVKETEEITEEAVTSTLRRALNFFSSIQAHDGHWPAESAGPLFFIQPLLIALHITGALNAVLGPEHQKEIIRYICNHQNEDGGWGLHIEGQSTMFGSALSYIALRLLGEDPHDDDGEEDKAVALARAPILSEINTAAPILSEINTAAVHWGSHVGESFGLAYIYLYGKRFVGPITGMVRSLRQELYTEPYHEINWPKAKNTVAKEDLYYPHPLVQDLLWGFLHHVAEPILARWPFSLLREKALKVAINHVHYEDQNSRYLCIGCVEKVLCLLACWVEDPNSEAYKLHLARIPDYFWVAEDGLKFQSFGSQTWDAVFAIQAILSCNLNDEYGPTLWKAHDFLKASQVIFH >ONI26877 pep chromosome:Prunus_persica_NCBIv2:G1:3674595:3681835:1 gene:PRUPE_1G052500 transcript:ONI26877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLASSATASSCPHFIISNMYKNPNSASMATTPQLLQRKYAPVRIVEARAKAPLMYLKITSFKRLLNYVRYSKLKKKLRLKKYAGSLHKVISYSEKIGHHAFVRFVVALMVVMSVSVSVSESPSWALTEENLLFLEAWRMIDRAYVDKSFNGQSWFRYRENALRNEPMNTREETYMAIKKMLATLEDPFTRFLEPEKLKSLRSGTQGALTGVGLSIGYPTKFDGSPAGLLVISASPGGPANKAGILSGDVILAIDDTSTETMGVYDAAERLQGSEGSSVKLTVRSGPEIKHLDLMREKVSLNPVTSRLCAMPASGKDSLRIGYIKLTSFNQNASGAVKEAINTLRTNNVNAFVLDLRDNSGGLFPEGIEIAKIWLDKGVIVYICDSRGVRDIYDTDGSKAVAPSEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTFGKGKIQSVFELSDGSGLVVTVARYETPAHTDIDKVGVVPDHPLPTSFPKDEEAFCNCLQDPASACNKVELFAR >ONI26879 pep chromosome:Prunus_persica_NCBIv2:G1:3674890:3681835:1 gene:PRUPE_1G052500 transcript:ONI26879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLLSLKLEQKVKSIQLLQRKYAPVRIVEARAKAPLMYLKITSFKRLLNYVRYSKLKKKLRLKKYAGSLHKVISYSEKIGHHAFVRFVVALMVVMSVSVSVSESPSWALTEENLLFLEAWRMIDRAYVDKSFNGQSWFRYRENALRNEPMNTREETYMAIKKMLATLEDPFTRFLEPEKLKSLRSGTQGALTGVGLSIGYPTKFDGSPAGLLVISASPGGPANKAGILSGDVILAIDDTSTETMGVYDAAERLQGSEGSSVKLTVRSGPEIKHLDLMREKVSLNPVTSRLCAMPASGKDSLRIGYIKLTSFNQNASGAVKEAINTLRTNNVNAFVLDLRDNSGGLFPEGIEIAKIWLDKGVIVYICDSRGVRDIYDTDGSKAVAPSEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTFGKGKIQSVFELSDGSGLVVTVARYETPAHTDIDKVGVVPDHPLPTSFPKDEEAFCNCLQDPASACNKVELFAR >ONI26878 pep chromosome:Prunus_persica_NCBIv2:G1:3674890:3681835:1 gene:PRUPE_1G052500 transcript:ONI26878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLLSLKLEQKVKSIQLLQRKYAPVRIVEARAKAPLMYLKITSFKRLLNYGNTDRALLLPICRLNQSFFSQCSLFSVRYSKLKKKLRLKKYAGSLHKVISYSEKIGHHAFVRFVVALMVVMSVSVSVSESPSWALTEENLLFLEAWRMIDRAYVDKSFNGQSWFRYRENALRNEPMNTREETYMAIKKMLATLEDPFTRFLEPEKLKSLRSGTQGALTGVGLSIGYPTKFDGSPAGLLVISASPGGPANKAGILSGDVILAIDDTSTETMGVYDAAERLQGSEGSSVKLTVRSGPEIKHLDLMREKVSLNPVTSRLCAMPASGKDSLRIGYIKLTSFNQNASGAVKEAINTLRTNNVNAFVLDLRDNSGGLFPEGIEIAKIWLDKGVIVYICDSRGVRDIYDTDGSKAVAPSEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTFGKGKIQSVFELSDGSGLVVTVARYETPAHTDIDKVGVVPDHPLPTSFPKDEEAFCNCLQDPASACNKVELFAR >ONI26881 pep chromosome:Prunus_persica_NCBIv2:G1:3675741:3681835:1 gene:PRUPE_1G052500 transcript:ONI26881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRAYVDKSFNGQSWFRYRENALRNEPMNTREETYMAIKKMLATLEDPFTRFLEPEKLKSLRSGTQGALTGVGLSIGYPTKFDGSPAGLLVISASPGGPANKAGILSGDVILAIDDTSTETMGVYDAAERLQGSEGSSVKLTVRSGPEIKHLDLMREKVSLNPVTSRLCAMPASGKDSLRIGYIKLTSFNQNASGAVKEAINTLRTNNVNAFVLDLRDNSGGLFPEGIEIAKIWLDKGVIVYICDSRGVRDIYDTDGSKAVAPSEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTFGKGKIQSVFELSDGSGLVVTVARYETPAHTDIDKVGVVPDHPLPTSFPKDEEAFCNCLQDPASACNKVELFAR >ONI26880 pep chromosome:Prunus_persica_NCBIv2:G1:3674595:3681835:1 gene:PRUPE_1G052500 transcript:ONI26880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMSVSVSVSESPSWALTEENLLFLEAWRMIDRAYVDKSFNGQSWFRYRENALRNEPMNTREETYMAIKKMLATLEDPFTRFLEPEKLKSLRSGTQGALTGVGLSIGYPTKFDGSPAGLLVISASPGGPANKAGILSGDVILAIDDTSTETMGVYDAAERLQGSEGSSVKLTVRSGPEIKHLDLMREKVSLNPVTSRLCAMPASGKDSLRIGYIKLTSFNQNASGAVKEAINTLRTNNVNAFVLDLRDNSGGLFPEGIEIAKIWLDKGVIVYICDSRGVRDIYDTDGSKAVAPSEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTFGKGKIQSVFELSDGSGLVVTVARYETPAHTDIDKVGVVPDHPLPTSFPKDEEAFCNCLQDPASACNKVELFAR >ONI26876 pep chromosome:Prunus_persica_NCBIv2:G1:3674595:3681835:1 gene:PRUPE_1G052500 transcript:ONI26876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLASSATASSCPHFIISNMYKNPNSASMATTPQLLQRKYAPVRIVEARAKAPLMYLKITSFKRLLNYGNTDRALLLPICRLNQSFFSQCSLFSVRYSKLKKKLRLKKYAGSLHKVISYSEKIGHHAFVRFVVALMVVMSVSVSVSESPSWALTEENLLFLEAWRMIDRAYVDKSFNGQSWFRYRENALRNEPMNTREETYMAIKKMLATLEDPFTRFLEPEKLKSLRSGTQGALTGVGLSIGYPTKFDGSPAGLLVISASPGGPANKAGILSGDVILAIDDTSTETMGVYDAAERLQGSEGSSVKLTVRSGPEIKHLDLMREKVSLNPVTSRLCAMPASGKDSLRIGYIKLTSFNQNASGAVKEAINTLRTNNVNAFVLDLRDNSGGLFPEGIEIAKIWLDKGVIVYICDSRGVRDIYDTDGSKAVAPSEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTFGKGKIQSVFELSDGSGLVVTVARYETPAHTDIDKVGVVPDHPLPTSFPKDEEAFCNCLQDPASACNKVELFAR >ONI26121 pep chromosome:Prunus_persica_NCBIv2:G1:458431:464662:1 gene:PRUPE_1G005100 transcript:ONI26121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPNPIDSESETSRPVLDARVALLKSTNHSGFLIFSYFGNPGSVNAALQQIQARSQQTTDIKSEVNMGTAQRSLPMDSSIYGQGMMQSKPGMGNAVHVSQMHHSSSQQQQDPLQPEQVQQNSCKRKGPSSSGAANSTGTGNTIGPSPNSQPSTPSTHTPGDGVSMAGNLPNARKFDAPNYLIMFIRCPCFNGYAIDKGVDDIEQFGDVGSLEDNVESFLSHDDGDGRDLFGTLKRNPAHSAEASKGFSFSEVGSIRKSSSKVVVWNMETLQTESTSEEHSLIITDVRFRPNSANSTQLATSSFDTTVRLWDAAEFIFAGHTSHVMSLDFLPKKNNLFCSCDANNEIRFWNINQCSCTRVSKRGSTQVRFQPRIGQFFAAASGNVVSIFDAESDRQTHSLQLLISTELKARCSESQGHSTEVHSLCWDTNGDYLASVRVWSLSSGECIHELSPSGNMFHSCVFHPSYSTHLVIGGYQLELWNMAENNCMTIQAHEGVISALASHDKSVKIWK >ONI33286 pep chromosome:Prunus_persica_NCBIv2:G1:36087756:36090844:-1 gene:PRUPE_1G414900 transcript:ONI33286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEHNILDAVFEDDNFEDGEDVEMLDVEEGELVEEGELVDFNSQNGSGQISGGNVSVANQERQGKNQKRRGKKKRNRRRNSGPGINVTDIDRFVMDTCRRLKEKKSYLVYTAVGCLGVLALNDLVKEVDAIQACGGQMTHDGKRFRFGGGVLWSIIKAREPKAYKEIMKKGREFEKQFRSTNNRQPIQQGKEGSSKAIVNSFKDDTPANISDAVPVICQMQTQGIQSNTEGKQLSVHDRLRVPVSYDDDLLGEAPKDDALEY >ONI33285 pep chromosome:Prunus_persica_NCBIv2:G1:36087727:36090957:-1 gene:PRUPE_1G414900 transcript:ONI33285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEHNILDAVFEDDNFEDGEDVEMLDVEEGELVEEGELVDFNSQNGSGQISGGNVSVANQERQGKNQKRRGKKKRNRRRNSGPGINVTDIDRFVMDTCRRLKEKKSYLVYTAVGCLGVLALNDLVKEVDAIQACGGQMTHDGKRFRFGGGVLWSIIKAREPKAYKEIMKKGREFEKQFRSTNNRQPIQQGKEGSSKAIVNSFKDDTPANISDAVPVICQMQTQGIQSNTEGKQLSVHDRLRVPVSYDDDLLGEAPKDDALEY >ONI33284 pep chromosome:Prunus_persica_NCBIv2:G1:36087750:36090859:-1 gene:PRUPE_1G414900 transcript:ONI33284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEHNILDAVFEDDNFEDGEDVEMLDVEEGELVEEGELVDFNSQNGSGQISGGNVSVANQERQGKNQKRRGKKKRNRRRNSGPGINVTDIDRFVMDTCRRLKEKKSYLVYTAVGCLGVLALNDLVKEVDAIQACGGQMTHDGKRFRFGGGVLWSIIKAREPKAYKEIMKKGREFEKQFRSTNNRQPIQQGKEGSSKAIVNSFKDDTPANISDAVPVICQMQTQGIQSNTEGKQLSVHDRLRVPVSYDDDLLGEAPKDDALEY >ONI33886 pep chromosome:Prunus_persica_NCBIv2:G1:38016689:38020447:1 gene:PRUPE_1G451500 transcript:ONI33886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIGDALRQAFMPKHEYLSLREEDRAWGKIQRPLLMASMALVCFVVVVCTVISLNIVFPGDPAKRPFCGDRRLNSLPMNVRGGDSDPFPGAFYLTDQEIADYYWMVVFVPSMIIFLATFVYLVAGIAVAYSAPTRHGCLKVVENNYCASKRGGVRCLSILNAVFAVIFGLLALFLGSSLLTQGSSCSMPLFWCYEGGCWGMVLLYGGTAFFLRRRAAAILDEGEFGGRNLGLEMLENPLEVTPEVERRVNEGFKTWMGSSLLSSDEEDEPNSY >ONI34282 pep chromosome:Prunus_persica_NCBIv2:G1:39309085:39312785:1 gene:PRUPE_1G472800 transcript:ONI34282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVALFLSVLLCATCHSALSIIDGYLENGNFEEAPKPSDIRGTEVIGRYAIPKWEISGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQRIKVTKGLYYSITFSAARTCAQEERLNISVAPDSGVLPIQTVYSSNGWDSYAWAFQADYEQIELLLHNPGVEEDPACGPLIDSIAIRTLYPPRATNKNLLKNAGFEEGPYMFPNASWGVLIPPNIEDDHSPLPGWMVESLKAVKYIDSNHFSVPEGKRAVELVAGKESAIAQVARTIPGKTYVLSFSVGDASNSCEGSMIVEAFAGRDTVKVPYESKGKGGFKRAVLKFVAVSTRTRVMFLSTYYTMRSDDFSSLCGPVLDDVKLLSLKNPRQ >ONI26220 pep chromosome:Prunus_persica_NCBIv2:G1:802909:810952:1 gene:PRUPE_1G010500 transcript:ONI26220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKTESDLHTKRTGHTEFVDKTADTVKPISLEVPKVTASESEEAVGASRQAEEMVVPEVDKKLLEELEGMGFSIARATRALHYSGNASLEAAVNWVVEHENDPDIDQMPSVPVNTKVEAPKPSLTPEQLKAKQQELREKARKKKEEEEKRMEKEKEKERIRVGKELLEAKRMEEENERKRIVALRKAEKEEEKRAREKIRQKLEEDKAERRRRLGLPPEDPSTAKPAAPVVEEKKSSLPIRPATKAEQMRECLRSLKQNHKVKTVACNVNNSLKTRK >ONI26219 pep chromosome:Prunus_persica_NCBIv2:G1:802797:811047:1 gene:PRUPE_1G010500 transcript:ONI26219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCSSCGKPCRSKTESDLHTKRTGHTEFVDKTADTVKPISLEVPKVTASESEEAVGASRQAEEMVVPEVDKKLLEELEGMGFSIARATRALHYSGNASLEAAVNWVVEHENDPDIDQMPSVPVNTKVEAPKPSLTPEQLKAKQQELREKARKKKEEEEKRMEKEKEKERIRVGKELLEAKRMEEENERKRIVALRKAEKEEEKRAREKIRQKLEEDKAERRRRLGLPPEDPSTAKPAAPVVEEKKSSLPIRPATKAEQMRECLRSLKQNHKEDDAKVKRAFQTLLTFVGNVARNPDEEKYRKIRLTNQSFQDRVGSFKGGIEFLELCEFERVEGSEFLFLPRDKVDMAVLNSAGSELDSAIKNPFFGVL >ONI29871 pep chromosome:Prunus_persica_NCBIv2:G1:23331342:23332837:-1 gene:PRUPE_1G218300 transcript:ONI29871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLHPPAELEKRKHKLKRLVQCPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >ONI31967 pep chromosome:Prunus_persica_NCBIv2:G1:32113131:32113692:1 gene:PRUPE_1G341700 transcript:ONI31967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSMRLVSAALVLALLLVATEIEPMAVEGRSAKKVEPKKRTCESQSQKFKGICFLSSNCATSCTTEGFNGGQCRGFRRRCFCSKAC >ONI28961 pep chromosome:Prunus_persica_NCBIv2:G1:14337180:14339132:-1 gene:PRUPE_1G172000 transcript:ONI28961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASSRSKSKDKKAGKEAQTPSLKPSTGPANASSGIPASAYNPLLGTFHTLELSPTSSASPLHSNSRFRNIDETDDHSGGSVAAGAEYDSVSNNGSWSGESEDHKDKASNPPTKQEAVPGADNDKREKIRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSQERATMALILNEGRVEESVAWLFEGGEDADKPRDQNFGGGNLKIDISEELARIADMEARFKCSKQEVERAIVACEGDLQKAAESLRASKQDPPSVSPKPEETGDPPTGTNGKLPVSVSQNLRPQIKPNPLPTIQQRRDDKEFNYTKQAAAVGGSIETTSKTLQPLKRGQPKMEWAKPQQIPVPAERRWSNSASNPSVSYSLASPLQVSSPPVKTETRYVAVGGDLKNLQPGTVREPVIMMQRPQSVNTKQLVSATTSMSSSPPGSATNWYPSTSVEMMRSSGFLPHIPSNLSSNQMYHQFQYQQQQQLVPGSSPADPTGTSRGNNIWSRAGASPTLAAASSLGLFSGLGSAGSSGASAPADWNAGGGSCGHIDYTNIDWSLDRGLSSPRPNGLWTGLPSIMKSNINFYDPKPAGLGGQPGIRTASSNMNVVSFVGLQDGAANGEASAAGSRDWTSPFEGEDLFSAPRQFVSSSSR >ONI28960 pep chromosome:Prunus_persica_NCBIv2:G1:14337010:14340078:-1 gene:PRUPE_1G172000 transcript:ONI28960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASSRSKSKDKKAGKEAQTPSLKPSTGPANASSGIPASAYNPLLGTFHTLELSPTSSASPLHSNSRFRNIDETDDHSGGSVAAGAEYDSVSNNGSWSGESEDHKDKASNPPTKQEAVPGADNDKREKIRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSQERATMALILNEGRVEESVAWLFEGGEDADKPRDQNFGGGNLKIDISEELARIADMEARFKCSKQEVERAIVACEGDLQKAAESLRASKQDPPSVSPKPEETGDPPTGTNGKLPVSVSQNLRPQIKPNPLPTIQQRRDDKEFNYTKQAAAVGGSIETTSKTLQPLKRGQPKMEWAKPQQIPVPAERRWSNSASNPSVSYSLASPLQVSSPPVKTETRYVAVGGDLKNLQPGTVREPVIMMQRPQSVNTKQLVSATTSMSSSPPGSATNWYPSTSVEMMRSSGFLPHIPSNLSSNQMYHQFQYQQQQQLVPGSSPADPTGTSRGNNIWSRAGASPTLAAASSLGLFSGLGSAGSSGASAPADWNAGGGSCGHIDYTNIDWSLDRGLSSPRPNGLWTGLPSIMKSNINFYDPKPAGLGGQPGIRTASSNMNVVSFVGLQDGAANGEASAAGSRDWTSPFEGEDLFSAPRQFVSSSSR >ONI32512 pep chromosome:Prunus_persica_NCBIv2:G1:33793418:33797307:-1 gene:PRUPE_1G370300 transcript:ONI32512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATFFFFCFIITTMAICFSSTTTLTSALQSEEIQALTSFKLNLHDPLGVLDGWDASTPSAPCDWRGVVCYNNRVYELRLPRLHLGGRLTHHLSTLRDLRKLSLHTNNFNGSIPASLSQCALLRALYLHDNSLSGTLPAAILNLTNLQILNVAHNFLSGKISADIPASVRYIDLSSNTFSGDIPSNFSADSNLQLINLSFNQFSGGVPATIGALQNLQYLWLDSNQLYGTLPSAISNCSSLVHLSADDNELRGLVPTTIGAMPKLQVVSLSGNELSGSVPASLLCNIANYDSSLRIVELGFNALTSIAKPPNIARCSSVLGVLDLKSNRIRGPFPSWLTNLTTIIRVLDLSGNLFSGALPAEIGNLLRLEEVRLANNSLSGELPGGIVKCSLLQVLDFEGNRFWGQVPSFLGGIRSLKVLSLGWNFFAGAIPSSLGALSELESLNLSNNNLTGKVPDELVMQLTNLTILNLSNNKFSGEINVGELRSLQVLNLSNCGFSGGIPATIGGLMKLETLDLSKQKLYGEMPIEIFGLPSLKVVALQENHLSGDVPQGFSSLISLLYLNLSSNAFAGDIPATYGFLKSLSVLSLSENRISGAIPQELGDSLSLQVLELRSNQLRGKIPGDISRLSNLKELDLGDNKLTGEIPEEISKCSSLSSLLLDGNQLSGHIPNSLPKLLNLTVLDLSSNKLSGAIPENLSLIIPRLKYLNVSNNNLAGEIPKPLGSQFNDPSVFAMNRNLCGKPLDRECADVRRRKRNRLILLIGVAVGGACLLALCCCGYVYSLLRWRKKLREMVGGGQKKRNSPRTSSGGDQRSRGSGENGGGPKLVMFNSKITYAEALEATRQFDEENVLSRGRYGLVFKATFQDGMVLSIRRLPDGFLDVGGFRKEAEALGKVKHRNLTVLRGYYAGPPGVRLLVYDYMPNGNLGTLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHSVSIVHGDVKPQNVLFDADFEAHLSEFGLDRLTIATPAEASSSSTPIGSLGYVSPEAALTGEATKEADVYSYGIVLLEILTGRKPVMFTEEDEDIVKWVKRQLQRGQVSELLEPGLLELDPESSDWEEFLLGVKVGLLCTAPDPHDRPSIADVVFMLEGCRVGPDIPSSADPTSLPSPV >ONI34266 pep chromosome:Prunus_persica_NCBIv2:G1:39267904:39270793:1 gene:PRUPE_1G472100 transcript:ONI34266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASNLRTPLNPPSSVSPSSLKLPDFKQSVKLKYVKLGYHYLITHGMYLFLSPLFVLTVAQLSTFSLQDLHDLWDHLRFNLISVVLCSTLLVFLLTLYFLTRPRPVYLVDFSCYKPEDARKCPRQIFMDRSHFIGTFTGENLEFQRKILERSGLGESTYLPEAVIQVPPNPCMAEARKEAEMVMFGALDQLFEKTSIKPKDIGILIVNCSLFNPTPSLSAMVINHYKLRGNIISYNLGGMGCSAGLISIDLAKDLLRVHPNTYALVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNNGSDRRRSKYQLVHTVRTHKGADDKCFSCVTQKEDFAGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGRKLFKMKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDRHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRMKKGDRTWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIHQFPVDVPKVSAL >ONI34267 pep chromosome:Prunus_persica_NCBIv2:G1:39267904:39270793:1 gene:PRUPE_1G472100 transcript:ONI34267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTCSYPLYLFSLWHSSLHFHSKISMTFGTISGTFTGENLEFQRKILERSGLGESTYLPEAVIQVPPNPCMAEARKEAEMVMFGALDQLFEKTSIKPKDIGILIVNCSLFNPTPSLSAMVINHYKLRGNIISYNLGGMGCSAGLISIDLAKDLLRVHPNTYALVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNNGSDRRRSKYQLVHTVRTHKGADDKCFSCVTQKEDFAGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGRKLFKMKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDRHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRMKKGDRTWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIHQFPVDVPKVSAL >ONI28237 pep chromosome:Prunus_persica_NCBIv2:G1:10534992:10535640:1 gene:PRUPE_1G133100 transcript:ONI28237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMWRRSGELSVICSAPRPWAFDQWLFEYIQGKNKYGEKIEMTAPVLSEVSPSDGPFCESSFVVSFYVPKKNQENPPPAEGLHLQKWKTTYVAVRQFSGFVSDDSVGEEAAALKASLAGTVWSAAIEKSHAADHTSVYT >ONI34787 pep chromosome:Prunus_persica_NCBIv2:G1:41328156:41328831:1 gene:PRUPE_1G499200 transcript:ONI34787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPNNTKLVQKKSHICFPSSSHIFLFFSYCYEIASNLIITNIYTQDLTVSYIANYNISHYISPDI >ONI26265 pep chromosome:Prunus_persica_NCBIv2:G1:1031855:1035524:1 gene:PRUPE_1G013700 transcript:ONI26265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIPDPGELTELTPPSFDEFQRQTSLMTSCTLLWKELSDHFTSLEQNLLKKSEAIKRKIQNLEQETKESLDELEQREVTIMESVEIAVGKVEKSKEAALKVLKRGRSDDDDGEVDDNEGLLMNLKSLCLKMDSGRFWRFVTARKKELEALRSQMPLALADCVDPAKFVLEAISEVFPVDKRVDKSERGNDLGWACVLVLESLIPVVVDPKIGKSRLLVTPSVKERAKEIAETWKASLEERGGIENVKTPDVHTFLQHLVTFGIVKEEDVDLYRKLVVGSAWRKQMPKLAVSLGLAKKMPDMIEELISRGQQLDAVHFTYEVGLVHKFPPVPLLKAFLKDAKKAAASIMEDPNNAGRAANLAGRKEQSALRAVVKCIEDYKLEAEFPPENLKKRLEQLEKVKPEKKRPAAVPANKRTRANNGGPMPPAKAGRLTNAYVSSFPTPPPTFVRSPSHAQYPAGYSPYHSPPTMYGSRSPPTNPYAYSPEAAPPLAGSYPGAPMNYPAYGGYGNGMVPAYQQAYYR >ONI26266 pep chromosome:Prunus_persica_NCBIv2:G1:1031855:1035433:1 gene:PRUPE_1G013700 transcript:ONI26266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIPDPGELTELTPPSFDEFQRQTSLMTSCTLLWKELSDHFTSLEQNLLKKSEAIKRKIQNLEQETKESLDELEQREVTIMESVEIAVGKVEKSKEAALKVLKRGRSDDDDGEVDDNEGLLMNLKSLCLKMDSGRFWRFVTARKKELEALRSQMPLALADCVDPAKFVLEAISEVFPVDKRVDKSERGNDLGWACVLVLESLIPVVVDPKIGKSRLLVTPSVKERAKEIAETWKASLEERGGIENVKTPDVHTFLQHLVTFGIVKEEDVDLYRKLVVGSAWRKQMPKLAVSLGLAKKMPDMIEELISRGQQLDAVHFTYEVGLVHKFPPVPLLKAFLKDAKKAAASIMEDPNNAGRAANLAGRKEQSALRAVVKCIEDYKLEAEFPPENLKKRLEQLEKVKPEKKRPAAVPANKRTRANNGGPIILLGTHRTIPHPPCMAAEVHQPILMRTHLKPPLHLPDHTLELP >ONI29886 pep chromosome:Prunus_persica_NCBIv2:G1:23421277:23424076:1 gene:PRUPE_1G219800 transcript:ONI29886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKSRSGEGVPCDFCTDQPAVLYCKADSAKLCLFCDQHVHSANLLSRKHVRSQICDNCASEPVSVRCSTDNLVLCQECDWDAHGSCSVSAAHDRTPLEGFSGCPSALQLASLLGLDLHDKNVPGQPDPQLQNWDMGMPSLDSSWSGMGLQDLMVPNHNQNGVVYPNDELMVKRQSAGGISGKQKQGIQKQLVELLKRDLDGGGGGGGGGGCGDGGENLVVPRTPTSSAWQEDNGNGNVEGLEPLDLGNRNGGVDGVVVSAATSQPLLQQQAPFTSLLMMPEENRGIVDGDMLWNSNPHGQSSEIWDFHLGRSRDHEESGPLEVTYGSNDSGFMIKNFGELMKETSLTDTKMFRDLYQMNCPVGHDDIKFSNNSNNPTPSQGPATSESNNIPVGRPSLGSAFGEDKGSGASTDLNFMEQSFLMRGDSLRTVGTKADMELLAQNRGNAMLRYKEKKKTRRYDKHIRYESRKARADTRKRVKGRFVKATEAPDG >ONI35234 pep chromosome:Prunus_persica_NCBIv2:G1:42972557:42974519:-1 gene:PRUPE_1G524300 transcript:ONI35234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLIRNTIIITTAVAPCSSSRHLQVSSFGPSCLRTNRRDRCHFLGNRNFLQSILKVQNGTKIKMDVVVNGAEPGTPLPSDPSSGTSWKLWLVGILISVVVPFWKNKWWPLQKFREQIETTLDSVEDVAELVEKVAGEVEKVADDIADHLPQGDLQKAARFVENVAREAAKDASLADDLIEKVEDVEKEVDSIIDQANEKIKTSDDTEKETAKIKDATDIKNKITEIKEANNIKKGDN >ONI35233 pep chromosome:Prunus_persica_NCBIv2:G1:42972318:42974859:-1 gene:PRUPE_1G524300 transcript:ONI35233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLIRNTIIITTAVAPCSSSRHLQVSSFGPSCLRTNRRDRCHFLGNRNFLQSILKVQNGTKIKMDVVVNGAEPGTPLPSDPSSGTSWKLWLVGILISVVVPFWKNKWWPLQKFREQIETTLDSVEDVAELVEKVAGEVEKVEDVEKEVDSIIDQANEKIKTSDDTEKETAKIKDATDIKNKITEIKEANNIKKGDN >ONI31143 pep chromosome:Prunus_persica_NCBIv2:G1:29232457:29238735:1 gene:PRUPE_1G295600 transcript:ONI31143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLSRSLATASLASLPSSSPFTLRNPSKALSLRSAFVPQNGLRKGFSCGGLKWKLESKNRGISIRCDAAVAEKEATDTPGEKFEYQAEVTRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDAGELQIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPRSDKQYVWEAAADSSSYVIREETDPENLIRRGTQITLYLRPDDKYEFSEPARIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEPKEGEEPKPEGEKKKKTKTEKYWDWELANETKPIWMRNPKEVEKDEYHEFYKKTFSEFLDPVAYTHFTTEGEVEFRSVLYIPGMGPLNNEDVVNAKTKNIRLYVKRIFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEISESENKEDYKKLWENFGRFLKLGCIEDSGNHKRLTPLLRFYSSKSEEELISLDDYVENMPENQKAIYYLAADSLKSAKSAPFLEKLVQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKERETEQEYNLLCDWIKQQLGDKVAKVQVSKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTASLEFMRGRRILEVNPDHPIVKDLKAACKNAPDSTDAKRAVDLLYDTALISSGFTPDSPAELGNKIYEMMAMALGGRWGRSEDEEAETEVADGDAGESSDASAGEVVEAQVIEPSDVRAESDPWSD >ONI34042 pep chromosome:Prunus_persica_NCBIv2:G1:38501266:38503235:-1 gene:PRUPE_1G460300 transcript:ONI34042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQQFSRIDLGDLKAQIVKRIGAERSKRYFNYLNRLLSQKLSKKEFDKLCHRVLGSENLPLHNHFIRSILKNACQAKTPPPIHSSVPAKPGIQAANSSPSREDGQEQSGTLFPNQNQNVPVWQNGVLPVSPRKGRSGIRDRKLRDRPSPLGPNGKVDSVSHQSMGSEDNGSKVIVENGDVHPYDYQRPTQHLQTVAELPESERDGAVRQPIEKPRIHSKDQANLAVIEDEEEVEQSNRLSFSRSPLLAPLGIPFYPASIGGARKALPVGSSCDFVSYYDNGGLSDSETLRKRMEQIAAAQGLGGVSTECANMLNNVLDVYLKRLIRSCVELVGARSTSEPKKSAAPKQQIQGKIINGMWPSNHLHMQSGSGPVEEQRPRCSISLLDFKVAMELNPQQLGEDWSLLLEKICMQAFEE >ONI28903 pep chromosome:Prunus_persica_NCBIv2:G1:13653987:13655885:-1 gene:PRUPE_1G167700 transcript:ONI28903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLRFLAMLLFLLLASTAKANPSVFDVTSATYGAKPGSDVTKALAKAWSDACASPSASKVVVPSGTYKLKEATFRGPCKAPIEMQVRGTLQAPANAGQFTRPDTWVGFQYIDKLTLSGGGTFDGQGALVWGQNDCHKNKNCKPMPINLRFEFITNSRVQDITSLNSKNFHMHVFRCKYTTFQHLTITAPEESINTDGIHIGASTGVNITHAKIRTGDDCVSIGDDSHQITVTDVTCGPGHGISIGSLGRYKEEKAVTGIIVKNCTLTNTQNGVRIKTWPDSPSSSTASDIHYENIIMVNVSNPILIDQLYCPYTRCDQKPPSKVKINNVSFKNIKGSSFTPLAVKLVCSRGLPCENVKLTNIDLTYSGDQGPLTSLCSNVKPTITGMKKALACATSSLAPLPLSKK >ONI28072 pep chromosome:Prunus_persica_NCBIv2:G1:9516834:9517458:1 gene:PRUPE_1G121200 transcript:ONI28072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHDETNSRQGYAGNAPNRKVIKIKGVDISDNSGDTMGFSNFANTRNGADSGSCEIEAENINMKGNKGNLKGFTDFANTGDVGKTGRQVLTTSGRRRRRLLN >ONI26869 pep chromosome:Prunus_persica_NCBIv2:G1:3620367:3623138:1 gene:PRUPE_1G051800 transcript:ONI26869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLESVRDFTIQEAKFLSGVSQQAEVVQTELQLMQGFLKDADARQGQDETVRIWVAKIRDAAYDLEDVIQTYGLKVVSKKKRGVKNVLRRFACIFNEGVDVHRIGKEIENITTRISELRSNLQKYNIKELTTDRDGNDGESSFQLQERLRRTRSHVVECDVVGLESNVEELVMHLVKDENRHRVVSIWGMGGLGKTTLARQLYHNKKVRQHFHSFAWVCVSQRFQVRNVWEGILIELISATKEQKQEIKDMTDDEIAKKLFLVLQEMRCLVILDDIWRIETWNLLKDAFPNVKTESTILLTTRNQAVALPSNRSAFLHELQALNEKKSWELFEKIAISGRADIDLGIFTKKRELGMKMLRHCAGLPLAIIVLAGVLARKNTVREWERVHENVHEYIRRGIGHEEEYEGASWVLALSYDDLPYYLKPCFLYLGHYPEDSEFLVSELTKLWVAEGLISLRQQRHGSRETMEDIAHDYLSELVERCLVQVRTSGSTGTIKGCRIHDLVRDMCLLKAKEESFLQINNSLQENNSSVAAEAAQLGKIRRLAIYLDEKADRLVSSRDETNGHVRSLLYFFPQGWMPRNIEGLLSPLKDFKVLRVLKVECLNQVEVELPSEIGNMVHLRFLSVKWSDIKKFPPSLGNLVCLQTLDFRVSNYVAVVIPNVIMKMKQLRHLYLPRNYRAKGKLELSTFGHLQTLYNLSSEYCDLKDVGRLTNLRKLVIIVSSTLQNMEEILKSTGNTLNRIRSLLVFVDKQ >ONI33128 pep chromosome:Prunus_persica_NCBIv2:G1:35730554:35731680:1 gene:PRUPE_1G407500 transcript:ONI33128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTCSLVTKLCVKKAFTTFLVAKLMSNSNNFRAQESPENDFSEQSNFEFSEYLMIGEWLDEDHPTSMALETVQNSGYQANEVDESRGSSSQLGGSNSRENESGSVQERQEVRERVAFKTKSEVEILDDGFKWRKYGKKMVKNSPNPRNYYKCSVEGCPVKKRVERDRDDPGFVITTYEGVHNHLSL >ONI32985 pep chromosome:Prunus_persica_NCBIv2:G1:35196836:35199864:1 gene:PRUPE_1G397500 transcript:ONI32985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALHSLLGSTVNALCKVSITHCREIKLGVLADVYYGNNILNGYARCHDFFLAHKMFDDMPQRDTVSWNTMIAGHVNYGNFETAWEILREMKGCGFDFDGYTFGSILKGVACAYRCDLGEQVHSMVVKTGYVGNVYSGSALLDMYAKCGRVEEAYVVFDSLPERNSVSWNALIAGFVQVGDRKTAFWLMNCMEQEGVKLDDGAIAPILTLLDDAEFYKSTMQIHCKILKHGLDCKSTVCNATITSYSECGSIEDAETVFDGAFGSRDLVTWNSMLAAYLIHKKELLACKLFIDMQMLGFEPDIYSYTSIISAYSEDVHKNHGKSYHGLVIKRGLEKSEPISNALIARYLKSNSNSMEEALQIFKSMESKDRVSWNSILTGLSQMGLNEYALKFFGHMRYAEVDIDHYAFSAVLRSCSDLATLQLGQEIHALAHKSGLESNEFVASSLIFMYTKCGIIEDARKSFEQTPKDSSITWNSIIFGYAQHGQGYVALDLFFQMKKEKVKLDHITFVAVLTACSHMGLVEQGCKFLMSMESDYGITPRMEHYACAVDLYGRAGRLDEAKALIEAMPFKPDAMVLRTLLGACRACGNIELASQIAGYLLELEPEEHCTYVLLSDMYGHLKRWDEKASVKRLMRERGVRKVPGWSWIEIKNEVFSFKAEDRSHSHCEEIYCVLGVLMEEIKRMDFDANVKALLHDLDPMDWYCDNALLQNCDSVLSAA >ONI30497 pep chromosome:Prunus_persica_NCBIv2:G1:26490996:26495028:1 gene:PRUPE_1G254100 transcript:ONI30497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRAYSDQNDEELNEPEQQNLNPSSTTSSPDASPPRLLPAKSAAPNVDDTMLALTVADGQRSLSRPIDPTQHIVGFNPTYDQLWAPIYGPSHPYAKDGIAQGMRNHKLGFVEDASIEPFVFDEQYNTFHKYGYAADPSASAGYNYVGDFEALQKNDAVSVYNIPQHEQKKRKIEKRKELEEDEGVDDDMDPEEVQNPASDVWLMKNKKSPWAGKKDGPPTELTEEQKKYAEEYAKKKGEERGGGDKGEVVVEKTTFHGKEERDYQGRSWIAPPRDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPNYGHLILSAGMDTKVKIWDVFNTGKCMRTYMGHSKAVRDICFNNDGSKFLTASYDKNIKYWDTETGQVISTFSTGKVPYVVKLNPDEDKQNVLLAGMSDKKIVQWDMNEGKINQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSMDNQILIYSTREKFQLNKKKRFAGHVVAGYACQVNFSPDGRFVMSGDGEGRCWFWDWKTCKVFRTLKCHEGVCIGAAWHPLEQSKVATCGWDGLIKYWD >ONI30496 pep chromosome:Prunus_persica_NCBIv2:G1:26490966:26495098:1 gene:PRUPE_1G254100 transcript:ONI30496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRAYSDQNDEELNEPEQQNLNPSSTTSSPDASPPRLLPAKSAAPNVDDTMLALTVADGQRSLSRPIDPTQHIVGFNPTYDQLWAPIYGPSHPYAKDGIAQGMRNHKLGFVEDASIEPFVFDEQYNTFHKYGYAADPSASAGYNYVGDFEALQKNDAVSVYNIPQHEQKKRKIEKRKELEEDEGVDDDMDPEEVQNPASDVWLMKNKKSPWAGKKDGPPTELTEEQKKYAEEYAKKKGEERGGGDKGEVVVEKTTFHGKEERDYQGRSWIAPPRDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPNYGHLILSAGMDTKVKIWDVFNTGKCMRTYMGHSKAVRDICFNNDGSKFLTASYDKNIKYWDTETGQVISTFSTGKVPYVVKLNPDEDKQNVLLAGMSDKKIVQWDMNEGKINQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSMDNQILIYSTREKFQLNKKKRFAGHVVAGYACQVNFSPDGRFVMSGDGEGRCWFWDWKTCKVFRTLKCHEGVCIGAAWHPLEQSKVATCGWDGLIKYWD >ONI30498 pep chromosome:Prunus_persica_NCBIv2:G1:26490970:26495117:1 gene:PRUPE_1G254100 transcript:ONI30498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRAYSDQNDEELNEPEQQNLNPSSTTSSPDASPPRLLPAKSAAPNVDDTMLALTVADGQRSLSRPIDPTQHIVGFNPTYDQLWAPIYGPSHPYAKDGIAQGMRNHKLGFVEDASIEPFVFDEQYNTFHKYGYAADPSASAGYNYVGDFEALQKNDAVSVYNIPQHEQKKRKIEKRKELEEDEGVDDDMDPEEVQNPASDVWLMKNKKSPWAGKKDGPPTELTEEQKKYAEEYAKKKGEERGGGDKGEVVVEKTTFHGKEERDYQGRSWIAPPRDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPNYGHLILSAGMDTKVKIWDVFNTGKCMRTYMGHSKAVRDICFNNDGSKFLTASYDKNIKYWDTETGQVISTFSTGKVPYVVKLNPDEDKQNVLLAGMSDKKIVQWDMNEGKINQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSMDNQILIYSTREKFQLNKKKRFAGHVVAGYACQVNFSPDGRFVMSGDGEGRCWFWDWKTCKVFRTLKCHEGVCIGAAWHPLEQSKVATCGWDGLIKYWD >ONI35190 pep chromosome:Prunus_persica_NCBIv2:G1:42764094:42766612:-1 gene:PRUPE_1G521400 transcript:ONI35190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDHKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGESDLPGLTDTEKPRMRGPKRASKIRKLFNLSKVDDVRKYVNTYRRSFTSKTGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRVAKAKSEAAEYQKLLASRLKEQRDRRSESLAKKRSRLSAASKPSIAA >ONI35191 pep chromosome:Prunus_persica_NCBIv2:G1:42764120:42766338:-1 gene:PRUPE_1G521400 transcript:ONI35191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDHKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGESDLPGLTDTEKPRMRGPKRASKIRKLFNLSKVDDVRKYVNTYRRSFTSKTGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRVAKAKSEAAEYQKLLASRLKEQRDRRSESLAKKRSRLSAASKPSIAA >ONI29735 pep chromosome:Prunus_persica_NCBIv2:G1:22497186:22498508:-1 gene:PRUPE_1G211900 transcript:ONI29735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGDSMTVQVLNLSPRTTLAELNTFFSYCGTVRQIQLLRVEDQLPYALVTFGQPYAFQTALLLNNAIFEGQPICILPACATKIPIVSDGDIDHTQAKSQGVIPEANMLRKKQGRSREVEAVGESRVLMGQTRSAIYVVEQAAGRRMGTAIMNNNYISTGAARFSDVLDKASRSASELGIRRKG >ONI30807 pep chromosome:Prunus_persica_NCBIv2:G1:28026980:28033168:1 gene:PRUPE_1G274200 transcript:ONI30807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALNVHRPDPIINNASATSRVVQLEKTPVRTYSNNCSKCAGLLQKTLRPGNKPCSLLIGTSLELLREGKPLISPTPFPFIAAAPPLLSLSLSLSLHFSLSATATVIITSTDSLLPSPMDGSDASPVGSPDRASSEIQRQPSDDDVINLLGPAVSPRISNKKLLSRSEHEDKGDFAISPKHFKVAWVKLMTVCIAVLQQQNSAITSIHGL >ONI28965 pep chromosome:Prunus_persica_NCBIv2:G1:14400968:14402172:1 gene:PRUPE_1G172300 transcript:ONI28965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSSSKLTYIPEEIVREILLRLPAKSLVMCIAVCKSWSFLIKRCNFIDAHLSRKLSFQSNHQIVDGCQVLVLENHTKLNLLSCYGDILFHNPALPGGAADYITELMNPPISNENTGFDMVQTRNGLICLTCSKDDDIAATDIFLWNPSIRRYVVLPRPNFIVYLKNYYNIVRHYFGYDSHTNDYKVLRCVSNHSTLVAVEIYSLARGSWKTLTASAPTYFGFGAATWPFSYWAVVNDALIGTELFGEIMMPQGVRKIEHRYVDSNVWMSKHKGSVALLECSNMFTQNSETQLHLWVMEEYGVVESWTKLYTYSMAEYFLFSLGFNISHEHVFMLEIRKTLPPSPLKNLGIGESQKTHRGDILNFFVESLVLLGHPNAISY >ONI30662 pep chromosome:Prunus_persica_NCBIv2:G1:27357384:27358902:-1 gene:PRUPE_1G265400 transcript:ONI30662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCGIGWIKDVGSRVHNSILFLWAVGWRKLARMDLVFCLYELVTTFSIHSSTHKGNKLYLFFLGNLITP >ONI33623 pep chromosome:Prunus_persica_NCBIv2:G1:37215967:37217708:-1 gene:PRUPE_1G436200 transcript:ONI33623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGGKIMKQVLEETKVNMPRPKGNMSKIEVPSFLNGVMGKGMMKIGMVNMEEDDVSQWNRLGQTIPILFDRVSDLFKWDDLFPEWIDEEEESDVPTCPEIPMPDFRIYEKMDMVVAKLPCKYPEEGWRREVFRLQVHLIAANLAVKKGKRDWNRKATKVVLWSKCRPMLEIFRCNDLVRQEGNWWLFEPDMARLQQKMSLPIGSCNLALPIWGQQGIDQVYDLTKIQSTTNKPKREAYVTVLHSSASYVCGAITLARSLLQTGTKRDLILLLDDSIPASKRAALSAAGWKLRFIQRIRNPRAENGTYNEYNYSKFRLWQLTEYNKVIFIDADIIVLRNLDLLFHFPQMSATGNDVYLFNSGIMVIEPSNCTFKFLMDHREDIVSYNGGDQGYLNEVFVWWHRLPRRVNFLKNFWANTTIEASVKNELFGADPPKLYAIHYLGWKPWLCYRDYDCNWDVADQRVYASDVAHERWWRVHDAMDGRLQRFCGLTKVRRTYLDWERRKARKFGFPDEHWKINVTDPRRKHLVA >ONI33622 pep chromosome:Prunus_persica_NCBIv2:G1:37215775:37218139:-1 gene:PRUPE_1G436200 transcript:ONI33622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGHVGLQKIMKATPSKAALVIRINLVFLAFFFVVYAAVLLQPSSSIYYENAASLIRCSLRECHHKMDNGGKIMKQVLEETKVNMPRPKGNMSKIEVPSFLNGVMGKGMMKIGMVNMEEDDVSQWNRLGQTIPILFDRVSDLFKWDDLFPEWIDEEEESDVPTCPEIPMPDFRIYEKMDMVVAKLPCKYPEEGWRREVFRLQVHLIAANLAVKKGKRDWNRKATKVVLWSKCRPMLEIFRCNDLVRQEGNWWLFEPDMARLQQKMSLPIGSCNLALPIWGQQGIDQVYDLTKIQSTTNKPKREAYVTVLHSSASYVCGAITLARSLLQTGTKRDLILLLDDSIPASKRAALSAAGWKLRFIQRIRNPRAENGTYNEYNYSKFRLWQLTEYNKVIFIDADIIVLRNLDLLFHFPQMSATGNDVYLFNSGIMVIEPSNCTFKFLMDHREDIVSYNGGDQGYLNEVFVWWHRLPRRVNFLKNFWANTTIEASVKNELFGADPPKLYAIHYLGWKPWLCYRDYDCNWDVADQRVYASDVAHERWWRVHDAMDGRLQRFCGLTKVRRTYLDWERRKARKFGFPDEHWKINVTDPRRKHLVA >ONI31139 pep chromosome:Prunus_persica_NCBIv2:G1:29221950:29222697:-1 gene:PRUPE_1G295400 transcript:ONI31139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSYCLLLFVLVSAASIWGIYDSFYNLYIYLQGQDIDEVEDKKIGGRKMGAIHKVVRLEKEVKVEVDAVSGRKSNSKTASSSSSELEGKSPRRSHEANTIGNGESGQSSSVKGGVDHDHNDEYSAGFVAFSQDYHSPRHHPPKNN >ONI29999 pep chromosome:Prunus_persica_NCBIv2:G1:23931704:23934190:-1 gene:PRUPE_1G226000 transcript:ONI29999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSEKGIEINKEKELKHLGIFRVVAIHTLVCLSNLYDYAKQNSGPLRSTVGTVEGAVTAVVGPVYRKFKGVPDDVLAFLDTKVDQAAGKFDKHAPPLAKQVASQTHVLIQKTLEKGQKFVKEAQTGGPRSAIHYAATEYKQFVLNQSVKLWVGLNQYPSIHKVAEKAAPTAAQWSDKYNRTVKDFTRKGYAIFGYLPLVPIDEISKAVEKGEAKKKENAAVPVLHSSDSSDSD >ONI29130 pep chromosome:Prunus_persica_NCBIv2:G1:16078560:16079724:1 gene:PRUPE_1G182800 transcript:ONI29130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPFTGSSRKLSETGTELPRKETETVLPQKETESELLQNRTSEAENSGQNYNIHENDIETEDGKEIGIFDFNNEYYEDGAVDRHPGRKVQNNTDYNFKGNKIRPKKGQSVGIGKFGNKYYNSRKDEARSSSEEEGTSKKPWYKCWSK >ONI30627 pep chromosome:Prunus_persica_NCBIv2:G1:27176402:27179183:1 gene:PRUPE_1G263300 transcript:ONI30627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHSRASASELINRAKEAVTLITQPRTLASPPLFTSRPANEHLASQSHRSFATMNRNDDPIEALFQQKRSLRSRMRKELRNMDPVRRSEEDAAIQSIVVNNPWFKSSKSVCAYISSPALREVDTTRIVSEILSKPANGSDVPNRKKLYVPRVEDRNSNMRMLRISSVDDLIVNSMNILEPALSDSEGKQHEDVMEARDPVDLFILPGLAFDRCGRRLGRSGGYYDLFLKKYQELTKERKWKEPLRVALSYSIQIVEEGAIAVTSNDVSVDALVSPAGVIPISPAAWERSMG >ONI30629 pep chromosome:Prunus_persica_NCBIv2:G1:27176346:27179183:1 gene:PRUPE_1G263300 transcript:ONI30629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHSRASASELINRAKEAVTLITQPRTLASPPLFTSRPANEHLASQSHRSFATMNRNDDPIEALFQQKRSLRSRMRKELRNMDPVRRSEEDAAIQSIVVNNPWFKSSKSVCAYISSPALREVDTTRIVSEILSKPANGSDVPNRKKLYVPRVEDRNSNMRMLRISSVDDLIVNSMNILEPALSDSEGKQHEDVMEARDPVDLFILPGLAFDRCGRRLGRSGGYYDLFLKKYQELTKERKWKEPLRVALSYSIQIVEEGAIAVTSNDVSVDALVSPAGVIPISPAAWERSMG >ONI30628 pep chromosome:Prunus_persica_NCBIv2:G1:27176305:27179192:1 gene:PRUPE_1G263300 transcript:ONI30628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHSRASASELINRAKEAVTLITQPRTLASPPLFTSRPANEHLASQSHRSFATMNRNDDPIEALFQQKRSLRSRMRKELRNMDPVRRSEEDAAIQSIVVNNPWFKSSKSVCAYISSPALREVDTTRIVSEILSKPANGSDVPNRKKLYVPRVEDRNSNMRMLRISSVDDLIVNSMNILEPALSDSEGKQHEDVMEARDPVDLFILPGLAFDRCGRRLGRSGGYYDLFLKKYQELTKERKWKEPLRVALSYSIQIVEEGAIAVTSNDVSVDALVSPAGVIPISPAAWERSMG >ONI33959 pep chromosome:Prunus_persica_NCBIv2:G1:38255864:38258187:1 gene:PRUPE_1G455900 transcript:ONI33959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKDLDDDIDDAFYFPSSKSRRLDADLFATVNEDPRSAAQVFEERPSEGTILMQTDDLPKDPLPSGDEKAIVLYNPANMPPLLKSPDSRDFSLIVNSDLIPGLRDHIYSWGNSKSLKPAEDRVAEEENKEVSNGCLAVVPWVASKFPLASREQAASQSEEPMEAEEVEMMDTDNNGYNAGEAPGFGRMMEGSGGLQHWQQKQLHWLEPKLVQNNYTPVT >ONI33843 pep chromosome:Prunus_persica_NCBIv2:G1:37925758:37927117:-1 gene:PRUPE_1G449500 transcript:ONI33843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIALGTTSEAALPELWRALVVEFITTFLFVFAGVGSAMATDKLEADALVALFATAVTHALVVAVMISAGHISGGHLNPAVTLGLLVGGHITVFRSLLYWIDQLLAAAAACYLLEYLTGGLTTPIHSLASGVGYLQGVIWEIILTFSLLFTVYATIVDPKKGALNGLGPTLTGFVVGANILAGGAFSGASMNPARSFGPALVSWNWTDHWVYWVGPLIGGGLAGCIYENFFIFRPTTHLPIPTEEEAF >ONI26926 pep chromosome:Prunus_persica_NCBIv2:G1:3923721:3924134:-1 gene:PRUPE_1G055500 transcript:ONI26926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFNGSSVVLLITMTLFLLTMTPTSGAVKTRQVRVTSELEGGKPLTVHCKSKDDDLGVHVLQPKGSYEFSFKPNIFITSTLFFCSFQWPGAFHWFDIYVDARDNKICSKCHWVVYEDGPCMYNWSDQQYNICHTWN >ONI30240 pep chromosome:Prunus_persica_NCBIv2:G1:25384299:25385428:-1 gene:PRUPE_1G239600 transcript:ONI30240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMATMAIINAKCMSTNTPKVVTKLTTKPTFLLSLQNLPKGLTSSKPVEIPSINMSPLASTAIAGAIFSTLSSSDPAMAAQQIAQIAEGDNRGLALLLPLIPAIAWVLFNIFQPALNQINRMQNKGVIVGLGLGGLAASGFISTPLASASEIAMIAADAPANDNRGQLLLIVVAPAIVWVLYNILQPALNQINKMRS >ONI30832 pep chromosome:Prunus_persica_NCBIv2:G1:28122517:28124906:-1 gene:PRUPE_1G275800 transcript:ONI30832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSFYLLLLFVDLALCSSWLQGRTLPHMTSDVNDLSGKSFDYIVVGGGTAGCPLAATLSEKFSVLLVERGSSPYGNPLVLETKNYGLSLLQTDKYTSVAQSFISNDGVPNLRGRVLGGSSAINGGFYSRASEDFVQKVGWDKEAVMGAYQWVESRIVFKPELTPWQYVAEFSFLEAGIFPYNGFSLEHIEGTKVGGSVFDEWGRRHTSADLLEAGNPNNITVLLNATVKNVIFREKGNRNETIARGIRFIKSDGNSSQTYDAYLNQPENSCSWGDVILAAGALGSPQILLLSGIGPQKHIKKFNIQLAADLKGVGKGMKDNPGIALLADSKPKNFPPEPPKVVGIADHFKIIIEAGILPISLNATVMPIAAKLAFPESEGKLELNSTDPRENPSVTFNYLAKEKDWARCVKLGQLLERVVRSESIAFFLGLERKNELMSTEDELRKLCKKNVRTFYHYHGGCSVGSVVDKDYRVYGVKGLRVVDGSTFLESPGTNPMATLLMLGRYQGIKILEDRN >ONI28209 pep chromosome:Prunus_persica_NCBIv2:G1:10307704:10309344:1 gene:PRUPE_1G131400 transcript:ONI28209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENLGDLGRVLKESRGGRDLEQPVSWAEYVAGVLGGLRGRPEPENGPKRGRGSFKIFALCLCRGGPKGLCLPSPCWYAINCNIPNRPFGFCPSPDFGLGLGGCPPAPP >ONI33380 pep chromosome:Prunus_persica_NCBIv2:G1:36362703:36362948:-1 gene:PRUPE_1G420600 transcript:ONI33380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLGHCICMLIQNCMNIDNTKINYKKQEEKIIIRYYCVCDQKQGMTARVVHSLPGLAEPEIAGNPHTYTNLSFTRGNLRK >ONI26325 pep chromosome:Prunus_persica_NCBIv2:G1:1203695:1204270:-1 gene:PRUPE_1G017500 transcript:ONI26325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFAPFYLLLALFVFTSVRKMMPMVNADECTLATIGGGCPDIDECALTCSTCYRNVGRTRFYCESAGGIDSCICAMANGAPCNPPGSPRCPNWPRSIPAAANFTAN >ONI28684 pep chromosome:Prunus_persica_NCBIv2:G1:12234605:12235403:-1 gene:PRUPE_1G155000 transcript:ONI28684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDIAPSSGGKQHPGKLTARVLITCIVAATGGLIFGYDLGVSGGVTSMDSFLKQFFPAVYQKESSIKPSDDQYCKFNSQTLTLFTSSLYLAALVACIFASTITRVCGRRLTMILGGVLFLAGALVNAFANAVWMLYVGRLLLGFGIGCANQSVPIYVSETAPSKYRGALNMMFQLSITIGILAAGVLNYFFAEIKGGGGWRLSLGGAAYQIGKK >ONI26934 pep chromosome:Prunus_persica_NCBIv2:G1:4010345:4015753:1 gene:PRUPE_1G056300 transcript:ONI26934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFASSLASKARVARNCSKQIGGTLSWSRNYAAKDIKFGVEARALMLNGVEDLADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDKIKNVGASLVKQVANATNDVAGDGTTCATILTRAIYTEGCKSVAAGMNAMDLRRGITMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITISDGKTLDNELEVVEGMKLDRGYISPYFITNQKNQKCELENPLIVIHEKKISSINAVVKILELALQKQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKSSLQDLAVLTGGSLITEELGLNLDKVELDMLGTCKKVTISKDDTVILDGAGDKKAIDERSEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASETEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELEKLPTANFDQKIGVQIIQNALKAPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLLTTTEAVVVELPSDKETPAMGGGMGGGMGGMDY >ONI28115 pep chromosome:Prunus_persica_NCBIv2:G1:9778318:9779524:1 gene:PRUPE_1G124100 transcript:ONI28115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKSPIFPMPQPQHFSDYGFDPQIDYFQVLEEARRHKRETTRSIDSIHFKLQKPISKDDPKKTHKAKKKRWWKSALLFFKCITHHHHGHNNRSEEDVHQARANAFRASISGPVYITESRSGSSTPYRTTSRPSSGPLSGTLSPASRGELDIPYLSLRELNMEQQQQRNSTSAMPIYLVT >ONI29075 pep chromosome:Prunus_persica_NCBIv2:G1:15478844:15485000:1 gene:PRUPE_1G179500 transcript:ONI29075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKKKKKKNRKFTETIELQIGLKNYDPQKDKRFSGSVRLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEGLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRFFGWFVSTFLITYINVIILYCFLFPLVLTGITPKEEPSINLKLLFRFMFINHGADKRFHEHYVSRSGPEVP >ONI29076 pep chromosome:Prunus_persica_NCBIv2:G1:15479672:15480202:1 gene:PRUPE_1G179500 transcript:ONI29076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKKKKKKNRKFTETIELQIGLKNYDPQKDKRFSGSVRLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEGLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRFFGWFVSTFLITYINVIILYCFLFPLVLTGITPKEEPSINLKLLFRFMFINHGADKRFHEHYGLSQLF >ONI35758 pep chromosome:Prunus_persica_NCBIv2:G1:45177945:45185117:-1 gene:PRUPE_1G553100 transcript:ONI35758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSIATTLIYIIILLLCPFLYNYLKSSIIRIARVFVSRLMITMNLVVVLVVFLFGQFSMTKANVVLIGNNVTLSFDDIEANFAPAIKGSGECGVLYLAEPVDACSTLTNKVEKGTNYSSPFVLLIRGGCSFEEKVRRAQKAGFKAAIVYDNEDGGVLVSMAGNSAGIKIHAVFVSKASGEVLKKYAGLTNMELWLIASFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPQASRVREFHGMSSRLVKAMPSLIFTAVLEDNCTSRTCAICLEDYSVGEKLRILPCRHKFHAFCVDSWLTSWRTFCPVCKRDARANTSDPPASESTPLLSSSPSSSVASSVLSSMRSSLASSSAMQIVPALSQSPYSLSHSHASSTHIQQSLRSSYRQSPSISVSRSSLDLRNASSQRSHASYFVSPNSFGYPSLSPLNSRYLSVAHIPSPSNASPSFISSSSHQHHPLHCSESAATFSPFASAQSLPEC >ONI36359 pep chromosome:Prunus_persica_NCBIv2:G1:47324368:47326091:1 gene:PRUPE_1G582600 transcript:ONI36359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSDQCTSPLPGAWMKGKLVGSGAFGSVHLAMSKATGALFVVKSAVSGHGVQALENEAKILESLNSQYVVRCLGKENGEGKCINVFMEYMAGGSLSDVSQIFGGALEEEVVRLYTREILLGLKYLHENGIVHCDLKCKNVLVGSSGNVKLADFGSAKRLKDSKAHGVSVQVAVQSIGGTPLWMAPEVLRNEGLDFALDIWSLGCTVIEMATGRPPWGTKASNPVAAVLEIACGNEKPQFPRHFSDNGLDFLARCLERDPKRRWSAEELLNHPFVSGNSMRVSRKGVACSPASTLDIGICEEGSDYSSDHGMGIRDRDDFPSIPSRNPFSKCCDEGNRSTRTQQTESHLESSENWITVR >ONI32122 pep chromosome:Prunus_persica_NCBIv2:G1:32582606:32584437:1 gene:PRUPE_1G349400 transcript:ONI32122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSADSSSITVCINEALTDDELRSVLAKLESQRDKEVFGLVCKRWLHLQSTERKRLSARAGPHMLRRMADRFSRLHELDLSQSISRSFYPGVIDSDLRVIAHGFKCLRVLNLQNCKGISDSGVLFVGSGLSSLQSLDVSYCRKLTDKGLSAVAEGCSDLRSLYLAGCRFVTDGLLRALSKNCHYLEELGLQGCTNITDSGLTDLVNGCQQIKFLDINKCSNIGDIGVSSVSMACSSSLKTLKLLDCYKIGDESILSLARFCKNLETLVVGGCRDISDASIKLLAISCKSNLKNLRMDWCLNITDSSLSCILAQCRNLEALDIGCCEEVTDAAFHGLNGEENELSLKVLKVSNCPKITVTGIGMLLDKCDSLEYLDVRSCPHITKAGCDEAGLQFSESCKVNFTGSLCEPDVLL >ONI29224 pep chromosome:Prunus_persica_NCBIv2:G1:17219753:17222311:-1 gene:PRUPE_1G188100 transcript:ONI29224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVTGFLDRSRTHQNGLPPELYNHFLLGFPFNWEEYVAVDLGEDSTDIAASLRKSASQKFNMPSRNNERNSLPFSLDDLSATKGRDILMLGYGDSDYRSLVKNIFSDILGTSKDNAFECTGSSINLNVENSCPVENVDSGTTKTPTKHKKVIVQDDKNVITETSRVGVSTRSMTRSVSTSRMTIRKISTRSSTRRKL >ONI29222 pep chromosome:Prunus_persica_NCBIv2:G1:17219741:17222301:-1 gene:PRUPE_1G188100 transcript:ONI29222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTRRQAASATPDPKTPQNAPNPNPNTRNPRTPFSTTAIISASVKSVLLHDWWLVKAKGKGLAVGGLSSRDGLGVRVFSSAEISKRHTATVLETADGIMVTVTGFLDRSRTHQNGLPPELYNHFLLGFPFNWEEYVAVDLGEDSTDIAASLRKSASQKFNMPSRNNERNSLPFSLDDLSATKGRDILMLGYGDSDYRSLVKNIFSDILGTSKDNAFECTGSSINLNVENSCPVENVDSGTTKTPTKHKKVIVQDDKNVITETSRVGVSTRSMTRSVSTSRMTIRKISTRSSTRRKL >ONI29223 pep chromosome:Prunus_persica_NCBIv2:G1:17219741:17221227:-1 gene:PRUPE_1G188100 transcript:ONI29223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIDLDSGLGVRVFSSAEISKRHTATVLETADGIMVTVTGFLDRSRTHQNGLPPELYNHFLLGFPFNWEEYVAVDLGEDSTDIAASLRKSASQKFNMPSRNNERNSLPFSLDDLSATKGRDILMLGYGDSDYRSLVKNIFSDILGTSKDNAFECTGSSINLNVENSCPVENVDSGTTKTPTKHKKVIVQDDKNVITETSRVGVSTRSMTRSVSTSRMTIRKISTRSSTRRKL >ONI34366 pep chromosome:Prunus_persica_NCBIv2:G1:40041210:40041690:1 gene:PRUPE_1G478500 transcript:ONI34366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSSSFACHSLGTFLYAIWCRSSVNYSNSSGCRHDRKIYQKWEKLVRVGSGNDSGTSICENGEAVVIASRV >ONI26998 pep chromosome:Prunus_persica_NCBIv2:G1:4377565:4381365:-1 gene:PRUPE_1G061800 transcript:ONI26998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIAVRSVVGGLLGGRNCNRYISTAVAVRPLDGAEFLNRRNGDVLGFYWRRMMSTVPQSAETASLGEKEQKESQSSVSDDAKKGSDSVVVSNYWGIQRPKITREDGSEWPWNCFMPWETYKSDLSIDLSKHHVPKTFLDRVAYRTVKLLRIPTDVFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRKFQQSGGWIKALLEEAENERMHLMTMVELVKPVWYERLLVLAVQGVFFNAFFVLYVLSPKLAHRVVGYLEEEAIHSYTEYLKDIDSGKIENVPAPAIAIDYWRLPKDSTLKDVITVIRADEAHHRDVNHFASDIHFQGKELREAPAPLGYH >ONI26999 pep chromosome:Prunus_persica_NCBIv2:G1:4378822:4380561:-1 gene:PRUPE_1G061800 transcript:ONI26999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIAVRSVVGGLLGGRNCNRYISTAVAVRPLDGAEFLNRRNGDVLGFYWRRMMSTVPQSAETASLGEKEQKESQSSVSDDAKKGSDSVVVSNYWGIQRPKITREDGSEWPWNCFMPWETYKSDLSIDLSKHHVPKTFLDRVAYRTVKLLRIPTDVFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRKFQQSGGWIKALLEEAENERMHLMTMVELVKPVWYERLLVLAVQGVFFNAFFVLYVLSPKLAHRVVGYLEEEAIHSYTEYLKDIDSGKIENVPAPAIAIDYWRLPKDSTLKDVITVIRADEAHHRDVNHFASVSIKHLFHYHAFHWFFTILSLL >ONI26350 pep chromosome:Prunus_persica_NCBIv2:G1:1356004:1365231:1 gene:PRUPE_1G019400 transcript:ONI26350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRQAHRTMAEAFPNQVPYVLADESPSGSSGPDVEPHTPEIPHPVRALFDADDLHKDALGLTSTNLQALKRNGSVDSESGISKRGLKQVNEMFNPGEVPNNLKVAEGRMREGLSFQEAEESKQKLQSGYSQLTSENQSLKTQVLSQSERAAKAETEVQTLKKTLDEIQAEKDTVLLQYEQSLEKLSKLGRELNDAQMAVGGLDERASKADIETTILKETLVELEAERDAGLLQYNRCLERISSLESMLSFAQRDAKGLNERAIKAETEAQILKQELSKLEAEKEGFFLQYKQCLEQISVLETKISVSEENSRMLNEQIERAEGEIKSLKESLAILKEEKEAAALQYKQCMDTISKMESEISHAQADAERLKSEILTGAANLKSAEEQCVLLERSNQSLRLEADGLLKKITSKDQELSEKNEEMEKFQILMQEEHLRFVQAEATLQALQKLHSQSQESQKALALEFKNGLQMLKDLEIRKQGMEDDIQQVKEENKSLSELNFSCTISIKNLQDEIFNIKEMKEKLEQEVALKSDQSNALQQHIFDLEEEIKGLNKRYRAMAEQVESAGLNPECFESSVKDLQNEKAKLKDICTRDREERELLYEKLKDMGKLSKENAVLESSLLGLNGELEGLREKVKELQESCQFLQGEKSILVAEKAILLSQLQIITQNMQKLFEKNTLLENSLSGANIELERLRARSKSLEELCQLLNNEKCNLLNERGTLVFQLKDVEQRLRNLEKRFSKLEKKYSKLEKEKGSTLNVVEELWGSLHAEKRERASYIRSSEARLAGLENNFHVMQEERRLGKKEFEEELDRALNAQIEIFVLQKFIEDLEEKNFSLLIESQRHVEASKFSDKLIAELENENLELQVEEEFLVGEIEKLRLGIRQVFRALQTEPDSHENKSGQDQIPVLHILNTIKDLKTSLFRSKDGEQQLLVEKSVLLTLLEQMRLEGAEIELAKQLFEQEYEIMVDRCSTLQKEKHELLEMTRQLRLEVTKKEHKEETLEAQLQTLQAKLENFQDAYVVLHKENSKVLEERRSLLKKVLDLEEGKQMLEEENSVNFHEALAFSNLSLVLESFTIEKAGELKALAEDLNTLFVINNDLKEAVGILEENLVMKEVENLHLNDTVQLLDKELSEANDLNGQLSHQIAVGKDYLKQKTMKLSEAEEKLEKTEELNLQLCRTFQELKMEYEESKIVRENCEKQILELSEGSTNQKKEIVGLREANEILENEILCKAIEKEIENLHLNETVQLLDKDLCEAKDSKAQLSHQILAGMNSLKQKTMELSEVEEKLRKTGDLNEELCRTVQELRMENEDSKLMRENCEKQILELSKDNSNQKNEIDSLHKANGTLEIEVGILSEVIEEHRIREENLNSELQERSNDFELWEAEAAAFYFDFQVSAVREVFLENKVNELSQVCDSLKDESATKGVELEQMKERVGSLEGEIGGLMAQLSAYVPVVASLRENVASLQHNAVLRTKLLVESNQQYKDIEPQNYLHQKSCQDFREDPSTLVPDGISELEKMQTMIREVEKMFVEEAERLAIEAVEKAMVEEMERLATQESTKNTNIKVEVSVEIEDLKSKGTSLQGKGSKSEELKLENEFTDENLKLQKMKSDSGTSMKDIPLDHVSDCSFYGRSRRDNGGADDQMLELWETAEQHCRQDPVTSEIENQASAPREDVAYHRFADSQKIIQNSSSEVQVEKELGIDKLEVSLDIQEPSREGKKEKILERLASDAQKLISLQTIAQDLNKKMETNKKGRKANGTEYETVKTHLHEVEEAVVQLAEINDQLKKNIEESPLNEQTSMELEEAGNVRRERILEQASKGSEKIGRLQFELQNIHYILLKLEDENKNKGRNGFYVSRTGVLLKDFIYSGRSSERRKKARVCGCMRPSTNGD >ONI30988 pep chromosome:Prunus_persica_NCBIv2:G1:28697975:28701994:1 gene:PRUPE_1G286600 transcript:ONI30988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVESKGGAIACMLLALIFLGTWPAILTLLERRGRLPQHTYLDYSITNFLAAILIALTFGQIGNSTPEMPNFLTQLSQDNWPCVLFAMTGGVVLSLGNLSTQYAWAFVGLSVTEVITSSITVVIGTTFNYFLDDKINRADILFPGVGCFLIAVCLGAAVHSSNAADNKVKLDSLSSDHIDAVKVSNTSMIPNEAASKDLENGNDPAQKAKAGTAGFLVQLENRRSIKVFGKSTFIGLGITFFAGVCFSLFSPAFNLATNDQWNTLKTGVPHLVVYTAFFYFSLSCFVLAIILNITFLYHPVLGSPKTSFQAYLRDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRKSSRRTYILLFSMLFMFITAIAVLMASSGHRK >ONI30987 pep chromosome:Prunus_persica_NCBIv2:G1:28698131:28701994:1 gene:PRUPE_1G286600 transcript:ONI30987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVESKGGAIACMLLALIFLGTWPAILTLLERRGRLPQHTYLDYSITNFLAAILIALTFGQIGNSTPEMPNFLTQLSQDNWPCVLFAMTGGVVLSLGNLSTQYAWAFVGLSVTEVITSSITVVIGTTFNYFLDDKINRADILFPGVGCFLIAVCLGAAVHSSNAADNKVKLDSLSSDHIDAVKVSNTSMIPNEAASKDLENGNDPAQKAKAGTAGFLVQLENRRSIKVFGKSTFIGLGITFFAGVCFSLFSPAFNLATNDQWNTLKTGVPHLVVYTAFFYFSLSCFVLAIILNITFLYHPVLGSPKTSFQAYLRDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRKSSRRTYILLFSMLFMFITAIAVLMASSGHRK >ONI30986 pep chromosome:Prunus_persica_NCBIv2:G1:28698573:28701994:1 gene:PRUPE_1G286600 transcript:ONI30986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTNSGVPQNWFCSGMDLSAVPLLIFLPSEWNFSSGLNMYVVESKGGAIACMLLALIFLGTWPAILTLLERRGRLPQHTYLDYSITNFLAAILIALTFGQIGNSTPEMPNFLTQLSQDNWPCVLFAMTGGVVLSLGNLSTQYAWAFVGLSVTEVITSSITVVIGTTFNYFLDDKINRADILFPGVGCFLIAVCLGAAVHSSNAADNKVKLDSLSSDHIDAVKVSNTSMIPNEAASKDLENGNDPAQKAKAGTAGFLVQLENRRSIKVFGKSTFIGLGITFFAGVCFSLFSPAFNLATNDQWNTLKTGVPHLVVYTAFFYFSLSCFVLAIILNITFLYHPVLGSPKTSFQAYLRDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRKSSRRTYILLFSMLFMFITAIAVLMASSGHRK >ONI32249 pep chromosome:Prunus_persica_NCBIv2:G1:33036333:33037734:1 gene:PRUPE_1G356400 transcript:ONI32249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEYNKSQDRGYEGKAGEYEEGSGARAAECGEIKDRGLFDFLGKKEAEKPQEEVIVTEFEKVKVSDHEAPHPHHHEPESYKVEQEEDKEKKHGSLLEKLHRSDSSSSSSSDEEEGEGGEKKKKKKEKKGLKEKICGDHDQKVEDTAVPVEKIYEEPTHEEKKEEEKKGFLEKIKEKLPGQQKKPEEIPASYDDQQCHAQHAEPAEPAGVGCEPKEKKGILEKIKEKIPGYHPKTEEEKEAIKEKEKEKETSSY >ONI32832 pep chromosome:Prunus_persica_NCBIv2:G1:34758815:34762974:1 gene:PRUPE_1G389000 transcript:ONI32832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTGTLLVSLILFLIFPLQSILAFNSSTTQILQDVLKKISAKHKWYLQDIRVSRLDASRVRFGSAQRYEFRVGFGKIPVGVLFSDDVASWKKFRQPRTHFGSLVKELSSMAVVDTFKVEGPFELRVGGIHHLSLSLPMNTTYSGFKRVLVGKGITVEVSGATEVSVFHASDLGLSSKGSGAIGKEKSEFWPIWHSYCTPLFPIRVLGPATLVAYKTRNPDAYIETKFMSKEIIEFLPEKCYRSHAYKKRACPIDSLRLRISMLESIWKSFLGDRIRQSGLSGFVEGKIKASTVVRFKVELEREFRRNGALQGKAGWRTRPAVEQVWFEVLARVEFGRVKPLMVKEIRPFIVADSIAWSSLMSNISFTKSSSVLVPSEALTLDVKW >ONI32833 pep chromosome:Prunus_persica_NCBIv2:G1:34760550:34762974:1 gene:PRUPE_1G389000 transcript:ONI32833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVDTFKVEGPFELRVGGIHHLSLSLPMNTTYSGFKRVLVGKGITVEVSGATEVSVFHASDLGLSSKGSGAIGKEKSEFWPIWHSYCTPLFPIRVLGPATLVAYKTRNPDAYIETKFMSKEIIEFLPEKCYRSHAYKKRACPIDSLRLRISMLESIWKSFLGDRIRQSGLSGFVEGKIKASTVVRFKVELEREFRRNGALQGKAGWRTRPAVEQVWFEVLARVEFGRVKPLMVKEIRPFIVADSIAWSSLMSNISFTKSSSVLVPSEALTLDVKW >ONI34740 pep chromosome:Prunus_persica_NCBIv2:G1:41142721:41145871:1 gene:PRUPE_1G496100 transcript:ONI34740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQISHINFDNSNLKSESVIQKPLLDSFMEILRLSWLTDVVQEGHVWKWELVHKEGCVKRTVPLFILKDVVDDSRHEYEEHLFCIYCSYPRCNNNLVSKCKYHFVISADYESGEQLDDPFDKDVYFFKDWTHLIHWLLNNNGIGRLICVNWINEGSAFITGRDIMHLWGLLCLYFQVR >ONI34741 pep chromosome:Prunus_persica_NCBIv2:G1:41141422:41145897:1 gene:PRUPE_1G496100 transcript:ONI34741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQISHINFDNSNLKSESVIQKPLLDSFMEILRLSWLTDVVQEGHVWKWELVHKEGCVKRTVPLFILKDVVDDSRHEYEEHLFCIYCSYPRCNNNLVSKCKYHFVISADYESGEQLDDPFDKDVYFFKDWTHLIHWLLNNNGIGRLICVNWINEGSAFITGRDIMHLWGLLCLYFQVR >ONI31873 pep chromosome:Prunus_persica_NCBIv2:G1:31709182:31710675:1 gene:PRUPE_1G336100 transcript:ONI31873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRFRQVCTALREHSSVRYAKIATVGGFCNVELIIVKATAPDDLPLPEKYIQELLKIFSISPSSLREFSLSFTRRFGKTRCWRVALKCLILLHRLLRAVPEDSQFRSELLWTRSNGLMSLSQCHFRDDSSSASEDYTAFIRSYARLLDEALHCFWLDSKPAYNQQEHHCQEYAEEEEEEEEEEEEEEEGQFESLPNKMTEVGRMLEVLPQLQSLIDLVMDCRPTGAAAKAFLVQLAMKHIIRDSFMCYTIFRREIVMVLDSLFQMPYRSCISAFGIYKKAAVQANKLCEFYEWCKAMGFCGAYEYPFIDQIPHIQIHALENLLNGMWQLTESSSTPTSSPTSSASVPSSFVEYSSSTSTEDDINKDHILVTTKWEKPLIQFDRGSNEEKPLIQLDRGYDEEKPLIQFEDDTDEESWESLLEASINMSPPAAQQNNMCFNNNGTYGSYGYCNYRNVVQHGDQKDAWQMQIYNANSLNPFYISHSSGQTTYLSPNLAE >ONI31129 pep chromosome:Prunus_persica_NCBIv2:G1:29171504:29172725:1 gene:PRUPE_1G294400 transcript:ONI31129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVVSQNKYLISSIIFLFQQVVKLWAKACACVALSIFLIVCIPFLILVFLPFFTAFLWALFLCKILCFFRLCPQFRFLETKIFFNYLSCTATKFIGSRSSTSHFECDYNHKINNNDNELIAKSLEDKQESVDLDPSELPCLYESDFDIEEEHQEINGERRVKEGTGHIRTYQEEGSWQVVVSKPALSKKREPQSFYDVLSFWKCKEKGQET >ONI33935 pep chromosome:Prunus_persica_NCBIv2:G1:38189048:38193144:1 gene:PRUPE_1G454400 transcript:ONI33935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTVGVETRMENSQNRIRKRPRSAWDVGPSQSEPEAQRAMVAYNEGIGRRGSPPKRDDDREGHYVYNLGENLTPRYKILKKMGEGTFGRVLECWDRQAREYVAIKVVRSIRKYREAAMIEVDVLQQLAKHDKGISCCVQIHNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYVKLPRTKRFSSDETHYRCLPKSSAIKLIDFGSTVFDNQIHSSIVSTRHYRAPEIILGLGWSYPCDLWSIGCILVELCAGNALFQTHENLEHLAMMERVLGPLPQQMTRRANNDAEKYFGRGARLNWPLGAVSRESIRAVKKLDCLKDLISRHVGSSKASSSLTDLLYGLLKYDPSERLTARQALDHPFFKIPT >ONI34029 pep chromosome:Prunus_persica_NCBIv2:G1:38471993:38472193:-1 gene:PRUPE_1G459600 transcript:ONI34029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFVFVCGEDETVLSRQPAPGACPYCGGMVQAMDVESRWTFCFLPLYWKTKRKLYCAVCTRRLVVQ >ONI27407 pep chromosome:Prunus_persica_NCBIv2:G1:6244981:6247933:1 gene:PRUPE_1G084100 transcript:ONI27407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYREKMVNFVRRLKAKMKRTMMLILKRLPFHFPEHRINDLPEPSLQVRIWLSKSIPKSMNNQILQKHGGSFQKRNALVLISYHAGYRHKQSPLGFGNSLPLKS >ONI33424 pep chromosome:Prunus_persica_NCBIv2:G1:36502611:36504739:1 gene:PRUPE_1G423300 transcript:ONI33424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METENPPDFSYLGRNFSDLSNGEHSSAFSDCNSDRSGEFQTASSQSRRLLISCTSDNSDDLISQLIADLEAGSIEEQKQAAMEIRLLAKNKSENRLKIAKAGAIKPLVSLLSCSDLQLQEYGVTAILNLSLCDENKELIASSGAIKPLVRSLKTGTPTAKENAACALLRLSQIEENKVAIGRSGAIPQLVNLLESGGFRGKKDASTALYSLCSVKENKIRAVQSGIMKPLVELMADFGSNMVDKSAYVLSVLVSVPEARAALVEEGGIPVLVEIIEVGSQRQKEISVAILLQICENSAVHRNMVAREGAIPPLVALSQSGTNRAKQKAETLTELLRQPRSGNVAARASDVSL >ONI35428 pep chromosome:Prunus_persica_NCBIv2:G1:43761610:43764702:1 gene:PRUPE_1G535500 transcript:ONI35428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEQLDANESQAFLTWRRSLARLEENDKLLLTPFEKNLDIWRQLWRVVERSDLLVMVVDARDPLFYRCPDLEVYAREVDEHKRTMLLVNKADLLPLSVREKWATYFRAQDILFVFWSAKAASAAAEGKDLSSSWKTENSLQESEDPDTKIYGRIELLTRLQSEAEEIVKLRRKSGSSGMGSPRIRFQGNSASSNVVVGFVGYPNVGKSSTINALVGQKKTGVTSTPGKTKHFQTLIMSDELTLCDCPGLVFPSFSSSRHEMIASGVLPIDRMTENREAVQVVANRVPRHVIEEVYRIDLPKPKSYELQSRPPLAAEFLRAYCASRGYVASSGLPDETRAARQILKDYIDGKLPHYQMPPGMSNEEDVAEHSLSEQHESDASDNENPADAEGENVPELDHALDDLNSFDIANGLATKKKVTVKKPTASHKQHKKPQRKKDRTWRVGNDGGDGMPVARCNFGNKVPECFVEKKNTI >ONI35427 pep chromosome:Prunus_persica_NCBIv2:G1:43761610:43764702:1 gene:PRUPE_1G535500 transcript:ONI35427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEKTGLGRALVRQHNQMVQQTKEKGLMYKKQQKKVLESVTEVSDIDAIIEQADEADRLFSINNPTPNLLIDLDGNEISPEQRREQQRKEEALHAGSLRVPRRPPWTPEMSVEQLDANESQAFLTWRRSLARLEENDKLLLTPFEKNLDIWRQLWRVVERSDLLVMVVDARDPLFYRCPDLEVYAREVDEHKRTMLLVNKADLLPLSVREKWATYFRAQDILFVFWSAKAASAAAEGKDLSSSWKTENSLQESEDPDTKIYGRIELLTRLQSEAEEIVKLRRKSGSSGMGSPRIRFQGNSASSNVVVGFVGYPNVGKSSTINALVGQKKTGVTSTPGKTKHFQTLIMSDELTLCDCPGLVFPSFSSSRHEMIASGVLPIDRMTENREAVQVVANRVPRHVIEEVYRIDLPKPKSYELQSRPPLAAEFLRAYCASRGYVASSGLPDETRAARQILKDYIDGKLPHYQMPPGMSNEEDVAEHSLSEQHESDASDNENPADAEGENVPELDHALDDLNSFDIANGLATKKKVTVKKPTASHKQHKKPQRKKDRTWRVGNDGGDGMPVARCNFGNKVPECFVEKKNTI >ONI32739 pep chromosome:Prunus_persica_NCBIv2:G1:34411146:34412907:-1 gene:PRUPE_1G382900 transcript:ONI32739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDGATSAATVARRKPSWRERENNRRRERRRRAIAAKIFAGLRAQGNFNLPKHCDNNEVLKALCLQAGWTVEDDGTTYRKGLRPTQIDTPGTSTMISPYSSLNPSPIPSYQASPSSSSYPSPSRFDPSTNSSNPSRYLRSAIPSSLPPLRISNSAPVTPPLSSPTSRNPNPIPNWDSIAKQSMASFDYPFYAVSAPASPTRHQLHFPAATIPECDESDTSTVDSGQWVCFQRFAPSLSAMPASPTFNLVKPVVAHQNLPDSEIPEVKPWIGEKIHEVGLDDLELTLGSGKPRS >ONI32679 pep chromosome:Prunus_persica_NCBIv2:G1:34261508:34266470:1 gene:PRUPE_1G379400 transcript:ONI32679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARFGRQVKRPGGFCIKMTAVAILGLCFIFVWSMFSSSSSSVTTRIESFDNIGAPPGSRNTRVNIPATQSSIKGEDEKKFNGSVTLSAHEHKSEKKDQKEVANVKKGKGKKKLPEKVSKLKHGSEESESEDSEKEKEDEDEEREVVDGKEEALNDEGEVNGEETGGEGAFIVSLDQESEEKLEDDGGESEERGKKRYKIKGPLFDPKAHYHWKLCSTRSKHNYIPCIDMEITTGRLQYRHTERSCPRTEPMCLVPLPRDGYGSPVPWPDSKVKILYKNVQHPKLAAFIKEHSWVMESGEYLTFPQNQSELRGGILHYLESIEEMVPDIEWGKNIRVVLDIGCTDSAFGASLLDKDVLALALGLKDDLVDLAQVAIERGFPALVSPFGNRRLPFPSGVFDAIHCGGCTIPWHSNGGKHLLEMNRILRPGGYFVLSTKHDSFEDEEVMSKLAASICWNILAHKTDEVSDVGVKIYQKPDSNDIYELRRKKYPPLCKENDNPDAAWYVPVKTCLHAIPSAIEQHGTEWPEEWPKRLERYPDWLSDKDKLVADTKHWKAIVEKSYLTGLGIDWSNIRNVMDMKAIYGGFAAALSQQKVWVMNVVPVHTPDTLPFIFERGLVGTYHDWCESFGTYPRSYDLLHVDHLFSRLKNRCKQPVSIVVEMDRLLRPGGWTIIREKVEILEPLEGILKSLHWEIRMTYAQGKEGILCAQKTMWRP >ONI27089 pep chromosome:Prunus_persica_NCBIv2:G1:4821005:4824294:-1 gene:PRUPE_1G067600 transcript:ONI27089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLGCHGWTYLKYAILFQSVIYSFQEAEKHLSDMVVSKALVAKIDRPIGIVCFQTAKDSNNVLNSWATNLEKLLDLVEKSCHQIHKEIAWSVVCTGCFSN >ONI31191 pep chromosome:Prunus_persica_NCBIv2:G1:29350459:29351290:-1 gene:PRUPE_1G297600 transcript:ONI31191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPKEPFLSRAHSSQVCLAIKNRCLGQSSISFGLEDVELKIRVEFQAHLLCYYALHLTGCSVFPLYDDF >ONI33255 pep chromosome:Prunus_persica_NCBIv2:G1:36000983:36001530:1 gene:PRUPE_1G413000 transcript:ONI33255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKRDTQDLHNNNISGYRIREFSYIYIYIYIIGYRFGHMDLSVKHMKLFAHICITQFIGWPNITCGLGLCLTTFVNVTPYIVSPDKIQNSI >ONI35792 pep chromosome:Prunus_persica_NCBIv2:G1:45323731:45326774:1 gene:PRUPE_1G555200 transcript:ONI35792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHQKPEAAAVAEAPATYSEEVVVVADAPPAEKAVPEKEGPPEAEAEAVAEKPVAEEKAPKAAEEDQKIIAQSVSFKEESYVVGELPEPQKKALEELKQLIQEALNKHEFTAPPTPPPAKEEEKPAPPEEKKAEEEPKAEVAAAEEPKAAEEAKEEEKPKEEAKEEEKPKEEAKEEDKPKEEEDKPKVEEVEKPTETVAVVVTEEVVETVDDDGAKTVEAIKETIVEVASASAAEEAAAEPKPESEVAAEASAAAAAPAPEEPKTEAPTAPPPPPEEVHIWGIPLLSDERSDVVLLKFLRARDFKVKDAFAMIKNSVRWRKEFGIDALLEEDLGSHWDKVVFTHGVDKEGHSVCYNVFGQFQNKELYQNTFTDEEKRSKFIKWRIQFLEKSIRKFDFNPTGISTIVQVNDLKNFPGFFKWEHNQVTNQALQLLQDNYPEFVAKQVFINVPWWYLAFNRMISPFLTQRTKSKFVFAGPSKSAETLFKYIAPEHVPVQYGGLSREGEQEFTTSDPVTEITVKPATKHTVEIPVSENGLLVWEVRVVGWDVSYGAEFVPSAEDGYTIILQKTRKVAPADEPVISNSYKIGEAGKVVLTIDNQSSKKKKLLYRSKTKPCSD >ONI35793 pep chromosome:Prunus_persica_NCBIv2:G1:45324008:45325590:1 gene:PRUPE_1G555200 transcript:ONI35793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHQKPEAAAVAEAPATYSEEVVVVADAPPAEKAVPEKEGPPEAEAEAVAEKPVAEEKAPKAAEEDQKIIAQSVSFKEESYVVGELPEPQKKALEELKQLIQEALNKHEFTAPPTPPPAKEEEKPAPPEEKKAEEEPKAEVAAAEEPKAAEEAKEEEKPKEEAKEEEKPKEEAKEEDKPKEEEDKPKVEEVEKPTETVAVVVTEEVVETVDDDGAKTVEAIKETIVEVASASAAEEAAAEPKPESEVAAEASAAAAAPAPEEPKTEAPTAPPPPPEEVHIWGIPLLSDERSDVVLLKFLRARDFKVKDAFAMIKNSVRWRKEFGIDALLEEDLGSHWDKVVFTHGVDKEGHSVCYNVFGQFQNKELYQNTFTDEEKRSKFIKWRIQFLEKSIRKFDFNPTGISTIVQVNDLKNFPGFFKWEHNQVTNQALQLLQDNYPEFVAKQVFINVPWWYLAFNRMISPFLTQRTKSKFVFAGPSKSAETLFK >ONI35829 pep chromosome:Prunus_persica_NCBIv2:G1:45433965:45436708:1 gene:PRUPE_1G556300 transcript:ONI35829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSPKPKLSTTTPYIPPELCGRHSFTAYAQGYDVIHLCLRSLECHHQRSIQTSSFCSLLIHSNNRRTNFFSFPFYDNDSIAKRAIKLELPLRHRRSCTDRAGNCHGLLCIANNNKKDVALWNPSIQRFKRISFSLSEIMESEVARFGFGYDSATDDYKVVRIMEFRTSNGFCSSEVKVYGLKSNSWKRVQNLPSNHRYFGSYIHCLNSALHWLTNPDLGNTFIILTLDLVSEKYHEFPTPEDGVHAFPLPGLTALGGCLCFIVNNKAGSHVWAMKECGVTKSWVMLFFLN >ONI28203 pep chromosome:Prunus_persica_NCBIv2:G1:10169138:10169996:1 gene:PRUPE_1G130800 transcript:ONI28203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILKPKRYYRSRIHARNTYVGTYIFYQLQAYHEDGTTRWHIDWRELSQGQSLLDNHGSHVHWKSKLRKKRKRKRYTLRRYIGYI >ONI26846 pep chromosome:Prunus_persica_NCBIv2:G1:3509559:3511029:1 gene:PRUPE_1G050000 transcript:ONI26846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELHAAAYAYYDRGNEHTRRVAYDFFRSMDTDGDGRISYAEFNDFVQQSGYYWILHNDPNLFAKLDRNRDGGLDLQEVLTFYYIIKTRYITCQGCRAHLCGLYFTCVECFDGGAANYHHHHQYPTYDLCAACYGNANYINQHSYFLDNHVLLRSKRGPPHAPPNLAMAVAEQNPLVNITNNYYVNQASAAPEIQRNRWYQAFQTLETALSISALAYNCTIM >ONI27430 pep chromosome:Prunus_persica_NCBIv2:G1:6366988:6367242:1 gene:PRUPE_1G085600 transcript:ONI27430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYGPQPSILLLNSHCSFALSMFILWVQLSSSRVLRIISIYLSLSWLTSTIHTSKFDQQGIRKQSTLAHKDDFNTVCII >ONI26456 pep chromosome:Prunus_persica_NCBIv2:G1:1817898:1818559:1 gene:PRUPE_1G026200 transcript:ONI26456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMSLHKAVLIKSFVEVGVGKFLQVVNVFLRDLSSDFSEPWVVHINFFVGVGKSLQVVKVLHRDLSFDFSETRFVHMIKSVVEVGVGKSLHQRAAFSFDFIVPTPKP >ONI36104 pep chromosome:Prunus_persica_NCBIv2:G1:46433633:46437167:-1 gene:PRUPE_1G569500 transcript:ONI36104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEEESRSVTACQDWMSLLRTLERDQILQVLSDGFCRNCQVLLKDRIHKKVGDVSFSSDNNKRAVDVPSQSGNAPIARSSENASMESCDKRFDQQECTPPQTIPIHSSTQRGYTANSWPASINSKRNSVEHSASPVLFNDATRGDSSFICGGLLEDPNKHNRVLQVGREKDFIHIESINGRRTNVLQGLELHTQVFNANEQKEIVDCVYNLQRMGQRGQLRERTYSEPRKWMRGKGRVTIQFGCCYNYAVDRNGNPPGIVRNEDVDPLPPLFKQMIKRMVSWHILPPTCIPDSCIVNIYDEGDCIPPHIDHHDFVRPFCTVSFLTECDILFGSNLKVVGPGEFSGPVSLPLPVGAYSKW >ONI36103 pep chromosome:Prunus_persica_NCBIv2:G1:46433897:46436829:-1 gene:PRUPE_1G569500 transcript:ONI36103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEEESRSVTACQDWMSLLRTLERDQILQVLSDGFCRNCQVLLKDRIHKKVGDVSFSSDNNKRAVDVPSQSGNAPIARSSENASMESCDKRFDQQECTPPQTIPIHSSTQRGYTANSWPASINSKRNSVEHSASPVLFNDATRGDSSFICGGLLEDPNKHNRVLQVGREKDFIHIESINGRRTNVLQGLELHTQVFNANEQKEIVDCVYNLQRMGQRGQLRERTYSEPRKWMRGKGRVTIQFGCCYNYAVDRNGNPPGIVRNEDVDPLPPLFKQMIKRMVSWHILPPTCIPDSCIVNIYDEGDCIPPHIDHHDFVRPFCTVSFLTECDILFGSNLKVVGPGEFSGPVSLPLPVGSVLILNGNAADIAKHCVPGVPARRISITFRKMDNSKIPYNFSHDPELQEMKPFVHSPFSKSKIPQDEQGKPYSYFPVKSQVQHNLHQDDELNRTESKSGKKRNINPSFVLEKDDFPPLGSLRSVNRRNVSRQ >ONI29278 pep chromosome:Prunus_persica_NCBIv2:G1:17584166:17584384:-1 gene:PRUPE_1G190400 transcript:ONI29278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSFVSLTQGITSIPENQDRTSCPLLEMNWPHNENKHFFRLQKLLIFWTNRPQGNGIAKKRYRARKLDISN >ONI35235 pep chromosome:Prunus_persica_NCBIv2:G1:42983969:42985589:1 gene:PRUPE_1G524400 transcript:ONI35235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSTHTSLMAVIKHRKLFPAAPVSTNQTDCPEFCGPDCAYGCYPYADFYIPPPPPFSAIDQNDQNHHISPYVVLLVSLLGSLLLLIGYYVIVVKSYTSLCSYRSNGSSLSQSGSTDEEFLAENQGDHPVWLISTVGLQQSIINSITVCKYKKDEGLIEGAECSVCLNEFQEDETLRLLPKCSHAFHVSCIDTWLRSHTNCPLCRANILRPPNLASVDTNEETQVENSESEIALGVDNQQGYDEVCESIAETEDEGEEEHQVGLENTSKEATNSNGNSILELIRRSWSFDSSLAANMLVIKLKNAEKLHSDVARKRYSSIGQSLHLSPVLMKRSLRRKWSMISVLPQ >ONI26764 pep chromosome:Prunus_persica_NCBIv2:G1:3149419:3152485:1 gene:PRUPE_1G044000 transcript:ONI26764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIVNEAWRKYLIQLQSHPLRTKAITSGVLAGCSDAIAQKISGIKKLQLRRLLLIMLYGFGYGGPFGHFLHKLMDIIFKGKKDKKTIAKKVLLEQLTSSPWNNMLFMMYYGLVVEGRPWNLVKSKVRKDYPSIQLTAWKFWPIVGWVNYQYMPLQFRVLFHSFVASCWAIFLNLKARSGVIKQA >ONI33929 pep chromosome:Prunus_persica_NCBIv2:G1:38148168:38162978:-1 gene:PRUPE_1G453900 transcript:ONI33929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPRSRINQFFASKKRKPLSPVLKSGRNEKDVKVKVEGSPSAKGTLDNYLLASQENNIISEPSYKVCDSLAQQDQVRRNLTSEIDNSLKDEFKQLPLSSQLHSEANDVSQANQKETSRQLTKVGDVKEYPAFTEGEDRAELKDFAADFLSLYCSDLQPNESSLSEMKVNDHKRQASPSLLDREDKTFKKRHCITNQSHVEHETSYSSEKSSEAVQSDSVDKNGVTIVNELLELQPTLKACSNTAKLSLDMFECCTPGSLTRKTSVRETPKSTRGSSSFSPGEAFWDDAIQLADGLCAQAAGVISVADGQYRSKSSCNLRNARCDGKSKEILDEGERMGKGGNTGPMGKHRKDLDKEVSPLPVKHFDFSCEDKNLDKSVPHHLDAYNLKSVAHVGGEQSESSLIDPRGLRNPMMIRCNKSQENQVTFRDQYTNSVNAVTNMKLDLTGKDMTSYSPVDEVVKLTGNHESDEASTPSSFVPLKDHLDLNSWLPPEICSLYRKKGISKLYPWQVDCLQVEGVLQRRNLVYCASTSAGKSFVAEILMLRRVLSSGTMAILVLPYVSICAEKAEHLDVLLEPLGKRVRSYYGNQGGGTLPKDTSVAVCTIEKANFLINRLLEEGRLSEIGIIVIDELHMVGDPSRGYLLELLLTKLRYAAGEGNSESSSGESSGMSSCKADPAHGLQIVGMSATMPNVAAVADWLQAALYQTEFRPVPLEEYIKVGNTLYNKKMEIVKTIPKATDLSGKDPDHVVELCNEVVQEGLSVLIFCSSRKGCESTARHVSRFLKKFSVNIRSNDSQFKDVTLAIDALRRCPAGLDPVLEETLPAGVAYHHAGLTVEEREIVETCYRRGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESVLICKPEEIKRIMGIINESCLPLRSCLSEDMNGMTHAILEVVAGGMVQTANDIHRYVRCTLLNSTKPFQDVVKSAQESLRWLCHRKFVEWNDDTKLYSTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVDMEPDWELYYERFMELSALDQSVGNRVGVTEPFLMRMAHGAPMRSSNRFRENMKAVHGKYENRPGITNNTVLQDDQILRVCKRFYVALILSRLVQEAAITEVCEAFKVARGMVQALQENAGRFASMVTMFCERLGWHDLEGLVCKFQNRVSFGVRAEIVELTTIPYVKGSRARSLYKAGLRTPLAIAEASVAEIVKALFESSSWTEQGSAQRRIHLGVAKKIKNGAHKIVLEKAEEARVAAFSAFKALGLDVPQFYRPVFSSGGGSPSMQGAGNSSGDNSTSSFPIVERKEHAAKPSLEGRVLSGKVALESREKLTKTSDIGGVASAEVYSTGVMQIKFGPDNSTVPIQGSAALGDELKAAFDQNKNADLTDHVQLQSLGDRNRVSDESFDLEKQERCKRVNLSPGFKGNACDKGPIHAINTLGGFDSFLDLWETTSEFYFDIHYNKRSELNSVAPFEIHGIAICWENSPVYYVNIPKDLLWSDNSKNECLHLNGSGNRSNVLPLDDMLEMARRRWKRIGEIMRKRGVRKFAWKLKIQIQALKSPAVHAQRFGCQNIAGKSTCFEIIDSSLLLLPPVHIKDGIDMCIVAWILWPDEERSSNPNLEKEVKKRLSSEAAAAANRNGRWKNQMRRAAHNGCCRRVAQIRALCSVLWKLLVSEGLTEALVNIEIPLVNILADMELWGVGLDMEGCLQARKVLGKKLRQLEKEAYKLAGMTFSLYTAADIANVLYGHLKLPIPEGRNKGKQHPSTDKHCLDLLRDEHPIIPVIKEHRTLAKLLNCTLGSICSLGRLSVKTQKYTLHGHWLQTSTATGRLSMEEPNLQCVEHMVDFKIRKDEKGSETNVDYYNINARDYFIPTQDNWLLLTADYSQIELRLMAHFSKDSVLIEPLSKPEGDVFTMIAARWTGISEDSVSSYVRDQTKRLVYGILYGMGANSLAEQLDCSPEEASEKIQNFKSSFPGVASWLNEAVADCRKKGYIETLKGRKRFLSKIKFGNSKEKSKAQRQAVNSICQGSAADIIKIAMINIYSVIVGGAERPDSTSQLATKLHILKGRCRILLQVHDELVLEVDPSVIKEAALLLKTSMENAVSLLVPLQVKLKVGKSWGSLQPFQANH >ONI33930 pep chromosome:Prunus_persica_NCBIv2:G1:38148231:38162765:-1 gene:PRUPE_1G453900 transcript:ONI33930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPRSRINQFFASKKRKPLSPVLKSGRNEKDVKVKVEGSPSAKGTLDNYLLASQENNIISEPSYKVCDSLAQQDQVRRNLTSEIDNSLKDEFKQLPLSSQLHSEANDVSQANQKETSRQLTKVGDVKEYPAFTEGEDRAELKDFAADFLSLYCSDLQPNESSLSEMKVNDHKRQASPSLLDREDKTFKKRHCITNQSHVEHETSYSSEKSSEAVQSDSVDKNGVTIVNELLELQPTLKACSNTAKLSLDMFECCTPGSLTRKTSVRETPKSTRGSSSFSPGEAFWDDAIQLADGLCAQAAGVISVADGQYRSKSSCNLRNARCDGKSKEILDEGERMGKGGNTGPMGKHRKDLDKEVSPLPVKHFDFSCEDKNLDKSVPHHLDAYNLKSVAHVGGEQSESSLIDPRGLRNPMMIRCNKSQENQVTFRDQYTNSVNAVTNMKLDLTGKDMTSYSPVDEVVKLTGNHESDEASTPSSFVPLKDHLDLNSWLPPEICSLYRKKGISKLYPWQVDCLQVEGVLQRRNLVYCASTSAGKSFVAEILMLRRVLSSGTMAILVLPYVSICAEKAEHLDVLLEPLGKRVRSYYGNQGGGTLPKDTSVAVCTIEKANFLINRLLEEGRLSEIGIIVIDELHMVGDPSRGYLLELLLTKLRYAAGEGNSESSSGESSGMSSCKADPAHGLQIVGMSATMPNVAAVADWLQAALYQTEFRPVPLEEYIKVGNTLYNKKMEIVKTIPKATDLSGKDPDHVVELCNEVVQEGLSVLIFCSSRKGCESTARHVSRFLKKFSVNIRSNDSQFKDVTLAIDALRRCPAGLDPVLEETLPAGVAYHHAGLTVEEREIVETCYRRGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESVLICKPEEIKRIMGIINESCLPLRSCLSEDMNGMTHAILEVVAGGMVQTANDIHRYVRCTLLNSTKPFQDVVKSAQESLRWLCHRKFVEWNDDTKLYSTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVDMEPDWELYYERFMELSALDQSVGNRVGVTEPFLMRMAHGAPMRSSNRFRENMKAVHGKYENRPGITNNTVLQDDQILRVCKRFYVALILSRLVQEAAITEVCEAFKVARGMVQALQENAGRFASMVTMFCERLGWHDLEGLVCKFQNRVSFGVRAEIVELTTIPYVKGSRARSLYKAGLRTPLAIAEASVAEIVKALFESSSWTEQEGSAQRRIHLGVAKKIKNGAHKIVLEKAEEARVAAFSAFKALGLDVPQFYRPVFSSGGGSPSMQGAGNSSGDNSTSSFPIVERKEHAAKPSLEGRVLSGKVALESREKLTKTSDIGGVASAEVYSTGVMQIKFGPDNSTVPIQGSAALGDELKAAFDQNKNADLTDHVQLQSLGDRNRVSDESFDLEKQERCKRVNLSPGFKGNACDKGPIHAINTLGGFDSFLDLWETTSEFYFDIHYNKRSELNSVAPFEIHGIAICWENSPVYYVNIPKDLLWSDNSKNECLHLNGSGNRSNVLPLDDMLEMARRRWKRIGEIMRKRGVRKFAWKLKIQIQALKSPAVHAQRFGCQNIAGKSTCFEIIDSSLLLLPPVHIKDGIDMCIVAWILWPDEERSSNPNLEKEVKKRLSSEAAAAANRNGRWKNQMRRAAHNGCCRRVAQIRALCSVLWKLLVSEGLTEALVNIEIPLVNILADMELWGVGLDMEGCLQARKVLGKKLRQLEKEAYKLAGMTFSLYTAADIANVLYGHLKLPIPEGRNKGKQHPSTDKHCLDLLRDEHPIIPVIKEHRTLAKLLNCTLGSICSLGRLSVKTQKYTLHGHWLQTSTATGRLSMEEPNLQCVEHMVDFKIRKDEKGSETNVDYYNINARDYFIPTQDNWLLLTADYSQIELRLMAHFSKDSVLIEPLSKPEGDVFTMIAARWTGISEDSVSSYVRDQTKRLVYGILYGMGANSLAEQLDCSPEEASEKIQNFKSSFPGVASWLNEAVADCRKKGYIETLKGRKRFLSKIKFGNSKEKSKAQRQAVNSICQGSAADIIKIAMINIYSVIVGGAERPDSTSQLATKLHILKGRCRILLQVHDELVLEVDPSVIKEAALLLKTSMENAVSLLVPLQVKLKVGKSWGSLQPFQANH >ONI26210 pep chromosome:Prunus_persica_NCBIv2:G1:768529:769849:-1 gene:PRUPE_1G009800 transcript:ONI26210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRSDEDTVKLKVQYNKDGVQTFFRVKRSIKLQKLMEAFCKKRSLDPKSMQFTFDGVGLEKNKTPEELLLNFVQLGMEDGDEIDALELVDGA >ONI32791 pep chromosome:Prunus_persica_NCBIv2:G1:34592421:34594851:1 gene:PRUPE_1G385800 transcript:ONI32791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCFSSSCWRHLHAPRSPENPSPALYRHFREGLSKPMMPNMESNHHLNRFLREEDQTPLQHLPYRVLKEADISQRQLHDITEVCSTLAVSRSAAIILLRHCNWNVSKLFDNWFDEEDEVRITVGLFKNPPVDQFSTDGSFTCEICFETFPGGDDFDDQRISSTFCDHFYCRACWVGYISKSIANDGLGCLSLKCPHPCCGAAVGEDMIFVLVAESADKDKYLRYLLRSYVEDSYRKRKIKWCPAPGCDHAVDFVDYVDGSGGACDVSCLCSYIFCWNCNEEAHSPVKCDTVAKWMLKHKDESENTNWILVNTKPCPECKRPIEKNQGCSHMRCRAPCKFEFCWICLGPWGGNHSCNSYLQGVKNESDKRKEVAKLNLDRYVHYYERWVANHESRKKALLDFQLVQDVHLKKLDRKYRQTNPILSFITEAWQKIIECRRVLKWSYAYGYYIPKHEHGKRQFFEYLQGEAESGLEKLHHCAEVELEEHINADKPIEDFMEFRTKLVQLIVLIGNYFKNLVRAMENDLPEISSYGDYWSCYICTYVNEGSATMCVMCCSESPVHGEV >ONI29281 pep chromosome:Prunus_persica_NCBIv2:G1:17591892:17593681:-1 gene:PRUPE_1G190600 transcript:ONI29281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISDQREDEYLRPRSNGAKSAPIRLRMTKAGPRLCESSNKDAAAAAFCQSSEEDLDLYKQFGNDRESMWLSTDCSSPSAFFREYRWSDSFHSNELLLPSWLSLLARENPMEEASNLPMLKTSRDEDKNLEEDMKDEKIVTWLLGTKSDSSQSLDFERIGFHVSLLNLDGEDNKLISGTDPKLDYFLSDFPSPSYKSNWGHEFQSCNFSSGAQSETSVRDFSSEHASEAADCLDLNTDEPLFWPYEQKITWNSEATWNYFSMSPRKDISITTFGSPPDSVQLSHHDRSIGLKEGQRRSLMFSSGSAASKIMELKQRYNKGARRTNTLPSRLSRATKTSEKSVPLNMKDDTAELKDRKAHVERPVGMVKAPIEKGSASKELPIEMFLGLDEFDGQEGVDSEFDKDDFSLHVSLRRIHVRPMYREKLIDVYRQQVFLKLEQIMACLEPQQHSNQVTLCVQIIPFNPDFEVKVGRTVPEEMVPTNTACESHENNDCFEKLCKNKALGSMMMDVTIKKLK >ONI33722 pep chromosome:Prunus_persica_NCBIv2:G1:37586557:37588718:1 gene:PRUPE_1G443200 transcript:ONI33722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAFQTAFRRVPKSFSDNQMPVAEGKFISSKGLEACTASHFSLLLQNLNVLEETFATSDVLKLEKEILLQLARLGALKLFDICLSRTLKTSSFLDLSDIPTVPVEEGKMERKEDNNVGEIIVRSGKKEERRSRKRTSDNAIVSSNSLPPKTIWKGLKKPTLSSGKRASNYRSRRLTISKNEAEMSIGVKVIADLERIKETLEKETGKVATLRCWAEAAGVHEKVLLQHLRFGWYCQDELIRSTRSLVLYLARNYRGLGVALDDLMQAGNLGLLQGAERFDHSRGYRFSTYVQYWIRKSMSRLVAQHARGVQIPFTLSKAINQIQKARKANYNSHMKYPDDEEIAKITGLSLARIRSASTCLRVVGSVDHKMWESSDGTYMEFTPDTSLKSPEETVMRQHMKKDIHDLLKGLNLRERQVLVLRYGLNNYDPKSLEEIGRLFHVSKEWIRKIEKKALMKLRVEESHKYLSHYLNN >ONI33721 pep chromosome:Prunus_persica_NCBIv2:G1:37585636:37589232:1 gene:PRUPE_1G443200 transcript:ONI33721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGFRLNLKCGFPVHSSHWQTTSPSKISSTSVRGREGSFNSARLSFLSVISEEGEASSKDPLKVYTFPSASPQILEDGVSELEETAFRRVPKSFSDNQMPVAEGKFISSKGLEACTASHFSLLLQNLNVLEETFATSDVLKLEKEILLQLARLGALKLFDICLSRTLKTSSFLDLSDIPTVPVEEGKMERKEDNNVGEIIVRSGKKEERRSRKRTSDNAIVSSNSLPPKTIWKGLKKPTLSSGKRASNYRSRRLTISKNEAEMSIGVKVIADLERIKETLEKETGKVATLRCWAEAAGVHEKVLLQHLRFGWYCQDELIRSTRSLVLYLARNYRGLGVALDDLMQAGNLGLLQGAERFDHSRGYRFSTYVQYWIRKSMSRLVAQHARGVQIPFTLSKAINQIQKARKANYNSHMKYPDDEEIAKITGLSLARIRSASTCLRVVGSVDHKMWESSDGTYMEFTPDTSLKSPEETVMRQHMKKDIHDLLKGLNLRERQVLVLRYGLNNYDPKSLEEIGRLFHVSKEWIRKIEKKALMKLRVEESHKYLSHYLNN >ONI33723 pep chromosome:Prunus_persica_NCBIv2:G1:37585636:37588259:1 gene:PRUPE_1G443200 transcript:ONI33723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAFQTAFRRVPKSFSDNQMPVAEGKFISSKGLEACTASHFSLLLQNLNVLEETFATSDVLKLEKEILLQLARLGALKLFDICLSRTLKTSSFLDLSDIPTVPVEEGKMERKEDNNVGEIIVRSGKKEERRSRKRTSDNAIVSSNSLPPKTIWKGLKKPTLSSGKRASNYRSRRLTISKNEAEMSIGVKVIADLERIKETLEKETGKVATLRCWAEAAGVHEKVLLQHLRFGWYCQDELIRSTRSLVLYLARNYRGLGVALDDLMQAGNLGLLQGAERFDHSRGYRFSTYVQYWIRKSMSRLVAQHARGVQIPFTLSKAINQIQKARKANYNSHMKYPDDEEIAKITGLSLARIRSASTCLRVVGSVDHKMWESSDGTYMDLVMGMGIY >ONI28086 pep chromosome:Prunus_persica_NCBIv2:G1:9595608:9606282:-1 gene:PRUPE_1G122300 transcript:ONI28086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPEKSQANTNSMQRVKVYRLNEDGKWDDQGTGHVTVDYMERSEELGLFVIDEEDNETLLLHRISSDDIYRKQEDTIISWRDPEYSTELALSFQESTGCSYIWDHICSVQRNLHFNSLNNETFHSANSELRELPVVELSTLPLILKTVAESGIADQMRLTELILTDQEFFRKLMGLFRICEDLENVDGLHMIYKIVRGIILLNSPQIFEKIFGDDLIMDVIGSLEYDPEVPHVQHHRNFLKEHVVFKEAIPIKDHMVLSKIHQTYKVGYLKDVVLARVLDEATVGNLNSIIHANNAIVVSLLKDDSTFIQELFARLRSPSTSAESKRNLVYFLHEFCSLSKSLPMVQQLRLFRDLMNEGIFDIVTDALQSQDKKLVLTGTDILNLFMNQDPNLLRSYVVRQEGIPLLGLLVKGMITDFGEDMHCQFLEILRSLLDSYTLSGAQRDAIIEIFYEKHLGQLIDVITASCPLEGNAQSIDQNVAKPEILSNICELLCFCVLHHPYRIKCNFLLNNVIDKVLLVTQRREKYLVVAAVRFVRTILSRHDEHLINHIVKNNLLKPIVGAFVGNGNRYNLLNSAVLELFEFIRKENLKALVKYLVDSFWDQLVKFEFFASVQALKVKYEQCLESFGPKGSTNVSDPRKRTDERALEKEEEDYFNEDSDEEDTASASMPNTQKVQAQPQPVLSNGVAANHPPSRSVGLVDYDDDEDDEDYKPPPRKHPETSDEDEGTMESLRLKRKLASKDREPELAKRQRLGKNSKPKESVFAALCTTLSQAVLPNKKTASNMHTTHTADGVSNSGEGKNQENEHAVSRSCADSNNSEDEDNREKEPATSRGCCDRLHGTSENRQLGGEDCPLIPPKSSPEMAVNGS >ONI28087 pep chromosome:Prunus_persica_NCBIv2:G1:9595293:9606286:-1 gene:PRUPE_1G122300 transcript:ONI28087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPEKSQANTNSMQRVKVYRLNEDGKWDDQGTGHVTVDYMERSEELGLFVIDEEDNETLLLHRISSDDIYRKQEDTIISWRDPEYSTELALSFQESTGCSYIWDHICSVQRNLHFNSLNNETFHSANSELRELPVVELSTLPLILKTVAESGIADQMRLTELILTDQEFFRKLMGLFRICEDLENVDGLHMIYKIVRGIILLNSPQIFEKIFGDDLIMDVIGSLEYDPEVPHVQHHRNFLKEHVVFKEAIPIKDHMVLSKIHQTYKVGYLKDVVLARVLDEATVGNLNSIIHANNAIVVSLLKDDSTFIQELFARLRSPSTSAESKRNLVYFLHEFCSLSKSLPMVQQLRLFRDLMNEGIFDIVTDALQSQDKKLVLTGTDILNLFMNQDPNLLRSYVVRQEGIPLLGLLVKGMITDFGEDMHCQFLEILRSLLDSYTLSGAQRDAIIEIFYEKHLGQLIDVITASCPLEGNAQSIDQNVAKPEILSNICELLCFCVLHHPYRIKCNFLLNNVIDKVLLVTQRREKYLVVAAVRFVRTILSRHDEHLINHIVKNNLLKPIVGAFVGNGNRYNLLNSAVLELFEFIRKENLKALVKYLVDSFWDQLVKFEFFASVQALKVKYEQCLESFGPKGSTNVSDPRKRTDERALEKEEEDYFNEDSDEEDTASASMPNTQKVQAQPQPVLSNGVAANHPPSSPRSVGLVDYDDDEDDEDYKPPPRKHPETSDEDEGTMESLRLKRKLASKDREPELAKRQRLGKNSKPKESVFAALCTTLSQAVLPNKKTASNMHTTHTADGVSNSGEGKNQENEHAVSRSCADSNNSEDEDNREKEPATSRGCCDRLHGTSENRQLGGEDCPLIPPKSSPEMAVNGS >ONI30199 pep chromosome:Prunus_persica_NCBIv2:G1:25174741:25176419:-1 gene:PRUPE_1G237200 transcript:ONI30199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALEIEVLSTETVKPSSPTPPHLRRHNLSFIDQLNPPVFMPMVLFFPKDPDATDSTYIQQRCTRIKLALSETLTKFYPLAGRVRENQYIDCNDEGALYAEAKANVTIADVISNPIPNDFNKFLPCELDSAHELGVCLQVTSFVCGGMSIAMGMSHKAGDALAYFSFLNSLAAATRGEVDAVPAPDFVSDKYFPQMDLSGFYHPTNGMVKDNISTKRFVFEAPEISTLREKCTGAQNEHARRPTRVEALSAFILSRYAASCNNPKQIDRSDDVPKTYMVSHAVNLRTRMDPPLPEYTFGNLSRMSQASPPVPVGPKDGSVSDSTLQAIVSHVREGLKQVNTEYVKKLKEGHQHLSLLKERTSQVKRGELVPFSFTSLCRFPMYDADFGWGKAVYMGSASLTFRNLVSFFDTPSGDGVEAWVNLREEDMEIFEADEEFLKYVSPNHSWKKSGVKTLIY >ONI26448 pep chromosome:Prunus_persica_NCBIv2:G1:1762070:1765423:-1 gene:PRUPE_1G025600 transcript:ONI26448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQDHNQEGKSNLGPESSGGRKALPNFLLSVRLKYVKLGYHYLISNAVYFLLVPLMAVASAHLSTLTLEDFVQLWHQLKFNFVSVTLCSGLLVFLTTLYFTTRPRKVYLMNFACYKPEYAITCTRELFMERSKLTGSFSDENLAFQQKILERAGLGQKTYMPEAVMRVPPNPCMAEARKEAEMVMFGAIDELLEKTGVKPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVVSMENITLNWYFGNDRSMLLSNCLFRLGGAAILLSNRSSDRRRSKYQLMHTVRTHKGSDDKAYSCVFQREDDTKRVGVALSKDLMAVAGEALKTNITTLGPIVLPMSEQLLFFVTLVARKVFKMKNIKPYIPDFKLAFEHFCIHAGGRAVLDEMEKNLELSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRVRKGDRMWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWIDEIDQFPVDVPKVAPCKAS >ONI34822 pep chromosome:Prunus_persica_NCBIv2:G1:41462842:41464074:1 gene:PRUPE_1G500900 transcript:ONI34822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTEQRVQLTETSKPMPSSKTSSTSASSSACKKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSANLNFPSTASSHFIPQEITVMSPKSIQRVAAAAANSFAIDNATTPTTTSIASPPSPPPQSSSSSSLVSSPSMSSSPSDDMSSLMGSFEPYTPIYDHHDQAIDQLPMSSMELWNNFDDGLQSPKYIDQMFNGAFFDPPMIYDFYEESDIPLWSFC >ONI31117 pep chromosome:Prunus_persica_NCBIv2:G1:29113168:29118512:1 gene:PRUPE_1G293500 transcript:ONI31117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEREPVFSHLALSHKPDSNPFGQNDITLDSAALQSAKWIMKESQNRVSCGSKDNEEDAGQVPYVKNDEDEVKDFVPPYTLSSEKLEALEKESDYYMDKSVMECELPELIVCYKESSCNTIKDICIDEGVPSQDKNRFETGVDEKECCTFLSPDEDQNKQLLEEQMDIVVTLPDGFKSSAHDDLEKGFVIPCDSKGLTQIGDAIYYTQEKTEIEVSKEIFFPANVLPMQELGAGNAHSSKSSNEESTEAVQDTVQSSGEKVSEIAQTGSTAVVSVTEESSHSEKKALVSAAEESNFHVDELSNNSKVENGSTTSGLSDTSVHVSTTRDACPDNDVHKHFETQTMPAGDDGDDNDDNMPDAEIVPSQVQPCSAPVVTGREECPENGVCQPLDTSSTSKVDDEIPHSVIVSSQVQHYSAPVTISREERPENGVWQCPETSNAFMVGDVNSDTQYASFHVQRGFGESSFSAAGHFSSLMNTSGPYSGNVSLRSESSTTSTRSFAFPVLQSEWNSSPVRMAKADRRHLRKHRGWGHSLLCCRF >ONI31118 pep chromosome:Prunus_persica_NCBIv2:G1:29113168:29118369:1 gene:PRUPE_1G293500 transcript:ONI31118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEREPVFSHLALSHKPDSNPFGQNDITLDSAALQSAKWIMKESQNRVSCGSKDNEEDAGQVPYVKNDEDEVKDFVPPYTLSSEKLEALEKESDYYMDKSVMECELPELIVCYKESSCNTIKDICIDEGVPSQDKNRFETGVDEKECCTFLSPDEDQNKQLLEEQMDIVVTLPDGFKSSAHDDLEKGFVIPCDSKGLTQIGDAIYYTQEKTEIEVSKEIFFPANVLPMQELGAGNAHSSKSSNEESTEAVQDTVQSSGEKVSEIAQTGSTAVVSVTEESSHSEKKALVSAAEESNFHVDELSNNSKVENGSTTSGLSDTSVHVSTTRDACPDNDVHKHFETQTMPAGDDGDDNDDNMPDAEIVPSQVQPCSAPVVTGREECPENGVCQPLDTSSTSKVDDEIPHSVIVSSQVQHYSAPVTISREERPENGVWQCPETSNAFMVGDVNSDTQYASFHVQRGFGESSFSAAGHFSSLMNTSGPYSGNVSLRSESSTTSTRSFAFPVLQSEWNSSPVRMAKADRRHLRKHRGWGHSLLCCRF >ONI31119 pep chromosome:Prunus_persica_NCBIv2:G1:29113168:29118368:1 gene:PRUPE_1G293500 transcript:ONI31119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEREPVFSHLALSHKPDSNPFGQNDITLDSAALQSAKWIMKESQNRVSCGSKDNEEDAGQVPYVKNDEDEVKDFVPPYTLSSEKLEALEKESDYYMDKSVMECELPELIVCYKESSCNTIKDICIDEGVPSQDKNRFETGVDEKECCTFLSPDEDQNKQLLEEQMDIVVTLPDGFKSSAHDDLEKGFVIPCDSKGLTQIGDAIYYTQEKTEIEVSKEIFFPANVLPMQELGAGNAHSSKSSNEESTEAVQDTVQSSGEKVSEIAQTGSTAVVSVTEESSHSEKKALVSAAEESNFHVDELSNNSKVENGSTTSGLSDTSVHVSTTRDACPDNDVHKHFETQTMPAGDDGDDNDDNMPDAEIVPSQVQPCSAPVVTGREECPENGVCQPLDTSSTSKVDDEIPHSVIVSSQVQHYSAPVTISREERPENGVWQCPETSNAFMVGDVNSDTQYASFHVQRGFGESSFSAAGHFSSLMNTSGPYSGNVSLRSESSTTSTRSFAFPVLQSEWNSSPVRMAKADRRHLRKHRGWGHSLLCCRF >ONI33799 pep chromosome:Prunus_persica_NCBIv2:G1:37765980:37766857:-1 gene:PRUPE_1G446500 transcript:ONI33799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTANCDICGAPMENAAHIVRNCPATISVWHQSLMPMNMSLLQAVDLHTWVAKNLHNSTILTYGVEWSTIKGEDGRIAAGGVLRDSYGQWMRGFAVNLGVGQVLEAKLWGIYLGLKITWDIGCSAVVLEYDSATAVHLLNKNVEDFHPLATMLWGCQAYINKNWVCSIHHVYRECNMVANKLAELSSCLGLGLSTFHDPHDSIRSFLSEDLLGVCRPRAIV >ONI28887 pep chromosome:Prunus_persica_NCBIv2:G1:13576313:13577727:1 gene:PRUPE_1G166900 transcript:ONI28887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYSADTTCLFRTQTTQSFEGFHIKHHPLFDHFFNEPQSFTYPFSLHIAVDQGIIARSNIRDTIFYHH >ONI27457 pep chromosome:Prunus_persica_NCBIv2:G1:6561504:6562675:1 gene:PRUPE_1G088000 transcript:ONI27457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQPNTSLHLNLPNDQVNLDLVLEPSYTSSSSSFSPSEQPRVFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSREQLSSAARAHGGSNQRPAGSNSLSDSSHPRQIHEAHNLGGLKEHQGHAGRFAGHMNCGYRPDNNVEEETGHLDLSLRL >ONI30548 pep chromosome:Prunus_persica_NCBIv2:G1:26764926:26770720:1 gene:PRUPE_1G257600 transcript:ONI30548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFLPFIGMVVAIMAQTGSMMLNKAAMSKGTNKYIFVVYTNALSALILLPYILIFHRCSSQIFGNIGIAYSSPTLATAMLNLIPAFTFILAIIFRMEKVNWRSSSSQAKVCGTIVSITGAFVVTLYKGLPIIQQSISLSNSPNQLLFSSQSNWILGGLFLAAEAFSTSLWYTMQGFVVKKYPAVVFIVFYQCLIATVGSAAVTLVAVRDASAWEPRLDMGLIAILYAAGALFCSVFKPLGIMFSVIMGVIFLGDSVYLGSLIGALIFVIGFYAMMWGKATGEAGRIIRPK >ONI31991 pep chromosome:Prunus_persica_NCBIv2:G1:32217005:32222139:1 gene:PRUPE_1G343600 transcript:ONI31991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPYCLISHSSSSSSSSRYCSQKGPILRFFFLLSLFFFLSVGLARAGDAEALLSLKSTIDPQNSLPWRQGSNVCEWEGVKDCMKGRVTKLVLEYLNLTGVLDHKILNRLDQLRVLSFKGNSLSGQIPDLSGLVNLKSIFLNDNNFSGVFPASIADLHRLKVVVLAGNQISGPIPMSLLKLRRLYSLYLQDNQFTGPIPPLNQTSLRFFNVSNNQLSGEIPMTPPLIQFNASSYLGNVNVCGVQIDKQCGGSVGFPPSMSPSDQPSPASKSKRGKLIKIVAGSVGGLVVVVICLVLVWMVCRWSRGRRLGRGGEATRSKAGVAELAAERGSGAGPTGGPGGGGGGMGGNNGGKQGGFSWEGEGLGSLVFCGPGAQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRLDEFRRHMDLLGKLRHPHLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGSRTSGGGKPLHWTSCLKIAEDLASGVLYIHQNPGLTHGNLKSSNVLLGSDFESCLTDYGLTLFRDPDSLEEPSATTLFYRAPECRDLRKPTTQQADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPRWVRSVREEETESGDDPASGNEASEEKLQALLNIAMACVSIAAENRPTMREVLRMIRDARAEAQVSSNSSDHSPGRWSDTVQSLPREEHLSI >ONI32283 pep chromosome:Prunus_persica_NCBIv2:G1:33149988:33154087:1 gene:PRUPE_1G358300 transcript:ONI32283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVAGNKGSNGLQGRHYPAPHATHEEVIKDPVVFWDTLRRFHLKMNTKFMIPVIGGKELDLHILYVEVTRRGGFEKVVAEKKWREVGAIFMFSPTTTSASFVLRKHYSTLLYHYEQVYFFKTQGPLCTPTATAFSISNRSESADKPELALVEYSPKHIKDRPHVIEGTGIIDGKFDCGYFITVKLGSEVLSGVLYHPNQQPLPGPSNPISPAPQPVNAIVPYTKRSCRLLGSKSKRRRRRRGGDPNYPKPNRSGYNFYFAEKHYKLKSLYPNREREFTKMIGESWSNLTAEERLVYQNIGLQDKERYKKELKEYKESMKLGSQAVERLIKS >ONI28290 pep chromosome:Prunus_persica_NCBIv2:G1:10687932:10689728:1 gene:PRUPE_1G135800 transcript:ONI28290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVCMYKPNQFCSLLVGVTDSQVQPLPLSLITIPHRLNQRGIDENGRIKVETRHEYKHTFLGLLAKIKSSVVSVLISLISDTWANGSHDIKLISLGGGSTIIACYWGSQVSPKRCNIA >ONI34135 pep chromosome:Prunus_persica_NCBIv2:G1:38741722:38744340:1 gene:PRUPE_1G464200 transcript:ONI34135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLFARMTDLVNNTGVVVQIREVEGDDDGEGEPFATLQIGDRTVIRGMKFLDRMADLGPRSIHVTAILAAGSTTLIFPAQYFAAYGRVVFRLHDQQLVVEPEEMSGIRRMRPVRFLACV >ONI26367 pep chromosome:Prunus_persica_NCBIv2:G1:1447287:1449189:1 gene:PRUPE_1G020500 transcript:ONI26367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENISDLREESGVTNQDSQSLDLEAVQATSEMNKSTMEDKHKGSGSILTEEIMGHDQRTGLVVEKEESPKMSGVLRGKTEGLKSEKEEEAVKAKFLNEKLVGCDEIVGLRTGSVCGQENSTEDGNGVVADQQGLNLPLDSEITDSELRHSCSSEGDGKMIVDSDEIAKEEVVKSVFLNEKMVGSDEIVGSAKTENGKGVVTDQQDLNNLVPEIAEKEQIGSGSGSSLCCSEGVTDQYLKFVMRADDVTLGEADESNQEWRDFKDAIMRADQLDLESIGLKIERRVQASVEDVVKRYSQMNSMTMSLSIGDWEKILESRDVSGLEADRVTMLRESGEQRKMQALKAYSESQLSDMEDKLGVIKEAEVDNGKTIAELEEETKTEVEKENNAIHELQELVTVEESKETELKMEMPSKAPGLLHRPKLLMSVGVVITAILYTALKMKRR >ONI31087 pep chromosome:Prunus_persica_NCBIv2:G1:28966739:28967236:1 gene:PRUPE_1G291300 transcript:ONI31087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEGKLFIGGLAWDTTEDKLTDYFNQYGDVTQTVIMRDKTTGRPRGFGFVVFSDPSVLDRVLNDKHTINGQGR >ONI31000 pep chromosome:Prunus_persica_NCBIv2:G1:28731173:28734539:1 gene:PRUPE_1G287200 transcript:ONI31000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSASTCPEENPRVYPPFLCSAPIKFQYANYSTPEYKDSGKGFLKLQLINQRSDFSFVLFSGGLLHPKVVAVSNHVAFANPDAPVYPRLAQGKEWNEMTVTWTSGYGINEAEPFVEWGASGESMRSPAVTQTVDRNSLCGAPARTVGWRDPGFIHTSFLKELWPNRVYTYKLGHRLFNGTYIWSQTYHFRASPYPGQNSSQRVVIFGDMGKDEADGSNEYNNFQRGSLNTTKQLIRDLKNIDIVFHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIASGNHERDWPGTGSFYENMDSGGECGVLAENMFYVPTETRAKFWYSTDYGMFHFCIADTEHDWREGTEQYKFIEHCLASVDRQKQPWLIFLAHRVLGYSSCISYAEEGSFEEPMGRESLQNLWQKYKVDIALYGHVHNYERTCPIYQNICTNEEKHSYKGSMNGTIHVVAGGAGASLSTFTTLQTKWSIFKDYDHGFVKLTAFDHSNLLFEYKKSRDGQVYDSFRISRDYRDILACTVDSCPSTTLAS >ONI30999 pep chromosome:Prunus_persica_NCBIv2:G1:28730509:28734842:1 gene:PRUPE_1G287200 transcript:ONI30999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIKLGLAILLVLATLQNASSHGEQPLSRISIHKATFALHELAYIQASPTVLGLRGENTEWVTLEFGSKNPSVDDWIGVFSPANFSASTCPEENPRVYPPFLCSAPIKFQYANYSTPEYKDSGKGFLKLQLINQRSDFSFVLFSGGLLHPKVVAVSNHVAFANPDAPVYPRLAQGKEWNEMTVTWTSGYGINEAEPFVEWGASGESMRSPAVTQTVDRNSLCGAPARTVGWRDPGFIHTSFLKELWPNRVYTYKLGHRLFNGTYIWSQTYHFRASPYPGQNSSQRVVIFGDMGKDEADGSNEYNNFQRGSLNTTKQLIRDLKNIDIVFHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIASGNHERDWPGTGSFYENMDSGGECGVLAENMFYVPTETRAKFWYSTDYGMFHFCIADTEHDWREGTEQYKFIEHCLASVDRQKQPWLIFLAHRVLGYSSCISYAEEGSFEEPMGRESLQNLWQKYKVDIALYGHVHNYERTCPIYQNICTNEEKHSYKGSMNGTIHVVAGGAGASLSTFTTLQTKWSIFKDYDHGFVKLTAFDHSNLLFEYKKSRDGQVYDSFRISRDYRDILACTVDSCPSTTLAS >ONI26662 pep chromosome:Prunus_persica_NCBIv2:G1:2691442:2694251:1 gene:PRUPE_1G038400 transcript:ONI26662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKSLQLVLLLFLGVLLVSTSSLGVDHHRSIHEPLPLEAANSFEQVSLPVPGGKHLIGPKRKLANQEYRRRPPGYPAPEPRHRPSPPPPAPPPLPILNPPPPPRRGRRPPPPNLYPPPPLPILNPPPPPRRGRRPPPPDLYPPPPLPILNPPPPPRRRRPPPQIPSPGSGS >ONI27816 pep chromosome:Prunus_persica_NCBIv2:G1:8508289:8513237:-1 gene:PRUPE_1G106200 transcript:ONI27816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMISKPLVLTYFYLFMYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGLVAFLLVRVFKVVAPVKMTFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFIMAVLCGTDKPRCDVFSNMVLVSVGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLSVPWLLLEKSSMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESMITRLNIIGYAIALCGVVMYNYIKVKDVRASQLPSESIPERISKDWKLEKKSSDIFVPNNSGSASDIDVDEETPLTQSTRLSHIGRSQVGNHGA >ONI27815 pep chromosome:Prunus_persica_NCBIv2:G1:8508293:8513237:-1 gene:PRUPE_1G106200 transcript:ONI27815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMISKPLVLTYFYLFMYILLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGLVAFLLVRVFKVVAPVKMTFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFIMAVLCGTDKPRCDVFSNMVLVSVGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLSVPWLLLEKSSMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESMITRLNIIGYAIALCGVVMYNYIKVKDVRASQLPSESIPERISKDWKLEKKSSDIFVPNNSGSASDIDVDEETPLTQSTRLSHIGRSQVGNHGA >ONI29672 pep chromosome:Prunus_persica_NCBIv2:G1:22224731:22225915:1 gene:PRUPE_1G208200 transcript:ONI29672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGSPEVFMKDFGMHKWSRALEDSMPSESDLSPMIELDNNQAFPKALGSGTFRDKLMQKGWKLVDLVNDYFVVKFNLEEDLHFVLTGGPWIVADRCRPVFGDAEMGFCPTSATITRMVAWIHVSAIQLECFGICALKKIVNLLSKLLKIDALTIAQHRGKFTRLCVKLDLSKPLKAFGLLDICYLCGKYGHKRENYELKADVSAPNIGEGSTKGHNPTGPDAKMGQVDSVDTMEELRGPWMIVQPCRKSKVVVKYGDSKVSGGQSQGLRFEKLRQVRENFGDVEASGHGGDKQAIVPT >ONI27092 pep chromosome:Prunus_persica_NCBIv2:G1:4853134:4854537:1 gene:PRUPE_1G067800 transcript:ONI27092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHFVSEGMQMKTMKKKRKEVEELDQVNDDFSDFSLSSPARKIRRLDAHLPPIMEEEEAEFSFSAAQNQLKSEAPIIEGLPSENQEKAIVLFRPVNNTPVFSLHSDLISGFKDQFLRSSHFGLRSSAEEDDEAVQSNSNQCKAVVPWVPSHLSPAPSMGVSQADPPEELMEAEGMETATMEIEEESPAAGQAMADGYGGGMWTSNEGFPQWQQQHCMIPQPPHNTTTAITWLQ >ONI33840 pep chromosome:Prunus_persica_NCBIv2:G1:37914542:37918528:1 gene:PRUPE_1G449200 transcript:ONI33840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLMAVPSCALQINGSFQTHFISESFNHLKTSLSSAAKPLFKELQQLPIKKVNNVSETMKNTSGKILDALVNSMFKFVDQPLLPSQKNFAPVEEIGSLVEINCTEGEIPPDFAEGVYIRNGNNPLFGGLKSAVSIFGQSHHSWVDGEGMLHAVYFKKDQNGRWIISYKNRYVETETFKLEKHIHNKPCFLPVLQGDASAVLAALLLNGLRFGAVNKYFSNTNVFEHSGRVYSVTENYLPQEVDISTLETLSDWDVNGAWDRPFTGHPKKAPSSGELVIMGTDAKKPYYVLGVISADGKKLHKADLKFKRSVLSHDIGVTQKYNVIIDHPLTVDIQRLAMGGQLMKYEKEGFARIGVMPRYGDAESVKWFEVQTSCTFHILNCFEEGDEVVVRGCRALTSLIPGPDDDVGFNNKFEWFSEGFNFAHHTKDVSSADDIFAEPGYFFSRVYEWRLNMVSGEVEEKNLTGTEFSMEFPFINDQVTGLKHKYGYTQVVDSMASSTCGMGKFGSLAKLYLEESYATTSAEGKCEELIKVEYHKFEENNFCNGSVFVARHGGKGMEEDDGWIVTLVHNEETDVTQVHVIDASRFGSDAIAKLTLPQRVPYGFHGTFVSMPSQS >ONI35624 pep chromosome:Prunus_persica_NCBIv2:G1:44689967:44692408:-1 gene:PRUPE_1G546700 transcript:ONI35624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDLPSTNPEDSMSCFDLSKNDSYLMSATGGMISIFDTTTFKTMKKVMPPPPAATCLTFHPRDDNIVAIGMDNSTIVIYNLHSDEVTRKLEGHAKRVPCLLEHSEYFCVGCCWVGKTEKQIVADSRWEGVEIILSDTYIQIHQNELHLLAINKTHLAVYEVKELACV >ONI27383 pep chromosome:Prunus_persica_NCBIv2:G1:6153950:6156982:1 gene:PRUPE_1G083000 transcript:ONI27383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGCWFVPYREDFPIGGKLWELHLDPPRRNSWNRHCQGSRSAPSSNSGETAVIAIGLVVLLVKIVIVIVICQKRSQKGSSVNPDFQTLTMDKFLNDMEREKPIRFTSQQLRIATDNFTNLLGQGGFGAVYKGIFSNGTLVAVKVLNGSSDKRIEEQFMAEVSTIGRIHHFNLVRLHGLCFEKHLRALVYEYMRNGSLDKFLFHRNKDLGFEKLHEIAVGTARGIAYLHEECQQRIVHYDIKPENILLDANFFPKVADFGLAKLCNRDNTHITMTGGRGTPGYAAPEVWMRFPITHKCDVYSFGMLLFEIIGRRRNLDINIQDSQDWFPRWVWKKFEPGELGEIMVVCGIEEKDKERAERIVKVAIWCVQYMPEARPSMSVVVKMLEGAIEIPRPSTNPFQHLMSDTPYPTAPVYDTSNGTYSTSAFGSDPSRTVTGYSVVHGTPIMRKYEIEIAST >ONI27384 pep chromosome:Prunus_persica_NCBIv2:G1:6155393:6156801:1 gene:PRUPE_1G083000 transcript:ONI27384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYQSAPSSNSGETAVIAIGLVVLLVKIVIVIVICQKRSQKGSSVNPDFQTLTMDKFLNDMEREKPIRFTSQQLRIATDNFTNLLGQGGFGAVYKGIFSNGTLVAVKVLNGSSDKRIEEQFMAEVSTIGRIHHFNLVRLHGLCFEKHLRALVYEYMRNGSLDKFLFHRNKDLGFEKLHEIAVGTARGIAYLHEECQQRIVHYDIKPENILLDANFFPKVADFGLAKLCNRDNTHITMTGGRGTPGYAAPEVWMRFPITHKCDVYSFGMLLFEIIGRRRNLDINIQDSQDWFPRWVWKKFEPGELGEIMVVCGIEEKDKERAERIVKVAIWCVQYMPEARPSMSVVVKMLEGAIEIPRPSTNPFQHLMSDTPYPTAPVYDTSNGTYSTSAFGSDPSRTVTGYSVVHGTPIMRKYEIEIAST >ONI27324 pep chromosome:Prunus_persica_NCBIv2:G1:5834143:5837314:1 gene:PRUPE_1G079900 transcript:ONI27324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPDGVQHALVGEIISRFEKKGFDNPRDKSRVFVVGMMVLGTLMPIVKGDICTEDLGACDDGCNSRCTSAHTGAKAGCDLNNTTPVCRCYFDCPPPTPPPYSKTCKLSLGIWGENCSDEDCNSECAAKYPGPQQGMGATKEFDSKHCVCQINCTESIETKSWQTNLVGAGLGFWTTDLVLALL >ONI34510 pep chromosome:Prunus_persica_NCBIv2:G1:40415942:40419530:-1 gene:PRUPE_1G485200 transcript:ONI34510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCYCSLPSSLLLVIFLFLSSPTFIFFSHGDSHFAPFQTPPVSGWDFGEKYEVGFQWGSGRSLVEGPFGEPVESSSIVLAAQRTQRKDPLNGFKRYTGGWNISDHHYWASVGFTAAPLFAVAAIWFLSFGLCLLIICVCYFCCKRQTYGYSRTAYALSLIFLILFTIAAMIGCVVLYIGQKRFHSSTTNTLEYVVHQADITVEQLKNASDYLAAAKQLGVDQVFLPSNIQTDIDEIGGKLNSSASTLAERTQENADDMRELLDSVRLALIIIAAIMLLLTFLGFLFSIFGMQSLVYILVITGWILVAGTFILCGTFLLLHNVAADTCVAMNEWVQNPTAHTALDDILPCVDSATTQETLLRSKEVTAQLVNLINEVITNVSNINFAANFVPMYFNQSGPLVPILCNPFFPNMADRTCTAGEVNLNNATEVWGNYVCQVSPNGICTTTGRLTPTFYSQMSTGVSLSNALNNYAPILVELQDCTFVRETFSDIYRDHCPGLSRYSRWIYIGLVMVSTSVMLSLLLWVIYGRERRHRVYTKELMAESAQGAEGEKES >ONI34934 pep chromosome:Prunus_persica_NCBIv2:G1:41834877:41837294:1 gene:PRUPE_1G506700 transcript:ONI34934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNGGIRTCAKLVRASEGSLSKSGTRGFHSTGVKRMGGHGHDEPYYLHAKHMYNLDRMSHQKLKVTLGVFTAFSIGVAVPIWAVHFQQKKTASG >ONI34933 pep chromosome:Prunus_persica_NCBIv2:G1:41835036:41837294:1 gene:PRUPE_1G506700 transcript:ONI34933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNGGIRTCAKLVRASEGSLSKSGTRGFHSTGVKRMGGHGHDEPYYLHAKHMYNLDRMSHQKLKVTLGVFTAFSIGVAVPIWAVHFQQKKTASG >ONI32018 pep chromosome:Prunus_persica_NCBIv2:G1:32287372:32290187:-1 gene:PRUPE_1G344900 transcript:ONI32018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKLSTCNLLQQTQVFLDGLFEWSWKDILVCLKSCGSFCNYADSSGLLDKLICALLAKIAQNSDISSFIAASSSTSSSPETASGFRPSSSYKNTPESIKPSSSSRAWWFDDVAILPPKIIEKLFQSLGAYGADNNSLILTRFLLHYLKVSAQRKANYNQTSSAAVNSKCEFGGLADTAVHGIILVGRKTFSCRALFWVLRIVSGFGLSKEYRLGLERLIGGMLDEATLDDLLVSGHDRGVYDVNLVIRLIRVFVKSEGVSVQKLKIAGRLIDKYLGEISPDQNLKISKFLGVAESLPDSARDCFDGAYRAIDIYLESHPSLSFEERSRLCRCLNYEKLSLGACKELAKNPKIPPRVAMQALMSQQSKITPPTPKPKPKQQCVNYEMIVYKGDADDEESLAEEGKMEETLNLQRMQWRVVELEKLCRQMKGQMSRMVKHNHVLATPTHARPLPRLC >ONI32017 pep chromosome:Prunus_persica_NCBIv2:G1:32287372:32290741:-1 gene:PRUPE_1G344900 transcript:ONI32017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLSDFQIHINGQQTFFVNEEILSTYSGRLKKIIKQERRRTQIKNSGIEIDDFPGGPDGFELISRFCYNNGRITTTVSNVSLLHCCAVYLGMTEKLSTCNLLQQTQVFLDGLFEWSWKDILVCLKSCGSFCNYADSSGLLDKLICALLAKIAQNSDISSFIAASSSTSSSPETASGFRPSSSYKNTPESIKPSSSSRAWWFDDVAILPPKIIEKLFQSLGAYGADNNSLILTRFLLHYLKVSAQRKANYNQTSSAAVNSKCEFGGLADTAVHGIILVGRKTFSCRALFWVLRIVSGFGLSKEYRLGLERLIGGMLDEATLDDLLVSGHDRGVYDVNLVIRLIRVFVKSEGVSVQKLKIAGRLIDKYLGEISPDQNLKISKFLGVAESLPDSARDCFDGAYRAIDIYLESHPSLSFEERSRLCRCLNYEKLSLGACKELAKNPKIPPRVAMQALMSQQSKITPPTPKPKPKQQCVNYEMIVYKGDADDEESLAEEGKMEETLNLQRMQWRVVELEKLCRQMKGQMSRMVKHNHVLATPTHARPLPRLC >ONI32614 pep chromosome:Prunus_persica_NCBIv2:G1:34099234:34102112:-1 gene:PRUPE_1G376300 transcript:ONI32614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRWGVVFFVLLLIQSPGILKPVEAEDGFVKTRGLQLVLNGVPYYAHGFNAYWLMYVATDPSQRDKILSAFQEATKHGLSIARTWAFSDGGYRPLQSSPGSYNEQTFQGLDFAISEAKKHGIKLILSLVNNYENFGGRKQYVDWARSQGQSITSEDDFYTNSVVKDFYRNHIKTVLTRTNSLTGVAYKDEPTIMAWELMNEPRCTSDPSGKTIQAWITEMASYLKSIDGNHLLEVGLEGFYGASKQQTNPNYFQVGTDFIANNQIPGIDFATVHSYPDQWLSGSSYEDQVAFLNNWVNDHIQDAQKILKKPILFAEFGRSLKESGYTTNQRDRIFTTVYSAIYSSARGGGAAVGGLFWQLLAEGMDSFQDGYGVVLSESSSTVSLISEESQRLIKIRKMYARLRNIEKWKRAREIRRAQRWSGNKLNDTGN >ONI26030 pep chromosome:Prunus_persica_NCBIv2:G1:42266:44493:-1 gene:PRUPE_1G000200 transcript:ONI26030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKILTAHTPPLKQKIVVPPNFSTAKTSLYSTTDEPSPLVVIQAWFVNLPVFVRLLKKAEEEFGFGCSGGLVLPCEVGVFKEILRFIERDESKFGRLGLEEFLKMVSEVGFDSCKELASNAAANSSCHAFTPLLQKARA >ONI36158 pep chromosome:Prunus_persica_NCBIv2:G1:46676695:46679510:1 gene:PRUPE_1G572700 transcript:ONI36158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGVSVTNYWEAFLIFFFFLMKAFLIFKSLRLMQLDIAGNAIAGENFKSFLVKVVPSLQWLDGDKLH >ONI26332 pep chromosome:Prunus_persica_NCBIv2:G1:1268833:1270425:1 gene:PRUPE_1G018100 transcript:ONI26332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKRCRLLRSSSQGETGLYTHIQPLQPSVPLGEPARQKRPQSQTIKQLEKQPQSQHQPSIFRLNSPTEEKSDYVFKEQPDEGFRAFLKCCSLCKKQLKQDGDVYMYGCLTAYCSPECRDNQMALDGFDKKIAADLEIRKMEVFGKPNAPLH >ONI27007 pep chromosome:Prunus_persica_NCBIv2:G1:4455694:4456089:1 gene:PRUPE_1G062500 transcript:ONI27007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLIILLLIFALISTICEGKFWGITHVKIINGLEPPADVTVHCKSKDDDLGARQLQYNASFQFQFRPNFWGSTQFYCSFVWPKQIQWFDIFKHSRDDCKFCTWIVKTGGPCMYNYTSESFDQCHPWNKKI >ONI28947 pep chromosome:Prunus_persica_NCBIv2:G1:14216453:14218188:-1 gene:PRUPE_1G171000 transcript:ONI28947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLAIPFSDSEARDRVDKLFKVRGIPHLVILDEDGKVLSDSGVEIIQEHGVDGYPFTPEKIKELNDQEEAARRDQSLKTILVSRLRDFVISNDGKNVPVSELEGKIVGLYFSLSAYSPCVDFTPKLLEVYEKLKANGESFEVVVIPLDDDEESFKQDFKNMPWFSLPIGDKNVGKLARYFELSTLPTLVIIGADGKTVSKNVAEAIEEHGVLAYPFTPEKFEELIEIEKAKEKAQTLESILISGDRNFVIGKGGTEIPVSDLVGKNILLYFSAHWCPPCRAFLPKLLEAYHKIKAKDDAFEVIFISSDRDQDAFDEFFSGMPWLALPFGDSRKACLSRRFKVQGIPMLIAIGPTGQTVTKEARHLVMQHGANAYPFTEERLKEIEAEFEEMAKGWPEKLKSAQHEEHELVLARRKDYVCDGCDEPGEEGWSFYCEACDFDLHPKCALEEDKGTKSDAKQEQDPPQEEWACDGDVCKKA >ONI28946 pep chromosome:Prunus_persica_NCBIv2:G1:14216242:14220083:-1 gene:PRUPE_1G171000 transcript:ONI28946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSKQNVAELVNSEPHDFRSLLSSSERDFLVGNNGDQIKVESLKGKKLGLYFSASWCGPCRRFTPSLVEVYNELSPKGDFEVVFISADEDDESFNGYFSKMPWLAIPFSDSEARDRVDKLFKVRGIPHLVILDEDGKVLSDSGVEIIQEHGVDGYPFTPEKIKELNDQEEAARRDQSLKTILVSRLRDFVISNDGKNVPVSELEGKIVGLYFSLSAYSPCVDFTPKLLEVYEKLKANGESFEVVVIPLDDDEESFKQDFKNMPWFSLPIGDKNVGKLARYFELSTLPTLVIIGADGKTVSKNVAEAIEEHGVLAYPFTPEKFEELIEIEKAKEKAQTLESILISGDRNFVIGKGGTEIPVSDLVGKNILLYFSAHWCPPCRAFLPKLLEAYHKIKAKDDAFEVIFISSDRDQDAFDEFFSGMPWLALPFGDSRKACLSRRFKVQGIPMLIAIGPTGQTVTKEARHLVMQHGANAYPFTEERLKEIEAEFEEMAKGWPEKLKSAQHEEHELVLARRKDYVCDGCDEPGEEGWSFYCEACDFDLHPKCALEEDKGTKSDAKQEQDPPQEEWACDGDVCKKA >ONI28948 pep chromosome:Prunus_persica_NCBIv2:G1:14216453:14218188:-1 gene:PRUPE_1G171000 transcript:ONI28948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLAIPFSDSEARDRVDKLFKVRGIPHLVILDEDGKVLSDSGVEIIQEHGVDGYPFTPEKIKELNDQEEAARRDQSLKTILVSRLRDFVISNDGKNVPVSELEGKIVGLYFSLSAYSPCVDFTPKLLEVYEKLKANGESFEVVVIPLDDDEESFKQDFKNMPWFSLPIGDKNVGKLARYFELSTLPTLVIIGADGKTVSKNVAEAIEEHGVLAYPFTPEKFEELIEIEKAKEKAQTLESILISGDRNFVIGKGGTEIPVSDLVGKNILLYFSAHWCPPCRAFLPKLLEAYHKIKAKDDAFEVIFISSDRDQDAFDEFFSGMPWLALPFGDSRKACLSRRFKVQGIPMLIAIGPTGQTVTKEARHLVMQHGANAYPFTEERLKEIEAEFEEMAKGWPEKLKSAQHEEHELVLARRKDYVCDGCDEPGEEGWSFYCEACDFDLHPKCALEEDKGTKSDAKQEQDPPQEEWACDGDVCKKA >ONI35178 pep chromosome:Prunus_persica_NCBIv2:G1:42721778:42723023:1 gene:PRUPE_1G520800 transcript:ONI35178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFLKLLLLISLFHFGSAARSLSETSSDQTQQPSLQFQYHNGPLLSGKFSINLIWYGNFKPSQRAIVSDFITSLASPPQSKPASGQPSVATWLKSIEKYYHLLSSKKQYSLSLSLGTQTLDDKYSLGKSLTARQIQQLASKGAQNYAINVVLTSSDVLVDGFCMSKCGSHSSSSSSSHIRGKTQKFTYIWVGNSETQCPGQCAWPFHQPIYGPQGPPLVAPNNDVGLDGMVINLASLLAGTVTNPFGNGYYQGPKEAPLEAASACTGVYGKGAYPGYAGNLLVDPTTGASYNAHGSNGKKFLLPALFDPSTSTCSTLV >ONI29786 pep chromosome:Prunus_persica_NCBIv2:G1:22774110:22776555:-1 gene:PRUPE_1G214800 transcript:ONI29786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKMLCLCAGIVTPPPAKLTNTNTGSKLSLSCSSDKASSVRLQSLRCKALGESSQPQTSLAETVYPGIYGPWTVDSTDVREVILYRSGLVTAAASFVVAASAAFLPDSFFLTGIVKHNLDFVYAIGAGGLGLSLFLIHIYVTEIKRTLQAFWGLGVVGSVAAYASLALPAGEGLVQYVVNNPIAVWFVGPLFVSLTGLVFKEGLCYGKLEAGILTFIIPITLLGHLSGLMDDGTKLSLLGLWMALFVIFSGRKFTQPIKDDIGDKSVFTFNSLPEDEKQALIEKLEQEKFSQNFD >ONI26655 pep chromosome:Prunus_persica_NCBIv2:G1:2616755:2617527:1 gene:PRUPE_1G037700 transcript:ONI26655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRGKVMEEKEGGEVRYRGVRKRPWGKYAAEIRDSNRHGARVWLGTFNTAEEAARAYDQAAYAMRGGLAILNFPTEYPASGGSSSSSSSANFSSSVAGTSQGRGRGEHGNEVLELEYLDDKLLEELLDCDHQKKNKK >ONI34447 pep chromosome:Prunus_persica_NCBIv2:G1:40261817:40265326:-1 gene:PRUPE_1G482700 transcript:ONI34447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVCLNSEPVFEEGDDYELEGDFTEHDNVTGETQRRKDPTAPTVGLEFDSFDEAYDFYNIYGKEQGFGIRVSNSWFRSKRKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMVVIRLVDSKRWRIVEVELEHNHQVSPQIKRFYKSHKKMILAAKSAQPLPEPVTEIHTIKLYRTPVMDVGSNGYSNFTESEGLNSIDLSKHLELKEGDAHAVYNYFCRMKLMNPNFYYLMDLDDDGHLRNVFWADARSRAAYGYFCDTVAIDTTCLSNKYEIPLISFVGVNHHGQSVLLGCGFLGHESVENFVWMLRAWLKCMLGQPPQVLITDQCKPLQIAVSEVIPNARHCYCLWYIMQKVPEKLGGLKGYEAIKRQLHKSVYNSLKIAEFETSWAEMVKCHELGENRWLQILYEDRQMWVPVYLKDTFFSGMIPIQENESLTAFFDGYVHKHTSFKEFVDKYDLALHRKRMKEVVADLESRSSSFELKTKCNFEVQLCKVYTREIFKRFQLEVEGMYSCFNTRQVSVNGPIITYIVKERVEVEGKEKEVRCYEVLYETTQVDIRCICSLFNYKGYLCRHALNVLNYNGVEEVPSRYILPRWRKDFKCRYLLHHGSSNIDVYNPVYWHNHLYKLALPVAEEGAQSEEHYKTTLQALEELLNKFHLVEDTLV >ONI35765 pep chromosome:Prunus_persica_NCBIv2:G1:45248362:45252196:-1 gene:PRUPE_1G553800 transcript:ONI35765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLVVEVHDASDLMPKDGDGFASPFVEVDFEGQRQRTQTKPKDLNPQWNEKLVFNINNPRELPGKSIDVFVYNDRKSGHHKNFLGRVRISGVSVPFSEPEATIQRYPLDKRGLFSNVKGDIALRIYAVQDDHYAPPAQHEDGSGNVEFTSSGKAEPPPPVPPPLQEINTNRVDEEIRREHFGDEKMKKKSKEKEVRTFHSIGTGAGGGGGPPPPSMAYPPPMSSGFGFETHHMKEKAPTVETRTDFARAGPATVMHMQQVPRQNPEFALVETSPPLAARLRYRGIGGDKTSSTYDLVEQMHFLYVSVVKARDLPTMDVSGSLDPYVEVKLGNYKGVTKHLEKNQNPVWMQIFAFSKERVQSNALEVTVKDKDIGKDDFVGRVQFDLSEVPLRVPPDSPLAPQWYRLEDKKGIKVRGEVMLAVWIGTQADEAFPEAWHSDAHDISHMNLATTRSKVYFSPKLYYLRIQVLEAQDLVPSERNRPLETYVKIQLGNQLRVTRPSQVRTINPMWNDELMFVASEPFEDYIIISVDEKVGPGKDEILGRLILSVRDLPHRIDTHKLPEPRWFNLQRHFASVEEESEKKKEKFSSKIHLRLCLDAGYHVLDESTHFSSDLQPSSKHLRKSGVGILELGILSAKNLLPMKGKEGRTTDAYCVARYGNKWVRTRTLLDTLTPRWNEQYTWEVYDPYTVITIGVFDNCHVNGSREDSRDQKIGKVRIRLSTLETDRIYTHYYPLLILTPSGLKKNGELQLALRFTCTAWVNMVAQYGKPLLPKMHYIQPIPVRYTDWLRHQAMQIVAARLARAEPPLRRETVEYMLDVDYHMFSLRRSKANFQRIMSVLSGVTNVCRWFNDICNWRNPITTCLVHILFVILVCYPELILPTIFLYLFVIGIWNYRFRPRHPPHMDARISQAEFAHPDELDEEFDSFPTSRPADIVRMRYDRLRSVAGRVQTVVGDLATQGERAQAILSWRDPRATAIFIIFSLIWAVFIYITPFQVVAVLVGLYLLRHPRFRSKMPSAPVNFFKRLPSKSDMLL >ONI33460 pep chromosome:Prunus_persica_NCBIv2:G1:36641254:36643690:1 gene:PRUPE_1G425900 transcript:ONI33460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFDRWEKDPFFSAAEEVQESADRMESTYRTWIHAKKDTSSMWDPEELHRDLRTTLGTTKWQLEEFARAVRSSYARSSCEDARDRHHEFIVAIEDQISKIEISLQEVALSEGKASQPWVRLDEGECNELAFFLSGPSASKERVIALSNDRDSENLRVTDKESAPGCSKNSCGSAEWGSQKTREEKPHGHRRTASASADIGVWKIAVFDDAFLPSSSAMMVEQPVRKIPSFSGFISSMETASKLKWSKNGFRKWKAVDRHEDADAALLPSSQLSGGINACYEKSKSCLDSCDDCYDKPLYGWYGAIQRQLQRSQYYVQYSWPARVAFWIALVCLIVLIALRAI >ONI33733 pep chromosome:Prunus_persica_NCBIv2:G1:37617607:37619446:1 gene:PRUPE_1G443600 transcript:ONI33733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQMRNRSLMITLYIICIVISCSNSSHGNTTDSKVLNVGEELWKETLPLKMGSRLYQLQGLKPDTWYEVKISYPASIPARFSVQLKRGDLESALTGNRRLLNTEKLIFKTESLGSNDQGGMYVLVSVEPEGVVAIPNVQEREHIIFNIVCDELLLGIPYKAWWVVGFVLVCLVLAFTIPAFLPPFLLRPVDQNASKDS >ONI26874 pep chromosome:Prunus_persica_NCBIv2:G1:3644308:3647748:-1 gene:PRUPE_1G052300 transcript:ONI26874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLPRTLLLLYPKLKIPKIPMASNLSTLSSAAPPRARLRGVVFDMDGTLTVPVIDFAAMYRAVLGEDEYVRIKAESPSGIDILHHIETWSPEKQRKAYDIIADYESQGLDRLQIMPGAAELCGFLDSKNIRRGLITRNVKAAVDIFHERFRLTFSPALSREFRPYKPDPAPLLHICSAWEVKPSEIMMVGDSLKDDVACGKRAGAVACLLDESGRYDSPEYANVDFKPDFKVSSLLEIHSLLEAHFDLSP >ONI28245 pep chromosome:Prunus_persica_NCBIv2:G1:10581093:10584732:-1 gene:PRUPE_1G133900 transcript:ONI28245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYMCEDERCPRPMSYKAYGSGKEDTPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIRKFIQGTVADNAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGFEVDEIRGGVAGGSILRGVLKVNQYIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKGSEKQGKVSKLAKGEILMLNIGSMSTGAKVIAVRNDLAKLQLTSPVCTSRGEKIALSRRVEKHWRLIGWGTIQAGTTLDVPPSPI >ONI28246 pep chromosome:Prunus_persica_NCBIv2:G1:10581093:10583245:-1 gene:PRUPE_1G133900 transcript:ONI28246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIRKFIQGTVADNAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGFEVDEIRGGVAGGSILRGVLKVNQYIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKGSEKQGKVSKLAKGEILMLNIGSMSTGAKVIAVRNDLAKLQLTSPVCTSRGEKIALSRRVEKHWRLIGWGTIQAGTTLDVPPSPI >ONI31541 pep chromosome:Prunus_persica_NCBIv2:G1:30631259:30632968:-1 gene:PRUPE_1G318900 transcript:ONI31541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKELFIASVILSSVVIYQSINEVEARILEQVCPSSSCGDIQNISFPFHLKGDPVGCGYPAYELSCVNNKTILEYDPGTYYVKNISYNEHSVDELTDDFPYRPLGHLSYISFANCSTNISNLASIEFPCFRRNGTHQEKSCSLISIAPADSVDVKFPSYEDVMKVLQAGFNLGWEYYVWFVYVSFDLFWNIPLALILIIRHICAPLAIFVFLIHKYCTTRKRVDNREIVVLHNEQSLMPTRYSYTDVVAMTNNFEDKLGLQGGLGSVYKGQLPDGCLIVVKMLENSRFQSFKWEKLHEFALRIARGIEYLHKGSDVCILHLEMKPQNVLLDHNFIPKISDAGLAKFYPEDYDFVFVSTLRGTAGYIAPELNSRNVGTVSSKSDVYSFGMLMLGMAGGRMDVNPKASS >ONI34342 pep chromosome:Prunus_persica_NCBIv2:G1:39753844:39755036:-1 gene:PRUPE_1G476300 transcript:ONI34342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGFNSLSPPSSKCCIYRVPQRLRSVNEKLCTPQVEEHKQRYLQDFIGRTNVSLEDYIKKIKDHEARLRRCYGEPIKFSSDEFVTIILVDAAFVIELLLKDYFKFWDENDRIFDKPGMLQDVWTDMWLLENQLPFFILEDLFDPQKITLPADADNNCITEGLSIISLSFSFFEHLLPVDKMEDNLETFRSVAHIVDLYRKIFKLYQPLESKAGGELPSSIPSLTELQHAGVKFEARQGENIFDIQFSSTNGVLKIPPFPLGFTTEIMRNVLAFEQLHYPMRDVELLIEYGIAYSERYLIRGNIEGFTSLLVNSLFIGVPIDVDNFHYYILRFARRSQFRRAELNLVAQTQARQKIRRA >ONI26311 pep chromosome:Prunus_persica_NCBIv2:G1:1180237:1180772:1 gene:PRUPE_1G016700 transcript:ONI26311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSDIDLSKISLRPLGLADIDDFMVWGTDEKVAIFCTWEPYATKEEALNYIKEKILPQTPGSGQSALTTGQLVPFCSNSGSDRCRGELGYVLGSKYWGKGIATQAVKLVADTIFKEWTHLERLEAFVDVDNVGSQRVLEKSGFLREGVLRKFFILKGRTRDMVIFSLLSTECEA >ONI30570 pep chromosome:Prunus_persica_NCBIv2:G1:26866427:26867868:1 gene:PRUPE_1G259300 transcript:ONI30570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIFLVLKNTRKVFSEYHNVPMDTHKVFTALVQWGSCRSPSVIHNREVLVRALFV >ONI34323 pep chromosome:Prunus_persica_NCBIv2:G1:39447904:39450000:-1 gene:PRUPE_1G474800 transcript:ONI34323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELDSFSLCPLRCIYRVPKRLRQGNDDEAYTPQVVSIGPLHHGKEHLNGMEYHKKRYLRSFLRRTTITLEFLKKKLRDQEARLRSCYAEPIEYSSEDFLKIILVDAVFVIEFLLKCSEEKIGDEDDYIFYRPWMCIKFGQQIYKFPLRPFSNSTAIKSAICSTSAGVKLKVGLTKNLFDIQFDDRTLEIPRLEISDSTEVLIRNLIAFEQCHYKEKHISDYISLMDSFVNTPKDVELLVKYDIVETFLGDNREVSTLINKLGKGVQINVNNFHFASVCEDLNKYYRTSWNEWKATLRQNYFNTPWAIISFLAAVSFLILTFIQAVCSILYIA >ONI35095 pep chromosome:Prunus_persica_NCBIv2:G1:42412870:42416202:-1 gene:PRUPE_1G515700 transcript:ONI35095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEYLERGSLATMLSKDEEAKELGWSKRVNIVKGLAHALSYMHHDCLPPIVHRDISSKNILLDSEYEACVSDFGTAKYLNPNSTNWTAAAGTYGYMAPELAYTMEVNEKCDVYSFGVVTLEIIMGCHPGDVFSSLSSGASSSSSPASPFYEMPISDVLDQRISPPTNQEAREVVSIVKMAFASLNPSPQSRPTMKKVSQLLSSTQRLHLSKPLHMTTCGELFALDGFTT >ONI35096 pep chromosome:Prunus_persica_NCBIv2:G1:42413181:42414207:-1 gene:PRUPE_1G515700 transcript:ONI35096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKDEEAKELGWSKRVNIVKGLAHALSYMHHDCLPPIVHRDISSKNILLDSEYEACVSDFGTAKYLNPNSTNWTAAAGTYGYMAPELAYTMEVNEKCDVYSFGVVTLEIIMGCHPGDVFSSLSSGASSSSSPASPFYEMPISDVLDQRISPPTNQEAREVVSIVKMAFASLNPSPQSRPTMKKVSQLLSSTQRLHLSKPLHMTTCGELFALDGFTT >ONI35097 pep chromosome:Prunus_persica_NCBIv2:G1:42413181:42414207:-1 gene:PRUPE_1G515700 transcript:ONI35097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKDEEAKELGWSKRVNIVKGLAHALSYMHHDCLPPIVHRDISSKNILLDSEYEACVSDFGTAKYLNPNSTNWTAAAGTYGYMAPELAYTMEVNEKCDVYSFGVVTLEIIMGCHPGDVFSSLSSGASSSSSPASPFYEMPISDVLDQRISPPTNQEAREVVSIVKMAFASLNPSPQSRPTMKKVSQLLSSTQRLHLSKPLHMTTCGELFALDGFTT >ONI35111 pep chromosome:Prunus_persica_NCBIv2:G1:42487432:42488350:1 gene:PRUPE_1G516500 transcript:ONI35111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRETQTKGEGGEALRSHAAIRCAKAALLLSSLKSPPNRSLDTAIDNDDILEKEILRREIGDLKMEVARERLLNKRIKLCGLLELLLQLVLLLALSIFFFMLAFENGAS >ONI34754 pep chromosome:Prunus_persica_NCBIv2:G1:41178651:41180711:-1 gene:PRUPE_1G496800 transcript:ONI34754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKAKRSKWTYGKRIKLPINLGDKGETYSEVDRISQLPDAILISILSLLSIREAARTCFLSKRWIYVWKQVTCLNFYDIDALYKPSKKRGQTTPSYNWVNQVLQLHQCPSLDAFRICSSSTYIGPSSSEIDNWIEFGMQKRVQRLEIDLEAGRLSPFSYASYIFPDKPFRSPFGVSCIQSLKHLSLSFVNITGELVEHFLSNCELLEHLCVSCSDQLVTLKVAGSSLRLKFLQISDCMYLEKIEICAPNLVSFIYHGMLGFYDSIRLRHAPLLVNVSLAESTRSIVPTFLSVKSCLPQLVTLNLNLHMNLNMLRWERVPCLRNLQKVNRCPHQCLKVVKFSGFVGSSIDTELAMYFTENAVALETFLVDLRKVVVEESTLLSEFVTTQKQLRAARKRALQMGKKLPPGTELIVL >ONI34755 pep chromosome:Prunus_persica_NCBIv2:G1:41178652:41180711:-1 gene:PRUPE_1G496800 transcript:ONI34755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKAKRSKWTYGKRIKLPINLGDKGETYSEVDRISQLPDAILISILSLLSIREAARTCFLSKRWIYVWKQVTCLNFYDIDALYKPSKKRGQTTPSYNWVNQVLQLHQCPSLDAFRICSSSTYIGPSSSEIDNWIEFGMQKRVQRLEIDLEAGRLSPFSYASYIFPDKPFRSPFGVSCIQSLKHLSLSFVNITGELVEHFLSNCELLEHLCVSCSDQLVTLKVAGSSLRLKFLQISDCMYLEKIEICAPNLVSFIYHGMLGFYDSIRLRHAPLLVNVSLAESTRSIVPTFLSVKSCLPQLVTLNLNLHMNLNMSAMVRHPEFPELTCLKDLTLNVVASDRQSLLNLTKLIERSPFLHRFTLELRWERVPCLRNLQKVNRCPHQCLKVVKFSGFVGSSIDTELAMYFTENAVALETFLVDLRKVVVEESTLLSEFVTTQKQLRAARKRALQMGKKLPPGTELIVL >ONI36011 pep chromosome:Prunus_persica_NCBIv2:G1:46118383:46119497:1 gene:PRUPE_1G564700 transcript:ONI36011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAGASPSCRSKSREKPLMIPNSINFFSELEVNAIELLVQLSGSSSGNGGSTEEESDVKSRAAPPTLFVEDEDENEVSFGPRKKGFRSISELYKLTEPVNLVIVAAKENKRKRKRSI >ONI29915 pep chromosome:Prunus_persica_NCBIv2:G1:23617325:23620499:-1 gene:PRUPE_1G221900 transcript:ONI29915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLIFCCYVPPKLFASSRATTTQPTLNTQPQSLVSFHFRSNAETARDSQREQTRKPCLKHRAQVLLFIFALLESQPLFSLFLMDWSSSSLTVDDEFEKLAMRINPPRVTVDNTSSRKATLIKVDSANKRGSLLELVQVLNDLNLIIRRAYISSDGEWFMDVFHVTDQQGNKLSDDGIAERIQQSLGPRARSFRSLRRSVGVQAAAEHTTIELTGRDRPGLLSEVFAVLSDLKCNVVTAEVWTHNSRMASVVFITDEATGRPIDDPDHLSKIKKLLLYVLKGDRDKRSANTAVSVDSTHKERRLHQMMYADRDYDMDGADCGSASDRSKPLVTVENCVDKGYTVVNLRCPDRPKLLFDTVCTLTDMQYVVYHATVIAEGPEAYQEYYIRHTDGCPISSEGERLRVIHCLEAAIRRRTSEGIRLELCGEDRVGLLSDVTRIFRENGLSVTRAEVTTRGSQAVNVFYVIDASGNQVKSETIEAVRQEIGSTILRVKEDFYSKSPPQESVRFSLGNLFRTRSEKFLYNLGLIKSCS >ONI30453 pep chromosome:Prunus_persica_NCBIv2:G1:26360842:26362037:1 gene:PRUPE_1G252300 transcript:ONI30453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYTICSIIIFTKESKRQWNLYYFNLPYVFWKKNKLKQNTFFLLCYVAQEACSRCLLLRFSTAAEGGVASITQPSVADSENSDQPIIEHPLQYSLDTIFFGYRLYVNHSIFFHCCSFLLGLIAMACSGVFG >ONI33731 pep chromosome:Prunus_persica_NCBIv2:G1:37603499:37613385:-1 gene:PRUPE_1G443500 transcript:ONI33731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIAAINDTESKGKWEPLAPTKEAQEFHLSQTYHEGLHKLQAKEYKKATELLESVLQDPLIANAQVDGNVSDCHLLQLRFLALKNLANVYLQQGSAYYESALRCYLQAVEIDTKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPSNWNCMEKLLEVLIAIGDEVACLSVAELILRHWPSHSRALHVKETIEESEPVPYAPRGIDKLEPKHVRLKFVDKRKASDENIEEGVASKKLKQSIDLNLAEASWAALVDALMDILLPLNGSQSEMGAAKSHRSGDVRLILHLPSSSESTVGFEERKGFNLSPIGGNAVFGDCNSEKTGTVKEKATNLLELQPQERRSTRLERLRSRKPGKEDLDFGNGKDQAKVVVQYLEPFIAGGSGIKDSGHSGNCVVSCPDQTNPWDTEYGDVSRFVEKTSNNYGAFHLVHLLLEEAASRGLLYQDAFIKILELEKMTRNWGKDRSRECCLFLAELYYDLGSLSSDVSRLSEFMSEASYHLCKIIESVAVEDESISGLKRFFGTSGISANTSVCPDVPLDGSSLTSNSSFWVRFFWLSGRLCILDGNKEKAHQEFCISLSLLAKKENTTDSQCVIRLPYCKVVKELTIHRILHEINILKVDFLMEKTLGEMIEKEMYMECMSLLVPLLFETKNVPPDALPLRLADKGGEGITSVELSALDILIKACEKTKPMDVDVYLSCHRRKLQILMAAAGIDECLASCKSFLLKSGSNPRYASDVDTKESSSKHCWNFLVAEEVKAISQCVSQVKNFIDQSGASDTIPVSSIGDMQCLLLSVMCNVASIFLSKKSSDLVITDQIERSCFIEASIAFCKLQHLNIMITVKTQVDLIVTMHDLLAEYGLCCAGLGGEGEEGTFLKFAIKHLLALDMKFKSNSNSLNKETAQYKEQLCLNSHAKSDTDLEMVHTGIDETSAAGKDASERTPSKSTSFDNTLDKDSVGLEGGKQGVDGSGGKFNGCEKENFQLNEAGAELLEDEREELELKIDYALDQCFFCLYGLNIRSDSSYEDDLVVHKNTSPGDYQTKEQCADVFQYILPYAKASSRTGLVKVRRVLRAIRKHFPQPPDDILAGNAIDKFLDDPHLCEDKLSEEAGSDGFLETITKIILPDARSLKQQKTSSVGSSEPYLDVYCNLYYFLALSEEMSATDKWPGFVLAKEGEEFVQHNAKLFKYDLLYNPLRFESWQRLGNIYDEEVDLLLNDGSKHINVAGWRKSATLPQRVETSRRRSRRCLLMSLALAKTSVQQSEIHELLALVYYDSLQNVVPFYDQRTVVPLKDAAWMMFCENSMRHFKKAFAHKQDWSHAYYIGKLCEKLGFSYETSLSYYDKAIALNPTAVDPVYRMHASRLKMLCTRGKQNIDALKVLSSYAFNQSRKDAMMTILGNMDSENSNSPKDRSTQANTGEQKHEDSLKLEVWNMLYSDCLSALETCVEGELKHFHKARYMLAQGLYRSGESGALERAKEELSFCFKSSRSSFTINMWEIDSMVKKGRRKTPGFSGSKKSLEVNLPESSRKFITCIRKYLLFYLELLEKTGDICTLDRAYISLRADKRFSLCIEDLVPVALGRYVKALVSSMRQAETVGSGATSNSEHILEKVFVLFMEQGNLWPEICGLPEIKVTETTESSLYGYLHEHIITLEKNGKLETLEAINEKIRKRFKNPKLSNSNCAKVCRHASIAWCRSLILSLAKITPSQSEITSEMQVLNPTEMLENSQLLCVDLQTDELWSSAFEDPTHFKNLEAKRNPILSKIKNLTVKKASDENLEAASALLRSSYNFYRESSCVMPSSGVNLYLVPSWLAKDTQFKPTMDGAEILDLSIPRKLLLWAYTLLHGRYTNISFVVKHCEENAKSKMKKGAGTLFAPSNTSTPNTSTTQAGCGRDGAGHAGTSDAEATPVTTVVSASLPEDSMQCANPPPSVVCQRSLFAAPQLHHCSNTVAERSNTTAEGGGLDKS >ONI33732 pep chromosome:Prunus_persica_NCBIv2:G1:37604004:37613201:-1 gene:PRUPE_1G443500 transcript:ONI33732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIAAINDTESKGKWEPLAPTKEAQEFHLSQTYHEGLHKLQAKEYKKATELLESVLQDPLIANAQVDGNVSDCHLLQLRFLALKNLANVYLQQGSAYYESALRCYLQAVEIDTKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPSNWNCMEKLLEVLIAIGDEVACLSVAELILRHWPSHSRALHVKETIEESEPVPYAPRGIDKLEPKHVRLKFVDKRKASDENIEEGVASKKLKQSIDLNLAEASWAALVDALMDILLPLNGSQSEMGAAKSHRSGDVRLILHLPSSSESTVGFEERKGFNLSPIGGNAVFGDCNSEKTGTVKEKATNLLELQPQERRSTRLERLRSRKPGKEDLDFGNGKDQAKVVVQYLEPFIAGGSGIKDSGHSGNCVVSCPDQTNPWDTEYGDVSRFVEKTSNNYGAFHLVHLLLEEAASRGLLYQDAFIKILELEKMTRNWGKDRSRECCLFLAELYYDLGSLSSDVSRLSEFMSEASYHLCKIIESVAVEDESISGLKRFFGTSGISANTSVCPDVPLDGSSLTSNSSFWVRFFWLSGRLCILDGNKEKAHQEFCISLSLLAKKENTTDSQCVIRLPYCKVVKELTIHRILHEINILKVDFLMEKTLGEMIEKEMYMECMSLLVPLLFETKNVPPDALPLRLADKGGEGITSVELSALDILIKACEKTKPMDVDVYLSCHRRKLQILMAAAGIDECLASCKSFLLKSGSNPRYASDVDTKESSSKHCWNFLVAEEVKAISQCVSQVKNFIDQSGASDTIPVSSIGDMQCLLLSVMCNVASIFLSKKSSDLVITDQIERSCFIEASIAFCKLQHLNIMITVKTQVDLIVTMHDLLAEYGLCCAGLGGEGEEGTFLKFAIKHLLALDMKFKSNSNSLNKETAQYKEQLCLNSHAKSDTDLEMVHTGIDETSAAGKDASERTPSKSTSFDNTLDKDSVGLEGGKQGVDGSGGKFNGCEKENFQLNEAGAELLEDEREELELKIDYALDQCFFCLYGLNIRSDSSYEDDLVVHKNTSPGDYQTKEQCADVFQYILPYAKASSRTGLVKVRRVLRAIRKHFPQPPDDILAGNAIDKFLDDPHLCEDKLSEEAGSDGFLETITKIILPDARSLKQQKTSSVGSSEPYLDVYCNLYYFLALSEEMSATDKWPGFVLAKEGEEFVQHNAKLFKYDLLYNPLRFESWQRLGNIYDEEVDLLLNDGSKHINVAGWRKSATLPQRVETSRRRSRRCLLMSLALAKTSVQQSEIHELLALVYYDSLQNVVPFYDQRTVVPLKDAAWMMFCENSMRHFKKAFAHKQDWSHAYYIGKLCEKLGFSYETSLSYYDKAIALNPTAVDPVYRMHASRLKMLCTRGKQNIDALKVLSSYAFNQSRKDAMMTILGNMDSENSNSPKDRSTQANTGEQKHEDSLKLEVWNMLYSDCLSALETCVEGELKHFHKARYMLAQGLYRSGESGALERAKEELSFCFKSSRSSFTINMWEIDSMVKKGRRKTPGFSGSKKSLEVNLPESSRKFITCIRKYLLFYLELLEKTGDICTLDRAYISLRADKRFSLCIEDLVPVALGRYVKALVSSMRQAETVGSGATSNSEHILEKVFVLFMEQGNLWPEICGLPEIKVTETTESSLYGYLHEHIITLEKNGKLETLEAINEKIRKRFKNPKLSNSNCAKVCRHASIAWCRSLILSLAKITPSQSEITSEMQVLNPTEMLENSQLLCVDLQTDELWSSAFEDPTHFKNLEAKRNPILSKIKNLTVKKASDENLEAASALLRSSYNFYRESSCVMPSSGVNLYLVPSWLAKDTQFKPTMDGAEILDLSIPRKLLLWAYTLLHGRYTNISFVVKHCEENAKSKMKKGAGTLFAPSNTSTPNTSTTQADCLFTHTH >ONI30445 pep chromosome:Prunus_persica_NCBIv2:G1:26316428:26320256:1 gene:PRUPE_1G251800 transcript:ONI30445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTADQQVHNLIRGAGKAKGERGRAKMDIVESKQISEPIKSEAQISHLALDIGGSLIKLVYFSTNSDSSSSSSKDSVAVSSGNEGRPVLEGKLHFAKFETAKINDCIDFIRSKQLRLSGFQKHGAPAGERCTIKATGGGAYKYADLFKEKLGICLDKEDEMDCLVAGSNFLLKAVHTEAFTYMDGQKEFVQIDHDDLYPYMLVNIGSGVSMIKVDGPGKFERVSGTNVGGGTFWGLGRLLTKCKSFDELLELSHRGNNRVIDMLVGDIYGGMDYTKIGLSSTTIASSFGKAISDNKELEDYKPEDISRSLLRMISNNIGQISYLNALRFGLKRIFFAGFFIRGHAYTMDTIAVAVHFWSKGEAKAMFLKHEGFLGALGSFMSYEKHGFLNLKVHQLVQQSPVDASRGGDKIHDPPNGELNENQSIDCSICLS >ONI34511 pep chromosome:Prunus_persica_NCBIv2:G1:40420870:40423421:1 gene:PRUPE_1G485300 transcript:ONI34511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLSSKKTGKGKRPGKGGNRFWKSVGLGFKTPRDAIEGTYIDKKCPFTGNVSIRGRVLSGTCHSAKMSRTIIVRRNYLHYIKKYQRYEKRHSNIPAHVSPCFRVKEGDYVIIGQCRPLSKTVRFNVLKVTPAGSSGAGKKAFTGI >ONI32378 pep chromosome:Prunus_persica_NCBIv2:G1:33485562:33487598:-1 gene:PRUPE_1G364300 transcript:ONI32378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMGRLLVRQWPVLQQHCCTFHHLLYSPLLPVTTKTGSHRFSLTKVHLATRGVHKLLRGLRPSDYQDANIGSSGSGSGSDSDSVTYKSRNELKRHARRAVRWAMDLSSFSTPQIKRILRVASLDQDVLDALILVKKFGPDVREGKRRQFNYIGKMLREVEPDLMDALIQATKDSDESKLQALSGPETLSIDDNEEQEEAEETDYEEEEEGSHIDVATRWFDGLINKDVQITNEVYSISNVEFDRQELRKLVRRVHSGLEDKVNSEENGGAKDAARVSAEKSLTRFLCSLAKSSLVIDM >ONI28110 pep chromosome:Prunus_persica_NCBIv2:G1:9688671:9689718:1 gene:PRUPE_1G123600 transcript:ONI28110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSRQSPQPPQIPICESISRETGPIRSIEPSVVEYQRSTRSYLESSAFDWLQGSIFHAGFEQTLLSLASVPSPPKSAT >ONI32108 pep chromosome:Prunus_persica_NCBIv2:G1:32544474:32546861:-1 gene:PRUPE_1G348700 transcript:ONI32108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGACLPLVPQFFTSGCGSNAITRCSSSSPMRSTTTTSNVIFHNYDYCYKPSSMIRSCRRRRVACYSAASSTSAGGGGGGITEFDLYELMGIDSSCDPSEIKRAYRTLQKRCHPDIAGPAGHDMAIILNEAYALLSDPNSRSAYDKEQAKIAELRGYSGKPIYSVWFGSESEERAVFVDEVKCIGCLKCALCAEKTFAIESVYGRARVVGQWADPESKIQEAIQACPVDCISIVERSNLAALEFLMSKQPRGSVRIGMGTTAGARVSNIFVDLKKFQTRFQDAAKQKASSTQTSSETDLQREARLSAIQAIRSISNWLYWKTPYSTSAHHQSTSLQNFTQSSIGPTYSSHFNHTDVSNLLREAAAARKQGRYQRRTRPVRPLNPPSSKEEEDGDYWKPLTNALPHAAAATASSSTQNNHSNSSQPSPAHQRRNVDKDYRSVQRNPKPNPIRWLAPMAPAILMAAVVGRSQSQGDGGAVGNGNGGGGGLTEHIYGSFALEIVNSSWLQIVLVAITWYIIGTVIVGLVDAIKSRQT >ONI31057 pep chromosome:Prunus_persica_NCBIv2:G1:28849746:28851822:-1 gene:PRUPE_1G289300 transcript:ONI31057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFTHFLLLPRCHLDWPNSPSLNFVQLQGLRLHSKKRGLAAVPKKINSENSAVEASNEDEVVVKKNTSRTPKRTRKKTIAETDASSEESIISASSEDTKKPRGRTRRKAASASTSTEEEQTEKKVRRRKTKKKDDVEEQVSEAELSEPEEFTFTVDMKDENTEDIELEIDEGEDISYTYDWPPLVCCFGAAQHAFVPSGRPANRLIDYEIHERKKDALWAPEKFIRSPGGSAGSVAIALASLGGKVAFMGKLGDDEYGQAMLYYMNVNNVQTRSVRIDSKRATAVSQMKIGKRARLRLTCVKPCAEDSLSKSEINIDVLKEAKMFYFSTHSMLDQNMRSTTLQAIKISKKLGGVIFYDVNLPLPLWHSCEETKLFIQQVWNLADIIEVTKQELEFICGIQPSEEFDTKNNDRSKFVHHTPEVVAPVWHENLKVLFVTNGTSKIHYYTKEHHGAINGMEDPPITPFTSDMSASGDGIVAGTLL >ONI31056 pep chromosome:Prunus_persica_NCBIv2:G1:28848707:28852109:-1 gene:PRUPE_1G289300 transcript:ONI31056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFTHFLLLPRCHLDWPNSPSLNFVQLQGLRLHSKKRGLAAVPKKINSENSAVEASNEDEVVVKKNTSRTPKRTRKKTIAETDASSEESIISASSEDTKKPRGRTRRKAASASTSTEEEQTEKKVRRRKTKKKDDVEEQVSEAELSEPEEFTFTVDMKDENTEDIELEIDEGEDISYTYDWPPLVCCFGAAQHAFVPSGRPANRLIDYEIHERKKDALWAPEKFIRSPGGSAGSVAIALASLGGKVAFMGKLGDDEYGQAMLYYMNVNNVQTRSVRIDSKRATAVSQMKIGKRARLRLTCVKPCAEDSLSKSEINIDVLKEAKMFYFSTHSMLDQNMRSTTLQAIKISKKLGGVIFYDVNLPLPLWHSCEETKLFIQQVWNLADIIEVTKQELEFICGIQPSEEFDTKNNDRSKFVHHTPEVVAPVWHENLKVLFVTNGTSKIHYYTKEHHGAINGMEDPPITPFTSDMSASGDGIVAALMRMLTVQPHLITDKEYLEHTIKYAIDCGVIDQWLLGRERGFPPKEDTEEVVPDPDGIRSLTEMEYRTLESVS >ONI29524 pep chromosome:Prunus_persica_NCBIv2:G1:19818820:19819605:1 gene:PRUPE_1G201200 transcript:ONI29524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSCFGLVLLLFIVLASQEMVVPSEARVCQSQSHGFRGPCIRHHNCALVCRNEGFSGGRCRGFRRRCFCTRLC >ONI35229 pep chromosome:Prunus_persica_NCBIv2:G1:42955025:42960564:1 gene:PRUPE_1G523900 transcript:ONI35229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMERTSTSFSSSTPQWKYDVFLSFRGEDTRKSFTDHLYTALERHGVLTFKDDPELQKGKAISPELFTAIQESRFALIVLSKNYASSTWCLDELLKILECMEAREAVLPIFYDVDRSDVRKQTRSFAEAFSKHEEKLRDDIEKVQMWRDALRKVTNFSGWDSKDRSESKLIKDIVEVVGKKLCPTLLSYVDDLVGIDSRLKPITSFLDARVDDVYFIGIWGMGGIGKTTIARVVYDRISHEFEYKMFLANVRNVYEKSGVPHLQKQLLSMVGMKMDDIWDAREGATLIRRFLRHKKVLLILDDVNHLDQLEYLAGKHEWFGSGSRVLITTRNEHLLIAHGVERRSKVEGLGNDEALQIFCRKAFRKAYPEENHLVLSSCVVNYAKGVPLALKVLGSFFYGKDTSAWKSAVDKLREVCNSEIMETLKLSYDGLDDDEKKIFLDIACFFNGKGKDRVRETLDACGLCSDIAIHVLVEKSLLTINPSGTLLMHDLLQDMGREIVRRESLDEPGKRSRLWRSEDVNHVLSKNTGTEAIEGIVLHQVEPRVVCANANSFSMMKRLRFLVINNVDLLNKLEYLPNSLRILDWLQFPLKSLPPSFNPKNLHELNMRNSCIEHLWKGMTPSYYLKMIDLSHSLNLVKTPDFRGIPSLERLILQGCIRLHEVDPSVVVLERLTLMNLKDCKNLVLLPSRVCGLKSLRVFNVFGCSKLEKLPEDLGHVESLEELDASGTAIREPPASIRLLKNLKVLSLCGFKGPSSNPWNVLLLPFRSLLRISSNPTTSSWLPCLSGLHSLTQLNLRDCNLSERAIPNDLGCLSSLTHLDVSRNAFVSLPKSICQLSRLEFLDVGHCQRLETLPELQSSIYYLEAYNCNSLVASGLDIIRLFANCLKQVKKLFRMGAEYIESECNYLHPGNEIPEWYNLKSAQLTGCSCELIVPGNEIPEWFNHKSVGSSSVSVELHPGWSTDYKWMGFALCVVFAIHGNRSLSSPRYPIYCQLSVNGGPFCWWPVAGCSLGSDQSGPDHLWLCHVPCHYFFFTELHTRLEFLFLVNESIVEVKKSGIRIAYEEDVKALIKQTYSRQSRVSICKEVLEFPNSDCQKASPSVSLSELESKSGGIATKRGLERCDDGARCSENGCINEEEPHPKRSKQH >ONI33099 pep chromosome:Prunus_persica_NCBIv2:G1:35654413:35659932:1 gene:PRUPE_1G405500 transcript:ONI33099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQFKFRSSMNFDSVDIDGRTSISIRDLKSKIICHKNLNICQDTDLVFSDAITGQEYTDENIQIPRGSSVIIKRVPAGSVHADVGKPHFNSYQNLHSKDSVNVKSLVSANVETVDFDDFGVDLFPIPRATFFSSDMDADKDTCILDYEPNTDNKRYSEQAVGGGRKLEASGLSDAIPEGHVHSGVEHTVPQTKPNPDEDMNLEKLGSVNPIDTRHADLSSELKCSLCYSIFKEAMMIPCCQHSFCEKCICQVLLEKARCPKCFSTKCRVDDLLPNVSLRQAIEHFLESQNLITGPDNDCCQYAPDGESGIQAKDVSCGGCILQRESELPQSPETGMGSNHFFAESAHIPAFKEDAPNHLGAKNFLKRHGSTRIVPDGLAAFDDCQGESKPMHKQAEPTIKKKRPPWVCTAGGDKSFLGTGKHRKGERTCYMCGAPDHFIRDCPVASSPHPMLHAGNAIFPGVMPGCVPSYWNGAPSPHAQPFRNPYGNHVMTAFDTSLVPPAPFAAPTYMASMYHNSFPAFGGYMRMRGVTPLRGTGEDCNLTHPEFLDLQGREKRRKFSNENSKREQSHDGAEDRDLNKRHYCTGTERLDDHKSCINKEKSTSYSEDGSTKGSQRQYRHHNHTDDDMLSIDGQRKSSHLVVGGRDQKQYHRTERSSSEVDDMPSSSCHSEEKHKYYHRSSKKYRERGEPCGSGSSRSHHQSMSKKDDHRKRIEHDFKRHSQKHHSHSGSGIEQSVSSDKKLHKGSSRSSRHSKHSAKSNVDELSHDRWQMASGSDEDGAEGYQYCRRKRKH >ONI33097 pep chromosome:Prunus_persica_NCBIv2:G1:35654413:35660233:1 gene:PRUPE_1G405500 transcript:ONI33097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQFKFRSSMNFDSVDIDGRTSISIRDLKSKIICHKNLNICQDTDLVFSDAITGQEYTDENIQIPRGSSVIIKRVPAGSVHADVGKPHFNSYQNLHSKDSVNVKSLVSANVETVDFDDFGVDLFPIPRATFFSSDMDADKDTCILDYEPNTDNKRYSEQAVGGGRKLEASGLSDAIPEGHVHSGVEHTVPQTKPNPDEDMNLEKLGSVNPIDTRHADLSSELKCSLCYSIFKEAMMIPCCQHSFCEKCICQVLLEKARCPKCFSTKCRVDDLLPNVSLRQAIEHFLESQNLITGPDNDCCQYAPDGESGIQAKDVSCGGCILQRESELPQSPETGMGSNHFFAESAHIPAFKEDAPNHLGAKNFLKRHGSTRIVPDGLAAFDDCQGESKPMHKQAEPTIKKKRPPWVCTAGGDKSFLGTGKHRKGERTCYMCGAPDHFIRDCPVASSPHPMLHAGNAIFPGVMPGCVPSYWNGAPSPHAQPFRNPYGNHVMTAFDTSLVPPAPFAAPTYMASMYHNSFPAFGGYMRMRGVTPLRGTGEDCNLTHPEFLDLQGREKRRKFSNENSKREQSHDGAEDRDLNKRHYCTGTERLDDHKSCINKEKSTSYSEDGSTKGSQRQYRHHNHTDDDMLSIDGQRKSSHLVVGGRDQKQYHRTERSSSEVDDMPSSSCHSEEKHKYYHRSSKKYRERGEPCGSGSSRSHHQSMSKKDDHRKRIEHDFKRHSQKHHSHSGSGIEQSVSSDKKLHKGSSRSSRHSKHSAKSNVDELSHDRWQMASGSDEDGAEGYQYCRRKRKH >ONI33098 pep chromosome:Prunus_persica_NCBIv2:G1:35654413:35661283:1 gene:PRUPE_1G405500 transcript:ONI33098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQFKFRSSMNFDSVDIDGRTSISIRDLKSKIICHKNLNICQDTDLVFSDAITGQEYTDENIQIPRGSSVIIKRVPAGSVHADVGKPHFNSYQNLHSKDSVNVKSLVSANVETVDFDDFGVDLFPIPRATFFSSDMDADKDTCILDYEPNTDNKRYSEQAVGGGRKLEASGLSDAIPEGHVHSGVEHTVPQTKPNPDEDMNLEKLGSVNPIDTRHADLSSELKCSLCYSIFKEAMMIPCCQHSFCEKCICQVLLEKARCPKCFSTKCRVDDLLPNVSLRQAIEHFLESQNLITGPDNDCCQYAPDGESGIQAKDVSCGGCILQRESELPQSPETGMGSNHFFAESAHIPAFKEDAPNHLGAKNFLKRHGSTRIVPDGLAAFDDCQGESKPMHKQAEPTIKKKRPPWVCTAGGDKSFLGTGKHRKGERTCYMCGAPDHFIRDCPVASSPHPMLHAGNAIFPGVMPGCVPSYWNGAPSPHAQPFRNPYGNHVMTAFDTSLVPPAPFAAPTYMASMYHNSFPAFGGYMRMRGVTPLRGTGEDCNLTHPEFLDLQGREKRRKFSNENSKREQSHDGAEDRDLNKRHYCTGTERLDDHKSCINKEKSTSYSEDGSTKGSQRQYRHHNHTDDDMLSIDGQRKSSHLVVGGRDQKQYHRTERSSSEVDDMPSSSCHSEEKHKYYHRSSKKYRERGEPCGSGSSRSHHQSMSKKDDHRKRIEHDFKRHSQKHHSHSGSGIEQSVSSDKKLHKGSSRSSRHSKHSAKSNVDELSHDRWQMASGSDEDGAEGYQYCRRKRKH >ONI28627 pep chromosome:Prunus_persica_NCBIv2:G1:12016805:12018513:1 gene:PRUPE_1G152200 transcript:ONI28627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSIHSLLLFCSLLLSIHMILSSQAKQSSLKPKALVLPIAKDASTLQYITTIKQRTPPVTLKLTIDLGGQFLWVDCDEGQYISSTYKPAYCNATQCSIANSKECNWECFFPQRPGCNNRTCILFPDNTVIGSADNNGEVGQDILWGLHSTDGSHPGPKVSNIPNFIFACSSNTFYGVLGLANGVKGMAGLGRTRIALPTQFASAYKLPRKFAICLPSSARSYGVVFFGDGPYVLTPKIDVYKSLTFTKLILNPVSTGNAFDPDEPSAEYFINVKSIKVNEKIVSLNTSLLAIDQNGYGGTKISTVNPYTVLESTIYKAFVDAFVKEMPGHSKRVASVEPFGACFDSTHIGITRVGPAVPSIDFVLQSEGVYWRVSGGNSMVQVSKDVLCLGFVGAEQRQRYSFESPTTSIVIGGHQLEDNLLQFDLANKRLGFSSSLLSRETSCANFNFTSTAVI >ONI31193 pep chromosome:Prunus_persica_NCBIv2:G1:29370937:29372120:1 gene:PRUPE_1G297800 transcript:ONI31193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKATSKIAVISFVVLLVIASCAPLFSRVPAGAEAAKPVKRCNSNDDCKLFPCTSSFGLCVNQLCTCQVADISINDYPAPCRSSTDCRDTAEDCPWGKAVCHNGKCICIHMNPATSSDKSGSRLQNQND >ONI32873 pep chromosome:Prunus_persica_NCBIv2:G1:34835698:34838073:-1 gene:PRUPE_1G390700 transcript:ONI32873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLQVPVKPTTHCNVIKKKPLPQYCSRNLSSLATNANPNYDQKITVTTRRNPSKRFKKFYCQPVCFQHGGYASSSKPKNDGDHFLTGLCKQWDAFHRFCRPHTVIGTVIGISSVSLLPLENVTDLSSAFFIGLLKALAPSILMNIYVVGLNQLFDVEIDKVNKPELPLASGEFSMGTGITIVSTVLLMSFAMGVMFNSPPLFCALLISFLLGSVYSIELPLLRWKRHAFLAATCILIVRAIVVQLAFYVHIQKFVLGRPVKMTRSLAFAVVFMCIFSSVIALFKDIPDVDGDRYYGIQSFSVSLGQEKVYWFCVNMLLIAYGAALVILGHTALASLLWLRARSVDIADKASLTSFYMFIWKLFYAEYLLIPFVR >ONI31737 pep chromosome:Prunus_persica_NCBIv2:G1:31106248:31107078:1 gene:PRUPE_1G327400 transcript:ONI31737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKGKVETEIEIKAPAEKFYNIFNSRAHHVPNISPGSIQGVQVHEGDWKTHGSIKSWNYTVGDEVGVFKEKVEYNDENKSITLNGVEGDVFKYFKSFKPVYQFTQKDEGSIATLSIAYEKLNDNVAAPDKYVGLMVNIVKDLDAHFIKA >ONI26589 pep chromosome:Prunus_persica_NCBIv2:G1:2300788:2311356:-1 gene:PRUPE_1G033200 transcript:ONI26589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISSEESGVGRSMEGISSGQRCLSGEALAEWRSSEQVENGTPSTSPPYWDSDDDDDGGPKPSELYGKYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWTSFRSFWLGIEQNARRRMSREKMDAVLKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTKSKKGRVKLLEAEEMPAPIVRLEKDVFVLVDDVLLLLERAAMEPLPPKDEKGPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFSNKIEVAYHESVALKRQEELIREEEAAWQAESEQKAKRGATEKEKKSKKKQASLASFLQAKQKRNNRKGKDKGREERPDIPVQEKQEEENPTEEMKDYTRHEEQPELEKPETLDDVSDVSDSVDGVTEVPQPDSEDRDAGPINWDTDTSEVHPPTEASSSGISGLSSVQNGVSERKSPSVMDDSSSTCSTDSVPSVVMNGPYKGNSFSNYKNQKSPSRGKHQRGKATSDGNNWPNEMDNQPSGPVADAGFLNDVSGSSNKVRESESEPAVHSLHDRIKWLEQHVVKKEEEVVSLQKKLSIKDQVDLERPLKEKTSAVTSSPGSPPKIVPLTGQPKSECQSSAVIDSVPLRKGSSISAQHTDRVTPLTTTSQNNGVSKPETQKATTPKPAEKAMAQQVPVVSRPSSAPLVPGPRPTSAVVPIVQTAPLLARSVSAAGRLGPDPSPATHSYVPQSYRNAILGNHAASGSTGMTHNSPSSGVNPSPVYSQSPALVSAPMFLPQSSEMMDPSSVKSGFSFGMVTRDALHNGPQWMESSQRESIKGMNYDPSSLLHDQNFDFYKPPLHGRPQEHLSTEFPACTSGRQTQGVSPDEFPHLDIINDLLDDEHGFGPARGSSVFHPFSNGPTHLNRQFSYPGDLGMSSDMGSATSSCRFERTRSYQDDGFQRGYTLGGHFESLREFTPQAGPPPYVNGQIDGLIPNQWPMANSDLSVLGMRNTESEGYPYYSPEYSNMACGVNGYTVFRPSNGQ >ONI26588 pep chromosome:Prunus_persica_NCBIv2:G1:2300774:2311356:-1 gene:PRUPE_1G033200 transcript:ONI26588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISSEESGVGRSMEGISSGQRCLSGEALAEWRSSEQVENGTPSTSPPYWDSDDDDDGGPKPSELYGKYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFIDADTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRSKLGKLIEDKARWTSFRSFWLGIEQNARRRMSREKMDAVLKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTKSKKGRVKLLEAEEMPAPIVRLEKDVFVLVDDVLLLLERAAMEPLPPKDEKGPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFSNKIEVAYHESVALKRQEELIREEEAAWQAESEQKAKRGATEKEKKSKKKQAKQKRNNRKGKDKGREERPDIPVQEKQEEENPTEEMKDYTRHEEQPELEKPETLDDVSDVSDSVDGVTEVPQPDSEDRDAGPINWDTDTSEVHPPTEASSSGISGLSSVQNGVSERKSPSVMDDSSSTCSTDSVPSVVMNGPYKGNSFSNYKNQKSPSRGKHQRGKATSDGNNWPNEMDNQPSGPVADAGFLNDVSGSSNKVRESESEPAVHSLHDRIKWLEQHVVKKEEEVVSLQKKLSIKDQVDLERPLKEKTSAVTSSPGSPPKIVPLTGQPKSECQSSAVIDSVPLRKGSSISAQHTDRVTPLTTTSQNNGVSKPETQKATTPKPAEKAMAQQVPVVSRPSSAPLVPGPRPTSAVVPIVQTAPLLARSVSAAGRLGPDPSPATHSYVPQSYRNAILGNHAASGSTGMTHNSPSSGVNPSPVYSQSPALVSAPMFLPQSSEMMDPSSVKSGFSFGMVTRDALHNGPQWMESSQRESIKGMNYDPSSLLHDQNFDFYKPPLHGRPQEHLSTEFPACTSGRQTQGVSPDEFPHLDIINDLLDDEHGFGPARGSSVFHPFSNGPTHLNRQFSYPGDLGMSSDMGSATSSCRFERTRSYQDDGFQRGYTLGGHFESLREFTPQAGPPPYVNGQIDGLIPNQWPMANSDLSVLGMRNTESEGYPYYSPEYSNMACGVNGYTVFRPSNGQ >ONI30504 pep chromosome:Prunus_persica_NCBIv2:G1:26542956:26544961:1 gene:PRUPE_1G254500 transcript:ONI30504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPKIDVKSLDEKLENLYRLYSMKKKKELHDEESNKVINMRAMGTKEAPQEEWQMIIREKLVVKQVFARGGFGSVHKGIYDGQEVAVKVMEWGEDGRTKSEIASLKKDFRQEVSIWHKLDHPNITKCIGATTDIDSASVITEYLSGGTLKAFLIQHRKKKLSLKTVNRLAVDLAKGLSFLHSKNIVHRDVKTENVLLDKDGRVKIADFGVARLEASNLAEMTGYTGTPGYMAPEVLECKPYNRKCDVYSFGICLWEMYCCDMPYPNITFSELTSAVVYKNLRPEIPKQCPSSLAKVMKQCWDGEPKRRPEMEEVVSMLEAINTSNSAPSGCFSFFGSRR >ONI30985 pep chromosome:Prunus_persica_NCBIv2:G1:28692731:28696460:1 gene:PRUPE_1G286500 transcript:ONI30985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQQQRPGAPHHRPQPPAPGGGGDSSAVLFVLFGFLAILALTMLPSTSLSILHQVPEGHVGVYWRGGALLKTITDPGFHLKLPLVTQFEPVQVTLQTDQVRDIPCGTKGGVMINFEKIEVVNRLRKEFVYETLLNYGVQYDNTWIYDKIHHEINQFCSSHSLQEVYIDVFDQIDEKMKEALQGDCTRYAPGIEIINVRVTKPAIPESIRRNFEQMEEERTKVLIAIERQRVVEKEAETKKKMAISEAEKNANVSKILMEQKLTEKDSSRRQAEIENQMYTAREKSLADADFYKVMREAEANKLKLTPQFLELKFIEAIADNTKIFFGDKVPNMVLDQRLLGNFLQVSKEVSKEVNSESGSGEDNPEVQFV >ONI32354 pep chromosome:Prunus_persica_NCBIv2:G1:33389780:33391371:-1 gene:PRUPE_1G363100 transcript:ONI32354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVVDQSISACKQIKMIRTTPRSSENLAPINYNIHGGLLQAPSPSLSFSYNPPASLYNQYRYQQLQHVQRQQQQHPPLLPLPVPNRPPHHHQSLPSLPSRTRGLSRPPTTRKANNARSQSLTPKKPKSKPSKKEEAKQISDCLIIASTNRLGPDPNDLPKDVTKVLMTSSSSSSSSSSSSIGNMSDLSGGGVGVGDMDKFSGSIFTLSPPPSSLPLPRFSLQRRLSRNAEAAGIDAGATDNLRRLLRLR >ONI32816 pep chromosome:Prunus_persica_NCBIv2:G1:34688320:34691858:-1 gene:PRUPE_1G387800 transcript:ONI32816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLMNPIKRTSTSPLPDLSLQISPPSAADNQYHQADIGLSRKALYSTDRSSTTDSGSSGSDLSHENGFYHLERSTGYNLSSADEPKLSLGFEMKDHMSLPPVQLPRNFSNHHFHHHPQIYGRQFKRNARTVGNVKRSIRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDNKGTGHEGQTDMGLNQKPGINGGLSCDKANRGSWPSSSETNGSCNLSPGNALTYSHSPQSNGTKVEEEESGRHVCDDGVGMKERGTTLACGSLSASDMYLNLEFTLGRPTAEWTVLNSLNLQMT >ONI31728 pep chromosome:Prunus_persica_NCBIv2:G1:31088533:31089736:1 gene:PRUPE_1G327000 transcript:ONI31728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAASAAAAREGLQSSGATTTTTGGSRHGRGEARNFAIFFFWVILIFAPTSNLVVSASRTSISHGDELGGGRFMRSPSRKTRFPGKVSFYAPSSTPSHDVHHFVGINGGPDKLYGDDKRIVHTGPNPLHN >ONI35002 pep chromosome:Prunus_persica_NCBIv2:G1:41985338:41986561:1 gene:PRUPE_1G509500 transcript:ONI35002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWLLCLQKQGIYIIDSTVIAGRAGRFQEETGKYKNIVYLNCRPENNFFPDLSIASRADIVFFCSPNNRTGNAASQQQLKQLVEFAKANGSIIVYDSSYAAYISDDSPRSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWTVVPDELLYANGFPVIKDCNRIVRTCFNGASNIAQAGGLACLSAEGYQAITTVVDYYKENAKIIVDTFRSPGLKVYGGKNAPYIWVHFPGLSSWDVFNEILEKTDIVTIPGKGFGPGGEEYIRVGAFAHREYIGGFKKAKNSFLT >ONI30362 pep chromosome:Prunus_persica_NCBIv2:G1:25967940:25970684:-1 gene:PRUPE_1G246700 transcript:ONI30362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEARYSPISLNLQLSQFAQFKARVNEVFTEDKRTSLPRPRDFSKALYTLDIGQNDLHAGLRLKTMDEVSFDNRAPCLALASTSCKFPAIFNFGDSNSDTGGLSAVFGQARSPHGESYFHGPAGRYCDGRLVIDFIAKSFGLPFLSAYLDSVGSNFSHGANFATAGSTIRPQNTTLRQSGFSPISLDVQYNEFYDFHPRSQVARNRGGVFKQLMPKAQDFSRALYTFDIGQNDLTAGLFLNMSTTQVKAYVPDVLNQFKNIVKNIYGQGGRYFWIHNTGPIGCLPYVLDRLPVLAAQVDNAGCAIPYNKVAQFFNRGLKQAVFQLRKDLPLAAITYVDVYSAKYSLISQPEKHGFKEPVRACCGHGGKYNYNLHIGCGGKAKVHGKVKLVGKACQDPSLWVNWDGVHYTQAANKRVFDQIVDGSFSDPPIPLKRACHRQQAH >ONI31273 pep chromosome:Prunus_persica_NCBIv2:G1:29754540:29755018:1 gene:PRUPE_1G303300 transcript:ONI31273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEMLHSTKGESFEECAARELKKETGLDIDKKRMEFLTATTNKLLLEGGKPCQYASVCMRANCVMVGTRDWHEWDNLPKPLFRPVHNAVRAGFNPFHA >ONI33304 pep chromosome:Prunus_persica_NCBIv2:G1:36123906:36126347:1 gene:PRUPE_1G415800 transcript:ONI33304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLSGGEVIRLRGMMVIMVGVTVTLIRCGVLVEGNVFSKQKILEVERKLTQLRRPAVKTIQSEDGDIIDCIDIYKQPAFDHPALRNHTIQMAPTYDLTKETKTMTKMDRLKKRSEQSSVTAKQTWKKSGSCPQGTIPVRRTRKKDLLRASSVKDYGRKKHSTLSRHVAEPSDNKSVYLLRANHSKAILLTEGYSYTGAKGDIKVCAPSVELDDEYTTSQVCLINGPSFAFESVESGWAVNPSVYGDRNTRFFLYWTADGSKKTGCFDLTCPGFVQTSHEIALGAAIYPISAPDGLPYEIIVYIFKDPVTSNWWVQYGERINIGYWPPELFFALSYHATGVEWGGEVYSSRVGTTPHTKTDMGNGRFASTAGFSGVITRMRIHDNSPALKIPEWAETYMDEFNCYDAIYVEDYVEDPEFYYGGPGRNYKCP >ONI33919 pep chromosome:Prunus_persica_NCBIv2:G1:38083087:38085733:-1 gene:PRUPE_1G453000 transcript:ONI33919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVCRVLSAAKRSLKPKSLAISSSSQRWLSNQTSLLQRLVEEPNSRIKSILGSEEFSALQSSDFSWETLLTSLASSSSPDKSRLVLEWKLENLLEENEKDHDRYSELISLCGKIQNLPLAMQVFTSMGANGIKPTSAIFNSLVHACFSSGNVLTTFSLFEIMESSEGFKPNSDTYDAFMSAFSKLGKADSVQAWYSAKKAAGFSSDVQTYQTLISGCIKSNNFKLADWFYEEMVLSGLMPNLPILENMLEGLCKRRSFDQVKEFMKIVLDAGWKINEKMAEMVVGLYIELRMVEKLEELLVDLMETNQVSEVLLLVHCGIIRLNAMLDRLDDVEYSVGRMLKQGVSFKHHDVVEKVICSYFRCSAHDRLELFLERLKGSYELTKSTYDLLIAGYRRAGLSDRLNDMKCVGFS >ONI35316 pep chromosome:Prunus_persica_NCBIv2:G1:43309325:43309435:1 gene:PRUPE_1G529600 transcript:ONI35316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQRMRQLMLLWSRIQSNRVALVGGNHTAARFCTR >ONI35565 pep chromosome:Prunus_persica_NCBIv2:G1:44384328:44390510:-1 gene:PRUPE_1G543200 transcript:ONI35565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLTPSKRPHDENPIEPNGKGKLQKSENQPSKLSSGNAVFRVLCPVSKLDSITGDGGSFISQICQETLVKVRVEENVPGCDERVIIVGSDRENDVDTEHSKEDGVEGENVDEKHDDTKDSKENDENKESVPNEDSESVPNEDSKSDNLTPSVQKALQLVFERIIEGETETDGGDEQGEKSLTFVCRLLVLSTQVGCLLGKGGSVVKQMSSESGAQIRILPRDKLPLCATASDELVQITGEVDAVRKALESVSQQLLENLPRDSDSSLSNTSGPSSHSIGQSLPRPEVYQPPNRSQGAPYSDQLGDVADSHPAAHPLIPKFHGSGRMKPSQEVLTFRLLCHEERVGGVIGKGGSIIKTLKQETGCEIKVMEGVPDSEDRLIIVSGPAHPDDRISPVQDAVLRVQSRIFRAAPNSKEQSMMARLLVSSNQIGCLLGKGGAIIAEMRKLSRAHIRIMGKDQIPKCAPDDEELVQINGEFEAVKDALLQITTRLRHHYFRDAFPSINYPPNPAYLDQPPFQSYMRRDFSPPGMHSNLGMPFHKFDAVGGPPPHGVFHPHDDRAPFMHNIHRLGGPPHLSERRPWGPQGHLEGGGPLGLPNFAPQRRIPGFGGSQPAIITNTTVEVVVPSSLVPVINGEDGECLKQIRQISDAKVTITEPKPGALETVIIISGTPEQTHAAQSLIQAFVMSETDSS >ONI35567 pep chromosome:Prunus_persica_NCBIv2:G1:44385312:44389800:-1 gene:PRUPE_1G543200 transcript:ONI35567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLTPSKRPHDENPIEPNGKGKLQKSENQPSKLSSGNAVFRVLCPVSKLDSITGDGGSFISQICQETLVKVRVEENVPGCDERVIIVGSDRENDVDTEHSKEDGVEGENVDEKHDDTKDSKENDENKESVPNEDSESVPNEDSKSDNLTPSVQKALQLVFERIIEGETETDGGDEQGEKSLTFVCRLLVLSTQVGCLLGKGGSVVKQMSSESGAQIRILPRDKLPLCATASDELVQITGEVDAVRKALESVSQQLLENLPRDSDSSLSNTSGPSSHSIGQSLPRPEVYQPPNRSQGAPYSDQLGDVADSHPAAHPLIPKFHGSGRMKPSQEVLTFRLLCHEERVGGVIGKGGSIIKTLKQETGCEIKVMEGVPDSEDRLIIVSGPAHPDDRISPVQDAVLRVQSRIFRAAPNSKEQSMMARLLVSSNQIGCLLGKGGAIIAEMRKLSRAHIRIMGKDQIPKCAPDDEELVQINGEFEAVKDALLQITTRLRHHYFRDAFPSINYPPNPAYLDQPPFQSYMRRDFSPPGMHSNLGMPFHKFDAVGGPPPHGVFHPHDDRAPFMHNIHRLGGPPHLSERRPWGPQGHLEGGGPLGLPNFAPQRRIPGFGGSQPAIITNTTVEVVVPSSLVPVINGEDGECLKQIRQISDAKVTITEPKPGALETVIIISGTPEQTHAAQSLIQAFVMSETDSS >ONI35566 pep chromosome:Prunus_persica_NCBIv2:G1:44384614:44390505:-1 gene:PRUPE_1G543200 transcript:ONI35566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLTPSKRPHDENPIEPNGKGKLQKSENQPSKLSSGNAVFRVLCPVSKLDSITGDGGSFISQICQETLVKVRVEENVPGCDERVIIVGSDRENDVDTEHSKEDGVEGENVDEKHDDTKDSKENDENKESVPNEDSESVPNEDSKSDNLTPSVQKALQLVFERIIEGETETDGGDEQGEKSLTFVCRLLVLSTQVGCLLGKGGSVVKQMSSESGAQIRILPRDKLPLCATASDELVQITGEVDAVRKALESVSQQLLENLPRDSDSSLSNTSGPSSHSIGQSLPRPEVYQPPNRSQGAPYSDQLGDVADSHPAAHPLIPKFHGSGRMKPSQEVLTFRLLCHEERVGGVIGKGGSIIKTLKQETGCEIKVMEGVPDSEDRLIIVSGPAHPDDRISPVQDAVLRVQSRIFRAAPNSKEQSMMARLLVSSNQIGCLLGKGGAIIAEMRKLSRAHIRIMGKDQIPKCAPDDEELVQINGEFEAVKDALLQITTRLRHHYFRDAFPSINYPPNPAYLDQPPFQSYMRRDFSPPGMHSNLGMPFHKFDAVGGPPPHGVFHPHDDRAPFMHNIHRLGGPPHLSERRPWGPQGHLEGGGPLGLPNFAPQRRIPGFGGSQPAIITNTTVEVVVPSSLVPVINGEDGECLKQIRQISDAKVTITEPKPGALETVIIISGTPEQTHAAQSLIQAFVMSETDSS >ONI34767 pep chromosome:Prunus_persica_NCBIv2:G1:41215469:41218787:1 gene:PRUPE_1G497600 transcript:ONI34767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTIPIKAIVSLFCSCMLLSHITTLSPALALEDCEFPAIFNFGDSNSDTGAYASFLPLPPPYGETYFHMPVGRFSDGRLIIDFLAGGGFSPFYLNIQYMQFLQLKSISQLIRHQGGIFASLMPKESNFSKALYTFDIGQNDLAEGFSDNLTVQQVNASVPDIISGFSANIKKIYDLGARSFWIHNTGPIGCLPTILANFPAQKDEAGCAKSYNEVAQHFNQKLKEATVQLRKDLPLAAITYVDVYSVKYSLFKEPQKYGFELPLVACCGYGGKYNYNSSSSCGGTARVNGSQIFVGSCKDPSVRVNWDGTHYTEAAAKFISDKISTGAFSDPPLAFKQACHKSTWPNN >ONI26257 pep chromosome:Prunus_persica_NCBIv2:G1:1004090:1012843:1 gene:PRUPE_1G013200 transcript:ONI26257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGVRSVGPSGVLVKNRNSSGCLIVRKKPDGLSGGVGSSSSRKVFEPKKEKKRSRLVLSDSGSSDEIMVPPPPRRKVGSETLRVCNGLRALDKGAVEGSEVGQKRERLEHARRDEDGMIGKSFLDESGGKRSKLEVFEFDEYDAEIMRRKRFNDGVVDFGGRRFSGSQSGIKREFETSSGRHAVDKRKNLYFDRTSSLNRGDHTDRGRFEMNRDGAQLPLLRDKFMGQSEESIRLQGKNGVLKVMVKKKNNLGGPLENYNFHKSKESRKAPRSEDIAKNVIVPPFYSEPKLLEKPVSVVRTEKNHVNLRKSLPTKSSKGSDSDSEDSDTSLKLGPKNVEASKPMKRAVCKDEDAPSCEKTPPIRIKEGKVRRGSGTEKQKLRERIREMLLTAGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALQKQLNEESEAKRSAEGSSFSPITDDVLSQLTRKTRKKIEKEMKKKHRVDADSENARGVRIKRSSSVKHDPDSMDSVSYEEKLSSYLKQGGKSFKGKMNENGFASVNSNGQNTSHHLHDSVEKPSSGSSSHMPHGRKSRKLGRCTLLVRGSKQGANSESDGYVPYTGKRTLLSWLIDSGTVQLSQKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTISKFEIHAGSKLRQPFQNICLDSGVSLLQCQIDAWNRQEDIERIGFHSVQVDGDDPDDDTCGLCGDGGDLICCDSCPSTFHQSCLNIQMLPPGDWHCPNCTCKFCGIASENVAEEDDTTVSALLTCSLCGKKSHISCSQEMDVSPADSPCLGSSFCGQKCRELFENLKKYLGVKHELEAGFSWTLVHRTDEDQGFPQRVESNSKLAVALTVMDECFLPIVDRRSGINLIHNVLYNCGSNFNRLNYGGFYTAILERGDEIISAASIRFHGTKLAEMPFIGTRHIYRRQGMCRRLFYAIESALCSLKVEKLIIPAIAELMHTWTEVFGFISIEESFKQEMRSMNMLVFPGIDMLQKLLADQENEGNMTANTDLKQMDCEGKDCIKPGGRSKSDIGSPASLDGHGSDEAGLRPINETVDEDAATDSGSRRIRVSLNDTPVMSGSLDASDELKNLDSTERSISSDSASGAELAGSTFDKEFPPINTSHEALETENKPVLDSPVEDKMQSTSQGAGASLNNTSMLSSRSSDASNERNIQVSNKGTTSSDSDSETKSAEYASDAKCQSHPDTGHNKKVEIESILDTSLKENSSKSLEEGALDDSCEDDSHEETVDVACLEPINSSGETFAKNTKEEANGNPDSSFCDANESSLPNKCDLDIQFDCETKNESCVASEVASDAMDCEKSLPQASSDGSRTDSGKAESGSL >ONI26258 pep chromosome:Prunus_persica_NCBIv2:G1:1003915:1012843:1 gene:PRUPE_1G013200 transcript:ONI26258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGVRSVGPSGVLVKNRNSSGCLIVRKKPDGLSGGVGSSSSRKVFEPKKEKKRSRLVLSDSGSSDEIMVPPPPRRKVGSETLRVCNGLRALDKGAVEGSEVGQKRERLEHARRDEDGMIGKSFLDESGGKRSKLEVFEFDEYDAEIMRRKRFNDGVVDFGGRRFSGSQSGIKREFETSSGRHAVDKRKNLYFDRTSSLNRGDHTDRGRFEMNRDGAQLPLLRDKFMGQSEESIRLQGKNGVLKVMVKKKNNLGGPLENYNFHKSKESRKAPRSEDIAKNVIVPPFYSEPKLLEKPVSVVRTEKNHVNLRKSLPTKSSKGSDSDSEDSDTSLKLGPKNVEASKPMKRAVCKDEDAPSCEKTPPIRIKEGKVRRGSGTEKQKLRERIREMLLTAGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALQKQLNEESEAKRSAEGSSFSPITDDVLSQLTRKTRKKIEKEMKKKHRVDADSENARGVRIKRSSSVKHDPDSMDSVSYEEKLSSYLKQGGKSFKGKMNENGFASVNSNGQNTSHHLHDSVEKPSSGSSSHMPHGRKSRKLGRCTLLVRGSKQGANSESDGYVPYTGKRTLLSWLIDSGTVQLSQKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTISKFEIHAGSKLRQPFQNICLDSGVSLLQCQIDAWNRQEDIERIGFHSVQVDGDDPDDDTCGLCGDGGDLICCDSCPSTFHQSCLNIQMLPPGDWHCPNCTCKFCGIASENVAEEDDTTVSALLTCSLCGKKSHISCSQEMDVSPADSPCLGSSFCGQKCRELFENLKKYLGVKHELEAGFSWTLVHRTDEDQGFPQRVESNSKLAVALTVMDECFLPIVDRRSGINLIHNVLYNCGSNFNRLNYGGFYTAILERGDEIISAASIRFHGTKLAEMPFIGTRHIYRRQGMCRRLFYAIESALCSLKVEKLIIPAIAELMHTWTEVFGFISIEESFKQEMRSMNMLVFPGIDMLQKLLADQENEGNMTANTDLKQMDCEGKDCIKPGGRSKSDIGSPASLDGHGSDEAGLRPINETVDEDAATDSGSRRIRVSLNDTPVMSGSLDASDELKNLDSTERSISSDSASGAELAGSTFDKEFPPINTSHEALETENKPVLDSPVEDKMQSTSQGAGASLNNTSMLSSRSSDASNERNIQVSNKGTTSSDSDSETKSAEYASDAKCQSHPDTGHNKKVEIESILDTSLKENSSKSLEEGALDDSCEDDSHEETVDVACLEPINSSGETFAKNTKEEANGNPDSSFCDANESSLPNKCDLDIQFDCETKNESCVASEVASDAMDCEKSLPQASSDGSRTDSGKAESGSL >ONI31159 pep chromosome:Prunus_persica_NCBIv2:G1:29310876:29313573:1 gene:PRUPE_1G296700 transcript:ONI31159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKAFFGSEAMMTTVARLGVTIACGEGILRSMAKQSENQCRWNRSSSPVVNKGQRSWSPVDSGRLATGWWWQVAGGRRREPDGGGWWQEFSGGGQRQESDGGGQRPESSGGGQLRYSNGGGRRC >ONI35653 pep chromosome:Prunus_persica_NCBIv2:G1:44782742:44794920:-1 gene:PRUPE_1G547900 transcript:ONI35653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLEIEARDVIKIVLQFCKENSLHQTFQTLQSECQVSLNTVDSVETFIADINSGRWDAILPQVAQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQIAQALAAEVTVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVDDLYPTTLSHTIKFGTKSHAEYARFSPDGQFLISCSMDGFIEVWDYISGKLKKDLQYQADETFMMHEEAVLCVDFTRDSEMIASGSQDGKIKVWRIRTGQCLRRLERAHSRGVTSVSFSRDGSQILSTSFDNTARIHGLKSGKLLKEFRGHSYYVNDAIFTSDGTRVITASSDGTVKVWDLKTTDCLQTFKPPPPLKGTDAAVNSVHLYPKNTDHIIVCNRTSSIYVMTLQGQVVKSFSSGKKEGGDFLAACVSPKGEWIYCVGEDRNLYCFSNQSGKLEHLMKVHEKTVIGLTHHPHRNLLATYSEDCTMKLWKP >ONI26205 pep chromosome:Prunus_persica_NCBIv2:G1:750248:754509:-1 gene:PRUPE_1G009400 transcript:ONI26205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGLRMSSSQVLEWASLPLPVLFLVLDRLVEPIDHIRFAAVCKEWCVLAKEYNHKTQRWRKLLPMLLVPTECEGKRKLYSFPAGKIYDIELPVPCNSKRYCDSSYGHGWLATMDVLDRGGLTITLVNPFRKAEAPIRLPRLDFKVLRKYCEVCLPKIILSEDPTLNPDSYVVVSIYKHVSELAFTKGGQKFWIYSKRLRGCLLTDAIFHKSQVFAVGKWGNILSFDINSKPIEAKILNPQECPFSRYADKAYLVESTNGDLLHVRRFLKDVDGDAYHEEFWTERFMVYKLVFNERDGSVVQHVEIKSIGDEVMFLGDDYCISVLASNFPGCQPNSIYYMDDCTKLGGARVPDTDSDSRPSVIGIFNLEDETITRHYSLNISPALWIVPLFNGLC >ONI30538 pep chromosome:Prunus_persica_NCBIv2:G1:26683012:26684919:-1 gene:PRUPE_1G256700 transcript:ONI30538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFMSNYNGLKGHSSSEMKMKMKMKMKMTRRQRHRQRQVGGRGGRKSTVQVKVKKLQMLIPGGRGLKADRLFLQTADYILQLRLQVNVLQALSKIYKLS >ONI30537 pep chromosome:Prunus_persica_NCBIv2:G1:26684082:26684974:-1 gene:PRUPE_1G256700 transcript:ONI30537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFMSNYNGLKGHSSSEMKMKMKMKMKMTRRQRHRQRQVGGRGGRKSTVQVKVKKLQMLIPGGRGLKADRLFLQTADYILQLRLQVNVLQALSKIYKLS >ONI34448 pep chromosome:Prunus_persica_NCBIv2:G1:40267166:40275256:1 gene:PRUPE_1G482800 transcript:ONI34448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQHQQSSSSEAVSSSSSSSSASTYVDQSQPATSSPNSGASDKLPSIPAAAPEDLAVGSRDGGGAQESVTVDRRGEYSAVCRWTVQNFPRIKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLAIVNLADDSKTIHRDSWHRFSSKKKSHGWCDFTPSSTVFDSKLGYLFNTDSVLITADILILNESVNFTRDSNNNNELQSSAGSMMMSGSVVAGPVSDVLSGKFTWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSSVNGVEYLSMCLESKDTDKTVVLSDRSCWCLFRMSVLNQKPGSNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFVGLESGFLVDDTAVFSTSFHVIKEFSSFSKNGGLIAGRSGSGARKLDGHMGKFNWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQRLEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDLTDQDTESSNSGSQMDKNAKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDLDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVFASEDDQDALTTDPDELIDSEDSEGIGGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGSSDGMKVIKNDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPTEASSNGDLSDTNLKSPDGSGAASPLQSDRENGAAESVHCPVYERLDTSVDETSSSASAVQSSDMNGTGIPGKPHPGHPISPPETSAGGSENVSLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKISLVLDKAPKHLQPDLVALVPKLVEHSEHPLAAFALIERLQKPDAEPALRTPVFGALSQLDCGSEVWERVLSQSLEFLSDSNDEPLAATIDFIFKAASQCQHLPEAVRSVRVRLKNLGVDVSPCVLEFLSRTVNSWGDVAETILRDIDCDDDMGDSCSTLHSGLFLFGEHGPSSERFHSVDEQAFRASRHFSDIYILVEMLSIPCLAVEASQTFERAVARGAIVAHSVAMVLERRLAQRLNLDARFVADNFQQPDAVVEGEANEQLRVQRDDFTSVLGLAETLALSRDLCVKGFVKMLYTLLFKWYADESYRGRMLKRLVDRATSTTDSSREVDLDLDILVTLASEEQEIIRPVLSMMREVAELANVDRAALWHQLCASEDEIIRMREERKAENANMVREKAVISQKLSESEATINRLKSEMKADIDRFAREKKELSEQIQEVESQLEWHRSERDDEIRKLTTDRKVLQDRLHDAESQISQLKSRKRDELKKVVKEKNALAERLKSAEAARKRFDEELKRYATENITREEIRQSLEDEVRQLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETLSRIHEEGLRQIHTLQQQRKSSPAGSPLVSPHALQHNHGLYPATPPQMAVGLPPSLIPNGVGIHSNGHVNGAVGPWFNHS >ONI34449 pep chromosome:Prunus_persica_NCBIv2:G1:40267166:40275256:1 gene:PRUPE_1G482800 transcript:ONI34449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCKSGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQRLEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDLTDQDTESSNSGSQMDKNAKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDLDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVFASEDDQDALTTDPDELIDSEDSEGIGGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGSSDGMKVIKNDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPTEASSNGDLSDTNLKSPDGSGAASPLQSDRENGAAESVHCPVYERLDTSVDETSSSASAVQSSDMNGTGIPGKPHPGHPISPPETSAGGSENVSLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKISLVLDKAPKHLQPDLVALVPKLVEHSEHPLAAFALIERLQKPDAEPALRTPVFGALSQLDCGSEVWERVLSQSLEFLSDSNDEPLAATIDFIFKAASQCQHLPEAVRSVRVRLKNLGVDVSPCVLEFLSRTVNSWGDVAETILRDIDCDDDMGDSCSTLHSGLFLFGEHGPSSERFHSVDEQAFRASRHFSDIYILVEMLSIPCLAVEASQTFERAVARGAIVAHSVAMVLERRLAQRLNLDARFVADNFQQPDAVVEGEANEQLRVQRDDFTSVLGLAETLALSRDLCVKGFVKMLYTLLFKWYADESYRGRMLKRLVDRATSTTDSSREVDLDLDILVTLASEEQEIIRPVLSMMREVAELANVDRAALWHQLCASEDEIIRMREERKAENANMVREKAVISQKLSESEATINRLKSEMKADIDRFAREKKELSEQIQEVESQLEWHRSERDDEIRKLTTDRKVLQDRLHDAESQISQLKSRKRDELKKVVKEKNALAERLKSAEAARKRFDEELKRYATENITREEIRQSLEDEVRQLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETLSRIHEEGLRQIHTLQQQRKSSPAGSPLVSPHALQHNHGLYPATPPQMAVGLPPSLIPNGVGIHSNGHVNGAVGPWFNHS >ONI34450 pep chromosome:Prunus_persica_NCBIv2:G1:40269069:40274908:1 gene:PRUPE_1G482800 transcript:ONI34450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCKSGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQRLEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDLTDQDTESSNSGSQMDKNAKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDLDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVFASEDDQDALTTDPDELIDSEDSEGIGGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGSSDGMKVIKNDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPTEASSNGDLSDTNLKSPDGSGAASPLQSDRENGAAESVHCPVYERLDTSVDETSSSASAVQSSDMNGTGIPGKPHPGHPISPPETSAGGSENVSLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKISLVLDKAPKHLQPDLVALVPKLVEHSEHPLAAFALIERLQKPDAEPALRTPVFGALSQLDCGSEVWERVLSQSLEFLSDSNDEPLAATIDFIFKAASQCQHLPEAVRSVRVRLKNLGVDVSPCVLEFLSRTVNSWGDVAETILRDIDCDDDMGDSCSTLHSGLFLFGEHGPSSERFHSVDEQAFRASRHFSDIYILVEMLSIPCLAVEASQTFERAVARGAIVAHSVAMVLERRLAQRLNLDARFVADNFQQPDAVVEGEANEQLRVQRDDFTSVLGLAETLALSRDLCVKGFVKMLYTLLFKWYADESYRGRMLKRLVDRATSTTDSSREVDLDLDILVTLASEEQEIIRPVLSMMREVAELANVDRAALWHQLCASEDEIIRMREERKAENANMVREKAVISQKLSESEATINRLKSEMKADIDRFAREKKELSEQIQEVESQLEWHRSERDDEIRKLTTDRKVLQDRLHDAESQISQLKSRKRDELKKVVKEKNALAERLKSAEAARKRFDEELKRYATENITREEIRQSLEDEVRQLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETLSRIHEEGLRQIHTLQQQRKSSPAGSPLVSPHALQHNHGLYPATPPQMAVGLPPSLIPNGVGIHSNGHVNGAVGPWFNHS >ONI29594 pep chromosome:Prunus_persica_NCBIv2:G1:20287442:20289443:1 gene:PRUPE_1G203700 transcript:ONI29594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITSCLTSPKFFTASSNPAPSKDLKVDEKINRDYSYKKAFMPRRELLYQEITHSMSPEKISIFKSLDDWAEDNILTHLKPVEKCWQPQDFLPDPAASRDDGFLEQVKELRERAKEVPDDFFVVLVGNMITEDALPTYQTMLNTLDGVADETGSSPTSWAVWTRAWTAEEKRHGDLLNKYLYLTGRVDMSQIEKTIQYLITFGMDPGGENNPYLGFIFTSFQERATAISHGNTAKLAKKHGDLKLAQICGIIASDEKRHEAAYTKIVTKLFELDPDYTVISLAHMMRKKITMPAHLMYDGRDDHLFQHFSSAAQQLGVYTANDYADILESLLATWKVETLVGLSPEGRKAQEFVCGLPPRIRKLEERAQARTKQPPMSVPFSWIFGRQVRLL >ONI35221 pep chromosome:Prunus_persica_NCBIv2:G1:42852661:42855150:-1 gene:PRUPE_1G523300 transcript:ONI35221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDSDEPEKKRPHLNSLSPTMARSSTTSPPNNHGDKRLRVKGPVRMPTKILHITTRKSPCGEGMFTVKCSCC >ONI35220 pep chromosome:Prunus_persica_NCBIv2:G1:42852661:42855150:-1 gene:PRUPE_1G523300 transcript:ONI35220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDSDEPEKKRPHLNSLSPTMARSSTTSPPNNHVCIDLVCGAKDKRLRVKGPVRMPTKILHITTRKSPCGEGMFTVKCSCC >ONI35718 pep chromosome:Prunus_persica_NCBIv2:G1:45074976:45078749:-1 gene:PRUPE_1G551200 transcript:ONI35718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLLRISPSGPILCTSAKAPLSSLPLASSILSKAFKGSTDNPSRMHTAATAFRGGSALAATVHSKPTYNIPYRINCGLCRCSVHDRAPSRAWLVLKDSGLGSRPAWLHTSSDGSFSVSAAEGCKGLEKGLEGFEDLSAGDGGEAEKGSEEKPNRLSNRRQRSSGSGGLLAGNPDLLAIPGVGPRNLRKLVEKGIGGVSELKQLYRDKFFGKGSQKMVEYLQSSVGIIHKNHAESITTYIKESVDEELKEDSSNSEVKHTQKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEVVPEPINKWQDVGPDHFNILNAFYAEPQRYAYTFQNYVFVTRLMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMKLRQRAEEGGVSLEYLHGLHEKHESWLFPFQSGNHGVLSVSKLPLHMDSSLPPDIRDRVFYLEGDHMHSSIQKVPALVLDCEPNIDFSIDIEAKRQYARQVAEFFEFVKKKQEVPSAKGGEEAKKSSQQQLVLPQNGELWVPDKHFPESALKSLEFRRAMSLMSG >ONI35394 pep chromosome:Prunus_persica_NCBIv2:G1:43592312:43594091:-1 gene:PRUPE_1G533200 transcript:ONI35394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESEKRKERLRAMRTEAEEAEASHSVTTSAVPGYLSNPLAEDSAALPVHKEPCAPSRFDFYTDPMAAFSSDTKRVKVGNQIAPSNFGRPNTGGSPMARLSSPLSGGPRNPEMTAPPSHQFQSNYSLDKRMYRVQQGFCQNFGPQRNPIGIARPFPMHHGNPPEVWNGAEGAANYSFPSDPSRECRFPGPGFRPPGSPGFRPPGSPGLGPQGSSGFGPPGSPGFRPPGSPGFRPPGSPGFGPQGSSGFGPPGSPGFRPPASPGFRPLGSPGSNSGQGRGHWRSNSPSPHSVHGGNTSPSSSSGRGGGHWSTSPGSGRRGGRGLGSHGRSTMEKQLGPERYYNDSMVEDPWKFLKPVIWKGVDTPMKRFYSPGSSKPPIENSSSTKDAIISEGSNKSTSQPSLAEYLAASFNDAVKDTPTT >ONI33005 pep chromosome:Prunus_persica_NCBIv2:G1:35295377:35295973:-1 gene:PRUPE_1G399200 transcript:ONI33005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLTAISATTATKSSLESLPPTPAMAVQQQRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSSRLEDREGGERDLESGGGDEKDQGDESSKTVKVSEEKILVIMAGNENATFLATPVSLCSKVASFGADKHVVDEQGESKDGDKEESSSEKMKEELGAQHLDEAVSSIQNSNTETQQGQLEEEEEAHHHQNQ >ONI35400 pep chromosome:Prunus_persica_NCBIv2:G1:43610430:43611059:-1 gene:PRUPE_1G533600 transcript:ONI35400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVSELNNLFDVPACAIIYSLYDTQHEIWPSSLQVQCDLKKFKTMPEMEQSRKMVNQESFLRQRIEKANEQLKNQRKEMTRVLFHSLTGKSLHNLNMVSLNDLGWLIDQSLKEIRVKIKILNEAKENKQVQVEPTGLLRQAFETNSIETMLRHPWFMGMKNSQDQHMGAGVGGGDEMIMPFWGQNHINVIWSNNAFFP >ONI31236 pep chromosome:Prunus_persica_NCBIv2:G1:29505086:29506441:1 gene:PRUPE_1G300300 transcript:ONI31236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTKPVSVYRRSPQSLSLPPPEGPNSGYLILHDDELVEITCCGCGDDWVKDLPFPQNKDLTVRHGSDEDKVTFIPVLNQPLSSNRYHVILRRGNHKGEACTNSREEDMEIDCCGCTNVPDAKPKPLEPSDGGSYRRGYFVAKSVDPDGFPPSFLRRKWWTVTMHTPRHYRLGEALGLNSSLRARLLGFDFPLSHDCSEAVLVGKWYCPFMFVKEGGVRLKDQMTKCMFYEIRLEQRWEKILNSVNENVEGKNKGGCVCGCLCSKRGGFCWWSEAIWDERNRRNRSGIEHKVVERMKWEQERVGWVGGNERRVRVERVEEFGGTGGRWKRFGCYVLVERFVLKRMSMNGSLALLTYDFKHTHQIRSKWE >ONI30435 pep chromosome:Prunus_persica_NCBIv2:G1:26287013:26289164:1 gene:PRUPE_1G251300 transcript:ONI30435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSEKDLMTTMTRRVASIANHLRPVHSPPNCISISLSNASMNDSYHRTHGEVSTHQIVWENVRDDSCEAFTDIVYEKAVGEAIAKITINRPERRNAFRPQTVKELIRAFNDARDDGSIGVIILTGKGTKAFCSGGDQALRGKDGYADYEDFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLTRFYTAVEAEKIGLVNTVVPLESLEQETVKWCREILRNSPTAIRVLKSALNAVDDGHAGLQQLAGDATLLFYGTEEGNEGKTAYMQRRRPDFSKFPRRP >ONI28712 pep chromosome:Prunus_persica_NCBIv2:G1:12380623:12383155:-1 gene:PRUPE_1G156600 transcript:ONI28712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFGGPTDGEEFEAKITPIVVISCILAASGGLMFGYDIGISGGVTSMPEFLREFFPVVYKRQQIPGLESNYCKYDNQGLQLFTSSLYIAALIATFFASYTTRVFGRKMSMLIAGIFFIVGTILNAAAQNLIMLIVGRLALGCGVGFANQAVPLFLSEVAPTRIRGALNLLFQLMCTIGILVANLINYGTAKIEGGYGWRISLGLAGIPSLLLTFGALIVTDTPNSLIQRGKLEEGKKVLKRIRGIDNVDPEFLEILEASRAAKEVQHPFRNLFKRRNRPQLIITVFLQFFQQFTGINSVNFYAPVLFQTLGFKHDASLYSSVITGGIMVLGAIVSIFLVDRAGRRMLLLEGGIQMFISHVVIAVILGWKLKDQSNDLDKGMGILVVVIICSFVGSFGWSWGPLCWLVASEIFPLEARSAGQSVTVCINMLFTFVIAQAFLSMLCHFRFGIFLFFAAWCLIMTVFVYFLLPETKGVPIEEMSDVVWRQHWFWKRYMDDSEDEPKEKGYA >ONI28210 pep chromosome:Prunus_persica_NCBIv2:G1:10331518:10332665:1 gene:PRUPE_1G131500 transcript:ONI28210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCQGFMTTREEKVFYHSRSAHVVSERHTIIEDCHCVHYDHVHPAEKVPYFPAPAMRDEYHGSVRPLPPQQVQYYPHPNMDQSHVPPPHHQIRNPVKTREVPAQKPHQIKDGVLTSTEAAKIYKGVLVTQYGNKKYPRPNCS >ONI35622 pep chromosome:Prunus_persica_NCBIv2:G1:44648415:44649402:1 gene:PRUPE_1G546500 transcript:ONI35622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISHFGSPKKPTDLHRFSICVFVKTQIRRSTQAHPCYLCIPSSALQPPPIYLLYFSISVSPDFSIRFLLLRFSNKLEIFFVYLCSKIFVIW >ONI32066 pep chromosome:Prunus_persica_NCBIv2:G1:32431783:32451925:1 gene:PRUPE_1G347000 transcript:ONI32066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPVATPESIFLEDFGQKVYLTRRIREVLVNYPEGTTVLKELIQNADDAGATTVRLCLDRRLHGTDSLLSETLAPWQGPALLAYNDAIFTEEDFVSISRIGGSSKHGQASKTGRFGVGFNSVYHLTDLPSFVSGKYVVLFDPQGIFLPKVSASNPGKRIDYVSSSAISLYKDQFFPYCAFGCDMKTQFAGTLFRFPLRNAEQAATSKLSRQAYSQDDLSSLFLQLYEEGVFTLLFLKNVLRIEMYVWEAWDNEPRKLYSCSVGSASDDIVWHRQAALRFPKSVNSTESQVDCYSVDFLSESTIGTQSEKKTDSFYLVQTLASTSSRIGSFAATASKEYDIHLLPWASVAACISDNSAHNDSLKLGRAFCFLPLPVRTGLTVQVNGYFEVSSNRRGIWYGADMDRSGKIRSVWNRLLLEDVVAPAFTQLLLGVRGLLDSRDLYYSLWPSGSFEEPWSILVEHIYRNISSAPVLHSDLEGGKWVSPVEAFLHDDEVTKSKELGEALIVLGMPIVCLPNVLFNMLLKYASSFQQKVVTPDTVRCFLRECRSVSTLGKYFKLVLLEYCLEDLLDDDVGTHAYNLPLLPLANGEFGSLSDASKGISYFICNDLEFMLLNQIYDRIIDKNIPIDILSRLSAIAKSSKANLVIFNVQYFLQFYPRFVPADWKYKSKVLWDPESCHNHPTSTWFVLFWKYLQNQCEKLSLLSDWPILPSTSCHLYRASRQSKLINAEKLSDKMKEILVKIGCKILSPNYGVEHSDLSHYVSDGNASGILESIYDVVSLNYGTIITCLHNLEAKERDELRAFLLDPKWYFGDCLNESDIRNCTRLPIYKVYGDGSTQSFQFSDLENPRKYLPPVDSPECFLGAEFLISSSDVEVEILLRYYGIERMGKARFYKQQVLNRVGELQPEVRDSIVLSILQNLPQLCVEDLSFRDYLKNLEFIPTFGGALRSPTALYDPRNEELYALLEDSDSFPCGPFQEPGILDMLHGLGLKTSVTPETVIQSARQVERLMHEDQQKSQLKGKVLLSYLEVNAMRWIPNALNDDQGTMNRMLSRAATAFRPRNLKSDLEKFWNDLRLISWCPVVVSAPFQTLPWPVVSSMVAPPKLVRLQADLWLVSASMRILDGECSSTALSTSLGWSSPPGGGVIAAQLLELGKNNEIVNDQVLRQELALAMPRIYSILTGLIGSDEMDIVKAVLEGSRWIWVGDGFATADEVVLDGPIHLAPYIRVIPVDLAVFKELFLELGIREFLNSTDYANILCRMALKKGSSPLDAQEMRAALLIVQHLAEVQIHDQKVKIYLPDVSGRLYPATDLVYNDAPWLLGSEDHDSPFGGPSNVALNARRTVQKFVHGNISIDVAEKLGVCSLRRTLLAESADSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDAGASEVSFLLDKTQYGTSSVLSPEMADWQGPALYCFNDSVFSPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPTFVSGENIVMFDPHACNLPGISPSHPGLRIKFAGRKIMEQFPDQFSPFLHFGCDLQQPFPGTLFRFPLRSASAASRSQIKKEGYAPDDVLSLFASFSKVVSETLLFLRNVKVISVFVKEGSGHEMQLLHRVHKHCNGEPKIEPNALQDVFSLFDGSQHSGLDKEQFLKKLRKSTDRDLPYKCQKIGITEESSAGNLSHCWITSECLGGAQTKNKSAVLNDKSHTYIPWACVAAYLHSVKVGLGVSDIPEMNDACAVASDVFQVSTGSLQDRKDFEGRAFCFLPLPISTGLPAHVNAYFELSSNRRDIWFGNDMAGGGKKRSDWNMYLLEGVVAPAYGRMLEKIALEIGPCDLFFSLWPKTRGLEPWALVVRELYTFIVDCSLRVLHTKARDGQWISAKQAIFPDFNFDKVDELIEALSDAGLPLVTVSKPIVERFMEVCPSLHFLNPQLLRTLLIRRKREFKDRNTMVLTLEYCLLGLKIPVESASLYGLPLLPLADGSFTTFDKNGIGERIYIARGDEYDLLKDLVPNQLVDCGIPEVVYEKLCYIAQSEASNISFLSCHLLEKLLLKLLPAEWHHAKQVTWAPGQQGQPSLEWIRLLWSYLRSSCDDLSLFSKWPILPVGNHCLLQLVENSNVIKDDGWSENMSSLLLKIGCVFLRQDLPIDHPQLKFFVQLPTAIGLLNALLAVADRPENIEGLFDNASEGEMHELRSFILQSKWFVEEEMEYKHIDIIKHLPMFESYKSRKLVSLSNPIKLLKPGDIPENFLSDDFVRTESEKEKIILRRYLEIREPSRMEFYKDHVLNHMSEFLSEQGSLSAILHGVQLLVQEDNSLKSALSEIPFVLTADGSWQQPSRLYDPRVPALRTVLHREVFFPSEKFSDTETLDILVTLGLRRTLGYSGLLDCARSVSLLHDSGKPETLSYATKLLVCLDALSFKLSTEEEGNLDESKNSIFHNNNETEDGDGMDDESPKRIGNQILDDLDINFFVGNLIDDQPDEDFWSEMRAIAWCPVYADPPLKGIPWLKSSNQVSQPINVRPKSQMFVVSCSMHILDGECCSLYLQKKLGWMDRPNINVLSAQLIELSKLYSQLKSHSSDVPVVDAALSKGIPALYSKMQEYIGTDEFVQLKSALDGVSWVWIGDNFVVPNALAFDSPVKFTPYLYVVPSELSEFRDLLLNLGVRISFDIWDYMHVLQRLQNDVKGFPLSTDQLNFVHRILDAVADCCSERPLFEASNTPILIPDASAVLMHAGDLVYNDAPWMDNSTPVGKHFIHPTISNDLASRLGVQSLRCLSLVDDDMTKDLPCMDYARIKELLTSYGVNDLLLFDLLELADCCKANKLHLIFDKREHPRQSLLQHNMGEFQGPALLAILEGVSLSREEISSLQFLPPWRLRGNTLNYGLALLSCYFVCDLLSVVSGGYLYMFDPLGLVLAAPSTCAPAAKMFSLIGTNLTDRFRDQFNPMLIGPSISWPSLDSTIIRMPLSPECLNNGLELGLRRIKQISERFLEHSSGSLIFLKSVMQVSISTWEEGNSQPHQDYSVSIDSSSAIMRNPFSEKKWRKFQISRLFNSSNAATKLHVIDVNLNHGAARVVDRWLVALSLGSGQTRNMALDRRYLAYNLTPVAGVAAHISRDGHPADVCLASSIMSPLPLSGGINIPVTVLGCFLVCHNGGRSLFNYQDKEASEEAQADAGNQLMEAWNRELMSCVRDSYIELILEIQRLRRDASSSAIESSAGRAISLSLKAYGDKIYSFWPRSNGHNMVKQQGNDCSLVPMEVLKSDWGCLIEYVIRPFYARVVDLPVWQLYSGNLAKAEEGMFLSQPGNGVGGKLLPATVCSFVKEHYPVFSVPWELVTEIQALGIAVREVKPKMVRNLLRLSSTSLVLRSVDMYVDVLEYCLSDVEIRESSNSIGNSLTVDHNNTNYIHRESQVVGSSPGSVSVPNTHNFPALSTQNAGSSGDAIEMVTSLGKALFDFGRGVVEDIGRAGGPLVQRNVVAGSSNSIYGNGDQNLLSIAAELRGLPCPTARNHLTKLGTTELWVGNKEQLSLMVSLAEKFVHPKVLDRSILADIFSNGVLQSLLKLRSFSLHLLASHMRIVFHDNWVSHVMASNMVPWFSWENNTSSAGGEGGPSPEWIRLFWKNFNGCSEDLLLFSDWPLIPAFLGRPILCRVRERNLVFIPPLVIDPTSEESSLEIGATGSNDAPESESIHGYASAFEVAKNKHPWLLSLLNHCSIPIFDIAFLDCAAPCNCFPAPGQSLGQIIASKLVAARNAGYFPELTSLSASDCDALFALFANDFLSNGSNYRVEELEVIRSLPMYKTVVGSYTRLLSDDQCIISSSSFLTPYDERCLSYSSGSVEFSFLRALGVSELHDQQILIRFGLPGFEGKPESEKEDILIYLYTNWHDLRMDSSVIEALKEAKFVRNADEFCTYLSKPKDLFDPGDALLTSIFSGERKKFPGERFTTDGWLHILRKAGLRTATESDVILECAKRIEFLGTECMKSRDLDDFEDLNNTQSEVSMEVWTLAGSVVEAIFSNFAVFYGNNFCDLLGKIKCIPAEFGLPNVVGKKGGKRVLASYNEAILLKDWPLAWSYAPIITRQSAVPPEYSWGSLQLRSPPAFPTVLKHLQIIGRNGGEDTLAHWPTASGMMSIDEASCEVLKYLDKIWNSLSSSDIMELQRVPFIPAANGTRLVTANLLFARLTINLSPFAFELPTLYLPFLKILKDLGLQDIFSIASARDLLLNLQRTCGYQRLNPNELRAVLEILYFICDGTIGEDMSNGPNWTSEAIVPDDGCRLVHAKSCVYIDSHGSRFVKCIDPSRFRFIHPDLPERLCIVLGIKKLSDVVIEELDRQEHLQALDYIGSVPLVAIREKLLSKSLQGAVWTIVNSMSSYIPAIKNLSLGTIQNLLEAVAEKLQFVKCLHTRFLLLPKSVDITQAAKDSIIPEWADGSMHRTLYFINRSNTSILVAEPPPYISVFDVIAIIVSLVLGSPTPLPIGSLFVCPGGSETAIVDILKLCSDKQEMEATSASNGLIGKELLPQDVRQVQFHPLRPFYAGEMVAWRSQNGEKLKYGRVPDDVRPSAGQALYRFKVETATGVMQPLLSSHVFSFRSIAMGSETSPMPMDDSHTVVHNRTPVEMPETSGSGKARSSQLQAGKELQYGRVSAGELVQAVQEMLSAAGIYMDVEKQSLLQKTLTLQEQLKESQTSLLLEQEKADVAAKEADTAKAAWLCRVCLTAEVDITIVPCGHVLCRRCSSAVSRCPFCRLQVSKTMRIFRP >ONI33910 pep chromosome:Prunus_persica_NCBIv2:G1:38070908:38073047:1 gene:PRUPE_1G452600 transcript:ONI33910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLFQLQLQHLQPLLKPRHPNRQLLLPTLTLSFPSSLSNPLKRTKPNKLRPLLPPTVRLPSPIVCKSNSLDLDILSQPEERGKPHRRANGIFWIILANLGIYIADHVFQVRAIKMLYLYHNWPTWYQFLTATFCHANWNHLSSNLFFLYIFGKLVEEEEGNFALWLCYILTGVGANLVSWLVLPRNAVSVGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVIEKVMEAAQASTALSGPFGGGSSLMNVNHIAHLSGALVGVFLVWILSKVPSEPPAQGSNFQNKTSRT >ONI26661 pep chromosome:Prunus_persica_NCBIv2:G1:2689021:2689744:1 gene:PRUPE_1G038300 transcript:ONI26661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPKSLQLMLLLLGLLLLTTCIGADHHRPPQKLPIYKRPPPLEAANSLEQELLPFGGKRKRPMSPPPKHKPPPPQKHKPPPPPTPKLNPHPPPTSAHTPAPNLRHTQTLTHHTPRKAHATTTTTSKETTPKPHSATTTSPKTEPRYHRHHSKTQPASYPTLDEAALKDAEDPFVQATVRIYLVHSPKCPPHLTKLL >ONI28662 pep chromosome:Prunus_persica_NCBIv2:G1:12105792:12108784:-1 gene:PRUPE_1G153700 transcript:ONI28662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMPQDARSKDIEDVLILSGDHLYRMDYLDFVQNHRQSGADITISCLPMDDSRASDFGLMKIDKKGRVLSFSEKPKGDDLKAMAVDTTVLGLSVEEALKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPNKFSFYDAAKPMYTSRRNLPPSKIDNSKIVDSIISHGSFITDSFIEHSVVGIRSRINSNVHLKDTVMLGADYYETDAEVASLLAEGRVPVGIGENTKIKDCIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGITIILKNSVIVDGLVI >ONI28866 pep chromosome:Prunus_persica_NCBIv2:G1:13342314:13345272:-1 gene:PRUPE_1G165100 transcript:ONI28866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVNDWGNSPLVTVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRALQAFHLDAAKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTTGFIDYDKLEEKALDFRPRLIICGGSAYPRDWDYARFRSVADKCGALLLCDMAHISGLVAAQEAANPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAAYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAMSPGFKAYAKQVKANAVALGNYLISKDYNLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALSPGGVRIGAPAMTSRGLVEKDFEKIGEFLHRAVSLTLKIQKEHGKLLKDFNKGLVNNKDIEELKADVEKFSASFEMPGFVMSEMKYKD >ONI27416 pep chromosome:Prunus_persica_NCBIv2:G1:6290931:6298635:-1 gene:PRUPE_1G084700 transcript:ONI27416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSSSDGLALDQSKSSKLSVEKKRELVYEISKWSHGACELLQSWSRQEILQILCAEMGKERKYTGLTKVKIIEHLLKVVSERKPGGNEVSTDLKPQSSDAPGQRTAKRQRKTENPSRLPVPENSISINSSGSDLANTTFCKNSACRATLNREGAFCKRCSCCICYQFDDNKDPSLWLVCSSEPPFQGNSCGMSCHLECALKRESCGIGKEGRRRGLDGSFYCVSCGKVNDLLGSWRKQLVMAKDTRRVDILCYRIFLSHKLLRGTEKYQKLYEIVDEAVKKLQAEVGPLTGLPLKMGRGIVNRLSSGPEIQKLCAFAVESLDSMLSNAMSHPLPKPTRQDLSLIPPHMVRFENVHATSLTVVLGSEYPPLENIAGYKLWHCKADDMNYPAEPTCTLFAPKMRFVVTGLIPATEYCFKVTSFHGTRHLGMCEVRLSTSTAGDEVPNCSVTERSQSPATNCSSLSNPSSVEDETNNAIPYGDQADNRADNYLTYCKDTDKTVSANISNDAINCNSMGGGPTADAISLLDEEQANGMVGSVSNSDVLKRECKQSTEGQIIEDISTDNGSNSPVRTGMECVPFVGSSEAGLPITPCKIETLKDGLGRNEKSNSSSKDLKNVTGKEVEPQDGSTSKKRSGERQDEECVANGVSNRDFEYYVKVIRWLECEGHIEQNFRQKFLTWYSLRATPQEVRIVRVFVDTFIEDPASLAGQLVDTFSESISCKKSSVVPNGFCMKLWH >ONI26560 pep chromosome:Prunus_persica_NCBIv2:G1:2223474:2224730:1 gene:PRUPE_1G031200 transcript:ONI26560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQYKNHTPIPRKSRLRWINLPDLKRGNFIEEEDELIIKLHSLLGNNWKTTWKNRQ >ONI33912 pep chromosome:Prunus_persica_NCBIv2:G1:38073411:38076454:-1 gene:PRUPE_1G452700 transcript:ONI33912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGKEKAFLRTTNKNEEATATTANGRVQALPIKGNHPDDKNHLRLISKPNGSMRQSHCSICMAKENCRIVRSRTRLMNILIERGRPQEAQSLFNGLIEGGHRPSLVSYTTLLAALTIQKRFESIPYVISQVEENGMNPDLIFFNAVINAFSESGNVEKAMETLRKMKESGLKPTTSTYNTLIKGYGISGKPEDSLQLLEMMSQEENVKPNIRTFNVLVRAWCKKNITEAWNVVHKMVTSDMRPDAVTYNTLATAYAQNGETSKAEGMILEMQNNKVQPNERTCGIIISGYCKEGKLKEALRFMKRIKDLGLHPNIVVFNSLVKGFVDTMDRDGVDQVLKLMEEFGVKPDVITFSTIMNAWSTAGFMEKCRQIFDDMVKAGIKPDTHAYSILAKGYVRAQEVEKAEELLAAMMKSGVRPNVVIFTTIMSGWCSSGRMEHAIRVFDKMCEHGISPNLKTFETLIWGFGETKLPWKAEEILQIMKEFGVQPEKSTISLVADAWRAIGLTKEANRMLGAMRSKEKTNQVETEEVPFESLEKIYHKKSASAFHPNLLQIPSVVTSDQKGSAVSATRKGRLVLRDGDFSLDISSLAAKSMNLSQTCKFGERLPIICRKQSQGQLGVYGQFAQQCTVVFLN >ONI33913 pep chromosome:Prunus_persica_NCBIv2:G1:38073410:38076434:-1 gene:PRUPE_1G452700 transcript:ONI33913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGKEKAFLRTTNKNEEATATTANGRVQALPIKGNHPDDKNHLRLISKPNGSMRQSHCSICMAKENCRIVRSRTRLMNILIERGRPQEAQSLFNGLIEGGHRPSLVSYTTLLAALTIQKRFESIPYVISQVEENGMNPDLIFFNAVINAFSESGNVEKAMETLRKMKESGLKPTTSTYNTLIKGYGISGKPEDSLQLLEMMSQEENVKPNIRTFNVLVRAWCKKNITEAWNVVHKMVTSDMRPDAVTYNTLATAYAQNGETSKAEGMILEMQNNKVQPNERTCGIIISGYCKEGKLKEALRFMKRIKDLGLHPNIVVFNSLVKGFVDTMDRDGVDQVLKLMEEFGVKPDVITFSTIMNAWSTAGFMEKCRQIFDDMVKAGIKPDTHAYSILAKGYVRAQEVEKAEELLAAMMKSGVRPNVVIFTTIMSGWCSSGRMEHAIRVFDKMCEHGISPNLKTFETLIWGFGETKLPWKAEEILQIMKEFGVQPEKSTISLVADAWRAIGLTKEANRMLGAMRSKEKTNQVETEEVPFESLEKIYHKKSASAFHPNLLQIPSVVTSDQKGSAVSATRKGRLVLRDGDFSLDISSLAAKSMNLSQTCKFGERLPIICRKQSQGQLGVYGQFAQQCTVVFLN >ONI33911 pep chromosome:Prunus_persica_NCBIv2:G1:38073457:38076422:-1 gene:PRUPE_1G452700 transcript:ONI33911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESGKEKAFLRTTNKNEEATATTANGRVQALPIKGNHPDDKNHLRLISKPNGSMRQSHCSICMAKENCRIVRSRTRLMNILIERGRPQEAQSLFNGLIEGGHRPSLVSYTTLLAALTIQKRFESIPYVISQVEENGMNPDLIFFNAVINAFSESGNVEKAMETLRKMKESGLKPTTSTYNTLIKGYGISGKPEDSLQLLEMMSQEENVKPNIRTFNVLVRAWCKKNITEAWNVVHKMVTSDMRPDAVTYNTLATAYAQNGETSKAEGMILEMQNNKVQPNERTCGIIISGYCKEGKLKEALRFMKRIKDLGLHPNIVVFNSLVKGFVDTMDRDGVDQVLKLMEEFGVKPDVITFSTIMNAWSTAGFMEKCRQIFDDMVKAGIKPDTHAYSILAKGYVRAQEVEKAEELLAAMMKSGVRPNVVIFTTIMSGWCSSGRMEHAIRVFDKMCEHGISPNLKTFETLIWGFGETKLPWKAEEILQIMKEFGVQPEKSTISLVADAWRAIGLTKEANRMLGAMRSKEKTNQVETEEIPSVVTSDQKGSAVSATRKGRLVLRDGDFSLDISSLAAKSMNLSQTCKFGERLPIICRKQSQGQLGVYGQFAQQCTVVFLN >ONI31439 pep chromosome:Prunus_persica_NCBIv2:G1:30337242:30339113:-1 gene:PRUPE_1G313200 transcript:ONI31439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIDSLCELENLSANHHEIMALSNGMSFSDHQRQTNHLPLNFIHDNIIQSFSHSDDPNHDVLVAHSTSLTGDFAQESEKGKPLGGRKRKRNKIDKDGEKLKEVIHVRAKRGQATDSHSLAERVRREKINERLRCLQNLVPGCYKTMGMAVMLDVVISYVQSLQNQIEFLSMKLSAASVYYDFNAPGVDALDTMQQLGTNNAYGEVQEEMVRSGGYGGGLSNYNNNNSTWPI >ONI31440 pep chromosome:Prunus_persica_NCBIv2:G1:30337492:30338868:-1 gene:PRUPE_1G313200 transcript:ONI31440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIDSLCELENLSANHHEIMALSNGMSFSDHQRQTNHLPLNFIHDNIIQSFSHSDDPNHDVLVAHSTSLTGDFAQESEKGKPLGGRKRKRNKIDKDGEKLKEVIHVRAKRGQATDSHSLAERVRREKINERLRCLQNLVPGCYKTMGMAVMLDVVISYVQSLQNQIEFLSMKLSAASVYYDFNAPGVDALDTMQGQIMHMGRYKKRW >ONI35268 pep chromosome:Prunus_persica_NCBIv2:G1:43108368:43109983:1 gene:PRUPE_1G526500 transcript:ONI35268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKSRAKAFTKNKSFSHLTILISIGLFSLFLILIRFHAQASPFGFTSLSTSSASWDFFKKWRGTEMDANLSSKLRDSVTFLPLKDIRFAKTAVEGNTWFMNSLSDTYEENESQYLYFHLEASKGRLLCLKGNDKRDGTKNSYALAWPEGLPNSTTLLKGLTFVSDAFYDYKNLWHGLTAMFPFVGWYFFHWGELRDQMGWWIQNVMQASFGQVPVEAVVMRHNVGKIGKHRKLQVCDLLRCKAREFCGINPAGRRKEVNVRGQPSIRLTLLMTRGSRSFKDPTAGINVFSRQCAMVDRCMLEVVQSEDLNFSNVMPNTDILASPHGAQITNMLFMDRNSSTMEFFSKGWLKLARVGQNVYHWMADQSGMKHRCAWWDPHTKKECPDPTKQLECFLLYKDSQLGHNETYFAEWARTVLKQVRTSKQEQTIQ >ONI33186 pep chromosome:Prunus_persica_NCBIv2:G1:35862535:35864348:1 gene:PRUPE_1G410200 transcript:ONI33186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGISCRDSLGSLCSFHLSFCESFSSLLCESFSSLLYESFICIVMAWFFQTLSLFYYSKFAILVGMPIPEYLISCLICKISHQPTERG >ONI36300 pep chromosome:Prunus_persica_NCBIv2:G1:47164804:47166879:1 gene:PRUPE_1G579700 transcript:ONI36300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYSKIMRHSQNSRSIDFSDLVSFSPTQKSNTTTNPTKKTQETNLQIKKNSTTQDQKGDEDDEQQGNSVGESFGSVVALKRNNSISSSSSLAASGFQSAFKGAFSMRRSSSVSERYCRIHDQSTTTLTSSPIDDDEDQAAAWGTTRSMKKKHKGGKILKACKRFFGL >ONI26506 pep chromosome:Prunus_persica_NCBIv2:G1:2103121:2104587:1 gene:PRUPE_1G029400 transcript:ONI26506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDTQSNTRDHISVPIVDGGIGLEALENTMRAKLCPGSPSWDAKCCIFRVPQVLKRHKREAYEPDFVSIGPFHHGGTQFQHMENVKQWYLNNLLSCRTNVSLKDLIDCVFQLEKSTRGFYAEPLDHLSQNDLVEMMILDGCFVIELFRKFVVRQTSDEKHVDGNDPIFRMDCMFQYLCHDLLLLENQLPWFVLQHLYNLTLDPEPDKYSPSLTILMLTAFTSQKPLNHNCDSYLGIMNIEFEKGVFRYFGYKRGTFKIPHLSIGELTDPLFRNLIAFEQCYHHHSHEITSYAFLVNKLVASSKDMEILCEKRIIDNWLSAEDGANYFSKLCNDTVLKKFYYDELCAQVKMHYQIKWYRWLEKLNRDYFANPWSAISLIAAAILLALTVVQTVYTIHN >ONI27105 pep chromosome:Prunus_persica_NCBIv2:G1:4895489:4898720:-1 gene:PRUPE_1G068800 transcript:ONI27105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNSTSKPTSPALFFFLGGIVMLIILLVLIFVFRKLIKPEELKKLVARARRQPESKDLFSGNLRTISYFDFRTLKMATKNFHPGNLLGVGGFGPVYRGKLGDGRLIAAKKLCLDKSQQGESEFLTEVKLITSVQHRNLVRLIGCCSDGPQRLLVYEYMKNRSLDLIVYGKSDRFLNWSTRFQIIVGIARGLQYLHEDSPLRIIHRDIKASNILLDEKYQPKIGDFGLARFFPEDQAYLSTTFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISGRKNTDLTLPSEMQYLPEYAWKLFETSNVIELVDPKLHENGFVERDVLQAIQVAFLCLQPHANLRPPMSEVVAMLTCKVEMIGTPMKPAFLARRRTKDQNLSWDTISEVFPSPFQSESTSLPKPPT >ONI30161 pep chromosome:Prunus_persica_NCBIv2:G1:24837810:24840958:-1 gene:PRUPE_1G234400 transcript:ONI30161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKQILSKLPRKSSKNSESRDGSHTMYSNPPTSSRSSDFGISKSGNLVTSFPAGNPVSDVGKSYGNKNVRGANLKPNGFVLSSSYEALPGFRDVPNSEKQSLFIKKLNLCCVVFDFTDPTKHLKEKEIKRQTLLELVDYVTSANGKFTETVMQEIAKMVSTNLFRSFTPQPRENKLVEGFDLEEDEPSMDPAWPHLQLVYEFLLRFVASPETDAKLAKRYVDHSFILKLLDLFDSEDPREREYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETEKHNGISELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCLAMYHQQLSYSIIQFVEKDCKLADTVIRGLLKYWPITNSSKEVLFLSELEEVLEATQPSEFQRCMVPLFRQIAHCLNSSHFQVAERALFLWNNDHIENLIRQNRKVILPIIFPALEKNARNHWNQAVHSLTLNVHKIFQDLDPELYKECLLQFEEDESKEEEVKARRDATWKRLEEIAAKKAASNEPVLVFGKAPPRSS >ONI30162 pep chromosome:Prunus_persica_NCBIv2:G1:24837512:24842025:-1 gene:PRUPE_1G234400 transcript:ONI30162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKQILSKLPRKSSKNSESRDGSHTMYSNPPTSSRSSDFGISKSGNLVTSFPAGNPVSDVGKSYGNKNVRGANLKPNGFVLSSSYEALPGFRDVPNSEKQSLFIKKLNLCCVVFDFTDPTKHLKEKEIKRQTLLELVDYVTSANGKFTETVMQEIAKMVSTNLFRSFTPQPRENKLVEGFDLEEDEPSMDPAWPHLQLVYEFLLRFVASPETDAKLAKRYVDHSFILKLLDLFDSEDPREREYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETEKHNGISELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCLAMYHQQLSYSIIQFVEKDCKLADTVIRGLLKYWPITNSSKEVLFLSELEEVLEATQPSEFQRCMVPLFRQIAHCLNSSHFQVAERALFLWNNDHIENLIRQNRKVILPIIFPALEKNARNHWNQAVHSLTLNVHKIFQDLDPELYKECLLQFEEDESKEEEVKARRDATWKRLEEIAAKKAASNEPVLVFGKAPPRSS >ONI30160 pep chromosome:Prunus_persica_NCBIv2:G1:24837500:24842025:-1 gene:PRUPE_1G234400 transcript:ONI30160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKQILSKLPRKSSKNSESRDGSHTMYSNPPTSSRSSDFGISKSGNLVTSFPAGNPVSDVGKSYGNKNVRGANLKPNGFVLSSSYEALPGFRDVPNSEKQSLFIKKLNLCCVVFDFTDPTKHLKEKEIKRQTLLELVDYVTSANGKFTETVMQEIAKMVSTNLFRSFTPQPRENKLVEGFDLEEDEPSMDPAWPHLQLVYEFLLRFVASPETDAKLAKRYVDHSFILKLLDLFDSEDPREREYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETEKHNGISELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCLAMYHQQLSYSIIQFVEKDCKLADTVIRGLLKYWPITNSSKEVLFLSELEEVLEATQPSEFQRCMVPLFRQIAHCLNSSHFQVAERALFLWNNDHIENLIRQNRKVILPIIFPALEKNARNHWNQAVHSLTLNVHKIFQDLDPELYKECLLQFEEDESKEEEVKARRDATWKRLEEIAAKKAASNEPVLVFGKAPPRSS >ONI32538 pep chromosome:Prunus_persica_NCBIv2:G1:33861278:33862889:-1 gene:PRUPE_1G371500 transcript:ONI32538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFCLGGRDQAPTSSSKQEGRGGGGGDQERVEGGGGNLYLYRSSNEGQAEIYNKGFEIWPSQYHHPHQNLNYYSFGVDPSYNRRHLHNDDHDHNHNDVVSADDLSSGLRLAVMRQGGGGGLGGSSSSGMNCQDCGNQAKKDCPHMRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQEQFSGLQQNQQQQEQQQQQLQFRGDNPKRQRESQGGAGSLACVRLPSNTSGLELAQFPSEVSSPAVFRCVRVSAMDDADDQYAYQTAVNIGGHVFKGLLYDQGPDGQYYPSSSTGGGGESSSGGRHDHQEGGGAHPHHQQHNLVTVAATAGTTSHGGNPSTTLLDPSLFPTPLNAFMAGTQIFPPPRS >ONI32537 pep chromosome:Prunus_persica_NCBIv2:G1:33860982:33863529:-1 gene:PRUPE_1G371500 transcript:ONI32537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFCLGGRDQAPTSSSKQEGRGGGGGDQERVEGGGGNLYLYRSSNEGQAEIYNKGFEIWPSQYHHPHQNLNYYSFGVDPSYNRRHLHNDDHDHNHNDVVSADDLSSGLRLAVMRQGGGGGLGGSSSSGMNCQDCGNQAKKDCPHMRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQEQFSGLQQNQQQQEQQQQQLQFRGDNPKRQRESQGGAGSLACVRLPSNTSGLELAQFPSEVSSPAVFRCVRVSAMDDADDQYAYQTAVNIGGHVFKGLLYDQGPDGQYYPSSSTGGGGESSSGGRHDHQEGGGAHPHHQQHNLVTVAATAGTTSHGGNPSTTLLDPSLFPTPLNAFMAEEEEGLGSGGGEDDYD >ONI29743 pep chromosome:Prunus_persica_NCBIv2:G1:22593871:22594273:1 gene:PRUPE_1G212600 transcript:ONI29743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITIHISCIYIRIQNVFDANWKPLGGERYTQRTKPRTQGNKITRERERERERVRRSEFLTSLVDQIHDRRKYFRFTLICSLEVSV >ONI27557 pep chromosome:Prunus_persica_NCBIv2:G1:7362088:7365378:1 gene:PRUPE_1G094600 transcript:ONI27557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTNQKHLLSFFFILSLAGLGSIQLSMAKEVIRVGVVLDLNSSVGAIAKSCMTMALSDFYAKHAHYRTRLDLRTRDSADDIVTAASEASYMMKKEKVQAIIGPQSSAEAKFVVELGRKAKVPIISFSATSPSLSPSRSPFFVRTAFDDSAQVKAIAAIIEAYSWLEVVLVYEDTDYGNDLIPYLVDAIQEVGARVPYRSVIPPSSNDAEILREFGRLKSTSTRLFLVHMTASLGSKFFILARKIGMMSEGYAWIVTEGLSTLLDPVSSEAMDSMEGVLGVRPHIPMTKYLEDFQSRWKQPNKMTAGLNLFGLWAYDTVWALAMAVEKVGTTSSSSMKHNTSKVHNLASLETSNMGKNLLETIPSSKFQSLSGNFQLVKGQLEPSTFEIFNVIGNKERIIGYWIDQQKGLSRQLKYDKSEAEKSDVNRRLKQPIWPGDTTDQPATKKLRIGVPIKEGFTEFLRWENKNISGFAAEVFNAALAKLPFPLPHYLLNFSGTYDDLLYQIKEGKYDAVVGDTTIVANRSLYVDFTLPYSESGVSMVVLVENNERDNIWIFLKPLSLDLWLTTGAAFIFTGIVIWALEHRVNSEFRGPPQQQLGVILSFTFSTLVFAHREKVVNNWSRLVLIIWVFVVLILTQSYTASLASMLTVQRLQPVFTDIREIKRNGYNIGYQKNSFIKGFLKENIGFEESKLKAYVTVEDYNHALSKGTNNGGVAAIFDEIPYLKLFIAQNCSKYTMVGPTYKTDGFGFAFPRGSPLVSYMSRAILNVTQDKSKMDSIEEKYFRNQTICDDQSAKISSDGRSLHVYSFGGLFIIAGVVSMFSLLMYMYRFLRSQWPTLRTTIHSENSFRWKMVELAKHFDRKDLTSHPFTRRTSRVHAMDTPDDSAIGGLHDANDMQNNSAVENNIDVNENE >ONI30725 pep chromosome:Prunus_persica_NCBIv2:G1:27671717:27673225:-1 gene:PRUPE_1G269500 transcript:ONI30725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRYLSLQSELVSASGFVTGADKMLCSERILTASLTDASAPISITADNNVLPGKMKQEFLQMQMLKPLAANEVVFSWTGWSRSRHRSLKSYIFPRSLLVLVVVPYMR >ONI31733 pep chromosome:Prunus_persica_NCBIv2:G1:31092407:31095627:-1 gene:PRUPE_1G327100 transcript:ONI31733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVMQIPWSSRRSHCMMGMTKLWFSLSLQPLASILQFSSLTQTQNPSNPPIALTKQVFTTLLQSCSSYPNHLKQIHALILTTGLSIKNSLTTQLLTNLTLLRDMSYARQLFDQMHKPRVFLWNTLIKAYVKNGIFTEAAFVYRQMHLLGVRPDQFTYTFVVKACAELPELWAGSAVLAHVVKYGLEFVAMVRTELILMFAKFGELGMADFLFETMVERDLIAWNAFIAACVQNGNAGKALALFRQMDVAGIKHDAVSVVSAFSACGQLGCLENGQEIYGIMRKYGIVCNIIVANAQLDMYVKCGSIDMAEALFEDMPQRNVISWSTMIIGYSINGESEKALNMFSRMQKHGVQPNHVTYLGVLCACSHAGLVNEGKAYFSHMVQSGNKNIRPKIEHYACMVDLLGRSGHLEEAYNFIRSMPIEPDSGVWGALLGACTIHQNVELGQHAADLLFEEAPDIGSYHVLMSNIYAAAGRWDFVDKVRLRMRKRGVKKIAAYSSVECNGKFHIFYGGDRLHPESSEIYEKLEDLLREVKSIGYIPNTSSVFHDVDMEEKEATLNSHSEKLAVAFSLINLRPECPIRVVKNLRICDDCHSFCKFVSKTTKREIVMRDKIRFHHFRNGDCSCNNFW >ONI31730 pep chromosome:Prunus_persica_NCBIv2:G1:31092412:31095627:-1 gene:PRUPE_1G327100 transcript:ONI31730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVMQIPWSSRRSHCMMGMTKLWFSLSLQPLASILQFSSLTQTQNPSNPPIALTKQVFTTLLQSCSSYPNHLKQIHALILTTGLSIKNSLTTQLLTNLTLLRDMSYARQLFDQMHKPRVFLWNTLIKAYVKNGIFTEAAFVYRQMHLLGVRPDQFTYTFVVKACAELPELWAGSAVLAHVVKYGLEFVAMVRTELILMFAKFGELGMADFLFETMVERDLIAWNAFIAACVQNGNAGKALALFRQMDVAGIKHDAVSVVSAFSACGQLGCLENGQEIYGIMRKYGIVCNIIVANAQLDMYVKCGSIDMAEALFEDMPQRNVISWSTMIIGYSINGESEKALNMFSRMQKHGVQPNHVTYLGVLCACSHAGLVNEGKAYFSHMVQSGNKNIRPKIEHYACMVDLLGRSGHLEEAYNFIRSMPIEPDSGVWGALLGACTIHQNVELGQHAADLLFEEAPDIGSYHVLMSNIYAAAGRWDFVDKVRLRMRKRGVKKIAAYSSVECNGKFHIFYGGDRLHPESSEIYEKLEDLLREVKSIGYIPNTSSVFHDVDMEEKEATLNSHSEKLAVAFSLINLRPECPIRVVKNLRICDDCHSFCKFVSKTTKREIVMRDKIRFHHFRNGDCSCNNFW >ONI31732 pep chromosome:Prunus_persica_NCBIv2:G1:31092407:31095629:-1 gene:PRUPE_1G327100 transcript:ONI31732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVMQIPWSSRRSHCMMGMTKLWFSLSLQPLASILQFSSLTQTQNPSNPPIALTKQVFTTLLQSCSSYPNHLKQIHALILTTGLSIKNSLTTQLLTNLTLLRDMSYARQLFDQMHKPRVFLWNTLIKAYVKNGIFTEAAFVYRQMHLLGVRPDQFTYTFVVKACAELPELWAGSAVLAHVVKYGLEFVAMVRTELILMFAKFGELGMADFLFETMVERDLIAWNAFIAACVQNGNAGKALALFRQMDVAGIKHDAVSVVSAFSACGQLGCLENGQEIYGIMRKYGIVCNIIVANAQLDMYVKCGSIDMAEALFEDMPQRNVISWSTMIIGYSINGESEKALNMFSRMQKHGVQPNHVTYLGVLCACSHAGLVNEGKAYFSHMVQSGNKNIRPKIEHYACMVDLLGRSGHLEEAYNFIRSMPIEPDSGVWGALLGACTIHQNVELGQHAADLLFEEAPDIGSYHVLMSNIYAAAGRWDFVDKVRLRMRKRGVKKIAAYSSVECNGKFHIFYGGDRLHPESSEIYEKLEDLLREVKSIGYIPNTSSVFHDVDMEEKEATLNSHSEKLAVAFSLINLRPECPIRVVKNLRICDDCHSFCKFVSKTTKREIVMRDKIRFHHFRNGDCSCNNFW >ONI31731 pep chromosome:Prunus_persica_NCBIv2:G1:31092410:31095627:-1 gene:PRUPE_1G327100 transcript:ONI31731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVMQIPWSSRRSHCMMGMTKLWFSLSLQPLASILQFSSLTQTQNPSNPPIALTKQVFTTLLQSCSSYPNHLKQIHALILTTGLSIKNSLTTQLLTNLTLLRDMSYARQLFDQMHKPRVFLWNTLIKAYVKNGIFTEAAFVYRQMHLLGVRPDQFTYTFVVKACAELPELWAGSAVLAHVVKYGLEFVAMVRTELILMFAKFGELGMADFLFETMVERDLIAWNAFIAACVQNGNAGKALALFRQMDVAGIKHDAVSVVSAFSACGQLGCLENGQEIYGIMRKYGIVCNIIVANAQLDMYVKCGSIDMAEALFEDMPQRNVISWSTMIIGYSINGESEKALNMFSRMQKHGVQPNHVTYLGVLCACSHAGLVNEGKAYFSHMVQSGNKNIRPKIEHYACMVDLLGRSGHLEEAYNFIRSMPIEPDSGVWGALLGACTIHQNVELGQHAADLLFEEAPDIGSYHVLMSNIYAAAGRWDFVDKVRLRMRKRGVKKIAAYSSVECNGKFHIFYGGDRLHPESSEIYEKLEDLLREVKSIGYIPNTSSVFHDVDMEEKEATLNSHSEKLAVAFSLINLRPECPIRVVKNLRICDDCHSFCKFVSKTTKREIVMRDKIRFHHFRNGDCSCNNFW >ONI31729 pep chromosome:Prunus_persica_NCBIv2:G1:31093674:31095566:-1 gene:PRUPE_1G327100 transcript:ONI31729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVMQIPWSSRRSHCMMGMTKLWFSLSLQPLASILQFSSLTQTQNPSNPPIALTKQVFTTLLQSCSSYPNHLKQIHALILTTGLSIKNSLTTQLLTNLTLLRDMSYARQLFDQMHKPRVFLWNTLIKAYVKNGIFTEAAFVYRQMHLLGVRPDQFTYTFVVKACAELPELWAGSAVLAHVVKYGLEFVAMVRTELILMFAKFGELGMADFLFETMVERDLIAWNAFIAACVQNGNAGKALALFRQMDVAGIKHDAVSVVSAFSACGQLGCLENGQEIYGIMRKYGIVCNIIVANAQLDMYVKCGSIDMAEALFEDMPQRNVISWSTMIIGYSINGESEKALNMFSRMQKHGVQPNHVTYLGVLCACSHAGLVNEGKAYFSHMVQSGNKNIRPKIEHYACMVDLLGRSGHLEEAYNFIRSMPIEPDSGVWGALLGACTIHQNVELGQHAADLLFEEAPDIGSYHVLMSNIYAAAGRWDFVDKVRLRMRKRGVKKIAAYSSVECNGKFHIFYGGDRLHPESSEIYEKLEDLLREVKSIGYIPNTSSVFHDVDMEEKEATLNSHSEKLAVAFSLINLRPECPIRVVKNLRICDDCHSFCKFVSKTTKREIVMRDKIRFHHFRNGDCSCNNFW >ONI31734 pep chromosome:Prunus_persica_NCBIv2:G1:31092409:31095627:-1 gene:PRUPE_1G327100 transcript:ONI31734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVMQIPWSSRRSHCMMGMTKLWFSLSLQPLASILQFSSLTQTQNPSNPPIALTKQVFTTLLQSCSSYPNHLKQIHALILTTGLSIKNSLTTQLLTNLTLLRDMSYARQLFDQMHKPRVFLWNTLIKAYVKNGIFTEAAFVYRQMHLLGVRPDQFTYTFVVKACAELPELWAGSAVLAHVVKYGLEFVAMVRTELILMFAKFGELGMADFLFETMVERDLIAWNAFIAACVQNGNAGKALALFRQMDVAGIKHDAVSVVSAFSACGQLGCLENGQEIYGIMRKYGIVCNIIVANAQLDMYVKCGSIDMAEALFEDMPQRNVISWSTMIIGYSINGESEKALNMFSRMQKHGVQPNHVTYLGVLCACSHAGLVNEGKAYFSHMVQSGNKNIRPKIEHYACMVDLLGRSGHLEEAYNFIRSMPIEPDSGVWGALLGACTIHQNVELGQHAADLLFEEAPDIGSYHVLMSNIYAAAGRWDFVDKVRLRMRKRGVKKIAAYSSVECNGKFHIFYGGDRLHPESSEIYEKLEDLLREVKSIGYIPNTSSVFHDVDMEEKEATLNSHSEKLAVAFSLINLRPECPIRVVKNLRICDDCHSFCKFVSKTTKREIVMRDKIRFHHFRNGDCSCNNFW >ONI28902 pep chromosome:Prunus_persica_NCBIv2:G1:13650000:13651106:-1 gene:PRUPE_1G167600 transcript:ONI28902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTQVCHSHPPTDRGGPPSQEDEEEEHHQKPYILHLPDHITMEIFCKIPTKTLFHCKRVCKSWRSCLSDPEFTKHLFSRTRPCLVVSGYTIPEPAGHFLVYLDTDLSANDAVFRLSTRVGRDCSTFIRGSCNGFIFYDIERFDGSHQLYLSNPITGESLTVPQPTKPCFKPILGFGFAPIAEVYKVVWIDENQDVNIFTVGSGIWREIGSLPCTPLRHTDFYLNGFLYWIARPRNTIFVSAFDVERERFQDLVLPRSWWVDEEVFKYSLGDLQGCLAFFVIRICDVSVWVMRESWTKELEIRDPNSFIGAPILRFTEERKVLMLRSDKLVSYNLATSGNVEVELDGFPSFVKNAWNLTPSFLSLQDI >ONI30574 pep chromosome:Prunus_persica_NCBIv2:G1:26898551:26901045:1 gene:PRUPE_1G259700 transcript:ONI30574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTRLMRLLPKLTTTRPSLSSSSFPLKCLFSSTSSSSPSSSNVVSSTAADAVDLGDEEEEDDVPIYSNPTADSAVKPVIPTLLQPRVVVYDGVCHLCHGGVKWVIKADKYRKIKFCCLQSVTAEPYLRLCGLDREDVLRRFLFVEGPGLYHQGSTAALKVLSYLPLPYSALSAFRVIPTPLREIVYDYVAKRRYDIFGKSEDCLVLQEKELLERFIDREEIMYRARPDF >ONI35001 pep chromosome:Prunus_persica_NCBIv2:G1:41982764:41983941:1 gene:PRUPE_1G509400 transcript:ONI35001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVGYGFAEAYVLRGLHKKKLKMEQEEERAKLGRAESEIGQPSGCLFRVMKKVHPSNAQSQRACSAETEETGEVGALNQKVR >ONI32146 pep chromosome:Prunus_persica_NCBIv2:G1:32672228:32680229:-1 gene:PRUPE_1G351200 transcript:ONI32146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMVMRIPSHRASRGVSFVNLVQQLVYLQAVLLSSIGASASITVLASSSSSSSVAAAAAVRMNQSEPPPPPPMAKKVEHKMEMFGDVRVDNYYWLRDNSRSNPDVLSYLQQENAYTQFLMSGTKKFEDELYAEMRGRIKEDDISAPERKGPYHYYQRTLKGKEYVQHCRRLIPNFEAEAPLSVYDTMPTGPDAPTEHVILDENIKAQNHDYYSIAAFKVSPNNKLAAYAEDTKGNEIYTIHVIDAETGAPVGLPLVNATSNLAWAGDEALVYITRDETLRPDKAWLHKLGTEQSSDSCLYHEKDDMFSLDLQTSESKKFLFVGSGSKITRFSFYLNIAKLEDGLVVLTPRVNGINTFVSHRGNHFFIMRRSDKCFNSEVIACPLENTSETTVLLPHRESVKIQDMQLFSDHLVVNEREEGLPKVTIYHLPDVGQPLKSLDGGQAVNFSDPSYSVDLLGSEFSSRILRFSYSSMKTPPSVYDYDMKTGISVLKKIETVLGGFEASNYVTERKWANASDGTQIPISIVYRKDLIKLDGSDPLLLYGYGSYEVCIDPSFKASRLSLLDHGLIYAIAHIRGGGEMGRQWYENGKLLKKKNTFTDFIACAEYLIEKKYCSKARLCMDGSSAGGLLIGAVLNMRPDLFKAAFAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVKVQNYPNILVTAGLNDPRVMYSEPAKFVAKLRDMKTDKNIILFKCEFGAGHSSKSGRFEKLQEDAFIYTFIMKALDMVPAQGCGLN >ONI32147 pep chromosome:Prunus_persica_NCBIv2:G1:32675702:32680229:-1 gene:PRUPE_1G351200 transcript:ONI32147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMVMRIPSHRASRGVSFVNLVQQLVYLQAVLLSSIGASASITVLASSSSSSSVAAAAAVRMNQSEPPPPPPMAKKVEHKMEMFGDVRVDNYYWLRDNSRSNPDVLSYLQQENAYTQFLMSGTKKFEDELYAEMRGRIKEDDISAPERKGPYHYYQRTLKGKEYVQHCRRLIPNFEAEAPLSVYDTMPTGPDAPTEHVILDENIKAQNHDYYSIAAFKVSPNNKLAAYAEDTKGNEIYTIHVIDAETGAPVGLPLVNATSNLAWAGDEALVYITRDETLRPDKAWLHKLGTEQSSDSCLYHEKDDMFSLDLQTSESKKFLFVGSGSKITRFSFYLNIAKLEDGLVVLTPRVNGINTFVSHRGNHFFIMRRSDKCFNSEVIACPLENTSETTVLLPHRESVKIQDMQLFSDHLVVNEREEGLPKVTIYHLPDVGQPLKSLDGGQAVNFSDPSYSVDLLGSEFSSRILRFSYSSMKTPPSVYDYDMKTGISVLKKIETVLGGFEASNYVTERKWANASDGTQIPISIVYRKDLIKLDGSDPLLLYGYGSYESFPAL >ONI29607 pep chromosome:Prunus_persica_NCBIv2:G1:20597606:20598520:-1 gene:PRUPE_1G205000 transcript:ONI29607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHPLVLQIHPPQPHLQRSSSTELGSELANSSLTPPPANPMATNLEQLVLQIGQSSTAPPQHEGILNLKVGKPILLHINSLTPPPNPPPPPPKPENQNHDLAAPSDDPKQQLNAIVTQSNPAVDKMKINKNQKLSTAGSLANLLPTGTVLAFQALTPSISYNGRCHTFNQYLVAFVILVCSVICFVSSFIDSLPWEGKIYYGFATSKGLRVLNDDDHEIDKNDDIQQELKKLHVKRKDFIHAFVSVFVFLIFAFSSSEVQGCYFPKSRELEYSLVIYLPLVVGLFSSFLFSIFPTKRRGIGCV >ONI35121 pep chromosome:Prunus_persica_NCBIv2:G1:42525688:42527063:-1 gene:PRUPE_1G517300 transcript:ONI35121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDAVFRASLVWLAAVIVVVGVCTQSFKKMMYTYVVGVLGISGLLLPDWGYFDRDFSRWTSPVSAEERASEVAQRSGLIRRFRKNPMRLVAYATVYGFALHKWWMFISS >ONI35120 pep chromosome:Prunus_persica_NCBIv2:G1:42525163:42527063:-1 gene:PRUPE_1G517300 transcript:ONI35120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDAVFRASLVWLAAVIVVVGVCTQSFKKMMYTYVVGVLGISGLLLPDWGYFDRDFSRWTSPVSAEERASEVAQRSGLIRRFRKNPMRLVAYATVYGFALHKWWMFISS >ONI30661 pep chromosome:Prunus_persica_NCBIv2:G1:27349117:27350767:-1 gene:PRUPE_1G265300 transcript:ONI30661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLKQIHAHTLRATSTTNPHTLFLHSRILHFSSLADINYAFRVFNQIENPNSFMWNTLLRACARSSQREEQAMLLYCRMLADGVAMPDQYTFPFVLRACAYLFALSEGKQAHANAVKLGFDSDVYINNSLIHLYASCGRLELAKKVFEKMRERSVVSWNVMIDSFVRAGEFETALKLFGEMQKMFEPDGYTMQSIINACAGLGALYFGMWAHAYVLRKCDGGLANDVLINSSLIDMYFKCGSLEIAQQVFDRMPKRDVSTWNYMILGFAMHGQAEAALKYFERLVKRETFAPNSITFVGVLSACNHRGMVNEGRKFFEMMVNKYRIEPRLEHYGCLADLLARAGFIYEALNLVTTMPMKPDIVIWRSLLDACSKQHASVELSEEVARQILESTGGLGGVSSGVYVLLSRVYASASRWNDVGSIRKLMTDDGVVKEPGCSLIEIDGVTHEFFAGDISHPRSREIYRVLDVERIAIAFGLLSLNPGVPIRIFKNLRICNDCHKVTKLICKIFNMEIIMRDRARFHHFRDGICSCMDYW >ONI33760 pep chromosome:Prunus_persica_NCBIv2:G1:37679038:37683066:-1 gene:PRUPE_1G444900 transcript:ONI33760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTVLDHQTQNELCESGSEYAPRNVEDGDGEVDDDGKSKRTGTVWTACAHIITTSIGAGVLSLAWAMSQLGWVAGICTMLFCTLAALYAATLLADCYRFPDPVTGKRNYSYMEAVKAYLGGTILYKFCGWMVYLNLATIGVGFTITTTKSMVAIQKSHCHRKNGGDAPCMFSNIPHVVAFGIVEILLSQLPNFHKISWLSKLAAITSFGYAFIGIGLSLSKIITGHGGKPTVAGVDLSSSEKIWRMFVAAGDIAFACSYALVLFDIQDTLKSSPPENKVMKKAVSIGGLAMIIVFLMCGSLGYAAFGDKTPENLLAGFGDDMAFWLVDMANVFIVLHIVGAYQVLCQPVFRIVELLARRRWKRSKFINKETPIRIRKMSFNINMFRLSWRTAYGVVVTFVAIALPFFSDMLALLGAIGYWPLIIYIPLEMHIEQKKIGKLTIRWFGLHLLSFLCLLLSLAAASGAIRGLYKSLNTYKLFQYKE >ONI30815 pep chromosome:Prunus_persica_NCBIv2:G1:28068604:28071937:-1 gene:PRUPE_1G274900 transcript:ONI30815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLLRPMAAQQPSEPPEVSSVPEPEPEPAGPDPVPDTADPSSSSSAEGAEEEEPLIQTAECRICQDEDSISNLESPCACCGSLKYAHRKCVQHWCNEKGDITCEICHQTYQPGYTAPPRPPSDETTIEIGGGWTLSGTPLSLDDPRILSITEAERQYLDTEYDEYSASSSSGAPFFRSAALILMALLILRHALRVTDSDNGDDTSAIFSLFLLRAAGFLLPAILWLGPSVSCSVDSKDRRLQHWQQHKLLLFFNLDNIWVYNLQ >ONI30814 pep chromosome:Prunus_persica_NCBIv2:G1:28068604:28072064:-1 gene:PRUPE_1G274900 transcript:ONI30814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLLRPMAAQQPSEPPEVSSVPEPEPEPAGPDPVPDTADPSSSSSAEGAEEEEPLIQTAECRICQDEDSISNLESPCACCGSLKYAHRKCVQHWCNEKGDITCEICHQTYQPGYTAPPRPPSDETTIEIGGGWTLSGTPLSLDDPRILSITEAERQYLDTEYDEYSASSSSGAPFFRSAALILMALLILRHALRVTDSDNGDDTSAIFSLFLLRAAGFLLPAILWLGPSVSCSVDSKDRRLQHWQQHKLLLFFNLDNIWVYNLQ >ONI30978 pep chromosome:Prunus_persica_NCBIv2:G1:28664611:28667001:-1 gene:PRUPE_1G285900 transcript:ONI30978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNGGKGREEEQDGMSVHSPCKAPPSSASSLPKEQSQVELELRLLEALEIYPPVKLKGIHRHFVLYGLMEFLRRSFDRHFSADEVLQLLERFYNLEMLKPDDEETDILNHEEDFSLPQSFFVKEEP >ONI36465 pep chromosome:Prunus_persica_NCBIv2:G1:47600693:47601488:1 gene:PRUPE_1G586300 transcript:ONI36465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPAIPLKIPSAEYSRVSYTIDGQAGIAHVSGTIDPDTLMKLLAQSGKHAELLRDDSGYKHQNGHGYGQSYYNNHDYGYYNPNGTGSYHATTYRYHHQLVPNYYEPKAPPLSFPQPPPWREIHPFYNPDTQYTIM >ONI31740 pep chromosome:Prunus_persica_NCBIv2:G1:31126753:31127365:1 gene:PRUPE_1G327600 transcript:ONI31740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGASNYKAETVEAEVEIKSNSGKLFKLISNQHHDVPKASSDNVHDVAVHEDGNVETLKEKVEIDEANKLVSLTALEGSHVLEKYKSCKIIFQVTPKSEGGLVKITLGYQRLNENDPPPHKYLRFLVNVIQDIDAHLLKE >ONI31739 pep chromosome:Prunus_persica_NCBIv2:G1:31126656:31127642:1 gene:PRUPE_1G327600 transcript:ONI31739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGASNYKAETVEAEVEIKSNSGKLFKLISNQHHDVPKASSDNVHDVAVHEGDWETSGSVKLWKYTLDGNVETLKEKVEIDEANKLVSLTALEGSHVLEKYKSCKIIFQVTPKSEGGLVKITLGYQRLNENDPPPHKYLRFLVNVIQDIDAHLLKE >ONI35772 pep chromosome:Prunus_persica_NCBIv2:G1:45277769:45279601:1 gene:PRUPE_1G554300 transcript:ONI35772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDTIVLYAAPGIGHIISMVELGKLILNRYTEKFSITILYTCGSVVDTPSIPAYIHRISLAHPSISFRQFPRVQNENTLLIGRSGAAILFDFIRRNDPHVRLALQEISKSSAIRAFIIDGFCSSSLPMAKELGIPTYYFYTSGAAALAAFLYFPKIGEQTTKSFKDLTDTVFEFPGFKSPLKASHMPEPMLDRDDPAYWDMLYFCSHLPKSNGIIVNTFEELEPPAVLKAISGGLCVPDAPTPPVFYIGPLIAEEKQSGDAAEGEVCLSWLDKQPSRSVVFLCFGSRGSFSGAQLKEMADGLEKSGQRFLWVVKKPPLDEKTKQVHGVHDFDLEGLLPEGFLERTKDRGLVVKSWAPQVAVLKKESVGGFVTHCGWNSVLEAVIAGVSMVAWPLYAEQHMNRSVLVKEMEMAIAVEQREEDGFVFGDELERRVRELMESEKGRELRERSRKMGEMALAAWGESGSSTRNLVNFVNSITLSFGLHK >ONI34724 pep chromosome:Prunus_persica_NCBIv2:G1:41108794:41112058:1 gene:PRUPE_1G495100 transcript:ONI34724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKVETISRLAQWRIENFGPCSYKKSDPFKLGIWNWHFSIEKNRYLYIRLFPEPSRVSKEQPPLARFVLRVSSSGPGRRPYISPVHERLLRTSEDFVWPVDSTFLGRFIIDVEFLELKICPLNGGEASPVWPADGLMQSVSTQSTLRCLSRMLDEGIHADVTINTADGTLRAHKAILSATSPVFQSMFNHDLKEKESSTIHIKDMSLESCMALLSYLYGTIKQENFWKHRLALLGAASKYDIADLKDACEESLLEDINSTNVLARLHEAWLYQLNMLKKGCLMYLFDFGKIYDVRDEVNDFFRHADRDLMMEMFQEVLTVWKPV >ONI26052 pep chromosome:Prunus_persica_NCBIv2:G1:210380:212855:1 gene:PRUPE_1G001600 transcript:ONI26052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIAVNNMSRKSKTIMHYHVIRRFTSSLSPALTISALNFKIKDLVVKGLYDQILRLYKQELHPFGLHANSFILPSIIKACSYAMSHHFGLQLHCLSLKSGCDSDPVVSNSLISMYAKFTIVEAARQVFDEMPERDTITWNSLINCYVQNGHLEEGLKVLKQMYLHGFMPKAELIASIVSVCARTRELRLGREIHGLVIVDGRIKESVFLSTALVDLYMRCHDSLMALHVFNQMEVKNEVSWTALISGCIANHSYDMAMECFQAMQVEGLKPNRVTVLAILPACAELGLIEHGKAIHAYTFRNGFDSDHHCSAALIHMYCKHGKALRPAKLIFERVPVKDVVVWSLIIGSYSQCGNNAKALKLFSQMRVEGIEPNSVTLLAIISACTSLSSLNLGCGVHSYVLKSGLSFDIFIGNALINMYAKCGCIKDARQIFEEMPRKDSVSWSTMIGSYGLHGHCKKALQLLDEMQERGIEPDAVTFLSVLSACNHAGLEEEGNKIFKRMIESNKIQLSVEHYACYINLLGRLGKLEDAYELVRSMPMKPSTKIWSSLVTACKVHGRLEKAESFAHQLVTTEPENAANYTLLSMVHAETGNWLGVEEVRRVMRIQGLRKCYGFSRV >ONI34914 pep chromosome:Prunus_persica_NCBIv2:G1:41769418:41775639:-1 gene:PRUPE_1G505700 transcript:ONI34914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVVDNGCSTWDLLRDFAPEGEGDSVQKRLVSSCCGDEEEGEVGEEGAVEAVVRETVPLSEECSFTTSTSNDDDSSGIITVSLNERFSPNGRLKLKITHWEKGDLLGSGSFGSVYEAISDCGCFIAVKEVSLLDKGSQGVQRVYQLEQEIALLSQFEHQNIVQYYGTAKDESNLHIFLELVAKGSVQKLYQKYCLTDSLVSEYTRQILQGLKYLHDRRVIHRDIKCANILVHANGSVKLADFGLAKTIQMNDIISCQGTAYWMAPEVVNRKHQGYGLPADIWSLGCTVLEMLTRRFPYHNLEWMQALFKIGKGEPPLVPDSLSKDAQDFIHRCLQVNPANRPTAAQLLNHPFLNRPLTTSSGSGSPYHHHGPS >ONI34915 pep chromosome:Prunus_persica_NCBIv2:G1:41769418:41775230:-1 gene:PRUPE_1G505700 transcript:ONI34915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVVDNGCSTWDLLRDFAPEGEGDSVQKRLVSSCCGDEEEGEVGEEGAVEAVVRETVPLSEECSFTTSTSNDDDSSGIITVSLNERFSPNGRLKLKITHWEKGDLLGSGSFGSVYEAISDCGCFIAVKEVSLLDKGSQGVQRVYQLEQEIALLSQFEHQNIVQYYGTAKDESNLHIFLELVAKGSVQKLYQKYCLTDSLVSEYTRQILQGLKYLHDRRVIHRDIKCANILVHANGSVKLADFGLAKTIQMNDIISCQGTAYWMAPEVVNRKHQGYGLPADIWSLGCTVLEMLTRRFPYHNLEWHHLFLCLNRCRHYLRLERESPLWFPILFRKMHKISSIDAYKLIQRIVLLLLSS >ONI34988 pep chromosome:Prunus_persica_NCBIv2:G1:41958050:41961910:-1 gene:PRUPE_1G509000 transcript:ONI34988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVKVKGVSSSCTLDSNGESHILQSELASDSQRNSSLNVQFTPEIEKKYVHHVYDAIAPHFSSTRFAKWPKVANFLSSLPSGSLVLDAGCGNGKYLGFNPDCFFIGCDISAPLIKICADRGHEVLVADAVDLPYRTGFGDAAISIAVLHHLSTQGRRKKAIEELIRVVKKGGLVLITVWAVEQEDKSLLAKWTPLTQKYGEEWIGPGSPRVRSPSSCTLESIPETEENGFGERKQDSGESSMQSLQEITSQTESYSGASTDVKNANNQQEYFVPWHLPYHRAEVSGASACALANGLAKKDDKKGAVVYNRYYHVFSEGELERFFDKSNWCVVLEKKL >ONI34987 pep chromosome:Prunus_persica_NCBIv2:G1:41958082:41960737:-1 gene:PRUPE_1G509000 transcript:ONI34987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCNVLRASRSFYLSSKAFHLPTVIIGSVSYSGIFSTMREVKVKGVSSSCTLDSNGESHILQSELASDSQRNSSLNVQFTPEIEKKYVHHVYDAIAPHFSSTRFAKWPKVANFLSSLPSGSLVLDAGCGNGKYLGFNPDCFFIGCDISAPLIKICADRGHEVLVADAVDLPYRTGFGDAAISIAVLHHLSTQGRRKKAIEELIRVVKKGGLVLITVWAVEQEDKSLLAKWTPLTQKYGEEWIGPGSPRVRSPSSCTLESIPETEENGFGERKQDSGESSMQSLQEITSQTESYSGASTDVKNANNQQEYFVPWHLPYHRAEVSGASACALANGLAKKDDKKGAVVYNRYYHVFSEGELERLVAGIDNAVIVDRFFDKSNWCVVLEKKL >ONI34989 pep chromosome:Prunus_persica_NCBIv2:G1:41958050:41961858:-1 gene:PRUPE_1G509000 transcript:ONI34989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVKVKGVSSSCTLDSNGESHILQSELASDSQRNSSLNVQFTPEIEKKYVHHVYDAIAPHFSSTRFAKWPKVANFLSSLPSGSLVLDAGCGNGKYLGFNPDCFFIGCDISAPLIKICADRGHEVLVADAVDLPYRTGFGDAAISIAVLHHLSTQGRRKKAIEELIRVVKKGGLVLITVWAVEQEDKSLLAKWTPLTQKYGEEWIGPGSPRVRSPSSCTLESIPETEENGFGERKQDSGESSMQSLQEITSQTESYSGASTDVKNANNQQEYFVPWHLPYHRAEVSGASACALANGLAKKDDKKGAVVYNRYYHVFSEGELERFFDKSNWCVVLEKKL >ONI34990 pep chromosome:Prunus_persica_NCBIv2:G1:41958370:41960596:-1 gene:PRUPE_1G509000 transcript:ONI34990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVKVKGVSSSCTLDSNGESHILQSELASDSQRNSSLNVQFTPEIEKKYVHHVYDAIAPHFSSTRFAKWPKVANFLSSLPSGSLVLDAGCGNGKYLGFNPDCFFIGCDISAPLIKICADRGHEVLVADAVDLPYRTGFGDAAISIAVLHHLSTQGRRKKAIEELIRVVKKGGLVLITVWAVEQEDKSLLAKWTPLTQKYGEEWIGPGSPRVRSPSSCTLESIPETEENGFGERKQDSGESSMQSLQEITSQTESYSGASTDVKNANNQQEYFVPWHLPYHRAEVSGASACALANGLAKKDDKKGAVVYNRYYHVFSEGELERLVAGIDNAVIVDRFFDKSNWCVVLEKKL >ONI34991 pep chromosome:Prunus_persica_NCBIv2:G1:41958370:41960596:-1 gene:PRUPE_1G509000 transcript:ONI34991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVKVKGVSSSCTLDSNGESHILQSELASDSQRNSSLNVQFTPEIEKKYVHHVYDAIAPHFSSTRFAKWPKVANFLSSLPSGSLVLDAGCGNGKYLGFNPDCFFIGCDISAPLIKICADRGHEVLVADAVDLPYRTGFGDAAISIAVLHHLSTQGRRKKAIEELIRVVKKGGLVLITVWAVEQEDKSLLAKWTPLTQKYGEEWIGPGSPRVRSPSSCTLESIPETEENGFGERKQDSGESSMQSLQEITSQTESYSGASTDVKNANNQQEYFVPWHLPYHRAEVSGASACALANGLAKKDDKKGAVVYNRYYHVFSEGELERLVAGIDNAVIVDRFFDKSNWCVVLEKKL >ONI26711 pep chromosome:Prunus_persica_NCBIv2:G1:2932078:2932933:1 gene:PRUPE_1G040900 transcript:ONI26711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLLASLLLFFLSLLQPSSSLFLPLPMYGYITTSWYHHIFLSKEGHDPVGNPESLCSMCNSVVQGGNGVLYLHCLASHLEDEGMTSQMAGQNPHPNTSSSPSQPLLPPPPSPVNLTQIPSTSASTSNPSNPLLGLNRKPLLPVQNQAPQRDQLALNNPNQAGPSSSSVIRPQRPHQEQGVRINNDGQVPQQNSPPVIQYMYMRRRRRGNAHQGAFSNQPAQDVIDVGSDFEEGSSDSEDIDLDFGI >ONI30201 pep chromosome:Prunus_persica_NCBIv2:G1:25197509:25199240:1 gene:PRUPE_1G237400 transcript:ONI30201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSLKLHSLFFKYHLRHQLQSLKQIQPDPNFGITSRPEEPAVPANPTFQDGVATKDIHVNPLSSLSLRIFLPDTVLPVPLKTAKPPKRGAAQSPLSSDDSDGAVYRGYSPDQLMGKRHRKLPIFLQFHGGGFVSGSNDTSSNDAFCRRMAKLCDVIVVAVGYRLAPESPYPAAFDDGVLVLKWVAKQANLATLRNARSRIFDSFGSSMVEPWLAAHGDPSRCVLLGVSCGANLADYVARKAVEAGNLLDPLKVVAQVLMYPFFIGSIPTKSEIKLANSYLYDKDTCMLAWKLFLTEEEFDLDHPAGNPLLPGTGPPLKSMPPTLTVVADHDWMRDRAIAYSEELRKANVDAPLLDYKDTVHEFATLDVLLHTPQAKACADDITIWVKKYISIRGHEFSY >ONI35448 pep chromosome:Prunus_persica_NCBIv2:G1:43824663:43825216:1 gene:PRUPE_1G536400 transcript:ONI35448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKHTKIPTRCPLVHQCPTKNTEYYLRTITTNPQLPAIQDELNNKGYPKSAQQLMPISMLKICPLDSLHMPINIAKIHSLVRDTLTLSKKPKSSKTKKKREKAMPSMLLCTPIQIRAHVGQ >ONI33151 pep chromosome:Prunus_persica_NCBIv2:G1:35757921:35764246:-1 gene:PRUPE_1G408200 transcript:ONI33151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDREEQEEEVLGSSLTMEKVAAAKQFIENHYRAQMKNIQERKERRWVLERKLASSDVPKEVQVNLIKDLERKETEFMRLKRHKICVDDFEPLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLKRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTESVAKFYIAQSVLAIESIQKHNYVHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCTTLPSLHENRTMDDENLTEPMDIDVCVPDADNRSSWKSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDARLTAEAKDLICRLLCDVEYRLGTGGAHQIKSHPWFKNIVWDKLYEMEAAFKPEVNGELDTQNFMKFDELEPPVSSRTGSGPSRKLQLTPKDLSFVGYTYKNFDAVKGLRQVFGDSRVDFTSERAAKEAELHMLASSGDPMLP >ONI31664 pep chromosome:Prunus_persica_NCBIv2:G1:30956210:30956985:1 gene:PRUPE_1G324500 transcript:ONI31664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVIPFWRRVVCASAMSFCVLLEQEEINFGFTKLCKGSRKLFSACLFFTSIYMILGFIGKPTRWWVVLVRPYSQPHRVGLFGV >ONI34418 pep chromosome:Prunus_persica_NCBIv2:G1:40187908:40189006:1 gene:PRUPE_1G481400 transcript:ONI34418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPTPFSLVCAILLCLPLTIIFTITSPTATTTTTIPTQISQSPKLTKTYQKINLISPPKSLPLDDDSLFRLAARVNSSPPCSDRPKIAFLFLTTTLLPFSPSPISGNASSTKLKNPFLYLPTRRLLAHELLNDPKNAMFACLSPSCILIQSFNFTYQTLSRSKKSFIEILDNEIWATRGEDAMLPQVKLEKFRIWSQFWILKRKHVRVVVGDHWLWSKFKLPCQHWDTCYREENYFPTLLNMRDPGKCVPVTLTHRGRFDGHPCTYEASEVGLDLITALRNDRVKYGVEKESSNGSDWSVMERRDPFLFTRKFPPDAIDR >ONI30039 pep chromosome:Prunus_persica_NCBIv2:G1:24075119:24076198:-1 gene:PRUPE_1G227500 transcript:ONI30039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMACTTERKTTPLHRNRWADELLPELFELILKKLASNVLDIIRFKAVCSSWNRAAARSYTSAPLPQYPWLMAPIPKSPPIDHESRCFLSLAENKVYKMGWNWKKAFHGDGASCLGLSHGWLAIKEVNTEKKTYNEYEEIVHLFNPISRERLMLPLGPKYSSTKRVVLSSDPSRNNNFVVVVIHEPMFEGKKVAFYQHGRGRDNAAAWTNLSHGSSCADMVFHNGHLFTLSIVHTIQVWDFRGTYDNNNYPTKIMNFEPSINGNVNSMAVDEWLVESMGELLVVGKERLGNDTRGVVKFFVYKLNIAAKTLEKVESLRDCALFLARNQSAMSFSLSTKELPRLKENSIYFHRFGSYA >ONI30868 pep chromosome:Prunus_persica_NCBIv2:G1:28270134:28271456:1 gene:PRUPE_1G278400 transcript:ONI30868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDAKAGGKGKGKQAAGGSDEAGSKGKGKSGKSSDGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLENGDKVPPAEFAKVAAEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFATPIGATSAPFKSTHGYHIILCEGRKN >ONI31294 pep chromosome:Prunus_persica_NCBIv2:G1:29829856:29832971:1 gene:PRUPE_1G304600 transcript:ONI31294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLAAARADNFYYPPEWTPKKGSLNKFHGQHALRERARKLDQGILIIRFEMPYHIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSACCKHEIVIQTDPQNCQYVIISGATQKVEEYDIVDAETVALPGDEERGKLADPFYRLEHQEEDLQKKKEAEPVLVRLQRVSDERHLDDYALNKALRAKLRSQKKRVAEEEAASRKMGLGIRLLPVAEEDVTAASHVKFASRFDKSRKDKRALINAASIFPGSSGTSTSSKKSLELQSKRRKISAAAASNLLTGGFKPSSWSQNAVSSGRHNGTLVTVRRS >ONI36154 pep chromosome:Prunus_persica_NCBIv2:G1:46663952:46667756:-1 gene:PRUPE_1G572400 transcript:ONI36154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKMPVVPNRKDFIDIILSRTQRQTPTVVHKGSAISSLRKFYMRKVKCTEQNFHEKLSTIIDGFPRLDDVHPFYGDLLHVLYNKDHYKLALGQVNTARNLIGKISNDYMKLLKYGDSLYRCKCLKVAALGRMCTVVKRIGPSLAYLEQIRQHISRLPSIDPNIHTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGQTDYKFLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLEGISEEDMKLVMEMKSEAMKTVIGLGGEATSDEGVLLTMSTLTEDGVISVKNTACERLLNQRVDLKMKSQKINDFVNRFHVAMPQPRDQKERPPCIPQSVPEAKAKQAGDKEKRNTEKDLENENGGAGVYSANLKKNYILANDEWKEDVMPEILDGHNVYDFVDPDIVHRLEELKREAEEGDDEFEMDDMELTPEEQKTLVEIRKKKSLLIQQHRMKKSTAESRPTVPRKFDKDRKFTSKRMGRQLSDLGLDARMAINRARSRSVPRRGRKRERSVDRGDPGFGDAMDMDVDTPNKKLRMISRSRSRSRSRPPSEVVPGEGFKDSSQKSQALRKAKKSVKKRNKDARCGEADRVIPTLKPKHLFSGKRSIGKTQRR >ONI36153 pep chromosome:Prunus_persica_NCBIv2:G1:46663952:46667872:-1 gene:PRUPE_1G572400 transcript:ONI36153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKMPVVPNRKDFIDIILSRTQRQTPTVVHKGSAISSLRKFYMRKVKCTEQNFHEKLSTIIDGFPRLDDVHPFYGDLLHVLYNKDHYKLALGQVNTARNLIGKISNDYMKLLKYGDSLYRCKCLKVAALGRMCTVVKRIGPSLAYLEQIRQHISRLPSIDPNIHTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGQTDYKFLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLEGISEEDMKLVMEMKSEAMKTVIGLGGEATSDEGVLLTMSTLTEDGVISVKNTACERLLNQRVDLKMKSQKINDFVNRFHVAMPQPRDQKERPPCIPQSVPEAKAKQAGDKEKRNTEKDLENENGGAGVYSANLKKNYILANDEWKEDVMPEILDGHNVYDFVDPDIVHRLEELKREAEEGDDEFEMDDMELTPEEQKTLVEIRKKKSLLIQQHRMKKSTAESRPTVPRKFDKDRKFTSKRMGRQLSDLGLDARMAINRARSRSVPRRGRKRERSVDRGDPGFGDAMDMDVDTPNKKLRMISRSRSRSRSRPPSEVVPGEGFKDSSQKSQALRKAKKSVKKRNKDARCGEADRVIPTLKPKHLFSGKRSIGKTQRR >ONI36155 pep chromosome:Prunus_persica_NCBIv2:G1:46663952:46667878:-1 gene:PRUPE_1G572400 transcript:ONI36155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKMPVVPNRKDFIDIILSRTQRQTPTVVHKGSAISSLRKFYMRKVKCTEQNFHEKLSTIIDGFPRLDDVHPFYGDLLHVLYNKDHYKLALGQVNTARNLIGKISNDYMKLLKYGDSLYRCKCLKVAALGRMCTVVKRIGPSLAYLEQIRQHISRLPSIDPNIHTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGQTDYKFLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLEGISEEDMKLVMEMKSEAMKTVIGLGGEATSDEGVLLTMSTLTEDGVISVKNTACERLLNQRVDLKMKSQKINDFVNRFHVAMPQPRDQKERPPCIPQSVPEAKAKQAGDKEKRNTEKDLENENGGAGVYSANLKKNYILANDEWKEDVMPEILDGHNVYDFVDPDIVHRLEELKREAEEGDDEFEMDDMELTPEEQKTLVEIRKKKSLLIQQHRMKKSTAESRPTVPRKFDKDRKFTSKRMGRQLSDLGLDARMAINRARSRSVPRRGRKRERSVDRGDPGFGDAMDMDVDTPNKKLRMISRSRSRSRSRPPSEVVPGEGFKDSSQKSQALRKAKKSVKKRNKDARCGEADRVIPTLKPKHLFSGKRSIGKTQRR >ONI31096 pep chromosome:Prunus_persica_NCBIv2:G1:28996075:28997196:-1 gene:PRUPE_1G292200 transcript:ONI31096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNSQSSKAPPAISVTRPNRSPSPAAGNTNNFAYTWKSAVLPPVFNFSIPGNPFDCVVSFDSEIFYLITC >ONI33123 pep chromosome:Prunus_persica_NCBIv2:G1:35716385:35718564:1 gene:PRUPE_1G407000 transcript:ONI33123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLRLLDNQKEEEAKQREKAMEKELQQAAMRGSVPALLELLTEDPQILNKTNPSLSDTPLHIASLLGHSAFAKELLSRRPELAAELNSHGSSPLHLAAAKGSVEIVKHLALVNPDLCLVWDRDGLTPLHLGVIKGRVGVVAELARVRPEVIRVLTHGGESGFHLCVKHHRLEVLKVLVECIGRDDEFVNWRDGDGNTVLHVAVAKKQLEVITYLLTYTKIDVSAQNANGFTALDVLSHSSRDLRDLGIKESLQRAGAQRMNKNAAIIYHLELDTLQSSNSVSMQPLMSKKMSMMVKKQQHIDWLGRKRSSLMVVSSLIATVAFQSAISPPGGVWQEDYLTDSNGNPVERPHKAGQSVMAYTQPIQYGQFMIFCTIAFLSSLSIILLLVSGLPLKRRRWMWLQMVTMWIAITALTGTYFIGLIFMTPGQNKNYLYHVTQVSVLIWMALMGTVFIGNVIRAIIWLLRKYGYIKPKEIDASLYVDYEDNDDL >ONI28114 pep chromosome:Prunus_persica_NCBIv2:G1:9770166:9771580:-1 gene:PRUPE_1G124000 transcript:ONI28114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPSMDQRPDPDHQDAFAPQPYKGYAVSGKIMLSAIIILFFVVILMVCLHLYARWYLLRARRRHLRRNRRNRRTHIMFHEDPYAATLSTPTRGLDASILNSLPVFVYSSKSTETTQFREQPILECAVCLSEFEDGEKGRLLPKCKHSFHIECIDMWFHSHSTCPLCRAPVEPGPESETRADVVLNVCELEGGEPGPSSELCSECCNSETTSSGSRRKPLDIVVPRRNQSFGRGEDSGRGDSPASQAFRSPMSRMLSFTRMLSRDKRNGGVSPSGGNAGGCSSVAESEDIEVGGRQETRKEYRC >ONI30205 pep chromosome:Prunus_persica_NCBIv2:G1:25209840:25211004:1 gene:PRUPE_1G237800 transcript:ONI30205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAVSNLSIFLPMSSGRRTKNCPSPVFSKPVKNSLKAMARDARDNLDHLQRATTKHQQQQPPQPKKRVASAPPVGLWDRFPTARTVQQMMETMERMMDDPFAYSGGSGWASPLPTETGGYSRGRTPWEIKEGEADYKMRFDMPGMTKEDVKVWVEEKMLVVKAEKMTKKKESGVQEEEDNGNDEWSAKSYGRYSTRIALPENIQFEKIKAEVKDGVLYVTIPKATSSSKILDIHVE >ONI26093 pep chromosome:Prunus_persica_NCBIv2:G1:353556:357653:-1 gene:PRUPE_1G003300 transcript:ONI26093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAFNRQRVLLDHLRPSSSSLHGTDPSSLSASACVAGDSAAYARKNVFGDDVVIVAAYRTPICKAKRGGFKDTHADDLLAPVLKAVIEKSNLNPSEVGDIVVGTVLAPGSQRASECRMAAFYAGFPGIGAGLESMTANPMAWEGSVNPKVKIFEQAQNCLLPMGVTSENVAHRFGVSRQEQDQAAVDSHRKAAAATATGKFKDEIIPVATKIVDPKSGEEKPVTISVDDGIRNTTLADLAKLKPVFKKDGSTTAGNSSQVSDGAGAVLLMKRSIAERKGLPILGVFRSFSAVGVDPAIMGVGPAVAIPAAVKAAGLELDDIDLFEINEAFASQYVYCRNKLGLDPEKINVNGGALAIGHPLGATGARAVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDSTDELCNARKVESLNHLSKDAR >ONI26094 pep chromosome:Prunus_persica_NCBIv2:G1:354080:357463:-1 gene:PRUPE_1G003300 transcript:ONI26094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAFNRQRVLLDHLRPSSSSLHGTDPSSLSASACVAGDSAAYARKNVFGDDVVIVAAYRTPICKAKRGGFKDTHADDLLAPVLKAVIEKSNLNPSEVGDIVVGTVLAPGSQRASECRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAASIRAGFYDIGIGAGLESMTANPMAWEGSVNPKVKIFEQAQNCLLPMGVTSENVAHRFGVSRQEQDQAAVDSHRKAAAATATGKFKDEIIPVATKIVDPKSGEEKPVTISVDDGIRNTTLADLAKLKPVFKKDGSTTAGNSSQVSDGAGAVLLMKRSIAERKGLPILGVFRSFSAVGVDPAIMGVGPAVAIPAAVKAAGLELDDIDLFEINEAFASQYVYCRNKLGLDPEKINVNGGALAIGHPLGATGARAVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDSTDELCNARKVESLNHLSKDAR >ONI27414 pep chromosome:Prunus_persica_NCBIv2:G1:6285765:6287153:-1 gene:PRUPE_1G084500 transcript:ONI27414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAIFNAPVAASGYVGLSTNPPKIFPVKDSIGWTKKTVSNGSRTHCMKVWNPINNKKFETLSYLPPLSDDSIAKEIDYMLKKGWIPCLEFDEIGHIYRENSTMPGYYDGRYWTLWKLPMFGCTDPSLVLNEIYQCKTAYPNAYIRCMAFDNINQGQCMAFIIQKPAAATITTNA >ONI30566 pep chromosome:Prunus_persica_NCBIv2:G1:26843928:26845935:-1 gene:PRUPE_1G259000 transcript:ONI30566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYDSAKEEEDEAKHEQFVRWFREAWPYLWAHRGCTFVIIISGEVESNPNYLNPFLKDIAFLHHLGIKFVLVPATNVEIHKPLAGRGKKTRFVSSYIVTDSKTLDVAKEATGRICSNIEAVLSAGPSISNIRRRHGSDNSRLHDVDVSAASGNFVAAKRGGVVTGVDYGEIGEVKKVDVRRIRERLDGGDCIVLLRNIGDSSSGEAMNCNTYEVGMTHSLAICIMDGPILDDKHLVQFLTLKEAEAETYMLIRKQKQEQHQGLGFAIGGYERQQLNHRLNGYIPELAAAAFVCRGRVERVHLLDGTKGGVLLLELLKRDEVGTIVVSDVYQGTRMATESDFSRVRELIQASGELVRRSDEELLEMILMDCFAVVEREGQIVACAALVSFSKEKCGEVASSAVSSECRRQGHKLLDYIEKKASCLGLDKLFLLTTRAVDWFKRRRYFECSIESILEKRRGKINLSAIIIKLESTSGGHIKGQDGLHDPMGGLKYDCEGLIRPYGQQQRNPMNAQKVLDDLVQRPCTHLLCSASAWAKRRCVGPGAFKLLLMTWHRFEPGFKDKKQKPTPMFTRLQQHHPTPKPQFQNIISLRSTLESIGLFLARAFKMKPR >ONI27172 pep chromosome:Prunus_persica_NCBIv2:G1:5142476:5144209:-1 gene:PRUPE_1G072200 transcript:ONI27172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNSFSQENNDLEAGTRDIAVQSISRWRRAAVVLFASRRFLQIVYLKKEGEKEQASSKVHAHAAVQAEHALATEAGEEVIVNNPQRRTGTTGDFGIGREELDALITKKDDVGCLEQYGGASPTHNIIFYLMERCICWNFKRF >ONI30665 pep chromosome:Prunus_persica_NCBIv2:G1:27364144:27365208:-1 gene:PRUPE_1G265600 transcript:ONI30665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNLSHIQDYSDDDSSRGKKSRIMAFHSKDQWNTHFNASKESNKLMVIDFTATWCGPCRAMEPTLKEYADKYTDVEFIKLDVDELPDVAREFGVQAMPSFVFVKKGDVVDKVVGARKEELQKKIEKHRK >ONI35611 pep chromosome:Prunus_persica_NCBIv2:G1:44581442:44582361:-1 gene:PRUPE_1G545700 transcript:ONI35611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPSSSNAYGAATSSYRGVRKRKWGKWVSEIREPGTKTRIWLGSFETPEMAAAAYDVAALHFRGREARLNFPELVNSLPRPASSNAEDIRMAAHEAALRLRSSPAAEAHEAGSSSSNVGPVTVRLSPSQIQAINESPLDSPKMWMQMSESMMFSGGFEDQWEDMQNDSLWDP >ONI33854 pep chromosome:Prunus_persica_NCBIv2:G1:37937599:37941489:-1 gene:PRUPE_1G449900 transcript:ONI33854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIVAEKYKLGRKIGSGSFGELYLATHIETGENLAAKIENNKTKHPQLLYEAKLYKTLQGGSGIAGIKWAGFDRDDNVLIIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRFRDQNTNRHIPYRENKSLTGTARYASCNTHLGIDQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYAFLKRLFRDLFSREGYDFDYVFDWTILKYHQAQQTRTQGQSSGVQPAVATNGRAAASSRPVPMDAMRLKGVNDVSGSAEVADRRRSSNLAHPDVHMQFRSSTAQNLGANNLVEKHHVSNVPMPSSTYALRKNYKKPEGPTENANLGRGVGNQNGASSSWMPSINQVSSSK >ONI28481 pep chromosome:Prunus_persica_NCBIv2:G1:11300873:11305782:1 gene:PRUPE_1G143400 transcript:ONI28481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNKEEAIRAKGIAEKKMQSKDFVAARKIAIKAQQLYPDLENISQMLMVCEVHCSAEQKLFGNEMDWYGILQIDQTANELTIKKQYRKFALQLHPDKNKFAGAEAAFKLIGEAQRVLLDRDKRSMHDLKRKASVRKPTVPYRPPQKASWNSNVGVQNNFRGNFSNINPQNQQQQQPFPPGYSDSRSTFWTVCPFCSVKYQYYREVLNRSLRCQSCNKPFVAYDTNVPGAPPPTNLSQQAFPQQKDGTNKSEVRFPGNAGADNSKAESFRKTGQKAGSSSGVHLVRVTRKRRGVIESSESSDSESSSESEEDMVIDDAVLQAGLKSGIYGEQQPRRSSRHKQQVSYKENLSDDDGIPPSKREKRSGSSCATEEEDEDASKEEASKMSNQSDCATGTKGDEERVKQKESACFEECLTKSVGETKKFEAKERVKVFNCEKNSEAHESSPLDKSSQEEQEADPFFSFPDSEFNDFEKIRKEECFEVGQVWAIYDTRNGMPRYYARIKKVHLPGFKVQITWLEPDPEDDNEMKWAEAGLPFSCGKFRQGHSETRKDHLMFSHTMSWEKIKSYYQIYPRKGETWAIFKNWSLNWYSDLDSNPKPKFEYEFVKILSDYAEGVGIWVALLEKVKDTVSVFCQRLNDGKGILKVLPGELLRFSHRVPSFMLTGDEGVGVPSGSFELDPASLPFDNEEVPVSKDLKTEASTHPNGFSTSPDTVNEDSNARKVSSSEGIEIPEAEFYNFDADKSLATFQIGEVWALYSDEDGLPKYYGLVKNIDSRRLKLHIAWLDSNSLPDNVIRWHDEEMPICCGRFRVKRSPLQDYDSFMSFSHRVKALPVSKNEFEIFPRRGEVWALYKNWAADISCSDLETCEYDIVAVHAENDLQREVLVLERVDGYNSVFKTRVKGRSAEMMTIPEVELLRFSHSIPSFQLTEEKGGSLRGCWELDPAALPVRFFS >ONI28482 pep chromosome:Prunus_persica_NCBIv2:G1:11300873:11306248:1 gene:PRUPE_1G143400 transcript:ONI28482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTEAAFKLIGEAQRVLLDRDKRSMHDLKRKASVRKPTVPYRPPQKASWNSNVGVQNNFRGNFSNINPQNQQQQQPFPPGYSDSRSTFWTVCPFCSVKYQYYREVLNRSLRCQSCNKPFVAYDTNVPGAPPPTNLSQQAFPQQKDGTNKSEVRFPGNAGADNSKAESFRKTGQKAGSSSGVHLVRVTRKRRGVIESSESSDSESSSESEEDMVIDDAVLQAGLKSGIYGEQQPRRSSRHKQQVSYKENLSDDDGIPPSKREKRSGSSCATEEEDEDASKEEASKMSNQSDCATGTKGDEERVKQKESACFEECLTKSVGETKKFEAKERVKVFNCEKNSEAHESSPLDKSSQEEQEADPFFSFPDSEFNDFEKIRKEECFEVGQVWAIYDTRNGMPRYYARIKKVHLPGFKVQITWLEPDPEDDNEMKWAEAGLPFSCGKFRQGHSETRKDHLMFSHTMSWEKIKSYYQIYPRKGETWAIFKNWSLNWYSDLDSNPKPKFEYEFVKILSDYAEGVGIWVALLEKVKDTVSVFCQRLNDGKGILKVLPGELLRFSHRVPSFMLTGDEGVGVPSGSFELDPASLPFDNEEVPVSKDLKTEASTHPNGFSTSPDTVNEDSNARKVSSSEGIEIPEAEFYNFDADKSLATFQIGEVWALYSDEDGLPKYYGLVKNIDSRRLKLHIAWLDSNSLPDNVIRWHDEEMPICCGRFRVKRSPLQDYDSFMSFSHRVKALPVSKNEFEIFPRRGEVWALYKNWAADISCSDLETCEYDIVAVHAENDLQREVLVLERVDGYNSVFKTRVKGRSAEMMTIPEVELLRFSHSIPSFQLTEEKGGSLRGCWELDPAALPVRFFS >ONI28483 pep chromosome:Prunus_persica_NCBIv2:G1:11300873:11305710:1 gene:PRUPE_1G143400 transcript:ONI28483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTEAAFKLIGEAQRVLLDRDKRSMHDLKRKASVRKPTVPYRPPQKASWNSNVGVQNNFRGNFSNINPQNQQQQQPFPPGYSDSRSTFWTVCPFCSVKYQYYREVLNRSLRCQSCNKPFVAYDTNVPGAPPPTNLSQQAFPQQKDGTNKSEVRFPGNAGADNSKAESFRKTGQKAGSSSGVHLVRVTRKRRGVIESSESSDSESSSESEEDMVIDDAVLQAGLKSGIYGEQQPRRSSRHKQQVSYKENLSDDDGIPPSKREKRSGSSCATEEEDEDASKEEASKMSNQSDCATGTKGDEERVKQKESACFEECLTKSVGETKKFEAKERVKVFNCEKNSEAHESSPLDKSSQEEQEADPFFSFPDSEFNDFEKIRKEECFEVGQVWAIYDTRNGMPRYYARIKKVHLPGFKVQITWLEPDPEDDNEMKWAEAGLPFSCGKFRQGHSETRKDHLMFSHTMSWEKIKSYYQIYPRKGETWAIFKNWSLNWYSDLDSNPKPKFEYEFVKILSDYAEGVGIWVALLEKVKDTVSVFCQRLNDGKGILKVLPGELLRFSHRVPSFMLTGDEGVGVPSGSFELDPASLPFDNEEVPVSKDLKTEASTHPNGFSTSPDTVNEDSNARKVSSSEGIEIPEAEFYNFDADKSLATFQIGEVWALYSDEDGLPKYYGLVKNIDSRRLKLHIAWLDSNSLPDNVIRWHDEEMPICCGRFRVKRSPLQDYDSFMSFSHRVKALPVSKNEFEIFPRRGEVWALYKNWAADISCSDLETCEYDIVAVHAENDLQREVLVLERVDGYNSVFKTRVKGRSAEMMTIPEVELLRFSHSIPSFQLTEEKGGSLRGCWELDPAALPVRFFS >ONI28480 pep chromosome:Prunus_persica_NCBIv2:G1:11300873:11306211:1 gene:PRUPE_1G143400 transcript:ONI28480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNKEEAIRAKGIAEKKMQSKDFVAARKIAIKAQQLYPDLENISQMLMVCEVHCSAEQKLFGNEMDWYGILQIDQTANELTIKKQYRKFALQLHPDKNKFAGAEAAFKLIGEAQRVLLDRDKRSMHDLKRKASVRKPTVPYRPPQKASWNSNVGVQNNFRGNFSNINPQNQQQQQPFPPGYSDSRSTFWTVCPFCSVKYQYYREVLNRSLRCQSCNKPFVAYDTNVPGAPPPTNLSQQAFPQQKDGTNKSEVRFPGNAGADNSKAESFRKTGQKAGSSSGVHLVRVTRKRRGVIESSESSDSESSSESEEDMVIDDAVLQAGLKSGIYGEQQPRRSSRHKQQVSYKENLSDDDGIPPSKREKRSGSSCATEEEDEDASKEEASKMSNQSDCATGTKGDEERVKQKESACFEECLTKSVGETKKFEAKERVKVFNCEKNSEAHESSPLDKSSQEEQEADPFFSFPDSEFNDFEKIRKEECFEVGQVWAIYDTRNGMPRYYARIKKVHLPGFKVQITWLEPDPEDDNEMKWAEAGLPFSCGKFRQGHSETRKDHLMFSHTMSWEKIKSYYQIYPRKGETWAIFKNWSLNWYSDLDSNPKPKFEYEFVKILSDYAEGVGIWVALLEKVKDTVSVFCQRLNDGKGILKVLPGELLRFSHRVPSFMLTGDEGVGVPSGSFELDPASLPFDNEEVPVSKDLKTEASTHPNGFSTSPDTVNEDSNARKVSSSEGIEIPEAEFYNFDADKSLATFQIGEVWALYSDEDGLPKYYGLVKNIDSRRLKLHIAWLDSNSLPDNVIRWHDEEMPICCGRFRVKRSPLQDYDSFMSFSHRVKALPVSKNEFEIFPRRGEVWALYKNWAADISCSDLETCEYDIVAVHAENDLQREVLVLERVDGYNSVFKTRVKGRSAEMMTIPEVELLRFSHSIPSFQLTEEKGGSLRGCWELDPAALPVRFFS >ONI35429 pep chromosome:Prunus_persica_NCBIv2:G1:43765839:43767321:-1 gene:PRUPE_1G535600 transcript:ONI35429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSVLLSSPPPTAKDGSRFIDSNYLQKQSHVPANFKWPEEDAASAQEELNAPVVDLEGFFNGDVVATENAAKLIRSSCLRHGFFQVTNHRVDADLIQLAYDHVDDFFNLPIEEKIKVQRRPGSPYGYSGAHMDRFSSNLPWKETFSFAFQDGPEKTVADYFKFTISKDFEQTGLVYQKYSEAMHSLSLSIMELLAIGLGVDRMLYREFFEDAVSIMRTNLYPTCQEPNLSLGTGPHCDPNALTILHQDLVGGLDVFVDNKWHKVRPVLGALVINIGDVFAALSNGIYRSCLHRAAVNSHKERRSLVFFMCPRADKVVKPAEELVRKSEGGTRKFPDFTWSDLLEFTQNHYRVNETTLENFTDWFLSADRSNFKPTHFKTSH >ONI32125 pep chromosome:Prunus_persica_NCBIv2:G1:32592857:32596510:-1 gene:PRUPE_1G349700 transcript:ONI32125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEAGSSSLWSREQDKAFENALATYSEDSSDRWEKIAADVPEKTIEEIKHHYELLVDDINQIEAGFVPLPCYNSSSEGSTSHASDEGTNKKGGHSGNYSSESNHGTKASRADQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVNNGDISSPQGPITGQANGSAVGSSGKSTKQSPAGPPGPGVGMYGAPTIGQPIGGPLVSAVGTPVNLPAGPPHMAYGLRAPVPGGIVPGAPMNMVPMTYPMPHTSHR >ONI30730 pep chromosome:Prunus_persica_NCBIv2:G1:27713533:27718224:1 gene:PRUPE_1G269900 transcript:ONI30730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTPGKWIKNLLLGKKSSKYSLSKGREKSTNKGKAIVSSNLSVSELTVSAPLISPPLVSPPVVGTGASNAVDSEIGVAAKLPNDGIILSSVKEDGQTQAIFSSNSQEDHEIIKQEQAATKAQSAYRGYVARRAYRTLKGIIRLQALIRGHLVRRQAVSTLFCVQGIVKLQALIRGHLARHSDIGVEVNKTHLGDLSEVSASSHVERLSKIAFVQKLLASLPTTSPLHLQYGPEEPNSTWVWLERWTRSCFWEPVLQPKKNLDSRSRRKHEKGQTIETEKARPKRSVRRLSNTNVENVSNSATPDSEKTKRNLRKFSRHPASSVPEHQQNEVEKVKSNVRKSSDPKKGVSDRSVVGDERSKHSMMKSLASAAPDDSEQGTSEFSEKMKHMAVAVSKHSNLEGSVDLLSAEEPMEKLDDHPSVAVLPMENNVRNEDNPSAEMQPMENNVINEDHPSAEMQPTENNVRNEDLPSAEMQLMEKNVRNEEVQAINEVPNSRDHFISNEDKKTSQRRASFPVKFDNQENGVHNTPRVPSYMAPTASAKARLRGQGSPRFDRDMVEKNVITRRHSLSASTNTKLTSLSPRAQGLVQAAGKGVIRSDRSLSSSRDGLDKVIQPEWRR >ONI34028 pep chromosome:Prunus_persica_NCBIv2:G1:38470137:38471579:1 gene:PRUPE_1G459500 transcript:ONI34028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLVAPLRCTSSHSHLTLSFSISKPPIGFGAKLSSNAAVPTSRLVRNSMSQPAAEANSDTSKSETPPDVLVQYVVLRRDLIDTWPTGSVVTQGCHASVSAIWSHKDDPHTLQYCSPENIDSMHKVTLEVKGEPQILNLSEKLTAGGIAHKLWIEQPENYPTCLATKPYPKSVVSAYFKKLKLCK >ONI28565 pep chromosome:Prunus_persica_NCBIv2:G1:11621064:11627392:-1 gene:PRUPE_1G148300 transcript:ONI28565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREKEKLWGGKEGKGTRAQLGLKNLGIWKIRKRKRSRKERIRHYSQQTEEEFKRYHLYLVGCGPIGIFHSDSTQSKKLGWYYKTLCWKREEDHQKSAVKNPMVSVEHLVSVPEFSDWGRDLFYCYFPILKSDNYYLLMLWLTFIQSNGQDGLKNIKDLFCWVNQLRKKACVAAGWHSQNLGEVLSLSLKNSCMHWWCGLCNHHCYISAPTIYTVLWLWFFICSPVFLTCYCWSCVLFCSFEMNPQLVANSSHTRSRSSSPRAPPKPARNSLLAPSIIQRGRFTFTMENNETRVSTTALASSVQGHFESFCLVGKVFGVPAPRRAIRNCLKSDWKNLQDEVYVDHIGRDWYKIEFYCEEDVEHVMKNRPWFVQGQIFALQRWRPDFSPFHATVESIVFWARIPFLPLHYKDPKVLSDLVSILGTPISIDQASMIGKQSMFVRVCLEVDLIKPLKRCLILGEGPKETRIFISYEDLFAIYFYCSQKKEPGHICPIKISNKNYLQVERLNNEPKFFPKNLVMD >ONI27945 pep chromosome:Prunus_persica_NCBIv2:G1:8984439:8984710:1 gene:PRUPE_1G112800 transcript:ONI27945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACLDMAIVENFILQHSSGYLTWSLCHRDFDIFSGYLSAATVGSTNLVFHFLQTLFFGLTSLMIALFRVLKHALSLNPPC >ONI34346 pep chromosome:Prunus_persica_NCBIv2:G1:39832088:39836453:-1 gene:PRUPE_1G476600 transcript:ONI34346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKVEESSNEASFDIENQATSMENQLTNFRALSPACSIYRVPERLRNVKQKAYTPQVVSIGPLHHGSKNLEAMEDHKLRYLKEREVELRHYYEDTKKFNIAAFVNIILVDAAFVIELLLRNKSEEKFQEEKLPDDNAWIFKKPWVLQNILPDMLMLENQLPFFILEDLYNLTGAQTGEPSIIELSYRFFQQALRLENLENSPAFRKSFMPPDPKKPVQFVDFIRTLHLPDPTLKENVGLQSTPGMTKLHQAGVKFNVESSKNLFDIQFLKNTLEIPKIEIHDYTELTLRNLIAFEQCHCDVELLVDNGIVVNTLGDNSKVSIMINQGNYYFGDLAGKLNEYCEKTTNIWKANLRQKYFNTPWAAIILLILTAIQTVCSIISVIDQVLAAKVLVKYREGKVPGRCKETS >ONI35471 pep chromosome:Prunus_persica_NCBIv2:G1:43954475:43961170:1 gene:PRUPE_1G538000 transcript:ONI35471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRGERKSPPKAGGARPIIGHLHLLGGSKPPHLVLGKMAERYGPIFTVNIGVHKALIVSSSEIAKELFASRPKFMAAEIMAYNYAFLPFSPYSHYSRQVRKIVLLEVLSNSRLEMLKHVRESEVKASMKGIYERCVTNGKSSTGSNKALVEMREWFLDINENIVFRMIVGKRFGEATSSNSKGSNYYLKKETYMDFLRLSGTFVLSDAIPWLRWLDLGGHERAMKKVAKELDLVFNGWLEEHKQKRKISGQVKGDDDQLDFMDVMLSILDVDGANEITTDYDADTVNKATSMALIVAGVEAPAVQMTWALALLLNNREALKKAQKELDQIIGKGRQVKESDIKNLVYLQAIIKESTHCMVGDYHVPAGTRLLVNLSKLHRDPRVWSDPNEFRPERFLTTHKSFDVKGHDFELIPFGSGRRMCPGMSLALKVIALTLASLLHGFEIGTPTDETVDMGETVGVTNNKATPLEVLFTPRLPAQLYE >ONI31909 pep chromosome:Prunus_persica_NCBIv2:G1:31874083:31875887:1 gene:PRUPE_1G338400 transcript:ONI31909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKLWKKAAGLIKDRNSIWVASISRRTSYRNPDLEAIIIKATSHDETHVDYKNFHRVYQWVRTSPLYLKPLLWALSTRMAKTRSWVVALKGLMLMHGVFCCKIPSVQKIGRLPFDLSNFSDGHSKSAKIWACDPLVRAYFTYLDQRSAFFSTLVIKKNKGDQEEPALLEELGRLQKWQSLLDILLQIKPELNLTISTHNNNNSNTTTNNKVYLISEAMICLLTEIFDVYSRVCKGIARTLLKIYAAPGKQQAQLALGVVQKAAVQGEDLSLYLEFCTELGILSATDCPKVEQIPEEDIRDLQRIINEASVRNENRDVKNGVVDHAQEEEEEDKAIVMMRQRESSRGRNKILVYDQQQTASKRAFQTIITDKWEVFEEDLISWVSVDTTGFSDVSGNITITTSTPSSAVGAQYRNPFAAASSNMMIPYNAPAHNQVLPDLISF >ONI35769 pep chromosome:Prunus_persica_NCBIv2:G1:45265793:45268048:1 gene:PRUPE_1G554000 transcript:ONI35769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNFVAVFLVVSSLASAGMWSPRPQNDNQNQEKKQGDHQREVIVKNGHRVVVVEYDEHGHPITKVSISSEQDRQADKDSSISDNDVSSPSMVSRAFDKAMDNMKEATSALPNLGQEGHSPKELICDAYGKCKHQIARDLEMKKKIVKGTVHGAKETAHKVGEAVESAYEKTGEAVGNVYEKAKEMVSDKAHEVEETAKDSVGKAKGAAKTAREMGETLAADIKSNASNLCEDLASKAQEAKETAEHAAAKVKTGAEELESGTQKRFHELGRKGREIWYGALRSTGLSDALDALMSVANLFGLATAYGTCMWITFVSSHVLLGNLAPQQFGMVQSKIYRVYFRAMASSVGMALLGHLWRHGNKKFTGNMLQLQNFNLMAALLMIFVNMLYLEPRSTKVMFERMKMEKEEGRERVHVGTRVSSESEQQRITAEVEPTTTVASQVTERREQEVAQADTDRLDEMRERLKKLNSYSSLLNVMSLMSLSWHLVYLAQRLHS >ONI26464 pep chromosome:Prunus_persica_NCBIv2:G1:1880093:1884929:1 gene:PRUPE_1G027000 transcript:ONI26464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKPKYVSSALLFFLCYHLFLHHSQAQIVPRPVTEGYTCTANQSSYPCQTYVFYRASAPDFLDLATIGDLFSVSRFMISKPSNISSSNATLVSGQPLFIPIPCSCNSPNATMTISYANLSVTIKSGDTFFRLSSRSFQNLTTYQSVELVNPHLVATNLTIGVTALFPIFCKCPNRTQLQNQVNYLVSYVFQPSDNISAVASLFGVQAKSITDVNGNNIQPFDTIFVPVTQLPELSQPDVTPSAATSVKTERKGLIRGLAIALGITGFLLILVVGFSIYRDGKLKKRVRRKGDEEKENQVLYRSKQGSKKSKEMEVSLMADVSDCLDKYRVFGIEDLREATNGFRENSLIEGSVYRGSINGELYAIKKMKWNACEELKILQKVNHGNLVKLEGFCIDHDDDCCYLIYEFVENGSLYSWLHENQNEKLGWKTRLRVAIDVANGLQYIHEHTRPKVVHKDIKSSNILLDSNMRAKIANFGLAKTGCNAITMHIVGTQGYIAPEYLADGVVSTKMDVFSFGVVLLELVSGKPAIDEDGNVLWASAGRILEGNEEEKARKLHEWVDRELFLESCSMESIVNVLTVAIACVHRDPSKRPSMVDIVYALCKSDDLFFDISDDGLSAPPVRARIRSLTL >ONI26465 pep chromosome:Prunus_persica_NCBIv2:G1:1880208:1884122:1 gene:PRUPE_1G027000 transcript:ONI26465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKPKYVSSALLFFLCYHLFLHHSQAQIVPRPVTEGYTCTANQSSYPCQTYVFYRASAPDFLDLATIGDLFSVSRFMISKPSNISSSNATLVSGQPLFIPIPCSCNSPNATMTISYANLSVTIKSGDTFFRLSSRSFQNLTTYQSVELVNPHLVATNLTIGVTALFPIFCKCPNRTQLQNQVNYLVSYVFQPSDNISAVASLFGVQAKSITDVNGNNIQPFDTIFVPVTQLPELSQPDVTPSAATSVKTERKGLIRGLAIALGITGFLLILVVGFSIYRDGKLKKRVRRKGDEEKENQVLYRSKQGSKKSKEMEVSLMADVSDCLDKYRVFGIEDLREATNGFRENSLIEGSVYRGSINGELYAIKKMKWNACEELKILQKVNHGNLVKLEGFCIDHDDDCCYLIYEFVENGSLYSWLHENQNEKLGWKTRLRVAIDVANGLQYIHEHTRPKVVHKDIKSSNILLDSNMRAKIANFGLAKTGCNAITMHIVGTQGYIAPEYLADGVVSTKMDVFSFGVVLLELVSGKPAIDEDGNVLWASAGRILEGNEEEKARKLHEWVDRELFLESCSMESIVNVLTVAIACVHRDPSKRPSMVDIVYALCKSDDLFFDISDDGLSAPPVRASW >ONI26466 pep chromosome:Prunus_persica_NCBIv2:G1:1880048:1884101:1 gene:PRUPE_1G027000 transcript:ONI26466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKPKYVSSALLFFLCYHLFLHHSQAQIVPRPVTEGYTCTANQSSYPCQTYVFYRASAPDFLDLATIGDLFSVSRFMISKPSNISSSNATLVSGQPLFIPIPCSCNSPNATMTISYANLSVTIKSGDTFFRLSSRSFQNLTTYQSVELVNPHLVATNLTIGVTALFPIFCKCPNRTQLQNQVNYLVSYVFQPSDNISAVASLFGVQAKSITDVNGNNIQPFDTIFVPVTQLPELSQPDVTPSAATSVKTERKGLIRGLAIALGITGFLLILVVGFSIYRDGKLKKRVRRKGDEEKENQVLYRSKQGSKKSKEMEVSLMADVSDCLDKYRVFGIEDLREATNGFRENSLIEGSVYRGSINGELYAIKKMKWNACEELKILQKVNHGNLVKLEGFCIDHDDDCCYLIYEFVENGSLYSWLHENQNEKLGWKTRLRVAIDVANGLQYIHEHTRPKVVHKDIKSSNILLDSNMRAKIANFGLAKTGCNAITMHIVGTQGYIAPEYLADGVVSTKMDVFSFGVVLLELVSGKPAIDEDGNVLWASAGRILEGNEEEKARKLHEWVDRELFLESCSMESIVNVLTVAIACVHRDPSKRPSMVDIVYALCKSDDLFFDISDDGLSAPPVRAR >ONI35088 pep chromosome:Prunus_persica_NCBIv2:G1:42372752:42375438:1 gene:PRUPE_1G515000 transcript:ONI35088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPHTSTADLLTWSETPPTDSPLPSSASRSATRSHQPSDGIRKVVFGGQVTDEEVESLNKRKPCSGYKMKEMTGSGIFAPKAENDASESDGANLTPKPAIRMYQQAVAGISHISFGDEEGVSPKKPTTIPEVAKQRELSGTLESEAEKEARLKKQLSDSKFKELSGHDIFAPPPEILPRTTTAPRALALKGSIEIGEPASPNGHTSVKVSNPGGGQSNIASSEEPASKTAKKIYEKKFSELSGNDIFKGDVPPSSAEKPLSNAKLREMSGSNIFADGKAEARDYLGGVRKPPGGESSIALV >ONI35616 pep chromosome:Prunus_persica_NCBIv2:G1:44624928:44625849:1 gene:PRUPE_1G546100 transcript:ONI35616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSSEESSSSPGTGQRKFKGVRQRKWGKWVSEIRVPGTQDRLWLGSFSSPEAAAVARDVAYYCLRRPSTLEGLNFPLVLPSSVHADMSPRSIQKAASDAGMAVDAQLVANRSPQAGGRQSNNGSHGVESEVWEDMGESSRTNCGGHMGFGSGCEDLSISIEDYDL >ONI32757 pep chromosome:Prunus_persica_NCBIv2:G1:34468325:34469299:-1 gene:PRUPE_1G383900 transcript:ONI32757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSIEYWLSEHPTIVNFRWSTTQSWGSTWSFLFTAIPAYIAVATTLHLILTVFRRRRPVPLGIIPAVHSLGMALISAVIFAGILVSAAAEIRDTRWLWRRTKTTPFQWFLCFPLGTRPSGRVFFWSYVFYLSRFLHLFRTFFTVLGRRRLTFFHLFNQSILIFMSFLWLEFSQSFQVLAILSTTLLYSVVYGYRFWTAIGLPGACFPFVVNCQVVLLGCNLVCHVGVLSLHILKGGCNGMGAWVCNSVLNGAILLLFLKFYVKIVWARIWVLVRSQTLSMSARLSSLRKNVVNLLVSL >ONI36323 pep chromosome:Prunus_persica_NCBIv2:G1:47228361:47234752:-1 gene:PRUPE_1G581000 transcript:ONI36323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFPNNTLRSFKPLSPTFNSSTVRNSVLARNSVSQVPSSTGAVSAKEKRSFPGKPEADVVVIGSGIGGLCCAGLLARYQQDVLVLESHDLPGGAAHSFDIKGFKFDSGPSLFSGFQSKGPQANPLSQVLDALGETIPCASYDSWMVYLPEGEFLSRIGPTEFYKDLEKYASANAVREWKKLLDAILPLSAAAMALPPLSIRGDLGVLSTAAARYAPSLLKSFVQMGPQGALGATKLLRPFSEIIDSLELKDPFIRNWVDLLAFLLAGVKSNGILSAEMIYMFAEWYKPGCCLEYPLHGSGAIVDGLVRGLKKFGGRISLGSHVEKIVVENGRATGVKLRSGQFIRAKKAVVSNASMWDTLNLLPKEVVPKSYLDRTKTTPQCESFMHLHLGFDAEDVRKDLGIHHIVVNDWDRGVDADQNVVLISVPSVLSPDLAPPGKHVLHAYLPGTEPFDLWKGFDRKSSAYKELKAERSEVMWRAVERAVGPGFSREKCEVKLVGSPLTHQRFLRRNRGTYGPAIQAGYRSSS >ONI36325 pep chromosome:Prunus_persica_NCBIv2:G1:47230490:47234752:-1 gene:PRUPE_1G581000 transcript:ONI36325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFPNNTLRSFKPLSPTFNSSTVRNSVLARNSVSQVPSSTGAVSAKEKRSFPGKPEADVVVIGSGIGGLCCAGLLARYQQDVLVLESHDLPGGAAHSFDIKGFKFDSGPSLFSGFQSKGPQANPLSQVLDALGETIPCASYDSWMVYLPEGEFLSRIGPTEFYKDLEKYASANAVREWKKLLDAILPLSAAAMALPPLSIRGDLGVLSTAAARYAPSLLKSFVQMGPQGALGATKLLRPFSEIIDSLELKDPFIRNWVDLLAFLLAGVKSNGILSAEMIYMFAEWYKPGCCLEYPLHGSGAIVDGLVRGLKKFGGRISLGSHVEKIVVENGRATGVKLRSGQFIRAKKAVVSNASMWDTLNLLPKEVVPKSYLDRTKTTPQCESFMHLHLGFDAEDVRKDLGIHHIVVNDWDRGVDADQNVVLISVPSVLSPDLAPPGKHVLHAYLPGTEPFDLWKGFDRKSSAYKELKAERSEMLNSSP >ONI36324 pep chromosome:Prunus_persica_NCBIv2:G1:47229140:47234752:-1 gene:PRUPE_1G581000 transcript:ONI36324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFPNNTLRSFKPLSPTFNSSTVRNSVLARNSVSQVPSSTGAVSAKEKRSFPGKPEADVVVIGSGIGGLCCAGLLARYQQDVLVLESHDLPGGAAHSFDIKGFKFDSGPSLFSGFQSKGPQANPLSQVLDALGETIPCASYDSWMVYLPEGEFLSRIGPTEFYKDLEKYASANAVREWKKLLDAILPLSAAAMALPPLSIRGDLGVLSTAAARYAPSLLKSFVQMGPQGALGATKLLRPFSEIIDSLELKDPFIRNWVDLLAFLLAGVKSNGILSAEMIYMFAEWYKPGCCLEYPLHGSGAIVDGLVRGLKKFGGRISLGSHVEKIVVENGRATGVKLRSGQFIRAKKAVVSNASMWDTLNLLPKEVVPKSYLDRTKTTPQCESFMHLHLGFDAEDVRKDLGIHHIVVNDWDRGVDADQNVVLISVPSVLSPDLAPPGKHVLHAYLPGTEPFDLWKGFDRKSSAYKELKAERSEVMWRAVERAVGPGFSREKCEVKLVGSPLTHQRFLRRNRGTYGPAIQAGKDSFPGHSTSIPQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSELLDAIGI >ONI35287 pep chromosome:Prunus_persica_NCBIv2:G1:43187327:43188002:1 gene:PRUPE_1G527500 transcript:ONI35287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKYIYIRTTNNTNQHKFTSTKTLRIITVKSSNNSANTVKFPYLFFGCLCSHSCLELNLHKMVGFGDGIGAPFGKLHDGVEKAFACSCKLTSDKVKKKRETLHKMNMTSKQKKREESEVWDPKTTKGACTLLFLGAPLENK >ONI27949 pep chromosome:Prunus_persica_NCBIv2:G1:8988457:8991383:1 gene:PRUPE_1G112900 transcript:ONI27949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGNQQKNGVDRQTSKHKKKGTDSGCTLAETKGQGKASEVKVFPGEELPNGDQPSSPLSASGSKTNHPGDENKSKQKSGKLLRKDKQGMDATWGPEEAMSLGSDSGDCNGNNEAPSTREENGSLPRSDLRRKHTKRKFGSSLKRWHMKTLLSRVEFSDSLLVRNLKASTLSFLKVASEWLQRHEPMFLTVKSNILNARDYVNTKFEQAYPIVLKWLMQFGSILFLLSMAWLDCTVRGIDSLVRMGTTSFFSVIWCSILSVVAMVGMFKFLIVLVFAALIGLFIGFMLSILVVAISGAIFLWFYGSFWTTALVIFLAGLAFTLSHERVALFVATVYSIYCAWTYVGWLGLLIGLNLSFISSDCLIYFLKNNVNQQRRPTGFPEQASGMQGQPGFFNDEQEHASSSENGPWFSADRSPGVPSTSGADSEITSEDEVVRLLNCTDHYSVLGLSRFENIDVSLLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREELLNIFRRFQSASQKNGGHGVFPSGFAHPEADGEDPFGDSRRIACNKCGNFHVWVLTRKSKSQARWCQVVIYLYSI >ONI27948 pep chromosome:Prunus_persica_NCBIv2:G1:8987427:8992914:1 gene:PRUPE_1G112900 transcript:ONI27948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGNQQKNGVDRQTSKHKKKGTDSGCTLAETKGQGKASEVKVFPGEELPNGDQPSSPLSASGSKTNHPGDENKSKQKSGKLLRKDKQGMDATWGPEEAMSLGSDSGDCNGNNEAPSTREENGSLPRSDLRRKHTKRKFGSSLKRWHMKTLLSRVEFSDSLLVRNLKASTLSFLKVASEWLQRHEPMFLTVKSNILNARDYVNTKFEQAYPIVLKWLMQFGSILFLLSMAWLDCTVRGIDSLVRMGTTSFFSVIWCSILSVVAMVGMFKFLIVLVFAALIGLFIGFMLSILVVAISGAIFLWFYGSFWTTALVIFLAGLAFTLSHERVALFVATVYSIYCAWTYVGWLGLLIGLNLSFISSDCLIYFLKNNVNQQRRPTGFPEQASGMQGQPGFFNDEQEHASSSENGPWFSADRSPGVPSTSGADSEITSEDEVVRLLNCTDHYSVLGLSRFENIDVSLLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREELLNIFRRFQSASQKNGGHGVFPSGFAHPEADGEDPFGDSRRIACNKCGNFHVWVLTRKSKSQARWCQDCKDLHQAKDGDGWVEQSSQPFFFGFLQKVDVPSAFVCADSKIYNATEWYICQGMRCPANTHKPSFHVNTSVTSKHNTGKGASSGQRGGRMPASMEENMTEEEFFEWLQNAVQTGMFENFSAGASTESPSAKSGNGTTKSSSGSNSGSANKRKKKGKKQW >ONI27947 pep chromosome:Prunus_persica_NCBIv2:G1:8987427:8992914:1 gene:PRUPE_1G112900 transcript:ONI27947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGNQQKNGVDRQTSKHKKKGTDSGCTLAETKGQGKASEVKVFPGEELPNGDQPSSPLSASGSKTNHPGDENKSKQKSGKLLRKDKQGMDATWGPEEAMSLGSDSGDCNGNNEAPSTREENGSLPRSDLRRKHTKRKFGSSLKRWHMKTLLSRVEFSDSLLVRNLKASTLSFLKVASEWLQRHEPMFLTVKSNILNARDYVNTKFEQAYPIVLKWLMQFGSILFLLSMAWLDCTVRGIDSLVRMGTTSFFSVIWCSILSVVAMVGMFKFLIVLVFAALIGLFIGFMLSILVVAISGAIFLWFYGSFWTTALVIFLAGLAFTLSHERVALFVATVYSIYCAWTYVGWLGLLIGLNLSFISSDCLIYFLKNNVNQQRRPTGFPEQASGMQGQPGFFNDEQEHASSSENGPWFSADRSPGVPSTSGADSEITSEDEVVRLLNCTDHYSVLGLSRFENIDVSLLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREELLNIFRRFQSASQKNGGHGVFPSGFAHPEADGEDPFGDSRRIACNKCGNFHVWVLTRKSKSQARWCQDCKDLHQAKDGDGWVEQSSQPFFFGFLQKVDVPSAFVCADSKIYNATEWYICQGMRCPANTHKPSFHVNTSVTSKHNTGKGASSGQRGGRMPASMEENMTEEEFFEWLQNAVQTGMFENFSAGASTESPSAKSGNGTTKSSSGSNSGSANKRKKKGKKQ >ONI27946 pep chromosome:Prunus_persica_NCBIv2:G1:8987310:8993069:1 gene:PRUPE_1G112900 transcript:ONI27946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGNQQKNGVDRQTSKHKKKGTDSGCTLAETKGQGKASEVKVFPGEELPNGDQPSSPLSASGSKTNHPGDENKSKQKSGKLLRKDKQGMDATWGPEEAMSLGSDSGDCNGNNEAPSTREENGSLPRSDLRRKHTKRKFGSSLKRWHMKTLLSRVEFSDSLLVRNLKASTLSFLKVASEWLQRHEPMFLTVKSNILNARDYVNTKFEQAYPIVLKWLMQFGSILFLLSMAWLDCTVRGIDSLVRMGTTSFFSVIWCSILSVVAMVGMFKFLIVLVFAALIGLFIGFMLSILVVAISGAIFLWFYGSFWTTALVIFLAGLAFTLSHERVALFVATVYSIYCAWTYVGWLGLLIGLNLSFISSDCLIYFLKNNVNQQRRPTGFPEQASGMQGQPGFFNDEQEHASSSENGPWFSADRSPGVPSTSGADSEITSEDEVVRLLNCTDHYSVLGLSRFENIDVSLLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREELLNIFRRFQSASQKNGGHGVFPSGFAHPEADGEDPFGDSRRIACNKCGNFHVWVLTRKSKSQARWCQDCKDLHQAKDGDGWVEQSSQPFFFGFLQKVDVPSAFVCADSKIYNATEWYICQGMRCPANTHKPSFHVNTSVTSKHNTGKGASSGQRGGRMPASMEENMTEEEFFEWLQNAVQTGMFENFSAGASTESPSAKSGNGTTKSSSGSNSGSANKRKKKGKKQ >ONI31892 pep chromosome:Prunus_persica_NCBIv2:G1:31801461:31806577:1 gene:PRUPE_1G337300 transcript:ONI31892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPSGNVVLSDKMQFPSGGGGGAVGGGEIAQHHRQWFPDERDGFISWLRGEFAAANAIIDSLCHHLRAVGEPGEYDVVIGCIQQRRCNWNPVLHMQQYFSVAEVIYALQHVAWRRQQRYYDPVKAGAKEFKRSGVGFNKGQQRAEAFKEGHNSTLESHSNDGNSSGVVAPEKFERGSEVGEEVEPGGEVGKLNDKGLAPAGEKKDALTKPQEDSNLRSFGNSQGTISENSEPEVVEVDGCTPSSKVNESHSIQIQNQKQNLSIVPKTFIGNEISDGKTVNVVDGLKLYEDFLGDTEVSKLVSLVNDLRAAGKRRQLQGQTYVVSKRPMKGHGREMIQLGIPIADAPPEDEISAGTSKDRKIEPIPSLLQDVIDRLVGMHVMTVKPDSCIIDVYNEGDHSQPHTWPSWFGRPVCALYLTECDMTFGRLLLMDHPGDYRGSLRLSLTPGSILLMQGKSADFAKHAIPSIRKQRILVTLTKSQPKKSTTSDGQRFPAPAPAQSSYWGPPPSRSPNHIRHPTGPKHYAAVPTTGVLPAPPIRSQLPPQNGIQPLFVPAPVGPAIPFAAAVPIPPGSAGWPAAPRHPPPRIPLPGTGVFLPPPGSGNSSAPQQLPGTATEMSPTVETPSPRDKDNGSGKSNHSTSASPKGKSDGKAQRQDCNGSAEGTGSGRTAVKEEEQQTYDKTAASNQAGAV >ONI32241 pep chromosome:Prunus_persica_NCBIv2:G1:33002280:33003365:1 gene:PRUPE_1G355700 transcript:ONI32241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIACLNFEALKDLHNSANNLLYSPTIQQALVHDRQEKWVHQVSEASLRMLDVCGISKDVLLMVKEHLQDLQSMLRRVSSDQEADIDNKIGAYNCYRKKLKQETVKCLNSFKGIKKNKSSMCICTSQPLQESSSVYMDHNLRVVVDVLRQVRVTAVSIVETLLSLISIPWLDRKSATSTTKSIFIKAKFMRPRWSWGNRHRVYDICDATALQSASKRLDAVEIAIQDLEAELDCMFRRLIHTRVALLNILTTTN >ONI34739 pep chromosome:Prunus_persica_NCBIv2:G1:41138767:41141421:-1 gene:PRUPE_1G496000 transcript:ONI34739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKAKHSGWTYGKRQKIPINLVDKEENYSEEDQISQLPDVILISILSLLGIRDAARTCVLSKRWIYVWKQITCLNFDDIDALSKPQKKRRQRVKTTSSYNWVNQVLQLHHGPSLDEFKIRSSSLNCSPSSSEIDNWIEFAMWRRVQGLEIDLEAGRRSRFSSPSYIFPDKPFRSPFGISCIKSLKHLSLSFVNITGELVEHFLSYCELLEHLCISCSDQLVKLKVAGSSLRLKFLQISECTSLGLVEIWAPNLVSFIYKGMLGYCDSIRLRHAPLLVNVSLAESTRSIIEPFLSVKSCIPQLVTLNRHR >ONI29610 pep chromosome:Prunus_persica_NCBIv2:G1:20614041:20615918:-1 gene:PRUPE_1G205200 transcript:ONI29610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPDVTVVVEKSEEKDGRDSDSDSDSKSCSYSDTTSSGESSVEEWQISKEKWPNMKVKILEWKKKMFAKWKQIFATSCVFAVSLDPLFLYIPIINQDMNCLRLDQNLKIAALTLRSVTDIFYITDIIIEIYTSKICSSLTIEHHISKSEFLGNTFLPTLAKKIWQSYILIDILAILPLPQVLILTFFSRMGASRSSETSKFIMNFFVLMQYIPRVIRIYLSCEAPKKSPRKETPIWVKGVLNFFMYILASHILGAIWYFFAIQQMTACWQYACQNENGCDPNTFGCHYQTFKDDLCPISSPNATIFDFGIFLSILQSGVPSSTNFLQKFSNCFCWGLRNLRFVHLGPFILHIKYYLQYMNKNIFKIQDGRGLILNRCRILYALRTLITVHIKDINHTIF >ONI26930 pep chromosome:Prunus_persica_NCBIv2:G1:3979537:3980971:-1 gene:PRUPE_1G055900 transcript:ONI26930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVIKLHGAWPSPFSCRVIWALKLKGIPYENIEEDLPYRKSPELLKYNPVHKKIPVLVHGVKPICESMIIIEYIEETWPETPLLPADPYDRAIARFWVKFADDMGPSIWMVFRTTGEEQEKFKKESLEMLKTIEEHAGTLGKKKFFGGDNIGIVDIAFGGIAHWFGVIEDVVGVKLFEAQVFPRLHAWTENFKQVPAIKDNLPDRDKLVVFYKQMRENLLASS >ONI31190 pep chromosome:Prunus_persica_NCBIv2:G1:29340352:29341538:1 gene:PRUPE_1G297500 transcript:ONI31190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLEFLISEFDVEPQIMPSKFSDCFAISKINSLYVSVCKMMRFVLLNLMWNSIPNWSSKFSDCSSCHIVYVIVFDWQVC >ONI35078 pep chromosome:Prunus_persica_NCBIv2:G1:42323606:42325386:1 gene:PRUPE_1G514300 transcript:ONI35078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRLISLSFSLRSSVQLHRPPLYHSISILFYSSSKPKRSKFNFVSKDGRSKSTPKVAVAEYLINQHQFSPETALKASSSIAFLKNTSETDSVLSFLKERGFSKTQLEEVVKRIPRILRANLDTTIKPKIKIFQDSGFSDSDIADVISSYPWILWNSADNRLGPAILALKNILGSNAGVLKVLKLCGWYLKYDLEKTMIPNIEILKSLGISSSQIVKYICQFPRFYLHTQESIMDFVRRVDEMGFDRKSKMFLSAIRTISSMSLETWEMKVKLFQSLGFSEKGVLVAFRRAPQVFCISEKKIKEATEMLLSSGKADIAFIVSHPELLICSVEHRLKPRLQVMENLEKKNLLRKIPSLTTICRYTDQKFAERFVIPYANELEV >ONI34716 pep chromosome:Prunus_persica_NCBIv2:G1:41074364:41078799:-1 gene:PRUPE_1G494400 transcript:ONI34716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNQFLTKLIPTNSILIISLFLLASLISQAETTPYDHAHPFFDVEDCCLSCKPTTEANKNRSLHALTSPTASSPASSHRSCEVWTEACSEEVLSFAKRPENVEWLKTIRRRIHEHPELAFEEFETSRLVREELDRLDIGYRFPLAKTGIRAWIGTGGPPFVAVRADMDALPIQGTVILIFQPAEEAGNGAKRMIGDGALEDVEAIFAAHVSHEHPTGIIGSRSGALLAGCGFFRAVISGKTGRAGSPHSSVNPVLAAAAAVISLQGIVSRESNPLDSQVVSVTAFNGGDDLGMIPNTVVLGGTLRAFSNTSFYRLLQRIEEVIVEQASVYRCSATVDFFKNQSTIYPPTVNDDNMHEHVRKVAMGLLGPANFRVVPPMMGAEDFSFYSEVIPAGFFYIGIRNETLGSTHTGHSPYFFIDEDVLPIGAVTHATIAERYLNEHG >ONI34717 pep chromosome:Prunus_persica_NCBIv2:G1:41074687:41078612:-1 gene:PRUPE_1G494400 transcript:ONI34717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNQFLTKLIPTNSILIISLFLLASLISQAETTPYDHAHPFFDVEDCCLSCKPTTEANKNRSLHALTSPTASSPASSHRSCEVWTEACSEEVLSFAKRPENVEWLKTIRRRIHEHPELAFEEFETSRLVREELDRLDIGYRFPLAKTGIRAWIGTGGPPFVAVRADMDALPIQEAVEWEHKSKVAGKMHACGHDAHVTMLIGAAKILKSREHLLKGTVILIFQPAEEAGNGAKRMIGDGALEDVEAIFAAHVSHEHPTGIIGSRSGALLAGCGFFRAVISGKTGRAGSPHSSVNPVLAAAAAVISLQGIVSRESNPLDSQVVSVTAFNGGDDLGMIPNTVVLGGTLRAFSNTSFYRLLQRIEEVIVEQASVYRCSATVDFFKNQSTIYPPTVNDDNMHEHVRKVAMGLLGPANFRVVPPMMGAEDFSFYSEVIPAGFFYIGIRNETLGSTHTGHSPYFFIDEDVLPIGAVTHATIAERYLNEHG >ONI35763 pep chromosome:Prunus_persica_NCBIv2:G1:45238351:45239373:1 gene:PRUPE_1G553600 transcript:ONI35763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRVVVIRPSQDLQELAEEHVVNCSNSITTVGELKAAIESELQITPSQLIIFFFNEVDLCCSTLRESLFSNFIKEWHISFFLNFNKQNE >ONI35396 pep chromosome:Prunus_persica_NCBIv2:G1:43597690:43602000:1 gene:PRUPE_1G533300 transcript:ONI35396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNEGFQGVSNCYVFKSQLQEYAQKVEIPTPVYETIKEGPSHEPSFKSTVIVNNVRYDSLLGFSNRKLAEQSAAEVALVELAKSGDINQSISQPIHETGLCKNLLQEYAQKMNYAIPLYQCQKEETPGRVPLFSCTVEIGGIRYVGAAAKTKKEAEIKVARTALLAIRSSKSESSMEPVGNTQLTVLPSKKRRAESNTKSEETANVPKPKKGRFKKRNFKKKLSGDMVGQTQVRNVGDMEVNVGGSEISGGGANPSVKPMDAEVTPNTHDSMSHVIPSENQTSALEPGSVPQVNTFSAKKGESTASFFNHGDYGTLDEGAFPISYGNITPLAKEVNMVSGAAEVVSLANNSNLQLFNHGDDNGTLDVEAYPISCGNLTSVAEEENMVSGAGESISLANNSNLQLFNHGEDNGTLDVDPISCGNITSVAEEANMVSKVGEAVSLANNSSLQLFNHSDDNGTHDVGAYPISCGNITSVAEEANMVSGAGEVVSVADNSNLQLFNHNDNRTLDVGANPISCGNVTSVAEEANMVTKAGEAVSLANNSDIHYLEASSVMAGLNQSGNESKQV >ONI35397 pep chromosome:Prunus_persica_NCBIv2:G1:43598596:43601821:1 gene:PRUPE_1G533300 transcript:ONI35397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYAIPLYQCQKEETPGRVPLFSCTVEIGGIRYVGAAAKTKKEAEIKVARTALLAIRSSKSESSMEPVGNTQLTVLPSKKRRAESNTKSEETANVPKPKKGRFKKRNFKKKLSGDMVGQTQVRNVGDMEVNVGGSEISGGGANPSVKPMDAEVTPNTHDSMSHVIPSENQTSALEPGSVPQVNTFSAKKGESTASFFNHGDYGTLDEGAFPISYGNITPLAKEVNMVSGAAEVVSLANNSNLQLFNHGDDNGTLDVEAYPISCGNLTSVAEEENMVSGAGESISLANNSNLQLFNHGEDNGTLDVDPISCGNITSVAEEANMVSKVGEAVSLANNSSLQLFNHSDDNGTHDVGAYPISCGNITSVAEEANMVSGAGEVVSVADNSNLQLFNHNDNRTLDVGANPISCGNVTSVAEEANMVTKAGEAVSLANNSDIHYLEASSVMAGLNQSGNESKQV >ONI35395 pep chromosome:Prunus_persica_NCBIv2:G1:43598192:43601821:1 gene:PRUPE_1G533300 transcript:ONI35395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNEGFQGVSNCYVFKSQLQEYAQKVEIPTPVYETIKEGPSHEPSFKSTVIVNNVRYDSLLGFSNRKLAEQSAAEVALVELAKSGDINQSISQPIHETGLCKNLLQEYAQKMNYAIPLYQCQKEETPGRVPLFSCTVEIGGIRYVGAAAKTKKEAEIKVARTALLAIRSSKSESSMEPVGNTQLTVLPSKKRRAESNTKSEETANVPKPKKGRFKKRNFKKKLSGDMVGQTQVRNVGDMEVNVGGSEISGGGANPSVKPMDAEVTPNTHDSMSHVIPSENQTSALEPGSVPQVNTFSAKKGESTASFFNHGDYGTLDEGAFPISYGNITPLAKEVNMVSGAAEVVSLANNSNLQLFNHGDDNGTLDVEAYPISCGNLTSVAEEENMVSGAGESISLANNSNLQLFNHGEDNGTLDVDPISCGNITSVAEEANMVSKVGEAVSLANNSSLQLFNHSDDNGTHDVGAYPISCGNITSVAEEANMVSGAGEVVSVADNSNLQLFNHNDNRTLDVGANPISCGNVTSVAEEANMVTKAGEAVSLANNSDIHYLEASSVMAGLNQSGNESKQV >ONI31984 pep chromosome:Prunus_persica_NCBIv2:G1:32184187:32187024:1 gene:PRUPE_1G343000 transcript:ONI31984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPIEQPDFAVEVEEKKVSDQTGEENELVLDGGFVVPPTNAFGHTFRDYDVNSDRRDGVEEFYRINHINQSVDFVKRMREEYGKLDKVEMSIWECCELLNEVVDESDPDLDEPQIEHLLQTAEAIRKDYPDEGWLHLTALIHGDTCPVGCAFDESIVHHQHFKENPDYNNPAYNTKYGIYSEGCGLDNVLMSWGHDDYMYLVAKENKSTLPSAGLFIIRYHSFYALHRAGAYKHLMNEEDTENLKWLKIFNKYDLYSKSKVCIDVEKVKPYYLCLIEKYFPAKLRW >ONI31983 pep chromosome:Prunus_persica_NCBIv2:G1:32184187:32187024:1 gene:PRUPE_1G343000 transcript:ONI31983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPIEQPDFAVEVEEKKVSDQTGEENELVLDGGFVVPPTNAFGHTFRDYDVNSDRRDGVEEFYRINHINQSVDFVKRMREEYGKLDKVEMSIWECCELLNEVVDESDPDLDEPQIEHLLQTAEAIRKDYPDEGWLHLTALIHDLGKVLHLPAFGELPQWAVVGDTCPVGCAFDESIVHHQHFKENPDYNNPAYNTKYGIYSEGCGLDNVLMSWGHDDYMYLVAKENKSTLPSAGLFIIRYHSFYALHRAGAYKHLMNEEDTENLKWLKIFNKYDLYSKSKVCIDVEKVKPYYLCLIEKYFPAKLRW >ONI31985 pep chromosome:Prunus_persica_NCBIv2:G1:32185060:32186716:1 gene:PRUPE_1G343000 transcript:ONI31985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEYGKLDKVEMSIWECCELLNEVVDESDPDLDEPQIEHLLQTAEAIRKDYPDEGWLHLTALIHDLGKVLHLPAFGELPQWAVVGDTCPVGCAFDESIVHHQHFKENPDYNNPAYNTKYGIYSEGCGLDNVLMSWGHDDYMYLVAKENKSTLPSAGLFIIRYHSFYALHRAGAYKHLMNEEDTENLKWLKIFNKYDLYSKSKVCIDVEKVKPYYLCLIEKYFPAKLRW >ONI34250 pep chromosome:Prunus_persica_NCBIv2:G1:39207651:39210791:1 gene:PRUPE_1G471100 transcript:ONI34250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKVENGSSSEGRPPNPLAGAYWQCFSHDGVPVLCKKSLVRHPSLMKTKTSDIKVEPGVQAEEFESKFIPVVRSGAWADIGSRPSMEDVYVCVDNFMHDYGLKNRTDGPSAFYGVFDGHGGKHAADFACFHLPKFIIENEDFPRDIERVVTSAFLHTDTAFEEACTLDATLASGTTALTALLIGRLLVVANAGDCRAVLCRRGKAIEMSRDHKPICGKEKQRIEASGGYVYDGYLNGQLNVARALGDWHMEGMKGRDGGPLSAEPEFMTAKLTEDDEFLIIGCDGIWDVFMSQNAVDFARRRLQEHNDPATCSKDLVDEALKRKSGDNLAVVVVCFQPQRPPNLIAPRSRVQRSFSAEGLRELQSFLDDLKT >ONI34251 pep chromosome:Prunus_persica_NCBIv2:G1:39208728:39210356:1 gene:PRUPE_1G471100 transcript:ONI34251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMSVLTILCTIMGSRIVLMAQVPFMGISQLDIPMLLVGQVFDGHGGKHAADFACFHLPKFIIENEDFPRDIERVVTSAFLHTDTAFEEACTLDATLASGTTALTALLIGRLLVVANAGDCRAVLCRRGKAIEMSRDHKPICGKEKQRIEASGGYVYDGYLNGQLNVARALGDWHMEGMKGRDGGPLSAEPEFMTAKLTEDDEFLIIGCDGIWDVFMSQNAVDFARRRLQEHNDPATCSKDLVDEALKRKSGDNLAVVVVCFQPQRPPNLIAPRSRVQRSFSAEGLRELQSFLDDLKT >ONI35240 pep chromosome:Prunus_persica_NCBIv2:G1:43009679:43011951:-1 gene:PRUPE_1G524800 transcript:ONI35240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALETLCGQAFGAKRHRMLGIYLQRSWIVLFFCCILLLPIYIFASPILKLLGQADDVAEQTGVVSLWLLPLHFSYAFLFPLQRFLQSQLKNFVTLWVSLVVLVFHALISWLFVYVLDFGVVGAAVALDISWWVLCFGLLGYVTCGWCPLSWTGFSMEAFYGLWEFVKLSTASGVMLWYYISLFFISFFVFLILILMTGYLQNATLAVDALSICMTINGWELMIHLAFFAGTGVRVANELGAGNGQAAKFAAKVSAAESTLIALFFCILIIIFRDQFGYIFTSSTDVLQSVSEMSYLLAVTILLNGVQPVLSGVAVGSGWQAWVAYINLFCYYIIGLPLGFVMGWVANLGVMGIWGGMILGGTAVQTVLLAIVTTRRDWEKEAQEASQRVNKWSTPNPDDQTEEQQ >ONI28016 pep chromosome:Prunus_persica_NCBIv2:G1:9227773:9233073:-1 gene:PRUPE_1G117200 transcript:ONI28016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEASCAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDAKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIHCKLYRPGSVGFVSKSGGMSNELYNTVARVTDGLFEGIAIGGDVFPGSTLSDHVLRFNNIPQVKLIVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALRDAGAVVPTSYEALETAIKETFEKLVEQGKITPVKEFKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAVDDAARYFKDAYDRNLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQAFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGTLFLDLLAGSGMFSKQEIDEIVDIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >ONI28015 pep chromosome:Prunus_persica_NCBIv2:G1:9227773:9232361:-1 gene:PRUPE_1G117200 transcript:ONI28015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEASCAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDAKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIHCKLYRPGSVGFVSKSGGMSNELYNTVARVTDGLFEGIAIGGDVFPGSTLSDHVLRFNNIPQVKLIVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALRDAGAVVPTSYEALETAIKETFEKLVEQGKITPVKEFKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAVDDAARYFKDAYDRNLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQAFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGTLFLDLLAGSGMFSKQEIDEIVDIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >ONI33624 pep chromosome:Prunus_persica_NCBIv2:G1:37219774:37222860:-1 gene:PRUPE_1G436300 transcript:ONI33624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPKPYGRSNPLLSLSTFIHQNCLQLGAELSSRLEDTKRLAGTLAGNLAPSARRRMCSAPVAPPFAWLSQSNHALAATISSDHVAKSLAGTAVYTVSNSNNEFVLISDPNEAKSIGLLCFRHEDAEAFLAQVRSRRELRSAAKVVPITLDQVYMLKVEGIAFRFLPDPVQIRNALELKSADRSAFDGVPVFQSDLLVMKKKNKRYCPIYFTKEDIEKELSKVSRVSRGPGVSQHIMVGSLEDVLRKMELSEKNSGWEDLIFIPPGKSYSQHIQDVVKA >ONI33022 pep chromosome:Prunus_persica_NCBIv2:G1:35392849:35395807:1 gene:PRUPE_1G400700 transcript:ONI33022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENKTIIPTIIPVNVGVVLDDLHSWEDIWWSCIKMALKDFYASHVHYKTRLVLHSRDAKKNVVHAAAADTALDLIKNEQVQAIIGPVTSMETTFVINFGDQAYVPIISFSATSPSLHFEAPTLSNLHKMTHPKEVVPIYIDTPYGEDRFSLMPYKRLMPASPTKSVISPTATVDQITAELYKLQTTQTGVFVVHMTIELCSKLFTKAKEIGMMPHGYTFKIFLPFALPFDFIPFAKPDGTSAGSYDDLCYQVYLGKFDAVVGDTTTTSLASLYTFQQLQPSVTDIKDLVTKEESIGYLNNSYVYDILKHVGFDDSKIKGFRTMWEIDEALSKGSRKGGVAAVVDQTPNMKLSVAKYCSKYTMIGPIFKTDGFGFVRSLPSLYNTSILPFLIKEIDKPMRSMTGTERILDTEKKWFKEGRNCQDLNSPKVSSNSLGLESFWVLFLISGVASTLALIIFIASFIYRHRHILMHPADSEASTWRNILTMLKTFNEKDLTRRTFKLTSQSQDAVKASPSNISPQIVLCFMKRKRH >ONI33403 pep chromosome:Prunus_persica_NCBIv2:G1:36450164:36452713:1 gene:PRUPE_1G422100 transcript:ONI33403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEESPKFNPTQHFSFPCPKPPQQLPVFPTNFPQKIPKFTWKIRPNTKPKMSTIKDTSLTIDELGCSVSFADFPEDVQLCVLSFLTPPEIASFACTSKRFVSLCKNDGKLWFSMCDRRWGSKTQIKKWGNGKITYRILYQTLSDWENLIGFWRQGGIVTSPPLIFFEWGPSFMTGFRVSPAKDGTYGVVKAPFLWMSLSPEGQVVNFLDPEGRTEISEDFANSKRFKFAESDLVPVNLSLMGKTHFVVEEDMSFGARRSSSSVSGRGEDGGVGEDLIGAETSGSPGTSPDQLVVEIYQYFANRTSPGGDRASRRQRRREKEKQARRKLEPQHFVKIVDCSPTPTRPLQGLWKGIYDDMTLGFYLVAYDDIGGISCQKVCDSSGNFCSFARVIRKHPVFWTMNPTFIESPFSPEEENLYTSRIHLQPTAATDHIHQNLPLTGNKGVSRILCINFSHDLAIPNLAGTTTNPLHAQGRIWQYWDGTFGFGFLQDSFIRDLKRVAKNGCLLDTAEHLL >ONI33459 pep chromosome:Prunus_persica_NCBIv2:G1:36638237:36639793:-1 gene:PRUPE_1G425800 transcript:ONI33459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEQLCEAARNGEAEKLKTLIETGADVSYFDGDGLTPLMHAAKLGHGDAVKTLLEAGAPWNALSPSNLSAGDFAMDAGHENAYDILLNAGIQAELVLGTIARKENKNGDGNGDYLEDRVSFSEDKLMDSDSKAVMMAWEKPLMEAHAKAVCSGGGHVLNIGFGMGLVDTAIQQYSPVSHTIVEAHPEVYGRMVRTGWAQKDNVNIIFGRWQDVLPQLQSYDGIFFDTYGEYYEDLREFHQHLPVLLKPGGIYSFFNGLCGGNAFFHVVYCHLVSLELQNLGYSTQFIPLPVKDCLGEQVWEGVKQKYWQLDTYYLPVCQSVDDLE >ONI31822 pep chromosome:Prunus_persica_NCBIv2:G1:31515478:31516359:1 gene:PRUPE_1G332700 transcript:ONI31822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNNINTDENNSNNRNMDEEGSYMGVPIHSQVMKIKQEFEKIKHPSLEQPAELRLRRVLLRQITRQRSRSPLGLAA >ONI34048 pep chromosome:Prunus_persica_NCBIv2:G1:38534896:38538473:-1 gene:PRUPE_1G460800 transcript:ONI34048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQIAQQTWELENNIIPMDTPPSVAKSKADPSADAIFYYDEAAQAKFQQEKPWTNDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVDIGAFRTYPEGYKPPDDPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENHLSHSRFGPLITPPQRKKEEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNSVRQSNKSLTQPSLTEPSDPEPMVES >ONI29039 pep chromosome:Prunus_persica_NCBIv2:G1:15076852:15080639:1 gene:PRUPE_1G177000 transcript:ONI29039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRLLQHMLPSILILASLQACMGDTTVPAQLNNDVLGLLVFKSDLHDPSSYLASWNEDDDSPCSWDFVQCNPATGRVSQLSLEGLGLLGRIGKGLQNLQHLKVLSLSNNNFSGDISTEKLALPPNLESLNLSRNSLSGLLPTALVNMSSIKFLDLSENSLSGPLPDNLFDNCFSLRYLSLSGNLLQGPLPSTLPRCSVLNGLNLSNNHFSGNPDFASGIWSLKRLRTLDFSNNAFSGSAPQGISALHNLKVLLLQGNDFSGPVPADIGLCPHLGRVDISYNLFTGALPDSLQRLNSLTFFSLSDNMFTGDFPQWIGNMSSLKYLDFSNNGFTGSLPASIGDLKSLSYLSLSNNKLVGAIPLSLAYCNALSVIRLSDNSFSGSIPEGLFDLGLEEIHFSQMGLTGSIPPGSSRLFESLKMLDLSRNNLKGNIPAEVGLFSNLRYLNLSWNNLQSRMPPELGFFQNLTVLDLRNSALFGSIPGDICDSGSLGILQLDGNSLNGPIPNEIGNCSSLYLMSLSHNNLSGLIPKSISKLNKLKILKLEYNELSGEIPQELGRLENLLAVNISYNRLVGRLPVGSVFQSLDQTALQGNLGICSPLLKGPCTMNVPKPLVLDPNAYNNQMGGHRHRDESPMSTTDRHHMFLSISAIVAISAATLIVVGVIIISLLNVSARRRPAFVETALESMCSSSSRSGSLASGKLILFDSRSSPEWISSPESLLNKASEIGEGVFGTVYKIPLGVQGRVVAIKKLVTSNIIQCLEDFDREVRILGKARHPNLIALKGYYWTPQMQLLVTEFATNGSLQSKLHERLPSTPPLSWANRFKILLGTAKGLAHLHHSYRPPIIHYNIKPSNILLDENYNPKISDFALVRLLTKIDQHVVSNRFQTALGYVAPELACQSLRVNEKCDVYGFGVLILELVTGRRPVEYGEDNVVILTDHVRVLLEQGNVLGCIDLSMGEYPEDEVLPVLKLALVCTSQIPSCRPTMAEVVQIMQIIKTPIPHTLEAF >ONI31494 pep chromosome:Prunus_persica_NCBIv2:G1:30521909:30522693:1 gene:PRUPE_1G316700 transcript:ONI31494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDWKELLARRGHGDGGAGSGEISLSSSSSSAAFVMLLLWAAFVTFAVISAVIFSCADGVPKDKTSSSATHTGTHGATCAAAGCSAGCGA >ONI30208 pep chromosome:Prunus_persica_NCBIv2:G1:25283557:25287835:1 gene:PRUPE_1G238100 transcript:ONI30208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESACLMRSFSSPSKCSSEVKEGDPLRCLGESISFGRYMSEPLAWEKWSAFSHNRYLEEVEKFSKPGSVAEKKAYFEAHYKRKAAEKAAALLEVTNASASNVSESVNMYKNCDSFSNIESANGESHMVVDKQQENFVTNSEVVVCPADMSGPNPNVEGNQLDVSMVDGAEAVVQESVNLANPIQVEISNKFENDKDQDEIVATQEEKIPNKEAAGEENLASTNKKRLINSSPRLSTKGRASKAPMSPAKQATRVQTINGKNVTQKGKKFSSDLVDKRRLTGKSLHMSIHFSSRAGESETSKITSPVVEKTKNSRSNTTMFNISMNKPASRQSTARASVNGVLKQSSADLWSIDRREKTLLNKSVAGAIADGIWTSSSKEQSSTANGSKPRPPIASCPFIFKSQERAEKRKEFFQRLEEKKNAKEAEKKQLQLRSNKKEKAGGDVNKLRQCTGLKAKLNQDLSSGSQFPSNHLNKIPLAQPRSPRLGRQSTLSKALDPSSRPSVNSHRSNYATKKYKVSTNRSVPSLLKKNAHENSPPNIQS >ONI30209 pep chromosome:Prunus_persica_NCBIv2:G1:25284251:25287765:1 gene:PRUPE_1G238100 transcript:ONI30209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWGDPLRCLGESISFGRYMSEPLAWEKWSAFSHNRYLEEVEKFSKPGSVAEKKAYFEAHYKRKAAEKAAALLEVTNASASNVSESVNMYKNCDSFSNIESANGESHMVVDKQQENFVTNSEVVVCPADMSGPNPNVEGNQLDVSMVDGAEAVVQESVNLANPIQVEISNKFENDKDQDEIVATQEEKIPNKEAAGEENLASTNKKRLINSSPRLSTKGRASKAPMSPAKQATRVQTINGKNVTQKGKKFSSDLVDKRRLTGKSLHMSIHFSSRAGESETSKITSPVVEKTKNSRSNTTMFNISMNKPASRQSTARASVNGVLKQSSADLWSIDRREKTLLNKSVAGAIADGIWTSSSKEQSSTANGSKPRPPIASCPFIFKSQERAEKRKEFFQRLEEKKNAKEAEKKQLQLRSNKKEKAGGDVNKLRQCTGLKAKLNQDLSSGSQFPSNHLNKIPLAQPRSPRLGRQSTLSKALDPSSRPSVNSHRSNYATKKYKVSTNRSVPSLLKKNAHENSPPNIQS >ONI27798 pep chromosome:Prunus_persica_NCBIv2:G1:8463840:8467647:-1 gene:PRUPE_1G105100 transcript:ONI27798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPSMELYYPRHHHRLLHQEPIPKTHDRTIRIVPGTVTVQRCCTQRIRRLGLLKTRSFLLEQTGGKMVVELVGAFNELTERMGMLSSSGSQLLFKALKLSIPLLHALPLTPDGRSSLSRALSTSLLLADLQMDAEVISAGILRPVVEAGAISILDVRNQIGTGTAHLLHESLRVLKVPSKVDVLDDGSAAALRKFCLTYYDMRAVILDLVLRLDMMRHLGYLPRYQQQSISLEVMKIHAPLAHAVGTNWLSLELEDLSFQYLFPHSYLYVDSWLKSHESGSKPLVDVYKEQLLQSLRVDPLLAGMVVDVSVDGRYKSRFSTMKKLLKDGRKPEQVHDVLGLRVILEPRSGKDMAEVGESACYRTREVVRSLWKEMPHRTKDYIARPKTNGYRSLHMAVDVSDCGKSRPLMEIQIRTKEMDMLADAGTASHSLYKSGLTDPEEAKRLKAIMMAAAEFAALRLQDIPSTNHEGIEMEQSQSDRVFRLLDKNGDGRINIEELMEVMEELGAPGEDAREMMQLLDANSDGSLSSDEFDFFQRQVEFMRNFEDKDELYKTVLNEKLQIANSSSLIQVSGEDLSSRLGN >ONI30045 pep chromosome:Prunus_persica_NCBIv2:G1:24132613:24133898:-1 gene:PRUPE_1G228100 transcript:ONI30045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMACTTERRSSSTTPTPNKWTDELPADLLELIVKKLAWNFVDIIRFKAVCSSWNRAARSYTSAPYHTQLPPQYPWLKLFTQRDKQQYPWPSHDHHSWCFFSLADNNVYKMDWDTSQGFGFHDRAVSFLGSSHGWLVVRENKPPRGSSIHKVVLSSDPSRNNNFVVVHGRGGDNAAAWTDLGGYPWYGNSDIVFHNNGHLFALWIDHSIKVWDFGDTCNDNIPTKIMNFQPSMDLNVIGGGSMTKDERWFVDSMGHLLLVGRESSENDSRSGAVEFYIYKLNIAAKTWEKVECLPDCALFLGRNQPAMSLSTQELPRLKENSIYEWKLQKDCDYNFDIHVEVYNLETKLVKPYYTTRVPKSASCSPPVWIVPSAFGPIREKIVLSTWLR >ONI34592 pep chromosome:Prunus_persica_NCBIv2:G1:40735513:40742774:-1 gene:PRUPE_1G489300 transcript:ONI34592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCENSAFVDGLLCESVTEQQMGSEILVQSISELPSCSDDKPLLDSNVDPTSISGRSLGLSRNDNADAGCMSWGEIVDVDIDGLMGEHENANVSEPGNILTREWGLNRCCLTESQSNVDDSNREGGPQGEDRSAGSFGDCEIPLEVIPQTVSPGHGIQQDEQRYDKSVSYLFSEGVREVTEEMKNDIHELVSPLQGCEIPSELADMEACGSSQQEEKGFNIISGSSFEAACEESVVLARIEADLCNRTSPKRDGGMPSEVLYACDLVSNCVWKNEEMEDNGFSGLNSLSTERTTEVVETRCNQILPSQGFRRTLEDLHMPDSPSSCAQQNDLRSDKVNGGSFVERATEYLEETSDALPDERAVIHTQILPVKVNVYYSKEGSSKVAPDCVIENSVSMQSCQTFGTANNSVNGPSADSVTEVVEMESHIDVHNQIVPPECCQRAFEGSHVSDSPSVCTQENGEKSNDFLSAKRVTEFEEQKSDATTDIKVEIGAQILLLEEKASNLKEVSSELAPKSIHEKSVSMQSSQPFDIVNSGSSERLDVPDKDSPAHVDSSTSFDRYGEMDHEGNDNVRVDCVSNTKCVALSSRRSGRSRKTQTKRAPRKGRNTSKVLDPFGSVEIVFKAAGRKRSCLSKPARSSIWGLLGNVTQSFEESNRLEVSQGLIQGSQKGRGGQRSGKRNPSGASGNSRGSRGKCRASTNRVRLKVKLGKEVGKSSFYITVPEVVDNTAYENSVEKENGIEGNWNKEATLREDKTCPDAPVLDGDLANKDLESVVLTENSAEDVIENFPGGSSHTIAVSSGGSVGTNYRDPGTSPDSEVTNLVPDADVEARPLEDSNGIVLTSDKAFSASGDFIGTKRGKKKHKVPHAENCVREDGIPCPASINKEKPSKQDGRRQNVSQDFCPSETFTSSTCANASSNSSSDMESSLEPLRLSGETDHGISRDVLKVEIGAEAKTHCNLDVGLGLSKSQSSKTKGLKPPKGRSRGCGSASKKGNSHRVRENQKKSVNQKNAMEKAVGDQVACKVESLPESDDHLVDGIRKANSVKDAVCIGVPNLDTVPVDLDKQYVPPRNAWVLCDDCHKWRRIPAELADVIDEIKCTWTCRDNKDKAFADCSIPQEKSNSEINAELDISDASGDEDASVTRLNYKELERRRPTVSQQNVASIKTNQFLHRNRKTQTIDEIMVCHCKPPSDGQLGCGDDCLNRMLNIECIRGACPCRDLCSNQQFQKRRYAKLEKFRCGKKGYGLRLLDDIFKGQFLIEYVGEVLDTHAYEARQKEYALKAHRHFYFMTLNGSEVIDACAKGNLGRFINHSCDPNCRTEKISLSLPQ >ONI34593 pep chromosome:Prunus_persica_NCBIv2:G1:40735339:40743395:-1 gene:PRUPE_1G489300 transcript:ONI34593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEILVQSISELPSCSDDKPLLDSNVDPTSISGRSLGLSRNDNADAGCMSWGEIVDVDIDGLMGEHENANVSEPGNILTREWGLNRCCLTESQSNVDDSNREGGPQGEDRSAGSFGDCEIPLEVIPQTVSPGHGIQQDEQRYDKSVSYLFSEGVREVTEEMKNDIHELVSPLQGCEIPSELADMEACGSSQQEEKGFNIISGSSFEAACEESVVLARIEADLCNRTSPKRDGGMPSEVLYACDLVSNCVWKNEEMEDNGFSGLNSLSTERTTEVVETRCNQILPSQGFRRTLEDLHMPDSPSSCAQQNDLRSDKVNGGSFVERATEYLEETSDALPDERAVIHTQILPVKVNVYYSKEGSSKVAPDCVIENSVSMQSCQTFGTANNSVNGPSADSVTEVVEMESHIDVHNQIVPPECCQRAFEGSHVSDSPSVCTQENGEKSNDFLSAKRVTEFEEQKSDATTDIKVEIGAQILLLEEKASNLKEVSSELAPKSIHEKSVSMQSSQPFDIVNSGSSERLDVPDKDSPAHVDSSTSFDRYGEMDHEGNDNVRVDCVSNTKCVALSSRRSGRSRKTQTKRAPRKGRNTSKVLDPFGSVEIVFKAAGRKRSCLSKPARSSIWGLLGNVTQSFEESNRLEVSQGLIQGSQKGRGGQRSGKRNPSGASGNSRGSRGKCRASTNRVRLKVKLGKEVGKSSFYITVPEVVDNTAYENSVEKENGIEGNWNKEATLREDKTCPDAPVLDGDLANKDLESVVLTENSAEDVIENFPGGSSHTIAVSSGGSVGTNYRDPGTSPDSEVTNLVPDADVEARPLEDSNGIVLTSDKAFSASGDFIGTKRGKKKHKVPHAENCVREDGIPCPASINKEKPSKQDGRRQNVSQDFCPSETFTSSTCANASSNSSSDMESSLEPLRLSGETDHGISRDVLKVEIGAEAKTHCNLDVGLGLSKSQSSKTKGLKPPKGRSRGCGSASKKGNSHRVRENQKKSVNQKNAMEKAVGDQVACKVESLPESDDHLVDGIRKANSVKDAVCIGVPNLDTVPVDLDKQYVPPRNAWVLCDDCHKWRRIPAELADVIDEIKCTWTCRDNKDKAFADCSIPQEKSNSEINAELDISDASGDEDASVTRLNYKELERRRPTVSQQNVASIKTNQFLHRNRKTQTIDEIMVCHCKPPSDGQLGCGDDCLNRMLNIECIRGACPCRDLCSNQQFQKRRYAKLEKFRCGKKGYGLRLLDDIFKGQFLIEYVGEVLDTHAYEARQKEYALKAHRHFYFMTLNGSEVIDACAKGNLGRFINHSCDPNCRTEKISLSLPQ >ONI29186 pep chromosome:Prunus_persica_NCBIv2:G1:16905014:16906019:1 gene:PRUPE_1G186100 transcript:ONI29186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMGAAAASREKPLMIPNSNNFFSELEVNAIELLVQLSGSSCCNGGSTEEESDVKSRAAPPTFFAEDEDEEEVSFGPRKKRFRSISELYKLIEPLNLVIVAAKENKRKRKRKRSI >ONI35223 pep chromosome:Prunus_persica_NCBIv2:G1:42879006:42891464:1 gene:PRUPE_1G523500 transcript:ONI35223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTPHWYDSHVAKFHLFASPFSSHNGSSCTVASPVLDMGLKLIKQVSGSNQQGIAKTQDCVKHRTANVYGQRAQERVLEDEAPQMSETSPSWKTFPGQAFPLGVSEVDSGTNFAIFSQHATAVTLCLSLPERLGRVDGGMMEFSLDRNVNKTGDIWHICIKDLPRSNVLYGYRMDGPRGWHEGHRFDSRVVLIDPYAKLVDGRRFFGDSSKKFSKFLGTYDFDSLPFAWGDNYKLPNIPEKDLVIYEMNVRAFTASESSGLDPDIRGSYLGVIEKIPHLQELGINAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFAPMSRYASDGGGPLKASQEFKQMVKALHGAGIEVILDVVYNHTNEADDANPYTTSFRGIDNKVYYMLDLNNNGQLLNFSGCGNTLNCNHPVVMELIIDSLRHWVTEYHVDGFRFDLASALCRGSDGSPLSAPPVIRAIAKDSILSRCKIISEPWDCGGLYLVGRFPNWDRWAEWNGKYRDDLRRFIKGDSGMKGSFATRVSGSADLYKVNKRKPYHSVNFVIAHDGFTLYDLVSYNFKHNDANGEGGNDGSNDNLSWNCGYEGETDDSTVKAIRSRQMKNFHLALMISQGTPMMLMGDEYGHSRNGNNNSYGHDTAINHFQWGLLEARNSNHFRFFKEVIKFRRTHRVFGRDTFLEKNDVTWHEDNWHNYESKFLAFTFHDNNGGDVYMAFNAHDYFIKVPIPAAPVKRRWFRVVDTNLESPNDFVLDGVPGIKSTYNMAPYSSILLEAK >ONI35224 pep chromosome:Prunus_persica_NCBIv2:G1:42880991:42891464:1 gene:PRUPE_1G523500 transcript:ONI35224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEFSLDRNVNKTGDIWHICIKDLPRSNVLYGYRMDGPRGWHEGHRFDSRVVLIDPYAKLVDGRRFFGDSSKKFSKFLGTYDFDSLPFAWGDNYKLPNIPEKDLVIYEMNVRAFTASESSGLDPDIRGSYLGVIEKIPHLQELGINAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFAPMSRYASDGGGPLKASQEFKQMVKALHGAGIEVILDVVYNHTNEADDANPYTTSFRGIDNKVYYMLDLNNNGQLLNFSGCGNTLNCNHPVVMELIIDSLRHWVTEYHVDGFRFDLASALCRGSDGSPLSAPPVIRAIAKDSILSRCKIISEPWDCGGLYLVGRFPNWDRWAEWNGKYRDDLRRFIKGDSGMKGSFATRVSGSADLYKVNKRKPYHSVNFVIAHDGFTLYDLVSYNFKHNDANGEGGNDGSNDNLSWNCGYEGETDDSTVKAIRSRQMKNFHLALMISQGTPMMLMGDEYGHSRNGNNNSYGHDTAINHFQWGLLEARNSNHFRFFKEVIKFRRTHRVFGRDTFLEKNDVTWHEDNWHNYESKFLAFTFHDNNGGDVYMAFNAHDYFIKVPIPAAPVKRRWFRVVDTNLESPNDFVLDGVPGIKSTYNMAPYSSILLEAK >ONI30174 pep chromosome:Prunus_persica_NCBIv2:G1:24878247:24882492:1 gene:PRUPE_1G234800 transcript:ONI30174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMAPFPQFDLLRVLLRISDSSRQNKLDEALQSLKSQERSSATMLLESQILFRLNKMDACIDIYQNLPKPKIESVEVNSVAGLVAAGRASEVKRMMDKLGVKANNSFELAYNSACSLIELNKYTDAEQLLLVARRIGQETMVSDNFPEDEIENELAPISVQLAYVQQLLGHKQEAIEAYTDIIKQDLADELSLAVAVNNLIALRGPRDVSDGLRKFDRLREKDKQNFHLAPGVDLKLFSKQRESIYVNRVLLLLHANKMDQARELVAALPDMFPDSVTPALLQAAVFVRENKAGKAEDILGQFSEKFPDKSKVVLLARAQVAAAAGHPHIAAESLAKIPDIQHMPATVATLVSLKERAGDIDGAAAVLDAAINWWSNAMTEDNKLTVLMQEAASFKLRHGREEDAARLYEELVKSHGSVEALVGLVTTVARVDVKKAEAYEKKLKPLPGLKAVDVNSLEKTSGAKHDEGISHVRITETYEEGKSKAKSKKKRKRKPKYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGSVARDKHEAGATSNTSNSKSNQTTTSKGASQNAVAEPSKPSSSKSRKKSRN >ONI30173 pep chromosome:Prunus_persica_NCBIv2:G1:24878247:24882492:1 gene:PRUPE_1G234800 transcript:ONI30173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRARDKQKAPAPQPPPPSLEDLFASLNRHIERSEFEEAVRVADQVLSISRGDEDAIRCKVVALVKADDVDGALSTIRSSKSPPADFRFFEAYCLYRQNKLDEALQSLKSQERSSATMLLESQILFRLNKMDACIDIYQNLPKPKIESVEVNSVAGLVAAGRASEVKRMMDKLGVKANNSFELAYNSACSLIELNKYTDAEQLLLVARRIGQETMVSDNFPEDEIENELAPISVQLAYVQQLLGHKQEAIEAYTDIIKQDLADELSLAVAVNNLIALRGPRDVSDGLRKFDRLREKDKQNFHLAPGVDLKLFSKQRESIYVNRVLLLLHANKMDQARELVAALPDMFPDSVTPALLQAAVFVRENKAGKAEDILGQFSEKFPDKSKVVLLARAQVAAAAGHPHIAAESLAKIPDIQHMPATVATLVSLKERAGDIDGAAAVLDAAINWWSNAMTEDNKLTVLMQEAASFKLRHGREEDAARLYEELVKSHGSVEALVGLVTTVARVDVKKAEAYEKKLKPLPGLKAVDVNSLEKTSGAKHDEGISHVRITETYEEGKSKAKSKKKRKRKPKYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGSVARDKHEAGATSNTSNSKSNQTTTSKGASQNAVAEPSKPSSSKSRKKSRN >ONI31242 pep chromosome:Prunus_persica_NCBIv2:G1:29575643:29576932:-1 gene:PRUPE_1G300900 transcript:ONI31242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYHDHAIPELPTEIIFTHILPRLPPKDLMMRCTCVCKSWSSFIRSSSFVAAFRNFCGNDNNKSTTNFLFQKYHRFLSSKIEKQGNVSTPAVGICEVFEYCLSYDGKIQELGRYYPDVQSVHGLICGSSSCQPEFFILNPSTREYIELPHAKERPVNHYAHHAYHFGFSPLANEYKVLQVLSFRLDQGDKWDLAFNTFTLGRDSWWRPLQVDHGDLPFDALAYASDSRGKRSTASVCLNGAVYWIYEEQKMLVAFDVKEETFKALPLPEDYDQVFANYYADQDYEQYSGIDANDYCCPTMVKVGGCVGVFADMSWKHDKIVLWILKDYQNIVWVKETISLASEREYLGYRRCIEALGTIHTGEFALVHYFIGFSPGYDDGPPELRLYDMKSKQYRVIDFAFPDYQQNRVYPFPIKLINIYDDSIVPLK >ONI33179 pep chromosome:Prunus_persica_NCBIv2:G1:35838256:35840987:1 gene:PRUPE_1G409800 transcript:ONI33179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSISFERKQGEVDLGTCREESETQNRSIGSDKMSVQRSISFKNWEFTEPKLEASDRTFEKSGSTSSVGRNCEKLQIKKPTIVLPEPMVYFSPKPVSELDAAATRLQKVYKSYRTRRNLADCAVVVEELWWQALDFAALKRSSVSFYSTEKHESAESRWARARTRAAKVGKGLCKDEKAQKLALQHWLEAIDPRHRYGHNLQFYHDVWSDCRSIQPFFYWLDVGDGKDTNLKKCPRTVLQRQCIKYLGPKERDAYEVIVENGRLVHKPSGMPVETVEGSKWIFVLSTSRALYVGQKRKGSFQHSSFLSGGATTAAGRLVAHNGVLEAIWPYSGHYLPTEDNFKEFISFLEEHHVDLTNVKMCATDDDKASYKAPYDDMSKTELNGSITNDPNPIKHQHEGSMGSNAEAPAFDLSRPLSCKWTSGLGPRIGCVRDYPANLQFMALEQVNLSPKVSLGHHGSCAPIPSPRPSPKIRVSPRLAYMGLPSPRVPVPTAN >ONI31482 pep chromosome:Prunus_persica_NCBIv2:G1:30487321:30487781:-1 gene:PRUPE_1G315800 transcript:ONI31482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQKFKLLATQCGVAQSPTRSPRTSPLIQLRRRKPTLLMLLTRSSSRRRDTPVQSLPQKAPAPVQRHSLKDLFVSSPPFELNEKRKSEIDTRQEFGLVVAGKAVGGVGPGSPRPGWTGFRYKSLLLRRAWRPVLVTIPE >ONI28666 pep chromosome:Prunus_persica_NCBIv2:G1:12122408:12124247:1 gene:PRUPE_1G154000 transcript:ONI28666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLRLLLPLFLSCVVLFSHQVKCDGDEEDSLLRGINGYRASTLNLTILTKNANAECLADEIAEQLKNQPCTNTTGANTVPGTEPQFSDYPKLLAKCHLNVSNTRDGVIMPACVPSLVPSLVLTNFTESQYSESLNDTKFTGIGIGSEDNWIVVVLTTNTPDGSFTNAQGSQDEEESASSVPKISLVPYLLCLLMGFIFHL >ONI35564 pep chromosome:Prunus_persica_NCBIv2:G1:44381460:44382236:1 gene:PRUPE_1G543100 transcript:ONI35564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFLYGRGSHACSMFILSSTQNLNIIFWLHIQTCRNFDMCALLNVKCRYDIAC >ONI30742 pep chromosome:Prunus_persica_NCBIv2:G1:27808000:27809070:1 gene:PRUPE_1G271000 transcript:ONI30742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSPL >ONI26165 pep chromosome:Prunus_persica_NCBIv2:G1:668255:668929:1 gene:PRUPE_1G007900 transcript:ONI26165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRYNLMSIKLSRVKSLYDACMQVVKNSMPPCSSVVRNEKEEIPQEIFTTSHKDLRKEGSYWLAKTSESCSVVAALIATVSFATSATVPGGLNDKTGSPVLEDKPAFNAFTISSLLSLCLSLTALALFFSIITSRFKVGEFSISLPRKLLLGLTSLFASIASALVSFCTGHTFLLNQQLRHVAYPLYATTCIPITIFALAQLPLYYDLVQGIAREVPQQTYME >ONI30323 pep chromosome:Prunus_persica_NCBIv2:G1:25772752:25775068:1 gene:PRUPE_1G244300 transcript:ONI30323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRFIQLLGLAWNTDSGRHKQLKGSTINERSASKEVGAPISLDFSLQSKNIFVRIVHAGGREELYQNVVPASLLMEKYPGMCVARPEVFKNPQESLLGPEESLLPGQKYYIIPTTTVQKIKHKHEKKARAKEPAEGKESPDGIIVNAGGWDTDESSCSDKDYYISKERWSKRLRRKGIRGKKPFVPPLPKTKSCRGLGWEPSLTSVQELSP >ONI28683 pep chromosome:Prunus_persica_NCBIv2:G1:12225945:12226949:-1 gene:PRUPE_1G154900 transcript:ONI28683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTTKKEIASELLPLIRVYKDGTVERLWGSPYVPPCPHDPQTNVSSKDITISHNPTISARLFLPQNQIYQKPQHLLPILVYFHGGGFCFESAFSSDHHRLLNRLVSQAQVLAVSVEYRLAPENPLPNCYQDCWDALQWVSSHRTHKELDANNTKKEPWLVNYGNFDKLYIGGDTMKAGVERLCGDVKILGAFMSHLYFLGSKPIGSEPKVEDFEKWLPCKVWKFLYPSAPGGIDNPMVNPVGAGAPSLAGLGCPRMLVCVSSKDELSDRGVGEAEVFEVEGEEHAFHILSEAETENVKIMLERLASFLV >ONI30798 pep chromosome:Prunus_persica_NCBIv2:G1:27978247:27978898:1 gene:PRUPE_1G273500 transcript:ONI30798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKVRGPRLKEAKQVQNVTGIELNELNIIKVNPCLLKQPDNQLNTSVYVLSPSTVLFSFYIIYKKL >ONI31968 pep chromosome:Prunus_persica_NCBIv2:G1:32113936:32114807:1 gene:PRUPE_1G341800 transcript:ONI31968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKFGGGRRPTGTPSLAWSSVVVVVSLLAGASVVHNLYKPDLTLPPVDGVNGSKEGKQPEKE >ONI30186 pep chromosome:Prunus_persica_NCBIv2:G1:24953898:24970588:1 gene:PRUPE_1G235900 transcript:ONI30186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVEVISKEIIKPSSPTPDHLRYLQLSFLDQLAPPVYNPFVLFYEFNGEVTDRILGIDGKLLELTECYRN >ONI34827 pep chromosome:Prunus_persica_NCBIv2:G1:41486624:41488426:-1 gene:PRUPE_1G501300 transcript:ONI34827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQQPEVLKVLDVAKTQFYHFTAVVISGMGFFTDSYDLFCISLVTKLLGRIYYHVEGSAKPGSLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKGAYGITLMLMVGCSIGSGLSFGSSPTSVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIASVFAMQGFGILAGGMVAIVVSSIFRALYHADPYSVDPIGSTVSQADYVWRIILMFGAIPAALTFYSRMKMPETPRYTALVAKDQTKACQDMAKVLNVEIKEKQELAQPQNNRSSKFALFSKEFLRRHGLHLLGTASTWFLLDIAYYSQNLFQKDIFSAVGWLPSASSMSALDELYKIARAQTLIALCGTVPGYWVTVFLIDHIGRFAIQLIGFFFMTVFMFVLAIPYHHWTRPENNIGFIVIYGLTFFFANFGPNSTTFVIPAEIFPARFRSTCHGISAAAGKAGAIIGAFGFLYASQNQDKAKTDAGYPPGIGMRNSLIVLGVISILGFFFTFLVPESKGKSLEEMSRENEDEGDQVQPNRVEVTV >ONI35500 pep chromosome:Prunus_persica_NCBIv2:G1:44104048:44108833:1 gene:PRUPE_1G539800 transcript:ONI35500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMRTRKTDEASSSSSSSSSSKRWRYDVFISFRGEDTRKNFTGHLYVALKEAGINTFIDDDELRRGEDIGAELVRAIQGSRISVIIFSSRYADSGWCLEELVKIMECKRTLGQIVLPIFYDVDPSDVRKQTGSFAQSFHKHRDTDHNKVQRWRAALHEAANLSGWDLRNTLDGHEANFIRNIIKEITRRFNNTYLHVAPYQVGIDFRVQAISECLGVGFDDVRIIGISGMGGMGKTTVAKAIYNEFYDRFDGKSFLERVREKQLVGLQKQLLSDILKPTKIKVSSVAEGINVIGKRLGSLKVLLIVDDIDSVEQLDALAIKHDTFGQGSRIIITTRDEHLLNTLEVDQIYRVQPMEEEEALELLSWHAFKNGSPNQGYFKLAREVVDYCGGLPLALQVLGCFLGTRSIGEWESTLGKLEKIPCHEIHNQLKISYDGLSDDYERDIFRDIACFFIGMDKNYVTQILDGCGFFAEIGIKVLLERCLVFVDEKNKLMMHDLLRDMGREIERAESPKYPGKRSRLWHPEDVKSVLINKSGTEEIEGLALNLPSIEETSFSTEAFTNMKRLRLLKLNYVQLTGEYKYLSKNLRWLCW >ONI34158 pep chromosome:Prunus_persica_NCBIv2:G1:38850064:38851532:-1 gene:PRUPE_1G465800 transcript:ONI34158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQVNDRTDEYGGSLENRCRFALEIVEAVANEIGADKVGIRLSPFADYMESGYSNPKALGLYMAKSLNKYGILYCHVVEPRMKTVGDKSETPYSLLPMREAFKGTFIAAGGFDREDGNNAVAEGRADLIAYGRLFLANPDFPKRFELNAPLNKYNRETFYTSDPVARGRGQGGPTLDNISQDNSMASI >ONI30529 pep chromosome:Prunus_persica_NCBIv2:G1:26627258:26633265:1 gene:PRUPE_1G256000 transcript:ONI30529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEDGIVERSERLVVRSGSGGGSDSRWVDGSEVDSESPPFSMLSENIGREGYGSLRRRLAKKPKRVDSFDVEAMEIAGGGSHHSKDASVWSTLALAFQTLGVVYGDMGTSPLYVFADVFSRVKIESDVDVLGALSIVIYTIALIPLAKYVFVVLKANDNGEGGTFALYSLICRYAKVNLLPNRQPADEHISSFRLKLPTPELKRALRIKETLERRSFLKTLLLLFVLMGTSMVIGDGILTPAISVMSAVSGLQGEVPGFGTTAVVVVSIIILVVLFNIQRFGTGKVGVMFSPILALWFFSLGSIGIYNLVKYDITVLKAFNPAYIYFFFKKNDKEAWLALGGCVLCITGAEAMFADLGHFSVRAIQIAFSFVVFPCLLLAYLGQAAYLMKYPDSASRIFYNSVPGILFWPVFVVATLAAMIASQAMISATFSCVKQSMALGCFPRLKIVHTSRRRMGQIYIPVINWFLMIMCIVVVSIFQSTTEIANAYGIAEVGVMMVSTTLVTLVMLLIWQTNLFLALCFPLVFGSVEFIYLCAVLSKIFEGGWLPLVFAICFLCVMYTWNYGSVLKYRSEVREKISMDFMTDLGSTLGTVRVPGIGLLYSELVQGIPSIFVQFLLSLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCIARYGYKDIRKEDQYAFEQLLVESLEKFLRREAQDLALESNLNDSDVDDVSPRSWDSGVPGGDEIEELKIPLMHNGRLQDVGTSTSEETTAGTALPSSVMPSDEDPSLEYELSALREAIDSGFTYLLAHGDVRAKKNSFFFKKLVINYFYAFLRKNCRAGAANMSVPHMNIIQVGMTYMV >ONI30530 pep chromosome:Prunus_persica_NCBIv2:G1:26627258:26633265:1 gene:PRUPE_1G256000 transcript:ONI30530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSMVIGDGILTPAISVMSAVSGLQGEVPGFGTTAVVVVSIIILVVLFNIQRFGTGKVGVMFSPILALWFFSLGSIGIYNLVKYDITVLKAFNPAYIYFFFKKNDKEAWLALGGCVLCITGAEAMFADLGHFSVRAIQIAFSFVVFPCLLLAYLGQAAYLMKYPDSASRIFYNSVPGILFWPVFVVATLAAMIASQAMISATFSCVKQSMALGCFPRLKIVHTSRRRMGQIYIPVINWFLMIMCIVVVSIFQSTTEIANAYGIAEVGVMMVSTTLVTLVMLLIWQTNLFLALCFPLVFGSVEFIYLCAVLSKIFEGGWLPLVFAICFLCVMYTWNYGSVLKYRSEVREKISMDFMTDLGSTLGTVRVPGIGLLYSELVQGIPSIFVQFLLSLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCIARYGYKDIRKEDQYAFEQLLVESLEKFLRREAQDLALESNLNDSDVDDVSPRSWDSGVPGGDEIEELKIPLMHNGRLQDVGTSTSEETTAGTALPSSVMPSDEDPSLEYELSALREAIDSGFTYLLAHGDVRAKKNSFFFKKLVINYFYAFLRKNCRAGAANMSVPHMNIIQVGMTYMV >ONI33713 pep chromosome:Prunus_persica_NCBIv2:G1:37563146:37566923:1 gene:PRUPE_1G442800 transcript:ONI33713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGRSIYSIKDAGCHDLFVLKVPISNWLALKMQIWLLHFPWGQHCLYNHYSLSSTEERYLAFNLAIAGC >ONI32539 pep chromosome:Prunus_persica_NCBIv2:G1:33867100:33869336:-1 gene:PRUPE_1G371600 transcript:ONI32539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPTTLVPLLLFLSLLTSALASTVTPNDADPLIQQVVPEANDNLLLHAERHFSSFKATFGKTYATQEEHDYRFGVFKANLRRAKRHQGLDPTAVHGVTKFSDLTPSEFRRNFLGLKRLRLPSDANKAPILPTNDLPTDFDWRDKGAVTPVKDQGSCGSCWAFSATGALEGAHYLQTGELLSLSEQQLVDCDHECDPEEFGSCDSGCNGGLMNNAFEYTLKAGGLEREKDYPYTGTDDTCKFDKSKVVAAVSNFSVISTDEDQIAANLVHHGPLAVGINAVFMQTYVKGVSCPYICGKRIDHGVLLVGYGSSGFAPIRFKEKPYWILKNSWGQSWGEEGFYKICRGHNSCGVDSLVSTVASLHTSNH >ONI35788 pep chromosome:Prunus_persica_NCBIv2:G1:45304530:45305383:1 gene:PRUPE_1G554800 transcript:ONI35788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTHLRGGLNMMFQLATMLGIFIANMVNYGTQKLEPWGWRLSLGLAIVPAIVMTVGGIFFLETPNSLIERGSKEEGRKLLERIRGTENVNAKFQDMLDASEFASAIKHPFRNILERRNMPQLVMAIFVPTFQILTSINSILFYAPVLFQSMGFGGNAALYSSALIGVVLVSSTLISTAIVDKLGRIVLLISDGIIMIIYQFGENQELSKGFSVLVVAMICLFVVAFRWSWGPL >ONI26983 pep chromosome:Prunus_persica_NCBIv2:G1:4265836:4267780:1 gene:PRUPE_1G060600 transcript:ONI26983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMSSLKLMAKLSAALPTKTITDRGALTSLSTRVFVTAATRPLQSKKEDDEADACEKTKEAADAVKDGAKEVRHTCEFMRDTVEKTTKTITKMAKDTTEKISETADNITDKTKGTVSGAWGVAKNTTEIIKDKVVGK >ONI32368 pep chromosome:Prunus_persica_NCBIv2:G1:33432409:33439895:-1 gene:PRUPE_1G363600 transcript:ONI32368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFVFEPPSDEEYSDAEEEEQQEEQQEEEEDEQQEGVKPSRPRHSQSPWDFAAYSETVAEEHARRSTTSVDFKISKALQQRSVPISDPISDDGTSSGSESDKQEDYKPEDDEGDDATNVSDSKSFFSPSDGASFNANSFMELNLSRPLLRACEKLGYTKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRVPAIRVLVLTPARELAVQVHSMIEKLAQFTDIRCCLVVGGLSLKAQEAALRSMPDIVVATPGRIIDHLRNSMSVDLEDLAVLILDEADRLLEVGFSAEIRELIRVCPKRRQTMLFSATMTEEVDELVKLSLTKPVRLSADPSAKRPVTLTEEVVRIRRMREVNQEAVLLALCSKTFTSRVIIFSGTKQAAHRLKILFGLAGFKAAELHGNLTQVQRLDALELFRKQGADYLIATDVAARGLDIIGVQTVINYACPRDLTSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRAGSKLRSRIVAEQSITKWSQIIEQMEDQVAAIFQEEREEQALRKAEMEANKAENMIAHKDEIYSRPKRTWFVTEKEKRIVMKAAKASNESEKHSGIEVISAQQAEDLKLKEKRKREREKNLPRKKRRKLEAAREMLDEENQNEKSDGSGKSKKEKTGMPLVDVAYRRAKAVKAAKKATDAGKIGRKPSKKSSSTPQRTESRTDEMQDLFQSDMSQRKQNRKSNGAGKKKSKNSFKSKSRYKRR >ONI28638 pep chromosome:Prunus_persica_NCBIv2:G1:12049384:12050836:1 gene:PRUPE_1G152700 transcript:ONI28638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFITNAMCKIVISAIQNATTHVLTEKIRVIESLNKLPHLSVTIYSHWRKKSS >ONI30553 pep chromosome:Prunus_persica_NCBIv2:G1:26791763:26792708:-1 gene:PRUPE_1G258100 transcript:ONI30553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGGKLEVEIEVKSPSQKFWEGLRDSTTIFPKAFPHDYKSIDVLEGDGKAVGSVRLITYSEGSPIVKVSKETIDAVDEANKSVAYKVIDGDLLKYYKSFKCILTVTPKGDGSLVKWSSVFEKAHEQIPDPSLIKDFAVQNFQELDAYVLAN >ONI36224 pep chromosome:Prunus_persica_NCBIv2:G1:46930765:46934780:-1 gene:PRUPE_1G576300 transcript:ONI36224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPSALKRKQPDSNSPSESLTEHEKIVYNVIRSKQDMGIWTRDMKKEANLPDNLVGKSIKSLQAKNLIKEVVNVQSKGRKHYMASEFEPSKELTGGDWYSNGTLDKYYITCVKDGFAKIIYQLKVATLEGISDEVKKSGIFKTSFTRPQIEEILRVLVLDKRVTEVKSTGMGEFASFPVGKVCYKSISKGGTKREPKVGAMASIPCGVCPRISQCTPDGIISPRTCVYFTKWLDF >ONI29722 pep chromosome:Prunus_persica_NCBIv2:G1:22431332:22433627:-1 gene:PRUPE_1G210900 transcript:ONI29722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMKSDTTKGLALPPFSSSHLKYLSLSLSLPHPLCWREMASYQQWTLFVSLLCLVSATMGAPPRKPISVPFGRNYQPTWAFDHIKYFNGGNEIQLHLDKYTGTGFQSKGNYLFGHFHMQIKLVPGDSAGTVTAYYLSSQNAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIFLWFDPTAAYHSYSVLWNLYQIVFFVDDIPIRVFKNSKDLGVKFPFNQPMKLYSSLWNADDWATRGGLEKTDWSKAPFIATYKGFHIDGCEASVEAKFCATQGQRWWDQKEFQDLDANQWRRLKWVRQKFTIYNYCTDRVRYPTLPPECKRDRDI >ONI29724 pep chromosome:Prunus_persica_NCBIv2:G1:22431616:22433311:-1 gene:PRUPE_1G210900 transcript:ONI29724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYQQWTLFVSLLCLVSATMGAPPRKPISVPFGRNYQPTWAFDHIKYFNGGNEIQLHLDKYTGTGFQSKGNYLFGHFHMQIKLVPGDSAGTVTAYYLSSQNAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIFLWFDPTAAYHSYSVLWNLYQIVFFVDDIPIRVFKNSKDLGVKFPFNQPMKLYSSLWNADDWATRGGLEKTDWSKAPFIATYKGFHIDGCEASVEAKFCATQGQRWWDQKEFQDLDANQWRRLKWVRQKFTIYNYCTDRVRYPTLPPECKRDRDI >ONI29723 pep chromosome:Prunus_persica_NCBIv2:G1:22431332:22434084:-1 gene:PRUPE_1G210900 transcript:ONI29723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDTTKGLALPPFSSSHLKYLSLSLSLPHPLCWREMASYQQWTLFVSLLCLVSATMGAPPRKPISVPFGRNYQPTWAFDHIKYFNGGNEIQLHLDKYTGTGFQSKGNYLFGHFHMQIKLVPGDSAGTVTAYYLSSQNAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIFLWFDPTAAYHSYSVLWNLYQIVFFVDDIPIRVFKNSKDLGVKFPFNQPMKLYSSLWNADDWATRGGLEKTDWSKAPFIATYKGFHIDGCEASVEAKFCATQGQRWWDQKEFQDLDANQWRRLKWVRQKFTIYNYCTDRVRYPTLPPECKRDRDI >ONI36349 pep chromosome:Prunus_persica_NCBIv2:G1:47276558:47281882:1 gene:PRUPE_1G582000 transcript:ONI36349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGGKSFARRDHLLQIETKVRQWWEEKDVFRAESCEKPPEPGEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAYHRLRGANVLLPFGFHCTGMPIKASADKLAREIQKFGNPPVFTSELEQENQEVEAEAEDANNGAPPDKFKGKKSKAASKSSGQAYQWEIMRSFGLSDSEICKFQNPYNWLTFFPPLAVEDLKAFGLGCDWRRSFITTDVNPFFDAFVRWQVRKLKSMGKIVKDVRYTIYSPLDGQPCADHDRASGEGVQPQEYTIIKMEVVAPFPSKLKVLEGRKVFLAAATLRPETMYGQTNAWVLPDGKYGAFEINETDVFILTQRAALNLAYQKYSRVPDKPTCLVELTGYDLIGLPLKSPHAINQIIYTLPMLTVLTDKGTGIVTSVPADSPDDYMALHDLKAKPALREKYGVKDEWVMPFEIIPIINIPEFGNKAAEKVCADLKIKSQNEKDKLAEAKRLTYLKGFTEGTLIVGEFNGRKVQDVKPLIRSKLIEANEAIVYSEPEKRVVSRSGDECVVALTDQWYITYGEPEWKKLAEECLSSMNLYSDETRHGFEHTLGWLNQWACSRSFGLGTRIPWDEEFLVESLSDSTIYMAYYTIAHFLHNGDMYGSSKSAIKPGQMTDEVWEYIFCDGPYPESSDISSLILNKMKQEFEYWYPFDIRVSGKDLIQNHLTFCIYNHTAIMPKKHWPRGFRCNGHIMLNSEKMSKSTGNFRTIRQAIEEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWMEEVLATDSSLRIGPPTTYADRVFLNEINIAVNRTEQNYRDYMFRGALKTGFYDLQAARDEYRFSCGSGGMNRELVLRFMDVQTRLITPICPHYAEYVWRELLKKEGFVVNAGWPVADAPDLTLQSSNKYLQDSIVLMRKLYEKQRSGSKKANKKGAPVTAVTENKQLPIGLIYVNEQFDEWKAECLRILQSNFDRESCTFAPDRVIMEALQRSSIGQTKDFRQTQKLCMPFMKMKKDQAVAIGAQALDLKLPFGEIDILRENLDLIKRQIGLEEVEVLSASDPDALNKAGSLVKLVEQNPPSPGSPTAIFLSRS >ONI33052 pep chromosome:Prunus_persica_NCBIv2:G1:35488637:35492160:-1 gene:PRUPE_1G402500 transcript:ONI33052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGQVAGGLTEYDRQVLTAVNAGAASLSFVGSGFIVLCYVLFKELRKFSFKLVFYLALSDMLCSFFSMVGDPSRGFFCYSHGYSTHFFCVASFLWTTTIAFTLHRTVVRHKTDVEDMEAMFHLYVWGTSLVMTVVRSIGNNHSHLGTWCWSQSGRTGKAIHFITFYMPLWGAILYNGFTYFQVISMLKNARRMAVGMSDRAYQSDARAEMKALNRWGYYPLILIGAWAFGTINRIHDFIEPGHKIFWLSLLDVGTSALMGLFNSIAYGLNSSVRRAIYERFWPDRLQRWFPNSSRFRNQQQESELVALKIEDQH >ONI33053 pep chromosome:Prunus_persica_NCBIv2:G1:35489060:35491957:-1 gene:PRUPE_1G402500 transcript:ONI33053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGQVAGGLTEYDRQVLTAVNAGAASLSFVGSGFIVLCYVLFKELRKFSFKLVFYLALSDMLCSFFSMVGDPSRGFFCYSHGYSTHFFCVASFLWTTTIAFTLHRTVVRHKTDVEDMEAMFHLYVWGTSLVMTVVRSIGNNHSHLGTWCWSQSGRTGKAIHFITFYMPLWGAILYNGFTYFQVISMLKNARRMAVGMSDRAYQSDARAEMKALNRWGYYPLILIGAWAFGTINRIHDFIEPGHKIFWLSLLDVGTSALMGLFNSIAYGLNSSVRRAIYERLDLFWPDRLQRWFPNSSRFRNQQQESELVALKIEDQH >ONI27422 pep chromosome:Prunus_persica_NCBIv2:G1:6334524:6335174:-1 gene:PRUPE_1G085100 transcript:ONI27422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSPAKGSDLKTLAIFPASEFFYNKILSRNSSVGSSSSRSYFGGVTGSVPFQWEAKPGKPKLEAHDEEQQHQNFDFVQNPPLIIGPPPATQSLNMNTLPYSSGPFSNSRVWLWKKLKKTHKLKRKLKKINKNNGEANFDVAGLEQLYHQADLCKSDEEIMKFGSYGSSSSSSCNSSSLSSTASASVSSSSSSTSDQNFQPSKIQRLARGFIRWAF >ONI29085 pep chromosome:Prunus_persica_NCBIv2:G1:15686686:15689852:1 gene:PRUPE_1G180300 transcript:ONI29085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTFSHRRLERRQGQHFSLFPSISSTHPAGTRFRTYFADILEHRRYFVNGSCRGGGFAHFGDQIREPCNSAPNQVALVRPLKTHKTVQLLGKFPMSADLRRMHPSLKVHYHTFSSTRTLITSSRSFDYFTQFLPFANDPSSKSLSSINSNERRKVTVGLSRLIKKQQGYALKEFSRRFCPLLLAQVMKLLGSRETAFGFFKLALRDDSEQIVRSCCIVAHLLAGENLRFLAQDVVSCVIAKIEPSRSRDLVAFMWASHCEYESDFSVLDTLMRGFLKAEMGLEALEIVSRMREVGLRPSLSAITNLFRLLIRIGDYGSVWKVLRDMIRKGPRPCNYTFNVMILGFCRKGLLRVGESLLHVMWKFQCDPDVIAYNIVINANCVRGQTLDALHWVHLMTSRACKPSIVTFSTILNALCKEGNLVEARKLFDGIPDMGDPPSTIIYNTMIDGYVKARDMGQANMIYEEMMNKGISPDGITFNILIAGYYKYGREEDADRLLRDLSVSGLVPDSSLYDILVSGLCWAGRLDDAMKFLEDILEKGLPLSAVAFNSIIATCSRVGLEHKAYKAYKFMIAFGITPSSSTCSSLLMGLSKKGNLQEARELLCKIIEKGFPIKKAAFTVILDGYFRIGDLDGAQSLWNEMKGRGICPDVVAFSAFINGLSKAGLVEEAYDIFLDMSRKGFVPNNFVYNSLIGGFCNCGKLSDALKLESEMRKKGLLPDIYTTNMIINGFCKQGRMKSAVDTFMDMYRSGLTPDIVTYNTLIGGYCKAFDLAGADEFLCKMHASGWEPDITTYNIRMQGFCSVRKINRAVMMLDELVSRGVVPDTVTYNTLMNGACVDILDRAMILMAKLLKLAFLPNIVTTNVLLSQFCKQGMPEKALMWGQKFSDFPIWFDDITYKLLERAYHNMQEGSKISSGTPEKSLFLDFLMYITYDYLCRNKPRK >ONI32693 pep chromosome:Prunus_persica_NCBIv2:G1:34299924:34304985:1 gene:PRUPE_1G380200 transcript:ONI32693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSDFAQKLLDDLRVRKEKMAAPQSSNRSNSMAIDAYAYSKQTHRGRGDMRTNGTIGSRTGNPPNNYRGSGRTPIIQEASNQIVAYGRDRSSGQVGDLSMALAFALENGGKLGRTDSSSRNTMLGFLNQFGRGSIDFRKLERKGSVNSYWGSTNQFPNLSNIHIEEISRGAQKLNQILRACSNGISVDKFSIEIGKELMKGAMDLEESLRMLVNLQEASEYMVSSQRKNRITLLDEDDEDDEDNTVKPAEQMQLDLPRFSFDKSSRHAHKIQEVRRTGLKQKVTAALTYSTEGSSFNHEKQGKITSTSVSQRKSVSYSPGVKNQSIFSDQKESKQEKERIPNVIAKLMGLNELPENENLKHTAQKVSSSKPKRESRVTGQTIQETSKISGVRTKDIENLASTNRQKVVEGNKYPLLQNTSFVLQAEKGKIANNVSLEVVIHDGKPPWKDLEGPKPVKGPGKTTTKTDKQQKSPQIIKPDKPMGHNSFEAELVMQGKTGSKVLPSNQHKSQHNHEFQQPSMLWRSETPEEKRRGEVKEQQSAQQKLQSRKRGSETISKSISKEAVDLQKKQPHTDQARVNKKSMREAVAAAVQSKGVPNGTYNGNLARRKSSAELNLSLKDFSPNDQGPEPAKENFGISPVMEERPVHVAPLQKAKSRRVNKSEIPGRIDDVVTRRNGTLNNLTRPLKRQTSILQEVAHRSHEKLGGHNVAEKVKTSRLKQAEPRIIKSNKSTSSIRPSALAQNIQKEAEQASTLYDFNELECRSLKEPQNLVPNDICQNSVLVTDNQQDQAPVFGDDECTTGPNTLNGTHEDSLDISHPVQLEHQKTFNWRKQEPLTESENRLKQIVIKSQLFLNTAEALFKLDIPFGILHDSGRNCSQDEGSKLTLDCGYEVMKRKGRRQELNVHPNCVKISISFIQTQSLDELVKQLHKDFEKLKLYGRNGKLECEAEEYVPKMLESDMHNLEPDISCMWDMGWDQTMFAILEVDEVIKDLERLVLSGLVDELTRDLFHA >ONI31595 pep chromosome:Prunus_persica_NCBIv2:G1:30792335:30794243:1 gene:PRUPE_1G321500 transcript:ONI31595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVQVVLIMMVRLVFFLASIFLSVSSAKHSPSSVNVVSGIFIFGDSIFDAGNNHFNKNSTAQADFPPYGSTFFHYPTGRFTNGRTVADFISQFMGIDLQKPYLEARIEVLNGSLKDYPSNGINFASAGSGVLQATNRDLGVTSLQEQLRQFQTLVEQNQVNKTLVQNSIFLFESGSNDIFSYFIPIDPPKLDPDAYVQAMLTQVSKFLDQIYKLGARRVSVFSLGPVGCVPARALLPGTPIDRCYGKMNAMVNKFNKGLESLVNDMPSKHRGVLSVYGAVYDIVQHFRATPTRYRFSDVSSACCGEGTLGGMIQCGKEGYGLCPNPNEFLFWDYFHPSERAYKLLSKALWAGKKSRIRPLNLKTLVNISLPHV >ONI26858 pep chromosome:Prunus_persica_NCBIv2:G1:3576592:3577840:1 gene:PRUPE_1G050900 transcript:ONI26858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTVGRNVAAPLLFLNLIMYFIVVGFASWCLNKFINGQTNHPSFGGNGATMFFLIFAILAGVLGIASKLAGANHIRAWRSDSLAAAGSSSVVAWALTALAFGLACKQISIGGYRGWRLKILEAFIIILAFTQLLYILLLHAGVFSSKYGPGYRDTDYPATGVDPIHKGTAGAVPATRVV >ONI26859 pep chromosome:Prunus_persica_NCBIv2:G1:3576440:3577957:1 gene:PRUPE_1G050900 transcript:ONI26859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTVGRNVAAPLLFLNLIMYFIVVGFASWCLNKFINGQTNHPSFGGNGATMFFLIFAILAGVLGIASKLAGANHIRAWRSDSLAAAGSSSVVAWALTALAFGLACKQISIGGYRGWRLKILEAFIIILAFTQLLYILLLHAGVFSSKYGPGYRDTDYPATGVDPIHKGTAGAVPATRVV >ONI29918 pep chromosome:Prunus_persica_NCBIv2:G1:23653899:23654917:-1 gene:PRUPE_1G222200 transcript:ONI29918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIKGEKLRAMKSYKKQNNSQIFYSLIVHLLIAIACCLLCSYSHWFPFLYTAKHLLFMSLPNSWSGFFNPRCLFIVVNFIVVFLIGESRLSGRQSSPANEMYNEYVERTRSLRAPTSMFQEKKEERTELPILSQKEDNAKILEEKEVDETKEDKHEVDQDEDFKECEGTDEEEKEEEKEKKEEEIEQEKKEEEEAAGIPAEELNKRVEAFIARVNKQRSLEARFLVCSKA >ONI35200 pep chromosome:Prunus_persica_NCBIv2:G1:42783897:42785993:1 gene:PRUPE_1G521900 transcript:ONI35200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTPSPREENVYMAKLAEQAERYEEMVEFVEKVSASAEKEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVAMIRDYRSKIETELSNICDGILKLLDSRLIPSAFAGDSKVFYLKMKGDYHRYLAEFKTGTERKEAAESTLSAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAPKPEEPKQ >ONI29632 pep chromosome:Prunus_persica_NCBIv2:G1:21034419:21044611:1 gene:PRUPE_1G206800 transcript:ONI29632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGKSQKKVDGKPNKRKLRDENGVIEDDMDDEIDAFHKQRDIVPLDINEEAEDSDEDNEQPVFDFENINDGDDDDTRDTGFAAKIVRQQKFLTAKFGGVEDEMHDDDEEDEEDQNPVWGGRKQQYYDADNRDFEQQSSGDESAKEEEAEVLRLQRERAKSATQEDFGLEDICQNESDAELTFEEISRKGKITKKSPMGIEVSDDMGTAYEEVKKDLNSLSKEEKMDVLYSSAPELIGLLSELNDALEELQNRVDPLLSKVKNGEVMLEGGMRYLEVKQLLLLAYCQAITFYLLLKSEGQQVRDHPVLARLIEIKSLLDKMKQLDGNLPSDFEEILNKYNGMEAVVKSGKETATVASGSLAEGYSRPPAFVEAEEAAAPHDIAVLEKVESLKDNQNKVGKRKCQNDEVGVQSMKMLKVRAALEEKLKQKGVFSSITPKTDKPRKLLKPLNGKLESYDDFDDDAVNAEGTVHRLTNGRASKLSQLLGANPNKSKVVSGDDDLPQRDDIGERRRKHELRVLAGAGIKSDGDAGDENGAISDDGDVEMDDSGTGDSEDEFYEQVKEKRAAKLAAKAQIYSRSSTVPSLVETESVDGKRHITYQMEKNRGLTRARKKLIKNPRKKYKLKHQKAQERRKGQVREVKKPIGPYGGETSGINAGISRSIRFKN >ONI32090 pep chromosome:Prunus_persica_NCBIv2:G1:32495431:32498485:1 gene:PRUPE_1G347700 transcript:ONI32090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRAPCVHLGVILQLVMRSTQTSTRCPQPYFRPFHGIVRPLLSLNPSATDPNGNDAQSKPFTRKKRLQVFQSNLPLQSKYQVKISVCSLEREKKLKQGREGKRTMGSVRSWEETVRKAEKLVEEAMKDNDASHDAAHVWRVRDLALSLALEEQGLSLLSSNSNTMQIVELAALLHDIGDYKYLRDPSEEKIVENFLDEEGIEESTKMKILKIIKGMGFKDELAGSANNDLPPEFGVVQDADRLDAIGAIGIARCFTFGGSRNRVLHDPNIQPRSDLSKEKYMKKDEQTTVNHFHEKLLKLKSLMKTKAGQKRAERRHKFMEEFLVEFYEEWDGRA >ONI35927 pep chromosome:Prunus_persica_NCBIv2:G1:45817703:45821438:1 gene:PRUPE_1G561200 transcript:ONI35927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHEICDALHDMKPVLLMVAVQFSFAGVNIFYKLATNDGMSSRILVAYRFIFGTAFLLPIALIFERKSRPKLTWMVLLQGFLSGLFGGSLTQNLYIQSLALTSATFASAIAQLIPALTFVFAITCRLERLNLRSIAGKAKVLGTLMGIGGAMVLTFYKGAEINIWSTHVDLLHSSQQQNNHLAASAHADSGNRLLGCLLSLGSCFGFALWLIIQTKMGATYPCHYSSSALMSAMGSIQAVGFALIKERDWSQWKLGWNIRLLTVAYGGVVATGLSVTCIALCIRMRGPLFVSVFNPLMLVLVAIVGSLVLDEKLHLGSVLGAVLIVCGLYAVLWGKGKEINKSQSVAPSESFHHQDSGSIDIVIMPNGMSSNNEGLTSNNAPNTVEERRI >ONI34240 pep chromosome:Prunus_persica_NCBIv2:G1:39161572:39163424:-1 gene:PRUPE_1G470200 transcript:ONI34240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFCVWVICEARSRVQTGKFSLSTVYTSPKRQAEVCTRTRATFLRYVVVVVSLFFLLRLSIALSIQNPSSIICVPKWNTEKENKEEVSAEAEEQNIRIDPWQMIPC >ONI27608 pep chromosome:Prunus_persica_NCBIv2:G1:7586828:7588514:-1 gene:PRUPE_1G096100 transcript:ONI27608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSDPYRRNHNHVIPQPRPPPPKSNPKLLSLIIKALVMTSITSLFFLFVGLAAIVLFLFLAAGALHGRRAQSPLPSSGFSSRHLKALTQFRFRAQPQSQTTSPSPTPTDCVVCLDSFRDGQWCRKLAACNHVFHRRCVDTWLLKVSACPICRRRVRLDSGDADAVVGLDGEEEAKYMWNFNSNSNDLRVRVW >ONI32191 pep chromosome:Prunus_persica_NCBIv2:G1:32866856:32870293:1 gene:PRUPE_1G353300 transcript:ONI32191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSIVPKPAEYGGSDSATVEGTPEMSETHSKAVVAYPAAAAQVNSQSFLQLAMYPITLKFEEVFYKVKMEQKGGRGGVSWWGTNTSSREKSILSGITGTVCPGEILAMLGPSGSGKTTLLTALGGRLNHQNNCKFMSGKITYNGQPFCGSIKRRTGFVAQDDVLYPHLTVTETLVFTALLRLPKSLSRDEKVQHVEHVISELGLSGCRSSMIGGPLFRGISGGEKKRVSIGLEMLINPSLLLLDEPTSGLDSTTAQRILTTLKRLASGGRTLVTTIHQPSSRIYHMFDKLVLLSEGSPIYYGPASAALDYFSSIGFSTSLPSLNPADLLLDLANGIAPDSRHASEQRENMEQDQKTVRKALISAYDKNICAKLKAELCAGLEVNNYNNYMKDASARQGTEQHWCTSWWQQFKVLLQRGLRERRYEAFNRLRIFQVISVATLGGLLWWRTPTSHIEDRIALLFFFSVFWGFYPLYNAVFTFPQERRMLIKERSSGMYKLSSYFLARTVGDLPLELALPTAFVVIIYWMGGLKPDPFTFILSLLVVLYNVLVSQSLGLAIGAILMDIKQATTLASVTTLVFLIAGGYYIQQIPAFIVWLKYLSYSYYCYKLLLGVQYDEDEYYECSKGVLCRVGDLPAIKSMGGLNHLWIDVSILALMLLGYRLLAYLALHRVRLR >ONI29171 pep chromosome:Prunus_persica_NCBIv2:G1:16805877:16809266:1 gene:PRUPE_1G185100 transcript:ONI29171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSENSDTESNETWNISGNEIRSEGSEVVFLENNNEVVRTILRTRRRGGTIKIANNKIISTGGGRVGICKIGKNYYNWGVLIFLLVLIFGLANWEKSTATQRLSNQQATVAFRQLPMSVDLNLGTRADLEDNNQYRDSENYLGEEEL >ONI28239 pep chromosome:Prunus_persica_NCBIv2:G1:10539275:10545923:1 gene:PRUPE_1G133300 transcript:ONI28239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIIFIGVIGNIISVLMFLAPVGTFWRIVKHRSTEDFESLPYVCTFLNSFLWTYYGIIRPAGGLLVATVNGFGVVVEIIYLILFLVYAPAKMRAKTAILIGTLDVGFLVAAILATWLALQGETRIDALGFICAGLNIIMYGSPLVAMKTVITTKSVEYMPFFLSFFFFLNGGVWTLYAWLIRDVFLGVPNGIGFLLGTTQLVLYAIYRNAKPADNISSGLLEEGRQHEPLISPSSATPSQKNGEILETT >ONI31093 pep chromosome:Prunus_persica_NCBIv2:G1:28983480:28984721:1 gene:PRUPE_1G291900 transcript:ONI31093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASVETMLVRWKKHEGKEIEVFEEFRLLTSEVVSRTAFGSSYLEGKNLFEMLMKLYFLIFKNLFKFRFPGISKLIKTNDEVESKRLEKGIREGIIEIVKKREEKAIMIGETDSFGSDFLGLLLKAHHDSNEKKRISVDDLIDECKTFYFAGQETTNTLLAWTVFLLALHTDWQEEARKEILQIFGKQTPNSDGLAKLKTMSMIINESLRLYPPIVSIARKVEREVRLGKLIVPANVEIFIPSLAIHHEPQLWGEDVHLFKPERFSEGIAKATEKRIAAFLPFGMGPRNCVGLDYATTEAKIVLSMILQRYSFTLSPAYVHSPLQYITVRPQHGAQVILHSL >ONI31745 pep chromosome:Prunus_persica_NCBIv2:G1:31188320:31188738:1 gene:PRUPE_1G328100 transcript:ONI31745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMMLRYMKVTETSGSVKLWKYTLDGNVETLKEKVEIDEANKLVSLTALEGSHVLEKYKSCKIIFQVTPKSEGGLVKITLEYRRLNENDPPPHKYIRFFGQCHSRY >ONI26140 pep chromosome:Prunus_persica_NCBIv2:G1:542213:545603:-1 gene:PRUPE_1G006400 transcript:ONI26140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDVTKFLEDHPGGDDVLLSATGKDATDDFEDVGHSDNARDMLKDMYVGDIDASTIPSSTTYTPPKQPHYNQDKTSEFIVKLLQFLVPIAILGLAFGIRLYTKST >ONI26139 pep chromosome:Prunus_persica_NCBIv2:G1:542133:545812:-1 gene:PRUPE_1G006400 transcript:ONI26139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDGKFFTLAQVSEHNTPKDCWLIINGKVYDVTKFLEDHPGGDDVLLSATGKDATDDFEDVGHSDNARDMLKDMYVGDIDASTIPSSTTYTPPKQPHYNQDKTSEFIVKLLQFLVPIAILGLAFGIRLYTKST >ONI34353 pep chromosome:Prunus_persica_NCBIv2:G1:39932169:39933776:-1 gene:PRUPE_1G477300 transcript:ONI34353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVEESSNEASFDIENQATSMENRLTNLRALSPACWIYRVPERLRNVKQKAYTPQVVSIDPLHHASKNLEAMEDHKLRYLKCFLNRTKVVGLKDYLEKIKEREVDAAFVIELLLRNKSEEKFQEEKLQDDNAWIFKKPWVPQNILPDMLMLENQLPFFILEDLYNLTAAQTGEPSIIELSYRFFRLEKTWRTHQPSVNPTLKENVGLQSTPSMTKLHQAGVKFNVGSSKNLFDIQFKKNTLEIPKIEIHDYTELTHRNLIAFEQCHCVDKYISDYVFILDKFVNTPKDVELLVDNGIVVNTLGDNNQYCKKTTNRWKANLRQKYFNTPWAAISLFAAIILLILTLNIFHI >ONI35512 pep chromosome:Prunus_persica_NCBIv2:G1:44165128:44165959:-1 gene:PRUPE_1G540500 transcript:ONI35512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRTILGRRKNWQISYLLVERSFNIFSMIGLFFPIKVSSYFLGNHKRGSHTGLPFFFKFIFFKFTVNIKNVIASVK >ONI32973 pep chromosome:Prunus_persica_NCBIv2:G1:35173932:35182229:1 gene:PRUPE_1G397000 transcript:ONI32973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELDARHVDSSSSRPSVTIGSSLIPVINKLQDILAQAGSELDVSLPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLENRLPKPGDDRTEWGEFRHLPEKRFYDFSEIRAEIQAETEREAGLNKGVSDKQIRLKITSPNVLNMTLVDLPGITKVPVGDQPNDIEARIRKMVMTHISQQNCIILAVTPANSDLATSDALQMAREADPDGFRTIGVITKLDIMDRGTDARSFLLGKVIPLKLGYVGVVNRSQEDINKNRGIATALAYEEKFFNDHSVYRGLSDHCGIPQLARKLNEILEQHIRMVFPALKADLNSKMGTVLKELQTYGKPIESKMEQGVILLNILTQYCEAFAAMVDGKSQEMSTKELSGGARIHYILQSIFVKCLEEVDPCDDLTDDDIRMAIQNASGARNALFVPEVPFEFLVRRQIARLLDPSLQCLRFVYDELMKISHACEVTELQRFPVLRKHLDEVMVKFLRDGVEPAERMIGNLIEMEVDYINTSHPNFLGGNKAAELAMQLLKSPQGVTDAEGQTSQTSQTARTIRSGLLNGLLPNQGSRPQPNNENHVSSGTKAWIPSLFGSRTSAGEPMACRPFGEPSHSESMPSIIHLREPPSSLRPVQMTENKAVEIVVTKLLLRSYYDIVRKNIQDLVPKAIMHFLREPV >ONI32972 pep chromosome:Prunus_persica_NCBIv2:G1:35173907:35182243:1 gene:PRUPE_1G397000 transcript:ONI32972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELDARHVDSSSSRPSVTIGSSLIPVINKLQDILAQAGSELDVSLPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLENRLPKPGDDRTEWGEFRHLPEKRFYDFSEIRAEIQAETEREAGLNKGVSDKQIRLKITSPNVLNMTLVDLPGITKVPVGDQPNDIEARIRKMVMTHISQQNCIILAVTPANSDLATSDALQMAREADPDGFRTIGVITKLDIMDRGTDARSFLLGKVIPLKLGYVGVVNRSQEDINKNRGIATALAYEEKFFNDHSVYRGLSDHCGIPQLARKLNEILEQHIRMVFPALKADLNSKMGTVLKELQTYGKPIESKMEQGVILLNILTQYCEAFAAMVDGKSQEMSTKELSGGARIHYILQSIFVKCLEEVDPCDDLTDDDIRMAIQNASGARNALFVPEVPFEFLVRRQIARLLDPSLQCLRFVYDELMKISHACEVTELQRFPVLRKHLDEVMVKFLRDGVEPAERMIGNLIEMEVDYINTSHPNFLGGNKAAELAMQLLKSPQGVTDAEGQTSQTSQTARTIRSGLLNGLLPNQGSRPQPNNENHVSSGTKAWIPSLFGSRTSAGEPMACRPFGEPSHSESMPSIIHLREPPSSLRPVQMTENKAVEIVVTKLLLRSYYDIVRKNIQDLVPKAIMHFLVNLTKRNLHRTFIQKLYRENLFEELLQEHDAHVSQRKRNQELFKVLEQSVQALEKVDFDVSSQTSSLGTDASIGLPRIPRTSAHLHTTAGGEIGQVSYRPFPMPSMTKSTA >ONI32971 pep chromosome:Prunus_persica_NCBIv2:G1:35173932:35182155:1 gene:PRUPE_1G397000 transcript:ONI32971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELDARHVDSSSSRPSVTIGSSLIPVINKLQDILAQAGSELDVSLPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLENRLPKPGDDRTEWGEFRHLPEKRFYDFSEIRAEIQAETEREAGLNKGVSDKQIRLKITSPNVLNMTLVDLPGITKVPVGDQPNDIEARIRKMVMTHISQQNCIILAVTPANSDLATSDALQMAREADPDGFRTIGVITKLDIMDRGTDARSFLLGKVIPLKLGYVGVVNRSQEDINKNRGIATALAYEEKFFNDHSVYRGLSDHCGIPQLARKLNEILEQHIRMVFPALKADLNSKMGTVLKELQTYGKPIESKMEQGVILLNILTQYCEAFAAMVDGKSQEMSTKELSGGARIHYILQSIFVKCLEEVDPCDDLTDDDIRMAIQNASGARNALFVPEVPFEFLVRRQIARLLDPSLQCLRFVYDELMKISHACEVTELQRFPVLRKHLDEVMVKFLRDGVEPAERMIGNLIEMEVDYINTSHPNFLGGNKAAELAMQLLKSPQGVTDAEGQTSQTSQTARTIRSGLLNGLLPNQVCLSPYVGLLMIFPEGNWLVRTKRKWKERKLAELQVKREKTRIYKREDFLTGAGQSATPNNLEGSRPQPNNENHVSSGTKAWIPSLFGSRTSAGEPMACRPFGEPSHSESMPSIIHLREPPSSLRPVQMTENKAVEIVVTKLLLRSYYDIVRKNIQDLVPKAIMHFLVNLTKRNLHRTFIQKLYRENLFEELLQEHDAHVSQRKRNQELFKVLEQSVQALEKVDFDVSSQTSSLGTDASIGLPRIPRTSAHLHTTAGGEIGQVSYRPFPMPSMTKSTA >ONI33093 pep chromosome:Prunus_persica_NCBIv2:G1:35628282:35629902:-1 gene:PRUPE_1G405100 transcript:ONI33093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSYRCDKDGLSKGAWTALEDQILIEYVKDHGEGRWEKLSRETGLKRCGKSCRLRWLNYLRPEIKRGNITEDEEDLIIRLHKLLGNRWTLIAGRLPGRTDNEIKNYWNSVLRKKAQESHSERSRNEWKTTKDTEKAVPSLKMDSHFIQNGLTPTSCINNEQLGTTNTLAEPFISGVEATNMEVKSDSSDGFLPITRENDMTWNFIRDLNAGELGISEFLHTDFSKLCELNTTIFDCTSGCRNGSLMSTSTAEAPLKLQEWLNDWAADDNCLPEAAFGP >ONI32098 pep chromosome:Prunus_persica_NCBIv2:G1:32534191:32538421:1 gene:PRUPE_1G348300 transcript:ONI32098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSIFPLNILLLSLTFSISTQTLAARFHYQEPSAHQQQQKADRVSGLPGQPPVSFKHFAGYVTVNKTHGRALFYWFFEAINNPQDKPLLLWLNGGPGCSSIGYGATEELGPFFVQNGSEPKLKFNPYTWNNAANLLFLESPVGVGFSYTNTSEDIRQLGDKITAEDSYNFLINWFQRFPQYKSHDFYISGESYAGHYVPQLSELIFDRNQNLSKENYINLKGFMIGNAAVDDETDQKGMIDYAWDHAVISDRLYQDIKNECDFSEKMVSRLCNKLIDEYFDVYEIIDMYSLYTPTCLSNNSSATTATRQSRTIQGAPTLFSRLDVRHKRPAGYDPCASEYSYVYLNRPDVQKALHANVTKIPYPWTHCSDNITFWKDAPPSILPVIGKLVASGLRVWIYSGDTDGRIPVTSTRYALKKLGLKINEDWTPWYNNKQVGGWTVAYDGLMFVTIRGAGHQVPEFAPKQSLLMVEHFLANRTLPSKPF >ONI35933 pep chromosome:Prunus_persica_NCBIv2:G1:45851488:45857083:1 gene:PRUPE_1G561700 transcript:ONI35933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRGFEVRLMGHHRGKSHGSLNDLHDQPLIVQNSRWASLPPELLYDVIRRLEESESTWPSRRHVVACASVCRSWRTMCKEIVKSPEICGKLTFPVSLKQPGPRDGLVQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSNAYIGKLRSNFLGTKFIVYDTQPAYTSEHIPPPGRTSRRFNSKKVSPKVPTGSYNIAQITYELNVLGTRGPRRMHCIMHSIPVSSLDAGGSVPGQPELLTRSLEDSFRSVSFSKSLDHSVEFSSARFSEIVGPRDDEDGKTRPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPTPPELDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ONI35934 pep chromosome:Prunus_persica_NCBIv2:G1:45851488:45857122:1 gene:PRUPE_1G561700 transcript:ONI35934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRGFEVRLMGHHRGKSHGSLNDLHDQPLIVQNSRWASLPPELLYDVIRRLEESESTWPSRRHVVACASVCRSWRTMCKEIVKSPEICGKLTFPVSLKQPGPRDGLVQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSNAYIGKLRSNFLGTKFIVYDTQPAYTSEHIPPPGRTSRRFNSKKVSPKVPTGSYNIAQITYELNVLGTRGPRRMHCIMHSIPVSSLDAGGSVPGQPELLTRSLEDSFRSVSFSKSLDHSVEFSSARFSEIVGPRDDEDGKTRPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPTPPELDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ONI35932 pep chromosome:Prunus_persica_NCBIv2:G1:45851474:45857083:1 gene:PRUPE_1G561700 transcript:ONI35932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRGFEVRLMGHHRGKSHGSLNDLHDQPLIVQNSRWASLPPELLYDVIRRLEESESTWPSRRHVVACASVCRSWRTMCKEIVKSPEICGKLTFPVSLKQPGPRDGLVQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSNAYIGKLRSNFLGTKFIVYDTQPAYTSEHIPPPGRTSRRFNSKKVSPKVPTGSYNIAQITYELNVLGTRGPRRMHCIMHSIPVSSLDAGGSVPGQPELLTRSLEDSFRSVSFSKSLDHSVEFSSARFSEIVGPRDDEDGKTRPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPTPPELDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ONI30401 pep chromosome:Prunus_persica_NCBIv2:G1:26161298:26164067:-1 gene:PRUPE_1G249200 transcript:ONI30401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSKNLSSLLFLFLIAFLTFLITSVEARKNHTKKSKPHKHHQGKGATSGNRTHNSHLPGPAPAPPQPQQSTIFPVLSFGAKGDGVSDDSKALVAAWEAACRVKGATVEIPSGFKFLIQPITLDGSGCMPDLVLQIDGTLLAPSKASTWPESSLFQWINFKYARNFTIQGTGIVDGQGSDWWNSSAHKRSKHTPDTKPTALRFYFSSDVTVRNIKIINSPQCHLKFDNSSWIKVNNITITSPDYSPNTDGIHLQNTQNVEIHHSTIGCGDDCVSIQTGCSNVHIHHVKCGPGHGISLGGLGKAGSVACVSNIIVNNILFQNTQSGVRIKTWPGGKGSVKNVSFSNIQVSNVGVPIVIDQNYCDNMKKHLCQNQIRGAVAISGVKFDNIIGNYSKEPIRLACSNDTPCTDVDLIDIRLKPTTGDPRSLRSDLCRNSYGKSKAPLLPSVIDYCLRRDGGQVKHIARSHEKMC >ONI28629 pep chromosome:Prunus_persica_NCBIv2:G1:12034434:12037557:1 gene:PRUPE_1G152400 transcript:ONI28629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSSAIVSPPDELVAAGSRTPSPKITAAALVNRFVQNNGNAVSVQVGDHAQLAYSHSNESALQPRSFAVKDEIFCLFEGALDNLGSLRQQYGLAKFANEVLLVIEAYKALRDRAPYPPNHVVGHLSGNFAFIVFDKSTSTLFVASDQYGKVPLSWGITADGYVAFADDAELLKGACGKSLASFPQGCFYSTAVGGLRSYENPKNKITAIPATEEEFWGATFKVEGPAVFAATK >ONI28630 pep chromosome:Prunus_persica_NCBIv2:G1:12034473:12036935:1 gene:PRUPE_1G152400 transcript:ONI28630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSSAIVSPPDELVAAGSRTPSPKITAAALVNRFVQNNGNAVSVQVGDHAQLAYSHSNESALQPRSFAVKDEIFCLFEGALDNLGSLRQQYGLAKFANEVLLVIEAYKALRDRAPYPPNHVVGHLSGNFAFIVFDKSTSTLFVASDQYGKVPLSWGITADGYVAFADDAELLKGACGKSLASFPQGCFYSTAVGGLRSYENPKNKITAIPATEEEFWGATFKVI >ONI29117 pep chromosome:Prunus_persica_NCBIv2:G1:16032534:16036108:1 gene:PRUPE_1G182300 transcript:ONI29117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRRQRGQSIFGYRRSEATRSFSLPMGKPINQTSIKQHMRNSEEEEEEEEEIGNPNLYGKEDRQSDRFDTIQLCIVARALVQAYRQALQNASKSGVAQETLQNAVRRSSKVMTEQEARQILNVSETTTWEEVMKRYDTLFENNAKNGTFYLQSKVHRAKECLEAAYRDKGQGTGTPS >ONI28775 pep chromosome:Prunus_persica_NCBIv2:G1:12820787:12821181:1 gene:PRUPE_1G160700 transcript:ONI28775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPTTKPRNHKRSKSQLPSPLRHVQPKKIKPQASHQNKIQSYNRTHIHRAKDHELKQSGFGLSFLF >ONI31574 pep chromosome:Prunus_persica_NCBIv2:G1:30742597:30743682:-1 gene:PRUPE_1G320300 transcript:ONI31574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDAKVLTWGSFFQEVKRLCNIAGPMIAVILSQNLLRVSSMMMVRHLGELALSISSLALPLSGVTGFSLFLGMASTPETLCGQAYGAERYQKLGLRTYTAIFSLTLVCLPLSLVWIYMEKLLILIGQDPVISREAGKFTIWLLPALFNFAVLQPLIRYFQAQSLIIPMLISSVTTLLFHIPISWVLLNVILLGLYMKFSSACSKTRAPISKELFHGMGEFFHFAIPSALMLCLEWWSFELLILLSGLLPNPALETSVLSV >ONI32440 pep chromosome:Prunus_persica_NCBIv2:G1:33658384:33660037:1 gene:PRUPE_1G367800 transcript:ONI32440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKSNRLPQTAVLKQILKRCSSLGKKQQHYDEQGLPLDVPKGHFVVYVGENRSRYIIPISFLTRPEFQSLLHQAEEEFGFDHDMGLTIPCEEVAFQSLTSMLR >ONI35164 pep chromosome:Prunus_persica_NCBIv2:G1:42656020:42658221:-1 gene:PRUPE_1G519500 transcript:ONI35164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVALTKKPHAVCIPYPAQGHITPMLKLAKLLHYKGFHITFVNTEFNHKRLLKSRGPNSLDGLPSFQFETIPDGLPPSDDNATQDIPSLCLSTSKNCLAPFRDLLSKLNSLPHSPPVTCLVSDGGMTFSLDAAQEFGIPCVLFETTSACGSLGYLQYLPLMEKGLIPLKDASYLTNGYLDTVIDWIPGMRHIRLRDLPTFVRTTDPNDIMLKYLVSEYERAQKASAIIFNTFDALELEVLDALSTLLPPIYCIGPLQLQVSQIPPDYNNLKSIESNLWREETECLEWLDSKEPNSVVYVNFGSITVMTSEQLIEFVWGLANSNKAFLWVIRPDLVLGESAVVPPEFLEETEERGLFSSWCPQEQVLSHPAVGGFLTHSGWNSSLESLCGGVPMICWPFFAEQQTNCRFCCKEWGVGIEIEGDVKRNYIEDLVRTLMEGEKGKEMRKKATEWKKLAEEAATGPNGLSFLALDNMINRVLLSPRNLN >ONI27672 pep chromosome:Prunus_persica_NCBIv2:G1:7932573:7933169:-1 gene:PRUPE_1G099300 transcript:ONI27672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMYGGLRLRGELIRAFNLFPKEDINIIDGPDSSIFHDSPRLVEKRFNLPNLVGSGVSVEDLGHHAGYFKIEHSHAARIFYLFFESRTNNKDPVVIWLTGGPGCSSELAVF >ONI26425 pep chromosome:Prunus_persica_NCBIv2:G1:1667918:1671311:-1 gene:PRUPE_1G023800 transcript:ONI26425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLGACTPRGSHFYLLKHKHPLILLLEQKRTAPFGRTKIAGRGSLRIRVSDGGDSYLGMWKKAVENDKKTVEFERIVEKSSKIDADDDQSVEPVEDLEKKSEEFEKILGVSKEERDRVQRMQVVDRAAAAIAAARAILADKNKSLRTDPGSGGGSGGAVEPRQEGNGTQVRSVIVPQSEISGTGTPGPDFWSWAPPQGGDKSSDDVSELLPAPKPSAQSSNPVLEKEPSVGFLSIPLESKLEETNRNPPLPPFQSLMEVGKVDVSESSVEATSLKDDHELGAEFSAHAAEAAHALDTVDEVSLSGVNTDGSRWWKESGIEQRPDGVVCRWTMTRGVSADQVTEWQDKYWEAADEFGHKELGSEKLGRNATGNVWREYWTESMWQNRGLVHMEKTADKWGKNGKGDEWQEKWWEHYDASGQAEKWAHKWCSIDPNTPLEAGHAHIWHERWGEKYDGHGGSDKYTDKWAERCEGDGWAKWGDKWDEHFNPNGHGVKQGETWWEGKYGERWNRTWGEGHNGSGWVHKYGKSSSGEHWDTHEPQDTWYERFPHFGFYHCFENSVQLREVPKPSDRP >ONI33486 pep chromosome:Prunus_persica_NCBIv2:G1:36741814:36743695:1 gene:PRUPE_1G427500 transcript:ONI33486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLQIKMIENTTNRQVTYSKRRNGLMKKAYELSVLCDVDVALIMFSPSGRLSLFSGNKSIEEILARYVNLPGHERGGRVKIVNTSVIFEDKIRGNIKESRNIYKHKRYIDNFPCHFDGCSPISTDSDQLEEIQQEILCCKSQVKEVENQLRIFEGDLSEVTTLNEAEYREQILEETLKRMHVRKQILEEYDSSPTATTNVDEFVTASPHNILVDWLPQRDPQVVQILNFLNANGLLPLRGEAKSRLEVIAAPESSTLLHGINLEDQMNPGRGSGLDDENNNTTLQRPELGQVIDVNLSPWNEFYQTSTCFA >ONI32113 pep chromosome:Prunus_persica_NCBIv2:G1:32552850:32558066:1 gene:PRUPE_1G349000 transcript:ONI32113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPQSLSMNVSFGGPSASVPSIAGAQANKDRKMASAEHLVLDLSNPDLRENALLELSKNKELFQDLAPFVWNSFGTIAALIQEIVSIYPVLSPPNLTAVQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSRSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDMGLDYICTTAERFFAVGRVLGSMVTALAEQPSSRLLKHIIRCYLRLSDNPRACDALRNCLPDTLREATFNNFLCEDPTTRRWLQQLLQNVGVNRLPALQGGGGFDHMMVN >ONI32112 pep chromosome:Prunus_persica_NCBIv2:G1:32552850:32558066:1 gene:PRUPE_1G349000 transcript:ONI32112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPQSLSMNVSFGGPSASVPSIAGAQANKDRKMASAEHLVLDLSNPDLRENALLELSKNKELFQDLAPFVWNSFGTIAALIQEIVSIYPVLSPPNLTAVQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSRSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDMGLDYICTTAERFFAVGRVLGSMVTALAEQPSSRLLKHIIRCYLRLSDNPRACDALRNCLPDTLREATFNNFLCEDPTTRRWLQQLLQNVGVNRLPALQGGGGFDHMMVN >ONI32114 pep chromosome:Prunus_persica_NCBIv2:G1:32552850:32558066:1 gene:PRUPE_1G349000 transcript:ONI32114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPQSLSMNVSFGGPSASVPSIAGAQANKDRKMASAEHLVLDLSNPDLRENALLELSKNKELFQDLAPFVWNSFGTIAALIQEIVSIYPVLSPPNLTAVQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSRSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDMGLDYICTTAERFFAVGRVLGSMVTALAEQPSSRLLKHIIRCYLRLSDNPRACDALRNCLPDTLREATFNNFLCEDPTTRRWLQQLLQNVGVNRLPALQGGGGFDHMMVN >ONI27652 pep chromosome:Prunus_persica_NCBIv2:G1:7855032:7857762:-1 gene:PRUPE_1G098200 transcript:ONI27652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISNNLVGLLNFITFLLSIPIVVAGVWLSKQGSTECEKFLDKPVIILGVFLMLVSLAGLIGACCRVSWLLWVYLLVMFLLIVVLFAFTIFAFAVTNKGAGKVLSDKGYKEYRLGDYSNWLQKRVSSTKNWNKIKSCLIDSKVCSTFQDKYVNDTISELYKENLSALQAGCCKPSDDCGFTYVSPTNWNNNGNTSSSNPDCNTWANDARVLCFNCQSCKAGLLDNIKSNWKKTAVVNIVFLIFLIVVYSVGCCAFRNTRRDNEYWKH >ONI28113 pep chromosome:Prunus_persica_NCBIv2:G1:9763634:9768512:1 gene:PRUPE_1G123900 transcript:ONI28113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNARGEPSGSGNGGGGGGFHRLQAERDLESNWELDLGQKLEEYLLKICSGEIPTEAEGHVAINFAEAALLLQGSIQVYSRKVEYLYSLVLRALEFLSQKGQHEQSEGTSVRPEEGESSRTVSDEENDMFWGLDDISVEGKNCLDSPVGRDAPLNSFVKPPANLVVLEGDCLDTTGDFGELESYLLATNDLYQDFILLDPCDAVAVHDYLSADGAGKGQNSAYKATSTQKSYQSPTKRSGGTARRSSLHKCQDPNVNQTPGVGCSFEAKNCNIGPDPRASNDFDDGAHGFDMDDRYSEPRDLDESDDEDDPWKPLNPHEPGNLKVKPFRKVKASNRKGVSSTKQVSMITLFPPAKLHGTISPELTEMWEMQRCADERQKGSQSPPLFEKLRESLINGRHETFDAFCNPMGANEDTECDNEIPDFGHTDADMPEPLFMDENVPPYNDKFEDGCPQFGTDEAFGYDNSNPHACLEDLCRSHLDALLASIAETEKQTELATRVSTWKQKIEHNLEEQELHPAFDIHDYGERILDRLSFEPDSVDVLSFADVVKGQEKYDVARSFSALLQLVNNGNVELDRSGVDGESVCYTAVNPFHVRLLKHDKRREDAGFRLSRKRVKSPLSKACGKVDKDNTGTAKSPVVKSSSKVHKSTEASSQINGKSPVKLGKVSGIRCTPEPKRRRKSRFVEPVDLHSAG >ONI27070 pep chromosome:Prunus_persica_NCBIv2:G1:4709424:4710689:-1 gene:PRUPE_1G066000 transcript:ONI27070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIECIEAIQYYANSCVRLLKFVKNEPGGLRVKCNGKAEKRHCLGTIVGFDGCFIKGAHPGQLLTVAGIDANTSMFPIAFGARNGWVIITDKQKVLRKATEDLVSSAKHRHCVRHRHANFRTPGHCNLALRQILWAATRATIVPWWEAEMEKIRDLSRKAYKWLDDRPANHWSISHFKTSPKCDMLLNNLCECFNYAISEARDKPILTMLERLRSYLVLRMARQREMQWTQKVRLGIVMIMEKPLNESGSCIAQHAGRRKYEVMHKLGGQYVVDLNRHTCSCRK >ONI31441 pep chromosome:Prunus_persica_NCBIv2:G1:30343380:30344967:1 gene:PRUPE_1G313300 transcript:ONI31441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTKSACNPNEEEIELRRGPWTLEEDTLLIHYIASHGEGHWNSLAKCAGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLMILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLNIESNSKRFLDAVRCFWMPTLLQKMEQSSSSYLPTTLSSQNSASPSLSPNCSAPSISLPTSPPSKVSQIFDHPINGNSSSVTSPSIFSSDSLISQLPQTSERLTSPTHAFDHTVYSSSPALNDCYYVDTSSGYAYDMEGPNLDPASAICDFDNQQFDCQMTAGDWMLDNMTDTLWNMEGM >ONI35174 pep chromosome:Prunus_persica_NCBIv2:G1:42705270:42708120:1 gene:PRUPE_1G520400 transcript:ONI35174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGLAEKPHAVCIPYPAQGHINPMLKVAKLLHYKGFHITFVNTEFNHKRLLKSRGPNSLDGLPSFRFENIPDGLPPTDASVTQDIPSLCYSTRRHCLPHFRELLSKLNSSPDSPPVSCIVSDGVMSFTLDAAEELGIPEVLFWTTSACGFMSYVQYHRLIEKGLTPLKDASYLTNGYLETVIDWIPGMKDIRLRDIPTFMRTTDPNDPILDFIVHETTRARKASAIILNTFYELEHEVIDALSTLLSPIHCIGPLHLQLSQIPADNELKSIGSNLWTEEPECLEWLDTEEPNSVVYVNFGSITVMTSEQLIEFAWGLANSKHTFLWVIRPDLVGGESAMVPAEFVEETKERSLLANWCPQEQVLNHPAIGGFLTHSGWNSTLESLCGGVPMICWPFFAEQQTNCRFSCKEWGIGLEIDPDVKRDYVEGLVRKLMEGEEGEEMRKKTLEWKKLAKEATTGPSGLSFVDFDKVVNQVILAQRK >ONI35173 pep chromosome:Prunus_persica_NCBIv2:G1:42705270:42708128:1 gene:PRUPE_1G520400 transcript:ONI35173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGLAEKPHAVCIPYPAQGHINPMLKVAKLLHYKGFHITFVNTEFNHKRLLKSRGPNSLDGLPSFRFENIPDGLPPTDASVTQDIPSLCYSTRRHCLPHFRELLSKLNSSPDSPPVSCIVSDGVMSFTLDAAEELGIPEVLFWTTSACGFMSYVQYHRLIEKGLTPLKDASYLTNGYLETVIDWIPGMKDIRLRDIPTFMRTTDPNDPILDFIVHETTRARKASAIILNTFYELEHEVIDALSTLLSPIHCIGPLHLQLSQIPADNELKSIGSNLWTEEPECLEWLDTEEPNSVVYVNFGSITVMTSEQLIEFAWGLANSKHTFLWVIRPDLVGGESAMVPAEFVEETKERSLLANWCPQEQVLNHPAIGGFLTHSGWNSTLESLCGGVPMICWPFFAEQQTNCRFSCKEWGIGLEIDPDVKRDYVEGLVRKLMEGEEGEEMRKKTLEWKKLAKEATTGPSGLSFVDFDKVVNQPNQIY >ONI28207 pep chromosome:Prunus_persica_NCBIv2:G1:10256620:10257936:1 gene:PRUPE_1G131200 transcript:ONI28207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLSWNFHRLYRPSDLMMRRRNMILIHVGVWIILYLLRRRKRPYGDSLGGPAAMVLFSHGRCWEV >ONI30939 pep chromosome:Prunus_persica_NCBIv2:G1:28529492:28531099:1 gene:PRUPE_1G282900 transcript:ONI30939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYGSSSSSRCLQLLEKCKNMKHLQQAHAQVFTCGLGNSSFALSRVLAFCSDPNHGSLSHAWKLFQHIPQPTICIYNTMLKALLLRNDLILTINVFTKMLQNGMYPDNYTLPYVLKACARLQSSCLGELVHGCSLKLGFVSDIFVGNSLIVMYCAFDDMKAARHIFDEIPSLSAVSWTVMISGHSKAGDLDTARLFFDEAPVRDRGIWGAMISGYVQNNCFKEGLYMFRLMQLTEIEPDEAIFVSVLCACAHLGALDTGIWIHSYLNRLRLPLSVRLSTGLIDMYAKCGKLDLARGLFYQMPKRDTVCWNAMISGMATHGDGEGALELFREMEAAWVRPDDITFIAVFTACSYSGMAHEGMKVFDKMCRIYNIEPKSEHYGCIVDLLSRAGLFEEAKEIIQRMPSSSKPSEEAVAWRAFLSACCNQGQAQLAEFAAEKLFQLERHSGVYVLLSNLYVAGGKHGAARRMRHLMRNRGVEKAPGCSSVEVNRAVHEFIAGEKTHRQMEEIQLVLGTISKQLDFLGSYPYLFLADKT >ONI35488 pep chromosome:Prunus_persica_NCBIv2:G1:44062442:44066023:1 gene:PRUPE_1G539000 transcript:ONI35488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVPLKQTPDGFCSFHRVHRNSTRKSGTFGGRVSDKVGPTTPSSVRVFVGCRTRFLVLSDSIQCRIHDGYQKHRRKPVLVASKVETLSSNARLQNVENTPHGSLNKMDSSNGFEEFESNNQLRRLVRNGELEEGFKFLETMVFRGDIPDNIACTSLIRGFCKSGKTRKATRIMNILEESGAILDVITYNVLISGYCKAGEIDNALRVLDRMSVSPDVVTYNTILRTLCDSGKLTQAMEVLDRQLQRECYPDVITYTILIEATCKEYGVEQAMKLLDEMRSKGCKPDVVTYNVLINGICKEGRLDEAIRFLNEMPSSDCQPNVITHNIILRSMCSTGRWMDAEKLLADMVHRGCSPSVVTFNILINFLCRKGLLGRAIDILEKMPKHGCTPNSLSYNPLLHGFCKEKKMDRAIEYLDIMVSRGCYPDIVTYNTLLTALCKDGKVDNAVGILNQLSSKGCSPVLITYNTVIDGLSKVGKTERAIELLDEMRKKGLKPDIITYSSLVGGLSREGKVDEAIKFVHDLEDSGIKPNAITFNSVMLGLCKAKQTGRAIDFLAYMVSKGCKPTEATYTILIEGIAYEGLAKEALELLNELCCRGVVKKSSAEQVAVRM >ONI35487 pep chromosome:Prunus_persica_NCBIv2:G1:44062442:44065882:1 gene:PRUPE_1G539000 transcript:ONI35487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVVPLKQTPDGFCSFHRVHRNSTRKSGTFGGRVSDKVGPTTPSSVRVFVGCRTRFLVLSDSIQCRIHDGYQKHRRKPVLVASKVETLSSNARLQNVENTPHGSLNKMDSSNGFEEFESNNQLRRLVRNGELEEGFKFLETMVFRGDIPDNIACTSLIRGFCKSGKTRKATRIMNILEESGAILDVITYNVLISGYCKAGEIDNALRVLDRMSVSPDVVTYNTILRTLCDSGKLTQAMEVLDRQLQRECYPDVITYTILIEATCKEYGVEQAMKLLDEMRSKGCKPDVVTYNVLINGICKEGRLDEAIRFLNEMPSSDCQPNVITHNIILRSMCSTGRWMDAEKLLADMVHRGCSPSVVTFNILINFLCRKGLLGRAIDILEKMPKHGCTPNSLSYNPLLHGFCKEKKMDRAIEYLDIMVSRGCYPDIVTYNTLLTALCKDGKVDNAVGILNQLSSKGCSPVLITYNTVIDGLSKVGKTERAIELLDEMRKKGLKPDIITYSSLVGGLSREGKVDEAIKFVHDLEDSGIKPNAITFNSVMLGLCKAKQTGRAIDFLAYMVSKGCKPTEATYTILIEGIAYEGLAKEALELLNELCCRGVVKKSSAEQVAVRM >ONI34714 pep chromosome:Prunus_persica_NCBIv2:G1:41070248:41074301:1 gene:PRUPE_1G494300 transcript:ONI34714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIVNVGPIQGLDYVGLRPAKPPKLNSGRIQIKTRPQRLFTVTASDGDGPMGKTRLTDAECEAAVVAGNAPHAPPAPPKPAAPAGTPVVSLLPINRRPRRNRRSPALRSAFQETSLSPANFVYPLFIHEGQEDTPIGAMPGCYRLGWRHGLVEEVSKARDVGVNSIVLFPKVPDALKNSTGDEAYNDNGLVPRAIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVILNDETVHQLCKQAVAQARAGADVVSPSDMMDGRVGAIRTALDAEGFQHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIEAREDESEGADILLVKPGLPYLDIIRLLRDSSPLPIAAYQVSGEYSMIKAGGVLKMIDEEKVMMESLMCLRRAGADVILTYFALQAARSLCGEKR >ONI34715 pep chromosome:Prunus_persica_NCBIv2:G1:41071052:41074301:1 gene:PRUPE_1G494300 transcript:ONI34715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIVNVGPIQGLDYVGLRPAKPPKLNSGRIQIKTRPQRLFTVTASDGDGPMGKTRLTDAECEAAVVAGNAPHAPPAPPKPAAPAGTPVVSLLPINRRPRRNRRSPALRSAFQETSLSPANFVYPLFIHEGQEDTPIGAMPGCYRLGWRHGLVEEVSKARDVGVNSIVLFPKVPDALKNSTGDEAYNDNGLVPRAIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVILNDETVHQLCKQAVAQARAGADVVSPSDMMDGRVGAIRTALDAEGFQHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIEAREDESEGADILLVKPGLPYLDIIRLLRDSSPLPIAAYQVSGEYSMIKAGGVLKMIDEEKVMMESLMCLRRAGADVILTYFALQAARSLCGEKR >ONI31893 pep chromosome:Prunus_persica_NCBIv2:G1:31806799:31810168:1 gene:PRUPE_1G337400 transcript:ONI31893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGDPEEAAEHGRRLILHNFLSFQECKELEFIHKSNCTVGYRPHVFSTTLSHLIATNSAHLIMPFVPIRERLKEKVEEFFGCQYELFVEFTGLISWSRGSSIGWHSDDNRPYLKQRDFAAVCYLNSYGNDFRGGLFHFQDGDPATIVPSGGDVVIYTADSRNIHSVDEITDGERLTLALWFSRDATYDEDAKLITLLSKNFLHDNAPELCLPFPASSNMYWFSPDQASSDQQLGFDICWARLHVLGYDLLFHQDKSYCSNISKLLMEPLRLTRGDELFEHEFINILHALQVVQFYCWKAPDFKSAKVEETTTVVLSQSQRERLVCLKSLFAKDVCLVDSVFSNVTFVGSAQHSFNWVDFRIAIAKWEDYVRKLHRELVMSLPHWRTQQSIFNASLDEK >ONI31894 pep chromosome:Prunus_persica_NCBIv2:G1:31806798:31810168:1 gene:PRUPE_1G337400 transcript:ONI31894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGDPEEAAEHGRRLILHNFLSFQECKELEFIHKSNCTVGYRPHVFSTTLSHLIATNSAHLIMPFVPIRERLKEKVEEFFGCQYELFVEFTGLISWSRGSSIGWHSDDNRPYLKQRDFAAVCYLNSYGNDFRGGLFHFQDGDPATIVPSGGITDGERLTLALWFSRDATYDEDAKLITLLSKNFLHDNAPELCLPFPASSNMYWFSPDQASSDQQLGFDICWARLHVLGYDLLFHQDKSYCSNISKLLMEPLRLTRGDELFEHEFINILHALQVVQFYCWKAPDFKSAKVEETTTVVLSQSQRERLVCLKSLFAKDVCLVDSVFSNVTFVGSAQHSFNWVDFRIAIAKWEDYVRKLHRELVMSLPHWRTQQSIFNASLDEK >ONI33972 pep chromosome:Prunus_persica_NCBIv2:G1:38276859:38277468:1 gene:PRUPE_1G456400 transcript:ONI33972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSKLQVSISLSKFEEEFGAFFAGRQEGVEDERDFKYGKIIQNPNSMEPNKPHFEELCRVSINEWIMG >ONI34035 pep chromosome:Prunus_persica_NCBIv2:G1:38486483:38490790:-1 gene:PRUPE_1G460100 transcript:ONI34035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAKDSTTSGKELKKSTSALNSHTNHTKRVTKSSSSAGTEKATSSTSSTSSTSSTSSTSTTKQVPNYLRPTLSASRHENSSKSSLAGSKKHGSEDSASSQKPTLSRRRSFDKPPSPSRLRKSLISPGRDTSLRSSSFTAKSSNVPKPSIDRSNSKTARAGKPQLLYAKSVKKSSTSGSAKKESTASTRPPKSEDITQTLDLEADLEAIESLDHQEVEEVGMIESEEHEKVVLPDPKVEEKEQVDDHGAAVEAEANGGEDEKLKTSDSSTVNAEELQETVEERNEESGNALVQEEKPADNEQHQGEDNQNKLDESSEAQPEEGLASEETKVEVKEDKGKENEEDGDAVEESKNVEDMALDEKEGIALKEGEEKADGGIEEAKPAEAAEAAEAAEAAEAAEVAEAAEAAEGAEETAKPSQTSEGSQGGKKDSAPAYNDVIEETASKLLEKRKNKVKALVGAFETVIDYESGSK >ONI34034 pep chromosome:Prunus_persica_NCBIv2:G1:38486613:38490790:-1 gene:PRUPE_1G460100 transcript:ONI34034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAKDSTTSGKELKKSTSALNSHTNHTKRVTKSSSSAGTEKATSSTSSTSSTSSTSSTSTTKQVPNYLRPTLSASRHENSSKSSLAGSKKHGSEDSASSQKPTLSRRRSFDKPPSPSRLRKSLISPGRDTSLRSSSFTAKSSNVPKPSIDRSNSKTARAGKPQLLYAKSVKKSSTSGSAKKESTASTRPPKSEDITQTLDLEADLEAIESLDHQEVEEVGMIESEEHEKVVLPDPKVEEKEQVDDHGAAVEAEANGGEDEKLKTSDSSTVNAEELQETVEERNEESGNALVQEEKPADNEQHQGEDNQNKLDESSEAQPEEGLASEETKVEVKEDKGKENEEDGDAVEESKNVEDMALDEKEGIALKEGEEKADGGIEEAKPAEAAEAAEAAEAAEAAEVAEAAEAAEGAEETAKPSQTSEGSQGGKKDSAPAYNDVIEETASKLLEKRKNKVKALVGAFETVIDYESGSK >ONI28874 pep chromosome:Prunus_persica_NCBIv2:G1:13446807:13448279:1 gene:PRUPE_1G165800 transcript:ONI28874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPLARKSSQEVWSGILAPFFILCLVLLCLSYSTLLGVTNGVNLLVIKQVHTINIWKINHTEDSCANRYIYIHEGLPARFNYDFLNNCESLSAGTCNCNTPNMCPHLVNLGLGPQVNDSEGVLANKSWFKTNPYLLEVIFHNKMKRYECLTKNSSLASAIYVPFYPSMDVGVHLWDSNLTIRDSSARDYVKWLSGQPEWMKMWGRDHFFVSGRIAWDFRRERDNSSDWGSKLRFLPESMNMTMLSIEGGRWKNDIAIPYPTNFHPAKDSEVVQWQNRVREQERRYLFSFVGAPRPHQQTSIRGKLIDHCQASTNCKFLHCDEKKCGNPVTVMRVFKSSVYCLQPGGDSYTRRSAFDAFLAGCIPVFFHPATAYTQYLWHLPKNHTKFSVFIPVRDVEDLKEGLIEKVLLGISKDKEVAMREEVIRLIPKLVYANPRSRLGTQDAFDIAVQGILERIENVRKVIREGRDPSIGFADEDNDKFKFPETLDS >ONI29225 pep chromosome:Prunus_persica_NCBIv2:G1:17231922:17233489:1 gene:PRUPE_1G188200 transcript:ONI29225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYKYILFPSISFSISNPSLSLSLSLSLSLSLSLSLSLSCSLLSALYSVLAFCSLCSLLFVLTLLVMKIRGVWAHNFHQELSQFDHCLHRFPVISFDTEFPGFLRDTPRDALEDHRYEDVKFNVESLKLLQLGFTLSDAHGNIGGTWEFHLSGFNEKSDPHVVASISLLKRNGLDFARLGQFGISVAEFVFGFLRVLRIHRGLHDLTWVCFHGLYDLAYLLKFLTQKPLPNSVVMFAKALGVVFGIIYDVKFMARYCKGFFGGEIGLARVAKLLDVERSGEAHQAGSDSLLTAVVFSKMNATFRSVAGMSQGCLYGISPTIVRYWQPAPVVVAPVILRRPCFPVAAPGVYGARLIHSPILPTYVHM >ONI33494 pep chromosome:Prunus_persica_NCBIv2:G1:36785152:36787782:1 gene:PRUPE_1G428100 transcript:ONI33494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKENCARVTRSMKRRAEAAMGQEQPATKKRVVLGELHIIQNAVVPANNKSSAVEPQVQKRGAKAKAKKALPEKKTETPTTPDIDSTSDDPQMCGPYARDIYDYLHKLEADPDRRPLPDYMTKVQRDITSNMRGILVDWLVEVAEEYKLLSDTLFLTVLYIDRYLSLKALDRKRLQLLGVSSMLIASKYEEISPPHVEQFCYITDNTYDKDQVLKMEADILKALKFNLGNPTIKTILRRFARVTQESYKDPNLQLEFLGYYLAELSLLEYECVKFLPSLVAASVTFLARFMIRPKSHPWTLSLQQYSGYKPTDLRECVLIIHDLHRSKRGATLQSIRGKYKSHKFKCVATISSPPEVPTDYFEDLKE >ONI30705 pep chromosome:Prunus_persica_NCBIv2:G1:27530537:27534085:-1 gene:PRUPE_1G268000 transcript:ONI30705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTIPLSREVLASMEAVYLSRNPTAKAVLELVGSVADDQICYDHFAFRTFGVNGYGIDSMAKFFLDFGYTPREELRFPAKKLRALWFSPPSVPTSRGGSGVNGPLPRVFVSELLVDQMSPQTQDIIKKYTGISGSGNKHAALASALGSLTWEKPLHSEFQQLARESEYAAWTLVNGYALNHATISTHQLKSRLRDIKNLNQFIEKNGFKLNSEGGTLKVSPDGLLQQSSTVADSIHFQFSDGLTESVPCSYIEFAERLVLPQYSNLPLEEVKEHHRRDGFEVGNADKIFESTSKEQLTRKAG >ONI30704 pep chromosome:Prunus_persica_NCBIv2:G1:27530900:27533107:-1 gene:PRUPE_1G268000 transcript:ONI30704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSRMLPLSSSAINNGSHISSSTLPSSFYSLTPRQPSINPKNPITRNFISLNKSSFSPLKYSIFTNKQYMSTVCSSKSDNGSHDSPFQGGESFFREVLASMEAVYLSRNPTAKAVLELVGSVADDQICYDHFAFRTFGVNGYGIDSMAKFFLDFGYTPREELRFPAKKLRALWFSPPSVPTSRGGSGVNGPLPRVFVSELLVDQMSPQTQDIIKKYTGISGSGNKHAALASALGSLTWEKPLHSEFQQLARESEYAAWTLVNGYALNHATISTHQLKSRLRDIKNLNQFIEKNGFKLNSEGGTLKVSPDGLLQQSSTVADSIHFQFSDGLTESVPCSYIEFAERLVLPQYSNLPLEEVKEHHRRDGFEVGNADKIFESTSKEQLTRKAG >ONI31130 pep chromosome:Prunus_persica_NCBIv2:G1:29174283:29175519:1 gene:PRUPE_1G294500 transcript:ONI31130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFSTKNTTPQKYRDFIESLRQRLTAGRSNSHGIPVLPRREDVPDAQRFLLVDLTNSGNNTIKLAIDVVNAYVVGYAAGGRSYFLKENARENPPPIHTLFSDTTRMPPLDFDGSYTGLSRAAQEAVKRNIARDRARNPAVADLHPDTSILERIPLGRNELDDAINLLRLAPSQSDQAVGFIVVIQMICEAARFRFIEGLIRNSMKDVYDPIIPGLAIRSLETHWSDLSEEIQRVPANQTPFQKAVVLHNIKNERVEVRSVDSDVVRGVAMLLYDRNQNANPGPSTKKPLLKNLKPHIGKPKK >ONI35074 pep chromosome:Prunus_persica_NCBIv2:G1:42304507:42305325:-1 gene:PRUPE_1G513900 transcript:ONI35074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERALPAGDDDPVPTTSPKHPEFIPPPLPPPNSQQLILSNSNGSTATFRSGTYIVQVPKDQIYRMPPPEHATIVERHRDSGVNKKSCSYCCLGIIAFIVLLIITLVAVILTMLAKSGDPKFSVERVVVKGKSGRPDYDLTLEARNPNSRVAIVYKDGGGASLYFKQKKIANGKYPSLYQGSGKSKEVALVLHGSNMKLPKEIEKSLKSSYSSTYKKGHRVSLSLNMDIPARMRIGTLNSRSRKFHVTCDITVDTLAKGTKVLKQECQTERK >ONI34140 pep chromosome:Prunus_persica_NCBIv2:G1:38774546:38780568:1 gene:PRUPE_1G464600 transcript:ONI34140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWTETTSPLPLLFRRRSSGEIRNLASVSSSILPAFGTVVDEGHLQLKKFVIAPYDRRYRWWQAFLVLLVIYSAWASPFELAFKKVATGSLMPVDLVVDAFFAVDIVLTFFVAYLDKSTYLLVLDHKKIALRYVISIYFAMDVVSTLPIQLIYRMFTGNSHYAQVFGFLNLLRLWRLRRVSELFKRLEKDTRFSYFWIRYIKLICVTLFAVHSAGCFYFWMATHHKTLSNTWIGTQVNDFEHKSIWLCYTYSIYWATVTLTTVGYGDLHAVNSGEKIFSIFYMLFNIGLFAYLVGNMTNLVVHSAVRTLFMRDAINEIFRYARKNRLPEGLKEQMLAHMQLKFKTAELQQEEVLEDLPKAIRSSISQHLFRRTVEKTYLFKGVSEHLTVQLASEMKAEYFPPKFDIILQNEIPTDFYILVFGALDVLQYKNGTEQFLSKLGSADLVGEIGVFFNTPQPFTVRTKKLSQVIRISHHHFKEMVQPQNEDGMIMIKNFIQHLKDLKQEMLQEIPFLTESLDELNIEHIQANENTQYNELVNFHGDTNMEETNETSTPGAITLRVIIHGHHPDEGDTNGKLIHLPESMEDLLRLAEKKLGKRGSTVVMGDGSQVEELDALRDNDHLFIF >ONI34141 pep chromosome:Prunus_persica_NCBIv2:G1:38774546:38779434:1 gene:PRUPE_1G464600 transcript:ONI34141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWTETTSPLPLLFRRRSSGEIRNLASVSSSILPAFGTVVDEGHLQLKKFVIAPYDRRYRWWQAFLVLLVIYSAWASPFELAFKKVATGSLMPVDLVVDAFFAVDIVLTFFVAYLDKSTYLLVLDHKKIALRYVISIYFAMDVVSTLPIQLIYRMFTGNSHYAQVFGFLNLLRLWRLRRVSELFKRLEKDTRFSYFWIRYIKLICVTLFAVHSAGCFYFWMATHHKTLSNTWIGTQVNDFEHKSIWLCYTYSIYWATVTLTTVGYGDLHAVNSGEKIFSIFYMLFNIGLFAYLVGNMTNLVVHSAVRTLFMRDAINEIFRYARKNRLPEGLKEQMLAHMQLKFKTAELQQEEVLEDLPKAIRSSISQHLFRRTVEKTYLFKGVSEHLTVQLASEMKAEYFPPKFDIILQNEIPTDFYILVFGALDVLQYKNGTEQVSLNTSL >ONI26411 pep chromosome:Prunus_persica_NCBIv2:G1:1567045:1575773:-1 gene:PRUPE_1G022900 transcript:ONI26411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVRLYRESDLGMRLPAYDGRKSLYTAGELPFAWKEFNIKLVDEPDGINGRKRERDYKVVIKFVARANMHHLGQFLAGKCADAPQEALQILDIVLRELSNKRYCPIGRSFFSPDIRTPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRTLSDSDRVKIKKALRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDENLTMKSVIEYFQEMYGFTIQQGHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKNCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYNARPEQVEKALKHVYHASMNKTKGKDLELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSIDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMQENGSTGHTAKGTRAAGETGVRPLPALKENVKRVMFYC >ONI26410 pep chromosome:Prunus_persica_NCBIv2:G1:1567032:1576414:-1 gene:PRUPE_1G022900 transcript:ONI26410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRKMKESSEQHLVIKTHLQNPVNPVQKQPKTAQNGKGPPPQEPHNPKTHNQISPPTKNRGRRRGRGGRKSDQGDVCMRPSSRHCTVAHIPASPSFASPPVASTPNGYVENGGNSCSMEMGFPTSSKSLSFARRPGFGQVGIKCIVKANHFFAELPEKDLNHYDVCITPEVASRSVNRAIMAELVRLYRESDLGMRLPAYDGRKSLYTAGELPFAWKEFNIKLVDEPDGINGRKRERDYKVVIKFVARANMHHLGQFLAGKCADAPQEALQILDIVLRELSNKRYCPIGRSFFSPDIRTPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRTLSDSDRVKIKKALRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDENLTMKSVIEYFQEMYGFTIQQGHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKNCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYNARPEQVEKALKHVYHASMNKTKGKDLELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSIDKSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMQENGSTGHTAKGTRAAGETGVRPLPALKENVKRVMFYC >ONI31653 pep chromosome:Prunus_persica_NCBIv2:G1:30916650:30917370:1 gene:PRUPE_1G323800 transcript:ONI31653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKPKQEDPPPSSASVETVEEIMKLYKSLPPRPSIEEVEAAQTVLKTVNSEEEKKLEEISRQEKPENVPEELFSVLQKVKKTMVLFQSQDQRKEAVHVVEMEGLFQTFDDLIQTASGLVSGDTQVQKHVNLEDPVEKIGRETVISDESLTMKKEDKESERDGFKGVVRSASTLSSSCFIFFTTPRG >ONI28143 pep chromosome:Prunus_persica_NCBIv2:G1:9934025:9935288:-1 gene:PRUPE_1G126200 transcript:ONI28143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFHKTQLSLIVLLVMMASTTTMSSPSPKSKVTSKAQIECTMCSLCENPCGQVQSPPPPPPSSPPPSPPSSSSNCPPPPSPPSSGTTYYSPPPPAQPTYYYYPPPQGGGGGGGGSGAFYPPPNNKNYPVPPPPNPIVPYFPFYYYNPPPHSTSSSSVQLMTSSYPLVYSVVSVALFSVLSCLF >ONI33984 pep chromosome:Prunus_persica_NCBIv2:G1:38315245:38317875:-1 gene:PRUPE_1G457200 transcript:ONI33984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFKDHPRLPKRYDITLKPDLNSCSFGGSVAVHLDIVCDTLFIVLDAADLSVDAASVSFASSKVLFKPWKVETFEEDGILVLEFGETLPIGSGVLGIGFEGILNDKMKGFYRGVFFEFWKKNMAVTQFAPVDARRCFPCWDEPAWKVALSNKLTLSNMPIVEEKVDGHLKTVSFQELPIVSTYLAAVVVGLFDFVEDHTSDGKFALDVAVKTLEFYKDYFAVPYSLPKLDMGLVTYQETALLNDEQHSAAAIKQWTLLWLNEGFATWVSYLATDSLFPESKMWAKFLDEITGGLKLDGLEGSHPIEVVINHAAEVVEIFDAISYRKGGSVIRMLQNYLGAECFQVSPLVC >ONI33985 pep chromosome:Prunus_persica_NCBIv2:G1:38314151:38317875:-1 gene:PRUPE_1G457200 transcript:ONI33985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFKDHPRLPKRYDITLKPDLNSCSFGGSVAVHLDIVCDTLFIVLDAADLSVDAASVSFASSKVLFKPWKVETFEEDGILVLEFGETLPIGSGVLGIGFEGILNDKMKGFYRGVFFEFWKKNMAVTQFAPVDARRCFPCWDEPAWKVALSNKLTLSNMPIVEEKVDGHLKTVSFQELPIVSTYLAAVVVGLFDFVEDHTSDGKFALDVAVKTLEFYKDYFAVPYSLPKLDMGLVTYQETALLNDEQHSAAAIKQWVATAVAHELAHQWFGNLVTMEW >ONI32230 pep chromosome:Prunus_persica_NCBIv2:G1:32954555:32961923:-1 gene:PRUPE_1G355000 transcript:ONI32230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGSSGIGYGLKYQARCISDVKADTDHTSFITGTLSLKEENEVHLIRLSSDGTELVCEGLFSHPNEIWDLASCPFDQRIFSTVYSTGESYGAAIWQIPELYGELNSPQLERITSLDSQVGKIKCILWWPSGRHDKLISIDEENLSLWSLDLSKKAAQVQSQESAGVMHYLSGGAWDPHDMNAVAATCESSIQFWDLRTMKKTNSVEHAHVRNVDYNPKKKHVLITAEDESGIRIWDLRKPKVPIQELPGHTHWTWAVRYNPEYDGLILSSGTDSTVNLWLASPSSNDGSISESLVDSPTRRIDPSLNSYSDYEDSIYGLAWSSREPWIFASLSYDGRVVVESVKPFLSRK >ONI32229 pep chromosome:Prunus_persica_NCBIv2:G1:32954555:32960395:-1 gene:PRUPE_1G355000 transcript:ONI32229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGSSGIGYGLKYQARCISDVKADTDHTSFITGTLSLKEENEVHLIRLSSDGTELVCEGLFSHPNEIWDLASCPFDQRIFSTVYSTGESYGAAIWQIPELYGELNSPQLERITSLDSQVGKIKCILWWPSGRHDKLISIDEENLSLWSLDLSKKAAQVQSQESAGVMHYLSGGAWDPHDMNAVAATCESSIQFWDLRTMKKTNSVEHAHVRNVDYNPKKKHVLITAEDESGIRIWDLRKPKVPIQELPGHTHWTWAVRYNPEYDGLILSSGTDSTVNLWLASPSSNDGSISESLVDSPTRRIDPSLNSYSDYEDSIYGLAWSSREPWIFASLSYDGRVVVESVKPFLSRK >ONI32231 pep chromosome:Prunus_persica_NCBIv2:G1:32955038:32961923:-1 gene:PRUPE_1G355000 transcript:ONI32231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGSSGIGYGLKYQARCISDVKADTDHTSFITGTLSLKEENEVHLIRLSSDGTELVCEGLFSHPNEIWDLASCPFDQRIFSTVYSTGESYGAAIWQIPELYGELNSPQLERITSLDSQVGKIKCILWWPSGRHDKLISIDEENLSLWSLDLSKKAAQVQSQESAGVMHYLSGGAWDPHDMNAVAATCESSIQFWDLRTMKKTNSVEHAHVRNVDYNPKKKHVLITAEDESGIRIWDLRKPKVPIQELPGHTHWTWAVRYNPEYDGLILSSGTDSTVNLWLASPSSNDGSISESLVDSPTRRIDPSLNSYSDYEDSIYGLAWSSREPWIFASLSYDGRVVVESVKPFLSRK >ONI32264 pep chromosome:Prunus_persica_NCBIv2:G1:33065512:33070690:1 gene:PRUPE_1G357100 transcript:ONI32264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNFAGAAVSALLFLTSLFSIVAAEDPYRFFEWNVTYGDIYPLGVRQKGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLISWNGIQQRRNSFEDGVYGTTCPIPPGRNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKSNHTSLKAHLDAGKKLPFPDGILINGRGPGGFSFNFEQGKTYRLRISNVGLQHSLNFRIQNHKLKLVEVEGTHTLQTTYSSLDVHVGQSYSVLVTADQPGQDYYIVASSRFTTPILTTTGTLHYTNSAGRVSGPPPGGPTIQVDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINTTKTYVLENSAGQVNGKQRYGVNSVSFVPADTPLKLADYFKIGGVFRVGSISDRPTGGGLYLDTSVLGADYRTFVEFVFQNNEDIIQSWHLDGYSFFVVGLDGGQWTPASRKEYNLRDAVSRCTVQVYPKSWTAIYVALDNVGMWNLRTEFWARQYLGQQLYLRVYTPSTSIRDEYPIPKNALLCGRATGRRTRPL >ONI28275 pep chromosome:Prunus_persica_NCBIv2:G1:10667151:10667371:1 gene:PRUPE_1G135300 transcript:ONI28275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFYFFKASLLVTPFPQEKVKGNQGPTFCVTDFLTLLHASSINYINRRTEYDYKAYQS >ONI30150 pep chromosome:Prunus_persica_NCBIv2:G1:24811434:24815190:-1 gene:PRUPE_1G233900 transcript:ONI30150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSYFPLRWESTGDQWWYASPIDWAAANGLYDLVSELLHLDTNLLIKLTSLRRIRRLETVWDDEAQFDDVAKCRSQVAKRLLHECQAQRGHNSLIRAGYGGWLLYTAASAGDVGFVKELLERDPLLVFGEGEYGVTDIFYAAARSKNSEVFRLLFDFSVSPRFSLGNGEVEERLGDITSDFKWEMMNRAVHAAARGGNLEILRDLLGDCEDVLAYRDAQGSTILHTASGRGQVEVVEYLIAFFDIITIVDNQGNTALHVAAYRGHLAVVEVLIRASPSLTSLSNNYGDTFLHLAVAGFRSPGFRRLDKQIELTKQLVCGNIVNTLDIINVRNNDGRTALHMAVIENVQCNLVELLMIVPSIDLNIRDSDGMTPLDILKQRPKSASSELLIKQLISAGGISKCQDHKARSALVSHLKMQGIGNSPGTSFRIPDAEIFLYAGIDNASDASCEQSSVRFSGELNQFGSVNSVNNKKSGSVNYTARRLKFLLQWPRRKEKKESIRDLGDGDSLESFSTCIDLDDNPIPLRQMYSKSSSLPNNKRTLSVRSFLPSPYTKMKFTAGLTHGVIQAKPHLAFPAHSFSSPLSRSSMSSPTFIDKEKSVDILGPSCSNGKASQVNFKQSEFNKKIINQYLCVGAQGLDREESISCAWSNQSYKHSTSLVA >ONI31510 pep chromosome:Prunus_persica_NCBIv2:G1:30554142:30556907:-1 gene:PRUPE_1G317300 transcript:ONI31510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPAAPSLQPHHPNYADSVDSSPRSRNTDSWDEPLPPTTRLRLMCSYGGHIVPRPHDKSLCYVGGDTRIVVVDRHTSLSDLSNRLSKTLLNGRPFTLKYQLPSEDLDSLISVTTDEDLDNMIDEYDRTATNNSNSSSKPSRLRLFLFPLKPESTQSMGPILDMPATKSEDWFLNALNGASGMLNRGFSDSASVNCLLGLDDDGISGGAPNNLDSASRDAEATIPANCKNAKQGGGGGQDVHSVPDSPMLENSSSFGSTSSSPSLANLPPIRVHVEDGGGGGGGIRVQDQKMGIEEQFAQMTVGGGGQKQDEGSFVVLSPPPPMPTTIVASAAPLSSATEYLNRVVSDDERSDHGAPAGYRRPPQPQLQLQPQTLPAQSQPKSIDLPSPDSVSSDSSFSNASRPKPVIYQDPVVQIPSGNTRFPANLVDPKLNMADPNTRVQMQQQLHDSGYVLQAQFDHQQQQQQQQQHQQAHQQHQQAQQQQQFIHAGTHYIQHHPGSVPIPAYYPVYPSQQQQHHQHHHHPQLDQQQQQQYQVYYMPARQAQPYTNLPVQQSNINEAATSIPSSRSQTPPNSAMVSPSASFNQIRNAQIAKPDMAGGVYRTATAAAPSVVQVPPQHQQQYVGYTTQIHQSAVPSSGGAANYAYEYAEPSHAQIYYTQPLAPAIPSQYQTMTAAAAMVLPEGSAQLPTDNIKQQR >ONI34812 pep chromosome:Prunus_persica_NCBIv2:G1:41418306:41420591:-1 gene:PRUPE_1G500400 transcript:ONI34812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQIAKGKLALFLYKIKPYLAMISLQFGYAGMYIISMVGLKRGMSHFVLAVYRHLIAVVVIAPFALVLERKIRPKLTLPIFLRIMVLGFLEPVFAQNLYFVGMTYTSATFASATANILPAITFIFALLFRLEKINFKKIPSVAKVIGTVITVMGAMVMTWYKGPIVEIIRGQTQSHHNTTALSTEQHWITGTFMLLARCCGWSGFFILQSFTLKLYPAELSLTALICLMGALEGAVATFILEHNMSVWVIGWDSRLLAAGYSGVVCSGIAYYVQGVVMKEQGPVFVTAFSPLAMIITAALAAIILAEQIHLGSILGTILIVIGLYAVVWGKSKDPTASSLLIEDEKVVALELPVTVDNKTDGTGPPGDFIKVPIKAQESC >ONI34813 pep chromosome:Prunus_persica_NCBIv2:G1:41418590:41420519:-1 gene:PRUPE_1G500400 transcript:ONI34813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQIAKGKLALFLYKIKPYLAMISLQFGYAGMYIISMVGLKRGMSHFVLAVYRHLIAVVVIAPFALVLERSLYFSLFLHLFSSTKRHACVKIRPKLTLPIFLRIMVLGFLEPVFAQNLYFVGMTYTSATFASATANILPAITFIFALLFRLEKINFKKIPSVAKVIGTVITVMGAMVMTWYKGPIVEIIRGQTQSHHNTTALSTEQHWITGTFMLLARCCGWSGFFILQSFTLKLYPAELSLTALICLMGALEGAVATFILEHNMSVWVIGWDSRLLAAGYSGVVCSGIAYYVQGVVMKEQGPVFVTAFSPLAMIITAALAAIILAEQIHLGSILGTILIVIGLYAVVWGKSKDPTASSLLIEDEKVVALELPVTVDNKTDGTGPPGDFIKVPIKAQESC >ONI34888 pep chromosome:Prunus_persica_NCBIv2:G1:41666290:41673166:1 gene:PRUPE_1G504000 transcript:ONI34888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFCCVSTLSDHSSDLHMSSFGSRSDTHIRPAKLDPNSNHSNSFSHSSADYGRLSQRTTAAAAAAAVAAAEVVARPPSAREQPVDVKINDIVGNGVSGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDRIVVSVETEKGSKVIGEESMRRISRHRRNGTPHQLRRKPFGEVHLKVSSIRESKSDDKRFSIFTGTKRLHLRAESREDRYAWVEALQAVKDMFPRISNSELMAPVDNVAVSTEKLRQRLVEEGVRDAAIQDSEQIMKTEFAALQNQLLLLKQKQWLLIDTLRQLETEKVDLENTVVDESRQLKDGGASARSRQDKFSEGSATDSDEDNGRVDDAAEEETDEEDNAFFDTRDFLSSSSFKSNGSEYRMSSVSSDDEGINAIESEDGIDPSMRSVGANFPFIKRRKKLPDPVEKEKSVSLWSMIKDNIGKDLTKICLPVYFNEPLSTLQKGFEDLEYSYLLDRAYEWGKRGNSLMRILNVAAFAVSGYASTEGRICKPFNPLLGETFEADYPDKGLRFFSEKVSHHPMIIACHCEGKGWKFWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEVLQWSKVTTSIYNLILGKLYCDHYGTMRIQGKHEYSCKLKFKEQSIIDRNPHQVHGIVQDRNGKTVATMFGKWDESMHYVNGDCSGKGKGSDSLSEACLLWKRSKPPKFPTRYNLTRFAITMNELTPGLKFNDMQEKLPPTDSRLRPDQRYLENGEYEMANAEKLRLEQRQRQARKMQEQGWRPQWFAKDKGSDTYQYIGGYWEAREKGRWDSCPDIFGQVPCEPLLE >ONI34889 pep chromosome:Prunus_persica_NCBIv2:G1:41665858:41673166:1 gene:PRUPE_1G504000 transcript:ONI34889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFCCVSTLSDHSSDLHMSSFGSRSDTHIRPAKLDPNSNHSNSFSHSSADYGRLSQRTTAAAAAAAVAAAEVVARPPSAREQPVDVKINDIVGNGVSGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDRIVVSVETEKGSKVIGEESMRRISRHRRNGTPHQLRRKPFGEVHLKVSSIRESKSDDKRFSIFTGTKRLHLRAESREDRYAWVEALQAVKDMFPRISNSELMAPVDNVAVSTEKLRQRLVEEGVRDAAIQDSEQIMKTEFAALQNQLLLLKQKQWLLIDTLRQLETEKVDLENTVVDESRQLKDGGASARSRQDKFSEGSATDSDEDNGRVDDAAEEETDEEDNAFFDTRDFLSSSSFKSNGSEYRMSSVSSDDEGINAIESEDGIDPSMRSVGANFPFIKRRKKLPDPVEKEKSVSLWSMIKDNIGKDLTKICLPVYFNEPLSTLQKGFEDLEYSYLLDRAYEWGKRGNSLMRILNVAAFAVSGYASTEGRICKPFNPLLGETFEADYPDKGLRFFSEKVSHHPMIIACHCEGKGWKFWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEVLQWSKVTTSIYNLILGKLYCDHYGTMRIQGKHEYSCKLKFKEQSIIDRNPHQVHGIVQDRNGKTVATMFGKWDESMHYVNGDCSGKGKGSDSLSEACLLWKRSKPPKFPTRYNLTRFAITMNELTPGLKEKLPPTDSRLRPDQRYLENGEYEMANAEKLRLEQRQRQARKMQEQGWRPQWFAKDKGSDTYQYIGGYWEAREKGRWDSCPDIFGQVPCEPLLE >ONI35119 pep chromosome:Prunus_persica_NCBIv2:G1:42521528:42525102:1 gene:PRUPE_1G517200 transcript:ONI35119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKCSVFFKFLVPGFNKRLSLPVAFSSSLSEKKLDKAVIKSCLGSWCVRLGRSVDGVLSFEEGWEVFVNHHGLNIGEMVVFEHKGKMVFNVVAYESLGSEKEYELQSDKHQHDYKGKRTLKGTASSSSRTLFSTTMSKSHGDPLHAYMTFPAKFARSNGIVATSRIILKDPSGRSWPLIISKWESKTRGSYRIATRKGWYKFYEANKLKDGDVCIFNLKPVSSKSGSKSTHVLEVQITRGGS >ONI32890 pep chromosome:Prunus_persica_NCBIv2:G1:34913531:34914760:1 gene:PRUPE_1G392000 transcript:ONI32890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTQVNSYDSCLSPSQNPKPEVQHQNLISSPQMLGSTEELKHSSIENKHATKASTSTHEHASEKWRQKALGWVDDSQFWICYKQHNFEQIL >ONI33417 pep chromosome:Prunus_persica_NCBIv2:G1:36481361:36484074:-1 gene:PRUPE_1G422800 transcript:ONI33417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCACSGEQFKFEEPEPPHSPESLATRDFSASGLSSRTGDWESKFEDIQVDEAESTLKEALSLNYEEARALLGRLEFQRGNFDAALQVFQGIDIRSLTPRMAKAIVERIRQKKPRPKGDHVLPSVMSMHSVSLLIEAILLKARSLGELGRFIEAAKECKVILDTVESALPNGMLDDICEDCKLQDMFHKALELLPSLWIKAGYLDEAITAYRRALVKPWNLEPKRLADVQKNLACTLLYGGVEASLPPQLQIWGTTMPKNNTEEAILLLLILIKKVALREIKWDPEIMDHLTYALSVTGLFELLADHVEQALPGLYRRAERWYFLALCYSACGQNEVALNLLKKVAGCSEGKNKPHFPSFLLGAKLCSQDPNHAREGIAFSRKAIDLVNHQCEHFMGQVHKFLGVCYGSAARASVLDSERMLFQRESLNSLNYAVRNEKEDPEVMFSLGLENAVQRNLDAAFNNAMKYSNMMVGSSGQGWKLLALVVSAEQRFKDAETIVDFALDEAGRVDQLELLRLKAVLQVAQEHPKQAIETYRILLTLVQAQRDLQAKNSEQEPTFHSEEFVERNLEKEAWRDLATIYTKLGLWTDAEICVNKAKLIEFYSAHSWHTTGMLFEAQSQYREALISFSVSLSIEPDYVPSIVSTAEVLMKLGSQSLPIARSFLMNALRLEPTNHKAWLNLGLISKREGSLQQAADFFQAAHELQLSAPVQSFV >ONI33419 pep chromosome:Prunus_persica_NCBIv2:G1:36480784:36485030:-1 gene:PRUPE_1G422800 transcript:ONI33419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCACSGEQFKFEEPEPPHSPESLATRDFSASGLSSRTGDWESKFEDIQVDEAESTLKEALSLNYEEARALLGRLEFQRGNFDAALQVFQGIDIRSLTPRMAKAIVERIRQKKPRPKGDHVLPSVMSMHSVSLLIEAILLKARSLGELGRFIEAAKECKVILDTVESALPNGMLDDICEDCKLQDMFHKALELLPSLWIKAGYLDEAITAYRRALVKPWNLEPKRLADVQKNLACTLLYGGVEASLPPQLQIWGTTMPKNNTEEAILLLLILIKKVALREIKWDPEIMDHLTYALSVTGLFELLADHVEQALPGLYRRAERWYFLALCYSACGQNEVALNLLKKVAGCSEGKNKPHFPSFLLGAKLCSQDPNHAREGIAFSRKAIDLVNHQCEHFMGQVHKFLGVCYGSAARASVLDSERMLFQRESLNSLNYAVRNEKEDPEVMFSLGLENAVQRNLDAAFNNAMKYSNMMVGSSGQGWKLLALVVSAEQRFKDAETIVDFALDEAGRVDQLELLRLKAVLQVAQEHPKQAIETYRILLTLVQAQRDLQAKNSEQEPTFHSEEFVERNLEKEAWRDLATIYTKLGLWTDAEICVNKAKLIEFYSAHSWHTTGMLFEAQSQYREALISFSVSLSIEPDYVPSIVSTAEVLMKLGSQSLPIARSFLMNALRLEPTNHKAWLNLGLISKREGSLQQAADFFQAAHELQLSAPVQSFV >ONI33418 pep chromosome:Prunus_persica_NCBIv2:G1:36480784:36484793:-1 gene:PRUPE_1G422800 transcript:ONI33418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCACSGEQFKFEEPEPPHSPESLATRDFSASGLSSRTGDWESKFEDIQVDEAESTLKEALSLNYEEARALLGRLEFQRGNFDAALQVFQGIDIRSLTPRMAKAIVERIRQKKPRPKGDHVLPSVMSMHSVSLLIEAILLKARSLGELGRFIEAAKECKVILDTVESALPNGMLDDICEDCKLQDMFHKALELLPSLWIKAGYLDEAITAYRRALVKPWNLEPKRLADVQKNLACTLLYGGVEASLPPQLQIWGTTMPKNNTEEAILLLLILIKKVALREIKWDPEIMDHLTYALSVTGLFELLADHVEQALPGLYRRAERWYFLALCYSACGQNEVALNLLKKVAGCSEGKNKPHFPSFLLGAKLCSQDPNHAREGIAFSRKAIDLVNHQCEHFMGQVHKFLGVCYGSAARASVLDSERMLFQRESLNSLNYAVRNEKEDPEVMFSLGLENAVQRNLDAAFNNAMKYSNMMVGSSGQGWKLLALVVSAEQRFKDAETIVDFALDEAGRVDQLELLRLKAVLQVAQEHPKQAIETYRILLTLVQAQRDLQAKNSEQEPTFHSEEFVERNLEKEAWRDLATIYTKLGLWTDAEICVNKAKLIEFYSAHSWHTTGMLFEAQSQYREALISFSVSLSIEPDYVPSIVSTAEVLMKLGSQSLPIARSFLMNALRLEPTNHKAWLNLGLISKREGSLQQAADFFQAAHELQLSAPVQSFV >ONI33173 pep chromosome:Prunus_persica_NCBIv2:G1:35825017:35826314:1 gene:PRUPE_1G409500 transcript:ONI33173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRIPADETNLLIHPLLSISFFAAGMAATIAMITALCSFRRKRSPPPSQSPASNTTETDAEPATMAAAGTTTTTPQQDSETDQENEDGRESKELPLPPRMRHSGQIDSTVNNKTHIKKSASERRLLSNLSMKLPRSLSMARKDKVKEEYNKRKNAKLKPEESIWMKTIILGEKCKVPDEDELAIYDAKGRKIPAYRPKSRQSSFIDPSAIPDQRMRKVEIENKINQERDHKQENKNEESDQE >ONI32690 pep chromosome:Prunus_persica_NCBIv2:G1:34287224:34287906:1 gene:PRUPE_1G379900 transcript:ONI32690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYILRTISNLVLAFCTDSKNTIPIPNKEATILKHKSQVNSTRFFFLIEKYNLSDFAKQSQDIPGVSGSLLPA >ONI29409 pep chromosome:Prunus_persica_NCBIv2:G1:18805003:18808949:-1 gene:PRUPE_1G197100 transcript:ONI29409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCEKAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLNCLSNKLPRCDICQDKAAFIFCVEDRALFCQDCDEPIHSANSLSANHQRFLATGIRVALSSSCTKEAETSSLEPPERSTQQISTKISAPQASGVLSPWGVDDLLQLSDFESSDKKESLEFGELEWIADMGLFGEQFPQEAMAAAEVPQLPASQPSNFASQRPPKSNVPYKKPRIEIADDDDEHFTVPDLGIF >ONI30666 pep chromosome:Prunus_persica_NCBIv2:G1:27366680:27367812:1 gene:PRUPE_1G265700 transcript:ONI30666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGVDLIWIDEMKMNPMHVVTLIRFLITFDADARSSSFIFPHHHHDGKNQEMFVCCCCPFSPARRRVSHQTGSNSRKRHSHHAPWR >ONI35255 pep chromosome:Prunus_persica_NCBIv2:G1:43076566:43078106:-1 gene:PRUPE_1G526000 transcript:ONI35255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADSVKLLGCWASPAALRVKWTLKLKEIEYQYVEEDLQNKSPLLLHYNPVHKKIPVLVHDGKPVAESLIILEYIEETWMQNPILPEDPYERAQARFWAKFVEEKCGPSIMSAFTKKGEEKEKGAKEARENLKILESGLGGKQFFGGESIGFVDIAAGWIGLWARIVEEIAEVNLIDTETMPLLNAWFGRVLEFPILKECLPPQDILLEHSRGFHKILTAASS >ONI35384 pep chromosome:Prunus_persica_NCBIv2:G1:43549766:43556638:-1 gene:PRUPE_1G532700 transcript:ONI35384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKDPEKSYITEEDTANLLQRYQAANVLHLLQEVAHSQDVKIDWNRLVEKTSTGISNAREYQMLWRHLAYSEAFVDNFDNGAQPVDDDSDLEHELEAFPAVIGEDSTEAAACVKVLMASGLPSDSTHRSGATVEAPLTINIPNGQPSRTHQNSQPPCSMQGMNITVPVSVQKQPLLAMTTSTGATAEGGDANGSASNNMAPRKKRKKWSEAEDLELIAGVRRYGEGNWANILRGDFKGERTANQLSQRWKYIRKHHHQDLNVGGNSSNKLSEAQLATRHAMSLALNMPSITANTIGTAGTNTHSKFGGTNATTNSLPSTAAEEELQSQQGLKPAKPYQMGLLGSTSKSQLTSKKTLTKPNSNTDGMVRATAVAAGARIASPSDAASLLKAAQAKNAVHVLPTVSTPLSTAVTPSATHPGSLKALPQTSQHAPTNSTLLSKQIKDVSCSLDSELGCTPTEQVQDGAVISENGQNEEGQKDKVDSPDQKAELKNLSTSAENLVGSLDIKGDETDNIAGIGVQSEERQSAKDNETLCSLKGDDPFAADSCENQSASEQQIGLVSIVGDGCNGKQVLGKEETGIKI >ONI35385 pep chromosome:Prunus_persica_NCBIv2:G1:43550005:43556294:-1 gene:PRUPE_1G532700 transcript:ONI35385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKDPEKSYITEEDTANLLQRYQAANVLHLLQEVAHSQDVKIDWNRLVEKTSTGISNAREYQMLWRHLAYSEAFVDNFDNGAQPVDDDSDLEHELEAFPAVIGEDSTEAAACVKVLMASGLPSDSTHRSGATVEAPLTINIPNGQPSRTHQNSQPPCSMQGMNITVPVSVQKQPLLAMTTSTGATAEGGDANGSASNNMAPRKKRKKWSEAEDLELIAGVRRYGEGNWANILRGDFKGERTANQLSQRWKYIRKHHHQDLNVGGNSSNKLSEAQLATRHAMSLALNMPSITANTIGTAGTNTHSKFGGTNATTNSLPSTAAEEELQSQQGLKPAKPYQMGLLGSTSKSQLTSKKTLTKPNSNTDGMVRATAVAAGARIASPSDAASLLKAAQAKNAVHVLPTGGSSIQSSLPGSMRTHPEPHPNLHYMHTGLAATPVSTPLSTAVTPSATHPGSLKALPQTSQHAPTNSTLLSKQIKDVSCSLDSELGCTPTEQVQDGAVISENGQNEEGQKDKVDSPDQKAELKNLSTSAENLVGSLDIKGDETDNIAGIGVQSEERQSAKDNETLCSLKGDDPFAADSCENQSASEQQIGLVSIVGDGCNGKQVLGKEETGIKI >ONI35387 pep chromosome:Prunus_persica_NCBIv2:G1:43549766:43556638:-1 gene:PRUPE_1G532700 transcript:ONI35387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWRHLAYSEAFVDNFDNGAQPVDDDSDLEHELEAFPAVIGEDSTEAAACVKVLMASGLPSDSTHRSGATVEAPLTINIPNGQPSRTHQNSQPPCSMQGMNITVPVSVQKQPLLAMTTSTGATAEGGDANGSASNNMAPRKKRKKWSEAEDLELIAGVRRYGEGNWANILRGDFKGERTANQLSQRWKYIRKHHHQDLNVGGNSSNKLSEAQLATRHAMSLALNMPSITANTIGTAGTNTHSKFGGTNATTNSLPSTAAEEELQSQQGLKPAKPYQMGLLGSTSKSQLTSKKTLTKPNSNTDGMVRATAVAAGARIASPSDAASLLKAAQAKNAVHVLPTGGSSIQSSLPGSMRTHPEPHPNLHYMHTGLAATPVSTPLSTAVTPSATHPGSLKALPQTSQHAPTNSTLLSKQIKDVSCSLDSELGCTPTEQVQDGAVISENGQNEEGQKDKVDSPDQKAELKNLSTSAENLVGSLDIKGDETDNIAGIGVQSEERQSAKDNETLCSLKGDDPFAADSCENQSASEQQIGLVSIVGDGCNGKQVLGKEETGIKI >ONI35386 pep chromosome:Prunus_persica_NCBIv2:G1:43550005:43556294:-1 gene:PRUPE_1G532700 transcript:ONI35386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKDPEKSYITEEDTANLLQRYQAANVLHLLQEVAHSQDVKIDWNRLVEKTSTGISNAREYQMLWRHLAYSEAFVDNFDNGAQPVDDDSDLEHELEAFPAVIGEDSTEAAACVKVLMASGLPSDSTHRSGATVEAPLTINIPNGQPSRTHQNSQPPCSMQGMNITVPVSVQKQPLLAMTTSTGATAEGGDANGSASNNMAPRKKRKKWSEAEDLELIAGVRRYGEGNWANILRGDFKGERTANQLSQRWKYIRKHHHQDLNVGGNSSNKLSEAQLATRHAMSLALNMPSITANTIGTAAGTNTHSKFGGTNATTNSLPSTAAEEELQSQQGLKPAKPYQMGLLGSTSKSQLTSKKTLTKPNSNTDGMVRATAVAAGARIASPSDAASLLKAAQAKNAVHVLPTVSTPLSTAVTPSATHPGSLKALPQTSQHAPTNSTLLSKQIKDVSCSLDSELGCTPTEQVQDGAVISENGQNEEGQKDKVDSPDQKAELKNLSTSAENLVGSLDIKGDETDNIAGIGVQSEERQSAKDNETLCSLKGDDPFAADSCENQSASEQQIGLVSIVGDGCNGKQVLGKEETGIKI >ONI32677 pep chromosome:Prunus_persica_NCBIv2:G1:34250715:34253603:-1 gene:PRUPE_1G379200 transcript:ONI32677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYVLHSFLLVSQFPCTLKTFPCNLKTMSSQENGSPEHPTWPEFKLPDLLSTDTVRQVHATIENEWDPLQRSACQTAAGRALWKHVIHDPLADILAGETYLKNLHEKIKKDCVNKAREISGVILAVRTLWFDSKLEAALHSFNDREAQVVLLGAGMDARAYRLSCLKESNVFEVDFPEVLQIKATLLQAAMDSTNNHQYLTMTAKSITRVAADIRGNDWLEKLQISGFVPERNTVWVLEGILYYLSHSEATQVLEIIADKCSLTNTVLLADFMNKPSTSLSSSIFHFYSDWPDHLLPSLGFSYVKLSQIGDPDAHFGLMHDPLNLFNKLRSLPRSVYTHPDDGTPCCRLYLVEASGSPKQTIPSMAS >ONI32783 pep chromosome:Prunus_persica_NCBIv2:G1:34527718:34528592:1 gene:PRUPE_1G385300 transcript:ONI32783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVYGEEFIRSEEYEVLLCLRRAGVLLRVNIFALLFDLLVFEIFHDLFEQHFYMQTHPYSSYTWSPTLLTQKSFFQDGVSCLIIYFLFWRLYEILGHDLHHYFPFYADHLVCIWGPTLLKEIAFKRELSIIAL >ONI35168 pep chromosome:Prunus_persica_NCBIv2:G1:42678375:42680309:-1 gene:PRUPE_1G519900 transcript:ONI35168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDLTEKPHAVCIPYPAQGHITPMLQLAKLLHYKGFHITFVHTEFNHRRLLKSRGPNSLDGLPSFQFETIPDGLPPTDPNATQDITALCLSTSKNCLAPFRDLLSKVNSVPDSPPVTCIVSDGGMTFTLDAAQELGIPEVIFETLSACGLMCYLQYRPLIEKGLMPPKDPSYLTNGYLDTEIDWIPGMRGIRLRDIPSFIRTTDPNDFMLDYLLVEIARAKRASAIILNTFDALDHEVLHGLSTLLPPVYSVGPLHLQLNQIPADNKLKSIGSNLWTEEPECLEWLDSKEPNSVVYVNFGSITVMTAEQLIEFSWGLANSNQTFFWVIRPDLVGGEAAVVPPEFMQETKERGLLASWCPQEQVLSHPAIGGFLTHSGWNSTLESLCGGVPMICWPFFAEQHANCRFCCKEWGIGMEIEGDVKRNYVEELVRKLMEGEEGKEMRRKALEWKKLAKEATTGPNGLSFVGLEKLVNQVLLSPRN >ONI28073 pep chromosome:Prunus_persica_NCBIv2:G1:9541635:9542661:1 gene:PRUPE_1G121300 transcript:ONI28073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKQVKNQVRDTVEGGFDTLHRVADVVTFPLAPLDGAVHGTARGVLNWLTDNHPEDRSKSKQKCLPKPQQHCNNGGGYGGGYGNGGGYGNGGGYGNGGGYGNGGGYGGGNDGYGGGGYGGNPASGNPNRRNFNFKGNEVSNNEGPAFGFNNFGNRRGGGPYSGDSEFGFENNKLNGNKNRAEGFNGFGNEY >ONI30493 pep chromosome:Prunus_persica_NCBIv2:G1:26468579:26470991:1 gene:PRUPE_1G253800 transcript:ONI30493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHNQQTLVTPLVSLFFILLSSLNVTFATASSGESPFTPKAHLIRYWNKAVQNDAQKPSFLLSKASPLTAVDSAAFAQLAAQNALSTRLQEFCSSANLLCFPDVGQSLENHDGDTNFTAYSTKNFTNYGTARWAGVDSFKNYSVGDTSQVDSFRRYSRDSVNHTDDFNSYGPEANLVDQSFNSYATGAIAGTGEFKNYADSVNVPNLRFNSYSDDSTGRAHAFTAYSENANAGDQSFTSYSKHGNGAPNEFTGYGTDANSVGSGFTGYSEAVNGADDKFNNYGNDQNNPQNKFKSYGDSSNAAVETFTSYRDSANVGDDSFQSYAKNSDGEKVNFVNYGKSFEVGSDKFTGYGKGARGESVGFKIYGVNNSFSDYAKNESITFKGYTKLSGSLVKRRVEPGKFFRESMLKKGIVMPMPNIQDKMPKRRLITPPWRRSCWTPWKNARELRALARQNAALRRLRI >ONI30492 pep chromosome:Prunus_persica_NCBIv2:G1:26468579:26470991:1 gene:PRUPE_1G253800 transcript:ONI30492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHNQQTLVTPLVSLFFILLSSLNVTFATASSGESPFTPKAHLIRYWNKAVQNDAQKPSFLLSKASPLTAVDSAAFAQLAAQNALSTRLQEFCSSANLLCFPDVGQSLENHDGDTNFTAYSTKNFTNYGTARWAGVDSFKNYSVGDTSQVDSFRRYSRDSVNHTDDFNSYGPEANLVDQSFNSYATGAIAGTGEFKNYADSVNVPNLRFNSYSDDSTGRAHAFTAYSENANAGDQSFTSYSKHGNGAPNEFTGYGTDANSVGSGFTGYSEAVNGADDKFNNYGNDQNNPQNKFKSYGDSSNAAVETFTSYRDSANVGDDSFQSYAKNSDGEKVNFVNYGKSFEVGSDKFTGYGKGARGESVGFKIYGVNNSFSDYAKNESITFKGYTKLSGSLVKRRVEPGKFFRESMLKKGIVMPMPNIQDKMPKRSFLPQFISSKLPFATSKIYELKRIFQAPDNSTMEKIMLDALEECERAPSAGETKRCVASAEDMIDFATSVLGTNVVVRTTDNVNGSSHEVLIGSVKGINGGNVTKSVSCHQSLFPYLLYYCHSVPKVRVYEADLLDPISKAKINHGVAICHLDTSAWSPTHGAFVALGSGPGRIEVCHWIFQNDMTWAVADR >ONI27665 pep chromosome:Prunus_persica_NCBIv2:G1:7896025:7896649:1 gene:PRUPE_1G098900 transcript:ONI27665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSFSLKSLTLFALLVSSVVLSESRVARKDLGIDLGGIGVGVGAGLGIGLGGSGAGSGAGSGSGSGSGSSSSSSSSSSSSSSSRSNGSGSSEAGSSAGSYAGSRAGSGSGNGGRG >ONI35227 pep chromosome:Prunus_persica_NCBIv2:G1:42902227:42905120:-1 gene:PRUPE_1G523800 transcript:ONI35227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRYPCFLTEQVVHLTPLLSLQNPQHLSKHTPTTLSLSGSLSSLSLSLPHTHTRSSSITRTKRLSNFVFQFSSTAQEQALDESPVLEAEPEEFSDTRLLAQNVPWTCTPEDIRTLFEKYGTVVDVELAMYNKTRNRGLAFVTMGSPEEALAALNNLESSEMEGRIIKMAYAKPKKTKIPPPSSQPKPVTFNLYVENLPYGARSKDLKELFNSEDCSVVTAEVVFQGNPRRSAGYGFVGFKSKKEAEAALSAFHGKLLMGRRIRVARGKQFVKVPKEESSQLGDESTELNSIVEQVNTDVNDTN >ONI35228 pep chromosome:Prunus_persica_NCBIv2:G1:42900837:42905191:-1 gene:PRUPE_1G523800 transcript:ONI35228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRYPCFLTEQVVHLTPLLSLQNPQHLSKHTPTTLSLSGSLSSLSLSLPHTHTRSSSITRTKRLSNFVFQFSSTAQEQALDESPVLEAEPEEFSDTRLLAQNVPWTCTPEDIRTLFEKYGTVVDVELAMYNKTRNRGLAFVTMGSPEEALAALNNLESSEMEGRIIKMAYAKPKKTKIPPPSSQPKPVTFNLYVENLPYGARSKDLKELFNSEDCSVVTAEVVFQGNPRRSAGYGFVGFKSKKEAEAALSAFHGKLLMGRRIRVARGKQFVKVPKEESSQLGDESTELNSIVEQVNTDVNDTN >ONI26636 pep chromosome:Prunus_persica_NCBIv2:G1:2553294:2555069:1 gene:PRUPE_1G036600 transcript:ONI26636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATTRADGQGSVSQLSIPTIDLKGIHSNSVLRTEIVEQIRDACEKWGFFQVQNGIPAEILDRMLHGIREFHEQDSDLKKELCSKDAGNKEQYMSNNRFFKSSQGNSRDTFVCYMAPDPTKPADELPSVCRDVVNEYSKLVMDLGFTLFELLSEALGLNPNQLKDAYMDCAEGLSIMGHYYPPCPETKLTMGTNKHTDGSFITVLLQDQVGGLQVLYENQWIDVPPTHGALVVNVGDLLQLISNDKFISVNHRVLAQSVGPRVSVPTFFRPHAENPKVYGPIKELLSEENPQIYRETSVKDYLKYYLSELVKGNSALEHFKL >ONI35620 pep chromosome:Prunus_persica_NCBIv2:G1:44640107:44646514:1 gene:PRUPE_1G546300 transcript:ONI35620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKGASEQEKVEDTDTVEHPLKDYSVQLVAPTPSLRDDFVAEVSGLGGGGGGGADGSVRLLSNTASRANRRSNAANDEDPNKTQVISKPGNSNHQRWATMDMEASEKQTVMSRIVSMPHGAEGEQTAAGWPSWLTSVAGDAIKGWVPRRADSFEKLDKIGQGTYSSVYKARDLETGKIVALKKVRFVNMDPESVRFMAREIHILRRLDHPNVMKLEGLVTSRVSCSLYLVFEYMEHDLSGLAATRGNKFTEAQIKCYMQQLLLGLEHCHSRGVLHRDIKGSNLLLDNDGVLKIGDFGLATFYEPGQKEPLTSRVVTLWFRAPELLLGATAYGDAIDLWSTGCILAEMYAGKPIMPGRTEVEQMHKIFKLCGSPSEDFWKKTKLPHATSFKPQQPYKRRIADTFKELPSSSLALIDRLLSIEPEKRGSAASALSSEFFTTQPLPCNPSNLPKYPPSKEFDAKLRDEEKRRKVDAFKGRGTESVRRSSRDTKAVPTPEFNAQGDASLQGQRNLKTTGHKYMPQRDSVSGFAMEPSGGARQNGYSHCSSMIHPSTVESSLNKTVSTLNKSELSTQKSHKPQAGADFFISSNKKDERVYIRDSRMGYVPKNRIHYSGPLVPPGGNIEDMLKEHERQIQQAVRKARAR >ONI35619 pep chromosome:Prunus_persica_NCBIv2:G1:44640107:44646514:1 gene:PRUPE_1G546300 transcript:ONI35619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKGASEQEKVEDTDTVEHPLKDYSVQLVAPTPSLRDDFVAEVSGLGGGGGGGADGSVRLLSNTASRANRRSNAANDEDPNKTQVISKPGNSNHQRWATMDMEASEKQTVMSRIVSMPHGAEGEQTAAGWPSWLTSVAGDAIKGWVPRRADSFEKLDKIGQGTYSSVYKARDLETGKIVALKKVRFVNMDPESVRFMAREIHILRRLDHPNVMKLEGLVTSRVSCSLYLVFEYMEHDLSGLAATRGNKFTEAQIKCYMQQLLLGLEHCHSRGVLHRDIKGSNLLLDNDGVLKIGDFGLATFYEPGQKEPLTSRVVTLWFRAPELLLGATAYGDAIDLWSTGCILAEMYAGKPIMPGRTEVEQMHKIFKLCGSPSEDFWKKTKLPHATSFKPQQPYKRRIADTFKELPSSSLALIDRLLSIEPEKRGSAASALSSEFFTTQPLPCNPSNLPKYPPSKEFDAKLRDEEKRRKVDAFKGRGTESVRRSSRDTKAVPTPEFNAQGDASLQQGQRNLKTTGHKYMPQRDSVSGFAMEPSGGARQNGYSHCSSMIHPSTVESSLNKTVSTLNKSELSTQKSHKPQAGADFFISSNKKDERVYIRDSRMGYVPKNRIHYSGPLVPPGGNIEDMLKEHERQIQQAVRKARAR >ONI33504 pep chromosome:Prunus_persica_NCBIv2:G1:36830772:36831546:1 gene:PRUPE_1G429000 transcript:ONI33504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEITVAPNQSSAMDAEPQNQQPEDAPAHKPQKPSHATSFSIWPPTQRTREAVVNRLIETLSTPSPLSKRYGTLSADEASAAARLIEDEAFAAAGGSAASEEDGIQILQVYSKEISKRMLDTVKSRTASASAAQNGASESENPSSVEDPTAAAPSEDIKTEP >ONI31394 pep chromosome:Prunus_persica_NCBIv2:G1:30142009:30142338:-1 gene:PRUPE_1G309800 transcript:ONI31394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEKRKRDDGGGVEGKKAKVEGDHPETVTEEEVEEFFAILRRIHVAAKYLGKTDDGLTASMKWRPSFEVQDFEEDNINLIKDQKDGEKKAEGDFSLDLNSHPETESIS >ONI28009 pep chromosome:Prunus_persica_NCBIv2:G1:9200619:9202421:1 gene:PRUPE_1G116700 transcript:ONI28009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACYKEFEEGESKDTKVKNGQFRKPSSRPILTLPLPAFLSHLIHLRLPLTASVSLSRSHPLRLSHDLTISPLSQLVRFQFSFSRNNLTSHLQPPASLSLSQPHLLLRIFICLSMSLSLSHDLTLSVSLTISPLSQLVRFQFSFSCVFYGSREQQLDFGLIDF >ONI34547 pep chromosome:Prunus_persica_NCBIv2:G1:40517875:40519182:-1 gene:PRUPE_1G486800 transcript:ONI34547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKVLSPQNCLKDSVSPQVLMNSNRSPNPNRVRTSPQADRTKRRPTRPNNHPTPQVQKPAAPKNVVMGQVKILKRGEEIPKAAPIQPPPKQNLHPQVPDLGSTSRMGPDPKMVPIPPKQTKFPEPNWASGFYAGSSSCIAAPPPSSLPLPSFFAKKSAPSSTDEAASVLLKLLRLNLS >ONI30975 pep chromosome:Prunus_persica_NCBIv2:G1:28654077:28654712:1 gene:PRUPE_1G285600 transcript:ONI30975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESGPRKLVKSRYVYINAELPTEIIFNHILPRLPPEALIRCKYICKSWSSLICSPSFVTDFNDRNKSNTNFLFQKNTRLFSSKIEEQQGENNILIPTPIAQLPLPTRSKFLKRYPDLVEYILNPEPVAELSYMSRCEAFECHPNHVQSVHGLVCASSRCGPVFILNPSAEESIELPYIRENYRLASATYNFGFSPLTNEYKVLQSTIIN >ONI32624 pep chromosome:Prunus_persica_NCBIv2:G1:34141112:34145378:-1 gene:PRUPE_1G376900 transcript:ONI32624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGRVIYTVGFWIRETGQAVDRLGSRLQGSYYFKEQLSRHRTLMNIFDKAPVVDKDVFVAPSASVIGDVQVGRGSSIWYGCVLRGDVNNIVVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVIHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNTRIPSGEVWGGNPAKFLRKLTDEEKAFISQSATNYTNLAQVHAAENGKSFDEIEFEKVLRKKFARRDEEYDSMLGVVREIPPELILPDNVLPDKASKDQK >ONI32625 pep chromosome:Prunus_persica_NCBIv2:G1:34141854:34145378:-1 gene:PRUPE_1G376900 transcript:ONI32625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGRVIYTVGFWIRETGQAVDRLGSRLQGSYYFKEQLSRHRTLMNIFDKAPVVDKDVFVAPSASVIGDVQVGRGSSIWYGCVLRGDVNNIVVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVIHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNTRIPSGEVWGGNPAKFLRKLTDEEKAFISQSATNYTNLAQVHAAENGKSFDEIEFEKVLRKKFARRDEEYDSMLGVVREIPPELILPDNVLPDKASKDQK >ONI36160 pep chromosome:Prunus_persica_NCBIv2:G1:46697323:46702923:1 gene:PRUPE_1G572800 transcript:ONI36160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGIAMDFPANEAASFSSPPRLPRRLRRRLSLVDCNKTPNTAEQIETKLRLADLRRQEYYEKLSSKARAKPRSPSRCSSQEEDLGQRLEAKLQAAEKKRLSILESAQMRLAKLDELRQAARSGVEMRFEKERQKLGSKVESRFQQAEANRMLMLKAYRQRRATLKERSSQSLLRKTAREKKYKERVCAAINQKRAAAEKKRLGLLEAEKKRACARMLQVQSVAKSVSHQREIERRAKRDQLEDRLQRAKRQRAEYLRQRGRLQSSFQLSWNRMHKQADLLSRKLARCWRRFLRLRRTTFALAKDYDALKINVKSVKSMPFEQLAILIESIDTLQTVKGLLDRLESRLKVSRAVASINYPSSFDNIDHLLKRVASPKRRTTPRTSLRSREAKKVGSVRDKARTSVKLSRYPVRVVLCAYMILGHPDAVFSGRGESEISLAKSAEEFVREFELLLKVILEGPIHSSDDEADSALPKHLTFRSQLGAFDKAWCSYLNCFVVWKVKDAQLLVEDLVRAACHLELSMIQTCKMTPEGETGDLTHDMKAIQKQVTEDQKLLREKVHHLSGDAGLERMNSALSETRVNYFQAKETGSPSVLKTTHIISPSSPSQTLGLSAASSDKKPSRVVRSLFREADTTHHEGALSSVPKPNLGLQLGSSSQNLVTENELIVNEFLHEQKQAFADIFNVTGKDKNDVQSKIRQTMEKAFWDGIIESVKQEEPNYDRIIQLMREVRDEICEMAPQSWKQEIIEAIDVDILSEVLKSGNLDIDYLGKILEFSLVTLRRLSAPANDDEMMAIHQSLRKELDEICQTRDESNFSSVTAMIKGLRFILEQIQVLKQEISKARIRIMEPLLKGPTGVQYLRNAFANHHGSPSDANNSLPLTVQWLSSVWNCKDQEWQEHTISCSTLMSSGGPSQGFVPSTALRSGGSFLVKPNQDSISTSATDITGNQQPECKGERVDLLARLGLLKLVSGVSGLTEEALPETFKLNLSRLRAVQAQIQKIIVTSVR >ONI36159 pep chromosome:Prunus_persica_NCBIv2:G1:46697062:46703980:1 gene:PRUPE_1G572800 transcript:ONI36159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGIAMDFPANEAASFSSPPRLPRRLRRRLSLVDCNKTPNTAEQIETKLRLADLRRQEYYEKLSSKARAKPRSPSRCSSQEEDLGQRLEAKLQAAEKKRLSILESAQMRLAKLDELRQAARSGVEMRFEKERQKLGSKVESRFQQAEANRMLMLKAYRQRRATLKERSSQSLLRKTAREKKYKERVCAAINQKRAAAEKKRLGLLEAEKKRACARMLQVQSVAKSVSHQREIERRAKRDQLEDRLQRAKRQRAEYLRQRGRLQSSFQLSWNRMHKQADLLSRKLARCWRRFLRLRRTTFALAKDYDALKINVKSVKSMPFEQLAILIESIDTLQTVKGLLDRLESRLKVSRAVASINYPSSFDNIDHLLKRVASPKRRTTPRTSLRSREAKKVGSVRDKARTSVKLSRYPVRVVLCAYMILGHPDAVFSGRGESEISLAKSAEEFVREFELLLKVILEGPIHSSDDEADSALPKHLTFRSQLGAFDKAWCSYLNCFVVWKVKDAQLLVEDLVRAACHLELSMIQTCKMTPEGETGDLTHDMKAIQKQVTEDQKLLREKVHHLSGDAGLERMNSALSETRVNYFQAKETGSPSVLKTTHIISPSSPSQTLGLSAASSDKKPSRVVRSLFREADTTHHEGALSSVPKPNLGLQLGSSSQNLVTENELIVNEFLHEQKQAFADIFNVTGKDKNDVQSKIRQTMEKAFWDGIIESVKQEEPNYDRIIQLMREVRDEICEMAPQSWKQEIIEAIDVDILSEVLKSGNLDIDYLGKILEFSLVTLRRLSAPANDDEMMAIHQSLRKELDEICQTRDESNFSSVTAMIKGLRFILEQIQVLKQEISKARIRIMEPLLKGPTGVQYLRNAFANHHGSPSDANNSLPLTVQWLSSVWNCKDQEWQEHTISCSTLMSSGGPSQGFVPSTALRSGGSFLVKPNQDSISTSATDITGNQQPECKGERVDLLARLGLLKLVSGVSGLTEEALPETFKLNLSRLRAVQAQIQKIIVTSVSILICRQTLLSERVITSPSDIESIVSKCIERLLGVLDSVEDAGMEEIVESISDFANDSKEVVDNEKLRSRKAVIGRMLAKSLQAGDPVFERVSRAVYMAARGVVLGGSGLVGRKLAETALRQVGAAALTDSVVEAAEVLVVAATISVSVHGPWYIHLTDNM >ONI26973 pep chromosome:Prunus_persica_NCBIv2:G1:4211707:4212147:1 gene:PRUPE_1G059700 transcript:ONI26973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQMEGKAMQHFCYSVLFLALAFGPSMICSGLPIMTKRFVRIVNLLDNKQLVYHCRSQDDDLGERTLAPKQEWEFEFHLDFESYFNCEFWHSNDHHQKFDVFVPSDKFVHRCGGAHCIWHVEETGFFLYHIKTGWWEKSYDWQIGG >ONI26337 pep chromosome:Prunus_persica_NCBIv2:G1:1298213:1299789:-1 gene:PRUPE_1G018600 transcript:ONI26337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLSLKLHLILFLVALNLPKLTSVNFEGCKFLEKIPDFTGVINLENLNLDYCTSLVEVHPSVGFLDKLVMLSLRGCSNLMKFPAQISLKSLEVMELGNCFRLENFPVIVEKMESLRYMNLQGTAIKELHSSIGYLIGLEELYLSNCEDLTTLPCSIYELQDLKVLDLHCCKRLREIPELPPKIRWLVASDCESLERFSKLSKIFKHREESRGIYWVNLSNCYRLCSNLGYGVAKIENVLLNQVPEWFPCRKCELLVETPRYRCGICEFSFEIPATVQWESTGLAFCAVFKVVQNSFTGLHFGATISISDVCLERDYEFFSCSRKTTSAHVWLKYIPLRTWKKSQIFETQPRFRPHTCRVRFYFGSSTRPVLLKSLSVDLLLVCNQDDFNYGMAVNHQQEELLSESSKPMNPQKQNLQQRASVLRKIDDTNIEHQQEQLPNLSLEPTNPRKRKHNEERRHHRKQKDVED >ONI31890 pep chromosome:Prunus_persica_NCBIv2:G1:31784902:31788611:-1 gene:PRUPE_1G337100 transcript:ONI31890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVTFQASLIQPKPTLSSKTLQNPRPTFISIPSTTISQVRFGVKLGNGFAAKASMAVAETYSKPPASEKRVNNPIIVIDNYDSFTYNLCQYMGESGCLFEVYRNDELTVDELKRKNPRGVLISPGPGAPQDSGISLQTVLELGPIVPLFGVCMGLQCIGEAFGGKIVRSPFGVMHGKSSPVYYNEKGEDLFSGLSNPFTAGRYHSLVIDKDSFPSEELEVTAWTEDGLIMAARHKKYRHLQGVQFHPESIITSEGKTIVRNFIKLIEKRESESEN >ONI27980 pep chromosome:Prunus_persica_NCBIv2:G1:9102252:9105554:-1 gene:PRUPE_1G115000 transcript:ONI27980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSSSSSGMVTERRGIPGAQFVEDVQTYLTQAGLDVNSALSFLQERLQQYKLVEMKLQAQQRDLQAKIPDIEKCLDVVATLQAKKGTGEALITDFEVSEGIYSRASIEDTDSVCLWLGANVMLEYSCEEATGLLRRNLDNAKASLEVLLADLQFLRDQVTITQVTIARVYNWDVHQRRLRQASPKDS >ONI31749 pep chromosome:Prunus_persica_NCBIv2:G1:31209534:31211114:1 gene:PRUPE_1G328500 transcript:ONI31749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIANIEVQAEIKASADKFYEIFRSKGYLLPKICPNIIKDLQVLKGDGSSVGSIKQWTYVAAGNFSEVSKETIESIDEKNKSIAFRTVEGEVTKHYKSFKATVEVTPRGNGCSSSSSVKWNIEYEKQNEAVPAPNKYLDFVVILTNNVDAYLLNNA >ONI30780 pep chromosome:Prunus_persica_NCBIv2:G1:27899135:27900757:1 gene:PRUPE_1G272200 transcript:ONI30780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTGLDCVPFTLSKLLVSSIHDIQYAASIFNRIQNPNLFMFNTMVRGYSISDDPTHAFRVFNNLRAQNITLDQFSFITTLKACARELAIGTGQGIHGIVVRSGQGMFVNVKNTLLHFYCISSKMEDAHKLFDEFPQGNDLISWNTLMGGYLHVSQPQVIVDLFKQMCRSGFEASVTTVLNLLSAIGDLGSYLGGESLHGYCIKIGFCSDLHVLTALIDTYAKNGQIDLGRRIFDGVAVKDVVLWNCLVDKYAKCGLVQDAVALLRLMKLERMKPNSSTLAGLLSACAASGSVSIGSCIKDYVEEENLVLDAVLGTALVDMYAKCGFLEKALDIFESMESKDVKSWTAMISGYGVHGQAGNAIRLFYRMEEEGCQPNEVTFLAVLSACSHGGLVTEGVRCFEIMVCKYGFVPKVEHYGCMVDLLGRAGLLEEAHTLIESLPIKSDATAWRALLSACRVYGYVALGETVKRVLIQLNDDHPTNSMLLSSTYAIAGRLPDHTRTQDREDEKMVRGEKFRPVRKEENLIKEAGRSTIEMDSQG >ONI33105 pep chromosome:Prunus_persica_NCBIv2:G1:35665949:35668164:1 gene:PRUPE_1G405800 transcript:ONI33105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPVRTEIIIRATRTQNVLGEKGRRIRELTSIVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHSPKEEQYLPVPPVAAVNIEAPAVAVA >ONI26306 pep chromosome:Prunus_persica_NCBIv2:G1:1161147:1163983:1 gene:PRUPE_1G016200 transcript:ONI26306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHTTLIPFYHNPTNSCLFLSVYRELLLGHLGQESGVSG >ONI30251 pep chromosome:Prunus_persica_NCBIv2:G1:25411634:25412197:1 gene:PRUPE_1G240100 transcript:ONI30251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQIVVVALVLMATVGLAFGADAPSPSAGAAATTTPKPGLPTALPLLLPVAVLLAMVSPRALLVDLSPSQLLAPLMVAMPRVLRLALPPPNSSPLEQLLPLAWPPPCSSKLKQLGVCTYA >ONI27386 pep chromosome:Prunus_persica_NCBIv2:G1:6176045:6177817:1 gene:PRUPE_1G083200 transcript:ONI27386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVFESSEGQDDARAKEPCELQNSESMMYQRPQRKKMIIIRRNMAQQEAAVAMARAVAEAEAATAEAEEASRKADEAEEEAREAEEAAWKARYWK >ONI29832 pep chromosome:Prunus_persica_NCBIv2:G1:22974892:22983702:1 gene:PRUPE_1G216500 transcript:ONI29832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFTKLDDSPMFRKQILCMEENAESLRERSLRFYKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNIDLHEVKEARKRFDKASLIYDQAREKFLSLRKGTKNDVASMLEEELHNARSTFEQARFSLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQVDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKPSGSGSQVSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERFLPASPMGSHRRSASESSSFESSDFDHTGVEELASERSLPTANSDRPLRSSQQQRSSLKSEKTIDMLRRVCGNDKCADCSAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKAWEPSVINLFQSLGNAFANSVWEELLQSRNAFQVDLISTGSYKSDKKQLVFISKPSQSDSIPVKEKFIHAKYAEKLFVRKPKDAQYTHLIAQQIWEGVRANDKKAVYRHIVNSEPDLNAVYEQASCASSLTLAKVMLMHEQTGHDQTSSCLAGDSLDRSSPSSSNLSGTSEGHAVEDLDGCTLLHLACETADIGMLELLLQYGANVNATDSRGQTPLDRCILRGRNTFAKLLLSRGADPRALNGDGKTPLELAVESNFDDGEVLAILSESNG >ONI33050 pep chromosome:Prunus_persica_NCBIv2:G1:35485133:35485834:1 gene:PRUPE_1G402300 transcript:ONI33050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYFESSMLLFILQYFDKGSLVVLSPTEALGGRHCQCCERKTVRQVFDMKLLRPRRIRVRNQVMGRLCVLSAVHLYYI >ONI29557 pep chromosome:Prunus_persica_NCBIv2:G1:19924995:19925857:1 gene:PRUPE_1G201700 transcript:ONI29557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSSKRVKSSLSNSATFDSACDSAFTHCLSLTEHAFQGVFPYQLPTASNHLHETLRTVHPHPLILKWVPSPPNRSRVDSALEVVTRSPRAQTIGPAEFKQWAVELFAGAVVENARKAVMWRVPIGVAGIAGVGAATRSGKELIGTAIGVYALGVATSISLSLSG >ONI32015 pep chromosome:Prunus_persica_NCBIv2:G1:32279376:32283650:1 gene:PRUPE_1G344700 transcript:ONI32015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMIMMQQQTSAPPASHSITPPSSTTTAIRSPTATPTHSQQPSPLSRHALPLPAPPVDHVLPIAAAHPSSEPASTIPCPLARVRLSDIAPYDGAPCGPYLRAVEALSGSLMRHNAAVIELGSEDAALLRCGLEASRLYFRSRAQLGAGKGSRGVYMYRAGRALEDWDSSPPCMADIFRCMGKAAHVALCAIARHLRLRSDVFNQLLDDTPLPANEASSSVLVATYSNASMQNGKGAIGGGKPGINGEVEKGLLTLISSDSPGIQVCDPNGRWYLAEVGLAPGDVLLLTGKALSHATAGLRPAASYKAASDYSSGINNGGRTSLAFRLMPQGNAILDCSPIAAAGHVIPQSYVPISVSQFMDDLSAEEDVLGSRSDSTYVARNNSNKEPSLRSVLSDPLSGAFLEDAVVVSCGHSFGGLMLRRVIDTSRCTLCSADIEIGSLVPNLALRAAAAAVKHEDDRRLFHNATIRKRRKELGDQMDLSRRPNRENGDVGDDGLRGVQYPFSVNEKVVIKGNRRTPEKFVGKEAVITSQCLNGWYLLKIIETGENVRLQYRSLRKM >ONI26505 pep chromosome:Prunus_persica_NCBIv2:G1:2085800:2087835:1 gene:PRUPE_1G029300 transcript:ONI26505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGIQNSTRDHISVVIVDGYIGLQALENTMRAKLCPDSSSWEAKSCIFRVPKVLKRHKPEAYEPDFVSIGPFHHGAGKQFQHMENVKQWYLNNLLSRRNNVSLKNLIDCVVPLEKSAREFYAEPLDHLSQNDLVEMMILDGCFVIELFRKYPSDEEHIDANDPIFNMDCMFQYLCHDLLLLENQLPWFVLQHLYDLTLDPNEPERSLTIVMLTVFTSQKPLYHSCDSYLGYVYEDKHDKNYETLHILDLIRTSIVFPFQDHIKEKERREKERKKKTFCRPKRRRNSNNNKLGTDMDSEFPPATALAQAGVKFRSVSAGSIMNIDFEKGVLRYIGYKRGTFKIPLLSIGELTDPLLRNLIAFEQCYYHHSHEITSYAFLMNKLVASSKDMEFLCEKRIIDNWLNAEDGANYFSRLCNDTVLKRFYYEELCVEVNMHYQIKWYRWLEKFNRDYFANPWSAISLIAAAILLALTVVQTVYAIRSK >ONI30282 pep chromosome:Prunus_persica_NCBIv2:G1:25586906:25587305:1 gene:PRUPE_1G242000 transcript:ONI30282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFLFSPILTTKPVQTMWDRLLNSSLPYVLSSTIFSFSSCTIISFPVTFLFFSEKNFTVVIKLSHVIYRGYLVVYS >ONI34352 pep chromosome:Prunus_persica_NCBIv2:G1:39916135:39918327:1 gene:PRUPE_1G477200 transcript:ONI34352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLPLIFAILSLAAVALANNAAQPAPQLYWNSVLPNTQMPRSISELLHPDSTNEEKSKPEIFPLQGNRVYTQSHYKKRPPPPSDEGKPENFPLQGNRVYTQSHYKKRPPPPSDEGKPENFPLANSFYTQTHYKKRPPPPSDEGKPENFPLANSFYTQSHYKKRPPPPSDEGKPENFPLGNSHYTQSHYKKRPPPPSDEGKPENIPLARSGYQRKNYGASPPPSDSQLKHYKDLAIFFFEKDMRPGTTMKFQFPRNSNTATFLPRESAQSIPFSSKKLPEIFNHFSVKPTSEEAKTIKQTIEECEAPGLKGEEKYCATSLESMVDFSTSKLGTRNVEAISTQVLEEGATKYMHNYTTIPGLKKLVGDKVVVCHKENYPYAVFLCHAIKQTEAYVLSLKADDGMKVKAVTICHLDTSEWDPEHLSFQILNVKPGTTPICHFLSTDAIAWVPKHKSA >ONI28074 pep chromosome:Prunus_persica_NCBIv2:G1:9552153:9554216:1 gene:PRUPE_1G121400 transcript:ONI28074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCFCLPKTNPEETSVTKRNDREPASNTNSNNPKGKGSKAFNFRGNEICDNKGETVGVFNFGNKKTAGSTDKQDGGSNLVFTFEDIKIQRNQAKNTVGFCDFGNEY >ONI32409 pep chromosome:Prunus_persica_NCBIv2:G1:33576752:33577496:1 gene:PRUPE_1G366400 transcript:ONI32409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLYCMLLLMRVLLELFSCAFLGLCLFSFLSEQVPFALKSQKVLQLKVERERKKKKKHVKNFLLHG >ONI29408 pep chromosome:Prunus_persica_NCBIv2:G1:18803035:18803463:-1 gene:PRUPE_1G197000 transcript:ONI29408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYIGPVLGILCSFPLDNSSSRIIAIELDGGGYDGCITLSIGNLTEVTIINLNKNHFRGPIPDSIANLKKLTRISLSNNFLTVNIPRRLKALKRLESLNISFNALSSTIPSSVGCLRSLTFLSTSSNGFIGRIPDFSCYGS >ONI26981 pep chromosome:Prunus_persica_NCBIv2:G1:4260209:4260742:-1 gene:PRUPE_1G060400 transcript:ONI26981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMWRVVLVLALSSALLHASSARKVPGDDHVSHNEQTVVVHASAPTAESPTGLGDMKNFIYGGVGGFAGMGGWAGVGGVMPILGGIGGGIGKAGGIGGVGGVGGIGGVGGGAGGLGGLGGLGGGAGHGGLGGLGGGTGGVGGIGGLGGAGGAGGLGGVGGAAGGGVGGGAGGILPCP >ONI32145 pep chromosome:Prunus_persica_NCBIv2:G1:32667664:32668380:-1 gene:PRUPE_1G351100 transcript:ONI32145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTIADFSDQLFGFQDELFDNIDGRLEFKGNNFAALWPGDGKPGLWMNSISRMAAIYTLMVREEAIFVEERKITSATATGDKLDKSRDEDIELVVPPVFDKCTRVLDAKEQLAARDLYWEAVCGMSSSSSKREIVDDGKGADDEEATVVLLRSCVERNPFIGEPHVVLAQVYLRKAKFEEAEREAERGLTLMLEWGSAWDKRMSWEGWIAWARVLLMKARDRSWPQTSWGILNLGLVK >ONI30495 pep chromosome:Prunus_persica_NCBIv2:G1:26488191:26490827:1 gene:PRUPE_1G254000 transcript:ONI30495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAPGILLKLVNGMNTGVKPTSEHRNSLLQVTDIVPADLDEKSLWPTQGFYIKVSDSSHSIYVSLPSEHNDFVLSNKMQLGQFIYVDRLEPGSPVPVLKGAKPLPGRHPLMGTPEPLMGLRKKGERSETMSNSKTSRRGSWGTGQNGVDVGVSSPMVLKPVPLDFDQCTPIKERGGRNGSMSPMIRGRVGRDGGLNGGIRSSFGGGLLAKMAGSKGGESPALRKSCATPSMSKFPRSRSVCDREPRIPISPFNSAEKKKSSTPPPRLRNARVATSLNVAGDEQKSSNSKDTASPPQPQPGNLSNENSTSLPMNLPGRLSMLGKEAVHQRETAQKIALNALKDAKATETLVRSLKMFSNLCRTARADAPATCFDKFLEFHHQIVQEVTGMVSVQAATSASELTQTPKVKQQKDEDQDEDSSVLNEIVHNSMNSKLTLSKRRCALYKSVAAIPERNEQKTTFEKLLRSSINQKATSERKAPSTPLGKLSLETIGENDENKKPASTSFSSITNTIKLGKQIETEAGNWFMEFIEKALETGMKKTKGTTTDGDARKVPQSLILKVINWVEVEQSNSSKRPVHPKAAHVARKLRIKMKNP >ONI28796 pep chromosome:Prunus_persica_NCBIv2:G1:12957285:12959465:-1 gene:PRUPE_1G161800 transcript:ONI28796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRGASSSSAPFTKSWKYHVFLSFRGFDTRSNFTSHLYSALRLQGINTFMDDDELRRGEEISSALLTAIEDSRISVVVFSENYASSKWCLDELVKILDCKESNQQLIIPVFYKVNPSDVRNHRGSFGDALANMERKYKDELDKVKKWRAALSQAAALSGFPLDEHRSEAELIHKIVQEISQRVIDRTYLYVTEYPVGMHYPVQDIIKLLDLGENDVRMVGLWGTGGIGKTTIATAVYNSIAHEFEGCSFLANVKDSKGGGLAKPQRTLLSEILGDTNLEVANVHKGATMIKQRLSCRKVLLVLDDVDDMEQLYKLVGACDWFGVGSRIIITTRDKQLLTAHHVNLIHEVRILDDPEALELLCWHAFKRSGPPLDDYVKLAERAIHYAQGLPLALKVLGSCLCGGSTEKWEATLDGFKSTKIQDVLEISYNALDHSVQEIFLDIACFFKGRSRMHVTKILVACDPNARYSIEVLVEKALISVEGDHIQMHDLLEEMGKDIVYLQSPNEAGRRSRLWSYEDIEDVLANNTISKLNYTCECVMFYSIQ >ONI30042 pep chromosome:Prunus_persica_NCBIv2:G1:24107327:24112646:-1 gene:PRUPE_1G227800 transcript:ONI30042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMRGNDANCNGCEIHGQNLGGLDSFDKSSRGICSVQIEYDRNGQFIWSVKHGSNGGTTPHRIKLEYPHEVLTCISGYYGCISKNERPQIIKSLTFYTSRGKYGPFGEEVGTFFTSTTTEGKVVCLHGRSSFYLDAFGVHMQHWLGSGQKTSKISLFKKF >ONI30879 pep chromosome:Prunus_persica_NCBIv2:G1:28314722:28317391:1 gene:PRUPE_1G278900 transcript:ONI30879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLFTLSSSSSTFLFPTPRTKLSTTLTHFSSLPKTPTHLKKPVLAVTSLSSPLQCDPSLPPAVQTFWQWLRDEGVVTAKSPAAKPALVPEGMGLVAHRNIGKNEVVLEVPKRLWINPDAVSGSEIGNVCSGLKPWVSVALFLLREKYRDDSKWRLYLDILPESTNSTVFWSEEELDELQGTQLLSTTMGVKEYVQSEFLKVEEEIILPNKQLFPSPITLDDFFWAFGILRSRAFSRLRGQNLVLIPLADLINHSSSITTEEHAWEIKGAAGLFSWDYLFSIRSPVALKAGEQVFIQYDINKSNAEFALDYGFIDSSNANRDAFTLTLEISESDPFYGDKLDIAESNGLGATAYFDIFLDRSLPPALIPYLRLVALGGTDAFLLESIFRNTIWGHLELPVSPANEELICRVVREACKSALSAYPTTIEEDEKLKESKLDPRLEIAVGIRHGEKKVLQHIDGIFKDRESELRQLEYYQERRLKDLGLCGELEDIIFWEPK >ONI26768 pep chromosome:Prunus_persica_NCBIv2:G1:3157582:3159685:-1 gene:PRUPE_1G044300 transcript:ONI26768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQQSHSRRIVPPGASRKRKERQANASSNGFKQPAPKAPTPSQTTAPAFSSNRLLAGYMAYEYLTKGTLFGQKFDPARAEAVPLMSSSAELRRGKPVEAGKVGAGSGSGVGAAQERYAEVASMLKTDGAHIPGIVNPTQLAKWIQM >ONI26769 pep chromosome:Prunus_persica_NCBIv2:G1:3158495:3158938:-1 gene:PRUPE_1G044300 transcript:ONI26769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQQSHSRRIVPPGASRKRKERQANASSNGFKQPAPKAPTPSQTTAPAFSSNRLLAGYMAYEYLTKGTLFGQKFDPARAEAVPLMSSSAELRRGKPVEAGKVGAGSGSGVGAAQERYAEVASMLKTDGAHIPGIVNPTQLAKWIQM >ONI29742 pep chromosome:Prunus_persica_NCBIv2:G1:22589433:22593229:-1 gene:PRUPE_1G212500 transcript:ONI29742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMDFNIGIEDVGVAVLQELWNKVGFQATGLVGETKDLLFEKDSFLEFSRSISELNILLSSLNARKVENALGLESTKAALTTLSIQLKKASKIIKDYKCGSRLRLLLKSHSMLLQMEDVAKDIAKTVSSFQLINLDISLSLNTMTKQIINNLGSMEFRSACATESIASEMENSISQNARNRENSQKLLEKVAEAVGARANAFLVQNELALLKQEKEDMEAQKKQAEALQLSQLIDFLYSTEIVTRPNDEETSTYHQQYPIDSFMCELCKKMMEDPVAVTCGHSFERKAIQEHFGRGERNCPICRQELSSLELTPNVVLRNSIEEWNQRDKDLKFQAAVHGVKSSDRSKMDKALEDMQFLLEMPRYATKAAEEGLATKLVVILKDDTVNSVAVLKCLYYLAKLNEDQKEAIVRAGAIRRIVKYIYKGGSKRDAIAVLLELSAKETIGQKIGDTKDCIPLLVSLLHKNNPDVSQEACKVLQNLSSNTHFVVKMAEAGHFQPFVARFNEAPQETRTLMAAALIKMQLKENSVEELKDWQFIQSLLQMLSSSSPACKSACLKCMKKLVAHHKIVKRLLKDPATVPHLLGLISFNMSDPHLKQEAAEILANMIGASKQFEQQKYQGLQELQSKHNVCLLLQLVTSAEDQTKIQFLHLLVALSYKSEIARDIIRSEQDAIAHLFSSLHSDHRVVKRWAMKLIYCISEGHTAGVPLPPSPAKETAINTLATILINSPDIEERSTVAGIISQLPRDDSSIDEILRKSEVLKAIHEVICSMDEENWGNIAPSIQGTSLLENALAALLRYTEPTKPELQRQLGKLEVYPSLVRVLTRGSSLAKQRTAIALAQLSQSTSLSVSEETIRQTKPSTPLFDLMKLFLCFSASSENGSICSVHGAACSPRDTFCLVKADAVRPLVRTLSNTESGVAEAALMALETLLTDHSTLSHATAAIVDNQGVVAILQVLDRGSLSAKTKALDLFQKILVHTTISDTLKQRFERILIQLLHDDELKKKAALVLRQMEIIPEQSSYF >ONI28687 pep chromosome:Prunus_persica_NCBIv2:G1:12269609:12275388:-1 gene:PRUPE_1G155300 transcript:ONI28687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRRQDQNHPERKGQKRKLEEEIGDEREISVKSGEARKALLSEVSEQVKVLNSTFSWSEADRASAKRATHVLAELAKNEEVVNVIVEGGAVPALVKHLQAPPLSEGDRSSKPYEHEVEKGSAFALGLLAPEHQQLIVDTGALAHLVDLLKRHKDSPVSRALYSVIRRAADAITNLAHENSSIKTRVRIEGGIPPLVELLEFADTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDVQLREMSAFALGRLAQDTNNQAGIAHNGGLLPLLKLLDSKNGSLQHNAAFTLYGLADNEDNVSDFIRVGGVQKLQDGEFIFQATKDCVTKTLKRLEEKIHGRVLSHLLYLMRVAERVVQKRVALAFAHLCSPDDLRTIFIDNNGLELLLGLLGSTAHKQQLDAAMALYKLANKAMTLSPVDAAPPSPTPQVYLGEQYVNNPTLSDVIFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDITLDIAQDLLRAADQYLLEGLKRLCEYTIAQDVSLENVSNMYELSEAFHAMSLRHTCILFILEQFEKLSARPGHSHLIMRIIPEICTYFTKALTKPNPHNLRL >ONI28688 pep chromosome:Prunus_persica_NCBIv2:G1:12269167:12275600:-1 gene:PRUPE_1G155300 transcript:ONI28688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRRQDQNHPERKGQKRKLEEEIGDEREISVKSGEARKALLSEVSEQVKVLNSTFSWSEADRASAKRATHVLAELAKNEEVVNVIVEGGAVPALVKHLQAPPLSEGDRSSKPYEHEVEKGSAFALGLLAVKPEHQQLIVDTGALAHLVDLLKRHKDSPVSRALYSVIRRAADAITNLAHENSSIKTRVRIEGGIPPLVELLEFADTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDVQLREMSAFALGRLAQDTNNQAGIAHNGGLLPLLKLLDSKNGSLQHNAAFTLYGLADNEDNVSDFIRVGGVQKLQDGEFIFQATKDCVTKTLKRLEEKIHGRVLSHLLYLMRVAERVVQKRVALAFAHLCSPDDLRTIFIDNNGLELLLGLLGSTAHKQQLDAAMALYKLANKAMTLSPVDAAPPSPTPQVYLGEQYVNNPTLSDVIFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDITLDIAQDLLRAADQYLLEGLKRLCEYTIAQDVSLENVSNMYELSEAFHAMSLRHTCILFILEQFEKLSARPGHSHLIMRIIPEICTYFTKALTKPNPHNLRL >ONI35353 pep chromosome:Prunus_persica_NCBIv2:G1:43473533:43480648:1 gene:PRUPE_1G531700 transcript:ONI35353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREKIKIKKIDYLPARQVTFSKRRRGLFKKAAELSVLCESEVAVIIFSATDKLFDYSSSSTEDVIERYKAHTNDLEKSNKQFLELQLENENHIKLSKELEEKSRRLRQMKGEDLQGLNMDELLKLEQLVEASLGRVIETKEELIMSEIMALEKKGAELVEANNQLRQKMAMLSGGNTGPAFVEPETLITNVGGGGEEDGMSSESAIIATSTSCNSAHSLSLEDDCSDVTLSLKLGLP >ONI30432 pep chromosome:Prunus_persica_NCBIv2:G1:26265117:26269041:1 gene:PRUPE_1G251000 transcript:ONI30432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPKSVVIHVSGFKKFQGVAENPTETIVKNIKGFVEKKELPACLTLGSCTVLETAGDGARPALYKTMDSGVSTTDSTTNEQVVWLHLGVNSGALKFAIERQAINEATFRCPDEFGWQPQQLPIIAEDGGTSRARETSCSTEAIHKILKKKGYDVAISDDAGRFVCNYVYYHSLRFAEEKGHKSLFVHVPLFSRVDEETQMRFVASLLEAIAATC >ONI30431 pep chromosome:Prunus_persica_NCBIv2:G1:26265852:26268771:1 gene:PRUPE_1G251000 transcript:ONI30431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPKSVVIHVSGFKKFQGVAENPTETIVKNIKGFVEKKELPACLTLGSCTVLETAGDGARPALYKTMDSGVSTTDSTTNEQVVWLHLGVNSGALKFAIERQAINEATFRCPDEFGWQPQQLPIIAEDGGTSRAREVLTSCSTEAIHKILKKKGYDVAISDDAGRFVCNYVYYHSLRFAEEKGHKSLFVHVPLFSRVDEETQMRFVASLLEAIAATC >ONI30614 pep chromosome:Prunus_persica_NCBIv2:G1:27084193:27094148:-1 gene:PRUPE_1G262300 transcript:ONI30614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSREDVFMTSQLKRPMVSSRGEPSGQPQMMGGAAAQKLTTSDALAYLKAVKDIFQDNRDKYEEFLEVMKDFKAQRIDTAGVIERVKDLFKGHRELILGFNTFLPKGYEITLPLEDEPQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFQEHSDLLVEFTHFLPDTSGTASIHFAPSHRNAMLRDRSSAMPPMRQMHVDKKERTMGSYADHDLSVDRPDPDHDRALMKVDKEQRRRGEKEKERREDRERRERDRDDRDFDHDGSRDFNMQHFPHKRKSARRTEDLATEQLHPGGEGDENFAEHLISSSYDDKNSAKSMYGQEFAYCDKVKEKLRNPDDYQEFLKCLHIFSKEIITRSELQSLVGDLLGRYPDLMDGFDEFLACCEKKESLWNEGHLPRSVKVEDRDRDRDRDRERDDGVKDRERETRERDRLEKNGASGNKEVGGQKISIFSSKDKYLAKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELASEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNGPLALPVILTRLKQKQEEWARCRSDFNKVWADIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLSIAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPTRPQGAEDTEDVVKAKNHTGKHGTVSAGDTDGSPGGGATATNSKQLNSSRNGDESIQPEQSSSCRTWAVNGANGVKDESSLDIDRAACKGDTFCNTSQQGKVQSNASTAEETSGVSKQDNSNERLVNSNLSPPGLEQSNGRTNQENSSGLSPTPSRPGNGTVDGGLELPSSEGGDSTRPVISSNGAIGEGTKGLRYLEESARHFKIEREEGEISPNGDFEEDNFANYREAGLGAVQKPKDGVVGRQYQARHAEEEICGGETGGENDADADDEGEESAQRSSEDSENASENGDVSGSESGDGEECSREEREEDVDNDEHDTKAESEGEAEGMADAHDVEGDGISLPLSERFLLTVKPLAKHVPPALHDKEKDSRVFYGNDSFYVLFRLHQTLYERIQSAKTNSSSAERKWRASNDMSPSDSYARFMNALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVASDEMDNKLVQLYAFEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSLPTRVSIQLMDFGHDKPEMTAVSMDPNFSAYLHNEFLSVLPDKKEKSGIFLKRNKCAYGSSDELSAICEAMEGLKVTNGLECKIACHSSKVSYVLDTEDFLFRTKRKRKTLHRDSSCQKLARSSNGSSRVERFHRLLSGS >ONI30612 pep chromosome:Prunus_persica_NCBIv2:G1:27084193:27094148:-1 gene:PRUPE_1G262300 transcript:ONI30612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSREDVFMTSQLKRPMVSSRGEPSGQPQMMGGAAAQKLTTSDALAYLKAVKDIFQDNRDKYEEFLEVMKDFKAQRIDTAGVIERVKDLFKGHRELILGFNTFLPKGYEITLPLEDEPQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFQEHSDLLVEFTHFLPDTSGTASIHFAPSHRNAMLRDRSSAMPPMRQMHVDKKERTMGSYADHDLSVDRPDPDHDRALMKVDKEQRRRGEKEKERREDRERRERDRDDRDFDHDGSRDFNMQHFPHKRKSARRTEDLATEQLHPGMYGQEFAYCDKVKEKLRNPDDYQEFLKCLHIFSKEIITRSELQSLVGDLLGRYPDLMDGFDEFLACCEKKESLWNEGHLPRSVKVEDRDRDRDRDRERDDGVKDRERETRERDRLEKNGASGNKEVGGQKISIFSSKDKYLAKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELASEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNGPLALPVILTRLKQKQEEWARCRSDFNKVWADIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLSIAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPTRPQGAEDTEDVVKAKNHTGKHGTVSAGDTDGSPGGGATATNSKQLNSSRNGDESIQPEQSSSCRTWAVNGANGVKDESSLDIDRAACKGDTFCNTSQQGKVQSNASTAEETSGVSKQDNSNERLVNSNLSPPGLEQSNGRTNQENSSGLSPTPSRPGNGTVDGGLELPSSEGGDSTRPVISSNGAIGEGTKGLRYLEESARHFKIEREEGEISPNGDFEEDNFANYREAGLGAVQKPKDGVVGRQYQARHAEEEICGGETGGENDADADDEGEESAQRSSEDSENASENGDVSGSESGDGEECSREEREEDVDNDEHDTKAESEGEAEGMADAHDVEGDGISLPLSERFLLTVKPLAKHVPPALHDKEKDSRVFYGNDSFYVLFRLHQTLYERIQSAKTNSSSAERKWRASNDMSPSDSYARFMNALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVASDEMDNKLVQLYAFEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSLPTRVSIQLMDFGHDKPEMTAVSMDPNFSAYLHNEFLSVLPDKKEKSGIFLKRNKCAYGSSDELSAICEAMEGLKVTNGLECKIACHSSKVSYVLDTEDFLFRTKRKRKTLHRDSSCQKLARSSNGSSRVERFHRLLSGS >ONI30613 pep chromosome:Prunus_persica_NCBIv2:G1:27084952:27093420:-1 gene:PRUPE_1G262300 transcript:ONI30613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSREDVFMTSQLKRPMVSSRGEPSGQPQMMGGAAAQKLTTSDALAYLKAVKDIFQDNRDKYEEFLEVMKDFKAQRIDTAGVIERVKDLFKGHRELILGFNTFLPKGYEITLPLEDEPQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFQEHSDLLVEFTHFLPDTSGTASIHFAPSHRNAMLRDRSSAMPPMRQMHVDKKERTMGSYADHDLSVDRPDPDHDRALMKVDKEQRRRGEKEKERREDRERRERDRDDRDFDHDGSRDFNMQHFPHKRKSARRTEDLATEQLHPGMYGQEFAYCDKVKEKLRNPDDYQEFLKCLHIFSKEIITRSELQSLVGDLLGRYPDLMDGFDEFLACCEKKDGFLAGVMSKKSLWNEGHLPRSVKVEDRDRDRDRDRERDDGVKDRERETRERDRLEKNGASGNKEVGGQKISIFSSKDKYLAKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELASEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNGPLALPVILTRLKQKQEEWARCRSDFNKVWADIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLSIAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPTRPQGAEDTEDVVKAKNHTGKHGTVSAGDTDGSPGGGATATNSKQLNSSRNGDESIQPEQSSSCRTWAVNGANGVKDESSLDIDRAACKGDTFCNTSQQGKVQSNASTAEETSGVSKQDNSNERLVNSNLSPPGLEQSNGRTNQENSSGLSPTPSRPGNGTVDGGLELPSSEGGDSTRPVISSNGAIGEGTKGLRYLEESARHFKIEREEGEISPNGDFEEDNFANYREAGLGAVQKPKDGVVGRQYQARHAEEEICGGETGGENDADADDEGEESAQRSSEDSENASENGDVSGSESGDGEECSREEREEDVDNDEHDTKAESEGEAEGMADAHDVEGDGISLPLSERFLLTVKPLAKHVPPALHDKEKDSRVFYGNDSFYVLFRLHQTLYERIQSAKTNSSSAERKWRASNDMSPSDSYARFMNALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVASDEMDNKLVQLYAFEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSLPTRVSIQLMDFGHDKPEMTAVSMDPNFSAYLHNEFLSVLPDKKEKSGIFLKRNKCAYGSSDELSAICEAMEGLKVTNGLECKIACHSSKVSYVLDTEDFLFRTKRKRKTLHRDSSCQKLARSSNGSSRVERFHRLLSGS >ONI30615 pep chromosome:Prunus_persica_NCBIv2:G1:27084952:27093420:-1 gene:PRUPE_1G262300 transcript:ONI30615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSREDVFMTSQLKRPMVSSRGEPSGQPQMMGGAAAQKLTTSDALAYLKAVKDIFQDNRDKYEEFLEVMKDFKAQRIDTAGVIERVKDLFKGHRELILGFNTFLPKGYEITLPLEDEPQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAALFQEHSDLLVEFTHFLPDTSGTASIHFAPSHRNAMLRDRSSAMPPMRQMHVDKKERTMGSYADHDLSVDRPDPDHDRALMKVDKEQRRRGEKEKERREDRERRERDRDDRDFDHDGSRDFNMQHFPHKRKSARRTEDLATEQLHPGGEGDENFAEHLISSSYDDKNSAKSMYGQEFAYCDKVKEKLRNPDDYQEFLKCLHIFSKEIITRSELQSLVGDLLGRYPDLMDGFDEFLACCEKKDGFLAGVMSKKSLWNEGHLPRSVKVEDRDRDRDRDRERDDGVKDRERETRERDRLEKNGASGNKEVGGQKISIFSSKDKYLAKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELASEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNGPLALPVILTRLKQKQEEWARCRSDFNKVWADIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLSIAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPTRPQGAEDTEDVVKAKNHTGKHGTVSAGDTDGSPGGGATATNSKQLNSSRNGDESIQPEQSSSCRTWAVNGANGVKDESSLDIDRAACKGDTFCNTSQQGKVQSNASTAEETSGVSKQDNSNERLVNSNLSPPGLEQSNGRTNQENSSGLSPTPSRPGNGTVDGGLELPSSEGGDSTRPVISSNGAIGEGTKGLRYLEESARHFKIEREEGEISPNGDFEEDNFANYREAGLGAVQKPKDGVVGRQYQARHAEEEICGGETGGENDADADDEGEESAQRSSEDSENASENGDVSGSESGDGEECSREEREEDVDNDEHDTKAESEGEAEGMADAHDVEGDGISLPLSERFLLTVKPLAKHVPPALHDKEKDSRVFYGNDSFYVLFRLHQTLYERIQSAKTNSSSAERKWRASNDMSPSDSYARFMNALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVASDEMDNKLVQLYAFEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSLPTRVSIQLMDFGHDKPEMTAVSMDPNFSAYLHNEFLSVLPDKKEKSGIFLKRNKCAYGSSDELSAICEAMEGLKVTNGLECKIACHSSKVSYVLDTEDFLFRTKRKRKTLHRDSSCQKLARSSNGSSRVERFHRLLSGS >ONI29717 pep chromosome:Prunus_persica_NCBIv2:G1:22394999:22395241:-1 gene:PRUPE_1G210400 transcript:ONI29717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFASAWVQMVMSCITSVEFVVLINCKKGNSFKPLRGLRQRDPISPYLFIIITDVLLTMINCVVSIGLLQGIKFNREGLT >ONI32886 pep chromosome:Prunus_persica_NCBIv2:G1:34887015:34901463:-1 gene:PRUPE_1G391600 transcript:ONI32886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRVRSDRRPVYNIDESDDEADFVTRKPGTAEEKFEKIVRSDAKENSCQACGETGNLLCCETCSYAYHSKCLLPPPRSPLPGNWRCPECVSPLNDIDKILDCEMRPTVAGDSDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFVKAFKAHPRLKTKVNIFHRQMESSNNSEDDFVAIRPEWTTVDRILACRGDDEKEYLVKWKELSYDECYWESESDISAFQPEIERFNRIQSRKSKMLSSKQKSILKDAMESKKKQKEFQQYEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEKVGPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFYFPKNHKKIKRKKSGQIVSESKQERIKFDVLLTSYEMINLDSTSLKPIKWECMIVDEGHRLKNKDSKLFSSLQQYCTSHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDINQEEQISRLHRMLAPHLLRRVKKDVMKELPPKKELILRVDLSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDSNESYKQLLESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTFKKWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLVTRGSIEERMMEMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFVDENDEAGKSRQIHYDDAAIDRLLDREQAGDDEAMLDDEDEDGFLKAFKVANFEYIDEAEAVAEEEPQKAAVDSRPTVNSSERTNYWEELLRDKYEVHKVEEFNALGKGKRSRKQMVSVEDDDLAGLEDVSSDGEDDNYEADIMEGETSSSGTLSGRKPNKKRSRVDSAEPPPLMEGEGRSFKVLGFNQSQRAAFVQILMRFGVGEYDWKEFTPRMKQKTFEEIENYGRLFLAHIAEEMTDSPTFSDGVPKEGLRIGDVLCRIAVLMQMQQRVDLASKNPGTPLFSEDILLLYPGLKGGKFWKEEHDLTLLRAVLKHGYGRWQAIVDDKDLRLQEVICQELNLPFINLPVPGQANSQAQNGARTANTEGPSNHASENGTGSDIGANVAQGTSDAANQPQLYQDSSVLYQFRDMQRRQVEFIKKRVLLLEKGNNVDLAKEQGENSNEVPSEEPDSEPKVTRMSSPHPMEIDGQTVDQLPGIVKITSEEIFAAVCDNDPDRLKLPHLYNEMCKLVEENAHELVQTKLGTICEEISRILSTVQQNSSNLAEPIVNPNKQSQAKTKSNVVVPGSSADQGDNKHAAVADVDMTDLAAEPKHTISDLDPDPEEEEESRELHRDVQAPAGSGSPQLGRTNLAGNSGNGVGEGIAEKPEQVIVLDD >ONI33229 pep chromosome:Prunus_persica_NCBIv2:G1:35951475:35952452:1 gene:PRUPE_1G411900 transcript:ONI33229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGPKSPSPETSISDEMAGNFPELIDQLSPDMPIEEELIEEVMQELYKEITPTPATNTTKPSSPAASFPTPSLVVGNGKSESCGASVSDSASTVMAGVKFVGPTGNNGGFSPENGVGAWSECGGNTNNGLVVGKGVMDCCDAGEAVDDEWFERVMNTWAPVDLDPWF >ONI31491 pep chromosome:Prunus_persica_NCBIv2:G1:30512143:30512674:-1 gene:PRUPE_1G316400 transcript:ONI31491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVLVFGGSQLCTAAVTCSPLELAPCATAITSSSPPSAICCGKLKEQRPCLCKYVKDPNLQKLVNSPNAKKVASTCGSPFPSCSS >ONI34594 pep chromosome:Prunus_persica_NCBIv2:G1:40746065:40748962:-1 gene:PRUPE_1G489400 transcript:ONI34594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENSSNRQVTYSKRRNGIIKKAKEITVLCDAKVSLVIFASSGKMVEYCSPSVTVTDILDKYHGQAGKKLWDAKHENLSNEVDRVKKDNDSMQVELRHLKGEDITSLTHKELMALENALENGLASNRDKQSKFVDMLRENERALEEEHKRLTYELHKQEMKIEENVRELENGYRQRLGNYNNQIPFAFRVQPIQPNLQERM >ONI32552 pep chromosome:Prunus_persica_NCBIv2:G1:33919967:33921168:1 gene:PRUPE_1G372700 transcript:ONI32552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENQVIGCHTTQAWEEQLHKGNENKKLVVVDFTASWCGPCRLIAPILAELAKKTPEVTFLKVDVDELRTVSEEWGVEAMPTFLFLKEGKIVDKVVGAKKDELQIKVAKHVAAAAAASATSASATAATATATASA >ONI29920 pep chromosome:Prunus_persica_NCBIv2:G1:23658903:23661415:-1 gene:PRUPE_1G222300 transcript:ONI29920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLCDSTTVAETFQSSSPTVPWRDPPKASPLDEDAISAVDLVDQSTADVAWDSVVGLEEPQRRHLQRLHTKGVLWKHPEDQDSSKSDSDSLMPQKSMVFRLSHGGEVSSDGNCLFTASQKAMRVAREVDARELRRRTVRRFTEDYGSAAGEEKRVIDDAIRHMYAPDLRAGWGIHLIQEVKLLAKKDERVSLDSAIDELLQLGMQRELAAESIYKERCIPVNDGASWAKYMSTSGSTHDEYDIITLQYTEEGLLSIDENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRSQICEPPFFLFMKGTGWCGAGADHYEPLIAHPLSLISQEKVAVVL >ONI29919 pep chromosome:Prunus_persica_NCBIv2:G1:23658879:23661415:-1 gene:PRUPE_1G222300 transcript:ONI29919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLCDSTTVAETFQSSSPTVPWRDPPKASPLDEDAISAVDLVDQSTADVAWDSVVGLEEPQRRHLQRLHTKGVLWKHPEDQDSSKSDSDSLMPQKSMVFRLSHGGEVSSDGNCLFTASQKAMRVAREVDARELRRRTVRRFTEDYGSAAGEEKRVIDDAIRHMYAPDLRAGWGIHLIQEVKLLAKKDERVSLDSAIDELLQLGMQRELAAESIYKERCIPVNDGASWAKYMSTSGSTHDEYDIITLQYTEEGLLSIDENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRSQICEPPFFLFMKGTGWCGAGADHYEPLIAHPLSLISQEKVAVVL >ONI34003 pep chromosome:Prunus_persica_NCBIv2:G1:38373236:38375066:-1 gene:PRUPE_1G458200 transcript:ONI34003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQAPHVVIFPFMAQGHTLPLLDLSKALSHRKIKVTIITTPANAKLIFKDTENHPNIGLVEIPFPIIHGLPQGTENTSQLPSMEFLFPFLMATKQLQKPFEQVLQGMSVSKSLPICVISDFFLGWTLSSCQAFGVPRLVFHGMCVLSMAICKSVCVHMPHTKAKSVLDPIDLPGLELPFDLSVSELPAQLIQATDQNDPFFQFMSDVGQADCHSWGVVVNSFEELESGTVSSFETFYENGARAWCLGPLFLYDKVEGINKPISQNQPNKLIQFLNDQVTLGSVLYVSFGTQADLSDAQLDQVGLGLEEALVPFLLVVRSTTWSPPDGLEERLEGKGLIVREWVDQQQILSHRAIGGFFSHCGWNSVIESISAGVPILAWPMIAEQSLNAKLVAEGLGAGLGIRKTHDDLGSGIEVSRQAICEGVRELMEGEKGRDARERAQALGRVAWRAVQEGGSSHEALNKLIDQLCAFSGQ >ONI28890 pep chromosome:Prunus_persica_NCBIv2:G1:13595895:13596263:-1 gene:PRUPE_1G167200 transcript:ONI28890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDKQMKMKSRVRRTFPLFFMLAALLVPLFLQALASHSRASATETGTHGYKEHCSGENGEEFKMEYETSRRFLAVQKFLSPGVLKRDLPVCKGPRGEPYGISCLPPPSNPRYRACSKIYRCRA >ONI32829 pep chromosome:Prunus_persica_NCBIv2:G1:34742910:34743539:1 gene:PRUPE_1G388800 transcript:ONI32829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWIFISKLLLLGTHLLKYGNKSLQSTSSTPSSTSATRILKISSLDNAFFQGKTGPRPANGSSGQGQAWARLGTHQPRHFPTGKSNLCCYMGKVTQC >ONI30367 pep chromosome:Prunus_persica_NCBIv2:G1:25990462:25995851:-1 gene:PRUPE_1G247100 transcript:ONI30367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSPKRAMAELRHSISMGARGTSSPMKRDEDSSPLISDTQPVDDDDGRGRHPYKDRDRPFFSHFQSICPSIGDDANSSRISLVVVIVVALLGLVSILAIVKRVNAPYLCKKDGITLHCPHVKEAPSLWENPYSATTSWKPCAERRLGGFSDLPPENETTGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVRIVRDIPEWFTDKTELFSSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPQEINRLRCRVNYHALKFLPEIEQMADLLASRMRNRTGSSNPYMALHLRFEKGMVGLSFCDFVGTREEKALMAKYREKEWPRRYKNGTHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGHNRMAPLRNMFPNLVTKEELATKQELDNFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRLKSIKPDKGLMSKSFGDPYLGWATFAEDVVVTHETRTGLPEETFPNYDLWENPLTPCMCKA >ONI29929 pep chromosome:Prunus_persica_NCBIv2:G1:23685609:23690975:-1 gene:PRUPE_1G222900 transcript:ONI29929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVKSFLLDVRASANSFLSEYEPLVLVSAPLIALFVASMLQSALQVLHENGLKATLLGFFMSFVKLVPGVKSYIDAEKQKVVDKLQSGGKSVRESWRTELPSTGLGVGVIEQMKDEKRKDVAWQGKCSGAVYIGGSECEGHFSLINEACSMFAHTNPLHMDVFQSVVRFEAEVVAMTAAMLGSKKKSSGGQICGNMTSGGTESILLAVKSSRDYMKTTKGIKKPEMIIPESAHSAYDKAAQYFNIKLWRVPVNKEFQADVKAIRRYINRNTVLIVGSAPGFPHGIVDPIKELGQLASSFDICLHVDLCLGGFVLPFANKLGYPIPPFDFSVKGVTSISADVHKYGLAPKGTSVVLYRNHDLRKHQFVAVTEWSGGLYVSPTIAGSRPGSLIAGAWASMISLGEEGYLENTKKIMEVSKRLQKGIEEIPELFVIGRPDMTVVALGSNVVDIFEVNDIMSSKGWHLNALQRPNSIHICVTLQHVPIIDDFLRDLTESVKTVKENPGPISGGLAPIYGAAGRMPDRGTVQELLVNYMDGTC >ONI33560 pep chromosome:Prunus_persica_NCBIv2:G1:37022480:37024529:1 gene:PRUPE_1G432500 transcript:ONI33560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSVKHISECFVQPQHASDESKQPLYLAPTDLPMLSAHYIQKGLLFAKPPEAMNDHKKADFINALLEKLKHSLSLALVHFYPLAGRLATKKEENPPLYLVYIDCNNSPGAKFIYATVDLSISDILSPTDVPLVVQSFFDHDRAVNHDGHTMSLLTAQVTELVDGIFIGLSMNHCLADGTSYWHFFNTWSEIFQAQTQTHASQNNNIIPYISRPPVLKRWFPEGHDPIISLPYTHPDEFIGRFEAPKLRERMFHFSSEALAKLKAKANAEAKTTKISSFQSLSALLWRCITRVRRLPPSQSTSCRLAANNRARLDPPLSGDYFGNSIHPIKSEVVTAGELLEHGLGWAAWKLHEAVVNLDDKSIREFIDAWLQSRMVYQIGQFFDPHSVMMGSSPWFNMYGNEFGMGKALALRSGYANKFSGKVSSYPGGERGSIDLEVCLVPDAMGAIECDHEFMEAASVTHY >ONI31582 pep chromosome:Prunus_persica_NCBIv2:G1:30766317:30768710:1 gene:PRUPE_1G320800 transcript:ONI31582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTIFQPASVPLLHSHAHLSSPLPPMSILRRLNPNHLKLKLRRHRFASVAAAVRQDTALWTQAPLSEIEPAAESLFHVRIDLSDAPDLASSHTRAGQYLQLRVPDESKPSFLAIASPPSLAATKGVFEFLVKSVAGSTAELLCRLKRGDVVELSQAMGKGFEIDRIDPPEKYPTVLIFATGSGISPIRSLIESGFSADTRSDVKLFYGARNLDRMAYQDRFKDWESSGVEIVPVLSQPHDGWTGQSGYVQAAFSRAKQIYNPLSTGAVLCGQKQMTEEVTSILIADGVSSEKILKNF >ONI35873 pep chromosome:Prunus_persica_NCBIv2:G1:45596896:45602569:1 gene:PRUPE_1G558700 transcript:ONI35873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFMAAANANANSNPNPNKSFEVAQPPNDSISSLSFSPKSNILVATSWDNQVRCWEIHQSGTNLASQPKAAISHDHPVLCSTWKEDGTTVFSGGCDKQVKMWPLGGQPVTVAMHDAPITEISWIPEMSLLVTGSWDKTLKYWDVRQPNPVHTQQLPERCYSLSVRYPLMVVGTADRNLVVFNLQNPQTEFKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDEQQQNKNFTFKCHREGSEIYSVNSLNFHPVHHTFTTAGSDGSFNFWDKDSKQRLKAMSRCNQPIPCSTFNNDGSIFAYAVCYDWSKGAENHNPATAKNYIFLHLPQEAEVKSKPRVNTGGKR >ONI35874 pep chromosome:Prunus_persica_NCBIv2:G1:45596896:45600129:1 gene:PRUPE_1G558700 transcript:ONI35874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFMAAANANANSNPNPNKSFEVAQPPNDSISSLSFSPKSNILVATSWDNQVRCWEIHQSGTNLASQPKAAISHDHPVLCSTWKEDGTTVFSGGCDKQVKMWPLGGQPVTVAMHDAPITEISWIPEMSLLVTGSWDKTLKYWDVRQPNPVHTQQLPERCYSLSVRYPLMVVGTADRNLVVFNLQNPQTEFKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDEQQQNKNFTFKCHREGSEIYSVNSLNFHPV >ONI34085 pep chromosome:Prunus_persica_NCBIv2:G1:38580145:38583075:1 gene:PRUPE_1G461400 transcript:ONI34085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSQFDSTSAFSGGGFMASQSTQFGDSTPSPAKSRETHGLVPVTVKQISESHQSGDEKSNFVISGADVANVSVVGMVFDKSERNTDVGFTIDDGTGRIKCRRWVNENFDSREMQEIEDGMYVRVNGHLKVFQGVRQIVAFSVRPVKNFDEVTFHFIECIHTHLQTSKLQLQGNSATQPQSVDSSLSTPVRSGSSGYQTAPSNQFSGQVSVDGIKGCDQLVLDYLQQPSSIGKEKGIHRDELSQHLKVPVEKILEAIRSLEEEGLIYSTIDEFHYKSAAYG >ONI27534 pep chromosome:Prunus_persica_NCBIv2:G1:7056102:7058948:1 gene:PRUPE_1G092900 transcript:ONI27534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTMSVILFVLHLLVLHLQYSEVHSLANTSAHDFSYLKFVYNATDTSLEGSYDYIVIGGGTSGCPLAATLSEKFKVLLLERGTIATEYPNTLTADGFAYNLQQQDDGKTPVERFVSEDGIDNVRARILGGTTIINAGVYARANISFYSETGIEWDLDLVNKTYEWVEDAIVVKPNNQSWQSVIGEGFLEAGILPDNGFSLDHEAGTRLTGSTFDNNGTRHAADELLNKGDPNNLLVAVQASVEKILFSSNTSNLSAIGVIYTDSDGNSHQAFVRGYGEVIVSAGTIGTPQLLLLSGVGPESYLSSLNITVIQPNPYVGQFVYDNPRNFINILPPNPIEASVVTVLGITSDYYQVSLSSLPFSTPPFSLFPTTSYPLPNSTFAHIVSQVPGPLSHGSVTLNSSSDVRIAPNIKFNYYSNSTDLANCVSGTKKLGDLLRTKALEPYKARDVPGIDGFNYLGVPLPENQTDDASFETFCLDNVASYWHYHGGSLVGKVLDDSFRVMGIKALRVVDASTFPDEPNSHPQGFYLMLGRYVGLQILQERSIRLEAIHNIHESK >ONI32323 pep chromosome:Prunus_persica_NCBIv2:G1:33271203:33275720:1 gene:PRUPE_1G361000 transcript:ONI32323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAADSAANHHLDGVNRKSSLPSPWAKVVRGAEPESVHQSPPSSSSSLSSLGTSAPEQTPFSDCSVSSKAARSSPPPLPLPTADSSSAAEGSNGNNGNAARPKKPAWNKPANDDVEVSPTTVMGAVSWPALSESTRASPKLPADSSSKTVSDATVTHGSVSVSQAPVITHSPNSKRHSTNNASPNSTSNNAFPARQRPSKRGGGSSTGGGHAHSGFGHPPTPPPPPPFPVFPILPNGYGNLVPTMPDPSSRDPSFRGNSWDVRPVGGFVTQSHQVNDHRNNSRRGNFGPHPRGDGPYHNNHGGKRDQDRGNYMNARDAHMHQHRAPPRGLVRPAPPNTAAFAPQPARPFANPMGFPGEFVYIPTLPLEPMRGMPFISQAPHPTMFIPVAESPLPSLIVNQIDYYFSDANLIKDDFLRSNMDVQGWVPISLIANFPRVKSLTTNIQLILDSLRASSIVEVHDDKVRRLNEWMKWIPAGSQLPFESGSSSPGVLSHNTLATSFEKMTVEEEAPSQTNTTGKLESNSVSIPESCSTESAGLSQLPNGDVTQTNH >ONI29071 pep chromosome:Prunus_persica_NCBIv2:G1:15441367:15444327:-1 gene:PRUPE_1G179100 transcript:ONI29071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKRRSTRILRTRFASTRKDKGEGSGPEVIVTIDDDDDSSDESGAAEAEISTHRQESTHGTSGMDEDPDEGQYYSHDEDTCLDFDIPLEEPGASDMPPEFASDHGQRVDITLVVPTTGYSSEAGLDFPATVADAILGLPAARPPAPPVLGTSDAAADTSPPLPAMPPPTPLILGTSDMAVDASPPLPAVPPLTPLILGTSDMAVDASPPLPAVLPPTEIIDNSHKDGDAFIAGTSGRHSSGKSMSWQDWENSFMAFKAFFDGGVIILRSVDELLSLCHKFNGYVAFQGALVYPETVAVLRNSWITTAISWKLPTSPLLSQGVLPFEPLA >ONI27023 pep chromosome:Prunus_persica_NCBIv2:G1:4536126:4536752:1 gene:PRUPE_1G063700 transcript:ONI27023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGVTQLVGFRFRPTDQEIIGFFLYKMVVEKRPLTSMPPYNKVIHKCNLFGNKREPSEIWRDYGGDQLKDQYLYFFSELQRNDLPIQRKTGLGTWSETVTYQNVKEEVDEINGKSNLDVIGRKRKFRYENGSTSEDHAGWLLDEHSIFKKACKNGTSSNCYDFDVVICRLRRKGNVDKVREKEEVLFSRSITQEDEKRSIYKGDEN >ONI30616 pep chromosome:Prunus_persica_NCBIv2:G1:27102607:27107135:1 gene:PRUPE_1G262400 transcript:ONI30616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSRRFSLGSPTQPAATSSSPDLKQRVITCLNKLADRDTLAMATAELDAIARNLSHDSFATFLICIHNTDSSSKPPVRKQCVSLLALLSNSHGDVLAPFLSKMISTIQRRLRDPDSAVRSACVDAVAAMSSQITTPSFSSAFLKPLMDTLAMEQDSNSQIGSALCLAAAIDAAPDPDPAQLKRSLARLGKLAKSESFKAKSALLVLVGSIVGAGGASNRGVLDWLVPCVVEFLSSEDWAVRKAAAEALGKVASVERDLTAMYKASCLNVLESRRFDKVKVVRETMNHTLELWKVLIAGDSEENSAPIQSRSSTDNGFGRCFPPISKSSDDSNFRTPQPKKTVPTTNRSPPSDGSLVTTAKKGNERKSETSLFSKQDHKKPSDWKIEIAMPNSPSSKLVRKDDILRSDSGDPEAGKNEHIGNNMPETKRVLFSKIHDEKVHKFGGLKSGSRVVPFNEDENFDSDIVVSNAAEEAYESQKDAEDLNLIREQLLQIENQQSSLLNLLQRFIGSSQSGLNSLETRVHGLEMALDEISYDLAITSGRIPNTESAENTCCKLPGAEFLSSKFWRRTDGRCSTARLSSGTIPSLDAIPNIPNRDNSAESCAYDSERFQHQSRGAFTVNSVAEIHDAQRLNTRHYPDKTPKTIVRDAERVQACNASRLGISPITSIASRNPSRRSSA >ONI34815 pep chromosome:Prunus_persica_NCBIv2:G1:41439845:41442586:-1 gene:PRUPE_1G500600 transcript:ONI34815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGQFGVVLKKLKPYLLVVSLQFGSAGIYIISMVTLNHGMNRFVLIVYRNAAAALFLAPFALVLERTKRPKMTISTFLHIMVLGFLEPIVDQGFTYLGMKYTSASFTSAIMNAVPSVTFVIAVIFRVESVKMKEVRSQAKVIGTLVTFAGALLMTVYKGPVVDLLWSHKTSSHSSTTTSSDQHWVTGTLFILIGCVAWSCFYVLQSITVKKYPANISLSCLICLVGALQGAAVAVTVERRASAWAVGWDSRLLAPLYSGIIGSGITYYVQGLVMKTRGPVFVTAFNPLCMIIVSILGSIILAEKLHLGSIIGGVIIVFGLYSVVWGKSKDYCKSSAVPSSPAMKDEAHELPISNGSAINGTKLVIDKKGSDQPSQMQYMTVQK >ONI30503 pep chromosome:Prunus_persica_NCBIv2:G1:26508132:26510132:1 gene:PRUPE_1G254400 transcript:ONI30503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPKIDVKSLDEKLENLYRLYSIKKKKELHDEESNQVINMRAMAAKEAPQEEWQMIIREKLVVKQVFARGGFGSVHKGIYDGQEVAVKVMEWGEDGRTKSEIASLKKDFRQEVSIWHKLDHPNITKYIGATTDIDSASVITEYLPGGTLKAFLIQHRKKKLPLKTVNRLAVDLAKGLSFLHSKNIVHRDVKTENVLLDKDGRVKIADFGVARLEASNLAEMTGYTGTPGYMAPEVLECKPYNRKCDVYSFGICLWEMYCCDMPYPNITFSELTSAVVYKNLRPEIPKQCPSSLAKVMKQCWDGEPKRRPEMEEVVSMLEAINTSNSAPSGCFSFFGSRR >ONI30679 pep chromosome:Prunus_persica_NCBIv2:G1:27409971:27413185:1 gene:PRUPE_1G266300 transcript:ONI30679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIPSEPPHIVCIPFPAQGHVNPFMNLAKLLHSRGFHITMVYTEFNHSRLLRFKGPEAVKNSPGFRFETIPDGVPPSNPDATQSVTELLYYTKKHSVVPLRDLIVKLNSTEGLPKVSCIISDGIMSFAIKVARELGIPEVQFWTASTCGLVAYLQFGELVKKSIFPLKDVKDVSNGYLEDTALEWIPGMQHMRLKDMPSFIRSTDPEDIAFNRWLEEAQDILTGDAIVFNTFVEFEAEVLETVSSMFPNIYNLGPLTTLNTNLIKNEVNATRPSLWKENTDCLTWLDTQKPNSVIYLNFGSIAVMTEDNFKEFAWGLANSGHPFLWIMRPDVVKGTNGTALAEEFLAETRDRSMIARWCPQDKVLAHPSVGAFLTHSGWNSTLEGICGGVPMLCWPFFAEQQVNCRYASTTWGVGLEIDSDVKREGVEALVREMMEGENGKVMRNKAVEWKKKSEIACVEGGSSYDDFERFVGYLLELSLV >ONI29307 pep chromosome:Prunus_persica_NCBIv2:G1:17811786:17814078:-1 gene:PRUPE_1G192200 transcript:ONI29307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKLLLLRDEALLQHPIFLLLVLVLGFVVMDPLQMGPLGDHEFRPVKHNIAPYKQVMERWPRDNESRLGFGKLEFEDEVFGPESLEFDAFGRGPYTGLADGRIVRWMGNDLGWETFALVTSNWSKQVCAKGIDSTTHKQWKHEKKCGRPLGLRFDKESGDLYIADAYYGLLVVGPQGGLATPLSTHVEGKPILFANDLDIHKNGSIFFTDTSKRYNRVNHFFILLEGESTGRLLRYDPPTKTTHIVLEGLAFPNGLQLSKDQTFLLFTETTNCRLMKYWLEGPKNGTVELVANLPGFPDNIRINEKGQFWVAIDCCRTPAQEVLSHNPWIRSVYFRLPIRMTYLARFMGMKMYTLISLFNEKGEILEVLEDQKGAVMKLVSEVREAKGKLWIGTVAHNHIATLPYP >ONI28063 pep chromosome:Prunus_persica_NCBIv2:G1:9458673:9459770:1 gene:PRUPE_1G120300 transcript:ONI28063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRSTYELIQVQMDRGKGFSQDQPPARTVTMMLRPKENDIPKLQRKITEEEEMKRNKERDSDGSSSSRNNICQNEITATNGATDVGVSNFDNYAVQGKGGSEGTKGFPERGGGYNISGNKISANGARKVGIHNFGNTTYGMPFTTEENHGEEAEGGSSPPKPQGGPTS >ONI32794 pep chromosome:Prunus_persica_NCBIv2:G1:34600747:34602772:-1 gene:PRUPE_1G386100 transcript:ONI32794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQSVCRGFPTSNFGLSSRIVPHQLVLASHARLRDQRLRKHNGIASKSYLMPGLKSFVNESSILSLNRGAIKCASNSSDAKLEFSGGENSNVPFRRVDGVEPFRGKSGSISFHGLTHQLVEEGKLVSAPFDEVKGSFLWFFAPAALISSLLLPQFFIGNAIEAFLKDEIIIEIVTSLSYEAAFYVGLAIFLLVTDRVQRPYLQFSTKRWGLITGLRGYLTSAFFTTGFKVIAPLFAVYVTWPLLGLPALVSVVPFLVGCVAQLAFETGLEKQGSSCWPLVPIIFEVYRLYQLTKAAYFIEKLMYAMKGMPASPELLERSGALFSMIVTFQVVGVVCLWSLMAFLLRLFPSRPVAEKY >ONI30330 pep chromosome:Prunus_persica_NCBIv2:G1:25808019:25810158:1 gene:PRUPE_1G244700 transcript:ONI30330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANATSSAHIPEVVLGSSIGPKNMPALAFGTAADNVQPNLLKTAVLEAIKLGYRHFDTAAIYGSEQTLGEAIKEALKLGLVASRDQLFITSKLWSNDAHPHLVIPALKKSLENLQLEYLDLYLIHWPISAKPGKLVYPLVDLMPMDFKGVWAAMEESQRLGLTKSIGVSNFSSKKIETLLSFATIPPSVNQVEMSPFWQQKKLRDFCKANGIVVTAFSPLGAMGTSWGANHVMESKVLQDIAEARGKTIAQVCIRWVFQAGATLAVKSYNKERLKQNLQVFDWELSEDDLNKINHIPPHKMMRREELVSADGSSSPYKSVQELWDGEI >ONI28431 pep chromosome:Prunus_persica_NCBIv2:G1:11171423:11176030:-1 gene:PRUPE_1G142300 transcript:ONI28431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTEQHMMLQGLVLEWCLIFAGLGGHQNLLSVFWFRMACLALLCRRYFFVFCLTKIGLSPCCV >ONI28401 pep chromosome:Prunus_persica_NCBIv2:G1:11040417:11041266:1 gene:PRUPE_1G140500 transcript:ONI28401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVAKLASQKAVVIFSKSSCCMSHAIKRLFYEQGVSPAIYELDEESRGKEMEWALVRLGCQPSVPAVFIGGKFVGSANAVMTLHLNGSLKKLLKDAGALWL >ONI34777 pep chromosome:Prunus_persica_NCBIv2:G1:41299371:41299843:1 gene:PRUPE_1G498600 transcript:ONI34777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTNDIKIKGFDLSNNKGNTSGLTHFANRADGGDNGNNKIEVEDAKGQGNTGNLKVLTDFAQRGKVGQSDRQELLKPQA >ONI27886 pep chromosome:Prunus_persica_NCBIv2:G1:8746770:8753326:1 gene:PRUPE_1G109600 transcript:ONI27886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEPAGPRYAPDDPTLPNPWKGLIDGSTGLLYYWNPETNITQYEKPASLPPPLPPGPPPATTTPKLAATPVPHSMPPNGVVSQDGPQITQAPQQQGTQVGQFSQQHGHLMTQQMNPLVTSFAQQQVAQAGQQQGSQLGQAMQKHGQMMQHPSQQMPQAQVHQGQQTPQSQGSQMAQAQVHQFAHQQLHYTPYQQSIPPQGQQSSQQQTLHSAQGQPLANQQEYKATFHQREDDDFQQRNQVGFSPSRFQPAGASSVQNLPTGTNSIQMPQRAVHLGQPQQFGGPLGSMQHPSSFGHLQQPGTDLVHHQHGSRFQSQMDPAMIHSQQSNAPPVGLRMGHENNFHGRGGNDYYFNSNNEGPTGPQQPKLAAIPVARSQQEMSMSGGPFQNATPGHASALNTMAGHSVHNLYSHATGGPPFPNNSLVKSPYVGSTSVTSLTPVEVYRQQHEVTATGDNVPAPFMTFEDTGFPPEILREIYAAGFASPTPIQAQTWPIALQSRDIVAIAKTGSGKTLGYLMPAFILLRQSRNNSQNGPTVLVLAPTRELATQIQDEVLKFGRASRVSCTCLYGGAPKGPQLKELDRGADIVVATPGRLNDILEMKKIDFGQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIAGDLLVNPVQVNIGSVDELAANKSITQYVEVVPQMEKQRRLEQILRSQERGSKVIVFCSTKRLCDQLARSIGRNFGAAAIHGDKSQGERDWVLNQFRSGKSPILVATDVAARGLDIKDIRVVVNYDFPSGVEDYVHRIGRTGRAGATGLSYTFFSEQDWKYAADLIKVLEGANQQVPPEVRDIALRGGPGFGRDRSAMSRFDSGGPGNGGGGRWDSGGRGGMRDGGFGGRGGMRDGGFGGRGGDMRDGNFGGRSGPRDGAVGGRGGGSDFFSGRGNRGRGFGGPGGGNVGWGRNDRGPQDRYNSMDGRGRGGRGRGRFDNRIEFPDRSRGRSYSRSPERVRTWDISRSRSRSRSRSRSRRSRSWTRSRSRSRSWSRGRSRSHSHSRSRSRSRNRSRSPSYERYERPPRVSKFDVKDPVPESVAPPKSGMSPMSPGTEVNASPYTKPVGELPVAEETELMHKQQLEETLADTQ >ONI33002 pep chromosome:Prunus_persica_NCBIv2:G1:35281530:35283666:1 gene:PRUPE_1G398900 transcript:ONI33002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTMMVRPYVLSASALSRALPYLFAGLRKKQLVFETQSIRLVNSRTSSNERRNNLRLEQYGGLRRFTARATNFNNAGTFDSPLMQSMENKIKEQLNAESVSVKDASGDGRHVSIDVISSSFEGQSAVNRQRMVYKAIWEELQTTVHAVDQMTTKTPSEVSPQK >ONI26457 pep chromosome:Prunus_persica_NCBIv2:G1:1819286:1821917:-1 gene:PRUPE_1G026300 transcript:ONI26457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGSNNLYWRIKGNGVGHLQTVAGGRRLESDGGGRRRAVATNGGGGRWPAAGVRRWWPAAGVRRWWPAARYDWLDMDMWHSMLALEGVALCVILICFNDIFVKLGTTIWSFALC >ONI28054 pep chromosome:Prunus_persica_NCBIv2:G1:9333262:9334675:1 gene:PRUPE_1G119400 transcript:ONI28054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKSKPGLPHGGMTDTWVKKHRLIYIGATRHPFILSIRDGTVDLPAFKRWLGQDYIFVRAFVPFVASVLIRAYKKGDESSGDMEVILSGLGSLNDEIAWFKQEASKWGVDLSQVAPEKPTQHYCRFLEELMRPEVDYTVAMAAFWAIEAVYQESFAHCLEEGSKAPPELKEACQRWGNDGFGHYCSSLRNIADRLLEKAASGSVPLVKVSEDVVSKAEVTFLRVLEYEVDFWNMSCGTAGHSAPKP >ONI26743 pep chromosome:Prunus_persica_NCBIv2:G1:3070965:3072276:-1 gene:PRUPE_1G042900 transcript:ONI26743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELGRKLFRHCAGLPLAIIVLARVLSTKNTIKQWEMVNENVYAYIRRGRGHEQEYEGASWVLALSYDDLPYHLKPCFLYLGHYPKDREILVSTLTKHSLEETMENIAHNCLTELVEMCVVQVGRSSSTGTIKTCQIHDLIRGLCLKIRQQILLITSSMVAKATLVGKIRRLAIYLDENADRLVSSRDETNGHVRSLLYFVLGEWRPKSEKVLLSPLTDFEVLRVLKVEDVDEVEVELPSEIGNIVHLRFLSVRDSKIKRFLSSLGNLICLQTLDFRQLRHLYLPRHYTASGNLKLSTLGHSQTLDFLSSEYCDLNDVVGLTNLLKLQIRLSLLLENLEEILKFVGSTLNRIQSLLLYNGYYSVTNTSYEEQVNQIVSSCRRFETTKWEY >ONI31286 pep chromosome:Prunus_persica_NCBIv2:G1:29806108:29809407:1 gene:PRUPE_1G304100 transcript:ONI31286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSCATPYSSLFLPSYTSFLLRRRTFSPTPILLKPKATRPRLHLSASLAQRKLELSWFPPDQTASNDYGGWAHVESPLQHKNPGLPTFVIVGIGASLAAIVAAIAYFSLSRKGFKFQITSPLHTFHGSPADTKPTNQGALGEDAMISEASPETVPTSVSQNTTSASTEKLERIIIPAAVDSTQQEALQVLKKLKIIEDDVKADELCTRREYARWIVRINSSLERNAKHRLVPSVSLAGSAITAFDDVSVEDPDFGSIQALAEAGVIPSKLSQKSSNYDGLKHHGNINFSPERFISRQDLIDWKAHLEYDFVPGVIEKISTTTTVGFMDVKEIGSEAPAGLYTDMLAEENSILRKVFGQCRRFQPNKPSTKAQAAVAVASGRITEAISSELLRIKAENSARKAEMEDIRSELLDREDIQRFWNDKLNEEKTRGLEVEKAYLAALSDLEQEKILQEKNFAEILKEKAAMECQRQLLLSLKEEVNEISEKLASERSTYVAEKCDLQDMLSDLETKQESMLDAKSILEAEIEAIRILRYRP >ONI31285 pep chromosome:Prunus_persica_NCBIv2:G1:29806108:29809773:1 gene:PRUPE_1G304100 transcript:ONI31285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSCATPYSSLFLPSYTSFLLRRRTFSPTPILLKPKATRPRLHLSASLAQRKLELSWFPPDQTASNDYGGWAHVESPLQHKNPGLPTFVIVGIGASLAAIVAAIAYFSLSRKGFKFQITSPLHTFHGSPADTKPTNQGALGEDAMISEASPETVPTSVSQNTTSASTEKLERIIIPAAVDSTQQEALQVLKKLKIIEDDVKADELCTRREYARWIVRINSSLERNAKHRLVPSVSLAGSAITAFDDVSVEDPDFGSIQALAEAGVIPSKLSQKSSNYDGLKHHGNINFSPERFISRQDLIDWKAHLEYDFVPGVIEKISTTTTVGFMDVKEIGSEAPAGLYTDMLAEENSILRKVFGQCRRFQPNKPSTKAQAAVAVASGRITEAISSELLRIKAENSARKAEMEDIRSELLDREDIQRFWNDKLNEEKTRGLEVEKAYLAALSDLEQEKILQEKNFAEILKEKAAMECQRQLLLSLKEEVNEISEKLASERSTYVAEKCDLQDMLSDLETKQESMLDAKSILEAEIEAIRILRSWVEDEARKSQARAKVLEEVGRRWKWDSQA >ONI32586 pep chromosome:Prunus_persica_NCBIv2:G1:34011771:34015794:1 gene:PRUPE_1G374700 transcript:ONI32586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFILSQRGDSIVFRDYRGEVPKGSAEIFFRKVKFWKDDGEGDAPPVFNADGVNYFHVKDAGLLFVATTRVNVSPSLVLELLRRIARVVKDYLGVLSEDALRKNFVLVYELLDQIIDFGYVQTTSTEVLKSYVFNEPVVVDSSQLGPAGIFMAKRMPVTTITKSVVANERGGRKREEIFVDIIEKMSVTFSSSGYILTSEIDGTIQLKSYLTGNPEIRLALNEEVGIGRGGESIYDYGSSLGSGAVILDDCNFHESVHLDSFDVDRTLTLVPTDGEFPVMNYRITQEFKPPFCINALIEEAGPFKAEVTIKVRADFPSSIIADKILVQIPLPTYTTRVSFELEPGAVGNTTDFTEANKRMEWGLKKIVGGSEHTLHAKLTFSQESHGNITKEAGPVSMTFTIPMFNASRLQVKYLHITKKSKSYNPYRWVRYVTHSNSYVARL >ONI32587 pep chromosome:Prunus_persica_NCBIv2:G1:34011943:34014828:1 gene:PRUPE_1G374700 transcript:ONI32587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFILSQRGDSIVFRDYRGEVPKGSAEIFFRKVKFWKDDGEGDAPPVFNADGVNYFHVKDAGLLFVATTRVNVSPSLVLELLRRIARVVKDYLGVLSEDALRKNFVLVYELLDQIIDFGYVQTTSTEVLKSYVFNEPVVVDSSQLGPAGIFMAKRMPVTTITKSVVANERGGRKREEIFVDIIEKMSVTFSSSGYILTSEIDGTIQLKSYLTGNPEIRLALNEEVGIGRGGESIYDYGSSLGSGAVILDDCNFHESVHLDSFDVDRTLTLVPTDGEFPVMNYRITQEFKPPFCINALIEEAGPFKAEVTIKVRADFPSSIIADKILVQIPLPTYTTRVSFELEPGAVGNTTDFTEANKRMEWGLKKIVGGSEHTLHAKLTFSQESHGNITKEAGPVSMTFTIPMFNASRLQVKILSLTF >ONI31437 pep chromosome:Prunus_persica_NCBIv2:G1:30330655:30332702:-1 gene:PRUPE_1G313000 transcript:ONI31437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQERKEKEKKKVMVAIDDSECSHYSLTWALENLADTIRNSELVVFTVQPTNSDFAYTYASSFGAAPPELIESILANHKKFALALLDKAKDICANHGIVAEAVTEVGDPKEAICEAVEKHNIKLLVLGSHGRGAVKRALLGSVSNYCVHNAKCPVLVVRKQE >ONI26889 pep chromosome:Prunus_persica_NCBIv2:G1:3709672:3711528:1 gene:PRUPE_1G053300 transcript:ONI26889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKEAVASSKPHAVCIPLPVQSHVKGMLKFAKLLHHRGFHITFVNTEYIHKRFLKSLGPNSLDGLPSFRFESIPDGIPSSEEDTTQYIRLLGESVTNYLPAPFRDLLMKLNGTANIPPLSHMVSDGWMSFTITAAEEIGIPVVLFFTMSASGVMGYKQFPTLLEKGLAPLKDETWLTNGFLDNVIDWVPAMKGIRLRDLPNNFITTDPAEASWIFCLEAIQRFGKGTAIVLHSFDALEKEVLDALSSMFPLVYAIGPLQLLLNQVPEHPLKAMGYSLWKEGTEWLKWLNSKEPNSVVYVNFGSLAVLTPEQLVEFGWGLANSKLPFFWVIRPDLVVGKSAIFPPEFEAETKERGLIASWCPQEQVLEHSSVGGFLTHSGWNSTIESLCAGVPMLSLPIFTDQQTNCHCVCNLWGIGMEISKDAKRDQVEKLVKELMGGEKGKQLKNKVMEWKKLAEEAASPHGSSSANLDNFVNQVLLRKS >ONI28802 pep chromosome:Prunus_persica_NCBIv2:G1:13037003:13038067:-1 gene:PRUPE_1G162400 transcript:ONI28802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFQDQPSHPRVGLLKKVWKLNIPLKVKIFAWLLIRKRIQVRARLHRFMQHISLMCPFCQSLPETIQHMFMDCHFAKDVWALSPNLIPLPSQTGDLYIWLLSLSPTSTKSELDPFSKALLICWQIWEARNNVVFHDSKATLASCFHAIACVGLDFWRLNSTARFDSADSMMIKWHPPPTGWIKVNSDGSLMNSHASTGFVIRDSEGHVLIAGSNNIGENSINVAECVALRDGLAAALDRGWDRIVIEGDSKLVIDSIRGKANPPWCIQQIIQDIWALSSSVTSVRFQHVFREANFTADAVAKLGHGFSNEVLKEHGLPLSVRTPFYFDLFRRSCPRGFLFVFRNTKCVTFLIECE >ONI35371 pep chromosome:Prunus_persica_NCBIv2:G1:43502510:43510441:1 gene:PRUPE_1G532000 transcript:ONI35371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMATQLQTMRSQIEQLQAELLFYRGDASAPYDELQILKHKVSLLESSNMELRNELQERRVTCDHLKQRALDAQVEKDKLVMKIESARSGKSWDEIDSNSVQDYDLLKGYVSKIQELEGELFCLKNLNNSKPKRILDCVESDDDGFHSKNILFPTINEYSSDYDTKAGDIPDEIEDHEKEQEYSSLQEKFDRELKELDKALEQKEAEMKRFATSDTSVLKLHYEKKVQELEHEKKSLQKEIEALRHNLANISSTSDDGAQKLKEDYLHKLNVLEGQVSELKKKQDAQAQLLRQKQKSDEAAKRLQDEIQRIKTQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEASMATKRLKELLESRKTSSRETSGAGIGSGPGIQALMQAIEHELEVTDRVHEVRSEYDRQMEERARMAKEVAKLKEEAEMLKRSNLSDCPQAMSPGARNSRIFALENMLATSSSTLVSMASQLSEAEERERGFSGRGRWNQVRSLADAKNLMNHLFYLASSSRCSLRDKEVAYREKDLEIRDLKEKVVSLSSLLRKSEMQRAELIHQNSALKKYAMSCSRDGDLNNGGHKYDLRKLEHRASFILEDMDTSDSDKSDADKDDEWVASGKRRSKKRKSKSGSSSGEFPSSDSHDLGGFKLDGSGEGIVSVKKSESGMCCSCSKISSCKTSKCQCRSSGGTCGPSCGCVEAKCSNRESVSQEVLESAQAETAEGIGNDIGTDEAEKNQLLVTHGARLLQNALVENSSETTDVDKPRRALSEIGNTLVKSNAPRPNQRKKWRKSTVQLVTNAPPPSQPEVAEAPQRPDNRGHETSIPMKLPRAMRSAASNGSNPFRERNADKPDQSGVNKEGGIPTPRSPLRQNRTSDEKENRGL >ONI35370 pep chromosome:Prunus_persica_NCBIv2:G1:43499313:43510439:1 gene:PRUPE_1G532000 transcript:ONI35370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSECVRVAVNIRPLITSELLIGCTDCISVAPGEPQVQIGSHSFTYDYVYGSTGFPSNAVYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGDGSNGGIIPKVMESIFKRVETKKDTTEFLIRVSFIEIFKEEVFDLLDPNSSSLSKNDGAAPTKPAPARVPIQIRETVNGGITLAGVTEAEVRTKEEMASYLTRGSLCRATGSTNMNSQSSRSHAIFTITMEQKRTAHFVNGTTHDDIGDDILCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMATQLQTMRSQIEQLQAELLFYRGDASAPYDELQILKHKVSLLESSNMELRNELQERRVTCDHLKQRALDAQVEKDKLVMKIESARSGKSWDEIDSNSVQDYDLLKGYVSKIQELEGELFCLKNLNNSKPKRILDCVESDDDGFHSKNILFPTINEYSSDYDTKAGDIPDEIEDHEKEQEYSSLQEKFDRELKELDKALEQKEAEMKRFATSDTSVLKLHYEKKVQELEHEKKSLQKEIEALRHNLANISSTSDDGAQKLKEDYLHKLNVLEGQVSELKKKQDAQAQLLRQKQKSDEAAKRLQDEIQRIKTQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEASMATKRLKELLESRKTSSRAGIGSGPGIQALMQAIEHELEVTDRVHEVRSEYDRQMEERARMAKEVAKLKEEAEMLKRSNLSDCPQAMSPGARNSRIFALENMLATSSSTLVSMASQLSEAEERERGFSGRGRWNQVRSLADAKNLMNHLFYLASSSRCSLRDKEVAYREKDLEIRDLKEKVVSLSSLLRKSEMQRAELIHQNSALKKYAMSCSRDGDLNNGGHKYDLRKLEHRASFILEDMDTSDSDKSDADKDDEWVASGKRRSKKRKSKSGSSSGEFPSSDSHDLGGFKLDGSGEGIVSVKKSESGMCCSCSKISSCKTSKCQCRSSGGTCGPSCGCVEAKCSNRESVSQEVLESAQAETAEGIGNDIGTDEAEKNQLLVTHGARLLQNALVENSSETTDVDKPRRALSEIGNTLVKSNAPRPNQRKKWRKSTVQLVTNAPPPSQPEVAEAPQRPDNRGHETSIPMKLPRAMRSAASNGSNPFRERNADKPDQSGVNKEGGIPTPRSPLRQNRTSDEKENRGL >ONI35368 pep chromosome:Prunus_persica_NCBIv2:G1:43499616:43510439:1 gene:PRUPE_1G532000 transcript:ONI35368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSECVRVAVNIRPLITSELLIGCTDCISVAPGEPQVQIGSHSFTYDYVYGSTGFPSNAVYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGDGSNGGIIPKVMESIFKRVETKKDTTEFLIRVSFIEIFKEEVFDLLDPNSSSLSKNDGAAPTKPAPARVPIQIRETVNGGITLAGVTEAEVRTKEEMASYLTRGSLCRATGSTNMNSQSSRSHAIFTITMEQKRTAHFVNGTTHDDIGDDILCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMATQLQTMRSQIEQLQAELLFYRGDASAPYDELQILKHKVSLLESSNMELRNELQERRVTCDHLKQRALDAQVEKDKLVMKIESARSGKSWDEIDSNSVQDYDLLKGYVSKIQELEGELFCLKNLNNSKPKRILDCVESDDDGFHSKNILFPTINEYSSDYDTKAGDIPDEIEDHEKEQEYSSLQEKFDRELKELDKALEQKEAEMKRFATSDTSVLKLHYEKKVQELEHEKKSLQKEIEALRHNLANISSTSDDGAQKLKEDYLHKLNVLEGQVSELKKKQDAQAQLLRQKQKSDEAAKRLQDEIQRIKTQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEASMATKRLKELLESRKTSSRETSGAGIGSGPGIQALMQAIEHELEVTDRVHEVRSEYDRQMEERARMAKEVAKLKEEAEMLKRSNLSDCPQAMSPGARNSRIFALENMLATSSSTLVSMASQLSEAEERERGFSGRGRWNQVRSLADAKNLMNHLFYLASSSRCSLRDKEVAYREKDLEIRDLKEKVVSLSSLLRKSEMQRAELIHQNSALKKYAMSCSRDGDLNNGGHKYDLRKLEHRASFILEDMDTSDSDKSDADKDDEWVASGKRRSKKRKSKSGSSSGEFPSSDSHDLGGFKLDGSGEGIVSVKKSESGMCCSCSKISSCKTSKCQCRSSGGTCGPSCGCVEAKCSNRESVSQEVLESAQAETAEGIGNDIGTDEAEKNQLLVTHGARLLQNALVENSSETTDVDKPRRALSEIGNTLVKSNAPRPNQRKKWRKSTVQLVTNAPPPSQPEVAEAPQRPDNRGHETSIPMKLPRAMRSAASNGSNPFRERNADKPDQSGVNKEGGIPTPRSPLRQNRTSDEKENRGL >ONI35369 pep chromosome:Prunus_persica_NCBIv2:G1:43499313:43510439:1 gene:PRUPE_1G532000 transcript:ONI35369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSECVRVAVNIRPLITSELLIGCTDCISVAPGEPQVQIGSHSFTYDYVYGSTGFPSNAVYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGDGSNGGIIPKVMESIFKRVETKKDTTEFLIRVSFIEIFKEEVFDLLDPNSSSLSKNDGAAPTKPAPARVPIQIRETVNGGITLAGVTEAEVRTKEEMASYLTRGSLCRATGSTNMNSQSSRSHAIFTITMEQKRTAHFVNGTTHDDIGDDILCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMATQLQTMRSQIEQLQAELLFYRGDASAPYDELQILKHKVSLLESSNMELRNELQERRVTCDHLKQRALDAQVEKDKLVMKIESARSGKSWDEIDSNSVQDYDLLKGYVSKIQELEGELFCLKNLNNSKPKRILDCVESDDDGFHSKNILFPTINEYSSDYDTKAGDIPDEIEDHEKEQEYSSLQEKFDRELKELDKALEQKEAEMKRFATSDTSVLKLHYEKKVQELEHEKKSLQKEIEALRHNLANISSTSDDGAQKLKEDYLHKLNVLEGQVSELKKKQDAQAQLLRQKQKSDEAAKRLQDEIQRIKTQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEASMATKRLKELLESRKTSSRETSGAGIGSGPGIQALMQAIEHELEVTDRVHEVRSEYDRQMEERARMAKEVAKLKEEAEMLKRSNLSDCPQAMSPGARNSRIFALENMLATSSSTLVSMASQLSEAEERERGFSGRGRWNQVRSLADAKNLMNHLFYLASSSRCSLRDKEVAYREKDLEIRDLKEKVVSLSSLLRKSEMQRAELIHQNSALKKYAMSCSRDGDLNNGGHKYDLRKLEHRASFILEDMDTSDSDKSDADKDDEWVASGKRRSKKRKSKSGSSSGEFPSSDSHDLGGFKLDGSGEGIVSVKKSESGMCCSCSKISSCKTSKCQCRSSGGTCGPSCGCVEAKCSNRESVSQEVLESAQAETAEGIGNDIGTDEAEKNQLLVTHGARLLQNALVENSSETTDVDKPRRALSEIGNTLVKSNAPRPNQRKKWRKSTVQLVTNAPPPSQPEVAEAPQRPDNRGHETSIPMKLPRAMRSAASNGSNPFRERNADKPDQSGVNKEGGIPTPRSPLRQNRTSDEKENRGL >ONI30041 pep chromosome:Prunus_persica_NCBIv2:G1:24099181:24101983:1 gene:PRUPE_1G227700 transcript:ONI30041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKADLGTPGMRYVVKMIGNTSERERQRKREWGNLHFWSLGLGLGSNSQQRDIRSKVRDVYELSHNDDMARKIAALNKKMDPMMSKNVTPKPVEVCSIYNGFGHNFDSCPSSSEFSGYVKEQQITRCILAVM >ONI32159 pep chromosome:Prunus_persica_NCBIv2:G1:32709119:32709671:-1 gene:PRUPE_1G351700 transcript:ONI32159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGERLGFKLLSSINGKKNRRYLKRIAVRLDWGFFSLSAIKPYSRTALLCSKCIAARLYLGFYLLLFLKRV >ONI33660 pep chromosome:Prunus_persica_NCBIv2:G1:37362697:37364488:-1 gene:PRUPE_1G439200 transcript:ONI33660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMVALRSLYRSLSSRSYRVAALNQQLLCHSQPHHHNAGAARTLFSFSSPMVKDLFSDDCRSPLSTGLGSRRFYSDDLSHMPPIKDPELLNVFKDLMAASWDKLPDSVVHDAKAAVSKNTDDQTGKESVTNVFRAAEAVEEFGGMITTLKMELDDSIGLSGENVKPLSDEYVNALKTIYNRYTAYLDAFGPEETYLRKKVETELGTKLIYLKMRCSGIGSEWGRITVLGTSGLSGSYVEQRA >ONI33661 pep chromosome:Prunus_persica_NCBIv2:G1:37362398:37364569:-1 gene:PRUPE_1G439200 transcript:ONI33661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMVALRSLYRSLSSRSYRVAALNQQLLCHSQPHHHNAGAARTLFSFSSPMVKDLFSDDCRSPLSTGLGSRRFYSDDLSHMPPIKDPELLNVFKDLMAASWDKLPDSVVHDAKAAVSKNTDDQTGKESVTNVFRAAEAVEEFGGMITTLKMELDDSIGLSGENVKPLSDEYVNALKTIYNRYTAYLDAFGPEETYLRKKVETELGTKLIYLKMRCSGIGSEWGRITVLGTSGLSGSYVEQRA >ONI26131 pep chromosome:Prunus_persica_NCBIv2:G1:512720:513907:-1 gene:PRUPE_1G005900 transcript:ONI26131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPLYCLNTLRLGYSIVSCTTKRFLVGDLKPSHISLQNHPLHRPITSKISANQHNFTVAYLINSCGLSPEDAISSSKWVELQSSQEADSVLALLSSHGLSETQISKVVRSRPAILVADPEKTLLPKLEFFSSVVVSRQDFARILCFNPHLLSRSLENQIIPTYNFLRSLISEENVISVLKRSSWIFLENRRKNVVPNIELLRELGVPQSCIALLLAHNTKVLIHKHESFAAAVEEVKAMGFDMKKSTFVMALRALCGESSKSIWNRSREIYKRSWGWSDDDVISAFRKNPQCMILSEEKIMQGMNFLVNKMGWPSRVIATYPVILCFSLEKRIIPRCSVVKVLLLKGLIDEDSSLAYVVLPQEQHFLERFVIRYINRLPQLWDVYHGKLDVKDV >ONI26221 pep chromosome:Prunus_persica_NCBIv2:G1:811526:814007:1 gene:PRUPE_1G010600 transcript:ONI26221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFGYLGFCAEKLCLFCSRVRALIPPFLSSLFSWNSNSQKYLTHLQKLDQIDQPRWEDLPLDCLVNVFGRVGMRSLLLNVPFVCKSWHRASLDPSCWQRLIFIDTETEMDLETRQFWCCDNEIEADIDIESDRRCFEALMNRFACQYRMDDTCFSDTGFIQFVVNRSKGHATFLRLPGVCPEAAMKYVGNVCHGLKGLSLPRRLLLCSPSIVAELIGKCKHLELLSVGGSHNFEEILLQFSMGCCKNFLNMDVPAALRNREDNKNVPDIIPNVSFLCSYNFEEIFSQIRIHCKNFCGLNVSGALMRREDVLAIVNLLPHIKYLILRKSFIDRDDLATLLQGCEELVLLDARNCCGFDEGDAEISVLASHIGKFSCEGSRYVDPLLWLRSHKYI >ONI30950 pep chromosome:Prunus_persica_NCBIv2:G1:28556367:28560635:1 gene:PRUPE_1G283500 transcript:ONI30950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDKDNRDPARTTEFTTQSTWPLDPDSAYFFTSHDVRDNTLLTEFGWNFHPDGSRPDGFSELDPIGTRDMSDLAATSSQLVADCLRPADSSSSSTAAFRSSDPAPVVGSASMNPSVSSTSSEDPPEKSTGSVGKPPPEIPSKVKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVAFPRGGVIAQESGFAGHHLAQLPPVSSHFMYYPAIQPREGVSPVNLTRTTSHQLASPRGDDEDDDDQAAGGGSSHCLDPQTTAVPTDEGLLGDIVPPGMRNR >ONI31933 pep chromosome:Prunus_persica_NCBIv2:G1:31994063:31997714:1 gene:PRUPE_1G340100 transcript:ONI31933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLTLSASVSALKPAECVGSLCPSATTVQYAVFLTGLYLIALGTGGIKPCIWPFGADQFDDTDPKERVKKGSYFNWFYFSQNIGAIIASSLLVWIQDNVGWGIGFGIPTALMGVCIASLLIGTPLYRFQKPGGSPLTRICQVLVASFRKRNLKVLVDNSPLHETQDRSSAIEGSRKLEHSNELRCLDKAAVISDADIEHGDLCNPWRLCTITQVEELKILIRMFPIWATGIVFSAVFAQMSTLFVVQGKLMKRTLGSVTIPAASLSFFDFVSVIIWVPIYDSIIIPIVKKFTSKERGFSQLQRMGIGLFVSILCMAAAALVEIKRLQLVAELGLEDEKVAVPLSILWQIPQYFLLGAAEVFTFIGQHEFYYEQAPDAMRSLCSALSLLTNSLGNYLSSLILTIVTYITTKGGKAGWIPDNLNEGHLDYFYWLLAGLSFLNLVVYMVFSRNYEEKKAAAAA >ONI31932 pep chromosome:Prunus_persica_NCBIv2:G1:31994063:31997714:1 gene:PRUPE_1G340100 transcript:ONI31932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDDERALLEANILQNEGSGLYAGDGSVDSKGKPALKNDTGQWKACSFILGTFFCERLAFYGISTNLVNYFIEKLHERTVVASTNITNWKGTCYITPLIGAILADTYWGRYWTIAGFTTVYLIGMCTLTLSASVSALKPAECVGSLCPSATTVQYAVFLTGLYLIALGTGGIKPCIWPFGADQFDDTDPKERVKKGSYFNWFYFSQNIGAIIASSLLVWIQDNVGWGIGFGIPTALMGVCIASLLIGTPLYRFQKPGGSPLTRICQVLVASFRKRNLKVLVDNSPLHETQDRSSAIEGSRKLEHSNELRCLDKAAVISDADIEHGDLCNPWRLCTITQVEELKILIRMFPIWATGIVFSAVFAQMSTLFVVQGKLMKRTLGSVTIPAASLSFFDFVSVIIWVPIYDSIIIPIVKKFTSKERGFSQLQRMGIGLFVSILCMAAAALVEIKRLQLVAELGLEDEKVAVPLSILWQIPQYFLLGAAEVFTFIGQHEFYYEQAPDAMRSLCSALSLLTNSLGNYLSSLILTIVTYITTKGGKAGWIPDNLNEGHLDYFYWLLAGLSFLNLVVYMVFSRNYEEKKAAAAA >ONI26581 pep chromosome:Prunus_persica_NCBIv2:G1:2275504:2276589:1 gene:PRUPE_1G032500 transcript:ONI26581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTECQGKDTWPELLGSQGTVAEATIERENSLVDAQIVLEGSIVTADFRCDRVRVWVNTDGIVTRVPSIG >ONI26629 pep chromosome:Prunus_persica_NCBIv2:G1:2512853:2514863:1 gene:PRUPE_1G035900 transcript:ONI26629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKLQTNYDRHSELKAFDDTKEGVKGLVDAGITEVPRIFHQPPDEHFIDSTSDSEATQTQFSIPLIDLEGLALDSLTKRKEIVAKVGEASETWGFFQIANHGIPIGVLEEIKDGVRGFFEQDTEVKKELYTRDYFIPVIYNSNSDLYKAPATNWRDTFICYMAPYAKKPEDLPEVCRDILIEYSKQIMRLGKLLFELLSEALGLTPSHLNDIDCSEGLVLLCNYYPACPQPELTLGTSKHADSYFLTVLLQDHIGGLQVLHKNKWIDVPPVPGALVVNVGDLLQLISNERFKSVEHRVLANRVGPRVSVASFFTTGMLPLKKLYGPIKELVSGDNPPKYREKNCEGL >ONI35215 pep chromosome:Prunus_persica_NCBIv2:G1:42842641:42851527:-1 gene:PRUPE_1G523100 transcript:ONI35215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFEVLGRFNRARAARLTLPHFECQTPLFMPVGTQGTIKGLTTEQLEEIGCQIILGNTYHLALRPTSELIDDLGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEATYRTIRWVDRCIEAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGESKDSFWRVVAQCTASLPEEKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHKAMADDTRPIDPTCDCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLKLSRNLHSSIIKGRFPEFVREFLQTMFPKGDVPEWVCNAMEVAGIDISSCCTPFSSPQD >ONI35216 pep chromosome:Prunus_persica_NCBIv2:G1:42841394:42851527:-1 gene:PRUPE_1G523100 transcript:ONI35216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFEVLGRFNRARAARLTLPHFECQTPLFMPVGTQGTIKGLTTEQLEEIGCQIILGNTYHLALRPTSELIDDLGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEATYRTIRWVDRCIEAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGESKDSFWRVVAQCTASLPEEKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHKAMADDTRPIDPTCDCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMLKLSRNLHSSIIKGRFPEFVREFLQTMFPKGDVPEWVCNAMEVAGIDISSCCTPFSSPQD >ONI34131 pep chromosome:Prunus_persica_NCBIv2:G1:38720329:38724755:-1 gene:PRUPE_1G464000 transcript:ONI34131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRRRREANDVVKEEKRSAKEDVEVMVLEEEEEKEEDSLKAQVAKLRQRWELASVLDFLIVFEPVVGKASKISAEEIEMGLINPGGALAQLHIALLKGIPPASKALDGSDAWVTVLCKKLATWWPWVAEGEIPLVAAKGEEISRYKELDPTKRLLLLKALCELRADQDDALAYINDALKQGTEVSFFRKNKIGGDGNGTSYWYEGTTITGHRLYKEVTALESKAKSRGKGCLNLANISLQWETLATNLEEFRKVVDELSSSKVVADVSVAKIIETNAIPVLEKLQKKKERALKQKERQERHLNTLRNSCGAGTTRSCRNRRPVSYTFDEYDRAIHEAIKVTNGKRTADEKRQEGKRRRRNGTDTNGAPQRDTNSEDNSGKKSNSNDSDANDSGNKDDSAGSDTSSDRIEEVASDDDDNNWDDGGTKDEDKGDHSDSGKSETDKNHAQTDSIALKPMGVRWSKRLAGDTSHPVLENRNLGTKNRSRQRPICNSALDSVVRQDSDDENSSEHANSEIAGHDELPVTDPEEVGES >ONI27710 pep chromosome:Prunus_persica_NCBIv2:G1:8019352:8024324:-1 gene:PRUPE_1G100800 transcript:ONI27710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLKLFFFFTLAFFFSSASLCLSYEPRNHEVEALISLRLALNDPHGVLNNWDEDSVDPCSWAMITCSPENVVIGLGAPSQSLSGTLSGAIGNLTNIRQVLMQNNNISGKLPPELGTLPKLQTLDLSNNLFSGFVPDSLGQLNSLQYLRLNNNSLSGAFPVSLAKIPELAFLDLSYNNLSGPIPKFPARTFNVVGNPLICGSSSTEGCSGSATPVPLSLSLKSSPGKHNSKTLAIALGVSLSCALLIVLSLGIVWYRKKQKSQSILNISDIQEEGLVSLGNLRNFTFKELQLATDHFSSKNILGAGGFGNVYKGKLGDGTMVAVKRLKDVTGTAGESQFRTELEMISLAVHRNLLRLIGYCATSNERLLVYPYMSNGSVAARLRGKPALDWNTRKKIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCEAIVGDFGLAKLLDHTDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGLRALEFGKTVNQKGAMLEWVKKIQQEKKVEVLVDRELGNIYDRIEVGEMLQVALLCTQYLPAHRPKMSEVVRMLEGDGLAEKWAASHNHSNSSMDLFHTHNSNKSNTHPSSIGSKHDDNERDRASMFGTSVDEDDDEHSLDSYAMELSGPR >ONI27711 pep chromosome:Prunus_persica_NCBIv2:G1:8020276:8024324:-1 gene:PRUPE_1G100800 transcript:ONI27711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLKLFFFFTLAFFFSSASLCLSYEPRNHEVEALISLRLALNDPHGVLNNWDEDSVDPCSWAMITCSPENVVIGLGAPSQSLSGTLSGAIGNLTNIRQVLMQNNNISGKLPPELGTLPKLQTLDLSNNLFSGFVPDSLGQLNSLQYLRLNNNSLSGAFPVSLAKIPELAFLDLSYNNLSGPIPKFPARTFNVVGNPLICGSSSTEGCSGSATPVPLSLSLKSSPGKHNSKTLAIALGVSLSCALLIVLSLGIVWYRKKQKSQSILNISDIQEEGLVSLGNLRNFTFKELQLATDHFSSKNILGAGGFGNVYKGKLGDGTMVAVKRLKDVTGTAGESQFRTELEMISLAVHRNLLRLIGYCATSNERLLVYPYMSNGSVAARLRGKPALDWNTRKKIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDYCEAIVGDFGLAKLLDHTDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGLRALEFGKTVNQKGAMLEWCVE >ONI29624 pep chromosome:Prunus_persica_NCBIv2:G1:20954595:20955375:1 gene:PRUPE_1G206400 transcript:ONI29624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGTALSNLEAESSLIVRLSAAMSCSSSKSVSASDSGSELELEGLSSGYFLFLLKSFEIVVKVQYVRTNRV >ONI27183 pep chromosome:Prunus_persica_NCBIv2:G1:5214916:5219856:-1 gene:PRUPE_1G073000 transcript:ONI27183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSLTSTQDTINPTLLAFRPRQVQLANLEDMKHSKPNISSDSTQTLSPGQKGPLLHAVARFLESNGFSKTLKKFRSEAQIEKSGPKESSLDLEEMYCKLMCNNGVTNVNSQKKKEDIKEPEKLLEETEKNCMEKKKKKSKVASDSLASDSETEKKPKDKKKKKNKSSSDSVDANGIGKSTADEIPVDEKSVKPNGKKKKKDGLVSESLDGEDVKVLGIGDTNGTSSTKDDLKISDVDATGKESKGSKKRKRLASEGNDSQPADNREDEESKRRKVESLKASKGSEQPANSDASLGKAENAGKDSGGESGQVGPDVFQKASVTQLDGQANGNLEKSAEKSSIKKSMKKQHNGSDEPTAFKAFQRVKAEEVEFIDEKLRDNSYWAKVMVQRLATVQKHRKFLGKLEEGIFVMKRLRRSVGHTEEGRLISSHTQSSSIMMMKNNRKPFLRSKFTETIFACRKPASFIFCTSFQ >ONI27184 pep chromosome:Prunus_persica_NCBIv2:G1:5214872:5219856:-1 gene:PRUPE_1G073000 transcript:ONI27184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSLTSTQDTINPTLLAFRPRQVQLANLEDMKHSKPNISSDSTQTLSPGQKGPLLHAVARFLESNGFSKTLKKFRSEAQIEKSGPKESSLDLEEMYCKLMCNNGVTNVNSQKKKEDIKEPEKLLEETEKNCMEKKKKKSKVASDSLASDSETEKKPKDKKKKKNKSSSDSVDANGIGKSTADEIPVDEKSVKPNGKKKKKDGLVSESLDGEDVKVLGIGDTNGTSSTKDDLKISDVDATGKESKGSKKRKRLASEGNDSQPADNREDEESKRRKVESLKASKGSEQPANSDASLGKAENAGKDSGGESGQVGPDVFQKASVTQLDGQANGNLEKSAEKSSIKKSMKKQHNGSDEPTAFKAFQRVKAEEVEFIDEKLRDNSYWAKDGAEIGYGAKAQEVLGQVRGRDFRHEKTKKKRGSYRGGQIDQQSHSVKFNYDDEE >ONI26496 pep chromosome:Prunus_persica_NCBIv2:G1:2021905:2023947:1 gene:PRUPE_1G028700 transcript:ONI26496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSNLLLSLSWKIAGLQTSFNSLKHILKSGKSMKVKKWRHKFEIDSRARGPDSRTMEFRNSNLQKHIVNNVARKVFPLGSLAPCLTMELKYINHSYILFF >ONI34334 pep chromosome:Prunus_persica_NCBIv2:G1:39574376:39575818:1 gene:PRUPE_1G475500 transcript:ONI34334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGTTMSFQFPRNSNTATFLPPERAQSIPFSSNKLPEIFNHFSVKPTSVEAKTIKQTIEECEAPGIKGEEIYCATSLESMVDFSTSNFRTRNVQAISTEVLEKGATMSMHKHTTMPGLKKLAGDKVVVCHKQNYPYAVFYCHVIKPTAAYVLSLKGDDGVKIKAVAICHLDTSEWNPKHLAFQILKVKPGTIPICHFLPTDHSVWVPNHKSA >ONI34333 pep chromosome:Prunus_persica_NCBIv2:G1:39574562:39575624:1 gene:PRUPE_1G475500 transcript:ONI34333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLAAVALANHAAQPAPQLYWNSVLPNTQMPRAISELLHPGQPENTVLPQLARRPFSYKSDDRPTDNQLHYKNVAIFFLEKDMRPGTTMSFQFPRNSNTATFLPPERAQSIPFSSNKLPEIFNHFSVKPTSVEAKTIKQTIEECEAPGIKGEEIYCATSLESMVDFSTSNFRTRNVQAISTEVLEKGATMSMHKHTTMPGLKKLAGDKVVVCHKQNYPYAVFYCHVIKPTAAYVLSLKGDDGVKIKAVAICHLDTSEWNPKHLAFQILKVKPGTIPICHFLPTDHSVWVPNHKSA >ONI32447 pep chromosome:Prunus_persica_NCBIv2:G1:33696212:33702426:1 gene:PRUPE_1G368300 transcript:ONI32447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGSVEEKIKAGGLLSGAQSSILDEMKLLKELQDHSGSRKAINSELWHACAGPLVCLPQVGSLSYYFPQGHSEQVAVSTKRTATSQIPNYPNLPSQLLCQVQNVTLHADKETDEIYAQMSLKPVNSEKDVFPVPDFGLKPSKHPSEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDFTMQPPSQELVVRDLHDNSWTFRHIYRGQPKRHLLTTGWSLFVGAKRLRAGDSVLFIRDEKSQLMIGVRRANRQQTTLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSEFVIPLATYQKAIYGTQLSVGMRFGMMFETEESGKRRYMGTIVSTSDLDPLRWPGSKWRNLQVEWDEPGCCDKQNRVSSWEIETPENIFIFPSLTSSLKRPSHTGFLGAETEWGNLIKRPFIRVPEIGNGNSFPYSISNLCSEQLVNMLLKPQLVNHAGTLAALQQQSPANGDLIADMKAMQAKLIQKNPGVFSEGTSLQSQNPPQSSLDQSATIDVNTTSHAILPGKLNNLTKFGSQAPVGNSTDKTKLETDFSADQLSQLNSTGLGIEDKLAAGFVSPYNLVNQLTFANQNQSAAQLQTSPRPMQPPLESLLYHSQQTDMPNSDFNSTNGSLPFLDNDECIFYQSYQPFAGTLRSQGPLSVFGLQDSSAVLTEANNSSLTSIGQEMWDNSLNNCRLLPQVDQLTSSHQGPGSLNCISNSSSLRDLSDESNNQSGIYGCPNVDVGSGVSAVIDPSVSSTILDEFSTLKNADFHNPSDCLLGNLSSSQDLQSQITSASLGDSQAFSRQDLADNSGGTSSSNIDLDESSLLQNNGSWHQVVPPVRTYTKVQKTGSVGRSIDVTSFKNYEELCSAIECMFGLEGLLNDPRGSGWKLVYVDYENDVLLVGDDPWEEFVGCVRCIRILSPTEVQQMSEEGMKLLNSAAMQGINGTMSEGGRT >ONI33607 pep chromosome:Prunus_persica_NCBIv2:G1:37191862:37194882:1 gene:PRUPE_1G435600 transcript:ONI33607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGATTGFNWDSSKKGGWYNSLKTTIPELASSGITHVWLPPPSHSNGTEGYDPGRLYDLDASSYGNKDELKSLIATMHEKGIKAIADIVLNHRSAVKQDENGIWSIFEGGTEDGRLDFNASLICRDDNDHPFGTGNPDTGDNFPYTADVDHTNPRLQTELSDWLNWLKTDIGFDGWRLDYVIGYGSSFTKLYMEKTSPEFAVAEYWRWNISKGQDGKLDKNQDAHRNEIVNWIEAAGGVVTAFDLTTKYILNVAVEGELWRLKDSNGKPPGLIGIKPESAVTFIDNHDTWSQGLLPFPDDKVLLGYAYILTHPGTPSIFYDHFFDWGWPKESIRNLTAIRARNGINSRSSVTILAAEADLYMANIDDKIIMKIGPRLGLGDLDPTKSNFHVATSGQDFAVWEKN >ONI33608 pep chromosome:Prunus_persica_NCBIv2:G1:37192904:37194659:1 gene:PRUPE_1G435600 transcript:ONI33608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRCHPTHPIAHTTSKGNMNFLLSFCFCLCVSRLPSFATPAVLFQGFNWDSSKKGGWYNSLKTTIPELASSGITHVWLPPPSHSNGTEGYDPGRLYDLDASSYGNKDELKSLIATMHEKGIKAIADIVLNHRSAVKQDENGIWSIFEGGTEDGRLDFNASLICRDDNDHPFGTGNPDTGDNFPYTADVDHTNPRLQTELSDWLNWLKTDIGFDGWRLDYVIGYGSSFTKLYMEKTSPEFAVAEYWRWNISKGQDGKLDKNQDAHRNEIVNWIEAAGGVVTAFDLTTKYILNVAVEGELWRLKDSNGKPPGLIGIKPESAVTFIDNHDTWSQGLLPFPDDKVLLGYAYILTHPGTPSIFYDHFFDWGWPKESIRNLTAIRARNGINSRSSVTILAAEADLYMANIDDKIIMKIGPRLGLGDLDPTKSNFHVATSGQDFAVWEKN >ONI33609 pep chromosome:Prunus_persica_NCBIv2:G1:37191862:37194882:1 gene:PRUPE_1G435600 transcript:ONI33609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRCHPTHPIAHTTSKGNMNFLLSFCFCLCVSRLPSFATPAVLFQGFNWDSSKKGGWYNSLKTTIPELASSGITHVWLPPPSHSNGTEGYDPGRLYDLDASSYGNKDELKSLIATMHEKGIKAIADIVLNHRSAVKQDENGIWSIFEGGTEDGRLDFNASLICRDDNDHPFGTGNPDTGDNFPYTADVDHTNPRLQTELSDWLNWLKTDIGFDGWRLDYVIGYGSSFTKLYMEKTSPEFAVAEYWRWNISKGQDGKLDKNQDAHRNEIVNWIEAAGGVVTAFDLTTKYILNVAVEGELWRLKDSNGKPPDDKVLLGYAYILTHPGTPSIFYDHFFDWGWPKESIRNLTAIRARNGINSRSSVTILAAEADLYMANIDDKIIMKIGPRLGLGDLDPTKSNFHVATSGQDFAVWEKN >ONI28755 pep chromosome:Prunus_persica_NCBIv2:G1:12672989:12673953:-1 gene:PRUPE_1G159400 transcript:ONI28755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPKTLKNSTSRIRLYPTIPPSHYNLRKSFYIFPSTATETQPAHYNSKKIRPSPPYALCFSQNRRNARY >ONI32612 pep chromosome:Prunus_persica_NCBIv2:G1:34091119:34091979:-1 gene:PRUPE_1G376100 transcript:ONI32612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLTNTFLQGRPCPPVLPPKKVCGGGGGLGNCRPVVTCRKKEIHPQFHEDAKVYCKGELVMTTGGTQKEYVVDVWSGNHPFYLGNRSGMLVDDDQVEKFRKKYGELTQIMDIPVLKGEIILPSRRKAAAGKGGKKK >ONI30982 pep chromosome:Prunus_persica_NCBIv2:G1:28680129:28681656:-1 gene:PRUPE_1G286300 transcript:ONI30982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESRPRKLEEGDDDDRIRELPTEIIFSHILPRLPAEDLMTRCRCVCKSWSSLIRSPFFVAAFSNFRKNTTNFIFKNGNRFFSSKIEEEQQGEGGGNKYKVPIPTPLAEISYRNGCIALDHYNTNVHKLQSVRGLVCSSFKCGPVFILNPTTRESIQLPHVNTRGFDTYHFGFTPLTNEYKVLQVISSWELGKWNHWLNVYTLGLGRDSSWRPLQVDPAMEIWTLHTGT >ONI31313 pep chromosome:Prunus_persica_NCBIv2:G1:29907360:29912351:1 gene:PRUPE_1G305700 transcript:ONI31313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVFFLLSLSLFISSSSSSASPYPYGGLSYHIDCGGPTDSVDPFNTAWLSDRFFTGGATSVVSEPLQFRHPQEKTLRYFPLSAGKKNCYIIPNLPSGRYYIRTFTVYDNYDGKSHSPSFDVSVEGTLVFSWRSPWPEDLARTGAYSDLFAFVSDSQADVCFYSIATDPPVIGSLQLIRVDPASYDAVSIGQNYILVNYGRFTCGSDQFGPGFSNDTDLFGRSWQNDKDVRSTDDDSTVRSLSTRNTIANTDHSPNYFPMKLYQTATLVDGKGLVYELQVDAKLDYLLWFHFAEIDSSVTKSGQRVFDILINGKNVNRIDIFKEVGNFAAYSWRYTVKNLSSTVLSVKLQSVAGAALISGLENYALVPADVATVPEQVVAMRALKDSLRIPDRMGWNGDPCAPTNWDAWEGVTCHLNKNETALVISRMNLSSNSLGGTLPSGLGQKSLNKLDLSDNQFSGSIPQSLATSNLQLVLMNNNLLEGQVPEELYSIGVHGGSIDLYGNKGLCGVPSLPDCPLFWENGGLSKKGKIAIAVSCVFGFCLLLLVLYIICIRRRRNDYDFGLPQDLMSLAAKRNRYQRQKSLMLLEMESQHAKALTPFTPR >ONI31312 pep chromosome:Prunus_persica_NCBIv2:G1:29907360:29912351:1 gene:PRUPE_1G305700 transcript:ONI31312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVFFLLSLSLFISSSSSSASPYPYGGLSYHIDCGGPTDSVDPFNTAWLSDRFFTGGATSVVSEPLQFRHPQEKTLRYFPLSAGKKNCYIIPNLPSGRYYIRTFTVYDNYDGKSHSPSFDVSVEGTLVFSWRSPWPEDLARTGAYSDLFAFVSDSQADVCFYSIATDPPVIGSLQLIRVDPASYDAVSIGQNYILVNYGRFTCGSDQFGPGFSNDTDLFGRSWQNDKDVRSTDDDSTVRSLSTRNTIANTDHSPNYFPMKLYQTATLVDGKGLVYELQVDAKLDYLLWFHFAEIDSSVTKSGQRVFDILINGKNVNRIDIFKEVGNFAAYSWRYTVKNLSSTVLSVKLQSVAGAALISGLENYALVPADVATVPEQVVAMRALKDSLRIPDRMGWNGDPCAPTNWDAWEGVTCHLNKNETALVISRIDLGSQGLKGFISDQISLLSNLASLNLSSNSLGGTLPSGLGQKSLNKLDLSDNQFSGSIPQSLATSNLQLVLMNNNLLEGQVPEELYSIGVHGGSIDLYGNKGLCGVPSLPDCPLFWENGGLSKKGKIAIAVSCVFGFCLLLLVLYIICIRRRRNDYDFGLPQDLMSLAAKRNRYQRQKSLMLLEMESQHAKALTPFTPR >ONI32622 pep chromosome:Prunus_persica_NCBIv2:G1:34136226:34139479:-1 gene:PRUPE_1G376800 transcript:ONI32622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKNFKCEERARAKSQFPKTRARGPKMGAEALPVTANSNNNCSGGEAPLVLGLQASALVDHVARVDWSLLDQLPGERGGSIPVAIEELEHILGEVKTHIISSPDDSLPMKTIAGGSVANTIRGLSAGFGISCGIIGACGDDEQGQLFVSNMSSHAVSLSRLRMKKGPTAQCVCLVDALGNRTMRPCLSSAVKLHPQADDLTRADFKGCKWLLLRYGIINLEVIQAAIRIAKQEGLFVSMDLASFEMVRNFRSPLLQLLESGGIDLCFANEDEATELLRCTEGEQKADPEAALEFLAKHCRWAVVTLGPNGCIAKHGKEIVRVPAIGKANAVDATGAGDLFASGFLYGLVKGLSLEECCKVGSCSGGSVIRSLGGEVTPENWQWMYKQMQTKGLTLPDIPK >ONI32623 pep chromosome:Prunus_persica_NCBIv2:G1:34136625:34139364:-1 gene:PRUPE_1G376800 transcript:ONI32623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKNFKCEERARAKSQFPKTRARGPKMGAEALPVTANSNNNCSGGEAPLVLGLQASALVDHVARVDWSLLDQLPGERGGSIPVAIEELEHILGEVKTHIISSPDDSLPMKTIAGGSVANTIRGLSAGFGISCGIIGACGDDEQGQLFVSNMSSHAVSLSRLRMKKGPTAQCVCLVDALGNRTMRPCLSSAVKLHPQNYVIQADDLTRADFKGCKWLLLRYGIINLEVIQAAIRIAKQEGLFVSMDLASFEMVRNFRSPLLQLLESGGIDLCFANEDEATELLRCTEGEQKADPEAALEFLAKHCRWAVVTLGPNGCIAKHGKEIVRVPAIGKANAVDATGAGDLFASGFLYGLVKGLSLEECCKVGSCSGGSVIRSLGGEVTPENWQWMYKQMQTKGLTLPDIPK >ONI34917 pep chromosome:Prunus_persica_NCBIv2:G1:41788330:41794200:1 gene:PRUPE_1G505900 transcript:ONI34917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPTLVPEWLRSTGSVTGGGNSAHHFASSSSHSDVTSLAHHLRNRTSKSISDFDTPRSAFLLDRSSSSNSRRSSSNGSAKHAYSSFNRSHRDKDRDKEKERLNYGDHWDRDCSDPLGNIFTSRVEKDTLRRSQSMVARKQSELLPRRAVIDSKSSNSNHNNGNGLLSGVGVSIQKVVFDKDFPSLGTEERPAVPDIGRVPSPGFSTAVQSLPVGSSALIGGEGWTSALAEVPSTIIASSSSGSFPVQPTVAATSGSGTSTAMAGLNMAEALAQAPARARTAPQLSIKTQRLEELAIKQSRQLIPVTPSMPKASVLNSSDKSKPKTAARTGEMNVPAKGGQQQQPSQLHHANQSLRGGPVKSDPPKTSHGKFLVLKPVWENGVSSSPKDVTSPTNNASRVANSPLVVAPAVASAPLRSPNNPKLSPVERKVAALDLKSGSTLEKRPSLSQVQSRNDFFNLLKKKTSMNSSITLPDSGPIISSPTMEKSGELTGEVFSDPASPHAIENGGEVTVNGDSSEEVQRFSDTGPSVAVYPDEEEARFLRSLGWDDNPCDDGGLTEEEISAFYDQVLKSRPSLKLCRGMQPKLSTLSESRATNLGGARSDLSSSDSGSEA >ONI33632 pep chromosome:Prunus_persica_NCBIv2:G1:37256569:37257240:1 gene:PRUPE_1G437100 transcript:ONI33632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWETNRYKAPSKVPYAKEQIRDVFKRHDKNGDGQLSKEELDAAFDELGAKWPPFRAWFARQYADDNGDGFISIDKELSKLVQYALELKYILH >ONI26274 pep chromosome:Prunus_persica_NCBIv2:G1:1055755:1058371:1 gene:PRUPE_1G014300 transcript:ONI26274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKAEGDQKEYVNEQAVANKFAAMRSELNQIYSKITELEMEASEHSLVISAIQPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGIEEVIARLNEALEKKKKEISDFEAKYKIKIRKEQGEEKDDGARKEGTAQGVLVGPAGGSE >ONI26275 pep chromosome:Prunus_persica_NCBIv2:G1:1055755:1058371:1 gene:PRUPE_1G014300 transcript:ONI26275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKAEGDQKEYVNEQAVANKFAAMRSELNQIYSKITELEMEASEHSLVISAIQPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGIEEVIARLNEALEKKKKEISDFEAKYKIKIRKEQGEEKDDGARKEGTAQGVLVGPAGGSE >ONI32967 pep chromosome:Prunus_persica_NCBIv2:G1:35167448:35169639:-1 gene:PRUPE_1G396800 transcript:ONI32967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVSEHHEATDGLVKLLTKANHDLTVVQHRLEREFQQIYPENANPMKLVSRIKKIQEELSTLEEQCRELLSAKQDLIDQARTTLVGNRNLVQRMEASMGISPNTDSEDSAFANFNQIIDEWTVQVRSKTAIV >ONI32969 pep chromosome:Prunus_persica_NCBIv2:G1:35168605:35169639:-1 gene:PRUPE_1G396800 transcript:ONI32969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVSEHHEATDGLVKLLTKANHDLTVVQHRLEREFQQIYPENANPMKLVSRIKKIQEELSTLEEQCRELLSAKQDLIDQARTTLVGNRNLVQRMEASMGISPNTDSEDSAFANFNQFPDGVSSVCAICQQATKIKSLARKSF >ONI32968 pep chromosome:Prunus_persica_NCBIv2:G1:35167913:35169492:-1 gene:PRUPE_1G396800 transcript:ONI32968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVSEHHEATDGLVKLLTKANHDLTVVQHRLEREFQQIYPENANPMKLVSRIKKIQEELSTLEEQCRELLSAKQDLIDQARTTLVGNRNLVQRMEASMGISPNTDSEDSAFANFNQIIDEWTVQVRSKTGDEKHDSDSEDINQLLFSAIV >ONI28926 pep chromosome:Prunus_persica_NCBIv2:G1:13900643:13903179:1 gene:PRUPE_1G169600 transcript:ONI28926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRLAPSHSPKSIESDRPTKQEEDHEEKPYILHLPDHIIVEIFCKIPTKKLIQFRCVCKSWRCLPSDPQFTKDLFSRTPARFLIQGRLSRIGLSTSLFLVDFNNSVTLKLYKDQNSQTVRVNNIVGSCNGVICSLPLPINPVDKQIGFTRLWGFGYCPMRDVYKVVLIQIANDWSKKPEVTVMTIGSGIWRGLGNYAYHIAYHFADQPYGVYLNGFLHWVGYRGESPDFICALDLECECFQQILLPPVLKGRLSITVQMLNIMIVWVMKDYGVKESWTPELRIIIRGLFFWSLSFPKVLNLTEEGEVLLLDKSDLHCYNSLPIYNSVKVSIKSSVFLRAFFSISL >ONI36348 pep chromosome:Prunus_persica_NCBIv2:G1:47271129:47275087:-1 gene:PRUPE_1G581900 transcript:ONI36348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEYDYLFKLLLIGDSSVGKSCLLLRFSDDSYVDSYISTIGVDFKIRTVELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYASDSVCKLLVGNKCDLVENKVVDTQTAKAFADELGIPFLETSAKDSINVEQAFLTMANEIKKKMGSQPTANKSSGTVEMKGQPIQQNGNCCG >ONI32291 pep chromosome:Prunus_persica_NCBIv2:G1:33158979:33167807:-1 gene:PRUPE_1G358700 transcript:ONI32291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQREGQVVVKIDSCGASCSKETTKINGEATPTTTVGGSPEKPTESFRRRSKELPLPDAPSNSGGAEVLRCSSNASFSRNSWKPPMSKTKSRLLDPPEESCLKSDRVAGSGRALGKDDDDALDDADIEDIPEEYKRIKFSALTLLQWVSLVFVIAALVCNPWIPIIKRQTLWDLPLWKWELLVLALICGRLVSGWGIRVIVFFVERNFLLRKRVLYFVYGLRKSVQNCLWLGLVLVVWHFIFDKKVEEKTQSRILPYVTKVLICFLVGTLIWLLKTILVKVLALSFHVNAFFERIQEALFNQYVIETLSGPPLFERQHTEEEEKVAAEIREFQNAGATMPRELRASLLQRARSGRVIGSGRQNSPRVGKSPRVSRPTSGSQDEEIPVDHLHKLNQKNVSAWNMRRMVNIIRHGSLTTLDEQILNSDIEDDSSLKIKTECQAKEAAKKIFLKVAKPGYSYICLEDLMPFMHKDEALKTIHLFGAANESDRISKSALRDWVVSAFRERRALALSLNDTKTAVDELHNILNIIVAVIIVIIWLIILGIRVTHFLLLISSQLLLVVFIFGNTCKTVFEAIIFLFVMHPFDVGDRCEVEGVQMVVEEMNILTTVFLKFDHQKIIYPNSILATKPIANYHRSPDMGDAVDFCVHISTPLEKLAIMKERIQGYIESRSDHWYTAPMLIMRDVEDLNKLKISVWPTHKMNHQDMLGRWTRRSLLIEAMIQVFRELDIEYRLLPLDVNVRNMPSLTSNKLPSIWTTCVPPVLPAN >ONI31465 pep chromosome:Prunus_persica_NCBIv2:G1:30420382:30422208:1 gene:PRUPE_1G314700 transcript:ONI31465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQDPNPGSVFPKVFNVQRHLLNLLSYVLLFVGGLTLGVVLVNLKDFSFGLQFAQSPFSTLSSPPPSNHSQILIMPNATAPTTSMSLTPDPRIGLKEYLKPPKVFHDMNDTELLWRASMSPRIPEYPFHLVPKIAFMFLARGPVLLAPLWEKFFEGHQGFYSIYVHSEPSHNQSSYAGSSVFHGRRIPSDLKVKWGTVSLIEAERLLLANALLDISNQRFVLLSEACIPLYNFSTVYSYLINSKETFVEVYDDPSAVGRGRYYFVQYPGISVEQWRKGSQWFEIDRDLAIEVVSDRKYFPVYRRCRGECFADEHYLPTFVNIKFGAKNANRTLTWVDWAKGGPHPTEYMSLNVTVELLNGLRTGYGRRCEYNGRSTHVCFLFARKFPPSALDSLLRIAPKIMHFNNVAP >ONI35969 pep chromosome:Prunus_persica_NCBIv2:G1:46011882:46015416:-1 gene:PRUPE_1G563100 transcript:ONI35969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPWMCIGFLTSMLVLMGAVEALPMANKIVSLPGQPRVSFQQFAGYVDVDDHPQRSLFYYFVEAESRPASKPLVVWFNGGPGCSSVGEGAFVEHGPFKPSGGATLIKNPYSWNTEANMLYLESPAGVGFSFAADKSFYENVNDNITARDSLTFLQRWFDKFPEYNHRDLFLVGESYAGHYVPQLAQLIIQSGVNFNLKGIAIGNPLLEFSTDVNAEDLFYWSHGLISDAAYGLLTSVCNSSQLLRETIAGSPSDACSDVITQVSQELSNYVDKYYVTGDICLSPPFPTKLEILNPLRSNFRTSAFHRSRAEAGNYNQQLTDKIDACVEEETVTYLNRKDVQKALHAKLVGVSNWSLCSQVLQYDMRDMEIPTIPIVGSLVKSGIRVMVYSGDQDSVVPFFGSRSLINGLATQLGLKSTVPYRAWFEGKQVGGWTQVYDDILSFAIIRGASHTAPSTQPKRSLALFKSFLGGKPLPAHA >ONI31260 pep chromosome:Prunus_persica_NCBIv2:G1:29687405:29687756:1 gene:PRUPE_1G302200 transcript:ONI31260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEFVEKVLASAEKEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEENRGNDDYVAMIRDYRSKIETCDGISRLPLFLYGPNF >ONI34775 pep chromosome:Prunus_persica_NCBIv2:G1:41296717:41297197:1 gene:PRUPE_1G498400 transcript:ONI34775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTNDIKIKGFDLSKNSGNTSGLTHFANRADEGDKGDNKIEVEDAKAQDNKGNLKVLTDFAQRGKVGQSDRQEITGSKPLQTAA >ONI30953 pep chromosome:Prunus_persica_NCBIv2:G1:28570072:28571984:-1 gene:PRUPE_1G283800 transcript:ONI30953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRPFDDVDFQELPFKHPRQLEFSDKLAPFSDAVSCYGAPRKTYVSDEDGNVVGKSQWLEVLEKYTVNENSTPVNKVIGAPFSLTTRSCREEDVGPGPDAYSPPAGDFELDFPRRTFVPFKDVYSSLADRFPRKPVPVGPDHQARIPTWTGRVKCLDQTDESNLNRFSLHSLESEKVVNNASEENLLGTSVIPMPDSNLSALKCDKVGLGRTDCSCLDPGTVRCVQKHVMDAREELRRTLGNEKFVKLGFCDMGEEVARRWSEEEEETFLEVVYSNPASVGRNFWKQLSVVFPSRSRRELVSYYFNVFMLRRRAVQNRSNILEIDSDDDEWHGDNGGSIDRRVAEYDEDSVIESRVYQDDHVDHEEDYSDEDDSDDDDVDDDGSDGDGDGDGGHVKGDSSEEDGGIDNMESYMLKTVDDGKFDTVGQHGEKTSGCTREEFDFQDDSCVSFEFQSNMHDSCDRIDAGAAGSALQVTGFRNDRSKCLHGQPDASSDVVGHAYLLEPCDAKVWDARFPLDAMKGVDVLPTWSMIEEIFDEGMGDYKTRDEQKGPASG >ONI29836 pep chromosome:Prunus_persica_NCBIv2:G1:22990762:22992555:-1 gene:PRUPE_1G216800 transcript:ONI29836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRFFSVRQMDLQQNSQSHPVMLLSGPPSCGKTSLIFQFAFNSAMGEGHHGNTNGKVMFICNRRKLEVKPPYLSQGVDSSSETFQRIQMKYVDDDEGIKKYFAAFHLHETFPVAVVIDDFGDFFDERSCQERYGNPRGRDLAMVRTLALCHNAMVHANETSPCKLLLSDTHHGDSPRLLFIYKRWVTTIFTIQGDGSGSFVLKDNSNSGRTKTAKYSISLQYLFLEEITEASE >ONI26503 pep chromosome:Prunus_persica_NCBIv2:G1:2071459:2071849:1 gene:PRUPE_1G029100 transcript:ONI26503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTKINKQADLATLEIQKLLSYKNESGWAVLSKGSSLVVAGHGISILKVIEDFDKWKGQVREKGFEFCFTTYHAKIRLTPCCRLDIPGSTGKVPETMNCPDCNRSMETFISYKCCHIDGPNVHH >ONI32235 pep chromosome:Prunus_persica_NCBIv2:G1:32984380:32985411:1 gene:PRUPE_1G355300 transcript:ONI32235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVFRRSLSFPNKIIPNSRQAKVRAPKLSHHTRSISLPTRSHPLISQLKDHIAHLQSWATASFSFSDWLCQGLTRLRDLHHCLDDTLRLPQTQDSLRRLRSHSASCCWLDNLLDQFLRFVDVYGIFRTSVFALKQDHSAAQVALRKRDDSQIALYVRARKRMAKEMINLVNAVRCIVGRPGPAAAPLEHVGDSNYYTTSNIVDHELARVMSEVVQVTVTVSLALFNGIAAALSSGCPTTSLSKSNISSSRWSWMGILFSMGLMRCKEAVEDGEDHSRVRVNNIGVESLRNLRKKGEEEVKITLKKMQELEVSIAAIETCSETVFRSLINARVSLLNTLTLFN >ONI30976 pep chromosome:Prunus_persica_NCBIv2:G1:28655506:28657837:-1 gene:PRUPE_1G285700 transcript:ONI30976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRQRSSSLTKPLINLFRFITTESTQTQLATPSPVNPAHLLRVCTILYQQQNSPESRLHSNLNSSNFQLTHEFFLQVCNSFPLSWRPVYLFFLYTQTHPNFTHTTVSFNKMVDVIGKARNIQLLWDMLHEMGRRRLVNDKTFLIALKTLAKARELNKCVEFFHVMNGYGFDYSLETLNKVVESLCGSKLVVEAKFIVFKLKESIGPNGVTYRCLIEGFCDVGDLIEASKIWNLMVDEGFDPDIGAIEKMMETLFKTNRYGEALKVFQMMRVNRMDDLGLSTYRLVIEWMCKSGKIEEAHVVFEEMQKRRIEADNSTLASLVYGLLARGRVRVAYKIVEGIEKPDINVFHGMIKGLLRLRKLREATEVFREMIKKGCEPNMHTYIMLLQGHLGKRGRKGSDPLVNFDTIFVGGLVKAGKSLEATKYVERVIKRGLEVPRFDYNKFLHYYSNEEGVGMFEEVGKKLREVGLVDLADIFQRYGEKMATRDRRRNREVLGYSSASFYVAEGSFEEPMGRESLQKLWQKYKVDIAVYGYVHSYERTCPIFQNICTNKEKHHYHLAWLSVHHPVLFHWNYFGCWNVEVHWMLCT >ONI35225 pep chromosome:Prunus_persica_NCBIv2:G1:42893991:42895604:1 gene:PRUPE_1G523600 transcript:ONI35225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGAYTVNRLTTNHKPSLYILLLFSFPFNPSLSKSQTLLSLSHTLSLFLLLTSFTMAMARSAFVLFMTLALVASSALAQQQQQQQQQQQQNQNQNQAQAPTQGQAPSATPTSSTTQPPTSANAQSPTAKSPTATPPASTPPPAAKTPTATPAATPSASTQAPQASSPSSAPTTSSSAPTTSSSAPTTSSSAPTTSTSAPTTSTSAPSSDSPSGGSPPAPPTSSTPASSPATAPAGAATTPAEAPASPSGAVMNRVYAAGSVAAAVIATSFLV >ONI27892 pep chromosome:Prunus_persica_NCBIv2:G1:8761444:8768204:1 gene:PRUPE_1G109800 transcript:ONI27892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYSNRKSSSEQECEKAIVPKEEILDFELPSDAAFSGHFGNNAASSSGSNTRSDLIGMGFLPSLVDKVIEQKGEGDVELLLEALLSHSGSPKSDSGSSDSLDSLFDDKDESSPPKVSNIIQPKEEPDLADGLDEGKRASLLMMNFSPNEVEFAIDKLGEDAPINDLVDFIIAAQVAVKLENDIGDSTTHGDEEKDEDANDEKLYGTMEKTLRLLEMGFSENQVSWAIEKFGSRAPIGDLADSIVAYQISDDCYKENKYSAHSNHSRTGVGSRFFATGSYDSVKVENEEFHPHTVSQSRDCNATNNSLGKRPKQEYNDDDSNVVPTAVPQFRAFRHVAFEEKRKGKRPRQEYVDNSSSFLDQTWMEEKVDPIIGNLEMSKPFKSNPCKSVNRMMAKPPYFFYGNIVNLAYDSWAKISQFLYGLEPEYVNTQFFSALNRREGYVHNLPTENRFHILPEPPMTIEDAIPHTKKWWPSWDTRKQLTCICSETSGISQLCDRLGRILSDSRGLLSSEQQRDVLHQCRSLNLVWVGRYKLSPTEPEYLERILGYPLNHTQVAESSLTERLLSLKFCFQTDTLGYHLSALKSMYPGGLTVFSIFSGIGGAEVALHRLGISLKGVVSVETNATKRKILRRWWENTGQTGQLEQIEDVHKLTSTKLESLMKKFGGFDLIICQHPCSDSISKISPQSDSLPGFDFSLFYEFVRVLQRIRTMSERKR >ONI33652 pep chromosome:Prunus_persica_NCBIv2:G1:37304340:37308180:1 gene:PRUPE_1G438400 transcript:ONI33652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVGEWGFKGRAKWGCSYKKTTLIVCSINIVVALYVLRSLYASLYIYSDRDSRPTLEYTPDQIRKMEESVRIRKAAEPVELIRLVKALKKELSREVAVELPQSLKLKITNEIVERLKTLRPKANVTEQREFVERWCKEKLKEAKQLALETNASNSTILHEEAEMLVKALESNWTVLLEDMGLWIPAEIVNTEHHDKPEGEEEELDQILPGRPLPPECHAEVHTDYDGAAVRWGLNHHKDSAADCCQACLDQAKRAKPNEKRCNIWVYCPSEGGCHSPDIYEHKLGECWLKYAETPKRNFKDKYPESYRNNHPSAPLVVPWASGIVGA >ONI31517 pep chromosome:Prunus_persica_NCBIv2:G1:30572805:30576307:1 gene:PRUPE_1G317500 transcript:ONI31517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDKDTKEIFSSPIPLTFVNFYAQCMLSLRIVHFVFLLCMYGIRCLFSQKMPEIQLGSHTVRSHGVKVLRIHMHDWLILLVLAAIDLGLNLIEPFHRFVGEDMMTDLKYPLKDNTVPFWGVPIIAVLLPLAVILVYYFIRKDVYDLHHAILGLLFSIFITAVLTDAIKDGVGRPRPDFFWRCFPDGKGVFDPITKNVMCTGSKSVIKEGHKSFPSGHTSWSFAGLGFIAWYLSGKVRVFDRRGHVAKLCIVFLPLLLAAMVAVSRVDDYWHHWQDVFAGGLIGITIASFCYLQFFPPPYDTDGWGPHAYFQMLAESQNRDEALSPNANSLSVQQTELESIYIQSQHGVETSRGYSGDTNPILNGRESGRHLSVG >ONI26808 pep chromosome:Prunus_persica_NCBIv2:G1:3337864:3339534:-1 gene:PRUPE_1G047200 transcript:ONI26808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALVAASSFLTMFMRGIHHSSPHKVKHVCRTLYWKYMG >ONI26106 pep chromosome:Prunus_persica_NCBIv2:G1:401751:402949:-1 gene:PRUPE_1G004300 transcript:ONI26106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTQTIIRKKWVTLIGSSNINSNSNSNSSSKWSKHVPDDIMQPILQRLCIFDYVRCRSVCRSWRESIDRAIASRWCRPAPQLPWLLFRLSEFSFCLSKFSFRLSKFSFKLQHFIKLSLPNDDEHDDYVGSIEGWLMRVDWTGSIITLLNPISGGRVMLPRCKH >ONI31922 pep chromosome:Prunus_persica_NCBIv2:G1:31953441:31962400:-1 gene:PRUPE_1G339400 transcript:ONI31922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKSPTEDDYLGIYSIMFWTLSLIGVVKYASIALKADDQGEGGTFALYSSLCRNMNIGMLTSRSANSSSSLSRSLLDEGTEKQSRLAKFFRKSVVARRVLLFIAMLGTCMVIGDGILTPAISVLSAMDGIRAPFPSLSSSVVEALSAVVLIILFLLQKFGTSRVSFLFSPIMGAWTLCTPLVGIYSIIHHYPSVFKAISPHYIFRFFWRNGREGWLLLGGTVLCITGSEALFADLGHFNRSSIQIAFLFTIYPSLVLTYAGQTAYLIRNPNDHDDGFFKFIPKTIYWPIFIIATLAATVASQSLISATFSVIKQSVVLDYFPRVKVVHTSASKEGEVYSPEVNYILMILCVVVILIFGDGKDIGNAFGVVVSLVMLITTILLTLVMIIIWRTPPMLVALYFCVFFVMEGVYVSAVFTKIPEGGWIPFAISFILAFIMFGWFYGRQRKLEYELTHKITLDRLGVLLSDPSVQRVPGLCFFYTNIQDGLTPTLGHYIKNMKSLHQVTMFTTLRYLLVPKVAPHERIVVKKLGLKGVYGCVIQYGYADPLNLEGDDFVSQVTNSLQAHIQNCSGLPSNPSEIQEEISDLEEARRAGVVHVRGKTRFYIGHNCGWFDRIMLAFYEVLHSNCRSALPALGVPPPQRIEVGMLYEA >ONI31921 pep chromosome:Prunus_persica_NCBIv2:G1:31953441:31962950:-1 gene:PRUPE_1G339400 transcript:ONI31921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPTQPDSSPVTEHEAFVVVGSATNGRLNHSRTVNGSIISPDADNNNKGKGRWETLILAYKTLGVVFGGLVTSPLYVYPSMHLKSPTEDDYLGIYSIMFWTLSLIGVVKYASIALKADDQGEGGTFALYSSLCRNMNIGMLTSRSANSSSSLSRSLLDEGTEKQSRLAKFFRKSVVARRVLLFIAMLGTCMVIGDGILTPAISVLSAMDGIRAPFPSLSSSVVEALSAVVLIILFLLQKFGTSRVSFLFSPIMGAWTLCTPLVGIYSIIHHYPSVFKAISPHYIFRFFWRNGREGWLLLGGTVLCITGSEALFADLGHFNRSSIQIAFLFTIYPSLVLTYAGQTAYLIRNPNDHDDGFFKFIPKTIYWPIFIIATLAATVASQSLISATFSVIKQSVVLDYFPRVKVVHTSASKEGEVYSPEVNYILMILCVVVILIFGDGKDIGNAFGVVVSLVMLITTILLTLVMIIIWRTPPMLVALYFCVFFVMEGVYVSAVFTKIPEGGWIPFAISFILAFIMFGWFYGRQRKLEYELTHKITLDRLGVLLSDPSVQRVPGLCFFYTNIQDGLTPTLGHYIKNMKSLHQVTMFTTLRYLLVPKVAPHERIVVKKLGLKGVYGCVIQYGYADPLNLEGDDFVSQVTNSLQAHIQNCSGLPSNPSEIQEEISDLEEARRAGVVHVRGKTRFYIGHNCGWFDRIMLAFYEVLHSNCRSALPALGVPPPQRIEVGMLYEA >ONI34225 pep chromosome:Prunus_persica_NCBIv2:G1:39098799:39101826:1 gene:PRUPE_1G469200 transcript:ONI34225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTFRLLILWTLFALPIIININITQARIQPPGIPATFCVSSRGNYTTNSTYQQNLNDLLSSLLSNSNGYGFYNSSRGQNSEIVYAIGLCRGDAKSDVCGKCLSDAAYVLPEACPNQKEAIGFYRRCMLRYSNRSMFGLVEVNPAFSVRKVKNVSSTNLDAFNREVSALLNGLTREAAGRGDILKFAVGNANVSANSNVTIYGLAQCTPELSEIECTNCFNVSLGAIRTCCSGSMGARVSTPSCTIRYESHPFFHSTTQIPWPLATPASASPPPPPANHTVPRGKKSNTSRTVIITVVVLVVSLFLITSICIYLRVKKRRETLEGDEIRSAEALQFDFNSIRIATNNFCEGNKLGRGGFGAVYRGRLLNEEDIAVKRLSRDSAQGDIEFRNEVELVAKLQHRNLVRLLGFCLEGNERLLVYEFVHNASLDQFIFDPIKRAQLDWDRRYKIIVGIGRGLVYLHEDSRLRVIHRDLKAGNILLDAEMNPKIADFGMARLFVLDQTEGETNRIVGTYGYMAPEYAMHGQFSVKSDVYSFGVLLLEIISGQKNSALCHGGDVNLLNCVWKSWKEGEKTSNLLDPTLKTGLTTEIMRCIHIGLLCVQPNIIERPTMASVVLMLTSNSPTLPVPSQPFSLIQPETPTKVIRSNPSQNNSVQKSVNKASFTELFPR >ONI26742 pep chromosome:Prunus_persica_NCBIv2:G1:3066730:3068193:1 gene:PRUPE_1G042800 transcript:ONI26742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDHGHRPQQWSHGLCSCGEDKSTCFITWCLPCITFGQIAEIVDEGQHSCLYHGIVYGFLMTISCHWVYSCVYRKKLRKKFGLPEEPCTDCGVHYCCEAFALCQEHAELKSRGFNPSKGWNGPPTAAPQVPPSMTK >ONI26786 pep chromosome:Prunus_persica_NCBIv2:G1:3260912:3270754:1 gene:PRUPE_1G045800 transcript:ONI26786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAVIGAGISGLVSAYVLAKEGAEVVLFEKDDYLGGHARTVTFDGVDLDLGFMVFNRVTYPNMMELFERLGVDMETSDMSFSASLDKGQGCEWGSRNGLSSLFAQKRNLFNPYFWQMLREITKFKHDAINYLEELENNPDIDRNETLGQFIKSRGYSELFQKAYLVPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLQLFGRPQWLTVRWRSHCYVKKVRQVLESKGCQIRTSSEVHRVSTTDEGCSVLSGDGLEEIYDRCVMAVHAPDAVRILGDQATSDELRVLGAFQYVYSDIFLHRDKTLMPQNPAAWSAWNFLGSNGNKVCLTYWLNVLQNIDEKGLPFLVTLNPDHTPEHTLLKWSTSHPVPSVAASKASVELHRIQGKRGIWFCGAYQGYGFHEDGLKAGMAAAHGMLGKGCSLLSNPKHMVPSLTETGARLFVTRFLRHYISTGCLILLEEGGTIFNFEGTRKGCSLKCVLRVHTPQFYWKVMTQADLGLADAYINRDFSFIDKDKGLLNLFMILIANRDSNSSDSKLNKKRGWWTPLLFTASIASAKYFFQHVSRQNTLTQARRNISRHYDLSNDLFSLFLDETMTYSSAVFKTEDEDLKTAQLRKISLFIEKSRIEKNHEVLEIGCGWGSLAIEVVKQTGCKYTGITLSEEQLKYAQKKVKDAGLQDRIRFLLCDYRQLPNYKYDRIISCEMLESVGHEFMDEFFACCESVLADNGLLVLQFISIPDERYDEYRRSSDFIKEYIFPGGCLPSLSRVTSAMAASSRLCVEHLENIGIHYYQTLRCWRKNFLERHSEILALGFNENFIRTWEYYFDYCAAGFKTYTLGNYQIVFSRPGNTPAFADPYKGFPSASAC >ONI35270 pep chromosome:Prunus_persica_NCBIv2:G1:43114086:43116185:1 gene:PRUPE_1G526700 transcript:ONI35270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISQFRWSAAVHIERGRFPHDVVPVNNNCLEPSPFKFSHHATQIQCTFHSSHTSGTEKKKKKKKKLIDPLAYSSSYYYYRKTPEKLRNYMGSQGSEEGGLLLKKPRFLCLHGFRTSGEIMKKQVGKWPESVLQKLDLVYLDGPFPALGKSDVEGIFDPPYYEWFQFNKEFSEYTNFDKCLEYIEDYIIKQGPFDGLVGFSQGAILSAALPGLQTKGVALTKVPKIKFLIIIGGAKFKSPAVADDAYASPIQCPSLHFLGETDFLKPYGLELLEHCVEPTIIHHPKGHTVPRLDEKGLETMMSFIDKIQKALTEKDQQ >ONI34200 pep chromosome:Prunus_persica_NCBIv2:G1:38984871:38987282:-1 gene:PRUPE_1G468300 transcript:ONI34200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLQAHTDKQFSTILCDDQVSGLEFETKDGQWNKLSLSPSSFIFFVGDPLMAWSNGRMHPVKHRVMMSGEKDRYSLGAFAVPVEGTIIKTPKELVDEEYPQILKEFDHMDFTKLSYSEEGRAIDSARQVFVFAGICTLRTTSWIF >ONI32375 pep chromosome:Prunus_persica_NCBIv2:G1:33469681:33469788:1 gene:PRUPE_1G364100 transcript:ONI32375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWSKAPDSSSGLRERAWVQIPLLTYFLTIFIFD >ONI35005 pep chromosome:Prunus_persica_NCBIv2:G1:42002988:42003790:1 gene:PRUPE_1G509800 transcript:ONI35005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVGYGFAEAYVLRGLHKKKLKMEQEEERVKLGRAESEIGQPSGCLFRVMKKVHPSNAQSQRACSAETEETAEVRALNQKVR >ONI31199 pep chromosome:Prunus_persica_NCBIv2:G1:29402963:29404528:1 gene:PRUPE_1G298100 transcript:ONI31199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLHALTVLIILISIAVNAAAVAVQDQSEQCGAKSKGACHDKAQALKLKLIAIASILVFSLIGVCLPLFSRSVPALQPDKDLFSIVKALASGVILATGYMHVLPDSFECLTSVCLPEKPWKKFPFTTFVAMLSAVLTLMVDSFSMSHYRKAFAAGTRSGDEENDKNVTPQLEHVGHGHGHGHVQDTKIEGGLNGKDSQLLRYRVVAQVLELGIVVHSVVIGLSMGASDNPCTIRPLIAALCFHQLFEGMGLGGCILQAEYGLKIKSVMVFFFSVTTPFGIALGIGLSNVYSEDSPTALIVVGLLNAASAGLLNYMALVDLLAADFMGPKLQAKPKLQMWSYLAVFLGLGGMSVMALWA >ONI29064 pep chromosome:Prunus_persica_NCBIv2:G1:15341754:15348509:-1 gene:PRUPE_1G178600 transcript:ONI29064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPFPPQSSFGPPPNQNPLTPTPPPPPPQRGNQYNQNWGYNYNHSNYGPPRTQHPHPQPQYNYPPLPPPPESSYPPPPPPQAPPVAQNKMPLQPPRAPMYYQNSQYSHYSHQPIQPLQQPPPPPPPPFSPSSSAPPPPPPPGSPPPPPPQSKDGGVDRGSHEKVASREVSVSGRGEHGHLNHGVPQKQHKPPVPSMQVKKANGPPGRVETEEERRLRKKREFEKQRQEEKHRQQLKDSQNSVLQKTQMLSSGKGHGSIAGSRMGERRATPFLSGERTENRLKKPTTFVCKLKFRNELPDPSAQPKLMSLKKDKDQYTKYTITSLEKTYKPKLFVEPDLGIPLDLLDLSVYNPPSVRPPLALEDEELLRDDVAATPVKKNGIKRKERPTDKGVAWLVKTQYISPLSMDSARQSLTEKQAKELREMKGGRNILDNLNDRERQIKEIEASFEACKSRPVHATNKDLYPVEVLPLLPDFERYEDQFVLAAFDGAPTADSEIYSKLDQSGHDAYESRAIMKSYKVTGADPANPEKFLAYMVPSPNELSKDPYDESEDVSYSWVREYHYDVRGDDVHDPTTYLVSFDEEEARYAPLPTKLVLRKKRSKEGKTSDEVEHFPAPSRVTVRQRSTVAAIELKDSGDYSRGSVSNLKTRRFDIEDTLERPRKITGGN >ONI29063 pep chromosome:Prunus_persica_NCBIv2:G1:15341743:15348509:-1 gene:PRUPE_1G178600 transcript:ONI29063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPFPPQSSFGPPPNQNPLTPTPPPPPPQRGNQYNQNWGYNYNHSNYGPPRTQHPHPQPQYNYPPLPPPPESSYPPPPPPQAPPVAQNKMPLQPPRAPMYYQNSQYSHYSHQPIQPLQQPPPPPPPPFSPSSSAPPPPPPPGSPPPPPPQSKDGGVDRGSHEKVASREVSVSGRGEHGHLNHGVPQKQHKPPVPSMQVKKANGPPGRVETEEERRLRKKREFEKQRQEEKHRQQLKDSQNSVLQKTQMLSSGKGHGSIAGSRMGERRATPFLSGERTENRLKKPTTFVCKLKFRNELPDPSAQPKLMSLKKDKDQYTKYTITSLEKTYKPKLFVEPDLGIPLDLLDLSVYNPPSVRPPLALEDEELLRDDVAATPVKKNGIKRKERPTDKGVAWLVKTQYISPLSMDSARQSLTEKQAKELREMKGGRNILDNLNDRERQIKEIEASFEACKSRPVHATNKDLYPVEVLPLLPDFERYEDQFVLAAFDGAPTADSEIYSKLDQSGHDAYESRAIMKSYKVTGADPANPEKFLAYMVPSPNELSKDPYDESEDVSYSWVREYHYDVRGDDVHDPTTYLVSFDEEEARYAPLPTKLVLRKKRSKEGKTSDEVEHFPAPSRVTVRQRSTVAAIELKDSGDYSRGSVSNLKTRRFDIEDTLERPRKITCRLVATNLGLFPNCDRMLL >ONI29066 pep chromosome:Prunus_persica_NCBIv2:G1:15342373:15347841:-1 gene:PRUPE_1G178600 transcript:ONI29066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPFPPQSSFGPPPNQNPLTPTPPPPPPQRGNQYNQNWGYNYNHSNYGPPRTQHPHPQPQYNYPPLPPPPESSYPPPPPPQAPPVAQNKMPLQPPRAPMYYQNSQYSHYSHQPIQPLQQPPPPPPPPFSPSSSAPPPPPPPGSPPPPPPQSKDGGVDRGSHEKVASREVSVSGRGEHGHLNHGVPQKQHKPPVPSMQVKKANGPPGRVETEEERRLRKKREFEKQRQEEKHRQQLKDSQNSVLQKTQMLSSGKGHGSIAGSRMGERRATPFLSGERTENRLKKPTTFVCKLKFRNELPDPSAQPKLMSLKKDKDQYTKYTITSLEKTYKPKLFVEPDLGIPLDLLDLSVYNPPSVRPPLALEDEELLRDDVAATPVKKNGIKRKERPTDKGVAWLVKTQYISPLSMDSARQSLTEKQAKELREMKGGRNILDNLNDRERQIKEIEASFEACKSRPVHATNKDLYPVEVLPLLPDFERYEDQFVLAAFDGAPTADSEIYSKLDQSGHDAYESRAIMKSYKVTGADPANPEKFLAYMVPSPNELSKDPYDESEDVSYSWVREYHYDVRGDDVHDPTTYLVSFDEEEARYAPLPTKLVLRKKRSKEGKTSDEVEHFPAPSRVTVRQRSTVAAIELKDSGDYSRGSVSNLKTRRFDIEDTLERPRKIARHQDIDEYSGAEDDLSD >ONI29065 pep chromosome:Prunus_persica_NCBIv2:G1:15342373:15347841:-1 gene:PRUPE_1G178600 transcript:ONI29065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPFPPQSSFGPPPNQNPLTPTPPPPPPQRGNQYNQNWGYNYNHSNYGPPRTQHPHPQPQYNYPPLPPPPESSYPPPPPPQAPPVAQNKMPLQPPRAPMYYQNSQYSHYSHQPIQPLQQPPPPPPPPFSPSSSAPPPPPPPGSPPPPPPQSKDGGVDRGSHEKVASREVSVSGRGEHGHLNHGVPQKQHKPPVPSMQVKKANGPPGRVETEEERRLRKKREFEKQRQEEKHRQQLKDSQNSVLQKTQMLSSGKGHGSIAGSRMGERRATPFLSGERTENRLKKPTTFVCKLKFRNELPDPSAQPKLMSLKKDKDQYTKYTITSLEKTYKPKLFVEPDLGIPLDLLDLSVYNPPSVRPPLALEDEELLRDDVAATPVKKNGIKRKERPTDKGVAWLVKTQYISPLSMDSARQSLTEKQAKELREMKGGRNILDNLNDRERQIKEIEASFEACKSRPVHATNKDLYPVEVLPLLPDFERYEDQFVLAAFDGAPTADSEIYSKLDQSGHDAYESRAIMKSYKVTGADPANPEKFLAYMVPSPNELSKDPYDESEDVSYSWVREYHYDVRGDDVHDPTTYLVSFDEEEARYAPLPTKLVLRKKRSKEGKTSDEVEHFPAPSRVTVRQRSTVAAIELKDSGDYSRGSVSNLKTRRFDIEDTLERPRKIARHQDIDEYSGAEDDLSD >ONI34006 pep chromosome:Prunus_persica_NCBIv2:G1:38396703:38399728:1 gene:PRUPE_1G458500 transcript:ONI34006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEGTPYAPKNILITGAAGFIGSHVTNRLIKNYPSYKIVALDKLDYCSSFKNLRPSRASPNFKFVKGDIACADLVNHLLIADEIDTIMHFAAQTHVDNSFGNSFEFTNNNVYGTHVLLEACKVTQQIKRFIHVSTDEVYGETDMETDIGNPEASQLLPTNPYSATKAGAEMLVMAYHRSYGLPTITTRSNNVYGPHQYPEKLIPKFSLLAMKGEKLPIHGNGSNVRSYLYCDDVAEAFDVILHKGVIGHVYNIGTKKERSVINVAEDVCKMFGLNPKEAISFVHDRPFNDHRYFLDDQKLKKLGWEVKTSWEEGLKLTTQWYTKNPTWWGDVSAALHPHPSFSVISRSNDDAWFFEYGYTRLSRTCSENSNSPALKFLIYGRTGWIGGLLGKLCKDEGIEFEYGKGRLEDRKSLLEDITRAQPTHVFNAAGITGRPNVDWCESHKTQTIRTNVVGTLNLADVCKDQGLLMMNFATGCIFEYDKEHPLGSGIGFKEEDKANFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTVLDELLPISIDMARRDCRGIWNFTNPGVISHNEILEMYRDYIDPTFKWQNFGLEEQAKVIVAPRSNNDLDASKLKTEFPELLSIKDSIIKYVFKPNKKA >ONI27154 pep chromosome:Prunus_persica_NCBIv2:G1:5024119:5028022:1 gene:PRUPE_1G071000 transcript:ONI27154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQFAPSEGETALSVVGPRPMELSTHSARPAPGPNGKQRTSSLESPIMLLTGHQSAIYTMKFNPAGTVVASGSHDKEIFLWNVHGECKNFMVLKGHKNAVLDLHWTTDGSQIISASPDKTVGAWDVETGKRIKKMAEHSSFVNSCHPARRGPPLIVSGSDDGTAKLWDMRLRGAIQTFPDKYQITAVSFSDASDKIFTGGIDNDIKVWDLRKAEVMMTLQGHQDMITGMSLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKIMEGHQHNFEKNLLKCGWSPDGSKVTAGSSDRMVYVWDTTSRRILYMLPGHTGSVNESVFHPNEPIIGSCGSDKQIYLGEI >ONI28643 pep chromosome:Prunus_persica_NCBIv2:G1:12053796:12057897:-1 gene:PRUPE_1G152800 transcript:ONI28643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIKFTSGASLEADAENVLRAITPTLDPNRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAAVIKSYSPELIVHPVLEESYGVRDDEKRIISGKVLAEVAKWMERFDCLVIGPGLGRDPFLLDCVSKIIKLARQSNVPIVIDGDGLFLVTNSLDLISGYPLAVLTPNVNEYKRLVQKVLSCEVNDEEAHEQLLSLAKRIGGVTMLRKGKSDFISDGETVKSVSIYGSPRRCGGQGDILSGSVAVFLSWARHAIRDGDSSISSKNPAMLGSIAGSALMRKAASLAFDNKKRSTLTTDIIEYLGRSLEDICPVR >ONI28642 pep chromosome:Prunus_persica_NCBIv2:G1:12053765:12057897:-1 gene:PRUPE_1G152800 transcript:ONI28642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIKFTSGASLEADAENVLRAITPTLDPNRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAAVIKSYSPELIVHPVLEESYGVRDDEKRIISGKVLAEVAKWMERFDCLVIGPGLGRDPFLLDCVSKIIKLARQSNVPIVIDGDGLFLVTNSLDLISGYPLAVLTPNVNEYKRLVQKVLSCEVNDEEAHEQLLSLAKRIGGVTMLRKGKSDFISDGETVKSVSIYGSPRRCGGQGDILSGSVAVFLSWARHAIRDGDSSISSKNPAMLGSIAGSALMRKAASLAFDNKKRSTLTTDIIEYLGRSLEDICPVR >ONI28639 pep chromosome:Prunus_persica_NCBIv2:G1:12053838:12057897:-1 gene:PRUPE_1G152800 transcript:ONI28639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKHGINSGFGAIYMLASSPVLRRQQFLIRCLGDYSDQNTNTHQKRMQGIKFTSGASLEADAENVLRAITPTLDPNRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAAVIKSYSPELIVHPVLEESYGVRDDEKRIISGKVLAEVAKWMERFDCLVIGPGLGRDPFLLDCVSKIIKLARQSNVPIVIDGDGLFLVTNSLDLISGYPLAVLTPNVNEYKRLVQKVLSCEVNDEEAHEQLLSLAKRIGGVTMLRKGKSDFISDVKSVSIYGSPRRCGGQGDILSGSVAVFLSWARHAIRDGDSSISSKNPAMLGSIAGSALMRKAASLAFDNKKRSTLTTDIIEYLGRSLEDICPVR >ONI28644 pep chromosome:Prunus_persica_NCBIv2:G1:12055672:12057897:-1 gene:PRUPE_1G152800 transcript:ONI28644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKHGINSGFGAIYMLASSPVLRRQQFLIRCLGDYSDQNTNTHQKRMQGIKFTSGASLEADAENVLRAITPTLDPNRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAAVIKSYSPELIVHPVLEESYGVRDDEKRIISGKVLAEVAKWMERFDCLVIGPGLGRDPFLLDCVSKIIKLARQSNVPIVIDGDGLFLVTNSLDLISGYPLAVLTPNVNEYKRLVQKVLSCEVNDEEAHEQLLSLAKSICTGSKG >ONI28641 pep chromosome:Prunus_persica_NCBIv2:G1:12053156:12057897:-1 gene:PRUPE_1G152800 transcript:ONI28641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKHGINSGFGAIYMLASSPVLRRQQFLIRCLGDYSDQNTNTHQKRMQGIKFTSGASLEADAENVLRAITPTLDPNRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAAVIKSYSPELIVHPVLEESYGVRDDEKRIISGKVLAEVAKWMERFDCLVIGPGLGRDPFLLDCVSKIIKLARQSNVPIVIDGDGLFLVTNSLDLISGYPLAVLTPNVNEYKRLVQKVLSCEVNDEEAHEQLLSLAKRIGGVTMLRKGKSDFISDGETVKSVSIYGSPRRCGGQGDILSGSVAVFLSWARHAIRDGDSSISSKNPAMLGSIAGSALMRKAASLAFDNKKRSTLTTDIIEYLGRSLEDICPVR >ONI28640 pep chromosome:Prunus_persica_NCBIv2:G1:12052878:12057897:-1 gene:PRUPE_1G152800 transcript:ONI28640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKHGINSGFGAIYMLASSPVLRRQQFLIRCLGDYSDQNTNTHQKRMQGIKFTSGASLEADAENVLRAITPTLDPNRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAAVIKSYSPELIVHPVLEESYGVRDDEKRIISGKVLAEVAKWMERFDCLVIGPGLGRDPFLLDCVSKIIKLARQSNVPIVIDGDGLFLVTNSLDLISGYPLAVLTPNVNEYKRLVQKVLSCEVNDEEAHEQLLSLAKRIGGVTMLRKGKSDFISDGETVKSVSIYGSPRRCGGQGDILSGSVAVFLSWARHAIRDGDSSISSKNPAMLGSIAGSALMRKAASLAFDNKKRSTLTTDIIEYLGRSLEDICPVR >ONI34087 pep chromosome:Prunus_persica_NCBIv2:G1:38606342:38607041:-1 gene:PRUPE_1G461600 transcript:ONI34087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVIRLVENPQAETSSRKKALVHLPSGQVVSSYSTLEQILTGLGWERYYGGDPDLFQFHKRSSIDLISLPRDFSKFNSVYMYDIVIKNPNIFHVRDM >ONI31845 pep chromosome:Prunus_persica_NCBIv2:G1:31608164:31610787:1 gene:PRUPE_1G334300 transcript:ONI31845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQEIALTEMRKSVQKLGTSTEKYGDPTLMRFLIARSMDPDKAAKMFVQWHKWRASFVPNGFISDSEVSDALEDRKIFLQGLSKDGYPLMIVKASKHFPSKDHLQFKKFVVHLLDKTIASSFRGREIGNEKLIGILDLQQISYKNVDARGLITGFQFLQSYYPERLAKCFILNMPWFFVSVWRMVSRFLEKATAEKIVIVSNEDETKNFIKEVGEETLPEEYGGRAKLVPLQDVVLAPMED >ONI27952 pep chromosome:Prunus_persica_NCBIv2:G1:9011095:9013089:-1 gene:PRUPE_1G113200 transcript:ONI27952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKEGRSLLLKVHKPSRPFVLNTNPILNSNPKPQMEPSQAFLKEYDVLQRLRHEHHIASALEYFRSISNSRAFKHTPLTYEAMIVKLGSQCEMDGVQYLLNQMKLEGLGCSEELFISVINSYRRAGLAEQALKMFYRIREFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKKDGMEPNVYTYNILLKALCKNDRADGAHKLLVEMSKKGCSPDAVSYTTVVSALCRVGKVEEARELAVRFDPIVPVYNAVINGVCKECKIEEALELLVEMVDKGIDPNVITYSTIINSLSDMRNVESALAVLAQMFVRGCSPNIHTFTSLIKGYFLEGRVHEALGLWKRIIREGFVPNIIAYTSLIHGLCSNGKIGDAVSVLHEMERNGCPPNATTYSTLIDGFAKAGNLVGASETWNNMMNHGCRPNVIAYTCMVDVLCRNFMFHQAQCLVENMTAEGCPPNTVTFNTFIKGLCGDGKVDWAVKMLDKMEKHGCFPNITTYNELLDGLFKVNRFEEAFGLVKEIQERGMELNLVTYNTILNGFCQAGMTKDGMQLFGKMLVGGTKPDAITYNIIIYAYCKQGRISTATQIFNSIGAAKEWQPDVIAYTSLLSGICNSIGLDEAMVYLHKMIREGICPSIGTWNVLVRCFFSTLGQLEPIYILDDILRNA >ONI30234 pep chromosome:Prunus_persica_NCBIv2:G1:25365176:25367126:1 gene:PRUPE_1G239100 transcript:ONI30234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQELFTVVATTIGFISLCKSSINFLRWVWVMFLRPQKNLKEYGSWALITGAADGIGRALAFEMAAKGLHLVLLDRNVSKLEATANEIHERFGERVEIKKIVMDLAKLSGEEIAKAIEEEIKGLDVGVLVNNAGVAYSYGKFFHEVDDLELMDSIKVNMEAATWITWVVLPSMLKKKKGAIVNIGSGSGSSALPSFPLYTNYAASKAYLSMFSTSISLEYKQHGIDIQCQIPMFVSTRLSRTKAYPLFVPTPETYSKASIRWIGYEHQCSPYWGHSVQCLLTHPLPDVVLSAFIFWYSQRIRKRGQLKNLHKKNMAP >ONI28956 pep chromosome:Prunus_persica_NCBIv2:G1:14280830:14282295:1 gene:PRUPE_1G171600 transcript:ONI28956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSESKSGIPSTMAEVAKKYAVVTGSNQGIGFGTVRKLASNGIMAVLTALDEKMGLEAIEKLKEHQLDVADAAGIAALADFAKIQFGKLDILVNNAGVSGTTVDPEAMRAAAAAGIGKDRVGVNWSEIMTQTYELAELSDSPRVVSLSSGMGSLRHIPNEWAKGMLSDAEKLTEERTDDVLNEFLKDFKEDILETNDPGFVKTDMNFNTGMLTIDEGAESVVRLAMVPNGSPYGLYFYLQQVSPF >ONI35752 pep chromosome:Prunus_persica_NCBIv2:G1:45163091:45164178:-1 gene:PRUPE_1G552800 transcript:ONI35752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRLSMSCNSLTPHPHPAVPISPQLTSTITGIEQKRPRAVAVFAAKSGGFSLNSFLKKCETCGGKGAIECLGCKGTGRNKKNGNIFERWKCFDCQGFGLKGCPTCGQGGLTPEQRGER >ONI35751 pep chromosome:Prunus_persica_NCBIv2:G1:45162867:45164323:-1 gene:PRUPE_1G552800 transcript:ONI35751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRLSMSCNSLTPHPHPAVPISPQLTSTITGIEQKRPRAVAVFAAKSGGFSLNSGTGRNKKNGNIFERWKCFDCQGFGLKGCPTCGQGGLTPEQRGER >ONI33502 pep chromosome:Prunus_persica_NCBIv2:G1:36815180:36815827:1 gene:PRUPE_1G428800 transcript:ONI33502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPFWNSLAMVIIFTFLVKLSISKITQKHKKNLPPSPPSLPIIGHIHLLKQPMHRTLQDLSQNLGKILRCGSRKVLLVSSVLAAEECLTKHDIIIFANLRRIMTLEIFSSSRLALFSIVRQGEVGLLLDEIMKSCTSRVELKSKFPDLSFNVMTMMVVGKRYHGENVADVEEAKNFHDVFKASVDLSGAGTAADFLPILRWVDISGLEKNYYKK >ONI33813 pep chromosome:Prunus_persica_NCBIv2:G1:37818331:37820281:1 gene:PRUPE_1G447300 transcript:ONI33813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPEYFQNSFCPQFTPEKRHSFDNNNNKATNGGGGGGDHFMVEDLLDFSNDDAVITDGGATFDNATGNSTDSSTITVIDSCNSSSLSGSEPNVIPDIGSRNITEGPFSSDLCVPYDDLAELEWLSNFVEESFSSEDMQKLQLISGMKARPDEAASETRQFQPEPNRNDNAHNTTTNNPIFNPDVSVPAKARSKRSRGAPCNWTSRLLLLSQPTSSSEQSDVVSGAPESPLPPPSTTGKKTVKSVPKKKESPEGLGGGPGDGRKCLHCATDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPASSPTFVLTKHSNSHRKVLELRRQKEMVRAQQQFIHQVPPQQHHHHHHHHHHQNMVFDVSNGGDYLIHQHMGPDFRQLI >ONI32662 pep chromosome:Prunus_persica_NCBIv2:G1:34230311:34234907:1 gene:PRUPE_1G378900 transcript:ONI32662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFYVLSQTETAMGVRTQVGAFAVALILFLIQSGFAQQGSLSSSVQRSALLDLRSSLGLRSKDWPIKADPCSSWTGVTCKNGNVIGITVSGLRRTRLGRRNPRFAVDSLANFTFLATFNASGFVLPGTIPDWFGQRLSALQMLDLRSASVIGPIPQSLGNLSKLNTLYLSGNDITGIIPSALGNIAGLVNLDLSRNSLTGSIPSFASLGNLTRLDLSSNFLSGQIPSGLGSLTRLQFLNLADNSLTDSIPVQFGNLSQLLELDLSNNSLSGSFPVELRGLRSLRKMEIGDNDLEGPLSEGLFSTLVQLQVLVLSRNKFDGALPGALWSLPSLRFLDVSSNDFTGTLPSLGPNASVSGAVFNLSYNLLYGNLTFPLWKFGSIDLSTNYLQGKVLEDSQSNGTLARNCLQMVPNQRSLQDCRQFYERKRLTFDDFGALEPTQPPFLQPDSNRKRLIFILVGIFGGLGFIGILVLVLVVLLKMCNKSTNQRESANVGPVPDGDGPSIPKDLIYVSGRGDSFTYEQILQFTRDFSEANLLEHGHSGDIYLGSLVSGTPVVIKRVDLHCVKKESYVLEMDLFNKVSHTRLVPLLGYCLEHESEKFLVYKYMPNRDLASSLHRVTDSEDGNLKSLDWITRLKIAIGAAEVLAYLHHECSPPLVHRDVQASSILLDDKFEVRLGSLSEVRVQGDANQNVITRLLRKQQTSEQTPSASSSVACTYDVYCFGKVLLELVTGKLGISKSDDASTREWLDHTVRYISIYDKELVNKILDPSLIVDDDLLEEVWAMAIVARSCLNPKASKRPLMRYILKALENPLKVVREDSSSSARLRTTSSRRSWSAAFFGSWRHSSSESATVPGHANRESISSVKQPGLVGGNESSASRKRLSNEIFPEPIEMQDLERQEEH >ONI36106 pep chromosome:Prunus_persica_NCBIv2:G1:46447021:46448373:-1 gene:PRUPE_1G569700 transcript:ONI36106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METALLRSFSFIIPESPISLTHFLAATILIIVVLCFTFRSKSTYLIDFTCYRPPESLRIAKESYIEHIEMSKLYDKETYSFQVKVLERSGIGDESCVPIALHELPPHSSLHAARKETEMVLFSVVKDLLSKHKINPKSIDILVSNCSLFCPTPSITSMVINKFGFRSNIKSVNLSGMGCSAGILSISLAKDLLKVHQNSLALVLSMEAMTPNGYMGTKKSMLLPNLLFRMGGAAILLSSRKRDKRIAKYELQHIVRTHIGSDDEAYQSVFQQLDEAGHVGVSLSRALIPVATKALRTNISKLGPLVLPYSEQLRYVWSIIHKKVLLLPSQKKIYAPNFNRALQHFCIHAGGRAVIDGIVDSLRLDKEDGEASRMTLHRFGNTSSSSIWYELCYLEAKGRMNKGNQVWQIAFGSGFKCNSAIWKCISDIDPTERNAWSDRTYLYPIDEVPK >ONI29165 pep chromosome:Prunus_persica_NCBIv2:G1:16741372:16742135:1 gene:PRUPE_1G184600 transcript:ONI29165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLHFQISIINLTKNHLMTTICGLSTFLHWGFYSSNAGSKVTCQQWL >ONI28082 pep chromosome:Prunus_persica_NCBIv2:G1:9584704:9586081:-1 gene:PRUPE_1G122000 transcript:ONI28082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDKDQKILLYACRNCDHQEVADNNCVYRNEIHHAVGERTQILQDVAADPTLPRTKAVRCSECKHGEAVFFQVNNQSSICCIEFYC >ONI28081 pep chromosome:Prunus_persica_NCBIv2:G1:9583662:9586087:-1 gene:PRUPE_1G122000 transcript:ONI28081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDKDQKILLYACRNCDHQEVADNNCVYRNEIHHAVGERTQILQDVAADPTLPRTKAVRCSECKHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRD >ONI31416 pep chromosome:Prunus_persica_NCBIv2:G1:30247168:30250174:-1 gene:PRUPE_1G311800 transcript:ONI31416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLFLPPTPPLSLPSSSFKGNVRNLGQKLCCIPSLKVISTTERRRLVVVNKAASTGVGAPVTNVRFRLDNLGPQPGSRKKGKRKGRGIAAGQGNSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLKGIAGGMQVGLPKYVPVNLKDIEAAGFQEGEEVSLQTLKDRGLINPSGRERKLPLKILGDGELSVKLDIKARAFSAAAKEKLEAAGCNLTVLPGRKKWVKPSVAKNLARADEYFAKKRAAAAAAAESAPA >ONI31415 pep chromosome:Prunus_persica_NCBIv2:G1:30248006:30250174:-1 gene:PRUPE_1G311800 transcript:ONI31415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLFLPPTPPLSLPSSSFKGNVRNLGQKLCCIPSLKVISTTERRRLVVVNKAASTGVGAPVTNVRFRLDNLGPQPGSRKKGKRKGRGIAAGQGNSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLKGIAGGMQVGLPKYVPVNLKDIEAAGFQEGEEVSLQTLKDRGLINPSGRERKLPLKILGDGELSVKLDIKARAFSAAAKEKLEAAGCNLTVLPGRKKWVKPSVAKNLARADEYFAKKRAAAAAAAESAPA >ONI29208 pep chromosome:Prunus_persica_NCBIv2:G1:17090015:17092542:1 gene:PRUPE_1G187100 transcript:ONI29208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVTSSECLKLDSQLEVLDKDGGRKDLFSPVMVPSNCNTPIIDSKVEEPKTTILDEDLEIYFSLHWGPPSPTRIGSKDEEPKIEELMDEELETEEPMSEIGECKYCLKVDKHYMPLCPYRYHLPKNATLGSGVEVICKICGCLFRGSCCASCGLSEGQALLKDCSICGKQGEHWPSTCPSREGRHIPSAFTCDDYTGYFSINICP >ONI26630 pep chromosome:Prunus_persica_NCBIv2:G1:2517372:2519762:1 gene:PRUPE_1G036000 transcript:ONI26630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATNNTNYDRQSELKAFDDTKEGVKGLVDAGITEVPRIFHQPPDQYIINSNFDSEATQFSIPLIDLEGLEFDSPTKRNEIVAKVGEASETWGFFQISNHGIPVGVLEEMKDSVRGFFEQDTHVKKQFYNRDPLKPVGYNSNFDLYRAPATSWRDTFRCYMAPNPAKPEEMPEVFRDILIEYSKQVMKLGKLLFELLSEALGLKPSYLNDIDCSLGLLLGGHYYPSCPQPELTMGARKHADNVFLTVLLQDHIGGLQVLNQNKWIDVPPMPGALVVNIGDLLQVLSNDRFKSVEHRVLANRVGPRVSVPCFFTTGMLPLERDYGPIKELLSEDNPPKYREATAREYTAHFNDKGLDGTSALTYFKL >ONI36022 pep chromosome:Prunus_persica_NCBIv2:G1:46167741:46169836:-1 gene:PRUPE_1G565500 transcript:ONI36022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHGCPNGNANIWRFVLCLLIAIAGFAPNPSLAKKTKVDGLKLNVIDGCWRWNSDWRSNRQELALCSVGFSGKMSNNIGRDVIYYQVTDPSDNALDPKPGTLRYGVTMIKGKKWITFQRDMHIRLDKPLLISSFTAIDGRGANVHIAGNACLLVFQASNIIIHGLRIHHCRPQPPSSVMGPEGKIIPIGQVDGDAIRLVTASKVWIDHNTLYECQDGLLDVTRGSTHITISNNWFRDQDKVMLLGHDDGYFRDKNMRVTVVYNHFGPNCNQRMPRIRYGYAHVVNNLYREWSQYAIGGSMNPSVKSEANLFIAPKSGNKKEITWRKDSIGDKESWKFYSVGDIFENGASFVETGAGRAKPNYNREQTFPVVNAKSVRSLTRSSGALICIKRSRC >ONI33006 pep chromosome:Prunus_persica_NCBIv2:G1:35304225:35307526:1 gene:PRUPE_1G399300 transcript:ONI33006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVVSRLIHSRSSLPRLLNGRYLSTDSSKVDEPFKVEEAETVNIPPPPTEKLLVLGGNGFVGSHVCREALDRGLSVASLSRSGRSSLHDPWASNVTWHKGNLLSPESLKDALDGVTSVISCVGGFGSNSYMYKINGTANINAIRAASEQGVKRFVYVSAADFGVVNYLLRGYYEGKRAAETELLTKFPYGGVILRPGFIYGTRSVGSVKLPLGVIGSPLEMLFQHTRPLNQLPLVGPLFTPPVNVTAVANVAVRAATDPVFPPGIVDVYGIQRYNQKSK >ONI29881 pep chromosome:Prunus_persica_NCBIv2:G1:23402074:23403643:-1 gene:PRUPE_1G219300 transcript:ONI29881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVINCDKHVTPFKKFFVRIVNNLDNKRLDFDCRSEDSVIDRSLPASGDEFEFGFRVNFQFTTYFFCNLWSLDHLLSQVTCHNWPFAIPVSHKGFSCLGVRGSSWPS >ONI27417 pep chromosome:Prunus_persica_NCBIv2:G1:6308151:6309086:-1 gene:PRUPE_1G084800 transcript:ONI27417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPEVLTKTTTATSRPTNNKTMVTKSPSTTKTALRRYVGVRQRPSGRWVAEIKDSSQRVRLWLGTYDTPEEAARAYDEAARALRGENARTNFVSPGPATNPNSSPLVSSVSNGGFMSGSDGRHGGTGGLSFSSLKAKLSKNLQSIMARSSDHNKSSKSRVSDHFTFASIFHFRKYQYQNPINPSIDMKNIEKVVQPSIIVPHNGEGSTSTEPSSWESSSISDCSAEWAHGFRQAGVDSEGSEIGEGNIMDQLMGWIDSPDNQMGSRISVGDDDSEEGSRSKRFKVSSSVMVPPTFSTGSPFNNINGETSN >ONI33353 pep chromosome:Prunus_persica_NCBIv2:G1:36290812:36293283:-1 gene:PRUPE_1G418700 transcript:ONI33353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLGQAPSGALSARRCSLSSPPKFTNPNPPLFSNRKPRFASKANPSKSLNITLAKADGGIDSTSAATKQAFSSSPAPPPPPSLDNDQPVVVGQENIPLEGVIQFEKPSSSSRLRKWGRVALLAGGDVLALLTFAAIGRFSHGFSVFEFETLRTADPFIAGWFLSAYFLGGYGKDGRGVNGQSKALTATAKSWALGIPLGIIIRASTAGHLPPYKFILVTMGSTAVLLLGWRALLYKFLPGDKSKKSDVYRRGSPFELFELLTSLVRRW >ONI28601 pep chromosome:Prunus_persica_NCBIv2:G1:11803744:11809298:-1 gene:PRUPE_1G150600 transcript:ONI28601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPINAIMVTRMLTRNSGLGSEVGSIPFGSVWWFVYAGLSCFFVLFAGIMSGLTLGLMSLGLVDLEILQRSGSPTEKKQAALILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNQYVAIILSVTFVLFFGEVIPQAICTRYGLAVGSNFVCLVRVLMVLCYPIAYPIGKILDCVLGHNEALFRRAQLKALVSIHSQEEGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKVLARGHSRVPVYSGNSRNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKSKGKSKALPPIDAEKEDNRVSDTDSQLTTPLLSKQDGKPDSVIVDIPRVPRSPHSNRETFASHGDGATNGFPQLSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRITAHKGPILIQRSSLQKIT >ONI28600 pep chromosome:Prunus_persica_NCBIv2:G1:11802911:11809298:-1 gene:PRUPE_1G150600 transcript:ONI28600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPINAIMVTRMLTRNSGLGSEVGSIPFGSVWWFVYAGLSCFFVLFAGIMSGLTLGLMSLGLVDLEILQRSGSPTEKKQAALILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNQYVAIILSVTFVLFFGEVIPQAICTRYGLAVGSNFVCLVRVLMVLCYPIAYPIGKILDCVLGHNEALFRRAQLKALVSIHSQEEGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKVLARGHSRVPVYSGNSRNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKSKGKSKALPPIDAEKEDNRVSDTDSQLTTPLLSKQDGKPDSVIVDIPRVPRSPHSNRETFASHGDGATNGFPQLSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRITAHKGPAKVSKD >ONI30433 pep chromosome:Prunus_persica_NCBIv2:G1:26269435:26275078:1 gene:PRUPE_1G251100 transcript:ONI30433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIARLLSSVRFKTTFAPNFNVAITSSTSSTSSLHFDSYCEPSAQDHVYFNNRKIDSLIKSGDLNSALNWFDEMLVYDVVTYNLLISGHGRYGFPEQAFHLYTEMVSQGIRESASTFSSVLGICVDAGFCREGIQAHCRVVSLGFSLNLYVGSSLVDLYLHMGLDNVALKLFDELPDRNLAIWNLLLRGFCELGRVDGLYGRYSRMKLDGVEPNGLTYCYLIRACSNRRLLDEGKQLHCYVVKDGWVESNVFVANALVDLYSSCGSLIDATEAFKAIPTEDVISWNSIVCIYTENGLLLDALELFAKMQCWEKRPSVRSFVGFLNLASRTGYIELGKQMHSYVVKSGFDRGGNVHIQSALIDMYGKCSEIESSVSIYESVHEKTLECCNSLMSSLLHCGVIEDVVEMFGLMVDEGIGLDEVTLSTTLKALSASAMASLGSCKLVHCSAIKSGFESDIVVSCSLIDAYARCGHVKLSRQVFEELPSPNAVCFTSIIHGYARNGMGSEGLHLLQAMIRKGLKPDKVTILGVLSGCNHSGLVEEARVLFDSMKNLYGISPDRKHFSCMVDLLGRAGLLDEAEELLQQAPGNGHCVMWSSLLRSCRVHKNELVGRRTVKTLLELDVEDPDIWLQASNFYSEIGEFDIAMQMREIETARKVKWEMGHSLVELNTHLYGVAGNMVYANIGILNELESFDIEEDNEVNVFDIPSWTNERGCKVLVNVDSFGAVGDGVSDDTQAFQKAWDIACSTTQSVFLVPLGRQYLVNATKFQGPCKDNLVIQIDGTIVAPDEPNNWDPKFSRAWLDFSKLNGVLFQGHGVIDGSGSKWWASSCKKNKSNPCRGAPTALTIDKSSAIKVKGLTIKNGQQMNFVISQCDSVRVNAVQVSAPGDSPNTDGIHITSSTNVVLQDCKIGTGDDCISIVNGTSNIKMKRIFCGPGHGISIGSLGKDNSTAIVTKVVVDTAFLRETANGLRIKTWQGGSGYVRGVRFQNVRMENVSNPIIIDQFYCDSPKSCQNLTSAVEINQVMYRNISGTTKSAKAMKFACSDTVPCSNIVLTNVNLEKKDGTVETYCNSAQGFGYGIVHPSAECLNSHDKDSMAITDPASEVELADPSREEIVHTEL >ONI32266 pep chromosome:Prunus_persica_NCBIv2:G1:33077368:33081173:1 gene:PRUPE_1G357300 transcript:ONI32266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDASEFDPNRIESYKFPETTYTYSERDVALYALGIGACARDAVDVDQLKFVYHDKGQKFIQVLPTFAALFSLGSLPGGLGLPGLKYDPRLLLHGQQYIELYKPLPSNACLKNTVSLAGLHDKGKAAILEIETKSYDKDSGALLCMNRTTAFLRGAGGFSKSSHPYSYSNYPKDKVPSVKIPKGQPFVVFEDCTQPSQACSIYFTIARMKVIVSYLLVLALLYRLSGDYNPLHSDPTFAKVAGFTRPILHGLCTLGFSVRAIVKCICRGDPNLVKCISGRFLLHVYPGETLLTEMWLEGLRVIYQTKVKERSRTVLSGYVDLHGLSSSL >ONI32267 pep chromosome:Prunus_persica_NCBIv2:G1:33077368:33081173:1 gene:PRUPE_1G357300 transcript:ONI32267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDASEFDPNRIESYKFPETTYTYSERDVALYALGIGACARDAVDVDQLKFVYHDKGQKFIQVLPTFAALFSLGSLPGGLGLPGLKYDPRLLLHGQQYIELYKPLPSNACLKNTVSLAGLHDKGKAAILEIETKSYDKDSGALLCMNRTTAFLRGAGGFSKSSHPYSYSNYPKDKVPSVKIPKGQPFVVFEDCTQPSQALLYRLSGDYNPLHSDPTFAKVAGFTRPILHGLCTLGFSVRAIVKCICRGDPNLVKCISGRFLLHVYPGETLLTEMWLEGLRVIYQTKVKERSRTVLSGYVDLHGLSSSL >ONI29027 pep chromosome:Prunus_persica_NCBIv2:G1:14967130:14969533:1 gene:PRUPE_1G176400 transcript:ONI29027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPFCMQPWDSSPKIVKKLFKVIWVHICHSSFLKAHMFGDKVIVTTSTMIMSSMCGSRSDSMFMRFDGEGRVVGTCPWFSCFYFILYL >ONI36236 pep chromosome:Prunus_persica_NCBIv2:G1:46969761:46977191:1 gene:PRUPE_1G576900 transcript:ONI36236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQMTKIYYPRAVEKSWYEWWEKMGFFLADANSSKPPFVIVLPPPNVTGTLHIGHALTAAIEDTIIRWRRMSGYNTLWVPGMDHAGIATQVVVEKKLMSEKHLTRHDIGREEFVAEVWEWKKKHGSTILQQLRRLGASLDWSRECFTMDQKRSNAVTEAFVRLHKQGLLYRDNRIVNWDCVLRTAISDIEVDYIDIEERTLLMVPGYENPVEFGILTSFAYPLEEDLGEIVVATTRVETMLGDTAIAVHPNDERYRHLHGKHAIHPFNGRRIRIVCDEILVDPEFGTGAVKITPAHDPNDFNVGKRHNLEFINIFTDDGKISQEGGEFEGMPRFKAREAVTEALKKKGLFKEAKNNEMRLGICSRSQDVVEPMIKPQWYLKCHGMGKQALDAAIDDEDRKLEIIPRQYTSEWKRWLENIRDWCVSRQLWWGHRVPAWYIVYQSDKPEYFGVVDARWVVARNEEEAHAQASDTYEGKFQLIQDPDVLDTWFSSGLFPMSVLGWPDDTEDLKAFYPTSVLETGHDILFFWVARMVMLGMTLGGNVPFTKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGVTLEVLQKKLLEGNLDAKEVASSEEGLKKDFPNGIEECGADALHFALVSYTAQHDKINLDVQRVEGYSIWCNKLWNAVRFALSILGDEYVPPSSVNPNVFPFSCQWILSVLNKAISKTALSLESYELSDAATAVHAWWQYQLCDVFIEAIKPYFSGNNPKFVSERGFARDTLWLCLDNGLRLLHPFMPFVTEELWQRLPSSGSHKRATSIMICDYPSTIEYWTNERVESEMNLIESLVKSLRSLAKESRERRSAFVICQTILDRQIICSHQLEIETLAYLSSLTVVSPNDCFPLPTESDGYVMSDVNENLSVFLKVPRVKADPEKIREKMEKLILQRENLLLMISAPGYQEKTSEKIQESNAHKLASLEKQMKSLKMQS >ONI36235 pep chromosome:Prunus_persica_NCBIv2:G1:46969203:46977191:1 gene:PRUPE_1G576900 transcript:ONI36235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGIEEADVIKKKKKETKAKEKELKKQKALNKALKLQEQQASPCTSKTSKKKIVRLYDEEDENAKDPETPFGEKKRMAGQMTKIYYPRAVEKSWYEWWEKMGFFLADANSSKPPFVIVLPPPNVTGTLHIGHALTAAIEDTIIRWRRMSGYNTLWVPGMDHAGIATQVVVEKKLMSEKHLTRHDIGREEFVAEVWEWKKKHGSTILQQLRRLGASLDWSRECFTMDQKRSNAVTEAFVRLHKQGLLYRDNRIVNWDCVLRTAISDIEVDYIDIEERTLLMVPGYENPVEFGILTSFAYPLEEDLGEIVVATTRVETMLGDTAIAVHPNDERYRHLHGKHAIHPFNGRRIRIVCDEILVDPEFGTGAVKITPAHDPNDFNVGKRHNLEFINIFTDDGKISQEGGEFEGMPRFKAREAVTEALKKKGLFKEAKNNEMRLGICSRSQDVVEPMIKPQWYLKCHGMGKQALDAAIDDEDRKLEIIPRQYTSEWKRWLENIRDWCVSRQLWWGHRVPAWYIVYQSDKPEYFGVVDARWVVARNEEEAHAQASDTYEGKFQLIQDPDVLDTWFSSGLFPMSVLGWPDDTEDLKAFYPTSVLETGHDILFFWVARMVMLGMTLGGNVPFTKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGVTLEVLQKKLLEGNLDAKEVASSEEGLKKDFPNGIEECGADALHFALVSYTAQHDKINLDVQRVEGYSIWCNKLWNAVRFALSILGDEYVPPSSVNPNVFPFSCQWILSVLNKAISKTALSLESYELSDAATAVHAWWQYQLCDVFIEAIKPYFSGNNPKFVSERGFARDTLWLCLDNGLRLLHPFMPFVTEELWQRLPSSGSHKRATSIMICDYPSTIEYWTNERVESEMNLIESLVKSLRSLAKESRERRSAFVICQTILDRQIICSHQLEIETLAYLSSLTVVSPNDCFPLPTESDGYVMSDVNENLSVFLKVPRVKADPEKIREKMEKLILQRENLLLMISAPGYQEKTSEKIQESNAHKLASLEKQMKSLKMQS >ONI30929 pep chromosome:Prunus_persica_NCBIv2:G1:28494340:28498381:-1 gene:PRUPE_1G282100 transcript:ONI30929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVATATTATRGGSPTDSGDCVVSLDQVPRWSNADHRSSLEYDNEDPSFSNKYFPDPLTSQSGGESSSSWIVSRFPVDHEINSKIYLWRGNPWNLEVDAVVNSTNENMDEAHCSPGLHAAAGPGLAEECAALGGCRTGMAKVTKAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIAAVVFCTTTSTDTEIYKRLLPLYFPRDKLEEEVAMSKLPADVGDENGETIIDERKIRIKPLPKKNIPKPPKAPVDLPVSDVGLVRRNSSYLDSFLDPAFMSLIKDPDQRRKEQWEKTAQAQGGWNCAKMLGFGDLGGPPLSAAEEYSLHSRYLAKANSLHLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFIHYVVKEFEPIIQKPYTIVYFHSAASLQLQPDLGWMRRVQQILGRKHQRNLHAIYVLHPTFGLKAAIFALQLFVDNLVWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >ONI30928 pep chromosome:Prunus_persica_NCBIv2:G1:28494060:28499115:-1 gene:PRUPE_1G282100 transcript:ONI30928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTVATATTATRGGSPTDSGDCVVSLDQVPRWSNADHRSSLEYDNEDPSFSNKYFPDPLTSQSGGESSSSWIVSRFPVDHEINSKIYLWRGNPWNLEVDAVVNSTNENMDEAHCSPGLHAAAGPGLAEECAALGGCRTGMAKVTKAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIAAVVFCTTTSTDTEIYKRLLPLYFPRDKLEEEVAMSKLPADVGDENGETIIDERKIRIKPLPKKNIPKPPKAPVDLPVSDVGLVRRNSSYLDSFLDPAFMSLIKDPDQRRKEQWEKTAQAQGGWNCAKMLGFGDLGGPPLSAAEEYSLHSRYLAKANSLHLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFIHYVVKEFEPIIQKPYTIVYFHSAASLQLQPDLGWMRRVQQILGRKHQRNLHAIYVLHPTFGLKAAIFALQLFVDNLKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >ONI35789 pep chromosome:Prunus_persica_NCBIv2:G1:45305175:45314994:1 gene:PRUPE_1G554900 transcript:ONI35789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMVSSACGLLAMLNEAHPLLKLHALSNLNNLVDNFWPEISASVPVIESLYEDEGFDQHQRQLAALLVSKVFYYLGELNDSLSYALGAGSLFDVSEDSDYVHTLLAKAIDEYASLKSKAAESCTEAANVDPRLEAAKGIIEYPRLKSKAAESSTEAANVDPRLVAIVERMLNKCIMDGKYHQAMGIAIECRRLDILEEAIIKSDNVQGTLSYCINVSHSFVNLREYRREVLRLLVNVYQKLPSPDYLSICQCLMFLDEPESVASILEKLLRSENKDDALLAFQIAFDLIENEHQAFLLNVRKRLSPPKPQPSESPQPESSEAAPNENSAQEDVQMTDGGPASNVSVLEDPNEVMYSERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLAALGTADEGIYDDFKGVLYTDSAVAGEAAGISVGLLMVGTASEKASEMLVYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTLDVVDFVRQGALIAMAMVMVQISEASDSRVGAFRRQLEKIILDKHEDTISKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVVGLAVFSQFWYWYPLIYFISLAFSPTALIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTVPTAASAVKLPTAVLSTSAKATKARAKKEADQKANAEKLTGAEASSASANTGKGKPSSEKDGDSMQVDGPTEKKSEPEPSFEILTNPARVVPSQEKFIKFLEESRYVPIKLAPSGFVLLRDLRPTEPEVLSLTDTPSSTVSPAGGSATGQQGSASTMAVDEEPQPPQPFEYTPNQ >ONI30847 pep chromosome:Prunus_persica_NCBIv2:G1:28195516:28198035:-1 gene:PRUPE_1G276800 transcript:ONI30847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHESHTASRDWSVGPEEPCWRTNTSFSPPPSRWDFRFQPEELQYGLHDGIQQQGSSTSSNSKGSRGWVRGNNLYNHHYSASDGAALFLSSSSDLSQGPQWTPPAIQEISIDDYETAKRRGPAFGHSFFRPTMEGTSEIQDTGGSSSSRSDSSESEPAVKACLSSHHTLPSRRSFMSKPIHPLSFPAQTPPREASDLTLAGFTEFDAATPQRDGHRWSSASSSIDFADVSESFEAEISGRPCNNMSDGFRCGLCERFLSQRSPWSSRRIVRSGDMPVTGVLSCCHVFHAECLEQTTPKTRKNDPPCPLCARLEEENLPEQQGFSRLRTGFPRLRPISDDGSSRPWGCTQVGDCVEGALHAPPRNSMLLLNRSRIKKNLSLKGNLGKEFPGKLRKSGSYSFQHLSGKSADQGAVGSSKSKMTAGLTIKN >ONI34322 pep chromosome:Prunus_persica_NCBIv2:G1:39435776:39437366:-1 gene:PRUPE_1G474700 transcript:ONI34322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHKKRYLAYFLHRTQVSLNNYINKIKDQEARWRSYYADFIEFDSDEFVRIIPVDSAFIIEFLLRKCFLGFNHENDYIFEKPRMKRDISPDLEGTEDDWEKNFVPGIEVNQFVDFMRILCQPMEPKTRGKLKSPTIPNMAELHQAGVKFKVGKSESLFDIKFSSGLTFRNLLAFEQCHCKNMNYLTNYVFLMKRLVSSSKDVELVVVEHGIVQNWIGENSGVSTLLNNLDSGVIVSNYCYATLCDELNNDCRTRRHKWMANLRQNYFNTPWSTVSVIAASVLLKLTKHGSKRYSNKRKFVYCMSLSLWQVGGKIRFCSIFSSSSLTYLENDLELNGMGMSLWCTIYMLTPYILLYMSTGHCYLEKNNLQITFQVGTPKLKLHPPFSLFLLPKGIISKRATTPLRQNIKHMACTFIAFAYDPQIQPFQTA >ONI30399 pep chromosome:Prunus_persica_NCBIv2:G1:26156374:26159074:1 gene:PRUPE_1G249000 transcript:ONI30399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESSVMGTQSPKFALPVDSDHKATEFRLFSIAKPHMRAFHLSWFSFFLCFVSSFAAPPLIPVIRDNLNLTATDIGNAGIASVSGAVFARIAMGTACDLFGPRLASASLILLTAPAVFFTSMASSPISFLLVRFFTGFSLATFVSTQFWMSSMFSPPVVGTANGVAGGWGNLGGGATQLIMPLVFDAIKNIGAAKFTAWRIAFFIPALFQTLSAFLILIFGEDMPDGNFHGLQKSGEKPKDKFSSVFYHGVTNYRGWILALTYGYCFGVELAVDNIIAEYFYDRFNLNLHTAGIIAATFGLANIVSRPGGGILSDVVAKRFGMRGRLWTLWIVQTFGGVLCVVLGQVNSLTASIAVMILFSFFVQGACGLTFGVVPFVSRRSLGVISGMTGGGGNVGAVLTQLIFFKGSKYSKETGITLMGIMIICCTLPMTLIYFPQWGGMFLGPSEKKATEEDYYMSEWSSKEKDKGFHQASVKFAENSRSERGKPDYVTRPSDETSPPHV >ONI34258 pep chromosome:Prunus_persica_NCBIv2:G1:39247740:39249254:1 gene:PRUPE_1G471600 transcript:ONI34258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVVVGYSSSWSRALVQISPYTFSAIGIAVAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLENVPASKIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPSK >ONI34259 pep chromosome:Prunus_persica_NCBIv2:G1:39247740:39249256:1 gene:PRUPE_1G471600 transcript:ONI34259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVVVGYSSSWSRALVQISPYTFSAIGIAVAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLENVPASKIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPSK >ONI34257 pep chromosome:Prunus_persica_NCBIv2:G1:39246901:39249372:1 gene:PRUPE_1G471600 transcript:ONI34257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVVVGYSSSWSRALVQISPYTFSAIGIAVAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLENVPASKIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPSK >ONI31399 pep chromosome:Prunus_persica_NCBIv2:G1:30167192:30170443:-1 gene:PRUPE_1G310300 transcript:ONI31399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEYCVTGGTGFIAAYLVKALLEKGHLVRTTVRDPEDVGKVGFLWELNGAKERLKMFRADLMEEGSFDEAIQGVDGVFHTASPVLVPSDDNVQATLIDPCIKGTLNVLTSCSKARVKRVVLTSSCSSIRYRYDAEQVSPLNESHWSDPEYCKNYNLWYAYAKTTAEKEAWRVAKESGMDLVMVNPSFVVGPLLAPQPTSTLLMILAITKGARGEYPNTTVGFVHIDDVVSAHILAMEESKASGRLICSSSVAHWSQIIEMLRAKYPSYSYESKCSSQKGDNNPHSMDTSKIAQLGFPPFKTLGKMFDDCITSFQEKGFL >ONI29614 pep chromosome:Prunus_persica_NCBIv2:G1:20754783:20756357:-1 gene:PRUPE_1G205600 transcript:ONI29614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRLLSLIALVFVAGGALPRNVRAQAPVADVVTQAFFDGIINHAAADCAGKNFYTRQAFLDAVNSYSDFGRFGTADDSKREIAAFFAHVTHETGHFCYIEEINKDTYCDPSFTNYPCNPNKQYYGRGPLQLTWNYNYGAAGNSIGFDGLNSPESVASDPVLAFKTALWFWINNVRPVISQGFGATIRAINGAVECDGKQPALVQARINYYTDYCTQLSVDPGTNLSC >ONI36350 pep chromosome:Prunus_persica_NCBIv2:G1:47290947:47291871:-1 gene:PRUPE_1G582100 transcript:ONI36350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKAHIPTFNYKLLLLGVFLAFVVLFVFRSSFDSSSSSSSSSSSNEKSTILPRVPLDEKEAEDDERSTKCDTPLTCNKIPPSLAKALIHYTTSTITPQQTLKEISVTSKVLDKKSPCNFLVFGLGHDSLMWSSLNHGGRTIFVEEDESWIEQIQRRFPMLESYHVTYDSKVNQADNLMDVGKGPECTAVGDPRYSMCQLALKGLPSEVYDIKWDLIMVDAPTGYHDEAPGRMSAIYTAGMMARNKEEGETDVFVHDVNRVVEDNFSKAFLCEGYMKKQQGRLRHFNIPSHRDGSHRPFCPE >ONI28302 pep chromosome:Prunus_persica_NCBIv2:G1:10741943:10742500:-1 gene:PRUPE_1G136800 transcript:ONI28302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTLRHRLNNDQPVSTTTTATTLTKQEKAKRALRSLAIGIAIPLTLTTSTIFFFGWGPKYRTLTQPFWLPPLWLINFATFFSSFLMGLSAWLVWADGGFHAQSNTFILYIAQVSLSILWGPVLLAAGAAWLGLVICLMNFGALFACYKCFRCVNPFAKDLVKPCLAWAAYLAIVNCKLLCLGF >ONI34340 pep chromosome:Prunus_persica_NCBIv2:G1:39685975:39687162:-1 gene:PRUPE_1G476100 transcript:ONI34340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLVIIHTKSTSDKNLPNTLTTHSSFSKTVYQTPSSFFSQLIIFTAQQKHIFLKAQRRQTSPKKFNIATFVNIILVDAAFVIELLLRNKSEEKFQEEKLQDDDAWIFKKPWVLQNILPDMLMLVNQLPFFILEDLYNLTGAQTGEPSIIELSYKFFQQALRLENLENSPAFRKSFMPPDPKKPLHFVDFIRTLHLPKEKKMEGSKLTHRNLIAFEQGHCVDKYISDYVFILDKFVNTPKDVELLVDNGIVVNTLGDNNKVSIMINKLCSKVAPNHGNYYFGDLAGKLNEYCKKTTNRRKANLRQKYFNTPWAAISLFAAIILLILIVIQTVCSIISVIDQVLAAGPFLRF >ONI35661 pep chromosome:Prunus_persica_NCBIv2:G1:44857199:44862082:1 gene:PRUPE_1G548500 transcript:ONI35661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWEGKNVILTGRKIIGATNPAKSSPGTVRGDYAIEIVNSRSRKRASGVRCSNCEPS >ONI31227 pep chromosome:Prunus_persica_NCBIv2:G1:29455267:29456096:-1 gene:PRUPE_1G299400 transcript:ONI31227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPHSHFGCTAGTRKALCCLVRPEPLVLHQQEEDRYAGATKIACLFTFNQRENEGGAAFAFKSCYS >ONI34101 pep chromosome:Prunus_persica_NCBIv2:G1:38646679:38654605:1 gene:PRUPE_1G462800 transcript:ONI34101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPSTRSKNKRNRQGDNVDTTSEILRKIHATGEVTNEDINTLYKISKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKTSEIMQNLGPDPSQDLRPSADSPAGLTNLGATCYANSILQCLYMNKSFREGIFLVEPEVLERQPVLNQLSRLFAQLHASKMAFIDSSPFVKTLELDNGVQQDSHEFLTLLLSLLERCLSTSKVTKAKSIVQDLFRGSVSHVTRCSQCGKDSEASSNMEDFYELELNVKGLKSLDESLDDYLSVEELHGENQYFCESCKTRVDATRSIKLRTLPDVLNFQLKRCVFLPKTTTKKKITSAFVFPEVLDMRQRLFEPSQLESIYDLSAVLIHKGTAVNSGHYVAHIKDEKTGQWWEFDDEHVSNLGPHPFGEGTSGSNTKPVHVKPESVHPSCTGQINAVSNGDNVDVSHQQPTESISGHVETFSSSDAYMLMYNLRRCRKDDEKVPVECNAIDRKIEGDIVCSSLPSHLCEEIKNFNASYLDACQKYKFKKEEEMNHITERRQEVRSILSEAPVRSLEESFFWISTDWLRQWADNMISPVLDNTSILCSHEKVPASKVGSIKRLSAKAWTKLFSKYKGSPILASDAYCMVCLTEGARNVVCADSYRDRRILMKQVAEDALAGRCSDGEYFVSKAWLQQWLKRKILDAPSEADAGPTASIRCPHGQLMPDQATGAKRLLVPENLWLFLYEDAFAVKPDDQLGCSTFPLDSAQCSQCSDELSEVACMEDSLRVVRLKQRQTHEKLLTGKTVPLSLDCKYYLIPSSWLLKWKNYITASGKNVSSVEKPETLEGIMDLLKCEKHSRLLERPVDLVSKRGLISQKSPPVDGLIIIPESDWKSFCEEWGGVQEKSISAEIELSKTEGNNLAGSCEEMPMCEEDLSTPNPVNGEVESRQLVIRTCPEICEDCIGERESRELMRKLDYCNEDIYVYFIHGKEAPKSILKPSETNFDPDRRVSKRSRKTKTGDQISLKVSGSTTVYQLKMMIWESFGVVKENQVLHKGTRIIDDEVATLADVNIFPGDKLWVNDSEIHENRDIADELSDQKMDVQHTEEGFRGTLLTANVSSQVV >ONI34136 pep chromosome:Prunus_persica_NCBIv2:G1:38743393:38743932:1 gene:PRUPE_1G464300 transcript:ONI34136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNFFSRYRFFVSICQLMNKLTVFTTIVCIALHLSRSAIELIVWSNFRFTLCNMIWGSSWTHCPSLADVHLQNTATINLYMFLQYQKLTNLIYGCSRIMIKKRRHQNRGRADKFLLCGKDTELIWWCFP >ONI28070 pep chromosome:Prunus_persica_NCBIv2:G1:9496652:9497408:1 gene:PRUPE_1G121000 transcript:ONI28070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHQVKNQVRDTVEEGFDNLHRVADVVTFPLAPLDGAIHGTARGVVNWLTDTHPDRSKSKQIPNGAGYGGGHGGGYGDGGNGSGYGGNPNRRTFNFKGNEVSNNEGPTYGFNNFGNRRGGGPYSGGSEFGFENNKLNGNKGHTEGFNDFANEY >ONI27429 pep chromosome:Prunus_persica_NCBIv2:G1:6366023:6368888:-1 gene:PRUPE_1G085500 transcript:ONI27429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLVSVDSYMNLQLANTEEYIDGQSTGNLGEILIRCNNVLYLRGVPEDEEIEEAEQLQD >ONI34159 pep chromosome:Prunus_persica_NCBIv2:G1:38851773:38857136:1 gene:PRUPE_1G465900 transcript:ONI34159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLTAPSTIVSSPLLPTDFEMRYFFHQFVFSLFTDFLAFVFFIAMIFLLSSKVLTFRLTWVLSGRGLNLTD >ONI31519 pep chromosome:Prunus_persica_NCBIv2:G1:30580815:30581591:1 gene:PRUPE_1G317700 transcript:ONI31519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVPTTHYDNLKRYWRRRRYQRLNGDTKKKMRVTRLGGANGSKTTRRVWKLRSAIPKLRLMKFLSPIKLLAKFHDAYVDMMYRIAGNAASVGRVSGKKVAKAQDQISIASCGEEVDGRLVLEIYKRLAASRQLLIEN >ONI32702 pep chromosome:Prunus_persica_NCBIv2:G1:34322287:34330753:1 gene:PRUPE_1G380700 transcript:ONI32702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTNSDSRHHLSVTTPPQISKAGSGSENPIPLSPQWLLPKPGESKPGMLTGEKPPSPNPSFGSRSDTMKASGNGEEIHDTQKKKDVFRPSLMDMETGGRRERWRDEERDTNSSGRKDRWRDGDKELGDPRRMDRRTENSSAKHFGEARRAPPERWTDSSNRESNYDQRRESKWNTRWGPDDKEVEGLHDKWAESGRDGSMHLDKGLPHVGNHVKDEKDGDLYRPWRSNSSQARGRGDPSHNQTLAASKHVPVHSSSWGRGENTPPTFSLGRGRATSGGGFMNSSPTIPQSIGTVLDKVESEHGEPSPLRYSRTKLLDVYRKVDMRSYRKSVDGFIEASSLTVDEPLEPLALCVPNPEEMALLKGIDKGDIVSSGAPQVSKDGRNPIDFTQSRRPKLGSREDLPLALNDSKDESTGSSKGGIPNYLEGSSHERQVFHHGSSLKAEIMQDQKTYSENNFRAEALREDSGPFRRAEEAPVNTDLTMKGSITPHSGTPWRSPSQGERSHAGLHDWKEIPGDVKSRIPDMGWSQRQKDLNNEWESRDEAKWKTSEDPIIRRQPSGVLDREQEVRKPQQLSPEDLQLYYKDPQGIIQGPFAGADIIGWFEAGYFGIDLLVRVANASTDTPFLALGDVMPHLRAKARPPPGFSAPKQNEVTDTSSRPNFGNVGKIHAGLSETDIARNEPRHKQGSTTEAENRFLESLMSGNTSGSPLQNFPFSEGLQGLIGNNSHGLPHSGLDNLLAKRMALERQRSFPNPYQYWPGRDASSVIPKSEVVPDPNLLSSVAENQPPQTQNAEIMSILQGLTDRSSSGINNSAAGWSTFPVQGGSDPTQSKMDLYDQNFPPQAPLGFQKQRLQPQNQPSFPNLLSQAIDSSSVATQEKLLSSGLLQDPQLMNMLQQQYLLQLHSQAPVPAQQMSLLDKIMLLKQQQKQEEQQMLIRQQQQLLSQVLSEHQSRQHFTEPSFGQMQASAIPKGNASIDPPRLQPSQEMFSSGTNVPVPNMQNELANNFMTLPPQGTQDISQNVSEGATSLPLLHQMFGNITHQRTRDVTPVVPIAIHQESLPVSTNVKSSTLLDVMTKSRKEPLVQKSIPDSDFHASKTMEQASENTFRANESGLVAISEGVADSIPPVGASEGDMPEHVYDVKVQSDSQVEEQQIQREKCNDEVPAVADVKNVEARGQRKTSEKKSKKQKSSKAQSLSDQPKGVSKSVSSQQIKQSEAEKPVVGDTKLETRGNRGIKSEIVTVEVSESRQAERLEPLSGGDTEPFEVKGDSKLVESGQSTQIQIGQRAWKPAPGFKAKSLLEIQHEEQRKAQTEVIVPEVISSVNSSSLPTPWAGVVANSEPKVSRETPNDAGINELNVGKPKTSQNSKSKKSPLHDLLAEEVLAKSSEKDVEIPNGVSTQPSPQVMPTHSESVDDDNFIEAKDTKKSRKKSAKSKGTGTKVSVSVTPVDMPISSSPTEKVKSFRSVQQEKEVLPAIPSGPSLGDFVLWKGETPNPAPSPAWSTDSGKLLKPTSLRDIQKEQEKRVSSAQHQNQIPTPQKSQPTPATHNNVPSWSLSASSPSKTASPIMINSHASQSKHKVEDDLFWGPIDQSKQANKHRADFPHLASQGSWGVKNTPVKGTSAGSSSRQKSVGGKPTERLLSSSPASSQSSVKGKRDAMTKQSEAMDFRDWCKSECVRLIGTKDTSFLEFCLKQSRSEAELLLIENLGSYDPDHEFIDKFLNYKELLSADVLEIAFQSRNDQKLTGFGGGELNSYGADAGDVDQDGSSKGGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIQTVED >ONI32703 pep chromosome:Prunus_persica_NCBIv2:G1:34322287:34332513:1 gene:PRUPE_1G380700 transcript:ONI32703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTNSDSRHHLSVTTPPQISKAGSGSENPIPLSPQWLLPKPGESKPGMLTGEKPPSPNPSFGSRSDTMKASGNGEEIHDTQKKKDVFRPSLMDMETGGRRERWRDEERDTNSSGRKDRWRDGDKELGDPRRMDRRTENSSAKHFGEARRAPPERWTDSSNRESNYDQRRESKWNTRWGPDDKEVEGLHDKWAESGRDGSMHLDKGLPHVGNHVKDEKDGDLYRPWRSNSSQARGRGDPSHNQTLAASKHVPVHSSSWGRGENTPPTFSLGRGRATSGGGFMNSSPTIPQSIGTVLDKVESEHGEPSPLRYSRTKLLDVYRKVDMRSYRKSVDGFIEASSLTVDEPLEPLALCVPNPEEMALLKGIDKGDIVSSGAPQVSKDGRNPIDFTQSRRPKLGSREDLPLALNDSKDESTGSSKGGIPNYLEGSSHERQVFHHGSSLKAEIMQDQKTYSENNFRAEALREDSGPFRRAEEAPVNTDLTMKGSITPHSGTPWRSPSQGERSHAGLHDWKEIPGDVKSRIPDMGWSQRQKDLNNEWESRDEAKWKTSEDPIIRRQPSGVLDREQEVRKPQQLSPEDLQLYYKDPQGIIQGPFAGADIIGWFEAGYFGIDLLVRVANASTDTPFLALGDVMPHLRAKARPPPGFSAPKQNEVTDTSSRPNFGNVGKIHAGLSETDIARNEPRHKQGSTTEAENRFLESLMSGNTSGSPLQNFPFSEGLQGLIGNNSHGLPHSGLDNLLAKRMALERQRSFPNPYQYWPGRDASSVIPKSEVVPDPNLLSSVAENQPPQTQNAEIMSILQGLTDRSSSGINNSAAGWSTFPVQGGSDPTQSKMDLYDQNFPPQAPLGFQKQRLQPQNQPSFPNLLSQAIDSSSVATQEKLLSSGLLQDPQLMNMLQQQYLLQLHSQAPVPAQQMSLLDKIMLLKQQQKQEEQQMLIRQQQQLLSQVLSEHQSRQHFTEPSFGQMQASAIPKGNASIDPPRLQPSQEMFSSGTNVPVPNMQNELANNFMTLPPQGTQDISQNVSEGATSLPLLHQMFGNITHQRTRDVTPVVPIAIHQESLPVSTNVKSSTLLDVMTKSRKEPLVQKSIPDSDFHASKTMEQASENTFRANESGLVAISEGVADSIPPVGASEGDMPEHVYDVKVQSDSQVEEQQIQREKCNDEVPAVADVKNVEARGQRKTSEKKSKKQKSSKAQSLSDQPKGVSKSVSSQQIKQSEAEKPVVGDTKLETRGNRGIKSEIVTVEVSESRQAERLEPLSGGDTEPFEVKGDSKLVESGQSTQIQIGQRAWKPAPGFKAKSLLEIQHEEQRKAQTEVIVPEVISSVNSSSLPTPWAGVVANSEPKVSRETPNDAGINELNVGKPKTSQNSKSKKSPLHDLLAEEVLAKSSEKDVEIPNGVSTQPSPQVMPTHSESVDDDNFIEAKDTKKSRKKSAKSKGTGTKVSVSVTPVDMPISSSPTEKVKSFRSVQQEKEVLPAIPSGPSLGDFVLWKGETPNPAPSPAWSTDSGKLLKPTSLRDIQKEQEKRVSSAQHQNQIPTPQKSQPTPATHNNVPSWSLSASSPSKTASPIMINSHASQSKHKVEDDLFWGPIDQSKQANKQADFPHLASQGSWGVKNTPVKGTSAGSSSRQKSVGGKPTERLLSSSPASSQSSVKGKRDAMTKQSEAMDFRDWCKSECVRLIGTKDTSFLEFCLKQSRSEAELLLIENLGSYDPDHEFIDKFLNYKELLSADVLEIAFQSRNDQKLTGFGGGELNSYGADAGDVDQDGSSKGGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIQTVED >ONI32704 pep chromosome:Prunus_persica_NCBIv2:G1:34322287:34330802:1 gene:PRUPE_1G380700 transcript:ONI32704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTNSDSRHHLSVTTPPQISKAGSGSENPIPLSPQWLLPKPGESKPGMLTGEKPPSPNPSFGSRSDTMKASGNGEEIHDTQKKKDVFRPSLMDMETGGRRERWRDEERDTNSSGRKDRWRDGDKELGDPRRMDRRTENSSAKHFGEARRAPPERWTDSSNRESNYDQRRESKWNTRWGPDDKEVEGLHDKWAESGRDGSMHLDKGLPHVGNHVKDEKDGDLYRPWRSNSSQARGRGDPSHNQTLAASKHVPVHSSSWGRGENTPPTFSLGRGRATSGGGFMNSSPTIPQSIGTVLDKVESEHGEPSPLRYSRTKLLDVYRKVDMRSYRKSVDGFIEASSLTVDEPLEPLALCVPNPEEMALLKGIDKGDIVSSGAPQVSKDGRNPIDFTQSRRPKLGSREDLPLALNDSKDESTGSSKGGIPNYLEGSSHERQVFHHGSSLKAEIMQDQKTYSENNFRAEALREDSGPFRRAEEAPVNTDLTMKGSITPHSGTPWRSPSQGERSHAGLHDWKEIPGDVKSRIPDMGWSQRQKDLNNEWESRDEAKWKTSEDPIIRRQPSGVLDREQEVRKPQQLSPEDLQLYYKDPQGIIQGPFAGADIIGWFEAGYFGIDLLVRVANASTDTPFLALGDVMPHLRAKARPPPGFSAPKQNEVTDTSSRPNFGNVGKIHAGLSETDIARNEPRHKQGSTTEAENRFLESLMSGNTSGSPLQNFPFSEGLQGLIGNNSHGLPHSGLDNLLAKRMALERQRSFPNPYQYWPGRDASSVIPKSEVVPDPNLLSSVAENQPPQTQNAEIMSILQGLTDRSSSGINNSAAGWSTFPVQGGSDPTQSKMDLYDQNFPPQAPLGFQKQRLQPQNQPSFPNLLSQAIDSSSVATQEKLLSSGLLQDPQLMNMLQQQYLLQLHSQAPVPAQQMSLLDKIMLLKQQQKQEEQQMLIRQQQQLLSQVLSEHQSRQHFTEPSFGQMQASAIPKGNASIDPPRLQPSQEMFSSGTNVPVPNMQNELANNFMTLPPQGTQDISQNVSEGATSLPLLHQMFGNITHQRTRDVTPVVPIAIHQESLPVSTNVKSSTLLDVMTKSRKEPLVQKSIPDSDFHASKTMEQASENTFRANESGLVAISEGVADSIPPVGASEGDMPEHVYDVKVQSDSQVEEQQIQREKCNDEVPAVADVKNVEARGQRKTSEKKSKKQKSSKAQSLSDQPKGVSKSVSSQQIKQSEAEKPVVGDTKLETRGNRGIKSEIVTVEVSESRQAERLEPLSGGDTEPFEVKGDSKLVESGQSTQIQIGQRAWKPAPGFKAKSLLEIQHEEQRKAQTEVIVPEVISSVNSSSLPTPWAGVVANSEPKVSRETPNDAGINELNVGKPKTSQNSKSKKSPLHDLLAEEVLAKSSEKDVEIPNGVSTQPSPQVMPTHSESVDDDNFIEAKDTKKSRKKSAKSKGTGTKVSVSVTPVDMPISSSPTEKVKSFRSVQQEKEVLPAIPSGPSLGDFVLWKGETPNPAPSPAWSTDSGKLLKPTSLRDIQKEQEKRVSSAQHQNQIPTPQKSQPTPATHNNVPSWSLSASSPSKTASPIMINSHASQSKHKVEDDLFWGPIDQSKQANKQADFPHLASQGSWGVKNTPVKGTSAGSSSRQKSVGGKPTERLLSSSPASSQSSVKGKRDAMTKQSEAMDFRDWCKSECVRLIGTKDTSFLEFCLKQSRSEAELLLIENLGSYDPDHEFIDKFLNYKELLSADVLEIAFQSRNDQKLTGFGGGELNSYGADAGDVDQDGSSKGGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIQTVED >ONI30460 pep chromosome:Prunus_persica_NCBIv2:G1:26382900:26385003:1 gene:PRUPE_1G252800 transcript:ONI30460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANQSDFEDQVSMTVDDDEISGYESDCGDSNSAVSDRFDVFTRNGMIRLEEENSAHDIIKTCFLSGMGFAGGDTNLVAIHKNVSSDVTRQARFESFKIFSKAVAQKCGGNANVKYAWYGGSKDELCEILVHGFSRCREPAPNEQSYGVGVHLISPVFAYDGALSSAVDERGLRHMLLCRVILGKMETVAPGSKQYHPSSKEMDTGVDNLQFPRRYVVWSAYMNSHIFPVYVVSFKAPSPNVVSGIQPSIQPRQANTSKPTSPWVTFPALMFTLAKFLPPPKMLLIVKSHNEFRAKRISRPQLIRKVRQIVGDNLLIQVIKAFRSKSLHPASAKRTV >ONI34364 pep chromosome:Prunus_persica_NCBIv2:G1:40036726:40037538:1 gene:PRUPE_1G478300 transcript:ONI34364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLARSASTFRRQGSSGLIWDDNLMLQREEGRAEFRELRPCQSAKPIGLKAYSSSNGALAICPHSSSSSAKKNPHSLKSKFLKMFRALFRK >ONI26579 pep chromosome:Prunus_persica_NCBIv2:G1:2271302:2272901:1 gene:PRUPE_1G032300 transcript:ONI26579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKRASTDSSAQTKPNEATKRPKPKEPPLTDPPIAPPKSGVILKLTLFFSIPYFYLIFYHCKIEHELRKSILINAALSLAGLFVTVKMIPVASRYVLRRNLFGYDINKKGTPQGTVKVPESLGIVVGIVFLVLGILFQYFNFTADSNWLVEYNAALASICFMVLLGFVDDVLDVPWRVKLLLPSIAALPLLLAYAGHTTIIIPKPLIPHVGLEVLDLGWMYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVIIASAILIHNIMQIGASADSEYKMAHAFSLYLVQPLLATSLALFSHNWYPSSAFVGDTYTYFAGMTMAVAGILGHFSETLLIFFVPQVLNFLLSLPQLAGIVPCPRHRLPRFDPGTGLLTGTNDGTLVNFFLRRFGRMTEKSICICLLVFQAIACCFCFVLRFFLAGWYK >ONI29351 pep chromosome:Prunus_persica_NCBIv2:G1:18386099:18388271:-1 gene:PRUPE_1G194600 transcript:ONI29351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDLLDHNEQIEELTRKFGDSPTLGMSFDNEQEAYQYYNSYARGVGFSVRKLRVNKDKNGVIHKREFCCSCEGFYRKKTTPKKKREQRRFGCKAMLGIKLNRDGKYVVKNFIAEHNHDLVPLSSSHLLRSQRTIEPSQAGFINQMHHAGLKPSQIFSYMTTEAGGPQHLNFIQADCNNLIMRKRIEFQKRGDSQCLLEYFKQKQAQDKSFFYSVRTNMENEICGCFFCDGKPRRDYAIFGDVLCFDTTFKTNNYNMVCAPIIGLNNHGQTILFGCGLLDGESTDACEWLFKVFLQANEGKEPKTIFTDQAQSIAAAIIEVFPNCHHRLCLWHIYQNAAKNLGHVFSEFQAFAKDFKSCVYDPEIVEEFESSWEALLDDYGLRGNSWLEGIYALREKWAQVYGRDHFCAGMTTTQRSESINAFLKKFFSRNLLLREFVVQYDKAVADRREKERQAETSTKQKWRNLSSNWNVEIEATTKYTSKLFYCFQDECKKLLGLRLKLQSDDGLTRRYMVMNSGMRGMSRSLTYDLSDQTVSCSCKKFEFEGILCAHALKLYHELEFSTLPSKYYLKRWSKEATCDVDFDSNEEVPLSTLESSSMVQYSELSHIVQRIIAKGARNNQTCTFLKSELLQLEAKLEKHPYFGDEHDEILDKHVSEDGDNLKLRDPKIQKSKGRGKGRIKSSLESKPSKRKGSSKRKG >ONI32848 pep chromosome:Prunus_persica_NCBIv2:G1:34798414:34803563:1 gene:PRUPE_1G389800 transcript:ONI32848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSFSLRRPSASTSTSTSTSSVSSPSASSVSALKQDTGGSCEQSPRRLTRQKKLRHINDQDLCFPLSEKSRSSPASPEYSTRKSTSPDRESKSNHWSSSAAAAPQPLPLPESPVIGRPGSVPKDGYFGHAFFRKKRNQVATTSAPQISNSINNQGAFSSAPQNCNSSNRQGPSSSAPLTNSSNRRRALSSQDVNNNGGGFNYNLGLNVFSRTAQANGVSSHPLSPQRSNFVEMDGFPVHVATTSSINSSSNYHRGKRQENESYNFSKSAPTSGFSSPAVSPRRSKAGDPFPAFGASQEQYQDTCPSRLSPNNIAHSPSHSPLPSPTSRSPRFNHKNSNGNVFALHRKSFTEGFSERGESSSQANAHPLPLPPGAVVLPQPSSMHHNAELLSTSSMNGQWQKGKLIGRGTFGSVYLATNRETGALCAMKEVDLIPDDPKSAECIKQLEQEIKVLRALKHPNIVQYYGSEVIDDHFYIYLEYVHPGSINKYVQDHIGAMTESVVRNFTRHILSGLAFLHSKKTIHRDIKGANLLVDASGVVKLADFGMAKHLNGHSYNLSLKGSPYWIAPEVIKAVMQNNTDPDLALAVDIWSLGCTIVEMFNGKPPWSDFTGPQAMFKVLNTIPDIPETLSAEGKDFLSWCFRRNPAERPSANQLLEHPFVRNPHDQTVSHYAQNFALMNLIDKLHSPTDHTKPKNGRC >ONI33275 pep chromosome:Prunus_persica_NCBIv2:G1:36054418:36056122:1 gene:PRUPE_1G414100 transcript:ONI33275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTRASRIPLQLSAQRALSLHTTVPSLSSSSPSGSTPTTYARPPPPSASLPPAGLSKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLLWWTK >ONI34173 pep chromosome:Prunus_persica_NCBIv2:G1:38909016:38911642:-1 gene:PRUPE_1G466600 transcript:ONI34173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSPLSLFTSNQLVPTNMFFREQARTVIQQNKWQPCSSAISKPTLQSGFCRRELLLFGLSAPVLVVFPSSGSLAEEDLKMATFVDEINSCSYLYPVELPSKKVIFKWVESRKPERYSSAAPLSPDARLRIVSERVDIVDNLIISISIGPPNSKIIKSLDKSTWTAKDVADSVLADKSALRVTSSQRMAESSVLDAHSGEIAGEPYWYYEYLVRKAPTKTAEESNNYRHYVASTTERDGYLYSINASTLNKQWNIVGPILQKTIASFHLLPPTENYVPPYKDPWRFW >ONI34174 pep chromosome:Prunus_persica_NCBIv2:G1:38908952:38911642:-1 gene:PRUPE_1G466600 transcript:ONI34174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSPLSLFTSNQLVPTNMFFREQARTVIQQNKWQPCSSAISKPTLQSGFCRRELLLFGLSAPVLVVFPSSGSLAEEDLKMATFVDEINSCSYLYPVELPSKKVIFKWVESRKPERYSSAAPLSPDARLRIVSERVDIVDNLIISISIGPPNSKIIKSLDKSTWTAKDVADSVLADKSALRVTSSQRMAESSVLDAHSGEIAGEPYWYYEYLVRKAPTKTVSVLSKSSFQHYVASTTERDGYLYSINASTLNKQWNIVGPILQKTIASFHLLPPTENYVPPYKDPWRFW >ONI34172 pep chromosome:Prunus_persica_NCBIv2:G1:38908519:38911715:-1 gene:PRUPE_1G466600 transcript:ONI34172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSPLSLFTSNQLVPTNMFFREQARTVIQQNKWQPCSSAISKPTLQSGFCRRELLLFGLSAPVLVVFPSSGSLAEEDLKMATFVDEINSCSYLYPVELPSKKVIFKWVESRKPERYSSAAPLSPDARLRIVSERVDIVDNLIISISIGPPNSKIIKSLDKSTWTAKDVADSVLADKSALRVTSSQRMAESSVLDAHSGEIAGEPYWYYEYLVRKAPTKTAEESNNYRHYVASTTERDGGAYLAKNHCFFSPPPSHRKLCSSIQGSMEILVRVYSILSSH >ONI35747 pep chromosome:Prunus_persica_NCBIv2:G1:45147535:45149977:-1 gene:PRUPE_1G552400 transcript:ONI35747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDDDFSPPTSPSLLTPGDYIGMQSCVDVLTDEELGFGRLRHKPNRRFSKREERSLRWVPKKMKMKDYMEVPPPIPSLARTENLPSHMPWILKRHYTTDGRLILTEEKVRHHEYFRAHRSNGRLRLQLVPLDDDVLVPPVACDGDDDDDDDDDEDDDEYCSEYDDNIDAYDDYDDEDNDDAYGYDYDGHVDDDDDDDVVEEIINIVNTSNGLAGKCLNINSVGTRPPSILDVPVPPIRLIKATTNGPRKGFLGQAGFGGLFRNHAGRAVSAVCEPIGISAAYVTKLAVLMIAIITAWEKGWFRYGWKQILSWSYIFTLNPILLLGLCVLSGDIVFIEE >ONI28675 pep chromosome:Prunus_persica_NCBIv2:G1:12186662:12190913:1 gene:PRUPE_1G154500 transcript:ONI28675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKRAESEAEAEQENKVDTVNGDSHKKDKKKKHNVDKAALIPTVSVAVPGSIIHNAQSLELATRLAGQIARAMTIFRIDEVVIFDNKSESGSPPEANSDEDESGAAFLVRILRYLETPQYLRKALFPKHNSLRFVGMLPPLDAPHHLRKHEWGPFREGVTLKERSPNPAGTLVDVGLSKNVIVDQVLEPGTRVTVAMGANRNLDADISRQVVSSSKPREEAGTYWGYKVRYASNITSVRNECPYKGGYDHSIGTSEHGQIINSSDLTIPTFRHLLIAFGGLAGLEESIEEDNNLKAKNVREVFDLYLNTCPHQGSRTIRTEEAIFISLQYFQEPINRALLRV >ONI26883 pep chromosome:Prunus_persica_NCBIv2:G1:3687875:3689805:1 gene:PRUPE_1G052700 transcript:ONI26883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVARQKASSPAENQNVRRSIAIASARERRFGAMRPTPMDFFGDMDDQGSTMAMDVDDVDTLESFADGVIGENKLADADFFNSFEDDFDDTDIN >ONI26970 pep chromosome:Prunus_persica_NCBIv2:G1:4199783:4201658:1 gene:PRUPE_1G059400 transcript:ONI26970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSTVLLLLVLGFIGQKSSCVDIFPGKKHFVRIVNQLEKEQLDYHCRSADDDLGLRSLLPNGGEWEFGFRNDFLKTHWDCDFWYANYSAHFRAFDFYDDFLEKCGGAHCIWAAREDGISLFKYAYNVDNIYISFSEKYFSNTSD >ONI36288 pep chromosome:Prunus_persica_NCBIv2:G1:47141898:47145304:1 gene:PRUPE_1G579300 transcript:ONI36288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKSCSLTAFLGYKAGMTHIMRDVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKTPRGLRSLDTVWAQHLSEEVKRRFYKNWCKSKKKAFTKYSKKFESEGGKKDIQAQLEKMKKYSSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDIAKKVDYAYSLFEKQIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKVGQESHSAMTEFDRTEKDITPMGGFPHYGAVKEDYLLMKGCCVGPKKRVVTLRQSLLKQTSRVALEDIKLKFIDTSSKFGHGRFQTTQEKARFYGRLKA >ONI36287 pep chromosome:Prunus_persica_NCBIv2:G1:47141812:47145320:1 gene:PRUPE_1G579300 transcript:ONI36287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKSCSLTAFLGYKAGMTHIMRDVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKTPRGLRSLDTVWAQHLSEEVKRRFYKNWCKSKKKAFTKYSKKFESEGGKKDIQAQLEKMKKYSSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDIAKKVDYAYSLFEKQIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKVGQESHSAMTEFDRTEKDITPMGGFPHYGAVKEDYLLMKGCCVGPKKRVVTLRQSLLKQTSRVALEDIKLKFIDTSSKFGHGRFQTTQEKARFYGRLKA >ONI31232 pep chromosome:Prunus_persica_NCBIv2:G1:29489017:29491057:1 gene:PRUPE_1G299900 transcript:ONI31232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRGKAVEAHNNIDMEYFSSSEMPCRKHPNSSSVGICAYCLKERLVKLVCSDCGEQRLSSCSCSEISSNRNSCTVEVGSVGRVSFLIENEKHEALQSNSKANKTQEKEDVVVLRRSSSSCVEIKRSGFWRFGRLFRKKRSDKDCGNQSVVGFDEKSEMWLVDHMGVSRSRSLCSFRGGGFFGSEDGGEHLMVSGARSSISAARSSSVTTAGMVLDSGRKSGFSEGRKSGFSEGRKSGFSEGRKSGFSEAEPRKSGFDGEKKDEVLASELGTDFKGAKKNGLMEAADKGFSGATRRVFSLKESDFSGMDESGFIDLKLDYSAESKPEFSAMKMGNITDTDSVFGSMRGSDFVANECGGPFGGLIGDGIFSHGGSCRITVNDRGIKRGRKSSKGWKWIFRHHPSWGSTRKKDEDLMFKT >ONI34363 pep chromosome:Prunus_persica_NCBIv2:G1:40034540:40036725:1 gene:PRUPE_1G478200 transcript:ONI34363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASALNTPKGLHCLTLPSSRNERPPTNLILPRQFPARFSSTLAFARRRNQRTEVASSKPKINKKSLAKKEVKDEEEEDVDEDAIDALFRLLEEDLKNDDESLDDEDLTEEELAKLEQEVAEALGIVDDEEDEDEDEEDDDDDDEDDKDDDDDVVIEIDAQEEEVDDDDDDEEEEEEEEEESPVKLKTWQLRRLAAALKVGRRKTSIKILAAELCLDRPVVLELLRNPPPSLLMMCAALPDEPAPTISVSQTMPVETVVEATVETVVETTTESSEVETTVNKPIHVMQQKFSAQKRLKKAHVETLESVYRKTKRPTNAMISSIVHVTNLPRKRVVKWFEDKRSEDGVTDSRLPYRRPAPNTA >ONI26162 pep chromosome:Prunus_persica_NCBIv2:G1:655475:660641:1 gene:PRUPE_1G007700 transcript:ONI26162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVVDRGGEVEYLFDNAMKGQWGNVVGAYRSSENVHDLCITKMGDTALHIAAFDGETEVVRALLEVIKENVSKILQIKNKKGNTPLHLAAEVGDVVTCHAMATKDRKLVSSRNNKNETPLFLAALNGHEKVFRCLHSHCDDERCYSFRARNGDTILHAAMSGEYFSLAFKILLWHPELVNYINQSGFSPLHILANTPSAFKSSSRLRPLDRLIYQCLIVEELTGGKKDENSICPLEKRGRNTIDTGSESHQALTKSWGNRMCSSLCLAKRGNKKEDVENSQQENSSMSGMNQREESHPNYIYSSCVKFFNLITAALDVINGSPRSTIWSIDKILDEKRRHIWATQVMNELVKHSNLYKKVIQSTGETPEDKDKFGDVPDPTKVDPEEPSHTEKKDDTEDKKNDKLEEKKKDKDNKLEDKKTPILIAAKMGVKEMVETILEKFPVAIEDVDSENKNVALLAVENRQPHVYSLLLDRKKEITRLLRRVDNNGNNALHLAAKCGSHRPWLTPGAALQMQWELKWYTFVKNSMPPRSSVRYNKEGQMPQEVFTTSHKDLRQEGSNWLVKTSESCSVVAALIATVAFATSASVPGGLDDKTGSPVFKDMPAFNAFTISSLLALCLSVTALVFFLTIITSRYEAHDYSISLPRKLLLGLTSLFASIAAVLVSFCTGHIFLLDRQLRYVAYPLYAATCLPVTIFALAQLSLYYDLIRVIFSKVPQRSYKGM >ONI26163 pep chromosome:Prunus_persica_NCBIv2:G1:655475:660641:1 gene:PRUPE_1G007700 transcript:ONI26163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVVDRGGEVEYLFDNAMKGQWGNVVGAYRSSENVHDLCITKMGDTALHIAAFDGETEVVRALLEVIKENVSKILQIKNKKGNTPLHLAAEVGDVVTCHAMATKDRKLVSSRNNKNETPLFLAALNGHEKVFRCLHSHCDDERCYSFRARNGDTILHAAMSGEYFSLAFKILLWHPELVNYINQSGFSPLHILANTPSAFKSSSRLRPLDRLIYQCLIVEELTGGKKDENSICPLEKRGRNTIDTGSESHQALTKSWGNRMCSSLCLAKRGNKKEDVENSQQENSSMSAIWSIDKILDEKRRHIWATQVMNELVKHSNLYKKVIQSTGETPEDKDKFGDVPDPTKVDPEEPSHTEKKDDTEDKKNDKLEEKKKDKDNKLEDKKTPILIAAKMGVKEMVETILEKFPVAIEDVDSENKNVALLAVENRQPHVYSLLLDRKKEITRLLRRVDNNGNNALHLAAKCGSHRPWLTPGAALQMQWELKWYTFVKNSMPPRSSVRYNKEGQMPQEVFTTSHKDLRQEGSNWLVKTSESCSVVAALIATVAFATSASVPGGLDDKTGSPVFKDMPAFNAFTISSLLALCLSVTALVFFLTIITSRYEAHDYSISLPRKLLLGLTSLFASIAAVLVSFCTGHIFLLDRQLRYVAYPLYAATCLPVTIFALAQLSLYYDLIRVIFSKVPQRSYKGM >ONI28868 pep chromosome:Prunus_persica_NCBIv2:G1:13364201:13370861:1 gene:PRUPE_1G165300 transcript:ONI28868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRSPRACMSLPSPSQWKYDVFLSFRGEDTRIGFTDHLYDKLEWQTIKTFRDNEELQRGKTIAPELLTAIEQSRFAIVVLSPNYASSSWCLDEITKIVECMETRGTILPIFYHVDPSDVRKQMGSFAEAFTKHEEIFWKDMAKVRQWREALFKVANFSGWTSKDRYETELIKEIVEVVWNKVHPTLLGSAKNLVGVDFRVKEINLLLDAEANDVRFIGIWGMGGMGKTTIARLVYERVFHNFEVSSFLANVREVSAKHGLVHLQKELLSHILKKESTNVWDVYSGTSMIKNYLCNKKVLLILDDVDELNQLQILLGEKHWFGLGSRIIITTRDQHLLVTHGVEKSYELEGLNEVDALQLFSWNAFKKDHPEEDYLELSKCFMEYAGGLPLALTTLGSFLYKRSRDAWTSALDKLKKAPNRTIFGTLKMSYDGLDEIEKRIFLDVACFLKGYNKERTIEVLDSYGFCPRITVDVLAEKSLLTISDNHVCMHDLIQEMGREIVRQESYEEPGQRSRLWHRDDILNVFTKNRGTKTIEGIVLHLPELEEAHWNPEAFSKMSKLRLLQIHNLSLSQGPKYLSNALKFLDWSWYPSKFLPPTFQPDAISELNLRHSKINRLWNGSKYLGKLKYIDLSYSQSLTMTPDFTGIQNLERLVLEGCTSLVEIHSSISVLKRLKILNLKNCESLKSLPSEVEMESLEVFILSGCSKVKGIPEFVGQMEKLSKLSLDGTSIKKIPSSIERLIGLISLDLRDCKSLICLPSVICGLKSLQNLNMSGCSLLGNLPENLGEIECLEELDLSGTAIGEPPSSLALMKNLKVLSFRGCKGQPPKSWHSFLPFEFFAGKSSGPRGLVLASLKGFCSLKKLDLSDCNLCEGGIPDDIGCMSSLEELSLSRNNFVSLPASLRCLSKLWELNLESCKSLQQLPDLPSNRTLHVKADDCTSLKILPDPPMLSSLYKYFFRAVNGFRLVENNEGCNNIAFLMLQKFRQGVRHSVLKFDIVIPGSEIPDWFSNQTVGDSLMVERPLHLCNSKWMGFVLCAVFGAQENPDLLEFDYFGRHPCGILCYLEIAGSYQFSFPIPDAVLHHSVGHVASDHLWLLYFSRKHHRYENFLKDSCSQVEVLFKPFCSVQKNTCLKLKKCGIHLVYGEDVEELNRKMNQSNSSISLYNAMDVPCCYSEKSSDAEGAVVKRTRKHCDEEEPSAIGSSESDKESLRKRLKED >ONI28869 pep chromosome:Prunus_persica_NCBIv2:G1:13364201:13370861:1 gene:PRUPE_1G165300 transcript:ONI28869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRSPRACMSLPSPSQWKYDVFLSFRGEDTRIGFTDHLYDKLEWQTIKTFRDNEELQRGKTIAPELLTAIEQSRFAIVVLSPNYASSSWCLDEITKIVECMETRGTILPIFYHVDPSDVRKQMGSFAEAFTKHEEIFWKDMAKVRQWREALFKVANFSGWTSKDRYETELIKEIVEVVWNKVHPTLLGSAKNLVGVDFRVKEINLLLDAEANDVRFIGIWGMGGMGKTTIARLVYERVFHNFEVSSFLANVREVSAKHGLVHLQKELLSHILKKESTNVWDVYSGTSMIKNYLCNKKVLLILDDVDELNQLQILLGEKHWFGLGSRIIITTRDQHLLVTHGVEKSYELEGLNEVDALQLFSWNAFKKDHPEEDYLELSKCFMEYAGGLPLALTTLGSFLYKRSRDAWTSALDKLKKAPNRTIFGTLKMSYDGLDEIEKRIFLDVACFLKGYNKERTIEVLDSYGFCPRITVDVLAEKSLLTISDNHVCMHDLIQEMGREIVRQESYEEPGQRSRLWHRDDILNVFTKNRGTKTIEGIVLHLPELEEAHWNPEAFSKMSKLRLLQIHNLSLSQGPKYLSNALKFLDWSWYPSKFLPPTFQPDAISELNLRHSKINRLWNGSKYLGKLKYIDLSYSQSLTMTPDFTGIQNLERLVLEGCTSLVEIHSSISVLKRLKILNLKNCESLKSLPSEVEMESLEVFILSGCSKVKGIPEFVGQMEKLSKLSLDGTSIKKIPSSIERLIGLISLDLRDCKSLICLPSVICGLKSLQNLNMSGCSLLGNLPENLGEIECLEELDLSGTAIGEPPSSLALMKNLKVLSFRGCKGQPPKSWHSFLPFEFFAGKSSGPRGLVLASLKGFCSLKKLDLSDCNLCEGGIPDDIGCMSSLEELSLSRNNFVSLPASLRCLSKLWELNLESCKSLQQLPDLPSNRTLHVKADDCTSLKILPDPPMLSSLYKYFFRAVNGFRLVENNEGCNNIAFLMLQKFRQGVRHSVLKFDIVIPGSEIPDWFSNQTVGDSLMVERPLHLCNSKWMGFVLCAVFGAQENPDLLEFDYFGRHPCGILCYLEIAGSYQFSFPIPDAVLHHSVGHVASDHLWLLYFSRKHHRYENFLKDSCSQVEVLFKPFCSVQKNTCLKLKKCGIHLVYGEDVEELNRKMNQSNSSISLYNAMDVPCCYSEKSSDAEGAVVKRTRKHCDEEEPSAIGSSESDKESLRKRLKED >ONI33322 pep chromosome:Prunus_persica_NCBIv2:G1:36177503:36180567:1 gene:PRUPE_1G416700 transcript:ONI33322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYNQMDHESSGGRGNFLYASPNLGGNYGRASHDHQMGINAFHLQSSGGGDFHSPGGAHPINVKTEASTSHHGHHKFQYNNNNNNNNNNPLLSSRGHQPVVQQQHNLDRQNDDHTLSSNEVEAIKAKIVAHPQYSNLLEAYMDCQRVGAPSEVVARLTAARQEFEARQRSSVASREASKDPELDQFMEAYYDMLVKYREELTRPIQEAMDFMRRIETQLNMLGNNNAPPLRIFSPSEDKCEGLGSSEEEQENSGGETEVPEIDPRAEDRELKNHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQKLLSWWELHYKWPYPSV >ONI33321 pep chromosome:Prunus_persica_NCBIv2:G1:36176926:36180792:1 gene:PRUPE_1G416700 transcript:ONI33321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYNQMDHESSGGRGNFLYASPNLGGNYGRASHDHQMGINAFHLQSSGGGDFHSPGGAHPINVKTEASTSHHGHHKFQYNNNNNNNNNNPLLSSRGHQPVVQQQHNLDRQNDDHTLSSNEVEAIKAKIVAHPQYSNLLEAYMDCQRVGAPSEVVARLTAARQEFEARQRSSVASREASKDPELDQFMEAYYDMLVKYREELTRPIQEAMDFMRRIETQLNMLGNNNAPPLRIFSPSEDKCEGLGSSEEEQENSGGETEVPEIDPRAEDRELKNHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQKLLSWWELHYKWPYPSESEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAALYMDGHYIGDGHYRLGP >ONI26836 pep chromosome:Prunus_persica_NCBIv2:G1:3447741:3450097:1 gene:PRUPE_1G049100 transcript:ONI26836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSILAPFQLLELNVISAQDLAPISRSMRTYAIAWVHPDRKLSTRVDTQGHNNPTWNDKFVFRVDEDFLHEDTSAVMIEIYALHWFKDVHVGTVRVLVGNLIPTPAKPHHHNPHLGMRFVALQVRRPSGRPQGILNIGVALLHSSMRSMPLYSQLSTSAVGYKTLMGEEDPHRSSQTHHAGSQATTYSKPELRRSKSDSSSMFGSELRATEFKNKGKNGKAGSMVNGSEVSIKKNKKGRLSKASSIIGGSEIIIRKSKDKKGKSSSLLSASDMSYKKGKPSSLLGASDVNSSVAPPKYGKDRKGKPSSVLSASEVSVQDPPKKGNSSNGKPASSMPSASEPEDRPRRKPASHKPSPKFNLAENYGSTPGRKSAPRAGKSPFYKLQSPYEQYATPRKSNIMPVPFITESELGPSPSEVAAAIAKERLDQDAESSVVVGAWNEEDSVEGLQSKLERWRTELPPVYDRGEFSSFPSSDERHERRHSDGGSGLFSCFSNICGIECSIVCGSGDSDSKTRKKNGSKSGGKVPRSPSAGNLSYM >ONI34900 pep chromosome:Prunus_persica_NCBIv2:G1:41708497:41710680:1 gene:PRUPE_1G504600 transcript:ONI34900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKALNPKAPPFFLNKTETYVYKRYFYPLQPPYAFQNLHQPIYYFCHWRQPNFPNPKTIVSLKQNMEAAAAAAKTTKRVPRENVYKGKRGFVPARLRKSLKELGDDHQVVGACRVVKWLPKKEVLEAEKGKKAWRQRIIRGTKARLVNAGCGDVIPFPSSPDVQNGSSTTTIMVKNIPNQFQRGDLLSFLSKHCCAENIKACMNSDDPIKSEFDFVYLPMDFQRAANLGYAFVNFTSTVAASRFYKNFHEKMWEEVSSNNKTREVTCAKLQGLEALRGHFKKKAFWCDTEEYLPVILEPPCDGGVELPNLKTVGKCVGVPSSFGNSPTHMP >ONI32397 pep chromosome:Prunus_persica_NCBIv2:G1:33544916:33547672:1 gene:PRUPE_1G365500 transcript:ONI32397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRWKEEKAESPQTLKSNAFSFQSPKQNKMSPLPVPEDVALQIASFLQVPDLCSLASCSRLWRELCGSDCIWESLVRDRWPSLELSDGSSSSSAIKKPMSMGWRCFYMELHNEKAARATSVVQFVETCSSSVSLEVGEYQKAMQDLHALQFGYQDVQMFLFKPELTVLVNLLGLHYCINWLGVPANCVLKALESRKISERQVCVKWWKLGIWSHGFRMRDELLSRRFTLIDVGLAKQEEVLAVLYRGAIHEVLRVQICVADPSSPSWSCQSAHRRG >ONI32398 pep chromosome:Prunus_persica_NCBIv2:G1:33544916:33547672:1 gene:PRUPE_1G365500 transcript:ONI32398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRWKEEKAESPQTLKSNAFSFQSPKQNKMSPLPVPEDVALQIASFLQVPDLCSLASCSRLWRELCGSDCIWESLVRDRWPSLELSDGSSSSSAIKKPMSMANCVLKALESRKISERQVCVKWWKLGIWSHGFRMRDELLSRRFTLIDVGLAKQEEVLAVLYRGAIHEVLRVQICVADPSSPSWSCQSAHRRG >ONI32399 pep chromosome:Prunus_persica_NCBIv2:G1:33544916:33547672:1 gene:PRUPE_1G365500 transcript:ONI32399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRWKEEKAESPQTLKSNAFSFQSPKQNKMSPLPVPEDVALQIASFLQGWRCFYMELHNEKAARATSVVQFVETCSSSVSLEVGEYQKAMQDLHALQFGYQDVQMFLFKPELTVLVNLLGLHYCINWLGVPANCVLKALESRKISERQVCVKWWKLGIWSHGFRMRDELLSRRFTLIDVGLAKQEEVLAVLYRGAIHEVLRVQICVADPSSPSWSCQSAHRRG >ONI30571 pep chromosome:Prunus_persica_NCBIv2:G1:26881175:26882636:1 gene:PRUPE_1G259400 transcript:ONI30571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIFLVLKNTRKVFSEYHNVPMDTHKVFTALVQWGSCRSPSVIHNREVLVRALFV >ONI34718 pep chromosome:Prunus_persica_NCBIv2:G1:41088685:41088927:-1 gene:PRUPE_1G494600 transcript:ONI34718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIKLALNLANQTSHTHTFFTNQPFNSLPSPNARSCIEHMILLGSHKHRSTCFHNFWVAFFFSLLRIRMPLSGQALKRSK >ONI26851 pep chromosome:Prunus_persica_NCBIv2:G1:3532324:3533523:1 gene:PRUPE_1G050500 transcript:ONI26851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWSELQPDLLQLIMNNLSFVNILRFRAVCSSWNHAAKSCATSSCPPPRTPILHGSCSLVVMKKTTKKTLPVELVASTVLKNRKFTSSRARFKTMIMLLLCVGSSHGWLFINSKANSHLLNPFSRRQIQLPLMWFHIAKAVLSSDPTRDNNFAVVVIHSFFPSKLAFYKHAEEDSRIRRTDLNGVHGGYCDVIFHNEQLFALAGDGSVEVWDFIKSFPIESIDLAQPFAEIKKADIMQDFSIYKYSTQNYLVESLGEILYVGRVIGNFVNHKGIVIGQTDLPEGFAVICPYRTLRFYILKLNITAKKWEKGFSRIGRKLNLLHRWDINFQLDSAENGYGGHDNGVYNIGNKVVKPFDQLDKWKIDPPPFWIVPNP >ONI27618 pep chromosome:Prunus_persica_NCBIv2:G1:7702455:7704624:1 gene:PRUPE_1G097000 transcript:ONI27618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEMRVLIVVVAMVVCLPSAVYAEQGTVTFYTPAYVPSSCNGYQNDGVLIAAASAPIFNNKAACGRSYRVTCTGATNQGPPLVPQPCKGTSVVVKIVDLCPSPGCQATLDLSQEAFATIANPDAGKITIEYNQ >ONI29952 pep chromosome:Prunus_persica_NCBIv2:G1:23785104:23786453:1 gene:PRUPE_1G224100 transcript:ONI29952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKDENMELINEAIKRLLEESKNRDASADDDDDCLLLSRLLSQVESLKGNERLQKPEALTKPGELTSSADGDQSKPKRESGGDNADGDDGGSEEIDREEIIKELNKVKRQNTITHWLLSVMIVLTVAWQASEVTLLWKFKDGLSHPFKYFGDMLTGKGAGNDLEEHKKEAPPLPGLKIPEFPHVELPDMSLTGEQH >ONI31292 pep chromosome:Prunus_persica_NCBIv2:G1:29820504:29820974:-1 gene:PRUPE_1G304400 transcript:ONI31292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLIPEVAANDHFGSMPLAMALFISVTALVALCAKAKHGRRAPQQINETNCKEITDGSKKLVASISNKAIPFVHKNKVGRENCSQGDEVEDGFGEGGVWQRSILMGDKCQPPEFSGAIYYDSSGNQLSELPPRSPRVASPLAMPSFLSPVARDAN >ONI30441 pep chromosome:Prunus_persica_NCBIv2:G1:26307618:26309671:1 gene:PRUPE_1G251600 transcript:ONI30441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPEVPVDVFQATSKVSTLSSAAYSNRAFVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAILPDVPEEHREILRSQGCIVREIEPIYPPENQIKFAMAYYVINYSKLRIWNFEEYSKMIYLDADIQVYENIDHLFDTPDGYFYAVMDCFCEKTWSHSPQYKVGYCQQCPDKVSWPAELGSPPPLYFNAGMFVFEPSRLTYDSLLQTLQIVPPTPFAEQDFLNMFFQKTYKPIPLVYNLVLAMLWRHPENVELDKVNVVHYCAAGSKPWRYTGKEANMDREDIKMLVAKWWEVYNDETLDFKAENPADAEEEAFARSSIMASMPEPAISYIPAPSAA >ONI30517 pep chromosome:Prunus_persica_NCBIv2:G1:26585985:26587731:1 gene:PRUPE_1G255100 transcript:ONI30517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLQGKLPCFCVLILCMAVLVSSHNRYYTSPSVPRLTDAFPRVPISNGFSKAFGGSNIQVINGSMATLALDKSSGSGLASVNKYHYGFFSAAIKLPAGLTSGVVVAFYLSNADVFPHNHDEIDIELLGHDKRNDWVIQTNVYANGSVNTGREEKFYLWFDPTQQQHQYSIIWNNHHIVFLVDNIPVREFQHSGSFFPSKPMSVYATIWDASQWATHGGKYPVNYKYAPFRVSFAEMEMSGCISNPTGTVTSCSKNTPSSLDPIEGPEFVKLSNQQISALGWARSKLMFYSYCKDTSRFKVMPPECK >ONI33001 pep chromosome:Prunus_persica_NCBIv2:G1:35279365:35279589:-1 gene:PRUPE_1G398800 transcript:ONI33001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGLNLYTSGLTMEPPLHFGSVRVKNTHPLRLSLVFKNKNCLILSTTNTMEITTSTHNSNKKNPFKESSMDCF >ONI27095 pep chromosome:Prunus_persica_NCBIv2:G1:4862544:4864430:1 gene:PRUPE_1G068000 transcript:ONI27095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKSLLSATAAVILATSLFWTFTSSSSSPSSADSIAFIKKTIDSNKIAIFSKSYCPYCKRAKSVFKELNQVPYVVELDERADGRDIQDALGELVGRRTVPQVWVKGKYIGGSDDTVEAYESGELAKLLGIEVQHREDL >ONI27979 pep chromosome:Prunus_persica_NCBIv2:G1:9094140:9094522:-1 gene:PRUPE_1G114900 transcript:ONI27979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKARFRSSKGGAGVLERPKFDQSQFDPATQLEQGGDIGRLKDKRGIGSRDSYRVLLVDDVRHTEKL >ONI26984 pep chromosome:Prunus_persica_NCBIv2:G1:4269613:4273687:-1 gene:PRUPE_1G060700 transcript:ONI26984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMLPSSRQSFSFFYNSYSSSSSSFSVFLCLTLFFIYTPPFVFATNNEASLLFSWLHSSTSPPPSFFSNWNILDSNPCNWSSITCSPKGLVTEITIQSVPLELPFPSNLSSFVSLQKVVISGANLTGTIPTDIGYYTELQVIDLSSNSLVGSIPASIGKLQNLQDLILNSNQLTGKIPVELSTCVGLKNLVLFDNRLTGSIPSELGKLTSLEVLRAGGNKYISGRIPEELGGCSNLTVLGLADTQVSGSLPASFGRLSKLQTLSIYTTMISGEIPPEIGNCSELVNLFLYENSLSGSIPPELGKLQKLDQLMLWQNSLVGVIPEEIGNCSSLRMIDLSLNSLSGTIPLSLGGLSKLEEFMISDNNVTGSIPSNLSNLTNLMQLQLDTNQISGLIPSEIGMLSKMTVFFAWANQLEGSIPASLASCSNLQALDLSHNSLTGSIPAGLFQLKNLTKVLLISNDISGLIPPSIGNCSSLVRLRLGDNRIAGGIPIAIGDLRSLNFLDLSGNRLSGSVPDEIGSCTELQMIDISNNTLDGPLPNTLSSLSGLQVLDVSVNQFSGQIPASLARLASLNKLILSRNSFSGSIPSSLGLCSSLQLLDLSSNKLTGTIPVELGRIEALEIALNLSCNGLSGPIPPQISALNKLSILDLSHNQLDGDLSPLAGLENLVSLNVSYNKLTGYLPDNKLFRQLSPMDLAGNEGLCSSNRDSCFLSDVGSTGLTRNQNDIRRSRRLKLAIALLITLTVAMVVMGIIAVIRARRDIRDDDDSELGNSWAWQFTPFQKLNFSVEQVLRCLVDANVIGKGCSGVVYRADMDNGEVIAVKKLWPTTVAADNGCCNDEKCGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNKHTRLLMYDYMPNGSLGSILHERTGHAFEWEVRYQILLGAAQGIAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRQKRGSIEVLDPSLLSRPESEIEEMMQALGIALLCVNSTPDERPTMKDVAAMLKEIKHEREEYAKVDVLLKGSPANDARENKNSTGVLASSSAAAMKSLFGKSNNTSFSASTLLYSSSSSAKMGFK >ONI31761 pep chromosome:Prunus_persica_NCBIv2:G1:31275416:31275565:1 gene:PRUPE_1G329400 transcript:ONI31761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEILSISNFMRSLLQSRASAFLPISAASAFAFGSCKEGWYYRCLGVDP >ONI27986 pep chromosome:Prunus_persica_NCBIv2:G1:9121258:9121947:1 gene:PRUPE_1G115300 transcript:ONI27986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSSSLVLFFAFVLVFASTQANAKRLTLEEKQRLKAHHDHKLTTDQEESKPTAVPTGVVNGARDTNDKDAVTDQNQGKSGATEKNNNDESDVNPTFGQYGAGSSSDSSPDTHRYYSTGDDRKPGL >ONI34925 pep chromosome:Prunus_persica_NCBIv2:G1:41817477:41818957:-1 gene:PRUPE_1G506300 transcript:ONI34925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEYNRFHHQHHHHHQHHNLQMHHRSTFLPMLCSRPSIKGVSLPNCSASFKEDPLSPRISCMGQVKRGNKVNGFPTSHRLTIINSKHNNSPNNHHHNNNNNFKYSKLKKLFSSKSLTPTTTTTITTTTKTNTRRRIQQVVVNASSGPRINGQDHHHLCVPDINIVDLDPPLPVIKRVHKKPEAQEEEVGSLWKRRSGGAALEGLQLKLTHHPRHQNQPTTV >ONI28907 pep chromosome:Prunus_persica_NCBIv2:G1:13690424:13692541:-1 gene:PRUPE_1G168100 transcript:ONI28907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIKLPPTNRNGPPKQEVDDEEEEHDQKPYLLQLPGHIIAETFCKIPTKTLIQCKRVCKSWRSWLSDPQFTKELFSRTPTSILITGYSCLGHFLVDLDRTCNPNDVVLKLFCIKKSLRTIIRPTIIGSCNGFLCHHEVHLGGDPSSPHLQSC >ONI29209 pep chromosome:Prunus_persica_NCBIv2:G1:17121294:17122141:1 gene:PRUPE_1G187200 transcript:ONI29209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGWLYLKPPLGDQKQCSEDSSKASTDQQNSNSSKSPSVSTKTLQPKKKDNGGRGNDFNIVGNNITGIKSERVGIFDFGNTRIYKGQGKEKPVDSGGETDSASGTDEDEAVN >ONI32759 pep chromosome:Prunus_persica_NCBIv2:G1:34476932:34478579:1 gene:PRUPE_1G384100 transcript:ONI32759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPQPPPLLPLLLLVAFLILLLPAPSVSAATTSTSNATTTTTQQFREAPQFYNSPDCPSITPLHHDDLDPDESDDDHMMICAYQAVHVAMTLDTAYIRGSMAAILSVLQHSSCPQNAVFHFVASATSNASLLRDTISSSFPYLKFRIYPFDDSHVSGLISISIRSALDCPLNYARSYLADLLPLCVRRVVYLDSDLILVDDIAKLAATPLGPSSVLAAPEYCNANFTTYFTPAFWSNPSLSLTFADRKACYFNTGVMVIDLDRWRGGDYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGNIAPVEHRWNQHGLGGDNFRGLCRDLHPGSVSLLHWSGKGKPWARLEANRPCPLDALWAPYDLLVTPFVLDS >ONI30078 pep chromosome:Prunus_persica_NCBIv2:G1:24253751:24255233:-1 gene:PRUPE_1G229600 transcript:ONI30078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLASMPSTTSVLSTYTTFAAGAMLLRTVINEVQTITSQFIPQKLQDKILATIGGLLGILPNSQMTLIIDEHSGLPVNEIYQASAIYLSTRITPSIEQLKVSKAPSQNNFSVTINRGEKLIDEFEGIKPIQLSFHKTYREKVLSTYLPYVVDRSNAIQEERKVVKLHALGNFNEEVLWKRGYLLYGPPGTGKSSMIAAMANFLKFDIYDLELTHLRSNSELRRLLVSTANRSILLALSGLLNFIDGLWSGCGDERIIVFTTNYKDKLDPALLRPGRMDMHIHMSCTPGGFKILASYYLGIKTHGFFDEIEASIREVEVTPAEIAEELMKSEDTDTVLGGLVAFLKNKKKVDCNAGVNVEETKEVNEQAK >ONI34870 pep chromosome:Prunus_persica_NCBIv2:G1:41599332:41602240:-1 gene:PRUPE_1G503100 transcript:ONI34870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKVETVIAGSYVEMEREGEEMDSKTTKSKLSTFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGLLSGILFQLFYGLMGSWTAYLISLLYVEHRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLIMTTYTAWYLTIASLIHGKVEGVKHSGPTTMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLMATLYVLTLTLPSASAVYWAFGDNLLTHSNALALLPRTGFRDTAVVLMLIHQFITFGFACTPLYFVWEKFIQMHETKSMLKRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMVTFASASARENAVERPPSLLGGWAGSYAINSFVVVWVLIVGFGFGGWASMLNFIRQVDTFGLFTKCYQCPPHKA >ONI28108 pep chromosome:Prunus_persica_NCBIv2:G1:9681032:9683026:-1 gene:PRUPE_1G123400 transcript:ONI28108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRVNEESQFDKHPFRFLLTQSSCALSPPWPKTMLSTGQSNVSLAWEVYVSSSYWQFEILYVLSVESCE >ONI26048 pep chromosome:Prunus_persica_NCBIv2:G1:185224:190701:1 gene:PRUPE_1G001200 transcript:ONI26048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGGAKFPGITGLNNHENNYYDLSQGFYHKLHEGEGTNMSIDSMQTSNDGGSVAMSIDNSSVASNTNDSHTRILNHQGLRRRANDNYSVQTSVNRRGRVTHALSDDQLARALMDSHSLTQGLENYEEWTIDLTKLNMGEAFAQGAFGKLYRGTYNGEDVAIKILERPENDPEKAQVMEQQFQQEVKMLAALKHPNIVRFIGGCRKPMVWCIVTEYAKGGSVRQFLMKRQSRSVPLKLAVKQALDVARGMAYVHALGLIHRDLKSDNLLIFSDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPIIPSDCLPLLGEIMTRCWDANPDVRPPFTEVVRMLEHAETDIMTNVRKARFRCCMTQPMTAD >ONI27506 pep chromosome:Prunus_persica_NCBIv2:G1:6822990:6823572:1 gene:PRUPE_1G090700 transcript:ONI27506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVEIAPQRVWLCSPCFFFSTLFSAILASIWCAWLCIKKKKKTTPTPKSSNRSPLHKETEPSVLSHLPLLSHPHHTPTHN >ONI32780 pep chromosome:Prunus_persica_NCBIv2:G1:34519425:34524217:1 gene:PRUPE_1G385000 transcript:ONI32780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMCIGILVNTDCESSPKNHVADFIFFHPKGIGIRQDVRVLTTTNIESNKIKKEKVALISSHYNQERKTASTAHHYPLQLTHPPSIISITGSILAVHADPLSS >ONI28970 pep chromosome:Prunus_persica_NCBIv2:G1:14436465:14440793:-1 gene:PRUPE_1G172700 transcript:ONI28970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSGRNVNVNSVFYAEAYHPVQAGSIDGTDILPHDNAVYRAFLCSSAGLYDPLGDPKLIGDPYCTLFVGHLSHLTTEQSLRKALSKYGRVKDLRLVRHIVTGASRGYAFVEYETEREMQRAYKEAHHSFIDDSEVIVDYNRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRSIPYDDLERLGIPPPPEGRYMSRYQVPSPPRRKRNSVDREEGIHKRSMERGANTRKSSPVEKEERSHKRSMDPEDHSFKRSSVETEHHRNRSSIDRAERSHKRTSRDRDDRSHKRHKSHRHERSTSHDHY >ONI28971 pep chromosome:Prunus_persica_NCBIv2:G1:14437709:14440734:-1 gene:PRUPE_1G172700 transcript:ONI28971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSGRNVNVNSVFYAEAYHPVQAGSIDGTDILPHDNAVYRAFLCSSAGLYDPLGDPKLIGDPYCTLFVGHLSHLTTEQSLRKALSKYGRVKDLRLVRHIVTGASRGYAFVEYETEREMQRAYKEAHHSFIDDSEVIVDYNRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRSIPYDDLERLGIPPPPEGRYMSRYQHIVATAQSRLDNLVLEHYQTSTPKLLSVFVSYLCL >ONI28969 pep chromosome:Prunus_persica_NCBIv2:G1:14436448:14440780:-1 gene:PRUPE_1G172700 transcript:ONI28969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSGRNVNVNSVFYAEAYHPVQAGSIDGTDILPHDNAVYRAFLCSSAGLYDPLGDPKLIGDPYCTLFVGHLSHLTTEQSLRKALSKYGRVKDLRLVRHIVTGASRGYAFVEYETEREMQRAYKEAHHSFIDDSEVIVDYNRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRSIPYDDLERLGIPPPPEGRYMSRYQVPSPPRRKRNSVDREEGIHKRSMERGANTRKSSPVEKEERSHKRSMDPEDHSFKRSSVETEHHRNRSSIDRAERSHKRTSRDRDDRSHKRHKSHRHERSTSHDHY >ONI33593 pep chromosome:Prunus_persica_NCBIv2:G1:37154724:37162285:1 gene:PRUPE_1G434800 transcript:ONI33593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCVAALVGHFQWQKFTNNNEFSSHLGIITLLSDSLRLVNSDIEHHQAFPFKPSVSHPEALVEEELKKLRSKSNRVFIVMQFSLQSAVIFFEKAKQLGMMDKGYVWIVTDEIAKLLDSVDTYDKYNMQGVIGIKTHFAGTSFKQFKTRFRRIYGLRIFALRANDAIWATGRAIKILQQGNVTSKELSQKILSTAFQGLSGMIEFKNGMLSQPPTFQIINREMAFWSPRYGFSENLMKHTDMNAFAEVLGPIYWPGGLQTTRKGWTPVDVVLSSYKYFHVDRALKIGVPAMGAFTQFVKVRYDPDNNETDISGFSIDVFEAAVKHLPYQLPYEFVPFNGSYDEMVELVSNKPCISSGLEMVVTVKPDKLKETEMLVWPFTREMWFLLFVTHLSVCLVACLMEIVHGRSLEDIGAFWFCVDVLFICTDLSSMMTVSWVQPSVLDIETLKMTNATVGCNGNSFIVRYLINVLEFRPENIKGIASISDYPEAFERKDITAAFFVSPHAKVFLAKHCNAVFTKTGSVYKPSGFGFVFQKGSPLATDISEAMLEATESGQVEKLEKQMLSSYDCSSPINSNNGSIGPGPLSGLFLLAGLACALAFLVTIVRLVVLLITSSRVGKEQKIAMKMAIQDLFHSTCARLDLHLEDSQGNSAGAIAGAINLLNSKQVVAIIGTLTMQEAALVSEIGHNNTKIFPPVISLPSTTISPPTESLQWPNFFQLANDILFHKQCVAALVGHFQWRKVTAIYEHKNELSSASAIMISILSDSLRLVNSEIEHYRAFPSLSSLSDPDGFVDKELKNLRSKSNRVFIVMQFSLQSAVLFFEKAKQLGMMDKGHVWIVTDEIASLLDSVDSSIKYNMQGVVGIKTNFIETTKTFRRFKTRFHRIYGLQYLEEEENSSPSIFALRAYDTIWAIAGAMKNVTTKELSQKIVSTSFQGLSGKIEFKNGMLSQPPTFQIINIVGKSYREIAFWSPRFGFSENLIMHSDMKERIENGSIQVLGPIYWPGGLLATPKGWIPVEGERPLKIGIPARGAFNQFLKVSYDQERNETQISGFSIDVFEAAVKHLPYQLPYVFVPFNGSYDELVQQVYYKVDVLLLLNCLDAAVGDIEVVADRYRFVEFSQPYVSSGLVMVVTVKPDKLKEKWMFMKTFTKSMWFLLIVAHLSVCFVVWLIENEHGDNLELKGIGAILWFSVTILFFAQNVDLKYAGERVQSNWARLVLAPWLVVILVVTATFTASLTSMMTVTRVQPSALDVETLKRTNATVGCNGNSFIVRYVINVLEFKPENTKRIASISDYPNAFERKDIAAAFFVAPHAKVFLAKYCKGYINSGPVYKPSGFAFVFAKGSPLAFDISEAILETNERGQVEQLEKQMLSSYNCSSSTKLENEDSIGPGPFSGLFLISGFVCASAFFVTVVRIAGRHVQNLSCIRAMLINTRIWKWTSISLAMSCRKPKSYCSTRSSMVKPNSQGEKLDELEHNSMSTGM >ONI27712 pep chromosome:Prunus_persica_NCBIv2:G1:8037970:8039709:1 gene:PRUPE_1G100900 transcript:ONI27712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAINDATSPSALSDKSAKIFVAGHRGLVGSAIVRKLQALGFTNLVLRGHAELDLTRQNDVESFFAVEKPQFVILAAAKVGGIHANNTYPADFIAVNLQIQTNVIDASYRFGVKKLLFLGSSCIYPKFAPQPIPESALLTGPLEPTNEWYAIAKIAGIKMCQAYRIQYNWDAISGMPTNLYGPHDNFHPENSHVLPALMRRFHEAKVKGAKEVVVWGSGSPLREFLHVDDLADGVVFLMENYSGLEHVNVGSGKEVTIKELAELVKEVVGFEGELVWDSSKPDGTPRKLMDSSKLAGLGWTPKISLKDGLVDTYKWYLENVKQ >ONI34401 pep chromosome:Prunus_persica_NCBIv2:G1:40133330:40137597:1 gene:PRUPE_1G480500 transcript:ONI34401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLHGTLLATIYEVDRLYNGCGLHLLWKSPKIFVAQIKKLVLCGPKQIVGSKLYATVDLDKARVGRTRMVNDPNNPKWREDFHIYCAHNISHLIFTVKSNDLIGATLIGRAYIPVEEIIKGYAVDRWVEILDEDGNPIYGNSRIHVKLQFSTVKDDFHWSLGIKSPKYDGVPWTFFNQREGCRVTLYQDSHVPNDFISHIPAHEPQRCWEDIFDAITNARHFIYITGWSVYTEITLLRDPSRRKDDITLGELLKRKANEGVSVLLLVWDDRTSVEELKKDGLMTTHDQGTEEYFLNTKVHCFLCPRNPDVGKSIIQGFQTATMFTHHQKTIVVDSEMPGAESQKRRIVSFVGGIDLCDGRYDTPKHPLFATLDTTHQKDFHQPNFAGSSIRKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWKKQVGERFLISLGKLEEITVHPLKNTFSNDKESWNVQLFRSIDNGAAFGFPEKPQEATQLGLVSGKNNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFGWKSKDIKDEDINALHLIPKELSLKIVSKIESGERFTVYIVVPMWPEGIPESASVQAILDWQRRTMEMMYTDISEALQRRGSNEHPKDYLTFFCLGNRQMEKDGEYKPPETPEPDTDYRRAQQARRFMIYVHSKMMIVDDEYIILGSANINQRSMDGSRDSEIAMGAFQPEHLATTDPARGQIYAFRLSLWFEHLRVPDNSFLHPESLECVRRVNQIAEKHWDLYSSDTLHQDLPGHLLRYPVEVSKTGDLTTLPGFEHFPDTKARIFGNKSEYLPPILTT >ONI34402 pep chromosome:Prunus_persica_NCBIv2:G1:40134514:40137597:1 gene:PRUPE_1G480500 transcript:ONI34402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDPNNPKWREDFHIYCAHNISHLIFTVKSNDLIGATLIGRAYIPVEEIIKGYAVDRWVEILDEDGNPIYGNSRIHVKLQFSTVKDDFHWSLGIKSPKYDGVPWTFFNQREGCRVTLYQDSHVPNDFISHIPAHEPQRCWEDIFDAITNARHFIYITGWSVYTEITLLRDPSRRKDDITLGELLKRKANEGVSVLLLVWDDRTSVEELKKDGLMTTHDQGTEEYFLNTKVHCFLCPRNPDVGKSIIQGFQTATMFTHHQKTIVVDSEMPGAESQKRRIVSFVGGIDLCDGRYDTPKHPLFATLDTTHQKDFHQPNFAGSSIRKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWKKQVGERFLISLGKLEEITVHPLKNTFSNDKESWNVQLFRSIDNGAAFGFPEKPQEATQLGLVSGKNNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFGWKSKDIKDEDINALHLIPKELSLKIVSKIESGERFTVYIVVPMWPEGIPESASVQAILDWQRRTMEMMYTDISEALQRRGSNEHPKDYLTFFCLGNRQMEKDGEYKPPETPEPDTDYRRAQQARRFMIYVHSKMMIVDDEYIILGSANINQRSMDGSRDSEIAMGAFQPEHLATTDPARGQIYAFRLSLWFEHLRVPDNSFLHPESLECVRRVNQIAEKHWDLYSSDTLHQDLPGHLLRYPVEVSKTGDLTTLPGFEHFPDTKARIFGNKSEYLPPILTT >ONI30241 pep chromosome:Prunus_persica_NCBIv2:G1:25385755:25389408:1 gene:PRUPE_1G239700 transcript:ONI30241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSFRPSLVLVPTPKPPNPLLPRTHHHYGFSQPKPFLRGSLAVARLGFGPGLIPEPEDAGAVLKELYDRAESLLYTVADAAVSASSSTESVSTTKQSSDWLSGITNYLESVLKFLKDGLSAVHVPYSYGFAIILLTLLVKAATFPLTKKQVESAMAMRSLQPQVKAVQERYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTVAARQNGSGISWLFPFVDGHPPLGWSDTLAYLALPVLLVVSQYISVQIMQSSQSNDPNMKSSQVITKLLPLMIGYFALSVPSGLSLYWFTNNILTTAQQVWLQKFGGAKNPVSQLSDNFIKEGEPQIQKSVSELKLTQKDTRQEEKLTPEGLRPGERFKMIKEQEARRKQQREEEKRKVEEAAAKGTQITNGRHEGEARLLDNENGAAVELVGEKSEERHSQTTTHSSSDVGVSVNGDRSIQDLKEDHNTVSVLKMENSEVSANLEVERRDEQKSDESQGDGKESVEVRTSTTTVDTKVSGEGTHQVRGE >ONI31086 pep chromosome:Prunus_persica_NCBIv2:G1:28961655:28964363:1 gene:PRUPE_1G291200 transcript:ONI31086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLGGLIVILSSFLCLLLVLAFIKILHKLWWTPIRIQKLMALQGIKGPSYRFIHGNTKEISNMKKEVMGRPQLLSHDILSVVQPHIHSWTKIYGKNYLQWHGCRAQLVITEPELCKEILNNKDRAYQKREPTNIAKKLLGDGLVVTTEAEKWAKLRKLATHAFHGESLKSMIPEMVASAESMLERWTVYEGKEIEVYEEFRLFTSEVISRTAFGSSYVEGQDIFEILMKLGFLIFKNFLKVRVPGISKFFKTSDEIESEKLEKGIHASIIEMVKKREKKTMGGEKDSFGSDFLGLLLKANHEANENQRISVQEIIDECKTFYFAGQETTNTLLAWTVFLLALHTDWQEEARKEVLQLFGKQTPNLDGIGKLKTMSMIINEALRLYPPAVSVIRNVEREVRLGKLIVPSNLDVVVSIVAVHHDPQIWGQDVQLFKPERFSEGVAKATNNNIGAFLPFSMGPRTCVGLNFAITEAKIALSMILQRYAFTLSPGYVHLPLHYLTVRPQHGVQVMLHSL >ONI34495 pep chromosome:Prunus_persica_NCBIv2:G1:40382530:40384549:-1 gene:PRUPE_1G484700 transcript:ONI34495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKIKSKTPREMQDNTAAAEEENPSSSAKKLLNHWLRFSSGTLGEQ >ONI26115 pep chromosome:Prunus_persica_NCBIv2:G1:441426:445074:-1 gene:PRUPE_1G004900 transcript:ONI26115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSLTLFLHPPPPPPPLLSLPFAPKKPHQCYSLSPLSRTFKSFKSLHIICFSSNSSSSQNDREESRWLREEQRWLREEQRWLREEQRWARDRDSLLREIAELKLKIQALEHLQEGGGASVSESETIANIAGLLQILTEKNRIAETGSSSRAIELDHIQEEIQEVSAVPEVKEKKKSRNALRKGSEGEEVRAMQEALQKLGFYSGEEDMEFSSFSSGTERAVKTWQASLDAPQDGIVTAELLEQLYTVAQIEGLDNKGSTVTATQKEDTNGAAVTSVTEISEVQQTLVKEGVTEVEVSEHRVFLLGENRWEDSSRLSRNQKKGGESKAMNTTTRCLTCRGEGRLLCTECDGTGEPNIEEQFLDWVEEGAKCPYCEGHGFTICDVCEGATVA >ONI35482 pep chromosome:Prunus_persica_NCBIv2:G1:44031155:44031921:1 gene:PRUPE_1G538700 transcript:ONI35482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVMIFSSTGKLYDFASTRSYLFYNIFN >ONI35481 pep chromosome:Prunus_persica_NCBIv2:G1:44031155:44033143:1 gene:PRUPE_1G538700 transcript:ONI35481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVMIFSSTGKLYDFASTRFRFIAIWTA >ONI32065 pep chromosome:Prunus_persica_NCBIv2:G1:32427595:32430525:-1 gene:PRUPE_1G346900 transcript:ONI32065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNCKLTPCVLVALLLVGVLHLEAAMATDFTYGEALDKSLMFFEAQRSGKLPSDQRVKWRGDSGLTDGLAQGVNLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIEFRKEITDLAQMGQTLWAIRWGTDYFMKAHPQPNVLWGQVGDGDSDHYCWERPEDMTTPRTAYKIDENHPGSDLAGETAAALASAAIAFQPYNSSYSALLLVHAKQLFTFADRFRGLYDESIGSAKNFYTSSGYSDELLWAAAWLYQATNEEYYLKYVVDNAVYMGGTGWAVKEFSWDNKYAGVQILLSKVLLEGKGGAYTSTLKQYQAKADYFACACLQKNEGYNVYKTPGGLLYVREWNNLQYVTSASFLLAVYSEYLSAANAKLTCPEGQIQPQELLSFAKSQADYILGKNPKSMSYIVGFGSKYPVHVHHRGASIPDVSVLQSVVGCVQGFETWYHRPQANPNVIYGALVGGPDQNDNFSDDRSSYEETEPTLSGSGPLIGLFSKLQSTPIKFIHSISNTWSIGKMTYYRHKVILKNTSQKPVTDVKLMIENLQGPLWGLSPTPEKNTYELLQWQKVLQPASECTFVYIQGGPQAKISILSYH >ONI34622 pep chromosome:Prunus_persica_NCBIv2:G1:40832000:40836961:1 gene:PRUPE_1G490900 transcript:ONI34622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFGVLTEQYGLKPQGKSAPMAASKRPTSANDTQAWNFVADSNSNPKTSSYSSRSPPANSNSDYASFFDAQDGLFQSGSNNKTRSFGGLDDYDDIFGGPVKPTKQSEGSSFNFDSIISGSNAKSSSFNGYKDAYDVFGGMPGLKSPGSGKTNAKGDDIFGSFASAPKQSATVDDLLGDLSGVRQKLQTLNVKNDKNVNRSAKVGKSGGDFDDLIPGFGGSAPSNNGTYAQENHCQQSTVHSTKSNFSSSLDDPFVVLESVSTSTSTPAYDSSDIFSELEKISKFSNSGGAKLGVSSNSSTKLKSSPKSAQVSKGDKERSSGVSSIDELEEFAKGTVRNNATGRTNVHEDVETSATRCGRSSEDDLMGFRSNSVPRSWAAPATLDPVFDAPTNNRGGPRPQGTSGTSSSMKKSSSATGIFDDLFSMNGASPGFVEFEEVEGESEERRRARLGRHQRAHERALQAVADMNQRDRKTQQEQEEKRRIAETLNIKIKSWSYGKEGNMRALLSSLQSVLWPESGWEPVSLTDLITSGSVKKVYRKATLCIHPDKVQQKGASLEQKYTAEKVFDILKEAWNKFNKEELS >ONI32224 pep chromosome:Prunus_persica_NCBIv2:G1:32922468:32927519:-1 gene:PRUPE_1G354600 transcript:ONI32224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPVPVPGSTRFPSFQLASPILIRHHHHHHHMFHLWSLSATTAAAVTNSSQAHLPPIPSSTSTSNSRRRSFDDDQAAVSRYDFSPLLTFLAAKSMSMSSSASSPTSLDPAEFQLAESYRAVPAPLWHSLLKSLCSSSSSDIQLAYAVVSWLQKHNLCFSYELLYSILIHALGRSEKLYEAFLLSQRQSLTPLTYNALIGACARNGDLEKALHLMSRMRQDGYRSDFVNYSLIIQSLSRSNKIDSPIMLKLYREIESESIEIDGQLYNDIIAGFAKAGEPTQAMHLLAMVQATGLSPKTATLVALISALGNCGRVVEAEAIFEEMKEGGLQPRTRAYNALLKGYVKAAQLKDAESIVSQMEKSGISPDEHTYSLLIDAYANAGRWESARIVLKEMEASNVQPNSYVFSRILASYRDRGEWQKSFQVLREMKSSGVRPDRHFYNVMIDTFGKSNCLDHVMATFERMLSEGIQPDTVTWNTLIDCHCKSGHHKRAEELFEEMHQSGCAPCATTYNIMINSFGEQQRWVEVKGLLGKMQAQGLLPNIVTYTTLVDIYGKSGRFNDAIECLEVMKSAGLKPSPTMYNALINAYAQRGLSEQALNAFRVMRADGLKPSLLALNSLINAFGEDRRDAEAFSVLQYMKENDLKPDVVTYTTLMKTLIRVDKFYKVPAVYEEMILSRCTPDRKARAMLRSALKYMKQTLR >ONI28583 pep chromosome:Prunus_persica_NCBIv2:G1:11744707:11748698:-1 gene:PRUPE_1G149700 transcript:ONI28583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCSNPPTINPSSGSGHVEKLGGLDSYVTGSPDSKLAILLVSDIFGFEAPNLRKLADKVAAAGFFVVVPDFLYGDPYAPEDANRPLPVWIKDHGPANGFEDAKPVLGALRRKGFSAVGAAGFCWGGKVVVELAKHDFIQAAVLCHPSFVIVDDIKAVKVPISILGAEIDHMSPPEVVKQFEEVLTAKSEVKSHVKIFPKVAHGWTVRYNVEDEAAVKCAEEAHQNLLEWFLNHVRGIRSSL >ONI31849 pep chromosome:Prunus_persica_NCBIv2:G1:31616841:31621759:1 gene:PRUPE_1G334500 transcript:ONI31849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTIQLYGFSSSESPAAVTEFLEAYTGKGTVHAIKFLPPKDGKSRTLAIVQFTDAKFAGIIIPLADARSLWYNKSYLKARKAKFDMVPNSEIFEHCMELVQLHLGCQISEEQFSVLWTALDVSVKFGKEFKNIYLLLSLDAVEYKLEISSESIRQIELHHPRGQLPNFLLIQLLGAPRIFKKASQNGWVREVDFTPSRCIGQSSVVCLELPPTCELPNLRKIYAHYKENEGRLVLERGNTFSCTSDLVPIVGPPLGINLPYKILFKINSLVQHGCVPGQALDVKFYELVDPSIIRIQYIECALDKLFRLKGCCYEPVSWLTEQYREYMACERIPQSPAISLDDGMVYVHRVQVTPSKVYFCGPEANVSNRVLRNYPEDVDNFLRVSFVDEDMGKMRSGDLCPRTNSTTSTEGERKTGVYERILSTLRNGIVIGEKKFEFLAHSSSQLREHSVWMFASRSELTAQDIRNWMGDFSDIKNVAKHAARLGQAFSSSRETFDVGEDEIEFIPDVKTERGGVKYCFSDGIGKISAEFAGRVASKCGKSTTPSAFQIRLGGYKGVVAVDPTLSKKLALRNSMCKYQSNNTKLDVLAWSRYQPCFLNRQLITLLSTLGVPDLVFVKKQNEALKQLEGVLADPSRALEALEMIFQGEVTNVLKEMLACGYEPDAEPFLSLMLQAFCASKLVELRTKTRIFVPNGRSLMGCLDETGTLEYGQVFVQCSQRAVFGGNSNSSATSSEDNFIVEGNVVVAKNPCLHPGDVRVLRAVNVPALHHMVDCIVFPQKGNRPHPNECSGSDLDGDFYFVSWDPDLIPPRQVRPMKYIPAPTIELGHDVTMEEVAESFTNYIVNDNLGIICNAHTVFADRERQKATSAPCIKLAKLSSHAVDSPKTGVVVEVPHCLRVDKYPDFMDKGDKVTYESKRVIGRLFRQVKHVELASDSPSNSGSIKSFTMEVAMKFYDPDMEVDGFEDYIKDAINYKIEYDYKLGNLMDYYGYKTEAEILSGSITAVSKNFNRGKDLESIDYALKALRKEARTWFDEKLGMQSDMKPDINDVEAAKASAWYHVTYHPDYWGRCNKGMERDHFLSFPWCVFDKLIQIKRRNSSLK >ONI31847 pep chromosome:Prunus_persica_NCBIv2:G1:31616957:31621759:1 gene:PRUPE_1G334500 transcript:ONI31847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTIQLYGFSSSESPAAVTEFLEAYTGKGTVHAIKFLPPKDGKSRTLAIVQFTDAKFAGIIIPLADARSLWYNKSYLKARKAKFDMVPNSEIFEHCMELVQLHLGCQISEEQFSVLWTALDVSVKFGKEFKNIYLLLSLDAVEYKLEISSESIRQIELHHPRGQLPNFLLIQLLGAPRIFKKASQNGWVREVDFTPSRCIGQSSVVCLELPPTCELPNLRKIYAHYKENEGRLVLERGNTFSCTSDLVPIVGPPLGINLPYKILFKINSLVQHGCVPGQALDVKFYELVDPSIIRIQYIECALDKLFRLKGCCYEPVSWLTEQYREYMACERIPQSPAISLDDGMVYVHRVQVTPSKVYFCGPEANVSNRVLRNYPEDVDNFLRVSFVDEDMGKMRSGDLCPRTNSTTSTEGERKTGVYERILSTLRNGIVIGEKKFEFLAHSSSQLREHSVWMFASRSELTAQDIRNWMGDFSDIKNVAKHAARLGQAFSSSRETFDVGEDEIEFIPDVKTERGGVKYCFSDGIGKISAEFAGRVASKCGKSTTPSAFQIRLGGYKGVVAVDPTLSKKLALRNSMCKYQSNNTKLDVLAWSRYQPCFLNRQLITLLSTLGVPDLVFVKKQNEALKQLEGVLADPSRALEALEMIFQGEVTNVLKEMLACGYEPDAEPFLSLMLQAFCASKLVELRTKTRIFVPNGRSLMGCLDETGTLEYGQVFVQCSQRAVFGGNSNSSATSSEDNFIVEGNVVVAKNPCLHPGDVRVLRAVNVPALHHMVDCIVFPQKGNRPHPNECSGSDLDGDFYFVSWDPDLIPPRQVRPMKYIPAPTIELGHDVTMEEVAESFTNYIVNDNLGIICNAHTVFADRERQKATSAPCIKLAKLSSHAVDSPKTGVVVEVPHCLRVDKYPDFMDKGDKVTYESKRVIGRLFRQVKHVELASDSPSNSGSIKSFTMEVAMKFYDPDMEVDGFEDYIKDAINYKIEYDYKLGNLMDYYGYKTEAEILSGSITAVSKNFNRGKDLESIDYALKALRKEARTWFDEKLGMQSDMKPDINDVEAAKASAWYHVTYHPDYWGRCNKGMERDHFLSFPWCVFDKLIQIKRRNSSLK >ONI31848 pep chromosome:Prunus_persica_NCBIv2:G1:31616735:31621759:1 gene:PRUPE_1G334500 transcript:ONI31848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTIQLYGFSSSESPAAVTEFLEAYTGKGTVHAIKFLPPKDGKSRTLAIVQFTDAKFAGIIIPLADARSLWYNKSYLKARKAKFDMVPNSEIFEHCMELVQLHLGCQISEEQFSVLWTALDVSVKFGKEFKNIYLLLSLDAVEYKLEISSESIRQIELHHPRGQLPNFLLIQLLGAPRIFKKASQNGWVREVDFTPSRCIGQSSVVCLELPPTCELPNLRKIYAHYKENEGRLVLERGNTFSCTSDLVPIVGPPLGINLPYKILFKINSLVQHGCVPGQALDVKFYELVDPSIIRIQYIECALDKLFRLKGCCYEPVSWLTEQYREYMACERIPQSPAISLDDGMVYVHRVQVTPSKVYFCGPEANVSNRVLRNYPEDVDNFLRVSFVDEDMGKMRSGDLCPRTNSTTSTEGERKTGVYERILSTLRNGIVIGEKKFEFLAHSSSQLREHSVWMFASRSELTAQDIRNWMGDFSDIKNVAKHAARLGQAFSSSRETFDVGEDEIEFIPDVKTERGGVKYCFSDGIGKISAEFAGRVASKCGKSTTPSAFQIRLGGYKGVVAVDPTLSKKLALRNSMCKYQSNNTKLDVLAWSRYQPCFLNRQLITLLSTLGVPDLVFVKKQNEALKQLEGVLADPSRALEALEMIFQGEVTNVLKEMLACGYEPDAEPFLSLMLQAFCASKLVELRTKTRIFVPNGRSLMGCLDETGTLEYGQVFVQCSQRAVFGGNSNSSATSSEDNFIVEGNVVVAKNPCLHPGDVRVLRAVNVPALHHMVDCIVFPQKGNRPHPNECSGSDLDGDFYFVSWDPDLIPPRQVRPMKYIPAPTIELGHDVTMEEVAESFTNYIVNDNLGIICNAHTVFADRERQKATSAPCIKLAKLSSHAVDSPKTGVVVEVPHCLRVDKYPDFMDKGDKVTYESKRVIGRLFRQVKHVELASDSPSNSGSIKSFTMEVAMKFYDPDMEVDGFEDYIKDAINYKIEYDYKLGNLMDYYGYKTEAEILSGSITAVSKNFNRGKDLESIDYALKALRKEARTWFDEKLGMQSDMKPDINDVEAAKASAWYHVTYHPDYWGRCNKGMERDHFLSFPWCVFDKLIQIKRRNSSLK >ONI30980 pep chromosome:Prunus_persica_NCBIv2:G1:28671570:28674865:-1 gene:PRUPE_1G286100 transcript:ONI30980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQMAILARTRTLAKTLNPNPGFKTITTFSFLSQELQLAEPTHPIPSTPLPPNPASGSPLYNENWRNPIPNSSMTQSLLPLGFLNQSPSSRIHALSQTLDVQSLMNVFADWMTSQRWADMKQLFEFWIRSLDKNGKPNKPDVNLYNHYLRANLMTGASPGQMLDLVGHMEDYGVTPNTASFNLVLKSMHQAREIDAAEKLLERMLQTGNESPPDDESYDLVVGMLFQTDRIDAALKYIDLTLKSGYMLSMAVFRDCVQGCADKGKLDILVSIIDKCKSMDQNKALCPPWNMCNYIAEVALQVDNSKLAFHALEFMAKWIARGEQARPAVFLSVDEGLLVSALATAARTHSTTLLDASWAILRRSLRQKKAPNPESYRGKICALASLGSLQRAFSTLHEYESAYGNSDKEEELFSPFTSLHPLVVACSKGGFETLDSVYYQLENLSRADPPYKSVAALNCIILGCANIWHIERAYQTFDAISSSFELTPDIHSYNCLMYAFGKFKQTVEASNVFGHLVSLGVKPNAKSYSLLVDAHLVNKDPKSALSVIDDMVNAGFEPTRETLKKVRRRCMREMDYESDDRVDSLAKRFKIQLGSESRRNILFNLNYSTGYA >ONI27434 pep chromosome:Prunus_persica_NCBIv2:G1:6390354:6398302:-1 gene:PRUPE_1G086000 transcript:ONI27434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPSQAVSSRGPITHVIFDMDGLLLDTEKFYTEVQEIILARYNKTFDWSLKAKMMGKKAIEAARVFVEETGISDTLTAEDFLVEREAQLQSMFPTSDLMPGASRLIRHLHEKGIPFALATGSHKRHFELKTQRHGELFSLMHHVVLGDDPEVKQGKPSPDIFLAAAKRFEGAPIDPKKILVFEDAPAGVLAAKNAGMSVVMVPDPRLDSSFYDIADQVVKSLLDLNPNDWGLPPFDNPAS >ONI30972 pep chromosome:Prunus_persica_NCBIv2:G1:28640718:28642479:1 gene:PRUPE_1G285300 transcript:ONI30972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESGPRKLVKSRYVYINTELPTEIIFNHILPRLPPEALMRCKYVCKSWSSLIRSPSFVTDFNDRNKSNTNFLFQKNTRLFSSKIEEQQGENNILIPTPIAQLPLPTRSKFLKRYPDLVEYILNPEPVAELSYLSRCEAFECHPNHVQSVHGLVCASSRRGPVFILNPSTQESIELPYIIENYRLASATYKFGFSPLTNEYKVLQILSFGPNSRIDIRFHVFTLGRDSSWRPLQVDPADLPFDALDQAYEIQNGRSTGCVCLNGAIHWIHETQKVIVVFDVKEETFRVVPLPEGFHPDIHGLYRFACHASVVEVEGCVGVFADNSLRQNRIVLWILKDYQNLVWVKETITAVMPIGEGYVKALGTIHTGELALALHFYENSPGFDDGPPKLLLYNMESKQYRILDFVFPNNMGVARGIPIKLIASYDNSIVPLK >ONI28104 pep chromosome:Prunus_persica_NCBIv2:G1:9642746:9646673:1 gene:PRUPE_1G123000 transcript:ONI28104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVERDIDDLPKNNANYTALTPLWFLERAAVVHPTRKSVVHGSLHYTWQQTYQRCRRLASALSKRSIGLGTTVSIIAPNIPAIYEAHFGVPMAGAVLNTVNVRLNAPTIAFLLGHSSSVVVMVDQEYFPLAEEALKIWEEQSKGHYKPPLLIVIGDENCDPGALKSALGRGAVEYEKFLESGDPEYAWKPPEDEWQSISLGYTSGTTASPKGVVLHHRGAYLMALSGPLVWGMTEGAVYLWTLPMFHCNGWCFPWSVAALCGTNICLRQVTAKAVYSAIAEYGVTHFCAAPVVLNTIVNAPPDETILPLPHVVHVMTAGAAPPPSVLLAMSQKGFRVTHTYGLSETYGPSTVCAWKPEWDLLSPVTQARLNARQGVRYIGLEGLDVFNPQTMQPVPADGATMGEIMMRGNLVMKGYLKNPKVNEEVFANGWYHTGDLAVKHPDGYIEIKDRSKDIIISGGENISSVEVENTLYQHPAIFEVSVVARPHEKWGETPCAFVTLKPGVDKSDEKRLAEDIINFCRSKLPAYWVPRSVVFGPLPKTATGKIQKHLLRAKAKELGPLKMSKL >ONI27846 pep chromosome:Prunus_persica_NCBIv2:G1:8641357:8644544:-1 gene:PRUPE_1G107800 transcript:ONI27846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMFGQHDGVSRPFSDSPPTHYTLKIEAFSLLKKHSTSEGSFESGKFDAGGYKWKLVFYPNGNKKKNVEDHISVYLKMAGANSLETRWEVSADFRLFLLDQNTGKYLVLQDANLNKICLHRAMLEMGFDRVIPLKAFADASNGYLVDDKCVFGAEVFVCKERRAGKAECLSGINSASTNKYVWKIEKFSKSKTECLESQPFNAGGQTWKIKLYPKGADNGKGTHVSIYLKCANPEKLSPGSQILTEFTLRIVDQLNAKHFYGKGIQWSSALGIGWSNFITLGNFNRLDKGYLLKDTCLIEAEVTVHGIAKAL >ONI29124 pep chromosome:Prunus_persica_NCBIv2:G1:16040031:16041115:1 gene:PRUPE_1G182500 transcript:ONI29124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDFPSNPFREPDGDMDGPKWLLHIYLHYFVHASKSGVAQETLQNSVHRSTKVITEQEARQILNVSERTTWEERYNTSFENNAENGTFYLESKVHKAKECLDAAYRDKWPERLK >ONI29220 pep chromosome:Prunus_persica_NCBIv2:G1:17205224:17206817:1 gene:PRUPE_1G187900 transcript:ONI29220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSSPTQNLWLHDMNSYVHLHHFSISTNPCSPKSSSFSCSMPRPPNRNRRTRGNRNPSRPTTTITTTTTKPYFYPSSSPSRPDTLQATFDLQYLYHTSHYSLQQFLSSASDALQDLRTLVSVDADNRVIVSCRPSTLRFVGNLVIMTFAVVLGFRVLVGLVRLGFGGRSGYGREGTVVRRDRSLGGKEVVVGRVEKDRVDVRKKKSFGMLDNPLSMPKRTVVDGLGRLLNSRVRVWEKKKLPSWWPSSMPQQSSVVDKDYYQSEADRLVRGKSHTFLRVL >ONI29219 pep chromosome:Prunus_persica_NCBIv2:G1:17205178:17211224:1 gene:PRUPE_1G187900 transcript:ONI29219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSSPTQNLWLHDMNSYVHLHHFSISTNPCSPKSSSFSCSMPRPPNRNRRTRGNRNPSRPTTTITTTTTKPYFYPSSSPSRPDTLQATFDLQYLYHTSHYSLQQFLSSASDALQDLRTLVSVDADNRVIVSCRPSTLRFVGNLVIMTFAVVLGFRVLVGLVRLGFGGRSGYGREGTVVRRDRSLGGKEVVVGRVEKDRVDVRKKKSFGMLDNPLSMPKRTVVDGLGRLLNSRVRVWEKKKLPSWWPSSMPQQSSVVDKDYYQSEADRLVRAITDNRMSGKDIVEDDIIHLRQICRASRVRVTFDTTNTRDSFYRVSVDFVLNTCSRAPSRSTYVQIDGEDVCQFVAGLAENIGLDNIRAARIVSAAVAARTRSCFLQAWALVMQGKHAEAVLELSKICLILRIFPPEESSPEMEMVARGLAKHLKLNQRQFLMSMLVGICSEESQRRVAEALGLNLDLSGLDGEILDAL >ONI33315 pep chromosome:Prunus_persica_NCBIv2:G1:36150286:36152202:-1 gene:PRUPE_1G416400 transcript:ONI33315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDKDNVKRGPWSPDEDATLKSYLETHGTGGNWILLPKKAGLRRCGKSCRLRWLNYLRPDIKHGCFTEEEDSIICSLYNQMGSRWSVIASQMSGRTDNDVKNYWNTKLKKKLFGGKQNLTTKNSKEPTNNATLPGSAASLALPCVPKAEPQDSAFSTFQTQIPPTLHMLTDVNSGLNAYNQTWTLNSPDQLYSSKVMAFSDFGASSKKSYTTVSLSQDGSNISDSSSIAAVDKCASLPGNGSLDDSGLFTDFGLPYDVNYGMCFGEKTSEFGPASCTNFGDLFSSSY >ONI33316 pep chromosome:Prunus_persica_NCBIv2:G1:36150354:36152252:-1 gene:PRUPE_1G416400 transcript:ONI33316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDKDNVKRGPWSPDEDATLKSYLETHGTGGNWILLPKKAGLRRCGKSCRLRWLNYLRPDIKHGCFTEEEDSIICSLYNQMGSRWSVIASQMSGRTDNDVKNYWNTKLKKKLFGGKQNLTTKNSKEPTNNATLPGSAASLALPCVPKAEPQDSAFSTFQTQIPPTLHMLTDVNSGLNAYNQTWTLNSPDQLYSSKVMAFSDFGASSKKSYTTVSLSQDGSNISDSSSIAAVDKCASLPGNGSLDDSGLFTDFGLPYDVNYGMCFGEKTSEFGPASCTNFGDLFSSSY >ONI26837 pep chromosome:Prunus_persica_NCBIv2:G1:3457675:3460523:1 gene:PRUPE_1G049200 transcript:ONI26837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGGESARRTSRHMGPIIITLSTTSSTYPSLPSVFALLLPLHSSPSSFVVHLLYTTLKQTNKFPQLSNSQSFLSQTHNFFNLNFFDLFKIFNLFSCLSLDQFMEGTLGLLGGGGGSSGGSSNESAMSKVEVVEQDYVGMSSEATSYPAEAELELGLGLGLSLGGGGGGGAGKQPKPCAWGERGRILTAKDFPSMVGSAVPSSRFSHRPNASSAVAVSGTKRAADSVSQDGGSPTAVSQVVGWPPISAARLNSLVNQAKTARAEDDKEDGEKSKDTSKKKINMGNKTTAKDKGRLGFVKVNMDGIPIGRKVDMNAHSCYETLAQTLEDMFFSPTTAIGGDKEQATKPSKLLDGSSEFVLTYEDKEGDWMLVGDVPWGMFISSVKRLRIMRTSEANGLAPRFQESSERRRNKPI >ONI29926 pep chromosome:Prunus_persica_NCBIv2:G1:23673159:23674178:1 gene:PRUPE_1G222700 transcript:ONI29926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKNKGKVHPSPSSSSSSSSSSSSHGFVLSVLQLLPAAILALASVLSLEDREVLAYLITRSMKTTPNISSIPAQDRKKKSSKKGPKSTSASTHQPPMFDCDCFDCYRSYWFKWDSSPNRELIHQAIEAFEDHLTNGEKTKKNSGRGKRRDKLSRREAPEPVDVAAQDSVFLEENDQVSHELAVESSASALLPENDVVLVGSNEKADGVEENDAKVEDLGAEVNLEPPETADDVAIIARTASASNHRGLARKVLPDVLGLFNSRLWNLWSPNV >ONI35094 pep chromosome:Prunus_persica_NCBIv2:G1:42398726:42402005:-1 gene:PRUPE_1G515600 transcript:ONI35094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFFGSIPPQISFLSKLSYLDLSSNQLSERIPPEISLLRNLTILRLFLNNISDNIPNEMGNLKSLVDLRISGNQLNGSIPTSLCDLVDLTILYLSNNNLSGPKEIGNLNSLIDLEITNNQLNGSIPTSLGDLTNLMVFYASNNNLSGTIPEEIGNLKSLTELKLKGNQFNGSIPTSLGDLTDLTVLYLFNNTLSGTIPKEIWTMKSLVQLALNDNQLDGSIPTSLGDLTNLTHLYLSTNNLSGTIPKEIGNLKSLLELSLSENQMVGSIPTSIGDMKNLTLLYISSNFLSGTIPDEIGYLKSLTELDLSINKLNGSVPTSLGELKNLEALFLRDNKLSGLIPQEIGNLKKLKELELGFNQFVGSLPQNLCQRNISEDFGVYPNLQYIDLSDNNLHGEVSRNWGQCPQLGSLLIAKNNLTSTIPPDIGNATQIHELDLSSNHLVGVIPKEFGGLTSLVKLMLNGNQLVGRIPSEFESLTDLEYLDISTNKINEPIPSILGDLSKLHYLNLSNNKFSQAIPFQLGKLVQLSELDLSHNSLEGTIPSELSTMESLEMLNLSHNNLSGFIPMSFEGMHGLSYVDISYNHLEGPLPNSRAFRDAPPEALKGNIGLCGNVGAFPPCKHGSRKDHKRVLKIIFSFLAAVFLLSAFFTIVFVVERRKKRHDKEENNMQEEISFSILNFDGKTMYEEIIRATDDFDSTYCIGKGGHGSVYRANLSNANVVAVKKLHLLWDGTTNFQKEFLNEIRALTEMRHRNIVKLYGFCSHARHSFLVYEYLERGSLATMLSKDEEAKELGWRKRVNIVKGVAHALSYMHHDCLPPVVHRDISSKNILLDSEYEASVSDFGTAKFLNPDSTNWSALAGTRIYGTSFGVVALEVIIGRHPGDLISSLSSSSSSTSSPSGLPAHQMPIVDVLDQRISPPTHQVAGELVSLVMMAFACLNPSPQSRPIMKRVSQHLSTKRLHLSKPLRMITCGELLALDGFTT >ONI30043 pep chromosome:Prunus_persica_NCBIv2:G1:24117519:24118908:-1 gene:PRUPE_1G227900 transcript:ONI30043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAYSTKRRLKYSSTTPNKWADELPTELLGLIVKKLASNFMDISRFKAVCSSWNGAARSYTSAPLPQYPGLMYPSTRWGWNRNGICFFSLAENKVYKTEASQGFLRVDSFLGSSQGWLVMGKGAWTLGEIRLFNPISRKSRTLPPLPRWSPIRKVVLSSDPSRNNNFVVVVIHETLNVPTRLAFYQHGRGGENATAWTELEGSHDHYFDILLRNNGHLFALSIDYSIQVWDFGDTYNNNNPTKIMHFRPSMARNGIHGTMMGDKKWLVESMGELLLVEREWLGDNIRGTEKFDVYKLNIAAKTWEKVECLRNCALFLAKNQPAMSLSTQKLPRLKENSIYFADEYHEYSHGGDIIDIHVRGVFNFETKVVKQYCITGAHNFSYSSAVWIVPSPW >ONI29055 pep chromosome:Prunus_persica_NCBIv2:G1:15223933:15224936:-1 gene:PRUPE_1G177900 transcript:ONI29055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQQGNNKGKGKEKENYESWTIDDTNELLHLLVDAINSGLHDANGSLSKQNVERVILPRLNAKIRFPKTYNHYLSRMKWFKKQYNKMSTLMRNNSGFGWDPIAKTFIASDEVWKDYLKSHPSHSKLREKSVVDYEDLKIIVGGGTTTGNDSIALGTDDTDATTYGEENRDFGIEDFSYDPNNDAFIAPNHYEPSYQPSSPHQCSHRIETISANFVKISNLMEKREREKERERERERDIWDAIKEIPNLDDNTRYMAGELLSNKAKKDLFLKMSLEERSSWIKFKLG >ONI28088 pep chromosome:Prunus_persica_NCBIv2:G1:9607732:9612719:1 gene:PRUPE_1G122400 transcript:ONI28088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASLSLPTLSPTIPRSNYVVQSKPINLRFCGLRREAFGFSSLSHSDSHRVRVSAPLRSATVSVSASSSANGSPPKSFDYDLLIIGAGVGGHGAALHAVEKGLKTAIVEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHLKALGLQVSAAGYDRQGVADHANNLATKIRNSLTNSMNSLGVDILTGVGTILGPQKVQIGSSDKVVTAKNIIIATGSVPFVPKGVEVDGKTVITSDHALKLEFVPEWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYQTGVFASKITPAKDGKPVTIELIDAKTKEPKDTLEVDAALIATGRAPFTQGLGLENIDVVTQRGFIPVDERMRVIDANGNLVPHLFCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEVSIAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKEHVSSPVSEPVAV >ONI32376 pep chromosome:Prunus_persica_NCBIv2:G1:33475414:33478290:-1 gene:PRUPE_1G364200 transcript:ONI32376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLASLLVSLLTILGLFTSGVLSTTFTMVNKCEYTVWPGILTNAGVPPLPTTGFALQKGETKTISAPTSWGGRFWGRTLCSQDSTGKFSCVTGDCGSGKVECAGNGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLVVPQGGSGTNCSNTGCVVDLNGLCPSELKVTSADGREGVACKSACEAFQQPQYCCSGAYGTPDTCKPSSYSQIFKNACPHAYSYAYDDRTSTFTCAAADYTITFCPSPSTSKKASQGQNTQPQPTNTTTGTGTPSSTSSSPEPINNTMEYEGASYDQSEASSSTRTTSHAIAGLVTVALAIQLLSQLFF >ONI32377 pep chromosome:Prunus_persica_NCBIv2:G1:33475414:33478305:-1 gene:PRUPE_1G364200 transcript:ONI32377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLASLLVSLLTILGLFTSGVLSTTFTMVNKCEYTVWPGILTNAGVPPLPTTGFALQKGETKTISAPTSWGGRFWGRTLCSQDSTGKFSCVTGDCGSGKVECAGNGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLVVPQGGSGTNCSNTGCVVDLNGLCPSELKVTSADGREGVACKSACEAFQQPQYCCSGAYGTPDTCKPSSYSQIFKNACPHAYSYAYDDRTSTFTCAAADYTITFCPSPSTSKKASQGQNTQPQPTNTTTGTGTPSSTSSSPEPINNTMEYEGASYDQSEASSSTRTTSHAIAGLVTVALAIQLLSQLFF >ONI30225 pep chromosome:Prunus_persica_NCBIv2:G1:25318230:25325700:1 gene:PRUPE_1G238600 transcript:ONI30225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENRGSGQVQEGSAAVAQGDQGIQSSQIANGLAEDSKAIRDDVVGPVNQELGSVPPVTVPVEDDNSVSGDNGKVTEDSGKEEFVDCSDDYAMDEVERLRALLESTVDEKESFARQFEEEREAFAREVATLRLQLKALTDQQASLGESGNFIHEAESGENYNGTGSRWSELMNECFGLVKTALEKQLQTEATVRELDGFVFKKDQEIEELNAKVNEFSVLNDVVAIFLNSAQRSVEVSSEAQIEKDAHFEVVTNRMLASLRGVINQQEMVDGSFGGKLVHVEEGTSMLIEKFTQMLSEIEQLRQCLPEAREDLSSQELGGIFATVRNELLVLKRKEAEFVERLSHLEDENRKLIEELDNQKGIVETVSADLGKTTMELDQEKNRCANTREKLTMAVTKGKALVQQRDSLKQSLAEKMSELDKCFIELQEKSSALEAAELSKEELLRNENLVASLQEILSQKNVILENFEEILSQTGVPEELQSTDVLERLRWLMDENGKLKAISLEFQSLKAAMYAIDLPEVISSSNLESQVHWLRESFSQAKDEVIMLRDEITATKEVARKNIDHLTDSLSAELQAKEYLQAELDTLTSEYQDIVKKEQLVSLEKAEMIRMLLDASGVVVDNEEVYQPSLDNALLIDRCIGKIKEQSSALLDSPKVDAELFETIQSHLYVRDQKLMLYENMLEEEMLVRSEVNNLSNEFQAVSQKLVALEEEKGSLQKDVERSEEKNTVLREKLSMAVKKGKGLVQDRENLKHLLDEKNSEIEKLRLELQHKQSALAESRDKISSLSTDVDRITKLDADLVSMKEQRDQLEQFLLESNNMLQRLIESIDAIILPIESVFEEPVGKVNWLAGYMNECQDAKANAQGELGIVKEEASNLAAKLVEAHSTIKSLEDELSVAKNDVSQLAEEKWEIEVDKTNVEKELEKAIEEAMAQASKFGEVCASKKSLEEALSLAENNVSVLVSEKEGALVSRATAETELEKVKEEVDIQTSKLTEAYKTIKLLEDSLSQAQANVSLLTEQNNDFQIGRTDLEVELKKLQEEAGFHDNKLADARATIKSLEDALLKAGNDITVLEGGKKNAEEEILTLNSKLNACMEELSGTNGSIESRSIEFSGDLHKLQLLMKDETLLSTMKRCFGKKFESLKDMDLILKNISDHCVSMGLEELQRHQVLEEDSYVTKSFSEGLDSISSVEKDNGEDNVTDVEDVSSCLKKTVERFQLRNNILAENFERFSFSTDEFIATLLRKLKAIRDEIVTVVEHTESFKQKANNLEIYKQEQENTIAILENDLKSLLSACTDATRELQFEVKNNLLELSSVPELEDIRHYLSPERGVIAGEGTEIHEQALDGSNYGKTAEMLSVSIRKVKALIKQFESTSEVAASTIEDLQNKLTEARSSSEKAMEERDLGKNRISKLDVDIEALQNKLAEARTTSEKAMEERELGQNRISKLDADIEALQNSCSKLTLRLEDYQAKEDKFKEKEAEAQILYNTLHMKEQEAEDSLLSASEVKILFDKIRGIEFPMPESEVGNLELHDSAHVKKLFYVLDNIINLQNQINFLAHEKEELQSTLGTRMLEIGQLKEEVEHYDRDRKDTEKMKSELSVLIYSLEKIIDMSGGNDLVGDQKSSGVMGLLSVLEKQVMALQLESENSKSKAQELGTKLVESQKFVEELSTKVNVLQDSHQGRPAQQEIVQERGIFEAPSLPTGSEISEIEDVGPVGKNTISPVPSAAHVRTMRKGSTDHLTIDIGSESTRLINSAETDEDKGHVFTSLNASGLIPRQGKSIADRIDGIWVSGGRVLMSRPRARLGLIAYWLFLHLWLLGTIL >ONI26626 pep chromosome:Prunus_persica_NCBIv2:G1:2483341:2485924:1 gene:PRUPE_1G035600 transcript:ONI26626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNTNEVPTNYDRKKFPEGLEFDSPTKREEIFTKVGEASQTWGFFQIVNHGIPIDVLEEIKDEGRGTKGLQEEEQEKDGRFWPTAVKSRQLVRALFGYVKNLLYKLLIFLQKLLQDHIGGLQVLHQNKWIDVLPVPGALVVNIGDLLQLISNDRFRSVEHRVLANRAGPRVSVELLSEDNLPKCRETTVKDYNAHFHNKGLDGTSALTHFKL >ONI27135 pep chromosome:Prunus_persica_NCBIv2:G1:4964999:4966120:-1 gene:PRUPE_1G069900 transcript:ONI27135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVDHAFSITDEDMMMEDSYTVNNKPPIKEIALAFALLITGTLGIVIGGLMAYNHVGGDKAHGLFFAILGAILFLPGFYYTRIAYYAYKGYKGFSFSNIPPV >ONI32579 pep chromosome:Prunus_persica_NCBIv2:G1:33984399:33985991:1 gene:PRUPE_1G374000 transcript:ONI32579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFKVSFLGLVVVMALFSAGTLSLAHPAGFNFGWGSGWGSEPSRGHGGYAPAGGSFGLFPQFYQFSCPQANDIVISVLQQAIAREPRAAASLLRLHFHDCFVQGCDASVLLDDSTTIASEKRSGPNRNSLRGFEVIDEIKAKLEEACPQTVSCADILALAARGSIVLSGGPNWDLPLGRRDSRTASLSGSNSNIPAPNSTLSTLLTFFKRQGLDEVDLVALSGGHTIGVARCVTFKQRLYNQKGNNQPDETLERNYYFGLKSVCPRSGGDNNISPLDFASPAKFDNAYFKLILFGKGLLTSDQVLLTGNGNGNGIGNAGKTMELVKTYADDESLFFQQFAKSMVKMGNIRPLTGSKGEVRNNCRRLN >ONI28889 pep chromosome:Prunus_persica_NCBIv2:G1:13594203:13594897:-1 gene:PRUPE_1G167100 transcript:ONI28889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSLKLCFLLLVLGLPYFSTAASWMSRSHTSCNASIAECDREGELLMESEISRRFLGTKKYISPGALKPDQPVCNSGGKGEAYSKTGGCLPPPSNPPNRGCSKYYRCRSDS >ONI32888 pep chromosome:Prunus_persica_NCBIv2:G1:34904221:34906935:-1 gene:PRUPE_1G391800 transcript:ONI32888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPNILGFLFSLFFLTFCHADPGSNCPKTSPLVNSESEFKMVQHQLRGSIKIIDDCSFKVSDFDMLPGSDVQWWGAAAPDFTNLSAGFVVSDQKLNETYKSASFTVRLRDNVTWDRIQVLAVWDRPTASDFGHVILGDFRSGSSDPAPSPSPSSATGSGNGTGRVHTEPTMLENCKVLSKNYRVRWTLTSEENIIDIGLEAATGTMNYMAFGWSSPNSTSELMLGADVAVTGFKEDGLPFVNDFYITKYSECTLYKDGEVKGVCPDTRYEGPGQNGEVNNTKLVYGQRRDAVSFIRYQRPLISDDKKYDLPVNHTEKMTVIWALGPIRPPDLLQPHYLPQNHGGPRLVVFGHLVLNVSEHVNDCLGPLDAEDKEDQHLIIADANAPLVVTSGPALHYPNPPNPSKVLYINKKEAPMLRVERGVPVKFSVQAGHNVALYITSDPLGGNATLRNVTETIYAGGPKAQGVQASPMELVWQPDRNTPDQVYYQSLYEQKMGYRVQVVDGGLPDMYNNSVILDDQQVTLFWTLSEKSISIAVRGEKKSGFLAIGFGRGMVNSYAYVGWIDNIGKGRVNTYWIDGKDASSVHPTIENLTYVRCRSENGIISFEFTRPLNPSCGKSDRPECRNIIDRTTPLKVIWAMGSTWTDEHLSEQNMHFVTSSRPIRVLLMRGSAEAEQDLQPVLAVHGFMMFLAWGMLLPGGILAARYLKHVKGDGWYKIHVYLQYSGLVIVLLALLFAVAELRGFYVSSLHVKFGITAIFLACIQPVNAFLRPKRPAHGEEVSSKRILWEYFHVIGGRCAFVVGIAALFSGMKHLGDRYDGENVHGLNWALIIWFLIGALIVMYLEYREKQQRRDRSFGRSNWVLGNLEEDDSVDLLSPNGVHAEKESQTSGRMEVQLEPLNR >ONI31981 pep chromosome:Prunus_persica_NCBIv2:G1:32175263:32182702:1 gene:PRUPE_1G342900 transcript:ONI31981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGGGGSSVGLVQSAVKAYNLRDASNWWHDVNDSPIWQDRIFHALALLYGLVAIVALVQLIRIQLRVPEYGWTTQKVFHFLNFVVNAVRAAVFIFRRQVQKLHPEIVKHILLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINAVVYVIQIAMWLILWWKPIPVLLILAKMFFAGVSLFAAVGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFACFLVRCIMMCFNAFDKAADLDVLNHPILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >ONI31982 pep chromosome:Prunus_persica_NCBIv2:G1:32175368:32176842:1 gene:PRUPE_1G342900 transcript:ONI31982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGGGGSSVGLVQSAVKAYNLRDASNWWHDVNDSPIWQDRIFHALALLYGLVAIVALVQLIRIQLRVPEYGWTTQKVFHFLNFVVNAVRAAVFIFRRQVQKLHPEIVKHILLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINAVVYVIQVKFLFHCLTLSPAKRSFWSRSLLHGSKSWFLNSALQ >ONI35719 pep chromosome:Prunus_persica_NCBIv2:G1:45081751:45083327:1 gene:PRUPE_1G551300 transcript:ONI35719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKEGKKMKNALPQAINLKIKVWTLPFTQRAKLSALTISGRVRATPSGLDHFYKPSGYRSGVVEGKSEKVLYAMYGLGQCWNIMTAIHCGRCVSAAGQELRVLCPYIRGAIIWYENCLLKYSDTHFIGISDTDNVVRLTNIRFIGTPLLFGKKVHELLTHLCSKASVNLLMYLYGKL >ONI27075 pep chromosome:Prunus_persica_NCBIv2:G1:4752642:4756242:-1 gene:PRUPE_1G066500 transcript:ONI27075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARISDIMGLNGGVIPLGFAALVMFSCVADAFSGEYLFGEGVRRSLAENDTAQSKDCSRQCESEFCTVPPFLRYGKYCGLLYSGCPGEEPCDGLDECCMKHDACVQSKNNDYLSSECSQQFLNCMAEFRNSKGKTFNGNTCHVQDVVEVITLVMEAALVAGRYLHKP >ONI27076 pep chromosome:Prunus_persica_NCBIv2:G1:4752658:4754547:-1 gene:PRUPE_1G066500 transcript:ONI27076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKQSLKFVLALSFLVLYFDSIHVNALNIGVQATDASIVLSKDCSRQCESEFCTVPPFLRYGKYCGLLYSGCPGEEPCDGLDECCMKHDACVQSKNNDYLSSECSQQFLNCMAEFRNSKGKTFNGNTCHVQDVVEVITLVMEAALVAGRYLHKP >ONI35983 pep chromosome:Prunus_persica_NCBIv2:G1:46067501:46071851:1 gene:PRUPE_1G564000 transcript:ONI35983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYQVTHQDFLCIITVAHKREKHFKIESTKTKKRSKSKERRKMRRASIRGRRPKESFNPRPPPATPLDHFRHRDSDASFASSRPSSVGMGPTSNALDLYKDRSFQQATLSSINSYLASHSLQIFLKFPIPSAKEITETLKFLVARLDYPNPKLEDDLPVILKFLKCPFKPNKSVLRNPTVNHHQWPTLLAVIHWLFQIVLYNDHLASNSGAFVDNNAMSAYALESYSYYISGDDDSVEALDRQFLEKLEAERENAEESIRVLEATAAELEGKMEEMRSGPSKREALEKERGVLEQDVNKFNEMIGSLAKSVAKLEAVLEAKEKELEAKVADTRRICEENEELKKRVELQTFNARDVDRMKRELQAVERDIGEAELARNAWEEKAWDLDTMLSHKFKELETLAMECNQAMRRLKLGNGFQYVLNAKGSTAADVMGIDYKLTLKPALNSYSDDIKKSSVEKLEELISLQQQSSELSATIEGKRNFIATLQSHIDEVEAQLNLLKKETHDYTYRCAIEARQMMDDVQMEAHNLDILERDAEEILKTSKLKLQETIKQTEEETKKCAYELMTVIDSVSKHKEYVQSKILEMRRDVSETAVAVSDAHKGSLQSQFGFLSHANQQ >ONI30647 pep chromosome:Prunus_persica_NCBIv2:G1:27267872:27270179:-1 gene:PRUPE_1G264100 transcript:ONI30647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIELLWVVLITILRFGEANPRLKEVCNPSDLKGLTIFKSGIHVDTSGRLAKWVGGNCCNWEGISCEIRTGRVAVINLSAFISSDDSPFQAQMEGLFSSSITLLASLQVLDLGGLSGLSGTLPKSIGFHLPELRRLVLYGNNLSGAIPKSIGKLSKLEELVLHENKFSGSLPSSLGSLKNLKRLSLYSNRLLGRMPDSFTNLTSLVYLDLHDNSLTGHIPQRIGQLQVLEELDLSSNFLAGNLPPSLANLTAISVMCLDTNYLEGPIPFPSSSAQMSSLRLLRLHTNHLTGKIPPTFGDLVSLQRFSLANNKHEGAIPSSFGNLSALRELYLNGNQFSGQIPKSVGQLSQLILFNISHNLIQGPLPSEMSSLQNIQALDLSFNLLSLSSIPKWLAELPSLSRIYLAGCGIQGNIPGFLRSTPSPIQELDLSANNLTGSIPTWLGVGSLAQLYLLNLSRNSLVSKIPDSVTNLHDLGVLDLHGNKLSGSMSRVFEIGLEFPDGSLTYLDLSENKFSSGMGQIGVGSQRGIQLLNLSNNILQGRFPTSIERLKLLQSLDLSSNKLGFNLPEALANLSMLETLKLEKNRFAGKIPNGFLKLRKLKQLDLSDNLLVGEIPVGKPLSDFPQSSYSGNRGLCGKPLAPCKPTWFG >ONI33233 pep chromosome:Prunus_persica_NCBIv2:G1:35975364:35977087:1 gene:PRUPE_1G412300 transcript:ONI33233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVLYTSPKPPPPTLLFPSAPTISTPSFRYHRRHCSRIPKIPLSSSFRNGSNSPAETGCPVPPEQQPINEFQNLSTSFPFSWASGDLIEYCSRLIATGSSFALLVGLPVASVGALGAQSEPLKQSLYAVSSGFLVVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQMWVKTAEVLARDRLLGSFAVTPVLGRLKVTLVCLAAAILSCVVLLISIDGGGQTEEAGNRVIHGVYSDESARSFEPDAFCGEAGLP >ONI35734 pep chromosome:Prunus_persica_NCBIv2:G1:45110049:45111732:1 gene:PRUPE_1G551700 transcript:ONI35734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTISSSSAPSSCSLLSSEFFPTRSTQPFKAASLSWVSSFPPVNISISSIADPPTPTLNKNSVVRAAWTRRSRGEAAKKPNRKSWKQKTDMYMRPFLLNVFFSKKFIHAKVMHRGTSKVISVATTNAKDVRSNLPSLTDHNACRVIGRLIAERSKEADVYALSYEPRKDERIEGKLGIVLDTIKENGIIFV >ONI28577 pep chromosome:Prunus_persica_NCBIv2:G1:11688362:11689011:1 gene:PRUPE_1G149200 transcript:ONI28577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKPGSFKHYCELVGPMNISEFKYRYNLDKQVVVLYTGCSIGETGANVLVGIQVARGEIDEFQACGSSLGYDYVVVTDDNEFSLLMH >ONI30787 pep chromosome:Prunus_persica_NCBIv2:G1:27911951:27915862:-1 gene:PRUPE_1G272500 transcript:ONI30787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEAENGIRCRPNFPLQFLDKNQDDDIQEAPGDPGSNPNRPGSSLTQEQQPNNGKKPPPKRTSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSAPSHYLRPNSSNYFMNFNPNNLGAAAASQLIMDENSQRGRILFPGVGLLSSESSPSSSMLLNFNNAMLEAKRELRDQGGSLGEVSEGGEASAMGRKRIRPEQDLLSSSSSSSSSSQSSHHQMGISNSYMLQSASTGSIPASQSTIPATFWMVANNPSSGSGGGGHHVHEPPINPMWTIPGINNGINSNMYRGASVSSGGGHGLHFMNFAPPPMAAILPSHMGSTPHGGGGSIADSHLGVMAALNAYRPILGGVMAEPPGGNNRQHSHHGADEGHDRDSNAR >ONI33227 pep chromosome:Prunus_persica_NCBIv2:G1:35943707:35946588:1 gene:PRUPE_1G411700 transcript:ONI33227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIEDLLPLLWYSGFREKARFFKESLLQSMANTSCESSELEAACRDESSALVLKLIAVASILIAGVVGVAIPLVGKNRRFLRTDSSLFVAAKAFAAGVILATGFVHMLNGGSDALNNPCLPEFPWAKFPFSGFFAMMASLATLLVDFVGTQYYERKQGLARPIEDQVRVGSDVPEIEAGVGPGQPQKDRNGKVFGEEEGGGMHIVGMHAHAAHHRHSHPHGQAACDGHVAREPELEHGHDHGHGHSHGFGGADEDSGVRHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCISQAQIKTLSATIMACFFAITTPLGIGVGTAISSFYNPYSPGALITEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQVVSYCCLFVGAGLMSLLAIWA >ONI34092 pep chromosome:Prunus_persica_NCBIv2:G1:38625213:38627169:1 gene:PRUPE_1G462100 transcript:ONI34092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALTSSLALSPSTFRGGPEPSKPQFLNFCSKPTNLAFAILPYTRCRRHKTLRILASVSVSNPQVLTGPEELSASILSKVTHSDRGVLLKKEEQEEVAEVAEKLQSFCVSEPVKCPLIFGDWDVVYCSVPTSPGGGYRSTLGRLVFKTKEMIQVIEAPDIVKNKVSFSAFGFLDGEVSLTGKLKALDDKWIQVIFEPPELKVGALEFRYGGESEVKLQITYIDDKVRLGKGSKGSLFVFQRRGNST >ONI34142 pep chromosome:Prunus_persica_NCBIv2:G1:38780619:38781291:-1 gene:PRUPE_1G464700 transcript:ONI34142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSQPDLQLQLQPETESQMSSIVYEISQEVQGAMENMLKMMSEIDENSAGITEEIEKCKEQSLEKKRGLEEAKEQVEKAAYAVLEMLNNRA >ONI34143 pep chromosome:Prunus_persica_NCBIv2:G1:38780569:38781229:-1 gene:PRUPE_1G464700 transcript:ONI34143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSQPDLQLQLQPETESQMSSIVYEISQEVQGAMENMLKMMSEIDENSAGITEEIEKCKEQSLEKKRGLEEAKEQVEKAAYAVLEMLNNRA >ONI26738 pep chromosome:Prunus_persica_NCBIv2:G1:3033100:3041116:-1 gene:PRUPE_1G042500 transcript:ONI26738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKELEAQLIPEWKDAFVNYWQLKKQVKKIKLARVHNKQNPDAARDFGVSVFDPVRLVTKKISDKLFNFDKKSEIIQVNSKIMEDGEEEEIFETELAQLFSAEDEVRVFFEGLDEELNKVNQFYKTKETEFTERGEILNKQLQILLDLKQILNDRRWKNSGSRHNVPSVPSSWSSSPRNSDCSSECLAESNTTPTEITQRGEAIAALEKNGVNFINGATRGKTKKGKPKVAMRIDIPNTTPTRTITAVTSMLWEDLVNNPKKEGPGEFINRKKIQCAEKMIRGAFVELYRGLGLLRTYSSLNMVAFTKILKKFDKVSNQQASASYLKAVKRSHFISSDKVVKLMDEVESIFTKHFASNDKKKAMKFLRPQQNKDSHMLTFFVGCFVSLFSVYAILAHLSGIFSPTTERDYLETVYPVFSVFALLSLHLFMYGCNLFMWKNTRINYNFIFEFQPSTALKYRDAFLICTTFMTAVVGAMVVHLILRANGFSSQVDAIPGIFLLFSIALLICPFDIFYRPTRYCFIRVIRNIVCSPLYKVLMVDFFMADQLTSQIPLLRHMESTACYFLAGSFRTHHYETCRTGRLFRELLYVISFLPYYWRAMQCARRWFDECDVNHLANMGKYVSAMVAAGARITYSNNPGNHLWFYMVVITSVVATVYQLYWDFVMDWGLFNPKSKNLWLRDDLILKNKSIYYASIILNAVLRVAWVETVMGFQRIPDVESRLLDFLLASLEVIRRGHWNFYRIENEHLNNVGKYRAVKAVPLPFRETDSDG >ONI26739 pep chromosome:Prunus_persica_NCBIv2:G1:3032997:3041186:-1 gene:PRUPE_1G042500 transcript:ONI26739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKELEAQLIPEWKDAFVNYWQLKKQVKKIKLARVHNKQNPDAARDFGVSVFDPVRLVTKKISDKLFNFDKKSEIIQVNSKIMEDGEEEEIFETELAQLFSAEDEVRVFFEGLDEELNKVNQFYKTKETEFTERGEILNKQLQILLDLKQILNDRRWKNSGSRHNVPSVPSSWSSSPRNSDCSSECLAESNTTPTEITQRGEAIAALEKNGVNFINGATRGKTKKGKPKVAMRIDIPNTTPTRTITAVTSMLWEDLVNNPKKEGPGEFINRKKIQCAEKMIRGAFVELYRGLGLLRTYSSLNMVAFTKILKKFDKVSNQQASASYLKAVKRSHFISSDKVVKLMDEVESIFTKHFASNDKKKAMKFLRPQQNKDSHMLTFFVGLFTGCFVSLFSVYAILAHLSGIFSPTTERDYLETVYPVFSVFALLSLHLFMYGCNLFMWKNTRINYNFIFEFQPSTALKYRDAFLICTTFMTAVVGAMVVHLILRANGFSSQVDAIPGIFLLFSIALLICPFDIFYRPTRYCFIRVIRNIVCSPLYKVLMVDFFMADQLTSQIPLLRHMESTACYFLAGSFRTHHYETCRTGRLFRELLYVISFLPYYWRAMQCARRWFDECDVNHLANMGKYVSAMVAAGARITYSNNPGNHLWFYMVVITSVVATVYQLYWDFVMDWGLFNPKSKNLWLRDDLILKNKSIYYASIILNAVLRVAWVETVMGFQRIPDVESRLLDFLLASLEVIRRGHWNFYRIENEHLNNVGKYRAVKAVPLPFRETDSDG >ONI34950 pep chromosome:Prunus_persica_NCBIv2:G1:41898529:41903016:1 gene:PRUPE_1G507900 transcript:ONI34950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNGLEIPKETLDAEIQAFFDSAPPLKNRVDISEKLEEFVKKNSLPSGSGGVRKVVCVTSGGTTVPLEQRCVRYIDNFSSGYRGAASTEYFLKAGYAVIFLCRRGTCQPYCRSLPDDPLLECFEYRDESNIKVSQPHSEAVRNAITKNRAAVTGSLLLKLPFTTIFEYLQMLQMIALSMRSLGPHAMLYLAAAVSDFYVPWKSMAEHKIQSGAGPLDMRLVQVPKMLLVLRQDWAPKAFCISFKLETDSKILLEKADMALKKYKMHMVVANELSTRKEEVVVVRSNEKVSVHRNNSLGDNDVESPLIELIVERHSAYVNNSDI >ONI34951 pep chromosome:Prunus_persica_NCBIv2:G1:41898429:41903016:1 gene:PRUPE_1G507900 transcript:ONI34951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNGLEIPKETLDAEIQAFFDSAPPLKNRVDISEKLEEFVKKNSLPSGSGGVRKVVCVTSGGTTVPLEQRCVRYIDNFSSGYRGAASTEYFLKAGYAVIFLCRRGTCQPYCRSLPDDPLLECFEYRDESNIKVSQPHSEAVRNAITKNRAAVTGSLLLKLPFTTIFEYLQMLQMIALSMRSLGPHAMLYLAAAVSDFYVPWKSMAEHKIQSGAGPLDMRLVQVPKMLLVLRQDWAPKAFCISFKLETDSKILLEKADMALKKYKMHMVVANELSTRKEEVVVVRSNEKVSVHRNNSLGDNDVESPLIELIVERHSAYVNNSDI >ONI34953 pep chromosome:Prunus_persica_NCBIv2:G1:41898462:41903016:1 gene:PRUPE_1G507900 transcript:ONI34953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNGLEIPKETLDAEIQAFFDSAPPLKNRVDISEKLEEFVKKNSLPSGSGGVRKVVCVTSGGTTVPLEQRCVRYIDNFSSGYRGAASTEYFLKAGYAVIFLCRRGTCQPYCRSLPDDPLLECFEYRDESNIKVSQPHSEAVRNAITKNRAMLQMIALSMRSLGPHAMLYLAAAVSDFYVPWKSMAEHKIQSGAGPLDMRLVQVPKMLLVLRQDWAPKAFCISFKLETDSKILLEKADMALKKYKMHMVVANELSTRKEEVVVVRSNEKVSVHRNNSLGDNDVESPLIELIVERHSAYVNNSDI >ONI34952 pep chromosome:Prunus_persica_NCBIv2:G1:41898429:41903016:1 gene:PRUPE_1G507900 transcript:ONI34952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNGLEIPKETLDAEIQAFFDSAPPLKNRVDISEKLEEFVKKNSLPSGSGGVRKVVCVTSGGTTVPLEQRCVRYIDNFSSGYRGAASTEYFLKAGYAVIFLCRRGTCQPYCRSLPDDPLLECFEYRDESNIKVSQPHSEAVRNAITKNRAAVTGSLLLKLPFTTIFEYLQMLQMIALSMRSLGPHAMLYLAAAVSDFYVPWKSMAEHKIQSGAGPLDMRLVQVPKMLLVLRQDWAPKAFCISFKLETDSKILLEKADMALKKYKMHMVVANELSTRKEEVVVVRSNEKVSVHRNNSLGDNDVESPLIELIVERHSAYVNNSDI >ONI31430 pep chromosome:Prunus_persica_NCBIv2:G1:30294216:30295825:1 gene:PRUPE_1G312300 transcript:ONI31430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKCSMEFRTRTLLYGMQCSEVIGAAYKVFGEMFERNVVAWTSMINGYILCGDMVSAQRLFHLAPERDIVLWNTMVSGYIELRDMVAARKLFDDMPRRDVMGWNTVLNGYASNGEIDACEKLFEEMSERNVFSWNGLIGGYARNGRFFQVLGSFKRMLSESDVLPNDATLVTVLSACARLGALDLGKWVHVYAESIGYKRNVFVGNALIDMYAKCGIIDNALDVFKSMDNKDLITWNTIICGLAMHGRGADALNLFRQMKNYGENPDGITFIGILCSCTHLGLVEDGLLYFQSMVDDYSIVPQIEHYGCMVDLLGRSGLLDQAMNFVRKMPMEPDAVIWAALLGACRIYKNIEFAELALERLIELEPKNPANYVMLSNIYGDLGRWRDVARLKVAMRDTGYKKVPGVSFIEANDGVVEFYSLDERHPETEEIYGALRGLTKLLKSSGYVPDFLDLGHGA >ONI26433 pep chromosome:Prunus_persica_NCBIv2:G1:1695091:1696269:1 gene:PRUPE_1G024400 transcript:ONI26433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPPELILDILLRLPPKDLIRSLCVSKACDNNTSGTAVKAEKPFKFPDAYDVLRLSGHSVHGLFCIHSKDGRYIALWNPSIQKLKKIPLPTFGPSYSEWFSKRKRNHLYGLGYDSVNDDYKLVGMVVDDRSVQVQIYSLKSNSWKRIQNGPLFSNISLGRPRIMFCNGAMSWLIVNNEVDGNRYIIQTLNLATIIRTVSLEVLGGCLCLCVYVPTGHAVWIMKEYGVTESWTLLYCLENEAVPSGVGYFSKPLVLSKNGEMVLTHNGRGFFWYDLRNKSFKQVQFSRPPSTQLDITFYVGSLCLLDGDPVIAERGTSRWDLMLSKIDLAT >ONI29249 pep chromosome:Prunus_persica_NCBIv2:G1:17477076:17477443:1 gene:PRUPE_1G189400 transcript:ONI29249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVQDQMGSAKLSSIISLSTQKVQTDKQILNIKVVKSFQQTNRERNVVCSKKETGKPLSRQDFLKSCNQIDSSKNRTC >ONI28665 pep chromosome:Prunus_persica_NCBIv2:G1:12121092:12122180:1 gene:PRUPE_1G153900 transcript:ONI28665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRKKKMAGEREKKKIAEMRFFFNEKICLKIHFCPCQIELVYVTSLFLTEKLTEMMERTISENGGIIKVPR >ONI29598 pep chromosome:Prunus_persica_NCBIv2:G1:20350271:20351059:1 gene:PRUPE_1G204100 transcript:ONI29598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTQASTAFSTSKFGLLQKPLTRPSLNSQTLPYPPSFFHGPGLIRRIVLHSSSSTSTQSQTFNFGSEDPQPWTSS >ONI36134 pep chromosome:Prunus_persica_NCBIv2:G1:46575718:46577832:1 gene:PRUPE_1G571300 transcript:ONI36134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGISNICKGYKSFVMKLSPNHHHKNGQSKFTDNHETTKEEENFTISYPTTPIGSFDHQRSIDDSFFSRRSLSRIGSRRCKTPTPRTFSRSASRSTTPTPTTLLRDMSLRQSSETETSASISRNMSRRQSSETKTSASISRNMSRRSTSETEFHATLSRNMSRRRPSETEIPSPSPAASSLSAPASPAPASPSRTLSPSANRTSGTSDQPDKPSTPLSRSISKRSPTPIVFSRTTARKKAPPVEKRLGFTLEQLCHGCVKKIKLTRDVINDAGIIVQEEEILQINVQPGWRKGTKITFQGKGDEKPGYLPADIVFLIDEKRHPLYKRSDRDDLEMAVEIPLVDALGGCSFPVPLLGGDKMKLSFDDIIYHGYEKVIQGQGMPLLKEPTRRGDLRITCLINFPTTLSDEERAEAVNILQNCSYD >ONI27997 pep chromosome:Prunus_persica_NCBIv2:G1:9153732:9155816:-1 gene:PRUPE_1G116000 transcript:ONI27997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQSLPENLILVNREPNGIAFVTINRPKSLNSLTRPMIIDLAKAFKALSQDESVRVIILSGSGRAFCSGVDLTAAEDVFKGDVKDVETDTVAQMERCRKPIIGAINGFAVTAGFEIALACDIIVAAKGAKFVDTHARFGIFPSWGLSQKLSRIIGANKAREVSLTATPLTAELGERLGFVNHVVEEGELLKKAREIAEAIAKNNQDLVLRYKAVINDGLKLDLGHALALEKERGHDYYSGMTKEQFKKMQEFITGRGSKKPSSKL >ONI26235 pep chromosome:Prunus_persica_NCBIv2:G1:892267:894542:-1 gene:PRUPE_1G011600 transcript:ONI26235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCSSSVRDSSSPFDCLIFDLDDTLYPSNTGLGEACKTNIDDFLVEKCGFPESKASSLRVELFKSYGSTLAGLRALGYDIDADDYHSVVHGRLPYDRIKPDPQLRNLLRSIAQRKIIFTNSDRKHAVKALDRLGVRDCFEQIICFETMNPNLPSSTRPNEFPVVLKPSMEAMEIALRVAEVDPRRTLFLDDNIRNVAAGKAVGLRTVLVGKTVKSNEADYVLENVNNLAQAVSEVWVGGKDGGSNKRISRTRSDIEVDSILTTTAVGA >ONI29103 pep chromosome:Prunus_persica_NCBIv2:G1:15913516:15917035:-1 gene:PRUPE_1G181700 transcript:ONI29103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKITCNPNFHSGGMFEGRLQEGLSSGVQGWLDAHLRGSKSSFSSYINGSTANFQEGLSMSVDKRDFTFTKELHEKLALNFFPIRSCLQIGECLCIQLNNLDKILMMFSCNVCVCMFLRQRKFSTYADNLMPGIVLEP >ONI33932 pep chromosome:Prunus_persica_NCBIv2:G1:38178833:38183092:1 gene:PRUPE_1G454100 transcript:ONI33932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAQSRIDNEESVSRCKERRNLMKEAVVARNAFASGHSGYTMALKNAGAALSDYGHGETQQTQELEILQSHNLPLDPTTEPPPPPPPHLENLNLPPPPPPLPTFTPSPIKRATSLPAMSAEARKIGGRRVGLAIAEEDEEEEDHENDDEDESHKGFQRGSRNGASETTSSPPRTPEMKPVPPMPESKGMAWDYFFMVDNMPGPSLSENEGDEFGEDENVEVGGGGGGGDLGDDVEPKTPEKVEEIEEKVEETPVKPTIEHSKTAPPEFTRRVVNVIPSVTLMEILNKIDDNFLKASESAQEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGIPGDGKDENSEDYETHATVLDKLLAWEKKLYDEVKQGELMKVEYQRKVALLNKQKKRNASAETLEKTKAAVSHLHTRYIVDMQSMDSTVSEVNQLRDEQLYPKLVSLADGMAKMWENMCTHHGSQLKIVTDLKSLDIAHTPMETTKHHHDRTVQLYNVLQEWLSQFDKLVTHQKQYIQALNSWLKLNLIPIESSLKEKISSPPRVQHPPIQVLLHSWHDFLEKLPDELAKSAISSFAAVIKTIILHQEEEMKLKEKFEETRKEYLRKSQAFDDWYQKYAQRHAEMDQERGEDANPKDPVAERKFIVESLKKKLEEEVEAHQRHCIQVREKSLGSLRTRLPEIFRAMSDYAHTCSESYGKLRSLTEPKA >ONI36215 pep chromosome:Prunus_persica_NCBIv2:G1:46890269:46895096:1 gene:PRUPE_1G575700 transcript:ONI36215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPFSFKRSSSSKRRPKKFIGPPPSPFPSPPQPMRSPPTNNNNANYNNNLGVVAGGAAAVKGKKKAGGARLWMRFDRFGGSELVECDKNAIIRRAAIPARDLRILGPVFSHSSSILAREKAMVVNLEFIKAIVTAEEVLLLDPLRQEVLPFADQLRQQLPQKSQSRIEEASPLDEQDNGMDVSTGRQWLPVPEATEGLQCDLPFEFQVLEIALEVVCTFLDSSVADLEREAYPVLDELARNVSTKNLELVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWIQNQQSEAFLGRSASISISNTAPPLRRLSSNKSGSLVTSNNMDYDDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAVAVETLISGIFGMNIPCVLYEMNGVFEPFVGGITAFCVLLFFVIFGYARWKKLLGS >ONI35922 pep chromosome:Prunus_persica_NCBIv2:G1:45793162:45794378:-1 gene:PRUPE_1G560900 transcript:ONI35922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCASEPKTKGDDVAGVPAPEPKTEELVQGNEVNRELLQEENKADDGDAQQNNDAGHNKQPSLGALLKEEEEKTAHVAAKLEEVEEKAKTTEKKEEVVVELEKASEATPVAVTQEEKKSDIEEKKPEVKETKPEVEETKPEVKEIKPEEKVTKPEEKDTKPGEKVTKPEEKVIKPEEKVTKPEEKETKPEEKETKEEKKEQI >ONI31596 pep chromosome:Prunus_persica_NCBIv2:G1:30795607:30796484:1 gene:PRUPE_1G321600 transcript:ONI31596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYISCTLATPLIKSSKSARVIFPNSAVRQFREPIKAAELMLECPGTFLANSQSLHIGRRFSALGADEELEFGNVYLMFPMRRLSSIVTAADMAVFFMAANSAAKRISGGKVRILPENGREGGEMVGVESGEESHDDQDQDGKGDRGPLRLSLDGVEGLQVAEFQYRLAACRSRKPVLETIKEEPISSR >ONI36026 pep chromosome:Prunus_persica_NCBIv2:G1:46182658:46184545:-1 gene:PRUPE_1G565900 transcript:ONI36026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVFSTKEGVQQLVLGLYIIRGDNISIVGELDADLDSTVDWSNMRAYPLKPVIH >ONI26632 pep chromosome:Prunus_persica_NCBIv2:G1:2524211:2524639:1 gene:PRUPE_1G036200 transcript:ONI26632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAEDDHELEANSDRMSELKAFDATKAGVKGLLYTDLTKIPSIFYSSSRPSFDEKKLQSDDVQFSVPIIDLRGIQNDAVSRARVVEKVRHASEKWGFFQVVNHGVPVDILDQMIDGIRGFHEQDSEVKKEFYSRFSGVSNNS >ONI34802 pep chromosome:Prunus_persica_NCBIv2:G1:41381757:41391025:-1 gene:PRUPE_1G499900 transcript:ONI34802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSAQNARPSTPTRRVVRRRLVQSTLFPLKPQQQLEEDGDLKAERGNGEDDDGEDEDFCGSQSKRKRKSKAKKTPPIKAPKKNGKRSANSTPKKNATEVESETAAPVIIPDLRLEARLKAEETSRIFSGKQIHPFFSYCKASKKNREAIEVDGDSSFIGSKDKENTCGPIHVFERTQDDAVFLDWRNWTFCEEPFMKSSPDLEYMPSSIFEGSVECLKFDQLPTVFQPCKASTFQNTVSLDQCLIEQECAHETSTTVPDFLVDGQVMCYQNLKEVDHSREEEDNGVVKLGLLSEHTAYMNTSDIEQQSILEERVMSNYSSCGNQPKDCLWTYKYRPLKARDVCGNDESVNFLSEWLRLWYKRDFRASEDPTGSGNCDRQYNDYRCSQSDSDLESENEEASMKKNNVLLVTGPIGSGKSAAIYACAQEQGFKILELSASECRNGALVKQRFGEALKSRHLRRSVANPMGSQNKHIVKSLFVEANGMTDQEFNDEVVELIPISDEDSHDATETSVKSDYKEDQSKVKHLILFEDVDITFPEDRGFLAAIQQIAKTAKGPIILTSNSQNPVLPDNFDRLKVHFMLPSSKALHSHAYMVCAAERANIEPYLLERLIECCRGDIRKIIMHLQFWCQGRSFRKDTKMEEMYGSLLFDVEAGHLMLPKILPWDIPSQLSDLVEKEITKASSMMEESSSSMKVVEEKLDNTEVQYSFNMPCNEMESIEAKKVAMLRRNGSVHDSSEYKAQTDDASEFPNDSGAPFSFCRRYVRKMHDVVMSSDSEDEFINNGYPKVTDNDTNNEVLGVNPSSEELRCSGVANIDEGHCQCSETADEMHISEMCNSIDISCVPESTYVPETEMDNGTELSSHTVTSDRVANTIKEIFSCEEFHVEGNNLDKLELGLQRNFDTWGNNCAAIAESSHQELEDSQNEHTETVAGAYQVMDECSRMDFIKCSNFAQGQKSSVVTDFVQDSWDKLRGSRSDLRQYIALEQQDACQIVMLAYRMSNLISETDVLFSRCQSLISDSLEPSMIPLEESDASSWYDEQLRLASTIGQHGFCFYAKGISSVESKESCMRVDLASDMLANAASMMALGKLIGQGMRTSKTSYAGRNSERTLPNVTSEIKSGVFDVVQSIVPSRMYSTLKGGAIHEYLSSLRHISRSEASRLAQGFEKTTRRRRRRVAPHYLSSSALMLAPEHISLLDQHDVFRKASSIN >ONI35652 pep chromosome:Prunus_persica_NCBIv2:G1:44757137:44758573:-1 gene:PRUPE_1G547800 transcript:ONI35652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHTIVLYAAPGMGHIISMVELGKLILNRYPGKFSITILYTCGCFVDTPSIPAYIQRISLAYPSISFRQFPRVQNENTLVGCSGAAIMFDFIRRNDPHVCLALQEISKSVAIHAFIIDIFCSSALPMANELGIPTYYFYTSGAAALSVFLYFPKIGEQTTKSFKDLTETVLTFPGLKSPLNATYMPEAMLDRDDPSYWDMVYFCSHLPKSNGIIANTFEELEPPAILKAIAEGLCVPDAPTPPVYYIGPLIAEEEESKDSEEAEECLSWLDQQPSRSVVFLCFGSRGSFSGAQLKEIAYGLEKSGHRFLWVVKKPPLDEKTKQVLGVHDFDLEGLLPEGFLERTKDKGLVVKSWAPQVAVLKKESVGGFVTHCGWNSVLEAVIAGVPMVAWPLYAEQHVNRSVLVKDMEMAIAVKQREEDGFVFGDELEKSVRELMESEKGKELRERSRKMGEMALAAWSESGSSTRNLVNFVNSIA >ONI26598 pep chromosome:Prunus_persica_NCBIv2:G1:2337553:2340541:1 gene:PRUPE_1G033600 transcript:ONI26598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLALKLIMVQGPREGETLDFGPRSKVRIGRVVRGNNLPIKDSGISSKHLSIEYESGKWVLRDLESSNGTLLNDTKVTPNTPLDLNDGDEIKIGEYTSITVKFDGYEESRLRRNPRRAAVAVVEETTVGSVAQGRVQRGRAAKEREAKRELEKENAEAIEAVGNRRRGRPRKARVLKSEVEDEKPVEENLVPEMSTRRTRSSKNEELGKIPGNSGVDGGEVKIEPKRTRGGARRRKNVPEELPVCDKPDVPEQRDCVIIDVKDDEASKELNFEEEKHEEAGKEFNVRVESGDKVDNGSASGDKVDNGSASGDKGENGSGRGGKGDNGSSSGVKGDNGSGSGSGVNESCDLEKMTLGQWFDFLEVHLPKQIIDETEEVIGEMVEKAKRLQEYMAQQKEKGHVLVG >ONI28964 pep chromosome:Prunus_persica_NCBIv2:G1:14394760:14395955:1 gene:PRUPE_1G172200 transcript:ONI28964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSSSKLTYIPEEIVREILLRLPAKSLVMCIAVCKSWSFLIKRCNFIDAHLSRKLSFQSNHQIVNGAADYITELMNPPISNENTGFDMVQTRNGLICLTCSKDDDIAATDIFLWNPSIRRYVVLPRPNFIVYLKNYYNIVRHYFGYDSHTNDYKVLRCVSNHSTLVAVEIYSLARGSWKTLTASAPAYFGLGAATWPFFYWTVVNDALHWVRVRERRGKDLVIVSFDISTELFGEIMMPQGVRKIDYPYVDCNIWISKHKGSVALLECSNMFIESSETQFHLWVMEEYGVVESWTKLYTYSMAEYFLFSLGFNISHEHVFMPDIRKTLPPPPLKSLGNGESQKTHRGDIMDFFVESLVLLGHPNAISY >ONI30836 pep chromosome:Prunus_persica_NCBIv2:G1:28154158:28155775:-1 gene:PRUPE_1G276200 transcript:ONI30836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVLFLAQLWEVEGLFIRLFLCLTEVLIIFDLRYSSDLSFKFHDFFYYCCIYNSLIKMSFALHPHIRGSVIISISVLCFMNITIVYNFFGLFFIFL >ONI29011 pep chromosome:Prunus_persica_NCBIv2:G1:14773587:14774232:-1 gene:PRUPE_1G175300 transcript:ONI29011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIHLSLWVAPLDLQQAGNSRIPYVHVPAAHMSILVYIATAINTFLFLLTKHPLFLRSSGTGTEIGAFFTLLTLLFGVSRGTFWVWDTRLTSVFISFLIYLGALHFQKLPVEPGSISIRAGPIDIPIIKSSVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANSPFSTRILFVLETCRPIPYFLKSPLTEEIKAREGIPKPSLPIESLYIHG >ONI36039 pep chromosome:Prunus_persica_NCBIv2:G1:46218221:46222161:-1 gene:PRUPE_1G566300 transcript:ONI36039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLFNRKPRGASPSSSPVMASFSALFQPQLHPFPNPNLIHRYTIPLRTQTLTTSSVPHSTPNSETNQTSSPSSAPPPQSPEPPSPKKSFAVATGELFLGLASRIIKRPNGGPDGKAASSVAMFESSGKNYLDERIGKVMEDEIEPDVVWEQRVKDVEAEKERRVVTSPGFSFSAAGLLFPYHLGAAQFLIQNGYIKETTPLAGSSAGAIVCAVIASGASMEEALQATKILAEDCRNRGTAFRLGAVLRDVLQKFLPDDAHIRSNGRVRVAVTQLLWRPRGLLVDQFDSKEDLIDAVFTSSFIPGTDILHQDQQRCSGIGFALMGA >ONI36038 pep chromosome:Prunus_persica_NCBIv2:G1:46216790:46222175:-1 gene:PRUPE_1G566300 transcript:ONI36038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLFNRKPRGASPSSSPVMASFSALFQPQLHPFPNPNLIHRYTIPLRTQTLTTSSVPHSTPNSETNQTSSPSSAPPPQSPEPPSPKKSFAVATGELFLGLASRIIKRPNGGPDGKAASSVAMFESSGKNYLDERIGKVMEDEIEPDVVWEQRVKDVEAEKERRVVTSPGFSFSAAGLLFPYHLGAAQFLIQNGYIKETTPLAGSSAGAIVCAVIASGASMEEALQATKILAEDCRNRGTAFRLGAVLRDVLQKFLPDDAHIRSNGRVRVAVTQLLWRPRGLLVDQFDSKEDLIDAVFTSSFIPGYLAPRPATMFRNRLCIDGGLTLFMPPTSAAQTVRVCAFPASRLGLQGIGISPDCNPDDRATPRQLFNWALEPGEDDVLDRLFELGYQDAAVWAKDNPVGEIVEDDTSLVGSGIDQ >ONI28293 pep chromosome:Prunus_persica_NCBIv2:G1:10698444:10700506:1 gene:PRUPE_1G136100 transcript:ONI28293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQEGSWPRLVTSIALRRGSRLWSPQQKQEKRKGIPFSAFWLRSSVVSVLISLISDMWVNDPHDIKLISLGEGPLQ >ONI30051 pep chromosome:Prunus_persica_NCBIv2:G1:24156493:24160053:1 gene:PRUPE_1G228500 transcript:ONI30051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDPSIDSIRPLQRGPPNPTNGLFAVNFDPDFTVQRLDPLKYPTTAIDSDLTSMPSKMPQIQTEITSIPNNRWFHTHNKYAVVEVIINLSWIHGSNWGLKPAKFMALTGGGTNFALQYADTWAMRGGRYKLGSNYSGSRTFVMEFESDWTKHRKLPAYTAHVMRSATSFMPWSCKIPIGDGYHEFL >ONI32068 pep chromosome:Prunus_persica_NCBIv2:G1:32456501:32460662:1 gene:PRUPE_1G347200 transcript:ONI32068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCPSAPSPHLLSTDFSQSFKSPILPHPCTQQRRWPDWSQRASKVVAYYGLKKPPYKFDALEPYMSQRTLEVHWGGHHRNYVEGLNKQLEKNDILYGYSFDELVKATYNNGNPLPEFNNAAQVWNHDFFWESMQPGGGDIPKLGVLQQIEKDFGSFTNFREKFVEAALTIFGSGWVWLVLKREERQLKIIKTSNAICPLVWDDIPIISLDLWEHAYYLDYKNDRGKYVDVFMNHLVSWNAAMARMARAEAFVNLGEPNIPVA >ONI32069 pep chromosome:Prunus_persica_NCBIv2:G1:32456501:32460662:1 gene:PRUPE_1G347200 transcript:ONI32069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCPSAPSPHLLSTDFSQSFKSPILPHPCTQQRRWPDWSQRASKVVAYYGLKKPPYKFDALEPYMSQRTLEVHWGGHHRNYVEGLNKQLEKNDILYGYSFDELVKATYNNGNPLPEFNNAAQVWNHDFFWESMQPGGGDIPKLGVLQQIEKDFGSFTNFREKFVEAALTIFGSGWVWLVLKREERQLKIIKTSNAICPLVWDDIHAYYLDYKNDRGKYVDVFMNHLVSWNAAMARMARAEAFVNLGEPNIPVA >ONI27464 pep chromosome:Prunus_persica_NCBIv2:G1:6635984:6637464:-1 gene:PRUPE_1G088700 transcript:ONI27464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSISSKASIVTKNGSDLHGGHTGSYDSYYDDASYFDSIPPGYRFKPLDGELVAIYLRKKIANEPLPPNKIHDVELYRFNPDTLSEIYESYGEDEMYFFTSRDKKYPNGARPNRAAGDGYWKATGVKKIVKFEDVEVGSKTSLVFYRGKPPHGDKTDWKMHEFQVNAPPKRKDRNDMRLDDCVLCRIYNKHNHSKDSNQSNNNGVEIQEQHNVGSNSQDNAHVSGEVVDHPPANSHELTSLLSNQKCYYNTDQSENLNSANCIGFDGPFGVVSISSALPFQNPQQFNGYQKRQRFCDLPSEFYTNYDQWLNDTAKDLTH >ONI26600 pep chromosome:Prunus_persica_NCBIv2:G1:2343680:2346743:1 gene:PRUPE_1G033700 transcript:ONI26600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIWVQEKYEAFKKKVKATVGDTRSGPSRDHPAVIEVIQETSSDDAIQENETKNIPLLVYVSREKRPSHFHNFKAGALNVLLRVSGVISNSPYILGLDCDMHCHDPSSARQAMCFHLDPKISPSLAFVQFPQKFHNISNNDIYDSQMRSIFWLLWQGVDGVGGPGVAGTGYYIKRLSLCSSSKHEDGDPMKLRQSFGPSNEFIKSLHQNNKPDMLIHRKNALLNEAQLLASCAFENGTEWGEEVGFMYGSVAEDYVTGFRLHCKGWISVYCNPPRPQFLGRGITNLDDFFVHVTRWTSGMVDVLFSKFCPLIYGPFKTSTFLHSMCYAEMALIPIVHFLPLWCLATIPQLCLLNGIPLYPEVSNSYFIVFSFVFLSLISKHLYEVLSTGFTFRHWINEQRVWMMKSVISDLYGSVDGFMKKIGMREASFYLTNKVDDVDQLKRYNMGVFDFQTSILFLAPMAALVILNMASFAVGIYRVILLGELDKFCIQVFIPFYVILMNYPIVEGMLIRKDGGRIPPSVTLLSAIVSLIFYFLGYIIFM >ONI26599 pep chromosome:Prunus_persica_NCBIv2:G1:2342520:2346871:1 gene:PRUPE_1G033700 transcript:ONI26599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVPSTKLVPIEEDMESSSKLPLHICHVHKLSIVINRTHIFFHSIALVFLLYYRASFFFFQDPTKTKATTVAWLLVFVSEILLSFEWLLGQSSRWRRVSRTAFPERLPADDKLPAVDVFICTADPEKEPTVGVMNTVLSAMAMNYPPEKLHVYLSDDGGAAVTLKGMREAWRFAKWWLPFCRRYGIKCRAPEAYFSAEEEDADFGGSEFIQDREDIKEKYEAFKKKVKATVGDTRSGPSRDHPAVIEVIQETSSDDAIQENETKNIPLLVYVSREKRPSHFHNFKAGALNVLLRVSGVISNSPYILGLDCDMHCHDPSSARQAMCFHLDPKISPSLAFVQFPQKFHNISNNDIYDSQMRSIFWLLWQGVDGVGGPGVAGTGYYIKRLSLCSSSKHEDGDPMKLRQSFGPSNEFIKSLHQNNKPDMLIHRKNALLNEAQLLASCAFENGTEWGEEVGFMYGSVAEDYVTGFRLHCKGWISVYCNPPRPQFLGRGITNLDDFFVHVTRWTSGMVDVLFSKFCPLIYGPFKTSTFLHSMCYAEMALIPIVHFLPLWCLATIPQLCLLNGIPLYPEVSNSYFIVFSFVFLSLISKHLYEVLSTGFTFRHWINEQRVWMMKSVISDLYGSVDGFMKKIGMREASFYLTNKVDDVDQLKRYNMGVFDFQTSILFLAPMAALVILNMASFAVGIYRVILLGELDKFCIQVFIPFYVILMNYPIVEGMLIRKDGGRIPPSVTLLSAIVSLIFYFLGYIIFM >ONI26336 pep chromosome:Prunus_persica_NCBIv2:G1:1294454:1297977:1 gene:PRUPE_1G018500 transcript:ONI26336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERDSGGGEEGLKRLARAIERFGEVYQRVEAEKLRQMVELEKQRMQFANDLEVQRMNMFMDTQQSNHGERSGSNGYSPYTMKRAFPWDEQVDVISSDDSSSSDMEMETNIESDSKQLTNNTTSDQPAKERTSEGALTRRAEMYQEYMKQIPIPAHRGSVIPFTSWMGLGKSIKQLYGQPLHYLTNILLKQWDQLRMGSEEEYRPLDTIIHPCKAEATIWLMEEVHRHTSSHYHIANLWLSDPMHHAFVDSIFPQLRSKS >ONI30838 pep chromosome:Prunus_persica_NCBIv2:G1:28167747:28168474:1 gene:PRUPE_1G276400 transcript:ONI30838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEIIDGWEELDLVAQRCFCGKLARLQTSWTEVNPLRRFHVCPNSSGIRKKGC >ONI35517 pep chromosome:Prunus_persica_NCBIv2:G1:44200666:44201323:1 gene:PRUPE_1G541000 transcript:ONI35517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKYIVWFFFFSIATLFTICLCIGNPSARCKENERQALLVFKHDLEDPSNRLLSWAGEGDCCSWFGVVCDNSTGQFKVICLKTFDSI >ONI27003 pep chromosome:Prunus_persica_NCBIv2:G1:4438101:4440059:1 gene:PRUPE_1G062100 transcript:ONI27003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLRVLLVTFLLAAIGVAAMPATQFRSSGGILDTHIHITNGLGPDVDLSIHCKSADDDLGEHLIHYETIYGFDFRIKIFGGTQFFCSFQWPGQFHWFDIFIQDRDNCENCAWLIKADGPRRFNSETRSYDDVYQWNDQENRNHETGKRWCKHQSRSIAITLHTFQDLRERSRFGLAVASKRCNQVEKEEFKIVDAPIREQQPIGGQPKKNYKRNGMVEIWDCKQGGMKPQKRASEARTHHQTQTTRDLRTNKMGIPNAPREKPRKTSKTQ >ONI29609 pep chromosome:Prunus_persica_NCBIv2:G1:20599878:20603011:-1 gene:PRUPE_1G205100 transcript:ONI29609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKRKMEAKGQELELWLPKNGIPQRSQKDIKLQIMEKVEQEFEENRNVELDNFLPVLPLDLENQIKSCMPLTRLKKVRVLQNMDEQVLKAICQHLKPMKYSKNNLILREGEPLKMMIFIVEGHVAIEKKGGSISLQGARELCGENLLAWPFSTSFPQTLPTATESARAIGDVEALILMASDMKSVVFKFRVHFIKKYGKLKEKLVNLHLGPVDTTPTLVETAPTYVEGSPTIFTAQELKKATKNYHESTRLGEGACGIVYKGLLPDKRVVAIKKSMIIHSPSTSVNSINEALILSQINQRNIVKLLGCCLEAKTILMVYEFTDKGSLYHQIHKEGIGSGLSFKLRMKIAAEIAGALAYLHAMSILHRDLKTINVLLDKSYTAKVAGFRGSRLVHEEHDQTSTLVQGTLGYLDPEYIQSATLTEKSDVYSFGVVLLELLTSQKAVSFERSAVDRNLSNFFLRSMEGGHLVQILDGEIINVGNFETAEKVSYLAKRCLKVRGEERPCMKEVAAELEGIMRSMEKHPGGQANISRSPKETDGLLGSPSNAYVVDVRGEGDDAGSSGIIISAEDGKSMQNQIQMIEPYDGGR >ONI29608 pep chromosome:Prunus_persica_NCBIv2:G1:20599878:20603013:-1 gene:PRUPE_1G205100 transcript:ONI29608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKRKMEAKGQELELWLPKNGIPQRSQKDIKLQIMEKVEQEFEENRNVELDNFLPVLPLDLENQIKSCMPLTRLKKVRVLQNMDEQVLKAICQHLKPMKYSKNNLILREGEPLKMMIFIVEGHVAIEKKGGSISLQGARELCGENLLAWPFSTSFPQTLPTATESARAIGDVEALILMASDMKSVVFKFRVHFIKKYGKLKEKLVNLHLGPVDTTPTLVETAPTYVEGSPTIFTAQELKKATKNYHESTRLGEGACGIVYKGLLPDKRVVAIKKSMIIHSPSTSVNSINEALILSQINQRNIVKLLGCCLEAKTILMVYEFTDKGSLYHQIHKEGIGSGLSFKLRMKIAAEIAGALAYLHAMSILHRDLKTINVLLDKSYTAKVAGFRGSRLVHEEHDQTSTLVQGTLGYLDPEYIQSATLTEKSDVYSFGVVLLELLTSQKAVSFERSAVDRNLSNFFLRSMEGGHLVQILDGEIINVGNFETAEKVSYLAKRCLKVRGEERPCMKEVAAELEGIMRSMEKHPGGQANISRSPKETDGLLGSPSNAYVVDVRGEGDDAGSSGIIISAEDGKSMQNQIQMIEPYDGGR >ONI32290 pep chromosome:Prunus_persica_NCBIv2:G1:33157030:33158593:-1 gene:PRUPE_1G358600 transcript:ONI32290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKDTTSPKTTQELAMEGQKHLEETIEAAFQILSSMNDELCNPTLWSTTSSFSAATNIANGPAPHANGVNNGDSSSSDNTSTHHGDLIGAGGSGTGGALEEARHRYTNSVRLLRAVLTTIPNSQKAPSETSSTVVSSESPADEAEIEKLDERASNLRKELANKNLYIKFLIDQLRDLITDISTWQSPCSI >ONI29414 pep chromosome:Prunus_persica_NCBIv2:G1:18877172:18877552:-1 gene:PRUPE_1G197400 transcript:ONI29414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVITSFDKILLLTEEETDVVPIGEADEDDVHERLKTALVGKVLTTKSFNREAFKQTMMKIWNTSMEVRAKDLGENLFLFIFATELDRKRVLRNGPWNFDKALVPLEEPNGNIAPSRMMLKFAEF >ONI27185 pep chromosome:Prunus_persica_NCBIv2:G1:5220070:5221775:1 gene:PRUPE_1G073100 transcript:ONI27185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKAGPVVPESVLKKRKREEQWALAKKQGLESAKKKNSENRKLIYNRAKQYAKEYDEQQKELISLKREAKLKGGFYVNPEAKLLFIVRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGYGKLNKQRTALTDNSIVEQALGKFGIICVEDLIHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >ONI30569 pep chromosome:Prunus_persica_NCBIv2:G1:26855475:26855705:-1 gene:PRUPE_1G259200 transcript:ONI30569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIQIYWLGTFLMSPFVSLQTNFVNKQTNKMFSWNLFTNFTLKNKQLTPINEYLDDLKRWTTLLRARISKMLTSKI >ONI33842 pep chromosome:Prunus_persica_NCBIv2:G1:37924225:37925586:1 gene:PRUPE_1G449400 transcript:ONI33842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLKYPKKSLVSFIYVERDNAMEGSNNIDQQEEAIICDQEDSSSPSMLSSPHTTMPLPPPSPESPWTLSPLQTPSPSLLYHCIASLHRREGIIYSIAISRQQGLVFTGSKSTRIRVWRQPDYIEYGCIKATSGEVRSTLAYGDFLFTTHKDHKIRIWNFKVPDNFRSKKVSSIPKKSSFSLFLKTNTQKHKECVSCLAYYHAEGLLYSGSYDKTVKAWRVLTKNCVDSFVAHEHNVNAILVKQDDGCVFTCSSDGSVKIWRRVFSENSHTLVMTLNFQNYPIYALALSTSSFNSNCFLYSGSSDGTINFWEKEKITFRFNHGGFLQGHNFAVLCLVAVETLIFSGSEDTTIRVWRREEGSCFHECLAVLNGHRGPVRCLAACLEMDKVVKGFLVYSASLDQSFKVWKVKVSPEEKMGFSYMKRSFSRTKVRDYETSPVLSPSWVERKLLQR >ONI34055 pep chromosome:Prunus_persica_NCBIv2:G1:38548006:38550659:1 gene:PRUPE_1G461000 transcript:ONI34055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTCNACNKELVDDAEQKLHYKSEWHRYNLKRKVAGVPGVTETLFIARQDALAQEKNGLSETPMLYSCGLCGKGYRSSKAHAEHLKSRSHILRASQGTSDQEEKAIIRPLPSRVVNKAPPKRQESDEETEESEDEWEEVDSDEDLVGETAKSLTEMNVDEHASNEDMDEDDFEELDPSCCFMCDLEHDTIESCMVHMHKQHGFFIPDIEYLKDPKGLLTYLGLKVKRDLLCLYCNDRRHPFNSLEAVRKHMSAKSHCKVHYGDGDDEEEAELEEFYDYSSSYVDEAGKQLVVSGDMANSVELGSGGSELIITRRSDDGTSTKTLGSREYLRYYRQKLRPSPTNGTAITAALASRYKSMGLATVQSKERMVRMKVLKEMRRSGVEAMRSKMGMKSNVIRNLPKNCTY >ONI35299 pep chromosome:Prunus_persica_NCBIv2:G1:43243832:43253468:1 gene:PRUPE_1G528500 transcript:ONI35299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDRSALRWVREKDVPFSSSNLRPRIDPITHIRSGSGGRGFGLPPPSKFRSGHLPSNAIPVRTIPADGDESGSASDNDRTTDSEDGIYGGRYSLDSSPQDDRVPSASAHRYGKPSQGQPHYGSDCTYSDVSSSMDTVVGRHKPAAEKLVRGTGKYPVARNGYTEDESSDSAASSEYSTSQAGGSINSGVPRNRAYVSEGYASSVPSQRNLESSAKKNFNSTNQQSEKLSDDDVPSAPPFCGATQEIKQDDEISPSRVHRTPHATASSEFKTTPGRKQEGNIENGNLGQFVRTTTSSEAAVPSCPARLPTFYASALGSWHAVIAYDACVRLCLHAWAMECMEAPMFLENECAQLRDSFSLRQVLLQSEEELLSKQTSELAGEKAAPKPKKIVGKMKVQVRRMKPGLDPPTGCSISSLRPPVIKLASIRYRLSSFQSTLASGWQALRRIRVVPRVPANGSFSRQSLAYVHAGTQYIKQVSGLLKTGVTSLRESSSSYEVVHETYSCLLRLKSSTEEEAVRMQPGSGETHVFFPDSLGDDLIVEVLDSKGKHFGRVLVQVATIADDPADKQRWFNVYCEPEHELVGKIQLSVYYSTSSDDNPKCGSVAETVAYDLVLEVAMKVQNFQQRNLLLHGPWKWLLTEFASYYGVSDVYTKLRYLSYVMDVATPTADCLNLVYDLLKPVLMKGHHKSMLSHQENRILGETKVQIQQILALTFENYKSLDESSLSGILEVFRPATGHAAPALEPAVKLYTLLHDILSPEAQTALCHHFQVAARKRSRRHLAETDEYVTNNSDGTLIDILSMTTAYQKMKSLCLNIRNEILTDIEIHNQHILPSFIDLPHLSSSIYSTELCSRLRAFLIAYPPTGPSPPVADLVIATADFQRDLASWHISYVKGGVDAKELFHLYIMLWIQNKRGSLLEACKLDKVKWSGVRTQHSTTPFVDEMYDRLKETLSDYEIIICRWPEYACILENAVADVEKAIVESLDKQYADILAPLKENLAPKKFGLKYVQKLAKRSVSSYTVPEELGILLNSLKRMLDVLRPQIEVQFKSWGSCIPDGGNTVAGERLSEVTVMLRAKFKNYLQAVVEKLAENTKLQSSTKMKKILQDSKETVVESDVRSRMQLLKDQLANTVNHLHTVFGTHVFIAICRGYWDRMGQDVLSFLENRKENRSWYKGSRIAVSILDDTFASQMQQLLGNALQEKDLEPPRSIMEVRSMLCKDAANHKDNTYYF >ONI34884 pep chromosome:Prunus_persica_NCBIv2:G1:41647567:41652582:-1 gene:PRUPE_1G503800 transcript:ONI34884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQRALEDRSLTVSNVPEKGRCLFTTRDFSPGEVIISQEPYVSVPNNSSAESRCDACFESSNLKKCSACQVVYYCSNSCQKSEWKLHRLECEALSKLHKERRMAVTPSIRLMIKLYLRTKLQTERVIPASAMDNYKLVEALVAHMSEIDEKQLVLYAQMANLVSLILQWPGINIKEIAENFSKLACNAHTICDSELRPLGTGLYPVISIVNHSCLPNSVLLFEGRSAVVHAVQHIPKGAEVLISYIETAGSTLTRQKALKEQYLFTCTCPRCSKVGKYNDIQESAVLEGYRCKDNGCIGFLLRESDGNGFICQQCGLVRSKEEIKQIASELKSLSDKAPISTPSHNYQESVSVYRAIETLQRKLYHPFSISLMQTREKLLKILMELEDWSEALAYCRLTIPVYQRVYPGCHPLLGLQYYTCGKLEWLLGDTENAVKSLIKAVDILQITHGTSTPFMKDLFVRLEEARAEASYKFSSEE >ONI32874 pep chromosome:Prunus_persica_NCBIv2:G1:34842031:34843343:1 gene:PRUPE_1G390800 transcript:ONI32874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNASQSSASKLLSATLTAKLHRCYMASLQMTKTSLQEPKKPQPCFVDTKNDNAEKAIELGMNFPEKKPETHHEQEEPEETMNWVFSKVKVESSQQQFKPLEDDHIEQMIEELLHYGSIELCSAVAPQAL >ONI31121 pep chromosome:Prunus_persica_NCBIv2:G1:29123371:29124209:1 gene:PRUPE_1G293700 transcript:ONI31121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPSSPPNLFSLMTTTRKPHTDPSLFATTATRKTQNYLSWPSLSDHHHQTHSQSPETQSNHHHHPISQTKHHHHP >ONI27381 pep chromosome:Prunus_persica_NCBIv2:G1:6143647:6144612:1 gene:PRUPE_1G082800 transcript:ONI27381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIQQRRQLNLRLPFPEPSECRPCFSVPLPPTTAVTNKSSFGAISAADIEKLQVLGYGNSGTVYKVNHKRTSTTYALKLVHSDSNDSTVRRQLFHEMEILRHTDSPHVVRCHAIFEKSSGDIGILIEYIDSGTLETLLKAQGTFSEPNLAHVARQVLKGLNYLHTNKIIHRNIKPANVLVNSNMEVKIADFGVSKILCLTSDPCNSYVGTCAYMSPERFDPDTYGGNYSGYAGDIWSLGLTLMELYMGHFPLLPPGQKPDWATLMCAICFGEPPSLPEGVSEEFRSFMECCLQMESEMRWTAAQLLTHPFVSKDPSISVS >ONI29995 pep chromosome:Prunus_persica_NCBIv2:G1:23908446:23910213:-1 gene:PRUPE_1G225700 transcript:ONI29995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAWASSSAIAAVAISSPSSQKAGSTLAATKASFFGGRKLRVRNLTASSGSSTSFTVRAAAADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLSSDPDSLRWNQQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDTTTLFVVELVLIGWAEGRRWADILKPGSVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKVKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >ONI30630 pep chromosome:Prunus_persica_NCBIv2:G1:27188996:27195515:1 gene:PRUPE_1G263400 transcript:ONI30630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGDDNDTVSSSPGGFRLRWDVFLSFRGEDTRATITKSLYEGLEKRGVRVFRDDDALNRGDEIAPSLLEAIEDSTAAIVILSPRYAESKWCLEELAKICERRSRLILPVFYQVDPSHVRHQNEPFAKHFRVYEQLPEIADKVPRWRSAMEKVGGIAGYIINTSNEADGFIQHLVQRVLTEINKTPAAYTVGLDSRVEEVMRLLDLNVGSSGVRVLGIHGMGGVGKTTLAKALFNRLVGHFDCHSLISNVREISAGHEGLLSLQNKLIGNLSPNKVPPVNELETGVAAIRAIAYEKQILLVLDDVDNVSQLSALVGNNTEWFYKGSRIIVTTRDIKALPSHLVNKLYEVRELDSSKALQLFNYHALRREKPTDEFFNLSKEIAALTGGLPLALEVFGSYLFDKRKIEDWREALQKLSKIRPGDLHDVLKISYDALDKPNKYIFLDIACLFVKMNMKREDAINILKGCGFAGEIAISDLTAKSLIKITEDSTLWMHDQIRDMGRQIVRDENLLDPGMRTRLWDRDEIMNVFKDDKGTRHIQGIVLDFESRTMKVRDPGGDRISWNNFRRGPTFTSAVTYVKERYKAHHQNKAEKKREVIICSKPLAAMVSLRLLQINYVHLEGDLKFLPAELKWLQWKGCPLKSLALDFFPLRLAVLDLSDSKLERLWRGRGHKVAEKLMLLNLTGCFNLTGIPDLSGNGALEKLILEHCTGLTKLHNSIGNLQTLVHLNLRECSNLIQLPNDVSGLTKLENLILSGCLQLKQLPNNMDRMVSLKELLLDDTAIISLPESIFRLTKLEKLSLNRCKYLKGLPDLIGKLCSLKEISLNGCEKLEKIPNSMGSLANLEKLSLLWCTSLSIIPDSIGNLKSLMEFYIYGSPIKELPVSIGSLSNLKELSTGNGQFLSRLPDSIGGLNSLVVLKIDQTLITDLPHEIGALKTLEKLEMRKCASLRSLPESIGSMRALTSIIITEAAITELPESLGMLENLTMLQLDRCKQFCKLPVSIGQLKSLHRLLMVETAVTELPESFGMLSCLMVLNMGKKHQKREDTEEINFIVPASFSNLSLLYELHARACNISGKIADDFEKLSSLEILNLGRNNFSSLPASLRGLSLLKKLLLPHCKKLKALPPLPLSLEEVDAANCISLESISDISNLENLVMLNLTSCEKVVDIPGLECLKSLVRLYASGCTACSSAIKKRLAKSYMRKIRNLSMPGSKIPDWFFQDVVTFSERKNCVLKSVIIGVVVSLNQQIPDDIRDELPAIVDILGQILILDFPTFTSALILLGVPNTNEDQVHLCRYPIHHPLVSQLKDGYKIHVMRREPPMMEGVELKKWGIHLVYEGDDDYEGDEESLNESQQSPSEKMARFFSSFEEGD >ONI33366 pep chromosome:Prunus_persica_NCBIv2:G1:36328590:36333969:-1 gene:PRUPE_1G419600 transcript:ONI33366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKICVAVRVRPPVSQDISNGGTFWKVDDNRISLHKPHGTPISGVSYAFDHVFDEGCKNSRVYELLTKDIIHAAVEGFNGTAFAYGQTSSGKTFTMNGSEKDPGIIHRAVRDVFDRIQMISHREFLIRVSYMEIYNEEINDLFAVENQKLQIHESLERGIFVAGLREEIVSNAEQVLKLIESGEVNRHFGETNMNARSSRSHTIFRMVIESNAKDTSSSIDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKYINKSLMILGNVINKLSDGAKNRGHIPYRDSKLTRILQPALGGNAKTSIICTIAPEEVHIEETKGTLQFASRAKRITNCAQVNEILTDAALLKRQKQEIEELRKKLQGSHAGVLEQEVLKLRNDLLQYELEREKLEMELEEQRKSHKQREQCIRDQQIQIDNLGSLSTTSDLDRSSSQAQDSGKQSLKDDGDDSYSKSQGDLFRTPSLKAVPHSFVVKRSNYSPVPGFSPLPDSFSNVVDEDMWFKMNKGFVADLDSLQMTPSRTVQSFPPSDGTAGSKENYKQEVQNLKRQLELAIEERDDLMSKHAEQVVLNDRLVSEISELQNEAQLIREIPQRLSECAATCKDIYVDVLSKTQSFISDEKTSAAKLVSSISEIGTSLFTTLETHFSVAFGEGQRSFSETSSLIQEQREVLSERLNSTIKLLVSSEKPSIENEQVRTSLCSCEHKECATGGENACWKEKLSNELITIKERYHGLEEELDSNNQLLEKSKQRYDALEAEFQLLKEERDSLHKMVSESSQTLALATDQKENVLKDLNNEVLRRKDLEEKIKEFSVAFGCRKTLLMSFHSEFKSKIESLRAKNPASVPKSVGC >ONI33365 pep chromosome:Prunus_persica_NCBIv2:G1:36328418:36334087:-1 gene:PRUPE_1G419600 transcript:ONI33365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKICVAVRVRPPVSQDISNGGTFWKVDDNRISLHKPHGTPISGVSYAFDHVFDEGCKNSRVYELLTKDIIHAAVEGFNGTAFAYGQTSSGKTFTMNGSEKDPGIIHRAVRDVFDRIQMISHREFLIRVSYMEIYNEEINDLFAVENQKLQIHESLERGIFVAGLREEIVSNAEQVLKLIESGEVNRHFGETNMNARSSRSHTIFRMVIESNAKDTSSSIDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKYINKSLMILGNVINKLSDGAKNRGHIPYRDSKLTRILQPALGGNAKTSIICTIAPEEVHIEETKGTLQFASRAKRITNCAQVNEILTDAALLKRQKQEIEELRKKLQGSHAGVLEQEVLKLRNDLLQYELEREKLEMELEEQRKSHKQREQCIRDQQIQIDNLGSLSTTSDLDRSSSQAQDSGKQSLKDDGDDSYSKSQGDLFRTPSLKAVPHSFVVKRSNYSPVPGFSPLPDSFSNVVDEDMWFKMNKGFVADLDSLQMTPSRTVQSFPPSDGTAGSKENYKQEVQNLKRQLELAIEERDDLMSKHAEQVVLNDRLVSEISELQNEAQLIREIPQRLSECAATCKDIYVDVLSKTQSFISDEKTSAAKLVSSISEIGTSLFTTLETHFSVAFGEGQRSFSETSSLIQEQREVLSERLNSTIKLLVSSEKPSIENEQECATGGENACWKEKLSNELITIKERYHGLEEELDSNNQLLEKSKQRYDALEAEFQLLKEERDSLHKMVSESSQTLALATDQKENVLKDLNNEVLRRKDLEEKIKEFSVAFGCRKTLLMSFHSEFKSKIESLRAKNPASVPKSVGC >ONI33631 pep chromosome:Prunus_persica_NCBIv2:G1:37250441:37251120:1 gene:PRUPE_1G437000 transcript:ONI33631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHNNLDKVRNKRAKFPYTKEQIRNVFKRHDKNGDGQLSKDELDAAFKELGSIWPPGRAWFAQRYADDDGDGFISIDKELSKLVQYALELKYTLK >ONI31622 pep chromosome:Prunus_persica_NCBIv2:G1:30834082:30835313:-1 gene:PRUPE_1G322500 transcript:ONI31622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVLISSLHSALPPPTPPCSNSGIHRPHLKTGLFEMQRRRRRHPLKIFASCGRDNYDGKLVDEDMIVLRMRIHDMKMAAEMQDDDHKPPANWMQWEKRYYDNYNSDIFEAVGLLQTHLMNTRPCVALGMLALITLSVPISTAALLDIFKGILLAH >ONI26358 pep chromosome:Prunus_persica_NCBIv2:G1:1382291:1386924:1 gene:PRUPE_1G019800 transcript:ONI26358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGGSDKAGASAGGGVESLKDKGNEHFKAGNYLKAAALYTQAIKQDPNNPTLYSNRAAAFLQLVKLSKALADAETTIKLNPQWEKGYFRKGCILEAMEQYDDALAAFQTALQYNSQSTEVSRKIKRISQLARDKKRAQEVEKMRSNVDMARQLDKLKSEMSGKRGSEECWEDMFSFLVETMETAIKSWHETSKVEARVYFLLDKEKTDTEKYAPAVNIDKAFESPHTHGSCFSFLRQYAEDSFSRAACLVTPKSIMSYPQVWKGQGSRKWKHGQHDGFFVQFETPFLRKLWFIPSSSELGQILCRDPEDLDIGAHELIPRLFKQYNS >ONI36071 pep chromosome:Prunus_persica_NCBIv2:G1:46332106:46334814:1 gene:PRUPE_1G568000 transcript:ONI36071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPDAIVQYILSHMNNARDVAICNCVSKRWKDSIPYIRSLYFPRNSFDNHLGRDSPDDIVLKMISLIEKLENLVVYSPFSAAGLASWLMLIGPTLRYLELRMDNLAEQQACHEIPSKLDCLIAAENLESLKLWGVLMTRSPNWDVFQKLSNLEIVGARLEDPALSTVLQACPNLTNLLLLGCEGVRSVSIELPYLEQCKLDFYGLGNCSFSMECPKIKVLEVQGCSWIRVREAKYLRNLSIANNAGRVYMVDFEKLGALEFLSIRGVQWCWDAISNMLQWASEVKHLFMKVEFTGDFETLQPFPEVDFVDFFNNHPKLQKFDIHGAMFAALCQKNSLKNVDSGFAIPCLEEVVITVRSPLNAEQKMSTLESLLKYGKNLKAIVIKILQMKSSHSSADDFFDEICRFRYMNRKIVRIE >ONI32939 pep chromosome:Prunus_persica_NCBIv2:G1:35088156:35093189:-1 gene:PRUPE_1G395100 transcript:ONI32939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADPTVISLRPGGAGGLRAPRFLAPRFDSSSSEAQALQSHAGLAPAFKTGDLRFEGRERVRYTRDQLLQLREGTTIPEEILKIKQEIDTEFVIEDPTWGRADANLQPQPQARYSEPDNRDWRGRSAQLPASEEDKKELNNQQNSQFGRAQISGNQVVGPAPALIKAEVPWSVRRGTLSDKERVLKTVKGILNKLTPEKFDVLKGQLIDSGITTPDILKDVIFLIFDKAVLEPTFCPMYALLCSDLNAKLPPFPSEELGGKEITFKRVLLNNCQEAFEGADNLRAEIRQMTAPEQEMECRDKERMLKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGHDGKACPAEENVEAICQFFNTIGKQLDESQKSRRINDSYFSRLKELTTNTELAPRLRFMVRNVLDLRSNNWVPRREEVKAKTISEIHSEAEKNLGLRSGATAMMRNARNAGSHGDLSPGGFPVTRPMPGMPGTRKMPGMPGLDTDNWEVYRSRSMTKRDGLGPSQSASRVQPPLISKTPSLNSKFLPQGSGGIIAGKTSALLQGAGGPPTRTNLIAGIEPLTQNPKPIVPAASAIPLEKPLAPATVSDSAKPLAPATVSNSAVLHRKTVSLLEEYFSVRILDEALQCVEELKAPAYHPEVVKEAIAVALEKIPPCVEPVIKLLEFLFNKKVVTSVDIGTGCLLYGSMLDDIGIDLPKAPTNFGDVIGKLALAGVLDFKVVTQILKKVEDDMFRTAIFGAAKKSITSSPSGQEFLANQETEVQACETLLS >ONI32387 pep chromosome:Prunus_persica_NCBIv2:G1:33516736:33519855:1 gene:PRUPE_1G364800 transcript:ONI32387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGSKFWEVICDEHGVDPTGRYRGDANSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPHNVKSSVCDIPPRGLKMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEDDGEYEEEDGAEENYED >ONI34393 pep chromosome:Prunus_persica_NCBIv2:G1:40114145:40115467:1 gene:PRUPE_1G480000 transcript:ONI34393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYALYLVPLSSLKATPEPGNHSSTQGPVRIDNLVQNSTTARFFHVKAKAEKSNESIKPNSMVCSDCEGNGAILCSQCKGSGVNSADLFNGQFKAGDSCWLCGGRKEMLCGNCNGAGFLGGFMSTSDTTSD >ONI34394 pep chromosome:Prunus_persica_NCBIv2:G1:40114272:40115467:1 gene:PRUPE_1G480000 transcript:ONI34394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYALYLVPLSSLKATPEPGNHSSTQGPVRIDNLVQNSTTARFFHVKAKAEKSNESIKPNSMVCSDCEGNGAILCSQCKGSGVNSADLFNGQFKAGDSCWLCGISLQWKKGDVVRKLQRSWVSWRIYEHF >ONI26972 pep chromosome:Prunus_persica_NCBIv2:G1:4208348:4208782:1 gene:PRUPE_1G059600 transcript:ONI26972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKTLRVLYYFLLLASLAFKPSSCSSTTSIGKKYFVRIVNDLDNKPLDFSCKSGDDQINRSLPTAGSQFEFGFRLGFTTQFNCDLRYSTYHAMIIAFRDEEALLNDCGGVHCIWSARQDGIYLYRIKHDDYKKWYDWENQIRT >ONI31402 pep chromosome:Prunus_persica_NCBIv2:G1:30208321:30209093:1 gene:PRUPE_1G310600 transcript:ONI31402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLFFIEKCVAEGGGELEREASDLEVYSLSVEFSVFFRASTNHDALFSPLGRFGCFCYGSRWLWLCIICSAKCCFLDLV >ONI28059 pep chromosome:Prunus_persica_NCBIv2:G1:9385460:9387039:1 gene:PRUPE_1G119900 transcript:ONI28059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARRQGIHNNEGAGGSRNYDPQNVINETSGATDVGVFPLGSSDTVWTNARGGEGKKPKGVGEDISGNKIKGDGAPKGFHNFSNTNKTTNEEDYCATRNIHDNRVTAVNGAECVGMDNFHNTNLMRGSQGQGGREGREDFPNPNPSAIGHNIYSNKIRADGSRKVGYNNFGNTTQY >ONI31322 pep chromosome:Prunus_persica_NCBIv2:G1:29939830:29944129:1 gene:PRUPE_1G306300 transcript:ONI31322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTEQMQASRLGFRDYVKALEEERHKIQVFQRELPLCLELVTQAIERCKQQLSDTTTDYMHGQSECSEQTSSEGHVFEEFIPLKRTSSSDSDDDEVQESQEPKTNDKDKTNGDKIKSDWLRSAQLWNTTPDPPLKDELPRKALVMEVKRNGGAFQPFQREKSVGKTNRPVAKVPASAPATSSTTDTVSGGSGESHKKEEKDGQGQRKQRRNWSPELHRRFLHALQQLGGSHAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPTPTMHNNNNSDNNTQAPQFLVVGGIWVPPQDYAAVAATTASGEATRVAAANGIYAPVATSPSTVTPVSPPSLMQRPRPKRPESSHSDERVSHSEGRGHCNSTATSSSTHTTASPVL >ONI32889 pep chromosome:Prunus_persica_NCBIv2:G1:34908862:34910609:-1 gene:PRUPE_1G391900 transcript:ONI32889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPKGDSIQIREVWSDNLDEEFAIIREIVGTYNYVAMDTEFPGVVLRPVGAFKNINDYNYQTLKDNVDMLKLIQLGLTFSDKNGKLPDLDTQNQYIWQFNFREFNVSEDIFASDSIELLRQCGIDFKKNNEKGIDVKRFGELLVSSGVVLNAEVHWVTFHSGYDFGYLLKLLTGLSLPDSQAGFFELIKAFFPVVYDIKHLMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTACTFRKLRDTFFGGTAEKYAGVLYGLGVENGQSTN >ONI32185 pep chromosome:Prunus_persica_NCBIv2:G1:32818899:32839829:-1 gene:PRUPE_1G353000 transcript:ONI32185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEFRRVGDQDRKLVDDKYNVEATESLANEALHLPISEAAPIYEQLLTVFPTAAKYWKQYVEAQMVVNNDEATKQIFSRCLLNCLQIPLWRCYIRFIRKVNDKKGVEGQEETRKAFDFMLSYVGADIASGPVWMEYITFLKSLPALSTQEESQRMIAVRKVYQKAIVTPTHHIEQLWKEYENFENSVSRQLAKGLLSEYQPKFNSARAVYRERKKYVDGIDWNMLAVPPTGSYKEESQWMAWKKLLAFEKGNPQRIENGSSNKRIIFTYEQCLMHLYHYPDLWYDYAMWHAKSGLIDAAIKVFQRSLKALPDSEMLRYAYGELEESRGAIQPTKKIYESLLGDGVNTTALAHIQFIRFLRRTEGVEAARKYFLDARKSPNCTYHVYVAYAMMAFCLDKDPKMAHNVFEAGLKRFMHEPVYILEYADFLTRLNDDRNIRALFERALSSLPSEESVEVWKRFTSFEQTYGDLASMLKVERRKKEALSGTGEEGPSSLESSLQDVASRYSFMDLWPCSSKELDHLARQEWLAKNINKKVEKSTMPNGLGFVDEDSTGLTSNLAVSSKVVYPDTNQMVIYDPRQKPGAGNFQTTTAAGVPTASKSLSNPVIAAVGGQTMSAFDEILEATPPALVAFLSNLPVVEGPTPDVDVVLSICLQSDVPAPQPGKSGAAPMQLPSIPAPSTSDLSVSSKSHPIPSASSFKPARGKRKHFDRQEEEEASVQSHPLPRDAFRIRQIQKARGTASQTGSASYGSAISGDLSGSTG >ONI26809 pep chromosome:Prunus_persica_NCBIv2:G1:3339535:3342123:-1 gene:PRUPE_1G047300 transcript:ONI26809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKLVDAQKDHQGQDDSLHRLSKERELSRNGTLKSSGSKLKRLVTWSNPQHALISNVNCSQISKCCTKSSLFPSALGLDRRIPKHILSLDEKFRRRCMELIHISASKATRCSAVVNLSSTKIGALPESLNVAKVRSGDTCNSTRFVFECPLAAGGGSVVIGPAGQWIVGTVMGSKSMVNILKGPLFHQFGPLGSNTDLTRINTNDVKGSICYDLTESPGGLSFSSSPVLEKETPNKGSHKNGSDTGHKRFVSLCSTNSACSDQSSASALATVSQGMLQCTWKGGNPHFVFSTDQKREVYVANLWKVESEEDKTLDYVYLFHSGKGGQKDHEIRDGESQLVGKMKVNNLVSLCSNNSKIMETEFVLFGGGIEMHTSSHNLRKSKGLSKKVAEVFRSSQSSKQKTSSKLSGPISKLESSSRESCLDTGNNQDALGLPNLLEDHLPPNFELAAIVVKDHLPDDRKEEAGGWGLKFLKKVGVKKTATVEASVPIECCRNNGDCSTSMDVLIPAGLHGGPITRNGGVSSLTERWRSGGHCDCGGWDLGCPLTVLQTKPSKEDIFPQSDTQGECKSFDLNRKGSEHGPPTFRMLNVHDGLYFVHFQPTMSILQSFSIAVAIIHTQSPTLRPKCTGVLSTKIQG >ONI35864 pep chromosome:Prunus_persica_NCBIv2:G1:45563038:45564944:1 gene:PRUPE_1G558100 transcript:ONI35864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMRVWSVLVVMAVAMATFGECGSSHHAAPAPAVDCSSLILNMADCLSFVSNGSTDTKPAGTCCSGLKTVLKADAACLCEAFKSSAQLGVVLNITKAASLPAACKVSAPSATNCGLSITPVAAPGLSPGSSPTSSVAATPESSVGGSEQAPASPPGASGSLMLASSVGSLLVGLVVASFPCF >ONI27844 pep chromosome:Prunus_persica_NCBIv2:G1:8622367:8625198:-1 gene:PRUPE_1G107600 transcript:ONI27844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRFLLLEIDAEEVMLMDICQVLRRMFVNKGSLLEAKYILLFILKNSSLVNFTAMATLNIDQDGILRSFSQSLQTHYNMKIQSFSSLNKNYVDSIESGEFEAGGHKWKLRFYPNGNLKKNVVDHISLYLFQIVLLYQNNGTYLVLEDANKKVECFHGKMPCSDFDQFIPLESFADASNGYLIDDTCVFGAEASSDRKALPPGYRIFREYSLRLVDQKLANHLSYKCKGLLGPVCSITSSGMPIAIHQRAAFEPTSGLGSLSFHFLHQQENLYGMDPPHKSNAAAVEIEDKDVQAMQLELTLMEQLMVKMLEKLDNNTKAIQSLEEDCVRIIASPI >ONI29169 pep chromosome:Prunus_persica_NCBIv2:G1:16759554:16764490:-1 gene:PRUPE_1G184900 transcript:ONI29169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIFFFVHFIGTFSASEIETEIASNHPRAPGVKTGQTRKTSFLIPHHTCYPVTEVLCAQIKEVGFTLRKREDLNSYRRDSVQVIYQYLDENKSLILKIVQSQNTGKLSECAECCFARAPMKKAFRFDVFDVNGMNLSLSLSLSLSLFWFGIFEIFFCELILLGLMILSASSI >ONI29168 pep chromosome:Prunus_persica_NCBIv2:G1:16761015:16764363:-1 gene:PRUPE_1G184900 transcript:ONI29168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIFFFVHFIGTFSASEIETEIASNHPRAPGVKTGQTRKTSFLIPHHTCYPVTEVLCAQIKEVGFTLRKREDLNSYRRDSVQVIYQYLDENKSLILKIVQSQNTGKLSECAECCFARAPMKKAFRFDVFDVNGMNLSLSLSLSLSLFWFGIFEIFFCELILLGLMILSASSI >ONI35098 pep chromosome:Prunus_persica_NCBIv2:G1:42428197:42433697:-1 gene:PRUPE_1G515800 transcript:ONI35098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLTSVKVCFLAYCLTLLYVSSPNWVAFASNTEAKALLKWKASLFQNKALNILNWRYPPSHNATNSSTNPKENIVTCTWTGVSCNTAGSVNKINLSTCGIQGTLLEFSFLSFPNLEYLNLSMNKLFDVIPPQVSYLSKLHYLDLSLNQLSGRIPPEIGLLRNLTFLGLYENTFFGDIPKEIGNLKSLVELYLCKNELNGSIPRSLGNLTSLTHLYLYTNQLSGSIPKEIGNMESLVDLELCSNTLSGVIPPNIGHLKKLNTLYLYTNQLSGSIPKEIGNLKSLVDLQLYENQLNGSIPRSLCNLTSLTILYLYATQLYGTVPNEIGNMRSLVVLDLSGNQLNGSIPKSLGHLTSLTRLYLFGNKLSGIIPNEICNLTSLVDLQLAFNTLTGFIPPNIGNLKKLNTLYLNTNQLSGSIPKEIGNMKSLVGLYLYGNKLNGSIPRSLGDLTSLTHLYLYANQLSGSIPKEIDHMKSLVDLELSSNTLSGLIPPNIGNLKKLNTLYLHINQLSGLIPKEIGNLKSLVDLQLHENQLHGSIPISFGNLTNLEILYLRDNQLSGSIPKEIESLKKLIGLQLDSNQFSGYLPQNICQGGKLTNFTASTNHLTGPIPKSLKNCTSLVRVRLNQNQLTGNISEDFGVYPNLDFIDVSHNNLYGEISYKWGQCPQLKTLRLAGNNLTGNIPPEIGNGTQIKGLDLSLNNLVGMIPKEFWRLTSLVKLMLNGNQLSGRIPLELGSLIDLEYLDLSTNKFNESIPSTLGDLFRLHYLNLSNNKVAQAVPIKLGKLFQLTDLDLSHNSLEGRIPSEMSDMESLVSLNLSHNNLSGFIPTSFEDMYGLLYVDISYNHLEGPLPNNSAFRKAPPEALKGNKGLCGKVGALPPCNEHGTKKHQKRVFGITFSLLAVFVLLSAFFTIVFVVQRKKKYQDKEQNNMHSEISFSVLNFDGKSMYDEIIRATADFDSTYCIGKGGHGSVYRVNLSSGDVVAVKKLHPLWDGEIEFQKEFLNEVRALTEIRHRNIVKLYGFCAHKRHSFVVYVLKENVILLI >ONI33393 pep chromosome:Prunus_persica_NCBIv2:G1:36405499:36406546:-1 gene:PRUPE_1G421400 transcript:ONI33393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISPSQCNSGCESGWTHYLDQSYFSQSQFQRAGGIADYEGAKTEVEEEEEEDLSMVSDASSGPPHYHDLDEDCLYENGCSFSVSWGSKLGKKSKKKGKESGREQHLDDTASSPVLNYSKKKLSTLSKDESSMQNVLGYSEGFSATHMKGKSALLQHFGFLKSSLAKSPASQKPGESFV >ONI33392 pep chromosome:Prunus_persica_NCBIv2:G1:36405118:36406546:-1 gene:PRUPE_1G421400 transcript:ONI33392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISPSQCNSGCESGWTHYLDQSYFSQSQFQRAGGIADYEGAKTEVEEEEEEDLSMVSDASSGPPHYHDLDEDCLYENGCSFSVSWGSKLGKKSKKKGKESGREQHLDDTASSPVLNYSKKKLSTLSKDESSMQNVLGYSEGFSATHMKGKSALLQHFGFLKSSLAKSPASQKPGDFQEGSWE >ONI35116 pep chromosome:Prunus_persica_NCBIv2:G1:42510489:42514986:1 gene:PRUPE_1G517000 transcript:ONI35116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPGDLCTCFAGENGGPYGDHHESADPNCTHCKKSDPSPSSFYYSSSLGYVSVPLSDSEKLRRVVTASIKGFTIGAGLKGGLAIFSILARLRRRKLLASLRKEGVITNNEAIVTALKETLRYGLFLGTFAGTFVSVDEIIGSLAGHRRTAKWRALLAGAIAGPSMLLTGLNTQHTSLAIYILMRAAVLASRCGIKSKRFGGICKPLTWAHGDIFLMCLSSSQILSAYILKQESLPPSYKSFLNKHGGKDTVILQGVKEIASGIPFTNLEAIEKYYKSIGTNIKLDPAMEVPCSIVHGDQSCSAHIISFLVQAYKRALPVYLPVYLIPALIVHRQDLLKRHYSILLKGLLGTARSSLFLSVYCSSAWAWTCFLFRIFRRCNIPMVAMGTFPTGLALAIEKKSRRTEISLYCLARAIESFFTCMADTGYLPPSTKMKRADVVIFSLSTAIIMHCYAQEREVFRSKYLNVLDWVFGVPPPSCETPRCKKS >ONI35117 pep chromosome:Prunus_persica_NCBIv2:G1:42510874:42513505:1 gene:PRUPE_1G517000 transcript:ONI35117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPGDLCTCFAGENGGPYGDHHESADPNCTHCKKSDPSPSSFYYSSSLGYVSVPLSDSEKLRRVVTASIKGFTIGAGLKGGLAIFSILARLRRRKLLASLRKEGVITNNEAIVTALKETLRYGLFLGTFAGTFVSVDEIIGSLAGHRRTAKWRALLAGAIAGPSMLLTGLNTQHTSLAIYILMRAAVLASRCGIKSKRFGGICKPLTWAHGDIFLMCLSSSQILSAYILKQESLPPSYKSFLNKHGGKDTVILQGVKEIASGIPFTNLEAIEKYYKSIGTNIKLDPAMEVPCSIVHGDQSCSAHIISFLVQAYKRALPVYLPVYLIPALIVHRQDLLKRHYSILLKGLLGTARSSLFLSVYCSSAWLAAIFFYLTF >ONI26692 pep chromosome:Prunus_persica_NCBIv2:G1:2848501:2855467:1 gene:PRUPE_1G040100 transcript:ONI26692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPASYGNYERDIEQALVALKKGSQLIKYSRKGKPKLRAFRISTDETTLIWYSHGEDRTLKLSSVSRIIPGQRTAVFRRFLRPEKDYLSFSLLYNNGERSLDLICKDKAEAEVWFAGLKALIYSGQQRGRRTKSDISDLQDCSDSINGRPSGETLEFTSSIARSRVSVDSRESVNSGSDVGSERANMQLRTSAGDGFRISVSSTPSCSSGGSGPDDIESLGDVYVWGEIWSDGNVSDGSANPIPTKTDVLIPRPLESNVVLDVHQIACGVRHVALVTRQGEVFTWGEESGGRLGHGIDRDFSRPRLVEFLATNNVDFVACGEYHTCAVSTSGDLFTWGDGTHNAGLLGHGTDVSHWIPKRVTGPLEGLQVLSVACGAWHSALATSNGKMFTFGDGAFGVLGHGDLESVPYPREVQLLNGLKTIKVACGVWHTAAIVEVMGQSGPNASSRKLFTWGDGDKHRLGHGSKDTYLLPTCVSSLIDYNFHQLACGHTMTVALTTSGHVFTMGGTAYGQLGNPSSDGRVPCLVQDKLVGEFVEEIACGEYHVAVLTSRSEVFTWGRGANGRLGHGDAEDRKTPTLVEALKDRHVKSISCGSNFTSSICIHKWVSGADQSICSGCRQSFGFTRKRHNCYNCGLVHCHACSSKKALRAALAPTPGKPHRVCDACYTKLKAAEAGYSSNVSRRATITRSMDSRDFLNRGDIKSSRILLSPTIEPIKYLEVKSTKPGVRSESPSIVRASQVPSLLQLKDMAFPSSLSALQNALKPVMTTPSQPNSRSTSPYSRRPSPPRSATPIFSRSVIDSLKKTNDILTQEVSKLQNQVKSLKHKCDAQDVEIQKLHKHAKEAGSLADEQYSKCRAAKELVKSITEQMKEWEEKLPPEVSDSDTFKELRTQAEDFINTSIGRSSLELEQQYAADKTSLDFESSKTEDNKGEDPGEAEPQNSSESHSRSPESSTMRSGQKEVIEQFEPGVYVTLLQLQNGARVFRRVKFSKRKFSSQQAEEWWTNNKDRLLKRYSQLKARASPAPSLPPSSPVPAAEENNEAALPSTI >ONI26693 pep chromosome:Prunus_persica_NCBIv2:G1:2848501:2855467:1 gene:PRUPE_1G040100 transcript:ONI26693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPASYGNYERDIEQDETTLIWYSHGEDRTLKLSSVSRIIPGQRTAVFRRFLRPEKDYLSFSLLYNNGERSLDLICKDKAEAEVWFAGLKALIYSGQQRGRRTKSDISDLQDCSDSINGRPSGETLEFTSSIARSRVSVDSRESVNSGSDVGSERANMQLRTSAGDGFRISVSSTPSCSSGGSGPDDIESLGDVYVWGEIWSDGNVSDGSANPIPTKTDVLIPRPLESNVVLDVHQIACGVRHVALVTRQGEVFTWGEESGGRLGHGIDRDFSRPRLVEFLATNNVDFVACGEYHTCAVSTSGDLFTWGDGTHNAGLLGHGTDVSHWIPKRVTGPLEGLQVLSVACGAWHSALATSNGKMFTFGDGAFGVLGHGDLESVPYPREVQLLNGLKTIKVACGVWHTAAIVEVMGQSGPNASSRKLFTWGDGDKHRLGHGSKDTYLLPTCVSSLIDYNFHQLACGHTMTVALTTSGHVFTMGGTAYGQLGNPSSDGRVPCLVQDKLVGEFVEEIACGEYHVAVLTSRSEVFTWGRGANGRLGHGDAEDRKTPTLVEALKDRHVKSISCGSNFTSSICIHKWVSGADQSICSGCRQSFGFTRKRHNCYNCGLVHCHACSSKKALRAALAPTPGKPHRVCDACYTKLKAAEAGYSSNVSRRATITRSMDSRDFLNRGDIKSSRILLSPTIEPIKYLEVKSTKPGVRSESPSIVRASQVPSLLQLKDMAFPSSLSALQNALKPVMTTPSQPNSRSTSPYSRRPSPPRSATPIFSRSVIDSLKKTNDILTQEVSKLQNQVKSLKHKCDAQDVEIQKLHKHAKEAGSLADEQYSKCRAAKELVKSITEQMKEWEEKLPPEVSDSDTFKELRTQAEDFINTSIGRSSLELEQQYAADKTSLDFESSKTEDNKGEDPGEAEPQNSSESHSRSPESSTMRSGQKEVIEQFEPGVYVTLLQLQNGARVFRRVKFSKRKFSSQQAEEWWTNNKDRLLKRYSQLKARASPAPSLPPSSPVPAAEENNEAALPSTI >ONI27796 pep chromosome:Prunus_persica_NCBIv2:G1:8458368:8464307:1 gene:PRUPE_1G105000 transcript:ONI27796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVRKGAEEEVDKKKNATNCSNKGMRLGKYELGRTLGEGNFGKVKFAKNVDSGQPFAVKILEKTRIIDLKITDQIKREIGTLKLLKHPNVVRLHEVVASKTKIYMVLEYVTGGELFDKIAHKGKLAEAEGRKLFQQLIDGVSYCHNKGVFHRDLKLENVLVDAKGNIKISDFGLSALPQHFREDGLLHTTCGSPNYVAPEILANKGYDGATSDIWSCGVILYVILTGFLPFDDRNLAVLYQKILKGEVQIPTWLSPGAKNLIKKILDPNPVSRITMTGIKSDEWFKQDYSPANPDDEEEDINVDDEAFSINEVPSEGERSPDARHSHTHINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNHSEKDLLEKIEEIVLEMGYCVQKKNGRLKVMQEHKGERSMGSLSVAAEVFEISPSLFVVEIRKSYGDASAYRKLCEKLSHDLGVPASQGLLTSQV >ONI27797 pep chromosome:Prunus_persica_NCBIv2:G1:8458781:8464307:1 gene:PRUPE_1G105000 transcript:ONI27797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVRKGAEEEVDKKKNATNCSNKGMRLGKYELGRTLGEGNFGKVKFAKNVDSGQPFAVKILEKTRIIDLKITDQIKREIGTLKLLKHPNVVRLHEVVASKTKIYMVLEYVTGGELFDKIAHKGKLAEAEGRKLFQQLIDGVSYCHNKGVFHRDLKLENVLVDAKGNIKISDFGLSALPQHFREDGLLHTTCGSPNYVAPEILANKGYDGATSDIWSCGVILYVILTGFLPFDDRNLAVLYQKILKGEVQIPTWLSPGAKNLIKKILDPNPVSRITMTGIKSDEWFKQDYSPANPDDEEEDINVDDEAFSINEVPSEGERSPDARHSHTHINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNHSEKDLLEKIEEIVLEMGYCVQKKNGRLKVMQEHKGERSMGSLSVAAEVFEISPSLFVVEIRKSYGDASAYRKLCEKLSHDLGVPASQGLLTSQV >ONI34759 pep chromosome:Prunus_persica_NCBIv2:G1:41188559:41190868:1 gene:PRUPE_1G497100 transcript:ONI34759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMLLMSSSVSSSHVVDLKSSDPLLQLQVQRLRPKSFSQLVFRPLPSSSSFASSSSPAIPTIVALFKSKTKAPVKKAPAPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEAITGKGILAQLNLETGVPIYEAEPLLLFFILFTLLGAIGALGDRGSFVDDPPAGIERAVIPPGKGFRSALGLKEGGPLFGFTKANELFVGRLAQLGFAFSLIGEIITGKGALAQLNIETGVPINEIEPLVLLNVVFFFIAALNPGTGKFVTDEGEEE >ONI34758 pep chromosome:Prunus_persica_NCBIv2:G1:41187593:41190747:1 gene:PRUPE_1G497100 transcript:ONI34758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTMLLMSSSVSSSHVVDLKSSDPLLQLQVQRLRPKSFSQLVFRPLPSSSSFASSSSPAIPTIVALFKSKTKAPVKKAPAPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEAITGKGILAQLNLETGVPIYEAEPLLLFFILFTLLGAIGALGDRGSFVDDPPAGIERAVIPPGKGFRSALGLKEGGPLFGFTKANELFVGRLAQLGFAFSLIGEIITGKGALAQLNIETGVPINEIEPLVLLNVVFFFIAALNPGTGKFVTDEGEEE >ONI35555 pep chromosome:Prunus_persica_NCBIv2:G1:44313737:44317849:1 gene:PRUPE_1G542400 transcript:ONI35555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNHLTYCLSLSLIIYLVFHSSQAMISTTNTNQSQFFVLMIKSLSDNSGNSLSDWDITGGKPYCNFSGVTCNNEGYVVKMDISGRSLSGHFPADICSYLPELRVIRLGRNNLQGDFLNSITNCSVLEELSMDHLFLSQTLPDFSRLKFLRILDLSYNLFKGKFPMSVFNLTNLEVLNFNENGAFNLWQLPEDIQRLTKLKSMVLTTCMVQGKIPASIGNMTSLVDLELSGNFLGGQIPAEIGLLKNLKQLELYYNQFGGTIPEELGNLTELIDMDMSVNMLTGKIPESICRLPKLEVLQLYNNTLSGEIPSAIADSKTLSMLSLYDNSLTGEVPRNLGKLSPMIVLDLSENRLSGPLPTEVCKGGKLLYFLMLENKFTGEIPESYSECQSLLRFRLSYNSLEGPIPAGLLSLPHVSIFDLGYNNLSGQIADTIGRARNLSELFIQSNRISGALPPGISGAISLVKIDLSNNLLSSPIPSEIGNLKKLNLLMLQGNKLNSSIPDSLSSLKSLNVLDLSNNLLTGNIPDSLSELLPNSINFSNNKLSGPIPLSLIKGGLVESFSGNPGLCVSVYANSSDQNKFPTCPQSFTKKKLNSFWVVTVSIVIILIGALLFLKRRFGKERAEVEHDETLSSSFFSYDVKSFHRISFDHREVIEAMVDKNIVGHGGSGTVYKIELSSGDVIAVKRLWSRKAKDSAEDQLFINKELKTEVETLGSIRHKNIVKLYCYFSSLDCNLLVYEYMPNGNLWDALHKGWIHLDWPTRHQIALGIAQGLAYLHHDLMPPIIHRDIKSTNILLDVNYQPKVADFGIAKVLQARGGKDSTTTVIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELITGKKPVEAEFGENKNIIYWVSNKVDTKEGAMEVLDKRLSESFKEEMIQVLRIAVRCTYKAPSLRPTMKEVVQLLIEADPCRFDSCKSSKTKESSNLTKIKSPYDL >ONI29954 pep chromosome:Prunus_persica_NCBIv2:G1:23790270:23797640:-1 gene:PRUPE_1G224300 transcript:ONI29954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFHVFGFGLKVGHLLWMLCCWIISVISMNWYLTGGIMDTKAGLLGDGGKMCLKWWEKIPMNISKIRHHYYQYIGSKRVRKTWWKRLLVSWVVGWTIGSLWIFWYMSSQANEKRKETLSSMCDERARMLQDQFNVSMNHIQAMSMLISTFHHAKYPSAIDQETFARYTERTAFERPLTSGVAYAVRVLHSEKEQFEKQQGWTIKRMDTLEQNPDHKNDYSPEALEPSPVQEEYAPVIFAQDTVSHIISFDMLTGKEDRENVLRARESGKGVLTAPFRLLKTKRLGVILTFAVYKRDLPSNATPNERIQATDGYLGGVFHIESLVEKLLQQLASKQTILVNVYDITNNSHPISMYGSNVSDDEMQHISTLSFGDPLRIHEMRCRFKHRPPWPWLAITTSIGILIIALLVGHIFHATVNRIAKVEDDFHKMMELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQQDYVKTAQASGKALVALINEVLDQAKIESGKLELEAVRFDLRAILDDVLSLFSGKSQEKGVELAVYISDQVPEMLIGDPGRFRQIITNLMGNSIKFTEKGHIFVTVHLVNELIGSIDVETESSSKNTLSGFPVADRHRSWGGFRCFSQEGSASHFASSSDLINVIVSVEDTGVGIPLEAQSRVFTPFMQVGPSISRTHGGTGIGLSISKCLVGLMKGEIGFVSIPKIGSTFTFTAVFTKAFCNSDDFKIQQINSQSNAPSSEFHGMTALVVDQRPVRAKMSRYHIQRLGIRVEVVSDLDQGLSSLSCGNTSVDMVLVEQEVWDKDSGTSALFINNLRKIRCRPPNLFILTNSSSSCRINSATSVVSNPTVIMKPLRASMLAASLQRAMGVGNKGNPRNGELPSLTLRKLLLGRKILIIDDNNVNLRVAAGALKKYGAEVVCADSGRKAISLLTPPHHFDACFMDIQMPEMDGFEATRRIRDMERNISNSIQNGKVSAEDYGNILTWHVPILAMTADVIQATHEECTKCGMDGYVSKPFEAEQLYREVSRFFQSTSKGNL >ONI32550 pep chromosome:Prunus_persica_NCBIv2:G1:33913327:33916145:1 gene:PRUPE_1G372500 transcript:ONI32550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSLSVFLLTLFLVSHTCLSSSSSQTTSELFEVWCKQYGKSYSSAQEKLYRLSVFEDNLAFVTQHNDMGNSSYTLSLNDFSDLTHHEFKSSRLGFSPSFLSLKLKSDRKPSVVRDLPSSLDWRKKGAVTNVKDQGSCGACWAFSTTGAIEGINKIVTGSLISLSEQELVDCDRVYPNNGCNGGLMDDAFRFVIDNNGIDTEEDYPYKGWDDTCIKKKLKRNAVTIDDYTDVPSNDEEQLLQAVASQPVSVGISGSDMGFQLYSKGIFNGPCSTSLDHAVLIVGYGSENGVDYWIVKNSWGTHWGMNGYMHMLRDHSNPKGICGINTLASYPIKTGENPPLPPPGPTRCDIFTHCAAGETCCCAKRVVGICFSWRCCELDSAVCCKDQRHCCPRDYPICDTERTLCLQSNEQLSTQSHATGNLTSKALESRGSLRKSGRGWGSMIRDWIL >ONI30581 pep chromosome:Prunus_persica_NCBIv2:G1:26916081:26919477:1 gene:PRUPE_1G260000 transcript:ONI30581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHRSATTMLPLYKLSSSPPKLFSQFRYSYSVVSNSSSSFRISLLWLSHPRRFGTVTTAAYRKEGGDTFFTEESVSWTSLGVSDKVSQALYNAGLGQPSLIQAASIPSILSGKDVVVAAETGSGKTHSFLVPLIDKLCNEQNDSANIASSDQGVSQPRKISLVLCPNVTLSEQVVRMADGLCDENGKPLLSVVSLCGRQGWRVNEPDIIVSTPAALLNNIDPKNFRRTDFIRSVKYVVFDEADMLLSGGYQNKVIRLIHMLRFDEKLLSRSNEQNLPESETSSHFSSEDEDNLQDEDLSEEEGDAVENDDLDEELEAGHVKSTDWRRVRKVYKRSKQYIFVAATLPVNGKRTAGAVLKKMFPEANWVSGNYLHCHNPRLKQRWIEVTFDTQVDELIKAVKHGFESRSVSGQCRTMVFANTVEAVESVAKILMRGGIECYHYHKDCSLEDRAKTLADFQEKGGILVCTDAAARGIDIPNVSHVIQADFATSAVDFIHRVGRTARAGQYGLVTSMYTESNRDLVAAVRRAGELSQPVETAFSRKRSFRNKLKKRAALQRITDSRADEERVLA >ONI30580 pep chromosome:Prunus_persica_NCBIv2:G1:26916081:26919477:1 gene:PRUPE_1G260000 transcript:ONI30580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHRSATTMLPLYKLSSSPPKLFSQFRYSYSVVSNSSSSFRISLLWLSHPRRFGTVTTAAYRKEGGDTFFTEESVSWTSLGVSDKVSQALYNAGLGQPSLIQAASIPSILSGKDVVVAAETGSGKTHSFLVPLIDKLCNEQNDSANIASSDQGVSQPRKISLVLCPNVTLSEQVVRMADGLCDENGKPLLSVVSLCGRQGWRVNEPDIIVSTPAALLNNIDPKNFRRTDFIRSVKYVVFDEADMLLSGGYQNKVIRLIHMLRFDEKLLSRSNEQNLPESETSSHFSSEDEDNLQDEDLSEEEGDAVENDDLDEELEAGHVKSTDWRRVRKVYKRSKQYIFVAATLPVNGKRTAGAVLKKMFPEANWVSGNYLHCHNPRLKQRWIEVTFDTQVDELIKAVKHGFESRSVSGQCRTMVFANTVEAVESVAKILMRGGIECYHYHKDCSLEDRAKTLADFQEKGGILVCTDAAARGIDIPNVSHVIQADFATSAVDFIHRVGRTARAGQYGLVTSMYTESNRDLVAAVRRAGELSQPVETAFSRKRSFRNKLKKRAALQRITDSRADEERVLA >ONI26842 pep chromosome:Prunus_persica_NCBIv2:G1:3486625:3489087:1 gene:PRUPE_1G049600 transcript:ONI26842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRQIAFAYYKDVPEHVKMWVQEFLSEIDRDGNEKVSLQEFLAYMGMHEDSKHLCTPSFFDELKQEGREELEFIDLLALFYIVSTGRPFCSGHCKNFIKGGYFTCVQCFYHSPKTFDLCAVCYLDGKYVHRHKNFLDDFLVLKTKRAVGDNLNQHGEASSITSKAKAPESGTGSTPAETMNSSRSSSSSSSSLSFSSSTTTATTAESTNDSTSSGTLTPRTSSSTTTSNALVPVNPRRNDTSAGRKALKAIEILLAVGNIFVTSSQCTIM >ONI31495 pep chromosome:Prunus_persica_NCBIv2:G1:30522749:30526642:-1 gene:PRUPE_1G316800 transcript:ONI31495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAEGRREEKKTREGMIISTGLKTVVEAIHASPTQSVLYLSGGASQAVAWLLSVPGASSTVLEAVVPYSRMSMIQLLGKIPNQFCSSHTAEEMALLAYNRALKLSSPGSPVVGVGFTGTLATSRPKLGDHRLHLSTRTSDRLWVSTVTLSKGLRNREEEDMVASHLLLKAIANACKVPGTFVSELTDSEVPDECEKQFSEDEELEQLINGKICFKVYPFSSEPHMSTAERKIILPGSFNPLHEGHMKLLEVATSLCGNSYPCFEISAVNADKPPLSTSQIKDRVKQFENVGKTVIISNQPYFYKKAELFPGSAFVIGADTAARLINPKYYDGDYKKMLEILIGCKKTGSTFLVGGRNVDGVFKVLEDFDIPEEFRDMFISIPEEKFRMDLSSTEIRKRLEK >ONI35748 pep chromosome:Prunus_persica_NCBIv2:G1:45152257:45154767:1 gene:PRUPE_1G552500 transcript:ONI35748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISFTPHVQRPPIPLLSKPRTLITKPRVSTQASPTSQLALSDPFVLQLAEALEDSLPSSSSSSPPLPLQNLRDSSSEALLSTPWPSRKDEPFRFTDTSFIKNSQIQPISRPPSFPSILEDTHFPTLVIVDGFVINFSNLTELPNGVYVGSLLELSEETIMKRVSEFVSSRCDGDLFWSINGIGAPDLTVIYVPSGCRVEAPVYLRYFANEGGDEGSNKLPLSNPRVLVLVEKGGEIGIIEEFLGGDGDKCYWANSVFEVVVREGGKVRHSYVQNQSLNAAHIKWTWVRQESASTYELVEVSTGGKLSRHNLHVQQLGPDTITELSTLHLSVGDQTQDLHSSIVLDHPRGYSRQLHKCIVSHSLGQAVFDGNIKVNRYAQQTDGGQLTRSLLLAPRATVNVKPNLQIIADDVKCSHGAAISDLEESQLFYFQARGIDLEAARKALLFSFGAEVIERLPYAWMKKNVESQIKELLDPTNKGS >ONI28767 pep chromosome:Prunus_persica_NCBIv2:G1:12743071:12744498:1 gene:PRUPE_1G160000 transcript:ONI28767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYEEVDSLFENAMKGQWGKVVEAYRNCSKAREANITKSKETALHIAIADGQTETALDLLNIIANGENALNILKIGNEKGNTALHLAARLGYVQVCQSMVTKDRSLVSLRNIDGETPLFLAALNGHNKAFLCLHSHCQEKYHSFRDNNGDTILHAAISGEYFSLAFQIIRLYPELVNSMNENGFSPLHILASKPSAFKSSSRLGLIGHIIYHCLIVEELKEESYKYEACLHNEGAQNNSKYPENYETCMNFARVLRSFFQVLTNTRGNQNWMPCSLLRANGGNKNGKNAADDEENPQQRSSSVISNPHNLLREIFS >ONI35239 pep chromosome:Prunus_persica_NCBIv2:G1:43006976:43008813:1 gene:PRUPE_1G524700 transcript:ONI35239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKLIGILKDKVSILKAALYINRHASSVHVAVLRATTHHPSRPPSEEKIASVLALGHSSRITACACIEALMDRLHGTQSAFVALKCLLTLHNIIAKGSFILKDQLAFYPSFGGHNFLNLSMFSDNSDLVMWEFSSWVRWYAGVVEQNLMVSRAIGYYLNSSKKDKEEKALTLLDSDLAVEIEVLVEFVVRICDAPNSLDLQRNNLVYEVVRAAGEDYRSVQREILARVKEVGDRVDSVEGVNSDELTQLIDTLERLEGCKGKLMLLFLNRKRNDGFWDTVRETKAMLVETKKKKEEKSLVRFVGRDESAESTQFWNPFLEPGQLLLLPSGGGWLDFGPTPIAV >ONI36459 pep chromosome:Prunus_persica_NCBIv2:G1:47574694:47577403:-1 gene:PRUPE_1G586000 transcript:ONI36459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSQEAGEIPIPINSSYGGGHGHGHMIHHNHHHHDPAAAATVVPHNHSNLIPSSAVPQNQNPSNTNGSSMPTSLDHHHHHPHSHPHHEEEEHVNIVPYSCKKVGVRYKECLKNHAAAMGGTATDGCGEFMPSGEEGTIEALNCSACNCHRNFHRKEVEGEQQPFPSSWDHNFHHTINRVGVGSRKFLLGPGHHKNLIAAPQEGLGYHTMPSSRAAAPHQMIMSYNMGMGMGMGMGMGMGALPSESDEQEEHDGGGAVGRPAAAQLAKKRFRTKFTQEQKEKMFNFAEKVGWKIQKQEDSVVQNFCQEIGVKRRVLKVWMHNNKHNLAKKNPPPPPPPPA >ONI30611 pep chromosome:Prunus_persica_NCBIv2:G1:27078598:27084105:1 gene:PRUPE_1G262200 transcript:ONI30611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSILTPPHTFTRLLSLKRSSYPLLHHLTQTTHVLGFCSPKSPPTYSPSSLRFRVTFQSPSSAFPAKSQLSDADEEEDEDEYEEEDDDEDVAADEYDDVPGDIMSDGLEQSDDEIDTSMAAAEPSTRPEEFKWQRVEKLCSEVKVFGEEMIDDEELASIYDFRIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGMRLFYTTPLKALSNQKFREFRETFGDDNVGLLTGDSAVNKDAQVLIMTTEILRNMLYQSVGMASSGDGLFHVDVIVLDEVHYLSDMYRGTVWEEIVIYCPKKVQLICLSATVANPDELAGWIGQIHGKTELVTSSRRPVPLTWHFSTKTSLLPLLDKTGKHMNRRLSVNYLQLNASGTKSYKDDGSRRRSSRRRASEMSYDDSTGNMSRRPLSKNDINLIHRSQVPQITDTLWHLKSRDMLPAIWFIFSRKGCDAAVQYVQDNNLLDDCEMSEVQLALKRFRIKYPDAIRETAVKGLLQGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAIIASLSKRSDSGRTQLSPNELFQMAGRAGRRGIDERGHVVLVQSPYEGAEACCKIVFAGLEPLVSQFTASYGMVLNLLAGAKFTSRSNESDDTEASQSGRTLEEARKLVEQSFGNYVGSNVMLAAKEELTRIQKEIEILTLEISDDAIDRKSRKLLSGPAYKEIADLQEELRAEKRLRTELRRRMESQKLSSLRPMLEEFEDGHLPFLCLQYKDSEGVQHSIPAVYLGKVDSFSRSKLKHMVSADDAFALNAVTSEFESNLVFEPSYYVALGSDNSWYLFTEKWIKTIYKTGFPNVALALGDALPREIMSMLLDKTELKWEKLAESELGGFWNMEGSLETWSWSLNVPVLNSLSEHDELLHKSEAYHNAVERYKDQRNKVSRLKKKISRTQGFREYKKIVDMAKFTEEKIKRLKGRSRRLTNRIEQIEPSGWKEFLQISNVIHETRALDINTHVMFPLGVTAAAIRGENELWLAMVLRNKILIDLKPPELAAVCASLVSEGIKIRPWKNNSYIYEPSSTVVDVVNFLDEQRSSFLQLQEKHGVNKPCYLDTQFSGMVEAWVSGLTWKEIMMDCAMDEGDLARLLRRTIDLLVQIPKLPDIDPLLQSNAKTASNIMDRPPISELAG >ONI34821 pep chromosome:Prunus_persica_NCBIv2:G1:41454467:41457645:1 gene:PRUPE_1G500800 transcript:ONI34821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHLLTLAIVLLLAATGGAHSEQQHQHDTPISRFQNYLRINTAHPNPNYTAPVSFLTAIAQTLNLQIQTLHFTPSKSKPLLLLTWPGSRPSLPSLLLNSHLDSVPAEPHKWAHPPFSAHRTADGRIFARGAQDDKCIAIQYLEAIRNLKAADFVPIRTVHLSFVPDEEIGGLDGVAKFAASKEFRDLNVGFMLDEGQANPGDEFRVFYADRTPWSLIVKAKGAPGHGSRLYDNGAMENLMNSVEVMTRFRAAQFDVVKAGKAAISEVISVNPVYLKSGIASGDGFAMNMQPSEAEAGFNIRIPPTVDPELVRKRIAEEWAPEARNLTYQLIEKGPNSDYRGRPLATPTDDSNPWWSVFKQAIASAGGKLAKPEILASTTDARYMRQQGIPALGFSPMTNTPVLLHDHNEFLKDTVFLKGIKVYESVISALSSVVETSE >ONI29174 pep chromosome:Prunus_persica_NCBIv2:G1:16848120:16848848:-1 gene:PRUPE_1G185400 transcript:ONI29174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATESSDVKNTNHLCKKICIALLSLFLIVGAYTCFFIANTGYIQPEFSITGAYLNRFNNYTEINHTLSYSLVLNITLTNPNKKVDFESSDTQVIAYYQNERFGLVTLIDRWKSIHQDPKNTTIFQNALIQGRKPLLYEEHVLPNVTHHYRIDLIIAFHDKIQQSFAEVTCNLTVPLNFNVTSCDGFNTTKCYYIQFTLEHDLAP >ONI31834 pep chromosome:Prunus_persica_NCBIv2:G1:31563815:31567787:-1 gene:PRUPE_1G333500 transcript:ONI31834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESSATTAAAAESHAPLLLPRQASSGRDSSDLGSPTARPATLAMLLGRATGRRGPSMLVRETAARELDERRADWGYSKPVVALDMMWNTAFVVVSVAMLIWTDHEHPNTPIRLWICGYALQCFVHVVLVWVEYQRRNNIARRISRRNQDAQQNQHQVEIDANDTDDEEAAAAARDLAISTRSSLSKRCETVNTMASFLWWIVGFYWVVAGGEVLLQNAPRLYWLAVVFLAFDVFFAIFCVVLACLIGVALCCCLPCIIAILYAVAGQV >ONI31833 pep chromosome:Prunus_persica_NCBIv2:G1:31563815:31567896:-1 gene:PRUPE_1G333500 transcript:ONI31833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESSATTAAAAESHAPLLLPRQASSGRDSSDLGSPTARPATLAMLLGRATGRRGPSMLVRETAARELDERRADWGYSKPVVALDMMWNTAFVVVSVAMLIWTDHEHPNTPIRLWICGYALQCFVHVVLVWVEYQRRNNIARRISRRNQDAQQNQHQVEIDANDTDDEEAAAAARDLAISTRSSLSKRCETVNTMASFLWWIVGFYWVVAGGEVLLQNAPRLYWLAVVFLAFDVFFAIFCVVLACLIGVALCCCLPCIIAILYAVAGQEGASDADLSILPKYRFRSSSEEKSSVGAGKMIPVETSSGYLAIERILLPEDAECCICLSPYEDGTELHTLPCNHHFHATCIVKWLKMNATCPLCKYNILKGNEPV >ONI28475 pep chromosome:Prunus_persica_NCBIv2:G1:11243627:11246581:1 gene:PRUPE_1G142900 transcript:ONI28475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTQASTALSTSFKPTLAVSSRSSGLKSVSFSITGKRFPSLSSRPGRFQVSCSAKPETLNKVCGIVKKQLALPEDTAVTPGSKFAELGADSLDTVEIVMGLEEEFGISVEEESAQTIATVQDAADLIEKLVEKKST >ONI28474 pep chromosome:Prunus_persica_NCBIv2:G1:11243658:11246581:1 gene:PRUPE_1G142900 transcript:ONI28474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTQASTALSTSFKPTLQAVSSRSSGLKSVSFSITGKRFPSLSSRPGRFQVSCSAKPETLNKVCGIVKKQLALPEDTAVTPGSKFAELGADSLDTVEIVMGLEEEFGISVEEESAQTIATVQDAADLIEKLVEKKST >ONI34151 pep chromosome:Prunus_persica_NCBIv2:G1:38799471:38799749:-1 gene:PRUPE_1G465100 transcript:ONI34151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHISIRRCQRIFLVRSCGLLFLGAYIRVLPHCEYSILKLFVAETSFSELSYLPPCANLSICLLLIMSFKAHTPSTLFISSLNLLFKPVKL >ONI26622 pep chromosome:Prunus_persica_NCBIv2:G1:2465833:2468962:1 gene:PRUPE_1G035300 transcript:ONI26622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSGVAPSKSLRYCPKKLKYKTDDILPSFEDQGVRQLYPKGPNIDFKKELRSLNRELQLHILEMADILVERPSQYTRRVEDISLIFKNLHHLLNSLRPHQARAMLIHILELQIQCRKQAVEDIKRRREEAQRLLKESIGMLEDTDTTFVLK >ONI35399 pep chromosome:Prunus_persica_NCBIv2:G1:43608455:43609011:-1 gene:PRUPE_1G533500 transcript:ONI35399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMVSKSNKMNKHICLYALLGLFAGVIFSSCLHGSQMNLEEQLNRAPLTESYVQLQGTVARRIQDGSITLTVKFLHFFIARFLQFRHLSPVQPPPYSTSMLFWVAVTQSVSSTSDLSL >ONI28240 pep chromosome:Prunus_persica_NCBIv2:G1:10550271:10552322:1 gene:PRUPE_1G133400 transcript:ONI28240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMEGIKGGGGGRVNGVVGHHDQVQDQDMGDGMQCSDHPYRNNPGGICAFCLQEKLGKLVSSSFPLPIHASASSSSSPSFRSDTVNVGVVHNGNGVAAGPSSSAASLSLSLSVHPASASAKPRSNIGNNYRQEDDFYNTRRARISFLLAKKKKKVSTATSNAASSDRAAADVVLKRSKSTTTPRRAHHFLDGSEDFSPRKRGGFWSFLYHSSAKSSSSNSLNKKAIDKSKISSSSSFTSSAAQKDSKCLGSSSLRNKSEHLAVEAAAAADDDSASPNSSQATAASASSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKPKASVHRHGENNNSHCMKERVKCEGQLVHGRSRSWGWAFASPMRAFTKPSSSSSKDGKRDIVRQASDKNTTPTLNGIPSLLSVRG >ONI30926 pep chromosome:Prunus_persica_NCBIv2:G1:28487743:28490097:-1 gene:PRUPE_1G281900 transcript:ONI30926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYMPLFRSCTILRTLTQLHAHLVISGLHKDPQASTKLIESYAQMGSLRSSTQVFETFPKPDAFMWGVLMKCLVWNHYFQEAISLYHKMLHHVTSMNRFIFPSILRACSGYGDLGVGGKVHGRIIKCGFDSDVVIETSLLGLYGELRCLDNARKVFYAMPMRDVVSWSSIISCLVENGEASEGLEMFRWMVSEGVESDSVTMLGVAEACGELALLRVARSVHGHVVRRGIKSDGALENSLISMYSKCGDLQSVERIFRTVTHWHTASWTAMISSYNQAGYFSEALEAFVEMQESKVEPNSVTLMSVLRSCIRLDLHKEGRSVHCFAIRNVLDPDLDFLGLALFELYSEIGGLSYCQKLLNTIGERNVVSWNTIISGYCQKGLLREALLIFVQMQTQGLLPDSFSMSSALSACGKVGLVELGHQIHGHIIKRGYLDEFVLNSLIDMYSKCGFVHSAYMIFDKIQHLGVITWNSMISGFSQNGDPVMAISLFDKMFLNCLEINQVAILSVIQACSELGYLEKGKWVHHKLITYGVGKDLYIDTALTDMYAKCGDLRSAQVVFDMMEERSVVSWSVMIAGYGMHGKINAAISIFTQMLDTGMQPNEITFMNILSACSHAGAVEKGRFYFRSMRDFGIESSAEHFACIVDLLSRAGDLTGAYEIIKSMPFSVDASIWGALLNGCRIHQRMDMIKSIETDLLDISTDDSGYYTLFSNIYAEGGNWDEFGNVRLMMKGIGLRKVPGYSIIELDRQVYRFGAGDTPHPQMKEIYSFLENFQSLTREQGIT >ONI28958 pep chromosome:Prunus_persica_NCBIv2:G1:14302152:14303077:-1 gene:PRUPE_1G171800 transcript:ONI28958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVYERVKKRRITRRPLLVNYYGTKRTAEALIPLLQLSDSPRIVNVSSSAGKLVNIPKERVDEVLTQLLKDFKEGFIESKGWPVFPAYTRILAKKYPNFRINSVSPDYVKTDINFNTGILSVVESAASVLKLALLPFWPLLCWVSSIMCMIEDDQFVSM >ONI36414 pep chromosome:Prunus_persica_NCBIv2:G1:47417636:47421177:1 gene:PRUPE_1G583900 transcript:ONI36414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPFCRILQGICAIALIASLSQAPVNAERKLQGLQNASNSEELEVKRSDFSSDFVFGVCTAAAQIEGSAKEAGKGPSVWDHHIEKYPERIQDGSNLTSIDSYKRYKEDVKALKDLGVDSYRFSISWTRILPKGTLSGGVNQEGIDHYNSLIDELLKNGITPYVTILHFDPPQALTDKYGGVLNRSFVDDFKDYSELCFKTYGDRVKNWITINEPYIMAKNGYDLGVAPPARCSVRARFPCTVGNSATEPYIVSHNLLLAHATVVKLYKDKFQAQQGGQIGISLVGPYYEPYSDSAEDKAAVKRIMDFELGWYMEPLVYGDYPKSMRDLVKDRLPTFTQEEKKLLKGSSDFIGINYYTTRYVKNQPASTAKAAEGSIFVITHPEGLQKLLEFMKENYQSPKIIITENGITEAKNDKRGLDVALKDPHRIQSILWHLYRIKMAIKNGVNVKGYFHYTLNDDFEWGEGFIPRFGLYYVDYKDNLKRIPKDSAKWLPKFLKGEA >ONI30295 pep chromosome:Prunus_persica_NCBIv2:G1:25651184:25655206:1 gene:PRUPE_1G242800 transcript:ONI30295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEEEKNRLAGNTRKTYLRSVSWSDRSPSKPNNPYPRPQLNSKARSCLPPLQPLSIARNTVQEWPRAGSDDLGVWPQPQTPRGSVKPLPNSNPEQPGREFEFKKDKLAFFDKECSRIADHIYLGSDAVAKNREVLRKNGITHVLNCVGFVSPEYFKNDLVYKTLWLKDSPSEDITSILYDVFDYFEDVRKQGGRVLVHCCQGVSRSTSLVIAYLMWREGQSFEDAFQYVKAARGVTNPNMGFACQLLQCQKRVHAVPASPNSMLRMYRMAPHSSYDPLHLVPKTLGHPGAQGLDSRGAFVVHVPSALYVWIGKDCNTMMSDNARAAAFQVIQYERAKGPIVDIKEGEEPLEFWDALSSGVLAEDHSKTDVKKVETLSSGGDKVAASRCVPVGERKVVEYDLDFEIFHKAIAGGVVPPFSVSNTESETCLPARENGWGRLRQKFASGIMKDLVTSSELNCNTSPSSDELDMVVETHKEAEDPVSLIEPSSPLSASRHFRSSADSFECFPSRSPCRIRDTFREVECSVPFTEKLSLPTTHCRSPDSFSCFPDSSPKFSSKSPTLSPSNSDYSSSFTFSPSSSNWSDLSCLSSQQPSPSGLESTDPSYIKNISLADNSSLLFKKSPSSPEETFSANFTLEVANSCLPCKGNSPSLAERRGSNPPPRMLVPSVDESPEVPRNLVRSWSFSLPDMGDDAMDSDCNQSENESNREEQMLDVDISNPEKELQCEMISHTAQVINPVLYRRSQLP >ONI26158 pep chromosome:Prunus_persica_NCBIv2:G1:634957:636651:-1 gene:PRUPE_1G007300 transcript:ONI26158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREEVQLAQEEKNSKECMKCLTHAKRRKEKKYGMERKQEEIEFTCFVCASLLYDTFAWVLQSGTNQSLYVRIIKKYTGGASMYFDSKKLKEKHNIGDERAALYEAAETWVDALNDGPFLGGSNSNLADMAFCAPLRALYLAKT >ONI36149 pep chromosome:Prunus_persica_NCBIv2:G1:46649722:46658433:1 gene:PRUPE_1G572200 transcript:ONI36149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVCSCKTQNGHSHAHNQKQQQPHDQDHRQDENLYSSSSSDLNLRNISKLILPPLGASSYNQTPIHSNGWIISPMDSRYRCWETFMVLLVAYSAWVYPFEEAFLNSSSHRPLYLADNVVDLFFAIDIILTFFVAYIDPRTQLLVHDSKRIAMRYLSTWFLMDLASTLPFEALGKNKLGVSYSLLGLLRFWRIRRAKQLFTRLEKDIRFSYFWVRCARLLSVTLFSIHCAGCLYYLLADRYPHQGKTWIGAVIPNFRETSLRIRYISAIYWSITTMTTVGYGDMHAVNTMEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFVCRNRLPPRLKDQILAYICLRFKAESLNQQHIIEQLPKSITKGICQHLFLPTVERVYFFKDISRETLLLLVAKMKAEYIPPREDVVLQNEVPDDVYIIVSGEVEIIGCEMEKELVAGTLKSGDMFGEVGALCCREVGALCCRPQSFTYRTKTLSQLLRLKTTALIEAMQTKPKDKLQMLKNFKQHHKKLRDLKIGEILAESGDEEGDANMAFNLLTVASKGNAALLDELLKAKLDPDIGDSKGRTPLHVAASNGHEECVLVLLKYGCNIHVRDINGNTALWDAIASKHHSIFRILYYCAAISDPYTDGNLLCTAAKRNDMTLMEELLKHELNVDAKNHLGKTAVQIAMEENNADMVNLLVMNGADVANTHNFSFPSETLTEMLQKREVGHRITVPDTTLNEVPLMRNDDEQNPDWRKSNGVNFPRVSIYRGHPIVRRNTCCREAGRLIRLPNSVEELKNIAGEKFGFDSRNVLVTDEGGAEIDSIEVIRDNDKLFVFEDPKSIKS >ONI36150 pep chromosome:Prunus_persica_NCBIv2:G1:46649892:46658449:1 gene:PRUPE_1G572200 transcript:ONI36150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVCSCKTQNGHSHAHNQKQQQPHDQDHRQDENLYSSSSSDLNLRNISKLILPPLGASSYNQTPIHSNGWIISPMDSRYRCWETFMVLLVAYSAWVYPFEEAFLNSSSHRPLYLADNVVDLFFAIDIILTFFVAYIDPRTQLLVHDSKRIAMRYLSTWFLMDLASTLPFEALGKNKLGVSYSLLGLLRFWRIRRAKQLFTRLEKDIRFSYFWVRCARLLSVTLFSIHCAGCLYYLLADRYPHQGKTWIGAVIPNFRETSLRIRYISAIYWSITTMTTVGYGDMHAVNTMEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFVCRNRLPPRLKDQILAYICLRFKAESLNQQHIIEQLPKSITKGICQHLFLPTVERVYFFKDISRETLLLLVAKMKAEYIPPREDVVLQNEVPDDVYIIVSGEVEIIGCEMEKELVAGTLKSGDMFGEVGALCCREVGALCCRPQSFTYRTKTLSQLLRLKTTALIEAMQTKPKDKLQMLKNFKQHHKKLRDLKIGEILAESGDEEGDANMAFNLLTVASKGNAALLDELLKAKLDPDIGDSKGRTPLHVAASNGHEECVLVLLKYGCNIHVRDINGNTALWDAIASKHHSIFRILYYCAAISDPYTDGNLLCTAAKRNDMTLMEELLKHELNVDAKNHLGKTAVQIAMEENNADMVNLLVMNGADVANTHNFSFPSETLTEMLQKREVGHRITVPDTTLNEVPLMRNDDEQNPDWRKSNGVNFPRVSIYRGHPIVRRNTCCREAGRLIRLPNSVEELKNIAGEKFGFDSRNVLVTDEGGAEIDSIEVIRDNDKLFVFEDPKSIKS >ONI36151 pep chromosome:Prunus_persica_NCBIv2:G1:46652474:46658433:1 gene:PRUPE_1G572200 transcript:ONI36151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASTLPFEALGKNKLGVSYSLLGLLRFWRIRRAKQLFTRLEKDIRFSYFWVRCARLLSVTLFSIHCAGCLYYLLADRYPHQGKTWIGAVIPNFRETSLRIRYISAIYWSITTMTTVGYGDMHAVNTMEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFVCRNRLPPRLKDQILAYICLRFKAESLNQQHIIEQLPKSITKGICQHLFLPTVERVYFFKDISRETLLLLVAKMKAEYIPPREDVVLQNEVPDDVYIIVSGEVEIIGCEMEKELVAGTLKSGDMFGEVGALCCREVGALCCRPQSFTYRTKTLSQLLRLKTTALIEAMQTKPKDKLQMLKNFKQHHKKLRDLKIGEILAESGDEEGDANMAFNLLTVASKGNAALLDELLKAKLDPDIGDSKGRTPLHVAASNGHEECVLVLLKYGCNIHVRDINGNTALWDAIASKHHSIFRILYYCAAISDPYTDGNLLCTAAKRNDMTLMEELLKHELNVDAKNHLGKTAVQIAMEENNADMVNLLVMNGADVANTHNFSFPSETLTEMLQKREVGHRITVPDTTLNEVPLMRNDDEQNPDWRKSNGVNFPRVSIYRGHPIVRRNTCCREAGRLIRLPNSVEELKNIAGEKFGFDSRNVLVTDEGGAEIDSIEVIRDNDKLFVFEDPKSIKS >ONI28626 pep chromosome:Prunus_persica_NCBIv2:G1:12014640:12016203:1 gene:PRUPE_1G152100 transcript:ONI28626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFHCLLFCSLVLQIITTTPSLAKAASFRPKALVLPVTKDPSTLQYLTTLSQRTPLVPIKLTVDLGGQYLWVDCEEGYVSSTYKPARCNSAQCSLSNSKACTTECYSSPKPGCNNNTCSLSPDNTVTRTGTSGDLGQDIVSLQSTDGFTPGRVVSVPNLLFTCGSTFLLDGLANGVKGMAGLGRAKIGLPSQFASAFSFHRKFAICLTSSTNSNGIVIFGDGPYSLLPGIDVSEQLIFTPLILNPVSTASAYFQGEPSTEYFINVKSIKINDKAVALNTSLLKIDSEGYGGTKISTVNPYTVLETSIYNAVVDAFVKTVAEIPRVKAVAPFGACFDSKNIGSTRVGPAVPAIDLVLQSESVYWRVFGANSMVAVSDDVLCLGFVDGGERPRTSIVIGGHQLEDNLLQFDLAASRLGFSSSLFFRRTTCANFNFTSTA >ONI35415 pep chromosome:Prunus_persica_NCBIv2:G1:43691099:43693852:1 gene:PRUPE_1G534600 transcript:ONI35415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREELGKMILVSSIVCVMLLLLSAMIKMFEKLWWKPTHIRKLMALQGIKGPSYRFIHGNTIEISNMKREAMSRPMSLFHDSFPQAQPHIHLWTKLYGKNYLQWHGSKPQLVITEAAICKDILNNNDGAVQKAETIGYVKKLLGDGLTRSSGEKWSKMKKLANHAFHGERLKSMIPAMIASGETMLERLKNHQGREIEVYDEFRFFTSEVISRTAFGSSYLEGENIFEMLMKLAFLTFKNTHKIRFPGISKIFKTRDEIESAELEKGIRNSVMELIKKREKKAMAGEEDGFGSDFLGLLLEARHDTNEKQRISVDNLVDECKTFYLAGQETTTSLLAWTVFLLALHRDWQEEARKEVLQLFGKQTPNPDGIAKLKTMSMIINESLRLYSPIVSLERKTEREVRLGNLTVPANVELLLPNLAFHLDPKFWGEDVHLFKPERFSEGVAKATKDNMVAFLPFGMGPRTCVGFHFVTIEAKIALSMILQNHSFTLSPAYVHSPFQFLTLRPQHGVRVTLHPL >ONI32610 pep chromosome:Prunus_persica_NCBIv2:G1:34078207:34082401:1 gene:PRUPE_1G375900 transcript:ONI32610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMLPYHEAYSFGCYAVIIVLGALSCLIYHIIKSNNQESRADIPPGSQGLPLIGETLQFMAAINSGKGFYEFVRLRRLRHGNCFRTNIFGATDVFVSSRESAKAILNNDSGKFSKRYIRSIGELVGNQSLLCASPQHHKLIRGQLTNLFSTSSLSIFIKQFDKLIVDALGGWEHRSTVVIQDEALKITCKAMCKMLISVESGYELEVLEKEVAHVCEAMLALPLRLPGTRFYKGLQARKKIMAIIGKAMSERRRGLQANGEDFLQRLLDEDGKACNGATTNGGGLTDEEIQDNILTMIIAGQDTTASAMTWMVKFLDENPEVLDILLREQLGLARKVSSKSFLTLESINDMPYASKVVKESLRLASIVPWFPRLVLEDCEMEGFKIKRGWNVNVDAKSVHLDPTVYNDPNKFNPSRFDDESKIPYSFLAFGMGGRTCLGMNMAKAMMLVFLHRLTTTYRWKVINSDVSIEKWGLFSKLRSGCPVTITCITENVIP >ONI29618 pep chromosome:Prunus_persica_NCBIv2:G1:20789444:20792876:1 gene:PRUPE_1G205800 transcript:ONI29618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFQITSSQPSICFRNTSQALRPSPKLLVPTCLQPTYNASIRQQRMPVLKAQQCRVLSKYHQSAPVCLLGGKGKSESGDEGSPWKALEKAMGNLKKDQSIEDVLRQQIERNEFYEERGGGGGGGGGSGSGRGSGGDGTGGSGSEDEGLAGIMDETLQVILATVGFLFLYFYIISGEEWTRLAKDYIKFLLSGSKSIRLQRSMYKWGRFYKNLTEKKYYDKFWLEKAIITTPTWWDSPEKYRHIVRSNLESNSDE >ONI28077 pep chromosome:Prunus_persica_NCBIv2:G1:9558832:9559503:1 gene:PRUPE_1G121700 transcript:ONI28077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFAAVNGYSGYNYGPPPVPNYNPGYYNNSYPLLVQSAPPAHAPYQLHGYPNNNYYAPQPQPQPQPPSNYGYYSAPQPQPQPPSYGYHPAPQPPSPVARRPKAKNGRTGGKPSSSEVYTISRNRISGNKGDHHGIFNVGNKHGRRDIDEEEEEQEEN >ONI32400 pep chromosome:Prunus_persica_NCBIv2:G1:33550305:33551364:1 gene:PRUPE_1G365600 transcript:ONI32400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISVSISSRIVILVSVLVVCLVVFNPVDADETANKNAAQSSLLDKLDCGGACAARCRLSSRPRLCKRACGTCCQRCSCVPPGTAGNQEVCPCYAALTTHGGKRKCP >ONI26033 pep chromosome:Prunus_persica_NCBIv2:G1:71369:79957:1 gene:PRUPE_1G000400 transcript:ONI26033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKSEETEASASRITRGTSFLDKIKSATCLNSSSSDSGKGRSKSSSIRVAHGFHLVQGKSGHDMEDYHVAEYRKRKNHVLGLFAIFDGHLGDRVPTYLKENLFNNIIEEPSFWKDPETAIRNAYCSTDKFILDHSMQLGPGGSTAVTAIVIDGKDLWVANVGDSRAVVCERGSATQLTVDHEPHSERRRIEKQGGFVTTLPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPVGSTIEFVILASDGLWKVMKNQEAVDLVKPIKDPQVAAKRLTTEALARKSKDDISCIVIRFG >ONI36195 pep chromosome:Prunus_persica_NCBIv2:G1:46791125:46792075:1 gene:PRUPE_1G574500 transcript:ONI36195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLRTKDNLVTNFEPLVIDKPEPTLGLKHWLPVTTISDGAAQRKMEALVVQDPELDLMTAPFKWRHFIKPSHWNHQLMPYICFSQLFLKLMCTFHSLLNY >ONI27249 pep chromosome:Prunus_persica_NCBIv2:G1:5488230:5492049:1 gene:PRUPE_1G076300 transcript:ONI27249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPKTWSSSSQPSSTSKSAEEILQKPQVPPLAGLPSFPNGDFQMLPIMYPLLVPGLNPLQDQEQMNRGAGIYAVPVHPFMGPVTGVSSNTLIPLTYNIPTTRASPEVGTVGEQQGQEGEQQQQQQQRQQQQPAHQRQVVVRRFQIAFQLDVLLIVKLAAVIFLFNQEGSRQRLVVLVIVASIVYLYQTGSLTPLVRWLSQGMHRAAVPPHPPRPVARAGNVPPAARQGVDNAALADGQPGAENENQPADDGNQAVENENVPAADGANGGNRWWGIVKEIQMIVFGFITSLLPGFHNIE >ONI27250 pep chromosome:Prunus_persica_NCBIv2:G1:5489519:5492049:1 gene:PRUPE_1G076300 transcript:ONI27250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIMYPLLVPGLNPLQDQEQMNRGAGIYAVPVHPFMGPVTGVSSNTLIPLTYNIPTTRASPEVGTVGEQQGQEGEQQQQQQQRQQQQPAHQRQVVVRRFQIAFQLDVLLIVKLAAVIFLFNQEGSRQRLVVLVIVASIVYLYQTGSLTPLVRWLSQGMHRAAVPPHPPRPVARAGNVPPAARQGVDNAALADGQPGAENENQPADDGNQAVENENVPAADGANGGNRWWGIVKEIQMIVFGFITSLLPGFHNIE >ONI30913 pep chromosome:Prunus_persica_NCBIv2:G1:28440967:28443089:-1 gene:PRUPE_1G281100 transcript:ONI30913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFGDLRVSATLASHTTHSLRSSLPNSKVDFASFSNRGSSISDIALKWPSMSFDRRSIRQHSKRLSGDFQLSSKSSSQDSESFLLNAINMSFLDRLNLAWKIMFPSPTTRRSSNANIAKQRLKMILFSDRCAVSDEAKRMIVNNIVHALSDFVEIESRDKVQLSVSADTDVGTIYSVTVPVRRVKPEYQIGDETGTITNIEYKDTGESSGSVDVKFDFFIPD >ONI28870 pep chromosome:Prunus_persica_NCBIv2:G1:13372557:13373183:-1 gene:PRUPE_1G165400 transcript:ONI28870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRRPNPMLISCLSLLLIHTHFSICNGVSAFDLNSLKGSGIDGMVGAGRACNQKIGECLTEPEMESEISRRVLAMQKKYISYETLRRDLVPCGKPGASYYNCHAVAANPYSRGCEVITRCARGNDIKT >ONI29848 pep chromosome:Prunus_persica_NCBIv2:G1:23011038:23016976:-1 gene:PRUPE_1G217300 transcript:ONI29848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRTDSPVYARQWTSESSTTLGGATSPAMSPVRGHHARSSSASGISNIKRTQNFAAKAAAQRLAQVMASQTADDDDDEEDDLGFRYSAPPPLSLSRNVNSPGAKPVAPSSRTTTRSPSPAALAQNPVEETPQVRSTSTGRPSMSLRAAPPLAPPSRTSLRTATSVPPLDPPTNNRKKENRFLSEMGHFKAKDSGDHREASALHDELDILQEEHENILDKLRQEEERCDEANARVRELEKQVAGFGEGVSLDAKFLSRKEAALRQKEVAFKDAKLSKDEVDTEAASLRSEVEKAKEASAVVMQQLDGAESEVKSLRLMTQRMILTQEEMEEVVLKRCWLARNWGLAAMHGICADIAVTKYEYWSSLAPLPFEVVISAGQKAKEESWEKGYDDLEKRNKLVQDLNDLTGEGNIESMLAVEMGLKELASLKVEEAIVLALAQQRRPNSSRLSFSDIKSPADPKFMEAIELSPEESEDVLFKEAWLTYFWRRAKVHGIEEEIAKERVQFWINRSRHSPTSHDAVDVEEGLMELRKLGIEHRLWEESRKAIDQDPSTPITQKSAPR >ONI35832 pep chromosome:Prunus_persica_NCBIv2:G1:45442210:45446762:-1 gene:PRUPE_1G556600 transcript:ONI35832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNVNVVHQAAMASAIGQPNKPSQRPNASLSAPSNSKPKSCCKLLLSSQSSFISGFSPSRPQTLTPFRSSRAQNFPVVGSAKAEPLRIMISGAPASGKGTQCQLITQKYGLVHVAAGDLLRAEIASGSENGRRAREYMEQGQLVPDEIVVMMVKERLLQPDSKENGWLLDGYPRSSSQAIALKELGFKPDLFILLEVPEEILVERVVGRRLDPITGKIYHLKYSPPETQEIASRLTQRFDDTEEKVKLRLNTHHQNVEAVLSMLMEVLTRRMCLPKLTVRSPDYLIKDRLLQNLCQHRCREWFRLPFLQVF >ONI35834 pep chromosome:Prunus_persica_NCBIv2:G1:45441433:45446798:-1 gene:PRUPE_1G556600 transcript:ONI35834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGAPASGKGTQCQLITQKYGLVHVAAGDLLRAEIASGSENGRRAREYMEQGQLVPDEIVVMMVKERLLQPDSKENGWLLDGYPRSSSQAIALKELGFKPDLFILLEVPEEILVERVVGRRLDPITGKIYHLKYSPPETQEIASRLTQRFDDTEEKVKLRLNTHHQNVEAVLSMYEDITIKVNGSVNKEDVFTQIDSALTRLLDKRQATSESLPA >ONI35833 pep chromosome:Prunus_persica_NCBIv2:G1:45442523:45446664:-1 gene:PRUPE_1G556600 transcript:ONI35833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNVNVVHQAAMASAIGQPNKPSQRPNASLSAPSNSKPKSCCKLLLSSQSSFISGFSPSRPQTLTPFRSSRAQNFPVVGSAKAEPLRIMISGAPASGKGTQCQLITQKYGLVHVAAGDLLRAEIASGSENGRRAREYMEQGQLVPDEIVVMMVKERLLQPDSKENGWLLDGYPRSSSQAIALKELGFKPDLFILLEVPEEILVERVVGRRLDPITGKIYHLKYSPPETQEIASRLTQRFDDTEEKVKLRLNTHHQNVEAVLSMYEDITIKVNGSVNKEDVFTQIDSALTRLLDKRQATSESLPA >ONI28772 pep chromosome:Prunus_persica_NCBIv2:G1:12794676:12801143:-1 gene:PRUPE_1G160500 transcript:ONI28772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IWGMGGIGKTALADVVFHRLSSEFEASCFLANVREELEKHGLNHLRNKLFREILKDKDLNIDTPSIGSTFTRERISRKKALIVLDDANGSSQLEFLVGDHDQFCRGSLIIITTRDRSLLEEKVDDDKIYEVERLSPNEALLLFQSLAFENKSPKVEFSELSRKVVYDVKGIPLALKTLGPLFLPHKRIEDWEEELSKLKKFPYEEILSVLRHSYNGLEKNEREIFLDIACFYKGMDMDFVIKMIHLHGFYAVGIKVLIAKSLISISTSNCLEMHDMLQEMSWAIKLGNLKVIDLSYCKHLTEVPDLSGSSNLEHIDLCECTSLVQVPSYFQTFDKLTYLDLGGCLNLNYLPEMPVNIESLDLSETAIKELPSSVWSLEKLCSLNVNHCKALEKLPSNSCNLKVSGTFSLNGCVSLGEFSELPRYISYLDLSKTAIKELPSSLESLFGLTSIRLFACGSLLSLSTSIHKLKSLEILDLQLCSKFQYFPEILEPMEHLTSLSLSSTAVKVLPSSIGNLIGLRKLDLHHCGDLEVVPNSIYSLSKLETLNFHGSWCLGKLPPASVDQVRLLSLKELILAECGIKEIPDALVCLTSLCSLDLKDTGVKSIPASIKQAAQPSSLCLTYCQSLESLPELPPLLQCLEAGRCTSLKKVSSSRTALTQGWDVFSPPRLEEKPIFSGCPKLDENARSVGSNIMADAQLRSMRMATASSKFKEEASYDSDFLGFAPSVVVYINNNAGWLDCGYKYIFDIGCKYNFKTSNGESHEINHPFYRPYPYVRTSVDSHELFVWWYNNVFQVVGGAEIPTAFYKLVTEASVDFSLKQDRRKPFPELEVVKCGICLLYAQDAEIIKQRNL >ONI27044 pep chromosome:Prunus_persica_NCBIv2:G1:4600146:4602306:-1 gene:PRUPE_1G064700 transcript:ONI27044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTRLLWFGLGFSLTGAAISHLVWKDLLVDRCVLSSDVKQKFDALEGRIVNLERSLSEPNPAQVEE >ONI30652 pep chromosome:Prunus_persica_NCBIv2:G1:27280460:27285060:1 gene:PRUPE_1G264500 transcript:ONI30652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKVDSMLPPSRLGMVQILIGAVFVYLLFITFEIPHVLKHGFGSSGSDDSLDALPITFMLESEEEMGESDAPSRPTENPFRDSEGSPSRTPQRRTREAKKVSGLVFKDTLFDANVSRDQVSELHKAARNAWTAGKKLWAELESGKLEFGLKNKSENRSEPCPHSLILSGSEFEARKRVMVLPCGMTLWSHITVVGTPKWAHSEYDPKISMLKEGDEAVMVSQFMMELQGLKIVEGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGSALRCEGWKSRADEDTVDGQVKCEKWIRDDDDHSEESKATWWLNRLIGRTKKVTIDWPYPFAEGKLFVLTVSAGLEGYHINVDGRHLTSFPYRTGFALEDATGLSVNGDIDVHSVLAASLPTSHPSFAPSMHLEMVTRWKAPSLPYGHVELFIGILSAGNHFAERMAVRKSWMQHKLIKSSRVVARFFVALHGRNEVNMELMKEVGYFGDIVIVPYMDNYDLVVLKTVAICEYGIRTVPAKYIMKCDDDTFVRLDAVLKEARKVHGHRSLYIGNMNYHHKPLRHGKWAVTYEEWPEEDYPSYANGPGYVLSSDIAKFIVSDFEKHKLRLFKMEDVSMGMWVEQFNNSKPVEYVHSLKFCQFGCIDDYYTAHYQSPRQMICMWDKLQHQGKPQCCNMR >ONI30651 pep chromosome:Prunus_persica_NCBIv2:G1:27280270:27284376:1 gene:PRUPE_1G264500 transcript:ONI30651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKVDSMLPPSRLGMVQILIGAVFVYLLFITFEIPHVLKHGFGSSGSDDSLDALPITFMLESEEEMGESDAPSRPTENPFRDSEGSPSRTPQRRTREAKKVSGLVFKDTLFDANVSRDQVSELHKAARNAWTAGKKLWAELESGKLEFGLKNKSENRSEPCPHSLILSGSEFEARKRVMVLPCGMTLWSHITVVGTPKWAHSEYDPKISMLKEGDEAVMVSQFMMELQGLKIVEGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGSALRCEGWKSRADEDTVDGQVKCEKWIRDDDDHSEESKATWWLNRLIGRTKKVTIDWPYPFAEGKLFVLTVSAGLEGYHINVDGRHLTSFPYRTGFALEDATGLSVNGDIDVHSVLAASLPTSHPSFAPSMHLEMVTRWKAPSLPYGHVELFIGILSAGNHFAERMAVRKSWMQHKLIKSSRVVARFFVALHGRNEVNMELMKEVGYFGDIVIVPYMDNYDLVVLKTVAICEYGIRTVPAKYIMKCDDDTFVRLDAVLKEARKVHGHRSLYIGNMNYHHKPLRHGKWAVTYEEWPEEDYPSYANGPGYVLSSDIAKFIVSDFEKHKLRLFKMEDVSMGMWVEQFNNSKPVEYVHSLKFCQFGCIDDYYTAHYQSPRQMICMWDKLQHQGKPQCCNMR >ONI29719 pep chromosome:Prunus_persica_NCBIv2:G1:22421041:22425551:1 gene:PRUPE_1G210600 transcript:ONI29719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDAIVVVRRRANGIQLGELEAIYIYMHRGEDGRFIITHGVGHDRVGRREGDMAVYEPIKRYEHDDEERGMTDPMMMKKNNISIDHDEDVEMAIHQISKSTSASSAATAASGAAAYKNVSHEEAAAAPASLPSKLRQQQRLVSLDVFRGSTVAIMILVDDVGGILPAINHSPWNGLTLADLVMPFFLFMVGVSLSLTYKKMSCGTVATRKTVLRTLKLLALGLFLQGGYFHGIKDLTFGVDIEQMRWMGILQRIAIAYFVAALCEIWLKGDDNVNSGRSLLRKYRFQWSAALIITVLYLSLLYGLHVPDWEYQIPGDSSSAPKTFSVKCGVWGDTGPACNAVGMIDRKILGLRHLYRRPIYARTEQCSINSPDNGPLPADAPSWCQAPFDPEGLLSSMMAIVTCLVGLHYGHIIVHFKSHRDRILRWSISSSSLIILGLALDLLGMHINKPLYTFSYMCITAGSAGILFTAIYLMVDVCGYRRPTIVMEWMGMHALMIFVLVACNLLPVIIHGFYWGKPQNNILSLIGIGK >ONI26223 pep chromosome:Prunus_persica_NCBIv2:G1:825413:826188:1 gene:PRUPE_1G010800 transcript:ONI26223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLTKLVTCPGLGGRSALLSGPEWHKISHIPCISVDPLPLRTANLLRAFFFKW >ONI30804 pep chromosome:Prunus_persica_NCBIv2:G1:27999904:28002218:1 gene:PRUPE_1G273900 transcript:ONI30804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSVAEQQDPVLLHYVDAASQKFAESSSISWRTTAVSFSNGEWASYPALVFLILDKLLEPIDNVRFAAVCKKWHSMSKVYNQATQRWHNKQLLPMLLIPNQDKDNDRRVLYSISEGRIYNNIQLQVPFKRRCSGSCHGWFATVDPTDQGVVTVTLRNPFTKANPICLPPLNAHVSVYQPSYYEHYVPKVILSADPTQNPKNYVVVALYRCWFELAFIKAGQNAWTYVNPAAISYGDVIFYKSQVYAVTSFGAIGSLDVYGTDSNNPSQPPSIKLLTPRKPFRSYCFHAYLVESTKGDLLHILRYYVPKDGAYRFRNRQTVGFAVYKWVLNDEEDGGSIAHKVEVKSIGDEALFVGDSHSISVLASNFPGCQPNSIYYTDDFLSTSPLSDGDEANDMGIFNLEDGTITQHYSVKTNTQRAIWVVPPFNGLC >ONI35625 pep chromosome:Prunus_persica_NCBIv2:G1:44694995:44704934:1 gene:PRUPE_1G546800 transcript:ONI35625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKKKIPTSTWRQYFKDCETKKNIANHPRIKNQGRRMSVISLTCSQTTLCYSSKPPHSFLTDFENSVLPRTSIPAFALKLSPYSQRLLRNGNWVSCRNIFHANSADSDADTNELETQLSRENGAVSSNGGNPSTSFLSVLCPLLKLFSGGDPSQERNFTLEVATSSLSTFARFPWGSRSLSQNLDRQDITTLDPPMHLQLFEFEACPFCRRVREALTELDLSAEVYPCPKGSVRHREMVKGFGGKEQFPFLIDPNTGISMYESGEIVKYLFEQYGKGRKPTVGLLESTLFTGWMPTIFRAGRGMTLWEKAKRDPPPKKLELYSYENNPYARIVREALCELELPYILQNVGEGSLRSKSLDASGSKEVPYLIDPNTGTKVGDYKKILSYLFQTYSAATV >ONI35626 pep chromosome:Prunus_persica_NCBIv2:G1:44694995:44705460:1 gene:PRUPE_1G546800 transcript:ONI35626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKKKIPTSTWRQYFKDCETKKNIANHPRIKNQGRRMSVISLTCSQTTLCYSSKPPHSFLTDFENSVLPRTSIPAFALKLSPYSQRLLRNGNWVSCRNIFHANSADSDADTNELETQLSRENGAVSSNGGNPSTSFLSVLCPLLKLFSGGDPSQERNFTLEVATSSLSTFARFPWGSRSLSQNLDRQDITTLDPPMHLQLFEFEACPFCRRVREALTELDLSAEVYPCPKGSVRHREMVKGFGGKEQFPFLIDPNTGISMYESGEIVKYLFEQYGKGRKPTVGLLESTLFTGWMPTIFRAGRGMTLWEKAKRDPPPKKLELYSYENNPYARIVREALCELELPYILQNVGEGSLRSKSLDASGSKEVPYLIDPNTGTKVGDYKKILSYLFQTYSAATV >ONI35627 pep chromosome:Prunus_persica_NCBIv2:G1:44694995:44703884:1 gene:PRUPE_1G546800 transcript:ONI35627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKKKIPTSTWRQYFKDCETKKNIANHPRIKNQGRRMSVISLTCSQTTLCYSSKPPHSFLTDFENSVLPRTSIPAFALKLSPYSQRLLRNGNWVSCRNIFHANSADSDADTNELETQLSRENGAVSSNGGNPSTSFLSVLCPLLKLFSGGDPSQERNFTLEVATSSLSTFARFPWGSRSLSQNLDRQDITTLDPPMHLQLFEFEACPFCRRVREALTELDLSAEVYPCPKGSVRHREMVKGFGGKEQFPFLIDPNTGISMYESGEIVKYLFEQYGKGRKPTVGLLESMHELCVRHFVSWSFLTSFKTWEKVPCDQSHWMHLDPKRFLT >ONI35628 pep chromosome:Prunus_persica_NCBIv2:G1:44695224:44703950:1 gene:PRUPE_1G546800 transcript:ONI35628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVISLTCSQTTLCYSSKPPHSFLTDFENSVLPRTSIPAFALKLSPYSQRLLRNGNWVSCRNIFHANSADSDADTNELETQLSRENGAVSSNGGNPSTSFLSVLCPLLKLFSGGDPSQERNFTLEVATSSLSTFARFPWGSRSLSQNLDRQDITTLDPPMHLQLFEFEACPFCRRVREALTELDLSAEVYPCPKGSVRHREMVKGFGGKEQFPFLIDPNTGISMYESGEIVKYLFEQYGKGRKPTVGLLESTLFTGWMPTIFRAGRGMTLWEKAKRDPPPKKLELYSYENNPYARIVREALCELELPYILQNVGEGSLRSKSLDASGSKEVPYLIDPNTGTKVGDYKKILSYLFQTYSAATV >ONI29458 pep chromosome:Prunus_persica_NCBIv2:G1:19290722:19292921:-1 gene:PRUPE_1G199400 transcript:ONI29458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGDYSNMEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFEYKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI29457 pep chromosome:Prunus_persica_NCBIv2:G1:19290413:19291641:-1 gene:PRUPE_1G199400 transcript:ONI29457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFEYKGSGYHRRRLCNDSG >ONI27086 pep chromosome:Prunus_persica_NCBIv2:G1:4806889:4807737:1 gene:PRUPE_1G067300 transcript:ONI27086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMISSLHESVSLELGPALHIRHIIFPLPGIVELDTTILIPDCISAQFLSFYFVQLDTKLISSQYAKYKSISRRIATPVSLVILAKKKEKLPSSRVAISLAILEIAILARHM >ONI27304 pep chromosome:Prunus_persica_NCBIv2:G1:5646800:5648672:1 gene:PRUPE_1G078500 transcript:ONI27304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETESKSDDSKLKHHQNHNINTQSGPYMDIFFGMRTDRTDRSFMTFKSKQSLATKHIPNLTHTFMKPTLTVLSSAQVMTKSWS >ONI28777 pep chromosome:Prunus_persica_NCBIv2:G1:12847001:12848291:1 gene:PRUPE_1G160900 transcript:ONI28777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNGIAPDKHTFPLLLKGFSKLENENPFQFYAHIVKFGLNFDQFVRNSLIYAFSGCGYLESANHVFDESRVQWDAYVGSALLDMYMKCGYHKDACKVFNEMPVKNVVCWSALIAGYTQCSKYKDALLALKYMLSESIRPNQSTFTSVLTSCAHLGALDRGKSVHGYICRHKIPVNSLLGTSLVDMYAKCGCIDVALSVFGKLPTKDVFTWTAMISGLAMDGDALKALNFFSHMLQSGVLPNEVTFIGVLSACSHGGLVDEGRKLFGSMKQDFHLEPTVDHYGCMVDLLGRVGYLLGELVGNHLIKLQSNHSGRYILLSNLYSTWKKWEIDAGIRKLMKGKRVEKITGHSWIE >ONI26817 pep chromosome:Prunus_persica_NCBIv2:G1:3369783:3374630:1 gene:PRUPE_1G047700 transcript:ONI26817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSVVLESVRDFTIQEAKFLSGVSRQVEAAQTELEFMQGFLKDADARQGQDTGVRICVAKIRDAAYDLEDIIETYGLKVDSKKKKRGLKNVLKRFACIFKEGVDVHMIAAEIENITTKISALRSNLQSYNIKEIRDRDSGGGESSLQLHERLRRSYSHVVERDVVGLESNVEELVMHLVKDENRHQVVSVWGMGGLGKTTLARKVYHHKKVRQHFHSFAWVCVSQRFQVRNVWERILIELTSATKEPKQEIKDMTDDEIAKKLFLFLQEMRCLVILDDIWRTEAWNLLEIAFPNVETESTILLTTRNQAVASLPNRNAYRHQLQPLNENESWELLEKKTISENADIDLGMYTKKRELGMDMLRYCKGLPLAIIVLAGVLARKNTVREWERVHENVREYIRKGTGHEEEFKGASWVLALSYDDLPYYLKPCFLYLGHYPEDCIISVSTLTKFWVAEGLIFSRQQRHSLGKTMEDIARDWLSELVERCLVQVGTSGSTGTIKSCRIHDLVRDMCLLRAKEESFLQIKYSLQENTSSMAAEATQLGKIRRLAIYLDKNADMLVSSRDETNGHVRSLLFFGLREWIPKSEKGLLSPLKDFKVLRVLKVEGLRARRVELPSEIGNMVHLRFLSVRRSEIKTSPPSLGNLVCLQTLDFRVSSYIDMVIPNVIKKMKQLRHLYLPWNYRAKGKVELSTLGHLQTLHNLSSEYCDLKDVGRLTNLRKLKIRVLGSLQNLEEILKSTSSTLNRIRSLIVKNDTNSGEEQAMQIVSSCPGIYKLTLDGPIAELPKELHNYPNLTKLVLWSCGLKEDQMGILEKLPNLTNLKLFEKPFEENTKILVFSRGGFPSLEFLHVSRMDQITELRVEKGAMPRLCQLCIQFCSGLTTLPDGLRYLIYLKELTIRWMCRELHRRIEEDGEDFYKIQHVPCLLIGEPLD >ONI27513 pep chromosome:Prunus_persica_NCBIv2:G1:6871683:6872597:1 gene:PRUPE_1G091300 transcript:ONI27513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAALYYYFTTTILLVLISSTNAATRHSQYLSQANQFLVPQNAARSATKMKPLVWDAKSARYAQWYANQRRYDCALRHSNGPYGENIFWGSGTGWTPAQAVAAWASESRWYNYWSNSCARGQECGHYTQIVWRSTRRVGCARVTCLGGRGVFMVCNYDPPGNYIGERPY >ONI30589 pep chromosome:Prunus_persica_NCBIv2:G1:26939949:26942739:-1 gene:PRUPE_1G260400 transcript:ONI30589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQLLFLISIATTTTLCLSTTYQDYSLKKQIVGLANEFHTVNWMKNVRREIHENPELAYEEFKTSALIRRELDKIGVAYTWPVAHTGVVATIGSGSPPFVALRADMDALPIQELVEWEHKSKVDGKMHACGHDAHVAMLLGAAKVLQQLKDTLQGTVVLIFQPAEERGIGAKDMIKEGVLDNVEAIFGLHVVHRYPSGVVASRPGEFLAGCGSFKAKIHGKGGHAAIPQQSIDPIVAASASVISLQNIVSREADPLDSQVVSVAMIQAGTSFNIIPESATISGTFRAFSKKSFNAIRERIEEVVKGQAAVHRCSAEIEFLGNEHPSIPPTINDERIYEQARRISTKIVGKENTKLAPTFMGSEDFAFYLDKVPGSMLFLGTGNEKKGAIYAPHSPYFFIDEDVLPIGSAIHAAFAHSYLADSTGKLHLHI >ONI27004 pep chromosome:Prunus_persica_NCBIv2:G1:4442131:4442953:1 gene:PRUPE_1G062200 transcript:ONI27004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVERGHIWREKVLSADVVSGCVELGVWLCGCERKNDLCAVR >ONI36380 pep chromosome:Prunus_persica_NCBIv2:G1:47345043:47353056:-1 gene:PRUPE_1G583000 transcript:ONI36380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEDAGVMLVVYDDPSDQRSLSLDETSSTEESPDETRLSLETINDVIPYIGQRFSTHDAAYEYYSEFAKQCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPIKTSHERKPQRNRKSSRCGCQAYMRISKTTELGAPEWRVTGFANHHNHELLEANQVRFLPAYRTISESDKSRILMFAKTGISVQQMMRLMELEKCVQPGYLPFTEKDVRNLLQTFRKLDPEDESIDLLRMCRNIKEKDPNFKFEYTLDSDHRLENIAWSYASSVQSYEIFGDAVVFDTTHRLTAFDMPLGIWVGINNYGMPCFFGCVLLREESLRSFSWALKAFLSFMYGKAPQTILTDQNMFLKEAIGMEMPTTKHALCIWMIVAKFPSWFNAVLGERYNEWKSEFYRIYNLESIEDFELGWRDLVNSFGLHSNRHIVNLYGLRSLWALPFLRSHFFAGMTTMGQSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFKDQAGEQQTMQQNLQNISLKTGAPMESHAASIFTPYAFSKLQEQLVLAAHYASFEMEDGFLVRHHTKAEGGRKVYWVPREGIISCSCHHFEFSGILCRHALRVLSTGNCFQIPERYLPVRWRRISIPSAKLLQSAPNDHAERIQLLQNIVSTLVTESAKSRERLDIATEQVSILLSRIREQPVSVQSTREISSMHRNI >ONI26770 pep chromosome:Prunus_persica_NCBIv2:G1:3175666:3176456:1 gene:PRUPE_1G044400 transcript:ONI26770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGRLALFSLFCIQILAVSVVARNVVSVEHDEEEKNIAVGMGGGFGGGGGFGGGGGAGGGGGFGGGAGGGAGDGAGGGI >ONI32248 pep chromosome:Prunus_persica_NCBIv2:G1:33028895:33032192:1 gene:PRUPE_1G356300 transcript:ONI32248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTDPSDNLPNDVVFDESSPETDLTLSGSTPPDNHHSQNHSSENPSAPPRTTLDAPVSDSQDDSSEPIPEGEDSLLDQQGLPNPAEPGPAEPGPPAKRRRRRKKFFTELNSTPSLAKNRRTDLNKDVDVEALIAISVGFPVDSLTEEEIEANVVPTIGGVEQANYIVVRNHILARWRSNVSFWLTRELALESIRLEHKGLVDSAYDFLVEHGYINFGLAPAVKEAKLNSFDGVERGNVVIVGAGLAGLVAARQLVFLGFKVVVLEGRNRPGGRVKTRKMKGEGVEAAADLGGSVLTGINGNPLGVLARQLGLPLHKVRDICPLYLPDGKAVNSEIDSRIEASFNKLLDRVCKLRHAMIEEVKSVDVSLGTALEAFRRAYSVAQDPQERMLLDWHLANLEYANASLMSNLSMAYWDQDDPYEMGGDHCFIPGGNETFVRSLSEGLPIFYERTVQSIRYGSDGVLVYANGQEFRGDMVLCTVPLGVLKKGSIEFVPELPQRKKDAIQSLGFGLLNKVAILFPYNFWGGDIDTFGHLTEDPSMRGEFFLFYSYSSVSGGPLLVALVAGDAAIKFELMSPVESVNRVLEILRGIFNPKGIAVPDPIQAVCTRWGKDAFAYGSYSYVAVGSSGDDYDILAESIGDGRVFFAGEATNKQYPATMHGALLSGMREAANILRVAKRRSIIPSEKLNNVYGGESDDLNKLFDTPDLTFGSFSVLFDPRSNEVDSSNSLLRVKFGGKYLDVGCLSLCLYGLISRNQAIKLSEEEGEENRMRMLNQKFGVRLVGRKGLNGAGESLITNIRSAKSNLEDGGIQR >ONI34190 pep chromosome:Prunus_persica_NCBIv2:G1:38940295:38942551:1 gene:PRUPE_1G467400 transcript:ONI34190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTRHFLSLALLLFKPNPNLNLRALSLRFFSNQSWLSVRGNPIIKWPSPPDIPCSLPHPNPAPNPNPNPNSSGPNFSQNDFSTIANVLADPSISPGSSLQSALDRTGIEPGPCLLQAVFDHFDSSPKLLHTLFLWAEKRPGFRSSATLFGCMINVLAKSREFESAWSLILNRIGGDEEPGLVSVDTFVIMIRRYSRAGMSQSAIRTFEFASNLDSFLNSESEMSLFEVLLDSLCKEGLVRVASEYFDMKRKLHPDWIPSVRVYNILLNGWFRSRKLKRAERLWAEMKRDNVKPSVVTYGTLIEGYCRMRRAEIAIELVSEMRSEGIEPNAIVYNAIIDALGEAGKFKEALGMMEHFLVLESGPTISTYNSLAKGFCKAGDLVGASKILKMMISKGCVPTPTTYNYFFRYFSKFGKIEEGMNLYTKMIESGYTPDRLTFHLLLKMLCDEGRLGLAVQVSKEMRSRGLDMDLATSTMLIHLLCNVHKFKEAFAEFEDMIRRGLVPQYLTFQRMNVELRKQGMTEMAHKMCNMMSSVPHSTNLPNTYVRERDASHARRKSIIQKAEAMSDLLKTCSDPRELVKYRSLPENVVSRANQLVEDIKRKANIQ >ONI35319 pep chromosome:Prunus_persica_NCBIv2:G1:43314844:43319620:-1 gene:PRUPE_1G529900 transcript:ONI35319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLGCVIVAVDGSEESMNALSWALDNLKLRSPAPDSTTSAGHFVVLHVQSPPSIAAGLSPPAIPFGGPSDVEVPAFNAAIEAHQRRITEAILEYALRICSEKKVNVKTQVVVGDPKEKIGEAAENLHADLLVMGSRAFGPLKSFSSSVFLLCNC >ONI35320 pep chromosome:Prunus_persica_NCBIv2:G1:43318038:43319503:-1 gene:PRUPE_1G529900 transcript:ONI35320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLGCVIVAVDGSEESMNALSWALDNLKLRSPAPDSTTSAGHFVVLHVQSPPSIAAGLSPPAIPFGGPSDVEVPAFNAAIEAHQRRITEAILEYALRICSEKKVNVKTQVVVGDPKEKIGEAAENLHADLLVMGSRAFGPLKRMFLGSVSNYCANHVQCPIIIIKGKVAS >ONI35321 pep chromosome:Prunus_persica_NCBIv2:G1:43315724:43319596:-1 gene:PRUPE_1G529900 transcript:ONI35321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLGCVIVAVDGSEESMNALSWALDNLKLRSPAPDSTTSAGHFVVLHVQSPPSIAAGLSPPAIPFGGPSDVEVPAFNAAIEAHQRRITEAILEYALRICSEKKVNVKTQVVVGDPKEKIGEAAENLHADLLVMGSRAFGPLKRMFLGSVSNYCANHVQCPIIIIKGKVAS >ONI32921 pep chromosome:Prunus_persica_NCBIv2:G1:35055597:35059286:1 gene:PRUPE_1G393900 transcript:ONI32921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRRSKAVRTLTIFLLLIHGAQSFYLPGVAPEDFIKGDDLKVKVNKLTSTKTQLPYSFYSLPYCRPDKILDSAENLGEVLRGDRIENSPYVFKMREPQMCNIVCRFTPDAKTVKQFKEKIDDEYRVNMILDNLPLVVPIQRPDQEAPTVYQLGFHVGLKGHYAGSKEEKYFIHNHLAFTVKYHRDTQTETARIVGFEVKPFSVKHEYEGKWNDAKTRLTTCDPHSKHTVVNSNSPQEVAEKQEIVFTYDVEFQESDVKWASRWDAYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRAPNNSDLLCVYVGTGVQFFGMILVTMIFAVLGFLSPSNRGGLMTAMLLLWVFMGLFAGYASARLYKMFKGTEWKKISFRTAVMFPAVVSAIFIVLNTLIWGQKSSGAVPFGTMFALVFLWFGISVPLVFVGGYVGFRKPALEDPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSAVYLFLYATFYFFTKLEITKLVSGMLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >ONI35607 pep chromosome:Prunus_persica_NCBIv2:G1:44558295:44559250:1 gene:PRUPE_1G545400 transcript:ONI35607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPAADCHHVPSSERYRGVRKRKWGKWVSEIREPGKKTRIWLGSYEEPEMAAAAYDAAALHLRGRGAVLNFPELIDSLPRPASSSPMDVQLAAQEAALRVRRLRIGAVSTEAAGGSSSPRGLSSMPVTVGLSPSQIQAINESPLDSPKLWMQYMYRAHCHEGLSLLGDDMSCVEYYDEEVELGECENMQHLSIWDSYL >ONI36013 pep chromosome:Prunus_persica_NCBIv2:G1:46120012:46122046:-1 gene:PRUPE_1G564800 transcript:ONI36013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLWKSMPATVKEGNPEVIAAWKIGQKLWVRDYGGVYEAIRGYDWSQEAQGLVAAFSDLYTKNMFQLLQSAYSTISIQDTALFLGMSEDDATTFVQQQGWAVDPASQMLTVKKQPIVTEQKLDARKLQNLTEYVFHLEH >ONI36012 pep chromosome:Prunus_persica_NCBIv2:G1:46119589:46122860:-1 gene:PRUPE_1G564800 transcript:ONI36012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSLVSEALNSKSYEKVADICDEHMLQVAAEGVAFQDDWPYAIHLLGHIYAGDINSMRFLWKSMPATVKEGNPEVIAAWKIGQKLWVRDYGGVYEAIRGYDWSQEAQGLVAAFSDLYTKNMFQLLQSAYSTISIQDTALFLGMSEDDATTFVQQQGWAVDPASQMLTVKKQPIVTEQKLDARKLQNLTEYVFHLEH >ONI26974 pep chromosome:Prunus_persica_NCBIv2:G1:4232627:4233199:-1 gene:PRUPE_1G059800 transcript:ONI26974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVVLLITSTEADVQIPRTKRLRITNSLASKVDMKVHCKSGAHDLGEQIVRPTESYEFRFKTNVMGTSLFFCSFQWGNEFHHFDVYKNGRDDCLTHDALCGWKFCRL >ONI28590 pep chromosome:Prunus_persica_NCBIv2:G1:11785750:11787834:-1 gene:PRUPE_1G150200 transcript:ONI28590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYLRPKWFPGFPNLSRLGLKWVSTATTQNPEDHLRLFFKCRTNQTHTDYELALVSVLKSCSSLVAVFQGQQIHSIVLKSGLHSNTFINNSLINMYAKCGFIAAAESLFGSCSELDPVSCNIMVAGYVKSGHLDNARRLFEIMPRKGCVSYTTMIMGLAQNECWTEAIKVFKDMRSAGVIPNEVTMATVISTCSHLGGIRNCRMLHGLVVKLQLEGFVLVSTNLLHMYCGCSSVWEGRSLFNEMSERNIVSWNVMLNGYAKAGLVDLARELFDKIHTKDVVSWGTMIDGYVQVDWLSEAMVMYRAMLRTGLGPNDVMLVDLISACGRSEAIHEGEQFHERIVKEGFDCYDFIQATIINFYAACGRMSLAHLQFEKGIMQHVASWNALIAGYIRNRMIDQARLLFNEMPERDVFSWSSMISGYAQSEQPELALELFQRMVASGIQPNEITMVSVFSAIATLGTLKEGRWAHEYILENSIPLNDNLSAALIDMYAKCGSIHTALEVFYQIRDKASTVSPWNAIICGLAMHGHATLSLEIFSDLQWRNVKLNSITFIGVLSACCHAGFVEAGERYFKSMKNVYNIEPNIKHYGCLVDLLGRAGRVEDAEKMIRSMPMKADIVIWGTLLAACRTHGNLEIGEMAAENLARLDSSHGPGRVLLSNIYADAGKWEEAFSVRRAMQSLRLKRSPGHSDVV >ONI35114 pep chromosome:Prunus_persica_NCBIv2:G1:42494885:42501443:-1 gene:PRUPE_1G516800 transcript:ONI35114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLGFPSMRSLDKFKSLSKSVSGTSNIFSYSSRPPDSTSSGSFANLKLTAEKLVKEQASVKTDLEMANTKLKKSVEHIRALEEKLQNAFNENAKLKVKQKEDEKLWKGLESKFSSTKTLSDQLTETLQLLAGQVQDAEKDRESFEGKISASTIALDGLNQQMNGLSLKLESAEDIIRNREKELEELKSDKEEGEKSYRDEQSRTANLIEEKDAMIKNFEATLATNRLATESLNSKLGEVHLELKLKEDEIKRLITVQENLEKEKLGVQLSNDDLVKRLDMSVLEIKNLEGFVHVLAAHLVELDKQSLDFLDKFDKLNSLYDACFKLVQQERDLSTKLSQRQYDQLHDRFLTITSEKDAIELVNQELNNKVLELQKVQESVVAQLSEECRIARERIQNLESEAEGLVSKNIETEKLVSQLELQIDSLSESSRSSENRMQDLLLKISALETESKDNTEKLQAELQKKVEEIDSLLKEGEKHEEQVDLMDKQVSQLQNMLEEKENLILQHKAQEKNLEEQNTGNQALLAAAESKLVEDKKQYDLMLESKQLELSRHLKDISQRNDQAINDIRKKYEVEMLEIVNMEKEKAEKIVGERERECEKKLEECKEESRQYLMHVQEEHATLVSRIQQEHDKKELSLKAEHSEELKHIQLQAENELREKMTSLRNEHEAQLRVLRLEHEDECKKLQDELDLQKSKEERQRALLQLQWRVMGDKPQEDQEVNSKKDYSISSRQIRNSVGRKRGQHSLVPENDEKDSPLLVATQTPVSKLLKKVENANTGSIMSIPKHHKKVTHREYEVETSNGRTVTKRRRTRSTVMFEDPRKHKKTSTPKATTPRSVVKGSKGGGQPNPSNIGDLFSEGSLNPYADDPYAFD >ONI27722 pep chromosome:Prunus_persica_NCBIv2:G1:8120954:8123097:1 gene:PRUPE_1G101700 transcript:ONI27722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNHGKREESETKTKTTKQQKVKSVKKKMTLMKFQDLPQYMKDNEFILDYYRCEWPLNDVVFSLFAWHNETLNIWTHLVGFLIFVGLTVMSLRDDTELGGLLGNLCRASVSGPLMMMTVMKMTDLNISSTQNVQFPPPDSHLRQISLASSIIHANKENGYDAIPRWPWFVFLSGAMGCLVCSSLSHLLACHSNRFNFFFWRLDYAGISLMIVCSFFAPIYYCFSCNPYSRFFYLSSISVLGILAIVTLLSPSLSAPRFRSFRATLFLSMGFSGVIPVVHALVLHWGNQHIFVALGYELAMAIFYASGAAFYVSRIPERWKPGKFDLAGHSHQIFHVFVVLGALAHSAATLVVMDFRRGSPTCGY >ONI27723 pep chromosome:Prunus_persica_NCBIv2:G1:8120999:8125826:1 gene:PRUPE_1G101700 transcript:ONI27723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNHGKREESETKTKTTKQQKVKSVKKKMTLMKFQDLPQYMKDNEFILDYYRCEWPLNDVVFSLFAWHNETLNIWTHLVGFLIFVGLTVMSLRDDTELGGLLGNLCRASVSGPLMMMTVMKMTDLNISSTQNVQFPPPDSHLRQISLASSIIHANKENGYDAIPRWPWFVFLSGAMGCLVCSSLSHLLACHSNRFNFFFWRLDYAGISLMIVCSFFAPIYYCFSCNPYSRFFYLSSISVLGILAIVTLLSPSLSAPRFRSFRATLFLSMGFSGVIPVVHALVLHWGNQHIFVALGYELAMAIFYASGAAFYVSRIPERWKPGKFDLAGHSHQIFHVFVVLGALAHSAATLVVMDFRRGSPTCGY >ONI29183 pep chromosome:Prunus_persica_NCBIv2:G1:16881238:16881483:-1 gene:PRUPE_1G185900 transcript:ONI29183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNVRICDQEIVIQKIKLLSHQRKYVVYKWEKVTHPVLLKFIWSLAGYNVYPLPSYLYKHRIDSEIETLKYLKVYLAFIFS >ONI34743 pep chromosome:Prunus_persica_NCBIv2:G1:41150120:41150849:-1 gene:PRUPE_1G496300 transcript:ONI34743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKAKHSGWTYGKRQKIPINLGDKEDNYSEEDQISQLPDVILISILSLLGIREAARTRVLSKRWICVWKQITCLNFDDIDALSKPQKKRRQRVKTTSSYNWVNQVLQLHQGPSLDEFKIRSSSLDYSPSSSEIDNWIEFAMWRRVQGLEIDLEAGRRSRFSSPSYIFPDKPFRSPFGISCIKSLKHLSLSFVNITGELV >ONI31518 pep chromosome:Prunus_persica_NCBIv2:G1:30579219:30579941:1 gene:PRUPE_1G317600 transcript:ONI31518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAPVAYYDNLKRYWRRRRYQRLNGDTKKKMRVTRLGGAKTTRRIWKLRSAMPKLRLMKFVSPLKLLTKFHDAYVDMMYRMAGNAASIGGVSGKKVAKAQDQISIASCGEEVDGRLVLEIYKRLAASRQLLIDY >ONI26689 pep chromosome:Prunus_persica_NCBIv2:G1:2836434:2836838:-1 gene:PRUPE_1G039800 transcript:ONI26689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFLYTPEKSSQYHPWSTLHSDPHTQQLHRTPRGDANSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLLRPRC >ONI30334 pep chromosome:Prunus_persica_NCBIv2:G1:25841555:25842379:1 gene:PRUPE_1G245000 transcript:ONI30334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNSLEIPLMQILIHNFSNKLGSIVRDRLNRIYGSPMQWWIHHGVNWVRRPRDSPGNNLEVPCWPLQPWETTPWRRRCKLRGRRKREEEEREREREEEKEDSD >ONI27241 pep chromosome:Prunus_persica_NCBIv2:G1:5417001:5418625:1 gene:PRUPE_1G075700 transcript:ONI27241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVCTFSLDRAQTLQFKHEKIKDENRQEYIAMRSRANYYIALIFIFIIKLIKDEKGINGTLHTLIDKKCFFSNSRYNQVEENYMKKQLKEEYILKLYAKWTEAQSGRSKLKSYIWI >ONI30044 pep chromosome:Prunus_persica_NCBIv2:G1:24126537:24127808:-1 gene:PRUPE_1G228000 transcript:ONI30044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSTGRRRLTYSSTTPTTTKWADELPPELLGLIVKKLSSNVVDIIRFEAVCSSWNRAARLYTSAPYYTKLPQYPWLMLLILPILDKWSWCPKPPRIDHESRCFFSLAENKVYKMDWKASQDFHGYGECLGSSHGWLAIREFKTMKLSQEIVHLFNPISRQRLTLPLRPGKASTAKVVLSSDPSCNNNFVVVVIYDISLGPPGIAFYQHGRGGNAAAWTDLEGSHDHYSDIVFHNNGQLFALSTNHSIQVWDFGDTYNNYPTKIMDFQPSMNPNVLDGPMTHHKKWLVESMGEILFVERQWLWLGSDTKGAVDFYVCKLNIAAKKWEKVECLRGCALFLVRNQSAMSLSTRELPNLEENSIYYAETYQEGDLYKRKLHRGWSEIRVGGVFKFNLETKVVKPYYITREHVDTSYSKPVWIVPSP >ONI33528 pep chromosome:Prunus_persica_NCBIv2:G1:36919259:36921700:-1 gene:PRUPE_1G430800 transcript:ONI33528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLGIDFSCALGALANGHFPEKDCLLPLISKLLGYAIVAASTTVKLPQIMKILQHGSVRGLSIVAFELEVVGYTIALAYCLHKGLPFSAYGELAFLLIQAIILVAIIYYYSQPVGMKTWIRALLYCALAPTILAGQIDPILFEALYASQHAIFLCAKIPQIWANFSVK >ONI33527 pep chromosome:Prunus_persica_NCBIv2:G1:36917950:36921765:-1 gene:PRUPE_1G430800 transcript:ONI33527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLGIDFSCALGALANGHFPEKDCLLPLISKLLGYAIVAASTTVKLPQIMKILQHGSVRGLSIVAFELEVVGYTIALAYCLHKGLPFSAYGELAFLLIQAIILVAIIYYYSQPVGMKTWIRALLYCALAPTILAGQIDPILFEALYASQHAIFLCAKIPQIWANFSNKSTGELSFLTNFMNFGGSMVRVFTSIQEAAPKSVLLGSVIGIATNATLLSQIIIYQKPKPRAEKEKKTE >ONI33512 pep chromosome:Prunus_persica_NCBIv2:G1:36862658:36865221:1 gene:PRUPE_1G429800 transcript:ONI33512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYMVFLFLLLTLFSQLGSSASYSTMSMGSSLSVENPADILISPNGMFSAGFFQVGENAYCFAIWFTEPSPTHNLTVVWMANRDEPVNGRSSKLTLQKNGNLILTDAGKSTIWSSNTVSASLAQLRLNDTGNLVLLTVKGVALWESFASPTDTLLPHQPLTRNTKLLSSRSLTNYSSGFYSLFFDNDNTLRLLYDGPEVSSIYWPDPWLLSIQAGRSTYNNSRIAKFDNLGNFSASDDFTILSSDYGAKRQRIVKMDADGNVRMYSRKQPGDTWVVTWEAVLQPCKIHGICGANSWCNYVPSFGRKCSCVPGYVIRNKNDWIYGCQPEFNLSHNNSIAARDQFDFMFIPRVEIYGFDFGIFYNYTWEKCKNFCLDLGNCTGFHFKYDSGGGGFYNCFPKMQLRNGYRSPGFDGDLYVKLPKSILSAYNVTEAEESNNICSDKLTRELDRIYQKGNTNQSVKFMLEFASGLGALEVVCVFLVWFFLTRRNGGDQLNSDDVVTQGYLHAATGFRRFSYSELKKATRGFKEEIGRGGGGIVYKGVLSDQRIAAIKQLNGANQGEAEFLAEVSLIGKLYHMNLIEMWGYCMEGKHRLLVYEYMEHGSLAEKLSSNVLDWKTRFEIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYNPKVADFGLSKLLNRSEFSNSNFSRIRGTRGYMAPEWVHNMPITSKVDVYSYGIVVLELLTGKSAAESVHGVEGGGDTQKRMLATWVREKMNEAFADAKSPIGAEYEKGELEILVKVALQCIEEDKDARPTMSQVVQMLLHHENNLL >ONI32327 pep chromosome:Prunus_persica_NCBIv2:G1:33286001:33287296:-1 gene:PRUPE_1G361400 transcript:ONI32327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERKITLKSSDGETFEVDEAVALESQTIKHMVEDDCADNGIPLPNVTSKILAKVIEYCKKHVDAAKPDDRPSNDEDLKAWDTDFVKIDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >ONI31829 pep chromosome:Prunus_persica_NCBIv2:G1:31536945:31539398:1 gene:PRUPE_1G333100 transcript:ONI31829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQPLLLLIAGKPRLSVASRGFSPLCLCCTAFLHPLPLHAAVITTHSRTLPSKASNHSGSSRNMEVAEVEGDHVPSVIPELPSEIIWFLILPRLPAKSLMRFKCVCKSWSSLISGNPTFLGVHRNLRCNNSRYTHLLLNVFDRDTGHDHLLSVQINQDGDGDGDGSTSPATHLLTLPPDYHLYDYQCTNGLFCIFYFAKPVATKTHHEHDPEDHVHIFNPSTGESIILPHTSLSKYTLQIKGHFGFSPFTNEYKLLQVHLCHDWTSNLFSLKLEILTLGSDSWRCIEVDLDHLPFNPLSSHLYGESVCLHGALHWIYQDGEDRSRIVVFDLGEERFKVITTPEDDGSDPCWTIAEVGGRLALMDDKDAMPQKLMLELWILKDYQNQVWAKETINFPSHWRESTYHHLNSLRTIHTGELFIQSSPEVQASHHFYDMKSNSFYKSSIVLPDLIWRNDGDARLGLITSYRETLAPLRL >ONI26922 pep chromosome:Prunus_persica_NCBIv2:G1:3886605:3887382:-1 gene:PRUPE_1G055100 transcript:ONI26922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKVRRNYLHTFHVVFCIINDGRVKLTSLNGYTTSVMKNIVVCD >ONI29631 pep chromosome:Prunus_persica_NCBIv2:G1:21021108:21023453:1 gene:PRUPE_1G206700 transcript:ONI29631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLSLSNIPISQTYSPDVKHELFMLSLPAILGQAIDPLAQLMETAYIGRLGSVELASAGISMNIFNYISKLFNIPLLSVATSFVAEDLAKSESIASTSVSTALLLSVGIGIFEAVALCLGSGLFLNMMAISMDSPMRIPAERFLSLRPFSYNHTCTHLYVCIGNLLAAFLLPILMYYFGLGVTGAALSTVISHGFLLGTTLAVLTTLTLGTSMAARQGPVAMAAHQICIQVWLAVSLLTDAMAASGQVIATYLCKGEYKIVKEVADFVL >ONI34129 pep chromosome:Prunus_persica_NCBIv2:G1:38714287:38718205:-1 gene:PRUPE_1G463800 transcript:ONI34129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPESQPPSEDAASVSKKAAKKEAAKQEKLRRRQEQEALAAATRSLAVDDEQDPLSANYGDVPLNEIQSKTVEDVSRWTEVGALTNTSENRSVLIRGRAQTIRAVGKNMAFVVVRERGFTVQCVATVQPDTVSRQMVKYVAGLSRESIIDIEGVVSVPSVEIKGTTQQVEVQVRKLYCVSKAAVLPINIEDAARSDAEIEKALQAGEKLVRVNQDTRLNNRVLDLRTPANQGIFRIQSQVGTIFRQFLLSEGFFEIHTPKLIAGSSEGGAAVFRLDYKGQPACLAQSPQLHKQMAICGDFGRVFEIGGVYRAEDSYTHRHLCEFIGLDLEMEIKSHYSEVMDIVGRLFVTIFDTLNKTCEKELEAVGRQYPFEPLKYLPETLRLTFEEGIQMLKDAGIEVDPMGDLNTEAERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCHDNLAYSNSFDVFIRGEEIISGAQRVHVPELLAERAQACGIELKTISTYIDAFRYGAPPHGGFGVGLERVVMLFCGLNNIRKTSLFPRDPLRIAP >ONI26841 pep chromosome:Prunus_persica_NCBIv2:G1:3481689:3482093:-1 gene:PRUPE_1G049500 transcript:ONI26841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFNYGSVALLTMFIFFFTWAGLGRKTRHVRVTNALEGGSTLTVNCRSEDDDIGIQVLQPQDSFEFHFKPTFIGITRFYCGFQLPDASIHWFDVYNHWRDGRDCSECYWVIRDGGPCMFNWDNQQYDICYKWS >ONI29876 pep chromosome:Prunus_persica_NCBIv2:G1:23369520:23370940:-1 gene:PRUPE_1G218800 transcript:ONI29876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQHIHVQHEQHPPPGYPQEYPQAPDFPPPPPRPGFPNPSPPPQEYGYQGYFYEGYQPPLSAAPPPLRPYHHYHQDDYACDSLFRSCLAALCCCCLLERCCF >ONI33517 pep chromosome:Prunus_persica_NCBIv2:G1:36881161:36882030:1 gene:PRUPE_1G430300 transcript:ONI33517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPKVTEEKKEQGGVEIKVVDTVDYRSPAGEDKKPTKEEVEVVHETKDGANSGTGAGGVLAGAAAAVSNAFQSAKNAVSGSAKDQKTAK >ONI34314 pep chromosome:Prunus_persica_NCBIv2:G1:39422003:39422275:1 gene:PRUPE_1G474400 transcript:ONI34314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMDPTLRNYFSSTEVTRCIQMGLLCVQERAMDRPTMSDVVSTLSNETIALPLPKEPAFLSRSSDAELSSSRQRRHSGYDITISEVDGR >ONI32063 pep chromosome:Prunus_persica_NCBIv2:G1:32421993:32427198:1 gene:PRUPE_1G346800 transcript:ONI32063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSASKVDAVPAVVPPSKAVKKGKREAENVIEKAVNVKKQKRDEGVQQAIQKKKVEAKTQKKKEETSSSEESDLSSSDSDVKKPAPKAAKNGKGIPSSSSDSSDDDSDEKPTSKPSAPSKKSVPAKNGTAGAGVKKAAPSSSSDESDESDEEEVPKTKVTAKNGPTAAPKKKADSTDSSDESSDDDETPAKGTSQVPVATKKGPAVAAKKEDTSSSSEEESDDDEQVTAKGVAPTAKRAQPSKKTDESSEESDSDEDDDDEPQNKKPKVSATSKAAKPSAKAAQKDVTSESSEEDSDDDSSDEEPSKAEKVKKPSQVSKKDSSESDESSSSEEEEDEPAKTPKKKDTDVEMVDADSKSEKKAPKTPATPEATTSKTLFVGNLSFNVERADVENFFKDAGEIVDVRFTTTEDGVFRGFGHVEFATSEAAQKALELNGVELLGRGVRLDLARERGAYTPQSGKEGNSYQKGGQGQSTIFIRGFDTSQGEDEIRSSLQEFFGGCGEITRLSIPKDYETQAPKGMAYLEFQDGDSFKKALQLNQSDFGNGPLTVQEAKPRAEFGGSGRGGGGRSGGGRFGGRDSGGRFGGRDSGGRFGGRRGGGGGRGFSGGHGRGGRGGSNKPNLAAPGTGKKTTFSED >ONI32062 pep chromosome:Prunus_persica_NCBIv2:G1:32421993:32427198:1 gene:PRUPE_1G346800 transcript:ONI32062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSASKVDAVPAVVPPSKAVKKGKREAENVIEKAVNVKKQKRDEGVQQAIQKKKVEAKTQKKKEETSSSEESDLSSSDSDVKKPAPKAAKNGKGIPSSSSDSSDDDSDEKPTSKPSAPSKKSVPAKNGTAGAGVKKAAPSSSSDESDESDEEEVPKTKVTAKNGPTAAPKKKADSTDSSDESSDDDETPAKGTSQVPVATKKGPAVAAKKEDTSSSSEEESDDDEEEIVNKVSAVTPKGKEDSTDSSSEDSSEDEKVTAKGVAPTAKRAQPSKKTDESSEESDSDEDDDDEPQNKKPKVSATSKAAKPSAKAAQKDVTSESSEEDSDDDSSDEEPSKAEKVKKPSQVSKKDSSESDESSSSEEEEDEPAKTPKKKDTDVEMVDADSKSEKKAPKTPATPEATTSKTLFVGNLSFNVERADVENFFKDAGEIVDVRFTTTEDGVFRGFGHVEFATSEAAQKALELNGVELLGRGVRLDLARERGAYTPQSGKEGNSYQKGGQGQSTIFIRGFDTSQGEDEIRSSLQEFFGGCGEITRLSIPKDYETQAPKGMAYLEFQDGDSFKKALQLNQSDFGNGPLTVQEAKPRAEFGGSGRGGGGRSGGGRFGGRDSGGRFGGRDSGGRFGGRRGGGGGRGFSGGHGRGGRGGSNKPNLAAPGTGKKTTFSED >ONI32064 pep chromosome:Prunus_persica_NCBIv2:G1:32421993:32427198:1 gene:PRUPE_1G346800 transcript:ONI32064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSASKVDAVPAVVPPSKAVKKGKREAENVIEKAVNVKKQKRDEGVQQAIQKKKVEAKTQKKKEETSSSEESDLSSSDSDVKKPAPKAAKNGKGIPSSSSDSSDDDSDEKPTSKPSAPSKKSVPAKNGTAGAGVKKAAPSSSSDESDESDEEEVPKTKVTAKNGPTAAPKKKADSTDSSDESSDDDETPAKGTSQVPVATKKGPAVAAKKEDTSSSSEEESDDDEVTAKGVAPTAKRAQPSKKTDESSEESDSDEDDDDEPQNKKPKVSATSKAAKPSAKAAQKDVTSESSEEDSDDDSSDEEPSKAEKVKKPSQVSKKDSSESDESSSSEEEEDEPAKTPKKKDTDVEMVDADSKSEKKAPKTPATPEATTSKTLFVGNLSFNVERADVENFFKDAGEIVDVRFTTTEDGVFRGFGHVEFATSEAAQKALELNGVELLGRGVRLDLARERGAYTPQSGKEGNSYQKGGQGQSTIFIRGFDTSQGEDEIRSSLQEFFGGCGEITRLSIPKDYETQAPKGMAYLEFQDGDSFKKALQLNQSDFGNGPLTVQEAKPRAEFGGSGRGGGGRSGGGRFGGRDSGGRFGGRDSGGRFGGRRGGGGGRGFSGGHGRGGRGGSNKPNLAAPGTGKKTTFSED >ONI32061 pep chromosome:Prunus_persica_NCBIv2:G1:32421993:32427198:1 gene:PRUPE_1G346800 transcript:ONI32061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSASKVDAVPAVVPPSKAVKKGKREAENVIEKAVNVKKQKRDEGVQQAIQKKKVEAKTQKKKEETSSSEESDLSSSDSDVKKPAPKAAKNGKGIPSSSSDSSDDDSDEKPTSKPSAPSKKSVPAKNGTAGAGVKKAAPSSSSDESDESDEEEVPKTKVTAKNGPTAAPKKKADSTDSSDESSDDDETPAKGTSQVPVATKKGPAVAAKKEDTSSSSEEESDDDEEEIVNKVSAVTPKGKEDSTDSSSEDSSEDEKQVTAKGVAPTAKRAQPSKKTDESSEESDSDEDDDDEPQNKKPKVSATSKAAKPSAKAAQKDVTSESSEEDSDDDSSDEEPSKAEKVKKPSQVSKKDSSESDESSSSEEEEDEPAKTPKKKDTDVEMVDADSKSEKKAPKTPATPEATTSKTLFVGNLSFNVERADVENFFKDAGEIVDVRFTTTEDGVFRGFGHVEFATSEAAQKALELNGVELLGRGVRLDLARERGAYTPQSGKEGNSYQKGGQGQSTIFIRGFDTSQGEDEIRSSLQEFFGGCGEITRLSIPKDYETQAPKGMAYLEFQDGDSFKKALQLNQSDFGNGPLTVQEAKPRAEFGGSGRGGGGRSGGGRFGGRDSGGRFGGRDSGGRFGGRRGGGGGRGFSGGHGRGGRGGSNKPNLAAPGTGKKTTFSED >ONI33856 pep chromosome:Prunus_persica_NCBIv2:G1:37944901:37947126:-1 gene:PRUPE_1G450100 transcript:ONI33856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCDRAEQVGCLASAGVVSAIWVFWLTDCLLYFWLVCSFCFFIRKKMGISAVACIVLYAILMLKAQQSNGALSAETQMLIEEANMSGPYLGLVIPNSFEMDPLLQSPNFTSSNLFIDFSGRRFRFGTIANKQVILVMTGLSMINAAITTQLLLSVFNIEGVVHYGIAGHANPSFNLADVVIPQYWSHSALWSWQRYGKGPQDELPLEANGDYTREFGYLNVANYTVNVTDGSSYDNLLNNIWFQPEEVFPIDGTPEERQHAFWVAVDPLYYEISQKLEELELERCLNATTCLPHTPKVARVQRGTSASIFLSNAAYRSFLYDKFNITPLDMESASVALICLQQRVPFIAIRSLSSSLTGGGSGDPNEASKFITLASKNSVTAVVEFIKQLSLHQLLVND >ONI29730 pep chromosome:Prunus_persica_NCBIv2:G1:22480450:22486444:-1 gene:PRUPE_1G211500 transcript:ONI29730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNAENPPSTPDSPTSVGFNTDQLPHTSRTSENFSSSSDDDEAAVDPEIVRDEPEEDVLLEEEEGEDLFHDNFLDDYRRMDEHDQYESLGLDDSVEDERDLDQIMADRRAAEIELDTRDGVQTTRKLPHLLHDQDTDDDSYRPSKRARADFRPPRSYDDNDGMQSSPGRSQCGNSREDVPMTDQTDDDGYEDDDDQEAEFEMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTFVKKGSSDIEYVRLINEMVLANKCSLEIDYKQFIGTHPNIAIWLADAPQSVLEVMEDVAKNVVFSLHPNYKRIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFFQNSYSEVKVGSCPECQSKGPFSVNIEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYSNNFDLSLNTKNGFPVFATVVEANYITKKQDLFSAYKLTQEDKDEIEKLSKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPVGGRYDSSKTFSQNVELTDPIVSRFDILCVVKDVVDPVTDEMLAKFVVDSHFKSQAKGANMDNMPLSNSEEDTSDSPVDPEILSQDMLKKYLTYAKLNVFPRLHDADLEKLTLVYAELRRESSHGQGVPIAVRHIESMIRMSEAHARMHLRQHVIQEDVDMAIRVLLDSFISTQKFGVQKALQKSFRKYMTFKKDYNNVLLHLLRQLVKDAIHFEEIVSGSSSALTHIDVRVGDLQRMAQEHEIFDLKPFFTSALFSSAGFVLDEQQGLIRHHLPR >ONI32521 pep chromosome:Prunus_persica_NCBIv2:G1:33828518:33832103:1 gene:PRUPE_1G371000 transcript:ONI32521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIRKLRDQVAKQQQVVFKQFSGGIYGGSDNIVPDETELQQHQKLERLYISTRAAKHYQKEIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAEKTCTTGNTLSRAALSFGRARAQIEKECGILLKALGTQVAEPLRAMVVGAPLEDARHLAQRYARMRQEAEAQAVDVSRRQAKVRESAGSADIIMKLEAAEAKLEELKSNVAILGKEAGAAMAAVEGQQQRWTLQRLLAMVEAERNYHQNVLQILDQLEGEMLSEHQRIEASPNPATENTMPPPPSYEDLSNNFSSETYDELTDIRDYFLGEVMYTFQAVTDVELSLSFGDYVVVRKVANNGWAEGECKGKAGWFPFDYVERRERALASKVAAVF >ONI27216 pep chromosome:Prunus_persica_NCBIv2:G1:5331904:5336485:1 gene:PRUPE_1G074400 transcript:ONI27216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIGDEYKHYWETNMFLQSEELDSWGLDEAFSGYYDSSSPDGGASSMASKNIVSERNRRKKLNERLFALRAVVPKISKMDKASIIKDAIDYIQELHEQERRIQTEIGELESGRSKKNLGSEFDQELPVLLRSKKKKIEQLYDSGGSRTSTIEVLELRVTYMGEKTVVASLTCSKRTDTMVKLCEVFESLKLKIITANITAFSGRVLKTVFIEADEEEKDHLKIKIETAIAALNDPQSPMSI >ONI27217 pep chromosome:Prunus_persica_NCBIv2:G1:5331904:5333675:1 gene:PRUPE_1G074400 transcript:ONI27217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIGDEYKHYWETNMFLQSEELDSWGLDEAFSGYYDSSSPDGGASSMASKNIVSERNRRKKLNERLFALRAVVPKISKMDKASIIKDAIDYIQELHEQERRIQTEIGELESGRSKKNLGSEFDQELPVLLRSKKKKIEQLYDSGGSRTSTIEVLELRVTYMGEKTVVASLTCSKRTDTMVKLCEVFESLKLKIITANITAFSGRVLKTVFIEVIKLTLLNCLLLILK >ONI28806 pep chromosome:Prunus_persica_NCBIv2:G1:13082015:13082846:1 gene:PRUPE_1G162700 transcript:ONI28806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDACSQERRSNVVNNISDMRREETNRGISSLLSVFNTTQKLVQHLGRYKCGELPPNHQSYFFLCNNYIIKHNKIVFL >ONI31238 pep chromosome:Prunus_persica_NCBIv2:G1:29508785:29520481:1 gene:PRUPE_1G300500 transcript:ONI31238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFNIVVDEDQQWLLNCLSATLDPNHEVRSFAEASLNQASLQPGFGSALSKVAANRELPLGLRQLAAVLLKQFIKKHWHEAEEAFEHPAVSSDEKAVVRRLLLLSLDDSHRKICTAISMAVASIAVYDWPEVWPDLLPYLMKLINDQTNMNGVHGALRCLALLSVDLDDTVVPTLVPALFPCLLKIVSSPEMYDKYLRTKALSIVYSCISMLGVMSGVYKTETSALIVPMVKPWMDQFSKILNHPLQSEDPDDWSIRTEVLKCLNQFVQNFPSLIESEFMIIVGPLWQTFMTSLGVYVRSSIEGTEDPFDGRYDSDGAEKSLDSFVVQLFEFLLTIVGSAKLVKVIMNNVEELTYYTIAFLQITEQQVHTWSMDANQFVADEDDVTYSCRVSGALLLEEVVNSCGTEGIRAIIEAAKKRFSESQREKDAGSPIWWRIREATLFALASLSEQLLEAEDSELTRVGSGNLLEQIITEDIGLDVHQYPFLYSRIFSSVAKFSSVISHGVLEHFLYAAIKTISMDVPPPVKVGACRALSELLPETNKVIIHPHLMSLFQSLSDLLNQASDETLHLVLETLQEAIKAGYELSASIEPIISPVVLNMWASHISDPFICIDAIEVMETLKNAPGCIRPLVSRVLPYIWPVLNKPQQQPDGLVAGSVDLVTMLLKNAPIDVVKTIYDACFDTVIRIVLQSDDHSEMQNATECLAAFVSGGRQDVLAWSGDLENTMRRLLDAASRLLDPDLDSSGSLFVGSYILQLILHLPSQMAPHIRDLVAALIRRMQSAQIAGLRSSLLLIFARLVHLSAPKVEQFIDLLVTIPAEGYDNSFVYLMSEWTQQQGEIQGAYQIKVTTTALALLLSSRHAELTKINVQGYLFQSAAGITTRSKAKLTPDQWTVVPLPAKIMALLADALVEIQEQVVAGDNEDSDWEEVEADDVELDKDLMYSAGVTSSGRPSHQHLEAIAKAFNKDEEEDRYEDDQLTVADPLNQINLANYLAEFFVNFSQSERQMFDHIFQSLTQDQRNAIQMVRAQ >ONI31083 pep chromosome:Prunus_persica_NCBIv2:G1:28942282:28949086:1 gene:PRUPE_1G290900 transcript:ONI31083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHKDKKNMKSGPNAVAMKHQAPKPNPFAAKAPNPSRADTKNNKETTTTKKKKNKLGPSSIAMKLQAPKPNPFETIWSRRKFDILGKKRKGEERRIGLSRSHAIEKRKNTLLKEYEQSNKSSVFVDKRIGEHNDELDEFDKAIRRSQRERLSKQNKKSKYNLSDGEEEDYEFQSLGALSERDDFEDDMLPDDNEDGAGTAKTKKRLATLDQFESHDKQNLQDDDPMEGEENRHKSKKEVMKELMAKSKYHRAERAKHKEELEDFGQELDKIFTSMAQSKLLELAEPDKSVPNEKKDELSGQEVARSYFKALGTLALERRGQPSDRTKTPEEIAQEEREQLEHLEEERQKRMLATDDYSDDQNEDDEIPSTQRPRAISGDDLGDSFSLDEEPRIKKGWVDEILEKKDASDSESEEGGSSEDSESPEDGSDEGSDEDDNEGEKNLLMKDWEQSDDDNLGTDLDEEEEEEEDDDDESEGHENDDDVNEKKMEPRELKRLKKNDASKKQGKVSQDGKRPSTQSDLPYLIEAPKSLEELSALVDNLSNSNIVVIINRIRKSNAIKLAAENRKKMQVFYGVLLQYFAILANQKPLNIELLNFLVKPLIEMSMETPYFAAICARQRILRARTEFCATVKNPENSCWPSSKTLFLLRLWSLIFSCSDFRHVVMTPATVLMCEYLARSPIVSGRDAAVGSFLCSMLLCITKQSRKFCPEAVMFLRTLLMAAKDRKPATNQDSQFYELMELKALMPLLCIRECVDQIDPLNFLTLMDLPDDSSFLSSNNFRASVLLTVIETLRGFVSIYEGFSSFPEFFLPISILLVEVAEQDNMPQVLTDKFQDVAQLIKTKADEHHILRQPLQMRKQKPVAIKMLNPKFEENFVKGIDYDPDRERAERRKLKKVLKQEAKGAIRELRKDNSFLYEVKAREKVLMEEEKAEKYGKVRLFLQEQEHAMKSGQLGKGRKRRR >ONI30416 pep chromosome:Prunus_persica_NCBIv2:G1:26216785:26218121:-1 gene:PRUPE_1G249900 transcript:ONI30416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFQTQTTKSKVEEDQQEAPLYGDILESILSHVPLLYLVPACHVSKSWRHAASSSLRHFHRNIKPWLIVLTQTTRYPYVTSARAYDPASQVWIDIHPQPSDIPSIPILRSSHSTLLYMLSPSKFAFSTDPLHHTWHHAAAPLVWRTDPIVALVGHRIIVAGGTCDYEDDPLAVEMYDVTTRTWDTCDSMPAILKDSAASTWLSVAVDDSKMYVTEKISGVTYSFDPNSKAWFGPYDLRPDGSVFSSVIGFANGRLILVGAVGNAENLKGVKVWEVKGALLGRKEMIGEMPAEMVVEMVKGESGCVTSIGMSCMGNSVCLHNPAEPAEIIICELEGGGCRWVSVHNDVVNDRSRMQRLVVTCSNVGLPDLHKAVKVGAPRIV >ONI29073 pep chromosome:Prunus_persica_NCBIv2:G1:15474604:15475873:1 gene:PRUPE_1G179300 transcript:ONI29073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKENNSIEKPGTTEGGVGVVVRDSTGKFVAGCATKLTNVFSTPQVEALAARTGTILAMERGYQNVVFESDALQIFTALRNHSIDRSVIGPVVEDTKSLLTQITGEGFTHIRRTANGVAHRLARFALHIGGSFYWLEEPPDFISDILYEDCNS >ONI29289 pep chromosome:Prunus_persica_NCBIv2:G1:17668676:17679188:-1 gene:PRUPE_1G191400 transcript:ONI29289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDDMHDANDVESMDDDEGFYSDEMGMDYYGSDDDVDVDFGEDNEGIKRIEASRAENNFIILKESDIKQRQEEDITSVSTVLSISRAASSILLRYYNWSVSEVHEAWFADEDKVRKTFGLLKKPVIQLTSSRELTCGICFEAFHRGSIRSAACGHPFCCACWEGYIRTSIGDGPGCLILRCPDPSCGAAVGQDMITMLVSDEDNRKYSRYLLRSYIENNKKTKWCPAPGCEYAVNFVGDDENYDVSCLCSYGFCWNCTEEAHRPVDCSTVSKWIMKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGVYDETERRREMAKNSLERYTHYYERWASNQLSRQKALADLHQMRTVHMEKLSDIQREPESQLKFITDAWQQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQQFLSADGPSKEFIDFHTKLAGLTGVTKNYFENLVSALENGLSDVDSQATCSGSSSKNGGSSKAKGGKGKGTSRAGGSSKNVDSTGMWTCEYCTYANSKHSTTCHMCHHQRR >ONI27364 pep chromosome:Prunus_persica_NCBIv2:G1:6065664:6072953:-1 gene:PRUPE_1G082000 transcript:ONI27364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTDKAISLEEIKNETVDLERIPIEEVFEQLKCSREGLNGEEGAQRLEIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEEDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLIEIVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFAKGVEKEHVVLLAARSSRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDGDGNWHRASKGAPEQILTLCNCKEDFKKKAFAIIDKYAERGLRSLAVARQEVPAKSKESAGGPWQFVGLLPLFDPPRHDSAETIRQALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPIEELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWLIKETDFFSDKFGVRSIRESPGELMAALYLQVSIVSQALIFVTRSRSWSFLERPGLLLLGAFMIAQLIATLVAVYANWGFARIHGVGWGWAGVIWVYSIVFYFPLDVMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQAPEAANLFNDKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >ONI33416 pep chromosome:Prunus_persica_NCBIv2:G1:36479446:36481671:1 gene:PRUPE_1G422700 transcript:ONI33416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLCCFDGGNNAQRKEEDRIASAEALAKAAEAAQRRQEEFEKSAAGRAARAQQQAAAKQAASGNKGEPVLKWSMG >ONI30391 pep chromosome:Prunus_persica_NCBIv2:G1:26107805:26112193:-1 gene:PRUPE_1G248600 transcript:ONI30391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMYWILDLVGAVFPWTKTSSNIIWCCLNDNRRRQYQQSTQQQQQQMDSTKATKQENFSGMACSWSKISPIIPILVCFLLVASSICPVAHASKISGDPTANQTFNPEQELKKLKIIRARLKKINKPAVKTIQSPDGDLIDCVLSHRQPAFDHPLLKGQKPLDPPERPKGQNPPGMVTENFQRWTMSGTLCPEGTIPIRRTREQDMMRASSVKRFGRKLRRHVRRDSSSNGHEHAVGYVSGDQYYGAKASINVWAPSVVNQYEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNSRIAIGAAISPTSSYNGGQFDISLLIWKDPKHGNWWLEFGSGVLVGYWPSFLFTHLQNHASMVQFGGEVVNSRPSGSHTATQMGSGHFAGEGFGKASYFRNMQVVDWDNSLIPLSNLKVLADHPNCYDIQGGINNFWGNYFYYGGPGRNVRCP >ONI27082 pep chromosome:Prunus_persica_NCBIv2:G1:4776271:4779051:1 gene:PRUPE_1G067000 transcript:ONI27082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTNIDHEKQIYCFKVFYAFLVVLLLHMNNPSIGCSEREMQALLAFKQGLVDDDNRLLSWGRQVQNKDCCQWDGVYCSNHTGHVVKLDLGDQSLQGTISPKLDTINHANNLNWLSNLSCLKYFDLSYTNLTGVVGWLEAVNMLPKLRNLILQGCNLPSPIISSVSVMNSSKSLVHVDLSYNNLKSSIFQWLSGTHTHTNLVDLDLSGNNFNGSSIPDYFGNMSSLAYLTLPDSRLKGGIPNSFAKLCRLRELDLWSNSLSGQLSDFVETLSKCAQMTLERLDISYNNISGSLPDLTNFLSLKDLSLRGNNLSGRIPESIGQMSKLEAIYFGRNSLEGVISESHFSKLSKLTYLDLSSNSLLLNFSFNWIPPFQLRDIKLKSCKMWPSSFPKWLQTQKNYTLLDISDAGISDTIPSWFWDLSQKLMVMDISHNQMRGPVGNIRLEFASHLNLSWNQLEGLIPSILSKVLALDLSHNNFSGAASILCATEDSNLTFLDLSSNYVSGELPDCWIHFKKLVFLDLSNSSLFGKIPTTMGNNRFVGELSSQLKNCTKLTLFDLGENNLSCSIPEWLGASLPNLGILILRGNQFYSSIPPQLCHLTHIQILDLSMNNISGTIPKCLNNLSALTQKGNSSLTIQHYYTYQLDKVLISWPYDNEASLTWKGVRSKYKSTLGLVKSIDLSSNKLIGEIPSEVTDLVGLVSLNLSRNQLTVQIPPRIGMLQEIPKSLSWIDRIGYLDLSENDLSGKIPIGTQLQSFGPSSYGGNPLLCGLPLLRTCTEEEKGFYISLGLGFAIGFWGVFGTLLFNRSCRYTYFNFWTCFIDWLYVKAEIIRQKIPHTR >ONI27180 pep chromosome:Prunus_persica_NCBIv2:G1:5189843:5190067:-1 gene:PRUPE_1G072700 transcript:ONI27180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEYFHKIDRFVYQEKREEKTSTISENSEDMARKKLKGSVYLVQPSNQPCRPVVGLLYQLLFCLKRHLLFFFF >ONI34773 pep chromosome:Prunus_persica_NCBIv2:G1:41271720:41274198:-1 gene:PRUPE_1G498200 transcript:ONI34773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILRTLRGKENRVSVLVFDIHYAEMVRRRQTLFPGDSEFPQLLHIFREKIRRA >ONI35543 pep chromosome:Prunus_persica_NCBIv2:G1:44255390:44258865:-1 gene:PRUPE_1G541800 transcript:ONI35543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRTLSPAFRDRPYVNGVGSPFSVQSPSPKLLSSSRYSPPFPSAILAFTVTIRRFVAGVLFHRPNRKGQQWRRVFYRCLLFFFLGFLLGLLPFGHVDDDEEIRGRSFNFDIKPSHVNVQFDNDNTDRVVKRREDLVVDVSLGVVESRGELVPRKQLIIVTPTYNRALQAYFLNRLGQLLRLVPPPLLWIVVENKAASFETAEILRKSSVMYRHLVCGNNLTSAKDRGVYQRNTALEHIERHTLDGIVYFADDDNIYSLDLFDRLRDISRFGTWPVAMLAQSKNKAILEGPVCNGTQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWHRPTYVPIRQLDTVKEGFQETTFIEQVVEDERQMESMPTGCSKVMNWHLHLQAHSLVYPKGWQLQKNLDIVLPIK >ONI27269 pep chromosome:Prunus_persica_NCBIv2:G1:5570824:5576399:1 gene:PRUPE_1G077100 transcript:ONI27269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMLLSTLFHTKLSRCFSSSSSSSSSSYRNSLPELPPIQTLLKSGFTPTLKSIIQFLLFLSQTRRFNTVIHLFSQMDSNRIKGNSQTRSILTWALLKLHKYEEAEHFMRTQMAETSKFQSNRIWDSLIQGLCINRKDPEKALLVLRDCLINYGIFPSSFTFFSLIHRLSYQGDMSKAIEVLELMTDDKVKYPFDNFVCSSVISGFCKIGKPEIAVKFFENAVNSGALQPNIVTYTALVGALCKLGRVNEVCDLVCRIEKEELAFDVVFYSSWICGYISEGALMEVFQKNRQMVDKGIRSDTISYTIMIDGFSKLGDVEKALGFLIKMRKGGLEPNLITYTAIMLGFCKKGKLEEAFAIFKMVEDLGIEVDEFMYATLINGSCMRGDLDGVFHLLHNMEKREINPSIVTYNTVINGLCKFGRTSEADKISKGILGDTITYSTLLHGYIEEENITGIMETKRRLEEAGVCMDVVMCNIVIKSLFMVGAFEDAYMLYKGMPEKELVADSITYCTMIDGYCKVGRMDEALEIFDEFRRTPVSSVACYNCIISWLCKQGMVDMATEVFIELNGKDLGLDLGIYKILLKAILEEKSAAGVLCLVQRTENLRTEVYDVISNDAISFLCKRGFPEAACEVFLAMRRKGSVATSKTYYSILKGLISDGKEWLTQSFFNIFVKEYGLVEPKVSKILAYYICLKGVDDALRFLNKMKDKPATATLPVSLFKTLIKNGRVFDAYKLVMVAEDGVPVLDAFHYSLMVDGLCKVGYISEALDLCCFAKNKGVTLNIICYNSVLNGLCRQGHLVEAFRLFDSLEKINLVPSEITYATLIDALHREGFLLDAKQLFERMVLKGLKPNTHIYNSIIDGYCKTGHMEDALKLLYEFDLKTLRPDEFTVSIIINGFCLKGDMEGALEFFIELKSKGTSPDFLGFLYLIRGLCAKGRMEEARTILREMLQSQSVVELINRVDVEVETDSLEGLLVSLCEQGSVQESLTLLNEIGSIFFPVRSSPNACNQSHKQHNPYDREAYGTVALTSVTSTDADMDIQFSGMRDVKNVAENYDDKGKRPKFDDFDYCYKQIATLCSRGEIREASQLAKEIVSNFGRAN >ONI29996 pep chromosome:Prunus_persica_NCBIv2:G1:23925410:23927904:1 gene:PRUPE_1G225800 transcript:ONI29996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRGGVCYPRVGGAGDVCFQKKRKKDFAGERCVCRKRNKLSPETTTGRERSSSSSSSSASVDLFDSLPDDLLILILSKLSSTANSPSDFISASITCKRLNGLALHSLVVSKASPKTFVLKAKNWSESAHRFLKLCADAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAIGSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNITEGRRFLVQANARELAAVLSSAAASGVPMRQLLTWTPLPHPHPHPHPQLGHLTGSGCPLLSDFGCNVPAPEMHPANRFLGEWFAAREGSPGPGLRLCSHVGCGRPETRRHEFRRCSVCGAVNYCSRACQALDWKLRHKAECAAVERWVDEEGDGADGDGPVNGGDDEMAES >ONI35805 pep chromosome:Prunus_persica_NCBIv2:G1:45348094:45350716:-1 gene:PRUPE_1G555600 transcript:ONI35805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYSTGSGAGNPLSSASMSTTPRHLLLRKPTPRHHQLGFLSTFASPLLHTSSGSKACNSSCQRWSSTENIGRKITCSLLKVEDDANDESCELVSGVELSVGEGVDSINAYLFKAVKNNNGTGILLLSDIFGFEDSSTREFAYRVACSGYNVLLPDLFRGNPWTKDRPKNLFDKWISEQEPQKVAKDIATSAKWMVDEFLAAGISKKLGLIGFCFGGGKVIEVLARDQGAYFGIGVSFYGTRIDTSVASKIKVPILFISGDNDPLCPVNVLESIERSIGRGSRVVVFKGRGHGFAHRPQSFEEDEDAEQAFMMMRNWLNDGLVANTC >ONI26387 pep chromosome:Prunus_persica_NCBIv2:G1:1484163:1491950:1 gene:PRUPE_1G021300 transcript:ONI26387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVPSTPRWGGSSSAARPLDTAEYLISTFIGDESFPISSDFWHKLLELPLNLQWPPHRVHEACQALARNNYHTRHLAKILIHMAWCLQESISTSSGAPSLVYVKAVNAVYISSVFLKYFIENEKEDKIEDLYLSVDESEPIPTDITKDLNIEDFVMRSVLSFIGSIDVSPDTYLLHLELLNFMLIAMSTQLLSGPSPGPEDVNPFIDAAMSQESSLVILVVRKLLLSYITGPSISLNSASYSIYSEGSQPGVLQRVSSAAANLMLLPFNFLVSSSGEGSRSLLADCSLHVLLILSHYRKCVAGNEPITDISNDTTASDSLLKGSTQFSDNPYCKALEHATDVEFDRVDTEGNAHAGPVLRIPFASLFDALGMYLADEAAALLLYSLLQGNADFLEYVLVRTDLDTLLMPILEALYNAPKRSSNQIYMLLIILLILSQDSSFNASIHKLIVPSVPWYKERLLHQTSLGSLMVITLIRTVQYNLSKLRDVYLHTTCLATLANMAPHVHRLSAYASQRLVSLFDMLSRKYNKLAEMRDNQVQLVKGNSIEGNGLADDTSTEMHIYTDFLRLVLEILNAILTYALPRNPEVIYAIMHRQEVFQPFRNHPRFNELLENIYTVLDFFNSRMDAHNVDGEWSVEKVLQVIIINCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYLWQLVLSRCGLGFNPDAINLFPVDPPSEKQSDYEVSSNGHVNGESTKHAVFVEP >ONI26608 pep chromosome:Prunus_persica_NCBIv2:G1:2384607:2389123:1 gene:PRUPE_1G034300 transcript:ONI26608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALASGLSILLLLVCVSASDNGFPRCNCDDDNSLWSIESILECQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLLNGWTYGPHPFQLMLALTVFKILTALVSCATAITLITLIPLLLKVKVREFMLKKKTRDLGREVGIIMRQKEAGMHVRMLTQEIRKSLDRHTILSTTLFELSETLGLQYCAVWMPNENKTEMILIHELKGRNYSHMYNFSIPINDSDVVHIKASDGVNILRPDSPLVHASGDSGEPGPVAAIRMPMLRVSNFKGGTPELIQTCYAILVLVLPGGHPRSWSSQDLEIIKVVADQVAVALSHAAVLEESQLMREKLAEQNRALQQAKMNAMMASHARNSFQKVMSDGMRRPMHSILGLLSMMQDENLNNDQRVIIDAMVRTSNVLSTLINDVMDNSAKDSGRFPLEMRSFRLHALIKEAACLAKCLCVYKGFGFAIDVEKSLPDHVMGDERRVFQVILHMVGSLLNGYKGGGLVVFRVASDNGSQGRNDQRWAAWRHNSSDGDVYIRFEIAMTHSGSLSEGTIPAVQLVGRRYVSEGIDEGLSFTICKKLVQLMQGNIWAVPNPQGFAQSMALVLRFQLRPSVAIAISEPGESSEHPHSNSIFRGLQVLLTDDDDVNRAVTRRLLEKLGCIVTSVSSGLECLSTIGPAGTSIQIVFLDLHMPELDGFEVALRIRKFRSRTWPLIIGITASADEDVWDRCKQSGINGVIRKPVLLQGIANELRCVLQQANNGMT >ONI28988 pep chromosome:Prunus_persica_NCBIv2:G1:14626812:14627715:1 gene:PRUPE_1G174000 transcript:ONI28988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFIDPATVSASSGTIADRSRMVASRLQKTDGEQIFLMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSAIKIYNSHIARSGRKAVIWKTLSGTPKQPSNVECGYYVMRFMKDIIMDPSLGFEKKYAKGKQEEPYPQEAIDEVRNEWAEFVCLQLE >ONI32239 pep chromosome:Prunus_persica_NCBIv2:G1:32997304:33001273:-1 gene:PRUPE_1G355600 transcript:ONI32239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTNTLLSSNFFGTRILHSPPTPKTTSRCTSAPIPLPFFSKRNLFTTKNIFNQKPNSEPVKSAASQATLAALLFSSVTSLTPQALALDATPTPTPPPVLQAQPTKPNASSPFSQNLLVTAPKPQSQVATDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSGLQLTAVDGRRASVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLLFPILAFAGLFLLFRRAGGGPGGPGGLGGPMDFGRSKSKFQEVPETGVSFSDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEDKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASSDFMQVSRVARQMVERFGFSKKIGQVAIGAGGGNPFLGQQMSSQKDYSMATADIVDAEVRELVEKAYSRATQIITTHIDILHKLAQLLMEKETVDGEEFMSLFIDGKAELYVA >ONI32240 pep chromosome:Prunus_persica_NCBIv2:G1:32997748:33000874:-1 gene:PRUPE_1G355600 transcript:ONI32240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTNTLLSSNFFGTRILHSPPTPKTTSRCTSAPIPLPFFSKRNLFTTKNIFNQKPNSEPVKSAASQATLAALLFSSVTSLTPQALALDATPTPTPPPVLQAQPTKPNASSPFSQNLLVTAPKPQSQVATDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSGLQLTAVDGRRASVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLLFPILAFAGLFLLFRRAGGGPGGPGGLGGPMDFGRSKSKFQEVPETGVSFSDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVSEGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEDKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASSDFMQVSRVARQMVERFGFSKKIGQVAIGAGGGNPFLGQQMSSQKDYSMATADIVDAEVRELVEKAYSRATQIITTHIDILHKLAQLLMEKETVDGEEFMSLFIDGKAELYVA >ONI32040 pep chromosome:Prunus_persica_NCBIv2:G1:32330008:32331825:-1 gene:PRUPE_1G345700 transcript:ONI32040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNNATSVPEAYPMNGGDGTYSYTKNSSYQRAAANVAKTLIDEAIAEKLDIEDFSSASSNAFRIADLGCSVGPNTFACVQNIMEAVKQKYGSQMPDFHVFFNDHAANDFNTLFASLPPERHYSAAGVPGSFHGRLFPEASLHFAHSSYATHWLSKLPEEVTNKNSPAWNKGKIYYTTSPDQVFNAFAAQFRTDMAIFLEARAKELAAGGMMVLIMQTIPDGIHHSRIPTGIMFDFLGSILMEIAKEGLISEEEVDSFNIPVYTTTPNEMAELVERNGSFSIVKMESTSPWLKVGHIVNNTPQKLARELRAGMEGVFKTHFGSDIVNQVFDRLNDKSGQLINQLESSHRQGTQLFLALKRK >ONI28800 pep chromosome:Prunus_persica_NCBIv2:G1:12988506:12991775:-1 gene:PRUPE_1G162200 transcript:ONI28800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASMANQRASSSSASFTNSWKNQVFLSFRGVDTRHNFTDHLYSALCQRGINTFRDDDELRRGEEISTSLLTAIEESKISVVVFSKNYASSKWCLDELVKILDCKESNQQQVIPVFYKVNPSDVRNQGGSFGDALANMECKYKENMKKVKKWRAALAQLAVLSGLTLDEHQSESKFIQNIIEEISKHVLNTVYLEVAEHPVGMQAQVQVMNKLLDLEENDVRMVGVWGTGGIGKTTIAKAVYNSIAHKFEGCSFLANVRERSTSHEGSVGLQENLLSDILRVKYLKVTNVDKGVTMIKEWLRRRKVLLVLDDVDAMEQLHKLVGACDWFGAGSRIIITTRDKQLLTAHEVNLIHEVKILDDDKALELFCWHAFKTSGPPLGDYVKLAERAIRYAQGLPLALKVLGCCLCGGSIDKWEAALDGFKSPKIQDVLKISYNALDHSVQEVFLDIACFFKGQNRKDVTEILVACGLNARYGIEVLIEKALISVKFDYIQMHHLLEEMGKDIVQQESPDELGGHSRLWSHEDIEHVLTNDTGTKKITGIMLNSPKKDYEIFLDVDCFSKMKNLKIFMNYNVFLYGDIGCLPNMLRVLDWYRCPLQSFPPNFRPKGLGLLNLPYSRIKQLGEGLKHLTKLTSLNLMGSEFLTEIPDLSGSPNLRYLNASCCESLVEVHPSVGYLDKLQYLAFAGCRELTKFPNKVCWKYLEYLGLSGCTKLESLPEIVDKMESLIELDLGRTAIKELPSSIGHLTTLEKLCLERTAIEELPSSIKDLTALNYFNLEGCENLTNLPQSIHGLQFLMGLNLNRCLKLVTLQNKLIFEGLSSAESLPLEVRTNANSLGDGNFTWQARIGDDNLGPVWYST >ONI28773 pep chromosome:Prunus_persica_NCBIv2:G1:12802787:12817862:-1 gene:PRUPE_1G160600 transcript:ONI28773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQTGRLWIRCVLLLCLAIQFALFAAAETFFKPFNVSYDHRALIIDGKRRMLISAGIHYPRATPEMWPDLISKSKEGGADVIQTYAFWSGHEPKRGQYNFEGRYDIVKFANLVGASGLYLHLRIGPYVCAEWNFGGFPVWLRDIPGIEFRTDNAPFKEEMQRFVKKMVDLMREEKLFSWQGGPIIMLQIENEYGNIESSFGQKGKEYVKWAAEMALGLGAGVPWVMCKQVDAPGSVIDACNGYYCDGYRPNSYNKPTLWTEDWDGWYASWGGRLPHRPVEDLAFAVARFYQRGGSFQNYYMYFGGTNFGRTSGGPFYITSYDYDAPIDEYGLLSDPKWGHLKDLHAAIKLCEPALVAADSPHYIKLGPNQEAHVYRMKAHHEGLNFTWYGTQISCSAFLANIDQHKAASVTFLGQKYNLPPWSVSILPDCRNVVFNTAKVGAQTTIKRVEFDLPLYSGISTRQQLITKNEDLFITKSWMTVKEPINVWSENNFTVQGILEHLNVTKDLSDYLWHITRIFVSDDDISFWEESKISPAVAIDSMRDVLRIFVNGQLTGSIIGHWVKVEQPVKFLKGYNDLVLLSQTVGLQNYGALLERDGAGFRGQVKLTGFKNGDVDLTKLLWTYQVGLKGEFLKIYTIEENEKAGWAELSLDAYPSTFTWYKTYFDNPAGTDPVALDLGSMGKGQAWVNGHHIGRYWTLVAPKDGCQEICDYRGAYNSNKCSTNCGKPTQTWYHIPRSWLQASSNLLVILEETGGNPFEISIKLRATRVICAQVSESHYPPVQKWFDPDFIDGKIAVNDLRPEMHLQCQDGMMITSIEFASYGTPQGSCQSFARGNCHAANSLSIVSEGCLGKNSCSIGISNLIFGSDPCRGVIKTLAVEARCRSLPNAGFSQF >ONI28774 pep chromosome:Prunus_persica_NCBIv2:G1:12803598:12817679:-1 gene:PRUPE_1G160600 transcript:ONI28774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQTGRLWIRCVLLLCLAIQFALFAAAETFFKPFNVSYDHRALIIDGKRRMLISAGIHYPRATPEMWPDLISKSKEGGADVIQTYAFWSGHEPKRGQYNFEGRYDIVKFANLVGASGLYLHLRIGPYVCAEWNFGGFPVWLRDIPGIEFRTDNAPFKEEMQRFVKKMVDLMREEKLFSWQGGPIIMLQIENEYGNIESSFGQKGKEYVKWAAEMALGLGAGVPWVMCKQVDAPGSVIDACNGYYCDGYRPNSYNKPTLWTEDWDGWYASWGGRLPHRPVEDLAFAVARFYQRGGSFQNYYMYFGGTNFGRTSGGPFYITSYDYDAPIDEYGLLSDPKWGHLKDLHAAIKLCEPALVAADSPHYIKLGPNQEAHVYRMKAHHEGLNFTWYGTQISCSAFLANIDQHKAASVTFLGQKYNLPPWSVSILPDCRNVVFNTAKVGAQTTIKRVEFDLPLYSGISTRQQLITKNEDLFITKSWMTVKEPINVWSENNFTVQGILEHLNVTKDLSDYLWHITRIFVSDDDISFWEESKISPAVAIDSMRDVLRIFVNGQLTGSIIGHWVKVEQPVKFLKGYNDLVLLSQTVGLQNYGALLERDGAGFRGQVKLTGFKNGDVDLTKLLWTYQVGLKGEFLKIYTIEENEKAGWAELSLDAYPSTFTWYKQTYFDNPAGTDPVALDLGSMGKGQAWVNGHHIGRYWTLVAPKDGCQEICDYRGAYNSNKCSTNCGKPTQTWYHIPRSWLQASSNLLVILEETGGNPFEISIKLRATRVICAQVSESHYPPVQKWFDPDFIDGKIAVNDLRPEMHLQCQDGMMITSIEFASYGTPQGSCQSFARGNCHAANSLSIVSEGCLGKNSCSIGISNLIFGSDPCRGVIKTLAVEARCRSLPNAGFSQF >ONI26775 pep chromosome:Prunus_persica_NCBIv2:G1:3199951:3204931:1 gene:PRUPE_1G044800 transcript:ONI26775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQGLVNDFATKLSKRKVEGSRETARMTAELLRTVISQQKMTSSTNHAAVLIDAVRGVGGRLIDANPVELAVGNIVRRVLYIIREEELSLQAGELTLSSQDSDREIDEEDDKLFLSSAATYQRILHPPSLATLLGSKTDTPPACHPSASRNHDIEGKADKSPSSLTLKRHIMEAVNDLIEDIKTCHEQIADQAVELIHQNEVVLTLGHSRTVKKFLCAAKEKKRSFQVVVAEGAPKYLGHVLAKGLAAKGLQTTMIADSSVFAMISRVNMVVVGVRAVLANGGVIAPVGINMVALAARKHAVPFVVVAGTYKLCPLYPNNPEVLLNDMRCPSELLSFGEFSDCIDFSIGSEAPLLHVLNPAFDYVPPELVSLFVTDTGGHTPSFIYRLISEFYSADDLALQQKLLPESEHSNSI >ONI30086 pep chromosome:Prunus_persica_NCBIv2:G1:24367941:24369420:-1 gene:PRUPE_1G230400 transcript:ONI30086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFQNLSNQNLLNPSYAGDEKLASYPEPHAKMMMYLKQASYAIGSYSEVLSGDSFSPHKYADSDGGRNEMILLASSKWYEQLLYCSYPTTELIYMT >ONI32236 pep chromosome:Prunus_persica_NCBIv2:G1:32987234:32990135:1 gene:PRUPE_1G355400 transcript:ONI32236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASKVEDLPAVALCRDRCKFLEEAISQTQAMSDAHVAYLASLRTLGPSLHRFFDDVYTIQNHCNCNGPPESESESDSTSHLQLPSDSESETELKGPRKRSDEAPTPVNDGDEYYRNLGGGLASKPPPPPPSPISSTWDLFNFFDAYDRERYPPSPLYSSTHLEPEEAATPTADKIKHNNININNDIGQQEEQQTRPKEKSKKTIHLAMSVKHNKQNEQNKQGVSAEAEAMRELHLLFDRASESGNQVLKSLQSHHHTISLHQEYGFGNREGLVSSQSLSYTLKTLFLWEKKLYDEVKAEERLRVTHEKKSRMLKQLEHNKATAESPKIESVRTALHNVSTKMKIAIHIVDRISVTINKLREEELWPQIVEFIHRLLGMWKAMVECHKSQYQAIAEASKSLDAIAISNAKLNGAHDLETAIQLKLELQNWNVCFSNWIATQKGYVKALNGWLLRCLLQEPSPQTDEEGDAAVVVPLSPGRLGAPPAFVICNQWSQAMDRLSGKEVIEAIRGFYTRIHLLLMEHHVDAETLLQRMAIENKDVARKLRLVEEGKEEEEEQRTMQKVLMRGRGKKNIIGLSLQAAQEEGGERENNIGSRNLVWDLKQTLMAMEKFTANSLKAYEELDAHIEKVNSPGLCV >ONI26744 pep chromosome:Prunus_persica_NCBIv2:G1:3074397:3075212:1 gene:PRUPE_1G043000 transcript:ONI26744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIDLVVASHAFSHASRSAESQKLSTKDEIFFSNNHVITLSCLSHSIVYGLLCTLQCQWVYSCMYRHRLRQKFVLPDEPCGDCCVHFFCEPCALCQEHDELKSRGFNPSKGWIGPPTLLHRCLLR >ONI27246 pep chromosome:Prunus_persica_NCBIv2:G1:5481339:5482508:-1 gene:PRUPE_1G076100 transcript:ONI27246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVPCIHILTRSRNNKNTSSSQVSDQWASIPLLFLILDKLWEPSDHIRVAGVCKQWRVAAKEYNRITQRWRKISDIELSVLCNTSSMCHGHGWLATMDVLPEPILLAQPRTTTVITLVNPFRKTAVPIRLPHLSFSYHHMHDVVRKYSPAVLFPKVILSEDPTLNPDSYMVAKKRLDSHRENYTGDVIFHKSQVFAVAESGDIFSINIRRKPIKAKILTSQECRFASSAYKAYLVESTKGDLLHVRRLHKEERFMVYKLVFNGRDGSVQHVELTSLGDEVMFLSDNCGISVLASNFPGCQRNSIYYIDHCAENKIGRFNLEDETITGYDYSLSMPKALWIAHLFTGLC >ONI33951 pep chromosome:Prunus_persica_NCBIv2:G1:38231929:38235195:-1 gene:PRUPE_1G455300 transcript:ONI33951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHVAMCSAAHSQLTSSSLFACSELKQSTSEFSLSEALEFLDRSMIGQEAADTQSYKDEKLGEFRAYVRDRNFADTEVLFGDVWAGDLSFDFKSRDIMNGFSSSGELTETLLCTQNLTPKNSSISATMDSQSSICVGSPTSAAKPIARDNQARGAESGSSGDQSDEDDFEIEAGPCGDSTDPLDIKRIRRMVSNRESARRSRRRKQAQLADLEFQVEQLRGENSTLYRQLTDASQQFRDADTNNRVLKSDVEALRAKVKLAEDMVARGSITTSLNQIRQGHLGTPQQFNPHNLRGVAQVSPTVTIHGDDARYAGMAVSGQNGGLGLGNAGMANSNLSNRIMSNTVSCVSDIW >ONI35511 pep chromosome:Prunus_persica_NCBIv2:G1:44159472:44161337:1 gene:PRUPE_1G540400 transcript:ONI35511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSEHSFLEELLALRRDSTWETIPTEMNEFLSSSGSWGNFGYLDQNPPTFFPNSSCTQEFSPPFEPNLSNCYNNNNSTFNEVYYPLGFGAGEDGLSAQQQLTDSSYNTLDTPPFPVQEDNPWSMLEEEELGLLGDEIHNLETQAEAACKMEPIQSPDQVPVFNMGMCEERNNRGNIKKLQGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERINNLQQEIETDADQLNVMSLFKDVKPNEMLVRNSPKFDVQRRNVDTRIDICCAGKPGLLLSTVSTLEALGLEIQQCVISCFNDFAMQASCSEDFDQRTTVTSEDIKQALFRNAGYGGRCL >ONI29445 pep chromosome:Prunus_persica_NCBIv2:G1:19094588:19101261:-1 gene:PRUPE_1G198600 transcript:ONI29445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVDRLQAATPVPILHGVRPVPGRHPCVGSPEDIVATDSLGFLNNNLRSGLKTVEKVKPESKVLGNGHAGERDKRGIVRSNGSAKEEQLDKKIGSFSRSKSQPSKPALNVDVKKELPRIKSLNSRSIPSSPTSCYSLPTSFEKFANGVKHHAKVKGTPKVVGVLEKASSARGASPGKRSVVGNPMKNFVQGFELGAKALRKSWEGNMEVKNRESSKLRTTTHDPKQEVRMSAPRKSTSSERLPSKEENKIQMSAKSLKEESKVQMSTKKVTANGTLGDQDRSNKQRVVGKKSTDANHGFPGNLVKVSLNNRKLTDGSFPWASLPSSVAKLGKEVLRHRDAAQIAAIEAVQEASAAESLLRCLSVYSELTTSAKEDNPQPAVEQFLALHASLNNARVVADSLSKTIPAGSSPDREECLSEDALKITSDRRKQAASWVQAALATNLSSFAVFSKESSSTSVPASASSQNRKIVSANQPTLVLENSMKNTSTKSQGKVRQTVGSKLPTPGTPRRLGDGSAISQKPQAQPPPEWNRGNGLDEAVDLAEMLQLQSQDWFLGFVERFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDDIGSSKDEAETNAVSAETIERLRKKIYEYLLTHVESAAAALGGGSQSSPRIRTTDTKVRK >ONI35419 pep chromosome:Prunus_persica_NCBIv2:G1:43717330:43733490:1 gene:PRUPE_1G535000 transcript:ONI35419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLRSNLPSRIRQLLSADGALGPSIKLDSEPGNFHHWRPLFLHFDTYFKTYLSCRNDLLLSDKILEDDSPFPKHAVLQILRVMQTILENCHNKSSFDGLEHFKLLLASTDPEVLIAALETLSALVKINPSKLHASGKMIGCGSVNTYLLSLAQGWGSKEEGLGLYSCVIANETTQDDGLNLFPSDVENDSDKSQCRMGSTLYFEVHGNAQSTVESSSNVNNSTSLGVIHMPDLHLQKEDDLKMMERCIEEYRVPSELRFSLLTRIRYARAFRSPRICRLYSRICLLAFIVLVQSSDAHEELVSFFANEPEYTNELIRIVRSEESVSGTIRTQAMLALGAQLAAYSASHERARILSASSISFAGGNRMILLNVLQRAVLSLKNSNDPTSLAFVEALLQFYLLHVVSSSTTGSNVRGSGMVPTFLPLLEDSDPSHLHLVCFAVKTLQKLMDYSSSAVSLFKELGGVELLAQRLQIEVHRVIGLAGDNDNSMVIGESSRYSDDQLYSQKRLIKASLKALGSATYAAGNSTRAQHSHDSSLPATLSLIFANVEKFGGDIYYSAVTVLSETIHKDPTCFSALHEMGLPDAFISSVVAGVHPSAKALTCVPNGLGAICLNAKGLEAVKERSALRFLVDIFTSKKYVVAMNEAIVPLANAVEELLRHVSSLRSTGVDIIVEIIDKIASFTDSHSTGAAGKANGSTAMEMDSEDKENEGHCCLVSSADSAADGISDEQFIQLSIFHLMVLVHRTMENSETCRLFVEKSGIDALLKLLLQPTIVQSSDGMSIALHSTMVFKGFTQHHSAALARAFCSSLRDHLKKALSGFGAVSGSFLLEPRMALDGGIFSSLFLVEFLLFIAASKDNRWVTALLTEFGNGSKDVVEDIGRVHREVLWQIALLEDTKSEVVDDSAGSTTNESPQSETNTSETEEHRFNSFRQFLDPLLRRRTSGWSIESQFLDLISLYRDLGRASSQQRTHSDGPSNLRIGSSQQFHPSGSSDAVGPLNRKEHDQQRSYYTSCCDMVRSLSFHITHLFQELGKVMSLPSRRRDDVVNVSPSAKSVASTFASIAFDHLNFEGHANSSGSEASISTKCRYFGKVIDFIDVSLLERPDSCNAVLLNCLYGHGVVQSVLKTFEATSQLLFTVRAPASPMETDDGNAKQDEREDTDHSWIYGPLASYGKLMDHLVTSSFILSPFTKHLLAQPLANGNIPFPRDAETFVKVLQSMVLKAILPLWTHPQFVDCSYDFISAVISIIRHIYSGVEVKNVSSSSSARITGPPPNETTISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEEIQEDDELARALAMSLGNPESDTKEAGANDNAPQLEEEMVQLPPVEELLSTCTKLLQMKEPLAFPVRDLLVMICSQNDGQYRPNIISFIVDRIKESSLIFDSGNSTLLSALFHVLALILQEDAVAREIASKNGLVKVASDLLSQWDSGSVGREKREVPRWVTTAFLAIDRLLQVDQKLNSEIAEQLKKDGVSSQQTSLSIDEDKQNKLQSALGVSSKHIEVKDQKRLIEIACSCIRNQLPSETMHAVLQLCSTLTKTHAVAVHFLDAGGLSLLLSLPTSSLFPGFDNIAATIIRHVLEDPQTLQQAMEFEIRHNLVAAANRHSNGRVSPRNFLSSLSSAISRDPVIFMRAAQSICQVDMVGERPYIVLLKDRDKDKSKEKEKEKDKSLDKEKTLMADGKAALGNLNSVASGIGHGKVHDSNSKSAKVHRKYPQSFVCVIELLLDSVCTYVPPSKDNAVVDVLHDTPSSTDMEIDVAAIKGKGKAIASVSEDNEAGTQEAPASLAKVVFVLKLLTEILLMYASSAHVLLRKDAEIGSCRAPSQKGPTAVCTGGIFHHVLHKFLPYSRSAKKEKKADGDWRHKLASRASQFLVASCVRSSEARKRVFTEISYIFNDFVDSCNGFRPPDNEIQAFCDLLNDVLAARTPTGSYISAEASATFIDAGLVGSLTRCLQVLDLDHADSPKVVTGLLKALELVTKEHVHSADSNAGKGDNSTKPPDHNQSGMGDTIGERSQSMETPSQSHHDSAPAEHIESFNAVQSFGGSEAVTDDMEHDQDLDGGFAPANEDYMNENSEETRGLENGIDTMGIRFEIQPHEQENLDDDSDDDDEDMSEDDGDEVDDDEDEDDEEHNDLEDEVHHLPHPDTDQDDHEMDDDEFDEEVLEEDDEDEEDEEDGVILRLEEGINGINVFDHIEVFGRDHGFPNETLHVMPVEVFGSRRQGRTTSIYSLLGRTGENAAPSRHPLLVGPLSLSSAPPRQSDNARDAVLPDINSEVTSSRLDNIFRSLRNGRHGHRLNLWMDDNQQGGGSNASAVPHGLEDLLVSQLRRPTPDKPSEENNTKSVDSQNKGETVELQESETDVRPEMPVENNVNIESGNSPPPDPIDNSGNADLRPTTVSESVQAMDMSSMHPQSVEMQFEHNDAAVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGAERQGSADRMPLGDSQAARGRRTNVSFGNSATVSARDVSLHSVTEVSENSSREADQEGPAAEQQLNSDAGSGAIDPAFLDALPEELRAEVLSAQQGQAAPQSNAEPQNAGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYNRTLFGMYPRNRRGETSRPGEGIGSSLERIGGSIASRRSIGAKVVEAEGAPLVDTEALHAMIRVLRVFQPLYKGQLQKLLLNLCAHNETRNSLVKILMDMLMLDTRKSADHSTAAEPSYRLYACQSNVICSRAQSGVPPLVSRRILETLTYLARHHPNVAKILLNLRLPHSALQEPDNINHTRGKAVMVVEETGSNKSHQEGYLSIALLLSLLNQPLYLFRSIAHLEQLLNLLEVIIDNAESKSSDKPGVGVSVSEQPSAPQISASDAEMNTDSGGTSVVDGTPDKVDDSSKPTSGANNKCNTESALLNLPQAELRLLCSLLAREGLSDNAYTLVAEVMKKLVAIVPPHSNLFITELADAVRNLTRVAMNELHTFGQTVTALLSTMSSVGAAILRVLQALSSLVASLMEKEKDPQILAEKEHTVSLSQVWDINAALEPLWLELSTCISKIESYSDSAPDLAASYKASTSKPSGVIPPLPAGTQNILPYIESFFVVCEKLHPGQPGPGNDFSVAAVSEVDDASTSAGQQKTSGPTLKIDEKHVAFLKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFIDFDNKRAHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTEDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTEVTDYELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFTELIPRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSPASPVIQWFWEVAQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSADHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEANEGFGFG >ONI27619 pep chromosome:Prunus_persica_NCBIv2:G1:7716080:7718643:-1 gene:PRUPE_1G097100 transcript:ONI27619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWSLLEIKEKGLATTTLQPYLVPQPPPPSNVQSDSFSFGNKVSPSILLIIIILAIIFFVSGLLHLLVRFLLRPSSRDADDLESVTVLQGQLQQLFHLHDAGVDQSFIDTLPVFHYKAIIGLKNPFDCAVCLCEFETEDKLRLLPKCSHAFHMDCIDTWLLSHSTCPLCRATLLPDFSPNNSCSPIVLVLESGSDSSREMVSDREAAIGRTNSVLGPNSHLGFHGDVELGLSHRKSCEIVTKEEANPTVMVDSGEKVVPVKLGKFRNVDGGGEGSSDINVDARRCFSMGSFAYVMDDNSSLQVPIRASMKKQPSKKPTLPLTPGHRQAMSECDCESRREFKFSGVEAIGGLETQGTASASITNGNNTIGRSKRESFSISKIWLRGKKEKPNRAGDSSRLASSFRFPVHRSGVAAGEEVKAKNVESGSRRTISEIDIGRWENGGSELGCDEENVSVSCNSLDSQANPPSFARRTLLWLVGRQQNKVVHSSFDPSV >ONI33839 pep chromosome:Prunus_persica_NCBIv2:G1:37908285:37912370:1 gene:PRUPE_1G449100 transcript:ONI33839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVNSTEVLCSSEEVKTTLSSSAFMPLLMRKVEQVLPMQIDVSKTFKNTSSKVLDFLVDSMFEFVDQSLLPSQSNFGPVDELGGEVVITSSIRGKIPDDFPEGVYIRNGPNPLFGGLKSTKSIFGRSSHIWIEGEGMLHALYFSKDVSDGSWTVRYNNRHVETETFKLEKQRNKPSFLPAIGGDSPAILSAYLLNLLRFGKVNKYLSNTNVFEHSGKFYSIAENHIPQEIDIITLETLGNWDVSGAWNRPFTSHPKRAPGTWELVILGIDAVKPFIEIGVVSADGKELIHKVDLNLDRCSLCHELGVTQSYNVIMDFPLTLDINRLVNGGPLVKYNQEGYARIGVMPRFGDASSIHWFKVEPNCTFHIINSFEDGDEVVVWGCKALDSVIPGPDMSLNQFGWLPRRFKPADPSKENNDDISAEDGKLFSHAYEWRLNMNTGKVMERYLTGKEFSMDFPMINGAFAGVKNRYGYSQVVDSIASSTLGMLKYGGLARLHFEEPADVSLRNESQFEVETRMFEEKSFCSGAAFVAKQGGLEEDDGWAITFVHNEDTNISQVYVIDTKKFSD >ONI33279 pep chromosome:Prunus_persica_NCBIv2:G1:36066681:36069863:-1 gene:PRUPE_1G414400 transcript:ONI33279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATSCSGVLPQMKLSHSDHKLSIGRSCSSSRSLGFDSCHSSKRLRLPKQLTVSCSTPSDKGDMFGVSHSCKKSPVGETLCPAGVYTYAGTVESHSHTAEEKVGVLLLNLGGPETLQDVQPFLFNLFADPDIIRLPRLFQFLQQPLAKLISVLRAPKSKEGYAAIGGGSPLRKITDEQANELKKALKSKDLPVNVYVGMRYWYPFTEEAVQQIKKDRITRLVVLPLYPQFSISTTGSSIRVLQDIFRKDAYLSRLPVSIINSWYQREGYIKSMADLISKELETFSEPAQVMIFFSAHGVPESYVKDAGDPYKDQMEECIFLIMKELKSRGINNEHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTPSFIMDLADAVIEAAPLAKPMSTPRTTSEEVDHDPARYAIKLFFGSFLAFILFFSPKMIMAFRNHLI >ONI26245 pep chromosome:Prunus_persica_NCBIv2:G1:948387:949533:1 gene:PRUPE_1G012400 transcript:ONI26245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLVKMLEKVGMESVLLDVPFVCKSWYKVTLNPSCWQSLIFPDNECIEVWPWVVSECPNFQNLMDRFVSEFQIDGDRFSVTTFLKFVINRSSRTAVLLKLPKCCTVETFVFVANVCPGLVTLGLPLRLLESEHTNPDLISKWKNLEVVSLGSSLNLVIILALIKTHCKNIYGLDLAMTLIREREALAIVKLVPNIKYLNLKGTIVSRDSLVTLLRGCKDLVVLDVRDCIGVDENHDEILKFASHFSKFMCGGSVFPEFLRRWFSTDDLVCKIGSIPFDVGMFSKFEDDEE >ONI27128 pep chromosome:Prunus_persica_NCBIv2:G1:4930151:4931799:-1 gene:PRUPE_1G069300 transcript:ONI27128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNRHVSAPDLGDIASTSSSNPSPSPPNADPAKASSFRPSIAIIVGVLTTLFSITFLLLLYAKHCKRGALVVATGNANSGPSGSTQRKNSGIDRSVVESLPVFRFGSLRGQKEGLECAVCLTRFDRAEVLRLLPKCKHAFHVECVDTWLDAHSTCPLCRYRVDPEDVLLVDDASKILHSQQSSDVVLDIENDPGYRRVSGRHSFAGEQRPGNPILTWSFRRSLDSWTAFRKKSEPSTVGCLDRPRKDGLLLGQDRTRLEHRIIISPGLACGPGGLHQRWSDVQPSDLLYLRSEMIMSEGRRSWSCGGGSSVINSRSVSEITGLSRFSNRARSNESLSHHQQQQQQRQLKLVARWLAWVSSLSRPGIRTERTTTPTPPPIC >ONI30144 pep chromosome:Prunus_persica_NCBIv2:G1:24796777:24797571:1 gene:PRUPE_1G233500 transcript:ONI30144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLCPTHSGFGWRCSGQWFGAGKKFFTIELADAVESGAGAQGYQNMGCTRIGFLKESERERRIRRKNERERERERERQIWRETGREERKERAEE >ONI33155 pep chromosome:Prunus_persica_NCBIv2:G1:35777673:35781495:1 gene:PRUPE_1G408600 transcript:ONI33155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLTSDDTVPPINATAVAVDKDNKNSNHAVRFAIDHLVANNTNPYIILIHVRHKNHHPHQSDGESGNHDGHHVFVPYSAYCARKEVQVKEVILDDHDVAKALLEYINNHYINNIVVGASTRNALTRKFKIGYDVPTSLVKLAPEFCSVYVISKGKMVVGRTAKRPVVNTATPPKQPSPQGLPPCIPTEQTEADNGARSRGQPAVRGGWKNGGGSEKIPVVRERTRSAPVNLSMDIDIPNPGSSRCSLSSRNSNADENEFPGMFNSFGSVDIISQGLDFSSASCSPKESSRQSARDIEAEMKRLKLELKQTMDMYSSACKEAISAKNKAKELNQWKQEEARKFEEARHAEEAALAIAEMEKAKCKAAIEAAEASQRVAEMEAQRRRQAEMKAKKEAQEKNRALNALAHNDVRYRKYTIEEIEQATQEFSASNKIGEGGYGPVYKGKLDHTPVAIKVLRPDAAQGRKQFQQEVEVLSCIRYPNMVLLLGACPEFGCLVYEYMDNGSLEDLLFRRGNTPPISWRRRFKIAAEISTTLLFLHQAKPEPLVHRDLKPANILIDRNYVSKISDVGLARLVPPSMADEVTQYHMTAAAGTFCYIDPEYQQSGMLTTKSDIYSLGIVLLQIITAKPAMGLSHHVRRSIEKGTFSEMLDPAVPDWPIELALAFAQLALQCAELRKRDRPDLGTVVVPELNRLRDFGRHGEGSHHGHFTTAHSHSSGLRPSTLRSSTTSSQESMNKSIAEDRVEAQT >ONI33156 pep chromosome:Prunus_persica_NCBIv2:G1:35777673:35781495:1 gene:PRUPE_1G408600 transcript:ONI33156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLTSDDTVPPINATAVAVDKDNKNSNHAVRFAIDHLVANNTNPYIILIHVRHKNHHPHQSDGESGNHDGHHVFVPYSAYCARKEVQVKEVILDDHDVAKALLEYINNHYINNIVVGASTRNALTRKFKIGYDVPTSLVKLAPEFCSVYVISKGKMVVGRTAKRPVVNTATPPKQPSPQGLPPCIPTEQTEADNGARGQPAVRGGWKNGGGSEKIPVVRERTRSAPVNLSMDIDIPNPGSSRCSLSSRNSNADENEFPGMFNSFGSVDIISQGLDFSSASCSPKESSRQSARDIEAEMKRLKLELKQTMDMYSSACKEAISAKNKAKELNQWKQEEARKFEEARHAEEAALAIAEMEKAKCKAAIEAAEASQRVAEMEAQRRRQAEMKAKKEAQEKNRALNALAHNDVRYRKYTIEEIEQATQEFSASNKIGEGGYGPVYKGKLDHTPVAIKVLRPDAAQGRKQFQQEVEVLSCIRYPNMVLLLGACPEFGCLVYEYMDNGSLEDLLFRRGNTPPISWRRRFKIAAEISTTLLFLHQAKPEPLVHRDLKPANILIDRNYVSKISDVGLARLVPPSMADEVTQYHMTAAAGTFCYIDPEYQQSGMLTTKSDIYSLGIVLLQIITAKPAMGLSHHVRRSIEKGTFSEMLDPAVPDWPIELALAFAQLALQCAELRKRDRPDLGTVVVPELNRLRDFGRHGEGSHHGHFTTAHSHSSGLRPSTLRSSTTSSQESMNKSIAEDRVEAQT >ONI32134 pep chromosome:Prunus_persica_NCBIv2:G1:32630881:32632640:1 gene:PRUPE_1G350400 transcript:ONI32134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGLTYDNVVLRSCDLDLLRGPHFLNDSLIEFYFSYLFNSQLPDGHDDDDDDTQIHSKSKDDILLVPPTLSYWLANSLDSQSLKGFEESHKVAEKQLVIFTVNNNKNVSQIDGGTHWSLLVFYRKSNTFVHYDSFGGLNHWDARKLYGAVKHVGGRINITTSSSISKATDTTTTTTTTSSSSSSSTIRFRGNHHKKYMKRARNIRRVGMMCRAPHWFLYNLMVKGVHDDDDDDDDDERKAAALWVPQCHHGYLNTPRTSSYYVDDNNEPCFRELPTPRQTNLYDCGLYVMAIARVICQWYCAGASSATATATHVDDEDEGHDARFPNIMKHVDNSLESTMRSELLVLVEQLKSHSTGLDDQPQPQPQQTSILLCHDALCTST >ONI32133 pep chromosome:Prunus_persica_NCBIv2:G1:32630626:32632682:1 gene:PRUPE_1G350400 transcript:ONI32133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGLTYDNVVLRSCDLDLLRGPHFLNDSLIEFYFSYLFNSQLPDGHDDDDDDTQIHSKSKDDILLVPPTLSYWLANSLDSQSLKGFEESHKVAEKQLVIFTVNNNKNVSQIDGGTHWSLLVFYRKSNTFVHYDSFGGLNHWDARKLYGAVKHVGGRINITTSSSISKATDTTTTTTTTSSSSSSSTIRFRGNHHKKYMKRARNIRRVGMMCRAPHWFLYNLMVKGVHDDDDDDDDDERKAAALWVPQCHHGYLNTPRTSSYYVDDNNEPCFRELPTPRQTNLYDCGLYVMAIARVICQWYCAGASSATATATHVDDEDEGHDARFPNIMKHVDNSLESTMRSELLVLVEQLKSHSTGLDDQPQPQPQQTSILLCHDALCTST >ONI27941 pep chromosome:Prunus_persica_NCBIv2:G1:8974724:8975542:1 gene:PRUPE_1G112500 transcript:ONI27941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTIANFHKTQGPVCNKHYLNSSLVHAIKFHLGQGNADCVCVCGPPCVIIEKE >ONI32675 pep chromosome:Prunus_persica_NCBIv2:G1:34248139:34250366:1 gene:PRUPE_1G379100 transcript:ONI32675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGHRLISSSSSSTVTAISSFPPKPKPPISNHNFSSNHEISNFLRSRRDVALLSFIALIPSLSRPDPAFGLSFGISGPKDWLREQKKKASRFLLAPIDASRESLLTAHRILTATDSNSTNEEIEEVQRLFKSAARDCVPDDRNSFVAFQANTGVEVCTFRLVVKNAASLLGDKDPVKLEAESMLNDLIRSFTSLNVLVNETDVQFASERKKVADSLMDTISSLDKFEQGIKDCLEA >ONI32676 pep chromosome:Prunus_persica_NCBIv2:G1:34248142:34250587:1 gene:PRUPE_1G379100 transcript:ONI32676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGHRLISSSSSSTVTAISSFPPKPKPPISNHNFSSNHEISNFLRSRRDVALLSFIALIPSLSRPDPAFGLSFGISGPKDWLREQKKKASRFLLAPIDASRESLLTAHRILTATDSNSTNEEIEEVQRLFKSAARDCVPDDRNSFVAFQANTGVEVCTFRLVVKNAASLLGDKDPVKLEAESMLNDLIRSFTSLNVLVNETDVQFASERKKVADSLMDTISSLDKFEQGIKDCLEA >ONI33451 pep chromosome:Prunus_persica_NCBIv2:G1:36604235:36608060:1 gene:PRUPE_1G425000 transcript:ONI33451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRARVYADINVHRPRDYWDYESLTLQWGDQDDYEVVRKVGRGKYSEVFEGVNVTNNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDDHSKTPSLIFEYVNSADFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIIHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRHYKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEQFFYGHDNHDQLVKIAKVLGTDELHAYLNKYHLVLDPQLDALVGRHSRKPWSRFINVDNQHLVSPEAIDFLDKLLRYDHQERLTAGEAMAHPYFSQVRSAENSRMRTQ >ONI30385 pep chromosome:Prunus_persica_NCBIv2:G1:26072410:26075340:1 gene:PRUPE_1G248000 transcript:ONI30385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVSALFLLDIKGRVLIWRDYRGDVSAVQAERFFTKLIEKEVDPESHDPVVHDNGVSYLFIQHNNVYLMTASRQNCNAASLLFFLHRIVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFVPPDGAFDLMTYRLSTQVKPLIWVEAQIERHSKSRIEILVKARSQFKEPLQQMWRLRSLCYLMPPTLRFGHH >ONI30384 pep chromosome:Prunus_persica_NCBIv2:G1:26072172:26075694:1 gene:PRUPE_1G248000 transcript:ONI30384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVSALFLLDIKGRVLIWRDYRGDVSAVQAERFFTKLIEKEVDPESHDPVVHDNGVSYLFIQHNNVYLMTASRQNCNAASLLFFLHRIVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFVPPDGAFDLMTYRLSTQVKPLIWVEAQIERHSKSRIEILVKARSQFKERSTATNVEIEVPVLSDATNPEVRTSLGSAAYAPESDALIWKIRSFPGGKEYMLRAEFRLPSITAEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >ONI29166 pep chromosome:Prunus_persica_NCBIv2:G1:16742134:16743099:-1 gene:PRUPE_1G184700 transcript:ONI29166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSRSRSKAEQLSQRPQKGVRNRFPGHPRTLSEQDPQEEITEQEPQQNENRETKNTDGSNETNNINNNNISAINSTDVGIRNVDNKYNNSSVPFLLGLLVLLVLAFFIFIFIFIYIY >ONI26890 pep chromosome:Prunus_persica_NCBIv2:G1:3712547:3719390:1 gene:PRUPE_1G053400 transcript:ONI26890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKEAVANSKPHVVCIPVPVQSHVKGMLKFAKLLHHRGFHITFVNTEYIHKRFLKSLGPNSLEGLPNFRFETIPDGIPSSEDTTQYIRLLGESVRNNLPAPFRDLLMKLNGTANIPPVSHIVSDGWMSFTITAAEEIGIPVVLFFTLSASGVMGFKQYPTLLEKGLAPLKDETWLTNGFLDNVIDWVPAMKGIRLRDLPNNFITTDPAEASWIFCLEAIQRFGKGSAIVLHSFDALEKEVLDALSSMFPLVYAIGPLQLLLNQIPEHPLKAMGYSLWKEETEWLKWLNSKEPNSVVYVNFGSLAVLTPEQLVEFGWGLANSKLPFFWVIRPDLVVGKSAIFPPEFEAETKERGLIASWCPQEQVLEHSSVGGFLTHCGWNSTIESLCAGVPMLCWPCSSDQPTNCYYTCNEWGIGMEICNDVKREHVEKLVKELMGGEKGKQMKNKVMEWKKLAEEAASPHGSSSANLDNFVNQVLLRKS >ONI32710 pep chromosome:Prunus_persica_NCBIv2:G1:34347858:34350242:1 gene:PRUPE_1G381300 transcript:ONI32710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKASLKGKYETDKSGAAANISVFASDVKFRASLTDATFAAGPSLNGLVLAVEKPGSFIVDYNVPKKDFRFQFLNSVRVAGKPLNLNYIHSRGDNRTILDGTLVLDSANKVSANHVLGSRNGKFKYSYLHGGVTTFEPSYDLAKNSWDFAVSRRVYGDDVFRASYQTSSKLLGLEWSRNSKINGSFRVLASVNLAEEQKIPKLTAESTWDFEM >ONI30406 pep chromosome:Prunus_persica_NCBIv2:G1:26176310:26178086:-1 gene:PRUPE_1G249500 transcript:ONI30406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHVLDCDSLIRSLSLTKKLYLCFNVSAKEKRIADMASLQLHLLPFSTGPCLPSSRFRRSLVRSSTKRQALFNRIAPVYDNLNDLLSLGQHRIWKRMAVSWSGAKKGDSVLDLCCGSGDLAFLLSEKVGSSGKVIGLDFSKEQLSVASSRQKLMSKAFHTNIEWVEGDAIDLPFSDGHFDAITMGYGLRNVVDKHKAMQEMYRVSKAGSRVSILDFNKSINPVIAFTQELMIDNVVVPVASGFGLAEDYKYLKSSIREFLTGKELEELALDVGFSNARYYEIGGSLMGNLVATR >ONI33618 pep chromosome:Prunus_persica_NCBIv2:G1:37204006:37205890:1 gene:PRUPE_1G436000 transcript:ONI33618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGAKRKVSQREDNKENSTKPDNHKEHPSKATTRSKRVKASHPESEPEYLEEPRNLEDLWKAAFPVGTEWDQLDAVYRFKWDFSNLEQAFEEGGKLFGIGNNKVYLFGGTEPQQVPFKGKLEIVYIPIVVAVVSPCPPSDKIGIKSVQRETEEIVPMKEMKMDWVPYIPLDKRDRQVVEYGRKSPQIFVLGCTQRRAALKHMKIDRLKKFDYCLPYLMPIKEEELELSTEVDILFPAEPNPPVYCVFDWQFDEVEEFADDRIKEEELSADQKDAFMEFVKEKVRQQKRENREKKEARRQAFEKMSVEAKAAFENLKYYKFYPVQTADTPDISAVKASYINRYYNKAHEVL >ONI31887 pep chromosome:Prunus_persica_NCBIv2:G1:31768540:31769893:-1 gene:PRUPE_1G336800 transcript:ONI31887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTTVTYRYQRSASGLTVGAHLFGFLAIILMLVWLLHYRGGLDYDSDNSDRVFNVHPFLMFFGFIFFAGEAMMAYKTVLSAHKVQKYVHALFHLIALCSGIFGICAVFKYHDMNNIGDMNSLHSWIGLSTFILYCLQWVLGFATFLFPRASERTAIRIVPWHMSGGRALLYLSICAALTGLTQKSTFLGVEHVLSHRESNLINFTGLSILLFGIFVDLSVALARYV >ONI27549 pep chromosome:Prunus_persica_NCBIv2:G1:7293469:7294708:1 gene:PRUPE_1G094100 transcript:ONI27549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEGDLINRTILGEASQRSIEEIVEETQPNKERSGKIPSQAEDPKDNPSMLSRHNLHQEGEEDSLPGKEWIKIGNEYFKNPVPTTTPPNLQIIKEFATDSLFQSGQNDKRCKRNFEEDANTGFVPGSLTEFPNNGFTKYHGLNVEEKYVKLSVVNELFMSTTKTEEVEGEKEKKNEEDLASCFSFRTKRRYLYY >ONI29286 pep chromosome:Prunus_persica_NCBIv2:G1:17649688:17652002:-1 gene:PRUPE_1G191100 transcript:ONI29286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMLLVVSSNLTHLWSELWQSLSSLISFSSTILQTQTKMVATSTNEVPSIANYDRKSEVKTFDDTKEGVKGLVDAGITKVPRIFHLPVDQYPINNTCDSEPTKTQLRIPVIDLEGLEYDYNPTKRKEIVAKVGEASETWGFFQIANHDIPVDVLEEIKNGVRGFFEQDTEVKKEHYTRDRFRPVIYNSNFDLYSAPATNWRDTFLCNMAPNPPKPEDLPQVCRDILVEYSKHVMKLGKLLFELLSEALGLKPSHLNDMDCSLGLQILGHYYPPCPQPELTLGTSKHADNDFITVLLQDHIGGLQVLHQNNWIDVLPVPGALVVNIGDLLQLISNDRFRSVQHRVLANRSDPRVSVASFFSTGFLALPRIYGPIKELLSEDNLPKYRETTLKDFNAHFHNKGLDGTSALTHFKL >ONI33305 pep chromosome:Prunus_persica_NCBIv2:G1:36126542:36128760:1 gene:PRUPE_1G415900 transcript:ONI33305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLALGLFVFCVSAAEHHHVKAKTKRISLEVHKKLKLLNKRGVKTIKSEDGDIIDCVDIYKQPAFDHPALRNHKIQMRPSNHVQNDHNSSQLVSQIWQRSGSCPDGTIPIRRIRKQDLLRATSLENFGKKMPVHSSYSPTDDKGTVYINSTRVELGPQVNRSAAILLTTGYNYIGAQGDINIWTPRVESPDEFTTAQIWLKNGPGEAFESVESGWVVNPKVYGNGATRLFVYWTKDSYKSSGCFDLTCPGFVQTNKDIALGMVLGPVSSKMGPQYQTTFSITKEPSTGNWWVRIGQNVPVGYFPGELFYYLTRGAATLVEWGGEVFSSKVKQNHPHTATGMGSGDFASGKLGNACYVKQVRIIDYSKQLKYPEWVGTYSDEEYCYSALNYALSLAQEPVFYFGGPGRNPPYCP >ONI29651 pep chromosome:Prunus_persica_NCBIv2:G1:21166224:21172482:1 gene:PRUPE_1G207400 transcript:ONI29651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQPFTEIDQDCRPSINAKVELDTENRSSLPNSAAEGLGCMKNCEDTAIRMNGEDKVSMCTSDVDVDIIECRNNHNSSLQAETEDPDATEYSSSFADTMSDTENFSGFSEGEVQSQFFADNGLASDFDAFSSPFQMRKKKLTNHWRDFIRPIMWRCKWMELRIKEIESQALKYSRELAIADQRKHSGFDQFTLEEFGSKSVPFSSQCRRKKAMKRRKRKRVEETTDIASYMSHHNVFSYLENKRSDPDSTSVADEFSNAVIITEQSADYNDKLSTGDDWSFFEFRDGDKSLEHVLWKIETVHSRVHKMKNQIDVVMSKNACRFSSSENLSLLVPCDAPTSSAHSPAFSAGNGDTISAGAIYTSTQHISEYNLGDMVMPESAVSSFGEAIVVPDIIESTVGLLSAIDVTFHQPQFGDSSEDIVDNVLIPNEAAEGEKHTFELISDQPKETHEQSDKGIQEEGPFPTPSSEPDPLVDASVPQEQSTLESCLASDVNFPRNKRKRGERRAGSVVWSKKCSGEPDSL >ONI29650 pep chromosome:Prunus_persica_NCBIv2:G1:21166003:21172482:1 gene:PRUPE_1G207400 transcript:ONI29650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQPFTEIDQDCRPSINAKVELDTENRSSLPNSAAEGLGCMKNCEDTAIRMNGEDKVSMCTSDVDVDIIECRNNHNSSLQAETEDPDATEYSSSFADTMSDTENFSGFSEGEVQSQFFADNGLASDFDAFSSPFQMRKKKLTNHWRDFIRPIMWRCKWMELRIKEIESQALKYSRELAIADQRKHSGFDQFTLEEFGSKSVPFSSQCRRKKAMKRRKRKRVEETTDIASYMSHHNVFSYLENKRSDPDSTSVADEFSNAVIITEQSADYNDKLSTGDDWSFFEFRDGDKSLEHVLWKIETVHSRVHKMKNQIDVVMSKNACRFSSSENLSLLVPCDAPTSSAHSPAFSAGNGDTISAGAIYTSTQHISEYNLGDMVMPESAVSSFGEAIVVPDIIESTVGLLSAIDVTFHQPQFGDSSEDIVDNVLIPNEAAEGEKHTFELISDQPKETHEQSDKGIQEEGPFPTPSSEPDPLVDASVPQEQSTLESCLASDVNFPRNKRKRGERRAGSVVWSKKCSGEPDSL >ONI26159 pep chromosome:Prunus_persica_NCBIv2:G1:637073:641157:-1 gene:PRUPE_1G007400 transcript:ONI26159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTMSAILLALHLLFVHLQYSGVHSLANSHPHDFRYLKYVYNATDRRLEGSYDYIVVGGGTSGCPLAATLSANYKVLLLERGSLPIDYPNTSTSSGFAANLQQEDDGKTPVERFVSLDGIDNVRGRVLGGTSTISAGTYARANASFYSESGVNWDLNLVNKAYQWVEDSLVVRPKSQSWQSVIGKAYLEAGFLPDNGFSLDHKEGTRLTGSIFDNNGTRHASDELLSKGNPKNLRVAVHASVEKILFSSNKSSLSAIGVIYRDSYGRPHRAFVLGKGEVILSAGTIGTAHLLLLSGVGPKSQLLSQRIPVVRHHPHVGQFVYDNPRNFINILPPYPIEASIVTVIGVRSEYYQISLSSLPLEKPAYSLFPASYPLPNSTFAHIVSQVPGPLSYGSVTLKSSFNVKIPPNVRFNYFSNPTDLALCVKGMKKLGEVLRTKTLRPYKARNVPGIEGFNYLGAPLPKNQNDDKAFQRFCRDNFATYWHYHGGSLVGKVLDDRFRVTGIKALRVVDASTFPYEPNSHPMGFYMMLGRYVGLQIQRENSV >ONI29726 pep chromosome:Prunus_persica_NCBIv2:G1:22458877:22460813:-1 gene:PRUPE_1G211100 transcript:ONI29726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRWWTIGLVVGIIGIGRELTKLYGWDKDALLKVFGELSDRLGVWAIPLYVAIHTLTLALCLPYAIFFEAAASLLFGFFPAVLCVFAAKLLGASLSFWIGRLIFKSSSSAMEWVQRNRYFAVLSRGVERDGWRFVLLARFSPMPSYIINYALAATRVRFVVDFLLPTVIGCMPMILQNTSIGSLAGAAVSSASGSQKSQIWSYLFPVLGIGSSILISLRIKKYSTENLVTESPTSEHINDSSNIADSNKEDPKKGQ >ONI34501 pep chromosome:Prunus_persica_NCBIv2:G1:40395867:40399748:-1 gene:PRUPE_1G484900 transcript:ONI34501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTGKKKDEEVQKPGNAGSKLSKPSDRNSKAFDEDTAIFINMSQELKDEGNKLYQKRDHEGAMLKFEKALKLLPQNHIEVAHLHTSMAACYMQMGLGEYPRAINECNLALEVSPRYSKALLRRSQCYEALNRLDLALRDVNTVLSMEPNNLSALEILESVKKVMSEKGIVLDEKEIGIANVPQPPAARFRKVVKEKLKKKKKGKKVEDKRKDKVVVEENVSADKDKEAVTKTIEQEKVVTKHVEEEKVVMKHVKEEKVVTKTVKLVFGEDIRWAQLPLNCSMRLVRGIVRDRFPGLKGVLVKYRDQEGDLVTITTTDELRIAESSCDMQGSLRLFIAEVSPDQEPIYEGLSDEELSKEDRELSNVVENGDGEKDREVEKRFTSVEDWIIQFARLFKNHVGFDSDAYLDLHELGVKLYSEAMEDTVTIEDAQELFDIAANKFQEMAALALFNWGNVHMSKARKRVSFPEDASRDSIIEQIKAGYDWAQKEYKKAEGRYEEAVKIKPDFYEGYLALGQQQFDQAKLCWYYAVGSKIELETEPSSEVLQLYNKAEDSMEKGMLMWEEIEERRLNGLAKEDKYKAQLQKLGLDDLFKEVSADEVAEQAANMKSQIYLLWGTVLYERSVVEYKLGLPSWEECLEVSVEKFELCGASPTDIAVMMKNHCSNETALEGLGFKIDEIIQAWNEMYDAKRWQFGVPSFRLEPLLRRRVPKLHSMLEHA >ONI27530 pep chromosome:Prunus_persica_NCBIv2:G1:7027824:7030070:-1 gene:PRUPE_1G092500 transcript:ONI27530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSTMSAILVSVLHLFVLHLQYSEVHSLANTSAHDFSYLEFVYDANDTELEGTYDYIIVGGGTAGCPLAATLSANYSVLVLERGTLPTEYPNLLTSDGFIYNLQQEDDGQTPVERFVSGDGIDNVRGRVLGGTSMINAGVYVRANTSFFNQTGIEWDMDLVNKTYDWVEDTIVFKPDFQFWQNLTGTAFLEVGILPDNGFSLDHIEGTRLTGSTFDNNGTRHASDELLNKGDPNNLKVAVHAAVEKIIFSSNSSGVTAIGVIYTDSNGTTHQAFVRVEGEVILSAGPIGSPQLLLLSGVGPESYLTSLNISVVASHPYVGQYIYDNPRNFINILPPNPIEPSTVTVLGITSDFYQCSLSSLPFSIAPFSFFPIPTYPLPNTTFAHIVNKVPGPLSHGTVTLQSTSDVRVAPNVTFNYYSNSTDLAHCVSGMKKIGEFLSSDALKPYKVEDLPGIEGFDILGIPLPENQTDDAAFETFCQEAVASYWHYHGGCLVGEVLDDDFRVTGINALRVVDGSTFPSTPASHPQGFYLMLGRYVGSKILQERLASEEALHKSTIQPKILESLESALSFAFDT >ONI33492 pep chromosome:Prunus_persica_NCBIv2:G1:36775212:36776728:1 gene:PRUPE_1G427900 transcript:ONI33492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIISPSYLPPSPSPPPSTFHSHSIMGNKRLCGGILLLGLPQRRCISNQSKQEPKTELFPWRKVLTSIAIGGVIGLGLLLCFVLLYLSKKALLSVLLYPSRKARVKPTSGSAWGVSLLKVSYADLLKATDGLSSRYLIGAGSFGSVYRGILNEEERRNCCSQSTQCSEFKRAL >ONI34417 pep chromosome:Prunus_persica_NCBIv2:G1:40182499:40185045:-1 gene:PRUPE_1G481300 transcript:ONI34417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSGPRPYECVRRAWHSERHQPMRGSLIKEIFRVVNEIHSSATRKNKEWQDKLPIVVLKAEEIMYSKANSEAEYMDLKTLWDRTNDAINTIIRRDEGTETGDFLQPCIEAALNLGCIPRRTSRSQRHANPSCYLIPITSDVPGISPSVVENASQRDYTSNSQYRPHCPNFVKPKSMTTQLGFESRFPVVQNNDCTTMKFRIASENIPPSGYDQFSPRESMATSNFSSYPLHYRNFPQFEELKPGFVILPKPVSDPIEPAKMGVISNLLCNGDKSNDNTQTDTRDYTENPCTVGCDLSLRLGPLSTQHSIGENSQPEEVKDVGAQEGTMCSDQSQPQFDRRPSFIGKGNEYGPRDSYSSRLNFEGEYMNVQATMRKRKAAFNHPTGDTKFYRQPELPFSHLTGSMRNGGL >ONI32990 pep chromosome:Prunus_persica_NCBIv2:G1:35233176:35237006:1 gene:PRUPE_1G398000 transcript:ONI32990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSVFLFLILCSFEKAIIALAATSPEPHLPPHTTHTVEINSLLPATTCTPSTKGHTNNKASILEVVHKHGPCSIFHKSSKTSTTKSDDKYHAQILKQDEARVNSIHSRLNYRIHDPFTQSSASATLPAKSGLLLGSPNYLVTVGLGTPAKQLSLEFDTGSDLTWTQCRPCLRYCYTQSEPIFDPSLSASYKNLSCTSATCTQLSSAGIPHRCSSASSSCLYGIRYGDGSFSIGDFAKEKLTLTSMDVFEGFLFGCGQDNQGLFNGSAGLLGLGRNNISLVEQTAMKYNRFFSYCLPSTSSSTGHLTFGNGGGSANGVKFTKLTTLSERESSFYGLGLTGISVGGCQLPVASSVFSSSGTIIDSGTVITRLPATAYTALRDAFREGMKNYTMTMSFSLLDTCYNVSGYETVSFPDIAFGFGDGVTVDLDAAGILLAVSDSQVCLAFAGNKDDSDIGIIGNVQQKRLEVVYDVAGGKVGFAPASCP >ONI31112 pep chromosome:Prunus_persica_NCBIv2:G1:29099160:29100030:-1 gene:PRUPE_1G293200 transcript:ONI31112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQPPPALPQYLQEHEFSQENRDFISSLPTEKGWVSNHLHQYQGFWHATRHMQGVLACQKHFQALDTDILLVSTPKSGTTWLKAILFALVKRAQHPDPQQHLLITNIPHVLVPFLELNVYMEKEVPDLTALTPPRLFSTHLPYVSLPDSVKHSACKVVYLCRDPKDTFVSLWHFANKLRAKSRGTISLEEAFDKFCKGVSLDGPLWDHVLGYWKESLERPEKVMFFKNVEVGDWMNYLTAEMVERLDCINEEKLQGSGLKF >ONI28421 pep chromosome:Prunus_persica_NCBIv2:G1:11144606:11145217:1 gene:PRUPE_1G141900 transcript:ONI28421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTMIISSTSMQEKGEKLLRGQDSTAAIHGNNPATPRWWRENCHNEKSYDNHHQNCCETKTTIIIFRAAILSHMQYIYICHLYKPQA >ONI31556 pep chromosome:Prunus_persica_NCBIv2:G1:30670971:30673980:1 gene:PRUPE_1G319500 transcript:ONI31556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSLAKLNAASSQWIGQQSFTQRHGSSTSLSTRRVAVPIRAKAYTDELILTAKTIASPGRGILAIDESNATCGKRLDSIGLDNTEVNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDGKKFVDVLREQKIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWAEVFCYLAENNVVFEGILLKPSMVTPGAEHKEKASPETIAKHTLTVLKRRVPPAVPGIMFLSGGQSEAEATLNLNAMNQSPNPWHVSFSYARALQNSVLKAWQGHPENVEAAQRALLVRAKANSLAQLGRYSAEGEDEEAKKGMFVKGYTY >ONI34635 pep chromosome:Prunus_persica_NCBIv2:G1:40893608:40897357:-1 gene:PRUPE_1G491700 transcript:ONI34635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQNRRSAFPSSLAKRQALSSSSSTSGNVGKVVAAEPHLARKRAPLSNLTNRNSVSNNGLRSSGGSSCTLVPCTNKIAKVKKGPPACTGNKGVSASSLPASFNVKPSAVVLPKVTPAPRSDEAVPNSFALPGPCSMDVSPSKSDGNSVSMDETMSSCDSFKSPEVEYIDNNDVPAIDSINRKTFSNLYISDHAETTGVACKREVLVELEAEDKIADLDNSLMDPQLCATIACDIYKHLRASEAKKRPSTDFMEKTQKDINPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNPMNRQRLQLLGVACMMIASKYEEICAPQVEEFCYITDNTYFKEEVLQMESDILNYLKFEMTAPTTKCFLRRFVRAAQGANEGISMHLECLANYLAELSLLEYSMLGYAPSLVAASAIFLANFILLPSKRPWNATLQHYTLYQPSDLRDCVKDLHRLCCNSQSSSLPAIREKYSQHKYKHVAKKYCPPTIPSEYQT >ONI32989 pep chromosome:Prunus_persica_NCBIv2:G1:35229142:35231096:1 gene:PRUPE_1G397900 transcript:ONI32989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPIIFSSSFLRFFMGFFSVLLCLILCSFENGFASAARDTKPHLLPHTTHTVEVNSLLPATTCSPSTKGHNNNKASSVLKVVHKHGPCSKFHKSSKTSTTTSDEKYHAQILKQDQARVNSIHSRLNHNNNSRIKDPLTQSAATTLPAKSGIVIGSPNYIVTVGLGTPAKQLSLVFDTGSDLTWTQCKACSATQSCYNQTEPIFDPSLSASYKNISCTTAACTQLSSSGIEHRCSASTSACLYAAGYGDMSFTIGVFGSEKLTLTPTDVFEGFLFGCGLDNEGLFSGSAGLLGLGRSSTSLVEQTANKYNRFFSYCLPSTSSSTGHLTFGDGGSANGVKFTKLITSSQSESFYGLGLAGIKVGGSQLSIEPSVFSSSGTIIDSGTVITRLPATAYAALRGAFREAMKNYTLTESFLLLDTCYDFSGLNTVTYPKIAFMFGDGLTVDSDATGILLLISPSQVCLAFAGNEDDSDFGIIGNVQQKRLEVVYDVAGGKVGFAPEGCP >ONI27293 pep chromosome:Prunus_persica_NCBIv2:G1:5612652:5614837:1 gene:PRUPE_1G078000 transcript:ONI27293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVINNNNKAATLVHVKGVCHPELPPGLCMCSYFCGPPPAAKPSRRSWTKATQKIVHECNTDCCNAKCASQYNDGVGICDHIVGPSVCMCNYDCD >ONI31858 pep chromosome:Prunus_persica_NCBIv2:G1:31655838:31657198:1 gene:PRUPE_1G335000 transcript:ONI31858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNYNGDDDQNKGVFRVCTESQSDEGDVVGEEELEQEVLKRRISGHPLYELLMENHINCLKVGLGENIGEIGVTSTENDKLKAAAIPTSSDLDQFMEAYCDALNKLKEAMEDPIKETTSFITDVYAQLEDLSASKKPH >ONI31859 pep chromosome:Prunus_persica_NCBIv2:G1:31655819:31657198:1 gene:PRUPE_1G335000 transcript:ONI31859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNYNGDDDQNKGVFRVCTESQSDEGDVVGEEELEQEVLKRRISGHPLYELLMENHINCLKVGLGENIGEIGVTSTENDKLKAAAIPTSSDLDQFMEAYCDALNKLKEAMEDPIKETTSFITDVYAQLEDLSASKKPH >ONI27739 pep chromosome:Prunus_persica_NCBIv2:G1:8212786:8213570:1 gene:PRUPE_1G102800 transcript:ONI27739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLPMFWLVIVSLPVMKENNHKCSRVVRHSKYLFVWKGRIQFSHGVFGDDDLDLRTRLFQPGEFDAGASTRLFKSTWVH >ONI29070 pep chromosome:Prunus_persica_NCBIv2:G1:15409123:15410875:1 gene:PRUPE_1G179000 transcript:ONI29070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEREHIVLLPMIAKGHLIPFLALAKQMQQKTGFTITIATTPLNIQYLQATISSTSSSSNTQSHSNNFDINLAELPFCSSDFGLPPDTENTENLSMKHIGDLCAATVNLEGPARRLILDIIEKEGRPPLCIISDIFFGWATHLAKSLGTENVTFTTCGAYGSAAFMSIWLNLPHRSTALCDGYFTLPGFPDQSRFHISQLDHYLRAAKGTDFWPKFYQSQLSLSTKSFGWLCNTAEEIEPLGLEILRHYFRLPVWSIGPLIPKDALKNSSTSDLRVSRQPAEKCMEWLDSHGSDSVVYISFGSQNTISETQMMELAIGLEKKFRAEWLPPGFEDRMCKSKQGLLVHNWAPQLEILSHKSTGAFVSHCGWNSVLESLNQGVPIIGWPLAAEQAFNSKMLVEEMGVSVELTRGVQSVIVGKEVKRLIDLVMDKGGEGGEMRKKAGAIKAQIRAAIREEAEFKGSSAKAMDDFLETILSARQEHKSIIG >ONI30590 pep chromosome:Prunus_persica_NCBIv2:G1:26947971:26948975:1 gene:PRUPE_1G260500 transcript:ONI30590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHSHFLLFFFLTAGLALLFHSTADPHLTENYYEKTCPKFNDIVQQVVTDKQIATPTTAAAVLRLFFHDCVLEGCDASLLIASNSFNKAERDHDTNVNLPGDGFDTIARIKTSLELQCPGVVSCADIISAATRNLINMVGGPHYRVSFGRKDGLVSRADRVDGHYAKTNMTISEIINLFASKKLSVQDLVVLSGAHTIGFSHCKEFSNRIFNFSKTSDIDPTLNANFAKGLKNLCANYTSNPGMSAFNDVMTPGKFDNMYFRNLQRGLGLLSTDQALMADERTKPLVDLYASNEKKFFEDFAQSMIKVSLMNVKTGKHGEVRRRCDAFNNLKI >ONI32820 pep chromosome:Prunus_persica_NCBIv2:G1:34704470:34707052:-1 gene:PRUPE_1G388100 transcript:ONI32820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGASIIQYCEQRAFPGFNNNLVVNEAGEDVEAELLNCRYILPPQSAFYMLIPPAFIKNFNRRRLGKCTMRGPSGKCWAVELEQRQDGLFFHKGWPGFVKDHFIELEDFLIFCYDGGSEFDVTIYDKTCCEKM >ONI30887 pep chromosome:Prunus_persica_NCBIv2:G1:28348182:28348599:1 gene:PRUPE_1G279700 transcript:ONI30887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGKKFCEYVTQVENEHKYGMNEQDKFFYSKQLYEKLEKRKFHFDHCWKLLKDAPKWVDDMHKKKPSNRVKGTSLSPEFSSPSTSQSLVELVEDQVCNTESGNMERPQKRKE >ONI28918 pep chromosome:Prunus_persica_NCBIv2:G1:13758307:13759874:1 gene:PRUPE_1G168800 transcript:ONI28918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLQPRSISNGNFDGSVRNNVAASGFVIRDSDGHVLLAGAKNIGDNTISMAECMALRDGLAYAIHRGWQNILVEGDSKLIIDCVKQEADPPWRLKVGVEIGDLDLRDIKLLSSFCGDLSLNHIYSEANFTADAVANLGHGLNPSKLWESGLPLNCLMKRPYASG >ONI30539 pep chromosome:Prunus_persica_NCBIv2:G1:26690780:26697847:1 gene:PRUPE_1G256800 transcript:ONI30539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSSKTWTAAFSFLLRRNRYSSSSSSLSAPLLLRPIPSLLLHRSNHAMVPHPKDDAYLATVIPKRIKLFEAIQAQQRAHLQSLPSDPIKITLPGGQEKEGKRWITSPFDIAREISKSLASNALISEVNGVLWDMNRPLEGDCTLKIFKFEDEVGRDTFWHSSAHILGQALEVEYGCKLCIGPCTTRGEGFYYDAFYGDLGLNDEHFKEIVSAAEKGVKDKQPFERIEVSREQALEIFSDNQFKVEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSAYWRGNKDRESLQRVYGISYPDKKSLQLYIQRLEEAKKYDHRLLGTKQELFFCHPLSPGSWFFLPNGARIYKKLMAFIQNQYIERGYHEVISPNVYNMQLWETSGHATNYKENMFVFEIEKQEFGLKPMNCPGHCLMFQHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQVKDEVKGVLEFIQYTYDIFGFTFDLKLSTRPEKYLGDVATWEKAEAALTEALNESGKPWQLNEGDGAFYGPKIDISVSDALNRKFQCATLQLDFQLPTRFELYYSAEGEEGKRETPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSDKSQPYALEVRNRIHQAGYYVDVDTSDRTIQKKVREAQMAQYNYILVVGEEEVKTGQVSLRVRDKGDVTVMSMENLLQHFKAEVEAFH >ONI34030 pep chromosome:Prunus_persica_NCBIv2:G1:38472811:38475365:-1 gene:PRUPE_1G459700 transcript:ONI34030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKSSSSSVSNPVSDQCSDQESSNKSEPLIPGLPDEIAELCLLYLPYPYQALVRSVSASWNRAITDSSFVRCKKSLSLPLPYLFVFAFNKVTSRIQWQALDPRSGRWFVLPPMPFPKAACPPGFACTSLPRQGKLVVLGGVRSDSECSTRTTIVYCTSTNQWSIAAPMRTPRSFFEAGNINGKILAVGGGVARNGDSIRAVDCYDPENDTWAASATLPTSLAKYDSNVVGNKMYVTEGWMWPFMLSPRGVVYDPDEDTWQEMRQGMRDGWTGVSVVVGERLLVISEYGDCPMKVYDPDEDTWRYVSGDKFPCEALRRPFAASGVEGNIYVVACGLNVGIGRLSECGGGKDELKVEWQVVPAPSAFRGFSPSSCQVLYA >ONI29870 pep chromosome:Prunus_persica_NCBIv2:G1:23323593:23330040:-1 gene:PRUPE_1G218200 transcript:ONI29870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLICQKTFQCANFATHCKTNARISFSRCGYAAQAAAAASLPQHPPVHAELPNSEFDSHAYAAMLQHCIRNGDSNSAMVLHCGILKKGGCLDLFALNILINMYVKAGMLSNATTLFDEMSERNTISFVTLIQGFADSQRFFDSVELFRRLHTEGHELNQFVFTTILKLLVRMGWAELAWTIHACIHKLAHGSNAFVGTALIDAYSVCSHVDVSRDVFDEIVCKDMVAWTGMVACYAENGCFEEALKLFSQMRMIGFKPNNYTFTGVLKACVGLEALNEGKSVHGCVMKSCYEGDLYVGTALLDMYTKFGDVEEARQVFQEIPKNDVVPWSLMVSRCAQSDRCEEALDLFCRMRQAFVVPNQFTYASTLQACATMERLDFGKQIHCHVIKVGLDSDVFVSNALMGVYAKCGKLENSMDLFVESPNRNDVSWNTMIVGYVQLGDGEKALALFSNMLRCQVQATEVTYSSALRASASLAALEPGVQIHSITVKTIYDKDTVVGNSLIDMYAKCGSIKDARLVFDKLKQRDEVSWNAMISGYSMHGLGLEALKIFEMMQETNCKPNKLTFVGILSACSNAGLLDQGQAYFNSMVQNYNVELCVEHYTCMVWLLGRSGHLDKAVNLIQEIPFEPSVMVWRALLGACVIHNDVELGRIAAQHVLEMDPQDDATHVLLSNIYATARRWDNVASVRKTMKRKGVKKEPGLSWIENQGTVHYFSVGDTSHPDMKLINGMLEWLKMRTLKAGHVPNYSAVLLDVEDDEKERFLWVHSERLALAFGLIRTSPGSPIRIIKNLRICVDCHATVKLISKVVQRDIVVRDINRFHHFQNGICSCGDYW >ONI26633 pep chromosome:Prunus_persica_NCBIv2:G1:2533290:2535490:-1 gene:PRUPE_1G036300 transcript:ONI26633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRLRGVGAGVSPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKARKEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSNMEALSSLKTLCRFVDTTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQLFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI34315 pep chromosome:Prunus_persica_NCBIv2:G1:39422947:39426966:1 gene:PRUPE_1G474500 transcript:ONI34315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLSLVCLISFFTFFSFSYPATDTLTSLDTLGDNQTLVSSGGLFELGFFSENFSGYHYLGIWFTADRSKVVWLDRENPILDSSGLLQIRTGNLVLTDRRQVQLIVNSGSVAAATTNTSATLLDTGNFVLKEVDTGMTLEKRWYFNSFDHTGTIIWQSFDLPSDTYLPGMKLGLYGLNTTRHSFHLIVSWASPQNPARGLFTLSVDGNDLSKLSVWRGDGAKMDIASWDGHSIRFIFDNSTGQNNNSNDYTFSYHANAYEAYYNFSHSRSYDLMWFVMASTGNLDQYFMVDGKISVRPHALCADSAGGNSGRCLTSIPFKCGDGKFYEMNGSLPSTFSGTGFISTGTTECETLCKSNCSCTAFAALQNEQPPGCQLYFGSKHDLLKIIEKGAGIVYIRGRAPSGLNDVYVNVILAEDQLASRGDAVADSDHMRLFQMSSPNASPIHHDEVRGASNMELGRQKDQDLPFFSFSTIKNATNYFAEANKLGEGGYGPVYKASHQLIGKLPLEDQEFAVKRLSKISRQGLNEFKNEVSVICKLQHRNLVRLLGCCIEEEESILIYEYMPNRSLDSFIFDSSKKALLDWRRRFHIIEGIAQGLLYLHRYSRLRIIHRDLKTSNILLDSDMNPKISDFGMARIFGDDDTRGKTNRVVGTFGYMSPEYAMDGLFSEKSDVFSFGVILLEIISGKKNIAFFETDHSLNLLGRAWNFWKEGKSMELMDSTLSASCSSSEVTRCIQMGLLCVQERAMDRPNMSDVVSMLSNETIALPLPKEPAFLSQLSSTDADSSSSRQRHRSRNDITISDVDGR >ONI26956 pep chromosome:Prunus_persica_NCBIv2:G1:4133238:4133675:-1 gene:PRUPE_1G058100 transcript:ONI26956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFTRNVGLLVLLVFVWSLTMCDAGILPNVGALPNVTHVNITNDLGGDLTLTIHCKSADDDLGAHVLPPLISYEFVFRPNMWWTTQYFCLVEWPGASHYIDIYIQKRDQDRCDICMWVIVPYGGCLFNYKTRQYDICYPWNPPS >ONI27150 pep chromosome:Prunus_persica_NCBIv2:G1:5010491:5014119:-1 gene:PRUPE_1G070600 transcript:ONI27150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDLNPADTKKESENRAETKMEVDGDDKRDPPVGSPTNSQKTVSDDDEIDYSVKPEFYDSDIDDKDELWVQTKRKGRTSDAVLSCPSCFTTLCLECQRHEKYVTQYRAVFVVNCKTGSDQMFHQKEGRPRKGKLGRESSESKAIPAGNQTFKQVCCLLCSTEVGVIDEEEVYHFFNVLPSES >ONI33421 pep chromosome:Prunus_persica_NCBIv2:G1:36493275:36494880:-1 gene:PRUPE_1G423000 transcript:ONI33421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKRKREPVSFLTVEPQCCPEAVQLWGNAHNTRRQQSNLTEKARKHLSAMGWKFWYTLLKHGKTELRYESPTGKLYNSLRTACKAYMESQGGGGGFSESIGLNSVGCSSNDDQSDDICTVCHYGGELILCDKCPSAFHTSCLGLKELADGDWLCPSCCCGMCGHGKFEEGSSEKPKDDMDNSMLICNQCEHKYHIGCLKNAGVVKLKWDSKGNWFCSRNCEDIFLSLNEILGKPILVGPDNLTWTLLKPSSSHSDMEDFTQNYRKLNLALSVMHECFEPSRDPYTKRDIVEDIIFNRESELSRLNFKRFYTIVLERDEELISVATVRIYTEVAEVPLVATRFHYRRLGMCGILMKELEKQLMKMGVGRLTLPSARSALHTWTTSFGFSKMKADERLQFLSYTLLDFQGTIMCHKLLRHSDTGGSTSANSTVSYHGTWV >ONI27940 pep chromosome:Prunus_persica_NCBIv2:G1:8961800:8962969:-1 gene:PRUPE_1G112400 transcript:ONI27940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHPQAEDIDRVAHHMQINSVFHINPGLCLKCCSYRDLESSSASANKAWISIRRELQPAMEHCKASIEILEKLYNPNHIVIGYELVKLSSIQLSLGECAAVDSINRPCDIFSCYYGSYIQDFPYFHSIP >ONI31629 pep chromosome:Prunus_persica_NCBIv2:G1:30855754:30857600:1 gene:PRUPE_1G323000 transcript:ONI31629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDALSDYFTISKPRRKRKPMQTVEIKVKMDCDGCERKVKNAVSNMKGAKQVEVNRKQSRVTVTGYVEPNKVLKKVKSTGKKAELWPYVPYNLVAYPYVTGAYDKKAPSGYVRNVAQALPSPGAPDDKYTTMFSDENPNACSIM >ONI29024 pep chromosome:Prunus_persica_NCBIv2:G1:14897434:14901030:1 gene:PRUPE_1G176100 transcript:ONI29024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQQKNPAGVSQSMHQQTTSNNNLEEPEKRPFSIRQYVLACRQKDVFSNWPFPEKYLQICLNYGISDVLPPLESHNSAIQSLRGAVGLNCTQQDDENVDYSEDKEPDTIEQEIEDECKLYSDSEEAVSALSIQHCHLSPSDSSHKYEENKTGFSPHDASNIVVSTDQPSTPSTTIPSSHLNVIQCNKTLTSSMKPRRMKKQHKGKHKKRSMASILSVAKPCTSEDLLRIKRLCCVSSAPLEQGGEGIENMIDVRHDCNSDLTKDFSSEKLERDDSEEAKLSMLSRQKIVVKFKFSCGKSDV >ONI29023 pep chromosome:Prunus_persica_NCBIv2:G1:14897372:14901030:1 gene:PRUPE_1G176100 transcript:ONI29023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQQKNPAGVSQSMHQQTTSNNNLEEPEKRPFSIRQYVLACRQKDVFSNWPFPEKYLQICLNYGISDVLPPLESHNSAIQSLRGAVGLNCTQQDDENVDYSEDKEPDTIEQEIEDECKLYSDSEEAVSALSIQHCHLSPSDSSHKYEENKTGFSPHDASNIVVSTDQPSTPSTTIPSSHLNVIQCNKTLTSSMKPRRMKKQHKGKHKKRSMASILSVAKPCTSEDLLRIKRLCCVSSAPLEQGGEGIENMIDVRHDCNSDLTKDFSSEKLERDDSEEAKLSMLSRQKIVVKFKFSCGKSDV >ONI30359 pep chromosome:Prunus_persica_NCBIv2:G1:25961537:25962463:1 gene:PRUPE_1G246500 transcript:ONI30359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDPIGIPACFSSGTQRPVDDPNPTSVIRAGQSVFMSVYSTKIAGQCRLITITWCKNLLLHGLSVSVQGQDGDEDYRCKVELKPWYFWRKQGSKQFLVDGSTVDVVWDLKAAKFNGETEPRSDYYVAIVCEEEVVLLVGDQKKDAYRRTGCRPSLIEPILVSRKEHLFGKKRYSTRIKFHEKESFHEILIECNNISATGADPELEIKIDGSIALHVKHLHWKFRGNECVDINKTKVEVYWDVHDWLFSSGPRHGMFIFKPISSSSPETAQSTQEQALTEKSSCAAEEEDDKAGGSSVFSLFVYAWKVE >ONI29837 pep chromosome:Prunus_persica_NCBIv2:G1:22992748:22996976:-1 gene:PRUPE_1G216900 transcript:ONI29837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLHFHGVRIPCYSRTPRLSHLSSKPWLSSVASPSRSFHAAMENACKGISLRGNSEKKRIEAVLGGEEEEEEEKATGSHGSDTAPFTCVMKFGGSSLASAERIREIAQLIVSFPDEKPVVVLSAMGKTTNNLLLAGEKAVSCGVSNASEIEELSFIKQLHLRTVRELGIDSSVISAYLEELEQLLKGVAMMKELTLRTRDYLVSFGECMSTRIFAAYLNNFGVKARQYDAFDIGFITTDDFTNADILEGTYPSVAKRLNDDWISDPAIPVVTGFLGKGSKSCAVTTLGRGGSDLTATTIGKSLGLREVQVWKDVDGVLTCDPSICPDAEPVPYLTFDEAAELAYFGAQVLHPQSMRPARDGEIPVRVKNSYNPKAPGTLITKTRDMSKAVLTSIVLKQNVTLLDIVSTRMLGQVGFLAKVFSTFEDLGISVDVVATSEVSLSLTLDPSKFWSRELIQQELDKMEEELEKIAFVNLLQRRSIISLIGNVQYSSLILEKAFHVLRTNQVNVQMISQGASKVNISLIVNDSEAEKCVKALHHAFFESDDLSEVVLESGFGNGSATLLPIET >ONI31265 pep chromosome:Prunus_persica_NCBIv2:G1:29698652:29699086:1 gene:PRUPE_1G302600 transcript:ONI31265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSMHWLAPYIEKPNSSWVGLLGGEACSNHPRCKCSMSSNLLKIDFIHFKKKFGLWIGTMSILHIGHVKCSFRMRLIRGTWLSLPPLFYSQGSRCDQTFLDHSLTHALRNYCENQPLPKAINC >ONI27685 pep chromosome:Prunus_persica_NCBIv2:G1:7962539:7963165:-1 gene:PRUPE_1G099800 transcript:ONI27685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHISFNLVRYCTASTVGRLIRFAHQIIRRYSRDARFLSHSGRASIQSKDGISKHVREVSFELVQAETRA >ONI33301 pep chromosome:Prunus_persica_NCBIv2:G1:36116363:36118677:1 gene:PRUPE_1G415600 transcript:ONI33301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGLFGGEGIGIRGIMVIMLGATVTLIRCGVLVEGKLFSKQKILEAERQLNQLRRPAVKTIQSEDGDIIDCIDIYKQPAFDHPALRNHTIQMAPTYDTTKETKTMKKMDRWKKRNEEQSPITVKQTWHKSGSCPQGTIPVRRIQKKELLRASLVKDYGRKKHSSTLSRHVSQLSDNKTVYLQRANHSKAILFTEGYRYNGAKGDIKVYNPSVELDDEYSTSQVCLINGAYYDFESVESGWAVNPSVYGDRQTRFFVYWTVDGSQKTGCFDLTCPGFVQTSHEIALGAAIHPISVPHGLPYQIIVYIYKDPVTSNWWVQYGERINIGYWPPELFVLLSYHATSVEWGGEVYSSRVGTTPHTRTDMGSGHFAESSVWGTSGVIKRIRVHENSPGLKFPDIVNTLMDEFNCYDVKYLSDYVEDPEFYYGGPGRNYMCP >ONI34665 pep chromosome:Prunus_persica_NCBIv2:G1:41001248:41012457:1 gene:PRUPE_1G493400 transcript:ONI34665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGGTSSSRSQRSSISPFRSRKPAGSTKPPTASSRPATPSSSTTSSRPTPSKPSLSPPSSSASPNPPSPPDVSKSKENVTVTVRFRPLSAREINKGDEIAWYADGDYTVRNEFNSSIAYGFDKVFGPATTTRHVYDVAAQHVVSGVMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEAIKEEVVLSPAHALSLIATGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGENHDEEDVTLSQLHLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKRVEIKASQNKIMDEKSIIKKYQREISSLKQELQQLKRGMMENPNTAISTQEDLVNLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKNTIPPSISERPSHRRRHSFGEDELAYLPDKKREYLVDDDAGSYASELSVEGRDEITNLDELVKDYKRNKRRGMLGWFKLKKPENVIGLSPSADSESSTSGSPAPSSKSSQNRVKFSDLKDGGRKSVSRRGDDYTIIDPFPERTQAGDLFGAAFGGHRLPRTGSTITDQMDLLREQVKMLAGEVALCTSSLKRLSEQAARNPEDSEHREQMRKLKDEISEKKLQIRVLEQRMIGSLDMTPQMSNNSEMSQALSKLTTQLNETTFELEIKTADNRILQEQLQMKISENAEMQETILLLRQQLNSQQISDSEATRLETCSKELVQKNDEERERFGLCQETCADENTPTSVMSLNRILSLEDSKECNKDAFLNSQIHVQASEIEDLKQDKVKLSEEKEGLEVQNMKLSEEASYAKELAAAAAVELRNLAEEVTKLSYENAKLTGDLAAAKEVQCQSNCCQRPTSYDFKRNNINGARAGGHKKPEDVVLVEELQRELSARCQREAALEKELSERDQIEDDLRRTLDKVKQREVDLENELANMWVLVAKLRKSGINAEDVSLQGVHVPESSRVRVRNGFPPCNVHSDVMFKDNEIRENLNEMGTLEDLRASYQKERRRCKELECYISRLKGEDVAGLDVTALEELQNLHVVAITKICHAKCANRVF >ONI34664 pep chromosome:Prunus_persica_NCBIv2:G1:41001286:41012455:1 gene:PRUPE_1G493400 transcript:ONI34664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGGTSSSRSQRSSISPFRSRKPAGSTKPPTASSRPATPSSSTTSSRPTPSKPSLSPPSSSASPNPPSPPDVSKSKENVTVTVRFRPLSAREINKGDEIAWYADGDYTVRNEFNSSIAYGFDKVFGPATTTRHVYDVAAQHVVSGVMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEAIKEEVVLSPAHALSLIATGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGENHDEEDVTLSQLHLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKRVEIKASQNKIMDEKSIIKKYQREISSLKQELQQLKRGMMENPNTAISTQEDLVNLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKNTIPPSISERPSHRRRHSFGEDELAYLPDKKREYLVDDDAGSYASELSVEGRDEITNLDELVKDYKRNKRRGMLGWFKLKKPENVIGLSPSADSESSTSGSPAPSSKSSQNRVKFSDLKDGGRKSVSRRGDDYTIIDPFPERTQAGDLFGAAFGGHRLPRTGSTITDQMDLLREQVKMLAGEVALCTSSLKRLSEQAARNPEDSEHREQMRKLKDEISEKKLQIRVLEQRMIGSLDMTPQMSNNSEMSQALSKLTTQLNETTFELEIKTADNRILQEQLQMKISENAEMQETILLLRQQLNSQQISDSEATRLETCSKELVQKNDEERERFGLCQETCADENTPTSVMSLNRILSLEDSKECNKDAFLNSQIHVQASEIEDLKQDKVKLSEEKEGLEVQNMKLSEEASYAKELAAAAAVELRNLAEEVTKLSYENAKLTGDLAAAKEVQCQSNCCQRPTSYDFKRNNINGARAGGHKKPEDVVLVEELQRELSARCQREAALEKELSERDQIEDDLRRTLDKVKQREVDLENELANMWVLVAKLRKSGINAEDVSLQGVHVPESSRVRVRNGFPPCNVHSDVMFKDNEIRENLNEMGTLEDLRASYQKERRRCKELECYISRLKGEDVAGLDVTALEELQNLHVVAITKICHAKCANRVF >ONI26590 pep chromosome:Prunus_persica_NCBIv2:G1:2304541:2305151:1 gene:PRUPE_1G033300 transcript:ONI26590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFIRKLANSSFNVDDTEKILNIRNLQSLIQSRLWSKLCHFQFHSPGTSLTQRTQNVFLKEISGHNSTYFTFCSPRNHNPWIKKMACHLLWKQIYKR >ONI28933 pep chromosome:Prunus_persica_NCBIv2:G1:14010053:14016259:-1 gene:PRUPE_1G170200 transcript:ONI28933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFPSNSQIETQNAASRFQAAVLVDSPSFPNAIAWSDENLIAVASGHLVTILNPAKLPFGPRGLITIQKRQPFSIGFIDRQDLLSGCMLPTVLSRDEPCVRSISWSPVGLAPNAGCLLAVCTRQGFVKLYRPPFCDFCAEWIEVEDISTKLYDYLVSINFGEVPAFSLKPCYGNEHEIELEIDSDPLKEKNSNQNVRASKSKVKSFRKMPENCTVPSITADQYASRSAMLSSLVVSWSPILQSVPKISSVPQDGAAISLLAVGGKSGEVSLWRMPVPECYSVDQSRVLASVVLIGIFQAHNSWITAISWALLDYDSSSPQVLLATASSDGSVRIWLAYNEILLNSSEPTHTSFSLLKEVATVDFVPVSVLSVIVPAKSPHKMHLAVGKGSGSFELWICDISSKKIDKIGPYDAHNQAVTGLAWAFDGKCLHSCSQDNVVRCWILSGSSLREVSIPSNTPRLRSSTDFPDGFVSCFGLAVSPGNLVIAWVRNPDVDKLNPMYEGRTQKAIVEFFWIGGQQVDVLSNNSPDFDTEATPEKELVYWESNFLWSLKQYETQEKPLVVWDIVTAFLAFNHSKSEYVEHVLIKWLSISYVGSHVGLPAEEVLLCVSRSFSKFTSRQLHLLNIICRRIMLSEMKADEINSKLLNLEGVHGAEEEQLSLWINLLLASERELRERLVGFTFSAFISLMPASAANSPSGNWFPVGLAQMEQWVELNHDHVQDQLKVLASEVGKQEGRLQSSKYLAAEKCSYCSASVPFESPEVAFCRGKGHKLVRCAISMVVCPTTPTWFCICCRRQAFKLASETLFAIPGYPFNFKSLSTSSSLLEVSLKPLCPFCGILLQRLQPDFLLSASPT >ONI28934 pep chromosome:Prunus_persica_NCBIv2:G1:14009867:14016411:-1 gene:PRUPE_1G170200 transcript:ONI28934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTVLSRDEPCVRSISWSPVGLAPNAGCLLAVCTRQGFVKLYRPPFCDFCAEWIEVEDISTKLYDYLVSINFGEVPAFSLKPCYGNEHEIELEIDSDPLKEKNSNQNVRASKSKVKSFRKMPENCTVPSITADQYASRSAMLSSLVVSWSPILQSVPKISSVPQDGAAISLLAVGGKSGEVSLWRMPVPECYSVDQSRVLASVVLIGIFQAHNSWITAISWALLDYDSSSPQVLLATASSDGSVRIWLAYNEILLNSSEPTHTSFSLLKEVATVDFVPVSVLSVIVPAKSPHKMHLAVGKGSGSFELWICDISSKKIDKIGPYDAHNQAVTGLAWAFDGKCLHSCSQDNVVRCWILSGSSLREVSIPSNTPRLRSSTDFPDGFVSCFGLAVSPGNLVIAWVRNPDVDKLNPMYEGRTQKAIVEFFWIGGQQVDVLSNNSPDFDTEATPEKELVYWESNFLWSLKQYETQEKPLVVWDIVTAFLAFNHSKSEYVEHVLIKWLSISYVGSHVGLPAEEVLLCVSRSFSKFTSRQLHLLNIICRRIMLSEMKADEINSKLLNLEGVHGAEEEQLSLWINLLLASERELRERLVGFTFSAFISLMPASAANSPSGNWFPVGLAQMEQWVELNHDHVQDQLKVLASEVGKQEGRLQSSKYLAAEKCSYCSASVPFESPEVAFCRGKGHKLVRCAISMVVCPTTPTWFCICCRRQAFKLASETLFAIPGYPFNFKSLSTSSSLLEVSLKPLCPFCGILLQRLQPDFLLSASPT >ONI35921 pep chromosome:Prunus_persica_NCBIv2:G1:45786677:45788261:1 gene:PRUPE_1G560800 transcript:ONI35921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAADAQRTDLMTITRYALNEQSKHPEACGDFTILLNHIVLGCKFVCSAVSKAGLAKVIGLAGETNVQSSL >ONI35516 pep chromosome:Prunus_persica_NCBIv2:G1:44195450:44198632:1 gene:PRUPE_1G540900 transcript:ONI35516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGWRRAFCTTIPRDPADQTRVSEKQQRSPSPSPRSCTRLGFFSSGSSNPSTPRLQSQPVISTQSSIDDHESPRLLECKTSSSTPKSTRTSFLSSSNPTSPRSPLKLSLFRNSFKFRSNCGICLNSVKTGQGTAIYTAECGHAFHFPCIAAHVRSHDSLVCPVCNCTWKDVPLLAIHKNLNQSRNDVVEPTKPKPREVEKKIIVEASSPRASSKPLYDDDESLFSPTSRIIPIPEADDEDEDATDPFPENDDVEEFQGFFVNPNPSSSDAQINGRDIRTNNVQVRILPESALLSSGRGFDTYVVALRVKAPPPPVFNTSRRVSIDLVTVLDVSGSMSGAKLQMLKRAMRLVISSLGSNDRLSIVAFSATTKRLLPLKRMTAHGQRLARRIVDRLVCGQGTSVGDALRKATKVLEDRRDRNPVASIMLLSDGQDERVKNSAHQRQGSGHVSATRFAHIEIPVHAFGFGETGGYSQEPAEDAFAKCVGGILSVVVQDLRIQLGFDSGSAPAEIAAIYSCNGGPAVHGSASVRLGDLYAEEERELLVELRVPRALARGSHHVMSVRCLYKDPATQEIVYGREQALLVPLADAVRSASGPKIERLRGLFITTRAVAESRRLVEHNDYSSAHHLLASARALLLKSKSASAEEHVRGLEAELAELHWRRQHKIMEEQQQMLMMIQRRRGGGSSEREIAVDENGEPLTPTSAWRAAEKLAKVAMMKKSLNRVSDLHGFENARF >ONI27538 pep chromosome:Prunus_persica_NCBIv2:G1:7132598:7135261:-1 gene:PRUPE_1G093300 transcript:ONI27538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSIISATLLVLLLFVLHLQSEVHSFATTSNHDFSYMKFVYNATPPQLEATYDYIVVGGGTAGCPLAATLSLNYSVLLLERGSVPTAYPSVLREDGFLNNLMQEDDGKTPAQRFMSEDGVPNVRGRVLGGSSMINAGFYSRADREFFLKSGIEWDMDLVNKAYQWVEDSIVFSPNLTQWQSAVKEALLEAGVGPDNGLNVDHIKGTKIGGSTFDNHGRRHGAVELLNRGEPKNLRVAVHATVEKIIFSSNTSSLSAIGIIYSDSNGRSHRAFVRGKGEVILSAGAIGSPQLLLLSGVGPESYLSSLKIPVVRSHPYVGQFMYDNPRNLINILPPFPLEPSAVKIVGITNDFYVETISGLPFSSPPFSIFPNPSNPIKVDSSYGQIVIKFPGPLSYGSLKLQSSSDVRVGPNVRFNYLSNPVDLARCVSGMKKIGDLLRTDAMKPFKAEDLPGIEGFKFFGLSLPKNQTDILSFKIFCQGSVATFWHYQGGSIVGKVVDGGLRVMGINALRVVDTSVFNFSPGTNPQATLMMLGRYVGLTMLQAR >ONI29818 pep chromosome:Prunus_persica_NCBIv2:G1:22840974:22841177:1 gene:PRUPE_1G215500 transcript:ONI29818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCRCLVGSCWLSSCLAFLILRSTCLHASVCTICTRPSNKCRFFIIFLTKNALGVTATLYSTFILGF >ONI30878 pep chromosome:Prunus_persica_NCBIv2:G1:28286370:28294859:-1 gene:PRUPE_1G278800 transcript:ONI30878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFVTQMVPEWQQAYMDYDYLKSLLKEIQRFNQRYKPPPVATTARSRRLTLYRVFSGLMSQSPHSQQPNSTSSTSPLDIESQAILVNSVRRDGSKSYQTTFLMAAEEGGLQELDYFRKVDDEFNKVDKFYRSKVDEVMKEAAVLNKQMDAFIAFRIKVENPQRPFDWSGEMTRLASDVAASTAALAASTPRGVRASRRAAMATDVIEESGSNSQEEHSGDEEKDGKKTENATTQEKKAESLRGARPSPLDILNHVTMNHTVTPVSTIKGFLNVPPQTELKFSRENLKKVEEQLKGAFIEFYRKLRLLKSYSFLNTLAFSKIMKKYDKITSRDTSKPYMNMVDNSYLGSSDGITKLMERVETTFIKYFSNSNRRKGMVVLRPKSKIERHRITFSMGCFAGCTAALTLALILMVRARSINNANNPEFDKGKLQYMDTMFPLYSLFGFIFLHMLMYAGNIYFWRRFRVNYSFIFGFKQGTELGYREVLLLSFGLAVLALASVLSNLDMEMDPKTKDYKALTELLPLFLVLLVVLILLCPFNLIYRSSRYFFLVCLFHCICAPLYKVTLPDFFLADQLTSQVQAIRSLQFYVCYYGWGDYKLRQNTCKSHDVFNTFTFIVACIPYWSRLLQCLRRLVEEKDPMQGYNGLKYFFTIVAVSMRTAYNLESLKNEVNWKILAGVFSIVAAIYGTYWDLVVDWGLLQRNSMNRWLRDKLLIPYKSVYFGAMVLNVLLRFAWLQTVLGFDVSFMHGQTMVAVVASLEIIRRGIWSFFRLENEHLNNVGKYRAFKSVPLPFNYDEDQGKHE >ONI29569 pep chromosome:Prunus_persica_NCBIv2:G1:20052787:20056759:1 gene:PRUPE_1G202300 transcript:ONI29569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLILAKTSSTFPSTSHVPLFFSHYISLILFPLIPPHLHAPVLIPSPPTKSQTLKSSFPRIHWVDYWSAATASFLQPIIRSKTLICIFGLCGLCNSTINFDHYFSGSWCCGGSDISSGGGSGCFVCVGLDFRVFVIWALFVVLGLRYWAFLLLLLRPRFSFVDSCIWAFCNFIW >ONI33228 pep chromosome:Prunus_persica_NCBIv2:G1:35947747:35951027:1 gene:PRUPE_1G411800 transcript:ONI33228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFFHRQSSLPTTTATSPSYSSKLLKPLKPNSAPVPTRSPLPIIVFSLVSLFIGLAGTIFAITALRRPTPIPVFRCGKSEDTFRAFYSLSTSRQLGDKNGGLVDRPKLLGFVGIQTGFGSADRRAALRRTWFPSDPDGLLRLEQATGLAFRFVIGRSKDAKKMAGVQKEIDKYRDFLVIDVNEEYLNLPWKTLAFFKAAFQLFEADYYVKADDDIYLRPDRLATLLAKERTHSQTYIGCMKKGPVITDPKMKWYEKSGHLIGNEYFRHAYGPIYVLSAEVVASLAIARNNSLRMFSNEDVTIGSWMLAMNVNHEDNRALCDPRCTPASIAVWDIPKCSGLCNPASRLKELHAMGMCSKSPTLRPDDR >ONI33382 pep chromosome:Prunus_persica_NCBIv2:G1:36368808:36369846:1 gene:PRUPE_1G420800 transcript:ONI33382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTKEQNKNKFMRIITVPIRALSKAKDFYVRSMTDVAERVSYGNTMGGPGGQFSSSLPKSFSSRSTSGSSEASGDDFSELIRAASARSYGTRIDVDAILKEQQLKRSATTTTMGSKAVLPKCSSVAMGRIDEDGPADFDEHAAGDVKPDLFYPRSRSYAVTKRSVAF >ONI32795 pep chromosome:Prunus_persica_NCBIv2:G1:34604185:34605285:1 gene:PRUPE_1G386200 transcript:ONI32795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFFFSSMKSLIPPSLFPPLFEQLHSLINTIEGFTASERSDGLIESQALTCFKPDLGGRHYRCRNQNTHGT >ONI31075 pep chromosome:Prunus_persica_NCBIv2:G1:28905309:28906082:1 gene:PRUPE_1G290300 transcript:ONI31075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISIIKAEEPRRSPSPLAPSSIPKVSRITQSTPPFNSWVDQAASQLTLTRPAGGFRQLWGSVVLGGRPSCSLGP >ONI35671 pep chromosome:Prunus_persica_NCBIv2:G1:44911368:44915141:-1 gene:PRUPE_1G549400 transcript:ONI35671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPQCCSNPPILSPSYGVDCAEKLGGLNVYAAGSPNSKLAIVLVSDVFGYRAPNLRKLGEKVAAAGFFVVVPNFFYDDPFVYDNNRPLAVWLEDHGTDKGFEDAKSIINALKEKGYSAIGAAGFCWGGKVVTELAKSDFVQAAVLLHPSFVALDDIEGVKVPMAVLGAEIDEYSPLELLKQFEEILAAKTEIDSYVKIFPKAEHSWTVRYNVEDEEAVKRAEEAHNNMIDWFSKHVK >ONI30307 pep chromosome:Prunus_persica_NCBIv2:G1:25667355:25668348:1 gene:PRUPE_1G243100 transcript:ONI30307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSGKSQPAPVDIGLDSETQKWVIAGIPLRAPLKPLYTNPVETERDHGGDDVEECSTTPTGEEARIPTRLTCPPPPRKRKAAASKCNYGAGVREFFTPPDLETVFIRHVERAN >ONI30054 pep chromosome:Prunus_persica_NCBIv2:G1:24168573:24176415:-1 gene:PRUPE_1G228800 transcript:ONI30054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGRRSARSRASSSRDDDDAKPNLRPPRGRGRNHTSIGIFNVDLRVLLGLSVIAFFAILFLIYNLINPIEQAQTPRVVTPFPAPKLMDLPQFQGEHKESLYWGTYRPNVYLGIRARTPRSLIAGLMWISIKDGRYVMRHVCQDSDELSKYGWEQHDGRNFGHQVIVDQDMNLATSFLKSKGEDSGYGGDWAVRIDVQNHKSKGKEELLTSAHLFFYLADEDGNALNIGRDTLEIHENSLLASGSRMDIGSWQLHLKSQDDLEVHYAGFKTPHIHNLSDLVQENLGLQVRKFGRLQLPDTSDDSPNILVFQISARTPFRTDVAFISGTGLESPRLEERVGSHTGTSLTSQLKEKQREFDAKFGKSFNLVDKLDSESIVAGKAAIGNLLGGIGYFFGQSKIAISGNMNPGNHDDSILYWPAELYTAVPSRPFFPRGFLWDEGFHQLLVWRWDIHISLDIIGHWLDLMNIDGWIPREQILGAEALSKVPSDYVVQIPTNGNPPALLLALNDLVHGLKKNKFTAAESDAISSFLERAFVRLEAWFQWFNTTQSGKEVGSYYWHGRDHTTTRELNPKTLTSGLDDYPRASHPSEDERHVDLRCWMLLAADCMHSIAELFEKENKSGKEYGNTVKLLSDFEILNQMHYDDAYGTYLDFGNHTEKVRLVWKETMVGHNYATRELVREVLESPKLRLVPHIGPVSLFPFIARIIPAESRILEKQLDLISNRSILWTKFGLRSLAKTSSLYMKYNTEHDAPYWRGPIWMNMNYMILSSLHHYSRVDGPYREKARTIYEDLRGNLIRNVVQNYHKNGFFREQYDQRNGKGKGARVFNGWTSLVLLIMAEAYSS >ONI32369 pep chromosome:Prunus_persica_NCBIv2:G1:33443907:33445345:-1 gene:PRUPE_1G363700 transcript:ONI32369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPSQSPPRSVPEAETETLQYWCYHCNKRVSIETLANLPDIICHECKNGFVESIPAVSYAQSDPPSESTDQVDDPTFGSPFLQVLRLIAQAAREEDAPPPLPQNPLPEDDFFRIEMDGWDNDEDEDDAHSVEFHNQGGEENEEAGEEVEDEEDRSDNEDEENQEDQQETDEQDVRRRRRDVLRLRIRDIATRARTGRNRILDWAEILMGLEDNSIEFRLEVPESDRYIGNPEDYVDAAGYEALLQTLAESDNAGRRGAPPASKNAVSELPTVKIASEDEALVCAICKDMVNVGEVSKKLPCGHGYHGDCIVPWLSSRNSCPVCRFELPTDDPEYEEQRQKRTVTISADGASGSGGDNSVSH >ONI29067 pep chromosome:Prunus_persica_NCBIv2:G1:15358011:15360451:1 gene:PRUPE_1G178700 transcript:ONI29067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDPLKEFVLPASHNQFRALSLKRQNQRDIKTRKAFFVLGLCCFWVLQQPQHRRLLDSHHLRGPSYCQLRCWLCFVSVASCFSSNKVRSFYDICNLLQRSAGLIIFLGCLAGDFFFVGKF >ONI27011 pep chromosome:Prunus_persica_NCBIv2:G1:4471355:4471762:1 gene:PRUPE_1G062900 transcript:ONI27011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTIILLVFALSITTICEAYSFFVSTDVKIINALGPQTDLNVHCRSKNDDIGLHNLHHEDNFQFHFRPNYWRSTKFYCSFKWSDQFHWFDIFIHNRDDCKHCTWMIKSGGPCRYNDETESFDKCYLWNDKGDSN >ONI32408 pep chromosome:Prunus_persica_NCBIv2:G1:33574701:33576529:-1 gene:PRUPE_1G366300 transcript:ONI32408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFRKLSGCYECHMIVDPSRYPIPRSSVCVCSQCGEIFPKMDSLEHHQAIRHAVSELGVEDSGRNIVEIIFKSSWQKKDIPICKIERILKVHNTQRTIQRFEDCRDAVKNRALGTTRKNPRCAADGNELLRFHCASISCTLGARGSSSLCGSVPGCGVCTIIRHGFQGKAGGEGGKGVRTTASSGRAHDSLSCMDGRRAMLVCRVIAGRVRRIADDAPTEEDALSSLSAPGSYDSVAGFVGIYSNLEELVVYNPRAILPCFVVIYKALES >ONI30790 pep chromosome:Prunus_persica_NCBIv2:G1:27924574:27927937:1 gene:PRUPE_1G272800 transcript:ONI30790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQKKRNFQIEAFKHRVVVDPKYADKTWKVLEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVTTMTSHLKEISKSIEAAQGGMFLEEMNRKWTDHNKALQMIRDILMYMDRTYIPSTQKTPVHELGLNLWRDNIIRSSKIQTRLLNTLLELVLRERTGEVIDRGLMRNIIKMLMDLGPSVYQEDFENPFLEVSAEFYRGESQKFIECCDCGDYLKKAERRLNEELDRVTHYLDARSEAKITNVVEKEMIANHMLRLVHMDNSGLVNMLLDDKYEDLGRMYNLFRRVSNGLSTIREVMTSHLRETGKQLVTDPERLKDPVEFVQRLLDEKDKYDSIIRLSFSNDKTFLNALNSSFEFFINLNNRSPEFISLFVDDKLRKGLKGVSEEDVEIILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTIQGFYASHPELGDGPTLTVQVLTTGSWPTQPSVTCNLPSEMSALCEKFRSYYLGTHTGRRLSWQTNMGTADIKASFGKGQKHELNVSTYQMCVLMLFNNPERLSYKEIEQATEIPAVDLKRCLQSMACVKGKNVLRKEPMSKDIGEDDAFFVNDKFTSKLYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRALDHNNIISEVTKQLQSRFLANPTEIKKRIESLIERDFLERDSIDRKLYRYLA >ONI35875 pep chromosome:Prunus_persica_NCBIv2:G1:45605494:45607487:1 gene:PRUPE_1G558800 transcript:ONI35875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMFYLRINCAADEDPEVDFTQIRRPAADEDREVNFRQLRRYSLHELQVATDTFSHKNILGRGGFGTVYKGRLADGTLVAVKRLKAEKTQGEELQFQTEVGLITMAVHRNLLRLRGFCMTQTERLLVYPYMANGSVASCLRDRTEAQPPLDWEKRKRIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTMGHIAPEYLSTGKSSEKTDVFGYGVMLLELVTGKTAFHLALLANNDDVLLFDWVKGLLKDRRLEAFVDPDLKGYYIDEEVEQLIQVALLCTQGSPGKRLKMSEVVQMLGGDGLAERWEAWQKEEMFDQDFNPIQHASTNWIMDSSSQIPPDVLSGPR >ONI33258 pep chromosome:Prunus_persica_NCBIv2:G1:36012497:36013300:1 gene:PRUPE_1G413200 transcript:ONI33258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILPDSITLKPSILSSTTSLSQTLTRDIRVTAYYQNKTFGLVSLDHRHTTFHQDPKNASIFRNVVFQGWKALVLDEPVLTNAAHLTVTT >ONI31977 pep chromosome:Prunus_persica_NCBIv2:G1:32162952:32166912:-1 gene:PRUPE_1G342700 transcript:ONI31977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGAQPQPQPQQQQQQQQQKPTQQPETTAEEEALKRNTDCVYFLASPLTCKKGSECEYRHSEYARVNPRDCWYWLNGNCLNLKCAFRHPPLDGLLGSPAATSAGPSLPLSHTVATPTTPATHVTYNSSKQAVPCIFFQKGQCLKGDRCAFSHGPNPLTGSKVPQAPATTHGTEPSSLKKVFGGLQKCTQELKVPQVSASKSVGVPLQAKPAPKFQTVPVRNGVSIERNVPSTKALDDDARRYKTTSVPPVSNGDSTSQANHLLQAHVADHHGYQNGKDADEHLRESSPGFDVLVDDELGDSDYYHGEDQFGRTRGHEGRNLNSVNEYDLDRPADYNSMADVDRERFCDPRGYDPYDHMQGQYAWDQHRASSERQLVGPTRLERRGYRKSDSPENIDELDLRHRLSKHRRVNGLRSIVSHDYALDGHVEERKNRPRRDSQQLPSHEGSLSSRLHGRIKLPGGSPPVNGGDLHQEREVDRGRSRGRLSPRRAPISSQQGRLRDRIKGRVEDYNNEERNFGAHRSRREIMDDRSDDFSRPKRLSDLKGGKDGENKEQSYLGKRKNVMDNYQQSEGDISFEGPKPLSEILKRKREAEAAASGSGKSFVNKQGNNQRESRVSDPGDSEFAVAEVKSSLPTVAKEEPKYATEDAVGAEDEKTDVAHGQSSQGHNAGELEEGMIDDEPLEDQEPEAEDQREGDYDYEQGEDGDYNYEEGENADGEEEYMEDEDGDDFAKKIGVMFS >ONI27799 pep chromosome:Prunus_persica_NCBIv2:G1:8469192:8472510:1 gene:PRUPE_1G105200 transcript:ONI27799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSRGLLSRLRLLSLNPTTTSSAAAANANATTSFTQTQYHFFRWFNSEALVDASEVAEERSRVIEAKPGAMSPSSKRTGLIAVKCGMTARWDKWGARVPITVLWVDDNIVSQVKTPEKEGFPALQIGCGQKKAKHLTKSEVGHFRAQGVPLKRKLREFPVTKDALLPVGMSIGVRHFVPGQYVDVTGITRGKGFQGGMKRHGFKGMPASHGASLSHRSIGSTGQRDAPGKVFKGRKMAGRMGGKQRTVKNVWVYKIDPARNLMWVRGQVPGAEGNFVFIRDAFYKKPDISLLPFPTYFAPEDEDATKLETLVADLGEVDPFMVAD >ONI36431 pep chromosome:Prunus_persica_NCBIv2:G1:47455527:47461826:-1 gene:PRUPE_1G584700 transcript:ONI36431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDGFFSVYQNLRHRNSEGFAGMAVAMSYCKPSPFLGNYPTHFHLGKSQSGKVVQAPRISALFWGAKKSAEPKEVEINLSLGEFTLTGSGTLKEGISGVKPEKISLSVVSSISEVSSAEWDACALDATGPEKYNPFLTYAFLSSLEESASAVKETGWIPRHIIAKDESESILGVVPLYLKSHSYGEFVFDHSWADAYYSFGSRYYPKLQCCVPFTPVTGPRILIRDTSFRDQVFDALVSALKDLTAKYRLSSLHITFPSENEWNKLSGKGFLQRIGMQYHWKNRNYKSFDEFLMDMKQSKRKNIRQERKKIVQQNLTMKRLRGDEIKRTVGTIGLTTSLMMSCWQARHWDSFYNFYRNTTDNKWGTPYLTRDFFHNMGSKMGDQVLLVVAEEGDELVAGALNLIGGDALFGRLWGCHPRAYYPSLHFEACYYQAIEAAIELNLNTVEAGAQGEHKIQRGYMPVRTYSCHYLMDEGFRKAIEGFLVRESTQVELVMKLLHDSGPFKEGIE >ONI36430 pep chromosome:Prunus_persica_NCBIv2:G1:47455313:47461826:-1 gene:PRUPE_1G584700 transcript:ONI36430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDGFFSVYQNLRHRNSEGFAGMAVAMSYCKPSPFLGNYPTHFHLGKSQSGKVVQAPRISALFWGAKKSAEPKEVEINLSLGEFTLTGSGTLKEGISGVKPEKISLSVVSSISEVSSAEWDACALDATGPEKYNPFLTYAFLSSLEESASAVKETGWIPRHIIAKDESESILGVVPLYLKSHSYGEFVFDHSWADAYYSFGSRYYPKLQCCVPFTPVTGPRILIRDTSFRDQVFDALVSALKDLTAKYRLSSLHITFPSENEWNKLSGKGFLQRIGMQYHWKNRNYKSFDEFLMDMKQSKRKNIRQERKKIVQQNLTMKRLRGDEIKARHWDSFYNFYRNTTDNKWGTPYLTRDFFHNMGSKMGDQVLLVVAEEGDELVAGALNLIGGDALFGRLWGCHPRAYYPSLHFEACYYQAIEAAIELNLNTVEAGAQGEHKIQRGYMPVRTYSCHYLMDEGFRKAIEGFLVRESTQVELVMKLLHDSGPFKEGIE >ONI26084 pep chromosome:Prunus_persica_NCBIv2:G1:312778:314834:-1 gene:PRUPE_1G002900 transcript:ONI26084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKRYMYLTEEILKENPTMCEYMAPSLDARQDMVVVEIPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGADPIPEIEKPLFEVVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLNEAFQPLGISDWNSLFWIAHPGGPAILDQVESKLGLKPEKLEATRHILSEYGNMSSACVLFILDEVRKRAAEKGLKTTGDGLDWGVLFGFGPGLTVETVVLHSVGLNA >ONI26083 pep chromosome:Prunus_persica_NCBIv2:G1:312661:314932:-1 gene:PRUPE_1G002900 transcript:ONI26083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVEEVRKAQRAEGPATVLAIGTATPPNCVDQATYPDYYFRITNSEHKAELKEKFQRMCDKSMIKKRYMYLTEEILKENPTMCEYMAPSLDARQDMVVVEIPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAVIVGADPIPEIEKPLFEVVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLNEAFQPLGISDWNSLFWIAHPGGPAILDQVESKLGLKPEKLEATRHILSEYGNMSSACVLFILDEVRKRAAEKGLKTTGDGLDWGVLFGFGPGLTVETVVLHSVGLNA >ONI31754 pep chromosome:Prunus_persica_NCBIv2:G1:31263175:31264260:1 gene:PRUPE_1G329000 transcript:ONI31754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNHGKVETDVEIKAPATKIHEVLTHRPHHISNISPNNIQGCDLLEGEWGTVGSVVYWNYFHDGKAKVSKQLIEAIDAEKNLITFKVIEGDLLEHYKSFKMTFHATPKAHGQGSIVHWTMEYEKHHGDIEDPHTLLQFAVDVSKDVDAHLTSAQA >ONI29757 pep chromosome:Prunus_persica_NCBIv2:G1:22673410:22678738:1 gene:PRUPE_1G213300 transcript:ONI29757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPELQPRFFRPYITTSASTSSLSNGSPNPSLSHSPSDSVFNNGGGGPSRSLKNSRFSPSTFAHNARIAVALVPCAAFLIDLGGTPVIATLTLGLMVSYIVDALNFKSGAFFGVWLSLVFSQIAFFFSSSLRATFSSFPLAALAAFLCAETNFLIGVWVSLQFKWIQIENPSIVLALERLLFACLPFAASSLFTWATISAVGMANASYYLMSFSCLFYYLYSIPRISSFKTKQDLKYHGGEVPDENLILTPLESCIHTLYVLFFPLLFHIASHYSIVFSSAAAVSDLFLLFFIPFLFQLYASTRGALWWVTKNPNQLRGIQVMNGAVALVVVVICLEIRVVFHSFGRYIQVPPPLSYLLVTTTMLGGAAGAGAYALGMISDAFSSMAFTALAVVVSVAGAIVVGFPVLFLPLPSIAGFYLARFFTKKSVSSYFAFVVLGSLVVTWFVVHNFWDLNIWMAGMSLKSFCKLVIVNVVLGMSIPGLALLPSKLHFLIEIGLIGHALLVMHIENRFFNYSGIYYYGFEDDVMYPSYMVIVTTFVGLALVKRLSVDRRIGAKAVWILTCLYSAKLAMLLISSKSVVWVSAILLLAVTPPLLLYKDKSRTGSKMKPWQGYAHAGVVTLSVWFCRETIFEALQWWNGRPPSDGLLLGFCIVLTGLACVPIVALHFSHVLSAKRCLVLVVATGLLFILLQPPIPVSWTYRSDLIKAARQTADDISIYGFVAQKPMWPSWLLIVAILLTLAAVTSVIPIKYMVELRVFYSIAMGIALGIYISSEYFLQTAFLHVLIVVTMICASVFVVFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRIKMILGDNGFGDMGEEEKKLTTLFAVEGARTSLLGLYAAIFMLIALEIKFELASLMREKATERTGIRHSQSGQSTSTSFASRMRFMQQRRASTVASFTIKRMSAEGAWMPAVGNVATVMCFAICLILNVNLTGGSNRAIFFLAPILLLLNQDADFVAGFGDKQRYFPVAIVITGYLVLTALYGIWEDIWHGNAGWGLEIGGPDWFFAVKNLALLVLTFPSHILFNKFVWTCTKQTDSMPLITMPLNLPSIIITDVLKIRILGLLGIIYSLAQYLISRQQYISGLKYI >ONI33513 pep chromosome:Prunus_persica_NCBIv2:G1:36866632:36869317:1 gene:PRUPE_1G429900 transcript:ONI33513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMAATFLCLALLFLSQFSLLASSASNNLSLGSSLSVENEADTLTSPNGLFSAGFFPVGKNAYCFGIWYTEPAAPAQNLTVVWIANRDKPVNGKSSKLTLQGNGNLILTDAGKYTIWSSNTVSNSLDRLSLNDNGNLVLQTLKGVALWESFASPTDTLLPQQQLTRNTKLVSSRSLTNYSSGFYSLFFDNDNTLRLLYDGPEVSSIYWHDPWLLSLQARRTPYNNSRVAVLDAMGNFSASDGLIVIAADYGTNLQRRLKADVDGNLRLYSRKQAGDTWVVSWQAIQQPCKIHGICGPNGLCTYDPSSGRKCSCLPGYEMRNKFDWAYGCQPATDGLNLSCKSSDRDQFDFMYLPRVEYYGYDFSIVVNTTLEKCKALCLELCDCPGFHYKFGGGHHNCYPKTQFRNGYRAPGFQGELYLKLPKSILSSYNAATKESNMCSSKLTSQLDRTYEKGKQNGSVKFMVKFASGLGGFEIVCIFVVWYFVARRGEEKEDSDVVTQGYLQAATGFRRFSYSELKKATRGFKEEIGRGGGGIVYKGVLSDQRIVAIKQLNGANQGEAEFLAEVSLIGKLYHMNLIEMWGYCAEGKHRLLVYEYMEHGSLAEKLSSEVLDLKTKFEIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYNPKVADFGLSKLLNRNEVSNSNFSKIRGTRGYMAPEWVHNMPITSKVDVYSYGIVVLEMLTGKSPAESVQAIESGGETQKKMMVTWVKEKMHGAFTKTESRMGADYEQGRLEMLVKVALQCLEEDRDARPTMSQVVEMLLHHENN >ONI29912 pep chromosome:Prunus_persica_NCBIv2:G1:23608013:23610870:1 gene:PRUPE_1G221800 transcript:ONI29912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLTTLSNVGSLPGSRSVSQIRALTPTCTSVTLPTIPLSSNKKATLSSSFLSRSSRLSPLTFSSNPNSQKRASLVSSVRASAEEATLQSKVTHKVYFDISIGNPVGKLAGRIVIGLFGDDVPQTAENFRALCTGDKGFGFKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGQVLEGLDVVRLIESQETDRGDRPTKKVVIADCGELPVA >ONI29914 pep chromosome:Prunus_persica_NCBIv2:G1:23607927:23610981:1 gene:PRUPE_1G221800 transcript:ONI29914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLTTLSNVGSLPGSRSVSQIRALTPTCTSVTLPTIPLSSNKKATLSSSFLSRSSRLSPLTFSSNPNSQKRASLVSSVRASAEEATLQSKVTHKVYFDISIGNPVGKLAGRIVIGLFGDDVPQTAENFRALCTGDKGFGFKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGQVLEGLDVVRLIESQETDRGDRPTKKVVIADCGELPVA >ONI29913 pep chromosome:Prunus_persica_NCBIv2:G1:23608013:23610870:1 gene:PRUPE_1G221800 transcript:ONI29913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLTTLSNVGSLPGSRSVSQIRALTPTCTSVTLPTIPLSSNKKATLSSSFLSRSSRLSPLTFSSNPNSQKRASLVSSVRASAEEATLQSKVTHKVYFDISIGNPVGKLAGRIVIGLFGDDVPQTAENFRALCTGDKGFGFKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGQVLEGLDVVRLIESQETDRGDRPTKKVVIADCGELPVA >ONI30956 pep chromosome:Prunus_persica_NCBIv2:G1:28582432:28583298:-1 gene:PRUPE_1G284100 transcript:ONI30956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSWSNKLPLIFGPRSPLNWLLLCLVRVLALIPVLGSSSSNTFDSLTPTSVPDIYTNYRRLNLAFVAEKENYVPCYNVSANLFVGFKDREEFDWHCEVSRNRERCLVRPPKDYKIPRRWPAGRDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQIAFHSEDGLILYVVEDYSLQIAKMLGLNDDSQFLQAGVSLLCELQL >ONI34878 pep chromosome:Prunus_persica_NCBIv2:G1:41637179:41639575:-1 gene:PRUPE_1G503600 transcript:ONI34878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLKTQRKPNKQIHQKAQKQNQNQNQKPQKTEKPPYWSVVRGLLTCKHLQPLQLQQERKQQLLQQQQQHEQAMEESNAAKKSKKMRCSGSLCNNTKIMHRPEIGSPDVHKKRASMGSSNSNETSSRSMKAPLHELNGVVSTSSSSLSAVSTNNSTVGGGSFRAMPFRRFSGCYECRMVVDPVLGFARDPSLRGSICSCPECGEIFMKAENLELHQAVRHAVSELGPEDTSKNIVEIIFQSSWLKKQTPICKIDRILKVHNTQKTISKFEEYRESIKAKATKLPKKHPRCIADGNELLRFHSTTFVCSLGLNGSSNLCNSIPHCNICSIIKNGFNKFSGDLIEDGILTTATSGRAHDKAVVAAEDNGKRAMLVCRVIAGRVKKNMEDSIGIGPIGMEEYDSVAGAVGVYSNLDELYVFNPKAILPCFVVIYGGF >ONI29125 pep chromosome:Prunus_persica_NCBIv2:G1:16043309:16052482:1 gene:PRUPE_1G182600 transcript:ONI29125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVFDQTVRDIKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAQATRNYHEYQMIMSVIWKRLSDTGKNWRHVYKALIVLEYMVAHGSERVIDDIKEHAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRNTSSPGGMYRPGSYSSTGGYGDKYDDDRYEGRYGGRDEDRNGYGYGRERELGYRDDDRYGKQGDSYSRDGDRYGREYDERNGREGFRDDDYRGRSRSVDDYHDSRSRSSDRERERSLDDDGQYSSRGSGARADDQSQDGRLSRKFSEQNIGAPPSYEEVVSESRSPVHSERGGETPSASAPRASSPPTSTNPGQATSAQVASASPVKQEVEPSDEFFDPRGSVSGVPFGSVSAAQTAQAAPAAQTAQVASAAPATSNNVEIDLLGSLSDSFSSNALAIVPTTSPTTTFEPDAHANSGSATTFVATPSASNVMSQSFDDPFGDSPFRALPSSETVQPQPQTSTPTDSFPPTMNQGAANFPFGDSFSAVTYSAPGVSSVQTPPTNSQFLPQEQSAEHNTDILADILPPPGPSPVMTSQPPFSGPTGQPSQPNANMYGNFHAQPGAIVPHNQTGFAGQNSSGAFSPQGGPTAPITSHVAPQTPTGPIAQFNSGNFISQQGGFSAPNSGNFFPQQGGSTSPITSYMAPQTHTGPAAQLNGGNFHPQQGSVGPVASQAVHQAPTGPGLQHNSDILGNLFPQTGPNTSIGSHQALPSSTGALSIVAQPPKDKFEPKSAVWADTLSRGLVNFNISGAKINPLNDIGIDFDSINRKEKRMEKQPATPAASTVTMGKAMGSGSGIGRAGASVLRGQPNPMIGSGMGMGMGPGPAMGMGGGPGGGMGMGGYGGMNQPMGMGMGMGMGNMGMGMNMGMGMQGPTGLPPGSNMPAGYNPMMGAGGYPQQPYGGGYR >ONI29126 pep chromosome:Prunus_persica_NCBIv2:G1:16043309:16052482:1 gene:PRUPE_1G182600 transcript:ONI29126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVFDQTVRDIKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADIAQATRNYHEYQMIMSVIWKRLSDTGKNWRHVYKALIVLEYMVAHGSERVIDDIKEHAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRNTSSPGGMYRPGSYSSTGGYGDKYDDDRYEGRYGGRDEDRNGYGYGRERELGYRDDDRYGKQGDSYSRDGDRYGREYDERNGREGFRDDDYRGRSRSVDDYHDSRSRSSDRERERSLDDDGQYSSRGSGARADDQSQDGRLSRKFSEQNIGAPPSYEEVVSESRSPVHSERGGETPSASAPRASSPPTSTNPGQATSAQVASASPVKQEVEPSDEFFDPRGSVSGVPFGSVSAAQTAQVASAAPATSNNVEIDLLGSLSDSFSSNALAIVPTTSPTTTFEPDAHANSGSATTFVATPSASNVMSQSFDDPFGDSPFRALPSSETVQPQPQTSTPTDSFPPTMNQGAANFPFGDSFSAVTYSAPGVSSVQTPPTNSQFLPQEQSAEHNTDILADILPPPGPSPVMTSQPPFSGPTGQPSQPNANMYGNFHAQPGAIVPHNQTGFAGQNSSGAFSPQGGPTAPITSHVAPQTPTGPIAQFNSGNFISQQGGFSAPNSGNFFPQQGGSTSPITSYMAPQTHTGPAAQLNGGNFHPQQGSVGPVASQAVHQAPTGPGLQHNSDILGNLFPQTGPNTSIGSHQALPSSTGALSIVAQPPKDKFEPKSAVWADTLSRGLVNFNISGAKINPLNDIGIDFDSINRKEKRMEKQPATPAASTVTMGKAMGSGSGIGRAGASVLRGQPNPMIGSGMGMGMGPGPAMGMGGGPGGGMGMGGYGGMNQPMGMGMGMGMGNMGMGMNMGMGMQGPTGLPPGSNMPAGYNPMMGAGGYPQQPYGGGYR >ONI28919 pep chromosome:Prunus_persica_NCBIv2:G1:13783223:13784329:-1 gene:PRUPE_1G168900 transcript:ONI28919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNKVKLAWIVNDAARKSSFRMRKACLLKKMSEINTLCDVSAFIIVYGPDSDEPTVWPDRPLVEQLVARFQSIPELERWKKMMSQETYLKDRVAKMQEQIKKIFKKNNELNTNEILYQSIQKGKSLLAFEDNDLTDLVLSLEDRMKEIQKRIDYFEKPNPSHPVRIPPEESGDQSENMSQIEGDFTESLLWYEDLGKQIGNTNGPTNSVRSDRGVPSTAYFGSLTHENEMGLLNWNFGGSNYDGSDLGLAMQIDNSEGQGNAGSDMGMSMVNYGGITSGIEMGFGMFPYNWNNVAGSTEDNGLATGGSMADKGSAGSRIDFPPRFLGGNKDGSDSGLPPGLFGGSIAGSVGLPYDVSKSGQNLFSSP >ONI32806 pep chromosome:Prunus_persica_NCBIv2:G1:34632017:34634447:-1 gene:PRUPE_1G386800 transcript:ONI32806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKYGPLFTIRLGVYPSLVISSSEIAKECFTTNDLVLNSRPKLAVVDHIGYNGAMFAFAPYGHFWREMRKITTLELLSNRRLELLRRIRVSEVTTFLQELYKTWSTEEKRKSNNSDGVLVELKQWFGDMTLNVILRMVAGKRYSVAADEDEKKEARRVQSALKVFFYYVGVSVVGDVVPYLRWLDLGGHEKAMKKVAKELDAIVGGWVEEHKRRRARGDAKGEQDFIDAMLSVLDGADLGGFDADTVNKATSLNMIAGGSDTTMVTLTWAISLLLNNPHVLKRAQNELDTEIGRQRVVSESDIGKLVYLQAIVKETLRLYPAAPLSAPREFTEDCTIGGYHVSKGTRLITNLWKIQTDPRIWPAPLEFKPERFLTTHRDVDVKGLHFELIPFGSGRRSCPGLAFGLQMVQFTLASFVHAFEISNPSSAPIDMTESFGLINVKATPLQVLIKPRLPSQLYR >ONI32805 pep chromosome:Prunus_persica_NCBIv2:G1:34632017:34634286:-1 gene:PRUPE_1G386800 transcript:ONI32805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLPYVNSITAFLFTIIIVSYYFSRRWRPAKLAPTEAKGAWPIFGHLPLLGGSTPPHIKLAAMADKYGPLFTIRLGVYPSLVISSSEIAKECFTTNDLVLNSRPKLAVVDHIGYNGAMFAFAPYGHFWREMRKITTLELLSNRRLELLRRIRVSEVTTFLQELYKTWSTEEKRKSNNSDGVLVELKQWFGDMTLNVILRMVAGKRYSVAADEDEKKEARRVQSALKVFFYYVGVSVVGDVVPYLRWLDLGGHEKAMKKVAKELDAIVGGWVEEHKRRRARGDAKGEQDFIDAMLSVLDGADLGGFDADTVNKATSLNMIAGGSDTTMVTLTWAISLLLNNPHVLKRAQNELDTEIGRQRVVSESDIGKLVYLQAIVKETLRLYPAAPLSAPREFTEDCTIGGYHVSKGTRLITNLWKIQTDPRIWPAPLEFKPERFLTTHRDVDVKGLHFELIPFGSGRRSCPGLAFGLQMVQFTLASFVHAFEISNPSSAPIDMTESFGLINVKATPLQVLIKPRLPSQLYR >ONI31230 pep chromosome:Prunus_persica_NCBIv2:G1:29478853:29483910:1 gene:PRUPE_1G299700 transcript:ONI31230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPFVSFFLFIVVAALDVILSSKPPAAAAASAAAANYVINLRNYHQIELARVVGPESIAFDCHGQGPYVGVSDGTILKWQGPRLGWIEFAFTSPNRPRKLCDGSTNATNEPICGRPLGLKFNPKTCDLYIADAYFGLLKTGPTGGKPEVLASSLGGVPFMFTNALDVDEETGIVYFTDTSTVFQRRVWMQSILDGDKTGRLLKYDPCTKEVTVLLHDLAFANGVALSKDKSFILVAESAPFTIHRLWLRCPKAPAFEIFAQLERPPDNIKSNNRGEFWVALNSGRGVKEVVNIETSDKWLNVNDPVAAKFDEQGTVVLVLDGEGGPALESVSEVEEQNGNLWVGSVVKPYVGVVKFQA >ONI28723 pep chromosome:Prunus_persica_NCBIv2:G1:12515733:12516445:1 gene:PRUPE_1G157600 transcript:ONI28723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLTYIFGELGMESLLSDVPLVCKSWYRASLDPSCWECLIFQEIETSYFGFPVETENWRSVPLLQRFVDEYQIDESRFSVTAFIKFVANRSRGHAFCTHATFMCFRNSLEICFRCWKHLEFLILGSTHRLEEILSRISIHCKDLCALHLGNANIGKDEATAIVSFLPKIKSLFLRRKAEIGRDAHALMAL >ONI35230 pep chromosome:Prunus_persica_NCBIv2:G1:42962327:42966318:1 gene:PRUPE_1G524000 transcript:ONI35230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMERTSTSFPSSTPQWKYDVFLSFKGEDTWKSFTDHLYTALEHHGILTFKDDPELQKGKAISPEIFTAIQDSRFALIVLSKNYAASTWCLDELLKILECMEARETVLPIFYEVNPSDVRKQTGNFTEAFTKHEENFRNDLQKVQRWREALTKVANLSGWDSKDWYESKLIKNIVELVWKNLRPTLSSDEKDLVGMDSRLKEINLFLDGRVEDVCFFGIWGMGGIGKTTIARVLYERISHEFEFSIFLANVRNNFVQSGLSHLQKQLLSKIGIEKEYIWDIGEGVKLIKRFLRHRKVLLVLDDVNHLDQLEYLAGNREWFGFGSRVLITTRDEHLLITHGVDRTYEVQGLSDHEALQLLSWKAFKRDYPEQSYVDLCNCVVDYVRGLPLAVKVLGSFLHGRDLSAWKSALDKLREVCNLDILETLKISYDGLDYDEKKIFLDIACFFNWKGKDRVRETLDACGFYADIGIYVLVEKSLLTNSDGILWMHDLIQEMGREIVRRESPDDLGRQSRLWRRKDVDQVLSENTVRDSSTGLTLDFIYTIEGIMVHPFELELVTANARSFSMMNKLRYLKLNNVDLSNGLEYLPDSLRILEWPKFPLKYLPSSFNPEDLIELNMHHSCLNHIKPIKSLKMIDLSHSLSLVKTPDFRGIPVLERLILKGCIRLYEIDSSVVVLKRLTLMNLKDCKNLIRLPSSVRGLKSLKVLNVSGCSKLEKLPEDLGHVEGLEELDVSGTAVREPPSSIGLLKDLKVLSFNGCKGPSSKAWNIMLFPFRPRPLLKVSPNATALWLPSLSGFRSLTELDLSDCNLLEGDIPSDLSHMSSLKFLYLSGNPFASLPSSIAQLSQLESLAVGNCPKLQALPDLPSSMSSVEAYNCNSLGTSSADIVKFLRSGFKFTGSQCDFVVPGNEIPEWFNHKSAGSSITVELRPGWFSDKWMGFALCAVFGQLRPDFILCELSVNGKRLEEKVALSCWLGSIQAAAKSGHLWLCYLSRHKNFVSEWQNIHTRLEFSFPSLRGRVEVEKCAVCLVYEEDVEVPLKQTYPRQSRVDICEEVLEFPESSFEKADGIAKRGCEYFDVVAGPSQTQSQGERDRTTSIDEERDPKRSKQH >ONI32295 pep chromosome:Prunus_persica_NCBIv2:G1:33182804:33189216:-1 gene:PRUPE_1G359100 transcript:ONI32295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSGGGLGHLFMAIFLHNFATFMVIPAITDVTMSALCPGRDECSIAIYLTGFQQAIVGLGTLVMMPLVGNLSDKCGRKALLTVPMILTIIPLGILGYSRNRTFFYIYFVAKTLTAMICEGSVHCLALAYVADNVAEERRASTFGVLSGIGSSAFVCGTLFTRFLSTSSTFQVATSVAVVSAMYLRIFLPDSNIDKKLSAPLLSDEKPKIADSDESSRAQILQPAKTLPSLHDLISLLKTSATFSQAAIVAFFSNLADVGLHASMMYYLKARFHFNKDQFADLMVISGVAGTISQLLLMPLLAPALGEEKLLSIGLFFSCAHMFLYGVAWSFWVPYAAAMFSIFYVFATPCMRSIVSKQVGPSEQGKAQGCISGICSFANVISPIAFSPLTALFLSEKAPFNFPGFSLICVGFAAMIAFIQSVMIRAVPPVSSQRVSSHCTHMEP >ONI28160 pep chromosome:Prunus_persica_NCBIv2:G1:9999861:10000607:1 gene:PRUPE_1G127500 transcript:ONI28160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYSDESTSVIPPPRLFKALVLEADTLIPKIAPQSVKSAEIVEGDGGVGTIKKISFGEGSHYSYVKHRIDGLDKDNFVYSYTLVEGDALSDKVEKISYEIKLVASADGGSIIKSSSNYHTAGDVEIKEEDVKAGKEKATGLFKLIENYLVANPDAYN >ONI33590 pep chromosome:Prunus_persica_NCBIv2:G1:37142086:37147800:-1 gene:PRUPE_1G434500 transcript:ONI33590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNMNFKGFGNDPPGINPLARQSSIYSLTFEELQNTIGGSGKDFGSMNMDELLKSIWTAEETQIMAPSGGGAGGQNGLGLGGGSLQRQGSLTLPRTLSQKTVDEVWKNISKEGTGPGGSNMPQRQQTLGEMTLEEFLVKAGVVREEAQLAPKPANNGAGFFGDLSRFGNTGGNLDFEFQQTNRGVGVMGNRVSETNSQVPNQASNLPLNANGVRSNQQQQQLPQQQQIFPKQQPVTYNTSPLPMGPNAQLGSPGMRGGIMGIGDQGLNGTLVQSSGMGMVGLGAAGAVRVATGSPANQLSSDGIGKSNGTDTSSVSPVPYVFNGGFRGRKGGGPVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELQKKQAEMMEMQKNQDMEIINLQRGKKRCLRRTQTGPW >ONI32974 pep chromosome:Prunus_persica_NCBIv2:G1:35182278:35184861:-1 gene:PRUPE_1G397100 transcript:ONI32974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPSSFVSSPIQSNMALFHPFLSHSTSLHHLPKTPRQPQPLKLLLSLSSPPHPLRPLIPTRKQLSTIKCSAVADIKPPAPPLDEHQEEEEDHKVLVGPTSEADRRGERVVVDYDWTEEWYPLYLTQDIPDDAPLGLTVFDKQLVLYKDGSGELQCYQDRCPHRLAKLSEGQLIDGRLECLYHGWQFEAQGKCVKIPQLPADAKIPKSACLKTYEVRDSQGVVWVWMSQNTPPNPSKIPWFENFARPGFQDTSTTHELPYDHSILLENLMDPAHVPISHDRTDWSAKREDAQPLRFEVTERSDRGFAGWWGKTSDPSAKSFLRFEAPCSLQNNREIVDKDGEKHYFTGLFLCRPTGQGKSMLIVRFGGTKRSPLAKLFPVWYFHQNAGKVFEQDMGFLSSQNEVLMKEKVPTKELYLNLKSSDTWVAEYRRWMDKVGHGMPYHFGHSTISLPKEPAVVEHAPAGLVAGVSASLPAKGGIGSMHAPNLANRYFRHVVHCKICRNVVKAFQAWQKALTAIAVASTALAILVSGRQWKALLLVSAAITSAGVYACSAAIALNTTNFIRTHRRL >ONI30812 pep chromosome:Prunus_persica_NCBIv2:G1:28058395:28059444:-1 gene:PRUPE_1G274700 transcript:ONI30812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTSANHLTPTATTIKTPDTDTEPPSPSEPKSISNRSLSFPNGSLKPHHPTIPPPQPQPPPTMAVAYKECLKNHAASLGGHALDGCGEFMPSPSSNPMDPTSLKCAACGCHRNFHRRDQHRPKTVLSHHRLLLPAPPTHHHHHSSSPSPTQSPVSSQGPTLSPQSPPPVSHLPPSYFASPPQMLLALSNGVSGPSDGHHHGLHPTTMKAEKYPNEKKRSRTKFSQEQKEKMNLFAEKVGWRMQRSDERLVEDFCNEVGVRRGVFKVWMHNNKHGLKKRSERSGDGGERMIISNVKYETSNGDDEGCGRVGFDSIVNAQTTSYNVNATPQNGGTRASFHLSNNGSSSSS >ONI35306 pep chromosome:Prunus_persica_NCBIv2:G1:43262316:43266599:1 gene:PRUPE_1G528800 transcript:ONI35306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLLSESSQQERSPFVVIPIVGMGGLGKTAFAQLVYNDDENIKTHFDTRIWVCVSDPFEEIKIARAIAQALNKDDNRINSTSLQPLLECINEYISGKKLFLVLDDVWNPTIDNWEPLMGALQNSAIGSRILVTTRKETVAAVMGATADHVIHLNILSDQDCLQLFNKIAFFDRERDDQLEVIGRKIVKKCNGLPLAAKTLASLMRYKKNRQEWVDVLDSKIWDLKEIEQQVFRSLFLSYYELTPAIRRCLLYCATFPKDYEFNKHELIQLWMSNNYLSTEGDKEKETLRGQSYFDNLVMRSFFQDFDKDDEDNIESCKMHDIVHDFVQFLTKHECIVMEVGCDNERNKVLNDKVRHLCLTSISDGSFPVSIYSCNRLRTLLASRLRLSALSLDIVLQLKCLRTLDLSYNLITDVSKEIGVLIHLRYLNLSWNLKLEELPDTVGNLYNLQTLVLENCRALKTLPVTTRKLINLKHLNLEDCDSLKVPKEIGRLKNLETLHGLILYDDVDENKGLIKLGDLGNLDQLRDIWICNLKSVQDVSEVNKALFVNKKNLNTLLLNFDQSMDASESEEGELQREMDEKILNAFQPHTNLERLVIGNYHGLTLCLNWMMSLHSLKMLVVGFLPFCELLPPLGKLPSLEYLTIDDMKSLKKLGIDFLGIDDQLETPTILFPKLKKLRFESMVKWEDWEGVEGHREGEDSEIKLMPSLSTLTIKSCPNLKAVPDFLWKTPLQKLVISECENILQGCETASGREWDKVSHIQNIKINSKYVQKDGVRMEPETTEIS >ONI35304 pep chromosome:Prunus_persica_NCBIv2:G1:43262316:43266599:1 gene:PRUPE_1G528800 transcript:ONI35304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALVSVVLEQLVSIARKQIGEEVRLVVNVDREVENLIFHFNAIQAVLQDAEERQVKEARVRNWLRSLEDVSYDINDLLDEWNTEILKHQIEQEEKEGVALTKRKKVCLFIPSPSVCCGRGPIDKVVRRHDIAKRIKETHEKLTDIANSKQNYNFHENTIRATEKLERAETSSFVEVSSIIGREEEKKRLMSMLLSESSQQERSPFVVIPIVGMGGLGKTAFAQLVYNDDENIKTHFDTRIWVCVSDPFEEIKIARAIAQALNKDDNRINSTSLQPLLECINEYISGKKLFLVLDDVWNPTIDNWEPLMGALQNSAIGSRILVTTRKETVAAVMGATADHVIHLNILSDQDCLQLFNKIAFFDRERDDQLEVIGRKIVKKCNGLPLAAKTLASLMRYKKNRQEWVDVLDSKIWDLKEIEQQVFRSLFLSYYELTPAIRRCLLYCATFPKDYEFNKHELIQLWMSNNYLSTEGDKEKETLRGQSYFDNLVMRSFFQDFDKDDEDNIESCKMHDIVHDFVQFLTKHECIVMEVGCDNERNKVLNDKVRHLCLTSISDGSFPVSIYSCNRLRTLLASRLRLSALSLDIVLQLKCLRTLDLSYNLITDVSKEIGVLIHLRYLNLSWNLKLEELPDTVGNLYNLQTLVLENCRALKTLPVTTRKLINLKHLNLEDCDSLKVPKEIGRLKNLETLHGLILYDDVDENKGLIKLGDLGNLDQLRDIWICNLKSVQDVSEVNKALFVNKKNLNTLLLNFDQSMDASESEEGELQREMDEKILNAFQPHTNLERLVIGNYHGLTLCLNWMMSLHSLKMLVVGFLPFCELLPPLGKLPSLEYLTIDDMKSLKKLGIDFLGIDDQLETPTILFPKLKKLRFESMVKWEDWEGVEGHREGEDSEIKLMPSLSTLTIKSCPNLKAVPDFLWKTPLQKLVISECENILQGCETASGREWDKVSHIQNIKINSKYVQKDGVRMEPETTEIS >ONI35305 pep chromosome:Prunus_persica_NCBIv2:G1:43262315:43266633:1 gene:PRUPE_1G528800 transcript:ONI35305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALVSVVLEQLVSIARKQIGEEVRLVVNVDREVENLIFHFNAIQAVLQDAEERQVKEARVRNWLRSLEDVSYDINDLLDEWNTEILKHQIEQEEKEGVALTKRKKVCLFIPSPSVCCGRGPIDKVVRRHDIAKRIKETHEKLTDIANSKQNYNFHENTIRATEKLERAETSSFVEVSSIIGREEEKKRLMSMLLSESSQQERSPFVVIPIVGMGGLGKTAFAQLVYNDDENIKTHFDTRIWVCVSDPFEEIKIARAIAQALNKDDNRINSTSLQPLLECINEYISGKKLFLVLDDVWNPTIDNWEPLMGALQNSAIGSRILVTTRKETVAAVMGATADHVIHLNILSDQDCLQLFNKIAFFDRERDDQLEVIGRKIVKKCNGLPLAAKTLASLMRYKKNRQEWVDVLDSKIWDLKEIEQQVFRSLFLSYYELTPAIRRCLLYCATFPKDYEFNKHELIQLWMSNNYLSTEGDKEKETLRGQSYFDNLVMRSFFQDFDKDDEDNIESCKMHDIVHDFVQFLTKHECIVMEVGCDNERNKVLNDKVRHLCLTSISDGSFPVSIYSCNRLRTLLASRLRLSALSLDIVLQLKCLRTLDLSYNLITDVSKEIGVLIHLRYLNLSWNLKLEELPDTVGNLYNLQTLVLENCRALKTLPVTTRKLINLKHLNLEDCDSLKVPKEIGRLKNLETLHGLILYDDVDENKGLIKLGDLGNLDQLRDIWICNLKSVQDVSEVNKALFVNKKNLNTLLLNFDQSMDASESEEGELQREMDEKILNAFQPHTNLERLVIGNYHGLTLCLNWMMSLHSLKMLVVGFLPFCELLPPLGKLPSLEYLTIDDMKSLKKLGIDFLGIDDQLETPTILFPKLKKLRFESMVKWEDWEGVEGHREGEDSEIKLMPSLSTLTIKSCPNLKAVPDFLWKTPLQKLVISECENILQGCETASGREWDKVSHIQNIKINSKYVQKDGVRMEPETTEIS >ONI29241 pep chromosome:Prunus_persica_NCBIv2:G1:17437835:17439052:1 gene:PRUPE_1G189200 transcript:ONI29241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYFYFFSAYGLKEVGDIPLVEALSMFLIVLGHGFTNRKVQERFQHSGDTVSRWFGIILDVVCRMASDIISPQNPKFRRVPDKIKADYRYWPYFKDCIGAIDVHIYQKGITTQNILAVCDFDMCFTFVWAGWEGKYYLVDAGYPQIKGYLGPYKGKRYHLPDFRRGSQPREKKEIFNYRHSSLRCTIERTFGVWKNRCRMIRQMHNFPMEKQTQKIVASRALHNFIRCHSMTYQEFQPYDDNYIIFLRDNIINSDIIKSNSIPTKP >ONI26686 pep chromosome:Prunus_persica_NCBIv2:G1:2826042:2826875:-1 gene:PRUPE_1G039600 transcript:ONI26686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSRPDASMSRRVLAKKLGCAKKSWKSFTNKLKSKLHKLNIPKAVETTTRHLRALRSKFHFLIPSKLRALTKASSTFPRDQFYNHHYEAFESHMGHALGRSSYQYHNKVVHNKNSAAIHIDELFAEPAAVYEDTKKNQHSGEQAETSKGKQVVEDNGDGKAVARDKKSLYSVEDAWQAVVAKSPQLRVVDERAEEFITKFRQDMKLQKEKSLLEFQEMLARST >ONI30593 pep chromosome:Prunus_persica_NCBIv2:G1:26961662:26962928:-1 gene:PRUPE_1G260700 transcript:ONI30593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGHDQDLDQNHAHSAGSCVEKKLRLFGFELSPSKNEDSSIKGFAEGDESVNSSNSISYGREVHMNKPASNEKSSTSEADEKKFECQYCFKEFANSQALGGHQNAHKKERMKKKRLQLQARKASINYYLQPFQTNNLGNGLAFHHGSSPSSWFYDPSSYVINPDQFTLHEESQISFNPFDQNGSSGSQVSNWYALPPQFSSQTDEQDASNNKFTLTQTDRSGESRPVVFKPSPLSASTQSCKPLDLQLGLGLPSKIRRSSRSEV >ONI27533 pep chromosome:Prunus_persica_NCBIv2:G1:7048623:7051219:-1 gene:PRUPE_1G092800 transcript:ONI27533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIHSLMLQDTMHANSMNETLCPCKNCQNLFWHSLEVVNYVHLLKFGMSVTYDIWVYHGEYMTGRGLIPPVQVRTNEVNSNLSNMFDDVFHRTDGDKELMEQ >ONI32634 pep chromosome:Prunus_persica_NCBIv2:G1:34168773:34172431:1 gene:PRUPE_1G377700 transcript:ONI32634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKKIFHELLELRDDMGKKSRRAERKHTRRRAKSHIAPEQSLSSLPSPELVQQSQWANLPPELLLDIIQRLEASETSWPARRDVVACASVCRSWREITKEIVKTPEQCGWLTFPISLKQPGPRDAPIQCFIKRERETSTYRLYLGLSPALSGDMSKLLLAARKIRRATSTGFVISLVADEFSRASNTYVGKLRSNFLGSKFTIYDSQPPQNPAIQTNCRSHRRINSKQVSPRVPAGCFSVASVSYELNILRTRGPRRMQCTMQSIPITAVQEGGFAPTPTELSTCLNQKCSPLSTSQGKKPLANLGSSSTTLDLEHGMKDPLILKNKSPRWHEQLQCWCLNFKGRVTVASVKNFQLVASAEPCQNVSLAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >ONI32633 pep chromosome:Prunus_persica_NCBIv2:G1:34168773:34172431:1 gene:PRUPE_1G377700 transcript:ONI32633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKKIFHELLELRDDMGKKSRRAERKHTRRRAKSHIAPEQSLSSLPSPELVQQSQWANLPPELLLDIIQRLEASETSWPARRDVVACASVCRSWREITKEIVKTPEQCGWLTFPISLKQPGPRDAPIQCFIKRERETSTYRLYLGLSPALSGDMSKLLLAARKIRRATSTGFVISLVADEFSRASNTYVGKLRSNFLGSKFTIYDSQPPQNPAIQTNCRSHRRINSKQVSPRVPAGCFSVASVSYELNILRTRGPRRMQCTMQSIPITAVQEGGFAPTPTELSTCLNQKCSPLSTSQGKKPLANLGSSSTTLDLEHGMKDPLILKNKSPRWHEQLQCWCLNFKGRVTVASVKNFQLVASAEPCQNVSLAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >ONI32629 pep chromosome:Prunus_persica_NCBIv2:G1:34153041:34154895:-1 gene:PRUPE_1G377300 transcript:ONI32629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSKVLIIGGTGYIGKFIVEASAKAGHPTFALVREATANDPAKSTLIRNFNNLGVTLLYGDLYDHESLVKAIKQVDVVISTVGHLVLADQTKIIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKSAFAIKVQIRRAIEAEGIPYTYVSSNCFAGYFLPTLAQPGVSSPPRDKVIILGDGNPKAVFNKEEDIGTYTIRAVDDPRTLNKIVYIKPPGNIYSFNELVALWEKKIGKVLEKVYVPEDKLLQDIQEAPIPINVILAINHSVFVKGDHTNFEIEPSFGVEASELYPDVKYTPVEDYLDQFV >ONI35668 pep chromosome:Prunus_persica_NCBIv2:G1:44903608:44905849:1 gene:PRUPE_1G549200 transcript:ONI35668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAITVKPPSSQSPLFRYVSQNPALFLSRLICSGSSINDDVETIFRIVSCSNQSRNLKQALKSSGVFLSNDLIDKVLKRVRFSHGNPLQALEFFNYTGNRRGFYHSSFSLDTMLYILGRSRMFDKTWEVLVDIKCKDRNLITPRTVMIVLARIAKVCSVRQTVESFRKFKKLVPEFDTTCFNSLLRTLCQEKSMTDARNVYHSLKHNFTPNLQTFNILLSGWKSSEEAEGFFKEMREMGVEPDIVSYNCLVDVYCKSIEIDKAYKVVEQMRDENISPDVFTYTSIIGGLGLVGQPDKARDVLKEMKEFGCYPDVAAYNAAIRNFCIAKRLGDAYGLMDAMMSKGLSPNATTYNLFFRVFFWSNDLQSSWGLYGRMMHTGCLPNTQSCMFLIRLFKRQEKVDMALQLWNDMVEKGFGSYILVSDVLFDLLCDLGKLMEAERCFLQMMEKGHKPSNVSFRRIKVLMELANKHEALKNLTEKMAVFGSSIYLPGSTKSSAETSRLESLPI >ONI29013 pep chromosome:Prunus_persica_NCBIv2:G1:14789081:14798665:-1 gene:PRUPE_1G175500 transcript:ONI29013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVRRIKLGSQGLEVSAQGLGCMGMSGFYDAPKPDADMISLIHHAIYSGVTFLDTSDFYGPFTNEIMLGKALKGGVREKVELATKFGIYLADKKRAVRGDPAYVRAAAEDSLKRLGVDSVDLYYQHRIDTTVPIEVTAGELKKLVEEGKVKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEAEIVPTCRELGIGIVAYSPLGRGFFSSGAKFVENLSHDDSRKDHPRFQPENVEHNKALFERVSDLAARKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNIGALSVKLTPEELAELESFASADAVKGGRYQNDFSTWKNSETPPVSSWKAT >ONI35115 pep chromosome:Prunus_persica_NCBIv2:G1:42506394:42509994:1 gene:PRUPE_1G516900 transcript:ONI35115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDGSKAAKSAIFTNPSSSHSHSNPSNSPTPQSKHTLTKTTKATAHKTQNLFNPIPTHKMDDPNSSLFIIGGLFLEPTVSNSLLNSVVSFRKSSSAVVSGSGSSYGFRLRAVAGGGFLSVSLKSDGVVRDSKGCLVQNGDEGSEEAVVEETRTEKVGIRGGRAMNTTKHLWAGAIAAMVSRTFVAPLERLKLEYIVRGEQRHLFELVKSIAVSQGLRGFWKGNLVNILRTAPFKAINFYAYDTYRKQLLRFSGNKETTNFERFVAGAAAGITATILCLPLDTIRTKLVAPGGEVLGGVIGAFHHMIQTEGFFSLYKGLVPSIASMAPSGAVFYGVYDILKSAYLHSPDGRKRIQKMSQQGLGLNAFDQLELGPVRTLLYGAIAGACAEAATYPFEVVRRQLQLQVQATKMSALATCMKIVEHGGVPALYAGLVPSLLQVLPSAAISYFVYEFMKIVLKVE >ONI35171 pep chromosome:Prunus_persica_NCBIv2:G1:42691434:42693619:-1 gene:PRUPE_1G520200 transcript:ONI35171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNCLIEKPHAVCIPFPAQGHINPMLKLAKLLHYKGFHITFVNTEFNHKRLLKSRGPNSLDGFPSFRFETIPDGLPPTDANATQDIPSLCDSTRKRGLPYFRDLLSKLNSSPDCPPVSCVVSDGAMGFTLDAAQELGLPEVLFWTTSACGFLAYVHYHRLIEKGLTPLKDASYLTNGYLETEIDWIPGMRGIRLKDIPSFIRTTDPDDIMLDFVVHETERTRKASAVIFNTFHDFEHEVLNALSTLLPPIYSIGPLHLQINQIPADSELKEIRSNLWTEEPECLEWLDSKEPNSVVYVNFGSITVMTAEQLIEFAWGLANSNQTFFWVIRPDLVGGDSAVVPPEFVEETKERSLLANWCPQEQVLSHPAVGGFLTHSGWNSTIESVCAGVPMICWPFFAEQQTNCRYSEKEWAIGMEIESDVKRNYVEGLVRKLMEGDEGKEMRKKALEWKKLATEAISPNGSSFVGLDKMVNQVLLSP >ONI35490 pep chromosome:Prunus_persica_NCBIv2:G1:44069509:44073686:-1 gene:PRUPE_1G539200 transcript:ONI35490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDDVSPVEEVRLTVSNDDDPTLPVWTFRMWALGLLSCVLLSFLNTFFAYRTEPLVISMISVQVATLPIGRFMARTLPTKKFRVGLREFSLNPGPFNMKEHVLISIFANAGAGFGSGAAYAISIVNIIKAFYHRNISFWASWILVITTQVLGYGWAGILRKYVVDPAEMWWPSSLVQVSLFRALHEKDSKRMSRGKFFLIALICSFSWFVVPGYLFPTLSTISWVCWVYPNSVTAQQIGSGMRGLGLGAFSLDWTVIASYLGSPLISPFFAIVNVAAGYLLVMYVGLPIAYWGVNLYSAKNFPIFSSHLFDHRGQIYNVSAIVNDKFEIDMDSYDKQGRINLSVFFALTYGIGFAAVVSTLTHVALFNGREIYKQYRRASHSGKVDIHTRLMKKYKDIPKWWFHLMLLLSLVLSLALCVFMKDEVQMPWWGLIFAAGLALIFTLPISIITATTNQSPGLNVITEYIMGLILPGKPIANVCFKTYGYISMSQAISFLNDFKLGHYMKIPPISMFIVQCIGTLIAGTVNLGVAWWLLSTIENICQDQLLPPNSPWTCPGDRVFFDASVIWGLVGPRRIFGSLGNYKALNWFFLIGASGPLLVWLLHKAFPSKKWIKLINLPVLLGATAVMPPATTVNFNCWIVVGAIFNFFVFRYRKKWWQRYNYVLSAALDAGVAFMGVLLYFSLTMNEKSISWWGTNGEHCELATCPTAKGIVVDGCPLY >ONI34745 pep chromosome:Prunus_persica_NCBIv2:G1:41156848:41159002:-1 gene:PRUPE_1G496400 transcript:ONI34745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKAKHSGWTYGKRQKIPINLVDKEENYSEEDQISQLPDVILISILSLLGIRDAARTCVLSKRWIYVWKQITCLNFDDIDALSKPQKKRRQRVKTTSSYNWVNQVLQLHHGPSLDEFKIRSSSLNCSPSSSEIDNWIEFAMWRRVQGLEIDLEAGRRSRFSSPSYIFPDKPFRSPFGISCIKSLKHLSLSFVNITGELVEHFLSYCELLEHLCISCSDQLVKLKVAGSSLRLKFLQISECTSLGLVEIWAPNLVSFIYKGMLGYCDSIRLRHAPLLVNVSLAESTRSIIEPFLSVKSCIPQLVTLNMHMNLNSRGGVWLPEFPEFTCLKDLSLSVIATDRLSLLALTKLIERSPFLHRFTLKLRWVRDSCQRNMQKVNKCPHQCLKVVKFSGFVGSIIDTELATYFTENAVALETFIIDLKKVVVEESTPLSEFVTTQKKMRATRKRALQIGEKLPPGAELIVV >ONI34746 pep chromosome:Prunus_persica_NCBIv2:G1:41157260:41158899:-1 gene:PRUPE_1G496400 transcript:ONI34746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKAKHSGWTYGKRQKIPINLVDKEENYSEEDQISQLPDVILISILSLLGIRDAARTCVLSKRWIYVWKQITCLNFDDIDALSKPQKKRRQRVKTTSSYNWVNQVLQLHHGPSLDEFKIRSSSLNCSPSSSEIDNWIEFAMWRRVQGLEIDLEAGRRSRFSSPSYIFPDKPFRSPFGISCIKSLKHLSLSFVNITGELVEHFLSYCELLEHLCISCSDQLVKLKVAGSSLRLKFLQISECTSLGLVEIWAPNLVSFIYKGMLGYCDSIRLRHAPLLVNVSLAESTRSIIEPFLSVKSCIPQLVTLNMHMNLNSRGGVWLPEFPEFTCLKDLSLSVIATDRLSLLALTKLIERSPFLHRFTLKLRWVRDSCQRNMQKVNKCPHQCLKVVKFSGFVGSIIDTELATYFTENAVALETFIIDLKKVVVEESTPLSEFVTTQKKMRATRKRALQIGEKLPPGAELIVV >ONI34744 pep chromosome:Prunus_persica_NCBIv2:G1:41156857:41159851:-1 gene:PRUPE_1G496400 transcript:ONI34744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKAKHSGWTYGKRQKIPINLVDKEENYSEEDQISQLPDVILISILSLLGIRDAARTCVLSKRWIYVWKQITCLNFDDIDALSKPQKKRRQRVKTTSSYNWVNQVLQLHHGPSLDEFKIRSSSLNCSPSSSEIDNWIEFAMWRRVQGLEIDLEAGRRSRFSSPSYIFPDKPFRSPFGISCIKSLKHLSLSFVNITGELVEHFLSYCELLEHLCISCSDQLVKLKVAGSSLRLKFLQIKSTRSIIEPFLSVKSCIPQLVTLNMHMNLNSRGGVWLPEFPEFTCLKDLSLSVIATDRLSLLALTKLIERSPFLHRFTLKLRWVRDSCQRNMQKVNKCPHQCLKVVKFSGFVGSIIDTELATYFTENAVALETFIIDLKKVVVEESTPLSEFVTTQKKMRATRKRALQIGEKLPPGAELIVV >ONI35020 pep chromosome:Prunus_persica_NCBIv2:G1:42040130:42044506:-1 gene:PRUPE_1G510600 transcript:ONI35020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMYRSCEQHRMLTDFEGATRRSEVGFRIWTSKEFHQYQVVGRKLPTASDEHPKTYRMKLWATNEVFPEEAEEGQEEQWLSACH >ONI36063 pep chromosome:Prunus_persica_NCBIv2:G1:46288496:46289543:1 gene:PRUPE_1G567300 transcript:ONI36063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKVWHHIRVPLVLKLGLYIIIYIHPFIDFHKTFKARVIEHKHLLDHLLWLQIPLHSLFKNQIKHFSKSSMIACYHPPPHKLILRGRLVSILAEANLHGN >ONI30552 pep chromosome:Prunus_persica_NCBIv2:G1:26786571:26787242:-1 gene:PRUPE_1G258000 transcript:ONI30552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLKQQGLSVVLASKEKKSETIINAEWENLDELAKRFIEHHLTDNMLCNSMEDITWEKIEEEFALKSLSNKLFLKDELHSLKIEKDANMMKHLSSFNRCIEDLQRLDEVYKSEDKAVMLLTSVPPSYKHFCTTLMFGKRTLKNEEVMEEILTHHRMVQRFEECSQSEGLVARIGGRGRSSKRGGKSSNDENFRYRDNEGDSSVGLRPKVHVTPQNRDT >ONI32100 pep chromosome:Prunus_persica_NCBIv2:G1:32538765:32540327:-1 gene:PRUPE_1G348400 transcript:ONI32100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPDLAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGEIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLTASSLADLPAGSTIGTASLRRKSQILNRYPSLNVLENFRGNVQTRLRKLNEKVVQATLLALAGLKRLDMTENVTSILSLDEMLPAVAQGAIGIACRSNDDKMANYIASLNHEETRLAVACERAFLLTLDGSCRTPIAGYASRDEDGNCIFKGLVASPDGTRVLETSRKGTYAFQDMINMGKEAGQELLSQAGPGFFDS >ONI32101 pep chromosome:Prunus_persica_NCBIv2:G1:32538470:32540717:-1 gene:PRUPE_1G348400 transcript:ONI32101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPDLAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGEIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLTASSLADLPAGSTIGTASLRRKSQILNRYPSLNVLENFRGNVQTRLRKLNEKVVQATLLALAGLKRLDMTENVTSILSLDEMLPAVAQGAIGIACRSNDDKMANYIASLNHEETRLAVACERAFLLTLDGSCRTPIAGYASRDEDGNCIFKGLVASPDGTRVLETSRKGTYAFQDMINMGKEAGQELLSQAGPGFFDS >ONI32099 pep chromosome:Prunus_persica_NCBIv2:G1:32538470:32541330:-1 gene:PRUPE_1G348400 transcript:ONI32099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLCSSSLLTKQALPLSSINFAGAGSVSVPGFSLPSLKTRAFPHCIRKHSAVGIPRASVAVEQQTQKAKLALIRIGTRGSPLALAQAHETRDKLMASHPDLAEEGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGEIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLTASSLADLPAGSTIGTASLRRKSQILNRYPSLNVLENFRGNVQTRLRKLNEKVVQATLLALAGLKRLDMTENVTSILSLDEMLPAVAQGAIGIACRSNDDKMANYIASLNHEETRLAVACERAFLLTLDGSCRTPIAGYASRDEDGNCIFKGLVASPDGTRVLETSRKGTYAFQDMINMGKEAGQELLSQAGPGFFDS >ONI31743 pep chromosome:Prunus_persica_NCBIv2:G1:31177520:31179066:1 gene:PRUPE_1G327900 transcript:ONI31743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPASPIPVDTNSVSKRLQKELMSLMSSVLLPVVMTLIATRPQDSEFLKILAKFCYPKWALEAFVIANAESNLLMEESNFVNPRTEMEVFAHAANYKEASRKTTNEEDQNFW >ONI33664 pep chromosome:Prunus_persica_NCBIv2:G1:37372057:37374459:1 gene:PRUPE_1G439500 transcript:ONI33664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTRSSLHSPTNGSLITVLSIDGGGIRGIIPGTILNFLESELQKLDGQDARLADYFDVITGTSTGGLVTAMLTTPNENNRPLYAAKDINPFYLENCPKIFPQSSSPLADVAENLESLRRPKYDGKYLHKMLKEKLGDKHLRDTLTNVVIPTFDIKRLQPVIFSSYQLKKKPSLDALLSDICIGTSAAPYYLPTYQFKSTNSNGESREFNLTDGGVAANNPALVAMSEVTKQIHKGNPDFLPMGENEQLYGRFLVISLGTGTTSDEKYDANEAAEWGAFGWLIGSDFSAPLVDIFTHAGSDMVDFHLATIFQALQSHDNYLRIQDDTLSGTLASVDIATEQNLNDLVKVGEALLKKPVSRVNFDTNKSKPVRPEVTNAEALVRIASVLSKERAQRSKVVHERT >ONI33665 pep chromosome:Prunus_persica_NCBIv2:G1:37372057:37374459:1 gene:PRUPE_1G439500 transcript:ONI33665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTRSSLHSPTNGSLITVLSIDGGGIRGIIPGTILNFLESELQKLDGQDARLADYFDVITGTSTGGLVTAMLTTPNENNRPLYAAKDINPFYLENCPKIFPQSSSPLADVAENLESLRRPKYDGKYLHKMLKEKLGDKHLRDTLTNVVIPTFDIKRLQPVIFSSYQALVAMSEVTKQIHKGNPDFLPMGENEQLYGRFLVISLGTGTTSDEKYDANEAAEWGAFGWLIGSDFSAPLVDIFTHAGSDMVDFHLATIFQALQSHDNYLRIQDDTLSGTLASVDIATEQNLNDLVKVGEALLKKPVSRVNFDTNKSKPVRPEVTNAEALVRIASVLSKERAQRSKVVHERT >ONI32183 pep chromosome:Prunus_persica_NCBIv2:G1:32803445:32809476:1 gene:PRUPE_1G352800 transcript:ONI32183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCACKSLFIQGSITPPLPPSYIRDRNSIAPPSSALKSNPVLLPSLSLKASQSHAHGLPWKRFNACTILLDNGGCDSGNKNGGGGGGGGWNNPFESSSWWWHDEGSSFSGSSGHHPFIFLSFFFCSVACCFCHLRLAYALASSEECEPVWEVRGGNWTKLIPDFVKDAFVVAQEVGFGTLSVGNLWLQCKHLLTRLMLPEGYPHCVTSDYLDYSLWRGVQGVASQISGVLATQALLYAVGLGKGAIPAAAAVNWVLKDGIGYLSKIMLSKYGRHFDVNPKGWRLFADLLENAAFGMEILTPAFPHLFLLIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSVGIMLGIALANHIGSSTFLGLASFSIVTWIHMFCNLKSYQSIQIRTLNPYRASLVFSEYLLSGQAPSVKEVNEEEPLFPAVPFLNLKPANQVQSTVLSSEAKDAAVEIEHRLQLGSKLSDLVNSKEDVLALLSLYKDEGYIFTEHKGRFCVVLKETSSLQDMLRALFHVNYLYWLEKNAGYEARGTSADCKPGGRLQISLEYVQREFNHVKNDGESMGWVTDGLIARPLPNRVRLGYVAVPDGLIP >ONI34359 pep chromosome:Prunus_persica_NCBIv2:G1:40006703:40008883:1 gene:PRUPE_1G477800 transcript:ONI34359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLPLIVAILSLAAVALANNAAQPAPQLYWNSVLPNTQMPRSISELLHPDSTNEEKSKPENIPLARSGYSRKRYGGGPPPSDEGKPESIPLRNSGYQRKNYGGRPPPSDSQLLHYRDLAIFFFEKDMRPGSTMQFQFPRNSNTATFLPRESAQSIPFSSNKLSEIFNHFSVKPTSVEAKTIKQTIEECEAPGLKGEEKYCATSLESMVDFSTSKLGTRNVEAISTEVLEEGATKYMHNYTTMPGLKKLAGDKVVVCHKENYPYAVFFCHAIKQTEAYVLTLKADDGMKVKAVTICHLDTSEWDPEHLSFQILNVKPGTTPICHFISTDAIAWVPNHKSA >ONI32994 pep chromosome:Prunus_persica_NCBIv2:G1:35257743:35259583:-1 gene:PRUPE_1G398400 transcript:ONI32994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPQQISLRPWILEAVPFIVVLLIVAHVLALVYWIYRLATEKERQPQRRKAH >ONI33503 pep chromosome:Prunus_persica_NCBIv2:G1:36829313:36830033:1 gene:PRUPE_1G428900 transcript:ONI33503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNLLSMQAKVPELYTDIIIKGIILVIAQIFYMCLIRFLFCCWDCTTSTNLEGAMALFLNHPEAMEKACVLRARLAKAELLTKWGFDVPRHAMLVVNAWAIQRDPEVWKDPTEFKPERYERWVELDEGSDGYKLIAFGAGRLIQSFEWERIGEENLDMDEGLGLTMRRVKPF >ONI28408 pep chromosome:Prunus_persica_NCBIv2:G1:11089261:11090729:-1 gene:PRUPE_1G140900 transcript:ONI28408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGESKLAVATSNTILRRKKSNVGGSSKKSKDIETVLENVDNGSSTVQQPADCKSSEQVLDKDRENINVNNNVGGGEPVATEEKAKDIIVNNEDGDYEDDGDKESLDKSNKEEHGEAAERLITHGSPNRFFSSRKLDEEGIDGIISEGRSGTSDYYTPRHGAGSKGSLYFKVDDDILEDNKELGLEAEETKAPETQQNEEPVKPEENLVKEAEVAVTTAIAEPKVVEPKVSILDEEEKNNLSLKTE >ONI26741 pep chromosome:Prunus_persica_NCBIv2:G1:3063999:3066383:1 gene:PRUPE_1G042700 transcript:ONI26741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPSFFISDATAIIFVSISVGRRHTSSSFSSIVESEQRQPQQPQRRRSRDLCSNQQFQKRRYAKLEKFRCGKKSYGLKLLDDIFKGQFLRDYGKP >ONI33383 pep chromosome:Prunus_persica_NCBIv2:G1:36371917:36375765:1 gene:PRUPE_1G420900 transcript:ONI33383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKATDVSDIQNEDDLDEEPGEVIESAPPLKVGEERELGTCGLKKKLLKRGHGYETPELGDEATVHYVGTLLDGTKFESTRDRDEPLTIKLGQGRVVKGLDHGVISMKKGEIALFTLPAELGYGVVGGGGSVVPSNAIVRFEVELVSWITVVDLSKDGGIVKKIIEKGERNEGPGDLDEVLVKYRVALVDGTVVAETPEEGIEFYVKDGHFCSALPKAIKTMKRGEKVRLIVQPQYAFGVEGRDANNGFHSVPPSSVLNIDLELVSFKPVIDVTGDAKVVKKVLKEGEGAWVANESASVTVSYVARLEDGTVFEKKGIDGEQPLEFITDEEQVIAGLDRAVATMKKGEQAILTIHPDFGFGSVEARRDLAVVPPHSNIVFEVEMLDFIREKAPWEMINHERLEAARRKKEEGNLLFKEGKYQKAGKKYDKGVDYVSEDGNFGDDESKLARELRLSFWLNGAACSLKLNDFQEAIKLCSKVLDIEFHNVKALYRRAQAYMQVADLVLAELDIKKALEVDPQNREVKLIEKNLKRLQVESNKRDAKLYTNIFGRMTKKLKVEKVDNEKR >ONI28125 pep chromosome:Prunus_persica_NCBIv2:G1:9856265:9858773:-1 gene:PRUPE_1G124900 transcript:ONI28125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGALIHFVRECIHKYLYFSKATICIQGLVLSHSFVNHAIMSILIVYLQNNWSHPHFQIAAVVTNVQEGIADILVIFLAHFSHTFNGGLKIIATTNAAYILGLSLLWLPNEYKSEDYAAMARIFYGAVVLLTLGESGRSAALKEFLNKQCLSQHKETGRTDGKRPEGWEEASEQKEITAEKYTEAGGDQQVTKEMAERDKKDFWGVPWFLGAVVPLFLLKTTWTQIFMISTIAMAVSYLLFWFGYNDYLKNNKEAQAGEHHQVTERENLWTLNKKVRKKKRLRKEIVASWLAFFVYSMVKAAGSTFFFEQMSNLKNPIHNYDPAVYFNVLSSFSKYIISFLFPKLIPKRTRARIGCGMASTVLCCVAAWVVEIQRMRKVTRAGLEDDTSETISMSMFWLVPQFFLLGLMEGLAVDGLIDLLADRVDEKDKEMAKHYGSHTSDLVVGTGKLLTALIILAFRHRWFNDSINLSRLDKYYRLLTFLSLGSFIYYLCVGFYFYSNDDAQNSAIEEHEQGHNGSGNMEMATI >ONI32141 pep chromosome:Prunus_persica_NCBIv2:G1:32651672:32653392:-1 gene:PRUPE_1G350800 transcript:ONI32141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTLSPSLGNLNFLEVMVISGFKQITGPIPESFSNLAHLTQLALEDNSLVGNIPPGLGQLSSLQSLSLSGNRFRGQIPPTLGHLTNLVQINLKRNFLTGSIPPTFQNFHALQYLDLSFNMLSGLIPDFIGGRYLPNLTLIDLSNNQFSGQMPISLFSLPKLLDLSLNQNQLTGIIPVQVEGLKSLTSLSLSSNRLTGHIPISISRLHNLWYLNLSANGLSDPLPSTLATGIPSLLSIDLSYNKLSLGKVPDWIRSRQLRDVHLAGCQLSGTLPSFAKPDSFNSIDLSHNHFTGGISNLLANMSSLQNLNLSNNQLKADLSEIKLPDTLSSLDVHANQLTGSLSGSTGILNDRVSRFLEVVDVSNNQISGGIPEFREGLRLKMLDVGSNKIAGPIPNSVSNLAQLERFDISRNQITGTIPTSLGLLLKLQWLDVSINGLTGKIPNSLLGIERLKHASFRANRLCGEIPQGRPFNIFPAAAYLHNLCLCGKPMPPCRQSQ >ONI26509 pep chromosome:Prunus_persica_NCBIv2:G1:2131295:2132557:1 gene:PRUPE_1G029700 transcript:ONI26509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVITVKTPAPPAEAKNLQEQKGKAAEIHEQGRGRSGGMGISDSEERFRTLVYQDNKKVNRVFDGSNIGAPVEVKNFDKLIKGKNLYLFFSGLNLNHLDIQKLMKIYLEGKKPPNNHRIVWIPVVKTWDQHKFDNLVAQMPWYSVEFSHPAQACVKLLEKDYSYHERQIVLVINPAGVVKNKNAHPAILRDERFAEFPYYT >ONI28094 pep chromosome:Prunus_persica_NCBIv2:G1:9621531:9623302:1 gene:PRUPE_1G122700 transcript:ONI28094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGQIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRHHMGLTNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGEGFKWLSQYIN >ONI26891 pep chromosome:Prunus_persica_NCBIv2:G1:3720157:3721873:1 gene:PRUPE_1G053500 transcript:ONI26891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKEVANRPHVVCIPFPVQSHIKGMLKFAKLLHHRGAHITFVNTEFNHKRFHKSLGSNSLDGLPGFRFENIPDSLHSSDEDTTQDLLLLADSVRKKFLAPFHGLLKKLNHTANIPPVSHIVSDGWMAFTITAAEEIGIPVVLFFTISASSFMGFTQFPTLVEKGLAPLKDESFLTNGFLDKIIDWIPAMKDIRLRDLPNNFMTTDPNAISWIFSIEAIKKFDKGSAIVLHTFNALEQEVLDALSSMFPLVYAIGPLQLLLNQIPEYPLKDMGYSLWKEENECIKWLNSKEPNSVVYVNFGSLAVVTPKQLMEFGWGLANAKLPFFWVIRPDLVADKSTIFPSEFVAETKERGLIASWCPQEQVLDHPSVGGFLTHSGWNSTIESLCAGVPMLSLPVFADQQTNCYCVCNVWGIGMEISNDVKRDQVEKLVRELMKGEKGKQMKNKVMEWKKLAEEAASPHGSSSSNLENFVNQVLY >ONI36217 pep chromosome:Prunus_persica_NCBIv2:G1:46898059:46904938:1 gene:PRUPE_1G575800 transcript:ONI36217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKSSNIRNFMYSGKHPLLPPKSPFPSVSPSYADYVLSPAFGSKTVQKPREGNAHHQRTSSESLLIEEQPSWLDDLLNEPDTPIRRGGHRRSSSDSFAYVDTSNASNIDYAAQDEYKYHHMISAPSWGSHDFDPQKDLRHASLYTELNLVKQKNKAWESSLNSVNNMSSLPSVKDNIVLQSSGSLSTPQEADGFASTASEKQDQVESGPHDPKASSEKKDNSNAKLYASETDAKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSGVTAELEFLNQQNLILSMENKALKQRLESIAQEQLIKYLEQELLEREIGRLRALYQQQQQHHQQQQQQQQQQQQQQQQQQPSSSHRRSNSKDLDSQFANLSLKHKDANAGRDPVTGTLRI >ONI36216 pep chromosome:Prunus_persica_NCBIv2:G1:46898541:46904938:1 gene:PRUPE_1G575800 transcript:ONI36216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKSSNIRNFMYSGKHPLLPPKSPFPSVSPSYADYVLSPAFGSKTVQKPREGNAHHQRTSSESLLIEEQPSWLDDLLNEPDTPIRRGGHRRSSSDSFAYVDTSNASNIDYAAQDEYKYHHMISAPSWGSHDFDPQKDLRHASLYTELNLVKQKNKAWESSLNSVNNMSSLPSVKDNIVLQSSGSLSTPQEADGFASTASEKQDQVESGPHDPKASSEKKDNSNAKLYASETDAKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSGVTAELEFLNQQNLILSMENKALKQRLESIAQEQLIKYLEQELLEREIGRLRALYQQQQQHHQQQQQQQQQQQQQQQQQQPSSSHRRSNSKDLDSQFANLSLKHKDANAGRDPVTGTLRI >ONI34241 pep chromosome:Prunus_persica_NCBIv2:G1:39164636:39165043:-1 gene:PRUPE_1G470300 transcript:ONI34241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHQTKSELIELESDLRKLETSPSDQRLKTKILTYWDTTKIQHPQHPTAVEIKDELEVRHRRRVIPVVTNYLREFYFVLCMGLFIIFAVIVIVGCWTIPCKETLQCTPPISNASGDKCIRVCEGAKCMSICAQF >ONI31449 pep chromosome:Prunus_persica_NCBIv2:G1:30385212:30386093:-1 gene:PRUPE_1G314000 transcript:ONI31449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNSPYIPISFSLSLSLSLSLSSAPLITSIEFPSFFLCFLSVSVPVSKKTSNMAEAAELHYQPKPTNTTNPTSSSNNPTTRLKLFGFNVQDDDLESSVLDSTKTSTPSGSPESGGFSTTGDRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQASRNAAVSYARNPIISAFAPPPHLLAPAGSVMVPAAAPASPSWVYVPRAATPFHVSHGCVFPAAANHGRGAGSYSYGGSIADSTLTSSMGPHHQVLQQGRAHGRADGPSLSRFTKGDGGPSFDDALGLDLHLSL >ONI29435 pep chromosome:Prunus_persica_NCBIv2:G1:18983337:18983582:-1 gene:PRUPE_1G198100 transcript:ONI29435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADELDEIVRRKLGALISRVTQEIEFRWGDECLPLPRILLHVLDPVEALISFELQRIKNSPTWEAAERKRKIRNLMAMR >ONI29162 pep chromosome:Prunus_persica_NCBIv2:G1:16646108:16647295:-1 gene:PRUPE_1G184300 transcript:ONI29162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTRPSTLMRMISTQLKAQMLGFTMLTTKKVEVVLMFINQGGVETTTFVAIHFMQTRINKVGDKYNNKSSVPFLLGLLGLLVLLVLLVIASSFFFYYQW >ONI32309 pep chromosome:Prunus_persica_NCBIv2:G1:33238155:33238946:-1 gene:PRUPE_1G360300 transcript:ONI32309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSVFMALLLPSVAISAVFVVYICLLWYATNNQEQPEVRLPVKQVSQKGLSASELDKLPKITGKELTTERTECAVCLEEIEGEQVARLVPGCNHAFHLLCADTWLSKHSFCPVCRAKLQLHQDLPQSSVTCSENPC >ONI35608 pep chromosome:Prunus_persica_NCBIv2:G1:44560478:44563209:1 gene:PRUPE_1G545500 transcript:ONI35608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGHVDQLSLSGVSIATSFTSVTGFSLLSGLVGALETLCGQAYGAEQYQKLGIYTYTSILCLCLVCVPISLLWIFTDKILQLIGQDPLISNVAHKYSLCLIPNLFSYAVLQSLVRYFQTQSLILPMLYSSCAALCLHVPLCWGLTFKLKLGSIGAALAISFSCWFNVLLLGFYMKYSVACEKTRAHVLSIEVFLNIKEFFRFAVPSALMVCLEWWSYEVLILLSGILSNPKLETSVLSICFTITYLHYFIPYALGATASTRVSNELGAGNPQAAKVTVCAVMVLAMVEMIVVSIALFSYRHILGYAFSSDKEIVERIADMAPLISLSIVTDGLQAVLSGVARGTGWQHIGAYVNLGAYYLVGTPVGVVLAFLAHLRAEGLWIGLLTGLYGNMQASIARERMTNEVLARVEPVG >ONI28331 pep chromosome:Prunus_persica_NCBIv2:G1:10859579:10861779:-1 gene:PRUPE_1G138800 transcript:ONI28331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIPISSVKVGHIDDVQELRKTKPTIIPDRFVRDMAERPTLAPAMPCSSDIPTINFSKLSKGSTDELKSEISQLAAACEHWGFFQVINHGIDLSLLESMEKVAKDFFMLPLEEKKKYAMAPGTVQGYGQAFVLSEDQKLDWCNMFALGVEPNFIRNPMLWPTEPEKFSGTVEVYSKEVRKLCQNLLKYIAMGLGLKGDVFEKMFGETVQAIRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQGKDTSVGLQILKDDRWVPVKPIPNALIINIGDTTEVLTNGKYKSVEHRAVTNKEKDRLSIVTFYAPSYEIELGPMEELVDENNPCKYRRYIHGEYSKHYVTNKLQGKKTLEFAKISNQKLKLVKTDPI >ONI32367 pep chromosome:Prunus_persica_NCBIv2:G1:33423665:33430165:1 gene:PRUPE_1G363500 transcript:ONI32367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEDFFTLTEMKDGLTAPSRVEELVNVMQSEKDSIANNVGDATRQWAAVASTIAATENKDCLDLFIQLDGLWFVDRWLKDAQNLGNDTNESFVEESITALLRALEKLHIDNKRSISSGIWSTVKSLLGHKSTMVQDRARLLFDSWKQDVENAEVLCVDGSSKILEEDSKASAVKSTSEVGTNRENHTSGPARDELSPLRTSGDLQLESADAVLSNKQSPTHKLLDNADIKDRSPDPLASAVVVDPIQESPIKDESSICSVGGTTSIGTSSFPVAKLSNVDGHSDTPKSNELSKNENQDEKVNSSPQKLGVTDISSGPGLVEPGVVSSGADGSNSQVFATDSALQKSVNANQDDSCQKLTALANEGTAASDPKGVMDDARAVNHCNTTVQDGECCSNTPNDLSGNVSMSGKLEDLETSSRMADLGAVDEEMEHVSDESEELTTADDIDHEYGMVDALEVARQVAQEVEREVVDYREPYCSSSSEKISEGGLRRADSPDSINGEQDLPTHVSPKEAATEQSHSAEVNPEREGHIVNSENVGTIPEQCTNDMESSQVTEAAQEPELIPEKSLCNFFDLNQEVCSDEMDRPVNPVSTPIPVSRPVAAAGLPVAPLQFEGAIGWKGSAATSAFRRASPRRFSDGDKNLSTGATSDGSKQRLDCLDIDLNVAEGGDDLGKQIPVSSGLPSGESSVEVSQNRSGRPNLDLNRIDDDGDALPSDLRVEGQFLNNRNGRRSPSPASSSSSMQPSMRNFDLNDRPYFHNDSTDQGPGKSSQTANAYGWPKPDASVISIMGTRVEINRTDAPQTLSLANGKAIETAADVSMARTGNLLDMGSTVSYTHSPVFGYNGLATGPTMSFSSAMYGPGGTIPYMVDSRGAPVVPQIMASPSVVPPPFSQSPFIMNLSAMAQPGLNGAGPSRPPSFDLNSGFMVEGGNRDSGLRHLFIHGQGGRSMEDHLRNNSQPPPSSSTVGGKRKEPDSGWESFPFSYRHQQQQPPWR >ONI26713 pep chromosome:Prunus_persica_NCBIv2:G1:2937408:2939046:1 gene:PRUPE_1G041000 transcript:ONI26713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGELLISFTEDWKEWRQSYKALVLLEFLLTHGPEEFADEFQCDIDVVQELGTFKYIDKTGFNWGVCMQKKSDQIQNLLGGGQPLREARLKALRITNEIQGFGSATPSPSSLTPSSSSSSEASRASFASFSTTSSVWNDMNELSKGYQEPSPTKLEAMESHSPGGIRNDYDNKTCNFLASTSENIEGQLWGCPPIQEKGSLLESKDEEDADDYDDLEYFEKAPDGNFISGMFSKLVNISPPRAHGKKVGFRSVSGVGREGKKRFDRQYSLWY >ONI26712 pep chromosome:Prunus_persica_NCBIv2:G1:2937413:2939046:1 gene:PRUPE_1G041000 transcript:ONI26712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLFLDHIKNKASNFLLEKYKTARLTFTDVTQVELLAEEATNGDPCSPDAKTMTQIAAASFEMDDYWRVVDILHRRLYNIDWKEWRQSYKALVLLEFLLTHGPEEFADEFQCDIDVVQELGTFKYIDKTGFNWGVCMQKKSDQIQNLLGGGQPLREARLKALRITNEIQGFGSATPSPSSLTPSSSSSSEASRASFASFSTTSSVWNDMNELSKGYQEPSPTKLEAMESHSPGGIRNDYDNKTCNFLASTSENIEGQLWGCPPIQEKGSLLESKDEEDADDYDDLEYFEKAPDGNFISGMFSKLVNISPPRAHGKKVGFRSVSGVGREGKKRFDRQYSLWY >ONI26399 pep chromosome:Prunus_persica_NCBIv2:G1:1533240:1535942:1 gene:PRUPE_1G022400 transcript:ONI26399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFDKSVAKSPEALQSPQSGSVSALKDGFLAQHFASVHPSSVTVNFGSSGLIVYSLDKQNPLLPRLFAVVDDIFCLFQGHIENVALLKQQYGLNKTANEVIIIIEAYRTLRDRGPYPADQVVRDIQGKFAFILYDSASKATFVAADPDGNVPFFWGTDSEGHLVLADDAEVVKKGCGNSFAPFPKGCFFTSSGGLRSYEHPHNELKAVPRVDSSGQVCGANFKVDAEAKKESSGIPRVGSAANWSTTY >ONI26400 pep chromosome:Prunus_persica_NCBIv2:G1:1533317:1534772:1 gene:PRUPE_1G022400 transcript:ONI26400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFDKSVAKSPEALQSPQSGSVSALKDGFLAQHFASVHPSSVTVNFGSSGLIVYSLDKQNPLLPRLFAVVDDIFCLFQGHIENVALLKQQYGLNKTANEVIIIIEAYRTLRDRGPYPADQVVRDIQGKFAFILYDSASKATFVAAVSKASLSCHSFFNVCFQVLVVSFFVPTGHLLL >ONI30491 pep chromosome:Prunus_persica_NCBIv2:G1:26456351:26458995:1 gene:PRUPE_1G253700 transcript:ONI30491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHTQLSLVTHLSLFFILFSSLNVALTATGRNLGAVENPFSPKAYLVRYWNKEVRNDAEKPSFLLSKASPLTAVDSAAFAKLASQNGLSSKLPEFCASANLLCFSDLGQSLEKHDKDSTFTGYAAKNFTNYGTDRLAGVDSFKNYSIDENLPVDSFRRYSHDSVNHKDQFTNYASGGNVVDQSFNSYAGGATSGAGEFKKYADSVNVPNLRFNSYSDDSNGRAQSFTSYTENGNAGDQSFTSYSKNGNGAPNEFTGYGTSSNVVGSGFTGYGETGNGANDKFNNYGKDMNNPVNRFKSYGDGGNAAVETFTSYRDKANVGEDSFQSYAKNSNGEKINFANYGKSFNVGFDKFTGYGKGAQGQSIGFKIYGVNNSFSDYAKKESVSFKSYTKASSGKGTRMAASGSLVKRWVEPGKFFRESMLKKGVVMPMPDIQDKMPKRSFLPRTISSKLPFATSKMAELKQIFHADDNSTMEKIILDALQECERAPSAGETKRCVGSAEDMIDFATTVLGRNVVVRSTENVNGAKHNVMIGSVKGINGGKVTQSVSCHQSLFPYLLYYCHSVPKVRVYEADLLDPLSKAKINHGVAICHLDTSAWSPTHGSFMALGSGPGQIEVCHWIFQNDMTWAIAD >ONI27064 pep chromosome:Prunus_persica_NCBIv2:G1:4691569:4695254:1 gene:PRUPE_1G065500 transcript:ONI27064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSMFSASPSSFNLSFHFGLGNNWNFRTFARPSACLSQESRNLEPSKKQDKTSGIGKTRSATKDQIVISDVRISQQESSPPLVTALKASAEQNAAAFHFPGHNRGRAAPSSLTQLIGLKPFLHDLPELPELDNLFSPRGPILDAQQQAASLFGSLETWFLVGGTTCGIQAAIMATCSPGEILILPRNSHISAISAMVLSGAVPKYIIPDYNFDWDIAGGITPSQVETAIKEVDKEGQKPAAVLVTSPTYHGICSNLSEITQLCHSRGIPVIVDEAHGAHLGFHPQMPNSAMHQGADLAVQSTHKVLCSLTQSSMLHMSGSLVDREKISRCLQTLQSTSPSYLLLASLDAARAQISENPETMFGKALQLAIETKSMIRKISGISVLDAQSFPNFPAIDPLRLTIGFQQLGLSGYEADEILYEDHEIICELVETQSITFAMNLGTCREHVQRLVSGIKHLVAASASTPAAKRKVEGGNSAPFADIETSLIPRDAFFSGKRRVSIENSLGEVCGELICPYPPGIPVMVPGETITKKALDYLLDVRSKGAVISGASDPQLSSIVVCNM >ONI30154 pep chromosome:Prunus_persica_NCBIv2:G1:24826802:24828279:1 gene:PRUPE_1G234100 transcript:ONI30154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRWLKPEVYPLFAAVGAAVGICGMQLVRNIYTNPDVRVNKENRAAGVLDNHAEGERYAEHGLRKYVRNKTPQIMPTINKFFSDPQIPD >ONI30036 pep chromosome:Prunus_persica_NCBIv2:G1:24049965:24056045:1 gene:PRUPE_1G227300 transcript:ONI30036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFSSGDVSGFKFLLILAVTYGLIAMLVDSIIHMRFIKPLEIDAPLDHFSEARAVEHVRVLAQEIDGRQEGRPGLREAAQYITAQLEMIKERAGSNFRIEIEETVVNGTFNMMFLGHSISLGYRNHTNIVMRISSEDSQDSDPSVLVNGHFDSPLGSPGAGDCGSCVASMLEIARLIVDSGWIPPRPVLLLFNGAEELFMLGSHGFMKTHKWRDTIGAFINVEASGTGGPDLVCQSGPGSWPSQVYAQSAIYPMAHSAAQDVFPIIPGDTDFRIFSQDYGDIPGLDIIFLLGGYFYHTSYDTMERLLPGSMQARGENLFSIIKAFTKSSKLQITHERESNISTANQYEEGHAVFFDYLTLFMIYYTRKVAMLLHSIPIAIFLAAPVFSKKQTPGLLSWFSTFCDFAKGLIFHATGIFLAIVFPIIFSILRLLFTSHAMHWFAHPYLAYLMFVPCSLVGMLIPRIIWNSFPLSQDASGLKSLKEALSDEARFWGAFGLYAMLTLAYLFAGLSGGFLTFSLSASMLPGWVSYCLSIKSFGRQSLRSTLFYMLPILPCLAYSVYFGGFLIQFMVEKMGMMGALPPPYGFFVPDVVMAAIIGVVTGWCVGPLIPICGRWLARSSILQVLLHLSVLGLALSSQFFPYSADAPKRVVFQHSFLTAGFNSPM >ONI30035 pep chromosome:Prunus_persica_NCBIv2:G1:24049966:24058277:1 gene:PRUPE_1G227300 transcript:ONI30035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFSSGDVSGFKFLLILAVTYGLIAMLVDSIIHMRFIKPLEIDAPLDHFSEARAVEHVRVLAQEIDGRQEGRPGLREAAQYITAQLEMIKERAGSNFRIEIEETVVNGTFNMMFLGHSISLGYRNHTNIVMRISSEDSQDSDPSVLVNGHFDSPLGSPGAGDCGSCVASMLEIARLIVDSGWIPPRPVLLLFNGAEELFMLGSHGFMKTHKWRDTIGAFINVEASGTGGPDLVCQSGPGSWPSQVYAQSAIYPMAHSAAQDVFPIIPGDTDFRIFSQDYGDIPGLDIIFLLGGYFYHTSYDTMERLLPGSMQARGENLFSIIKAFTKSSKLQITHERESNISTANQYEEGHAVFFDYLTLFMIYYTRKVAMLLHSIPIAIFLAAPVFSKKQTPGLLSWFSTFCDFAKGLIFHATGIFLAIVFPIIFSILRLLFTSHAMHWFAHPYLAYLMFVPCSLVGMLIPRIIWNSFPLSQDASGLKSLKEALSDEARFWGAFGLYAMLTLAYLFAGLSGGFLTFSLSASMLPGWVSYCLSIKSFGRQSLRSTLFYMLPILPCLAYSVYFGGFLIQFMVEKMGMMGALPPPYGFFVPDVVMAAIIGVVTGWCVGPLIPICGRWLARSSILQVLLHLSVLGLALSSQFFPYSADAPKRVVFQHSFLTADANQIVDSSYEFSVLDSNSLRFLFKHAPDVAKELHINSESSFETAKTSHRENWMGLFPVSFLFSRSLKFPARSDGMLKQYRQFPHLSTYEPHTVFSGGSRRIYLELSLGSLEEVWVSVLNITGPLSSWSFADNTLPATETADGGPPSYICRLSGASPENWTFWLEASSSEDLKVEVAVVDQYMVDEAKQLKGLFPEWVDVVAYSGFLSSYIF >ONI30037 pep chromosome:Prunus_persica_NCBIv2:G1:24049966:24055725:1 gene:PRUPE_1G227300 transcript:ONI30037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFSSGDVSGFKFLLILAVTYGLIAMLVDSIIHMRFIKPLEIDAPLDHFSEARAVEHVRVLAQEIDGRQEGRPGLREAAQYITAQLEMIKERAGSNFRIEIEETVVNGTFNMMFLGHSISLGYRNHTNIVMRISSEDSQDSDPSVLVNGHFDSPLGSPGAGDCGSCVASMLEIARLIVDSGWIPPRPVLLLFNGAEELFMLGSHGFMKTHKWRDTIGAFINVEASGTGGPDLVCQSGPGSWPSQVYAQSAIYPMAHSAAQDVFPIIPGDTDFRIFSQDYGDIPGLDIIFLLGGYFYHTSYDTMERLLPGSMQARGENLFSIIKAFTKSSKLQITHERESNISTANQYEEGHAVFFDYLTLFMIYYTRKVAMLLHSIPIAIFLAAPVFSKKQTPGLLSWFSTFCDFAKGLIFHATGIFLAIVFPIIFSILRLLFTSHAMHWFAHPYLAYLMFVPCSLVGMLIPRIIWNSFPLSQDASGLKSLKEALSDEARFWGAFGLYAMLTLAYLFAGLSGGFLTFSLSASMLPGWVSYCLSIKSFGRQSLRSTLFYMLPILPCLAYSVYFGGFLIQFMVEKMGMMGALPPPYGFFVPDVVMAAIIGVVTGWCVGPLIPICGRWLARSSILQVLLHLSVLGLALSSQFFPYSADAPKRVVFQHSFLTAGIQASKSKSLK >ONI27179 pep chromosome:Prunus_persica_NCBIv2:G1:5171221:5185852:-1 gene:PRUPE_1G072600 transcript:ONI27179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSRGSPYRRRTDLEGGLRQAGDSDDEESSSSTFFIARTKDASIDRLKRWRQAALVLNASRRFRYTLDLKKEEEKQQTLRKIRAHAQAIRAAYLFKEAGNQQVNGIVPPKPSSAGDFPIGQEQLVSVTRDHNFPALQQYGGVKGLGDLLKTNLDKGIHGDDADLLKRKNAFGTNTYPKKKARSFWTFLWEAWQDLTLIILMVAAVASLVLGIKTEGIDDGWYDGGSIAFAVILVIVVTAISDYRQSLQFQNLNEEKRNIQLEVIRGGRRVEVSIYDLVVGDVVPLNIGDQVPADGILISGHSLAIDESSMTGESKIVRKDSKEPFLMSGCKVADGNGTMLVTSVGVNTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFAVLVVLLVRYFTGHTKNANGTPQFMAGKTKFGDAIDGAIKIVTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAFTGGKKIDVSDNKSDLSPMLSALLIEGIALNTTGSVYVPETGGDIEVSGSPTEKAILQWGIKLGMNFEAIKSESLVLHVFPFNSEKKRGGAAVKLPNSEVHIHWKGAAEIVLASCTKYLDANDQLAAMDDDKSMMFRESIEDMAARSLRCVAIAYRSYELESVPTDEQQLALWALPDDDLVLLAIVGIKDPCRPGVRDAVQLCQKAGVKVRMVTGDNVQTAKAIALECGILTSDSDATVPTLIEGKVFRDLSDGQREEYAEKISVMGRSSPNDKLLLVQALRRRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMDRTPVGRKEPLITNIMWRNLLVQAFYQVIVLLILNFRGISILRLTHDPNRDHANKLKNTLIFNAFVLCQIFNEFNARKPDEFNIFKGITKNRLFMGIVAITLVLQVIIIEFLGKFTKTVKLEWNHWLISIVIAFISWPLAVVGKLIPVPETPFFKYFTRRFHRRKKSPEVSQ >ONI34742 pep chromosome:Prunus_persica_NCBIv2:G1:41148842:41149939:-1 gene:PRUPE_1G496200 transcript:ONI34742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFCDSIRLRHAPLLVNVSLAESTRSIIAPFLSVKSCIPQLPVISFQISHLEALSAFPASKSTRSIVPTFLPVKSCLPQLVTLNLNLDMNLNMSAMVRHPEFPELTCLKDLTLNVVASDRQSLLNLTKLIERSPFLHRCTLDLRWARVPCLRNLQKVNKCPHQCLKVVKFSGFVGSSIDTELAMYFSENAVALETFIVDLRKVVVEESTLLSEFVTTQKQLRAARKRALQIGKKLPPGAELIVL >ONI26138 pep chromosome:Prunus_persica_NCBIv2:G1:535017:538780:1 gene:PRUPE_1G006300 transcript:ONI26138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMRKSKSAGEMAVMEVSHPHPHPQSQASLGVCTRAKTLALQRSSASPVTSGSYLQLRSRRLEKPPILLPKRLEPKRQKPKASSNSRATSRLGVHGSVAKAQGQKAEEADEMAPKEKEGQEKNEHNNTNNKENGDLGVEEASFGENVLELEGRERTTRESTPCSLIRDPDTIRTPSSTTKPTNSAEANQRIQSSSQRHIPTAHDMDEFFAGAEGEQQRQFIAKYNFDPVNDKPLPGRYEWEKVDP >ONI32810 pep chromosome:Prunus_persica_NCBIv2:G1:34659489:34660953:-1 gene:PRUPE_1G387200 transcript:ONI32810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFSPYGPYWREMRKLTSLELLSNCRLELLRNVRASEVEISLKQLYTLWSKRKEGSGELLVEMKQWFGGLTLNVVFRMVAGKRCFMNGGLSKEKEARRRQKAMREFFHLVGLFVLGDAVPWLSWLDLGGQQKAMKRTAEELDSIVSEWLEEHKQKRTKGKDQDFMDVMLSAIDGADIAGFDADTIIKATCLAMISGGSDTTRVALTWTLSLLLNNRQILKKVYEELDQHVGKGRQLNESDINNLVYLQATVKEAMRLCPPGPLSFQREFTEDCTVGGYHVPKGTWLLVNLWKIQTDPRVWADPMEFKPERFLTTHKDVDVRGQQFELMPFGSGRRACPGINLGLQTTLLTLASFLHWFDVTTRGNAPVDMTGSAGLTNMKLSPLDVLVKPRLSPNLYE >ONI34223 pep chromosome:Prunus_persica_NCBIv2:G1:39084553:39088005:1 gene:PRUPE_1G469000 transcript:ONI34223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSTFRLLILCTQLALIILIININITHAQLQPPEIPSAVCDNNKGNYTTNSTCQKNLNNLLSSLLSNGNGYGFYNSSGSDRVYAIGLCRGDVKSDACGKCLTDATYVLPEACPNQKEAIGWYDNCMLRYSNRSLYGLLQTIPSYFSWNVQNVSSTNLDAFNQKLTALFNGLTSEAAGGGDLRTFAVGNASVGASSNVTIYGLAQCTPDLSEVNCTNCLDDALGDIPTCCSGKVGGRVVTPSCNIRYETYSFFDSTTETPSPSPPLATPSSAPPPSLGTDTIPRGKKSNTSRTVIITVVTIVVFLLLIISICIYLRWKKRKEKLEGDEIGTEALQFDFNSIKIATNNFSEANKLGRGGFGAVYRGRLWNEEDIAVKRLSRDSAQGDIEFKNEVALVAKLQHRNLVRLLGFCLEGNERLLVYEFVPNASLDKFIFDPIKRAHLDWDSRYKIIVGIGRGLLYLHEDSRLRIIHRDMKASNVLLDAEMHPKIADFGMARLFDLDQTQGETSRVVGTYGYMAPEYVMRGQFSVKSDVYSFGVLVLEIISGQKNSSFHHGGHVEDLLSYAWKSWKEGTASNLVDPMLKNGSRPEIMRCIHIGLLCVQQTIADRPTMAAVILMLTSSSVDNLPVPSQPAFFMDGGGIGSSSDMSLGWENSSGVTGSDPSRSGSAQKSPHEVSIPITSYLLSANGS >ONI35325 pep chromosome:Prunus_persica_NCBIv2:G1:43344202:43345243:1 gene:PRUPE_1G530200 transcript:ONI35325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNIKHIIRLVLTISNVILINQLQCDSILLHKHVLKLVNIFLQALDAYALAVENRSIPSNKFELHGPPLLFLFKHKFA >ONI29566 pep chromosome:Prunus_persica_NCBIv2:G1:20038557:20043500:-1 gene:PRUPE_1G202100 transcript:ONI29566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQESNVKKLGVAGRSLIDSVFSWSMKDVLKKNLYKKQVMKIPETFSTVTSYMKSFIPSLVEETHADLLSSMMTLSQAPTCEILAVKTSKGHKPPKDLFYDIIMKGRGEAAGSYEPQAGDLVALTDIRPKCTDDLNKPRDSYLIAYVLRGRDNNLSILSSKPINKEGGKKLLAVYLINMMTNVRLWRALNSEGANTNLTKNVLQVQPNSSHVGNSCSICLLKENFSAALSNRWPSMGSDLNDSQEAAVLNCISLSKCTHQNTIKLIWGPPGTGKTKTVAMSLFSLLKLKCRTLTCAPTNIAVLEVAARLLGLVNQSLGCGKYGLGDIILFGNGERMKIDNYDDLVEVFLDYRIEILAECFNPWTGWKHWLESMIDLLEDPQEKYLLYLKEIRERRCDEDGKDSNNLLTTMKREVMTAIINDKNSTKDDEDDFLTLEEFVKEKLSSIGKGLKICMVNLYTHLPTSCISLEVVKAMISASDLLSSLKALLQDVGFANERSQLVLKDCVHTLMSLREFSVPALNDLKKIRTLCLANACLIFCTASSSAKLNRERMRPLELLVIDEAAQLKECESAIPLQLPGLRHAILVGDEKQLPAMVKSKISEKAGFGRSLFGRLVQLGHKKHLLNVQYRMHPSISLFPKGEFYKNRISDGPNVKQRSYERSFLSGKMYGSYSFIDIVNGKEEFDRWHSPKNMAEVAVVCEIVSSLYREFTRTKKKVSIGVISPYKAQVNAIQERVGEYSEVSGTDFSVSVRTVDGFQGGEDDVIIISTVRCNEKGYVGFVSNVQRANVMLTRARYCLWILGNEATLISSNSIWKKLILDAKKRKCFYNAHEDKDLAQAIAAALMELCQLHILLNADSLLFKNAKWKVYFTKKFQNSMEKIKDTDICREVVSLLTKLSDGWRQSCKDKGVIVHGGACGQLLEKYKVKGQLNLIWSVDVLEENSDYVQVMKIWDVLPVSDTPEFEERLQIIFRSYTADKMNLCLLRCVEGDKVVPMRSPVDSSSSSREADPVEILSKPLSSLSLTDEPQTSSSGKSNMSTRWRKKDTGLRSIAAAATTTEELEGVVHP >ONI33014 pep chromosome:Prunus_persica_NCBIv2:G1:35337583:35341139:1 gene:PRUPE_1G399900 transcript:ONI33014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKKRPLNHKLVFSIFFFFLCSWISLALAQNKTIPVNVGLVLDDLDSGDGKIWLSCIKMALSDFYASHANYKTRLVLNTRDSKKSVVDAAAAAVDLIEKVKVKAILGPGTSMQAGFLINLGDQVHVPIISFSATSPSLNSVHSSYFFQFAQNDSSQVKAISSIVKAFGWRQVVPVYVDNEFGEGVIPFLTDALQEVDARVPYRSAISLSATDGQILEELYKLMTMQTRVFIVHMRTDLSSRLFAKAREIGMMTEGYVWLTTNGIPNELRSLNSSVISSMQGVLGIQTYVPQTVKLEEFMPRWKRQFQQDNPTIIGAQLQVFGLWAYDSAFALAMAVEEVGTPSFEVSQYGRKLSHALSSMRFKGIAGDFSLVDGQLQSSTFQIVNVNGGGTRVVGFWTPENGLMNTLNSTNTSFSSTSNKGNLAPILWPGDSLTAPKGWQIPANGKKLRIGVPVKVGFTEFVKITKDPSTNAINVTGFTIDVFKAAVKVLPYPLPYEFIPFAKPDGTSAGTYSDLCYQVYLGNYDAVVGDTTIRADRSLYVDFTMPYTECGVAMAVPIIDVRSKNAWVFLKPLTWELWLTTSCVFVLIGFVMWVLEHRINEDFRGTPSHQVGTSIWFSFSTMVFAQRERVVSNLARFVMIIWVFVVLILTQSYTASLTSLLTVQQLQPTVTDIKDLLRKGENVGYLTDAYVYDILKQVGFDDTKLKGFKTMEEIDEALSKGSANGGIAAVVDETPNMKLFVAKFCSKYTMIGPIFETAGFAFVFPKRSPLLPDVSQAVLNVTEGEAILNIENKWFKKGDNCEDNPTQKLSNNSLGLDSFWGLFLISGVASILSLIIFVASFLYRHKHILKHPPDSKPLTWSKIRSMFEIFDERDFNSRMFKSSQQRDGIAGNPDNIAGDANSPNNNWPESTSSFSSNHTDANFEFFGGQQTQSPGQASLELVPSTTDPAIIIAEMHTTPATAQDNN >ONI28807 pep chromosome:Prunus_persica_NCBIv2:G1:13085454:13089053:1 gene:PRUPE_1G162800 transcript:ONI28807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSVQGHLGFVISPKNKVLKRTLSIQFLPHRLSLFLEGSSSLLIYLFTPNQHIKRLVMAGQHPEDGDSIVFLSTTPGAFNTENANPTSQSGGLGPKKKWETKLLSASLWNKIIVISCVIGVSLDPLFFYIPFIDKEKKCLGMDKKLRNVALILRFLTDITFLVHIGYQTWEAGNKAYKGEDWQQTLKYNEIIPFAKRFAGYLSWLSFLTDLLAVLPVPQILVVVLFFKIKGPEYLGHRKVLNLFLLSQYLPRIFRIILSAKKLTKTGIWVKAIFNFFLYIIASHVLGAFWYFFSIQRETSCWHRACTNTTDYTGCMSTFYCDDRSTTLRNITFFNEHCGVEFPGDKQPFNYGIFLDSLKNDGNTGNIDFPTKLCFSFWWGLRNLSNFGTNLTTSNYVWENFFAILISVTGVLLFVYLIGNVQTFIQLTTTKSEEIRQKIKKREQVWGTWMEENRVPEDLKKEIMKNIHKKLGKDKDANLENLFNVLPWNTKKFLKRRLFFDILSEVKLLKDMDHKVLNMICDHATPVTFPEDKIIFQSGHPLDRMLFILEGTASTSIPTKQLVRGQTYGEELLMWASPNYKPRVNKLPTSTEIVKSRTKLEGFALSATDLVKVASKYRRLWNLNNDP >ONI32301 pep chromosome:Prunus_persica_NCBIv2:G1:33206295:33208135:-1 gene:PRUPE_1G359600 transcript:ONI32301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKSKLHFQHLLSPISPHILKPYSFSSASELHLQEDDTPDDNSQTAPIPKLSAEETLIADKFHALIKDHHRNNPNPNPNPTPPNPSFTIPALSHDFSQISAAHSISPSIVRRVIDKCSSVRYGIPLVQAVAFFNWATARDGFDHKSEPYNEMVDLAGKVRQFDLAWHVIDLMKARNVEITVETFSNLVRRYVRAGLAAEAVLAFNRMEEYGCKPDKIAFSVVIGILCKKRRASEAQSFFDSLKHKYEPDVILYTSLVNGWCRAGNIAEAERIFRDMRTAGINPNVYTYSIVIDALCRCGQITRAHDVFAEMIDDGCQPNSVTFNNLMRVHAKAGRTEKVLQVYNQMQRLGCNADVITYNFLIECHCKDDNLADAHKVFDSMVKKGFSPNASTFNPIFRCTAKLKDVNGAHRLYARVKELKCELNTVTYNILMQMFVVSKSTHMVLKMKMEMEENEVEPNVNTYNILISMYCAMGHWNKAYSFFREMIEEKCLKPSLQVYETVLKQLREAGQLKKHEELVEKMVDRGFVTRPLALQSVQVA >ONI30724 pep chromosome:Prunus_persica_NCBIv2:G1:27668615:27670882:1 gene:PRUPE_1G269400 transcript:ONI30724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPQPQEQEVESPKISAYDAYFETVQSRKKLPGPLQENLTFAFSKVPVSAFQGVPGGKVIEIGADTCIADAVKVLSRHHILSAPVRNPEAEANSDWSDKYLGIVDYSAILLWVLETCRLSPSFCSATAAGVGGAGAMGAIGAVALGVTGPAAVAGLTAAAVCSAVAGAAVEKGEAKDSPTGANNLSEDFDSIILQDEHFKSTTVRSILKSFRWAPFLPVGTDSSMLSVLLLLSKYRMRNVPVIEPGQPNIKNFITQSAVVHGLEGCKGMEWFDCIAAKPISDLGLPFMVSNEVISANINDPILEAFMKMRENEVGGLPVVEGTKKKIVGNVSISDIRYSLLKPELFSNFRNLSVKDFMSAISKNQGKAMSPITCNHQSSLGYVIETLASKSVHRIYVVDGEECQVVGVITLRDVISCFISEPHNHFDAYLGFAVKQIMKH >ONI26526 pep chromosome:Prunus_persica_NCBIv2:G1:2167217:2169109:1 gene:PRUPE_1G030400 transcript:ONI26526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDALNMDCFRFSGLHETSAKPYELLVQAATLIPIWHYLCHVLHGRYLATPWLTSPHLQNFFLSFFGNPPAVTYQRELFRVSNGGTIALDWAVSSYVLPKDDTTPIVVVLPGLTSDSQSSYIRHLAFNKAKMGWNVVISNHRGLGGVPITSDLVYNCGWTNDIHEVCNRLHHKHPKAPLFLIGTSIDVSADIEYVLGADILVKYLGEDGDNAPVSGAAAISNPWDFLIGDRFIRRTLLQKFYDKLHQHCYSRLVNWDGKMRCIRHYHATCDVGKFETVDTFHRRTSSSIYVCNLCVPLLCISALDDPICTREAIPSEFPLGSTIDQDKKLVLLGKVVAAVALAKVVAKKLGFVA >ONI26282 pep chromosome:Prunus_persica_NCBIv2:G1:1085884:1087818:-1 gene:PRUPE_1G014900 transcript:ONI26282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIEATAPNRLPNRRLEEMAAAVAEGVSLNHISRESSDIRRLANFYKEIFGFEEIESPNLEFKVIWLKGPGAFAFHLIERNPDYNLPEGPWSATSPVADPSHLPRGHHVCFSVSNFHSFVQTLKDKGIQTFEKSLPDGKVKQVFFFDPDGKLF >ONI26281 pep chromosome:Prunus_persica_NCBIv2:G1:1085656:1087943:-1 gene:PRUPE_1G014900 transcript:ONI26281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIEATAPNRLPNRRLEEMAAAVAEGVSLNHISRESSDIRRLANFYKEIFGFEEIESPNLEFKVIWLKGPGAFAFHLIERNPDYNLPEGPWSATSPVADPSHLPRGHHVCFSVSNFHSFVQTLKDKGIQTFEKSLPDGKVKQVFFFDPDGNGLEVAGQ >ONI33409 pep chromosome:Prunus_persica_NCBIv2:G1:36457697:36461458:1 gene:PRUPE_1G422300 transcript:ONI33409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGQVVIGPPGSGKTTYCNGMSQFLQLIGRKVAVINLDPANDALPYECAVNIEDLIKLSDVMMEHGLGPNGGLVYCMDYLEKNIDWLEAKLKPLIKDHYILFDFPGQVELFFLHSSAKNVIMKLVKKLNLRLTAVHLVDAHLCSDPGKYVSALLLSLSTMLHMELPHINVLSKIDLIESYGKLAFNLDFYTDVENLSYLQYSLDQDPRSAKYRKLTKEICDVVEDYSLVNFATLDIQDKESVGNLVKLIDKSNGYIFAGIEASAVEFSKIAVGPVDWDYYRVAAVQEKYMKDDENFDDDEHSGD >ONI33410 pep chromosome:Prunus_persica_NCBIv2:G1:36457697:36461458:1 gene:PRUPE_1G422300 transcript:ONI33410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSFSNSLEGLVYCMDYLEKNIDWLEAKLKPLIKDHYILFDFPGQVELFFLHSSAKNVIMKLVKKLNLRLTAVHLVDAHLCSDPGKYVSALLLSLSTMLHMELPHINVLSKIDLIESYGKLAFNLDFYTDVENLSYLQYSLDQDPRSAKYRKLTKEICDVVEDYSLVNFATLDIQDKESVGNLVKLIDKSNGYIFAGIEASAVEFSKIAVGPVDWDYYRVAAVQEKYMKDDENFDDDEHSGD >ONI33438 pep chromosome:Prunus_persica_NCBIv2:G1:36550104:36550716:-1 gene:PRUPE_1G424000 transcript:ONI33438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELQTTKHHHQPALNFHGKARTSRAAATDPESLYARNLVPNGTKVDLSTMLEEAVDYVKFLQLQIKLLSSDDTWMYAPIAYIGMDIGLNLQKISPLL >ONI35794 pep chromosome:Prunus_persica_NCBIv2:G1:45332788:45335351:-1 gene:PRUPE_1G555300 transcript:ONI35794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIPKTTSPPSDQVPQPTSENEHPAAPAAAAELEPLPLTETEAKEQEPLPPPPSLPEVTESEKEELPPPVAAVVETESGEKTQKEEPERKQIPRSLISFKEESNIVADLSDSERKALQELKQLVQEALNGHQFTSPKLQEAQEKAAAAAEAPPPISESETKPTHEPKIEENPLKEAEEVAKEATQLAVPPEEVSIWGVPLLKDDRSDVILLKFLRARDFKVKDAFTMLGNTIQWRKEFGIDALVDEDLGDDLEKVVFMHGYDREGHPVCYNVFGEFQNKELYQKTFLDEEKRTKFLRWRVQFLERSIRKLDFRPGGVCTIFQVNDLKNSPGPAKKELRIATKQALQLLQDNYPEFVAKQVFINAPWWHLALYTMINPFVTQRTKSKFVFARPGKSAETLFKYISPEHVPIQYGGLSVDYCDCNPEFTIADPVADVTVKAGTKQTVEIIIYEKCTIVWELRVVGWDVSYGTEFVPDTENGYIIIIQKATKMSPTDEPVVQNSFKVGELGKILLKIDNPTSKKKRLLYRFKIEPFEN >ONI35436 pep chromosome:Prunus_persica_NCBIv2:G1:43806529:43807460:-1 gene:PRUPE_1G536000 transcript:ONI35436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYSSSSSSSSSQRWMHDVFLSFRGDDTCKGFTGHLYVTMEGAGINAIIDNQLRRGEEITAELEQAIQGSRIPIIELVKIMDCRRTLRQIVLPIFYDVDPSDVRKPTDTDHKLVSWRAALTEASNLSGWDLRNALDRHEAKFIRKIIKDITILLNTS >ONI30378 pep chromosome:Prunus_persica_NCBIv2:G1:26032657:26035577:1 gene:PRUPE_1G247500 transcript:ONI30378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQYEQMAGTLAFLTRKRRENKPVKMALSDARERWEVHFSRFFGYPPISSTCPDLVPLPTKVRNRRPACNWVSSSLPALLQLVHDHSISEVLLTVCFSGKVLEEHYVSKLQFVWPQVSCNPGFPARGTRAVFISYRDCVGEVQKFALRFLSVDEAQRFMNSLKEIFNIGRDIEPLNIDLGSEISAESEFMSSNIPLSRVSTDLNIMPPSQTCTTQISPSLNNQAKPYSRTQEVKNIHNFQRNFPAFPPGFTSLPSDCHPAVEQGEAKSTVSEAVNLNSQILPPSFTSWLSNSHPVVEQVAAQSTVSQEVVSQEVNLISQLARYGRDASFQDMLTKMERVIHEMGGDLTL >ONI32233 pep chromosome:Prunus_persica_NCBIv2:G1:32961021:32964208:1 gene:PRUPE_1G355100 transcript:ONI32233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKYFTKWFSDLDWRLLLLVIPLLFFIVFFSLSSSYSPTNFPLSPFAPIRSFLRGRAFQFQQPPLNITTLNTLNSTTSESNRNGTDESLKKRKDDDLHRSRIAVCLVGGARRFELTGPSIVDKILNQYPNSDLFLHSPMDPNAFKFSLLKAAPRIASVRIFHPKPMLETEFQLRVLTAHNSPNGIQGLLQYFHLVEGCLTMIQAYQKQNNFSYDWIVRTRVDGYWNAPLHPKHFVRGQYLVPPGSSFGGLNDRFGVGDLNSSIVALSRLSLISKLHADGFRQLNSETAFKAQLTTRGVPYVTKRLPFCIVTDRQYGFPPSRFGVPVASLSSPGPLSGAKCRPCRPVCQGPCVADVMLSLQRGWSWTPWAKGTLQLCDAHDAWEKGWETTFDRVAGQKFAAERKRVLGLTVKQCIDDFNQMKNRTANWEAPPVDEICSIGVLAPPH >ONI32232 pep chromosome:Prunus_persica_NCBIv2:G1:32961021:32968580:1 gene:PRUPE_1G355100 transcript:ONI32232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKYFTKWFSDLDWRLLLLVIPLLFFIVFFSLSSSYSPTNFPLSPFAPIRSFLRGRAFQFQQPPLNITTLNTLNSTTSESNRNGTDESLKKRKDDDLHRSRIAVCLVGGARRFELTGPSIVDKILNQYPNSDLFLHSPMDPNAFKFSLLKAAPRIASVRIFHPKPMLETEFQLRVLTAHNSPNGIQGLLQYFHLVEGCLTMIQAYQKQNNFSYDWIVRTRVDGYWNAPLHPKHFVRGQYLVPPGSSFGGLNDRFGVGDLNSSIVALSRLSLISKLHADGFRQLNSETAFKAQLTTRGVPYVTKRLPFCIVTDRQYGFPPSRFGVPVASLSSPGPLSGAKCRPCRPVCQGPCVADVMLSLQRGWSWTPWAKGTLQLCDAHDAWEKGWETTFDRVAGQKFAAERKRVLGLTVKQCIDDFNQMKNRTANWEAPPVDEICSIGVLAPPH >ONI26601 pep chromosome:Prunus_persica_NCBIv2:G1:2348833:2351053:1 gene:PRUPE_1G033800 transcript:ONI26601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSHQENPEPKKVQFPLDSTAYQILDEIGFGVSAVVYKAICLPMNNTIVAIKSIDLDQSRADFDNILSETKTLSLLSHPNILSAYCSFTVDRHLWVVMPFMSAGSLQSIISSAFPDGLPEPCIAVVLKETLNAMSYLHDQGHLHRDIKAGNILIDFNGWVKVADFGVSASVSEANLSGESSIRLNDVAGTPYWIAPEVIHSHNGYGCKADVWSFGITALELAHGGPPLSNLPPSKSLLLKIMKRFCFSDYENYQDKNYKSKKFSKAFKDLVGCCLDQDPNKRPTAERLLRHSFFKNCKGLDFLVKNVLLGLPSVEERCKKTRGLGGLMKEKGINAEHGEDEEEDDEGSSARQRAKHRRISGWNFNEDEFVLDPVFPVEPEGDSAVKMVRFGGETIIQDRGGEWSESNPSSPGRVGEEAKSENVGVIGAEREAMAVGEHSENVGETGGLLGGGGVDVEALVGALSGNVGGSMDMEIMLGKLADFVGSLDEQRQKILTLFGMVREVDARQVIREEEMGQVIERLRVELENERERNFQLEMELESLRIQVSGAHSSTGAGID >ONI26920 pep chromosome:Prunus_persica_NCBIv2:G1:3868045:3870293:-1 gene:PRUPE_1G054900 transcript:ONI26920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVKLIGFWPSPYVYRVIWALKLKGVKYEYIEEDLSNKSELLLQLNPVHKKVPVLVHRGKPIAESSVILEYIEETWPGNYRLLPQDAHERASARFWIQFYVYQAPIFGAFFELTAGAEGEKTIKAVLETLKILEEQGLGDNKFFGGETISLVDITHGWVPHWFEAIEEMVGVKVLEASTLPRLHAWVQNFKQVPVIKDNLPDYQKLLAHMTRLRKSRVPDQV >ONI35106 pep chromosome:Prunus_persica_NCBIv2:G1:42463956:42470112:1 gene:PRUPE_1G516200 transcript:ONI35106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGFAVDGPLAVTGVDGKITASVVITCIVAASCGLIFGYDIGISGGVTTMPSFLNKFFPSVLRKEAGSTPKNIYCVYDSQVLTSFTSSLYIAGLAASLVASRLARIVGRRNVMVLGGCTFFAGSAINGGAVNVAMLILGRILLGFGVGFTNQSAPIYLSEIAPPKWRGAFSTGFQFCIGIGVVASNCINYATSKHSWGWRLSLGLAIVPAIIMTTGALFISDSPTSLVQRNRLDQARKSLIKIRGKEDIEAELAQLVKASEIAKALDEEPFVTIFQRQYRPQLVIGAIAVPFFQQVTGINIIAFYAPVLFQSVGFGNDSALIASIILGLVNLGSILVSTYMVDRHGRRFLFMEGGIQMVICQVAVAIVLAATTGTDGNEHISKGYAILVLVLMCIYAAGFGWSWGPLSWLIPSEIFPMKIRPTGQSMGLAVNFGVTFVLSQTFLSMLCHLKYATFLFYGGWILAMTVFVVLFLPETKGIPLDQVHTVWVKHWYWRRFVQGNPRDTIEEKE >ONI30195 pep chromosome:Prunus_persica_NCBIv2:G1:25095222:25096822:-1 gene:PRUPE_1G236800 transcript:ONI30195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVEVISKEIIKPSSPTPDHLCHYQFSFLDQIAPQVYNPLLLFYEHKAKTQFNITEISNHLKNSLSEVLTLFYPLAGRIKHNQFMHCNDEGIPFFEARVLDCTLSDVLNNPIPGELNKFMPFELDDITNSFPLGVQLNIFQCGGFAIGQCISHKIADGLSYFMFSKIWAAIARGDKANINPPEFISSTLFPPKEFNIAYDGGVGITKDRVTKRFVFDSSQIENLRARYGHNMHLQKRLTRVETLSTFVWSRFVAATTDCTMNKLYRVIHAVNLRSRFDPPLPQSFFGNLFCISMTAPLQIPSSGGDEECGHDVVIQAVREAVGKIDNEYVKKLQKQDDEHLGVMKKQADSFKRGEMGTLLSFSSYCRFPLYDNDFGWGRPTWVGSPSLTYKNVVLFMDTKEGGGIEAYVSLEEEVMAKFECDSELLSYVAPTVGCC >ONI28845 pep chromosome:Prunus_persica_NCBIv2:G1:13267061:13269320:-1 gene:PRUPE_1G164800 transcript:ONI28845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPDTSKTIKLERYNSYLRRVNSTKLLNASSKLLFRATLLVALVLIFFFTINYPPLSDHMGGGAHHVHTTHNFLSSAFYGGGVGGTAWEKQVRHSSTPKRPNGMSVLVTGAAGFVGTHCSLALKKRGDGVLGLDNFNSYYDPSLKRARQALLKRHEVFVVEGDLNDEPLLTKLFDVVPFTHILHLAAQAGVRYAMQNPQSYVSSNIAGFVNLLEVAKRANPQPSIVWASSSSVYGLNTENPFSELHRTDQPASLYAATKKAGEEIAHTYNHIYGLALTGLRFFTVYGPWGRPDMAYFFFTKDILQGKPIDVYKTVDDKEVARDFTYIDDVVKGCLGALDTAEKSTGSGGKKKGPAQLRIYNLGNTSPVPVGKLVSILEGLLGTKAKKHVIKMPRNGDVPYTHANVSLAYKDFGYKPTTDLASGLRKFVKWYVSYYGIDTRVKREMDINKKSSQQTEESG >ONI31662 pep chromosome:Prunus_persica_NCBIv2:G1:30950146:30952302:1 gene:PRUPE_1G324300 transcript:ONI31662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGQGSPSYYRVLGVSSDSSIEDIRRAYRKLAMQWHPDRWTRTPSLLGEAKRKFQLIQEAYSVLSDQRKRTMYDAGLYDPDEEDDEGFCDFVQEMVSLMAESRREAKNYSMEDLQTMLMEMAQGFESPPPSLFCGQSAFEQSGCFKTKRTRLESHSGVDRGSALHVPSLGNGFFSF >ONI34456 pep chromosome:Prunus_persica_NCBIv2:G1:40297339:40301290:1 gene:PRUPE_1G483300 transcript:ONI34456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPYVNEAASELIKSNVEPILEQYRPVILSSLKFSTLTLGTVAPQFTGVTMVESESVAGGVTLELELQWDGNPNIVLDIKTRVGLALPVQVKNIGFTGVFRLIFKPLVDEFPCFGAVSYSLREKKKLDFVFKISGGDISSIPGVSDAIEETIRDAIEDCITWPVRNVIPIIPGDYSDLELKPVGTLEVKLVEAKELTNKDLVGKSDPFAAIFIRPLPDRTKTSKVIDNDLNPIWNEHFEFIVEDSTTQHLTIRIFDHEGLQAAELIGCAQVKLSQLEPGKVKDVWLKLVKDLEVQRDTKYRGQVHLELLYCPFGIDGNIVNPFDHDFALTSLEKALKHESNETDSSELRKTAAQKKKEVFVRGVLSVTVISAEDLPVVDFMGKADPFVVLIMKKSETKAKTRVVTNNLNPVWNQTFDFMVEDALHEMLMVEVWDHDTFGKDRIGRVIMTLTRVLMEGEYQDCYALDIAKSGRIYLNLKWVAQHKVRDT >ONI28570 pep chromosome:Prunus_persica_NCBIv2:G1:11654710:11658386:-1 gene:PRUPE_1G148700 transcript:ONI28570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILAPTSQWQMRITKSSTTASPMTAKVWSSLLLKQNKRGPTKSSAKFRVLAVKSEGYTINRLEGLLNLDLTPFTDRIIAEYIWIGGSGVDVRSKSRTISKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDAYTPQGEPIPTNKRARAAEIFANQKVIDEVPWYGIEQEYTLLQTDVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWASRYILERITEEAGVVLTLDPKPIEGDWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHTDHISAYGEGNERRLTGKHETASINTFSWGVANRGCSIRVGRETEKQGKGYLEDRRPASNMDPYVVTSLLAETTLLWEPTLEAEALAAQKLALNV >ONI27747 pep chromosome:Prunus_persica_NCBIv2:G1:8258984:8259439:-1 gene:PRUPE_1G103300 transcript:ONI27747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIMEKNVMTYMTEETLSDLIVQSSADDQQDDTGKIINEAYGCSEVVREDCTEQFGFMASPVRMPSSNGTTSFDTEVTKDIGLCGSSDDMSVDLGRPAREQSTAIVADDDQSPSRSYLRPCGAEVIDLTTHPLCRSHLICLTILWFGRII >ONI36246 pep chromosome:Prunus_persica_NCBIv2:G1:47016593:47017498:-1 gene:PRUPE_1G577600 transcript:ONI36246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGVLVKWLNKILNSPATRSWNTLYRSLSVHKKNLMIKGPKKYATLLSSQLHLGMGLNWLSRAPTAPCPVILPDQDSNIDSKWVQVQSPRNQEVQIGP >ONI33432 pep chromosome:Prunus_persica_NCBIv2:G1:36530184:36533750:1 gene:PRUPE_1G423700 transcript:ONI33432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNSQRKNAAMLDSDDDNSSESSTSTVRSDRMSVAGNEEMQVDKDSLLDQAVDALYEKRGSTREKALSSIIEAFNSNLQHQFVEKKFATLLHQCLNCIKKGSSKEICLASHVIGLLALTVGCGDNANELLEDSLPVISQAFKSGSEATKTSALLECLAIITFVGGSDPEQTEKSMQVMWQVVHPKLSSNVVAVKPSAPVITTMVSAWSFLLTTMDGWNLNPKDWQESISYLSSLLDKDDRSIRIASGEALALIFELGILEKFSAGAKISSDSSTEEGNKPREYVHIQGLKAKIINQARNLSAEAGGKSSAKKDLSNQRNTFRDILEYFEDGYSPEISIKIGSESLQTSTWAQMIQLNFLKHFLGGGFIKHMQENELLQDVFGFTPKKKYLSDSEHRLSSSEKRLFRSPNSVVNKARTQQLNKQRMLSEGKNIGRFAANMGDDA >ONI33906 pep chromosome:Prunus_persica_NCBIv2:G1:38061957:38062689:1 gene:PRUPE_1G452400 transcript:ONI33906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSERSNKAAKEKQNVKNGTNSLSDWFANSRVSQNPASCLNQLALENCVPEREKNVGLELDIFYVFFLD >ONI26607 pep chromosome:Prunus_persica_NCBIv2:G1:2375310:2375891:1 gene:PRUPE_1G034200 transcript:ONI26607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWRPRSPETSLSSDEDQVKDHDQDSGSTTAKRSYECTFCKRGFTNAQALGGHMNIHRKDRAKAKQLVSGTSSLNSNHYSNEDQYSSLSTYHHQQFSTAPISSQAGSGHYPVVDQRNYQMYFQPSGSSPRIPYGYDHDDSIGSRHPSLGVNQELWGANLSLRFSPNHLEDDEYRRGLRSNDEVDLELRLGHG >ONI27512 pep chromosome:Prunus_persica_NCBIv2:G1:6866711:6869607:-1 gene:PRUPE_1G091200 transcript:ONI27512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNPKPQTHHVAVLAFPFGSHSSALLDVVLKLAPASPHVLFSFFTTSRANTSLLSKVSPSSFHNVEPHDVADGLPEGFVPSANFEEAIGLFLKAAPGNFMTGLKNAEAATGLKVRCLVTDAFFWFGGDMSEEIKVPWVPVWTSGPRSLLVHVETDFMRERVGTVTGKQSISFNCIL >ONI29578 pep chromosome:Prunus_persica_NCBIv2:G1:20156338:20160175:1 gene:PRUPE_1G202900 transcript:ONI29578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLPKNKARNATVESSTVTHEEHIRVERVESYVSEDQIWTVVHENHFPDQNKLSFNVRDLLSVTGNIIDDAANNSIVDNILQQHTAPDMTIYDADDTFISPLYLLKSISCQMSSCKDGEQVSLPLRTEAIFKKLKTFSWEAKAVLTLAAFALEYVEISGTLPSTMASVTNLPMAILKRVPILIKHETLKKRRAAIAELNNLIMETYHVIGYIVNLDDLLHNNNPNDVPTLTTAGRKIPTVVYWTIFTIVACTDEINRITSVKYNDEPDNLPNLYLEKIKEIVKELKEQYDRCMKEKAEASKELVICDNITKVISTLILYNDTVNQQPVISCDSNNTKIDGNAFINEVKGKYVLFYISSLENISKELLRLTNLYKIIDKEYKCKIVWIPIDGDWTTEAEKKELQFMEWRKMMPWYAVQYFPSASYMYLKKEWKVRENSTAVLINPQGKVENTNALTLIKEFGIDFFAFLDIQIHTMLKPVVEHIIRDDSVLKQSMKNQGYNFFIGGKNQKTTIDLFEKITEAKDAIETELKMKIGLARVLEKTETAKTFWARMKNLFFSLARYSKEYEYEQVTKEVHKLLSYKLHTDDMDGWIKLTKGWTVVTCGQANTIYTTLEKFSVWKQHINDFGDAFTKYHDSLIT >ONI30046 pep chromosome:Prunus_persica_NCBIv2:G1:24135133:24137907:1 gene:PRUPE_1G228200 transcript:ONI30046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPDNITKSCKARGSDLRVHFKNTRETAFAIRKLPLVKAKRYLEDVLVHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLIVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILAEKEEPVKKEPESQLATSKSKKSQALRSGASS >ONI32331 pep chromosome:Prunus_persica_NCBIv2:G1:33292869:33294907:1 gene:PRUPE_1G361700 transcript:ONI32331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKYFSCVNLLSATFSKILVILVLQVQLCLWFCTPTYTEAAKEQLPAAENGTLKFPGLFAFGDSILDTGNNNNLATVAKCNFPPYGRDLVGGIPTGRFGNGKVLSDLIAEGLGIKELLPPYLDPNLQSPDLPTGVCFASGSSGYDPLTPTITGTLSLFKQLELFKEYIVKLTGIIGEERARAIIANSLYLVSAGNNDILISYSLIARKLHYDFPSYAALLVSMASTFLRDLYSLGARRIGVFSTAAVGCSPFDRNRGGLLRECLELELEEAAWFNSQLSSELDYIKTNFTEAKLVFLDIYHPLLDLNQHPHKSGFQVEKFGCCGTGTIGVAVLCNEFSPFTCTDASKYLYWDAVHPTERALRIVASQILKKYINSFF >ONI35971 pep chromosome:Prunus_persica_NCBIv2:G1:46023567:46024172:-1 gene:PRUPE_1G563300 transcript:ONI35971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSATHEKRAILGANDGLVSIASVMMGVGAVKRDIKAMLLAGFAGLVAGRRKLPSPFQESVASAFLFLVGGLVPLMAAEFVTDYKLMVIVLVSVSSKTSAARSCSRMLLGGWMAMAITYACWRVLS >ONI28506 pep chromosome:Prunus_persica_NCBIv2:G1:11360051:11362548:-1 gene:PRUPE_1G144700 transcript:ONI28506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSDHMAAIIDGKAIAQTIRNEIAEEVRHLSQKYGKVPGLAVVIVGNRKDSQSYVSMKRKACAEVAIKSLDIDLPEYVSQDDLIAKVHELNANPDVHGILVQLPLPKHINEEKVLSEISIEKDVDGFHPLNIGKLAMKGREPLFLPCTPKGCLELLSRSGISIKGKKAVVVGRSNIVGLPVSLLLLKADATVTVVHSHSHDPESIIREADIIIAAAGQAMMIKGSWIKPGAAVIDVGTNAIDDSSRKSGYRLVGDVDFQEACKVAGWVTPVPGGVGPMTVAMLLKNTLDGAKRVIAK >ONI28546 pep chromosome:Prunus_persica_NCBIv2:G1:11553641:11556204:-1 gene:PRUPE_1G147000 transcript:ONI28546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARETKGNPMNPRKKVATRAAMSSKNKEQSGEQCQPKAPCSKFQQLPQALVLEILSSLSIKTLLNCRCVCKDWLSIISDPQFTKLHSSRSPVGILIKTYPPIRKSRKLDFTHIEDCAGSDLLLEKIRFNPQNSLPIGAMPEFRLINSCNGLLCLSGPNRDYPCFVCNPILGEHISIPPTNLSRNKCFFVGLGFSNGTNEYKLLQMTNGTEAEIYTIGTGVWRSVGNAPGDIDQLPFNPFLHGALHWVSYSSTVPDFIHSFDFEREQFRPLPVPSLLGNRLSDCYILEVVGGRLCLSVFDDDYSKFDMWVMKEYGVQESWTKILVFGNLYECPEERICHVYEPIMFLRNGEILLLFNNCAVVCYNQETKSFREIRITWTRSPFEAIAYSPSFVSLYNVSKGEEVKRVRRSKKSGKKSGKLLLEGSYECAGSGMPPRKSTKLNSGYGCPVFEEGLP >ONI33230 pep chromosome:Prunus_persica_NCBIv2:G1:35956602:35958525:1 gene:PRUPE_1G412000 transcript:ONI33230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTPVYLNPASSPFSMVEQAEDQRLKLFISPPYDEAASCTSFPFPTFFDSLQDQTPGTTFTSLAHHQSQLYHHKDKNIWDCGTSYDQASPSSSLVQAHVVDAISDKDRRLSRCGDHERETNNIGGEGGGEEEGRSSNYITRPKSVKWMSSKMRLMQKMTSNNPDLPPGTTDHIPAEISEHKFQIHAQPREISETSFSSNGNNTATVRVCSDCHTNSTPLWRSGPLGPKSLCNACGIRQRKARRAMAEAAAAAANRFAVGSADTSSPKGKVAKEKKSRGSHKNKISKLVITDNASISHNNKKKICFKALDFQRVFPQDVAEAAMLLMELSCGLINNHS >ONI35684 pep chromosome:Prunus_persica_NCBIv2:G1:44966360:44966705:1 gene:PRUPE_1G550300 transcript:ONI35684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITHARCVDTAKYQLPIKQVKDTIQISMILIDLTTKRKRLNISGHNGGIVDLYKCKNTALAFSLLFACSHLTFHYIYLALHFVSNHLMGTYNIQLHIVL >ONI35067 pep chromosome:Prunus_persica_NCBIv2:G1:42231732:42236156:-1 gene:PRUPE_1G513200 transcript:ONI35067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQALTPPTPLFCFFFFTTLLFYPTESTQPPYACDSSQPSTSSYPFCKTTLPINQRVQDLVSRLTLDEKISQLVNSAPPIPRLSIPSYEWWSEALHGVADVGKGINLYGTISNATSFPQVILTAASFNEHLWYRIGQVIGTEARALYNAGQATGMTFWAPNINIFRDPRWGRGQETPGEDPLVVGKYAVSYVRGVQGDSFEGGKLKVGGRLQASACCKHFTAYDLDNWKSVTRFGFDARVSEQDLADTYQPPFKSCVQQGQASGIMCAYNRVNGVPSCADYNLLTKVARGQWDFHGYITSDCDAVSIIRDVQGYAKTPEDAVGDVLKAGMDVNCGSYLKDHTKSAVQQKKLDVSEIDRALHNLFSIRMRLGLFDGSPLEQPYGNIGPDQACSKEHQALALEAAQDGIVLLKNSGRLLPLPKSKAISLAVIGPNANASETLLGNYHGRPCKSITPLKALQGYAKYTNYEAGCDTVKCPQATIDKAVEAAKAADYVVLIMGLDQSQEREAHDRRHLGLPGKQQELISSVAKAAKKPVILVILSGGPVDITPAKYDKKIGGILWAGYPGEAGGIALAEIIFGDHNPGGRLPVTWYTQDYVKVPMTDMRMRPDTKTGYPGRTYRFYKGGNVYHFGFGLSYSNYIYEFASAIAQNKLYLNESSISPEVESSDSGHFRLIPDLSEEFCEKKKFPVRVAVKNHGEMVGKHPVLLFVGQKNPNNGSPMKQLVGFQSVILSAGERAELEFILNPCEHLSHANEGGLMVVEEGSYFLQVGDVEYPLDIIV >ONI34646 pep chromosome:Prunus_persica_NCBIv2:G1:40936957:40939295:1 gene:PRUPE_1G492400 transcript:ONI34646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSKPLSRNYTPNVVNQVLTAMLKNQPFNSELAASATTSQPWISESVSQVLISIPRFFFQSPSSIGRQHGFRHRAQLKQRNLRQESYRFHNNVLVLGPAAHRDLHKVQLGLDRALEFFYWVETHFGFVHNEQTCRDMAVVLARGNKLKALWDFLKEISKRGSGGLVTTQTITCLIKVLGEEGLVTDALAAFYRMKQFHCKPDVYAYNTIIYALCRVGNFNKARFLLEQMELPGFRCPPDVFTYTILISSYCRYGLETGCRKATRRRMWEANHMFRNMLFRGFVPDVVTYNSLINGCCKTYRIERALELFDDMNRMGCTPNRVTYDSFIRYYAAVNEIDKAVDMLRKMQNMKHGMPTSSSYTPIIHAFCEAGRVIEARDFLAELIDGGSIPREYTYKLVCDALNSAGELNLLDNDLHRRIKYGIESRYRQIMKVKPIMTRKGYDSMVET >ONI36122 pep chromosome:Prunus_persica_NCBIv2:G1:46477336:46478561:1 gene:PRUPE_1G570500 transcript:ONI36122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPYPNPISPSQTRIGWIGIGVMGAAMASRLLSAGYSLTIYARTPSKAHPLQSQGAQLAHSPFQLAQVSDVVFTMVGHPPDVRSNILDPETGLLWGLRPNSVSVDMTSSHPALAREIFNAARAKDCWTVDAPVSGGDIGAREGKLAILAGGDASVVEWLAPLFDVLGKVTYVGPAGSGQNCKIANQIVVGGNLLGLSEGLVFAERAGLDVQQFMEAVRGGAAGSMVMELFGDRIIGRDFRPGGFAEYMVKDLGMGVDVVEENEEGRVAVLPGAALCKQLFSGMVANGDGKLGGQGLITVIERLNGK >ONI26364 pep chromosome:Prunus_persica_NCBIv2:G1:1439537:1443346:1 gene:PRUPE_1G020300 transcript:ONI26364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVQAAMFRPPETPREPMEFLSRSWSVSAQEVSKALSPSPGQGQGPLAKLNLSSAVNGGGGPIPEDLAGELDESASFSGNPFSFASSETSQLVMERIMSQSQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDVKYGRSNNHHNTGFRATGAGGTATSGGGKTVGRWLKDRREKKKEETRAHNAQLHAAVSVAGVASAIAAIAAATAASSGSGKDEHMAKTDMAVASAATLVAAQCVEAAEAMGAEREHLATVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVEKGVGVGGNGNGSNGNSNSSFSGELVPEENFLGICSRELLAKGCEILKRTRKGDLHWKIVSVYINRTGQVMLKMKSRHVAGTITKKKKNVVLEVIKDMPAWPGRHLLEGGEHRRYFGLKTVMRGVVEFECRSQREYDIWTLGVSRLLSIAAERHNRHRV >ONI26365 pep chromosome:Prunus_persica_NCBIv2:G1:1440765:1443346:1 gene:PRUPE_1G020300 transcript:ONI26365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKKLKWYGRSNNHHNTGFRATGAGGTATSGGGKTVGRWLKDRREKKKEETRAHNAQLHAAVSVAGVASAIAAIAAATAASSGSGKDEHMAKTDMAVASAATLVAAQCVEAAEAMGAEREHLATVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVEKGVGVGGNGNGSNGNSNSSFSGELVPEENFLGICSRELLAKGCEILKRTRKGDLHWKIVSVYINRTGQVMLKMKSRHVAGTITKKKKNVVLEVIKDMPAWPGRHLLEGGEHRRYFGLKTVMRGVVEFECRSQREYDIWTLGVSRLLSIAAERHNRHRV >ONI29955 pep chromosome:Prunus_persica_NCBIv2:G1:23809312:23813104:1 gene:PRUPE_1G224400 transcript:ONI29955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNRDEATRAKEIAEKKFTAKDIMGAKKFALKAQNLFPGLEGIPQMLATLDVYVAAENKMNGEPDWYGILGADPKADDETVRRQYRKLALMLHPDKNKSIGADGAFKLLSEAWSLLSDKAKRVAYDQKRNAKVHQKVATASGASQASSGANGFYNFTKSTTSGTKTQKGTTRASRSSASASSQKARPSTFWTVCHKCKMQYEYLRIYLNHNLLCPNCHEPFLAVEIVPPPMNGSKSATAWNSSQQRQTPKHETANKNTFNTGRSNATSAGFNAPDSYNQNSFQWGPFSKASGASTAAQAASVVQQAYEKAKREREEAQAATKREEALRRKDQASKKVSGASSTGHPNAAKRRRGMEEVSESSYGKDVTNQMGVGAGGAGSVNFSGLKQANFESGRVNGNSRTITKDISLLEIQKLQREKARKEILKRLNTSTVAKNAVKEVGNGNEREKPLGNIDVRRDQNRCHEPVDTKNGASDRKPSGISGVRTDAEILETMSINVPDPDFHDFDKDRLEKCFEESQVWAAYDGDDGMPRYYALVHNVISLDPFTMRISWLNSKTNSELGPLSWVSSGFSKTCGDFRVGKYEVNKSLNSFSHRVRWTKGQRGAICIYPRKGDVWALYRNWSPDWNELTADEVIHKYDMVEVVEDYNEDLGVLVAPLVKVAGFKTVFHRHLDPEEARRIPREEMFRFSHHVPSYLLTGHEAPNAPKGCRELDPAATPSELLEVTKG >ONI26933 pep chromosome:Prunus_persica_NCBIv2:G1:4006368:4009655:-1 gene:PRUPE_1G056200 transcript:ONI26933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAVIRNKPGMASVKDMPVLQDGPPPGGFAPVRYARRIPNKGPSAVAIFLAAFGAFSWGMYEVGKGNKIRRAIKAEKYAARRAILPVLQAEEDERFVKEWKKYLDYEAEVMKDVPNWKVGASVYNSGRWMPPATGELRPEVW >ONI28174 pep chromosome:Prunus_persica_NCBIv2:G1:10053209:10054601:1 gene:PRUPE_1G128800 transcript:ONI28174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVITCTDEYTSPIPPDRLFKALVLDAHNLVPNLMPQAVKSIDTLQGDGRAGSIKQFNFAEGSELKSVKNRVDQVDEENFVYGYTLIEGDALIMEKLEYVSYEVKFEAAEDGGSKNKMVSKYHTKGDFVLQEEDIKTGREKALGMYKVVEAYLLQNPDAYA >ONI36075 pep chromosome:Prunus_persica_NCBIv2:G1:46347761:46349200:1 gene:PRUPE_1G568400 transcript:ONI36075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLIAQFSFLSNQALQDKSFDPATIEDLMKLFEIEAYKSWAAVELEQQQEVEAAEVAMQEAEDYMDSVMESAMDEFRRFEAEMEAISKAELDSLVATAESARKMGKFMENAATIASKRYIEAALNSATASMKSAWKGISTSKEATAESARKEGSLLFVVPFLLFGEKEKRQGG >ONI26659 pep chromosome:Prunus_persica_NCBIv2:G1:2674981:2676583:-1 gene:PRUPE_1G038100 transcript:ONI26659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKYLLVFLLGVVAFTTTTPSLADNHDPKPQIYKPPISVPPIYKPPPVDKPPHNEHHLLEVEGQFPKGEKPPPERNDQPPQVQKPPPERNDQPPKGKKPPPENNEPPKGKKPPPENNEPPKGQKPPPKEKPGDEPHKDEKPPPEHPGDEPPRKGEKPPPEHEPGHPRDEPPRKGEKPPPEHKGNPGNDEPPKGEKPPHHKQETRTRLLEEPLDGKLPPQGKKPKPPTKPKPPTPLDEHKPFPEPKPEPKPEPKPEPKPVDQPPKGEKPPHHIQETRTRLLEEPLDGKLPPQGKKPEPPTKPKPPTPLDEHKPFPEPKPEPKPEPKPVDQPPKGEKPPHHKQETRTRLLEEPLDGKLPPQGKKPKPPTKPKPPTPLDEHKPFPEPKPEPKPVDQPPKGKGDKPPHHDRHLEQNVVEGGRDPSKPPRKLKPPTGPVKPPHKLPFPN >ONI27671 pep chromosome:Prunus_persica_NCBIv2:G1:7921609:7924462:1 gene:PRUPE_1G099200 transcript:ONI27671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLFCKSLLLTPSLVSAPIRFSFKSISSPSSTSRVHRMATEASSSPSSNADREATSSSSSAPASSAIDFLSLCHRLKTTKRAGWVKRDVKDPESIADHMYRMGLMALIASDIPGVDRDKCIKIALVHDIAEAIVGDITPSDGVPKAEKSRREQEALDHMCKLLGGGKIEEIGELWMEYEGNSSPEAKIVKDLDKVEMILQALEYEKDQGKDLEEFFQSTAGKFQTDLGKSWASEVASRRKKQG >ONI27670 pep chromosome:Prunus_persica_NCBIv2:G1:7921452:7924623:1 gene:PRUPE_1G099200 transcript:ONI27670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLFCKSLLLTPSLVSAPIRFSFKSISSPSSTSRVHRMATEASSSPSSNADREATSSSSSAPASSAIDFLSLCHRLKTTKRAGWVKRDVKDPESIADHMYRMGLMALIASDIPGVDRDKCIKIALVHDIAEAIVGDITPSDGVPKAEKSRREQEALDHMCKLLGGGKIAEEIGELWMEYEGNSSPEAKIVKDLDKVEMILQALEYEKDQGKDLEEFFQSTAGKFQTDLGKSWASEVASRRKKQG >ONI28920 pep chromosome:Prunus_persica_NCBIv2:G1:13811674:13813004:1 gene:PRUPE_1G169000 transcript:ONI28920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLGFEKLAQESSTLARYNKKPTITSREIQTAVTCCLLPSLLALQELGLFLCICI >ONI27366 pep chromosome:Prunus_persica_NCBIv2:G1:6091730:6092882:1 gene:PRUPE_1G082200 transcript:ONI27366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMQVINENFDVEVNAETRLQQIEKHSVCILECLDSNTFTGNDTYHENLEKLTTFLSEHVASSQFINDSIGLYPNELYGFGLCFGSTSPTDCRNYTHCPYNKGAVIWFADGQVKYMNKDFLCQADDRFRYDLALKKVSGNHRPITKTTLLIVRGVFPTCCDGKIGATIYSESCWIAFSVGNAYFD >ONI35447 pep chromosome:Prunus_persica_NCBIv2:G1:43822257:43826056:-1 gene:PRUPE_1G536300 transcript:ONI35447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTETLDEMVQNGTLSPELAIQVLVQFDKSMTEALETQVKSKVSIKGHLHTYRFCDNVWTFILQDALFKNEDSQETVGRVKIVACDSKLLTQ >ONI27440 pep chromosome:Prunus_persica_NCBIv2:G1:6453073:6454984:-1 gene:PRUPE_1G086600 transcript:ONI27440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSERDTVPLHPSSQSDIDEIENLINASVQSGPTTVLPAKPPSPTRASIPVSSSPFIQSNLPPPAPSASSKQKPPSVPAPPPIPSANNGPGIVPSGFGSPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDIGALICMVKDNVILKVVVVSVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLLYVSVGFLIIAID >ONI27517 pep chromosome:Prunus_persica_NCBIv2:G1:6901020:6908123:1 gene:PRUPE_1G091600 transcript:ONI27517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAISPLHSATTVHAANPTRKHRSCEALCCSGNLGFRSSERRRWVNPTRMELLNRIIGGGGCFRDPSRREIKVEIDSGGEDVFAAHPKGSAVPEHLVIMVNGIIGSASDWRYAAEQFVNKLPDKVIVHRSECNSSMMTFDGVDMMGERLAEEVLAVVRNRSEVRKISFVAHSLGGLVARYAIGRLYERCPKSEPSGHNGNCLIEGHTNNLTQSLDKPHHGTIAGLEPMNFITFATPHLGSRGNKQLPFLCGLPFLERRASQTAHFIVGRSGKHLFLTDDDDGRPPLLLRMVNDSDDLKFISALRAFKRRVAYANANYDHMVGWRTSSIRRQDELPKSNLLGTNERYPHIVYVKQETFDDVHMKASSCAQDQKIDLEEEMIRGLTQIPWERVDVSFQKSRQRYIAHNTIQASEELLVEF >ONI27516 pep chromosome:Prunus_persica_NCBIv2:G1:6900841:6908401:1 gene:PRUPE_1G091600 transcript:ONI27516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAISPLHSATTVHAANPTRKHRSCEALCCSGNLGFRSSERRRWVNPTRMELLNRIIGGGGCFRDPSRREIKVEIDSGGEDVFAAHPKGSAVPEHLVIMVNGIIGSASDWRYAAEQFVNKLPDKVIVHRSECNSSMMTFDGVDMMGERLAEEVLAVVRNRSEVRKISFVAHSLGGLVARYAIGRLYERCPKSEPSGHNGNCLIEGHTNNLTQSLDKPHHGTIAGLEPMNFITFATPHLGSRGNKQLPFLCGLPFLERRASQTAHFIVGRSGKHLFLTDDDDGRPPLLLRMVNDSDDLKFISALRAFKRRVAYANANYDHMVGWRTSSIRRQDELPKSNLLGTNERYPHIVYVKQETFDDVHMKASSCAQDQKIDLEEEMIRGLTQIPWERVDVSFQKSRQRYIAHNTIQVKSYWLNSDGADVVFHMIDYFLL >ONI35170 pep chromosome:Prunus_persica_NCBIv2:G1:42684510:42686498:-1 gene:PRUPE_1G520100 transcript:ONI35170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGLIEKPHAVCIPFPAQGHINPMLKLAKLLHYKGFHITFVNTEFNHKRLLKSRGPNSLDGLPSFRFETIPDGLPLTDANATQDIPSLCESTRKHSLPYFRDLLSKLNSSTGCPPVSCIVSDGVMSFTLDAAHELGIPEVLFWTTSACGFLAYVHYHRLIENGLTPLKDASYLTNGYLETQIDWIPGMRGIRLKDIPSFIRTTDRDDLMLDFLVHETERTRKASAVVLNTFHDLEHEALDALSTLLPPIYSIGPLHLQINQIPADSELKEIRSNLWTEEPECLEWLDSKEPNSVVYVNFGSITVMTAEQLIEFAWGLANSNQTFFWVIRPDLVGGDSAVVPPEFVEETKERSLLAHWCPQEQVLSHPAVGGFLTHSGWNSTIESVCAGVPMICWPFFAEQQTNCRYSEKEWGIGMEIENDVKRNYVEGLVRKLMEGEEGKDMRKKALEWKKLAMEATSPNGSSFVALDKMINQVLLSPRN >ONI34762 pep chromosome:Prunus_persica_NCBIv2:G1:41194943:41197579:1 gene:PRUPE_1G497300 transcript:ONI34762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPRITIKVIISLFCSYMLLSHYITTLSPALALEDCHFPAIFNFGDSNSDTGGMAAAIYRPPPPSGKTHFHTPAGRFSDGRLIIDFLAKSLGHPFLSAYLDSIGTNFSHGANFATASSTIRLPDPIIPAPGGFSPFTLNIQCSQFLQLKSRSQLIRHRGGIFASLMPKKKYFAKALYTFDIGQNDLPEGFFGNLTVQEVNASVPNIVSTFSANIKKIYDSGGRSFWIHNTGPIGCIPYILVNFPAQKDEVGCAKLYNEVAQYFNHKLKEATVQLRKDLPLAAITYVDIYSVKYSLYKEPQKYRFEQPLVSCCGTGGKYNFNSKTVECGRTVTVNGRQIFADSCKRPYVKVNWDGIHYTDAAAKIIFDKISTGAFSDPPLPLKQACHRSLE >ONI30239 pep chromosome:Prunus_persica_NCBIv2:G1:25381167:25382378:-1 gene:PRUPE_1G239500 transcript:ONI30239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSTQPKLLTFKYVFLSLLLTLPILLLVLRLQPQNLRWTAASPGNIRPPTVPNITTRGIRIRPGYTSYEAYIQRQVNKTLNPRLRQVWITRDWDRKIQVFSQFFQNLKRRSLLSNDSKSLCIGARVGQEVEALRRVGVSDSVGIDLVPYPPLVVKGDFHNQPFGNDTFDFEFSNVFDHALLPDKFVAEIERTLKPRGVCVLHVAVARRTDKYSANDLFSIKPLVEMFRRSELVQVREIDGFGANTEAVFMKRETGYGEHIQRS >ONI29042 pep chromosome:Prunus_persica_NCBIv2:G1:15137429:15139432:1 gene:PRUPE_1G177300 transcript:ONI29042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESNKTRLRLCSFVYEGMKDRMERFAVLPFGIGCTSQSSVAIGTSDDQTRKSKAETQNPPPHVTNDGKAQGAKVKNTYRFLNLRKSHISSGFERLIRSIKSLSQIFVYKEEIEEEEEREMEIGVPTDVKHVTHIGLDGSTTTNTTGSIKGSWENFNSAPEILSFPSISLKQFEFAMAAQTTHQPQPLLVDDPKTPTDVN >ONI35976 pep chromosome:Prunus_persica_NCBIv2:G1:46041222:46044410:-1 gene:PRUPE_1G563500 transcript:ONI35976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMKFPKRYLVVILTFLSTSVCYIERVGFSIAYTVAADAAGVNQSSKGTILSTFYYGYACSQVPGGWAAQKIGGRKVLLLSFVLWSLTCALVPLDPNRVFVMVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAAGMLFLPSLVKYRGPQSVFLAEAALGAMWSLLWFKYASDPPRSEHPKATAAGFGESMLPIKGSQKLKLENGGSSVRTAKIPWKKILLSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQEMGSSKMLPYLNMFIFSNIGGVVADHLVTKRILSVTRTRKLLNTIGFIVASLALMAIPIFRTSGGAVLCSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDLTGKLLEAARTVDSSLSSAESWRVVFFIPGLLCIFSSVVFLLFSTGERIFD >ONI32250 pep chromosome:Prunus_persica_NCBIv2:G1:33039669:33043449:1 gene:PRUPE_1G356500 transcript:ONI32250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRSKIQEQHSSLFSSLIIMIIVGIGVIRRCNGEGYLIGVGSYDMTGPAAEVNMMGYANMDQSTAGVHFRLRARTFIVAESSQGPRFAFVNLDAGMASQLVNIKVLDKLKSRFGDLYTEENVAISGIHTHAGPGGYLQYLVYSITSLGFVQQSFDAIVNAIEQSIVQAHHNLKPGSVFINEGDVVNAGINRSPSAYLLNPAEERARYPSNVDTLMTLLKFVDDASGKSVGAFSWFATHGTSMSKNNLLISGDNKGAAARFFEDWFSSSSSSSTTSADSSASLLSLIKKAQSMKATGGKLCGKTSSRESKVRKNNGSLFVGAFCQSNVGDVTPNVLGAFCADSGRPCDFNHSSCHGNDLLCLGRGPGYPDEILSTKIIGERQFQKAAHLFTTATQQLTGTIDYRHVYLNFTDIEVELLDGNKKVKTCPAALGPGFAAGTTDGPGAFGFQQGDTKINKLWRKLRDALKKPTPYQVDCQKPKTVLLDTGEMFVPYAWAPAILPIQMLRLGKLIILSVPGEFTTMAGRRLREAVKETLISNSNGEFDDETHIVIAGLTNTYSQYIATFEEYAQQRYEAASTLYGPHTLSAYIQEFEKLAKAMAKGEQITKGPSPPDLSSVQLRLLLEPTGDLPPPHTNFGDMKQDIIIPKSGSFKKGDRPIATFWSANPRYDLLTEGTFAVVELLQGKHWVPVYDDDDFCLFFKWKVDNITLYGTATIEWEIPRDADSGVYRLRHFGSSKKTKDSPNIYFTGASSGFAVS >ONI32964 pep chromosome:Prunus_persica_NCBIv2:G1:35148962:35152809:-1 gene:PRUPE_1G396500 transcript:ONI32964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPSITTTQEPSPVYDLVSQLGRFAFSSRFQNQGSDGHSPFLSNFLYFDGFGGSVSAKKPKVGASASLSLTSRGSSSIRRIVTEFNRAIRFHCDKFPIGFASVQTGYGDNNGSDNGVGDNNNVLIEDGGGANDHGIALNGVGAESPKKVLILMSDTGGGHRASAEAIKAAFNEEFGDEYQVFVTDLWSDHTPWPFNQLPRSYNFLVKHGPLWKMTYYGTAPRLVHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRVLRGRGLLKKIVFTTVVTDLSTCHPTWFHKLVTRCYCPTTEVAKRALKAGLQPSQIKVYGLPVRPSFVKPVRPKAELRRELGMEEDLPAVLLMGGGEGMGPIEATARALGDALYDENLGEPVGQVLVICGRNKKLANKLLSINWKIPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPYVVENGCGKYSKSPKEIAKIVAQWFGPKADELKAMSENAIKLARPDSVFKIVHDLHELVRQRSLVPQLSCTT >ONI30188 pep chromosome:Prunus_persica_NCBIv2:G1:25013399:25018822:-1 gene:PRUPE_1G236100 transcript:ONI30188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISLEIFNVVFFVVLLTWVLLDIMRRRRDGSSLIHRADRGCEVFALVTIFSNALISIFYLGFGVYEYWGGGRNVSCKSIFSGMTWILATVVTFYAKNRTHSEQNRWPWVLIIWWIFACSFYLLYVCLYLITHFISIDLPHILLKANIVDFASFPLSILLCFNAFSYEAQKKNDLKQPLLEKEDEAPPENTDTYANAGIWSKATFQWLNPLFRRGRIQKLELPHIPYVPPSERAKNASSVLDESLRKQKMEDSSLSKAIMRAIGKSLAINAVFAGVNTASSYVGPFLITNFVNYLLEKHDNSSIHHGLILAFTFFIAKTLESLSQRQWYFGAQVIGVRVRAALTLLIYQKSISIKYSCPSNGKIVNLINVDVERIGDFCWYIHGVWLLPVQVFLALAILYRNLGAAPSAAALLSTILIMVCNTPLAKMQKRLHSKIMEEKDSRIKVTSEILKNIRVLKLHSWEPTFLKKLLQRRETERNWLKRYLYTSSAVVFLFWASPTLVSVTTFGVCIILNTPLTIGTVLSALATFRILGEPIYNFPELISMITQTKVSIDRIQEFVQEDQMKLIPCHDSKVSNVTVVLEPGEYAWKTSAQDLKTPTIKITEKIKIMKGSKVAVCGSVGSGKSSLLLSILGEIPKISGAGAKVYGTKAYVSQSAWIQTGTIRENVLFGKEMNRGCYEDVLEICALDHDVNTWADGDLTVVGERGMTLSGGEKQRVQLARAVYSDSDVYIFDDPFSAIDAHTGTHLFKKCLLQHLSMKTVIYATHQLEFLEAADLVLVIKDGKIAESGKYEDLIADPNGELVRQMSVHKKSFDQVYTCQQDNRRPHQVNLIKVSEEKEAINNGKLSEKSHEEEAETGRVKWRVYSTFVTSAYRGALVPVILVCQVLFQGLQMGSNYWIAWGTEKEDRVSKERLMWVFALLSAGSSIFILGRAVFLATIALQTAQRLFLGMITSVFRAPISFFDSTPSSQILSRCSTDQSTVDTDIPYRLAGLVFALVQLISISILMSQVAWQVFILCLGVFALSMWYQAYYITTARELARMVGIRKAPILHHFSESIAGAGTVRCFNQEDRFMMKTMDLIDDYSRIVFHNYGTMEWLSVRTNFLFNLVYFIVLIILVSLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNTCNVENKMISVERILQFTKIPIEAPLVIEDSRPVPEWPMAGKIEIENLRVQYNPALPTVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRIVEPSGGQILIDGVDISKIGLQDLRSRLSIIPQDPTLFQGTMRTNLDPLKQHSDQALWEVLNQCRLAEIIRQDQRLLDTPVAEDGENWSVGQRQLVCLARVLLKKRKIIVMDEATASVDTATDILIQQTIRKETSGCTVITVAHRIPTVIDNDLVLVLDEGRVLEYDSPARLLEDSSSAFSKLVTEFLRRSSMSKGL >ONI26389 pep chromosome:Prunus_persica_NCBIv2:G1:1496853:1498070:-1 gene:PRUPE_1G021500 transcript:ONI26389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSMQSIFLANNSVAYGAGNNKSVGPFSVPALHRYPNMRVRSMVKDGKKEQPSTVTNASKNPPPSNTPFPSKVSTKISDLLAFSGPAPERINGRLAMVGFVSALAVELFKGQDVFAQISDGGFSLFVGTSILLSVASLIPLFKGVSVESKSDGIMTSDAELLNGRLAMLGLVALAFTEYVTGGTLV >ONI33411 pep chromosome:Prunus_persica_NCBIv2:G1:36463372:36464568:1 gene:PRUPE_1G422400 transcript:ONI33411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPFSCGFFHPEDVHDEPTSSSPCSTPRRSSRRSSKDSKNPYSSRGLDKFSALLADLEEKRQKIYAETPPQDISFVRFVFKDSNDDVPVPIVIKVKDKKEDQNNNKISKPDQYQVLVKEKHATPLDKLTVEPSTPTKEVSQEQPKTDDKKRRVFWSWNFSDMNLGLWKRPSFYLPVVMVFILVLLAVFGRSVAILCTSIGWYALPTLKESSSRSSTRRASKKKDHVRRFSDNNNKTATDHHGLSSPKSSSPTAGTPRSQQHGHRKSW >ONI34945 pep chromosome:Prunus_persica_NCBIv2:G1:41878468:41883236:1 gene:PRUPE_1G507600 transcript:ONI34945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTETQPGLFLGFSTHHSSSSSNSIQSVPLQLLDQKEEQIRVENGDDHDEDENFNLLGHSICLKRQRDVSGFTPNPSKCLAVEPMGLEARRAAVRSWGNQPLSSADPEVHEIMEKERHRQFKGIELVASENFVCRAVKEALGSHLTNKYSEGMPGARYYTGNQHIDQIELLCYERALGAFSLDPEKWGVNVQPYSCTSANFAVYTGLLLPNDRIMGLDSPSGGHMSHGYYIPGGKKVSAASIFFDSFPYKVNPQTGYIDYDKLEERAVDYRPKILICGGSSYPREWDYARFRHIADKCGAVLMCDMAHISGLVAAKECASPFDYCDIVTSTTHKSLRGPRGGIIFYRKGTKLRKQGVHHSNVDGSNHYDFEEKINFAVFPSLQGGPHNNYIAALAIALKQVATPEYKAYMQQVKRNAQALANALLRRKCRLVTGGTDNHLLLWDLTALGLTGRNYEKVCEMCDITLNKTAIFGDNGALSPGGVRIGTPAMTTRGCVEADFETMAEFLLRAAQITVNVQREYGKLQKDFIKGLQNNKDIVDLRNRVETFASQFAMPGCDI >ONI29907 pep chromosome:Prunus_persica_NCBIv2:G1:23588492:23592202:1 gene:PRUPE_1G221400 transcript:ONI29907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQQTKSVAVTILHNATIVTMDPDARVFRNGGVVIERDAIVAVGQSSDILHQFSSLAHQIFDLNGQIVLPGLINTHVHTSQQLGRGIADDVDLMTWLHHRIWPYESNMSEEDSYISTLLCGIELIHSGVTCFAEAGGQHASGMARAVELLGLRACLAESIMDAGDGLPASWAGRSTDDCIQSQKELYKKHHNTGDGRIRVWLGIRQIMNATDGLLLATRDTAKELKTGIHMHVAEIAYENQFVTETRKVDHGTVMHLEKIQFLQDNLLAAHTVWVNPAEIDCLSRGGVKVSHCPAAAMRMLGFAPIREMLDAGICVSLGTDGAPSNNRMSIVDEMYLASLINKGREVHTNGTTDPTALPAETVLKLVTINGAKSVLWDDEIGSLEVGKKADLVVINPSSWTMVPLHDSISSLVYCMRTENVVSVMCNGEWIMKDKKILNVDEEEVLSKAKHASAELLKRAGIKIPSRMNFL >ONI31736 pep chromosome:Prunus_persica_NCBIv2:G1:31101426:31102262:1 gene:PRUPE_1G327300 transcript:ONI31736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHGFIGTQIELKSPADKFYKIFKGQAHLIPNVSSGHIKGVQVHEGDWETHGSVKIWNYHLGDEVGTFKEKVEYDDENKAATLTGLDGEMFKYYKSFKGIYQFAQKGNVSVANLTIHYEKRNADVEAPDRFVGLMVTLVRDLDAHFAKA >ONI31206 pep chromosome:Prunus_persica_NCBIv2:G1:29418866:29422960:1 gene:PRUPE_1G298500 transcript:ONI31206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPTPISSFSFTNPTLPKRISSVPSAVNSKGVAKDLIFNHDGSATKKLLAGVDMVAELVGVTLGPKGRNVVLQNKYGPPKIVNDGETVLKQIELEDPVENVGVKLVRQAGAKTNDLAGDGSTTAVILAHGLITEGVKVTAAGLNPIQIARGIEKTAAALVSELKLMSREIEDHELAHVAAVSAGNDFAVGNMIYDALLQVGKKGVVTIEQGKCTENNLQIVEGMQFDRGYLSPYFVTDRRRRIVEFQNCKLLLVDKKITNPKEMFKLLDNAVQEKYPMVIVAEGIEQEALAPVIRNKLRGVLKAAAIKAPAFGERKSHYLDDIAILTGGTVVRDEMGIILEHANKEVLGTATKVVITKDSTLIVTDGSTREAVEKRVSQIRKLVENTEEEFQKKILNERIARLSGGIAILQVGAQTQVELKDKQLRIEDALNATKAAIEEGVVVGGGCSLLRLSKKVDGIKELLDNEEQKIGAEIFKRALSYPVKLIAKNAGVNGNVVVEKILSNDNIGYGYNAAKDCYEDLMKAGIMDPSKVVRCCLEHAASVAKTFLTSDAVVVDIKETQPFPRRMPPKLPNIPRRMPPELPNIPRRMPPDLPNIPRRRPTPMSISMPNSGAGSFGL >ONI31207 pep chromosome:Prunus_persica_NCBIv2:G1:29419054:29421775:1 gene:PRUPE_1G298500 transcript:ONI31207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPTPISSFSFTNPTLPKRISSVPSAVNSKGVAKDLIFNHDGSATKKLLAGVDMVAELVGVTLGPKGRNVVLQNKYGPPKIVNDGETVLKQIELEDPVENVGVKLVRQAGAKTNDLAGDGSTTAVILAHGLITEGVKVTAAGLNPIQIARGIEKTAAALVSELKLMSREIEDHELAHVAAVSAGNDFAVGNMIYDALLQVGKKGVVTIEQGKCTENNLQIVEGMQFDRGYLSPYFVTDRRRRIVEFQNCKLLLVDKKITNPKEMFKLLDNAVQEKYPMVIVAEGIEQEALAPVIRNKLRGVLKAAAIKAPAFGERKSHYLDDIAILTGGTVVRDEMGIILEHANKEVLGTATKVVITKDSTLIVTDGSTREAVEKRVSQIRKLVENTEEEFQKKILNERIARLSGGIAILQVGAQTQVELKDKQLRIEDALNATKAAIEEGVVVGGGCSLLRLSKKVDGIKELLDNEEQKIGAEIFKRALSYPVKLIAKNAGVNGNVVVEKVSAC >ONI34116 pep chromosome:Prunus_persica_NCBIv2:G1:38679181:38681497:-1 gene:PRUPE_1G463400 transcript:ONI34116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVKQMSLTVAFFGVLSFVLGVIAENKKPASGTPIPGKGVVFCKYPADPSVVLGYLSFAFLLVSTVAGFLSLFYPYKGKALPQAALFRSTSFVVFFNISLLTAGLAAALLLWPTITEQLHRSRNVHRNLDTACPTAKTGLLGGGAFVSLDASLLWLVALMLADNAREDYFEEIEEDVKGEYGQVSATDYDGRVNVKGSA >ONI34256 pep chromosome:Prunus_persica_NCBIv2:G1:39245027:39246897:-1 gene:PRUPE_1G471500 transcript:ONI34256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIITIICKRTVVSTKPVQAGKSYPLSVLDRHMEHNHLRMVLYYPSMGAPTEPGEITGRLRESLAVMLTHFPIVTGRLQKNDNDQWMIKCNDAGVRMLEAKAKGSLEEWLRNVDRDKELMLVYWEEMYHKPYFWSTFYVQITEFEDGGLAIGLSCTHLLADPTCATMFIKAWADTTFPGKMMSLPFFYPLPRRSPGNTRPNHNTYNSLITHYKASINESIDLVDKKHTTVSFGFSDDMVRACMEMAQPDGANDKSSPSPFEALAGLFWVCISKVKGVRNGLIDMSISIDVRQVLGLDKGFFGNSMVYNKVHLESFQENSLSQAATSIGEVVAKMDNEGIMDLIEWLQCNDDQSPSLMNGCDLICASLEAVDPFSAIFEDGIAPIHVSCYIEPVLGLGKVLILPAKPKDGQLSRAVMVTLPRDEVTKLCEDDLILQLSPTILMDLNKT >ONI33162 pep chromosome:Prunus_persica_NCBIv2:G1:35801076:35804374:1 gene:PRUPE_1G409000 transcript:ONI33162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAPEGSQFDARQYDAKMSELLGADGQEFFTSYDEVHESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYALPDCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALDITRKFMNKPVRILVKRDELTLEGIKQFHVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDDRMLFDIQKFYNVVIEELPANVADLL >ONI33122 pep chromosome:Prunus_persica_NCBIv2:G1:35712871:35714819:-1 gene:PRUPE_1G406900 transcript:ONI33122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRAAEKDSASEIHIPADIDWHMLDKSKFFFLGAALFSGVSAALYPIVVLKTRQQVSPTQISCLKMSCAMMRHEGPRGFYRGFGTSLMGTIPARALYMTALEVTKSNVGTVTVRLGFSDTTAMAIANAAAGLSSAMAAQLVWTPIDVVSQRLMVQGCSTSSKNILPNVSSYKYSNGLDAFRKIVHADGLRGLYRGFGISTLTYAPSNAVWWASYSVAHRLIWGGFGCYMCKKDESGSANRPDCRAMLAVQGLSAAMASGVSALITMPLDTVKTRLQVLDAEENGRRRPLTILQTVRNLVREGGFAACYRGLGPRWASMSMSATTMVTTYEFLKRMSTKSQGSYPS >ONI35326 pep chromosome:Prunus_persica_NCBIv2:G1:43345339:43347157:-1 gene:PRUPE_1G530300 transcript:ONI35326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGYVDTKGGNSKHPSPTNHRECQTSEEERHPAATSSGTATVTTNPSAQNPKSSAAADPSNPSADGATIEVIRRPRGRPPGSKNKPKPPVIITRDSEPPMSPYILEVPGGSDIVEAVSRFCCRKNIGLCILTGSGTVANVTLRQPSTTPGATVTFHGRFDILSISATFLPQTTPSCPVSVPSGFTISLAGPQGQIVGGLVAGALVAAGTVYVIAASFNNPSYHRLPGEDEAVRNSGSGDAHSPPLSGGVESGGHAPPSSQSCGMSMYSCHLPTDVLWAPTARQPPPPPPY >ONI32135 pep chromosome:Prunus_persica_NCBIv2:G1:32635894:32637982:1 gene:PRUPE_1G350500 transcript:ONI32135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVRVKQAVAVLVKVAAVLLFFFLIGGCATTTATATSTIRSTITYPEFQELNVKETIAGTKMNIGPPTAAARNVTSSSSSSPTTTASTSDSGGGGGGKWKVKVVHRDTISLQSHRNGGGHTHAHVFHARMKRDAKRVASLVRRLSHRISSSSNSSTIDEEEEKEEEKGFGSEVVSGMEQGSGEYFVRIGVGSPPRSQYIVIDSGSDIVWVQCQPCSQCYHQSDPIFDPAHSASYMGVSCSSSVCGHLQNPGCHAGRCRYEASYGDGSYTEGTLALETLTLGSTLIQNVAIGCGHMNRGMFVGAAGLLGLGGGPMSFVGQLAGQTGGAFTYCLVSRARRSGTASDTDDAAAASELGGGGGGSIEFGREAMPVGAAWVPLIRNPRAPSFYFVGLAGLGVGGMRVPISEDIFGQSEGGVVMDTGTAVTRFPTVAYEAFRDAFVQQTSNLPRLSSGVSIFDTCYDLNGFVSVRVPTVSFYFSGAGGPILTLPASNFLIPVDDKGSFCFAFAPSPSGLSIIGNIQQEGIQISFDGANGFVGFGPNVC >ONI33938 pep chromosome:Prunus_persica_NCBIv2:G1:38197462:38198758:-1 gene:PRUPE_1G454700 transcript:ONI33938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSREARRRKIMERGSDRLALITGRIQTLPSSSSSSTDPATHPEDTDPPSHPLISHDQEPQIHVPDQIPVVTPEIEEKGFDLQCDTNTEEVFGATDSQTQPLVSSSSRVERPSPSSLPTLTPFQKPRLVTWTGISDAIEATEGTRIYISLAVALLVVLAHLGFPLLGSKMVKTLLGFRPLYLVLVTNVTLVLVRIVESGRRRLSGMAGRFGELNMPVDGYEWADRLGRTLQAGLVMKKVLDALFMDCAVYAIIVVCGLSFAQQLS >ONI28025 pep chromosome:Prunus_persica_NCBIv2:G1:9275919:9281775:-1 gene:PRUPE_1G117900 transcript:ONI28025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFDDDFGELYAGVEPQANSAINRVPNFAHLYTEPEEDINDDDDDDHKAKNARPGSEEQFVSDSKKPDSVCEELGEKVSGLEANEDYSGSDSEDDLKIVLNYEDCEGQMFPVAGRGSMKNDEDEDDDGFVATKEYKYVRTKGYTCPSNGKANETVGLVSSMLVRGDHDNNNMRNQRKGSSSHIACVRDTPHPVLTQNRYGFRLPWYRTILDVNVESFEEKPWRYPGVDLTDYFNFGLNEDSWKQYCNSLEQLRLTSMQFGIPVPDSSKLYQVKEAGSEYDKFAQETISKDMNHAGPRKHGPPSRFFDSRVRQLELPKGRAIQIEDSISERQPSFDSRHPRSLDSDVVIQITVQGPTEETSDSGEAQGCTNGTLHEASANGEFVIPSSNFAKEDNLSVRSLKGNSRRLDRCSQQISPMAIDSDNHRNNQAFDQDGYDYKQVNAISLETIEIANQTTESVGRNAVCADQSMMEAQISLGDDDQLSPTSSCFVSDSEASNNSDHFDPEDIHTPVRSTVNPHIELCKSVRSSCKNSKGVVIKRKVVDVKDYPACGSPAPKKRKHQDRRLDSVVEPNVHRKDDHCASPTSETDDLYDRDHYVNSRRQKKRLQDFSRGHREDISDYKESSHYGIRYTDNHVQTANTNDWNRKGSQKFQDMLDPYVSKTWNEREYSCEERDADWHHFGRTQFTKERSPLTNRESRGLHSRYSSHTAEERDAPCRRNISKLQFKKIPNPSGGFNYKCEDDFVGEKFGRCVSFTDQKRKFPQVRRELKNSRGRGGHVDGPVLYWDDSCSGKTKDQYCRHGENRYLSHQSYTADEERWNDSLSPRNDVSYSRATDERYWRHARKIYSAEAKESNWFDSHNTDEIDDIIYPNDHLRWRRSNWRSEVLHWTEDQLTVRDHGDKFYSKKGSVSYQKYVRNETFHVKYGSSHDAMHIDDMLPEQHRLKMMRKRSAKCMNRSSMMGKHEQTILRCRDSTDLIVGEGKSSGRCSKGRTLMRNDRRENMDPEIGGARTTLVGSSESEKRAVQFSIPKVRRNRNNENRLEVFPVTGQNDDLDMEDGQIVTEELTTAHPLQRKHASEYAAPAHNVKRRPFNKGSASHGNKVVEGYDNQRILETMAKMEKRGERFKESITLKKEPDKLSKPEVDLLAETAETKQHRPARKRRWGGV >ONI28178 pep chromosome:Prunus_persica_NCBIv2:G1:10062317:10068257:-1 gene:PRUPE_1G129200 transcript:ONI28178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNIRDLLTAFSPSLDLFAISSGDGRIKIWDTVKGQVQTEFTDIVSSEDTSIYAKRERGHLSVDYTCMKWFSSERKKKRKLGCSLLVLGTGGGDVLALDVSAGQLKWRLNDCHAGGVSSISFPRNASCIYTAGADGMICEIDALTGNLLGKFKASTKAISSMSVSFDGKILATAAAQMKIFSLSDHKKIQKFSGHPGAVRCMIFSEDGKYILSSAVGERYIAVWNIGGGKKQSASAVLAMEHPAVFVDSRFIDNGEVGDMGLYVLAISEVGVCYFWFGKNIEELRNAKPTRISVSSEVILTKTQKGAVTTIFAAVLQGIVKAASGQIFVAYGLPVKPLFQKILVHSGTDIKLSSSHDGILLPMSQSLVKSKKGQNVQNRVIALDRANAEDALLPIPKIFDSHEKKKRHEKLSFGKDEVMADLDDSGSHAGLMKSKDDMVEFEADTAAICMEDRLRSLGILSKADDLIINSTLNSATFKSIDLHTNMPQKKMRAAVLSLEPSDACKLLGVLVAMWQTRSSSGNYVLPWIYSILVNHSHHIMSQEPETQMLSSLLKVTKSRGAAIQPLLQLSGRLQLVMAQIDKATHTKTQVLSHENQMDESEDEDEDVNEIHYGEEDDDSEISSDDDQ >ONI27882 pep chromosome:Prunus_persica_NCBIv2:G1:8733955:8734519:1 gene:PRUPE_1G109300 transcript:ONI27882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNENRVVKMKWGTKTKKKAKERNGMKPVPVDVSVSKSQLWHGHSLSFHRHFSSFLQSFLVFQVSSLKRRF >ONI26153 pep chromosome:Prunus_persica_NCBIv2:G1:586207:591015:1 gene:PRUPE_1G007000 transcript:ONI26153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAIHSLPMDGSICGHGEFSGSLDGTNLPGDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKAIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKDSAENSKDGIAASCIAESQDTGSSSATSSRVIAQDLNDGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDQAASAAGLEAAKEELSELAIKVSNDCEGITPLDTMKMPSLSEIAAALENRNASNVLARLGNCSVDSCLASTGTPVSPMDMSSLAAAMKKRQRPFFGNGDSLPLEGNMRQEVEWMMSNIG >ONI32589 pep chromosome:Prunus_persica_NCBIv2:G1:34027534:34029999:1 gene:PRUPE_1G374900 transcript:ONI32589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVPENPSEQGIAEASTSMCIPEPEEPQCSMRKWYFTRQEIEDQSPSRRDGISLKKESQLRDSYCSFLQAIGMKLKVSQTTIACAMMLCHRFYMRQSHAKNGWQVIATASFFLACKVRDTPCFLKDVVVVAYEMVHKLDPSALQSIRQREVFNKQKELIIVGERLLLSTIGFDLDIQLPYASLVAALKSLNILPDLAQMALNFVNDCVRTSLCLQYKPHYIAAGSVALAAKIQKVKLPTQQGKVWWLEFDVSPKQLNEVIQRMMRPDRKQALAPENGRVIESETIPRKQSGIDEDGSAQIKQIKGELDDRNSRCKTDSVHNNCVKIDINRIRDAIKRKRCERSVNKKVVHATDDEIDTEAWIESELENGVELEDTSAKKKQRL >ONI32591 pep chromosome:Prunus_persica_NCBIv2:G1:34027062:34029999:1 gene:PRUPE_1G374900 transcript:ONI32591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVPENPSEQGIAEASTSMCIPEPEEPQCSMRKWYFTRQEIEDQSPSRRDGISLKKESQLRDSYCSFLQAIGMKLKVSQTTIACAMMLCHRFYMRQSHAKNGWQVIATASFFLACKVRDTPCFLKDVVVVAYEMVHKLDPSALQSIRQREVFNKQKELIIVGERLLLSTIGFDLDIQLPYASLVAALKSLNILPDLAQMALNFVNDCVRTSLCLQYKPHYIAAGSVALAAKIQKVKLPTQQGKVWWLEFDVSPKQLNEVIQRMMRPDRKQALAPENGRVIESETIPRKQSGIDEDGSAQIKQIKGELDDRNSRCKTDSVHNNCVKIDINRIRDAIKRKRCERSVNKKVVHATDDEIDTEAWIESELENGVELEDTSAKKKQRL >ONI32590 pep chromosome:Prunus_persica_NCBIv2:G1:34027006:34030038:1 gene:PRUPE_1G374900 transcript:ONI32590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVPENPSEQGIAEASTSMCIPEPEEPQCSMRKWYFTRQEIEDQSPSRRDGISLKKESQLRDSYCSFLQAIGMKLKVSQTTIACAMMLCHRFYMRQSHAKNGWQVIATASFFLACKVRDTPCFLKDVVVVAYEMVHKLDPSALQSIRQREVFNKQKELIIVGERLLLSTIGFDLDIQLPYASLVAALKSLNILPDLAQMALNFVNDCVRTSLCLQYKPHYIAAGSVALAAKIQKVKLPTQQGKVWWLEFDVSPKQLNEVIQRMMRPDRKQALAPENGRVIESETIPRKQSGIDEDGSAQIKQIKGELDDRNSRCKTDSVHNNCVKIDINRIRDAIKRKRCERSVNKKVVHATDDEIDTEAWIESELENGVELEDTSAKKKQRL >ONI35083 pep chromosome:Prunus_persica_NCBIv2:G1:42345600:42347900:1 gene:PRUPE_1G514600 transcript:ONI35083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSGSMSSSGEDQDHETSRPESLPGYLNSPTQFGSSDPILHPSLLSHYQNHNHQPTTTATLFDHHNYNYDHHNLHTTLSHQIPQSNTSNPNFMPDPRSTRPNSTNPIPNPPPSSHHQGPSRASSSSAAAQTRNSKKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFAASSSSSSSFSRRFDMFGSGMRSAHHLDTLGPLYPLRPSAQKVQQPTPFLSSNNSPSNSALLMMSNSGGLVDATNIATTSNNSNNNFNPTTYQLLNQGLFPSMQNPILTFQHEQQPHPFHSSSMNLASFGARPARGVIGNLAMHSSLEDPLGGMSHGSYTSQLGGGVGGSSLDSSHVAASGGHNGWRDHGVGSNDGRLSAALDQHLRPNLDKCLEMNNVNSNTRGEGTVESWICPNSE >ONI26657 pep chromosome:Prunus_persica_NCBIv2:G1:2632301:2633915:1 gene:PRUPE_1G037900 transcript:ONI26657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSIFHSPISDLSPESSFGSSFSWDDHKFGNNSLPFNENDSEEMLLYGLISNATQEITSRETETLSVSANPIKEEEVSSTSDSENPKREKSYRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFSMRGSAAILNFPVERVRESLKEINYVASDLNGGSPVVALKRKHSMRRKIGNKKSKVERDVRIENVVVFEDLGADYLEELLNSSESTCATATPNR >ONI34973 pep chromosome:Prunus_persica_NCBIv2:G1:41927113:41927229:-1 gene:PRUPE_1G508300 transcript:ONI34973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWSKAPDSSSGPLTRAWVQIPLLTIHVFYFFYFLYS >ONI28167 pep chromosome:Prunus_persica_NCBIv2:G1:10021773:10022066:-1 gene:PRUPE_1G128100 transcript:ONI28167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELEPSTRLTLGNVCLLSTYIVCLSDKIEKISYEIKLVASAFCSADGGSIIKNTRSYHTKGDVEIKEEHVKAGKEKVHALFKIIETYLVANPDAYN >ONI29159 pep chromosome:Prunus_persica_NCBIv2:G1:16487620:16488991:1 gene:PRUPE_1G184100 transcript:ONI29159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFEYKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI36024 pep chromosome:Prunus_persica_NCBIv2:G1:46173462:46175531:-1 gene:PRUPE_1G565700 transcript:ONI36024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHGCPNGNANIWRFVLCLLIAIAGFAPNPSLAKKTKVDGLKLNVIDGCWRWNSDWRSNRQELALCSVGFSGKMSNNIGRDVIYYQVTDPSDNALDPKPGTLRYGVTMIKGKKWITFQRDMRIRLDKPLLISSFTAIDGRGANVHIAGNACLLVFQASNIIIHGLRIHHCRPQPPSSVMGPEGKIMPIGQVDGDAIRLVTASKVWIDHNTLYECQDGLLDVTRGSTHITISNNWFRDQDKVMLLGHDDGYFRDKNMRVTVVYNHFGPNCNQRMPRIRYGYAHVVNNLYREWSQYAIGGSMNPSVKSEANLFIAPKSGNKKEITWRKDSIGDKESWKFYSVGDIFENGASFVETGAGRAKPNYNREQTFPVVNAKSVRSLTRSSGALICIKRSRC >ONI36238 pep chromosome:Prunus_persica_NCBIv2:G1:46983238:46985555:-1 gene:PRUPE_1G577100 transcript:ONI36238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAEKLIRHWKVLRGDNVMIIRGKDKGETGIIKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHASNVQVVDPVTGKPVKVGVKYLEDGTKVRVSRGLGASGSIIPRPEILKIRTTSRPTVAGPKDTPMDVVLEKTYDAKTGKGMPEL >ONI36239 pep chromosome:Prunus_persica_NCBIv2:G1:46983206:46985741:-1 gene:PRUPE_1G577100 transcript:ONI36239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAEKLIRHWKVLRGDNVMIIRGKDKGETGIIKRVIRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHASNVQVVDPVTGKPVKVGVKYLEDGTKVRVSRGLGASGSIIPRPEILKIRTTSRPTVAGPKDTPMDVVLEKTYDAKTGKGMPEL >ONI35373 pep chromosome:Prunus_persica_NCBIv2:G1:43517008:43522629:-1 gene:PRUPE_1G532200 transcript:ONI35373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAVFNSSLSLCSPSDDHFIPKHPRPSPTTSFPAPRIAMSRLEVRCAAQAQSAEPKPKEAKLWGGRFEESVTDAVERFTESISYDKALYKQDILGSKAHASMLAKQGLITISDRDSIHQGLSEIEGRIENGEFEWRTDREDVHMNIEAALTDLIGEPAKKLHTARSRNDQVMTDFRLWCRDAIDAIGAKIKHLQVSLVKLAMENEGVIVPGYTHLQRAQPVLLQHLLLAFVEQLERDAGRLLDCRVRLNFCPLGACALAGTGLPIDRFMTSAALDFTAPMKNSIDAVSDRDFVMEFLSANAIMAIHLSRLGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVGDLVTLLTLCKGLPLAYNRDLQEDKEPVFDSVKTILGMLEVSAEFAQNVTFNRERIQKSLPAGHLDATTLADYLVKKAIPFRTSHDIVGRAVAVCVSKSCQLQDLSLDELRSIHPVFDKDVYEFLGVENSVKKFSSYGSTGSACVASQLGDWVTKFEITKEV >ONI30937 pep chromosome:Prunus_persica_NCBIv2:G1:28523691:28525960:-1 gene:PRUPE_1G282700 transcript:ONI30937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLKQIRVRFSGVRQEKTEHDRRISPQKAQSFKDKRKPQNWFQRQLSGKMSQDYDSNKAIEHATAVAAAAYAIKSIEDSAISDQKKTGNEPKTSLVRIKSKKEETAISKPEPGRVSKLFPGSTKSTPEREHPDGAATTGKVPGKAPSIKKTPTFADKQMNSTDSIKPETAAPQPLRSPSIKNTPTVADKQLNKADNIKPETAGPSNETMRQSATEPGTRKTQADIWEENKLTMLKERYEKQNATILSWESKKKKKTRHRLSTKESEIEKKRVKAQRKFNRDMEHIKQIAEGARAQAEERYRNEVLKVKEKANAIRKTGKAPTSCFCF >ONI32588 pep chromosome:Prunus_persica_NCBIv2:G1:34019118:34022164:1 gene:PRUPE_1G374800 transcript:ONI32588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSQEQLPDCFYPTQPVLIHPNTPTPKHCLSLSNLDDQKFLRFSIKYLYLFKKSVNLDGLKYALSKVLVDYYPLAGRLRTSADHDQKLEVDCNGEGALFAEAFMDITAEEFLELSRKPNRSWRKLLYRVEAQSFLDIPPLVVQVTSLRCGGMIMCTAINHCLCDGIGTSQFLHAWAHITANPDLDPPISPVHVRHVLKSRCPPQITFPHPQFTITSNNNAKDNSTNVDILRLLQSQPLVPTSLTFTQSHTLHLKRQCVPSLKCTSFEALAAHTWRSWVRSLDLSPLLSVKLLFSVSVRTRLNPQIPQGYYGNGFVLGCAETTVKDLVGANLRHGVKLVRQAKSDVNNDDYVRSMVDLLEDKTLKTDLSRSLVISQWAKLGLEDLDFGEGKALHMGPLTSDVYCLFLPVVGDLDAVRVLVSVPESMADKFEYYMTEFLSVEENNNGDHHHHANGNGYHVEDHNGNIVN >ONI30737 pep chromosome:Prunus_persica_NCBIv2:G1:27787467:27789996:1 gene:PRUPE_1G270600 transcript:ONI30737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLRKKIQEPESPVAIIPRPPTGDIGLQVFLPEKKINGTVEERAPTASPTFLQGQEKRSIRERRRLPLQERNSIVCSNTDIGAKKIGKNTRHGYTATPSPKNWTLETLTGKEGGFRDYKLYCFCYSSLKAATRKFSSKNLIGQGGFGDVYKGYVSYCNMNSAAKPSEGFPIAIKRLRKTGAQGHEQWENERKFMSKISHPNIVKLIGYCCEGEHRMLVYEYMSGGSLEAQLMTENATQLDWSRRIKVALGAAKALNCLHTHKTPFIHRDLKASNVLLDDDWNAKLSDFGLAKYGPRDGQDHVMTRILGTKGYIAPEYIGTGHVTLKTDVYSFGVVLLEILSGSCAVKKYSDGMAGDLTKWAEPYLSNRQKLHHVIDQRLGNNFPVEEAHKFAELILRCLDSDPKSRPTMAEVVGDLEQLQENRSSSSSNRVSVHVTTLTPCPPYRSIFPGRNGCQA >ONI36062 pep chromosome:Prunus_persica_NCBIv2:G1:46286985:46287965:1 gene:PRUPE_1G567200 transcript:ONI36062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLNNTVTGINMNMDMNLLVSHNDSLLSMYCEGSKDGDGSHLAIKKVDHPAVMDPDVCLCILGSCNDLVCLEIGDDNSIIILWNPCTRDTKVLAQPPYHFQDKMFHGFGYDSLTEDYKIMLATEGPSEVMMNVFSLKRNSWRTYEYLADLRTTDQQGCFLNGALHWITSGTITSLDLADEEFKEIVPLPYCGNTDYSFEGVTSARNCLYLYNDPTEDTDFCIWIMKEYGVKESWTRVIKISSEILAQQVFVEVDKLELKVVCILENGEVLMDHEGKVLVSYNPKTRTFRNIINGKEDDEFQATTYLETLVSPVTAAEGGGGANHM >ONI26660 pep chromosome:Prunus_persica_NCBIv2:G1:2685985:2686616:1 gene:PRUPE_1G038200 transcript:ONI26660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKFLLVVSLGVLLLTTCSLADHDHHKPPHKPPHKPPPLEAENSVELDGKPPKGPRPPPKHRPPTPLDNEAAVPLKDVEASYKPPGKKPPHNPYKPPYKPPPSN >ONI29029 pep chromosome:Prunus_persica_NCBIv2:G1:15018696:15018911:1 gene:PRUPE_1G176600 transcript:ONI29029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDYECKLVYVKLFSLFFGYGSLQSIHVPGPQKNYCSFSMRYNFIKNIVMYSHCRNDVYQSDALVKTRQEN >ONI29739 pep chromosome:Prunus_persica_NCBIv2:G1:22534546:22537899:-1 gene:PRUPE_1G212200 transcript:ONI29739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKAETDDKMRRTDSLNLEAGKVSTAHDHGSKLSWKRTMSLAIQSVGVVYGDIGTSPLYVFSSTFPKGINHKDDILGVLSLIIYTILLVPLVKYVLVVLWANDNGEGGTFALYSLICRYAKVSLIPNTQPEDRELSNYKLELPSNELKRAQAIKKKLERTKSAKYALFVITIMGTSMVIGDGILTPCISVLSAVSGIKSLGTDAVVGISVVILVLLFAVQQFGTDKVGFTFGPIILLWFVFISCIGLYNLITYDVTVLRAFNPAYIYHYFHRNGKEAWISLGGVVLCITGTEAMFADLGHFSVRAIQISFTCFTFPTILFAYFGQAAYLTKYPEKVTDTFYASIPSPMYWPTFVVAVLAAIIASQALITGTFSIISQSLSMGCFPRVKIVHTSAKNEGQVYIPEINYILMIFCVIITAAFKTTEKIGNAYGIAVVSVMVITTCMLTLIMLVIWKISIILIAIFFVIFIAIEGVYLSAVLFKFSEGGYLPLCFAAVLMMIMAIWHYVHKQCYTYEANNKVSSEYMKQLSSNPNINRVPGIGLLYSELVQGIPPIFSHFVSNIPSVHSVVVVVTIKPLPVSKVLLEERFLFRQLEPKDYRMFRCVARYGYNDRVEEPAEFERQLVENLKEFICHQHLMPSEDQGVSGRQKAEEETQFVQEAMEKSVVYLLGETQVVAEEKSSWFKKIIVNYIYDFLRKNFRQSESVMAIPRTRLVRVGMTYDI >ONI31257 pep chromosome:Prunus_persica_NCBIv2:G1:29656908:29662613:-1 gene:PRUPE_1G301900 transcript:ONI31257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRPSFEHSQGAAAAAEPLPFLSSQLNNTQGEKMVKFSKQFEGQLVPEWKDAFVDYCQLKKDLKKIHLLNTNINNNNTPTSKDQNTSLSNTLFTSIRKFSPFGHQHREHDLIHVHKKLASSASKGDMYETELLEQFADTDAAKEFFARLDLQLNKVNQFFRTKEKEFMERGESLRKQMDILIQLKTAFKQQRSKGASAVDSKEDASISCSFSSEEDSVKDKTEHEQELQDISTEDLEKNEVPYSEGSISGELGKSMPTKSEDIGKLRTMSSRSFSCQGKNLKINIPLTTPSRTFSAISYLVWEDLVNQSSKKCSAEGSKLHINKKKLHHADKMIRGAFVELYKGLGYLKTYRNLNMLAFIKILKKFDKVTGKQVLPIYLKVVESSYFNSSDKVMNLADEVEELFIKHFAEEDRRKAMKYLKPTQRKESHSVTFFIGLFTGCFIALFAGYVIMAHIMGFYRRQPKSVYMETAYPVLSMFSLLFLHFFLYGCNIFAWRKTRINYSFIFELSPTKELKYRDVFLICTTSLTVVVGVMFVHLSLLTKGYSHNQVQAIPGLLLLMFLLLLVCPFNIIYQSSRFRFLRVIRNIILSPLYKVVMLDFFMADQLCSQVPMLRNLEYVACYYITGSYKTQDYDYCMRVKNYRDLAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKERNIGWLCLVVIMSTFATVYQLYWDFVKDWGLLQMNSKNPLLRNELMLRRKIIYYISMGLNLILRLAWLQSVLHSSFGHVDYRVTGLFLAALEVIRRGLWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDEQD >ONI28003 pep chromosome:Prunus_persica_NCBIv2:G1:9167474:9167841:-1 gene:PRUPE_1G116300 transcript:ONI28003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINIIFQIFVAYLFLLVSCSAQNNVPAVFTFGDSLVDVGNNNNLRTIAKANFYPHGMDFGNNPTGRFSNGRTVVDIIQTY >ONI28002 pep chromosome:Prunus_persica_NCBIv2:G1:9166800:9167841:-1 gene:PRUPE_1G116300 transcript:ONI28002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINIIFQIFVAYLFLLVSCSAQNNVPAVFTFGDSLVDVGNNNNLRTIAKANFYPHGMDFGNNPTGRFSNGRTVVDIIQYRPPLPLVGV >ONI29040 pep chromosome:Prunus_persica_NCBIv2:G1:15083095:15088641:-1 gene:PRUPE_1G177100 transcript:ONI29040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVARSFLQVAATEEVASPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPLKSNQGVLALKALKLSDSFMELYRTNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEADTPVVQCDYDRLQLSTSPFLERNMEFLIECMDDLSMEQQKFQFYYRNLSRQQAQQQAWLQKRRAENMARKATGEEPLPEEDPSNPVFKPLPEPSRLDSFLITNQISNYCNQINGVAGQSFSRLYLTKALHEN >ONI31835 pep chromosome:Prunus_persica_NCBIv2:G1:31568319:31574830:-1 gene:PRUPE_1G333600 transcript:ONI31835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGHLDHQRYPDFSLIPKPEPDPNFDHALTCIIITHFHLDHVGALPYFTEVCGYRGPIYMTYPTKALAPIMLEDYRKVMVERRGEEEQFSSDHIAECMKKVIPVDLKQTVQVDKDLQIRAYYAGHVLGAAMFYAKVGDAAMVYTGDYNMTPDRHLGAAQIERLNLDLLISESTYGTTIRDSKYAREREFLRAVHKCVAGGGKVLIPTFALGRAQELCILLEDYWERMNLKVPIYFSAGLTLQANMYYKMLISWTSQKVKETYSTRNAFDFKNAHKFDRSMINAPGPCVLFATPGMISGGFSLEVFKHWAPSEMNLVTLPGYCVAGTIGHKLMSGKPTKIDLDKDTQIDVRCQIHHLSFSPHTDAKGIMDLIKFLSPKNVILVHGEKPKMATLKGKIQSELGIQCHDPANNETVSISSTHYVKALASDAFIRSCSNPNFKFSKSSQEDEHGSNSRNNNFTPRLRVSDERVAEGVLVMERNKKAKVVHQDELLLMLGEKKHQVQFAYCCPADIGHLGETKSSTTNDGQLCKSETCSRLLRQLSAKLSNEFSQGNIQDFEDHLQVESFHVSICLKNNCPYRLMDVQNKSQEAAFFCCSWGTADEKLAWKIISICQNFNVHQHKQGCL >ONI30918 pep chromosome:Prunus_persica_NCBIv2:G1:28446626:28448198:-1 gene:PRUPE_1G281300 transcript:ONI30918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERALTKVNSLKVGSLWISKKAKEEFSNISDDLTTFSNTVEEKAKWVFNKLKGKPPKSLPDLLREYNLPPGLFPQNITCYEFTESNAKLVVYLPSPCEVSFKDSSVIRYATRVKAILLRGKLTGIEGMKTKVVVWVKVTCVALESSKSDKVWITAGVKKSRPKDAYITPRDSVRVEEF >ONI26373 pep chromosome:Prunus_persica_NCBIv2:G1:1459798:1461357:-1 gene:PRUPE_1G020800 transcript:ONI26373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLRHRHRHRRQHHHYIRLSTLITAFTFLLFTSASSAITSQTQLGGGNHSHQQQKQREEYQIGSSALERVETQKSRLGSSPPSCRSKCGRCSPCKAVHVPIQPGVSIPLEYYPEAWRCKCGNKLFMP >ONI29416 pep chromosome:Prunus_persica_NCBIv2:G1:18890620:18894752:-1 gene:PRUPE_1G197600 transcript:ONI29416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNCGCHIFDLNTFQSMDFGASSSSRVLNSNQLSCFSYVPPKCQRHLEPSSLYCLNHPRNASLALSHRDCALRATIKDTNVTTEKVNGDCSAEIEHSILTALSPLDGRYWGKVKELAPYMSEYGLIYYRVLVEIKWLLKLSQILEVTEVPGFSDGAQSYLQGIIDRFCISDALEIKRIEKMTNHDVKAVEYFLKQKCRSHPEIAKVLEFFHFACTSEDINNLAHALMLKEAINNVIFPVMDDLIEAICDMSKDNASIPMLSRTHGQTASPTTLGKEMAIFAVRLGIQRHRISEVKIMGKFAGAVGNYNAHLAAYPNINWPQIAEEFVTSLGVSFNHYVTQIETHDYMSKVFNAFNRFNNILIDFDSDIWRYISLGYFKQTTRAGEIGSSTMPHKVNPIDFENSEGNLGVASGSLSYLSEKLPKSRLQRDLTDSTVLRNMGVGLGHSLLAYRSTLQGISKLQVNEARISEELNQSWEVLAEAIQTVMRRYSVPEPYEKLKELTRGRTVTKDRIREFIKGLELPEEPKIILSNLTPHSYVGAAVKLARMVDTAVRSTRKNTNVSTEKVKMVSGNSSSESELVNLMALSPLDGRYWGKVKDLAPYMSEYGLIYFRVLVEIKWLLWLSQIPEVTEVPTFSENARSYLQEMIDGFSYNNALEIKKIEKVTNHDVKAVEYFLKQRFQSHPEIAKVLEFFHFACTSEDINNLAHALMLKEAVNSVIYPVMDDLVEAVCNMAKDNAHISMLSRTHGQPASPTTLGKEMANFAVRLSRERHEISRVEIMGKFAGAVGNYNAHLVAYPDINWPQIAEEFVTSLGLSFNPYVTQIEPHDYMAELFHAFSQFNNILIDFDRDIWDYISLGYFKQTTKAGEIGSSTMPHKVNPIDFENSEGNLGVANGSFYHLSMKLPISRWQRDLTDSTVLRNMGLGLGHSLLAYKSTLQGISKLQVNEGCISEDLNLTWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVTKESIIDFMQDLELPNEAKSNLLKLTPHSYVGAAVELARTVDIAVKVV >ONI36310 pep chromosome:Prunus_persica_NCBIv2:G1:47195211:47197822:-1 gene:PRUPE_1G580400 transcript:ONI36310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGLLLLLIFIFLAYKLYQRLRFKLPPGPRPWPVVGNLYHIKPVRFRCYAEWAQAYGPIISVWTGSTLNVVVSSSELAKEVLKEHDQKLADRQRNRSTAKFSKDGQDLIWADYGPHYVKVRKVCTLELFSAKRIEALRPIREDEVTAMVESIFKHCTINEKNGESLLVKKYLGRVAFNNITRLAFGKRFVNAEDEMDEQGQEFKAVVSNGVKIGASLSMAEHIPWLRWMFPLEEEAFAQHGARRDRLTRAIMEEHTQARTKSGGAKQHFVDALLTLKDKYDLSEDTIIGLLWDMITAGTDTTAISVEWAMAELIKNPRVQNKAQEELDRVIGFERVMTEDDFSNLPYLQCVAKEALRLHPPTPLMLPHRANANVKIGGYDIPKGASVHVNVWAVARDPAVWKDPHEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLSVNLVVSMLGHLLHHFSWAPAEGMNPKEIDMSENPGLVTYMRTPLQAVPTPRLPSHLYKRVAAEM >ONI29874 pep chromosome:Prunus_persica_NCBIv2:G1:23345915:23346851:1 gene:PRUPE_1G218600 transcript:ONI29874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTKQKKSLQLCYPMNIYLLSNITNPFRHQLLHQLLHHCFPKPQPQPQPLKRGVDHHVPYDHVENAVPCRSRKRHMPFHLPVLHPQ >ONI35527 pep chromosome:Prunus_persica_NCBIv2:G1:44215302:44218866:1 gene:PRUPE_1G541300 transcript:ONI35527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTSDGSKIILTTRSEAVAAITSAAPPYPLEALSKDDCWNLFKQLAFSEGKEDEFQSLLPIGEQIVDKCKGVPLVAKTLGSLLRLKRQETEWSNVQKSELWSDNGGENRILTILRLSYNHLPSHLKPCFAYCSVFPKNYEINKEKLIHQWVAQGLIDDQESFLNMEDIGNEYFNNLLMMSFFQGIRKSDDDEVMTEFTMHDLIHDLAKSVSGKEFMTLGHDNVRSNFIEILYPTDGFSKIRHASVVSNPSSYLIPKALCKAKKLRTLNLLSPREDSEQVPPAVVSTFKHLRVLNLSGYDTKRLHRSIGGELRELPRGTARLIRLRHLHIDNCAKLYYMPPSIGNLCQLRTLPVFIVGCKIEDDITELLRLSNLQGKLKITHLERVRSIYDGHMYIMSWMSLRNFYSLELLWGNVDEGKSASNTSSRQSPRRNPLADLEVCNCLKPNHYIRKLSIKGYSGETFPDWMNMSGLENLTQVNLINCENCESLPTLGKLPVLKILNIQGMHSIINIGVEFSGEGDRSFSSLKELTLRDFPELKTWSIVDSAEAFICLDKLIITECPLLRSMPWFPRLQYLELQKCNQLIVRSASELNTLSTLVIDFFQDLFFLPKKLLQNNSRLKSLKIGCCEKLETLPHGLKSLTSLENLEIVECPSLICLPEEGMEGLCSLRSFSIENCAGLTSLPMGMKHLTALDNLTIMSCSNLVHLPDNFHCLVELRSVTIINCEKLTSLPEGMQHLKKLQILELRMCPKLTELPNWVEHLVSLRSLAISQCPNIRSLPEGLGRLSALQHLSIRDCPDLEHHYERGKGEGWEKISHVPYTYVESSALQQRQHIASTSQNP >ONI35526 pep chromosome:Prunus_persica_NCBIv2:G1:44215302:44218866:1 gene:PRUPE_1G541300 transcript:ONI35526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTSDGSKIILTTRSEAVAAITSAAPPYPLEALSKDDCWNLFKQLAFSEGKEDEFQSLLPIGEQIVDKCKGVPLVAKTLGSLLRLKRQETEWSNVQKSELWSDNGGENRILTILRLSYNHLPSHLKPCFAYCSVFPKNYEINKEKLIHQWVAQGLIDDQESFLNMEDIGNEYFNNLLMMSFFQGIRKSDDDEVMTEFTMHDLIHDLAKSVSGKEFMTLGHDNVRSNFIEILYPTDGFSKIRHASVVSNPSSYLIPKALCKAKKLRTLNLLSPREDSEQVPPAVVSTFKHLRVLNLSGYDTKRLHRSIGGELRELPRGTARLIRLRHLHIDNCAKLYYMPPSIGNLCQLRTLPVFIVGCKIEDDITELLRLSNLQGKLKITHLERVRSIYDGHMYIMSWMSLRNFYSLELLWGNVDEGKSASNTSSRQSPRRNPLADLEVCNCLKPNHYIRKLSIKGYSGETFPDWMNMSGLENLTQVNLINCENCESLPTLGKLPVLKILNIQGMHSIINIGVEFSGEGDRSFSSLKELTLRDFPELKTWSIVDSAEAFICLDKLIITECPLLRSMPWFPRLQYLELQKCNQLIVRSASELNTLSTLVIDFFQDLFFLPKKLLQNNSRLKSLKIGCCEKLETLPHGLKSLTSLENLEIVECPSLICLPEEGMEGLCSLRSFSIENCAGLTSLPMGMKHLTALDNLTIMSCSNLVHLPDNFHCLVELRSVTIINCEKLTSLPEGMQHLKKLQILELRMCPKLTELPNWVEHLVSLRSLAISQCPNIRSLPEGLGRLSALQHLSIRDCPDLEHHYERGKGEGWEKISHVPYTYVESSALQQRQHIASTSQNP >ONI35456 pep chromosome:Prunus_persica_NCBIv2:G1:43845724:43848175:-1 gene:PRUPE_1G536800 transcript:ONI35456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCVAVLIVVWVSIVIAWAWRVLNWVWLRPKKLERCLKEQGFTGNSYRLLFGDTKDSSMMLRQAQSKPMKLSTSHDIAPRYFNLFLNQKNTGRNSFVWVGPIPKVNILNPEDLKEIFNKHENIQRTVANPIIDLLVQGLGSTVDEKWAKHRKIINPAFHLEKLKGMLPTFYHSCSEMINEWERLVSKEGSCELDVWPCLLNMSADVISRAAFGSSYQEGRKIFQLLQQQTKNYAAAIQSVHIPFLPTKHHKRMKEINKEIRVLLRGIINKREEAIKMGEASNHDLLGILLESNLKEIREHGNKRNTGMSVEDVIEECKLFYFAGQETTSTLLVWAIVLLSQNQNWQTRAREEVLQAFGSNPPTFDALSQLKVVTMVLLEVLRLYPPGIELPRTLRRKTQLGRFSLPAGVDVSLHILLVHHNEELWGEDAHEFKPERFSDGVSKATKNQFAYFPFGAGPRICIGQNFAMLEAKLALSLILQHFTFELSPSYAHAPALLTILQPQYGAHIILSKR >ONI30490 pep chromosome:Prunus_persica_NCBIv2:G1:26446841:26449700:1 gene:PRUPE_1G253600 transcript:ONI30490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKPGLRKPVFTKVAQLRPSTSGHTLTVKVVNTKMVLQKGRADAPQVRQMRIAECLVGDETGLIIFTARNDQVDLVKEGSTLTLRNAKIDMFKGSMRLAVDRWGRVEVAEPASFTVKEDNNLSLIEYELVNVEE >ONI29014 pep chromosome:Prunus_persica_NCBIv2:G1:14818232:14821484:-1 gene:PRUPE_1G175600 transcript:ONI29014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVRRIKLGSQGLEVSAQGLGCMGMSAFYGAPKPDADMISLIHHAIDSGVTFLDTSDIYGPFTNEILLGKALKGGVREKVELATKFGIHFAEKKMEVRGDPAYVRAAAEDSLKRLGVDSVDLYYQHRIDTTVPIEVTVGELKKLVEEGKVKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEAEIVPTCRELGIGIVAYSPLGRGFFSSGAKFVENLSQNDSRKLHPRFQPENVEHNKTLFERVSDLAARKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNIGALSVKLTPEELAELESFASADAVKGDRYQNDVSTWKNSETPPVSSWKAA >ONI35868 pep chromosome:Prunus_persica_NCBIv2:G1:45579639:45581644:-1 gene:PRUPE_1G558400 transcript:ONI35868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKNSSTSTKEKKDKKMMIMRGFMCQSPAATAVCMSRADARSVMVPRRSSTSSLNNNFDINFNRTHYTRSLLNSNKYYYSRLLTSPPPPHHVHDHGKISPLLIMPSTSKQVGADHHHDLLPLTHQQPMSSKTIEKRPSSHSDSVRPSSADDNVFQVVVMRVSIHCQGCAGKVKKHLSKMEGVTSFSIDLETKMVTVRGHVSPSAVMESISKVKKAELLPS >ONI35869 pep chromosome:Prunus_persica_NCBIv2:G1:45579639:45581645:-1 gene:PRUPE_1G558400 transcript:ONI35869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKNSSTSTKEKKDKKMMIMRGFMCQSPAATAVCMSRADARSVMVPRRSSTSSLNNNFDINFNRTHYTRSLLNSNKYYYSRLLTSPPPPHHVHDHGKISPLLIMPSTSKQVGADHHHDLLPLTHQQPMSSKTIEKRPSSHSDSVRPSSADDNVFQVVVMRVSIHCQGCAGKVKKHLSKMEVST >ONI32785 pep chromosome:Prunus_persica_NCBIv2:G1:34566464:34573509:1 gene:PRUPE_1G385500 transcript:ONI32785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFIGIAVFVCLNVCFVWLKVDSMQYVQLCAILWPFRVDILWCFNFFSPILWLFRRALVKIFGHSFDILLMSVYNLAPPFDLMQCVCSCIRTCLLLELHFGRA >ONI35466 pep chromosome:Prunus_persica_NCBIv2:G1:43909410:43911405:1 gene:PRUPE_1G537500 transcript:ONI35466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRPKKLERCLREQGFTGNSYRLLFGDTKDSSMMLRQAQSKPMKLSTSHDIAPRVMPFVHQIVKTYGRNSFVWMGPMPKLNIMNPEDLKEIFNKRQNFQRPSANPLIKLLVQGLLHIEDEKWAKHRKIIKPAFHLEKLKGMLPTFYQSCSDMINEWKGLVSKDGSCEFDVWPCLKNLSADVIARAAFGSSYQEGRKIFQLLQQQDKNFSLVVRSVYIPGSRFLPTKHNRRMKEINKEINVLLKGIINKREEEIKLGEDNKDDLLGILMESNLKEIREHGNKTDTGMSIQDVIEECRLFYLAGSESTSTLLVWAMILLGQNQNWQARAREEVLQAFESNPPSFNALSQLKVVTMVLLEVLRLYPAGVELPRTTCKKTQLGKFLLPAGVLVSLHIMFVHHDKELWGEDADEFKPERFSEGISKATKNQFAYFPFGAGPRICIGQNFAMQEAKLALALILQHFTFDLSPSYAHAPTTQGNLLQPQYGAHIILRKR >ONI33390 pep chromosome:Prunus_persica_NCBIv2:G1:36386372:36386849:1 gene:PRUPE_1G421200 transcript:ONI33390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHYNLSTGISADSINSQEINKTAYMHKQELKNLNACCQIKLLTAEQFERKSHKEHPLFLFSSLFHFSPTQPIDYTT >ONI29274 pep chromosome:Prunus_persica_NCBIv2:G1:17567639:17571300:1 gene:PRUPE_1G190200 transcript:ONI29274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGAEREQGLLQILTEIDGFKEFTSLYVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKGGGLAILKAHARNKFFCSEEEKEVLLQEIAELTEDFMGAENEAGILTARKDLDFIGREELLEASKRQRGSFETGQEDKAAVAVLTCYFPDPYHPFTETDIKSIRSQPNMRYTEISGKVFSRKSDFVNSIVCACPPRVIEEEMFGVDNLCWISPKATLEASRLAEFLILQKGMTAYGKAYYRNQSDLVPNLAAKLEALRDEYPAVKPVDEYGALIYTGRWGIHGVTLPGRVTFSPGNAGFSTFGAPRPM >ONI32933 pep chromosome:Prunus_persica_NCBIv2:G1:35070248:35074430:1 gene:PRUPE_1G394600 transcript:ONI32933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLIVCSASMCCSSLNYSLAFTDNRIFAISHIGSLKERNCGKLKAWPCGSLVNLTKKRKKRMGFCGFVIKRSQEVVVAKKKPKISVSSEEVVRVLKSIADPKSAFSFFKSFAELPSVVHTTETCNYMLEILRVHRRVEDMAYVFDVMQKQIIKRNLDTYLTIFKGLDIRGGIRQAPSALEEMRKSGFILNAYSYNGLIYNLIQSGYCREALEVYERVVSEGIKPSLKTYSALMVSLGKRRDVKTVMGLLKEMESLGLRPNVYTFTICIRALGRAGKIDEAYEIFKRMDEEGCGPDVITYTVLIDALCTAGKLDNAKELFAKMKSSGHKPDRVTYITLLDKFSDGKDLDTVKEFWREMEADGYAPDVVSFTILVNALCKAGNVDEAFSMLDIMRKQGVSPNLHTYNTLLCGLLRLCRLDEALNLFNSMECLGVPPTVYTYILFIDYYGKCGKSGKAIEAFEKMKARGIVPNIVACNASLYSLAEEGRLQEAQHVYNELKYSGLSPDSVTYNMMMKCYSKVGQIDEAIKFLSEMERNGCKADVIIVNSLIDILYKADRVDEAWQMFYRMKEMKLTPTVVTYNTLLAALGKEGQVRKAIEMFGYMTEQGCPPNTITFNTLLNCLCKNDEVNLALKMLCKMTTMNCRPDVLTYNTIIYGLIRESRIDYAFWFFHQMKKSLFPDHITVCTLLPGVVKDGRIEDALKIAEDFMYQVGVKADRPFWEDLMGRILIEAEIDIVVLFAERLISDRICWDDSLLIPLLRFLCTRRKAFDAHHIFEKFTKTLGIKPTLEAYNCLIEWLLKDHVTERAWDLFMEMKNSGCAPDVFTYNLLLDAHGKSGNITELFELYEEMNCRGCKPNTITHNIVISSLVKSDSIERAIDLYYDLVMWKLLASCLKG >ONI32932 pep chromosome:Prunus_persica_NCBIv2:G1:35070239:35074469:1 gene:PRUPE_1G394600 transcript:ONI32932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLIVCSASMCCSSLNYSLAFTDNRIFAISHIGSLKERNCGKLKAWPCGSLVNLTKKRKKRMGFCGFVIKRSQEVVVAKKKPKISVSSEEVVRVLKSIADPKSAFSFFKSFAELPSVVHTTETCNYMLEILRVHRRVEDMAYVFDVMQKQIIKRNLDTYLTIFKGLDIRGGIRQAPSALEEMRKSGFILNAYSYNGLIYNLIQSGYCREALEVYERVVSEGIKPSLKTYSALMVSLGKRRDVKTVMGLLKEMESLGLRPNVYTFTICIRALGRAGKIDEAYEIFKRMDEEGCGPDVITYTVLIDALCTAGKLDNAKELFAKMKSSGHKPDRVTYITLLDKFSDGKDLDTVKEFWREMEADGYAPDVVSFTILVNALCKAGNVDEAFSMLDIMRKQGVSPNLHTYNTLLCGLLRLCRLDEALNLFNSMECLGVPPTVYTYILFIDYYGKCGKSGKAIEAFEKMKARGIVPNIVACNASLYSLAEEGRLQEAQHVYNELKYSGLSPDSVTYNMMMKCYSKVGQIDEAIKFLSEMERNGCKADVIIVNSLIDILYKADRVDEAWQMFYRMKEMKLTPTVVTYNTLLAALGKEGQVRKAIEMFGYMTEQGCPPNTITFNTLLNCLCKNDEVNLALKMLCKMTTMNCRPDVLTYNTIIYGLIRESRIDYAFWFFHQMKKSLFPDHITVCTLLPGVVKDGRIEDALKIAEDFMYQVGVKADRPFWEDLMGRILIEAEIDIVVLFAERLISDRICWDDSLLIPLLRFLCTRRKAFDAHHIFEKFTKTLGIKPTLEAYNCLIEWLLKDHVTERAWDLFMEMKNSGCAPDVFTYNLLLDAHGKSGNITELFELYEEMNCRGCKPNTITHNIVISSLVKSDSIERAIDLYYDLVSGDFSPSPCTYGPLIDGLFKSGRLEEAMHFFEEMADYGCKPNSAIFNILINGFAKTGDVEAACELFKRMTREGIRPDLKSYTILVDCLCQAGRVDDALQFFEEIKQSGLDPDSVSYNLMINGLGRSRRVEEALTVYDEMRTRGIAPDLFTYNSLIFNLGLVGMVEQAARIYEELQLVGLEPDVFTYNALIRLYSTSGNPDHAYAVYKNMMVGGCCPNVGTFAQLPNQT >ONI29718 pep chromosome:Prunus_persica_NCBIv2:G1:22416001:22417264:1 gene:PRUPE_1G210500 transcript:ONI29718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTKDLLRVTEKEGRGRALVAAQPLKGGQIVLRDSPILLYSALPLISPSLHPYCNHCFKTLKQPQPQAAGSSACVCPWCSHHAFCSSNCLSSALSYSHSPWVCQALRRLRDSPSPLSDQPLERQVQARFLIAAYNLAIVSPFNFQMLLSLQGQPDDSAAADTTAAAHFLHYLISSLCAPPPALQEQQLFSADLSAALLAKDKLNAFGLMEPFSETSQRSSRAYAIYPKASFFNHDCLPNACRFDYVDADGDRNTDMVIRMIHDVPAGREICLSYFPVNQSYSNRQRTLAEDYGFACQCDRCKVEANWSDNEENIEGEEEAEGMDEDQDQEMEAASESEPESGSDIEEAQAQVESDFPHAYFFLSFMCNRTNCWGTLAPLPPKDDGTPSNVMECNVCGHLKKDEEISGHGHDGLSIDG >ONI27743 pep chromosome:Prunus_persica_NCBIv2:G1:8228595:8232618:-1 gene:PRUPE_1G103000 transcript:ONI27743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAFKGLLVAICIWVWSGSLAAAISNDGLLRIGLKKQPVGLNRLNAARITKTEVSQPRGLGDVNINSNNLKANIVYLKNYFDTQYYGEIAIGSPPQYLAVVFDTGSSNLWVPSSRCIFSIACYFHSKYRASISSTYTKIGIPCKIPYGSGSISGYFSADNVKIGDVIIKDQEFVEVTREGVLTFLAARFDGVLGLGFQDISVGEATPVWYNMVQQGHMSQQIFSIWLNHDPTSRVGGEIVFGGFDWRHFTGDHTYVPISKKGYWQIDVGDVIVADNSTGLCKGGCAAIVDSGTSFLAGPTTIVAQINHAIGADGYANLECKNVVSTYGNLIWEYLVSGVRPDIVCVDIGLCSYDGSRNVKNYIESVIENETGKESSVDETPLCTLCEMVVYWIQLQLKKQIAKEKIFSYVNELCEKLPNPLRRSFVNCDNIAFMPDVSFTIGNKSFTLSPEQYILKVEGKCSIVCLSGFVALDVPPPQGPLWVLGALFLGAYHTVFDFGNLRVGFAKAI >ONI27744 pep chromosome:Prunus_persica_NCBIv2:G1:8228961:8232618:-1 gene:PRUPE_1G103000 transcript:ONI27744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAFKGLLVAICIWVWSGSLAAAISNDGLLRIGLKKQPVGLNRLNAARITKTEVSQPRGLGDVNINSNNLKANIVYLKNYFDTQYYGEIAIGSPPQYLAVVFDTGSSNLWVPSSRCIFSIACYFHSKYRASISSTYTKIGIPCKIPYGSGSISGYFSADNVKIGDVIIKDQEFVEVTREGVLTFLAARFDGVLGLGFQDISVGEATPVWYNMVQQGHMSQQIFSIWLNHDPTSRVGGEIVFGGFDWRHFTGDHTYVPISKKGYWQIDVGDVIVADNSTGLCKGGCAAIVDSGTSFLAGPTTIVAQINHAIGADGYANLECKNVVSTYGNLIWEYLVSGVRPDIVCVDIGLCSYDGSRNVKNYIESVIENETGKESSVDETPLCTLCEMVVYWIQLQLKKQIAKEKIFSYVNEVFF >ONI27741 pep chromosome:Prunus_persica_NCBIv2:G1:8228834:8231845:-1 gene:PRUPE_1G103000 transcript:ONI27741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAFKGLLVAICIWVWSGSLAAAISNDGLLRIGLKKQPVGLNRLNAARITKTEVSQPRGLGDVNINSNNLKANIVYLKNYFDTQYYGEIAIGSPPQYLAVVFDTGSSNLWVPSSRCIFSIACYFHSKYRASISSTYTKIGIPCKIPYGSGSISGYFSADNVKIGDVIIKDQEFVEVTREGVLTFLAARFDGVLGLGFQDISVGEATPVWYNMVQQGHMSQQIFSIWLNHDPTSRVGGEIVFGGFDWRHFTGDHTYVPISKKGYWQIDVGDVIVADNSTGLCKGGCAAIVDSGTSFLAGPTTIVAQINHAIGADGYANLECKNVVSTYGNLIWEYLVSGVRPDIVCVDIGLCSYDGSRNVKNYIESVIENETGKESSVDETPLCTLCEMVVYWIQLQLKKQIAKEKIFSYVNELCEKLPNPLRRSFVNCDNIAFMPDVSFTIGNKSFTLSPEQYILKVEGKCSIVCLSGFVALDVPPPQGPLWVLGALFLGAYHTVFDFGNLRVGFAKAI >ONI27742 pep chromosome:Prunus_persica_NCBIv2:G1:8228565:8232618:-1 gene:PRUPE_1G103000 transcript:ONI27742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAFKGLLVAICIWVWSGSLAAAISNDGLLRIGLKKQPVGLNRLNAARITKTEVSQPRGLGDVNINSNNLKANIVYLKNYFDTQYYGEIAIGSPPQYLAVVFDTGSSNLWVPSSRCIFSIACYFHSKYRASISSTYTKIGIPCKIPYGSGSISGYFSADNVKIGDVIIKDQEFVEVTREGVLTFLAARFDGVLGLGFQDISVGEATPVWYNMVQQGHMSQQIFSIWLNHDPTSRVGGEIVFGGFDWRHFTGDHTYVPISKKGYWQIDVGDVIVADNSTGLCKGGCAAIVDSGTSFLAGPTTIVAQINHAIGADGYANLECKNVVSTYGNLIWEYLVSGVRPDIVCVDIGLCSYDGSRNVKNYIESVIENETGKESSVDETPLCTLCEMVVYWIQLQLKKQIAKEKIFSYVNELCEKLPNPLRRSFVNCDNIAFMPDVSFTIGNKSFTLSPEQYILKVEGKCSIVCLSGFVALDVPPPQGPLWVLGALFLGAYHTVFDFGNLRVGFAKAI >ONI27458 pep chromosome:Prunus_persica_NCBIv2:G1:6569681:6572447:-1 gene:PRUPE_1G088100 transcript:ONI27458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHGTAKTEAFLRLCAVLVLVLTACLVGFDSQTKYLIFIYRKATFRDLKSLSVLVYVVSVAAGYNLLQLGKCSKSAWCKENLKGSNIYVAWLCFLLDQVAVYVTFGANSAALEASVLAIKGAQDFQWMKLCNKYTRFCFQIGGALACGYVACILMAWISLISAFNLFRLYSPKQFLLLKGRG >ONI31492 pep chromosome:Prunus_persica_NCBIv2:G1:30514099:30515332:1 gene:PRUPE_1G316500 transcript:ONI31492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRQRPPHAIFGGGKVADILLWKDKTSAATILAVFTIIWLQLEVLEYQFLTLFCDILMLAMLAIFVWHKGAQLANLYGFRSPSLVSYIRCPPNSNNFQLSESACRYFFQQINFFLFKFFEISAGKDLKLLVLAMVCLWMFSALGDCVSSVSLLYTCTLLLGTLPALYDRYGVPSQVDTFAAKCIQEVKKLFQMFESKVLNKIPGMGKERVN >ONI34725 pep chromosome:Prunus_persica_NCBIv2:G1:41113074:41115523:1 gene:PRUPE_1G495200 transcript:ONI34725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIYQYSISQVEQQNQVLPTTHLLACDCFIFRLDFTHQLKLCFPQDLNIPLNSIQESFFVPFDVFFSHTQETIQTVLSGSGVSMDFVHVLLPEVHSFAMDVAQEPANAGPRIVPLVLAVLAVTPFDDRDQIERAIMESGQVFNPVPAAKSSIAGLKKVKIDNLSVTKECSICLEEFSAGLEVVLMPCSHLYHKDCIVEWLKRSHLCPYCRFKLPT >ONI34651 pep chromosome:Prunus_persica_NCBIv2:G1:40959792:40962186:1 gene:PRUPE_1G492700 transcript:ONI34651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSDEASPIPNSKCTFLPSSPPPLSGFGGNFSADLKFPFLTAIFMLSLNLRPLSIMSKRQRPIQSPPSTPPTPQTHNPKRPKTILKPTKWVPLNLTQSELSLPLTFPTGQTFRWRQTGPLQYTGVVGSHLVSLRHLENGDVSCCLHHTTTSETNAKLALLDFLNVGISLAGIWEVFSASDSRFAELASYLGGARVLRQDPVECLIQFLCSSNNNIQRITKMVDFVSSLGNHLGSVGGFEFHEFPSLERLSMVSEEEFREAGFGYRAKYITGTVKALQLKPGGGAEWLLSLRKTELEEVIEALSTLPGVGPKVAACIALFSLDQHHAIPVDTHVWQIATRYLIPELAGARLTPKLCGRVAEAFVSKYGKYAGWAQTLLFIAELPSQKALLPAHFSNAKESKAAKKKDRKSHTAVDTSIVD >ONI28531 pep chromosome:Prunus_persica_NCBIv2:G1:11495588:11496528:1 gene:PRUPE_1G146200 transcript:ONI28531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTTSSSKKTVNDRTLTGAGNFIKVLPTGTVFLFQFLNPVLTNNGHCAVLNKSLSAILIAISGFSCFFASFTDSYTGSDGKTHYGVATTKGLWPSTNSKSVDLSAYKLRFGDFVHAFFSLIVFAVVSLLDTNSVRCFYPGFESSEKVLLQALPAIIGAVAGAVFVVFPYSRHGIGYPSSISVSSQDSEKS >ONI34567 pep chromosome:Prunus_persica_NCBIv2:G1:40632956:40634381:1 gene:PRUPE_1G487900 transcript:ONI34567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTCISCQLMYSKYQGSACRQCYEDLKAKVEQCNEDLNAKVEQCNEDLNAKLAFLTMPQPASFSDVVLFADDDDEYPVPVLAHKAVLANRSPVLRAMLDNEMKESLSGTIKIGDVSYNALRAFVNFLYTAEVCLDQQLACELLVMSEKYQVQHLKDFCQKFLVSNLNLDNSLSTYTFAHQHNFKPIIDAALTQITASMDKLASQDEYTELKERDPGLLLEIYEAYFSKQADTTMYI >ONI34045 pep chromosome:Prunus_persica_NCBIv2:G1:38515112:38517406:1 gene:PRUPE_1G460600 transcript:ONI34045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQSLFSLPLLFLLLVLLNSAHAQLHVEHYRSSCPNVEAIVHAAVKQKFEQTFVTAPATLRLFFHDCFIRGCDASIMLAFRNNSAEKDNSDNLSLAGDGFDTVIKAKAAVDRVPQCRNKVSCADILALATRDVVRLTGGPYYRVELGRLDGRTSTKASVRQHLPHPDFRLDQLNSMFASHGLSLTDLIALSGAHTIGFAHCNKFTHRIYSFKSQNRIDPTMNLAYARHLKQECPANVDPRIAVDMDPTTPQKFDNVYYKNLQQGKGLFTSDQSLFTDARSRKIVNLFAADAAAFERAFVAAMTKLGRFGVKNGKQGEIRHDCAAVN >ONI36307 pep chromosome:Prunus_persica_NCBIv2:G1:47180059:47181571:-1 gene:PRUPE_1G580100 transcript:ONI36307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFDKQADVYLDARPTYPKEWYSKLAVLTPHHTLAWDVGTGNGQAALSVAEHYEQVIGTDVSESQLQRAMLHPRVRYAHTPLSITDDEVIALVGGEDSVDLVTVAQAVHWFDLPKFYNLVSRVLKNPGGVFAVWCYNDIEVDPTFDPIMKRFHDTTLPFWDKNIQYVFDGYKTLPFPFESVGLGSEGNPLPLDIPKQLSFEGFLKMLRSWSAVTTAKDQGVDLLPEKVVKEFEGAWGGSKLVRSVSYKAFMLAGKVRLRSL >ONI26921 pep chromosome:Prunus_persica_NCBIv2:G1:3877731:3878762:-1 gene:PRUPE_1G055000 transcript:ONI26921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKLLGFWPSFFVHRVIWALKLKGVSYEYIEEDLSNKSQLLLQSNPVYKKVPVLVHGGKTIAESLIILEYIEETWPEKPLLPKDPHEKALARFWMQFGVEKIRPSLSAYFRAVGDEEQEKATKELHETLKILEEQVLGDKIFFGGDTIGLVDIACGALSYWFECVEEAVGKKVIEPGTLPRLHAWAQNFKQAPVIKENLPDHGRLLDYVKHTREKFVLAKSTAQ >ONI29604 pep chromosome:Prunus_persica_NCBIv2:G1:20510636:20512098:-1 gene:PRUPE_1G204700 transcript:ONI29604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIIAPSNIFLTLIIVAAAAAGYVEAQNCGCASDLCCSKYGYCGTSDDYCGTGCQAGPCKTAPLTPSTSDVSVADIVTPEFFNSIIGQAEASCAGKNFYSRATFLEALKSYDQFGKIGSIDDSKREIAAFFAHVTHETFHFCYIEEIDGPSKDYCDESNTQYPCKPNKGYYGRGPIQLSWNFNYGPAGESIGFDGLNSPETVANDPIIAFKTALWYWMKSVRPVIGEGFGATIRAINGALECDGGNPATVQKRVEYFTEYCNQLGVAPGDNLTC >ONI32862 pep chromosome:Prunus_persica_NCBIv2:G1:34818416:34825317:1 gene:PRUPE_1G390200 transcript:ONI32862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVRIFTIAELLQRGRSLTATSSISANSNETSKPSTRSIPIHSNPNPNPNPSHKVLPPLDHLAIIFGTLTLPSAINASASASSNTTPRCSYNTCFQFSDGSASICCDILDFDVRIIGKKISVLAWNFIPWKRGGGFLEIIRWTFQDSNSGLRPCSNFNSIPLGSGSSSGHEDSSRARHGVFGALEVVSPVSTVPCATGHSNLKTNKASDSSHLSSLRGFLLQFMVCECEFCSSKEAVTVLKDSIQEKDAHSFNKPMFVYCSGSVSSWHPVFTKFVGNVLALSGLKKKLVFIGKEESKLMYVTTENSALHLLRLSWKWQPKCTAVAKGKGECGTYRGIVKGVYMQGMVVELDNEVWLLLTDQLLTPPHSLRAGALVSVRNVHFVNPRFSWTKILILGACFKTSVIVESFSPLETGCHILPQSQSSLGKFIESLTFSARLWVLLIASCFRKKFSGILSEKEILGTKHKGGFVQMYASSQFPSSMHPTRHGVFMELCNHDSSVCGCEPYIGNPNLVVPLSIFICHCEAFWMRAVQLENSCAKLHDDKKYGLQFCEGRSHVQSVRKIFSSEDIGVSLMGSLKTSSSSGRLQLVDATGSIDVLIPDLPSTWDANRIYKVADFSLVIEGMPQSLECIGLLDNDLFSCRTIFHFIPLARKMNLTVYVYFRLRNSMCRNLPIYPCTGPGEDLKRLESGMFHLLLVTHKFPVLQKYQDDVVIENSSSMFVEAIILPWDLFLAGSYEISCPTRAFGDNPKNSMESAGGNYLDHVSPKRHKVNHSSSRGLSYNSVNNSSESVREPSSCSISYKESREKQKYCDLTSREISCSAMISGVNGHSLVGSVILHCTRAKLNNGGFCRPSGQKVLLEFTSESFYKYQLLHIGCYYITKHDGEDSFCNLKDSSYSSSDNILIPSTTHLWSLSFTSDEICQNNSSPKCLPLDNSLRNNEVLSEHHNEVCLQMSPGSGSENSSDISLCLCENVIRFGEVILKELEEGLIKPIVTAEGIPKISSCISLAMTAPLLSLDSNGLFPEGNLLSLCGHVIAVHSVEDNSVNPYLNCQNVGDPLQWRFLQRAKSSCIHVLVDHHIVRLSGSLSGHAFPVGFGPGVDATFHRVLELRGQNRWMLTSVSFIVINSIRAVSFQNNFIKVDNESCSDKCSSPASYMQNAAPLDLVSSGLISELVKCLDFKPMRFHCRVVAIHILVLEKKFRNVNYQPKNHFRPYLVDIPLAGFVLDDGSSPCCCWANSGRAATLLRLYEDFPLSAFESSGWTLKWVRKGDNACSATMYHLERILKNHDRITVKNFGSMFDSSYQDLTVSVSSDNALSSHDENLLKFIIFNACFSKFWTVIGRMMDSNAVTRLKTTNLLETEMSMHSMQHIWAREVHYTNPLTEARNVVQELC >ONI32861 pep chromosome:Prunus_persica_NCBIv2:G1:34818417:34825207:1 gene:PRUPE_1G390200 transcript:ONI32861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVRIFTIAELLQRGRSLTATSSISANSNETSKPSTRSIPIHSNPNPNPNPSHKVLPPLDHLAIIFGTLTLPSAINASASASSNTTPRCSYNTCFQFSDGSASICCDILDFDVRIIGKKISVLAWNFIPWKRGGGFLEIIRWTFQDSNSGLRPCSNFNSIPLGSGSSSGHEDSSRARHGVFGALEVVSPVSTVPCATGHSNLKTNKASDSSHLSSLRGFLLQFMVCECEFCSSKEAVTVLKDSIQEKDAHSFNKPMFVYCSGSVSSWHPVFTKFVGNVLALSGLKKKLVFIGKEESKLMYVTTENSALHLLRLSWKWQPKCTAVAKGKGECGTYRGIVKGVYMQGMVVELDNEVWLLLTDQLLTPPHSLRAGALVSVRNVHFVNPRFSWTKILILGACFKTSVIVESFSPLETGCHILPQSQSSLGKFIESLTFSARLWVLLIASCFRKKFSGILSEKEILGTKHKGGFVQMYASSQFPSSMHPTRHGVFMELCNHDSSVCGCEPYIGNPNLVVPLSIFICHCEAFWMRAVQLENSCAKLHDDKKYGLQFCEGRSHVQSVRKIFSSEDIGVSLMGSLKTSSSSGRLQLVDATGSIDVLIPDLPSTWDANRIYKVADFSLVIEGMPQSLECIGLLDNDLFSCRTIFHFIPLARKMNLTVYVYFRLRNSMCRNLPIYPCTGPGEDLKRLESGMFHLLLVTHKFPVLQKYQDDVVIENSSSMFVEAIILPWDLFLAGSYEISCPTRAFGDNPKNSMESAGGNYLDHVSPKRHKVNHSSSRGLSYNSVNNSSESVREPSSCSISYKESREKQKYCDLTSREISCSAMISGVNGHSLVGSVILHCTRAKLNNGGFCRPSGQKVLLEFTSESFYKYQLLHIGCYYITKHDGEDSFCNLKDSSYSSSDNILIPSTTHLWSLSFTSDEICQNNSSPKCLPLDNSLRNNEVLSEHHNEVCLQMSPGSGSENSSDISLCLCENVIRFGEVILKELEEGLIKPIVTAEGIPKISSCISLAMTAPLLSLDSNGLFPEGNLLSLCGHVIAVHSVEDNSVNPYLNCQNVGDPLQWRFLQRAKSSCIHVLVDHHIVRLSGSLSGHAFPVGFGPGVDATFHRVLELRGQNRWMLTSVSFIVINSIRAVSFQNNFIKVDNESCSDKCSSPASYMQNAAPLDLVSSGLISELVKCLDFKPMRFHCRVVAIHILVLEKKFRNVNYQPKNHFRPYLVDIPLAGFVLGNLFVYLRNFAMFNPRSACWPSHQKIVKAWCICYYLMLIILDDGSSPCCCWANSGRAATLLRLYEDFPLSAFESSGWTLKWVRKGDNACSATMYHLERILKNHDRITVKNFGSMFDSSYQDLTVSVSSDNALSSHDENLLKFIIFNACFSKFWTVIGRMMDSNAVTRLKTTNLLETEMSMHSMQHIWAREVHYTNPLTEARNVVQELC >ONI30183 pep chromosome:Prunus_persica_NCBIv2:G1:24918847:24920693:-1 gene:PRUPE_1G235600 transcript:ONI30183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTATKDIITLRGSAAIVSEFFGYSANSILYNRGVYPEESFVKVKKYGLPMLLTQDEGLKSFIANLTAQLSEWLESGKLQRVVLVILSKATNEVLERWNFSIETDNEVVEKGESREKSDKEIMREIQAIMRQIASSITYLPCLEEACVFDVLAYTDKDLAVPFTWVESDPKLIANPQIVKLHSFDTKIHKVDTLVSYKNDEWDEQ >ONI29035 pep chromosome:Prunus_persica_NCBIv2:G1:15057123:15060329:1 gene:PRUPE_1G176800 transcript:ONI29035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLPSSLQRNWPISSLNSSFLPHYPPCLLGMVKKNCKINKISQNISFSISCSSVKTVRCPSLDRHVVKQNKIRFVQKLQTLLLSKPKCYIPIHILYKCRSYLSLSKPRSILSMIHRYPTIFELFSIPTPPLPVNATKSLLQLCVRLTPAAAALAAQELSLKSAISDSLAAKLQKLLMLSSHHRLLLSKLVHLAPDLGLPPNFRSHLAKPLSSPKVQSRELIVDRPLKFNQLTLRKGLNLKRRHQDFLLKFKEIPDVCPYNTPVSDLPKESIGAEKRACLVVREVLGMMVEKRTLIDHLTHFRKEFVLPNKLRGMIMRHPELFYVSLKGQRDSVFLVEGFDDKGALLEKKETLVIKEKLMELVSESKRLRRERRNARINNTEIGDASDEFEYDDNDDDYDDGFQSLFESEDLYLDDDGDEKVETVDYRENGQFWTVDAHSVLGGWKQPMEPWFNGEDGEQCGVEQLALQQYAGEGILTDLCSEKEPYFDGMAEEILITSWEFHEGIACSVVDCGRRLLLQLEDKYLLNAAFTFEDGCVPSKVVD >ONI27518 pep chromosome:Prunus_persica_NCBIv2:G1:6909492:6912872:-1 gene:PRUPE_1G091700 transcript:ONI27518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLASNIGKYQLGRTIGEGTFAKVKLALDSTNGKYVAIKVLDKHMVMESNLKNQVQREIRTMKLLNHSNIVRIHEVIGTKTKIYIVMEYVSGGQLSDKMSYAKRFSEGDARKLFQQLIDAVDYCHNKGVYHRDLKPENLLLCGKGSLKISDFGLSALRKPGDLLSTKCGSPSYVAPEVYDGAAADVWSCGVILFELLAGNLPFDDSSLMSLYRKICRADYTFPKWFTESQKKLISRILDPNPETRITMPKIMENEWFQKDYVPSCGNNSDDKIHLDDVNAAFDSTEENDMETKIPKSASFINAFQLIAMSNDLDLSGLFEEEDENKQKTRIGSKLTINETIKKIEAAAMDVSLSVERTKNLRMKMHAKQIMNRCSRSYVDISAEVIEVAPTNCVVEISRSAGELGTYREFCKSLSSQLREEPAVSSQMQESDVVSIQSQSIPKKESSEETNSRKIKDHRGYSSS >ONI27519 pep chromosome:Prunus_persica_NCBIv2:G1:6909490:6913025:-1 gene:PRUPE_1G091700 transcript:ONI27519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLASNIGKYQLGRTIGEGTFAKVKLALDSTNGKYVAIKVLDKHMVMESNLKNQVQREIRTMKLLNHSNIVRIHEVIGTKTKIYIVMEYVSGGQLSDKMSYAKRFSEGDARKLFQQLIDAVDYCHNKGVYHRDLKPENLLLCGKGSLKISDFGLSALRKPGDLLSTKCGSPSYVAPELLVNKVYDGAAADVWSCGVILFELLAGNLPFDDSSLMSLYRKICRADYTFPKWFTESQKKLISRILDPNPETRITMPKIMENEWFQKDYVPSCGNNSDDKIHLDDVNAAFDSTEENDMETKIPKSASFINAFQLIAMSNDLDLSGLFEEEDENKQKTRIGSKLTINETIKKIEAAAMDVSLSVERTKNLRMKMHAKQIMNRCSRSYVDISAEVIEVAPTNCVVEISRSAGELGTYREFCKSLSSQLREEPAVSSQMQESDVVSIQSQSIPKKESSEETNSRKIKDHRGYSSS >ONI26148 pep chromosome:Prunus_persica_NCBIv2:G1:567761:570716:1 gene:PRUPE_1G006800 transcript:ONI26148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLHLDAHYYYYYSCVALILLGLGLLGQANAQMYRVDSNNKKLAYYTITVDQSGHGNFTSIQSAIDAVPINNRNWVSIKIKAGTYKEKVIIPVDKPYIILKGENRHKTQIVWDDHDSVAQSPTFASYADSIIVKSISFVNSYNNPVNNKNPRVAAVAAMIYGDKSSFYRCGFFGLQDTLWDGQGRHYYHLCTIQGAVDFIFGSAQSIFQKCSIQVLGGALDPGSAGYITAQGRDNPNDASGFVFKDCKVSGTGSTFLGRAWRGYSRVIFYNSNFSQVVVPQGWDAWHFQGNEHQLTYAEHGCYGPGADTVKRVEWEKKLNADTVRELTSLNFIDTDGWLNDQPF >ONI26527 pep chromosome:Prunus_persica_NCBIv2:G1:2170019:2172394:1 gene:PRUPE_1G030500 transcript:ONI26527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDQYILEALEGEFLLHESLPVLNQRAFVPYTSRPITEKGLDKPPNSMNMNKRMVQFLRKSWAPATARIVTGDDCKERGFRHMLNERMRREKQKQSYMALHSMLPTGAKSDKNWIVQMTAMNIQQLQRYKEELRKRNMEVEAILVANEKERVNWSKIRLRVANPTSGVDSMLEVLRCLQYLGLKARNVRSNFSAQEFSAELEIETKIGAAEIEEALQETLYEAERKLRFSFPGR >ONI27456 pep chromosome:Prunus_persica_NCBIv2:G1:6551817:6557073:1 gene:PRUPE_1G087900 transcript:ONI27456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPNDHQFIFRSKLPDIYIPNHLPLHTYCFENISQFKDRPCLINGNTGETYTYAYVELTSRKVAAGLDKLGIHQHDVVMLLLQNCPEFVLAFLGASRIGAAVTTANPFYTPAEVVKQAKASTTKLIITQSAYVDKVKDFAIKNDVKIMVVDESPADDVSVHHFSELTTADENEIPAVKINPDDVVALPYSSGTTGLPKGVLLTHKVLVTSVAQQVDGENPNLYFHEEDVILCVLPLFHIYSLNSVFLCGLRVGAAILIMQKFEIGKLLELVERCKVTIAPFVPPIVLAIAKSPDLHRYDLSSIRMVMSGAAPMGKELEDAVRTKLPNAKLGQGYGMTEAGPVLSMCLAFAKEPFEIKSGACGTVVRNAEMKIVDPDTGASLPRNQSGEICIRGSQIMKGYLNDPEATERTIDKERWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEAMLIAHPNISDAAVVPMKDEAAGEIPVAFVVRSNGSKISEEDIKQYISKQVVFYKRIGRVFFTDKIPIAPSGKILRKDLRARLAAGLPN >ONI31936 pep chromosome:Prunus_persica_NCBIv2:G1:32002792:32006005:1 gene:PRUPE_1G340400 transcript:ONI31936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGTSKEMIATQAEMVEARVPLPYRDQCAHLLIPLNKCRQAEFYLPWKCENERHSYEKCEYELVMERMLQMQKIREEEAKLKQTKKKGQSIPLIPNTANA >ONI28726 pep chromosome:Prunus_persica_NCBIv2:G1:12539943:12541679:1 gene:PRUPE_1G157900 transcript:ONI28726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRRWEEFNTDCLTNIFGRVGMESLLFDVPFVCKSWYKASLDPCCWQRLIFPNVSFFGRFVNEYRIDAWKFSTRAFIKFVIGRSKGQATVLSLPPSASETDLKYVSDVCGDLKVLAFHGYKPHVIPKLIGKWKHLEWLMLESGDDFEEILSQISVHCKDFCGLCVSNDVFFTGEGIAIVNFLPKIKQLIFRRVEIGRDDLMALLLRWKKLLLLEGSDCVGFSPDA >ONI36110 pep chromosome:Prunus_persica_NCBIv2:G1:46459513:46460808:-1 gene:PRUPE_1G570100 transcript:ONI36110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMFMDTCHVFKGIGVCPSIMTWNAALSEMIECGVVADVDVDVDTDGYLIQAFCDDNKVSKGYELLRRVLVDGLVPGKAAFNKLIRRTNILEKERGYRGLRVFNDLKDRGYAPDIAMSTTMIHGLCTMGCLGEARKLWFEMIEKGYRPNKFTYNTMIHGFCKIGNFERAKILYKEMCGGGHKETRVSYNAKMTGLCLHGRTDEAYRLEGKIVESMNLLRELLTQCLQPSACSYTPLIKGLCQVVAVQEAKTLLNYMKNRDLEPSVGTHDDIIIGLCDQGDAADGFKWLIEMLKSKRKPKRETFERLVDSLSQRERMDRLCTGKRHSCSLVNKLCGESIHFVETCLGKILEGK >ONI31915 pep chromosome:Prunus_persica_NCBIv2:G1:31911759:31911989:1 gene:PRUPE_1G338900 transcript:ONI31915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCLRWVYEQGVSLVVKSFNKDSIQENIGIFDLELSPQELDNINRIPQNRGFPAINFIADEGPYKSLHELWDGEI >ONI32067 pep chromosome:Prunus_persica_NCBIv2:G1:32452795:32456467:1 gene:PRUPE_1G347100 transcript:ONI32067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMATTLQLTTDLSKPIIVVKPSLEFASTPRHCRTSLSLPRFNNIARASSSSSDSSLVSRRHFVSETAALSLTLTTLPLFGSIQPAKSEESALSEWEKVSLPIDPGVVLLDIAFVPEDPKHGFLLGTRQTILETKDGGDTWVPRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLHTSDSGNSWERIPLSSQLPGDMVYIKATGEKSAEMVTDEGAIYITSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNSVNRSPDGNYVAVSSRGNFYLTWEPGQPFWQPHNRTVARRIQNMGWRADGGLWLLVRGGGLYLSKGTGLTEEFEEVPVQSRGFGILDVGYRSKDEAWAAGGSGILLRTTNGGKTWTRDKAADNIAANLYSVKFIDDSTGFVLGNDGVLLRYLG >ONI32875 pep chromosome:Prunus_persica_NCBIv2:G1:34851100:34852864:1 gene:PRUPE_1G390900 transcript:ONI32875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHNSSTFPSCFRPSTAKPTDLRHSPPPPPPPPPISGHANLTTCLYHTDLALFSLTWSRSFLGRSLHLNLLHHSFDSPLPPSLSSASPPSFHLHIKPFIFWKKHGSKRLSPNTRIFWDLSRARFGSGPEPQSVFYIAVVVDDEMTLLVGDLTREAYAKTRAHKAQTPQIPILKREHVVAKKIYTTKARFGGKLRSIQIDCGYGNDLSRLCFSVDGQRVLQIKRLKWKFRGNERIEVDGAPLQISWDVYNWLFESDSDDGHAVFMFRFEEEEEINKESNNCQLGHLNSWNFGMNGIEWRKMGKSLSSSSVSMSSAGSSGGSSSVMEWASMEESELSGGPTGFSLLVYAWKR >ONI26649 pep chromosome:Prunus_persica_NCBIv2:G1:2580859:2582876:1 gene:PRUPE_1G037100 transcript:ONI26649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFPGTPGTLTGLLLRISQCVFAAGSIASMATTASFFNFTAFCYLIASMGLQVIWSLVLAFLDAYSLVKKKVLHNPVLVSLFVVGDWVTATLSLAAASASAGITVLYFSDLSHCNFGEECQKYQMAVALAYLSWVTIAISSLIMLWLLAAC >ONI26648 pep chromosome:Prunus_persica_NCBIv2:G1:2580859:2582974:1 gene:PRUPE_1G037100 transcript:ONI26648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFPGTPGTLTGLLLRISQCVFAAGSIASMATTASFFNFTAFCYLIASMGLQVIWSLVLAFLDAYSLVKKKVLHNPVLVSLFVVGDWVTATLSLAAASASAGITVLYFSDLSHCNFGEECQKYQMAVALAYLSWHACFQ >ONI30813 pep chromosome:Prunus_persica_NCBIv2:G1:28063689:28063982:-1 gene:PRUPE_1G274800 transcript:ONI30813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSHGVFVLLFCIGLFLVVQPDEVSALTSIGLALRENQDQYHGIFPRHQRTLKAATMEEMSTEKKNSTNTNSNFDPNQSSKRRVRRGSDPIHNRS >ONI30407 pep chromosome:Prunus_persica_NCBIv2:G1:26178706:26183860:-1 gene:PRUPE_1G249600 transcript:ONI30407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMYGLQSTAECGDKGFQSTAEYEDRALMTPENLILPLDYQSLLVSSGAFRGEHHHHRVPMFGSDDVVLYSAMSEAASPTITPEFQREEDVFGAIKAKIASHPTYPRLIHAYIECQKVGAPPEIASFLDEIRRESDFYNYKQQQRGSCNSNSSMSSTYLGADPELDEFMETYCEMLVKYKSDLSRPFDEATTFLNKIELQLSNLCTSSANASSIRTLSDEGGASSDEDFSGGEIEVQEGQQRGEDRDLKDRLMRRFGSHIGTLKLEFSKKKKKGKLPKEARQTLFDWWSVHYKWPYPTEADKIALAESTGLDQRQINNWFINQRKRHWRPSENMQFAVMDNIAGPFFTDD >ONI29194 pep chromosome:Prunus_persica_NCBIv2:G1:16982359:16992708:1 gene:PRUPE_1G186600 transcript:ONI29194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVCEGKDFSFPKQEDTILNLWSEIKAFETQLARTEGLPEYVFYDGPPFATGLPHYGHILAGTIKDIITRFFSMTGHHVIRRFGWDCHGLPVENEIDRMLGIKRRDDVLKMGIDKYNEKCRGIVTRYVEEWEKVITRTGRWIDFKNDYKTMDLKFMESVWWVFAQLFQKGLVYKGFKVMPYSTGCKTPLSNFEAGQEYRDVPDPEIMVAFPIVGDLQKANFVAWTTTPWTLPSNLALCVNANFTYVKVRNKYSGKVYVVAESRLSALPSDKPKENVANGSVDDSKKLNSKTKGSSGGKKETVDTSYEVLEKISGASLVGKKYEPLFDYFKEFSDVAFRVVADNYVTDDSGTGVVHCAPAFGEDDYRVCLENKVINKGENLIVAVDDDGCFTERITDFSGRYVKDADKAIIEAVKVNGRLVKSGTFTHSYPFCWRSKTPLIYRAVPSWFIRVEQLKGKLLENNTQTYWVPDFVKEKRFHNWLENARDWAVSRSRFWGTPLPVWISEDGEEIVVMDSIEKLEKLSGVKVFDLHRHNIDNITIPSSRGPEYGVLRRIDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKSLKNYPSPMEVIDDYGADALRLYLINSPVVRAEPLRFKKEGVFGVVKDVFLPWYNAYRFLVQNAKRLEVEGFAPFRPINHATVEKSSNVLDQWINSATQSLVYFVQQEMNGYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRMALSTLFNVLLVSCKVMAPLTPFFTEVLYQNMRKVLNESEESIHFCSFPQAEGKRDERIEQSVTRMMTIIDLARNIRERHNKPLKTPLREMVIVHPDADFLDDIAGKLREYVLEELNVRSLVPCNDTLKYASLRAEPDFSVLGKRLGKSMGVVAKEVKAMSQESILGFEKAGEVTLSGHCLKLADIKVVRDFKRPNGTTEKEIDANGDGDVLVILDLRPDESLFEAGIAREIVNRIQKLRKKAALEPTDMVEAYFDSLDQDKSVSQRVLHSQEQYIRDAIGLPLLSSSVMPSDAVSCFHWILLCFVLFCFVRLFLVWKLK >ONI29193 pep chromosome:Prunus_persica_NCBIv2:G1:16982187:16993779:1 gene:PRUPE_1G186600 transcript:ONI29193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVCEGKDFSFPKQEDTILNLWSEIKAFETQLARTEGLPEYVFYDGPPFATGLPHYGHILAGTIKDIITRFFSMTGHHVIRRFGWDCHGLPVENEIDRMLGIKRRDDVLKMGIDKYNEKCRGIVTRYVEEWEKVITRTGRWIDFKNDYKTMDLKFMESVWWVFAQLFQKGLVYKGFKVMPYSTGCKTPLSNFEAGQEYRDVPDPEIMVAFPIVGDLQKANFVAWTTTPWTLPSNLALCVNANFTYVKVRNKYSGKVYVVAESRLSALPSDKPKENVANGSVDDSKKLNSKTKGSSGGKKETVDTSYEVLEKISGASLVGKKYEPLFDYFKEFSDVAFRVVADNYVTDDSGTGVVHCAPAFGEDDYRVCLENKVINKGENLIVAVDDDGCFTERITDFSGRYVKDADKAIIEAVKVNGRLVKSGTFTHSYPFCWRSKTPLIYRAVPSWFIRVEQLKGKLLENNTQTYWVPDFVKEKRFHNWLENARDWAVSRSRFWGTPLPVWISEDGEEIVVMDSIEKLEKLSGVKVFDLHRHNIDNITIPSSRGPEYGVLRRIDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKSLKNYPSPMEVIDDYGADALRLYLINSPVVRAEPLRFKKEGVFGVVKDVFLPWYNAYRFLVQNAKRLEVEGFAPFRPINHATVEKSSNVLDQWINSATQSLVYFVQQEMNGYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRMALSTLFNVLLVSCKVMAPLTPFFTEVLYQNMRKVLNESEESIHFCSFPQAEGKRDERIEQSVTRMMTIIDLARNIRERHNKPLKTPLREMVIVHPDADFLDDIAGKLREYVLEELNVRSLVPCNDTLKYASLRAEPDFSVLGKRLGKSMGVVAKEVKAMSQESILGFEKAGEVTLSGHCLKLADIKVVRDFKRPNGTTEKEIDANGDGDVLVILDLRPDESLFEAGIAREIVNRIQKLRKKAALEPTDMVEAYFDSLDQDKSVSQRVLHSQEQYIRDAIGLPLLSSSVMPSDAPIVAEESFHGISGMSFVISLARPALVFNSDAILPLCSGNAESVRCLQTYLLSRDHATLKSEFQAGNGKITVDCIENIPPVDLVLGEHVFLSVGDFRTKSQ >ONI32647 pep chromosome:Prunus_persica_NCBIv2:G1:34198014:34202989:1 gene:PRUPE_1G378500 transcript:ONI32647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDNEEGRDLRKPFLHTGSWYRMGSRQSSMMGSSQVIRDSSISVVACVMIVALGPIQFGFTSGYSSPTQSAIIKDLGLTVSEYSIFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNVIGWLAISFAKDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGALGSVNQLSVTIGIMLAYLLGLLVQWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDTDISVEVNEIKRSVASTTRRTTIRFAELKQKRYWLPLMIGIGLLVLQQLSGINGVLFYSSTIFATAGISSSNVATCGLGAVQVIATGVTTWLVDKSGRRLLLIISSAGMTVSLLIVAVAFFLKDLVATDSNLFSILGIITVVGVVAMVIFFSLGVGAIPWIIMSEILPINIKGLAGSIATLANWFISWVVTMTANLLLEWSSGGTFTIYMLVSAFTVVFVTIWVPETKGRTLEEIQFSFR >ONI32648 pep chromosome:Prunus_persica_NCBIv2:G1:34198159:34202989:1 gene:PRUPE_1G378500 transcript:ONI32648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDNEEGRDLRKPFLHTGSWYRMGSRQSSMMGSSQVIRDSSISVVACVMIVALGPIQFGFTSGYSSPTQSAIIKDLGLTVSEYSIFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNVIGWLAISFAKDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGALGSVNQLSVTIGIMLAYLLGLLVQWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDTDISVEVNEIKRSVASTTRRTTIRFAELKQKRYWLPLMIGIGLLVLQQLSGINGVLFYSSTIFATAGISSSNVATCGLGAVQVIATGVTTWLVDKSGRRLLLIISSAGMTVSLLIVAVAFFLKDLVATDSNLFSILGIITVVGVVAMVIFFSLGVGAIPWIIMSEILPINIKGLAGSIATLANWFISWVVTMTANLLLEWSSGGTFTIYMLVSAFTVVFVTIWVPETKGRTLEEIQFSFR >ONI32649 pep chromosome:Prunus_persica_NCBIv2:G1:34198073:34202992:1 gene:PRUPE_1G378500 transcript:ONI32649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDNEEGRDLRKPFLHTGSWYRMGSRQSSMMGSSQVIRDSSISVVACVMIVALGPIQFGFTSGYSSPTQSAIIKDLGLTVSEYSIFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNVIGWLAISFAKDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGALGSVNQLSVTIGIMLAYLLGLLVQWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDTDISVEVNEIKRSVASTTRRTTIRFAELKQKRYWLPLMIGIGLLVLQQLSGINGVLFYSSTIFATAGISSSNVATCGLGAVQVIATGVTTWLVDKSGRRLLLIISSAGMTVSLLIVAVAFFLKDLVATDSNLFSILGIITVVGVVAMVIFFSLGVGAIPWIIMSEILPINIKGLAGSIATLANWFISWVVTMTANLLLEWSSGGTFTIYMLVSAFTVVFVTIWVPETKGRTLEEIQFSFR >ONI33437 pep chromosome:Prunus_persica_NCBIv2:G1:36540948:36546822:-1 gene:PRUPE_1G423900 transcript:ONI33437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEQPPKKRKLYEAQPEPPSSPPLSQPPPPPPSPQTLAPAPSVGAPQSNEEILNKRRHRDEIRSVYECYKRIKFCLSKNDSALTPELEQAYLSLITASRGCTSVQRIVADLIPRYASKCPTALEAAAKVVINMYNWSMAVINRGEDAESVAFQTAKSCILGLSDICCTASSVAPTSSVIRGICSTVFQNVLTFFISTFEGKDVFMIVGKETVRIQDSSEIFSELKHKISDENESSPIKLSKLCALSLLWIFFCYPKELLSAWFELFKSSASEGVQKGQYFLSQMTSRLDNDGGYPSDKTGDEPKSSTGYSESSTRRDEVSSEQLASFGAQVCGVASTVKNSCLLGLVLSKDPSLRSWIFSKYKKLCKLQSFKALSDIKSSLEDVFKSFIEQMDVEDNQVDSDDDDSDPSRFIERAYLVPRFSNQHETCSELFGKDSNLRPNGGSYDDVYSDRVSGQHLKPRSSIIPLETNIVGSNQDSGGTRSTNCEMREHGDMSHGRSSVPRDLMNHQVLSPVTRSPLDFRSNSFDGRKHVHLEKNQDAMDFGSPLQRSSSGGVNSSFESPKPHLVSPYTSTPTQPHLVSPYTSTTTQIVWCSDGDTGAMDIFSASKQLWLGFSGSDASEAHVRFQLERFGVIEQFIFFPIKGFALVEYRNILDAVKAREYMRGHFPWHIKFMDIGLGTRGAMNGVAVGSSCHVYVGNVLSQWAKDEILHESRKVLYKGPYMITDLSNEGALLMEFDTPEEAAAVMAHLRQHRKERSNYRPPYSAGPTNVVISQIDGARSVPTPTHRSNNPGNMSSGHVAAPFSVNHDSHPMELVSPRVKSENQGNSVQSGYTFQSNRAVTGSTEMLEAGTQKVDGYDNNIAVVDPSQGGSHVASHATEQNWMYAKPGTELHSAPGSIPCVPVPTQGPSVPPPPQIQSSPFIRPIYLPPNSSWDPRGVNHNPPLNPISPGVMPNSFHGNAIVSPFIPASVTPLAQVQGTPAQQFDQMFSVPTVPPPLSSLPPPLPEMPPPLPPSPPPLPQSQPPFVPPPPHSPPPPLPVPESSGVEISGRCLQYRWQGVLCKSGVQYCTVYASRVDSDICKYSNAISEPAEWPAKLDMTKRTDFRHVKSTFTSTPPHKREVCRLIPASAGDHKGFQDFISYLKQRECSGVIKIPAVKSLWARLLFILPHSNDTCSMLSIAPTPPDSLIALILPKETNFEWV >ONI35176 pep chromosome:Prunus_persica_NCBIv2:G1:42716631:42717730:1 gene:PRUPE_1G520600 transcript:ONI35176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGSSSSLLKLFLVASLIQVSLGSSRFFQVSLATRKLSELVQGQTQLLKYHNGPLLSGKIAINLVWYGNFKPSQKAIISDFITSLSSSSPPKTTPPSVATWWKTTEKYYHLSSNKKTSLSLSLGRQILDEKYSLGKSLTTKQIVQLASKGDQKNAINVVLTSADVTVDGFCMNRCGTHGSALGSSKTGLIKGSKRSKFAYIWVGNSETQCPGQCAWPFHQPIYGPQSPPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGYFQGPAEAPLEAASACPGVYGKGAYPGYAGNLLQEPSTGASYNANGANGRKYLLPALYDPATSSCSTLV >ONI27394 pep chromosome:Prunus_persica_NCBIv2:G1:6226311:6232266:1 gene:PRUPE_1G083700 transcript:ONI27394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPVDETTKEVSDLTMESEGTMSKNARKKELKNKHKEEERRQKEEEKAKQAAATASSQVKKNIATEDEEMDPTQYFENRLKHLATQKADNKNPYPHKFFVSMTLLEYVEKYDGLNNGEHQEEISVSLAGRIMSKRSSGSKLFFYDLHGGGEKVQVMADLSKSELEESEFSKFHSSVKRGDIVGVTGFPGKTKRGELSIFPRSFIVLSHCLHMMPRHKAGPASDNANAKKTDGWVPGSPRDPETYILKDQETRYRQRYLDLMLNTEVRQIFKTRSKIIQYVRRFLDKRDFVEVETPMMNMIAGGAAARPFVTYHNELDMKLFMRIAPELYLKQLIVGDLSRSGVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMTLTEEMLSEMVKELTGGYKIKYHSNGLDKDPIEIDFTPPFRRIDMVDELNKIADLNINPADLSSDEANKYLKEACKKFDIKCSPPETTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELANAYTELNDPVVQRQRFADQLKDRQSGDDEAMPLDETFCRALEYGLPPTGGWGLGIDRLCMLLTDSQNIKEVLLFPAMKPHAEPSAKGANSDVGRPFWHNFKNLLVSREFCCCLMTSFIMLCFFCIYEINR >ONI32330 pep chromosome:Prunus_persica_NCBIv2:G1:33290842:33292598:-1 gene:PRUPE_1G361600 transcript:ONI32330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLFFFMFVYVDLILSTAQAIVKLPNNVTIPGVFMFGDSIVDTGNNNNLTTLVKSNFLPYGRDFMGGLPTGRFGNGKVPSDLIVEDLGIKELLPAYLDPCLQAEDLPTGVNFASGGAGFDPLTSKLMLVIPLSEQLQLLKEYIEKLKKYVGEERASSIISNSLFVVAAGSDDIVNTYYHTPARFWKYDIYAYTDLMLTEASAFVQKLYTLGARRIGVISVPPIGCIPAQRTLGGGPERKCVERYNEAAELFNKKLSAELDCLNSHQFHATVAVFMDVYGPFLDIIHNPKKYGFEVINRGCCGTGIIEVAAFCNQCSPNTCKNATNYVFWDSYHPSERAYRIITHQVLHKSIQAFFHSQNK >ONI31348 pep chromosome:Prunus_persica_NCBIv2:G1:30015093:30016181:1 gene:PRUPE_1G307700 transcript:ONI31348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITLDPSFNPKTNPHEHDQQHGNVIEEIEGLIKVYKDGHVERPHIVPCVATAVPPELGVTSRDVVIDKFTNIWSRFYVPKCHGNDNKLPFLVYFHGGGFCVGSAAWSCYHEFLAKLAAKAGCLIMSVNYRLVPEHPLPAAYEDGLKALLWLKQQALSQRGANNKWWSRQCDFSRIFLVGDSAGANIAHNVATRLCNIISDGPCDEQIIISSLIKPLTIKGTILLQPFFGGEARTSSEKYNMVKQPRSALSLAASDTYWRLALPCGANRDHPWCNPLKRASSLRAVWATMVCISEMDILKDRNLEFCCALDHNSNRAGKMKVECVVYKGVGHAFQVLDKSQLSKTRSQEMITHIKAFVNYN >ONI27214 pep chromosome:Prunus_persica_NCBIv2:G1:5311064:5314020:-1 gene:PRUPE_1G074200 transcript:ONI27214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTAANWKHSFLYLGFLYSLLCFLHNQISHNLLIGHRPVRVKKTSPDLPLRFRSDGTFKILQVADMHYGNGALTRCRDVLDSEFEHCSDLNTSRFLKRMIEAEKPDFIAFTGDNIFGSSSVDAAESLLRAFGPAIESGLPWAAILGNHDQESTMNREELMSFISLMDYSVSQINPSAEDLSNLARGSRKKIDGFGNYDLRVYGAPGSHLANSSILNLFFLDSGDRETVQGVRTYGWIKESQLDWLHGISQGYQDKPPALAFFHIPIPEVRQLWYKKIIGQFQEAVACSSVNSGVLQTLVSMRDVKAVFMGHDHNNDFCGYLENIWFCYGGGFGYHGYGKAGWRRRARVILAELGKGEKGWMGVERIKTWKRLDDDKLSKIDEQLLWEY >ONI28505 pep chromosome:Prunus_persica_NCBIv2:G1:11351929:11359238:1 gene:PRUPE_1G144600 transcript:ONI28505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPASPSSTQSQRQRLDEARVSEMEIQPPLTNQDTSTVWDWGDLLDFTVDDDLSISWGSIEIDPAPALEDLPEDPNSNSDRVRKRDPRLACTNFLAGHVPCACPEIDERMMELEEEEAGHGKKRVKTARAPPGTARCQVPSCRADIKELKGYHRRHRVCLACANASTVFLDGETKRYCQQCGKFHVLSDFDEGKRSCRRKLERHNNRRRRKPTNSKGGIRKESQREIQIEDTNCDGGAGEDSIQLSSQLNDKEELPESEGGRISTLSSVPDSQIVHSDGGASLVASGETQMDGRKHDSNNSLSPPNCDKSAYSSMCPTGRISFKLYDWNPAEFPRRLRHQIFQWLASMPVELEGYIRPGCTILTVFIAMPKFMWMKLLEDPVSYVHDFVVVPGRMLSGRGNILVYLNDMIFRVVKDGTSVIKGKVEMRAPRLHYVHPRYFEAGKPMEFVACGSDLLQPKFRFLVSFSGKYLAYNYYPESSPSQIEGDTATNLDHQLYKIHVPQTEANRFGPAFIEIENESGLSNFLPILIADKDVCAEMNTIQKRYEESFSLQGSHFSSSGSLSDSCEASSLGHTAFSEVILDIAWLLKKPSSENFQQIMTASQIQRFNYLLNFLISMKSTTILEKVSQNLKTLMDNMELHSANDGTSDADMRLLKNYMDYARDRQKIDNSGVLVPWSGRLVQKEDIVSQSQSCFQNVGNLVVPLQCQDTEITVDGRVDVMVGSTSHERSETVPLLSKKAVMKANLIKKWPRVANYCTSGEVSMSRSSGAFLRFRPALYVICAAAICLGFCAVLFHPHKVGEFAVTMRRCLFDNF >ONI35469 pep chromosome:Prunus_persica_NCBIv2:G1:43945155:43946047:1 gene:PRUPE_1G537800 transcript:ONI35469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVSVPEEPRLRCHGKGRMTKIGPGLSPSTEPFVPISYTLRRTLKSDLLLKSAREGRWVFIQFLHV >ONI35087 pep chromosome:Prunus_persica_NCBIv2:G1:42362731:42365906:-1 gene:PRUPE_1G514900 transcript:ONI35087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVIGNLTPAFLPSRLGNSRPCVVKLRSCTAPVFRRSFAAITARAASTAFIEVEPRDTNPIVVQNEVTTSSNNILACPICFDQFSSSADPGLSVNSASGSSFQCSTCKKTYFGNQTHIDLTTASGSKNYGESMPVSTELFRTPLVSFLYERGWRQSFSVWGGFPGPEKEFELTKDFLKPVLGGNIIDASCGSGLFSRLFAKSGLFSLVVALDYSENMLKQTYEFIKKEENFPEENIILVRADISRLPFATSSVDAVHAGAALHCWPSPSTAVAEISRVLRPGGVFVATTYILDGPLSYIPFLRNITQRTKQISGSQIFTSERELEDLCKACGLVGYTCVRNGLFVMISATKPS >ONI30837 pep chromosome:Prunus_persica_NCBIv2:G1:28157190:28160241:-1 gene:PRUPE_1G276300 transcript:ONI30837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTISICDKKGNEGMANNGAIYVTENPNAFSCFSSAPSFSSSSPSCSMGMVYADMGSLSLSSNYGVVSSVSSQESSYISKLSEVENGRGFWGFPPMGNCNNRSSEDYHHNTDIGDGKGSDSSSDHESGENNQTCMNDQKANLINHEKYPNENVVIGGKETESGQSKHCARGHWRPAEDSKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEDRLMQAHRVYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKLSQTVYRRMEEDPSFNVSRSTEPPSYCSLNLPHNGGLSNSTLSPFSYGTSYNGVVGWGVDYYGSNGSPNMTSAEEEETIPSKKVLPFEFFPGTKRNEGVMGMVSQSRSWDSSSDIEGHLCNLHPHHQPYMMAMQQANYHNLLHSYSDSSVSASPRQVSASKPSPSAAAAADEDRVGGSHHETLPPPFIDFLGVGAT >ONI31795 pep chromosome:Prunus_persica_NCBIv2:G1:31395746:31406871:1 gene:PRUPE_1G331400 transcript:ONI31795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNQRSSSSSASASRAYQFHPARAAIVNLFDLYLGRSSRQKPEDSVREPPNKSQKRVVALNRELPPRNEQFLLDFEQLQSQFPDQEQLRVVTESVLISLVVQCSNHAPRAEFLLFALRSLCTIGHINWDSFLPSLFSSVSTAEMSVGQGSQAMPAVSSQSGMLQSSNNILHSSNFQSSNPASPLPAVHGIGSPSQSAIEPSSCVTMSPVKSSDMPCNGQQATARVNSSIRDNAISSLRQLCCKIILTGLEFNLRPVTHADIFSHMLNWLVNWDQKQLGVDESDGVKSWRPGKALIEWLHSCLDVIWLLVDEDKCRVPFYELLRSGLQFMENIPDDEALFTLILEIHRRRDMMAMHMKMLDQHLHCPTFGTHRIFSQTTPSVSGEAVASLRYSPITYPSVLGEPLHGEDLATSIPKGSLDWERALRCIRHALCTTPSPDWWKRVLLVAPCYRSPSQGPTPGAVFTSEMICEGTIDRIVELLKLTNSDINCWQEWLVFSDIFFFLIKSGCVDFVDFVDKLVSRLTEGDQHILRTNHVTWLLAQIIRVELVMSALNADARKVETTRKILSFHKEDRSSDPNSPQSILLDFISSCQNLRIWSLNTTTREYLNNEQLQKGKQIDEWWRQASKGDRMMDYMNMDDRSIGMFWVVSYTMAQPACETVINWLSAAGVAESLPGTNLQSNERLMVMREVSPLPMSLLSGFSINLCLKLAYQMEESLFSGQVVPSIAMAETYTRLLLIAPHSLFRSHFSHLAQRNPSVLSKPGVTLLVLEILNYRLLPLYRYQGKSKALMYDVTKIISALKSKRGDHRVFRLAENLCMNLILSLRDFFFVKREGKGPTEFTETLNRITVVTLAIIIKTRGIADADHLLYLQTMLEQILATSEHTWSDETLRFFPPLLRDFLIPRIDKRGVAIQAWQQAETTVINQCTQLLSPSADPTYAMTYLSHSFPQHRKYLCAGAWILMQGHPENINSVNLARVLREFSPEEVTHNIYTMVDVLLHHIQLELQHGHSLQDLLLKACANLAFYIWTHELLPLDILLLALIDRDDDPHALRIVMSLLDRQELQQRVKLYCMNRGPPEHWIYTGVFKRVELQKALGNHLSWKDRYPTFFDDIAARLLPVIPLIVYRLIENDAKDSAERVLAMYSQFLAYHPLRFTFVRDILAYFYGHLPVKLIVRILNGLDINKIPFSESFPSHVNSSNSAMCPPPDYFATLLLGLVNNVIPPLHNNSKSGSVSDALNNSMRAPPNKTPATSQSGQTNVSDGQKAFYQIQDPGTYTQLVLETAVIELLSLPVSASQIVSSLVQIVINIQPTLIQSSNGLHGAPNGVGQGSVLPTSPSGGSTDSLGTSRSTASVSGINASNFVSRSGYTCQQLSCLLIQACGLLLAQLPSDFHVQLYIEASRIIKETWWLTDGKRSLGELDSAVGYALLDPTWAAQDNTSTAIGNIVALLHSFFSNLPQEWLEGTHLIIKHLRPVTSVAMLRIAFRIMSPLLPKLANAHTLFSKTLSLILSMMVDVFGKNTQPPTPVEPLEIADLIDFFHHIIHYEGQGGPVQANSKPRPEVLALCGRAAESLRPDIQHLLFHLKPDTNSSIYAATHPKLVQNAS >ONI31796 pep chromosome:Prunus_persica_NCBIv2:G1:31395746:31406871:1 gene:PRUPE_1G331400 transcript:ONI31796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNQRSSSSSASASRAYQFHPARAAIVNLFDLYLGRSSRQKPEDSVREPPNKSQKRVVALNRELPPRNEQFLLDFEQLQSQFPDQEQLRVVTESVLISLVVQCSNHAPRAEFLLFALRSLCTIGHINWDSFLPSLFSSVSTAEMSVGQGSQAMPAVSSQSVHGIGSPSQSAIEPSSCVTMSPVKSSDMPCNGQQATARVNSSIRDNAISSLRQLCCKIILTGLEFNLRPVTHADIFSHMLNWLVNWDQKQLGVDESDGVKSWRPGKALIEWLHSCLDVIWLLVDEDKCRVPFYELLRSGLQFMENIPDDEALFTLILEIHRRRDMMAMHMKMLDQHLHCPTFGTHRIFSQTTPSVSGEAVASLRYSPITYPSVLGEPLHGEDLATSIPKGSLDWERALRCIRHALCTTPSPDWWKRVLLVAPCYRSPSQGPTPGAVFTSEMICEGTIDRIVELLKLTNSDINCWQEWLVFSDIFFFLIKSGCVDFVDFVDKLVSRLTEGDQHILRTNHVTWLLAQIIRVELVMSALNADARKVETTRKILSFHKEDRSSDPNSPQSILLDFISSCQNLRIWSLNTTTREYLNNEQLQKGKQIDEWWRQASKGDRMMDYMNMDDRSIGMFWVVSYTMAQPACETVINWLSAAGVAESLPGTNLQSNERLMVMREVSPLPMSLLSGFSINLCLKLAYQMEESLFSGQVVPSIAMAETYTRLLLIAPHSLFRSHFSHLAQRNPSVLSKPGVTLLVLEILNYRLLPLYRYQGKSKALMYDVTKIISALKSKRGDHRVFRLAENLCMNLILSLRDFFFVKREGKGPTEFTETLNRITVVTLAIIIKTRGIADADHLLYLQTMLEQILATSEHTWSDETLRFFPPLLRDFLIPRIDKRGVAIQAWQQAETTVINQCTQLLSPSADPTYAMTYLSHSFPQHRKYLCAGAWILMQGHPENINSVNLARVLREFSPEEVTHNIYTMVDVLLHHIQLELQHGHSLQDLLLKACANLAFYIWTHELLPLDILLLALIDRDDDPHALRIVMSLLDRQELQQRVKLYCMNRGPPEHWIYTGVFKRVELQKALGNHLSWKDRYPTFFDDIAARLLPVIPLIVYRLIENDAKDSAERVLAMYSQFLAYHPLRFTFVRDILAYFYGHLPVKLIVRILNGLDINKIPFSESFPSHVNSSNSAMCPPPDYFATLLLGLVNNVIPPLHNNSKSGSVSDALNNSMRAPPNKTPATSQSGQTNVSDGQKAFYQIQDPGTYTQLVLETAVIELLSLPVSASQIVSSLVQIVINIQPTLIQSSNGLHGAPNGVGQGSVLPTSPSGGSTDSLGTSRSTASVSGINASNFVSRSGYTCQQLSCLLIQACGLLLAQLPSDFHVQLYIEASRIIKETWWLTDGKRSLGELDSAVGYALLDPTWAAQDNTSTAIGNIVALLHSFFSNLPQEWLEGTHLIIKHLRPVTSVAMLRIAFRIMSPLLPKLANAHTLFSKTLSLILSMMVDVFGKNTQPPTPVEPLEIADLIDFFHHIIHYEGQGGPVQANSKPRPEVLALCGRAAESLRPDIQHLLFHLKPDTNSSIYAATHPKLVQNAS >ONI29176 pep chromosome:Prunus_persica_NCBIv2:G1:16862103:16867139:-1 gene:PRUPE_1G185500 transcript:ONI29176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFFLFVKVGGFGFLRGCQHGFNYQHKRGLVNVKLKWVKDRGLDAVVTAERDLKAACILVSIISSSPQCCLPIYRLSCHRGQLSLPCDLKLSTFIRKFPSVFVESHTFWSGGARVPCFSLTPEALQLYHEELNVLQQNQMDLINRLQKLLMLTQGWTLPLQTLDQLKWDLGLPYDYQHSVIPHHPDLFSFIRLPDDRVGLKLLSWDEDLAVSQLQKNSAIQQKEEDARSGCLAFPVGFTRGFGLKRKCMEWLKEWQTLPYTSPYSDASYLDPRTDVSEKRIVGVFHELLQLTIHKKTERKNVSNLRKPLALPQKFTKVFERHPGIFYISKKCATQTVILREAYNHQQLLQKHPTVDLRERFARLLRKGLLDRSKGLYKKSKGDGVEVDPHVDDIGNNQSSSEEESVNKLFYEYDSDKPDEPRIQL >ONI29175 pep chromosome:Prunus_persica_NCBIv2:G1:16862183:16867139:-1 gene:PRUPE_1G185500 transcript:ONI29175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFFLFVKVGGFGFLRGCQHGFNYQHKRGLVNVKLKWVKDRGLDAVVTAERDLKAACILVSIISSSPQCCLPIYRLSCHRGQLSLPCDLKLSTFIRKFPSVFVESHTFWSGGARVPCFSLTPEALQLYHEELNVLQQNQMDLINRLQKLLMLTQGWTLPLQTLDQLKWDLGLPYDYQHSVIPHHPDLFSFIRLPDDRVGLKLLSWDEDLAVSQLQKNSAIQQKEEDARSGCLAFPVGFTRGFGLKRKCMEWLKEWQTLPYTSPYSDASYLDPRTDVSEKRIVGVFHELLQLTIHKKTERKNVSNLRKPLALPQKFTKVFERHPGIFYISKKCATQTVILREAYNHQQLLQKHPTVDLRERFARLLRKGLLDRSKGLYKKSKGDGVEVDPHVDDIGNNQSSSEEESVNKLFYEYDSDKPDEPRIQL >ONI33668 pep chromosome:Prunus_persica_NCBIv2:G1:37388219:37390544:-1 gene:PRUPE_1G439800 transcript:ONI33668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSKSIPLQAPTYGNLITVLSIDGGGIRGLIPGTILGYLEAELQKLDGEDARIADYFDVIAGTSTGGLVTAMLATPDENNRPVFAAKDIKDFYLTHCPKIFPQKHCPIFPYTTKIIKALAGPKYDGKYLHDLVGQKLGDKKLHQTLTNVVIPTFDIKQLQPTIFSSYEVKHKPGFDAKLSDICIATSAAPTYLPAHYFETMDPEGNVREFNLIDGGVAANNPTLLAIGEVTKTIIKGSSDFFPIKPMDYARFLVISLGTGSAKAEFKYHALSAAKWGLLDWLTSGGGTPIIDVFSQSSADMVDYHLSVVFQALHSENNYLRIQDDTLTGHVSSVDIADKKNLDDLLIVGEGLLKKPVSRVNLETGRVEPSKHESNEEALTRFAKLLSEEKWLRLARSPHGHTPKPKPNY >ONI33669 pep chromosome:Prunus_persica_NCBIv2:G1:37388164:37390544:-1 gene:PRUPE_1G439800 transcript:ONI33669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATPDENNRPVFAAKDIKDFYLTHCPKIFPQKHCPIFPYTTKIIKALAGPKYDGKYLHDLVGQKLGDKKLHQTLTNVVIPTFDIKQLQPTIFSSYEVKHKPGFDAKLSDICIATSAAPTYLPAHYFETMDPEGNVREFNLIDGGVAANNPTLLAIGEVTKTIIKGSSDFFPIKPMDYARFLVISLGTGSAKAEFKYHALSAAKWGLLDWLTSGGGTPIIDVFSQSSADMVDYHLSVVFQALHSENNYLRIQDDTLTGHVSSVDIADKKNLDDLLIVGEGLLKKPVSRVNLETGRVEPSKHESNEEALTRFAKLLSEEKWLRLARSPHGHTPKPKPNY >ONI34091 pep chromosome:Prunus_persica_NCBIv2:G1:38618645:38622971:-1 gene:PRUPE_1G462000 transcript:ONI34091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKRKNQKEEPAEVTTVTTTVVTHSSTRRVTRSLTREASSANPVESRPEFPARKKAKGAQKKKEPKKEKAEEEEKDEAEAEGEDVEEEKEKAEESEEGAEAEGEKEEVEGDEAEENDGEETEGAETEGEKDEVEGDEAEENDGEGSNKKTIVIEHCKQCNSFKTRANQVKGGLEKGVPGITVLINPDKPRRGCFEIREEGGETFISLLGMKRPFKPMKDLNMEEVIGNIIEKIK >ONI27195 pep chromosome:Prunus_persica_NCBIv2:G1:5265716:5270520:-1 gene:PRUPE_1G073700 transcript:ONI27195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGTCAVQQTLTAEAASVLKHSLSLARRRGHAQVTPLHVAATLLSSRTSLLRRACLKSQPHQTSHPLQCRALELCFNVALNRLPTTPGPLLHGQPSLSNALIAALKRAQAHQRRGCIEQQQQQPLLTIKVELEQLIISILDDPSVSRVMREAGFSSTNVKNNLEDTSTSSVFQCYSSSGGVFSSPCSPSPPTDHHHHHHHQNNNIPGNFWQTHFLSYTCEQNPVLFSPQKTKLSLINPTSTTTTSTEHSAYKEDIKLVFEVLLRKKKRNTVIVGDSMSITEGLVSEVMGRIERGIQVPEELKSTYFIKFQFSPVSLRFMKREDVEANLSELKRKIDSSLASGGGAAGGGGGGAIIYTGDLKWTINDDERRDQASTGYSPVEHLVAEISRLVSDYENSSNSSSKPKVWLMATASYQTYMRCQMRQPPLEIQWCLQAVSVPSGGLGLSLHGSSVHDSRIIFSQSPSEVLEPKPFNRKDEQDHNITCCEECTSNYEKEAQQLKSGQQKLPAWLQPHGTEARQKDEVAELRRKWNRLCYSLQHQGRHTVQNHLSSANLYNNQGLVGKNYSYASTYPWWSTRNGVSRDLNSISFGHDPASDLTHGSNIVPRFRRQQSCTIEFNFDNGIQKNQVAEPSLDSLKSTEGKEVKITLALGNSVFSDSGKSVERKRSERTMQRADMCKLLKENVPWQSESIPSIVEAIIDSKSSRQETWLLIQGNDSIGKRRLAQAIAELVMGSTDSLLHFNMNKRDNEMNPRAEVLGRALKSNEKLVVLVEDVDLADTQFLKFLADGFETRKFGEVSRREGNLGQAIFILTKGDSTRYEDKAKYLKSIIQMTLKVDEKHSTSPSFGGVNFDHKRKAEWELQIKTKTPRIEEKEDQSVVAVENVNSKKDFSRQSSFNTLDLNLMAGEDDEIEDKAGELSPISSDLTRETTTDLQTPHGFLESIENMFVFNRSPARDREISELFMSKIEGCFEEVYGKHNVVSFSVDKRVLEGICNGSGYFPNSLFEKWLKDIFQTRLRAVKLSGKEGILVRLCLGDKEEGILEGFLGSCLPKKIQIS >ONI33054 pep chromosome:Prunus_persica_NCBIv2:G1:35495100:35496225:1 gene:PRUPE_1G402600 transcript:ONI33054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTKRMREMLKTEVDDVTAWGKSQKLENISSRGSPHRRRSPSMATAATNALPSLELLAYDLPPRRLTLAPHQYKYCSLALKFFKDKLRMPEQIKQDWDHKNHYDDYVALDENRVVLNYSAAGDYINASFITSCSSSFIATQGPLSETFEDFWEMVIQYRCSVVVMLTDLDDNKCGDYFQAEGDDGHREFGNISIATKSIRSSEFGDGNSVVLRLLEVKKHNEESDSEEAPMSVLHIQYPQWPDHGVPENTIAVREILKRAMYQVAPAIPDSGPIVVHCSAGVGRTGTYCTIHDTLQRIISGDMSALDLVNTITRFRSQRDGMVQKPEQYCFCYDAIIDELEDLISDPVE >ONI27303 pep chromosome:Prunus_persica_NCBIv2:G1:5644209:5645289:1 gene:PRUPE_1G078400 transcript:ONI27303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTQIFACVFCLQQLEELNHNVFPRFPFCESLVVDKIVAFNTKLIEIGGEIISSVRRMTLHLSRGICPPQHTH >ONI29888 pep chromosome:Prunus_persica_NCBIv2:G1:23434095:23440127:-1 gene:PRUPE_1G220000 transcript:ONI29888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLRKLRGFALHKHDAKDRRDLRPLPQLDELAQAAQGMQDMRDCYDSLLSAAAATANSAYEFSESLREMGSCLLQKTALNDDEESGRVLLKLGKLQFELHKLVDSYRSHIFQTIAVPSESLLNELQTVEEMKRQCDEKRDVYEYMIKRQKEKGRSRGGKGESFSVQQIQLAREEYDEEATLFIFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALRSVESVEPHVKLVTEQQHIDYEFNGLDDEGDGNNDDDDNDDDDSDVNDDGELSFDYGQHDHDQDVSTTQNSMELDPVDITFPKVAQVEALKDRFRRNSFSFKGRTAVSQSAPLFAENNSDRAEKMRHRQPSFSRKFHSYALPTPIDKKSSVSTGSDNPVPHTVQTSLSERTQNLWHSSPLEPKNEKAMGDKKVSGINFMNVQSVLKESNNNIASHRLPPPLSGRYLFSEQVPLAASDSKERRRQAFSGPLTSKHWASKPVSSDHHQMFSGPILRNPVSQPLSSSPKVSPSASPTFMSSPKISELHELPRPPASSTSKSSRPLGHSAPLVPRTQMQSATNKPAVSPTAAAQSATNKPVVSPTAAPLPTPPTVARSFSIPSRDPGAMEFHVTEPREGSPVPEMAEDNGSPPLSPLALPNTAK >ONI36458 pep chromosome:Prunus_persica_NCBIv2:G1:47563315:47571969:1 gene:PRUPE_1G585900 transcript:ONI36458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPSPLKLKQSSSSTQTLTTTATSAQDARLLVRETLRISASLASSEPNLPPLDTQTHTPRNQLGLLHHDQDFVSSSFRLICCEEIDGRRWNYVAEPDSSPGTFKKGSLRALGLHSPQPPLDGLMSFVRSYVVPEGFPDSVIPSYVPYMTWRALKHFFGGAMGVFTTQTLLNSVGVSRNTAAPGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGLSIMISKRNPSLITTFGLLSCGYVLSSYQEVKSVVLHTLNRARFSVAVDTFLKTGRVPSLQEGNFNENVFRFPWLKEGPVVLGPRFKDAFQEPNVYLAIEPFFEKERYVVTYNPKKGKVYALFKDQAKSDDILKAAFHAQVLLHFMHLSFDSQHLSQKEKTGGYLNFEPTAKDLEACIVESYRMVSTSYGIFKSKAAEQGWMMSEAHLNPGRARLIK >ONI29170 pep chromosome:Prunus_persica_NCBIv2:G1:16780456:16782634:1 gene:PRUPE_1G185000 transcript:ONI29170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRLRGVGAGVSPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSNMEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSSTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNNALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI30096 pep chromosome:Prunus_persica_NCBIv2:G1:24662022:24664065:1 gene:PRUPE_1G231400 transcript:ONI30096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSCVLLCLMVAWIVIQALQYSFARRIPTNLPPGPKPFPLIGNLLELGNKPHISLTKLSKRYGPIMTLQLGQITTVVVSSSIVAKQVLRTYDRFFCNRTNADALQACSHAKHGMPFIPVSAKWRNLRRICNSQLFATKVLDANQANRHLKVQELIADVSESVVKGKAIEVGRAAFITTLNLLSRTVFSVDLADPKSETAREFKELVWGIMEEVGKPNLADYFPVLKKIDPMGIRRRLTKHFRKMIDLFDSLIFQRLETRKSHDYVTANDMLDTLINMSEEKNEDMDIPETHHLFLDLFVAATETTSATLEWAMAELLCNPEKLSKAQEELEQVIGKGKPVEETDIARLPYLQAIIRETFRLHPALPFLVPRKAEADLEICGYFVPKGAQVLVNAWAIGRDPGIWDNPASFIPERFLGLDMDVTGGSFELIPFGGGRRICPGLPLAMRMLNLMLGSLLNSFHNWKLEDGVVPENMIMEEKFGITLQMAQPLRAAAMAVP >ONI30719 pep chromosome:Prunus_persica_NCBIv2:G1:27624570:27627359:1 gene:PRUPE_1G269100 transcript:ONI30719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLHSLSSPAVAAQNPNQNPKQCLASELSSRARVFSTNSFGFAPSLSSSRKRLSLKCRQSEYFDQQRASTASAPNKPSPPAPQTPAGGTGLPPRFFVGHSIYKGKAALTVEPKAPEFTALDSGAFKLSREGFVLLQFAPAAGVRVYDWSRKQVFSLSVTEIGSLVCLGSKESIEFFHDPFKGKSDEGKVRKVLKVEPLPDGSGHFFNLSVQNKLINLDESVYIPITRAEFAVLKSAFNFILPYILGWHAYANSIKPEDSSRMNNSSSRYGGDFEWSR >ONI26096 pep chromosome:Prunus_persica_NCBIv2:G1:363326:367868:-1 gene:PRUPE_1G003500 transcript:ONI26096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSNSSKKTMSSSPSSAGRPPQGVKFARRTSSGRVMSLSRDDDLDMSGEFSGENDYINYTVMMPPTPDNQPMAGSSGAGTASDSKTDGPGPYGPSRFGAESRRGGDDDGGADSEAAKADRRMSVMNSSNNKSILLRSQTGDFDHNRWLFETKGTYGIGNAYWSEKQENKYGPEVEMSMQDFIDKPWKPLTRKVKIPPAILSPYRLLVVIRLIVLFLFLLWRVQNPNPDAMWLWGMSIVCEIWFAFSWILDILPKQNPINRATDLDALRDKFEQPSPTNPTGRSDLPGVDVFISTADAEKEPPLVTANTILSVLAAQYPVEKLSCYISDDGGAILTFEAMAEAVNFAEVWVPFCRKHEIEPRNPDSYFNSKVDPTKNKKRPDFVKDRRWIKREYDEFKVRINGLPDVIRKRSEMYNSREDVNERKLSKERSIGGGGDGAEPADGEASNVTKATWMADGTHWPGTWLEPCADHKKGDHAGILQVMSKVPEMEAVMGFPDEKKLDFTGVDIRVPMFAYVSREKRPGYDHNKKAGAMNAMVRASAILSNGPFILNLDCDHYIYNSLAIREGMCFMMDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCMFRRFALYGFHPPRANEYLGMFGTIKAPAPNYHEIEAQLEADPDLPDSEKQPLATHPDLGLPKKFGNSQMFTDSIGVAEYHGRPLADHSSVKNGRPPGALLEPRPPLDAPTVAEAVAVISCWYEDKTEWGDRIGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFYSRNNAFLACRRLKFLQRIAYLNVGIYPFTSIFLVVYCFLPALCLFTGQFIVAGLSVPFLIYLLIITICLCLLSLLEVRWSGIGLEEWWRNEQFWLIGGTSAHLVAVIQGLLKVVAGIEIHFTLTSKSTAEDEEDIYADLYVVKWTSLFLMPLTIIIINIIAMVIGISRTLYEVIPQWNKLLGGLFFSFWVLAHMYPFMKGLMGRRGRIPTIVYVWAGLLAIIISLLWIVINPPNGVNLNNQGMQI >ONI31284 pep chromosome:Prunus_persica_NCBIv2:G1:29796923:29798599:1 gene:PRUPE_1G304000 transcript:ONI31284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVTSSQVPLLFFARISGLLVAVLVLFWALSFKSSFLPRHSSSQEDQGLIDAALHPLFMVIGFILISGEAILVHRWLPGSRSLKKSVHLCLQGVALASGIFGIWTRFQGKDGIVANFYSLHSWMGLICILLFGAQWLMGFLSFWHRGEVRTVRLRLLPWHIFLGLYTYGLAVATAETGLLEKLTFLQTQRNVSKHSPESMVVNSLGLGLALVSGIVILAAVSPKYEALQTKPIYSTHTKKCSSS >ONI27018 pep chromosome:Prunus_persica_NCBIv2:G1:4484610:4489580:-1 gene:PRUPE_1G063300 transcript:ONI27018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHAHVKGRGPTRVNTQQVVFELKHKVVCALNKLADRDTYQIGVEELEKMAECLTPEGIAPFLSCILDTDSEQKSAVRKECIRLVGTLVRYHEGLVGLHLGKMVASIVKRLKDPDSVVRDACVETVGVLASKLSNNTGEGDGVFVVLVRPLFEALGEQNRQVQSGSALCLARVIDNSHDPPVSILQRMLNRTIKLLKNPHFMAKPGIIELNRSIIQAGGAPTQNVLSAAMASIQESLKSNDWTTRKAACIALGEIASGGGSFLGSFKASCVRSLESCRFDKVKPVRDTVLQALQCWKSLPGSDTPEPSEAGSSIKENFCGGDYSDITSASESGRKDITLKKAVTGSTKSRIPLSMKKTCPSYVENHQRSNEDDWHIEIAVPKTHNASLSEFNNEESEGSSITKTLERTSTDFTSTQDIGYEYVPMDEKQECSSGSNLVTDDLEAKFVTGSHSSDKGGLQKPVGRNQRFAAEDISSEKQMYSERMHDRRSLDSTVTESGSHSPHGCCSQMANEVVCIRKQLVEIETKQSNLMDLLQVFTSGIMDSLSMLQTRVVGLEHVVDGLAQNFVHRGEHSNLATSKLMKQSQPVHSPRLSTSTPRPSIDVRNRQPSLLSVKHSDIWEENTFGRSQANSSAKQSTETWSNAKVKLTRNPTGKDIRKIPGQGAQRIGNSQTRTDAMFSSASSTKVRQNVVESKNSLWKQVKGFLCEGDLDSAYVEALCSGDEIVLVELLDGTGPVLECLSPKTASGVLSILASYLLEQRFINTIIPWLQQIADLSTTHGPNYLGLSAKAKQEFLSSIQEAVNMEFSNPSERRSVTQFAVKLHHLWGKAT >ONI29917 pep chromosome:Prunus_persica_NCBIv2:G1:23632798:23636823:-1 gene:PRUPE_1G222100 transcript:ONI29917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPSTHCCHTYSPLPPSSSSSSSSSSSSVSYLPSYSSAHGRLQRIAVPSTPKRFVLAKVSLQSQSVSEKNAVHMQDGPVAPVVNPIENETPFKKLKDGLFSAPSSKESKDITGFNVNENESTVSITVVGASGDLAKKKIFPALFALYYEGCLPEHFTVYGYARSKMTDAELRDMVSKTLTCRIDKRENCGEKMEQFLQRCFYHSGQYDSQEDFAKLDKKLKEHEGGRVPHRLFYLSIPPNIFIDAVRCASSSASSGNGWTRVIVEKPFGRDSESSAALTKALKQYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLENVVTGQYKSHVRGGITYPAYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNIGTDLDRATNELVIRVQPDEAILLKINNKVPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKEIEEKKIIPEYYPYGSRGPVGAHYLAARYKVRWGDVGVEQ >ONI34768 pep chromosome:Prunus_persica_NCBIv2:G1:41233257:41236424:1 gene:PRUPE_1G497700 transcript:ONI34768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPRIPIKAIVSLFCSYMLLWHITTLSPTLALEDCEFPAIFNFGDSNSDTGGLAASLSAPPPPYGETYFHMPVGRFSDGRVIIDFLAKNLGHSFLSAYLDSLGSNFSYGANFATGGSTIRLPDQIFPAGGFSPFYLNIQYMQFMQLKSRSQLIRHRGGIFASLMPKESYFSKALYTFDIGQNDLTEGFIGNMTVQQVNASVPDIIIGLSANIKKIYDLGARSFWIHNTGPIGCLPSILANLPGQKDEAGCAKSYNEVAQHFNQKLKEATVQLRKDLPLAAITYVDVYSVKYSLFKEPQKYGFELPLVACCGYGGKYNYNSSSQCGGTARVNGRQTFVGSCKDPSVRVNWDGTHYTEAAAKFISDKISTGAFSDPPLALKQACHKSLA >ONI32963 pep chromosome:Prunus_persica_NCBIv2:G1:35148324:35148920:-1 gene:PRUPE_1G396400 transcript:ONI32963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDDQSKWKVKKELTTLDVVNHFVYLDMKDVEEHILRHWNKDKIIRVRTDSTKIMVKDVDTNTTHAIHFRIYDYKPEANATLEGEWGWDFVTRRGLGAGDQIGIYYEKTGESEFCFSVLKKVAPSSASSIRPLSQTN >ONI34634 pep chromosome:Prunus_persica_NCBIv2:G1:40888032:40892097:-1 gene:PRUPE_1G491600 transcript:ONI34634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYQTDTGFISAGVNSQISSEFINSSLNMELRTLRYFPEGEQNCYTLKPEQGRSNNYLIRAVFMYGNYDGKNQTPVFQLYLGVNSWTTVKDSYVVHEIIYAPLTDAIQVCLVNKDNGTPYISALEVRQLDNSLYQSGNGALALNSRINLGGSNKIIRYADDIYDRKWNHDQKNEWIPVTLAPNLTIETSNNGYKVPNKVLGTAVKCVSGPIYVSWQSKFTISKFYVYFHFAEIEKLEGGKQRTLNIRFNDFYNLETLTLDYLKTQTVASFALTGETKYNFSISGVDSGLPPILNAYEIYQHKDFYRLPTIEKEVDAIINIINTYGIIGEWQGDPCFPSSWNILNCSSISPPNIISLNLSSRELTGNIAVSFSNLRTIESLDLSHNQLTGPIPEFLAQLPYLRVLNLMGNQLEDAVPKALMEKHNSGGLTLNVDGNPDLCLVGSCKGKNRKVVAIVAPTVSVVVLIILCALAFYRIREKKRKGTRREWSLKAKNWRFTYSEIVNITNNFKSVIGEVAIKVLSSSSRQGSDEFQNEVELLLGIHHKNLVSLFGYCNEGGNMALVYDYMACGNLQQHLLADRSTNVLTWKERLEIAVDAARGLDYLHNCCKPPIVHRDLKTSNILLSEDLQARIGDFGLCRVFTTDNETHISTDAKGTRGYVDPEYYHTGKLNRKSDVYSFGIVLLELITGLPAIIKGPPVVELCDWVGPHVQGKGIGDIVDPRLESYNINSARRAIEVAMACIPSIAIQRPDISYVYDELKACLKMEMASEKPQIMEGYQTWSSSSIHTTPLDPKVEMHHTC >ONI33481 pep chromosome:Prunus_persica_NCBIv2:G1:36718480:36721265:-1 gene:PRUPE_1G427000 transcript:ONI33481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQLRQDDDEPKLQDAKDEEANRLLQPQSLSLSSAWDDDDEVAFEAREKILIAEDVDSIDAVDDNSTAVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQSGAIAGYSLLWLLMWATAMGLLVQLLSARVGVATGRHLAELCREEYPKWAALVLWFMAELALIGADIQEVIGSAIAIQILSHGVLPLWAGVLITALDCFIFLFLENYGVRKLEAVFAVLIATMGLSFAWMFVDTKPSGKELLMGILVPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRNIDPNKKGRVQEALNYYSIESSIALFISFMINLFVTTVFAKGFYGTKQANSIGLVNAGQYLQDKYGGGLYPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIVPTIVVAIIFNRSEALLDVLNEWLNVLQSIQIPFALIPLLTLVSKEQVMGVFKIGPVLERVAWTVAALVMVINGYLLLDFFISEVNGLLFALLVCSGTAAYITFIVYLVSHSGALPSTFFSSLSKKFADAGN >ONI33480 pep chromosome:Prunus_persica_NCBIv2:G1:36717750:36721564:-1 gene:PRUPE_1G427000 transcript:ONI33480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQLRQDDDEPKLQDAKDEEANRLLQPQSLSLSSAWDDDDEVAFEAREKILIAEDVDSIDAVDDNSTAVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQSGAIAGYSLLWLLMWATAMGLLVQLLSARVGVATGRHLAELCREEYPKWAALVLWFMAELALIGADIQEVIGSAIAIQILSHGVLPLWAGVLITALDCFIFLFLENYGVRKLEAVFAVLIATMGLSFAWMFVDTKPSGKELLMGILVPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRNIDPNKKGRVQEALNYYSIESSIALFISFMINLFVTTVFAKGFYGTKQANSIGLVNAGQYLQDKYGGGLYPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIVPTIVVAIIFNRSEALLDVLNEWLNVLQSIQIPFALIPLLTLVSKEQVMGVFKIGPVLERVAWTVAALVMVINGYLLLDFFISEVNGLLFALLVCSGTAAYITFIVYLVSHSGALPSTFFSSLSKKFADAGN >ONI31272 pep chromosome:Prunus_persica_NCBIv2:G1:29750600:29751044:1 gene:PRUPE_1G303200 transcript:ONI31272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVICSHLSSLFNILLYHSFSEHSHDHCYCFPSEQTIEQSLGTIEEGDEVRELRCVHLFHRQCLDRWTGFQHATCPLCHSFLAPHRSTLVSHSQQFLQSEVLLFKFCSFSLDSDDGDGWWLR >ONI33074 pep chromosome:Prunus_persica_NCBIv2:G1:35566953:35568325:1 gene:PRUPE_1G403800 transcript:ONI33074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSESASATETPKPLASNFTPNCAMLLSSTNFAPFLRASPLKKPNLVLPDIPASIASATDKCLQLLHSLASQNPFLSKLLSLRSEFQSLCHQIRCRKHRQVETLSAHNFAAVLPGDSVAGLVVANGISNFLNLYNTLLVVRLVLTWFPNSPPAIVGPLSTICDPYLNIFRGLIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPASSQQVTASPAGITNLSTSQKKWMTRLQGNNTKSSGGVS >ONI26886 pep chromosome:Prunus_persica_NCBIv2:G1:3697545:3699044:-1 gene:PRUPE_1G053000 transcript:ONI26886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKVAIGSNKTEHSSRANWSQLPIDPFQLILSKLSVRNIKPLEAVCRPWLKAIKYISSSSPSFPCLLEPPRLLLFRDEDNDGGRLSGFCSLKGKFYSLMNIPKRLGDGYTICIGSSHGWLLFLTNKKRLLLVNPASAAAPLLLPPLNTFPDIDSIMYQYPPMEDSGIFLLKGTCGYWRKWMCKVVLSSPPTCNNKNNIGVLLMYNVGDGRNRLAFCTPADNKWTKLDEHERYLDVTSDCHRLYALREDYSVEVWGFPNSSAIKKLETIPAGAPMVPKLNYDFQLYMDKTDATDLFLVKTGASDLFLVAMVDSSAWERVRFDVYKLEYNPDGTSRWRRVDSIGKYAVVLGSNHSILISPQEFDHAPGYHENSIYFLHASSSFSYDLIVYNVREIEPKKVGYLGLLKQPAYWLERYPLAL >ONI30805 pep chromosome:Prunus_persica_NCBIv2:G1:28008020:28010598:-1 gene:PRUPE_1G274000 transcript:ONI30805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSNEKMKDQLQRNRWCKCYICIFLISFFCCANASLPFFFPFYDVSLEPAKVPSLDLLHLKQCYLILIELHVI >ONI29251 pep chromosome:Prunus_persica_NCBIv2:G1:17514279:17514688:1 gene:PRUPE_1G189600 transcript:ONI29251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSKLRLSFIQLQVNSFKDHIQLQEANIKVSEVPSCSNGFSLILFEPNSCSSPTGVEKEVFLFLFLFLYDG >ONI36381 pep chromosome:Prunus_persica_NCBIv2:G1:47353836:47354401:1 gene:PRUPE_1G583100 transcript:ONI36381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMSKIFPSDLCQQALGILHHRSYDGPFYGVTSKCCNDLESITFKDNILTTPFSSPILYLFLQPKPLLVAQRKH >ONI30660 pep chromosome:Prunus_persica_NCBIv2:G1:27344578:27348916:1 gene:PRUPE_1G265200 transcript:ONI30660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFNTAKAVKLRSHLDKYLVADDNQESVRQSRNGASRKARWTVELVDNKSHVVRLKSCHGLYLAAADHPFLLGMTGRKVLQAEADKSMDFKFEWEPIRDGFQLKLRTWCGKYLRANGGPPPWRNAVTHDDPTTSTTQNWILWDVIEAEVPASESLTDFLLSQQSSFSSFSDELNGSEYGSPVSVFSTKSPTLLSPKPSSNKLAKQTNSNKFRIGMNLFQNAKAVRLRSHHDKYLLAEEDEESVTQDRNGSSKKARWTVEFVPESDTIIRLKSCFAKYLTASNQPYLLGMTGRKVLQTLPRRLDSSVEWEPIREGTQVRLRTRYGNFLRANGGLPPWRNSVTHDIPHRTATQDWVLWDVDIVEIHIQSPVHKPSAPQPLPHSDSLEFDSSSPSAVSIKSDHFSRQESSDSYAESPPKSEGRTIYYHIAEDNGDVDDEAAQGYSMTFKGNGVEELTRKLEEETGIEGLIVCARSPLNRQLFPLRLQLPPNNVTMQVVLVMSSSKVGQSLAKQGLL >ONI27981 pep chromosome:Prunus_persica_NCBIv2:G1:9110992:9113837:-1 gene:PRUPE_1G115100 transcript:ONI27981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLISTPITNSDRARDSFRRKKKKKIQAKQDHQQQQEAQSHTKWKSEAQQQLYSSKLLQALSQVSINPPNTANTNTNANPSSSSPPRRGRAVREAADRVLAVAAKGRTRWSRAILTSRLKIKFRQHKRQRSAAAASGTGSTRPRKPKFSVYRLKGKGLPAVQKKVRVLGRLVPGCRKQPLPVILEEATDYIAALEMQVRAMSRLAQLLSGSTSGAAGST >ONI30197 pep chromosome:Prunus_persica_NCBIv2:G1:25143263:25143881:1 gene:PRUPE_1G237000 transcript:ONI30197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILDHTSKLQCKLKHDTLIPELVVFIIRVIRGLPFFNFLPMRNELVSVATTAPFHLLRGALANKRRWWLWRRGSWRPVNLNIIRIYRKKILAKLTWTQIMWNMVTKVRRNMNFRIIWIPTLLFWVVDNVRLV >ONI30379 pep chromosome:Prunus_persica_NCBIv2:G1:26036030:26039515:1 gene:PRUPE_1G247600 transcript:ONI30379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNQGGEKKQRRKRKSLKEMARSLAVVPCESVQSVQTKPTTATVSAVREQWEVHFALFFPYPPPPITSTCPDLVPLDPKYRRRRPLDRWISSSSLARLQLARDHSNSEVVLTVGFADKILEEHYVSKLHFIWPQVSCMSGFPARGTRAIFVSYRDCSEEIQKFGFRFLSLQEAEKFMNALKGICKEGMDTEPVNTDVGSEISSQSELTSSNRPLNPACKDLTTMTPVQTYTPKISPSLLNNEAEQYSCTQEFTPIDNFQSNFAALPPSFTSFLSNCGPVVEQVATQPTGSQEVDLKSQIARYMEDASFQDMLFQVEKVISEIGGDSML >ONI30380 pep chromosome:Prunus_persica_NCBIv2:G1:26036030:26039515:1 gene:PRUPE_1G247600 transcript:ONI30380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNQGGEKKQRRKRKSLKEMARSLAVVPCESVQSVQTKPTTATVSAVREQWEVHFALFFPYPPPPITSTCPDLVPLDPKYRRRRPLDRWISSSSLARLQLARDHSNSEVVLTVGFADKILEEHYVSKLHFIWPQVSCMSGFPARGTRAIFVSYRDCSEEIQKFGFRFLSLQEAEKFMNALKGICKEGMDTEPVNTDVGSEISSQSELTSSNRPLNPACKDLTTMTPVQTYTPKISPSLLNNEAEQYSCTQEFTPIDNFQSNFAALPPSFTSFLSNCGPVVEQVATQPTGSQEVDLKSQIAICCFKWRKLSVK >ONI31031 pep chromosome:Prunus_persica_NCBIv2:G1:28795501:28799718:1 gene:PRUPE_1G288400 transcript:ONI31031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHSFCSSSKTNTNNANVTVQWRAEEAIAGNAEALEALRELIIYPLLYPREGQTLGLKWRRGVLLYGPPGTGKTSLVRAVVKECGAHLIEISPHSVHKAHAGESEKFLREAFSQASLHKSSGKPSVIFIDEIDALCPRRDSRREQDVRIASQLLTLMDYKMSSASIPQVVVVASTNRAEAIDPALRRFGRFDVEIEVTPPTEEERIQILKLYTRKLHLDSNVDLQAIAASCNGFVGADLEALCREAVMPAIKRNLGANKDAGVFSLTTEDWKHARSVVSPSITRGVTVEIPKVTWEDIGGLKDLKKKLQQAVEWPIKHPSAFTRLGISPMRGILLYGPPGCSKTTLAKAAAHAAQASFFSLSGAELFSMYVGEGEALLRNTFRRARLAAPSIILFDEADVVGAKRGGSSSNSSTVGERLLSTLLTEMDGLEEAKGVFVLAATNRPHAIDVALVRPGRFDLALFVQPPDLEGRYEILQVHTRNMSVGDDVDLKQIAKDTELFTGAELEGLCREAGIVALREDISATVVCHRHFQTAKDSLKPALTKADVDSYLAFSTH >ONI31026 pep chromosome:Prunus_persica_NCBIv2:G1:28789920:28799718:1 gene:PRUPE_1G288400 transcript:ONI31026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGVLLYGPPGTGKTSLVRAVVKECGAHLIEISPHSVHKAHAGESEKFLREAFSQASLHKSSGKPSVIFIDEIDALCPRRDSRREQDVRIASQLLTLMDYKMSSASIPQVVVVASTNRAEAIDPALRRFGRFDVEIEVTPPTEEERIQILKLYTRKLHLDSNVDLQAIAASCNGFVGADLEALCREAVMPAIKRNLGANKDAGVFSLTTEDWKHARSVVSPSITRGVTVEIPKVTWEDIGGLKDLKKKLQQAVEWPIKHPSAFTRLGISPMRGILLYGPPGCSKTTLAKAAAHAAQASFFSLSGAELFSMYVGEGEALLRNTFRRARLAAPSIILFDEADVVGAKRGGSSSNSSTVGERLLSTLLTEMDGLEEAKGVFVLAATNRPHAIDVALVRPGRFDLALFVQPPDLEGRYEILQVHTRNMSVGDDVDLKQIAKDTELFTGAELEGLCREAGIVALREDISATVVCHRHFQTAKDSLKPALTKADVDSYLAFSTH >ONI31878 pep chromosome:Prunus_persica_NCBIv2:G1:31737509:31744740:-1 gene:PRUPE_1G336400 transcript:ONI31878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEETRGRRSKEVDLVLMGGNLKMQSHHSVAVRLNEQTGTKKGYTFVQAYRAWFPKLFILWIIVMFFLSMSIYNYMDADNKVRRVEVLGSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYYKNPSAIDQETFAEYTARTAFERPLLSGVAYAQRVLDSDRENFERQHGWTIKTMEREPSPVRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPNPTVEERIAAAAGYLGGAFDVESLVENLLGQLAGNQAILVYVYDVTNTSDPLIMYGHQYQDGDTSLMHESKLDFGDPFRKHQMICRYHQKAPTSWTALNTAFLFFVIGFLVGYILYGAAMHIVKVEDDFHEMEKLKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTSLNSTQRDYARTAQACGKALITLINEVLDRAKIDAGKLELEEVPFGIRSILDDVLSLFSENSRNKGIELAVFVSDKVPDIFMGDPGRFRQIITNLVGNSIKFTERGHIFVKVHLAESSKVVINRKSETYLNRGSDEGVLTSDGRQFKTLSGCEAADDRNSWDMFQHLLADEEYRTDVSSNLTATNEASEHVTLMVSVEDTGIGIPLCAQERVFMPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFISRPKVGSTFSFTANFRRCKKNAFSDLKKPNSEDLPSGFRGLRAIVVDEKLVRAAVTRYHLKRLGILVEVTSSITMAVALCGRNGSATSGNIIPPDIILVEKDSWISGEGDLNIQKLDWKQNANGHIFKLPKMILLATNIGDAELDKARAAGFADTVIMKPLRASMVAACLQQVLGIGKKRQQGREVPNGCNFLQSLLCGKKILVVDDNRVNRRVAEGALKKFGAHVECVESGKAALALLQVPHNFDACFMDIQMPEMDGFEATRRIRQMESKANVEMNGGFEGLARKGDWHVPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYQAVAKFFKSKPGSDS >ONI31877 pep chromosome:Prunus_persica_NCBIv2:G1:31737463:31744740:-1 gene:PRUPE_1G336400 transcript:ONI31877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEETRGRRSKEVDLVLMGGNLKMQSHHSVAVRLNEQTGTKKGYTFVQAYRAWFPKLFILWIIVMFFLSMSIYNYMDADNKVRRVEVLGSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYYKNPSAIDQETFAEYTARTAFERPLLSGVAYAQRVLDSDRENFERQHGWTIKTMEREPSPVRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPNPTVEERIAAAAGYLGGAFDVESLVENLLGQLAGNQAILVYVYDVTNTSDPLIMYGHQYQDGDTSLMHESKLDFGDPFRKHQMICRYHQKAPTSWTALNTAFLFFVIGFLVGYILYGAAMHIVKVEDDFHEMEKLKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTSLNSTQRDYARTAQACGKALITLINEVLDRAKIDAGKLELEEVPFGIRSILDDVLSLFSENSRNKGIELAVFVSDKVPDIFMGDPGRFRQIITNLVGNSIKFTERGHIFVKVHLAESSKVVINRKSETYLNRGSDEGVLTSDGRQFKTLSGCEAADDRNSWDMFQHLLADEEYRTDVSSNLTATNEASEHVTLMVSVEDTGIGIPLCAQERVFMPFMQADSSTSRNYGGTGIGLSISKCLVELMGGQINFISRPKVGSTFSFTANFRRCKKNAFSDLKKPNSEDLPSGFRGLRAIVVDEKLVRAAVTRYHLKRLGILVEVTSSITMAVALCGRNGSATSGNIIPPDIILVEKDSWISGEGDLNIQKLDWKQNANGHIFKLPKMILLATNIGDAELDKARAAGFADTVIMKPLRASMVAACLQQVLGIGKKRQQGREVPNGCNFLQSLLCGKKILVVDDNRVNRRVAEGALKKFGAHVECVESGKAALALLQVPHNFDACFMDIQMPEMDGFEATRRIRQMESKANVEMNGGFEGLARKGDWHVPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYQAVAKFFKSKPGSDS >ONI34534 pep chromosome:Prunus_persica_NCBIv2:G1:40478921:40481603:-1 gene:PRUPE_1G486200 transcript:ONI34534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHNHLSQQDLPLHHFTDQTQQQHQPFQSDQHDPNSKPTEPHHPFQPAPNWLNTALLRNYTNADANNNHNNSPNNNGGGGASNFLNLHVTASDSTTSQASNQWLSQPHRPILHRNHSDVIDDVTAVAGDSMIAATISHDSADLKPDSSLNKTDIVVESGGGGGDGGMINWQNARHKAEILAHPLYEPLLSAHVACLRIATPVDQLPRIDAQLAQSQNVVAKYSALGHGMVGDDKELDQFMRHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDDQVDSDANLFDGSMEGHDSMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKSNAGENSSDRFG >ONI34535 pep chromosome:Prunus_persica_NCBIv2:G1:40478361:40482063:-1 gene:PRUPE_1G486200 transcript:ONI34535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHNHLSQQDLPLHHFTDQTQQQHQPFQSDQHDPNSKPTEPHHPFQPAPNWLNTALLRNYTNADANNNHNNSPNNNGGGGASNFLNLHVTASDSTTSQASNQWLSQPHRPILHRNHSDVIDDVTAVAGDSMIAATISHDSADLKPDSSLNKTDIVVESGGGGGDGGMINWQNARHKAEILAHPLYEPLLSAHVACLRIATPVDQLPRIDAQLAQSQNVVAKYSALGHGMVGDDKELDQFMRHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDDQVDSDANLFDGSMEGHDSMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKR >ONI33453 pep chromosome:Prunus_persica_NCBIv2:G1:36610493:36612464:1 gene:PRUPE_1G425200 transcript:ONI33453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVQDLFLTASFALILSFLVAKLVAMAVAGRQSEAEFRECENAGGGVVLEEVSFEERRLKVEKAFHGQRRVEFVGEVKEVDQFQFEDKPVHRVEEIEETLQHHEEGRELTEKVLEEEGLGGEESVAVGLPENPSYGEEKVSVEKARDFDHQNLENREVEEIGAESATNDVVTAQSEELSAVAFDEAKGKSEANEIKLKATDFDRERGHEAQQSSEKRGVEEIGAESATNDVVSAQPEEVRAEAHVASEVKFNNYSDEDDWEGIEKSELDDDFTAAVKFEGR >ONI26995 pep chromosome:Prunus_persica_NCBIv2:G1:4354076:4357579:-1 gene:PRUPE_1G061500 transcript:ONI26995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPRKGERADQVQVQQDPPYHVLHKLPPGDSPYVRAKHVQLVQKDPEAAIVLFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEAIKSFRDRCSKQAQESLDNVLIDLYKKCGRVEEQIELLKQKLWMIYQGEAFNGKLTKTARSHGRKFQVTIKKETSRILGNLGWAYMQQGNHVAAEVVYRKAQIVDPDANKACNLCLCLIKQTRYVEAQSVLDDVLQGALSGSDEPKSKIRAKELLQELEQCQTVVLSSNSLSLNIEDAFLEGLDHLMKHWTPLRSRRLPIFEEISSFRDQLAC >ONI26751 pep chromosome:Prunus_persica_NCBIv2:G1:3098760:3099547:1 gene:PRUPE_1G043300 transcript:ONI26751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDYGHRPQQWSHGFCKCGGSLGTCCLTWCFPCITFGQNAEIVDEGRNSCLSHCIVYGLLWTIHCQWIYSCMYRQRLRKNFGLPEEPCGDCCVHFFCGPFALCQEHAELKSRGFNPSKGFKLILHTYMSSLYSNIL >ONI26750 pep chromosome:Prunus_persica_NCBIv2:G1:3098597:3100315:1 gene:PRUPE_1G043300 transcript:ONI26750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDYGHRPQQWSHGFCKCGGSLGTCCLTWCFPCITFGQNAEIVDEGRNSCLSHCIVYGLLWTIHCQWIYSCMYRQRLRKNFGLPEEPCGDCCVHFFCGPFALCQEHAELKSRGFNPSKGWIGPPTAAPQVPPSMTK >ONI28614 pep chromosome:Prunus_persica_NCBIv2:G1:11957002:11961700:1 gene:PRUPE_1G151600 transcript:ONI28614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPPPLYAQQPRGPYPAYPLPLTPPPPPPQTHYCYGHYSSCNHANSAMGRFSYNYNYQPYYAANQANGWPAIRPPVGPVLPPPPHVEHQNAKKVKNDVNVHKDTLRLEIDELNPDQHLVSFVFDAMYDGSITVFYFAKEEPDCRFVPLFPEAFVPVRVPFQKGSGQKFRQPSGTGIDLGFFELDDLSKPSPGEDVFPLVISAETFPPHSTDEHIVEPTPDTSPRMQITQAVLEKNNGDHFQVRVIRQILWIDEVRYELREIYGIASSTVEGFDDNDPGKECVICMTEPKDTAVLPCRHMCMCSDCAKELTLQSNKCPICRQPIEELIGIEINKSRQ >ONI28615 pep chromosome:Prunus_persica_NCBIv2:G1:11957002:11961320:1 gene:PRUPE_1G151600 transcript:ONI28615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPPPLYAQQPRGPYPAYPLPLTPPPPPPQTHYCYGHYSSCNHANSAMGRFSYNYNYQPYYAANQANGWPAIRPPVGPVLPPPPHVEHQNAKKVKNDVNVHKDTLRLEIDELNPDQHLVSFVFDAMYDGSITVFYFAKEEPDCRFVPLFPEAFVPVRVPFQKGSGQKFRQPSGTGIDLGFFELDDLSKPSPGEDVFPLVISAETFPPHSTDEHIVEPTPDTSPRMQITQAVLEKNNGDHFQVRVIRQILWIDEVRYELREIYGIASSTVEGFDDNDPGKECVICMTEPKDTAVLPCRHMCMCSDCAKELTLQSNKCPICRQPIEELIGIEINKSRQ >ONI34373 pep chromosome:Prunus_persica_NCBIv2:G1:40061456:40062514:1 gene:PRUPE_1G479000 transcript:ONI34373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLVLSIVLSLLCREAKLVLGDIGTATSYRPPYIPTGCFGNQQDQFPPGNLFVAVSEGLWDNGAACGRRYRLRCLSGHKKPCKGGATVDVKVVDLCRRSPCPSTIAMSTDAFAAISRSPSTKINVEYIQI >ONI34191 pep chromosome:Prunus_persica_NCBIv2:G1:38946430:38950913:1 gene:PRUPE_1G467500 transcript:ONI34191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLIMAQQGVPNNATTNNSSQQQKQKQEEQLLKPIFHDFLGMKPGDSPVVLAPKASDCRLSEVSPSASASLGASSGGGRGPISTTSDLGSERQAGNHLEGVPFYGPRSDISGPEISNRLLGSKRSNSDSTFKGSSRDGVPHTGPDSLESLHLMKMLRNGTGGERPRRSNDDEAVFATQPLRPTSASHIFQPPLGGRVDTNISKWDRSTPMNLGAAVQYPPRGGHFVPFVHQLPSNRFRDANASPANISQSAADEGSRTGIKGPGILSSINASSSAPERNSSGVLPSGSRQKSGTNILEPEPSTPSRHGFTSAGRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSLKPTTRPGSENHMPSGEVEAGTASNIALLREYRGRLCIPGNSSQAVGFADRVLTPAGGHQGSNLAKDTRNTIQAAEPSSKEKNDP >ONI35031 pep chromosome:Prunus_persica_NCBIv2:G1:42106801:42107868:1 gene:PRUPE_1G511500 transcript:ONI35031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQQKNPDHMQMIPDVVADIRSRPSVLSNIADPQNHSSGLSRAPMPFSFLGAFIFMLVLNSFCRLAPVQLDDILNQSTIPSDSSSQRSVQFRNSPTEQVRVFLSSLDDFRKFKVIYIELYICLTAGKSFRTDIVLSLMKTSFTDRIKCRGIQQLLVVQKSTGVLLNLKKKKWICCLTFSLLLMQGLRNFIE >ONI30518 pep chromosome:Prunus_persica_NCBIv2:G1:26587861:26593364:-1 gene:PRUPE_1G255200 transcript:ONI30518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRVSSVLSRSFTSASLFSAGRSSSVARGIGKYSTDASFEAPIIPSVKVNYTRLLINGQFVDAASGKTFPTLDPRTGNVIAHVAEGDSEDINRAVSAARKAFDEGPWPKMTAYERSRVLFRFADLVEKHNDEIATLETWDNGKPFEQAAKTEVPMIVRFFRYYAGFADKIHGLTVPADGEYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVAPALACGNTVVLKTAEQTPLSALYVATLLQEAGLPPGVLNVVSGFGPTAGAALCSHMEVDKVAFTGSTDTGKKVLELAAKSNLKTVTLELGGKSPFIVCEDADVDKAVELAHFALFFNMGQCCCSGSRTFVHERVYDEFIEKARARAEKRIVGDPFKGGVEQGPQIDSDQFEKILRYIDYGIKSGATLETGGGRLGTKGFYIKPTVFSNVKDDMPIAQDEIFGPVQSILKYKDLDEVIRRANTTRYGLAAGVFTQNIDTANTLTRALRVGSVWINCFDVFDAAIPFGGYKMSGHGREKGIYGLSNYLQVKAIVTPLKNPAWL >ONI30519 pep chromosome:Prunus_persica_NCBIv2:G1:26587911:26593349:-1 gene:PRUPE_1G255200 transcript:ONI30519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRVSSVLSRSFTSASLFSAGRSSSVARGIGKYSTDASFEAPIIPSVKVNYTRLLINGQFVDAASGKTFPTLDPRTGNVIAHVAEGDSEDINRAVSAARKAFDEGPWPKMTAYERSRVLFRFADLVEKHNDEIATLETWDNGKPFEQAAKTEVPMIVRFFRYYAGFADKIHGLTVPADGEYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVAPALACGNTVVLKTAEQTPLSALYVATLLQEAGLPPGVLNVVSGFGPTAGAALCSHMEVDKVAFTGSTDTGKKVLELAAKSNLKTVTLELGGKSPFIVCEDADVDKAVELAHFALFFNMGQCCCSGSRTFVHERVYDEFIEKARARAEKRIVGDPFKGGVEQGPQIDSDQFEKILRYIDYGIKSGATLETGGGRLGTKGFYIKPTVFSNVKDDMPIAQDEIFGPVQSILKYKDLDEVIRRANTTRYGLAAGVFTQNIDTANTLTRALRVGSVWINCFDVFDAAIPFGGYKMSGHGREKGIYGLSNYLQVKAIVTPLKNPAWL >ONI35664 pep chromosome:Prunus_persica_NCBIv2:G1:44871887:44875888:1 gene:PRUPE_1G548800 transcript:ONI35664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPPTIPLLTPYKLGKFNLSHRVVLAPLTRQRSYHNVPQPHAILYYSQRTSNGGLLIAEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKDGVFFCQIWHVGRVSNSGYQPNGQAPISSTDKPIRLNGIDANEFTPPRRLRTDEIPQIVNDFRLAAKNAIEAGFDGVEIHGAHGYLLDQFLKDQVNDRTDQYGGSLENRCRFPLEVVEAVVKEIGADKVGIRLSPFADYMDSGDSNPNALGLYMANSLNKYGILYCHMVEPRMKTVGEKSESPHSLLPMRKAFNGTFIAAGGFDREDGNKAVAEGHADLIAYGRWFLANPDLPKRFELNAPLNKYNRDTFYISDPVIGYTDYPFLETTA >ONI28720 pep chromosome:Prunus_persica_NCBIv2:G1:12473388:12476515:1 gene:PRUPE_1G157300 transcript:ONI28720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPDLPQPKKLRQTVQFQPRWEDLNVDCLANVFGRVGITALLLSVPFVCKSWYRASLDSACWQRLILPDVDTEIDLGNEGFWNSDNEIEAVVDRRLELFRFDTLMRRFLRGYRIDRNCFSETQFIMFVVNRSRGQATFLRLPPLCETALLNRVADVCHGLKGLSLHRCSLIYPSSIIPELIGKCKHLELLSLRGGSNNLKELLSQISIHCKNFCRLLVLNAVIGREDALAIVNLVPNIKYLSLRKSYIHRDNLVTLFRCCKELVLLDARDCSGFDGGDVEILALASQIDKFSCEGSRNGDWKS >ONI30886 pep chromosome:Prunus_persica_NCBIv2:G1:28346720:28351009:-1 gene:PRUPE_1G279600 transcript:ONI30886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVSSLLLTEERKLPFRDVWVDWGIFFLHDSGEKTRVFCIFESGGDFGDFGEGTGPKKKVVVIQDTVDDSGAPSHSWKGNTYEELLDRVFNILRDRESNLELARDRRRIVLRPPQVLREGTDRTVFVNFIDECKMMYREPNHVMTFLLTELGRSGSLDGQQRRWQAMAANGGGGRWPAAVAGGGSLAARYDWLDMDMWHKCLFSLKCEKCGSKRSVAQIKADFLTRVGSRNART >ONI35071 pep chromosome:Prunus_persica_NCBIv2:G1:42272650:42274716:1 gene:PRUPE_1G513600 transcript:ONI35071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMDFYSSRAFQSSSDPFRGELMEALEPFMKGASSSPPSSIPTTPSPPPSTSNPFLPSFPSTSSSPSYNNSYLSFSPSIPTTTTIHQPDLYQDGCSTSSSMTLFSDGFFEQLQQQQPGSIGLNHLTPSQIHQIQVQIQAQNQPNLAWQNYQIQQQQITLPENHHQSHTLSFLSPKPIPMKQVGSSGSPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPHLRHNGSSVGGDFGEYKPLHSSVDAKLQAICEGLAETQKQGKAAGKPSKRSSAARAPAPPPEVKVETSSSPVMTDSDGSAGSSPLSDLTFADNAEFLPWENGSGSESTAMLEKYPSEIDWASILN >ONI31444 pep chromosome:Prunus_persica_NCBIv2:G1:30363809:30364627:1 gene:PRUPE_1G313600 transcript:ONI31444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEVCSEISSPGFSPRISFSHDLDKTCPVAKEGQRLDSSLLDSSSDFDFCIVNNLKLELSSADELFSNGKILPVQIKRNPIAIATKETHQPDEAVYPPPAQHRSTTRNTTEKKRLKEFLDTNVDADEDEDEKPPTKPFWQFRRSSSLNCDTARGKSLIRSLQFLSRSNSTGSAPNAKQTVAPKETHQKQHLQKQPSISSRRSSASSYSSTYYAYNNSCPQKPSLRKSGSYGNGVRISPVLNLPPPYISKVTVSFFGFGSLFCNGKLKKKKK >ONI34281 pep chromosome:Prunus_persica_NCBIv2:G1:39298438:39300032:1 gene:PRUPE_1G472700 transcript:ONI34281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLQSLVDPRKNWFARQHMKAVSQRLRHYGLRYDDLYDPYYDLDIKEALNRLPREIVDARNQRLKRAMDLSMKHEYLPEHLQAQQTPFRSYLQEMLALVKREKAEREALGALPLYQRTIP >ONI28797 pep chromosome:Prunus_persica_NCBIv2:G1:12960338:12961769:-1 gene:PRUPE_1G161900 transcript:ONI28797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKRLLVSDIKIDIKMVPKKKELLAAMVADDVNKKFGEQLSRLTALMSSLIGLQCGCQRDNRICVNNVVIGWASRIKKL >ONI33327 pep chromosome:Prunus_persica_NCBIv2:G1:36199116:36205885:1 gene:PRUPE_1G417200 transcript:ONI33327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHTNEKLRSAKLHIEEIRTKKFSIGKKEPNPLTLDLHRAVTSLSAELYQKDIHFLMELIQNAEDNEYKKGVEPTLEFVLTKKDITGTGAPATLLVFNNEVGFSRKNIDSICSIGRSTKKGKRQQGFIGEKGIGFKSVFLVSSQPHIFSNRYRVRFTEEPNQDCGIGYVVPEWVSGKPHLSSICDVYGVNKILPTTTFILPLKPDKVEAVRAQLSELHPEILLFLSKVKRLYVRGCDPEEADDVSTISIFSETEHMDLSDERANSRVVQLSVKEKKCDTELCKYYLWREAFPVKPGNQVSLRMDVEKWVITLAFPFGERLTRGTSSVGIFAFLPTAMVTNFPFVIQADFILASSRESILLDNVWNLGILDCVPSAFVNAFQSCVRELQLFPSVDQTFEFLPAQDSAIPVFNNLRESIKTSLRCLRIVPSEIFSGKSCLFITPEQAVRVLPKFRDLVLQMESEGAISSNLSSLNKVLHSSLDLEKYSAVLDFLDVAAASGHWYTKCIKSCNIVLLSDEVYVELLGFIVDNEKRFAKGIKTIPLIKYINSEGNLELCTIAETTTGIPGTLKVRYAMELELHTWLSKCNMEFGCPGVYFIPNSTQKALLKNKPICRRNWSVRNWLGQARVSSCSAHDYASLLQNHVSGEEPNLAVTLSNFLYHAHRKGFLDDNSISDICRRIPIIDGADHVRMQRTVTLVPALDSKWMKLFGPQNPFVEQNYVDIGYVYSKSSLFLGESTPEKELLHFISKHSKAVDLPELCPPDVVLQIASRELSSEQAFLLLDWIRLLQNKGSPLPLIFIESIRDGKWMKTYSGYVSPRKAILPDETGKAIIDMMKDVLKDVPILDLEFYMNRINLYQDELKFLGVGLGSDDVRRLVANWLNSLASPGMNKLCTFSLLTFINFCRGRNMIDEDWLDVVKDKRWVKTHQGYNAPKGSILLPSEIEAETCLKITNLPIVDQAFYGSRLGSFLSELRLLGVTYGLEEVQKSIAENMTLTSNLPSLTGSCGLLILKCIRCLGSGAAGVIIKIKCKPWIKTTLGFKTPSETVLPDPRWGALFNALQVPAIEESYYGDAIRHFTDELNAIGVVVDSTGATKMIGARFNSLLSSSSLAPATTMLLLGCIRELIPNMSLQRSELKWLLCEKWLKTRHGYKTPGESIISGSNWGPISFFVDLPLIDDAHYGIGIYKFKDELQMLGVITDFERGAPFVAKGLHSPIQPELLASDGMISLLECIKHLRSRSDDEPLLGDLRQNVAESRCLKTMKGYKIPQECVLFDPAWESILKRSDAPSIDESFYGTSIFLYKNQLRDIGVKVDPLDVCSLLSGLLLSLSDRVVITSIYGFLNKFQWSPKDQDKCNFQVWIPGSKGTGVWANSQDCVLHDRKNLFSSRLFCLEKFYKKGLLPFFSSAFGVAENPSINDYLLLWNSWALRDNGQVTVAECCSFWEFVVDSWNQQVEDILKRNLTKLPATMDTVDEIYLVSREEVFIADDLQLKKIFSSSNKVPLFVWIPKSISECYITPRRLHAIYEILRVRKMSESVECNVSGTLSFEHCEKVDPRERLIGRGLIKIILGFLAGPEVNMPVKERHEVARSIVVLSVYKSDKPIQVCYQLKPSASTTVEVEKLKLVLWEKNSPHLLIDELGYEDGKDDLEFVASFADELSRGLLAQVRPTAADALSKIIQMGYMFHFNENEVEFLLMKENLELFVEDVKFLDSAFLSSRETTVTAVYRKRAHKKLEHLGPSTPMPACKKQHRL >ONI33034 pep chromosome:Prunus_persica_NCBIv2:G1:35438722:35442094:-1 gene:PRUPE_1G401500 transcript:ONI33034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPSSAMASERDLLSTEIVNRGIESSGPNAGSLTFSVRVRRRLPDFLQSVNLKYVKLGYHHLINHAIYLATIPVLVLVFSAEVGSLSREELWKKLWDDARYDLATVLGFFGVFVFTLSVYFMSRPRSIYLVDFACYRPHDDLKVSKDQFIEVARKSGKFNEESLEFQKRILNSSGLGDETYIPKSVITSTENTATMKEGRAEASAVMFGALDELFEKTRVRPKDIGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGIIAVDLARDMLQAHPNNYAVVVSTEMVGYNWYPGSDRSMLIPNCFFRMGCSAVLLSNRRRDYHRAKYRLEHIVRTHKGADDRSFRCVYQEEDENRLKGLKISKELVEIGGDALKTNITTLGPLVLPFSEQLLFFATLVSRHLFSGGGTSQPSLSSGKRPYIPDFKLAFERFCVHAASKTVLDELQKNLELSEENMEASRMTLHRFGNTSSSSIWYELAYLEAKEKVKRGDRVWQLAFGSGFKCNSLVWRSMRRVRKPSRNPWLECIERYPVNL >ONI26098 pep chromosome:Prunus_persica_NCBIv2:G1:368594:369947:-1 gene:PRUPE_1G003600 transcript:ONI26098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAKAEAEMSIISMLPAECISHIVSCTTPLDACRSSLVSSLFRIAADSDIVWERFLPQDYKEIISTSLNSLSKKDLYFHLCNHPIIIGNGNMSMALEKQSGKKCYMVGARGLSVIWGDTPGYWRWISLPESRFSQVAKLNYVWWLDIKGYIETKNLSPRTTYAAYFVYQLSSQHNLGTAATPVTLRVAYEHSAVAVEHSVILDPVNYEGTAPPHARYRGDGWFEIEMGEFVTEEDNATVVCSLMETSNYNCKTGLIVEGIELRPKE >ONI26097 pep chromosome:Prunus_persica_NCBIv2:G1:368300:370066:-1 gene:PRUPE_1G003600 transcript:ONI26097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAKAEAEMSIISMLPAECISHIVSCTTPLDACRSSLVSSLFRIAADSDIVWERFLPQDYKEIISTSLNSLSKKDLYFHLCNHPIIIGNGNMQSGKKCYMVGARGLSVIWGDTPGYWRWISLPESRFSQVAKLNYVWWLDIKGYIETKNLSPRTTYAAYFVYQLSSQHNLGTAATPVTLRVAYEHSAVAVEHSVILDPVNYEGTAPPHARYRGDGWFEIEMGEFVTEEDNATVVCSLMETSNYNCKTGLIVEGIELRPKE >ONI29451 pep chromosome:Prunus_persica_NCBIv2:G1:19153060:19154299:-1 gene:PRUPE_1G198800 transcript:ONI29451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFIFLFHLLSIFAPFINFDEVDLGVHKGDHIQGIHDLKLYLEKFGYLSYAHAKHNPHADDDDFDDFLELAVKTYQLNYHLKTTGTLDAKTVSKMMMSRCGVADIINGATSMQSGKKKHRGHNHDHDSNVYGVSHYSFFKGKLKWPRSKHHLTFAFLPTTPTEAMGPISRAFRTWATNTHFRFSRSQNYKRADIKISFEYGDHGDGSSFDGPGGVLAHAYAPTYGKFHYDAEEPWSVGALEGYYDLETVALHEIGHLLGLGHSSVEGAVMYPYIASGATNLYLHDDDIRGIKVLYKS >ONI29602 pep chromosome:Prunus_persica_NCBIv2:G1:20444095:20445286:-1 gene:PRUPE_1G204500 transcript:ONI29602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRLVPQDKTLNFTIDKEVFGLERDTFLLPEDITQFAGMEEIVATVIAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTIADRSRLVAARLQRTDGEQIFMMPYNPGRHWILLIVRPKRETVYFLDPLPGNRVVDEEAKNIVNSAIKIYNSHIARSGRKAVIWKTLLGTPKQPSSVECGYYMMRFIRDIIMDPSLAFEKKVRRNYLHTFYVVFCIINDGHVKLPSLNRYTTSIMKNIVVCD >ONI35024 pep chromosome:Prunus_persica_NCBIv2:G1:42075960:42078725:-1 gene:PRUPE_1G510900 transcript:ONI35024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYNSMHLPSEPHPCQAQAPLEFGLKALNLDLKKNTPHKNCSMMLSDLLSPTSSSLLSGDYIGMESSVDVQKDCEKDQVVPNDDEEEEEEGQSGNFRQRLMGRREQKWAMRNKEFPPPIPLLARTENLPSHMPWVLKRHYTSDGRLILTEEKVRHHEYFRAHRSNGRLTLQLVPLDDEVLISPVACDEGNKDGNGNDNEYDDQDPQCDDHGDHDDEEYDEGKKDGNGNGNENEYDDQDPQCDDHGDHDDEEYDEDIDGDDDDGYVDDDDHVVEDQSISGNIGGSAAGKCFNFNSVGTGSPCIFGVPVPAIRQVHS >ONI33864 pep chromosome:Prunus_persica_NCBIv2:G1:37969121:37970134:1 gene:PRUPE_1G450700 transcript:ONI33864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQHPKIKTQLFSCGFFRHCTRTVLSPTTPHPPPLPFSSTDPLPPSLSLDSSPPAPTQPDPPTHHPSKPESESSSSSTSQSFTHVGSHPEQLAALQLLERSLVPYPPPDPVCPPELMRGLVRNLKNKAGAKAATKILLALCLAEGNRHVAVDEGAAAAVVETALELEAASAERALAALELMCTVAEGAAAVRSHALAVPIMVMMMGRTSARGKEYAIGVLAVIYSGAYGEEEAAEAVAAAPAEEVARAVELALKGDCSGRGRRKGAQLLKALQDPLQERESLDPK >ONI32774 pep chromosome:Prunus_persica_NCBIv2:G1:34503329:34507109:1 gene:PRUPE_1G384800 transcript:ONI32774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCGPGYLHRHMPVLLGCSHSGLFRVRRGCRASRRLRRELRHRRPGFRCHAGNGECTGDAVWASIRCGADQDVGDIHAEIVDHFAGKFALWMLPQLFAYALNFPIQKFLQAQRKVFVMAWISAVVLVLHAVFSWLLILKLGWGLTGAAVTLNISWWLIVIAQLLYIFITKSDGAWSGFSWLAFADLFGFVKLSLASAVMLCLEFWYLMVLVVITGRLKNPLIPVDAISICMNINGWDAMIAIGFNAAISVRVSNELGAGNAKIAKFAVVVVSVTSVAIGVVCLAVVLTTRDYFPYLFTSSEAVAKETTQLALLLGITVLLNSLQPVLSGVAVGAGWQALVAYINIGCYYIVGLPAGILLGFTFGFGVEGIWSGMIGGIALQTLILIVVVSLTNWNKEADEAESRVQKWGGGVAAQD >ONI32773 pep chromosome:Prunus_persica_NCBIv2:G1:34503329:34507109:1 gene:PRUPE_1G384800 transcript:ONI32773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNTPLLASNHSGHEQEEKVGIVKEFGIESKKLWKIAGPAIFTAICQYSLGALTQVFSGFVGDVELAAVSVENSVIAGLAFGVMLGMGSALETLCGQAYGAGQIRMLGIYMQRSWIILLVTACVLVPVYVWSPPILELVGETDQISEAAGKFALWMLPQLFAYALNFPIQKFLQAQRKVFVMAWISAVVLVLHAVFSWLLILKLGWGLTGAAVTLNISWWLIVIAQLLYIFITKSDGAWSGFSWLAFADLFGFVKLSLASAVMLCLEFWYLMVLVVITGRLKNPLIPVDAISICMNINGWDAMIAIGFNAAISVRVSNELGAGNAKIAKFAVVVVSVTSVAIGVVCLAVVLTTRDYFPYLFTSSEAVAKETTQLALLLGITVLLNSLQPVLSGVAVGAGWQALVAYINIGCYYIVGLPAGILLGFTFGFGVEGIWSGMIGGIALQTLILIVVVSLTNWNKEADEAESRVQKWGGGVAAQD >ONI33957 pep chromosome:Prunus_persica_NCBIv2:G1:38251559:38252129:1 gene:PRUPE_1G455700 transcript:ONI33957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTMDSKKSNKIRDIVRLQQILKKWRKIANSSSSSSKAISNSNHNMSSSSSKSIKFLKRTLSLSDKTTTSSFEASSNAAVPKGYLAVCVGEELKRFVIPTDYLGRPAFHILLREAEEEFGFHQTGVLRIPCEVSVFEDILKMVEEDRDTLLFNLQECRFNKDDMMGCCSSSEGQPNFSHQPESPMCR >ONI27292 pep chromosome:Prunus_persica_NCBIv2:G1:5608411:5609499:1 gene:PRUPE_1G077900 transcript:ONI27292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLICLTQKRNATFQKNRLPKLHLKRKRKKEILVFEESIMLRWVVLYGLQITKTKLQLFVSLPLLLLTFCQQPNVRSRKRSEFDKRTLFSEFKAQNQPNN >ONI32194 pep chromosome:Prunus_persica_NCBIv2:G1:32879333:32880116:1 gene:PRUPE_1G353500 transcript:ONI32194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASAARASRSVMAAVIPESKVIAAKVKTKTCCSELCKFLGIPERTRSPTALLISRFISLYNHQSPGIKDRNWEENLKTLLHGKNRVGLSEITRLLSPEFTYSRIKSTSAPTAIADQKQHDLNKSKKTKGKMKNK >ONI26980 pep chromosome:Prunus_persica_NCBIv2:G1:4247276:4253019:-1 gene:PRUPE_1G060300 transcript:ONI26980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSSSSELQSIKDAIRCSDVVENRIELLTKLGDFKITEKSELASLAESLTTFWEDYTCLDISQCMLNGAILQVAAKYLESDISNCLAHFLALGTKASIWCGKHLKMTLMSTEESQEEEHANVFFELLLNLLSFSGASFSFLERFPVSVDKLSLDIVEKFFVEQLNLIKDSISGIKRIQCFESVVKVTLGVIDAVIRLCGAYARAVNWESWDEKLAGDKTGMGVEGFSNMNHVINVTKYTIEKLCEIGIVAAKNGGSLVKVLNFSWKGVVTLLQLGEGVLATKVNVADIISNLISLVNESLRCAAEAWSSSLKETISVTEARKTFLPVKFYLINAIKISSLYPCQAYLVQGEITNCILMISTFKILLSNEKLLKTAADVFTELLEKASLDLLISLLNSSQMKQEFKGEILDSLFSKGSYRDTVSEDLSKFNKISSLDEIFSLCGEAFPGEKALLLGRVSLFLGFLKFSVDLEEDVKLGITRKLGWFLDILIDEDVYASILLLQVPGLYGSGETVEVVWQPMFSFLLNALEIFMLVVSPSPAWSELESFLLENIFHPHFLCWEIVMELWCFMLRYAEPGMASGIIGKLCSLLKFVASAESVLVPGSALRKLARSISMLLTFGAQAMVDQVYKSIVSDDGAQLSSVMRLALFMEGFPLNLLSDKMKSIATHRIITDYYVFVENFDDKSMRSFHSGAFGVPVFALSASLQSLPISISDIDVKTLKFLVAIIHNYRVSSDKLMKEHYSKLLSETLGIISKMNHLYASDEMEKVIFELENLFISGPAASDTQLYECKPNLALFMAGLAHMEINETNQSAKTSALWELYHMLLRERHWAFIHLAIAAFGYFSARTCCNELWRFVPQDAALSYDLVSANEASVERFMSQFKIFLEKETALLAMTPSSDQLGLLVREGLTLKKMFQKKSNVIPETTECENMEIDCKKQTGEINGGKQTNKKRKLPDGIRKGMELVESGMKVIVDGISQWQQIQSGSDELHKKFLSNFSRLEDEVAQLIGLAGTD >ONI26801 pep chromosome:Prunus_persica_NCBIv2:G1:3318671:3319979:-1 gene:PRUPE_1G046900 transcript:ONI26801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNKSVLLGCLSLIISVAVAGPEWNILSHRTKDGLSISLRNYCESWRMNVELHNIREFQVVPEECVGYIGKYVTSTQYKVDSERAIEEAIVYLSTSCNLEKDGKDAWIFDIDDTLLSTVPYYKKQHFGGEKLNLTSLEEWMSQGKAPALENSLKLFNEMKARGLQIILVSSRREHLRSATIDNLVDVGYYGWTSLILRGPDDELMGVQNYKTEVRKQLIGEGYRIWGIVGDQYSSIEGLPRAKRTFKLPNPLYYVS >ONI26580 pep chromosome:Prunus_persica_NCBIv2:G1:2273495:2274083:1 gene:PRUPE_1G032400 transcript:ONI26580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQCQGSTGKYVWPELLGVEGTVAEATIERENSTVKVEVVLEGTIVPADFVCVPDRVRVWVDTDGLVTRVPVIG >ONI33690 pep chromosome:Prunus_persica_NCBIv2:G1:37447332:37449580:-1 gene:PRUPE_1G440900 transcript:ONI33690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLTVSCNANHQINNLNKLIKSRKSGTNPPPDRKSWVGLDDVEDSFSPVYVGPQHGLKEADKIEALPGQPAEGVNFDQYAGYVTVDPRAGRALFYYFVESPHNSSTKPLVLWLNGGPGCSSLGYGAMEELGPFRVSSDNKTLYRNDYAWNKVANVIFLESPAGVGFSYSNTSSDYESVGDKQTAKDSYTFLVNWLERFPQYKTRDFFIAGESYAGHYVPQLAHTVLSQNKINNQTKIINLKGIAIGNPWVDDYDGEMGVYDYLWTHALNSDETHAAIHKHCADFASDKPSDICLKYQLRSERELGDDNIDIYNIYAPLCRKSESKSVSTGSVHHFDPCSSNYVESYLNLVQVQSALHVKATNWSACGWILSNDIRAEKTGSEFLTLFLFLCIICSNIGWTDSPVSVLPTIKQLTASGITFNYNQNPFQHIIFIHKFLKMHGCMLTYSGDTDGRVPVTSSRYSINNLKLQLRTSWRPWYSDGEVGGYVIRYKGLTFATVRGAGHMVPSYQPQRALTLISSFLKGKLLPSS >ONI30340 pep chromosome:Prunus_persica_NCBIv2:G1:25917115:25918610:1 gene:PRUPE_1G245600 transcript:ONI30340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDEQVSKKDIKVEIPADETEKYVCAGLSRQLSMTKNNCLCSPTTHAGSFRCRLHRAPSLQRTKSMDCRGPASKAG >ONI31228 pep chromosome:Prunus_persica_NCBIv2:G1:29461785:29463241:-1 gene:PRUPE_1G299500 transcript:ONI31228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVSAIGFEGFEKRLEISFFEPSIFVDPEGRGLRSLAKAQIDALLDEAECTIVSSLSNDHVDSYVLSESSLFIYPYKMIIKTCGTTKLLLVIPPLLKLAETISLSVRSVKYTRGSFIFPGAQSYPHRNFSEEVSVLDGYFGKLGSGSRAYVMGRSDGPQKWHVYSASAESGSLVDPVYTLEMCMTGLDREKASVFYKSQSSSAAAMTNDSGIRKILPDSDICDFEFDPCGYSMNSIEGAAISTIHVTPEDGFSYASFETAGYDLKDVNINQLVERVLACFQPREFSIAVHADVATKSLQQLSSVDLKGYCRKESSHEELGMGGSIVYNRFLKTERCGSPRSTLKGCWRDEEEEED >ONI33422 pep chromosome:Prunus_persica_NCBIv2:G1:36495420:36496932:1 gene:PRUPE_1G423100 transcript:ONI33422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPEMDAGLWSKLPQELLERILSFLPLKNFMNLRSTCKHFKSLLFSPSFISKHSSSSSFSSFLLLSHPQCYNHFAFYDSALGAWRNFALSLSALLPCAAGQASLLSTSNGLLCFSLSNSFLVFNLLTKSSRVIGFPAYPFAFELFSLVSTPVGYSLFMVSSGSRTKNTFVYDSKVQFWRKFNGFKPILSDNYHHQAVYYKGSLYFVTPEPFSVACFVLESGKWERPNTELPRELMFVRLVSDGGDGKLYLIGGVGRNGISRSMKLWELGEGMNWVEVESLPEMMCRKLMSVCFHNYEHLYCFWHRGFICVCCYTWPEVLYFKVSRRTWHWLPKCPSLPDKWSCGFRWFSFVPELYASV >ONI28724 pep chromosome:Prunus_persica_NCBIv2:G1:12521635:12522600:1 gene:PRUPE_1G157700 transcript:ONI28724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLLDVPFECRSWYKASLDPSCWQCLIFPRFNYYRFDHVRGFYIDTRFWFLTLFYRKFVYRYLTGKLRFSMNGFVKLVVSRSCGNATSVSLPECSDQILKYVANACPGLKALSLPSNSVLLKSNIIMELTEKLKHLESLSLGNSRNIAEILSQISLHCKNFRRLELRDCLIGDEEASSIIKLVLHIQYLVLRCSRIRRGNFITLLQVCKELVYLDASDCRDFEEDYDDILKLASHIAYFSCEGSQLYDSEDNHGRLISDGESSDDD >ONI31464 pep chromosome:Prunus_persica_NCBIv2:G1:30417237:30419924:1 gene:PRUPE_1G314600 transcript:ONI31464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQNQNPLNLFSKLFNAQLHILKLLFYFLLFGGGLTLGMVLSFYLKDISISLQLAQFSFSTSQSPSNQHTLMPNVKAFEANATKPHIGLKEYLKPPNVLHDMDDQELLWRASMIPRIPEYPFRRVPKVAFMFLTKGPVLLAPLWEKFFKGHRGLYSIYVHSNPSYNGSDPESPVFHDRRIPSREVEWGNVNMIEAERRLLANALLDISNQRFVLLSESCIPLHNFSTVYSYLVRSRESFVQVYDDPSSVGRGRYNSHMYPKISLSQWRKGSQWFEMDRRHAIEIVSDKKYFPAFQKYCRGSCYADEHYLPTFANINFGAKNSNRSLTWVDWSRGGPHPAKFTRTDVTVELLSRLRNGTACVYNGKSTNVCFLFARKFGPDTLERLLRFAPKLMRFNR >ONI31463 pep chromosome:Prunus_persica_NCBIv2:G1:30417237:30419925:1 gene:PRUPE_1G314600 transcript:ONI31463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQNQNPLNLFSKLFNAQLHILKLLFYFLLFGGGLTLGMVLSFYLKDISISLQLAQFSFSTSQSPSNQHTLMPNVKAFEANATKPHIGLKEYLKPPNVLHDMDDQELLWRASMIPRIPEYPFRRVPKVAFMFLTKGPVLLAPLWEKFFKGHRGLYSIYVHSNPSYNGSDPESPVFHDRRIPSREVEWGNVNMIEAERRLLANALLDISNQRFVLLSESCIPLHNFSTVYSYLVRSRESFVQVYDDPSSVGRGRYNSHMYPKISLSQWRKGSQWFEMDRRHAIEIVSDKKYFPAFQKYCRGSCYADEHYLPTFANINFGAKNSNRSLTWVDWSRGGPHPAKFTRTDVTVELLSRLRNGTACVYNGKSTNVCFLFARKFGPDTLERLLRFAPKLMRFNR >ONI34798 pep chromosome:Prunus_persica_NCBIv2:G1:41364240:41364604:-1 gene:PRUPE_1G499500 transcript:ONI34798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRLQFLRTPPDCHSTLSSEVFWKGGVWGLRGKSQSQKTTRRSRCSLESDAHGTKDDVTAYQKLCPSE >ONI35060 pep chromosome:Prunus_persica_NCBIv2:G1:42199523:42200251:1 gene:PRUPE_1G512700 transcript:ONI35060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWVLNLATYIVARAGAHLLITAKLIWDAPKFGKGIELPLALFGMFGMNFLNAFLDIDLFHAFKRERNPQNTNSHHE >ONI27436 pep chromosome:Prunus_persica_NCBIv2:G1:6409324:6413835:-1 gene:PRUPE_1G086200 transcript:ONI27436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVASSFDLWKKDVFFPAAEEVQESADIMESTYRAWVRQKRERLAPDNLDELCRELQTALGTAKWQLEEFEKAVRLSYGQRGDDHTTARHKQFIAAIENQISHVETALRESFSVEGKQPLRWVHLDEEECDDFAAFLSGTPQSLLCAKNEYVELGPSVKSSEENDFRRKDVDLKSNAACSRDISDEIKGVKDVITISKDLNFVMEVKGKEIAEMRDDIIYDADRTTNTRKACSSPNYGDLRIIIADEVEHRKKLIPGCEDTPKEKGSKLVFWKQRCAQLHQATAAVNLFNQLFGRVGASQKQLQSPQKQWQTPLRLHNRCSVQATLGLMLTVFLLVPFVFYSS >ONI27100 pep chromosome:Prunus_persica_NCBIv2:G1:4877218:4878650:1 gene:PRUPE_1G068400 transcript:ONI27100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDEEACNTKLALGLGVGEYASRQEMRKKENPSVCLDLSFALCPKQEVATLGYHMENRSSLRAMDEDEESERSIGTNNKSIIMNNKNGVRKKLRLTKEQSTLLEESFSRHSTLNPARKQSLAEQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFWKKRCESLGDENRRLKKELQGLKSLNKNEASPLYIQIPKATMLSMCPPCERMVKAHHHNQAAAKNTEDLTVVRKSNKLQGGFDGTN >ONI30649 pep chromosome:Prunus_persica_NCBIv2:G1:27274330:27276245:-1 gene:PRUPE_1G264300 transcript:ONI30649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATFPTVDKCTSNGREKHMVVADMDGTLLRGRSSFPYFALIAFEVGGILRLLFLLLVTPLAGLLYYFISESAGIQVLIFATFAGVRVSEIESVARAVLPKFYSSDLHPESWRVLSACEKRCILTANPRIMVEPFLKDFLGFDTVLGTEIATYKGKATGFVSLPGVLVGKKKADALKKAFGDVQPEIGLGDRHTDIPFMKLCKEGYMVPAEPEVEAVTNDKLPKPIIFHDGRLVFKPTPLMALLTLLWLPVGFFLACLRISAGALLPMPLVYYAFWALGVRVTVKGTPPPPPKKSTGQSGVLFICSHRTLLDPIFLSTALGRPIPAVTYSVSRLSEFISPIKTVRLSRDRARDAAMIKKLLEEGDLAICPEGTTCREPFLLRFSALFAELTDELVPVAMVNRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNKLPAELTCGSGKSSHDVANYIQRVIAASLSYEYTGFTRKDKYRALAGNDGTVVEKPLLQPNKVMGC >ONI27616 pep chromosome:Prunus_persica_NCBIv2:G1:7691982:7696328:1 gene:PRUPE_1G096800 transcript:ONI27616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMRVLLVLAMAICLPSAAYAADGTATFYTPPYVPSSCYGYQNDGVMIAAASDAIWGNRAACGKRYRVTCTGATNQGVPQPCKGTSVVIKIVDYCPPGCRGTIDLSQEAFAVIANPDAGKIKISYTQV >ONI27098 pep chromosome:Prunus_persica_NCBIv2:G1:4869865:4872307:-1 gene:PRUPE_1G068200 transcript:ONI27098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSLYIIILCFLIAVLYFSSHNISSSSLAFLPGRKPMRKLSMPLTNNKHVYQKLKVIDGKSETSTVEEIPVATNYANSNSDLDELIYHIDYHGVTTHPTPRHPKP >ONI33887 pep chromosome:Prunus_persica_NCBIv2:G1:38020847:38024817:1 gene:PRUPE_1G451600 transcript:ONI33887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYDYEDAPGTYDETSATQRQASSGAPPSQDLGYDPNFVPDSVKSFVVHLYRHIREKNVYEIHQMYETSFQTLSDRLFKDTPWPSVDAVAHYVDNDHVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQFDQAWNVYGVLNFLQALVEKSMIIQILEHEKEGLEQFTATDGYDYNGGSNVLKVLGYFSMVGLLRVHCLLGDYHTGLKCLQPIDITQQGVYTTVIGSHITTIYHYGFANLMLRRYVEAIREFNKILLYIYKTKQYHQKSPQYEQILKKNEQMYALLAISLSLCPQVKLVEETVNSQLREKYGEKMIRMQRYDDEAFAIYDELFSYACPKFITPSPPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGIRTFLKVYSSISLAKLASYMEVDESKLRTILLTYKHKTHAVDTDGKIISNADVDFYIDDDLIYVVESKPAKRYGDYFLRQIVKLEGVINDMDRVKLD >ONI34723 pep chromosome:Prunus_persica_NCBIv2:G1:41104555:41107099:1 gene:PRUPE_1G495000 transcript:ONI34723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKVETISRLAQWRIENFGPCSYKRSDPFKLGIWNWHFSIEKNRYLYIRLFPEPSRVSKEQPPLARFVLRVSSSGPGRRPYISPVHERLLRTSDDFVWPVDSTFLGRFIIDVEFLDLKICPLNGGEASPVWPADGLMQSVSTQSTLRCLSRMLDEGIHADVTINTAEGTLRAHKAILSATSPVFQSMFNHDLKEKESSTIHIKDMSLESCMALLSYLYGTIKQENFWKHRLALLGAANKYDIADLKDACEESLLEDINSTNVLARLQEAWLYQLNMLKKGCLTYLFDFGKIYDVRDEVNDFFRHADRDLMMEMFQEVLTVWKPL >ONI35104 pep chromosome:Prunus_persica_NCBIv2:G1:42449685:42453411:-1 gene:PRUPE_1G516000 transcript:ONI35104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSTSKKCLRSLSFFITFVFVFISSASYVASAEEAGALLQWKTSLQDHRSRLTSWTSLHSSNTTSPCTWFAISCNTAMSVIKINLTKSGLQGTLSGFSFSSFPNLEHFDLSMNSIFGTIPPQISQLSKLVYLDLSYNRFNGSVPASVGNLSNLVYLDLCRNYLNSSIPLEMGNLSSLVELYLNTNNLTGSIPSTLVNLKRLRLLYMYENVLAGSIPLDIGNMVSLRNISLHDNNLSGAIPVSLCDLRNLTLLELYRNNLSGPIPENIGDLKSLLVLNLMENNLSGPIPLSVGNLSKLQVLYIRDNPLSGSIPEVIGNLMNLVVFRVARNNLTGYLPENICKGGKLEYFTANGNSLTGRIPKSLRNCTTLYRVRLDGNQITGNISEDFGVYPNLDYINLSDNKFYGKISDKWGKSLQLTNFEIAGNNITGSIPPELGNLTQLHLLNLSSNHLVGKIPMELGRLTLLVKLMLNANQLSGGIPQELGSLTDLEYLDLSTNNLSLPIPSSLGNFMHLHHMNLSNNMLSHKTPYQLGMLLQLSVLDLSYNSLDEEIPTEFFGLDSLVTLNLSHNNLSGFIPGTFDKLRGLEFVDISYNQLQGPIPNNKAFQEAPIEAFLGNKGLCNATGLQPCPSKKRPNSKVSFKIVYLVVPPVVGALIIASCRIYIPLLRRKKRQQTEECDMPPKEFELRSISIFGGKLLYEEIIKATEDFDDSYCIGRGGVGKVYKAKLPSDDLVAVKKLHSPCDGERSFQKEFLNEVRALTEIRHRNIVKLYGFCSHSRHSFLVYEYLERGSLFSILANDEEASKLDWSKRVNIIKGVAHGLSYMHSDVSPPIVHRDISSKNILLDAEYEACISDFGTAKLLEQDSSNWTTVAGTFGYVAPEFAYTLKVTEKCDVYSFGVLALEVIKGQYPNNILIGSLLSPALSREGKIPVELLDGRLPPPTDKVLDEIFTILKLAVPCLHANPKFRPSMLDASQIITLEFSQIENDRQRAEFMFG >ONI26942 pep chromosome:Prunus_persica_NCBIv2:G1:4049048:4049797:1 gene:PRUPE_1G056700 transcript:ONI26942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQSPFCSIFFTVLIASVHVLLVKAAVDPVKASGHEPILEMYMHDILGGSSPTARPITGLLGNIYGGQVPFARPIGFLPPQGGVAIPNANGALPTVNGLNGIPLGTGLAGTTFSGKPSGQAQTQLGPDGLGLGFGTLTVIDDILTTAPELGSQTIGKAQGVYVASSADGSSQMMAFTAMIEGGEYGDTLNFYGIFKIGSPMSHLSVTGGTGKFKSASGFAEVRSLIPPGQHVTDGAETLLRIIVHLSY >ONI34010 pep chromosome:Prunus_persica_NCBIv2:G1:38428096:38429891:1 gene:PRUPE_1G458900 transcript:ONI34010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSADEFDVIVIGAGIMGSSTAYQTAKRDQKTLLLEQFDFLHHHGSSHGESRTIRATYPEDYYTPLVLESYKLWQEAESEIGYNVYFKAHHLDMAPANNKVLHAIVESCGKNSVPFRVMNRDQLDREFSGRVRIPEDWVAVATEHGGVIKPTKAVSMFQTLALQNGAVLRDNMEVKGVERDGVRGGVWVCTENGERFWGKKCVVTVGAWTTKLVKTVGGIELPMQPLETAVCYWRIKEGHEGGFAIGGDFPTFASYGDNYIYGTPSLEYPGLIKVAVHGGCPCDPDKRPWGPGNPLAPNTLTPLKEWIEGRFSGMVDSGGPVATQTQLCMYSMTPDEDFVIDFLGGEFGKDVVVGGGFSDHGFKLSPVVGRILADLALSGEAQGVELKHFRIARFQENPKGNVKDFL >ONI31532 pep chromosome:Prunus_persica_NCBIv2:G1:30602479:30605150:-1 gene:PRUPE_1G318300 transcript:ONI31532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEVGVADYEFIDNDSDNSNDVASHRYQVYVIGEEGILKELELAGYQYLGGPEDGGKKIDLKPGFLMEHDENVYFCPFLLHRTLFIIGFVLIEIQVELSLNRAFAFLFGTLY >ONI28924 pep chromosome:Prunus_persica_NCBIv2:G1:13871377:13876055:1 gene:PRUPE_1G169400 transcript:ONI28924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKHTSMKWKRPSPSMDISTRTKSQIYHNNRSGLVRNSNGHPELLSHQSNPKRPRSVEPIIQGSLDGKMPLHSLIKDLRVKRVFSPTSKPPENLTDHHKFGAELGSYEQENGPKSVKNGGVESSGNGFSRSVPDVDDSESKGTERLDMGFVSKARRTDHLSLKSPVREGIGGISSDVEQRSGDANQDCVQKSPADVKENGVRLNFENKTKLQVLKPRQRVFKAPGSFGYRRLLPYLKAEDISCALDFCQHPNLDKGSEGKPFQGMRASKNPPGSIHQFDAHKLSREYHTSGSSTLLENVQVCFQETSNGNERSSESPEYVPASPSITVVDECLSKPPVDGQTEKFDVGFSCKAQKLNASPLSSSAMEDSQVNKDHVIDMQIDCNTPVEDVGTSDKAGNGEAQNAEDMNSLSSSMMDECYSCKVNVMGLNCKNSNQNHINEQRVNNAGLDQIDDSNEESVQRTPPDAEMLGKLEVEVKRISRAGYVLQTTNQSLGKPSNVFNQTDATCVDVKKQESTPKRKRVLNPCSRLKLFRSPGSVSYRRLLPYLLDIEKNNSCANTNKDLEHRLQPPLTPNQQETLMDQSNDFRFHSEHQICDPGTLPTPQLTSANGSSNYVGDNLTSPEHVAASQMSFDVQKEQHVQQDASGNQSKLETSPDIVSLGHEKDPITLFCPSTCKATSIKDGAISVTNSSSDCPEEDHIGSRIALSSGGKPLPVQADSLCQNSSQIDATVSSGIHADGLRKQILKRNPRGCRGLCTCLNCTSFRLHAERAFEFSRNQIQDAEEVALDLMKELSSLRKMLEMSAVGGANTPVMDLNEVKEACRKASEAEENARDRLGEMNYDLNIHCRITCVHGPKVTFANYVEEMSSQKQTFQATSLKP >ONI29698 pep chromosome:Prunus_persica_NCBIv2:G1:22314660:22318238:1 gene:PRUPE_1G209400 transcript:ONI29698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPASPVAPMKATSNGSFQGENPLDFALPLLILQICLVVVFTRTLAFLLKPLRQPRVIAEVIGGILLGPSALGRSEKFLHTVFPAKSMTVLDTVANIGLLFFLFLIGLELDIRAIRRTGKKSLGIAVAGITLPFVLGVFTSFVLRSTVSKGVSQGPFLVFIGVALSITAFPVLARILAELKLLTTDVGRIAMSAAAINDVAAWILLALAIALTGTNTSPLVSVWVLLCGAGFVGFCIFALRPLLAMMARRSPEGEPVKELYICITLSLVLAASFVTDTIGIHALFGAFVVGIVVPKDGPFAGVLIEKIEDLVSGLFLPLYFVSSGLKTNVATISGGLSWGLLVLVIFTASFGKIVGTVALSMMCKVHFREALALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIFVLMALFTTFITTPLVMAIYKPARRGAPYKNRSFFRKNPDTELRMLACFHSTRNIPTMINLIESSRGTRKRGRLTVYAMHLMELSERSSAISMVHKARYNGLPFWNKKTDDTNSNKDHMVIAFEAYEQLSTVKVRPMTAISSLNDIHEDICASAHHKSVAMILLPFHKHQRLDGTMESLGNSFRSVNERVLRHAPCSVGILVDRGLGGTAQVSASDVSYNVVVAFFGGRDDREALAYGMRLAEHPGIVMTLVKFVAPPGKTLIFGAKLVGITSDKNKKIVKEEDCGDEKEDDESFLAEYMSVRNSKNKEGGEPSMLYEEKVVESKAEICVVLKSMGRNVNLFVVGRMPPTAPLVDSTSDCAELGPVGSFLASSEFSSTASVVVLQQYNPTASQPLVVEEADYELPETSMA >ONI33470 pep chromosome:Prunus_persica_NCBIv2:G1:36670221:36673515:-1 gene:PRUPE_1G426600 transcript:ONI33470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQGFFSALKEEVVRGLSPGRSRAKSPARSGSPVSSLLRRRRNHHVAPPEPLMIPRSGSVRPAEALSPLKEGPDGTDGGDSRMEGKWGHWMKGQLCRAPSVSCSAYKRSDLRLLLGVLGAPLGPVHVSTTEPLPHLSIKDTPIESSSAQYILQQYTAASGGQKLQNSIHNAYAMGKVRMIASEFETANRVVKNRNSSKAAESGGFVLWQMHPDMWYVELALGGSKVHAGCNGQLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFTNSRCIGEKNINGEECFILKLSTDPLTLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQNNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGETALSHTKTRMEEAWTIEEVAFNVPGLSIDCFIPPAELRFASMSETCELPQGQTVNNTMAAAAYRAKVASLERSHQGSVNNVMWKMDA >ONI31648 pep chromosome:Prunus_persica_NCBIv2:G1:30884180:30886207:1 gene:PRUPE_1G323400 transcript:ONI31648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPCCDKQDTNRGAWSKQEDLKLIDYIRKHGEGCWRTLPQAAGLLRCGKSCRLRWINYLRPDLKRGNFAEDEEDLIVKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRRKLISMGIDPNNHRPNTFNLPRPHHKNSQAISSTAKLSAGLKTPTNDQPARSGGNNCDQVSDGTSCLEDESCGHQLPDLNLDLTMTAPLSNSEPENLKEEQNLMSLKCHRNLPRPPISFLS >ONI32834 pep chromosome:Prunus_persica_NCBIv2:G1:34762832:34765804:-1 gene:PRUPE_1G389100 transcript:ONI32834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICGGFKGQPKQAARLGGNRAALFVYATEGLENMSFVANAVSLVTYFYGYMNLSLTKSATTLTNFMGTAFMLTLVGGFISDTYWSRFKTCVVFGFIELLGLGLLTVQAHFHQLRPFPCQNVTPGQTCEAADTNQLAILYTGLYLIALGTSGVKSALPALGADQFDEKDPNGGAQLSSFFNWFLFSLTIGAMFGVTVVVWISSNRGWDLAFGLCTMAVFFAVVFVCMGKSLYRNNVPKGSPLLSILQVLVAATKNRKLRIPENADELYEIHDKEAGEQNEILQRTDQFRFLDRAAVFGATAADGSSTSKAHGSWNLCTVTQVEETKLLIRMLPIIFSTVFMNTCLAQLQTFSVQQSNTMDTHILGFKIPGPSIPVIPLLVMFFLIPIYDRVFVPIARKFTGIPTGIRHLQRIAVGLVLSVISMAVAALIETRRKSVAIEHNMVDSIEPLPMSVFWLGLQYAIFGAADMFTLVGLLEFFYAESAAGMKSLSTAISWCSIAFGYFLSSMVVEVVNKVSGGWLASNNLNRDKLNYFYWLLAGLSVLNFVAYLICASWYKYKKVEVFKQCDLNGKVEMVKEPK >ONI27460 pep chromosome:Prunus_persica_NCBIv2:G1:6588975:6591254:1 gene:PRUPE_1G088300 transcript:ONI27460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGLVSPLLQIHRTEPNKYNCMETGSKAVESEAVELIGSKVVESEIVESQAVESEAFELIGKQGVRLMNQRLMNRILMYHCLMNRILMNQIVKNLLVVNVSIV >ONI35672 pep chromosome:Prunus_persica_NCBIv2:G1:44916215:44918946:1 gene:PRUPE_1G549500 transcript:ONI35672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFQSRQRSLQFQFLLFSIATLFDSGLANDPPSPKNGNKASSSSSSGSVALKAVIVLLGVAAVLGFCVFLFKIWQKKKREEQHARLLKLFEDDDELEVELGIRD >ONI35673 pep chromosome:Prunus_persica_NCBIv2:G1:44916280:44919884:1 gene:PRUPE_1G549500 transcript:ONI35673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFQSRQRSLQFQFLLFSIATLFDSGLANDPPSPKNGNKASSSSSSGSVALKAVIVLLGVAAVLGFCVFLFKIWQKKKREEQHARLLKLFEDDDELEVELGIRD >ONI33049 pep chromosome:Prunus_persica_NCBIv2:G1:35482500:35483512:1 gene:PRUPE_1G402200 transcript:ONI33049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQPGSPSTAQSAVEEELVKCYCCGLTEECTPEYIAIVKERQQGRWICGLCAEAIKEENSRSHRGLNDEEAMKRHASFREEFRLSSPPEKSAEELISAMKKLLRRTLNSPKKEGLGCQPALSRSKSSFAPVVKGSS >ONI26438 pep chromosome:Prunus_persica_NCBIv2:G1:1717112:1719535:1 gene:PRUPE_1G024900 transcript:ONI26438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDVDSEALIEPARVDSAKPVLKSRLRRLFDRQFPSVLRISSAPEKPSSGTEPPQQSFNNSKDGGNAAATTEFEPSSVCLAKMVQNFIEESNEKQPPPKCGRNRCNCFHGNSNDSSDDELDVFGGGFGDSIPSGSFGGDASDILKSLIPCVSVEERNLLAHTANIVEANKNQKQKDDWRKAVTDGLNSLGYDSSICKSKWEKSSSFPAGEYEYIDVMVEGDRLLIDIDFRSEFEVARSTGPYKAVLQSLPYIFVGKSDRLGQIVSIVSEAAKQSLKKKGMHFPPWRKAEYMRAKWLSPYTRATAINPQNDAAPAPEVPTVVSDAPTETDNLSDCGELELIFGKSPSLPATPLPSPAENPVSGEKEVVKWQPPAIKPKSVERGARIVTGLASLLKEKP >ONI34572 pep chromosome:Prunus_persica_NCBIv2:G1:40657533:40660251:1 gene:PRUPE_1G488400 transcript:ONI34572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCISCNGRYDAGTCKKCHEDLKTCHEDLKAKVAFLTTSQPDSFSDVVLFAADGGSAQAAVPVPAHKAVLVSRSPVFNAMFENEMEESLSGTIKIRDVSYGTLRAFVNYLDTTEVVCLDQQLACDLLVMAEKYQVHHLKDLCQKFLVANLNWENSFSIYTFVHQHNAKKIIDAALTLIIDNMDKLTAREEYAELKEKDPQLVFEIYEAYLSKQVNKAAAKKGPNPPKFNWEGMYIGDYEQLPD >ONI33692 pep chromosome:Prunus_persica_NCBIv2:G1:37457366:37460007:-1 gene:PRUPE_1G441100 transcript:ONI33692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSLLACLLLLSISSISCNANYQTNNLIKKLIKSRKSAGNPKSWDGLYSPVYVGSQEGLMEADKIEALPGQPAGEVNFNQYAGYVTVDPTAGRALFYYFVEANNSSSNPLVLSLHGGPEGCSAFGYGALQELGPFRVGNDNKTLIPNDYAWNNAANVLFLETPLGTGFSYSNKSSDYHTVGDEITAQDSYAFLVNWLERFPQYKDSDFFITGESYAGHYAPELAYIILSNNNITNQTKINLKGVAIGNPWIDLNTALLALFDNFWTHALNSDETNAGIHKYCNLTDFGGKQSKVCGEYLQQGFEEVGEDIDLSNIYAPICKTSQDSQPKSVSTASVDDFDPCSDIYVEAYLNLPEVQAALHVKPTTWSACSDTDWTDSPDTMLPTIQQIIQSGISFWIYSGDTDGFFSVTSSRYALHILNLPIKTPWRPWYSNSREVGGYVVGYEGLTFATVRGAGIMVPSNQPQRALTLISSFLEGKLPPSVY >ONI30447 pep chromosome:Prunus_persica_NCBIv2:G1:26333872:26336643:-1 gene:PRUPE_1G252000 transcript:ONI30447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGDEDGVVHDLFSLLSSEDRNFLVHNNADQVEISSLSGKIVGLYFSGSWCGPCRRFTPSLVEVYQDLASKGDFEVVFISSDRDDKSFSGYFSEMPWLAIPFSDLEARKRLKELFKVRGIPNLVIIDANGKVSTDQGTRVVMEHGVDGYPFTAEKINFLKEQEAAAKENQSLSSLLVSRSRDYLISKDGSKVPVSELEGKMVGLYFSLHTHKPCQDFTQALLKFHEKLKEKGENFEIVLISLDYEEEHFKQGFQVPWLALPFKAKSCEKLARHFELENVPTLVIIGQDGKTLRPNAVELIEEYGIEAYPFTAEKIAELADISKAKLEEQTLESLLVAGDRNFVIEKTGSKVPVSELAGKHIMLYFSAHWCPPCRAFMPKLIKAYNQIKAKDSAFEIIFISSDRDHSSFKEFFSTMPWLALPLGDPRKALVQRKFKIQGIPALVAISPNGQTLSTQARQLIQAYGADAYPFTEEHLKHLEEKLEEEAKGWPEKVKSELHAEHELTRVLHHEYVCWCREPGSGWSFYCKECDFHLHPRCALSNKEGTKADAPNAMEGYICDGDVCRKV >ONI28722 pep chromosome:Prunus_persica_NCBIv2:G1:12502139:12503197:1 gene:PRUPE_1G157500 transcript:ONI28722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERRWEDLNIDCMVNILGRVGMESLLLDVPFVCKSCHFSTTAFIKFVVNRSKGHATALRLPPYASETDLKYVSDVCGDLKSVGFPADLVYDKSGVITELIGKWKRLEWLTLGSSYDLVKILSQISIHCKDFWGLRVSNSNIFNDEAIAIVNFLPKIKHLILRDAHIDRDALMKLLQGCTELQVLDVRDCIGFSEDDGEILKLASHITNFSCKGSREYDYDDDGFYEGGSFLFDDVVYDGYYSD >ONI33705 pep chromosome:Prunus_persica_NCBIv2:G1:37520423:37521625:1 gene:PRUPE_1G442100 transcript:ONI33705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCISKCRPRRHMIDELNHVQDKLVISQAPSRLAAPPISASNKISPSPPSPSNSTSSASSFTCTTNTSTSHTSSSLTSTLSSASSVLSSKIDRSFSNEFLWSCYKENPHVVRINSLKEASFSSSSLPQKPLLPAAVKKKQPNLKNANASVTPQKRVRSSSPTPLTRQKSFRKEPERPPMISAYSHPSRILRSPSPSRRFNMANPPKESSHSKPNALNLRPAASSNYSNSSRLLRPYLRSRETETRIHRISSKIDEVAVGEALADYMDSLPAEDIDNPLISLDCFIFL >ONI26611 pep chromosome:Prunus_persica_NCBIv2:G1:2423706:2427289:-1 gene:PRUPE_1G034600 transcript:ONI26611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEPWILKMGNQVSSNLKHALLLQPSKKSSSKNLPTSNNAKTQKQTIGILSFEVANVMSKTVYLHKSLTDSEISKLKNEILKSEGVLNLVSSDEAYLLELAMAEKLEDLNRVAAVVSRLGKRCVEPALQGFEHVYADLVNGVIDVKELGFLVKDMEGMVRRMERYVNATSNLYSEIEVLNELEQATKKFQHNQHEESKRAFEQKLIWQKQDVRHLKDVSLWNQTYDKVVELLARTVCTVYATIRAVFGDSVLGKNHVGLIGGASPPPMSGPVDARRVSQVASEPLKRVLSRKKGLHSGPVEKAMVVKKGSAFKPPQFDSRRGELGLYRAEDFNFPCGSSPGRIFMDCLRVSSSVNDDDDDDYVGAGNYEERSSQISGCSVANGGLRRDCSNHSGCFSRTQMGVQSKSGGMNGARFGPKSKLMVYAPPSTVGGSALALHYANVIIVVEKLLRYPYLVGEEARDDLYHMLPTSLRMSLRTNLKSYAKNFSIYDAPLAHDWKETLDGILRWLAPLAHNMIRWQSERNFEQQQIVTRTNVLLLQTLYFADREKTEAAICQVLVGLNYICRYEHQQNALLDCASSFDFEDCMDWQLQCGASFVD >ONI32455 pep chromosome:Prunus_persica_NCBIv2:G1:33729201:33730155:1 gene:PRUPE_1G369100 transcript:ONI32455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCHLPNLRKAISLLLVESKAQFFHFLSSYTRRIRPSTVRSTETVQEKVQVFRSLPLEFNTFKQKTQRNQWFLTVVPMDAIKKVMQTSRSTMIKMKVLPWVSC >ONI27062 pep chromosome:Prunus_persica_NCBIv2:G1:4659178:4665214:-1 gene:PRUPE_1G065300 transcript:ONI27062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPANGAGAAAANGPSNSCEGGENVKIINPELWQACAGPLVNLPPAGTHVVYFPQGHSEQVAASMKKDVDGQIPNYPNLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVPSFDKDALLRSDLALKSNKPQPEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDTVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAACGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDSVRWKNSQWRNLQVGWDESTAGERRNRVSMWEIEPVTAPFFICPPPFFRSKRPRQPGMPDEESSDLDNLFKRTMPWLGDDMCMKDPQVLPGLSLVQWMNMQQNSSAGNSIQPNYMHSFPGSALQNLAGADLSRQLGMSGPQIPQLSNLQFNAQRLPQQAQQLDQLQKLPSTMNPLASMIQRQQQLGDITQQPRQNSFNQSLPSSQVQSQLLQPQTLVQTNSILQQQSSSQNHLQRNLPQNLQQHQQQQQQQLHQQQQQHQQQQQQHQQQQQHQQQIAGQNQQQFQSQLPDQINQQLQHLSDNQLQLQLLQKLQQQQQSLLTQQAQQQPAQLIQLQDQQRQLLDVSQSFSRPLTPTQMQEMPQMAPTSHPHSRTMPQQLTKNNNSQTNVRFSQPPQQPKLQQQQPVMVPEMSGHMGLHPTPTTNQLSTAVSNVMTGGAGAGQSGITDEVPSCSNSPSTNNCPSLIQPLMNNRAHRNSFVGEDMAQSATTILSPSAIETMPSNGNLLKDFQLKSDVKPSVNIASNQSQGILTAQTYLNSAAVQTDYLDTSSSTTSVGLSQNDVNLQQNNAPLSFNPQSMLFREASQEGEVQADHRNNVSYGSNIDGQLGIPLNPDPMLAKGTVALGKDFSNNLSSGGMIGNYENAKDAQQELSSSMVSQSFGVPDMAFNSIDSTINDSGFLDTGPWAPAPQFQRMRTYTKVYKRGAVGRSIDIARYSGYGELKQDLARRFGIEGQLEDRGRVGWKLVYVDHESDVLLVGDDPWE >ONI27061 pep chromosome:Prunus_persica_NCBIv2:G1:4658133:4665858:-1 gene:PRUPE_1G065300 transcript:ONI27061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPANGAGAAAANGPSNSCEGGENVKIINPELWQACAGPLVNLPPAGTHVVYFPQGHSEQVAASMKKDVDGQIPNYPNLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVPSFDKDALLRSDLALKSNKPQPEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDTVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAACGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDSVRWKNSQWRNLQVGWDESTAGERRNRVSMWEIEPVTAPFFICPPPFFRSKRPRQPGMPDEESSDLDNLFKRTMPWLGDDMCMKDPQVLPGLSLVQWMNMQQNSSAGNSIQPNYMHSFPGSALQNLAGADLSRQLGMSGPQIPQLSNLQFNAQRLPQQAQQLDQLQKLPSTMNPLASMIQRQQQLGDITQQPRQNSFNQSLPSSQVQSQLLQPQTLVQTNSILQQQSSSQNHLQRNLPQNLQQHQQQQQQQLHQQQQQHQQQQQQHQQQQQHQQQIAGQNQQQFQSQLPDQINQQLQHLSDNQLQLQLLQKLQQQQQSLLTQQAQQQPAQLIQLQDQQRQLLDVSQSFSRPLTPTQMQEMPQMAPTSHPHSRTMPQQLTKNNNSQTNVRFSQPPQQPKLQQQQPVMVPEMSGHMGLHPTPTTNQLSTAVSNVMTGGAGAGQSGITDEVPSCSNSPSTNNCPSLIQPLMNNRAHRNSFVGEDMAQSATTILSPSAIETMPSNGNLLKDFQLKSDVKPSVNIASNQSQGILTAQTYLNSAAVQTDYLDTSSSTTSVGLSQNDVNLQQNNAPLSFNPQSMLFREASQEGEVQADHRNNVSYGSNIDGQLGIPLNPDPMLAKGTVALGKDFSNNLSSGGMIGNYENAKDAQQELSSSMVSQSFGVPDMAFNSIDSTINDSGFLDTGPWAPAPQFQRMRTYTKVYKRGAVGRSIDIARYSGYGELKQDLARRFGIEGQLEDRGRVGWKLVYVDHESDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGGNAVLLNQACSSSDGGNA >ONI26312 pep chromosome:Prunus_persica_NCBIv2:G1:1182654:1185052:1 gene:PRUPE_1G016800 transcript:ONI26312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSALRDFTIRGELEKIVRVNDEFRFDTDYSFPCHAETAYRSKQGNLYTLETLLYYVTNHHLKHTDYIQSARTQGIPSVTFPDRKPLLDYLTGKISSSDSIEFLLPPQNDAVHPKLPSLDPNVNSGINNDSNDYGTTDSRVFSQIETPVDYMSLICSGERPLKDREGLLECKGRNFYGVLTSATKREEERQRIESQQRKDGLVAKSRLMGSDERGLTGFGDESGYDPNPKPKLHLKGGKIGEGVPIILVPSAFQTLITIYNVKEFLEDGVYIPTDVKVKQMKGAKPDCVTVQKKFSRDRDRVVTAYEVRDKPSALKAEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIVGFFMRFEDDSVESAKIVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSRSHS >ONI33595 pep chromosome:Prunus_persica_NCBIv2:G1:37169604:37169969:-1 gene:PRUPE_1G435000 transcript:ONI33595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIETKGNEGFGGGDGNKRTVQLSLLLHVSWGHGAATSLPGFDGRCGDAKIFIGASKISPVFCLRLWVTWHRPLTVTYVASSRALFTFGSGCWPDPFRAVFVFDISFLPCNFSLEVQDIS >ONI34453 pep chromosome:Prunus_persica_NCBIv2:G1:40284756:40287917:-1 gene:PRUPE_1G483100 transcript:ONI34453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGCTQTCLIYPSLFLILVFSFVNPHFHFFPFPYKSNDCNNDLYNATRNSATHNMPPMQVRKNVNQFEKMEDGLARARAAIREAARSRSYTSYKKEAFVPRGSVYINPYSFHQSHIEMEKRFRIWTYKEGEPPLFQEGPMNDIYSIEGQFMDELSGESPFSAKDPDEALAFFLPVSVVNIVRYVYRPYTTYSRVRLQNVIEDFIGIVSKRYPYWKRSNGADHFLVSCHDWAPDISAGHPELFKNFIRVLCNANSSEGFWPVRDVSLPEINIPFGDLGPPLLTHPPRNRSIFAFFAGGDHGNVRKLLFRHWKNKDKEIQVYDYLPKTLNYTQLMAQSKFCLCPSGWEVASPRVVEAIYAGCVPVIISDSYVLPFSDVLDWSKFSVHVPVARIRELKAILQTVSVHEYLRKQKRVVQVQRHFVLNRPAKPFDLLHMVMHSVWLRRLNVRLLR >ONI26256 pep chromosome:Prunus_persica_NCBIv2:G1:996686:1000366:1 gene:PRUPE_1G013100 transcript:ONI26256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVTAPEQDYSEFVEVDPTGRYGRYNEVLGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLRNPEDLERLYREIHLLKTLKHNNIMKFYSSWVDTANRHINFVTEMFISGTLRQYRLKHKRVNIRAVKHWCRQILKGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAVLRKSHADRCVGTPEFMAPEVYEEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPEALYKVKDPEVRRFVEKCLVAVSRRLSARELLKDPFLRVDDYGYDLRSIEYPRDMSEIGPLLRQPYSELHHSNSSLSNGYGHCLGYETENDLDYHSVDYRLSEIDLFACQEDEHLGDLDLTIKGRRREDDGIFLRLRIADKEGRIRNIYFPFDTETDTALSVAREMVAELDITDQDVTKIAEMIDGEIATLVPGWKSGRGIEQSPHCNNASFCQNCASYGSILDYASSDSPGVKNLQVLQCSKHGSAAVHGRFEEITYQVQGSEQCLTDGAPRTSSHSNGVPYADIWAQRDGPESSSHCSREIHCDENHETMDVTNFGKEERIITMDNESDSKARNSFSEKLSTTSDQLDDYENELRQELRWLKATYQIQLREIRDQQLGVKPKTSSLSPNSDHSEHREKNGALMSLVSPRRTTENKKPSLKCFSSGKQFTYFPEDAEKKYLANQSLQNCEAIDGAYSPEQMITAKSFYVGALLPHSLHRATSLPVDAIDV >ONI28417 pep chromosome:Prunus_persica_NCBIv2:G1:11124774:11125276:1 gene:PRUPE_1G141600 transcript:ONI28417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTLGLVASATGSIGFVVYNLQMGKDNFSWSEFCNVYNTFCNHLGFSVGVSIFATILLIFLLWTSLFSFYRRISKKSPPPQLTQAEA >ONI31912 pep chromosome:Prunus_persica_NCBIv2:G1:31881761:31884436:1 gene:PRUPE_1G338600 transcript:ONI31912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYKLPSFLSWPILANTQHTMTSHLDFSGCKKRKRGERVFRFKSFGENGYPVGFVGSSFRENVKALLEYGHVEGGSLCSTSSTGMKCWSFQLQVHRHAPAHVVLFVVEEPIEASLNRHCKQCQYVGWGQHMVCNKKYHFVLPSADTMVAAAACLNCKGAKVSSSVGNGGTAAINGNAGNSSKSLVELEGHMMHGVFHSNGFGHLLCVNGLETGSDLAGHQILEFWDRLCTGLRARKVSLNDVSQKRGMELRLIHGVAYSEPWFGRWGYKFGRASFCVTQQMYQKAIEALQGMPLCLLIHDHLATSNHDIPLIFSRYHTLSDNSLVTLGDLFHFMLELKSRLPPTDQNSCIDSSYNPAMLVETNCRWSPKRVEMATRVIVEALKRAQFRWVSRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYLVRRTLNPVTKVLEYCLEDISNHVSPNNQDGLLRNNPKMKAAWYKISKFQLMKDMFYLYKHILKDQKLSTGIFSAIPMAVRIIIDSKYLVKDYCCGEVPMEVELGLQGKSNIYCTVVLTHPDDEEIDHDQNYNKIISNNATLPPYECLSLKNNATVDELKKEVEWNFREIYWGLRGFVVEAIGNLKNAKGTDMIFGLVEAGQKIVVEGSNKDMRGLVKQEMYECGRREYVVDCPCGAKEDDGERMVGCDICEVRQHTLCVRIPNNEQVPNIFLCNRCEGEIILLPSP >ONI34154 pep chromosome:Prunus_persica_NCBIv2:G1:38815865:38816815:-1 gene:PRUPE_1G465400 transcript:ONI34154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGVESEGLAYGSNYSAWSRSVRLAITTRRMAGFINGKNIVPAINDSSYDAWDEDNFLVQSWLLNSMTKNVRVLFDHCPTACVVWEATRKTYAVTQNSSKLYQLRH >ONI28609 pep chromosome:Prunus_persica_NCBIv2:G1:11943111:11945938:1 gene:PRUPE_1G151300 transcript:ONI28609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKGLSWPSSQPCCLSPRSGPLLHWRVGVLTALVIVGMVVIWSVDARTMQSFVEATRSRQAYLVTKVSALTNLAQNHENGELSSFHIAANHTNNQTKVTQFKTHFDSHDPVNLSRSESLSEPNSTGVSVKLDINQTQPVVPANLSWVSDGLEPNVTKNLLARWLAPGGQPCRASKTVEIAIPDLDGRDLIELPAGEIYEFGFRALSDDKKPRCLGGDYFETDLSGDSWKSRPVVKDFGNGSYSVSLQIHPDFVGLYNLTIILLFRHFEGLKLSPHRFVYDRELRKIPIRFTKGSAKLPELHTCKESDFGRDIWTGRWTRHAKNDACEISDDGRYRCLAPNFPCPSPWCNGSLGLLESNGWVYSAHCSFRLFSADAAWNCLKNRWIFFWGDSNHVDSIRNILNFILDRPDIPAVPRRFDMNFTNPKDPSQTVRITNIFNGHWNETQNYQGLNSLQNEGFRNLIKNYFSEDTVPDTVVMNSGLHDGVKWSNIRSFINGASYAASFWVEVMESIRQRGLAVPRVIYRTTVATGGYARALAFNPSKMEAFNWVLLDKLKEAGILSGVIDNFDMTFPWHFDNRCNDGVHYGRLPAKMKWRDGMIGHHYFVDLMLDHILLSALCASYQGSASR >ONI28610 pep chromosome:Prunus_persica_NCBIv2:G1:11940548:11945920:1 gene:PRUPE_1G151300 transcript:ONI28610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKGLSWPSSQPCCLSPRSGPLLHWRVGVLTALVIVGMVVIWSVDARTMQSFVEATRSRQAYLVTKVSALTNLAQNHENGELSSFHIAANHTNNQTKVTQFKTHFDSHDPVNLSRSESLSEPNSTGVSVKLDINQTQPVVPANLSWVSDGLEPNVTKNLLARWLAPGGQPCRASKTVEIAIPDLDGRDLIELPAGEIYEFGFRALSDDKKPRCLGGDYFETDLSGDSWKSRPVVKDFGNGSYSVSLQIHPDFVGLYNLTIILLFRHFEGLKLSPHRFVYDRELRKIPIRFTKGSAKLPELHTCKESDFGRDIWTGRWTRHAKNDACEISDDGRYRCLAPNFPCPSPWCNGSLGLLESNGWVYSAHCSFRLFSADAAWNCLKNRWIFFWGDSNHVDSIRNILNFILDRPDIPAVPRRFDMNFTNPKDPSQTVRITNIFNGHWNETQNYQGLNSLQNEGFRNLIKNYFSEDTVPDTVVMNSGLHDGVKWSNIRSFINGASYAASFWVEVMESIRQRGLAVPRVIYRTTVATGGYARALAFNPSKMEAFNWVLLDKLKEAGILSGVIDNFDMTFPWHFDNRCNDGVHYGRLPAKMKWRDGMIGHHYFVDLMLDHILLSALCASYQGSASR >ONI31751 pep chromosome:Prunus_persica_NCBIv2:G1:31216510:31216722:1 gene:PRUPE_1G328700 transcript:ONI31751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAVCFVGTNSTLDEGVFSGLVNFGRQNKVLTSNSLLLLRSGLSIITLGLCKSLNKFNNDNHSLLTQIN >ONI34089 pep chromosome:Prunus_persica_NCBIv2:G1:38615177:38616323:-1 gene:PRUPE_1G461800 transcript:ONI34089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKASTILCLALLFIVFSSSASAFDITKLLEKQSEFSNFNTYLKETKLGEQINSRSTITVLAVGNGAISSLAGKSQDVVKKILSAHVILDYYDEQKLTKLAKSSKATTLTTMFQSSGQAQNQQGFINAGIVNEGELAFGSAAKGSKLNAKLVKSVVSQPFNISVLQVSELIDIPDIAASSPAGSPKSSPSPSKAPSSSSDDEAPSASPKSSKSKAPTSSDETTSSSPPVPDEAGSSTAPAPAPSAASRVDMAVGAGVMIGLASLLVA >ONI29112 pep chromosome:Prunus_persica_NCBIv2:G1:15999069:16000729:-1 gene:PRUPE_1G182000 transcript:ONI29112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPELSLAPTQAHVVFKKSINGSNNPLESAESNTSRKRKLFQDHQLLKTEASFQTSVDLQLKDPLPLDWEQCLDLESGKMYYLNRKTSRKSWNWPMDQAVNLELNISTISGSSSDQRSSPSLKTIEEAKNEEQQSNMVALACFNCHLLVILSKSSPCCPNCKYVHSLPTSIQPNYNSS >ONI30550 pep chromosome:Prunus_persica_NCBIv2:G1:26774591:26778499:1 gene:PRUPE_1G257800 transcript:ONI30550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVQLWEAVPFSVMVTMEGCTIILTIWAKTVMTVDGMSPFVFVVYTHALSSLILLLYSFIFHCPRNRGGREQSLFSLNLFVRCFFLGLTGITISQNLGFLGLSYSSPILVCAMGLMIPTFSFLLSVILRRTRLDWRSSSFQAKVSGTIISIIGAVAVELYKGAYIRTSSVSSSPGKQLQVLAQKALLVFSSEPTEHWVVGGILLASASLSVSVWNIIQLGTMKLYPEVEAMEVVTFYSLLGTIQSAILSLFLERNPSAWRLKLNMEPLLIILTAIFGGLVRSRVHNWCMSIKGPYYVPLFKPFGIVFATIFGVSLSANSLHYGSVIGSVVIGMGYFVISCGQIREDEGQQDQQCVDERLESNSERKVPLLQEENNG >ONI34526 pep chromosome:Prunus_persica_NCBIv2:G1:40457431:40460839:-1 gene:PRUPE_1G485800 transcript:ONI34526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNFFFTILVTFSVALITYNIIISANSPLQQDLPGPSRSSSSSISVDPIIQMPLHRSRGKLGSSKRLFHTAVTASDSVYNTWQCRIMYYWFKKFQNEPNSGMGGFTRILHSGKPDKYMDEIPTFVAQPLPAGMDRGYIVLNRPWAFVQWLQQADIKEDYILMSEPDHIIVKPIPNLATDGLGAAFPFFYIEPKKYESVLRKYFPENKGPITNIDPIGNSPVIVGKESLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVASAVHGVGNILYKDFMIQPPWDKEIGKKFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSYDSVAPPRNLPMPPAGVPESVVTLVRMVNEATANIPNWGE >ONI31719 pep chromosome:Prunus_persica_NCBIv2:G1:31065775:31066978:-1 gene:PRUPE_1G326300 transcript:ONI31719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNWVPREKKKRTTCSHSQVQNENDCPLMTNNQSSKMVPGRVCHLCKCIKLATHSFSSFINNVKRDVVATIVYELRPNPSDHKTKAKGGGLSHVE >ONI29994 pep chromosome:Prunus_persica_NCBIv2:G1:23906600:23907226:1 gene:PRUPE_1G225600 transcript:ONI29994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPIVLTQLATGLGVLAGAVLVKSVMDQKPMAGPFPRCPSCNGTGRVSCFCSRWSDGDVGCRSCAGSGRTFCRSCGGSGTGRPLPVQISVRPPTPPS >ONI34296 pep chromosome:Prunus_persica_NCBIv2:G1:39341834:39342757:1 gene:PRUPE_1G473500 transcript:ONI34296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSARVREVIRQCLSEAQSSDFHEAEQKALQTLVSITKVSPLNRNLLALTEGAIPALLTLSKSSSDIIQILSLSILFNLSLNPDLNLAMLDKNKAKFGVAGTIQLLVKAIAGPRCPAAHHLLSSLAELVRFHGNCTLAVREGAVQALIQVVESTDGEDLAGTSLLVLALLARFDEGLNALRKTDQIVSKMVDVLKGRCMLSKEGAAEILLLLFEESEGCVRDALRLPDFSTLIADISVRGSARAREKAALLLKKIMDADLDSYVDGNPMFSQW >ONI31235 pep chromosome:Prunus_persica_NCBIv2:G1:29496225:29498058:1 gene:PRUPE_1G300200 transcript:ONI31235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTKPLSLYRRSPQSLSLPPPEGPNSGYLVLHDDESVEITCCGCADDQVKDLPFPQNKDLTVGYGSDDDEVTFIPVLSQPLSSNRYHVILRTGKHKGEACTNSREEDMEIVCCGCTNVPDAKPKPLEPSDVNQQVEIVPRERDRGCFVAKSVDPDGFPPSFLRRKGWTVTMHTPRHYRLGEASGLNSSLRASLPGFDFPLSHDCSEAVFVGKWYCPFMFIKEGGVKLKDQMKKCMFYEISLEQRWEKIFDSINENIEVKNKGAVFVDAFVQREVVFVGGSEAIWDERNVSGEGFMLFKSFDGVGRETSVGLSMKIVERMKWEQERVGWVGGNERRVKVERVEEFGGTGGRWKRFGCYVLVERFVLKRMSMNGSLALLAYDFKHTHQIRSKWE >ONI35312 pep chromosome:Prunus_persica_NCBIv2:G1:43291617:43293602:-1 gene:PRUPE_1G529200 transcript:ONI35312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKGFVEGGLASIVAGCSTHPLDLIKVRMQLQGESNSAAARAPQPVHNLRPAFAFNSHSATLVGPPPPPPAPPARAGPISVGVRIVRTEGIAALYSGVSATVLRQTLYSTTRMGLYEALKVQWADPNTGNLPLTRKILAGLVAGGVGAAVGNPADVAMVRMQAGGRDYKNVVDAITKMARSEGIVSLWRGSSLTVNRAMIVTASQLASYDQIKEAILDRHLMKDGLGTHVTASFAAGFVASVASNPIDVIKTRVMNMKVEPGREPPYSGALDCALKTVRAEGPMALYKGFIPTISRQGPFTVVLFVTLEQLRKVLKDF >ONI32546 pep chromosome:Prunus_persica_NCBIv2:G1:33891934:33896924:-1 gene:PRUPE_1G372200 transcript:ONI32546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLISPPPSTSYLFRHKSSFYGTVLPERFGQVSQNLNNIRYYAISCRLSTRAKESPAQKPNTLIKEPHKYFDQVIITVRSGDGGHGAVLNMPDQRASKPQGKHEKEKLRRKSLFKRDFGGSLILPVGGHGGDVVIYADEGKDSLLEFHTKNRYNAKRGGNVAAMGVLTAQLHDGLSAPTLRIPVPPGTVVKRKRGTLLADLARPGDEVLVARGGQGGISLVEMPEHSRKKLMALTTNVMRDDSDKVLAVGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSATTLARPDIADYPFTTLMPNLGRLDGDPSLGPQMYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDAAAENPVNDYRTVKEELRMYNPDYLERPYIVVLNKIDLPEAKDRLPSLTEEIMKLGVDRPSSEPEMSSTGAVQQLSSEGGNADGSSPRVLTKDKKDKDIEDYPRPLAIVGVSVLKGIRVDEMLKEIRAGLRKCRGAN >ONI26416 pep chromosome:Prunus_persica_NCBIv2:G1:1639099:1642188:-1 gene:PRUPE_1G023400 transcript:ONI26416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTLHAKLGCIEIALLFAVQKSTLTKHQRKWHSAFATIYCSRAFNLSSLSKPKFTNTKIPRSPSYATLTVEPDNEFEIDQTTLTDLVKERSLNQLRELGGVEEIASALKTDAEHGIHGYGDAQDIAKRVEAFGSNTYMKPPAKGFFHFVWEAFKDLTIIILSGCAALSLGLGIKVHGLNEGWIDGGSIFIAIILVISVSAVSNYRQNRQFDKLSKVSDNVQIEAVRGGRRQQISIFDIVVGDVICLKIGDQVPADGLFLDGHSLQVDESSMTGESDHVEINQPQNPFLFSGTKVADGYARMLVTSVGMNTTWGEMMSQISRDTNEQTPLQARLNKLTSSIGKVGLVVAFLVLIVLLVRYFTGNTQDENGNQEYNGSKTKVDDILNAVVEIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMVDKAMVRKLSACETMGSATVICTDKTGTLTMNEMKVTKFWLGEEPVAEEAFSSISPYVLNLIQEGVALNTTGSIYRPSSDSEIEISGSPTEKAILSWAVHGSKMDMQKVVKSCSILYVEAFNSQKKRSGVLMKRKADNNTIQAHWKGAAEMILAMCTSYYNSSGLVINMDDNAKMRFEQIIQGMAASSLRCIAFAHKEIPAEEQADERDHKALLKEDGLTLLGLVGLKDPCRPGVKEAVGDCQYAGVNVKMITGDNVFTAKAIATECGILKPNQDMFSGAVVEGVQFRNYTPEERMLKVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVIMDDNFASVATVLKWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTKELMEKKPVGRTEPLITNIMWRNLLPQALYQIAILLTLQFRGKSIFGVDDKVKDTLIFNTFVLCQVFNEFNARKLEKKNVFKGIHTNKLFLGIIAVTILLQVVMVEFLKKFADTERLNWGQWGACIGIAAVSWPIGWVVKSIPVPEKPIFSYLKMKKHKKNFS >ONI30274 pep chromosome:Prunus_persica_NCBIv2:G1:25553608:25557282:-1 gene:PRUPE_1G241500 transcript:ONI30274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPQNFNRAPAPPQIYNTPVPTAQNFNTTPQPAAQNYSTAPVPAAQNYNPALAPAAQSYDPALAPAAQSYNPAFAPAAQNYNPAFAPAAQNYYKPPTTTRRGGDSYNRPGRRQFSATSDDSALTNQILATDRSRDRPYDVKSIPLKHILQTVDVILARVTKPDIHGAFLVPGAVGAHDALNLEHEKALHATLSSLQDNYDVPTGLLNAISCEIFGKWLSGEDPNKTTMDILDIVQHHDWDEKVVLVLGAFAVKDGEFWLVAQLYTTNPLAKAIGQLKQVQEILERAGTTLKPKFESYNNLVRAIINVTKCVVQLHDLQRDPHVTTEHESAATTAHIPTAVYWTIRSIVVAASQLLGITGMGPEYLTETWELSSLAHKLENIHSHLQENLDRLYEIIKRKKDDEALAAIAYILETPHIDNVKPLRVLFYKDDLPALYDCYNKKRVDIDVLKRKTVILFISDLDVVNENEYMIVQNMYMEKRHSPVRPESQYEVVWVPIVDTWTDAKYQQFEELRRNMEWYTVFHPSVVSPTVIRYIRKKDKWNFQKKPLLVVMDPQGKIVHTNAVHMMCIWGSVAFPFTSSKEKLLWEEETWRMELLADSLDQNLINWIAEGKYICLYGGEDIEWIRNFTRAAKKVAAESGIQLELLYVGKSKPKEKVVKNIMTTIQFEKLSHTLEWNLIWFFWVRLESMWQSRGQQLQTEALRSGRLTDSLKSDLVMQGIISMLSFGSSDRGWAVIGTGSAGMSKANGEHMFRSLNEFNLWNRRVNEIGFVPALNEYLDGVYKQAPHHCTNLILPATGLMPETVACAECGRLMERFTMFRCCTD >ONI26610 pep chromosome:Prunus_persica_NCBIv2:G1:2408447:2412432:-1 gene:PRUPE_1G034500 transcript:ONI26610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMSYRREHRSSKSALLDGLEEGGLRASSSYSHDINEHDNDKAVQSLQDRVVFLKRLTGDIHEEVESHNRLLDRMVCLAITWMHQGVYCLEQWIGLRWYLRKNQAGECVDLWHILWFPS >ONI28301 pep chromosome:Prunus_persica_NCBIv2:G1:10738467:10739848:-1 gene:PRUPE_1G136700 transcript:ONI28301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERCLGPRRARQVQRMLRHGTTTFLCLFLTVVVLRGTIGAGKFGTPEQDYNEIREHFYSNNRRVEPHRVLQEATTQPDQKEADPAQSNNYATFDISKILVDEPGSDDEKRDPNTPYSLGPKISDWDEQRSDWLKKNPSFPNFLGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRIHGIEIFYNFALLDAEMPVEFLWWMDSDAMFTDMAFEVPWERYKDHNFVMHGWNEMVYDQKNWIGLNTGSFLLRNCQWSLDILDVWAPMGPKGKIRDEAGKVLTRELKGRPVFEADDQSAMVYILAKGRETWGEKVYLESAYYLHGYWGILVDRYEEMIESYHPGFGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAYNFGDNQVLQMYGFTHKSLGSRRVKRVRNESSNPLEVKDELGLLHPAFKAFKPSSSSS >ONI29898 pep chromosome:Prunus_persica_NCBIv2:G1:23528520:23529760:-1 gene:PRUPE_1G220600 transcript:ONI29898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYTTLEIVQENPNSAVFYLYLNRPSHRNALSRDFFTEFPRALSSLDQNSDVNVIVLSGAGNHFCAGIDLKTLTSISDQFVSGDRGRAGEKFRREIKELQEAITAIERCRKPVVASIHGACIGGGVDIVTACDIRYCSKDAFFSVKEVDLAITADLGTLQRLPSIVGYGNALELALTGRTFSSSEAKELGLVSRVFGSREELDNGVRVVAEGIGLKSPLAVRGTKAVLQRSRELNVEQGLDYVATWNSAMLLSDDLSEAVSAYAQKRKPIFAKL >ONI30896 pep chromosome:Prunus_persica_NCBIv2:G1:28399222:28400640:1 gene:PRUPE_1G280200 transcript:ONI30896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAPLNLCTVLNESKRIVNAHSRHFLAISVLFLLPLCFSSIVYPTVQNLLNEPSPNNSKIFLQISTFNPHQHQPIIATKPLCIALSFFLFIFVFSLCAVGSITFSVFHGFYGRPVKLISAIKSVLFSFFPLLGTVLVSQILVLLVFTVFGLVLFLVVRGAELMGFQMEYNSPYFIGFLAVLAMALFLVLLYLQVNWTLASVVVVVESNWGFGSLTRSTNLIKGMRGVALSLMLFFGFFLSILGFCSWASALDLDGDTDGWKSWAFVVQIVVTSTSLMLLMLYNTAANTVLYMYCRALNGELAMEIAEEFATEYVSLPFDDGKVPHLVSVAYT >ONI34613 pep chromosome:Prunus_persica_NCBIv2:G1:40806121:40816294:-1 gene:PRUPE_1G490400 transcript:ONI34613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPCLQSPCFLSLKPLPQRSTLVFTNHPHSVSSFHTSSRPLQRRLRLTRTPISASLKENLGLLTKTWSDVTSLNSWVVRDYYRLVSSVNSLEPQIQRLTDDQLTAKTAEFRQRLWKGETLADIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRLLGLTVGLVQRGMTAEERRSNYSCDITYTNNSELGFDYLRDNLAGSSGQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDAARYPVAAKVADLLVRDIHYKVELKDNSVELTEEGIALAEMALETNDLWDENDPWARFVMNALKAKEFYRQDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQVPVIEVPTNLPNIRNDLPIQAFATAQGKWEYVRQEVEYMFRQGRPVLVGSTSVENSEYLSDLLKEQNIPHNVLNARPKYAAREAEIVAQAGRKYAITISTNMAGRGTDIILGGNPKMLAKEIIEDSLISFLTREAPNVDVDGEAISQKVLSKIKVGPSSLAFLAKTALMAKYVSKNEGKSWTYKEAKSMISESVEMSQSRDLKELERLVDEQSEMYPLGPTIALAYLSVLKDCEVHCLKEGSEVKKLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVRLISKITNDEDMPIEGDAIVKQLLALQINAEKYFFGIRKSLVEFDEVLEVQRKHVYELRQSILTGDNESCSQHIFQYMQAVVDEIVFANVNALKHPRNWSLGKLLKEFMTISGKLLDDSFAGITEEALLKSLAHSHELNSIDLDDIHLPNLPRPPKAFRGIRKKSSSLKRWLAICSDDLTKNGRYHAATSLLRKYLGDFLIVSYLDVIEESGYDDAYVKEVERAVLVKTLDCFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLQYWSSPMESQEIFLS >ONI27729 pep chromosome:Prunus_persica_NCBIv2:G1:8146558:8148953:1 gene:PRUPE_1G102100 transcript:ONI27729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAAMAMAVPFGLRYSPSCSFMAQLSRGSVQLAQFNRPNSLGLSSSHSISGLASLLPHRLCTIASSPLRPRSLTIVSAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLVKKNAKRRTRLSKMHAVSRSDYNNVIGALPYLKVNRKAE >ONI31155 pep chromosome:Prunus_persica_NCBIv2:G1:29280603:29283004:-1 gene:PRUPE_1G296300 transcript:ONI31155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSGALIIFLSSFLCLLLVLAFIKILHKLWWTPIRMQKLMALQGIKGPSYRFIHGNTKEISNMKKEVMGRPRILSHDIFSVVQPHIHSWTKIYGKNFLQWHGSRAQLVITEPELCKEILNNKGRAYPKREPTNISKKLLGDGLVATTKAEKWAKLRRLATHAFHGESLKSMIPEMVASAENMLERWKVYEGKEIEVYEEFRLFTSEVISRTAFGSSYTEGQDIFGMLMKLGSLVFKNIFKVRVPGISKLFKTSDEIKSEQLEKGIHASITEMVKKRETKTMTGENDSFGSDFLGLLLKANHETNENQRISVQEIIDECKTFYFAGQETTNTLLAWTVFLLALHTDWQEEARKEVLQLFGKGTPNLDGIGKLTTMSMIINETLRLYPPAVLVLRNVEREVRLGKLIVPSNLELVVSIVALHHDPQIWGQDVQLFKPERFSEGIAKATNNNVGAFIPFSMGPRICVGLNFATTEAKIALSMILQRYAFTLSPGYVHSPFHHLTVRPQHGVQVRLQPL >ONI31467 pep chromosome:Prunus_persica_NCBIv2:G1:30424448:30427428:-1 gene:PRUPE_1G314900 transcript:ONI31467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIATLLIFTHLFLTVLHASSNPDFEHLLAFKSSSDASNKLATWNSSSDLCTWFGVSCTRNRVSRLVLENLDLHGSFESLTDLTQLRVLSLKRNRLSGPIPDLSNLTALKLLFLSYNDFSGDFPASVTSLFRLYRLDLSYNNLSGHIPSTVNYLTHLLTLRLEVNRFAGSISGLNLPNLQDFNVSANRLTGEIPKSFSGFPESAFAQNPGLCGSPVLNCKGLVNNPTRPGFDGAIASPVMPAANPTVVASSPSSLPGNSTPNKSTNTRRNGTSKISPEALIAIIVGDALVLVFVSLLLYCYFLRNFSAKMRQGKSSSKLLESEKIVYSSSPYSAQPGIERGQMVFFEGVKRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKDAQIGGKREFEQHMAVLGRLSHPNIVSLRAYYFAREEKLLVYDYMSNGNLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGLACIHNSCSPLKLTHGNIKSTNILLDKTGNARVSDFGLSVFVPPPPATSSAPRSCGYRAPETLDGRKLTQKSDVYAFGVLLLELLTGKCPSVVDSGGPGGGYGGLVDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMACTAASPDQRPRMSQVVKMIDEIQGVVGSPSHEAFDSKSESPSLSEDTCGASQ >ONI30308 pep chromosome:Prunus_persica_NCBIv2:G1:25671514:25674630:1 gene:PRUPE_1G243200 transcript:ONI30308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKQTTWPGRSNGRRFTSCPLWSTKGKKHHELHPTKHSNRMSGRGASSSSSTDGRDFSALPYELIMIIGVSLSHPNLRAASLACKSWYEALRPLRQAMLYMRWGKRFKHGRGGVQPNMDKALDAFLKGAALGSPMAMVDAGLIYWERGLKDKAVALYQKAADLGDLAGKCNLGISYLQVEPPNPKEAVKWLYHASNEGHTRAQYQLALCLHHGRGVDRNIKEAARWYLKAAEGGYVRAMYNVSLCYKFEEGLARSHCQARKWMKRAADRGHSKAQYEHGLALFSEGEKIKAVVYLELATRGGESASAHVKDVILQQLSETSRDDVMLLVDQWRALPSSS >ONI32924 pep chromosome:Prunus_persica_NCBIv2:G1:35062236:35063188:1 gene:PRUPE_1G394200 transcript:ONI32924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSSSRALSSSSLLLIFLLFSFSEAREILVGGKTGSWAIPSSESQSLNKWAESTRFRVGDTLVLKYDSAKDSVLRVTKEDYANCNVSNPIEQHKDGETKLHLDQPGPFYFISGTKGHCEKGQRVIVVVMTPRKHYGISPAPSPAEVDGPAVAPTSSATSLQGGLLVVAFGIFALGLF >ONI28880 pep chromosome:Prunus_persica_NCBIv2:G1:13499737:13502456:1 gene:PRUPE_1G166300 transcript:ONI28880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPVAGKCHKEVWFGILVSFVLCFTILCFDYSTSMLGVYNGVNLLVRRVHPYITRDSCYGRYIYVHDDLPAKFNDDLLKNCQNLTTGSKPKNSDTQNMCRYIVNLGLGPEIEEPEGVLANESWFITNPYLLEVIFHNKMKQYKCLTNNSNQASAIYVPSYVGLDASLHLWDFNLTVRDYSGKDLVGWLSGKPEWKKMWGRDHFMVAGRTSWDFRRQKENSSDWGTNLRVLPESMNMSMLSVEGSLWKNDFAIPYPTNFHPANDSDVVQWQNRVRSQKRPFLFTFVGAPRPDMKDSIRGKLIDQCQASSNCKFLHCGSSGINCNNPVTVMRVFESSVFCLQPPGDSHTRRSTFDSFLAGCIPVFFHPATAYTQYLWHLPENHTKYSVFIPVKEVEDLKEGIIEKTLLGISKHEELAMREEVIRLIPNLVYADPRSRLETEDAFDLAVQGILGRIENVREVIRERKDPSIGFGDEDNFKFTFPETPE >ONI34198 pep chromosome:Prunus_persica_NCBIv2:G1:38974329:38976866:-1 gene:PRUPE_1G468100 transcript:ONI34198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAHADQVLPILDFSEGLVLKQGTEEWKAMNNKVREACENYGCFLVLVKDEIPMNLREEMVAAMKALFDLPEETKQKHTSPKPYQSYEGKSPVIPLNESFGIDNACELDVAEAFTNLMWPQGKPSFCEAMKSMTSKMMELNLIILRMILESFGLGDHYESHAQNTTSAFRFMKYKAPPPSNINNALGLVPHTDKNTLTILCQNDVQGLEILTKQGKWAEVMVPKEAVMVFVGDALKAWSNGRLHAVTHRVMMSGDKERYSYALFSLPKEEVVIEVPQEFIDKEHPLLYKPFNFAEYFTYFTSNTREDALELYAGV >ONI31239 pep chromosome:Prunus_persica_NCBIv2:G1:29520589:29521642:-1 gene:PRUPE_1G300600 transcript:ONI31239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYHDHPIPELPTKIIFSHILPRLPAEDLMTRCRCVCKSWSSLIRSPSFVAAFHNFHCNDKRTTHFLFQKPFCHGMQSVHGLVCASTVFDDHVSILNPTTRESIELPHTDVLALTEGVSNAQYYFGFSPLTNEYKVLQIICVDPSGLGKLDTGLLNIFTIGRDSSWRPLWVDQHSDLLEGLVNGVCINGLHEKQKVIVAFDVREETFRVLPLPQDYAQVFDDYVDQDNHLYRDGSVADTYCLQSACVVEVGEYVGVFVDKSWKQDKIVLWILKDNQNHVWVKETISLMLEKTYGEGGCYVEALGTVHTGEYTI >ONI32758 pep chromosome:Prunus_persica_NCBIv2:G1:34471293:34473057:1 gene:PRUPE_1G384000 transcript:ONI32758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFGESTSRPSQGPSYSSSNNNNSDAGNFECNICLDLAQDPIVTLCGHLFCWPCLYKWLHIHSHSQECPVCKALIKEENLVPLYGRGKTSTDPRSKAIPGINIPNRPAGQRPETAPPPEPNHFPPRGFGFMGGLGGLGGFAPIATTRFGNFTFSAAFGGLIPSLLNFQLHGFPDAAMYGATAGFPHGFSNSFPGGHPHRYHIHRRATGQGQQDYFLKMLFLFVVVCVLVALMWQ >ONI34937 pep chromosome:Prunus_persica_NCBIv2:G1:41847794:41852519:1 gene:PRUPE_1G507000 transcript:ONI34937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTPHPPPHHHPHSHSHSPHRPIDPKIWRACAGNSVQIPILHSRVYYFPQGHLEQSSSSAPLLLSPLVLSKPLILCRISAVHFLADPTTDEVFAKLLLLPIPNHEPTNRHEPPNDDVFDDADETDKVVSFAKILTPSDANNGGGFSVPRFCADSIFPALNYQAEPPVQTLSVTDLHGIVWDFRHIYRGTPRRHLLTTGWSKFVNHKMLVAGDSVVFMRNSRGEMFVGVRRAVRSTVSSDCASRWSSPIGGGATTTMRLKTEDQEGSGRKVMSAEAVVGAAEMAAAGRPFEVVYYPKAGWSDFVVKAEVVEKALNVFWSAGMRVKMSVETEDSSRMTWFQGTLSSVSIPDNGPWQRSPWRMLQVTWDEPEVLQNAKRVSPWQVDYVASTPSIHTAFPPAKRFRAPMSPGLLTNAEEEFFFPVPGAPNSTMGQSNASLLNYNTFPAGMQGARQDLYCVSNLSHLLSENTPQMCPYNSFGNNVVPKLKRVSTELNIGSSQSDELSLDSQSSVHSFGTELDGNRYCNSTKVGRSSFQLFGKIIHMNQPVEGGFDDVGCTEDNGNKGYNATEGVNNQLDLSYSKLLERLDVQYQRASAVEACSL >ONI35350 pep chromosome:Prunus_persica_NCBIv2:G1:43444855:43453102:1 gene:PRUPE_1G531400 transcript:ONI35350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMMRKKIKIKKIDYLPARQVTFSKRRRGIFKKAAELSVLCESKVAVVIFSATGKLFDYSSSSIKDVIERYKAHTNGVEKSDKPSVELQLENENQIGLSKELKEKSHQLRQMKAEDLEELNFDELQKLEQLVDASLGRVIETKEELRMSEIMALERKGAELVEANNQLRQTMMLSGGNTGPTLMEPERLSNNIGGGGEEEGMSSESAISTTCNSALSLSPSLGDDSDDVTLSLKLG >ONI31295 pep chromosome:Prunus_persica_NCBIv2:G1:29836059:29840381:-1 gene:PRUPE_1G304700 transcript:ONI31295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFPSSSSASNYQRPFPCDTNQQPQLLEKSSTNIIDQHDHENPNSISHDDHHIRQYYSHYSEDHQHQAPNNFLEHDGLLLSYLLSQQQLLVGSSSPNMNSATSHHVQAHDTTEISVVASNSNKKVMDRVDEDRTAPAATSKGCSSKKKSNTSNGESKNAKAPRKRSSGNKDRHSKIYTAQGPRDRRMRLSLQIARKFFDLQDMLGFDKASKTIEWLFTKSKTSIKELKQHLNISPLAAYSCSTNANATSTSTITAKMNSSTSENSEVASKIQETANGDVHSIGTLEREKKNRKLCVVARESRVEARARARERTREKMMRTRSGLDQYQNLRKQSPDHDQVPQNPNNDQFSGGHYLWSSNCPNDQLFEPGMMMNSMVMSINHHDEKVVGSTTIPSGANSEDYDFPSFPGNWGQINNSKNTTGLSS >ONI34855 pep chromosome:Prunus_persica_NCBIv2:G1:41564111:41564850:-1 gene:PRUPE_1G502400 transcript:ONI34855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCCSFLVSMFCSNSLQPEQAKVGLCVIDLCLRCELVIFAAASGQPHLPDPQDHRRRQHCP >ONI35918 pep chromosome:Prunus_persica_NCBIv2:G1:45762951:45779853:-1 gene:PRUPE_1G560600 transcript:ONI35918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGSSKEENGAAESQSKGSRRARLKQRLHLHRRRRSRSNSPSHSKRLDAENFAGIALLTLVRAEMKFKDKWLACVSFGEQTFRTNISDQTDKPVWNSEKKLLLEKNGPHVARISIYETNKMSRNNLIGYCEIDLLEFLTRDSDSNSNSEILDLLDPSTSDKIVGKISVSCSVEDPIETEKSFARRILSIVDYNEDGKLSFSEFSDLINAFGNQVAANKKEEMFKAADKNGDGVVSMDELAALLAIQQEKEPLMNCCPVCGETLEVSDKLNYMIHLTLCFDEGTGKQVMTGGFLTDKQASYGWMFKLSEWAHVSSYDVGLNSGSSANHIVVFDRRTKRLVEELIDEKIVLSMRAIYQSKLGLGLLDTGAKDILQSLSEKQGKKMNSVESAKDIPKFVEFFKDQINLAEVKHPLEHFKTFNEFFVRELKPGARPIAYMERDDVAISAADSRLMAFKSVDESLRFWIKGRKFSIQGLLGKDQCSNAFINGTLVIFRLAPQDYHRFHFPVSGTIQQFVHIPGCLYTVNPIAVNSKYCNVFTENKRVVSILSTAEFGKVAFVAIGATMVGSITFSKKEGDHVKKGEEFGYFSFGGSTVICVFEKDAIEIDEDLLANSARSLETLVTVGMKLGVSKKQANIGLPNIEKCVLQD >ONI35919 pep chromosome:Prunus_persica_NCBIv2:G1:45766318:45779853:-1 gene:PRUPE_1G560600 transcript:ONI35919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGSSKEENGAAESQSKGSRRARLKQRLHLHRRRRSRSNSPSHSKRLDAENFAGIALLTLVRAEMKFKDKWLACVSFGEQTFRTNISDQTDKPVWNSEKKLLLEKNGPHVARISIYETNKMSRNNLIGYCEIDLLEFLTRDSDSNSNSEILDLLDPSTSDKIVGKISVSCSVEDPIETEKSFARRILSIVDYNEDGKLSFSEFSDLINAFGNQVAANKKEEMFKAADKNGDGVVSMDELAALLAIQQEKEPLMNCCPVCGETLEVSDKLNYMIHLTLCFDEGTGKQVMTGGFLTDKQASYGWMFKLSEWAHVSSYDVGLNSGSSANHIVVFDRRTKRLVEELIDEKIVLSMRAIYQSKLGLGLLDTGAKDILQSLSEKQGKKMNSVESAKDIPKFVEFFKDQINLAEVKHPLEHFKTFNEFFVRELKPGARPIAYMERDDVAISAADSRLMAFKSVDESLRFWIKDFACL >ONI35411 pep chromosome:Prunus_persica_NCBIv2:G1:43671887:43673758:-1 gene:PRUPE_1G534200 transcript:ONI35411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKKNGVLHVVVFPWLAMGHLIPFFHLSKLIAQKGHSVSFISTPRNILRLPKIPSHLSSLINLVSLPLPRLDNLPNDAESSTDVPYNKQQLLKRAFDLLELPLTDFLGSSESKPDWVIYDYASHWLPPVAARFDISRAFFSCFSAACLAYIGPPAPLINGQDFRTNAEDFTLVPEWVPFESDMAYRLHEIAKYLQRSSENESVTPDTVRFGFAINESEVVFIRSSDEFEPEWFNLLRELYGKGKPVVPIGFLPPLINEQVDEFDTNWVGINEWLDKQRVNSVIYIAVGTEATLSREELTELALGLELSGVPFFWVLRNPPESTQSVFEMLPHGFVERVEGRGVVHLGWAPQVRILSHDSVGGFLTHCGWNSMIEGLGFGRVLILFPMVNDQGLNARLGNNKGLGVEIPRIAQDGSFTRDSVAKLVRLAMVEDSGESLRIRAKEMKGLFGDRNRNNQIADEFICFLEENSPPRLTI >ONI26426 pep chromosome:Prunus_persica_NCBIv2:G1:1675744:1676725:-1 gene:PRUPE_1G023900 transcript:ONI26426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSISSFSSTLIRRTIPFCSFTTSTTSATSTQFLSPKRKPIWPLLSRALPDSPDQYPTRSPPEFSPPVPGRYDQPSAPPEVPGISTSPDVDTTPVPPPEVNPYPPPLDPDPNPGPDFPVPPLKPPPVPDIPPPFPDNPLPKPDVVPPQPPDIVPPPPPEPEIIPPPAPPPPPTGPGKSLATTQMFGQSKLTFGKFLV >ONI30448 pep chromosome:Prunus_persica_NCBIv2:G1:26340202:26344203:-1 gene:PRUPE_1G252100 transcript:ONI30448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSKQNVAELVNSEPHDFCSLLSSSERDFLVRNNGDQIKVESLKGKKLGLYFSASWCGPCQRFTPSLVEAYNELSPKGDFEVVFISADEDDESFNGYFSKMPWLAIPFSDKEARDRVDKLFKVRGIPHLVILGEDGKVLSDSGVEIIKEHGVDGYPFTPEKIKELNDQEAAARRDQSLKTILVSRLRDFVISNDGKNVPVSELEGKIVGLYFSLSVYSPCVDFTPKLLEVYEKLKANGESFEVVVIPLDDDEESFKQDFKNMPWFSLPIGDKNIGKLARYFELSTLPTLVIIGADGKTVSKNVAEAIEEHGVLAYPFTPEKFAELIEIEKAKEKAQTLESILVSGDRNFVIGKGGTEIPVSDLVGKNILLYFSAHWCPPCRAFLPKLVEAYHKIKAKDDAFEVIFISSDRDQGDFDEFFSGMPWLALPFGDLRKASLSRKFKVKGIPMLVAIGPTGQTVTKEARNLVMQHGANAYPFTEERLKEIEAEYLEMAKGWPEKLKSAQHEEHELVLARRKNYVCDGCGEPGGAWSFYCEACNFDLHPKCALEEDKRTKSDAKQEQDPPQEGFKCDGNVCKKA >ONI28813 pep chromosome:Prunus_persica_NCBIv2:G1:13098022:13100138:-1 gene:PRUPE_1G163000 transcript:ONI28813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSRRKKWTEAEERTLIDKYREMVSDGMLAKMKTREKKFKPIACYVNSVHHVQDPIAYRWQWSWKDVSTKVQNMRHQYLLVKQKIKKQQPDGGASSGGGSGECSGEEFDWMEGLTHWSNFLRYKEVFGDVGIVNSNGNELMASVNGDGENGGVFVGSGRGMGLVDFGQMGHSDGDFGAGIDGVENGVMGLGFEYDGEEGEENFNGNDRVREDGDDRFVCEEVEQNGRSLKKKKKKREASMGLGKKAWGYLGNQLSKLKEMEARFEQREAERERDRQRSESFRMECEHEREWKWEEWVNKKEEKEKTREKLKKQRILEWEALEKESEERERRRKEEELIHEREWEERMDRRRSEWKKRIDEMLGHHRAEMGQMQTRILHEQQNLTSQLLGIVSQWTAHPAGLSDHTSASNHYLSQMMQNLHHVNSLVHEDARVEGENQDDQFIVDG >ONI26333 pep chromosome:Prunus_persica_NCBIv2:G1:1271256:1276332:-1 gene:PRUPE_1G018200 transcript:ONI26333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDENSKKSKLSWSKKMVRKWFNIKSKTEDFQADDVVSGGGDQVEYRTSFSEREPCTIKKSKTEKFSKNPEQVRRRKMNLDHPRIIDVENHSIFVATWNVAGRSPPSNLKLDEWLHASPPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWLALIRKTLNNLPGTSGGSGCYTPSPIPHPIVELHADFEGSSRQKSSSFFQRRSFQATQSWRTENDPSISQPRLDRRFSVCDRVIFGHRPSDYDPSFRWGHRPSDYSRPSDYSRPSDYSRPSDYSRWASSDDDNGPGDSPSTVLFSPMSYGGSASNENGYRMPGGHSRYNLVASKQMVGIFLTVWVRSELRDYVQNMKVSCVGRGLMGYLGNKGSISVSMSLHDTTFCFVCTHLTSGQKEGDELRRNSDVMEILRKTRFPRVNGSRALKSPETILEHDRVIWLGDLNYRISLSYRSAKALVEMQNWRALLENDQLRLEQKRGRVFAGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWYGEGLQQLSYVRGESRFSDHRPVYGVFWAEVESSQSRLKKSTSYSSSRIEVEELLPYSHGYTELNFF >ONI30038 pep chromosome:Prunus_persica_NCBIv2:G1:24069951:24073762:1 gene:PRUPE_1G227400 transcript:ONI30038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTFVAILCVHAMKVLDKKNVKRILSQYILNRSRRDAKAKSITSYNGFGIHDNPKESMEKRYNHLCRNFREIATFAAKHEKLTEYVDQCSIEMLKCLKEIKTNIFGGNQHVDRVVEQTNSATPIVTGVKRKATVGRPRNQLKDPLERKRQKTSTKASTSRQITMQEQVHIDAFSQDQELGS >ONI31846 pep chromosome:Prunus_persica_NCBIv2:G1:31611443:31614207:1 gene:PRUPE_1G334400 transcript:ONI31846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTHHHHGALLKTPLSGRARIPGSKSRPGPFRVRMSLQETGPSLAVVGVTGAVGQEFLSVLQDRDFPFRSIKMLASKRSAGQNLNFLGRNYVVEELTRDSFDDVDIALFSAGGSISKEFGPLAVESGTIVVDNSSAFRMQKGVPLVVPEVNPEAMEGIKVGRGKGALIANPNCSTIICLMAATPLHRHSKVLRMVVSTYQAASGAGAAAMRELEQQTHEVLEGKPPTCKIFQRQYAFNLFSHNSAVLPNGYNEEEMKLVKETRKIWNDTDVKVTATCIRVPVMRAHAESINLQFENPLDEDTARDILKKAPGLVIIDDRTSNNFPTPLEVSNKDDVAVGRIRRDVSQEGNYGLDIFVCGDQIRKGAALNAVQIAEMLL >ONI28527 pep chromosome:Prunus_persica_NCBIv2:G1:11458165:11459210:1 gene:PRUPE_1G145900 transcript:ONI28527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEILRPQDCLIERIRVPPASFVRRKSYYGNPVPNQNYVSNPRPRKQVVRSDPRRIHMQPAVVSKRSSSEDLRGFKMEKVTILRRGESLDSKTKGNKGGLVVTGTERLGPDPEMVPKQVRIVDLKPPVEGKTDMYAGSAFAVSPEPSALPLPSFSKKKQVSKIVDGDSATRDLRRLLRLD >ONI29026 pep chromosome:Prunus_persica_NCBIv2:G1:14965868:14967086:1 gene:PRUPE_1G176300 transcript:ONI29026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTQALVVPEKRMLNLNEIEESEQFLFNLFILSFVGGLAWATNNDALEKAFLHLIINDRETGRSRGFGFVTFSNENAMRDARRHERPELRRS >ONI29916 pep chromosome:Prunus_persica_NCBIv2:G1:23627208:23629584:-1 gene:PRUPE_1G222000 transcript:ONI29916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKPAQIKDLQILKANKDDENKKHLAPKRSSNKDRHKKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTVPASALAAAGSSVSEQGSSVSSVTSGLHTRMEGLIRPSVGSEGSANWAFHMGRSNVASGVWPLPFLSGVRSGFDQNSGQATVNFGSENLNIMQHKFGFHGFDVPGMNLGSMGFPTILSGSNQQVPGLELGLSQDGHIGVVSSQALTQFHQHKGQRPGGVASFNQQQQQPSDTNE >ONI33377 pep chromosome:Prunus_persica_NCBIv2:G1:36352794:36353540:-1 gene:PRUPE_1G420300 transcript:ONI33377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLYNFVIISGSIKWVVLVVGYGGYPPPGYTAQGYPQPPPPPQVIYQPPPSPPPPPPPTETQSKSKSNNGCLQGCLAGLCCCCCLEICF >ONI33376 pep chromosome:Prunus_persica_NCBIv2:G1:36353053:36353809:-1 gene:PRUPE_1G420300 transcript:ONI33376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNSNPQPAPVIAPPPQGYGGYPPPGYTAQGYPQPPPPPQVIYQPPPSPPPPPPPTETQSKSKSNNGCLQGCLAGLCCCCCLEICF >ONI32736 pep chromosome:Prunus_persica_NCBIv2:G1:34401033:34402297:-1 gene:PRUPE_1G382600 transcript:ONI32736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKASTTATPLLNQEKPNNLPSNPKKERDFLNHVEAYLAKRDGVDKLLKISRYATKIILASSALPETLTLTHRLKSFESSVGVSRKAFRLGKFVQDVNALRNSNFDSNEDLVLSLFAYGGEGLYFFVEQFIWLAKSGLIDSKHSRSLQKISAWAEFIGYVGSISLKFRDLNRISEDEKCLKSSIEIAITRGNKCQEEKERLSKLCEKKLMKRLSVVQDAADALMALADIRDGDGPFLGPLSISLAGMLSALISTHKNWVYC >ONI26390 pep chromosome:Prunus_persica_NCBIv2:G1:1499874:1500841:-1 gene:PRUPE_1G021600 transcript:ONI26390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSMQSIFLASSVAYGARKNKSVGVSHLVAPTLRSYPHMMRVSSMAKDGQKKQPSTVTNASKVPPPSPSSPPPKVSTKFSDLFAFSGPAPERINGRLAMVGFVSALAVELSNGQDVFAQISNGGVSLFVATSILLSVASLVPLFKGVSVESKSDGIMTSDAELLNGRLAMLGLVALVFTEYVKGGTLV >ONI30551 pep chromosome:Prunus_persica_NCBIv2:G1:26780224:26780541:-1 gene:PRUPE_1G257900 transcript:ONI30551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDIYEGRKGSKISLGDKTNCDVLGVGIMKIKMQDGVVRSLSEVRHVLALRLNLISLGDREGYSYKAKGGKLLITQGSVVMMRGRFNLTKFISFFLVGGSTMAG >ONI33017 pep chromosome:Prunus_persica_NCBIv2:G1:35349574:35351284:1 gene:PRUPE_1G400200 transcript:ONI33017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGIILLSWSFISHSNVFRCRVAAECHGTESSVEFSDSQSIGEVVLLNDFVMEECSFRLFLACNISAQYFCGKFYVKLLSKDHLMFLFKRMEIPKTMLCFGENKTSS >ONI33200 pep chromosome:Prunus_persica_NCBIv2:G1:35884191:35887658:-1 gene:PRUPE_1G410600 transcript:ONI33200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDDDDPKSRGSISRPHDWLNNFQRDLMAGAVMGGVVHTIVAPIERAKLLLQTQESNLAILGGGRTRFKGMVDCIVRTVREEGILSLWRGNGSSVLRYYPSVALNFSLKDLYRNVLRSGHSHDGHFLSGPSANFIAGAAAGCTTLIIIYPLDISHTRLAADIGRTEVRQFRGIYHFLSTIRQKDGIRGVYRGLPASLQGMVIHRGLYFGGFDTIKEILSEKSELELPLWKRWAVAQAVTTSAGLLSYPLDTVRRRMMMQSGLEQRMYHNTLDCWRKIYRTEGVTSFYRGAVSNMFRSTGAAAILVLYDEVKKFMNWGGL >ONI26904 pep chromosome:Prunus_persica_NCBIv2:G1:3778017:3779948:-1 gene:PRUPE_1G054100 transcript:ONI26904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFASKRKSNSSEKVDVGGLREKVRNIQEEMSGMVYERKKESAAYERDMMVFAFKEAEWKQEKKKMREEVKMLRKVVEEKEERIRGIEDAGLVLGAGNNKSGGEKEWKVLLAEQMREERARRDETVEKWKQLYLAIKMELDELIQRTHCENGLYWRAEEEDTVEELKKDIKAKEEMIAGLKSRIVSMEHEQYKKEREIDILRQSLRIMSCKKTLQVTKNVSRDSQPVNVKQARKL >ONI30565 pep chromosome:Prunus_persica_NCBIv2:G1:26838683:26841637:-1 gene:PRUPE_1G258900 transcript:ONI30565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSYPRPSMALYRSCGALISSLALGPSKTPMSTIHLQHFPTISSKPIFKNTTAKPLRATKTPQKYVYPDPIPQFAESEAQKFRAELSRKLLKDKETFGDDLHSVIDVCSQIFSEFLLKEYGGPGTLLVEPFTDMLVALKEKELPGAPLAARASLLWAQSYLDQDWEIWNSKSPN >ONI35163 pep chromosome:Prunus_persica_NCBIv2:G1:42652526:42653786:1 gene:PRUPE_1G519400 transcript:ONI35163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSEFSSSSSPFFSANDHVETLNLFPNYKTPNAYLEPLPLINCLLRSPEHQVPNYHDENPKACNEDHKEDEVTVALHIGLPEYSDGSMNYLNEKEDENNVTAQKYWIPTPEQILIGFTHFSCHVCFKTFNRYNNLQMHMWGHGSQYRRGPESLKGTQPRAMLNIPCYCCAEGCKNNIDHPRAKPLKDFRTLQTHYKRKHGMKPFMCRKCGKLLAVKGDWRTHEKNCGKRWLCICGSDFKHKRSLKDHIKAFGHGHRPFPPNSFDEVRDLDEDTSTTPSLFKGMSSDTTS >ONI28029 pep chromosome:Prunus_persica_NCBIv2:G1:9293135:9294578:-1 gene:PRUPE_1G118200 transcript:ONI28029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGGYTAKDQSKVKHLHGGRMGLDSETHLVIKLPDSKVLRIISRSVFLGLVILTLPCIGSLLRGVSVSELKYDTQSEIFNFEQLGQLFHDLAGEGLRRKSDKALIVSPVNVGMIHNLHPFDYNVFDIVMDSDLERKSSFLDESWDFVFAFNLVDAKFVDRILKIGGIVAVPLSNDPSNAFKPKPNYKIVYLRRYASTFVAMRKTSPSYDLAVKSRRLCQFETKAKKTVFKDVVLEPPRRVLAKSNEYLKKIKFLPNLLGDSLPGYDRRVFVNVDSNEDNSVEPEEASSTVVALPRNDLSDWLKENVREEDYVVMKAEAEMVVEMIKKKTICLVDELFLECNNGWWQKNGKTYGSKRAYWECIALYGRVRDLGVAVHQWFL >ONI32895 pep chromosome:Prunus_persica_NCBIv2:G1:34935322:34937661:-1 gene:PRUPE_1G392400 transcript:ONI32895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGFFCLALFLIFGLDLDTGYAASASTSEGVEVTYGTVLKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPNVDDANSYWIVRPELETAAKQGDSIPSGTIIRLQHMKTRKWLHSHLHASPITGNQEVSCFGGESESDTGDHWRVMIEGSGKTWKQDQRVRIQHVDTGVYLHSHDKKYTRIAGGQQEVCAVREKRADNVWLAAEGVYLPVGETK >ONI27365 pep chromosome:Prunus_persica_NCBIv2:G1:6090756:6091100:1 gene:PRUPE_1G082100 transcript:ONI27365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQAKLTTNIRYDLALKHVNGDPRPFTRMTNKLLFCLAAEASEAYKYAVKTVSVYESHIFMRWLDRKQKLHAKVQCTKDLSTNDGLICLDNLIRELPTYCDRKTGAMIYCESY >ONI30740 pep chromosome:Prunus_persica_NCBIv2:G1:27797600:27800578:1 gene:PRUPE_1G270800 transcript:ONI30740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHGSLLQRVLVFSFCVVGIWTAYIYQGVLQETLSTKRFGPDGNRFEHLAFLNLAQNVVCLIWSYIMIKLWNSRNAGGAPLWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYKQRYSFPEYVCTLLVAGGVSIFALLRTSSKTISKLARPNAPLGYGLCFLNLAFDGFTNATQDSIKARYPKTSAWEIMLGMNLWGTIYNMIYMFGWPRGSGFEAVQFCKRHPEAAWDILLFCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSLLSGNPLSTKQWGSVVMVFSGLSYNTYLKWRKLQRVPKKRKPM >ONI32708 pep chromosome:Prunus_persica_NCBIv2:G1:34339893:34340903:1 gene:PRUPE_1G381100 transcript:ONI32708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHYFKLKFPRVIPLIQFCRPKHHATLPAIYRLSPVNSKALDIGYPNLLPAPPPSTPEHPSIKRRESTKPTTKSSRIGCGSCRSRSCTRYISDCNVEIKSSADYERNNNYMSPISETQKYYKKKRKENKKRAKAKAELPFMTTSSGNYGNWFSGEFEGDEENNEESETPVYSSRSFSNEFSLVLESIAEKMSDKQNSLQKKKNKKTNNVDYNNSKTKKVRRPNSDSDLKKLTSGEIGRIKTVLRPMRACRGKAGKVRESMAVVKKSEDPYEDFKRSMMEMIMEKQIFEAKELEELLHCFLTLNSRHYQGVIVEAFSEIWELLFSDPSDQLGKLKN >ONI31353 pep chromosome:Prunus_persica_NCBIv2:G1:30024955:30028593:-1 gene:PRUPE_1G308100 transcript:ONI31353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIGSFRHSFAERSKERLLSRKGYSDFGLNSSETGDDHVKCGCFRAVSDGFNNFCKSFQDTFIKLYQMGHSDPRKAVFAAKMGTSLSIVSLLIFFKEPLKDVSQYSIWAILTVVVVFEFSVGATLNKGFNRALGTFSAGGLALGIAEVSVWAGDLQEVVIVISVFIAGFCASYAKLYPPMKSYEYGFRVFLLTYCIVLVSGTSSFVQTAIYRLLLIGVGAGICLGVNILIYPIWAGEDLHKLVVKNFRGVAVSLEGCVNGYLQCVEYERVPSKILTYQASDDPLYSGYRSAVQSSSQEESLLDFAIWEPPHGPYKSFNYPWRHYVKVGGSLRHCAFMVMAMHGCILSEIQAPPEKRQVFGMELQRVGNEGAKVLRELGSKVEKMEKLSPKDILFEVHEAAEALQMKIDEKSYLLVNSERWAPEIRPKEYEEPQHFVDRENENKQVVIDSLSEFWDPQNPSGGADPSMRQWISSESLLKNPVSWPRLSFNAHVVQQEPEESKVYESASSLSLATFASLLIEFVARLQNLVDEFKELSEKAKFKDPVDPFEVKDEVVGFWTRLLRWLRLKN >ONI27961 pep chromosome:Prunus_persica_NCBIv2:G1:9028279:9028962:1 gene:PRUPE_1G113600 transcript:ONI27961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAGIFRWAEIFDFSYFTSGWSLNLNLQSFRWPRFSILDDVLWTLITVVESLVLAAMLCFFFVFCGCTL >ONI33153 pep chromosome:Prunus_persica_NCBIv2:G1:35769835:35771292:-1 gene:PRUPE_1G408400 transcript:ONI33153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNGNSKKRRRKGNNNNNGNDQDDGDKKKKDLKGIIASLSLLEEQEKEDEQEHDRASNDDASFIEEKHKTRTKAMLDYYSDFQDCYSEVEESETMKRKRSRSAACAAAAAVAVADDGSDKAKPGTGSAAGHHRRLWVKDRSKAWWDECNQPDFPESEFKKAFRMGRATFDLICQELKSAIEKEDTTLRNAIPVRQRVAVCLWRLATGDPLRLVSKKFGLGISTCHKLVLEVCSAIRTVLMPKYLQWPEDSVVRKIKDEFEAISGIPNVVGSMYTTHIPIIAPKISVAAYFNKRHTERNQKTSYSITVQGVVDPRGVFTDVCIGWPGSMPDDQVLEKSALHQRANNGLLKGVWIVGSSGYPLMDWVLVPYTQQHLTWTQHAFNEKIGEIQNAAKDAFARLKGRWYCLQKRTEVKLQDLPVVLGACCVLHNICELRNEEIEPELRFELVDDEMVPEVALRSQSSMKARDAIAHNLLHHGLAGTSFL >ONI26799 pep chromosome:Prunus_persica_NCBIv2:G1:3309009:3313857:1 gene:PRUPE_1G046700 transcript:ONI26799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSVVLESVRDFAIQEAKFLSGVSRQVEAAQTELEFMQGFLKDADARQGQDTRVQICVAKIRDAAYDLEDIIETYGLKVDSKKKKRGLKNVLKRFACIFKEGVDVHRIGAEIENITTKISALRSNLQSYNIKEIRDRDSGGGESSLQLHERLRRSYSHVVERDVVGLESNVEELVMHLVKDENRHQVVSIWGMGGLGKTTLARQLYHHKKVRQHFHSFAWVCVSQRFQVRNVWEGILIELISATKEQKQEIKDMTDDEIAKKLFLVLQEMRCLVILDDIWRIETWNLLKAAFPNVETESTILLTTRNQAVALPLNRNAFLHELQALNEKKSWELFEKIAISGRADIDLGMYTKKKDLGMKMLQHCKGLPLAIIVLAGVLVRKNSIREWVRVYENVREYINRGIGHEEEYEGVSQVLALSYDDLPYYLKPCFLYLSHYPEDSDFFVSELTKLWVAEGLIFSRQQRHSLGETMEDIARDCLSELVERCLVQVGTSGSTGTIKDCRIHDLVRDMCLLRAKEESFLHIKYSLQENTSSMAAEATQLGKIRRLAIYLDKNTDMLVSSRDETNGHIRSLFFFGLREWIPKSEKGLLSPLKDFKVLRVLKVEGLRAIRRVELPSEIGNMVHLRFLSVRRSKIKTFPPSLGNLVCLQTLDFRVSSYIDKVIPNVIKKMKQLRHLYLPWNYRAKGKLELSTLGHLQTLHNLSSEYCDLKDVGRLTNLRKLKIRVLGSLQNLEEILNSTGSTLNRIRSLIVKNNTNSGEEQAIQIVSSCRGIYKLTLDGPIAELPKELHDYPNLTKLVLWSCGLKEDQMGILEKLPNLTNLKLFEKPFEENTKILVFSKGGFPSLQFLDVCGLNRITEWRVDEGAMPRLCRLEIEYCPELTTLPDGLRYLTNLRELTIRGMSRELHRRIEEDGEDFYKIQHVPSLVIGEPFPQILTTTDAMMAR >ONI30732 pep chromosome:Prunus_persica_NCBIv2:G1:27749629:27750438:-1 gene:PRUPE_1G270100 transcript:ONI30732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFPVNAIHHERAHFSFTFEDITAELNNPNCVHNRNGSSVQPRICGKKGKKMPIRHGQVKEFPRGAWDSFYHAGATSDSAVETVLKRVRVGADDKEASCCEEEGRERKRRKVCVSESDSCTVCMEVFNGGSEVACMPCTHVFHDKCIRTWLRQSHYCPVCRFEVPTAD >ONI32280 pep chromosome:Prunus_persica_NCBIv2:G1:33139690:33143805:1 gene:PRUPE_1G358100 transcript:ONI32280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLLPEFMDTCENKDIRVEEYLDFIVKKRSVASKEALGIRIHSMGMHISAIWEARNLEISTLKKSEKAFQPNSDKKDRKFPLLSAEKKELDKRFSTISQRVESFSPIHKDFCGKHIRFDPKTSSSEDEGRDDYLSEENDENNDHVTGSQVNFSSQSVESSDRVLDRMLQFNNTEAQKRQKIKSMFSLYPLIGLLNVVASYCGLRNFPMFGGQNNLCRQQCIVSELKLMVSFEPMDFSRYHKCNGKLTNWSRQRVKHFFCWMLEMPHIIIG >ONI27043 pep chromosome:Prunus_persica_NCBIv2:G1:4596091:4599995:1 gene:PRUPE_1G064600 transcript:ONI27043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFSRKKRPTISLSLFTTLSESISSDKYPNSIKSPRNFQEGVVGLGIVAAMTDLSNPNEVTSSEKSPRSSPIPIVSAAKPAANFRGGFHMERPGVVVDELSESYTCVISHFGNNLTRKRVYFDDKLSGVVNDPSSGVVASGVFSASPVSVGEVGREFWASDFLSSCYLCNKQLHGLDIFMYRGEKAFCSTECRDKHIRSDDHKEKCRSEAIKSMDYSVSPCSSPLVFLAGVAVA >ONI31989 pep chromosome:Prunus_persica_NCBIv2:G1:32208272:32213413:1 gene:PRUPE_1G343400 transcript:ONI31989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPKGMENNAVEVRICKAAGKLNDAPANGLFPESPRKCDKMNHSNSIPNGICGKPEQKDYDDQEEQGDHFSEIEIANGRNGYLEDHDDAGSSSSMTENSDEFQTVSPEKSEHVKLAVDKPNTENNGGAGILRPHAHLPKPEAPPGLNSSPPASPNGDASANQKFGVAMPAIGKLIRERSSNFSAAFVRRLSSLKDNNNDTEEDPKSNKRDVTEFNLSGLKVTVKLKTESDDHQQQGQTLKGRISFFSRSNCRDCTAVRSFLREKGLKFVEINIDVYPNREKELVERTGTSSVPQIFFNEKLFGGLVALNSLRNSGGFDQRLKEMLSSKCPDDAPATPVYGFDDPEEEWTDQMIGIVKVLRLRLPIQDRLMKMKIVKNCFAGSEMVEVLIQHLDCGRRKAVEIGRQLARKHFIHHVFGENDFEDGNHFYRFLEHEPFIPKCFNFRGSISDTEPKSAAKVGQRLTKIMSAILESYASDDRRHLDYIGISNSEEFRRYINLVQELHRVNLFELSPDARLSFFLNLYNAIVIHAVIRVGRPQGVIERRSFFSEFQYLVGGHPYSLNTIENGILRNNRRPPYSLVKPFGAGDNRTELACAKVNPLIHFGLCNGTRSGPTVRFFSPQGVEAELRFAAREFFKSGGMEVNLEKRTVYLTQIIKWFNGDFGQEKEILKWILNYLDATRAGLLTHLLGDGGPINIVYQNYDWSMNS >ONI34936 pep chromosome:Prunus_persica_NCBIv2:G1:41840315:41843685:1 gene:PRUPE_1G506900 transcript:ONI34936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNVVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSHVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIIITKTQMLNLKCAACGFVSDVDMRDKLTTFILKNPPEQKKTAKEKKALRRADKEQLKEGEAADEEQKKLKKEAAKKKATTGSKASSKKKPSGSDEDRSPTHSQGDENDQAAEDDDDDVQWQTDTSLEAARKRIQEQLSAVTADMVMLSTTAEENPETRTHQNGADKNTHGRLVNEIKQCLQKGISAAQFKSFLVTLSGTPQEIMDALLEALFEGLGKGFSKEVSKKKNYLAAATQVEGSQMVLLQAIESFIGKANPDAAKEVALVLKSLYDVDVLEEEFIMKWYQQGLGGMNKSSLIWKNAKPFIEWLQSAESETEEE >ONI27327 pep chromosome:Prunus_persica_NCBIv2:G1:5865285:5871947:1 gene:PRUPE_1G080200 transcript:ONI27327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESNYEIAPTAEFIQSNKFTRVALQFPDELLKDAVRVVSALRAKLRTLRESNAEQNDDAPEVGLFVMADTAYGSCCVDEVGASHVDADAVVHYGHTCLSPTTTLPAFFVFGKTSISVPDCVKNLSHYALPNGRRVLVLFGLDYAHSIQNIREKFAEVCGAESKPEVQYANVICSVMNPSKGCKVSNEVQGTSGSLTDNNSFGTVSADTYSIGGLVWKLPEGHKMDDYLLFWIGSNNSAFANIVLTYNGCEIVRYDASECSMVTDLSQQRKILKRRYYLMEKAKDANIVGILVGTLGVAGYLNMIHQMKVLITGAGKKVYTLVMGRPNPAKLANFPECDIFIYVSCAQTALLDSKEYLAPVITPFEAMLAFNRGCQWTGEYVMEFRDLINSSPVESRNFSEEARFSFLQGGYVEDFDPQGNGTEEDKEGALALANATEKVLQLRDNPNSIVKGTAKSGAEFLATRSYQGLDIHYDGSLPEPYFIGRSGRAAGYEDEKNGHEKS >ONI26872 pep chromosome:Prunus_persica_NCBIv2:G1:3633190:3634767:-1 gene:PRUPE_1G052100 transcript:ONI26872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLCGFGYWMQGFRCFPWLALNFHMAHNLNMHPSWWLNPFMESSLMLFTFLVRIDYLIFPLEFFYRSYLGGQWQLSLLQLKRFLPVKMVNEENSLVEKISVFY >ONI28304 pep chromosome:Prunus_persica_NCBIv2:G1:10748094:10749234:-1 gene:PRUPE_1G137000 transcript:ONI28304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKSLTFLNLKQNQSSKGQVACSRKYFPKPVAFPNQRSLIIPNPPHKPLPLKNKIQIGKPPKMGSSSSRTPPLFAFIFACAILAAHLVHASSAAVDFSGGHQLDQLSWVSTRSAGGLIAEDEDLELPMESEISRRILATNRYISYGALRRNTVPCSRRGASYYNCRPGAQANPYSRGCSRITRCRH >ONI26408 pep chromosome:Prunus_persica_NCBIv2:G1:1563532:1565534:1 gene:PRUPE_1G022800 transcript:ONI26408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSSTGTLVIEPRLPSKPAVLFLIISHTACIKISYLGDNETSYLYSDNNIGPDKYSTGSHLNAHLLKLRIEYEAIWLEVPASAFKRTNI >ONI26409 pep chromosome:Prunus_persica_NCBIv2:G1:1563532:1565534:1 gene:PRUPE_1G022800 transcript:ONI26409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSSTGTLVIEPRLPSKPAVLFLIISHTACIKISYLGDNETSYLYSDNNIGPDKYSTGSHLNAHLLKLRIEYEAIWLEVPASAFKRTNI >ONI33798 pep chromosome:Prunus_persica_NCBIv2:G1:37763723:37765732:1 gene:PRUPE_1G446400 transcript:ONI33798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDQIPKSKWEGKTSADLEGSSAGQVWPLLADFCSIHKWFPDIATCYQVDGVPGQPGLIRYCARAPIDNDESTIKWAKEKLLSLDPIQRCLSYEIIESNLGFKSYVAVMQVVPINGGDGSIGCKIEWSFVCDPIEGWGLNDFRSYLDSSLQLMAEKMMEHTLLSTTG >ONI34926 pep chromosome:Prunus_persica_NCBIv2:G1:41823839:41826732:-1 gene:PRUPE_1G506400 transcript:ONI34926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENADVFLGLQDFLERMRQPTAADFVKSIKSFIVSFSNNAPDPERDSAAVQDFFAQMEMDFRAHPLWAGCSEEELDSAGEGLEKYVMTKLFPRVFASVPDDVKLDDQLYEKMALVQQFIRPENLDIKPTFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASVASNENPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRRQSRLVGETAYFFTNMLSVESFISNIDAKSISMDETEFEKNMETARALLSGLSTDLDSQYNQSGQYAGYVHRSESMEPRHQPLNANKDSAQPKSSERKSRAKNIPYGAKDNLSFSKIPSLSDLEDKGATILVNDDKASQVFREYPYLFAHVGDLTLNDVEDLLNNYKQLVFKYVCLSKGLGVAAPSLPVSSSQTEFHQHAETAKKQDHSRAIEPNDEVSNDSNRNDGSLEPNDEASNDTNRKDDGSIKEPLIDLDNLQSLLSQDQAVAPQGGKHEESSQ >ONI34927 pep chromosome:Prunus_persica_NCBIv2:G1:41822523:41826923:-1 gene:PRUPE_1G506400 transcript:ONI34927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLFPRVFASVPDDVKLDDQLYEKMALVQQFIRPENLDIKPTFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASVASNENPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRRQSRLVGETAYFFTNMLSVESFISNIDAKSISMDETEFEKNMETARALLSGLSTDLDSQYNQSGQYAGYVHRSESMEPRHQPLNANKDSAQPKSSERKSRAKNIPYGAKDNLSFSKIPSLSDLEDKGATILVNDDKASQVFREYPYLFAHVGDLTLNDVEDLLNNYKQLVFKYVCLSKGLGVAAPSLPVSSSQTEFHQHAETAKKQDHSRAIEPNDEVSNDSNRNDGSLEPNDEASNDTNRKDDGSIKEPLIDLDNLQSLLSQDQAVAPQGGKHEESSQ >ONI27286 pep chromosome:Prunus_persica_NCBIv2:G1:5595229:5596830:-1 gene:PRUPE_1G077500 transcript:ONI27286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERCRLLPALNSGSEGGVLNLLLSSLVSKKPLSETILKHNPVPNPNLSILNLDQLILTRIESLLLGDTMTEGEEEEKIVVKLTISVSKNIVCYAEAGEDFVNLLFSFLTVPLGFIVKHMRDASFKGCIDQLCKCVEDLDEQHLSPKISPGFCHENCLLGIEDGLVASYIGRRMEHDKSTQGYLKASTAFMVTDKLIINELKVPFTDIKEETVEVGKKEALRLLVATFLSDSALTDVFIRRLNQEKSIKRACLSIE >ONI29695 pep chromosome:Prunus_persica_NCBIv2:G1:22306781:22312127:1 gene:PRUPE_1G209200 transcript:ONI29695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKAPAPAVNHHLRPKRLEPEWTVALAPYNCRCLHLRQMRKWGISCSFSQSPTDNPAPAYDLMDDFVVLGPANNDPPNRNTTHRDNDRQPARTRKLAASFGRLKVHRVKSGVEKNSRMKQEVDESDDELNVQDAPPFINSQSTLEVRGNKKNANAPSHGGRASSASRSEEFRNMRRKKGTEDGIDRSGVNVKDAFEPLTDNQTKQHKPHRSDTMVSRQKASVSRGSSANAKGWGNGGGSMYDLSELPDLKQRRKFSTDSDFFSRKSFRDLGCSDYMIECLRRQLFQRPSHIQAMAFAPVVEGKTSIIADQSGSGKTLAYLAPVIQRLREEELQGLSKPLSQSPRVVILVPTAELASQVLGICRSMSKFGVPVRSMVVTGGHRQKTQLENLQQDVDILIATPGRFMYLIKEGFLQLSNLRCAVLDEVDILFNDEDFEAALESLINSSPVTTQYLFVTATLPLGIYNKLVESFPDCKVVMAPGMHRVSPGLEEVLVDCSGDDGSERSPEMAFSNKKSALLQLVEGSPVAKSIIFCNKIETCRKVENVLTRFDRSGTRVQVLPFHSALAQESRLANMKQFTNSHSKEVSQFLVCTDRASRGIDFSGVDHVILFDFPRDPSEYVRRVGRTARGAGGIGKAFIFVVGKQVSLARKITERNRKGHPVHDVPAAYELLY >ONI35660 pep chromosome:Prunus_persica_NCBIv2:G1:44853876:44855313:-1 gene:PRUPE_1G548400 transcript:ONI35660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHASILYYSQRTSQGGLLIAEATLVSDADQGWVNNPGLWTREQVEAWKPIVDAVHAKGGVFFCQIWHPGRVSNSGFQPKGQAPISSTEKPLTSQILTNGVAVAEYTPPRRLRTDEIPQIVNDFRLAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNDRTDEYGGSLENCCRFALEIVEAVANEIGADKVGIRLSPFADYMESGDSNPKALGLYMAKSLNKYGILYCHVVEPRMKTVGDKSETPYSLLPMREEAFKGTFIAAGGFDREDGNNAVAEGRADLIAYGRLFLANPDLPKRFELNAPLNKYNRETFYTSDPVIGYTDYPFLETTA >ONI26734 pep chromosome:Prunus_persica_NCBIv2:G1:3015635:3020203:1 gene:PRUPE_1G042300 transcript:ONI26734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSARRLKDRGDSGGTIGAKAGSTLKQSKPLTPIPISDKRSSSAGKENPLPGSTFRSSAQKPTIRPVPRVNKASVTAATSGGGGDPRARWSMSSVPRGRSSSPSEFIRVFSHSSKERRASVDRTDRGSGSTLSSVGENDRAVSSAGKGLSRVRGSASGKQRTGFRDLDVKVSEVGANGIRVLRDIKESGKIGLSSDKKNGTCGEKELKGVASEKNSDGVRLRVLGSGDGEANLSSVLKNPDGVDGNRTLQSCNSNRSSLSVDTKDQNFVRVDDKAVKSGNGVALGLKESREKSVSSAKVLEGLKGKALTEEGSNGCRSGIKYPSKLHEKLAFLEGKVKRIASDIKKTKEILDMNNPDTSKVILSDIQEKISGIEKAMGHVPNDLGGKMGLLKSDEHIEQDSKVVEKGHIEQEINAKSLVKGLNSEDLEARLFPHHKLLQNRTALKESSESSQSHGSQVVESSCESKVDEKSLSLIDDNPIAVEFLASLDQTKVTTRDGQEVLDCCEVQEVEGITTAGVEKSSKLVTGKQNAELNLTTDETLDEFDDQENTQKMIIDEETEDTCIYQLNEIGHKTSTGGWFVSEGESVLLAHDDSSCTFYDIVNCEEKVVYKPPVGVSPNMWRDCWIIRAPSADGCSGRYVVAASAGNTMDSGFCSWDFYAKDVRAFHIEDGLAPSRTVLGPLPNNISYGRNALSNLLDPETQQWWYRPCGPLIVSTASCQRVVRIYDIRDGEQVMKWDVAKPVITMDNSSPLQWRNRGKVVVAEAESISLWDVSSLNPQALLSVSSSGRRISALHVNNTDAELGGGVRHRVSSLEAEGNDGVFCTQDSINILDFRHPSGVGLKIPKLGVNVQSVSSRGDSIFLGCSSARSGWKKQSSSQVQQFSVRKQRLISTYSLPESNAHSHCTAITQVWGNSNVVMGVCGLGLFVFDALKDDGVPLLTNDDGTQNAREVIGPDDLYAPSFDYLDSRALLISRDRPALWRHLS >ONI26735 pep chromosome:Prunus_persica_NCBIv2:G1:3015635:3020078:1 gene:PRUPE_1G042300 transcript:ONI26735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSARRLKDRGDSGGTIGAKAGSTLKQSKPLTPIPISDKRSSSAGKENPLPGSTFRSSAQKPTIRPVPRVNKASVTAATSGGGGDPRARWSMSSVPRGRSSSPSEFIRVFSHSSKERRASVDRTDRGSGSTLSSVGENDRAVSSAGKGLSRVRGSASGKQRTGFRDLDVKVSEVGANGIRVLRDIKESGKIGLSSDKKNGTCGEKELKGVASEKNSDGVRLRVLGSGDGEANLSSVLKNPDGVDGNRTLQSCNSNRSSLSVDTKDQNFVRVDDKAVKSGNGVALGLKESREKSVSSAKVLEGLKGKALTEEGSNGCRSGIKYPSKLHEKLAFLEGKVKRIASDIKKTKEILDMNNPDTSKVILSDIQEKISGIEKAMGHVPNDLGGKMGLLKSDEHIEQDSKVVEKGHIEQEINAKSLVKGLNSEDLEARLFPHHKLLQNRTALKESSESSQSHGSQVVESSCESKVDEKSLSLIDDNPIAVEFLASLDQTKVTTRDGQEVLDCCEVQEVEGITTAGVEKSSKLVTGKQNAELNLTTDETLDEFDDQENTQKMIIDEETEDTCIYQLNEIGHKTSTGGWFVSEGESVLLAHDDSSCTFYDIVNCEEKVVYKPPVGVSPNMWRDCWIIRAPSADGCSGRYVVAASAGNTMDSGFCSWDFYAKDVRAFHIEDGLAPSRTVLGPLPNNISYGRNALSNLLDPETQQWWYRPCGPLIVSTASCQRVVRIYDIRDGEQVMKWDVAKPVITMDNSSPLQWRNRGKVVVAEAESISLWDVSSLNPQALLSVSSSGRRISALHVNNTDAELGGGVRHRVSSLEAEGNDGVFCTQDSINILDFRHPSGVGLKIPKLGVNVQSVSSRGDSIFLGCSSARSGWKKQSSSQVQQFSVRKQRLISTYSLPESNAHSHCTAITQVWGNSNVVMGVCGLGLFVFDALKDDGVPLLTNDDGTQNAREVIGPDDLYAPSFDYLDSRALLISRDRPALWRHLS >ONI26736 pep chromosome:Prunus_persica_NCBIv2:G1:3015635:3020466:1 gene:PRUPE_1G042300 transcript:ONI26736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSARRLKDRGDSGGTIGAKAGSTLKQSKPLTPIPISDKRSSSAGKENPLPGSTFRSSAQKPTIRPVPRVNKASVTAATSGGGGDPRARWSMSSVPRGRSSSPSEFIRVFSHSSKERRASVDRTDRGSGSTLSSVGENDRAVSSAGKGLSRVRGSASGKQRTGFRDLDVKVSEVGANGIRVLRDIKESGKIGLSSDKKNGTCGEKELKGVASEKNSDGVRLRVLGSGDGEANLSSVLKNPDGVDGNRTLQSCNSNRSSLSVDTKDQNFVRVDDKAVKSGNGVALGLKESREKSVSSAKVLEGLKGKALTEEGSNGCRSGIKYPSKLHEKLAFLEGKVKRIASDIKKTKEILDMNNPDTSKVILSDIQEKISGIEKAMGHVPNDLGGKMGLLKSDEHIEQDSKVVEKGHIEQEINAKSLVKGLNSEDLEARLFPHHKLLQNRTALKESSESSQSHGSQVVESSCESKVDEKSLSLIDDNPIAVEFLASLDQTKVTTRDGQEVLDCCEVQEVEGITTAGVEKSSKLVTGKQNAELNLTTDETLDEFDDQENTQKMIIDEETEDTCIYQLNEIGHKTSTGGWFVSEGESVLLAHDDSSCTFYDIVNCEEKVVYKPPVGVSPNMWRDCWIIRAPSADGCSGRYVVAASAGNTMDSGFCSWDFYAKDVRAFHIEDGLAPSRTVLGPLPNNISYGRNALSNLLDPETQQWWYRPCGPLIVSTASCQRVVRIYDIRDGEQVMKWDVAKPVITMDNSSPLQWRNRGKVVVAEAESISLWDVSSLNPQALLSVSSSGRRISALHVNNTDAELGGGVRHRVSSLEAEGNDGVFCTQDSINILDFRHPSGVGLKIPKLGVNVQSVSSRGDSIFLGCSSARSGWKKQSSSQVQQFSVRKQRLISTYSLPESNAHSHCTAITQVWGNSNVVMGVCGLGLFVFDALKDDGVPLLTNDDGTQNAREVIGPDDLYAPSFDYLDSRALLISRDRPALWRHLS >ONI28206 pep chromosome:Prunus_persica_NCBIv2:G1:10249847:10252188:-1 gene:PRUPE_1G131100 transcript:ONI28206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGMSSHAILILCLAALACQAAATAVTLVVPDIFRGTNLGVHDKVDPTEKIFNVLDHGAKPDGSGDSSIAFIQTWIAACRNHTGRSRVVIPKGTFKTGPVIFQGPCSCSKPIVVQVSGTIKGLNDISLYEEPFWFLFEHVEGLVLTGNGVFDGQGSSSWKNIDGCSSCSPLPASIKFNGVKNGVIRGITSLNSKGVHVFLTNSQNIRVRGVNISAPDTSPNTDGIHISNSNNIKIARVHIGTGDDCIGMIQGSTNVAINNVVCGPGHGISIGSLGKYQNENDVTGIIVKKTTFLNTDNGIRIKSWPGSTPSRASGMIFQDLIMQNVKKPIIIDQEYCAGGCNKNQPSRVQISNVHYINIKGTTASKIAVDFICSSQFPCKNVELRDINLNYIGPSNGGPITSICKNAEVGFGGIQNPPACH >ONI30079 pep chromosome:Prunus_persica_NCBIv2:G1:24256176:24258117:1 gene:PRUPE_1G229700 transcript:ONI30079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSFCMVLLISLFFVFLFSIQALRSKVNPKRHLLPPGPKPLPFIGNLLELGNKPHLDFTKLSQIYGPIITLQLGQVTTVVVSSSNTAKQVLQTHDQFLSNRTVPDAIQASDLRGDSLPWIPTSPKWRSLRKICNSHLFAPKILDANQPSRRMKVQELISDVNESLVKGEAVDIGRAAFKTTLNLLSRTIFSVDLADSSSEMAREFKETVWGSMEEAGKPNWADFFPVLKKIDPQGIRRRMIKHVRKIEQVFDRIISQRLESRKAHDYVTTNDMLDTLLNISEVNSEDMDMTKLQHLLMILFTAGTDTTSATLEWAMAELLRNPEKLSKAQQELEKIIGKGKPIQEGDIARLPYFQATIKETFRLHPATPLLLPRKADADVEICGYIVPKGAQVFVNAWAIGRDPSIWDNPSSFVPERFLGLDDQIDVIGKNFELIPFGAGRRICPGLSWTMRILPLMLGSLINSFEWKLEDGVLPETLNMEEKFGLTLQMAHPLRAVPKSFCEI >ONI34719 pep chromosome:Prunus_persica_NCBIv2:G1:41087737:41092513:1 gene:PRUPE_1G494500 transcript:ONI34719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRISEKTNANPMSQQQEEEKEEEEVGKLAIRLANVVILPMVLKSAIELNIIDIISAAGDGKFLSPSEIAASLPTKNPDASVLLDRMLRLLTSHSILKCSVRTGQDGKVERLYGAGALCKFLVKDQHDGVGSVGPLLLLHHDKVFMESWCHFNDAVLEGGIPFNRAYGMTAFEYPETDERFNRVFNQAMSNHTTLILKKIFDVYKGFEGLNVLVDVGGGIGVTLNLITTKYPRIKGINFDLPHVLADAPSYPGVEHVGGDMFVSVPQGDAIFMKWILHDWSDEHCLTLLKNCCKALPSSGKVIIVESILPDIPDSSVTSNIVCEQDLLMLTVNPGGKERTKHEYEDLAVKSGFSGCEVICNAYNSWIMEFHKNANP >ONI34355 pep chromosome:Prunus_persica_NCBIv2:G1:39981086:39982945:1 gene:PRUPE_1G477500 transcript:ONI34355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRTKISLKDYIKKIRDQEARLRSCYAEPIKFSIDEFVKIILVDAAFTTEFLLRFYLKESGHEGDRLLNNPWMFRYVQPDMWLLENQLPFFVLEDLYHTYIITDSFRNYYSTHDHERLSIINISKNFFTAGILNLEGKGDKWNRISSSSSSINVQHFVDLIRIFYLPLESELRDAGELKTLNIPSIKEMHQAGVKIKVGSSKNLVDIQFASGTLIIPKIMITGDKLRTLGNVLAFEQSHCMEDNYLNDYVSIMGRFANTPKGVELLVEFGIFQTAGTTSVVSSMITKLASEALFFVDRFCYATLCEERNNFCRSSWNKWKANLRQNYFNTPWASISVIAAVVLLTHTLRQTVCSVISAT >ONI31137 pep chromosome:Prunus_persica_NCBIv2:G1:29217247:29219299:-1 gene:PRUPE_1G295200 transcript:ONI31137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRANVRRHVLLENKVLKEREKTSSPKHLKRIYPIGLHKSTSSLSLSLSSSLSLSLSENSYDSSLTDSSTLDQKISAALRFIAPTQRREYNSPVAKVVQQQISQAQDTNDEELKRCNWITKNSDKVYVAFHDECWGVPAYDDNQLFELLALSGMLMDHNWTEIVKRRELFREAFFGFDPNKVAKMGEKEIAEIASNKAIMLAECKVRCIIDNAKCILKIVRECGSFSSYMWGSVNHKPVINRFRYPRNVPLRSPKAEAMSKDLIKRGFRYVGPVIVYSFMQAAGLTIDHLVDCYRYSECVSLAERPWRHI >ONI35153 pep chromosome:Prunus_persica_NCBIv2:G1:42597744:42604562:-1 gene:PRUPE_1G518800 transcript:ONI35153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLHRGSSGAAGERISGNSNDFWDSQMKDKTDKEDLERRASSDNNSIAFRFPIRVLFPDNSPSKHGNTGNGFASDPLIASTPRSRHKLALLLLKLSLVLIVILALTGSFWWTISISTTSRGRVYHGYRRLQQQLVSDLWHIGELSLGSSRLRDLEFCPQEFENHVPCFNVSENLALGLSDGNEYDRHCEYGMRQNCLVLPPVKYKIPLRWPTGRDVIWVANVKITAQEVLSSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSSQILTMCIANYEASGSQVQLTLERGLPAMIGSFTSKQLPYPSLSFDMLHCARCGIDWDQRDGILLIEVDRVLKPGGYFVWTSPITNAETFRRNKVNQKRWNLVHDFAENLCWEMLSQQDETVVWKKTSKRNCYSSRKPGSGPSICSKGHDVESPYYRPLQACIGGTQSRRWIPIEERTTWPSRANPNKSELAIYGLHPEELSEDAETWKMAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAHFGGFNSALLEAGKSVWVMNVVPSNGPNYLPLILDRGFVGVLHDWCEPFPTYPRTYDLVHAAGLLSLEVDHQRRCTILDLFTEIDRLLRPEGWVIIHDKAALVESARALTTGLKWDARVVEIESNSDEKLLICQKPFFKKQAN >ONI28954 pep chromosome:Prunus_persica_NCBIv2:G1:14270856:14274131:1 gene:PRUPE_1G171400 transcript:ONI28954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIKMNRFCGPLRVLLALQKIRRLWALTRTGLAQNTASLRLRWQRQVLDVKKLNFLSPVLELLVALVKLSLAILQLVFIIPVLPLMMSIRFCWPRRVLLTRRWRWCT >ONI34728 pep chromosome:Prunus_persica_NCBIv2:G1:41122009:41124334:1 gene:PRUPE_1G495500 transcript:ONI34728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTRAQKEDKAGPFFLATLVLWFVSVLFEILFHRRSQLLSIVAGCFFYQLANWVVRAFVSRDPLFVNTSVSLLHSTLTSSSVIFILANQWLKNGSIGMFEHSQLFGGTWPWAYPALCFSCGYFAYDQWDMLHYGLYSGWIPSILMHHLILLICFTLALYRNVTINYLILTLICELHSIFLHVRKVRRMAGVRDAKSKIVMAEWVLNLVTYIVARAGAHLLITAKLIWDAPKFGKGIELPLALFGMFGMNFLNAFLGIDLFRAFKRERNPQNTNSHHE >ONI31413 pep chromosome:Prunus_persica_NCBIv2:G1:30240518:30242818:-1 gene:PRUPE_1G311600 transcript:ONI31413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCAIQQGNALSACEDMRTYSRDSVVCPKPRRFGLLNASPHDPVRSFRWQFGHQAELCDPKAGSDILDNLLTKGSFGVEQFSCTEMASQHPFYSGSPPSRVANPLIQDARFGNEKLTPLSPIPAPSGLSSSPSSSTRKGGFVRANFGSKPAVRVEGFDCLDRDRRNCSIPTLA >ONI35851 pep chromosome:Prunus_persica_NCBIv2:G1:45472674:45474153:-1 gene:PRUPE_1G557000 transcript:ONI35851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETLKAKTNGQGEQEHPQQHRLPKRREKRRLDHIMLSDVGYLGQAGYCTRKSSSASCLAIMAIKHRRRTTNHSMCNKLQGSVPEQKLRGKGKVWEGKEGRDFPRRRGMESH >ONI30127 pep chromosome:Prunus_persica_NCBIv2:G1:24735219:24738477:1 gene:PRUPE_1G232600 transcript:ONI30127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPGLKTEEPPAQNTPLLDSNHNNNHRQGLEEGYKDTQLDQTLQWLETFLTLLGFSQSSFLSLAMSWTALVLIGVLLPVLAVELFNCSGCGKYQIKSFELVIVGSQACLATVSLLCLSHNLRKYGLRRFLFVDRYSGHMLRFRNDYIKQIKGSLRLLVWWALPCFLLKTVREVVRMIYVRYDSWGVSVAILLALVLSWAYVSTITLTASILFHLVCNLQVIHFDDYARLLERESDVLIFMEEHIRLRYHLSKISHRFRIFLVLQFLVVTASQFVTLFETTGYSGKITLINGGDFAVSTIVQVVGFSLSLHAATRISHRAQGIASVASRWHALVTCSSNETSQNRNSNSALNLEAANRLNSLHISYSESDLESVDYVAMPTNTQLASYMSSYHRRQAFVMYLQTNYGGITIFGWTVDRGLLNTIFFIELSLITFVLGKTLVFSST >ONI28026 pep chromosome:Prunus_persica_NCBIv2:G1:9282587:9286865:-1 gene:PRUPE_1G118000 transcript:ONI28026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLDSVMDIDQPKNQEDPFLKFIDYARFALSPEPDENLDPNENGAETSTGPSWNWIASRILKTCSAYSSGVTAAILLSDISQAWSEQHRDRPPKKMPECVKQSRKKYKRRKLPNTVTIDSIYEKNFLSLNSVLEAVIVEAFVLPGTSISMLSLGDYWSSNTIDIYLHQRYCDLTRNGLLKKGREIFLTGCYLRPATKGGSAYMRLLPTEYLTILLDEAEDGDLMLIGTKFCSDPFCSISLDAAYKDVCYSLYARIEIIGPLEVHGTLQSKQITLVDNDGVKLQFLLWGDQVVLANLLSVGSMLALDRPYIASSVDRGIETSNEVCLEFGSTTQLFLVPLIQHEEQVSVALTPNQYQGSRLQSTIDPSQAPQVSQVSLPCDSHGSIDFSSYPFQSFVTDLHDKMTGVSLYGVVTNITRERSTTEAIFSLRVEDTTGAIWAKLHFVKCWSLRRLSIGHTVYISGLTCSMTKRRGLEALWFENTAGASFFNLSSLPALLNSSCLHKLSSLSDLSSQTSCMQMVHVSKRFSHAVCGHFVTEQPNDVLECSFCNTSCGAEVIHTFYLKITLADESGEVSAWCTGHTATELLQISPDEFFELPEDDQAMYPTSLEKQRFTVAIVNCKQRGCGPNDALLLLETEGISWEITGALKSE >ONI31123 pep chromosome:Prunus_persica_NCBIv2:G1:29128666:29132840:1 gene:PRUPE_1G293900 transcript:ONI31123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNDPDLSEYLNKENAYSEAFMADTGNLQRTLFSEMTRRMPTKISTPPERWGPWLYYQYIPEGKEYPVLYRRLEVEKLNWVKTFLRYVHVGTCRISPDHLYLAYTLDTKGNERFMLQIKDLRSGCIIPNVRVDGVVSLAWAQDGRTLFYTLSDETQRPYRHTSPLVCCSKLGSDDIENINIFTESNPSFCVDIASTKDGKFITVYLIDAANPLDGLQKVWKRVSGVQFFLEHHHELFYVLTNAPLSESKKWSGEGYYLASCRLQDLLSSNWQNIFLPSKDFSIQDMDMFDGHLVLSLSKKGSSMFCSIDLPIDVDCKHLLEPEDLNAWFFPMPQNSCTVVSGSNHDFQKSVYRAVLSSPVMPDVVVDYDMSSRRFSIVQQEEVIHFCDRTCPPTNQLDTNQTFDTQYEKEEDVQISEWQRWKDYSDTYCCERREVISHDGVRVPLTILYSHTTWRKDQSPGLLQGYGAYGEVLYESWCAEHMSLLDRGWVVAFADVRGGGGDSSWHKSGSGSYKLNSVYDFVSCANYLIKEGYVHKDRLGAIGHSAGGLLVGATINMYPDLFSAAILKVPFLDICNTLMDPSLPLTILDYEEFGNPQIRSAFEHIFSYSPYDNISQGSCYPSMLVTASLHDSRVGFWEAAKWVAKVRDGTCPGCSSSVILKTNMAGGHFGEGGRYRQCEVAAYDYAFLIKAMGMLKTEKQDMQCTVVTKETEGPGEE >ONI28576 pep chromosome:Prunus_persica_NCBIv2:G1:11683672:11687193:1 gene:PRUPE_1G149100 transcript:ONI28576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIKVFNGTLKPSSSPPLPPPVTCSTSCTETVDGCHEFKISGYSLAKGMGIGKFVASDSFVVGGYTWAIYFYPDGKSVEDNAAFVSLFIALASEGTDVRALFELTLLDQSEKQRHKVHSHFLRRLDSGPYTLKYRGSMWGYKRFFKRTQLETSDFLKDDCLIIKCRVGVVKTNTEGPKIYSIAVPPSNISQHFGKLLESGKLTDVSFEVDREVFSAHKVVLAARSPVFRAQLFGPLKDKSTHCIKVEDIEVPVFKALLHFIYWDALPDMQELVGSLNSNWASTMMAQHLLAAADRYGLERLRLLCEAKLCENVAINNVATTLALAEQHHCFQLKAVCLKFIAVPENLRAVIETDGYKYLRESCPSVITELLHCVASIGEHSFVACGYGKGTLFDGSDTNGRRVKPRLY >ONI26953 pep chromosome:Prunus_persica_NCBIv2:G1:4109801:4110169:-1 gene:PRUPE_1G057800 transcript:ONI26953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLVVHMTTLVESSNPPKKITVRITNTLEDNLDLTVHCKSKDDDLGEHLLHPGETYEFSFGRNFFQSSTLFFCGFRWPGDPKLHYFDIYSQKRDECSKCFWTVKKVGPCLYGQCFLWHDS >ONI31801 pep chromosome:Prunus_persica_NCBIv2:G1:31424491:31426731:-1 gene:PRUPE_1G331700 transcript:ONI31801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWVVLGYAAAAEAIMVLLLTLPGLDGLRKGLVAVTRNLLKPFLSVVPFCLFLLMDIYWKYETRPSCEGDSCTPSEHLRHQKSIMKSQRNALLIASALIFYWLLYTVTHLVIRIEHLNQRVERLKNRD >ONI26722 pep chromosome:Prunus_persica_NCBIv2:G1:2962826:2967445:1 gene:PRUPE_1G041400 transcript:ONI26722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSRILLPKPGHHLHKRALPRATRWCSSSLSSGPEKPSSSENSEAGKEQRAAAEESNNKYTEPAKGQNEVGESWNSLKGIFSNLKERMLGISRFQKQITVETIDYVADRTISEVIKTKNSPHDTEIDQPNYSIQMPKVIRDSHSCKENISTRLKSDIIRERYSSEVVDATVFNQVDVHMARLVVSNARKERAGPENVENNLTNASLHRGGKNSLSNLGDMFASKHREKNVGPKNVEHGVSGNLVLSSETFMDSQNSEKCVRATAASNVTWKRSSKDMGQSEVEPESDRQLGEVMLDNTGVLESETEYQSCITHQLPGEESRIFQKDVTNGSTVVGNGNHQLNKRTWISDQTPSADTDVDKMPVSSNRSQEVGLANMFLRTMNDQKAGKIPSKKNDTLASNGLLGILTERNETTGEEDMGKGFNINGLIGCIKELPRELLITKPQDSAIPSKTDHIIKRGGSVKKVTVPADSHKHDAKRSESSFRGRAMERETNTIDESEEQPCRGIASLNTDSVSKSDSGDLKVASQKKSKLSPKIHLLTSKEDLNKIPITFSQKEGSTESKVLVRFLHKNVKDDAVVNALNDCGEIVKIQLLSVSEGSNFRDAWVHFKTEDAKETAIAACSINVEGKQLSILRIDVPRTTVVRISNFGGTVSKKRFQTICNSHGQVKQRKDRGRDIVDVHFKLAEWPNMLTILNSLNGMEVDGNRWLARPAPVFPPEVLQVLWSRPDERIHVISVLRRLLQNTELISPEITFLANKYYKDIL >ONI26721 pep chromosome:Prunus_persica_NCBIv2:G1:2962826:2967445:1 gene:PRUPE_1G041400 transcript:ONI26721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSRILLPKPGHHLHKRALPRATRWCSSSLSSGPEKPSSSENSEAGKEQRAAAEESNNKYTEPAKGQNEVGESWNSLKGIFSNLKERMLGISRFQKQITVETIDYVADRTISEVIKTKNSPHDTEIDQPNYSIQMPKVIRDSHSCKENISTRLKSDIIRERYSSEVVDATVFNQVDVHMARLVVSNARKERAGPENVENNLTNASLHRGGKNSLSNLGDMFASKHREKNVGPKNVEHGVSGNLVLSSETFMDSQNSEKCVRATAASNVTWKRSSKDMGQSEVEPESDRQLGEVMLDNTGVLESETEYQSCITHQLPGEESRIFQKDVTNGSTVVGNGNHQLNKRTWISDQTPSADTDVDKMPVSSNRSQEVGLANMFLRTMNDQKAGKIPSKKNDTLASNGLLGILTERNETTGEEDMGKGFNINGLIGCIKELPRELLITKPQDSAIPSKTDHIIKRGGSVKKVTVPADSHKHDAKRSESSFRGRAMERETNTIDESEEQPCRGIASLNTDSVSKSDSGDLKVASQKKSKLSPKIHLLTSKEDLNKIPITFSQKEGSTESKVLVRFLHKNVKDDAVVNALNDCGEIVKIQLLSVSEGSNFRDAWVHFKTSNESQRALRKTDLIIGNSEVVVVATSLEDVLNKVSIPNVIGDSELPVALIKNPTRTVMIKHLTHDISLHHLKGALAFCGSGISSFFLGSSSSVAFVEFETEDAKETAIAACSINVEGKQLSILRIDVPRTTVVRISNFGGTVSKKRFQTICNSHGQVKQRKDRGRDIVDVHFKLAEWPNMLTILNSLNGMEVDGNRWLARPAPVFPPEVLQVLWSRPDERIHVISVLRRLLQNTELISPEITFLANKYYKDIL >ONI33210 pep chromosome:Prunus_persica_NCBIv2:G1:35911445:35912561:1 gene:PRUPE_1G411200 transcript:ONI33210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQTCAACRFQRKKCTEDCLMAPYFPATRFQQFLNAHKLFGVGKITKTLNSVSPQQRSSAMYSVKAEAEYRARDPVGGCYNEIKSLLWKIRTTEDELQHVHSQLCMFRAGGENAMAHPLDGYEYNVVQDVEYDSQLHHQLQQNIVQEQSGVVENHQEQCLI >ONI35861 pep chromosome:Prunus_persica_NCBIv2:G1:45544608:45552908:1 gene:PRUPE_1G557900 transcript:ONI35861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPFLCLVRSRCLSFSAFSPAPFPSPNPFLHEKLGRIHTPIAGFPKPRPTLVPHSSSQLHLISTSEWFDGSVVFCFGDESEKAVAVPTETEIGKEDCECQVVTETNNTSSLHVQNSELSEEFLGSNVHESEIKPEIRAFPASSGAVKENAVVHTDPNAVSATSTNNNVTGGEETGHAAATTAADETEEGSKVLAENAPSTNSEDKFTEGKAHRRDVSGVSTTRVVELHTFEGTPDGEDRSAAVLQLSSSAAVLPHLPKLAENPPSRNAEDEFTKGKSQRGDVSGVSTPRKVEVHTVQGTSDGEDISAAVLQLFSSAALLPHPSKALTGGEDAYFVACQNWLGVADGVGQWSLEGVNPGLYAQELMETCERFVSDCKGIPLTEPEEVLIRAAAKTKAPGLSTVLVAYFDGQALHVANIGNSGFIVIRNGAVFKRSSPMVHEFNFPIQIERGDDPSKLIERYRIDLDDGDVIVTATDGLFDNLYEQEIISIVSKSMQTTLKLEDITKFLATSAQEVGQSTSGRSPFADAAEASGYVGYSGGKLDDVTVILSYVQKKSSALQ >ONI28316 pep chromosome:Prunus_persica_NCBIv2:G1:10785386:10785745:-1 gene:PRUPE_1G137800 transcript:ONI28316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVDGADSMQGSNARRKVLVHTPSEEVISSYAVLERKLLSLGWERYYDDPDLLQFHKRSTVHLISLPKDFSKFKSMHMYDIVVKNRNVFEVRDM >ONI29094 pep chromosome:Prunus_persica_NCBIv2:G1:15812835:15814361:-1 gene:PRUPE_1G181000 transcript:ONI29094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFENEHIVMLPFMAQGHLIPFLALAKQIQQRTNFTITIASTPLNIQSLQSTIATSSNNNTIHLAELPFCSTDHGLPQNTETTENLPLNKMVNLFAASLSLEAPARRLISGIIETEGRPPLCVISDVFFGWANDVADSLGTVNVSFTTGGAYGTAAYISIWLNLPHRSTDENFFTLPGFPERCRFDISQLHPFLRAADGTDYWSRFFQPQISLSAKSFGWLCNTVEEIEPFGLDILRNYVRLPVWSIGPLIPREALKNSSTLDVSVSRQRAGKKPSFPAEKCLEWLDSHGSDSVIYISFGSQNTISETQMKELAIGLEESGRAFIWVIRPPIGFDMKGEFRAEWLPQGFEERMNKSKQGLLVHNWAPQLEILSHKSTRVFVSHCGWNSVMESLSQGVPIVGWPLAAEQAYNSKMLEEEMGVSVELTRGVQSKIVGEEVKGVIDLVMDESGKGGEMRKNAAVIKEKIRASIRDDDEEKGSSVKAMDDFVAVLLSKRQESSKSSNSIVSN >ONI26462 pep chromosome:Prunus_persica_NCBIv2:G1:1865422:1873358:-1 gene:PRUPE_1G026800 transcript:ONI26462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALLSKTTSDWCVNLLCPRYPSPPPILSHPPTLLLTWRPFRDFFSTKRLSTFLAMDGSKAGPLKELPLGIDATTEEEYASQSKLLQEFTSISSIDKAWIFKSDSGIGSQAMFSISQPNLLANKRKKFILSSHISRESNNSVNFQWAPFPVEMTGVSVIVPSPSGAKLLVVRNPENESPCQFEIWGRAQVEKEFHIPQSVHGSVYADGWFQGISWNSDETLVAYVAEEPSPSKPTFTGQGYKKGSSTEKDFGNWKGQGDWKEEWGETYAGKRQPALFVININSGEAQAVKGIEKSLSVGQVVWAPPVRGSHQYLVFVGWSEGTRKLGIKYCFNRPCALYAVRAPNFESEADGPELKDNSTEDVPVVNLTQSISSAFYPRFSPDGKFLSFLSARSSVDSGAHSATDSLHRIDWPIDGLLSSSAKIVDVVPVVMCAEDGSFPGLYWSSFLSNPWLSDGCTMIITSIWGSCQVILSVNVLSGEVIRISPTDSNSSWSVLTLDGDNIVAVSSSPVDVPHIKYGYLVDKESKSTAWSWLNVPSPTNECSEKVKSLLSSLQFSIMKIPLRDVSDSVTKGAAKPIEAIFVSSKTKRNDPFDPLIVILHGGPHSVSLSSFSKSLAFLSSIGFNLFIVNYRGSLGFGEEALQSLLGKVGSQDVNDVLVAIDHVIDLGLASPSKLAVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLALMVGTTDIPDWIYVEAYGSEGKNSFTDAPSAEHLTLFQSKSPIAHVSKVKTPTLFLLGAQDLRVPVSTGLQYARALKERGVPVKVIVFPNDTHAIERPQSDFESFLNIGVWFKKYLS >ONI34803 pep chromosome:Prunus_persica_NCBIv2:G1:41394081:41398163:1 gene:PRUPE_1G500000 transcript:ONI34803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQKPFHKTHIFLSLLVFTNVALLVTSKSTIEPCANSDSCNALLGYTVYTELKVSEVASLFQIDPIALLTANAIDISYPDVENHILPSQLFLKIPIVCSCVDGIRKSVSTHYKTRPSDTLASIADSIYSGLVSADQIREANSISDPSVLDVGQTLVVPLPCTCFNGTDNSLPAIYLSYVVTPVDTLAWIAARYSTTLSDLMNVNAMGSTAIKASDILAIPLPACASNFPRYAADYGLIVPNGSYAITASHCLQCSCGPGNLNLYCQPASLAVSCSSMQCRNSNLMVGNVTVQQSGGGCNVTSCNYGGFVNSSIITTLSTSLQPRCPGAQKFPPLIAPPTSVIRDTTFAPSPAPQSDGAGGTGAPKSSSSVPSTTSMLPGLAPIGGPAGSASGSASDACSLINPLANFPTAVLLLLYVKFMMAIL >ONI33837 pep chromosome:Prunus_persica_NCBIv2:G1:37904379:37907568:1 gene:PRUPE_1G449000 transcript:ONI33837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDRLDGRTPNQLRPLACSRNVLNRAHGSASWCQGDTKVLVAVYGPKAGTKKNENPEKACIEIIWKPKTGQSGKLEREYEMILKRTLQSICILTLNPNTTTSVIIQVVNDDGALLPCAINAACAALVDAGIPLKHLAVAICCCLAESGYVVLDPSKLEEQKMKAFAYLVFPNSVLSILPEEQLQVGGEPVEHGIITSVTQGAMSVDDYLHCLERGRAATAKMSAFLRKSLQPQLPIDSSKAG >ONI33838 pep chromosome:Prunus_persica_NCBIv2:G1:37904379:37907568:1 gene:PRUPE_1G449000 transcript:ONI33838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDRLDGRTPNQLRPLACSRNVLNRAHGSASWCQGDTKVLVAVYGPKAGTKKNENPEKACIEIIWKPKTGQSGKLEREYEMILKRTLQSICILTLNPNTTTSVIIQVVNDDGALLPCAINAACAALVDAGIPLKHLAESGYVVLDPSKLEEQKMKAFAYLVFPNSVLSILPEEQLQVGGEPVEHGIITSVTQGAMSVDDYLHCLERGRAATAKMSAFLRKSLQPQLPIDSSKAG >ONI31811 pep chromosome:Prunus_persica_NCBIv2:G1:31449112:31450673:1 gene:PRUPE_1G332200 transcript:ONI31811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTETQAPYPTHKTMAANTTVIGQQDYDRAQQVKQFDQSKLGVKGLVDSGLTSIPRFFIHPPETLPDLRPHTKPQTQQQPIPTIDLSDLHTHRRSSIVQQISRACRTFGFFQITNHGIPSAVLDRTIASIKAFHEQPTEVKAPVYSREMGTGVSYLSNVDLYSSKAASWRDTLQVRLGPIPAVPEEVPEICRDEIVGWDGEILRLGEALMELVSEGLGLSAGRLKEMTCLGGRVMVGHYYPYCPQPDLTVGLTSHSDPGVLTLLLQDHMGGLQVKHGEGWVDVKPVPGALVINVGDLLQIMSNGEYKSVDHRVLANSNREPRVSTAVFFNPSNREGTYGPLPELVSPEKPPLYREFTFSEFMQRFFKKGLDGKALVNHFEL >ONI32934 pep chromosome:Prunus_persica_NCBIv2:G1:35074548:35076499:-1 gene:PRUPE_1G394700 transcript:ONI32934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVAVNSHKSQPDDEEARLNFRHESLLQDYLERQKEFVSKKKKLQAARQKRDILLGEIRFLRRRHRHLLKIKSAETEPEVQQQKSDTQPKKFSRKRKSDANEAVLNKPSQVSPEGGGEQIVSEPIRVEKKPKNCLVDDKKVGKKKIALQDQVALNV >ONI34088 pep chromosome:Prunus_persica_NCBIv2:G1:38612357:38613214:-1 gene:PRUPE_1G461700 transcript:ONI34088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRASSLLFFFFLAVFLAFFSSPSSVSAFNITKLLEKQSDFSNFNNLLSQTKLADEINRRSTITILAVDNGGAGGLSGESSDMAKKILSVHVVLDYYDQDKLKKIFKSNKSATLTTLFQSTGQARREQGFIKVSVNNGQISFESAAKGGNGNSVNFVKSVVSQPYNISVLQVGSIINVPDMESSSSGPASSPKSAKAPSPSKSKKDDSDDEAPSSSDEAPAPSKHKGKGKGNDKSSPPVSADSASDSTAPTPSAPAPSAASRTREIMAVGAGVVIMGLVSLLAA >ONI31240 pep chromosome:Prunus_persica_NCBIv2:G1:29532239:29533669:1 gene:PRUPE_1G300700 transcript:ONI31240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSIIYCVDVINTDDILPSLEDQGVHQLYPKGPNIDFKKELRSLNRELQLHILELADILVERPSQYARRLEDISLVFKNLHHLLNSLRPHQARATLIHILELQIQRRKQVVEDIKRRREEARRLLKESIGTLEDTDASFVLK >ONI30618 pep chromosome:Prunus_persica_NCBIv2:G1:27119030:27121969:-1 gene:PRUPE_1G262600 transcript:ONI30618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSLLRSPIPWTIKRPLRRRLGPTFPRPIMALNSDSRYRGPKPQRNWVADWVSNNDDAVRSLPIYAGGASLLAVLFNRTVSDIALVADASSSQSRADLLTLGLAVTNILAGLVWLSIRPKSISKVNPEGIECERMHSNLPHTLLSELLWAWESLSDVTCCRSLVIVYDGRCILQIGFAAESSNGDGKAVSVDADKLMQGSLYRGVMKSGVQSYLANLYLYPGKSELPFLPSNTQAVILQPLGDKGITIIGGDTVRGFTSADQDSQATDLS >ONI30619 pep chromosome:Prunus_persica_NCBIv2:G1:27119031:27121969:-1 gene:PRUPE_1G262600 transcript:ONI30619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSLLRSPIPWTIKRPLRRRLGPTFPRPIMALNSDSRYRGPKPQRNWVADWVSNNDDAVRSLPIYAGGASLLAVLFNRTVSDIALVADASSSQSRADLLTLGLAVTNILAGLVWLSIRPKSISKVNPEGIECERMHSNLPHTLLSELLWAWESLSDVTCCRSLVIVYDGRCILQIGFAAESSNGDGKAVSVDADKLMQGSLYRGVMKSGVQSYLANLYLYPGKSELPFLPSNTQAVILQPLGDKGITIIGGDTVRGFTSADQAWISLIGEKLDSTLAKYVDNPPLVVQDPVFK >ONI34167 pep chromosome:Prunus_persica_NCBIv2:G1:38887186:38890519:1 gene:PRUPE_1G466300 transcript:ONI34167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSRRDEGSLVINNTNVFAALETLRKKKKSDKDRKSKGSSKSAQSAQPKAPEAQVFWAPAPLNAKSWADVDDEDDDDYYATTAPPQSVWGPSEPHQNKDRPNVEDSESEEDILDEGDDDVEEEHDNEPEVPVNPEPVLKKPADVPAPPKEAERQLSKKEKKKKELAELEALLADFGVTQKESDSQDESRGAAQEKDSALNGDGEKKENQPAESKSAKKKKKKDKASKEVKESQDQPNNSGATNGLGEVTAAEQTEEDTSNVDVKERLKKVVTAKKKKSSKEMDAAAKAAAQEAAARRARLAAAKKKEKNHYNQQPVR >ONI34168 pep chromosome:Prunus_persica_NCBIv2:G1:38887186:38890519:1 gene:PRUPE_1G466300 transcript:ONI34168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSRRDEGSLVINNTNVFAALETLRKKKKSDKDRKSKGSSKSAQSAQPKAPEAQVFWAPAPLNAKSWADVDDEDDDDYYATTAPPQSVWGPSEPHQNKDRPNVEDSESEEDILDEGDDDVEEEHDNEPEVPVNPEPVLKKPADVPAPPKEAERQLSKKEKKKKELAELEALLADFGVTQKESDSQDESRGAAQEKDSALNGDGEKKENQPAESKSAKKKKKKDKASKEVKESQDQPNNSGATNGLGEVTAAEQTEEDTSNVDVKERLKKVVTAKKKKSSKEMDAAAKAAAQEAAARRARLAAAKKKEKNHYNQQPVR >ONI34242 pep chromosome:Prunus_persica_NCBIv2:G1:39167339:39168906:-1 gene:PRUPE_1G470400 transcript:ONI34242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQTCPPNGDNEKKENMDKRKEELQAVIEKQDDRVNHLQTGAFNLANYYFVFQGILLGAIITATTALRCSDHWFLFSLSLIATVFNLVSFLVIGSNYKRSVLQRHQTKIERNKLESDLVKLETSPSDHGLKANILSYWTSTIIEASGQVASEDPTTVEIKDEREQRQIRKGVVPVDNHHKQMRDFYFLLCMGLFICFSVIVTVGCWTIPCKKALQCIPPISNTENCITVCEGGKCMSMCTEY >ONI30053 pep chromosome:Prunus_persica_NCBIv2:G1:24165014:24168327:1 gene:PRUPE_1G228700 transcript:ONI30053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAQASSSPFGLSSSTSVFGTPSSTPAFGTPSSTPAFGTPSSTPSFFTPSTPAFGTPSSSSFSTGGFGGSFFATPFSSQTQQQQQQTPSFQQPQSAGGFGFQSPFTTPQQPTPFPNSQLTTQMAPVAPLPFSLADRDIQAIVDAYKDEPGNPKYAFKHLLFSVTDPQFRVKPAGVSDIMWAEAMGKLEGLESTDRERLWPQLVQGFKDLSQRLKLQDEVLLSDAERLQMTQSNVKMLQRHFQADTLPWIERMRQKEQGLQRRLLRVMRLLEALEGKGFRLPLMKGEAELAEKLAAITRQLKGSGAELSRRVQNLVTISRVQENAIGAGGLVCLPGSTKIHEQSLADMQEVLQQQTEAIARLGNVLKRDIRDMEIIMAEETETTENMIMS >ONI28532 pep chromosome:Prunus_persica_NCBIv2:G1:11506633:11508592:1 gene:PRUPE_1G146300 transcript:ONI28532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSTSTSSNTVSLLTTKKKTVKDKTFTAAGNLIKVLPTGTVFLFQFLNPVLSNTGHCATVNKFLSAILIAISGFSCCFASFTDSYKGSDGKTHYGVATAKGLWPSRNSNSVDLSAYKLRFGDFVHAFFSLIVFAVVSLLDTNSVRCFYPGFESSEKVLLQVLPPVIGVIACTVFCVFPNHRHGIGYPSSSSDSSQDSDKS >ONI30621 pep chromosome:Prunus_persica_NCBIv2:G1:27130835:27133063:1 gene:PRUPE_1G262800 transcript:ONI30621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFLGIVSLVLCNSLLCYSIEDDLTCLEGVKTSLTDPQGRLSQWDLGNRSVASICKLVGVSCWNEKENRLISLQLPSMELAGELPESLKFCHSLQSLDLSGNALSGSIPPQICTWLPYLVTLDLSNNHLSGSIPPEIVNCKFLNTLILNDNRLSGSLPYELGLLDRLKRISVANNGLSGTIPLDLSKFEKDDFDGNSGLCGKPLGSKCGGLSSKSLGIIIAAGAIGAAGSLILGLGIWWWLFVRVSQKKRSFDGGVGGDKYESGWVGLLRSHKAVQVSLFQKPIVKVRLADLLAATNSFDPQNIVISTRTGVSYKAVLPDGSAMAIKRLNACKLGEKQFRLEINRLGQLRHPNLVPLLGFCVVEEEKLLVYKHMYNGTLHSQLHGSGNVNSQYGFLDWPTRLRIGVGAARGLAWLHHACQPPYMHQNISSNVILLDYDFEARITDFGLARLVASRDSNDSSFVNGDLGEFGYVAPEYSSTMVASLKGDVYGFGVVLLELVTGQKPLEIGNAVEGFKGNLVDWVNHLSNAGRSMDAIDNILAGKGHDDEILQFMRVACTCVVARPKDRPSMYQVYESLKVLAEKHGFFEQYDEFPLVFGKQVPES >ONI34284 pep chromosome:Prunus_persica_NCBIv2:G1:39316898:39319407:1 gene:PRUPE_1G473000 transcript:ONI34284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGETELRFVESPALAPPEVQIDMAVQAKHEQELAQAAAQPLPDDDDDLFE >ONI33950 pep chromosome:Prunus_persica_NCBIv2:G1:38230572:38231281:1 gene:PRUPE_1G455200 transcript:ONI33950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITGVCSRLLGDLVQAFGGVSRPSTECKPQNGSCKPQNASKVDESMARALITVFGMETNGRIKKENARRVVENLGLICHEEDKSSFDLPGDDHEVPVEEVLGGLEEEDDGSKRNELLLEAFKIFDEDGDGFIEAVELKRVLECLGLGSGWDMDQIEKMVRVVDVNLDGKVDFNEFELMMGVKC >ONI26575 pep chromosome:Prunus_persica_NCBIv2:G1:2247915:2248620:1 gene:PRUPE_1G031900 transcript:ONI26575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCLVVLIQKNTDSFVELLMDTISSIEVIHANCQHLVSVSLFSLFVRVRLFSYKGILGSANAKKLLRNTKRVILCFWKH >ONI27295 pep chromosome:Prunus_persica_NCBIv2:G1:5618642:5620823:1 gene:PRUPE_1G078100 transcript:ONI27295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAARLLVTVQSLEGDMATDQTQTVALPDVMKASIRPDIVTFVHSNISKNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVVSAIAASAVPSLVLARGHKIETVPELPLVVSDSIEGVEKTSAALKVLKQIGAYSDAEKAKDSHSIRPGKGKMRNRRYINRKGPLIVYGTEGAKLVKAFRNIPGIDIINVERLNLLKLAPGGHLGRFVVWTKSAFEKLDSIYGSFDKVSEKKNGYVLPRSKMVNADLARIINSDEVQSVVRPIKKENLNTLLKLNPYAKTARRMSLLAEAERVKAKKEKLDKKRKPISKEEASTIKAAGKAWYQTMISDSDYTEFDNFTKWLGVSQ >ONI27294 pep chromosome:Prunus_persica_NCBIv2:G1:5618642:5620823:1 gene:PRUPE_1G078100 transcript:ONI27294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAARLLVTVQSLEGDMATDQTQTVALPDVMKASIRPDIVTFVHSNISKNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVVSAIAASAVPSLVLARGHKIETVPELPLVVSDSIEGVEKTSAALKVLKQIGAYSDAEKAKDSHSIRPGKGKMRNRRYINRKGPLIVYGTEGAKLVKAFRNIPGIDIINVERLNLLKLAPGGHLGRFVVWTKSAFEKLDSIYGSFDKVSEKKNGYVLPRSKMVNADLARIINSDEVQSVVRPIKKEVKRAPLKKNPLKNLNTLLKLNPYAKTARRMSLLAEAERVKAKKEKLDKKRKPISKEEASTIKAAGKAWYQTMISDSDYTEFDNFTKWLGVSQ >ONI27480 pep chromosome:Prunus_persica_NCBIv2:G1:6702793:6703233:-1 gene:PRUPE_1G089500 transcript:ONI27480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTVVRFATTAPFLYERPIRRIVAEVSKNLERALTLVHKCKRQSILRRVVTITSATDFRKLFNFLESSVRDMKWLLSIFDPDSGGNNGIVLSLAPIASNDPILSWVWSFIATIQMGQLPDRVEAANELASLAQDNDLQGQTRQCQ >ONI26434 pep chromosome:Prunus_persica_NCBIv2:G1:1697388:1699337:1 gene:PRUPE_1G024500 transcript:ONI26434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLPPELIFDILSRLPPKDLMRFLCVSEAWYALIHDQIFIKAHLQRSIETNSAPLTILLRKFSPECPPSHFFSLSFSDNETIGTAVKTEQPLKCPDEYTDILLDYSVHGLVWIHNDDESDMALWNPSIQKFKKIHVPNFEPDASSSSGSEMEQRREPRDTCYGFGYDSVHDDYKLVQIFEFANEGRIVGSQVHIYSLKSNSWKRIQDMPWNCFGIHHDYLMFCDGALSCLVYNRLCTNQVIILTLSLASEKYCQCRIPVHHLSINESRLILTVLGGCLCLCDTFLETQHDVWIMKEYGVAESWTLLYSIEGGDLPWSIDFMCVPLVFSRDGEMVLLSDNNTTFFWYDLKGKSFKRAQFHGRPYLYEMTVVCWGSLCLLDGDPVIAEPETERQQKVPTTSTKSKYSSSEDEETYDDWY >ONI31830 pep chromosome:Prunus_persica_NCBIv2:G1:31540749:31548835:-1 gene:PRUPE_1G333200 transcript:ONI31830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALSVIPASVLRNLADKLYEKRKNAALEVEGIVKQLTTAGDHDKITAVINLLTTEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLDSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIKADPAEGFDVGAILSIARRQLSSEWEATRIEALHWISNLLNRHRAEVLTFLNDIFDTLLEALSDPSDQVVLLVLEVHACIAQDTQHFRQLVVFLVHNFHVDNSLLEKRGALIIRRLCVLLDAERVYRELSTILEGESDLDFASIMVQALNLILLTSSELSELRDLLKHSLVNPSGKDLFVSLYASWCHSPMAIISLCLLAQTYQHASTVVQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRHVWLLKALYGLLMLLPQQSAAFKILRTRLKTVPSYSFNGEQLRRTSSGNPYQILHHMPGGSQITEDGDINQDSKNSHNGINFASRLQQFEQMQRQHRQHAKVQAQSHKNSTSSSTPKDVQRPEELSRTSSSDVNRPPSRSRRATGQLQL >ONI34636 pep chromosome:Prunus_persica_NCBIv2:G1:40898062:40903231:-1 gene:PRUPE_1G491800 transcript:ONI34636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRNPRPPPLSSSSSSAKRPSVSRQPNKKPMETRAQVAKKRTALADVTNQRNGSQSGPPTFIASMKPLVPCATKIAKTTKELSTCANDTDLSGNVFPPSLSAKSSIVVPFSDTSFSGKNTTTEIVATNPSPSSSVTVLPVPSSIVHTFRSRDGSPSRSVSGSVSLDENMSTCESLKSPEFEYIDNEEISEVKSIEKKTTKSLCISDYPGKEGNIWKKDTFVNMETTDKIVDIDNNLIDPQFCATIASDIYEHLRDSEANRRPSMDFMERIQKDINASMRAILIDWLVEVAEEFRLVPDTLFLTINYVDRYLSGNALNRKQLQLLGVACMMIAAKYEEIIAPEVEQFCYITDNTYVKEEVLQMESSVLNHLKFQMTAPTTMCFLRRFCFVAQQTSEVPSLQLQCLAFYIAELSLLEYSMLCYAPSLIAASAAFLAKYILSPSKKPWNSTLRHYTLYQAADLFDCVKALHRLCCNGCSSDLPAVREKYCQHKYKFVAKKYCPPSIPTEFFQDLNN >ONI34638 pep chromosome:Prunus_persica_NCBIv2:G1:40898062:40901780:-1 gene:PRUPE_1G491800 transcript:ONI34638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCAVYLKVPCATKIAKTTKELSTCANDTDLSGNVFPPSLSAKSSIVVPFSDTSFSGKNTTTEIVATNPSPSSSVTVLPVPSSIVHTFRSRDGSPSRSVSGSVSLDENMSTCESLKSPEFEYIDNEEISEVKSIEKKTTKSLCISDYPGKEGNIWKKDTFVNMETTDKIVDIDNNLIDPQFCATIASDIYEHLRDSEANRRPSMDFMERIQKDINASMRAILIDWLVEVAEEFRLVPDTLFLTINYVDRYLSGNALNRKQLQLLGVACMMIAAKYEEIIAPEVEQFCYITDNTYVKEEVLQMESSVLNHLKFQMTAPTTMCFLRRFCFVAQQTSEVPSLQLQCLAFYIAELSLLEYSMLCYAPSLIAASAAFLAKYILSPSKKPWNSTLRHYTLYQAADLFDCVKALHRLCCNGCSSDLPAVREKYCQHKYKFVAKKYCPPSIPTEFFQDLNN >ONI34637 pep chromosome:Prunus_persica_NCBIv2:G1:40898062:40903076:-1 gene:PRUPE_1G491800 transcript:ONI34637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRNPRPPPLSSSSSSAKRPSVSRQPNKKPMETRAQVAKKRTALADVTNQRNGSQSGPPTFIASMKPLVPCATKIAKTTKELSTCANDTDLSGNVFPPSLSAKSSIVVPFSDTSFSGKNTTTEIVATNPSPSSSVTVLPVPSSIVHTFRSRDGSPSRSVSGSVSLDENMSTCESLKSPEFEYIDNEEISEVKSIEKKTTKSLCISDYPGKEGNIWKKDTFVNMETTDKIVDIDNNLIDPQFCATIASDIYEHLRDSEANRRPSMDFMERIQKDINASMRAILIDWLVEVAEEFRLVPDTLFLTINYVDRYLSGNALNRKQLQLLGVACMMIAAKYEEIIAPEVEQFCYITDNTYVKEEVLQMESSVLNHLKFQMTAPTTMCFLRRFCFVAQQTSEVPSLQLQCLAFYIAELSLLEYSMLCYAPSLIAASAAFLAKYILSPSKKPWNSTLRHYTLYQAADLFDCVKALHRLCCNGCSSDLPAVREKYCQHKYKFVAKKYCPPSIPTEFFQDLNN >ONI31162 pep chromosome:Prunus_persica_NCBIv2:G1:29319507:29320271:1 gene:PRUPE_1G297000 transcript:ONI31162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSKGSNGNSNSQVGNQNAGGGAKSSNVEGASGGGGGGGNAAGNMKAPGRDYTITRESFESNPAAYFKDLRK >ONI32630 pep chromosome:Prunus_persica_NCBIv2:G1:34155372:34156728:-1 gene:PRUPE_1G377400 transcript:ONI32630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWDSPVLNSKPDVCKRNQSLTKDEIEAYWRANNNELHQTTTTQEIKADDESGRKLQKSNTFPAAKTKETDIHETNAHKIRNNKGWWTLSNWAFLNEPPLSESASNTYASQFHVPKWAPKSDTPDQITT >ONI36249 pep chromosome:Prunus_persica_NCBIv2:G1:47040129:47041857:-1 gene:PRUPE_1G577900 transcript:ONI36249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLIRLLPHRFNTIRAFVNAKVKWVRDPYLDYAVEREKDLKQVISFKNQIISNPSKSLPLSAASLLKPHLNLPITSSKFFQKYPSFFSVFQPSPGLPPHVKLTPQTLLLHKKESTIHTSMSNKYNATKRLAKLLMLAGVTKLPMHLINRLKWDLGLPHDIVGTLLADFPDYFEACEVGDAVTGKVEIALGLVCWRKELAFSQLERRPIMVCASNAKLSKHIGFPMNFPKGFDLENRVKDWVEEWQALPYISPYENATHLSPNSDQAEKWMVAMLHEVLCLMISKKTERENLFVLGEYLGFERSRLKKAVVHHPGIFYVSNKIRTQTVVLREAYRKDFLIEKHPLMGMRYRYIHLMNKMQRRDEATLVSPSGG >ONI28667 pep chromosome:Prunus_persica_NCBIv2:G1:12124644:12128185:-1 gene:PRUPE_1G154100 transcript:ONI28667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDLESVAEATSGAIGALVSTTILYPLDTCKTKYQAEVRAHHQQKYRNISDVLWEAISTRQPLDTASSKMQTSEFGKSKGLWKTLSEGTWTEAFDGLGISLLLTSNPSIQYTVFDQLKQRLLRGQLSKRTGTESSPEALSAFSAFVLGAVSKCIASCLTYPAIRCKVMIQAAEEDEEGNKEAQNGSKKSKKTISGAFFAIWKKEGLLGFFKGLQAQILKTVLSSALLLMIKEKITKTTWVLLLALRRFFFLNKSRLKSA >ONI28668 pep chromosome:Prunus_persica_NCBIv2:G1:12125098:12127919:-1 gene:PRUPE_1G154100 transcript:ONI28668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDLESVAEATSGAIGALVSTTILYPLDTCKTKYQAEVRAHHQQKYRNISDVLWEAISTRQVLSLYQGLGTKNFQSFISQFMYFYGYSFFKRLYLEKSGNKTMGTKANLIIAAAAGACTVIVTQPLDTASSKMQTSEFGKSKGLWKTLSEGTWTEAFDGLGISLLLTSNPSIQYTVFDQLKQRLLRGQLSKRTGTESSPEALSAFSAFVLGAVSKCIASCLTYPAIRCKVMIQAAEEDEEGNKEAQNGSKKSKKTISGAFFAIWKKEGLLGFFKGLQAQILKTVLSSALLLMIKEKITKTTWVLLLALRRFFFLNKSRLKSA >ONI32140 pep chromosome:Prunus_persica_NCBIv2:G1:32649758:32651631:1 gene:PRUPE_1G350700 transcript:ONI32140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSMKSNPTKARKRVEATEESSVPSLVRGKDGSAFARCEECNKNVPVALISMHSCSLDAKIKLHLSSQVVERQPEANKKSPTERKRSTTSEPKSKRAKKDKDPNAPKRPLTAFFLFMDDFRKSFKEANPDSKLVKTVAKEGGEKWKSMTDEEKKPYVDKAAELKAEYKKALEMETDDADVDADADAEVGDDEIEGGSEKEASEKEV >ONI28051 pep chromosome:Prunus_persica_NCBIv2:G1:9326642:9327967:1 gene:PRUPE_1G119200 transcript:ONI28051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVPGLSTVEVMRVARASFIHFSSRRYADDCMPARVLGSAPLGLSDQETKDVELAHLLLAFAEKVAKQQYGCARKLQNLCHFLSSSCGNSVQRVVYYFAKALQEKTDRETGRNTSEGSESRDVLAMHFQEAMASSTPALMACFLEVPFYQITEFAGIQAIVESVASAKKIHFIDLAIRTGGHCIVLMQALATRYECPIELLKVTAVGVTSREKMEDTGRRLAQFAEALKLPFSFKVAMVKDIKDVNEDTFELEDGETAAVYCPLLLCSTMRQPSCSESLIKVLRNLNPHLMVITEIEANHNSPTFTNRFNEALLYYSAYFECLDVCMDRGSAYRMELEETYLSLEIKNIIASEGKERIIRRMKIDAWRTLFAKSGMVEAELSLSSLYQADLVAKQFACGSCCTLDANGKGLIVGWKGTPILSLSVWKFHAVNAKTAKYLM >ONI33936 pep chromosome:Prunus_persica_NCBIv2:G1:38193446:38195506:-1 gene:PRUPE_1G454500 transcript:ONI33936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNERQRLANLLRNCSKNLLLDQGMQAHGTVMKMGLGFDLMLSNDLIDMYGKCGRTGMACAVFDRMPERNVVSWTALMCGYLQNGNAKGSLSLFSKMGLSEIKPNEFTFSINLKASGFVGVAENGMQIHNMCTKSGFEWVTVVSNSILDMYTKCGRVSEAARMFNVMPVKNLITWNAMIAGYTLEGNGERALLLFRQMQGMGEVPDEYTITSTLKACSGLGAIRQGSQIHSSLITRGFSCSVRTMIAGALVDLYVKCSHLTEAQRIFDQIEQKNLVSWSALILGYAQECNLLQAMDLFRQLRVSIHHQVDGFVLSSLMGVFADFALVEQGKQMHAFTIKIPSGLDISVTNSILDMYLKCGLTDEAEKLFNETPVRNVISWTIMITGYGKHGLGRKSVRLFHQMQSEDIEPDGVTYLAVLSACSHSGLVEECQEYFSRLCHDRRIKRNVEHYACMVDLLGRAGRLKEAKNLIDSMPLKPNVGIWQTLLSACKVHGDLEIGREVGETLLRLDCDNPVNYVMLSNIYAEAGYWKECERLRKLFKMKGLKKEAGRSWVEIDKEVHFFYNKDETHPLTEKIHQKLKEMEQRIKSEIGYVHGVRFALHDVEEESKEESLRVHSEKLAIGLALVCGEVEKGHKTIRVFKNLRVCGDCHAFIKGLSKVLKVVFLVRDANRFHKFESGLCSCGDYW >ONI29093 pep chromosome:Prunus_persica_NCBIv2:G1:15790391:15792100:1 gene:PRUPE_1G180900 transcript:ONI29093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFENEHIVMLPFMAQGHIIPFLALAKQIQQRTNFTITIATTPLNIQSLQSTIATSSNNNTINLAELSFCSTEHGLPPNTETPENLPLSKLINLVAASVSLEAPARRLISDIMEKEGRPPLCIISDVFFGWANDVADSLGTVNVSFATGGAYGTAADTSIWLNLPHRSTEEDFFTVPGFPERCCFNISQLDPFTRAADGTDSWSRFFQPQISLSTKSFGWLCNTVEEIEPFGLDILRNNLRRPVWSTGPLIPREALKNTSTLDLSVSRQRVGKKLSFPAEKCLEWLDQHASDSVIYISFGSQNTISETQMKELAIGLEESGRAFIWVIRPPAGFDLKGEFREEWLPQGFEERMNKSKQGLLVHNWAPQLEILSHKATRVFVSHCGWNSVMESLSQGVPIVGWPLAAEQAYNSKMLEEEMGVSVELTRGVQSKIVGEEVKGVIDLVMDESGKGGEMRKNAAVIEEKIRASIRDDDEEKGSSVRAMDDFVAVLLSKRQESSKSSNSIVSN >ONI29320 pep chromosome:Prunus_persica_NCBIv2:G1:18073655:18074728:-1 gene:PRUPE_1G193100 transcript:ONI29320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSHGSSEVKAAADILNIKQQELDSQRREVHALLLAKGISADNDECVTEAIVRSSPRASFVLFGHSS >ONI31486 pep chromosome:Prunus_persica_NCBIv2:G1:30497902:30499192:1 gene:PRUPE_1G316000 transcript:ONI31486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIYDIHLITVDALSSYSFSFERLLLSSEAALLAFHSGNDCRFIPVKPRHATFFFVLLAVSLQRLDIICLSMCR >ONI28509 pep chromosome:Prunus_persica_NCBIv2:G1:11381808:11385053:1 gene:PRUPE_1G144900 transcript:ONI28509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGKDVERGETSSNQEELEQPFIRRRKVVAHEDDGSGKELQNESIGMVLLSTGVAVCGSFQFGICVGYSAPTQSAIRKDLNLSLAEYSTFGSILSIGAVLGAITSGKIADFLGRKGAMRVSSVICIIAWLAIYFSQGALSLDTGRFLTGYGIAAFSYVVPVFIAEIAPKNLRGGLATLNQLFIVTGGSFAFIVGTIINWRALALIATVPCLILLLGVCLVPESPRWLAKVGRDKEFEVALQTLRGNNADISDEMTEIQEFIATVNSLPKASILDLFQSRNIRAVIIGVGLMVFQQFAGINGVQFYASETFKSAGVSSKIGTIAYACLQVPITLVGALLMDKTGRRVLVMVSAAGMFVGCMLAGTSFSLKGQGLLLDWVPIIAVSGVLIYVAFFSIGMGAGPWVIMSEIFPIDIKGVGGSLVVLVNWSGAWIVSYTYNFLMRWSSPGTYFLYSAVCLLTILFVAKVVPETKGKTLEEIQASLNPDRRQSI >ONI26203 pep chromosome:Prunus_persica_NCBIv2:G1:735953:738511:-1 gene:PRUPE_1G009200 transcript:ONI26203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSISRVLLISLISIVISAAIVHAKGHGHHGHGGKHLHHQNRNQSVQGGPVTYDGRSLIINGKRELLFSGAIHYTRSTPEMWPYLIKKAKHGGLNMIETYVFWNIHEPVQGQYNFEGNYDLVRFIKLVQEHGMYATIRLGPFIQAEWNHGGLPYWLREVPGIIFRSDNKAFKYHMEKYVQLIVNKLKEAKLFAPQGGPIVLAQIENEYNMVQLAYRELGSSYMRWAAAMAVQQNIGVPWIMCKQKDAPDPVINTCNGRQCGDTFMGPNKPYKPTLWTENWTAQYRVFGDPPSQRPVDDIAFAVARFFSKKGSLTNYYMYHGGTNFGRTSAIFTTTRYYDEAPLDEYGLPRDPKWSHLKDLHKALRLSRKALLWGVPGVQKMSANTEVYFYEMPATNICAAFLTNNNLTTEATVSWRGQDYYLPPHSISILPDCKTVVFNTQTIVAQHNSRNFVQSTVANNLKWKKYAEPIPSTLQVPVNNPTPLELYTLLKDTSDYAWYTTSLALNPQDLPRKASIQPVLRIASLGHALHLFVNGKYIGFGHGSHDEKSFVLEKPVHFKAGVNQITLLAMTLGLPDGGAYMEHRYAGPNLIIVLGLNTGTLDITKNGWGHQVGLNGEKLQVFTEEGSKQVQWDKTKGSAQGLTWYKTYFDAPEGNNPVAIRMTGMGKGMIWVNGRSIGRHWMSFLSPLGEPTQSEYHIPRSFIKPTQNILVVLEEQPAKPKHIEILTVNRDTICSFITEYHPPNVKSWARQDSVFRPVLDVIRSSADIKCPNKKKVVAVEFASFGDPPAGYCGSYVLGKCNSPVSKEVVEQHCLGKSSCSVPINRNLFLKNITDGCPGIKKTLAIQVKCAI >ONI33814 pep chromosome:Prunus_persica_NCBIv2:G1:37823078:37825115:1 gene:PRUPE_1G447400 transcript:ONI33814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKYFQNSFYPQFTPEKRHSFDNNNNKTTNGGGGGEDHFMVEDLLDFSNDDAVITDGGATFDNVTGNSIDSSTLTDIDSCNSSSLSSSKPNVILDIGSKNIAEGPFSSDLCVPYEDLAELEWLSNFVEESFYSENLQKLQLISGIKAQPDETAFKTQQFQPEPNRNDNAHNITTTNNNLIFNLNVSVPAKARSKRSRAPPCNWTSRLLLLSQSTSSSEQFNVVSCVSASPLLPQSTTGKKMMKSAPKKKESPDGLGGGPGDCQKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFVLTKHSNSHRKVLELRRQKEMVRTQQQFIHQVLPQQHHHHHHHHHQNMGCDVSNGGDYLIHPHAGLDFRQLI >ONI33395 pep chromosome:Prunus_persica_NCBIv2:G1:36413908:36416638:-1 gene:PRUPE_1G421600 transcript:ONI33395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTNKKPSSVPTNYVTILELKERWLKEKERQRDEEERQRKEKEQEEEELRQQKLREEQGKQQKQNAVVLKARTDSRPTDRGNQTQFRPVDRRSVSEREGAELEGKKQPVVQKTEADFKPWNRSGRTKFGPVNRTVSECEGAELEGKKNEEPEEKKLWKKKKSKNKKNVRAEKGEVNEKECIVDAPQASCEKGDNQATGKEEKRPEIEIRAKSENVLPTAEIVPKFRDLSVNGETGKGDDKLKRPRIVDRRGNGGIRGYYERPGRCDMQKQRGYAGRVWVKKGEVADDNGSGVQQSLERNDVISGQTGTSSNARSLKFAGGMSRNNPGRWWLSRHEPKCL >ONI28799 pep chromosome:Prunus_persica_NCBIv2:G1:12984995:12988058:-1 gene:PRUPE_1G162100 transcript:ONI28799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HQSESKFIQNIIEEISKHVLNRVCLEVAEHPIGMQAQVQVMNKLLDLGENDVRMVGVWGTGEIGKTTIAKAVYNSIAHKFEGCSFLANVRERSTSHEGLVGLQENLLSDILRVKNLKVNVDKGVTMIKEWLRRRKVLLVLDDVDDMEQLHSLVGACDWFGVGSRIIITTRDKQLLTAHGVNVIHEVKILDNHEALELFCWHAFKTSEPPLGDYVKLAESAIRYAQGLPLVLKVLGSCLCGGDIDKWKAALEGFKSPKIQDVLKISYNALDDIVKEVFLDIACFFKGKSRNYVIDACELNTRYGIDVLIEKALISVEGSYIQMHDLLEKMGKDIIEQESPTEPGGRSRLWFHSDVKHVLTNNTGTNKITGIMLNFPRKNYEIFLNVDKSFSKMKNLKILMNYNVCLYGEVSLADAFPNNLRVLDWKRGQYRSWPANFSPKALVVLHQPYSCIKQIGQRFQHFTKLTSLNLEHSEFLTEIPDLSNSPALRYLNANGCRSLVEVHPSVGYLDKLQYLAFAGCRELTKFPNKVRLKSLKFFLLYGCIKLESFPEILWLGGTAIEELPSSIGDLTALKGLDLQGCENLANLPQSIYGLQNLEYFNLSRCPKLVTLPNNLISEVLSSAESLPLEVRTNANSPHDGDFVRPRVMYFEECNASNIDCLENFCCWSNLTIINLSKSDFVSLLVCISKCVNLWELDLRGCKRLEEIIGQLPASIARIDMADCVSLERFSTLSKILEDEDMQGISDMDLSNCHRLCDNLRLDVSKMAKILLNQMNRNEKIIVKLPGSD >ONI35478 pep chromosome:Prunus_persica_NCBIv2:G1:44009069:44011947:1 gene:PRUPE_1G538500 transcript:ONI35478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAMVSVLLEQLASITRKQIEEEVSLVVNVEQEVENLIFHLNAVKAVLQDAEERQVHEAKNWLHNLEDVSYDINDVLDEWNTEILKHQVEKQEKEALTKRKVCFFIPPPSVCFGKIGQVIMRHDIAIKINEITEKLTSIATLRQNYYFQNTTRGNEQLERFKTSSFVNVSTIIGREEEKKNLMSLLLSESSQGGRSQLVIPIVGKGGLGKTAFAQLVYNDENVKNHFDERIWVCVSVPFEEIKVAKAIIEVLNKDDSRMNSTEFDTLLKCMFESISRKKVLLVLDDVWNPTDNKWEPLKEVFRNSDIGSRILVTTRNKMVAIGMGAVTDHVINLNKLSDLDCLLLFCRIAFFDRERDESRFLDEDFKERIARKCDGLPLATKTLASLMRYKKTRRQWIDVLDIRRCLLYCATFPKDYEFYKNKLIELWISQDYINVKGDAKKVTILGESYFDNLVMRSFFQDFVTDELENIIGCKMHDIVHDFVQFLTKKECVIIDAKIAKETKKVCHLNIMSPSNDAVPILISQYCRRLRTLIATNSGLSTISSDSILQLKCLRTLDLSNNSIEKLPEEMELPDTLGYLCNLQTLRLAFCTKLEKLPATKLSKEIGRLTGLKTLSELRLYDDGIGYNNNNKKSNSKGFFQLGDLRNLDQLQGTLWITNLKLVKDASEAKMARLANKKNLVRLDMHFGRSDREEGEQREMDEEILNAFEPHPNLERLLVFGYQGNTLFLKWMLSLHNLRWLEVVRLPFCKFLAPLGKLPCLEYFKMDGMKSLKKVGVEFLGLNETTQQTKTILSFPKLKTLVFKGMGEWEEWEGVEGWREDDDSEITIMPSLSHLWIMRCPQLKTLPDFLWKTPLHNLTVSSCSLLQGWEEGRRRESDQVSHIPNIKIDDQFVRKDGVWMDQPDTTENP >ONI27432 pep chromosome:Prunus_persica_NCBIv2:G1:6373629:6374489:1 gene:PRUPE_1G085800 transcript:ONI27432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKNVISSSSTPSSSSTSSTPHNHSHHHHHKGFSQPLDTIATPHHHKGFSKKLFGEESVCQGFEALRASKHLIRSVSHNLGRKNQRPKREEEDDARGISLRCLTLYGRGGGCKVGADTWDDFGNQSYKRRSNASNEGKGYIWMCGTKAISNPRGENTNFQF >ONI34324 pep chromosome:Prunus_persica_NCBIv2:G1:39457187:39459062:1 gene:PRUPE_1G474900 transcript:ONI34324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRHVDRLIHGARHLMAKRKGAVHLNGMLHHCSEESHGEPMPREWYQKEFPKLTKLSQLLKNVDLVDGRLVNISDGSIIMDDRIEHRMLTFKSLAREFIGSPLVQQTLKNDVVALSGGRICNQFVCFSKPNEREPMVVKTLSVVSGFLNITAQQRQSVRVTLSPQITQHRIWTGTLEEVLNGLKSELEYLDHRCPSKGTKMGQQIVASCLKFLADTSTSISYEHDCSSWTRLSPAKVTDSSGLQKWEDVLEMFNDLIDCLKNERELLLYVAKLKVLKEGLSQIKDVLTDRNIGHKEVRHQESLVQKKLTKTLGHSSKCLFTLMLYYLFGHVRDIEVDVRGGIYSSGSENDFCLCMGRIVTSDEEEMVWSGVRQLDRALGLFKFVWETAGMKGALQLQGHIWCVGAEGRTLTYRGNTFFVHGIHV >ONI35276 pep chromosome:Prunus_persica_NCBIv2:G1:43143833:43147188:1 gene:PRUPE_1G527000 transcript:ONI35276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHKTAAAAAAPDHHPPSQTAKPLPHSEDYAPYPKLDPKDVVPPPQPETWTSVSIASEPPPKLESPSAPPPPVADPPVHVEARAPIAGEAATSMPTESNPYVATPAPAPSSSVKNKMDSVKDVLGKWGKKAAEATKKAEDLAGNMWQHLKTGPSFADAAVARIAQSTKVLAEGGYEKIFRQNFETVPEEQLQKTYACYLSTSAGPVMGILYLSTAKLAFCSDDPLSYKNGDQTEWSYYKVVIPLHQLKAVNPSTSKVKSAEKYIQVVSVDNHEFWFMSFVHYDSAVKHLQEILHPTQPQPQPQSL >ONI33019 pep chromosome:Prunus_persica_NCBIv2:G1:35357845:35360081:-1 gene:PRUPE_1G400400 transcript:ONI33019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENVGTKNFGFEKANASTNSSTDLEYFGVSQNGPELCQSLSSTNFRGLSGDFSFVDGQLQNSIFELVNMIGNGAKTIGFWKPQSGLEKKLNLTNTNGPYSTSKSNLAPILWPGDSPSVPKGWEIPTNGKRLRVGVPVIDGFAEFVKVVPGPSANTTEVFGFCIDVFNAAMAGLPYAVTYDFIPFAKPDGTSAGSYDDLVQQVFLGNFYALAADTTIRANRSLYVDFTLPYTESGVVMVVPMKDGKSNAWIFLKPLTWDLWLTSLCFFIFIGFAVWVLEHRINEEFRGPPSHQIGTSFWFSFATMVFAQREKVVSNLARFVVIVWVFVVLILTQSYTASLTSLLTVKQLQPTFSNLGDLIKNKEYIGYFEGSFVRELLIQRGVDPNKLRPYKSSDECHELLTNRSANGGIAAAIDETPNMKLFLAKYCSKYTMIGPIFKTDGFGFVFPKGSPLVPDVSRAILNLTEGDVMKEIENKWFAGNATCSDTKPTISDSNSLGLDSFWGLFLIAGVSSSLSLIIFAASFCNRHWHMFMTTGASAWKRIKVMLRIFDQKDLSSHTFRKTDSQDGKYMYNAGSIATCVEASPNNNSTRPPSPESIGHSNPTNPHSPFFREGILPVVVGQ >ONI32304 pep chromosome:Prunus_persica_NCBIv2:G1:33220561:33223818:1 gene:PRUPE_1G359900 transcript:ONI32304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITVGFRAHSTMAKGRYGRLQSKKWSTFTLVLSMLFMLIVVLLMLLAFGIVSLPVITDESSPNDLSSFRRSTVERTDGFGEREDQWTEVISWEPRAFIYHNFLSKEECDYLINLAKPDMVKSTVVDSKTGKSKDSRVRTSSGMFLKRGRDKIVSDIEKRIADFTFIPVEHGEGLQILHYEVGQKYDAHFDYFLDEFNTKNGGQRIATLLMYLSDVEEGGETVFPAAKGSFNSVRWWKELSECGKQGLSVKPKMGDALLFWSMRPDASLDPSSLHGGCPVIRGNKWSSTKWMHIEEYKV >ONI26848 pep chromosome:Prunus_persica_NCBIv2:G1:3513569:3526770:1 gene:PRUPE_1G050200 transcript:ONI26848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWLATRNGVVSLPRCRHLALLLRSPSRKCSSSFIPSPPLLGQFRRIRCFKDQKVLRGSRKATNKLNALNNFLDERVLSNILWWKERMEMCRKPSTVQLVKRLDYSNLLGLDVNLKNGSLKEGTLNWEILQFKSKFPREVLLCRVGDFYEALGIDACILVEYAGLNPFGGLRSDSIPRAGCPVVNLRQTLDDLTRNGFSVCIVEEVQGPTQARSRKGRFISGHAHPGSPYVFGLVGVDHDLDFPEPMPVVGISHSARGYCINFVLETMKTYSSEDGLTEEALVTKLRTCRYHHLFLHMSLRSNFSGTCRWGEFGEGGLLWGECSGRHFEWFEGNPVIDLLSKVKDLYGLDEDVTFRNVSVSSENRPHPLTLGTATQIGAIPTEGIPCLLKVLLPSNCTGLPLLYVRDLLLNPPAYDISSTIQATCRLMSDITCSIPEFTCVSPAKLVKLLELREANHIEFCRIKNVLDEILQMRKTPELCEILQLLMDPTWVATGLKIDFETLVNECESTSGRIGEMISLDYEHDQKLSSFPIVPSEFFEDMESSWKRRIKRIHIEEAFAEVEKAAEALSLAVTEDFVPILSRIKATTAPLGGPKGEILYAREHEAVWFKGKRFVPAVWAGTPGEKQIKQLKPALDSKGRKVGEEWFTTMNVEDALTRYHEAGAKAKTRVLELLRGLSSDLQAKINILVFSSMLLVIARALFAHVSEGRRRKWVFPTLGESYRSKDVKPVNGENGMKIVGLSPYWLDVAEGSAVNNTVDMQSLFLLTGPNGGGKSSLLRSICAAALLGICGFMVPAESALIPHFDSIMLHMKSYDSPSDGKSSFQVEMSEIRSIVSGATKRSLVLVDEICRGTETAKGTCIAGSIVETLDTIGCLGIISTHLHGIFSLPLNTKNTVYKAMGTVYVDGQTKPTWKLMDGICRESLAFETAKKEGIPEIIIERAEDLYHSAYANEVLLGKNGTKLEQFCSTGFSSSDKSHPQSSSDKVEAVHKTGSTNRMEVLQKEVESAVIVICRKMLIELYKEEKTSEVTDIHCVPIGAREQPPPSTIGVSCVYVILRPDRRLYVGQTDDLEGRVRAHRSKEGMQNANFLYFTVPGKSLACQLETLLINQLPYQGFHLTNVADGKHRNFGTSNLALDGVSVCR >ONI27654 pep chromosome:Prunus_persica_NCBIv2:G1:7865358:7867243:-1 gene:PRUPE_1G098400 transcript:ONI27654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISNNLLGLLNILIFLVSIPIVYFGIIANKTNSGGECLDLFRKPFLVVGVFVMVMSIFGIIGGCCRVPWILWTYLAIMFLVLFAYFCMWIFAFFVTWKPGGGTEILGTGHKEFKLNRYSEWIQMRVQDEKNWKKIKHCLILGDYCPSLMPGNGTSLRDFNKEELAPVLSSCCMPSVECGFSYETPIVWKKKNNSASSNPDCKAWDNDRKVLCFNCESCKAGMLEQLIISWKAMHLFRTLMLGFLCLVYAVACCAFKNTREKF >ONI34944 pep chromosome:Prunus_persica_NCBIv2:G1:41872076:41876171:1 gene:PRUPE_1G507500 transcript:ONI34944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAEAQPDLCLGFNAHHCSGSTNSVQSVPLQLLDLKEEKIRVENGDDSHEEEDDEKFSLLGHSICPKRPRDGSGFALNPTPSKCLAVESAGLEARRDAVRSWGNQPLSVSDPEIHEIMEKEKHRQFKGIELVASENFVCRAVMEALGSHLTNKYSEGMPGARYYTGNQHIDQIELLCHERALTAFSLDPEKWGVNVQPYSCTSANFAVYTGLLLPNDRIMGLDSPSGGHMSHGYYTPSGKKVSAASIFFDSFPYKVNPQTGYIDYDKLEERAVDYRPKILICGGSSYPREWDYARFRHIADKCGAVLMCDMAHISGLVAAKECASPFDYCDIVTSTTHKSLRGPRGGIIFYRKGTKLRKQGMHHTNGDGSGHYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKRNAQALANALLRRKCRLVTGGTDNHLLLWDLTALGLTGRNYEKVCEMCHITLNKTAIFGDNGAFSPGGVRIGTPAMTTRGCVEADFETMAELLLRAAQITVNVQREYGKFQKDFSKGLQNNKDIIELRNRVETFASQFAMPGYDI >ONI29082 pep chromosome:Prunus_persica_NCBIv2:G1:15609522:15620416:-1 gene:PRUPE_1G180100 transcript:ONI29082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMKIVFGLLTFVTVGMIIGALFQLAFIRRLEESYGSEFPSPRRVRRSLNDGYLELPGGSHWNNDKEAKILRLGYVQPEIISWSPRIIVLHNFLSMEECDNLRTIASPRLEVSTVVDTKTGKGIKSSVRTSSGMFLSHEEKKYPTIQAIEKRISVYSQVPVENGELIQVLRYEKNQFYKPHHDYFSDTFNLKRGGQRVATILMYLSDIVEGGETYFPLAGSGECSCGGKVVRGLSVKPVKGDAVLFWSMGLDGQSDPNSVHGGCEVLAGEKWSATKWMRQRTIS >ONI29083 pep chromosome:Prunus_persica_NCBIv2:G1:15609617:15620396:-1 gene:PRUPE_1G180100 transcript:ONI29083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAISSCPEVGSHWNNDKEAKILRLGYVQPEIISWSPRIIVLHNFLSMEECDNLRTIASPRLEVSTVVDTKTGKGIKSSVRTSSGMFLSHEEKKYPTIQAIEKRISVYSQVPVENGELIQVLRYEKNQFYKPHHDYFSDTFNLKRGGQRVATILMYLSDIVEGGETYFPLAGSGECSCGGKVVRGLSVKPVKGDAVLFWSMGLDGQSDPNSVHGGCEVLAGEKWSATKWMRQRTIS >ONI30389 pep chromosome:Prunus_persica_NCBIv2:G1:26095317:26098747:-1 gene:PRUPE_1G248400 transcript:ONI30389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSLDKMIRLWIAALQFSELIVSSIVHMLYGFYIFSTAVAGDLSQALNELLSKPNMNIEVKEEVVPRDTSTNEILPPIVLVHGIFGFGKGRLGALSYFAGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGRVDYGEEHSKACGHSQFGRLYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGYESTNENWVLSLTSLSGAFNGTTRTYLDGMQPEDGRTMKPICLLQLCRIGVIIYDWLDIPWLKAYYNFGFDHFHISWKKVGVWGLVDCLLGNSGPFASDDWILPDLTIQGSLRLNSHLHSFPNTYYFSYATKRTKKILGVTVPSSIFGIHPLLFIRVLQMSQWRHPPDVSSPYKGYRDEDWQDNDGALNTISMTYPLIPVEHPSRFMKDDSDCHPLQPGIWYYKIVEADHIFFIVNRERAGVQFDLIYDSIFERCRKHVFRKTPQTLPNETHH >ONI34608 pep chromosome:Prunus_persica_NCBIv2:G1:40784377:40787208:-1 gene:PRUPE_1G490000 transcript:ONI34608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVIDFSKLDGEERAQTLAQLANGCEEWGFFQLVNHGISDELLERVKKVSSECFKLEREEEFKKSALVKSLNGQKLENVDWEDVFTLLDDNEWPSKTPGFKETMTEYRTELKKLAERIMEVMDENLGLPKGYIKRAFNGGEEDNAFFGTKVSHYPPCPQPELVTGLRAHTDAGGVILLFQDDQVGGLQILKDGQWIDVQPLHNSIVINTGDQIEVLSNGLYKSVWHRVLATTTGNRRSLLHSTTHHSRPP >ONI35112 pep chromosome:Prunus_persica_NCBIv2:G1:42489506:42490485:1 gene:PRUPE_1G516600 transcript:ONI35112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLLLFLLAVFPDHAIAHGRPRFTAGPWKQAHATFYEGGSGTFGGACGYHDVVQEGYGLETVALSNALFNNGQSCGACYDIKCVDQPQWCKPGSPILHATATNNCPPNWNQASDNGGWCNPPREHFDIAKPVFLNIAEYKAGIVPVEYRRVPCQKKGGIRFTITGNPYFNEVLVWNVAGAGDVVSVQVKGNKLKWTMMKRMWGQRWVTDAKLVGESLTFRVLASDKRYSTSWHITPKNWQFGQTFEGKNFR >ONI32920 pep chromosome:Prunus_persica_NCBIv2:G1:35047053:35052201:-1 gene:PRUPE_1G393800 transcript:ONI32920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDAFQADGKSIRYVSSELATDVIINVGEVKFYLHKFPLLSKSNRLQKLVSKANEENSEEVYMVDFPGGPKSFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMTEDVDRSNLVFKIEVFLNSSIFRSWKDSIIVLQTTKPLLSWAEDLKIVGRCIDSIASKTSVDPANISWSYTYNRKLAETDKIVEEGMNYRVEKVPRDWWVEDICELDIDLYKRVMIAVKSKGRMDGAVIGEALKTYAVRWLPDSVDALISDEHALRNKSLVETIICLLPSDKGMGCSCSFLLKLLKVSILVGADYSLREDLVKRISLKLHEARIVDLLIPARSPQITIYDVELVHSVVNQFLMHEKCNWNLDVVEKNEKGSDKFILGHGSLLSVGRLIDGYLAEIAHDPNLNLSSFIDLSQLIPESARPIHDGLYKAIDIYLKEHPSLTKAERKRICGLMNVKKLTMEASMDAAQNELLPLRVVVQVLFFEQVRSAAGVRAANNHPSDASLSTTNLDEECNKMALAEEENCKPLGKHMSEIKVTEEFQKNGKLGKKNSKNSRSGVQLLPSRSRRIFDKLWSVGKGQHVENRSSETSGSSQSPTSIVPGDTKSVSSSRHRRHSIS >ONI28805 pep chromosome:Prunus_persica_NCBIv2:G1:13067246:13068751:-1 gene:PRUPE_1G162600 transcript:ONI28805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DMETLIQTRCKSGTLKSEEALGYFNSMIQTKPIPSNWTFKCLFGALYKMKHYSALVSMYKQLMGCRQFQPDVTTMNIFMNCLCRLNRVDLGFSVLALTFKYEKGYPDVVTYNTLIYGLCQPGKWEKAKNFLICMVDSGIPPDVYTYAVLISALCREERIQEALALFEDMTGKGINPNVFIFNSLVSASCKCCKWEKASQLFQNMIDCGSLPDIVTFNAVLDALCKEGKMSRRGQMPNLVTYNSLINGLCHSGQWREATKLLNKMMGEGIPPNVVTLNIVIKYLCKCRRTNEALTVLELMTEGGLKPNLVTYNCLIYSMCHRAQSGEATRLFDEMVGRGILPDAITLRTLLDALCQVRMTEEARKVFEAKIKYGMELSTISCSMLVNEYCLLGRMDKAKKVFNFLVALGHVPDIASCYNALVNGYVQDKRMGEALRLAKEMIQKGLRPDLETQKSLKGFRCRRHVASVE >ONI26309 pep chromosome:Prunus_persica_NCBIv2:G1:1176916:1177437:-1 gene:PRUPE_1G016500 transcript:ONI26309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSLEITLRPYRPSDAEDFLTYAGDEKVTQFTRWNTFTSKEEALSYIKDFCIPHPYCRSICIHDRSIGFLFIKPQGGDDKCRAEVGYALATEYWGQGIATRAVKMAISDGFKELTDLVRMQALVLVENRASQRVLEKLGFYKEGLLRKYTFHKGAVHDVFMYSLLFSDSMP >ONI30189 pep chromosome:Prunus_persica_NCBIv2:G1:25025827:25032690:1 gene:PRUPE_1G236200 transcript:ONI30189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFMNESYADRRLPHHRNPKEFVPLDHLADLWVMENLGQSFRARSLNLIARMHHSLLSVPTLNAPLLMRHYFSAPSFLVSNFPSNQELVEPVTPDYDEERVEQC >ONI33013 pep chromosome:Prunus_persica_NCBIv2:G1:35332027:35336843:1 gene:PRUPE_1G399800 transcript:ONI33013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTITKNPMFLCFLFFSRSFSMAVAAAAENKTILVNVGVVVDLDAQILGGKIFLSCIKMALEDFYASHAHFKTRLVLHTRNSKNTVVGAASAALDLIKNVPVQAILGPATSMQACFVINLGDQAHVPILSFSATSPSLTSLRSSYFFRLTQTDSYQVKAISAIVKHFGWRQVVPIYVDNTYGEGVIPFLIDALQDVDAHVPYRSVIPPSATDDQVGKELSKLMAMPTRVFIVHMTPKLSNSLFAKAKEIGMMSKGYVWILTNGVGNRLWSTRSVALNSMQGVLGVETEVPITMELTNFRMKWKRQFQQDNPAIIDFDCDVFGLRAYDAAFALALAVEQVGNASFDFQKRNPSFNSTDLDIFKASQYGPKLVQALSNTTFKGLAGEFSLKDGQLQPSTFKIVNVNGTGVSSIAFWTPENGMVKTLNSTNISILSTSEKCDLIPIIWPGGSQSVPKGWEIPTNGQRLKIGVPMKVAFTEFVKVAKNHSTNTTDVTGFSIDVFKAALEVLPYDLPFDFIPFAKPDGTSAGTYNDLVYQVYLEEFDAVVGDITITANRSLYVDFTMPYTESGVVMVVPIVDTGSKNAWVFLKPLTWDLWITTFCFFVYIGFVIWVLEHRINEDFRGPPSHQVGTSFWFSFSTMVFSHKERVVSNLGRFLMIIWVFVVLVLSQSYTANLASLLTAERLRPTVTDIKDLIRSGDSVGYMKNSFVHELLKQIGFDESKLKAMTSMEDCDQALSKGSEKGGITAFVNETPNIKLFLAKYCSKYTMIGPIFKTDGFAFVFPKRSPLVPDISQAILNVTEREKTMNIENNWFSRGGKCQDNSGPRVSSNILGLESFWGLFLIAGVASILALIIFVASFLYKQRHILKHAGVRAMFEIFNEKDLNSHAFKSSPQRNGSAGDQVEVKTSPNSNWPESPFSYSNHTDKDFVFLDGQETPSTTSHASPDIVPTTELARRYPRNA >ONI30682 pep chromosome:Prunus_persica_NCBIv2:G1:27439942:27443060:-1 gene:PRUPE_1G266600 transcript:ONI30682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHESPSSEPLLQQKDAITVQRRRNGWKAVPYILGNESIIKMATYGLQTNFMVYLVREYHMDQVSAANILNIWTGVYCLLTVVGASVADIYLGKFLTIALASLASLLGMVTITMSAFVPQLRPPPCILDGQKHLVQCVSNTKTQLGFLLAGLSLLAIGTGGIAPCSIPFSVDQFDSTTVEGRKSVQSFLNWYYASSTVIMLINQTLVVYIQDSVSWALGFGIPTLLMSSVIPLFLAGSKIYHHVKPEGTTFISFAQVLVAAYTKRHLKLHNDERVCGVFLDVSSDGNVVLSKHSLTTKFSSLKKAALVVDDDLKDDGSCANPWRLCSIQQVEEVICFMKILPIWASGCICFMTSSQEGTFVVSQALKMDRHIGPNFEMPAGSIKVMSLITLCLCIPLYNRVLQPALRKITKHENGITTLQRIGLGYLLSILFAVVAGLVEQQRRASALSQASADGVAPMSVFWLFPQLMLLGMVELFGFVGHIELYNKEFPEKMRSIGNSLIYLCIAGATYLSTLMVSIVYSVTGKHGEPNWLDNDINAGRLDYFFILIAALGVLNFAYFWSCARGYTYTSSECIKDV >ONI32206 pep chromosome:Prunus_persica_NCBIv2:G1:32895911:32898328:-1 gene:PRUPE_1G353900 transcript:ONI32206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEASGHVVTVELKSGELYRGSMVECEDNWNCQLENITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDSRIKGKGASLGVGRGRAVAMRAKAQAAGRGTAGRGVVPPVRR >ONI36322 pep chromosome:Prunus_persica_NCBIv2:G1:47218652:47225279:-1 gene:PRUPE_1G580900 transcript:ONI36322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKPDHDEDRDSEPDVIDGVGAETGHVIRTTVGGRSGQSRQTVSYISEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHCFFSTTDKEEVYLNLVLEFVPETVNRIARNYSRINQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPNTRLPNGRPLPPLFNFKSQELQGITPDIVNRLIPEHARKQNLFMALHT >ONI36257 pep chromosome:Prunus_persica_NCBIv2:G1:47081646:47086638:-1 gene:PRUPE_1G578600 transcript:ONI36257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAFRLSCFTSPPNLTHQRISVRPKTPSHFPPPKLTTLPTIRAVQENSEHFAVSPGKPRRENILSTAASLYPFYVTAGGVVACLKPSAFSWFVERSPASYTLSLGLIMLSMGLTLEYKDLLGLFRQRPLSILFGCVAQYTIMPVFATLVAKIFGLPPSLSVGLILLGCCPGGTASNVVTLIAQGDVPLSIVMTVCTTLGAVALTPLLTKILAGTYVPVDAAKLSISTMQVVVAPILLGSYMQSAFPAVVKIVIPFAPLFAVLAASLLACSVFSENVVRLKASMVAVTLPADLSLIARAQTMLSGEVGVVILSVLLVHIAGFFVGYISAAVCGFREAQRRAISIEVGMQNSSLGVVLATSHFTSPMVALPAALSAVIMNIMGSSLAFFWRYVDPSDSKETPTVKVK >ONI36237 pep chromosome:Prunus_persica_NCBIv2:G1:46977682:46981241:-1 gene:PRUPE_1G577000 transcript:ONI36237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAMLLTSPSYCRPQQALQQQPSTSWSLFLGGSHVSFSRGHNLARPCSRVRLRSASRSLVVKAVATPNSAVELSLTAENVESVLDEIRPYLISDGGNVALHEIEGNVVRLKLQGACGSCPSSVMTMKMGIERRLMEKIPEIVAVEPIADGETGLELNEENIEKVLEEIRPYLVGAAGGSLELVTIEEPIVKVRITGPAAGVMTVRVAVTQKLREKIPSIAAVQLL >ONI26284 pep chromosome:Prunus_persica_NCBIv2:G1:1088007:1090643:-1 gene:PRUPE_1G015100 transcript:ONI26284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLTPNSLASLVQSALSTQSTRLGRAAHAQMIRTLDAPLPSFLSNHLVNMYSKLDLPDSAQLVLQLNPSRSVVTWTALIAGSVQNGHFASAILHFANMLRESVQPNDFTFPCAFKASGSLRLPATGKQVHALAVKAGQICDVFVGCSAFDMYCKTGLRDEARKVFDEMPERNLATWNAYMSNAVLDGRPQNAVYKFIEFLRAGGEPNSITFCAFLNACSDTSNLELGRQLHGFVMRCGFGKDVSVLNGLIDFYGKCREVGSSMMVFDTIDKRNDVSWCSLVAACVQNDEEEMACELFLRARKEGVEPTDFMVSSVLSACSGLAWLEQGRSVHAIAVKACVEGNLFVGSALVDMYGKCGSIEDAKCAFNGMPSRNLISWNAMVGGYAHQGHANMALVLFEEMTVRSHEVKPNYVTLVCVLSACSRAGAVETGMQIFESMKAKYGIEPGAEHYACVVDLLGRAGMVERAYEFITKMPIRPTISIWGALLGACKMYRKTELGRVAADKLFELDPKDSGNHVILSNMFAAAGRWEEATLVRKGMKDVGIKKGAGYSWIAVKNAVHVFQAKDTSHERNSEIQAMLTKLRREMEKAGYIADTNFALFDLEEEEKVSEVWYHSEKIALAFGLIAIPPGVPIRITKNLRICGDCHGAIKFISGIVGREIIVRDNNRFHRFRDGHCSCRDYW >ONI29520 pep chromosome:Prunus_persica_NCBIv2:G1:19758018:19758440:1 gene:PRUPE_1G200800 transcript:ONI29520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGLSMRKKVILQPLQSISGLQQNGATTSIHGL >ONI31974 pep chromosome:Prunus_persica_NCBIv2:G1:32151023:32152194:-1 gene:PRUPE_1G342400 transcript:ONI31974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSTLASSSSSSSLFHFKLTPSKPTQPFLLRFAPPHSHNSHHAPALKITASSTNHNTLLPSNGGHNSNPILQNLKHYAKAAILIGVAATMFTKSSTLLARAEPQPTLTEQAPTQVSDDENQSSSPLSDFLGSNSEAIEALKSLLQQKLENGEDGEALKILQRLASAQPSATEWKFMMARVLSEMGENENARQVFEEILAADPLSFEALFENALLMDRSGEGEAVMKRLEDALQIAEEENKAKEARDVKLIMAQIQFLQKNVEDALNSYNELAKEDPKDFRPYFCRGMIYSLLDRNAEAREQFEKYRQLSPKKFEVDGYLRTPLSRVKLFGNDEN >ONI30854 pep chromosome:Prunus_persica_NCBIv2:G1:28208717:28209710:-1 gene:PRUPE_1G277200 transcript:ONI30854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFTNTTTTFLHAQITKPQNYLCKISLPAIKASLPGAEEEENNATSRRKIVTSFLGTSLALGVHQHGIATPLGLAENWGTRSFIRERFFEPGLSPEDAVARIKQTAEGLHSIRDMLEAMAWRYVIFYIRLKSAYLSQDLKNAATLVPDVRRQEYVKTANELVNNMTEFDYYVRTPKVYESYLYYEKTLKSIDDLVALLA >ONI28168 pep chromosome:Prunus_persica_NCBIv2:G1:10023220:10024097:-1 gene:PRUPE_1G128200 transcript:ONI28168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYSDESTSVIPPPRLFKALVLEADTLIPKIAPQSVKSAEIVEGDGGVGTIKKISFGEGSHYSYVKHRIDGLDKDNFVYSYTLVEGDALSDKVEKISYEIKLVASADGGSVIKSTSNYHTKGDVEIKEEDVKAGKEKATGLFKLIENYLVANPDAYN >ONI32439 pep chromosome:Prunus_persica_NCBIv2:G1:33650277:33653700:1 gene:PRUPE_1G367700 transcript:ONI32439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIKLHVTTSYLNLNLNTSKNTTKVANFKVKLRTKPIYHCDPLLSVVSNTSAMHASALPGQPAIRSSLIDPDGGALVDLVVPESKKASKALEAESLPKVNLTKVDLEWVHVISEGWASPLRGFMREDQYLQSLHFNSLRTQDGSLVNMSLPIVLAIDDETKERIGSSPNVGLLGPNGDLIGILSSIEIYKHNKEERIARTWGSTAPGLPYVEEAITPAGNWLIGGDLEVLEHIKYNDGLDHYRLSPQQLRKEFDRRQADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKPDDVPLDVRMEQHSKVLEDGVLDPETTIVGIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDNVEKKMAFFDPSRAKDFLFISGTKMRAFAKSGENPPDGFMCPGGWKVLVNYYESLQTEEASQQQAVLST >ONI31663 pep chromosome:Prunus_persica_NCBIv2:G1:30954278:30956181:1 gene:PRUPE_1G324400 transcript:ONI31663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKMRCFKPPALAMIAMFLLIASVAGPVYADETPPAPGEEKCGSCSQSPPPPSPPPPSPPPPSLPPPSPKKPPPSTPYCPPPPSTPSTPSTPSTPSTPSTPSTPLIYITGPPGDLYPVDHSFNGASRSLVTGLPVLVGCGLLGLLLAFW >ONI28068 pep chromosome:Prunus_persica_NCBIv2:G1:9480089:9481210:1 gene:PRUPE_1G120800 transcript:ONI28068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRREKNWIRQMDYYHDTNPLKNYGTERFRPENQPLLSNMECIQKKAPGPISNRIFILDRKGGLPLYIFLVIKQELKY >ONI27226 pep chromosome:Prunus_persica_NCBIv2:G1:5365775:5371354:-1 gene:PRUPE_1G074800 transcript:ONI27226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQPTENGSAKVTEPDLQQPASETTTASITVPESDQTATTATADNNPNQNPEPDSGPQPEPGAQETTTTVSGDATSIADKRWPGWPGDCVFRLIVPVLKVGSIIGRKGELIKKMCEETRARIRVLDGAVGTPDRIVLISGREEPEAPLSPAMDAVIRIFKRISGLADSEGDAKVSGPAGVAFCSIRLLVASTQAINLIGKQGSLIKSIQESTGASVRVLSGDEVPFYAAAEERIVELQGEALKVLKAVEAVVAHLRKFLVDHSVLPLFEKTYNATISQERQPDTWADKSLLHTASQTGVSTNYSLSAKRESLFLDRETQLESQLPSAGISLYGKDPSIYGKDPSIYGKDPSIYGQDPSIYGQDPSLSSIRSSGIGRPGAPIVTQIAQTMQIPLSYAEDIIGVEGSNIAFIRRSSGAILTVQESRGLPDEITVEIKGTSSQVQMAQQLIQEVINSRKEPVTSSYGRIDTGMRSSYSQLGASSYPSSSLPSQPYGGGYGSSGLGGGYSTFRL >ONI27560 pep chromosome:Prunus_persica_NCBIv2:G1:7415833:7424478:-1 gene:PRUPE_1G094900 transcript:ONI27560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSSTTRISARSSMIDSFKSCTLSGMTIDKEELKKKLLMPQYLRFAMRDSIRLKDPSAGESRLPGSRDLVATATAENDDQTPPESPMVVFINPRSGGRHGPMLKERLQMLMGEEQVFDLSDVKPHEFVQYGLGCLELLADLGDICAKECRQKIRVLVAGGDGTVGWVLGCLSELNRQGREPVPPVGIIPLGTGNDLSRSFGWGGSFPFAWKSAIKKTLFRATAGPICRLDSWHIVLSMPAGTVMDPPHSLKATEECTLDEGLEIQGDLPEKAICHEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPISNKLVYSGYSCTQGWFFTPCTSDPGLRGLKNILRMHVKKVNCSEWEQIPVPSSVRAIVVLNLHSYGSGRNPWGNLKPEYLEKKGFVEAHADDGLLEIFGLKQGWHASFVMVELISAKHIAQAAAIRLEVRGGEWRNAYMQMDGEPWKQPMHEEFSTLVEIKRVPFQSLMIHGDNH >ONI28719 pep chromosome:Prunus_persica_NCBIv2:G1:12470713:12473080:1 gene:PRUPE_1G157200 transcript:ONI28719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEYVLGFCAKKLCLLCARARTLISPFLSSLFSRCPRLGQIDQPRWDDLPMDCLVNVLEKVGMRSLLLDVPFVCKSWYRASLHPLCWQRLIFVDTETKMDLETHRPWRYHSEIEAEIDIQSDRLCFESLMSRFVSQYQIDDSCFSDTAFITSVVNRSRGHATFLRLPGFCPEAALKYVGDVCHELKGLSLPRRLLLCSSSIVKELIGKCKHLELLSLGGSHNLEEILLQFSMGCCRNFLNINVPAVLMNRQGAMKIPYLIPNVSFLSSYNLEEILAQIRIHCKNFCRLNMSGALIKREDVVAIVNLLPHIKYLILRKAYIDRGDLLTLLRGCTELVLLDARDCSGFDEGDVEISVLASHIGKFSCEGSKCVDDPLLWLRTHISI >ONI34194 pep chromosome:Prunus_persica_NCBIv2:G1:38962683:38963212:1 gene:PRUPE_1G467700 transcript:ONI34194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLSKYNNPNRTHGRYSAGGKAIKEEEPSILISEQPIKIGGSISKAAMVCNLYRHHVFFSGRSSDTTFVSKEQRVASFPTLFLYRQQPSAFESTDQTSFLGTEREKPRKRVHRN >ONI36121 pep chromosome:Prunus_persica_NCBIv2:G1:46470429:46473043:1 gene:PRUPE_1G570400 transcript:ONI36121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPYPNPISPSQTRIGWIGIGVMGAAMASRLLSAGYSLTIYARNPSKAHPLQSQSDVVFTMVGHPPDVRSNIIDPETGLLWGLRPNSVSVDMTSSHPALAREIFNAAHSKDCWTVDAPVSGGDIGTREGKLAILAGGDASVVEWLAPLFDVLGKVTYVGPAGSGQNCKIANQIVRAGLDVQHFMEAVRGGAAGSMVMELFGDRIIGRDFRPGGFAEYMVKDLGMGVDVVEENEEGRVAVLPGAALCKQLFSGMVANGDGKLGGQGLITVIERLNGK >ONI29740 pep chromosome:Prunus_persica_NCBIv2:G1:22579083:22579737:-1 gene:PRUPE_1G212300 transcript:ONI29740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRLGLGWKPALRLCWWAQGRIRAVKACFTHSPSTPPIRTSEFVIVDLRMLQRNDPGCDIGEHIQPEGEEDDSEGTVVWRERARWAESWRGMHEARVSRAMTLASSCSGSNNICANVSL >ONI34621 pep chromosome:Prunus_persica_NCBIv2:G1:40827093:40829026:-1 gene:PRUPE_1G490800 transcript:ONI34621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTRCSLVFLIFSIISRINSATSSCPMDLNYVETFPWDTSLCLDPNGKHCCQTLLSLFGIGLAQHLKKTSMFQLPNSNISSSCLSNFQTSLAALSLDPSIVPLCFENSTQFVASPSSCAGIVTIQDWTQKVGPMNPLDTSCKGDLEGLTRCSSCLESGMKVNSQLTGLDANATKCFYFTVLYAAGIVNELGPSEPRTASCILGLPLSGSADKQSNDQLSKKTLLKWVFGVLGALIGILLAVGIIVLYRKWGKKEKQIALHEEYVTSFRTSFLPNSGAKWFAVSELERATNGFSQKNMIGQGGYGVVYKGTLSDGTLVAVKHVLDLDSKGDEEFSNEVEIISKIRHRNLLSLRGCCVTSDDLKGKRRFLVYDLMSNGNLSDQLCSRQGLNWPQRKSIILDVAKGLAYLHNGLKPAIYHRDIKPTNILLDSELKAKVADFGLAKQSSEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGIVILEIMSGRKVLDTSNNSGSNPSFVLITDWAWMVLKSGKVEEIFDEAIRENAPKGVMERFVLVGILCAHVMVAFRPTISEALKMLEGDIDIPKLPDRPMPLGNESHRSSFGFSRLLASEGSRPRFSSSSMNTT >ONI36342 pep chromosome:Prunus_persica_NCBIv2:G1:47258059:47259085:1 gene:PRUPE_1G581400 transcript:ONI36342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVTFLVHVHKDTGKIHVFRSQRQTNQIISMFLNFENIIQTEYSKSNTRDRNGPMTISVGAAKPGLCGSPNTTECLSVMTITKH >ONI30689 pep chromosome:Prunus_persica_NCBIv2:G1:27479026:27481456:-1 gene:PRUPE_1G267300 transcript:ONI30689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSMVHFESASGEDSLDRSFFRPIDKENGDDDYDASLNQPGKKRRLTVDQVQFLEKNFEVENKLEPERKAQLAKELGLQPRQVAIWFQNRRARFKNKQLEKEYDSLKASFDKLKADHDNLLKENGSLKNEIDSLKDMLILKDKGKPGKRNLELHDANNIISSDVNPQSATPNAAASDNVPMVVCKQEDASSAKSDVFDSDSPHCTENHSSLLEPADSSHVFEPAEQSDFSQDEDDDLSRTLLPPPYLKLEDSCYDEPPASSNNFVFPMEDQQPFCFWPY >ONI30690 pep chromosome:Prunus_persica_NCBIv2:G1:27479171:27480979:-1 gene:PRUPE_1G267300 transcript:ONI30690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKLYSASDMNVIFQNEKLPCSSDVLESIWVPTSSSSFHGSNSMVHFESASGEDSLDRSFFRPIDKENGDDDYDASLNQPGKKRRLTVDQVQFLEKNFEVENKLEPERKAQLAKELGLQPRQVAIWFQNRRARFKNKQLEKEYDSLKASFDKLKADHDNLLKENGSLKNEIDSLKDMLILKDKGKPGKRNLELHDANNIISSDVNPQSATPNAAASDNVPMVVCKQEDASSAKSDVFDSDSPHCTENHSSLLEPADSSHVFEPAEQSDFSQDEDDDLSRTLLPPPYLKLEDSCYDEPPASSNNFVFPMEDQQPFCFWPY >ONI30691 pep chromosome:Prunus_persica_NCBIv2:G1:27479171:27480103:-1 gene:PRUPE_1G267300 transcript:ONI30691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFESASGEDSLDRSFFRPIDKENGDDDYDASLNQPGKKRRLTVDQVQFLEKNFEVENKLEPERKAQLAKELGLQPRQVAIWFQNRRARFKNKQLEKEYDSLKASFDKLKADHDNLLKENGSLKNEIDSLKDMLILKDKGKPGKRNLELHDANNIISSDVNPQSATPNAAASDNVPMVVCKQEDASSAKSDVFDSDSPHCTENHSSLLEPADSSHVFEPAEQSDFSQDEDDDLSRTLLPPPYLKLEDSCYDEPPASSNNFVFPMEDQQPFCFWPY >ONI35647 pep chromosome:Prunus_persica_NCBIv2:G1:44736213:44738474:1 gene:PRUPE_1G547300 transcript:ONI35647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTKKQLMSSAPWRVEEEAEDEFQDAKLKVTSQPGSTPTMHVPKKKTKRSKDQDYEDSLTEIDPELRYSFQRNFQFLQRVFSIDTVVKPLPPVMAYNVSRNLNFFTRIFTQFFDPEGIASAQKSLGLGQEEKVRKVR >ONI27002 pep chromosome:Prunus_persica_NCBIv2:G1:4435364:4435810:1 gene:PRUPE_1G062000 transcript:ONI27002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQLRVLLVTFLLAAIGVAALRDTHVGLSDGILNRHVNITNGLGPEVDLTVHCKSADDDLGKQLIHYGSTYGFHFRVNIFGGTQFYCSFKWPGQFHWFDIFIQARDHCKNCPWLIKADGPRRFNSETGNYDDVYKWNKPSKFVLTHG >ONI28562 pep chromosome:Prunus_persica_NCBIv2:G1:11616106:11617804:1 gene:PRUPE_1G148100 transcript:ONI28562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKSVFSDDPIPSDDSHTDTQNDDDPNPVPESDHSPPPNSGTGAWSFGGLITTLAAKSESVIQNYRRDFEEFGSGLREETAVIRQVASRAVKDLPASLEVGASVAQESLESVGQAIDDIGSTVWKSTSEIISHGRDVILADDVESDNLDDNVNDNREISGNKRLSSSGSDLRKYSRFEAQVRAIQSNMNTYLEEPEDLESFRSWTLGFVLDEKAEEVENLMRENGVIGEIYGEIVPGKVDNESFWVRYFYRVHKLTEVEEARAKLVNRAISGEEEDLSWDFDDDEDVEKEEGNGSGLKVGTSGTVELEKQKQASFEVARGSDDVESVEIAARKSVSSDCGDKAEAKFDENGVSEGKTDGGDSCKESDVSIVSSQPSMPEEEDLGWDEIEDIGSGDENKGDAPGSSTNRVDLQKRLSTAEEEEDLSWDIEDDEDAAIKS >ONI30881 pep chromosome:Prunus_persica_NCBIv2:G1:28324259:28325963:1 gene:PRUPE_1G279100 transcript:ONI30881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRLLVAAVILGFLSSTSESLRFELQSGHTKCIVEDIKANAMTVGKYSVVNPNEGHPIPDSHKLTVRVTSHYGNNYHYSELVESGQFAFVAAEAGDYMACFWAPDHKPQITLTIEFDWKTGVAAKDWSNVAKKGTVDVMELELKKLFETVTSIHEEMFYLRQREEEMQELNRTTNSRMAWFSLLSLFVCSSVAALQWWHLKTFFEKKKLI >ONI28553 pep chromosome:Prunus_persica_NCBIv2:G1:11587280:11589569:-1 gene:PRUPE_1G147600 transcript:ONI28553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGFEGFEKRLELHFFGDDPKNMGTLGLGLRLLDFDSIQEVLDEVQCTVVSAVANHYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIPPLLRHASLDLGLTLSSCRYTRGNFIFPRAQPFPYTNFQNEVVYLEESLPAALCYRKASVMPSKTPSHAWHVFSASTQNTTCRFGDSDEDDFYTLEICMTELDRDLARNFFRRPGDDKNGDSAGKEMTELTGISQINPRALICDFAFDPCGYSMNGIDGDRHSTIHVTPEDGYSYASFECVGSVYDDREDVVRMLKKVVQVFRPATMSVSTTCESHEVWTRVAGALEPLGFKCRSCAADEFPAAGTVVYQTFVDRRSNNYNNKS >ONI28721 pep chromosome:Prunus_persica_NCBIv2:G1:12486787:12488604:1 gene:PRUPE_1G157400 transcript:ONI28721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKTSGNRDRPVGKASLASLNPSCWERLIFPDIKISYSILFLSNGGKTLQWFHDPKLERFIHQYQIDESHFSTTAFIKFVVNCSNGHATALSLPPCASETDLKYVSDVCGDLKAVGLPGDLVNDKSGVITELIGKWKRLEWLMLGSSYDLVKILSQISIHCKDFWGLRVSNADIFNDEAIAIVNFLPKIKPLILRKAEIDRDALMKLLQGCTELRVLDVSDCIGFSEDDGELLKLPSHITNFSCKGSNDDDFYEEDRNISYFRIGS >ONI29665 pep chromosome:Prunus_persica_NCBIv2:G1:22207692:22208427:1 gene:PRUPE_1G207900 transcript:ONI29665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSSIFSFCNIFKACFSSSNRDDTYSDDGGVYVRRRICPSDEDRGGWTAEPGIDGKASDFITRFYASHVSDPECQTFAV >ONI31153 pep chromosome:Prunus_persica_NCBIv2:G1:29272851:29275215:-1 gene:PRUPE_1G296100 transcript:ONI31153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALGGLIIILPSFLCLLLVLAFIKILHKLWWTPIRIKNLMALQGIKGPSYRFIYGNTKEISNMKKEVMGRPQILSHDILSVVQPHIHSWTKIYGKNYLQWHGSLAQLVITEPELCKQILNNKGRAYLKREPPHLVKKLLGDGLVATTKAEKWAKLRKLATHAFHGESLKSMIPEMVASAETMLERWTVYEGKEIEVFEEFRLFTSEVISRTAFGSSYVEGQDIFEMLMKLGFLIFKNILKVRVPGISKFFKTSDEIESDKLEKGIHASIIELVRKRENKAMAGEKNSFGSDFLGLLLKANHESNDNENQRISVDELIDECKTFYFAGQETTNTLLSWTVFLLALHTDWQEEARKEVLQLFGKQTPNLDGIGKLKTMSMIINEALRLYPPAVSVTRNVEREVRLGKLIVPSNLELVVSIVALHHDPQIWGQDVQLFKPERFSEGVAKATNNHVGAFIPFGLGPRTCVGLNFATTEAKIALSMILQQYAFTLSPGYVHLPLHYLTVRPQHGVQVMLHSL >ONI36440 pep chromosome:Prunus_persica_NCBIv2:G1:47530289:47533780:1 gene:PRUPE_1G585400 transcript:ONI36440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEQRRQLQNHKGEAMITSEMGKEDITLDWRGRPSNPTKHGGMRAAAFVLGLQSFEIMGIAAVGNNLITYVINEMHFSLSKSANIVTNFIGTVFLLALVGGYLSDSYLGSFWTMLIFGFVELSGFILLSVQAHIPQLKPPPCNNIAAADNGEECIEAKGFKSLIFFVALYLVAIGSGCVKPNMIAHGADQFNPEIPKQSKKLSTYFNAAYFAFSMGELIALTLLVWVQTHSGMDVGFGVSAAAMAMGLISLVSGTLYYRNKPPQGSIFTPIAQVFVAATLKRKQVRPSNPQLLHGSQNNVPNDTTTFSTDAGSFLHTEKFRFLDKACIKMQDGTNTKESPWRLCTVTQVKQVKILLSVIPIFASTIVFNTILAQLQTFSVQQGSMMDTKLTKSFHIPPASLQSIPYVMLIAVVPLYDTFFVPFARKFTGHDSGISPLKRIGFGLFLATFSMIAAAIMEEKRRDAAVNSNKILSIFWITPQFLIFGLSEMFTAVGLIEFFYKQSLKGMQAFLTAVTYCSYSFGFYLSSLLVSLVNNITSSSSSSGGGGWLGDNNLNKDRLDLFYWLLAGLSFLNFLNYLFWSNWYSHNPSSSASSAPGNETPMEDYNHYRLDDNNIP >ONI30182 pep chromosome:Prunus_persica_NCBIv2:G1:24908734:24914129:-1 gene:PRUPE_1G235500 transcript:ONI30182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKVLEVLYTFPVEGILNKLASLAAREISLFRGFKKKLTKLRQSLLEIQEFLGDVAHQPQQRGKAVEDWVRKLKDIADDADNVLDEINYEDLRRQVELPNQKRKKLSKFLSNSWFRRNMAHKIKDIKDSLVYLKSEASFIGLVAKKIDATPRGIAGDRETNSFFDEDEIVVGRKEDLSKIITILTNSNLDQENLSVMPIVGMGGLGKTTLAKSVFNDDSIGRHFDKKIWVCVSNTFQVNSILSWILEYLNPTKAGIQRQDALLQNLVEELKEKRYFLVLDDVWNEDPQKWSKLMTCVSKLHSAQGSVVIVTTRSASVASITKKVLPMYVLGGLSLDDCWDILKKRAFPYGNAPTAKDLETIGKDIAIKCAGIPLTAKVLGSMLRSKYSIDEWLEIQNSRIWELPEGDERIISVLKLSFDNLKSPSLKQCFAYLSKFKKDFEMEREDLIQLWMAQGFLCSSPNKDMEDIGHEYFAILSQNSLFQEVERSYPHFGIFIKCKMHDLVHDLAKLVSRSEMEDKLENQHVAWDRSKSSERNAEKRRSLFVNGDQALSNNTSLISFKALRVLNLYRADIEELPSSIGVLIHLRYLNVSRTKIKELPKSIGKLYNLQTLRMEDTWNLETFPKEMENLINLRHVYFHRRDEAVSFGMGRLKHLQTISPFFTLDKESNRRIDELGGLNQLKGELIISGLEHVRDGGQARASNLVGKANLRRLTLEWGEYDEERKEKDNDVLEGLRLNSELEFLKIDKFMGSKLASWMMSGLLPLNLTEIRLMRCIECEQVPSLGHLPNLRLVEFSEMSELKCVGVEFYGYNHVNGAAKKKETLFPALKSLTIYYCPALIKWEELPPTDEKVAVFPRLEELTIESCDSLEFIPITLGKGKGMPCLRKLQIEDCEKLSSLPTSLEYCISLQELSIKECDGLTSLPSGLPSCTSLKKLRIASCHNLISLAHLDVSRLQSLSSLEIFYCLKLKYLSLEGLRSLTRLESMKIGAFSEELDSFPDFELPSQIQTLEIEGWPKLKSLPQQQIQHCTCLQVVSIVSFDSVEALPEWLGNLTSLTTLSIRRCKNLVSLPAVEVMQRLTKLKKLVIDTCPRLGGISTLKSGPEWHKISHIPRIDVSR >ONI32812 pep chromosome:Prunus_persica_NCBIv2:G1:34666317:34667263:-1 gene:PRUPE_1G387400 transcript:ONI32812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLTWTLSLLLNNRQVLKKVYEELDQYVGKGRLLDESDINNLVYLQATVKEAMRLCPAGPLSGQREFTEDCTVGGYHVPKGTWLLVNLWKIQTDPRVWADPMEFKPERFLTTHKDVDVRGQQFELMPFGSGRRACPGISFGLQMTLLTLASFLHSFDVTTQENAPVDMTGSIGLTNIELVKLGSRHRPFNQ >ONI34297 pep chromosome:Prunus_persica_NCBIv2:G1:39344440:39346467:1 gene:PRUPE_1G473600 transcript:ONI34297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSTYPPLPSNLLPDPANPDWMNKADNAWQLTAATLVGLQSVPGLIILYGGAVKKKWAVNSAFMALYAFACVLVCWVGWGYHMSFGETLIKGVPFWGKADVALNQKYLLEQAFSGKFPNATMVYFQFVFAAITLILIAGALLGRMNFYAWMLFVPLWLTFSYTIGAFSIWSPKGFLFKKGLIDYSGGFVIHLSSGVAGFTAAYWVGPRSNKDRERFPPNNILLMLTGAGLLWMGWTGFNGGDPYVVSVDASLAVLNTHVCTATSLLTWLLLDLIFFRKPSVIGAVQGMITGLVCITPAAGVVQGWAAILMGLFSGSIPWFTMMVVHKKSALLQKVDDTMAVLHTHAIAGALGGILTGLFAHPRLCFLFTTSYGQYVGLFYGFKMEVVHTGFRQLGIQLLGIFYVVILNVVVTSLICVLIQFIVPLRMSDADMEIGDEAAHGEEAYAIWGQGEKLENSRYGYDIESSAKNRHAAGQIEMA >ONI34639 pep chromosome:Prunus_persica_NCBIv2:G1:40905905:40906586:1 gene:PRUPE_1G491900 transcript:ONI34639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSYMNNMEEIEAACSILKDGYQLVQGVESEPLTPKEGEGEDAPAPKESEGRRLRLLQKLRLRLRVSIQPDY >ONI35062 pep chromosome:Prunus_persica_NCBIv2:G1:42212475:42213209:1 gene:PRUPE_1G512900 transcript:ONI35062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSIECLTFISFLEQKLFCLPNTNEPMPFNNFTIRSITSTIIQSYNFFLESICISFLLRTKLHWHYFINWKQHMHSHFYNHEMTNKNLCHHLEALKSYSKPSVSTLSVIKIHMF >ONI27953 pep chromosome:Prunus_persica_NCBIv2:G1:9014368:9016181:1 gene:PRUPE_1G113300 transcript:ONI27953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHNDSSASEKKLSEAANVVPTLNAENLQSNMKVIYYSRTFMSIIGGVIAGILGFTGLTGFIFYFLVMAVTSVGLIAKAGFTVHSYFDSWNQIILDGFLGGLLSFVLFWTFAYDIVHIF >ONI31589 pep chromosome:Prunus_persica_NCBIv2:G1:30779961:30781904:-1 gene:PRUPE_1G321200 transcript:ONI31589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVNEGTGPQSYTQNSSYQRGALEAAQEIIKEEIAEKLDIKQLIASDSLNTFRIADLGCATGPNTFLAVQTILEAVQPKLGSQNSQTFPEFQVFFSDLVSNDFNTLFKSLPPQSQRPYFAAGVPGSFHGPLFPKASLHFVHSSCALNWLSQVPKPVAERTSSAWNAGKILYSSREVTEAYSCQFANDMGLFLQARANELVGGGLMALVIPGLPVGILFSETRSALEFELLGSTLMGMANMGLVSKEKVDCFNLPVYFPSLEELKAIIERNREYKIEKMEAISHPKMKTEPKQCISGIKAVFEGIIGKHFGSNVTEELFEQCSIKAAKPSVLLLDTDMPTLHVLLVILKRNGNGNA >ONI28769 pep chromosome:Prunus_persica_NCBIv2:G1:12745467:12747460:1 gene:PRUPE_1G160200 transcript:ONI28769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELVQHTSLYKYQNTGQNPHQPNKDKEECDVPNPILLDQARSSTADHVPSKGGNKNVETNLSSSNQNKYQIESDQNQPAHDYELGLNNGKERKDNKPLGDKKNGNCEADKKQTPILIAAKMGVTEMVRTILDKFPVAIQDVDSDNKNVVLLAVENRQPHVYNLLRKRKILKESLLRQLDNQGNSALHLAARCGQYRPWLIPGAALQMQWEIKWYKFVKSSMPHGFFVRYNKKGQTPKEIFINTHRNLIKEGSKWLTKTSESCSVVAALIATVAFATSATVPGGLNENTGEPILKDESAFGAFTISSLTALCFSVTSLVFFLSILTSRYEERDFSMDLPRKLLLGLTSLFASIASMLVSFCTGHIFVLKHQLRYVAYPLYAAACLPVTFFALAQLPLYFDLMRAIIRKVPQRSYEVFPH >ONI35852 pep chromosome:Prunus_persica_NCBIv2:G1:45474551:45477030:1 gene:PRUPE_1G557100 transcript:ONI35852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRTSIEAPSSSTWKYRVFLGFRGEDTRKGFTGHLHAALSDAGIRDFLHDNELERAKFIKKPTGAGNPRVHDLHNRLLKAVCRFHWCLDELVKIMECRERLGQKVIPLFYNVDPSDVRKQTGSFAQAFEKHEAGICEGKHEKEKVQRWRNALNQAADLCGEDLKNADSGHEAKFIKKILGEVNKQLHNKYQLDIEHLVGITSRLNDVVRMIDIENSGSKDVVRMIGSFEGRSLLANVREVANQPITGLVGLQKQLLNDILKSKGHIKFDSVAKGIEMIRERLPYKRALVIIDDADDLHQLKAIARKRDWLGPGSRIIITTRDKHLLEQIGVDGTYMAEEMDENEALELFGWHAFESGYPNQEYLDLSKRVIRYCQGLPLALEVVGSFLIKRPTAEWESHLEKLERCPDGDIQKILRISFDGLPNQEKREIFLDISCFFIGMDKDYVTQILDGCGFFATIGIRVLIERCLVTVSEQNQLMMHDLVRDMGREIVYENAHGHPEKLSRLWKREDVTNVLCDESGTEEIEGVALDLDPSLHRDSFLDFIPCLDRDLFQDLTRFSAQAFTKMKKLRLLHLSGVELTGEFNQAIKPHKTLPC >ONI31976 pep chromosome:Prunus_persica_NCBIv2:G1:32158979:32162819:-1 gene:PRUPE_1G342600 transcript:ONI31976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIIRRIHLYIHGSPYSLKMELTKGALGFFLFLFFISSKMLIFLRNLFQMGCRASSHRVSPLSSIPQYSSNCLFINVSSLSLSSSHSSLIACPLVWFTSFLFITRFPFVTKSNPNSFPDNLNTESLRIIIQHDYWDDPRIVNLFGSALAPIWVSKFLVELRGDPKLALKLFRWSKTRIGFCHTTESYCILVHILFYARMYFDAHEILKELVSLRRVLPGCDVFDVLWSTRNVCRLGFGVFDALFSVLVEFGMLEKASECFLRMKKFRVLPKVRSCNALLQRLSKPGKGNFSRKFFKDMLGAGITPSVFTYNIMIGYMCKEGDLDTASCLFAQMKRMGLTPDIVTYNSLIDGYGKVGTLDNSFCIFEEMKDAGCEPDVITFNSLINCCCKFDKMPEALNFLREMNNKGLKPNVITYSTLIDAFCKEGMMQEAVKIFMDMKRVGLSPNEFTYTSLIDANCKAGNLSEALKLKKEMFQEGISSNIVTYTALLDGLCQDGRMEDAKEVFREVLETGIIPNQQIFTALVHGYIKAKRMENAMEIWKEIKGKGVKPDLLLYGTIIWGLCSQNKLEESELVFSEMKGCGSTPNHFIYTTLMDAYFKAGKTKEALNLLQEMLDNGIEFTVVTYCALIDGLCKKGLLQEAINYFRRMPDIGLEPNVAVFTALIDGHCKNNCIEAAKELFNEMLDKGMIPDKAAYTTLIDGNLKHGNLQEALSVEKRMREMGMELDLYAYTSLIWGLSHFGQVQQAKILLDEMIGKGILPDEILCIRLLRKYYELGYLDEAFELQTEMVNRGLITGTCDYAVPNART >ONI26637 pep chromosome:Prunus_persica_NCBIv2:G1:2559787:2561713:1 gene:PRUPE_1G036700 transcript:ONI26637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTSSKGSEAAGVGSNYDRTSELKALDDSKAGVKGLVDAGLAKIPRIFIHDPTKIIKNEPISPNEGSGFGIPVISLEGIAKDAGQRAQVINQVRQASEKWGFFQVVNHEIPVQDLEEMIDGIRRFHEQEQAVKEEIYSRDYTKKVLYNTNFDLYHAPAANWRDTLSCVLAPQAPNLEELPSICRDIVFNYSEKVKSLGATLFELLSEVLGLKPNHLKDLGCAEGLFFLGHYYPACPEPELTMGTSQHSDSSFLTVLLQDQIGGLQVLHENQWVDVKPIHGALVINLGDMLQLISNDKFISVNHRVLAKNVGPRISVACFFRQHLPPENSSRLYGPIKELLSEENPPIYRETTVKDFVAHYYTKGLNGVPALEYFKI >ONI30560 pep chromosome:Prunus_persica_NCBIv2:G1:26818479:26818824:1 gene:PRUPE_1G258600 transcript:ONI30560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRLPVRWDKTVIVVMNAVRVSSPYTPESVSGGTPAANERVKKVLELERKRLQTRGSGQ >ONI34416 pep chromosome:Prunus_persica_NCBIv2:G1:40178426:40182125:1 gene:PRUPE_1G481200 transcript:ONI34416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWSPWTRGGTKRFHVKVNQIKLEGFDYNGKVVGDEKQRVGVVVEVKWKGSQAPFYGRRSSRHQKNYTSHRFLSRGHEVVEWDDEFQSLCSFGWKQGGAFSPWDLTFTLLHGESAILKGMVVLGKVSLNLAEMASKMESQIQRKLSVTLKMEGMNPREATLLVCLSFSEVRNSHDSAGLGQDSANSDKDRLLRGVSYSRSFRKTSNNERKGHGDRVMTSSDWDESSLLSDSEGSSPENELSSNGVSAELSSKPELGSSPSCLIRFDSGQKSWFLRKRKWLSGKPPRRRKVEPYAEKTSKANALQISADNNLGDSIQKSDTTVEYSSNHQHGDCITSRWELKEVFSRDGLAKLKTNVFFASFDQRSEKAAGESACTALVAVIAHWLHSNQDTMPTRSKFDSLITEGSTEWQKLCSNETYINLFPNKHFDLETVLEADVRPLDVLPDKSFIGFFSPEKFECLKGLMSFDEIWDEINRNTMLDKPRIYIVSWNDHFFVLKVEGDAYYIMDSLGERLFEGCNQAYILKFDDSSIMYGKAEAGSEDIAGSESNKEESLEELCSGKECCRQFIKRFLAAIPLGELEEEEKKGTVSTLPLHRRLQIEFHYTSSSSSSSSTLSSATSSTYSLFSGEESA >ONI32883 pep chromosome:Prunus_persica_NCBIv2:G1:34875038:34879545:1 gene:PRUPE_1G391400 transcript:ONI32883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEGVLDEPRDQFPIGMRVLAVDDDPICLKLLDALLRRCKYHVTTTSQAIMALKLLRENKNKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDTKLVMKGITHGACDYLLKPVRIEQLKNIWQHVIRRKKVDSKDQNSCNQEKSHAGNGEGGPGSAGTGNSDQNAKLNKKRKDQDEDEDDDRDEDGHDNDDPSTQKKPRVVWSLELHRKFVAAVNQLGIDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISRVANQQANMVAALGTSDSSYLQMTSVNGVGNFHPLTGPGQFHNNAFRSFPPSGMVGRLNTPAGLGLHCLPSSGMFQLSHAQNPSNSINDQPLIFPGNRNGNILPAPLELDQQHGTEAACVADFSAAVNGTTVYPTSSGLPDAKVFNSSNDALGLADNPILRHTRDSEVGRNYVNQSSVSRASLRSELSPPFLDHGRLNDNWSSASQPSMFCSNSFSSRDSFKQATLLQSNLKDNMPVMSSQIGSSQIDVSISCQSQDSRFGLPIQASAISNNSGQTINNDPFQGWDDHKPDSQYPSDVMCTSMNSLMPVNDTVAPFDQNSDSRRTSFQRNMGFNSIGQSNFLDPLLMKYDNVEPTQDASLKMRPGYLMDQRKLQGGYNPNNVGSLDELVSAMMKQERR >ONI32884 pep chromosome:Prunus_persica_NCBIv2:G1:34875038:34879545:1 gene:PRUPE_1G391400 transcript:ONI32884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEGVLDEPRDQFPIGMRVLAVDDDPICLKLLDALLRRCKYHVLSANGDTKLVMKGITHGACDYLLKPVRIEQLKNIWQHVIRRKKVDSKDQNSCNQEKSHAGNGEGGPGSAGTGNSDQNAKLNKKRKDQDEDEDDDRDEDGHDNDDPSTQKKPRVVWSLELHRKFVAAVNQLGIDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISRVANQQANMVAALGTSDSSYLQMTSVNGVGNFHPLTGPGQFHNNAFRSFPPSGMVGRLNTPAGLGLHCLPSSGMFQLSHAQNPSNSINDQPLIFPGNRNGNILPAPLELDQQHGTEAACVADFSAAVNGTTVYPTSSGLPDAKVFNSSNDALGLADNPILRHTRDSEVGRNYVNQSSVSRASLRSELSPPFLDHGRLNDNWSSASQPSMFCSNSFSSRDSFKQATLLQSNLKDNMPVMSSQIGSSQIDVSISCQSQDSRFGLPIQASAISNNSGQTINNDPFQGWDDHKPDSQYPSDVMCTSMNSLMPVNDTVAPFDQNSDSRRTSFQRNMGFNSIGQSNFLDPLLMKYDNVEPTQDASLKMRPGYLMDQRKLQGGYNPNNVGSLDELVSAMMKQERR >ONI35826 pep chromosome:Prunus_persica_NCBIv2:G1:45414800:45422554:1 gene:PRUPE_1G556000 transcript:ONI35826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSNRALKISPNSPVARMRPLAGKHMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSHLLATLINDVLDLSRLEDGSLQLEIATFNLHSVFREVHNLIKPVASVKKLSVSLNLAADLPVQAVGDEKRLMQIVLNVVGNAVKFSKEGSISITAFVAKSESLRDFRAPEFFPAQSDNHFYLRVQVKDSGSGINPQDIPKLFTKFAQTQSLATRNSGGSGLGLAICKRFVNLMEGHIWIESEGPGKGCTAIFIVKLGFAERSNESKLPFVTKVQANHVQTNFPGLKVLVMDDNGVSRSVTKGLLVHLGCDVTTVSSIDEFLHVISQEHKVVFMDVCMPGIDGYELAVRIHEKFTKRHERPVLVALTGNIDKMTKENCMRVGMDGVILKPVSVDKMRSVLSELLEHRVLFEAM >ONI35824 pep chromosome:Prunus_persica_NCBIv2:G1:45414685:45422620:1 gene:PRUPE_1G556000 transcript:ONI35824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACNCIEPQWPADELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFSMHSRTVAIVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSNRALKISPNSPVARMRPLAGKHMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSHLLATLINDVLDLSRLEDGSLQLEIATFNLHSVFREVHNLIKPVASVKKLSVSLNLAADLPVQAVGDEKRLMQIVLNVVGNAVKFSKEGSISITAFVAKSESLRDFRAPEFFPAQSDNHFYLRVQVKDSGSGINPQDIPKLFTKFAQTQSLATRNSGGSGLGLAICKRFVNLMEGHIWIESEGPGKGCTAIFIVKLGFAERSNESKLPFVTKVQANHVQTNFPGLKVLVMDDNGVSRSVTKGLLVHLGCDVTTVSSIDEFLHVISQEHKVVFMDVCMPGIDGYELAVRIHEKFTKRHERPVLVALTGNIDKMTKENCMRVGMDGVILKPVSVDKMRSVLSELLEHRVLFEAM >ONI35825 pep chromosome:Prunus_persica_NCBIv2:G1:45415420:45420027:1 gene:PRUPE_1G556000 transcript:ONI35825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACNCIEPQWPADELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFSMHSRTVAIVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSNRALKISPNSPVARMRPLAGKHMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSHLLATLINDVLDLSRLEDGSLQLEIATFNLHSVFREVHNLIKPVASVKKLSVSLNLAADLPVQAVGDEKRLMQIVLNVVGNAVKFSKEGSISITAFVAKSESLRDFRAPEFFPAQSDNHFYLRVQVKDSGSGINPQDIPKLFTKFAQTQSLATRNSGGSGLGLAICKRFVNLMEGHIWIESEGPGKGCTAIFIVKLGFAERSNESKLPFVTKVQANHVQTNFPGLKVLVMDDNGSVT >ONI30942 pep chromosome:Prunus_persica_NCBIv2:G1:28535683:28536852:-1 gene:PRUPE_1G283100 transcript:ONI30942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLSSCSTSTFGLRRLSVLALVVVFLSLLLTSAATPAISSPTNIVPRNPSTNQEDKLLHYKVQEANHHPFHPQPCDHQSSVSESSSAQLRSLCLQLHQHKIFHAYQSIPPPPPPPVALDHHDEIDPRYGVEKRLVPSGPNPLHN >ONI26160 pep chromosome:Prunus_persica_NCBIv2:G1:647481:652622:1 gene:PRUPE_1G007500 transcript:ONI26160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVESQNATVSQEKMSNSLFENAMNGEWEKVVEVYGSSQSAKVQEMRITRAGDTALHIAASDGETKIVRQLLQLIGNNASKILQIKNKKGNTPLHLAAVVGDVETCHAMATKDPELVSSLNNENETPLFLAALNGHEKVFLCLHSHCVEECYSFRARNGDTILHAAMSGEYFSLAFQIILWYPELVNYINQSGFSPLHILANTPSAFKSSSRLRPLDRLIYQCLIVEELKGGKEDKKSICPLEKRGRSIIDTGSESHQALTKSWGNRMCSSLCLSKRGNKKEDVENSQQESSSMSAMNQREEDGRESRPNYIYSSCVEFFNLITAVLVAINAIWRIDKIQDEKRRHIWATQVMNQLVEHSNLYKNVQSSGEAPDEKDKFGDVPDPTEFGDVPDPTEKKGNKEDEKKDNIVDKKDKDNNLGDKKTDKDNLEVKKQTPILIAAKMGVSEMVEKILEKFPVAIEDVDSENKNVALLAVENRQPHVYRFLVHSKKITSLLRQVDNNGNNALHLAAKCGGHRPWLTPGAALQMQWELKWYKFVKESLPPRASVRYNKAGQIPQEIFTTSHKDLRKEGSNWLVKTSESCSVVAALIATVAFATSASVPGGLDDKTGSPVFKDKPAFNAFTISSLLALCLSVTALVFFLSIITSRYEAHDFSISLPRKLLLGLTSLFASITAVLVSFCTGHIFLLDRQLRYVAYPLYAATCLPVTIFALAQLSLYYDLIRVIFRKVPQRSYKEYRH >ONI30995 pep chromosome:Prunus_persica_NCBIv2:G1:28709248:28711384:1 gene:PRUPE_1G286900 transcript:ONI30995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSALETLLLITIFLLLPLHAVSSTIHAGHAIPINGLCHDTCGTIPVKFPFGTGFGCGHPDFVRYIKCSSGTLQFSTGTGIYTISSIDYNSSTIVVTDPLMSTCSSMQNSGGFSLDRTSPFTIREENIFVLLGCSTTSPVFDPNEDLCDTGSGSRVCRGLYSCKGVAGIGLQQNAPISTCCVYDSQVGVGSGYALVLPKLQCSSYTSIYEFGDEGDPMKWKFGISLQYNDSYYTNACKDCEASEGLCGFSGLDQSFACICQNGVNTTRSCFEQGYALSGAVELEVQIKTIIGDKIFGFLRVKTIHNQIKFPDKIFGFKFRMNFKVQISDKFLGSNFE >ONI33826 pep chromosome:Prunus_persica_NCBIv2:G1:37837165:37839053:1 gene:PRUPE_1G447900 transcript:ONI33826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQLGVNGGNFLVPCLLERFLEVGSATESEVPLQVCSVKAGGMMSLAIDNLGGLWMWGSCPLESSSNEGGFSLVNSFTPTPVWGFYGHTVVKVACGNEHVVALVSAGETYKGEDLVCFSWGGNNHGQLGLGDKEYRNRPEIVEAFNQDSPSAVYEVACGAFHTALLTHRKRPSDTLESMCWTFGLGENGQLGHGTTQSVLSPEPVKELPQYIYLVSVDCGLFHTSVVSSAGDVWSWGMEKGLGLYPDASYAGRDTGDAVSPLQINGLNGPRFQDPVQVVCGAAHTVLVANDGYKLWSWGRGRSGVLGNGKTIDCYAPTVVLWPPLTEDFKQEELNTADKEATATIDDGDKIGEKNSTGDAETEKRLSSAMKEMKLLQSKLSVMEKYAGILHGSIFGKPMEEQDIPVSLQNSGSYDITREWENMLESADRAKLVRLEKFYRNMLAGVKDKQMKKRIQEMIKECLNSSTINRGKGDV >ONI30427 pep chromosome:Prunus_persica_NCBIv2:G1:26257529:26260890:1 gene:PRUPE_1G250800 transcript:ONI30427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTTDPSYWLNWRFLICAIWIASTMVVASILIWKYEGFNKLGTNRRAAQRETVGSLYKDEAWKTCLKGIHPNWLLAYRIIAFIVMLGLIVANVALDGFGIFYFYTQWTFTLVTFYFGLASLLSLRGICKYRNRVGEDEERGTYVAPTLGENSNPSNASKSLTDEEYHGCEDAGACVYIFQIIYQMCGGAVALTDCIFWLVLYPFLTAADYKLNFMIVSMHSINAVFLLGEALLNCMRFPLFRIAYFVLWTGIFVIFQWILHACKSMWWPYPFLDLSSSYAPLWYLGVGLMHIPCYGVFALIIRMKQLLLSRAFPDSYQN >ONI30428 pep chromosome:Prunus_persica_NCBIv2:G1:26257529:26260890:1 gene:PRUPE_1G250800 transcript:ONI30428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTTDPSYWLNWRFLICAIWIASTMVVASILIWKYEGFNKLGTNRRAAQRETVGSLYKDEAWKTCLKGIHPNWLLAYRIIAFIVMLGLIVANVALDGFGIFYFYTQWTFTLVTFYFGLASLLSLRGICKYRNRVGEDEERDAGACVYIFQIIYQMCGGAVALTDCIFWLVLYPFLTAADYKLNFMIVSMHSINAVFLLGEALLNCMRFPLFRIAYFVLWTGIFVIFQWILHACKSMWWPYPFLDLSSSYAPLWYLGVGLMHIPCYGVFALIIRMKQLLLSRAFPDSYQN >ONI33330 pep chromosome:Prunus_persica_NCBIv2:G1:36216557:36216760:1 gene:PRUPE_1G417500 transcript:ONI33330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFILSFTFKLLSMCFLYIQKEIHGTHTCHNSFLLQNSTRSSRNFCLPITSYFLRLDMKYAFFHRN >ONI29212 pep chromosome:Prunus_persica_NCBIv2:G1:17179800:17182700:-1 gene:PRUPE_1G187500 transcript:ONI29212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDYGVKESWTKEHEFKDPVGYFGTFMLKAITEEGQILGIHRDRLQAYTPTTTGLVTVGVDGLPSWISEAWDLVPRFVSLKDIARGLQLEGENVEPVELEEAAMRSSLIQQIVVVGQDQRRLGAIIVPNKEEVLLAAKKLSIVDVNASDLSKDKMTSLLYEELRKWTSGCSFQIGPILIVDEPFTIDSGLMTPTMKIRRDRVAAQYKEQIENLYK >ONI28327 pep chromosome:Prunus_persica_NCBIv2:G1:10829440:10830284:1 gene:PRUPE_1G138500 transcript:ONI28327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNNNNNIGASGAANHDEDGAMMKEQEQLLPIANVGRIMRQILPPNAKISKEAKETMQECVSEFISFVTSEASEKCRKERRKTVNGDDVSWALGALGFEDYTGPLRRFLHRYREQEGERISSSAANNNNNNNENNQDKDNNNPEDQQQRKLLNHPHIQRPNF >ONI26779 pep chromosome:Prunus_persica_NCBIv2:G1:3225213:3235649:-1 gene:PRUPE_1G045200 transcript:ONI26779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSRLQEKNRKSSGISLHHDEESAARTRPFSCEEIMLRRNNKKLCDNAIDLGNIPRESIVENVSDRFESEGDYGHHKDLPLFVEKSVSEEAVKASSRKKETYNSKSAKTEVDFAKGKDRGGHESESLKDKPKKDTRTEAKGGKTEKQVHSRKKVDKRSIDNFPNESVKKHSRDSKGKERHGDLSRGNSERESKRKYQNGNEDKIRDRNAPKKHDPGKHHLVEVSERKEGKEPSKSRLEKSRLKRGRSRSRDREDRHGFRSVSPRAQKYTSHNLGMRSEIHVLKDRSERQHSDIDRSRVSSNGSSSHYRRHGVPENRLGGYSPRKRRTESAIKTPSPPDRSPEKKRARWDHPPTATDKINKEKGQAVVEFLTPEDALAALSFDGSDFSGSILKIRRPKDFVEVATGDPDKSMAVLETISDVVKDSPNKIFIGGISKSLSSEMLMELISVFGPLKAYHFEVNKELNEPHAFLEYVDQSVTLKACAGLNGMKLGGRVLTAVQAIHDASSLENSGNASLHEIPEYAKPLLKQPSQVLKLRNVLNLEHISLLSEPEVEEVLEDVRLECARFGTVKSVKVVKHCNNYVTTGVFEAVDDAESGGYQNILEFEQKGAKTDTLEEHIDNKFVEFPSNAKEVKEDEVTKGSCFSVTALDDEPTDDFVEEKSCKIGQFGDDIEIKGSENPSNRVPEQLHNQLNSTKDASKCFDVKATEAIEINDLSLENKLMAEEEGSTQEEADGEKLRSFAGKDCSLGTESDANEKIEIKEQNHGKEHDYDLGSIFEPGCVFVEFGRIEASLMAAHCLHGRVFEDRIVTVEYISLDHYRAHFKIEHV >ONI26780 pep chromosome:Prunus_persica_NCBIv2:G1:3225734:3233353:-1 gene:PRUPE_1G045200 transcript:ONI26780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSRLQEKNRKSSGISLHHDEESAARTRPFSCEEIMLRRNNKKLCDNAIDLGNIPRESIVENVSDRFESEGDYGHHKDLPLFVEKSVSEEAVKASSRKKETYNSKSAKTEVDFAKGKDRGGHESESLKDKPKKDTRTEAKGGKTEKQVHSRKKVDKRSIDNFPNESVKKHSRDSKGKERHGDLSRGNSERESKRKYQNGNEDKIRDRNAPKKHDPGKHHLVEVSERKEGKEPSKSRLEKSRLKRGRSRSRDREDRHGFRSVSPRAQKYTSHNLGMRSEIHVLKDRSERQHSDIDRSRVSSNGSSSHYRRHGVPENRLGGYSPRKRRTESAIKTPSPPDRSPEKKRARWDHPPTATDKVLSGSVGSLFNSSNSNMSSNVHEMAIAVAVASATRKSISGASPNSLLSKKNVSIDSVQLTQATRPMRRLCVENVPSSTSENTLVESLNNFLLSSGVNHIQGTRPCISCSINKEKGQAVVEFLTPEDALAALSFDGSDFSGSILKIRRPKDFVEVATGDPDKSMAVLETISDVVKDSPNKIFIGGISKSLSSEMLMELISVFGPLKAYHFEVNKELNEPHAFLEYVDQSVTLKACAGLNGMKLGGRVLTAVQAIHDASSLENSGNASLHEIPEYAKPLLKQPSQVLKLRNVLNLEHISLLSEPEVEEVLEDVRLECARFGTVKSVKVVKHCNNYVTTGVFEAVDDAESGGYQNILEFEQKGAKTDTLEEHIDNKFVEFPSNAKEVKEDEVTKGSCFSVTALDDEPTDDFVEEKSCKIGQFGDDIEIKGSENPSNRVPEQLHNQLNSTKDASKCFDVKATEAIEINDLSLENKLMAEEEGSTQEEADGEKLRSFAGKDCSLGTESDANEKIEIKEQNHGKEHDYDLGSIFEPGCVFVEFGRIEASLMAAHCLHGRVFEDRIVTVEYISLDHYRAHFKIEHV >ONI33974 pep chromosome:Prunus_persica_NCBIv2:G1:38284212:38286339:1 gene:PRUPE_1G456600 transcript:ONI33974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSTTSPNTNIHVDFPNSHPNEDQNQPNTPLLHQCCDELRSFRVSLKWWTLDHSSCLGKFISYFTFIFLTILVPILAAFSLKSPSEDPISFNKLVQLPQSGLAAIGFITLSGFFRKYGLRQLLFLDGLGDDTCFVRRGYTRQLDKAFKCLAYILLPSFFIELAHKIIFFCTVKISLLHISSSVPLNSIMFVLVLASWVYRTGVFLLVCVLFRLTCELQILRFQGLHKLFEGRESESSVIFQEHIRIRKQLWVTSHRYRFFIIGCMFVVTVSQFGALLLVLASKTEKTFLNSGDLVVCSVVELSGLFLCLMEAARITHRAQGISAIATRWHMLLTCPSAALDQQCKKQQSSEADGCCGDTDSEFSSNVLTSVSPQEPSSFQTRQALVAYLGHNSGGITLFGFPLDRGLLHTLFAFEFSLVLWVLSKVVVLS >ONI32813 pep chromosome:Prunus_persica_NCBIv2:G1:34679195:34681166:-1 gene:PRUPE_1G387500 transcript:ONI32813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYLPCLNTAIAGILAILLFSYFIIKRSSSAAKAKGPKLPKVAGGWPLLGHFGLFRGSQLPHIALASLVDKYGPAFTINIGIHSALVISTWEAAKDCFTTNDIVVSSRPATLGAKHLGYNFAMFGFGPYGPYWREMRKLTSLELLSNRRLELLKKVRVSEVEMSLKELYTLWIKRKESSGERLVEMKQWFGDLTLNVIFRMVAGKRCFMNGNLSEEKEARRWQKAMREFFHLVGLFVLGDAVPWLSWLDLGGQQKAMKKTAKELDSIVAEWLEEHKQKRTKGKDQDFMDVMLSAIDGRDVAGFDADTVIKATCLSLIAGGSDTTMVTLTWTLSLLLNNRQVLKKVYEELDQYVGKGRLLDESDINNLVYLQATVKEVMRLCPAGPLSGQREFTEDCTVGGYHVPKGTWLLVNLWKIQTDPRVWADPMEFKPDRFLTTHKDVDVRGQQFELMPFGSGRRACPGISFGLQMTLLTLASFLHSFDVTTQENAPVDMTGSIGLTNIKLTPLDVLVKPRLSPNLYD >ONI26627 pep chromosome:Prunus_persica_NCBIv2:G1:2491654:2493693:1 gene:PRUPE_1G035700 transcript:ONI26627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRKVLIKGLVDAGITVVPRIFHQPPDQYSTNNNFDSEATQFSVPVIDLEGLELNRPMKRKEIVTKVGEASETWGFFQIVNHGIPIGVLEEIKDGVRGFYEQDTQVKKQFYTRDHFKPVVYNSNFDLHRAPATNWRDSFMCYMAPNPTKPEDMPEVFRDILIEYSKQVMKLGKLLFELLSEALGLKPSHLNDIDCSLGLVHVGHYYPSCPQPELTLGTSKHADNDFITVLLQDHIGGLQVLHQNKWIDVPPVPGALVVNIGDLLQLISNERFKSVEHRVLANRVGPRVSVASFFSTGMLPLGRLYGPIKELLSEDNPPKYRETTIREYNAHFIDKGLDGTSALTHFEL >ONI34986 pep chromosome:Prunus_persica_NCBIv2:G1:41951918:41953965:-1 gene:PRUPE_1G508900 transcript:ONI34986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNLCSLEALSSSFQVHSKPRETSPLEYEATKPHANHLKFQKFNSLAQSARELSNKAICFHFEKTLLKSPSLFPYFMLVAFEAGGLLRALILFLLSPFICLVGGELGLNIMVFLCFFGIRKEKMRVGTSVLPKFFLEDVGCEGFDVVMRCGKKVGFSDLPRVMVGGFLKDYVSVDVVVARELKVVGGYFVGLMEAKNTNSLDSNLILGEKKSDYQAIGIIGCHNENMHEQLFSHCKEVYLVTEAEKQSWKSLPREKYPEPLIFHDGRLAFKPTQLATLAMFMYMPLGIFLCILRFLSGIFLPHSICTPILAFTGTITTISKPKSSISSTNGEGEKQRGRLYVCNHRTLLDPLYVQLATNKSLTAVTYSLSTVNEKIAPMKTVRLTRDRERDGEKMEKLLSQGDLVVCPEGTTCREPYLLRFSPLFAELTDDIVPVAIDVKVNMFYGTSASGFKCLDPVFHFMNPNPVYSLKFLENLPGSNTCKLGGKSRFEVANYVQNEIGRALDFRCTRLTRKDKYMALAGNDGCLKGSRKVTHA >ONI31470 pep chromosome:Prunus_persica_NCBIv2:G1:30432215:30433064:-1 gene:PRUPE_1G315100 transcript:ONI31470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVAAISRTSHVNIVSLLGFCFEGSKRALIYEFMPNGSLEKFIFDANTPKIDHGHHLGWEALDRIALGIARGLEYLHRGCKTRILHFDIKPHNILLDQKFFPKISDFGLAKICNRDESIVSIMCTRGTAGYIAPEVFCRNFGGVSHKSDVYSYGMMLSEMVGGRRNINAEAEDTSEIYFPHWIYKRLELDEELGLPSIMNEEDKVRARKMVIVSLWCIQTDPSNRPAMKEVIDMLEGSVDCLQIPPKPYLSSPPKSPAGSSTATLVSIQ >ONI26525 pep chromosome:Prunus_persica_NCBIv2:G1:2160299:2166204:1 gene:PRUPE_1G030300 transcript:ONI26525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVPSRQLYPTSLFDSFQSSFSKFHGPHIHLFRCGSSIPFKKHTFYATHYTISSTLNPEQNPLRKSNFVRKNQPISQYKPKKNFSSSSWIDKWNESHKHNRPKPPRAVLDYQSSESGNLSGSGYAEGDGGGGRNSSGSTMEKIVEKLKKFGYVDDSNENKGEVRDSVIEKGSVEDIFYIEEGMLPNSRGGFSEESPLGIENVFGGDGKVRFPWEKPKEEEKQEEGSVRRKSRTSLAELTLPESELRRLTNLTFQKKHKTRIGGGGVTQAVVEMIHERWKTSEIVRLKIEGPPALNMKRMHEILERKTGGLVIWRSGTSLSLYRGVSYEVPSVKLNKRIYKKNDISSAPLPTVADKSVGDFAELASYSNVKTPQEKSENTSQEKEDTEQLAEVKYEDEVDKLLDSLGPRFKDWPGCDPLPVDADMLPGIVPGYQPPFRVLPYGVRSTLGLKEATSLRRLARVLPPHFALGRSRQLQGLAVAMAKLWEKSLIAKIALKRGVQLTTSERMAEDIKRLTGGVMLSRNKDFLVFYRGKNFLSPDVTEALLERERLAKSLQDEEEQARLRASAMFIPNVEVAQHFGTAGTLGETLDADAKWGKRLDKEKVMREADILRHAHLVRKLERKLSFAERKLMRAEQALSKVEESLKPSKQQADPESITDEERFMFRKLGLRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKIMVNAKTFEQVKNIALALEAESGGVLVSVDKVSKKFAIIVYRGKDYHRPSTLRPKNLLTKRKALARSIELQRQEALLKHISAVQSKVDTLRSEIEQMDSVKDQGDEALYNKLDSSYPTDDEDSEEVDAYLETYNRENEGEDEGNYSICNPQLETNFPYFENQDSQTELEVPQHHLHAVPTNSYAGLDQDEEHDSLQ >ONI27000 pep chromosome:Prunus_persica_NCBIv2:G1:4382065:4384158:-1 gene:PRUPE_1G061900 transcript:ONI27000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVVLKSVIGGLANGQNFNRYISTAVAVRPLESSEFLRRRSDSVLGAFQWRTRMMMSTGSTGETTPFAEKEQKKESSNDNRSVVISSYWGIQRPKIKREDGTEWPWNCFKPWETYEADLSIDLSKHHVPKTFLDKTAFRTVKSLRVLSDLYFQKRHGCHAMMLETVAAVPGMVGGMMLHLKSLRKFEHSGGWIKALLEEAENERMHLMTVVELVKPAWHERLLVLAVQGVFFNAFFVLYALSPKVAHRVVGYLEEEAVHSYTEYLKDIENGKIENVKAPAIAIDYWRLPKDATLHDVITVIRADEAHHRDVNHFASDIHFQGKELREAPAPLGYH >ONI27001 pep chromosome:Prunus_persica_NCBIv2:G1:4381065:4383957:-1 gene:PRUPE_1G061900 transcript:ONI27001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVVLKSVIGGLANGQNFNRYISTAVAVRPLESSEFLRRRSDSVLGAFQWRTRMMMSTGSTGETTPFAEKEQKKESSNDNRSVVISSYWGIQRPKIKREDGTEWPWNCFKPWETYEADLSIDLSKHHVPKTFLDKTAFRTVKSLRVLSDLYFQKRHGCHAMMLETVAAVPGMVGGMMLHLKSLRKFEHSGGWIKALLEEAENERMHLMTVVELVKPAWHERLLVLAVQGVFFNAFFVLYALSPKVAHRVVGYLEEEAVHSYTEYLKDIENGKIENVKAPAIAIDYWRLPKDATLHDVITVIRADEAHHRDVNHFASDIHFQGKELREAPAPLGYH >ONI32799 pep chromosome:Prunus_persica_NCBIv2:G1:34625153:34627137:1 gene:PRUPE_1G386600 transcript:ONI32799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDERGGGMFADAETIPRAKFGNLAHSDPNISSTMPSDINDYSMRNSSASAISPACSLDPNRMSGECSPITMSPWNQTSSSPFAKSPWQPFEDNNVPQNGLIGSLVREEGHIYSLAATGDLLYTGSDSKNIRVWKNLKEFSGFKSNSGLVKAIVISGDKLFTGHQDGKIRVWRVSSKDPSVHKRAGTLPTMMDIFKYSVKPSNYIQVRRQKTLWIKHSDAVSCLSLSEDKKLLYSASWDRTLKVWRIDTSKCLESIHAHDDAVNSVVASVEGLVYTGAADGTVKVWKREQSGKIIKHSLVQALLKQESAVTALAVNASGSIVYCGSSDGLVNFWQREKQLSHGGVLKGHKLAVLCLAAAGNLVFSGSADKTICVWRREGVIHTCLSVLAGHTGPVKCLAVEHDRDTNANKGHGPRWIVYSGSLDKSVKVWGVSEQAPELTPVAMVQQRMGFDAESLPSDGSYSSSAGRGSSHSRRY >ONI29588 pep chromosome:Prunus_persica_NCBIv2:G1:20243594:20244287:1 gene:PRUPE_1G203300 transcript:ONI29588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPKMSSILIIFMISVAIWVGSAPVEASRVLQEDFAGANHLETFSSSSLSSSSVYEKAKFTMECWLERLASGPSPKGPGH >ONI33332 pep chromosome:Prunus_persica_NCBIv2:G1:36221338:36227225:-1 gene:PRUPE_1G417700 transcript:ONI33332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAALLTSAGINIAVCVILFSLYSILRKQPSNVNVYFGRRISIATRRSDPFCLDRFVPSASWLLKAWRTKEEDLLAVGGLDSVVFIRMVVFSLRIFSIAAVVCVFIVLPVNYHGQKMHHQHIPLQSLEVFTILNVQEGSKWLWTHCLALYIITFSACILLYFEYKTITKMRLAHITASSSNPSYFTVVVRAIPWSAEESYSDSVRKFFTKYHASSYLSHQMVYRSGKIQKLMSDAGKVCKILKDASVDQTRKPDLFQCGFCGGATDSFKILSNETESVRGKCVLLAFVFFKTRYAAVCYFTGCFQSSNPLVMVWGLTQLEFLQQAFPFLRGLLKKKIISQLVTGYLPSVVLILAFYSVPPVMMLFSTAEGCISRSGRKKSACFKVLYFTIWNVFFVNIFTGSLIRQLSVFASVKDIPAQLANAVPAQAKFFMTYVLSSGWASLACELMQIYPLLCNYFRRFILLKDGYNDTLTFPYHTEIPRVLLFGFVGFTCSILVPLILPFLLVYFVLAYLIYRNQILNVYIPKYESGGEFWPMMHNTVIVSLILMQIIALGVFGLRKSPIASGFTIPLLIFTLLFNEYCRQRFHPIFRNHVSEILMEMDKKDEQSGRMEEVYKQLHSAYCQSPVTPDDSFNSGHVNHHEDGDSMQDPENVNPGKEPNQVNPTWDVTFDGAESSQK >ONI32343 pep chromosome:Prunus_persica_NCBIv2:G1:33334702:33338596:-1 gene:PRUPE_1G362300 transcript:ONI32343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAPQLYSSENLGLPTTMTTMSTGLQQDWSMQLNPVSGLDDIFSSFPSPQPLHDHFHLRQAPQSQNTIDIDCCNQLGVSVAPSTFNCFLPTPFSEALGAQLDLQRHELDCILQLQNEKLRFALQEQRKQQLAALLRNLESRTLSLIRQKEEHLAQATKRAIELQDCLRKAEMESETWQRMAKANETMVIDLNNTLEQVRERLVFVSNEAEDAESCCGSCDRGGHRDNDRVAMLQEHRVVEEKGKKLACKNCNTRRSCVLFLPCRHLCSCKSCEPFLGSCPVCESTKEASMEVFLV >ONI34096 pep chromosome:Prunus_persica_NCBIv2:G1:38636754:38639485:1 gene:PRUPE_1G462500 transcript:ONI34096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLDLPKTRAVRANLAQEKTNPYKNQSQTLSYGVKEEEEEEDRRKGLLLLFQKLSISSQKAPMALVIACGESSPSHITLLRCLNRTLDSIKHNIARIFANILCCQANSDESSWTCRGKDLLPSTFEDFRKKWDENYHVSAQNWFSKKKNSRGKQNPHAVCNVLDAMLRDSLERLKTMREGISMANIGFLDCTLKANYTDCMAIIKVLCIDGKLGTAFWLRRKLIKKGIVPDVLTHNYLLNGLCKTGDLEKADWLIREMLENGPSPNCATYNTFIKGYCRLNEVDKALCLLSTMGNSGIRPNRITCNIIVHALCKKGLLENANKLLEDILDDDNDKTTSDLVISTTLMDGYVKNGNMVQALSLWHDMVQMNTQVDAVSYNVLIHGFCLSQHMDLAYGYLCEMIKRGILPSVFTFNIILSGLCKGGKLEEACYVHGLMSRMGVTPNQISYKILVRGLCSKGDVVRANEFLLHMQEKSVVPESLIWNTVIDCHGRYGDLTTAFSVKDQMLAFGVKPNVYTYNALIHAQVKGGNITRALSHKKEMLLSGLFPNVVTYNLLIGAACNLGDILFAVQLYREMLITGLDPDIITYTELIRGYCMIGNMKKAEELFENIQASGLPIDHVPFKVLVKQYCKIKEPDMAFGIYQKWLTRGN >ONI35623 pep chromosome:Prunus_persica_NCBIv2:G1:44672511:44675980:1 gene:PRUPE_1G546600 transcript:ONI35623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPDQSSSAVQAGSQPGYTSPRLPANNPEPTNPQGNAANANEEVAARTTQQEADISSWLPITANRNAKWWYSAFHNVTAVVGAGILGLPFALSQLGWTAGILAIVISWVITFYSFWQLIILHEIVPGKRFDRYPELGQHCFGPKLGYWIIMPQQLIVQVASSIVYSVTGGKSLKKFFELVIPSWADVRQTYFILFFTVCQLVISQTPNFNSLKGISLIAAVMSCGYAMISFVASTIRGAHHHQHVNHGVRSNATPGKVFDMLNGFGTIAFAFAGHSVALEIQATIPSTPEKPSKVAMWRGVVVAYIIVIFCYLAVAISGFWAFGNAVEDDVLISLEKPNWLVALANIMVFFHVIGSYQIFSMPVFDVIESYLVGNLGFKPGRLLRLIGRSLYVLLAGFIAMCIPFFGGLLGLFGGLVFSSTSYYMPCVMWLVTQKPKRFSVHWIASWISIIIGVLLAVFSPIGGARQIIVSAKTYKIFS >ONI32318 pep chromosome:Prunus_persica_NCBIv2:G1:33254539:33255876:-1 gene:PRUPE_1G360800 transcript:ONI32318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMKKILRTKLRSAFSKAGCRSSGGKGDRVGGNSFSNKLSVNEEYLQAFRTKSYVEMWDRVHGQLPSTATSSSSSLPPNSYMDRSEYLLEPRQDILKDIISEEGLNLHHFLADYFEASLDACHICDLLLRSLHQTRSEYRKIKKLILKLSQRGLLLEQAQTHNHANDTNNNNEDDDEQREAIFGDLTTFAVVKKNPLSIISPVQFRDIHDSHLALFNRLTLKHKKVQRTAKRNRICKKVGGVGLVVSHSALLIALLVLAIHSMIGIVVAPALMACSLGMCAKKMESAQDWLEARFPDSHSEQLDVAAKGIYILINDFDTMSRMVRRLHDEVEHRKAIAVMCVTAARNVKNVNGSSGNCEIFKEVVREFHATESGFVDQLEELEEHIYLCLLTINRSRRLVLEEIVATT >ONI32319 pep chromosome:Prunus_persica_NCBIv2:G1:33254199:33256089:-1 gene:PRUPE_1G360800 transcript:ONI32319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDRVHGQLPSTATSSSSSLPPNSYMDRSEYLLEPRQDILKDIISEEGLNLHHFLADYFEASLDACHICDLLLRSLHQTRSEYRKIKKLILKLSQRGLLLEQAQTHNHANDTNNNNEDDDEQREAIFGDLTTFAVVKKNPLSIISPVQFRDIHDSHLALFNRLTLKHKKVQRTAKRNRICKKVGGVGLVVSHSALLIALLVLAIHSMIGIVVAPALMACSLGMCAKKMESAQDWLEARFPDSHSEQLDVAAKGIYILINDFDTMSRMVRRLHDEVEHRKAIAVMCVTAARNVKNVNGSSGNCEIFKEVVREFHATESGFVDQLEELEEHIYLCLLTINRSRRLVLEEIVATT >ONI27074 pep chromosome:Prunus_persica_NCBIv2:G1:4750422:4751935:1 gene:PRUPE_1G066400 transcript:ONI27074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLCLKPPNISPPAETIASNQDLLTPVLLCIPARSLLRFKCVCKNWFALISNRKFCDIHTSKNPYPNVSAFFFHTAIHDFYFISIPNNENQSGSLLKPPRIIEHPPRIKILQSCNGLLLCCSLQEITNTSSTPRCYFVLNPTTNKFSAITNSPYATKSTSILGDALAFDPSKSLHYKVLCIRCIAGSVISFQIEIYSSETRNWTLRESTFLRPFHIDFSHGVYFNGAINWISHTSRVLHYNIDEESHGMVSRPPSYNVFRKREYRYFGESRGHLHLIEIYRPCVTQFDVMEMGGDYSGWFVMYHVDLDPIAAAFPEMVPEHNIVDPSKEETWYYAFVVLFLAREVNKEGSSLLLHIPCKVISYNLRDKSFEKAYELTSKGTESKRSLQVGWRDAYHYMETLACV >ONI29599 pep chromosome:Prunus_persica_NCBIv2:G1:20394415:20395220:1 gene:PRUPE_1G204200 transcript:ONI29599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYGLAISGSDSCFYIPTTHKPISFSILRLLACFHEFSLDLSILLQQIHGSKMWRRRGGRSSAPKPVLAEHNEIVHGSPRRRSQARGPLSRRSAAGPPSSPPQLHGDGETPCT >ONI35649 pep chromosome:Prunus_persica_NCBIv2:G1:44742663:44744116:-1 gene:PRUPE_1G547500 transcript:ONI35649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDTIVLYAAPGMGHIISMVELGKLILNRYPRKFSITILYTCGSLVDTPSIPAYIQRISRAYPSISFHQFPRVENKITLIPISFEAILFDFIRRNDPHVRLALQEISKSSAIRAFIIDIFCSSALPMAKELGIPTYYFYTSGAAALAVFLYFPKIGEQTTKSFKDLTETVLEFPGLKSPLNAPHMPEPMLDRDDPAYWDMVYFCTHLPKSNGIIANTFEELEPPAVLKAIAEGLCVPDAPTPPVYYIGPLIAEEKESGDSAEAEDCLSWLDQQPSRSVVFLCFGSIGSFSGAQLKEIADGLEKSGQRFLWVVKKPPLDEKTKQVQGVHDFDLEGLLPEGFLERTKDRGLVVKSWAPQVAVLKKESVGGFVTHCGWNSVLEAVIAGVPMVAWPLYAEQHLNRSVLVKDMEMAFAVEQREEDGFVFREELERSVRELMESEKGRELRERSRKMGEMALAAWSESGSSTRNLVNFVNSIT >ONI29309 pep chromosome:Prunus_persica_NCBIv2:G1:17947117:17947947:-1 gene:PRUPE_1G192400 transcript:ONI29309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAMRLLSKKRKEFPECCRLRNVWPILLMGGIFACLSILFYLMALQNRLLDLKFPKFRLDSVVVSPCPLTPSLAVAASAGGSSNNNNWYLTATWDLSLVFVNPNHILGVSYKNFRAGLLYGDEEKEDKLILAMTPLSLPPLNKMSQTTINFSLAMVRSYVGEDMANELLMGGGSDGCYGAARLGVKLFGELEFMVPPQGSFTRDLWSQQAIRTTGKFCGLFPPHYNGTGQGGGCEGFRSQTPCRC >ONI34427 pep chromosome:Prunus_persica_NCBIv2:G1:40223476:40224441:1 gene:PRUPE_1G482000 transcript:ONI34427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMIMASSKVPISSPSPSPISKPRIPIQISIPKLPTLPKLSKSQILSLSSSLSVIAATSLSWAPPSLAEEIEKAALFDFDLTLPIQMVQFLLLMVALDKLYYSPLGKFMDERDAAIREKLGSVKDTSEEVKQLEQQGIAIMRAARAEISAALTKMKKETQGEVEQKLTEGRKKVEAELQEALNKLEEQKEETIKALDSQIANLSDQIVKKVLPL >ONI30466 pep chromosome:Prunus_persica_NCBIv2:G1:26392512:26392736:1 gene:PRUPE_1G253000 transcript:ONI30466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTRRFFLCFCCAKLNLGTTPFCFGLLWYLGSSKGAALSITRCTPLPQVQNVGFVEGTLPASQDIHPGPALRN >ONI28022 pep chromosome:Prunus_persica_NCBIv2:G1:9261517:9264827:1 gene:PRUPE_1G117700 transcript:ONI28022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKTHILFSLILLSFSLNTVHVHSKDTDSYVISCGSSSGGTDSDGRKWASDSQFLTSSYKSNTATAQYQDPSLPSQVPYMSARIFNSASSYKFSVSPKQRLLVRLHFYPTSYNSLDPSNSYFDVVANGFTLLHNFSASITAQALTQAYIMREFSLIPPQSGNLNITFIPSSPHDKSYAFVNGIEVISMPADMFKPANLIGFQDQTVEVQSSSLQTMFRLNVGGQFIPTTNDSGLTRTWYDDSPYLFGAAFGVTSEAGKNVSIKYPPEVPGYIAPLNVYSTARSMGPDPKINQNYNLTWVFPVDANFTYVVRFHFCELQLTKINQRVFDIFLNNQTAQQSADAIAWAGSIGVPVYKDYATVVNDRDGDEEIWVALHPSVSEKPEYYDSILNGLEIFKLNDTRGNLAGPNPEPSKMLQEAEAAAASNFSPPPESKSKGEVIGIAGGAAGGAAVVAAVCFVVYIKKKRKNGMESGMGAWLPLYGNSHTSTISGKSNTGSSHLSSLAAGLCRHFSLSEIKHGTKNFDESQVIGVGGFGKVYKGIIDGGTQVAIKRSNPSSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEDGEMVLVYDYMANGTLREHLYKSHQPPLSWKQRLEICIGAARGLHYLHTGARYTIIHRDVKTTNILLDENWVAKVSDFGLSKTGPNINQNQTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNATLPKEQVSLADWAIHCRKKGILSEIIDPHLKAGPHINPECLKKFSETAEKCLADHGLERPSMGDVLWNLEFALQLHENPDGETVVAQDKANDAYAIHNSTLTIEEESTASEANVEDLNTSAVFSQIVNPRGR >ONI30572 pep chromosome:Prunus_persica_NCBIv2:G1:26891475:26893783:1 gene:PRUPE_1G259500 transcript:ONI30572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTGRFSPSCNYKSLSTTGLSFSPYKTHLGLAFFPHASSTSSLLTTIFKTSLFLSPIQQTQRTILGFRGVHGQRISGSGVSARKKQRKGGVEILEMDDSDDEELDLDLDGSDDELGGDGDDEEDTFVPFGKMKKWLEKKPLGFGEGKEYDISIEEKLLEEMEKSRQAQAGNLTKLSDDPENLNSKQDQSKNKNKAEEVVPAGVRVRVLNLPKKKNIHRDLSAAFKLVPGLLSINPAVSGNKKTKDPICKGFAFVHFKSEQDATRFVEMFSSQSVQFGKIQKQIKCEFVDSQALNYEQKKSTDNHTAPRLAVSGLGGGQKAVAELDVSSLDAWEETIPDEYDGSDVELVGPELDDAIDNMQRADCDFDDSSLDTWEETILDEYEGSDVEVVGPELEDAIENLDSTSRSDLNGGDRDSMELRTESESLLAHSSSSKQLLSKSKEEKLPHRKPVVKGKAERSPKKKLTVKEKTVKVPKLTVGGSAKRLKVKEKAVLNDVFSKYGPKATLATKEDS >ONI29189 pep chromosome:Prunus_persica_NCBIv2:G1:16935283:16943511:1 gene:PRUPE_1G186300 transcript:ONI29189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRLKTLLLHSISTMSSSPLKSNPLLTTSQSQAFPSPSKFFHQNLKLGLARFLCTSSPDNLDGLVDPQELSIPGSSGVESISAEEFASLRDSVLDTNAGDGSSTPKFESDKFSNNAILISNKIWNYNDAFGDQTQKFLRQFRDNLNETLVMEVLKLIRNPELGVKFFIWAGRQIGYSHTGPVYDALLELLECGSNDRVPEHFLREIKGDDREVLGKLLNVLIRKCCRNGLWNVALEELGRLKDFGYKPTRTTFNVLVQVFLKADRLDTAHLVHVEMSDLGFNMDEYTLGCFVHALCKSGRWKEALTLIEKEEFVPNTALYTKMISGLCEASLFEEAMDFLNRMRCDSCIPNVVTYRILLCGCLKKRQLGRCKRILSMMITEGCYPSRKIFNSLVHAYCRLGDYFYAYKLLKKMVRCGCHPGYVVYNILIGGICGNEELPSSDMLDLAEKAYGEMLDAGVVLNKVNVSNFARCLCDARKYEKAYNVIREMMRKGFVPDTSTYSKVIGFLCNASKVEQAFLLFEEMKRNSIIPDVYTYTILIDSFSKAGLIEQAHSWFNEMVGNGCAPNVVTYTALIHAYLKAKKVSDANQLFEMMLTEGCIPNVVTYTALIDGHCKAGRIEKACLIYERMRGNVEIPDVDMYFRIDDQSMKEPNVYTYGALVDGLCKAHKVKEARDLLDAMSVEGCEPNHIVYDALIDGFCKYGKLDEAQEVFTKMSEKGYSPNVYTYSSLIDRLFKDKRLDLALKVLSKMLENSCAPNVVIYTEMIDGLCKVGKTDEAYKLMLMMEEKGCCPNVVTYTAMIDGFGKAGKIEKCLELFKEMSSKGCAPNFVTYRVLINHCCSTGLLDEAHRLLDEMKQTYWPKHMVGYHKVIEGYNREFMNSLGILDEMSECGSVSIIHIYRVLIDNFVKAGRLEFALELHDEISSSSPFTSVNKNMYTSLIESLLHANKVGKALELFADMIRQGGIPELMTLFDLIKGLIKINKWDEALQLSDSICQMDIHWLLQEEISDRK >ONI33300 pep chromosome:Prunus_persica_NCBIv2:G1:36113295:36115723:-1 gene:PRUPE_1G415500 transcript:ONI33300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLLQIAADLENLTNLQPQEGCNDPNFSYLFKLKCERCGELSQRETCVSLNETVPLPSGKATVNLVQKCKFCGRDGTLTMMPGHGKPLTQQMCEERKFSPLMMFECRGYEPVDYVFASGWKVESVEGTKFDHVDLSGGDFVEYDEKGECPVMVSNLRASFVVK >ONI33088 pep chromosome:Prunus_persica_NCBIv2:G1:35619423:35621252:1 gene:PRUPE_1G404800 transcript:ONI33088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVVIDVGRLPGRTYNEIKNDWNSHLSKKMKQRERQNRAVSKAEQESSDTKNIKVMEVNALTIGREDVSKCKDSFKSGFNEMSFLTAQVMKRL >ONI29567 pep chromosome:Prunus_persica_NCBIv2:G1:20044007:20045800:-1 gene:PRUPE_1G202200 transcript:ONI29567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVSFRHPFRYKKQNELFVAAEGIYTGQFIYCGKKANLVVGNVLPLRSIPEGAVVCNVEHHVGDRGVLARASGDYAVVISHNPDNDTSRIKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATASKADKA >ONI36511 pep chromosome:Prunus_persica_NCBIv2:G1:47771596:47776497:1 gene:PRUPE_1G588000 transcript:ONI36511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELNSSLEYTSTWVVAVVCFVIVLLSLCAERALHKLGKYLKHEKQDALFEALQKLKEELMLLGFISLLLTVFQGSISHICISSYLASHMLPCKRETSEGNNHEHYSLNPSLNNRRRLLSAETNSDHCLKKGKVPLLSLEALHHLHIFIFVLAVVHVIFCVTTMVLGGARIRQWKHWEDSVRQGADPTSRKRVRAHHHEFLKTRGVGYWRKAAVIGWVTSFFKQFYGSVTKADYIALRQGFIKEHCPGNPNFDFHTYMMRTLEIDFRKIVGISWYLWLFVVLFLLLNVEGWHTYFWLAFLPLILLLLVGAKLEHIITRLAQEVTEGTTQVDQEAAARVKPSDKHFWFNNPRIVLILIHFILFQNSFEIAFFFWIWSTYGIHSCIMEKLGYIIPRLIMGVIVQVLCSYSTLPLYVIVTQMGTMFKEGMFNKMVQKYIGMWAGDIRSKHGKTDGDGSVSERTEMHKMVNIELSQIVDVTQQEIVATQETVPHVQPPFSSSQAQLSS >ONI35460 pep chromosome:Prunus_persica_NCBIv2:G1:43885848:43888573:1 gene:PRUPE_1G537200 transcript:ONI35460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLVQTTLTSSPFQSPSFKILHPATVLPKTLFLGLGIEPTNALKRLLFFSQRSHYTKSRNPRLTIHASLLEAPVLWAGRLCIFYALLKTGLAGSQANPLVSDLGSTEEGDGVGIESADLGFSRWLNSIQGKPVKEAADRRKLVSKWHPTTKGTLRRNYRVPSKSEGRRALKAIASLLSEDDHFVDATSHKGCQIRRESAHGETVCCNNVRALFDELPTPHLVVEITPFPAGSLTETDYTKAEKLERVLRSGPSV >ONI30789 pep chromosome:Prunus_persica_NCBIv2:G1:27921232:27924019:-1 gene:PRUPE_1G272700 transcript:ONI30789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDIYSERPLFGGAITSTFPLRFQDVSDIRQVPDHQEAFVDPARDESLIFELLELKHEVGDDGSATWFLQDLATEQDADGSMVIEQSGVVEAPGLCYRNTPAVVTTAVGQMSISKGRQGREAQNIVRVYVANLRLKEVNTDVLVTAYEPVHINPLSESASTVGAGLAVPAVQSGYMPVAEVFKLAVSSFKVNDWNLFGSVA >ONI33482 pep chromosome:Prunus_persica_NCBIv2:G1:36727571:36729116:-1 gene:PRUPE_1G427100 transcript:ONI33482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFNKRLPPSPGLSFPIIGHLHLIKKPLHRTLAKLSTKYGPILYIQFGSRPVIVVSSPSAAEECFTKNDIAFANRPRLLAGKHLGYNYTTLVWASYGSHWLNMRRIASLELLSSHRLQMFHGIRADEIRSLVSRVFRGCNGGVFQSLDMKSTFFELTLNVLMRMIDGKRYYGEHGAKLEEAQLFKELVIETFELSGATNIGDFVPALKYKKRDKFTQDFIGEHRKMRSGSVSEQRSKTMVDVLLSLQETEPEYYSDEIIRGMIQVMLSAGTETSAGTMEWALSLLLNNPEALAKAQTEIDIEIGQSRLIEEADFARLPYLHGIINETLRMYPADPMLVPHESSEECTVGGYHVPRGTMLLVNMWAIQNNPKLWSQPKQFKPERFLNVQGERDGFMLLPFGTGRRGCPGEGLAIRMVGLALGSLVQCFEWERIGEEKVDMSEGPGLTMPKAHPLLAKCRPRPKMLALLSQL >ONI29157 pep chromosome:Prunus_persica_NCBIv2:G1:16446104:16447857:1 gene:PRUPE_1G183900 transcript:ONI29157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRRCIRTSTNGAEIAENEILSHRSREVGFFNLLNGINSQMLEGFRIVKNKIYARDSRGVGGGNLGNNFNGGFTFLLVLIALVLLLGLLGFAYYLTSTKSEMSRLTILISYGGRWVDSRYENFKAKGVLVSNTITLKELQKQVYDIVNVDPNDYEITMIAMYETMKSAWPVEIADDDDVRAFIFQSRLSSSKIPLCITLEETNLGGSPQAP >ONI31311 pep chromosome:Prunus_persica_NCBIv2:G1:29901422:29904058:-1 gene:PRUPE_1G305600 transcript:ONI31311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQSKYHLSPLSDSISRAPQHQSPIKYQNYPLTKTSLLSSPSRTPFHTSESNPHSNSLHHQTMPPDHGLLYFLLIVLVLTVTVKFPATSAAVQLPKFREAPAFRNGRGCPRTAWSSLDQHSHYNPSIIHIAMTLDTTYLRGSIAGVFSVLQHATCPENIVFHFIAASHHNRRPSDLLSHVITSTFPYLTFHLYHFDSNLVKGKISYSVRRALDQPLNYARIYLADLLPSSVRRIIYFDSDLIVVDDVEKLWSINLGRRVLGAPEYCHANFTNYFTPKFWSNAAFAASFKGRRACYFNTGVMVIDLWKWREGKYTEKLEHWMRVQKRCRIYELGSLPPFLLVFAGDVEGVEHRWNQHGLGGDNLEGLCRDLHPGPVSLLHWSGKGKPWLRLDSKRPCPLDSLWAPYDLYRHSSLFSDS >ONI35501 pep chromosome:Prunus_persica_NCBIv2:G1:44110413:44112744:1 gene:PRUPE_1G539900 transcript:ONI35501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQELEWSEAQKIVISRDLVAAAKQQLQFLAVVDRNRHLYDSPALYKAINRYKYCWLPLLAKHAESQVSEGPLVVPLDCEWIWHCHRLNPVRCATDYASPYRRILDNKNVVSSTQRTCKTKTEEIWNILPPHEPYELDMKAPSIRKMQLQKAPNSDRTKGQKLDVGFSEITKQWEETFGTRLVLIVAVKDLPVGHKGSLFVSFSKKQPDLFFNTRRRINISSESEEMVSCFQCEPTGHMLFELISYSSSILSISKTPILLKSSTITLEDLLSPVSKLQVEKWFELMANSAVVGSKPILRIALSLTTVIFSSYVLHMVCTRPLSKSCFLSLSGRFQNAKSWTCFVDEADNELMSIQMR >ONI34244 pep chromosome:Prunus_persica_NCBIv2:G1:39187729:39188457:-1 gene:PRUPE_1G470600 transcript:ONI34244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHPCPQNGDKEKRENMERRKEELQATIEKQDDRVNHLQTSAFNLANYYIVFQGVILGAIVNATTALRCSDRWFLFSLSLIAAILNLVSLLVIGGNYKRSVMQRHHTKSAHIKLENDLGKLETSASDHGLKTNILSYWESTKIEASGHVTCQDPTTVEIKDEPEQRQIRKRVVPVDNLHKQLRDFYFLLCMGLFVSFAVIVVVGCWTIPCKEAFQCIPPVSSTEKCIRVCEGAKCMSMCTE >ONI33094 pep chromosome:Prunus_persica_NCBIv2:G1:35635408:35636985:-1 gene:PRUPE_1G405200 transcript:ONI33094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCSKVGLNRGAWTALEDQTLTDYIRTHGEGKWRKIPKEAGLKRCGKSCRLRWLNYLRPDIKRGNITQEEEDLIIRLHKLLGNRWSLIAGRIPGRTDNEIKNYWNTTLGKKVNCTDQQTKQSSGAVNDNTKKKRNKSTKLPTSTDKTQPSTNIAVRTKALRFNKVLVTPHAQQEAIFPHHADGNHGDLVAKKKAAAANYYSSEQEDTTASEDLMLDFDVGELLMSGINNPDSEFWKICHQLDDNNEGSKNYKWASSHHAPLWFSEDYKDWMGDDDCFHH >ONI35242 pep chromosome:Prunus_persica_NCBIv2:G1:43018201:43022551:-1 gene:PRUPE_1G525000 transcript:ONI35242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFKERCATHEIQTKGSIHMEESSSKNTNYSEDEENEELLSRVWIESKKLWRIVGPNIINRLAGYSMTVITQAFAGHLGNVELASISIATNIIVGFGFALLLGMASALETLCGQAFGAKRYHMLGTYMQRSWIVLFCCCILLLPVYIFASPILKLMGQSDDVAEQSGVVALWLIPLQFSYAFQFPLQRFLQSQLKNLVTLWFSLAVLVLHAVTSWVLVYELDFGVAGVAMALDISWWVWGLGLFWYVSSGRCPQSWAGFSMQAFSGLWEFVKFSAASGVMRCLEFWSYRILIIMTGSLEKATLAVDALTICMTISGWELMIHWAFLVGTGVRVANELGAGNWKATKFAAKVSMAESVFIGLCICVITIILHDKFAYIFTSSTDLIQEVGQMSYLLAMAILLNSIQPVFTGIVVGTGLQAWVAYINLFCYYIIGLPLALVMGWISHLGVSGIWGGMIFGGTAVQTVILAIVLIRHDWEKEAQKASQRAKKWLTPNPDNQIEEHK >ONI34609 pep chromosome:Prunus_persica_NCBIv2:G1:40795461:40798000:-1 gene:PRUPE_1G490100 transcript:ONI34609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGEKRLNELGYKQELRREMTLFKTMAITFSCISAFSGTPLYGQSLRYAGPATLVWGWVVVSFFTWFVAIAMAEICSSFPTTGSLYFWAAHLAGPRWGPFASWCCAWLETIGVIFAIGAQTYSGSQALQMIILLATGTNKGGGYFASKGVFLCLYMALILIWAVLNTFKLQVIAFLNIISIWWQVVGGLLVIVMLPLVAQSTQPASYVFTHFETSPESTGVSSIPYAVILSVLLSIYSLFGYDAAAHLTEETKGADRTGPKAILSSLGIISVFGWAYYLSLTFSIRDLEYLYNADNETAGALVPAQIIYDAFYGRFQNSTGAVVFLCIIWGSYFFCGLSTTTTAARVVYALSRDNGIPFSPIWRKLHPRTKVPTNAVWLCAAIGLLLGLPILKLDVVFTAFISVSTIGWVGSYAVPIFARLVMAEENFKPGPFYLGRASRPVCLVAFLWICYACSAFLLPTFYPLGWKTFNYAPVAVSVVLTVVMLWWALDARNWFKGPVRNIDVRNENY >ONI36081 pep chromosome:Prunus_persica_NCBIv2:G1:46377310:46378175:-1 gene:PRUPE_1G568700 transcript:ONI36081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLDWRTSSRNSAKQNYCAGNQVGEGGNVVLFLGIRWLLLFVWVIYAARWGKNGIFVESVFWLMMY >ONI30270 pep chromosome:Prunus_persica_NCBIv2:G1:25519446:25521673:1 gene:PRUPE_1G241100 transcript:ONI30270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGGIFRYADGVDKLLLVLGTLGSTGDGLMTPLMMLVLSRVINEYGGGFAEGICWTGTAERQASRMRMEYFKSVLRQEVAFFDKQANSSMIFKVISTISSDAHLIHDTITEKQGFSKGLLIGSMGRIYAAWAFQAWVGCKLVTGEGEKAYVKGDIKFRDVDFCYPSRSDSPVLQGLNLKVQAELSTAMVEIPDGLVNQELILFATSIKENILFGKEGAPMEHLLSASKVGQFGVQLSEGQKQRISIARAIIRNPEILLLDEATSALDAQSEKMVQEALNQASQGRTTIVIAHRLTTICEADLIAVLQSGRVIEIRSHDELVL >ONI31135 pep chromosome:Prunus_persica_NCBIv2:G1:29197478:29198978:1 gene:PRUPE_1G295000 transcript:ONI31135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRCCLQEKMCRTNSALFLLISQTMPAIPIDVVSAYMVGYAAGGISYQNQNQNQNQTCIPGPSVRMPGPCPPTHDQN >ONI31580 pep chromosome:Prunus_persica_NCBIv2:G1:30752341:30754487:-1 gene:PRUPE_1G320600 transcript:ONI31580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNLTNVAVLDNPAAFLSPFQFEISYECLTPLKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVFQADPPDPSKIREEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYDDEQLREEPPSKVLVDRVQRNILADKPRVTKFPINFHPENNEHEEQAPHSPDHGTETKQPPPSPDRATEANIKGEERPLSPVSSVRVQ >ONI28141 pep chromosome:Prunus_persica_NCBIv2:G1:9922785:9924146:1 gene:PRUPE_1G126000 transcript:ONI28141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPSDKGSESEEMNIKTPDVGSSEEGHKKTCADCGTSKTPLWRGGPAGPKSLCNACGIRSRKKRRAILGLNKENPNDKKGKRNKQLGDGLKQRLLALGREVLMQRSTVERQRRKLGEEEQAAVLLMALSYGSVYA >ONI26965 pep chromosome:Prunus_persica_NCBIv2:G1:4176352:4176789:1 gene:PRUPE_1G058900 transcript:ONI26965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPKEKKNRFPAVTKNEPSYQKCCYGTASCAFITSTEADVQIPRTKRLRITNSLASKVDMKVHCKSGAHDLGEQIVRPTESYEFRFKTNVMGTSLFFCSFQWGNEFHYFDVYKNGRDDCSKCFWTIVEKGPCLYGVQGVCHEWNKN >ONI27190 pep chromosome:Prunus_persica_NCBIv2:G1:5233619:5235729:-1 gene:PRUPE_1G073400 transcript:ONI27190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLVYVCDEEEKELGRQQAPGSCPHCGGKVQAVDVEAQWKFCFLPMCFKIKRKYFCTLCARRLVLYY >ONI31373 pep chromosome:Prunus_persica_NCBIv2:G1:30057704:30058759:-1 gene:PRUPE_1G308800 transcript:ONI31373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKYFCMAMRLNIDCNGCCRKVRRILLNMKEIETHLIEKQQCRVSVSGRFVPADVAIKMRKKMNRRVEILEIQELDGTTEQMEQRAMIATCKTHQLYC >ONI28358 pep chromosome:Prunus_persica_NCBIv2:G1:10923717:10924446:-1 gene:PRUPE_1G139400 transcript:ONI28358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIWFCNPMTSATMEIKGWIFLLTAIWLQKDVTGNLLLNLKMDFLPCGKMALAT >ONI33830 pep chromosome:Prunus_persica_NCBIv2:G1:37855997:37858489:1 gene:PRUPE_1G448300 transcript:ONI33830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCTLRALGAILHRFTNGVSSKCPNSMALQWNGLLSRKLSSSSSISSLPSWISTHIHGSATVTRTIWTVSPLSMGRRSSKIAGRKGAQDAKKAKLYARIGKEVVSAVKKGGPSPVSNTALATVLEKAKELDVPKEILERNIKRASEKGQEAYIEKVYEVYGFGGASMVIEVLTDKINRSVAAVREVVKDCGGKMADSGSVLFKFRRARVVNVKVSDADKDQLLSIALDAGAEDVIEPPVYEYDTEEDMSESYYKIVSSAENYSTILSKLRDEGVIFETDNGSELLPISTIEVDDEALDLNKELMSKLLELDDVDAVYTDQK >ONI27104 pep chromosome:Prunus_persica_NCBIv2:G1:4892672:4893912:1 gene:PRUPE_1G068700 transcript:ONI27104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWGEESKGSNAVARSSFFTTFDHHHHHHHDVGKRMKSSSSNGRVPEAANFSQYCKSKGHQFYDYFFHSNSKNTTSENKRVVPTGPNPLHNR >ONI36427 pep chromosome:Prunus_persica_NCBIv2:G1:47447346:47447633:1 gene:PRUPE_1G584400 transcript:ONI36427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFRILPSFYFLIGFAASNHPLNRRKRQRISNQHKRSRRVGSCSVIKNYRKGKMKSNEPSSTLPEPSSTLPGILSQDLSVLGEWKSQVKSFSSG >ONI31237 pep chromosome:Prunus_persica_NCBIv2:G1:29507085:29508357:1 gene:PRUPE_1G300400 transcript:ONI31237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSMYRRSPESLSLPPPEGPNSGYLVLHDEESDEVSCCGCIDNRVRDTPFPQNEDLTVGYGSDDDPVAFIPVLDQPLSSNRYHVIHRRGRHKGEASTNSREEDMGTGFFNRRSVRDVNPKPLDPSDIYQQVEILTGDNYYKGSFTAKSVAPDGFPPKFLRTKGWIVTRSTPYDYQLGEAPGLNSSLRASLPGFDFPLSHDCSEAVFVGKWYCPFMFVKEGGVRLKEQMKKCMFYEILLEQRWEKIFDSVNENVEGKNKGAVFVDAFAQREVVFVRGSEAIWVERNVSGDGFMWFKSFDGVGGETSVGLSMKVVERMKWEQERVGWVGGDERQVRVERVEEFGGTGGMWKRFGCYVLVERFVLKRMSVNGSFALLTYDFKHTHQIRSKWE >ONI28942 pep chromosome:Prunus_persica_NCBIv2:G1:14062998:14068636:-1 gene:PRUPE_1G170600 transcript:ONI28942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRCEFFVPANTYINVHCVGKMQIHERAVNTQAQSAWNHMGVPKVDPCI >ONI30788 pep chromosome:Prunus_persica_NCBIv2:G1:27915930:27916516:1 gene:PRUPE_1G272600 transcript:ONI30788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGSSAADELFFLSFVRERKKEKDKGQETGEKGQFLDSDSTCGALYHSIHKDSGGRRWGPSLPSQKT >ONI33802 pep chromosome:Prunus_persica_NCBIv2:G1:37785980:37786543:1 gene:PRUPE_1G446800 transcript:ONI33802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNRENQMGSYSNTSIVQKPPRLSMGSLQRTISDISDELSSSSKEAIHAMQLPTISEVEDAKCECCGMCEECTNEYINRVRNQYSGKLICGLCAEAVKEEMEKNGGKREEAVNEHFSDCVKFNRLGRAYPVLYQAEAIKEILKKSSKIRAKSTGPRDKSVKKNNTITRSSSCIPAINREELSPKP >ONI35314 pep chromosome:Prunus_persica_NCBIv2:G1:43300109:43302153:-1 gene:PRUPE_1G529400 transcript:ONI35314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLFSLATFSSFLIIFHSLSSPSLADVPLDTPLPPETICKSTPHPSYCISVLPHKNANVYDFGRFSVQHALSQSHNLFDSIENHLQLGSVLPQPAIQALEDCKLLTLLNIDFLSSSLETVNKTSGVLASLDADDVQTLLSAILTNQQTCSDGLESLPSSAGSLINDLSASISNNSQLYSVSLALFTKGWVPKDKNGVPKQPKRHLQFGKGRLNLKMSSQARAIYDAAINHRRRRLLQVGDEEVLVKGIVVVSQDGSGNFTTINAAIAAAPNNSVASGGYFLIYVTAGVYQEYVSIASNKKYLLMIGDGINQTIITGNNSVGDGSTTFNSATLAVTGLGFVAVNITVRNTAGPSKGQAVALRSGADLSVFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNLYPRQPNKGQSNAITAQGRTDPNQNTGTSIHNCTIKPTPDLASSNFTVKTYLGRPWKEYSRTVYMQTFMASLIDPAGWLAWSGDFALSTLYYAEYNNTGPGSNTTNRVTWPGYHVINSTVAANFTVTNFLMGDNWLAATGVPYTGGLI >ONI28202 pep chromosome:Prunus_persica_NCBIv2:G1:10150666:10153012:-1 gene:PRUPE_1G130700 transcript:ONI28202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGMSSHAILILCLAALACQAAATAVTLVVPDIFRGTNLGVHDKVDPTEKIFNVLDHGAKPDGSGDSSIAFIQTWIAACRNHTGRSRVVIPKGTFKTGPVIFQGPCSCSKPIVVQVSGTIKGLNDISLYEEPFWFLFERVEGLVLTGNGVFDGQGSSSWKNIDGCSSCSPLPASIKFNGVKNGVIRGITSLNSKGVHVFLTNSQNIRVRGVNISAPDTSPNTDGIHISNSNNIKIARVHIGTGDDCIGMIQGSTNVAINNVVCGPGHGISIGSLGKYQNENDVTGIIVKKTTFLNTDNGIRIKSWPGSTPSRASGMIFQDLIMQNVKKPIIIDQEYCAGGCNKNQPSRVQISNVHYINIKGTTASKIAVDFICSSQFPCKNVELRDINLNYIGPSNGGPITSICKNAEVGFGGIQNPPACH >ONI33996 pep chromosome:Prunus_persica_NCBIv2:G1:38356190:38360604:1 gene:PRUPE_1G457800 transcript:ONI33996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRWDFFNFWAKDTSKGTPVVVTMENPNFSVVEIDGPDAAFRPVDKSRGKNAKQVTWVLLLKAHRAVSCISWLATIFWALLGTIKKRLIYREGVAMESGKLGKQKLLFTVIRVFLVTSLAILAFEVVAYFKGWHYFRNPSLHIPGTSDIQSLLHMVYVAWLTFRADYIAPAIQALSKFCVVLFLIQSIDRMILSVGCLWIKFKKIKPRIDWDSLKSDDVEKSGYEYPRVLIQIPMCNEKEVYEQSISAVCQIDWPKECLLIQVLDDSDDESIQWLIKTEVANWSQRGINIIYRHRVVRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDFLKQTVPHFKDNPELGLVQARWAFVNKDENLLTRLQNVNLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAIITSKITAWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPAWVICYVPVFMSFLNILPSPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVITKKTGRSSESDLMAAAERESKMVNQLQVHRGASESELSELNRIMEQKEVAPKPIKKANKIYRKELALAFLLLTASVRSLLSAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >ONI27131 pep chromosome:Prunus_persica_NCBIv2:G1:4943443:4945017:-1 gene:PRUPE_1G069600 transcript:ONI27131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRCSSCRVLLLSLKILISSPFLYINSKIHLALATSTLLKGCFSSLLLVPLSLSLSLSLYDLLLLRVSPGRAELSCKMDWLRKLRRALLSFSARLKLTKSVGRDSGEYGGVGGLLKLQDDVQMCGYQDVQIMWNILSKAQREEMMIMATTTTQPAPKHNNKKQRQQPSWSFKTFFWTSHAKSPVLV >ONI30733 pep chromosome:Prunus_persica_NCBIv2:G1:27763216:27765097:1 gene:PRUPE_1G270200 transcript:ONI30733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSSATMSILGHRINGLVGNTEAANKSYCPQSLVLGTNFSVRQKIGGGNRSFK >ONI34633 pep chromosome:Prunus_persica_NCBIv2:G1:40885581:40887774:1 gene:PRUPE_1G491500 transcript:ONI34633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRISVFCLILHFLALRFCAAADHNASSIVFTTLGRLDYYFDIFTLPIQGGLPSAATETRITDAKSVNFNGHFPNLTRIQSHTRPDPSLQLIYVTERNGFSNIYYDAVYLTSPTTSGARRSALEVSDRVQVPLLSLEQGQNRLSMKDRPSLTGEYLVYVSTHEDSGVPRTSSAAVYSTHINSGVTQRLTPHGIADFSPAVSPSGVWTAVASYGPKGWDGEVEELSTDIYVFLTRDGTQRVKVVEHGGWPSWVDESTIYFHRRGEDQWWSIYRAILRREGPSSTESVVVQRVTPPGLHAFTPATSPGNREFIAVATRRPTSSFRHVELFDVVRNEFKELTRLVSPQTHHFNPFISPDSSRVGYHKCRVNGNGEERPKLFLQNIDSRIPNLSILRIDGSFPSFSPAGDLIAYVNFPGVYVVNLDGSNRRHVYPGAAFSTAWDPVRKGVLYTGAGPDFAPESTEVDIISITVDDVDQASFKKLTTNGKNNAFPSPSPDGKRIVFRSGLSGHKNLYIMDAEDGERSGLHRLTEGMWTDTMCNWSPDGEWIAFASDRDNPGSGSFELYVIHPNGTGLRKVIESGSGGRTNHPWFSPDGKSLVFTSDYGAISAEPISNPHHYQPYGEIFTINLDGSDLRRLTQNSYEDGTPVWIPHFIKPTDVQWPIERPGCEFEDLHWLSKMGPSYGVGAASWVLNKPQCGV >ONI28017 pep chromosome:Prunus_persica_NCBIv2:G1:9239318:9239563:-1 gene:PRUPE_1G117300 transcript:ONI28017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INLISCGPLAHVSDIKLIRTDTTLDLSQKAEKGMLCSMLCCLFYTQLLSPPFLKWSMWDCEHSLLKYFCRIHSHLITRKRT >ONI29153 pep chromosome:Prunus_persica_NCBIv2:G1:16249129:16249956:-1 gene:PRUPE_1G183500 transcript:ONI29153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSRSRTEAAQLNQSPQERVRNRFHGRPRTLYEQEPQGERTEQVLQQNENSEAENTDQGNETNNINENYFPAFESIDVGIQEAYNEDGESGDRARMGGNHNISRNRFYAKKSRRVGIINVGNKNYNN >ONI30960 pep chromosome:Prunus_persica_NCBIv2:G1:28596942:28599060:-1 gene:PRUPE_1G284500 transcript:ONI30960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHMGMDAYRFSISWTRIFPNGTGQINQAGIDHYHSLIDALLSKGIEPYVTLYHWDLPQALQNGFLNHQIIQDYATYIETCFQNFGDRVKHWITFNEPHTVATQAYDLGAHAPGSQNRMDQLGPHLMLWYEPETNSTEDIEAAQRAQDFQLGWYLDPLFSGDYPSSKKSKVGSRLPTFNKSEATLIKGSLDFVGINQYTTYYARRMLLLSYLMLHYLLICKLQANSFWLYIVPQGMRKLMNYIKQKYSNPPVFITENGMDDPNNQFISLQDALNEV >ONI28156 pep chromosome:Prunus_persica_NCBIv2:G1:9982518:9983357:1 gene:PRUPE_1G127100 transcript:ONI28156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYETEFTSVIPPPRLFKAFVLDADNLIPKIAPQAIKSSEIVQGDGGVGTIKKITLGEGSQYSYVKHQIDSIDKENFVYGYSLIEGDAISETIEKVCYEIKLVESADGGSIIKNTSNYHTKGDVEIKEEQVKAGKERASGLFKLIENHLVANPDAYN >ONI27556 pep chromosome:Prunus_persica_NCBIv2:G1:7331711:7334734:-1 gene:PRUPE_1G094500 transcript:ONI27556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDEAEEVSIKKNTRKSLGRILLKGDNITLMMNTGK >ONI35735 pep chromosome:Prunus_persica_NCBIv2:G1:45112084:45116288:1 gene:PRUPE_1G551800 transcript:ONI35735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRRKMLATASSQAQGPEFIVDRFSDLPVEVAHHILSFLPFKDIGQVGCVSKRCLELYLSYPSLIFNPSHQQRNNMWRRLEAFNYFDKFLANRDSNKIECFRISWDYHSVKFARAFNELLRISTWIRHAARCNVQVLDLDFDMQCRVPLELLPSSISACKSLRTLVIDFKWNILKWPSSNFLTNLQCLKLNRVQIEGLELFDKWIPCCKFLKELQLVYVSGIKRMTVISSSLESFSFVLYDRNPLCYLGISGEKLEDIHIQWQCASLSGKSLNIFAPNLKYLKWGGNLMDHQNLGNLTCLEKAEIYLDPKVDESDKIFEVLCSIGRSKVLILNEETMKALCRKSPMPTLDGISYLGLRTSSLTDELFPKMVSLLNGMTTLRKLCITSNPSFIRWRPLDAPDNSSRYNTSCCGSHNLACMHELQEVIIELSNGTNEWKLAKYILEHIQSLKKMVILYSSKQEEDVVLRKKPEMISAATVVFQKK >ONI26437 pep chromosome:Prunus_persica_NCBIv2:G1:1704111:1705663:1 gene:PRUPE_1G024800 transcript:ONI26437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIPRELIWDILLRLQLKDLIRYRCVSKAWYAFIHNQDFIKLHLERSIKTNSTRTILLHTTPSYFFSLPFDNDEKLGTATVVRFSIPSKDPVRETTVTVGYANGLVCIRNSIDEDMSYIALWNPSIQKLKKIPTRTHEPRAQPSLKSEMLNIYGFGYDSTNDDYKIVGIIRKPAHEYEVSVYSLKANSWKRIQNMPCSGFSLQSYSMVFSKGALSWLMWKKLHHEHQYMIVGVTLDLASEKYREFPSPVDRIDEIETSMLELDVLGDYLCVCINRFMNRCEAWIMKEYGVTESWSLIYSIEMVLSIDMLYYTLEFQTYKPLVFSKNGKTVLLKMSKNFKSDSLVWYDLEKKSFKQVEISGLPGLFKPTICWGSLCLLDGDPVIV >ONI31161 pep chromosome:Prunus_persica_NCBIv2:G1:29316922:29318958:-1 gene:PRUPE_1G296900 transcript:ONI31161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLGGLIIIPSSFLCLLLVLAFIKILHKLWWTPIRIQKLMALQGIKGPSYRFIYGNTKEISNMKKEVMGRPHILSHDIFSVVQPHVHSWTNIYGKNYLQWHGSRAQLVITEPELCKEILNNKGRAYPKREPPNISKKLFGDGLVATNKAEKWAKLRKLATHAFHGESLKSMIPDMVASAQKMVERWKVYEGKEIEVFEEFRLFTSEVISRTAFGSSYSEGQDIFEMLRKLGFLIFKNILKVRVPVISKLFKTSDEIESEKLEKGIHASIIEMVKKREKKKMTGENDSFGKDLVQEIIDECKTFYFAGQETTNTLLCWTVFLLALHTDWQEEARKEVLQLFGKQTPNLDGIGKLTTMSMIINETLRLYPPAVHVVRRVEREIRLGKLIVPSNLELDVSIVALHHDPQIWGEDVQLFKPERFSEGVAKATNNHVGAFIPFSMGPRTCVGLNFAITEVKIALSMILQRYTFTLSPGYVHSPLHLLTVRPQHGVQVRLQPL >ONI29284 pep chromosome:Prunus_persica_NCBIv2:G1:17643656:17644543:-1 gene:PRUPE_1G190900 transcript:ONI29284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRGVWAHNFHQELSQFDHCLHRFPVISFDTEFPGFLRDTPRDALEDQRYEDVKFNVESLKLLQLGFTLSDAHGNIGGTWEFHLSGFNEKSDPHVVASISLLKRNGLDFARLGQFGISVAEFVFGFLRVLRIHRGLHDLTWVCFHGLYDLAYLLKLLTQKPLPDSVVMFAKALGVVFGTIYDVKFMARYCRGFFGGEIGLARVAKLLDVERSGEAHQAGSDSLLTAAVFSKMNATFRSVAGMSQGCLYGISPTIVRYWQPAPVILRRPCFPVAAPRVYGARLIHSPILPTYVHM >ONI31750 pep chromosome:Prunus_persica_NCBIv2:G1:31212778:31213774:1 gene:PRUPE_1G328600 transcript:ONI31750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAGKVDTDVEIKAPAVKFHEFFSQRPHHLSSISSDKIKGCDLHDGDWGKVGSVVNWNYVHDGKAKVAKVVFDAIDDEKNSITLRVVEGNLLEHYKSFKITVQATPKGEGSVVHWTFEYEKVHGDVTDPHTLLQLAVDLTTDIGAHLTA >ONI33078 pep chromosome:Prunus_persica_NCBIv2:G1:35574003:35580945:-1 gene:PRUPE_1G404200 transcript:ONI33078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIWCCLCFTQEDEPEEEDSEAEPIMKEGNFENEGNAEGVTGNGEEAEGNVPRLGLASIDPGRDGNGHLRMFEGMVQAMRGGAQWDESVCVGALATLRAAIRSPRLSEGESSSASAADDGDHDSHHKRAKVHSFSHDVHCAMVISSGAGNSSSSADRDYRINQGSNVPYKSETFYQNFTPTNGGEESPFDSGSGKDDEGDKSGTSKTEDLEVRMDLTDDLLHMVFSFLDHINLCRAAIVCRQWRAASAHEDFWRCLNFENRNISLEQFEDICWRYPNATELNISGTPAIHLLVMKAISSLRNLEVLILGKGQLGDLFFHSLAECQMLKSLIVNDATLGNGIQEIPINHERLRHLQLTKCRVMRISIRCPQLETLSLKRSNMAQAVLNSPLLHDLDMGSCHKLSDAAIRSAATSCPQLESLDMSNCSCVSDETLREIALTCANLHVLNASYCPNISLESVRLPMLTVLKLHSCEGITSASMAAISHSYMLEVLELDNCSLLTAVSLDLPRLQNIRLVHCRKFADLNLRCIMLSSIMVSNCPVLHRINITSNSLLKLALQKQESLTTLALQCQSLQEVDLTDCESLTNSICDVFSDGGGCPMLKMLVLENCESLTAVRFCSTSLVSLSLVGCRAITSLELTCPYLEQVSLDGCDHLERAAFCPVGLRSLNLGICPKLNELRIEAPNMVLLELKGCGVLSEASINCPLLTSLDASFCSQLRDDCLSATAASCSLIESLILMSCPSVGSDGLYSLRWLPNLTLLDLSYTFLMNLKPVFESCMKLKVLKLQACKYLSDSSLEPLYKEGTLPALQELDLSYGTLCQSAIEELLSFCTHLTHVSLNGCVNMHDLNWASSGGRPSELSSISAPSGMFLPQSAHEPIEQPNRLLQNLNCVGCPNIRKVLIPPAARCFHLSSLNLSLSANLKDVDVACFNLCFLNLSNCCSLEVLKLDCPKLTSLFLQSCNIDEAAVEAAISKCSMLETLDVRFCPKLCPMSMGRLRLAYPSLKRIFSSLSQS >ONI31614 pep chromosome:Prunus_persica_NCBIv2:G1:30811665:30816124:-1 gene:PRUPE_1G322100 transcript:ONI31614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLLVATSLCFFFFFLVLGSGSCVSALTRNGLRPTRDTLKFSLVDENLGSWRNGISEETAQSPGPASDAPQGTLVLAAKRTNRPDILSRFKRYRGGWDIANRHYWASVGFTGAAGFIISVLWFVAFGMVLVVHHCCGWRINIKEEESHRSQRICLILLLVFTCAAAIGCVLLSVGQDEFHDEAMRTLNYVVNQSDYTVQTLRNVTEYLSLAKAISVAQIFLPSDVMDGIDKLNLDLNTAANTLTEKTSENSVKVKRVFSAVRSALITVAAVMLLLAVIGLFLSILGNQHAIHIFIVSGWLLVAITFILSGVFVILNNTVSDTCMAMEEWVEHPHAETALSNILPCVDQRTTNKTLSQSKQVINDIVNVVNQFIYTYANTYPSHADPYYYNQSGPLMPPLCYPYDSQLRDSQCGDQEVSISNASLVWQNYTCEVSVSGMCTTVGRISPDIYTQLVAAVNESYALQHYTPPLLSLQDCNFVRDTFRTITSSYCPPLDHYLKIVNAGLALISVGVLLCLVLWVLYANRPGRRELVFVKLSLPIKGRRKKSSSNNNTNNSSSIDVSSSNEV >ONI31615 pep chromosome:Prunus_persica_NCBIv2:G1:30811665:30814594:-1 gene:PRUPE_1G322100 transcript:ONI31615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVHHCCGWRINIKEEESHRSQRICLILLLVFTCAAAIGCVLLSVGQDEFHDEAMRTLNYVVNQSDYTVQTLRNVTEYLSLAKAISVAQIFLPSDVMDGIDKLNLDLNTAANTLTEKTSENSVKVKRVFSAVRSALITVAAVMLLLAVIGLFLSILGNQHAIHIFIVSGWLLVAITFILSGVFVILNNTVSDTCMAMEEWVEHPHAETALSNILPCVDQRTTNKTLSQSKQVINDIVNVVNQFIYTYANTYPSHADPYYYNQSGPLMPPLCYPYDSQLRDSQCGDQEVSISNASLVWQNYTCEVSVSGMCTTVGRISPDIYTQLVAAVNESYALQHYTPPLLSLQDCNFVRDTFRTITSSYCPPLDHYLKIVNAGLALISVGVLLCLVLWVLYANRPGRRELVFVKLSLPIKGRRKKSSSNNNTNNSSSIDVSSSNEV >ONI35410 pep chromosome:Prunus_persica_NCBIv2:G1:43648763:43649235:-1 gene:PRUPE_1G534100 transcript:ONI35410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDALLKSWLISSMHTNLMLNFIQCQTAKGVWDTVKKACRDASDSSQVYELMKKSVQLRQNGWPLSTYFTEMYSLFMELDHHRPNDLENPSNIAKLKKCTSKEHVYIFLADLDHNLD >ONI33302 pep chromosome:Prunus_persica_NCBIv2:G1:36119194:36121463:1 gene:PRUPE_1G415700 transcript:ONI33302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLCSGEVIRLRGMKMMVIMLGVTVTLIRFGVLVEGDLFSKQKILEVERKLTQLRRPAVKTIQSEDGDIIDCIDIYKQPAFGHPALRNHTIQMAPTYDATKETKTMGKMDRWKKRNEEQSPTTVKQTWHKSGSCPQGTIPVRRIRKKELLRASSVKDHGRKKHSSTLSRHVSEFSDNKTVNLQRANHSKAILFTDGYRYNGAKGDIKVYNPAVELDDEYSTSQVCLINGAYYDFESVESGWAVNPSVYGDRQTRFFVYWTVDGSKKTGCFDLTCPGFVQTSHEIALGAAIYPISVPNGLPYQIIVYIYKDPVTSNWWVQYGEKINVGYWPPELFVALSYHATSAEWGGEVYSSRVGTTPHTKTDMGSGHFADSVWGTSGAIRRIRIHENSPGLKFPDIVTTLMDEFNCYNVRYLSDYVEDPEFYYGGPGRNYMCP >ONI33303 pep chromosome:Prunus_persica_NCBIv2:G1:36119297:36121441:1 gene:PRUPE_1G415700 transcript:ONI33303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLCSGEVIRLRGMKMMVIMLGVTVTLIRFGVLVEGDLFSKQKILEVERKLTQLRRPAVKTIQSEDGDIIDCIDIYKQPAFGHPALRNHTIQMAPTYDATKETKTMGKMDRWKKRNEEQSPTTVKQTWHKSGSCPQGTIPVRRIRKKELLRASSVKDHGRKKHSSTLSRHVSEFSDNKTVNLQRANHSVNPSVYGDRQTRFFVYWTVDGSKKTGCFDLTCPGFVQTSHEIALGAAIYPISVPNGLPYQIIVYIYKDPVTSNWWVQYGEKINVGYWPPELFVALSYHATSAEWGGEVYSSRVGTTPHTKTDMGSGHFADSVWGTSGAIRRIRIHENSPGLKFPDIVTTLMDEFNCYNVRYLSDYVEDPEFYYGGPGRNYMCP >ONI35203 pep chromosome:Prunus_persica_NCBIv2:G1:42794124:42797567:1 gene:PRUPE_1G522200 transcript:ONI35203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAQGDKTQTLAEQYSLEKPDEATKPVEVKVVENPATEVVPEKAEETPAAAEESSEVTPAGEESNEASPAAAGIEATETNPATEAAAEESRDSTENSGDQEAAEETPAIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKFYRSLCPGEWIERWNEQRENGTFPGPL >ONI26628 pep chromosome:Prunus_persica_NCBIv2:G1:2509704:2512096:1 gene:PRUPE_1G035800 transcript:ONI26628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATNNTNYDRQSELKAFDDTKEGVKGLVDARITEVPRIFHQPPDQYIINSNFDSEATQFSIPLIDLEGLEFDSPTKRNEIVAKVGEASETWGFFQISNHGIPVGVLEEMKDSVRGFFEQDTHVKKQFYNRDPLKPVGYNSNFDLYRAPATNWRDTFRCYMAPNPAKPEDMPEVFRDILIEYSKQVMKLGKLLFELLSEALGLKPSYLNDIDCSLGLLLGGHYYPSCPQPELTMGASKHADNDFLTVLLQDHIGGLQVLHQNKWIDVPPMPGALVVNIGDLLQVLSNDRFKSAEHRVLANRVGPRVSVPCFFTTGMLPLERLYGPIKELLSEDNPPKYRETTIREYTAHFNDKGLDGTSALTHFKL >ONI29058 pep chromosome:Prunus_persica_NCBIv2:G1:15266750:15268669:-1 gene:PRUPE_1G178100 transcript:ONI29058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKFSTIVGWNSAIRESVNQSRPHKALLLFFQMKQNGLEPNNFTFTFLAKACAKLSNLKFSQIIHTNVLKSPFRSDIFVQTAMLDMYVKCDRLADAYILFERIPMRDVACWNVMLMGFAQLGFLDKVLRLFHEMRFARILPDTITVMGLTQASLETKNLALVKAIHAFGIQIGIDGDVSMANTWISAYSKCDDLSSAMAVFNGIEIGARTVVSWNSMIAGYANLEKFLDALNFYKWMLCDGYRPDISTIVSLLSSCIQPDKLLQGVLIHCHGIQMGCDSDIFVVNALISMYSRCGDILSSRFLFDGMSNRTCVSWTAMISGYADKGDLNEALRLFHAMEAAGEKPDLVTVLSLVSGCGQTGALELGKWIHNYAFSNGLRDSIVVCNALIDMHAKCGNINSARELFYALPVRTVVSWTTMIAGFALNGNYEEALDLFCLMVDLDLKPNHLTFLAILQACTHAGLLEKGMEFFDMMKKVYKINPGVDHYSCMADLLGRKGRLEEATELVKSMPMKPDARIWGALLSACKIHHNVEIGEYACRRLFELEPQGAVPFVEMANIYASERRWDEVAALRRAMKFKKVKKIPGQSLLHVNGKPHVFTVEDRGHPEGLLIYAMLDCLALQLKEEQYSPHSDNFVK >ONI36016 pep chromosome:Prunus_persica_NCBIv2:G1:46131187:46134606:-1 gene:PRUPE_1G565100 transcript:ONI36016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANHLYTHYLFIPLQISHGPYFSLSFPKSSFIDANHLLLRQHILEILCNVLSHIPTLIQSNDVVDFPSTTSHLLSLC >ONI35518 pep chromosome:Prunus_persica_NCBIv2:G1:44201401:44204750:-1 gene:PRUPE_1G541100 transcript:ONI35518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTSFAGAKLEALLLKSSSSAATTSSRASNGSCSLSGSLRPVRSRRAVIQRGVRCEVAASDAAVQTGQSVSNISALEQLKTSAADRYTKERSSIVVIGLSIHTTPVEMREKLAIPEAEWPRAIGELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGVPVSELCQHRFLLYNKDATQHLFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVVGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPASSHASARMLVIGAGKMGKLVIKHLVAKGCTKMVVMNRTEERVTAVCEELNGVEIIYKPLTEMLTCAAEADVIFTSTASETPLFFKEDVKDLPVVGPETGGSRFFVDISVPRNVGSCVADVDGVRVYNVDDLKEVVAANKEDRLRKAMEAQEIITEESKQFEAWRDSLETVPTIKKLRAYAERIRAAELEKCLSKMGDDISKKTRRAVDDLSRGIVNKLLHGPMQHLRCDGSDSRTLSETLENMHALNRMFSLETEISVLEQKIRAKVEQTQK >ONI30547 pep chromosome:Prunus_persica_NCBIv2:G1:26755054:26757420:1 gene:PRUPE_1G257500 transcript:ONI30547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMSQGTTPGACLQHTQRLFWFFFSLLGMGKGISVAFVALVMAVLAQVTSMILTKEAMSIGLSEYTLVVYSNALSTLILTPSSFIFHRLERPPLSFYIVFSFFLLGFIGTSAQLMGLAGLNYASATLNTTMLNLIPAFTFILALLFRMEKLNWRSSSSQAKSFGAVVSITGAFVVTFYKGPAVIHQRLSSDPLSGPCLFSPKSHWVLGGSLLAAEAFMSSLWIILQALILKKYPAVLILASFYCFFVTIQAALASLILVKDPSAWSLKPNIGLFAVLYSAVVGTALRTSAVAWCLQRTGPLYVSMFKPLAVVVADVIDVIFLGQALHLGSLVGAAVIITGFYAVIWGKAREEKLHEDSGEGQWSESSSESTPLLQSAK >ONI36467 pep chromosome:Prunus_persica_NCBIv2:G1:47614399:47616393:-1 gene:PRUPE_1G586500 transcript:ONI36467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSKKALGTTGEFFRRRDEWRKHPMLTNQLRHATPGLGIALVAFGVYLVGEQVYNRLISPSAASSSHAHSHSHSASTTSATH >ONI36468 pep chromosome:Prunus_persica_NCBIv2:G1:47614399:47616401:-1 gene:PRUPE_1G586500 transcript:ONI36468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSKKALGTTGEFFRRRDEWRKHPMLTNQLRHATPGLGIALVAFGVYLVGEQVYNRLISPSAASSSHAHSHSHSASTTSATH >ONI34928 pep chromosome:Prunus_persica_NCBIv2:G1:41827368:41827934:-1 gene:PRUPE_1G506500 transcript:ONI34928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLFSRSVGDCMDVNLKILFVFNDIFRLRVGGCLYEMTALACMRVFIRNDSSCDIVLRRIKMVSSDVGIICTRPSVYPSSRSNLFHLMLYSSTNPQELLVVIQNTENTLLSLLLSSNMVGKSQ >ONI29090 pep chromosome:Prunus_persica_NCBIv2:G1:15738706:15742762:-1 gene:PRUPE_1G180600 transcript:ONI29090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKAKNLSKLSLRNPKNKGKPLGPNNTKRQKEKKKKQRVNNQNPIEDKATNQNTQNSNNNNSLSNATKAQPASASAQLSFFLDQFQSGNGVKISSLELESVNDKCILDLSESLDQDVTLLGKHVMAAFGPSWKEELCEKHLLNGKIDPGSPAILIISTSALRSIELLRGLRALTKECHAAKLFSKHMKVEEQVSLLKNRVNIASGTPNRIKKLIDIEALGLSRLSVIVLDTHPDVKGYSLFTLPQVRDEFWDLYKSYFHDRLLQGSLRLGLYGPLSSGNELKGKKRSHIE >ONI29321 pep chromosome:Prunus_persica_NCBIv2:G1:18076343:18077106:1 gene:PRUPE_1G193200 transcript:ONI29321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVMHIVQSKKVVKNVAHAKYTPSMQVKLTPKKRLKQISKQHAIYPWQAKFSSNSHDKQILPQNTKRFKHCRACILSISISTRSEKSSDLIKRVFTRKTSLGQTPVYVYSYKAKRKTQDSSEGAELFTEKSR >ONI31208 pep chromosome:Prunus_persica_NCBIv2:G1:29423598:29424101:1 gene:PRUPE_1G298600 transcript:ONI31208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLMGLSIQSLLDLAVAGISLMIVLGIFAFITSILCSAAFLQTAKDVS >ONI34780 pep chromosome:Prunus_persica_NCBIv2:G1:41314689:41315215:1 gene:PRUPE_1G498900 transcript:ONI34780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDQTKPANAGSNDIKFKGVDVTDNTGDVTGFTHFANRTDGADKGNNKIEVDDFKAKGNKSHLNVLTDFGHRGQAGESGKQENKENKQLQQASN >ONI33832 pep chromosome:Prunus_persica_NCBIv2:G1:37870746:37871797:-1 gene:PRUPE_1G448500 transcript:ONI33832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAWMMPMSDKRKLLAYLLWIVTNRRCLETLVGTRNIHRHAQAKPKGLAKILGCTGLCSTSRQKAFNFLRRHGRYPKFIETNHSMLEKSSGNIKLPHGVVSKRRITTLLTESQSNAYVKVTH >ONI28875 pep chromosome:Prunus_persica_NCBIv2:G1:13452304:13453686:-1 gene:PRUPE_1G165900 transcript:ONI28875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVLGPTRPGSTDALSKPTRCIFKVPQVLRRQNKEAYAPDVVSIGPYHSSQGRKRFQLMKKVKQGYLEKLLLRMDSISFETLVERIVNFSEQKKGDGSQSSFIGTELPGLQIHRKDGRIGFEKQAREFYSEPLKHLSSKDFIEMMVVDACFLVQLFRKRLNGQLKDIDDPVFDMACMFQYVCHDILLLENQLPWFVLQCFYSVTLEKYPGDPSLPILILTGFSSLPPLAHNCKSYKKKLLSRLKNLNDNGDDKTLHILDLIRTSIVFPFRHESARFNSKTQLMHPATALSMTGIRFACSSKSDSIMEIRFADGVFTIPQLEIGELTESLFRNLIALEQCYHGHSQQITSYAVLMDNLIASSKDIELLCERKVLGNWLSAEDGSKFFNSLYNGTSLDKFYYGKLCTTVNQHYESQWNRSVEEIKREKFSNPWKLFSFGFAIILLALTLWQTVYNIQDHM >ONI33715 pep chromosome:Prunus_persica_NCBIv2:G1:37571889:37577268:1 gene:PRUPE_1G443000 transcript:ONI33715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGLVWPAPRLELAISDWFDINCPCFFQLITRILHWCLLVKLWKARGYIWNVCYLRWEANDFDRLTRNSPACCILFYYIFSPKSPCYY >ONI36067 pep chromosome:Prunus_persica_NCBIv2:G1:46302097:46305430:1 gene:PRUPE_1G567600 transcript:ONI36067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSFELQGQWFPLPKVGPTPTPIHHITTAASLRLGHHLAHHQFRLDSLFRSYFQKLAHISPLHRLKEGINFLPLSSHASPCFSFFNGGETSNDSTNEAMSNSKVSSINFFNGRQWTNVLLALNILVYIAQIATQDELLLWGAKINRLIDKGQLWRLVTSSFLHANVGHLMVNCYSLNSVGPTMEKISGPNRYLALYFASAIASSAMSYWFSEAPAVGASGAVFGLVGSLAVFVMRHRGLVGRGKEDLQHIAHVIAVNMAMGFFFKGIDNWGHFGGLLGGVATSWLLGPAWKYESPSSDGRRIFSDKAPIFYLINAKKRS >ONI29850 pep chromosome:Prunus_persica_NCBIv2:G1:23020728:23021272:1 gene:PRUPE_1G217500 transcript:ONI29850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSPLLAPHPNIQFSIPTFLFMPLSSSTVIYLQVPTSITWVCLWISISYFMFWISKTCILYTILVPPK >ONI30811 pep chromosome:Prunus_persica_NCBIv2:G1:28052037:28056149:1 gene:PRUPE_1G274600 transcript:ONI30811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTNKFLVLKTQFDFIAQRLLSKSLYGNMVGVVGSMWVLCCSYLFFLFGFISRYILRFQADEQRNENRVPCLQRDDQVGSKGSSISDIDVFGEEEKCFLYSDSRSEDKNREEEETENLGFVETVSAASTDLYEFRSGKDINCYMEEPKSTSFVVQEFYVGWSNSNVYDSQILDKGAEAADEEKAEAKTENFDDQITTKPVGVQEETEDVDDQEETEAVDDQEETEDVHDQEKTEETTENIADMEKTEDSGSSVCVGEVLEKTVTGHNSSEIVEHTFDSFSFGKLFVAESELSRTVEYPENTSFGKHEPSDDVGSITYEFLVGRNMSEGLQPQSLVVIKEDNQKVKMNMEDFQLHDFSNPYGKRVVFSDQQQDSSESSDDEFIELSEPQIQSSSVLEEEVEDQHEDEETKPRNCTEQSAEEIGSQEGKSGSNSNEENEDELPWEHEDIIEQLKMEMRNVRNRGLPTILEEETECTEMVENLKLKPLKIDEKLEYKDQMAELQKVYKSYAERMRKLDILNNQTMHAIGFLQLKDKVSKSITIQKSSSMPIVKSLLSQNMWRCKTQRQPTAHDRIPKFVGDLHKDLELVYVGQVCLSWEILHWQYGKAQELHAQRYNHQYNLVATEFQLFQVLLQRFIEDDPFQGPRVQHYVKNRCVLRSLLQVPSIRDDRMHDKKHGVRGEDDSIILSGMLVNIIEESMRIFWKFLRKDERNAILKGLQEAQIDHKDLELLMEIRKDLQKKEKKLKDILRSGHCVVKKFQKQQEERLEQTLLIAQVELRLISRVLNMSKLKTEQLVWCHEKLDKITFVHRKVHMEPSFLLFPC >ONI34196 pep chromosome:Prunus_persica_NCBIv2:G1:38967780:38971387:-1 gene:PRUPE_1G467900 transcript:ONI34196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDNLNELIERKANVTNELQSLREKIDKEGDKAAVHKLISLRQALKELERQELEIQSSSNSELDAEVRRLEDQITNGYDGQTVSDELDRLLSESVEKIDSAKGELAARSRAVLAVQRQIDDVPSQSELIQYERRFSELNAQIQGKLQQTRKFYATYNALLEIKELMLKETSLLNSISSQFQDAITSTDGRMKLINSMEGIIKGSQQKLLKVQLGLKEEQKVCDALKAKHVAATAEQRHCYSLLKAFQEECTKNEVLRRGAA >ONI35596 pep chromosome:Prunus_persica_NCBIv2:G1:44510190:44512239:-1 gene:PRUPE_1G544800 transcript:ONI35596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKKTMKEWQDVLDGKKLPNRVCDLYNLQTLRFIGCKELESLPQSMGKLINLSHLYVQGCDKLNDTNEALKLGDLGTLEVFENFQGKERRSNSVEILNVLRPHQDLEYLGIRFYHGTTESLFFIDGMNKIKDQQNHPHLKLKHLGLVSMWGLQEWEGMGWVKEEHSDGITIMPCLSSLNFTFCNSLKTLPGFLLKSPLQNLSFFHCRSLAQSYRKGTGKEWPKISHIPNITFGRDHDDEDDGILQEKDVAILSTLFCNQHLREMRKEGICELQTKLD >ONI32563 pep chromosome:Prunus_persica_NCBIv2:G1:33963983:33965659:-1 gene:PRUPE_1G373500 transcript:ONI32563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSAASRKALSKIACNRLQKELVEWQVNPPAGFKHKVTDNLQRWVIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFIPPAPLHPHIYSNGHICLDHASLALESILLCSPKLEAN >ONI32562 pep chromosome:Prunus_persica_NCBIv2:G1:33963102:33965493:-1 gene:PRUPE_1G373500 transcript:ONI32562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSAASRKALSKIACNRLQKELVEWQVNPPAGFKHKVTDNLQRWVIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFIPPAPLHPHIYSNGHICLDILYDSWSPAMTASSICISILSMLSSSTVKQRPADNDRYVKNCRNGRSPKETRWWFHDDKV >ONI26962 pep chromosome:Prunus_persica_NCBIv2:G1:4166848:4167429:1 gene:PRUPE_1G058700 transcript:ONI26962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFNLTVSLCFMCFTFSDFLFLCSRLGTSLPIKYEFLRSFSDCVFLVLVSVLFFGSLFLLFANFLFCHLFCRLFLFIFNHSWT >ONI29515 pep chromosome:Prunus_persica_NCBIv2:G1:19574771:19578529:1 gene:PRUPE_1G200300 transcript:ONI29515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKTEEAGATTKVKSTGKDASKDGKKEKVSVSAMLASMDQKPDKPKKGSSSSTKAKGAPKRPSYTDDIDLPPSDEEDEYVLEEGQQEEKQKRPEYKPLDVAITDKELKKRAQKDLLAAHAVEQAKKEALRDDHDAFTVVIGSRASVLDGEDGDANVKDITVENFSVSARGKELLKNTSVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVADDRTALEAVVSANEELVKIRKEVADLQNSASAEEKDSYDDDVEGEKLTELYEKLQLMGSDAAEAQASKILAGLGFTKDMQGRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNEIIHLHDLKLHIYRGNFDDFETGYEQRRKEVNKKFEIYDKQMKAAKRSGNRVQQEKVKDRAKSAAAKEASKNRGKGKVDEDDTPVEAPKKWRDYSVEFHFPEPTELTPPLLQLVEVSFSYPKREDFKLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLTMGETPVQYLLRLHPEQEGLSKQEAVRAKLGKYGLPSHNHLTPIAKLSGGQKARVVFTSISMSRPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEEKSEIWVVEEGTVRTFPGTFEEYKEELQREIKAEVDD >ONI27969 pep chromosome:Prunus_persica_NCBIv2:G1:9056287:9059448:1 gene:PRUPE_1G114200 transcript:ONI27969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTVFCCEISLLDAFMVVYASKSSTLMPVGRGGHQNRNTETILKPCQTLSISCPPIWC >ONI29104 pep chromosome:Prunus_persica_NCBIv2:G1:15938646:15940058:-1 gene:PRUPE_1G181800 transcript:ONI29104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMCQMQNTNMNQHVAFPKNFRPQSGSFGQVCVPKRTETAAGRQTIVAAVGTVEVTTTTSTRANTKTTASTRTTSNLAHQWREIQGSNNWENLVEPLHPLLRQEIIRYGDFVTACYKAFNLDPNSKRYLNCKYGKKNMFREVGLESCGYEVTKYIYATPDINIPIQNGESCGRWMGYVAVSSDDAVKRLGRRDIVITFRGTVTNPEWVANLMSSLTPARLDPHNPRDDVKVESGFLSLYTSDESESKFGLGSCREQLLSEVSRLLNKYKGEELSITLAGHSMGSSLALLLGYDIAELGLNRENSRRKIPITVFSFGGPRVGNSGFKQRCEELGVKVLRIVNVNDPITKMPGVVFNLENFRVLGGGESFEFPWSCSCYTHVGVELVLDFFNMQNPSCVHDLGTYLSLLKCPNRVQIQKEGVDLMNRAKELLLNLNVSAQNFNMQVPIPWRSASARSTSNMVKLQVQSQRT >ONI33743 pep chromosome:Prunus_persica_NCBIv2:G1:37642207:37644087:-1 gene:PRUPE_1G444200 transcript:ONI33743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLYQIQAQATINPLPSIDPNIIAVKLIGVCADHANIRHVALVFNHFLTAPNIFVYNALLKAFAQNNDWQHTIYYFNRQLGSPNAPAPDEYTFTSVLKACAGLAQVTEGEKVHCFVAKYGCEGNLFVRNSLTDMYFKVGNFGIAQKLFDEMGVRDVVSWNTLVSGYCLSGRVDKARWVFDGMAEKTLFSWSTMITAYAKFGELGEARRLFDEMPERNVVSWNAMIAGYAQNEKYAEAIGLFRQMQQYGLAPNDVTLVSVLSACAHLGALDLGKWIDKFIRQRGMELGLFLGNALADMYAKCGCIAEAKLVFGKMHQRDVISWSIIITGLAMNGHADEAFWCFNKMIEHEVKPNDITFMGLLTACTHVGLVDKGLEYFDMMDKRYGTFPKVEHYGCVVDLLSRAGRLVEAEDLINSMPVKPNVIVWGALLGGCRIYKDTERGERVVQHILELDSDHSGSYVYLANVYTSMGRLDDAANCRLRMRDKGVTKTPGCSWIEVDNIVHEFFMGDLSHPQLDKIYWMIRELRRKMKLAGYKPKTDLVLHTIDEEEKEDALSVHSEKLAIAFGLISTSPGTTIRIVKNLRVCNDCHDATKIISKIVEREIIVRDRSRFHHFKDGKCSCNDYW >ONI26582 pep chromosome:Prunus_persica_NCBIv2:G1:2276517:2278598:-1 gene:PRUPE_1G032600 transcript:ONI26582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEAKYAAFEEKVKRTVYLDNISPHVTETVVRTALSQFGTVKNLQFIRNYVESRNIPQSALVEMENLRQADVIVSELARLPFMMSGMPRPVRARRAEVEMFDDRHAEPGRGISCRWLEPNDPDFQVAQKLKRLTRKHAAEASFVLEQQLQEEENLAKQQNEALQANYKKYDMLDRVIADGTVKCLASHYNIRQADD >ONI32995 pep chromosome:Prunus_persica_NCBIv2:G1:35259778:35267247:1 gene:PRUPE_1G398500 transcript:ONI32995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVFATLKRHRHVTLLSQTLRHDRNMETIIPKCIPLRCHKYVEIRWKQDNWFPYHWFPYQLLQRHCISTSVHGERPTAEYAKLRKESLETQFRHALGAYGSKSPFLALYRAAIVSFYVLKLTIWQCFVHDIKKRAVKFRQTLIHLGPFYIKLGQALSTRPDILPTVYCQELVKLQDQIPPFPTRFAIKSIESQLGGPISQLFADISPEPVAAASLGQVYKAHLHSGELVAVKVQRPGMSLALTLDALLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYIQEAKNADRFASLYASRPSDGQKGDSKPTARRTLKHKEANTIKVPKIYWDLTCKGVLTMEWIDGIKLTDDVGLKMAGLNRKKLIDQGLYCSLRQLLEVGFFHADPHPGNLVATNSGALVYFDFGMMGEIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGVDVQSVADALQASFSDRTRQSQDFQGIMDQLYDIMYEFNFSLPPDYALVIRALGSLEGTAKVLDPAFKVIESSYPFVIGRLLADPNPDMRRILRQLLIRNDGSIRWNRLERLIAAISEQASESAEEHPNSGESSPNPLRSKSFDMHAVVAATEDLLRFILSEKGQRVRVFLVRDIIHAADAFIQDEVVGCMFDEKPEARGSPESEGHDTLKRVVIGFRYLRQAVKLAPEVWTEMLVRMALTSEVHRFTLDILSSLIIHLKGKIPETTWVCISRLMHKMAKNRSSSEF >ONI27638 pep chromosome:Prunus_persica_NCBIv2:G1:7783214:7786260:-1 gene:PRUPE_1G097500 transcript:ONI27638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHFSPSPSEAHPETMDFLSLAWCNFAVQAFQPEQQDQSVVLLDDPIVKFESHKEAPYMLSNFAQMDDADLKPPPPWKSNDVKSFIWMQQAMHPELNYNSFFRKKWMSWKIVPFKNMSIKKWLKDIKERRKEEHRLQRAEVHAAISMAGVAAALAAIAAEGSDNNGSSTAKEAAVASAAALVAAQCAKVAGAMGAKKEQLSSVIGSAMTSTSASDILTLTAAATTSLKGAATLKERSGCKNRLNGAAPVMPIEDNPEVDFDFEKYRSMLAIGAQLSIETPDGKYVVRSVSVILNSEAKVVLKMRKLSLLKSKKESIILDMYAELYKDSEAADENGTGYLIVLTTSRGTFKLDMEDDYQRYKTWATTINHMLMVSTSFTKYHLQFYKK >ONI27637 pep chromosome:Prunus_persica_NCBIv2:G1:7782956:7786417:-1 gene:PRUPE_1G097500 transcript:ONI27637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHFSPSPSEAHPETMDFLSLAWCNFAVQAFQPEQQDQSVVLLDDPIVKFESHKEAPYMMDDADLKPPPPWKSNDVKSFIWMQQAMHPELNYNSFFRKKWMSWKIVPFKNMSIKKWLKDIKERRKEEHRLQRAEVHAAISMAGVAAALAAIAAEGSDNNGSSTAKEAAVASAAALVAAQCAKVAGAMGAKKEQLSSVIGSAMTSTSASDILTLTAAATTSLKGAATLKERSGCKNRLNGAAPVMPIEDNPEVDFDFEKYRSMLAIGAQLSIETPDGKYVVRSVSVILNSEAKVVLKMRKLSLLKSKKESIILDMYAELYKDSEAADENGTGYLIVLTTSRGTFKLDMEDDYQRYKTWATTINHMLMVSTSFTKYHLQFYKK >ONI30329 pep chromosome:Prunus_persica_NCBIv2:G1:25806952:25807470:1 gene:PRUPE_1G244600 transcript:ONI30329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTKKRIIEPSEMLLQLSYELVHFLKVVAEHAHSYSEVLSNGRYKSADRIHRAVTNIVEARLSLAMFYGPNKNTVIGPIEDLIDEEHPPLYRSYKYAEFFEEFFKQEGKRRLVKEAFELHN >ONI26776 pep chromosome:Prunus_persica_NCBIv2:G1:3212365:3213561:1 gene:PRUPE_1G044900 transcript:ONI26776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKLNSMAMILFLLLASTAKAEPGVFDVTTATYGVKPGPDITQALAKAWNDACASPSASIVVVLSGTYKLREASFKGPCKAPIEIRVQGILHAPTDGSRPTKKDTWVGFEHVNMLSLSGGGTFDGQGALSWSKNDCNKNKNCKSNAINLRFNITNSTVQDIITSLNSKNVHMNVFGCKNVTFQHVNITAPGESVNTDGIHIGHSTAINITDANIGTGDDCVSIGHGSKEITVTKVTCGPGHGISIGSLGKYLNEEPVVGIRVNNCTLRNTQNGLRIKTWPASPSASTASAIHFEDIIMVNVGNPVLIDQKYCPWNQCKKKFHRELRSAILYVAVAYHVADIDLTYNGKKGPLTFQCSNVKPTITRVTKALACATSAGLKK >ONI27651 pep chromosome:Prunus_persica_NCBIv2:G1:7839492:7840728:-1 gene:PRUPE_1G098100 transcript:ONI27651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FEKVNLRSVRSNAKIVGTIICVGGAIYMTFIKGTKLLNTQFFGQPSKSLFDMAGENLKLGCLFLLLYSVFASTWVILQVPVSACCPDHLYSAFWMSFLATIQSTIVCLFLEHDLQVWHLHSALELGSLVYAGFYAAVSFFIQTWCISEKGPLYVAMFSPLCTVITTIVAGLFLHEELYLGSLVGAIAVIIGLYVVIWGKAEDPQKRKQEVDSEQPSDQPKMIEVFIDDSSEKTSCKIDLEEPLLPQKLP >ONI32011 pep chromosome:Prunus_persica_NCBIv2:G1:32246262:32256187:-1 gene:PRUPE_1G344300 transcript:ONI32011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFIKLEDSPMFQKQIYSLEQTADELKDRCQKLYKGCKKFMAALGEACNGDTTFADSLEAFGGGLDDPVSVSIGGPVMSKFVTAFQELATYKELLRSQVEHVLVDRLMNFMTVDMQDAKESRRRFDKAIHGYDQAREKFVSLKKNTRGDIVAELEEDLQNSKSTFEKSRFNLVNSLMSIESKKKYEFLESISAIMDAHLRYFKLGYELFSQMEPYIHQVLTYAQQSKEQSTVEQEKLHKRIQEFRTQAELDSVRASSNIEPSAAVDGNRAFGLASYKNIDAIMQSSANGKVQTIKQGYLLKRSSSLRGDWKRRFFVLNNQGSLFYYRIKGTKPMGSQSHHFTRSPEHHSGVFGRFRSRHRGPSLNENILGCRTVDLCTSTIKMDAEDTDLRLCFRIISPLKTYTLQAENDADRMDWINKITGAIQSLLNSQLLEQPHPRNMNLKSSKSGLGTYGVHPLNSNESREDTIKFNRIDSVSSILREIPGNDLCAECSAPEPDWASLNLGILVCIECSGVHRNLGVHISKVRSLTLDVKVWEPTIVDLFRNLGNAYCNSLWERTLLLENERANGSKATRASILKPGPENAIQHKEIYIQAKYVEKVMVVREAVSGMPLLAASIWQAVKTCNLQEVYRLIVISDVNIIDTTFDNVVGVDLYHHADAQDLESDFHTMEMKQHDPAACERIKDANEPGNCLQGCSLLHLACDCGNPMMIELLLQFGANINLRDFHGRTPLHRCISSGNNSLAKFLLRRGARPSIQDGGGQSALERAMEMGAITDEELFIKLSESE >ONI26919 pep chromosome:Prunus_persica_NCBIv2:G1:3860908:3864022:-1 gene:PRUPE_1G054800 transcript:ONI26919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVKLIGFWPSPYVYRVIWALKLKGVKYEYIEEDLSNKSELLLQLNPVHKKVPVLVHRGKPIAESSVILEYIEETWPGNYRLLPQDAHERASARFWIQFYVYQPPIFGPLLELTAGEEREKTIQAVLENLKILEEQGLGDKKFFGG >ONI29758 pep chromosome:Prunus_persica_NCBIv2:G1:22679347:22680869:1 gene:PRUPE_1G213400 transcript:ONI29758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSVAKAITTTSSVAILLLILLTASLCNSTISDEDPSPTPWPHQFHSILVMNYTGTLQLIDLWYDWPNGRNFNIIQHQLGSVLYDLEWNNGTSFFYTLDSARECRTVQIEVGILRPDWLDGAKYLGRRHVDGFLCDVWEKADFISYYEDVATKRPVHWVFYTGREAHVMTFEVGAVLEDAKWQAPVYCFDKKTETHPQPEPEAEFSITGGGASSMVGFLRGSNQLGFNM >ONI34362 pep chromosome:Prunus_persica_NCBIv2:G1:40032263:40034325:-1 gene:PRUPE_1G478100 transcript:ONI34362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPSSSAPSSKSSKGWISNISSIAARVYFFLIILQIPLFRVPCRSGMCTTPLHVTSSQLIASEIFPIPVVKALLFPGAVANGLVRNMTVPSWNNVLDIYNLTNAKESPAVTDLQRLEVLAGSYFAVAGALVGLLKRGRMSMFGTLLMLWGLIKEGILGKPMNTDPTKAVFVYPTMLIAVIAAFSSVKYDVKKVVRSAPARPIAKPLLRSSKSKLK >ONI26908 pep chromosome:Prunus_persica_NCBIv2:G1:3822658:3826237:-1 gene:PRUPE_1G054400 transcript:ONI26908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSSSARMTWQSSSNRMTWQSSSGNCRVPLQGCRGHEACGGCSAIPTAVCSGCSAIHAAVCSSWSCFDYTNGLADLVVGYMGVPKYSGISMTQHPQYVTVRNERGREMLSLVDNLLEHGQPFVMETVKAYDNAKWGYSSSEFLEDSRNICCLPLFLQSCFSGKGPEPAPKFVGNVIAFLLNLIGPKGLEFSRYSLDYHTIRNYLYVNRIWGKQRADQHMPSYAKKIVDTYNKNGEIDRILSNK >ONI33454 pep chromosome:Prunus_persica_NCBIv2:G1:36612465:36614933:-1 gene:PRUPE_1G425300 transcript:ONI33454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNVVSWSLIAMGLFSYVSVQATREGRSLIDLRDKRLDLDNGLKNWHGEEATYQGLTADGYGAGPGYGFGGGGSGTGNGGGKGGGYGDGSGGFGSGVGHGGNGGNGGGFGNGVGGGGGFGGGSGTGPGYGGGGVGGGGGGYGHDERMN >ONI35594 pep chromosome:Prunus_persica_NCBIv2:G1:44500267:44501881:1 gene:PRUPE_1G544600 transcript:ONI35594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFCSSSSYNYYPLEVSGVNTFHEAQNLTISPDLSLTPLLSWLRGGPGRSSRIGNFFELMYCFEAKRKPK >ONI30958 pep chromosome:Prunus_persica_NCBIv2:G1:28592036:28593888:-1 gene:PRUPE_1G284300 transcript:ONI30958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPDIEVAVVDISVSRINAWNSDQLPIYEPGLDDVVKQRRGKNLFFSTDVERHVMEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFSPDRVLIGGRETPAGQKAIQALKEVYAHWVPEERIICSNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVTEVAHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKVAILGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVSEDQIQRDLSMKKFDWDHPIHLQPQSPTAVKQVGVVWDAYEATKGAHGICILTEWDEFKSLDYKKIYDQMQKPAFVFDGRNVVDAEKLRQIGFIVYSIGKPLDEWLKDMPAVA >ONI26795 pep chromosome:Prunus_persica_NCBIv2:G1:3292164:3295121:1 gene:PRUPE_1G046400 transcript:ONI26795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSITSQRLRPFLLLHLRHAQILLYSSLPHSVSTKPISIHNPTNPEPQSSSTIYDHTTVRETLSSYCNDWKKALDFFNWLETECHFLHTTVTYNRMLDILGKFFEFELCWNLIQKMKQNPVSVPDHTTFRILFKRYVSAHLVKEAIDTYNRLEEFGLKDETSYCNLIDALCEYKHVIEAQELCFWKNKDLGFDKSTKLYNLLLRGWLKMGWWGKCRDFWEEMDRRGVRKDLHSYSIYMDILCKSGKPWKAVKLYKEMKNKGIKLDVVAYNTVIRAIGLSDGVDFSMRLLREMKELGCQPNVGTYNTIIKLLCENGRCKEAFSLLHQMPRMGLLPDVITYHCIFKHLEKPNEILRLFDRMTESGVQPKMDTFVMLMRKFGRWGFLRPMFLVWNRMEKLGCSPDESAYNALIDALVEKGMLDMARQYDEEMLAKGLSAKPREELGTKLVSSESDDG >ONI33577 pep chromosome:Prunus_persica_NCBIv2:G1:37095625:37097825:-1 gene:PRUPE_1G433700 transcript:ONI33577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAKTDSEVTSLAASSPTRSPRRPVYYVQSPSRDSHDGEKTTTSFQSTPVLSPVGSPPHSHSSVGRHSRESSSTRFSGSLKPGSRKINPNDGSRHHRKGQKPWKEQAVIEEEGLLEGEEGSKGFPRRCYFPAFVLGFFLLFSMFSLILWGASKPMKPKITMKSVTFEQFKIQAGSDATGVATDMISVNSTVKFTFRNTGTFFGMHVSPKPLDLSYSQITIASGTIKKFYQSRKSQRSISVSVIGNKIPLYGSGASMSTSTGTTALPVPLQLNFVIRSRAYVLGKLVKPKFNRRIQCSVTFDPKKLNVPISLKNCTTS >ONI27944 pep chromosome:Prunus_persica_NCBIv2:G1:8982864:8984134:1 gene:PRUPE_1G112700 transcript:ONI27944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQRCSFFPDEVVLQILSRLPIKSLFRSKTVCKLWYRLVSDKYFIHLYNEVSAKNPMLLVEVSDSLGSRSNLIVVDNQRGVSEFSLDLLKDRVKVRASCNGLLCCSSIPDKGVYYVCNPMTREFKLLPKSRERHVTRFYPDGEATLVGLACNLSTQKFNVVLAGYHRTFGHRPDGTFICMVYDSESNKWRKFVSFQDDQFTHMNKNQVVYVNGALHWLTGSCSCILVLDLDYDIWRKMSLPEQVSCGSGNRFYLLESDGCLSVVQISDAWMRIWVLREYEREEWHLVNTVSLRCIKGLVPGIFPICQTGEYVFLATHKQILVFYRKTRVWKEMYSVKNSSTLPLWYSAHAFRSTIFSCR >ONI31471 pep chromosome:Prunus_persica_NCBIv2:G1:30438306:30439517:-1 gene:PRUPE_1G315200 transcript:ONI31471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVALFIFSLLTHLVVLHSNEELKINKGCPPEECQTHLPECDGLFTVDCSDRDNPRIQLKEEGYWHEFEIIPEPNMILINDRKLEQRLKTNSCNDQVFNDLSLPGLSPVFDELFAPNLTLIKCSTPLHDTDLNYGCRNHNYNYYTTSPDHSLPSLPPSCSVFQMPKDPYKPFFSLSALTTTFQLLFRVREECHVDKGKFKCSVREKGDKLGLTLGLGKIDISEVKYIIDLI >ONI31296 pep chromosome:Prunus_persica_NCBIv2:G1:29847362:29849770:1 gene:PRUPE_1G304800 transcript:ONI31296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLSFFFVFFTFTNLCNSQQPTTTKDYLQLPLLHKKPFSSPSQALSHDTHRLSLLHARRHDIKSPVVSGASTGSGQYFVDLRLGTPPQSLLLVADTGSDLVWLTCSACTNCSNRDPGSAFLARHSSTFSPYHCYDSACTLIPQPDPSPCNRTRLHSPCRYEYTYSDGSLTAGFFSRETTTLKTSSGRETQLPNLSFGCGFRVSGPSVTGPSFNGAHGVMGLGRGPISFASQLGRRFGNKFSYCLMDYTLSPPPTSYLRIGGGFPHDVVSKIRFTPMLVNPLSPTFYYIGIKSASVNGRKLPIHPSVWSLDRAGNGGTVIDSGTTLTFLPETAYRVILAAFKRSLRLLAKPAKPTPGFDLCINVSGVARPSLPRLSFRLVGNALFAPPPSSYFIDTAEQVKCLAIQPVDSGSGFGVIGNLMQQGFLFEFDRDKSRLGFSRHGCARP >ONI33952 pep chromosome:Prunus_persica_NCBIv2:G1:38238401:38238619:1 gene:PRUPE_1G455500 transcript:ONI33952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVTRSSFPQTKNGKSHAIILTELIQRLPAALPMSEMVSKVAGWTIRLSSIMEYDETILNNMIGRLGCSIS >ONI35491 pep chromosome:Prunus_persica_NCBIv2:G1:44076176:44081442:-1 gene:PRUPE_1G539300 transcript:ONI35491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTTLEMEAAPNTNLDETDDDLSPIEEVRLTVLNEDDTSLPVWTFRMWTIGLFSCILLSFLNTFFSFRTEPLVITMISVQVASLPIGRFMARTLPRTKVWVGGKSFSLNPGEFNIKEHVLISIFANAGAGFGSGPAYAVDIVTIIKAFYGRKISFFASWALVITTQVLGYGWAGILRKYVVDPAAMWWPSSLVQVSLFRALHENDSNRMSRSKFFLIALICSFSWYVMPGYLFTTLSTISWICWLSPKSITAQQIGSGFRGLGLGAVTLDWSVIASYLGSPLISPFFAIANIAVGYIITMYVIIPIAYWKLNLYNASNFAIFSSHLFDSQGQIYDVKAIVNDKFEIDMPSYEKHGLINLSVFFSLTYGIGFAAVISTLTHVALFHGRDIYKQYKSSHTGKIDIHTKLMRKYKDIPNWWFHSLLFLAMALSLALFIFLKKEVQMPWWGLIFAAGIAFVFTLPISIITATTNVTPGLNVITEYIMGLILPGQPITNVCFKTYGYISMAQAISFLSDFKLGHYMKIPPISMFVVQCIGTIVAGTINIGTAWWLLGSVENICNVELLPSNSPWTCPNHGVFFTASVIWGLVGPRRIFGSLGLYSALNWFFLVGALGPLLVWSLHKAFPKQKWIAYINLPVLFGATAVMPPATTVNFNCWIVMGIVFNYFVFRYRKRWWQRYNYVLSAALDAGLAFMGVLIYMCLTINDISISWWGTEDYDHCPLATCPTNKDIVLGHACVL >ONI31310 pep chromosome:Prunus_persica_NCBIv2:G1:29896627:29900636:-1 gene:PRUPE_1G305500 transcript:ONI31310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVAAGGVSIGVAKLHPHKSKPTSPFLGKKLRINKPSFEPHPSSRSMTVSNPKALLVFASGGGELIHAVQDLFLGVGVGLPCTVMECGDIIYRSTLPKSNGLTLTAPGAILALSALSYLWATPGVAPGFFDMFVLAFIERLFRPTYRKDDFVLGKKLGEGAFGVVYKGSLANKKSSSKKEGDFVLKKATEYGAVEIWMNERVRRACANSCAAFKYGFLETSSKKGAEYWLIWQFEGEATLYDLMQSKDFPYNVETMILGKVQDLPKGLERENRIIQTIMRQLLFALDGLHSTGIVHRDIKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSAGLIFLQMAFPGLRSDNSLIQFNRQLKRCDYDLVAWRKSAEPRASAELRRGFELLDLDGGIGWELLTSMVRYKARQRISAKTALAYPYFDKEGLLALSLMQNMRLQLFRYTQQDYGEAAKWIIRLMARSGTQQDGGFTEAQLQDLREMEPNKKKASAARRNALASALWLQRKIVRTLNESMDELNQRRKSLWWSRWIPRED >ONI29612 pep chromosome:Prunus_persica_NCBIv2:G1:20655523:20657044:-1 gene:PRUPE_1G205400 transcript:ONI29612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRLLSLIALVFVAGGALPRNVRAQAPVADVVTQAFFDGIINQAAADCAGKNFYTRQAFLDAVNSYSDFGRFGTADDSKREIAAFFAHVTHETGHFCYIEEINKDTYCDPSFTNYPCNPNKQYYGRGPLQLTWNYNYGAAGNSIGFDGLNSPESVASDPVLAFKTALWFWINNVRPVISQGFGATIRAINGAVECDGKQPALVQARINYYTDYCTQLSVDPGTNLSC >ONI30061 pep chromosome:Prunus_persica_NCBIv2:G1:24201364:24202602:-1 gene:PRUPE_1G229000 transcript:ONI30061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGSVRKTQDSSPKSQLKCSSFSRTGCILTERPIQENTIRTVMPGASSLRELINGKEDMQFSSDPRLESDCEDFFSVNGDLTPSSTNTPIHQSSPIKSPATDVKKQLIELFHESFNDEAGHQDLHYESEAESSIFHRTQNSANKTPYESVADIVFRSVAISNANSEPRTRKNTEFAQCCLPKLVRNLSFSERKKR >ONI34128 pep chromosome:Prunus_persica_NCBIv2:G1:38697445:38709385:1 gene:PRUPE_1G463700 transcript:ONI34128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMDEQQTLGQGQAQPPAPPPPPPPAPESQSQGGEDEDDDEEEEVKNEDDELVAKAQKLMDKITSAPDNPNPTVLHALASLLETQESRYMEENGHSSSNARASHNIGRLGNLVREHDDFFELISSKYLSETRYSVAVQAAAGRLLLSCSLTWIYPHVFEEAVLEKIKDWVMDETSSSSVEYQNWKHDLGGKEVSDFEMLKTYATGLLAVCLAGGGQVVEDVLTSGLSAKLMRYLRVRVLGESSITQKDSNHLTESKNTLNTVCVRGRDEGRGRVRQVLETTHFDDPRITDERCLDDQNVDGGEPPDGLAEGVEIYDADGKMKFGDFDENVRDDSSRRRPNRGWTRSRGKGRANEGAVENEQLLTSPGSGSRLGQGRSFRDRAALKNSDVKKIPDSRKCLDRNTDVLYLEREDNDDCFQDCRVGCKDISDLVKKAVRSAEAEARAANAPAEAIKAAGDAAAEVVKTAALEEFKMTNNEEAAVLAASRAASTVIDAANSVEVSRSSSSINAESMTSSSTEPEIHEDAEEYFILDAESLAQLREKYCIQCLETLGEYVEVLGPVLHEKGVDVCLALLQRNSRHKEASKVAMLLPDIMKLICALAAHRKFAALFVDRGGMQKLLTVPRVAQTFFGLSSCLFTIGSLQGIMERVCALPSDVVNQVVKLALQLLDCSQDQARKNAALFFAAAFVFRAVLDAFDTQEGLHKLLGLLNDAASVRSGVNSGALGLTGSGSLRNERSPAEVLTSSEKQIAYHTCVALRQYFRAHLLLLVDSIRPIKNNRSAARNLPSVRAAYKPLDISNEALDAVFLQLQKDRKLGPAFVRTRWPAVDEFLRFNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVPSSRKMIVNSTLSNNRVGIAVILDAASVGGSYVDPEIIQPALNVLVNLVCPPPSISNKPPLHAQGQQSVSAQTSNGPATETRDRNTERNISDVVDRGSAAAPGTQSNSSNSQAPAATATSGLVGDRRISLGPAAGGAGLAAQLEQGYRQAREAVRANNGIKVLLHLLQPRIYSPPAALDCLRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGSQTNATEQGRWQAELSQAAIELIAIVTNSGRASTLAATDAAMPTLRRIERAAIAAATPITYHSRELLLLIHEHLQASGLAATAASLLKEAQLMPLPSLAAPSSLVHQATQEAPSVQLQWPSGRTPSGFLTNKSKITARDEEPSVKFDSAFSYSKKKPLVFSPNFALQSRNQSQSHDSHWASARKVFGASKQFSATANASETPSASLPKPTFDTESPCKTPIVLPMKRKLSELKDPGCLLSSGKRIHTGDQGLRSPVGPTPTTMRKTSLLTDAGGFSTPTANLRDQYGRSTPACFPLEYPDDNQYGNSSMGLTTPSSQFGLQSDPQPSNAERLTLDSVVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPRRSLDAPSNVTARLGTREFKSMYGGVHGNRRDRQFVYSRFRPWRTCRDDSGAPLTCISFLSDSAHIAVGGHGGELKIFDSNSSNVLESCASHQSPITLVQSHLSGETQLVLSSSSQDVRLWEASSVSSGPMHSYEGCKAARFSNFGDIFAALPSELARREILLYDIQTSQLESKLSDTSASSTGRGHSYSHIHFNPSDTMLLWNGVLWDRRVPIPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVPSLDQTTITFNARGDVIYAILRRNLEDVMSAVHTRRVKHPLFAAFRTVDAVNYSDIATIPVDRCVLDFATEPTDSFVGLITMDDQDDMLASARVYEIGRRRPTDDDSDPDDAESEEDEDDEDEDDDDDVDPILGPDLDGDSDSDVDDMSNDEDDSVSDLDDDDEDADFIMDDFEGGGGILEIVTDGEEDDDDDSQFMESFSSGDEDYVGGFGY >ONI35929 pep chromosome:Prunus_persica_NCBIv2:G1:45839108:45841529:1 gene:PRUPE_1G561400 transcript:ONI35929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMQNYKVADGMKPVMVMVLVQILFAGMNILYKLVAEDGMNFTILIAYRMMFAAAFMLPLALGFERDSRTKLTWMVLFQAFLCGLFGGSLSQNLYIESIALTSATYAVAMYNLVPAITFILAIFFRMEKLAIDTNAGKAKVVGTVMGIGGAMLFTFYKGIEINIWSTHFDLHSQPNSHEASISHKSSGSLLLGSMVSLGSCVSYAIWLIIQGKLNKRFPYPYSTTALMSLMGSIQSVVFALCVERDWNQWKLGWDIRLLALSYSGVLNSGLAVTLIAWVVKKGGPLLVAVFQPLLLVMVALAGSLLLDEKLHLGSILGGLMIVSGLYEVLWGKSKELLQMTQLESQTSLKYESNSQRILVVTSVGEDVNSEKEMVESRKEDVKP >ONI26316 pep chromosome:Prunus_persica_NCBIv2:G1:1190763:1192716:1 gene:PRUPE_1G017200 transcript:ONI26316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTTTALHQWLMAFVLAWTLVVLSAAAAEPPPQPGCADELVRFSPCLPYVSSPPNNLSDSAPPKCCDALSSSFESGEALCLCYLIQDPPMLGFPVNETRVLSLSSTCPLSNNGTSTKSADNSLESLCSGSPELPPLRSSTTSGISCPSPSPSGADNASSPLMSLPSESTNSSSLLPGKRSPTTTPPSSAVEPARVSTAMKQICRSNTWFLPAVLIFLVPISTHL >ONI27748 pep chromosome:Prunus_persica_NCBIv2:G1:8260209:8261014:-1 gene:PRUPE_1G103400 transcript:ONI27748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKKSYRTKSTGGLPYSPQTISQHQQWCQSCLLSLHAVRKPSWSAILLLHYKYKKSALNIPGLLKLSIYIRNLHNYKFLHYLSANNHKCRLLIYEIPETLIAEEEEAEEGRFFACYLLSSRSPRYKGHTYIRFTVNPRRRIRQHNGEIAQGAWRTKRKRPWEMVLCIYGFPTNVSALQVSSFLSFVLFTYNLSMDCSV >ONI35496 pep chromosome:Prunus_persica_NCBIv2:G1:44090503:44093884:1 gene:PRUPE_1G539500 transcript:ONI35496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPSVAVNGNLKLDQEFRKHPTSFLPSERSTNVSYQKSHTVTTLNGSSEPKSLDFREALSMIREGENVESTYYVPVLQQCIDKNSASEAKIVHAHIIKTGAHQDLFVSTFLVNVYAKCGNMENARKVFDNLPKRNVISWTSLMTGYVHSSQPEVAIHVFQEMLEAGAYPTNYTLGIVLNACSSLQSVNLGKQLHAYSIKYQIDFDTSIGNSLCSLYSKCGSLESAVKAFKKIEEKNVISWTATISACGDNGEAVRGLKFFTEMLFEGIEPNEYTLTSVLSLCCLMLSLDVGTQVHSLSIKLGYELNLPIRNSIMYLYLKCGLINEARELFNGMGAISLVTWNAMIAGQAQIMDLAEDDLSAYQSGTEALNIFLKLNRSGLKPDLFTFSSILTICSGLVALQQGEQIHAQTIKSGFLSDRVVGTALVNMYNKCGSIEKASKAFVEMSTRTLISWTTMIAGFAQHGKTQQALQLFEDMRIAGVRPNQVTFVSVLSACSQAGMVNEALGFFEMMKKDYRIKPVLDHFACLIDMYVRLGRLEEAFALVKKMDPAPNEFIWSILVAGCRSHGNFELGFYAAEKLLELKPKDTETYVLLLNMYLSAGRWKDVSKVRKMMKEEKLGKLRDWSWISIKDKVFSFKPNDKSHPYSADTHKFVESLIDQVKSLGYESLESLEVIDEDEEQTLSYTAYHSERLAIAFGLLNAPNAAPIRVVKSLIMCRDCHNFVKFLSTLTGREIVIRDSKRLHKFVNGTCSCGDFGGHL >ONI29519 pep chromosome:Prunus_persica_NCBIv2:G1:19696147:19698872:-1 gene:PRUPE_1G200700 transcript:ONI29519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLSRLTGIDLVNNSFAGEIPQEIGRLRSLRSLNLSGNSFGGKIPSNISHCAQLRVLRLVSNELIGSIPNQLSSLVNLYYVSADENNLTGAIPNWIGNFSYLHGLYLTQNNFRGSIPNELGRLTRLAEFSFGLNNLFGIVPSSIYNISSITTFAVTGNHLHGELPPNVGISLPNLEIFTCGMNNFTGAIPASWSNSSRLQNLDCGGNGLTGTLPAENLGRLGSGKAGDLNFLSFLANCTGLENLGLDNNHGELPRSIANLSTQLKYLYLGRNLIHGSIPEGIGNLTSLALLGMKNNYFSGSVPDAIGKLQKLQVLYLNFNNFSGPIPSSLGNLTSLIKLFINVNRFDGSIPPSLGNCQSLLTLDVSNNRLTGTIPIEIFGISSLSVYLRISNNSLTGSLPSEVGDLVNLVELDVSGNKLSVEIPTTLGSCIMLERLTMEEMDISHNNLSGEIPKFLEKLRFLKYLNLSYNDFEGELPKEGIFSNASGLSIIGNNRVCGGLPKLLLHACSIKKSNSSSHRLIILVACAVPCIIALSGFIVARSKVKTSRGGLVTSDSCKGWKSVSYFELVESTNGFSVDNLIGSGSFGSVYKGVLPSDGRAVAVKVLNLQQRGAFRSFIDECKALRSTRHRNLLKIITACSSCILEMMNNLKLIIIHCDLKPSNVLLARFLLEASDNYSQSQTMSAGLRGSIGYIPPEYGMGGQVSILGDIYSFGILLLEMFTGKRPTDDMFKDGLTIHQFTAITMPDHVMDIIDPSLLIERNDAHGDRERYESEIRTRRTTSYQHGGPIQATRLED >ONI30155 pep chromosome:Prunus_persica_NCBIv2:G1:24833109:24833342:1 gene:PRUPE_1G234300 transcript:ONI30155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNAASNSCFVVSSIWYLCKFQNHELHCCVEFLLNVRVKRAKNRGTNRKVYGQLSNSVQPLNAHNHAMLPPKRAKKQ >ONI34325 pep chromosome:Prunus_persica_NCBIv2:G1:39470760:39471722:-1 gene:PRUPE_1G475000 transcript:ONI34325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKVEESSNEASFDIENQATSMENQLTNLRALSPACNIYRVPERLRNVKQKAYTPQVVSIGPLHHGSKNLEAMEDHKLRYLKEREVELRHYYEDTKKFNIAAFVNIFLVDAAFVIELLLRNKSEEKFQEEKLPDDNAWIFKKPWVQQNILPDMLMLENQLPFFILEDLYNLTGAQTGEPSIIELSYRFFQQALRLENLENSPAFRRSSSKVEVLVAQHGLPVPPLLAATLI >ONI33452 pep chromosome:Prunus_persica_NCBIv2:G1:36608157:36608784:-1 gene:PRUPE_1G425100 transcript:ONI33452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKSKMARQRNMEKQKAAAKGSQLESNKKSMTIQCKVCMQVFICTTSEVKCREHAEAKHPKSDVYTCFPRLQK >ONI36193 pep chromosome:Prunus_persica_NCBIv2:G1:46784719:46785075:1 gene:PRUPE_1G574300 transcript:ONI36193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLELEKYLTETDIEGRLAVPSEWLKILPPFEKGSFEVQFEVTDGVGFYWQFCCSIRKERYPKPVLQSAGWLKFVNAKDLQAGDKVVLDTRADDFRGTKIRIRAQKDLDRNGHWVDV >ONI26107 pep chromosome:Prunus_persica_NCBIv2:G1:403408:406770:1 gene:PRUPE_1G004400 transcript:ONI26107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEMDSLFEGMVLFTPNNSTNKSELDLETHNRHDLVNNQPQQLPLQQQHDYARDPAAVESHQPPSSSSSEPLDENLFSDLTLQFQTPTQTQEEDVVQIPTPKPTPTTTSRHNSTSRRKKRAAGLRIGYARSNSNSKVDDDVDDVYVDDDNSLPLPPTTTAISSTPVGPTTLPSVSVEEQVVVLNDQVEAPPELRLDQSKALIADKLNRTRQSAASVSVSRKDAIARRRAAADHLNLASLEYANLEKQLEEACEAEDFDTAQRLSDSLAAAQNQKQALLDALRDAEANCDALDSKMHHLLQSQIAAEEECASLLQRFATDASNDADLVLQTAESHSSKETDEWLSSTEALQAKKMELEIESHIIHDAGLSLNTSIDTLVEDDKLEKECLCKKKDILMDELDKLLALVKRKEQEIAQNDHDIKQVEERIDRALSDFQDMQSSIQAKSSDLQAGLSQIHAESEALSTKMTEINAFLTQEQEREAKLRELARASAEEAETYQQVATLRKTLMSSILKSREDKVRLAKTEEELSKDVQMLQQDVSASRATLQELSSKKSSIQQDIATSKQKILFIDKRVPEVEAEKKVAATARNFKEAARLAAEAKSLNVEKDGIQIDMERAILELEKLEQEIKETVNRLQETEGHIQSKEKEVATARFERLLLISGIAKAEREAALELGDLEEANLLLAEAEAADSEAKKLQPIYNLKLEEVESLPKHFISMELISNLGRKQLEDLAASVQPSQE >ONI30979 pep chromosome:Prunus_persica_NCBIv2:G1:28667679:28671338:1 gene:PRUPE_1G286000 transcript:ONI30979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVINPVHSPIRTCQFSSLYLSSNKISPSFALLKARSLSSKASSLTFSPLPETIKPFSPVETSGKALRFSGWSQELRRRGSVEPPVAKAAAADAEGAEIESAAGYGKPSKSFSEKFPFLITGFFFFMWYLLNVIFNILNKKVYNYFPYPYFVSVIHLLVGVVYCLVSWSIGLPKRAPIDKEQLALLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFNASASQFVLGQHIPLSLWLSLAPVVIGVSMASLTELSFNWLGFGSAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALLVCIPPALLIEGPQLLQYGFRDAIAKVGLYKFLSDLFWIGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTAIAIAGVAIYSLIKANIEEQKRKAAAISTS >ONI36253 pep chromosome:Prunus_persica_NCBIv2:G1:47061837:47062512:1 gene:PRUPE_1G578300 transcript:ONI36253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCKFELEIEIWKPKQAQVMGTLNTKFADLGIIFCLMAGTFGFGHDWLGEGAFEELL >ONI35196 pep chromosome:Prunus_persica_NCBIv2:G1:42774220:42776743:-1 gene:PRUPE_1G521600 transcript:ONI35196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEIMKDIGFGNFGVARLVRDKLTRELFAVKFIERGHKIDEHVQREIMNHRSLKHPNIVRFKEVLLTPTHLAIVMEYAAGGELFGRICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRVKICDFGYSKSVLHSQPKSTVGTPAYIAPEVLSKSKYDGQIADVWSCGVTLYVMIVGAYPFEDPQDPRNFRKTIGRILSVQYSIPDNVRVSIECRHLLSHIFVADPKKRITIPEIKSHPWFLKNLPMEMMEGGSWQTHDVNNPSQSIEEVQSIIQEARKPVGVPNAARKPLIGSSSMELDDLDAEDIGTSGDFVLPNMSN >ONI32811 pep chromosome:Prunus_persica_NCBIv2:G1:34664239:34664493:-1 gene:PRUPE_1G387300 transcript:ONI32811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYLPCLNTAIAGILAILLFSYFIIKRSCSGAKAKGSKPPKVGGGWPLLGHLYLFGGSQLPHITLASLVDKYGPIFTVNIGIC >ONI35866 pep chromosome:Prunus_persica_NCBIv2:G1:45568045:45573888:-1 gene:PRUPE_1G558300 transcript:ONI35866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRISDDDEVGKEADIRSGPSSNRQVNPTLQQEQPYPHQHQVHKVGVPAKQNLFKEFRATVKETFFSDEPLRPFKDQTKRRKILLGLEALFPILRWARDYNLTKFRGDLIAGLTIASLCIPQDLGYAKLAYLPGQNGLYSSFVPPLVYAFMGSSRDIAIGPVAVVSLLLGTMVQNEVDPTKNAHDYLRLTLTATFFAGVTQLTLGFCRLGFLIDFLSHASIVGFMGGAAITIALQQLKGLLGIKNFTKKTDIVSVMRSVITAAHHGWNWQTILIGVSFLAFLLLTKYIGKKKTKLFWVPAIAPLISVIVSTFFVYITRADKEGVAIVSKIRKGINPASADLIYFTGDNVIKGFRIGVVAGMIALTEAIAIGRTFAGMKDYQLDGNKEMVALGTMNIIGSLTSCYVATGSFSRSAVNYMAGCHTAVSNIIMSLVVLLTLELITPLFKYTPNAILASIIISAVIGLIDFEAMKLIWKIDKLDFVACMGAFFGVVFISVEIGLLIAVSISFAKILLQVTRPRTALLGKLPRTNVYRNILQYPNATQIPGILIIRVDSAIYFSNSNYIKERILRWVTDEEEELKQNSLPKIEHLIVEMSPVTDIDTSGIHALEELYRSLQKREIELALANPGTVVMDKIHASEFVELIGKGKIFLSVADAILTFAPHHEEP >ONI35867 pep chromosome:Prunus_persica_NCBIv2:G1:45568039:45572418:-1 gene:PRUPE_1G558300 transcript:ONI35867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRISDDDEVGKEADIRSGPSSNRQVNPTLQQEQPYPHQHQVHKVGVPAKQNLFKEFRATVKETFFSDEPLRPFKDQTKRRKILLGLEALFPILRWARDYNLTKFRGDLIAGLTIASLCIPQDLGYAKLAYLPGQNGLYSSFVPPLVYAFMGSSRDIAIGPVAVVSLLLGTMVQNEVDPTKNAHDYLRLTLTATFFAGVTQLTLGFCRLGFLIDFLSHASIVGFMGGAAITIALQQLKGLLGIKNFTKKTDIVSVMRSVITAAHHGWNWQTILIGVSFLAFLLLTKYIGKKKTKLFWVPAIAPLISVIVSTFFVYITRADKEGVAIVSKIRKGINPASADLIYFTGDNVIKGFRIGVVAGMIALTEAIAIGRTFAGMKDYQLDGNKEMVALGTMNIIGSLTSCYVATGSFSRSAVNYMAGCHTAVSNIIMSLVVLLTLELITPLFKYTPNAILASIIISAVIGLIDFEAMKLIWKIDKLDFVACMGAFFGVVFISVEIGLLIAVSISFAKILLQVTRPRTALLGKLPRTNVYRNILQYPNATQIPGILIIRVDSAIYFSNSNYIKERILRWVTDEEEELKQNSLPKIEHLIVEMSPVTDIDTSGIHALEELYRSLQKREIELALANPGTVVMDKIHASEFVELIGKGKIFLSVADAILTFAPHHEEP >ONI35435 pep chromosome:Prunus_persica_NCBIv2:G1:43804042:43805326:1 gene:PRUPE_1G535900 transcript:ONI35435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSVLLSSPPPTAKDGSRFIDSNYLQKQSHVPANFKWPEEDAASAQEELNAPVVDLEGFFNGDVVATENAAKLIRSSCLRHGFFQVTNHRVDADLIQLAYDHVDDFFNLPIEEKIKVQRRPGSPYGYSGAHMDRFSSNLPWKETFSFAFQDGPEKTVADYFKFTISKDFEQTGLVYQKYSEAMHSLSLSIMELLAIGLGVDRMLYREFFEDAVSIMRTNLYPTCQEPNLSLGTGPHCDPNALTILHQDLVGGLDVFVDNKWHKVRPVLGALVINIGDVFAALSNGIYRSCLHRAAVNSHKERRSLVFFMCPRADKV >ONI31987 pep chromosome:Prunus_persica_NCBIv2:G1:32194132:32198207:-1 gene:PRUPE_1G343200 transcript:ONI31987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQDFWLVIIVIGFCFQAQVSSSQNLTCNPNDLKALEDFMKGIETVIEGWGNNLSSNCCEWAGITCNSSSSLGLNDSSIDTYRVVKLELPKKRLAGNLSESLGMLDQLRTLNLSHNFLQHSLPIPLFHLPNLELLDLSSNDFSGPIPADIDLPSIQFLEISQNFLNGSLPPSICNNSTQLRALKLAVNYFTGDLPPGLGNCSSLEDLCLGMNAFTGGVPEGIFRLQKLTRLNIQDNKLSGQLSKEIGNLINLVRLDISTNGFSGTIPDVFDSLGRLQYFVAHSNNFSGQIPASLASSPTLSLINARNNSLEGSIDLNCSAMTSLASIDLGSNRFDGPIPSNLPSCRHLNNINIARNNFSGQIPESFKNFHSLSYLSLSNSSISNISSALKILQHCQNLTTLVLTLNFRDEELPADPTLHFERLKVLIIANCRLTGSIPQWLSSSSRLQLLDLSWNRLEGTIPVWFGNFSNLFYLDLSNNSFTGEIPRNITGLRSLIDGRISIQEPSPDFPLFMKRNVSARGLQYNQVWSFPPTLELSNNNLSGPIWPEFGKLRLLHIFDLKCNNLSGPIPSNLSGMTSLETLDMSGNRLSGIIPPSLVNLSFLSKFNVADNQLYGSIPTGGQFWTFPNSSFEGNNLCGDHFPRCPSNVSNPLGQSRKSRKNRGVIVGIAVGIVFGTAVFLTLMVIIVLRAHSRREVDPEKEEYDSNGKDLEELGSKQVVLFQNKDTDKELSLDDLLQSTNNFDQANIIGCGGFGLVYKATLPDGKKVAIKRLSGDCGQMDREFRAEVEALSRAQHPNLVHLQGYCTYKSDRLLIYSYMENSSLDYWLHEKIDGPSSLDWNMRLQIAQGAARGLAYLHQSCEPHILHRDIKSSNILLDENFKAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQASVATYKGDVYSFGVVLLELLTGKRPMDMCKPRGCRDLISWAFQMKREKRETEVFDPFIYDKKHDEELLCVLEIACLCLSGSPKVRPSTQQLVSWLDNMSTKN >ONI34587 pep chromosome:Prunus_persica_NCBIv2:G1:40714278:40718491:-1 gene:PRUPE_1G489100 transcript:ONI34587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQENYGEQAEMESSGGRSVVVGVKLDAKSRELLTWALVKVAQPGDRVIALHVLGKNEIVDQDGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGASVKKFLVREANSYTASKVIVGTAQNHHKIRSSTTVAEYCAKKLSKDCGVLAVNNGKVVFNREGSQTTYCDPQGSEDRRRNGLLTAFHRSLHKSSKVLNEGSDSVALKDTYGPVDCQKLEQGFAKLFLESSETVAKQKCSICSRPSVDNSCHQSAVESSADDGEDRSMAIVPVQKEEEAAASSISMLIRELPEARPGWPLLRRAVLPDQQISERSLVRKISVVQWAMQLPSRQPSATSNFDDRRSSCDPGEDQPFCLNGESGAIVAVGSEAVTAPPSPDHSSKGLPKELEGLHEKYSATCRLFTYKELQSATSYFLAENFIGRGGSSQVYRGCLPDGKELAVKILKPSEDVLKEFVLEIEIITTLNHNNIISLLGFCFEDNNLLLVYDFLSRGSLEENLHGSKKDPLTFGWNERYKVAVGVAEALDYLHTSSAQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWASTSSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISSDYPKGHESLVMWAKPILSGGKVSQLLDPCLSNDYNQDQIERMVLAATLCIRHAPRARPQMSFIVKLLQGDADAIKWARLQVHALEESDVLEDEACPRSNLQSHLNLALLDVEDDSLSMSSIEQSVSLEDYLKGRWSRSSSFD >ONI34588 pep chromosome:Prunus_persica_NCBIv2:G1:40714419:40718372:-1 gene:PRUPE_1G489100 transcript:ONI34588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQENYGEQAEMESSGGRSVVVGVKLDAKSRELLTWALVKVAQPGDRVIALHVLGKNEIVDQDGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGASVKKFLVREANSYTASKVIVGTAQNHHKIRSSTTVAEYCAKKLSKDCGVLAVNNGKVVFNREGSQTTYCDPQGSEDRRRNGLLTAFHRSLHKSSKVLNEGSDSVALKDTYGPVDCQKLEQGFAKLFLESSETVAKQKCSICSRPSVDNSCHQSAVESSADDGEDRSMAIVPVQKEEEAAASSISMLIRELPEARPGWPLLRRAVLPDQQISERSLVRKISVVQWAMQLPSRQPSATSNFDDRRSSCDPGEDQPFCLNGESGAIVAVGSEAVTAPPSPDHSSKGLPKELEGLHEKYSATCRLFTYKELQSATSYFLAENFIGRGGSSQVYRGCLPDGKELAVKILKPSEDVLKEFVLEIEIITTLNHNNIISLLGFCFEDNNLLLVYDFLSRGSLEENLHGSKKDPLTFGWNERYKVAVGVAEALDYLHTSSAQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWASTSSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISSDYPKGHESLVMWAKPILSGGKVSQLLDPCLSNDYNQDQIERMVLAATLCIRHAPRARPQMSFVTPSR >ONI28085 pep chromosome:Prunus_persica_NCBIv2:G1:9590637:9593103:-1 gene:PRUPE_1G122200 transcript:ONI28085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTSSSNLETRPSLLQELRSFEKGGFFDFGHPLLNRIAESFLKAAGIGAIQAVSREAYFTATEGAGLDSSGGGIPPEISGNKKHRFPDLRGENNTKSLEAMVKHTGKESLQWGLAAGVYSGLTYGLTEARGAHDWKNSAVAGAITGVALALTSEGSSHEQIVQGAITGAAISTAANLLSGIF >ONI28084 pep chromosome:Prunus_persica_NCBIv2:G1:9590638:9593102:-1 gene:PRUPE_1G122200 transcript:ONI28084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTSSSNLETRPSLLQELRSFEKGGFFDFGHPLLNRIAESFLKAAGIGAIQAVSREAYFTATEGLDSSGGGIPPEISGNKKHRFPDLRGENNTKSLEAMVKHTGKESLQWGLAAGVYSGLTYGLTEARGAHDWKNSAVAGAITGVALALTSEGSSHEQIVQGAITGAAISTAANLLSGIF >ONI27749 pep chromosome:Prunus_persica_NCBIv2:G1:8261455:8267124:-1 gene:PRUPE_1G103500 transcript:ONI27749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGAKKSNTDAGGKKKEVKKETGLGLSKKKDENFGEWYSEVVVHSEMIEYYDISGCYILRPWTMSIWETMQAFFDAEIKRMKVKNTYFPLFVSPGVLEKEKDHVEGFAPEVAWVTKSGKSELEVPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATQAEADEEVLEILELYRRIYEEYLAIPVVKGKKSELEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFGIEFENEKGGKAMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVAATQVIVVPVPYKDTNTKEMFEACLRTVDTLTEAGFRVEADLRDNYGPGWKYSHWEMKGVPLRIEIGPRDLEKKQVRLARRDNSDKLDIPVSNLVEQVKDILDRIQENLFEVAKQKRDASIRVAKTWDEFMEALNQKKMILAPWCDEMEVEEDVKKRTKGEIGAAKSLCTPFEQPELPEGTLCFASGKPAKKWTYWGRSY >ONI30723 pep chromosome:Prunus_persica_NCBIv2:G1:27660024:27660887:-1 gene:PRUPE_1G269300 transcript:ONI30723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSICNDKRVQILELDLHLFDCFTRNRAYSFPHKLLCLEKESAFKLKHLDYEIPSLHSSQYNIGFEFLKVLHFQFVDVTGEVLEHILYNCPVLERLTVSFATKSLVKLGVVGPSIALKYLAIKWCTLESIEIRDAKLVSFIYEGDATNLLLSNVPFLVEVTISEGIACNFLEESYFPCRSIELPFTQLSCCLSQLEIFMLDIDLAVSICNYDVLVFFFFLLDYVVPYNLFGFQVYNWDYVFPVLANVKHLELTVKANYDMNLFHLTSFMKASPLLQRLVLKVLLISW >ONI30722 pep chromosome:Prunus_persica_NCBIv2:G1:27659971:27661995:-1 gene:PRUPE_1G269300 transcript:ONI30722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKHRQRKNNSRKKRKHRDEYNLVDRLSELPDDVLVSVLSLLSLKEATSTSILSKRWQYVWPSTMALDFDAKFFDDEVYQNFIQLQPALREQESLRYVNWVNHVVEQHRGPSIERFRVCFDIDSSIDKDLHLFDCFTRNRAYSFPHKLLCLEKESAFKLKHLDYEIPSLHSSQYNIGFEFLKVLHFQFVDVTGEVLEHILYNCPVLERLTVSFATKSLVKLGVVGPSIALKYLAIKWCTLESIEIRDAKLVSFIYEGDATNLLLSNVPFLVEVTISEGIACNFLEESYFPCRSIELPFTQLSCCLSQLEIFMLDIDLAVYNWDYVFPVLANVKHLELTVKANYDMNLFHLTSFMKASPLLQRLVLKVLLISW >ONI35858 pep chromosome:Prunus_persica_NCBIv2:G1:45527489:45528286:1 gene:PRUPE_1G557600 transcript:ONI35858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKQETTPNKVTTILGFRVESHYHLKCPQKCPKLSSTPQIIPAPKLPLTIQRFCPSTLQNKPKGCHHKTIS >ONI34400 pep chromosome:Prunus_persica_NCBIv2:G1:40131074:40132425:-1 gene:PRUPE_1G480400 transcript:ONI34400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSRKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPTSNNNNSSGQDTKPTNQDSPWSPKALSELLNSKLRKCCKDPSPSLTCLRLDNDNSHIGVWQKRPAGSRASSNWVMRIELGKKKKHISDHHGSMCSSSSSSSSSSFSSSTSSSMVGLIEAGMSEADQDEEDKLAMQMIEELLNWNYPIPSPTSNVQEGNII >ONI28957 pep chromosome:Prunus_persica_NCBIv2:G1:14300979:14301960:1 gene:PRUPE_1G171700 transcript:ONI28957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVCDQALKEQQCEREPPVDVDDDDDDFVGLACPPLDDLEAVFILESETTTFDPSNLFDDTKLDERHLAILQRPKKPPSHGKDKDLETPPLDAKRPSPSSCQQNPTGPTKSDAHHQRQDWDDEDKLENSKRKLHQGYQEFQNKKKKIQLLDVKNLPLPSKPQRGQVLSKTSSRKCPKPSDFFESQQNPKGPTKSTHHDLHHRRDWDDEEKLENSKKKLHQSYQELQNKRKKIQILDIKNLPMPPKPQRGRVLNKN >ONI30959 pep chromosome:Prunus_persica_NCBIv2:G1:28594284:28595371:1 gene:PRUPE_1G284400 transcript:ONI30959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIVSGNQNRASYRSEREAHTRSIRSLKKIALQFPDPQYFSGNERNLSNSWTNVFKFKLNNHVVHNPKGKYHTIRFRLAIKKLSSIRSTADSDKLPRSMHSSPSQTT >ONI26393 pep chromosome:Prunus_persica_NCBIv2:G1:1509493:1511659:-1 gene:PRUPE_1G021900 transcript:ONI26393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKALKQLPREKVQLATKFGIISSDGFQFGVNGTPEYVRTCCEASLKRLDVNYIDLYYQHRIDVSVPIEDTMGELKKLVNEGKIRYIGLSEASADTISRAHAVHPITAVQMEYSLWTREIENEIIPLCRKLGIGIVSYSPLGRGFFGGKAVLESLPADSLLSMHPRFNGENLEKNKLLYNKLAKLAAKHACTAPQLALAWLLHQGSHIIPIPGTTRVKNLDINIGSLDVKLTKEDLKEICDAVPIDEVGGEREYEVFSKYVWNFANTPSK >ONI33075 pep chromosome:Prunus_persica_NCBIv2:G1:35568778:35569576:1 gene:PRUPE_1G403900 transcript:ONI33075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGAASRRTSRFSSYERLVAIGLALLAVLSPLYIDRTTVDDSELDLEQPINFASWLPLLLLVLILALTLSLYLDRSFTRFDPYWIHRVGGSSSGITIILMVLALVLKFKASIRNWEA >ONI32228 pep chromosome:Prunus_persica_NCBIv2:G1:32948755:32953715:-1 gene:PRUPE_1G354900 transcript:ONI32228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSRGFASHLDFKLRRLAFHPRPHSSTSNGGLEELRKRRRFSLRGDRRGVSRISCCCSDSVVPIRSGKNAEKAEERRFDSKKRPPRAGVQASAALPFFASSSSSSSSAAQSHFLSRQEKNYPRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLLTENVNESGTNEDGSTWYRESGEDIGDNGYRCRWTRMGGTSHDASSQWKETWWEKSDWTGYKELGVEKSGRNAQGDSWWETWQEVLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEEKFFAGIGSRQGETWHVSPSGERWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSSQLLSIKPRERPPGVYPNLEFGSTPPPADEPPEEFSPQ >ONI35930 pep chromosome:Prunus_persica_NCBIv2:G1:45844130:45846440:1 gene:PRUPE_1G561500 transcript:ONI35930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVRICNALYELKPVLVMFVSHLLSTGLNIIFKLIANDGMSLKVFSAYRVLFASAVMIPLALVFERNKRPKMTWTVLAQIFLCALFGMTLAQNLYLESMVFIPTTFTVAIVNLIPVFTFILAVCFRQEKLGLRSLQGIAKLAGTLVGIGGAMLFVFYKGITINVWHHTGLLAMPQSSTSSSNPHHSNGYALGTVLALLSCLSSSAWLIIQGKLSKTYPCPLSNTALISVMGTVQCVVYALSTERDWSQWKLGWNIRLIGVFYAGILGSGVVVFMTTWCVNMRGPLFVASFSPVLLVLVAIFCSLLLGEKLYLGSFLGGFLIICGLYLVIWGKSIEMRKMTRVEPMETPRPSEAQTIEIVSTTPMPIHSTTSRG >ONI35089 pep chromosome:Prunus_persica_NCBIv2:G1:42377072:42382818:1 gene:PRUPE_1G515100 transcript:ONI35089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKIAEEDERVLQNMKRERGELEKFWNENPKNQPYSNLIHLLHPPHHHHLVSGSPVSRASLQSEFSPSSSFSNGFYSSEDGSPYSTPFEEAKYQTPYMNGLWLDSEPPDSHFNEKMGDDLRLAESFYRMRIGDEQEGGAKRMGFERGPDGFGLGGGFSADTSSWNVENYGLLESSKNNISDFEALKSSGFGVRGRFDGTINESVLLGLQKRCTVGDSMGSFLNHMQPNALYSGPSGVKNQMSCISGQRKEEQGDGWYQRKPSLARPYLDEEFFCLQLHGTDCNGGKGLMYPMGSPQLSSVAQLNVDNLSHNHSMIKQRTKVNPNSGVPESFKSMKCAGEPECFCCEDSFIIQGKHLNHTISKGSKSSKGYKKNSCNKAMQTEGEKSFRLDSNLCNGGISGSEWSQSNNSSMRSLLTLGSLAEVQGYIYFIAKDQYGCRFLQRMLDDGTCQDVQLIFDKIINHVFELMTNPFGNYLMQKLLDVCNEQQRMQFVLKVTKEPGQLVRISLDTHGTRVVQKLIETVRTGKQISLVKSSLECGFLDLIKDPNGNHVVQRCLDCFSNEDNRFIFDAAARFCVEIATQRHGCCVLQKCIAHASGRHRDKLINEIARNGLLLSQDPYGNYVIQYVMELKIPSAMAKLINQLKGHFVRLSTQKCSSHVVEKCLKYFEESRPRIIHELLSVPCFEQLLQDPYANYVVQSALTVTKGPLRASLVEAVKPHTILRTSPYCKRIFSRELLKK >ONI34370 pep chromosome:Prunus_persica_NCBIv2:G1:40054498:40058107:-1 gene:PRUPE_1G478800 transcript:ONI34370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKQIQLFDDKSDGFFSVCNLGFQWSPQEGAYHPGGLFASVSQVGMGFGVSADPPNPRGNGGVKLPYADLYMKYVEGIKNFGVQEEKGEVKKKKGGLKLKVKIANPSLRRLISGAIAGAVSRTAVAPLETIRTHLMVGSSGNSTTEVFNNIMKTDGWKGLFRGNLVNVIRVAPSKAIELFAYDTVNKRLSPKPGEQPKLPIPASLIAGACAGVSSTICTYPLELLKTRLTIQRGVYDGLLDAFLKIVREEGPAELYRGLAPSLIGVIPYAATNYFAYDTLRKAYRKFLKQENIGNIETLLIGSAAGAISSTATFPLEVARKHMQVGALGGRQYTNMLHALASILEHEGVQGLYRGLGPSCMKLVPAAGISFMCYEACKRILVEDKEEA >ONI34371 pep chromosome:Prunus_persica_NCBIv2:G1:40054695:40058119:-1 gene:PRUPE_1G478800 transcript:ONI34371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKQIQLFDDKSDGFFSVCNLGFQWSPQEGAYHPGGLFASVSQVGMGFGVSADPPNPRGNGGVKLPYADLYMKYVEGIKNFGVQEEKGEVKKKKGGLKLKVKIANPSLRRLISGAIAGAVSRTAVAPLETIRTHLMVGSSGNSTTEVFNNIMKTDGWKGLFRGNLVNVIRVAPSKAIELFAYDTVNKRLSPKPGEQPKLPIPASLIAGACAGVSSTICTYPLELLKTRLTIQRGVYDGLLDAFLKIVREEGPAELYRGLAPSLIGVIPYAATNYFAYDTLRKAYRKFLKQENIGNIETLLIGSAAGAISSTATFPLEVARKHMQVGALGGRQYTNMLHALASILEHEGVQGLYRGLGPSCMKLVPAAGISFMCYEACKRILVEDKEEA >ONI30194 pep chromosome:Prunus_persica_NCBIv2:G1:25068902:25070224:-1 gene:PRUPE_1G236700 transcript:ONI30194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVISKEIIKPSSPTPDHLLHYQFSFLDQIAPQVYNPLLLFYEHNAKTQPNSTEISHNLKNSLCEVLNLFYPLAGRIKNNQFIHCNDEGIPFVEARVLDCTLSDVLSNPIPGELNKFMPFELDDITNSLPLGVQLNIFQCGGFAIGQCVSHKIADGSSYFMFSKIWAAIARGDQANINPPEFVSSTLFPPKEFNIGFDGSVGITKDRVTKRFVFDASQIENLRERYEQSMHNIKKRPTRVETLSTFLWSRFVAATKDSTLNKLYRVVHAVNLRPRFDPPLPQSFFGNLFRLAITAPLQIPSSGGSDEECGHGVVIPVVREAIDNIDNEYIKKLQKQGDEHLGVMKKRADSFKRGEMATSLYFSSYCRFPLYDNDFGWGRPTWVGSPALTYKNVVLFMDTKEGGGIEAYVSLEEEVMAKFECDSELLSYVAPGAPMLRK >ONI30867 pep chromosome:Prunus_persica_NCBIv2:G1:28267553:28269046:-1 gene:PRUPE_1G278300 transcript:ONI30867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASLAAASATTMKAVNFFATPITQRIATHPTTLTSPIPHTKPNVHALCLPNSNPRSRAISLITRCSIKPDTDTTDNEKEQNSTVEPNLNSEPTNPSTPFSNDALSSPISTSFSSSNTKGLVLGLGFENSWDSAEVGSPVVKRFLGDEEERWYMWYYGKSSSNPNPGSDSIGLAVSSNGVHWERGVGQVQSSQDVGAVINCGKDWWVFDTQSIRPSEVVVMSSSKVRASSAVYWLYYTGYSAEEAENISNHSQEINLENPERFLLDGLISDKNGGIGKIFKSLPGLAISQDGRHWARIEGEHHSGALFDVGLQGEWDSSFIAAPHVVFHESGDLRMYYHSFDLEMGNYSIGMARSRDGIKWVKLGKIIGGGRSGYFDELGAMNPCVVRNRKDGEYLMAYEGVGGDGGRSIGLAVSPDGLKDWTRLKDDEVVLKASEDCGWDNKGVGSPCLVQMDGEEDEWRLYYRGVGIEGRTGIGMAVSQGSDVTRFRRCAGFHL >ONI33165 pep chromosome:Prunus_persica_NCBIv2:G1:35813857:35819545:1 gene:PRUPE_1G409300 transcript:ONI33165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESIFLTLAATAGNNIGKILQKKGTVILPPLSFKLKVIRAYALNRAWLIGFLMDIFGALLMLRALSLAPVSVIQPVSGCGLAILSIFSHFYLKEIMNAVDWMGITLAGIGTIGVGAGGEEQKASAISIFHLPWLAIVVAILFVLLNGWLRIYRRQRKEQELMEYDVVEEIIYGLESGILFGMASVISKMGFVFLEQGFPSMLVPICLIFSICCSGTGFFYQTWGLKHGRAIVVSTCAAVASIVTGVLAGMLALGERLPSAPTARLSLVLGWLFIIIGVILLVSSTRLVRNLPRPLRHFIQSGVDKNFSQRKSGSIRTRDSSPSTVIQAATLHHLIPTSSKEKA >ONI29947 pep chromosome:Prunus_persica_NCBIv2:G1:23764078:23764287:-1 gene:PRUPE_1G223800 transcript:ONI29947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVVIAVVLFVLLSPGLLFQLPGRGRVVEFGSMHTSGISILVHTIIFFGLLTIFLIAIGVHIYTG >ONI33617 pep chromosome:Prunus_persica_NCBIv2:G1:37201367:37203304:1 gene:PRUPE_1G435900 transcript:ONI33617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVGVRGFLVQPCSLSFVSDKNVLKHSFGAANTCWHVKPAKPQGFARHVAHAQLRPTWLPGLDPPPYLDGSLAGDFGFDPLGLGEDPESLRWYVQAELVHARFAMLGVAGILLTDLLRVTGLLSIPVWYKAGAVKFGFANTETLFLVQLILMGFVETKRYMDFKSPGSQAQDGSFFGLEAALEGLEPGYPGGPLLNPLGLAKDIKNAHEWKLKEIKNGRLAMVAMLGIFVQASITHVGPIDNLVEHLSNPWHRTIVQTLANSAS >ONI34339 pep chromosome:Prunus_persica_NCBIv2:G1:39673286:39675333:-1 gene:PRUPE_1G476000 transcript:ONI34339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKFIEHLSAGISVDKVDPLKIKYYRLRLAIEGYTSTILRKNGQLSELIGELQPLFNGTGEQSEGDFYAIASQVRRLAAEVRQLDSSLQRAVLKGNDSQIPSCKTINSLHVCLTSLIMPTATLGALGYGYMWWKGLKFSDLLYVTKRSMNAAVSKLHKRLESVTEAIANTKKHLTQRVQNLDDKLLEQKYIEKSIVDNVCHFLHIMSF >ONI34312 pep chromosome:Prunus_persica_NCBIv2:G1:39399097:39405193:1 gene:PRUPE_1G474200 transcript:ONI34312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLFNWVGNRDFPLLDSSALLQIRSGNLILTDRRQVQSIVNSGNVATTSNTSIIWQSFDAPSDTYLPGMKLGSFNLNTDHQNLQVVNSWASHQNPARGLYTLSIDSSDLTKLSVWRGDGVKMNSNGSTNDYNFSLQFNRNEAFYTFSSSKNYDLMWLVMNSTGNLDQNFMLKGKIYSVSHPLCAGSNGGNNGTCLPSVPSQCRDGGAFSEMNGSLPSTSAGSGGSIGISECQTLCQVNCSCTAFASVQNESEKGDLIFFSTIKAATSDFAKANKLGEGGFGPGMLLPEGQEIAVKRLLQHRNLVRLLGCCIEAEESILIYEYMPNKSLDSFIFDSTKRVLLDWRKRMNIIEGIAQGLLYLHKYSRLRIIHRDMKTSNILLDCDMNPKISDFGMARIFGDNDTRGQTNRVVGT >ONI26783 pep chromosome:Prunus_persica_NCBIv2:G1:3242813:3245685:-1 gene:PRUPE_1G045500 transcript:ONI26783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKAIITHQLQSLKRESGKSYSQLAKEIGLTNVYVAQLLRRQAQLKPETAPKLQAALPGLPQELIKEMMIPPLRSYDPNLIQDPTIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVKGVDGKDRVALIFDGKYLPHSEQKSEHMVSRLHLE >ONI30536 pep chromosome:Prunus_persica_NCBIv2:G1:26680084:26681908:-1 gene:PRUPE_1G256600 transcript:ONI30536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLVCHYPKMSLNQAQTRQRNDFLTSYPGSRLLSSSLSSSSSSPSLPLPYNSDYQRHSNPSSSSGSKISPSVLFIIIILAVIFFVSGILHLLVRFLTKHRSSSASESNRYPELSGSEVYQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIKAREPFDCPVCLCEFSEKDQLRLLPICSHAFHIDCIDTWLLSNSTCPLCRGTLYTPGIAIENPVFDFDDLREEDGSLGNEGSGVLTGQKSADNENGGEKKVFSVRLGKFRSTNDEGDGGGGAAVERAEGETSSSSLDARRCYSMGSYQYVVADLELQVALRPKRAAAAAGSGDSVRLVKGRAGQNGNSHCDGDAEGKKINSGSKGESFSVSKIWLWPKKGKFPNSSETHMGASSVTVGLPWSDRSQVT >ONI35683 pep chromosome:Prunus_persica_NCBIv2:G1:44963725:44970078:-1 gene:PRUPE_1G550200 transcript:ONI35683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRFTCMSKKDTKDIGSRSKRVNRSQRKLLAEEEFLHRQALSMALHQHQLSQRFDGSMSRRIGSTSSRRLADPFANGKQVPESLENIKTKKFILVHGEGFGAWCWYKTIALLEEAGLLPVTFDLKGSGIDLTDTNSVATLAEYSKPLIEYLENLEEDEKVILVGHSNGGACVSYALEHFSQKISKAIFICATMVSDGQRPFDVFAEELGSAEQFMQGSKFLIHGNGKDKPPTGFMFEKEQMKGLYFNQSPAKDVALAMVSMRPIPLGPIMEKLSLSPKNYGTSRRFFIQTLDDRALSPDVQEKLVRENPPEGVFKIKGSDHCPFFSKPQSLHKMLVEIAQIP >ONI30196 pep chromosome:Prunus_persica_NCBIv2:G1:25132686:25136384:-1 gene:PRUPE_1G236900 transcript:ONI30196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQPLDKWLYRYSQGTTEFSIYLYLTLILGLGSLVSSTFHFNFSRLPVMANRTDPSAKSIRGTNPQNLVEKIVRSKIYQNTYWKEQCFGLTAETLVDKAMELDHIGGTFGGNRKPTPFMCLVMKMLQIQPEKEIVIEFIKNDDYKYVRILGAFYLRLTGTDTDVYQYLEPLYNDYRKLRRKLADGNYALTHVDEVIDELLTKDYSCDIAMPRIKKRWTLEATGSLELRKSALEEDFEEEEEKEENDQLDGMDDEVHDRDYYRGRSPVRERDRDRRRDSHRYRDHDYDRDYDRDRDYDRERGHGRERDRNRDRDRDRDRYRLRDEKDLGRDRGRDRRERDRGRRRSYSRSRSRSRDREGGDNRKRHGRSSMSPRRRDGHDEHGTREESKKKKEKKEKKTDGTDHPDPEIAEANRLRASLGLKPLKM >ONI32748 pep chromosome:Prunus_persica_NCBIv2:G1:34430759:34434633:1 gene:PRUPE_1G383300 transcript:ONI32748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMESSVHGGFSHLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLDFENVQWNGSDMASDDTQKSHRSRQRVHKSSGSSHKTMCRTFSCDSQSKGSISTPRGSTKIDLGKLEMAALWRYWRHFNLVDAIPNPSKEELIDVVQRHFMSQPIDELQVIVGFVQAAKRLKTVCK >ONI30961 pep chromosome:Prunus_persica_NCBIv2:G1:28600363:28605549:-1 gene:PRUPE_1G284600 transcript:ONI30961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWERRGGIGLVLGMAAAVVLVLGFFFPTCTLSESSDDINRASFPKGFVFGTASSAFQYEGAVKEDGRGPSTWDTFSHTFGKISDFSNADVAVDQYHLYNGDVQLMKDMGMDAYRFSISWSRIFPNGTGQINQAGVDHYNNLINSLLAKGIEPYVTLYHWDLPQALEDKYNGWLNPQIIKDYATYADTCFQKFGDRVKHWITFNEPHTFSIQGYDVGLQAPGRCSILLHLFCRAGNSATEPYIVGHNVILSHATVADIYRRKYKSKQHGSVGASFDVIWYEPETNSTADVEAAKRAQDFQLGWFLEPFIFGDYPSSMRSRVGSRLPTFSKSEAALLKGSLDFVGINHYTTFYARNDTTDFIGGLLNDSLADSGAITLPFKNGKPIGDRANSIWLYIVPEGMRKLMNYIKHKYGNPPVIITENGMDDPNSPFISLKDALKDAKRIKYHHGYLTNLLASIKEDGCNVKGYFAWSLLDNWEWAAGFSSRFGLYFVDYKDKLKRYPKDSVQWFTNFLTST >ONI34094 pep chromosome:Prunus_persica_NCBIv2:G1:38627852:38631654:1 gene:PRUPE_1G462300 transcript:ONI34094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMLSARLPTINYALPSGRDCKYTGQAVRAFPIRIISVGKKRSQGVQLVVDEYIEKLKLYCSVEDAHIRNNPKNARDWRAQVDHEDSAVMDLITSDDWVVLLDERGKDIGSEQMAELVGDAGNTGASRLSFCIGGPYGHGKRLRERADVSVKLSSMVLNHQIAIVVLVEQLYRSWTILKGQNYHR >ONI29725 pep chromosome:Prunus_persica_NCBIv2:G1:22441904:22447220:1 gene:PRUPE_1G211000 transcript:ONI29725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRRHEEGLMTSSENNSDTESTQLSTAARASGSAEVERRAFSGPLGEPHNSYAPSSLSSKSTRAWRRIKSAKFDKSAVDSNRNPGTDQNEDDAYVEITLDIRDDTVAVHSVQAAGGASNEDPELALLAKKTLEGKKSSSFRSSLLRNTSSHIRQVSQELKRLASFSKRPSNARRFDRTKSATAYALKSLKFITAKTGGGASSSAGWVAVEKRFDELTVKSNGLLPSSLFGECIGMNKESKEFAGELFRALAWRRNISGDAVNKAQLREFWEQISDESFDSRLQTFFDMVDRDADGRITEEEVREIISLSASANKLSNIQKQAKEYTALIMEELDPDGAGYIMVENLETLLLQAPVGQSVGVNESRVLSQLLSQKLKPTQENNPITRWYEKTKYFLLDNWQRVWVMMLWLGIVSGLFVYKFLQYKNKAAFEVMGYCVCIAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGVVVPFDDNLNFHKVIAAGIAVGVGLHAGAHLTCDFPRLIHATEEKYEPMIQYFGEEQPPNYWWFVKGVEGWTGISIVVLMAIAFTLATPWFRRNKLNLPKPLKKLTGFNAFWYSHHLFVIVYALLIVHGIKLYLTKEWYHKTTWMYLAVPVVLYACERLIRAFRSSIKPVKILKVAVYPGNVLALHMSKPQGFKYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSEVCQPPTGGKSGLLRADNMQGGNNPSFPKILIDGAYGAPAQDYKKYDVVLLVGLGIGATPMVSIVKDIINNMKMKGKEDDDSILESSLEMGRVSGNPSTPNHSSSGKNKSNKGFKTRKAYYYWVTREQGSFEWFKGILNEVADMDEKGVIEIHNYCTSVYEEGDARSALIAMLQSLHHAKNGVDVVSGTRVKSHFAKPNWRQVYKDIARHHPDSRVGVFYCGAPALTKDLKELALHFSHKTTTKFEFHKENF >ONI30180 pep chromosome:Prunus_persica_NCBIv2:G1:24897691:24899762:-1 gene:PRUPE_1G235300 transcript:ONI30180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTATKDIITLRGSAAIVSEFFGYSANSILYNRGVYPEESFAKVKKYGLPMLLTQDEGLKSFIANLTTQLSEWLESGKLQRVVLVILSKATNEVLERWNFSIETDNEVVEKGESRQKSDKEIMREIQAIMRQIASSITYLPCLDEACVFDVLAYTDKDLAVPFTWVESDPKLIANPQIVKLHSFDTKIHKVDTLVSYKNDEWDEQ >ONI30806 pep chromosome:Prunus_persica_NCBIv2:G1:28023913:28026667:1 gene:PRUPE_1G274100 transcript:ONI30806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTLFSLEFIDQEGIPSLSNIYEPRFGGHQTLEEREQSFFAKNQTLHCGFIKGPPGFSSTGFDIDAKDKAYKNECKIAVSSCIFGSSDFLRRPTSKKDCERRALVKLFRHREEPSPPGPPPVT >ONI27038 pep chromosome:Prunus_persica_NCBIv2:G1:4570895:4574405:1 gene:PRUPE_1G064100 transcript:ONI27038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTYTTIMEHHQQQQQRNKKNIMVMMMLRASSLSSTMILFFVIVLLNVRVASSAGASDSEALLKFKDSLKNTDELTNWKSSSIPCEGATSNWVGIRCDGKGRVWGLQLEKMGLNGDINVDILKDLPDLRTISFMKNNFDGPMPDLRKLTALKTVYLSDNKFSGAIPPDWFAGMPSLKKVHLANNQFTGEIPRSLTGLDKLVELSLENNKFKGKIPDFRQPAGFTTFNVSNNKLEGEIPEGLRKLDASSFAGNEDLCGGTLKACPSKKPATWSIVVVVIIVIVALAAIAIAAFFILRRRNKASESSIEDPPPSTATVQNRSVRNEPEQQASAPGSPENLNGREVSGKQNKADQSLKLSFVRDDRERFDMQDLLRASAEVLGSGCFGSSYKAALLSGPVMVVKRYKQMNNVGKEDFQEHMRRIGRLAHPNLLPLVAYYYKKEEKLLISDHVQKGSLAVHLHGHQTVGQPSLDWQTRLKIVKGVAQGLRYLYHELPSLVAPHGHLKSSNVLLNESYEPLLTDYGLIPVVNQEHAHTLMVAYKSPEFMQSKRITKKTDVWGFGVLILEILTGKLPTNFLQHGKASEEDLASWVNSVPQDEWFSQVFDRDMGAGKNSEGEMLKLLNIGLGCCEGEVEKRWDLKEVVERIEEVKERDNNHGDEDFLSSCASEGDMTKIVG >ONI26574 pep chromosome:Prunus_persica_NCBIv2:G1:2246045:2247361:1 gene:PRUPE_1G031800 transcript:ONI26574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSHQENPEPKKVQFPLDSTAYQILDEIGFGVSAVVYKAICLPMNNTIVAIKSIDLDQSRADFDNILSETKTLSLLSHPNILSAYCSFTVDRHLWVVMPFMSAGSLQSIISSAFPDGLPEPCIAVVLRETLNAMSYLHDQGHLHRDIKAGNILIDFNGWVKVADFGVSASVSEANLSGESSIRLNDVAGTPYWIAPEVIHSHNGYGCKADVWSFGITALELAHGGPPLSDLPPSKSLLLKIMKRFRFSDYENHQDKNYKSKKFSKAFKDLVGCCLDQDPNKRPTAERLLRHSFFKNCKGLDFLVKNVLLGLPSVEERFKKTRGLGGLMKEKGINAEHGEDEEEDDEGSSARQRAKHRRISSGWNFNEDEFVLDPVFPVEPEDDSAVKMVRFGGETIIQDRGGEWSESNPSSPGRVGEEAKSENVGVIGAEREAMAV >ONI26244 pep chromosome:Prunus_persica_NCBIv2:G1:938291:941402:1 gene:PRUPE_1G012300 transcript:ONI26244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLVMHLHLRKAQSLTVAALVICNCQMRRTVMMMSLSMKVMVTDYSEKAEARQGQDDNSYVANDKYNGYNYDDECNYDEECDFEELT >ONI33323 pep chromosome:Prunus_persica_NCBIv2:G1:36184542:36186251:-1 gene:PRUPE_1G416800 transcript:ONI33323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDEACNTGLGLSLGCRDSPDHDHTNLQAPPDHHHHHHQNTYKNKQIQLKYDHLLPSLTLGPSAASKIEEAESTDLHQAVAAQEQDSSPCSGAFSSFSNSSSFKRDRELGGEEIEVEVEVEVEVEEERVVNITSSRASEELYEQDHEGSPRKKLRLSKEQSATLEDSFREHTTLNPKQKQDLARKLNLRPRQVEVWFQNRRARTKLKQTEADCELLKKCCETLKEENRRLHKELQELKLMKQTATAAAAVPPFYMQFPTATLTMCPSCEKICNGGDHHNHHGSSTSSFLIGSKPAHLIFNPFSTHPSTAC >ONI30839 pep chromosome:Prunus_persica_NCBIv2:G1:28174606:28175988:-1 gene:PRUPE_1G276500 transcript:ONI30839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCTSLGAWIKACPPCSSCSHSVHNTMIQLQPQTLFLLPQGSLIPSPKPSKNPNPKTPKSLSNSLRPTLNFPNYRPLSHHPNTSDSGLLFREKVLYLETHLNVNSQKALQLNPDFRSCPISSLKSVENCLSSMGIERSAFGRILDMYPQLLTVDPHTHLYPIFDFLLNEVLIPFPDIRKSIIRCPRLLVCDVDDQLRPALKFLTELGFVGRSSITCHTTLLLVSSVEGTLLPKIQYLQSLGLSYEEVVNMVIRSPGLLTFSIKNNYKPKVEFLLKEMKKDIAELKRFPQYFSFSLEGKIKPRHRLLVEHGFSLPLREMLKVSDGEFNVRLIEMRLGSVEGSWL >ONI28116 pep chromosome:Prunus_persica_NCBIv2:G1:9807961:9810194:1 gene:PRUPE_1G124200 transcript:ONI28116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMEGIEHRTVQVNGINMHIAEKGQGPLILFVHGFPELWYSWSHQITALASFGYRAVAPDLRGFGDTDAPDSPTSYTCLHVVGDLIALLDAIAPDQDKVFVVGHDWGAYLAWFLCLFRPDRVKALVNLSVAFMPRNPQRKFIESVKAVYGDDYYMCRFQEPGVMEAEFAKLGTARVMKEFLTYRNPGPLFLPKDKMFGHAPDAPIVLPSWLSEDEVNYYASKFEKTGFTGALNYYRNLDLNWELTAAWTEVQVKVPVKFIVGDQDLVYNSLHAKDFIHKGGFKKYVPLLEEVVVMEGVAHFINQERPDEINKHIHDFIAKFP >ONI36245 pep chromosome:Prunus_persica_NCBIv2:G1:47014161:47018286:1 gene:PRUPE_1G577500 transcript:ONI36245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLLPLLSLFLSLFLLSHLSFSPTVVVADSHFEGFEDADGIDDADDEDSSLPLSTLTRPSQTPLTTTPSQPDSPPSPDPSQPDPDPNPTPNLDPPPTDLPKPSSTSFEYWDEDEFEGLPIQPEQPLQQPPLDDQKITENATPSSDSDPKPSADPRPPAATRSFVVEIACGGFLIVFAINYFTGKRVNENIALAWAAKFATKDSIFEKNFSLLGVGDGDDSPLLLKEGQNVFKFYASGRRCCQGLLATMELKSRHDLISSIFNLVVPSRDEINLEVYMNDDAMDHVVFAVARKKAAKAMQKEVPDLQKFAGILSPPTGRKWVAEDLAVISESKEVAGDLITETVLDQVFGEKAFQKFGKGFIYMHFSDQHPGTHKKMLLFKFALPDASNMADMTRLVALVPYYIDLIGRYKLSSQARSKTEAIRSKAAQEAYKELQNARQEALQRKKAERKKMMEEAEAKLSAESIRKKEAKERARQMKKAMPKIKMTRAS >ONI33653 pep chromosome:Prunus_persica_NCBIv2:G1:37308257:37310067:-1 gene:PRUPE_1G438500 transcript:ONI33653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMMMLPLVVLLVFHVSASWAASASVYDNFLQCLNTNTNPSSTQLSNIIYAQNNPSYSAVLRAYIRNSRFNTTSTPKPVLIVTPSAASHVQASVVCAKQLGIQLRIRSGGHDYEGLSYWSDQTFIVLDMFNLRSVTVDIEDSSVWVQAGATLGELYYRISEKSKVHGFPAGVCPTVGIGGHISGGGYGNMLRKFGLAVDNVLDAQIVDAKGRLLDRKAMGEDLFWAIKGGGGGSFGVIVAYKLKLVSVPETVTVFQAARTLEENATDFVSRWQEVAPTTDDGLFMRMLLQPGSNTVKATIIAEFLGNADQLVSLLGKQFPELGLKEEDCKEMSWIESVLWWDNYDNGTSPDVLLDRNPDHANFLKRKSDYVQTSISKSRLELLWKKMIEIGKIGLVFNPYGGMMSRIPASATPFPHRAGNLFKVQYSVSWGEAGAEAENNYTTDTRRLFRFMTPFVSKNPRSAFLNYRDLDIGVNKFGNRSYEQGKVYGLKYFNDNFDRLVKVKTAVDPENFFRNEQSIPPNPTTFFKLALRCELGVKFQTINGEAEASTGVLVYFLFILYLVSSFPFNFVFSQTLVADWQPLNFVLW >ONI28175 pep chromosome:Prunus_persica_NCBIv2:G1:10055524:10056490:1 gene:PRUPE_1G128900 transcript:ONI28175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTKVSQKFVTQVTPERMFKALVLDAHNICPKLMFSSIKSIDFVEGEGEVGTIKQINFTEASPMRYVKHRIDALDKEALSCTYTFIETNAENSLLEKLEYITYDVKFEGYGRGGCICNLTSTYKAKDDIHIKEEDIELGKDRAIGMYEVLEAYLMAHPRAYT >ONI28010 pep chromosome:Prunus_persica_NCBIv2:G1:9210276:9211309:1 gene:PRUPE_1G116800 transcript:ONI28010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGEGEVERVEDSKDLQQQSKAFDKLTDRVEDRQLDSTRVQEAMASIAASSEADWNAMRLREKELAAVKINAADVDIIANELELDKKVAERTLREHKGDAVAAIRHLLH >ONI33290 pep chromosome:Prunus_persica_NCBIv2:G1:36103152:36104068:1 gene:PRUPE_1G415200 transcript:ONI33290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVAIHGCCFWVGYRGDGRCVASHVAACDLVGISGRLKQVGLNSVLTDKKRAYGSKRTLGLLPLVFFWGVLFGK >ONI35160 pep chromosome:Prunus_persica_NCBIv2:G1:42638600:42648172:1 gene:PRUPE_1G519200 transcript:ONI35160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHYRSQFGDTTFTKVFVGGLAWETPTDEMRRYFQQFGEILEAVIIADKNTGKSKGYGFVTFRDSESARRACANPNPVIDGRRANCNIASLGRPRPSPPRGRNQASGPYQGSAPQAAQSYGGAPPLPPPLPPPAPIIYPPYSYPTYTPADYGYHQQAMYNQQVQQQPQYYSHQVYGSSPSSPMGTPYYYHGYSFQSPRATFSSPPAHRMPAPSYLYYPTQMEPSSSYPNPAPPLLQQPPTSRQHPPNFTSPSPDSQNPQHASTETEAGVVTSESTPDA >ONI35161 pep chromosome:Prunus_persica_NCBIv2:G1:42638600:42648172:1 gene:PRUPE_1G519200 transcript:ONI35161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHYRSQFGDTTFTKVFVGGLAWETPTDEMRRYFQQFGEILEAVIIADKNTGKSKGYGFVTFRDSESARRACANPNPVIDGRRANCNIASLGRPRPSPPRGRNQASGPYQGSAPQAAQSYGGAPPLPPPLPPPAPIIYPPYSYPTYTPADYGYHQAMYNQQVQQQPQYYSHQVYGSSPSSPMGTPYYYHGYSFQSPRATFSSPPAHRMPAPSYLYYPTQMEPSSSYPNPAPPLLQQPPTSRQHPPNFTSPSPDSQNPQHASTETEAGVVTSESTPDA >ONI35398 pep chromosome:Prunus_persica_NCBIv2:G1:43603483:43608414:1 gene:PRUPE_1G533400 transcript:ONI35398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRKAAKPEDEAASAAQAQELRALQSQLLSNHHNKIYTDEAQQLSAKLVQQNPESYTAWNYRKLVVQHNLAQSESDPDSLKSILDQELGLVESALKQNFKSYGAWHHRKWVLSKGHSVLDRELKLLKRFQQSDPRNFHAWNYRRFVAALLNRSEEEELDYTREMIEHNFSNYSAWHNRSVLLCNLMKKKSQGFFPKEKVLNDEYEHVHDAIFTDPDDQSGWFYYLWLLDQTVKTDAPLLVASWPAHESDVILSRNRRSDDSSFSPFDSFHSDSGTIPLILYFNQAVEGVNSSTITIESSFCTKDLNWKPLLQNNSQLSQVWVTHIKFPDVKPHSSEAYQLKISVGQSQGIISASGIHYSHPTQLAFKVCLRPIETEPATKKGGHRILWRDENFHMYQPQSQEPDEVVPIDQLIINDHEPTASNWRAETIANEIALFRELSELNCKIGKLTLARLLTAHDVILSPRTSKMAHSEEVLELYTDLIKLDPLHSQYYKDEHSLVLLQKVTSNRESLLNHCFSYKNLASSSIGNDICLRLSNLSLSRMGSIEKLLWVQMLDLSHNELHSIEGLEAMQLLSCLNLSNNKLGSLTALGPLRLLKSLEVLDISYNEIGSHTIDRTRYLCSTPLSHTEETSWNSDEIVTGGASVKDNWEAVLIFKSMSLTQLAIVGNAISGENFKSLLVKLVPTLEWLDGDKLH >ONI34992 pep chromosome:Prunus_persica_NCBIv2:G1:41964777:41970151:1 gene:PRUPE_1G509100 transcript:ONI34992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHYLQLSSRICMPSCISSQSKTIWTSSTSGNEMRVGHCTKVSRSVNMENLQNEYLFPEIFMREFVHTQKYPHARLIRLGVGNTTEPIPDIITSSMAEHAHALSTVQGYRGYGAEQGHMELRRAIAEKFYNNMGIEGDEVFVSDGAQCDISRLQMLLGSNVTVAVQDPSFPAYIDSTVIAGRAGRFQEETGKYKNIVYLNCSPQNNFFPDLSTASRADIIFFCSPNNPTGSAASQQQLKQLVEFAKANGSIIVYDSSYAAYISDDSPRSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWTVVPDELLYANGFPVIKDYNRIVCTCFNGASNIAQAGGLACLSAEGYQAITTVVDYYKENAKIIVDTFRSLGLKVYGGKNAPYIWVHFPGLSSWDVFNEILEKTDIVTIPGKGFGPGGEEYIRVGAFAHRESILEASKRLKTLF >ONI34993 pep chromosome:Prunus_persica_NCBIv2:G1:41964999:41970151:1 gene:PRUPE_1G509100 transcript:ONI34993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLFTDVFFCFFGAMFYVMNSFSCIIVLWIIYSSTSGNEMRVGHCTKVSRSVNMENLQNEYLFPEIFMREFVHTQKYPHARLIRLGVGNTTEPIPDIITSSMAEHAHALSTVQGYRGYGAEQGHMELRRAIAEKFYNNMGIEGDEVFVSDGAQCDISRLQMLLGSNVTVAVQDPSFPAYIDSTVIAGRAGRFQEETGKYKNIVYLNCSPQNNFFPDLSTASRADIIFFCSPNNPTGSAASQQQLKQLVEFAKANGSIIVYDSSYAAYISDDSPRSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWTVVPDELLYANGFPVIKDYNRIVCTCFNGASNIAQAGGLACLSAEGYQAITTVVDYYKENAKIIVDTFRSLGLKVYGGKNAPYIWVHFPGLSSWDVFNEILEKTDIVTIPGKGFGPGGEEYIRVGAFAHRESILEASKRLKTLF >ONI26164 pep chromosome:Prunus_persica_NCBIv2:G1:660798:664532:1 gene:PRUPE_1G007800 transcript:ONI26164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILANTPSAFKSSGRLTLLDLLIYQWTLVNYSIPCNCTSVRISFYISCVRFLIVEELKGQEGNKNIYPRGQKERNIDNTGSESQALTKSWGNRICSSLSLAKRGNKKEDAENPQQKSSSMQGTNQREEQGRQRHPNYIYASFVTICYLITEALVEMYRFLRGFLGISSIQKIHQDKRKHKWATQVMNKLLDHTSYMYAQNPKETRPTDESKMTQVPKPPELEKPELDTKRKKDKTVGDKKMKRQLMTPILIAAKMGVSEMVEKILKKFPVAIHDVDSENKNVALLAIENRQSHVLKLLLMKKEKSIASLLRQVDIKGNNALHLAAKYGSYRPWHTPGAALQMQWELKWYMVSQDSNRYIHMWDAWLMHKPAEHMYTICDIGPCDRQEAETYRFPHGDPHEEKHCARQVVEVTNFGQRQEKECTEQ >ONI26326 pep chromosome:Prunus_persica_NCBIv2:G1:1205457:1206046:-1 gene:PRUPE_1G017600 transcript:ONI26326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLFAPLYLLLALFVFTSVRRMVPMVNADECSLASIGGGCPDEDECTMTCITCYRNVGHVRSYCRSGGGGIPFDSCICVMSDGAPCNPIGPPKCPNWPRSTSAAANFTAN >ONI26124 pep chromosome:Prunus_persica_NCBIv2:G1:469738:470644:1 gene:PRUPE_1G005400 transcript:ONI26124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLLLIGGGWINCYRIGSNFMAKAGKSVPQLLILIRSQLSLLLFHTGLLLLLVVPHFARPTPSEFNNSKSLTMAAGSSSSTATQLLQSSMDLHPKQAKKSAKNNQQFEASAHEVPSGPNPESNK >ONI28512 pep chromosome:Prunus_persica_NCBIv2:G1:11393364:11395728:-1 gene:PRUPE_1G145100 transcript:ONI28512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITRGLGPPTMRFEGGEHEPWILRRQRGNRGIPSFSAVPRRIDGFKGKDVHEIRSSAHLCVAVVADHDDIGLSRFPSIYRRRL >ONI34179 pep chromosome:Prunus_persica_NCBIv2:G1:38913623:38914081:1 gene:PRUPE_1G466800 transcript:ONI34179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVYDISYLYEKSCSLDIIVYWTASETLYWTSKNGFRLARMYLTFCSVGEFYYELYKVENILDIHLRLFVS >ONI31992 pep chromosome:Prunus_persica_NCBIv2:G1:32222866:32226476:1 gene:PRUPE_1G343700 transcript:ONI31992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERQTPHPQTDTSASDRTPTVVLDIESLAQPSDRSSGSPKMTRALSRKWSYRAERFFNTDEEDTDEPSKKLLVKVNSQLEPLKQSLITAKAISAASNALTGSNLIDIGDGRSKRLNRFMAINPRKILFIFATLSSMGTLILIYFTLAINRTV >ONI28905 pep chromosome:Prunus_persica_NCBIv2:G1:13664422:13665408:-1 gene:PRUPE_1G167900 transcript:ONI28905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIKRRHRHRPTNNNRPPKQEDDDEEEEEHDQKPYILQLPVHIITEIFCKIPTKTLIQCKRVCKSWRCWFSDAQFTRELFSRTPASLWVTGHFLVDLDRIGSQSDVVLQLFSNLRALCMTVVGSCNGFLCHYDFNSDFTRHLHISDPVTCTFFSLPIPSNRDNGDCYGFGFSPISDVYKLVRVSSLGGEPDQVMVLTVGSGIWRNIGHPGYSFYRVNPRHGIYLNGVLHWIGRSCRDRSRRLICVFDVESERFQELPLPLSSQNLRRTYFKLGILKGWLSVIHKVNDVISVWVMKDYGVKESWTKEHEFKEPVGSFVTSTMKFTDQG >ONI34341 pep chromosome:Prunus_persica_NCBIv2:G1:39697809:39699973:1 gene:PRUPE_1G476200 transcript:ONI34341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLPLIFAILSLAAVALANNAAQPAPQLYWNSVLPNTQMPRSISELLHPDSTNEEKSKPEIFPLQGNRVYTQSHYKKRPPPPSDEGKPETFPLGNSHYTQTRYKKRPPPPSDEGKPENFPLGNSHYTQTRYKKRPPPPSDEGKPENFPLANSFYTQTRYKKRPPPPSDEGKPENFPLANSFYTQSHYKKRPPPPSDEGKPENFPLARSPPSDSQLKHYKDLAIFFFEKDMRPGTTMKFQFPRNSNTATFLPRESAQSIPFSSKKLPEIFNHFSVKPTSEEAKTIKQTIEECEAPGLKGEEKYCATSLESMVDFSTSKLGTRNVEAISTEVLEEGATKYMHNYTTMPGLKKLEGDKVVVCHKENYPYAVFLCHAIKQTEAYVLSLKADDGMKVKAVTICHLDTSEWDPEHLSFQILNVKPGTTPICHFLTTDAIAWVPKHKSA >ONI29282 pep chromosome:Prunus_persica_NCBIv2:G1:17596846:17600318:1 gene:PRUPE_1G190700 transcript:ONI29282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVCTPAKAGIYHKRSKDIIKPLPQCVHDSPRKSSVVASAPVHHRSIIWVLDKTAGNNIFDKYEFGKELGRGEFGITHRCTHRETGELLACKKISKSKLKTEIDVEDVRREAEIMRRLPKHPNIVSFKEAYEDKEAVYLVMELCEGGELFDKIVAKGHYTERAAATVTRTILEICKVCHQNGVIHRDLKPENFLFTDSSENAQLKAIDFGLSIFFEKGQRFSEIVGSPYYMAPEVLRRNYGAEVDVWSAGVILYILLCGVPPFWAESEEGIAQAIVRGSIDFEREAWKKVSDEAKELVKRMLDPNPFNRLGVEEVLDHPWIRHANQVPNVPLGGNVTLRIKQFSLMNKFKKKVLRVVADNLPDDQIDGIRQLFNMMDIDNNGNLNFEELRDGLVKIGHHVTDPDVQMLIDAADGDGTGTLNCDEFVVVSVHLRKIDNDELLHQAFTYFDKNDSGYVEFDELREALLDDKLGPANEQVIQDIIFDVDLDKDGRISFEEFKAMMKSGMDWKMASRQYSRAMLNALSRKLLKDESLQASYKEET >ONI29516 pep chromosome:Prunus_persica_NCBIv2:G1:19578892:19582998:1 gene:PRUPE_1G200400 transcript:ONI29516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPQPSANGPPPKPWERAGSSSGPAPFKPPSAGSTSDVVEASGTAKPGEIVSSSDRNATVNRNALARPVPSRPWEQNYGSSSNYGGYGSTMNYNSGYGSGISGMSGMSGMYGSSYGGTGGLYGGGMYGNNSMYRGGYGGGMYGSSGMYGGGMYNSGLGSQMGGIGMGMGGPYGGQDPNDPYGGPPSPPGFWISVLRVTQGVVNFFGRIAILIDQNTQAFHMFMSALLQLFDRSGLLYGELARFVLRILGVKTKPNKVHPQGPNGGPLHGPHGPHGPQNYIEGPRPAPSGGWDNVWENDTSK >ONI27218 pep chromosome:Prunus_persica_NCBIv2:G1:5337420:5341085:1 gene:PRUPE_1G074500 transcript:ONI27218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLANYASSDEEEDQQQPSKPAALPSKPSSEPSSSSSLFSSLPKPKPQTSSLFQSLPQPKQTQTKPSNLRHDDDDDDEDQDSKPTSKPLFSTLPPPKSQIPNKPITDISSSDRNPKRVVQFKPPISSYSMKSSQLDDEDDDDDEEEERRRRKASESSAQTSSGKFIFSLPAPRYSATLGASSGLGSGRRAILEMESVGSKVKSDAGVDQNGASYENHQSSIDQNAVNYESYGGYETYQSGIDQNAVNYESYGGYESNQSGIDQNVDVGVQLQAGISGSDASKYGSYDVYGSNAGYSGYGQYGNDWVGGSETAALAIPGTDVSAIKVSKKRGRNEVPTEIVEVKQDELMKNRPREDQAKSTGIAFGPSYQPVSTKGKPTKLHKRKHQIGSLYFDMRQKEMELAERRSKGFLTKAETQAKYGW >ONI35253 pep chromosome:Prunus_persica_NCBIv2:G1:43071748:43073583:1 gene:PRUPE_1G525800 transcript:ONI35253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANHEEKRNAALKRALLILSCVFLSIGACGGPLIMRLYFIHGGKRVWLSSWLETGGWPIIFIPIALAYYHRRTTEGPSTKLFFMKLPLFIASAVIGLLTGLDDYLYAYGVARLPVSTAALITAAHLVFTALFAFLLVKQKFTSYSINCVFLLTIGAAVLGLNTSADRPKGESDKQYIAGFMMTLSSAALYGFVLPLVELTYKKAKQTITYALVLEIQLVMCLFATIFCTVGMLINNDFKVIPREARNFELGERRYYVVLVFSAIVWQGFFLGAIGIIFCASSLLSGIVIAVLLPVTEILAVIFYHEKFQAEKGVALALSLWGFVSYFYGERKYSKQKESEMKNE >ONI26691 pep chromosome:Prunus_persica_NCBIv2:G1:2843881:2848319:1 gene:PRUPE_1G040000 transcript:ONI26691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCPTAFFCNHAATAAPWSSSRTGTRRSFCRRSSVKATINAKLDQKTSLQYKKLGDSDLVISEITLGTMTFGEQNTEKEAHEILSYAFENGINALDTAEAYPIPMKKETQGSTDRFISSWLKSQPRDKVILATKIAGYSEMASYLRDNATVLRVDAANIKESVEKSLKRLGTDYIDLIQIHWPDRYAPLFGAYSYDFSKWRPSVPFVEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNSYSLLVRTRFEIDLVEVCHPKNYNIGLLAYSPLGGGSLTGKYIDINSEAAKKGRLNLFPGYMERYNKSIAREATIKYIETAKKHGLTPVQLALGFARDRPFVTSSIVGATSVNQLKEDIDAFLLTERPLPPEVVADIEDIFKRYKDPTIL >ONI31414 pep chromosome:Prunus_persica_NCBIv2:G1:30243806:30245804:-1 gene:PRUPE_1G311700 transcript:ONI31414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCAIQQGNALSACEEMRTCSRDSVVCPKPRRFGLLNATHHDPVRSLRWQLGHQAELYDSKAGSDILDNLLTKGSFGVEQFSCTEIASPHPFFSGSPPSRVANPLIQDARFGDEKLTPSSPLSPTPHPSGLSSSPTTSARKGSFVRASFGSKPAVRVEGFDCLDRDRRNCSIPTLA >ONI33793 pep chromosome:Prunus_persica_NCBIv2:G1:37740006:37741454:-1 gene:PRUPE_1G445900 transcript:ONI33793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFLHNGNYKCMEINEDCLLEHVLLLSNVCMLIVLSLIKDCLLMKILLCFGIEEQGIFQEKIVLCFGIKIWDSCTIHHCWYSSSKWSCRETKQNVEGNGEEHDDEPKFLWEKVLKITMHRVPSKSVPKTPFEQSTGMKPSLLHFMFGVVTLKLKRSLIQGL >ONI35458 pep chromosome:Prunus_persica_NCBIv2:G1:43857316:43858916:-1 gene:PRUPE_1G537000 transcript:ONI35458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWVKELLRNYCNKNFRKLRSLVAFGRLLSLHIIIMRFDRLFFKEISIIE >ONI31725 pep chromosome:Prunus_persica_NCBIv2:G1:31077385:31077843:1 gene:PRUPE_1G326700 transcript:ONI31725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSIRRKAANGTAPTQKSNTNDDEWWGLNGSAHRRVATTPMYIGTRLKPLKLAGPTHFWTIGTSEHKKLMLNRARLAAAPLLVE >ONI34551 pep chromosome:Prunus_persica_NCBIv2:G1:40581240:40581735:1 gene:PRUPE_1G487100 transcript:ONI34551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNIIFFTNLLFAGITDACFVLLQHVVVLPLPKTTPSIAVGFFSSIAIPGSGFVLIDAISSIWALVFVSVH >ONI34856 pep chromosome:Prunus_persica_NCBIv2:G1:41565867:41567360:-1 gene:PRUPE_1G502500 transcript:ONI34856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERVQALAISGLNELPAKFVRPAHEQPENSKALEGVTVPVISLAQPHDVVVKEVAAAATAWGFFLITDHGIPSPLIQQLQKVGHEFFLLPQQEKEAYANDPANGRFDGYGTKMTKNHDEKVEWIDYFFHLTAPPSKVNYEIWPKNPASYREVNDQYNKEMLRVTDKLLEVLSEGLGLEAKVLKSHVGNEEVELEMKINMYPPCPQPQLALGVEPHTDMSALTLLVSNDVPGLQLWEDDNWVAVNYLSNAVFVHIGDQMEVLSNGKYKSVLHRSLVNKERMRMSWAVFVAPPHEAVIGPLPELLDEKNPAKYSTKTYAEYRHRKFNKLPQ >ONI28554 pep chromosome:Prunus_persica_NCBIv2:G1:11598575:11599627:1 gene:PRUPE_1G147700 transcript:ONI28554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWSTSTPLPILLGLLSILLLHPLTTTFGIRLGIIHRRSPDLPVFREAPSFKNGEQCGSKNADGIHVAMTLDANYIRGTMAAVFSLLQHSTCPENLYFHFLSAHIAPEFFSSIKSTFPYLNFKTYAFDSNRVRGKISKSIRQALDQPMNYARIYLANILPPDMGRVIYLDSDLVVVDDIAKLWSVDMEDKVVAAPEYCQANFIQYFTNTFWSDPDLSKTFQGRNPCYFNTGVMVVDVDKWRKGGYTQKVEEWMALQKRKRLYNLGSLPPFLLVLAGNIKAVDHRWNQHGLGGDNFEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCTVDHLWAPYDLYHSSRHFLEE >ONI34825 pep chromosome:Prunus_persica_NCBIv2:G1:41471275:41473204:-1 gene:PRUPE_1G501100 transcript:ONI34825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPPRERDIDINNGSTTYQLYWCYQCNRTVRITSTTNPSEIVCPRCFGQFLSEFDMSIRPRDLLDYTDFDPSPEARLLEVLSLMFDPPMGAFNHGLDDPEADARERPWFWRRQLGQEGRGMEAETQESRTNRRRRRNPSFDGRENWDPEPEPRARNRPRTWIIVRPIEDPPSSLGPIPSSQENPILPVPRGVELGNYFFGSGLQGLIEELTQNDRPGPPPVPETDINALPTVKITETHLSNDPCCPVCMEEFKVGAEARELPCNHIYHSDCIVPWLRLHNSCPVCRVGIPVCGDGSEESEGSNNERRSQRCLSWSRLRSLWPFRARYRRIQPQGDNEQHSAATSASRVAEPWWRSCTIL >ONI29790 pep chromosome:Prunus_persica_NCBIv2:G1:22802075:22803547:1 gene:PRUPE_1G215100 transcript:ONI29790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREKGGSQPEYDDKGIVTFEVHHGGKMVKSQNLNEWWFYVGGSVDYLDWCLLDYINLLEINVYAKELGHHDQVVVTGIQNDKKVCIDSDVQLLDWCNLVPPSFQRVIVIYLEHPTIISVDESAVAWSERGPIARNLYAEFEQSGYEDMYEEDEEDEKDEEDEENCIAVDEEDEGDEGTVHEPIHVEEAGDEGIIPEGTVHEGITVDEEDDEGTVPDGINMEDETIYEEGENDKRAENDCADSEDPEFYDSAYDQSEDEQCLLEKDDRAFDNYVDHNAPDFDPAADEGEKLEDMVVSDEKKEQSSPNFFLVWPSSLTISPSSSAISPSSSTISPSSSTISPISSTISPTSYNISV >ONI28181 pep chromosome:Prunus_persica_NCBIv2:G1:10092572:10097079:1 gene:PRUPE_1G129500 transcript:ONI28181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKQEKSGPKKRKQIPGTKSETYSSTSKKPKFLDSKPSNPRSNDFKKPSKPFKQREPGLDNEKQIPLSKREGRLRAKELAESRKKKRKRHYNLEQELAHLWEKMRRRNISKEERSKLVSEAVEKMKGKIPEIACSHVSSRVLQTCVKYCSQAEKDAVFEELQPHLLTLACNTYAVHLVTKMLDNASKKQLAGFISSLRGHVASLLRHMVGSVVVEHAYQLGNATQKQELLVELYSTELQLFKDLVSKKEGRLLDIISKLDLQKSSVLRHMTSVIQPILEKGIIDHSIIHRVLIEYFTIAEKFSATDVIKQLSGPLLVRMIHTRDGSRVGMLCVKHGSAKERKKIIKGMKAHVRDIALDKAGSMVLVCLLSVVDDTKLITKVVIHELQENLKDLVLDKNGRRPLLHLLHPNKSQYFTPDDLASLSLSIPSLSNKVESDTQSETKSSEDNRSGEEASSDLEVTVDEANTNDDDIHLVEGGKKDPSIRRQELLVKSGLAERLVDVCTENAGELLRSNFGKEVIYEVATGGDGGILHPILDEKLNALYEAIASLVAEPKSEESTEESKEEHILENFHSSRTIRKLILDCPTFASTLWNKALKGKCELWAHGHSGKVIVAFLESSDPKVHKLAKKELQPLIDGGILKIPETKVASGAKE >ONI30882 pep chromosome:Prunus_persica_NCBIv2:G1:28326282:28330646:-1 gene:PRUPE_1G279200 transcript:ONI30882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGASDSSGGPCASTSPADKDKQKEKARVSRTSLILWHAHHNDVAAVRKLLEEDRSLVHARDYDNRTPLHVASLHGWIDVANCLIEYGADVNAQDRWKNTPLADAEGAKKHTMIELLKSYGGLSYGQNGSHFEPKPVPPPLPNKCDWEIEPAELDFSSSVTIGKGSFGEILKAHWRGTPVAVKRILPSLSGDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTEKKPLMLITEYLRGGDLHQYLKEKGALSPSTAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRRYDKKVDVFSFAMILYEMLEGDPPLSNYEPYEAAKYVAEGHRPLFHGKGHTPELKELIEQCWAADMNQRPSFLEILKRLEKIKEKTLQADHHWNIFNT >ONI30883 pep chromosome:Prunus_persica_NCBIv2:G1:28331546:28334041:-1 gene:PRUPE_1G279300 transcript:ONI30883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSSVKVLPSAVTNPLRPRRRRLPLSSSSLGSLHNRNLYISSSVFGVPCTPETSSSYSRRRLVREVSCRSSGGGGGAPEDDDADADDDGSEQVERALHLDGNIPSTSDEFVKRVSSRAYDMRRHLQQTFDSSSYDVLEANPWRETSKPVYVLTQRENLLCTMKTRRNRSEVETELGKLFSKGSKWNQTKQPRNGTKFQMLVEDIRDGVLVFEDENEAVRYCDLLQGGGQGCEGVAEIEASSVFDLCQKMRALAVLFRRGRSPPLPQSLELNLRARKRSLEDQQDP >ONI26126 pep chromosome:Prunus_persica_NCBIv2:G1:487482:489466:-1 gene:PRUPE_1G005600 transcript:ONI26126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVILSAGCVVVAILCVFLVSGLVVADDDAKVVKDDKHLFPHPHPRFFRGGKGFRHGRFGGGGVGGGIGGGGGLGGGIGGGGGLGGGGGLGGGGGLGGGGGAGGGLGGGGGLGGGAGGGLGGGGGGLGGGAGGGGGAGGGLGGGAGGGLGGGGAAGGLGGGAGGT >ONI30726 pep chromosome:Prunus_persica_NCBIv2:G1:27674167:27676026:-1 gene:PRUPE_1G269600 transcript:ONI30726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREIARLVADGLYRDALCLYAQLHSASLRPHKFTFPPLLKACGKLQSAPHAQILHTHLMKTGFSADVYSATALTDVYMKLHLIGDAVKVFEEMPERNLASLNAVISGFLHNGYCTEALRLFKNVGPGGFRPNSVTIASMLSACGTVEHGMEMHCLAVKLGVESDVYVATSVLTMYSNCGGLFSAAKVFEEMPIKNIVSCNAFISGLLQNGVPHVVLDIFKKMRACTGENPNSVTLLSVLSACASLLYLRFGKQVHGLMMKIEVELDTMLGTALVDMYSKCGCWQLAYGTFKELNENRNLFTWNAMISGMMLNAQNENAVELFEQLESEGFKPDSVTWNSMISGFSQLGKAIEAFVYFRRMQSAGVVPSLKSITSLLPACADLSALQCGKEVHGLAVRTSISNDLFISTALIDMYMKCGQSSWATRIFDWFQIKPNDPAFWNAIISGYGRNGDNESAFGIFDQMLEAKVQPNAATFTSLLSMCSHTGLVDKGWQVFRMMDRDFGLKPNPAHFGCMIDLLGRTGRLDEARELIQELSEPSGAVLASLLGACESHLDSQLGKEMAIKLSELEPENPTPFVILSKIYAALGRWEDAEKIRELMNDKTLRKLPGFSLLRMHQK >ONI31678 pep chromosome:Prunus_persica_NCBIv2:G1:30996224:30998692:-1 gene:PRUPE_1G325000 transcript:ONI31678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFPPTGSSSLELTISVPGFSSYPSLPNSSVRGLDINQVPSIQADQEEWMTAGMEDEEDSSNGSGPPRKKLRLTKEQSRLLEESFRQHHTLNPKQKEALAMQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAMKVGPPTVLSPHSCEPLPASTLTMCPRCERVTTTTTTTLDRDRDRGGPTKTNISSAPATTLSSKVATPAL >ONI31677 pep chromosome:Prunus_persica_NCBIv2:G1:30996000:30998916:-1 gene:PRUPE_1G325000 transcript:ONI31677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFPPTGSSSLELTISVPGFSSYPSLPNSSDQEEWMTAGMEDEEDSSNGSGPPRKKLRLTKEQSRLLEESFRQHHTLNPKQKEALAMQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAMKVGPPTVLSPHSCEPLPASTLTMCPRCERVTTTTTTTLDRDRDRGGPTKTNISSAPATTLSSKVATPAL >ONI32956 pep chromosome:Prunus_persica_NCBIv2:G1:35126806:35128849:-1 gene:PRUPE_1G395800 transcript:ONI32956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICMEMPWSFVWGVVVVYGISQGLGGALSRVGTKYYMKNVQKVQPSEAQFYQGIIYFPWMVKPIWGLLTDVVPIFGYRRHPYFISAGSMGVISMLLLSFHEKLHLAFAVLSLTGGSAGVAVADVTTIDACVEQNSINHPSLESDVQSLCALSSSIGALVGFSIGGIFIHLIGPKTMLVHVVLSLNILDGMFYWYTDSKDGPSFSQENVGYIFSVGLVGSLFGAVLYQHVLKDHPFRSLLFWTQLLYSLSGMLDLMLESRKREKEVQRAKNLQADIIS >ONI30202 pep chromosome:Prunus_persica_NCBIv2:G1:25203094:25204641:1 gene:PRUPE_1G237500 transcript:ONI30202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLYVQAEFSGDNTSDEVIGLDLNLSSMACLPYPSSLSTLEENPAAWVIPFIDETSSHKRLKQQHSSSYEFNNAGTNYCSLYSGSGSMCARGLDSLPRIHFRDHISAYTRRYLAVEAMEEATATLMRGKEGESEEGGRGDATKLVQQLIACAEAVACRDKAHASTLLYELRANAKVFGTSFQRVASCFVQGLSDRLALIQPLGAVGLIGPITKSTAFSAEKDEALHLVYEICPQIQFGHFVANASILEAFEGESSVHVIDLGMTLGLPHGYQWRNLIDSLANRAGQPLHRLRITGVGNSAERLQAIGNDLKLHAQSMKLNFEFSAVESSFENLKPQDFNLVDGDVLVVNSILQLHCLVKESRGALNSVLQTLHQLSPKLMILVEQDTSHNGPFFLGRFMEALHNYSAIFDSLDAMLPKYDTRRAKMEQFYFGEEIKNIVSCEGPARVERHERVEQWRRRMRRAGFQPAPLKMIAQAMKWLEINTCEGYTVVEDKGCLVFGWKSKPIIATSCWK >ONI32468 pep chromosome:Prunus_persica_NCBIv2:G1:33749607:33751040:1 gene:PRUPE_1G369600 transcript:ONI32468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONI26887 pep chromosome:Prunus_persica_NCBIv2:G1:3702372:3703960:1 gene:PRUPE_1G053100 transcript:ONI26887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKEVANKPHVVCMPFPVQSHMKAMLQLAKLLHHRGVHVTFVNTEFNHKRLLKSLGPNSLDGVPSFRFETIPDGLQSSDEDTQQDMLLLGESIKKNFLAPFRDLITKLNHTAGDPSVTHIISDGWMTLFPLAAAEELGIPVVLFFTISACSLMGYIQFPALVEKGLVPLKDESCLTNGFLDKVIDWIPGMKGIRLRDLPHNLRTTNPNEKSWTNCLEAIGRFDKGSAVVLHSFDALEQDVLDALSSMFPLVYAIGPFPLLLNQIPEHPLKIMGYSLRKEESDCLKWLDSKAPNSVIYVNFGSLAFISPEQLVEFGWGLANSKLPFFWVIRPDLVVGASSILPPEFVNETKERGLIASWCPQEQVLEHSSVGGFLTHSGWNSTIESLCAGVPMLCWPCFSDQPTNCYYTCNEWGIGMEICNDVKREHVERLVKELMEGEKGKKMKNKVIGWKKQAEEASSPYGSSSSNLDNFVHQVLLRKS >ONI34199 pep chromosome:Prunus_persica_NCBIv2:G1:38979125:38981691:-1 gene:PRUPE_1G468200 transcript:ONI34199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDFRHGVPAIELSMTSLAVDRGTDKWNSLCKRVREACENYGCFEIVYDKIPLQLRAEAFSLVRQLFSLPLETKKKNVNPKPFHGYCGEYPHVRLYESLGLEDASNFEALILSLNTIVTMMRQLDELKDMIEMMILDSYGLGEKSDLIIPCNTLLRVMKCGAPPSGEEVGLTAHTDKLLSAILCEDQVSGIEFETKDGQWVKLSLSPSSFLFIVGDPLAWRNGRMHPVKHRVMMRGEKERISLGIFPVPTEGTIIKPPKELMDEEHPQILKEFDFMEFFRFFSSEEGVAIDSAKPWVKYCQV >ONI34828 pep chromosome:Prunus_persica_NCBIv2:G1:41491452:41494316:-1 gene:PRUPE_1G501400 transcript:ONI34828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIQCTWPANEMRFGSSSLINLSKHLYADFRFVKTKTGATPRPSFSAAMSTVSTQNDVVSGQSESIQKTQQPLQVAKRLEKFKTTIFTQMSSLAIKYGAINLGQGFPNFDGPEFVKEAAIQAIIDGKNQYARGYGVPDLNSAIAERFKKDTGLVVDPEKEITVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKVKGITLRPPDFSVPIDELKSAISKNTRAILLNSPHNPTGKMFTREELNEIASLCIENDVLVFTDEVYDKLAFEMDHISLASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSYLTFATSTPMQWAAATALRAPDSYYVELKRDYQAKKAILVEGLKAVGFKVYPSSGTYFVVVDHTPFGLENDVAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEGTLRAAVDRMKEKLRK >ONI27354 pep chromosome:Prunus_persica_NCBIv2:G1:6007623:6009720:1 gene:PRUPE_1G081600 transcript:ONI27354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARSCIVLSALLIPFLLGTACAQLRTDFYKGTCPNVESLVTSAVKTKFQQTFVTAPATLRLFFHDCFVRGCDASVLVQSPTNQAEKDHPDNLSLAGDGFDTVIKAKAAVDSDPNCRNKVSCADILALATRDVVNLAGGPSYTVELGRRDGRVSTIASVQRRLPHPTFNLDQLNTMFSSHGLTQTDMIALSGAHTLGFSHCNRFSNRIYNFSPAKRIDPTLNSAYALQLRQMCPINVDPRIAINMDPTTPRTFDNVYFQNLQQGKGLFTSDQILFTDKRAQATINTFASSNAAFNRAFVQAMTKLGRVGVLTGNQGEIRSDCTRPN >ONI30856 pep chromosome:Prunus_persica_NCBIv2:G1:28211470:28213716:-1 gene:PRUPE_1G277400 transcript:ONI30856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQESNVLLGLFSQDGYSKGFFMLVCMVLSWIIVHRWSQKNKKGPKTWPLVGAAIEQLMNYDRMHDWLVKYLSESNSVVVPMPFTTYTYIADPANVEHVLKTNFANYPKGEVYHSYMEVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSTVVFRDYSLKLHAILSQAFEGQQVDMQELLMRMTLDSICKVGFGVEIGTLAPNLPDNHFAKAFDTANIIVTLRFIDPLWRIKRYLNVGSEALLDKSIKIIDDFTYSVIRRRKAEIKEAGQNSENNKMKHDLLSRFVELGEDPESKLTDKSLRDVVLNFVIAGRDTTATTLSWAIYMIISHSHVAEKLYSELKTLEEDRAREEKVSLLQYDTEDLESFNQRVTQFAGLLNYDSLGRLYYLHAVITETLRLYPAVPQDPKGILDDDVLPDGTKVKAGGMVTYVPYSMGRMEYNWGPDAASFKPERWLKDGFFQNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFYKFTLVPGHPVEYRMMTILSMAHGLKLTVARR >ONI31098 pep chromosome:Prunus_persica_NCBIv2:G1:29005541:29009588:-1 gene:PRUPE_1G292400 transcript:ONI31098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSGIGMPVITVSSMLLVFLVALIRLFYKQWWTPNRFQKFMDLQGVKGPSYRLIHGNTKEIMSMKKEAMSRPRSLSHDIFSAVQPHTHKWSKIFGRNFLQWHGTQPQLVIAEPELSKEIMNNKDKLFRKQKSQGYVKKLLGDSISMAEGEKWVKLRKLANHAFHGESLKGMIPEMITSSETMLERWVNHEGKEIEVYEEFRLFTSEVISRTAFGTSYLDGKNIFEMLGQLTFLIFKNNFSLRLPIISRFYKTSDEIKSEKLEKGIRNTIAGIVRKRESMAMTGEADGFGRDFLGVLLKAHHDTNENQRISVDDIVDECKTFYFAGQETTNSLLAWTIFLLALDTDWQEEARKEVLQLFGKENPNPDGLNKLKTMSMILNESLRLYPPVVSLVRETDKEVRLGKMVVPANVEVHVPNLSLHHDPKYWGEDVNVFKPERFSEGVAKATNNNMVAFIPFGLGSRTCVGMNFAIVESKIALAMILQRYSFTLSPGYVHSPIQFMTVRPQRGVPVILHSL >ONI34772 pep chromosome:Prunus_persica_NCBIv2:G1:41267706:41270163:-1 gene:PRUPE_1G498100 transcript:ONI34772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSVDLKFFPTINDDFHNIYTQHVFPLLGHRAEQNREQNRTEPILSFQVTKFFSLSFSLFFFTFLINQTLNSSQQTNSLSLNMNGHFEDNSYCYFHPKEVVVGVCSLCLTEKLLILAAKKGHHVSSASGRASAHRTPSGMHKKPPISLPKIFAFSSFFNRQWKPNYLDQEASTSQEDSFISIKFEENGVASWEKNRVSKVSLEHCNMSWNHNFSKEAKEAKEVREAKDTKSVIEHGKSHTPLRWRKRIGHLFQLIRWKRSNKGNVCHVSSKVEGVKVRKGWIRTLTKRRGAME >ONI26577 pep chromosome:Prunus_persica_NCBIv2:G1:2255521:2256566:1 gene:PRUPE_1G032100 transcript:ONI26577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSLPPSLTNISQEISVGTLTQKAMMASGCKGKDSWPELVGAEGKVAKATIERENPLVTALILHLGAPITQDLRFDRVRVWVNTNGIVTWIPVIA >ONI30609 pep chromosome:Prunus_persica_NCBIv2:G1:27066052:27067783:-1 gene:PRUPE_1G262000 transcript:ONI30609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFRLYAAVLFVLSISLLFPPFCIGIRSFPTRAIDGGDAFELASAAWARFSEAPDYRNGADCAVSMNKEMVSSCDPSLVHIAMTLDSEYLRGSVAAVHSVLKHASCPENVFFHFIAAEFDPASPRVLTQLVRSTFPSLNFKVYIFREDTVINLISSSIRQALENPLNYARNYLGDILGRCVDRVIYLDSDVLVVDDIHKLWNISLSGLRVIGAPEYCHANFTKYFTDGFWSDPVLSRVFSSRKPCYFNTGVMVMDLVRWRQGNYRKRIENWMELQRKRRIYDLGSLPPFLLVFAGNVEAIDHRWNQHGLGGDNVRGSCRSLHPGPVSLLHWSGKGKPWVRLESKNPCPLDHLWEPYDLYKPLRHHNAAKFQALSSISASTLIGFSSYLS >ONI34358 pep chromosome:Prunus_persica_NCBIv2:G1:39992330:39993919:-1 gene:PRUPE_1G477700 transcript:ONI34358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKVEESSNEASFDIENQATSMENQLTNLRALSPACCPLHHGSKNLEAMEDHKLRYLKCFLDRTKVVGLKDYLEKIKEREGDLRHYYEDTKKFNIAAFVNIILVDAAFLPFFILEDLYNLTGAQTGEPSIIELSYRFFQQALRLENLENSPAFRKSFMPPDPKKPLHFVDFIRTLHLPKEKKNGGLQGTPSMTKLHQAGVNSRKTPWKFQKIEIHDYTELTHRNLIAFEQCHCVDKYISDYVFILDKFVNTPKDVELLVENRIVVNTLGDNNKVSIMINKLCSKVAPNHENYYFGDLAGKLNEYCKKTTNRWKANLRQKYFNTPWAAISLFAAVILLILTVIQTVCSIISVIDQVLAYVKEWIWARFMLVCVVEEVCNPVANKVCTIIIIISLIPSKVKFSEKPKRNKPLSKTPKVISKWHKSPLS >ONI27486 pep chromosome:Prunus_persica_NCBIv2:G1:6758480:6761778:-1 gene:PRUPE_1G089800 transcript:ONI27486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEEQAGDLKSLPFADQEHDYQERKGQQLTRDEFFVEVKKQLLLVGPLVSSNFLLFCIQVVSVMYVGHLGELALSGASMATSFASVTGISLMIGMGSALDTFCGQSYGAKQYHMLGIHMQRAMIVLLLVSIPLAVVWANTGFILEFLGQDPEISAAAGEFACYMIPSLFAYAILQCHSRFLQTQNNVVPMIVTTGTATLLHLLVCWLLVYKTSLGYKGAAVANCIAYWINALLLFLYVRFSPSCKHTWTGFSKEAFHGILSFLKLSIPSAVMISLEMWCFEMMVLLSGLLPNPKLETSVLSISLNTCALTYMIPLGLGGAASTRVSNELGAGKPRLARLAICVTLSMVVTEGIVVVAVMILGRKVWGYCYTTDKEVVEYAGEILLWVAIAHFFDGIQSVLSGVIRGSGQQKIGAYVNLGAYYLIGIPISIILAFVLHIGGKGLWIGITVALFVQAVSLSIIVTCTNWEKEVKKASDRVHKTMAVTDAAS >ONI28298 pep chromosome:Prunus_persica_NCBIv2:G1:10715592:10727323:1 gene:PRUPE_1G136500 transcript:ONI28298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKSFATHSFSFKPSEATMAVIQTSPYRVLSQRTCSSFRSTASVGLFYFRDRSSVKVFSLFHMAHHSSYSNDEFASSSKRRSRGPVMAAKKAAEGAKQEDGKYKHTVDLPKTSFGMRANSLIREPEIQKIWDDSQVFKRVVGKNTGENFILHDGPPYANGDLHIGHALNKILKDFINRYKLLQNYKVHYVPGWDCHGLPIELKVLQSLDQAARRDLTPIKLRQKAAKFAKQTVKTQMESFKRYGVWADWNNPYLTLDPEYEAAQIEVFGQMVIQGFIYRGRKPVHWSPSSRTALAEAELEYPEGHVSRSIYAIFKLVSASPTSGGLLNEYFPNVCLAIWTTTPWTIPANAAVAVNAKLIYAIVEVQSDPEDVSLSDGNKKRRPGNVLKEENKPFLIVASDLVPALEAKWGVKLVVRKRVSGSDLENCRYVHPVFNRECPVVIGGDYITTESGTGLVHTAPGHGQEDYVTGLKYGLPMLSPVDDEGKFTEEAGKFCGLDVLADGNSAVVKYLDEHLSIIMEESYQHKYPYDWRTKKPTIFRATEQWFASVEGFRGAVMDAIAHVKWIPPKAENRISAMTSSRSDWCISRQRTWGVPIPVFYHVQSKEPLMNEETIEHIKSIISEKGSDAWWYMKVEDLLPDKYRDKASEYEKGTDTMDVWFDSGSSWAAVLGKRNSHSLPADLYLEGMDQHRGWFQSSLLTSVATKGKAPYSSVITHGFVLDEKGSKMSKSLGNVVDPRTVIEGGKNQKDGYGADVLRLWVSSVDYTGDVTIGPQILRQMSDIYRKLRGTLRYLLGNLHDWHADTTISYHDLPMIDQHALFQLENFVKNSRECYENYQFFKIFQIIQRFVIVDLSNFYFDVAKDRLYVGGTTSFTRRSCQTVLAELLLSIVRVIAPILPHLAEDVWQNLPFQYTDEDGSAAEFVFESRWPALNKTRLSLPKEETDFWEKVLELRTEVNRVLEVARTEKLIGSSLDAKVYLHTSDSSLASRLVEMSAANNDADTLHRIFITSQAEVLPSLEDKLIEDIPHKGEYVIEGNIRVWIGVSRAEGLKCERCWNYSPQVGSFPEHSTLCSRCYNVVDIQQSPAVAVVS >ONI28297 pep chromosome:Prunus_persica_NCBIv2:G1:10715104:10727323:1 gene:PRUPE_1G136500 transcript:ONI28297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQLVQSQLPTHQKSVLSQRTCSSFRSTASVGLFYFRDRSSVKVFSLFHMAHHSSYSNDEFASSSKRRSRGPVMAAKKAAEGAKQEDGKYKHTVDLPKTSFGMRANSLIREPEIQKIWDDSQVFKRVVGKNTGENFILHDGPPYANGDLHIGHALNKILKDFINRYKLLQNYKVHYVPGWDCHGLPIELKVLQSLDQAARRDLTPIKLRQKAAKFAKQTVKTQMESFKRYGVWADWNNPYLTLDPEYEAAQIEVFGQMVIQGFIYRGRKPVHWSPSSRTALAEAELEYPEGHVSRSIYAIFKLVSASPTSGGLLNEYFPNVCLAIWTTTPWTIPANAAVAVNAKLIYAIVEVQSDPEDVSLSDGNKKRRPGNVLKEENKPFLIVASDLVPALEAKWGVKLVVRKRVSGSDLENCRYVHPVFNRECPVVIGGDYITTESGTGLVHTAPGHGQEDYVTGLKYGLPMLSPVDDEGKFTEEAGKFCGLDVLADGNSAVVKYLDEHLSIIMEESYQHKYPYDWRTKKPTIFRATEQWFASVEGFRGAVMDAIAHVKWIPPKAENRISAMTSSRSDWCISRQRTWGVPIPVFYHVQSKEPLMNEETIEHIKSIISEKGSDAWWYMKVEDLLPDKYRDKASEYEKGTDTMDVWFDSGSSWAAVLGKRNSHSLPADLYLEGMDQHRGWFQSSLLTSVATKGKAPYSSVITHGFVLDEKGSKMSKSLGNVVDPRTVIEGGKNQKDGYGADVLRLWVSSVDYTGDVTIGPQILRQMSDIYRKLRGTLRYLLGNLHDWHADTTISYHDLPMIDQHALFQLENFVKNSRECYENYQFFKIFQIIQRFVIVDLSNFYFDVAKDRLYVGGTTSFTRRSCQTVLAELLLSIVRVIAPILPHLAEDVWQNLPFQYTDEDGSAAEFVFESRWPALNKTRLSLPKEETDFWEKVLELRTEVNRVLEVARTEKLIGSSLDAKVYLHTSDSSLASRLVEMSAANNDADTLHRIFITSQAEVLPSLEDKLIEDIPHKGEYVIEGNIRVWIGVSRAEGLKCERCWNYSPQVGSFPEHSTLCSRCYNVVDIQQSPAVAVVS >ONI30996 pep chromosome:Prunus_persica_NCBIv2:G1:28710684:28711065:-1 gene:PRUPE_1G287000 transcript:ONI30996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVALRFFITTRKSPCGEGTNTRDRFEMRVHKRVMDLFGTPEVVKHVTNIQMEARVDVEVTIADV >ONI26954 pep chromosome:Prunus_persica_NCBIv2:G1:4111842:4114761:1 gene:PRUPE_1G057900 transcript:ONI26954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTWRARLFVCWELEGGKQHVGNLGEEISILLETKTLPTAAFFLLISGKNRPKRDNEALESAREHGCLASWLLGVNTLKIQPFKLPNLGPRDVLVRLKAVGICGSDVHHFKRIRYLIQLECAGFVEEVGSEVKHPVPGDRVALEPGINCKRCELCKQGRYNLCPEMNRCVYFNCDFFEYGLFWIFLGEGAICEPLSVGVHACHWANVGPETNVLVMGAGPIGLITLLAPRAFEAPRIVVADVNDHRLFVAKALSAHEIVKVSTNIEEVAEEVAKIKEAMGTRVYVSFDCAGFNKTMATALSATGSGGKVCLVGMGQRELTLPLTSAAARSSKVDVKPTITHRFGFSQKEVEKAFETSAGGGNAIKVIFNL >ONI31844 pep chromosome:Prunus_persica_NCBIv2:G1:31601108:31606393:1 gene:PRUPE_1G334200 transcript:ONI31844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQRVAQSSVSTTASSYGSCKGGATSSFSAANEMAVSDRFPAGLRVLVVDDDTTCLRILEVLLGRCAYQVTACSQATVALNLLRERKGCFDVVLSDVHMPDMDGFKLLEHVGLEMDLPVIMMSADGRTSAVMQGIKHGACDYLIKPIHEAELKNIWQHVVRKKWNGNKELDHSGSLEDNDRNKRGNSDVEYTSSVNEGTEVILKGPKKRSNAKEDDDGDMESDDPSTSKKPRVVWSVELHQQFVSAVNQLGLDKAVPKRILELMNVPGLSRENVASHLQKFRLYLKRLAQQQSGISNGFCGPVESNGKLGSLGRFDIQALAASGQIPPQTLAVLQAELLGQPAGNLVPAMDQPAILHASLQGPKRAPVEHGVPFVQPLIKCQSNVSKHFPQSIIPAEDVSSGFGSWRSNSLSTVAPSNNLGGLATHNGSMLMDIMQQEQRQHKKTQQQSVLTESSRLINVQPSCLVVPAQSSTSFQAGNSPASVNQSCSFNRSTVIDYSILSAQSNNSSLNIGHIPTGNLKTPSILDGYSAPGSVPSTSCSVNAGNNTSHQNSAVPFCDSRQLPGVLHDMSNIQGLYVDKSGEMLDQGPLRNLGFVGKETGIPSQFAVDDFESQVSNLNRGKIYVENNGNPVKEEPSMNLVDNAKVGIPILQEFSCSDFMSVFTE >ONI30120 pep chromosome:Prunus_persica_NCBIv2:G1:24704741:24704995:1 gene:PRUPE_1G232200 transcript:ONI30120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSVVSVLISLISDTWAIGPHDIKLISLRGGPNTVACYWGLRASPLRCTAALAWRTLQLQFKMLKMPAISTVTLCLNRKPILILF >ONI34512 pep chromosome:Prunus_persica_NCBIv2:G1:40426750:40429085:1 gene:PRUPE_1G485400 transcript:ONI34512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVASPSEYLVITGIGIDDIKLAKKAWILPGQSYIIFDMSPVNYTFEVQAMSSEKLPFMLPAVFTIGPRIDDTPSLHKYAKLISRHDKLSSHVKELVQGIIEGETRVLAASMTMEEVFKGTKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEARKKGEIGSKEREGLTLQNAAKIDAETKIYATKREGEGKMAEIKVKAEVKVYENVREAEVAEANAELAKKKAGWAKEAQVAEVEAAKAVALRDAELQTQVERMNALTRTEKLKAEFLSKASVEYETKVQEANWDLYNKQKAAEAILYQREKEAEAQKAIAEAEFYARQQVADGEFYAKKKEAEGLIALGQAQGAYLRNLLDAVGGNYAAMRDFMMINSGMFQEIAKINAEAVRGLQPKISIWTNGEVDGGSNGAMKEVAGVYKMLPPLFKTVHEQTGMLPPAWMGTLPNSGSNN >ONI28840 pep chromosome:Prunus_persica_NCBIv2:G1:13231064:13231551:1 gene:PRUPE_1G164300 transcript:ONI28840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILNVTFNCHIQGHQYNCIEYYTFSYLLLSTFTLFFISPTTKLVLSSGLFFVPLIEKQTKNCTKVLKIRISHK >ONI31213 pep chromosome:Prunus_persica_NCBIv2:G1:29433368:29436759:1 gene:PRUPE_1G299000 transcript:ONI31213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVLTEIPPPSRFFQEDLNNFTPPSPPLPSPFLLLSQNPNDAAESPLRPSLLIVALSSPSLHLFHNVSSKTLIGTLVLPEIPFSGNSINPSLKDKSCNIYSLDRHQNPKNPTLLVSFQCPIAAERCHALAKLLISQQIVPHRVLILDSIQSRNFRGKLSRDEAVAFKLETTSERKAPSLSLEYFPSASVVDGLGAALLAACEINNIKATLCVTWPQFGASVLSLIRSLIFPALDLDLSISTGQNMAPSLCFRTFILQFMLLVFSILNTSLQASEPQLTLDYYASACPNLFDIVKKEMECAVLSDPRNAALIVRLHFHDCFVQGCDGSVLLDDTITLTGEKKASPNIHSLKGFRIIDKIKNNLESECPGIVSCADILTIAARDAVILVGGPYWDVPLGRKDSITASPELAEANLPTANEGLATIISKFLYQGLSVTDMVALSGAHTIGMARCKNVRARIYGGDFEATSGSNPLSQSYLSSLKSTCPPVGGVGDNNVTAMDYVTPDLFDNSFYHLLLKGEGLLSSDQEMYSSLFGIQTKQLVKQYAADPVAFFQQFSDSMVKLGNITNQDSFATGEVRKICRFVNT >ONI33616 pep chromosome:Prunus_persica_NCBIv2:G1:37197951:37201083:1 gene:PRUPE_1G435800 transcript:ONI33616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFLPFTKGKATTMGGAPSREDPQLSDSDEEQSEEEEIYEDVEESVEEKRRRSAERGPKTPSSVDEVDAMLKALKLKYNPNVKNPVKLYHFVAGDTPQAKGKWVTSEKLTSYSFRKTSRMEIDNDEDGEEEDESDEEGGDSWWILSVGDPDKIRAKVKVSDEMQLNGDQRRVDFIACAPTRGVWAMKFYTDEDYRAFVAKYKDCLFENTYRYEATDENRVKVYGKDFLGWAKPEVADDSVWEDADDSLSKSPGSATPLRANEDLREEFEEASNGGIQSLALGALDNSFLVGESGIQVVKNFSHGIQGKGVYVNFDDGGYRGGSSLARSTPRKALLMKAETNMLLMSPMNEGKPHTTGLHQFDIETGKVVTEWKFGKDGTDVTMRDITNDSKGAQLDPSGSTFLGLDDNRLCRWDMRDRKGMVQDLAAASGPVLNWNQGHQFSRGTNFQCFASTGDGSIAVGSLDGKIRLYSNSSMRQAKTAFPGLGSPITHVDVTFDGKWILGTTDTYLILICTIFTDKDGKTKTGFGGRMGNRISAPRLLKLSAVDSHLAGVNNKFRNAQFSWVTENGKQERHLVATVGKFSVIWNFQQVKDGSHECYRHQEGLKSCYCYKIVLKDDSIVDSRFMHDKFAVTDSPEAPLVIATPMKVSSFSISSRRLFSET >ONI26671 pep chromosome:Prunus_persica_NCBIv2:G1:2742939:2744408:-1 gene:PRUPE_1G038800 transcript:ONI26671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEALQRDYQLCEELGRGRFGVVFKAQRNGDVFAVKSIDKRIADSGDSLDAQCLLTEPKILKLLASHPNVINLHDLYEDDDHLHMVLDLCDAPDLYRRVTLGVFSEPEAASVMTQLMLAVAHCHRLGVAHRDIKPDNILFDGRDRLRLADFGSAETFGDGETMSGLVGTPYYVAPELVAGREYGEKVDVWSSGVVLYIMLAGFPPFYGETAEEIFEAVLRANLRFPVRVFNGVSASVKDLLRRMLCKDVSRRFSAEQVLRHPWITSGGGARAGAD >ONI30869 pep chromosome:Prunus_persica_NCBIv2:G1:28271772:28273899:-1 gene:PRUPE_1G278500 transcript:ONI30869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSVSRSRRRISPYNTILATLGAPLKSGLITTMNLMKILAALLVSLPVLVTLSLVLRSPPPDRIKGFADARVIQRLTPNDTSSSNSEDGFSDHTQMPKDTLHDGLIAPGFDEGSCLSRYQSNLYRKISPHKPSSYLLSGLRNYEHLHKKCGPHTKSYKTAMAQLKSSDQGNSSVRGSTECKYVVWISYSGLGNKILTITSAFLYALLTNRVLLVDPGKDMADLFCEPFPENSWLLSKDFSIKEKFNKFDQKSPHCYGNMLKNKKKTSSELVPSFLYLHLAHDYDEQDKLFFCDEYQSLTGKVPWLIMRTDNYFVPSLFLMPSFEQELEKLFPEKDSVFHHLGRYLFHPSNHVWGLITRYYQAHLAKADERIGIQVRTFESGPSPLQHVMNQIYACVFKEKLLPQVDKQKPVVTAPSGIPKLKSVLITSLTSGYSENMRNMYWEHPTVNGDLIGVFQPSHEGHQQTDKNLHDRKAWAEMYLLSLCDVLVTSAWSTFGYVAQGLGGLKPWILYKPENQTMPNPPCHQVMSMEPCFHAPPFYDCKAKRGVDTGALVPHVRHCEDMSWGLKIVGSHESHDQL >ONI32681 pep chromosome:Prunus_persica_NCBIv2:G1:34266665:34270766:1 gene:PRUPE_1G379500 transcript:ONI32681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSVPARLTLLALLSATTFYCFYKSRRLKQLKLSLNPNPSSSPRKGKLFFISQTGTSKALAHRLLDLLTSNGLAFDLVDPNHYEPEDLPKESLVLIIASTWDDGKPPANAKFFSNWLAESAEDFRVGSLLLSNCKFAVFGVGSRAYGATFNAVGKDVAKRMRALGASEIFSIWEGDVDGGDIDEVFEAWSGKVLRFLKGGVAENGGVLSNGVGAECEAESFDGSDEDEEEEDDVESEVVDLEDIAGKGPSRRRTVTVTKTNGTVNGQKEMVTPVIRASLVKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMETTPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLEIVNSAIDLHTKMIKQMKGVPGVTLERLTEGLSPRHCALSLVGEPIMYPEINALVDELHRRRISTFLVTNAQFPEKIKMLKPITQLYVSVDAATKESLKAIDRPLFGDFWERFIDSLKSLKEKQQRTVYRLTLVKGWNTEDIDAYYNIFSIGNPDFVEIKGVTYCGSSATSKLTMENVPWHADVKAFSEALALKSNGEYEVACEHVHSCCVLLAKTDKFKINGQWFTWIDYEKFHDLVSSGRPFDSKDYMAATPSWAVYGAEEGGFDPYQSRYKKERHHRSNQ >ONI32680 pep chromosome:Prunus_persica_NCBIv2:G1:34266665:34270818:1 gene:PRUPE_1G379500 transcript:ONI32680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSVPARLTLLALLSATTFYCFYKSRRLKQLKLSLNPNPSSSPRKGKLFFISQTGTSKALAHRLLDLLTSNGLAFDLVDPNHYEPEDLPKESLVLIIASTWDDGKPPANAKFFSNWLAESAEDFRVGSLLLSNCKFAVFGVGSRAYGATFNAVGKDVAKRMRALGASEIFSIWEGDVDGGDIDEVFEAWSGKVLRFLKGGVAENGGVLSNGVGAECEAESFDGSDEDEEEEDDVESEVVDLEDIAGKGPSRRRTVTVTKTNGTVNGQKEMVTPVIRASLVKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMETTPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLEIVNSAIDLHTKMIKQMKGVPGVTLERLTEGLSPRHCALSLVGEPIMYPEINALVDELHRRRISTFLVTNAQFPEKIKMLKPITQLYVSVDAATKESLKAIDRPLFGDFWERFIDSLKSLKEKQQRTVYRLTLVKGWNTEDIDAYYNIFSIGNPDFVEIKGVTYCGSSATSKLTMENVPWHADVKAFSEALALKSNGEYEVACEHVHSCCVLLAKTDKFKINGQWFTWIDYEKFHDLVSSGRPFDSKDYMAATPSWAVYGAEEGGFDPYQSRYKKERHHRSNQ >ONI35499 pep chromosome:Prunus_persica_NCBIv2:G1:44101727:44103085:1 gene:PRUPE_1G539700 transcript:ONI35499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDFQFGSDSNSLNLLSEVSVVVKDWDEDDVEKAMAMAVRSCCCNPLHGYGFDGCLIPQKAMVKQNKRVFSSSSSSSSSLDMLAEVATQQLMELGNGNGLTTKWVFDEKAVLAEFISFCAKKKRSEFKTFHFSDINVPKKKRSSMKRTQRRFLPHASHDSVLSISCMNMKRKRLSAHSGSDHGHEDNNNEALVQKKQKLVHVKSTADVKSPSSLKREMPQDMKNRISSIGGGDLKLVIEKELFDTDVADNNQRFSIPMKQVKEDFLSEKDQTELKLRNGHNQKHLVGIPVKVLDPSLKEYTLRLKKWTMGGSIVYNLVSGWREIAKANKLKTGDTLQLWSFRDDSNKLGFALVKVSTTTMEVDQSGPGARTSSQNGTNSHTLSTAKVNSLKTSDDTVQCWSIKCKSQFRFALVKVSKTKVEVQQCRHGASTCSSCQNGQTGSSVSCISHDC >ONI35030 pep chromosome:Prunus_persica_NCBIv2:G1:42095812:42100257:1 gene:PRUPE_1G511300 transcript:ONI35030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLEIKRIENTTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSGRLSHFSGKRRIEDVFTRYINLPDQEREHAIIFPDHNKHPDLQNKEVRYMTSSNYLLRTLQQLRSENDIALQLANPTAVSSEIEELQQEIGGLQQQLQMAEEQIRIYEPDPLKITSMAEFESCEKSLMDTLTRVMQRKEYLLSNHLSSYDSSGMPQVLPGSFENEVAGWLSSGGHNQAQIYDASAPLDHQLRNLSSTLYDPFSQGTSSNADPSSMGECHVSVTNASDGELPPWPQAYTNSSGHPSTLMPSGLLPQFQHTMVGSNMPEIMPHEQVEIPVGSPNVQAYNEGAEYHENKVPQLNGH >ONI32793 pep chromosome:Prunus_persica_NCBIv2:G1:34599312:34600178:-1 gene:PRUPE_1G386000 transcript:ONI32793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSCKGLAMELVKCLSECDCVKVEKRSYRECAGEKSPSISSECIGLRETYFNCKRGQVDMRARIRGNKGY >ONI34008 pep chromosome:Prunus_persica_NCBIv2:G1:38403775:38404143:-1 gene:PRUPE_1G458700 transcript:ONI34008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLTFKIKELEKVVEQKNLDLENLEASHGKVMKKLSVTVSKFDEQHHLSANLLAEVEKLQSQFQDRDAEISFLRQEVTRCTNDVLVASQTSNKRSSDEIHELLTWFDMNILSRCWRFWQRN >ONI31752 pep chromosome:Prunus_persica_NCBIv2:G1:31217956:31218988:1 gene:PRUPE_1G328800 transcript:ONI31752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNHGKVETDVEIKAPATKFHEVFTHRPHHISNVSSNNIQGCDLHEGEWGTVGSVVYWNYFHDGKAKVAKELIEAIDAEKNLITFKVIEGDLLEHYKSFKITIHATPKAHGQGSIVHWTMEYEKHHGDIEDPHTLLQFAVDVSKDVDAHLTSAQA >ONI27317 pep chromosome:Prunus_persica_NCBIv2:G1:5780037:5783253:1 gene:PRUPE_1G079400 transcript:ONI27317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPELQAPPEIFYNEEEARKYTRNSRIMEIQSELSHRALELLALPEDGVPRLLLDIGCGSGLSGDTLSESGHQWIGLDISRSMLDVALENEVEGDLLIGDMGQGLGLRSGVIDGAISISAVQWLCNADKSSHIPRLRLKAFFGSLYRCLARGARAAFQVYPENLDQRELILSSAMRAGFAGGVVVDYPNSSKKRKEYLVLTCGPPSISTSTPNGKGEDGESSSDDDSEDEDNQTVSISDRHRPKKKQKITKKGKGREWIIKKKEQMRKKGNVVPPDTKYTARKRKARF >ONI30521 pep chromosome:Prunus_persica_NCBIv2:G1:26609470:26610465:-1 gene:PRUPE_1G255400 transcript:ONI30521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNHTMLKQKLGFFDTFKQALSLIYNTNYFILLTFLSSLPLFCFSVYYELLLQRTLVQISEFVRQQHPSNYHHNWQTLLHTAARMTDKFPNNLIQLGFLYVVPLHLLELCTAFVTVNLALTTLAEGKKTASKANFRGTLVTSIWVLFFSTCTVLGSVWLASIYYVILNNLGYNCKLYFGVLYRAACMALLAKYLEWRSIWNMAIVVSILEDANGANALILSAHLSRGNERQGLLITLVFSVLGLALRWSGLFFECYERGNGIFAQVGLFCMVNVVKWVVYMVYFDNCKRRILKKKVDMEMGKVERSNLRTEKTEPADNNSSDCRTKLETE >ONI32991 pep chromosome:Prunus_persica_NCBIv2:G1:35243497:35245491:1 gene:PRUPE_1G398100 transcript:ONI32991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPIISSSSFLRFFMAFFSVFLCLSLCSFENGFALAARDTKPHPLPHTTHTVEVKSLLPATTCSPSTKGHNINKASSVLKVVHKHGPCSNFHKSSKTSTTTCDEKYHAQILKQDQARVNSIHSRLNHNNNKDPLTQSAATTLPAKSGLVIGTGNYIVTVSLGTPAKQLSLAFDTGSDLTWTQCKACSATQSCYKQREPIFNPFLSASYKKISCTTAACTQLSSIGVQHGCSASTSACLYGTRYGDNSFSVGVFGSEKLTLTPTDIFEGFLFGCGLKNKGLFGGSAGMLGLGRSNTSIVEQTANKYNRYFSYCLPSTSCSTGYLSFGKGGRSSNAVKFTALSTVPLGHSFYGLNVVGINVGGTKLPISSSVFSSSRTIIDSGTLITRLPPTAYNALKAAFRQRMKSYPLTQAVSILDTCYNLSSFKTVSYPKISFVFDGGLTQELDATGILYGVSADQVCLAFAGNMDDSEVGIIGNVQQRRLKVVYDVAGGKVGFAPAGCA >ONI29273 pep chromosome:Prunus_persica_NCBIv2:G1:17564724:17567239:1 gene:PRUPE_1G190100 transcript:ONI29273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFHDINSAVGLKKLDDYLLARSYITGYEASKDDLIVHAALSKPPSSEFVNVSRWYNHITALLRISGVSGQGSGVIVEGSAPITEEAVATPPVADTKASAAEDDDDVDLFGEETEEEKKAAEERAASIKASTKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVHIEGLHWGASKLVAVGYGIKKLQIMLTIVDDLVSVDTLIEEQLTVEPINEYVQSCDIVAFNKI >ONI30969 pep chromosome:Prunus_persica_NCBIv2:G1:28619043:28621626:1 gene:PRUPE_1G285000 transcript:ONI30969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVISGQPCSGKSMAAFCLAEALKESGCNLTVRVIDETSFHLDHNESYANMPAEKNLRGVLRSEVDRSVSKDSIIIVDSLNSIKGYRYELWCLARAAGIRYCVLYCDADETHCRKWNEERREKGEAAYSDKIFEDLIRRFETPDRRNRWDSPLFELWPHKEGIENTSAAIVDAISYLTKKADSKSRDVKTLQPTIATQNTRFSEANSLYELDRATQKVINAIVEAQSQALGGPLNGVSVGQGLPPINISRAVGLPELRRLRRTFIKLTAQTSLSGPPPPSDADNAKRMFVDYLNRELETA >ONI31542 pep chromosome:Prunus_persica_NCBIv2:G1:30633200:30634777:-1 gene:PRUPE_1G319000 transcript:ONI31542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEKSKTASTILYSLLIFLTFIKAEARNYKQVCSSSSCGEINNISYPFRLRGDPSGCGDPDYELSCVNNKTILEIFPGKYYVKNISYEDQVLRLVDVNFANANRSCSLPSGFVENTDGFVTDFRFKGVVDSLGSRFRFVKCSRNISSLQEAANHTTVPCLTRNGSYVYAVYDGDYSYYNPQPSCSVVSLAPVDLLHDINYKFPSYEAVMELLEAGFVVGWSLECRDCSLAGKSCVVKSWDKPLTYICERENKELTRSQGNLIIAGIVVGGLIALLVIIGILVFFVRKCRTRRNASSSTEKN >ONI30125 pep chromosome:Prunus_persica_NCBIv2:G1:24716096:24721002:1 gene:PRUPE_1G232400 transcript:ONI30125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVKQPTTASLKSNVAVDRRVTGSGTYIKVRKASVLGSGSRVNGHGSVRAVISGGDKAVEASTPVQSKDGTGSLVPSSSGGIQVKAVVTIRKKMKEKITEKIEDQWEFFVNGIGQGIMIQLISEQVDPVTNSGKSVQSAVRGWLPRPLPSDYAHIVEYAADFTVPSDFGSPGAILITNLQGKEFYLLEIVIHGFDGGPVFFPANTWIHSRKDNPESRIIFKNQVYLPSQTPAGLRDLRREDLLSIRGNGKGRRKEHDRIYDYDVYNELGNPDKDQELARPVIGGEERPYPRRCRTGRPPTKSDPLSESRIEKPHPVYVPRDETFEEIKQNTFSAGRLKALLHNLLPSLAATLSSSDIPFKAFSDIDDLYNDGVLIKEEEQKEGKKLFLGSMVKEVLTVGERWLKYEIPAVIKRDRFAWLRDNEFARQTLAGVNPVNIEILKEFPIISKLDPAVYGPPESAITKELIEQELNGISVEKAIEDKRLFILDYHDIFMPFIEKMNSLPGRKAYASRTVFFFTPTGIMRPIAIELSLPPTSSSPHSKHVYTHGHHATTHWIWKLAKAHVCSNDAGIHQLVNHWLRTHACMEPYIIATHRQLSSMHPIYKLLHPHLRYTLEINALARQSLINGGGIIEASFSPGKYAMEVSSAAYKNVWRFDMEALPADLIRRGMAVQDPSAPSGVRLVIEDYPYAADGLLIWSAIKEWVESYVEHYYSEPNSVTSDVELQDWWSEIKNKGHYDKRNEPWWPKLKTKEDLSGILTTMIWVASGQHAAINFGQYPFGGYVPNRPTLMRKLIPQEDDPDYEKFISNPQQTFLSSLATKLQATKVMAVQDTLSTHSPDEEYLGQVNPLHSHWINDQEILKTFNRFSNRLKEIEKIIEKKNRDSHLKNRSGAGIPPYELLLPSSGPGVTGRGIPNSISI >ONI29190 pep chromosome:Prunus_persica_NCBIv2:G1:16944215:16946338:1 gene:PRUPE_1G186400 transcript:ONI29190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRITKNGALTHSSILFKILIPFSQFSPFSSKSNPKSTTQNHITTIPRKSTTRRPISLAAPVPRVPDTVSASIVNSVCSLLSKDTHEITSIDSLLKNFKKTLSSELVLQILMNYKQLGRSKTLQFFSWAGFQMRFQFDDCVVEYMADFLGRRKLFDDMKCLLLTVSSHKGRVSCRSVSICIRFLGRQGRIKEALCVFEEMESKFGCKPDNLVYNNMLYVLCKKESSGHLIDFALAVFRRIESPDTYSYSNMLVGLCKFGRFETAVEVFGDMCKSGLVPTRSAVNVLIGDLCLFSAKEGAVEKVRVKDPYRTFTILVPKVGGNRDAIQPAVGVFWEVYKLGLLPSAFVIIRLISGLCQLGKAEEAVKVLKAVEGKKLSCVEEGYCIVMKALCEHCYVDEACNLFGRMLSHSMKPKLAVYNHVITMLCKLGNVDDAERVFKIMNKNRCLPDGMTYSALIHAYCEARNWETAYGLLIEMLGLGCSPHFHTYRLVDKILRENGQMDLCLKLERKLETLTLQKLCKDGQLEAAYEKLKSMIEKGFYPPVYVRDAFQNAFRRYGKSKIAQELLEKIDEIDRT >ONI33055 pep chromosome:Prunus_persica_NCBIv2:G1:35497441:35499419:-1 gene:PRUPE_1G402700 transcript:ONI33055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEKITWKCIIPGCYKSAARLEKRLHTDCKRTEILKQKPLLQKRLSLSDINDDPSSTLFADDLSNSVIGLNLHVFSLAELRVVTSNFSWSNLLGEGGFGPVFKGFVDDKLRPGLKPQPVAVKLLDLDGLQGHREWLAELIFLGQLRHPNLVKLIGYCCEDEHRLLVYEFMARGSLENQLFRSYSSALPWSKRMKIAVGAAKGLAFLHEADHKPVIFRDFKTSNILLDSDYTAKLSDFGLAKDGPEGEDTHITTRIIGTQGYAAPEYIMTGRLTTKSDVYSFGVVLLELLTGKWCIDKTRPNREQNLVEWAKPRLKDPRKLDRIIDPTMEGQYSTRGARKAAALAYACLSHQPKNRPMMRDVVMILEPLQNFNEGLIVRPFVYVAPNEEE >ONI31832 pep chromosome:Prunus_persica_NCBIv2:G1:31561656:31564642:1 gene:PRUPE_1G333400 transcript:ONI31832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSSAFNAFKSSKSVVWKSVNTLSVSTGRLQQTIAGCIERKGKGLHSGKVSTVKLWPELVSKGRYFDFQPNVIRASIEFAEESPLCTTLCKDGVKIRTVEHLLSALEAMEVDNCRIEIKNSDPEDFDLEVPIFDGSASEWVEAIELVGLKEATDHCGNCCEKMAAYVNEPVHVWRNDSFVAAFPSPEIRITYGIDFRQVQAIGCQWFSVTSLDNSFYSKHIALSRTFCIYEEVERMRNAGLIKGGGLENALVCSASKGWLNPPLRFPDEPCRHKVLDLIGDASLFARFGSQGFPVAHIVAYKGSHALHSDFVRRLSGII >ONI35209 pep chromosome:Prunus_persica_NCBIv2:G1:42810801:42821305:-1 gene:PRUPE_1G522600 transcript:ONI35209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKRWIALTALRKVLTCAICSIALVALFSVHVHVFPSSKVPNFSDPFKLPTQHDIKYQKLSAEQSWTLELAPPHLLKAPLPSRKLDGSSGTVETDKLWKPPPNRDFVPCVEPSPNYTSPTESRGYLLVHTNGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWKDSSNFSDVFDEDHFINALANDVKIITKLPKELATGTRAVKHFRSWSGMDYYQEEIASLWEEYEVIRAAKSDSRLANNNLPLDIQKLRCRACYEALRFAPQIEAMGKLLVNRMRSFGSYIALHLRFEKDMLAFSGCTQDLSSAEADELRIIRENTRYWRDKEINPTEQRSRGFCPLTPKEVGIFLSALGYPSSTPIYIAAGKIYGGDSHMADLRSHYPILKSKETLASVEELEPFTNHASQMAALDYIVSVESGVFIPSYTGNMARAVEGHRRFLGHKKTISPDRKALVGLFDKLEQGTLEEGKNLSDRVIEIHRKRQGSPRKRKGPVAGLKGKEKLRSEEPFYENPLPDCLCRKEFPVQTTL >ONI27827 pep chromosome:Prunus_persica_NCBIv2:G1:8567115:8576379:1 gene:PRUPE_1G106800 transcript:ONI27827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLAGADEIESFRIELAEIGRSIRSSFRSHASSFRSATTVNAGDNDVVGDEEHDLQWAAVERLPTFERITSALFDEYDGTSTKGDGKGTRVVDVTKLGAQERRVFIEKLIKHIENDNLQLLQKIRRRIDKVGVKLPIVEVRYKNLCVEAECKVVHGKPLPTLWNTLKSLVLGITELTGSKMREAKISIIKDVSGIIKPGRMTLLLGPPGCGKTTLLMALSGKLSHSLKVSGEICYNGYRLEEFVPQKTSAYVSQYDVHIPEITARETLDFSACCQGVGSRTEIMVEVSRREKQAGIVPDPDVDAYMKATSVKGLKNTLQTDYILKILGLEICADTLVGDPIRRGISGGQKKRLTTGEMIVGPAKALFMDEISNGLDSSTTFQLVSCLQHFVHITDATALISLLQPAPETFDLFDDVLLMAEGKIVFHGPRTEILNFFEECGFKCPERKGVADFLQEVISRKDQAQYWDHAEQPYSYISVDQFIKKFEDGHLGWQLNVELSKPFDKSQSHKDALSFRTYSLPKWELFKSCTRREFLLMKRNSFIYVFKSIQLVIIASITMTVFLRTQMAIDMVHANYYMGSLFYALLILLVDGFPELSMTVSRIGVFYKQKELCFYPAWAYAIPAAILKVPLSFLEAFVWTVLTYYVIGYSPEVGRFFCQFLLLFAVHLTSISMFRFIASFFQTVGASMTAGSSAILSVLLFGGFVIPKSYMPAWLKWGFWVSPLTYGEIGMTVNEFQSPRWEQVYANTTLGRQVLESRGLNFEGYFYWISVGALLGFTVLFNVGFTLALTLFKSPGRPPALISYEKYCQLQGKRDSKTDIDTEGKSISAPKTSAEPKKGRMVLPFEPLAVAFQDVQYYVDTPLEMRKRGFTEKRLQLLSDITGSFRPGILTALMGVSGAGKTTLMDVLCGRKTGGTVEGEIRIGGYPKVQDTFARISGYCEQSDIHSPQITVEESVVYSAWLRLPSQIDSNTKAEFVNEVLETIELDGIKDCLVGMAGVSGLSTEQRKRLTIAVELVANPSIMFMDEPTSGLDARAAAIVMRAVKNVSATGRTVVCTIHQPSIDIFEAFDELILMKTGGHIIYGGPLGKNSSRVIEYFESIPGLPSMKDNYNPATWMLEVTSKSAEAELGIDFSQIYKESALNEKNKGLVKQLSSPSPGSKVLQFPTRFPQNGWGQFKACFWKQNLSYWRSPSYNLTRIIFMSCSSLLFGILFWNQGKKIDSQQDIFNIFGSMFCATIFFGINNCSTVLPFVATERTVLYREKFAGMFSSWAYSFAQVLVEVPYSFIQAVLYVVITYPMIGYDWSAYKIFWSFYGMFCTLLSFNYLGMLLVSLTPNVQVASIVASSAYTMLNLFSGFVIPRPQIPKWWLWLYYLCPTSWALNGMLTAQYGDIQNEILAFGETKTVAAFLEDYFGFHYNLLGLVAVVLILLPIVFAALFAYFIGKLNFQRRA >ONI31297 pep chromosome:Prunus_persica_NCBIv2:G1:29856388:29859711:1 gene:PRUPE_1G304900 transcript:ONI31297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCGKKEDQGECSQSVQNLQAFQEHLFLQQQHQMQQQQQHQMQQQHHQQQGMIFPHDHQAPPPILQPWTLPPIHSFNPSAHDQFLPTPPPPIPSSSSYASFFNRRAPLQFTYDGSASEHHHHLRILSETLGPMVQPGSAPFGLQAELGKLTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTEMDELIVDDASDEDGKFVIKASLCCEDRSDLLPDLIKTLKALRLRTLKAEITTLGGRVKNVLFITGEEDSSSSGGEQEQQHQVPHEHQQQQYCISSIEEALKAVMEKTGGEHEQSSSGSVKRQRTNNINILN >ONI29699 pep chromosome:Prunus_persica_NCBIv2:G1:22352362:22354354:-1 gene:PRUPE_1G209500 transcript:ONI29699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCCQQVNTEKKKKEKKKRRRGEPAVEEITEQKKSATSIAIEDALVNWMLLGFSFASDLEPKEANSLIVLCVL >ONI33647 pep chromosome:Prunus_persica_NCBIv2:G1:37294604:37296427:-1 gene:PRUPE_1G438100 transcript:ONI33647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILLTGASGYLGGRLCHELLKQGYSVRALVRPTSDLSSLPPPSPTGNGGLELVHGDVTDYNSLLSAFSGCDVVFHAAAVVEPWLPDPSKFFSVNVGGLKNVLRAVRETKTVQKVIYTSSFFALGPTDGHVADEAQIHHEKFFCTEYEKSKAAADKIALQAAQQEELPLVLLYPGVIYGPGKITAGNVVARMIVERFNGRLPGYIGSGNDRYSFSHVDDVVEGHIRAMEKGRTGERYLLTGENASFKHVFDVAAVITQTQRPKFGIPLWLIEVYGWASVLFSRITGKLPLISPPTVYVLRHQWAYSCDKAKQELDYSPRGLKEGLGEVLPWLKNLGLIKY >ONI29404 pep chromosome:Prunus_persica_NCBIv2:G1:18756491:18758676:1 gene:PRUPE_1G196600 transcript:ONI29404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFMNPHIIAALFFKDRYFVLFLLGSYLLAFVGGLEQLCLDNVIVPFLTMTCDQLLFILLLFFALFYYHVGFLSPFFPS >ONI32448 pep chromosome:Prunus_persica_NCBIv2:G1:33702460:33704672:-1 gene:PRUPE_1G368400 transcript:ONI32448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSLKIGDGTARFKRATLCSSAVNLLMLFSVITTNLFALYAFTSSPKDQQTYHLLHHTQKNISLISEQVSLILREIDSSQKKLAQMEKELLGYESIDLSRSNVAHELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMNYKVSGPCPDDWSLAQKLILRGCEPLPRRRCFAKTLPKVGLNPFPISLWKPVSDKIVTWSGLGCKSFECLNSKKLSRDCVGCFDLVNGFENQRFVKARGKNDFLIDDVLALGSGGIRIGFDIGGGSGTFAARMAERNMTVITNTLNIDAPFSEFIAARGLFPLFLSLDHRFPFYDNVFDLVHAASGLDVGGKPEKFEFVMFDIDRILRPGGLFWLDNFYCSNEEKKRDLTRLIERFGYKKLKWVVGDKVDAAASGKSEVYLSAVLQKPVRV >ONI32782 pep chromosome:Prunus_persica_NCBIv2:G1:34524364:34527717:1 gene:PRUPE_1G385100 transcript:ONI32782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMQMQFNSHVYEVFVEGEQGVFYLIFFIRTVCIMWGTLSELYFCLQAYPNSCQYMEVSLSRTSFKKGCIPTLVSWWSLTLLKEKKRGFPSYYLILYYWSLYDILRHFLRNVFICRPSHLSSCGV >ONI28066 pep chromosome:Prunus_persica_NCBIv2:G1:9475769:9476499:1 gene:PRUPE_1G120600 transcript:ONI28066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTNMLKALGLLNNGPAPEQCYNPNSTRGTPAPATSKGYNHPGYSPPPPPLPNVVNGYPVNNNYTPNPAPNAYYYYYPTQPAPTNPSEISHDNVTTFVPHGHDISEGLKPPNAYDNSSSIAGPDQPANSKSRISTCANPQLPKSNGKVDKHKNIGEKNGKKKNGKKKSSRSSGDSFKVHGNTINGGKGDKVGVFDFGNKYSRGGKKRDEEEEDSTEEETDSGTEDERD >ONI26897 pep chromosome:Prunus_persica_NCBIv2:G1:3742446:3746581:1 gene:PRUPE_1G053800 transcript:ONI26897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLTHQIGALAGASISTTDTNSSSADSVSASPKWRSSTPSLTCKIQRPDAIDGLSPPLSPCRSPLGSTRPDLSVACQAFATEIESPVLEHQVRGAQNKGTGVPVYVMMPLDSVTMNNSVNRKKAMNASLQALKSAGVEGVMMDVWWGLVEREAPGGYNWGGYAELLEMAKKHGLKVQAVMSFHKCGGNVGDSVTIPLPKWVVEEVDKDPDLAYTDQWGRRNCEYLSLGADTLPVLKGRTPVQCYADFMRAFRDNFKHFLGDTIVEIQVGMGPAGELRYPSYPEQNGTWRFPGIGAFQCFDKYMLSGLKAAAEAAGKPEWGSTGPTDAGEYNKWPEDTPFFRKDGGGWNSTYGEFFLGWYSQMLLDHGERILTSAKSIFENHGVKISVKIAGIHWHYGTRSHAPELTAGYYNTRFRDGYIPIAQMLARHGAIFNFTCIEMRDHEQPQEAQCLPEKLVRQVAMATLKANVPLAGENALPRYDDYAHKQILEASSLNIEGNTEGNQMCAFTYLRMNPHLFQPDNWRHFVAFVKKMKEKGSHKCREQVEREAEHFVHVTTPLVQEAAVLMR >ONI33700 pep chromosome:Prunus_persica_NCBIv2:G1:37493296:37494669:1 gene:PRUPE_1G441600 transcript:ONI33700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVHSSPFYHMENSAILNFLRHSHTPGQKRSSKSSSGGLLKMFKLFPMLTSGCKMVALLGRPRKPLLKDNATTGTIFGYRKGRVSLAIQEDPHCMPLFVIELPMHSSVFHKEMASDIVRIALESETKTNKKKLLEEFVWAVYCNGRKVGYSIRRKQMSEDELHVMQTLRGVSMGAGVLPSPSEKEYASDGELTYIRARFERVVGSKDSEALYMINPDGAAGPELSIFIVRAH >ONI35417 pep chromosome:Prunus_persica_NCBIv2:G1:43703791:43704677:1 gene:PRUPE_1G534800 transcript:ONI35417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKLRPFSFAIISSSSRQLNFATMIILEKNMREISNTCSKGVCHAKDYNLAKHLEIDVPNLQCLIVQDGLKTINQSVANIRSLVTIFHTSPTKYQVFLTCCQNIGLKLINVPLNVKTRWNSTYKMLEVATKYKAALGLFQTKIKHQPFLIDEDWDIASLWREHLVQFYGSTEIIYGSHYPTILLVLKEITKIKDICLEIENNDLFQ >ONI34249 pep chromosome:Prunus_persica_NCBIv2:G1:39205629:39206494:-1 gene:PRUPE_1G471000 transcript:ONI34249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITNFNCCLNPPPPTQNLGSSPSLPSKPNQVLAWYVIKPNFLICPYLHFLGKNLRGLIKLRRVLCWCLMTQLKVLKGVCWCLIMVNTCVCRNKNDGSWTKRCVLGMTAGIMIGLEMGSLDAIAKGMPLPLVTESSDQRVTKWSDKRMCPPWIPNSLETIVPENLPRPSAQRRSEVVGFSKDAPAVKTVVVRRSGGCFAM >ONI34248 pep chromosome:Prunus_persica_NCBIv2:G1:39205596:39206706:-1 gene:PRUPE_1G471000 transcript:ONI34248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITNFNCCLNPPPPTQNLGSSPSLPSKPNQVLAWNKNDGSWTKRCVLGMTAGIMIGLEMGSLDAIAKGMPLPLVTESSDQRVTKWSDKRMCPPWIPNSLETIVPENLPRPSAQRRSEVVGFSKDAPAVKTVVVRRSGGCFAM >ONI30759 pep chromosome:Prunus_persica_NCBIv2:G1:27826463:27827532:-1 gene:PRUPE_1G271200 transcript:ONI30759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLHAWSWDISTYLFSSLNLFKVSKGRMCVLLLQQISFCIGFHKRE >ONI31360 pep chromosome:Prunus_persica_NCBIv2:G1:30042941:30045487:-1 gene:PRUPE_1G308600 transcript:ONI31360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKLDALLGRTYKTSKLKPLLNLALSRLAVLKNLRQVKFSQARSDVLQLLQLGHHERALLRVEQVIKEQNMLDVLVMIERYCNLVIERVHLIEQERVCPDELREATSSLLYAASRCGDFPELQEIRTVLTYRFGKEFAARAIEVRNNCGVNLTMMQKLSTRMPVLEIRVKVLKEIAAENSIVLQLEETTSVSTEEKLDVNKNQNQAAPNPPASSSGTASADNLQAFSQETEKDDRFSGSLKNRKYKDVADAAQAAFESAAYAAAAARAAVELSRSESHDPDDQNSPGSKRGRLSDRYESFKSESESQNEQNRGEELKRSTTSSSLDSDGDAIVHASLSGKDIAFDESESDNEQSAMPSSHKQIPSRFQSGLKVESAHAAGGSGRQSPPRLNIEKAPFSLRTRGVRGY >ONI27017 pep chromosome:Prunus_persica_NCBIv2:G1:4482296:4483189:1 gene:PRUPE_1G063200 transcript:ONI27017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKELDEEAVTKKAVQGALGILKACLNSKTVKRVVYTSSASTVAYSGSSQDLVDESSWSDIEFHRSLKIFGTSYVAAKTKTEQAILEFAEIGWRKKSGGEGRNWEEGRIWVGFLFYFLIFNLICLIFYNNLKIQFLLVFYSRETSRQLKNRSYKYPISI >ONI29589 pep chromosome:Prunus_persica_NCBIv2:G1:20247120:20247649:1 gene:PRUPE_1G203400 transcript:ONI29589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMNFLKNNSTILIVLVILSVAFLSNHGVEATRVLQEDFAHANHLQTHYPPSVYQTAKNTMACWLQRLASGPSPRGSGH >ONI32814 pep chromosome:Prunus_persica_NCBIv2:G1:34683200:34683696:1 gene:PRUPE_1G387600 transcript:ONI32814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFYHYQTASAQIADPEHQHPYGWFTNSHVPFGTWYPPTVQSSVNSLWNESGPGGHKRIASLTVACRYTRLFISDSFNCLPLPTCCKESVHVRATLVVSLPPEIIACIIKILVINKINLSYDHLV >ONI31462 pep chromosome:Prunus_persica_NCBIv2:G1:30413686:30415168:1 gene:PRUPE_1G314500 transcript:ONI31462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGKLTVVVKELSGGHFHVKTLIIFSLSMLFFIFVLGMFINDRTRKFLTSDDLFPQLKAFPSVSPWPPSTHCQCNFSLSSYLLPLSFSNPRGLLLKDYTAPKELWHSMSEEELFWQESMVPRIVKYPYNRTPKVAFMYLTKGRLPFAPLWESFFKGHDGFYSVYLHAAPDFKNEPPESSVFYKRKIPSQAVEWGKPTMVDAERRLLANALLDFSNERFVLLSESCIPLFNFTKVYSHLVNSNHSFVSSFDDPRNVGRGRYKKQMWPTVTLSDWRKGSQWFEAHRKLTIEIVSDPTYYPVFKDFCLPPCYTDEHYLATLVTKVGPGINSNRTITWVDWSTGGPHPTTFVRKDVTENFLIRLRNGFNCTYNGGMSSICHLFARKFHPSTLEPLLKIAPALFGFNT >ONI35880 pep chromosome:Prunus_persica_NCBIv2:G1:45650251:45650997:-1 gene:PRUPE_1G559100 transcript:ONI35880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRKKQTYDVFSLNSLGCLVRRIIMDGTKFWDCLGLIRIGLSWIKSSNQLASSPPFFCGSPPSRASYPVIQDEQFGNSSKMAPFFPAPPSSLSERN >ONI26528 pep chromosome:Prunus_persica_NCBIv2:G1:2172754:2175087:-1 gene:PRUPE_1G030600 transcript:ONI26528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVETDVAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMISHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >ONI30192 pep chromosome:Prunus_persica_NCBIv2:G1:25058367:25059677:-1 gene:PRUPE_1G236500 transcript:ONI30192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVEVISKEIIKPSSPTPDHLRTYHLSFLDQISPPVHNPLVIFYSSEPDTKFNIVDISNQLKKSLSDVLTLFYPLAGRAHGNLFIDCNDEGIPYLETRVNCQLSHVIQNPIPSELNKFIPFQVHEVADLSLGVQLNIFDCGGIALGACVSHKIADALSLFMFIKSWAAISRQEDEHIVCPQFVSATLFPPRNISGFNPRTGITKQNVVTKRFIFDASKIEALKAKYSIDNYSQSLESYQKPPSRVEALSAFIWSRVVASTQVKSEPAPERLYAIVHAVNLRTRIDPPLPEHSFGNLYRIAMTIPSLQTGEECYGLVRQVRDQVRQIDKHYVSKLQQGSEHLDFIKQSSENCFKGEMVTLSFTSLCRFPLYEADFGWGKPTWVGSPPLTFNNLVVFMDAKSGGGIEAYINMKEEDLAKLEGDNEFLACVSPHGFK >ONI29702 pep chromosome:Prunus_persica_NCBIv2:G1:22361602:22365862:1 gene:PRUPE_1G209800 transcript:ONI29702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWVRSPQPHPHLHPHQSFNKRLLIQPQSRTFRLSNSHRHLRRRIYCNYDDDATKKQSQPQAPQPTGIKLYSEIERLLTETVRQSQGRWAGSSDWTQVEGAWVLKPKTSEPKAVVHFIGGIFVGAAPQLTYRMFLERLSERGILVIATPYASGFDHFFIADEVQFKFDRCYRFLKETVQDLPTFGIGHSLGSVIHLLIGSRYAVQRNGNVLMAFNNKEASSAVPLFSPVLVPVAQGLGPILSQIASSPTVRFGAEMTLKQFENLSPPIMKQVLPLVEQLPPLYMDLVKGREDFVPKPEETRRLIKSYYGISRNLLVKFQDDTIDETSILAQLLSSDSAISSMLDMSIRLLPGDHGLPLQQALPDVPPAMADAVNRGSEILANLTVGTPWETVAKEVGNSLGVDSKILRAEISKDIGLLVDVVVSWIASNTGPKLLKP >ONI34385 pep chromosome:Prunus_persica_NCBIv2:G1:40101607:40104579:-1 gene:PRUPE_1G479800 transcript:ONI34385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKIQAEDLGPFQGVGTCYRPRALQLHNCPNLQLRGLTHVNSSKGHIGISYSQNVTLSHLNISAPESSPNTDGIDLYSATNVSIHQSTITTGDDCIAIKEIFSYINITGDYVWPRAWNKHRTDKRKTYVKLVGSLGAGGAYERVEEVFVGNCTFKGSMYGARIKTWQGLPTVSFLFLVIVSSSSFGIGYGQNDTYNVLDIGAFGDGGATQVLNIPQGKTYLLKPLKFVGPCNANKVQIEQIRVTETKHPIIIGQNYVDDNRRVKVSDITFSGFERASTSQQATTLNCCSLGCTNIVMDHVKLSSMVPGKPLRSLCKNANGTSISTSPHVDCLSK >ONI34420 pep chromosome:Prunus_persica_NCBIv2:G1:40195664:40197625:-1 gene:PRUPE_1G481500 transcript:ONI34420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTIRKAIGAVKDQTSIGIAKVASNLAPDLEVAIVKATSHEDEPASEKHVREILTLTSSSRGYVHACVSAVSKRLGKTRDWIVALKALMLVHRLLNDGDPVFGDEIVYATRRGTRLLNLSDFRDEAHSSSWDHSAFVRTYALYLDQRLELMLFERKSGGGGGGGVGDRQSSIGSNGSSHYRSPPPRNYEYEYGGERDREFRDYENGGGMRKSRSYGDMNESVSRNGRDEKRAVTPLRDMKPEQIFAKMGHLQRLLDRFLATRPTGLAKISRMVLVAVYPVVRESFQLYGDICEVLAVLLDKFFDMEYPDCVKALDAYASAAKQIDELVGFYAWCKDSGLARSSEYPEVQRIGTKLLDTLEEFVRDRSKGTKSPERKVEPVPPPPQEEEPQNMNEIKALPAPENYTPPPPPEVVVKAEPKQEVVPDLVDLRDDGVSADAQGNKLALALFAGPGGSGPANGSWEAFPSDGQPQVTSAWQTPAAEPGKADWELALVETASNLSRQKQNLGGGLDPLLLDGMYDQGVVRQHVSTSQLTGGSASSVALPGPGKSATPVLALPAPDGTVQAVNQDPFAASLTVPPPSYVQMADLEKKQHLLVQEQQVWNQYAKEGMQGQGSLAKMSAPGYYGAAPYGMPPANGIGMPPAAGYYYTPY >ONI34419 pep chromosome:Prunus_persica_NCBIv2:G1:40195310:40198076:-1 gene:PRUPE_1G481500 transcript:ONI34419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTIRKAIGAVKDQTSIGIAKVASNLAPDLEVAIVKATSHEDEPASEKHVREILTLTSSSRGYVHACVSAVSKRLGKTRDWIVALKALMLVHRLLNDGDPVFGDEIVYATRRGTRLLNLSDFRDEAHSSSWDHSAFVRTYALYLDQRLELMLFERKSGGGGGGGVGDRQSSIGSNGSSHYRSPPPRNYEYEYGGERDREFRDYENGGGMRKSRSYGDMNESVSRNGRDEKRAVTPLRDMKPEQIFAKMGHLQRLLDRFLATRPTGLAKISRMVLVAVYPVVRESFQLYGDICEVLAVLLDKFFDMEYPDCVKALDAYASAAKQIDELVGFYAWCKDSGLARSSEYPEVQRIGTKLLDTLEEFVRDRSKGTKSPERKVEPVPPPPQEEEPQNMNEIKALPAPENYTPPPPPEVVVKAEPKQEVVPDLVDLRDDGVSADAQGNKLALALFAGPGGSGPANGSWEAFPSDGQPQVTSAWQTPAAEPGKADWELALVETASNLSRQKQNLGGGLDPLLLDGMYDQGVVRQHVSTSQLTGGSASSVALPGPGKSATPVLALPAPDGTVQAVNQDPFAASLTVPPPSYVQMADLEKKQHLLVQEQQVWNQYAKEGMQGQGSLAKMSAPGYYGAAPYGMPPANGIGMPPAAGEGTEMKRFVVVGGF >ONI35951 pep chromosome:Prunus_persica_NCBIv2:G1:45921928:45931115:1 gene:PRUPE_1G562100 transcript:ONI35951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESERTKPVAVFMAFGTKGDVYPIAAIAVAFACDQRQYDVVLITHSAHENLRCHLAEKHVSYRPISSPPVLSIHQKHDPTGSVEFPFSLQKRMFSIEHRQECYLVVESIFGSGPSMEGDFIVINFFALEGWSFAELFRVRCMVAAPYVVPYSAPSSFERHFKKELPLLYKYLKEADISKVCWKDVIHWMWPLFTEYWGSWRCDNLNMSPCPFTDPVTGLPTWHDRPPSPLLLYGFSKEVVECPGYWPSKVRVCGFWFLPNEWQFSCKQCCEISRIVSSGHLYTKDELCSAHVHLDYFLKTPMPMPPVFIGLSSIGSMGFLKNPQAFLRLLQTVMEITGYRFILFTAGYMPLDTAIRAIVAESSSYVMHKQFNDECLSLFNDRLFCFSGTIPYKWLFTRCAAAIHHGGSGTTAAALLAGIPQVVCPFLLDQFYWAERMFWLGVAPEPLKRSHLLPEESDEKIIQGAANLLSRVIHDALSPKIREHAVEISKRISLEDGVSNAVKYIKEEIGCSS >ONI27266 pep chromosome:Prunus_persica_NCBIv2:G1:5563712:5564835:-1 gene:PRUPE_1G076900 transcript:ONI27266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACFSCKPKPALQNVRVVHLNGYVEDYEHPISVGQVTGKPAKHFVCTPAQLLSTGSKPLKQDTLLQPGQVYFLLPYSALQSDVSPLDWASISRKLTAAAAKSRRSSCEANNNSSHSSPLAPSSPLMMGQFCSSPVWSSSSSPGRSPSRFSMEQENMGSLMDYGSQRSCRARSWKPLLDTITEKSFNRRTESDLQEMHVEAVK >ONI35379 pep chromosome:Prunus_persica_NCBIv2:G1:43536769:43539626:1 gene:PRUPE_1G532500 transcript:ONI35379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMAYISLSKPFQWRPRPSNPQTLTLFRLFSSTEAATGASTEAPTETPNPQDGSVTPTHVPKARQHRTRNAEKIEDIICRMMANRVWTTRLQNSIRNLVPEFDHNLVWNVLHGARSWEHALQFFRWVERSGLFKHDRETHLKIIEILSRNSKLNHARCILLDMPKKGVQLDEDLFIGLIDGYGKSDKGCIIQESVKLFIKMKELGVERSLKSYEALYKAILRWGRCMMAKRYFNAMLSEGIEPTRHTYNVMIWGFLKSRKLETAKRFFEDMKSRGISPDLVTYNTMIHGYIRVDKMDESEQLFVELKGRNIEPNVISYTTMIKGYVSVGRVDDGLRLFGEMKSFGIRPNAVTFSTLLPGLCDAEKKDAAHKVLMEMVSKYIAPIDNSIFERLLSLQCKSGDMDAAAYVLKAMIRLRIPTEAGHYGILIENFCKAGVYDQAVKLLDKLIEKEIILRPQNSIELEPSAFNPMIEYLCNHGQTGKAEAFFRQLMKKGVEDSVAFNNLLRGHAKEGNSDSAFEILRIMNRRGIPGEADSYILLIKSYLSKGEPADAKTALDSMIEGGHIPESSLFRSVIESLFEDGRVQTASRVMKSMVEKGVMENMDLVAKILEALFMRGHVEEALGRIDLLMQSGCALQFDSLLSVLADKGKTIAALKLLDFCLERDCSVDFSSYDKVLDALLASGKTLNAYSILCKLMEKGGITDWSSTEDLIKSLNQEGNTKQADILSRMIKGGEKSSQGKKGKKQASLAS >ONI33663 pep chromosome:Prunus_persica_NCBIv2:G1:37367491:37370754:-1 gene:PRUPE_1G439400 transcript:ONI33663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMERLQRMFAGAGGGLGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDNHSKTNEQTVEEMKNLAVKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >ONI29007 pep chromosome:Prunus_persica_NCBIv2:G1:14728588:14736039:1 gene:PRUPE_1G175000 transcript:ONI29007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEATQKPIEVRALEALGQGFDLSSDFRLKFSKGLNGSDGRLVVLNEANKRDIVIPSGGGVTIHGVPEDIRCDKGDRIRFKSDVLEFNQMSELLNQKSSVQGKIPSGYLNAIFDLTGDWLHDAAEAKYLAFDGFFISLYYLHLTASPLSLHSKVQKSVPSHWDPASLSRFIRSYGTHIIVGMAVGGQDLVCVRQKSSSPVPPADVRRHLEDLGDFLFSDGSPTLLRGKTRDGKHKVPDVFDRILQSNTMQLTTISQTSSKDGLTIICSKRGGDVFLNSHSNWLQTVLAEPEAIRFKFVPITSLLTGIPGSGYLSHAINLYLRYKPAPEDLQHFLEFQVPRQWAPMFCELPLSHRQKKASCPSLQFNLMGPKINVSCTQVSTGQKPVVGLRLYLEGKRRNRLALHVQHLSSLPNTMDVSVANTSTTRPCWWRGSDDSELSEQFLEPIKWKRYSKICSSVVKHDPNWLKGDSNGVYIVTGAQLISKGKWPKTVLHLRLHFTYLPNCGIRKTEWAAAPEASHKSSFLTNLSTTFTFTQRTVTDQQKQAPAALNSGVYPEGPPMLDRSAKLLKFVETAEVVRGPHDAPGHWLVTAAKLVKEGGKIGLNVKFALLDYW >ONI31659 pep chromosome:Prunus_persica_NCBIv2:G1:30932371:30933339:1 gene:PRUPE_1G324000 transcript:ONI31659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTRLLGGYVILLVLLLVGMASMGSCSRVLKEVEFKRSIQGMLPRGPVPPSGPSPCHNKLSPYRHTEQSYQEDYVICP >ONI33841 pep chromosome:Prunus_persica_NCBIv2:G1:37919695:37923512:1 gene:PRUPE_1G449300 transcript:ONI33841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSYANLQVHGSFQNPFIFHGLNHLKTSLSSSVKPLFKELQQLLPRKVDVSKTMKNTSGKMLDALVDSIFQFVDQPLLPSQKNFAPVEEIGDHVEVTWTEGEIPADFAEGVFIRNGINPLFGGLKSAVSIFRQTQHTWVEGEGMLHALYFKKDHDGSWIISYNNRYVETETFKLEKQKHNKPCFLPALEGDAAAVVAAYILNGLRFGTINKHLSNTNKAPNSGKLVIMGVDAKKPYYVLGVISADGKLLHKVDLEFKRSTLSHDIGVTQKYNVIIDHPLTVDVKRVTMGGPLLKYEKEEYARIGVMPRYGDAESVKWFEVQTNCTFHILNCFEEANEVVVRGCRALTSFLPGPDGVLNKYEWFSKGFNFADDHSAETGYLFSRLYEWRLNMVSGNVEERNLTGTDFSMDFPFINEQVTGLKHKYGYTQVIDSMASSDTGMGKYGSLAKLYLEESNSTSFVEGKCEDLIKVEYHKFEEKNFCSGSVFVARHGGKGMEEDDGWIVTFVHNEETDVTQVHVIDAKKFESEPIAKLTLPQRVPYGFHATFVSMPCQS >ONI32412 pep chromosome:Prunus_persica_NCBIv2:G1:33585869:33586996:1 gene:PRUPE_1G366600 transcript:ONI32412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHKTDDNLCYIGGLTRVLAAYASISFAELMVKLMEFCGYSIDLRCQLPDEGVQNANACGDCEKNEDEDDFHQRYVPKWPRSILCSRFSTRISCSQMPTTMIFP >ONI33710 pep chromosome:Prunus_persica_NCBIv2:G1:37544089:37546683:-1 gene:PRUPE_1G442500 transcript:ONI33710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQRLMLLLLLLQLITFIEVLLAAAASAQTTAATFSPPSSDQVEVKPGCQDKCGDVSIPYPFGTTQDCYYNQDFLITCNDTYNPPKPFLRKSDSIEVTNISVDGKLYIKQYIAIQCFNKSGTPLGGLKNSLSLSRFFISDTDNKFVAVGCDTSAKIIGYKRRAYGYTGGCTTTCGSIDYVANYSCSGIGCCQTSIAKGMTSFNVSVTSSNNHKNVWDFSPCSYAFVVEEGKFNFSSSMLKDLDEVRLLPVVLDWSIGNEACEVVENKKLMNYTCQKNSRCDDVDNGVGYRCKCMDGYHGNPYLNGCRDINECDDANLHDCKQNCINTDGGYKCSCRKGYHGDGKTNGEGCSPNRTLVVQITVGIGVSLLALLMGSLWFYLGYKRWKLMKLKEKFFRKNGGLMLQQQLSERQGSTHETAKIFTAEELEKATNNYSETRIIGKGGFGTVYKGILVDGRVVAIKKSKMVDQSQIDQFINEVLVLSQINHRNVVKLLGCCFETEVPLLVYEFVTKGTLFDYIHNTSKATKSNNSWEIHLRIATETAGVLSYLHSAASVPIIHRDVKSTNILLDETLTAKVSDFGASRLVPIDQAELSTMVQGTLGYLDPEYLQTSQLTEKSDVYSFGVVLVELLTRKKALSFDQPEEERNLAIYFLSALKEDRLLQVLDDCIVNEGNIEQLKEVSNLAKRCLRMKGEERPTMKEVAMELEGLRRMVMHPWVSNEANSEETESLLGGISMETISCGGGGDSSSGYDTMRNHIMLPVNDGR >ONI35201 pep chromosome:Prunus_persica_NCBIv2:G1:42786300:42786975:1 gene:PRUPE_1G522000 transcript:ONI35201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATTWCFVYGVLDQFEFVVISVSEHPYLNISLGTCFVCTRNKLLKSSKNKSPLLTPTIFVWAPFPPPSFFFFFFIFSTIYNEI >ONI27006 pep chromosome:Prunus_persica_NCBIv2:G1:4454078:4454473:1 gene:PRUPE_1G062400 transcript:ONI27006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLLLLFMFLLTANCEAAWRSTHVQITNNLDPNTTDLTIHCKSADDDLGVHVLHYQEFYGWDFKIKFFGGTQFWCSFQWPNQFHWLDVFIEETFNCRDCKWIISNDGPSLYNKITKVYDHKKWNDQKKRT >ONI27927 pep chromosome:Prunus_persica_NCBIv2:G1:8878789:8881902:1 gene:PRUPE_1G111400 transcript:ONI27927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAQQFTGLRCPPLSTSRLSKPSVFPKQNKMAGLLPVVSAVAISNAQTKERLKLKELFEDAHERCRTAPMEGVSFNLDSFYSALEKYDFNSEIGTKVKGTVFNIDNYGALVDITAKSSAYLPVQEACIHKIKSVEEVGIVPGVREEFVIIGENEADDSLILSLKSIQYDLAWERCRQLQAEDVVVKGKVVGANKGGVVAVVEGLRGFVPFSQISTKSTAEELLDKEIPLKFVEVDEEQSRLVLSNRKAMADNQAQLGIGSVVLGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLTSDLPVEGLDLSDVPPAEVTE >ONI28502 pep chromosome:Prunus_persica_NCBIv2:G1:11336092:11338557:1 gene:PRUPE_1G144300 transcript:ONI28502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVDAAGDPIPTSAVLTAASKHIQFQCQAENVAFLKCKKKDPNPEKCLDKGRQVTRCVLSLLKDLHQRCTKEMDAYVGCMYYNTNEFELCRKEQDEFEKKCPLA >ONI34426 pep chromosome:Prunus_persica_NCBIv2:G1:40220196:40223281:1 gene:PRUPE_1G481900 transcript:ONI34426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSTLRIDDDDFVPVRPSSVERPRRSNSLPFVPLLLIDGFSRKSFSYSALPQEPLRLSVLKLDGSCFDIEVAKTATVLELKQAVEAVFSHMPQKGPGKISWPHVWGHFCLCYGAQKLVVETDHIRHYGIKDGDQLHFVRHVSISYTLTKKQSKKGLIGSKQNTTSIPRSISLEEIEAIDKEEEQSDRDIEQHDEVFYDSDDIENPKSRHCNGEVSIEHNESTLPSLLGEWFPYSRLSAVGTTSKRSLACAPSIATGLLVGFRKMFGLCFEKRDKRYSRRDTWRID >ONI32352 pep chromosome:Prunus_persica_NCBIv2:G1:33367218:33369700:1 gene:PRUPE_1G362900 transcript:ONI32352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLALWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELQHYAPGVPIILVGTKLDLRDDKQFFIDHSGAVPINTTQGEEMRKLIGAPAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKRKGQKACSIL >ONI28602 pep chromosome:Prunus_persica_NCBIv2:G1:11870956:11872712:1 gene:PRUPE_1G150700 transcript:ONI28602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLQSTLDLSATTLASARRSFIDLSISRLPAASQNSTPSPLEPSTGKRKPCKKESDVWEHFEKYDLVLDMKAVDGTKRKEVEKRLSASIVVLLMLVILRKMALATCGSI >ONI34486 pep chromosome:Prunus_persica_NCBIv2:G1:40343464:40344060:1 gene:PRUPE_1G484200 transcript:ONI34486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIAKSFVLVLLLSLVSHISFIKIAGFCACTLNNINIGTTRSGREIGGKPEWNVVVTNNCACAQTSIVLSCKGFQTTEPVDPAILKKQGNVCRLIMGNLLDAGASVKFSYAWDPPFLLLPSSSVISGGC >ONI26749 pep chromosome:Prunus_persica_NCBIv2:G1:3085151:3085844:1 gene:PRUPE_1G043200 transcript:ONI26749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFLPCITFGQIAETVDEGRSSCVTHSIVYGLLFTIQCHWVYSCLYREKLRQKFGLPEEPCCDCCVHFCCDPCALCQEHAELKSRGFDPSKGWIGPPTAAPQMPPSMFK >ONI30626 pep chromosome:Prunus_persica_NCBIv2:G1:27160044:27160860:1 gene:PRUPE_1G263200 transcript:ONI30626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAIFVPLFTVLFDFSMIGTTDPGGCRALGDLKQCFRRHLVLMNSSGEYRICIAYFVDKATGTSLEGLPGLPDVFAGTLVGLTYDKRSYYDDLMLKVRHAKRPILIPLT >ONI31163 pep chromosome:Prunus_persica_NCBIv2:G1:29320355:29325694:-1 gene:PRUPE_1G297100 transcript:ONI31163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSNYYCNLLKLCCQAGNHAQAKKLHCHIIKTVASPETFLLNNIITTYGRLGNLRYARHVFDQMPHPTLFSWNAILSVYSKSGYLSDMQEIFDRMPRLDGVSWNSFISGHASCGLLAEAVKFYSLMLTDGAANLNRITFSTMLVLCSSQRCVNLGRQLHGHIVKFGFESYVFVGSPLVDMYSKAGLILDAKRVFNSMPERNVVMYNTLITGLLRCGLIEDSECLFSKMPEKDSISWTTMITGLTQNGSGSKALDKFREMILEGLSMDQYTFGSVLTACGGLFALEEGKQVHAYIIRTELIDNIFVGSALVDMYCKCRSIKAAEGVFKRMSYKNVVSWTAMLVGYGQNGYSEEAVRVFCDMQRKGVEPDDFTLGSVISSCANLASLEEGAQFHCQALASGLISFITVSNALVTLYGKCGSIEDSHRLFNEMNIRDEVSWTALVSGYAQFGKAYETIDLFERMLAHGLKPDGVTFIGVLSACSRAGLVDKGHQYFESMVKEHGITPIMDHYTCIIDLLSRAGRLEEAKRFINEMPFHPDAIGWATLLSSCRLHRNIEIGKWAAESLLELEPQNPASYILLSSIYAAKGKWNEVANLRRGMRDKGVRKEPGCSWIKYKSRVHIFSADDQSSPFSDQIYAKLEKLNCKMIEEGYEPDMSSVLHDVEESEKKKMLNYHSEKLAIAFGLIFLPAGVPIRVVKNLRVCGDCHNATKYISKITKREILTVGRIRKSNNNSYLLQLSADLNDFSWRCPITKVPLNKLFPSTKCFEEFHSAIKQYNTTPVSRPEVLYLQHQNNTSSKVEVHKNLPWTSDAEKDCWFVSPQFRSLHWRCFGFHQMLHPHLILWS >ONI26501 pep chromosome:Prunus_persica_NCBIv2:G1:2046686:2049742:1 gene:PRUPE_1G028900 transcript:ONI26501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIQLNEYSHYGFTLTEPEEILEIICENHAPENHPKFDVRSLFFITENIIKHSTHTSIVSKSDEIKPQNFDNFISPLCALKSIGCEMSCKAVRENSAQTTTLGIFGKLTKYSWEAKAVLALAAFAMEYGNSWLLSQLYPQSDQLTTALAILNRVPLLLNSTANFKKQQETVVELNKTINATLQVIKCILKLDELSAHIDPNHASLKSAKKDVPINTYWSILTIVSCATEVFYLTRDEEKPQAVSILSHFVTKRINDILAKLKRQLTICQKEIDEPKIYRKLLKHVAHAHGKITHVFNTLILFKDNAYAKPATLSVGYTNKEVFDIDVLDGKYITFYISTLDNVSHKDILSLKEVYEGTENNKCKIVWIPIVEDWTEYGREEQFMEWRSKMPWYAVQYLSPATIKYIKEEWYFENKPLSVLMNPHGDVENLNALNWIRIHGINFFAFRNINVKTWIAPVVQELMTPALDTWMKEGEYIFFYGGTDDYSMERFRMKANCAKVSILEELKIHVKLFCVGKLEKGRSFSDESNAGGFWSSIQSLLSTLSDYKLHEEHTALRKQVHKLLSYKNDESGWCVLSKGSSVVTSGHGWAISKVLDEFDQWKQQISHERSFGTCFQVYHEKVLAQTTTAGAHSAGCSFGNARNMECCPVCKTPIEATLVSYKCCRMCNLTPY >ONI32828 pep chromosome:Prunus_persica_NCBIv2:G1:34736436:34739898:-1 gene:PRUPE_1G388700 transcript:ONI32828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERPETELISIPATPRGVSTPEIQTPSGQRSPRPPSKEAKSSSAWTPTSFISPRFLSPIGTPMKRVLVNMKSYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFSFLGWSWGTLSLTIAYFWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAITYSTMVWVLSVSQPRPPTISYEPITLPSSSASVFAVLNALGIVAFAFRGHNLVLEIQATMPSTFKHPAHVPMWKGAKVAYFFIAMCLFPVAIGGFWAYGNLMPSGGILNALYGFHSHDIPRGLLAMCFLLVVFNCLSSFQIYSMPVFDSFEAGYTSRTNRPCSIWVRSGFRVFYGFINFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPTKYSFNWYFHWILGWLGIVFSLAFSIGGVWSMVNSGLKLKFFKPPN >ONI29470 pep chromosome:Prunus_persica_NCBIv2:G1:19332453:19335123:1 gene:PRUPE_1G199600 transcript:ONI29470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVPSGASVPGGLDVAWKYACPIEGNKHGTMCTFCESKFKSGGITRVKYHLAGFDPHKSVKKCKEVPQYIKKEKFVEENIRSTARGDIWAIQVAILMMMMMMRMRMDMHILQTCTLRRKKITLLPFERRSKKNGGASGSSQPQYRRTQSLQDPLRSPLVPMPHKNTTAKQRTIKGMIKNSVEVLGRYCSKFFILENVAPQNASSPHFKNMIATAQQASQGLATPSSYEIKHKYLDMEYTYMQAYVEKVKEDWGVYGCTIMSDGWTGSMRLSIINFMDVIKEVGSSNVVHIVIDNGSAFVKAGEMMMEWYPIYWTPCAAHCINLIFEDIRKQESVANVINKARKLTNYICNHGWLLAQMRIFLQSIYEPLYSTLLIVDTEVVPTMPILYDMFHIMKEKISKLKGKKWLLKIINHKWDVILSRPLHQVAHYLNPRYQYETGVDHNKELLLGLQHVFERLNPTGDEEINFRDYRKTFRTEMAVKSRKSIPPVEWWNLHGDSAPNLQKITMHILSQTTSSLARENRLAYTRLENIVFCYFNMKLKLRDEEAEMNKVAENDYIDLLDIAGQPSDDDNNPIQQWIMTAHLDDEQGNPDTVIAQHAAQEGVDVDRVISEDVRSGDTSSFERDMLGPRQGQRRPLRDNASANRKESLSNSLDNDGGSNAGSGGNE >ONI30667 pep chromosome:Prunus_persica_NCBIv2:G1:27372301:27375728:-1 gene:PRUPE_1G265800 transcript:ONI30667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYFTTTPEMKAPVEVAAAAAAGNYAPFQVQVPVQVEGEVAHFQQKDEDTANFQGNETGDNQSSRTEELQKDELETENPSEVVSVQQEQAKLETELEYNQRDVESEQQQFSRRPYQNQRGGRGGGGRRVNTNGRGGRGSGRGGGPYQNGRNQFYDQPGNYYPRNYYNNRGRGGRGGGPSYNHHGSAAQDGHNSANVGVAS >ONI33580 pep chromosome:Prunus_persica_NCBIv2:G1:37109786:37111192:1 gene:PRUPE_1G434000 transcript:ONI33580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVQLKSEEQMEMMMTMQMDKISELCGAYNDVSDLPPSDHTFSTITTTTTSPNSITSNTSISMPHYTDQQNPQNIPSPNSQSFLNLPTIPTIPSTISFSNTTNLTDQTSNSSNGFLPSSQKRTSMAAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKSQIQTLERAAANRPTGIGFPVAMSSGSYGLHSVAKAYQASCHENVQHFGDA >ONI32540 pep chromosome:Prunus_persica_NCBIv2:G1:33870216:33872763:1 gene:PRUPE_1G371700 transcript:ONI32540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERGNGSSSSRNLDTGKAESPVWLMKCPVVVAKSWNSHNPSDPHPLSKVVLSLDPLRADDPSSLEFKMEMAGSSGAANLPKSYSLNMFKDFVPMCVFSETNQGKVSMEGKVEHKFDMKPHGSNIEEYGKLCRERTNKSMIKNRQIQVIDNDRGIHMRPMPGMIGLISSTSKDKKKTHPVKQSDMKRTRRDRGELEDIVFKLFEKQPNWTLKQLVQETDQPAQFLKEILNELCVYNKRGTNQGTYELKPEYKKSVEDTAE >ONI35791 pep chromosome:Prunus_persica_NCBIv2:G1:45319402:45321473:-1 gene:PRUPE_1G555100 transcript:ONI35791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPYVGTMSWIESMNLTVSLEAMVRRQTDCRVDIYLIFFLSIFFMSLIFLLFKLSLVTKSDCNYLIVMPFSPL >ONI33115 pep chromosome:Prunus_persica_NCBIv2:G1:35690068:35691570:-1 gene:PRUPE_1G406300 transcript:ONI33115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWENIQRDDRYRREKNNPSFSSSLLDKIYSSIDEGDPRNRGDSKFYKDTMQPKKQSKSGVKTSRAVEEDEMASLRRACLIEKWMEKKVSEKVGTQRRQYLTELDRKPDHDHDRDLDGLFFSSTSSSSDSSSGGFSSSDAESMYGSKPRSSCFAPPRPKPVRTSVSARSAKTEEKIEIKTERKQRTLFYEQREVHMFDDYHYSCASEPTPKLEEGIIKSKSRALKIYNNLKKVKQPISPGGKVANFLNSIFTTGQSKKTKSTSSIGGYEDASAEKKLKSGQASTCSSASSFSRSCLSKNSPSTREKLRNGVKRSVHFYPVSVIVDEDCRPCGHKCLYEREDQSLMPVTVPTAWKIGRSPSRKAEEELKLKVLEKNRQVEEAAREVLRDCHRNQVKKDSVSRDYNYVDDEDDAASCSSSDLFELDHLKVIGKERYLEELPVYETTHVRTNRAIANGLIM >ONI34156 pep chromosome:Prunus_persica_NCBIv2:G1:38843108:38847965:-1 gene:PRUPE_1G465600 transcript:ONI34156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLPLIFAILSLAAVALANHAAQPAAQLYWNSVLPNTQMPRTISELLHPDSTNEEKSTNIMNAVGNGKPTDHNGKPKMKALFSGYTIQVQYAGVPNSDNQLQYYKNMTIFFLGKDMRLGATMNFQFTRNSNTATFLPRESAQSIPFSSNKLSEIFNHFSVKPTSVEAKTIKKTIEECEAPGIKGEERYCATSLESMVDFSTSKLRTRNVQAISTEVLEKGATMSMHKYTTMPGLKKLAGDKVVVCHKENYPYAVFFCHAIKQTAAYVLSLKGDDGVKVKAVTICHLDTSEWNPKHLAFQILKVKPGTIPICHFLSTDAIAWVPNHKSA >ONI26314 pep chromosome:Prunus_persica_NCBIv2:G1:1187202:1189030:1 gene:PRUPE_1G017000 transcript:ONI26314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVPFFRLAMALAMTVVLAMPVYGQVGSPCNASAISSLTPCMSFLTNSSSNGTSPTADCCNSLKALTSTSRDCFCLIVTGSVPFQLPINRSLAISLPRACNIPGVPLQCQATVAPIPAPGPSSLAPTLSPGASPSGPTASSVPEPTSSALSPESDTTPLLTPPSTTGGSGAPTSTTGSRPVLTPSAAFTSCRLSPSLMLFASGILALKFF >ONI35322 pep chromosome:Prunus_persica_NCBIv2:G1:43320451:43325441:-1 gene:PRUPE_1G530000 transcript:ONI35322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFWTQPGSSSEEEESDYDEEVENTGGEATTEPVGNRYLEVNQSDSDDPDDERRVVRSAKDKRFDELSATVHQMKNAMNINDWVSLQESFDKINKQLEKVMRITEAVKVPTLYIKALVLLEDFLAQALANKDAKKKMSSSNAKALNSMKQKLKKNNKQYEELINKYRENPEQSDDDKEAEEDSEDDGSVSEIEDPTDIVMSNSDDDGDEEEDEKDDQTDEGWEKKMSKKDKLMDRQFMKDPSEITWDTVNKKFKEVVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEIFFSVVSAQFDVNPGLNGHMPINVWKKCVQNMQVILDILVKYPNITVDDMVEPDENESQKGPDYDGTIRVWGNLVAFLERIDTEFFKSLQCIDPHTREYIERLRDEPMFLCLAQNVQDYLERVGNYKAAAKVALRRVELIYYKPQEVYDAMRKLSEQTGESDNGEEPKAAEESRGPSPFIVIPELVPRKPTFSESSRTMMDILVSLIYKYGDDRTKVRAMLCDIYHHALRNEFCTARDLLLMSHLQDIIQQMDISTQILYNRAMAQLGLCAFRSGLITEGHSCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINPELLEAVHLICAMLLEVPNMAANIHDAKRRLISKTFRRLLEVSEKQTFTGPPENVRDHVMAASRALGKGDFQKAFDVINSLDVWKLLPNRENVLEMLKAKIKEEALRTYLFTYSSSYKTLSLEQLTKLFDLSEAQIHSIVSKMMVNEELFASWDQPTRCIVFHDIEQTRLQALAFQLTEKLAILAESNERATEARIGGGGLDLPQRRRDNQDYATGTAAGGGRWQDNNLSFNQGRQGGGSGRAGYNTGGRSFNQNAGGGYSRDRAGQYRGSGQNSRYQDAAYAGSGRTGYQTGSASRGSQDTSTRMVSLHRGLRA >ONI33973 pep chromosome:Prunus_persica_NCBIv2:G1:38280917:38283617:1 gene:PRUPE_1G456500 transcript:ONI33973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQGSQSELDRSQCGSSSRRSTRSQVAPDWNSTDELLLVNEIAAVEADCLKALSSFQKWKIISQNCSALGVPRTLDQYRRKWDALFLQYKSIKQWESASRGGASYWVLEIGRRKQKGLPENFDNELFRAIDNLVRVRGNQSDTDPDSDPEAEIDAEADVPDVVAEPESKRRRRRSTHQKSCSIENSLEDVRWKSLKKPRVEEKPEETHAEEKPQETHAEEKPVGSCLEVIPQKSLAEQKSQKSCAKKHKNSQIKEKAISIEEQEQIAVMQLHENVELIQAIVNENADHEAAADVKSTGDPQTDLVRRQGDQVIACLGDIVKTLDQLRQLVQECE >ONI34043 pep chromosome:Prunus_persica_NCBIv2:G1:38505743:38512639:1 gene:PRUPE_1G460400 transcript:ONI34043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSDTEEPYRRRPRKMAYLGDSSRRQFESLSGRPYALMGDMSFLQEEDISLDATRARWTNVLKRHSDLAERLSRDADKTIYERLQKEFEAARALQTQEINLDGEQWNDGLLATIRERVHMEVDRKAMAGDVNMLPGPQIQEKITYKVGNKVICCLEGARIGILYETSYAGEPCDLYHCVLESKSFLEKMTVLEHTIPYFLPLREAENDLLSSNAMKFIDYIGELLQSYVDRREQVRLAKELYGNQIKEIYYTLPYNLIAFSLVDFDCKVIVKLKYAELVSVLPTHVSVVAWPVHQYRKTSLNASTMNKKENGSLEGPTTLSYAEDALQNMSLPEAYAEIVLNLPQALRDICEGSS >ONI32453 pep chromosome:Prunus_persica_NCBIv2:G1:33715902:33720962:-1 gene:PRUPE_1G368900 transcript:ONI32453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSHKLKRVSWASDVNLCQVKLFLLEESPSQVGLSAQDHLQAKASWLSHSSGTGSDDILPPGFEGAYSANQLQINVSQIPLIKWRCPPRVVLNFTWQVVCGEESKEVEIQNQREIRVLEAVYPRPSAIPLNPSVLADVEDSGHNDVQPPVIPITSIEDEDVGVDTSSASMAPFNIHTSTQSFLSVQGIAAPSQSVVPNNRNHPTSNKPVAGIPVGVEPDVVAAASAAFGAIVNSNEHGNMIDHELLIKILSNPKMIEKLVKDPQPMTRPPQMSIADPPPVHINRTESSSTPSSTALSSGHFYPQPNVAGMGRFPDARPPPHAAISVPSPPAVGPPPAKDINYYKSLIQQHGGDRHDSFPPFGNRHSDHSAINQESNNGYKSRDSKPKIMKPCIYFNSSRGCRHGANCAYQHDASFQPRGSSSAPEVHSTKRMKMDREISS >ONI36061 pep chromosome:Prunus_persica_NCBIv2:G1:46283928:46284743:-1 gene:PRUPE_1G567100 transcript:ONI36061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIWSTLQYWLVNHPSILHFSWAPGQTPASTPLFLTLSLLSYLSLTFLLTRLPLSPINPALLKPITAVHNLLLFLLSLVMAVGCTLSILSPVTPSLDWILCFPPHINSTGPHFFWAYIFYLSKILEFLDTLFIILSGSIQRLTFLHVYHHATVLVMCYLWLRTCQSLFPVALVTNASVHVLMYGYYFLCAVGIRPKWKRVVTDCQIVQFLFSFAVSGRMLYLHFSGSGCSGIWGWCFNAVFNASLLALFVDFHVRSYAKRKKMGQKDKGS >ONI29172 pep chromosome:Prunus_persica_NCBIv2:G1:16811220:16812074:1 gene:PRUPE_1G185200 transcript:ONI29172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVHEGNNKVRKSKLQRLISQFEKLEMGEEEPYVEFYEKIIGIVNSCASLGKVIPEVKKNAIEEARDIDTLSLADLSGALQTHESELRPVKKANKNLALKVIKEEDDDCNEIDPKQLALITRHFQKLLKKQNSKGSGSSTRSPINKTSKDGSQRFIPKSEGRKCYACSGYEHEAKECANTISKQKEEKKAMKASYNSWSDSESDMSEVEEEEIAFVALENNDEYDDSYEEDVDIEETRERYKELYLNFDKVKKQNDGLKIKVQKKKKG >ONI32865 pep chromosome:Prunus_persica_NCBIv2:G1:34827050:34827740:1 gene:PRUPE_1G390400 transcript:ONI32865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSYGSQGFILILVAVSLLALGEAKTVVVGGSQGWRYGFNYTHWTLKNGPFYIKDTLVFKYDPPSNTRAHDVYLLPNLWSYITCDFRRAKLVANATQGGGEGFKFVLNQWGPHYFACGRGANSSHCNQGLMKFFAVPWPRWHF >ONI35862 pep chromosome:Prunus_persica_NCBIv2:G1:45558262:45561422:1 gene:PRUPE_1G558000 transcript:ONI35862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLASKCSRVGRSLLGGLGNNSSGLLSTSHEMTCSTFLSQQQRTFIQMRTILKVVDNSGAKKVMCIQALKANKKGARLGDTIVASVKEAHPNGKVKKGQVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGRQPIGTRVFGPVPHELRKKKHVKILSLAEQIA >ONI35863 pep chromosome:Prunus_persica_NCBIv2:G1:45559317:45561146:1 gene:PRUPE_1G558000 transcript:ONI35863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCSTFLSQQQRTFIQMRTILKVVDNSGAKKVMCIQALKANKKGARLGDTIVASVKEAHPNGKVKKGQVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGRQPIGTRVFGPVPHELRKKKHVKILSLAEQIA >ONI30859 pep chromosome:Prunus_persica_NCBIv2:G1:28223884:28224831:-1 gene:PRUPE_1G277700 transcript:ONI30859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQTVKLFTVLKRSASIMRSPTSHFLHLSALLLPLGVLLSTVVYPFLLDSVTDPFSPGDRAPTSAPQLNQPTIASTLILIFTPIAFTVFALISSVCAVGSITHTIFHGFHGRPVKLRSAIESGFGSFLFLLATAVILQLVVKWFGILLFMGGRVVELQSGRRMEDWSPELTFAFYGVAATVVLPVALGVLGILGWRLVRAWAALTSGWQNGALCLLHGIALISVLQMMVIFFYTVAITVLYCEAKEDDGGEEVDLGDDCCKFP >ONI26951 pep chromosome:Prunus_persica_NCBIv2:G1:4102012:4107708:1 gene:PRUPE_1G057600 transcript:ONI26951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDFERVTKMSKKWELRRALELEFAGILLALTLLASTSEGLNTEGLYLLELKKSIQDEFYFLGNWNSSDQTPCGWIGVNCSSGYAPVVKGLNLSFMNLSGVLSPSIGGLVHLTFLDLSHNDFLGGIPKEIGNCLSLEQLYLNDNQFTGQIPVEVGKLSNLRSLNICNNKINGSLPEELGNLSLLVDFVAYTNNITGSIPPSFGNLKNLVTFRAGQNAISGSMPAEIGGCKSLKLLGLAQNAIEGELPKAIGMLQSMTDMILWGNQVSGPIPKELGNCTSLETIALYQNNLVGPIPPELGNLKSLKKLYIYRNGLNGTIPQEIGNLSFATEIDFSENYLIGEIPTELSKIRGLSLLYLFQNQLTGVIPNELSSLRNLTKLDLSMNYLKGPIPDGFQYLTELYQLQLFNNSLSGSIPRWLGLHSGLWVVDFSDNLLTGRIPPYLCQHSNLILLNLEANDLNGNIPPGVVNCKSLVQLRLVGNRLTGSFPSELCNLPNLSAIELDQNKFTGPIPPEIRNCQKLQRLHISDNYFTSELPKEIGYLSQLVTFNISSNLLTGRIPPEIVNCKMLQRLDLSRNRFVDALPNELGTLLQLELLRLSENNFTGNIPATLGNLSHLTELQMGGNLFSGEIPPELGSLSSLQIAMNLSFNNFTGRIPATLGNLNLLEFLLLNNNHLTGDIPSSFENLSSLMGCNFSYNDLTGPLPPIPLFQNMAISSFIGNKGLCGGPLIGCSVNPSLHSVPSLESGGTRRGKIVTVIAGAVGGVSLILIAIILYFMRHPGQTVPSLQDKDTLSPDMDMYLPPKEGFTFQDLVEATNNFHESYVIGRGACGTVYKAVMRTGQTIAVKKLSSNREGNNIENSFQAEISTLGNIRHRNIVKLYGFCYHQGSNLLLYEYMAKGSLGELLHGASCSLDWPTRFMIALGAAEGLAYLHHDCKPRIVHRDIKSNNILLDEKFEAHVGDFGLAKVIDMPYSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQSLDQGGDLVTWVRHYVQDHSLTSGILDGRLNLQDRSIVDHMLNVLKIALICTSMTPFDRPSIREVVLMLIESNEQAGDFSPTYDLPLKVDTDLLKDDEDLPLKDDTRHPPLKDDTR >ONI30311 pep chromosome:Prunus_persica_NCBIv2:G1:25724313:25730505:-1 gene:PRUPE_1G243500 transcript:ONI30311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQEKATPCCLDAVPAKSSALLRKASDPMRDWTVSGSDPSQDPIRNRAAVPTLIRPIETLPATSTNTTAAKGIPVMLRAQTSHPLEPLSAAEISVAVATVRAAGATPEVRDSMRFVEVALVEPDKHVVALADAYFFPPFQPSLLPRTKGGPMIPSKLPPRQARLVVYNKKSNETSICIVELSEVHAATRGGHHRGKVISSKVVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCAGYHSEADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMVVLEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQIIQPEGPSFRVNGHFVEWQKWNFRIGFTSKEGLVIYSVAYIDGSRGRRPVAHRLSFVEMVVPYGDPNAPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGHIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDSKPGETFNQVVEVNVKVEEPGKNNVHNNAFYAEEKLLKSELQAMRDCNPLSARHWIVRNTRNVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTSYARDEVYPGGEFPNQNPRIGEGLATWVKKNRSLEEADIVLWYVFGVTHIPRLEDWPVMPVERIGFTLMPHGFFNCSTAVDVPPNTCDLDLKDNGMTAKPIQNGLLAKL >ONI29308 pep chromosome:Prunus_persica_NCBIv2:G1:17838341:17839225:-1 gene:PRUPE_1G192300 transcript:ONI29308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAKRLLSKKREEKVWPILLIVGIFACMFILWYLMALQQRLLDMRFPEFRLDSVVVSPCPLTPSLAVAASAGGSSNNSNWYLTATWDLSLVFINPNHIMGVSYNSFRAGLLYGDKEKKDKLILAMTPLPLPPLNKMSQTTINFSLAMVRSYVGEDMANELRMGGGSGGCYGAARLGVKLFGELTFTVPPQGSFSRDLWSQQSARTTGIFCGLFPPHYNGTDQGGECEGFYSQTPCRC >ONI31828 pep chromosome:Prunus_persica_NCBIv2:G1:31533609:31536496:1 gene:PRUPE_1G333000 transcript:ONI31828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSLRFLTHQCFFSAVRSGDLGSVRQVVDKLTKDEPSNGSLVSDLMAMQNDAGETALYVAAENNLEEVFSYLLQFCNIEIAKIRSKHDMNAFHVAAKRGYLGIVKALLAIWPELCKLCDSSNTSPLYSAAAQDHLEVVNTILDADVSSMRIVRKNGKTALHTTARYGHLRTGKELIDRDPGIVCIKDKKGQTALHMAVKGQCTPIVEEILLADHSILNERDKKGNTAVHIATRKCRPQIVSVLLSYRTIDVNAINNHYETAKDLADTLPYGESTLEIKEVLAEAGAKYARHVGQVDEAMELKRTVSDIKHEVQSQLIQNETTRRRVSGIAKELKKLHREAVQNTNNSITVVAVLLRPEAGTANIADSVGFKVFYLLNATSLFMSLAVVVVQITLVAWETGAQKQVVSVVNKLMWAACACTCGAFLAIAFVVVGEGSSWMAITITVMGVPMLVGTLASMCYFVFRQHFGGFRRDSQRSIKRASGSKSFSWSVYSANISDLDDDYNSDLEKIYAL >ONI29074 pep chromosome:Prunus_persica_NCBIv2:G1:15475994:15478096:1 gene:PRUPE_1G179400 transcript:ONI29074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDREHIVMLPMIAKGHLIPFLALAKQIQQKTGFTITIATTPLNIQYLQATISSTSSSSNTQSHSNNFDINLAELPFCSSDFGLPQDTESTENLSLKQIGDLCAATVNLEVPARRLILDIIEKEGRPPLCIISDMFFGWATNLAKSSGTVNVTFTTSGAYGSAAFMSIWLNLPHRSTALCDGYFTLPGFPDQSRFHISQLHHYIKAANGTDSWSKFYQSQFSLSTKSLGWLCNTAEEIEPLGLEILRHYFRLPVWSIGPLIPKDALKNSSTSDLRVSRQPAEKCMEWLDSYGSDSVVYISFGSQNTISETQMMELAIGLEESGRAFIWVIRPPVGYDMKGEFRAEWLPRGFEDRMCKSKKGLLVHNWAPQLEILSHKSTGAFVSHCGWNSVLESLSQGVPIIGWPLAAEQAFNSKMLVEEMGVSVELTRGVESVIVGKEVKRLIDLVMDKSGEGGEMREKAGAIKAQIRAAIREEAEFKGSSAKKMDDFLETILSARQEHKSIIADVKGKESAPYTAD >ONI27235 pep chromosome:Prunus_persica_NCBIv2:G1:5401468:5407085:1 gene:PRUPE_1G075400 transcript:ONI27235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQSSLPSSIEAALFCVSNHGLQDVLCNWVPGAETWQMCTKCDSHCSCRKAQLNSKENKNTDDLPSLLFPRSSSQLSAVSIMSESSAPNLVYKRRKLRGNSVTIFSEDRGNTRTGDYLSFVNFNVPSEDRENTRTGKKQLVDSHIEHETKATRASPHLCNRESHVLNSESFNGCSVGEELISDEAPKNNVQKVLEVNSVNDSCSSSKSNMEHLSAVRIMSESSAPNLVYKRKKLGGNSVTIFSEDWENTRTGDYRSFVDFIVPSIAAKKQLVDLHIEHETKATRASAHLCNRESHVLSSESFNGCSIGEELVSDEALKNNVRKVLEVNSVNDSCSSSKSNMEHASTSMKTEVDENGECSSSSVIVMEAVGDLSEKDLCISILRSHGLLGDVQATRICRSAEDTGTSSSDSCHRSCKICSRAGTALKMLICDNCEEAFHMSCCHPRIKKVPFDEWFCHSCLRKKQILKEKVARKSPNITSVMCRNASSKGQVNPILLMLRDNEPYATSVRFGKGFQAEVPDWSGPINDDIDGIGEPLELDSSEYVRLNELNCNKPSRVSSIGNWLQCREVVDSANGTICGKWRRAPLFEVQTDDWECFCSILWDPSHADCNAPQELGTDQVLKQLKYIETLRPRLSAKRHTLDGTKSTGDLQNPITDAKSIQTL >ONI28204 pep chromosome:Prunus_persica_NCBIv2:G1:10229868:10235172:-1 gene:PRUPE_1G130900 transcript:ONI28204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFASSSAETKPTSTTTISDDSKSGRGMSTMPRVVKRKLQKLRPIVEYNKRGKGIGQAHSEMQSYIGVLARSRVPLVDMKWAQIPKDIKEQIWKAVDIAFVVGQGGKSSVLASAAKKWKDFKSTLTRHYILPYTNDRERLSQPPETYKFIEKAQWDAFVASRLSKDFESVHSQHAQIREKLEYNHRLSRKGYAGLEEETMPWDELQKQVSEGKVTVSGSNDVLTMALDPEHPGRVRGVGAGISPRQYFNLPKPQRVSSDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSQHFEDDTAKNGKHQEEKTTELVIPAVIDEEKREEKQDEKEKEDDDQDKTLHFTIDKEVFGLERDTFLLPEDITQFAGMEEIGATVIAVYMRYLHDVLKRANMCSMVGFIDPATVSASSGTIADRSRLLAARLQRTDGEQIFLMPYNPGRHWILLIVRAKRETVYFLDSLPGNRVVDEEAKNIVNSAIKIYNSHIARPGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLGFEKKAYDDDYTTVYEKTVVVLLIHDDFFINRRLYYLY >ONI28165 pep chromosome:Prunus_persica_NCBIv2:G1:10014969:10015956:1 gene:PRUPE_1G127900 transcript:ONI28165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYSDESTSVIPPPRLFKALVLEADTLIPKIAPQSVKSAEIVEGDGGVGTIKKISFGEGSHYSYVKHQIDGLDKDNFVYNYSLVEGDALSDKVEKISYEIKLVASADGGSVIKSTSNYHTKGDVEIKEEDVKAGKEKATGLFKLIENYLVANPDACN >ONI26045 pep chromosome:Prunus_persica_NCBIv2:G1:130255:133073:-1 gene:PRUPE_1G000900 transcript:ONI26045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVTTMLLLWKFSFFHKLSWEYFFQNLMCQTRPRLQFSHLVQFFMQPQRWVLQLCPIPYHDLQLSDVSQ >ONI27884 pep chromosome:Prunus_persica_NCBIv2:G1:8738878:8742946:-1 gene:PRUPE_1G109500 transcript:ONI27884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLLGRSVITQLQENPKESSSVWKRKEETRKDWRKEENMAVETEAVTVPELALADTDINWDRLDKTRFHIIGAILFTAQSALLHPTSVVKTRMQVAGSGFSHMGGISVFRHILKSDGIPGIFRGFGTSAIGSLPGRVLALTSLEVSKDMMLKYIEDLDMPEATRIGIANAVAGMFSNLVSCVYYVPLDVICQRLMVQGLPGTTSCNRPFDVIHKVIKAEGLRGLYRGFGLTAVTQSPASALWWGAYGSAQHIIWRSLGYTDDAEKKPSHMEMVTVQAAAGMMAGACSSIITTPIDTVKTRLQVMDNYGVGRPSVLKTAKALLKEDGWRGFYRGFGPRFLNMSLYGTTMIVTYELIKRLSVRRE >ONI27885 pep chromosome:Prunus_persica_NCBIv2:G1:8738905:8742930:-1 gene:PRUPE_1G109500 transcript:ONI27885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETEAVTVPELALADTDINWDRLDKTRFHIIGAILFTAQSALLHPTSVVKTRMQVAGSGFSHMGGISVFRHILKSDGIPGIFRGFGTSAIGSLPGRVLALTSLEVSKDMMLKYIEDLDMPEATRIGIANAVAGMFSNLVSCVYYVPLDVICQRLMVQGLPGTTSCNRPFDVIHKVIKAEGLRGLYRGFGLTAVTQSPASALWWGAYGSAQHIIWRSLGYTDDAEKKPSHMEMVTVQAAAGMMAGACSSIITTPIDTVKTRLQVMDNYGVGRPSVLKTAKALLKEDGWRGFYRGFGPRFLNMSLYGTTMIVTYELIKRLSVRRE >ONI27931 pep chromosome:Prunus_persica_NCBIv2:G1:8920296:8922733:1 gene:PRUPE_1G111700 transcript:ONI27931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVLGGGRGCNNSSSASATIENDIHEEAEDQMDLRRGPWTVEEDLALMNYIANHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAAAAASTAATNSSITSATAAGATSHHFNNNNNNNNTFQSSSGQMLLQPSHHFGASSHSHVTPSYTPDNSSTAASSDSFGAQVSPVSDLTDYYTPTTTATTTISVNNNPTPDNYLVQANHQVGCYDQCFNPGLGSEHFQGMEQQENYQWGVDGGDISDNLWNVEDMWFLQEQLSNI >ONI29942 pep chromosome:Prunus_persica_NCBIv2:G1:23749662:23754532:1 gene:PRUPE_1G223600 transcript:ONI29942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCEAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPETNVSAREALELSSQQEYLKLKARYEALQRNQRNLLGEDLGPLSSKELESLERQLDMSLKQIRSTRTQCMLDQLTDLQRKEHMLNEANKTLKQRLFEGYHVNSLQMNPNADEYGRQQTQAHGDGFFHPLDCEPTLQIGYQNDPISVVTAGPSVSNYMAGWLP >ONI31540 pep chromosome:Prunus_persica_NCBIv2:G1:30625432:30626084:1 gene:PRUPE_1G318800 transcript:ONI31540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEWESGKRMDLFGWFKGNKFLSYSTHSCNFLRFLPFPFHFLLEFLVETEGVEVQLIVEMFAKCCVGEEEQVIEGGGTCVQVIARFC >ONI35662 pep chromosome:Prunus_persica_NCBIv2:G1:44862457:44865088:-1 gene:PRUPE_1G548600 transcript:ONI35662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQPPTIPLLTPYKMGKFNLSHRIVLAPLTRQRSYNNIPQPHAILYYSQRTSQGGLLIAEASGVSDTAQGYPDMPGLWTREQVEAWKPIVDAVHAKGGVFFCQIWHAGRVSNSGFQPNGQAPISCTDKPLPPQLLTNGVDIAQYTPPRRLKTDEIPQIVNDFRLAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNDRTDEYGGSLENRCRFALEIVEAVVNEIGAEKVGIRLSPFADYMESADSDPKALGLYMSKSLNKYGILYCHMVEPRMKTAGDNSETPDSLLPMREAFKGTFIAAGGFDREDGNNAVAEGRADLVAYGRFFLANPDLPKRFELNAPLNKYNRETFYTSDPVIGYTDYPFLETTA >ONI29221 pep chromosome:Prunus_persica_NCBIv2:G1:17215910:17219581:1 gene:PRUPE_1G188000 transcript:ONI29221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDRKKIGLGLTGFGIFFSCLGIVFFFDKGLLAMGNILFFSGVTLTIGFKPTMQFFMKRQNYKGTISFSLGFFCVIIGWPIVGMLLEGYGFIVLFSGFWPTLAVFLQKIPVLGWLFQQPYIRSFFDRYRGRRVPV >ONI30895 pep chromosome:Prunus_persica_NCBIv2:G1:28368920:28370081:-1 gene:PRUPE_1G280100 transcript:ONI30895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYTENSPRMRLDHSKKEGSEETHLLRFELEPTGNDHRFHSMNALEILRETVRILRYNSWGFLAIAALLICPLSALLLSNLLVDQSLVKRLTIRMLLIAKSSGLPLRDFISHSCQRFAEMAISSTMCFPLYITLSLLSKAAVVYSVYCSYSLKKFDVSQFYLIMCKIWRRLLSTYVWMCMVVVGCLILFLILLLAVCNTCSIFGLSPPHIVYAAMVVGLIFSVIFANAIIICNIAIVISVLEDVSGPEALVQSGVLIKGQTQVGLLIFLGSTIGMAFVEGLFEHRVKTLSYGDGSSRIWEGPLLVIMYSFVVLIDSMMSAVFYFSCRSFKMETSLDSESHSILETINFSAESVGIQ >ONI26504 pep chromosome:Prunus_persica_NCBIv2:G1:2080309:2081933:1 gene:PRUPE_1G029200 transcript:ONI26504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNESLDQILLWAIAFVLIVYLLTYKKPTGETDRNNAQAYMYPIRLTISESSAILRQFIFDNFDVPLNWIINTYTRTPTYPRNFDELRGSKLFLFFSSLDISEDHILLIKYVNERIQRERRDLYKTVWIPIAENLNWEAHRVDNYLLGIARRLSCYTARPHPACIRNIKDTYRLHNRNNYLVLVEMDQRGHANEYDPDTTIVRERMARFGFRN >ONI32762 pep chromosome:Prunus_persica_NCBIv2:G1:34483319:34484206:1 gene:PRUPE_1G384400 transcript:ONI32762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIMHRESKLSKWFSNSKNFKLTLPFLRSKPRSQSPCPTQNQNSSIAKDDELAKVFDHFDTNRDGKISCDELQAYFMSIGESMSNAEAQSVINEFDNDGDNLLEFEDFVKLMGREGDADDDDLKGAFEMFEVDKGCGCITPKGLQNMFNRLGEARSYDECVSMIGVFDLDGNGVLDFNEFLKMMIST >ONI30206 pep chromosome:Prunus_persica_NCBIv2:G1:25267460:25268926:1 gene:PRUPE_1G237900 transcript:ONI30206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHKTDDNLRYIGGLTRVLVVDASISFAELMVKLTELCGYSVELRCQLPDEGVQNADAGDLLFANADDDDFSIAKGAAASSSSLRRPLKKLFFEERDTPNSAKSFYNSEDGLRRKDARSVKIKRSKDAVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVRTCEEEI >ONI26957 pep chromosome:Prunus_persica_NCBIv2:G1:4135174:4135656:-1 gene:PRUPE_1G058200 transcript:ONI26957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNPLLSKHVFLLVLCIAVWNLPIISARNQATLASDPPLTTVHINNNLPIALEYFTIHCKTNTEDLGVYEIACNTAYDWSFRFGGVTLLTCAINFRRYPQGRLEDVFGVFDMYVADRDKSRCPTNCIWNVTEGGVYGYRDYNVGDSDISLEWPKPPPQMS >ONI34854 pep chromosome:Prunus_persica_NCBIv2:G1:41551821:41553302:-1 gene:PRUPE_1G502300 transcript:ONI34854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERVQALAISGLNELPAKFVRPAHEQPENSKALEGVTVPVISLAQPHDVVVKEVAAAATAWGFFLITDHGIPSPLIQQLQKVGHEFFLLPQQEKEAYANDPANGRFDGYGTKMTKNHDEKVEWIDYFFHLTAPPSKVNYEIWPKNPPSYREVNDQYNKEMLRVTDELLEVLSEGLGLEAKVLKSHVGNEEVELEMKINMYPPCPQPQLALGVEPHTDMSALTLLVSNDVPGLQLWEDDNWVAVNYLSNAVFVHIGDQMEVLSNGKYKSVLHRSLVNKERMRMSWAVFVAPPHEAVIGPLPELLDEKNPAKYSTKTYAEYRHRKFNKLPQ >ONI29619 pep chromosome:Prunus_persica_NCBIv2:G1:20806794:20808769:1 gene:PRUPE_1G205900 transcript:ONI29619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAHTELALFWTEPAIEKYTLHFLDLFHHSIRPDHENCFSTLPWQEPRGKQIQLVMSIQELKQAGVKLKADTNRQPLDISFSSTLRGNVLTIPPIHIDDHRGTSFRTLLALNMSPALPPRSLPPRCYKLFVFLDDLINSSKDVGLLYYHRVLQHSLGSNRMVAKLVNKRQGILVHHYLSSWVVGVSSLGAILALYLALIQTGCGVAGARRDLGHEFSFLSFLKKNKNMQITYRP >ONI30981 pep chromosome:Prunus_persica_NCBIv2:G1:28676971:28679921:1 gene:PRUPE_1G286200 transcript:ONI30981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVYIVILLALTGHSSATYCICKDGVGDSALQKALDYACGAGADCSPILQSGACYQPNTIKDHCNYAVNSYFQRKGQTAQSCDFAGAATQSPTAPTSSSTSTCVYPSSTSQAGTGSTNSTTTPSTTPSTTPTTGTTPTTGTTPTTGTTPTTGTTSPSSVFGISPTGSGSLTDNTGGVASMKGTNCLFCSLTSTLLFSVFLLLWG >ONI27088 pep chromosome:Prunus_persica_NCBIv2:G1:4817521:4822628:-1 gene:PRUPE_1G067500 transcript:ONI27088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSNGNKATQIFWSIVSFLRRCLFLVISVRPIPHHIAFIMDGNQRYAKKRKLKEGDGHRVGFLALMSMLKFCYELGVRYVTIYAFSIDNFKRDPEEVQSLMDLIQEKIEGLIKEESIVNRYGIKVHFIGNLKLLSEPVRLAAERAMEATANNSRGVLSICIAYTSTDEIVHAVQESCEEKSDEISVMNASGAWYGLLQLGGSEKEERENIVKLTDIENHMYMAVAPDPDILIRTSGETRLSNFLLWQSAHCYLYSPSVLWPEIGFRHFAWAILTFQRSYFYLDRKRKQS >ONI30857 pep chromosome:Prunus_persica_NCBIv2:G1:28214649:28216118:-1 gene:PRUPE_1G277500 transcript:ONI30857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKHSSELPPGFRFHPTDEELIMFYLKNQATSRPCPVSIIPEVDLYKFDPWQLPEKAEFGENEWYFFTPRDRKYPNGVRPNRATVSGYWKATGTDKAIYSGTKYVGVKKALVFYQGKPPKGVKSDWIMHEYRLSDSRKQANKQLGSMRLDDWVLCRIYKKRHLGKAYLDQKVEEEEPITDPKIEITAANNHEEQMMLKFPRTCSITSLLEMDYLAPISQLLSENSSYDFQNNLASAGNAGHAQMFQFGEMPYQSTTDSAKFQVTQSCPSNQPWFANPVYNLNGLDRN >ONI28169 pep chromosome:Prunus_persica_NCBIv2:G1:10025340:10026221:1 gene:PRUPE_1G128300 transcript:ONI28169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYATEFTSVVPPARLFKALVLDADNLVPKIAPQAIKSAEIVEGDGGVGTIKKTSFGEGSEYSYVKHQVDALDKDNFVYNYSLIEGDALSDKIEKISYEIKLVASADGGSVIKNTSSYHTKGDVEIKEEHVKAGKEKAHALFKIIETYLVANPDAYN >ONI30118 pep chromosome:Prunus_persica_NCBIv2:G1:24695563:24704327:1 gene:PRUPE_1G232100 transcript:ONI30118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPILQFEETIMKAVEENTVVVIIGETGSGKSTQLSQILHRRGYTKSGIVGVTQPRRVAAVSVARRVSQELGVRLGDEVGYAIRFEDRTSERTRIKYLTDGVLLRESLSNPELDEYSVVILDEAHERSLNTDILLGLMKRLVKRRASNFKVLITSATLDDEKVSQFFSECPVVRVPGKLFPVEIVYSHERPVSYLESSLATALDIHMKEGEGDVLIFMTGQDDIDKLVSKLEDRVRSLEEGSCMDAIILPLHGSLQPEMQVRVFGPRPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSTGMYSLDVVQISKVQAHQRAGRAGRTRPGKCYRLYPSAVYEDEFLDVTIPEIQRSSLAGSVLYLKSLDLPDIDILKFDFLDSPSPESLQDALKQLYLIDAIDENGLITRIGKTMAELPLEPSLSRTLMEANEHGCLSQALTVAAMLSAETTLLPGRSKNTEKKRKHDYLDLPDGSGWGDHIQLLQIYECWHQTNYDIDWCKDYQLQVRGMKFVKDVRRQLSQIMQKIAKGPLDVQTSRRWKESQQDYHNLRRALCVGYANQLAERMVYHNGYRTLGFKPQVVQVHPSSVLKPDDEGKLPDYVVYQELIATSRPYLRTVCAVNIAWVTPILDKVNKININKLSGGIGRIEEHTEENMSDLPKTDNVVAAVPDDHESKIQAARERFLARKGKK >ONI30119 pep chromosome:Prunus_persica_NCBIv2:G1:24695596:24704258:1 gene:PRUPE_1G232100 transcript:ONI30119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPILQFEETIMKAVEENTVVVIIGETGSGKSTQLSQILHRRGYTKSGIVGVTQPRRVAAVSVARRVSQELGVRLGDEVGYAIRFEDRTSERTRIKYLTDGVLLRESLSNPELDEYSVVILDEAHERSLNTDILLGLMKRLVKRRASNFKVLITSATLDDEKVSQFFSECPVVRVPGKLFPVEIVYSHERPVSYLESSLATALDIHMKEGEGDVLIFMTGQDDIDKLVSKLEDRVRSLEEGSCMDAIILPLHGSLQPEMQVRVFGPRPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSTGMYSLDVVQISKVQAHQRAGRAGRTRPGKCYRLYPSAVYEDEFLDVTIPEIQRSSLAGSVLYLKSLDLPDIDILKFDFLDSPSPESLQDALKQLYLIDAIDENGLITRIGKTMAELPLEPSLSRTLMEANEHGCLSQALTVAAMLSAETTLLPGRSKNTEKKRKHDYLDLPDGSGWGDHIQLLQIYECWHQTNYDIDWCKDYQLQVRGMKFVKDVRRQLSQIMQKIAKGPLDVQTSRRWKESQQDYHNLRRALCVGYANQLAERMVYHNGYRTLGFKPQVVQVHPSSVLKPDDEGKLPDYVVYQELIATSRPYLRTVCAVNIAWVTPILDKVNKININKLSGGIGRIEEHTEENMSDLPKTDNVVAAVPDDHESKIQAARERFLARKGKK >ONI26363 pep chromosome:Prunus_persica_NCBIv2:G1:1427220:1430138:1 gene:PRUPE_1G020200 transcript:ONI26363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFLCAPLAILLAIQSVSADPLGSLLAPIFSPILDDVCKQVECGKGTCKPSSNSTFLFECECEPGWKQTSSNHTDHLKFLPCVIPQCNLNYSCTKTPAPEPSKANDSSIFDPCFWSYCGRGSCNKTSKFTYNCECAEGYYNLLNVTALPCFEDCAIGMDCANLGISVSNKSAASAPPLADNAKNQASSMVQVNSPTLVILMLFAAMLHWK >ONI28916 pep chromosome:Prunus_persica_NCBIv2:G1:13733550:13734767:-1 gene:PRUPE_1G168600 transcript:ONI28916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAWITNDSARKTSFRKRKEGLLKKLSELSILCDVSGFAIIYGPDDKEPVVWPSRPIAEELLARFQRIPEVDRCKKMMNQETYLNDRLAKLKEQLTKTHKKNKEMEMNIIMSQIQEGKPLNEFGTCELTCLVLFLEEKIKEIWKRIKYLGHEANLPLGAFSPHEGGDAENTTVPDEWNPTESLYNYFSKENGKQSQNMSVVTASISNVMGNMGLPPHASFGNTSGINVSKEMRLLSENFGMSRSSDIGFMYGNIKGDMSNVGSDFGTSFGRFGDRKYRNDIEWSYENLGASSDGSGIGLPHVNNGLLQTHYGNVNGSSSGNVRNDAEDIGGINGGYDNIEGHIPHPSNITGGFGSNVGVPHGAGSDTGLPNGLSGESNAGSDAGVLNDISKTRKRSFSP >ONI27012 pep chromosome:Prunus_persica_NCBIv2:G1:4472092:4475029:-1 gene:PRUPE_1G063000 transcript:ONI27012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEANRAAFFEIQGRMIELTAKLKQVQTQMRNKEGEKKRAFLTLEELRPLSDDANTYKSIGRTFVLEPKSVLVNEQEQKLKDSESAIASLQISKEYIEKQVAEVENNLRELLNQDPGLARQIMSMSVM >ONI30532 pep chromosome:Prunus_persica_NCBIv2:G1:26652367:26657380:1 gene:PRUPE_1G256200 transcript:ONI30532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCTNPFDLASGGLLDIPCTPRALPRVMTVPGIISDVDSYSNDDGDSDSTSSVYRERKIVVANMLPLHAKKDPETDKWRFSLDEDSILLQSRDGFSSETEVVYVGSLKAEIDISEQDEVAQKLLEEFNCVPTFLPSDLQKKFYLGFCKQQLWPLFHYMLPMCPDHGDRFDRSLWQAYVSANKIFADKVMEVINPEDDCVWVHDYHLMVLPTFLRKRYYRVKLGFFLHSPFPSSEIYRTMPVRDEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGVHMGRLESAMNLPNTTSKIKEIQEQFKGKKMILGIDDMDIFKGISLKFLALEQLLQQNPELQGKIVLVQIVNPARGSGKDVQEAKSETYLTARRINEVYGSPTYEPVVLIDRPVPRYEKTAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGTPLINEALGITEDSPQTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVADALNLAITMPKSEKQLRHEKHYRYVSSHDVAYWARSFAQDLDRACRDHYSKRCWGIGLGLRFRVVSLSPNFRKLSIDHIVSAYKRTNRRAIFLDYDGTVIPEASIIKAPSPEVLSLMNSLCKDPKNTVFIVSGRGRTSLSDWFASCETLGIAAEHGYFLRWNRSSEWETSPVGADLDWKEIVEPVMRLYTEATDGSNIETKESALVWHHQDADPDFGSCQAKELLDHLENVLSNEPAVVKRGQHIVEVKPQGVSKGLVAEKILSRMVNDGKAPDFVMCIGDDRSDEDMFESILSTVTSPLLPSPPEIFACTVGRKPSKAKYYLDDASDVVKLLQGLATASSPKPRHLPHIQVSFESIY >ONI33784 pep chromosome:Prunus_persica_NCBIv2:G1:37719167:37722787:1 gene:PRUPE_1G445500 transcript:ONI33784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRPRHREVHIGFTKAETQKMERLLRELGEQVLERQFCQNIAKCFNRSAGRAGKPIVKWTEVQSWLQNRAQELPNVSKNISEQQGIRPLNKALGSSEIPKEEKTGNISELEFEARSSKDGAWYDVESFLAHRSLSSGETEVRVRFVGFGAEEDEWVNVKRAVRERSVPLEHSECQNLKVGDLVLCFQERRDQAIYYDAHIIEIQRKMHDIRGCRCLFSIRYNHDNIEASIVSSFFFFFFFKFCLQVCNC >ONI33783 pep chromosome:Prunus_persica_NCBIv2:G1:37719167:37722787:1 gene:PRUPE_1G445500 transcript:ONI33783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRPRHREVHIGFTKAETQKMERLLRELGEQVLERQFCQNIAKCFNRSAGRAGKPIVKWTEVQSWLQNRAQELPNVSKNISEQQGIRPLNKALGSSEIPKEEKTGNISELEFEARSSKDGAWYDVESFLAHRSLSSGETEVRVRFVGFGAEEDEWVNVKRAVRERSVPLEHSECQNLKVGDLVLCFQERRDQAIYYDAHIIEIQRKMHDIRGCRCLFSIRYNHDNIEERVRLRRLCRRPTH >ONI35372 pep chromosome:Prunus_persica_NCBIv2:G1:43510865:43516676:1 gene:PRUPE_1G532100 transcript:ONI35372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGNRHSKQIIDASNSDDSEKKIHQLEIENKAYQKEVEELRYKLANVSSTSGDNSAQKLREDYIQKLTFLEDQVTVLTRKLDAQSQLSTQRRRGDESTKQFHFEIQRLKAQKVQLQCKMKLESVQFRLHKASLEKEVLQLKKDSRKNEHEMQNLLDSNQRLKKVLHRKNMEAFMATKRLRQLLESRKALLHKKDGARNGKIAALEGIEHELDDTTQLHELCSQYERQIEEMAEEAANLKDEAEARQQEMSRCPCQEKEVDCLEKDLGIKDLKEQFVSLSSLVGQLRLNKAEIDHGNKSQGIMSQHSVSVGSNSCKLGVDCTSVSENSNAIKVKTASTVCCSCSKYSLCKTMKCRCRSTGGSCGASCGCAASKCSNREAAAPIKSDDSPQSETPDGGLNGSNVVETEKSSIVASQGAMLLQSALVEKPAESNDNLGPRKKPLSDIGNILVNANGTKPGQRKKGRKPAIRLVTVDPTSSLPVNNEGLNEAEDGM >ONI30718 pep chromosome:Prunus_persica_NCBIv2:G1:27621000:27624505:-1 gene:PRUPE_1G269000 transcript:ONI30718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLCCLGLAPQWREKSVRFLLFRHSKRRACSSSSFVPRITSSSTKATQLGYDPSEDFFGLEANLKPRNATLGARKPRSWFGPNGQYIRELPCPSCRGRGYTPCSECGIERSRSDCSQCVGKGIMTCRQCSGDCVIWEESIDERPWEKARSISPLKMKEDDEVDNLDIKLDVKKKSKRVYQSPPPEVGLKISRSLKSLNAKTGLFSKRMKIIHRDPMLHAQRVAAIKKAKGTAAARKRASEALKVFFSDPENRRKRSIAMKGVKFYCRHCGREGHRRHYCPELKSSLINRQFVCGICGEKGHNRRTCPKSRLGSHKSAVRRHHHCKICGQRGHNSRTCPKLLGVKSSVDIKKGFHSSGRRGAYKCKLCQQNGHNSRTCPNKIVSS >ONI29713 pep chromosome:Prunus_persica_NCBIv2:G1:22377022:22378851:1 gene:PRUPE_1G210200 transcript:ONI29713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAQLALALLCLVAAWMPLVCLSLLKGWSWSRTLCSWRLTFPTLSFSSHRHREISSESVSRPRLTATTFSGQCCTHPHHEQECSELNEDDVKVLVSHIDETDGGPPWKLMMERSTPTLTYQAWYRDPPLGPTQYRTRTVFENVSPELLRNFFWDDEFRPQWDNTLIHFQTLRVCPKTGSMTVHWIRKLPLFCSDREYVITRRIWESDSGYYCLTKGTPYPPLPRSKRPRRVDHYYSSWHIKSGENETKEWLKRKDKPRRISTNGEIKQTKGVWRRCPLQVQPLDGGCGIDCYR >ONI31914 pep chromosome:Prunus_persica_NCBIv2:G1:31899030:31902963:-1 gene:PRUPE_1G338800 transcript:ONI31914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESINPVQLFLHLSVILVLIDLVSVSTAEQSVSSIKTDAEALLTFKKMIQKDPNGVLRDWQLGRNPCTWYGVTCSMGRATQLDLTGCYLVGTISFDPLASLDMLSVLKLPTNSFSVNSTSLLQLPYALKQLDLSFNGLFGVVPENLFSKCPNLVFVNLAFNNLTGPLPKDLLLNSDKLQTLDLSYNNLTGPISGLQIEKYSCPSLLQLDLSGNRITGSIPMSLANCTSLKTMSLSSNNVTGEIPRSFGQLTSLQRLDLSHNQITGWIPPELGNACTSLVELKLSYNNFTGPIPATFSSCSVLELLDLSNNNLTGPLPDSIFQNLSSLESLLLSNNIITGSLPGSISACKSLQVIDLSSNKISGVIPPDICPGASSLQELRMPDNLIVGEIPAQLSQCSQLKTIDFSLNYLNGSIPAELGKLENLQQLIAWYNGLEGKIPPDLGNCRNLKDLILNNNRLTGEIPVELFRCSNLEWISLTSNKLSGEIPKEFGLLTRLAVLQLGNNSLGGQIPGELANCSSLVWLDLNSNRLTGEIPPRLGRQLGAKSLSGILSGNTLVFVRNIGNSCKGVGGLLEFAGIRPERLQQDPTLKTCDFTRLYSGAVLSLFTKYQTLEYLDLSYNQLRGKIPEEMGDMIALQVLELSHNQLSGEIPASLGKLKDLGVFDASHNRLQGHIPDSFSNLSFLVQIDLSSNELTGEIPTRGQLSTLPATQYANNPGLCGVPLPECQSSNDQPATTPSDQDAGKGRRRPSVASWANSIVLGVLISLASVCVLIVWAIAMRTRRKEAKEVKMLNRLQASHAATTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSADSLIGCGGFGEVFKATLKDGTSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKIGEERLLVYEYMEYGSLEEMLHGRTKTRDRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDNEMEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELVTGKRPTDKEDFGDTNLVGWAKMKVREGKQMEVIDVELLSVTKGTDEAEAEEVKEMVRYLEITLQCVDDFPSKRPNMLQVVAMLRELMPGSTNGSSNSA >ONI26932 pep chromosome:Prunus_persica_NCBIv2:G1:3985495:3987120:-1 gene:PRUPE_1G056100 transcript:ONI26932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVKLHGVWNSPFSCRVIWVLKLKGIPYDYIEEDLQNKSPELLKYNPVHKKIPVLVHGVRPICESMIIVEYIEETWPQKYPLLPTDPYERAMARFWVKYFEEKTIAIWMVFRTTGEEQEKFKKETLEMLRTIEEHTSTLGKKKFFGGDKIGIVDIAFGWISQWFEVVEDVVGVKLFEAHAFPCLHAWTQNFKQVPLIKENLPDRDKMLVLFKRARENLFAS >ONI31726 pep chromosome:Prunus_persica_NCBIv2:G1:31079419:31082472:1 gene:PRUPE_1G326800 transcript:ONI31726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGNLSPDQLHSFNSQGYFVIESFASAEDIDAMRKRMDQLLHDFDCSASASIFSTKNQQQSTDNYFYESVEKISFFFEEKAFGNDGELQQPKELSINKVGHALHELDPVFKGFSYSEKVSSLFSSLGYKRPIIIQSMYIFKQPGIGGEVVPHQDNSFLYTEPPTCTGLWLALEDATITNGCLWAIPGSQKNGLVRRFIRGEGGVTFDRPSPEYDQKDFVPIEVKAGSLVVIHGDLIHQSFENQSSKSRHAYSLHVVDTDGCKWAQDNWIRRKVEAEPLYVSSSDLKFDL >ONI30676 pep chromosome:Prunus_persica_NCBIv2:G1:27392057:27393025:1 gene:PRUPE_1G266000 transcript:ONI30676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSWIDLTDRSSNEYLNGLESFLDFAFDNSMGDTRIYCPCKKCYNRYFVTREVARAHIIVDGFWSKYKNWTHVVDDDIIGMVHEAFEHPNLDSSMRNDGSTENEYGEGPNDETTNYFKLLQDAECPLYPGCKNFTKLSFIVRLLHTKVLCGWTDKSVTILLTLLKEAFPNEVQLPDSYNEAQKITDDLAFTYNTWDACPNNCMLFRNEDEKLESCAICHESRYKKVDGQPTNVVNGNRKIPAKQVRYFPLKPRLQRLFMSSKTASHMRWHAEERTDDGVLRHPADSPAWKEFDAKNPDFSSEV >ONI27182 pep chromosome:Prunus_persica_NCBIv2:G1:5210551:5212546:-1 gene:PRUPE_1G072900 transcript:ONI27182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNLTSVINLCMEQNMFSESIPLSLANCQKLQLLNLPSNNLTGTRPKKLFTLSSLTISLSMSNNFLTGSLPSEVGDLVNLAELDVSGNKLSGEIPATLDSCTILERLHLNNEFEGTIPESPYSFKRLGRDVSRNNLSGKIPDFLGKFRALNHLNLSYNDFEGELPKEGIVSNASGLSVLGNHRLCGGIPQLLLPACLRKKPHSSQGILAPKECFIATCLMVKKLNIAIDVDSALDYLHNHCETSIVHCDLKPSNVLLDEDIVAHVGDFGLARFLLEASNTQSQSQTMSARLRGSIGYIPPVALSLLVEKVNANAADDRCGDNIRARPSTSYQDGYPVQARRLEECLVSVMQLGLSCSAISPTERMLMNVVVNQMKAIRDSYHNLRRQS >ONI30933 pep chromosome:Prunus_persica_NCBIv2:G1:28518402:28519577:1 gene:PRUPE_1G282500 transcript:ONI30933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSAYTSEDYEEDEGQNQYRERKPTTGTRHKAAAKPAYTSAEDYEEEEQEQDQYRQRKPATGTRPKAAAKPAYTSAEDYEEEEQDQDQYRQRKPATGTRPKASSRPSTAYASAEENEDEERDQEDQYRERKHTTGTKPKAAKPSAYTSADDEEDDEDQYGGRKASNVTKAKPGKPSAAYGSAEDYEEEDQDQYREKKAAAGARGYGHPDKHAAAAKPAKPSTAEGYEDEEYQEDEYRERKAHTGTATGAHPDKHASGPKPAKGAKPSTSELMSSAKIIAGAAKGEKVDKAKVCDAASNILDAASSYGGLNEKEGLGSYVSKAEDMLRKYGSGGSGGGSGGDTAGKEKKQHESGSGEHETKKKPSKTDEDEGGSGGFGDYFKMAQGFLKG >ONI32403 pep chromosome:Prunus_persica_NCBIv2:G1:33560277:33563267:-1 gene:PRUPE_1G365900 transcript:ONI32403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >ONI33164 pep chromosome:Prunus_persica_NCBIv2:G1:35806972:35808789:1 gene:PRUPE_1G409200 transcript:ONI33164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELEANCMQMALILECEGDNNRLQEVGGLIKDAAAAELNLKSRIEEAEKLLAKIRQHKLELAEDIAQRVCDRRCTLLPRLDCLICYGRGLEHCLTLEREKMNILQLFLLRFTDKSNQRKPIKPCLPGEEIAKRKLNFRMGHGTRSLAKEKQLLKQINVSQKGGVCLFSSLEEEYHKLPEYYSWWHYERWYQTNQRNIVQYRLRQIQQLKWQRESLAVLLQGQWDEAIAKASGNANANANAAANAIAKGKIWDSLGSKKSLLEQIKVTGKAIDGLTKLLLAVRPKINQVENELKVAEKDIETLQKKLPWIDQKKDEAHQCIHKLKKQQSEEI >ONI34457 pep chromosome:Prunus_persica_NCBIv2:G1:40306165:40307713:1 gene:PRUPE_1G483400 transcript:ONI34457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAEQQVENQHLLPLLEALKKASKDLQSNPISIIYNNYDISTTIEALLELGTKADPVISIDPSLFKLNQLLSNLKTLFEKLEKLQGYGLRSLLHRQITNYKISQIGYAMEAEIQAYVDQKNVQSFVKTMEESVEDDKKVKALMGLENRLGQGFDKQYQQLILRAKVFSFLEFMVCDSKFSNWVRDQAALAVLGLVKFNKDVFVGLVLMGPIVRALIQMGSSCSIQVLTGLVKIIRTPLVDDINGEIPRIISLLGSEDLSTKVAAMNCMLEIAFLGREEVIGSMLEEDLIKKLMGLQRLEVGLQSNVEENGSVCVEEREPKMEESVEKFPFSRCVASFAVQVEVGEGLEQSEKRAFKLEILKRVREASVSDAEAATVVAEVLWGSSP >ONI30855 pep chromosome:Prunus_persica_NCBIv2:G1:28210011:28211469:1 gene:PRUPE_1G277300 transcript:ONI30855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTGLFDLEKHFAFYGAYHSNPINIAIHMLFVWPILFTILLILYFTPSLFSFGFTLFGSHVVLVFNIGLLLTLIYSVFYVCLDAKAGSLAALLCVICWFASCFLATQLGFSISWKVVVVAQIVCWTGQFIGHGVFEKRAPALLDNLAQAFLMAPFFVLLEALQSFFGYEPYPGFHAIVQARVDAEINEWQEKKKRLIS >ONI26213 pep chromosome:Prunus_persica_NCBIv2:G1:778038:778621:-1 gene:PRUPE_1G010100 transcript:ONI26213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQRRWEELHIDCLVNIFGRVGLVLLLCDVPFVCKSWEFFSVTVFIMFVISCSNGIVTFLKLPGWCTEEALICPCLNSLSVAEELLYETRCIFPELIKIWRSFLWEAAIIL >ONI28315 pep chromosome:Prunus_persica_NCBIv2:G1:10777019:10781401:1 gene:PRUPE_1G137700 transcript:ONI28315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQESFIYSFVARGTMILAEYTEFTGNFPAIATQCLQKLPSSNNKFTYSCDHHTFNFLVEDGYAYCVVAKDSVGKQISIAFLERMKADFRKRYGGGKADTAIAKSLNKEFGPIMKEHMKYIIDHAEEIEKLLKVKAQVSEVKSIMLENIDKAIDRGENLTVLVDKTETLRSQAQDYRSKGTQMRRKMWYQNMKIKLVVFGILLLLVLVIWVSICHGFDCTN >ONI28314 pep chromosome:Prunus_persica_NCBIv2:G1:10777150:10780966:1 gene:PRUPE_1G137700 transcript:ONI28314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQESFIYSFVARGTMILAEYTEFTGNFPAIATQCLQKLPSSNNKFTYSCDHHTFNFLVEDGYAYCVVAKDSVGKQISIAFLERMKADFRKRYGGGKADTAIAKSLNKEFGPIMKEHMKYIIDHAEEIEKLLKVKAQVSEVKSIMLENIDKAIDRGENLTVLVDKTETLRSQAQDYRSKGTQMRRKMWYQNMKIKLVVFGILLLLVLVIWVSICHGFDCTN >ONI28310 pep chromosome:Prunus_persica_NCBIv2:G1:10763941:10766203:1 gene:PRUPE_1G137500 transcript:ONI28310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGIMIKPEGELKVSFGYQCNSNRNSSCEDSDEYETLPELRRNSSFSCLSGAALSANATLANTNICNGLIGAEILPSWDSPNSFRKVPSSPTLSRLDILSSSLQSSMSNLSCSPSTPDSDSYLLKSMSPSSRSEGFLNAMEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYETIVFYFNLLDWESSQESIKASSGLDLDGSLPYIEDDSNTINEERFLPQIYRDTDSSVDNVSKNAPCAKPKVSTNSFRHGVLDSLERALSQAENDFLYMVEQEMEDRPDLVSVGSCVLVVLLHGKDLYTLNLGDSRAVLATYGDGDFMNGSERLKAIQLTDSHTVDDEGERMRVLCDHPDDPMTIVAGRVKGKLKVTRAFGVGYLKKKKLNDALMGILQVRNLMSPPYVSTQPSLNVHRISKSDCFVVVGSDGLFDFFTNDEAVKLVHSYILSNPSGDPAKFLLEQLVVRAANCAGFSMEELMNIPAGRRRKYHDDVTVIVIILGTNQRTTKASTCV >ONI26737 pep chromosome:Prunus_persica_NCBIv2:G1:3026999:3032825:1 gene:PRUPE_1G042400 transcript:ONI26737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLASVCEALLPALPLNDFCFEGKEEQPTDKAVQSFHQASGAQTPIPDEVAELLVKRAFIEAVVLVRVVLWVLSTRLGTLLLCGSLCFGERWPFILNFSSLPLAKRERLLQKWFKHRFLTPIRLAFVYIKFLCLYIFFSRVDKNAENPIWQAIGYHVDTDDQNTPTAPKERPLQKGIIETIYETDTTLLHSFAEKGLTVRHDPQEKLYKIKCDVVVVGSGCGGGVAAAVLASSGQKVVVLEKGNYYTHSDYSSLEGPSQDQMYESGGIFATIDGKLVIQAGSTVGGGSAVNWSACIRTPDNVLEEWAKDHEIRFFGEPEYVSAMDTVCERIGVTDNCVEEGFQNQVLRKGCENLGLGVDFVPRNSSEKHYCGSCGYGCRKGEKKGTDSTWLLDAVDHGAVILTGCRAEKFVLENIKSGSLRKKKCSGVMGKSLSNNISKKLQIEAKVTISACGALLTPPLMISSGLKNKNIGRNLHLHPVLMAWGYFPESNSEFKGKIYEGGIITSVHKVASVDSKVKAIIETPALGPGAFSSLCPWVSGEDIKKRMLKYGRTCHLISIIRDRGSGIVMKGGRVSYEFEASDKENLEAGLRQALRILIAAGAVEVGTHRSDGLRIKCKGIEKEKLEEFLDMVSAEKGPQSLVENWTTYSSAHQMGSCRMGINEKEGAVDENGESWEAEGLFVCDASVLPSAVGVNPMITIQSTAYCLSKRIAGSLKKEQSSKRIAGSLKKEQSSKVD >ONI33281 pep chromosome:Prunus_persica_NCBIv2:G1:36078616:36081635:-1 gene:PRUPE_1G414600 transcript:ONI33281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLHDHSCEEHDCSSDWSLYKHIDLPKVSALNEAVPGSVKSVFKAWEQRLNSTGEHLESNEGDPELLVYIPFTSDVKIKSISIIGGADGTSPSKMRVFINRDGIDFSDVQGMQAIQEWDLVENFQGVLEYQTRYSKFQNVASITLHFPDSFGGDTTKIEYIGFKGEATQLKRDVVATIVYELRPNPSDHKTKAEGGGFSHVE >ONI35953 pep chromosome:Prunus_persica_NCBIv2:G1:45940157:45941547:-1 gene:PRUPE_1G562300 transcript:ONI35953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGRGSAGVGVVLVMCLSLLLLQCEWAEARSYTVGDAGGWTFNVAGWPKGKSFRAGDVLVFNYASSAHNVVAVNKAGYQTCSSPRAAKVFQTGKDQIKLAKGQNFFICNFPGHCQSGMKIAITAA >ONI31708 pep chromosome:Prunus_persica_NCBIv2:G1:31037374:31039933:-1 gene:PRUPE_1G325900 transcript:ONI31708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPSGYRPNVGVCLINSDNLVFVGSRLNVPGAWQMPQGGIEDGEEPKNAAIRELREETGIESAEIIAEVPNWLTYDFPPAVKTKVNRLWGGEWHGQAQKWFLMRFTKDESEINLANGAADPEFAEWKWAIPEEVIEQAVDYKRPTYEEVIRTFQSYFDGSALSTKCKSTKW >ONI36148 pep chromosome:Prunus_persica_NCBIv2:G1:46626976:46627842:1 gene:PRUPE_1G572100 transcript:ONI36148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGSDFREDSWVREGVLKDIFPRLFALSSKHTLSINCFMDTQVFLHNWDFGFRRNLNERETAEVIKLLETLEGIRLCASKRDRRRWDLEDSGSFTCKSFQSFLRNKGRAETFPPFSLVWKAKSPPKVKVFVWLVALGKVNTSDLVQRKRPFMYLSPQWCVLCKLCEESVDHLFLHCPFSLSLWWLLWREVGTVWVIPKGCSDFLCSDFVVWGLGKLTSTLWGCLVHSVFWIIWMERNRRIFEDYKGVRVSDLWDRVKYWAAFWASVTKDFKDYSYSTIMRDMAAAVK >ONI28057 pep chromosome:Prunus_persica_NCBIv2:G1:9348762:9349656:1 gene:PRUPE_1G119700 transcript:ONI28057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGHLFLKPSIGDQKKHGSSEDSKASIDQENSNSSRMSATLQPENETSKRKTNKGRRGSNGFSIVGNTIPFFLHLSMPFWLHFCCCGFW >ONI28030 pep chromosome:Prunus_persica_NCBIv2:G1:9294682:9295395:-1 gene:PRUPE_1G118300 transcript:ONI28030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERIRQLFLLWCRKSFVALMGGNHTASRFCAR >ONI28606 pep chromosome:Prunus_persica_NCBIv2:G1:11933251:11934381:-1 gene:PRUPE_1G151100 transcript:ONI28606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFFRRKDKVIFVMGATGTGKSRLSIDLAACFPAEIINSDKLQVYRGLDKVTEEECRGIPHHLLREIDPSSNFTANDFKHIESIFIAGGSNSYIDRTVGLLDEVRRTEADETRAATSKIKESACQLQKIRRLHSRRNWNMHRLDRCYTNFLQAPRGGRSSMGKTRGKNQAPT >ONI26283 pep chromosome:Prunus_persica_NCBIv2:G1:1086773:1087137:1 gene:PRUPE_1G015000 transcript:ONI26283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLMDEIMRMNSHLPLPHLVYKGQNEFFFVFGFCSPIIYLCSLILMPRLVHCVSGVLYVFCFVCSIYKEEMKKLDGRRKVRQEEC >ONI26896 pep chromosome:Prunus_persica_NCBIv2:G1:3735122:3736426:-1 gene:PRUPE_1G053700 transcript:ONI26896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTDPTPEQLLFLSVSSGTFYSKKMKAKLKPSKTHHGPQTWKRISSPPMFRRARILIYSRHKKGGRLKEKELKQSILEPPVCSSICICKMLQCMREARKLWYDAVNTDRRLLRF >ONI26977 pep chromosome:Prunus_persica_NCBIv2:G1:4240797:4241350:1 gene:PRUPE_1G060000 transcript:ONI26977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQMEGKAMRQWYYFLVLFLALAFGPNICSGLPVMTKRFVRIVNLLDNKQLVYHCHSKDDDLGQRTLAPQQEWEFKFHLDFETIFTCNFWHSNNHHAHFDVFHPSNKFVHRCGGAHCIWRAEEIGLSLYHIKTGLWEKSYDWEICGKTL >ONI26650 pep chromosome:Prunus_persica_NCBIv2:G1:2588970:2592139:1 gene:PRUPE_1G037200 transcript:ONI26650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVACNDLRGIYKKFKPHLLMVLAQMGYTFLYFITEASFNHGMNPHVYITYRHIVGGVVMFPFAYVLERKDRPKLTLALFLELFVLSLLGVGLTLNMYFASLRYTSPTFLASIINTIASVTFVIAIVLRLEVLNLRNPRGLAKVLGTLVSLAGVMTMTLYKGPIVRNLWHPLIHVEGKFSIHENWLKGSILTVASCISWSIWYIMQAITLKRYPAQLSLTTWMSFIGAAQSAVFTVCIEHRRAAWTIGFNIDLWSILYAGVVCSGLIIFIQLWCTEEKGPVFVTMFNPVSTILVAVLAYFVLGERLYTGSILGAFIVILGLYLLLWGKEGDEVYIKSEESSYQTTYEEHKDNNIQKITSAKKDVLHGEP >ONI31473 pep chromosome:Prunus_persica_NCBIv2:G1:30465332:30467854:-1 gene:PRUPE_1G315400 transcript:ONI31473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGALFIFSLLTHLVVLHFTEGEKIYKRCRPHYCNDQLKKLQFPFKSKTQPPECGLFTVDCSDQHNPRIQLKEKGYWHELESISPTNTIFIHDKELQQRFRTDSCNDKFFNDLSLPSPSPVLELYAERPILVKCITTPTLPITDLNIICTNATTCYTTWSNDRLPSLPPSCSTMQPPPSLPSLATKFDLQLHVSEACYRCYDIKGECGDKLGLKLGLDHGHHLGWEALDRIALGIARGLEYLHRGCKTRILLFDIKPHNILLDQKFFPKISDFGLAKICNREESIVSIRCARGTAGYIAPEVFCRNFGGVSHKSDVYSYGMMLSEMVGGRRNINAEAEDTSEIYFPHWIYKRLELDEELGLPSIMNEEDKVTARKMVIVSLWCIQTDPSNRPAMKDVIDMLEGSVDCLQIPPKPYLSSPPKYPAGSSTATLVSIQ >ONI27531 pep chromosome:Prunus_persica_NCBIv2:G1:7035260:7036569:-1 gene:PRUPE_1G092600 transcript:ONI27531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNKCSTSSPLHCFVSGSIRSFTYVGMSTWCEMVLKVYGTGNHGSSFTRMLSPFPTIHLNPATRTLWPCRIPFQPQDDPQAVPA >ONI36475 pep chromosome:Prunus_persica_NCBIv2:G1:47635369:47638658:1 gene:PRUPE_1G586800 transcript:ONI36475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGRLSMSQLDSLACKISNLSQLRQFHAQLIQNSLHHHSQWASLLISQCTRLRAPPPYTRLIFDSTPRPDIHVFISMLKYYSNLGSAHFNQVVSLYQKLQCCDLRIGTFVYPVLIKSAGSKGIEFHAHVLKLGLASDPYIRNAIMGLYAKYGPVENARDVFAEMHERTLADWNNMIFGYWNWGNKAEACRLFDMMPERNVVTWTAMVTGYARMKDLENARRYFDEIPEKNVVSWNAMLSAYAQNRFPEEALKLFDDMMNSRDQPNETTWAIVISACSSCGDCSLADSFVQKLNQKRIHLSYFAKTALLDMYAKRGSLQAARQVFDELGVSRNTVTWNAMISAYTRVGDLASARELFDKMLERDVVTWNSMISGYAQNGQSALAIDLFKDMITAADDPKPDEVTMVSVISACGHLGALDIGNWVISIVRKNHIKLSISGYNSLIFLYSKCGSMDDAKRTFQEMTTRDVVSYNTLIAGFAAHGHGMEAVKLLSKMKGEFVEPDRVTYIVILTACSHAGMLEEGWKVFESIKAPDADHYACVIDLLGRVGKLDEAKKIIDDMPKEPYAGVYGSLLNASRIHKRIDLGEFAASTLFELEPHNSGNYILLSNIYASAGRWDDVVRVRELMRKVGVKKATGWSWVEYKGKLHKFIVGDKSHERSDDVYRVLAELGRKMRNSGYMADKTCVLRDVEEEEKEEMVGTHSEKLAVCFALLVTDAEAVIRVVKNLRVCWDCHTAMKMISNLEGRKIILRDNNRFHCFSDGICSCGDYW >ONI35474 pep chromosome:Prunus_persica_NCBIv2:G1:43988741:43990848:1 gene:PRUPE_1G538200 transcript:ONI35474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFNLSCSSDLLIPLVAAAFSLFLYSLLMKSRGERKSPPKAGGARPIIGHLHLLGGSKPPHLVLGKMAERYGPIFTVNIGVHKALIVSSSEIAKECFTTNDKVFASRPKFMAAEIMAYNYAFLPFSPYSHYSRQVRKIVLLEVLSNSRLEMLKHVRESEVKASMKGIYERCVTNGKSSTGSNKALVEMREWFLDINENIVFRMIVGKRFGEATSSNSKGSNYYLKKETYMDFLRLSGTFVLSDAIPWLRWLDLGGHERAMKKVAKELDLVFNGWLEEHKQKRKISGQVKGDDDQLDFMDVMLSILDVDGANEITTDYDADTVNKATSMALIVAGVEAPAVQMTWALALLLNNREALKKAQEELDQIIGKGRQVKESDIKNLVYLQAIIKESTRLYPAGPLSLPHESTEDCMVGDYHVPAGTRLFVNLSKLHRDPRVWSEPNEFRPERFLTTHKSFDVKGHDFELIPFGSGRRMCPGMSLALKVIALTLASLLHGFEIATPTDEPVDMVETVGLTNNKATPLEVLFTPRLPAQLYE >ONI27950 pep chromosome:Prunus_persica_NCBIv2:G1:9002358:9005605:1 gene:PRUPE_1G113000 transcript:ONI27950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALLHSFSVAFITLKTLKLVKGNGSSRNQISSLEFANGYSSFSSRRPLTSQKLSVGRRKSVIVGAKKNNNKEKKKNDNHSFVSKPDEATGPFPEAVLLKEKKVQEDGKLLPEFADAEEEKVYEFLKLQRQSDLNEERMRHYEVVYLINEKHVEEVGSVKEKIEGFLREKKARIWRVSDWGMRRLAYQIKKAKNAYYILMNFEIEAKWINDFKTMLDKDERVIRHLVMKRDEAITEDCPPPPEFHSAGAGTDSDQEEEEDMEYDDEEYGDEDGIIIVDADNADIEDRKQGMVEQVA >ONI34857 pep chromosome:Prunus_persica_NCBIv2:G1:41570824:41573876:1 gene:PRUPE_1G502600 transcript:ONI34857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVERGVVKSKRSIWRLKTITDFFWAIVNLIGVFFATMFSMEKSDAYRKGLASGKKWDGGGPGGPGGGPYGGRPRGPPRGLDNVRGIDHSSLPACGSCCG >ONI28794 pep chromosome:Prunus_persica_NCBIv2:G1:12900768:12904769:-1 gene:PRUPE_1G161600 transcript:ONI28794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGKNYMWLDPSIRVRRLPKFTRWEFLGNVQFTGQTPPNFRQKSYSNFIEVWNQSESKFIQNIIEEISKYVLNCVPLEVAEHPVGMQPQIQVMNKLLDLRENDVRMIGVRGTGQIGKTTIGKAVYNSIARKFEGCSSLANVRERSTSHEGSIRLRENLLSDILRVKNLIKEWLRRRKVLLVLDDVDDMEQLHKLVGACDWFGAVELDDGVNLIHEVKILDDDKALELFCWHAFKTSEPPLGDYMKLAELAIRYAQGLPLALKVLGSCLCGGSIDKWEAALDGFKSKKIQDVLKISYNALDDIVKEVFLDIACFFKGKSRNYVIETLEACDLSPRYGIEVLIEKALISVEHRDYIRMHDLLEEMGKDIVEQESPTEAEGRSRLWFHKDIEHVLTENTGTNKITRIVLNFPKQDGEIFLNVGRSFSKMKNLKILINYNVYLSGDARSLPNNLKVLSWKRCLFQSFPPNFLPKQLVVLNMPRSRIKQLGKGLKHFKNLACLNFTGSQFLTEIPDLSSSPNLRYLKANRCTSLVNVHPSVGHLDKLEILDFYDCHKLTKFPRKVASKSLIIFRLNGCIKLESFPKIVNKMESLCFLDLERTAIKKLPKSIGHLIGLQEMRLSESAIKELPALVGDLIGLKKLVLFGSAIKELPSSIGNLTALEVLQLGGSAIEELPSSIRNLTALQRLNLEGCENLANLPPEPVLSSQRPRAKI >ONI27509 pep chromosome:Prunus_persica_NCBIv2:G1:6850667:6852918:-1 gene:PRUPE_1G091000 transcript:ONI27509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNPKHQTHHVAVLAFPFTSHPGSLLDLVLKLAPAAPHVLFSFFTTSKANTSLFSEPSSSFHNVKAHNVADGLPEGFVPSVNPEEAIGPFLKAAPGNFMRALKDAEASTGLTVRCLLTDAFFWFAGDMAEEIKVPWVPVWIGGPHSILVHVETDFIRQRVGTISSTGGGKQALDFLPGFSRYQIPDLPEGVVTGDLDSPVATMLHKMGQKLPKAAAVVINSFDDLAPEIDNVLKSRFKRLLNYGPKSLISQSPQLPKDDSGCLEWLDKNKPASVIYIAFGTALTPPPHELEALAQALTETGFPFIWSFRGNIEDFLTKGYNKGNLNGKIVSYAPQMQVLRHASVGVFLTHAGWHSVLESIAAGVPMICRPFFADNMLNMRSAVAVWGIGTKFEGGVITKIGMVKALELVLKHKEGKEMRDKIVALKNLAQQAVESNGSSTHAFNSLVDIVTK >ONI32988 pep chromosome:Prunus_persica_NCBIv2:G1:35217508:35218879:-1 gene:PRUPE_1G397800 transcript:ONI32988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCHFVETTLLPEDKTLQFTIDKEVFGGEHDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVSFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIGRAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI31088 pep chromosome:Prunus_persica_NCBIv2:G1:28967253:28967592:1 gene:PRUPE_1G291400 transcript:ONI31088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLVGPAFFIGDGRGRLFVKQNVEALPRSEEASSDSSSLIGAPDDSEEDDSKGDDGDGDEEEVQSKFNGAGPCFP >ONI26335 pep chromosome:Prunus_persica_NCBIv2:G1:1292625:1294277:-1 gene:PRUPE_1G018400 transcript:ONI26335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRFQNPNLSSISKTNIKLLRHLLCNPLCTLTEPTPVSEVTESPELPNWVKFFDTKRPEKAVLDEDFVIPSLANWVEAQKLRDPSKAVKRPLSETADTDDIDKVCRILKNGYPSLENAAQALDGCVSDLSNSLVERVLKRFSNEWVPAFGFFIWAKAQTGYRHPPEAYNSMVDILGKSENFELMWEMVEEMVKIGGGYVTLDTMSKVMRRLARAGRYKEAIDAFRGIERFGVRRDVLSLNTLMGALVKERSVEHAHEVFLEFKESIPLNPQTFNVLIHGWCKPRKLDIARNTMEEMEKHGFHPDVLSYTCFIEAYCHDKDFRKVDEVLGEMKDKACEPNTVTYTIIMHALGKAREITKALEVYEKMKRSGCVPDTSFYNSLIFLLGKAGRLKDVQEVVEDMTNQGVKPDTLTHNTLISSACAHSQEEAALKLLKNMEEESCKPDLKTYAPLLKLFCRKKRMRVLCFLLDHMFKNDVSIEGGTYALLVRGLCSNGKLENACSFFEEMVSKGFVPKDSTYNMLIKELEGKSMEKEKKHVEKLMLEAKEQEI >ONI31241 pep chromosome:Prunus_persica_NCBIv2:G1:29550219:29550816:-1 gene:PRUPE_1G300800 transcript:ONI31241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQTEAAAARTVAVGVCLLKGEKVLLGRRRCSLGYSTFSLPGGHLELSESFEECAARELKEETGLDIDKKRMEFLTARTNELLLEGGKPCQYASVCMRAVMEHGDGEPQNVEPEVCDGWDWYEWDNLPKPLFRPLHNAVLAGFNPFRA >ONI32093 pep chromosome:Prunus_persica_NCBIv2:G1:32515517:32518351:-1 gene:PRUPE_1G348000 transcript:ONI32093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSSSHSNKMITPHPPPPPPPPTTNLTSLASSENFVVGNLVARQLFFLHHIQILELFLALFVFVTIHSLRQKRQHGLPVWPLLGMLPSLSLAVLGPQTNLYEWVSEILYRQNGTFRFKGPSLSSFNCVVTSDPRNLEHLLKTKFSIFPKGPYFRDTVRDLLGDGIFSADDETWQRQRKTASIEFHSAKFRQLTADSLFELVHARLLPVLEDSIKHSAAAIDLQDILLRLTFDNVCMIAFGVDPGCLQLGLPQIPFAQAFEDATEATMMRFVTPMGLWKAMRYLNLGPERKLKMSIKGVDEFAEDVIRTRKKELASLLLNNNSGGGVTETDHTKKQRSDLLTVFMGLKDENGEAFSDKFLKDICVNFILAGRDTSSVALSWFFWLLHQNPEVEHKILQEVCRILGARSSSDHDRRSHANNDIINDDDDETVVFKPEEIKKMEYLQAALSEALRLYPSVPLDHKEVIEDDIFPDGTILKKGTKVIYAIYSMGRTEAIWGKDCRDYKPERWLRPSDGRFMSEPAYKFTAFNGGPRLCLGKDFAYYQMKFVAASIIYRYHVKVVEHHPVQPKLALTMYMKHGLKVTLQRRGHAQRQKLINSIGD >ONI29899 pep chromosome:Prunus_persica_NCBIv2:G1:23533967:23536346:1 gene:PRUPE_1G220700 transcript:ONI29899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALADSHHPWAFTFGILGNVISFLVYLAPVPTFYGIYKKKSTQGFQSVPYLVALFSGMLWFYYALLKKNAMLLITINSFGTVIETIYIVMFIFYAPKDARKFTLKLFGFMNVGLFCSILVLSHFAVRSEYRVPVLGWINVAISVIVFAAPLSIVAQVIRTRSVEFMPFSLSFFLTLSAVMWFSYGLFLKDICIAIPNVLGFILGLLQMLLYAIYRNRKPIEDDEKKIPAADQHVKNVVGLTTLATSEVHPVDPPPRDHDKSVEVDAGSHTAAASCA >ONI27415 pep chromosome:Prunus_persica_NCBIv2:G1:6287330:6290816:-1 gene:PRUPE_1G084600 transcript:ONI27415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGFLKRSESEKGLSIYTKMRRMGTEPNARTFTFLVKACVGVSLLGQLHGQIMKFGHGCDVYVISSLISMYCKCEAVEFARRVFEESLDKNLACWTSLISGYCSNGLVHEARDLFDAMPERNNVSYSAMVSGYVWNACFNEAIDLFRESKSHVRLKESLLVSVLNACASVGAFEEGKWINLFLNENGIEYGLELGTALIDFYAKCGCIEDAQEVFSMMPSKDVTTWSAMIMGFAINGENDMGLALFAEMEKKGPKPNAVTFVGVLTACNHKTLVNEAWRLLGRMSKVYGIAPGIEHYGCMVDLLARAGQVKDAEILIRSMAMKPDGGIWGSLLNGCLIHGHAELGDRVGKLLIELEPQHSGRYVLLANMYAEMGNWEDATRIRKMMKDREVVTSSAWSFIEIDGVVHKFVADDKSHSHSRKIYKTLNQLRRDLEGFSIGNDASLL >ONI34347 pep chromosome:Prunus_persica_NCBIv2:G1:39848120:39849058:1 gene:PRUPE_1G476700 transcript:ONI34347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGSTMKFQFPRNSNTATFLPRESAQSIPFSFNKLPEIFNHFSVKPTSVEAKTIKQTIEECEAPGIKGDEKYCATSLESMVDFSTSKLGTRNVEAVSTEVLEKGATMSMHNYTTMPGLKKLAGDKVVVCHKENYPYAMLCFSAMQ >ONI35472 pep chromosome:Prunus_persica_NCBIv2:G1:43982158:43984049:-1 gene:PRUPE_1G538100 transcript:ONI35472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMVGKTTCMGVDATLTMTLILLTATIAMADDDTPIPANQPQVNTWFNNNVKPYTERQGTLDPALATAEAGQTVIKVMKDGSGQFKTITDAINSIPADNTKRVIVYIGEGKYEEKITIPRNKPFVTFFGSPTNMPTLTFAGTAQKYGTVNSATVIVESDYFMAANVIIKNSSPRPDGKAVGAQAVALRVSGNKSALYNCKLIGFQDTFCDDKGNHFLKDCFIEGTVDFIWGSGKSLYLNNELHVVGDNGLTVITAQARDSSSDDTGYSFVHCKITGTGNGTYLGRAWRISPMVVFAYTSMSEVINPAGWSDDNHPERDNTVFYGEYKCSGPGSSAAGRVKYTKQLTDEQIKPFLSLGYIQGSKWLLPPPNPKV >ONI35473 pep chromosome:Prunus_persica_NCBIv2:G1:43975815:43984026:-1 gene:PRUPE_1G538100 transcript:ONI35473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMVGKTTCMGVDATLTMTLILLTATIAMADDDTPIPANQPQVNTWFNNNVKPYTERQGTLDPALATAEAGQTVIKVMKDGSGQFKTITDAINSIPADNTKRVIVYIGEGKYEEKITIPRNKPFVTFFGSPTNMPTLTFAGTAQKYGTVNSATVIVESDYFMAANVIIKNSSPRPDGKAVGAQAVALRVSGNKSALYNCKLIGFQDTFCDDKGNHFLKDCFIEGTVDFIWGSGKSLYLNNELHVVGDNGLTVITAQARDSSSDDTGYSFVHCKITGTGNGTYLGRAWRISPMVVFAYTSMSEVINPAGWSDDNHPERDNTVFYGEYKCSGPGSSAAGRVKYTKQLTDEQIKPFLSLGYIQGSKWLLPPPNPKV >ONI28872 pep chromosome:Prunus_persica_NCBIv2:G1:13412621:13414273:1 gene:PRUPE_1G165600 transcript:ONI28872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRSLGLPFQLQIFTYMFSAFYHEPKIYLYVDHVVYVTIWSCPFVKGKVQRREKMMMMMMRVGSIYE >ONI31160 pep chromosome:Prunus_persica_NCBIv2:G1:29316247:29316459:1 gene:PRUPE_1G296800 transcript:ONI31160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSKGSNSNSNSQVGNQNAGWGNSSNVAASGGGGGGNAAGNMKAPGRDYAISRESFESNPAAYFKDLRK >ONI26435 pep chromosome:Prunus_persica_NCBIv2:G1:1700309:1701925:1 gene:PRUPE_1G024600 transcript:ONI26435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPPELILDILLRLSYKDLIRSLCVSKAWYAFIHDQRFIKAHLQRSIETNSAPLSILIWSDNPEDAPSGFFSLTFRDNETIGAAVRTEQPFKYADNYTDILGNSVHGVVCIRNCVDWEIALWNPSIQKLKKIPFPSFETPKFTAYYGFGYDSVHDDYKVVGIGDCHTESCQVHIYSLKSNSWKRIQNMPCNNFDFHSDYIVFFNGALNWLMHPVLDETPHIIQTLTLTSEEYCQFSTPVDLDDVYNMYTPTLSLEVLGGCLCLCVDRFGAAHDVWVMKEYGMTESWTLLFSIEPEAVPWVHVHSFKPLVLSKNGEMVLLTYKYHNSVFFWYDLKKKSFKQVEFRGHPHVSKVAVSGVGSLYLLDPVISWKGSTSPLYL >ONI28420 pep chromosome:Prunus_persica_NCBIv2:G1:11141875:11143289:-1 gene:PRUPE_1G141800 transcript:ONI28420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCFSSIVFSLHSAKNQSFLTRFSSQFTNQAKVSSVPYGSPSSSLNLSEIFKFSKERTKPISASATPTAETASPPSFRGKNPKDINILVVGATGYIGKFVVKELVNREFNVIAVAREKSGIRGKVSKDETLNQLKGANVCFSDVTHLDTLEKSLENFGVSIDVVVSCLASRSGGVKDSWKIDYEATKNSLVAGRRRGASHFVLLSAICVQKPLLEFQRAKLKFESELIREAEEDNGFTYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPISEADLASFISDCALSENKINQVLPIGGPGKALTPLEQGELLFRLVGREPKFLKVPIEIMDFAIGVLDFLVKIFPSMEDTAEFGKIGRYYAAESMLVLDPETGEYNAEKTPSYGKDTLEEFFETVLREGMAGQELGEQTIF >ONI29323 pep chromosome:Prunus_persica_NCBIv2:G1:18143261:18145681:1 gene:PRUPE_1G193400 transcript:ONI29323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKRSKDAVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVQDL >ONI32986 pep chromosome:Prunus_persica_NCBIv2:G1:35202922:35205915:1 gene:PRUPE_1G397600 transcript:ONI32986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPISSSSFLMRYIICCSGFLCLILCSLEKGFALEEREHAHTVEVNSLLPATTCSSSSSTKGHMSKHASSSVLKVVHKHGPCSRLKKHKSKTPTHAQILQQDQARVNSIHSRVNSKKQLKSVDDLRESAATTIPAQSGSVVGAGNYIVNVGLGSPKKQLSLIFDTGSDLTWTQCRPCVKSCYKQKEPIFDPSLSASYANVSCTSATCTQLGSATGNTPGCTASTSTCIYGIQYGDQSFSVGYFGKEKLSLTNTDVFDGFLFGCGQNNQGLFGGAAGLLGLGRNQISLVEQSAKKYNRFFSYCLPSTSSSTGYLSFGKGGGSSNAVKFTALSTVSQGDSFYGLNVVGINVGGTKLPISASVFSSSGTIIDSGTVITRLPPTAYSSLKAAFRQRMKSYPLTQELSILDTCYDFSSFKTVSYPKISFVFDGGLTQDLDATGILYVASADQVCLAFAGNGDDSDIGIFGNVQQKRLQVVYDIAGGKVGFAPAACP >ONI35557 pep chromosome:Prunus_persica_NCBIv2:G1:44338848:44340650:1 gene:PRUPE_1G542600 transcript:ONI35557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIGPDGQMPSDKTVGRGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDDGDEGDDY >ONI33714 pep chromosome:Prunus_persica_NCBIv2:G1:37569120:37570454:-1 gene:PRUPE_1G442900 transcript:ONI33714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTQNCACWHRNRHLIISIFLLLVSSSTHLRFMAEGARLISSKLTKAAPRGHVLEENEAGVVVNARQIGSRPPRCESRCSACGHCVAVQVPVSPQVQGHSKIRSHGSLPDSTRTSPKNVAYSRGDDITNYKPISWKCKCGDLLFNP >ONI33033 pep chromosome:Prunus_persica_NCBIv2:G1:35432515:35435187:-1 gene:PRUPE_1G401400 transcript:ONI33033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADNQTRLIHSVSKVGIIGAGISGIAAAKQLSGHSPVVFEATDSIGGVWKHCSYNCTKLQTPRCDFQFSDYPWAEGDNSSFPSHVEVLDYLYGYATHFDVLKYVKFESRVVEIRYVGNDQATQLPVSLKSGQYGSLLTGHPVWEVAVQTSGDPNTIQWYAFEFIVICIGKYGDIPRMPSFPRNKGQEVFQGKVLHSMDYSKLDNEAARELLKGKKVAIIGYKKSGIDLAMECAEANQGPDGQACTMVIRNLHWTVPSYWIWGLPFFLFYSTRSSQFLHERPNQSLFRALLCLLSSPMRMAISKFIESYLVWKLPLVKYGLKPDHPFVEDYASCQMAILPESFFAEADKGKILFKRSPKWWFWNGGIEFEDHTKLEVDVVLLATGYEGKKKLQSVLPEPFRSLVVDSSGTMPLYRGTIHPLIPNMAFVGFIESVSNLHTAELRSKWLARLVENEFKLPTVQEMLDQISREMEVMKKTTRFYKRHCISTFSINHSDEICEEMGWKYWRKDNWFSEAFSPYNSRDYCEEENDN >ONI32094 pep chromosome:Prunus_persica_NCBIv2:G1:32522372:32526927:1 gene:PRUPE_1G348100 transcript:ONI32094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGLLLVPLFNVLLILIWCTQTLVVVAVEDIAGGGNGNGTSREAELEVIREADRVINLPGQPPVSFPHYAGYVQLPNYHNSHNTSSSSSSSKALFYWFFHAEQNASSKPLVLWLNGGPGCSSVAYGAAQELGPFLVRSNGTLILNNFSWNKVANVLFLEAPVGVGFSYTNNSKDVETLGDAVTAADSYAFLVEWFQRFPAFKSRDFYIAGESYAGHYVPQLADLIYQRNKHSSSYINLKGFMIGNAVINGPTDSRGMFDYAWSHAIISDQLHYNLVKECDFAHENNQTEHCNDHMRAFLQAYSDIDIYGIYAPVCLSSSSSSSSSSNSNKAKDSTYNSIRLLVAPRLLTQHELWHRLPSGYDPCTENYVEQYFNREDVQRALHANVTKLSYPYTPCSGVIKGWNDSPDTLLPVIQKLLKAGLRIWIYSGDTDGRVPVTSTRYSIKKMGLRVKQEWRAWFDRGQVAGWAETYEEGGGLTFATVRGAGHQVPAFAPRQSLSLFTHFLSPNATLPSSRFHSKT >ONI26386 pep chromosome:Prunus_persica_NCBIv2:G1:1478253:1480784:1 gene:PRUPE_1G021200 transcript:ONI26386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDQDPVSLSSSGAAPDHPLPMEKLYDQGPPPFLTKTYDIVDDPTTNDIVSWSRDNNSFVVLDPQKFSMSLLPRYFKHNNFSSFVRQLNTYGFRKVDTDRWEFANQGFLRGQKHLLKNIRRRKTSYHPQASQKALDSCVEVGKFGLDGEIDQLRRDKQVLMGELVKLRQQQQTTRVYLHGMENRLKRTEMKQQHLMNFLARAMQNPNFVQQLAQQKDKRNELEEAITKKRRRPIEQGPSSFEVDELGQVGVETFVKVEPEEYDDISDHFENPDLDTFAIDMQGITGSQNVHDEEECIEKEERNESGSKDQDKSFWHELLNESIDEEIGMLGVQEEDEDVDVFVEELVYLASSPK >ONI35931 pep chromosome:Prunus_persica_NCBIv2:G1:45848750:45849794:1 gene:PRUPE_1G561600 transcript:ONI35931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVKKMAVALLVLCAVFSCMEKVVDSADAGVDCYDACNTGCVQSNTRLYQRCDRKCQIKCGPDSEVEGNLQ >ONI32632 pep chromosome:Prunus_persica_NCBIv2:G1:34159207:34160954:-1 gene:PRUPE_1G377600 transcript:ONI32632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSTKSYYWQYMAMLFFYAFMVMMGVLSQAHPTPPISLTVDQSGKGDYTKIQDAIDAVPSNNAVPVSIWVRPGVYQEKVYVPADKPFITMSGTNAEQTIVTWNQAGWINQTAVFAVWASDFTGRQLTIQNTYGTGDKTVALRVSGDRAAFYGCKILSHQDALFDEIGKHYYKDCFIQGDTDFIFGSADSLYANCHLHTLSGQNGAITAQRRTSPSEESGFTFLWCNITGVKTALLGRPWGPYARVVFAYTQMSNVILPQGWDSWGLSPYNLSNVFYGEYNCFGPGAVTTQRVNWAHKLTTREFAHFMAQASALRRTIIGSDGGGGKRGASTPIGGEGNKANVEQALASAAGLTIE >ONI36014 pep chromosome:Prunus_persica_NCBIv2:G1:46125324:46127223:-1 gene:PRUPE_1G564900 transcript:ONI36014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVSHFKSFESQREHITRPLAKEDCKRVFSEQGCDLCLKLFDSPTSLSSHKYMCRLSAPVSLGTTMEPCEEFKYDINSSKENHIGDGTEAIALDCEMVAGGSDGSLPACKGNGKTKILVGHSLENDLDCLRINCPDYLLRDTASYHPVMKTNLVSHPLKYLTRTYLGEYLVSGFHDPYEDCVSAMRLYKRFRGLDQQKEGNVESLATMRAKDIPGSFDSWETDKVEKMTLDELYEMSRPNYKCWCLDSVQAMQPHH >ONI31275 pep chromosome:Prunus_persica_NCBIv2:G1:29762613:29763571:-1 gene:PRUPE_1G303500 transcript:ONI31275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPKSKHLSCSCKTTMFVVSLILLLIGSCSGARLGKTVILADHKVLLNLEHTKTLNPRTHRTGFQYRGQVFSFFPKGTPIPPSGPSKRHNSVVDSTPHD >ONI31084 pep chromosome:Prunus_persica_NCBIv2:G1:28949282:28949560:-1 gene:PRUPE_1G291000 transcript:ONI31084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANESRGSSSSRNNKERDENAVDLCNHEVDVSNLVIAAVAVAGLAVLGYGLSGLFSGSGQRRTMKAPGRDSRIFRDDFERNPSAYFRDLRK >ONI33374 pep chromosome:Prunus_persica_NCBIv2:G1:36349820:36350707:-1 gene:PRUPE_1G420100 transcript:ONI33374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSGNGESWWSKTPRCHHIYPCHSPFTWIRKNVIFETSNDSFPLRSSEILYKWEVELDCNSQLNSLINGSNCHIQDILPLQKLGASGGLCSLYLR >ONI34908 pep chromosome:Prunus_persica_NCBIv2:G1:41733002:41735985:-1 gene:PRUPE_1G505200 transcript:ONI34908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAKQRANGTHILVVPLPSPGHINPMLQFSKRLASKGLRVTLVTVSSKTHEPIETQLSMVNIEPIYATYEEAGKIDLESMLQWLLTILSKNLPDLISKQERNGYPICCLVYDASLTWALDIAKGLGIAGASFFTQACAVGTVYYNVQQKLLRVPPEEDRVLLPGLPLLEPHDLPSLINHPGSYPSVFKMVIGRFSNITDADWIFCNTFDCLEPEVVSWMRTKWPIKTIGPTLPSMYLDKRLEDDRDYGINFFKPNNDTCIKWLDSKEASTVVYVSFGSIANLGEKQMEEIALGLKRSKTSFLWVVRESEIQKLPSNFEEQTSEKGLVVNWCPQLQVLAHRAIGCFMTHCGWNSTLEALSLGVPMVAMPQWTDQLTNAKFVEDEWKVGVRVKVDHMGIVTKEEIERCIAQVMEGERGNEFKRNSMRWRELATEAVDEGGSSDKNIEEFVAALLCK >ONI32808 pep chromosome:Prunus_persica_NCBIv2:G1:34651326:34653407:-1 gene:PRUPE_1G387000 transcript:ONI32808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLPYVNSITAFLFTIIIVSYYFSRRWRPAKLVPTEAKGAWPIFGHLPLLGGSTPPHIKLAAMADKYGPLFTIRLGVYPSLVISSSEIAKECFTTNDLVLNSRPKLAVVDHIGYNCAMFGFAPSGPFWREMRKITTLELLSNRRLELLRHIRVSEVTTFLQELFKTWSTAEKRESNNSDGVLVELKQWFGDMTLNVILRMVAGKRYSVAADEDEKTEARRVQSALKEFFYYVGLFVVGDAVPYLRWLDLGGHEKAMKRIAKELDAIVGGWVEEHKRRRARGDAKGEPDFIDAMLSVLDGADLGGFDADTVNKATSLNMIAGGSDTTMVTLIWAISLLLNNPHVLKSAQNELDTEIGRQRVVSESDISKLVYIQAIVKETLRLYPAAPLSGPREFTKDCTIGGYHVSKGTRLITNLWKIQTDPRIWPDPLEFKPERFLTSHRDVDVKGLHFELIPFGSGRRACPGLAFALQMVQFTLASFVHAFDISNPSNSPIDMTESFGLTNIKATPLQVLIKPRLPSQLYG >ONI29664 pep chromosome:Prunus_persica_NCBIv2:G1:21338916:21339705:-1 gene:PRUPE_1G207800 transcript:ONI29664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLSLQLFISRLSSFTNNEQLKRLFSPFGDVTDARMAVDRITQRPKGFDFVTYKSDVEAQKALMATDGKMVDGRLIFVEVAQTQKQEGDTKSR >ONI34046 pep chromosome:Prunus_persica_NCBIv2:G1:38517681:38524864:-1 gene:PRUPE_1G460700 transcript:ONI34046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKAISLEEIKNESVDLERIPIEEVFEQLKCTREGLTGDEGANRLQVFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAVMAIALANGGGRPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQEASILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSEEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGILIELIVMYPIQKRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFAKGVEKEHVMLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRASKGAPEQILALCNCKEDFKKRVHAVIDKFAERGLRSLAVARQQVPEKTKESPGTPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDASIASLPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKEIFATGIVLGGYMALMTVVFFWLMKDTKFFSNTFNVRHLGDRPEQMMAALYLQVSIVSQALIFVTRSRSWSFVERPGLLLLGAFMVAQLVATLIAVYANWAFARIEGCGWGWAGVIWLFSVVTYFPLDLLKFAIRYILSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPETNNLFSEKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >ONI34047 pep chromosome:Prunus_persica_NCBIv2:G1:38517681:38525140:-1 gene:PRUPE_1G460700 transcript:ONI34047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKAISLEEIKNESVDLERIPIEEVFEQLKCTREGLTGDEGANRLQVFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAVMAIALANGGGRPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQEASILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSEEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGILIELIVMYPIQKRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRNLIEVFAKGVEKEHVMLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRASKGAPEQILALCNCKEDFKKRVHAVIDKFAERGLRSLAVARQQVPEKTKESPGTPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDASIASLPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKEIFATGIVLGGYMALMTVVFFWLMKDTKFFSNTFNVRHLGDRPEQMMAALYLQVSIVSQALIFVTRSRSWSFVERPGLLLLGAFMVAQLVATLIAVYANWAFARIEGCGWGWAGVIWLFSVVTYFPLDLLKFAIRYILSGKAWDNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPPETNNLFSEKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >ONI28004 pep chromosome:Prunus_persica_NCBIv2:G1:9168800:9170850:-1 gene:PRUPE_1G116400 transcript:ONI28004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKERLSLFHEMGIIFQILVVYFFLLVSCSANKSVPAFFTFGDSLVDVGNNNNLLTQAKANFYPHGIDFGNNPRGQFSNGRTTFDILQQELGFAQFTPPYLAPTTVGDALLQGVNYASSGSGIFNYTGYFFGERINFDTQISNHGRTVLAIMSRIGIPAAQKLLRRAIYGVVIGSNDIMFREFTPMAMSMSEEAYLDFLISRLKLALTTLYNLNARKITVANAGPSGCIPYEKEIHPVPKGSCVRLINRMAQTYNDKLKGMLAELNKDLQGAKFIYVDIYQILLDLTKNYVSYGFENATSACCSFAVTRVGLVPCNPFSKICPDRSKYIFWDAFHLTDAANVIATRHIMDGGLNYVSPMNFRQLVQS >ONI31972 pep chromosome:Prunus_persica_NCBIv2:G1:32134964:32139453:1 gene:PRUPE_1G342200 transcript:ONI31972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPISKTSRKQKELSELQEIELLKSWIESQQPECGSNPMSLPPLPSDAPVGRMGPTILSRYAGATRFDQLPISKKTKDALRQSKYIEMTDIQKASLPHALCGRDILGAAKTGSGKTLAFVIPLVEKLYRERWCPQDGVGSIILSPTREIASQTFDVLKAVGKHHNFSAGLLIGGRRDVEAEKERVNELNILVCTPGRLLQHMDETPNFDCSQLQVLVLDEADRCLDSGFEATLNAIISQLPNRRQTFLFSATQTKSVQHLARLSLKDPEYLSVHEKSVTATPKNLQQMAIIVPLDQKLDLLWSFVKTHLNSRILVFLSTRKQVKFVFEAFKKLRPGTPLKCLHGKMKQEGRMGTYSQFTEKHSVLFSTDVASRGLDFNKAVDWVVQVDCPEDVASYIHRVGRTARYDSSGRSVLFLTPSEEKMLDKLQAAKIPIKKLKHNEGRMQPVSGLLAALLVKYPDTLQGLAKRAFITYLKSVHNQKDKEIFDVMKLPIDEFSASIGLPMTPKIRFLNTKIKSKKVSKLSPIVEPEILDKENELELPKEELDIGDFKEEGEKDALLTNDRENEAEEEGRKIGDLIPATRISKKKKLKININRPVGTRVVFDEEGNTLPPLAKLADTKNVSGLLDQIEDKKTEYYKKLREDLKKVDKEDKDLDRQRRREKRMKDKMKQKRGNIEEEEDDDLSDSEGEPSEDRPHKRSKIYFDSDDEGEREESKDKLGFKPDSVSLAEQEALALKLLSSMHS >ONI35189 pep chromosome:Prunus_persica_NCBIv2:G1:42752705:42762082:1 gene:PRUPE_1G521300 transcript:ONI35189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEAHALGLRDRRPDALGNFRALPDDLICDILEYLTPRDVARLASVSSVMYILCNEEPLWMSICLSRLDGPLQYKGSWKKTALHLEHVPYECDEACRKPLNFDGFNSLFLYRRFYRCHTTLDSFSFDDGNVERKKNPTLEEFSRDYDGRKPVLLTGLADAWPARCTWTFDQLLQNYGDIAFKISQRSARKVSMKFKDYVAYLKIQHDEDPLYIFDHKFGEVVPALLKDYSIPDLFQEDFFDVLDREKRPPFRWLIIGPQRSGASWHVDPALTSAWNTLLVGRKRWALYPPGKVPLGVTVHVNEEDGDVNIETPSSLQWWLDFYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLEPSIAVTQNFVNPKNFEFVCLDMTPGYRHKGVCRAGLLAHEKGNYEDATDNILYDRDDSSFSDLTRKEKRVRTLEPVENQRSGSMRNGAYNDHNLQQKSYQGFSYDVNFLAMYLDKERDHYNSPWSSGNCIGQREMREWLFKLWLGKPGMRDLIWKGACLALNAERWLECLTEVCAYHNLPSPTEDERLPVGTGSNPVYLLTNYVVKIFVEEGLETSLYGLGTELEFHNLLCNFNSPLKNHIPDVLASGIIYLEHGIYRIVPWDGNRVPDVIAKCNIIPEKFKSDVFPFGVWSKKQFECRKALYEPISATKGTRIWPYLITKRCKGKIYAELRDTMPFEDTLNLASFLGEQLCNLHLLPLPPLSISNVSDVEQEIDLPLTNGCMEAVPDKPEIPAEWNIFIRTLIRKKKDLSGRLSKWMKMA >ONI35188 pep chromosome:Prunus_persica_NCBIv2:G1:42752705:42762082:1 gene:PRUPE_1G521300 transcript:ONI35188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEAHALGLRDRRPDALGNFRALPDDLICDILEYLTPRDVARLASVSSVMYILCNEEPLWMSICLSRLDGPLQYKGSWKKTALHLEHVPYECDEACRKPLNFDGFNSLFLYRRFYRCHTTLDSFSFDDGNVERKKNPTLEEFSRDYDGRKPVLLTGLADAWPARCTWTFDQLLQNYGDIAFKISQRSARKVSMKFKDYVAYLKIQHDEDPLYIFDHKFGEVVPALLKDYSIPDLFQEDFFDVLDREKRPPFRWLIIGPQRSGASWHVDPALTSAWNTLLVGRKRWALYPPGKVPLGVTVHVNEEDGDVNIETPSSLQWWLDFYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLEPSIAVTQNFVNPKNFEFVCLDMTPGYRHKGVCRAGLLAHEKGNYEDATDNILYDRDDSSFSDLTRKEKRVRTLEPVENQRSGSMRNGAYNDHNLQQKSYQGFSYDVNFLAMYLDKERDHYNSPWSSGNCIGQREMREWLFKLWLGKPGMRDLIWKGACLALNAERWLECLTEVCAYHNLPSPTEDERLPVGTGSNPVYLLTNYVVKIFVEEGLETSLYGLGTELEFHNLLCNFNSPLKNHIPDVLASGIIYLEHGIYRIVPWDGNRVPDVIAKCNIIPEKFKSDVFPFGVWSKKQFECRKALYEPISATKGTRIWPYLITKRCKGKIYAELRDTMPFEDTLNLASFLGEQLCNLHLLPLPPLSISNVSDVEQEIDLPLTNGCMEAVPDKPEIPAEWNIFIRTLIRKKKDLSGRLSKWGDPIPSTLIEKVNEYIPDDFYKLLYIFEDENGLNKVGKLCSWIHSDIMDDNVHMEPCGVHSCLIGNTNGTDLVNNGLVNVNGCSAGSKSWRPNHILDFSDLSIGDPIYDLIPIYLDIFRGDRSLLEQFLKSYKLPLVRGVSQNESVKGGDKFGRLSYHAMCYCILHDDNVLGAIFSLWDELKTAKTWEEVEQVVWEELNNYKGFPGSSSSSAFLSSLEPKTSTIL >ONI26771 pep chromosome:Prunus_persica_NCBIv2:G1:3178582:3180800:1 gene:PRUPE_1G044500 transcript:ONI26771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAWRLLFSLFCIQILATSVVSRNIVSVRHDEEGKNLAFRKGGGFGGEGGGFGGVVGSGVGSGLGGGIGKSGEVRNGGFGKGRRILKGGGGGGGGGGGGRGGGRSGGGKHGGFHGGVHGGAGGRVHSGAGGGFGKGAIQQTVSQKLAVVSKPTGCWCFKPSGAPVCQAHGGTCSVTSTFCVLEAKALPDLVRLLSGEVYETAIEAIQTLSTLVLEASPQRGANVLHEADAIKPTLEILTWGTNSLKEEVLSLLEKVFLSKEMVEFYGSTARLSLAGLTGSNFHEDGRCSSKINYLSSKLIKFFMG >ONI31544 pep chromosome:Prunus_persica_NCBIv2:G1:30645763:30647556:-1 gene:PRUPE_1G319200 transcript:ONI31544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQNQLNDVSSDSIPLLLIALIARFINHLRSSLFHLLHFLGLSNPGPGPDYDDGLLAGSGLAGLAVLADQLALNRHLSFQYDRNRHDQQNDAVARSRDCDCVVCLSTLRDGDQVRMLNCRHLFHKSCFDGWLDHLNFNCPICRSPLVHRDLVAHRGRRLAADLLHWFSLR >ONI33361 pep chromosome:Prunus_persica_NCBIv2:G1:36309480:36309974:1 gene:PRUPE_1G419200 transcript:ONI33361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRRSSDSEAVMPRPSRASIPFSWENEPGMSKVVTVVHDHRDHKVLSEHLNMEHLTLKLRPPPCLSTSPGRSARFMVVDRQTRLPLCSIQPSLRLNSFRLLGGGVKKEEDPFLSALMKCRAKNPNSPAQNYGEKKVKTMFSFSCKYSCGVREDSIIKMPQSPK >ONI27005 pep chromosome:Prunus_persica_NCBIv2:G1:4449949:4450344:1 gene:PRUPE_1G062300 transcript:ONI27005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLLLLFMFLLTANCEAAWRSTHVQITNNLDPNTTDLTIHCKSADDDLGVHVLHHQEFYRWDFKINLFGGTQFWCSFQWLNQFHWLDVFIEETFNCRDCKWIISNDGPSLYNKITKVYDHKKWNDEKKRT >ONI27367 pep chromosome:Prunus_persica_NCBIv2:G1:6094168:6097418:-1 gene:PRUPE_1G082300 transcript:ONI27367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKCEAVDDFRAKLKQNGANMPEYLLHILLEIIHNIFPPKKASQKRRDSPAMAITDAMQIHRDSKEEEKRSKRQRVNNGYEGIASGVFGAATYDDEVGLRNREEDFGEEIEMELDEDPLELLRGVLSIDRSPVKIVKNPIGSLSRAAALQSALQKEGREIRKVQERMKRNSIPMDLNRAWEDPMAERGDRYLAQELRDAAGFSAFDIDKHEWKKSAYEGALSFGQRSSLSILERRQSLPIYKLKNELVNAVNENQVLVVVGETGSGKTTQVAQYLAEAGYSTKGIIGCTQPRRVAATSVAKRVAEEVGCRLGEEVGYSIRFDDCTGPDTLIKYMTEGMLFREILMDKNLSQYSVIMLDEAHERTVNTDVLFGMLKQLVKRRPDLRLIVTSATLDAEKFSRYFFNCMIFTVPGRTYPVDTLYAKQPESDYLDGAILTVLQLHLSEPEGDILVFLTGEEEIEFACQSLYERMKELGKDVPELIILPAYGAQPSAEQSKIFKPAPPGKRKVVVATNIAETSLTIDGIFYVIDPGFAKINVYNPKQGVDLLVVTPISQASASQRAGRAGRTGPGKCYRLYTESAFHNEMSPNTVPEIQRSNLVHTTLMLKAMGINDLKSFDFMDPPSPEALASAVEQLKMLGALDEEGFLTKLGSRMAVLPLDPPLSKMLLASANLGCSDEIVTIIAMLQAGNTFYRPKEKQAQADLKKAKFLQPEGDHLTLLAVYEAWKAKNFSSPWCSENFVQFRSLSRARDIRKQLLTVMDKYELEVVSAGKDRTMIRKAIITGCFFHVAKKEPREGYRTIVDKQVVYIHPSSVLFQRQPDWVIYNELVMTTKEYMREVSAIDPKWLMEQVPRFFKAADPTKMSNRKCRERIEPLYDRYHEPNSWRLSRRRA >ONI32661 pep chromosome:Prunus_persica_NCBIv2:G1:34223995:34225119:-1 gene:PRUPE_1G378800 transcript:ONI32661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSWTSRQNKMFENALAVYDKDTPDRWHNLARAVGGKSVEEVKKHYEMLVEDVNKIEAGEVPLPNYRKPAAAAGNGKAYSNSMDIEEQRMKSLKLQ >ONI35238 pep chromosome:Prunus_persica_NCBIv2:G1:43002699:43004329:1 gene:PRUPE_1G524600 transcript:ONI35238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNHAALLAWTLSFLLFSQQITAQYAPPQPPSNNSGGPRFDIKMAVVMVVLVVVFFILGFLSVYTRQCAQTRLQGHVDLALRNGMARGLDPAVIETFPAFLYSDVKGLQLGKDSLECAVCLNEFQDDETLRLIPKCDHVFHPDCIDTWLLSHSTCPVCRAYLVPKPGEEPYTWVDPTDPDIESGQPDAGPCTVDEPPPPHMPPRQVSVRVVEDQIKEEESQTPVVINLVNVSEGTNQRGPPRSRSTGFRPPRSGSTGRRFTGILFPRSHSTGHSLVRPGENVEKFTLRLPDEVRAQLMNSALIRSRSSNVAFPRAGSTRKGLRNDSVRGKSPSLYERFDPSSGSNRASRSSRWGFSMMPPFVSRNGSVRNQIGDNDVTTQSTVAPSESKKSQLAHIDGDDDEQSTDALRRKDQV >ONI30139 pep chromosome:Prunus_persica_NCBIv2:G1:24773132:24780086:-1 gene:PRUPE_1G233100 transcript:ONI30139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSDSDGSHISATPPRNTKLSRQRPPRPPPQPQPKPLEPKLKPKPKPALLPSHKSILRFRASSKSNPISEPKNPSSEPARPDPPPDPSPLLSPILPYQICRWPSDPSRAIPAVDSGDTLLAPQGRHFYKSPSFSKIRRTTINFDSFEVDEPSSSSSASKSQPKAENGGSDSSVPDWVPPEPKREVASGSGVSAIAVKKRFDLVGGNVPSVPVKFRKSGAEGNFVKLNLNRRKRKFVNRRGNSSSSGRRKFYRKGKRKLKSQGENEGETVGEEDELVTDAIVQQQNRKQDSKRPKFDSELFEEAVLAARHEPSDENLVKLLTLTYGYDSFRDGQLEAIKMVLEGKSTMLVLPTGAGKSLCYQLPSIILPGVTLVVSPLIALMIDQLKQLPHMIRGGLLSSSQRPEEASETIRLLQQGAIKVLLVSPERFLNAEFLSIFSATTVISLVVVDEAHCISEWSHNFRPSFMRLRASLLRAKLNVNCILAMTATATAMTLGSVMSALEIPPTNLIQKAILRDNLQLSVSLSRNRMKDLLMLIKSTSFTDVQSIIIYCKFQFETEMISRYLCDNNISAKSYHSGIPAKDRSRVQELFFTNKIRVVVATVAFGMGLDKRDIGAVIHYTLPESLEEYVQEIGRAGRDGRLSYCHLYFDEDTYFKLRSLMYSDGVDEYVVNKFLCQVFTSDESLHGKICSLVKETASRKFDMKEEVMLTLLTQLELGEVRYLHLLPELNVTCTLNFHKTSPVLLAGNDAVVAAILKKSETKQGQYVFDIPTVANSTGVTATILSNHLQNLKLKGEVTYEVKDQAYCYTIVEVPADLCSLSAHLTKWLSEVESCKVRKLDTMFNAAVFAVNACEKVQGCCDGQHTLSLQRNILQYFNEDDNSEVPNKMGKDSRFLRADIKVFLQSNSQAKFTPRAVARIMHGIASPSYPSTFWSKTHFWGRYTNIDFQVVMEAAKAELINFVRKDAV >ONI35679 pep chromosome:Prunus_persica_NCBIv2:G1:44944921:44948077:1 gene:PRUPE_1G549800 transcript:ONI35679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLEKKDAADWVYRGEGAANLVIGYSGSAPAFIGKVMRLQKAPINGSKSMNSPTALTMHERLLWKDTEDIVATSNKELACQLFVKHIMSPLLGSTHVDSGMRVPASKEFLESVEKNIICQRPASRVDAAKVDTQCDSVLLMSDHSIFPRGTQEVEPCISVEIKPKCGFLPSSKFIDEGSAIKRSITRFRMHQALKLHQGEVSEYSEYNPLDLFSGSKDRMHKAIRDLFSTPQNNFRVFLNGSQIFGGLGGGADSTDFVTRGKFEDALKCVIQGGDGLRTMSFLLLVAETVHKSGVLDRLLEVQKLDNLDIDGAIHAYYDIVSEPCRVCRASGKEKISQRYLSLHSISLDESLKIVKDFLIAATAKDCSLMISFRPRKDGNPGSPYNNLYLDSTKQFFDYKVNFIDLDLKQLKKMEEYYELDKKIVSYYNQMVETEERQKKNTSTMALKTAN >ONI28008 pep chromosome:Prunus_persica_NCBIv2:G1:9185055:9186930:1 gene:PRUPE_1G116600 transcript:ONI28008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTSTTFITLAIIFSIAVTFTNVVDGLTAETVIDSPLLTQKINSNRTIKVDINGKGDFKSVQAAIDSVPEGNSKWIIIHVRKGVYREKVIVPRSKPYIFMRGNGKGRSAIVWSQSSSDNVESATFSVEAPHFIAFGISFKNEAPTGVAYTSQNQSVAAFVAADKVAFYHCAFYSTHNTLFDYKGRHYYDNCYIQGSIDFIFGRGKSVYHGCEIFVIGDKRVSIKGSVTAQNRESEKENSGFVFNKGKLYGVGDTVYLGRAKGAFSRVVYAHMYLSKTVVPQGWTNWSYAGGTETLYHAEYKCKGPGAEAGGRAEWAKQLTEKEAAPFLSIDFINGQEWLPVWL >ONI28873 pep chromosome:Prunus_persica_NCBIv2:G1:13443409:13445251:1 gene:PRUPE_1G165700 transcript:ONI28873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLAGKCSQELWFGILISFAMCFVFLCFDFSTFWGVNNEANLLVTKKVDPIGILNFNQTRDSCSDRYIYIHEGLPSRFNYDFLNNCESLSAGTSISNIPSMCPHLVNLGLGPEINSSEGVLSNKSWFKTNPYLLEVIFHNRMKNYECLTKNFTLASAIYVPFYPSMDVGVHLWDSNLTIRDSSAKHFAKWLSGQPEWSKMWGRDHFFASGRIAWDFRRERDNGSAWGSKLRFLPESMNMTMLTLEGSRWKNDIAIPYPTNFHPAKDSEVVQWQNRVREQERPYLFTFAGAPRPNQNGSIRGKLIDHCQASTKCKFLHCTEKKCGNPVTVMRVFQSSVYCLQPEGDSYTRRSAFDAFLAGCIPVFFHPATAYTQYLWYLPKDHTKYSVFIPVRDVKDLKEGQIENVLLGISKDQEAAMREEVIRLIPKLVYADPRSRLETQDAFDIAVQGILERIENVRKVNREGRDPSIGFADEDNGKFKFPDTMDS >ONI26870 pep chromosome:Prunus_persica_NCBIv2:G1:3623139:3624467:1 gene:PRUPE_1G051900 transcript:ONI26870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILEKLPNLTTLNLKSQAFKENTKILVFSKEGFPSLQYLFVNGMFGITEWRVEEGAMPRLCRLNITYCSGLTTLPDGLRYLTNLRELTIRGMRKELHRRIEEDGEDFYKIQHVPSLVIGEPMQ >ONI36250 pep chromosome:Prunus_persica_NCBIv2:G1:47042798:47046662:-1 gene:PRUPE_1G578000 transcript:ONI36250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLMQIAPHEAEDPTELSLRQAFELLEPKLRPPFSLTIPKPQEYSLLNKAILYGVLYECHLGKTHIKHLHAIVTDGYGLFVSFLTKVVNELYVKLLEPLKCQLIWVTKELIDVLAVGVDGLLVCLLRQIVGGDFSDGNLWLCFEMVNILLTKWDCLLEVESMILTSGLYTYLRLLADHCRQLSNPKLEALKQLEIDFCIKVLKEQFPLCLKIGRDLVRLLQDLVHLPKFRAIWKDLVLNQGEFKSLGFSGIAQLYITRTPSQYMLLRITPEMEAQLRFLLTHVKLGSHKRHQAWFAKKFLCKPNRETVIVDIVRFICCAHHPPNEIIQSDVIPRWAVIGWLLKSCTKNYVEANAKLALLYDWLFFEERVDNIMNIEPAMLLMVYSIPRYIDITHTLLEFLFLLMDNYDAEHYDILVKGVSSSFSVCVKKGVIRSLDVLTSCDALSPILKERLCRFLLGTKFEISGKLKQARLPGHFERVKNSTCMETPTPSPESMR >ONI32092 pep chromosome:Prunus_persica_NCBIv2:G1:32512268:32515516:1 gene:PRUPE_1G347900 transcript:ONI32092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGSWEETVRKAEKLVEEAMKDNDASRDAAHVWRVRDLALSLAREEQGLSLLSSNSNTMQIVELAALLHDIGDYKYLRDPSEEKIVENFLDEEGIEESTKMKILKIIKGMGFKDELAGSANNDLPPEFGVVQDADRLDAIGAIGIARCFTFGGSRNRVLHDPNIQPQSDLSKEKYVKNDEQTTVNHFHEKLLKLKALMKTKAGRKRAERRHKYMEDFLAEFYEEWDGGA >ONI26729 pep chromosome:Prunus_persica_NCBIv2:G1:2987885:2990330:1 gene:PRUPE_1G041800 transcript:ONI26729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVKGLLKGLRYISHMFEEKDDEIQIGFPTDVKHVAHIGWEGPSANSTPSWMNDFKSSPEVSSAGQLAVKELSSQDMHKPGQQLVVHRSDIPRSRRHSPTDEPEGSPTKKISDGPKKHSRRHRSKDGVMDSPSREAKEGSSRHSRSRRNSNNLGSESPSQDPPGIPKQRRKKGSSESGSARSSRRSSRSKGQNSMTDISFEGSEHGSKSGESPMHTVLAGETGSEGFSGGNLN >ONI33602 pep chromosome:Prunus_persica_NCBIv2:G1:37185000:37188285:1 gene:PRUPE_1G435300 transcript:ONI33602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIFTCVFIFLLTLLSFLIFTKRDKREEPQKRANLPPGSLGWPYIGETLQLYSQDPNTFFSTKQKRYGEIFKTHILGCPCVMLASPEAAKFVLVTEAHLFKPTYPKSKERLIGPSALFFHQGDYHIRLRKLVQGSLSLDIIRNLVPHIEAIAVSGSDSWGAGQVINTFHEMKKYSFQVGILATFGHLEAHYKQELNNNYTIVDKGYNSFPTNIPGTLYKKALLARKRLREILGDIISERKEKRLLEKDLLGCLLNSKDNKGEVLTDDQIADNILGVLFAAQDTTASVMTWILKYLHDEPKLLEAVKAEHDAIRESNEEGNQPLSWAQSRNMPISSKVVLESLRMASIISFAFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFADPHKFDPSRLEVAPKPNTFMPFGSGVHACPGNELAKLELLIMMHHLVTKFRWEVVGSQNEIQYSPFPVPIHGLPAKFWKKSTC >ONI28129 pep chromosome:Prunus_persica_NCBIv2:G1:9879635:9882041:1 gene:PRUPE_1G125300 transcript:ONI28129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKLGLKRGRWTAEEDEILTNYIQLHGEGSWRSLPNNAGLLRCGKSCRLRWINYLRADLKRGNITAEEEETIVKLHTALGNRWSFIAAHLPGRTDNEIKNYWNSHLSRKIYTFTNLGNDYLPTITNVGKKTAASFKDRRAAARANRSSIMNKNKNVLAPSPQRSQAKPQQSGTNIADHVVLQLEPSARENQKGDEAIRMLGLKGSCLDSTERINLDHCGDQKEGAELCLGNKVNITSSTVLSGDNNGEKEVLGLCECVESEIRGLESGVVDPSGNVGFKEQREDGIIMEGSITDEVTKSEGRKSTSLWSSNAESGSGELYSFSSSMNSTFDDEWLSWGWAGGFECHNELELWDEGERIAWLWESGSTGEGH >ONI28888 pep chromosome:Prunus_persica_NCBIv2:G1:13592206:13593363:-1 gene:PRUPE_1G167000 transcript:ONI28888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKIMAKRAPGLTLLQKGNPSSRYSLYGLVFFRSQFCSFAVNRCPTVSVKETIDLEDLVKTRCKSGNLGLDEALGHFDSVIQMKPIPSIWAINHLFGALSKMNQCSTVVSMYKQMLACVGLHPEVHTLSVVINCLCRMNRVDLGFSVLATILKHGLQPNAYTLNALLHGVCKYRSLSEAMELLQKIEEKGLACCEMTYATIINGLCRAGKTCMALEILEQMYEDGRFKPDPQCYNPIIDRLCKERRTDEALTLFRDMINKNVAPDIVSYTSLIYGLCNMGLWTRALALFEMMNKKGRKPDVVTFNSIISAACKSGKWEEAVRLFRNMIDCGA >ONI30567 pep chromosome:Prunus_persica_NCBIv2:G1:26851527:26854758:-1 gene:PRUPE_1G259100 transcript:ONI30567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVWSSDCFSDLLCCEDYSGILSGESPECSSDLESPACSEESIAGFIEDERNYVPGFDYLSRFNSDHSLDISARADSVAWILKQANGWPMQLLSVACLSLAAKMEEPLVPSLLDLQVEGTQFIFEPRTIRRMELLVLGVLDWRLRSITPFSFVAFFACKLDPAATFIGFLVSRATEIILSNIKEASFLEYWPSCIAAAAILSAANEIPNLSLENPEHAESWCGGLSKEKIIGCYRLMQEVVVENSGIKKSSPDVLPQLRVTVRGRVRSSSESSSSSVSLSSSSPMSYKRRKLNNCLWVDDDKGNSE >ONI30568 pep chromosome:Prunus_persica_NCBIv2:G1:26851527:26854757:-1 gene:PRUPE_1G259100 transcript:ONI30568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVWSSDCFSDLLCCEDYSGILSGESPECSSDLESPACSEESIAGFIEDERNYVPGFDYLSRFNSDHSLDISARADSVAWILKVQSYYGFHPLTAYLSVNYLDRFLYSRRLPQANGWPMQLLSVACLSLAAKMEEPLVPSLLDLQVEGTQFIFEPRTIRRMELLVLGVLDWRLRSITPFSFVAFFACKLDPAATFIGFLVSRATEIILSNIKEASFLEYWPSCIAAAAILSAANEIPNLSLENPEHAESWCGGLSKEKIIGCYRLMQEVVVENSGIKKSSPDVLPQLRVTVRGRVRSSSESSSSSVSLSSSSPMSYKRRKLNNCLWVDDDKGNSE >ONI28917 pep chromosome:Prunus_persica_NCBIv2:G1:13742342:13742769:-1 gene:PRUPE_1G168700 transcript:ONI28917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKKAKLAWITNDSARKTNFRKRKEGLLKKLSELGILCDVSGFAIIYGPDDKEPVVWPSNPIAEELLARFQRIPKVDRCMKMMNQETYLNDRKNKEMEMNIIMSQIQEGKPMNEFGTGELTGLKQIFH >ONI29523 pep chromosome:Prunus_persica_NCBIv2:G1:19782236:19785510:-1 gene:PRUPE_1G201100 transcript:ONI29523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHGRLILFTFLHGFLLLCMNTPMESATLPSCNTFGNETDRLALLDLKKRITQDPLHVMSSWNDSLHFCNWVGVTCNRCTKRVVILKLTAQKLAGSLPKSIGNLSHLTGIDLVNNSFAGEIPQEIGRLGSLRSLNLSRNSFGGKIPSNISHCAQLRVLRLVSNELIGSIPNQLSSLVNLYYVSADQNNLTGAIPNWIGNFSYLHGLYLTQNNFRGSIPNELGRLTRLAEFSFGLNNLFGIVPSSIYNISSITTFDVTGNQLRGELPPNVGISLPNLEIFECGMNNFTGAIPASWSNSSRLQKLDFGGNGLTGTLPAENLGRLRSLVWISFSRNRLGSGKADDLNFLSVLANCTGLEVLGLDNNHFGGELPRSIADLSTQLKYLTLGGNLIHGSIPEGIWNVTSLVLLAMDNNYFNGSVPDAIGKLQMLQVLYLNFNKFSGPVPSTLGNLTSLIKVFIQENRFEGSIPPSLGNCQSLLTLDVSNNRLTGTIPIEIFGISSLSVYLRISNNSLTGSLPSEVGDLVNLVELDVSGNKLSGEIPTTLGGCIMLERLYMQGNEFERTIPESLKGLRTLEEMDISHNNLSGEIPKFLEKLRFLKYLNLSYNDFEGELPKEGIFSNASGLSIIGNNRVCGGLPKLLSHACSIKKSNSSSHRLLAPKVIILVACAVACIIALSCFIVARSKVKKSRGGLVTSDSCKGWKSVSYFELVESTNGFSVDNLIGSGSFGSVYKGVLPSDGRAVAVKVLNLQQRGAFRSFIDECNALRSIQHRNLLKIITACSSIDNQGNDFKSLVFEFMANGSLDSWLHPRDDEQPQQSKRLSLIQRLNIATDIASALDYLHHCCETTIVHCDLKPSNVLLSEDMVAHVGDFGLARFLLEASDNYSQSQTMSAGLRGSIGYIPPEMFTGKRPTDDMFKDGLSIHQFTAITMPDHVMDIIDPSLLIERNDAHGDGERYEGEIRARRTTSYQHGSPIQATRLEECLVSVMQIGLSCSAISPTERVQMDIVVNKLKAARDSYLNLRRRREE >ONI32045 pep chromosome:Prunus_persica_NCBIv2:G1:32341997:32352344:1 gene:PRUPE_1G345900 transcript:ONI32045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGFCERGAIAFRSLQLTQLYPRSPPTPACLAPSLLSISISSNRSLTTSYKCLLLKLASYSSLSVTSSFRTTMTGVESKGVEWPAKRVRDTFMRFFEEKSHVYWKSSPVVPHNDPTLLFANAGMNQFKPIFLGAADPNTQLSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIGWAWELLTQVYKLPEDRIYATYFGGDEKAGLAPDNEARDIWLKFLPSARVLPFGCKDNFWEMGDTGPCGPCTEIHYDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFMPIFDAIQQATGARSYSGKVGLDDVDKVDMAYRVVADHIRTLSFAIADGSRPGNDGREYVLRRILRRAVRYGNDVLKAKEGFFNGLVGILVTVMGDVFPEVKQHEAHIREIIKEEEETFEKTLQKGIERFKKAAQDVQGKTFSGQDAFVLWDTYGFPLDLTQLMAEERGLLVDVEGFNNAMDEAREKSRNAQTKQAGGAIVMDADATAALHKRGVAATDDSFKFIWCQDHESVIKAIYTGSEFINSASAGNEVGIVLGSTSFYAEQGGQIFDTGSLEGSSLSFQVCNVQIYGGFVLHIGSFSGESGKLSVGDKVNCKVDYNRRTLIAPNHTCTHMLNFALREVLGSHVDQKGSIVLPEKLRFDFSHGKPVEPDNLRRIESIVNEQIKAELDVFAKAVTLVEAKRINGLRAVFGEVYPDPVRVVAVGRKVEDLLADPENKEWSSISAELCGGTHISNTREAKAFALLSEEGIAKGIRRITAVTTDGAFKAIELAHSLEQEVDEASKAEGSLLEKKVASLKSRVDSAPIPVANKAEIRAKIAVLQNQVRKAQKIIAEQNMQNAVKVATEMAEVAASDGKAYCVSHVDVGLDAAAVREAVVKVIEKKGMPAMVFSTDETTNKAVVCAGVPGDKGNQLEVSEWLTASLGPLKGRCGKGKGGLATGQGTDASRLNEAVDLATSFAQMKLR >ONI26460 pep chromosome:Prunus_persica_NCBIv2:G1:1848628:1849053:1 gene:PRUPE_1G026600 transcript:ONI26460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFIRNAMLLLILFSLYRINICDTDEGTLLIKRRFVRVTNDLGGNLTLTVHCKSADDDIGVQVLPPHASFGFNFRPSWIFSTQFYCSFQWPNTFKWFDIYDNRRDAPHCSKCWWRIVPNGPCRFNWYDKTYEVCCQWNKD >ONI33859 pep chromosome:Prunus_persica_NCBIv2:G1:37956484:37956840:-1 gene:PRUPE_1G450400 transcript:ONI33859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNLDLIILALLHGSFIAGFLFLVTSVMLTALLLAFSLGLVSILINDLCYISLLFSFYFETILKHNLELGFVLIVFKLLHHAVRIILALKPFLERKISQLKAKARHVMNLIDGLRLS >ONI33431 pep chromosome:Prunus_persica_NCBIv2:G1:36526626:36528910:-1 gene:PRUPE_1G423600 transcript:ONI33431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDPSSPDLHCHEDPNFLYEDDEEMAEVNVVVNQNEIELEEAHLHSLIDQEIAFGFRRVEDLVIDDWVQEARLQAITWVQTRTRALGFHPRTAYLAITYFDRFISLQAIPEKKRAAFVRVISVACLLLAAKMEEMNRPPLSQYTIQFQGGSIKKMELLVLVVLKWEMNLITPFAFLDNFIAKLCQESPPGLKSGIEQRLLSIMTEIHLMHHRPSAIAAAATLMAVDQNLAQEALEAKITSIPELYFLEIEAVYSYYTIMQVP >ONI26222 pep chromosome:Prunus_persica_NCBIv2:G1:818007:825313:1 gene:PRUPE_1G010700 transcript:ONI26222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKVLEVLYTFPVEGILNKLASLAAQEISLFRGFKKELTKLRQSLLEIQDFLGDVAHQPQERGKALKDWVRKLKDIADDADNVLDEINYEDLRRQVELSNQKRKKVRNFLSSSNPHLFRQKMAHKIKSINASLLDLKYEASFIGLVSKKIDPTPPEIGGARETDSIFEEDEIVVGRNEDLSKIITILTDSNLDQENLSVMPIVGMAGLGKTTVARSLFNKDSIGGHFEKKIWVCVSNTLEVNSILSWILEYLNPTKAGIQRLDALLKNLIQELEKKRFFLVLDDVWNEDPQKWSNLMTCLSKLHSAQGSVIIVTTRSASVASITKKVLPMYVLRSLSVDDCWDILKKRAFPDGNAHIAKDLETIGREIARKCAGIPLTAKVLGSMMRSKYSIDEWLEIQKSKMWELPEGDERIMSILKLSFDNLKSPSLKQCFAYCSNFKKDFEMERADLIQLWMAQGFLCSSDNKDMEDIGDEYFTILLQNSLFQDVIRDDFGTIIYCKMHDLVHDLAEVVSRSEMEDKLENQHVAWDPSKSSERNVEKRRSLFVNGDQALSINTLLMSFKALRVLNLYRADIEELPSSIGVLIHLRYLNVSRTKIKQLPKSIGKLYNLQTLRMEDTWNLKTFPKEMENLINLRHVYFDYDMPVPFGMGRLEHLQTISPSFTLDKESNRGIEELGGLNQLKGALIIRGLEHVRDRGQAGASNLVGKANLRRLTLEWSFYYSVRKEKDIDVLEGLRPNSELEIIKIDKFMGAKLASWMMNGLLPLNLTEIGLINCRECEQVPSLGHLPNLRLVEFSSMYKLKCVGVEFYGYNHVNGAAMSRKKKETLFPALKSLTIDYCPALIKWEELPTDEKVAVFPCLEELTIKFCYSLEFIPITLGKGMSCLRKLQIQFCQKLSTLPTSLEYCISLQELEIRGCHGLTSLPSGLPSCTSLKELRIESCDNLISLADLDISRLQSLSSLHIFNCGKLNYLPIEGLRSLTCLESMKMGPFSEELDSFPDFELPSQIQRLVMKGWPNLRPKSLPQQIQHLTTSLTSLEIDSFDGVEALPEWLGNLTSLTTLSITQCKNLVSLPAFEVIQRLTKLQELVIEACPRLGGRSALKSGPEWHKISHIPRIDEGSYAIGRTNNAH >ONI29760 pep chromosome:Prunus_persica_NCBIv2:G1:22681781:22683596:1 gene:PRUPE_1G213500 transcript:ONI29760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALCCCPCSEDFEEYALPSNSVYRHCTCLRYFFHQLFSGYSAPFQRLDGRPPSSPLPGATLVSSGVGTTLPNNSLNDTQLSVSRPSPFDADQRYSRLQRDGLVSRRDKSMTHLQEDAQQLRRGSSGTESLGFGKKWNGDDNEEDCKFGQSETSEKVLATKLAYGLTFVQPSSEDEDVCPTCLDEYTTENPKITTRCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >ONI29759 pep chromosome:Prunus_persica_NCBIv2:G1:22681504:22683596:1 gene:PRUPE_1G213500 transcript:ONI29759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALCCCPCSEDFEEYALPSNSVYRHCTCLRYFFHQLFSGYSAPFQRLDGRPPSSPLPGATLVSSGVGTTLPNNSLNDTQLSVSRPSPFDADQRYSRLQRDGLVSRRDKSMTHLQEDAQQLRRGSSGTESLGFGKKWNGDDNEEDCKFGQSETSEKVLATKLAYGLTFVQPSSEDEDVCPTCLDEYTTENPKITTRCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >ONI32158 pep chromosome:Prunus_persica_NCBIv2:G1:32706293:32708784:1 gene:PRUPE_1G351600 transcript:ONI32158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLTGRLLLIVVAETEKMGQVQSEAATVDQQGHWGSSAVPSASPSFSSMDSLIAEAATYGNDGNESLDAKAQKALECPCIANLRSGPCGHQFAEAFVCYLKSTVEEKGSDCVHPFVVLQKCIKANPHAFSKDVLKEDEVKKEEKLTQDYKIIPPKWSRESPSPKSKL >ONI27215 pep chromosome:Prunus_persica_NCBIv2:G1:5316445:5319290:1 gene:PRUPE_1G074300 transcript:ONI27215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGKILKTSPIMDMLKTFSKVFKKLSWSCESMGSALIIQSLRELIRFQNPSMVFLMETKQNIHRKNRLRQQLGFWKGFHVDPIGQAGG >ONI26352 pep chromosome:Prunus_persica_NCBIv2:G1:1370612:1371751:-1 gene:PRUPE_1G019600 transcript:ONI26352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKMKSPSLTLSLRVIFSIIFLFKPSHQVSNPRLLQAYTALQAWKHVITSDPNNFTANWCGLQVCNYTGVYCAPALDDPHTITVAGIDLNHANIAGLLPEELGLLTDLALFHINSNRFCGTIPYSFRYLRLLHEFDISNNQFSGQFPSSVLYINSLKYLDIRYNNFHGEVPSALFNLKLDALFLNNNRFQFSLPQNIGNSSLSVIVLANNDLKGCIPSSLANLKDTLNEVILINSGLKGCLPSNLGLLDKVRVFDIGQNKLVGALPESMGGMKNLEQLNVAHNKLSGEVPASICSLPKLENFTYSYNYFCGEPPICIKLSEQDDRKNCIAYRPLQRSPQECATFYAHLVNCDAFGCTPRSPPPPSPPPPPPHVASHYP >ONI34756 pep chromosome:Prunus_persica_NCBIv2:G1:41181834:41182874:-1 gene:PRUPE_1G496900 transcript:ONI34756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFDVEEFIRNYECLAPKRYSYANVKKMTDNFKDKIGKGGFGTVYKGRLPDGLVVAVKVLSETKGNAEDFINEVASIGRTSHVNIVTLSGFCYERRDKRALIYEFMHNGSLDNFIHKQGSETANCRLEWKTLSEIAVGIARGLEYLHRGCNTRILHLDIKPQNILLDKNFCPKIADFGLAKLCKTKESIVSMMGTRGTAGYIAPEVFSRNFGGVSHKSDVYSYGMLVLEMVGARKNLDSGVSHTSEMFPHYIYKDLELDNDENVFGAITEEEKEIARKMVLISLWCIQTNPSDRPSMSKVVEMLEGPLHSLQIAPKPFLFSPTIPAAQGSMTASQQSEIEDISGN >ONI31251 pep chromosome:Prunus_persica_NCBIv2:G1:29635093:29641629:-1 gene:PRUPE_1G301500 transcript:ONI31251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGRRRKLRFSKIYSFTCGKSSLRDEHSQIGGPGFSRVVYCNDPDCFDAEIRNYGDNYVSTTKYTLATFLPKSLFEQFRRVANFYFLVIGILAFTPLAPYTAVSAIIPLIIVIGATMVKEGIEDWRRKQQDIEVNNRKVKVHKGNGAFDYTPWKNLRVGDIVKVEKDEFFPTDLLLLSSSYDDAICYVETMNLDGETNLKLKQALEVTSSLHEDSNLCDFNAVVKCEDPNANLYSFVGTMEFAKQQFPLSPQQLLLRDSKLRNTDYIYGVVIFTGLDTKVIQNSTDPPSKRSRIEKKMDKIIYFLFFILFTMAMVGSIFFGIATKDDLNNGIMKRWYLRPDDSTIFFDAKRAPYAAVYHFLTALMLYSNFIPISLYVSIEIVKVLQSIFINRDIHMYYEEADKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSVAGIAYGRGYTEVERAMGRRNGSPLVHESINREANVKDSTDTKPPIKGFNFKDERIMNGNWINEPHAEYIQKFFSLLAICHTAIPEVDEDTGKVLYEAESPDEAAFVIAARELGFEFYKRTQTSISLRELDPVSGKKVERSYTLLNVLEFNSTRKRMSVIIRNEEGKVLLLCKGADNVMFERLVKNGTGFEEETMEHLTEYADAGLRTLILAYRELEEDEYREFNEKFVKAKNSISADRETFIDEVTDKIERDLILLGATAVEDKLQNGVPDCIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIINLESPEIQALEKTGDKEAIAMASKRSVLHQITRGKAQLTASGGASEALALIIDGKSLAYALEDDMKKMFLDLAIGCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFLYEAHTSFSGLPAYNDWFLSLYNVFFSSFPVVAMGVFDQDVSARFCLKFPLLYQEGVQNVLFSWRRILGWMLNGVTTAVIIFFFCTKALEHQAFNNEGKTVGRDILGATMYTCIVWVVNLQMALSISYFTLIQHLFIWGSVALWYLFLLAFGAMSPSVSTTAYKVFVEALAPAPSFWLITFFVPISALIPYFTYSSIQMRFFPMYHRMIQWIRYEGHSNDPEFCNMVRQRSLRPTTVGFTARLAARTSRTKDRQRNRR >ONI28589 pep chromosome:Prunus_persica_NCBIv2:G1:11779046:11786624:1 gene:PRUPE_1G150100 transcript:ONI28589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENNQKTCQCDQEMAESEQSSVWDVVIKQTKEAQEKGTDPFLWSMQVSSNLSSAGVCIPSMELANVLVSYICWENNVPILWKFLEKALVLNMVPSMLVLALLSSRVIPNRRVQPAAYRLYMELLKRHAFSLRSQINGPNYRKVMISIDTILNLSKIFGLQTSEAGTIVVGFIFSTVWQLLDASLDDEGLLELTPEKKSRWPTKPQDMEIDGFDNHCEKRIEHLERLQNHNTSMAIELIWQFLQNILTSKILNLAKRNMPIHWEAFIQQLHLLAENSSALKNSKVTSPEAILQVASDICITPQNSKLRSVQKCHSIMDSSEGLSRGIGRSTIWLPLDLVLEDAMNVTQVNATSSVEVITGLIKVLQAINCATWHDTFLGLWIAALRLVQRERDPLESPMPHLDSRLCMLLSVTPLVVAGLIEEDESAQVDKKEHSFVSHWRKQKVTGKSRDDLVSSLQMLGNFIGLLAPPRSAVSAANQAAAKAMFFISGTKVESAYLDYISTKDISIDCSGNMRHLIVEACIARNLIDTSAYFWPGYVKGCINEIPCEPAQVPGWSSLMQGSPLTPLLTNELVSTPAPSITELEKIFEVAINGSDADKISAVAILCGASLIQGWNIQEHTAHFVIRLLSPPCPANYSGSGSHLIGYAPLLNGLVAGISSIDCIKILSQLGMVPQLACSLMTICEIFGSCVPSDSCSNTTGTETSDPHIVFSNGFTLLLKLWRFNYCFADRPGHVSTVRSNISPEYLLLVRNSHLASSANVHQDHNKRRLAAVASSSSAQPIFVDLFPKLKAWYMQHNACIASTLSGLPRGTPVHHHVDELLNMMFKEKEQGRQSAESNTSASGSSSKEANEHTFLSKLPAWDILAAVPFVADAALTACANGILSPRKLATGLKVLVDFLPASVATIVSYFSAEVTRGVWKPAFMNGKDWPSPNANLSNVVEKIKEIIAATAVEVSSAAASDGSCQATMPLPLAALVSLTITYKTDKDSKRYLDLAKVALASIAAGCPWPCMPIVYSLWTQKAKRWSAYFVFSGSRTVFLQHRNAFVQLLKSCFTATLGLHSTPLSYNGGIGALLGHGIGSHIHGRVSPVAPAILYLHAYPYLSDIVFLNKEIVSLLMHSVREITGSGFPIEGCKRLKMAKNVMIQGTYQMDSNLTRVKLVASLAASLVWLSGGLGLVQSLFKEFLPSWFVSVHSSRQEGEPNGVAWLKGYVVAYFASLCGGFVWGVDSSSWGSKRRPKILGTHMEFLARALNGQISLGCDETTWRSYVLGFMSLMVVCMPTWMLEVDVDVLKTISKKLRQWNEEELALDLLGIGGVDFMGAAAEMIIQSEP >ONI29754 pep chromosome:Prunus_persica_NCBIv2:G1:22661018:22661581:1 gene:PRUPE_1G213100 transcript:ONI29754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHIIYRKGPFNQQGIYYFLVCILMGLPMRSSVLFIVALVPMLLLAWPAAQEVVHGQEALGQCLTVCFQEMTSCMDWCSDRSQAPDADENCYNECIDYNNFCMDNCTG >ONI32420 pep chromosome:Prunus_persica_NCBIv2:G1:33609355:33610448:1 gene:PRUPE_1G367000 transcript:ONI32420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPSIPRLHSPFLCCPLKLSTSPSSLCISHKFAGNQRSPRSYPCIRALDLDQNTVVAISVGLVSVAVGIGIPVFYETQIDSAAKRDNTQPCFPCSGTGAQKCRFCTGTGTVVVELGGDEKEVSNCINCDGVGSFTCTTCQGSGIQPRYLDRREFKDDD >ONI36308 pep chromosome:Prunus_persica_NCBIv2:G1:47186449:47188777:-1 gene:PRUPE_1G580200 transcript:ONI36308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGLLLPISSLLILIFLAYKLYQRLRFKLPPGPRPWPVLGNLYHITPVRFRCYAEWAQIYGPIISVWIGYTLNVVVSSTELAKEVLKEHDQKLADRHRNRSTTMFSRDGQDLIWADYGPHYVKVRKVCTLELFSAKRIEALRPIREDEVTAMVESIFNDCTNHAESSGESLLVRKYLGTVAFNNITRLAFGKRYANSEDEIDEQGREFKEIISNGVQMGGSLAIAEYILWLVWMFPQQEKALVQHFARRDRLTREIMVEHTQARTKTGDAKQHFVDALLTLKDEYDLSEDTVIGLLWDMITAGTDTTAITVEWAMAELIKNPRVQEKAQEELDRVIGFERVMTEDDFPNLPYLHCVAKEALRLHPPTPLMLPHRANSHVKIGGYDIPKGASVHVNVWAVARDPAVWKDPHEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLSVNLVESMLGHLLHHFSWALAEGVNSKEVDMSENPGIVTYMTTPLQAVPTPRLPSHLYKRVAAEI >ONI30957 pep chromosome:Prunus_persica_NCBIv2:G1:28586737:28592032:1 gene:PRUPE_1G284200 transcript:ONI30957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPRRWRFVMIAGVASAYWCEKTHNIRDLKALMDKAEINECEIVEKIAEEMCCFGMLVGKIKDRFGHIWELSSSAGKKSDKKFDKSSLVNFIFYFLRYFVSVSVLLLLNPLSLLILTL >ONI27850 pep chromosome:Prunus_persica_NCBIv2:G1:8659825:8667371:-1 gene:PRUPE_1G108200 transcript:ONI27850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEMCFDCLHRRIQSDFSEKLVFVYGLSDSAFPFGSTAVVQLWNSSGQTASAPQFLLSYLPSHEQDCLTKYVNEYIGDNAEGCSDSVIANTIPSSEVIRDEEEVSSDVNNDQKPKLDSLSNGGTKTFLQSSTCNHSSRFSCSRVISGLAPITHVGICSDSIFEELASEFLSRSLEDHILSSLSLLIEGKASGRDSVNFLNLLGVPSFDENQFPGSLRHPNIAPVLGMVKASMYIDIVLPKTPHTLENILHYSPDALKSDWHIRFLIYQLLSALAYIHGLGVSHGNICPSSVMLTESCWSWLCICDKPGVGFNPSSRGNRCTTIIPEKVGCSITGCPSQGLYADLKLSPSIDWHRDFNQWWRGEISNFEYLLILNRLAGRRWGDHTFHTVMPWVIDFSMKPDENSDAGWRDLNKSKWRLAKGDEQLDFTYSTSEFPHHVSDECLSELAVCSYKARRLPLSVLRMAVRSVYEPNEYPSTMQRLYQWTPDECIPEFYCDPQIFHSLHAGMTDLAVPSWACGPEEFIKLHRDALESDRVSRQLHHWIDITFGYKMLGQAAVAAKNVMLPSSEPMMPRSTGRRQLFTQPHPMRRGAIPKPCDSTNGSALYQGKMNELSSESSVLFETAYLQDLEDASAFCEHAMHLSALYGYHLDSMKDIAPVEESSGEYVKKSVTLSDTKKNQWLRHIDTNYLLEHVEVLDEGSSGYQELLLWRQKSSCSKTFSEEIARDIFSVGCLLAELHLRKPLFDPTSLAVYLDSGLLPGLIHELPPHTRLLVEACIQKDCMRRPSAKCLLESPYFPTTVKASYLFLAPLQLLAKGGSCLHYAANFAKQGVLKAMGTFSAEMCAPYCLSLLVTPLSDTEAEWAYTLLKEFIKNLTPKAVKRIVLPAIQRILQTTGYSHLKVSILQDSFVQEIWNQTGKQAYLETVHPLVILNLYAAAHKSSAAAASVLLIGSSEELGIPITTHQTILPLIQCFGKGLSSDGIDVLVRIGGLLGESFIVRQMLPLLKHVFHSCIDISRINKPEPVHSWSAFALIDCLMTIDGLVAFLPREVVAKELIEDKSCLHVLVLMQTSLEYRVLQVAATTLMAFCQRIGPDLTALHVLPQLKELFDELAFSPKTANASTSFGRRLKGSKPKIDGALIESRMDLVLLLYPSFASLLGIEKLRQCCATWLLLEQYLLQYHNWKWEHTGELSRSGSDTVLSKRNAFRKGSTSEYSPAKLLLNGVGWSIPQSQGSRSAKNLMPQKRLFEMHQSPAEMHAATSNLKFEPWFWFPSPAASWDGPDFLGRAGGVKDEHPWKIRASVIYSVRAHPGALRYLAVCPDECTVFTAGIGAGFKGTVQKWELTRINCVSGYYGHEEVVNDICVLSSSGRVASCDGTIHVWNSRTGKLISVYSEPSVDSAHSASPPSSSSRVNVDQVNMLNSNTLSGGILTGAFDGSLYTCMHQTEFGEKLVVGTGNGSLRFIDVVRGQKLHLWRGDSTESGYPSLVSTICSCGSDKMQPDGASSPSWIAAGLSSGHCRLFDARSGNVIASWKAHDGYVTKLAAPEDHLLVSSSLDRTLRIWDLRRNWPSQPTILKGHTDGVSSFSVWGQDVISIARNKIGLSSLSKSGDEDGPQAVTCQKLYMADHGARNFSVLSSISILPFSRLFLVGTEDGYLRICC >ONI31094 pep chromosome:Prunus_persica_NCBIv2:G1:28985327:28987614:1 gene:PRUPE_1G292000 transcript:ONI31094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCREPVILLSSFLCLSLLLALVKMFEKLWWKPVRIQKLMALQGIKGPSYRLIHGNTKEISNMKKEAMSRPKSLSHDIFPQVQPHIQLWTKLYGKNYLQWHGSKAQLVITEPEICKEILNNKDGALQKTETLGYVKKLLGDGLTRSSGEKWSKLRKLANHAFHGESLKSMIPAMIASGETMLERLKNHEGKEIEVYDEFRFFTSEVISRTAFGSSYLEGKNIFEMLMKLSFLTFKNALKLRFPGISKIFKTRDEIESAELETGIRDSVMEVIKKREKKAMAGEEDGFGSDFLGLLLKAHHDTNEKQRISVDDLVDECKTFYFAGQETTNSLLAWTVFLLALHRDWQEEARKEVLQLFGKQTPHPEGISKLKTMSMIINESLRLYSPVISFERKAAREVRLRNLIVPANVELQIPNLAFHHDAKFWGADVHLFKPERFSEGVAKATKDNMVAFLPFGMGPRTCVGFNFATIEVKIALSMILQHYSFTLSPAYVHSPFHFLTVRPQHGVRVTLHPL >ONI27380 pep chromosome:Prunus_persica_NCBIv2:G1:6138662:6141863:1 gene:PRUPE_1G082700 transcript:ONI27380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINRDSTPPPMIGKIGPYTVFMTPPSTPKPPEPVFDSPKKVAPPPVQPPPQQLVQPMAVSDSAANGSVLGVFKNAVNKVQKAHSSLDDHLARWFGLNQSKYQWALDDYYESKGLEKGEKPKERSSKMQSV >ONI28711 pep chromosome:Prunus_persica_NCBIv2:G1:12364066:12366369:1 gene:PRUPE_1G156500 transcript:ONI28711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFGAASGGGDFEAKITPLVIMSCIMAASGGLMFGYDVGISGGVTSMPPFLKQFFPVVYKKTQETGLESNYCKYDNQGLQLFTSSLYLAALISTFFASYTTRSLGRKLTMFIAGVFFVVGTVFNAAAVNLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGALNILFQLNITIGILFANLINYGTAKIEGGYGWRVSLGLAGIPAGMLTIGSLIVVDTPNSLIERGKLEEGKAVLKRIRGVDNVDPEFLEIVEASRVAKEVKHPFRNLLKRRNRPQLVIAIWMQIFQQFTGINAVMFYAPVLFQTLGFKSDASLYSAVITGAVNVLSTVVSIYFVDRAGRRVLLLEAGVQMFLSQLVVAIIMGLKVKDHSNNLGHGLAIIVVLMVCSFVSSFAWSWGPLGWLIPSETFPLEARSAGQSVAVCTNMLFTFIIAQAFLSMLCNMKFGIFLFFTFWVFVMTIFVVVLIPETKGVPIEEMTERVWKQHWFWKRFMDDVEDDPKGKLHA >ONI30606 pep chromosome:Prunus_persica_NCBIv2:G1:27056782:27060546:1 gene:PRUPE_1G261700 transcript:ONI30606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMIQQTWQLLLLNLNLFFVFCFYGIFVLPLCHGQNIETFYPFTALAPAPAPAPTPQIAPISPSLPPSTQAKEQPQPVLPAAPQKSSSNKSSIAKAVGATAACTLVVSGLVFFLVQRFVVAKRRRKINGGGSGTSSTGSQGGRPQPPVVDRNEFARYDGNFKGFIVDENGLDVLYWRKLEERNSKKSFNKEVLRNPKNGEEINGDDDDDDDAEGIRRRKHEPIQEVPLLRGKSSSSHINDVSEVSDPNQNRSMALKAVEKSEPEVELTIRSSSPPPPPSPPPTPPPPPLLPPLPISNKKSPAAPPPPPPPSTIAAKNSSAPPPPPPPKPKARSLNALSKPSTGPKGMEGDSKPAESSSGAGNGQVKLKPLHWDKVNTNNTQHSMVWDKIDGSFRFDGDLMEALFGYVATNRLSPQRDSNQVNPRGTNVGPSPQICILDARKSQNIAIVIKSLTISRKEILDVLMEGRGLNAETLEKLARIAPTEEEQSQILEYNGDPTRLADAECFLYHILKAVPTAFTRLNAMLFRYNYDLEILNLKESLQTLELGCKELRTRGLFMKLLEAILKAGNRMNAGTSRGNAQAFNLSSLRKLSDVRSSDGKTTLLHFVVEEVIRSEGKRCVINTNHSLSRSSSQSHNRNLSSENLKPKEDREKEYMMLGLPMVGGISAEFSNVKKAAAIDYDSFAGTCSALTTRVAEIRELVIQCENNGGGRFVREMKAFLEAAEEELTVVREQQKSVMELVKKTTEYYQAGVSKDKGAHSFQLFVIVKDFLGMVDQACVEISRNIQKRKTVTTSLGTSSANSPPRNPVRFPILPKNFMSGNSRSTNSDSDNDF >ONI35633 pep chromosome:Prunus_persica_NCBIv2:G1:44713998:44717450:-1 gene:PRUPE_1G547000 transcript:ONI35633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDILPSLEDQGVHQLYPKGPNIDFKKELRSLNRELQLHILELADILVERPSQYARRLEDISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKQVVEDIKRRREEARRLLKESIGTLEDTDASFVLK >ONI27610 pep chromosome:Prunus_persica_NCBIv2:G1:7594392:7594844:-1 gene:PRUPE_1G096300 transcript:ONI27610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPDCFIQLIMGCITYVSYSLLIQGCPLGLLTPTQGLRQGNPLSSYLFLLVTEGFSSSLQKANQDSRVFGVSIVPFAPSLNHLFFANDTLLFCDAEFTQIMELKRLFSLYEATSRQQINFAKFAIYFSPSNSESPQAQLQALPVFPCHE >ONI32219 pep chromosome:Prunus_persica_NCBIv2:G1:32909909:32911251:-1 gene:PRUPE_1G354300 transcript:ONI32219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMKPDRKPPLAKSPIRLRPRRVLGSNANCMPTPAGRSEIGFEQTDKILTGGSLRKSEKARRSGTWEMEEAELRPEYRSISWELRALEKMVTGEELGKEDYCGGGGDTDSSSSHRLSSLNSSPLFERGRFYEEYSARRNERLNRKNKIKVKEGGCETNYSKTAASASASKYKLGVTVESAKRNSFTSTRTTTSSRKLQSLRKSVSAAYSVVETQQAPSPTPAPAPTPRYMLRSLMNKENMKPPLPQPTLYSSHQNHKSANRGGRKTGAPTPTPTPSPRVGYY >ONI31630 pep chromosome:Prunus_persica_NCBIv2:G1:30862718:30865133:1 gene:PRUPE_1G323100 transcript:ONI31630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEEDFKLLKIQTCVLKVNIHCDGCKQKVKKLLQRIEGVYQVNIDADQQKVTVSGNVDSATLIKKLTRAGKHAELWSQKSNQSQKQKNNCIKDDKNNKGQRQGIIKNLEAFKNQQKFPSFSSEEDDEYFDDDEEDEEDEFRLIREKVNQLGMLRQQAIDANNGKKGAGVINAAAANNVGNVNAGKQGHANQNMGMKPSPGGIDPKTMAALKMSNAHLGGGNINAGEGKRGNMNDLSTMMNLAGFHGNGANATAALGPNSNGLGGFQAPANSGYSHHQASNAEFPNGAGYSTGQYPASMLMNSNGFSHPSQMMNMNMNMQARQAMQQQQPQMMYHRSPFVPPSTGYYYNYSPSPAPYPYSAEPNYSGNNTAAHMFNDENTSSCSIM >ONI35092 pep chromosome:Prunus_persica_NCBIv2:G1:42391578:42392903:1 gene:PRUPE_1G515400 transcript:ONI35092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHTFSMWLLFFQQRVGIFVAYFGVLYGPRTFSTWLLFFQQRAEIFWLILGFCMGQGPSLRGFYFSSKGLRFLWLILGFCMGQGGSLSKWAIFVKHWAFWLC >ONI30143 pep chromosome:Prunus_persica_NCBIv2:G1:24793418:24796736:1 gene:PRUPE_1G233400 transcript:ONI30143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAASNVVAFKSADKFGAPGNARACHFRQWAPVGSTIGSGRRIGLKYRSKSSSGHVRAQVATLEQASAGAAPKVEGPVVVVTGASRGIGKAIALSLGKAGCKVLVNYARSSKEAEEVSKEIEAFGGQALTFGGDVSKEEDVEAMLKTAVDAWGTVDVLINNAGITRDGLLMRMKKQQWQEVIDLNLTGVFLCTQAAAKIMMKKKKGRIINIASVVGLVGNVGQANYSAAKAGVIGLTKSVAKEYSSRHINVNAVAPGFIASDMTAKLGDDIEKKILETIPLGRYGQPEEVAGLVEFLALSPAASYMTGQVLTIDGGMVM >ONI26820 pep chromosome:Prunus_persica_NCBIv2:G1:3394301:3398826:1 gene:PRUPE_1G048000 transcript:ONI26820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSVVLESVRDFTIQEAKFLSGVSRQVEAAQTELEFMQGFLKDADARQGQDTRVQICVAKIRDAAYDLEDIIETYGLKVDSKKKKRGLKNVLKRFACIFKEGVDVHMIAAEIENITTKISALRSNLQSYNIKEIRDRDSGGGESSLQLHERLRRSYSHVVERDVVGLESNVEELVVHLVKDENRHQVVSVWGMGGLGKTTLARKVYHHKKVRQHFLSFAWVCISQRFQVRNVWERILIELTSATKEPKQEIKDMTDDEIAKKLFCVMEEMRCLVILDDIWSIETWNLLKVAFPNVETESTILLTTRNQAVASLPNRNVFLHKLQPLNENESWELLVKKAIPARAEIDLGMYIKKKDLGMKMLQHCKGLPLAIIVLAGVLARKNSIREWVRVYENVREYINRGIGHEEEYEGVSRVLALSYDDLPYYLKPCFLYLSYYPEDCIISVSTLTKLWVAEGLIFLRQQGHGSEKTMEDIARDCLSELVERCLVQVGTSGSTGTIKDCRIHDLIRDMCLLKAKDESFLQINYSLQENTSSVTAQASQLGKIRRLAIYVDEKADRLVSSRDETNGHVRSLLFFGLREWRPKSEKGLLSPLKDFKVLRVLKVEGLRARRVELPSEIGNMVHLRFLSVRRSEIKTFPSSLGNLVCLQTLDFRVSSYIDMVIPNVIKKMKQLRHLYLPWNYRAKGKLELSTLGHLQTLHNLSSEYCDLKDVGRLTNLRKLKIRVLGSLQNLEEILKSTSSTLNRIRSLIVKNDTNSGEEQAMQIVSSCPGIYKLTLDGPIAELPKELHNYPNLTKLVLWSCGLKEDQMGILEKLPNLTNLKLFEKPFEENTKILVFSRGGFPSLEFLHVSRMDQITELRVEKGAMPRLCQLCIQFCSGLTTLPDGLRYLIYLKELTIRWMCRELHRRIEEDGEDFYKIQHVPCLLIGEPLD >ONI28569 pep chromosome:Prunus_persica_NCBIv2:G1:11652666:11654476:-1 gene:PRUPE_1G148600 transcript:ONI28569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGGPKSAASILLIVNLVLYFIVIVIASWAVNHGIQRSRDTASVLTIPARIFPIYFPFGNMATGFFVIFSLLAGVVGFATSLTGLHNVVQWDRPNLHTAAASSLGTFSLTLLAMGLACKEIQLGWTDSNLRTLETITIIVSATQLFSTCAIHAGVEDVIVRERGSTGRF >ONI34779 pep chromosome:Prunus_persica_NCBIv2:G1:41308014:41308708:1 gene:PRUPE_1G498800 transcript:ONI34779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGTNDIKIKGFDVSNNSGNTSGLTHFANRAAEGDKGDNKIEVEDAKGQGNTGNLKVLTDFAQRGKVGQSDRQELVKPQA >ONI33639 pep chromosome:Prunus_persica_NCBIv2:G1:37277864:37282598:-1 gene:PRUPE_1G437600 transcript:ONI33639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKNENHQTVPLSVLLKRELANEKIERPEIVHGQASQSKKGEDFTLVKTECQRVVGDGVSTYSVFGLFDGHNGSAAAIYSKENLLNNVLAAMPSDLNRDEWVAALPRAFVAGFVKTDKEFQERAQTSGTTVTFVIIEGWVISVASVGDSRCILEPAEGGVYYLSADHRLEINEEERLRITASGGEVGRLNTGGGAEIGPLRCWPGGLCLSRSVGDMDVGEFIVPVPYVKQMKLSSAGGRLIISSDGVWDALSAETALDCCRGMAPDAAAAQIVKDAVGHKGLRDDTTCIVIDILPHEKPLPLSQPKKQGKGVLFKSMFRKKHCDSSSNVEKEYIEPDMVEELFEEGSASLSERLDTKYPLCNMFKLFMCAVCQVEIKPGEGISIHSGSSNPGKLRPWDGPFLCSSCQEKREAMEGKRPSGRRRDSDSD >ONI31234 pep chromosome:Prunus_persica_NCBIv2:G1:29494242:29495856:1 gene:PRUPE_1G300100 transcript:ONI31234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGTKSTRSKKLIKKFREKMYVTRPLSMYLRDPSMVTLPPPEGPNSGILVIQDEEAEPTVCFGLCKGHELHDLPFPQNKNLKLRYSTGVGENRHVSHFYTAFIPVLNQPLASNRYYAIQSRGRLKGQAYTCSTEEDLGTCCFCTFVKDVPSQPFNPNNIYQQFEIQLKGRFNRGFVAKAIASDGYTPKFLGRKGWEIYTSTPRDFDLGEARGLDTALRVRLPDFDFPLSLTSSNPVVVGKWYCPFMFIKEGTPKDQMSRTRYYEMTLEQRWEQIFACDSSNKEGNAVVVDVSVQSEYVAVAGNVEAVHEERDVANGLMWYKGFNNVGGESGVGLSLAIVERMKWEQQRFGWFVGNERQVRVNKVEEFGGMGGWRRFSCYVLVERFVLKRMDGSVVLTHGFRHTHHIRSKWE >ONI27808 pep chromosome:Prunus_persica_NCBIv2:G1:8486022:8489043:1 gene:PRUPE_1G105700 transcript:ONI27808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIKAVIGLSWEPKLPTFSSAAKNGGGSGTKPHETHRETNQLWKPNTQLVDGLFVPPNNPTKLNKLLKKQLKDTAGTSWFDMPAPTMTPELQKDLQLLKLRNVMDPKRHYKKGNSQPNKYFQVGTVIESPLDFFTGRLTKKERKTTLAEELLSDHTLGNYRKRKVREIEDKNRPGGNEKWKIKGKKSYQRAKQRRL >ONI27807 pep chromosome:Prunus_persica_NCBIv2:G1:8486259:8488857:1 gene:PRUPE_1G105700 transcript:ONI27807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIKAVIGLSWEPKLPTFSSAAKNGGGSGTKPHETHRETNQLWKPNTQLVDGLFVPPNNPTKLNKLLKKQLKDTAGTSWFDMPAPTMTPELQKDLQLLKLRNVMDPKRHYKKGNSQPNKYFQVGTVIESPLDFFTGRLTKKERKTTLAEELLSDHTLGNYRKRKVREIEDKNRPGGNEKWKIKGKKSYQRAKQRRL >ONI30885 pep chromosome:Prunus_persica_NCBIv2:G1:28343268:28346231:-1 gene:PRUPE_1G279500 transcript:ONI30885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLPATTDSIAQALEAKAPSEAISILYRILENPSSSSEALRIKEQAIANLTDLLRQENRAEDLRNLLTQLRPFFNLIPKAKTAKIVRSIIDAVAKVPGTSDLQISLCKEMVQWTRAEKRTFLRQRVEARLASLLMESKEYSEALSLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANSIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHSKRSLKLFETALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLIIFDDPKTDAIYPATLETISNIGKVVDSLYVRSAKIMA >ONI29339 pep chromosome:Prunus_persica_NCBIv2:G1:18193540:18194503:1 gene:PRUPE_1G193600 transcript:ONI29339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVAKRLLSKKREEFPECCRLRNVWPILLMVGIFACMCIHWYFMALQNRWLDVRFPEFRLDSVVVSPCPLTPSLAVGGSSNNSWYLTATWDLSLVFINPNHIVGVSYDNFRAGLLYGDEEKKDKLILSMTPLPLPPLNKMSQTTINFSLAMVRSYVGEDMANELLMGGGSDGCYGAARLGVKLFGKLTFMVPPQGSFRDFGSQQPVTTTRKFCGLFPPHYNGTGQGGECEGFPSQTPCRC >ONI31763 pep chromosome:Prunus_persica_NCBIv2:G1:31282447:31283783:-1 gene:PRUPE_1G329600 transcript:ONI31763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPQTHHDSSSREAKRLKPSSGSSASDLVFNRRTASSLPQPQPLSIPTTESSTRPALLVDSQENGVRLVHGLMACAKAVQQNNLNLAKALVTQIGYLAISQAGAMRKVATYFAEALAQRIFRVYPQSPIDHSFSDMLQMHFYETCPYLKFAHFTANQAILEALQGKTRVHVIDFSMNQGMQWPALMQALALRPGGPPAFRLTGIGPPASDNSDHLQEVGWKLAQLAETIHVEFEYRGFVANSLADLDASMLELRPSEVESVAVNSVFELHKLLARPGAIEKVLSVVKQMKPEIVTVVEQEANHNGPVFMDRFNESLHYYSTLFDSLEGSVNSQDKAMSELYLGKQICNVVACEGVDRVERHETLTQWRTRLDSGGFVPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWKPGCDSVMAH >ONI31131 pep chromosome:Prunus_persica_NCBIv2:G1:29176212:29176708:1 gene:PRUPE_1G294600 transcript:ONI31131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASVPELVDTDVSLLAFPPLPGDEETKKHDLINGPNIVEPPPSLLSLITILLLIEFFRLAIYKSVEQHQNS >ONI32088 pep chromosome:Prunus_persica_NCBIv2:G1:32483664:32486902:-1 gene:PRUPE_1G347500 transcript:ONI32088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGLISSAKFVASSRAWVKPWGTSLRSYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGPINDDTAHVVVAQLLFLESENPSKPINMYLNSPGGHVTAGLAIYDTMQYIRSPINTICLGQAASMASLLLAAGAKGERRSLPNATVMIHQPSGGYSGQAKDMTIHTKQIVRVWDSLNALYSKHTGQSVEIIQKNMDRDYFMTPEEAKEFGIIDEVMDERPVALVTDAVGNEGKEKDKSSK >ONI32010 pep chromosome:Prunus_persica_NCBIv2:G1:32244251:32246261:1 gene:PRUPE_1G344200 transcript:ONI32010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMALTGGATTTSSFSLTRIVGFPPPPSPSRSCSRILGVKEGQERWRGGNGMAVKARFGSSKGGAGVLERPKFDQSQFDPATQLEQGGDIGRLKEKRGIGSGDSYRVLLVDDVRHTEKLVAKVVPQVVPSVTPDDARGLFLKSRENGVAVVIVTVKEHAEFYSQMMIRGGLRSTIEPDSNSL >ONI35122 pep chromosome:Prunus_persica_NCBIv2:G1:42530818:42532736:1 gene:PRUPE_1G517400 transcript:ONI35122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDESGLKKGPWTPEEDQKLVQYIEEHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTEEEEQTILHLHSILGNKWSAIATHLDGRTDNEIKNFWNTHLKKKLIQMGFDPMTHQPRTDLFSGLSQLLALANLQDLMQQYQPLDIDQHAATLQADQAAQLAKLQYMQHLLQSVAYISSGENCSQNRNTADTDIEAFNLLNSLVPSIKENPILNSSQLENPSSFSLGYGGTSQPPHHQSLLPHDPQVPFSSLPSLNSNHEMGSNYTMVSQEDNPPHDHDDSPWFDNLMSPAPNSIPPTMTGMSISNPGGDVCCSTSSYGGRSSGSSSYWPELFLEDANYA >ONI28967 pep chromosome:Prunus_persica_NCBIv2:G1:14417166:14418317:1 gene:PRUPE_1G172500 transcript:ONI28967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSQRAKNFVVEEDMLHVSAWLNVSFDPVHGNEQRSSTYWNRIRKYWMAEKKFTSDRSSNSLLHRWSRIQLSINKFCGYMAKIEGRSQSGVNEKDRPISTVQILQAKEMYQQLMGSTFVYEHCWHLLKDQPKWTTETSKKKFKTSPNASPASSSPCIPCAVNLGQDSQESRIIERPEGKKAAKKLASQRKGKNPMGGNAESAIDL >ONI30564 pep chromosome:Prunus_persica_NCBIv2:G1:26837753:26840065:1 gene:PRUPE_1G258800 transcript:ONI30564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDAAALVVQHPSGKIERMYWPISASEVMRLNPGHYVSLIIPLPVSDQHQEEVESQEHNKTVRFTRVKLLRPNETLALGHAYRLVTTQEVVKVLRAKKYAKTKKQQLETLEKLQAGQEKQSSGCDEAEEGKSEKENINHATKHERHRPRTANAAAATAAAALRSKSWRPSLQSISEGVS >ONI26730 pep chromosome:Prunus_persica_NCBIv2:G1:2990443:2992013:-1 gene:PRUPE_1G041900 transcript:ONI26730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALRLRRSSYRGYRTLPSSYLGSSQQKRNILWKVLKQVALSVKKSVGQRKEGNSEKLQEPLLDDSSNWLHDGQIPADQGIQREPSYHIRHQNSMRMKIYVKIPSNQKTIVFEAKGYYTINDIKSMIQLKEGIQLDQYTLVYGGKLLQDYRTLASLDIRTESTLYMIFNPRDVMPIFVKTPSGKIVKVEVKVLYTLQDVKAIVESFIGCPVADCRIIYAGNELQDCKTLAFYNIEENSTLEVLPSWIQIFIKTWNGKTITLDVARSNTVREVKDKIFCKVRVPVHFQSIVFSGKRLEDKWRLARYNIEKDSTLHMVLAW >ONI36435 pep chromosome:Prunus_persica_NCBIv2:G1:47493344:47493901:-1 gene:PRUPE_1G585100 transcript:ONI36435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAVKKLKFWSRKKRKKKTLHHQPYYPPPPPTRPAPLPPGPRHHCCSCSSSTYSTQPSAPPLPPWLDAEYTHEALLAPQVQPAPEFGYQADPRQQEPTMKTNADSGTTSTSSPLYLYPTSSYQQYMVPDPVYGVPIAQTQTQTQTQTPRATTRERSAAGGVFGCVVDFGIRFFRCFCPCFHIEK >ONI34221 pep chromosome:Prunus_persica_NCBIv2:G1:39064213:39067546:-1 gene:PRUPE_1G468800 transcript:ONI34221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFSRKTEIENSTGVVLEIREVERGDESGGTLFATMQPKDCKVIKAKTFLDRSSYDGVPRTVHVSATTAKGTKTTMFLPAQFFANHERIIFKLDGEKLVAAEQKQSLESSSSGSRQNMG >ONI29069 pep chromosome:Prunus_persica_NCBIv2:G1:15407423:15408854:1 gene:PRUPE_1G178900 transcript:ONI29069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASHREISKGNLLEIEKSLAELSSQQLQLVDLKKSLIEELCLIHDACNHPRSHNCSVHQSERSKL >ONI29155 pep chromosome:Prunus_persica_NCBIv2:G1:16281072:16282406:1 gene:PRUPE_1G183700 transcript:ONI29155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKSLCRYVETTLVPQDKTLNFTIDKEVFGLERDTFLLPEDITQFAGMEEIGATVIAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTIADRSRLAAARLQRTDGEQIFMMPYNPGRHWILLIVRPKRETVYFLDPLPGNRVVDEEAKNIVNSAIKIYNSHIARSGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLAFEKKYAKGKQEAPYPQEAIDEVRNEWAEFVCPHLE >ONI31243 pep chromosome:Prunus_persica_NCBIv2:G1:29603878:29605363:-1 gene:PRUPE_1G301000 transcript:ONI31243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLENGCGCWVGGKTKLVAGVGGGRGCAEQTIEPSSLSTVHYKPEPSGTSDEIPAECAVCLCKIEEGDEVRELRCAHLFHKQCLDRWTGFQHATCPLCRSFLAPPRSTLVSHSQQFVQSEVLLFKFCSFSSDSDDGGGWWLR >ONI28056 pep chromosome:Prunus_persica_NCBIv2:G1:9337750:9338488:1 gene:PRUPE_1G119600 transcript:ONI28056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGHLVLKGAPSTTGHPKQPVSEDTSDSKVTVDHQNSSSSSNFPEIMSSKNHAALKPKKEKRNKTKKKKIRSGNVFSIVGNKIEGNKSDRVGIFGFGNTHVHKQVDTSSGETDSVPSEEEER >ONI31431 pep chromosome:Prunus_persica_NCBIv2:G1:30302601:30304987:1 gene:PRUPE_1G312400 transcript:ONI31431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHPFPTHSHHHYSNLNFNLYSYISRFHLLPPVNHLCPTTPSHHHPLPHNKPRHWAHMASGPTTPRQRQQQQQRKDKLLIIMGATGAGKSRLSLDLATRFPSFEIVNSDKMQLYAGLDITTNKLPIPDRLGVPHHLLGEFDPRHGDFTPSQFRAVAGQAISSITNRRKVPMLVGGSNSFIHALLVDRFEPGSNVFEPGFNGSVSSELRYNCCFLWVDVSLAVLTEYLCKRVDEMLDSGMLDELAEFCDPDRQDEDESTASQTALRKAIGVPEFTRYFKRYPPQGRGGEGDDRERRGAYEEAVRAIKDNTCQLAKRQIGKILRLKGGGWDLQRLDATDAFRAVVATTSSDEDDGKRWSEIWERQVVKPSVKVVKRFLEE >ONI32454 pep chromosome:Prunus_persica_NCBIv2:G1:33721891:33726783:-1 gene:PRUPE_1G369000 transcript:ONI32454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTSCFKIITCGNDSADKDDLEAPESKGSSDKRGWSFRKRSARHRVLSNTVITETPTSGHKEIPESATLNFQPPASTTVPEKISVIHCSDEKPQLLTPENPKVSETEPKVSETEVKVSETENTAEDQSAVECKLDESVVIVVQTAVRGLLAQRAFLELKNVVKLQAAVRGHLVRRHAVGTLRCVQALVKMQAFVRARRARQLHRGGEHEKDNHNSKILEKENLVAKSNMTYTSIEKLLSNRFARQLLESSPKTKPIHVKCDSSKPDSSWKWLERWSSVSSVDTPESKKVVPEIEQQEREKEENSESPLESKIQDDVLCEISDSKASINESILPSESEENLIIYDADNFNFQASHSTSYSVRGNIEQPQVENTSTSDVKEISAEISFLPNQSIQPDADSQMELKSLSGKPGMEFEQPKRSMKRLASEQLETEGKKSVFGSRKVSNPAFVAAQSKFEVLSTTTNPGRSISPSHQDDAAVELQRDIFSAGVDTEVRAKELSVAENPVVHGSKVQVGGSECGTEISISSTLDSPDRSDVGAMEHEHEAKVSVDGICNPNTDVDVQARDVSTIPFSNLSDPALDQPEKLDVVNGEPIDSVVAMESPKIESEPERTSDLQREQDTDAGIQTYGTSPEASPRSHLTVPESQGTPSSLISVKAKRSKADKSGSNQKRRSGSAGKKSPSNPNHDSGSSKDQKNGKRRNSFGSAQPAEHGDQEPRDSSSNSSIPHFMQATESARAKLQANTSPRSSPDVQDRDIYIKKRHSLPGANGRQGSPRIQRSMSQAQQGAKGNERKWNR >ONI30607 pep chromosome:Prunus_persica_NCBIv2:G1:27059749:27063608:-1 gene:PRUPE_1G261800 transcript:ONI30607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILVICPHASQSPVLYTVCVLLCSSLVAQHLNPFLAELMGESIVDFANDADEVGEAAASNSSNPALEVSVSFGKFENDSLSWEKWSTFSPNKYLEEVEKCATPGSVAQKRAYFEAHYKKIAARKAEELLEQEKQMQDDPFRSDDQKGGDQIDCGAHFEIDLTNSQSTTQANYQETNFDNDTFSTHVDDLKEDDVITIECQSSLTEGEKEETDSVTASPNLNNPEELVLEKEAENVPAVSQGIQEIPKSLDNEMGKAPEVKEEKPRLHLQKGSQKVTTGVSKERNVANVKKKPIPQITKTPQKSTPRMSKPISTSTPRVSKPISTSTPRVSKPISTSTPRVSKPISTSTPRASKSISTSTATPAPRSSVKKGNTSSLPRSKNPSIEDTKKVPPKSLHMSPSLDPAKSDSASPTTARKSFIMENMGDKDIVRRAFKTFQNNYNQPKSSSEEKSSTPTQLSTKGKESRVSTSVLLPKENGGSLGKGTPKAAPSSFGLRNDERADKRKEAKSNPKEAERLHFQPKSKGQNEAEIKKLRHSLNFKTTPTPGSYRGQKMSKSTSEKESSKNETHR >ONI35288 pep chromosome:Prunus_persica_NCBIv2:G1:43188003:43189321:-1 gene:PRUPE_1G527600 transcript:ONI35288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDFGTWIPQLHLGWQPPDPNSFGAPLGLGQQNFISAYGNPGTNMPVPNGTSPAYASPELPHPQLGRPNEPHGWFYCLPRIRQAFVPAAHSVLREKLSACRYESPGDTLVPNADSVCEQKRLLVNNSSGDQTTFVFGSGIVNPLLCRTSWNPNQQGAYYLNGDGPQTGRDLKNLSGANLTDELKGNDASGAQSEMHEDTEELNALLYSDGDSDYTEEDDEVTSTGHSPSTMTVHDKKNWFEERTEEVASSAGVTKKRKLFDGGYGEVPSIMDTASSMKPNRSLELEDDAESSCACNRSSGFREVDSLSSNKKMRKEKIRETVNILQNIIPGGKGKDAMVVLDEAIHYLKSLKLKAKAFGLDSL >ONI30963 pep chromosome:Prunus_persica_NCBIv2:G1:28610413:28612621:-1 gene:PRUPE_1G284800 transcript:ONI30963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPQSTSQVPETEKDFDLLKCSKIENGKKEADVDLPTGYRFDPSEDEIVVYYLFNKILDRELPITDVIKEISVYDHDPDELPNGDFKHGCDCNEAFYFTYTEQIYSSEGKITRRTTKSGYWDLDGEEEEVKYGNGDITVGFEKVMVFHKGTAPNGIETDFIMHEFRLNPLIVPTHVLNDSIRAKIERYVVCRIIHEGISNYQPTFKLPPGWTARPVDEGEPN >ONI32317 pep chromosome:Prunus_persica_NCBIv2:G1:33252342:33253970:1 gene:PRUPE_1G360700 transcript:ONI32317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVFALAILLGSLCSLSTYVSAFTASGWTKGHATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGQCYKIMCDYKTDPRWCNKGVSVTITATNFCPPNYDLPSNNGGWCNPPLQHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKKHGGVRFTINGRDYFELVLISNVGGAGSIKSAYIKGSKTGWMAMSRNWGANWQSNAYLNGQSLSFRITTTDGETQLFQNIVPSSWSFSQTFSSRVQFS >ONI28713 pep chromosome:Prunus_persica_NCBIv2:G1:12421965:12424261:1 gene:PRUPE_1G156700 transcript:ONI28713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFGAASGGGDFEAKITPLVIMSCIMAASGGLMFGYDVGISGGVTSMPPFLKQFFPVVYKKTQETGLESNYCKYDNQGLQLFTSSLYLAALISTFFASYTTRSLGRKLTMFIAGVFFVVGTVFNAAAVNLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGALNILFQLNITIGILFANLINYGTAKIEGGYGWRVSLGLAGIPAGMLTIGSLIVVDTPNSLIERGKLEEGKAVLKRIRGVDNVDPEFLEIVEASRVAKEVKHPFRNLLKRRNRPQLVIAIWMQIFQQFTGINAVMFYAPVLFQTLGFKSDASLYSAVITGAVNVLSTVVSIYFVDRAGRRVLLLEAGVQMFLSQLVVAIIMGLKVKDHSNNLGHGLAIIVVLMVCSFVSSFAWSWGPLGWLIPSETFPLEARSAGQSVAVCTNMLFTFIIAQAFLSMLCNMKFGIFLFFTFWVFVMTIFVVVLIPETKGVPIEEMTERVWKQHWFWKRFMDDVEDDPKGKLHA >ONI32298 pep chromosome:Prunus_persica_NCBIv2:G1:33200690:33201457:-1 gene:PRUPE_1G359400 transcript:ONI32298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKQGKPKNKILKFLPKAASGVYFHNPPYSPTRDRRLENAAKLKAHGRRGFSGPLMISDEARRKPRTGSFDYHTQEEEPTSPKVSCMGQIKHKKQIKKIKRANIHNLIPKDASKPPAPWSPREIKKHGFAFRRMFSSVNPARKSDSINVNHDHDRTAPRLPDRAPSLSQMKRFASGRGNAFANFDINHHDCSDEERGDSDGEEDVRIAFSAPLVAGGDQFHQVPLQPRKEINIWKRRTMAAPSPLELKPMVRAN >ONI27930 pep chromosome:Prunus_persica_NCBIv2:G1:8890083:8904347:-1 gene:PRUPE_1G111600 transcript:ONI27930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRLLQQFVRDTGDIVSLFAGMDYSSNHALVNYRVEQLAYQCVKAVHQNRNQLKDQLFAAPEVETVSTTLLLEAVVLLMDPKLPWACKTVSYLLQRKAFTLYRDIILTGKESIKIRTSIGRVSSLERSLAAVIPHIGQKPCTCPNIDPHWSFSSQILTIPFLWKLFPYLGEVFATQGMSQHYIRQMALCVQNHAHVLPNDTSNDTSIKLPGYACLLGNILESSGVALSQPGCSFEMAVDLAGVAKFLLEALPSIKSSNRESREEFMMGEDDMIVGDDVMEVVLNNDLERQICDAIDPRFLLQLTNVLFGGISLASGSHHGPDDKEVSAVGAACAFLHVTFITLPLEKIMTVLAYRTELVPVLWNFMKRCHENQKWLSLSEQLAYLLPGDAPGWLLPLAVFCPVYKHMLAIVDNEEFYEQEKPLSLKDIRVLIIILRQALWQLLWVNPTAPTNPLKSFTNTVSNKKHPLEFIQHRVSIVASELLSQLQDWNNRREFTSPSDFHADGVNEFFISQAAIENTRANDILKQAPFLVPFTSRVKIFTSQLAAARQRHGANSVFTRNRFRIRRDRILEDAYNQMSALSEDDLRGPIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETSDHLLYPNPGSGMIHEQHLQFFQFLGILLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDQELYRHLIFLKHYKGDISELELYFVIVNNEYGEQTEEELLPRGKNLRVTNENVITFIHLVANHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLDSLDVDDLRMHTNYVGGYHSDHYVIGMFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAGGNASEGALDRLPTAATCMNLLKLPPYRSKEQLETKLMYAISADAGFDLS >ONI27929 pep chromosome:Prunus_persica_NCBIv2:G1:8890632:8904051:-1 gene:PRUPE_1G111600 transcript:ONI27929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSGDSSTRKRVDLGGRSTKERDRQKLLEQTRLERNRRLWLRQQNSAALKIQKCFRGRKVAAAEHSKVREQFVGTYGKHCQNVDRFSFGPDSEFLRQLLFFFDARSVGDFSILVEMCRLLQQFVRDTGDIVSLFAGMDYSSNHALVNYRVEQLAYQCVKAVHQNRNQLKDQLFAAPEVETVSTTLLLEAVVLLMDPKLPWACKTVSYLLQRKAFTLYRDIILTGKESIKIRTSIGRVSSLERSLAAVIPHIGQKPCTCPNIDPHWSFSSQILTIPFLWKLFPYLGEVFATQGMSQHYIRQMALCVQNHAHVLPNDTSNDTSIKLPGYACLLGNILESSGVALSQPGCSFEMAVDLAGVAKFLLEALPSIKSSNRESREEFMMGEDDMIVGDDVMEVVLNNDLERQICDAIDPRFLLQLTNVLFGGISLASGSHHGPDDKEVSAVGAACAFLHVTFITLPLEKIMTVLAYRTELVPVLWNFMKRCHENQKWLSLSEQLAYLLPGDAPGWLLPLAVFCPVYKHMLAIVDNEEFYEQEKPLSLKDIRVLIIILRQALWQLLWVNPTAPTNPLKSFTNTVSNKKHPLEFIQHRVSIVASELLSQLQDWNNRREFTSPSDFHADGVNEFFISQAAIENTRANDILKQAPFLVPFTSRVKIFTSQLAAARQRHGANSVFTRNRFRIRRDRILEDAYNQMSALSEDDLRGPIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETSDHLLYPNPGSGMIHEQHLQFFQFLGILLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDQELYRHLIFLKHYKGDISELELYFVIVNNEYGEQTEEELLPRGKNLRVTNENVITFIHLVANHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLDSLDVDDLRMHTNYVGGYHSDHYVIGMFWEVLKSFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAGGNASEGALDRLPTAATCMNLLKLPPYRSKEQLETKLMYAISADAGFDLS >ONI27467 pep chromosome:Prunus_persica_NCBIv2:G1:6652205:6653996:1 gene:PRUPE_1G089000 transcript:ONI27467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPPSKYFSLVVLVLCFIVGSLVAVASASNFNQDFEITWGDGRGKILNNNELLMLSLDKGSGSGFQSTNEYLFGKIDMQLKLVPGNSAGTVTAYYLASEGLTWDEIDFEFLGNLSGDPYILHTNVYSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIVFSVDGTPIREFKNLESIGVPFPKNQPMRLYSSLWNADDWATRGGLVKTDWTKAPFTASYRNFNAINACVWSSGASSCTSKSPSSTSSAGNTWLSQEFDLASQEKLKSVQRNYMIYNYCKDTKRFPQGFPQECALLS >ONI31152 pep chromosome:Prunus_persica_NCBIv2:G1:29268733:29270948:-1 gene:PRUPE_1G296000 transcript:ONI31152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLGGLIIILSSFLCLLLVLAFIKILHKLWWTPIRIQKLMALQGIKGPSYRFIHGNTKEISNMKKEVMDRPQILSHDILSVVQPHIHSWTKKYGKNYLQWHGSQAQLVITEPELCKQILNNKGSAYPKREPPNLVKKLVGDGLVATTKAEKWAKLRKLATHAFHGDGLKSMIPEMVASAETMLERWTFYEGKEIEVYEEFRLFTSEVISRTAFGSSYVEGQNIFEMLMKLGFLIANNFLKVRVPGISKFFKTSDEIESDKLEKGIHASIIEMAKKREKKTMAGEMDSFGSDFLGLLLKANNEANENQGISVDELIDECKTFYFAGQETTNTLLSWTVFLLALHTDWQEEARKEVLQLFGKQTPNLDGIGKLKTMSMIINEALRLYPPVVSVIRKVEREVRLGKLIVPSNLELIVSIVSLHHDPQIWGQDVQLFKPERFSEGVAKATNNHVGAFIPFGLGPRTCVGLNFGTTEAKIALSMILQRYAFTLSPGYVHLPLRYLTVRPQHGVQVMLQSL >ONI32551 pep chromosome:Prunus_persica_NCBIv2:G1:33916075:33917540:-1 gene:PRUPE_1G372600 transcript:ONI32551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQLIPSSSSSLHHKPIFNPNPIPSPLNPNPNFLSPRLRRSHHHRRQRPGSLKCSASSFSERHPTNQPKSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRMMMHSLLQTDLRFGVIYSDAVSGTSDVGCVGEIVKHERLVDDRFFLICKGQERFRVTDLVRTKPYLVAEVKWLEDRPSNDGDEDLEALANEVESYMKDVIRLSNRLGGKPEKDVQDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAARLKREKETLRNTLNYLTAASAVKDVFPST >ONI33044 pep chromosome:Prunus_persica_NCBIv2:G1:35456786:35468115:-1 gene:PRUPE_1G401900 transcript:ONI33044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEPLDHVADQDQQSSPISNDFNPSGYEANVYEVAKRRNTIAVVETGSGKDMVAAMLISDIGQAIKSSSSGAKKLIVFLTPTVHLVHQQFEVVKVNTNFKVEEYYGAKGVDSWTMECWEKETNEHDVLVMTPQILLDALKKAFLSLEAVCLMIIDECHLATGKHPYAKIMKEFYYKSTDKPKIFGMTASPVIRKGVSSSSDCVGQISELESTLDSQLYTMEDRKEKELYVPSAKETCIFYDQAWFPSSDLKAKMEASWAKIDASLSKLQELVESNFKDMDDTMKTLRKRLSDDYTNILYCLDNLGLICTHEAVKVCLDNAPCKEEYELYRESSSQCRYFLEEVLGIIRESFLHGGEIFLDFGFDYLKAVNLGYISPKLNELVQLFHSFGGSREELCLILVDRIITAKVIGRFMKKVTSLCHFTVSYFTGTNTSVDGLAPKIQKETLELFCSGEVNLLFTTDVVEEGIHFPNCSCVVRYDLPKTVRSYVQSRGQAGQNNSQFITMLERGNKKQRDQLYEIVRREYLMTDSATNRDPETCVLKVCTTEERNAYTVDATGASVTADSSVSLVQKYCEMLPGDKNFIPRPTFHYSYLGDSYECRIALPPHAAFQTIVGPVCKKSSLSKQLVCLEACKLLHQMGALNDHLLPAIDKPPENDLDVKSKDPASGAGTTKRKELHGTTCIHTLSGTWGGKLDGAVFQAYKFDFSCEIVSEFYSGFTLLIESKLADDVGNIELELYLISKTVKSSVSSCGQVHLDGEQMAKAMCFQEFFCNGLFGRLFHGTKSAGNRREFLLEKETRNLWSPLYMYLLLPLESLNDSSNGTWRINWTGINSCVSAVEFLKKNSSLGSHHCYGDTRNFLPSRTVSSETKCNIAEIIHFANCSVNVANLKDMVVVAIHTGRIYSVAEVLSNTSAESPFDGKKDNVPSKYSTFSEYFNNKYGIVLQYPGQPLLRLKQSHNPHNLLVNFSGEGGGGIERQRMYAQMPPELLVSIGVQRFVLKSFYLMPSLIHRLESLMLASQLREDINCHSSSFQISSSLILEALTTLRCNEDFSMERLELLGDSVLKYAVSCHLFLKYPKKHEGKLSNLRQWAICNSNLHKLGINRKLQGYIRDGAFDPRRWVAPGQISLRPAPCNCGVDTLEVPVDSKFQTEDPKVVVGKSCDKGHRWMCSKTISDCVEALIGAYYVSGGLPAALHLMKWFHIDAELEPSLVAEAITTASLRSYNPKANEIAILESKLHYEFSTKGLLHEAITHASEQESGVGCCYERLEFLGDSVLDLLITRHLYDSHTNIDPGELTDLRSASVNNENFAQVAVRQNLQQHLQHSSGLLLSQITEYVKSLSEPDNGTTLQGTKGPKALGDMVESIAGAILIDTELNLDEVWRIFKPLLSPIVTPDKLELPPLRELIELCDSLGYFIKETCTKKGETVHAKLTVQLKDVLLIGEGCDRTKKAAKGEAARRLLKELEKRDISYSWCSKKRKLGSEGVGDSSLLDINYNVCSQVNVEDSSERVIYKKKRTTEIIGVFRSVNESKTSSPNDTAPVIKSINMKKGGPRTSFYELCKKQQWKRPDFESSETKSRTPIDFGEGSSAHFSSFVSKITLHIPNFGDIECTGDARPDKKSSEDSAALAMLYELERQGKLIIGG >ONI33043 pep chromosome:Prunus_persica_NCBIv2:G1:35456795:35468013:-1 gene:PRUPE_1G401900 transcript:ONI33043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEPLDHVADQDQQSSPISNDFNPSGYEANVYEVAKRRNTIAVVETGSGKDMVAAMLISDIGQAIKSSSSGAKKLIVFLTPTVHLVHQQFEVVKVNTNFKVEEYYGAKGVDSWTMECWEKETNEHDVLVMTPQILLDALKKAFLSLEAVCLMIIDECHLATGKHPYAKIMKEFYYKSTDKPKIFGMTASPVIRKDCVGQISELESTLDSQLYTMEDRKEKELYVPSAKETCIFYDQAWFPSSDLKAKMEASWAKIDASLSKLQELVESNFKDMDDTMKTLRKRLSDDYTNILYCLDNLGLICTHEAVKVCLDNAPCKEEYELYRESSSQCRYFLEEVLGIIRESFLHGGEIFLDFGFDYLKAVNLGYISPKLNELVQLFHSFGGSREELCLILVDRIITAKVIGRFMKKVTSLCHFTVSYFTGTNTSVDGLAPKIQKETLELFCSGEVNLLFTTDVVEEGIHFPNCSCVVRYDLPKTVRSYVQSRGQAGQNNSQFITMLERGNKKQRDQLYEIVRREYLMTDSATNRDPETCVLKVCTTEERNAYTVDATGASVTADSSVSLVQKYCEMLPGDKNFIPRPTFHYSYLGDSYECRIALPPHAAFQTIVGPVCKKSSLSKQLVCLEACKLLHQMGALNDHLLPAIDKPPENDLDVKSKDPASGAGTTKRKELHGTTCIHTLSGTWGGKLDGAVFQAYKFDFSCEIVSEFYSGFTLLIESKLADDVGNIELELYLISKTVKSSVSSCGQVHLDGEQMAKAMCFQEFFCNGLFGRLFHGTKSAGNRREFLLEKETRNLWSPLYMYLLLPLESLNDSSNGTWRINWTGINSCVSAVEFLKKNSSLGSHHCYGDTRNFLPSRTVSSETKCNIAEIIHFANCSVNVANLKDMVVVAIHTGRIYSVAEVLSNTSAESPFDGKKDNVPSKYSTFSEYFNNKYGIVLQYPGQPLLRLKQSHNPHNLLVNFSGEGGGGIERQRMYAQMPPELLVSIGVQRFVLKSFYLMPSLIHRLESLMLASQLREDINCHSSSFQISSSLILEALTTLRCNEDFSMERLELLGDSVLKYAVSCHLFLKYPKKHEGKLSNLRQWAICNSNLHKLGINRKLQGYIRDGAFDPRRWVAPGQISLRPAPCNCGVDTLEVPVDSKFQTEDPKVVVGKSCDKGHRWMCSKTISDCVEALIGAYYVSGGLPAALHLMKWFHIDAELEPSLVAEAITTASLRSYNPKANEIAILESKLHYEFSTKGLLHEAITHASEQESGVGCCYERLEFLGDSVLDLLITRHLYDSHTNIDPGELTDLRSASVNNENFAQVAVRQNLQQHLQHSSGLLLSQITEYVKSLSEPDNGTTLQGTKGPKALGDMVESIAGAILIDTELNLDEVWRIFKPLLSPIVTPDKLELPPLRELIELCDSLGYFIKETCTKKGETVHAKLTVQLKDVLLIGEGCDRTKKAAKGEAARRLLKELEKRDISYSWCSKKRKLGSEGVGDSSLLDINYNVCSQVNVEDSSERVIYKKKRTTEIIGVFRSVNESKTSSPNDTAPVIKSINMKKGGPRTSFYELCKKQQWKRPDFESSETKSRTPIDFGEGSSAHFSSFVSKITLHIPNFGDIECTGDARPDKKSSEDSAALAMLYELERQGKLIIGG >ONI35402 pep chromosome:Prunus_persica_NCBIv2:G1:43618099:43618755:-1 gene:PRUPE_1G533800 transcript:ONI35402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQMVKDLFLQAGLILVVVVAFLTIHNIPQKLLANLRFRFRNKPKAQAKRHFVLGAQLLAQARSAASPSSASSLSKQALDEAEKAISLDPKDAAAHILKALALDSQGYKTSALDAIDVALSPFAAKSLTEEERGDALVKRAEIRLSMNRRGQVDSVIADLIRAVELSPENVKAFCTLGECYEGKKMTEEARKAYEAALKVQPRLKVARDALDRLGSS >ONI26366 pep chromosome:Prunus_persica_NCBIv2:G1:1444124:1446149:-1 gene:PRUPE_1G020400 transcript:ONI26366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFMKGRDLEAGRGQNGEQQLYPNQMETPQLRWAFIRKVYMIVAFQLLLTVGISYAVVSIPAIPEFVRSLAGTITFICIFIAAIAVTIALYYFHKKHPVNYVLLILFTILLSITVGFCCSFRPGKSILLAVILTATVVVSLTIYTFWAVKRGQDFSFLGPFLFAATLMLMMFGLIQIFFPLGPLSRMVYSALGALLCCAYIVYDTDNLIKRLSYDEYIFGALSIYIDVVQLFLFLLSLISGGR >ONI34245 pep chromosome:Prunus_persica_NCBIv2:G1:39190992:39192045:1 gene:PRUPE_1G470700 transcript:ONI34245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDRAQIKAGIMVSTRFQLFLPLILLPVIGTQAVDMFNCLDAFPNTTILNSTYLKNGENSDKVYAIGLCRGDLKHDACVTCLNASRYDLMEVCPNDREASLWTEKCKLRYSNHSMHGTVELIPSSTGYSNKNVSSSLSVLEGFNQRLMALLGVLKVEVAAGGDVVKFAVGNANVSIYSKNISTIYGLAQCSPDFSELGCNNCLDEALEKIQMYCYGSGFGSYVNPSCDVYYQVDHLFFDPAAQTVPVALPPLISPPSANARGKKSNISQTLIVIFVSILLPFIVML >ONI34229 pep chromosome:Prunus_persica_NCBIv2:G1:39126381:39127570:-1 gene:PRUPE_1G469600 transcript:ONI34229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHQYPPNGDKEKRENMEKRKQELLTTIEKQDDKVHQLQTSAFNLANYYFVFQGIILGAIVTATSALRCSDRWFLFSLSLIAAILNLVSLLVIGSNYKRSVMQRHQTKSELIELESDLSKLETSPSDQGLKTKILTYWDTTNIQHPQVASHHPTTLDIKDESEVRHKRRVIPVVTNHLREFYFVLCMGIFIIFAVIVIVGCWTIPCKKTLQCTPQISNANSDKCIRVCEGAKCMSICAQF >ONI27539 pep chromosome:Prunus_persica_NCBIv2:G1:7147855:7148501:-1 gene:PRUPE_1G093400 transcript:ONI27539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTDNASFERFCRETTATFWHYHGGCLMGKVVDGDLRVMGINALRVVDGSTFNLSPGTNPQATLMILGRYAGLKMLKERSACKGCNS >ONI28062 pep chromosome:Prunus_persica_NCBIv2:G1:9455408:9457082:1 gene:PRUPE_1G120200 transcript:ONI28062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIEFFQVFIIIIIIIILIMTLFCMYFRSLTSSSSSTSSVAAVDTDIPPLQEKYDVFISFRGDETRDSFTSHLHKALLRKNIDTYMDDRLEKGDDIEPALLEAIERSKIALVIFSKDYASSTWCLKELVHILGCKKNHGQIVIPIFYRIDPSHVRKQKGTYALEGRELKKRLKGSRHVVANWRAALKEAANILGFMIQEKQGGQRPILLRKLFKMF >ONI32760 pep chromosome:Prunus_persica_NCBIv2:G1:34480856:34482054:1 gene:PRUPE_1G384200 transcript:ONI32760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAWHILCARLPACQLWWCLSSVVSVLISLISDTWANGPHDIKLISLEGEPATVACYWGSRASPKRCTTAWAWRTSPNLVEIFIWACNLQTNLN >ONI33483 pep chromosome:Prunus_persica_NCBIv2:G1:36729600:36732207:-1 gene:PRUPE_1G427200 transcript:ONI33483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPMWAHPAPPAGHQRWQETWARLLTPLTLWICVSVSLRYGYYGDRRMEIGPNSSRLMKASAVFVEHVEVRDVDRKGVSLYAFSEEPELSHQTNWTVSNYLIVGSYSRKGFSFWLNKGSRISMRVEAHATTLNKLQVVMLKGEQKIEILEPEHTSSQDGLASEETKIGKEAEYNIEEDDKYYLDIINTKPRGIIITLNVNVSSTMYDITKAKAICSTTKGSCRLRLVFPNTHYVILTTPNNGYLGDWYIELSFVARVVTYIAILGFIVVTIFLILKYLGACDGESSTVVERVEEEVNETYPIVPQKNMRRTYGTNEEDEEDPGASSSSSEELYDEKLCIICYDEQRNSFFVPCGHCATCYDCAQRIMDGENKVCPICRRLIHKVRKLFNP >ONI29407 pep chromosome:Prunus_persica_NCBIv2:G1:18774797:18780802:-1 gene:PRUPE_1G196900 transcript:ONI29407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPREEKEKQFLTEVAELDEALRVLDSSLSQIKWRLKYARRRLETDILALCTGMRPVIMIDYGGKMPELQERFCTLLKLCQKDLPIFEHLRVMVIEEMIYLVHTRALADHVRSSLNSERQLLFVDLEQDPPKMITEAEKSPLVMQLITIQKLFSLSFPLGERKDDESSSHSIDNMVDAKPPRNELIPSQSSNFIDLSSCMQNTQVTLPTLNGWLLGYPVVYMFIKEHGVKAVHNISSKYLHIYKISVCRNGTPNKESELEELLSFSVPYDLSMGGSKEKWAESFLAHMQGKWEICKPAWRSLQMEVSELKPRPIAL >ONI26239 pep chromosome:Prunus_persica_NCBIv2:G1:915355:919170:1 gene:PRUPE_1G012000 transcript:ONI26239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVVTPALLLLLFSIFITFSPALCLKQHPLDALTPSEFIHIKAIIHKAYPAQNLTFQYVGLDEPEKYTVLSWQSKSTTTTKSPPPPRRALVVTRLNKETHEIIVDLSTRSIVSSKVHNGANGLPVLTLDEQTYANELPFKHEPFIKSIRKRGLDISQVLCSGFSVGWFGEAKSRRTLKINCFYTNGTVNLYVRPVEGIALVVDLDEMEIIKYSDRFIVPVPKGEGTEYQASKQKPPFGPLLHGAPVVQSQEEGFSLEGHTIRWANWVFHLGFDVRTGTIISLASIYDLAKHKYRRVLYRGFVSELFVPYMNPTEDWYFKTFFDCGEFGFGQSAVSLEPLTDCPANAKFMDAYYAGQDGTPVKISNAFCIFERHAGNILWRHTEVTIPNKVITEVRPEVTLVVRMVAVVGNYDYIIDWVFKPSGSIKLEVGLTGVLETEGVKYTKTNEIEKEVYGTLVADNTIAVNHDHFLTYHLDLDVDGEANSFVKNKMVTKRVKDPNIPRKSYWTVESETAKTESDAKLHLGLKPSDLVVVNPNKRTKPGNPIGYRLIPGSVAGPLLLEDDYPQIRGAFTKYNVWVTPYNKSEKWAGGQYVDQSRGGDTLATWSLRNREIENKDIVLWYTIGFHHAPCQEDFPMMPTLSGGFELRPTNFFESSPVLKVRTISPKHVPLPNCTTKN >ONI29849 pep chromosome:Prunus_persica_NCBIv2:G1:23018464:23019834:-1 gene:PRUPE_1G217400 transcript:ONI29849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIEANREDQAAAFSWWWDSHNHPHQSQWLEATLSDLNEKTKLMLNIIEEDGDSFAKRAEMFYKRKPTLINLLEDFYKSHRSLAEKYDQLRSELIQASHLRSFSSLHSLKVQTIHKCEKEVKALKTQVETDQESYEESDGEKMWDEKISELIDENIQQQAELIRRNKYQNETIQKLRDENKRLKSPLAGHKADDLKRQNQSQFSRSKSKGPSFLGRLTGCTGS >ONI27938 pep chromosome:Prunus_persica_NCBIv2:G1:8957470:8957846:1 gene:PRUPE_1G112200 transcript:ONI27938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPIAVAGAWIRKFKEHYVELQSLREKKVHQDLMEMEVRLESLRKQIPLKIQFEELQSSMEQMARENKEMEELVKEAEERIKDMMNAQEKEKENPMEK >ONI31354 pep chromosome:Prunus_persica_NCBIv2:G1:30029791:30033835:1 gene:PRUPE_1G308200 transcript:ONI31354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSENGSVEPKQKNKGKHRKPKPWDDDPNIDRWKIEKFDPSWNEGGMLEVTSFSTLFPQYREKYLQEAWPIVKSALKDYGISCELNLVEGSMTVSTTRKTKDPYIVVKARDLIKLLSRSVPAPQAIKVLNDEMQCDIIKISNLVRNKERFIKRRQRLMGPNSSTLKALEILTGCYILIQGNTVSAMGSFKPLKQVRKIVEDCMQNVMHPIFHIKILMVRKELEKDPTLAHESWDRFLPKFKKKNINQNKVKSKEKRPYTPYPPPPQPSKIDIQLQTGEYFLNDKVKSAKKWQERQEKQTEKTAENKRKREAAFIPPKEPAVQDTKSDDGGKDLAAMAVSLKKKAKEYGRQNSAENVNAEAYIAESGEPSKKKSKRKHS >ONI31270 pep chromosome:Prunus_persica_NCBIv2:G1:29733197:29734139:1 gene:PRUPE_1G303000 transcript:ONI31270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQTETEAAPVPRVAVVVCLIKGKTVLLGRRRSSLGDSTFSLPGGHLEFGESFEECAAREVKEEAGLEIKNIEMMTVRNNVFLDEAKPCQYVGIFVRAVLADDDQVPQNVEPNFCDGWDWYEWDALPKPLFWPLEDAILAGFNPFHA >ONI33484 pep chromosome:Prunus_persica_NCBIv2:G1:36734162:36736420:1 gene:PRUPE_1G427300 transcript:ONI33484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFYYYILLFAIFLFLKNYLQKFSKRLPPSPGLSFPIIGHLYLFKKPLHRTLAKLSNKYGPIAYFQFGSRSVIVVSSPSAAEECFTKNDIVFAIRPKLLAGKPLGYNYTSLTWASYGSHWRNLRRITSLELLSSNRLQMFYSIRVDEVRSLVCRLFRGSKGGGEFQSLDMKSTFFELTLNVMMRMIAGKRYHGEHVADLEEAKRFEEIMIESFRLSGATNIGDFVPALNFLGVSGLEKKLVVLQRKRDKFMQDLIEEHRKKQSGSATEQRSKTMVEVLLSLQGNEPEYYTDEIIRGIMQVMLAAGTETSFGTMEWALSLLLNNPEALVKAQSEIDIHIGQSRLIEESDLAKLPHLHGIINETLRMYPAGPLLVPHESSEDCSVGGFNVPRGTMLLVNIWAIQNNPNLWSQPEQFRPERFLNVQGERDGFSLLPFGTGRRGCPGEGLANRILGLALGSLIQCFDWERIGEEMVDMSEGPGLTMPRAHPLLAKCRPRPTMLNLLSQL >ONI30080 pep chromosome:Prunus_persica_NCBIv2:G1:24280129:24281132:1 gene:PRUPE_1G229800 transcript:ONI30080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLELMVDAANRGWRDSNGLLSKQIVESKIPPVLNAKLGCQKTCHQYQSRLKWFKTRYHSFTQLMHHSSGFGWDSVSKKFTAIEEVWQDYFKSHPSQVHLQRDTFADYEDLVIAIGNGTAAGKNSIGLGDDTDARTYEVGESRPTRLQDTNEAFVPSQNETSYQSLSFGNFTSSPFLDTNLEAPLEKLPQRKKAKTESEANNNSVETITRAELVEKVYVGMDSIAAITTEIRGMHSLMEKREKEREKTNNVWDAIKETSNLDNRAHYKALGLVHKLGMKNAFLKMLHEECSEWILYNMK >ONI31474 pep chromosome:Prunus_persica_NCBIv2:G1:30468699:30469160:1 gene:PRUPE_1G315500 transcript:ONI31474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSAASKRRSTPCPTTTMTTHRSPPPLPRVVSPSGTFSPPHTPCRSTPRPTCHRSPISNTRPPPSDP >ONI34913 pep chromosome:Prunus_persica_NCBIv2:G1:41754088:41757994:-1 gene:PRUPE_1G505600 transcript:ONI34913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNKLRRGSDSQALRMSRYPLKVLKGVHSKKKSHKAVEKKDWEDATCSVCMEFPHNAVLLLCSSYNKGCRPYMCATGHRYSNCLEQYKKAYTKVCSIQSSQPWTGSMDSLGLNLTEGERNEKTELPELLCPLCRGQVKGWTVVERARKYLNAKKRTCMQDNCSFAGSYKELRKHVKSKHPLARPRAVDPLLQEKWKRLECERERSDVISTIMSSTPRAVIVGDYVLEPNNGGIYSDSDSDSDSDLDDYMDDLRLGSFDFGIIPRSRYQRDYDSLDEDDFSHAAASNSAAAPRRNYRRGYIRVRRAGRVRGQAHRGNREAGRFL >ONI34912 pep chromosome:Prunus_persica_NCBIv2:G1:41754130:41757917:-1 gene:PRUPE_1G505600 transcript:ONI34912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVNKLRRGSDSQALRMSRYPLKVLKGVHSKKKSHKAVEKKDWEDATCSVCMEFPHNAVLLLCSSYNKGCRPYMCATGHRYSNCLEQYKKAYTKVCSIQSSQPWTGSMDSLGLNLTEGERNEKTELPELLCPLCRGQVKGWTVVERARKYLNAKKRTCMQDNCSFAGSYKELRKHVKSKHPLARPRAVDPLLQEKWKRLECERERSDVISTIMSSTPRAVIVGDYVLEPNNGGIYSDSDSDSDSDLDDYMDDLRLGSFDFGIIPRSRYQRDYDSLDEDDFSHAAASNSAAAPRRNYRRGYIRVRRAGRVRGQAHRGNREAGRFL >ONI32707 pep chromosome:Prunus_persica_NCBIv2:G1:34337135:34338862:-1 gene:PRUPE_1G381000 transcript:ONI32707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNLDKEDGLDTVLEVPIPEEMFNSMGSNAMLRWANLRSFMKAQSHVDRSSNGKSHFSSRSNNEFMALLKLVGSPLIPLQVHCDNALTRPIKDCSIEASTAKYIVQQYVAATGGLAVLNSVKSMYAVGEMKMVGSEMRQGDDSVHVPTKGNSEVGGFVLWQKNPDLWYLELVVSGFKVSAGSDGKVAWNQSGSQPCHANKGPPRPLRRFFQGLDPRSIANLFLDAVCIGEKTINKEESFILKLETPTEILQSQSTPQTEIVHHTIWGYFSQRTGLLIQFDDTKLVKMKSRYDNVFWETTMETVIGDYRYVDGINIAHGGKTSALLYRYGHAFNQKRRIEETWKIEEVDFNICGLSMECFLPPADLKREDGGDQ >ONI32278 pep chromosome:Prunus_persica_NCBIv2:G1:33123747:33125849:1 gene:PRUPE_1G357900 transcript:ONI32278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPDTKTTISPPPQEPDPKKLKMSTTTTSDDDETTTTDTTKKQRYKRRKVAIFFAYCGVGYQGMQKNPGAKTIEGDLEEALYLSNAVPEQDRNNPKRYDWARSARTDKGVSAVGQVVSGRFYVDPPGFVDRLNSNLSPQIRIFGYKRVTASFNAKKFCDRRRYVYLIPVFALDPSAHRDRESVLASLGSDKEFVKCLECSERGRKVGGLMGKRNYELRGTSFGLDISSNTNESMVESEFNEETKVSPDNAGGDDSKSEPKNEISTSSNNNGVSGVEVENSEDTDLNSKPLNDTTKVSVGEENANGEEKPEKGSGSEYCYGEKEKDRFNRILNCYEGTHNFHNFTTRTKAADPAARRYIISFNANTTVTIGGMDFVKCEVVGQSFMLHQIRKMIGLAVAIFRNCAPESLLEIALQKDVNVNVPTAPEVGLYLDECFFASYNQKWGDSHEELSMKDYEQEAEDFKMKHIYPHIASTEQKEGVVGLWLHSLNHRNYPDLCTTAADNDGNTSNEESVDVGNVAE >ONI29911 pep chromosome:Prunus_persica_NCBIv2:G1:23604826:23607882:-1 gene:PRUPE_1G221700 transcript:ONI29911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSFSHNPKAMAVRLTPLLLSASAQVPVVQLKCPPPFAHISTKTRFIVSSARMATNEPQSRTKIIDSHLHVWASPQEAADKYPYFPGQEPTLPGDVDFLLKSMEEAGVDGALIVQPINHKFDHSLVTSVLKKYPSKFVGCCLANPTEDGSGVKQLERLILQDNYRAVRFNPYLWPSGQQMTNEVGKAMFSKAGELGVPVGFMCMKGLSLHISEIEELCTEFPSTTVLLDHLAFCKPPINDEEKLAFSALLKLSRFPQVYVKFSALFRVSRMPFPYQDLSHSLSQVVSSFGANHVMWGSDFPFVVPECGYKGAKEAISLIVNQIPLSSTDLEWIMGRTVMQLFQNQWLP >ONI34395 pep chromosome:Prunus_persica_NCBIv2:G1:40115622:40116602:-1 gene:PRUPE_1G480100 transcript:ONI34395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEEAPDFLEVLSDGSVKRFVPGVVPASPESINGFKSKDVIIDSSKPITGRIFLPGNPTSLSKLPVVVYFHGGGFCIGSTTWPGYHNFLGGFSVASQSIVISVDYRLAPEHRLPIAYEDCYCTLDWLGHQVSSEPWLDQADLSRVFLSGDSAGGNISHNVAVKVIRNKISHVKISGLLLIHPYFGSERRTEKEMAEEGAGDVASIDMFWRLSIPDGSNHDYFGCNFEKTQLSATEWIDEFPAVAVYVAGLDFLKERGVMYAEFLQKKGVKEVKLVEAEKESHVFHVFHPESEATRLLERNMTEFIRSH >ONI26875 pep chromosome:Prunus_persica_NCBIv2:G1:3654986:3657144:-1 gene:PRUPE_1G052400 transcript:ONI26875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWATLDCSSAQLAQLLGPNNTAAADFICSQFAAVSSKLSDTAHAVDTTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGIFYYLFGFAFAFGGPSNGFIGRHFFGLRDIPSDSFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSTDGWASAGNTQNLLFGSGVIDFAGSGVVHMVGGIAGLWGAFIEGPRIGRFDHSGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFNKILSPYGTGSMSYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILSGHWNVTDVCNGLLGGFAAITGGCSVVEPWAAIVCGFVAAIVLISCNKLAEKVKYDDPLEAAQLHGGCGAWGIIFTALFATKKYVNEVYGGKSDRPYGLFMGGGGKMLGAHLIQIVVIIGWVSATMGPLFFVLHKLKLLRISAEDEMAGMDLTRHGGFAYVYHDDEDSEKPTTIQLRKVEPNASSTPTSV >ONI32142 pep chromosome:Prunus_persica_NCBIv2:G1:32656747:32658806:-1 gene:PRUPE_1G350900 transcript:ONI32142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSLRSSSESESSSQEYLQRLLDSARPFLRGELEAIDENLPSLVGVLRSVGAGECWHKHGTFLEHLVDIYRILKIWKAQDSVCLCGLFHSAYSNSYVNLAIFDPSTGREVVRGHVGDAAERLIHFFCIVPRQSLIHDDLLFHYTDSELVEHLKQSEVSLAAPATNSNSNNQDQIQEDHSAAPWSWRKKLQTLVPANGITVKHIKTGEDVLVSRRVVAVFLMMTIADFSDQLFGFQDELFDNIDGRLEFKGNNFAALWPGDGKPGLWMNSISRMAAIYTLMVREEAIFVEERKITSATATGDKLDKSRDEDIELVVPPVFDKCTRVLDAKEQLAARDLYWEAVCGMSSSSSKREIVDDGKGADDEEATVVLLRSCVERNPFIGEPHVVLAQVYLRKAKFEEAEREAERGLTLMLEWGSAWDKRMSWEGWIAWARVLLMKARDRSWPQTSWGILNLGLVK >ONI32143 pep chromosome:Prunus_persica_NCBIv2:G1:32654999:32658806:-1 gene:PRUPE_1G350900 transcript:ONI32143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSLRSSSESESSSQEYLQRLLDSARPFLRGELEAIDENLPSLVGVLRSVGAGECWHKHGTFLEHLVDIYRILKIWKAQDSVCLCGLFHSAYSNSYVNLAIFDPSTGREVVRGHVGDAAERLIHFFCIVPRQSLIHDDLLFHYTDSELVEHLKQSEVSLAAPATNSNSNNQDQIQEDHSAAPWSWRKKLQTLVPANGITVKHIKTGEDVLVSRRVVAVFLMMTIADFSDQLFGFQDELFDNIDGRLEFKGNNFAALWPGDGKPGLWMNSISRMAAIYTLMVREEAIFVEERKITSATATGDKLDKSRDEDIELVVPPVFDKCTRVLDAKEQLAARDLYWEAVCGMSSSSSKREIVDDGKGADDEEATVVLLRSCVERNPFIGEPHVVLAQVYLRKAKFEEAEREAERGLTLMLEWGSAWDKRMSWEGWIAWARVLLMKARDRSWPQTSWGILNLGLVK >ONI34826 pep chromosome:Prunus_persica_NCBIv2:G1:41480717:41483605:-1 gene:PRUPE_1G501200 transcript:ONI34826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQKSQAKLTRTQSSLLRSSPTIRSSIHSLSSVTEEDVIAAQQQQYDEEEQKPKNYNPGSTQKPGSNRISHQHLAMAFLTVFTLFSFSGFFFFFYLRREEIPTSENLLLALVFVAVTLFLANKNRGLINHSVSVLKHSWDENAKRFRFCKTNGGSKPVQWFIGSDPNPNKARKEKKIIREGVEFYSNGDFYEGEFHKGKCNGSGVYNYFVNGRYEGDWIDGRYDGYGIEGWARGSRYKGQYRQGSRHGYGVYRFYTGDSYAGEWCNGQSHGVGVQTCSDGSCYVGEFKYGAKHGLGCYHFRNGDRYAGEYFGDKIHGFGVYHFANGHCYEGSWHEGRKQGYGVYTFRNGDTRCGMWDGGTLKHPLVPLTDAVVRAVKAAGKAAENAVNLRRVDEHVNKAVMAANRAATAARVAAVKAVQNRMDGKFCDTNV >ONI31543 pep chromosome:Prunus_persica_NCBIv2:G1:30643339:30644928:-1 gene:PRUPE_1G319100 transcript:ONI31543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRATSTASSVLYSVLLIFLCFVRVQVQARYHKQANCSRSCGEIQNIKYPFRLKGDPSGCGDPDYEFSCVDDRTILEIFPGKFYVHNISYNDQILRLVDVNFANGSCSLPSGSVLSADRDVKDSRFGGFVNSSRSLFRFMKCSKNISSLQTAANYTRVPCLTTNGSYLYAIYDRDYYYHRQPQPSCSLISVAPVDFDQDIKFPSYEAIMELLQAGFDVGWSVECRDCSLAGKGCLVSSWDQPITYVCSREYKEPTSLQIILILVGIGIGALVGLFFIILILVVVIRKYRRGRRKAAKKKLQNQQCLTPTISNSGTN >ONI28305 pep chromosome:Prunus_persica_NCBIv2:G1:10751595:10753971:1 gene:PRUPE_1G137100 transcript:ONI28305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLFISLPLLLIIMAVVLVTPAISVPLKIGFYQTACPSAESMVRNTVTKALAADPAIAAALIRLHFHDCFVRGCDASILLDSKPGMPAEKESTMNKGVQGFEVIDEAKAAIEAQCPNTVSCADIIAFAARDSVFSAGGLRYAVAGGRRDGLASLIYEAAKNLPGAFFNSTELRGNFARKGLSMEEMVTLSGAHSIGESHCSAFSKRLYSFSARFPQDPSMDGAYAETLKSKCPRPRNLTDSVDPVVAFDLSTPALLDNNYYKNLVSHRGLLASDQELWSSGLTRKMVKYNRNHPGAWASKFAAAMVKMGSIDVMVGRNGEIRNNCRAVN >ONI31042 pep chromosome:Prunus_persica_NCBIv2:G1:28806080:28808761:1 gene:PRUPE_1G288600 transcript:ONI31042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLVCFSPSHKKPNNNNNFNREPSKRSPYSSPFLSSIASTRRSNGSSKKKEMLADDLLLQQQAIEAVLLFQNHQKNASSIPLNRSTSVVYPSPGQQNQSFTKSSSSRQRLRSDAVSFQPLELVDKQDVKTDGLETNHFILVHGGGFGAWCWYKSMTLLEESGFKVDAVDLTGSGVHSFDTNSITSLAQYVKPLTDILEKLGDGKKVILVGHDFGGTCISYVMELFPSKIAKAIFISAAMLASGQSALNLFAQQTGSNDLMRQAQIFLYANGKDQPPTAINLDKKLAEDLLFNQSPAKDVALASVSMRPIPFAPVTEKLSLSGTNYGSVRRFFIVTQEDHAISVPLQETMIELNPPEQVFRLKGSDHAPFFSRPQALHRILVEISQIPPI >ONI32109 pep chromosome:Prunus_persica_NCBIv2:G1:32547182:32548529:1 gene:PRUPE_1G348800 transcript:ONI32109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTVSVNVRAEMEKLSPEQLKAVKEQTDMEVNLLQDSLNNIRTATTRLEIASSALHDLSLRPQGKKMLVPLTASLYVPGTLHDAHQVLVDVGTGYFIEKTMPQAKDYCERKISLLKSNFEQLVEVASKKKSISDEAGAVLQAKLKQLAPAT >ONI26291 pep chromosome:Prunus_persica_NCBIv2:G1:1110109:1112124:-1 gene:PRUPE_1G015400 transcript:ONI26291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFHKNNHPIRILIPTQDWPSLFTRASFIFLLILSQSLSFFCTSTTAIEAQAMKVHPMPKKRNITIQYNSSNPLSEAEALLGLTHKKLRRLPHVFSRVLELPFRSDAEVLVEENPDCFRFIAETDNIGDGVRAHTVEIYPGVTKIVVRESGSAELTLDELELDMWRFRLPESTRPELASAVFVDGELIVTVPKAEGIENSDGGNGGGDVWGDGDGNGNGGFRGGMGNRLVLVQ >ONI33226 pep chromosome:Prunus_persica_NCBIv2:G1:35926201:35927607:-1 gene:PRUPE_1G411600 transcript:ONI33226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEESVSIWASMNSWFTPTVFFVLLNVMIGTIAIASNLGTNQKHQDPQNQQQGLARSPSVLQRIKSINLYHYRSPEPHTNTFEKNPETTESTHYAFRHTQEAEQPQFTRSPSLLQRLKSINFYIPQDFSTNPSQPSTNPSQPITTTTTLHKTQEPESHSEHDQFQDEDHFEDHEHPESPEPESESEEEQSLDEIYSQLKPLQDHHVSRTKSDTKPASGEVPTKLPKKMKKSASSKSAFGHFKEDDIVEIRRPATVRERKAKVTEDEEVDAKADDFINKFKNQLKLQRLDSIIRYKDMLNRGA >ONI34095 pep chromosome:Prunus_persica_NCBIv2:G1:38632045:38635701:1 gene:PRUPE_1G462400 transcript:ONI34095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHSSLAPYRLKKLIILPFPYPKPTISTKRLNLFQAMSSSSKSQSSAPVYKVPGLDPEDMDRVADQTFQRYSASPVKRNGKGVAVVWFRNDLRVLDNEALYKAWISSQEVLGVYCVDPRLFGSTHYFGFPKTGALRAQFLIECLADLKKNLMKRGLNLLIQHGKPEEILPSLAEVFGAHTVYAQKETCSEELNVERLVRKGLQRVVLPLSSAQSSGPSSTNNPKLQLLWGTTMYHIDDLPFDTLSLPDVYTQFRKSIEAKCTVRGCIKLPAFLGPPPSIGDWGCVPSLDQFGLQSPNESKGMKFVGGESAALGRVNEYFWKKDFLRIYKHTRNGMLGPDYSTKFSPWLASGSLSPRFIGEEVKRYEKERQANDSTYWVSFELIWRDYFRFLSAKYGNSLFHLGGPRNVEHRWSQDKILFESWRDGRTGYIALRQHERAINHGIHVESGKIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQARTYDPEGEYVAYWLPQLESLTKEERNFPGRAYMKQVVPLKFGNTSRHQNQDKALAARGTNFGGRQTKGQRR >ONI28050 pep chromosome:Prunus_persica_NCBIv2:G1:9323354:9325141:1 gene:PRUPE_1G119100 transcript:ONI28050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFGEFNFCRVQDKLSSTDKGSHIIMEEVKKIGKQVHSYEADNWGDSGGFGSLGSDYGFYGNNHYSSGLLFPKFQQQEEQQPLVSDYGLFDAMQFDALFPPFQACLEEIGKLEDIPTLVPHVEPKKGKRDFSSLAGLDLLNNYGSGFKRLNGERIFESSNYTACTDQVAGRKLSTEEIMRVAGARFIRTSSQASGVASMLSHPYEFSFSGLSVEETRDVELVEFLLASAEKVGYQQYERAGKLLNRCDLLSSSTGNSVERVVYYFSEALREKIDRETGRVTPKGLGNKPSFDVNEAMKRPNETSLACQIKIPFGQVAQFAGIQAIVENVAEAKKVHVIDLEIRNGVQWTGLMQALASRSDCPVELLKISAIGTSSRKLIEETGKRLESFAESMNLPFSFKLVMVPDMLDLKEDMFELDSEETVAVYSEFAMRSMVAQPDRLESAMRVIKNIRPCVTVVTEVEGNHNSPVFVTRFIEALFFFGAYFDCVETCMERNDPNRMILESLYFGNGIRNIVAAEGEERKIRNVKIDVWRAFFARYGMEEIDLSSSSLYQVELVLKNFSCGSSCTVNMDGKCLLVGWKGTPLQSLSLWKFL >ONI27528 pep chromosome:Prunus_persica_NCBIv2:G1:6991824:6994226:-1 gene:PRUPE_1G092300 transcript:ONI27528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSMSAILLVLHLFVLHLQYSEVQSLANTSSHDFSYLSFVYNATDPELEGSYDYIIVGGGTAGCPLAATLSANYSVLVLERGTLPTEYPNLLISDGFVYNLQQEDDGKTPVERFVSEDGIDNVRGRVLGGTSMINAGVYARANTSFFNQTGIEWDMDLVNQTYEWVEDTIVFEPDSQTWQTVIGTAYLEAGILPNNGFSVDHLAGTRLTGSTFDNNGTRHASDELLNKGDPNNLRVAVQAAVEKIIFSSNTSGVTAIGVVYTDSNGTTHQAFVRGEGEVILSAGPIGSPQLLLLSGVGPESYLTSLNISVVASHPYVGQYIYDNPRNFINILPPNPIEASTVTVLGITSDFYQCSLSSLPFDTPPFSFFPTTSYPLPNQTFAHIVNKVPGPLSHGTVTLNSSSNVRVGPNVKFNYYSNSTDLSHCVSGMKKLGEVLSTDALEPYKVEDLPGIDGFNILGIPLPENQTDDAAFETFCRDSVASYWHYHGGCLVGKVLDDDFRVTGINALRVVDGSTFPSTPASHPQGFYLMLGRYMGIQILQERSASEDAIHNLGFQENILDLPKSTSSYAF >ONI27337 pep chromosome:Prunus_persica_NCBIv2:G1:5938673:5940402:-1 gene:PRUPE_1G081100 transcript:ONI27337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEIVNSNCSPTPGALGFFIRCLGSVELVQEAKGLFGIQLESNFLNSKTKFESKTKETYLVVLFLKTQTRTTKKHPNY >ONI29453 pep chromosome:Prunus_persica_NCBIv2:G1:19173791:19174964:-1 gene:PRUPE_1G199000 transcript:ONI29453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLDFHLVQLFFACMPSLAVYLVAQYARYEIRRMEACIYISCSLLDCMPLNWRRKRRKKKEEAKAKEKEKELNAAEEKEVESNPELLEVRVRLHKLEETLKEIVVESKKQMNSGQTKAHEGGNEK >ONI30962 pep chromosome:Prunus_persica_NCBIv2:G1:28607414:28610980:1 gene:PRUPE_1G284700 transcript:ONI30962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEEAPPLAVEIDQPTEPYPQQQSNSSGHKDGDAPVGVTVITGYLGAGKSTLVNHIINSQHGKRIAVILNEFGEEIGVERAMINEGDGGALVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDDQLESSVKLDSIITVVDAKNLRFQLSENQSSSSFPEAYVQIAFADVIILNKVDLVSPEGSADFLEELEKEIHSINSLASIIRSVRGQVDLSNILDCRAYDAMHATHLESLLVETRALSTRDLHDSGVRTLCICEPRQVDLEKVRLWLEEILWEKKHGMDVYRCKGVLSFHNSNQLHTLQAVKEIYEIVPTRDWKKQENQTNKIVFIGHNLNENVLTESFQACVM >ONI29228 pep chromosome:Prunus_persica_NCBIv2:G1:17305899:17308330:-1 gene:PRUPE_1G188500 transcript:ONI29228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLAIDLEKIFKRVKKAWKHGKDQLGRLCDEEFVDIGTSERTIKEKLKCTLFSDYEGIHLYM >ONI34909 pep chromosome:Prunus_persica_NCBIv2:G1:41737613:41738432:-1 gene:PRUPE_1G505300 transcript:ONI34909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQISSLSAETETLSQVLGLVEAFKAFDADNDGKINAAELGGILGSLGYQASEQDVRAMMQQGDTNRDGFLSIEEFLGMNTKNLEFGGLENVLKNAFEALDVDGDEVVTAEELYEVVGDDLGYELSLEDCQGIIASIDIDGDGAVSFEDFKLIVNSL >ONI28605 pep chromosome:Prunus_persica_NCBIv2:G1:11928876:11929771:-1 gene:PRUPE_1G151000 transcript:ONI28605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAFALNASKHRRETGYVEAMHKATNKIKNKTKIHTSSDAYTKVKSFNVGHHITNCFNLVNPFFPNLVLTNIYIRYNIDYDTLRSSPTKEGLKSFSHQNGKRNYVILKLNCSHILSRFVLPYDHMDNWCI >ONI34149 pep chromosome:Prunus_persica_NCBIv2:G1:38792779:38795870:-1 gene:PRUPE_1G464900 transcript:ONI34149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALPAILSSLSLSTQKPTKNKVYNRVPRTNCIISLLKSCSNLREFAPIHARLVTTNLIHDPFTASQVLWFFITIKDFDYARLVFSQTHQPETIIWNTLMENRLKNGSFGDVLSTYYNMVTQGVPLDASTFHFLIHACSRLLAIQQGTEIQGRILKIGLGDNMSLINNLMGLYSKCGKLDEVRKMFEILPQRDVISWNTMISCNVHKGMLYEALNLFLEMQTNEEVEPDEITMLSLVSACTKLRDLEMGEKLHQYIEENELEIGGNLLNCVVDMYVKCGKMDKALELVGRCKPDIDVVLGTIMVGGYVKSNEIHAARCLFDQMTERNLISWMTMISGYVQGGYCYESLELFRQMRKTYLSLDEVLLVTVLSACAHVGDCKLGKSVHSLIFKYGMNVEGFLGNALIDLYAKCEKLAEACLVFEQLPCKSVVSWNSMLDGFCRSGDIKKARLFFNEIPEKDVISWNTMINCYSISHRFGEVFELFRAMQSSNVQPNKITLVSVLSSCASVAALNYGIWVHVYIKKNHIELDIMLGTALIDMYGKCGSIEQAYEIFSDMTEKNVFVWTAMIAARAMEGQAQKAIDLYSEMEALAIKPDHVTFVALLSACSHGGLVNEGYTYFNKMSSVYSIVPKIQHYGCMVDLLGRAGRLDQAVRFIESMPIKPDISIWSSLLRACGSHQNLELAEKVFQELIKIDPLNDAAYALISNIYAKAGRWDDVSWARKKLHELGVRKQPGCSLIEQNGAVHEFTAWDFSNPQSAEIYAMLDEIKRRLQKQDLVETSSHHSERLAVAFGLLNNPPRTPIRVVNNLQICRDCHSAMKLISQAYNREIVIRDNYRYHRFVDGNCSCKDYW >ONI31014 pep chromosome:Prunus_persica_NCBIv2:G1:28761928:28765450:1 gene:PRUPE_1G287700 transcript:ONI31014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGALARTPPLFSSFIKIPLPLISRNSRCIFRTIIHFPKPKLTPTSMDNFSMDKRLHLCTLALSNDSPSRSASAPLVSNKSKKKARRESPEGVLRHKLDMCSRQGHLVEALRIYDEARSRGLPLSLHHYNVLLYLCSSNGGADDDGVGLKRGFEIFRQMVDDKVVPNEATFTSAARLAAAAEDPEMAFHLVKQMKGLGIPPKLRSYGPALFGFCKKGEADRAYEVDAHMVESKVIAEEPEISALLKVSSDSSKGDKVYEMLHRLRISVRQVSESTSGVVEEWFMSEEAANVGMESWDVEKVREGVVRGGGGWHGQGWLGSGNWRVVRTQMDEAGTCSCCGQKLVSIDIDPKETENFATSLNKLASQREVKADFFQFKEWLQKHGPFDAVIDGANVGLINLHNFSFSQLNTLVNRLRQMSPSKRLPLVILHTNRVTGGPAQNPNNKKLLESWKKAGALYATPAGSNDDWYWLYAAVNSKCLLVTNDEMRDHLFQLLGTNFFPRWKEKHQVRMSVSRQGLALHMPPPYSIVIQESEDGRWHVPTTIGDDIETPRQWLCATRATTNTK >ONI28242 pep chromosome:Prunus_persica_NCBIv2:G1:10560101:10561137:-1 gene:PRUPE_1G133600 transcript:ONI28242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTILLVCLLVATVAIVVPIAEAQLGLISGLLGLIRIQGTLFCSPSGNVGTGGATATPVFANATLQLLCGTVGNVISTATTNSQGIFSILLDPLQFLLSSLLADCKLMVRTPLSACNSSLTGLLASPLQFIGNTISGLLNIVNIIPGGFNLIN >ONI33135 pep chromosome:Prunus_persica_NCBIv2:G1:35737721:35738835:-1 gene:PRUPE_1G407800 transcript:ONI33135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILTDSQNKRSPSSSSTSPCANLRAAYHNCFSRWYSEKFVKGQWDKEECVSEWQKYKACLSQHLEDKQLSRFLEAEGPVSSTIEVASSRTTKTDDAPTGSSQ >ONI35952 pep chromosome:Prunus_persica_NCBIv2:G1:45938600:45939620:1 gene:PRUPE_1G562200 transcript:ONI35952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQARGSAIVATTLLLSCCEISDATNYTVGGDDGWNFKVHDWPTGKKFHTHDTLVFKYNNGQDNVVVVDENGYTTCTIGDQVLIRK >ONI27908 pep chromosome:Prunus_persica_NCBIv2:G1:8840376:8843348:1 gene:PRUPE_1G110500 transcript:ONI27908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVPESNTVTGSAKNGISDSTEENSSSGHSKDVGSFSSVSDTKVKKVVEYDQQKDLRTLAELICSGAVQPERAGDIIRSNSMFNPLFKPSFNEVETKCMEVYEEKKMETKKILGNFDGQISLSVDILRFENHIDPRCCGDYLCLSAHFVDENWKLKKRVLCFSSRSVSGPSDDSLHGYEDWGIENKIRAVTVNNGKCYKEMVEHVKRHIQEKKEFQLNPVFPVYCCGEMFRVMVQDAFDKIEDIVHKVQQVHSFKTSEPLWSTTWLNLQEAVKSWSTGELSTKDVIGSNDVPSPEEWKKVEGVCKIIGSIDEVSEALFYTTPLTPNVYLYHLHELHGILTKMSTDSDSFNRTIVKDMLKKLDEYLDNDMFLLLTMSAALDPQFKMRYIEFFCSEVKRKDEKTQVANVLWAMQKLFDDYVIRFPTQGESCRSRRWKRSFRVVQDYQQFIHSNDHPAKKSDLDRYLEEPVLPWSPDFNALSWWRTAGTAYPTLSRMARDLLAMPMSLSTGNGVYYTVPKPADDHLVWLNPGLMNALMCTRSWV >ONI34761 pep chromosome:Prunus_persica_NCBIv2:G1:41191077:41194569:1 gene:PRUPE_1G497200 transcript:ONI34761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSNVLRGSYLNGAYHPSLSSSSRADVNYSCGSCGYELNLSSNNRNTSTIGSNKYGKSIKRGIISFFHIDDSRFTQVDELQCKPHFSKRSWGLFSRRTKLLCRKCGKHIGNAYDDYTSSSFPLVLDGSDSSSGRELSKCRKYDVRIRSLQPSNSEGCGTPIFG >ONI34760 pep chromosome:Prunus_persica_NCBIv2:G1:41191077:41194571:1 gene:PRUPE_1G497200 transcript:ONI34760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSNVLRGSYLNGAYHPSLSSSSRADVNYSCGSCGYELNLSSNNRNTSTIGSNKYGKSIKRGIISFFHIDDSRFTQVDELQCKPHFSKRSWGLFSRRTKLLCRKCENMMLEFDLCSLQILKDAALRFSVDISEQA >ONI30823 pep chromosome:Prunus_persica_NCBIv2:G1:28108986:28110810:1 gene:PRUPE_1G275400 transcript:ONI30823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSTSLILIKLFVIQYLSVLCVSQDFDFFYFVQQWPGAYCDTKHTCCYPKSGKPSADFGIHGLWPNYKDGSYPSNCDPDSVFDKSEISELMSNLEKNWPSLSCPSSNGFRFWSHEWEKHGTCSESELDQKDYFEAALKLKQKVNLLQILKTAGIVPDDGMYSLESIKEAIKEGAGYTPGIECNKDSAGNSQLYQVYLCVDTSGQDIIECPVLPKGRCASDVQFAKF >ONI27978 pep chromosome:Prunus_persica_NCBIv2:G1:9088961:9091839:-1 gene:PRUPE_1G114800 transcript:ONI27978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRETGELKTTIDQISMANSTVFSDEIIPGSSFASFASSGGSIFDMSDNNQRGSGFMDLLGFQDFNMPPSLFDFSSSQTTSSSSSSMMMVMMPPHHQQQQQPPLASPTSTPTAVALPERESTTSEVLNGSTAPTTPNSSSISSSSNEAAANKNEDQTTTKAQDEEADEQNQDPEKTQKQLKPKKKNQKRQREPRFAFMTKSEVDNLDDGYRWRKYGQKAVKNSPYPRSYYRCTTAACGVKKRVERSSDDPSTVVTTYEGQHTHPSPIMPRGTMGIAPLPDQPSAFSSSPFGVQQLLPHHHYQQQQQQQQPQYSYIYSSAPSLNISSPAYGGGAFNPSSFSTGLLQERYNFGSPSSSSSAVSNLLRDHGLLQDIVPQIRKEAKEEDHLHQ >ONI32395 pep chromosome:Prunus_persica_NCBIv2:G1:33533131:33535555:-1 gene:PRUPE_1G365300 transcript:ONI32395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKERSRASSQSQNWQNTFNGLVQMIRDQQSQLETLAKDRQLLEDRVRTQNERWTYDIRLLEDQISQMKGDLEFQELAGSLQAAKFELVLGLKQREGYLTKLKLEYTDSELEGFKGWFDLYNKFSDLKGGGEDGDKRISNTKSPKKSKQEKQRSKQLEDDLRRLNQQYDKLASEKSSEVSALLAEKKFVWNQYKIMEENYTTKLRSKHSEVEQAEAKIQNFLAHMEHLQSSNKEKDGKIAILISKVAKMESDSNKLKEEISKLSTELDLLRKSTSASSTPLLNHCTAGTRTCSLRGNNSAKDRTNVTVKKDSSAAQLPDPIKDTRKGSSISKRKIDDVITISETPKLFSSRFKVPKLKNASPGIR >ONI26725 pep chromosome:Prunus_persica_NCBIv2:G1:2976884:2978561:1 gene:PRUPE_1G041600 transcript:ONI26725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEEIHVAEDERVNISLKDLSKKLEEFAKARDWEKYHSPRNLLLAMVGEVGELSEIFQWRGEVDKGLPNWEESDKEHLGEELSDVLLYLIRLADICGI >ONI35251 pep chromosome:Prunus_persica_NCBIv2:G1:43056883:43057915:1 gene:PRUPE_1G525600 transcript:ONI35251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASHEEKRNASLKRALLILSCVLLSIGTCGGPLIMRLYFIHGGKRVWLSSWLETGGWPIIFIPIALAYYHRRTTEGPSTKLFFIKLPLFIASAVIGLITGLDDYLYAYGVARLPVSTSSLIIAAQLAFTALFAFLLVKQKFTSYSTNAVVLLTIGAAVLGLNTNADRPKGESDKEYIAGFLMTVAAAALYGFVLPLVELTYKKAKQTITYALVLEIQMVMCLFATIFCTVGMLINNDFKGAKSKPKSLHRNESRPQETILIII >ONI35250 pep chromosome:Prunus_persica_NCBIv2:G1:43056769:43058955:1 gene:PRUPE_1G525600 transcript:ONI35250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASHEEKRNASLKRALLILSCVLLSIGTCGGPLIMRLYFIHGGKRVWLSSWLETGGWPIIFIPIALAYYHRRTTEGPSTKLFFIKLPLFIASAVIGLITGLDDYLYAYGVARLPVSTSSLIIAAQLAFTALFAFLLVKQKFTSYSTNAVVLLTIGAAVLGLNTNADRPKGESDKEYIAGFLMTVAAAALYGFVLPLVELTYKKAKQTITYALVLEIQMVMCLFATIFCTVGMLINNDFKVILREARNFGLGKGTYYVVLVLSAIVWQTFFLGAIGIIFCASSLLSGIVIAVLLPITEILAVIFYHEKFQAEKGVALALSLWGFVSYFYGEIKYSKQRENEKKNEKEKEKEKEIEIETKDTPETADVSQLDP >ONI26952 pep chromosome:Prunus_persica_NCBIv2:G1:4108421:4108834:-1 gene:PRUPE_1G057700 transcript:ONI26952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSLSSVLPLMLLMLVALIISVEAGLLPKKRTVRITNALGVYGDLAVHCKSKDDDLGDRVLHPGESFEFRFRQNIFGTTLFFCSFRWSREFHYYDVYRADRDGCSKCYWTVYFLGICFHDQNCYPWPVQSGRFINP >ONI33922 pep chromosome:Prunus_persica_NCBIv2:G1:38095688:38096559:-1 gene:PRUPE_1G453300 transcript:ONI33922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARLRKYNWSEKALRRKTTGTGRMRYLRNVPRRFKSNFTEGTQAAPRRKGTAAAAS >ONI29590 pep chromosome:Prunus_persica_NCBIv2:G1:20258002:20259307:-1 gene:PRUPE_1G203500 transcript:ONI29590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLACAVFGERAIHSMKLSHGSSEVKATADILNIKQQELDSQRREVHALLLAKGISADNAECVTEAIVRSSPRASFVLFWAFFIASRLSPLGLVIDYLGTWFCSLGDHRLHGSLACAVLSCFDCSFFFLFLLDTLTNMSF >ONI30573 pep chromosome:Prunus_persica_NCBIv2:G1:26894723:26898416:1 gene:PRUPE_1G259600 transcript:ONI30573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLSYVSSYTTAIFKRNGRFMATSFLYRPCSWKRKLDLSCGVKCINLESTRFHVQLYSSRSKASSSKTPSSRTRRKSEAEPVVELEKDAFYVVRKGDIVGVYKSFSDCQAQLSSSIFDPPVSVYKGYSLPKETEEYLGSCGLTNAIYTIAAADLKDDIFGKLMHCPFQDPASLQGGASVMDALKKRSHEVPTSDNLEVIGSPSIADDPLRKHVKIDHSTQSLPLDSGFCTLEFDGASKGNPGLAGAGAVLRADDGSLICKLHEGLGVRTNNVAEYRALILGLKYALKKGFTKIRVKGDSKLVCMQVQGLWKVRNQNMSDLCEEVKELKDKFLSFEISHVLRELNSEADAQANLAVRLTDGQVQEEEFGK >ONI36248 pep chromosome:Prunus_persica_NCBIv2:G1:47033610:47035164:-1 gene:PRUPE_1G577800 transcript:ONI36248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNAREFEDTERWISSSKLPQLCLNHVSFVCKSVSKSVRFYEQVLGFVLIKRPSSFKFEGAWLFNYGIGIHLLESDKNIPEKKGKINPKDNHISFQCSDMGMVMQKLEEMKIEYVTAKVEEGGVIVDQLFFHDPDGYMVEICNCQNLPVLPISSCPIKLITNTNANHTSSSSSSSFYGEQRQEMSCSGEAATLMMEGLVMDVMNISM >ONI26955 pep chromosome:Prunus_persica_NCBIv2:G1:4118992:4119839:1 gene:PRUPE_1G058000 transcript:ONI26955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSECVQGFNVEVSWSYHNGGCWPTLLWLLTAACIKTGRPQTAKRAIEQVEQRLSKDGWPEYYDSMVGRHIGKQARKYQTWSISGYLVAKLMIENPANLSLISLEEDKRIAKPRLTRSTSF >ONI31531 pep chromosome:Prunus_persica_NCBIv2:G1:30601401:30601967:-1 gene:PRUPE_1G318200 transcript:ONI31531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKIHHLQSVLFTLKICFPFQLQVFRFQGDSLATDERLNALEEESLKHKMLRIDSKWLPLRLKRSCTDVLNPSMVYGYECVMLLVWCSFCHWLLSLCFQMPDIVTEQWIQIQRLEQALHITQVFSA >ONI29518 pep chromosome:Prunus_persica_NCBIv2:G1:19666801:19667211:-1 gene:PRUPE_1G200600 transcript:ONI29518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGAVKRDIKAILLAGFAELVAGACSVAMGEFVAVYTQLDSETAQIKRENNNIINEKEIAKAKEKLPSPFQASVASAFSFLVDGLVPLLAAAFITNYKGQFQAKLLRQGLVSKQL >ONI33016 pep chromosome:Prunus_persica_NCBIv2:G1:35346839:35347516:1 gene:PRUPE_1G400100 transcript:ONI33016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVSSLFKTLFLLGLIALIAFAVQTAQVGADAKLRKLSHLPSPPPPPKGASPIHQLPPPNE >ONI36068 pep chromosome:Prunus_persica_NCBIv2:G1:46306237:46307458:-1 gene:PRUPE_1G567700 transcript:ONI36068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNCSTSIKINFLWHEQSSQCKTMRDSSVSYASAAAKVDMLENVFSFETSSNLIIIKLTVMFNPSKYAFHEPS >ONI28976 pep chromosome:Prunus_persica_NCBIv2:G1:14462438:14471593:1 gene:PRUPE_1G173100 transcript:ONI28976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFMQPRNTILREATEPTSSPNPSYGKPRPPRKPKASKENAPPSDPNSMTSDSKPSPAAKLKSPLPPRPPPSNPLKRKLSVDSQTENSVPGTSDSGVQVVVRMRPPRKDKDEGEMMVQKLSSDSLSINGQTFTFDSVCDTDSSQLDIFQLVGAPLVENCMAGFNSSVFAYGQTGSGKTYTMWGPANALLDENLSSDQQGLTPRVFERLFARLNEEQIKHADKQLKYQCHCSFLEIYNEQITDLLDPNQKTLQIREDVKSGVYVENLTEECVRTIKDVTQLLIKGLSNRRTGSTSINAESSRSHTVFTCVVESQYTNVANGTSSFKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEISQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAISPTQSCKSETFSTLRFAQRAKAIKNKAVVNEVTQEDVNHLREVIRQLRDELQRIKANGNNPVASNGGHSAAWFRQSLNLLKASLKPQMTLPRVDDDSDEEMEIDEEAVERLCVEVSNQTVVSEANNRADANNVETMKSHSQPVACEIGSSDGPQDYTSGSGCIKEQGCDTDVNMEEGISEQEGDMIVECVADTPVIVECVADTPVIPCANASDLHNLIEYTSVQPAQEENILKSSISSLLNEESASKRGHEGSSCPASDPPGGASGCLSVADECIGSPNGLVNCVSPCLSIVPCDVSPVLKSPTPSVSPRVNASRKSLRTSSMLTASQKDLTGGSTLSPEAMHVSLAKPAINSSSDDVSAQTCKNFSAPAEQLAASIRNGLEIIGSHRHSSALRRSSFRFSLKPSESRLILPVSKADVGVQTSHEIVEENSVEFMCNNCKNRMQLEVKEVNEISDMQLVPVDGSESADKSKIQVPKAVEKVLAGAIRREMALEDICAKKTSEIMQLNRLVQQYKHERECNAIIAQTREDKILRLESLMDGVLPTEEFMEEDLVSLTHEYKLLKEKYDNHPELLRTKIELKRVQDELDNLRSFCDMGEREVLLEEIQDLRSQLQYYVDCSSTSARTRKSMLQLTYSRDPNVAPLSTIPESTEESAEQKFEQERKRWTEVESNWISLAEELKVELEASRSLAEKTMQELETEKKCAEELKEAMQLAMEGQSRMLEQYADLEEKHMQLLARHRTIRDGVEDVKKAASKAGVRGAESKFINALAAEISALRVERERERRYLRDENKGLQAQLRDTAEAVQAAGELLVRLKEADEAVATAQKQAMEAKQEADKAYVKIEKLKKKHEKEISSLNELLAQSRLPKEGIRPATDDGSHMPKYDVGEPHSLSDQRWKEEFEPFYNGEDGELRKLTEPSSWFSGYDRCNI >ONI34774 pep chromosome:Prunus_persica_NCBIv2:G1:41277477:41277948:1 gene:PRUPE_1G498300 transcript:ONI34774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTNDIKIKGFDLSNNKGNTSGLTHFANRAAEGDKGDNKIEVEDAKGQGNTGNLKVLTDFAQRGKVGQSDRQELLKPQA >ONI30232 pep chromosome:Prunus_persica_NCBIv2:G1:25342619:25345892:1 gene:PRUPE_1G238900 transcript:ONI30232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCAVYGGCASDYIAIAISAVFFILLLSRLILPFAIHKIPLPKRSSFWIPVIQIFASFNLLLSIVMSVNFLKFEKRHWWQSCYAWAVWIEAPLGFGLLLSCRISQAFQLYYIFVKRCLPPIRSYIFLPLILLPWIAGAALMHIKKPLNYRCHMGTQWIIPVVSLHAIYVAILVGFTGAVRHIEFRFDELRDLWQGILVSASSIGVWVAAYILNEIHDDISWLQVVSRFTLLVTASILVLSFFSISSSQPLLSQISLRKREPLAFETMGQALGIPNSGLLLPREPAPVIDPNEPLDKLLMNKRFRQSFMSFADSCLAGESVHFYEEVHELGKIPVDDPVRRIYMARHIIDKYITAGATMEVNISHRSRQAILTTSNLAQPNLFNDALNELIQLMKMNLANDYWSSTYFMKFKEEASLRSHELQQMTSWNSPTPRLSSVRGVDDPFHQEQEP >ONI33425 pep chromosome:Prunus_persica_NCBIv2:G1:36508729:36510371:-1 gene:PRUPE_1G423400 transcript:ONI33425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTSIIDALNVRVVGSGDKFLVLAHGFGTDQSAWQRILPYLTQSYRVIVYDLVCAGSVNPDYFDFRRYTTLDAYVDDLLAILDALSVTRCAYVGHSVSAMIGILASIRRPNLFSKLVLIGASPRFLNDRDYHGGFEQEEIEKVFSAMEANYSAWVQGFAPLAVGADVPAAVREFSRTLFNMRPDISLFVSRAVFNSDLRGVLGLVRVPCCIIQTAKDVSVPASVAAYLRDHLGGRNTVVMLETEGHLPHLSAPSLLIRKLRHALASS >ONI26997 pep chromosome:Prunus_persica_NCBIv2:G1:4372354:4374064:1 gene:PRUPE_1G061700 transcript:ONI26997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQNLMLLPLSTPKLSIGCPILDHCLGGGIPCKSITELVGESGSGKTQLCLQLTVRAQLPPSHGGLGGSSVYIFTEFSFPFRRLQQLGNLYHASYPNLIRLEPLEDIYVHGVHDAQELIHVLGDIEAFIAIDHTRLPVKLIVIDSIAALFRSQYQTTPADLKRRSEMFFNISGTLKGLANKFGLALVVTNQVVDFIGPHDGVNGVRLGNLESLDTSGRREYPTFREVVPGRCYPNKHPSRFSECNTEKPLRIHLLSRSGKLQLHAYKVAAQAETFYNYNCCSSNLRGRPYHRPKHYTVHYLL >ONI33364 pep chromosome:Prunus_persica_NCBIv2:G1:36324431:36326502:-1 gene:PRUPE_1G419500 transcript:ONI33364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIQKPVKQLAELLQEQQEPFVLEVYLFERGYLRKSLSSNREGGSSFCKTFNRSCSWGLRKSKGALSCSKVLRSVYNKLVSRNSGPSNKSSENKEAELNADTEMRRKNQEIVDSGRFSSASSRTQYDSCSEGEKDEASVSLQNDHKASLAADTSQVSKPCNMIKERKIEQFNGGSLKSHRKQQNPVSVLKDTPPSHADAVQELPAMSRKKESPCPSTCNFKLADDSIVSASLWELLFQPALEKPRGSGVSEKLEPVRSNINPSPHFAKSKMVLQQTRQLLFDCVREMTETHAKKGREKQRNCKGFLGAEEIGNLLYEKLGTWGNQAGHEAYINFVLELDLLGSAEEWSNNYQERREIGNEIGDTILEEIIEEIVAEK >ONI34568 pep chromosome:Prunus_persica_NCBIv2:G1:40636061:40637946:1 gene:PRUPE_1G488000 transcript:ONI34568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRRCISCKGGYDAGTCCKQCHEDLKAKVAFLTMSQPAFFSDVLLFASSDENSVPIPAHKGVLMNRSPVLKAMLENEMEESLSSTIKIGDVSYDTLRAFINYLYTAEVCIDQQLACDLLVMAEKYQVQHLKDLCQKFLVANLNWDNAFMIYTFAHHHDAKQIIDAALALITNKMDKLSARKDYEELKEREPRLIFEIYEAYFSKQANTTKNIINSSVPFFGNPQVCQTRGTQCNGENTFCYFRPITQ >ONI29371 pep chromosome:Prunus_persica_NCBIv2:G1:18517851:18519750:-1 gene:PRUPE_1G195500 transcript:ONI29371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAAHPTLKGPSVIREICFGVVIAFAAGSFWKMYHWDLQKKTRSFYELLEKDEISVVADE >ONI31268 pep chromosome:Prunus_persica_NCBIv2:G1:29720835:29722003:1 gene:PRUPE_1G302800 transcript:ONI31268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGTTIAAVPRVAVVVFLLRGKAVLLGRRRSSVGDSTFALPGGHLEFGESFEECAARELKEETGMDFDKKKMELLTVTNNVFSEQPKPSHYVTVFMRGVLADGDDQLPQNLEPTKCDGWDWYDWANLPEPLFWPLEKMVKSGFNPFPNSPQEEE >ONI30625 pep chromosome:Prunus_persica_NCBIv2:G1:27150158:27152338:-1 gene:PRUPE_1G263100 transcript:ONI30625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGGVSCFLKLFFGTLAVMGFICVLLVGTLETQGGLTKTATSTAAAPESFSEKPADVVGRENKLVHPELDLNYMSKRRVPNGPDPIHNRRAGSSGRPPGQA >ONI32227 pep chromosome:Prunus_persica_NCBIv2:G1:32941687:32947218:1 gene:PRUPE_1G354800 transcript:ONI32227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHFQRRRLHYYHRFRFLLPLISAISGALLILFALLLVLAPSPSPLDVNHLHQPRHQHSSSSFNVGVDDDAIGVPVFRVPSRGGRSDRDIWTSRNSNFYYGCSNATHKFPNVDEITPPNRYLAIATSGGLNQQRTGITDAVVAARILNASLVVPKLDQKSFWKDASNFSEIFDVDWFISFLSKDVKIIKQLPRRGKGGKTWTPSTMRVPRKCSERCYQNRVLPVLLKRHAVQLNKFDYRLASKLSTDLQKLRCRVNYHALKFTDPIQKMAKKLVHRMRMRSKHYIALHLRYEPDMLAFSGCYYGGGDKERKELGAIRRRWKTLHISNPDKPRRHGRCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLAPLKALFPNFYSKDTIATKEELEPFYSFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYRLFLSKENMTWGAFASSVRTYQRGFMGEPNEVRPGRGSFHENPHTCICEDSQAKVKRDVGPRKYGKGINETRRDEVESDAQNVEDDPEWPDTDEDEDQSGPLDNDMFNRTGVDYDAVSSEEPELEELLSD >ONI34227 pep chromosome:Prunus_persica_NCBIv2:G1:39118372:39119227:1 gene:PRUPE_1G469400 transcript:ONI34227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPERGRDLNKHYLVAIGRFQKLPLNRSSKTKDILCGPQYSGPINQSSRTLISLQIHQLKETYIIQYICYKLQKGKHKVLSSWVVVVAPLSASSAPVDDKSLVLHVKGKFAAGDEVVCCEYLHTTRLTII >ONI27329 pep chromosome:Prunus_persica_NCBIv2:G1:5884830:5885042:1 gene:PRUPE_1G080400 transcript:ONI27329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFLWVKKSVNGIRVLSAIDGAASVYLSYFWVKKSVKSLFIRFLKLLRYLLWPTKFTNLLSENIQITII >ONI35713 pep chromosome:Prunus_persica_NCBIv2:G1:45050198:45054017:1 gene:PRUPE_1G550900 transcript:ONI35713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTSFLDKQIMDLSQGSSQQNDFIGLMNHPQEVEQQVGYGNGLSKNEKILSDYFQSIRPIIGSSFQSPNIDAKHNLGGGGEGSTRAWNSSESKSNTTSPIRNYGSLDSIKPSELILEKDQNVPDATIVSEIDRTMKKHVNSLLHVLEGVSEKLTQLESRTCHLENSVEDLKISVGNNHGNTDGKMRQLENVLRDVQTGIQVLKDKQAIVETQLQLGKTQVLNSEVDTQSQVSGQTVASESTQAHQQPPHPVNLASSLPAVSPPNAPPQPMFQSVLPLVPPPNQFSQNQMPPVPQRDPYFPVPGQTQEAPNQQYQLPPSQQSLPPPTAAPHQQFQPTTQPQHSQPPPQLPQQHPSLAPVNPSQLRPTLGHHAEETPYVPSLSYPPNLPQPPYQTPSGLPPSQQYYGPGSHAYEPPSSKSSTGFSSGYGPPSALGETYHYGGSLQDDSSSMKPRMPSSATAHSGGIGYPQLPVARVLPHASPTSSRVGGSSGSAGTGNKVPIDDVIDHVTTMGFSRDHVRATIRKLTDNGQAVDVNVVLDKLMNDEEVQPPRGWFGR >ONI32635 pep chromosome:Prunus_persica_NCBIv2:G1:34172432:34175265:-1 gene:PRUPE_1G377800 transcript:ONI32635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKSKSFRFRFSLRKRGSQRGSQVEPEPRPVAKAQIPAQTTTNVPVERPPFRPAGIAPVKSSPSQAQAPSRTEHPPQSPSPSRANESRKPSGSTTETQFQVESSPPSPSRVASQPIQAASQVSSPQTQPQPTVPESSWLPSVSAQPPSPASQPEPKEAIPQPNAEIVTSETISNASREITVQTHPALAVAETIASPEKVDPDTIGRDQKQLPESETESKEGKKVVQVLTKEEKTNDPGYGEPMQETVIQFHAAVTGSGEHTQGPVGVASQAEEQHEKQETSDRTETFTTTSSSSKPIKTPKDRSTSKMSFQKIVSSTAEQAPPHKEIREDARKLHKQGTGNQMLENLVSVITLTGENKGASMHSSSEPVKEEESVHIHRRYKSNPDDSLESTTDEVNNKDRSFEDGKIHDDQQTGAFINSNVQSINNAIVFNSFVTEGNSGVEVVFPQNPAESIKSNGKSEPLETHNAKFTVRRRCLRGLFLEPSDSDPDNPEKPRRHGCRYSCGGKRTD >ONI33500 pep chromosome:Prunus_persica_NCBIv2:G1:36808330:36810805:1 gene:PRUPE_1G428600 transcript:ONI33500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAKFFYIRRKFIATPFHIDPKRAKRMEEPFWYSLALIIFTLLLKLSIAKITRKHNNFPPSPPYLPIIGHLHLLKQPIHRTLQALSGKFGKLRLLRWGSRRVLLVSSPWVAEELFTKHDIIFANRPRLLIGKHLAYDFTTVTLAPYGDLWRNLRRIMTLELFSASRLAQFSSIRQGEVRLLLNEIMKKSCTESKTKIELKSKFTETKIELKSKFTELSFNVMTMMIGENVLDVEEAKNIQKVIRDEVDLSGATNLGEFFPFLQWIDITGIEKKMVSLMAEMDSFLQGLVEERPEILSTKCGSNGKELQFYTDEIIKGIILVMLVAGTDTSSTTLDWAMALLLNHPETMEKVRAEIEAKIGQRTSVGRARLAKAHLLTEASEDCVVGGFDVPRHTMLFINAWAIHRDPEVWEDPTRFEPERFEGWSGDGSEGYKLIAFGAGRRGCPGAALANRLIGLALGTWIQSFEWERISEENVDTSEGLGLSMPRAQPLEAMCKPRAIIPHIGKTPCTRVLLPIRFENAKYSDWLKFEFIFVHYLLHSRSQGGDCFV >ONI28727 pep chromosome:Prunus_persica_NCBIv2:G1:12541680:12543556:1 gene:PRUPE_1G158000 transcript:ONI28727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRRWEDLDVDCLLIVLGKVGMESLLLGVPFVCKSWYKASLDPSFWQCLILPDFHDGFMVARFSYFAKNQFWIATPFYRKFIRQYRMSKYRFSMTGFVKLVVSRSCGNATSLSLPECSNRLLRLVANTCPSLKALTLPRNFVLRESSIIMELTEKLKHLESLSLGNDIDITEILSQISLHCKNFRRLDVFRASLCKDKALSIVKFVPNIEYLSLRRAIIRRCDLITILQGCRELVFLDVSGTGFDEGDHEISMFASHISNFRCKGLMHDKICGVKN >ONI35068 pep chromosome:Prunus_persica_NCBIv2:G1:42239179:42246088:-1 gene:PRUPE_1G513300 transcript:ONI35068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSFLSFPNLEYLNLTSNKLFDAIPPQISNLSKLHHLDLSQNQFSGRIPPEICLLRNLKFLHLSWNNLLGEIPKEIGNLKSLVNLELSHNNLSGAIPPNIGNLINLNTLYLHNNQLSGLIPKEIGNLKSLVNLDLGNNQLNGSIPASFSNLSNLEILFLRDNQLSGSIPQELENLKNLILLKLDTNQLSGYLPRNICQGGKLTNLSVHTNYFTGPIPKSLKNCKGLFRVRLEQNLLTSNISEDFGVYPNLDFMDMSHNNLYGEISHNWGQCPNLKTLRMARNNLIGSIPPEIGNATKIHVLDLSSNHLVGLIPREFRKLSSLERLMLNGNQLSGRIPSEFGSLTDLDYLDLSTNKFSDSIPSILGDLLKLYHLNLSNNKLSQAIPLQLGKLVQLNELDLSHNSLEGSIPSAMSNVKSLLTLNLSYNNLSGSIPSSFEEMHGLSYVDISYNHLEGPLPNIKAFQEAPPERLEGNKGLCGKVGALLPPYNAHGSKKDHKVISVLAVFVLLSALFIIVFLIMQRKKKHQDTKQNHMHGEISFSVLNFDGKSMYEEIIRATKDFDSIYCIGNGGHGSVYRVNFSSGDVVAVKKLHMLWDGETKFQKEFLNEVRALSEIRHRNIVKLYGFCEHKRHSFLVYEYLERGSLAVMLSKNEEAKELGWSKRVNIVKGLAHALSYMHHDCLPPIVHRDISSKNILLDSEYEAYSTNWTAAAGTYGYMAPELAYTMEVNENCDVYSFGVVTLEIIIGKHPGDLFSSFLSISSSSSSSSSYALAAHQIPIVDVLDQRISPPTHQVANEVVSLVKIAFSCLNSTPKSRPTMKQVSHFLSTPMLHLSKPIHMMTCGELLALDPLAT >ONI31499 pep chromosome:Prunus_persica_NCBIv2:G1:30532265:30533090:-1 gene:PRUPE_1G317000 transcript:ONI31499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNASRFLFNPSTLQSVYYEAKENDGIIDLGLSLRALQPETYHPSEQLESLEGYDDLIDWPQANLNLKNSSIIHPRNNPEDCDEEAEGVQSKERWAYVKVNMDGIIIGRKVCILDHSSYSSLAFQLEDMFGRQSVSGLRLFQTGSEFSLFYKDRDDNWRTVGDVPWREFVECVKRLRIARKNEALLSSSLKFN >ONI28893 pep chromosome:Prunus_persica_NCBIv2:G1:13604016:13606265:-1 gene:PRUPE_1G167400 transcript:ONI28893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASHFLHQLQLCARRRTPSTAKRLHAQLIKTALHLCVPLPNTLLDTYGKCGLVEDALHLFGEMPHRDHVSWASILTAHNQANMPHRTLSMFPAMFESDGLQPDHFVFASVVKACSSLGAVRQGYARSGRKSDAFEMFESLPIKNLFSWTALISGLVQSGHSVDAFYLFIEMRREGVHIVDPLVLSSIVGACANLAVLELGKQVHSLVIRLGYESCLFISNALVDMYAKCSDILAAKDIFGRMHRRDVVSWTSIIVGAAQHGQAEKALSLYDEMVAVGIKPNEVTFVGLIYACSHVGLVSKGRALFRSMIEDYGISPSLQHYTCFLDLLSRSGHLGEASNVIDSMPFDPDEPTWAALLSACKHHGNTQMGIRVADHLLSLKPEDPATYILLSNVYAGARMWENVAEVRKLMAAREVKKKPGYSCIDIGKETQVFYAGETSHPMKDEMFSLLKELDAEMRRRGYVPDSSFVLHDMEHQEKERQLFWHSERLAVAYGLLKAVPGTVIRIVKNLRVCGDCHTVLKFISSIVKRDIVVRDATRYHHFSSGECSCNDFW >ONI30659 pep chromosome:Prunus_persica_NCBIv2:G1:27339773:27341713:-1 gene:PRUPE_1G265100 transcript:ONI30659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPNSTTLSAALVFLLLTIFSVSTATHSCSSKPEASSSTFSCPPFTSPPPFPFSSLPGCGHPSFQIKCAAPHSTISINNFSFSLLRYEPSSASLVLSPHITTSTSSLTKSADTNCSFPHFLSIPDRSINLSASPFRISDASCSRLSVLKPCSPPTLPNCSHCPWECKLIKNPLKLLHDCGSPHRPVTAQGCQGDVLGFLDNFLKWGIELEWDEAQDSYFSSCRDCQANNGFCGFNSSDPEKQFLCFRPIPHFSPPWISKDSPNRIAILSSVFTLACFLIIVSVFIAIFRSRRLSSSATEEDPTTLFLHRHRSASLLPPVFTYEELESSTNRFDPKRKIGDGGFGSVYLGQLYDGRVVAVKYLHKPHHGAASGRAFSNKCFCNEILILSSIDHPNLVKLHGYCSDPRGLVLVYDYVPNGTLADHLHGPKSLYRKGSLTWQVRVDIALQTAMVMEYLHFSVVPPVVHRDITSSNIFVEKDMRIKVGDFGLSRLLVFPETSSSTSGYVWTGPQGTPGYLDPDYHRSFRLTDKSDVYSFGVVLLELISGLRAVDQRRDKRELALADLVVSKIQMGLLHQVVDPVFIVDGNVIDGVDVAAELAFRCVAADKDDRPDAREVVEELKRIRSRTRGMSRASSSNLIGDEVAKG >ONI28691 pep chromosome:Prunus_persica_NCBIv2:G1:12282580:12283675:-1 gene:PRUPE_1G155500 transcript:ONI28691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLKNTLHQPAQNTTKMVSLEIVQATSRSMDTPSSPRISFSAEFLDENNFISITPNAHQGEQDLIMECDQKVRNPEFEFLSSNVSSHTMLSADELFFEGKLLPFWQKQHAERLSKLSLKTKDVEGDENEEGVNKEESRGSWFVDDDPSPRPPKCTVLWKELLKLKKQRASSLSPSSSSSSSTSSSSSFADAATADQEKEGMGNKEKYMKRIKKGLERTRSASIRIRPMINVPICTQVKSTSLPPLFPLRKGRLER >ONI33465 pep chromosome:Prunus_persica_NCBIv2:G1:36658149:36659685:1 gene:PRUPE_1G426200 transcript:ONI33465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNFKLQRKHEEDHEAPHTPKSVGLVIGVTGIVGNSLARILPQSDTPGGPWKVYGVARRPRPHWNSDYPMEYIQCDISDPKDTVSKLSRLTDVTHIFYVTWASRSTEPQNCEANAAMIRNVLRVVITNAPNLCHVCLQTGTRHYFGPYESLGKVEPQEPPCLEDLPRLNAPNFYYALEDVLFEEVGKKEDLNITWSVHRPGSIFGFSPYSLVNIVAMLSVYASICKHEKKPLRFPGSEAAWNCYSDVSDADLIAEQQIWAAVDPNAKNEAFNVNNGDVFKWKQFWTVLAEQFEIEEYGFDEEGGGFSLLEMMKEKEGVWEEIVRENQLEPTKLEEIGWWLLDLFFSGEGLMTSMNKSKEHGFLGFRNSRKSFVSWIDKNKAFKIVP >ONI26969 pep chromosome:Prunus_persica_NCBIv2:G1:4197724:4198098:1 gene:PRUPE_1G059300 transcript:ONI26969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLVIIFITTSVDGALLDAPKDVVVRITNALQNNVNLTVHCKSGDDDLGVQTLRINENFEFNFQTNIFGSTLFFCGFKWSNEFRWFDIFTDKRDDCSSCYWTIIELGPCLYGIDGLCYQWNKH >ONI32601 pep chromosome:Prunus_persica_NCBIv2:G1:34046587:34051935:1 gene:PRUPE_1G375200 transcript:ONI32601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFSSHDERKERKSDYENSEDDRRTRIGNLKKKAIDASSKFRHSLKKKRRKSSSRLTSVSIEDVRDVEELRAVDAFRQALILEELLPPTLDDYHMLLRFLVARKFDIEKAKHMWASMIQWRKEFGTDTILEDFEFSELNEVLKYYPQCYHGVDKEGRPVYIERLGKVDSSKLMQVSSLERYVRYHVQEFERSFAIKFPACSIAAKRHIDSSTTILDVQGVGWKNFTKSARDLMVRLQKIDSDNYPETLCRMFIINAGPGFRMLWPTVKAFLDARTTAKIHVLGNKYQSKLLEIIDASELPEFLGGSCTCSNQGGCMRSDKGPWNDPNILKMVLSGEAQCFRQIVTVSNSEGRIIASDKPRIPMIRSSDTSTAESGSEVEEIASPKATRSYIQPKLTPVCEEARVVGKASSSAGLSEYDEYVPMVDKAVDIGWKKPVLQQNPLTSRGASSPRSVGKKPDGILAHVWTMLVAFFVALVMLIRSVALRATKKLPNSVLDSAHNIPDLTADTVPMEELRPPSPAPGFSKANLLSAALQKLDELEEKVGMLQAKPFEMPYEKVELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDGQEQAKLRKKGCCW >ONI32602 pep chromosome:Prunus_persica_NCBIv2:G1:34046627:34051936:1 gene:PRUPE_1G375200 transcript:ONI32602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRFLVARKFDIEKAKHMWASMIQWRKEFGTDTILEDFEFSELNEVLKYYPQCYHGVDKEGRPVYIERLGKVDSSKLMQVSSLERYVRYHVQEFERSFAIKFPACSIAAKRHIDSSTTILDVQGVGWKNFTKSARDLMVRLQKIDSDNYPETLCRMFIINAGPGFRMLWPTVKAFLDARTTAKIHVLGNKYQSKLLEIIDASELPEFLGGSCTCSNQGGCMRSDKGPWNDPNILKMVLSGEAQCFRQIVTVSNSEGRIIASDKPRIPMIRSSDTSTAESGSEVEEIASPKATRSYIQPKLTPVCEEARVVGKASSSAGLSEYDEYVPMVDKAVDIGWKKPVLQQNPLTSRGASSPRSVGKKPDGILAHVWTMLVAFFVALVMLIRSVALRATKKLPNSVLDSAHNIPDLTADTVPMEELRPPSPAPGFSKANLLSAALQKLDELEEKVGMLQAKPFEMPYEKVELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDGQEQAKLRKKGCCW >ONI29522 pep chromosome:Prunus_persica_NCBIv2:G1:19774909:19775824:-1 gene:PRUPE_1G201000 transcript:ONI29522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFIDPATVSANSGTIADRSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEGKNIVNSAIKIYNSHIARAGRKAVIWKTLSGTPKQPSSIECGYYVLLFMRDIIMDPSLAFEKKYAKGKQEAPYPQEAIDEVRNEWAEFVWELLNT >ONI29732 pep chromosome:Prunus_persica_NCBIv2:G1:22493137:22494911:-1 gene:PRUPE_1G211700 transcript:ONI29732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEKIKNLTDCSSIELKLISCKDLKAFNFLKKLSVYAVVSIFNDEMKKDEQQQHLQRQKTPVDREGEGNPEWNHTVHFDTKDMSLVDKLDHLYVKFDMRCEGILFGKKSLGKVLVPFTDLLDEVNEAVRFLSYQVRTWDGKPNGVLNFSSKVIRKKKNKSNIGVHDSQETGSSSESVTSVPDPADNTVRSLYPTLEVQAQNQSRDISYPSLAEVTSPLPRISVPSPEFNFHWRPESYPMSLPSTLPFNLPAAAVPAPNVHCHPCHLLPFLQSPGSYWHPAELANYGNYSFCGNPAGFGQLGMVENKGHDGWRINSPGTKSGPSSSRLGD >ONI30820 pep chromosome:Prunus_persica_NCBIv2:G1:28087735:28089347:1 gene:PRUPE_1G275100 transcript:ONI30820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNQHPPQPQEPSKLGTTFSRTCFNGLNTLSGVGILSIPFALSEGGWLSLILLFLLALLCWYTGLLLQRCMDANLHIKTYPDIGDVAFGQKGRITISIFMYLELYFVAVEFLILEGDNLNKLFPNMGFNMAGIKVGGRQCFVLLTAVVILPTTWLKNLGLLAYVSAGGVLASAVLVACVFWVGAVDGVGFHEGGVLLNMRGLPTCISLYLFCYCGHAVFPTLCNSMKDRSQFSKVLLVCFITSTITYGSMAVLGYLMFGQHLKSQITLNLPIRKISSRIAIYITIINPLTKYAIVITPIAAAIEEARPIRNSRVISILVRTLIVISTVIVALMVPFFNYVMAFVGSFLGVTVSVLLPCLLYLKINVAARRFGLELVTIIGILVIGSLVGVVGTYTSVKEIVNRILD >ONI26279 pep chromosome:Prunus_persica_NCBIv2:G1:1078476:1079342:1 gene:PRUPE_1G014700 transcript:ONI26279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLILALLICLLFALSNGYGGTKVGSMRQIEDVKTNKEVQELGRFSMAQDNRSQRKSHQSNVGEEIQFLEVVEAHR >ONI35459 pep chromosome:Prunus_persica_NCBIv2:G1:43874268:43885126:1 gene:PRUPE_1G537100 transcript:ONI35459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDSDEPEKKRPHLNSLSPTMARSSTTSPPNNHSVDAAVLQYQNQRLLQQIDKQKHDLQDLEAKIKELKDKQGSYDEMLITVNQIWNQLVDDLILLGLCAGGSQNALQILDGADYSRGSIPSCSAEEMFLCRLLQRDSIEANGNDEIAKYVEEALTLRHTSTKELLKLLEHTVYSHREKTESIVHTLDGKICSEDAIIQLPKIDDMMEREVKNLREAIDILHVKQKEYADVIRTYLSSQSTDQSEISRITGELDDSMTELEESRRKLVNLKMQKDVASGMHNLTSGAVNGTLSPEKSTERTISLRELRNSIEETKILAADRLSEYQEAHEENLTLSKQLQEFQNELKDDKFVHSSRLYTMRNDQLQHWNVEVDRYKALADSLQADRALVVRREKDLNVKVESADAIRNSIDNTDSRIEELELQLQKCIIEKNDFEINMEEAVQDSGRKDIIAEFRVMASSLSKEMGMMEAQLKRWKETAHETLSLRDKAQSLKASLITKTHEQKSLADKCAEQLIEIKSLKALIEKLQKEKLELQIFLDLYAQESYENRDLMEIKESERRAYSQAEMFKNAIDEHSLELRVKAANEAEAACQQRLSATEAEITELRGKLDASERDVLELTEAIRIKDKEAEAYISEIETIGQAYEDMQTQNQHLLQQVTERDDYNIKLVSESVKTKQSQSFLLSDKQALVKQLQQVNTSVESLKMRISHGEEQMKALLTEATKTTEEDRHLAVNVETAKWELADAEKELQWLKSAVSSFEKEHAHIQKDINDIELELHIERSSRKSLEEELRELNTMVAEMSSETGEAAIQKLQSEIKFCKNILQCSVCTDRPKEVVIVKCYHLFCNYCVQKNLEIRHRKCPACGTPFGQNDIRFVKI >ONI30072 pep chromosome:Prunus_persica_NCBIv2:G1:24239450:24239800:1 gene:PRUPE_1G229400 transcript:ONI30072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQDVNIDSYSIKDNAQVIVNAWQIGRDPETYDKPEEFEPERFLNSTRGLPRDSVSMALKEIALANLVHKFDWELPWGAGGEDLDMNQWRVPTQEMSS >ONI31063 pep chromosome:Prunus_persica_NCBIv2:G1:28883315:28884296:1 gene:PRUPE_1G289900 transcript:ONI31063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESISKERAEAAKNDVELPLFNLKRVLAVTNNFNVANKLGEGGFSPVL >ONI28983 pep chromosome:Prunus_persica_NCBIv2:G1:14554516:14559917:-1 gene:PRUPE_1G173500 transcript:ONI28983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRKASTDVHEFEGMFLGFHVHFKVTSVIGHVFRLIFLPKLSPLKSSNPSQMLNCQCYLLRAMTDSHPWFCVQRYLQINTFKPEKFWAVRPYIIQKGYELQLEWERNKLFDYDVAVMFQKLVMEDGILEVTEMVEKQESKSRPFGLNTVNLLKVASSALSYPRTESTAYPSSFDFRGTLRAQVNNPTWASYVQRLLADGYQKPRSGTDVGDHPPVTPLRSATEDMLGNDAGRLYEYICTHFIGTVSPDCKYVR >ONI32705 pep chromosome:Prunus_persica_NCBIv2:G1:34331865:34333536:-1 gene:PRUPE_1G380800 transcript:ONI32705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNDPPTRITIPSTTATPTVFRKDTSPSSSSSSSPSSKGRSFLDKTRYKFWVLAAILLLAFWSMFTGSVTLKWSAGNLTRLSDDLDLPSFDDLDILEVEEREKVVRHMWDLYTQSSRSSSNRLPRFWQEAFEAAYEHLSSDVAPVRDAAVLEIAKMSIRSIILDPFPLQLHSASNRELKKRSKKEEESNKVAAAVASS >ONI35744 pep chromosome:Prunus_persica_NCBIv2:G1:45124585:45126363:-1 gene:PRUPE_1G552100 transcript:ONI35744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKHHHHHFLLISCPAQGHINPTLQLAKRLIGIGGTHVTYATTIRGLTKIKSFPSLEGLSYASFSDGFDDGIKPTNDPNLFMSEFKLVGSKTLKALIEKISTSQDHSGPVTFLIYSVLLPWAAEVASDCGIPSAFLCIQSTTSFALCHHYFNHFHNCPPFPNSMTIEGLPPFAPTELPSFLLPTSPHVSVIPTFQEHIQVLEQGKPNSSLVLLNTFDALEGAAIKALRSSSMNVIPIGPLVITGFWEENENQSSDDGFRCDLFDKSEDDYLQWLDSKPDCSVVYVSFGSMVVLKRDQIEEMLNGLVESGLPVLWVIRCAEKGGDQEAQIMKIKNRLKIKEQGLVVPWCSQMEVLGHKSVGCFVMHCGWNSTVESLVAGVPMVGFAQFSDQNTNAKLVEEVWGVGVRAKENEKGVIEGAEIKRCLEIVMGDGERGEEIRRNCEKWKGLAKEAVKEGGSSDYNLRHFIGGLG >ONI33020 pep chromosome:Prunus_persica_NCBIv2:G1:35370802:35372329:-1 gene:PRUPE_1G400500 transcript:ONI33020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGKATNLFGSFFFLVCLSIEFSLVVRGQNRTTIPVNVGVVLDDLDSTNTKVRLSCINLGLSDFYTSRGSSTTRLALSIRDSREDVVDAAAAALDLIKNDQVQAILGPKSSMQANFVIELGDKAQVPIISFSATSPSLTSIRSSYFFRAAQNDSSQVKAISAVVQAFGWREAVPIYIDNEYGQGVIPYLVDALQEVQTRVPYRSAIPPEATDDQLVAELYKLMTMQTRVFIVHMLPSLGSRLFAKAQEMDMMDRDYVWIMASGMTNHLTTMNASVISVCANNLMGEYSLLDPSTFDLPSLSSSIPVKKTGVNRPHPGGVGQRPSDA >ONI27287 pep chromosome:Prunus_persica_NCBIv2:G1:5599205:5600557:-1 gene:PRUPE_1G077600 transcript:ONI27287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQFAKPVRLSLTNFVIFLNLLCLTATASTIERPRSFATKLIHRDSALSPYYNPNATIKDHAMHTLKTSMARLAYLGAKSIVFVTDDTRAGLVGEDNGAQFMASFSIGEPPVPQLLTVDTGSNLLWVQCLPCTKCFEQATPLFDPSKSSTYTTLQCNSPYCTISPSDKCDPSNNCKFSHKYLDGTDVAGLLGTEKFTLDTSDEGISPVANVVFGCADDNDGYNGQPSGNTWSWTFKHIFGNPIGVKILLLHCIKDPKYPHNQLILGDGAKIEGLSTPLEVYNDLYYLTLEGISLSERRLEIDPEIFKRTPSGTGGTVFDSGTTLSFLAKDGAELGLDIESLFQEYGQNEFCMALQESPVGNDLNFVGIMAQQFYNVAYDLAANKVYFQRIDCELLDD >ONI35717 pep chromosome:Prunus_persica_NCBIv2:G1:45058732:45072456:1 gene:PRUPE_1G551100 transcript:ONI35717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTNPHHIIDDDDDDDFDWEAAARAIDVVYQTQASKPSTSLPDQSSRFAPPPNNPPIQNSVASISENMKKPGSSRQTTLDQFIGRACPRPQPESRDVQEHNRDCNEGDGRVSSVQIDTEAAKTWMYPVNFPRRDYQFSITKTALFSNTLVVVPTGLGKTLIAAVVMYNYFRWFPDGKIVFAAPSRPLVVQQIQACHNIVGISQEWTIDMTGQISPTKRASLWKTKRVFFVTPQVLEKDIQSGTCSIKYLVCLVIDEAHRALGNYSYSVAVRELMAAQVQLRILALSATPGSKQQAVQQVIDNLYISTLEYRNEDDADVKKYVHNREVELIQVAMGQEAVEIDNKLVEAMRPFATRLCAIGVLPTRDVQTLSPCYLLDSREKFRQAPPDLPQIKSGDIEGYFGALLTLYHIRKLISSHGIRPAYEMLEEKLKQGSFARYMCRNEDISKAKLIMQQTLSHGAPSPKLSKMLEVLLDHFKTNDPQKSRVIIFSNFRGSVRDIMDALANIGNFVRATEFIGQSSGKALKGQSQKVQQAVLEKFRAGGYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYKRKQGNSKNMMKHMRNGGRNSFNFHSSPRMIPHIFKPEVRFVEFSIEQFVHRGKKVIDDNTIQTPVIADKLTVAETTLIAKYFDPHEITWKPSLIAFPHFQTYPSRVYKVMHSHRTTMLIDMMQCLQGLTFSRDSNISLLEDEICLKKCLGVDTAEQHDNNGEDFLNPDDSEVSPTRTLETEEKHNELNFPGENPYEHSYLFGSDVVTVDASGNVLVMVVPVFPWKDLSHSMSTSASIIKLDYLKQNSCHARTSDEDHTELTTEAGPSGDLKSTQITCMKNEISLKSRCCDSETWMEKSVSRVEKIPQTPILKGNLSNQGASVSESPDVLESKASLFLADEDNNFFRDGELSPRLTNLIKSGVVPESPIHNSGLSNNTDEYLEPDQLPVSPAQLHTGILLKCSSPGKSEKVNMRGNACGRNVSVSPVDNEIQTPLHNKGETASIRGCTSTSPIIDRAQTVLADLTNNSCGKDWHLSSGDKLESVKQARKFKRLRKVGDHWKSRGESMTKNVGSTENPARSFSRAGPLRTKHDRGKKKSVDDVRVFIEEEAEVSSEADISDDEEDERDNYSNDSFIDDRINPTVASTQFASGGIDMMAIYRRSLLTQSPRERQPSSSATYSPDSVAPTLRTTETGSSCGKPSFSLQTPQSDCTNQPNRMDSKSFQMNCNAEGTPCTTGVSPGYEIESRKRKLSSHHSRSVPAVNLEREFSRQSEAAGRDLQHNDANGDVLYDDLFFEGLDLDAVEAQATLLLKQKSELPRQRQQMVPNIHPQNPSLQHSPTFDLGI >ONI30648 pep chromosome:Prunus_persica_NCBIv2:G1:27270180:27273701:-1 gene:PRUPE_1G264200 transcript:ONI30648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTISHLSKGSISSSIGDSWELEGTLPLSDRLKAFKSSQFDPDSYITHKCHTMSEKEIKHLCSYLVELKKASAEEMRKSVYANYSAFIRTSKEISDLEGQLLSMRNLLSTQAALVHGLSEGIRVDSLSAGYEDFTNEDTSVENRELSEIENWLGEFQDTLEVLLAERRVDEALAALDQGERVMDQANDRHKLNPAAFLSMQITITEQRQKLIDQLAETMCQPSTRVVELRSAVVALKKLGDGPRSHTLLLNAHSQKLQSNMQGLSSTSTSFGLAYIAALSQLVFSSIAQATSDSLAVFSEETAYTSELVTWAVKQTEAFAHLLKRHVLASSAASGSMRVAAECVHICLGHCSLLEARGLCLSPVLLRLFRPFLEQALSSNLKRIEQSSAALAAADDWLLLYPPVGARLMGSTSSLNAVSAYQPKLSSSAHRFNSMVQELFEDVAPLDTLQLDGPALEGVLLAFNSYVNLLMNAVPGSVENEENLEGSGNKLVRMAETEAQQLALLANASLLADELLPRAAMKLLPLQQGQQANRTMETPKRSSDRGNRVPEPSREWKKRLQRSVEQLRDSFCRLHALDLIFTEDGDTRLNAHMYTSMDGNIDPEWFPSPILQEFFAKLTTLANLATDIFVGRERFATILLMRLTETVILWLSDDQNFWAEIEEGPKPLGPLGLQQFYLDMEFVLLFASQGRYLSRHLHQVIKNIIGRAIDAVTATGVDPYSVLPEDDWFAEVAQIAIKMLTGKANFSNVEQDVTSPTQSVSAKSVSSIYSHGSDSNFAHHYRE >ONI29933 pep chromosome:Prunus_persica_NCBIv2:G1:23723093:23728508:1 gene:PRUPE_1G223300 transcript:ONI29933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTAGKLTILVGAGILGSVLAKEGRVSDLVSGAFKIAWKQIIRNDQTPSVKKPHNDTLMAQMNNLRQELQIMASSRPVTIVTTSRTGTRYGIIIVVVVVGAGYVWWKGWKLPDMMFATRRSLSDACSSVGSQLESVYSSVAATKRALSSQMDGAEHSLEESIEFNTRTQQEAIEVRERANAVCENIREFQHAVQTLETKINIIDGNQDVTANGVWKLCDYALNIEKGGTAERIQASPSSFSGPVRELPPTSPSSSVTPLQPLRPALELPPSSSSRENRGILEAAEASNRGEVSNGFRGLEGTNKAASSSRWFGFGFPTSNTSTILRTRSATSGMVQQKRSTSQQL >ONI29819 pep chromosome:Prunus_persica_NCBIv2:G1:22842509:22849999:-1 gene:PRUPE_1G215600 transcript:ONI29819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEARTDRFTDTVFSWSFEDIFNENLYKNQVQKIPESFDSVKHYFSSYLYPLLEETRAQVHSSMETVDRSPFAEVVAFEKCNPYGPYGENVYHIKVDCWENRVNDRGKEPYKTLPGDLLIVLADSKPETVSDLRRVGRSFWAFVSVTYVPENEDEDDIDSPENEDEDDIDNPENEDEDDIDSTSLYFRVKRHPKSLNRIWKALHMFGNLKIIKEVLCTDSVAQKNNYLCSETNDDIRDKWLVESSSSGLNESQTGAVLACLERLRCDSKSTVQLIWGAPGTRKTKTSATLLFTLLRMNCSTLICAPTNVAITEVASCVLKMVTEAKSNSLGEILLFGNKERLKVGPHIEAIYLNERVKRLRECLGPVTGWSICFASMIGFLEDCVSHYHIFLENEGVSEMKEKECRTYTQVIKGKCKSFLEFFRDRFVSTASKLRHCISTFCTHIAKNYFLAHTFQNMISLIGLFDSIESLLLHGDIVSEALEHLCSCSKVEVVPESFVDNSFLLCMKRKECLSVLRTLQDSLSGLDLPNFRNGEALMEFCFQRASLIFCTASSSYKLHRVAMEPLTIVVIDEAAQLKECESTIPLQLPGVKHAVLVGDECQLPATVNSNVSVRAGFSRSLFERLSSMGHSKHLLNMQYRMHPSISLFPNSYFYNNQILDAPNVKKKKKSHEKHYLPGSMFGPFSFINVIDGREEKDEDGRSRKNMVEVAIILKILRKLYKEWIVSKQKLSIGVVSPYAAQVVAVQDKLPQKYDKMDGFTVKVKTVDGFQGGEDDIIIVSTVRSTIRQSIDFISKPQRVMSLLQGLGMVLCKLVSFCIIVDKFGENNYSSLMTLGIAFGFWEVKGLYLIGNLFGRTWSLMPNVVNASLRLMKTRIWPGDNFLKSFKKLKSISLRKSVLYLLLKLSDGWRFKKPNVCGSSSPILRQYKVEGLYIVCTTDIAKDVKYIQILKIWDILPLRDIPKLVKRLENILKRYTDDFINRCKEKLIEGNLEVPKSWLPSLDIVRFKDLSITENQSDLVGDNDSDRRSYVDNAQVSESLQLMKFYSSSSGVVNHLLSDHEGRELDLPFELTDQEMEIILHRKSSFIFGRSGTGKTTVLTMKLFQNEQRYQLAVQGCVSCQNSMVEQSTSATKGTLHQLFVTVSPKLCFAIKQHVLNLKSFACGGSDSTEKSLIDMADFDEEEAQFKDIKDSFHDIPPNSYPLVITFHKFLMMLDGTLGNSYFERFLDARKLSHGQLQSSRSISLQTFIRTKEVKYERFSSSYWPHFNIQLTKMLEASRVFTEIISHIKGGLEAMEAGDGKLNREDYVRLSESRGSNLSKQKREAIYDIFQAYEKMKMKNGEFDLGDFVIDLHHRLRHEKYGGDQMDFVYIDEVQDLTMSQIALFKHMCINIEVGFIFSGDTAQTIARGIDFRFQDIRHLFHKNWCKKHLQKVEAFYRQMSSHSCECAGKHYAPKSSNQYVEELST >ONI34412 pep chromosome:Prunus_persica_NCBIv2:G1:40152548:40157372:-1 gene:PRUPE_1G480800 transcript:ONI34412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDNLVATYGLEVAHQNGVHGQPGVVSDNINGTVSETTTTETAAPNGKIENVVKLDDGVTNNSSTGEAKEESTVNPERNGLTIALTIAKEGEVKGSLHSKQTKVQKGQGKSKNEKPSGPKNVSPVWMKKSKDGNDGEVTAAVSNGSAATTSRPKQPNKTRSFNGRQVQSSNQQLEKSDTELSEGTVEKTKLKPLKKDSLNKAEGESQSSLSPTEGDMKPPRVSTLPNYGFSFRCDERAEKRREFYTKLEEKIHAKEMEKNNLQAKSKETLEAEIRMLRKKLTFKATPMPSFYQEPPPPKVELKKIPTTRAKSPKLGRRKSLPPAVSEGNSNTNDRSSRLSLDEKVPQNSAKGPSPVHPKKPQRKSLPRLPSEKTTLPNAGNERKITSKATNEGKNNLIDAMNEENATLPNAKSEAGSDTQEQEAVPKAETSEAQPHTDDETVVEEQHDPIYVQEEPIALEH >ONI26414 pep chromosome:Prunus_persica_NCBIv2:G1:1598287:1601376:-1 gene:PRUPE_1G023200 transcript:ONI26414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLHAKLGYIEIGSLFAVQKSTLSKHQRKWHSAFATIYCSRAFNLFSLSKSKFTNTKIPRSPSYTTLTVKPDNEFEIDQTTLTDLVKDKSLNQLRELGGVEEIASALKTDAEHGIHGNGDAQDIAKRVEAFGSNTYMKPPAKGFFHFVGEAFKDLTIIILLGCAALSLGLGIKVHGLKEGWIDGGSIFLAIILVISVSAVSNYRQNRQFDKLSKVSDNVQIEAVRGGRRQQISIFDIVVGDVICLKIGDQVPADGLFLDGHSLQVDESSMTGESDHVGINQTQNPFLFSGTKVADGYARMLVTSVGMNTTWGEMMSQISRDTNEQTPLQARLNMLTSSIGKVGLVVAFLVFIVLLVRYFTGNTQDENGNQEYNGSKTKVDDILNAVVEIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADKAMVRKLSACETMGSATIICTDKTGTLTMNEMKVTKFWLGEEPVAEEAFSSISPYVLNLIQEGVALNTTGSVYRPSSVSEIEISGSPTEKAILSWAVHGSKMDMQKVVKSCSILYVEAFNSQKKRSGVLMKRKADNNTIQAHWKGAAEMILAMCTSYYNASGLVINMDDNAKMRFEQIIQGMAASSLRCIAFAHKEIPAEEQVDEQEHRALLKEDGLTLLGLVGLKDPCRPGVKKAVEDCQYAGVNVKMITGDNVFTAKAIATECGILKPNQDMFSGAVVEGVQFRTYTPEERMLKVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVIMDDNFASVATVLKWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTKELMEKKPVGRTEPLITNIMWRNLLPQALYQIAILLTLQFRGKSIFGVDDKVKDTLIFNTFVLCQVFNEFNARKLEKKNVFKGIHTNKLFLGIIAVTILLQVVMVEFLKKFADTERLNWGQWGACIGIAAVSWPIGWVVKSIPVPEKPIFSYLKMKKHKKNSS >ONI36085 pep chromosome:Prunus_persica_NCBIv2:G1:46390835:46392764:-1 gene:PRUPE_1G569000 transcript:ONI36085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLRRKKMDPYKYRPDIVHEALRRIMDTRLCKAGRLHAVYIRTDEGVLIKVEPRATIPESLEKFCCMMSQLLQKFSIKSTGGRGSLLRVVKNPVAQHLPANSLKIGLSLSSQKAVDLRDYVHDVSDNANLVFVVGAMAHGRIESENVDDIISVSDYPLSAVVCLERISMALERKWNIL >ONI28322 pep chromosome:Prunus_persica_NCBIv2:G1:10810011:10811425:-1 gene:PRUPE_1G138200 transcript:ONI28322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALRRAAGRIRPSSSIETTSAKPKTVVVDKRPPPLGPTESAEISKAGDALNSDGSAATAAEAEAGAANVENVLEERDPSYDAMLNQMVGRIKSKPGGKLEMGEAFVVEKYKRPLPKLRDTKPDSGRYEERPAAPGTLNVAQLRHIILLHQGKAEDHNGPMEADQIADKFRVDVAEVRRILQFVSLPPEDSSKQKNNP >ONI26754 pep chromosome:Prunus_persica_NCBIv2:G1:3117052:3118338:1 gene:PRUPE_1G043500 transcript:ONI26754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDYGHRPQQWSRGLCNCGDDICTCCISWFLPCITFGRIAEIIDEGQNSCLSHSIVYGFLLMIRCQWVYSCMYREKLRQKFGLPEEPCGDCCVHFFCEPCALCQEHAELKSRGFDPSKGWIGAPTAAPQVPSSMIK >ONI26785 pep chromosome:Prunus_persica_NCBIv2:G1:3253138:3258588:-1 gene:PRUPE_1G045700 transcript:ONI26785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGHQYHARRDSLCSSFLAPRPDYHDSDPDLIWPFGKLDGIDRDDVRETAYEIFFTACRSAPGFGGRNALVFYSNHENNNSNSNNNGGGDGSGSGSGSKPNGVVTTPTSRVKRALGLKMLKRSPSRRMVSGAGNGGWSSPSSPNGSNSSGSPGISFTVPPSRPRRPMTSAEIMRQQMRVTEGSDNRLRKTLMRTLVGQMGRRAETIILPLELLRHLKPSEFNDPHEYHFWQKRQLKILEAGLLLHPSIPLDKSNTFAMRLRDIIRSVDTKAIDTGKNSDTMRTLCNSVVSLSWRSSNGTPTDVCHWADGYPLNIHIYISLLYSIFDIRDETLVLDEVDELLELMKKTWSTLGITRPIHNVCFTWVLFQQYVSTAQIEPDLLCAAHAMLAEVANNAKRPDREALYVKILSSVLCSMQGWAEKKLLSYHDYFQRGTVGQIENLLPLALSSSKILGEDVTITERGGGVKGDIKVVDNSGDRVDYYIRSSMKNAFEKIMEAGNVTEVAEDAVTEALLKLAKETEDLALKERESFSPILKRWHTTAAGVAAVTLHNCYGAVLKQYLNGVSTLTSETVEILQRAGKLEKVLLQMVVEDSAECEDGGKAIVREMVPYEVDSIIMNLLKRWINERLKAGKECVNRAKESETWNPKSKSEPYAQSAEELMKLAKETVEDFFEIPIGITENIVHDLANGLEHLFKDYTTFVASCGSKQSYIPTLPPLTRCNRDSKFLKLWKKASPCSIGAEDCHPNGINDGNNPRPSTSRGTQRLYIRLNTLHYLLSHLHSLDKNLSLSPKIVPSTPRSRCSNSRRNHGNASSYFELAHLAIQAACQHVSEVAAYRLIFLDSNSVFYDSLYLGDVANARIKPALRILKQNLTLLGAILTDRAQALAIKEVMRASFEAFLMVLVAGGSSRVFYRTDHEMIEEDLDSLKRVFCTCGEGLMAKDVVEHEGETTEGVIELMGQCTEQLMEDFSIVTCETSGIGVAGSGQRLPMPPTTGRWNRSDPNTILRVLCHRNDKAANQFLKRTFQLAKRR >ONI27153 pep chromosome:Prunus_persica_NCBIv2:G1:5020692:5023003:1 gene:PRUPE_1G070900 transcript:ONI27153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGFVGEGGGRSYEGGVTIFVLFTCMAAAMGGLLFGYDLGISGGVTSMESFLSKFFPSVFHKMKNESADQNQYCKFDSELLTLFTSCLYIAALVASFFASSVTRKFGRKISMFVAGLVFLIGSVLNGVANNIVLLIIGRLLLGIGVGFANQSVPVYLAEMAPTKIRGALNMGFQMAITIGILVAGLVNFGTAKIKGGYGWRVSLALAAVPSLILTVAAIFLPDTPNSILERGHPEKAKKMLKKIRGTDDVDEEFQDLLDATEVAKRVENQWSNITQPRYRPQLVICLLVPFFQQLTGINVIMFYAPVLFMTLGFGNEASLMSTVITGSVNVVATLVSICTVDRFGRRALFLQGGVQMLICQIAVGVMIGMKFGVSGEGAFSKGEANWILFLICAYVAAFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMLFTFIIAQVFLNMLCHMKFGLFFFFAGFVVIMTIFITFFLPETKNVPIEEMNRVWKAHWFWGKYIPDEAVTHGRQDRAV >ONI31134 pep chromosome:Prunus_persica_NCBIv2:G1:29192393:29193217:1 gene:PRUPE_1G294900 transcript:ONI31134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVFSTRNATPQTYHTFIDALRLRLTAGQPKSHGIPVLPRKEDVQNVQRFLLVDLTNSENNTITVAIDVVNAYVVGYAAGGRSYFFAENAPNDRPPIQVLFPGTTWVPTLLFNGTYNGLSRGAEEAVRRRRAGNRDPNIDEKTPVLEQIFLGRNQLDEAIGLLRGAVSQPEQALGFVVIIQMLSEAARFRQIEGLVRTTMKEEYDPLKRGLSLASLETKWSDLSEEIQTVPANQTRFHRAITVHNISNARVEINSVESPFVQGVAMLLYRNRK >ONI27325 pep chromosome:Prunus_persica_NCBIv2:G1:5840338:5840996:1 gene:PRUPE_1G080000 transcript:ONI27325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSRSSCIIIVLLVFTAGTLMPMVKGDVCTEDVGTCGDDCSSRCASAHPGAKAACVLNNIPVCRCYFDCGPPVPPPYSKTCKLSLGIWGERCSEKDCNSQCAAKYPGPQQGMGYCYSVGAPVYTSCFCQYKC >ONI34093 pep chromosome:Prunus_persica_NCBIv2:G1:38626757:38628050:-1 gene:PRUPE_1G462200 transcript:ONI34093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFDNVVVVGKLKLKGKPLAVKGGGVSKKNKKKRSNYYHQLSQASQGVIGGEAVMDDTENDGGRGQAGAYDDHLTPAERRYLQQTERIQLKKLAKMAKKSHRDRVQEFNHYLANLSEHHDIPKVGPG >ONI35291 pep chromosome:Prunus_persica_NCBIv2:G1:43201505:43202241:1 gene:PRUPE_1G527800 transcript:ONI35291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRHFQLTSSSAPRREREGREIVVCILESFFFNEGPIQAT >ONI35290 pep chromosome:Prunus_persica_NCBIv2:G1:43201175:43205728:1 gene:PRUPE_1G527800 transcript:ONI35290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEAHIQLCAPEGEGGKRDCGLHPGELLLQRRPNSSNLKVFNEHCPHAAM >ONI32987 pep chromosome:Prunus_persica_NCBIv2:G1:35206904:35208871:1 gene:PRUPE_1G397700 transcript:ONI32987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPMISSSSFLRFFMAFFSVFLCLILCSFENGFALAARDTKPHLMPHTTHTVEVNSLLPATTCSPSTKGHNNNKASSVLKVVHKHGPCSKFHKSSKTSTTTSDEKYHAQILEQDQARVNSIHSRLNHNNNKDPLTQSAATTLPAKSGIVIGSGNYIVTVSLGTPAKQLSLVFDTGSDLTWTQCQPCPTTRSCYKQTEPIFNPSLSASYKKIPCTTAACTQLPSSGLEQSCSASTCLYIAVYGDNSFSKGVFGSEKLTLTPTDVFESFLFGCGLDNGGLFRGSAGLLGLGRSSISFVEQTANKYNRFFSYCLPSTSSSTGHLTFGDGGSPNGVKFTKLITSSQSESFYGLGLAGIKVGGSQLSIAPSVFSSSGTIIDSGTVITRLPATAYAVLRGAFREAMKNYTLTNGDSLLDTCYDFSGLNTVSYPKIAFVFGDGLTVDLDATGILFPISPSHVCLAFAGNKDDRDVGIIGNLQQKRLEVVYDVAGGKVGFAPAGCD >ONI29878 pep chromosome:Prunus_persica_NCBIv2:G1:23384630:23386718:-1 gene:PRUPE_1G219000 transcript:ONI29878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPNMATIAASLERSLQNCSLNHHHHHQQQQQSSSCIFTGGEGQASSVTRQGRSSSSTSSTSDDIAHLNQLLPNYSNDATLELNSHVSLPYHWEQCLDLKTGEIYYINWRNGMKAKEDPRARAEYSGEYYSEDENSSYDSEESSTESSPSSCRQRYQLQKIENINNNEHNNINNNNNNNNNNNVLVVAGCKACLMYFMVPKQLEDCPKCSGQLLHFDRSENGSP >ONI28974 pep chromosome:Prunus_persica_NCBIv2:G1:14453007:14454184:-1 gene:PRUPE_1G172900 transcript:ONI28974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVPILALLICLLFALSNGYGGTKVGGRQQIEDVKTNKEVQKLGRFSVAEYNRTQRKSHQSNGGGELQFLEVVEAQSQVVSGIKYYLKVSAVRNGAHMLFDSEVVVKPWLHSKQLLNFAPHGPN >ONI31917 pep chromosome:Prunus_persica_NCBIv2:G1:31925962:31929504:-1 gene:PRUPE_1G339100 transcript:ONI31917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLSTILVLAVLITCCVTHVRSDASDHRYNDGDAVPLYANKVGPFHNPSETYRYFDLPFCSAGDVKEKREALGEVLNGDRLVSAPYKLEFRKEKDTEVACRRKLTKEEVAQFRTAVKKDYYFQMYYDDLPIWGFLGKVDKEGKTDPSEYKYFLYKHIQFEVMYNKDRVIEIGAKMDPHSVVDLTEDKDVDADFMYTVKWRETNIPFENRMDKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFMKYAQDEEAADDQEETGWKYIHGDVFRFPKHKSLFAAAHGSGTQLFTLTIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRTTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALLFVRHIYKSIKCE >ONI28292 pep chromosome:Prunus_persica_NCBIv2:G1:10696656:10696877:1 gene:PRUPE_1G136000 transcript:ONI28292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISIPFSAFWLRSSVVSVLISLISDTWANGSHDIKLIYLGGGSTIIACYWGSQVSPKHCNIAWAWHTPPNLV >ONI34890 pep chromosome:Prunus_persica_NCBIv2:G1:41683933:41688508:1 gene:PRUPE_1G504100 transcript:ONI34890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREALLCESPSDVVSHTKKKKSGEEDHKGDRETPQLVLAPAAVAGIGRSRSQAATRRVTPTTTTTITTVAAFPTTTSSSIERHLPNGDLYIGSFSGSVPNGSGKYLWTDGCMYEGEWRRGKASGKGKFSWPSGATYEGEFKSGRMEGSGTFIGSDGDTYRGSWSSDRKHGFGQKRYANGDFYEGSWKRNVQDGQGRYVWGNGNEYVGEWRNGVISGRGVLIWANGNKYDGQWENGVPKGNGIFTWPDGSCYVGTWNKDLKVHQLNGTFYPANGGKEQSLTDIGAFGAENLTITMRKRSVSSVDGARGSLAERTFPRICIWESDGEAGDITCDIIDNVEASMFYRNGTGFDRDGFGKFRRSPCCFSGEPKKPGQTISKGHKNYDLMLNLQLGIRYSIGKHASIVRDLKPSDFDPKEKFWTRFPPEGSNKTPPHQSFEFRWKDYCPMVFRHLRELFQVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIRMLQSYYRHVSRYENSLVTKFYGVHCVKPVGGQKTRFIVMGNLFCSDYRIHRRFDLKGSSHGRTTDKPEGEIDEITTLKDLDLNFVFRLQGKWFQDLMKQIDLDCQFLEAERIMDYSLLVGVHFYDGSNTYDKMGLSPFILRSGQKDSYQNEKFMRGCRFLEAELQEMDRVLSGRKPLICLGANMPARAERMTRKSDFDQYTPGGFSHLTPSRSGEIYDVVLYFGIIDILQDYDISKKLEHAYKSLQADPSSISAVDPKLYSKRFRDFIGRIFIEDR >ONI26968 pep chromosome:Prunus_persica_NCBIv2:G1:4189356:4189730:1 gene:PRUPE_1G059200 transcript:ONI26968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLVILLLTTSSVGGAFNFPKHTAVRITNLLESNVDLTVHCKSNDNDLGEHTIRPREEYEFDFKTNIFGTTLFFCGFKWSNEFHWFDVFRTNRDDCSSCFWSIIEAGPCLYGVDGICYQWNKH >ONI35208 pep chromosome:Prunus_persica_NCBIv2:G1:42805784:42810549:-1 gene:PRUPE_1G522500 transcript:ONI35208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFLSTVPSLLPLVPVPLNIAATSGSRYPTVSVTIRCNKIEVDTANEDRFHRRDILKCVGATIGMELIRSSGTFVEVAEAADLIQRRQRSEFLSSIKDTLYQAIKANRDIIPSLLTLALNDAITYDKASKSGGPNGSIRFSSEISRPENKGLTAALNFIEEAKKEIDLYSKGGPISYADLIQLAAQSATKQTFLAAAIRKCGGNEEKGNLLYTAYGSNGQWGLFERNFGRSDTQEPDPEGRVPQWDKASVQELKDKFSALGLGPRQLAVLSAFLGPDQVATETLLAADPEVFPWVQKYQRSRETVSETDYEVDLITTFTKLSSLGQQINYEAYTYPVKKVDFSKLKL >ONI28205 pep chromosome:Prunus_persica_NCBIv2:G1:10244020:10244796:1 gene:PRUPE_1G131000 transcript:ONI28205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLSWNFHRLYRPSDLTMRRRNMILIHVGVWIILYLLRRRKRPYGDSLGGPAAMVLVSHGRCWEV >ONI29340 pep chromosome:Prunus_persica_NCBIv2:G1:18218069:18219352:-1 gene:PRUPE_1G193700 transcript:ONI29340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSISRLNLFLLFFHLLILQFSPRISAIRKDVGFQGRRICKNTVLGRYLLSDDNGHVCDALSLNPQSRCCPEKGEKFSCHGCNVVSECCDSYEYCVSCCLNPSRDNHDGEESSIYYVSRQLWLDET >ONI32738 pep chromosome:Prunus_persica_NCBIv2:G1:34408561:34411054:1 gene:PRUPE_1G382800 transcript:ONI32738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVATKLQSSICEPRAVLGPGGNRVRVSEAPKRKNEGLKKPPQRPRKPVSEIPEAVVRNNVSVDSTCSSDTSSSCSSAKTVSPRRTVRHKSLRPAKLVSDDMEVVKPAGPPKRCEWITPNSDPVYTCFHDEEWGVPVYDDKKLFELLVLSQALAELSWPEILHKRDMFRKLFDDFDPSSIAKFEEKKLLSLKINGIPLLSEQKLRAVVENAMQMLKVQQEFGSFSNYCWSFVNHKPIRNRFRYGRQVPVKSPKAEVISKDLMKRGFRCVGPTVIYSFMQVAGIVNDHLITCFRYKECDANDNKLDLKLKTEEKTEVLKLSEAMEIGEHK >ONI32761 pep chromosome:Prunus_persica_NCBIv2:G1:34482456:34482731:-1 gene:PRUPE_1G384300 transcript:ONI32761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPLKEINLISCGPMAHVSDIKLIRTDTTLDLSQKAEKGMLCSATRFRFYMACKLPCFMMLAIWDEKTQDMMHSLFPTTNILFSINFTSL >ONI33803 pep chromosome:Prunus_persica_NCBIv2:G1:37787941:37788596:1 gene:PRUPE_1G446900 transcript:ONI33803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQRLRNAISDMSNEINKYKKELESSNVVAKIDEEECTAGYINEVEDSFSGKWVCGLCSEAVKDKMKEVAPNGTAMQEAVSSHRDFCQKYNSTRLNPKLSLTSAMREIARRSSENRDSKHNLPMSKLGRSSSCGPRIDFKQYMQQNDYYLSHNIYLAYIYLLSINLFGSL >ONI33510 pep chromosome:Prunus_persica_NCBIv2:G1:36850324:36853456:1 gene:PRUPE_1G429600 transcript:ONI33510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLFLIFLLSLAIHSPLSSSTSDSLSRGSSLSVEKPEDVLVSADGVFTAGFHQVGNNSFCFAIWFTEPSISDHYRNRTVVWMANRDQPVNGKRSKLSLQKTSNLILTDAGQSIVWATTTTSFSPAHLSLQNSGNLVLLHSGSVVLWQSFDSPTDTLLPLQPFTRKATLISTRSQSNFSSGFYKLFFNNDNLLRLLFDGLEISSVYWPDPSRVSWDNARSTYNNSRNAMLDSLGSFISSDNLTFLSADYGAKRQRRLRIDFDGNVRLYSRENSGEKWVVSWQAISDSCMIHGICGANSVCSYDPGFGRKCSCLPGYQIINHTDWSFGCEPQFNHSYTKVNESSFLKLSQVEFSGYDYSSYQNRTYGECESVCLDLYNCRGFQYTFDSGSGVYNCNPKTQLRSGRRSDLQGYLYLRLPKAYLFSNHSDMKYLKQFGLNCADTVIYLDRQYVKKHVSKPVKFLLWFGCGLGGFEIICLLLFFGLLTSRTRKSSKQDMHDGYLLAATGFKRFSYAELKKATRGFSEEIGRGAGGIVYKGVLADERVAAIKLLNEAIQGEAEFLAEASTLGRLNHMHLIEMWGYCSERKRKLLVYEYMEHGSLAHNLSSNVLNWEKRFEIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYQPKVADFGLSKLFNRGELKNSSFSRIRGTRGYIAPEWVYNLPITSKVDVYSYGIVVLEMVTGKNPTMGVDAIDREQRRLIMSVREKVNGTSAIGPQMGMIMDPSFEGEYDVEKMEILLRVALQCVEEDKDARPTMRQVVEMLLHHDKD >ONI31973 pep chromosome:Prunus_persica_NCBIv2:G1:32140307:32150987:1 gene:PRUPE_1G342300 transcript:ONI31973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKTERFTDIVFSWSLEDISNENLYKNQVEKIPETFHSVWQYFGSYLYPLLEETRAQVHSSMETIDRVPFAEVVGFEECNPHGPYGENVYDIRVDCWRNRFSDRGKEPYKTLPGDLFVLADAKPETVSDLQRVGRSWAFVSVINVSENENEDDSTSLYFKVKASKEFEVNDSTHTSLFLVFLVNLIPNSRIWKALNMSGNLQIIKKVLCADSVAQRNNYLYCERNDGIRVKWLVESLSSGLNESQTGAVLACLEMLHCDSKSTVQLIWGPPGTGKTKTTATLLLTLLRMNCRTVICAPTNVAITEVASRVLKMVTEAESDSLFCSLGEVLLFGNNERLKVGPHIENIYLNYRVRKLGECLGPVTGWRSCFVSMIDFLEDCVSHYHIFLENELTKEKEDNGVGEATEKECRIDTEVLKGKCKSFLEFFIDRFVSTALPLRYCISTFCTHIAKNYISVHNFQNMISLIGIVDSIELLLLQGNVVSEALEHHFSLSEVEDVPDSFIDNLFLLCKKRRECLSILRTLQDSLRGLILPNVRNEESLMEFCFQRASLIFCTASSSYKLHRVEMEPLTLVVIDEAAQLKECESTIPLQLPGVKHAVLVGDECQLPATVKSNVSNEAGFSRSLFERLSSLGHSKHLLNMQYRMHPSISFFPNSNFYNNQILDAPKVQKRRHEKYYLPGSMFGPFSFINVIGGREEKDEDGHSRKNLVEVAIIVKILRNLYKEWIVSKQKLSIGVVSPYAAQVVAVQDRLRQKYDKIDGFTVKVKTVDGFQGGEEDVIIMSTVRSNSHQSLDFISKLQRVNVALTRARHCLWILGNERTLLDSESVWKSLVLDAKSRQCFFNADEDKDLAKAILEVKKEFEQFDDLLNADSVLFRSSRWKVLFSDNFLKSFKKLKSIRLKKSVLNLLLRLSSGWRPKKRNVGTICGSSSPILRQYKVEGLSIVCTADIAKDVKYIQILKIWDILPLEDIPKLVNRLESILKRYTDDFINRCKEKCLDGDLEVPKSWPPSLDVPRFKDLSVTENQSDLVGDDDSDGRSYVENSQVSESLLLMKFYSLSTGVVNHLLSDREGRELDLPFEVTDQEMEIILYHRSTFIVGRSGTGKTTVLTMKLFQNEQCHQLAVHGCLGSPNSMVEQSSSATRGRNLHQIFVTVSPKLCFAIKQHVLHLKSFACGGSDSTEKSLIDMADFDEEEAQFKDIKDSFRDIPPNSYPLVITFHKFLMMLDGTLSNSYFERFPDATKLTLGQLQSSRSVALQTFIRTKEVKYDRFSSSYWPHFNIQLTKKLDASRVFTEIISHIKGGLGSIEAGDGKLNREDYVQLSEGRASNLSRQKREAIYDIFQAYERMKMENGEYDLADFVIDLHRRLRHEKYGGDQMDFVYIDEVQDLTMSQIALFKHMCSNVEEGFVFSGDTAQTIARGIDFRFQDIRHLFHKKFVLESRSNMHDERKVKGQISDIFHLTQNFRTHAGVLKLSQSIVELIYRFFPHSIDVLKPETSLIYGEAPVLLESGENENAIIKIFGNSGTDSGNIVGFGAEQVILVRDDGARKEVSMFVGKHALVLTIVECKGLEFQDVLLYNFFGSSPLKNQWRVIYDYMKEQDLLDSTLPKRFPSFNGAKHNILCSELKQLYVAVTRTRQRLWICENAEDLSKPMFDYWKKKCLVQVRQLDDSLAQAMQVASNPEEWKSRGIKLYHEHNYEMATMCFERAGDTYWERRSKAAGLKAMADRMRNSNPEEANSILREAAEIFDAIGKADSAARCFSDLGEYERAAWIYLDKCGVSDLERAAECFSLAGCYKEAADVYAKGNFFSECLTVCVKGKLFEMGLQYINYWKQHATEDCVVARRGEGIDKIEQEFLENCAFHYYELKDDRSMMKFVKAFDSIISMRNFLKKLGILDELLLLEAEFGNYLEAADIAKLKGDILLEADFLEKAGKSREASLRILFYVLANSLWSSGRKGWPIQQFSQKGEILSKAMSFAKNETESFYELVCTEVDILLNEQSNLALIKNHMDVCQRHKSIRGELISARKILDAHISSSANKYVWDDLIKCSEDRISENQVSIDSLIYFWNFWKDKVALIFEYLGCLETQDVNEYKSYGEFCFDYLGVWRLYHNLSPVYVLLISGADWVRGVDKRYFRSHGKLVSVHVHQLVSAARNFWSSEMLSVGMKVLDKLEILYKFPMKNADQVFCQSRCLTHICEVSLYLLQSKCLKLKYQDMLRLQRCVRLSTESVVTHIFPMDWRSSLRENMISLRRTDALKKALEQVIVEYTSSKRELSFGQIGRLVTVILGSGKLNSELYEKLVEKLDCQQPWMAFIENLCGNVGPGNTSLEPREVSVMLKFCGALVDTYNANWRVVNDYISPGCFLYLVERLLFWATRFKGCAITTSSCFIEWLMYQEEDTKISSIVADVPSSLDDILHFVIYMVQGCVFNKGDMVEWIKKTNANWKNYYSQLMLRFVTVLCLVYVNFDMGQDILYHLLGKEYITEQLPWEFSDALKRRRHKSLSINVSELGAAFRKIGNTLVVADFGSNCARIVCPDAIFFDMKANHSKDDILRKLFPKPPVLPASQARSVEAGGNNSGSRVLHATNYEGKSSKILPSNSGVVEPLQTDAASDKPSDAGDGASHTTVEKDCITNGSNPKSLHIDAASEPGDAGDSHTKDEESCITKESNPKSKQADVAASGSQSGSKETGNKGKKKGKNKKPKKKGGKK >ONI31566 pep chromosome:Prunus_persica_NCBIv2:G1:30694962:30697477:-1 gene:PRUPE_1G319800 transcript:ONI31566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSYLAYFPVRCSSNRDSYDPNKQKLNNIKINGTSTKSTTKQVEAGVTTTFTSVASVTANGYMTEQKVRQNIPTTKQSVDHFRQGIAVEGGVGYRQRVVIRSYEVGPDKTATLESILNLLQETALNHVWMSGLLSDGFGATHGMMKNDLIWVVSRMQVQVDQYAIWGEVLEIDTWVRASGKNGMKRDWLIRSQATGQVFARATSTWVMMNRKTRRLSKMPEEVRAEIAPWFIGKQAIADDVPEKIVKLDNKAMYVNSDLKPKRSDLDMNHHVNNVKYVRWMLETVPDHILESHQLSDIILEYRRECGSSDIVQSLCDPDEEGMLIEGLKQQENHDMNLMNGFSLAAGILEGGGFLGFEKPPLRYTHLLQINGDTKNEEIVRGRTTWKKKSPPTPFSSM >ONI28549 pep chromosome:Prunus_persica_NCBIv2:G1:11566256:11568204:-1 gene:PRUPE_1G147300 transcript:ONI28549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGSVQKSEEEWRAVLSPEQFRILREKGTEPKFTGEYDKVFEEGVYNCSGCGTPLYKSTTKFDSGCGWPAFYEGLPGAINRSPDPDGRRTEITCAACGGHLGHVFKGEGHKVPTDERHCVNSVSVKLVPGKSTL >ONI29355 pep chromosome:Prunus_persica_NCBIv2:G1:18447562:18450107:-1 gene:PRUPE_1G194900 transcript:ONI29355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVVVSVVMQKLTNMLVQESTISDEMIDQAEGLRIRFREMQRYLRDAESKQESYEDVRKWMAEFLGIAYDLEDFVDIFVLERTRRRRSSFIGYIATLEGLKATLKLCSEMKEIEGKISDYMSKFSQINQRLTLSMDKGPILRKQNDLPEDLPSHLRPCLLYLGMFPKEVDIPVRRLFHLWLAEGFVKQSPGMSPEDLVEEYLAELVRREMILVFSFRSDGSAKTCRMPEKLRHVLSLKAEEIGLFYIHGKLASRAPASSVSDPQFAVRRVVEHADIKDYPGETEFYVKNLRSYISFNTQKKDLQAIQIGKFVSKIIGKRGYALLRVLDLEGVYKPQLPEELGFLYHLRYLGLRWTFSDALPTSVGHLPYLETLDVKHTYIRTLPSTIWKMKLLRHLYLNDIRLDMSVHRHSTNPLTQLQTLWGLLVDKKTPVKDGLNRLVNLRKLGLTCHSISTEGIGQWILRLTGLRSLKLRCKNEIGEPSSLHLPSLANLQHLTHVYLLGRLPKLNEKFEFPPQLRVLTLSVSKLEDDPMPKLARLKNLSVLRLLANSYTGKKMDCPEGGFGSLRVLRLWMLENLEEWEVAEGAMKNLQELEIRCCSSLKAVPDRVLKSSTFEKLNLINMSPAFVADCEAKKQEKTTMTKETWEF >ONI29290 pep chromosome:Prunus_persica_NCBIv2:G1:17695390:17699430:1 gene:PRUPE_1G191500 transcript:ONI29290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEEQEWVPLKNRPEWSDVVPVEQDDGPNPVVPIAYKEEFTETMNYFRALYRADERSPRALQLTTEAIKLNSGNYTVWHFRRLILKALSADLQNELDFTEDIARANSKNYQLWHHRRWVAEILGTNATTQELEFTKKILSHDAKHYHAWSHRQWVLQELGGWEDELDYCHELLEEDVFNNSAWNQRNFVITRSPFLGGLKAMRESEVSYTLKAIVAHPENESSWRYLRGLYKNDNQSWMKNTQISSVCLKILIAKTDCIFALSTLLDLICHGFQASQEFRDAVDALRNSDLEQAESDLAKTICSLLETVDPIRVNYWKWRKSMLCQDAN >ONI30866 pep chromosome:Prunus_persica_NCBIv2:G1:28263973:28265613:1 gene:PRUPE_1G278200 transcript:ONI30866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKMGLKKGPWTPEEDQKLLTYIEHHGHGSWRALPPKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEDQSIIQLHALIGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLTKLGIDPMTHKPRHHHGSGSGHAKDAANISHMAQWESARLEAEARLVRESKLQVISTTNPNHLLISSSSDATHQGHVINKAPPGLPCLDVLRAWQGTWSTKPTVRNVNSTIISGGSMMSMDDLESPTSTLNFPHINALNPPMQNAVNINGLNENPVVPSEVLQDNVNVTFGCMDACAATLAWFVEEGTFSSGNDEEVGNVIMPAGVSNIMMEDFTDVLVYNSSLAGEISDNGGSHFEENRSYWDSILNLVNASPSGSPVF >ONI34415 pep chromosome:Prunus_persica_NCBIv2:G1:40168159:40170632:-1 gene:PRUPE_1G481100 transcript:ONI34415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWNFFHFMGFIFLSVFLSSKICLASVRKFGKITPPFEGAQMNWIDNNGLFLLSNSSEFGFGFATTPQDVTLFLLVIVHMDSSKVVWTANKGSPVSNSDKFEFDVKGGVFLQKDGSVIWSVDTGGKNISAIELQDSGNLALLGDDSGVVWQSFSHPTDTLLWNQDFLDGMKLVSNPSSNNLSYVLEIKSGDMILSSGFQTPQPYWSMAKENRKTINKDGGVVTSASISENSWNFYDRTKVLLWQFIFSTDTDANATWIAVLGSDGFITFNNLQNGGSSGPSGTKIPSDPCSTPEPCDAYFECYSNNKCQCPSGLSSRPNCKAGIVSSCDSSKGSTELVNAGDGLYYFALGFLPPSSKTDLNSCQTSCLGNCSCVALFFQNSTRDCFLFDRIGSFQNSDKGSGFVSYIKVLKDGGSGTGASSSNKKHFPYIVVIAVSTLLVICGLLYAGYRYYRRKRKSPESPVENSEEDNFFENLTGMPIRFSFKDLQTATDNFSVKLGQGGFGSVYEGILPDGTRVAVKKLEGIGQGKKEFRAEVSIIGSIHHLHLVRLRGFCAEASYRLLVYDYMANGSLDKWIFKKNKGEFLLDWETRFNIALGTAKGLAYLHEDCDSKIVHCDIKPENVLLDNNYLAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIVGGRKNYDPTETSEKSHFPSYAFKMLEEGKLKDILDTKLGNDGADERIHTAIMVALWCIQEDMSSRPSMTKVVQMLEGLFPVPQPPAANSMGSRLFSNFFKSSSEEGTSSGPSDCNSDAYLSAVRLSGPR >ONI33535 pep chromosome:Prunus_persica_NCBIv2:G1:36943080:36944631:1 gene:PRUPE_1G431200 transcript:ONI33535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSAPTRALVSISTFFFLLTCLSIAYSVTDHTHKETLKLVLGGHHRGGSKDRGNRKQISPPKAPPDLPNTEEFVFADQKLEIVYPIIQKFKSTITSDPLGITKTWVGSNICSYRGFYCDNPPNNGSAIALASIDFNGFQLGASSLDGFLDQLPDIALFHANSNNFSGTISANIAKLPYLYELDLSNNQFSGPFPSVVLGMSSLTFLDIRFNFFTGSVPPQIFTQDLDALFINNNNFMQKLPDNLGSSHILLLTLANNKFMGPIPPGISKAFSALTEVLLLNNQLTGCLPYEIGLLKEAIVFDAGNNQLTGPLPFSLACLENAEQLNFAGNLLYGMVPEVVCGLQNLVDLSLSDNYFTNVGPLCRMLIGRGVLDVRNNCIPDLPFQKSIEECADFFAHPRFCPYMGSYTYIPCKFPHFGSSAPLLPQVAPPP >ONI34814 pep chromosome:Prunus_persica_NCBIv2:G1:41433476:41436674:-1 gene:PRUPE_1G500500 transcript:ONI34814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQSPTGSKLSPVLIKIKPYLAMVSLQFGYAGMYIISMVSFKHGMSNFVLSVYRHVVAFCVIAPFAFVLERKIRPRMTLPIFLRIVLLGFLEPVLDQNLYFLGMKYTSATFASAVVNVLPAITFIMAVFFRLESVNVKKLHSLAKVVGTVITVGGAMVMTLYKGPIVDIIRGHAHSHGTSTTDSTNQHWVAGPLMLLASCGGWASFFIVQSFTLKKYPAELSLTAWICIMGVLEGGVITFAVERKMSVWVIGWDSRLLASVYSGIVCSGLAYYVQGVVSRERGPVFVTAFSPLCMIITAALGAIVLAEKVHLGSIIGAIFIVFGLYTVVWGKSKDPLASSAPLKDEKSNNLELPVTADPNGISGRT >ONI34938 pep chromosome:Prunus_persica_NCBIv2:G1:41852599:41856025:1 gene:PRUPE_1G507100 transcript:ONI34938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLFLPNHSFIAPPRLSASLSSKSSKPLPLPRLGFSLNNSSSIISQSSSSSSRSGSISRSRRASSFKSHLAPRDSVPPTNAKEDRTRSNVEASESGADQHHLPRLGTLLQVYKEAIFNGDEETVSEVEAKIEILENEKNKLVKKVSSSSAEITSGKEKFIRLQADFDNCRKRFEKERLTVRTDAQGEVIESLLPMVDNFERAKQYIKPETDKEKKVDASYQGIYKQLVETMKSLHVAVVPTVGKSFDPSLHEAVAREESQEFKEGIIIQEIRRGFLLEGRLLRPAMVKVSTGPGSKKAPVATEKSSGLPATAAGVEK >ONI30423 pep chromosome:Prunus_persica_NCBIv2:G1:26246634:26247836:1 gene:PRUPE_1G250400 transcript:ONI30423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHNHHLGPCLLCNPHSYIRMVQHLIERCLLLHMSCDQCIKALAEHASIRPIVTLTVWRELQKENRHFFQAYFHSISPRPLMGSYIQRGPRFARRKHY >ONI29001 pep chromosome:Prunus_persica_NCBIv2:G1:14687880:14688787:1 gene:PRUPE_1G174600 transcript:ONI29001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIDDPSLGLNKLLQSGSGSDQQDLEFSASWGEEIGSRFLGSWGCGCRLGCGIVCRWWCHGVERELGSWFF >ONI27547 pep chromosome:Prunus_persica_NCBIv2:G1:7237624:7239570:1 gene:PRUPE_1G093900 transcript:ONI27547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQAGGWRTMAGGLVAKPCDSCKNSAAVLFCRADSAFLCLTCDAKIHAANKLATRHERVWMCEVCEQAPASVTCKADAAALCVTCDADIHSANPLSRRHDRVPVEPFFDSAESIIVKSAAASSSVDSLNFLLPNGAVPSHTKDDENDAASTWLIPNLNFNSKLQMDIAPDIIKSSELFFPEMDSLLEFDYPNPIHHDISGSGTDSVVPVQPDPLPPPSLNINRVSAEQNCFDLEFCRSKLSSSFSYPTQSLSQSVSSSSVEVGVVPDGNSMSDISYPFGRNANHNVSDPSAQVSATTANQVATQLSGLDREARVLRYREKRKNRKFQKTIRYASRKAYAETRPRIKGRFAKRTETEIEAVDNIYGSAQGAFIPETYGVVPTF >ONI32452 pep chromosome:Prunus_persica_NCBIv2:G1:33714165:33715466:-1 gene:PRUPE_1G368800 transcript:ONI32452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFLSRVRIEFNALDPRTASCLEFLAQCNARKAKESNPSCAVQVKRRTDDEPPKITVTFVNGVEEVFDATATPAQDIRNMILEKGQSLETEQMFRDAGEPWPVIIPAEELHQPAPGTKPRKADEKKQ >ONI33674 pep chromosome:Prunus_persica_NCBIv2:G1:37402977:37405298:-1 gene:PRUPE_1G440100 transcript:ONI33674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTDSIVVPPRYGKMITILSIDGGGIRGLIPATILSFLESELQKLDGEDARIADYFDVVAGTSTGGLVTAMLTTPNENDRPLFSAKDISDFYMNHCPKIFPQKKFPLFRYTRKIIKALGGPKYDGKYLHGLIRQKLGDTKMDQTLTNVVIPTFDIKNLQPTVFSSFRVRHKPSYNALLSDVCIGTSAAPTFLPAHYFETKDEFGQTREFNLIDGGVAANNPTLLAICEVTNEIKKANPDFFPVKPVDYGRFLVISLGTGTSKAELKYNSHTAAKWGLLNWLTSGGTTPIINVFSQASADMVDLHLSGVFQALHSEDYYLRIQDDTLRGTVSSVDVATKKNLSRLVTVGEGLLKERVSRVNLDSGRFEASDRETNEEALRRVAKLLSEEKRLRQETLNNGLASSSH >ONI33734 pep chromosome:Prunus_persica_NCBIv2:G1:37619741:37620106:-1 gene:PRUPE_1G443700 transcript:ONI33734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQSKEITQNLYGRSAPPSHQTVKLLTAAIVGATLLFLSGLTLTGTVIALIMATPVLVLFSPILVPAGIVMLLIAAGFVFSGGCGMAAMTVMAWLYNYVSNYAAAKQRANAYRQFVMLDI >ONI26867 pep chromosome:Prunus_persica_NCBIv2:G1:3611795:3615261:-1 gene:PRUPE_1G051600 transcript:ONI26867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSSVSSENPWTTISPKVLRPSTKQRPFHLNPILSFHRQNPDTINSPVNATEPKTQLRSPILINPTQFIYRKTKRSRIHGETRGFPQLGSQQMLFLCGFGYWMQGFRCFPWLALNFHMAHNLNMHPSTLQLVQHSGNLPMVAKPFYGILSDALYISGAHRLPYISIGVLLQVLSWGPMAVIPVAGKALPTLMACVLLSNLGASITEVAQDALVAEYGQEKNMKGLQSYAFMASAAGGILGNLIGGYFLMKTPPRTMFLVFSVLLSAQLAISLRIREESLGLPKLPDHYLIRKPIFESTRKQFSELMMGIQEESIVHPLTWIVASIAMVPVLSGSIFCYQTQCLHLDPSVIGMSRVIGQLMLLSTAVLYDRYWKKVPMRKLVGVVQLVYAFSLLLDLLLVRQINVRLGIPNEVFVLCFSGLAEIIAQFKILPFTVLFASLCPRGCEGSLTSFLASALCLSSIASGFLGVGLASLIGVTAGDYSSLPFGILVQFIAALLPLGWLCNLPMSEAVVGKERKRGLSRRSQKSRRVGRVVYGSAFIYRRERESEA >ONI27939 pep chromosome:Prunus_persica_NCBIv2:G1:8958775:8960357:-1 gene:PRUPE_1G112300 transcript:ONI27939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASVLDSGGKLKNTYDFPQIKVNVSYMDSDSLILEKLYNHNHIVIGYELVKLSSIQLSLGDCAAVDSINRPCDIFSCYYGSYIQDFTVLSFNSLRG >ONI33032 pep chromosome:Prunus_persica_NCBIv2:G1:35419412:35432107:1 gene:PRUPE_1G401300 transcript:ONI33032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGRSSKQETKDLMELGKLSKLKEEPHHLSGAYIRSLVKQLTSSRTKDPMNPNKDLDCDALPNQNMAKYGEGFSETQQHTQQPHQPQQHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKFHRAAMKQASEQQQQQHQDQEQQPQSQQLQPQPHPCFEQEGRTKSRRNPRIYPSSTANYPETLPFPSDFSHHQYPSVPNPYSWTASTIALPPENFDFTLPNQTLGLNLNFQDFNNINTTLYHSSNSPPFYSTSASSPSSSSSPGLSVATDQEIPGSAAISQMEVEAPAVTDVTDSGITISGGGGLHAAMDDEEMAEIRSIGEQHQMEWNDTMNLVTSAWWFKFLKTMELGGPEGKPEDDNVWYHPFDEAMEFPAWLNANESCFQHHLNDYYSEDYFQDPALPCMDIGEIEGIDGEWLA >ONI27461 pep chromosome:Prunus_persica_NCBIv2:G1:6626369:6628141:1 gene:PRUPE_1G088400 transcript:ONI27461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRQRRQLNLRLPLPEPSECRPCFSVPLPPITAITNNSSFGTISAADLEKLQVLGHGNSGTVYKVNHKRTSTTYALKLVHGDSNDPTVRRQLFREMEILRLTDSPHIVRCHAIFEKPSGDIGILMEYMDSGTLETLLKAQGTFSEPNLAPVARQVLNGLNYLHTNKIIHRDIKPANVLVNSNMEVKIADFGVSKILCRTLDACNSYVGTCAYMSPERFDPDTYGGNYSGYAGDIWSLGLTLMELYMGHFPLLPPGQRPDWATLMCAICFGEPPSLPEGVSEEFRSFMECCLQKESEKRWTAAQLLTHPFISKDPSISVS >ONI28655 pep chromosome:Prunus_persica_NCBIv2:G1:12097153:12100741:-1 gene:PRUPE_1G153500 transcript:ONI28655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAPSSSLNPKPVSALSFSSPDVVDDSYEDSCSICLEPFNCDDPATITSCKHEYHLHCILEWSQRSKECPICWQLLVLKDPACQGLLDAVQNETRSRSRKISSTAPQTFQHSYEDFDVEHDSFSDDSDLDERIMQHLAAASSRARYIRRREKQRSSGLGPSHVFIFSPHENGSGMQQTYPTSPEECQSYGSPRGDSPTSQRPPVIQPPPSFICSTAANNDVPYKPRVLYGQSSPDGPRRQSPSEMINLPESIKSKLSAASARYKESISRSTRGFKEKLVARNNSVKELSKGVQREMSAGIAGVARMFERLDLTPKKPGAPTPVSGRNGGTSNFKGKGVLENVIVQSCNKSSGRIADESSDGPSHITVAAPGRVGVEVSSAQSEH >ONI29621 pep chromosome:Prunus_persica_NCBIv2:G1:20827360:20827596:1 gene:PRUPE_1G206100 transcript:ONI29621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGATASKIEGQVSVRDQFNRLENEVIELKNQMIDLLTRIATGEINIVSPSYNKYSYSNTKCLSHKFVIKLMSSLML >ONI32379 pep chromosome:Prunus_persica_NCBIv2:G1:33487794:33489045:-1 gene:PRUPE_1G364400 transcript:ONI32379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSISSSSFTCSSPLFSAAGFANDSLRSPPSSITYRPLRVSASCTSTVDRPRTRTGSSSSLYEVLGIHTSATCQEIKSAYRKLARVVHPDVATDGQTSADEFMKVHEAYSTLSDAQKRADYDRMMLISRPRSSYSYATTMSASAAGRRWETDQCW >ONI33701 pep chromosome:Prunus_persica_NCBIv2:G1:37500456:37501664:1 gene:PRUPE_1G441700 transcript:ONI33701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMNRCSSSTSSSDTSSSESSLPRNPNKPERIKGPWSAEEDRVLTRLVERYGPRNWSLISRYIKGRSGKSCRLRWCNQLSPNVEHRPFSQAEDETILAAHARFGNRWATIARLLPGRTDNAVKNHWNSTLKRRVRGEHHHHHQIDGVNFVGGGGNVANNEGMSTSSVSGSLVNGSVEFDPLTELTLAPPGISGAAMVSVQQRSESLPSGFWDTMRDVIAREVRDYVATTFSEPSGLR >ONI36252 pep chromosome:Prunus_persica_NCBIv2:G1:47059631:47060827:1 gene:PRUPE_1G578200 transcript:ONI36252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEIQPQPFPGNENEGNDHLNSNHFQQSPVVSPVDHDSNVPYDISVDRILLCRTSPMNVLQHATYNSENSMKNVLVFVRIDNALVFDRGHVLIAEQE >ONI29413 pep chromosome:Prunus_persica_NCBIv2:G1:18871968:18877141:-1 gene:PRUPE_1G197300 transcript:ONI29413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQIGRQIGNCMGECIDVTQRQEGECMGRFLRVCVKMDITKPLKWGTKISLPSGQTEWVDFRYERLPNFCYNCGQVGRIMGDCMFVDDVLKSAPYGSFLRVVHDFVKPWSTSPKRASNWGVGFGGGNPKISLQNDTQGGGSIKDGGAHDYSSGKGAKMTKSVTAKVSKHKEDEELQRRKRLEAWETARDGGRRSDDRYHGGHKPISPQNTRADMLGMNMAFVVKRVGMGGGLMMLCKYGWSKNLLSSSVGHIDVIVHSPNGDAFHVTGFYGHPEVHNRKHSGELLRRLHHGVDGPWLVLGDFNEVLSAEEQRGCRERLIGQITQFKNSIRDCSLISLPFHGYPFTWDGNESITIKVRSDRGLANADLLNRFPDLLIQHLDIVGSDHKPILLNNRQHFGNIQKNLRIAHVELRKLQNGRYEQVWVSRRREVERKINNVIEVEETMWQQRSRVTWLKKGNQNTRFFHEKAESRGRNNTIRGLFDDSNVWQSDTDNIGSMFCNYFNGLFTQTGGQDMEWVLAAITPYVTMNMNEILIRPFNRLELEQALGQMYPTKAPRINGMPALFYQRYWHIVGDSVSDACLRILNGEGSVRDFNHTLIALIPKIAQPTRVTDFRPISLCTVLYKLIAKSFANRLEMVLPEVISESHSTFVPGRHIIDNVMFTFEVVHHMKGPRSGNGVKMALKLDMAKAYNRVEWCFLETMMQRLAEQLGHIRGLKVVAEASPVSHLFFANDSLIFLEAKREVFEERYLGLPTVVGRNQRSLFLTVQERVKRKVQGWKEIYLSNAGKEILNKSVAQAVPTYSMSCFRMPVVKELHSILAKFWWGKSNGEKGIHWRRWEFLCRSKKFGRLGFRIIEDFNQALFAKQVWRVFMTPNSLTSSIFRARYFPGGSVLDGNVRGREFLLAGLRWRVGNGLLISIYDDKWVPLPHLFRITTPPRFPLNTRVCDLINDSRHWDPDAMLSIPMGYVLQNEDRLVWHYEKIDHKDGEVGASSNNLDNIWLRLWRMQVATKVKVFLWRCMHEILPCRANLSLKRVISNPICFWCHQASEIVLHALWDCPAAREVWQFSALQDSCTSEYHGCFLNLFRYVFTSQMHKQQYRWLSNVM >ONI28176 pep chromosome:Prunus_persica_NCBIv2:G1:10057308:10058484:1 gene:PRUPE_1G129000 transcript:ONI28176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTSITQEFLCPIAPARMFKALIIDSKNLIPRLLPQFIASVDVTQGDGGAGSIEQVNFTEASHFRYVKHRIDELDQDNFVCKYTMIEGDALGDKLDSIAYEVKFEAASDGSSICKMTSKYNTIGEFEVKEEEIISGKKSAMGIYKVVEAYLLENPHVYS >ONI33507 pep chromosome:Prunus_persica_NCBIv2:G1:36839635:36842568:1 gene:PRUPE_1G429300 transcript:ONI33507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRASSGDDSMAATSADVKMKKMKVEGEEEEVEVDQIDQVVEGAGFVNLDDNLIFEVFKHVDARTLGMASCVNKQWHKTAQDERLWELICTRHWANIGCGNQQLRSVVLALGGFRRLHSHFIWPLSKPSSSSSSSSSSSASPWASPLKPMLGSKPSARWGKDEVNLSLSLLSIRFYEKMNYANRGR >ONI31016 pep chromosome:Prunus_persica_NCBIv2:G1:28771702:28772634:-1 gene:PRUPE_1G287900 transcript:ONI31016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIDEDNVWDEYVKSHEDARTFRFKVIANWDDIVDLCGKDRATGEGAETCAEAAEIMTPESDPNNFIDLESDTQGFESCQVDDVSPNSSCPKRRDQKPSDISPPKKRGTTNVLADSVAKMTSSLEHFINSTTQKLDPIEVYNEVIAIPDLSPDEQLKACSWFIENKKQFLMLKTIPTERKKGMVFMFISPKA >ONI34720 pep chromosome:Prunus_persica_NCBIv2:G1:41092607:41097637:1 gene:PRUPE_1G494700 transcript:ONI34720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIFLKSVKKNGRISPKSLANLRPLSLLFFPHFPEKIGSKGFIFARRKEPTFILMASDFAFKVPAELESALRLNTVQYYITKRPWLDLYGINVRPVAPVGSTSRKVHVDPALLHRTLPDELLYEVFARMSPYDLGRAACVCRKWRYTIRNPVFWRIACLKAWQLSGVVENYKILQAKYDTSWRKMWLLRPRIRTDGLYVSRNTYIRTGIAEWKITNPVHLVCYYRYMRFFPSGRFLYKNSSQKLKDVAKFMNFRSAKADSVFSGHYTFSDDKVEAAVLYPGMRPTVLRIRLRLRGTTAGANNRMDLLSLVTSGVNDNEVCGPDEDILGVVEGWQDDETHNPDVPAVSHKRGLTPFVFVPFEEVETSFLNLPVEKMDYFVPG >ONI34004 pep chromosome:Prunus_persica_NCBIv2:G1:38384734:38388335:-1 gene:PRUPE_1G458300 transcript:ONI34004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHQQGVYKTTDFSINLVFLVNHMLNNLESDYVHVDHQWNSSVAVPFSLPPTSFTPNYHRPFFLQCEKQKEEQKHREPRLGTTTTLLASFTNFPEKVLEEKVFVDDFPYFSIVYVERFSWLLCSYQTHVQIKREYFEEKTERCCNKCHICFFGRSFYEMLVGENISFGHDCDMKPNPSQEGEWGERVLRRGGPHVYRCYDFFPSIFFFPPGIPLVEESKMRMIEMKGVQGEENY >ONI32458 pep chromosome:Prunus_persica_NCBIv2:G1:33736783:33740651:-1 gene:PRUPE_1G369300 transcript:ONI32458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDETGSVKQRPNSTFGSSSFSVPKPNSHLEIPNFNSAQMGLPMRQSSPSLSPENSKRPGIPPSHPNNHNSMPFSHIMRPQSGSWQLGPQNLSSVPSHTRSLSQPSFFSLNSLPPLSPLPYREPSSSSLSDPNSVDVSMDETVVNSHAPSLRSPVTTDSNAFWAGDGLPPRRGHRRSNSDVPLGFSAVIQSSPQLIPIGRRTSLDGSASGRENLGIGKPVQLVKRGSNGDRDGNKNAEGMDERKSGGEVVDDLFNAYMNLDNIEKMNSSGNDDKDLDSRASGSKTNGGESSDNEVESGLNGNRYNGQRPASGFPSEKREGVKRTASGDVVPNVRHCRSISMDSYMENLNFDDEPLKPLPAGQQSPSASLDGNSGKFSMEFGSGEFNAIELRKIMESEKLAEIAQSDPKRAKRILANRQSAARSKERKMRYIVELEHKVQTLQTEATTLSAQFTKLQRDSVGLTSENNELKFRLQALEQQAQLKDALNEALTGEVQRLKLAAAEIGGEGHLSINQQMLQLQHLNLYQMQQQPQHQSQQHTQQQFMQPQQNRQPSHQQNGNGNAAAAKHESSE >ONI32459 pep chromosome:Prunus_persica_NCBIv2:G1:33737091:33740250:-1 gene:PRUPE_1G369300 transcript:ONI32459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDETGSVKQRPNSTFGSSSFSVPKPNSHLEIPNFNSAQMGLPMRQSSPSLSPENSKRPGIPPSHPNNHNSMPFSHIMRPQSGSWQLGPQNLSSVPSHTRSLSQPSFFSLNSLPPLSPLPYREPSSSSLSDPNSVDVSMDETVVNSHAPSLRSPVTTDSNAFWAGDGLPPRRGHRRSNSDVPLGFSAVIQSSPQLIPIGRRTSLDGSASGRENLGIGKPVQLVKRGSNGDRDGNKNAEGMDERKSGGEVVDDLFNAYMNLDNIEKMNSSGNDDKDLDSRASGSKTNGGESSDNEVESGLNGNRYNGQRPASGFPSEKREGVKRTASGDVVPNVRHCRSISMDSYMENLNFDDEPLKPLPAGQQSPSASLDGNSGKFSMEFGSGEFNAIELRKIMESEKLAEIAQSDPKRAKRILANRQSAARSKERKMRYIVELEHKVQTLQTEATTLSAQFTKLQRDSVGLTSENNELKFRLQALEQQAQLKDALNEALTGEVQRLKLAAAEIGGEGHLSINQQMLQLQHLNLYQMQQQPQHQSQQHTQQQFMQPQQNRQPSHQQNGNGNAAAAKHESSE >ONI28637 pep chromosome:Prunus_persica_NCBIv2:G1:12047283:12048451:-1 gene:PRUPE_1G152600 transcript:ONI28637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEEWERLRKEFLEPLTEYSRQRMRLYLNQMPCVIKKYLEEVKAGGSIIKSDTLLPHYIIRYVKDKDVGEAAEIQWKEMMENIYQGEENKFKNCLAVCNITYAMSAASITELAASLGILVSELSEEPAWKGKVITLGPLRDKLPLLHSIQGSDLKSRYDFVIRACSNSYSQGVDFDQVCDLILEVAVNNNLKAEQMIKKVFLFTDSVRFGGCSTSWKTLYEAKRSKFKEQGYGDDAMPHILFWNIWDIAGFMHRVEEPHPGVTLLRGNSNTLIKSFLDNGGEISRHQIMEAAIANKEYQTLSVVD >ONI30172 pep chromosome:Prunus_persica_NCBIv2:G1:24867898:24869129:-1 gene:PRUPE_1G234700 transcript:ONI30172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TYDCNNLEKEHSILVTQLNKGKKDVYDCVIKTVEEKISGLFFVHGQVVASSGIASLLLPGGRTAHSRFKIPIIVNNCSTCQIKKGTHLAKLIEKAALIVWGEAPMNHKHCFEALDKSLSDILSHINPLDNNVPFHGKPLLLGGDFRQILLVIPGGTREEIIDASLNTLKIGMPIMLLRNLNQSSGLCNGTRLVITQLCDKVIEAKILAGSNIGHKVFIPRISLTATKNK >ONI27650 pep chromosome:Prunus_persica_NCBIv2:G1:7822184:7827192:-1 gene:PRUPE_1G098000 transcript:ONI27650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLTAWPWEFLGSYKYLLFGPLIGKALYSIIHEDPLKVLMNSWCLHILILCALRGTVHQLWSSYTNMLFLTRTRRILQQGVDFKQIDKEWDWDNFIILQGLIASTACYLLPFLQNLPLWNTIGFISTLVLHVGVSEPLYYWMHRRFHGNYFFENYHSLHHSSPIPQPFTAGSATLLEHLVLGAIVGIPVLGTTLMGCGSIFAIYGYVLVFDFLRCLGHSNVEVISHKLFEKAPFLRYLLYTPTYHSLHHTEMDTNFCLFMPLFDALGKTLNSKSWGLHKEMSLNAGKNGRAPDFVFLAHVVDLSSSLHVPFVFRSFSSLPFTMRFFLVGFWPFGIAFMLAMWAWAKTFLITFYNLRGRLHQTWAVPRYGFQYFLPFALDGINKQIEHAILRADKMGVKVISLAALNKNEGLNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKDVEEVFLSGATSKLGRAIALYLCRRKVRVLMLTMSTERFQKIQKEAPADCQHYLVQVTKYQAARNCKAWIVGKWITPREQRWAPSGAHFHQFVVPPILHFRRDCTYGDLAAMRLPDDVQGLGCCEYTMDRGVVHACHAGGVVHLLEGWTHHEVGALDVDRIDVVWKAALKHGLKPVSSSKS >ONI32847 pep chromosome:Prunus_persica_NCBIv2:G1:34793951:34796289:1 gene:PRUPE_1G389700 transcript:ONI32847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFPTFGVSREQYQDSYPSRLQPNNPHHSPSHISPPHSPTSRSLRFNHESSNGNAFALHHKSFTERAESSSQVTVNAHPLPLPPGAVEPPQSSIMHHNAELVSTSSMKPQWQKGKLIGRGTFGRVYHATNRDTGALCAMKEVDLIPDDPKFAECIKQLEQEIEVLRTLNHPNIVQYYGSEVIDDHFYMYLEYVYPGSINKYVQDHIIGAMTESVVRNFTRHILYGLAFLHSKKIVHRDIKGANLCVDTSGVVKIAGFGMAKRLNGQSNNLSLKGSPYWMAPEVVIQNNPDLGLAVDIWSLGCTIIEMLNGKPPWSDFTGPQAMFKVLEKIPDIPETLSAEGKDFLSRCFRRNPAERPSANQLLEHPFVLNSHDQTISHYAHNFSLMNL >ONI33958 pep chromosome:Prunus_persica_NCBIv2:G1:38253414:38255322:-1 gene:PRUPE_1G455800 transcript:ONI33958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMMTFAPCKLSSLRILPPLPSTTRRLVKPAHCVPIQQQRQEPEGDVAVLCEACNGRGWLLCEFCKGQKTNVKSETNRIYRRCPACRAIGQVLCSKCKVFKCVTFPNYNDGEQMSF >ONI27126 pep chromosome:Prunus_persica_NCBIv2:G1:4920154:4920843:1 gene:PRUPE_1G069100 transcript:ONI27126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKDLRNSYLIGKEVPNLEHKKRKSENVKHMKHNETVKLNKRDITKHQNTSRGELNQPTNNQYIQTTSITLIQNQIQLQHP >ONI35039 pep chromosome:Prunus_persica_NCBIv2:G1:42115179:42116388:1 gene:PRUPE_1G511700 transcript:ONI35039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNLKCLGELSSVADYVPVVLALYQPMTEICDCNKDEKRKSFFPKYKDQETIVQVMQVQSIFLIMKDQIGRLNNISHCNCLAKLPQELQEQLKTLFS >ONI32281 pep chromosome:Prunus_persica_NCBIv2:G1:33144109:33145942:-1 gene:PRUPE_1G358200 transcript:ONI32281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISSPPPVTATPRLSLTDQQHLIDKLEVFKIQGRDKHGQKVLRIVGKFFPARSVSNEAVNNYLEEKIFPNLGERPFSVVYLHTDVNRSGNFPGISTLRSIYDAIPITLKDRLQAVYFVHPGLQARLFLATFGRLLFKSGLCKKLKYVKRLEFLWDQVRRNEVEVPEFVYDHDEELEYRPMMEYGLESDHPRPRVYVAPCTSSTSMMDSPFVSMYSMRCIA >ONI29783 pep chromosome:Prunus_persica_NCBIv2:G1:22761101:22763241:-1 gene:PRUPE_1G214500 transcript:ONI29783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAGRRLSSSAVAWRSSQTTPAFVSGSHPLFNNNDDSSPDGPSRSPNQFFFHSHFPSLIRGFSSEALAPGHDIGLISEIPATVAAVKNPSSKIVYDEYNHERYPPGDPSKRAFAYFILTGGRFVYASLIRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVQSLRDPQQDAERVKNPEWLVVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLSENKLLVG >ONI34446 pep chromosome:Prunus_persica_NCBIv2:G1:40258941:40261816:1 gene:PRUPE_1G482600 transcript:ONI34446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYALVARGSVVLAEFSATSTNASTISRQILEKIPGNSDTHVSYSQDRYIFHVKRTDGLTVLCMADETAARRMPFAFLEDIHQRFVRTYGRAVHSAQAYGMNDEFSRVLSQQMEYYSNDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQARRFRSTVWWRNVKLTVALIFLVLVIIYVVLAFVCHGLALPSCLK >ONI33975 pep chromosome:Prunus_persica_NCBIv2:G1:38286640:38288582:1 gene:PRUPE_1G456700 transcript:ONI33975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRINKAYDLNHWLLVLFFLAQLCIFVSSSDPSEIQTLLKFKSFLSNDTALDNWNNSTNPCNGTTNIWAGLYCDKNGNVYGLKLQNMGLMGIIDIDTLSGLSALRSISIMNNNFEGPLPSANKIGALIGVYLSNNQFTGEIPEIAFEGMNQLKNIYLDGNEFSGEIPSSLAALPKLTVLDLQGNQFSGEIPNFPQDPNGWKHFNVSNNQLEGPIPASLSNLDASAFVGNKGLCGKPLTPCKSSMKTTKLKNSLDQFEHYAMTTLYLSEKQLLHIIMKTPNQDPRSTIQFRLLSACVGFGPTSVLFFLLLDIKTSVLIFNPQFCAND >ONI29887 pep chromosome:Prunus_persica_NCBIv2:G1:23431616:23434177:1 gene:PRUPE_1G219900 transcript:ONI29887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIWGLLHLVAAAALVLSCPLAPTSANLEGDALYALRRAVNDPKSVLQSWDPTLVDPCTWFHVTCDADNRVTRLDLGNANLSGSLVPELGKLERLQYLELYMNNLMGCIPKELGGLKSLVSLDLYHNNFSGAIPPSLSNLSNLKFLRLNGNRLTGRIPRELTKLQNLKILDVSNNDLCGTFPTSGPFSKFSEESFKNNPRLEGPELMGFVRYDTRGSCK >ONI28040 pep chromosome:Prunus_persica_NCBIv2:G1:9314174:9315654:1 gene:PRUPE_1G118800 transcript:ONI28040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKYHTQSPLIILFFFLFCFNFAQAVCKPRNLNLRYLTIPSTSPNSPSNSQPAMSPTKPQPGQFFSSWRPTGPPPSRTASAPNPSSQPPEKPPPAGNSISPSPSSTSFLRHPSDNPKDSPRSNLFTQSTYPKSASSFVPPNPAIQQICKNTDYPDMCVSSVAPFLPLVPKLDPVAVLEMTIKAATTHAKLSLAAASKLVLMNNIARGTAAALSDCKDMYGDALDGLQSAMDAIQSRDIGTINSMLSGVVTDAMTCGDGFEGENSPLGDYDDKLHKMASNCLAIASLIK >ONI31988 pep chromosome:Prunus_persica_NCBIv2:G1:32201955:32204054:-1 gene:PRUPE_1G343300 transcript:ONI31988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQDFWVAIIVIGFCFQAQVSSSQNLTCNPNDMKALEGFMKGLETVLERWGYNFSSDCCKWAGITCNSSSSLGLNDSSIDTYRVVKLELPTKRLMGNLSESLGMLDQLRTLNLSHNFLKHSLPISLFHLPNLELLDLGCNDFSGPIPADIDLPSIQSLDISQNFLNGSLPPSICDNSTQLRALNLAVNHFTGDLPPGLGNCSALEDLDLSTNALTCTGGLSQGLFRLQKLTRLSIQDNKFSGRLSKEIGNLINLVRLDISTNLFSGTIPDVFHSLGRLQYFVAHSNNFDGQMPPSLSASPTLSLLNVRNNSLQGSIDLNCSAMTSLATIDLGSNRFDGPIPSNLPSCRHLNNINLARNNFSGQIPESFKNFHSLSYLSVSNSSTSNISSALKILQHCQNLTTLVLTLNFRDEELPADPTLHFERLKVLIIANCRLTGSIPQWLSSSSRLQLLDLSWNRLEGTIPVWFGNFSNLFYLDLSNNSFSGEIPRSITGLRSLIDRRMSIEEPSPDFPIFMKRNVNAQGLQYNQARSFPPTLELSKNNLSGPIWPEFGKLRLLHVLDLKCNNLSGPIPSSLSGMISLETLDMSGNRLSGIIPPSLVNLSFLSKFNVADNELHGMIPGGGQFWTFSSSSFEGGNNSLCGGLILPCPPELPDDDPSLGPWSPRESNKYTGMIVGMAVGFVFGITCFIGIDRYVWTF >ONI29015 pep chromosome:Prunus_persica_NCBIv2:G1:14825373:14828490:-1 gene:PRUPE_1G175700 transcript:ONI29015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVRRIKLGSQGLEVSAQGLGCMGMSAFYGAPKPDADMISLIHHAIDSGVTFLDTSDIYGPFTNEILLGKVELATKFGISFADNKPEVRGDPAYVRAAIEGSLKRLGVDSVDLYYQHRIDTRVPIEVTVGELKKLVEEGKVKYIGLSEASASTIRRAHAVRPITAVQLEWSLWTRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGAKFFENLPNDDFRKYLPRFQAENLEHNKTIFEQVSDLAARKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNIGALSVKLTPEELAELESFASADAVKGGRYQNDFSTWKNSETPPVSSWKAT >ONI27907 pep chromosome:Prunus_persica_NCBIv2:G1:8832658:8835616:1 gene:PRUPE_1G110400 transcript:ONI27907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKSPFGSSFLISFFLSRTRRKGDMAGVPGANDVIGSSKNGISDHENSGSGHSKDVNSISSVSDTEGTEEVLEDDQQSDLRTLAELICFEEVPPKWYARLGEFSPLFKPSFSEIETECMEVFEKKKMETKKILGNFDGQISLSVEILRCESYFDPSCCGDYLCLSAHFIDENWELNNWVLHFCSISVSGPSDDSLHKYEDWGILRSLEDWGIENKISALTVKNDDCNNELVEFLKTHIQEKMELQLNSKLFCVYCCGEMISVMVQDAFDKIADIVHKVRQVYTFKTDYPMWFLKLLNLQEALKLWAQGNLSSRDVTHSRNVPSPEEWKKVEGVCKIVENIYKVTESEFFNEAPLTPNVHLCRLLKLRKILAKMSIDSDSFNRTVVEDMLKKFDKYWDDMFLLLAISAALDPRLKMRYIEFVCSEVKDWNGNSQVAVVFGAIHKLFDEYVVRFPLQYNQQFIHLNDHPKEKSDLDCYLEQPVLTPSQGFNELAWWKTASAKYPTLSRMARDFLAIPVSLATQSEAYYTVPKPTDEHIVCLKPDLMNALMCTRSWV >ONI35313 pep chromosome:Prunus_persica_NCBIv2:G1:43295622:43296116:-1 gene:PRUPE_1G529300 transcript:ONI35313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFRLINSCNGLLCFGANEGFPLFVCNPVLEEYITIPLANRNDKWLIVGLGFCIGTNVYKVFQLNNPDTEAEIYTIGAGGAWRSIGPPPPGDFNNLLFNNFLHGAVHWIPYGGRSTSSQVIQSFDFEREQFRPLSLPSLLAKNEFLYSLTLEVLGGNKIEFLF >ONI27972 pep chromosome:Prunus_persica_NCBIv2:G1:9074127:9074847:-1 gene:PRUPE_1G114500 transcript:ONI27972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSTSLLQLVCCTLLVFLLPVALCSRVVFPTDANLIDQTCKQTPNYALCVSSLKSDPRSSTADVSGLGVILVDIVKAKSTDTLNKINTLLKQRPGDQALKSCARFYDTVVEALVPEAQQGFSLGNPKFAEQGMNDAAGEAKTCEDGFSGKSPLTDNNKAVHDIAAVAAAIARTLL >ONI36064 pep chromosome:Prunus_persica_NCBIv2:G1:46290097:46298036:-1 gene:PRUPE_1G567400 transcript:ONI36064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAVFQTAQIMPINTQLPTKPKLMGPIQLRMSERRGLLLKANCAAPSTSGFVDGDSMALLERCFVGPSVAGGFGPVMKGQYGALGAVTLEKGKLDMSQKQSKSSPETAIGGGGGDIGKRINNGGGDGGDDDGDDDDYFDDFDDGDEGDEGGLFRRRMFLEELFDRKFVDAVLNEWQKTMMDLPAGFRQAYEMGLISSAQMVKFLATNARPTTTRFISRALPDGISRAFIGRMLADPAFLYRFLLEQAATIGSSVWWELKNRKGRIKQEWDLALINVLTVTACNAIVVWSLAPCRSYGNTFKFDLQNTLQKLPNNIFEKSYPLREFDLQKRVHSFFYKAAELCMVGLTAGAVQGSLSNFLAHKKEGRLSVTIPSVKANALGYGAFLGLYANLRYQLLCGFDRAVMNHFDVIGVALFLSTTLRLLNVQVGERSRLAWLGVEADPLVDSDDLLKVYSRPSDNLERPSSKWFISKNAIVSGLGLLGIKQGNADSVADGESSTPKTRRKRIVRKKVTASSA >ONI32347 pep chromosome:Prunus_persica_NCBIv2:G1:33344883:33347466:-1 gene:PRUPE_1G362600 transcript:ONI32347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKKEKSQKKSGKENHGEWLCCDLAETLAIQTTRTLKDDNFGINQYKVKEEALLSSTCPLKIELPAPESTLGWPLQRLTVPSMSQEAPPPERELCDLKGRDVDESPLSRPGWPLLRIGAISEAAMSPIREFKARDKKSVAQWVMDLPSRSFSVNQQPQVDLILRDVEYTTERESRCYDATNGDENCLSVSSRKLASELEFLIEKNSVGCRLFSYEEIRSATCQFSTGNLIGEGGCSSVYRACLGDGKPVAVKILKSYKEAWDDFFLEAKFVSSIQHKHITSLIGVCAEGGNLILVYDLFPRGSLEGNLHGCSDGSIVLPWEVRFNVAVAVAEALNYLHNECPPPIIHRDVKSSNILLSDELLPQLSDFGLAMWGSTDSAYVISSDVVGTFGYIAPEYFMHGMVSDKIDVYAFGVVLLELLSGRKPVDAEAPKGQESLVKWARHLLDSMDLKALLDPNLNGDYNDIQMRRMVLAAGLCINQSPRLRPKVSQILQLLTGETDADKYFDSHAMESREDDDDDLFLEIDCKSHSVSAMSDTNDGSRSSSSTDTASSVEKIRRYKLKDYLTIRDHYSLSEIFLLS >ONI31722 pep chromosome:Prunus_persica_NCBIv2:G1:31069163:31069830:1 gene:PRUPE_1G326500 transcript:ONI31722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSGSMWITYITLCFPNFMSWIPTYKRTSTTGSLKFCLWHWQLAHSPYFLLPM >ONI31407 pep chromosome:Prunus_persica_NCBIv2:G1:30227331:30228427:-1 gene:PRUPE_1G311100 transcript:ONI31407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAGGWRLLHSSLVVILVISVLQIWVCCDCKAGAIRVFPGHTNALSKGEAESSNTMQSKSNRSREALFHKYFGGSVRASKFNKTEQGYEDSKRRVPSCPDQLHNYVQREVEKFGFAVTSLYFVLPAIARISVSTTFQDPLFRFLAFAFGIRRSNII >ONI27843 pep chromosome:Prunus_persica_NCBIv2:G1:8617463:8621760:1 gene:PRUPE_1G107500 transcript:ONI27843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLIFDQDGLSRSLSNSPPTHYTLTIESFSMLTENSVDTYESGEFDAGGYKWKLVVYPNGNTKKNVEDHISVYLKMAEANSLQSGWEVSVDFRLFLLDQNKGIYLVLQDANMNKMCLHGAMLQVGFDRVIPLKAFSVASNGYLIDDTCVFGAEVFVCKERRAGKAECLSRIKKAFMNKHCWKIESFSTFKSQRLQSELFTAGGQKWKIELYPKGDDHGENTHVSVYLRLANPEKLSPVSQLLIEYTLRIVNQLDGKDKSRKSNHTWFSASSPSWGWPCFIKWDSFKMLDNGYLVKNTCLVEAEVTVHGIAKALEPTDD >ONI33627 pep chromosome:Prunus_persica_NCBIv2:G1:37228911:37229710:1 gene:PRUPE_1G436600 transcript:ONI33627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDLLVPGFINITEQKGRVQIFWSEEQLLVFFKGYDKDGDGRLTKDEAKAAFDKLGSRFSTFRTWRARCHADTNSKDRSILNVNDLVKYALKRGYKL >ONI34224 pep chromosome:Prunus_persica_NCBIv2:G1:39088560:39091499:1 gene:PRUPE_1G469100 transcript:ONI34224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSTFRLLILLALQIIITINTDFTHAQIQPPKIPTAVCDNNKGNYTTNSTYLKNLNDLLSSLLFNRNGYGFYNSSRGQNADRVYAMGLCRGDIKSDGCGKCLSDATYVLTESCPNQKEAIGWYDNCMLRYSNRSLYGLMETIPAYYMWNVQNVSSTILDAFNQKLAALLNGLTSEAAGVDDLKFAVGNASVGASSNVTIYGLAQCTPDLSEVNCTHCLDDALAAIPTCCSGKVGGRVVRPSCNITYESYSFFDDTTETPSPSPPSSAPPPSPGTDTIPRGKKSNTSRTVIITVVTIVVFLLLFISICICLRWKKRNEKLEEGDEIGTEALQFDFNSIKIATNNFTEANKLGRGGFGAVYRGRLWNEEDIAVKRLSRDSAQGDIEFKNEVALVAKLQHRNLVRLLGFCLEGNERLLVYEFVPNASLDKFIFDPLKRTFGLGLLYLHEDSRLRIIHRDMKASNVLLDAEMHPKIADFGMARLLDLDQTQGETSRVVGTYGYMAPEYVMRGQFSVKSDVYSFGVLVLEIISGQKNSSFRHGGHVEDLLSYAWKSWKEGTALNLVDPMLKNGSRPEIIRCIHIGLLCVQQTIADRPTMAEVILMLTSSSVDNLPVPSQPAFFMDGGGIGSSSDMSLGWENSSGVTGSDPSRSGSAQKSPHEVSISIPIYLLSANGS >ONI32325 pep chromosome:Prunus_persica_NCBIv2:G1:33279394:33279905:1 gene:PRUPE_1G361200 transcript:ONI32325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNPHGKLVGQPTTDPLINIWILNQLANSLKYIGAQNAKG >ONI30864 pep chromosome:Prunus_persica_NCBIv2:G1:28256594:28258156:-1 gene:PRUPE_1G278000 transcript:ONI30864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLRSKATELLLREEWKEAVKAYSHFISLCQDQVSKTPEDPEHLLKLYKSLCLALSNRAEARSRLRDFAEALRDCDQALKIESTHFKTLLCKGKILLNLSRYSMALECFKTAQLDPQANGSSVSLNGYLQKCKKLELMSRTGAFDLSEWVVNGFRGKPLEPAEYIGAVQIKKSEIRGRGLFATKNIDAGTLVLVTKAVATERGILPDQNLDENAQLVMWKNFTEKVMDSAAKCSRTRDLISTLSSGEDEDELVVPEINMFKPESEHIGGYPNEKLDVNRILSILDVNSLVEDAISSKVLGKNSDYYGVGLWVLAAFINHSCVPNARRLHVGDYLIVHASRDIKAGEEITFAYFDVLSPLDKRNEMCKTWGFRCDCKRCKFEEDLYSRQDIREIEMGLERGIDAGAAVYRLEEGMRRWTVREREKGYLRASFWDACSQAYSPEKSAKGWGRRIPPMDSVVDSIAEAVGSDERVLKMVVEKLKKGSGGVVEMERALKLGRGVYGKVVKKQAMKTLLGLGVL >ONI29343 pep chromosome:Prunus_persica_NCBIv2:G1:18279870:18280624:1 gene:PRUPE_1G194000 transcript:ONI29343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAKHLLSKKREEKVWPILLIVGIFACMFILWYLMALQHRLLDIRYPDFRLDSVVVSPCPLTPSLAVAASAGGSSNNSNWFLTATWDLSLVFINPNHILGFSYNNFRAGLLYGDEEKKDKLILAMTPLPLPPLNKMSQTTINFSLAMVRSYVGEDMANELLMGGGSGGCYGAARLGVKLLKRVVS >ONI30392 pep chromosome:Prunus_persica_NCBIv2:G1:26113373:26114658:1 gene:PRUPE_1G248700 transcript:ONI30392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVKEEEKVCREVEKIVKSAGDTGRGDREEGFFFWLWVFRFFINVFYFILFILFVMESTSGKKVSLTNTTSAFNRLTNGLANGWRNL >ONI34901 pep chromosome:Prunus_persica_NCBIv2:G1:41714589:41716172:1 gene:PRUPE_1G504700 transcript:ONI34901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNPYAPLYQTRQNYYYYTYNPVWLVPSVHFEPLPVSSLVPCEPVPISSLVPCEPQPAGPSSSTNLHFPRSSGPALRGKRLQHNKYKGKNGRACGPRKKLDLDSGRGKCVPKNKQKLQAEEKNMEASSSEHKNGGPVIPFPPTFDQSTTTVMVKNIPYQFGRNTLLEILSWHCFEVNRGLHSDPNKSKFDFVYLPIDFEKIWKKKTISNLGYAFVNFTTPAAAHRFWVHFQNRELRENNSKKTCDVKSAKFQGKAALLEKFKNKMFWCESAECLPVAVEPACDGSNWYQ >ONI33796 pep chromosome:Prunus_persica_NCBIv2:G1:37748215:37748820:-1 gene:PRUPE_1G446200 transcript:ONI33796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPATPIIAKKLSNMVRLILFALQKGVSKKKLMMMKEHGKILGKSFNDFMVRHHTALSCKSHDVHVSFVYPLEYEFSCKSSIPPYRSSYTPPCHDSGHNKGRYVRQRSRRSVYVPMMCENVLATVNTGRKVSFRGGDVVEPLPMVRRVRITEWEPLFPLNIDEEEEEEEDYHVDKAAQEFIDKFYRELMLQKWMAVDSSH >ONI27243 pep chromosome:Prunus_persica_NCBIv2:G1:5421124:5425350:1 gene:PRUPE_1G075800 transcript:ONI27243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFQSFRKAYGALKDSTKVGLIKVNSEFKDLDIATVKATSHVECPPKERHVRKIFSATSVTRPRADVAYCIHALAKRLSKTRSWIVAIKTLIVVHRTLREGDPTFREELLNYSQRGHILQISNFKDDSSPLAWDCSAWVRTYALFLEERLECFRVLKYDIESERLTKTSPGSTKVHSRTRTLAADELLEQLPALQQLLYRLMGCQPEGTAFNNYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMSRHDAVKALNVYKRAGQQAEALADFYEYCKGLDLARTFQFPTLRQPPPSFLATMEEYIKEAPQSGSVNKRLEYQETDQQPQKPEEPPPPPEPEQQEEEVEEKTEEEEERQPKEEVVEPPPLISTDDTDLLGLREINPKAAEIEESNALALAIVPQGNEQQSGASFNDLAGTSGWELALVTTPSNHTSQVPMDKKFAGGFDKLLLDSLYEDEGARRQIQLQNAGYGYGATSLHNPFEQQAQHPVQDPFAMSNNIAPPTNVQMALMAQQQHQHQQILQQQNQQYQQQQNMMMVPHPYYSQYPQQMQPPGSSNPFGDPFSFPPSSTPHQGNQHLI >ONI27393 pep chromosome:Prunus_persica_NCBIv2:G1:6221868:6223047:1 gene:PRUPE_1G083600 transcript:ONI27393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEVNCIEQLQVSKNVFKSLCTILQGSGGLTQTRNVSIKESVAIFLNILLHNLKFRVIGFVYYYSEETNSPQFNNKYYLVDAGYANGQGFLAPYIGTRYHLNEWTRNNRPREIINACFVLHNFIPLKQHNDPVLQDHDWGITSVQVTDHDQWTTFRGMLALQMFHDYQA >ONI26651 pep chromosome:Prunus_persica_NCBIv2:G1:2592603:2595298:1 gene:PRUPE_1G037300 transcript:ONI26651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEACSNFGGVYRKFKPHLLMVLAQVASTFLYFLTEASFNHGMNPHVYITYGHIVSGLVMFPFAYVLERKQRPKLTIALFLELFVLSLLGVGLTLNMLEVLDLRSPRGLEKVLGTLVSLAGVITMTLYKGLKFRYIPEFEKRTKEKLINNDDYLPENQSLRERRSHEAFFVGSILTVACCITWSIWYIMQAITLERYPAQLSLTTWMGFIGAAQPAVFTVCIEHRRAAWAIGFNIDLWSYYILIVGAFSVILGLYLLLWGKESDEVYINSEEHKDKNIQKITSAKTDVLHDEP >ONI30716 pep chromosome:Prunus_persica_NCBIv2:G1:27612739:27614687:1 gene:PRUPE_1G268800 transcript:ONI30716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLTSVKSELSQGRRPLCIIEVDALVNKCVKRRRRDSSAVVAPAGCSDQQQGDQRPQQQAQQAGQSTVATTTTVKRSSRFRGVSRHRWTGRYEAHLWDKGSWNPTQRKKGKQGAYDEEESAARAYDLAALKYWGTSTFTNFPVPDYENEIEIMNTLSKEEYLASLRRRSSGFSRGVSKYRGVARLNCCGNCRHHHNGRWEARIGRVFGNKYLYLGTYGTQEEAAHAYDIAAIEYRGINAVTNFDLSTYIRWLRPGANSLGSQEPKLNTESLPMPASSNSIPSKEGKQMSMFQPNSFPVDDIDTSRNRDIFQRQQKQPMPISPCTKSSSSPTALGLLLQSSIFKELVEKNLNGHNEESEKNDIRNLLPVQTNSDNLKRILYNGMHNNPFTCPSNTSVLPALESQEESILPLCNSRGQSIWNGAINMFSSPDFN >ONI30336 pep chromosome:Prunus_persica_NCBIv2:G1:25875174:25876398:-1 gene:PRUPE_1G245200 transcript:ONI30336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKLFQLHKMAEKATKLKQRKRKEKQGNEHEGDEKCYLRWNIQMDRSLAEILREERQMGHKGDGGWKSVAYNTAAAILSAQYNIEVSADNIKNRVKTWKRFYAVVSDILSQSGFSWDATKKMITIDEENVWNEYVKSHEDARTFRYKVIANWDDIVDLCGKDRATGEGAETCFEAAEVMTPDSEPNNFVDLGADTQGFENSHIDDVSPNSSCPKKRNQPSSEIRPPKKRGTPNVLADSVAKMASSFEQFINATTQKLDPLEVYNEVNAIPELNPDEQLKACTWFIENEKQFLMLKTLPVERKKGMVLMFISPRA >ONI33085 pep chromosome:Prunus_persica_NCBIv2:G1:35610506:35613118:1 gene:PRUPE_1G404600 transcript:ONI33085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASGHIASSLGSRSVRLRSMDQGQGLVDRISELGDGILGGIVSRLPLKQAVATCVLSKRWRYVWSHTRTLNFHDDYRFPEEPLEAEKRKENYVKFVDDVLKHHTGGHLDYFLALFDVEKDKSTNTTVSKWLEFAEKAKAQTVTLLMLVEGAYSGSMDLAYESAHTFMGNYEMMECNGLTDIAHPCKYMGFQFLKSIHLTAIATMGEALNCLLVNCGGFRDDKLRVVGPSKQLMHLEIVNCSAQALEINHPNLVSFSYDGDYKTFFLSNAPLLAEVSLKYTSDVSHFVVFSNLYSCHPSMEVLKLNSTLFYGNHGNGITKLANLKHLEVKIAADEDWCVLLLASCIEACPKLQKLVLELTGILRPQPEIEIKEAPKNFHGSLKVVEVKNYRGRPGDLKLVMYLIDNAVGLEKIVNHPLGQINGEEEMEEVFFMYRAREEMARKLPKHIELDGRNNFRFDRPVYV >ONI29010 pep chromosome:Prunus_persica_NCBIv2:G1:14743074:14755973:-1 gene:PRUPE_1G175200 transcript:ONI29010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLHSLHTSASSQLRRHKQFRFLHHQHQCLGSLAVPPFFGHKRNFRPLCHQSNARLIVCSAGVSSAQSIEEEKESKMKSKSKSGNEKVRLNVRLDHQVEFGESVVILGSIKELGSWKKKVPMNWTESGWVCSLEFKGGESVEYKFLTVRADKTVLWEGGDNRVLKLPKGGNFGIVSHWNATGEAVDLLPLEKEEDVGNNGSTIVDTVSTPEVGTSPFVGQWKGNAISFMRSNEHGNREAGRILDTSGLQGLALKLVEGDRNARNWWRKLEVVRDLLVGSSQSEDRLDALINSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRVIFRELERISCRKDTSPQEVLVVRKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITKNPGEYNEAFVEQFKIFHHELKDFFNAGSLAEQLESIKDSIDDKGQSALALFLECKKSLDTLEVSNKGLGKNGTDLLFKTMKSLSDLREIIAKGLESGLRNDAPDTAVAMRQKWRLCEIGLEDYSFILLSRFLNELDALGGAHWLAENVKSKDVSPWNDPLGALIVGIHQLRLSGWKPEECAAIENELLAWKARGLSEREGSEDGKIIWGLRHKATLDRARRLTEEYSEALLQIFPQNVQILGKAFGIPENSVRTYAEAEIRAGVIFQVSKLCTLLLKAVRTIIGSQGWDVIVPGAALGTLVQVERIVPGSIPSTVEGPIVLMVNRADGDEEVTAAGSNIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDDKVSDIQKHKGKYVRLEASPTSVDIYPSSENSNGSFAVKNLSGDAATKIEALGTHDPSQSPTKAPYFQKGVSGGILLLADAEAETSGAKAAACGRLASLAAVSDKVYSDQGVPASFNVPVGAVIPFGSMELALEQSKSTDLFLSFLDKIETLKPECGELDQLCSQLQELVSSLQPPKDIINGIGRIFPGNARLIVRSSANVEDLAGMSAAGLYDSIPNVSVSNPTVFANAISRVWASLYTRRAVLSRRSAGVPQKEATMAILVQEMLSPDLSFVLHTVSPTDQDHNSVEAEIASGLGETLASGTRGTPWRLSSGKFDGNVRTLAFANFSEELLGTGPADGEVIHLTVDYSKKPLTVDPIFRQQLGQRLSTVGFFLEQKFGCPQDIEGCVVGKDIYIVQTRPQPL >ONI36262 pep chromosome:Prunus_persica_NCBIv2:G1:47099985:47108488:1 gene:PRUPE_1G578900 transcript:ONI36262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRKNKKGKPKGSVAGGNEALSSGVIVNLEDDANLDEDGNYENRSESAMEVDTPSSTGTDQHCNLANINPDGSIDRGVGKSMGRVKVKLRTSRTMESQPTSSDAYTQSDTDKSSQQIGLGKQGVVSEKMEDSANSLAEVKIVASGNVSKKVGSIKIKSSKALGSSVNHGIDPVPARSESPYEREPKMSDQDSRYNKEELDTALMIIKKVMKMDAAEPFNVPVNPEALGIPDYFDVIDTPMDFGTICSNLEQGVKYLSSEDVFKDVQYIWDNCYKYNNKGDYILDLMRRVKKNFTKYWTAAGLYSGQPRGTSGVESTQDTVEPSDQGKVHLKGSQLKQKTRKGHGRRHKSDCLCAICVLKRRRREREENARIAKSQHGASDNNLTHELKQEEASLGESPSGENSSSDMDGSLDPNPDAEVEDKGEEVKMGVSKQQYGPSGEKHEEEDDGDEGDEEEDSDTEMKDEGNSEALEKFDKSREEPNRQSQPITAEKVAAGDQTNRNEENVVIQHEEETVADKPKSQESQERHKKVKAYEHLQFENPMLLDLCGTLFPNNSKSIWSGPHSLVQHRSSHTSSIHAAINMLMK >ONI29838 pep chromosome:Prunus_persica_NCBIv2:G1:22997381:23000585:1 gene:PRUPE_1G217000 transcript:ONI29838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEAGVSVSPQSYKCLFEKCGLMGSLSDGKLVHDWLRKTIKSPPEFLENDALQMYCDCGSLSDAQKMFDEMLHKNLVSWVIIISAYAQKGILKRAILMFSHMLESGIRPNSSIFTSLLKSLTEHSLLELGKQIHSYVIRTGMNSNVSIDTSIANMYVKCGWLEGAKLVFDRMADKNAVVWTGLMVGYTEDEKLEEVLELFAEMVRKSVEVDDFVFSIILKACAGLEDLIMGKQIHGYSVKLGLDSEVSVGTPLVDFYVKCANFESACRAFERIHEPNDVSWSAIISGYCQSGKFEECVKIFQSLICKGVTLNSFVYTSIFQACSAIADVNLGTQVHADAIKRGLVALLHGASAMITMYSKCGRLDYAYRAFESIGKPDTVAWTSIISGYAYHGNASEALRLFHRMQDSGVRPNSVTFIAVLTACSHSGLVTEGKQYLDSMSCVYDVEPTIDHYDCMIDVYSRAGLLQEALELIKSMPFEPDAMSWKSLLGGCWIHRNLELGKFAAENLLQLDPEDTASYIIMFNLHASSGKWEEAAVFRRMMAERDLRKEIGCSWITVKGEKHRFIVGDQHHPQIEQIYSKLKELSISFREAEDSLLTEEDVLNGFPERKEQLLEHSERLAIAFGLISTPSNVPIVVFKNIRACKDCHEFAKHVSVVTGRAIVVRDSSRFHNFELGECSCRDYW >ONI33625 pep chromosome:Prunus_persica_NCBIv2:G1:37223821:37224342:1 gene:PRUPE_1G436400 transcript:ONI33625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGMVPQVSIREEVKFKEAHVPWRREMVVEVFKSFAKDGQLSKHELKAAFDKLGSRWSTFRAWRALSYADANQDGLISNDHEFKALVNYALECGYEL >ONI35025 pep chromosome:Prunus_persica_NCBIv2:G1:42080348:42082580:-1 gene:PRUPE_1G511000 transcript:ONI35025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPKKTKKTHESINTRLALVMKSGKYTLGYKTVIDSLRNSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIIDAGDSDIIKTLPGGQ >ONI32584 pep chromosome:Prunus_persica_NCBIv2:G1:34001395:34001520:-1 gene:PRUPE_1G374500 transcript:ONI32584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVLSEILRSGFMVDSSLRRRTHLVQSFSVVFLYWFYVFS >ONI31263 pep chromosome:Prunus_persica_NCBIv2:G1:29695488:29696056:-1 gene:PRUPE_1G302400 transcript:ONI31263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKVEKPVGTQPSAGQAKKEPAPKASSTTPRAPASKPAPKKAEPKPREPKKKTTGSKPAAKN >ONI31091 pep chromosome:Prunus_persica_NCBIv2:G1:28977244:28979164:1 gene:PRUPE_1G291700 transcript:ONI31091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASVETMLVRWKKHEGKEIEVFEEFRLLTSEVVSRTAFGSSYLEGKNLFEMLMKLYFLIFKNLFKFRFPGISLLKPKDEVESKKLEKGIREGIIEIVKKREEKAIMIGETDSFGNWQEEARKGILQIFGKQTPNSDGLAKLKTIGMIINESLWLYPPIVSIARKVEREVRLGKLIVPANVEIFIPSLAIHHEPQLWGEDAHLFKWERFSEGIAKATKNKIAAFLPFGMGPKVTGYSTDFEGLLEDCQIWKPTSNEKILSNEQRIRRHLTGNCACDYCKWPIESTLHILRDCSRARKDWFQAIINTNSGANKVQVLLAWVPPEIGLVKLNIDGSCRGSTGAIGAGGVLRDHLGQWIGGFAVNLGQGEVLEAELWGLFFGLNLAIEKKLHDIVIEMDSDTTVLLI >ONI30390 pep chromosome:Prunus_persica_NCBIv2:G1:26103461:26105995:1 gene:PRUPE_1G248500 transcript:ONI30390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQQFGRTKSVTKRSTKYVEQALYLRLFKDGGSEVSVRQQLNEVLKSRKRVYKWEVGDTLKKLRERKLYYPALKLSEAMDRRGMNKTVSDQAIHLDLIAKTRDIPAAENYFIDLPEAAKTHHSYGALLNCYCKEMMAEKAEALMEKMKALNLSLTSMPYNSLMTLYSKTGHPEKIPAIIQEMKAGSVMLDSCSYNVWMRALAAVNDISGVERVIDEMKRDGRVSSDWTTYSNLASIYVEAGMFEKAEKTLNELEKKNARRDLLVYQFLITLYGKTGNLREVHRVWRSLRLAFPKTANISYLNMIQVLVNLNDLPGAEKCFREWESRCSTYDIRVANVLIGAYAKEGMLEKAKELKEQARRRGAKPNAKTWEIFLDYYLETGEYQLAVDCVANATSIGRGDGGKWSPSRKIVKTLMEHFEQEKDVDGAEGFLEILKKSVDSLGVEVFESLIRTYAAAGRTTPSMRARLKMEKLEVGEASKKLLEAVCVE >ONI35682 pep chromosome:Prunus_persica_NCBIv2:G1:44962918:44963656:1 gene:PRUPE_1G550100 transcript:ONI35682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGNHLCYRGLRPLLKTSWKWGLTLKENFWLGQIRTKFSYGMIQFVPDGRIAACSFGVLSYEP >ONI34372 pep chromosome:Prunus_persica_NCBIv2:G1:40059069:40060381:1 gene:PRUPE_1G478900 transcript:ONI34372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVVFVSSASGLKKHTQPITSVKIVSVYFMLEHRLNVRLGCFNQSLKSSITNFYFMPNKPNLMTILLKNPKLVETKKMEACRLVKGNCKQQKICHLIQKLTGLTSSQANLTGLVFSKPFLLG >ONI27314 pep chromosome:Prunus_persica_NCBIv2:G1:5763940:5768891:-1 gene:PRUPE_1G079200 transcript:ONI27314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYTLVVRKPCFGLPTACPSCLPVFFYLKFANVPFHLAYNLTYPDSDKIPYIESGEYVTYNNEKGGIIERLKEDRIADLDTELHSVPEWISTKAMISSWLADAIKYELWLGTDGSSAETIYYSDLPWPIGKILFLKQLHKVKQELGITKDNSEKIEEQTYKRASLAFGALSSRLEEQNFLFENRPSSLDALFLGQALITLQALPETSVLRSKLLEHENLVRYVDRLKTEFVDAGSSSSVPNYPSSAAPRRGPSNWSSKPKSKPKREKTKEEKSFQRRSKYFLVAQLVSVVLFVTLMARADDAEIELDDEGYE >ONI32514 pep chromosome:Prunus_persica_NCBIv2:G1:33801824:33807943:-1 gene:PRUPE_1G370500 transcript:ONI32514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEQQPMKEEKILVSVRLRPLNQKEKTKNDVSDWCVKNNTVMYNNSHPDRPMSPSAYSFDRVFGWECPTRKVYDEGAKEVALSAINGINSTIFAYGQTSSGKTYTMKGITDYAITDIYDYIEQHSDKEFVLKFSAMEIYNEAVKDLLSSDSGPLRLLDDPEKGTVIEKLSEEILRDQNHLQELLSICEAQRKIGETSLNETSSRSHQILRLTIESSAREYLGIESSSTLAATVNFVDLAGSERVSQALSAGARLKEGCHINRSLLTLGGVIRKLSKGRNGHVPYRDSKLTRILQNSLGGNARTAIICTMSPARSHVEQSKNTLFFASCAKEVSTNAKVNVVTSDKALVKQLQKELAKMEGALTSLTSKSMQEKELLLEQMNKEIKELTRQRDLAQSRVQNLLQSVGEGRAPRIGENSGSEESLDMGVKAYKSSDITDRPTLPNSSKHNRQLSESSDEFFLLDSSTPQFVGLDPWQGWKDIAQKSLAESEHFAQTSHTEPEDITERCHAEPENIAQRCQAESEDIAQRCHAESEDIAQRCHAESEDIAQRCRAEASSTESEASCKEIRCISSMDQNTFDSFLPGSEVLMHEDVPSSSRTVPMQEDAASSSRTVPMQEDAASSSQTGDKELNHVNAEYEAMRLKIQELQRTINNLVTLAPIEQSPSSSESSSISLGMSRSRSCRAVVMSPSSFASNEAERNENATLAEGFPRWHSRSRQGAHSRNLSREDFPTSGLSGPSVTDGSGTRDHPDAEAIIEQDFPNKKPKVHREDTSRSKYGGHSGKLPRKDSDQDSLLSVHMETEESREATGAEGIVEDLPRSSRGLRWKLFHKSKRTSEVGSSTRASSQLASVLSAPVAWKVIPNVSESDIEDNVSVLNFDEEQNEDKYEVQRGAARRRHGRMDIGHCALRTHSNWRLDFETQRGLIIELWDACFVPLVHRAHFFLLYKGDPSDFLYLEVELRRLIIIKDTFSEGSNLKVRQALTPAASMKVLKREREMLSKKVHKRFTSKKEKERLYQKWGIRLNTKQRSLQLANLLWTSTMDIGHIRESAALVAKLVGLVEPLEAPKEILGLSFLSRPINKKSSIWRDSMSTL >ONI31957 pep chromosome:Prunus_persica_NCBIv2:G1:32068297:32073345:-1 gene:PRUPE_1G341200 transcript:ONI31957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGLDDFEISDDEWEEHSSSFKPSRVLKKPRTPTPPPIESFAFRASSPKPQQLSDDDDDCVEIKNELEDDDVDEVQVIRPVKPGRRFVIEDEESDGDWVNIESTSEEEEEEEAEELEEDDVVGKALQKCAKISADLRRELHGSSAPAVSDRYAEVEAASVRIVTQDDIIAACRSDHSDFQPILKPYQLVGVNFLLLLYRKGISGAILADEMGLGKTIQGPHLIVCPASVLENWERELKKWCPSFSVLQYHGAARSAYSRELSSLAKAGLPPPFNVILVCYSLFERHSGQQKDDRKILKRWQWSCVLMDEAHALKDKNSYRWKNLMSVARSANQRLMLTGTPLQNDLHELWSMLEFMMPDLFTTEDVDLKKLLSAEDRDLIGRMKSILGPFILRRLKSDVMQQLVPKIQRVEYVVMEKEQADAYKEAIEEYRAASQARIAKTSEVNSNSILGVLPRRQISNYFVQFRKIANHPLLVRRIYSDEDVVRFARKLHPMGAFGFECTLDKVIGELNSYSDFSIHRLLLYHGVTDKKGFLPDKYVMLAAKSQALAELLPSLKQAGHRVLIFSQWTSMLDILEWTLDVIGVTYRRLDGSTQVTERQTIVDTFNSDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQVKPVTIYRLVTKGTVDENVYEIAKRKLVLDAAVLESGLEMDNEGETSEKTMGEILSKLLLG >ONI31958 pep chromosome:Prunus_persica_NCBIv2:G1:32068595:32073053:-1 gene:PRUPE_1G341200 transcript:ONI31958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGLDDFEISDDEWEEHSSSFKPSRVLKKPRTPTPPPIESFAFRASSPKPQQLSDDDDDCVEIKNELEDDDVDEVQVIRPVKPGRRFVIEDEESDGDWVNIESTSEEEEEEEAEELEEDDVVGKALQKCAKISADLRRELHGSSAPAVSDRYAEVEAASVRIVTQDDIIAACRSDHSDFQPILKPYQLVGVNFLLLLYRKGISGAILADEMGLGKTIQAITYLMLLKHLHNDQGPHLIVCPASVLENWERELKKWCPSFSVLQYHGAARSAYSRELSSLAKAGLPPPFNVILVCYSLFERHSGQQKDDRKILKRWQWSCVLMDEAHALKDKNSYRWKNLMSVARSANQRLMLTGTPLQNDLHELWSMLEFMMPDLFTTEDVDLKKLLSAEDRDLIGRMKSILGPFILRRLKSDVMQQLVPKIQRVEYVVMEKEQADAYKEAIEEYRAASQARIAKTSEVNSNSILGVLPRRQISNYFVQFRKIANHPLLVRRIYSDEDVVRFARKLHPMGAFGFECTLDKVIGELNSYSDFSIHRLLLYHGVTDKKGFLPDKYVMLAAKSQALAELLPSLKQAGHRVLIFSQWTSMLDILEWTLDVIGVTYRRLDGSTQVTERQTIVDTFNSDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQVKPVTIYRLVTKGTVDENVYEIAKRKLVLDAAVLESGLEMDNEGETSEKTMGEILSKLLLG >ONI29992 pep chromosome:Prunus_persica_NCBIv2:G1:23901626:23905306:1 gene:PRUPE_1G225500 transcript:ONI29992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSESGTVPEDLERTAFRRAEKKYKLYYEDTYKSSKKKRKPKPVDLSEVLDFNSILESYYQNVELPHGVVPLRCDFDRPVFSLENRPGFYFIPGALRVKEQCQWIKESLTSFPQPPNRTNHNAFYGPINDLFIAANERKVLVADGGSNSECDPSVSNGGVHGWKFFEEREVSSKGSTCKSVSASVLLRKLRWSTLGLQFDWSKRNYDVSLPHKKIPDTLCQLAKRLATPAMPLGEEFQPEGAIVNYFGPGDMLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKHREDPPIAMFLRSGDVVLMAGEARECFHGVPRIFTDGENDEIVTLERQFSHEDDFSALEYIRSSRININIRQVY >ONI29993 pep chromosome:Prunus_persica_NCBIv2:G1:23901028:23905306:1 gene:PRUPE_1G225500 transcript:ONI29993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSESGTVPEDLERTAFRRAEKKYKLYYEDTYKSSKKKRKPKPVDLSEVLDFNSILESYYQNVELPHGVVPLRCDFDRPVFSLENRPGFYFIPGALRVKEQCQWIKESLTSFPQPPNRTNHNAFYGPINDLFIAANERKVLVADGGSNSECDPSVSNGGVHGWKFFEEREVSSKGSTCKSVSASVLLRKLRWSTLGLQFDWSKRNYDVSLPHKKIPDTLCQLAKRLATPAMPLGEEFQPEGAIVNYFGPGDMLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKHREDPPIAMFLRSGDVVLMAGEARECFHGVPRIFTDGENDEIVTLERQFSHEDDFSALEYIRSSRININIRQVY >ONI27028 pep chromosome:Prunus_persica_NCBIv2:G1:4556677:4556907:-1 gene:PRUPE_1G063900 transcript:ONI27028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTICLYLVCCSICLDIIRRMIDRPMFHNYGVTFDEQWTSINQSSCNFFLSHHDGAYIFFCFEELHNTHHALDHS >ONI31466 pep chromosome:Prunus_persica_NCBIv2:G1:30423350:30424435:1 gene:PRUPE_1G314800 transcript:ONI31466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTELLWRASMTPRISEYPFHRVPKVTFMFMTRGPVVLAPLWEKFFKGHRGLYSIYVHSKPSYNASSHPESPVFHGRRIPSQEVEWGRVSQIEAERRLLANALLDIANQRVVLLSESCIPLYNFYTVYSYLIHSNETFVEVYDDPSVDGRDRYYFIDYPGITLDQWSKGSQWFEIDRDLAIEVVSDRIYFPLFLRCKGECFAEEHYLPTFVHMKFAANSAYRSLTWADWTKGGAHPTEYPSTNVTFELLNSLRNGYGRRCEYNGRSSDVCFLFARKFPPTTLDGLLRIAPNIMHFSNA >ONI29354 pep chromosome:Prunus_persica_NCBIv2:G1:18428468:18429235:1 gene:PRUPE_1G194800 transcript:ONI29354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIFPRIFLIYNLLNTFLLSLVPKNLRPLLPSSWFPCQTNLVATNTSLPHFPPSSSSSSLPLPLPLPCGAPKVIRMDPNELKRVFQMFDRNGDGRITKQELNDSLENLGIFIPDKELFNMIQKIDVNGDGCVDIDEFGELYQSIMDERDEDEDMKEAFNVFDQNGDGFITVDELRSVLSSLGLKQGRTIEDCKRMIMKVDVDGDGRVNYKEFKQMMKGGGFSALS >ONI27713 pep chromosome:Prunus_persica_NCBIv2:G1:8050715:8053725:-1 gene:PRUPE_1G101000 transcript:ONI27713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAMAAISWKVEESCFQVFLLRKFVEKVVFSDSKCEGWTGRGNAISEVHHLLQQVLISFLMICFFGF >ONI27802 pep chromosome:Prunus_persica_NCBIv2:G1:8475392:8477731:-1 gene:PRUPE_1G105400 transcript:ONI27802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGKLVIGGVSIVLVVGVVVGLVAVVCTRKSHKDQLSTSSKAVAAICEPTDYKEACINSLSKVAKNESATAKDLIQAAIDSTISTVKSAIDNSGNIAKSFSNLNSTGKMAMDDCKDLLESAIADLQASFSYVGDGDMHTMHDREDELKNWLSAVISFQQSCLDGIPQEELQKQMKDGLLNATQLTSNALAIASSISEILQSFNITLNKDLRNPSSSRRLLEHNNDEQGQYPSWFRAADRRLLASQSNGQVRPNAVVAKDGSGQYKTIGAALAAYPKGLKGRYIIYVKAGIYNEYVTVTKDQVNVFMYGDGPRKTMVTGSKSWADGITTQNTATFAAIGSGFLAKAMGFQNTAGAQKHQAVALRVQSEMSAFFNCRMDAYQDTLYVQTHRQFYRNCVISGTVDFIFGDSPTLIQNCLIIVRKPMDGQQNTVTAQGRQDKRETTGIVIQNCRIVPEQALFPTRFKTKSYLGRPWKTYSRAVIMESELGDFIQPAGWLEWSGNFALDTLYFAEYGNRGPGAVTNGRVRWKGFHVIRNRNEALQFTTGPFLLGDQWLRNTGVPYFTGLKH >ONI35571 pep chromosome:Prunus_persica_NCBIv2:G1:44415525:44415863:-1 gene:PRUPE_1G543500 transcript:ONI35571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSAVLGPQLVAVGVPVKNPSATASFGVPKTSPWRGSVGGGTGLMIECSSRPQKKATAHHRKTRPRKTQPWDVKRKPTVYTPLPPLPADWTLVSSADEASSSSPQEPPTTE >ONI31764 pep chromosome:Prunus_persica_NCBIv2:G1:31286270:31290593:-1 gene:PRUPE_1G329700 transcript:ONI31764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPVRHRRLMKYQPLTFSPFARQVARVASSCMSILQMHSPDLPKKSQPNSTGNGSRKNTLKVKNEHSESSDEEEFDGIVQADFAFFDPKPDDFHGVKTLLQTYLDDIEWDLSGFVDLILEQTTVGTVVKIEDDEDNGIFALATALNLGRYKDHKCFMEVKEFLLKVSQEKDVIDDLRALLGKEAESVGLLVSQRVMNLPPQLWPPLYDALFDEVSWATEDEPTEGLRNFFHFKFYIIVSKVYKHKNAHQKKGMSASDEEIIYIKPEDEIFHELSSWSFEFSLHTQQLATHELRDYQQMGLVMAVKADKIPAFRQQLKSFVEGS >ONI31765 pep chromosome:Prunus_persica_NCBIv2:G1:31286406:31290588:-1 gene:PRUPE_1G329700 transcript:ONI31765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPVRHRRLMKYQPLTFSPFARQVARVASSCMSILQMHSPDLPKKSQPNSTGNGSRKNTLKVKNEHSESSDEEEFDGIVQADFAFFDPKPDDFHGVKTLLQTYLDDIEWDLSGFVDLILEQTTVGTVVKIEDDEDNGIFALATALNLGRYKDHKCFMEVKEFLLKVSQEKDVIDDLRALLGKEAESVGLLVSQRVMNLPPQLWPPLYDALFDEVSWATEDEPTEGLRNFFHFKFYIIVSKVYKHKNAHQKKGMSASDEEIIYIKPEDEIFHELSSWSFEFSLHTQQLATHELRDYQQMGLVMAVKADKIPAFRQQLKSFVEGS >ONI32265 pep chromosome:Prunus_persica_NCBIv2:G1:33072326:33077189:1 gene:PRUPE_1G357200 transcript:ONI32265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVERIFKDEASEEKGERARLASFVGAMAIADLVKTTLGPKGMDKILQSTGRGHAVTVTNDGATILKSLHIDNAAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVASKIHPMTIISGYRMAAECARNALLQKVVDNKADSEKFKSDLMKIAMTTLSSKILYQDKEHFAQLAVDAVMRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVAEIEGAEKEKMREKVQKIIAHGINCFVNRQLIYNFPEEIFADAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCNLIEEIMIGEDKLIHFSGVELGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMIMAKEVDELARKTPGKKSHAIEAFSRALSAIPTIIADNAGLDSAELIAKLRAEHHKEGCTAGIDVISGAVGDMAERGISESFKVKQAVLLSATEAAEMILRVDEIITCAPRKREDRM >ONI27548 pep chromosome:Prunus_persica_NCBIv2:G1:7283232:7284349:1 gene:PRUPE_1G094000 transcript:ONI27548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKILGEASQRSMAEIVEETQPNKERSGKNPSQPEELKDYPSMLSRPNLHQQEEEDSSPIVGKEWIKIGNEYFKNPVATTSPPDLRVIKEFATDPLFQSGQNDTWCKRKFEEAVNTDFVPGFLTEFPNNGFTKYHGFNVQEKYVKLSVINELFMPKTEEVEGEKEKKTEDLASSFSFKTKREYLYCD >ONI26263 pep chromosome:Prunus_persica_NCBIv2:G1:1018152:1020255:1 gene:PRUPE_1G013500 transcript:ONI26263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGYEFINKECTYNPLNPSFSFTGPLSPGALAHTHAESQRERANPNAATMSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSAAELDNLMTIVANPRQFKIPDWFLNRKKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ONI28943 pep chromosome:Prunus_persica_NCBIv2:G1:14075168:14076242:-1 gene:PRUPE_1G170700 transcript:ONI28943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQRGNDKGKSKVSGGYKLWTLEESNELLQLMVDAANRGWRDSNGMLSKQTVEKKILPALNAKLGCERNHSQYLSRLKWFKQRYNIFSELMRHSSGFGWDPITKKFTASDEVWKDYIKFHPAHVNFQIDTFADYELLRIAIGNGTAVGRNSIALGDDTDARTLGVEESRRVGIDDLSYDYDNHAFIPNEVEAATFQDLSPKQPNSYVPTQGTNVELPLESNGQTKRNRTEYEGNTSSFETNTRADVLERVSLSIDSIATDFRGIHSLMEKKEKESGCWDAIMEIPNLDSQVRYKVVELLNTKAKKDMFWKMSPQERKDWIMYKLSE >ONI31908 pep chromosome:Prunus_persica_NCBIv2:G1:31871186:31872385:-1 gene:PRUPE_1G338300 transcript:ONI31908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNISMGFSCGDDFEGEESEVALLDETREKQQHSSWGCGMEAPHNHVQLEVDFQFWPVEHPLEPPDEDRPVKCPMPDSSVINIDIVSCKMHLQDGGRQEKRSSESSAMRKRTEVSSAAAATYSKPRTDQMVVAVAEPPPAVRAVRKRHHNTLTRGDHMISPLRRMPPIPSLPTQSITIFQMLQQLDKFES >ONI31907 pep chromosome:Prunus_persica_NCBIv2:G1:31870804:31872636:-1 gene:PRUPE_1G338300 transcript:ONI31907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNISMGFSCGDDFEGEESEVALLDETREKQQHSSWGCGMEAPHNHVQLEVDFQFWPVEHPLEPPDEDRPVKCPMPDSSVINDGGRQEKRSSESSAMRKRTEVSSAAAATYSKPRTDQMVVAVAEPPPAVRAVRKRHHNTLTRGDHMISPLRRMPPIPSLPTQSITIFQMLQQLDKFES >ONI31538 pep chromosome:Prunus_persica_NCBIv2:G1:30618534:30619650:1 gene:PRUPE_1G318600 transcript:ONI31538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFPTDSTHSDDFLGLLLFPFAVCCPVQPFSRLFSCCATPLLAKYMRCGQQGYWPALQWELPLALPLPKTWHANC >ONI32441 pep chromosome:Prunus_persica_NCBIv2:G1:33659231:33659587:-1 gene:PRUPE_1G367900 transcript:ONI32441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDRIDLLHILCCLLAKYFSTEQSVKNLCCCLTVLTAKLLSKVNQRETEQHKIWVCTHIRQNPEKKTRAGETRKRVTTPIIILLIFLLPQGTLVYVQPHTTTVRKVSNLIRFTNSKPR >ONI33571 pep chromosome:Prunus_persica_NCBIv2:G1:37064804:37067026:-1 gene:PRUPE_1G433200 transcript:ONI33571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQINTAEEYKTYIIHMNNSHKSASFLTHESWHRSILKSQPSPHADQHDSEMLLYSYNHVMPGFSARLTPSQLSELEKSPALPNFLGLKHKLGLWPAASYGEGVIMGVIDSGIWPESESFSDKGMPQVPERWKGNCENGTAFTSSLWNKKLIGAQSFSKGLKAAGQPISEYDHDSPRDSLVHGTHTSSTAAGHHVVSASHFGYAKGTARGVAQRAHVAMYKVLWSEDTIFSASSDVLAGMDQAIADGVDIISLSIGLQRLPYYDNVIAIASLSAIEKGIVVVCSAGNDGNSNSMNNGAPWITTVGAGTLDRRTSYFPVRAYITDKPLYYGKENVKKATCDFGALDPKEVAGKVVLCDYTTEFDVGQQKEHVEKAGAFAGIFMTNLSTMVPEEFNIPAMVLSSDTGALIREHVTKVSATQAKSLAFVHTKFGAKPAPQVAHFSSRGPDPNNPSILKPDIIAPGVDVLAAFPTNKKYIFSVNNYDLATDYALMPGSSMAAPHVAGVAALLKAVHREWSPAAIRSAIMTTAYTDDNTHTTIKDQRGSLPATPLDFGAGHINPNKAMDPGLIYDMDVQDYIEFLCGLEYNAKQMRAVIRRWRWSCSAQPTELNYPSFMAIFDGKDFPIAKNFSRVVTNVGNTKSIYRAVLEVPSGMRIAVEPNTLKFTKRHPKQLFRLSLEIESNAPNTTYGYLKWVDQYNHIVSSPVVAMNH >ONI33831 pep chromosome:Prunus_persica_NCBIv2:G1:37859127:37862746:-1 gene:PRUPE_1G448400 transcript:ONI33831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITFSGTSGNSFFLPTASVSHYSNQNIRDGFNPLKKNLSDTKKKHNGRGLVSTNVASPPLPAVAPLLPRRESSNSGDLSHVAWTSVRQERWEGELLVQGEIPLWLKGTYLRNGPGLWHIGDYNFRHLFDGYAMLVKLQFEDGRLIAGHRQIESEAYKAAMKTQKLCYREFSEVPKADNFLSYIGELANLFSGASLTDNANTGVVMLADGRVVCLTETQKGSIIIDPTTLDTLGKFEYSDTLGGLIHSAHPIVTETEFLSLLPDLINPGYLAVRMEPGTNERKVIGRVDCSRGPAPGWVHSFPVTEHYIIVPEMPLRYCAGNLLKAEPTPLYKFEWHPESKAFMHVMCKASGKIVASVEVPLYITFHFINAYEEKDEDGRVTAVIADCCEHNADTTILDKLRIHNLRSYTGQDVLPDARVGRFRIPFDGSAYGKLEAALDPNEHGRGLDMCSINPAYLGKQYRYAYACGAQRPCNFPNTLTKIDLVNKKAKNWHDEGAVPSEPFFVARPGATEEDDGVVISMVSGKNGDGYALLLDGSTFQEIARAKFPYGLPYGLHGCWVPSK >ONI32294 pep chromosome:Prunus_persica_NCBIv2:G1:33178019:33182803:-1 gene:PRUPE_1G359000 transcript:ONI32294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDQSQPLLVYLHGDLDLKILEARCLPNMDMVSERLRRFFSACRKPFSSGTPHHSHHNIITSDPYVTVCLAGATVARTRVISNSQNPVWKEHFTIPLAHPVSQVDFYVKDNDMFGADLIGIATVSAQRILSGETISGWFPIIGPLGKPPKPDAAVKLEMRFTKCEDNPTYQQGISNDGIRNCYFPVRQGGHVTLYQDAHVPDSMLGEIELDDGVVFEHGKCWEDICHAILEAHHLVYIVGWSIFHKVKLVREPTKPLPSGGNLNLGELLKYKSEEGLRVLLLVWDDKTSHNKFFINTNGVMQTHDEETRKFFKHSSVSCVLSPRYASSKLSIFKQQVVGTLFTHHQKCVIVDTQASGNNRKITAFIGGLDLCDGRYDTPEHRLFRDLDTVFQDDYHNPTFSGGTRGPRQPWHDLHCKIEGPAAYDVLTNFEQRWRRATKWSELGQRLKKVSRWHDDALIKLERISWILSPSPSTSNDNPALRVSHEDDPQNWHVQVFRSIDSGSLKGFPKDVYEAEAQNLVCAKNLVIDKSIQTAYIEAIRSAQHFIYIENQYFLGSSYGWPTYKEAGADNLIPMELALKIASKIKANERFAVYIVIPMWPEGVPSSASVQEILFWQGQTMQMMYEIIAKELKSMNLENVHPHDYLNFYCLGNREKIPDTLSCAVNKTSGNGEYHTVSPSQKFQRFMIYVHAKGMVVDDEYVIIGSANINQRSMAGSRDTEIAMGAYQPHHTWGKKKRHPRGQVYGYRMSLWAEHLGMVDSCFKEAGSLECVKRVNSIAEENWTKFVGDEFAALQGHLIKYPVEVDVNGKVGALPGRESFPDVGGKILGARTTLPDALTT >ONI31205 pep chromosome:Prunus_persica_NCBIv2:G1:29416697:29417721:-1 gene:PRUPE_1G298400 transcript:ONI31205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMANGLNLIFLLGFLLLLSRAEARSMPAASILPESYPNASSSNIPIRSSPIEEPSLDVDDDDACKGLNSEECLIRRSMVAHTDYIYTQDISSTGP >ONI35051 pep chromosome:Prunus_persica_NCBIv2:G1:42176755:42180224:-1 gene:PRUPE_1G512300 transcript:ONI35051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSRTWLLSSAVLFSIMSLSCGRVPPNSGPVKAVNLGGWLVTEGWIKPSLFDGIPNKDFLDGTELQFKSVTTGKYLAAELGGGSIIVANRTSASGWERFRLWRINETTFNFRVFNKDFVGLDVKGNGAQVVAVSKTPGSSETFEIIRKPDDSSRVRIKAPNGFFLQAKTEDLVTADYAGSGSGWGNNDPSVFVISFSGKLEGEFQVTNGYGPKKAPQVMQEHWNTFIVEDDFHFIKTNGLNAVRIPVGWWIASDPTPPDPYVGGSLLALDKAFLWAQKYGLKVIIDLHAAPGSQNGFEHSASRDGSQEWGQTDENIQQTVDVIDFLTARYARSPSLYAVELINEPFSPGASLQNVTKFYKAGYAAVRKHSSTAYVVFSNRLGPMEPRELFPLANGLKGSVIDVHYYSLFVSAFDNLTVQQNIDFIHTNRSQELNYVTTSNGPLTFVGEWVAEWKVTGATKEDYQRFANAQLEVWARATFGWAYWTLKNVNKHWSLEWMIKNGYIKLT >ONI32881 pep chromosome:Prunus_persica_NCBIv2:G1:34864770:34865940:-1 gene:PRUPE_1G391200 transcript:ONI32881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTRNYETYIISAPEAPPCPNTPLQDPKESKQKLVEEDEEEANKDQEKEMKPNILVDLEDSNGGFNPELNLIDCLDMGSSEGTAAPRSDGAEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQRMLGTHVMASAAAGFGYPYLHHHHHHHISSLASLPLHGAAYNHKTLDIQAHSMIHKPNSHMASSSIGFGSSYGNRGYRSRPLIDQQPAIGKLAAAAAENFQTTGRGGAGRFDMGKNKMGSQANDEIGKFWLANGSRFKSDQEEMKKLDLSLKL >ONI31436 pep chromosome:Prunus_persica_NCBIv2:G1:30327652:30329802:-1 gene:PRUPE_1G312900 transcript:ONI31436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAMENGTGTDKKVMVAIDESDCSHYALMWVLDNLKDSIAKSPLIIFVAQPPATGNITFAAPLGVARMYYPISSTPGYVESAQENHRKFAVALLEKAKQICAGHGVEAKVVTEIGDPKTAICDAVEKHNINLLILGERGHGTIKRAILGSVSNYCVQNAKCPVLVVKKAQVQVA >ONI35872 pep chromosome:Prunus_persica_NCBIv2:G1:45587648:45592055:-1 gene:PRUPE_1G558600 transcript:ONI35872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKFPASTLVSHAIILQPVIVQASFKTQKDLLGCRTKVPRNVNMEKLRNGYLFPEISRLEVQHIEKYPNAKLISLGIGDTTEPVPQIITTSMANYAHALSTVDGYTGYGPEQGNKALRKAIAKEFYKDLPIKDTEVFVSDGAQCDITRLQLLLGSNVTIAVQDPSFPAYIDSSVIIGQAGVEDEAGRYGSIEYMKCGPHNNFFPDLATTSRADIIFFCSPNNPTGHAATRKQLEQLVNFARENGSIIIFDSAYSSYIKDDSPRSIYEIPGAREVAIEISSFSKFAGFTGVRLGWTVVPEEVLYSNGFPVIHDFNRIVCTCFNGASNIAQAGGLACLSSEGFLAVRSLVDYYMKNAKILVETFASVGLKVYGGTNAPYIWVHFPGSNSWDVCTKVLEKAHIITIPGSGFGPSGEEFLRISAFGHRESILEASSRLKSLFQ >ONI27170 pep chromosome:Prunus_persica_NCBIv2:G1:5107316:5108145:1 gene:PRUPE_1G072000 transcript:ONI27170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSETQPPTTATTTTPYDPSSASIDPLFLFIRILPYSFLRPPRLRLKLPSLTLPSPMTVYALVLLTYFMVVSGIIYDVIVEPPGIGSTQDRLTGSVRPVVFLQGRVNGQYIIEGLSSGFMFVLGGIGIVLMDLALDRNRAKSVKVSYATAGISSVVLAYVMSMLFIRIKIPAYLH >ONI27970 pep chromosome:Prunus_persica_NCBIv2:G1:9062203:9063004:1 gene:PRUPE_1G114300 transcript:ONI27970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDFSVSNFVMVILASLILCFHISMLRKSLTLIYLVARYAWLLTLLERLIAPAPTKLRYGWLNLRPSIDPLTARLYARATELLEMKSKFEDLGNQLKSTNHPALAFLLESHDKDVKCLDKAL >ONI33933 pep chromosome:Prunus_persica_NCBIv2:G1:38185183:38185954:1 gene:PRUPE_1G454200 transcript:ONI33933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKEWCNSRSQSMRLGQPYMPSNYNKPDRPGWQRFWKKFKMDKKKKSFSSSTVTPQAASYDPKTYSKNFDRGMGSMEPDNLSRSFSARFADPSMILHNNRNLLD >ONI31058 pep chromosome:Prunus_persica_NCBIv2:G1:28852187:28853711:-1 gene:PRUPE_1G289400 transcript:ONI31058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQAVQKNTLYVGGLAEEVNESILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDASSAMDNMDGAELYGRVLTVNYALPEKIKGGEQGWAAQPIWADADTWFERQQQEEEMQRMQAENRAAMQAAEELHRKKKTEDREGEKEEEIEMKDDPMARAEAEVLKQND >ONI28306 pep chromosome:Prunus_persica_NCBIv2:G1:10754494:10756194:1 gene:PRUPE_1G137200 transcript:ONI28306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGQITELYIPRKCSATNRLITSKDHASVQINIGHLDENGIYTGQFSTFALCGYVRAQGEADSGLDRLWQKKKSEVKQ >ONI27507 pep chromosome:Prunus_persica_NCBIv2:G1:6823578:6824417:1 gene:PRUPE_1G090800 transcript:ONI27507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHSKSLRLHVVKHRIDGLDKDNFVYSYTLVEGDALSDKVEKISYEIKLVASADGGSIIKSAIANSSNDFALHQIEMLAATEQAFWNCCWSGEVGPITGSLLSCKQSQGSKPVMCIKSLVRQKTARVQEADHPRLVLILGRLRGLESMVR >ONI29731 pep chromosome:Prunus_persica_NCBIv2:G1:22487533:22488123:-1 gene:PRUPE_1G211600 transcript:ONI29731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKASVFLKQIMTLLSTIAKSKSLAIKSKTSAVKARLIMFSLMKNKKVLMDTVSHKIHSLLGHDHRHKQSDEEDDEGSDRSKALVLNNTIANEYSRSAGACSSYNAMAEEDEDEDNKYPDLRHSMFDEDENFDDVKDGGSVIDIVRNSKEEGEDFKLEDEIDQVADLFINRFHKQIRLQKLLSFKRYQEMLERSL >ONI32678 pep chromosome:Prunus_persica_NCBIv2:G1:34257079:34260986:1 gene:PRUPE_1G379300 transcript:ONI32678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVICCGTKFWLYVLIIIGLVCFAGMMAGLTLGLMSLGLVDLEVLMKSGRPQDRKHAAKIYPVVKNQHLLLCTLLIGNALAMEALPVFLDSLVPPWAAIVISVTLILVFGEILPQAVCTRHGLTVGATMAPFVRVLLIIFLPISYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALQLTEKTAKDAMTLISSAFSLDLDAPLTLETLNAIMTKGHSRVPVYAGNPKNIIGLVLVKNLLMIDPEDAVTLRKMMIRRIPRVSEDIPLYDILNEFQKGHSHIAVVYKDLNGRREALKNGKEGETSVFKDDCKMQGGQSEASLKKDGKVGSNNAQIAMDNGDGGQQNKKSPPATPAFKKRHRGCSHCILDIENTPIPVFPDNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKVNMHASQEKDFHLNSPELPEGSSATPTITSSVPTDSGSTQHQDC >ONI33675 pep chromosome:Prunus_persica_NCBIv2:G1:37406314:37413160:1 gene:PRUPE_1G440200 transcript:ONI33675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRLCYRTRRYCHYLFPHHRRRHNHGPSFSSSASGNPNEPLNNLQNSNQVTQPLPILHRRLNPLSPLPNASTLPRTATIALSATFASALIASYVVVATDSDDKPSNPLYDSLRHAVLKSTESFRRLLHHAKQTGVAASVLWHSLSSVLSSANHEVRSGFELRVAALLADIAAANASRRAAIVGAGGGAVVDWLLESVAVPRDGCGTQAESARALAFLIADPNVSPAVLGRPGAVPNLLRFIFSCQPQPSKKRSRHSSLDVSENLKGRSMLVAAIMDIVTSNCDSIEKVSFKPSLSGNAETRDIAAAIEVIEDGGMCLDESHENEDDEDGDSGIKGIGIKVLGGTSVLGLSRTHPVVKLGSSDTSDLGLTRLTTQSLLLQNKHDSSLAQSNLSSAVVPGLWDDLNCQHVAVPFAAWALANWAMASDVNRSRIQELDADGQAVMTALMAPERSVKWHGSLVARLLLEDQNLPLSDSVSDWSSSLLSTASQATKNEDIPLARVALSAFLVSVEKSPGAQKIVMEKGLHPLRDTAKRTMKHNHVQETLAKALELLCTGDLNLPLEEGQRWSAVLLPWVFGKSSSDTIRLSAIRILSRILEDYGPYSVPISQGWLAILLTEIMASKKASSTKGTTQPSSVKVKTQIDQANMLSASQSTNQLVAAVVNLAGNALGTTTNSVDTFPLADLLSMEPFSGTFKTLKKDSVPKVNVADSAKATLKGIKALTEVCADDSLCQEKITDFGVLCLLRRFLLRDDYEKLAAIEVYDASKTLEAQERPSNVPGESSISESNDPSSVRVPPTAHIRRHAARLLTILSQLPKVQKIIIADETWCKWLEDCANGEISGCSDLKTQSYARATLINLFCGRQINRDSANDDIPDAGIANGNKNCPRYDDMIFLINPELPHWTCPENNDQHTVQMDASSSDEASSLDSEDRSVPRFSNDVNISSSVDASHSGAGTREPPLLDVVFVHGLRGGPYKTWRISEDKSSTKSGLVEKIDQEAGKLGTFWPGEWLSADFPQARMFSLKYKTNLTQWSGASLPLQEVSSMLLEKLVSAGIGNRPVVFVTHSMGGLVVKQMLHKAKSDNLDNLVKNTKGVVFYSCPHFGSKLADMPWRMGLVFRPAPTIGELRSGSPRLVELNDYIRLLHKKGLLDVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLDSTDHINSCKPLSRTDPSYTEILGFLWKLKAKYKRQ >ONI29868 pep chromosome:Prunus_persica_NCBIv2:G1:23237748:23238182:-1 gene:PRUPE_1G218000 transcript:ONI29868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKGVVNFDESPPDDFDPANPYMYPKWIDIVNHLQKCRHLVHQYLESTRGIGWGKDHRPLEFHGPKVEALSICE >ONI26307 pep chromosome:Prunus_persica_NCBIv2:G1:1165918:1166783:-1 gene:PRUPE_1G016300 transcript:ONI26307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVLQRLSIFDYFRCRSVCRSWRDSVDRAISSKRCRPAPQLPWLFCSRDRNFLSYCEYEPKFYKLNLPSDDAHDEYVGSIEGWLMRVDGTGSIIALLNPISGSRVMLPSCKHELTAASISKLVASSVPSPPSLHTWLGVCRPTDKSWTRIDEEVLNFESIEFIDGKLYAATKNLSQFLLVYQFDTNDQQLHYTARRLVVLDDTPRLPDRERFDYIFRIKKGHLSLAKDFSTSKDLIMITFPDNHTVNLLVCPPNYAEAFQVFKLDIVSMPEMP >ONI33659 pep chromosome:Prunus_persica_NCBIv2:G1:37344478:37347973:-1 gene:PRUPE_1G439100 transcript:ONI33659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKSYHADFQIPSAVMSTAVAAKNASAPLNFYWTAANKSSEYYVYLHFAEIQKLSPNQTRNIYIVLNGYRQELSIVLPYLSPNTIYTDRPSTGATIHSFSILKTENSTLPPILNAYEIYEAKKFLQLETNQGDVDAIANVKLSYQISKNWQGDPCSPQVYKWEGLNCNYHESTPPRIRSLNLSSSRLRGQISPFIANLTMIQDLDLSNNDLTGPIPDFLSQMPNLNVLNLGKNKLTGSVPAELIERSKNNVLSLSLCENPNLSEYGHVSCKSRKKKHNVVIPVLVSILGTVILLLTVAAICWRRNEDEPTQTPGRKFTKSEIDKITSNSTLIGRGGFGEVYHGTLEDGTQVAVKILNLSSSQGSEEFQNEVQLLMRVHHRNLVSLVGYCDESGTMALVYEYVVNGNLQQHLSAADIPYEGLTWMQRLQVAVDAARGLEYLHDGCKPPILHRDLKPSNILLTETLQAKIADFGISKALATETATHASTDIRGTYGYLDPEYCTTGQYTRKSDAYSFGIVLLELITGRPAIITDLEPVHVNVSDWVRAKVERREIESIVDSRVQGTYKYSSAQKSIRVALACVSKTPTERPEISHVYDRLKECLEIEKASEESEIASGDEGRLSSSNQMNTV >ONI26865 pep chromosome:Prunus_persica_NCBIv2:G1:3604276:3607051:1 gene:PRUPE_1G051400 transcript:ONI26865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSPARKTFTLLTSRYYTCAVLHQPLNLHHFLQLSVTHHSLSLTQQAHAQVLTHGLQQNPFLATKLISAYALCGNPTKSNLVFHSVELKSMYLWNSLINGYVRNCVYNKAFELFDEMCNCNVLPDDYTLATMAKVSGEVQDLVAGKSVHGKSIRVGFVLDTVVANSLMSMYYKCGNFGECRKVFEEMPQRNVGSWNAIIAGYASSVDHGFDKEIWEIAKCMLMNGVKPDGFSVSSLLSLCGGDDIEKWDYGRELHCYIVKYESDLKWGSDVHLGCCLIDMYSRSYRVDLGRRVFDRMKCRNVYAWTAMINGYVQSGAPDEALVLLQKMQVEDGIQPNRVSLVSVLPACSSHAGLMCGKQIHGFAMRKEMNHDVSLCNALIDMYSKCGSLDIARRVFEDDSFCKDAISWSSMISGYGLHGIGEEAIVLYSKMLHLGIKPDTITIVGILSACGRSGLVNKGLSIYSSLSTDFGIKPTVEICACVVDLLGRSGDLDGALNFIKTMPVEPGPSVWGSLVTASLLHGNLDMQDLAYRFLIQLEPKNPSNYISLSNFYASSRRWDVVAEMRRMMKERGLKKAPGCSWISINSKTHCFYVADKAHPCSNSIYQMLDYLILVMKGASYSDDFEHPA >ONI27991 pep chromosome:Prunus_persica_NCBIv2:G1:9130535:9131457:-1 gene:PRUPE_1G115600 transcript:ONI27991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSGRTLCAETATTTTMTSDFRPAFDALDSDRDGKISKDDLRAFYAGFSSSGADENLIGSMMSVADSNKDGFVQYDEFERVLGGGGGARSSWGVMEDAFKVMDKDGDGKLGHEDLKSYMSAAGFAATDEDIKAMIILGGGDDNQGVHRGILSTYYSTDTISAY >ONI32520 pep chromosome:Prunus_persica_NCBIv2:G1:33826923:33828188:1 gene:PRUPE_1G370900 transcript:ONI32520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNIYKYELPCIVVVATVRSKHMDTVILVRSLWVILFISMAIVDLKADNNTTTSQGNATSSSLAVAEIPSGGNGQVRNETAPSYTNQVVINNKNKHQGRGGSGGGGGGGGYSWGWGGGGGGGGGGRGRGGGGGGGGGGGGGGGGWGWGGGGGGAGWWKWGCGGGKGRGGRSGSRNHHHYHRDHDHVYGGKRRFDEADYAVGEFAQCMRKGRCKGMRLDCPLHCGGPCYYDCQYMCKAHCKRRRS >ONI35412 pep chromosome:Prunus_persica_NCBIv2:G1:43675178:43679423:1 gene:PRUPE_1G534300 transcript:ONI35412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHSEPMTMSNPIPAGGDDDAAGPGVDSIDNAHIHYEPHTLEDGGGVVAVVEDVSSDPVYDVGSSEMRAQPYDGSSQLTLSFRGQVFVFDAVTPEKVQAVLLLLGGSELSSGPQGAELASQNQRGTEDFPIRCSQPHRAASLSRFRQKRKERCFDKKVRYSVRQEVALRMQRNKGQFSSSKKSDGDYSWGNGQESGQDDSHAETSCKHCGISSKSTPMMRRGPSGPRSLCNACGLFWANRGTLRELSKRTQDHSVTPAEQGEADTKDLNSVTAIDAHNSLVPFSNGDSSALVAEQ >ONI30420 pep chromosome:Prunus_persica_NCBIv2:G1:26234726:26236500:-1 gene:PRUPE_1G250200 transcript:ONI30420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNNGNAMLPVFLDENRFPYPTNASSQLQLFGNVPAGCNVDPVNYFGTEHITPMLRPNKRSREIEDISRQQKLQISLNYNVCQDEADRSASIPNPNPVSTGLRLSYDDDERNSTVTSASGSMTAAPSMILSLGDNIRTELDRQKEEFDQYIKIQEEHLAKGVRDMKQRHMASFLAAIEKGVSKKIREKDLEIENMNRKNRELVDRIKQVAVEAQNWHYRAKYNESVVNVLKSNLQQAISQGADQGKEGFGDSEVDDAASYIDPNNYLSVPVGPVKSVSKNYLGLKEQMASRACKACKAKEVSILLMPCRHLCLCKDCDGFVSVCPVCESMKTASFQVYLS >ONI30089 pep chromosome:Prunus_persica_NCBIv2:G1:24436231:24438561:1 gene:PRUPE_1G230700 transcript:ONI30089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFCFCMVLLSLFSVLLFSITQALQSKANPKRHLLPLGPKPFPFIGNLLELGNKPHQSLTKLSQIYDPIITLQLAKQVLRTHDQFLSNRTIPDAFQAYDFRQDSLPCIPPSAKWRSLRQICNSQLFAAKILKANQPNRRIKVQELISQVNESTVNSEAVVIGRAAFTTLILLSRIVFSVDLANSSSEMAREFKETVCGLMEGMEQLFDCMISQRLASRKAHDYVRTNDMLDTLLNISEANSEDMDKTKIRHMFLALFAAGTDTTSATLEWAMAELQRDLEKLSKTQQELEQIIGKGKPVEEADQNNVRIDGAHDKNLDDFIFVNSHQVPFPICL >ONI32905 pep chromosome:Prunus_persica_NCBIv2:G1:34983112:34985123:1 gene:PRUPE_1G393000 transcript:ONI32905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEWVNTSLGLNVIPFHPASDDQAPVKKQQELEGDYAKVFEGHASVKQEAAASHVLTEELNRISLENKKLTEMLTALCENYTNLQSHVKELMTAKQQSSDQNDLATNFNKKRKPDSEDYRNMIGLTSPGTNTETSSISDEEYPCKRPKENMNLKISKVYVRTEASDTRLIVKDGYQWRKYGQKVTRDNPSPRAYYKCSFAPSCPVKKKVQKSAENPCLLVATYEGEHNHMHPETRAEVTLIGSISPNQQLSPLSPSMAKRTSPVPTFSCDKNNNLSPREIEGAPPAFQQFLVQQMASSLTKDPNFASALAAAISGRFSDHSRMGNW >ONI29636 pep chromosome:Prunus_persica_NCBIv2:G1:21048974:21054585:-1 gene:PRUPE_1G207000 transcript:ONI29636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASETAPPLDILVRGPEGFSLWNGPPFSNGQPGIKLENISCTSTKFSEDGSRLMVMKSDSVISIYDCSSYREIRSFQIPNVAAAALSPRGTYVQTFQKSSTPQEKNVILWNTETGDPVYQQFQKNMTKATWPSIRFSSDEAVACRLATNEIQFLDAGDFSKPFIYRLRVPGVAAFELSKAPGSHVAAFVPESKGIPASVQIFSCAKAVQSQPLARRSFFRCSSVQLNWNNGSTGLLVVVQSDVDKTNQSYYGESKLNYLTTDGTHEGLVPLRKEGPVHDVQWSYSGSEFAVVYGFMPSKATVFDKKCNPLLELGTGPYNTIRWNPKGKFLCLAGFGNLPGDMAFWDYGEKKQLGTTRAELSVTSEWSPDGCYFMTATTAPRLQVDNGIKIFHHNGSLFFKKMFDKLYQADWKPESPDRFGDIAELVKSIGSLKVVETKPQGDRSKISQTSAKASASNPPAQKPAAYRPPHAKSAAAVQAQLFGESSTETVSKNALKNKKKREKQREKKAAEATSGT >ONI30897 pep chromosome:Prunus_persica_NCBIv2:G1:28400740:28401503:-1 gene:PRUPE_1G280300 transcript:ONI30897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHHREDNPSHSHPLHQTPASQQGTETPTGFHHNHRKGKGPHHLEVQQQSNRTHHRERHENEMKWPNHRHKLPIRLQNQQIHHLGDHKGTQQRKEGSQPRFNGRGQPHWSV >ONI32430 pep chromosome:Prunus_persica_NCBIv2:G1:33632695:33635215:-1 gene:PRUPE_1G367500 transcript:ONI32430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQQQAPMKSRFKRLCVFCGSSPGKNPSYQLAAIQLGKQLVERNIDLVYGGGSIGLMGQVSQAVYDGGRHVLGVIPRTLMPREITGEPVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFIDPAARHIIVSAQTAQELMCKLEEYIPKHSGLSWEMEQQLEFTAKSDIAR >ONI36225 pep chromosome:Prunus_persica_NCBIv2:G1:46939272:46940051:1 gene:PRUPE_1G576400 transcript:ONI36225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPTALDALSDAYLRCLAACCPGVWTQFTIQPLLSLSVQTDTSFFSSPNHTYHPSPLLASSELILNFF >ONI27963 pep chromosome:Prunus_persica_NCBIv2:G1:9041293:9042145:1 gene:PRUPE_1G113800 transcript:ONI27963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLDSSLAPPFFSSPPIFHSQKMSCSISFLLLLHFVLLLMVLPITQSFVFPLDEGGDLIEKTCKKTPHYDLCVSTLQSNPQSSNTDVPGLAHIISDFLLAKATDTLDYIHGLLKQSPEAELQKALANCAELYIPVVKFSLPQAIDALTNGHFGFANYGISDAAKEADACEKGFSGSTKSPLTDGNKLVDNLSGVAVAIINLLKGS >ONI36355 pep chromosome:Prunus_persica_NCBIv2:G1:47307266:47308673:-1 gene:PRUPE_1G582300 transcript:ONI36355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRGRGRGGFGGGGGGFGYAIQVPFEVFPEVDLPNVKGIFDPEKKVNKGLSEEENLVNQSLKFQKIWKGSLFYLEQTSSKERQNTEVERYSDRLKPKTTIRSGPFFVILELKGFPQELTGGSRAQQPGRKRVRWNPDSVP >ONI27555 pep chromosome:Prunus_persica_NCBIv2:G1:7320008:7320606:-1 gene:PRUPE_1G094400 transcript:ONI27555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREPFNVTARAPAVTSQRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLESGENGAGSEQDLEAGEGGKGDETAQKAPPVFEEKILVIMAGDAKPTFLATPMSSRSSSFGANSSNSSSSSSSCSCSEKSEKSVEMSEVGKQENGHDHQVQASESRGNGHEASDQSDQRPVN >ONI28078 pep chromosome:Prunus_persica_NCBIv2:G1:9561370:9563615:-1 gene:PRUPE_1G121800 transcript:ONI28078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATSKTSNTSIPFTTTIMLLLGLLVANLGITGVQSVGVCYGMLGNNLPSHAEVISLYKSNNIKQMRIYDPNQSALQALQGSNIELMLGVPNQDLERLATNPSEAQTWVQTNVLNFRQSVRFKYIAVGNEVSPVYGDTTRLAQFVLPAMKNIYNAIRSAGLQDQIKVSTAIETGLIGNSYPPSQGAFRGDVRAYLDPIIGFLVYAKSPLLANIYTYFSYIGNPRDISLPYALFTSPSVMAWDGDKGYQNLFDAMLDALYSAVERAGGGSLEVVVSESGWPSAGAFGASTDNARTYYSNLIRHVKEGTPKRPKRSIETYLFAMFDENNKLGEETERHFGVFFPTKEPKYNLNFDTSAGYNTTNTLNTDM >ONI31831 pep chromosome:Prunus_persica_NCBIv2:G1:31550534:31560192:-1 gene:PRUPE_1G333300 transcript:ONI31831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWEDEIVMRDVTNAGLVVSDRIGREVSSQLDLEEALEASRYASHPYSTHPREWPPLVEVVDTWELPGVLIERYNAAGGEGNSLCGIFPEIRRAWASVDNSLFLWRFDKWDGQCPEYSGEDQAICAVGLAKSKPGVFVEAIQYLLILATPVELILVGVCCSGGADGTDPYAEVSLQPLPEYTVPSDGITMTCITCTDKGRIFLAGRDGHIYELHYTTGSGWQKRCRKVCLTAGLGSVISRWVVPNLFKFGAVDPIIEMVFDNERHILYARTEEMKLQVFIVGQNVDGPLKKVAEERNLINQRDAHYGGRQSTGPRGPNRSTKSSIVCISPLSTLESKSLHLVAVLSDGRRMYLTTSPSSGNLGGFNTNHKPSCLKVVTTRPSPPLGVGGGLAFGSMSLAGRPQNDDLSLKVEAAYYSAGTLVLSDSSPPTMASLLLVSRDSSTQSAGSSTSGTSSRSSRALRESVSSLPVEGRMLFVADVFPLPDTATTVQSLYSEIEYGGYEGSDESCEKVTGKLWARGDLSIQHILPRRRVVVFSTMGMMEIVFNRPVDILRRLFETNIPRSIVEEFFNRFGAGEAAAMCLMLAARIVHSETLISNVVSQKAAEAFEDPRLVGMPQLEGSNALSNTRTAAGGFSMGQVVQEAEPVFSGAHEGLCLCSARLLFPIWELPVVVVKGGLGSADAMSENGLVVCRLSLEAMQVLENKIRSLEKFLKSRRNQRRGLYGCVAGLGDVTGSILYGIGSELGGGDHSMVRNLFGTYSRNTESNDGGMSNKRQRLPYSPAELAAMEVRAMECIRQLLLRSSEALFLLQLLSQHHVTRLVQGFDANLRQALVQMTFHQLVCSEEGDHLATRLISALMEYYTGPDGRGAVNDISGRLREGCPSYYKESDYKFFLAVECLERAAVIPDPEEKENLAREAFNFLSKVPESADLRTVCKRFEDLRFYEAVVRLPLQKAQALDPAGDAFSDQIDAAVRQHARAQREQCYEIVISALRSLKGEPSQREFGSPLRPAAMRSALDPVSRNKYISQIVQLGIQSPDRLFHEYLYHAMIDMGLENELLEYGGPDLVPFLQSAGREPIQEVRAVSAVTSAASPISYSGTAIPFNQAKYSDLLARYYVLKRQHLLAAHVLLRLAERRSTNSGDVPTLDQRYHYLSNAVLQAKNASNSEGLVGSTRGAYDDGLLDLLEGKLAVLRFQIKIKEELEASASRIEALPGASEPVQSGTVPTSTLSGDANLANIAREKAKELSLDLKSITQLYNEYALPFELWEICLEMLYFAYYSGDADSSVVRDTWARLIDQALSRGGIAEACSVLKRVGSHIYPGDGAGLPLDTLCLHLEKAALERLESGVESVGDEDVARALLAACKGAIEPVLNTYDQLLTSGAILPSPNLRLRLLRSVLVVLREWAMSVFAQRMGTSATGASLILGGTFSLEQTSGINQGVRDKISSAANRYMTEVRRLALPQSQTEAVFHGFRELEESLISPFSFDRF >ONI34381 pep chromosome:Prunus_persica_NCBIv2:G1:40083521:40085837:-1 gene:PRUPE_1G479400 transcript:ONI34381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTASNGHLSLTPEPEQGNSSSSSSSPLYKVGRVLYRYPVRAWPAFISTTFTVRISAFPNSTGSGDGMAFVFAQDSGPSPPDSYGSFLGLLNRSTEGGAVKQLGIELDTFMNEEFDPDGNHIAIDTTSIMNPVVAKSLNSTGIELKSGRDIKFRIDYDGWNQILQISVGYSENPTISFLNYSIDMSQMVPSSVYVGFTASTGTLPESHQVLDWVFTSVQLPGIPPSKPDSDKKVHHWNTKSIWVIDLPIFLGMAILIACTYPLILRVLRRNQCIGNQDEDDIESQSRTAANAPEMFTYKQLLVATQNFCKENLLGSGGFGIVYKGIISSDPPKTIAVKKISATSKQGEREYLAEICTIGRLRHKNIVQLQGWCHEHEHRFLVYDYMPNGSLDRYIGKPYLDWRTRYKILTGLASALLYLHEECGNPVVHRDIKPDNVMLDSDFNAHLGDFGLARLMFKDASVTIPMAGTPAYLAPEFLGFSGKATQESDVYSFGMVVLEVVCGRRSKGFMDDYSLVEHVWNSYTKNELLDCVDQMLDGKFEEEQVRRTLIVGLACLHTYCMLRPKMRKVVQILLNPNEPLMELPDTRARPSAVYLSVSSSAPTTEFGSTSSSYIAS >ONI26943 pep chromosome:Prunus_persica_NCBIv2:G1:4059933:4060691:1 gene:PRUPE_1G056800 transcript:ONI26943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKHTFSSVFIIMLIANMHNAIVLVSAVDPAPVGNEPVLEIYMHDILGGSSPTARPITGLLGNIYSSQVPFAKPIGFLPPNGAVAIPNANGAIPTVNGANGLPLGTGLSGTAFAGNPNAQQNGNPATQLAPDGLGLGFGTITVIDDILTSSPDLGSQTIGKAQGVYVASSADGTRQLMAFTAMMEGGEYNDNLNFYGVYKIGSTKSQLSVTGGTGKFKNANGIAELQPLIPPGQHATDGAETLLRITVHLKY >ONI30696 pep chromosome:Prunus_persica_NCBIv2:G1:27498716:27500269:-1 gene:PRUPE_1G267600 transcript:ONI30696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMQLLKNDKVILFDRTDMGLSNFSFPSGTGCRKYNDTHHRNRTITDCTAHSLQYDVISGNIRPLYVSSDTWCSSGALDPNGTLIQTGGYGPGIRKIRTVAPCDDGKCEWTELPVNLADGRWYASTQILPDGRVIVVGGRRAFSYEFYPKTDDVSSKRYYLSFLAETTDPYEENNLYPFLHLLPDGNLFIFANNRSILLDYKQNRVVKELPVIPDGIKRTYPSTGSSVLLPLKMNGSLDGSDKPEAEVLICGGSPPGAFNMSNLNRVFVSASNSCGRIKLTDPDPKWVMEEMPMPRVMSDMLLLPTGDVIILNGASNGTAGWEDAANPVFNPVLYKTYEPDPNLRFVVLNPSSTPRMYHSSALLLPDGSILVGGSNPHEMYNFTARPFPTDLSLEAYQPPYLGPLLAVLRPSILTIEARDSIVSYGQMFSVTFMLSVYRADPGISVVLITPSFTTHSFSMNQRMVVLDVARLENLSAFAYKIAAYGPPKTTVAPPGYYMLFLVHAGTPSHAVWVKVQ >ONI36226 pep chromosome:Prunus_persica_NCBIv2:G1:46940392:46943238:1 gene:PRUPE_1G576500 transcript:ONI36226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSNFQSTNNNKKQQQQQQSNTSNNNTSHHQLHQHLLHPHPHPHHQQQPKQQQSSPSSQFVVPFDGSRSSSGAGGGGGGGGGPPFMGSISIQAGLTSTHTPTTSSATVSAQPPAPSSSSLSSSSSSSPSSTSTSTAPPPHLVDASLAIATRSDSHPSTRPAPLDSAKKNQIHQQQLTISPTSTTTATPPAPPAAAAATQVVKRSTKDRHTKVEGRGRRIRMPATCAARVFQLTRELSHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSSLNISLRSSGSTLSAPPSKSASHSFPGALALAHHPHYDEGFPHSGLLGFHQHHQQHQQQHHHHHHQQHQSPLMTADQIAEALPSGGGDSGGGDSTDSYMRKRFREDLFKDDNQGRGEGSSGGGGSPSGKAFKSSGGLQLQKQQQGSEGEAGPSGLLRPPSNILPPTAMWAVAPAPNSGAAGSTFWMLPVTAGAGGPSMPTGTSGAGPSEAAQMWTFPSAPATHGSTLQAPLHFMPRFNLPSSLEFQQAGSRGSPLQLGSMLMQQQPSQHLGLGVADSNLGMLAALNASYSRGGLNMNSEHHQQNHPLDHHHQQQHHQPQTTDSGDEAPNSSQ >ONI32923 pep chromosome:Prunus_persica_NCBIv2:G1:35060869:35061144:-1 gene:PRUPE_1G394100 transcript:ONI32923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTHKVSPEDVRRAGAEAGKRAPGLRSSLYFGATSCMAVTGLLFAGTIGYYTLYVKKKPDPSALDVVRVRRDLDDLRPRKSLVNSTDPAP >ONI30544 pep chromosome:Prunus_persica_NCBIv2:G1:26734934:26737470:1 gene:PRUPE_1G257200 transcript:ONI30544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGISMPFVGMVMVILAQVTSLILNKEAMASGLSEYVLAVYSFALAALIILPFCFFFNRSERPPLSFSIISRLFLLSLIGSSGLLMGLAGLNYASATLNTAMLNLIPAFTFILALLFRMEKLNWRSSSSQAKTIGTVVSITGAFVVTFYKGPAVIHARLSSAGTGLFSNLFLFSEKSHWVLGGLLLAADSFSTSLWFIVQASILKKYPAVLITVFFYCFFVCIQCALVSLILVQDLSAWRLKPNIGLLAVLYYGIVGISFRTNMCTWCLQRTGPVYVSMFKPLAVVFADVIDVIFLGQALYLGSLIGAAVIISGLYVVIWGKSREEKLHENSGEGQNCLESSTERVPLL >ONI31509 pep chromosome:Prunus_persica_NCBIv2:G1:30539495:30544525:1 gene:PRUPE_1G317200 transcript:ONI31509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGYGGGSGVGVKGVFIGAGCNRVVNNVSWGACDLVAFGAQNAVAIFNPKTAQISTTLPGHKAAVNCTQWLPSNKFAFKAKHLDRHYLLSGDAAGAIILWEYSVLEGKWRNVQQVPQLHKKGVTCITGIMVSQTKAVFASTSSDSTVHLWEVVFPSTSGGDCNLLHLDSLCVGVKPMVALSLSELPGSAGYLVLAMGGLDNKIHLYCGERRGKFVRGCELKGHTDWIRSLDFSLPVCTTGEANNVLLVSSSQDRGIRIWKMDLRDSLDSNQSAYRKEKISLASYIEGPVLVAGTDSYQISLESLLIGHEDWVYSVEWQPPSTASPEGIAYCQPQSILSASMDKTMMIWKPEKTSGIWMNVVTVGELSHCALGFYGGHWSPNGDSILAHGYGGSFHLWKNVGTDFENWQPQKVPSGHFAAITDIAWGRSGQYLLSVSHDQTTRIFAPWQNEASLGDEESWHEISRPQVHGHDINCVAIIQGKGNHRFVSGADEKVARVFEAPLSFLKTLGHAISQKSSFTEDIQVGVQILGANMSALGLSQKPIYVHAEQQTPDRNLNDNLDTFEAIPDAVPVVFTEPPIEDQLAWHTLWPESHKLYGHGNELFALCSDHDGTLVASSCKAQSAAVAEIWLWQVGSWKAVGRLQSHSLTVTQMEFSHDDKFLLAVSRDRQFSVFSIDKTGTDETSYQLVSKQEAHKRIIWACSWNPYGYEFATGSRDKTVKIWTLGKDTSVKQITTLPQFNSSVTALSWVGLDRKSNDGLLAVGMENGLIELWSLSVKRSEDGVAADAVAAALVVRLDPLMCHVSSVNRLAWRNRRNEDSSSSIQLASCGVDQCVRVFEVNVN >ONI35152 pep chromosome:Prunus_persica_NCBIv2:G1:42595045:42597056:-1 gene:PRUPE_1G518700 transcript:ONI35152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWRLLVSKTPTATPPSLLPNPNPNPNRLQLSLLLLQTSPFSTSFLITKTPKKFKKKRKKDESPRTKLVQTQPNLIPHFEHIVERDAHYRFLTKSRDFLSKQPHRVLRLDDAGKLHRELGFPRGRKIARFLQRHPLLFQTYRHVDNKMWIGFTEFMEDLLEQERVIVDSMESDRVNKVRKLLMMSSNKRIPLSKIYHCRSLFGIPEDFRDRVSKYPNYFRTVIEEGGKRMLELVNWDPLLAVSTLEREFIVDEEKVKRAFRFPVKHGKDLGLEKEDERKLNLLNSLPLVSPYSDGSRFDLWTLEAEKYRVGVLHEFLSLTLEKRASIHHVVEFKEEFSLTKHTYQMLFKQPRTFYLAGTEMNWVVFLKDAYDQNGVLIEKDPQVVFNEKLYKYAEMKEMEQGCVDQWK >ONI32557 pep chromosome:Prunus_persica_NCBIv2:G1:33939530:33941346:1 gene:PRUPE_1G373100 transcript:ONI32557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENQVIDCHTTEAWEEQLHKGNENKKLLVVDFTAWSYGPCQLIAPILAELAKKNPKVTFLKVDVDELKTVSEKWGVDAVPTFLFLKEGKVVDKVVGAKKDELRTKVEKHDAAASRVDDTSRTGATAKATATACNSATATATATATSTTTSTAAAATPTATSTDTA >ONI26860 pep chromosome:Prunus_persica_NCBIv2:G1:3578105:3580638:-1 gene:PRUPE_1G051000 transcript:ONI26860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRASHAIHSKPVLDLKSIIHQNSQFFDKLVELIPARFYLPIDDDEKPWFQGLSKSAKASAKKESKENIKKARRQRLDPEKSSTTTLDLLKQSLEKKSSDESDSDEIEVKPVVAGLEDDDRSVTYEELQHRLRCKIEEFRAGRHCDGSERARKRIERHERYEKKGADPKKRKRETASDGKKSTSDDSVRKDADEASKELTFGHVKLGNEDELGKKNKKRKLSKLQELERAKQLEEAKKDPEKGEIIAKKHSWKAATSRAAGIKVHDDPKLLKQSLHKEKKKHQKNAEKWKGRVETTEKMKADKQKKRSDNISQKKQEKKMRKIAKREKKLMRPGFEGRKEGFITQG >ONI28359 pep chromosome:Prunus_persica_NCBIv2:G1:10934448:10938704:1 gene:PRUPE_1G139500 transcript:ONI28359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYGRTTEGSQSDPSPEWTVPGPETGLEESMWQLGLGPGESYPERPNETDCSYYLRTGICGYGSRCRYNHPRDRSAVTGAARPGGLEYPERAGQPVCQYYMRTGTCKFGASCKYHHPKQGGSSGSPVSLNYYGYPLRQGERECSYYVKTGQCKFGATCKFHHPQPAGIPLPVPSPAPQVSPVPAAPLYQTVQSPSVSSQQYGVVFARPPLLPGSYVQSPYGQVLLSPGTIPFPGWSPYQAPASALPSPSTQPGVGSGTLYGMSQLSPSAAAYTGMYQPIPLPSSLGLPTTSQKEHLFPERPGQPECQYYMRTGDCKFGSSCRYHHPPEVVGPKTTVALSPSGLPSRPGAPLCTHYAQRGVCKFGPACKFDHPMGTLSYSPSASSLADMPVAPYPVGSSIGTLAPSSSSSSTELRPELNSGSGKDLVSARMSSSLSTSSGSVGSTVSKGGITHLGVQQSAQGSGPSTSSGSSTESHSPS >ONI28326 pep chromosome:Prunus_persica_NCBIv2:G1:10821596:10823008:-1 gene:PRUPE_1G138400 transcript:ONI28326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVLSFHYGKFYRSHRYIRWAKCQKLRSRRCKFGPRIFSGLRQQELLSRLLPHMAEECQNTLLEALFTFFQAHQERPSRS >ONI29068 pep chromosome:Prunus_persica_NCBIv2:G1:15368687:15370112:-1 gene:PRUPE_1G178800 transcript:ONI29068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNQRQVRLMRQSLFDQGFLDEQFIQLEELQDDANPNFVEEIATSYYQDSSRSLQTIELALEKTPHDFNKLDGYMHQFKGSSSSIGAKKVKAECQQFREYCKAGNGEGCMRTFQALKREHATLKKRLEAYFQLARQAGPIETARRPK >ONI26510 pep chromosome:Prunus_persica_NCBIv2:G1:2144647:2146014:-1 gene:PRUPE_1G029800 transcript:ONI26510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKLRHNISPFSAKCCIFRVPLLLRRHNPGAYGPDIVSIGPLHSRREKKFQATENVKQWYLHNLLSRMNISLEIFIERIGKVLDQEKECFAEFDTRARDFYAEPLDHINQDDFIEMMILDGCFIMEFFRNFYYKERRDINDPIFNMDCMIQYLFHDLLLLENQLPWFVLERLYNLTRNYDEPDDTALIVLMLKAFSTHPPLHHNSHSYLCYLYDHGDKISHTEKKYGDDKDGEAVVVVDDDNDDDDHDDGLLHILDLIRTSIVFPFKCQIDRSFENLEEQLIPTATALSEAGVKFRKGTAESIMNIQFKHGVFTIPQLAIGELTESIFRNLIALEQCYHGRSPKITSYAVLMDNLICSSRDMKLLCENEVLGKWLSPEDGSQFFNKLYNDTLLRKFYYSELCFRVNTYYGKGWSKWLEILNREYLSNPWKIISLVAACILLVLTLLQTYYTVHQ >ONI32446 pep chromosome:Prunus_persica_NCBIv2:G1:33693575:33693784:1 gene:PRUPE_1G368200 transcript:ONI32446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILILSPFYFAFVSVVTHNHNGRLNYNVQLQSQSFACSLKFTHSPDPSYNLLLILFPLDDTLNPPSQLH >ONI28241 pep chromosome:Prunus_persica_NCBIv2:G1:10554572:10555586:-1 gene:PRUPE_1G133500 transcript:ONI28241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLYTKSNPLASFLPKTKFLIQRRCYAAASHGPLPAALGKGGSRSSMVEEWVVNAMKEESGLGSSSSWAPDPLTGYYRPSNCANEIDPVELREMLLKHRTK >ONI30179 pep chromosome:Prunus_persica_NCBIv2:G1:24892813:24897195:1 gene:PRUPE_1G235200 transcript:ONI30179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEKPRAIDFYKEEGSRDMMIEVVSNDDLSQSQTHHPNHQPQQQQQQMLLGESSGEDHEVKAPKKRAETWVQDETRSLIALRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHHDRGSGSAKMSYYKEIEEILKERNKNAQYKSPTPPKVDSFMQFSDKGIEDASISFAPVEASGRPTLNLERRLDHDGHPLAITAADAVTASGVPPWNWRETPGNGGEGQSCGRVILVTWGDYTRRIGIDGTADAIKEAIKSAFRLRTKRAFWLEDEDQIVRSLDRDMPLGNYTLHLDEGVAIKLCLYDESDHIPVHTEEKIFYTEDDYRDFLTRRGWSCLREFDGYRNIENMDDLRPGAIYRGVS >ONI29903 pep chromosome:Prunus_persica_NCBIv2:G1:23564980:23565869:1 gene:PRUPE_1G221000 transcript:ONI29903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHYQQAEASWGYYVPVRMSMGDPLERVARLAAKSAVVIFSVSSCCMCHAVKRLFCGMGVNPTVYELDQDPRGKEIERALMRLLGNSPAVPVVFIGGKLIGTMDRVMASHINGSLVPLLKEAGALWL >ONI30425 pep chromosome:Prunus_persica_NCBIv2:G1:26252305:26253104:-1 gene:PRUPE_1G250600 transcript:ONI30425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >ONI36129 pep chromosome:Prunus_persica_NCBIv2:G1:46498988:46502861:-1 gene:PRUPE_1G571000 transcript:ONI36129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRTVYVWGVAILCFVVLMIVTPAIPQSEAYHDFADQREFLGIPNTLNVVSNFPFLVIGLIGLVLCYYGDYFKFSLQGELWGWTCFYIGVAAVAIGSSYYHLKPNDARLVWDRLPMTIAFTSIIAIFIIERIDERKGTISIIPLLLAGIISILYWRFFDDLRPYAMVQFLPCIAIPLMAILLPPMYTHSTYWLWAAGFYLLAKVEEAADKVIYDWTHHIVSGHTLKHLCAAMVPVFLTLMLAKRTVEPARQSLLKTWKISWTKFRKTDGTVESDGTVESYTCTYSTVPVVESQ >ONI30600 pep chromosome:Prunus_persica_NCBIv2:G1:27013400:27016890:-1 gene:PRUPE_1G261300 transcript:ONI30600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSRTPWLLLLLLCFLGLGLGFGDALKVPLRIKDVLPVLPRQISWPVLNNFHSAVDLLPSFIGSVTADNTSIEWEGACFSGNQARLEFTEGDRDKPNLGGGVLYLKTSEAHSWACMDLYVFATPYRITWDYYFSAREHTLKFDSWEEQAELEYVKQHGVSVFLMPSGMLGTMLSLIDVLPLFSNTAWGQSANIDFLKKHMGATFEKRSQPWQTTINPEDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDEMGNLWVGESGHENEKGEEIIAVVPWDEWWELALKDSSNPQIALLPLHPELRAKFNSTAAWEYARSMSGKPYGYHNMIFSWIDTMADNYPPPLDTHLVVSVMSMWTRMQPAYAANMWNEALNKRLGTEGLDLYEILTEVENREIAFDHLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGIFGPISNSIQVTEFTIRDAYMLKIFEDNQTRLPSWCNGKDEKLPFCQILGEYRMELPEYNTIEPYTNMNEHCPSLPPTYDRPLRC >ONI32627 pep chromosome:Prunus_persica_NCBIv2:G1:34148414:34150202:-1 gene:PRUPE_1G377100 transcript:ONI32627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLFYAFMVTDVLSQAPPTPPISLTVDQSGNGDYTKIQDAIDAVPSNNAKRVSILVRPGVYQEKINVPVDKPFITMSGTNTEHTIITWNQAGWINQTSVFTVWASDFIGRQLTIQNTYGTGDKTVALRVSGDRAAFYGCRILSHQDALFDEIGKHYYKDCYIQGDTDFIFGSADSLYENCHLHTLSGQNGAITAQRRTSPSEESGFTFLWCNITGVKTALLGRPWGPYARVIFAYTRMSNVILPQGWDSWRLSPYNLSKVFYGEYNCFGPGAVTTRRVNWAHKLTTREFAPFMAQASALRRTIIGLDGGRGQHVAPTMVRPGSGGGGGGEQHGASTPIGREGNKAKENERNRPGAPPRALTATPAPIGGEAAPPRALTAPPPPIGGEGTPPLVLTATPPLIGGEGTPPPSLTATPTPIGGEGEGNKEKENGRNSPEQAPASAAWLIIEGSNNDVERTSGSPRVGAAPLGFLGAFFIFL >ONI26778 pep chromosome:Prunus_persica_NCBIv2:G1:3222304:3223645:-1 gene:PRUPE_1G045100 transcript:ONI26778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATAGVFFANTRSLCFRKAESSKRLLQPFKAEAVSDIGFVTSQLGGIKISYDMSSQLPKSISIFSPPALQPIVARRICPFTGKKSNRANKISFSAHKTKKLQFVNLQYKRVWWEAGKRFLKLRLSTKALKTIEKNGIDAVAKKAGIDLRKL >ONI35231 pep chromosome:Prunus_persica_NCBIv2:G1:42967119:42968448:1 gene:PRUPE_1G524100 transcript:ONI35231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEFAANLGYSDVYVETDSKTLVDGIKGDIRNRAWTIRPFIEVIWHRADQFRRVFWRWIQRSTNRAAHEAAAIGCRAVELESWVTQPPLSLIHVLVSDGLPGSA >ONI27162 pep chromosome:Prunus_persica_NCBIv2:G1:5057176:5057391:-1 gene:PRUPE_1G071500 transcript:ONI27162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKQSAIKNKRYGNLQAIEDLTIRYSQKFKKPVSAFTSRTPFARLWGPSPAPLDLNSSYFIFMSSCAMQN >ONI32611 pep chromosome:Prunus_persica_NCBIv2:G1:34090077:34090478:1 gene:PRUPE_1G376000 transcript:ONI32611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLSHPLFYPILFLFLFIFLASTDAEVAMVEARICQRRSKTWSGFCGNTGNCNRQCRNWEGALRGACHAQSPGFACFCYFRC >ONI29062 pep chromosome:Prunus_persica_NCBIv2:G1:15308884:15310452:1 gene:PRUPE_1G178500 transcript:ONI29062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTLKLYLLTMTNITTTGVTLNIKSCRTKFAHRFVRSLLQIRNHAPPSSSSSSEEKLIQKRRQRIKIAAYLSMAHAVGPRMNWSRALLFKLRNRACRHRKKKRIVVIRRKTKKASRSSQGSVPVDQAKKLRRLVPGAKSMDLCSLLEETAHYITCLSTQIKVMQALADHLSK >ONI28332 pep chromosome:Prunus_persica_NCBIv2:G1:10864767:10866789:1 gene:PRUPE_1G138900 transcript:ONI28332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPLNQNLISALTASNLSRQNQLSPSHLIQQLRSCKDSDSAKSLHSNGIKSGSLYDTFTTNHLINCYVRLQRIDLASQLFDEMPEPNVVSWTSLMAGYVDTGQPRMALWVFGKMPECSVLPNEFTFATVINACSILAHLRTGKKIHALVELLGFQSNLVVCSSLVDMYGKCNDVDHAQRVFDLMGCRNVVSWTSIIAAYAQNAQGDEALQLFREFNRLMLERPNHFMLASVVNACASLGRLVSGKVAHGAVIRGGYDSNAVIASALLDMYAKSGCVEYSDKVFRRIRNPSVIPYTSMIVAAAKYGLGRMSLQLFQEMIDRRIKPNDVTFVGVLHACSHSGLVDEGLQQLESMHEKHGITPTAKHYTCIVDMLGRTGRLNEAYELAKSIQAEANQEALLWGTLLSASRLHGRVDIAVEASRRLIDSNQQVVGAYVTLSNAYALNGEWETAHDLRLEMRRTGVQKEPGCSWVEMKDSSYVFYAGDVSSCTRGSEVVTLLRELEGKMKQRGYVGGSRGLVFVDVEEEAKEGIVGLHSERLALGFALLSIPKGVTIRIMKNLRMCRDCHEAFKLISDIVERECVVRDVNRFHHFKSGSCTCRDFW >ONI31649 pep chromosome:Prunus_persica_NCBIv2:G1:30895852:30898880:1 gene:PRUPE_1G323500 transcript:ONI31649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVAGGEDRTSDLLQLLNDVVVASKGCRAGSFKKDCTDLVRRIALLTHLFEEIRLFKGREFGPLDASTSSTNSSSRESWASDLVVALQGAKRLVFLATNFTSNSTPSVPVNHNDEASKKISFQFQCVTWKLEKALADIPYDQFDISEEVEEQVELVRAQLRRAIERYGSGMNSRKMSFHGLSQSLVESGKWVYVENSAKTIANIPRSFSAESADEMTIHKTRSLSNSCEPPSLCLANEIQVDGQEDDIKKPDATAIPDDFICPISLELMRDPVIVATGQTYERSYIQRWIDCGNTTCPKTQQKLLYLTLTPNYVLRSLIARWCTNNIEHPTGFRNGKIKKSDGSFRDISGDIATIQALVRKLSSGSTEERRAAATEIRSLSKRSTDNRILLAEAGAIPVLVNLITTEDGLTQENAVTSILNLSIYENNKGLIMLAGAVPSIVQVLRAGSMEVKENAAATLFSLSLADENKIIIGASGAIPALVELLQNGSTRGKKDAATALFNLCIYQGNKGRAVRAGIVKPLLKMLTDSSNCMVDEALTIMSVLANHQEAKVTIVKAGTIPVLIDLLRRGLPRNKENATAILLALCKRDTESLACISRLGAIVPLTELTKSGTERAKRKATSLLVHLRKLQQL >ONI29146 pep chromosome:Prunus_persica_NCBIv2:G1:16185422:16186619:-1 gene:PRUPE_1G183000 transcript:ONI29146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMRPTTFIRIISLHLKAQMLGFNMLTTKKAEVVIKQGRVKTTTFLAIDFMQKTAIGLGLSMLATRTTRSRAYHFFSVFLFFLFKLYFTFYFIC >ONI36027 pep chromosome:Prunus_persica_NCBIv2:G1:46187152:46189022:-1 gene:PRUPE_1G566000 transcript:ONI36027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSSSQFLDFASMALKFRTLSLTVLLLVLIALIMLPDGVVSLTPREYDSMQRVLRGHGYNLMCNAMATSDLQWMLLTFPANASFTIFAPTDASLFALDMIQTASSYTDTLRFHVVPLRLSLSNLRSLAAGSFLPTLLPSSALRLVSPRPLSVSGVDVVLPGLFYSRHLAVHGLGGILSLASLVPYGSSHSPVPKVEPMSTSDFNGTDLSPVIAPSSVNTGSPSISPAFVTVDEISPAPAAPSPLSANSVAERARVLLMEINADTDHEKSKSISDAGKIFGSESPPLVMEARPEGVNKLEKCGALDEMTIDCFVPEGAAGLDHISVHHVVRVST >ONI27967 pep chromosome:Prunus_persica_NCBIv2:G1:9048386:9052229:-1 gene:PRUPE_1G114000 transcript:ONI27967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIFKHEELPIPIHDSLDPVYGDSSQLEEAQLRFHTLKSKFQQVFGQAPQLFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRRHDEVEAEKVLKIANVNDKYQICTYPADPNQEIDLKNHKWGHYFICGYKGFYEYAKSKGANFGAPVGLDVLVDGTVPAGSGLSSSAALVCASTIAIMAAFDVNFPKKEVAQLTCDCERHIGTQSGGMDQAISVMAKTGFAELIDFNPIRATDVRLPDGGTFVVAHSLAESQKAVTAAINYNNRVVECRLASILLGINLGMKPQEAISKAKTLSDVEGLCISFASTRGSSDPALAVKEYLKEEPYTTTEIEKILGESLPSIFATSPSSLNVLNAAKHFKLYQRASHVYSEAKRVHAFKEAVSSNLSDEDKLKHLGDLMNGSHYSCSVLYECSCPELDELVNICRENGALGARLTGAGWGGCAVALVKDSIVPEFILNLKERFYQSRIDRGVINNNDLGLYIFASKPSSGAAIFKL >ONI28994 pep chromosome:Prunus_persica_NCBIv2:G1:14667083:14668349:1 gene:PRUPE_1G174300 transcript:ONI28994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLEVQNKRKSVNKTLYITYTWFVGLVSSLIVARTCNLRSGQKKQAIRPSPCSPTFLNLIKLSILSEIELDLLRARGPIRALVLTQPLPPSHSVAKKNLPGRGRKKGPKLTISKNPPTYRQQAQLSLPILYMSFSTVVKKTKSPNPSQSTVSEPTQCRERD >ONI33578 pep chromosome:Prunus_persica_NCBIv2:G1:37102210:37106586:1 gene:PRUPE_1G433800 transcript:ONI33578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVVASSSIEAGIGCWDLHTGAEQLRYKLCASPPHGLVCVGQRFLASSQLREPSASGSVQYWSWSKPQVDVKSFPAEQIKPLAANSEGTYIVGGGLSGNIYLWEVASGRLLKKWHAHYRAVSCLVFSDDDSLLISGSEDGGIRVWSLLLIFDDFRRQQRSQLYEHDFTGHALCVTDVVTGYGGGNAIIVSASLDRRCKVWSISKGKLLRNIVFPTIVDAIALDPGEHVFFAGGRDGKIYVAALNAESTSDSKYGLHIINCFSNHSNTVTCLVYSISGNCLISGSEDGVVRVWDAKSHNIIRVFKHAKGPVNNILVIRQQLSQMNSQSSSRRHGSSLPPPLEKYPIAEDPTDLKAVIGRQATCSNSTEALYPRSDGINGQIKELQQQGSASAEMEIERLKHDYERSIQMVQQWKKMYDNLHQFCVNELLDGDQVGIPNGNAA >ONI30846 pep chromosome:Prunus_persica_NCBIv2:G1:28184037:28186173:-1 gene:PRUPE_1G276700 transcript:ONI30846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMALAYGFCLVGLLAMVSCAHAYGGGGWVNARATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLGCGSCYEIRCVSDPKWCLPGAIVVTATNFCPPNNALPNNAGGWCNPPQHHFDLSQPVFQHIAQYKAGVVPVAYRRVPCRRRGGIRFTINGHSYFNLVLITNVGGAGDVHSVSVKGSRTGWQAMSRNWGQNWQSNSYLNGQSLSFKVTTSDGRTVVSYNAAPAGWSFGQTYSGAQFR >ONI30187 pep chromosome:Prunus_persica_NCBIv2:G1:24986770:24988218:-1 gene:PRUPE_1G236000 transcript:ONI30187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLSVRTNFLFNHVYFIVLIILVGLPRSAIDPSLAGLAATYGLNLNVLQAWVIWNTCNVENKMISMERILQFTKIPIEAPLVIEDNRPVPEWPMAGKIEIENLHVQYNPALPTVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRIVEPSGGQILIDGVDISKIGLQDLRSRLCIIPQDPTLFQGTMKTNLDHLQQHSDQALGEVLNQCRLAEIVKQDQRLLDTPVAEDGENWSVGQRQLVCLARVLLKKRKIIVMDEATASVDTATDILIQQIIRKETSGCIVISPTMTWVLEYDSPARLLEDSSSAFSKLVTEFLRTSSMSKGL >ONI33666 pep chromosome:Prunus_persica_NCBIv2:G1:37376840:37379133:1 gene:PRUPE_1G439600 transcript:ONI33666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLSSQMERARSFLQSPTYGNIVTVLSIDGGGIRGIIPGTILKFLESELQKLDGEEARLADYFDVIAGTSTGGLVTAMLTTPDENNRPLFAAKDINDFYLEHCPKIFPQDSNYTLIGEAMDMVKAVSGPKYDGKYLHKIVKEILGDIRLSDTLTNVIIPTFDIKRLQPMVFSSYEEKKNTSINALLSDICIGTSAAPTYLPAHHFETKTSTGESRDFDLIDGGVAANNPALVAISEVTKEIHQGNSDFFAIRSTEYGRFLVISLGTGSAKSEEKYDADDAAKWGLLGWLTKGGSSPLVDVFTQASSDMVDFHLATVFQALDSEKNYLRIQDDTLEKTVSSVDIATQENLNNLVKVGEELLKKPVSRVNLQTGIYEPAHQDTNEEALVRVAQILSRERKVREMRSPHGKAVAASNSN >ONI36505 pep chromosome:Prunus_persica_NCBIv2:G1:47719091:47720760:1 gene:PRUPE_1G587600 transcript:ONI36505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAPCSRSWSISEDSLKRYVQFASESCIQELLSASDSNRDTRVGTANNANDGWKVLTLDNGVEISKRRSGKTASFHTFRSRWLLTSVSPQQFITVANAIDAAKQWDPDLVEARYIKDLEDNLSIIRLKFGDNAKPLFRNREFIVYERRETMEDGTLVVAVASLPKEIAAGLQPKQNNAIRGLLLQSGWVVEKLEGDSCMVTYVVQLDPAGWMPRCFVNRLNNRLVMIIENLKKLAQACPIDGDGGET >ONI29471 pep chromosome:Prunus_persica_NCBIv2:G1:19418649:19424068:-1 gene:PRUPE_1G199700 transcript:ONI29471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSIVLRYTLVSMMVILALRTPEIQGQSIPSTNFAPPQVQAESKLIQANNASEPMRQSDNTVRVDPLDNFNKYRGGFNLTSKHYWSSTVFTGVHGYALGVLWLLCGILCGCFLLATRLCFKNRKSGKLKKRQLCHKQCYLWQWHIFLAIFFTLLAIVAFGLVLGGNARFHSQAKSVVNIIITTADEASGTIYNTTGAMKEMRNNLDSTGNTEVSSFLTSTSQKLDTGAADIERQAKKNRRLIDKGLKIVYIVTTVAISLNLVAVIALSVSGFLKLQRLVYMLIILCWFLTVLCWIFFGLYFFLAEFSSDTCTALENFQQNPNNNSLSSILPCDELLSAKSVLNDVGAGIYSLVNVVNANISSTQGTSSQSIEYVCNPFSEPPEYNYQPENCPANSVRIGDIPEVLRELSCSDASNGSCENGVFISDSDYKLVELYTSSIQNLLNSYPGMESLVECQTVKDAFSEILVKHCKSLKRYVKMVWAAMVFLATLMVLLVLLWTTQANHEQNHHLADSFVNPHSAAVIKLELSIATGLKKNPNPSIV >ONI34494 pep chromosome:Prunus_persica_NCBIv2:G1:40379729:40380286:-1 gene:PRUPE_1G484600 transcript:ONI34494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRVLDLCTICSFGVPCRPSPNPKIIEVTWHPSALDYHGCVLGAFCSNLDVSSAVHAEVLAVIKAIKLAWLHAWHKVWIETDSLLVTQFFRYPHLVPWRLRVDWLNCLHRLQHMLFKISHIFREGNHGAAALADHGALDSGCTCWDTAPSFILSFYHRD >ONI33029 pep chromosome:Prunus_persica_NCBIv2:G1:35414354:35417222:1 gene:PRUPE_1G401100 transcript:ONI33029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQNCRASVMTRKRKSQEEDNCISSTFYMDELNEDLLERVLSRLPTSAFFRLTSVCKRWKSVASSPSFKLACSQIPSRDPWFFMVDPLLNRSIVFDSTERSWKKLNHPPLLQKNSNCNSMPVSASGGLICFRNSYGNFIVCNPVTGSCNEHPPLDPSLQNLTFHAIVMHSCPKYEQSSYKLLVVFGELPKLSFRVYNSDTGSWEEETALCKKFDDDSAESESSEDNAAVYFLSKAGNVVATNMQRSPSKQYSSVITTNKDGEEIVHFLSSSGSVVACNLATKCFSEYSRLLPVFFEYSIDLVECGGRMLVVLLSEFFESASLRVWCYDEDVRSWHQIAAMPPAMSHEWYGKNVDINCVGAGDQILICLSSAEISSCVLCDLAANEWVELPKCFMDGEAIKFMSAFSFEPRIEASV >ONI32695 pep chromosome:Prunus_persica_NCBIv2:G1:34308780:34311168:1 gene:PRUPE_1G380400 transcript:ONI32695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRERERERDLELLIPVAPSNENADSKSSSPANSPPPTTSHPHHTTGREAFSKVIRSWASKKFMTGCVILLPIAITFYITWHFIKFVDGFFSPIYNRLGINIFGLGFATSLTFIFFVGVFMQSWLGTSVLTLWEWFIKKMPLISYIYAASKQISIAISPDQSSNAFKEVAIIRHPRVGEYALGFITSTVVLDQRSVGEELCCVYVPTNHLYLGDIFLISPKDILRPNLSVREGIEIVISGGLSIPQLLTTVDAQVLTPRRVPHFEEPKV >ONI28105 pep chromosome:Prunus_persica_NCBIv2:G1:9651236:9655816:1 gene:PRUPE_1G123100 transcript:ONI28105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNITKLLSLVSLLLCLSFCTIGVVHARPPFACDPHNPITRGLKFCRVTVPIHVRVQDLIGRLTLQEKIRLLVNNAIAVPRLGIQGYEWWSEALHGVSNVGPGTKFGGAFPGATSFPQVITTAASFNESLWQEIGRVVSDEARAMYNGGMAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAAKYAARYVKGLQGDGAGNRLKVAACCKHYTAYDLDNWNGVNRFHFNARVSKQDLVDTYDVPFKACVVEGNVASVMCSYNQVNGKPTCADPDLLKGTIRGQWRLNGYIVSDCDSVGVLYDEQHYTRTPEEAAADAIKAGLDLDCGPFLAIHTEAAVRRGLVSQLEINWALANTMTVQMRLGMFDGEPSAHQYGNLGPRDVCTPAHQQLALEAARQGIVLLENRGRSLPLSTRRHRTVAVIGPNSDVTVTMIGNYAGVACGYTTPLQGIGRYTRTIHQAGCTDVHCNGNQLFGAAEAAARQADATVLVMGLDQSIEAEFVDRAGLLLPGHQQELVSRVARASRGPTILVLMSGGPIDVTFAKNDPRISAIIWVGYPGQAGGTAIADVLFGTTNPGGKLPMTWYPQNYVTHLPMTDMAMRADPARGYPGRTYRFYRGPVVFPFGLGLSYTTFAHNLAHGPTLVSVPLTSLKATANSTMLSKAVRVSHADCNALSPLDVHVDVKNTGSMDGTHTLLVFTSPPDGKWASSKQLMGFHKIHIAAGSEKRVRIAVHVCKHLSVVDRFGIRRIPLGEHKLQIGDLSHHVSLQTNLGEIKV >ONI31122 pep chromosome:Prunus_persica_NCBIv2:G1:29124844:29127937:1 gene:PRUPE_1G293800 transcript:ONI31122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLWKRAKSFAEEAAKKSQTLTNSAKITDLVSETAKRSREFAAEASKKADEIKTAALKQADQIKSLSVSEIIPPQLSSLSIVNSASASAVEPPSPSELKKFGITDDLRDFVKGLTSDTFKHFPIQDAAEEVSEVPTTASNVRKDLTEWQEKHATFVLTTVKEISRLRYELCPRVMKERRFWKIYFTLVSSHVAPYEKQYMEEMKVKETEQLKDDTVKPTPVVGGTDQAEGSEKKKLASKSSSAEQDLDSFLLGDLEDSDGGPDDADGDGSFDDDFDKIENSDVEDEKHAKK >ONI27617 pep chromosome:Prunus_persica_NCBIv2:G1:7697009:7701637:1 gene:PRUPE_1G096900 transcript:ONI27617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDIRRGAFHFTSQTTALEIIVTSYLLGKDLMAFEMRVLIVVVAMVVCLPSAAYAEQGTATFYTPPYVPSSCYGYQNDGVMIAAASDAIWGNRAACGKRYRVTCTGATNQGVPQPCKGTSVVVKIVDYCPPGCRGTIDLSQEAFAVIANPDAGKIKISYTQV >ONI28759 pep chromosome:Prunus_persica_NCBIv2:G1:12690835:12698210:1 gene:PRUPE_1G159600 transcript:ONI28759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESVLTFAAEGILTKLTDLAAQEFRMAWGFKAELRKLSQSLPILQDFLGDAAEKAKDGGKAVEDWVKKLKDIADEADDVLEEINYDFLRRRVELQNQMGKKVLNLLSASNPILFRQKMAHRIRKICASLAELKNEAAFIGLVAKKKDPTSQRIREDRETNAFIGKDEIIIGRNAVVSDIVTTLTDSNTNEQNLSVMAIAGMPGLGKTTLAKSVYNEGAIDEYFNKKLWVCVSNTFNVNSILAAMLELLSGKVATTSQQALLTDLQEKLKEKKYLLVLDDVWNEDSEKWERLMSCLSKLNSAPGSKIIVTTRSGKVASITETLPRPKLELLSTDECWSILKHAACSDGSSDIPLGVERIGWEIAKNCEGLPLMAKVLGGILRSKNSTDEWLSIQESKIWALPEGEDRIMSVLRLSFDNLKSAPLKHCFAYCSIFMKDFEIERENLVQLWMAEGLLRSLSNQEMEDIGNEYFNILLQNSFFQDVTMDKDGVVITCKMHDLVHDLAELVSKSVGKLRSLFSNGEDLSNSLSTFNALRVLNLYEAKIEELPSSIGRLKYLRYLDVSGTIIEELPKFIGKLYNLQTLRMCRTWNLVRFPKEMENLINLRHVYFDKDKEVPFGMRRLTHLQTLRYFNLDKERNHGIDELGGLNQLKGELTISSLEHVKDKDEAKKSNLAGKANIRKLTLAWGYDWERNNKESDVDVLEGLQPNPELEILKIKNFMGGKLASWMMSLLNLKVIRLSYCKECEEVPPLGHLPNLRHVKFEHMDKLKCVGGEFYGAALFPSLKTLVFDYCPALIEWKEAAFPSLQKLTLLNCKELRNAPSRFPSLQKLEIRNIDQVMAIENICSQLTTLTHLEIRGATELTCLPVGMLENNHNLRVLHIEDCNKLSHLPDELHALRLLEGLTLKNCPSLEFIPITTQSQSMPCLRKLWIGNCEKLSSCLSGLEYCTSLQELHIENCQNLRHLPVDGLQTLVSLEELTLIECTSLEFIPITTQSQSMPCLRKLWIENCEKLSSCPSGLEYCTSLRELRIKNCQNLRHLPVNGLQTLVSLQELTLIDCSSLEFITITTQSQGMPCLHKLKIENCEKLSSWPSLDNLTSLHDVSICGCDGLTSLPRGLQSCTSLNRLTIRECPNLISLADVDDISRLHSLSSLEIADCQKLKYLPTGLRSLTSLEYLSIGGFWEELDSFPDFELPSQIRWLNISGWPKLKSLPQQIQHFTTCLEDLIIDSFDSMEALPEWLGNLTSLIYLNMWDCKNLMYLPTVEVMQRLTKLKTLVISGCRLLAERCAKVAQDFSHPRTIW >ONI32585 pep chromosome:Prunus_persica_NCBIv2:G1:34008674:34011580:-1 gene:PRUPE_1G374600 transcript:ONI32585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQVEPPNGIRSQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKINNAFENRIDALRTLRELKLLQHLRHENVIALKDVMVPVQRKSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSTGKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQREEDLQFIDNHKAKKYIRSLPYSLGTPFSHLYPDAHPLAIDLLQKMLVFDPSKRISVLEALQHPYMSALYDPNNNPPAEVPIDLDIDEDLGEETIREMMWKEMLHYHPEAAAGNAELFS >ONI35296 pep chromosome:Prunus_persica_NCBIv2:G1:43228214:43233839:-1 gene:PRUPE_1G528300 transcript:ONI35296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWDEWDDANFSHTEQQQQPDPDSHLNFDFFSDLSKPKDYYKILEVDYDANDDAIRSNYIRLALKWHPDKQKDQDSATTRFQEINEAYQVLSDPVKRREYDKQGMLYVYDYNVTEYLNRYKGLILTCNGLGIRHSIW >ONI28477 pep chromosome:Prunus_persica_NCBIv2:G1:11250897:11257242:-1 gene:PRUPE_1G143100 transcript:ONI28477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHVPAHSFPQSLSNLTFRPTKAPFHSNQIQASLSLSLSLLHRLSLSPSQTIILLWFQICNPRKSLEMNQYHIYEAIGRGKCSTVYKGRKKKTIEYFAIKSVEKSQKSKLLQEVKILHTLDHQNILKFFWWYETSAHLWLVLEYCVGGNLMTLLNQDKQLPEESIHDLGCDLVRALLFLHSKGIIYCDLKPSNILLDENGRTKLCDFGLARKLSDISQTTSSSLPQAKRGTPCYMAPELFEEGGVHSYASDFWALGCVLYECYAGRPPFVGREFTQLVKSILSDPAPPLPGTPSRSFVNLINFLLVKDPAERIQWPELCGHAFWRTKLNAVPLPPQPAFDNMLEQCAKPCLSVRNGDQSSINRTPKSRQKDVKKTFKQDENSTLGTKGHETPVKGTPGGRRTQAKVSGRGVDEKKDPSGATRGVNLLRLSRIAKMNLQRENEKENYRRPVSTNSENDSEVKIENTDMELDFNENTEDDTQDESDGSENQACTPDNKFSSQNQHQGKVEDMENNSNQSDTLSVLNMPASDDSRGLDQESSPHNIEVAVIPPSASPVIKNQRFKEGSGSAMEYDPVKSSNNLSQVLWHPSDLSVRPVMPSRKSDKNSDVLPSLPFDTLQASDFVKISKDHLDALNNKIIAIFNGNSSIGEKQNVIRYLEMLSNNAEAANILTNGPIMLMLVKMLRLSKALALRVQLASLVGLLIRHSTFIQDDLANSGILGSLADGLRDKQEKVRRFSMAALGELLFYISTQSEQAGDNNPAESPSKEIRSTSGWQVSNSLLSLVSSILRKGEDDLTQLYALRTIENICSQAGHWAARLTSQDMINNLCYLYRAAGKQESMRLTAGSCLVRLVRFNPPSIQPVIEKLSLKEIASALVKGSLREQQISLNLLNMAMLGSHMFTNIGRQLLPLIEDKNLVPGLVSLIDQGSEVLKGKALVFVALLCKNGRRWLPHLFCNAKLLSAVDRLAKEKDHYVQQCLDASVCVVASTIPSLLDTITGEIQQMMGGRRHGHLSPLNSRVAPKTNVHMFPVVLHLLRSSSFKRKVVSDQVLQQLANLIKLVETTFQGRDDFQITLLRVLESVSEESLVILESPHIFIREILPSLAVLYKGNKDGDARFLCLKILFDVMVIFLNEQSEDEQRSKELESISNKNFLPLYPSLIEDEDPIPLYAQKLLVMLIEFNYIKIADILDLKIVSQCFEFLLGDLSSANVNNVMLCLALTSAPEMETKLLSQLKVVRKIGNLLEFVYAKDMEDFLEPTLGLCRAFLLRSVSGRRGFLYSKEPALIGDVSSEASGADQQGIRDITDFGSNIGVLLELSRSHGGNVADIASECVVLLLKAAPREASAGLLTNLPKVTAVLESWRRGTSHLLVQRVLHALGYSCRQYLLHAMILSISIPEISRIEGIVSELKGSGVPVLATAAFHVAVELQRLPRCL >ONI26991 pep chromosome:Prunus_persica_NCBIv2:G1:4313212:4317336:1 gene:PRUPE_1G061100 transcript:ONI26991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTMQYKNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKSLLQTCRDHGVNFFDNAEVYASGRAEEIMGQAIRELGWKRSDVVVSTKIFWGGPGPNDKGLSRKHVVEGTKASLKRLDMDYVDLIYCHRPDVTTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGIAERLDLVGPVVEQPEYNLLSRHKVESEYLPLYTNYGLGLTTWSPLASGVLTGKYNKGNIPPDSRFALDNYKNLASRSLVDDVLKKVNGLKPIADELGVPLAQLAIAWCAANPNVTSVITGATKESQIQENMKAVDVIPLLTPAVMEKIEAVVQSKPKRPESYR >ONI36309 pep chromosome:Prunus_persica_NCBIv2:G1:47191610:47193691:-1 gene:PRUPE_1G580300 transcript:ONI36309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGLLLLLIFIFLAYKLYQRLRFKLPPGPLPWPVVGNLYHIKPVRFRCYAEWAQAYGPIISVWTGSTLNVVVSSSELAKEVLKEHDQKLADRHRNRSSARFSKDGQDLIWADYGPHYVKVRKVCTLELFSAKRIEALRPIREDEVTAMVESIFKHCTIHEKNGESLLVKKYLGRVAFNNITRLAFGKRFVNSQDEMDEQGQEFKAVVSNGVKIGASLSMAEHIPWLRWMFPLEEKAFAQHGARRDRLTRAIMEEHTQARTKSGGAKQHFVDALLTLKDKYDLSEDTIIGLLWDMITAGTDTTAISVEWAMAELIKNPRVQKKAQEELDRVIGFERVMTEDDFSNLPYLQCVAKEALRLHPPTPLMLPHRANANVKIGGYDIPKGASVHVNVWAVARDPAVWKDPHEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLSVNLVVSMLGHLLHHFSWAPAEGMNPKEIDMSENPGLVTYMRTPLQAVPTPRLPSHLYKRVAADM >ONI33324 pep chromosome:Prunus_persica_NCBIv2:G1:36191061:36193431:1 gene:PRUPE_1G416900 transcript:ONI33324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIYICWAFGLQWLGHCQPCDPDQIAYILTGDFACPTGIWYVPDKPTKTKNSQTSELPDTTWDNLGFDKTMSADYMFIMKSSEDRSFSDGGLQRFGKIKLNPAACVLNYGQGIIEELKAYRKPENSILLFRPEEHGLRMRVGAERLLMPAPTVEQFVEAVKVTVLENRRWVPSPNKGFLHIRPLLIGSGPVLSLTPAPEFIFLILVTPMGDYSRGGLEPINLVVENEIHHAFHGGAGSVKLCCCNFHLPLIHIALSGCLSIGLPVGALWSFWHSHCLAIHKEASADCWKMATTNHPGSYHFL >ONI33457 pep chromosome:Prunus_persica_NCBIv2:G1:36631469:36633192:1 gene:PRUPE_1G425600 transcript:ONI33457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTYQCHWHCKYCRSQSSKRKNSEAQACNDWEDENIHLHAFTNADADASGSLSQHLVHGSGSRREREQQIKNTYIIQMDKSKMPASFVDDHFQWYGSSLKSVSNSADMLYTYKNVIHGFSTRLTAEEVELLEKQSGILSVMPELRYEVHTTRTPQFLGMLGCINEAVFPASEKLSKVIIGVVDTGIKSYDDKGLGPVPRSWRGLCEEGKNFNSSSCNRKLIGARFFPKGYEASNLGPIDEKVESRSPRDVDGHRTHASTIAAGSAVPGASLFGYASGTARGIATQARVATYKACWSGWCLSSDILAAMDKAVEDGVHILSVSIGRSQYEDFYTDFIAIGAFSAMAKGVFVSCSAGNRGPEADSTSNNAPWITTVGAGTIDRDFPAHVSLGNGKKYRGASIYSGTHLSSGLHPLVYARNASNSTSDSTSDPCAPDSLIPEKVFGKIVVCDQGGTYSRVDKSMVVKKAGGMGMILADIEGYDEEQLVVDSFVLPVVVVGQKAGDAIKRYIASHDNPKATFSGGKTELGVEPSPVVAAFSSRGPNPICVELLKPDLLAPGVIMEWCSWTKWTR >ONI32993 pep chromosome:Prunus_persica_NCBIv2:G1:35253708:35254845:-1 gene:PRUPE_1G398300 transcript:ONI32993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTTKQKHRNSVADHVGKCSRSDESTTTTADWISESIHSGSLRHVDLTTGTNGWASPPGDLFSLRTKNYLTKKQKGPAGDYLLTPCGTDWLRSSTKLDNVLARPDNRVANALRKAQAQGKSMKSFIFAVNLQVPGKDQHSAVFYFATEDPIPSSSLLYRFINGDDSFRNQRFKIVNRIVKGPWIVEKTVGNYSACLLGKALTCNYHRGPNYLEIDVDIASSGIAKAILRLALRYVTSVTIDMGFVVEGQAEDELPEKLVGAVRVCQMEMSSATVVDAPHGPAAVRGLSFAKVNHHKSGDDDDDD >ONI28295 pep chromosome:Prunus_persica_NCBIv2:G1:10710488:10710715:1 gene:PRUPE_1G136300 transcript:ONI28295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVKRIMTQPINLISRFLQHKARTHIWLFEQKDLRIKGRIIDFDEYMNLVLDEAEEVSIKKKQESQWERFFS >ONI35920 pep chromosome:Prunus_persica_NCBIv2:G1:45781290:45782238:-1 gene:PRUPE_1G560700 transcript:ONI35920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSECSQYWILPLIVGTGSSVSCSSDITIMYSPTPVCKTYDIVDVGLFVFCMADEEPVDQKKYLEESCKPKCVKPLLEYQACVKRIQGDESGHKHCTGQYFDYWSCVDKCVAPKLFPKLK >ONI36086 pep chromosome:Prunus_persica_NCBIv2:G1:46392970:46393185:-1 gene:PRUPE_1G569100 transcript:ONI36086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSLVCSRTENRKMKRHALRPCSGRNSNSRFGGIKQGEDEQNNEDSMYQLLGIPPTLSNQNMNRGVTFVL >ONI35593 pep chromosome:Prunus_persica_NCBIv2:G1:44497109:44499023:1 gene:PRUPE_1G544500 transcript:ONI35593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFRYFSNSHNLDSLLSQSRPSFHAPIFLPKTLASHEPSSGNFLNSNRFHTKSACYSVSSLISSIGSSAVCASAAPSSQKLQSLHLYTSGVDKGLFVNLYLLKDQYHGRFQAFSTASSDAFEPPSPPGASGSDEKHGLGNVGIDGIGPTSKQVLEIVGMIRRGENDLASKLNSMNVSLSIASIAQIFQVLNSEKVSALCLFDWIKNSQPISCYGNDICSLVIDNCGRLDDYHAMLHIMNDFRSAGICLTRNAFEFISVSSSKKASVIKVVEVLNEVGGSCRPVGLLSLIEMLSVKGSFKMAEFVMKITERKRSYYNIMIRESCRRRNFGRAIDMLDEMRQVGCDPDSKTYNYILSSLYKNYKSAVATKLFEQMLEMNCSPDEITYEILICYSCKVGNFDFARKLLDSMVLKGIKPRLTSHAAFVKGYFNLRRYKEAYEHVVDSSVKYSCFSNSVYSLLARLYMNEGNVVIAQNILIDMINKGLKPDFAVYTKVLKELSKTGRTGLAEDLSSRFCSLA >ONI30335 pep chromosome:Prunus_persica_NCBIv2:G1:25844922:25847181:1 gene:PRUPE_1G245100 transcript:ONI30335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASSAHIPEVVLGSSVGPKSMPVLAFGTAADNIQPNLLKTAVLEAIKLGYRHFDTAAIYGSEQTLGEAIKEALKLGLVASRDQLFITSKLWSNDAHPHLVIPALKKSLENLQLEYLDLYLIHLPISAKPGKLMVGELVSAPVDLMPLDFKGVWAAMEESQKLGLTKSIGVSNFSSKKTETILSFATIPPSVNQVEMSPFWQQKKLRDFCKANGIVVTAFSPLGAMGTGWGTNHVLESKVLQDIAEARGKTIAQVCIRWLYQVGATLAVKSYNKERLKQNLQVFDWELSEDDLNKINQIPQHKMMLKEELVSADGSSSPYKSVEELWDGEI >ONI26960 pep chromosome:Prunus_persica_NCBIv2:G1:4155528:4156338:-1 gene:PRUPE_1G058500 transcript:ONI26960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTLHLQLHKLSALKSEEALDQLLCTLWKTRKTGLHSPHQKSHFQSLLNLPSLSQLHPVLACLRSLIRKWVHENFTGDDLLKLFPPDLPLDLQSNLVVLFHKYQSQWKDEVAREQLRSLPRTSVSYQVKTRPANRVAVINLKLQDYTKSPLDEIEVKFQLTRDTLEAMLRSLTYIKEQLSSMVGTISGPSQKKQKQSDHLQEQGD >ONI27142 pep chromosome:Prunus_persica_NCBIv2:G1:4973919:4977504:1 gene:PRUPE_1G070100 transcript:ONI27142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFLEVLKCLFCIEDERENYDYNQIPRQTYDSKISYRNRSPPLTSTNQSPAFYNYKAPSPPSQPVKSPFSSSSSSPKPPTSSSKPLQSSYISTSSPSPKFPTSSSSSTFPKPPTSSSKLPQSTFVNPSSSNASPFILEFPTSVSKLQQSSSVDQRSALPKPPTSSSKLPQSTLVSPSSPSLQLRTPSSRPSPPILSTSSFKPPQASSKSHSSSPNPPTSSKPSPSSGRPASSTTLPPIFKQVLSPASSDVINEKGKKSYVSVEKGSLPIFTIPEDFKDLIKNDIVPKVLKQPLSPTTYKDYFAALLYAEEFYHEKWADFNMKNVTLKLQKASVYKNLDKEEKTFVEFMIDSVPENRPFLLSRDLVYVRPSGTNAEQFQGIINRIIRSNLVLVEFEYEFYDYHYSTQKYDVSFSFNRVCLKRAHQAVQTASDTLFQNFLFPDGVSRTSIPTAPALLSGRHKLDAKQLSAVRQILSIKGSPPYLVAGQLCVERNVFRPSRTGAVVCEAVHQLCQTSLKNRILICAPSNSCCDGIMRSLLKVIPESDMFRANAAFREKDEVPDDILPSCLYEDPYFSCPPTEKLKKFRVIFSTLMSSFRLHDKGLTSGHFSHIFLVDASSAIEPETAVALTNFAEKSTTVIVTGQPGDNSRWVRADMARQKGLKISYFERLLKSRPYRSLNPMLITQLDQ >ONI34771 pep chromosome:Prunus_persica_NCBIv2:G1:41263291:41267052:1 gene:PRUPE_1G498000 transcript:ONI34771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENSSGTRRNSNTQLLEELEALSESLYQSHTSSTSTRRTASLILPRSSVPAIPSKDEIVPASAEEIRLKNKPRRRMSLSPWRSRPKLINDDDENEQKDRGKKATNNNLPGLRSLDDKATATTEKKGIWNWKPIRAISHIGMNKVSCLFSVEVVAAQGLPASMNGLRLSVCVRKKETKDGAVQTMPSRVTQGAADFEETLFLRCHVYCSNGHGKQQKFEPRPFWIYVFAVDAEELDFGRSSVDLSQLIRESIERNNEGQRIRQWDTSFKLLGKAKGGELVLKLGFQIMEKDGGIGIYSQTDDLKSVKSKNFSSSFARKQSKTSFSVSSPKLSSRGEAWTPSQAGKAADLQGIDELDLDEPNPVPISSSSSSSAVKPKEPEVPKTEDLDVPDFEVVDKGVEFQDKEAEYREEQSEKSVGAKSAASSEVVKEIVQDQVHITRLTELDSIAQQIKALESLMGEEKTNDKDNEIESQRLEADEENVTREFLQMLEEEEIIMNEYKLSQNDVPPLELEGAEESAEAESEVCLPDLGKSLGCVVQTRDGGYLAAMNPLDTLVARKDTPKLAMQISRPFVLPWDQSMSGFELFQRIAAIGLDELNSQLLNLMALDELMDKTAEQIAFEGIASAIIQGRNKEGASSTAARTIAAVKTMANAMSTGRKERISTGIWNVNENPLAAEEILAFSLQKIEAMALEALKIQAEIAEEEAPFDVSPSNGTTSGAKVQNHPLASSISLEDWIKNHSLANSDGDQDHSETITLAVIVQLRDPVRRYEAVGGPMIALIYATRADDTIKVNKYEEEKKFKVTSLHVGSLKVRTRGKRNAWDSEKQRLTAMQWLVAYGLAKAAGKRGKHVTSKGQDLLWSISSRVMADMWLKYMRNPDVKFTK >ONI26591 pep chromosome:Prunus_persica_NCBIv2:G1:2316907:2317203:-1 gene:PRUPE_1G033400 transcript:ONI26591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFHIPCGACKYLRRQCVSGCIFALHFRNEDVAAHFAPVHMVFGASMISKLLSHLAFSDCCGTAMTIAYEAHARLEDPIYGCVSQIFALQQQVNIEL >ONI32091 pep chromosome:Prunus_persica_NCBIv2:G1:32507589:32508964:-1 gene:PRUPE_1G347800 transcript:ONI32091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRVSFNCGGDICTCCIACFLPCITFGQIAEVVDEGQKSCLCHCILYVLFSTYHLQRTYSSKYRGIMRKKFGLPEEPCWDCCVHCLCEPCALSQEYAELKFRGFNPSKGWTGPPTAAPQVPPSMTK >ONI33180 pep chromosome:Prunus_persica_NCBIv2:G1:35842407:35844823:1 gene:PRUPE_1G409900 transcript:ONI33180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWVKAFIGLKKPDKDDHDKVSGKSKKWKLWRNSSGDFGSSWKGFKGNHRAASEGSDSPRGTDAYNAAVATVVRAPPKDFRVVRQEWAAIRIQTAFRGFLARRALKALKGIVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSTEGQAVQNMINERRTQADLLKEAEEGWCDSKGTIEDVKAKIQMRQEGAFKRERAIAYALAQKQWKSTPDSNSRTRSSVYSLNKNNEFDKNSWGWSWLERWMSAKPWETRLMEQSQNDPSEITPPPKNCADPFVSRHSKSSEPCSVKVRRNNVTTRVSAKPPHIGQGTRSSSSPSSEFRYDESSASSSLCTSTTPVSGNTGLASDRTDDSSNCRVPSYMNLTESTKAKQKASSYQSQRIQRQSMDEFQFLKRSGAFSNGDSKSSAGSEPSSVNFSKPLCMPSRLDKNSMKLR >ONI30717 pep chromosome:Prunus_persica_NCBIv2:G1:27616068:27620442:-1 gene:PRUPE_1G268900 transcript:ONI30717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQSGIGFSKILILAGAGYTSTILLKNGKLSDLIGELQSLLNGTGEQSEGDFDAIASQVRRLAAEVRQLGSSRQITVLNGNGSQIGLTSLIMPAATLGALGYGYMWWKGLKFSDLMYVTKRSMTAAVSNLHKHLESVTEAIANTKKHLTQRVQNLDDKLLEQKEISKSILENVGDTKGSIEELYVTVTELQSALTGLDYKLGSISEKQDVSNLGLFYLVNFVEGKQVEMPQQTKLKLTGNPRGRLVTYSETQSLMGLKEIAESLSGTLNKSTDAIVQDDIEGPGMKPRNLLRSVSTKC >ONI26950 pep chromosome:Prunus_persica_NCBIv2:G1:4084839:4090705:-1 gene:PRUPE_1G057500 transcript:ONI26950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLGLPHTPFIPGKKLPLSALVDTLPSCPTVSRKPISPSLRYREISRPTGSKVQVQASNVSIGSGGYDGREENKNEKIVPNDAPTDSSSEIEKRQIQIPYPLSVGLVLLGCALVFSLIAFVKGGPSTLLAAIAKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYDKALVLLGSTGALSLMTILSVIIGRIFNSVPAQFQTTLPIGEYAAVTLLLFFGLKSIKDAWDLPSNVAKSGDKSSPELDEYVEAEEFVKEKVSKRLSNPLEIVWKSFTLIFVAEWGDRSMLATIALGAAQSPWGVASGAIAGHVLATSIAVLGGAFLANYISEKLVGYVGGGLFLVFAVAIFFGFF >ONI33987 pep chromosome:Prunus_persica_NCBIv2:G1:38327106:38330769:-1 gene:PRUPE_1G457400 transcript:ONI33987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRLKLQQQQQQEAIMQQALLQQQQQQHMYHPGVLAAAMSQMEPIPSGNLPPGFDPSTCHSVYVGNIHVNVTDKLLAEVFQSVGPLAGCKLIRKDKSSYGFVDYHDRNSAALAIMTLHGRQLYGQALKVNWAYANSQREDTSGHFNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDHKTGRSKGYGFVSFRNQQDAQSAINDLTGKWLGNRQIRCNWATKSAGSNEEKQNSDTQNAVVLTNGSSDGGQENSNEEAPENNQAYTTVYVGNLSHEVTQAELHSQFHALGAGVIEEVRVQRDKGFGFVRYNTHEEAALAIQMANGRIIHGKSMKCSWGSKPTPPGITSNPLPPPAQPYQILPAAGMNQVYSPADLLAYRRQLALSQAAATSMALNSGGSQPMYEGYPNSSSGQQLMYYR >ONI36203 pep chromosome:Prunus_persica_NCBIv2:G1:46830493:46834096:1 gene:PRUPE_1G574900 transcript:ONI36203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLLLWEAQLLILHDAKFQSISVIKHQILICFYLYNGKLKASGTFIFQVKMASMAQPSPLKRREASASREDDKLIIIPLGAGNEVGRSCVYMSYKGKTVLVEGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAETPQFSPDICIIESTYGVQHHQPRHIREKRFTDVIHSTVSQGGRVLIPAFALGRAQELLLILDEYWANHPELQNIPIYYASPLAKRCLSVYETYTLSMNDRIRNAKSNPFIFKYVSPLKSIENFKDVGPSVVMASPGGLQSGLSRQLFDMWCSDKKNACVIPGYVVEGTLAKTIINEPKEVTLMNGLSAPLNMQVHYISFSAHADSVQTTAFLEELRPPNIILVHGEANEMGRLKQKLMTQFADRNTKILTPKNCQSVEMYFNSQKMAKAIGRLAEKTPEVGESVSGLLVKKGFSYQIMASDDLHVFSQLCTANVTQRITIPFASGFTVIKHRLRQIYESVESSVDEESGVPTLRVHDRVTVKQDTDKHISVHWSSDPISDMVSDSIVALILNINREVPKVVVESEDVKTEEENGKKVEKVIHALLVSLFGDVKPGENGKLVISVDGNLAQLDKQSGDVESENEGLKERVKAAFRRIQSAVKPIPLSAT >ONI29693 pep chromosome:Prunus_persica_NCBIv2:G1:22301075:22303799:-1 gene:PRUPE_1G209000 transcript:ONI29693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVHQSIIPGLPDDLALRCLAMVSHGYHGLLETVSKTWRYLIRSLDYANYKAREEWCGNWLFVLTEGSNNEWVAYDPEADRWHPLPKILTVHADRKHFGFSSISVGNRFFVIGGSYAPHDPAFPHQRPFITNEVMQFDPFKKQWTNVATMLTPRSHFACSAVYGKIYVAGGRNLSCTRGLALAEVYDPVADKWEELPPMRNPQMDCLGLSYKGKFHVLSDQVGLPDQNTSEVFSPSDGTWRTVEDIWPFSRAMQFAVQVIGDNRVYTVVDWGESLIKTRDTEKGEWYNIGSVPSVNLPDHSRQLEAFGYGFAALRHELYVLGGKALKWEQSGAGRFDIVKLGLVRVCNPLVKPLKWRETRPMVGSACGTVIGCASMEEESSIFPR >ONI31255 pep chromosome:Prunus_persica_NCBIv2:G1:29654018:29655611:1 gene:PRUPE_1G301700 transcript:ONI31255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVLAFVLSCLSFLLVLTNAQTPAAAPSTLPAATPPPTTTPAAPATLPTTTPPTSPASPTAATQPPVNAAATPPTTTPTSPSPKVAPATSPTVPPPLPQSPPASTPSQPPALPPPSPVSPPPLPPPVPAPIQAPPAPAPVKETPAPAPAKVAPVPSPSKPPPAPAPAPVLVPPAAAPVLVPSTAPAPPKHRRHRHKHRRHHHAPAPAPTVLSPPAPPTTVTDTEETTPAPSPSLNLNGGNALHQKGGISGMWVTIGLAIAILLAMRS >ONI34691 pep chromosome:Prunus_persica_NCBIv2:G1:41026401:41029102:1 gene:PRUPE_1G493700 transcript:ONI34691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLRNLYRAAYRSIVKKLRHDKKKSSPDREAKVDQIGTSITSDVIFEEVGDDTPKAEKKTMHGFHSFRCISLQRMRRDHDTPSPKAKGHFKQSSLDNFFNMHCHFSKSSSRRSHTPSSSLKKTKSRKSWPDSIPSPSTPKAATCTKSADSTPAHPSLSKCESRKTPIMFSNSSGMLKPQAVEKQLECTLEELCFGCQKKMKVTRAIVKDTGQMVEEEEVVTINVKPGWKKGTKITFEGLGNEIPGAYPADIVFVIAEKRHPLFRRDGDDLELALEIPLVKALTGCIISIPLLGGDQMKLTIDDIIYPGYEKVISEQGMAISKDEGKRANLKLTFLVDFPIFLTDEQRSDILNILEDSYY >ONI31690 pep chromosome:Prunus_persica_NCBIv2:G1:31014129:31015478:-1 gene:PRUPE_1G325200 transcript:ONI31690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKLLFFFCFLHLITASSSSQPLFPKQAHPTKSGYLPVKPTTGSAIFYTFYEAQNLTISPDLSQTPLLIWLQGGPGCSSMIGNFFELGPWRVNFNKHPSEPLALEPNSGSWNRIFGLVFLDNPIGTGFSIAAKPEEIPRDQLSVARDLFVAITKFIELDPVFKSRPLYITGESYAGKYVPAIGYYILKRNAELAAGSQGVNLRGVAIGDGLTDPEIQVATHAVNAYFSGLINERQSSELAKLQVEAVGFTKAGNWSEATDARNRVLNLLQNMTGSATLYDYTKNVPYKTSLVEDFLRHEEVKRALGVNGSLVFEECSVLVGDILNEDVMKSVKYMVEYLLKKSKVLLYQGQFDLRDGVVSTEAWVKTIKWKGIDKFLSADRKVWKLGSEVAGYVQKWGSLSHVLVSGAGHLLPADQPLRAQAMIEDWVLDKGLFGNTSENLSSNFVI >ONI30865 pep chromosome:Prunus_persica_NCBIv2:G1:28258786:28260733:1 gene:PRUPE_1G278100 transcript:ONI30865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPNLLDYPLTDFRSLFFNFIVPSTMKPSEDHGAYRKILLASISAMVAETTTFPIDLTKTRLQLHGESVSVGSARPTNGFLVASEIVRQQGPLGLYKGLSPALLRHLFYTPIRIVGYEHLRSSLKTDGGSLSLPAKALSGGLSGVVAQLVASPADLVKVRMQADGRMLRQGLQPRYSGCFDALNKIIRSEGIGGLWKGVFPNVQRAFLVNMGELACYDQAKHFVIKNRISEDNIYAHTLASIMSGLSATALSCPADVVKTRMMNQSRSKQGKIMYNNSYDCLVKTVKVEGLRALWKGFIPTWARLGPWQFVFWVSYEKFRQIAGFSSF >ONI34027 pep chromosome:Prunus_persica_NCBIv2:G1:38467321:38468814:1 gene:PRUPE_1G459400 transcript:ONI34027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLVGPAFSIGDGRGRLFVKQNVEALPRSEEASSDSSSSIGAPDDSEEYDSKGDDGDGDGEEVQSKFNGGGGGGGLGSLGSFGSLEESLPIKRGLSNYFSGKSKSFASLSEVSSSVSSVKEVEKRDNPFNKRRRVLIASKWSRKSSSFYNWPNPKSMPLLALAEHEEDEEDDEQQQEQQEQQDPSSDQSSSDDKEQQDRRAPKNLLDRRLKSFKSRSCYCLSDLQDH >ONI36293 pep chromosome:Prunus_persica_NCBIv2:G1:47150171:47154520:1 gene:PRUPE_1G579500 transcript:ONI36293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIGSARAKPWNIYTSSDSSPSQAGIDRQVQWKSSGTSMNAISFGFVATAILISMFLIMAIFEHLFKPSPSFSTPESATHGSLESGTFKKHGIPQTVSTSYAPDFSVLMPGQQYPTYLAQPAPLPSCSREGICWPSHEHKLVLP >ONI26829 pep chromosome:Prunus_persica_NCBIv2:G1:3427285:3428419:1 gene:PRUPE_1G048600 transcript:ONI26829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKIAIEKIENKQSRAVTFSRRRNGLFKKAKDFSNLSGSSVAILVISPAGRRYTYASPSFDSVVDQFLSETIDGSSTVTAGSSSFDYVDDQFLPTTNAGSTSVDSMVDQFISTTAASPSYDPVIDEFLSTAIGSPSVDPTADQIVPIATVGSSTATPSGSFQDTLKALSDVNIEACNDLEELMTLKNNLEQIIRERRG >ONI30795 pep chromosome:Prunus_persica_NCBIv2:G1:27974515:27975948:-1 gene:PRUPE_1G273300 transcript:ONI30795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTLKSTSKANLSQTFPCDNNKNRDASFSSYLSSNEETLVRKLVDQSSEEHNNHLATKKEEDEEIGVFGAEKYFNGVMDEETSPKSGSSSKNYHHNKEKRVQPGTPSVRSESSWNSQTSLLQSRLRNPYQSNTSRAQRQKKNFFASLGCKCSCSDKNSVDVDEHVGEISFKKNNGAANSGTLVHGKASTTSTINNKPAAVVDLVGEALVEMNNSQKLDKLGVGLSRENCFTFPSSKPGMGSLPVKMPFQEEEEAEKVRKSLEVFGSPVFEKRNRSLGLDKRLTMLPWDEFPANSGGVIYNNESDSDASSDLFEIESLTGKANPCMTPTTCYAPSEASIEWSVATASVADFSVTSDSEDLQRLSPMKMVPNNTRNAKTRMNKEIPRHRPTALLGCKSQKAVKIAGDAHKTYHHEKTNFDQQMMRRNRPESFVPATRFQAETSPNKLTGFDSRVQGQHALAAQSRSHSPHASHLLFM >ONI35165 pep chromosome:Prunus_persica_NCBIv2:G1:42663516:42665476:-1 gene:PRUPE_1G519600 transcript:ONI35165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVASKEKPHAVFVPFPAQGHINPMLQLAKLLNYKGFHITFVNTEFNHKRMLESQGSHALDGLPSFRFETIPDGLPPADADARRNLPLVCDSTSKTCLAPFEALLTKLNSSPDSPPVTCIVADGVTSFTLDAAEHFGIPEVLFWTTSACGLMGYVQYYRLIEKGLTPFKDAKDFANGYLDTEIDWIPGMKDVRLKDMPSFIRTTDPNDIMLHYMVSETERSKKASAIILNTFDALEQEVVDALSTLLPPIYSIGPLQLPYSEIPSEYNDLKAIGSNLWAENTECLNWLDTKEPNSVVYVNFGSTTVMTNEQLVEFSWGLANSKKPFLWIIRPGLVAGETAVVPPEFLEETKERGMLASWCPQEQVLLHSAIGGFLTHSGWNSTLEALCGGVPLICWPFFAEQQTNVRYSCTQWGIGIEIDGEVKRDYIDGLVRTLMDGEEGKKMRKKALEWKKLAEDATAPKGSSYLALENVVSKVLLSPRD >ONI32891 pep chromosome:Prunus_persica_NCBIv2:G1:34919962:34922218:1 gene:PRUPE_1G392100 transcript:ONI32891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETKAESKSKNPKKANLSDHSSIKHLLDESVSEIVKSRGYVENTRLSNLKLLIGAIVIIIALFAQFYNKKFPENRDFLIGCIASYPFYMVCFILDSGKYIIVNGILQVVSYTKEKNAILFTHPPVGAFSTGLVVSSKLPRFSDLYTLTVASADPKSISANKPVEFTKSVTQWFTKDGVLVEGLFWKEVDGLINDYAREPKKSK >ONI26491 pep chromosome:Prunus_persica_NCBIv2:G1:1984229:1987431:1 gene:PRUPE_1G028400 transcript:ONI26491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGITHNVATKVASVVTTAQNHIAGHELSLFAMSDQKILEQIYGTHVHADESFDDDSLFVIVENILKRATQIVDKIVQGTQVHVENIEEKTPKPGFSTPLCTLKSIASEMQCKPPGEEVAHSTTLAILNKLSSYSWEAKAVLTLAAFAMEYGEFWLLAQLRESDRLAKSIAILKRVPVLLKHSNQHKRRQAVLELNNLIKATLQVIECIDQFDKLSSYDPKDVPGLAIAMDHIPVDVYWAIMTVVACATKVTILTSDEVKDHDLAPFAQKIHYVLNKLKIQLIVCRKQIEEAEAYRRIRKTFQTPTEIMEVFKALIFTKDNVQPLIDGSTKQMVKIDILRRKNVLLFISSLDISDDDISILKPIYEFTKKENQHKIVWVPVVEHWTDDLRKKFETLRLKMPWYTVQNPAPIAGIRFIKEEWNFKGKPALVVMNPQGKVEHSNALHMIRIWGVKAFPFTKATEEELSHSHRDRWVGNVVHDIHPSLPNWIKEEKYIFFYGGKDNDWIQQFTKKASALANDPVFKEAKIHIELFCVGKGSKGEDDHGILGHFWSGIESLFFTKGHKQVDPVTQEIQKLLSYKNESGWAVLSKGSSVLLTGHGVSILRVIEDFDKWKDHVKEKGFEFCFKAYHEKVRLATRPCCRLDIPGSTGKVPDTMKCPDCHRSMETFISYKCCHIDGPNAHH >ONI32393 pep chromosome:Prunus_persica_NCBIv2:G1:33527531:33528330:1 gene:PRUPE_1G365100 transcript:ONI32393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLLSERRGRKQGWMEESLASLSAPPSPLLALVVIVLVLLMFSSYTSYRSQMEKTKVGFHIFLLFLPVMLIFLAHSLIKYGSFPVLSPKTKERVVHQPEGSASPWGVAMFLVLLLVLLCYRSSFQSNWWPHWRSY >ONI29173 pep chromosome:Prunus_persica_NCBIv2:G1:16818706:16819063:-1 gene:PRUPE_1G185300 transcript:ONI29173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPKKVLHNPALVSLFIVGDWLTATLSLAAAFASFGIIVLYFSGLSHCNFGEECQKYQMAVALAYLSWVTIAISSLIMLWLLAAC >ONI29164 pep chromosome:Prunus_persica_NCBIv2:G1:16724250:16725571:-1 gene:PRUPE_1G184500 transcript:ONI29164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSRTEAEQLNKSPEENSGEESTGERNEQELPENENSETWKIHRNEISSSEATEVGVFDFNNDYRAGYIDGLKARRARNYDIKDNKIHSNKGQRVGIGKFGNNYSMSNVSFFLGGLIILVFAIYNIYSEASGTNKKKI >ONI26202 pep chromosome:Prunus_persica_NCBIv2:G1:734276:734888:1 gene:PRUPE_1G009100 transcript:ONI26202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKFKQSPRGKELPQSAKCKMPEKSFKDPEEDINLTDTSISEKAFLLCKRVKENFGDDADKFSEFLAQLHMFSQQRVDLTEFLNLVDNFVRADERLMNDLEDFLENCGRAQSYWSRKCKRLN >ONI29630 pep chromosome:Prunus_persica_NCBIv2:G1:21001649:21002894:1 gene:PRUPE_1G206600 transcript:ONI29630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPIFSPRHYPSSQSLPIVSSSSHSQFYGLKLSNSSSLPIPSSSSSSSRKMFICAAKVDKGKVPPAFTLNDQDGKTVSLSKFKGKPVAFAKKYKLPYTLLSDEGNKVRKEWEVPSDLFGTLPGRQTYVLDKNGVVQLIYNNQFQPEKLIDETLKFLQSL >ONI31794 pep chromosome:Prunus_persica_NCBIv2:G1:31389593:31393912:-1 gene:PRUPE_1G331300 transcript:ONI31794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLLFFLLAVSAVSADEDAFIGVNLGTDLSDMPSPTQVVALLKAQNIRHIRLYDADRAMLLALANTGIQVTVSVPNDQLLGIGQSNATAANWIARNVIAHVPATNITSIAVGSEVLSALPNAAPVLVSALKFIHSALVASNLDRQIKVSTPHSSAIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTDSYLMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAIVDAAYFAMSYLNFTKLPIVVTESGWPSKGDSSEPDATLDNANTYNSNLIRHVLNNTGTPKHPGIAVSTYIYELYNEDLRPGSVSEKNWGLFNANGVPVYTLHLTGAGTVLANDTTNQTFCVAKEGADQKMLQAALDWACGPGKVDCSPLLQGQPCYEPDNVLTHATYAVNAYFQRMAKSPGTCDFKGVATVTTTDPSHGTCIFPGSGGRNSSFINGTSLAPSSNSTTSGCLSLFFYSGGSFTSSVIIGLLVLSAVFL >ONI35159 pep chromosome:Prunus_persica_NCBIv2:G1:42631238:42634236:-1 gene:PRUPE_1G519100 transcript:ONI35159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVFQVFGSWVQQTTYCIRKSAKQTTIVTSAMQTILMLNILAHNLQLKVLSVGVFKRLVSQIVIYETFDSINQWEEKSLPVAFSSSLSEKKLDKAVIKSCLGSWCVRLGRSVDGVLSFEEGWEVFVNHHGLNIGEMVVFEHKGKMVFTVVAYESW >ONI27934 pep chromosome:Prunus_persica_NCBIv2:G1:8940914:8941508:-1 gene:PRUPE_1G112000 transcript:ONI27934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTASGGEESCMCFSIIELGNEKRVKSSTILLHYYNIENWEIRMTSQRQRQRQWQWQWHIKKRLIIPNKKKN >ONI28479 pep chromosome:Prunus_persica_NCBIv2:G1:11293654:11296074:1 gene:PRUPE_1G143300 transcript:ONI28479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEEAISENVDLPASSMETEGKEVVGPSEGKVGCSVMEEGSKTPVAEMVPQVNASDACESVQEIVSENMDLPLVNSTIVVDSVEGSSDAVPIGSTKSPEGLESEAKIVDGFQVCGTLPGNVSENLDQLPVTLAIGGDNVEGLSEAGPIDNSNSLEESKSEAKIVDASLVCGTLPGNVSENLDQLPVTLAIGGNSVEGVSDARPIDSSKSLEESESEAKIVDAFEVCGTLPVDVSENLDQLPVTFAIRGDSVEGLSEAGPMDSSKSLEESECEAKVGDASQVAGTMQENVSENMDQSEAPLTMGGDSVEALPEAGPAVSSKSPGESKCEAKVGDASQVCGSIPETVFGNPDQALVTLAKEGGHIEGLSEAGPAGSSKLPELESETKVVDDSQVCAPMPETVPEAEVGGDVEGLSEAGPAGISKLPELESMPETVPEAKVGDDIEGLSEAGPAGSSKLPELESETKVGDDSQVCAPMPETVPEAKVGDDVEGLSEAGPAGSSKLPESESETKVGDDSRVCASMPETVPEAKVGDDVEGLSEAGPAGSSKSPELESEAKVGDDSQVCTSMPETVPENPDQPQVNLVVGDDHVECLSEAEPVGSSKAPEELESEDKVGDASRGCVATPENMSESMDQPPVILAIGGDGIEALSDAGPVGSSKSPEESETEAKASGASQVCGTMPENESENMDFEPSSTAQEGQTVGSSSEKSGGSSMAQPEVE >ONI31080 pep chromosome:Prunus_persica_NCBIv2:G1:28932218:28934132:-1 gene:PRUPE_1G290700 transcript:ONI31080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCCCFHVQDDIHNANNNDNNDVGFIPNLCNKIKEFAASIKNGRKDSVNPSTDQAAPASSTAPNESTAPNEITPSGKRAQELVYLHDSNSIEHEDECPTCFEEYTPENPKTTMQCSHHYHLACIYEWMERSQNCPICSRVMLFDESS >ONI31970 pep chromosome:Prunus_persica_NCBIv2:G1:32118674:32120268:-1 gene:PRUPE_1G342000 transcript:ONI31970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPASLKKSNSGPLNKHGKPLKKSSGPQSGGVTPTGRQNSGPLPPVLPATGLITSGPISSGPLNASGAPLKVSGPLESMGSIKVQGSSIVHNQAITTLSQGAVHNAYLLIVVVILFGAVATLFTWNTYWGRRAIIGYIASYSDSELRTAKNGFHKLFLVLFLKVVTCGNLPLELSFQKVPRCVYTSTSLYEYRGWDSKAANPTHHRFTWGLRSIERRVVDFYISDFQSGLRALVKTGSGARVTPYVDDSIVIDVNPENEELSPEFIGREEPFK >ONI26334 pep chromosome:Prunus_persica_NCBIv2:G1:1283303:1292263:1 gene:PRUPE_1G018300 transcript:ONI26334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLILSRRVSSVGVSRTLHGLAGNMGPFCLHLELKVATLVDVFDKTKQYSSSSGATKFDFTDLTSPHTWYPKARSKQRKVILHMGPTNSGKTHNALKRLESSPSGIYCGPLRLLAWEVAKRLNKANVPCDLITGQEREEIDGAKHTAVTVEMADVTSHYHCAIIDEIQMLGCKTRGFSFTRALLGISADELHLCGDPAAVPLIQEILKVTGDHIEVNFYERLSPLVPMKVPLGSFSNIRTGDCIVTFSRHKIYKLKKEIENGGKHLCSVVYGSLPPETRTRQATKFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGFEKRELTVPEVKQIAGRAGRYGSKFPVGEVTCLNADDLPLLHSSLESPSPTLESAGLFPTFDLMYMYSRLHPECGLYQILAHFVENAKLSDNYFIANCEEVLKVAAVIDELPLGLQDKYRFCISPVDMDDEISSQGLTQFAQSYANTGIVRLREIFTPGTLKVPQTEAALKELESIHKVLDLYVWLSFRLEESFPDRELASSQKSMCSMLIEEFLERLGWQKPRSKRLASRTPLTSLFRKTRQFL >ONI28654 pep chromosome:Prunus_persica_NCBIv2:G1:12093925:12096093:1 gene:PRUPE_1G153400 transcript:ONI28654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLKRASYSAMKSVAGGFQMGAQSRAYAAVAAGTDIVSAAPNVSLQKARTWDEGVSSNFATTPLKDIFKDKKVVIFGLPGAFTGVCSQQHVPSYKKQIDKFKAKGIDSVICVAVNDPYVMNGWANKLEATDAIEFYGDFDGSFHKSLELDKDLSGALLGPRSQRWSAYVVDGKVKVLNVEEVPSDFKVSGGDVILGQI >ONI31062 pep chromosome:Prunus_persica_NCBIv2:G1:28869936:28872282:-1 gene:PRUPE_1G289800 transcript:ONI31062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALVDVKPTPILKEELDIVIPTIRNLDFLEMWRPFFEPYHLIIVQDGDPSRTIKVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYLVSKKKYIFTIDDDCFVAKDPSGKEINALEQHIKNLLNPSTPLFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLAKPLERNTRFVDAVLTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLGYGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSAVLTKECTTVQQCYIELSKQVKAKLGKIDPYFLKLADAMVTWVEAWDELNGKVDVDQQ >ONI30610 pep chromosome:Prunus_persica_NCBIv2:G1:27072661:27077450:-1 gene:PRUPE_1G262100 transcript:ONI30610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRLASSSSSGSNLLKPLTSALSFTPSLRRPISSAADDSTTLTIETSVPFTSHRCDVPSRTVETTPNELMTFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAIGMEAAITKKDCIITAYRDHCTFLGRGGTLLQIFSELMGRQGGCSKGKGGSMHFYKKDAGFYGGHGIVGAQVPLGCGLAFGQKYSKDETVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTAEWRAAKSPSYYKRGDYVPGLKVDGMDVFAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKLIFAHDLATEKELKDIEKELRKEVDEAIAKAKESPMPEPSELFTNIYVKGCGAESFGADRKEVRTVLP >ONI31090 pep chromosome:Prunus_persica_NCBIv2:G1:28976511:28977164:1 gene:PRUPE_1G291600 transcript:ONI31090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFRDPVITLSRFLLLFLLLVLIKIFHKLWWTPIRIQHMLALQGIKGPSYRLIHGSTKEIMSMKKETMGRPMNLSHDILPEVQPHIHSWTKIYGKNFLQWYGSQAQLVIGEPELCKEILNNKHRAYSKKGASSLCKETIRRWPCIKGR >ONI26415 pep chromosome:Prunus_persica_NCBIv2:G1:1610977:1614066:-1 gene:PRUPE_1G023300 transcript:ONI26415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTLHAKLGCIEIALLFAVPKNTLTKHQRKWHSAFATIYCSRAFNLSSPSKPKFTNTKIPRSPSYATLTVEPDNEFEIDQTTLTYLVKERSLNQLRELGGAEEIASALKTDAEHGIHGYGEAQDIAKRVEAFGSNTYMKPPAKGFFHFVWEAFKDLTIIILLGCAALSLGLGIKVHGLKEGWIDGGSILIAIILVISVSAVSNYRQNRQFDKLSKVSDNVQIEAVRGGRRQQISIFDIVVGDVICLKIGDQVPADGLFLDGHSLQVDESSMTGESDHVEINQTQNPFLFSGTKVADGYARMLVTSVGMNTTWGEMMSQISRDTNEQTPLQARLNKLTSSIGKVGLVVAFLVLIVLSVRYFTGNTQDENGNQEYNGSKTKVDDILNAVVEIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMVDKAMVRKLSACETMGSATVICTDKTGTLTMNEMKVTKFWLGEEPVAEEAFSSISPYVLNLIQEGVALNTTGSVYRPSSDSEIEISGSPTEKAILSWAVHGSKMDMQKVVKSCSILYVEAFNSQKKRSGVLMKRKADNNTSQAHWKGAAEMILAMCTSYYNASGLVINMDDNAKMRFEQIIQGMAASSLRCIAFAHKEIPAEEQVDERDHKALLKEDGLTLLGLVGLKDPCRPGVKKAVGDCQYAGVNVKMITGDNVFTAKAIAAECGILKPNQDMFSGAVVEGVQFRNYTPEERMLKVDKICVMARSSPFDKLLMVKCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVIMDDNFASVATVLKWGRSVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPTKELMEKKPVGRTEPLITNIMWRNLLPQALYQIAILLTLQFRGKSIFGVDDKVKDTLIFNTFVLCQVFNEFNARKLEKKNVFKGIHTNKLFLGIIAVTILLQVVMVEFLKKFADTERLNWGQWGACIGIAAVSWPIGWVVKSIPVPEKPIFSYLKMTKHKKNSI >ONI30617 pep chromosome:Prunus_persica_NCBIv2:G1:27116290:27118814:1 gene:PRUPE_1G262500 transcript:ONI30617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMDSSEQRRKRKRMLRSYSQAKHYLSLRRPLILCFSFFIFLLLLSFERFHPASFRPVLTASTTTLSLLSSTASNSILESFTNRNSNSRDSSPLRVQDRILFPDHVLLIVPNRFRQNDQLECVYHRLSNGSDELLQDGEKNPHLDFAIRPVLSTDVYDELRSIARCPLPSNNYSEAVDLRRRGGGYDWTAGINGTAGINGTAYPWDRVVYEAVIDIDGDTAVVFVKGLNLRPHRKSDPTRLTCHFGLGNNGNWEKDQGFVLTTEAVTAAQEVVRCLLPRTIRNNPDKTHGVRVTIGYNTGSARAPVHVTLPSVATLYSSKSTVRNENQKKKKHELCACTMLWNQAPALKEWVMYHAWLGVERWFIYDNNSDDGIEDVVQELELQAYNVSRQSWPWIKTQEAGFSRCALRARDECHWVGFFDVDEFFYFPRAFRHHRGDGVPGENSLRNLVSNYSYSPTIGEIRTDCHSFGPSGLSSHPSQGVTVGYTCRLQSPERHKSIVRADLLDVTLLNVVHHFRLRQGFRHLNVPANVAVINHYKYQVWETFRAKFFRRVATYVVDWQEDQNQGSKDRAPGLGTEAIEPPNWRLRFCEVWDTGLKDYVLGYFADPATGSLPWEKSLL >ONI35544 pep chromosome:Prunus_persica_NCBIv2:G1:44268698:44268901:1 gene:PRUPE_1G541900 transcript:ONI35544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLKMKFHNDLKDLLLFFLIKKKTEYDFPACNPIWGLLIPTTKSKKYIYIYTYTTHYKDNCLPLSA >ONI26994 pep chromosome:Prunus_persica_NCBIv2:G1:4337981:4344573:-1 gene:PRUPE_1G061400 transcript:ONI26994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIAVRSVVGGLRSGQNCNSYISTAVPVRPLDGAEFLHSLKGDLSGGFYWTRMMSTVPQSAKTVEEWRESKSSVSDDAKKGSDRVVVSDYWGIYRPKITREDVSEWTWNCFMPWDTYKPDLSIDLSKHHVPKTFMDRFAYRTVKVLRMPTDIFFKRRYGCRAMMLETVAAVPGIVGGMVLHLRSLRKFQQSGGWVKALLEEAENERMHLMTMVELVQPMWYERLLVLVVQGVFFNAFFVLYLLSPKLAHRIVGYLEEEAIHSYTEYLKDIDSGKIENVQAPAIAIDYWRLPKDATLRNVITVIRADEAHHRDVNHYASDIQFQGKELREAPAPSDYH >ONI33015 pep chromosome:Prunus_persica_NCBIv2:G1:35341380:35346482:1 gene:PRUPE_1G400000 transcript:ONI33015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIRVSLFGQLEGILDYQITQPSKFSNMVKKNPPNLELLLSIFFFFLCSWISLAMAQNKTIPVNVGVVLDDLNSRNGKIWLSCIKMALSDFYASHANYKTRLVLNTRDSKQNVVGAAKAAVDLIKNAEVQAILGPVTSMQAGFLINLGDQVHVPIISFSATSPSLNSLRSSYFFQFAQNDSSQVKALSAIVKAFGWRQVVPVYIDNEFGEGVIPFLTDALEEVDARVPYRSAISPSATDGQILEELYKLMTMQTRVFIVHMRTDLSSRLFAKAREIGMMTEGYVWLTTNGIPNELRYLNSSIISSMQGVLGIQTYVPQTVKLEEFMKRWKRQFQQDNPTIIDASLDVFGLWAYDSAFALAMAIEEVGTANFGFQKTNASFNSAVLESFEVSKYGPELCQALSTTRFEGIAGDFGLVDGQLQSTNYEIVNINGGGARGIGFWTPQNGLVKKLGSSANSIIFSTPKRKLGLGPIIWPGESFTVPKGWENPTDGKKLRIGVPVKDGFTELVKVTKDPSTNMTDVTGFSIDVFKAAVEMLPYALSYEFIPFAKSDGTSAGTYNDLVYQIYLGNFDAVVGDTTIRGNRSLYADFTMPYTESGVVMVVPVIDMRNQNAWVFLKPLTWDLWLTTSCFFLFIGFVVWVLEHRINEDFRGTPSHQVGTSVWFSFSTMVFSHRERVVSNLARFVMVIWVFVMLILSQSYTASLASLLTVQKLQPTVSDIKDVLRNGDNVGYAENTYIYELLKQVGFDDSKIKKFQSFEECDELLSKGSANGGISAVVDETPSMKLFLAKYCTKYTMIGPIFKAAGFGFAFPKRSPLIPDLSRAVLNVTEGEVIMNIENKWFSVEKNCVDNSNPKVASYSLGLASFWGLFLIAGVASILALIICVASFLHKHRHILMHPDDSRGSGWRRIRAMFKMFNEKELSSHMFKSPQHTESIAGASDEVNAAASSNNNLPESPRSYISNHADFAEQATPSTGQVSPEIVSAIDHEHAITIARTC >ONI30900 pep chromosome:Prunus_persica_NCBIv2:G1:28405723:28406167:1 gene:PRUPE_1G280500 transcript:ONI30900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAFVPSLDFPELSILLFCILLSIKIHQQCEIKCGLDSQGRENREQHRLAPETSTQLSIKLTQLVCYQRNGCAD >ONI28415 pep chromosome:Prunus_persica_NCBIv2:G1:11116390:11117809:1 gene:PRUPE_1G141400 transcript:ONI28415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYSHILNSGHMTALSLFSTIFFFLNTIFTLQSIHSISSSTAGDGFSVRLIHHDSPLSPSYNHSMTAYDRIQAAAYRSVLRLNHVHSLTTTFSSSEQDISSRIVPENGEYIVTFSVGTPPTQVHAFMDIGSEVIWVKCSPVFNPAKSSSYGHHPCDSLACEVLGVGRRTCAEFLDPCYYRVRYGDGSTTEGTLSHDKFAFEDPERNLVDVGHLDFGCSDYSSWHFVGNESGALGLSRQPLSLISQLDIKKFSYCMVLPNNEGLGSRMYFGSEAVISGGRTPFLEGEDRYYYVTLIGISTGDQNVPLPVGIFNRTSDGEGGFMIDSGTTYTFLRSEAYDALIKALNEAIDLPQRRGPSEWFELCFEGSFEDLESAAPDVTFIFDGAEVILMKQTTYIEAKKGLWCLAMVRSNEKLSIFGNVQQQNYFVGFDLEEQVVSFAPVDDCATFQ >ONI34462 pep chromosome:Prunus_persica_NCBIv2:G1:40315306:40317817:1 gene:PRUPE_1G483600 transcript:ONI34462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWRSKPAILVLFIQLCFSLISSSLAISPGSADGYTIHGRVKIHSMGAKGYGLYQKTSNVKVILNAGQSVTFLRPDGFFSFHNVPAGTHLIEVTAIGYFFSPVRVDVSARNPGKVQAALTENRRGLNEFVLEPLREEQYYEIREPFSIMSLVKSPMGLMVGFMVVVVFLMPKLVENMDPEEMRRTQEEMRNQGVPSLASLLPGAARS >ONI28221 pep chromosome:Prunus_persica_NCBIv2:G1:10397047:10399533:-1 gene:PRUPE_1G132100 transcript:ONI28221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVHRVQVTPTKVYFCGPEANLSNRVLRNYSEHVDNFLRVSFVDEDMGVIHSGDLCPLTNCTTSTEEERRTGKFEFLAHTASQLREHSVWMFASTSEVTAQDIRNWMGDFSDIRNASKYAARLGQAFSSSWKTFDVDRDEIELIPDVEIERGGVKYCFSDGIGKISAEFAGRVAIKCGKITTPSAFQIRYGGYKGVVAVDPTLSKKLALRDSMCKYQSNNATLDVLAWSRYQACFLNRQVITLLSTLGVSDHVIVKKQKQALKQLEGVLSDPLRAQEALEIIFQGVFTNVLKEMLVCGYKPDAEPFLSLMLQAFCASKLVELRTKTRIFVPDGRSLMGCLDETRTLEYGQVYVQCSRRKIFGCNRSDTSSDDNFIVRGKVVVAKNPCLHPGDVRVLEAVNVPALHHMMDCVVFPQKGKRPHPNECSGSDLDGDFYFVSWDPDLIPPLQVRPMNYTPAQTIKLDHDDNGVNDNLGIICNAHIVLADREHWKANSASCIELAHLNSHAVDSTKTGVVVKVPSHLRVHEYPDFMEKVDKPTYESKRVIGQLFRQVKDLELASDSPSNSATIKAFTMEVALKFSGEYDYKLGNLIDDYGFKTEAEILTGSITAVSKRFNGENDLESIHYALKALKKEARNWFDEKLGSDMQSDTNPDINDEHAAKASAWYNVTYHPRYWGCGNKGMERDHFLSFPWCVFDKLVQIKRSKHL >ONI35128 pep chromosome:Prunus_persica_NCBIv2:G1:42559177:42560997:1 gene:PRUPE_1G517800 transcript:ONI35128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIEALAMAGANYLECNIDLKELEKKDQEPPPCHLQAETFERDIEYLSSLTLSSPSFRSLKYDEKRKGRNQLMQRDSLVTKRMETRVLKKSGEAMTKRM >ONI35294 pep chromosome:Prunus_persica_NCBIv2:G1:43220271:43223125:-1 gene:PRUPE_1G528100 transcript:ONI35294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVPDNLTREQYVYLAKLAEQAERYEEMVQFMEKLVVGATPAGELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYRSKVETELSDVCASILKILDSNLVPSAKSSESKVFYLKMKGDYHRYMAEFKAADERKAAAEDTMLAYKAAQDVALADLAPTHPIRLGLALNFSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDADQLDEP >ONI27737 pep chromosome:Prunus_persica_NCBIv2:G1:8204490:8214876:1 gene:PRUPE_1G102600 transcript:ONI27737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASLINPLTSSLCSPKSDLTAPKQQWVLGVGPATLTSLSVRSNNKSNSKSKRQIGKPSSVSSVVCKAVSVTPQTAVEGLNIAEDVTQLIGKTPMVYLNNMVKGSVANIAAKLEIMEPCCSVKDRIGYSMIIDAEQRGLIKPGQSVLVEPTSGNTGIGLAFIAATKGYKLILTMPASMSLERRVLLKAFGAELVLTDSAKGMKGAVQKAEEIFKSTPNAYMLQQFDNPANPKIHYETTGPEIWEDTRGKVDIFVGGIGTGGTISGVGRFLKEKNPKIKIIGVEPSESNILSGGKPGPHKIQGIGAGFIPRNLHQDVVDEIIEISSDEAVETAKQLALQEGLLVGISSGAAAAAALKVGKRPENAGKLITVVFPSFGERYLSTILFQSIREECEKMQPEP >ONI31108 pep chromosome:Prunus_persica_NCBIv2:G1:29079310:29079582:-1 gene:PRUPE_1G293000 transcript:ONI31108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAGAEFPGFQTCAHKSDTNIFRRHLSHRLQRRAPCPLQLNPNNNVSSGCSGIQNSVGSSSNSSSSSLNSFYQSKDPIPLLSPLVLLP >ONI31401 pep chromosome:Prunus_persica_NCBIv2:G1:30205771:30207154:1 gene:PRUPE_1G310500 transcript:ONI31401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASSRPDEILKLWTSLHFDLVQILCSSFLIIFIATVYFMSKPRTVFLVDYACFKPPVTCRVPFSTFMEHSRLILSNNPKSVEFQMRILERSGLGEETCLPPAIHYIPPNPTMETGLKPTDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIRSFNLSGMGCSAGVISIDLARDLLQVHPNSNAVVVSTEIITPNYYQGNERAMLLPNCLFRMGGAALLLSNRRSERRRAKYRLVHVVRTHMGSDDKAYRCVFEEEDKEGKVGISLSKDLMAIAGEALKSNITTMGPLVLPASEQLLFLLTLIGRKIVNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHCEASRMTLHRFGNTSSSSLWYELGYIEAKGRMRKGDRVWQIAFGSGFKCNSAVWKCNRNIETPSEGPWADCIDRYPVHIPEVVKL >ONI28573 pep chromosome:Prunus_persica_NCBIv2:G1:11672976:11676252:-1 gene:PRUPE_1G148900 transcript:ONI28573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPNNSAEGEGSIHLQIDELHRLNEARTADNTIFEPQSSIEKRESSTATSVGPTTPAVPAPEKKLTLFALRLAVLEKAATGLGTLGFIWATVVLLGGFAITLDQTDFWFITIILLIEGTRIFSRSHELEWQHQATWSIADAGINSFRALKISSSFIIENIKAIFKPVLAVRKQSQRSRDFARTIDSITTRNSDLQGKIVRTWTSSEVPLLPYAQWIFRARHISKMLYWLQLLSASACIALSLMKLIKRNYGEIEKGDTDKRNRESALNIFYSLALAEALLFLMEKAYSEFMISYCNLLDEVNKECDLGPSGLVSIKRFFYDAYSRCVNGSIFDGLKMDMVTFAMDLLASNSSDEQLIGAKILRQFAMNQRYSDDTLLKIGINISVIERLVEILNWTDQEEEEIRRSAAEILSKLAGKKQNSIRIAGVPGAMESISSLLQTCRSSSGASDEISEKRIISDHAHYGFLTFNHLGLLILKKLARDHDNCGKIGSTRGLLPKIIDFTHAEERFLKEEHGTPDDQIMTLKRSLQLVKRLASTTGNTGKNLRRNLSEIVFTISNIRDILRYGEKQPMLQQLGIEILTGLALEEDATERVGGTGGVLKELFNIFLNKGMLENDKQVITKAGEALAMLALESKNNCQRILKLGVLESLVQALEVRLVRVNAARILRNLCTYSGSNCFHQLKGITNATPIVLKAIMSEEHKLQEVMVGLAAHVLAFLSPEESSLMFKKAEITEAEVANELVQILKKHRHPPIKVPRIRRFAIELAIWMMEDKPTNVQIFKDFGMEKELEFVLETTAELESFNIFSGTVGMSRHSTTIHSLVETALRLLADG >ONI27876 pep chromosome:Prunus_persica_NCBIv2:G1:8719300:8720464:-1 gene:PRUPE_1G109000 transcript:ONI27876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTIGDTLPNIEAESTHGKIKLHDYIGDSWAIVFSHPGDFTPVCTTELGKMAEYAMQFQERGVKLVGLSCSDVQSHLEYIKDIEAFSTCGRKVEYPILADPTREIIKQLNMVDPDMKDSSGNPVPSRALHIVGPDKKVKLSFLYPATTGRNMDEVLRVLESMQMASKKKIATPANWKPGEPVVISPSVSQEEAKKMFPQGYKTMDLPSKKEYLRFTTV >ONI31827 pep chromosome:Prunus_persica_NCBIv2:G1:31531266:31531676:1 gene:PRUPE_1G332900 transcript:ONI31827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNSSKLIIPSPSLSTALIIFLHSAIEHSSPKLLSTLYNSSAEIDPFPSMSFSSASAWSTPLVLSSTNSLKSMKPSPSESTSKIMWLSSSSLAGWPRLLMMAPSSEDEILPSPLTSNFLKTWSSSAI >ONI29885 pep chromosome:Prunus_persica_NCBIv2:G1:23415531:23415965:-1 gene:PRUPE_1G219700 transcript:ONI29885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKSIFQFYCFLFFLGLASAAQRDTSVTPFKKFFVRIVNNLDAKKLDFECKSQEDVIARSLAARGDEFEFGFRLDIQLSTYYFCDLWYLNYHVGFHAFQVDEQLLDLCGGVHCIWQAQEDGIYLYNIQRGNWLRKYSWEKMGK >ONI27607 pep chromosome:Prunus_persica_NCBIv2:G1:7580039:7580977:-1 gene:PRUPE_1G096000 transcript:ONI27607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERDGVFSHDQQSTALKTLLDSLKIFLRNKLTFISIFALTTLPLSFLLFSLSLSSHPLKSHIFHLESLARLAPTRFEARQVWKESRDDAVSLLRIKAIFFLPSYALSLLASVTAVTATSSSFHGKRPDLRSSLNAVKLTWRRPLVTSICIYALSIAYAVVPWTLSIVFNSSWSRFFILVLGSGLEIYLMAVLGLGLVASILEERFGWDAIRVGWALMAGKRLCGWALSGLFVFLTWVVARKLEEVMDGQDLMEGSSTATLTRVVVGIEDKLGWVILYGLVVLWGYLVTTVFYCECRKRHVIGGGENENITV >ONI35553 pep chromosome:Prunus_persica_NCBIv2:G1:44291584:44293850:1 gene:PRUPE_1G542200 transcript:ONI35553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYHPSSFQVTLVGSASLIVYPLKEMAAAAANPARKRFIAFLGGWLERQQTFLNQLLRLVDLPSSENKAHQQRHLIEQVLCHYQQYFAEKALTAEEDVFVLFSPPWLSSFERTMLWLAGFKPSLVLRMVDSSVEDLTAEQAREMEAVKGETRRAERELSETMARIQESVAAPPILALARRVGRLMDGEISSLDLAMETLKTAMLGVFESANGLRGSTVRKVVEVLSPEQTVKVLAAVAQFQLGIRRLGLQRDSQQQS >ONI28842 pep chromosome:Prunus_persica_NCBIv2:G1:13244780:13247065:-1 gene:PRUPE_1G164500 transcript:ONI28842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIEIDCMAVYLGHIGRIDYWYRVEDKGDLKRVEFDSEVIQMCEMCLREFNLELECYSLGVILQELPEFDKAIVVYDRLDKVTSNEAHKTRKPTPSMNSATARGKEKVAAVDECSVQRVTNKATSKGKENVAEVDEGFVDAEINEIRRSVRKRNPSIQQEADDADDSSETGDENDPDFVDNEYSSDEDDDDEVFLHEVDIDDSSSFRKALKAISIKEGWEICWMKYEKYRIMAICATENCPFKIYRPVFQRMYICFGACKEGFKAGCKPVIGLDGCHLKSPYGGQLLSAIGLDGNNMTWVIAYAQLEMETKDSWIWFL >ONI28060 pep chromosome:Prunus_persica_NCBIv2:G1:9388596:9389248:1 gene:PRUPE_1G120000 transcript:ONI28060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNFPTGDARQPPQNIGVVPVILIEKQKRGGTLDLCDNEITATHADEVGMFNFNNMTDHTGQGGGGCTTVTKSSRNISGNKITAQGGKGVGFGNFDNCTLHKNSNDEDDAAAGGKKKSPA >ONI26587 pep chromosome:Prunus_persica_NCBIv2:G1:2299722:2300027:-1 gene:PRUPE_1G033100 transcript:ONI26587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVHFALAALLLLCLLHVQPKAGRILYAEARLMKHELMNFQSLPRGDLPPSESSKCTYIPGTGGSGCPLKVKNYAGHGLSHHASAYPRLMVPFGVATNHK >ONI27022 pep chromosome:Prunus_persica_NCBIv2:G1:4523192:4534081:-1 gene:PRUPE_1G063600 transcript:ONI27022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEPFRAIGYTTSSVPFSVQRLGTETFVTVSVGKAFQIYNCAKLSLVLVGPQLPKKIRALASYRDYTFAAYGNDIAVFKRAHQVATWSSHNAKVNSLLLFGEHILSVDIEGNLFIWAFKGIDQNLSPVGHITLENNFSPSCIMHPDTYLNKVIIGSQEGPLQLWNISTKKKLYEFKGWKSSICSFVSSPALDVVAVGCADGKIHVHNIRYDEELVTFAHSTRGAVTALSFSTDGQPLLASGGSSGVISIWNLEKRRLQSVIRDAHDGSILSLHFFVNEPVLMSSSSDNSIKMWIFDTSDGDPRLLRFRSGHSAPPQCIRFYANGRHILSAGQDRAFRLFSVIQDQQSRELSQRHVSKRAKKMRMKEEEIKLKPVIAFDCAEIRERDWCNVVTCHMDTAQAYVWRLQNFVLGEHILKPRPENPSPVKACAISACGNFAILGTADGWIERFNLQSGMSRGSYVDTSARRSFAHDGEVVGIACDSTNTLMISAGYHGDIKVWNFKGRDVKSRWEVGCSVVKIVYNRLNGLLAVVADDLIIRLFDVVASRIVRKFEGHTDRVTDMCFSEDGKWLLSSSMDGSLRVWDVILARQIDALHVDVSITALSLSPNMDVLATAHVDQNGVYLWVNQSMFSGASKVDSYASGKEVVSVKLPSVSSTKGSQDEDFDEPIVDNPQSKDASAFTTRDLQIPDLVTLSLLPKSQWQSLINLDIIKERNKPIEPPKKPERAPFFLPSVPSLSGDILFKPSGSAEEEAKGDEVEDTRIKSGLAPSQFVQLLHSSAEMKNFSAFTDYIKSLSPSTLDMELRMLQIVDDDEQEPEKRPELFSIELLLDYFIYETSCRNNFDFVQAVIKVFLKIHGETIRCQSILQDKARKLLDIQSKTWQKVENLFQSTSCVVAFLSNSRF >ONI33485 pep chromosome:Prunus_persica_NCBIv2:G1:36736260:36739320:-1 gene:PRUPE_1G427400 transcript:ONI33485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEAAITSIATDKAALISFKSGVSLPPSYWDQNSSPCTNWTGVVCNKLGNRVVALHLSGLGLTGSISPHIGNLSFLRSLHLQNNKLTGNIPSQILHLFRLKSLNLSSNTIQGPLPSNMTQLIALQTLDLASNNITGTLPENLSRLKNLQVLNLARNNLHGTIPSSISNLSSTLTHLNLGTNSLSGRIPSELGFLYKLEELDLAVNQLTGTVALSIYNISSLVLFTVASNQLWGEIPSNIGHTLPNLLYFRNCINQFRGKIPASLHNISGIRSIRLANNLFEGSVPPGLGNLQFLEMYNIGFNQIVSYGDDGLSFLTSLTNNTRLQFLAIDDNHLEGVIPESIGNLSGVIKKLYMGGNHIYGHIPSSIGHLSSLTLLNVSYNLISGEIPPEIGQLKDLQMLGLAANKMSGHIPNSLGNLRMLNNIDLSGNYFVGNIPSSFPNFQKLLSMDLSNNLLNGSISREIFLSLPSLSTILNLSNNFLSGPLPEEIGLLGNVVTIDLSDNRFSGSIPSSIGKCSSLVGLFMVRNTLSGPLPNALGEMKGLEILDLSSNQLSGSIPDKLKDLRVLRYLNLSFNLLEGVIPNGGIFVKNISSVHLEGNPKLCLHVPCVESAASSHRRKVLVPVTIILGILAVCTMGGCLLYVRKSKARVAATSDLVVKGQHRMVTYEELRGATGNFNQENLIGSGSFGSVYKGCLREGIEVALKVLDIRKTASLKSFLAECEALRSTRHRNLVKLITSCSSLDVRNMEFLALVYEYLSNGSLEDWIKGKRKNANGDGLNIVDRLNVAIDVACGLDYLHHDCEVAVAHCDLKPSNILLDRDMTAKIGDFGLAKLLIERTGNNDLSSTNVLKGSVGYMPPEYGFGQKPSTAGDAYSFGVVLLELFTGKSPTHENFTGDQNLIRWVQSAFPENIVQVLDSELLHLMQHLPNEGPINIIPEAERNCLISIMEVGISCTCASPDGRIGLRDALRKLETARRTLFKHTHV >ONI31051 pep chromosome:Prunus_persica_NCBIv2:G1:28828981:28836826:1 gene:PRUPE_1G289000 transcript:ONI31051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMPNTRRTRKIPPKPELYSTVVIHEDGDSNSESDRDQRQRRSKPKSSDPEPDLYATMVYKGNARDDEDEDEDDDASLPPLLKRLPKDFGGGASIDYFDDEEDENGGDFGTMIIKPDRNRTTGRSRDFKRGSIDDDGDGDGFSTFVVRSSSERESISGTVVRRTSSGAGSTMSRAVASMQASSELGFGKQRRGSGSSQGEEYRQTTKMSSSSIPDSVTREDPTVKYELLNELGKGSYGAVYKARDIKTSELVAIKVISLSQGEEGYEEICGEIEMLQQCNHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTEDALEEYQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGDVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRSSVHPMRVLFMISLEPAPMLEDKEKWSLVFHDFVAKCLTKEPRLRPTASEMLKHKFIEKCKCGPSAMLAKIEKARQIRASMALQAQSIAPVEPEDSTLVVSKVNEDYGDTVPSRPNNQVENEVSTASTLRKQHISGDAGLAGEGNFGTVIIHGGDERDETANQTQSFNVKEPAAGPGFLENPSNTGTGGKPAEPRVENAGGVSLHSISVGEPHSVTQTIQASSRSILGSSEQNLKTKGQVEGQSSGTLKNETVNRKAFAMQDKLWSIYAAGNTVPIPFLRATDISPIALLSDNVLGGMHEDNNGSVAVEALQELFTGDGQSKKGRRGQNEMPLPPSVYKRLFTSSTLMNLAQALAYHKMCYEDMPLQELQATQEQQTIQNLCDTLRTILRL >ONI30258 pep chromosome:Prunus_persica_NCBIv2:G1:25426807:25431563:-1 gene:PRUPE_1G240300 transcript:ONI30258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAARPAVVIDNGSGYTKMGFAGNVEPCYIVPTVVALNESFLNPARTSSKAGWLAQHNAGVMADLDFFIGDEALERSRSSTTYNLSYPVRQGQVENWDSMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVAEGYVIGSSIKSVPIAGKDVTLFVQQLMRERGENVPPEDSFDVARKVKEMYCYTSSDIVKEFNKHDKEPSKYIKQWRGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYSNDFTTPLPAVIDKCIQSAPIDTRRSLYKNIVLSGGSTMFKDFHRRLQRDIKKIVDARLLASEARVGGELKSQPVEVNVVSHPIQRFAVWFGGSVLASTPEFYAACHTKEEYEEYGASICRTNPVFKGMY >ONI32262 pep chromosome:Prunus_persica_NCBIv2:G1:33058538:33061834:1 gene:PRUPE_1G356900 transcript:ONI32262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESADGKAKKAGARLCCLCHQKKAALKRPKTLEQICRECFYEVFEEEIHQVIVENQLFKPGERIALGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKLATGHNADDIAETVLLNILRGDIARLSRCTSIVTGEDGPIPRCKPFKYTYEKEIVMYAYFKRLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIIKSGENFRISTSTKMPEQGTCERCGYISSQKWCKACVLLEGLNRGLPKLGIGRTRGLNNDDKKDTKKTNGTKSIESKQCGTLDF >ONI33211 pep chromosome:Prunus_persica_NCBIv2:G1:35913394:35915526:-1 gene:PRUPE_1G411300 transcript:ONI33211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKQKNFHLLSAFFFSLFQFFFFLSLSNPEETTALLAFKASTDPFNSLSSWMASPDPCSGSWLGVTCDPETRRVTRLVLENLNLTGAAEQLSQLDQLKVLSLKHNLLSTSLDFWSLPHLNHLYLSHNRFAGTFPAGISRLRNLRRLDLSYNQFSGDIPLTKLTQLPRLLTLRLESNSFTGTLGSADLDTGTISDFNVSHNNLSGEIPAWLSKFAATSFAGNGNLCGKPLPYECSNGTVPDSSVPSRDLGRKNGSVLSNAAQLTIIIVGIAVALLVIVVTATWCRRTKRVEGGTRNKTANGFGYVSKHYGPGEMKKKKSKGGAHLSQSNGHGPGGGPRESEDMVVLEGCRGVDKVGDLLKASAEMLGKGSVGTTFKVAMDGGDVVVVKRLREKRNGGKEMDGFLRQIGGLRHCNIVSLRAYHNSNYELLLVYDFMPKGSLHFVLHGNRGPGRTPLDWATRLKLASGSAQGLAFLHGCNKAKLFHGNLTSSNILVDQMGSACIADIGLQQLLPAPFSSNSAYKAPELMLPSSKIPRKFTQKCDVYSFGVVLMEILTGKTAGEEGEMSLVEWVKMVVQKECSTWEVLDFELLGDKEMEEEMWALLQVGLLCVSPLPKDRPTMGMVHRMIEDIRQKGVREDGAKSILDDLTSDSSPSHSESTP >ONI27388 pep chromosome:Prunus_persica_NCBIv2:G1:6195811:6197490:1 gene:PRUPE_1G083400 transcript:ONI27388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKARFRSSKGGAGVLERPKFDQSQFDPATQLEQGGDIGRLKDKRGIGSRDSYRVLLVDDVRHTEKLGTEPEPDRSGLVRISVWMLSRTGPNRTGLHYRIRFYFFPETDPNRTVPSPTCIK >ONI35315 pep chromosome:Prunus_persica_NCBIv2:G1:43306345:43308503:1 gene:PRUPE_1G529500 transcript:ONI35315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLFSLVTFSSFLIIFNLLSSPSLADVPLNTPLPPETICKSTPHPSYCISVLPHKNANVYDFGRFSVQHALSQSHKLFDSIEKYLQSSSALPQPAIQALEDCKLLALSNIDFLSSSLQTVNKTSGVLSSLDADDAQTLLSAILTNHQTCSDGIESLPSSAGSAISDLSVPISNNTKLYSVSLALFTKGWVPKDKSGVPKRPKRQFRFGKGRLNLKMSSHARAIYDAAINHRRRRLLQVGDEEVLVKDIVVVSQDGSGNFTTINEAIAIAPNNSVASDGYFLIYITAGVYQEYVSIISKKKYLLFIGDGINQTIITGNNSVGDGSTTFNSATLAVVAEGFMAVNITVRNTAGPSKGQAVALRSGADLSVFYSCSFEGYQDTLYTHSLRQFFRECDIYGTVDFIFGNAAVVFQNCNIYPRQPNKGQFNPITAQGRTDPNQNTGTSIHNCTIKPAADLASSNFTVKTYLGRPWKEYSRTVYMQTFMDSFIDPAGWHDWSGDFALSTLYYAEYNNTGPGSSTANRVTWSGYHVINSTDAANFTVSNFLLGDNWLPQTGVPYTGGLI >ONI28801 pep chromosome:Prunus_persica_NCBIv2:G1:13014223:13017410:-1 gene:PRUPE_1G162300 transcript:ONI28801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGGLRNIENVWMAEQKHKAEQKKLDELRKQIVEERKSGLAIGSPVGSDSFKTLEALPKTKDAPSSSAASKQQASASVSGALFEEKPQSANDAWRKLHLDPLLMIRQREQEALSRIKNNPVQMAMIRNSSGHRKISKYVKHSDSEDESRKSARRRENTFKHSDSEDESRIRAHRSGKNPVKRLDSEDEFRYRTRRSEKNHVKHSDSKDESRKRSTLRREESAHKNWKRYGQVDAKGKHDNLELEKYPMKGRNESQYRRRKSCWAKGEERAPKLSEEETAAGLREIIQCLWC >ONI33058 pep chromosome:Prunus_persica_NCBIv2:G1:35503427:35505844:1 gene:PRUPE_1G403000 transcript:ONI33058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFFLSPVSLYAIYVRRCFAASGLSQQTLQLDNETTLHFWGPNPKFSQATQKKPSLVLIHGFGPVAMWQWRNQVQFFSPRFNVYVPDLVFFGDSTTTSAERSEVFQAASVAKLMEKAGVERFSVIGTSYGGFVAYHVARMWPERVEKVVIASSGVNMRRGDNEKLLRRAKLEKIEHLMLPATAVQLQTLISLAMSRRVDVIPRFLLNDFVQKLYSDKRKEKMELLKGLTLGRDDTPNLSPLPNKEVLIVWGDKDQIFPLEMATELKELLGSKTRLEVIKNTSHVPQVENPIQFNNIVESFLCDSS >ONI29369 pep chromosome:Prunus_persica_NCBIv2:G1:18508586:18513413:1 gene:PRUPE_1G195300 transcript:ONI29369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTALSSWVFVEKRRLIEVFPEPVQKLWNLWELRVMVLISLTLQISLIHFGSRRKYNVKTEIRVFLWFAYLMADWVATVALGVLSQNQGKSSSCDTKGGSPGGDKLDDELSAFWAPFLLLHLGGPDTITAYALEDNELWLRHLLGLAVQVGVALYIFLMAWKTSWLSILTIPMLLSGLIKYGERTLALRSANREKFRDSMLTRPDPGPNYAKFMEEFSLKKAEGYKVSAEDVEVQVGVDEVRDAVADVRSNSEPSIVVKSHDLFNTFKRLYVDLILSFEDRDGSQIFFQNLDSSETAFKVVEVELGYAYDVFYTKAPIIYTRRGCFFRTVTLSSTCLVFVLFLINVRHKHALTDLITTYILLVGAIILEIYALVLVVSSDWTTLWLSKTSWYGKPPSSQPPGIRRTLSSQVTSVVQLAKRQRWSNSMAQFNLLSFCLKTKPLIRRESPKSVCMQKVLEMKLYKFLNQISAYLKQWLFSHILHKLDEKLEMFLYFTREPISNELKELIFHHFLQKSQTKGKLTELCAGRGNLVLDQYKFPDFMTWTTEVEFDQSILLWHIATDLCHHSDATKTSATEYLHREVSMQIADYMMYLLVMCPFMLPIGIGLIRFQDTCAEAKEYFEQRNRTSSVKTEACEMLLRVSTEVKPAKVKGDRSKSVLFDACSLASSLNNQKEKKWEILSQVWIEILGYAASHCRGNFHAQQLRRGGELLTHVWLLMAHLGITEQLQISQGHARVKLIVK >ONH89498 pep supercontig:Prunus_persica_NCBIv2:scaffold_30:7204:8227:1 gene:PRUPE_I003900 transcript:ONH89498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERVQALAISGLNELPAKFVRPAHEQPENSKALDGVTVPVISLAQPHDVVVKEVAAAATVWGFFLITDHGIPSPLIQQLQKVGHEFFLLPQKEKEAYANDPANGRFDGYGTKMTKNHDGKVEWIDYFFHLTAPPSKVNYEIWPKNPPSYREVNDQYNKEMQRVTDKLLEVLSEGLGLEAKVLKSHMGNEEVELEMKINMYPPCPQPQLALGVEPHTDMSALTLLVSNDVPGLQLWKDDNWVAVNYFPNSVFVHIGD >ONH89497 pep supercontig:Prunus_persica_NCBIv2:scaffold_30:7125:8645:1 gene:PRUPE_I003900 transcript:ONH89497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERVQALAISGLNELPAKFVRPAHEQPENSKALDGVTVPVISLAQPHDVVVKEVAAAATVWGFFLITDHGIPSPLIQQLQKVGHEFFLLPQKEKEAYANDPANGRFDGYGTKMTKNHDGKVEWIDYFFHLTAPPSKVNYEIWPKNPPSYREVNDQYNKEMQRVTDKLLEVLSEGLGLEAKVLKSHMGNEEVELEMKINMYPPCPQPQLALGVEPHTDMSALTLLVSNDVPGLQLWKDDNWVAVNYFPNSVFYKSVLHRSLVNKERMRMSWAVFVAPPHEAVIGPLPELLDEKNPAKYSTKTYAEYRHRKFNKLPQ >ONH89496 pep supercontig:Prunus_persica_NCBIv2:scaffold_30:59:1098:1 gene:PRUPE_I003800 transcript:ONH89496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLGKIPDKVKAVVENTELDAFISSPLRYRHPWELLWGNISKGNACVAGDALHPMTPDLGQGGCCALEDSVVLARCLGEALLKNSGGERKDKEGVEGKEEYERIEMGLNKYANERRWRSFDLISTSYVVGFLQESNGKFMNFFRDKCLSPILAGLRLKKADFDCGKLSIS >ONH89499 pep supercontig:Prunus_persica_NCBIv2:scaffold_30:13978:14229:-1 gene:PRUPE_I004100 transcript:ONH89499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFQALRLKKAKRFRFNWDSLAGHGGSEWHRPVILPLPRARGSRCSVKPALRSALHSRNPVTQSLRSSHRVQLLAWNGRARR >ONH89500 pep supercontig:Prunus_persica_NCBIv2:scaffold_30:12801:15296:1 gene:PRUPE_I004000 transcript:ONH89500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLGKIPDKVKAVVENTELDAFISFPLRYRHPWELLWGNISKGNACVAGDALHPMTPDIGQGGYCALEDSVVLARCLGEALLKNSGGERKDKEGEEGKEKYERIEMGLNKYANGRRWRSFDLISTSYVVGFLQESNGKFMNFFRDKCLSPILAGLRLKKADFDCGKLSIS >ONH89505 pep supercontig:Prunus_persica_NCBIv2:scaffold_23:9296:9724:1 gene:PRUPE_I003300 transcript:ONH89505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNLFLLTLLALWTMPLFISTTTSARSLNYNPIGSNLNPNLEARLNLDEESSNCWDSLFQLHACSGEVVMFFLNGETYLGHGCCEAIRTIEHHCWPALLGTLGFTTEETDVLKGYCDEADHIQSPPSRPPSPPAADKPIN >ONH89514 pep supercontig:Prunus_persica_NCBIv2:scaffold_19:11691:14106:1 gene:PRUPE_I002000 transcript:ONH89514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGFLNLSGTMVKEVPPSIGNLVALRKLDLRDCKYLEVVHDYLFRLTSLQELNLSSTKIKSLPSNIKQAAQLSRLCLNYCNSLEYLPELPPLLQCLNANGCTSLKTLSSSSTALAQGWEKYIFSQGLYEKHIFSDCRRLDENARSNIMGDAQLRIMRMATASSKFKEDKIEEASDDSNEVSLSLSLSLSLSIVEEVALFCFTSLITMMSISMVQESFIDIRCCGNEIPKWFSHKSEGCSIKIELPRDWFSTDFLGFALSLVVDFAPWDMEIRCNYNFKTNNGECIEVYHPLSNLRMNVRSRESRVVFVY >ONH89515 pep supercontig:Prunus_persica_NCBIv2:scaffold_19:16570:18199:1 gene:PRUPE_I002100 transcript:ONH89515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLVSEVKTRDTFTSHLHAALRRKNIDTYIDNRLERGDEIAPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYTLEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGTEADFVEKVVQDVLTKLNRDLSSDLKGLVGIEKKIEKIESLLCLDSPGVCCVGIWGMGGIGKTTLADAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKQKEVNIDTPSIPPHIQDRLRRTKALIVLDDVNARKQLEDLVGDHDRFCQGSRIIITARDKGLLEQKVDPAKIFSVEGLGPEEALELFHSHAFGNKSPTTDYTELSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEVQWKKVKRVQIGEIQKVLRISYDGLDDNENEIFLDIACFHKGCKRNDVERMLDSCDFFGEAGINELVDRSLISISYSCTSWEDKLEARIEMHDLVQEMGRAIAREQRSRLFIAKDVYQVLTN >ONH89513 pep supercontig:Prunus_persica_NCBIv2:scaffold_19:6446:6847:1 gene:PRUPE_I001900 transcript:ONH89513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLIIKGKLAPFFSLHFLNEIRSSSSSSSLPFPAPLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLEKGDDIGPTLLQAIEKSELALGMKTRYIIYASFSSCITCGLESNNILQANLLILV >ONH89516 pep supercontig:Prunus_persica_NCBIv2:scaffold_19:18303:20763:1 gene:PRUPE_I002200 transcript:ONH89516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQLRFLCVESFPTSSSIVSLDLPNSLRFLKWHWYPLKSLPSKFSAQNLVVLDMSSYKVGAQLWNEDQSLVNLKRIRLCCHYLTEVPNLSRCLNIECINLGGCERLVEITYLNLSRCYKIKNLPEMPCNLEFLDLSWTRIEELPSSVWSHEKISHLDIRNCGHLKSLPSNSCKLKLSNSFSLEGCKSLCEFWELPRDTTVLEFNSTTIKELRNTSIESVVGLTAIKLTYCKSLVSLPTNIWKLKSLESLDLSCCSNFQHLPEISEAMEHLEFLKLSSTMVKEVPLSIGNLVALRKLDLGYCNYLEVVHDYLFRLTSLQELDLSSTKIKSLPASIKQAAHLSSLFLNDCKSLESLPEVPPLLQCLEAHGCTSLKTVSSSSTAIIQGWEEYIFYRGLYEKHRFSNCPKLDENARSNIMGDAQLRIMRMATASKFKEDKIEETSYDSNEKVAITCSGNEIPKWFSHKSEGCSIKIKLPRDWFSTDFLGFAPSIVVGHKRYRRNTDIGCKYNFKTSNGESHEVYHPLHYGSRRCELTFVDEDSHEVFVWWYNNVFEEVVKGAQSPTALYKLVTEVNVHFTVINYSDYGPLPEVEKCGICLLYGKDAEMIKQRAL >ONH89512 pep supercontig:Prunus_persica_NCBIv2:scaffold_19:1607:6479:1 gene:PRUPE_I001800 transcript:ONH89512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSVPFSAPLQEKYDVFLSFRGEDTRDTFTSHLHKDLLRKNIDTYIDRRLERGDEIAPALLKAIERSKIALVIFSKDYASSTWCLKELVHILGCKKSHGQIVIPIFYRIDPSHVRKQRGTCALEDRPLKRSRDEVANWRAALEEAANMSGFHYSSKTGRTEADFVEEVVQDVLTKLNRESSSDLRGLFGIQRKIEKIESLLCLDSPGVCCVGIWGMGGIGKTTLADAVFHRQWQSSKFEAACFLANVREKSEKTDGLNELRNTLVRELLKDKDVNINTPSIPPHIQDRLRRTKAFIVLDDVNAREHLDVLVGDDDRFCQGSRIMVTARDKGLLEQKIDHEKIYNVEGLGSDEALELFHSHAFGNKSPTTDYTELSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEVQWKKVKRVPIGEIQKVLRVSYDGLDDNEKEIFLDIACFCKGYLRKSVEKMLDSCYFFLEAGINDLIDRSLISISQDMSLKKAKEKYSGERIVEMQSVERIEMHDLVQEMGRAIARKQGSRLFNANDVYQALTNNQRDGHVQAISFDSSKIEKPHLKDANFKKMYQLRWLRVSYSILFRGSLHLPSSLRYLYWEGYPLESLPSKFSAQNLLVLYTPYSKFGVQLWNEDKIPVNLKRINLLSCQYLTEVPNLSQCLNIEHINLGSCESLVEIPSYFQHLGKLTYLDLSMCYKLKNLPEMPCNLEFLDLSWTAIEELASSVWSHEKISRLDIRYCEHLKSLPSNTCKLKLSSSFSLKGCKSLCEFWELPRDTTVLEFSSTTIKELRNESIESVIGPTAIKLTNCKSLVSLPMNIWKLKYLESLKLSGCSNFQHFPEISEAMEHLEFLNLSGTMVKEVPKSIENLVALRKLHMVECSIQEIPDDLFCLSSLQELNLSLTEIKSIPASVKQAAQLSRLCLNGCESLESLPELPPLLQCLEAEDCVSLRTVSSSSTALAQGWEKYIFSQGLHEQHVFSDCRRLDENARSNILGDAQLRIMRMATASSKFKEDEIEESLRKRSFVAIRCCGNEIPKWFSHKSEGCSIKIELPRDWFSTDFLGFALSLVVDFAPRDMGLFCKFSFKTSNGESHEVIHHLSSLYPKNFITSELFSCGEVFVWWHDNFFEEVVEGAQSPTAFYKLVTEVNVYFIVWNRAEVKKCGICLLYGKDAEMIKQRAL >ONH89518 pep supercontig:Prunus_persica_NCBIv2:scaffold_19:28322:32039:1 gene:PRUPE_I002300 transcript:ONH89518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPSSLPFPAPLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLEKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRRDEVANWRAALEEAANMSGFHYSSKTGRTEADFVEEVAQDVLTKLNRESSNDLKGLFGIQRKIEKIESLLCLDSPGVCCVGIWGMGGIGKTTLADAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKEKDVNIDTPSIPPRIQVRLGRPKALIVLDDVNARKQLEYLVGDHDRFCQGSRIIITARDKGLLEQKVDPAKIFSVEGLGPEEALELFHSHAFGNKSPTTDYTELSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEVQWKKVKRVPIGEIQKVLRVSYDGLDDNGKEIFLDIACFHKGCERNDVERMLDGCDFFGEAGINDLIDRSLISISKERWSKGQIEMHDLVQEMGRAIAREQHSRLFIAEDVYQVLINDQGDGHVQAISFDLYEIEKLHLELEHANFKKMYQLRFLHVSPFLQSIVSLDLPNSLRFLAWNGYPLKSLPSKFSAQNLIVLDMSFNKVRGQLWNEDQSPMNLRWMNLSLSRHLTEVPNISRSLNIEHIYLFGCERLVEIPSYFQYLSKLTYLHLSMCYKLKNLPEMPCSLEFLDLSRTAIEELPSSVWSNEKISHLDIRYCGHLKSLPSNNCKLKLSNSFSLKGCKSLCEMWELPRNTTVLELSGTTIKELRNTSIESVVGLTAIKLINCKSLVSLPT >ONH89517 pep supercontig:Prunus_persica_NCBIv2:scaffold_19:28322:32038:1 gene:PRUPE_I002300 transcript:ONH89517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPSSLPFPAPLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLEKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRRDEVANWRAALEEAANMSGFHYSSKTGYTKSLACISLYVLSFDTYLLLFVFHDDICQIARRTEADFVEEVAQDVLTKLNRESSNDLKGLFGIQRKIEKIESLLCLDSPGVCCVGIWGMGGIGKTTLADAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKEKDVNIDTPSIPPRIQVRLGRPKALIVLDDVNARKQLEYLVGDHDRFCQGSRIIITARDKGLLEQKVDPAKIFSVEGLGPEEALELFHSHAFGNKSPTTDYTELSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEVQWKKVKRVPIGEIQKVLRVSYDGLDDNGKEIFLDIACFHKGCERNDVERMLDGCDFFGEAGINDLIDRSLISISKERWSKGQIEMHDLVQEMGRAIAREQHSRLFIAEDVYQVLINDQGDGHVQAISFDLYEIEKLHLELEHANFKKMYQLRFLHVSPFLQSIVSLDLPNSLRFLAWNGYPLKSLPSKFSAQNLIVLDMSFNKVRGQLWNEDQSPMNLRWMNLSLSRHLTEVPNISRSLNIEHIYLFGCERLVEIPSYFQYLSKLTYLHLSMCYKLKNLPEMPCSLEFLDLSRTAIEELPSSVWSNEKISHLDIRYCGHLKSLPSNNCKLKLSNSFSLKGCKSLCEMWELPRNTTVLELSGTTIKELRNTSIESVVGLTAIKLINCKSLVSLPT >ONH89519 pep supercontig:Prunus_persica_NCBIv2:scaffold_19:29322:31411:1 gene:PRUPE_I002300 transcript:ONH89519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPSSLPFPAPLQEKYDVFLSFRGEDTRDAFTSHLHKALLGKNIDTYIDNRLEKGDDIGPTLLEAIEKSKLALVIFSKDYASSTWCLKELVHILGCKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRRDEVANWRAALEEAANMSGFHYSSKTGRTEADFVEEVAQDVLTKLNRESSNDLKGLFGIQRKIEKIESLLCLDSPGVCCVGIWGMGGIGKTTLADAVFHRHSSKFEVCCFLANVRENSEQTNGLHQLRNKLVGEILKEKDVNIDTPSIPPRIQVRLGRPKALIVLDDVNARKQLEYLVGDHDRFCQGSRIIITARDKGLLEQKVDPAKIFSVEGLGPEEALELFHSHAFGNKSPTTDYTELSREVVDYIKGIPLALKVMGSSFRRCKSKQEWEVQWKKVKRVPIGEIQKVLRVSYDGLDDNGKEIFLDIACFHKGCERNDVERMLDGCDFFGEAGINDLIDRSLISISKERWSKGQIEMHDLVQEMGRAIAREQHSRLFIAEDVYQVLINDQGDGHVQAISFDLYEIEKLHLELEHANFKKMYQLRFLHVSPFLQSIVSLDLPNSLRFLAWNGYPLKSLPSKFSAQNLIVLDMSFNKVRGQLWNEDQVYYICLF >ONI06099 pep chromosome:Prunus_persica_NCBIv2:G5:4419069:4422153:1 gene:PRUPE_5G040200 transcript:ONI06099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKVHAGMEMDDTNSGKSKGNAEKSLRILVDKMRRFPGLVWQTVWKVGREDPRRVFHALKVGLSLTLVSLLYLIQPLYVGIGQNAIWAVMTVVVVLEFTAGATLCKGLNRGLGTLIAGSLAFFIEYVATKSGQTSRAVFIGAAVFLIGSAATYMRFFPYIRKNYDYGIVIFLLTFNLITVSSYRVDNVLKIAHERFYTIAIGCGICLLMSLLVFPNWSGEMLHNSTVFKLEGLARAIEACVNEYFSDAEKEVSGDQSTEDPIYDGYKAVLDSKSMDETMALHASWEPRHSRHCYRFPWQRYVKLGNVLRHFGYTVVALHGCIRTEIQTPRSVRALFKDPSIRLAGEVSKGLRELASSIRNRRHCSPEILSDHLHEALQDLNTAIKSQPRLFLGSNSNQATNMLALAAAHATQKQGVSLSSVKTDSSALLDWKNKRTTEQSKEPERKFLRPQVSKIAITSLEFSEALPFAAFASLLVETVAKLDNVITEVEELGRIACFKEYQHGVEDIVVTCEKPPVNPAQNQLPSHGAD >ONI06569 pep chromosome:Prunus_persica_NCBIv2:G5:8247645:8250140:1 gene:PRUPE_5G068300 transcript:ONI06569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACRQFANRASLSSVRSAIRSNAPKSPTSRFPLPTSTPSTPLRRSSLSRPPGALGCVQSLLPLHSAVAAARMTSSLSITSRSCRALSQELGLSVPR >ONI06570 pep chromosome:Prunus_persica_NCBIv2:G5:8247645:8250140:1 gene:PRUPE_5G068300 transcript:ONI06570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACRQFANRASLSSVRSAIRSNAPKSPTSRFPLPTSTPSTPLRRSSLSRPPGALGCVQSLLPLHSAVAAARMTSSLSITSRSCRALSQDGIDGT >ONI06576 pep chromosome:Prunus_persica_NCBIv2:G5:8247645:8249029:1 gene:PRUPE_5G068300 transcript:ONI06576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACRQFANRASLSSVRSAIRSNAPKSPTSRFPLPTSTPSTPLRRSSLSRPPGALGCVQSLLPLHSAVAAARMTSSLSITSRSCRALSQGTLCRTSPGL >ONI06578 pep chromosome:Prunus_persica_NCBIv2:G5:8247645:8250140:1 gene:PRUPE_5G068300 transcript:ONI06578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACRQFANRASLSSVRSAIRSNAPKSPTSRFPLPTSTPSTPLRRSSLSRPPGALGCVQSLLPLHSAVAAARMTSSLSITSRSCRALSQGTLCRTSPGL >ONI06575 pep chromosome:Prunus_persica_NCBIv2:G5:8247645:8249019:1 gene:PRUPE_5G068300 transcript:ONI06575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACRQFANRASLSSVRSAIRSNAPKSPTSRFPLPTSTPSTPLRRSSLSRPPGALGCVQSLLPLHSAVAAARMTSSLSITSRSCRALSQGT >ONI06579 pep chromosome:Prunus_persica_NCBIv2:G5:8247645:8250140:1 gene:PRUPE_5G068300 transcript:ONI06579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACRQFANRASLSSVRSAIRSNAPKSPTSRFPLPTSTPSTPLRRSSLSRPPGALGCVQSLLPLHSAVAAARMTSSLSITSRSCRALSQGTLCRTSPGL >ONI06574 pep chromosome:Prunus_persica_NCBIv2:G5:8247645:8250140:1 gene:PRUPE_5G068300 transcript:ONI06574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACRQFANRASLSSVRSAIRSNAPKSPTSRFPLPTSTPSTPLRRSSLSRPPGALGCVQSLLPLHSAVAAARMTSSLSITSRSCRALSQGT >ONI06571 pep chromosome:Prunus_persica_NCBIv2:G5:8247645:8250140:1 gene:PRUPE_5G068300 transcript:ONI06571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACRQFANRASLSSVRSAIRSNAPKSPTSRFPLPTSTPSTPLRRSSLSRPPGALGCVQSLLPLHSAVAAARMTSSLSITSRSCRALSQGT >ONI06572 pep chromosome:Prunus_persica_NCBIv2:G5:8247734:8248680:1 gene:PRUPE_5G068300 transcript:ONI06572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACRQFANRASLSSVRSAIRSNAPKSPTSRFPLPTSTPSTPLRRSSLSRPPGALGCVQSLLPLHSAVAAARMTSSLSITSRSCRALSQGT >ONI06577 pep chromosome:Prunus_persica_NCBIv2:G5:8247645:8248286:1 gene:PRUPE_5G068300 transcript:ONI06577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACRQFANRASLSSVRSAIRSNAPKSPTSRFPLPTSTPSTPLRRSSLSRPPGALGCVQSLLPLHSAVAAARMTSSLSITSRSCRALSQGTLCRTSPGL >ONI06573 pep chromosome:Prunus_persica_NCBIv2:G5:8247734:8248680:1 gene:PRUPE_5G068300 transcript:ONI06573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACRQFANRASLSSVRSAIRSNAPKSPTSRFPLPTSTPSTPLRRSSLSRPPGALGCVQSLLPLHSAVAAARMTSSLSITSRSCRALSQGT >ONI09536 pep chromosome:Prunus_persica_NCBIv2:G5:18280918:18284722:1 gene:PRUPE_5G243700 transcript:ONI09536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQAVAKLIGSIASRKPSPSPSTSILQRRHYSPAPSPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCIDRFLEDPQTEGIILIGEIGGTAEEDAAALIKESGTEKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGVAMLDVFKQRGLVN >ONI09537 pep chromosome:Prunus_persica_NCBIv2:G5:18280927:18283567:1 gene:PRUPE_5G243700 transcript:ONI09537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQAVAKLIGSIASRKPSPSPSTSILQRRHYSPAPSPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCIDRFLEDPQTEG >ONI07450 pep chromosome:Prunus_persica_NCBIv2:G5:12071259:12073429:-1 gene:PRUPE_5G121100 transcript:ONI07450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSTRVLCRHVARREYSRVCPPPAKSAGPLIRVSNHVARLGPPKEGPKPRQLLSLPPFPGHPLPGKRSHEGGVVSRVTAVSWVKYYFDGIYDSTIQSHFKQGLMECPGMIEKEGQMKPMRKIRPSEVMEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKEVRQPAPCS >ONI07446 pep chromosome:Prunus_persica_NCBIv2:G5:12071171:12073429:-1 gene:PRUPE_5G121100 transcript:ONI07446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSTRVLCRHVARREYSRVCPPPAKSAGPLIRVSNHVARLGPPKEGPKPRQLLSLPPFPGHPLPGKRSHEGGVVSRVTAVSWVKYYFDGIYDSTIQSHFKQGLVQMECPGMIEKEGQMKPMRKIRPSEVMEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLLILDQKLDSLHPAVSSKPDILRFVASMPSHMKISWNLMSSYLV >ONI07445 pep chromosome:Prunus_persica_NCBIv2:G5:12071171:12073429:-1 gene:PRUPE_5G121100 transcript:ONI07445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSTRVLCRHVARREYSRVCPPPAKSAGPLIRVSNHVARLGPPKEGPKPRQLLSLPPFPGHPLPGKRSHEGGVVSRVTAVSWVKYYFDGIYDSTIQSHFKQGLVQMECPGMIEKEGQMKPMRKIRPSEVMEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLLILDQKLDSLHPAVSSKPDILRFVASMPSHMKISWNLMSSYLV >ONI07448 pep chromosome:Prunus_persica_NCBIv2:G5:12071171:12072861:-1 gene:PRUPE_5G121100 transcript:ONI07448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLLILDQKLDSLHPAVSSKPDILRFVASMPSHMKISWNLMSSYLV >ONI07457 pep chromosome:Prunus_persica_NCBIv2:G5:12071259:12072861:-1 gene:PRUPE_5G121100 transcript:ONI07457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKEVRQPAPCS >ONI07447 pep chromosome:Prunus_persica_NCBIv2:G5:12070415:12073921:-1 gene:PRUPE_5G121100 transcript:ONI07447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLLILDQKLDSLHPAVSSKPDILRFVASMPSHMKISWNLMSSYLV >ONI07449 pep chromosome:Prunus_persica_NCBIv2:G5:12070406:12073921:-1 gene:PRUPE_5G121100 transcript:ONI07449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSTRVLCRHVARREYSRVCPPPAKSAGPLIRVSNHVARLGPPKEGPKPRQLLSLPPFPGHPLPGKRSHEGGVVSRVTAVSWVKYYFDGIYDSTIQSHFKQGLMECPGMIEKEGQMKPMRKIRPSEVMEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKVRQPAPCS >ONI07455 pep chromosome:Prunus_persica_NCBIv2:G5:12070286:12073921:-1 gene:PRUPE_5G121100 transcript:ONI07455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKVRQPAPCS >ONI07452 pep chromosome:Prunus_persica_NCBIv2:G5:12070346:12073921:-1 gene:PRUPE_5G121100 transcript:ONI07452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSTRVLCRHVARREYSRVCPPPAKSAGPLIRVSNHVARLGPPKEGPKPRQLLSLPPFPGHPLPGKRSHEGGVVSRVTAVSWVKYYFDGIYDSTIQSHFKQGLVQMECPGMIEKEGQMKPMRKIRPSEVMEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKVRQPAPCS >ONI07456 pep chromosome:Prunus_persica_NCBIv2:G5:12070288:12073921:-1 gene:PRUPE_5G121100 transcript:ONI07456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKVRQPAPCS >ONI07458 pep chromosome:Prunus_persica_NCBIv2:G5:12071259:12072861:-1 gene:PRUPE_5G121100 transcript:ONI07458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKEVRQPAPCS >ONI07444 pep chromosome:Prunus_persica_NCBIv2:G5:12070408:12073921:-1 gene:PRUPE_5G121100 transcript:ONI07444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSTRVLCRHVARREYSRVCPPPAKSAGPLIRVSNHVARLGPPKEGPKPRQLLSLPPFPGHPLPGKRSHEGGVVSRVTAVSWVKYYFDGIYDSTIQSHFKQGLMECPGMIEKEGQMKPMRKIRPSEVMEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLLILDQKLDSLHPAVSSKPDILRFVASMPSHMKISWNLMSSYLV >ONI07454 pep chromosome:Prunus_persica_NCBIv2:G5:12071259:12073429:-1 gene:PRUPE_5G121100 transcript:ONI07454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSTRVLCRHVARREYSRVCPPPAKSAGPLIRVSNHVARLGPPKEGPKPRQLLSLPPFPGHPLPGKRSHEGGVVSRVTAVSWVKYYFDGIYDSTIQSHFKQGLVQMECPGMIEKEGQMKPMRKIRPSEVMEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKEVRQPAPCS >ONI07451 pep chromosome:Prunus_persica_NCBIv2:G5:12070286:12073921:-1 gene:PRUPE_5G121100 transcript:ONI07451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSTRVLCRHVARREYSRVCPPPAKSAGPLIRVSNHVARLGPPKEGPKPRQLLSLPPFPGHPLPGKRSHEGGVVSRVTAVSWVKYYFDGIYDSTIQSHFKQGLVQMECPGMIEKEGQMKPMRKIRPSEVMEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKVRQPAPCS >ONI07453 pep chromosome:Prunus_persica_NCBIv2:G5:12071259:12073429:-1 gene:PRUPE_5G121100 transcript:ONI07453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSTRVLCRHVARREYSRVCPPPAKSAGPLIRVSNHVARLGPPKEGPKPRQLLSLPPFPGHPLPGKRSHEGGVVSRVTAVSWVKYYFDGIYDSTIQSHFKQGLVQMECPGMIEKEGQMKPMRKIRPSEVMEVGARVYVPVSVVESRVSRRFDCIPSGTLYPNADEIEYMQRRVKYKDSAIIVLNKPPKLPVKGNLPVHNSMDALAAAALSYGNNKGPKLVHRLDRESSGLHLMGRTKESVSLLQWLYTDFNKGKSSCQAWDDACEARYQRYWALVIGSPKEKEGLICAPLSKVLLDNGKTERVILAHQSGLEASQKAITQYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPHVDVEPTSGLPYKLRRPAGLDVQKEVRQPAPCS >ONI06487 pep chromosome:Prunus_persica_NCBIv2:G5:7774673:7778734:-1 gene:PRUPE_5G063900 transcript:ONI06487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIEELKVGEVGGVEEGNSCPGNNDFASRSIVGSDAKRVLIGAGARALFYPTLLYNVIRNKLQAEFRWWDKVDEFVLLGAVPFPTDVPRLKEVGVCGVITLNEPYETLVPTSLYHYHGINHLVIPTRDYCFAPSLSDTCRAVDFIHENASCGQTTYVHCKAGRGRSTTIVICYLVHHKQMTPDSAYEYLKSIRPRVLLAPSQWQAVQEYYYIMVKKAVVYSHMTNLMIRSLQSVSSQDLVPFDEGSVVVITESDLEGYDANHQSGAVGSEIWADLSVVYRVRVAGQAALERISCLWLRCRAHQKMSGENFSRESSCSMRADHMGSINVDIQVY >ONI06282 pep chromosome:Prunus_persica_NCBIv2:G5:5395311:5431661:1 gene:PRUPE_5G050700 transcript:ONI06282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVANLLQRYLGNYVKGLNKEALKISVWQGDVELKNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVSLDRIFLLAEPETQVEGFSEDAVQEAKKNRVREMEMKLLERTQQLKSEMNTSWLGSLISTIIGNLKLSISNIHIRYEDLESNPGHPFAAGITLERLSAMTVDENGKETFVTGGALDRIQKSVQLDRLALYLDSDISPWHVNKPWEDLLPSEWVQVFRFGTKYGKPADGLIKKHTYILEPVSGNAKYSKLQPNEFADSGQPLHKAAVNLDDVTLCLPKDGYRDALKLADNFAAFNQRLKYAHYRPHVSVKSDPRSWWKYAYRVVSDQMKKASGRLSWDQVLKYASLRKRYISLYASLLKSDPSRAVVDDNQDIEELDRGLDIELILQWRMLAHKFVEQSSESDLDLRKQKAKKSWWSIGWGSQSQIDESEPFSFSEEDWKQLNNIIGYKESDDRLSVVINDKADALQTSLSICMKHNATKLIDESQECLAELSCEGLDCFIKLYPETKVFNIKLGSYKLSTPNGLLAESASAHDSLVGTFCFKPFDANVDWSLVAKASPCYVTYLKDVIGQIIKFFRSNTAVSQTIALETAAAVQMTINGVKRTAQQQVNRALKDHSRFLLDLDIAAPKITIPTDFCPDNTHPTKLMLDLGNLVIGTKDDYGGGSPEELDLYLQFNLVLKDVSAFLVDGDYCWSQSPSNNSAGCAKLNGVSLLPLFDKCGVTVKLQQIRLESPSYPSTRVAVRLPSLGFHFSPARYHRLMQIAKIFEEDGENLDLLCAWNEPDFEGWLSLLAWKGLGNREAVWQRRYLCLVGPYLYVLENPSSKSYKQCISLSGKHIYQVPPESVGGADLVLVVCDAARANSKVVEDANALIVQCDSDDSKKIWQSRLKGAVYRASGTAPVTSLSETSSESEDSIVELNDKDDVVDLSKMERAFITGVLDELKVCFSYSYQHDQNFMKVLLTEERRLFEFRAIGGQVEVSVRSSDMFVGTVLKSLEIEDLVSGNSMSQPRYLATSFIRNAETRLTFGATENQTFDGSELTPTEGDEFYEAPENLVDPESLLLKSPRFTRFPGLLPVNGLEESEENIELNGSLDSFVKAQIVRYDQSSPLYHNIDMQVSVTLTTLSFFCRRPTILAIMEFVNSINIKDESCESFSDSSSAAIVKQELSRDDAVGSPRPVTINEPSIKGLLGKGKSRVVFNITLNMARAQIILMNEDETKLATLSQDNLVTDIKVFPSSFSIKAALGNLKISDESLPSSHMYFWACDMRNPGGSSFVELVFTSFSVDDEDYEGYEYSLYGQLSEVCIVYLNRFIQEVASYFMGLVPNNSKGVVKLKDQVTDSEKMFTTSDFEGSPALKLDVSLRKPIILMPRRTDSLDYLKLDIVHITVRNTFKWFGGSRSDINAVHMEVLTVQVEDINLNVGTKGELGESIIQDVNGVSVVIQRSLRDLLHQIPSIEVIIKMEKLKAALSNREYQIITDCAQSNISETPRIVPPLNHYSMTSSVDVEEDITPQEPDGIESQSASGGAWVMMKVSVVIDLVELCLHAGVARDASLATVQISGAWLLYKSNTLGEGFLSATLKGFTVFDDREGTEPEFRLAIGKPEYVGSYPLDFVAHDDHHISGANVTKENDVKLVPTMLILDAKFCQQSTVVSLCIQRPQLLVALDFLLGVVEFFVPTIGNVQSNEELQNSVHGIDAVILDQSTYKQPSTEFSLSPLRPLIVDDERHDHFVYDGNAGTLYLKDRQGFNLSGPSTEAIIYVGDGKRLQFKNVVIMNGLYLDSCISMGTNSSYSALKEDQVYFVGGNEVPNLNSPTESVNNVPSQSIAVDRSTEFIIELQLVGPELTFYNTSEDVGESLVLSNQLLHAQLDGFCRLVLKGDTIEMNANVLGLTMESNGFTILEPFDTSVKYSNASGKTNIHLSASDVFMNFSFSILRLFLAVEDDILAFLRTTSKKMTVVCSQFDKIGTIRNSHNDQTYAFWRPHAPPGFAVLGDYLTPLDKPPTKAVLAINTNFSRVKKPISFKLIWPPLPSEGSSVHGVNDSDSLPNDIISDGDSCSIWFPEAPNGYVALGCVVSPGRTQPPLSAAFCILASLVSSCSLGDCIAVSTTNLYPSSVAFWRVDNSVGTFLPADPSTSTVMGTAYDLRHMIFGLPEASVKSSNHLDVQASSAHSHNLQSEVSASVNSARRYEAVASFRLIWWNQSSNSRKKLSIWRPVVPHGMVYFGDIAVKGYEPPNNCIVLHDTGDEGIFKAPLDFQVVGQIKKQRGMESISFWLPQAPPGFVALGCIACKGTPKQSDFSSLRCMRSDMVVGDQFLEESVWDTSDAKLTRDSFSIWAVGNELGTFIVRGGFKKPPRRLALKLADSHVRSGSDDTVIDAEFRTFSAALFDDYGGLMVPLFNVSLSGIGFSLHGRTEYLNSTVSFSLAARSYNDKYEIWEPLVEPMDGFLRYQYDPSAPTAASQLRLTSTRELNLNVSVSNANMIIQAYASWNGLIHVNEYHRKREASSPTDGGVSVIDVHHRRNYYIIPQNKLGQDIYIRATELRGLANIIKMPSGDMRPLKVPVSKNMLDSHLKGKLFRKVRRMVTLIIVDGQFPQGRGLTSPQYTIAIRLSPDPSLPSESLSHQQSARTCGSSSEQLSSELELVKWNEIFFFKVDDPDYYSVELIVTELGKGVPLGFFSSPLKQIAGNIHDDSYAYDSVNKWTWVELSSTNSAGNNGEKLSGRIRCAVLLSPRSEAEISDQSDNSNRKSGFIQISPSREGPWTTVRLNYAAPAACWRLGNDVVASEVHVKDGNRYVNIRSLVSVRNSTDFVLDLCLASKISMEETTSTNNESTPEGLQIHSNKLQSDEFFETEKYSPGTGWIGYMVQPSQDIFESGGSHQGIPAVELPPGWEWVDDWHLDKASVNTADSWVYAPDVDSLKWPESFDPLRFVNYARQRRWIRNRKQNVTNQKIHIGLLKPGDTISLPLSGLAQPGMYVLCLRPSNLSNPIEYSWSSVVDGSEQAEDSSKSKLCSGISVSSLTESEELLYCTQISGTSSSVLPKLWFCMSVQATDIAKDIHSDPIQDWNLVIKSPLCISNFIPLAAEFSVLEMQESGNFVARSRGVFFPGKTVDVYNADIRKPLFFSLLPQRGWLPIHEAVLLSHPHEVPSKTISLRSSISGRIVQIILEQNSNQERPLQAKLVRVYAPYWYSIARCPPLTFRLLDIKGKKHTRKVGCPLESKKNNEAILEEITEEEIYEGHTIASALNFKMLGLVVSIDQSGTEQFGPVKDLSPLGDLDGSLDLYAYDGEGNCMRLFITTKPCLYQSVPTKVISVRPYMTFTNRLGQDIYIKLCNEDEPKVLRATDSRVSFVHRKSDRPDKLEVRLEDTDWSFPVQIVKEDTISLVLRKHPGTRTFLRTEIRGYEEGSRFIVVFRLGSTNGPIRIENRTDSKTISIRQSGFGEDAWIPIAPLSTTNFSWEDPYGQKFIQAKVDSELEIGPWELDLERTGIFYAEEGLGLQFHVIETSDIKVARFTNATTSGTNSHRQLAGNWGHSHMPNTIQNNGATPVELIIEFGVVGVSIIDHRPKEVSYLYFERVFVSYSTGYDGGTTARFKLILGHLQLDNQLPLTLMPVLLAPEMNSDLHHPVFKMTITMRNENIDGIQVYPYVYIRVTEKCWRLNIHEPIIWALVDFYDNLQLDRVPKSSSVKEVDPELRIDLIDVSEVRLKVALETAPAERPHGVLGVWSPILSAVGNAFKIQVHLRRVMHRDRFMRKSSIVSAIGNRIWRDLIHNPLHLIFAVDVLGMTSSTLASLSKGFAELSTDGQFMQLRSKQVSSRRITGVGDGIMQGTEALVQGVAFGVSGVVKKPVESARQNGFLGFVHGLGRAFVGVIVQPVSGALDFFSLTVDGIGASCSKCLEVFNSKTTFQRIRNPRAFRADAVLREYCEREAVGQMILYLAEAHRHFGCTELFKEPSKFAWSDYYEDHFVVPYQRIVLVTNKRVMLLQCLAPDKMDKKPCKIMWDVPWEELMALELAKAGCNQPSHLILHLKNFRRSENFVRVIKCSVEEETERREPQAVKICSVVRKMWKAYQSDMKSIILKVPSSQRHVYFSWSEADGREHRLPNKAITRLRELPSDSSALDGRRFVKHSINFSKIWSSEQESRGRCTVCRKQVSGDGGICSIWRPICPDGYVSIGDIAHIGSHPPNVAAVYREVDRLFALPVGYDLVWRNCMDDYTTPISIWHPRAPEGYVSPGCIAVAGFVEPELDVVYCIAESLAEETEFEEQKVWSAPDSYPWVCHIYQVRSDALHFVALRQAKEESDWKPTRVLDDPQPLLDSLKET >ONI06281 pep chromosome:Prunus_persica_NCBIv2:G5:5395311:5431661:1 gene:PRUPE_5G050700 transcript:ONI06281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVANLLQRYLGNYVKGLNKEALKISVWQGDVELKNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVSLDRIFLLAEPETQVEGFSEDAVQEAKKNRVREMEMKLLERTQQLKSEMNTSWLGSLISTIIGNLKLSISNIHIRYEDLESNPGHPFAAGITLERLSAMTVDENGKETFVTGGALDRIQKSVQLDRLALYLDSDISPWHVNKPWEDLLPSEWVQVFRFGTKYGKPADGLIKKHTYILEPVSGNAKYSKLQPNEFADSGQPLHKAAVNLDDVTLCLPKDGYRDALKLADNFAAFNQRLKYAHYRPHVSVKSDPRSWWKYAYRVVSDQMKKASGRLSWDQVLKYASLRKRYISLYASLLKSDPSRAVVDDNQDIEELDRGLDIELILQWRMLAHKFVEQSSESDLDLRKQKAKKSWWSIGWGSQSQIDESEPFSFSEEDWKQLNNIIGYKESDDRLSVVINDKADALQTSLSICMKHNATKLIDESQECLAELSCEGLDCFIKLYPETKVFNIKLGSYKLSTPNGLLAESASAHDSLVGTFCFKPFDANVDWSLVAKASPCYVTYLKDVIGQIIKFFRSNTAVSQTIALETAAAVQMTINGVKRTAQQQVNRALKDHSRFLLDLDIAAPKITIPTDFCPDNTHPTKLMLDLGNLVIGTKDDYGGGSPEELDLYLQFNLVLKDVSAFLVDGDYCWSQSPSNNSAGCAKLNGVSLLPLFDKCGVTVKLQQIRLESPSYPSTRVAVRLPSLGFHFSPARYHRLMQIAKIFEEDGENLDLLCAWNEPDFEGWLSLLAWKGLGNREAVWQRRYLCLVGPYLYVLENPSSKSYKQCISLSGKHIYQVPPESVGGADLVLVVCDAARANSKVVEDANALIVQCDSDDSKKIWQSRLKGAVYRASGTAPVTSLSETSSESEDSIVELNDKDDVVDLSKMERAFITGVLDELKVCFSYSYQHDQNFMKVLLTEERRLFEFRAIGGQVEVSVRSSDMFVGTVLKSLEIEDLVSGNSMSQPRYLATSFIRNAETRLTFGATENQTFDGSELTPTEGDEFYEAPENLVDPESLLLKSPRFTRFPGLLPVNGLEESEENIELNGSLDSFVKAQIVRYDQSSPLYHNIDMQVSVTLTTLSFFCRRPTILAIMEFVNSINIKDESCESFSDSSSAAIVKQELSRDDAVGSPRPVTINEPSIKGLLGKGKSRVVFNITLNMARAQIILMNEDETKLATLSQDNLVTDIKVFPSSFSIKAALGNLKISDESLPSSHMYFWACDMRNPGGSSFVELVFTSFSVDDEDYEGYEYSLYGQLSEVCIVYLNRFIQEVASYFMGLVPNNSKGVVKLKDQVTDSEKMFTTSDFEGSPALKLDVSLRKPIILMPRRTDSLDYLKLDIVHITVRNTFKWFGGSRSDINAVHMEVLTVQVEDINLNVGTKGELGESIIQDVNGVSVVIQRSLRDLLHQIPSIEVIIKMEKLKAALSNREYQIITDCAQSNISETPRIVPPLNHYSMTSSVDVEEDITPQEPDGIESQSASGGAWVMMKVSVVIDLVELCLHAGVARDASLATVQISGAWLLYKSNTLGEGFLSATLKGFTVFDDREGTEPEFRLAIGKPEYVGSYPLDFVAHDDHHISGANVTKENDVKLVPTMLILDAKFCQQSTVVSLCIQRPQLLVALDFLLGVVEFFVPTIGNVQSNEELQNSVHGIDAVILDQSTYKQPSTEFSLSPLRPLIVDDERHDHFVYDGNAGTLYLKDRQGFNLSGPSTEAIIYVGDGKRLQFKNVVIMNGLYLDSCISMGTNSSYSALKEDQVYFVGGNEVPNLNSPTESVNNVPSQSIAVDRSTEFIIELQLVGPELTFYNTSEDVGESLVLSNQLLHAQLDGFCRLVLKGDTIEMNANVLGLTMESNGFTILEPFDTSVKYSNASGKTNIHLSASDVFMNFSFSILRLFLAVEDDILAFLRTTSKKMTVVCSQFDKIGTIRNSHNDQTYAFWRPHAPPGFAVLGDYLTPLDKPPTKAVLAINTNFSRVKKPISFKLIWPPLPSEGSSVHGVNDSDSLPNDIISDGDSCSIWFPEAPNGYVALGCVVSPGRTQPPLSAAFCILASLVSSCSLGDCIAVSTTNLYPSSVAFWRVDNSVGTFLPADPSTSTVMGTAYDLRHMIFGLPEASVKSSNHLDVQASSAHSHNLQSEVSASVNSARRYEAVASFRLIWWNQSSNSRKKLSIWRPVVPHGMVYFGDIAVKGYEPPNNCIVLHDTGDEGIFKAPLDFQVVGQIKKQRGMESISFWLPQAPPGFVALGCIACKGTPKQSDFSSLRCMRSDMVVGDQFLEESVWDTSDAKLTRDSFSIWAVGNELGTFIVRGGFKKPPRRLALKLADSHVRSGSDDTVIDAEFRTFSAALFDDYGGLMVPLFNVSLSGIGFSLHGRTEYLNSTVSFSLAARSYNDKYEIWEPLVEPMDGFLRYQYDPSAPTAASQLRLTSTRELNLNVSVSNANMIIQAYASWNGLIHVNEYHRKREASSPTDGGVSVIDVHHRRNYYIIPQNKLGQDIYIRATELRGLANIIKMPSGDMRPLKVPVSKNMLDSHLKGKLFRKVRRMVTLIIVDGQFPQGRGLTSPQYTIAIRLSPDPSLPSESLSHQQSARTCGSSSEQLSSELELVKWNEIFFFKVDDPDYYSVELIVTELGKGVPLGFFSSPLKQIAGNIHDDSYAYDSVNKWTWVELSSTNSAGNNGEKLSGRIRCAVLLSPRSEAEISDQSDNSNRKSGFIQISPSREGPWTTVRLNYAAPAACWRLGNDVVASEVHVKDGNRYVNIRSLVSVRNSTDFVLDLCLASKISMEETTSTNNESTPEGLQIHSNKLQSDEFFETEKYSPGTGWIGYMVQPSQDIFESGGSHQGIPAVELPPGWEWVDDWHLDKASVNTADSWVYAPDVDSLKWPESFDPLRFVNYARQRRWIRNRKQNVTNQKIHIGLLKPGDTISLPLSGLAQPGMYVLCLRPSNLSNPIEYSWSSVVDGSEQAEDSSKSKLCSGISVSSLTESEELLYCTQISGTSSSVLPKLWFCMSVQATDIAKDIHSDPIQDWNLVIKSPLCISNFIPLAAEFSVLEMQESGNFVARSRGVFFPGKTVDVYNADIRKPLFFSLLPQRGWLPIHEAVLLSHPHEVPSKTISLRSSISGRIVQIILEQNSNQERPLQAKLVRVYAPYWYSIARCPPLTFRLLDIKGKKHTRKVGCPLESKKNNEAILEEITEEEIYEGHTIASALNFKMLGLVVSIDQSGTEQFGPVKDLSPLGDLDGSLDLYAYDGEGNCMRLFITTKPCLYQSVPTKVISVRPYMTFTNRLGQDIYIKLCNEDEPKVLRATDSRVSFVHRKSDRPDKLEVRLEDTDWSFPVQIVKEDTISLVLRKHPGTRTFLRTEIRGYEEGSRFIVVFRLGSTNGPIRIENRTDSKTISIRQSGFGEDAWIPIAPLSTTNFSWEDPYGQKFIQAKVDSELEIGPWELDLERTGIFYAEEGLGLQFHVIETSDIKVARFTNATTSGTNSHRQLAGNWGHSHMPNTIQNNGATPVELIIEFGVVGVSIIDHRPKEVSYLYFERVFVSYSTGYDGGTTARFKLILGHLQLDNQLPLTLMPVLLAPEMNSDLHHPVFKMTITMRNENIDGIQVYPYVYIRVTEKCWRLNIHEPIIWALVDFYDNLQLDRVPKSSSVKEVDPELRIDLIDVSEVRLKVALETAPAERPHGVLGVWSPILSAVGNAFKIQVHLRRVMHRDRFMRKSSIVSAIGNRIWRDLIHNPLHLIFAVDVLGMTSSTLASLSKGFAELSTDGQFMQLRSKQVSSRRITGVGDGIMQGTEALVQGVAFGVSGVVKKPVESARQNGFLGFVHGLGRAFVGVIVQPVSGALDFFSLTVDGIGASCSKCLEVFNSKTTFQRIRNPRAFRADAVLREYCEREAVGQMILYLAEAHRHFGCTELFKEPSKFAWSDYYEDHFVVPYQRIVLVTNKRVMLLQCLAPDKMDKKPCKIMWDVPWEELMALELAKAGCNQPSHLILHLKNFRRSENFVRVIKCSVEEETERREPQAVKICSVVRKMWKAYQSDMKSIILKVPSSQRHVYFSWSEADGREHRLPNKAITRLRELPSDSSALDGRRFVKHSINFSKIWSSEQESRGRCTVCRKQVSGDGGICSIWRPICPDGYVSIGDIAHIGSHPPNVAAVYREVDRLFALPVGYDLVWRNCMDDYTTPISIWHPRAPEGYVSPGCIAVAGFVEPELDVVYCIAESLAEETEFEEQKVWSAPDSYPWVCHIYQVRSDALHFVALRQAKEESDWKPTRVLDDPQPLLDSLKET >ONI06280 pep chromosome:Prunus_persica_NCBIv2:G5:5395292:5431661:1 gene:PRUPE_5G050700 transcript:ONI06280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVANLLQRYLGNYVKGLNKEALKISVWQGDVELKNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVSLDRIFLLAEPETQVEGFSEDAVQEAKKNRVREMEMKLLERTQQLKSEMNTSWLGSLISTIIGNLKLSISNIHIRYEDLESNPGHPFAAGITLERLSAMTVDENGKETFVTGGALDRIQKSVQLDRLALYLDSDISPWHVNKPWEDLLPSEWVQVFRFGTKYGKPADGLIKKHTYILEPVSGNAKYSKLQPNEFADSGQPLHKAAVNLDDVTLCLPKDGYRDALKLADNFAAFNQRLKYAHYRPHVSVKSDPRSWWKYAYRVVSDQMKKASGRLSWDQVLKYASLRKRYISLYASLLKSDPSRAVVDDNQDIEELDRGLDIELILQWRMLAHKFVEQSSESDLDLRKQKAKKSWWSIGWGSQSQIDESEPFSFSEEDWKQLNNIIGYKESDDRLSVVINDKADALQTSLSICMKHNATKLIDESQECLAELSCEGLDCFIKLYPETKVFNIKLGSYKLSTPNGLLAESASAHDSLVGTFCFKPFDANVDWSLVAKASPCYVTYLKDVIGQIIKFFRSNTAVSQTIALETAAAVQMTINGVKRTAQQQVNRALKDHSRFLLDLDIAAPKITIPTDFCPDNTHPTKLMLDLGNLVIGTKDDYGGGSPEELDLYLQFNLVLKDVSAFLVDGDYCWSQSPSNNSAGCAKLNGVSLLPLFDKCGVTVKLQQIRLESPSYPSTRVAVRLPSLGFHFSPARYHRLMQIAKIFEEDGENLDLLCAWNEPDFEGWLSLLAWKGLGNREAVWQRRYLCLVGPYLYVLENPSSKSYKQCISLSGKHIYQVPPESVGGADLVLVVCDAARANSKVVEDANALIVQCDSDDSKKIWQSRLKGAVYRASGTAPVTSLSETSSESEDSIVELNDKDDVVDLSKMERAFITGVLDELKVCFSYSYQHDQNFMKVLLTEERRLFEFRAIGGQVEVSVRSSDMFVGTVLKSLEIEDLVSGNSMSQPRYLATSFIRNAETRLTFGATENQTFDGSELTPTEGDEFYEAPENLVDPESLLLKSPRFTRFPGLLPVNGLEESEENIELNGSLDSFVKAQIVRYDQSSPLYHNIDMQVSVTLTTLSFFCRRPTILAIMEFVNSINIKDESCESFSDSSSAAIVKQELSRDDAVGSPRPVTINEPSIKGLLGKGKSRVVFNITLNMARAQIILMNEDETKLATLSQDNLVTDIKVFPSSFSIKAALGNLKISDESLPSSHMYFWACDMRNPGGSSFVELVFTSFSVDDEDYEGYEYSLYGQLSEVCIVYLNRFIQEVASYFMGLVPNNSKGVVKLKDQVTDSEKMFTTSDFEGSPALKLDVSLRKPIILMPRRTDSLDYLKLDIVHITVRNTFKWFGGSRSDINAVHMEVLTVQVEDINLNVGTKGELGESIIQDVNGVSVVIQRSLRDLLHQIPSIEVIIKMEKLKAALSNREYQIITDCAQSNISETPRIVPPLNHYSMTSSVDVEEDITPQEPDGIESQSASGGAWVMMKVSVVIDLVELCLHAGVARDASLATVQISGAWLLYKSNTLGEGFLSATLKGFTVFDDREGTEPEFRLAIGKPEYVGSYPLDFVAHDDHHISGANVTKENDVKLVPTMLILDAKFCQQSTVVSLCIQRPQLLVALDFLLGVVEFFVPTIGNVQSNEELQNSVHGIDAVILDQSTYKQPSTEFSLSPLRPLIVDDERHDHFVYDGNAGTLYLKDRQGFNLSGPSTEAIIYVGDGKRLQFKNVVIMNGLYLDSCISMGTNSSYSALKEDQVYFVGGNEVPNLNSPTESVNNVPSQSIAVDRSTEFIIELQLVGPELTFYNTSEDVGESLVLSNQLLHAQLDGFCRLVLKGDTIEMNANVLGLTMESNGFTILEPFDTSVKYSNASGKTNIHLSASDVFMNFSFSILRLFLAVEDDILAFLRTTSKKMTVVCSQFDKIGTIRNSHNDQTYAFWRPHAPPGFAVLGDYLTPLDKPPTKAVLAINTNFSRVKKPISFKLIWPPLPSEGSSVHGVNDSDSLPNDIISDGDSCSIWFPEAPNGYVALGCVVSPGRTQPPLSAAFCILASLVSSCSLGDCIAVSTTNLYPSSVAFWRVDNSVGTFLPADPSTSTVMGTAYDLRHMIFGLPEASVKSSNHLDVQASSAHSHNLQSEVSASVNSARRYEAVASFRLIWWNQSSNSRKKLSIWRPVVPHGMVYFGDIAVKGYEPPNNCIVLHDTGDEGIFKAPLDFQVVGQIKKQRGMESISFWLPQAPPGFVALGCIACKGTPKQSDFSSLRCMRSDMVVGDQFLEESVWDTSDAKLTRDSFSIWAVGNELGTFIVRGGFKKPPRRLALKLADSHVRSGSDDTVIDAEFRTFSAALFDDYGGLMVPLFNVSLSGIGFSLHGRTEYLNSTVSFSLAARSYNDKYEIWEPLVEPMDGFLRYQYDPSAPTAASQLRLTSTRELNLNVSVSNANMIIQAYASWNGLIHVNEYHRKREASSPTDGGVSVIDVHHRRNYYIIPQNKLGQDIYIRATELRGLANIIKMPSGDMRPLKVPVSKNMLDSHLKGKLFRKVRRMVTLIIVDGQFPQGRGLTSPQYTIAIRLSPDPSLPSESLSHQQSARTCGSSSEQLSSELELVKWNEIFFFKVDDPDYYSVELIVTELGKGVPLGFFSSPLKQIAGNIHDDSYAYDSVNKWTWVELSSTNSAGNNGEKLSGRIRCAVLLSPRSEAEISDQSDNSNRKSGFIQISPSREGPWTTVRLNYAAPAACWRLGNDVVASEVHVKDGNRYVNIRSLVSVRNSTDFVLDLCLASKISMEETTSTNNESTPEGLQIHSNKLQSDEFFETEKYSPGTGWIGYMVQPSQDIFESGGSHQGIPAVELPPGWEWVDDWHLDKASVNTADSWVYAPDVDSLKWPESFDPLRFVNYARQRRWIRNRKQNVTNQKIHIGLLKPGDTISLPLSGLAQPGMYVLCLRPSNLSNPIEYSWSSVVDGSEQAEDSSKSKLCSGISVSSLTESEELLYCTQISGTSSSVLPKLWFCMSVQATDIAKDIHSDPIQDWNLVIKSPLCISNFIPLAAEFSVLEMQESGNFVARSRGVFFPGKTVDVYNADIRKPLFFSLLPQRGWLPIHEAVLLSHPHEVPSKTISLRSSISGRIVQIILEQNSNQERPLQAKLVRVYAPYWYSIARCPPLTFRLLDIKGKKHTRKVGCPLESKKNNEAILEEITEEEIYEGHTIASALNFKMLGLVVSIDQSGTEQFGPVKDLSPLGDLDGSLDLYAYDGEGNCMRLFITTKPCLYQSVPTKVISVRPYMTFTNRLGQDIYIKLCNEDEPKVLRATDSRVSFVHRKSDRPDKLEVRLEDTDWSFPVQIVKEDTISLVLRKHPGTRTFLRTEIRGYEEGSRFIVVFRLGSTNGPIRIENRTDSKTISIRQSGFGEDAWIPIAPLSTTNFSWEDPYGQKFIQAKVDSELEIGPWELDLERTGIFYAEEGLGLQFHVIETSDIKVARFTNATTSGTNSHRQLAGNWGHSHMPNTIQNNGATPVELIIEFGVVGVSIIDHRPKEVSYLYFERVFVSYSTGYDGGTTARFKLILGHLQLDNQLPLTLMPVLLAPEMNSDLHHPVFKMTITMRNENIDGIQVYPYVYIRVTEKCWRLNIHEPIIWALVDFYDNLQLDRVPKSSSVKEVDPELRIDLIDVSEVRLKVALETAPAERPHGVLGVWSPILSAVGNAFKIQVHLRRVMHRDRFMRKSSIVSAIGNRIWRDLIHNPLHLIFAVDVLGMTSSTLASLSKGFAELSTDGQFMQLRSKQVSSRRITGVGDGIMQGTEALVQGVAFGVSGVVKKPVESARQNGFLGFVHGLGRAFVGVIVQPVSGALDFFSLTVDGIGASCSKCLEVFNSKTTFQRIRNPRAFRADAVLREYCEREAVGQMILYLAEAHRHFGCTELFKEPSKFAWSDYYEDHFVVPYQRIVLVTNKRVMLLQCLAPDKMDKKPCKIMWDVPWEELMALELAKAGCNQPSHLILHLKNFRRSENFVRVIKCSVEEETERREPQAVKICSVVRKMWKAYQSDMKSIILKVPSSQRHVYFSWSEADGREHRLPNKAITRLRELPSDSSALDGRRFVKHSINFSKIWSSEQESRGRCTVCRKQVSGDGGICSIWRPICPDGYVSIGDIAHIGSHPPNVAAVYREVDRLFALPVGYDLVWRNCMDDYTTPISIWHPRAPEGYVSPGCIAVAGFVEPELDVVYCIAESLAEETEFEEQKVWSAPDSYPWVCHIYQVRSDALHFVALRQAKEESDWKPTRVLDDPQPLLDSLKET >ONI06283 pep chromosome:Prunus_persica_NCBIv2:G5:5395645:5429759:1 gene:PRUPE_5G050700 transcript:ONI06283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVANLLQRYLGNYVKGLNKEALKISVWQGDVELKNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVSLDRIFLLAEPETQVEGFSEDAVQEAKKNRVREMEMKLLERTQQLKSEMNTSWLGSLISTIIGNLKLSISNIHIRYEDLESNPGHPFAAGITLERLSAMTVDENGKETFVTGGALDRIQKSVQLDRLALYLDSDISPWHVNKPWEDLLPSEWVQVFRFGTKYGKPADGLIKKHTYILEPVSGNAKYSKLQPNEFADSGQPLHKAAVNLDDVTLCLPKDGYRDALKLADNFAAFNQRLKYAHYRPHVSVKSDPRSWWKYAYRVVSDQMKKASGRLSWDQVLKYASLRKRYISLYASLLKSDPSRAVVDDNQDIEELDRGLDIELILQWRMLAHKFVEQSSESDLDLRKQKAKKSWWSIGWGSQSQIDESEPFSFSEEDWKQLNNIIGYKESDDRLSVVINDKADALQTSLSICMKHNATKLIDESQECLAELSCEGLDCFIKLYPETKVFNIKLGSYKLSTPNGLLAESASAHDSLVGTFCFKPFDANVDWSLVAKASPCYVTYLKDVIGQIIKFFRSNTAVSQTIALETAAAVQMTINGVKRTAQQQVNRALKDHSRFLLDLDIAAPKITIPTDFCPDNTHPTKLMLDLGNLVIGTKDDYGGGSPEELDLYLQFNLVLKDVSAFLVDGDYCWSQSPSNNSAGCAKLNGVSLLPLFDKCGVTVKLQQIRLESPSYPSTRVAVRLPSLGFHFSPARYHRLMQIAKIFEEDGENLDLLCAWNEPDFEGWLSLLAWKGLGNREAVWQRRYLCLVGPYLYVLENPSSKSYKQCISLSGKHIYQVPPESVGGADLVLVVCDAARANSKVVEDANALIVQCDSDDSKKIWQSRLKGAVYRASGTAPVTSLSETSSESEDSIVELNDKDDVVDLSKMERAFITGVLDELKVCFSYSYQHDQNFMKVLLTEERRLFEFRAIGGQVEVSVRSSDMFVGTVLKSLEIEDLVSGNSMSQPRYLATSFIRNAETRLTFGATENQTFDGSELTPTEGDEFYEAPENLVDPESLLLKSPRFTRFPGLLPVNGLEESEENIELNGSLDSFVKAQIVRYDQSSPLYHNIDMQVSVTLTTLSFFCRRPTILAIMEFVNSINIKDESCESFSDSSSAAIVKQELSRDDAVGSPRPVTINEPSIKGLLGKGKSRVVFNITLNMARAQIILMNEDETKLATLSQDNLVTDIKVFPSSFSIKAALGNLKISDESLPSSHMYFWACDMRNPGGSSFVELVFTSFSVDDEDYEGYEYSLYGQLSEVCIVYLNRFIQEVASYFMGLVPNNSKGVVKLKDQVTDSEKMFTTSDFEGSPALKLDVSLRKPIILMPRRTDSLDYLKLDIVHITVRNTFKWFGGSRSDINAVHMEVLTVQVEDINLNVGTKGELGESIIQDVNGVSVVIQRSLRDLLHQIPSIEVIIKMEKLKAALSNREYQIITDCAQSNISETPRIVPPLNHYSMTSSVDVEEDITPQEPDGIESQSASGGAWVMMKVSVVIDLVELCLHAGVARDASLATVQISGAWLLYKSNTLGEGFLSATLKGFTVFDDREGTEPEFRLAIGKPEYVGSYPLDFVAHDDHHISGANVTKENDVKLVPTMLILDAKFCQQSTVVSLCIQRPQLLVALDFLLGVVEFFVPTIGNVQSNEELQNSVHGIDAVILDQSTYKQPSTEFSLSPLRPLIVDDERHDHFVYDGNAGTLYLKDRQGFNLSGPSTEAIIYVGDGKRLQFKNVVIMNGLYLDSCISMGTNSSYSALKEDQVYFVGGNEVPNLNSPTESVNNVPSQSIAVDRSTEFIIELQLVGPELTFYNTSEDVGESLVLSNQLLHAQLDGFCRLVLKGDTIEMNANVLGLTMESNGFTILEPFDTSVKYSNASGKTNIHLSASDVFMNFSFSILRLFLAVEDDILAFLRTTSKKMTVVCSQFDKIGTIRNSHNDQTYAFWRPHAPPGFAVLGDYLTPLDKPPTKAVLAINTNFSRVKKPISFKLIWPPLPSEGSSVHGVNDSDSLPNDIISDGDSCSIWFPEAPNGYVALGCVVSPGRTQPPLSAAFCILASLVSSCSLGDCIAVSTTNLYPSSVAFWRVDNSVGTFLPADPSTSTVMGTAYDLRHMIFGLPEASVKSSNHLDVQASSAHSHNLQSEVSASVNSARRYEAVASFRLIWWNQSSNSRKKLSIWRPVVPHGMVYFGDIAVKGYEPPNNCIVLHDTGDEGIFKAPLDFQVVGQIKKQRGMESISFWLPQAPPGFVALGCIACKGTPKQSDFSSLRCMRSDMVVGDQFLEESVWDTSDAKLTRDSFSIWAVGNELGTFIVRGGFKKPPRRLALKLADSHVRSGSDDTVIDAEFRTFSAALFDDYGGLMVPLFNVSLSGIGFSLHGRTEYLNSTVSFSLAARSYNDKYEIWEPLVEPMDGFLRYQYDPSAPTAASQLRLTSTRELNLNVSVSNANMIIQAYASWNGLIHVNEYHRKREASSPTDGGVSVIDVHHRRNYYIIPQNKLGQDIYIRATELRGLANIIKMPSGDMRPLKVPVSKNMLDSHLKGKLFRKVRRMVTLIIVDGQFPQGRGLTSPQYTIAIRLSPDPSLPSESLSHQQSARTCGSSSEQLSSELELVKWNEIFFFKVDDPDYYSVELIVTELGKGVPLGFFSSPLKQIAGNIHDDSYAYDSVNKWTWVELSSTNSAGNNGEKLSGRIRCAVLLSPRSEAEISDQSDNSNRKSGFIQISPSREGPWTTVRLNYAAPAACWRLGNDVVASEVHVKDGNRYVNIRSLVSVRNSTDFVLDLCLASKISMEETTSTNNESTPEGLQIHSNKLQSDEFFETEKYSPGTGWIGYMVQPSQDIFESGGSHQGIPAVELPPGWEWVDDWHLDKASVNTADSWVYAPDVDSLKWPESFDPLRFVNYARQRRWIRNRKQNVTNQKIHIGLLKPGDTISLPLSGLAQPGMYVLCLRPSNLSNPIEYSWSSVVDGSEQAEDSSKSKLCSGISVSSLTESEELLYCTQISGTSSSVLPKLWFCMSVQATDIAKDIHSDPIQDWNLVIKSPLCISNFIPLAAEFSVLEMQESGNFVARSRGVFFPGKTVDVYNADIRKPLFFSLLPQRGWLPIHEAVLLSHPHEVPSKTISLRSSISGRIVQIILEQNSNQERPLQAKLVRVYAPYWYSIARCPPLTFRLLDIKGKKHTRKVGCPLESKKNNEAILEEITEEEIYEGHTIASALNFKMLGLVVSIDQSGTEQFGPVKDLSPLGDLDGSLDLYAYDGEGNCMRLFITTKPCLYQSVPTKVISVRPYMTFTNRLGQDIYIKLCNEDEPKVLRATDSRVSFVHRKSDRPDKLEVRLEDTDWSFPVQIVKEDTISLVLRKHPGTRTFLRTEIRGYEEGSRFIVVFRLGSTNGPIRIENRTDSKTISIRQSGFGEDAWIPIAPLSTTNFSWEDPYGQKFIQAKVDSELEIGPWELDLERTGIFYAEEGLGLQFHVIETSDIKVARFTNATTSGTNSHRQLAGNWGHSHMPNTIQNNGATPVELIIEFGVVGVSIIDHRPKEVSYLYFERVFVSYSTGYDGGTTARFKLILGHLQLDNQLPLTLMPVLLAPEMNSDLHHPVFKMTITMRNENIDGIQVYPYVYIRVTEKCWRLNIHEPIIWALVDFYDNLQLDRVPKSSSVKEVDPELRIDLIDVSEVRLKVALETAPAERPHGVLGVWSPILSAVGNAFKIQVHLRRVMHRDRFMRKSSIVSAIGNRIWRDLIHNPLHLIFAVDVLGMTSSTLASLSKGFAELSTDGQFMQLRSKQVSSRRITGVGDGIMQGTEALVQGVAFGVSGVVKKPVESARQNGFLGFVHGLGRAFVGVIVQPVSGALDFFSLTVDGIGASCSKCLEVFNSKTTFQRIRNPRAFRADAVLREYCEREAVGQMILYLAEAHRHFGCTELFKEPSKFAWSDYYEDHFVVPYQRIVLVTNKRVMLLQCLAPDKMDKKPCKIMWDVPWEELMALELAKAGCNQPSHLILHLKNFRRSENFVRVIKCSVEEETERREPQAVKICSVVRKMWKAYQSDMKSIILKVPSSQRHVYFSWSEADGREHRLPNKAITRLRELPSDSSALDGRRFVKHSINFSKIWSSEQESRGRCTVCRKQVSGDGGICSIWRPICPDG >ONI07600 pep chromosome:Prunus_persica_NCBIv2:G5:12538025:12539405:1 gene:PRUPE_5G129600 transcript:ONI07600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNKQLEDKRAGAEIVYGPEDCYRHSIDLLEELGFPKGVLPLQDLVECGRVRETGFVWMKQKRPYEHFFVGSNTRVSYATEVTGYVEKLKMKKMTGIKSKQVFLWVPISEMSIDDPASKKIVFKTPMGIGKSFPITAFMTEEEKQKHLEQVNE >ONI08378 pep chromosome:Prunus_persica_NCBIv2:G5:14854196:14858609:1 gene:PRUPE_5G174400 transcript:ONI08378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARPLDFYSVHTYGHGLHQHNPLRPSEALQYKLRGASKPCLVSFSSKFHTFCSKVQFLQNPSRKSIFGRGLSRDSSLDEVLSAGQLIKEYVEDGNCEDAITLYVKMLEFGLPVEEFRFFPCLIKAFGGFSDVGKAREIHGHVLKLGVLDDVYDVNSLLGVYWKYGAIEDAVQMFEKMCKRDLVSWNTMISGLCHSGDYMGSLRMFSRMIHDHWVLPNRVACLSALTSCSSVQSLVHGRELHGFVMKREIDTDQFLVSGLIDMYMKCGDVKNAEYVFRSIINEESIRGNPVIWNVMISGYVFNGCLSHAVEVFLEMLSIGLSPDTSTMVAVIVLCSQMLDLAFGRQMHKFCFGIQLNNDARVETALMDMYFKCGDSKAGLEIFQRSLNRNMVMWGAIISNFAQSSRPDEALNLFHSYILEYGFVDSVIILAVLRACSSLTAKTRGVEIHGLVVKLGFDSDVFVGGALVDMYAKCKDIELAQKVFYRLPARDLVSWNALISGYTQNECLDEALKAFLDMQFEKVRPNAVTIASILSVCAQLSVMMLCKEVHGYLLRKDFESNILVSNSLITTYAKCGDISSSWAIFEKMPERNEVSWNSILLGLGMHGHADETFGLFERMETAGMKPDHATFTALLSACSHAGRVEEGLKYFKRMVQDYKIEPQLEQYTCMVDLLGRAGHLSHAYDIILTMPCVPDDRIWGSLLGSCKIHGDERLAEIVADHIFELDPTSIGYRTLLANLYEDYGKWNEVTRIRSDIRGMGLKKTPGCSWIEVDSNVHIFTAGDQSHNQSDEIYTIIESLTSEIRKAGYIPQPLTVSVGLDEVSNENLISFKDDDLLYSVASRKNNQKVSTEMMIGSFSVNC >ONI08376 pep chromosome:Prunus_persica_NCBIv2:G5:14854196:14858609:1 gene:PRUPE_5G174400 transcript:ONI08376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARPLDFYSVHTYGHGLHQHNPLRPSEALQYKLRGASKPCLVSFSSKFHTFCSKVQFLQNPSRKSIFGRGLSRDSSLDEVLSAGQLIKEYVEDGNCEDAITLYVKMLEFGLPVEEFRFFPCLIKAFGGFSDVGKAREIHGHVLKLGVLDDVYDVNSLLGVYWKYGAIEDAVQMFEKMCKRDLVSWNTMISGLCHSGDYMGSLRMFSRMIHDHWVLPNRVACLSALTSCSSVQSLVHGRELHGFVMKREIDTDQFLVSGLIDMYMKCGDVKNAEYVFRSIINEESIRGNPVIWNVMISGYVFNGCLSHAVEVFLEMLSIGLSPDTSTMVAVIVLCSQMLDLAFGRQMHKFCFGIQLNNDARVETALMDMYFKCGDSKAGLEIFQRSLNRNMVMWGAIISNFAQSSRPDEALNLFHSYILEYGFVDSVIILAVLRACSSLTAKTRGVEIHGLVVKLGFDSDVFVGGALVDMYAKCKDIELAQKVFYRLPARDLVSWNALISGYTQNECLDEALKAFLDMQFEKVRPNAVTIASILSVCAQLSVMMLCKEVHGYLLRKDFESNILVSNSLITTYAKCGDISSSWAIFEKMPERNEVSWNSILLGLGMHGHADETFGLFERMETAGMKPDHATFTALLSACSHAGRVEEGLKYFKRMVQDYKIEPQLEQYTCMVDLLGRAGHLSHAYDIILTMPCVPDDRIWGSLLGSCKIHGDERLAEIVADHIFELDPTSIGYRTLLANLYEDYGKWNEVTRIRSDIRGMGLKKTPGCSWIEVDSNVHIFTAGDQSHNQSDEIYTIIESLTSEIRKAGYIPQPLTVSVGLDERGTDLLFGVIEED >ONI08377 pep chromosome:Prunus_persica_NCBIv2:G5:14854196:14858609:1 gene:PRUPE_5G174400 transcript:ONI08377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARPLDFYSVHTYGHGLHQHNPLRPSEALQYKLRGASKPCLVSFSSKFHTFCSKVQFLQNPSRKSIFGRGLSRDSSLDEVLSAGQLIKEYVEDGNCEDAITLYVKMLEFGLPVEEFRFFPCLIKAFGGFSDVGKAREIHGHVLKLGVLDDVYDVNSLLGVYWKYGAIEDAVQMFEKMCKRDLVSWNTMISGLCHSGDYMGSLRMFSRMIHDHWVLPNRVACLSALTSCSSVQSLVHGRELHGFVMKREIDTDQFLVSGLIDMYMKCGDVKNAEYVFRSIINEESIRGNPVIWNVMISGYVFNGCLSHAVEVFLEMLSIGLSPDTSTMVAVIVLCSQMLDLAFGRQMHKFCFGIQLNNDARVETALMDMYFKCGDSKAGLEIFQRSLNRNMVMWGAIISNFAQSSRPDEALNLFHSYILEYGFVDSVIILAVLRACSSLTAKTRGVEIHGLVVKLGFDSDVFVGGALVDMYAKCKDIELAQKVFYRLPARDLVSWNALISGYTQNECLDEALKAFLDMQFEKVRPNAVTIASILSVCAQLSVMMLCKEVHGYLLRKDFESNILVSNSLITTYAKCGDISSSWAIFEKMPERNEVSWNSILLGLGMHGHADETFGLFERMETAGMKPDHATFTALLSACSHAGRVEEGLKYFKRMVQDYKIEPQLEQYTCMVDLLGRAGHLSHAYDIILTMPCVPDDRIWGSLLGSCKIHGDERLAEIVADHIFELDPTSIGYRTLLANLYEDYGKWNEVTRIRSDIRGMGLKKTPGCSWIEVDSNVHIFTAGDQSHNQSDEIYTIIESLTSEIRKAGYIPQPLTVSVGLDEVSNENLISFKDDDLLYSVASRKNNQKVSTEMMIGSFSVNC >ONI06554 pep chromosome:Prunus_persica_NCBIv2:G5:8169980:8171550:-1 gene:PRUPE_5G067600 transcript:ONI06554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAFGSFGDSFSVGSLKSYLAEFIATLLFVFAGVGSAIAYGKLTSAALDPAGLVAVAIAHAFALFVGVSIAANISGGHLNPAVTLGLAIGGNITILTGIFYWIAQLLGSIVASFLLKFVTQLDVPTHTLASGVGAIEGVVFEIIITFALVYTVYATAADPKKGSLGIIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFTDNWIYWVGPLIGGGLAGLVYGDIFIGSYSPVPAAEDYA >ONI05850 pep chromosome:Prunus_persica_NCBIv2:G5:2990134:2991276:-1 gene:PRUPE_5G026400 transcript:ONI05850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSGKQLDSSTKEANDAKEKHAFANGKKKVGRPKKSLNNITDSKVNAAQNGDVLGTKTKLHETGVAVSKTPRLKTRISYKLSAPVLHHHTYVHAHNNKEGNSANGSLMNMQVVVPNAESSLTFGHQPPNISLSAGIRNPKEGEGWLFTMVYGSPCNTKREALWQHLDSVAKAHQYPWLIMGDFNEYLFAHEKHSPRSSFRAGCGK >ONI07291 pep chromosome:Prunus_persica_NCBIv2:G5:11570370:11576332:-1 gene:PRUPE_5G111700 transcript:ONI07291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNATVASACPAPMKATSNGVFQGDNPLDFALPLAILQICLVVALTRILAYLLRPLRQPRVIAEIVGGILLGPSALGHSKNYLDTIFPKRSLTVLDTLANLGLLFFLFLVGLELDPKSIRRTGKKALCIAAAGITLPFVLGIGTSFALRATISKGVDGPPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWVLLALAISLSGTGRSPLVSLWVFLCGCGFVLGCVFFVRPIFKWMAQRCPEGEPVEELYVCATLVAVLAAGFVTDTIGIHALFGAFVLGILVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTDVATIRGAQSWGLLVLVISTACFGKIIGTIGVSLLCRMPFQEAMALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMAIFTTFITTPIVMAVYKPAKRKSNSNYKYRTIERKDPSTQLRILTCFHGTRNLPTMINLIEASRGTEKRERLCVYAMHLMELNERSSAIVMVHKARRNGLPFWNKGSDNNKVVVAFETFEQLSRVAIRPMTAISSISSMHEDICASAERERAAMIIIPFHKHQRLDGALETTRTEYRGVNRRVLENAPCSVGIMVDRGLGGTTHISASNVSSTVVVLFFGGSDDREALAYGMRMAEHPGNNLTVVHFLASPELEKEIVRVDINDGSDASAGPGNEKLIVELQQKISNDGSIKYEERTVRNVAETTDSIREFNRCNLFLVGRRPEGQVAAALNVNLKVKSDCPELGPVGSLLTSPDFTTAASVLVVQQYHGLAVLPGPVSSSKAVVLPEEESETS >ONI07292 pep chromosome:Prunus_persica_NCBIv2:G5:11570326:11576372:-1 gene:PRUPE_5G111700 transcript:ONI07292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNATVASACPAPMKATSNGVFQGDNPLDFALPLAILQICLVVALTRILAYLLRPLRQPRVIAEIVGGILLGPSALGHSKNYLDTIFPKRSLTVLDTLANLGLLFFLFLVGLELDPKSIRRTGKKALCIAAAGITLPFVLGIGTSFALRATISKGVDGPPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWVLLALAISLSGTGRSPLVSLWVFLCGCGFVLGCVFFVRPIFKWMAQRCPEGEPVEELYVCATLVAVLAAGFVTDTIGIHALFGAFVLGILVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTDVATIRGAQSWGLLVLVISTACFGKIIGTIGVSLLCRMPFQEAMALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMAIFTTFITTPIVMAVYKPAKRKSNSNYKYRTIERKDPSTQLRILTCFHGTRNLPTMINLIEASRGTEKRERLCVYAMHLMELNERSSAIVMVHKARRNGLPFWNKGSDNNKVVVAFETFEQLSRVAIRPMTAISSISSMHEDICASAERERAAMIIIPFHKHQRLDGALETTRTEYRGVNRRVLENAPCSVGIMVDRGLGGTTHISASNVSSTVVVLFFGGSDDREALAYGMRMAEHPGNNLTVVHFLASPELEKEIVRVDINDGSDASAGPGNEKLIVELQQKISNDGSIKYEERTVRNVAETTDSIREFNRCNLFLVGRRPEGQVAAALNVNLKVKSDCPELGPVGSLLTSPDFTTAASVLVVQQYHGLAVLPGPVSSSKAVVLPEEESETS >ONI07293 pep chromosome:Prunus_persica_NCBIv2:G5:11570363:11576314:-1 gene:PRUPE_5G111700 transcript:ONI07293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNATVASACPAPMKATSNGVFQGDNPLDFALPLAILQICLVVALTRILAYLLRPLRQPRVIAEIVGGILLGPSALGHSKNYLDTIFPKRSLTVLDTLANLGLLFFLFLVGLELDPKSIRRTGKKALCIAAAGITLPFVLGIGTSFALRATISKGVDGPPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWVLLALAISLSGTGRSPLVSLWVFLCGCGFVLGCVFFVRPIFKWMAQRCPEGEPVEELYVCATLVAVLAAGFVTDTIGIHALFGAFVLGILVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTDVATIRGAQSWGLLVLVISTACFGKIIGTIGVSLLCRMPFQEAMALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMAIFTTFITTPIVMAVYKPAKRKSNSNYKYRTIERKDPSTQLRILTCFHGTRNLPTMINLIEASRGTEKRERLCVYAMHLMELNERSSAIVMVHKARRNGLPFWNKGSDNNKVVVAFETFEQLSRVAIRPMTAISSISSMHEDICASAERERAAMIIIPFHKHQRLDGALETTRTEYRGVNRRVLENAPCSVGIMVDRGLGGTTHISASNVSSTVVVLFFGGSDDREALAYGMRMAEHPGNNLTVVHFLASPELEKEIVRVDINDGSDASAGPGNEKLIVELQQKISNDGSIKYEERTVRNVAETTDSIREFNRCNLFLVGRRPEGQVAAALNVNLKVKSDCPELGPVGSLLTSPDFTTAASVLVVQQYHGLAVLPGPVSSSKAVVLPEEESETS >ONI07295 pep chromosome:Prunus_persica_NCBIv2:G5:11570362:11576314:-1 gene:PRUPE_5G111700 transcript:ONI07295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNATVASACPAPMKATSNGVFQGDNPLDFALPLAILQICLVVALTRILAYLLRPLRQPRVIAEIVGGILLGPSALGHSKNYLDTIFPKRSLTVLDTLANLGLLFFLFLVGLELDPKSIRRTGKKALCIAAAGITLPFVLGIGTSFALRATISKGVDGPPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWVLLALAISLSGTGRSPLVSLWVFLCGCGFVLGCVFFVRPIFKWMAQRCPEGEPVEELYVCATLVAVLAAGFVTDTIGIHALFGAFVLGILVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTDVATIRGAQSWGLLVLVISTACFGKIIGTIGVSLLCRMPFQEAMALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMAIFTTFITTPIVMAVYKPAKRKSNSNYKYRTIERKDPSTQLRILTCFHGTRNLPTMINLIEASRGTEKRERLCVYAMHLMELNERSSAIVMVHKARRNGLPFWNKGSDNNKVVVAFETFEQLSRVAIRPMTAISSISSMHEDICASAERERAAMIIIPFHKHQRLDGALETTRTEYRGVNRRVLENAPCSVGIMVDRGLGGTTHISASNVSSTVVVLFFGGSDDREALAYGMRMAEHPGNNLTVVHFLASPELEKEIVRVDINDGSDASAGPGNEKLIVELQQKISNDGSIKYEERTVRNVAETTDSIREFNRCNLFLVGRRPEGQVAAALNVNLKVKSDCPELGPVGSLLTSPDFTTAASVLVVQQYHGLAVLPGPVSSSKAVVLPEEESETS >ONI07296 pep chromosome:Prunus_persica_NCBIv2:G5:11570660:11573816:-1 gene:PRUPE_5G111700 transcript:ONI07296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNATVASACPAPMKATSNGVFQGDNPLDFALPLAILQICLVVALTRILAYLLRPLRQPRVIAEIVGGILLGPSALGHSKNYLDTIFPKRSLTVLDTLANLGLLFFLFLVGLELDPKSIRRTGKKALCIAAAGITLPFVLGIGTSFALRATISKGVDGPPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWVLLALAISLSGTGRSPLVSLWVFLCGCGFVLGCVFFVRPIFKWMAQRCPEGEPVEELYVCATLVAVLAAGFVTDTIGIHALFGAFVLGILVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTDVATIRGAQSWGLLVLVISTACFGKIIGTIGVSLLCRMPFQEAMALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMAIFTTFITTPIVMAVYKPAKRKSNSNYKYRTIERKDPSTQLRILTCFHGTRNLPTMINLIEASRGTEKRERLCVYAMHLMELNERSSAIVMVHKARRNGLPFWNKGSDNNKVVVAFETFEQLSRVAIRPMTAISSISSMHEDICASAERERAAMIIIPFHKHQRLDGALETTRTEYRGVNRRVLENAPCSVGIMVDRGLGGTTHISASNVSSTVVVLFFGGSDDREALAYGMRMAEHPGNNLTVVHFLASPELEKEIVRVDINDGSDASAGPGNEKLIVELQQKISNDGSIKYEERTVRNVAETTDSIREFNRCNLFLVGRRPEGQVAAALNVNLKVKSDCPELGPVGSLLTSPDFTTAASVLVVQQYHGLAVLPGPVSSSKAVVLPEEESETS >ONI07294 pep chromosome:Prunus_persica_NCBIv2:G5:11570370:11576314:-1 gene:PRUPE_5G111700 transcript:ONI07294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNATVASACPAPMKATSNGVFQGDNPLDFALPLAILQICLVVALTRILAYLLRPLRQPRVIAEIVGGILLGPSALGHSKNYLDTIFPKRSLTVLDTLANLGLLFFLFLVGLELDPKSIRRTGKKALCIAAAGITLPFVLGIGTSFALRATISKGVDGPPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWVLLALAISLSGTGRSPLVSLWVFLCGCGFVLGCVFFVRPIFKWMAQRCPEGEPVEELYVCATLVAVLAAGFVTDTIGIHALFGAFVLGILVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTDVATIRGAQSWGLLVLVISTACFGKIIGTIGVSLLCRMPFQEAMALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMAIFTTFITTPIVMAVYKPAKRKSNSNYKYRTIERKDPSTQLRILTCFHGTRNLPTMINLIEASRGTEKRERLCVYAMHLMELNERSSAIVMVHKARRNGLPFWNKGSDNNKVVVAFETFEQLSRVAIRPMTAISSISSMHEDICASAERERAAMIIIPFHKHQRLDGALETTRTEYRGVNRRVLENAPCSVGIMVDRGLGGTTHISASNVSSTVVVLFFGGSDDREALAYGMRMAEHPGNNLTVVHFLASPELEKEIVRVDINDGSDASAGPGNEKLIVELQQKISNDGSIKYEERTVRNVAETTDSIREFNRCNLFLVGRRPEGQVAAALNVNLKVKSDCPELGPVGSLLTSPDFTTAASVLVVQQYHGLAVLPGPVSSSKAVVLPEEESETS >ONI08234 pep chromosome:Prunus_persica_NCBIv2:G5:14401925:14404626:1 gene:PRUPE_5G166300 transcript:ONI08234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFSLLLPLLLLLHPIFFSPTSALDFLFNSFSNITNATNLTLIDDALLDATVIRLTNDTVQFSVGRVFYPTKLAMRPTSNSSSLTSFSTSFVFSVLPDIASSPGFGLCFVLSNSTSPPNALASQYFGLFTNATVPFVAPLLAVEFDTGQNPEFNDPDGNHIGIDLNNIESAITKPAGYYDSSGSLVPIQMRTGQNVRAWIEFDGTNFEFNVTVAPANVSRPSLPTLTYRDPKIANYVSAEMFVGFSASKTQWIEAQRVLAWSFSDTGVAREINTTNLPVFQLSSSSSSSLSTGAIAGIAIGCVVVVLVLASGFYLYWRKNKLEREEKDEIEDWELEYWPHRFSNEELSEATDGFSKDQLLGVGGFGKVYKGTLSNATEIAVKCVNHDSKQGLREFMAEIASMGRLQHKNLVQMRGWCRKRNELMLVYDYMPNGSLNRWIFDKPKTVLGWEQRRRVLADVAEGLTYLHHGWDQMVVHRDIKSSNILLDAEMRGRLGDFGLAKLYQHGEVPNTTRVVGTLGYLAPELATVASPTAASDVYSFGVVLLEVACGRKPIEMEAADRRLEGQYEEEQMEVALKLGLACCHPDPQRRPNMKEIVAVLVGESAAPAAPAALLSELARGDSNVFSGGGGGSEEESDEVAPLQPPPPSV >ONI08233 pep chromosome:Prunus_persica_NCBIv2:G5:14401925:14404626:1 gene:PRUPE_5G166300 transcript:ONI08233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFSLLLPLLLLLHPIFFSPTSALDFLFNSFSNITNATNLTLIDDALLDATVIRLTNDTVQFSVGRVFYPTKLAMRPTSNSSSLTSFSTSFVFSVLPDIASSPGFGLCFVLSNSTSPPNALASQYFGLFTNATVPFVAPLLAVEFDTGQNPEFNDPDGNHIGIDLNNIESAITKPAGYYDSSGSLVPIQMRTGQNVRAWIEFDGTNFEFNVTVAPANVSRPSLPTLTYRDPKIANYVSAEMFVGFSASKTQWIEAQRVLAWSFSDTGVAREINTTNLPVFQLSSSSSSSLSTGAIAGIAIGCVVVVLVLASGFYLYWRKNKLEREEKDEIEDWELEYWPHRFSNEELSEATDGFSKDQLLGVGGFGKVYKGTLSNATEIAVKCVNHDSKQGLREFMAEIASMGRLQHKNLVQMRGWCRKRNELMLVYDYMPNGSLNRWIFDKPKTVLGWEQRRRVLADVAEGLTYLHHGWDQMVVHRDIKSSNILLDAEMRGRLGDFGLAKLYQHGEVPNTTRVVGTLGYLAPELATVASPTAASDVYSFGVVLLEVACGRKPIEMEAAEDEVVLIDWVRELYARGRVGEAADRRLEGQYEEEQMEVALKLGLACCHPDPQRRPNMKEIVAVLVGESAAPAAPAALLSELARGDSNVFSGGGGGSEEESDEVAPLQPPPPSV >ONI06590 pep chromosome:Prunus_persica_NCBIv2:G5:8307239:8313553:1 gene:PRUPE_5G069000 transcript:ONI06590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVSKTLFPKLPLCCILRFARAMSSQSAQRAFQLRVNPITGNSEWVVIEEDEPEEQTFNGGSQNALLATTSYLDMLNDSHRNRAFREAIDKTISTPCHVLDIGSGTGLLSMMAARAMGSMTCRMVTACESYLPMVKLMKKVVRLNGMENQIKLINKRSDELKRGIDITSPAQVLVSEILDSELLGEGLIPSLKHAHDMLLVENSETVPYKATTYGQLVESTFLWKLHDLHGNEAKASDGILLVPTGLDNILGVKPQQYAFHCDAIGKEVKLLSEPFKIFEFDFWKRPDSHGETEVHVKATNDGRVHAVISWWILQLDREGTIFYSTAPRWISLPGNTSHGDWCDHWKQCVWFVPGEGMSITKDEEVCLHAVHTDISISYNLKNQASRNEIMQYGFKNGDFKLILPPERIAIYGDSEWRHTMFSAISNSLQGRVDPLCIVADDSIFLTLLVAHLSKTSHVMSFFPGLQDKGFQYLQAVANSNGFSMDRVEFLNKRKTKLTMHDTHQKKVDLLIGEPFYYGMDGMLPWQNLRFWNERTMLDSVLSQDALILPCKAILKTCALSAPDLWNSRCCLSKIEGFDHSVVNSTLGACGELPAEQECPCLPYFIWQCGNVKKLSEAFTVMEFDCSKPITSSCGKTQVPQLEL >ONI06589 pep chromosome:Prunus_persica_NCBIv2:G5:8307239:8314710:1 gene:PRUPE_5G069000 transcript:ONI06589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVSKTLFPKLPLCCILRFARAMSSQSAQRAFQLRVNPITGNSEWVVIEEDEPEEQTFNGGSQNALLATTSYLDMLNDSHRNRAFREAIDKTISTPCHVLDIGSGTGLLSMMAARAMGSMTCRMVTACESYLPMVKLMKKVVRLNGMENQIKLINKRSDELKRGIDITSPAQVLVSEILDSELLGEGLIPSLKHAHDMLLVENSETVPYKATTYGQLVESTFLWKLHDLHGNEAKASDGILLVPTGLDNILGVKPQQYAFHCDAIGKEVKLLSEPFKIFEFDFWKRPDSHGETEVHVKATNDGRVHAVISWWILQLDREGTIFYSTAPRWISLPGNTSHGDWCDHWKQCVWFVPGEGMSITKDEEVCLHAVHTDISISYNLKNQASRNEIMQYGFKNGDFKLILPPERIAIYGDSEWRHTMFSAISNSLQGRVDPLCIVADDSIFLTLLVAHLSKTSHVMSFFPGLQDKGFQYLQAVANSNGFSMDRVEFLNKRKTKLTMHDTHQKKVDLLIGEPFYYGMDGMLPWQNLRFWNERTMLDSVLSQDALILPCKAILKTCALSAPDLWNSRCCLSKIEGFDHSVVNSTLGACGELPAEQECPCLPYFIWQCGNVKKLSEAFTVMEFDCSKPITSSCGKTQVEFTEPGICHGFALWIDWVMDSENSLVVSTGPEKRYWKQGVKLLAEPVAVRTNKSSTGECRSAVIQALFDPSSGDLDVRHAFS >ONI05459 pep chromosome:Prunus_persica_NCBIv2:G5:913363:914417:-1 gene:PRUPE_5G008600 transcript:ONI05459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDYTLHKIDSNRKEWIKVDNWDNLTISKQEAKAYSKDLSTYCGRLLEETEDELAEMIKKGSVRGGDVSTILCQDLSAHCSRTR >ONI07254 pep chromosome:Prunus_persica_NCBIv2:G5:11434528:11435157:-1 gene:PRUPE_5G109200 transcript:ONI07254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAYPAAPANHGYQRSDAESLENADELKRKKKIKLAIYISIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVESFVSDPAAPSFDTKFTTQIKIKNSANWGSYKFNAANITFQHQGATLAVIDIAKGKAGWLSTIKRNAEVSLKSSATNVSNLGSELNSGVLTLNSVGRLNGKVAIMFIMKKKKAANMNCTIAFDVAAKTLKSLHCK >ONI07139 pep chromosome:Prunus_persica_NCBIv2:G5:10950258:10957215:-1 gene:PRUPE_5G102100 transcript:ONI07139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWRKSSSKDVKKKANKESFIETIATIHRKLKSSSEEKFNSRSGNSRRPCSDTISEMGSLSRALSPAPSKQVSRCQSFAERPHAQPLPLPRVQLSNIGRTDSGISASSKPGSDRGSNQLFYLPLPRPECVSSREDPTDAEGDIATASISCDSSTDSDDPIDSRLLSPMGSDYENGNRTTLNSPSSVMQKDQFPTVDQKNSKETVKPDNLLFNTQILSPSPKRRPSSTHMQNIQIPYHGAFFSAPDSSLSSPSRSPMRVYGSEQVRNSNFWAGKPYPEIASAHSSSPGSGQNSGHNSVGGDLSGPLFWQHNRCSPECSPIPSPRLTSPGPSSRIQSGAVTPLHPRAGGPAAESPTNRPDDGKQKSHRLPLPPITITNTCPFSPAYSAATTPTVPRSPNRAENPASPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFADDAKSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYMSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDVWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPGIPDHLSDDGKDFIRLCLQRNPLNRPIAAQLLEHPFVKNVAPLERTILSAEPPEGPPAVRSLAFGHGRNHSNLDSEGMGIHQSRGSKTASASSDAHTPRNVSCPVSPIGSPLLHSRSPQHFSGRMSPSPISSPRTTSGSSTPLTGGSGAIPFQHLTQPTTYLHEGMGKSQRSQNCGFYTNGSIPYHEPKPDLFRGIPQASHAFLDIISSDNGAPGDQIGNPVPRDPQELFDVQSILADRVSQQLLRDHIKLNPSMDLNLR >ONI07140 pep chromosome:Prunus_persica_NCBIv2:G5:10950258:10957215:-1 gene:PRUPE_5G102100 transcript:ONI07140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWRKSSSKDVKKKANKESFIETIATIHRKLKSSSEEKFNSRSGNSRRPCSDTISEMGSLSRALSPAPSKQVSRCQSFAERPHAQPLPLPRVQLSNIGRTDSGISASSKPGSDRGSNQLFYLPLPRPECVSSREDPTDAEGDIATASISCDSSTDSDDPIDSRLLSPMGSDYENGNRTTLNSPSSVMQKDQFPTVDQKNSKETVKPDNLLFNTQILSPSPKRRPSSTHMQNIQIPYHGAFFSAPDSSLSSPSRSPMRVYGSEQVRNSNFWAGKPYPEIASAHSSSPGSGQNSGHNSVGGDLSGPLFWQHNRCSPECSPIPSPRLTSPGPSSRIQSGAVTPLHPRAGGPAAESPTNRPDDGKQKSHRLPLPPITITNTCPFSPAYSAATTPTVPRSPNRAENPASPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFADDAKSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYMSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDVWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPGIPDHLSDDGKDFIRLCLQRNPLNRPIAAQLLEHPFVKNVAPLERTILSAEPPEGPPAVRSLAFGHGRNHSNLDSEGMGIHQSRGSKTASASSDAHTPRNVSCPVSPIGSPLLHSRSPQHFSGRMSPSPISSPRTTSGSSTPLTGGSGAIPFQHLTQPTTYLHEGMGKSQRSQNCGFYTNGSIPYHEPKPDLFRGIPQASHAFLDIISSDNGAPGDQIGNPVPRDPQELFDVQSILADRVSQQLLRDHIKLNPSMDLNLR >ONI07143 pep chromosome:Prunus_persica_NCBIv2:G5:10952694:10955971:-1 gene:PRUPE_5G102100 transcript:ONI07143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWRKSSSKDVKKKANKESFIETIATIHRKLKSSSEEKFNSRSGNSRRPCSDTISEMGSLSRALSPAPSKQVSRCQSFAERPHAQPLPLPRVQLSNIGRTDSGISASSKPGSDRGSNQLFYLPLPRPECVSSREDPTDAEGDIATASISCDSSTDSDDPIDSRLLSPMGSDYENGNRTTLNSPSSVMQKDQFPTVDQKNSKETVKPDNLLFNTQILSPSPKRRPSSTHMQNIQIPYHGAFFSAPDSSLSSPSRSPMRVYGSEQVRNSNFWAGKPYPEIASAHSSSPGSGQNSGHNSVGGDLSGPLFWQHNRCSPECSPIPSPRLTSPGPSSRIQSGAVTPLHPRAGGPAAESPTNRPDDGKQKSHRLPLPPITITNTCPFSPAYSAATTPTVPRSPNRAENPASPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFADDAKSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYMSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDVWSLGCTVLEMATTKPPWSQYEGFLHDKNSLTWFLCLCFKGCCYV >ONI07137 pep chromosome:Prunus_persica_NCBIv2:G5:10950918:10955971:-1 gene:PRUPE_5G102100 transcript:ONI07137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWRKSSSKDVKKKANKESFIETIATIHRKLKSSSEEKFNSRSGNSRRPCSDTISEMGSLSRALSPAPSKQVSRCQSFAERPHAQPLPLPRVQLSNIGRTDSGISASSKPGSDRGSNQLFYLPLPRPECVSSREDPTDAEGDIATASISCDSSTDSDDPIDSRLLSPMGSDYENGNRTTLNSPSSVMQKDQFPTVDQKNSKETVKPDNLLFNTQILSPSPKRRPSSTHMQNIQIPYHGAFFSAPDSSLSSPSRSPMRVYGSEQVRNSNFWAGKPYPEIASAHSSSPGSGQNSGHNSVGGDLSGPLFWQHNRCSPECSPIPSPRLTSPGPSSRIQSGAVTPLHPRAGGPAAESPTNRPDDGKQKSHRLPLPPITITNTCPFSPAYSAATTPTVPRSPNRAENPASPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFADDAKSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYMSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDVWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPGIPDHLSDDGKDFIRLCLQRNPLNRPIAAQLLEHPFVKNVAPLERTILSAEPPEGPPAVRSLAFGHGRNHSNLDSEGMGIHQSRGSKTASASSDAHTPRNVSCPVSPIGSPLLHSRSPQHFSGRMSPSPISSPRTTSGSSTPLTGGSGAIPFQHLTQPTTYLHEGMGKSQRSQNCGFYTNGSIPYHEPKPDLFRGIPQASHAFLDIISSDNGAPGDQIGNPVPRDPQELFDVQSILADRVSQQLLRDHIKLNPSMDLNLR >ONI07138 pep chromosome:Prunus_persica_NCBIv2:G5:10950918:10955971:-1 gene:PRUPE_5G102100 transcript:ONI07138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWRKSSSKDVKKKANKESFIETIATIHRKLKSSSEEKFNSRSGNSRRPCSDTISEMGSLSRALSPAPSKQVSRCQSFAERPHAQPLPLPRVQLSNIGRTDSGISASSKPGSDRGSNQLFYLPLPRPECVSSREDPTDAEGDIATASISCDSSTDSDDPIDSRLLSPMGSDYENGNRTTLNSPSSVMQKDQFPTVDQKNSKETVKPDNLLFNTQILSPSPKRRPSSTHMQNIQIPYHGAFFSAPDSSLSSPSRSPMRVYGSEQVRNSNFWAGKPYPEIASAHSSSPGSGQNSGHNSVGGDLSGPLFWQHNRCSPECSPIPSPRLTSPGPSSRIQSGAVTPLHPRAGGPAAESPTNRPDDGKQKSHRLPLPPITITNTCPFSPAYSAATTPTVPRSPNRAENPASPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFADDAKSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYMSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDVWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPGIPDHLSDDGKDFIRLCLQRNPLNRPIAAQLLEHPFVKNVAPLERTILSAEPPEGPPAVRSLAFGHGRNHSNLDSEGMGIHQSRGSKTASASSDAHTPRNVSCPVSPIGSPLLHSRSPQHFSGRMSPSPISSPRTTSGSSTPLTGGSGAIPFQHLTQPTTYLHEGMGKSQRSQNCGFYTNGSIPYHEPKPDLFRGIPQASHAFLDIISSDNGAPGDQIGNPVPRDPQELFDVQSILADRVSQQLLRDHIKLNPSMDLNLR >ONI07142 pep chromosome:Prunus_persica_NCBIv2:G5:10950258:10957215:-1 gene:PRUPE_5G102100 transcript:ONI07142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWRKSSSKDVKKKANKESFIETIATIHRKLKSSSEEKFNSRSGNSRRPCSDTISEMGSLSRALSPAPSKQVSRCQSFAERPHAQPLPLPRVQLSNIGRTDSGISASSKPGSDRGSNQLFYLPLPRPECVSSREDPTDAEGDIATASISCDSSTDSDDPIDSRLLSPMGSDYENGNRTTLNSPSSVMQKDQFPTVDQKNSKETVKPDNLLFNTQILSPSPKRRPSSTHMQNIQIPYHGAFFSAPDSSLSSPSRSPMRVYGSEQVRNSNFWAGKPYPEIASAHSSSPGSGQNSGHNSVGGDLSGPLFWQHNRCSPECSPIPSPRLTSPGPSSRIQSGAVTPLHPRAGGPAAESPTNRPDDGKQKSHRLPLPPITITNTCPFSPAYSAATTPTVPRSPNRAENPASPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFADDAKSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYMSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDVWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPGIPDHLSDDGKDFIRLCLQRNPLNRPIAAQLLEHPFVKNVAPLERTILSAEPPEGPPAVRSLAFGHGRNHSNLDSEGMGIHQSRGSKTASASSDAHTPRNVSCPVSPIGSPLLHSRSPQHFSGRMSPSPISSPRTTSGSSTPLTGGSGAIPFQHLTQPTTYLHEGMGKSQRSQNCGFYTNGSIPYHEPKPDLFRGIPQASHAFLDIISSDNGAPGDQIGNPVPRDPQELFDVQSILADRVSQQLLRDHIKLNPSMDLNLR >ONI07141 pep chromosome:Prunus_persica_NCBIv2:G5:10950173:10957215:-1 gene:PRUPE_5G102100 transcript:ONI07141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWRKSSSKDVKKKANKESFIETIATIHRKLKSSSEEKFNSRSGNSRRPCSDTISEMGSLSRALSPAPSKQVSRCQSFAERPHAQPLPLPRVQLSNIGRTDSGISASSKPGSDRGSNQLFYLPLPRPECVSSREDPTDAEGDIATASISCDSSTDSDDPIDSRLLSPMGSDYENGNRTTLNSPSSVMQKDQFPTVDQKNSKETVKPDNLLFNTQILSPSPKRRPSSTHMQNIQIPYHGAFFSAPDSSLSSPSRSPMRVYGSEQVRNSNFWAGKPYPEIASAHSSSPGSGQNSGHNSVGGDLSGPLFWQHNRCSPECSPIPSPRLTSPGPSSRIQSGAVTPLHPRAGGPAAESPTNRPDDGKQKSHRLPLPPITITNTCPFSPAYSAATTPTVPRSPNRAENPASPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFADDAKSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYMSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDVWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPGIPDHLSDDGKDFIRLCLQRNPLNRPIAAQLLEHPFVKNVAPLERTILSAEPPEGPPAVRSLAFGHGRNHSNLDSEGMGIHQSRGSKTASASSDAHTPRNVSCPVSPIGSPLLHSRSPQHFSGRMSPSPISSPRTTSGSSTPLTGGSGAIPFQHLTQPTTYLHEGMGKSQRSQNCGFYTNGSIPYHEPKPDLFRGIPQASHAFLDIISSDNGAPGDQIGNPVPRDPQELFDVQSILADRVSQQLLRDHIKLNPSMDLNLR >ONI06110 pep chromosome:Prunus_persica_NCBIv2:G5:4521002:4525819:-1 gene:PRUPE_5G041200 transcript:ONI06110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIVEEQELMPISRKNIGNGEEEFQKKVEIVVRTIGPAPPSRLHVPSPIRVHDLRKLIAENGHLPIEKLRIILGGNVLHDSKSGHDAYLQLSDGDSLIVAVKPKAPVKHIKDGFDDDDDDEDLKFQLPQSSSQWKRTLYSFLHDKLKLPDILLMAIFSLSVKMWIIIIMWFILAPIAHRWDVGPLYILGTGFGIILLNLGKRQPGDVSAYSIFNEDFRELPGTLNAERLDGDIRTGQL >ONI06111 pep chromosome:Prunus_persica_NCBIv2:G5:4521460:4525819:-1 gene:PRUPE_5G041200 transcript:ONI06111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIVEEQELMPISRKNIGNGEEEFQKKVEIVVRTIGPAPPSRLHVPSPIRVHDLRKLIAENGHLPIEKLRIILGGNVLHDSKSGHDAYLQLSDGDSLIVAVKPKAPVKHIKDGFDDDDDDEDLKFQLPQSSSQWKRTLYSFLHDKLKLPDILLMAIFSLSVKMWIIIIMWFILAPIAHRWDVGPLYILGTGFGIILLNLGKRQPGDVSA >ONI06318 pep chromosome:Prunus_persica_NCBIv2:G5:5694867:5699044:-1 gene:PRUPE_5G053000 transcript:ONI06318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRERGVGGSKVTEVGPAVDRKRINDALDKQLERSSPSTSRAINGKDKPLIMGGKQPPSDHRDSRSASASLAKTNCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHEEQNELIESAAEMLYGLIHVRYILTSKGMAAMLDKYKNYDFGRCPRVFCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGSTFPHLFLMTYGNLKPQKASQSYVPRVFGFKLHKP >ONI06319 pep chromosome:Prunus_persica_NCBIv2:G5:5695334:5698730:-1 gene:PRUPE_5G053000 transcript:ONI06319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRERGVGGSKVTEVGPAVDRKRINDALDKQLERSSPSTSRAINGKDKPLIMGGKQPPSDHRDSRSASASLAKTNCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHVRYILTSKGMAAMLDKYKNYDFGRCPRVFCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQDIDGAYFGSTFPHLFLMTYGNLKPQKASQSYVPRVFGFKLHKP >ONI06320 pep chromosome:Prunus_persica_NCBIv2:G5:5695334:5698730:-1 gene:PRUPE_5G053000 transcript:ONI06320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRERGVGGSKVTEVGPAVDRKRINDALDKQLERSSPSTSRAINGKDKPLIMGGKQPPSDHRDSRSASASLAKTNCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHVRYILTSKGMAAMLDKYKNYDFGRCPRVFCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGSTFPHLFLMTYGNLKPQKASQSYVPRVFGFKLHKP >ONI06317 pep chromosome:Prunus_persica_NCBIv2:G5:5694858:5699071:-1 gene:PRUPE_5G053000 transcript:ONI06317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRERGVGGSKVTEVGPAVDRKRINDALDKQLERSSPSTSRAINGKDKPLIMGGKQPPSDHRDSRSASASLAKTNCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHEEQNELIESAAEMLYGLIHVRYILTSKGMAAMLDKYKNYDFGRCPRVFCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQDIDGAYFGSTFPHLFLMTYGNLKPQKASQSYVPRVFGFKLHKP >ONI05391 pep chromosome:Prunus_persica_NCBIv2:G5:619392:620956:1 gene:PRUPE_5G005200 transcript:ONI05391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGKFSFYFLFFSFHLALVSRTSPSPVHYPCFSLHDTLFPVAFIRDNCITFHSVILFIMVLAEFFCIDV >ONI07959 pep chromosome:Prunus_persica_NCBIv2:G5:13630379:13630955:-1 gene:PRUPE_5G149900 transcript:ONI07959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDTSVDYAPFQGRAVVVDKTIYSIQGNEFIALSFRMDKGDDGSIGYSLSQLFILQDLEIVRPPLPFEMKSEYLVHLGNHDFFHVKTGHCFDTAQYLCITTFQIVVGEGERDMIKTINSTVHSVDVECIEYFDLVFCFMPDCGDYEPIEDKSV >ONI07960 pep chromosome:Prunus_persica_NCBIv2:G5:13630309:13632337:-1 gene:PRUPE_5G149900 transcript:ONI07960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDTSVDYAPFQGRAVVVDKTIYSIQGNEFIALSFRMDKGDDGSIGYSLSQLFILQDLEIVRPPLPFEMKSEYLVHLGNHDFFHVKTGHCFDTAQYLCITTFQIVVGEGERDMIKTINSTVHSVDVECIEYFDLVFCFMPDCGDYEPIEDKSV >ONI08140 pep chromosome:Prunus_persica_NCBIv2:G5:14078448:14081355:-1 gene:PRUPE_5G159100 transcript:ONI08140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSEAISVPPNLDANNNHHIDEHHQPSHSELVFLPSSSDPDPAPSDFDHAVLDELQKLDLKEKEEEEEGEDHLDEFQKLDLKEKEDAKEEEEEEDVEKKSSNGRETENENENENESERQSEQSDGGENQSEDGGDAEKKAEESRRRYQYPVRPEAEDCSYYLKTGSCKFGSNCKFNHPVKRKGSKDKVKEREEFGDKTGQTECKYYLRSGGCKYGKACRYSHSKGKPSVAPVVELNFLGLPIRLGERECPYYMRNGSCKYASNCRFNHPDPTAAGGSDPASAFGNGGPASLQGAPQSTVAPWSAPRSLNETPPYMPMMIPPSQGVPSQNTEWNGYQAPAYLPERSMPARQPYLMNNSMTETNIYKQYPQHQQAEEFPERPGQPFCSYFLRTGDCKFKSNCKYHHPKTQTAVSPQCALSDKGLPLRPDQNICTHYSRYGICKFGPVCKFDHPLNITSSTTSGPDHQLPFSDSATTNGAGTAGSRSGTDAISHPQPV >ONI08141 pep chromosome:Prunus_persica_NCBIv2:G5:14078252:14081491:-1 gene:PRUPE_5G159100 transcript:ONI08141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSEAISVPPNLDANNNHHIDEHHQPSHSELVFLPSSSDPDPAPSDFDHAVLDELQKLDLKEKEEEEEGEDHLDEFQKLDLKEKEDAKEEEEEEDVEKKSSNGRETENENENENESERQSEQSDGGENQSEDGGDAEKKAEESRRRYQYPVRPEAEDCSYYLKTGSCKFGSNCKFNHPVKRKGSKDKVKEREEFGDKTGQTECKYYLRSGGCKYGKACRYSHSKGKPSVAPVVELNFLGLPIRLGERECPYYMRNGSCKYASNCRFNHPDPTAAGGSDPASAFGNGGPASLQGAPQSTVAPWSAPRSLNETPPYMPMMIPPSQGVPSQNTEWNGYQAPAYLPERSMPARQPYLMNNSMTETNIYKQYPQHQQAEEFPERPGQPFCSYFLRTGDCKFKSNCKYHHPKTQTAVSPQCALSDKGLPLRP >ONI07785 pep chromosome:Prunus_persica_NCBIv2:G5:13070125:13070598:-1 gene:PRUPE_5G139500 transcript:ONI07785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGLRQYATSTSPKTKAYTPSAGVTAQNQQSYKRFLRGDFVPVYVVLGMITVSLGLGLHTAMHQLKHNPHVYVKKQRRETLPEVVEPEHVAEEAEKFMTNSFFRKVAHVEEYDGYNHAVKDPTRRDAFAYKPSNPRERVVTLKDVGAEPVQPKASY >ONI07865 pep chromosome:Prunus_persica_NCBIv2:G5:13282752:13288246:-1 gene:PRUPE_5G143600 transcript:ONI07865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSSTVVPPSKSSVRTPVAIPPIDPPSNRNRDKRFMPDIGQFNSIAPGDEREASELRDRLDMLQEDHEIALEKLRLAEERHAQAEARAKELEKQVANLGEGVSMEAKLLSRKEAALRSREAALIAAKQNKDGKDEEIATLRSEIENLKDGAAAAVEHLREAESEAKSLRSKTQRMILTQEEMEEVVLKRCWLARYWGLAVQYGICADIALSKHEHWSSLAPLPFEVVISAGQKAKEDSWDRGSDDPDRSKIVRDLSDLTGEGNIESMLSVEMGLRELASLKVEDAVALALAQHRRPNSVRQSGLDSKSPGDPKFMEAFDLSEEEVEDISFKVAWLTYFWRRAKEHGVEEDIGEDRLHLWISRSAQTPTCHDAVDVERGLYELRKLGIEQQLWEASRKEIDQPISVSSNHKSAADFDTSS >ONI07864 pep chromosome:Prunus_persica_NCBIv2:G5:13282662:13288246:-1 gene:PRUPE_5G143600 transcript:ONI07864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRPASPVYSRQWSGGSSSPGSSPAMSPAHPHSRLNPSVTGISTVKRNQNVAAKAAAQRLAQVMASRATGDDDDDDDDLGFRFAQPPHAPSSLSGNGFNNGAKALPAISVTRPNRSPSPALGRNFVEHTTSVRSTSAGRPSMSVRSSTVVPPSKSSVRTPVAIPPIDPPSNRNRDKRFMPDIGQFNSIAPGDEREASELRDRLDMLQEDHEIALEKLRLAEERHAQAEARAKELEKQVANLGEGVSMEAKLLSRKEAALRSREAALIAAKQNKDGKDEEIATLRSEIENLKDGAAAAVEHLREAESEAKSLRSKTQRMILTQEEMEEVVLKRCWLARYWGLAVQYGICADIALSKHEHWSSLAPLPFEVVISAGQKAKEDSWDRGSDDPDRSKIVRDLSDLTGEGNIESMLSVEMGLRELASLKVEDAVALALAQHRRPNSVRQSGLDSKSPGDPKFMEAFDLSEEEVEDISFKVAWLTYFWRRAKEHGVEEDIGEDRLHLWISRSAQTPTCHDAVDVERGLYELRKLGIEQQLWEASRKEIDQPISVSSNHKSAADFDTSS >ONI08266 pep chromosome:Prunus_persica_NCBIv2:G5:14496503:14500062:-1 gene:PRUPE_5G168300 transcript:ONI08266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFMSICTMRNLLVLSLALNLSLIMRVFYEGEKHGPRGFSAQKADRALEKAAHATQRTLVSLSSSGQDDGEKLINLDHGDPTMYESYWQQMGEKTTVVIPGWQSMSYFSDVKNPCWFLEPEFAKQVVRLHNLVGNAVTEGRQIVVGTGSSQLFLAALYALAPKDASEPISVISAAPFYSSYPSMTDYLKSGLYKWAGDARSFDKEGPYIELVTSPNNPDGFVRHSMVNRTGGILVHDLAYYWPQYTPISSAADHDLTLFTVSKATGHAGTRIGWALVKDPEVAKKMIKFIELSTIGVSRDSQLRAARILEVIINSSQNPGGPESGESFFKISYHFMAERWQLLREAVNKGRFFSLPDFQQGFCQFLNQVSQPQPAFAWLKCEDEGVEDCESLLRGHKILTRGGRSFGVGPKYVRVSMLDRDHNFNLFLKRLASIQT >ONI08269 pep chromosome:Prunus_persica_NCBIv2:G5:14496299:14500926:-1 gene:PRUPE_5G168300 transcript:ONI08269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFMSICTMRNLLVLSLALNLSLIMRVFYEGEKHGPRGFSAQKADRALEKAAHATQRTLVSLSSSGQDDGEKLINLDHGDPTMYESYWQQMGEKTTVVIPGWQSMSYFSDVKNPCWFLEPEFAKQVVRLHNLVGNAVTEGRQIVVGTGSSQLFLAALYALAPKDASEPISVISAAPFYSSYPSMTDYLKSGLYKWAGDARSFDKEGPYIELVTSPNNPDGFVRHSMVNRTGGILVHDLAYYWPQYTPISSAADHDLTLFTVSKATGHAGTRIGWALVKDPEVAKKMIKFIELSTIGVSRDSQLRAARILEVIINSSQNPGGPESGESFFKISYHFMAERWQLLREAVNKGRFFSLPDFQQGFCQFLNQLSHGSNVKTKAWKIAKAYSEVIRF >ONI08267 pep chromosome:Prunus_persica_NCBIv2:G5:14496299:14498627:-1 gene:PRUPE_5G168300 transcript:ONI08267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYESYWQQMGEKTTVVIPGWQSMSYFSDVKNPCWFLEPEFAKQVVRLHNLVGNAVTEGRQIVVGTGSSQLFLAALYALAPKDASEPISVISAAPFYSSYPSMTDYLKSGLYKWAGDARSFDKEGPYIELVTSPNNPDGFVRHSMVNRTGGILVHDLAYYWPQYTPISSAADHDLTLFTVSKATGHAGTRIGWALVKDPEVAKKMIKFIELSTIGVSRDSQLRAARILEVIINSSQNPGGPESGESFFKISYHFMAERWQLLREAVNKGRFFSLPDFQQGFCQFLNQVSQPQPAFAWLKCEDEGVEDCESLLRGHKILTRGGRSFGVGPKYVRVSMLDRDHNFNLFLKRLASIQT >ONI08268 pep chromosome:Prunus_persica_NCBIv2:G5:14496299:14497556:-1 gene:PRUPE_5G168300 transcript:ONI08268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLQSYPSMTDYLKSGLYKWAGDARSFDKEGPYIELVTSPNNPDGFVRHSMVNRTGGILVHDLAYYWPQYTPISSAADHDLTLFTVSKATGHAGTRIGWALVKDPEVAKKMIKFIELSTIGVSRDSQLRAARILEVIINSSQNPGGPESGESFFKISYHFMAERWQLLREAVNKGRFFSLPDFQQGFCQFLNQVSQPQPAFAWLKCEDEGVEDCESLLRGHKILTRGGRSFGVGPKYVRVSMLDRDHNFNLFLKRLASIQT >ONI06413 pep chromosome:Prunus_persica_NCBIv2:G5:6360250:6365287:1 gene:PRUPE_5G058900 transcript:ONI06413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASIIDPLQGDFPEVIEEYLEHGVMKCIAFNRRGTLLAAGCSDGNCVIWDFETRGIAKELRDKDCVAAITSVCWSKYGHRILVSAADKSLTLWDVVSGEKITRTILQQTPLQARLHPGSSTPSLCLACPLSSAPIIVDLNAGSTTVLPVSIPDVNPGLAPSSRNKISDGTPFSPTAACFNKYGDLVYAGNSKGEILLIDYKSVQVRAMVPVSGGSVIKNIVFSRNGQYLLTNSNDRTIRIYENLLPSKDGLKALGDLNVTHDGIDDSVEKLKAVGSKCLTLFREFQDAITKMHWKAPCFSGDGEWVIGGSASKGEHKIYIWDRAGHLVKILEGPKEALIDLVWHPVHPIVVSVSLTGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPETEKVKESDVNEDDEVDIVTVEKDSAFSDSDMSQEELCFLPAIPYPDVPEQQDKCIESSSKLVDSNNSGSPISEEGRPNGRANNHALSPLEEDAGATRLKRKRKPSEKGMEFQA >ONI06412 pep chromosome:Prunus_persica_NCBIv2:G5:6360250:6365238:1 gene:PRUPE_5G058900 transcript:ONI06412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASIIDPLQGDFPEVIEEYLEHGVMKCIAFNRRGTLLAAGCSDGNCVIWDFETRGIAKELRDKDCVAAITSVCWSKYGHRILVSAADKSLTLWDVVSGEKITRTILQQTPLQARLHPGSSTPSLCLACPLSSAPIIVDLNAGSTTVLPVSIPDVNPGLAPSSRNKISDGTPFSPTAACFNKYGDLVYAGNSKGEILLIDYKSVQVRAMVPVSGGSVIKNIVFSRNGQYLLTNSNDRTIRIYENLLPSKDGLKALGDLNVTHDGIDDSVEKLKAVGSKCLTLFREFQDAITKMHWKAPCFSGDGEWVIGGSASKGEHKIYIWDRAGHLVKILEGPKEALIDLVWHPVHPIVVSVSLTGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLIPETEKVKESDVNEDDEVDIVTVEKDSAFSDSDMSQEELCFLPAIPYPDVPEQQDKCIESSSKLVDSNNSGSPISEEGRPNGRANNHALSPLEEDAGATRLKRKRKPSEKGMEFQA >ONI07046 pep chromosome:Prunus_persica_NCBIv2:G5:10598564:10598925:1 gene:PRUPE_5G097100 transcript:ONI07046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHTVSYFDPMVASPSLNVRQPPPSDSPADMSSYAACPLKHATTITIRWQIVVVVACLRGREGQEI >ONI09186 pep chromosome:Prunus_persica_NCBIv2:G5:17285135:17288750:-1 gene:PRUPE_5G222600 transcript:ONI09186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNWELTNCCNHEQVVFLITVSVCTVVILALWRTILLRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYLGSSFWGMLLILASTNLLTARIAAGCFIAALLVVLFIAKNWTLRGLCIGFILFLGIIWVLQETTKVRILRYIILFTGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEECPCCTGCGWGVIWGFISFLFLCGAMYLGLVILS >ONI09187 pep chromosome:Prunus_persica_NCBIv2:G5:17285135:17288750:-1 gene:PRUPE_5G222600 transcript:ONI09187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNWELTNCCNHEQVVFLITVSVCTVVILALWRTILLRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYLGSSFWGMLLILASTNLLTARIAAGCFIAALLVVLFIAKNWTLRGLCIGFILFLGIIWVLQETTKVRILRCNEQLVFSL >ONI09185 pep chromosome:Prunus_persica_NCBIv2:G5:17285135:17288759:-1 gene:PRUPE_5G222600 transcript:ONI09185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNWELTNCCNHEQVVFLITVSVCTVVILALWRTILLRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYLGSSFWGMLLILASTNLLTARIAAGCFIAALLVVLFIAKNWTLRGLCIGFILFLGIIWVLQETTKVRILRYIILFTGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEECPCCTGCGWGVIWGFISFLFLCGAMYLGLVILS >ONI09188 pep chromosome:Prunus_persica_NCBIv2:G5:17286025:17288402:-1 gene:PRUPE_5G222600 transcript:ONI09188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNWELTNCCNHEQVVFLITVSVCTVVILALWRTILLRPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGVYWLILPAGYLGSSFWGMLLILASTNLLTARIAAGCFIAALLVVLFIAKNWTLRGLCIGFILFLGIIWVLQETTKVRILRCNEQLVFSL >ONI07404 pep chromosome:Prunus_persica_NCBIv2:G5:11919462:11922393:1 gene:PRUPE_5G117900 transcript:ONI07404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNFLLLVGILLLQLVVHCSGSDLKVEDGAKTDLDPKVSLTSKNSNTSGDQTSTDSNAIDIKKVKEDRDQVGASKEGVGNAVDKSNPSKEVGLKQSHDVLKSGRGSSGGFKMEGYDKKQKPSDGLESKQLPKEVDNGGNVVIVNPVRKEGPGTEECDPVNRCTAEESKLVACLRVPGNDSPHLSLLIQNKGKGPLLVTIVAPDFVALEETKIQLEEKENKKVKVSVGNGGTGSSIVLKAGKGHCDLDLKDLITHSSRKEPENSSNLTYTNFLTQRPTIVIVFFASLLILAAAWMCISFRHRRLSSNGFKYQKLDEDLPVSNEEKPELHINDGWDNTWDDNWDDEEAPHTPSMPITPSLSGKGLASRRLNKEGWKD >ONI09076 pep chromosome:Prunus_persica_NCBIv2:G5:16977861:16978536:1 gene:PRUPE_5G216500 transcript:ONI09076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRHQNQTQTQQQQPQRAPRPTNTNNPASTNASTFLRRLQGHAPNSTQLVGFLTLLVSGAILLLLTGLTVTATILGLILVTPLIIISSPIWVPVGALLFLTVAGFVSMCGFGAAAAAVSSWMYRYFKGMHPPGSDRVDYARSRIYDTASHVKDYAREYGGYLHSKVKDAAPGA >ONI06082 pep chromosome:Prunus_persica_NCBIv2:G5:4371126:4371525:-1 gene:PRUPE_5G039300 transcript:ONI06082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAKEHVNIYKAEVEEKDEGGEKDGQSAEKEARAKMELHKAKARHAEKKLSSRQPHVHGVGGGRHHHQLLSAGTRHMHGHQHLGTAGYPMAGTH >ONI07786 pep chromosome:Prunus_persica_NCBIv2:G5:13070890:13072980:-1 gene:PRUPE_5G139600 transcript:ONI07786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATNANNITTVIKRCKTPNQLLRLHALTITNPTLTKTTCRDIITSSILHAFISLIPSSSSTTSLCYAVSLFNLITSPSTFCFNNIIRAHTLLSSPLSALGFFARMRRFSLPPDFHTFPFALKACAQLGFSSFFISQALHSQALKFGFASHLFVSNTLIHVYSICHHLNHACKVFDESSRRDVVSYNAMLDAFVKAGEVSRARQLFEEMPVRDSVSWGTVLVGYAQMNQCEEAIQLFDQMLGLRVIPDDICLVSALSACAQLGDLERGKNIHDYIKRNRVRLSSYFLTALVDLYAKCGCIETALGIFEASPDKNVFTWNAMLVGLAMHGHGKLSLNYFCRMIEAGVKPDGVSVLGVLVGCSHVGFVHQAQKLFDDMETVYGVPRELKHYGCMADLLGRAGLIKEAMEMIDKMPMGGDVFVWGGLLGGCRIHGDVEIAKKAAEHVMELDPEDGGVYSIMANVYANAEKWDDVVKIRKSIKDAKRVKKISGCSLINLNGSIHKFIAGDSLHPQSEAIYWVLDGLWKHQFEAC >ONI09074 pep chromosome:Prunus_persica_NCBIv2:G5:16971312:16975006:-1 gene:PRUPE_5G216300 transcript:ONI09074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARRASRTVIDPKVRQVGFFTPNAETAPSRTLSGPPIASSPPLSSSPASNSLYPVMIPPSRHLSDTIAIRTAALPVPESASRRKEAGERVPVGSYNPSESLLGTSPVTASPSSRVGDATLEFSDESSVRWYRRSDSGKLASSFPGGGFDSATVKAPLEVPEKSGEEVSKEQKEVPSNSEPLKSKTTTKAERRALQEAQRAAKAAAKAEGKKPAAVTRGGAPGKPAKQSLQKRDPPPVASSVAASDKKGGDRPPEKERKKDVPPPRMQFDDKNRVDKAKRRAVVNQTEAKNRVELFRHLPQYEHGTQLPDLESKFFQLDPMHPAIYKVGLQYLAGEISGGNARCIAMLQAFQEAIRDYTTPPEKTLVRDLTAKISSYVSFFIECRPLSISMGNAIRFLKSRIAKLPLTLSESEAKSTLCSEIDRFINEKIILADKVIVRHAATKVRDGDVLLTYGSSCVVEMILLYAHELGKQFRVVVVDSRPKLEGQALLRRLVAKGLSCTYTHINAVSYIMHEVTRVFLGAASVLSNGTVYSRVGTACVAMVAHAFCVPVLICCEAYKFHERVQLDSICSNELGDPDAIAKVSGRTDVNYLDNWANKENLQLLNLMYDATPSDYISMIVTDYGMIPPTSVPVIVREYGREHLWA >ONI06223 pep chromosome:Prunus_persica_NCBIv2:G5:5103113:5109123:1 gene:PRUPE_5G048200 transcript:ONI06223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILRHCFVMNPKEYAKANEGDDVYLCEYEYDIHWHSFNRPAEIDNGEEDDDGAESDGDWKLSKDPDSDTEEEMDYDEESIKNIIAKPSRAHELAANSQKGRFFGLQKIGVKKIPEHVRCHKQTDLERAKAAFLLASLPKSLPCRDKEMLEITAFIKDAISDDKCVGRCLYIHGVPGTGKTMSVLAVMRNLRTEVDAGSIRPYSFVEINVLKLASPENIYRVIYEALSGHRVSWKKALHLLNEQFSEGKKIGKEDDKPCILLIDELDLLLTRNQSVLYNILDWPTKPHSKLVVIGIANTMDLPEKLLPHISSRMGIERLCFGPYNYQQLQEIVSSRLKGINAFKEQAIEYASRKVAAISGDAGRALEICRRAAEITDY >ONI06222 pep chromosome:Prunus_persica_NCBIv2:G5:5102887:5115814:1 gene:PRUPE_5G048200 transcript:ONI06222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITCRPPLADIPKTPKSAGKGKKSKRKEEGNLTEVEVAFSPETPGQSETKKRKRDEESKVVTRSRASKNVNFEKNKAVSKRRVYYKKVVYDGGEFEVGDNVYVRRREDASSDDELVEVDECRVCFKSGKSVMIECDDCLGGFHLKCLKPPLKEVPEGDWICRFCDDRKLGKEVKLPTPPEGKKRVRTLREKLLSSDLWAAHIESVCCCFGCNVCGLETVAGRQPHNLRREIYRTNDFADIEMKSILRHCFVMNPKEYAKANEGDDVYLCEYEYDIHWHSFNRPAEIDNGEEDDDGAESDGDWKLSKDPDSDTEEEMDYDEESIKNIIAKPSRAHELAANSQKGRFFGLQKIGVKKIPEHVRCHKQTDLERAKAAFLLASLPKSLPCRDKEMLEITAFIKDAISDDKCVGRCLYIHGVPGTGKTMSVLAVMRNLRTEVDAGSIRPYSFVEINVLKLASPENIYRVIYEALSGHRVSWKKALHLLNEQFSEGKKIGKEDDKPCILLIDELDLLLTRNQSVLYNILDWPTKPHSKLVVIGIANTMDLPEKLLPHISSRMGIERLCFGPYNYQQLQEIVSSRLKGINAFKEQAIEYASRKVAAISGDAGRALEICRRKSLVGMAEVEAAIQEMFQAPHIQVMETSSRLSKIFLTATVYELYKTGMGETTFEKISSAGILQLLDINGEAFPGHDMLLKIGCKLGECRIVLCELGAKHRLQKLQLNFPSDDVAFALKDSKELRWLAKYL >ONI08224 pep chromosome:Prunus_persica_NCBIv2:G5:14376198:14378987:-1 gene:PRUPE_5G165700 transcript:ONI08224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSRFYSADADEFRLDAKWLIDPKHLFVGPRIGEGAHAKVYEGKYKNQTVAIKVVHRGETPEEIAKREGRFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYCLNMRPRCLDIRVAVGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEGKHYNHKVDAYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNVRPSADENLPEELNIILTSCWQEDPNARPNFSQIIQMLLDYLYTISPPEPAIPSRIFTENTVLPPESPGTSSLMAARDGSGETPKGEMEDKPKGFFFCFNQCY >ONI08223 pep chromosome:Prunus_persica_NCBIv2:G5:14376198:14379344:-1 gene:PRUPE_5G165700 transcript:ONI08223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSRFYSADADEFRLDAKWLIDPKHLFVGPRIGEGAHAKVYEGKYKNQTVAIKVVHRGETPEEIAKREGRFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYCLNMRPRCLDIRVAVGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRQGEGKHYNHKVDAYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNVRPSADENLPEELNIILTSCWQEDPNARPNFSQIIQMLLDYLYTISPPEPAIPSRIFTENTVLPPESPGTSSLMAARDGSGETPKGEMEDKPKGFFFCFNQCY >ONI08568 pep chromosome:Prunus_persica_NCBIv2:G5:15407919:15410237:-1 gene:PRUPE_5G185900 transcript:ONI08568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRVYSGGASSSSLSVLLQNQRGPCASEPLDSLFLSGSSNSSSASPFLGSRSMVSFEDVRGGNGSNRSLFHQYEHEDNGDDDLDEYFHQPGKKRRLTVDQVQFLEKSFDMENKLEPERKILLAKDLGLQPRQVAIWFQNRRARWKNKQLEKDYEVLQANYNSLKADCESLAKENEKLKSEVLVLSEKLPHKEKESGTSELSDTNKVSQEPTQNPTADSLSECEVSKVSAVASKQEDLSSGKSDIFDSDSPHYTDGVHSSILEPGDSSYVFEPDQSDLSQDEEDNLSKSLLPPYIFPKLEDVDYSDTPVNSCNFGFPVEDHAFWSWSY >ONI08705 pep chromosome:Prunus_persica_NCBIv2:G5:15856970:15858561:1 gene:PRUPE_5G195600 transcript:ONI08705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGLFDLERHFAFYGAYHSNPVNILIHTVFVWPILFTSLVLLYFTPSLYTFPAGLSHGFLLNFGFVFTVIYALFYVCLDKKAGSLAALLCVLCWVGASLLAARLGFSLAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLVQAFLMAPFFVLLEVLQTAFGYEPYPGFHASVKEKIDANLKEWCEKKQKKIS >ONI05949 pep chromosome:Prunus_persica_NCBIv2:G5:3540131:3541165:-1 gene:PRUPE_5G031000 transcript:ONI05949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVRERRHQQALRLSPLPPDVTPVAADHFRQQRTTAPTSTPDSPANVENLSDLEKLEVLGHGNGGTVYKVCHMKTSTIYALKVLRFDHNGTGILQQAAREAEILKLVDSPYVIRCHGVFDNNGFMSSTSDHNDGGGDLCFVMEYMEGGSLLDVLRARQRLPEHVISSVAKRVLQGLHYLHAMQIVHRDIKPSNLLINGRGQVKIADFGVSHVVAGAREACDSYMGTYAYMSPERFDPERWGGDNADGFAGDVWSLGLVALQCHMGHFPLITPEQKPDWATLMCVICFGEEVEMPETASPEFRSFIWRCVEKDWRKRATVDELLDHPFVNKTCCSSTDDLVDYV >ONI08442 pep chromosome:Prunus_persica_NCBIv2:G5:15044085:15047882:-1 gene:PRUPE_5G178300 transcript:ONI08442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKRHQEDGKGRSEGTGSPEDKRRRLPTFHNAVLEMMKLNTVQHLLEPILEPLIRKVVKEEVELALRKHLTNMKQNCGKETHPSESRILKLQFLNNLSLPVFTGARIEGEECSTIQVALVDCFTGHVVKSGPESSAKVEIVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLTGDAVLNFKDGVGLVGEISFTDNSSWTRSRRFRLGARVLDKFDGTRIKEAKTESFIVRDHRGELYKKHHPPSLLDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTLLFIDSSRLRNILGTGMSAKMWEVTVEHAQTCILDKRMYLYCPPSSQQRTGVVFNIVGQVMGLLLECEFIPISKLSEAQKADGQKLVISAFEHWEEVIPFDDEASLRGASSNFTSALHTSTSPRADDPDGSKFLASQKVGGFDYPHPSVSSPDIISSIYSVGSTSGLDDYPLHNIDGLGLRYDQTLSFPGQVTNSLICDTDPMAHALFDEDNLQFFDADLHSQNIISESQADLQSAVDGFLLTRSTAVAISDKAQRRWTKLFSVLKWFSIRRSVALKRSRVREIQRY >ONI08447 pep chromosome:Prunus_persica_NCBIv2:G5:15044254:15047882:-1 gene:PRUPE_5G178300 transcript:ONI08447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKRHQEDGKGRSEGTGSPEDKRRRLPTFHNAVLEMMKLNTVQHLLEPILEPLIRKVVKEEVELALRKHLTNMKQNCGKETHPSESRILKLQFLNNLSLPVFTGARIEGEECSTIQVALVDCFTGHVVKSGPESSAKVEIVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLTGDAVLNFKDGVGLVGEISFTDNSSWTRSRRFRLGARVLDKFDGTRIKEAKTESFIVRDHRGELYKKHHPPSLLDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTLLFIDSSRLRNILGTGMSAKMWEVTVEHAQTCILDKRMYLYCPPSSQQRTGVVFNIVGQVMGLLLECEFIPISKLSEAQKADGQKLVISAFEHWEEVIPFDDEASLRGASSNFTSALHTSTSPRADDPDGSKFLASQKVGGFDYPHPSVSSPDIISSIYSVGSTSGLDDYPLHNIDGLGLRYDQTLSFPEHNFRITS >ONI08443 pep chromosome:Prunus_persica_NCBIv2:G5:15043774:15048069:-1 gene:PRUPE_5G178300 transcript:ONI08443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKRHQEDGKGRSEGTGSPEDKRRRLPTFHNAVLEMMKLNTVQHLLEPILEPLIRKVVKEEVELALRKHLTNMKQNCGKETHPSESRILKLQFLNNLSLPVFTGARIEGEECSTIQVALVDCFTGHVVKSGPESSAKVEIVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLTGDAVLNFKDGVGLVGEISFTDNSSWTRSRRFRLGARVLDKFDGTRIKEAKTESFIVRDHRGELYKKHHPPSLLDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTLLFIDSSRLRNILGTGMSAKMWEVTVEHAQTCILDKRMYLYCPPSSQQRTGVVFNIVGQVMGLLLECEFIPISKLSEAQKADGQKLVISAFEHWEEVIPFDDEASLRGASSNFTSALHTSTSPRADDPDGSKFLASQKVGGFDYPHPSVSSPDIISSIYSVGSTSGLDDYPLHNIDGLGLRYDQTLSFPGQVTNSLICDTDPMAHALFDEDNLQFFDADLHSQNIISESQADLQSAVDGFLLTRSTAVAISDKAQRRWTKLFSVLKWFSIRRSVALKRSRVREIQRY >ONI08440 pep chromosome:Prunus_persica_NCBIv2:G5:15043774:15048233:-1 gene:PRUPE_5G178300 transcript:ONI08440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKRHQEDGKGRSEGTGSPEDKRRRLPTFHNAVLEMMKLNTVQHLLEPILEPLIRKVVKEEVELALRKHLTNMKQNCGKETHPSESRILKLQFLNNLSLPVFTGARIEGEECSTIQVALVDCFTGHVVKSGPESSAKVEIVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLTGDAVLNFKDGVGLVGEISFTDNSSWTRSRRFRLGARVLDKFDGTRIKEAKTESFIVRDHRGELYKKHHPPSLLDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTLLFIDSSRLRNILGTGMSAKMWEVTVEHAQTCILDKRMYLYCPPSSQQRTGVVFNIVGQVMGLLLECEFIPISKLSEAQKADGQKLVISAFEHWEEVIPFDDEASLRGASSNFTSALHTSTSPRADDPDGSKFLASQKVGGFDYPHPSVSSPDIISSIYSVGSTSGLDDYPLHNIDGLGLRYDQTLSFPESQADLQSAVDGFLLTRSTAVAISDKAQRRWTKLFSVLKWFSIRRSVALKRSRVREIQRY >ONI08446 pep chromosome:Prunus_persica_NCBIv2:G5:15044254:15047882:-1 gene:PRUPE_5G178300 transcript:ONI08446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKRHQEDGKGRSEGTGSPEDKRRRLPTFHNAVLEMMKLNTVQHLLEPILEPLIRKVVKEEVELALRKHLTNMKQNCGKETHPSESRILKLQFLNNLSLPVFTGARIEGEECSTIQVALVDCFTGHVVKSGPESSAKVEIVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLTGDAVLNFKDGVGLVGEISFTDNSSWTRSRRFRLGARVLDKFDGTRIKEAKTESFIVRDHRGELYKKHHPPSLLDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTLLFIDSSRLRNILGTGMSAKMWEVTVEHAQTCILDKRMYLYCPPSSQQRTGVVFNIVGQVMGLLLECEFIPISKLSEAQKADGQKLVISAFEHWEEVIPFDDEASLRGASSNFTSALHTSTSPRADDPDGSKFLASQKVGGFDYPHPSVSSPDIISSIYSVGSTSGLDDYPLHNIDGLGLRYDQTLSFPEHNFRITS >ONI08444 pep chromosome:Prunus_persica_NCBIv2:G5:15044085:15046676:-1 gene:PRUPE_5G178300 transcript:ONI08444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQMVKEEVELALRKHLTNMKQNCGKETHPSESRILKLQFLNNLSLPVFTGARIEGEECSTIQVALVDCFTGHVVKSGPESSAKVEIVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLTGDAVLNFKDGVGLVGEISFTDNSSWTRSRRFRLGARVLDKFDGTRIKEAKTESFIVRDHRGELYKKHHPPSLLDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTLLFIDSSRLRNILGTGMSAKMWEVTVEHAQTCILDKRMYLYCPPSSQQRTGVVFNIVGQVMGLLLECEFIPISKLSEAQKADGQKLVISAFEHWEEVIPFDDEASLRGASSNFTSALHTSTSPRADDPDGSKFLASQKVGGFDYPHPSVSSPDIISSIYSVGSTSGLDDYPLHNIDGLGLRYDQTLSFPGQVTNSLICDTDPMAHALFDEDNLQFFDADLHSQNIISESQADLQSAVDGFLLTRSTAVAISDKAQRRWTKLFSVLKWFSIRRSVALKRSRVREIQRY >ONI08445 pep chromosome:Prunus_persica_NCBIv2:G5:15043774:15047944:-1 gene:PRUPE_5G178300 transcript:ONI08445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLWGVAASINCYLLNLMYFQVKEEVELALRKHLTNMKQNCGKETHPSESRILKLQFLNNLSLPVFTGARIEGEECSTIQVALVDCFTGHVVKSGPESSAKVEIVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLTGDAVLNFKDGVGLVGEISFTDNSSWTRSRRFRLGARVLDKFDGTRIKEAKTESFIVRDHRGELYKKHHPPSLLDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTLLFIDSSRLRNILGTGMSAKMWEVTVEHAQTCILDKRMYLYCPPSSQQRTGVVFNIVGQVMGLLLECEFIPISKLSEAQKADGQKLVISAFEHWEEVIPFDDEASLRGASSNFTSALHTSTSPRADDPDGSKFLASQKVGGFDYPHPSVSSPDIISSIYSVGSTSGLDDYPLHNIDGLGLRYDQTLSFPESQADLQSAVDGFLLTRSTAVAISDKAQRRWTKLFSVLKWFSIRRSVALKRSRVREIQRY >ONI08441 pep chromosome:Prunus_persica_NCBIv2:G5:15043774:15048232:-1 gene:PRUPE_5G178300 transcript:ONI08441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKRHQEDGKGRSEGTGSPEDKRRRLPTFHNAVLEMMKLNTVQHLLEPILEPLIRKVVKEEVELALRKHLTNMKQNCGKETHPSESRILKLQFLNNLSLPVFTGARIEGEECSTIQVALVDCFTGHVVKSGPESSAKVEIVVLEGDFDGDEGDNWTLEEFKNNIVREREGKKPLLTGDAVLNFKDGVGLVGEISFTDNSSWTRSRRFRLGARVLDKFDGTRIKEAKTESFIVRDHRGELYKKHHPPSLLDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTLLFIDSSRLRNILGTGMSAKMWEVTVEHAQTCILDKRMYLYCPPSSQQRTGVVFNIVGQVMGLLLECEFIPISKLSEAQKADGQKLVISAFEHWEEVIPFDDEASLRGASSNFTSALHTSTSPRADDPDGSKFLASQKVGGFDYPHPSVSSPDIISSIYSVGSTSGLDDYPLHNIDGLGLRYDQTLSFPGQVTNSLICDTDPMAHALFDEDNLQFFDADLHSQNIISESQADLQSAVDGFLLTRSTAVAISDKAQRRWTKLFSVLKWFSIRRSVALKRSRVREIQRY >ONI06527 pep chromosome:Prunus_persica_NCBIv2:G5:8101320:8109149:-1 gene:PRUPE_5G066400 transcript:ONI06527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSARDYDSIAMDGPFISVGILKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRMPIVTDSEPKVEDKVEYTEPERTSFLTADSSAMKYPDESDWDDLGGDLYEIPEVLPVQTSYQAPDVQPTNKADEDSKIKALIDTPALDWQQQGPDGFGPGRGFGRGMGGRMMGGRGFGRGGGGLERKTPPQGYICHRCKVPGHFIQHCPTNGDPTFDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLRPNEAAFEREIEGLPSTRSVGDLPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCIRNYIMSKSVCVCGATNTLADDLLPNKTLRDTINRILESGGNSSADNAGSTFQVQDMESARCPQPKIPSPTQSAASRGEELQLSLNKETPKIQETADEVKPVVAPQQILEKVRNTKVADVSEATHESMSMKEPASQGSAPLVEEEVQQRLASGEAGKKRKKKKVRMPANDMQWRTSQDLAADNYMMPMGPGAYNPYWNGMQPGMGMEGYMAPYGGPMPYMGYGLGPLDMPFGGFGPQDSFGAQGYMMPMVPPQSREFSKDREYGREVSSGGGDGASMKSKSKSIARSPSPDYSNSHQHRHRRERSSPERRPSRDPRDLEPQPPRPPKRKSDHHDRDRERAQDRDRGRDYDDYHDHHEDDQQQRQKRHRSDSSSAKPPPSTETTTASAKPASSSSTASAAAAAAAAAAAERKHKASVFSRISFPEGEVSKKRARAASPSATEDNKPSSAHHHKESSSSLANGSVYDEYKTTSSSTAKAGSGGNGSRKAMVSAAASMEYESSDDDRHFKRKPSRYEASPPQKAEQEEPRHSRGSTRDHRDRRHR >ONI06528 pep chromosome:Prunus_persica_NCBIv2:G5:8101640:8108305:-1 gene:PRUPE_5G066400 transcript:ONI06528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSARDYDSIAMDGPFISVGILKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRMPIVTDSEPKVEDKVEYTEPERTSFLTADSSAMKYPDESDWDDLGGDLYEIPEVLPVQTSYQAPDVQPTNKADEDSKIKALIDTPALDWQQQGPDGFGPGRGFGRGMGGRMMGGRGFGRGGGGLERKTPPQGYICHRCKVPGHFIQHCPTNGDPTFDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLRPNEAAFEREIEGLPSTRSVGDLPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCIRNYIMSKSVCVCGATNTLADDLLPNKTLRDTINRILESGGNSSADNAGSTFQVQDMESARCPQPKIPSPTQSAASRGEELQLSLNKETPKIQETADEVKPVVAPQQILEKVRNTKVADVSEATHESMSMKEPASQGSAPLVEEEVQQRLASGEAGKKRKKKKVRMPANDMQWRTSQDLAADNYMMPMGPGAYNPYWNGMQPGMGMEGYMAPYGGPMPYMGYGLGPLDMPFGGFGPQDSFGAQGYMMPMVPPQRDLADFGMGMNGMNGMNGMNGMNGMNGMNGMNGMNGMNGMNGMNGMNGMNVGPPVMSREEFEARKADLRRKRENERRGQTREFSKDREYGREVSSGGGDGASMKSKSKSIARSPSPDYSNSHQHRHRRERSSPERRPSRDPRDLEPQPPRPPKRKSDHHDRDRERAQDRDRGRDYDDYHDHHEDDQQQRQKRHRSDSSSAKPPPSTETTTASAKPASSSSTASAAAAAAAAAAAERKHKASVFSRISFPEGEVSKKRARAASPSATEDNKPSSAHHHKESSSSLANGSVYDEYKTTSSSTAKAGSGGNGSRKAMVSAAASMEYESSDDDRHFKRKPSRYEASPPQKAEQEEPRHSRGSTRDHRDRRHR >ONI06529 pep chromosome:Prunus_persica_NCBIv2:G5:8101320:8107977:-1 gene:PRUPE_5G066400 transcript:ONI06529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPKNTSVLIRRVPGRPRMPIVTDSEPKVEDKVEYTEPERTSFLTADSSAMKYPDESDWDDLGGDLYEIPEVLPVQTSYQAPDVQPTNKADEDSKIKALIDTPALDWQQQGPDGFGPGRGFGRGMGGRMMGGRGFGRGGGGLERKTPPQGYICHRCKVPGHFIQHCPTNGDPTFDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLRPNEAAFEREIEGLPSTRSVGDLPPELHCPLCKEVMKDAVLTSKCCFKSFCDKCIRNYIMSKSVCVCGATNTLADDLLPNKTLRDTINRILESGGNSSADNAGSTFQVQDMESARCPQPKIPSPTQSAASRGEELQLSLNKETPKIQETADEVKPVVAPQQILEKVRNTKVADVSEATHESMSMKEPASQGSAPLVEEEVQQRLASGEAGKKRKKKKVRMPANDMQWRTSQDLAADNYMMPMGPGAYNPYWNGMQPGMGMEGYMAPYGGPMPYMGYGLGPLDMPFGGFGPQDSFGAQGYMMPMVPPQRDLADFGMGMNGMNGMNGMNGMNGMNGMNGMNGMNGMNGMNGMNGMNGMNVGPPVMSREEFEARKADLRRKRENERRGQTREFSKDREYGREVSSGGGDGASMKSKSKSIARSPSPDYSNSHQHRHRRERSSPERRPSRDPRDLEPQPPRPPKRKSDHHDRDRERAQDRDRGRDYDDYHDHHEDDQQQRQKRHRSDSSSAKPPPSTETTTASAKPASSSSTASAAAAAAAAAAAERKHKASVFSRISFPEGEVSKKRARAASPSATEDNKPSSAHHHKESSSSLANGSVYDEYKTTSSSTAKAGSGGNGSRKAMVSAAASMEYESSDDDRHFKRKPSRYEASPPQKAEQEEPRHSRGSTRDHRDRRHR >ONI09382 pep chromosome:Prunus_persica_NCBIv2:G5:17831916:17835945:-1 gene:PRUPE_5G235300 transcript:ONI09382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGLSSKNGNLTVKEEANEEAKNSALPRSSGMQWSFSNKVSALPQFLSFKAPQEGGSRKTVHDTSAFMTISTADAFHFSQKPFSGVIQFDAHSVHHSQDQRIPIGFSSTTKLQPLGGVPVVAPVSLLPSKSSLVGTADLRNGSKSSGAPAQLTIFYAGSVNVYDDISPEKAQAIMLLAGNGPSPTHCKAPTIAQVPAPIPRPSPGDGVFRNQAHITSTISGLPSHLSVTSHASSHSGGAFSSTNELAIVKPVGTSASPIDHSEASKVVSSVGSAMTNLIPAVPVPQARKASLARFFEKRKERMMTTLPYNVSKKSPECSTPGSDGLSFSFNSSGSCPPQAIN >ONI09384 pep chromosome:Prunus_persica_NCBIv2:G5:17831935:17835945:-1 gene:PRUPE_5G235300 transcript:ONI09384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGLSSKNGNLTVKEEANEEAKNSALPRSSGMQWSFSNKVSALPQFLSFKAPQEGGSRKTVHDTSAFMTISTADAFHFSQKPFSGVIQKNFTLDKQAGNHYAMTVSPVQQFDAHSVHHSQDQRIPIGFSSTTKLQPLGGVPVVAPVSLLPSKSSLVGTADLRNGSKSSGAPAQLTIFYAGSVNVYDDISPEKAQAIMLLAGNGPSPTHCKAPTIAQVPAPIPRPSPGDGVFRNQAHITSTISGLPSHLSVTSHASSHSGGAFSSTNELAIVKPVGTSASPIDHSEASKVVSSVGSAMTNLIPAVPVPQARKASLARFFEKRKERMMTTLPYNVSKKSPECSTPGSDGLSFSFNSSGSCPPQAIN >ONI09387 pep chromosome:Prunus_persica_NCBIv2:G5:17831935:17833611:-1 gene:PRUPE_5G235300 transcript:ONI09387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSPVQQFDAHSVHHSQDQRIPIGFSSTTKLQPLGGVPVVAPVSLLPSKSSLVGTADLRNGSKSSGAPAQLTIFYAGSVNVYDDISPEKAQAIMLLAGNGPSPTHCKAPTIAQVPAPIPRPSPGDGVFRNQAHITSTISGLPSHLSVTSHASSHSGGAFSSTNELAIVKPVGTSASPIDHSEASKVVSSVGSAMTNLIPAVPVPQARKASLARFFEKRKERMMTTLPYNVSKKSPECSTPGSDGLSFSFNSSGSCPPQAIN >ONI09386 pep chromosome:Prunus_persica_NCBIv2:G5:17832236:17833987:-1 gene:PRUPE_5G235300 transcript:ONI09386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWSFSNKVSALPQFLSFKAPQEGGSRKTVHDTSAFMTISTADAFHFSQKPFSGVIQKNFTLDKQAGNHYAMTVSPVQQFDAHSVHHSQDQRIPIGFSSTTKLQPLGGVPVVAPVSLLPSKSSLVGTADLRNGSKSSGAPAQLTIFYAGSVNVYDDISPEKAQAIMLLAGNGPSPTHCKAPTIAQVPAPIPRPSPGDGVFRNQAHITSTISGLPSHLSVTSHASSHSGGAFSSTNELAIVKPVGTSASPIDHSEASKVVSSVGSAMTNLIPAVPVPQARKASLARFFEKRKERMMTTLPYNVSKKSPECSTPGSDGLSFSFNSSGSCPPQAIN >ONI09385 pep chromosome:Prunus_persica_NCBIv2:G5:17831935:17834163:-1 gene:PRUPE_5G235300 transcript:ONI09385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWSFSNKVSALPQFLSFKAPQEGGSRKTVHDTSAFMTISTADAFHFSQKPFSGVIQFDAHSVHHSQDQRIPIGFSSTTKLQPLGGVPVVAPVSLLPSKSSLVGTADLRNGSKSSGAPAQLTIFYAGSVNVYDDISPEKAQAIMLLAGNGPSPTHCKAPTIAQVPAPIPRPSPGDGVFRNQAHITSTISGLPSHLSVTSHASSHSGGAFSSTNELAIVKPVGTSASPIDHSEASKVVSSVGSAMTNLIPAVPVPQARKASLARFFEKRKERMMTTLPYNVSKKSPECSTPGSDGLSFSFNSSGSCPPQAIN >ONI09383 pep chromosome:Prunus_persica_NCBIv2:G5:17832236:17835446:-1 gene:PRUPE_5G235300 transcript:ONI09383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGLSSKNGNLTVKEEANEEAKNSALPRSSGMQWSFSNKVSALPQFLSFKAPQEGGSRKTVHDTSAFMTISTADAFHFSQKPFSGVIQQFDAHSVHHSQDQRIPIGFSSTTKLQPLGGVPVVAPVSLLPSKSSLVGTADLRNGSKSSGAPAQLTIFYAGSVNVYDDISPEKAQAIMLLAGNGPSPTHCKAPTIAQVPAPIPRPSPGDGVFRNQAHITSTISGLPSHLSVTSHASSHSGGAFSSTNELAIVKPVGTSASPIDHSEASKVVSSVGSAMTNLIPAVPVPQARKASLARFFEKRKERMMTTLPYNVSKKSPECSTPGSDGLSFSFNSSGSCPPQAIN >ONI05324 pep chromosome:Prunus_persica_NCBIv2:G5:297446:299769:-1 gene:PRUPE_5G001700 transcript:ONI05324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSIPIGRFGNELDAMSPPWLKPMLTANYFRQCEIHGRANKSECNMFCLDCMEDAMCPYCLIHHKDHRVVQIRRSSYHDVVRVNEIQKFLDISHVQTYVINSAKVVFLNERPQPRPGKGVTNTCEICCRSLPDSFRFCSLGCKLKGIKRGDGELTFTVLRAKHYRDGFYGGSESDESTTPKKIARTVHMFSRLMDGNAMKYEGRTHGEGTSSSSGDEEGTTNMSPSTPPIYNHNNARRRKGL >ONI05325 pep chromosome:Prunus_persica_NCBIv2:G5:297514:299769:-1 gene:PRUPE_5G001700 transcript:ONI05325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSIPIGRFGNELDAMSPPWLKPMLTANYFRQCEIHGRANKSECNMFCLDCMEDAMCPYCLIHHKDHRVVQIRRSSYHDVVRVNEIQKFLDISHVQTYVINSAKVVFLNERPQPRPGKGVTNTCEICCRSLPDSFRFCSLGCKLKGIKRGDGELTFTVLRAKHYRDGFYGGSESDESTTPKKIARTVHMFSRLMDGNAMKYEGRTHGEGTSSSSGDEEGTTNMSPSTPPIYNHNNARRRKGIPHRAPF >ONI05889 pep chromosome:Prunus_persica_NCBIv2:G5:3271832:3275737:-1 gene:PRUPE_5G028000 transcript:ONI05889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRTIRITKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05891 pep chromosome:Prunus_persica_NCBIv2:G5:3270527:3276573:-1 gene:PRUPE_5G028000 transcript:ONI05891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05888 pep chromosome:Prunus_persica_NCBIv2:G5:3271832:3275737:-1 gene:PRUPE_5G028000 transcript:ONI05888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRTIRITKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05882 pep chromosome:Prunus_persica_NCBIv2:G5:3270527:3276907:-1 gene:PRUPE_5G028000 transcript:ONI05882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLGLHQRQHAKPAGGGGGGVKGMIGRLLIAVVVVLICTISFIVTSTSNGGARSGSRSKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05895 pep chromosome:Prunus_persica_NCBIv2:G5:3269840:3276907:-1 gene:PRUPE_5G028000 transcript:ONI05895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLGLHQRQHAKPAGGGGGGVKGMIGRLLIAVVVVLICTISFIVTSTSNGGARSGSRSKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMT >ONI05886 pep chromosome:Prunus_persica_NCBIv2:G5:3271832:3275737:-1 gene:PRUPE_5G028000 transcript:ONI05886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRTIRITKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05884 pep chromosome:Prunus_persica_NCBIv2:G5:3271832:3275737:-1 gene:PRUPE_5G028000 transcript:ONI05884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRTIRITKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05897 pep chromosome:Prunus_persica_NCBIv2:G5:3272067:3275737:-1 gene:PRUPE_5G028000 transcript:ONI05897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRTIRITKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMT >ONI05881 pep chromosome:Prunus_persica_NCBIv2:G5:3270527:3276907:-1 gene:PRUPE_5G028000 transcript:ONI05881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLGLHQRQHAKPAGGGGGGVKGMIGRLLIAVVVVLICTISFIVTSTSNGGARSGSRSKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05894 pep chromosome:Prunus_persica_NCBIv2:G5:3270527:3276907:-1 gene:PRUPE_5G028000 transcript:ONI05894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLGLHQRQHAKPAGGGGGGVKGMIGRLLIAVVVVLICTISFIVTSTSNGGARSGSRSKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMT >ONI05885 pep chromosome:Prunus_persica_NCBIv2:G5:3271832:3275737:-1 gene:PRUPE_5G028000 transcript:ONI05885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRTIRITKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05890 pep chromosome:Prunus_persica_NCBIv2:G5:3270527:3276159:-1 gene:PRUPE_5G028000 transcript:ONI05890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRTIRITKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05887 pep chromosome:Prunus_persica_NCBIv2:G5:3271832:3275737:-1 gene:PRUPE_5G028000 transcript:ONI05887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRTIRITKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05892 pep chromosome:Prunus_persica_NCBIv2:G5:3270527:3274818:-1 gene:PRUPE_5G028000 transcript:ONI05892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05896 pep chromosome:Prunus_persica_NCBIv2:G5:3272067:3275737:-1 gene:PRUPE_5G028000 transcript:ONI05896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRTIRITKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMT >ONI05883 pep chromosome:Prunus_persica_NCBIv2:G5:3270527:3276907:-1 gene:PRUPE_5G028000 transcript:ONI05883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRLLIAVVVVLICTISFIVTSTSNGGARSGSRSKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGRTAGFEEAVRQAMFKTNLGGPHKRIPPESFYTNSWPECFCQTSPQNPAHKCPPDNVLEILDSS >ONI05893 pep chromosome:Prunus_persica_NCBIv2:G5:3270527:3276907:-1 gene:PRUPE_5G028000 transcript:ONI05893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLGLHQRQHAKPAGGGGGGVKGMIGRLLIAVVVVLICTISFIVTSTSNGGARSGSRSKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMT >ONI05898 pep chromosome:Prunus_persica_NCBIv2:G5:3272067:3275737:-1 gene:PRUPE_5G028000 transcript:ONI05898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRTIRITKMSLDELWRSADSVGWRPSSAPRSDWPPPPSETNGYLRVRCNGGLNQQRTAICNAVLAARIMNATLVLPELDANSFWHDDSGFRGIYDVEHFMKSLRYDVKIVEKIPEIRKNGKTKKIKAVQLRPPRDAPISWYTTDALVKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKTNIMKLSQSIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNSKEQEILKKYRKENFAPKKLVYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGDLFGGERFMKPFRALFPHLENHSSVDPSDELAENTQGLSGSAVDYMT >ONI06932 pep chromosome:Prunus_persica_NCBIv2:G5:10036495:10039968:-1 gene:PRUPE_5G089700 transcript:ONI06932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQDQARFLFGISLSDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYIALIYLQGFTTKQIVNPWKTYVKLSAVLMGSQGLTKGSLAWLNYPAQIMFKSTKVLPVMLMGAFVPGLRRRYPIHEYISAMFLVIGLILFTLADAQTSPNFSIAGVIMISGSLVMDAFLGNLQEAIFTVNPDTSQTEMLFCSTVVGLPFLLPPMILTGELFKAWNSCYEHPYVYGVLVFEAMATYVGQVSVLSLIALFGAATTAMITTARKAVTLLLSYMIFTKPLTDQHGTGLLLIAMGIMMKMLPEHKGHGRSAVAKPGRSLKAGKSPVPNEKRSEVEEERSLV >ONI06933 pep chromosome:Prunus_persica_NCBIv2:G5:10036925:10039449:-1 gene:PRUPE_5G089700 transcript:ONI06933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQDQARFLFGISLSDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYIALIYLQGFTTKQIVNPWKTYVKLSAVLMGSQGLTKGSLAWLNYPAQIMFKSTKVLPVMLMGAFVPGLRRRYPIHEYISAMFLVIGLILFTLADAQTSPNFSIAGVIMISGSLVMDAFLGNLQEAIFTVNPDTSQTEMLFCSTVVGLPFLLPPMILTGELFKAWNSCYEHPYVYGVLVFEAMATYVGQVSVLSLIALFGAATTAMITTARKAVTLLLSYMIFTKPLTDQHGTGLLLIAMGIMMKMLPEHKGHGRSAVAKPGRSLKAGKSPVPNEKRSEVEEERSLV >ONI08511 pep chromosome:Prunus_persica_NCBIv2:G5:15242882:15245703:1 gene:PRUPE_5G182700 transcript:ONI08511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLVVALMAQSCTLATPPSAVAAISLKLSRAAILRPPPSYAPPSLSTRTITMNINSQEQQQDNLDGIFKQKRILRSKVRKALKAMDPTLRSHEDNAIQSIVLEAPWFRSCQRLCAYICCSALREVDTSNVLSAILQSPLKEGDARVRKKLYVPRVEDKNCHMRMLNISCIDDLVANSMNILEPAPIDADGNEREDVLQASDPVDLFLLPGLAFDRSGRRLGRGGGYYDTFLKNYQELAKTRNWKQPLLVALSYSVQILDEGVPVTPHDILVDALVSPAGVIPISPAALDRMRP >ONI07054 pep chromosome:Prunus_persica_NCBIv2:G5:10628281:10630970:-1 gene:PRUPE_5G097600 transcript:ONI07054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESQMREVKYAADISSIREAQARIKPFIHQTPVLSSESLNALAGRQLFFKCECFQKGGAFKFRGACNAVFSLDDDQAVKGVVTHSSGNHAAALSLAAKLRGIPAYIVIPKNAPKCKVENVIRYGGQVIWSEPTMQSRESTAVKVLQETGAVLLHPYNDRRIISGQGTISLELLEQQVPQLDTIIVPISGGGLISGVALAAKSINPAIRVLAAEPEGANDAAQSKAAGRIITLPETNTVADGLRAFLGDLTWPVVRDLVDGIITVEDKEIIHAMKLCYEILKVAVEPSGAIGLAAVLSDSFKKNSAWKDCSNIGIILSGGNVDLGILWDAYRK >ONI07055 pep chromosome:Prunus_persica_NCBIv2:G5:10628281:10631124:-1 gene:PRUPE_5G097600 transcript:ONI07055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESQMREVKYAADISSIREAQARIKPFIHQTPVLSSESLNALAGRQLFFKCECFQKGGAFKFRGACNAVFSLDDDQAVKGVVTHSSGNHAAALSLAAKLRGIPAYIVIPKNAPKCKVENVIRYGGQVIWSEPTMQSRESTAVKVLQETGAVLLHPYNDRRIISGQGTISLELLEQQVPQLDTIIVPISGGGLISGVALAAKSINPAIRVLAAEPEGANDAAQSKAAGRIITLPETNTVADGLRAFLGDLTWPVVRDLVDGIITVEDKEIIHAMKLCYEILKVAVEPSGAIGLAAVLSDSFKKNSAWKDCSNIGIILSGGNVDLGILWDAYRK >ONI07053 pep chromosome:Prunus_persica_NCBIv2:G5:10628281:10630997:-1 gene:PRUPE_5G097600 transcript:ONI07053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESQMREVKYAADISSIREAQARIKPFIHQTPVLSSESLNALAGRQLFFKCECFQKGGAFKFRGACNAVFSLDDDQAVKGVVTHSSGNHAAALSLAAKLRGIPAYIVIPKNAPKCKVENVIRYGGQVIWSEPTMQSRESTAVKVLQETGAVLLHPYNDRRIISGQGTISLELLEQQVPQLDTIIVPISGGGLISGVALAAKSINPAIRVLAAEPEGANDAAQSKAAGRIITLPETNTVADGLRAFLGDLTWPVVRDLVDGIITVEDKEIIHAMKLCYEILKVAVEPSGAIGLAAVLSDSFKKNSAWKDCSNIGIILSGGNVDLGILWDAYRK >ONI07056 pep chromosome:Prunus_persica_NCBIv2:G5:10628281:10630569:-1 gene:PRUPE_5G097600 transcript:ONI07056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESQMREVKYAADISSIREAQARIKPFIHQTPVLSSESLNALAGRQLFFKCECFQKGGAFKFRGACNAVFSLDDDQAVKGVVTHSSGNHAAALSLAAKLRGIPAYIVIPKNAPKCKVENVIRYGGQVIWSEPTMQSRESTAVKVLQETGAVLLHPYNDRRIISGQGTISLELLEQQVPQLDTIIVPISGGGLISGVALAAKSINPAIRVLAAEPEGANDAAQSKAAGRIITLPETNTVADGLRAFLGDLTW >ONI07052 pep chromosome:Prunus_persica_NCBIv2:G5:10628171:10631018:-1 gene:PRUPE_5G097600 transcript:ONI07052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESQMREVKYAADISSIREAQARIKPFIHQTPVLSSESLNALAGRQLFFKCECFQKGGAFKFRGACNAVFSLDDDQAVKGVVTHSSGNHAAALSLAAKLRGIPAYIVIPKNAPKCKVENVIRYGGQVIWSEPTMQSRESTAVKVLQETGAVLLHPYNDRRIISGQGTISLELLEQQVPQLDTIIVPISGGGLISGVALAAKSINPAIRVLAAEPEGANDAAQSKAAGRIITLPETNTVADGLRAFLGDLTWPVVRDLVDGIITVEDKEIIHAMKLCYEILKVAVEPSGAIGLAAVLSDSFKKNSAWKDCSNIGIILSGGNVDLGILWDAYRK >ONI07057 pep chromosome:Prunus_persica_NCBIv2:G5:10628845:10630457:-1 gene:PRUPE_5G097600 transcript:ONI07057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESQMREVKYAADISSIREAQARIKPFIHQTPVLSSESLNALAGRQLFFKCECFQKGGAFKFRGACNAVFSLDDDQAVKGVVTHSSGNHAAALSLAAKLRGIPAYIVIPKNAPKCKVENVIRYGGQVIWSEPTMQSRESTAVKVLQETGAVLLHPYNDRRIISGQGTISLELLEQQVPQLDTIIVPISGGGLISGVALAAKSINPAIRVLAAEPEGANDAAQSKAAGRIITLPETNTVADGLRAFLGDLTW >ONI07058 pep chromosome:Prunus_persica_NCBIv2:G5:10628845:10630457:-1 gene:PRUPE_5G097600 transcript:ONI07058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESQMREVKYAADISSIREAQARIKPFIHQTPVLSSESLNALAGRQLFFKCECFQKGGAFKFRGACNAVFSLDDDQAVKGVVTHSSGNHAAALSLAAKLRGIPAYIVIPKNAPKCKVENVIRYGGQVIWSEPTMQSRESTAVKVLQETGAVLLHPYNDRRIISGQGTISLELLEQQVPQLDTIIVPISGGGLISGVALAAKSINPAIRVLAAEPEGANDAAQSKAAGRIITLPETNTVADGLRAFLGDLTW >ONI07325 pep chromosome:Prunus_persica_NCBIv2:G5:11679561:11683648:-1 gene:PRUPE_5G113200 transcript:ONI07325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSVDPSFMSRRFDFNEALTKESLIRCYRASPELFARKGNDESFGLKTPKKEKFVKRDSKAQPPVEAPYVPPKSQRTTKPISDKTIEIFEGMTVVELAKRTGKSISTLQGILTNVGEKVGTEFDPLSMEVVELIAMEVGINIKRLHSNEGSEILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVGMTSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMAHAKAANVPIVVAINKCDKPAANPERIRIQLASEGLMLEDMGGDVQVVEVSAMKKTGLDNLEEALLLQAEIMDLKARIDGPAHAYVVEARLDKGKGPLVTAIVKGGTLLCGQYVVVGSEWGRIRAIRDMAEKLVEKARPAMPIEIEGLKGLPRAGDDIIVVESEERARMLSAGRKKKFEKDRLIKIVEERASEEQDKKLSVGSKNKFEKDRHMKIIDERAEAQQPETSEEEPKRVEVPIIVKADVQGTVQAVTDALLNLNSPQVFVNVVHVGVGPISQSDLDMAEACGACIIGFNIKTPPSSVSLAAARASVKIMLHRVIYHLLEDIGNFIVDKAPGTPETKVAGEAEVLSIFELKGRSKSKGPDVKIAGCRVIDGFVTKSGILRLLRSGEVVFEGSCESLKREKQDVDTVKKGNECGLVIHNYDDFRVGDMVQCLEQVIRKPKFVSSESGAARIEC >ONI07322 pep chromosome:Prunus_persica_NCBIv2:G5:11679187:11684794:-1 gene:PRUPE_5G113200 transcript:ONI07322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVRCTPDPSFMSRRFDFNEALTKESLIRCYRASPELFARKGNDESFGLKTPKKEKFVKRDSKAQPPVEAPYVPPKSQRTTKPISDKTIEIFEGMTVVELAKRTGKSISTLQGILTNVGEKVGTEFDPLSMEVVELIAMEVGINIKRLHSNEGSEILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVGMTSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMAHAKAANVPIVVAINKCDKPAANPERIRIQLASEGLMLEDMGGDVQVVEVSAMKKTGLDNLEEALLLQAEIMDLKARIDGPAHAYVVEARLDKGKGPLVTAIVKGGTLLCGQYVVVGSEWGRIRAIRDMAEKLVEKARPAMPIEIEGLKGLPRAGDDIIVVESEERARMLSAGRKKKFEKDRLIKIVEERASEEQDKKLSVGSKNKFEKDRHMKIIDERAEAQQPETSEEEPKRVEVPIIVKADVQGTVQAVTDALLNLNSPQIMLHRVIYHLLEDIGNFIVDKAPGTPETKVAGEAEVLSIFELKGRSKSKGPDVKIAGCRVIDGFVTKSGILRLLRSGEVVFEGSCESLKREKQDVDTVKKGNECGLVIHNYDDFRVGDMVQCLEQVIRKPKFVSSESGAARIEC >ONI07326 pep chromosome:Prunus_persica_NCBIv2:G5:11679561:11683648:-1 gene:PRUPE_5G113200 transcript:ONI07326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSVDPSFMSRRFDFNEALTKESLIRCYRASPELFARKGNDESFGLKTPKKEKFVKRDSKAQPPVEAPYVPPKSQRTTKPISDKTIEIFEGMTVVELAKRTGKSISTLQGILTNVGEKVGTEFDPLSMEVVELIAMEVGINIKRLHSNEGSEILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVGMTSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMAHAKAANVPIVVAINKCDKPAANPERIRIQLASEGLMLEDMGGDVQVVEVSAMKKTGLDNLEEALLLQAEIMDLKARIDGPAHAYVVEARLDKGKGPLVTAIVKGGTLLCGQYVVVGSEWGRIRAIRDMAEKLVEKARPAMPIEIEGLKGLPRAGDDIIVVESEERARMLSAGRKKKFEKDRLIKIVEERASEEQDKKLSVGSKNKFEKDRHMKIIDERAEAQQPETSEEEPKRVEVPIIVKADVQGTVQAVTDALLNLNSPQVFVNVVHVGVGPISQSDLDMAEACGACIIGFNIKTPPSSVSLAAARASVKIMLHRVIYHLLEDIGNFIVDKAPGTPETKVAGEAEVLSIFELKGRSKSKGPDVKIAGCRVIDGFVTKSGILRLLRSGEVVFEGSCESLKREKQDVDTVKKGNECGLVIHNYDDFRVGDMVQCLEQVIRKPKFVSSESGAARIEC >ONI07324 pep chromosome:Prunus_persica_NCBIv2:G5:11679561:11683648:-1 gene:PRUPE_5G113200 transcript:ONI07324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSVDPSFMSRRFDFNEALTKESLIRCYRASPELFARKGNDESFGLKTPKKEKFVKRDSKAQPPVEAPYVPPKSQRTTKPISDKTIEIFEGMTVVELAKRTGKSISTLQGILTNVGEKVGTEFDPLSMEVVELIAMEVGINIKRLHSNEGSEILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVGMTSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMAHAKAANVPIVVAINKCDKPAANPERIRIQLASEGLMLEDMGGDVQVVEVSAMKKTGLDNLEEALLLQAEIMDLKARIDGPAHAYVVEARLDKGKGPLVTAIVKGGTLLCGQYVVVGSEWGRIRAIRDMAEKLVEKARPAMPIEIEGLKGLPRAGDDIIVVESEERARMLSAGRKKKFEKDRLIKIVEERASEEQDKKLSVGSKNKFEKDRHMKIIDERAEAQQPETSEEEPKRVEVPIIVKADVQGTVQAVTDALLNLNSPQIMLHRVIYHLLEDIGNFIVDKAPGTPETKVAGEAEVLSIFELKGRSKSKGPDVKIAGCRVIDGFVTKSGILRLLRSGEVVFEGSCESLKREKQDVDTVKKGNECGLVIHNYDDFRVGDMVQCLEQVIRKPKFVSSESGAARIEC >ONI07323 pep chromosome:Prunus_persica_NCBIv2:G5:11679188:11684794:-1 gene:PRUPE_5G113200 transcript:ONI07323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVRCTPDPSFMSRRFDFNEALTKESLIRCYRASPELFARKGNDESFGLKTPKKEKFVKRDSKAQPPVEAPYVPPKSQRTTKPISDKTIEIFEGMTVVELAKRTGKSISTLQGILTNVGEKVGTEFDPLSMEVVELIAMEVGINIKRLHSNEGSEILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVGMTSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMAHAKAANVPIVVAINKCDKPAANPERIRIQLASEGLMLEDMGGDVQVVEVSAMKKTGLDNLEEALLLQAEIMDLKARIDGPAHAYVVEARLDKGKGPLVTAIVKGGTLLCGQYVVVGSEWGRIRAIRDMAEKLVEKARPAMPIEIEGLKGLPRAGDDIIVVESEERARMLSAGRKKKFEKDRLIKIVEERASEEQDKKLSVGSKNKFEKDRHMKIIDERAEAQQPETSEEEPKRVEVPIIVKADVQGTVQAVTDALLNLNSPQVFVNVVHVGVGPISQSDLDMAEACGACIIGFNIKTPPSSVSLAAARASVKIMLHRVIYHLLEDIGNFIVDKAPGTPETKVAGEAEVLSIFELKGRSKSKGPDVKIAGCRVIDGFVTKSGILRLLRSGEVVFEGSCESLKREKQDVDTVKKGNECGLVIHNYDDFRVGDMVQCLEQVIRKPKFVSSESGAARIEC >ONI07320 pep chromosome:Prunus_persica_NCBIv2:G5:11679188:11684794:-1 gene:PRUPE_5G113200 transcript:ONI07320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRELGKKGIRASLTTDLTSRLRRHGFTSVSTVDDIIKSMSAAVRCTPDPSFMSRRFDFNEALTKESLIRCYRASPELFARKGNDESFGLKTPKKEKFVKRDSKAQPPVEAPYVPPKSQRTTKPISDKTIEIFEGMTVVELAKRTGKSISTLQGILTNVGEKVGTEFDPLSMEVVELIAMEVGINIKRLHSNEGSEILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVGMTSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMAHAKAANVPIVVAINKCDKPAANPERIRIQLASEGLMLEDMGGDVQVVEVSAMKKTGLDNLEEALLLQAEIMDLKARIDGPAHAYVVEARLDKGKGPLVTAIVKGGTLLCGQYVVVGSEWGRIRAIRDMAEKLVEKARPAMPIEIEGLKGLPRAGDDIIVVESEERARMLSAGRKKKFEKDRLIKIVEERASEEQDKKLSVGSKNKFEKDRHMKIIDERAEAQQPETSEEEPKRVEVPIIVKADVQGTVQAVTDALLNLNSPQIMLHRVIYHLLEDIGNFIVDKAPGTPETKVAGEAEVLSIFELKGRSKSKGPDVKIAGCRVIDGFVTKSGILRLLRSGEVVFEGSCESLKREKQDVDTVKKGNECGLVIHNYDDFRVGDMVQCLEQVIRKPKFVSSESGAARIEC >ONI07321 pep chromosome:Prunus_persica_NCBIv2:G5:11679188:11684794:-1 gene:PRUPE_5G113200 transcript:ONI07321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRELGKKGIRASLTTDLTSRLRRHGFTSVSTVDDIIKSMSAAVRCTPDPSFMSRRFDFNEALTKESLIRCYRASPELFARKGNDESFGLKTPKKEKFVKRDSKAQPPVEAPYVPPKSQRTTKPISDKTIEIFEGMTVVELAKRTGKSISTLQGILTNVGEKVGTEFDPLSMEVVELIAMEVGINIKRLHSNEGSEILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVGMTSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMAHAKAANVPIVVAINKCDKPAANPERIRIQLASEGLMLEDMGGDVQVVEVSAMKKTGLDNLEEALLLQAEIMDLKARIDGPAHAYVVEARLDKGKGPLVTAIVKGGTLLCGQYVVVGSEWGRIRAIRDMAEKLVEKARPAMPIEIEGLKGLPRAGDDIIVVESEERARMLSAGRKKKFEKDRLIKIVEERASEEQDKKLSVGSKNKFEKDRHMKIIDERAEAQQPETSEEEPKRVEVPIIVKADVQGTVQAVTDALLNLNSPQVFVNVVHVGVGPISQSDLDMAEACGACIIGFNIKTPPSSVSLAAARASVKIMLHRVIYHLLEDIGNFIVDKAPGTPETKVAGEAEVLSIFELKGRSKSKGPDVKIAGCRVIDGFVTKSGILRLLRSGEVVFEGSCESLKREKQDVDTVKKGNECGLVIHNYDDFRVGDMVQCLEQVIRKPKFVSSESGAARIEC >ONI07730 pep chromosome:Prunus_persica_NCBIv2:G5:12891756:12892920:-1 gene:PRUPE_5G136000 transcript:ONI07730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKRKERKKKERKEKRERGKRRDEKKRIKKEEKRKEKKRKKEKGRQRKREKRRVTGETGRRRGEKRKGGKKKRGKKSDGRKKKKKRKQKGWFNWPELDVESVLGAGAGNANVNGAEELKNDGDEACLNTEGDDDEVVKRLGEVES >ONI09437 pep chromosome:Prunus_persica_NCBIv2:G5:18002435:18004190:-1 gene:PRUPE_5G238200 transcript:ONI09437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEMVKAKVQEAGFLPFLSILGHGKKGDRPLLVALAERWWDTTHTFHFDEVGEMTMTPTDFSAITGLRVGGKRLQYDFEMYKNKNKVVKLFGKPIADLLAGERRVPYDSLCTPYWNKHPKDDKEADQIARAFILCLIGSSFLNDKSHYVSMHYAPSLEKVSDIGNYDWGGAALACLYRSMDSCSRGRSASMGGYWRAWEVWACEYLKPFALSSPSGSVNTWPRTLRWVGIKSSLLNRLLYYHKHVNWNPWGTNDSELPEEVKKTVPATRKRILLEGPAGSAWFLGERVTMQSLGTPSPQVPKIPPRTMLADYKLSNESEVEEAVNGYPASEWVAPRSSDYADYRDEYIRYRHYDDLRDAHEIHIILPNSKMIPELHRNHNIVTAEAATELLELNAGLNAVLFSTALEASTEIRRLREEIVSHFS >ONI06384 pep chromosome:Prunus_persica_NCBIv2:G5:6244162:6246014:1 gene:PRUPE_5G057500 transcript:ONI06384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPCITLFLEETFLSFNAYCISLWCLSSNFHETLVCSLVFSMRMLLNASCFWVVEMRALNNQFDCENGNKVSSKEGKGTRLWKKVKYQLIEYHALPSFLRDNEFILGYYRSEWPLKQVFLSIFSIHNETLNVWTHLIGFFLFLFLTIYTATKAPDIMDLSSFQRLPDMIRKAEFYKIHPELLNCLPSLPNLSDIYRLKDELKPSLPSMDFISSVSGNIRELLAKCLPERFSHAYQTENSVLHGVTDDVMNMVAPLMYRPITRWPFFVFLGGAMFCLLASSTCHLLACHSARLSYIVLRCDYAGIAALITTSFYPPVYYSFMCNPFFCNLYLGFITILGIATIVFSLLPLFQGPKFRSFRASLYFGMGVSGVVPLVHKLIVFRNQPEAIQTTGLEVLMGVLYGLGALIYATRIPERWRPGKFDIAGQSHQLFHILVVAAAYTHYRAGLVYLRWRDLEGC >ONI06383 pep chromosome:Prunus_persica_NCBIv2:G5:6243447:6246638:1 gene:PRUPE_5G057500 transcript:ONI06383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDSPISVSSKEGKGTRLWKKVKYQLIEYHALPSFLRDNEFILGYYRSEWPLKQVFLSIFSIHNETLNVWTHLIGFFLFLFLTIYTATKAPDIMDLSSFQRLPDMIRKAEFYKIHPELLNCLPSLPNLSDIYRLKDELKPSLPSMDFISSVSGNIRELLAKCLPERFSHAYQTENSVLHGVTDDVMNMVAPLMYRPITRWPFFVFLGGAMFCLLASSTCHLLACHSARLSYIVLRCDYAGIAALITTSFYPPVYYSFMCNPFFCNLYLGFITILGIATIVFSLLPLFQGPKFRSFRASLYFGMGVSGVVPLVHKLIVFRNQPEAIQTTGLEVLMGVLYGLGALIYATRIPERWRPGKFDIAGQSHQLFHILVVAAAYTHYRAGLVYLRWRDLEGC >ONI06382 pep chromosome:Prunus_persica_NCBIv2:G5:6243504:6246638:1 gene:PRUPE_5G057500 transcript:ONI06382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDSPISEMRALNNQFDCENGNKVSSKEGKGTRLWKKVKYQLIEYHALPSFLRDNEFILGYYRSEWPLKQVFLSIFSIHNETLNVWTHLIGFFLFLFLTIYTATKAPDIMDLSSFQRLPDMIRKAEFYKIHPELLNCLPSLPNLSDIYRLKDELKPSLPSMDFISSVSGNIRELLAKCLPERFSHAYQTENSVLHGVTDDVMNMVAPLMYRPITRWPFFVFLGGAMFCLLASSTCHLLACHSARLSYIVLRCDYAGIAALITTSFYPPVYYSFMCNPFFCNLYLGFITILGIATIVFSLLPLFQGPKFRSFRASLYFGMGVSGVVPLVHKLIVFRNQPEAIQTTGLEVLMGVLYGLGALIYATRIPERWRPGKFDIAGQSHQLFHILVVAAAYTHYRAGLVYLRWRDLEGC >ONI06385 pep chromosome:Prunus_persica_NCBIv2:G5:6243504:6246638:1 gene:PRUPE_5G057500 transcript:ONI06385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSFQRLPDMIRKAEFYKIHPELLNCLPSLPNLSDIYRLKDELKPSLPSMDFISSVSGNIRELLAKCLPERFSHAYQTENSVLHGVTDDVMNMVAPLMYRPITRWPFFVFLGGAMFCLLASSTCHLLACHSARLSYIVLRCDYAGIAALITTSFYPPVYYSFMCNPFFCNLYLGFITILGIATIVFSLLPLFQGPKFRSFRASLYFGMGVSGVVPLVHKLIVFRNQPEAIQTTGLEVLMGVLYGLGALIYATRIPERWRPGKFDIAGQSHQLFHILVVAAAYTHYRAGLVYLRWRDLEGC >ONI08582 pep chromosome:Prunus_persica_NCBIv2:G5:15443475:15448504:-1 gene:PRUPE_5G187000 transcript:ONI08582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASRCLACFMDPEAAKCSITISSRQTINFSHHHRSHLCSLSMNGCQGDPHFPLGTIETRSFPAIPSPSLALDRLNSAISELKANPPSSTSGIIRLQVPIQQQIEAIDWLYAQHQFLPRCYFSGRGQTSNSSDLSIDYTNGNDQIQSEHNLVSVAGVGSAVFFQDLHPFSYRHWKSLKRFLSTQCPLIRTYGAIRFDARANISSEWEAFGYFYFMVPQVEFDELEEGSMLAATVAWDNALSWNLGHAIDALKATLSQISSVVLKQRRQVPWTPMLSNNHIPSKTYWNLAVNRALQKIRSNSALIKVVLARRSTVITATDIDPIAWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRKWLGITSEALAGTRARGKSMPEDLQIELELLSSPKDHLEFTIVQESIRRKLENVCNSVLVEPKKAIRKLPRVQHLYAKLAGRLRSEEDEFDILSSLHPSPAVCGFPTEEARVLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKGLGAMIFAGTGVVEGSNASLEWDELELKISQFTKLLKLEVPLEIKS >ONI08583 pep chromosome:Prunus_persica_NCBIv2:G5:15444039:15448504:-1 gene:PRUPE_5G187000 transcript:ONI08583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCQGDPHFPLGTIETRSFPAIPSPSLALDRLNSAISELKANPPSSTSGIIRLQVPIQQQIEAIDWLYAQHQFLPRCYFSGRGQTSNSSDLSIDYTNGNDQIQSEHNLVSVAGVGSAVFFQDLHPFSYRHWKSLKRFLSTQCPLIRTYGAIRFDARANISSEWEAFGYFYFMVPQVEFDELEEGSMLAATVAWDNALSWNLGHAIDALKATLSQISSVVLKQRRQVPWTPMLSNNHIPSKTYWNLAVNRALQKIRSNSALIKVVLARRSTVITATDIDPIAWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRKWLGITSEALAGTRARGKSMPEDLQIELELLSSPKDHLEFTIVQESIRRKLENVCNSVLVEPKKAIRKLPRVQHLYAKLAGRLRSEEDEFDILSSLHPSPAVCGFPTEEARVLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKGLGAMIFAGTGVVEGSNASLEWDELELKISQFTKLLKLEVPLEIKS >ONI08584 pep chromosome:Prunus_persica_NCBIv2:G5:15444531:15448232:-1 gene:PRUPE_5G187000 transcript:ONI08584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASRCLACFMDPEAAKCSITISSRQTINFSHHHRSHLCSLSMNGCQGDPHFPLGTIETRSFPAIPSPSLALDRLNSAISELKANPPSSTSGIIRLQVPIQQQIEAIDWLYAQHQFLPRCYFSGRGQTSNSSDLSIDYTNGNDQIQSEHNLVSVAGVGSAVFFQDLHPFSYRHWKSLKRFLSTQCPLIRTYGAIRFDARANISSEWEAFGYFYFMVPQVEFDELEEGSMLAATVAWDNALSWNLGHAIDALKATLSQISSVVLKQRRQVPWTPMLSNNHIPSKTYWNLAVNRALQKIRSNSALIKVVLARRSTVITATDIDPIAWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRKWLGITSEALAGTRARGKSMPEDLQIELELLSSPKDHLEFTIVQESIRRKLENVCNSVLVEPKKAIRKLPRVQHLYAKLAGRLRSEEDEFDILSSLHPSPAVCGFPTEEARVLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKGLGAMIFAGTGVVEGSNASLEWDELELKISQVCV >ONI07610 pep chromosome:Prunus_persica_NCBIv2:G5:12598070:12601165:1 gene:PRUPE_5G130400 transcript:ONI07610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIIASSSSPQFCQETSATCQQRLQFIVQNRPEWWVYATFWQASKDSNDQISLSWAGGHFKSSKDLASKRSNKVMNNYQPKFGFNNVERKKVINRGCAESLFPEDLEDLDMRLVDHGVGDVTDSEWFYFYSVSLTQSFAAGHATNNILGRAFCSGGFVWLAGAHELQFYECERVKEARMHGIQTLVCIATPCGVLELASLDVIKEDWGLVHLSKSLFGSENNRISKQGSRDGNAPVPFPESGMFSGPQKDFPRQEGDTKEAAPINIGGSSSDSPSDSVGNFTSENTERTRLKKRGRSTNHGPGRESQLLNHVEAERQRREKLNHRFYVLRSVVPNVSKMDRSSLLADAVAYINQLKSKVEELEAKIQSQPQNPNMGNVSNLDHHSSQSTSSIVDFHHSSSNNNNNNNNNNNNNNKGAGVVEVDVKILGSEAMIRVQCPDQDYPYAKLMNALKSLGLQVYHASISSVKEMMIQDIVARVPYGFTSEEAMRMGIIKRWYN >ONI09532 pep chromosome:Prunus_persica_NCBIv2:G5:18264717:18265061:-1 gene:PRUPE_5G243300 transcript:ONI09532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGRAQPITRGSVTTTITLENPDASASASASASSSSQSEALVLRLNRKKKKQVTWKEGTVDNEFMQKKSSKKCCIFHKQKPFDEDDSDDEDHHHQHHPSPSGHQNNVCSDES >ONI06600 pep chromosome:Prunus_persica_NCBIv2:G5:8353251:8355347:-1 gene:PRUPE_5G069500 transcript:ONI06600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGTWFSKNGREMWTEVAKTGKGKKKAQAVNEDRFISKMYGGIVHYKDMQKSMLKIVVLGWEFNYPF >ONI06601 pep chromosome:Prunus_persica_NCBIv2:G5:8353235:8355560:-1 gene:PRUPE_5G069500 transcript:ONI06601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGTWFSKNGREMWTEVAKTGKGKKKAQAVNEDRFISKMYGGIVHYKDMQKSMLKIVVLGWEFNYPF >ONI06603 pep chromosome:Prunus_persica_NCBIv2:G5:8353230:8355560:-1 gene:PRUPE_5G069500 transcript:ONI06603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGTWFSKNGREMWTEVAKTGKGKKKAQAVNEDRFISKMYGGIVHYKDMQKSMLKIVVLGWEFNYPF >ONI06602 pep chromosome:Prunus_persica_NCBIv2:G5:8353211:8355653:-1 gene:PRUPE_5G069500 transcript:ONI06602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGTWFSKNGREMWTEVAKTGKGKKKAQAVNEDRFISKMYGGIVHYKDMQKSMLKIVVLGWEFNYPF >ONI06604 pep chromosome:Prunus_persica_NCBIv2:G5:8353251:8355560:-1 gene:PRUPE_5G069500 transcript:ONI06604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGTWFSKNGREMWTEVAKTGKGKKKAQAVNEDRFISKMYGGIVHYKDMQKSMLKIVVLGWEFNYPF >ONI06605 pep chromosome:Prunus_persica_NCBIv2:G5:8353251:8355560:-1 gene:PRUPE_5G069500 transcript:ONI06605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGTWFSKNGREMWTEVAKTGKGKKKAQAVNEDRFISKMYGGIVHYKDMQKSMLKIVVLGWEFNYPF >ONI07515 pep chromosome:Prunus_persica_NCBIv2:G5:12280768:12283689:1 gene:PRUPE_5G124900 transcript:ONI07515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLDLDAFSDRPQFSSCIPDPGREIPRENEDRRMLTSPFLGLEPVENPNAYCLIKEKITQALRQLKELTDQHVLAQFWAPVKNGGRYVLTTSGQPFVLDPHTNGLHQYRMASLMYMFSVDGESDGMLGLPGRVFQQKLPEWTPNVQYYSIKEYPRLGHAQHYNVQGTLALPVFEPSGRSCVGVLELIMTSPKISYASEVDKVCKALEAVSLKSSEILDHTSMQIQICNDGRQTALTEILEILTVVCETHKLPLAQTWVPCMHRNVLAYGGGLKKSCTSFDGSCMEQVCISTTDAAFYIVDAPMWHFREACVEHHLQKGQGVAGRAFLSRNACFCRDITQFCKTDYPLVHYARMFKLTSCFAICLQSTHTGNDDYILEFFLPPSITDSYEQQTLLGFLLAIIKNHFQSLKVASGIILEEEGLVEMVQASTNKGLDSRLECIRIPR >ONI07516 pep chromosome:Prunus_persica_NCBIv2:G5:12280768:12283689:1 gene:PRUPE_5G124900 transcript:ONI07516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLDLDAFSDRPQFSSCIPDPGREIPRENEDRRMLTSPFLGLEPVENPNAYCLIKEKITQALRQLKELTDQHVLAQFWAPVKNGGRMASLMYMFSVDGESDGMLGLPGRVFQQKLPEWTPNVQYYSIKEYPRLGHAQHYNVQGTLALPVFEPSGRSCVGVLELIMTSPKISYASEVDKVCKALEAVSLKSSEILDHTSMQIQICNDGRQTALTEILEILTVVCETHKLPLAQTWVPCMHRNVLAYGGGLKKSCTSFDGSCMEQVCISTTDAAFYIVDAPMWHFREACVEHHLQKGQGVAGRAFLSRNACFCRDITQFCKTDYPLVHYARMFKLTSCFAICLQSTHTGNDDYILEFFLPPSITDSYEQQTLLGFLLAIIKNHFQSLKVASGIILEEEGLVEMVQASTNKGLDSRLECIRIPR >ONI09574 pep chromosome:Prunus_persica_NCBIv2:G5:18372390:18375309:1 gene:PRUPE_5G245500 transcript:ONI09574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDFFTASSLLLISTTLLFICFLAKLIRRRNRVVIRRSTYKLPPGRRGWPIVGDSFSWYNAVASSHPPHFVEQQVKRFGKIFSCSLFGKRSVVSADPTFNRFVMQNEGKLFQSSYPKSFKDLVGKNGVITVHGEQQRKLHRIASNMMRLEKLKFNFLENVQMVMTQTLSNFPSNQVILLQDVCRKVSINLMVNQLLGVSSESEIDEMTQLFSDFVDGCLSVPINFRGFAYHTAMKARGKIITKINRIMQNYREQGATEVGNGVLGRLLEEESLPDEAVADFIINLLFAGNETTAKTMLFAVYFLTHCPRAMKQLVDEQDSLRGKFVAEEMLTWQDYKAMSFTQCVIDETLRLGGIAIWLMREAKEDVEYQDYIIPKGCFVVPFLSAVHLDENVYNEALTFNPWRWMDLQNQEKRNWRSSSFFAPFGGGARFCPGADLARLQITLFLHYFVTTYRWTQLKEDRMSFFPSARLVNGFQICLTRRST >ONI07934 pep chromosome:Prunus_persica_NCBIv2:G5:13548039:13550107:-1 gene:PRUPE_5G148100 transcript:ONI07934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLNLIHKFLNLVAPPFTFFSLCLFLPPFYLFKSFLSVLSSIFSENVNGKVVLITGASSGIGEHLAYEYAKRGARLVLVGRREDSLREVVDRASDCGSPDVLMVRADVSKVEDCKRIVDETINHFGRLDHLVNNAGITSLGMLEEATDITNFRAIMDTNFWGSVYTTRFAIPHLRSSKGKIVVLSSAASWLPIPRQSIYNASKAALLSMYETLRVEFGPNIQITIVTPGYIESELTQGKFLMSDDGEMIVDQDLRDAQVSATPVGSVEGCAKAIVNSVCRGDRYLTEPEWFGVTYIWKVFCPELLEWGYRLMYVTRPGSSAKEAPSKKILDYTGAKNVLYPETLHSPEVKTD >ONI06061 pep chromosome:Prunus_persica_NCBIv2:G5:4213741:4225765:-1 gene:PRUPE_5G037600 transcript:ONI06061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTPLLRGLKKKAKRKQIQQQDAELERFESLPWNPSLPIDEDKAFSNVVGNNELEGGFLMLEEIDEAEYGLQIPEPQLANRNKKEKSKQSKKSKKRKRGGVDGSAGDGAEAKGEEIFDKDDNEMNKEKKKKKKPEEAQGSENVAGNGGNEVKNKDKDEDVNIKKKKKKHKKKKKKAGETLETEENTVDDSSNGKEEIEEEPFDENEYYAWNELRLHHLIMKSIYRLGFKEPTPIQKACIPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAVKMFDEKGEETEKFAPKGLLRALIITPTRELAIQVSDHLKAVAKDTNVRVVPIVGGMSMEKQERLLKARPEIIVGTPGRLWELMSGGEKHLVELHSLSFFVLDEADRMIENGHFRELQSIIDMLPVRNGLTESHCENAQNSVEMSNFQTKKRQTFVFSATIALSTDFRKKLKRSSLKSKQSMSDGVNSIEALSERAGMRDNVAIIDLTNASILANKLVESFIECTEEDKDAYLYYILSVHGQGRTLVFCTSVAALRHVSSLLRILGTNVWTLHAQMQQRARLKAIDRFRGDEHGLLVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASADGCSIALIAPNETSKFALLCKSFSKESFQRFPMDNAYLPEVIKRLSLARQMDKILRKDSQEKSKKSWFERNAKSIELVVDNDDSEEERVKNHKKRKASSMNLNKLQQELKILLSRPLQPKSFSHRYFAGAGVSPLMQHQFEELAKKKLGDNSDSGDKKKSKLVVIGQDCVEPLQALRSAGHEVHIDGKEMAQKRRNIVDLRRKRKEEKTRLRDQRRKRKKQLKGGD >ONI06058 pep chromosome:Prunus_persica_NCBIv2:G5:4213465:4226764:-1 gene:PRUPE_5G037600 transcript:ONI06058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTPLLRGLKKKAKRKQIQQQDAELERFESLPWNPSLPIDEDKAFSNVVGNNELEGGFLMLEEIDEAEYGLQIPEPQLANRNKKEKSKQSKKSKKRKRGGVDGSAGDGAEAKGEEIFDKDDNEMNKEKKKKKKPEEAQGSENVAGNGGNEVKNKDKDEDVNIKKKKKKHKKKKKKAGETLETEENTVDDSSNGKEEIEEEPFDENEYYAWNELRLHHLIMKSIYRLGFKEPTPIQKACIPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAVKMFDEKGEETEKFAPKGLLRALIITPTRELAIQVSDHLKAVAKDTNVRVVPIVGGMSMEKQERLLKARPEIIVGTPGRLWELMSGGEKHLVELHSLSFFVLDEADRMIENGHFRELQSIIDMLPVRNGLTESHCENAQNSVEMSNFQTKKRQTFVFSATIALSTDFRKKLKRSSLKSKQSMSDGVNSIEALSERAGMRDNVAIIDLTNASILANKLVESFIECTEEDKDAYLYYILSVHGQGRTLVFCTSVAALRHVSSLLRILGTNVWTLHAQMQQRARLKAIDRFRGDEHGLLVATDVAARGLDIPGVRTVVHYQLPHSAEESFQRFPMDNAYLPEVIKRLSLARQMDKILRKDSQEKSKKSWFERNAKSIELVVDNDDSEEERVKNHKKRKASSMNLNKLQQELKILLSRPLQPKSFSHRYFAGAGVSPLMQHQFEELAKKKLGDNSDSGDKKKSKLVVIGQDCVEPLQALRSAGHEVHIDGKEMAQKRRNIVDLRRKRKEEKTRLRDQRRKRKKQLKGGD >ONI06060 pep chromosome:Prunus_persica_NCBIv2:G5:4213741:4225765:-1 gene:PRUPE_5G037600 transcript:ONI06060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTPLLRGLKKKAKRKQIQQQDAELERFESLPWNPSLPIDEDKAFSNVVGNNELEGGFLMLEEIDEAEYGLQIPEPQLANRNKKEKSKQSKKSKKRKRGGVDGSAGDGAEAKGEEIFDKDDNEMNKEKKKKKKPEEAQGSENVAGNGGNEVKNKDKDEDVNIKKKKKKHKKKKKKAGETLETEENTVDDSSNGKEEIEEEPFDENEYYAWNELRLHHLIMKSIYRLGFKEPTPIQKACIPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAVKMFDEKGEETEKFAPKGLLRALIITPTRELAIQVSDHLKAVAKDTNVRVVPIVGGMSMEKQERLLKARPEIIVGTPGRLWELMSGGEKHLVELHSLSFFVLDEADRMIENGHFRELQSIIDMLPVRNGLTESHCENAQNSVEMSNFQTKKRQTFVFSATIALSTDFRKKLKRSSLKSKQSMSDGVNSIEALSERAGMRDNVAIIDLTNASILANKLVESFIECTEEDKDAYLYYILSVHGQGRTLVFCTSVAALRHVSSLLRILGTNVWTLHAQMQQRARLKAIDRFRGDEHGLLVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASADGCSIALIAPNETSKFALLCKSFSKESFQRFPMDNAYLPEVIKRLSLARQMDKILRKDSQEKSKKSWFERNAKSIELVVDNDDSEEERVKNHKKRKASSMNLNKLQQELKILLSRPLQPKSFSHRYFAGAGVSPLMQHQFEELAKKKLGDNSDSGDKKKSKLVVIGQDCVEPLQALRSAGHEVHIDGKEMAQKRRNIVDLRRKRKEEKTRLRDQRRKRKKQLKGGD >ONI06059 pep chromosome:Prunus_persica_NCBIv2:G5:4213465:4226764:-1 gene:PRUPE_5G037600 transcript:ONI06059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTPLLRGLKKKAKRKQIQQQDAELERFESLPWNPSLPIDEDKAFSNVVGNNELEGGFLMLEEIDEAEYGLQIPEPQLANRNKKEKSKQSKKSKKRKRGGVDGSAGDGAEAKGEEIFDKDDNEMNKEKKKKKKPEEAQGSENVAGNGGNEVKNKDKDEDVNIKKKKKKHKKKKKKAGETLETEENTVDDSSNGKEEIEEEPFDENEYYAWNELRLHHLIMKSIYRLGFKEPTPIQKACIPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKAVKMFDEKGEETEKFAPKGLLRALIITPTRELAIQVSDHLKAVAKDTNVRVVPIVGGMSMEKQERLLKARPEIIVGTPGRLWELMSGGEKHLVELHSLSFFVLDEADRMIENGHFRELQSIIDMLPVRNGLTESHCENAQNSVEMSNFQTKKRQTFVFSATIALSTDFRKKLKRSSLKSKQSMSDGVNSIEALSERAGMRDNVAIIDLTNASILANKLVESFIECTEEDKDAYLYYILSVHGQGRTLVFCTSVAALRHVSSLLRILGTNVWTLHAQMQQRARLKAIDRFRGDEHGLLVATDVAARGLDIPGVRTVVHYQLPHSAEESFQRFPMDNAYLPEVIKRLSLARQMDKILRKDSQEKSKKSWFERNAKSIELVVDNDDSEEERVKNHKKRKASSMNLNKLQQELKILLSRPLQPKSFSHRYFAGAGVSPLMQHQFEELAKKKLGDNSDSGDKKKSKLVVIGQDCVEPLQALRSAGHEVHIDGKEMAQKRRNIVDLRRKRKEEKTRLRDQRRKRKKQLKGGD >ONI09422 pep chromosome:Prunus_persica_NCBIv2:G5:17954003:17955352:-1 gene:PRUPE_5G237400 transcript:ONI09422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGWTRRRTGHGTKKKQPRDLDMEALIPNHFLCPISLDLMKDPVTLSSGITYDRQSIDTWLEAGNFTCPVTNQVLRNFDQIPNHSLRRMIQEWCTQNKHYGIERVPTPRIPVLPMEVSEILFSIGASARRLDQHGCLECVHKIKKWGAESERNKRCISENGTASVLAGAFDSFASDCIKRNATVCEEILSALSWMLPAFDEEAHKYLGSQASLHGMVWFLKMSDDLSVKQNAILALKELLACHDRNKHVDALAEIGGVNEVLFDFIREKISPTITKASLMVVFYLVSSSSSSSSSKSSEKIKSAFLEMGLVSILLEILVDSERGICERALGVLDSLCDFQDGREKAYANALTIPVLVKKILRVSEMATEYSISAIWKLCKCASSQEERVLVEALQVGTFQKLLLVLQVRCGDDNTKEKTTELLKLLNPYRAGLECIESVDFKNIRRSF >ONI07016 pep chromosome:Prunus_persica_NCBIv2:G5:10489026:10489876:1 gene:PRUPE_5G095300 transcript:ONI07016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVEYGIHLRRNVFRHNTSYLRHEKEIVYKSKLYLQHVHIYSSDIITLTKFNHAMVPLTLCRTLSDKKETY >ONI09465 pep chromosome:Prunus_persica_NCBIv2:G5:18096901:18099362:-1 gene:PRUPE_5G239900 transcript:ONI09465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVLVLVNLIALPALLVFVVIINPSSHADAVFVSIDCGFTGSSTYKDENSIVWTGDEAYIHNKHESQVFNEYYSSNTPVDWTSTVRVFPTLNKNCYTIPIGKAGERLLVRASFRYWDFHNNPNYSATSPGPTFELQFDGNYWTTVVTKPYDIVSYEAIYVAKANTTSICVAQTKDNQLPFISALELRSLDFKMYSHVDSNYALLLANRHTDGTNQTVRYPDDAYDRIWVPESGFIFTKNVKGEARSINTSSAQDNPPEAVLQNAVETIGTRWFLVLDTSGLPQSQDQKVRIYMTTYFSEVIRPLNSSTDKRSLQVLVDGNPYSGTIVPPFGSVSTVYITNITAYSNTSFTIESTLQSTLPPLINAYELYTITGPLTQATTSTKDVEGLAALQREFEILQKWSGDPCLPSSFAWEWVECTNTTIHATPRVTSLKLSGFGLFGPLPDFSSMDGLQKIDFHNNSLDGPIPDFLGSLPNLNLLDLSENRLNGSIPTSLTQNKHLKLVLTGNCLSGMSCPPNDASPPPPAGPPPPDPSGGKECSDKPQMILGIIIHVSLISFMFSNF >ONI05315 pep chromosome:Prunus_persica_NCBIv2:G5:273141:275127:-1 gene:PRUPE_5G001200 transcript:ONI05315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVILVSRQGKVRLTKWFSPYTQKERSKVMRELSGIILNRGPKLCNFVEWRGFKVVYKRYASLYFCMCVDQNDNELETLEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLLAGELQESSKRTVGRMIATHDRFVEAAKEEASSIGTFIAQVRM >ONI05435 pep chromosome:Prunus_persica_NCBIv2:G5:784422:793076:1 gene:PRUPE_5G007400 transcript:ONI05435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIMKKLLEDDEDETMHSGADVEAFQAALNRDIEGDVSVSQPSDSDSAVLSQGSNNTSSQSLPQFHTATQDENTACQTQHDKKIAQQREMHSYEMELKQYGSGAENIQQKKDASHEFNQFPLPQKQPQGDLQQGQAEQKPLHKPETAGIPISGKIPISKHEQDVTPTPESESQYLKLQKMSSQQAMIPEQPSNPMNRSKQVPFGLLLPVLLPQLDKDRAMQLTTLFGKLKNNEISKDAFVRHIRSVVGDQMLKLAVMKVQSQPVPKHQLPPQSSVQQQPPRMPSISVGSTPFTDPRSFALHQRGANPPTDPSHIPSSAVQVQSDSSHSVIENSAKKLREAERPSDSHGMQVSQMPSSSAVAGNQERERSSGPPQILNKQQQQQQLHYPQSSFAMYGSTGGNYHPYSGTSINTSTLPLKQQPHDSQLRQIPQHQGMGSTQSGGEPQGVNITNVSKLERQNSLNDPSRLQGGSVSHFTNNSNLQQNSVPRQSSNKEQNPGPVSSMSYVKQEPIDQTAEQQQKPPLSNQQGLPSASAAQLEQGSALPGISTDESIEKQSSRMGFATSGMVTSSSTGTVPPNSVSPSIMTQVDTNVSLGHRIPSGTAGISNRAPPKKPSIGQKKPLEVPGSSPPPSSKKQKLSGNFLDQSIEQLNDVTAVSGVNLREEEEQLFSGPKEDSRASEASRKFVQEEEERLILQKAPLQKKLAEIMVKCGLKSISNDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKPRHHTITTSDVRQQVMNLNQNAREEFEKKQAEAEKLRRLNEPEVNNGVDGDKDKDDGRSKSFKPNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGVDVASGSQPGKDVNRKPTSTAGRIMKDNQEAEKRGGGTPVAAAGTFRKCGRNQVITPQTRVARSISVKDVIAVLEREPQMSRSTMIYRLFERIQSDTTGRFTIPSAKLVHVGSTVSVMYHFMIFIFVIKTLHLTLTSNIL >ONI05436 pep chromosome:Prunus_persica_NCBIv2:G5:784423:793076:1 gene:PRUPE_5G007400 transcript:ONI05436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIMKKLLEDDEDETMHSGADVEAFQAALNRDIEGDVSVSQPSDSDSAVLSQGSNNTSSQSLPQFHTATQDENTACQTQHDKKIAQQREMHSYEMELKQYGSGAENIQQKKDASHEFNQFPLPQKQPQGDLQQGQAEQKPLHKPETAGIPISGKIPISKHEQDVTPTPESESQYLKLQKMSSQQAMIPEQPSNPMNRSKQVPFGLLLPVLLPQLDKDRAMQLTTLFGKLKNNEISKDAFVRHIRSVVGDQMLKLAVMKVQSQPVPKHQLPPQSSVQQQPPRMPSISVGSTPFTDPRSFALHQRGANPPTDPSHIPSSAVQVQSDSSHSVIENSAKKLREAERPSDSHGMQVSQMPSSSAVAGNQERERSSGPPQILNKQQQQQQLHYPQSSFAMYGSTGGNYHPYSGTSINTSTLPLKQQPHDSQLRQIPQHQGMGSTQSGGEPQGVNITNVSKLERQNSLNDPSRLQGGSVSHFTNNSNLQQNSVPRQSSNKEQNPGPVSSMSYVKQEPIDQTAEQQQKPPLSNQQGLPSASAAQLEQGSALPGISTDESIEKQSSRMGFATSGMVTSSSTGTVPPNSVSPSIMTQVDTNVSLGHRIPSGTAGISNRAPPKKPSIGQKKPLEVPGSSPPPSSKKQKLSGNFLDQSIEQLNDVTAVSGVNLREEEEQLFSGPKEDSRASEASRKFVQEEEERLILQKAPLQKKLAEIMVKCGLKSISNDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKPRHHTITTSDVRQQVMNLNQNAREEFEKKQAEAEKLRRLNEPEVNNGVDGDKDKDDGRSKSFKPNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGVDVASGSQPGKDVNRKPTSTAGRIMKDNQEAEKRGGGTPVAAAGTFRKCGRNQVITPQTRVARSISVKDVIAVLEREPQMSRSTMIYRLFERIQSDTTGE >ONI05437 pep chromosome:Prunus_persica_NCBIv2:G5:784871:792267:1 gene:PRUPE_5G007400 transcript:ONI05437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIMKKLLEDDEDETMHSGADVEAFQAALNRDIEGDVSVSQPSDSDSAVLSQGSNNTSSQSLPQFHTATQDENTACQTQHDKKIAQQREMHSYEMELKQYGSGAENIQQKKDASHEFNQFPLPQKQPQGDLQQGQAEQKPLHKPETAGIPISGKIPISKHEQDVTPTPESESQYLKLQKMSSQQAMIPEQPSNPMNRSKQVPFGLLLPVLLPQLDKDRAMQLTTLFGKLKNNEISKDAFVRHIRSVVGDQMLKLAVMKVQSQPVPKHQLPPQSSVQQQPPRMPSISVGSTPFTDPRSFALHQRGANPPTDPSHIPSSAVQVQSDSSHSVIENSAKKLREAERPSDSHGMQVSQMPSSSAVAGNQERERSSGPPQILNKQQQQQQLHYPQSSFAMYGSTGGNYHPYSGTSINTSTLPLKQQPHDSQLRQIPQHQGMGSTQSGGEPQGVNITNVSKLERQNSLNDPSRLQGGSVSHFTNNSNLQQNSVPRQSSNKEQNPGPVSSMSYVKQEPIDQTAEQQQKPPLSNQQGLPSASAAQLEQGSALPGISTDESIEKQSSRMGFATSGMVTSSSTGTVPPNSVSPSIMTQVDTNVSLGHRIPSGTAGISNRAPPKKPSIGQKKPLEVPGSSPPPSSKKQKLSGNFLDQSIEQLNDVTAVSGVNLREEEEQLFSGPKEDSRASEASRKFVQEEEERLILQKAPLQKKLAEIMVKCGLKSISNDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKPRHHTITTSDVRQQVMNLNQNAREEFEKKQAEAEKLRRLNEPEVNNGVDGDKDKDDGRSKSFKPNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGVDVASGSQPGKDVNRKPTSTAGRIMKDNQEAEKRGGGTPVAAAGTFRKCGRNQVITPQTRVARSISVKDVIAVLEREPQMSRSTMIYRLFERIQSDTTGE >ONI05434 pep chromosome:Prunus_persica_NCBIv2:G5:784423:793516:1 gene:PRUPE_5G007400 transcript:ONI05434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIMKKLLEDDEDETMHSGADVEAFQAALNRDIEGDVSVSQPSDSDSAVLSQGSNNTSSQSLPQFHTATQDENTACQTQHDKKIAQQREMHSYEMELKQYGSGAENIQQKKDASHEFNQFPLPQKQPQGDLQQGQAEQKPLHKPETAGIPISGKIPISKHEQDVTPTPESESQYLKLQKMSSQQAMIPEQPSNPMNRSKQVPFGLLLPVLLPQLDKDRAMQLTTLFGKLKNNEISKDAFVRHIRSVVGDQMLKLAVMKVQSQPVPKHQLPPQSSVQQQPPRMPSISVGSTPFTDPRSFALHQRGANPPTDPSHIPSSAVQVQSDSSHSVIENSAKKLREAERPSDSHGMQVSQMPSSSAVAGNQERERSSGPPQILNKQQQQQQLHYPQSSFAMYGSTGGNYHPYSGTSINTSTLPLKQQPHDSQLRQIPQHQGMGSTQSGGEPQGVNITNVSKLERQNSLNDPSRLQGGSVSHFTNNSNLQQNSVPRQSSNKEQNPGPVSSMSYVKQEPIDQTAEQQQKPPLSNQQGLPSASAAQLEQGSALPGISTDESIEKQSSRMGFATSGMVTSSSTGTVPPNSVSPSIMTQVDTNVSLGHRIPSGTAGISNRAPPKKPSIGQKKPLEVPGSSPPPSSKKQKLSGNFLDQSIEQLNDVTAVSGVNLREEEEQLFSGPKEDSRASEASRKFVQEEEERLILQKAPLQKKLAEIMVKCGLKSISNDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKPRHHTITTSDVRQQVMNLNQNAREEFEKKQAEAEKLRRLNEPEVNNGVDGDKDKDDGRSKSFKPNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGVDVASGSQPGKDVNRKPTSTAGRIMKDNQEAEKRGGGTPVAAAGTFRKCGRNQVITPQTRVARSISVKDVIAVLEREPQMSRSTMIYRLFERIQSDTTGGRELREEEISSFYIMH >ONI05438 pep chromosome:Prunus_persica_NCBIv2:G5:784871:792267:1 gene:PRUPE_5G007400 transcript:ONI05438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSIMKKLLEDDEDETMHSGADVEAFQAALNRDIEGDVSVSQPSDSDSAVLSQGSNNTSSQSLPQFHTATQDENTACQTQHDKKIAQQREMHSYEMELKQYGSGAENIQQKKDASHEFNQFPLPQKQPQGDLQQGQAEQKPLHKPETAGIPISGKIPISKHEQDVTPTPESESQYLKLQKMSSQQAMIPEQPSNPMNRSKQVPFGLLLPVLLPQLDKDRAMQLTTLFGKLKNNEISKDAFVRHIRSVVGDQMLKLAVMKVQSQPVPKHQLPPQSSVQQQPPRMPSISVGSTPFTDPRSFALHQRGANPPTDPSHIPSSAVQVQSDSSHSVIENSAKKLREAERPSDSHGMQVSQMPSSSAVAGNQERERSSGPPQILNKQQQQQQLHYPQSSFAMYGSTGGNYHPYSGTSINTSTLPLKQQPHDSQLRQIPQHQGMGSTQSGGEPQGVNITNVSKLERQNSLNDPSRLQGGSVSHFTNNSNLQQNSVPRQSSNKEQNPGPVSSMSYVKQEPIDQTAEQQQKPPLSNQQGLPSASAAQLEQGSALPGISTDESIEKQSSRMGFATSGMVTSSSTGTVPPNSVSPSIMTQVDTNVSLGHRIPSGTAGISNRAPPKKPSIGQKKPLEVPGSSPPPSSKKQKLSGNFLDQSIEQLNDVTAVSGVNLREEEEQLFSGPKEDSRASEASRKFVQEEEERLILQKAPLQKKLAEIMVKCGLKSISNDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKPRHHTITTSDVRQQVMNLNQNAREEFEKKQAEAEKLRRLNEPEVNNGVDGDKDKDDGRSKSFKPNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGVDVASGSQPGKDVNRKPTSTAGRIMKDNQEAEKRGGGTPVAAAGTFRKCGRNQVITPQTRVARSISVKDVIAVLEREPQMSRSTMIYRLFERIQSDTTGE >ONI07930 pep chromosome:Prunus_persica_NCBIv2:G5:13537130:13541811:1 gene:PRUPE_5G147700 transcript:ONI07930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRLRLSYSSDEEEQPQPQQHQNEEPQQQSSAVAHPPVTLAPPNPYPSEPLPITDDDDEFIDVSDNLSSPSPQPSPEPESDNRPHHPPPAQDPAPEPINRPPPPPSPSPPSSGGCPISEHLQGLGLRLKREWLDASVHGLQQSVTGFQNFDVETKAKLCLEQFLVSDMNLSGGGVLPENVASLHLVDLPGPYVLQVDEIVNISNPLKIRYQKAAVGLKRCLKLSITDGVQRVFAMEYRPIQALEALAPAGLKVALCNVHIRHGLLMLVPESVEVLGGLVEELDAARQRLVDEVNKPPRGNRTRNGVVPPLATRLTLAAWPPNGVHDAGHTNSSTMEAPTPFQASNQGATFSVSARNTSSVSSRNTSPVTAEESTVPLSGEHSVVNPSSTDVFGVEEMPMDTTPYSRRNAIPNPLSDDFGVENLHINSAGHSRESRVPENVIPNPSFEDALDVEDVEMDAIHIGGENHTSDSILNDEDINMINEGETHGVYPSSDAILNDEDINVVNEVERQEILSGDHEPPFSYLCSLSAKLAMMKNTHSIQGKVKCVLTGVKKFQYTHTYELQAYVDDGTLIKEILVDHNVVQEGIGHSPEEVKAAISSPDKTIVRNMKEKMKQFQKFLTNFEGIMLIEMRLHDLPIALEMKQGCTQSDAWLLLRRIKASPPARASGHASSAARTSQYPSSPARTSQHLSSHPIDISP >ONI08079 pep chromosome:Prunus_persica_NCBIv2:G5:13978608:13984213:-1 gene:PRUPE_5G157400 transcript:ONI08079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTKKPGKGKEKTEKKTAKADEKRARRETKKLSPEDDIDAILLNIQKEEAKKKEVHVEDNVPAPSPRSNCTLNINPLKETELILYGGEFYNGNKTFVYGDLYRCDVEKQEWKLISSPNSPPPRSAHQAVTWKNYLYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNLKGSPSPRSGHRMVLYKHKIIVFGGFYDTLREVRYHNDLYVFDLDQFKWQEITPRPGSMWPSARSGFQFFVYQDEIFLYGGYSKEVSSDKTGSEKGVVHSDLWSLDPRTWEWNKVKKSGMPPGPRAGFSMCVHKKRALFFGGVVDMEVGGDVMMSLFLDELYGFQLDNHRWYPLELRKGKSTKDKVKKSSGRKRNGDDKINSTEPEGSVANDKGDNSENDEEADDLESKIDGISNQMATTMTGGNGDLAVKSEGKPQESSANLDSQISDIPEIVKPCGRINSCMVVGRDTLYVYGGMMEVKDQEITLDDLYSLNLSKLDEWKCIIPASGSEWVEVSEDEDEDGDEDDDSEDECNEDSNSDETDDDNDEEGGKDGSLQMGDAVALIKGEGRALRRKEKRARIEQIRASLGLSDSQRTPTPGESLREFYKRTNMYWQMAAHEHTQHTGKELRKDGFDLAEARYRELKPILDELAILEAEQKAEEAEASAETSTKKRGKKKR >ONI08080 pep chromosome:Prunus_persica_NCBIv2:G5:13978321:13984443:-1 gene:PRUPE_5G157400 transcript:ONI08080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTMSQLRLRDQILNINPLKETELILYGGEFYNGNKTFVYGDLYRCDVEKQEWKLISSPNSPPPRSAHQAVTWKNYLYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNLKGSPSPRSGHRMVLYKHKIIVFGGFYDTLREVRYHNDLYVFDLDQFKWQEITPRPGSMWPSARSGFQFFVYQDEIFLYGGYSKEVSSDKTGSEKGVVHSDLWSLDPRTWEWNKVKKSGMPPGPRAGFSMCVHKKRALFFGGVVDMEVGGDVMMSLFLDELYGFQLDNHRWYPLELRKGKSTKDKVKKSSGRKRNGDDKINSTEPEGSVANDKGDNSENDEEADDLESKIDGISNQMATTMTGGNGDLAVKSEGKPQESSANLDSQISDIPEIVKPCGRINSCMVVGRDTLYVYGGMMEVKDQEITLDDLYSLNLSKLDEWKCIIPASGSEWVEVSEDEDEDGDEDDDSEDECNEDSNSDETDDDNDEEGGKDGSLQMGDAVALIKGEGRALRRKEKRARIEQIRASLGLSDSQRTPTPGESLREFYKRTNMYWQMAAHEHTQHTGKELRKDGFDLAEARYRELKPILDELAILEAEQKAEEAEASAETSTKKRGKKKR >ONI05615 pep chromosome:Prunus_persica_NCBIv2:G5:1666537:1671007:1 gene:PRUPE_5G015200 transcript:ONI05615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLSSFTALDSNPLSKPRSLGPHHHEPIHLQRPRNVVVCSVKPSVAPPSSLRVSGSPNQGLSRIESLTQVSGVLGCQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEETLCVIGNGVVVHLPGLFREIDGLESNGVSCKGRILVSDRAHLLFDFHQVVDGLREYELAKSFIGTTKRGIGPCYSNKVIRNGIRVSDLRHMDTFPQKLDLLLSDAASRFQGFDYGPHVLKEEVEKYKRFAERLEPFIADTVFVVNEAISEKKKILVEGGQATMLDIDFGTYPFVTSSTPSAGGICTGLGIAPRVLGNLVGVVKAYTTRVGSGPFPTEILDKGGDLLRFAGQEFGTTTGRPRRCGWLDIAALKYCCQINGFSSLNLTKLDVLSDLPEIQLGVAYKQIDGTPIKSFPADLRDLEQLKVEYEVLPGWKSDISSVRNYSDLPKAARQYVERIEELVGIPVHYIGVGPGRDALIYK >ONI05617 pep chromosome:Prunus_persica_NCBIv2:G5:1666537:1671007:1 gene:PRUPE_5G015200 transcript:ONI05617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLSSFTALDSNPLSKPRSLGPHHHEPIHLQRPRNVVVCSVKPSVAPPSSLRVSGSPNQGLSRIESLTQVSGVLGCQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEETLCVIGNGVVVHLPGLFREIDGLESNGVSCKGRILVSDRAHLLFDFHQVVDGLREYELAKSFIGTTKRGIGPCYSNKVIRNGIRVSDLRHMDTFPQKLDLLLSDAASRFQGFDYGPHVLKEEVEKYKRFAERLEPFIADTVFVVNEAISEKKKILVEGGQATMLDIDFGTYPFVTSSTPSAGGICTGLGIAPRVLGNLVGVVKAYTTRVGSGPFPTEILDKGGDLLRFAGQEFGTTTGRPRRCGWLDIAALKYCCQINGFSSLNLTKLDVLSDLPEIQLGVAYKQIDGTPIKSFPADLRDLEQLKVEYEVLPGWKSDISSVRNYSDLPKAARQYVERIEELVGIPVHYIGVGPGRDALIYK >ONI05616 pep chromosome:Prunus_persica_NCBIv2:G5:1666536:1672020:1 gene:PRUPE_5G015200 transcript:ONI05616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLSSFTALDSNPLSKPRSLGPHHHEPIHLQRPRNVVVCSVKPSVAPPSSLRVSGSPNQGLSRIESLTQVSGVLGCQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEETLCVIGNGVVVHLPGLFREIDGLESNGVSCKGRILVSDRAHLLFDFHQVVDGLREYELAKSFIGTTKRGIGPCYSNKVIRNGIRVSDLRHMDTFPQKLDLLLSDAASRFQGFDYGPHVLKEEVEKYKRFAERLEPFIADTVFVVNEAISEKKKILVEGGQATMLDIDFGTYPFVTSSTPSAGGICTGLGIAPRVLGNLVGVVKAYTTRVGSGPFPTEILDKGGDLLRFAGQEFGTTTGRPRRCGWLDIAALKYCCQINGFSSLNLTKLDVLSDLPEIQLGVAYKQIDGTPIKSFPADLRDLEQLKVEYEVLPGWKSDISSVRNYSDLPKAARQYVERIEELVGIPVHYIGVGPGRDALIYK >ONI05618 pep chromosome:Prunus_persica_NCBIv2:G5:1666537:1671957:1 gene:PRUPE_5G015200 transcript:ONI05618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLSSFTALDSNPLSKPRSLGPHHHEPIHLQRPRNVVVCSVKPSVAPPSSLRVSGSPNQGLSRIESLTQVSGVLGCQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILNEETLCVIGNGVVVHLPGLFREIDGLESNGVSCKGRILVSDRAHLLFDFHQVVDGLREYELAKSFIGTTKRGIGPCYSNKVIRNGIRVSDLRHMDTFPQKLDLLLSDAASRFQGFDYGPHVLKEEVEKYKRFAERLEPFIADTVFVVNEAISEKKKILVEGGQATMLDIDFGTYPFVTSSTPSAGGICTGLGIAPRVLGNLVGVVKAYTTRVGSGPFPTEILDKGGDLLRFAGQEFGTTTGRPRRCGWLDIAALKYCCQINGFSSLNLTKLDVLSDLPEIQLGVAYKQIDGTPIKSFPADLRDLEQLKVEYEVLPGWKSDISSVRNYSDLPKAARQYVERIEELVGIPVHYIGVGPGRDALIYK >ONI07120 pep chromosome:Prunus_persica_NCBIv2:G5:10883274:10886981:-1 gene:PRUPE_5G101000 transcript:ONI07120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMTPVKSPMPKSPMPKSPMPKSPMVCEKYETGCMWHLYGLFNFRQGHSNKKRLSHKRHTNRLDDGNFKTKLDLLNKMDEKGQSMDDRMKNKNQTVDSDMASKRKLKGEDLSTEPQMNKKFATDEGEDIPSNSKFVGHLPKNNRKTSKTRQRSHEAKHQKHSNSVLVEEPLNKLNSAALPEVSSKEVHSKNRRGCGCKSIDTVKHDQHNEINLVPVQLNAAEAIINQKFVDGVNHQSKQLLDALEILNSNKELFRKLLQDPNSLLVKHIEDLRDSQVRTHQSKSPGEANISEYRTSKARQSEGPSSIHTLKSCDIYPSQENGESEFPERIIVLKPGPASMEISSESINTSMQSLRNNGQRDTPADSSFSRIKRKLRHAISESRKEQHSKSIDGTLNTSPCQSTGDDCKGKGMKIIRSNSPIVDGGGVTKSSLDIKKRENIGKVKQCESSIGREAASTSGSGLGSSNFSLVSQPEREESETSVEAGKHLSELLNNGNKEKSYFERQAQKTWGSVMSFPEYDFLPTCNPVRDWENRFLNEQMTFSPYSNCQMVYENKWRLNKEKKASYSSPLRQDVEALPDNKKLDDQLQVFDTRQNNSDYPFTDINVLGDISSPKGCVQILENDNTMHHGETSSLEVPSESESAYKFDTIKANYTIRPGETNYLEVLSKPDCTEKTNTTETNDTSYQGETQHSEILTELDSTDKALDQSSETTNMYEEEEYFKRSRQPLTSSPDVFQSSPSSIQRVEDSDGIEDKGEQPSPVSVLEQFFVDATCYASTISEPAEEHHSALLVKSPLEPETSSLNEHEYISEYVMAVLQASGLNWEELSMMCQSSDRLLDPFLFDAVKLQPNQFHGDCMLLFDCINEVLVEVYHTHLPCSPWVSFIKPNARRKLCIEKTVIHEVMKSVHPSPHTMQQIVEKDIAESGMWLDIRNDVEETVFEMVEDVLEGLIMETIF >ONI07121 pep chromosome:Prunus_persica_NCBIv2:G5:10882133:10887040:-1 gene:PRUPE_5G101000 transcript:ONI07121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMTPVKSPMPKSPMPKSPMPKSPMVCEKYETGCMWHLYGLFNFRQGHSNKKRLSHKRHTNRLDDGNFKTKLDLLNKMDEKGQSMDDRMKNKNQTVDSDMASKRKLKGEDLSTEPQMNKKFATDEGEDIPSNSKFVGHLPKNNRKTSKTRQRSHEAKHQKHSNSVLVEEPLNKLNSAALPEVSSKEVHSKNRRGCGCKSIDTVKHDQHNEINLVPVQLNAAEAIINQKFVDGVNHQSKQLLDALEILNSNKELFRKLLQDPNSLLVKHIEDLRDSQVRTHQSKSPGEANISEYRTSKARQSEGPSSIHTLKSCDIYPSQENGESEFPERIIVLKPGPASMEISSESINTSMQSLRNNGQRDTPADSSFSRIKRKLRHAISESRKEQHSKSIDGTLNTSPCQSTGDDCKGKGMKIIRSNSPIVDGGGVTKSSLDIKKRENIGKVKQCESSIGREAASTSGSGLGSSNFSLVSQPEREESETSVEAGKHLSELLNNGNKEKSYFERQAQKTWGSVMSFPEYDFLPTCNPVRDWENRFLNEQMTFSPYSNCQMVYENKWRLNKEKKASYSSPLRQDVEALPDNKKLDDQLQVFDTRQNNSDYPFTDINVLGDISSPKGCVQILENDNTMHHGETSSLEVPSESESAYKFDTIKANYTIRPGETNYLEVLSKPDCTEKTNTTETNDTSYQGETQHSEILTELDSTDKALDQSSETTNMYEEEEYFKRSRQPLTSSPDVFQSSPSSIQRVEDSDGIEDKGEQPSPVSVLEQFFVDATCYASTISEPAEEHHSALLVKSPLEPETSSLNEHEYISEYVMAVLQASGLNWEELSMMCQSSDRLLDPFLFDAVKLQPNQFHGDCMLLFDCINEVLVEVYHTHLPCSPWVSFIKPNARRKLCIEKTVIHEVMKSVHPSPHTMQQIVEKDIAESGMWLDIRNDVEETVFEMVEDVLEGLIMETIF >ONI07122 pep chromosome:Prunus_persica_NCBIv2:G5:10882133:10888655:-1 gene:PRUPE_5G101000 transcript:ONI07122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSVKVIPIRRGFHIRGIQTDWMMEILRPSLICLTKWTRRAKAWIMKNKNQTVDSDMASKRKLKGEDLSTEPQMNKKFATDEGEDIPSNSKFVGHLPKNNRKTSKTRQRSHEAKHQKHSNSVLVEEPLNKLNSAALPEVSSKEVHSKNRRGCGCKSIDTVKHDQHNEINLVPVQLNAAEAIINQKFVDGVNHQSKQLLDALEILNSNKELFRKLLQDPNSLLVKHIEDLRDSQVRTHQSKSPGEANISEYRTSKARQSEGPSSIHTLKSCDIYPSQENGESEFPERIIVLKPGPASMEISSESINTSMQSLRNNGQRDTPADSSFSRIKRKLRHAISESRKEQHSKSIDGTLNTSPCQSTGDDCKGKGMKIIRSNSPIVDGGGVTKSSLDIKKRENIGKVKQCESSIGREAASTSGSGLGSSNFSLVSQPEREESETSVEAGKHLSELLNNGNKEKSYFERQAQKTWGSVMSFPEYDFLPTCNPVRDWENRFLNEQMTFSPYSNCQMVYENKWRLNKEKKASYSSPLRQDVEALPDNKKLDDQLQVFDTRQNNSDYPFTDINVLGDISSPKGCVQILENDNTMHHGETSSLEVPSESESAYKFDTIKANYTIRPGETNYLEVLSKPDCTEKTNTTETNDTSYQGETQHSEILTELDSTDKALDQSSETTNMYEEEEYFKRSRQPLTSSPDVFQSSPSSIQRVEDSDGIEDKGEQPSPVSVLEQFFVDATCYASTISEPAEEHHSALLVKSPLEPETSSLNEHEYISEYVMAVLQASGLNWEELSMMCQSSDRLLDPFLFDAVKLQPNQFHGDCMLLFDCINEVLVEVYHTHLPCSPWVSFIKPNARRKLCIEKTVIHEVMKSVHPSPHTMQQIVEKDIAESGMWLDIRNDVEETVFEMVEDVLEGLIMETIF >ONI08063 pep chromosome:Prunus_persica_NCBIv2:G5:13938417:13942611:-1 gene:PRUPE_5G156400 transcript:ONI08063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPPIDLKDVQQKLATHFRPWQRSLQFWARAVDIYTGYKVFQLRVILVKDVKKQEEMWERQHEVAAEKIYAMCSDLGGFFLKVAQIIGKPDLAPAAWVKRLVTLCDHAPATPFDAVQLMLETELGRNVGEVFERFDVDPLGSASIAQVHRARLRGDKTDVVVKVQHPGVQDLMMTDIRNLQAFALCIQKTDIKFDLYSVTKEMEKQIGYEFDFMREANAMEKIRRFLYENNKKSVLVPRLMRDIVTRVLVMEYIDGVPILNLGAEIAKRGINPGGKIAVAAKQKILQSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDELRLGYASLVLAIADGDPIRASESYRELGIGTLSKCENEQHELLRLAETMFDTKLPPGVTMLQPFSEESSIKKIAVETFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEEALFRAGRLTGCQG >ONI08065 pep chromosome:Prunus_persica_NCBIv2:G5:13938417:13942611:-1 gene:PRUPE_5G156400 transcript:ONI08065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPPIDLKDVQQKLATHFRPWQRSLQFWARAVDIYTGYKVFQLRVILVKDVKKQEEMWERQHEVAAEKIYAMCSDLGGFFLKVAQIIGKPDLAPAAWVKRLVTLCDHAPATPFDAVQLMLETELGRNVGEVFERFDVDPLGSASIAQVHRARLRGDKTDVVVKVQHPGVQDLMMTDIRNLQAFALCIQKTDIKFDLYSVTKEMEKQIGYEFDFMREANAMEKIRRFLYENNKKSVLVPRLMRDIVTRRVLVMEYIDGVPILNLGAEIAKRGINPGGKIAVAAKQKILQSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDELRLGYASLVLAIADGDPIRASESYRELGIGTLSKCENEQHELLRLAETMFDTKLPPGVTMLQPFSEESSIKKIAVETFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEEALFRAGRLTGCQG >ONI08064 pep chromosome:Prunus_persica_NCBIv2:G5:13938493:13942573:-1 gene:PRUPE_5G156400 transcript:ONI08064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPPIDLKDVQQKLATHFRPWQRSLQFWARAVDIYTGYKVFQLRVILVKDVKKQEEMWERQHEVAAEKIYAMCSDLGGFFLKVAQIIGKPDLAPAAWVKRLVTLCDHAPATPFDAVQLMLETELGRNVGEVFERFDVDPLGSASIAQVHRARLRGDKTDVVVKVQHPGVQDLMMTDIRNLQAFALCIQKTDIKFDLYSVTKEMEKQIGYEFDFMREANAMEKIRRFLYENNKKSVLVPRLMRDIVTRVLVMEYIDGVPILNLGAEIAKRGINPGGKIAVAAKQKILQSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDELRLGYASLVLAIADGDPIRASESYRELGIGTLSKCENEQHELLRLAETMFDTKLPPGVTMLQPFSEESSIKKIAVETFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEEALFRAGRLTGGCQG >ONI08959 pep chromosome:Prunus_persica_NCBIv2:G5:16687883:16697104:-1 gene:PRUPE_5G209800 transcript:ONI08959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDLSSLRDNSSYQTPMEPIIGSFNLGDRTEAMNETATCCSSGAKRKKGLDSDDIMLDILRCSRRSKNVLDEHNFTRFVEVLASVSCLSDPYNGDCMLGRRVHLRRQGLGTGLPKSNGSSCLCPPWLKIIMKAFAFLNTFSAFIQSRQERTTSILLEQALGQLPKFGVELGLKDIKNLSVISPKVVRFVNKNAEETSSGNAIVIINCSTEDNRKHGCKQMDVPMIVSVLKIRESSFRSNLWKAIEWLLFKTGNEISRDFSLEDLLISVKECDSAARGNEAKQSRITPAASNNFDRKHSAASRTHRCHGTDSLLPEEMVEHLRKGIGAKGQVVHVEDIGARRPVYVEVPHELSENMRSALHSIGITQLYSHQAESIQASLSGKNVVVATMTSSGKSLCYNLPVLEVLSQSSSSCALYIFPTKALAQDQLRALLAMTKGFDGSLNIGVYDGDTTQEDRTWLRHNSRLLITNPDMLHVSILPHHRRFARILENIRFVVIDEAHIYKGAFGCHTAFVLRRLRRLCSHVYGSDPSFVFSTATSANPHDHCMELASLPTLELIQNDGSPAARKLFILWNPFVDQETVVKNPNNIMVNGKSSDESANFKSSSPIVDVSCLFAEMVQHGLRCLAFCKTRKLCELVLCYTREILQQTAPHLVDSICAYRAGYIAQNRRRIESDFFDGKLCGIAATNALELGIDVGHIDVTLHLGFPGSISSLWQQAGRAGRRDRPSLAVYVAFEGPLDQYFMKYPKKLFGSPIECCHVDAKNQQVLAQQLVCAAHEHPLSLSYDEKFFGSGLDSAIVSLKNRGYLSYDSLCNSSAKFWNYIGHEKMPSHSVSIRSIETERYKVIDQQKKEILEEIEESSAFFQVYEGAVYMNQGKTYLVTSLDLSRKIASCYVADLKYYTKSRDCTDIHVMGSKYAYRPQLSNIQFSRTTARADPCKVTTTWLGYHRVSRGSNEIMETVDHVLPKYSYESQAVWVSVPQSVKEAVIMKDLDFRAGLHAASHVVLNVVPLRIICNLSDLAPECINPEYTRYYPERILLYDRHPGGSGVSVQVQPIFMELLIAALELLTSCRCSEHGGCPNCVQSFACKEYNEGLHKESAIMIIKGVLDAEKL >ONI08956 pep chromosome:Prunus_persica_NCBIv2:G5:16687185:16697714:-1 gene:PRUPE_5G209800 transcript:ONI08956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENERQIQVRTLVGESSTVSVSVNDTIENFKRLLKRSFPPATRSLNFHLFFKGGKLGLQSKIGSLNIKPDEFLVLVPFSKKEPNNNQTQKSDQSKASLNGSDKSFTISNFADSAWSDMMQDLSSLRDNSSYQTPMEPIIGSFNLGDRTEAMNETATCCSSGAKRKKGLDSDDIMLDILRCSRRSKNVLDEHNFTRFVEVLASVSCLSDPYNGDCMLGRRVHLRRQGLGTGLPKSNGSSCLCPPWLKIIMKAFAFLNTFSAFIQSRQERTTSILLEQALGQLPKFGVELGLKDIKNLSVISPKVVRFVNKNAEETSSGNAIVIINCSTEDNRKHGCKQMDVPMIVSVLKIRESSFRSNLWKAIEWLLFKTGNEISRDFSLEDLLISVKECDSAARGNEAKQSRITPAASNNFDRKHSAASRTHRCHGTDSLLPEEMVEHLRKGIGAKGQVVHVEDIGARRPVYVEVPHELSENMRSALHSIGITQLYSHQAESIQASLSGKNVVVATMTSSGKSLCYNLPVLEVLSQSSSSCALYIFPTKALAQDQLRALLAMTKGFDGSLNIGVYDGDTTQEDRTWLRHNSRLLITNPDMLHVSILPHHRRFARILENIRFVVIDEAHIYKGAFGCHTAFVLRRLRRLCSHVYGSDPSFVFSTATSANPHDHCMELASLPTLELIQNDGSPAARKLFILWNPFVDQETVVKNPNNIMVNGKSSDESANFKSSSPIVDVSCLFAEMVQHGLRCLAFCKTRKLCELVLCYTREILQQTAPHLVDSICAYRAGYIAQNRRRIESDFFDGKLCGIAATNALELGIDVGHIDVTLHLGFPGSISSLWQQAGRAGRRDRPSLAVYVAFEGPLDQYFMKYPKKLFGSPIECCHVDAKNQQVLAQQLVCAAHEHPLSLSYDEKFFGSGLDSAIVSLKNRGYLSYDSLCNSSAKFWNYIGHEKMPSHSVSIRSIETERYKVIDQQKKEILEEIEESSAFFQVYEGAVYMNQGKTYLVTSLDLSRKIASCYVADLKYYTKSRDCTDIHVMGSKYAYRPQLSNIQFSRTTARADPCKVTTTWLGYHRVSRGSNEIMETVDHVLPKYSYESQAVWVSVPQSVKEAVIMKDLDFRAGLHAASHVVLNVVPLRIICNLSDLAPECINPEYTRYYPERILLYDRHPGGSGVSVQVQPIFMELLIAALELLTSCRCSEHGGCPNCVQSFACKEYNEGLHKESAIMIIKGVLDAEKL >ONI08960 pep chromosome:Prunus_persica_NCBIv2:G5:16687185:16697714:-1 gene:PRUPE_5G209800 transcript:ONI08960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRQVLVMRLLSSIVQQRIIVNMMDVPMIVSVLKIRESSFRSNLWKAIEWLLFKTGNEISRDFSLEDLLISVKECDSAARGNEAKQSRITPAASNNFDRKHSAASRTHRCHGTDSLLPEEMVEHLRKGIGAKGQVVHVEDIGARRPVYVEVPHELSENMRSALHSIGITQLYSHQAESIQASLSGKNVVVATMTSSGKSLCYNLPVLEVLSQSSSSCALYIFPTKALAQDQLRALLAMTKGFDGSLNIGVYDGDTTQEDRTWLRHNSRLLITNPDMLHVSILPHHRRFARILENIRFVVIDEAHIYKGAFGCHTAFVLRRLRRLCSHVYGSDPSFVFSTATSANPHDHCMELASLPTLELIQNDGSPAARKLFILWNPFVDQETVVKNPNNIMVNGKSSDESANFKSSSPIVDVSCLFAEMVQHGLRCLAFCKTRKLCELVLCYTREILQQTAPHLVDSICAYRAGYIAQNRRRIESDFFDGKLCGIAATNALELGIDVGHIDVTLHLGFPGSISSLWQQAGRAGRRDRPSLAVYVAFEGPLDQYFMKYPKKLFGSPIECCHVDAKNQQVLAQQLVCAAHEHPLSLSYDEKFFGSGLDSAIVSLKNRGYLSYDSLCNSSAKFWNYIGHEKMPSHSVSIRSIETERYKVIDQQKKEILEEIEESSAFFQVYEGAVYMNQGKTYLVTSLDLSRKIASCYVADLKYYTKSRDCTDIHVMGSKYAYRPQLSNIQFSRTTARADPCKVTTTWLGYHRVSRGSNEIMETVDHVLPKYSYESQAVWVSVPQSVKEAVIMKDLDFRAGLHAASHVVLNVVPLRIICNLSDLAPECINPEYTRYYPERILLYDRHPGGSGVSVQVQPIFMELLIAALELLTSCRCSEHGGCPNCVQSFACKEYNEGLHKESAIMIIKGVLDAEKL >ONI08962 pep chromosome:Prunus_persica_NCBIv2:G5:16687944:16697714:-1 gene:PRUPE_5G209800 transcript:ONI08962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENERQIQVRTLVGESSTVSVSVNDTIENFKRLLKRSFPPATRSLNFHLFFKGGKLGLQSKIGSLNIKPDEFLVLVPFSKKEPNNNQTQKSDQSKASLNGSDKSFTISNFADSAWSDMMQDLSSLRDNSSYQTPMEPIIGSFNLGDRTEAMNETATCCSSGAKRKKGLDSDDIMLDILRCSRRSKNVLDEHNFTRFVEVLASVSCLSDPYNGDCMLGRRVHLRRQGLGTGLPKSNGSSCLCPPWLKIIMKAFAFLNTFSAFIQSRQERTTSILLEQALGQLPKFGVELGLKDIKNLSVISPKVVRFVNKNAEETSSGNAIVIINCSTEDNRKHGCKQMDVPMIVSVLKIRESSFRSNLWKAIEWLLFKTGNEISRDFSLEDLLISVKECDSAARGNEAKQSRITPAASNNFDRKHSAASRTHRCHGTDSLLPEEMVEHLRKGIGAKGQVVHVEDIGARRPVYVEVPHELSENMRSALHSIGITQLYSHQAESIQASLSGKNVVVATMTSSGKSLCYNLPVLEVLSQSSSSCALYIFPTKALAQDQLRALLAMTKGFDGSLNIGVYDGDTTQEDRTWLRHNSRLLITNPDMLHVSILPHHRRFARILENIRFVVIDEAHIYKGAFGCHTAFVLRRLRRLCSHVYGSDPSFVFSTATSANPHDHCMELASLPTLELIQNDGSPAARKLFILWNPFVDQETVVKNPNNIMVNGKSSDESANFKSSSPIVDVSCLFAEMVQHGLRCLAFCKTRKLCELVLCYTREILQQTAPHLVDSICAYRAGYIAQNRRRIESDFFDGKLCGIAATNALELGIDVGHIDVTLHLGFPGSISSLWQQAGRAGRRDRPSLAVYVAFEGPLDQYFMKYPKKLFGSPIECCHVDAKNQQVLAQQLVCAAHEHPLSLSYDEKFFGSGLDSAIVSLKNRGYLSYDSLCNSSAKFWNYIGHEKMPSHSVSIRSIETERYKVIDQQKKEILEEIEESSAFFQVYEGAVYMNQGKTYLVTSLDLSRKIASCYVADLKYYTKSRDCTDIHVMGSKYAYRPQLSNIQFSRTTARADPCKVTTTWLGYHRVSRGSNEIMETVDHVLPKYSYESQAVWVSVPQSVKEAVIMKDLDFRAGLHAASHVVLNVVPLRIICNLSDLAPECINPEYTRYYPERILLYDRHPGGSGVSVQVQPIFMELLIAALELLTSCRCSEHGGCPNCVQSFACKEYNEGLHKESAIMIIKVEI >ONI08961 pep chromosome:Prunus_persica_NCBIv2:G5:16687883:16696420:-1 gene:PRUPE_5G209800 transcript:ONI08961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRQVLVMRLLSSIVQQRIIVNMMDVPMIVSVLKIRESSFRSNLWKAIEWLLFKTGNEISRDFSLEDLLISVKECDSAARGNEAKQSRITPAASNNFDRKHSAASRTHRCHGTDSLLPEEMVEHLRKGIGAKGQVVHVEDIGARRPVYVEVPHELSENMRSALHSIGITQLYSHQAESIQASLSGKNVVVATMTSSGKSLCYNLPVLEVLSQSSSSCALYIFPTKALAQDQLRALLAMTKGFDGSLNIGVYDGDTTQEDRTWLRHNSRLLITNPDMLHVSILPHHRRFARILENIRFVVIDEAHIYKGAFGCHTAFVLRRLRRLCSHVYGSDPSFVFSTATSANPHDHCMELASLPTLELIQNDGSPAARKLFILWNPFVDQETVVKNPNNIMVNGKSSDESANFKSSSPIVDVSCLFAEMVQHGLRCLAFCKTRKLCELVLCYTREILQQTAPHLVDSICAYRAGYIAQNRRRIESDFFDGKLCGIAATNALELGIDVGHIDVTLHLGFPGSISSLWQQAGRAGRRDRPSLAVYVAFEGPLDQYFMKYPKKLFGSPIECCHVDAKNQQVLAQQLVCAAHEHPLSLSYDEKFFGSGLDSAIVSLKNRGYLSYDSLCNSSAKFWNYIGHEKMPSHSVSIRSIETERYKVIDQQKKEILEEIEESSAFFQVYEGAVYMNQGKTYLVTSLDLSRKIASCYVADLKYYTKSRDCTDIHVMGSKYAYRPQLSNIQFSRTTARADPCKVTTTWLGYHRVSRGSNEIMETVDHVLPKYSYESQAVWVSVPQSVKEAVIMKDLDFRAGLHAASHVVLNVVPLRIICNLSDLAPECINPEYTRYYPERILLYDRHPGGSGVSVQVQPIFMELLIAALELLTSCRCSEHGGCPNCVQSFACKEYNEGLHKESAIMIIKGVLDAEKL >ONI08958 pep chromosome:Prunus_persica_NCBIv2:G5:16687883:16697104:-1 gene:PRUPE_5G209800 transcript:ONI08958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDLSSLRDNSSYQTPMEPIIGSFNLGDRTEAMNETATCCSSGAKRKKGLDSDDIMLDILRCSRRSKNVLDEHNFTRFVEVLASVSCLSDPYNGDCMLGRRVHLRRQGLGTGLPKSNGSSCLCPPWLKIIMKAFAFLNTFSAFIQSRQERTTSILLEQALGQLPKFGVELGLKDIKNLSVISPKVVRFVNKNAEETSSGNAIVIINCSTEDNRKHGCKQMDVPMIVSVLKIRESSFRSNLWKAIEWLLFKTGNEISRDFSLEDLLISVKECDSAARGNEAKQSRITPAASNNFDRKHSAASRTHRCHGTDSLLPEEMVEHLRKGIGAKGQVVHVEDIGARRPVYVEVPHELSENMRSALHSIGITQLYSHQAESIQASLSGKNVVVATMTSSGKSLCYNLPVLEVLSQSSSSCALYIFPTKALAQDQLRALLAMTKGFDGSLNIGVYDGDTTQEDRTWLRHNSRLLITNPDMLHVSILPHHRRFARILENIRFVVIDEAHIYKGAFGCHTAFVLRRLRRLCSHVYGSDPSFVFSTATSANPHDHCMELASLPTLELIQNDGSPAARKLFILWNPFVDQETVVKNPNNIMVNGKSSDESANFKSSSPIVDVSCLFAEMVQHGLRCLAFCKTRKLCELVLCYTREILQQTAPHLVDSICAYRAGYIAQNRRRIESDFFDGKLCGIAATNALELGIDVGHIDVTLHLGFPGSISSLWQQAGRAGRRDRPSLAVYVAFEGPLDQYFMKYPKKLFGSPIECCHVDAKNQQVLAQQLVCAAHEHPLSLSYDEKFFGSGLDSAIVSLKNRGYLSYDSLCNSSAKFWNYIGHEKMPSHSVSIRSIETERYKVIDQQKKEILEEIEESSAFFQVYEGAVYMNQGKTYLVTSLDLSRKIASCYVADLKYYTKSRDCTDIHVMGSKYAYRPQLSNIQFSRTTARADPCKVTTTWLGYHRVSRGSNEIMETVDHVLPKYSYESQAVWVSVPQSVKEAVIMKDLDFRAGLHAASHVVLNVVPLRIICNLSDLAPECINPEYTRYYPERILLYDRHPGGSGVSVQVQPIFMELLIAALELLTSCRCSEHGGCPNCVQSFACKEYNEGLHKESAIMIIKGVLDAEKL >ONI08957 pep chromosome:Prunus_persica_NCBIv2:G5:16687883:16697534:-1 gene:PRUPE_5G209800 transcript:ONI08957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENERQIQVRTLVGESSTVSVSVNDTIENFKRLLKRSFPPATRSLNFHLFFKGGKLGLQSKIGSLNIKPDEFLVLVPFSKKEPNNNQTQKSDQSKASLNGSDKSFTISNFADSAWSDMMQDLSSLRDNSSYQTPMEPIIGSFNLGDRTEAMNETATCCSSGAKRKKGLDSDDIMLDILRCSRRSKNVLDEHNFTRFVEVLASVSCLSDPYNGDCMLGRRVHLRRQGLGTGLPKSNGSSCLCPPWLKIIMKAFAFLNTFSAFIQSRQERTTSILLEQALGQLPKFGVELGLKDIKNLSVISPKVVRFVNKNAEETSSGNAIVIINCSTEDNRKHGCKQMDVPMIVSVLKIRESSFRSNLWKAIEWLLFKTGNEISRDFSLEDLLISVKECDSAARGNEAKQSRITPAASNNFDRKHSAASRTHRCHGTDSLLPEEMVEHLRKGIGAKGQVVHVEDIGARRPVYVEVPHELSENMRSALHSIGITQLYSHQAESIQASLSGKNVVVATMTSSGKSLCYNLPVLEVLSQSSSSCALYIFPTKALAQDQLRALLAMTKGFDGSLNIGVYDGDTTQEDRTWLRHNSRLLITNPDMLHVSILPHHRRFARILENIRFVVIDEAHIYKGAFGCHTAFVLRRLRRLCSHVYGSDPSFVFSTATSANPHDHCMELASLPTLELIQNDGSPAARKLFILWNPFVDQETVVKNPNNIMVNGKSSDESANFKSSSPIVDVSCLFAEMVQHGLRCLAFCKTRKLCELVLCYTREILQQTAPHLVDSICAYRAGYIAQNRRRIESDFFDGKLCGIAATNALELGIDVGHIDVTLHLGFPGSISSLWQQAGRAGRRDRPSLAVYVAFEGPLDQYFMKYPKKLFGSPIECCHVDAKNQQVLAQQLVCAAHEHPLSLSYDEKFFGSGLDSAIVSLKNRGYLSYDSLCNSSAKFWNYIGHEKMPSHSVSIRSIETERYKVIDQQKKEILEEIEESSAFFQVYEGAVYMNQGKTYLVTSLDLSRKIASCYVADLKYYTKSRDCTDIHVMGSKYAYRPQLSNIQFSRTTARADPCKVTTTWLGYHRVSRGSNEIMETVDHVLPKYSYESQAVWVSVPQSVKEAVIMKDLDFRAGLHAASHVVLNVVPLRIICNLSDLAPECINPEYTRYYPERILLYDRHPGGSGVSVQVQPIFMELLIAALELLTSCRCSEHGGCPNCVQSFACKEYNEGLHKESAIMIIKGVLDAEKL >ONI05612 pep chromosome:Prunus_persica_NCBIv2:G5:1652782:1662392:-1 gene:PRUPE_5G015100 transcript:ONI05612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGRGRGRGRGRGRGRGRGRGRGRGGGGGRNGSKQEDPEIHRDQEVQETHENGVSENGSLQKEETLGNQSDGSKGVAETLKKKKRGRKSKKDLEADRVEIIMGKEEHSLEKQNGEEGEGKGVALSERESRGRKRSRDLGNSDESLRKSAGYSLRPVKIPLMQEEQTTNKQSKEFVEEQSLMCHQCQRNDKGRVVRCKSCKRKRYCVPCIQNWYPQTSEDAIAESCPVCRGNCNCKACLRIDVPVKNLILDFKIEEGEKVEHSKYLIHTLLPFLKRINDEQVIEMEMEARRQGLTLLELKTKKSDVKADERVYCNNCKTSIFDLHRTCPSCSYDLCLNCCREIRDGRLQGGGEEVIMEYVSRGLHYLHGGKEKVELPPETSPKCSGRSTFEWKPNEDGNIPCPPKDMNGCGDGILELRCMFPENHIRELVKKAEEIDEAYNLMRLSETLAERCSCLNSVDDVGSSSTKSRKAASRVASDDNYLYCPRAGDIQRDDFKHFQSHWFRGEPVIVSNVLETTNGLSWEPLVMWRACRQMKHIKHDRLLDVKTIDCLDWCEADINIHQFFTGYSKGRFDWENWPQILKLKDWPPSNLFEERLPRHGAEFICCLPFKEYTHPRSGCLNLATKLPKEPKDYVKPDMGPKTYIAYGFAQELGRGDSVTKLHCDMSDAVNVLTHTTEVTLTPEQLATIEKLKKKHMEQDQREFFGDCQTQDDFMDSGNPGSGSCSRDANDKEFCLEVGNKKSGTLVQELDKSNVGHDGNFSKGSESEKSVSKGSESEKSVEEKLDHDESGENSEHSINTGNKLEGSNEAEGGALWDIFRRQDVPKLEEYLRKHSKEFRHTHCCPLQQVIHPIHDQTFYLTLEHKKKLKEEYGIEPWTFVQNLGDAVFIPAGCPHQSCIKVAMDFVSPENVSECFRMTEEFRKLPQNHRAKEDKLEVKKMIVHAVNDLMSSDPKARSKKTEDTTHKKRKH >ONI05613 pep chromosome:Prunus_persica_NCBIv2:G5:1653159:1661967:-1 gene:PRUPE_5G015100 transcript:ONI05613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGRGRGRGRGRGRGRGRGRGRGRGGGGGRNGSKQEDPEIHRDQEVQETHENGVSENGSLQKEETLGNQSDGSKGVAETLKKKKRGRKSKKDLEADRVEIIMGKEEHSLEKQNGEEGEGKGVALSERESRGRKRSRDLGNSDESLRKSAGYSLRPVKIPLMQEEQTTNKQSKEFVEEQSLMCHQCQRNDKGRVVRCKSCKRKRYCVPCIQNWYPQTSEDAIAESCPVCRGNCNCKACLRIDVPVKNLILDFKIEEGEKVEHSKYLIHTLLPFLKRINDEQVIEMEMEARRQGLTLLELKTKKSDVKADERVYCNNCKTSIFDLHRTCPSCSYDLCLNCCREIRDGRLQGGGEEVIMEYVSRGLHYLHGGKEKVELPPETSPKCSGRSTFEWKPNEDGNIPCPPKDMNGCGDGILELRCMFPENHIRELVKKAEEIDEAYNLMRLSETLAERCSCLNSVDDVGSSSTKSRKAASRVASDDNYLYCPRAGDIQRDDFKHFQSHWFRGEPVIVSNVLETTNGLSWEPLVMWRACRQMKHIKHDRLLDVKTIDCLDWCEADINIHQFFTGYSKGRFDWENWPQILKLKDWPPSNLFEERLPRHGAEFICCLPFKEYTHPRSGCLNLATKLPKEPKDYVKPDMGPKTYIAYGFAQELGRGDSVTKLHCDMSDAVNVLTHTTEVTLTPEQLATIEKLKKKHMEQDQREFFGDCQTQDDFMDSGNPGSGSCSRDANDKEFCLEVGNKKSGTLVQELDKSNVGHDGNFSKGSESEKSVSKGSESEKSVEEKLDHDESGENSEHSINTGNKLEGSNEAEGGALWDIFRRQDVPKLEEYLRKHSKEFRHTHCCPLQQVIHPIHDQTFYLTLEHKKKLKEEYGIEPWTFVQNLGDAVFIPAGCPHQVRNLKSCIKVAMDFVSPENVSECFRMTEEFRKLPQNHRAKEDKLEVKKMIVHAVNDLMSSDPKARSKKTEDTTHKKRKH >ONI05611 pep chromosome:Prunus_persica_NCBIv2:G5:1652782:1662392:-1 gene:PRUPE_5G015100 transcript:ONI05611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGRGRGRGRGRGRGRGRGRGRGRGGGGGRNGSKQEDPEIHRDQEVQETHENGVSENGSLQKEETLGNQSDGSKGVAETLKKKKRGRKSKKDLEADRVEIIMGKEEHSLEKQNGEEGEGKGVALSERESRGRKRSRDLGNSDESLRKSAGYSLRPVKIPLMQEEQTTNKQSKEFVEEQSLMCHQCQRNDKGRVVRCKSCKRKRYCVPCIQNWYPQTSEDAIAESCPVCRGNCNCKACLRIDVPVKNLILDFKIEEGEKVEHSKYLIHTLLPFLKRINDEQVIEMEMEARRQGLTLLELKTKKSDVKADERVYCNNCKTSIFDLHRTCPSCSYDLCLNCCREIRDGRLQGGGEEVIMEYVSRGLHYLHGGKEKVELPPETSPKCSGRSTFEWKPNEDGNIPCPPKDMNGCGDGILELRCMFPENHIRELVKKAEEIDEAYNLMRLSETLAERCSCLNSVDDVGSSSTKSRKAASRVASDDNYLYCPRAGDIQRDDFKHFQSHWFRGEPVIVSNVLETTNGLSWEPLVMWRACRQMKHIKHDRLLDVKTIDCLDWCEEYTHPRSGCLNLATKLPKEPKDYVKPDMGPKTYIAYGFAQELGRGDSVTKLHCDMSDAVNVLTHTTEVTLTPEQLATIEKLKKKHMEQDQREFFGDCQTQDDFMDSGNPGSGSCSRDANDKEFCLEVGNKKSGTLVQELDKSNVGHDGNFSKGSESEKSVSKGSESEKSVEEKLDHDESGENSEHSINTGNKLEGSNEAEGGALWDIFRRQDVPKLEEYLRKHSKEFRHTHCCPLQQVIHPIHDQTFYLTLEHKKKLKEEYGIEPWTFVQNLGDAVFIPAGCPHQVRNLKSCIKVAMDFVSPENVSECFRMTEEFRKLPQNHRAKEDKLEVKKMIVHAVNDLMSSDPKARSKKTEDTTHKKRKH >ONI05614 pep chromosome:Prunus_persica_NCBIv2:G5:1653190:1661967:-1 gene:PRUPE_5G015100 transcript:ONI05614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGRGRGRGRGRGRGRGRGRGRGRGGGGGRNGSKQEDPEIHRDQEVQETHENGVSENGSLQKEETLGNQSDGSKGVAETLKKKKRGRKSKKDLEADRVEIIMGKEEHSLEKQNGEEGEGKGVALSERESRGRKRSRDLGNSDESLRKSAGYSLRPVKIPLMQEEQTTNKQSKEFVEEQSLMCHQCQRNDKGRVVRCKSCKRKRYCVPCIQNWYPQTSEDAIAESCPVCRGNCNCKACLRIDVPVKNLILDFKIEEGEKVEHSKYLIHTLLPFLKRINDEQVIEMEMEARRQGLTLLELKTKKSDVKADERVYCNNCKTSIFDLHRTCPSCSYDLCLNCCREIRDGRLQGGGEEVIMEYVSRGLHYLHGGKEKVELPPETSPKCSGRSTFEWKPNEDGNIPCPPKDMNGCGDGILELRCMFPENHIRELVKKAEEIDEAYNLMRLSETLAERCSCLNSVDDVGSSSTKSRKAASRVASDDNYLYCPRAGDIQRDDFKHFQSHWFRGEPVIVSNVLETTNGLSWEPLVMWRACRQMKHIKHDRLLDVKTIDCLDWCEADINIHQFFTGYSKGRFDWENWPQILKLKDWPPSNLFEERLPRHGAEFICCLPFKEYTHPRSGCLNLATKLPKEPKDYVKPDMGPKTYIAYGFAQELGRGDSVTKLHCDMSDAVNVLTHTTEVTLTPEQLATIEKLKKKHMEQDQREFFGDCQTQDDFMDSGNPGSGSCSRDANDKEFCLEVGNKKSGTLVQELDKSNVGHDGNFSKGSESEKSVSKGSESEKSVEEKLDHDESGENSEHSINTGNKLEGSNEAEGGALWDIFRRQDVPKLEEYLRKHSKEFRHTHCCPLQQVIHPIHDQTFYLTLEHKKKLKEEYGIEPWTFVQNLGDAVFIPAGCPHQVRNLKSCIKVAMDFVSPENVSECFRMTEEFRKLPQNHRAKEDKLEVKKMIVHAVNDLMSSDPKASEFSWSAVTDVFTNVKEN >ONI06230 pep chromosome:Prunus_persica_NCBIv2:G5:5178576:5181439:1 gene:PRUPE_5G048800 transcript:ONI06230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGEICACGSCLTLNRIEAANDEKIVGDTDESCISKAHWNHEMGMAEVIVLEGTNWKNIGVIRNGNKLFCSIYEVLFLVEKGHLRLLDDSGTSTSLEDIYMKISDENNRWYWEEFQAYRKLKSLGYIVGQHGIPWSTIGVKSKCEFVSSKGCPKIDEAVDFETNDTSSSNGLFNEMRSNEARPVFDVYAPNKNFKKSSPGDPCFVLCFTRSRPPSKLDLEALERQCGSIPLKFCHVDYGSASFFSFDKVELPTLP >ONI06229 pep chromosome:Prunus_persica_NCBIv2:G5:5178576:5181439:1 gene:PRUPE_5G048800 transcript:ONI06229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVYDAFTSLKFNTQGAEDDRSGGVGSGHQSDAPPVWADPGSAPNPQAAGACGSGGGNNNQVVEALEARISVLKAEDLKEQACDFLMGQGEICACGSCLTLNRIEAANDEKIVGDTDESCISKAHWNHEMGMAEVIVLEGTNWKNIGVIRNGNKLFCSIYEVLFLVEKGHLRLLDDSGTSTSLEDIYMKISDENNRWYWEEFQAYRKLKSLGYIVGQHGIPWSTIGVKSKCEFVSSKGCPKIDEAVDFETNDTSSSNGLFNEMRSNEARPVFDVYAPNKNFKKSSPGDPCFVLCFTRSRPPSKLDLEALERQCGSIPLKFCHVDYGSASFFSFDKVELPTLP >ONI06234 pep chromosome:Prunus_persica_NCBIv2:G5:5178576:5181439:1 gene:PRUPE_5G048800 transcript:ONI06234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAEVIVLEGTNWKNIGVIRNGNKLFCSIYEVLFLVEKGHLRLLDDSGTSTSLEDIYMKISDENNRWYWEEFQAYRKLKSLGYIVGQHGIPWSTIGVKSKCEFVSSKGCPKIDEAVDFETNDTSSSNGLFNEMRSNEARPVFDVYAPNKNFKKSSPGDPCFVLCFTRSRPPSKLDLEALERQCGSIPLKFCHVDYGSASFFSFDKVELPTLP >ONI06232 pep chromosome:Prunus_persica_NCBIv2:G5:5178576:5181439:1 gene:PRUPE_5G048800 transcript:ONI06232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAEVIVLEGTNWKNIGVIRNGNKLFCSIYEVLFLVEKGHLRLLDDSGTSTSLEDIYMKISDENNRWYWEEFQAYRKLKSLGYIVGQHGIPWSTIGVKSKCEFVSSKGCPKIDEAVDFETNDTSSSNGLFNEMRSNEARPVFDVYAPNKNFKKSSPGDPCFVLCFTRSRPPSKLDLEALERQCGSIPLKFCHVDYGSASFFSFDKVELPTLP >ONI06233 pep chromosome:Prunus_persica_NCBIv2:G5:5178576:5181439:1 gene:PRUPE_5G048800 transcript:ONI06233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAEVIVLEGTNWKNIGVIRNGNKLFCSIYEVLFLVEKGHLRLLDDSGTSTSLEDIYMKISDENNRWYWEEFQAYRKLKSLGYIVGQHGIPWSTIGVKSKCEFVSSKGCPKIDEAVDFETNDTSSSNGLFNEMRSNEARPVFDVYAPNKNFKKSSPGDPCFVLCFTRSRPPSKLDLEALERQCGSIPLKFCHVDYGSASFFSFDKVELPTLP >ONI06231 pep chromosome:Prunus_persica_NCBIv2:G5:5178576:5181439:1 gene:PRUPE_5G048800 transcript:ONI06231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAEVIVLEGTNWKNIGVIRNGNKLFCSIYEVLFLVEKGHLRLLDDSGTSTSLEDIYMKISDENNRWYWEEFQAYRKLKSLGYIVGQHGIPWSTIGVKSKCEFVSSKGCPKIDEAVDFETNDTSSSNGLFNEMRSNEARPVFDVYAPNKNFKKSSPGDPCFVLCFTRSRPPSKLDLEALERQCGSIPLKFCHVDYGSASFFSFDKVELPTLP >ONI08640 pep chromosome:Prunus_persica_NCBIv2:G5:15654846:15655850:-1 gene:PRUPE_5G190700 transcript:ONI08640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRYISQISAAAADLALGQGHTLTMASHQDELLGKILAIEKSLGKISEMEKTLGQLLDWFKSTTQELRLLRPGPPITTRPLPCTVIENYNPNQEEAYVLYPRLQEASMSQPKQEEITARKPEIEAMQHEEATDVPISYY >ONI08383 pep chromosome:Prunus_persica_NCBIv2:G5:14866779:14869868:-1 gene:PRUPE_5G174600 transcript:ONI08383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFHWFKQISNNGKPERRLSLGEYNRAVSWSKYLVSSGAEIKGEGEEEWSADMSQLYIGCKFASGRHSRIYRGVYKQRDVAIKLISQPEEDEGLAVLLEKQFTSEVALLFRLHHPNIISFVAACKKPPVFCIITEYLCGGSLRKYLHQQEPHSVPLSLVVKLALDIARGMQYLHSQSILHRDLKSENLLLGEDMSVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVSQKFLPSTSCTCL >ONI08385 pep chromosome:Prunus_persica_NCBIv2:G5:14866996:14869115:-1 gene:PRUPE_5G174600 transcript:ONI08385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFHWFKQISNNGKPERRLSLGEYNRAVSWSKYLVSSGAEIKGEGEEEWSADMSQLYIGCKFASGRHSRIYRGVYKQRDVAIKLISQPEEDEGLAVLLEKQFTSEVALLFRLHHPNIISFVAACKKPPVFCIITEYLCGGSLRKYLHQQEPHSVPLSLVVKLALDIARGMQYLHSQSILHRDLKSENLLLGEDMSVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVSQKIMWGPAALAILIQAGNDILPNRRIILNPIAFSLLIRYVKALISMH >ONI08382 pep chromosome:Prunus_persica_NCBIv2:G5:14865796:14869868:-1 gene:PRUPE_5G174600 transcript:ONI08382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVAACKKPPVFCIITEYLCGGSLRKYLHQQEPHSVPLSLVVKLALDIARGMQYLHSQSILHRDLKSENLLLGEDMSVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVSQKNARPPLPSTCPTAFSRLISRCWSTHPDKRPHFDEIVHILESYAESLEQDPDFFSSYKPPSDHTLLRCFPKWIGRHRSAS >ONI08386 pep chromosome:Prunus_persica_NCBIv2:G5:14866996:14868723:-1 gene:PRUPE_5G174600 transcript:ONI08386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVAACKKPPVFCIITEYLCGGSLRKYLHQQEPHSVPLSLVVKLALDIARGMQYLHSQSILHRDLKSENLLLGEDMSVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVSQKIMWGPAALAILIQAGNDILPNRRIILNPIAFSLLIRYVKALISMH >ONI08381 pep chromosome:Prunus_persica_NCBIv2:G5:14865783:14869868:-1 gene:PRUPE_5G174600 transcript:ONI08381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFHWFKQISNNGKPERRLSLGEYNRAVSWSKYLVSSGAEIKGEGEEEWSADMSQLYIGCKFASGRHSRIYRGVYKQRDVAIKLISQPEEDEGLAVLLEKQFTSEVALLFRLHHPNIISFVAACKKPPVFCIITEYLCGGSLRKYLHQQEPHSVPLSLVVKLALDIARGMQYLHSQSILHRDLKSENLLLGEDMSVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVSQKNARPPLPSTCPTAFSRLISRCWSTHPDKRPHFDEIVHILESYAESLEQDPDFFSSYKPPSDHTLLRCFPKWIGRHRSAS >ONI08384 pep chromosome:Prunus_persica_NCBIv2:G5:14866996:14869115:-1 gene:PRUPE_5G174600 transcript:ONI08384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFHWFKQISNNGKPERRLSLGEYNRAVSWSKYLVSSGAEIKGEGEEEWSADMSQLYIGCKFASGRHSRIYRGVYKQRDVAIKLISQPEEDEGLAVLLEKQFTSEVALLFRLHHPNIISFVAACKKPPVFCIITEYLCGGSLRKYLHQQEPHSVPLSLVVKLALDIARGMQYLHSQSILHRDLKSENLLLGEDMSVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVSQKIMWGPAALAILIQAGNDILPNRRIILNPIAFSLLIRYVKALISMH >ONI08142 pep chromosome:Prunus_persica_NCBIv2:G5:14082194:14090842:-1 gene:PRUPE_5G159200 transcript:ONI08142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGLAAEPNQEVFSWLKTLPVAPEYHPTWAEFQDPIAYIFKIEKEASKYGICKIVPPVPPSPKKTAIANLNRSLAARAGPSGAPGTKSQPTFTTRQQQIGFCPRKPRPVNRPVWQSGEYYTFQQFEAKAKSFEKTYLRKCNKKGGLSPLDIETLYWKATVDKPFSVEYANDMPGSAFVPVSARKSSTSRDAGDNVTLGETAWNMRGVSRSKGSLLRFMKEEIPGVTSPMVYIAMLFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPREAAVAFEEVVRVQGYAGEINPLVTFSTLGQKTTVMSPEVFISSGIPCCRLVQNAGEFVVTFPRAYHTGFSHGFNCGEAANIATPEWLRVAKDAAIRRASINYPPMVSHFQLLYDLALALCSRMPARICAEPRSSRLKDKRKGEGEAVVKELFVQNVIQNNDLLHVLGKGSSIVLLPQSSSDLSFCSKLRVGSHLRVNPGFANGLYDQREEMKSSGSDSDGLLIDRQHGIKQVKGGYSVKGKLASLCESNRLPSLSGNNDAHALNSKRLNMNIERESNVEGEGLSDQRLFSCVTCGILSFACVAIIQPTEAAARYLMSADRSFFSDWVVGSGLAGEVFQVANEDPITSKDDPCTGLVENNAPAGLYDVPVQSADYQIQRGDQSNKPVSNTEMQRDTSALGLLALNYGNSSDSEEDQLAPDVPVCCDETNTTNCSFESRYDYQSASPSPLRDSYGGTTEAHSPPSPGFDCGNELPLQSPDHYARDGRKIANFKDSSYQNFDFSADFKNNSASTKTNGLVGTSMDPMKLSHSCSPDAHRPQTTELSKVTLPIETTNTAFPPGCDEDSSRMHVFCLEHAIEVEQQLRSIGGVHIFLLCHPDYPRIEDEAKLMAEELGISYLWNETTFRDATEEDEKRIQSALDSEEAIAGNGDWAVKLGINLFYSASLSRSHLYSKQMAYNSVIYNAFGRSSPASSPTRTDVYGRRSGKQKKVVAGKWCGKVWMSNQVHPYLAKRDPEEEEEVVEEEHRSFHAWAMPDEKLEGQPESTRKTENTLVTKKYARKRKMTAETGTTKKVKCLEKEDAVSDYSVDDNSHQQQRRFPKSKQAEYIESGPTKKAKFVQTEFTLSDDSMQDDSHQPDGRNFRCEQANYIEGNDVSDDSVGVESHQQHRRSAKSKQAKHMERDVVSDDSVEGSSRQQHGRVLRSKTAKGETDNFHKASSHQERGSISKSKQARFIERDDAAVGETDNFLQQHKRILRSKQTQQETLQKMRRETPRQVKQGTALLVKQGTRTLRKQQTGQQMKQQTPRLRNNQSEQNFDLYADEGAEGGPSTRLRKRAPKPIKVSGTKPKEQQQTARKKAKNVSAVKSQAGQNDAKLREEEAEFSCDIDGCTMSLGSKQELALHKRNICPVKGCGKKFFSHKYLVQHRRVHTDDRPLRCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEPGCGQTFRFVSDFSRHKRKTGHSAKKSRG >ONI08720 pep chromosome:Prunus_persica_NCBIv2:G5:15945192:15949077:1 gene:PRUPE_5G197000 transcript:ONI08720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASLCSSTLKSQINGLGGGLKLQRPCLSQPTSLTFTRKKCKTLVKASARVDKFSKSDIIVSPSILSANFAKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHAEQSSTIHLHRSVNQIKSLGAKAGVVLNPGTPLTAIEYVLDVVDLVLIMSVNPGFGGQSFIETQVKKISELRRLCVEKGVNPWIEVDGGVGPANAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKNSKRPVAVAV >ONI08722 pep chromosome:Prunus_persica_NCBIv2:G5:15945113:15949283:1 gene:PRUPE_5G197000 transcript:ONI08722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASLCSSTLKSQINGLGGGLKLQRPCLSQPTSLTFTRKKCKTLVKASARVDKFSKSDIIVSPSILSANFAKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHAEQSSTIHLHRSVNQIKSLGAKAGVVLNPGTPLTAIEYVLDVVDLVLIMSVNPGFGGQSFIETQVKKISELRRLCVEKGVNPWIEVDGGVGPANAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKNSKRPVAVAV >ONI08723 pep chromosome:Prunus_persica_NCBIv2:G5:15945113:15949283:1 gene:PRUPE_5G197000 transcript:ONI08723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASLCSSTLKSQINGLGGGLKLQRPCLSQPTSLTFTRKKCKTLVKASARVDKFSKSDIIVSPSILSANFAKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHAEQSSTIHLHRSVNQIKSLGAKAGVVLNPGTPLTAIEYVLDVVDLVLIMSVNPGFGGQSFIETQVKKISELRRLCVEKGVNPWIEVDGGVGPANAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKNSKRPVAVAV >ONI08721 pep chromosome:Prunus_persica_NCBIv2:G5:15945113:15948821:1 gene:PRUPE_5G197000 transcript:ONI08721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASLCSSTLKSQINGLGGGLKLQRPCLSQPTSLTFTRKKCKTLVKASARVDKFSKSDIIVSPSILSANFAKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHAEQSSTIHLHRSVNQIKSLGAKAGVVLNPGTPLTAIEYVLDVVDLVLIMSVNPGFGGQSFIETQVKKISELRRLCVEKGVNPWIEVDGGVGPANAYKVIEAGANALVAGSAVFGAKDYAEAIKGIKNSKRPVAVAV >ONI08336 pep chromosome:Prunus_persica_NCBIv2:G5:14683997:14687562:1 gene:PRUPE_5G172100 transcript:ONI08336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSATFTLSPSLRLPKPRRQSSATLNPRFDPIRASASSKPNNLTPHMNGTLSASLPRRSWSLSSSSSNFKLRPWTSMPLVDSDAGTSRFEVRATAESAEESSESSSMFKTLELGALFGLWYLFNIYFNIYNKQVLKVFPNPVTVTGIQFAVGTVLVLLMWGLNLYKKPKVSGAQLAAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKASEPFFSVILSAMFLGEIPTPWVVASLIPIVGGVGLASVTEASFNWAGFWSAMASNLTNQSRNVLSKKVMVKKEANMDNITLFSIITVMSFFLLTPVAIFMEGVKFTPAALQSAGLNVQEVYIRSLIAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVLFFKTPVSPINSLGTGVALAGVFLYSRVKRIKAKPKTA >ONI08337 pep chromosome:Prunus_persica_NCBIv2:G5:14684290:14687602:1 gene:PRUPE_5G172100 transcript:ONI08337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSATFTLSPSLRLPKPRRQSSATLNPRFDPIRASASSKPNNLTPHMNGTLSASLPRRSWSLSSSSSNFKLRPWTSMPLVDSDAGTSRFEVRATAESAEESSESSSMFKTLELGALFGLWYLFNIYFNIYNKQVLKVFPNPVTVTGIQFAVGTVLVLLMWGLNLYKKPKVSGAQLAAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKASEPFFSVILSAMFLGEIPTPWVVASLIPIVGGVGLASVTEASFNWAGFWSAMASNLTNQSRNVLSKKVMVKKEANMDNITLFSIITVMSFFLLTPVAIFMEGVKFTPAALQSAGLNVQEVYIRSLIAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVLFFKTPVSPINSLGTGVALAGVFLYSRVKRIKAKPKTA >ONI07096 pep chromosome:Prunus_persica_NCBIv2:G5:10801954:10805239:1 gene:PRUPE_5G099900 transcript:ONI07096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGGAKRTGNTAYGSNNTISLREELTGKKQTKGPAVNAKSALKLEHLQRLAVWAGGEASVPSLGAFFAHTLASAQEALGVPPDPSLFTCQRCETILQPGLNCTVRIEKNRSKKRRKSKKPTSFSQNNVVYTCHFCSHRNLKRGTPHGHMKVICPTKTKTTSKLKPAKSISQKSVSSKKSIVAEDEVRKANEIAASEIIQANEIASSEITREIQANEIASSEIAREIQGNETASEIENETASSEIAREIQVNETASSEIAREFQENETASLEIARENCIVETWADEDEVTIVNEMASSAMAGEIPMVDSPETPKVRTGPTLLLGGKRRKRNKSVSKKPAEPENSPNPTDAENTGSMSNKRRRKKWTSLKEIAESSEHKNIRNISDLTIPFFV >ONI07618 pep chromosome:Prunus_persica_NCBIv2:G5:12650337:12652362:1 gene:PRUPE_5G131100 transcript:ONI07618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLSLCKQNTRTKSATIFYSIISSSLLLFFRSKQTNRGREGCASQPPLYFIFQLLFAAKHKKQTHHFLLLHYLSLRWLSSPSLFVFFSSLLTCWRQLPIGRQFNCRAPLLVSDASYKPSLF >ONI09164 pep chromosome:Prunus_persica_NCBIv2:G5:17232815:17233713:-1 gene:PRUPE_5G221400 transcript:ONI09164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCAYEQNAMAGCEGMKMVDSVVCPKPRRLNIINPSLNDSIKPFRYFNQSEIGDPQAGSDELLDFLLTKGNCEERSSNQLASSPPFFCGSPPSRASNPVIQDEQFGNSSKMASFFPAPPSSLSARNGGCVRMKSGDKPVAVRIEGFDCLSRDRRNCSISAVA >ONI09166 pep chromosome:Prunus_persica_NCBIv2:G5:17232191:17233953:-1 gene:PRUPE_5G221400 transcript:ONI09166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCAYEQNAMAGCEGMKMVDSVVCPKPRRLNIINPSLNDSIKPFRYFNQSEIGDPQAGSDELLDFLLTKGNCEERSSNQLASSPPFFCGSPPSRASNPVIQDEQFGNSSKMASFFPAPPSSLSARNGGCVRMKSGDKPVAVRIEGFDCLSRDRRNCSISAVA >ONI09165 pep chromosome:Prunus_persica_NCBIv2:G5:17232191:17234514:-1 gene:PRUPE_5G221400 transcript:ONI09165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCAYEQNAMAGCEGMKMVDSVVCPKPRRLNIINPSLNDSIKPFRYFNQSEIGDPQAGSDELLDFLLTKGNCEERSSNQLASSPPFFCGSPPSRASNPVIQDEQFGNSSKMASFFPAPPSSLSARNGGCVRMKSGDKPVAVRIEGFDCLSRDRRNCSISAVA >ONI07092 pep chromosome:Prunus_persica_NCBIv2:G5:10785169:10791247:-1 gene:PRUPE_5G099700 transcript:ONI07092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLQMGSSYCIRTEIFRHKCGQNVGLSRANNIEAAKLKENCIGFSSVSCFSSRKTQILHVDDAVLGHKRGHSVIVAASPPTEDAVIVTEPLTKEDLVGYLASGCKPKENWRIGTEHEKFGFELKTLRPMKYEQIAELLNGISERFDWEKVMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVTEEMGIGFLGIGFQPKWRIKDIPIMPKGRYEIMRNYMPKVGTLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGFLSMRSQIWTDTDNNRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRKKKYIDCTGMTFRDFLAGKLSCIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGILYDEVALQNVLDITADWTPEERQMLRNKVPITGLKTPFRDGLLKHVAQDVVKLAKDGLERRGFKESGFLNEVAEVVRTGVTPAERLLELYNGKWGQQIDPVFEELLY >ONI07094 pep chromosome:Prunus_persica_NCBIv2:G5:10785200:10791247:-1 gene:PRUPE_5G099700 transcript:ONI07094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLQMGSSYCIRTEIFRHKCGQNVGLSRANNIEAAKLKENCIGFSSVSCFSSRKTQILHVDDAVLGHKRGHSVIVAASPPTEDAVIVTEPLTKEDLVGYLASGCKPKENWRIGTEHEKFGFELKTLRPMKYEQIAELLNGISERFDWEKVMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVTEEMGIGFLGIGFQPKWRIKDIPIMPKGRYEIMRNYMPKVGTLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGFLSMRSQIWTDTDNNRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRKKKYIDCTGMTFRDFLAGKLSCIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGILYDEVALQNVLDITADWTPEERQMLRNKVPITGLKTPFRDGLLKHVAQDVVKLAKDGLERRGFKESGFLNEVAEVVRTGVTPAERLLELYNGKWGQQIDPVFEELLY >ONI07093 pep chromosome:Prunus_persica_NCBIv2:G5:10785074:10791247:-1 gene:PRUPE_5G099700 transcript:ONI07093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLQMGSSYCIRTEIFRHKCGQNVGLSRANNIEAAKLKENCIGFSSVSCFSSRKTQILHVDDAVLGHKRGHSVIVAASPPTEDAVIVTEPLTKEDLVGYLASGCKPKENWRIGTEHEKFGFELKTLRPMKYEQIAELLNGISERFDWEKVMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVTEEMGIGFLGIGFQPKWRIKDIPIMPKGRYEIMRNYMPKVGTLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGFLSMRSQIWTDTDNNRTGMLPFVFDDSFGFEQYVDYALDVPMYFVYRKKKYIDCTGMTFRDFLAGKLSCIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGILYDEVALQNVLDITADWTPEERQMLRNKVPITGLKTPFRDGLLKHVAQDVVKLAKDGLERRGFKESGFLNEVAEVVRTGVTPAERLLELYNGKWGQQIDPVFEELLY >ONI08357 pep chromosome:Prunus_persica_NCBIv2:G5:14784248:14820429:1 gene:PRUPE_5G173600 transcript:ONI08357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISRDVQEIVLKLSSDKARTREEGIKLLNTWLEGERSIGFCKYLGKNTAELKPDEIPHSETWPFFTNMLIQCASLEISSSKRPKLIFAKTLRVVVQRAEDDNFSGKPLPLLPVVKNLFNHIWEVVKNATSFQSEYGIVLRHLLAVRDYRFHMRKDIYCKLVKKYMKLVEDSWGGKNDNQYHPKEEVFRCILTLHALLENPPGDFPHNLREDIVKRFVKIFCFIRDEGKISRKLIECINIFLIKDGPNLDCESLEIHNAVQQFVFRCWLTTHDRSLKDVLILYARLQLNITRGATDGSILVHQLLDIVYKELDQSYISNANVPRTDATKDDKFGTLSSSHCGLVELAAAVLYRACTNMTKAPSTEKRVKREHAAAHLREALMQGKWLWNASFCFLTRKYHTRISKDIFIYWFEGICTSFQRILNDANMVHAYDGLLWTLRSLQELSSVLLSDPKLKKPSSSSGLNEFDCGWQVVWTCLMQGLPLFSNVTPVVDAAMVLLGNIISNDLIYTCVVPQDVWDLRLFKQMPSVSVLYFISCYFSKKVSQGDVRDILHLRKNLLRSVLGQLKWMESSVLNEQLVLLLPAAVYSLCAGSAPFAQCCKELPLSYSIVDVTEASEKPEESEHNCLHGLFDCTVEVLANIDSSSSVEVSPSQTHPSVWLPTQIRDPLLFEMETLILEALVDKEMGKRPLSDAFFICALLSNFIYGSVLTRQREEASSFLSKLGQYLLEWLNCAVHVTQGNHNDFLALGCLGSDFASNGTSSIVASLRSFVYSPIFSRWKDQNHVDVELYGSIIQLMERLLKALAKVYEVYGNGVSSHKYDVALQDLSACDTQIQNSCPSGSQKSRIVDMELDVNEDSRDVDVLTVGGKIASRVSSSVEKWKLDMILLISDFFPVLHVTWDVLFELLLKESDQMVREKILLGLCQQPYWSSPENVTDMVSLMNEMVKMKVSLKLDCVKILSAIRVLLGTLLSLDSIRKDKFVIVSLGQRGSKQNLMHLGDVVNKVAECDLLDWFGRAKLINCICDFVLLSPQIGETLIERLLLMLQDPDYRVRFSLARRIDVLFQTWDGHEELFHDICSNFGVLLVFPSKEKLVAASEVVAVGPQPRPTMETVIITLMHLALHSEKIEFEAVFMICVVSAIDPGQRELVVAVLDNLSRQLHYTTRFKYLEELMGSILFCWIACGVSLAALVEIRQLFVSDSEPSYFMQYCCHWLLPALLLHGDHCNLSWVAKIFSVCMALHCSKKSGWEKGADVLQNSILHLAQISENERDKLIKKNMVSIVSHILSLSSSASNPAVPFFSRDTIARAIQTVVDGFLEMEDDATSICVVDKINIFRADRVFMFIVELHHKIAAAAHHRHTCHRLTGVEVLIDILGYRAAVASTSNYLFNLVSQFIGCRALQDQCCRIISALLKTFKSNPSKEIISVLGEQLQFLVSKLVACCIPTEAKGEQSGSRSSQVLSLLLELTVDSDPSLYDYIRELEPFPEIDIFDGIRKFHQDLCRAYSPRDHLLKFVKRSCYLPPRLLLWSLQALHKKFLLGETFQSEKNTYWHCDQEIISAVWTLVRMCGSDDTNTVRVLLSDFISRVGIGDPHCVVFHLPGNSSDIHVYQPISHDSSTEVKFRMDIGLSEELVVALLKLLKKYLMDDSVKIVDMTSQALRGILSTQRGQSTMLSFDSYERSLIEVHSKGVNIELVEKLLFDLEIKFKAEAIPLENSTVWVTDCKTFDTWICQLVYSLIGYCSDVILRLCQDVVLAKAEVAELLLPSLVVNLAGRKDMDVDLLKLISLQVQEYIFTDSNMLIKSIQIWLNALNELRLCHVMQRTTLLPSRAEISKSAKPSSYSSKSRSTPGKAGDSATALSGTAMATSLWDKVYWLSIDYLIVAKSAVICGNYFTAVMYVEHWCEEHFNSLTLGSPDFSHIEALPHHIEILVAAVTQINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYYDLQVRSASLVPVDFGSRNLSLEETQPTDHLSNSTLENAMRQRKSYKGLIRSLQQTGCMHVLDLYCQGLTTRKGHFHHDLEFTELQYEAAWRTANWDFSLLHVGNNSISSSMHIKSDHFNENLHSCLRALKKGDFNEFHGKLKNSKQELVWCVSRASEESTEHIYSAIIKLQILYHLGMAWDLRWTSCHYGEGINSYPEMEEVNSEPVIPTINQLSWLNMDWSSILERTQLHMNLLEPLIAFRRVLLQILNCRDCMVQHLLQSTSTLRKGSRFSQAAAALHEFKFLCVESGEQDSSLYWLGRLEEAKLLRGQGQHEMAISLAKYVSQNFLSNEESSDVHRLVGKWLAETRSSNSRTILEKYLKPAVSLTENQKAADKRSRDRQSRTHFHLAHYADALFRSYEERLNSNEWQAAMRLRKHKTMELEALIKRLKSSTKGEKIDYSVKIQELQKQLAMDKEEAEKLQDDRDNFLNLALEGYQRCLVVGNKYDVRVVFRLISLWFSLSSRKNVIDSMLTTITEVQSYKFIPLVYQIASRVGSLKDCPGPRNFQFALVSLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKLAAENLLQELTSYHGAMINQMKQMVEIYIKLAELETKREDTNRKVMLPRELRNLRQLELVPVVTATFSIDQSCQYHEGSFPYFKGLGDSVRVMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLGVRTYKVVPFTPSAGVLEWVDGTLPLGEYLIGSMRNGGAHGRYGVGDWSFLKCREHVTNGKDKRKAFQEVCRKFRPVMHHFFLERFLQPADWFEKRLAYTRSVATSSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLNLSLEGLQDGYEGNKDAARALMRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQLFPGWGAWL >ONI08356 pep chromosome:Prunus_persica_NCBIv2:G5:14784248:14820429:1 gene:PRUPE_5G173600 transcript:ONI08356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISRDVQEIVLKLSSDKARTREEGIKLLNTWLEGERSIGFCKYLGKNTAELKPDEIPHSETWPFFTNMLIQCASLEISSSKRPKLIFAKTLRVVVQRAEDDNFSGKPLPLLPVVKNLFNHIWEVVKNATSFQSEYGIVLRHLLAVRDYRFHMRKDIYCKLVKKYMKLVEDSWGGKNDNQYHPKEEVFRCILTLHALLENPPGDFPHNLREDIVKRFVKIFCFIRDEGKISRKLIECINIFLIKDGPNLDCESLEIHNAVQQFVFRCWLTTHDRSLKDVLILYARLQLNITRGATDGSILVHQLLDIVYKELDQSYISNANVPRTDATKDDKFGTLSSSHCGLVELAAAVLYRACTNMTKAPSTEKRVKREHAAAHLREALMQGKWLWNASFCFLTRKYHTRISKDIFIYWFEGICTSFQRILNDANMVHAYDGLLWTLRSLQELSSVLLSDPKLKKPSSSSGLNEFDCGWQVVWTCLMQGLPLFSNVTPVVDAAMVLLGNIISNDLIYTCVVPQDVWDLRLFKQMPSVSVLYFISCYFSKKVSQGDVRDILHLRKNLLRSVLGQLKWMESSVLNEQLVLLLPAAVYSLCAGSAPFAQCCKELPLSYSIVDVTEASEKPEESEHNCLHGLFDCTVEVLANIDSSSSVEVSPSQTHPSVWLPTQIRDPLLFEMETLILEALVDKEMGKRPLSDAFFICALLSNFIYGSVLTRQREEASSFLSKLGQYLLEWLNCAVHVTQGNHNDFLALGCLGSDFASNGTSSIVASLRSFVYSPIFSRWKDQNHVDVELYGSIIQLMERLLKALAKVYEVYGNGVSSHKYDVALQDLSACDTQIQNSCPSGSQKSRIVDMELDVNEDSRDVDVLTVGGKIASRVSSSVEKWKLDMILLISDFFPVLHVTWDVLFELLLKESDQMVREKILLGLCQQPYWSSPENVTDMVSLMNEMVKMKVSLKLDCVKILSAIRVLLGTLLSLDSIRKDKFVIVSLGQRGSKQNLMHLGDVVNKVAECDLLDWFGRAKLINCICDFVLLSPQIGETLIERLLLMLQDPDYRVRFSLARRIDVLFQTWDGHEELFHDICSNFGVLLVFPSKEKLVAASEVVAVGPQPRPTMETVIITLMHLALHSEKIEFEAVFMICVVSAIDPGQRELVVAVLDNLSRQLHYTTRFKYLEELMGSILFCWIACGVSLAALVEIRQLFVSDSEPSYFMQYCCHWLLPALLLHGDHCNLSWVAKIACQPLEVLVKNHFVQIFSVCMALHCSKKSGWEKGADVLQNSILHLAQISENERDKLIKKNMVSIVSHILSLSSSASNPAVPFFSRDTIARAIQTVVDGFLEMEDDATSICVVDKINIFRADRVFMFIVELHHKIAAAAHHRHTCHRLTGVEVLIDILGYRAAVASTSNYLFNLVSQFIGCRALQDQCCRIISALLKTFKSNPSKEIISVLGEQLQFLVSKLVACCIPTEAKGEQSGSRSSQVLSLLLELTVDSDPSLYDYIRELEPFPEIDIFDGIRKFHQDLCRAYSPRDHLLKFVKRSCYLPPRLLLWSLQALHKKFLLGETFQSEKNTYWHCDQEIISAVWTLVRMCGSDDTNTVRVLLSDFISRVGIGDPHCVVFHLPGNSSDIHVYQPISHDSSTEVKFRMDIGLSEELVVALLKLLKKYLMDDSVKIVDMTSQALRGILSTQRGQSTMLSFDSYERSLIEVHSKGVNIELVEKLLFDLEIKFKAEAIPLENSTVWVTDCKTFDTWICQLVYSLIGYCSDVILRLCQDVVLAKAEVAELLLPSLVVNLAGRKDMDVDLLKLISLQVQEYIFTDSNMLIKSIQIWLNALNELRLCHVMQRTTLLPSRAEISKSAKPSSYSSKSRSTPGKAGDSATALSGTAMATSLWDKVYWLSIDYLIVAKSAVICGNYFTAVMYVEHWCEEHFNSLTLGSPDFSHIEALPHHIEILVAAVTQINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYYDLQVRSASLVPVDFGSRNLSLEETQPTDHLSNSTLENAMRQRKSYKGLIRSLQQTGCMHVLDLYCQGLTTRKGHFHHDLEFTELQYEAAWRTANWDFSLLHVGNNSISSSMHIKSDHFNENLHSCLRALKKGDFNEFHGKLKNSKQELVWCVSRASEESTEHIYSAIIKLQILYHLGMAWDLRWTSCHYGEGINSYPEMEEVNSEPVIPTINQLSWLNMDWSSILERTQLHMNLLEPLIAFRRVLLQILNCRDCMVQHLLQSTSTLRKGSRFSQAAAALHEFKFLCVESGEQDSSLYWLGRLEEAKLLRGQGQHEMAISLAKYVSQNFLSNEESSDVHRLVGKWLAETRSSNSRTILEKYLKPAVSLTENQKAADKRSRDRQSRTHFHLAHYADALFRSYEERLNSNEWQAAMRLRKHKTMELEALIKRLKSSTKGEKIDYSVKIQELQKQLAMDKEEAEKLQDDRDNFLNLALEGYQRCLVVGNKYDVRVVFRLISLWFSLSSRKNVIDSMLTTITEVQSYKFIPLVYQIASRVGSLKDCPGPRNFQFALVSLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKLAAENLLQELTSYHGAMINQMKQMVEIYIKLAELETKREDTNRKVMLPRELRNLRQLELVPVVTATFSIDQSCQYHEGSFPYFKGLGDSVRVMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLGVRTYKVVPFTPSAGVLEWVDGTLPLGEYLIGSMRNGGAHGRYGVGDWSFLKCREHVTNGKDKRKAFQEVCRKFRPVMHHFFLERFLQPADWFEKRLAYTRSVATSSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLNLSLEGLQDGYEGNKDAARALMRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQLFPGWGAWL >ONI08364 pep chromosome:Prunus_persica_NCBIv2:G5:14797810:14820429:1 gene:PRUPE_5G173600 transcript:ONI08364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSILFCWIACGVSLAALVEIRQLFVSDSEPSYFMQYCCHWLLPALLLHGDHCNLSWVAKIACQPLEVLVKNHFVQIFSVCMALHCSKKSGWEKGADVLQNSILHLAQISENERDKLIKKNMVSIVSHILSLSSSASNPAVPFFSRDTIARAIQTVVDGFLEMEDDATSICVVDKINIFRADRVFMFIVELHHKIAAAAHHRHTCHRLTGVEVLIDILGYRAAVASTSNYLFNLVSQFIGCRALQDQCCRIISALLKTFKSNPSKEIISVLGEQLQFLVSKLVACCIPTEAKGEQSGSRSSQVLSLLLELTVDSDPSLYDYIRELEPFPEIDIFDGIRKFHQDLCRAYSPRDHLLKFVKRSCYLPPRLLLWSLQALHKKFLLGETFQSEKNTYWHCDQEIISAVWTLVRMCGSDDTNTVRVLLSDFISRVGIGDPHCVVFHLPGNSSDIHVYQPISHDSSTEVKFRMDIGLSEELVVALLKLLKKYLMDDSVKIVDMTSQALRGILSTQRGQSTMLSFDSYERSLIEVHSKGVNIELVEKLLFDLEIKFKAEAIPLENSTVWVTDCKTFDTWICQLVYSLIGYCSDVILRLCQDVVLAKAEVAELLLPSLVVNLAGRKDMDVDLLKLISLQVQEYIFTDSNMLIKSIQIWLNALNELRLCHVMQRTTLLPSRAEISKSAKPSSYSSKSRSTPGKAGDSATALSGTAMATSLWDKVYWLSIDYLIVAKSAVICGNYFTAVMYVEHWCEEHFNSLTLGSPDFSHIEALPHHIEILVAAVTQINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYYDLQVRSASLVPVDFGSRNLSLEETQPTDHLSNSTLENAMRQRKSYKGLIRSLQQTGCMHVLDLYCQGLTTRKGHFHHDLEFTELQYEAAWRTANWDFSLLHVGNNSISSSMHIKSDHFNENLHSCLRALKKGDFNEFHGKLKNSKQELVWCVSRASEESTEHIYSAIIKLQILYHLGMAWDLRWTSCHYGEGINSYPEMEEVNSEPVIPTINQLSWLNMDWSSILERTQLHMNLLEPLIAFRRVLLQILNCRDCMVQHLLQSTSTLRKGSRFSQAAAALHEFKFLCVESGEQDSSLYWLGRLEEAKLLRGQGQHEMAISLAKYVSQNFLSNEESSDVHRLVGKWLAETRSSNSRTILEKYLKPAVSLTENQKAADKRSRDRQSRTHFHLAHYADALFRSYEERLNSNEWQAAMRLRKHKTMELEALIKRLKSSTKGEKIDYSVKIQELQKQLAMDKEEAEKLQDDRDNFLNLALEGYQRCLVVGNKYDVRVVFRLISLWFSLSSRKNVIDSMLTTITEVQSYKFIPLVYQIASRVGSLKDCPGPRNFQFALVSLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKLAAENLLQELTSYHGAMINQMKQMVEIYIKLAELETKREDTNRKVMLPRELRNLRQLELVPVVTATFSIDQSCQYHEGSFPYFKGLGDSVRVMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLGVRTYKVVPFTPSAGVLEWVDGTLPLGEYLIGSMRNGGAHGRYGVGDWSFLKCREHVTNGKDKRKAFQEVCRKFRPVMHHFFLERFLQPADWFEKRLAYTRSVATSSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLNLSLEGLQDGYEGNKDAARALMRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQLFPGWGAWL >ONI08359 pep chromosome:Prunus_persica_NCBIv2:G5:14784248:14820429:1 gene:PRUPE_5G173600 transcript:ONI08359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISRDVQEIVLKLSSDKARTREEGIKLLNTWLEGERSIGFCKYLGKNTAELKPDEIPHSETWPFFTNMLIQCASLEISSSKRPKLIFAKTLRVVVQRAEDDNFSGKPLPLLPVVKNLFNHIWEVVKNATSFQSEYGIVLRHLLAVRDYRFHMRKDIYCKLVKKYMKLVEDSWGGKNDNQYHPKEEVFRCILTLHALLENPPGDFPHNLREDIVKRFVKIFCFIRDEGKISRKLIECINIFLIKDGPNLDCESLEIHNAVQQFVFRCWLTTHDRSLKDVLILYARLQLNITRGATDGSILVHQLLDIVYKELDQSYISNANVPRTDATKDDKFGTLSSSHCGLVELAAAVLYRACTNMTKAPSTEKRVKREHAAAHLREALMQGKWLWNASFCFLTRKYHTRISKDIFIYWFEGICTSFQRILNDANMVHAYDGLLWTLRSLQELSSVLLSDPKLKKPSSSSGLNEFDCGWQVVWTCLMQGLPLFSNVTPVVDAAMVLLGNIISNDLIYTCVVPQDVWDLRLFKQMPSVSVLYFISCYFSKKVSQGDVRDILHLRKNLLRSVLGQLKWMESSVLNEQLVLLLPAAVYSLCAGSAPFAQCCKELPLSYSIVDVTEASEKPEESEHNCLHGLFDCTVEVLANIDSSSSVEVSPSQTHPSVWLPTQIRDPLLFEMETLILEALVDKEMGKRPLSDAFFICALLSNFIYGSVLTRQREEASSFLSKLGQYLLEWLNCAVHVTQGNHNDFLALGCLGSDFASNGTSSIVASLRSFVYSPIFSRWKDQNHVDVELYGSIIQLMERLLKALAKVYEVYGNGVSSHKYDVALQDLSACDTQIQNSCPSGSQKSRIVDMELDVNEDSRDVDVLTVGGKIASRVSSSVEKWKLDMILLISDFFPVLHVTWDVLFELLLKESDQMVREKILLGLCQQPYWSSPENVTDMVSLMNEMVKMKVSLKLDCVKILSAIRVLLGTLLSLDSIRKDKFVIVSLGQRGSKQTLIERLLLMLQDPDYRVRFSLARRIDVLFQTWDGHEELFHDICSNFGVLLVFPSKEKLVAASEVVAVGPQPRPTMETVIITLMHLALHSEKIEFEAVFMICVVSAIDPGQRELVVAVLDNLSRQLHYTTRFKYLEELMGSILFCWIACGVSLAALVEIRQLFVSDSEPSYFMQYCCHWLLPALLLHGDHCNLSWVAKIFSVCMALHCSKKSGWEKGADVLQNSILHLAQISENERDKLIKKNMVSIVSHILSLSSSASNPAVPFFSRDTIARAIQTVVDGFLEMEDDATSICVVDKINIFRADRVFMFIVELHHKIAAAAHHRHTCHRLTGVEVLIDILGYRAAVASTSNYLFNLVSQFIGCRALQDQCCRIISALLKTFKSNPSKEIISVLGEQLQFLVSKLVACCIPTEAKGEQSGSRSSQVLSLLLELTVDSDPSLYDYIRELEPFPEIDIFDGIRKFHQDLCRAYSPRDHLLKFVKRSCYLPPRLLLWSLQALHKKFLLGETFQSEKNTYWHCDQEIISAVWTLVRMCGSDDTNTVRVLLSDFISRVGIGDPHCVVFHLPGNSSDIHVYQPISHDSSTEVKFRMDIGLSEELVVALLKLLKKYLMDDSVKIVDMTSQALRGILSTQRGQSTMLSFDSYERSLIEVHSKGVNIELVEKLLFDLEIKFKAEAIPLENSTVWVTDCKTFDTWICQLVYSLIGYCSDVILRLCQDVVLAKAEVAELLLPSLVVNLAGRKDMDVDLLKLISLQVQEYIFTDSNMLIKSIQIWLNALNELRLCHVMQRTTLLPSRAEISKSAKPSSYSSKSRSTPGKAGDSATALSGTAMATSLWDKVYWLSIDYLIVAKSAVICGNYFTAVMYVEHWCEEHFNSLTLGSPDFSHIEALPHHIEILVAAVTQINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYYDLQVRSASLVPVDFGSRNLSLEETQPTDHLSNSTLENAMRQRKSYKGLIRSLQQTGCMHVLDLYCQGLTTRKGHFHHDLEFTELQYEAAWRTANWDFSLLHVGNNSISSSMHIKSDHFNENLHSCLRALKKGDFNEFHGKLKNSKQELVWCVSRASEESTEHIYSAIIKLQILYHLGMAWDLRWTSCHYGEGINSYPEMEEVNSEPVIPTINQLSWLNMDWSSILERTQLHMNLLEPLIAFRRVLLQILNCRDCMVQHLLQSTSTLRKGSRFSQAAAALHEFKFLCVESGEQDSSLYWLGRLEEAKLLRGQGQHEMAISLAKYVSQNFLSNEESSDVHRLVGKWLAETRSSNSRTILEKYLKPAVSLTENQKAADKRSRDRQSRTHFHLAHYADALFRSYEERLNSNEWQAAMRLRKHKTMELEALIKRLKSSTKGEKIDYSVKIQELQKQLAMDKEEAEKLQDDRDNFLNLALEGYQRCLVVGNKYDVRVVFRLISLWFSLSSRKNVIDSMLTTITEVQSYKFIPLVYQIASRVGSLKDCPGPRNFQFALVSLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKLAAENLLQELTSYHGAMINQMKQMVEIYIKLAELETKREDTNRKVMLPRELRNLRQLELVPVVTATFSIDQSCQYHEGSFPYFKGLGDSVRVMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLGVRTYKVVPFTPSAGVLEWVDGTLPLGEYLIGSMRNGGAHGRYGVGDWSFLKCREHVTNGKDKRKAFQEVCRKFRPVMHHFFLERFLQPADWFEKRLAYTRSVATSSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLNLSLEGLQDGYEGNKDAARALMRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQLFPGWGAWL >ONI08362 pep chromosome:Prunus_persica_NCBIv2:G5:14792840:14820429:1 gene:PRUPE_5G173600 transcript:ONI08362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLVYTIPGLVYSRQREEASSFLSKLGQYLLEWLNCAVHVTQGNHNDFLALGCLGSDFASNGTSSIVASLRSFVYSPIFSRWKDQNHVDVELYGSIIQLMERLLKALAKVYEVYGNGVSSHKYDVALQDLSACDTQIQNSCPSGSQKSRIVDMELDVNEDSRDVDVLTVGGKIASRVSSSVEKWKLDMILLISDFFPVLHVTWDVLFELLLKESDQMVREKILLGLCQQPYWSSPENVTDMVSLMNEMVKMKVSLKLDCVKILSAIRVLLGTLLSLDSIRKDKFVIVSLGQRGSKQNLMHLGDVVNKVAECDLLDWFGRAKLINCICDFVLLSPQIGETLIERLLLMLQDPDYRVRFSLARRIDVLFQTWDGHEELFHDICSNFGVLLVFPSKEKLVAASEVVAVGPQPRPTMETVIITLMHLALHSEKIEFEAVFMICVVSAIDPGQRELVVAVLDNLSRQLHYTTRFKYLEELMGSILFCWIACGVSLAALVEIRQLFVSDSEPSYFMQYCCHWLLPALLLHGDHCNLSWVAKIACQPLEVLVKNHFVQIFSVCMALHCSKKSGWEKGADVLQNSILHLAQISENERDKLIKKNMVSIVSHILSLSSSASNPAVPFFSRDTIARAIQTVVDGFLEMEDDATSICVVDKINIFRADRVFMFIVELHHKIAAAAHHRHTCHRLTGVEVLIDILGYRAAVASTSNYLFNLVSQFIGCRALQDQCCRIISALLKTFKSNPSKEIISVLGEQLQFLVSKLVACCIPTEAKGEQSGSRSSQVLSLLLELTVDSDPSLYDYIRELEPFPEIDIFDGIRKFHQDLCRAYSPRDHLLKFVKRSCYLPPRLLLWSLQALHKKFLLGETFQSEKNTYWHCDQEIISAVWTLVRMCGSDDTNTVRVLLSDFISRVGIGDPHCVVFHLPGNSSDIHVYQPISHDSSTEVKFRMDIGLSEELVVALLKLLKKYLMDDSVKIVDMTSQALRGILSTQRGQSTMLSFDSYERSLIEVHSKGVNIELVEKLLFDLEIKFKAEAIPLENSTVWVTDCKTFDTWICQLVYSLIGYCSDVILRLCQDVVLAKAEVAELLLPSLVVNLAGRKDMDVDLLKLISLQVQEYIFTDSNMLIKSIQIWLNALNELRLCHVMQRTTLLPSRAEISKSAKPSSYSSKSRSTPGKAGDSATALSGTAMATSLWDKVYWLSIDYLIVAKSAVICGNYFTAVMYVEHWCEEHFNSLTLGSPDFSHIEALPHHIEILVAAVTQINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYYDLQVRSASLVPVDFGSRNLSLEETQPTDHLSNSTLENAMRQRKSYKGLIRSLQQTGCMHVLDLYCQGLTTRKGHFHHDLEFTELQYEAAWRTANWDFSLLHVGNNSISSSMHIKSDHFNENLHSCLRALKKGDFNEFHGKLKNSKQELVWCVSRASEESTEHIYSAIIKLQILYHLGMAWDLRWTSCHYGEGINSYPEMEEVNSEPVIPTINQLSWLNMDWSSILERTQLHMNLLEPLIAFRRVLLQILNCRDCMVQHLLQSTSTLRKGSRFSQAAAALHEFKFLCVESGEQDSSLYWLGRLEEAKLLRGQGQHEMAISLAKYVSQNFLSNEESSDVHRLVGKWLAETRSSNSRTILEKYLKPAVSLTENQKAADKRSRDRQSRTHFHLAHYADALFRSYEERLNSNEWQAAMRLRKHKTMELEALIKRLKSSTKGEKIDYSVKIQELQKQLAMDKEEAEKLQDDRDNFLNLALEGYQRCLVVGNKYDVRVVFRLISLWFSLSSRKNVIDSMLTTITEVQSYKFIPLVYQIASRVGSLKDCPGPRNFQFALVSLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKLAAENLLQELTSYHGAMINQMKQMVEIYIKLAELETKREDTNRKVMLPRELRNLRQLELVPVVTATFSIDQSCQYHEGSFPYFKGLGDSVRVMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLGVRTYKVVPFTPSAGVLEWVDGTLPLGEYLIGSMRNGGAHGRYGVGDWSFLKCREHVTNGKDKRKAFQEVCRKFRPVMHHFFLERFLQPADWFEKRLAYTRSVATSSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLNLSLEGLQDGYEGNKDAARALMRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQLFPGWGAWL >ONI08361 pep chromosome:Prunus_persica_NCBIv2:G5:14791748:14820429:1 gene:PRUPE_5G173600 transcript:ONI08361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLILEALVDKEMGKRPLSDAFFICALLSNFIYGSVLTRQREEASSFLSKLGQYLLEWLNCAVHVTQGNHNDFLALGCLGSDFASNGTSSIVASLRSFVYSPIFSRWKDQNHVDVELYGSIIQLMERLLKALAKVYEVYGNGVSSHKYDVALQDLSACDTQIQNSCPSGSQKSRIVDMELDVNEDSRDVDVLTVGGKIASRVSSSVEKWKLDMILLISDFFPVLHVTWDVLFELLLKESDQMVREKILLGLCQQPYWSSPENVTDMVSLMNEMVKMKVSLKLDCVKILSAIRVLLGTLLSLDSIRKDKFVIVSLGQRGSKQTLIERLLLMLQDPDYRVRFSLARRIDVLFQTWDGHEELFHDICSNFGVLLVFPSKEKLVAASEVVAVGPQPRPTMETVIITLMHLALHSEKIEFEAVFMICVVSAIDPGQRELVVAVLDNLSRQLHYTTRFKYLEELMGSILFCWIACGVSLAALVEIRQLFVSDSEPSYFMQYCCHWLLPALLLHGDHCNLSWVAKIFSVCMALHCSKKSGWEKGADVLQNSILHLAQISENERDKLIKKNMVSIVSHILSLSSSASNPAVPFFSRDTIARAIQTVVDGFLEMEDDATSICVVDKINIFRADRVFMFIVELHHKIAAAAHHRHTCHRLTGVEVLIDILGYRAAVASTSNYLFNLVSQFIGCRALQDQCCRIISALLKTFKSNPSKEIISVLGEQLQFLVSKLVACCIPTEAKGEQSGSRSSQVLSLLLELTVDSDPSLYDYIRELEPFPEIDIFDGIRKFHQDLCRAYSPRDHLLKFVKRSCYLPPRLLLWSLQALHKKFLLGETFQSEKNTYWHCDQEIISAVWTLVRMCGSDDTNTVRVLLSDFISRVGIGDPHCVVFHLPGNSSDIHVYQPISHDSSTEVKFRMDIGLSEELVVALLKLLKKYLMDDSVKIVDMTSQALRGILSTQRGQSTMLSFDSYERSLIEVHSKGVNIELVEKLLFDLEIKFKAEAIPLENSTVWVTDCKTFDTWICQLVYSLIGYCSDVILRLCQDVVLAKAEVAELLLPSLVVNLAGRKDMDVDLLKLISLQVQEYIFTDSNMLIKSIQIWLNALNELRLCHVMQRTTLLPSRAEISKSAKPSSYSSKSRSTPGKAGDSATALSGTAMATSLWDKVYWLSIDYLIVAKSAVICGNYFTAVMYVEHWCEEHFNSLTLGSPDFSHIEALPHHIEILVAAVTQINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYYDLQVRSASLVPVDFGSRNLSLEETQPTDHLSNSTLENAMRQRKSYKGLIRSLQQTGCMHVLDLYCQGLTTRKGHFHHDLEFTELQYEAAWRTANWDFSLLHVGNNSISSSMHIKSDHFNENLHSCLRALKKGDFNEFHGKLKNSKQELVWCVSRASEESTEHIYSAIIKLQILYHLGMAWDLRWTSCHYGEGINSYPEMEEVNSEPVIPTINQLSWLNMDWSSILERTQLHMNLLEPLIAFRRVLLQILNCRDCMVQHLLQSTSTLRKGSRFSQAAAALHEFKFLCVESGEQDSSLYWLGRLEEAKLLRGQGQHEMAISLAKYVSQNFLSNEESSDVHRLVGKWLAETRSSNSRTILEKYLKPAVSLTENQKAADKRSRDRQSRTHFHLAHYADALFRSYEERLNSNEWQAAMRLRKHKTMELEALIKRLKSSTKGEKIDYSVKIQELQKQLAMDKEEAEKLQDDRDNFLNLALEGYQRCLVVGNKYDVRVVFRLISLWFSLSSRKNVIDSMLTTITEVQSYKFIPLVYQIASRVGSLKDCPGPRNFQFALVSLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKLAAENLLQELTSYHGAMINQMKQMVEIYIKLAELETKREDTNRKVMLPRELRNLRQLELVPVVTATFSIDQSCQYHEGSFPYFKGLGDSVRVMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLGVRTYKVVPFTPSAGVLEWVDGTLPLGEYLIGSMRNGGAHGRYGVGDWSFLKCREHVTNGKDKRKAFQEVCRKFRPVMHHFFLERFLQPADWFEKRLAYTRSVATSSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLNLSLEGLQDGYEGNKDAARALMRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQLFPGWGAWL >ONI08358 pep chromosome:Prunus_persica_NCBIv2:G5:14784248:14820429:1 gene:PRUPE_5G173600 transcript:ONI08358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISRDVQEIVLKLSSDKARTREEGIKLLNTWLEGERSIGFCKYLGKNTAELKPDEIPHSETWPFFTNMLIQCASLEISSSKRPKLIFAKTLRVVVQRAEDDNFSGKPLPLLPVVKNLFNHIWEVVKNATSFQSEYGIVLRHLLAVRDYRFHMRKDIYCKLVKKYMKLVEDSWGGKNDNQYHPKEEVFRCILTLHALLENPPGDFPHNLREDIVKRFVKIFCFIRDEGKISRKLIECINIFLIKDGPNLDCESLEIHNAVQQFVFRCWLTTHDRSLKDVLILYARLQLNITRGATDGSILVHQLLDIVYKELDQSYISNANVPRTDATKDDKFGTLSSSHCGLVELAAAVLYRACTNMTKAPSTEKRVKREHAAAHLREALMQGKWLWNASFCFLTRKYHTRISKDIFIYWFEGICTSFQRILNDANMVHAYDGLLWTLRSLQELSSVLLSDPKLKKPSSSSGLNEFDCGWQVVWTCLMQGLPLFSNVTPVVDAAMVLLGNIISNDLIYTCVVPQDVWDLRLFKQMPSVSVLYFISCYFSKKVSQGDVRDILHLRKNLLRSVLGQLKWMESSVLNEQLVLLLPAAVYSLCAGSAPFAQCCKELPLSYSIVDVTEASEKPEESEHNCLHGLFDCTVEVLANIDSSSSVEVSPSQTHPSVWLPTQIRDPLLFEMETLILEALVDKEMGKRPLSDAFFICALLSNFIYGSVLTRQREEASSFLSKLGQYLLEWLNCAVHVTQGNHNDFLALGCLGSDFASNGTSSIVASLRSFVYSPIFSRWKDQNHVDVELYGSIIQLMERLLKALAKVYEVYGNGVSSHKYDVALQDLSACDTQIQNSCPSGSQKSRIVDMELDVNEDSRDVDVLTVGGKIASRVSSSVEKWKLDMILLISDFFPVLHVTWDVLFELLLKESDQMVREKILLGLCQQPYWSSPENVTDMVSLMNEMVKMKVSLKLDCVKILSAIRVLLGTLLSLDSIRKDKFVIVSLGQRGSKQTLIERLLLMLQDPDYRVRFSLARRIDVLFQTWDGHEELFHDICSNFGVLLVFPSKEKLVAASEVVAVGPQPRPTMETVIITLMHLALHSEKIEFEAVFMICVVSAIDPGQRELVVAVLDNLSRQLHYTTRFKYLEELMGSILFCWIACGVSLAALVEIRQLFVSDSEPSYFMQYCCHWLLPALLLHGDHCNLSWVAKIACQPLEVLVKNHFVQIFSVCMALHCSKKSGWEKGADVLQNSILHLAQISENERDKLIKKNMVSIVSHILSLSSSASNPAVPFFSRDTIARAIQTVVDGFLEMEDDATSICVVDKINIFRADRVFMFIVELHHKIAAAAHHRHTCHRLTGVEVLIDILGYRAAVASTSNYLFNLVSQFIGCRALQDQCCRIISALLKTFKSNPSKEIISVLGEQLQFLVSKLVACCIPTEAKGEQSGSRSSQVLSLLLELTVDSDPSLYDYIRELEPFPEIDIFDGIRKFHQDLCRAYSPRDHLLKFVKRSCYLPPRLLLWSLQALHKKFLLGETFQSEKNTYWHCDQEIISAVWTLVRMCGSDDTNTVRVLLSDFISRVGIGDPHCVVFHLPGNSSDIHVYQPISHDSSTEVKFRMDIGLSEELVVALLKLLKKYLMDDSVKIVDMTSQALRGILSTQRGQSTMLSFDSYERSLIEVHSKGVNIELVEKLLFDLEIKFKAEAIPLENSTVWVTDCKTFDTWICQLVYSLIGYCSDVILRLCQDVVLAKAEVAELLLPSLVVNLAGRKDMDVDLLKLISLQVQEYIFTDSNMLIKSIQIWLNALNELRLCHVMQRTTLLPSRAEISKSAKPSSYSSKSRSTPGKAGDSATALSGTAMATSLWDKVYWLSIDYLIVAKSAVICGNYFTAVMYVEHWCEEHFNSLTLGSPDFSHIEALPHHIEILVAAVTQINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYYDLQVRSASLVPVDFGSRNLSLEETQPTDHLSNSTLENAMRQRKSYKGLIRSLQQTGCMHVLDLYCQGLTTRKGHFHHDLEFTELQYEAAWRTANWDFSLLHVGNNSISSSMHIKSDHFNENLHSCLRALKKGDFNEFHGKLKNSKQELVWCVSRASEESTEHIYSAIIKLQILYHLGMAWDLRWTSCHYGEGINSYPEMEEVNSEPVIPTINQLSWLNMDWSSILERTQLHMNLLEPLIAFRRVLLQILNCRDCMVQHLLQSTSTLRKGSRFSQAAAALHEFKFLCVESGEQDSSLYWLGRLEEAKLLRGQGQHEMAISLAKYVSQNFLSNEESSDVHRLVGKWLAETRSSNSRTILEKYLKPAVSLTENQKAADKRSRDRQSRTHFHLAHYADALFRSYEERLNSNEWQAAMRLRKHKTMELEALIKRLKSSTKGEKIDYSVKIQELQKQLAMDKEEAEKLQDDRDNFLNLALEGYQRCLVVGNKYDVRVVFRLISLWFSLSSRKNVIDSMLTTITEVQSYKFIPLVYQIASRVGSLKDCPGPRNFQFALVSLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKLAAENLLQELTSYHGAMINQMKQMVEIYIKLAELETKREDTNRKVMLPRELRNLRQLELVPVVTATFSIDQSCQYHEGSFPYFKGLGDSVRVMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLGVRTYKVVPFTPSAGVLEWVDGTLPLGEYLIGSMRNGGAHGRYGVGDWSFLKCREHVTNGKDKRKAFQEVCRKFRPVMHHFFLERFLQPADWFEKRLAYTRSVATSSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLNLSLEGLQDGYEGNKDAARALMRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQLFPGWGAWL >ONI08360 pep chromosome:Prunus_persica_NCBIv2:G5:14791748:14820429:1 gene:PRUPE_5G173600 transcript:ONI08360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLILEALVDKEMGKRPLSDAFFICALLSNFIYGSVLTRQREEASSFLSKLGQYLLEWLNCAVHVTQGNHNDFLALGCLGSDFASNGTSSIVASLRSFVYSPIFSRWKDQNHVDVELYGSIIQLMERLLKALAKVYEVYGNGVSSHKYDVALQDLSACDTQIQNSCPSGSQKSRIVDMELDVNEDSRDVDVLTVGGKIASRVSSSVEKWKLDMILLISDFFPVLHVTWDVLFELLLKESDQMVREKILLGLCQQPYWSSPENVTDMVSLMNEMVKMKVSLKLDCVKILSAIRVLLGTLLSLDSIRKDKFVIVSLGQRGSKQNLMHLGDVVNKVAECDLLDWFGRAKLINCICDFVLLSPQIGETLIERLLLMLQDPDYRVRFSLARRIDVLFQTWDGHEELFHDICSNFGVLLVFPSKEKLVAASEVVAVGPQPRPTMETVIITLMHLALHSEKIEFEAVFMICVVSAIDPGQRELVVAVLDNLSRQLHYTTRFKYLEELMGSILFCWIACGVSLAALVEIRQLFVSDSEPSYFMQYCCHWLLPALLLHGDHCNLSWVAKIFSVCMALHCSKKSGWEKGADVLQNSILHLAQISENERDKLIKKNMVSIVSHILSLSSSASNPAVPFFSRDTIARAIQTVVDGFLEMEDDATSICVVDKINIFRADRVFMFIVELHHKIAAAAHHRHTCHRLTGVEVLIDILGYRAAVASTSNYLFNLVSQFIGCRALQDQCCRIISALLKTFKSNPSKEIISVLGEQLQFLVSKLVACCIPTEAKGEQSGSRSSQVLSLLLELTVDSDPSLYDYIRELEPFPEIDIFDGIRKFHQDLCRAYSPRDHLLKFVKRSCYLPPRLLLWSLQALHKKFLLGETFQSEKNTYWHCDQEIISAVWTLVRMCGSDDTNTVRVLLSDFISRVGIGDPHCVVFHLPGNSSDIHVYQPISHDSSTEVKFRMDIGLSEELVVALLKLLKKYLMDDSVKIVDMTSQALRGILSTQRGQSTMLSFDSYERSLIEVHSKGVNIELVEKLLFDLEIKFKAEAIPLENSTVWVTDCKTFDTWICQLVYSLIGYCSDVILRLCQDVVLAKAEVAELLLPSLVVNLAGRKDMDVDLLKLISLQVQEYIFTDSNMLIKSIQIWLNALNELRLCHVMQRTTLLPSRAEISKSAKPSSYSSKSRSTPGKAGDSATALSGTAMATSLWDKVYWLSIDYLIVAKSAVICGNYFTAVMYVEHWCEEHFNSLTLGSPDFSHIEALPHHIEILVAAVTQINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYYDLQVRSASLVPVDFGSRNLSLEETQPTDHLSNSTLENAMRQRKSYKGLIRSLQQTGCMHVLDLYCQGLTTRKGHFHHDLEFTELQYEAAWRTANWDFSLLHVGNNSISSSMHIKSDHFNENLHSCLRALKKGDFNEFHGKLKNSKQELVWCVSRASEESTEHIYSAIIKLQILYHLGMAWDLRWTSCHYGEGINSYPEMEEVNSEPVIPTINQLSWLNMDWSSILERTQLHMNLLEPLIAFRRVLLQILNCRDCMVQHLLQSTSTLRKGSRFSQAAAALHEFKFLCVESGEQDSSLYWLGRLEEAKLLRGQGQHEMAISLAKYVSQNFLSNEESSDVHRLVGKWLAETRSSNSRTILEKYLKPAVSLTENQKAADKRSRDRQSRTHFHLAHYADALFRSYEERLNSNEWQAAMRLRKHKTMELEALIKRLKSSTKGEKIDYSVKIQELQKQLAMDKEEAEKLQDDRDNFLNLALEGYQRCLVVGNKYDVRVVFRLISLWFSLSSRKNVIDSMLTTITEVQSYKFIPLVYQIASRVGSLKDCPGPRNFQFALVSLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKLAAENLLQELTSYHGAMINQMKQMVEIYIKLAELETKREDTNRKVMLPRELRNLRQLELVPVVTATFSIDQSCQYHEGSFPYFKGLGDSVRVMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLGVRTYKVVPFTPSAGVLEWVDGTLPLGEYLIGSMRNGGAHGRYGVGDWSFLKCREHVTNGKDKRKAFQEVCRKFRPVMHHFFLERFLQPADWFEKRLAYTRSVATSSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLNLSLEGLQDGYEGNKDAARALMRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQLFPGWGAWL >ONI08363 pep chromosome:Prunus_persica_NCBIv2:G5:14792840:14820429:1 gene:PRUPE_5G173600 transcript:ONI08363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLVYTIPGLVYSRQREEASSFLSKLGQYLLEWLNCAVHVTQGNHNDFLALGCLGSDFASNGTSSIVASLRSFVYSPIFSRWKDQNHVDVELYGSIIQLMERLLKALAKVYEVYGNGVSSHKYDVALQDLSACDTQIQNSCPSGSQKSRIVDMELDVNEDSRDVDVLTVGGKIASRVSSSVEKWKLDMILLISDFFPVLHVTWDVLFELLLKESDQMVREKILLGLCQQPYWSSPENVTDMVSLMNEMVKMKVSLKLDCVKILSAIRVLLGTLLSLDSIRKDKFVIVSLGQRGSKQNLMHLGDVVNKVAECDLLDWFGRAKLINCICDFVLLSPQIGETLIERLLLMLQDPDYRVRFSLARRIDVLFQTWDGHEELFHDICSNFGVLLVFPSKEKLVAASEVVAVGPQPRPTMETVIITLMHLALHSEKIEFEAVFMICVVSAIDPGQRELVVAVLDNLSRQLHYTTRFKYLEELMGSILFCWIACGVSLAALVEIRQLFVSDSEPSYFMQYCCHWLLPALLLHGDHCNLSWVAKIACQPLEVLVKNHFVQIFSVCMALHCSKKSGWEKGADVLQNSILHLAQISENERDKLIKKNMVSIVSHILSLSSSASNPAVPFFSRDTIARAIQTVVDGFLEMEDDATSICVVDKINIFRADRVFMFIVELHHKIAAAAHHRHTCHRLTGVEVLIDILGYRAAVASTSNYLFNLVSQFIGCRALQDQCCRIISALLKTFKSNPSKEIISVLGEQLQFLVSKLVACCIPTEAKGEQSGSRSSQVLSLLLELTVDSDPSLYDYIRELEPFPEIDIFDGIRKFHQDLCRAYSPRDHLLKFVKRSCYLPPRLLLWSLQALHKKFLLGETFQSEKNTYWHCDQEIISAVWTLVRMCGSDDTNTVRVLLSDFISRVGIGDPHCVVFHLPGNSSDIHVYQPISHDSSTEVKFRMDIGLSEELVVALLKLLKKYLMDDSVKIVDMTSQALRGILSTQRGQSTMLSFDSYERSLIEVHSKGVNIELVEKLLFDLEIKFKAEAIPLENSTVWVTDCKTFDTWICQLVYSLIGYCSDVILRLCQDVVLAKAEVAELLLPSLVVNLAGRKDMDVDLLKLISLQVQEYIFTDSNMLIKSIQIWLNALNELRLCHVMQRTTLLPSRAEISKSAKPSSYSSKSRSTPGKAGDSATALSGTAMATSLWDKVYWLSIDYLIVAKSAVICGNYFTAVMYVEHWCEEHFNSLTLGSPDFSHIEALPHHIEILVAAVTQINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYYDLQVRSASLVPVDFGSRNLSLEETQPTDHLSNSTLENAMRQRKSYKGLIRSLQQTGCMHVLDLYCQGLTTRKGHFHHDLEFTELQYEAAWRTANWDFSLLHVGNNSISSSMHIKSDHFNENLHSCLRALKKGDFNEFHGKLKNSKQELVWCVSRASEESTEHIYSAIIKLQILYHLGMAWDLRWTSCHYGEGINSYPEMEEVNSEPVIPTINQLSWLNMDWSSILERTQLHMNLLEPLIAFRRVLLQILNCRDCMVQHLLQSTSTLRKGSRFSQAAAALHEFKFLCVESGEQDSSLYWLGRLEEAKLLRGQGQHEMAISLAKYVSQNFLSNEESSDVHRLVGKWLAETRSSNSRTILEKYLKPAVSLTENQKAADKRSRDRQSRTHFHLAHYADALFRSYEERLNSNEWQAAMRLRKHKTMELEALIKRLKSSTKGEKIDYSVKIQELQKQLAMDKEEAEKLQDDRDNFLNLALEGYQRCLVVGNKYDVRVVQSYKFIPLVYQIASRVGSLKDCPGPRNFQFALVSLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDMDKKLAAENLLQELTSYHGAMINQMKQMVEIYIKLAELETKREDTNRKVMLPRELRNLRQLELVPVVTATFSIDQSCQYHEGSFPYFKGLGDSVRVMNGINAPKVVECLGSDGCRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLGVRTYKVVPFTPSAGVLEWVDGTLPLGEYLIGSMRNGGAHGRYGVGDWSFLKCREHVTNGKDKRKAFQEVCRKFRPVMHHFFLERFLQPADWFEKRLAYTRSVATSSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLNLSLEGLQDGYEGNKDAARALMRVKQKLDGYEEGEMRSVHGQVQQLIQDAIDPERLCQLFPGWGAWL >ONI07376 pep chromosome:Prunus_persica_NCBIv2:G5:11827494:11831208:1 gene:PRUPE_5G115900 transcript:ONI07376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPEPPNPAPFLETSRDEDAGAVFVLQSKGQWWHAGFHLTTAIVGPTLLTLPYAFRGLGWGPGFLCLTTMGVVTFYSYYLMSKVLDHCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLAGECLKIMYSELSPNGSLKLYHFIAMVTVVMIVISQLPTFHSLRHINFGSLLLSLGYSFLVVGACIYAVSGYWVFGNKASSNIIQSLMPDEGPSLAPTWVLAVTVIFVLLQLLAIGLVYSQVAYEIMEKKSADVNQGMFSKRNLIPRIILRSLYMMLCGFFAAMLPFFGDISGVVGAVGFIPLDFILPMLLYNKTYKPPKSTFIYWINISIMIVFTGAGLLGTFSSVRKLVLDASKFKLFSDDVVD >ONI07374 pep chromosome:Prunus_persica_NCBIv2:G5:11827494:11831208:1 gene:PRUPE_5G115900 transcript:ONI07374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPEPPNPAPFLETSRDEDAGAVFVLQSKGQWWHAGFHLTTAIVGPTLLTLPYAFRGLGWGPGFLCLTTMGVVTFYSYYLMSKVLDHCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLAGECLKIMYSELSPNGSLKLYHFIAMVTVVMIVISQLPTFHSLRHINFGSLLLSLGYSFLVVGACIYAGTSKNAPARDYSLESSNSAKLFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLVMCYTVIFITFYSTAVSGYWVFGNKASSNIIQSLMPDEGPSLAPTWVLAVTVIFVLLQLLAIGLVYSQVAYEIMEKKSADVNQGMFSKRNLIPRIILRSLYMMLCGFFAAMLPFFGDISGVVGAVGFIPLDFILPMLLYNKTYKPPKSTFIYWINISIMIVFTGAGLLGTFSSVRKLVLDASKFKLFSDDVVD >ONI07375 pep chromosome:Prunus_persica_NCBIv2:G5:11827218:11831370:1 gene:PRUPE_5G115900 transcript:ONI07375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPEPPNPAPFLETSRDEDAGAVFVLQSKGQWWHAGFHLTTAIVGPTLLTLPYAFRGLGWGPGFLCLTTMGVVTFYSYYLMSKVLDHCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLAGECLKIMYSELSPNGSLKLYHFIAMVTVVMIVISQLPTFHSLRHINFGSLLLSLGYSFLVVGACIYAGTSKNAPARDYSLESSNSAKLFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLVMCYTVIFITFYSTAVSGYWVFGNKASSNIIQSLMPDEGPSLAPTWVLAVTVIFVLLQLLAIGLVYSQVAYEIMEKKSADVNQGMFSKRNLIPRIILRSLYMMLCGFFAAMLPFFGDISGVVGAVGFIPLDFILPMLLYNKTYKPPKSTFIYWINISIMIVFTGAGLLGTFSSVRKLVLDASKFKLFSDDVVD >ONI07377 pep chromosome:Prunus_persica_NCBIv2:G5:11828408:11831208:1 gene:PRUPE_5G115900 transcript:ONI07377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFVIFIQTAINTGVGIGAILLAGECLKIMYSELSPNGSLKLYHFIAMVTVVMIVISQLPTFHSLRHINFGSLLLSLGYSFLVVGACIYAGTSKNAPARDYSLESSNSAKLFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLVMCYTVIFITFYSTAVSGYWVFGNKASSNIIQSLMPDEGPSLAPTWVLAVTVIFVLLQLLAIGLVYSQVAYEIMEKKSADVNQGMFSKRNLIPRIILRSLYMMLCGFFAAMLPFFGDISGVVGAVGFIPLDFILPMLLYNKTYKPPKSTFIYWINISIMIVFTGAGLLGTFSSVRKLVLDASKFKLFSDDVVD >ONI06606 pep chromosome:Prunus_persica_NCBIv2:G5:8359985:8363270:1 gene:PRUPE_5G069600 transcript:ONI06606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSSSSSSSSSYFFLVCTTLIVFSFWLFHAAPAVTAVRSSRVMLVHTTNNNGSTSNSTKNHHKWVGPTGHRQIAVDINGSGDFLSVQAAVNAVPANNTVNVLILISPGYYREKVVVPATKPYITFQGAGKDVTVIEWHDRASDPGPNGQQLRTYRTASVTVFANYFSARNISFKNTAPAPMPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDVGRHYFKDCYIEGSIDFIFGNGRSMYKDCELHSIATKFGSIAAHYRNSADDKSGFAFVNCRVTGTGPLYVGRAMGKYSRIVYSYTYFDDVVAHGAWDDWGNTTASTTTKRSVFMGVYKCWGPGEEALRGVSCAPELDYELAHPFLVKSFVNGRHWIAPSDA >ONI08290 pep chromosome:Prunus_persica_NCBIv2:G5:14559230:14563809:1 gene:PRUPE_5G169700 transcript:ONI08290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYPWPLLLLSSFFLVFLSVSPLALALNPQGQALFSWKQSINGSTEALRNWNPSDQHPCGWFGVTCNLNNQVVELNLKYLDLLGKLPSNFTSLSTISKLTLSGTNLTGSIPKQISTLQELTLLDLSDNALSGEIPVEICSLPKLEQLYLSTNRLEGSIPIEIGNLTSLKWLVLFDNQLSGSLPSSTGNLHNLQVIRAGGNKNLEGPLPHEIGNCNNLVMLGLAETSISGSLPSTLGLLKKLQTLAIYTALLSGPIPPELGDCSELRDIYLYENSITGSVPSQLGNINNLQNLLLWQNNLVGVLPPELGNCLQLQVIDISMNSLTGSIPQSFGNLTSLQELQLSVNQISGEIPAQLGNCRKLTHIELDNNQITGSIPAEFGNLSNLTLLFLWQNKLEGTVPSSISNCLNLEAVDLSQNGLNGPVPGGLFNLQKLTKLLLLSNNFSGEIPPEIGNCSSLIRFRASGNKLTGAIPPQIGKLKNLNFLDLGSNRLTRTIPEEISSCRNLTFLDLHSNSIGGNLPGSFDQLVSLQFVDFSDNLIEGTLSAGLGSLSSLTKLVLGKNQFTGAIPSELGLCPKLQLLDLSGNELTGNIPASLGKIPALEIALNLSWNQLSGDIPKEFADLDKLGILDVCHNQLTGDLQFLAAMQNLVVLNVSHNNFSGRVPDTPFFAKLPLSVLSSNPSLCFSGNSQCAENSDNTGGGSRRRNIAARVAMVVLLCTACALLLAAFYIILGAKRRGPPGLFGGSHEPDPEDDSEVDVGPPWEVTLYQKLELSIVEVARSLTPCNVIGRGRSGVVYQVPIPSGLSLAVKRFRTSEKYSASAFSSEIATLARIRHRNIVRLLGWGANRRTKLLFYDYLANGNLGSLLHEGSAGLVEWDSRFRIALGVAEGLAYLHHDCQPAILHRDVKAQNILLGDRYEAVLADFGLARLVEEDDQNGPFSANPQFAGSYGYIAPEYACMLKITAKSDVYSYGVVLLEIITGKKPVDPSFTDGQHVIQWVRDHLKSKKDPVEILDPKLQGYPDTQIQEMLQALGISLLCTSNRAEDRPTMKDVAALLREIRHDQPPTGGEAHKPASNALKNSSSSVTPAQLLQLQGSSPCSLAYSSASAGYLSGTQ >ONI05785 pep chromosome:Prunus_persica_NCBIv2:G5:2699808:2714769:-1 gene:PRUPE_5G024100 transcript:ONI05785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNHSEAESCCLKVSSQEISLRNLESLEKSCFKAMSLKPSDVEASSRDIEVQHLSLSWHECGDKGPMPLRRSSRLMSAPGNSVSESLKNFDLEKLDEKHVRKSPRFSHCVNEGHIRRSPRFSLSVAADEKNSNLNSSIVGLFVSEDERRSSRLTSAPENGDSESLKNVDLEKLDEKHMRRSPIFSHCVNGKEIRRSPRFSPYVAAAEKNSNLNSIIFGLSDSKDEFPSKKILMSLGKSDSRIFDEKYLRQSRKEATAMFENEGRETECSLIELPETYVKQQPKKRKTVDSSANRQNKQKNCKSTSLIGDPIPDVEAQERWGWRYQMKSQRSKSQSLKLNEDEEDEIILNVECHFSQAKIGNCIFSLGDCAYIKVGLKGNSILSSDFYYDMEYCVDYSTFRSLITDNSLKNQNLPAYNSIEAVSILSTVNLLENMPSSETYNAELAVLDLYCGCGGMSTGLCLGAKLSCVNLVTRWALDSDKSACESLKLNHPETQVRNEAAEDFLELLKEWEKLCRRYTVNDVERTYPLRSKTSKIPKNSNEIATDEYEVSRIVDICYGDPNKTGKHGVNFKVHWKGYSSSEDTWEPIGGLSNCLGSIQDFVRYGKKVKILPLPGDVDVICGGPPCQGISGYNRYRNVDSPLDDERNHQIVVFMDIVKFLKPKYVLMENVVDILRFDKASLGRYALSRLVHMNYQARLGILAAGCYGLPQFRLRVFLWGAHPCENLPQFPLPTHDVIIRYWPPPEFERNTVAYDEDQPRVLEEAVVLHDAISDLPAVTNDETSEEMSYQKPPGTDFQRYIRSTQYEMMGSVLDGTMKTKVSLYDHRPYPLFEDDYLRVCQIPKRKGANFRDLPGVVVGNDNVARRDSTEKHLLLPSGKPLVPDYAFTYEQGKSKRPFARLWWDETVPTVLTFPTCHSQAILHPEQDRILTLRECARLQGFPDYYRFCGTVKERYCQVGNAVAVPVARALGYALGLAVRKLSGNEPLMTLPRNFSHSNYFQFTEVWPLETEE >ONI05786 pep chromosome:Prunus_persica_NCBIv2:G5:2699808:2714693:-1 gene:PRUPE_5G024100 transcript:ONI05786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNHSEAESCCLKVSSQEISLRNLESLEKSCFKAMSLKPSDVEASSRDIEVQHLSLSWHECGDKGPMPLRRSSRLMSAPGNSVSESLKNFDLEKLDEKHVRKSPRFSHCVNEGHIRRSPRFSLSVAADEKNSNLNSSIVGLFVSEDERRSSRLTSAPENGDSESLKNVDLEKLDEKHMRRSPIFSHCVNGKEIRRSPRFSPYVAAAEKNSNLNSIIFGLSDSKDEFPSKKILMSLGKSDSRIFDEKYLRQSRKEATAMFENEGRETECSLIELPETYVKQQPKKRKTVDSSANRQNKQKNCKSTSLIGDPIPDVEAQERWGWRYQMKSQRSKSQSLKLNEDEEDEIILNVECHFSQAKIGNCIFSLGDCAYIKVIKDVGDVHDKRRLFYSTIMNDNLIDCIVSKVNVKHVSPRVGLKGNSILSSDFYYDMEYCVDYSTFRSLITDNSLKNQNLPAYNSIEAVSILSTVNLLENMPSSETYNAELAVLDLYCGCGGMSTGLCLGAKLSCVNLVTRWALDSDKSACESLKLNHPETQVRNEAAEDFLELLKEWEKLCRRYTVNDVERTYPLRSKTSKIPKNSNEIATDEYEVSRIVDICYGDPNKTGKHGVNFKVHWKGYSSSEDTWEPIGGLSNCLGSIQDFVRYGKKVKILPLPGDVDVICGGPPCQGISGYNRYRNVDSPLDDERNHQIVVFMDIVKFLKPKYVLMENVVDILRFDKASLGRYALSRLVHMNYQARLGILAAGCYGLPQFRLRVFLWGAHPCENLPQFPLPTHDVIIRYWPPPEFERNTVAYDEDQPRVLEEAVVLHDAISDLPAVTNDETSEEMSYQKPPGTDFQRYIRSTQYEMMGSVLDGTMKTKVSLYDHRPYPLFEDDYLRVCQIPKRKGANFRDLPGVVVGNDNVARRDSTEKHLLLPSGKPLVPDYAFTYEQGKSKRPFARLWWDETVPTVLTFPTCHSQAILHPEQDRILTLRECARLQGFPDYYRFCGTVKERYCQVGNAVAVPVARALGYALGLAVRKLSGNEPLMTLPRNFSHSNYFQFTEVWPLETEE >ONI05792 pep chromosome:Prunus_persica_NCBIv2:G5:2699808:2714696:-1 gene:PRUPE_5G024100 transcript:ONI05792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNHSEAESCCLKVSSQEISLRNLESLEKSCFKAMSLKPSDVEASSRDIEVQHLSLSWHECGDKGPMPLRRSSRLMSAPGNSVSESLKNFDLEKLDEKHVRKSPRFSHCVNEGHIRRSPRFSLSVAADEKNSNLNSSIVGLFVSEDERRSSRLTSAPENGDSESLKNVDLEKLDEKHMRRSPIFSHCVNGKEIRRSPRFSPYVAAAEKNSNLNSIIFGLSDSKDEFPSKKILMSLGKSDSRIFDEKYLRQSRKEATAMFENEGRETECSLIELPETYVKQQPKKRKTVDSSANRQNKQKNCKSTSLIGDPIPDVEAQERWGWRYQMKSQRSKSQSLKLNEDEEDEIILNVECHFSQAKIGNCIFSLGDCAYIKGDGGKKHVGRILEFFKTTDGKNYFRVQWFYKVEDTVIKDVGDVHDKRRLFYSTIMNDNLIDCIVSKVNVKHVSPRVGLKGNSILSSDFYYDMEYCVDYSTFRSLITDNSLKNQNLPAYNSIEAVSILSTVNLLENMPSSETYNAELAVLDLYCGCGGMSTGLCLGAKLSCVNLVTRWALDSDKSACESLKLNHPETQVRNEAAEDFLELLKEWEKLCRRYTVNDVERTYPLRSKTSKIPKNSNEIATDEYEVSRIVDICYGDPNKTGKHGVNFKVHWKGYSSSEDTWEPIGGLSNCLGSIQDFVRYGKKVKILPLPGDVDVICGGPPCQGISGYNRYRNVDSPLDDERNHQIVVFMDIVKFLKPKYVLMENVVDILRFDKASLGRYALSRLVHMNYQARLGILAAGCYGLPQFRLRVFLWGAHPCENLPQFPLPTHDVIIRYWPPPEFERNTVAYDEDQPRVLEEAVVLHDAISDLPAVTNDETSEEMSYQKPPGTDFQRYIRSTQYEMMGSVLDGTMKTKVSLYDHRPYPLFEDDYLRVCQIPKRKGANFRDLPGVVVGNDNVARRDSTEKHLLLPSGKPLVPDYAFTYEQGKSKRQYYIQSKTESSLYENVQDCKGFLIIIDSVGRLRRDIVKLEMQLQSLLRELWDMLWDWQFESSVGMNHS >ONI05791 pep chromosome:Prunus_persica_NCBIv2:G5:2699763:2711991:-1 gene:PRUPE_5G024100 transcript:ONI05791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFNIILFGSMFFSVHVMLACSEDEEDEIILNVECHFSQAKIGNCIFSLGDCAYIKGDGGKKHVGRILEFFKTTDGKNYFRVQWFYKVEDTVIKDVGDVHDKRRLFYSTIMNDNLIDCIVSKVNVKHVSPRVGLKGNSILSSDFYYDMEYCVDYSTFRSLITDNSLKNQNLPAYNSIEAVSILSTVNLLENMPSSETYNAELAVLDLYCGCGGMSTGLCLGAKLSCVNLVTRWALDSDKSACESLKLNHPETQVRNEAAEDFLELLKEWEKLCRRYTVNDVERTYPLRSKTSKIPKNSNEIATDEYEVSRIVDICYGDPNKTGKHGVNFKVHWKGYSSSEDTWEPIGGLSNCLGSIQDFVRYGKKVKILPLPGDVDVICGGPPCQGISGYNRYRNVDSPLDDERNHQIVVFMDIVKFLKPKYVLMENVVDILRFDKASLGRYALSRLVHMNYQARLGILAAGCYGLPQFRLRVFLWGAHPCENLPQFPLPTHDVIIRYWPPPEFERNTVAYDEDQPRVLEEAVVLHDAISDLPAVTNDETSEEMSYQKPPGTDFQRYIRSTQYEMMGSVLDGTMKTKVSLYDHRPYPLFEDDYLRVCQIPKRKGANFRDLPGVVVGNDNVARRDSTEKHLLLPSGKPLVPDYAFTYEQGKSKRPFARLWWDETVPTVLTFPTCHSQAILHPEQDRILTLRECARLQGFPDYYRFCGTVKERYCQVGNAVAVPVARALGYALGLAVRKLSGNEPLMTLPRNFSHSNYFQFTEVWPLETEE >ONI05788 pep chromosome:Prunus_persica_NCBIv2:G5:2699807:2714693:-1 gene:PRUPE_5G024100 transcript:ONI05788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNHSEAESCCLKVSSQEISLRNLESLEKSCFKAMSLKPSDVEASSRDIEVQHLSLSWHECGDKGPMPLRRSSRLMSAPGNSVSESLKNFDLEKLDEKHVRKSPRFSHCVNEGHIRRSPRFSLSVAADEKNSNLNSSIVGLFVSEDERRSSRLTSAPENGDSESLKNVDLEKLDEKHMRRSPIFSHCVNGKEIRRSPRFSPYVAAAEKNSNLNSIIFGLSDSKDEFPSKKILMSLGKSDSRIFDEKYLRQSRKEATAMFENEGRETECSLIELPETYVKQQPKKRKTVDSSANRQNKQKNCKSTSLIGDPIPDVEAQERWGWRYQMKSQRSKSQSLKLNEDEEDEIILNVECHFSQAKIGNCIFSLGDCAYIKGDGGKKHVGRILEFFKTTDGKNYFRVQWFYKVEDTVIKDVGDVHDKRRLFYSTIMNDNLIDCIVSKVNVKHVSPRVGLKGNSILSSDFYYDMEYCVDYSTFRSLITDNSLKNQNLPAYNSIEAVSILSTVNLLENMPSSETYNAELAVLDLYCGCGGMSTGLCLGAKLSCVNLVTRWALDSDKSACESLKLNHPETQVRNEAAEDFLELLKEWEKLCRRYTVNDVERTYPLRSKTSKIPKNSNEIATDEYEVSRIVDICYGDPNKTGKHGVNFKVHWKGYSSSEDTWEPIGGLSNCLGSIQDFVRYGKKGDVDVICGGPPCQGISGYNRYRNVDSPLDDERNHQIVVFMDIVKFLKPKYVLMENVVDILRFDKASLGRYALSRLVHMNYQARLGILAAGCYGLPQFRLRVFLWGAHPCENLPQFPLPTHDVIIRYWPPPEFERNTVAYDEDQPRVLEEAVVLHDAISDLPAVTNDETSEEMSYQKPPGTDFQRYIRSTQYEMMGSVLDGTMKTKVSLYDHRPYPLFEDDYLRVCQIPKRKGANFRDLPGVVVGNDNVARRDSTEKHLLLPSGKPLVPDYAFTYEQGKSKRPFARLWWDETVPTVLTFPTCHSQAILHPEQDRILTLRECARLQGFPDYYRFCGTVKERYCQVGNAVAVPVARALGYALGLAVRKLSGNEPLMTLPRNFSHSNYFQFTEVWPLETEE >ONI05790 pep chromosome:Prunus_persica_NCBIv2:G5:2699763:2714838:-1 gene:PRUPE_5G024100 transcript:ONI05790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNHSEAESCCLKVSSQEISLRNLESLEKSCFKAMSLKPSDVEASSRDIEVQHLSLSWHECGDKGPMPLRRSSRLMSAPGNSVSESLKNFDLEKLDEKHVRKSPRFSHCVNEGHIRRSPRFSLSVAADEKNSNLNSSIVGLFVSEDERRSSRLTSAPENGDSESLKNVDLEKLDEKHMRRSPIFSHCVNGKEIRRSPRFSPYVAAAEKNSNLNSIIFGLSDSKDEFPSKKILMSLGKSDSRIFDEKYLRQSRKEATAMFENEGRETECSLIELPETYVKQQPKKRKTVDSSANRQNKQKNCKSTSLIGDPIPDVEAQERWGWRYQMKSQRSKSQSLKLNEDEEDEIILNVECHFSQAKIGNCIFSLGDCAYIKGDGGKKHVGRILEFFKTTDGKNYFRVQWFYKVEDTVIKDVGDVHDKRRLFYSTIMNDNLIDCIVSKVNVKHVSPRVGLKGNSILSSDFYYDMEYCVDYSTFRSLITDNSLKNQNLPAYNSIEAVSILSTVNLLENMPSSETYNAELAVLDLYCGCGGMSTGLCLGAKLSCVNLVTRWALDSDKSACESLKLNHPETQVRNEAAEDFLELLKEWEKLCRRYTVNDVERTYPLRSKTSKIPKNSNEIATDEYEVSRIVDICYGDPNKTGKHGVNFKVHWKGYSSSEDTWEPIGGLSNCLGSIQDFVRYGKKVKILPLPGDVDVICGGPPCQGISGYNRYRNVDSPLDDERNHQIVVFMDIVKFLKPKYVLMENVVDILRFDKASLGRYALSRLVHMNYQARLGILAAGCYGLPQFRLRVFLWGAHPCENLPQFPLPTHDVIIRYWPPPEFERNTVAYDEDQPRVLEEAVVLHDAISDLPAVTNDETSEEMSYQKPPGTDFQRYIRSTQYEMMGSVLDGTMKTKVSLYDHRPYPLFEDDYLRVCQIPKRKGANFRDLPGVVVGNDNVARRDSTEKHLLLPSGKPLVPDYAFTYEQGKSKRPFARLWWDETVPTVLTFPTCHSQAILHPEQDRILTLRECARLQGFPDYYRFCGTVKERYCQVGNAVAVPVARALGYALGLAVRKLSGNEPLMTLPRNFSHSNYFQFTEVWPLETEE >ONI05789 pep chromosome:Prunus_persica_NCBIv2:G5:2699762:2714693:-1 gene:PRUPE_5G024100 transcript:ONI05789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNHSEAESCCLKVSSQEISLRNLESLEKSCFKAMSLKPSDVEASSRDIEVQHLSLSWHECGDKGPMPLRRSSRLMSAPGNSVSESLKNFDLEKLDEKHVRKSPRFSHCVNEGHIRRSPRFSLSVAADEKNSNLNSSIVGLFVSEDERRSSRLTSAPENGDSESLKNVDLEKLDEKHMRRSPIFSHCVNGKEIRRSPRFSPYVAAAEKNSNLNSIIFGLSDSKDEFPSKKILMSLGKSDSRIFDEKYLRQSRKEATAMFENEGRETECSLIELPETYVKQQPKKRKTVDSSANRQNKQKNCKSTSLIGDPIPDVEAQERWGWRYQMKSQRSKSQSLKLNEDEEDEIILNVECHFSQAKIGNCIFSLGDCAYIKGDGGKKHVGRILEFFKTTDGKNYFRVQWFYKVEDTVIKDVGDVHDKRRLFYSTIMNDNLIDCIVSKVNVKHVSPRVGLKGNSILSSDFYYDMEYCVDYSTFRSLITDNSLKNQNLPAYNSIEAVSILSTVNLLENMPSSETYNAELAVLDLYCGCGGMSTGLCLGAKLSCVNLVTRWALDSDKSACESLKLNHPETQVRNEAAEDFLELLKEWEKLCRRYTVNDVERTYPLRSKTSKIPKNSNEIATDEYEVSRIVDICYGDPNKTGKHGVNFKVHWKGYSSSEDTWEPIGGLSNCLGSIQDFVRYGKKVKILPLPNLPQFPLPTHDVIIRYWPPPEFERNTVAYDEDQPRVLEEAVVLHDAISDLPAVTNDETSEEMSYQKPPGTDFQRYIRSTQYEMMGSVLDGTMKTKVSLYDHRPYPLFEDDYLRVCQIPKRKGANFRDLPGVVVGNDNVARRDSTEKHLLLPSGKPLVPDYAFTYEQGKSKRPFARLWWDETVPTVLTFPTCHSQAILHPEQDRILTLRECARLQGFPDYYRFCGTVKERYCQVGNAVAVPVARALGYALGLAVRKLSGNEPLMTLPRNFSHSNYFQFTEVWPLETEE >ONI05793 pep chromosome:Prunus_persica_NCBIv2:G5:2700260:2714224:-1 gene:PRUPE_5G024100 transcript:ONI05793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNHSEAESCCLKVSSQEISLRNLESLEKSCFKAMSLKPSDVEASSRDIEVQHLSLSWHECGDKGPMPLRRSSRLMSAPGNSVSESLKNFDLEKLDEKHVRKSPRFSHCVNEGHIRRSPRFSLSVAADEKNSNLNSSIVGLFVSEDERRSSRLTSAPENGDSESLKNVDLEKLDEKHMRRSPIFSHCVNGKEIRRSPRFSPYVAAAEKNSNLNSIIFGLSDSKDEFPSKKILMSLGKSDSRIFDEKYLRQSRKEATAMFENEGRETECSLIELPETYVKQQPKKRKTVDSSANRQNKQKNCKSTSLIGDPIPDVEAQERWGWRYQMKSQRSKSQSLKLNEDEEDEIILNVECHFSQAKIGNCIFSLGDCAYIKVGLKGNSILSSDFYYDMEYCVDYSTFRSLITDNSLKNQNLPAYNSIEAVSILSTVNLLENMPSSETYNAELAVLDLYCGCGGMSTGLCLGAKLSCVNLVTRWALDSDKSACESLKLNHPETQVRNEAAEDFLELLKEWEKLCRRYTVNDVERTYPLRSKTSKIPKNSNEIATDEYEVSRIVDICYGDPNKTGKHGVNFKVHWKGYSSSEDTWEPIGGLSNCLGSIQDFVRYGKKVKILPLPGDVDVICGGPPCQGISGYNRYRNVDSPLDDERNHQIVVFMDIVKFLKPKYVLMENVVDILRFDKASLGRYALSRLVHMNYQARLGILAAGCYGLPQFRLRVFLWGAHPCENLPQFPLPTHDVIIRYWPPPEFERNTVAYDEDQPRVLEEAVVLHDAISDLPAVTNDETSEEMSYQKPPGTDFQRYIRSTQYEMMGSVLDGTMKTKVSLYDHRPYPLFEDDYLRVCQIPKRKGANFRDLPGVVVGNDNVARRDSTEKHLLLPSGKPLVPDYAFTYEQGKSKRPFARLWWDETVPTVLTFPTCHSQAILHPEQDRILTLRECARLQGFPDYYRFCGTVKERTLIILRFFKVLILSSWKCSCSPCCASFGICFGIGSSKAQWE >ONI05794 pep chromosome:Prunus_persica_NCBIv2:G5:2700260:2714224:-1 gene:PRUPE_5G024100 transcript:ONI05794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNHSEAESCCLKVSSQEISLRNLESLEKSCFKAMSLKPSDVEASSRDIEVQHLSLSWHECGDKGPMPLRRSSRLMSAPGNSVSESLKNFDLEKLDEKHVRKSPRFSHCVNEGHIRRSPRFSLSVAADEKNSNLNSSIVGLFVSEDERRSSRLTSAPENGDSESLKNVDLEKLDEKHMRRSPIFSHCVNGKEIRRSPRFSPYVAAAEKNSNLNSIIFGLSDSKDEFPSKKILMSLGKSDSRIFDEKYLRQSRKEATAMFENEGRETECSLIELPETYVKQQPKKRKTVDSSANRQNKQKNCKSTSLIGDPIPDVEAQERWGWRYQMKSQRSKSQSLKLNEDEEDEIILNVECHFSQAKIGNCIFSLGDCAYIKGDGGKKHVGRILEFFKTTDGKNYFRVQWFYKVEDTVIKDVGDVHDKRRLFYSTIMNDNLIDCIVSKVNVKHVSPRVGLKGNSILSSDFYYDMEYCVDYSTFRSLITDNSLKNQNLPAYNSIEAVSILSTVNLLENMPSSETYNAELAVLDLYCGCGGMSTGLCLGAKLSCVNLVTRWALDSDKSACESLKLNHPETQVRNEAAEDFLELLKEWEKLCRRYTVNDVERTYPLRSKTSKIPKNSNEIATDEYEVSRIVDICYGDPNKTGKHGVNFKVHWKGYSSSEDTWEPIGGLSNCLGSIQDFVRYGKKVKILPLPGDVDVICGGPPCQGISGYNRYRNVDSPLDDERNHQIVVFMDIVKFLKPKYVLMENVVDILRFDKASLGRYALSRLVHMNYQARLGILAAGCYGLPQFRLRVFLWGAHPCENLPQFPLPTHDVIIRYWPPPEFERNTVAYDEDQPRVLEEAVVLHDAISDLPAVTNDETSEEMSYQKPPGTDFQRYIRSTQYEMMGSVLDGTMKTKVSLYDHRPYPLFEDDYLRVCQIPKRKGANFRDLPGVVVGNDNVARRDSTEKHLLLPSGKPLVPDYAFTYEQGKSKRPFARLWWDETVPTVLTFPTCHSQAILHPEQDRILTLRECARLQGFPDYYRFCGTVKERTLIILRFFKVLILSSWKCSCSPCCASFGICFGIGSSKAQWE >ONI05787 pep chromosome:Prunus_persica_NCBIv2:G5:2699805:2714838:-1 gene:PRUPE_5G024100 transcript:ONI05787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNHSEAESCCLKVSSQEISLRNLESLEKSCFKAMSLKPSDVEASSRDIEVQHLSLSWHECGDKGPMPLRRSSRLMSAPGNSVSESLKNFDLEKLDEKHVRKSPRFSHCVNEGHIRRSPRFSLSVAADEKNSNLNSSIVGLFVSEDERRSSRLTSAPENGDSESLKNVDLEKLDEKHMRRSPIFSHCVNGKEIRRSPRFSPYVAAAEKNSNLNSIIFGLSDSKDEFPSKKILMSLGKSDSRIFDEKYLRQSRKEATAMFENEGRETECSLIELPETYVKQQPKKRKTVDSSANRQNKQKNCKSTSLIGDPIPDVEAQERWGWRYQMKSQRSKSQSLKLNEDEEDEIILNVECHFSQAKIGNCIFSLGDCAYIKGDGGKKHVGRILEFFKTTDGKNYFRVQWFYKVEDTVIKDVGDVHDKRRLFYSTIMNDNLIDCIVSKVNVKHVGLKGNSILSSDFYYDMEYCVDYSTFRSLITDNSLKNQNLPAYNSIEAVSILSTVNLLENMPSSETYNAELAVLDLYCGCGGMSTGLCLGAKLSCVNLVTRWALDSDKSACESLKLNHPETQVRNEAAEDFLELLKEWEKLCRRYTVNDVERTYPLRSKTSKIPKNSNEIATDEYEVSRIVDICYGDPNKTGKHGVNFKVHWKGYSSSEDTWEPIGGLSNCLGSIQDFVRYGKKVKILPLPGDVDVICGGPPCQGISGYNRYRNVDSPLDDERNHQIVVFMDIVKFLKPKYVLMENVVDILRFDKASLGRYALSRLVHMNYQARLGILAAGCYGLPQFRLRVFLWGAHPCENLPQFPLPTHDVIIRYWPPPEFERNTVAYDEDQPRVLEEAVVLHDAISDLPAVTNDETSEEMSYQKPPGTDFQRYIRSTQYEMMGSVLDGTMKTKVSLYDHRPYPLFEDDYLRVCQIPKRKGANFRDLPGVVVGNDNVARRDSTEKHLLLPSGKPLVPDYAFTYEQGKSKRPFARLWWDETVPTVLTFPTCHSQAILHPEQDRILTLRECARLQGFPDYYRFCGTVKERYCQVGNAVAVPVARALGYALGLAVRKLSGNEPLMTLPRNFSHSNYFQFTEVWPLETEE >ONI09092 pep chromosome:Prunus_persica_NCBIv2:G5:17024859:17027208:-1 gene:PRUPE_5G217200 transcript:ONI09092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSNSNNNLNNTGNELPVSYSHVDQSFFHSRPFLHEITTLNPNSLHPNPNSKQEEEEEQQQQQEGPHHHPLSFFYIPSPFEDDDVSLFQQHHHYDHHHDHVHDMPLHDSQAPPLTTMREAVAANNTLADDHRQTTTTSTTLNIKMVDWDSNKNHGEMMNMDQPQIPRRRSCKRDRHSKINTARGLRDRRMRLSLEVARKFFWLQDALHFDKASKTVEWLLIQATPEIKKLVGDCKHMMSSTKSTSPATSESCEVISGIDEAATNTNIHINIDGGNDGDDKLIRSCEIQPSAKERKVARRQLSRKTAFHPLSKASREKARARAREKAREKQRTHQRVVDVDDQSKKQRGDQENLSRLSSWSPFETGEESAGTQSHNNNNNININSLEGLVHHEIEEPMSSCQVGDHPDLVVDHGTTHDPLVIMGKWSPPSIFSSLHQNIGISQEHHQFADFQFLGKPWEVYNNTHNLF >ONI09090 pep chromosome:Prunus_persica_NCBIv2:G5:17024859:17027440:-1 gene:PRUPE_5G217200 transcript:ONI09090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSNSNNNLNNTGNELPVSYSHVDQSFFHSRPFLHEITTLNPNSLHPNPNSKQEEEEEQQQQQEGPHHHPLSFFYIPSPFEDDDVSLFQQHHHYDHHHDHVHDMPLHDSQAPPLTTMREAVAANNTLADDHRQTTTTSTTLNIKMVDWDSNKNHGEMMNMDQPQIPRRRSCKRDRHSKINTARGLRDRRMRLSLEVARKFFWLQDALHFDKASKTVEWLLIQATPEIKKLVGDCKHMMSSTKSTSPATSESCEVISGIDEAATNTNIHINIDGGNDGDDKLIRSCEIQPSAKERKVARRQLSRKTAFHPLSKASREKARARAREKAREKQRTHQRVVDVDDQSKKQRGDQENLSRLSSWSPFETGEESAGTQSHNNNNNININSLEGLVHHEIEEPMSSCQVGDHPDLVVDHGTTHDPLVIMGKWSPPSIFSSLHQNIGISQEHHQFADFQFLGKPWEVYNNTHNLF >ONI09091 pep chromosome:Prunus_persica_NCBIv2:G5:17024859:17027416:-1 gene:PRUPE_5G217200 transcript:ONI09091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSNSNNNLNNTGNELPVSYSHVDQSFFHSRPFLHEITTLNPNSLHPNPNSKQEEEEEQQQQQEGPHHHPLSFFYIPSPFEDDDVSLFQQHHHYDHHHDHVHDMPLHDSQAPPLTTMREAVAANNTLADDHRQTTTTSTTLNIKMVDWDSNKNHGEMMNMDQPQIPRRRSCKRDRHSKINTARGLRDRRMRLSLEVARKFFWLQDALHFDKASKTVEWLLIQATPEIKKLVGDCKHMMSSTKSTSPATSESCEVISGIDEAATNTNIHINIDGGNDGDDKLIRSCEIQPSAKERKVARRQLSRKTAFHPLSKASREKARARAREKAREKQRTHQRVVDVDDQSKKQRGDQENLSRLSSWSPFETGEESAGTQSHNNNNNININSLEGLVHHEIEEPMSSCQVGDHPDLVVDHGTTHDPLVIMGKWSPPSIFSSLHQNIGISQEHHQFADFQFLGKPWEVYNNTHNLF >ONI08535 pep chromosome:Prunus_persica_NCBIv2:G5:15298095:15301056:-1 gene:PRUPE_5G184000 transcript:ONI08535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVLAIGFLLCNLLLLFDTSFSHPLCIDSRAPSTLSSPLKFCSYNETACCNSTEDLQIQKQFQTMNISNSGCASLLKSVLCARCDPFSGELFTVDSVPRPVPLLCNSTVSANSSQSIQEVNDFCSNIWDTCQNVSILNSPFAPSLQGQAGLPVKSNVSELTKLWQSKADFCNAFGGASSDGSVCFGGEPVTLNDSGTPIPPHGLCLEKIGNGSYLNMVAHPDGSNRAFFSSQQGKIWLATIPEEGSGTAMELDESSPFVDLTDEVHFDTAFGMMGMAFHPNFAQNGRFFASFNCDKEKWPGCTGRCSCNSDVNCDPSKIGSDNGAQPCQYQTVIAEYSANGTASQPSLATSAKPSEVRRIFTMGLPFTAHHGGQILFGPEDGYLYHMMGDGGGTGDPYNFSQNKKSLLGKIMRLDVDNVLSEAEIDKLVLWGNYSIPKDNPFSEDPELRPEIWALGLRNPWRCSFDSARPSYFICADVGQDLHEEVDVITKGGNYGWSFYEGPYVFTPPKSLGGNTSRKPTDPIFPIMGYNHSEVNKKEGSASITGGYIYRSETDPCMFGRYVYGDLYAGAIWAGSEDPENSGNFSSSKIPFSCGRDSPIACSSVPDSSLPALGYIFSFGEDNRKDIFILASSGVYRVVRPSRCNYTCSKENVTAVATPGPNGSPSSHATWLSSNPSKNVVLLFSSLVLLLLSFV >ONI08533 pep chromosome:Prunus_persica_NCBIv2:G5:15297996:15301918:-1 gene:PRUPE_5G184000 transcript:ONI08533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTLKPTKIYPGAPSTLSSPLKFCSYNETACCNSTEDLQIQKQFQTMNISNSGCASLLKSVLCARCDPFSGELFTVDSVPRPVPLLCNSTVSANSSQSIQEVNDFCSNIWDTCQNVSILNSPFAPSLQGQAGLPVKSNVSELTKLWQSKADFCNAFGGASSDGSVCFGGEPVTLNDSGTPIPPHGLCLEKIGNGSYLNMVAHPDGSNRAFFSSQQGKIWLATIPEEGSGTAMELDESSPFVDLTDEVHFDTAFGMMGMAFHPNFAQNGRFFASFNCDKEKWPGCTGRCSCNSDVNCDPSKIGSDNGAQPCQYQTVIAEYSANGTASQPSLATSAKPSEVRRIFTMGLPFTAHHGGQILFGPEDGYLYHMMGDGGGTGDPYNFSQNKKSLLGKIMRLDVDNVLSEAEIDKLVLWGNYSIPKDNPFSEDPELRPEIWALGLRNPWRCSFDSARPSYFICADVGQDLHEEVDVITKGGNYGWSFYEGPYVFTPPKSLGGNTSRKPTDPIFPIMGYNHSEVNKKEGSASITGGYIYRSETDPCMFGRYVYGDLYAGAIWAGSEDPENSGNFSSSKIPFSCGRDSPIACSSVPDSSLPALGYIFSFGEDNRKDIFILASSGVYRVVRPSRCNYTCSKENVTAVATPGPNGSPSSHATWLSSNPSKNVVLLFSSLVLLLLSFV >ONI08536 pep chromosome:Prunus_persica_NCBIv2:G5:15297996:15302156:-1 gene:PRUPE_5G184000 transcript:ONI08536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISNSGCASLLKSVLCARCDPFSGELFTVDSVPRPVPLLCNSTVSANSSQSIQEVNDFCSNIWDTCQNVSILNSPFAPSLQGQAGLPVKSNVSELTKLWQSKADFCNAFGGASSDGSVCFGGEPVTLNDSGTPIPPHGLCLEKIGNGSYLNMVAHPDGSNRAFFSSQQGKIWLATIPEEGSGTAMELDESSPFVDLTDEVHFDTAFGMMGMAFHPNFAQNGRFFASFNCDKEKWPGCTGRCSCNSDVNCDPSKIGSDNGAQPCQYQTVIAEYSANGTASQPSLATSAKPSEVRRIFTMGLPFTAHHGGQILFGPEDGYLYHMMGDGGGTGDPYNFSQNKKSLLGKIMRLDVDNVLSEAEIDKLVLWGNYSIPKDNPFSEDPELRPEIWALGLRNPWRCSFDSARPSYFICADVGQDLHEEVDVITKGGNYGWSFYEGPYVFTPPKSLGGNTSRKPTDPIFPIMGYNHSEVNKKEGSASITGGYIYRSETDPCMFGRYVYGDLYAGAIWAGSEDPENSGNFSSSKIPFSCGRDSPIACSSVPDSSLPALGYIFSFGEDNRKDIFILASSGVYRVVRPSRCNYTCSKENVTAVATPGPNGSPSSHATWLSSNPSKNVVLLFSSLVLLLLSFV >ONI08534 pep chromosome:Prunus_persica_NCBIv2:G5:15297996:15302120:-1 gene:PRUPE_5G184000 transcript:ONI08534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTLKPTKIYPVMGGVLAIGFLLCNLLLLFDTSFSHPLCIDSRAPSTLSSPLKFCSYNETACCNSTEDLQIQKQFQTMNISNSGCASLLKSVLCARCDPFSGELFTVDSVPRPVPLLCNSTVSANSSQSIQEVNDFCSNIWDTCQNVSILNSPFAPSLQGQAGLPVKSNVSELTKLWQSKADFCNAFGGASSDGSVCFGGEPVTLNDSGTPIPPHGLCLEKIGNGSYLNMVAHPDGSNRAFFSSQQGKIWLATIPEEGSGTAMELDESSPFVDLTDEVHFDTAFGMMGMAFHPNFAQNGRFFASFNCDKEKWPGCTGRCSCNSDVNCDPSKIGSDNGAQPCQYQTVIAEYSANGTASQPSLATSAKPSEVRRIFTMGLPFTAHHGGQILFGPEDGYLYHMMGDGGGTGDPYNFSQNKKSLLGKIMRLDVDNVLSEAEIDKLVLWGNYSIPKDNPFSEDPELRPEIWALGLRNPWRCSFDSARPSYFICADVGQDLHEEVDVITKGGNYGWSFYEGPYVFTPPKSLGGNTSRKPTDPIFPIMGYNHSEVNKKEGSASITGGYIYRSETDPCMFGRYVYGDLYAGAIWAGSEDPENSGNFSSSKIPFSCGRDSPIACSSVPDSSLPALGYIFSFGEDNRKDIFILASSGVYRVVRPSRCNYTCSKENVTAVATPGPNGSPSSHATWLSSNPSKNVVLLFSSLVLLLLSFV >ONI05371 pep chromosome:Prunus_persica_NCBIv2:G5:531775:535364:1 gene:PRUPE_5G004100 transcript:ONI05371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDSRKHLNTVVFYVLNPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKVFTPTSDEHRNNDSIVRSRRKGMFSYHAVDLCFRFSITYFLVRLFHVACGPSVKQLQSIVH >ONI05376 pep chromosome:Prunus_persica_NCBIv2:G5:531774:535290:1 gene:PRUPE_5G004100 transcript:ONI05376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDSRKHLNTVVFYVLNPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKGILVIKGALKLGLVHSDPLYLFVLLLQFSLPPAMNIGTMTQLFGAGEKECSVIMLWTYAFASVSLTFWSAFFMWLVARV >ONI05375 pep chromosome:Prunus_persica_NCBIv2:G5:531724:535386:1 gene:PRUPE_5G004100 transcript:ONI05375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDSRKHLNTVVFYVLNPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKGLRGPGMQKSLVVGIIIVRYVALPLIGILVIKGALKLGLVHSDPLYLFVLLLQFSLPPAMNIGTMTQLFGAGEKECSVIMLWTYAFASVSLTFWSAFFMWLVARV >ONI05378 pep chromosome:Prunus_persica_NCBIv2:G5:532037:535290:1 gene:PRUPE_5G004100 transcript:ONI05378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDSRKHLNTVVFYVLNPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKGLRGPGMQKSLVVGIIIVRYVALPLIGILVIKGALKLGLVHSDPLYLFVLLLQFSLPPAMNIGMLRSSDIIFPSNFCNANYNLPHWLFLIINRHSFS >ONI05377 pep chromosome:Prunus_persica_NCBIv2:G5:532382:534865:1 gene:PRUPE_5G004100 transcript:ONI05377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDSRKHLNTVVFYVLNPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKGLRGPGMQKSLVVGIIIVRYVALPLIGILVIKGALKLGLVHSDPLYLFVLLLQFSLPPAMNIGMLRSSDIIFPSNFCNANYNLPHWLFLIINRHSFS >ONI05373 pep chromosome:Prunus_persica_NCBIv2:G5:531925:535380:1 gene:PRUPE_5G004100 transcript:ONI05373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDSRKHLNTVVFYVLNPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKGLRGPGMQKSLVVGIIIVRYVALPLIGILVIKGALKLGLVHSDPLYLFVLLLQFSLPPAMNIGTMTQLFGAGEKECSVIMLWTYAFASVSLTFWSAFFMWLVARV >ONI05372 pep chromosome:Prunus_persica_NCBIv2:G5:531925:535380:1 gene:PRUPE_5G004100 transcript:ONI05372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDSRKHLNTVVFYVLNPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKVFTPTSDEHRNNDSIVRSRRKGMFSYHAVDLCFRFSITYFLVRLFHVACGPSVKQLQSIVH >ONI05374 pep chromosome:Prunus_persica_NCBIv2:G5:531995:535290:1 gene:PRUPE_5G004100 transcript:ONI05374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDSRKHLNTVVFYVLNPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKGLRGPGMQKSLVVGIIIVRYVALPLIGILVIKGALKLGLVHSDPLYLFVLLLQFSLPPAMNIGTMTQLFGAGEKECSVIMLWTYAFASVSLTFWSAFFMWLVARV >ONI07651 pep chromosome:Prunus_persica_NCBIv2:G5:12778928:12782714:1 gene:PRUPE_5G133500 transcript:ONI07651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSVLAILCLLCFLNGQGMIAKVAENSQVHIVYLGERQHDNPKLLTDSHHDLLATIAGSKELASELMVYSYRHGFSGFAAKLTESQAQKLSELPGVVRVIPNSLHKLQTTRSWDFLGLSSQSPSNILHKSSMGDGVIIGVLDTGIWPESESFNEKGLGPVPSHWKGVCESGDRFNATKHCNRKIIGARWFIDGLLTEYGKPLNRSTEFLSPRDAHGHGTHTSSTAAGSFVTNVSYKGLGHGTIKGGAPNARLAIYKVCWKVLGGQCSSADILKAFDEAIHDGVDVLSLSIGSSIPLFSEVDERDGIATGSFHAVARGITVVCGAANDGPSAETVQNTAPWIITVAASTMDRSFPTSITLGNNKTFLGQAMFTGPEIGFASLIYPESKGLDPTAAGVCQSLSLNKTMVAGKVVLCFTTVSRRTAITSASAAVKEAGGVGLIVAKNPSDALYPCNEDFPCTEVDYEIGTRILFYIRSTRSPLVKLRPPKTFIGKPLSAKVAYFSSRGPNSITPAILKPDIAAPGVNILAATSPLDALGEGGYVMHSGTSMSTPHVAGIVALLKAMHPNWSPAAIKSALVTTAWRNGPSGLPIFAEGSPQKLANPFDFGGGIMNPNGAADPGLVYDIGKAGYMQYLCSRGYNNSAISRLVGQNTKCPIKKPSILDMNLPSITIPSLKNPITIKRSVTNVGAPESIYRATIETPFGTIVSVNPNALVFNSTVRKLDFTITISTIHRMNTGYYFGSLSWADGVHVVKIPLSVRTEFLQPYDANDD >ONI07654 pep chromosome:Prunus_persica_NCBIv2:G5:12778188:12782714:1 gene:PRUPE_5G133500 transcript:ONI07654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSVLAILCLLCFLNGQGMIAKVAENSQVHIVYLGERQHDNPKLLTDSHHDLLATIAGSKELASELMVYSYRHGFSGFAAKLTESQAQKLSELPGVVRVIPNSLHKLQTTRSWDFLGLSSQSPSNILHKSSMGDGVIIGVLDTGIWPESESFNEKGLGPVPSHWKGVCESGDRFNATKHCNRKIIGARWFIDGLLTEYGKPLNRSTEFLSPRDAHGHGTHTSSTAAGSFVTNVSYKGLGHGTIKGGAPNARLAIYKVCWKVLGGQCSSADILKAFDEAIHDGVDVLSLSIGSSIPLFSEVDERDGIATGSFHAVARGITVVCGAANDGPSAETVQNTAPWIITVAASTMDRSFPTSITLGNNKTFLGQAMFTGPEIGFASLIYPESKGLDPTAAGVCQSLSLNKTMVAGKVVLCFTTVSRRTAITSASAAVKEAGGVGLIVAKNPSDALYPCNEDFPCTEVDYEIGTRILFYIRSTRSPLVKLRPPKTFIGKPLSAKVAYFSSRGPNSITPAILKPDIAAPGVNILAATSPLDALGEGGYVMHSGTSMSTPHVAGIVALLKAMHPNWSPAAIKSALVTTAWRNGPSGLPIFAEGSPQKLANPFDFGGGIMNPNGAADPGLVYDIGKAGYMQYLCSRGYNNSAISRLVGQNTKCPIKKPSILDMNLPSITIPSLKNPITIKRSVTNVGAPESIYRATIETPFGTIVSVNPNALVFNSTVRKLDFTITISTIHRMNTGYYFGSLSWADGVHVVKIPLSVRTEFLQPYDANDD >ONI07655 pep chromosome:Prunus_persica_NCBIv2:G5:12778927:12782714:1 gene:PRUPE_5G133500 transcript:ONI07655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSVLAILCLLCFLNGQGMIAKVAENSQVHIVYLGERQHDNPKLLTDSHHDLLATIAGSKELASELMVYSYRHGFSGFAAKLTESQAQKLSELPGVVRVIPNSLHKLQTTRSWDFLGLSSQSPSNILHKSSMGDGVIIGVLDTGIWPESESFNEKGLGPVPSHWKGVCESGDRFNATKHCNRKIIGARWFIDGLLTEYGKPLNRSTEFLSPRDAHGHGTHTSSTAAGSFVTNVSYKGLGHGTIKGGAPNARLAIYKVCWKVLGGQCSSADILKAFDEAIHDGVDVLSLSIGSSIPLFSEVDERDGIATGSFHAVARGITVVCGAANDGPSAETVQNTAPWIITVAASTMDRSFPTSITLGNNKTFLGQAMFTGPEIGFASLIYPESKGLDPTAAGVCQSLSLNKTMVAGKVVLCFTTVSRRTAITSASAAVKEAGGVGLIVAKNPSDALYPCNEDFPCTEVDYEIGTRILFYIRSTRSPLVKLRPPKTFIGKPLSAKVAYFSSRGPNSITPAILKPDIAAPGVNILAATSPLDALGEGGYVMHSGTSMSTPHVAGIVALLKAMHPNWSPAAIKSALVTTAWRNGPSGLPIFAEGSPQKLANPFDFGGGIMNPNGAADPGLVYDIGKAGYMQYLCSRGYNNSAISRLVGQNTKCPIKKPSILDMNLPSITIPSLKNPITIKRSVTNVGAPESIYRATIETPFGTIVSVNPNALVFNSTVRKLDFTITISTIHRMNTGYYFGSLSWADGVHVVKIPLSVRTEFLQPYDANDD >ONI07652 pep chromosome:Prunus_persica_NCBIv2:G5:12778188:12782714:1 gene:PRUPE_5G133500 transcript:ONI07652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSVLAILCLLCFLNGQGMIAKVAENSQVHIVYLGERQHDNPKLLTDSHHDLLATIAGSKELASELMVYSYRHGFSGFAAKLTESQAQKLSELPGVVRVIPNSLHKLQTTRSWDFLGLSSQSPSNILHKSSMGDGVIIGVLDTGIWPESESFNEKGLGPVPSHWKGVCESGDRFNATKHCNRKIIGARWFIDGLLTEYGKPLNRSTEFLSPRDAHGHGTHTSSTAAGSFVTNVSYKGLGHGTIKGGAPNARLAIYKVCWKVLGGQCSSADILKAFDEAIHDGVDVLSLSIGSSIPLFSEVDERDGIATGSFHAVARGITVVCGAANDGPSAETVQNTAPWIITVAASTMDRSFPTSITLGNNKTFLGQAMFTGPEIGFASLIYPESKGLDPTAAGVCQSLSLNKTMVAGKVVLCFTTVSRRTAITSASAAVKEAGGVGLIVAKNPSDALYPCNEDFPCTEVDYEIGTRILFYIRSTRSPLVKLRPPKTFIGKPLSAKVAYFSSRGPNSITPAILKPDIAAPGVNILAATSPLDALGEGGYVMHSGTSMSTPHVAGIVALLKAMHPNWSPAAIKSALVTTAWRNGPSGLPIFAEGSPQKLANPFDFGGGIMNPNGAADPGLVYDIGKAGYMQYLCSRGYNNSAISRLVGQNTKCPIKKPSILDMNLPSITIPSLKNPITIKRSVTNVGAPESIYRATIETPFGTIVSVNPNALVFNSTVRKLDFTITISTIHRMNTGYYFGSLSWADGVHVVKIPLSVRTEFLQPYDANDD >ONI07653 pep chromosome:Prunus_persica_NCBIv2:G5:12778622:12782714:1 gene:PRUPE_5G133500 transcript:ONI07653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSVLAILCLLCFLNGQGMIAKVAENSQVHIVYLGERQHDNPKLLTDSHHDLLATIAGSKELASELMVYSYRHGFSGFAAKLTESQAQKLSELPGVVRVIPNSLHKLQTTRSWDFLGLSSQSPSNILHKSSMGDGVIIGVLDTGIWPESESFNEKGLGPVPSHWKGVCESGDRFNATKHCNRKIIGARWFIDGLLTEYGKPLNRSTEFLSPRDAHGHGTHTSSTAAGSFVTNVSYKGLGHGTIKGGAPNARLAIYKVCWKVLGGQCSSADILKAFDEAIHDGVDVLSLSIGSSIPLFSEVDERDGIATGSFHAVARGITVVCGAANDGPSAETVQNTAPWIITVAASTMDRSFPTSITLGNNKTFLGQAMFTGPEIGFASLIYPESKGLDPTAAGVCQSLSLNKTMVAGKVVLCFTTVSRRTAITSASAAVKEAGGVGLIVAKNPSDALYPCNEDFPCTEVDYEIGTRILFYIRSTRSPLVKLRPPKTFIGKPLSAKVAYFSSRGPNSITPAILKPDIAAPGVNILAATSPLDALGEGGYVMHSGTSMSTPHVAGIVALLKAMHPNWSPAAIKSALVTTAWRNGPSGLPIFAEGSPQKLANPFDFGGGIMNPNGAADPGLVYDIGKAGYMQYLCSRGYNNSAISRLVGQNTKCPIKKPSILDMNLPSITIPSLKNPITIKRSVTNVGAPESIYRATIETPFGTIVSVNPNALVFNSTVRKLDFTITISTIHRMNTGYYFGSLSWADGVHVVKIPLSVRTEFLQPYDANDD >ONI05363 pep chromosome:Prunus_persica_NCBIv2:G5:511423:518476:1 gene:PRUPE_5G003900 transcript:ONI05363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKHKSRKEGESKRSHRDRQREKQRNGERHRDKDKDKRDRDSRRSEREKSSDSEDRYDREKHRDRDTDRTRSRDDERERTRDRKREKDKEKEKERERAREKEKEREREREREREREKERDREKEREKEKEKERERERERREREREGEDREREKREREREREKDRDRERRIRERERRRDIDTDDTDDDVRERGRKRHRKDENEYKEKERERSSSRSNRHRDDGDGSPRKKSDEDDSVKKEKQPTREEELEDEQRKLDEEMEKRRRRVQEWQELKRKKEESEREKRGEGDVDEPKSGKAWTLEGESDDEEVPSLGKSERDMDVDGEDNLTDREAGGDAMVVDSENETDALTLQNGADDAVGDEEVDPLDAFMNSMVLPEVEKLNNAVEPSIVDEKNKDKKDDLSNGEQPRRGSNKSMGRIIPGEDSDSDYGDLENDDDPLEDEGDDEFIKRVKKTKAEKLSVVDHSKIDYDPFRKNFYIEVKEISRMTPEQVGAYRKELELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMPIQAQAVPVIMSGRDCIGIAKTGSGKTVAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGRITNLRRVTYLVVDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEVLARKVLNKPVEIQVGGRSVVNKDIAQLVEVRLENERFLRLLELLGEWYEKGKILIFVESQNKCDALFRDLLRHGYPCLSLHGGKDQTDRESTITDFKSNVCNLLIATSVAARGLDVKELELVINFDSPNHYEDYVHRVGRTGRAGRKGCAITFVSEEDARYAPDLVKALELSEQVVPDDLKSLADSFTAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEDDKSDSEDEDEGIRKAGGDISQQAALAQIAAIAAASKGSTASIQTPVPAAQLLPNSGLPVSLPGVLGLTLPGTAAAVAGTGLPVVGNDGAARAAAIAAAMNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGKVAGPGDRKLYLFIEGPTEQSVKRAKAELKRVLEDISNQALSLPGGAQQGRYQVL >ONI05359 pep chromosome:Prunus_persica_NCBIv2:G5:511409:517655:1 gene:PRUPE_5G003900 transcript:ONI05359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKHKSRKEGESKRSHRDRQREKQRNGERHRDKDKDKRDRDSRRSEREKSSDSEDRYDREKHRDRDTDRTRSRDDERERTRDRKREKDKEKEKERERAREKEKEREREREREREREKERDREKEREKEKEKERERERERREREREGEDREREKREREREREKDRDRERRIRERERRRDIDTDDTDDDVRERGRKRHRKDENEYKEKERERSSSRSNRHRDDGDGSPRKKSDEDDSVKKEKQPTREEELEDEQRKLDEEMEKRRRRVQEWQELKRKKEESEREKRGEGDVDEPKSGKAWTLEGESDDEEVPSLGKSERDMDVDGEDNLTDREAGGDAMVVDSENETDALTLQNGADDAVGDEEVDPLDAFMNSMVLPEVEKLNNAVEPSIVDEKNKDKKDDLSNGEQPRRGSNKSMGRIIPGEDSDSDYGDLENDDDPLEDEGDDEFIKRVKKTKAEKLSVVDHSKIDYDPFRKNFYIEVKEISRMTPEQVGAYRKELELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMPIQAQAVPVIMSGRDCIGIAKTGSGKTVAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGRITNLRRVTYLVVDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEVLARKVLNKPVEIQVGGRSVVNKDIAQLVEVRLENERFLRLLELLGEWYEKGKILIFVESQNKCDALFRDLLRHGYPCLSLHGGKDQTDRESTITDFKSNVCNLLIATSVAARGLDVKELELVINFDSPNHYEDYVHRVGRTGRAGRKGCAITFVSEEDARYAPDLVKALELSEQVVPDDLKSLADSFTAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEDDKSDSEDEDEGIRKAGGDISQQAALAQIAAIAAASKGSTASIQTPVPAAQLLPNSGLPVSLPGVLGLTLPGTAAAVAGTGLPVVGNDGAARAAAIAAAMNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGKVAGPGDRKLYLFIEGPTEQSVKRAKAELKRVLEDISNQALSLPGGAQQGRYQVL >ONI05360 pep chromosome:Prunus_persica_NCBIv2:G5:511356:517623:1 gene:PRUPE_5G003900 transcript:ONI05360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKHKSRKEGESKRSHRDRQREKQRNGERHRDKDKDKRDRDSRRSEREKSSDSEDRYDREKHRDRDTDRTRSRDDERERTRDRKREKDKEKEKERERAREKEKEREREREREREREKERDREKEREKEKEKERERERERREREREGEDREREKREREREREKDRDRERRIRERERRRDIDTDDTDDDVRERGRKRHRKDENEYKEKERERSSSRSNRHRDDGDGSPRKKSDEDDSVKKEKQPTREEELEDEQRKLDEEMEKRRRRVQEWQELKRKKEESEREKRGEGDVDEPKSGKAWTLEGESDDEEVPSLGKSERDMDVDGEDNLTDREAGGDAMVVDSENETDALTLQNGADDAVGDEEVDPLDAFMNSMVLPEVEKLNNAVEPSIVDEKNKDKKDDLSNGEQPRRGSNKSMGRIIPGEDSDSDYGDLENDDDPLEDEGDDEFIKRVKKTKAEKLSVVDHSKIDYDPFRKNFYIEVKEISRMTPEQVGAYRKELELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMPIQAQAVPVIMSGRDCIGIAKTGSGKTVAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGRITNLRRVTYLVVDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEVLARKVLNKPVEIQVGGRSVVNKDIAQLVEVRLENERFLRLLELLGEWYEKGKILIFVESQNKCDALFRDLLRHGYPCLSLHGGKDQTDRESTITDFKSNVCNLLIATSVAARGLDVKELELVINFDSPNHYEDYVHRVGRTGRAGRKGCAITFVSEEDARYAPDLVKALELSEQVVPDDLKSLADSFTAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEDDKSDSEDEDEGIRKAGGDISQQAALAQIAAIAAASKGSTASIQTPVPAAQLLPNSGLPVSLPGVLGLTLPGTAAAVAGTGLPVVGNDGAARAAAIAAAMNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGKVAGPGDRKLYLFIEGPTEQSVKRAKAELKRVLEDISNQALSLPGGAQQGRYQVL >ONI05362 pep chromosome:Prunus_persica_NCBIv2:G5:511416:517631:1 gene:PRUPE_5G003900 transcript:ONI05362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKHKSRKEGESKRSHRDRQREKQRNGERHRDKDKDKRDRDSRRSEREKSSDSEDRYDREKHRDRDTDRTRSRDDERERTRDRKREKDKEKEKERERAREKEKEREREREREREREKERDREKEREKEKEKERERERERREREREGEDREREKREREREREKDRDRERRIRERERRRDIDTDDTDDDVRERGRKRHRKDENEYKEKERERSSSRSNRHRDDGDGSPRKKSDEDDSVKKEKQPTREEELEDEQRKLDEEMEKRRRRVQEWQELKRKKEESEREKRGEGDVDEPKSGKAWTLEGESDDEEVPSLGKSERDMDVDGEDNLTDREAGGDAMVVDSENETDALTLQNGADDAVGDEEVDPLDAFMNSMVLPEVEKLNNAVEPSIVDEKNKDKKDDLSNGEQPRRGSNKSMGRIIPGEDSDSDYGDLENDDDPLEDEGDDEFIKRVKKTKAEKLSVVDHSKIDYDPFRKNFYIEVKEISRMTPEQVGAYRKELELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMPIQAQAVPVIMSGRDCIGIAKTGSGKTVAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGRITNLRRVTYLVVDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEVLARKVLNKPVEIQVGGRSVVNKDIAQLVEVRLENERFLRLLELLGEWYEKGKILIFVESQNKCDALFRDLLRHGYPCLSLHGGKDQTDRESTITDFKSNVCNLLIATSVAARGLDVKELELVINFDSPNHYEDYVHRVGRTGRAGRKGCAITFVSEEDARYAPDLVKALELSEQVVPDDLKSLADSFTAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEDDKSDSEDEDEGIRKAGGDISQQAALAQIAAIAAASKGSTASIQTPVPAAQLLPNSGLPVSLPGVLGLTLPGTAAAVAGTGLPVVGNDGAARAAAIAAAMNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGKVAGPGDRKLYLFIEGPTEQSVKRAKAELKRVLEDISNQALSLPGGAQQGRYQVL >ONI05364 pep chromosome:Prunus_persica_NCBIv2:G5:511409:517620:1 gene:PRUPE_5G003900 transcript:ONI05364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKHKSRKEGESKRSHRDRQREKQRNGERHRDKDKDKRDRDSRRSEREKSSDSEDRYDREKHRDRDTDRTRSRDDERERTRDRKREKDKEKEKERERAREKEKEREREREREREREKERDREKEREKEKEKERERERERREREREGEDREREKREREREREKDRDRERRIRERERRRDIDTDDTDDDVRERGRKRHRKDENEYKEKERERSSSRSNRHRDDGDGSPRKKSDEDDSVKKEKQPTREEELEDEQRKLDEEMEKRRRRVQEWQELKRKKEESEREKRGEGDVDEPKSGKAWTLEGESDDEEVPSLGKSERDMDVDGEDNLTDREAGGDAMVVDSENETDALTLQNGADDAVGDEEVDPLDAFMNSMVLPEVEKLNNAVEPSIVDEKNKDKKDDLSNGEQPRRGSNKSMGRIIPGEDSDSDYGDLENDDDPLEDEGDDEFIKRVKKTKAEKLSVVDHSKIDYDPFRKNFYIEVKEISRMTPEQVGAYRKELELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMPIQAQAVPVIMSGRDCIGIAKTGSGKTVAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGRITNLRRVTYLVVDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEVLARKVLNKPVEIQVGGRSVVNKDIAQLVEVRLENERFLRLLELLGEWYEKGKILIFVESQNKCDALFRDLLRHGYPCLSLHGGKDQTDRESTITDFKSNVCNLLIATSVAARGLDVKELELVINFDSPNHYEDYVHRVGRTGRAGRKGCAITFVSEEDARYAPDLVKALELSEQVVPDDLKSLADSFTAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEDDKSDSEDEDEGIRKAGGDISQQAALAQIAAIAAASKGSTASIQTPVPAAQLLPNSGLPVSLPGVLGLTLPGTAAAVAGTGLPVVGNDGAARAAAIAAAMNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGKVAGPGDRKLYLFIEGPTEQSVKRAKAELKRVLEDISNQALSLPGGAQQGRYQVL >ONI05361 pep chromosome:Prunus_persica_NCBIv2:G5:511409:517655:1 gene:PRUPE_5G003900 transcript:ONI05361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKHKSRKEGESKRSHRDRQREKQRNGERHRDKDKDKRDRDSRRSEREKSSDSEDRYDREKHRDRDTDRTRSRDDERERTRDRKREKDKEKEKERERAREKEKEREREREREREREKERDREKEREKEKEKERERERERREREREGEDREREKREREREREKDRDRERRIRERERRRDIDTDDTDDDVRERGRKRHRKDENEYKEKERERSSSRSNRHRDDGDGSPRKKSDEDDSVKKEKQPTREEELEDEQRKLDEEMEKRRRRVQEWQELKRKKEESEREKRGEGDVDEPKSGKAWTLEGESDDEEVPSLGKSERDMDVDGEDNLTDREAGGDAMVVDSENETDALTLQNGADDAVGDEEVDPLDAFMNSMVLPEVEKLNNAVEPSIVDEKNKDKKDDLSNGEQPRRGSNKSMGRIIPGEDSDSDYGDLENDDDPLEDEGDDEFIKRVKKTKAEKLSVVDHSKIDYDPFRKNFYIEVKEISRMTPEQVGAYRKELELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMPIQAQAVPVIMSGRDCIGIAKTGSGKTVAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGRITNLRRVTYLVVDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEVLARKVLNKPVEIQVGGRSVVNKDIAQLVEVRLENERFLRLLELLGEWYEKGKILIFVESQNKCDALFRDLLRHGYPCLSLHGGKDQTDRESTITDFKSNVCNLLIATSVAARGLDVKELELVINFDSPNHYEDYVHRVGRTGRAGRKGCAITFVSEEDARYAPDLVKALELSEQVVPDDLKSLADSFTAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEDDKSDSEDEDEGIRKAGGDISQQAALAQIAAIAAASKGSTASIQTPVPAAQLLPNSGLPVSLPGVLGLTLPGTAAAVAGTGLPVVGNDGAARAAAIAAAMNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGKVAGPGDRKLYLFIEGPTEQSVKRAKAELKRVLEDISNQALSLPGGAQQGRYQVL >ONI05365 pep chromosome:Prunus_persica_NCBIv2:G5:511414:517621:1 gene:PRUPE_5G003900 transcript:ONI05365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKHKSRKEGESKRSHRDRQREKQRNGERHRDKDKDKRDRDSRRSEREKSSDSEDRYDREKHRDRDTDRTRSRDDERERTRDRKREKDKEKEKERERAREKEKEREREREREREREKERDREKEREKEKEKERERERERREREREGEDREREKREREREREKDRDRERRIRERERRRDIDTDDTDDDVRERGRKRHRKDENEYKEKERERSSSRSNRHRDDGDGSPRKKSDEDDSVKKEKQPTREEELEDEQRKLDEEMEKRRRRVQEWQELKRKKEESEREKRGEGDVDEPKSGKAWTLEGESDDEEVPSLGKSERDMDVDGEDNLTDREAGGDAMVVDSENETDALTLQNGADDAVGDEEVDPLDAFMNSMVLPEVEKLNNAVEPSIVDEKNKDKKDDLSNGEQPRRGSNKSMGRIIPGEDSDSDYGDLENDDDPLEDEGDDEFIKRVKKTKAEKLSVVDHSKIDYDPFRKNFYIEVKEISRMTPEQVGAYRKELELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMPIQAQAVPVIMSGRDCIGIAKTGSGKTVAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGRITNLRRVTYLVVDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEVLARKVLNKPVEIQVGGRSVVNKDIAQLVEVRLENERFLRLLELLGEWYEKGKILIFVESQNKCDALFRDLLRHGYPCLSLHGGKDQTDRESTITDFKSNVCNLLIATSVAARGLDVKELELVINFDSPNHYEDYVHRVGRTGRAGRKGCAITFVSEEDARYAPDLVKALELSEQVVPDDLKSLADSFTAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEDDKSDSEDEDEGIRKAGGDISQQAALAQIAAIAAASKGSTASIQTPVPAAQLLPNSGLPVSLPGVLGLTLPGTAAAVAGTGLPVVGNDGAARAAAIAAAMNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGKVAGPGDRKLYLFIEGPTEQSVKRAKAELKRVLEDISNQALSLPGGAQQGRYQVL >ONI05366 pep chromosome:Prunus_persica_NCBIv2:G5:511417:517165:1 gene:PRUPE_5G003900 transcript:ONI05366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKHKSRKEGESKRSHRDRQREKQRNGERHRDKDKDKRDRDSRRSEREKSSDSEDRYDREKHRDRDTDRTRSRDDERERTRDRKREKDKEKEKERERAREKEKEREREREREREREKERDREKEREKEKEKERERERERREREREGEDREREKREREREREKDRDRERRIRERERRRDIDTDDTDDDVRERGRKRHRKDENEYKEKERERSSSRSNRHRDDGDGSPRKKSDEDDSVKKEKQPTREEELEDEQRKLDEEMEKRRRRVQEWQELKRKKEESEREKRGEGDVDEPKSGKAWTLEGESDDEEVPSLGKSERDMDVDGEDNLTDREAGGDAMVVDSENETDALTLQNGADDAVGDEEVDPLDAFMNSMVLPEVEKLNNAVEPSIVDEKNKDKKDDLSNGEQPRRGSNKSMGRIIPGEDSDSDYGDLENDDDPLEDEGDDEFIKRVKKTKAEKLSVVDHSKIDYDPFRKNFYIEVKEISRMTPEQVGAYRKELELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMPIQAQAVPVIMSGRDCIGIAKTGSGKTVAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIKKFTKVLGLRCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGRITNLRRVTYLVVDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEVLARKVLNKPVEIQVGGRSVVNKDIAQLVEVRLENERFLRLLELLGEWYEKGKILIFVESQNKCDALFRDLLRHGYPCLSLHGGKDQTDRESTITDFKSNVCNLLIATSVAARGLDVKELELVINFDSPNHYEDYVHRVGRTGRAGRKGCAITFVSEEDARYAPDLVKALELSEQVVPDDLKSLADSFTAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEDDKSDSEDEDEGIRKAGGDISQQAALAQIAAIAAASKGSTASIQTPVPAAQLLPNSGLPVSLPGVLGLTLPGTAAAVAGTGLPVVGNDGAARAAAIAAAMNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYFPPGKVAGPGDRKLYLFIEGPTEQSVKRAKAELKRVLEDISNQALSLPGGAQQGRYQVL >ONI07219 pep chromosome:Prunus_persica_NCBIv2:G5:11281656:11288895:-1 gene:PRUPE_5G106900 transcript:ONI07219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRSVACIWSGTPPSHRVTAAAALSHPPTLYTGGSDGSIIWWNLHSSDSNLEIVPMAMLCGHAAPIADLGICDPLVVSGSEGRDSLGDGEVSSSPHSHGALISACADGMLCVWSRSSGHCRRRRKLPPWVGSPSMVRTLPSNPRYVCIACCFVDSVHLLDHHSVESSEVGEVLGDRESQHKKPPKCTVVIVDSYTLSIVQTVFHGNLSIGSLKFMDVVSLTEDQEKHAVVMADSFGRLQLVSIPKNPHQDKEGGTGLHPSSQLEMTVCAEGLSEGGNVMSIATCGNVVAFVLKSRCIFRLLPSGNTIGEISSVDDLLCEKSNPTQSHMVGGLFLEIENVGNLPNTQESDEIFSRNFAVWNNKGLSIVYSISYSKGMFKCESLCEIPANTHPLDVRLSISFIQMGHYILRIESLCFDAEEPLQWKPHVTIWSTCRKHDDHGNLCLWFKLHGVGCSLVDWTANSTSSNESECPGDMETKLTSSKSFVSSSGSVNGYDNDNLGLVNKRGVVSSSMVISETFFAPYAVVYGFFTGEIEIVRFDLFEGLSSLGGSSHHEVKPQISRQFFLGHTGAVLCLAAHRMVGIAKGWSFNQVLVSGSMDCTVRIWDLDTGNPITVMHQHVGPVRQIILPPAHTYRPWSDCFLSVGEDSCVALASLETLRVERIFPGHPSYPAKVVWDGGRGYIACLCRNHSGTSDAVDILYIWDVKTGARERVLRGTPSHSMFDHFCKGISMNSISGSVLNGNTSVSSLLLPVIEDGISTHSHPNNSEKLGTSTNFVPGTMVESNTSRISKGDSEKLFPAPAATLQSNKHPIKSYCPFPGIAALSFDLASLVFPYQKHDLIASGSDNKQDNYVKGQGSETSSPHHKPLGNRPGVHGTSNAIVEEIEWIKTLEECLLRFSLASLHLWNVDPELDNLLITDMKLKRPKSFIVASGFQGDKGSLTLTFPNLSATLELWRMSSEFCAMRSLTMVSLAQRMISLSHTSSNASSALAAFYTRNFADKIPDIKPPLLQLLVSFWQDESEHVRMAARSLFHCAASRAIPLPLCNQKTSGRTNLSSLSGLGENEHVNSNIEETSANRLHSDQLAETQRISKVEELNILAWLQSFEMQDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPCLAMLVVHPLMKLVMAMNEKYSSTAAELLAEGMESTWKQCISSEIPRLIGDIFFQIECVSGPSVNSAVQILAVPVGLREILVGVLLPSLAVADVPGFLTVMESQIWSTASDSPVHLVSLMTLIRVVRGSPRYLAQYLDKVIDFILQTVDPSNSVMRKTCFQSSMTALKEVVRAFPMVALNDTWTRLAVGDVIGERNNATIRVYDMQSVMKIKVLDASGPPGLPNLLAAGSEMMLVTAISALSFSPDGEGLVAFSEHGLMIRWWSLGSVFWEKLSRNLVPVQCTKLIFVPPWEGFSPNSSRSSIMASIMGHDRQVNVQEGTKGLSQADNLKLLIHNLDLSYRLEWVGERKVLLTRHGHELGTFPL >ONI07222 pep chromosome:Prunus_persica_NCBIv2:G5:11281872:11288895:-1 gene:PRUPE_5G106900 transcript:ONI07222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRSVACIWSGTPPSHRVTAAAALSHPPTLYTGGSDGSIIWWNLHSSDSNLEIVPMAMLCGHAAPIADLGICDPLVVSGSEGRDSLGDGEVSSSPHSHGALISACADGMLCVWSRSSGHCRRRRKLPPWVGSPSMVRTLPSNPRYVCIACCFVDSVHLLDHHSVESSEVGEVLGDRESQHKKPPKCTVVIVDSYTLSIVQTVFHGNLSIGSLKFMDVVSLTEDQEKHAVVMADSFGRLQLVSIPKNPHQDKEGGTGLHPSSQLEMTVCAEGLSEGGNVMSIATCGNVVAFVLKSRCIFRLLPSGNTIGEISSVDDLLCEKSNPTQSHMVGGLFLEIENVGNLPNTQESDEIFSRNFAVWNNKGLSIVYSISYSKGMFKCESLCEIPANTHPLDVRLSISFIQMGHYILRIESLCFDAEEPLQWKPHVTIWSTCRKHDDHGNLCLWFKLHGVGCSLVDWTANSTSSNESECPGDMETKLTSSKSFVSSSGSVNGYDNDNLGLVNKRGVVSSSMVISETFFAPYAVVYGFFTGEIEIVRFDLFEGLSSLGGSSHHEVKPQISRQFFLGHTGAVLCLAAHRMVGIAKGWSFNQVLVSGSMDCTVRIWDLDTGNPITVMHQHVGPVRQIILPPAHTYRPWSDCFLSVGEDSCVALASLETLRVERIFPGHPSYPAKVVWDGGRGYIACLCRNHSGTSDAVDILYIWDVKTGARERVLRGTPSHSMFDHFCKGISMNSISGSVLNGNTSVSSLLLPVIEDGISTHSHPNNSEKLGTSTNFVPGTMVESNTSRISKGDSEKLFPAPAATLQSNKHPIKSYCPFPGIAALSFDLASLVFPYQKHDLIASGSDNKQDNYVKGQGSETSSPHHKPLGNRPGVHGTSNAIVEEIEWIKTLEECLLRFSLASLHLWNVDPELDNLLITDMKLKRPKSFIVASGFQGDKGSLTLTFPNLSATLELWRMSSEFCAMRSLTMVSLAQRMISLSHTSSNASSALAAFYTRNFADKIPDIKPPLLQLLVSFWQDESEHVRMAARSLFHCAASRAIPLPLCNQKTSGRTNLSSLSGLGENEHVNSNIEETSANRLHSDQLAETQRISKVEELNILAWLQSFEMQDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPCLAMLVVHPLMKLVMAMNEKYSSTAAELLAEGMESTWKQCISSEIPRLIGDIFFQIECVSGPSVNSAVQILAVPVGLREILVGVLLPSLAVADVPGFLTVMESQIWSTASDSPVHLVSLMTLIRVVRGSPRYLAQYLDKVIDFILQTVDPSNSVMRKTCFQSSMTALKEVVRAFPMVALNDTWTRLAVGDVIGERNNATIRVYDMQSVMKIKVLDASGPPGLPNLLAAGSEMMLVTAISALSFSPDGEGLVAFSEHGLMIRWWSLGSVFWEKLSRNLVPVQCTKLIFVPPWEGFSPNSSRSSIMASIMGHDRQVNVQRHLSSSV >ONI07223 pep chromosome:Prunus_persica_NCBIv2:G5:11285615:11289160:-1 gene:PRUPE_5G106900 transcript:ONI07223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRSVACIWSGTPPSHRVTAAAALSHPPTLYTGGSDGSIIWWNLHSSDSNLEIVPMAMLCGHAAPIADLGICDPLVVSGSEGRDSLGDGEVSSSPHSHGALISACADGMLCVWSRSSGHCRRRRKLPPWVGSPSMVRTLPSNPRYVCIACCFVDSVHLLDHHSVESSEVGEVLGDRESQHKKPPKCTVVIVDSYTLSIVQTVFHGNLSIGSLKFMDVVSLTEDQEKHAVVMADSFGRLQLVSIPKNPHQDKEGGTGLHPSSQLEMTVCAEGLSEGGNVMSIATCGNVVAFVLKSRCIFRLLPSGNTIGEISSVDDLLCEKSNPTQSHMVGGLFLEIENVGNLPNTQESDEIFSRNFAVWNNKGLSIVYSISYSKGMFKCESLCEIPANTHPLDVRLSISFIQMGHYILRIESLCFDAEEPLQWKPHVTIWSTCRKHDDHGNLCLWFKLHGVGCSLVDWTANSTSSNESECPGDMETKLTSSKSFVSSSGSVNGYDNDNLGLVNKRGVVSSSMVISETFFAPYAVVYGFFTGEIEIVRFDLFEGLSSLGGSSHHEVKPQISRQFFLGHTGAVLCLAAHRMVGIAKGWSFNQVLVSGSMDCTVRIWDLDTGNPITVMHQHVGPVRQIILPPAHTYRPWSDCFLSVGEDSCVALASLETLRVERIFPGHPSYPAKVVWDGGRGYIACLCRNHSGTSDAVDILYIWDVKTGARERVLRGTPSHSMFDHFCKGISMNSISGSVLNGNTSVSSLLLPVIEDGISTHSHPNNSEKLGTSTNFVPGTMVESNTSRISKGDSEKLFPAPAATLQSNKHPIKSYCPFPGIAALSFDLASLVFPYQKHDLIASGSDNKQDNYVKGQGSETSSPHHKPLGNRPGVHGTSNAIVEEIEWIKTLEECLLRFSLASLHLWNVDPELDNLLITDMKLKRPKSFIVASGFQGDKGSLTLTFPNLSATLELWRMSSEFCAMRSLTMVSLAQRMISLSHTSSNASR >ONI07221 pep chromosome:Prunus_persica_NCBIv2:G5:11281170:11289160:-1 gene:PRUPE_5G106900 transcript:ONI07221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRSVACIWSGTPPSHRVTAAAALSHPPTLYTGGSDGSIIWWNLHSSDSNLEIVPMAMLCGHAAPIADLGICDPLVVSGSEGRDSLGDGEVSSSPHSHGALISACADGMLCVWSRSSGHCRRRRKLPPWVGSPSMVRTLPSNPRYVCIACCFVDSVHLLDHHSVESSEVGEVLGDRESQHKKPPKCTVVIVDSYTLSIVQTVFHGNLSIGSLKFMDVVSLTEDQEKHAVVMADSFGRLQLVSIPKNPHQDKEGGTGLHPSSQLEMTVCAEGLSEGGNVMSIATCGNVVAFVLKSRCIFRLLPSGNTIGEISSVDDLLCEKSNPTQSHMVGGLFLEIENVGNLPNTQESDEIFSRNFAVWNNKGLSIVYSISYSKGMFKCESLCEIPANTHPLDVRLSISFIQMGHYILRIESLCFDAEEPLQWKPHVTIWSTCRKHDDHGNLCLWFKLHGVGCSLVDWTANSTSSNESECPGDMETKLTSSKSFVSSSGSVNGYDNDNLGLVNKRGVVSSSMVISETFFAPYAVVYGFFTGEIEIVRFDLFEGLSSLGGSSHHEVKPQISRQFFLGHTGAVLCLAAHRMVGIAKGWSFNQVLVSGSMDCTVRIWDLDTGNPITVMHQHVGPVRQIILPPAHTYRPWSDCFLSVGEDSCVALASLETLRVERIFPGHPSYPAKVVWDGGRGYIACLCRNHSGTSDAVDILYIWDVKTGARERVLRGTPSHSMFDHFCKGISMNSISGSVLNGNTSVSSLLLPVIEDGISTHSHPNNSEKLGTSTNFVPGTMVESNTSRISKGDSEKLFPAPAATLQSNKHPIKSYCPFPGIAALSFDLASLVFPYQKHDLIASGSDNKQDNYVKGQGSETSSPHHKPLGNRPGVHGTSNAIVEEIEWIKTLEECLLRFSLASLHLWNVDPELDNLLITDMKLKRPKSFIVASGFQGDKGSLTLTFPNLSATLELWRMSSEFCAMRSLTMVSLAQRMISLSHTSSNASSALAAFYTRNFADKIPDIKPPLLQLLVSFWQDESEHVRMAARSLFHCAASRAIPLPLCNQKTSGRTNLSSLSGLGENEHVNSNIEETSANRLHSDQLAETQRISKVEELNILAWLQSFEMQDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPCLAMLVVHPLMKLVMAMNEKYSSTAAELLAEGMESTWKQCISSEIPRLIGDIFFQIECVSGPSVNSAVQILAVPVGLREILVGVLLPSLAVADVPGFLTVMESQIWSTASDSPVHLVSLMTLIRVVRGSPRYLAQYLDKVIDFILQTVDPSNSVMRKTCFQSSMTALKEVVRAFPMVALNDTWTRLAVGDVIGERNNATIRVYDMQSVMKIKVLDASGPPGLPNLLAAGSEMMLVTAISALSFSPDGEGLVAFSEHGLMIRWWSLGSVFWEKLSRNLVPVQCTKLIFVPPWEGFSPNSSRSSIMASIMGHDRQVNVQARRV >ONI07220 pep chromosome:Prunus_persica_NCBIv2:G5:11281171:11289160:-1 gene:PRUPE_5G106900 transcript:ONI07220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSSGHCRRRRKLPPWVGSPSMVRTLPSNPRYVCIACCFVDSVHLLDHHSVESSEVGEVLGDRESQHKKPPKCTVVIVDSYTLSIVQTVFHGNLSIGSLKFMDVVSLTEDQEKHAVVMADSFGRLQLVSIPKNPHQDKEGGTGLHPSSQLEMTVCAEGLSEGGNVMSIATCGNVVAFVLKSRCIFRLLPSGNTIGEISSVDDLLCEKSNPTQSHMVGGLFLEIENVGNLPNTQESDEIFSRNFAVWNNKGLSIVYSISYSKGMFKCESLCEIPANTHPLDVRLSISFIQMGHYILRIESLCFDAEEPLQWKPHVTIWSTCRKHDDHGNLCLWFKLHGVGCSLVDWTANSTSSNESECPGDMETKLTSSKSFVSSSGSVNGYDNDNLGLVNKRGVVSSSMVISETFFAPYAVVYGFFTGEIEIVRFDLFEGLSSLGGSSHHEVKPQISRQFFLGHTGAVLCLAAHRMVGIAKGWSFNQVLVSGSMDCTVRIWDLDTGNPITVMHQHVGPVRQIILPPAHTYRPWSDCFLSVGEDSCVALASLETLRVERIFPGHPSYPAKVVWDGGRGYIACLCRNHSGTSDAVDILYIWDVKTGARERVLRGTPSHSMFDHFCKGISMNSISGSVLNGNTSVSSLLLPVIEDGISTHSHPNNSEKLGTSTNFVPGTMVESNTSRISKGDSEKLFPAPAATLQSNKHPIKSYCPFPGIAALSFDLASLVFPYQKHDLIASGSDNKQDNYVKGQGSETSSPHHKPLGNRPGVHGTSNAIVEEIEWIKTLEECLLRFSLASLHLWNVDPELDNLLITDMKLKRPKSFIVASGFQGDKGSLTLTFPNLSATLELWRMSSEFCAMRSLTMVSLAQRMISLSHTSSNASSALAAFYTRNFADKIPDIKPPLLQLLVSFWQDESEHVRMAARSLFHCAASRAIPLPLCNQKTSGRTNLSSLSGLGENEHVNSNIEETSANRLHSDQLAETQRISKVEELNILAWLQSFEMQDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPCLAMLVVHPLMKLVMAMNEKYSSTAAELLAEGMESTWKQCISSEIPRLIGDIFFQIECVSGPSVNSAVQILAVPVGLREILVGVLLPSLAVADVPGFLTVMESQIWSTASDSPVHLVSLMTLIRVVRGSPRYLAQYLDKVIDFILQTVDPSNSVMRKTCFQSSMTALKEVVRAFPMVALNDTWTRLAVGDVIGERNNATIRVYDMQSVMKIKVLDASGPPGLPNLLAAGSEMMLVTAISALSFSPDGEGLVAFSEHGLMIRWWSLGSVFWEKLSRNLVPVQCTKLIFVPPWEGFSPNSSRSSIMASIMGHDRQVNVQEGTKGLSQADNLKLLIHNLDLSYRLEWVGERKVLLTRHGHELGTFPL >ONI08199 pep chromosome:Prunus_persica_NCBIv2:G5:14283767:14287511:1 gene:PRUPE_5G163600 transcript:ONI08199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGTFGQVLECWDREKKEMVAIKIVRGVKKYREAAMIEIEVLQQLGKHDKGGNRCVQLRNWFDYRNHICIVFEKLGPSLYDFLKKNNYRSFPIDLVREIGRQLLECVAFMHDLRLIHTDLKPENILLVSHDYSKVPDYNKNSSRSPKDSSYFKRIPKSSAIKVIDFGSTTYERQEQNYIVSTRHYRAPEVILGMGWTYPCDVWSIGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHILKRADRHAEKYVRRGRLDWPEGAASRESIRAVQKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYDPSDRLSAREALRHSFFTKDNLRR >ONI08198 pep chromosome:Prunus_persica_NCBIv2:G5:14283767:14287511:1 gene:PRUPE_5G163600 transcript:ONI08198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METERVTEFPFTHLDRRPRKRARLGWDVPQAPKAQVGMFCGQELGNVTSFASLMAPSDHTTISLFDKEVARNGSPPWREDDKDGHYVFSLGENLTSRYKIQSKMGEGTFGQVLECWDREKKEMVAIKIVRGVKKYREAAMIEIEVLQQLGKHDKGGNRCVQLRNWFDYRNHICIVFEKLGPSLYDFLKKNNYRSFPIDLVREIGRQLLECVAFMHDLRLIHTDLKPENILLVSHDYSKVPDYNKNSSRSPKDSSYFKRIPKSSAIKVIDFGSTTYERQEQNYIVSTRHYRAPEVILGMGWTYPCDVWSIGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHILKRADRHAEKYVRRGRLDWPEGAASRESIRAVQKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYDPSDRLSAREALRHSFFTKDNLRR >ONI07166 pep chromosome:Prunus_persica_NCBIv2:G5:11051666:11056815:-1 gene:PRUPE_5G103700 transcript:ONI07166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPELCSSRMLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEEDSDHDYSSSSSDHGDKENDFSRCLEFHKSSKPRVRYPRPYVPSTLTKSINRGSYREVQSIHRPQPRVNLAKLGTSTLRS >ONI07164 pep chromosome:Prunus_persica_NCBIv2:G5:11052707:11054466:-1 gene:PRUPE_5G103700 transcript:ONI07164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKVLKNGVEVKLQRNALSVLEHPTGNEEDSDHDYSSSSSDHGDKENDFSRCLEFHKSSKPRVRYPRPYVPSTLTKSINRGSYREVQSIHRPQPRVNLAKLGTSTLRRYCRHFNLLTSNSNPTREQLINVAQRHFISQQMPLDEVSVVTEFVDATRSRKYAEWAGKRAEREM >ONI07163 pep chromosome:Prunus_persica_NCBIv2:G5:11052707:11055263:-1 gene:PRUPE_5G103700 transcript:ONI07163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPELCSSRMLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEEDSDHDYSSSSSDHGDKENDFSRCLEFHKSSKPRVRYPRPYVPSTLTKSINRGSYREVQSIHRPQPRVNLAKLGTSTLRRYCRHFNLLTSNSNPTREQLINVAQRHFISQQMPLDEVSVVTEFVDATRSRKYAEWAGKRAEREM >ONI07165 pep chromosome:Prunus_persica_NCBIv2:G5:11052971:11055263:-1 gene:PRUPE_5G103700 transcript:ONI07165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPELCSSRMLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEEDSDHDYSSSSSDHGDKENDFSRCLEFHKSSKPRVRYPRPYVPSTLTKSINRGSYREVQSIHRPQPRVNLAKLGTSTLRRYCRHFNLVVNQQF >ONI07162 pep chromosome:Prunus_persica_NCBIv2:G5:11051666:11056815:-1 gene:PRUPE_5G103700 transcript:ONI07162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPELCSSRMLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEEDSDHDYSSSSSDHGDKENDFSRCLEFHKSSKPRVRYPRPYVPSTLTKSINRGSYREVQSIHRPQPRVNLAKLGTSTLRRYCRHFNLLTSNSNPTREQLINVAQRHFISQQMPLDEVSVVTEFVDATRSRKYAEWAGKRAEREM >ONI06552 pep chromosome:Prunus_persica_NCBIv2:G5:8163087:8166120:-1 gene:PRUPE_5G067500 transcript:ONI06552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGYFSAWKTAGSLHGLQHVEEAEEMKIVPTLPAIPQPQTPQEPMEFLSRSWSLSASEISKALAQKQKQFSLEKSPDKFPEATDVPQLTGKIVKSVNNRRTKSMGKWFHHKEFTSSTVKKKDKERVERARVHSAVSIAGVAAALAAVTAAENSSGSGSKTTMALALATELLASHCIEMAELAGANHDRVASAVRSAVDIQSPGDLMTLTAAAATALRGESALKSRLPKEARKNAAISPYDRGMAEIPSAGAFYSQVEEQDPPCAGELLQLTGKGVLQWKRVSVYINNKSQVIVKLKRKHVGGAFSKKNKSVVYGVCDETSAWPYKKEREISEEVYFGLKTGQGLLEFKCKNKVHKQKWVDGIKNLLRRVNYFEETEHSLGFLNISNSI >ONI06553 pep chromosome:Prunus_persica_NCBIv2:G5:8162243:8167111:-1 gene:PRUPE_5G067500 transcript:ONI06553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGYFSAWKTAGSLHGLQHVEEAEEMKIVPTLPAIPQPQTPQEPMEFLSRSWSLSASEISKALAQKQKQFSLEKSPDKFPEATDVPQLTGKIVKSVNNRRTKSMGKWFHHKEFTSSTVKKKDKERVERARVHSAVSIAGVAAALAAVTAAENSSGSGSKTTMALALATELLASHCIEMAELAGANHDRVASAVRSAVDIQSPGDLMTLTAAAATALRGESALKSRLPKEARKNAAISPYDRGMAEIPSAGAFYSQVEEQDPPCAGELLQLTGKGVLQWKRVSVYINNKSQVIVKLKRKHVGGAFSKKNKSVVYGVCDETSAWPYKKEREISEEVYFGLKTGQGLLEFKCKNKVHKQKWVDGIKNLLRRVNYFEETEHSLGFLNISNSI >ONI06551 pep chromosome:Prunus_persica_NCBIv2:G5:8162243:8166120:-1 gene:PRUPE_5G067500 transcript:ONI06551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGYFSAWKTAGSLHGLQHVEEAEEMKIVPTLPAIPQPQTPQEPMEFLSRSWSLSASEISKALAQKQKQFSLEKSPDKFPEATDVPQLTGKIVKSVNNRRTKSMGKWFHHKEFTSSTVKKKDKERVERARVHSAVSIAGVAAALAAVTAAENSSGSGSKTTMALALATELLASHCIEMAELAGANHDRVASAVRSAVDIQSPGDLMTLTAAAATALRGESALKSRLPKEARKNAAISPYDRGMAEIPSAGAFYSQVEEQDPPCAGELLQLTGKGVLQWKRVSVYINNKSQVIVKLKRKHVGGAFSKKNKSVVYGVCDETSAWPYKKEREISEEVYFGLKTGQGLLEFKCKNKVHKQKWVDGIKNLLRRVNYFEETEHSLGFLNISNSI >ONI05764 pep chromosome:Prunus_persica_NCBIv2:G5:2633399:2637624:-1 gene:PRUPE_5G023500 transcript:ONI05764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRTRGGELLAGERERERERERERERELRTRRKRRREEKKKSLRNRIKSVDSTTPRISEG >ONI05765 pep chromosome:Prunus_persica_NCBIv2:G5:2632722:2637522:-1 gene:PRUPE_5G023500 transcript:ONI05765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRTRGGELLAGERERERERERERERELRTRRKRRREEKKKSLRNRIKSVDSTTPRISEG >ONI05763 pep chromosome:Prunus_persica_NCBIv2:G5:2632641:2637524:-1 gene:PRUPE_5G023500 transcript:ONI05763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRTRGGELLAGERERERERERERERELRTRRKRRREEKKKSLRNRIKSVDSTTPRISEG >ONI05766 pep chromosome:Prunus_persica_NCBIv2:G5:2634268:2637524:-1 gene:PRUPE_5G023500 transcript:ONI05766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRTRGGELLAGERERERERERERERELRTRRKRRREEKKKSLRGSCRIFGEDDE >ONI05767 pep chromosome:Prunus_persica_NCBIv2:G5:2636585:2637418:-1 gene:PRUPE_5G023500 transcript:ONI05767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRTRGGELLAGERERERERERERERELRTRRKRRREEKKKSLRGSCRIFGEDDE >ONI07820 pep chromosome:Prunus_persica_NCBIv2:G5:13159053:13164185:-1 gene:PRUPE_5G141800 transcript:ONI07820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFSNDTGGMSAVGGTAAAHGNPYDGPNDAVDLFLRNRGYNGLFSQIELSFAAIDLRDRDVLSKSDPMVVIYTKGRDGGLSELGRTEVVLNSLNPKWITKHNITYHFEVVQTLVFRVYDVDTQFHNVDVKMLRLEEQQFLGEATCALSQIVPKSDRLLTLDLVYREDSEPSRSNRHRDRGKLIVHAEESVSSKTTVEMNLRCSDLEYKDLFSKSDPFLIISKLTESGTTIPVCKTEVIKNELKPSWKPIYLNIQQVGSKDSPLIMECFNFNNNGKHDLIGKIQKSLAELEKLHAIGQGENLFLPTTVGHDYHNKILKSQLFVDKFTESIQHTFLDYLAGGCELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQKAIIDVVEVLQFYDSDKRFPAWGFGARPIDGPVNHCFNLNGSSHYCEVEGIQGIMMAYTSALQNVSLAGPTLFGPVITNAALNASQSVANGGRKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQSGEISVVQALLAELPSQFLTYMRSRDVKPIL >ONI07822 pep chromosome:Prunus_persica_NCBIv2:G5:13158537:13164275:-1 gene:PRUPE_5G141800 transcript:ONI07822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFSNDTGGMSAVGGTAAAHGNPYDGPNDAVDLFLRNRGYNGLFSQIELSFAAIDLRDRDVLSKSDPMVVIYTKGRDGGLSELGRTEVVLNSLNPKWITKHNITYHFEVVQTLVFRVYDVDTQFHNVDVKMLRLEEQQFLGEATCALSQIVPKSDRLLTLDLVYREDSEPSRSNRHRDRGKLIVHAEESVSSKTTVEMNLRCSDLEYKDLFSKSDPFLIISKLTESGTTIPVCKTEVIKNELKPSWKPIYLNIQQVGSKDSPLIMECFNFNNNGKHDLIGKIQKSLAELEKLHAIGQGENLFLPTTVGHDYHNKILKSQLFVDKFTESIQHTFLDYLAGGCELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQKAIIDVVEVLQFYDSDKRFPAWGFGARPIDGPVNHCFNLNGSSHYCEVEGIQGIMMAYTSALQNVSLAGPTLFGPVITNAALNASQSVANGGRKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQSGEISVVQALLAELPSQFLTYMRSRDVKPIL >ONI07819 pep chromosome:Prunus_persica_NCBIv2:G5:13158475:13165010:-1 gene:PRUPE_5G141800 transcript:ONI07819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFSNDTGGMSAVGGTAAAHGNPYDGPNDAVDLFLRNRGYNGLFSQIELSFAAIDLRDRDVLSKSDPMVVIYTKGRDGGLSELGRTEVVLNSLNPKWITKHNITYHFEVVQTLVFRVYDVDTQFHNVDVKMLRLEEQQFLGEATCALSQIVPKSDRLLTLDLVYREDSEPSRSNRHRDRGKLIVHAEESVSSKTTVEMNLRCSDLEYKDLFSKSDPFLIISKLTESGTTIPVCKTEVIKNELKPSWKPIYLNIQQVGSKDSPLIMECFNFNNNGKHDLIGKIQKSLAELEKLHAIGQGENLFLPTTVGHDYHNKILKSQLFVDKFTESIQHTFLDYLAGGCELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQKAIIDVVEVLQFYDSDKRFPAWGFGARPIDGPVNHCFNLNGSSHYCEVEGIQGIMMAYTSALQNVSLAGPTLFGPVITNAALNASQSVANGGRKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQSGEISVVQALLAELPSQFLTYMRSRDVKPIL >ONI07823 pep chromosome:Prunus_persica_NCBIv2:G5:13159053:13163134:-1 gene:PRUPE_5G141800 transcript:ONI07823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIYTKGRDGGLSELGRTEVVLNSLNPKWITKHNITYHFEVVQTLVFRVYDVDTQFHNVDVKMLRLEEQQFLGEATCALSQIVPKSDRLLTLDLVYREDSEPSRSNRHRDRGKLIVHAEESVSSKTTVEMNLRCSDLEYKDLFSKSDPFLIISKLTESGTTIPVCKTEVIKNELKPSWKPIYLNIQQVGSKDSPLIMECFNFNNNGKHDLIGKIQKSLAELEKLHAIGQGENLFLPTTVGHDYHNKILKSQLFVDKFTESIQHTFLDYLAGGCELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQKAIIDVVEVLQFYDSDKRFPAWGFGARPIDGPVNHCFNLNGSSHYCEVEGIQGIMMAYTSALQNVSLAGPTLFGPVITNAALNASQSVANGGRKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQSGEISVVQALLAELPSQFLTYMRSRDVKPIL >ONI07824 pep chromosome:Prunus_persica_NCBIv2:G5:13158475:13163256:-1 gene:PRUPE_5G141800 transcript:ONI07824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIYTKGRDGGLSELGRTEVVLNSLNPKWITKHNITYHFEVVQTLVFRVYDVDTQFHNVDVKMLRLEEQQFLGEATCALSQIVPKSDRLLTLDLVYREDSEPSRSNRHRDRGKLIVHAEESVSSKTTVEMNLRCSDLEYKDLFSKSDPFLIISKLTESGTTIPVCKTEVIKNELKPSWKPIYLNIQQVGSKDSPLIMECFNFNNNGKHDLIGKIQKSLAELEKLHAIGQGENLFLPTTVGHDYHNKILKSQLFVDKFTESIQHTFLDYLAGGCELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQKAIIDVVEVLQFYDSDKRFPAWGFGARPIDGPVNHCFNLNGSSHYCEVEGIQGIMMAYTSALQNVSLAGPTLFGPVITNAALNASQSVANGGRKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQSGEISVVQALLAELPSQFLTYMRSRDVKPIL >ONI07821 pep chromosome:Prunus_persica_NCBIv2:G5:13158866:13164275:-1 gene:PRUPE_5G141800 transcript:ONI07821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFSNDTGGMSAVGGTAAAHGNPYDGPNDAVDLFLRNRGYNGLFSQIELSFAAIDLRDRDVLSKSDPMVVIYTKGRDGGLSELGRTEVVLNSLNPKWITKHNITYHFEVVQTLVFRVYDVDTQFHNVDVKMLRLEEQQFLGEATCALSQIVPKSDRLLTLDLVYREDSEPSRSNRHRDRGKLIVHAEESVSSKTTVEMNLRCSDLEYKDLFSKSDPFLIISKLTESGTTIPVCKTEVIKNELKPSWKPIYLNIQQVGSKDSPLIMECFNFNNNGKHDLIGKIQKSLAELEKLHAIGQGENLFLPTTVGHDYHNKILKSQLFVDKFTESIQHTFLDYLAGGCELNFMVAVDFTASNGNPRLPDSLHYIDPSGRPNAYQKAIIDVVEVLQFYDSDKRFPAWGFGARPIDGPVNHCFNLNGSSHYCEVEGIQGIMMAYTSALQNVSLAGPTLFGPVITNAALNASQSVANGGRKYFVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSTGRVASRDIVQFVPFRDVQSGEISVVQALLAELPSQFLTYMRSRDVKPIL >ONI05812 pep chromosome:Prunus_persica_NCBIv2:G5:2809393:2812234:1 gene:PRUPE_5G025100 transcript:ONI05812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVVSFLLDRLTSIIEDEVRLLSGTRAEMEDIVEELERIKAFLRVADAKEDSDPQLKVWVKQVRDVAYQIEDALDKFRLSHSCYHRPGCHVSLHELSCIFNKLKARQRIATDIQSIKSKVRSLSEGHQNYKLDVDPGSSKVPKHQYSQGDALLLEEADLVAIGEPKRQLIELLMQEGGDAGRQAVAVVGMGGLGKTTLAKQVYKDARVKKNFKVHAWITVSQSFKIKELLRHIVEKIFKVIRKPVPEEFDSMDTNKLRERIKKLLQHSRYLIVLDDLWHIDAWDVINHALPNNNGSRVMITTRNASVASASCMHNHVMVYHLEPLSPEESWTLLCRKTFQEESCPPNLEEICRCILSKCGGLPLAIVAIGAVLAMKDKKNIEDWAAVCGSIGAEIEENDQLDNMKRLLYLSFSDLPYHLKSCFLYLSIFPDLYKFEYMRLIRLWIAEGFVIEKEGKTPEEVAESYLKELLDRSLIEAEEIATDGRVKSCRIHDLLREIVVLKSREQNFAAIEKEQGTMWPEKVRRLSIFNTLQNVQQKRIPSKLRSLLIFGVEDSLTEFSISKLFPRGLPLLTVLDLEGAPLETFPKEVVNLLLLRYLSLRGTKVKQIPSSIKKLQNLETFDLKHSHVVELPAEILNLKRLRHLLVYRYEVESYARFNSRYGVKVPAGICGLQSLQKLCFVEANQDNGALVAELGRMNQLRKLGIFKLRQEDGVTLCSSIEKMRNLRSLSVSSVEKDKIIDLTHISCPPQFLQRLYLTGRLENLPHWISSLQNVVRLFLKWSRLKEDPLVHLQGLPNLVHLELLQVYEGDCLHFKAGGFPSLKLLGIDKLDELKLVSMDKGAMPCLEKLIIQRCRLLKKVSGIEHLQDLKLLEFFDMPNELIRPFHPDGGEDHWKVAHIPEVYSSYWNVGGWDVYSLEITDGESTSHQGAMRRLEPNILWKA >ONI08372 pep chromosome:Prunus_persica_NCBIv2:G5:14839307:14844153:-1 gene:PRUPE_5G174100 transcript:ONI08372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQSAAPSPADEKPQNPGRAQPTIEGQQDAGAEPPKLTSTPSVFVNSEPMREEQVQNAVKFLSHPKVKGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDPSSSAQATTANQDGQVKTSSNVQAQSTTQPAAAAPTNAISSVRTLNGYRFHWSHAIVAVGLLAVSGAGTAILLKKSIIPKLKAWVRKVVLEDENNIEKKTDLKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKTEERRYFVELMSLLDVQVQEMKSMNNSIRKLEGETKASRTSLVDHEDNHYNTTNSKQQYANGKAEYDVRSVQSSSAPASVEPSAAPHPKSYMDIMAMVQRGEKPPNVKDIDDLPPNPNQQLSNPRLAPRAKPWEVGQAQNNSSQVFQSQVSSEGLNSSIQDNGLDYQSNGDGTVPWWQRKNARITEIENEVNAGSFGARTSELPVQPVQRTWVPPQPPPVAMAAAAEAIRRPKPPVQKEQLGNDLPVAPPSDVSDELQRITKISESGGALELSNGSPPLGSPEIQEEYSL >ONI08373 pep chromosome:Prunus_persica_NCBIv2:G5:14839307:14844196:-1 gene:PRUPE_5G174100 transcript:ONI08373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQSAAPSPADEKPQNPGRAQPTIEGQQDAGAEPPKLTSTPSVFVNSEPMREEQVQNAVKFLSHPKVKGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDPSSSAQATTANQDGQVKTSSNVQAQSTTQPAAAAPTNAISSVRTLNGYRFHWSHAIVAVGLLAVSGAGTAILLKKSIIPKLKAWVRKVVLEDENNIEKKTDLKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKTEERRYFVELMSLLDVQVQEMKSMNNSIRKLEGETKASRTSLVDHEDNHYNTTNSKQQYANGKAEYDVRSVQSSSAPASVEPSAAPHPKSYMDIMAMVQRGEKPPNVKDIDDLPPNPNQQLSNPRLAPRAKPWEVGQAQNNSSQVFQSQVSSEGLNSSIQDNGLDYQSNGDGTVPWWQRKNARITEIENEVNAGSFGARTSELPVQPVQRTWVPPQPPPVAMAAAAEAIRRPKPPVQKEQLGNDLPVAPPSDVSDELQRITKISESGGALELSNGSPPLGSPEIQEEYSL >ONI08371 pep chromosome:Prunus_persica_NCBIv2:G5:14839307:14844018:-1 gene:PRUPE_5G174100 transcript:ONI08371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQSAAPSPADEKPQNPGRAQPTIEGQQDAGAEPPKLTSTPSVFVNSEPMREEQVQNAVKFLSHPKVKGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDPSSSAQATTANQDGQVKTSSNVQAQSTTQPAAAAPTNAISSVRTLNGYRFHWSHAIVAVGLLAVSGAGTAILLKKSIIPKLKAWVRKVVLEDENNIEKKTDLKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKTEERRYFVELMSLLDVQVQEMKSMNNSIRKLEGETKASRTSLVDHEDNHYNTTNSKQQYANGKAEYDVRSVQSSSAPASVEPSAAPHPKSYMDIMAMVQRGEKPPNVKDIDDLPPNPNQQLSNPRLAPRAKPWEVGQAQNNSSQVFQSQVSSEGLNSSIQDNGLDYQSNGDGTVPWWQRKNARITEIENEVNAGSFGARTSELPVQPVQRTWVPPQPPPVAMAAAAEAIRRPKPPVQKEQLGNDLPVAPPSDVSDELQRITKISESGGALELSNGSPPLGSPEIQEEYSL >ONI08616 pep chromosome:Prunus_persica_NCBIv2:G5:15553242:15554689:-1 gene:PRUPE_5G189300 transcript:ONI08616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSRQSGGARISDDQINDLVSKLQQLLPEIRNSRRSDKVSAATVLQETCNYIRSLHREVDDLSERLSELLATTDSAQAAVIRSLLSQ >ONI07606 pep chromosome:Prunus_persica_NCBIv2:G5:12570966:12573129:1 gene:PRUPE_5G130000 transcript:ONI07606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSQNATAATGGSGRNGVLVPQTNDTTGATVVDDPKQNLNEVNNSIQKILGLIHQLYLTISSFNAASQLPLLQRLNALVIELDNMAKLSEKCNIQVPMEVFNLIDDGKNPDEFTRDVINSCIAKNQITKGKTDTFKSLRKHLLEELEQTFPDEVESYREIRAASASETKRLAQAQSILQNGDMKVKPEP >ONI07605 pep chromosome:Prunus_persica_NCBIv2:G5:12570849:12573129:1 gene:PRUPE_5G130000 transcript:ONI07605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSQNATAATGGSGRNGVLVPQTNDTTGATVVDDPKQNLNEVNNSIQKILGLIHQLYLTISSFNAASQLPLLQRLNALVIELDNMAKLSEKCNIQVPMEVFNLIDDGKNPDEFTRDVINSCIAKNQITKGKTDTFKSLRKHLLEELEQTFPDEVESYREIRAASASETKRLAQAQSILQNGDMKVKPEP >ONI07604 pep chromosome:Prunus_persica_NCBIv2:G5:12570627:12573129:1 gene:PRUPE_5G130000 transcript:ONI07604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSQNATAATGGSGRNGVLVPQTNDTTGATVVDDPKQNLNEVNNSIQKILGLIHQLYLTISSFNAASQLPLLQRLNALVIELDNMAKLSEKCNIQVPMEVFNLIDDGKNPDEFTRDVINSCIAKNQITKGKTDTFKSLRKHLLEELEQTFPDEVESYREIRAASASETKRLAQAQSILQNGDMKVKPEP >ONI06018 pep chromosome:Prunus_persica_NCBIv2:G5:3936487:3937899:1 gene:PRUPE_5G034500 transcript:ONI06018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAYVDEEEVWKCPKHPSKRRKSGICPVCLRERLVILCPECANVRPCGCCATATTSSSSSSSSSSRFTGGDGVRVAKLLESEPSFRRSRSVAIPFLRSRSRFVGGGGVGHVDFDRSEPHSGGGRAKTPSFWSSMFRSSQRSKRSEANGEHETTPEKKVVGEIEEVEDADAAMRRNMMRKSRSVAVPMMSSNSGAATGERPPAKGRGWYFPSPIKAFRHSKISKIVSERSPVYRG >ONI07413 pep chromosome:Prunus_persica_NCBIv2:G5:11958865:11963354:-1 gene:PRUPE_5G118500 transcript:ONI07413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYWRSLSTRASFTARRRQNHPSITHILHDDDRKHDVVSEQASSPNLNHFLSQKRFFGNNLGRISGFGGFAPDRRISNALLSSSSGFACSRYMSTAIGGGSDKVELLSDVSGVVSDATMEAVASQVSAMSEIAIATADSWLPVKALQYLIGSVHTYTGLNWWAAIAITTLLIRTATVPILINQLKAMSKLALMKPQLEEYRQEMQDKGMGREAVAEFQKKTGKLYKEYGVHPLSAMKGIFVQAPVFISFFLAISNMAEKVPSFKSGGAYWFLDLTTPDSMYIFPVLAALTFLLTVECNLQEGMEGNPAAGTMKNVSRGLAVLTVPFTMSFPKAVFCYWVTSNMFSLGYGLVLKYSGLKKALGIPRLPSTPPSQRSQPAFSLLSTMKQVAAVTQDTTSSPVEQSKVQDGRTSSSSVLSQRIKTLEKQVKGRKRNKKR >ONI05498 pep chromosome:Prunus_persica_NCBIv2:G5:1023255:1032386:1 gene:PRUPE_5G010000 transcript:ONI05498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEVIDVEGMGHRGMADDGDAERSEGGEVNNAENSEAHDEDGISEPYVGMEFNSEEAAKTFYDEYARRLGFSSKVGQSSRSKPDGTTIAREFVCGREGLKRRHADSCDAMLRIELKGQDKWVSTKFVKEHSHALVSPGKVHYLRPRRHFAGAAKNVAETYQGVGIVPSGVMYVSVDGNRTPVEKSRVVRNTLSTESNRPVKNAITMNQLRPCSRRSTLGKDAQNLLEYFKKMQAENPGFFYAIQLDEDNHMANVFWADARSRAAYCHFGDAVTLDTTYRVNQYRVPFAPFTGVNHHGQTVLFGCALLLDESEASFIWLFKTFLTAMNDCHPVSFMTDQDRAIQTAVSQVFPEVRHCISKSHVLREGQERLAHVCQAHPYFEVELYNCINLTETIEEFELSWDSILDKYDLRRNDWLQSLYSARAQWVPVYFRDSFSAAISPKPNQGHDGFFDGYVNQQTTLPMFFRQYERALENSFEREIEADFDTICTTPVLRTPSPMEKQAANLYTRKIFAKFQEELVETFVYTANRIEGDGAISTFRVAKFEDDHKAYIVTFNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKSGTTLDERSGELHGQDSLTLRYNNLCREAIKYAEDGATTTETFIAAMTALRDGGKKVSVVKKNVAKVAPPNSQVSVTGYDDRKNSTSMSDMTPLLWPRQDEVMKRFNLNDAGAPAQTVSDLNLPRMAPVSLHRDDGTPENMVVLPCLKSMTWVMENKNSAPGNRVAVINLKLQDYSRTISTESEVKFQLSRVSLEPMLRSMAYISDQLSTPANKVAVINLKLQDAETTSGESEVKFQVSRDTLGAMLRSMAYIREQLSSAAEIQSESVSKKLRK >ONI05499 pep chromosome:Prunus_persica_NCBIv2:G5:1023255:1027771:1 gene:PRUPE_5G010000 transcript:ONI05499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEVIDVEGMGHRGMADDGDAERSEGGEVNNAENSEAHDEDGISEPYVGMEFNSEEAAKTFYDEYARRLGFSSKVGQSSRSKPDGTTIAREFVCGREGLKRRHADSCDAMLRIELKGQDKWVSTKFVKEHSHALVSPGKVHYLRPRRHFAGAAKNVAETYQGVGIVPSGVMYVSVDGNRTPVEKSRVVRNTLSTESNRPVKNAITMNQLRPCSRRSTLGKDAQNLLEYFKKMQAENPGFFYAIQLDEDNHMANVFWADARSRAAYCHFGDAVTLDTTYRVNQYRVPFAPFTGVNHHGQTVLFGCALLLDESEASFIWLFKTFLTAMNDCHPVSFMTDQDRAIQTAVSQVFPEVRHCISKSHVLREGQERLAHVCQAHPYFEVELYNCINLTETIEEFELSWDSILDKYDLRRNDWLQSLYSARAQWVPVYFRDSFSAAISPKPNQGHDGFFDGYVNQQTTLPMFFRQYERALENSFEREIEADFDTICTTPVLRTPSPMEKQAANLYTRKIFAKFQEELVETFVYTANRIEGDGAISTFRVAKFEDDHKAYIVTFNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKSGTTLDERSGELHGQDSLTLRYNNLCREAIKYAEDGATTTETFIAAMTALRDGGKKVSVVKKNVAKVAPPNSQVSVTGYDDRKNSTSMSDMTPLLWPRQDEVMKRFNLNDAGAPAQTVSDLNLPRMAPVSLHRDDGTPENMVVLPCLKSMTWVMENKNSAPGNRVAVINLKLQDYSRTISTESEVKFQLSRVSLEPMLRSMAYISDQLSTPANKVAVINLKGVIMLLVKGKRKRFGGAC >ONI06428 pep chromosome:Prunus_persica_NCBIv2:G5:6434105:6436795:1 gene:PRUPE_5G059700 transcript:ONI06428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKTEDGRELRSFKFKDEDESQEVRPVERGILLETLANQLPAGAVRFSSKLAKIQKTENGETLLELVDGTQLSAKVVIGCDGIRSPIAKWMGFPEPKYVGHCAFRGLAYYPGGQPFEPKLNQIYGRGQRAGFLPVSPTKVYWFVCFNRQSPGPKITDPSVLKKQATELVRDWPSDLVNIIDNTPDDLIIRTPLVDRWLWPNISPPVSAGSVVLVGDAWHPMTPNLGQGACCALEDAVVLARKLAGAIKSRPDTIGDALSSYGSERWPRIFPLTVRANLVGSLLQWENPVVCSVRNNVIFPKLVRLGPLLEHTNFDCEPLQAPVV >ONI06427 pep chromosome:Prunus_persica_NCBIv2:G5:6434105:6436795:1 gene:PRUPE_5G059700 transcript:ONI06427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSMSLVFQNPLLSPYHSKTRPLPRNQSNWFQAPTRTRTKPTSFSSIRAQSGAAARKEDIVIVGAGIAGLATALSLHRLGVGSLVLEQAESLRTGGTSLTLFKNGWRVLDAMGVGNDLRTQFLEIQGMVVKTEDGRELRSFKFKDEDESQEVRPVERGILLETLANQLPAGAVRFSSKLAKIQKTENGETLLELVDGTQLSAKVVIGCDGIRSPIAKWMGFPEPKYVGHCAFRGLAYYPGGQPFEPKLNQIYGRGQRAGFLPVSPTKVYWFVCFNRQSPGPKITDPSVLKKQATELVRDWPSDLVNIIDNTPDDLIIRTPLVDRWLWPNISPPVSAGSVVLVGDAWHPMTPNLGQGACCALEDAVVLARKLAGAIKSRPDTIGDALSSYGSERWPRIFPLTVRANLVGSLLQWENPVVCSVRNNVIFPKLVRLGPLLEHTNFDCEPLQAPVV >ONI08783 pep chromosome:Prunus_persica_NCBIv2:G5:16123499:16128332:1 gene:PRUPE_5G200500 transcript:ONI08783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRLSLVLILVSLPAILAQDVGNTKKAGNVKVVVNGILAIARVDNNFICATIDWWNHEKCDYNQCPWGSASALNLNLSHPLLAKSIQAFNQLRIRIGGSLEDQLLYDVGNLKYPCHPFRKKSHGLFGFSRGCLPMSRWDELNQFFSKTRPIVTFSLNALSGRHHKSGGVWVGDWDSSNAYDFINYTVLKGYQIDSWEFGNELSGRGIGASVGAEQYGKDLIKLKHIINQLYNKSRTKPALVAPGGFYDQGWFAKLLQVSGSGIVNVITQHMYNLGAGVDPKLVSRILNPGYLDLASGTFHDLEQTVKKNGPWASIWVGESGGAYNSGGRNVSDTFVNSFWYLDQLGMSAKYNTRVYCRQSLVGGNYGLLNRTSFVPNPDYYSALLWHRLMGQGVLAVNSNASADLRTYAHCARGRAGVTVVLINLSNDTDFIVNVENILDFNLGAHERNISKESYFKRSLKKTVSWVGRKALDKPVYREEYHLTPKNRNLRSKTMVLNGAPLEITKDGNIPELEPARVLANSPLTISPLSIKFVVLPYFDAQYACA >ONI08782 pep chromosome:Prunus_persica_NCBIv2:G5:16123499:16128335:1 gene:PRUPE_5G200500 transcript:ONI08782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRLSLVLILVSLPAILAQDVGNTKKAGNVKVVVNGILAIARVDNNFICATIDWWNHEKCDYNQCPWGSASALNLNLSHPLLAKSIQAFNQLRIRIGGSLEDQLLYDVGNLKYPCHPFRKKSHGLFGFSRGCLPMSRWDELNQFFSKTRPIVTFSLNALSGRHHKSGGVWVGDWDSSNAYDFINYTVLKGYQIDSWEFGNELSGRGIGASVGAEQYGKDLIKLKHIINQLYNKSRTKPALVAPGGFYDQGWFAKLLQVSGSGIVNVITQHMYNLGAGVDPKLVSRILNPGYLDLASGTFHDLEQTVKKNGPWASIWVGESGGAYNSGGRNVSDTFVNSFWYLDQLGMSAKYNTRVYCRQSLVGGNYGLLNRTSFVPNPDYYSALLWHRLMGQGVLAVNSNASADLRTYAHCARGRAGVTVVLINLSNDTDFIVNVENILDFNLGAHERNISKESYFKRSLKKTVSWVGRKALDKPVYREEYHLTPKNRNLRSKTMVLNGAPLEITKDGNIPELEPARVLANSPLTISPLSIKFVVLPYFDAQYACA >ONI06108 pep chromosome:Prunus_persica_NCBIv2:G5:4508458:4513642:-1 gene:PRUPE_5G041000 transcript:ONI06108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVSVGRDRLFAALGRTYTQEEFEEVCFSFGIELDDVTTEKAIIRKEKHLDDDAVDEDEEVIYKIEVPANRYDLLCLEGLAQALRVFSREEEVPTYKLANLSKGSMIKMHVKPETSSIRPHVVCAVLRGLTFTEASYNSFIDLQDKLHQNICRRRTLVAIGTHDLDALQAPFTYEALPPSSINFVPLKQVKNFRADELMEFYRSDLKLKKFLHIIENSPVFPVLYDNNRTVLSLPPIINGAHSAITLKTKNVFVECTATDLTKAKIVLNTIVTAFSAYCERKFEIEPVEVIYSDGKSYIYPDLSIYNMDVSLSYVNGTIGVSLEAEEVTDLLNRMQLHAKQSVSGDITVFVPPTRSDILHPCDVMEDVAIAYGYNNIPKRKPASLKPLALNELTDRIKLEIAMDGFTEVLTFILGSWRENFAMLNRKDDKSKAVVVGNPRSSDFELVRTSLMPGVLKTVGHNKDHPKPIKIFEVGDIALLDEKKDVGATNRRQLAALFCGATSGFELIHGLVDRVMESIGAAFVPIGDNSGYFIKPSDEPEFLPRRQASIIYKGKNIGTFGIVHPEVLNNFDIPDPCSFVELNIESLL >ONI07317 pep chromosome:Prunus_persica_NCBIv2:G5:11657684:11662638:-1 gene:PRUPE_5G112900 transcript:ONI07317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGFWTLFCSNECCSGFSAIINPDSCVNNILVIAADILLLLILLCIFISRRSSTNIIAQSQSHTFSTLSIISVTSNVGLALVYLGFGIWTIIEKVNADQTVLPLQGWLVLFFQGFTWLLLGLTISLKKPQPQHIARTKSCSILAFLIAIFLCTSSIWEAIVDEAVSVKIVLNICYFPGSILLLFSAFQGNNYAKGDPETHEDAFYTPLQGAASDMEDETSLNENVTPFEKAGLFSTMSFWWLNPLMKKGKQKLLENEDIPLLRQADRARTWYLIFMEQLNKRKEEGSSATSSILSIIFYCQRRAILISGLYALIKVLTTTSSPLFLMAFIKIAEGNEAFKYEGYALTLGLFLAKILESLSERQWYFKTRLIGLQVRSLVSAAIYQKQLRLSNSAKMAHSPGEIVNYVTVDAYRIGEFPYWFHQMWTSSLQLCLSLLIFYFSVGLATIAALTVLLLTVLASSPLAKLQHEYQTKFMVAQDRRLKAITEALSNMKILKLYSWETNFKNVIEELRTEEIKWISQLLTQKGYYIVMFWSSPILAAAVTFWTCYFLGFTLSASNVFPFLATLRIVQEPIRLIPDVFGAYVEAKVSLSRIVKFLDAPELENRHTRKESCDKEVEHSIFFSSSEISWDTNATKATLRNINLVVKPGEKVAICGEVGSGKSTLLAAILGEVPRINGIENIMFGSAMDRARYQETLEKCSLVKDLEILPFHDLTQIGERGVNLSGGQKQRIQLARALYQNADVYLLDDPFSAVDAHTATSLFNEYVMGALAEKTVLLVTHQVDFLPALNSILLMHSGKILRAAPYEELRASCQEFQNLVNTHDDTAYSEGQVDYASIGRHKSSNKEIEKVNTEVQLKESSRDQLIKLEVRETGDTGFKPYIQYLKHRKGFWHFSFLVFFFSVFVAGQLSQFYWLALKLQDYSLSRVKLLVVYSVIMCIMVFALLMRSFSVVDLGCGASTSIFSTLLNSLFRAPMLFYDSTPMGRILSRVSSDMNIIDLEVAFKLMIAVAGTLNTYSIFIALVFQTWPMVFLIIPTIYITVLLQKYYFASAKELMRMNGTTMSALASHLSESIGGAMTIRAFGEEDQFFSKYLDSIDINASADFNRFSASEWLIERLEWLCAIVLSASALAITLIQFDASSSGFIGMTLSYGLSLNVFLVISDQFQCMLENSMISVERAEQYMHISHEAPEVIEENRPADNWPTAGKMEIHDLKVRYRPNAPLVLRGINCIIEGGYKIGIVGRTGSGKTTLISVLFRLVEPTEGRIIVDDYDICKIGLHDLRSRFGIIPQDPTLFNGSVRFNLDPLSEHTDHEIWEVKFFLICDCCIRI >ONI07316 pep chromosome:Prunus_persica_NCBIv2:G5:11656769:11662638:-1 gene:PRUPE_5G112900 transcript:ONI07316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGFWTLFCSNECCSGFSAIINPDSCVNNILVIAADILLLLILLCIFISRRSSTNIIAQSQSHTFSTLSIISVTSNVGLALVYLGFGIWTIIEKVNADQTVLPLQGWLVLFFQGFTWLLLGLTISLKKPQPQHIARTKSCSILAFLIAIFLCTSSIWEAIVDEAVSVKIVLNICYFPGSILLLFSAFQGNNYAKGDPETHEDAFYTPLQGAASDMEDETSLNENVTPFEKAGLFSTMSFWWLNPLMKKGKQKLLENEDIPLLRQADRARTWYLIFMEQLNKRKEEGSSATSSILSIIFYCQRRAILISGLYALIKVLTTTSSPLFLMAFIKIAEGNEAFKYEGYALTLGLFLAKILESLSERQWYFKTRLIGLQVRSLVSAAIYQKQLRLSNSAKMAHSPGEIVNYVTVDAYRIGEFPYWFHQMWTSSLQLCLSLLIFYFSVGLATIAALTVLLLTVLASSPLAKLQHEYQTKFMVAQDRRLKAITEALSNMKILKLYSWETNFKNVIEELRTEEIKWISQLLTQKGYYIVMFWSSPILAAAVTFWTCYFLGFTLSASNVFPFLATLRIVQEPIRLIPDVFGAYVEAKVSLSRIVKFLDAPELENRHTRKESCDKEVEHSIFFSSSEISWDTNATKATLRNINLVVKPGEKVAICGEVGSGKSTLLAAILGEVPRINGIENIMFGSAMDRARYQETLEKCSLVKDLEILPFHDLTQIGERGVNLSGGQKQRIQLARALYQNADVYLLDDPFSAVDAHTATSLFNEYVMGALAEKTVLLVTHQVDFLPALNSILLMHSGKILRAAPYEELRASCQEFQNLVNTHDDTAYSEGQVDYASIGRHKSSNKEIEKVNTEVQLKESSRDQLIKLEVRETGDTGFKPYIQYLKHRKGFWHFSFLVFFFSVFVAGQLSQFYWLALKLQDYSLSRVKLLVVYSVIMCIMVFALLMRSFSVVDLGCGASTSIFSTLLNSLFRAPMLFYDSTPMGRILSRVSSDMNIIDLEVAFKLMIAVAGTLNTYSIFIALVFQTWPMVFLIIPTIYITVLLQKYYFASAKELMRMNGTTMSALASHLSESIGGAMTIRAFGEEDQFFSKYLDSIDINASADFNRFSASEWLIERLEWLCAIVLSASALAITLIQFDASSSGFIGMTLSYGLSLNVFLVISDQFQCMLENSMISVERAEQYMHISHEAPEVIEENRPADNWPTAGKMEIHDLKVRYRPNAPLVLRGINCIIEGGYKIGIVGRTGSGKTTLISVLFRLVEPTEGRIIVDDYDICKIGLHDLRSRFGIIPQDPTLFNGSVRFNLDPLSEHTDHEIWEVLEKCQLREAIQEKEGGLDSLGNKLSLGVFFSVCQTRTNNLDYSCTRWNKLEHGTATTILFGTRFVEEEPDTSAR >ONI05985 pep chromosome:Prunus_persica_NCBIv2:G5:3717301:3719489:-1 gene:PRUPE_5G032500 transcript:ONI05985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHTNPPGLVVSTTDPILAFLTSASTDPHLSQELQQIASNLSSKPNVPYKSLRALWLASNASTRPQLVQLFSGSEFVLTSPKPREKSEELKARLQKLAEILERNAYAELVKDITPKKETNEPFSSYKDQLGFGLHVVVTMFTGYLVGYAAFRALFNHSPVMNAAGGILGLVVSMLVETLIFIIRSSGQDLRSSSSTPKLKKNQ >ONI05982 pep chromosome:Prunus_persica_NCBIv2:G5:3716182:3720230:-1 gene:PRUPE_5G032500 transcript:ONI05982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHTNPPGLVVSTTDPILAFLTSASTDPHLSQELQQIASNLSSKPNVPYKSLRALWLASNASTRPQLVQLFSGSEFVLTSPKPREKSEELKARLQKLAEILERNAYAELVKDITPKKETNEPFSSYKDQLGFGLHVVVTMFTGYLVGYAAFRALFNHSPVMNAAGGILGLVVSMLVETLIFIIRSSGQDLRSSSSTPKLKKNQ >ONI05984 pep chromosome:Prunus_persica_NCBIv2:G5:3717301:3719489:-1 gene:PRUPE_5G032500 transcript:ONI05984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHTNPPGLVVSTTDPILAFLTSASTDPHLSQELQQIASNLSSKPNVPYKSLRALWLASNASTRPQLVQLFSGSEFVLTSPKPREKSEELKARLQKLAEILERNAYAELVKDITPKKETNEPFSSYKDQLGFGLHVVVTMFTGYLVGYAAFRALFNHSPVMNAAGGILGLVVSMLVETLIFIIRSSGQDLRSSSSTPKLKKNQ >ONI05979 pep chromosome:Prunus_persica_NCBIv2:G5:3716179:3720509:-1 gene:PRUPE_5G032500 transcript:ONI05979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHTNPPGLVVSTTDPILAFLTSASTDPHLSQELQQIASNLSSKPNVPYKSLRALWLASNASTRPQLVQLFSGSEFVLTSPKPREKSEELKARLQKLAEILERNAYAELVKDITPKKETNEPFSSYKDQLGFGLHVVVTMFTGYLVGYAAFRALFNHSPVMVHPCTHGAVSLICRKPD >ONI05981 pep chromosome:Prunus_persica_NCBIv2:G5:3717301:3719489:-1 gene:PRUPE_5G032500 transcript:ONI05981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHTNPPGLVVSTTDPILAFLTSASTDPHLSQELQQIASNLSSKPNVPYKSLRALWLASNASTRPQLVQLFSGSEFVLTSPKPREKSEELKARLQKLAEILERNAYAELVKDITPKKETNEPFSSYKDQLGFGLHVVVTMFTGYLVGYAAFRALFNHSPVMNAAGGILGLVVSMLVETLIFIIRSSGQDLRSSSSTPKLKKNQ >ONI05978 pep chromosome:Prunus_persica_NCBIv2:G5:3716178:3720509:-1 gene:PRUPE_5G032500 transcript:ONI05978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHTNPPGLVVSTTDPILAFLTSASTDPHLSQELQQIASNLSSKPNVPYKSLRALWLASNASTRPQLVQLFSGSEFVLTSPKPREKSEELKARLQKLAEILERNAYAELVKDITPKKETNEPFSSYKDQLGFGLHVVVTMFTGYLVGYAAFRALFNHSPVMVHPCTHGAVSLICRKPD >ONI05986 pep chromosome:Prunus_persica_NCBIv2:G5:3717301:3719489:-1 gene:PRUPE_5G032500 transcript:ONI05986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHTNPPGLVVSTTDPILAFLTSASTDPHLSQELQQIASNLSSKPNVPYKSLRALWLASNASTRPQLVQLFSGSEFVLTSPKPREKSEELKARLQKLAEILERNAYAELVKDITPKKETNEPFSSYKDQLGFGLHVVVTMFTGYLVGYAAFRALFNHSPVMNAAGGILGLVVSMLVETLIFIIRSSGQDLRSSSSTPKLKKNQ >ONI05980 pep chromosome:Prunus_persica_NCBIv2:G5:3717301:3719489:-1 gene:PRUPE_5G032500 transcript:ONI05980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHTNPPGLVVSTTDPILAFLTSASTDPHLSQELQQIASNLSSKPNVPYKSLRALWLASNASTRPQLVQLFSGSEFVLTSPKPREKSEELKARLQKLAEILERNAYAELVKDITPKKETNEPFSSYKDQLGFGLHVVVTMFTGYLVGYAAFRALFNHSPVMNAAGGILGLVVSMLVETLIFIIRSSGQDLRSSSSTPKLKKNQ >ONI05977 pep chromosome:Prunus_persica_NCBIv2:G5:3716179:3720507:-1 gene:PRUPE_5G032500 transcript:ONI05977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHTNPPGLVVSTTDPILAFLTSASTDPHLSQELQQIASNLSSKPNVPYKSLRALWLASNASTRPQLVQLFSGSEFVLTSPKPREKSEELKARLQKLAEILERNAYAELVKDITPKKETNEPFSSYKDQLGFGLHVVVTMFTGYLVGYAAFRALFNHSPVMVHPCTHGAVSLICRKPD >ONI05976 pep chromosome:Prunus_persica_NCBIv2:G5:3716176:3720230:-1 gene:PRUPE_5G032500 transcript:ONI05976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHTNPPGLVVSTTDPILAFLTSASTDPHLSQELQQIASNLSSKPNVPYKSLRALWLASNASTRPQLVQLFSGSEFVLTSPKPREKSEELKARLQKLAEILERNAYAELVKDITPKKETNEPFSSYKDQLGFGLHVVVTMFTGYLVGYAAFRALFNHSPVMVHPCTHGAVSLICRKPD >ONI05983 pep chromosome:Prunus_persica_NCBIv2:G5:3716182:3720230:-1 gene:PRUPE_5G032500 transcript:ONI05983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDHTNPPGLVVSTTDPILAFLTSASTDPHLSQELQQIASNLSSKPNVPYKSLRALWLASNASTRPQLVQLFSGSEFVLTSPKPREKSEELKARLQKLAEILERNAYAELVKDITPKKETNEPFSSYKDQLGFGLHVVVTMFTGYLVGYAAFRALFNHSPVMNAAGGILGLVVSMLVETLIFIIRSSGQDLRSSSSTPKLKKNQ >ONI09061 pep chromosome:Prunus_persica_NCBIv2:G5:16937130:16944084:-1 gene:PRUPE_5G215300 transcript:ONI09061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQDTSPSVLLFFDKQRFIFNVVERWSFVALDRVVWLVFSVLLTKGAQSEKRLALKPRQHRQNIEDDTWAYLRDGASGATVAEKAVSNPSSDVAMSRSLLSCPW >ONI06191 pep chromosome:Prunus_persica_NCBIv2:G5:4999527:5002313:1 gene:PRUPE_5G046500 transcript:ONI06191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSLILHRLTPFFAARIRQNQRLLSSSFYSSSAVEEASSSSSSSSPSLDAVVMTDTCVQRMKQLQASEAEGKMLRLSVETGGCSGFQYVFDLDDKTHPDDRSIHSIIEYLRKKE >ONI06190 pep chromosome:Prunus_persica_NCBIv2:G5:4999527:5002286:1 gene:PRUPE_5G046500 transcript:ONI06190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSLILHRLTPFFAARIRQNQRLLSSSFYSSSAVEEASSSSSSSSPSLDAVVMTDTCVQRMKQLQASEAEGKMLRLSVETGGCSGFQYVFDLDDKTHPDDRFLSPLHSLYFKFLTKCTFAYLGCLFNWRSIHSIIEYLRKKE >ONI06189 pep chromosome:Prunus_persica_NCBIv2:G5:4999446:5002398:1 gene:PRUPE_5G046500 transcript:ONI06189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSLILHRLTPFFAARIRQNQRLLSSSFYSSSAVEEASSSSSSSSPSLDAVVMTDTCVQRMKQLQASEAEGKMLRLSVETGGCSGFQYVFDLDDKTHPDDRVFEKEGVKLVVDNVSYDFVKGATVDYVEELIRSAFQVTTNPSAVGGCSCKSSFMVK >ONI06188 pep chromosome:Prunus_persica_NCBIv2:G5:4999527:5002392:1 gene:PRUPE_5G046500 transcript:ONI06188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSLILHRLTPFFAARIRQNQRLLSSSFYSSSAVEEASSSSSSSSPSLDAVVMTDTCVQRMKQLQASEAEGKMLRLSVETGGCSGFQYVFDLDDKTHPDDRVFEKEGVKLVVDNVSYDFVKGATVDYVEELIRSAFQVTTNPSAVGGCSCKSSFMVK >ONI05337 pep chromosome:Prunus_persica_NCBIv2:G5:330808:341537:-1 gene:PRUPE_5G002000 transcript:ONI05337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPALTVLHLSRLARHFPFSSSPLLTPLLFPCARLLPKPRDLPFRRSPFFPCNLSRRFSVAASTSLGLSDDGILDERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKISDRCYLQKRVLNASRGPAVRALRAQTDKREYAMEMRKIVESTPNLSIREAMVTDILLGKNDNVEGVQTFFGMNFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASLGLTENLQRLGFETDRLKTGTPARVDCRTVDFSGLEPQHGDEEVSWFSFDLDFHIEREQMCCYLTRTTKVTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRDVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIVLERESSFVGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGHEIGLIDDRRWKLYQDKQARISEEKKRLKSVRISVVNKSSPIP >ONI05333 pep chromosome:Prunus_persica_NCBIv2:G5:328215:340274:-1 gene:PRUPE_5G002000 transcript:ONI05333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPALTVLHLSRLARHFPFSSSPLLTPLLFPCARLLPKPRDLPFRRSPFFPCNLSRRFSVAASTSLGLSDDGILDERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKISDRCYLQKRVLNASRGPAVRALRAQTDKREYAMEMRKIVESTPNLSIREAMVTDILLGKNDNVEGVQTFFGMNFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASLGLTENLQRLGFETDRLKTGTPARVDCRTVDFSGLEPQHGDEEVSWFSFDLDFHIEREQMCCYLTRTTKVTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRDVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIVLERESSFVGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGHEIGLIDDRRWKLYQDKQARISEEKKRLKSVRISGGKLAADVTRLSSQPVKDFTTLESLLKKPHIEYKVLDKHGFGSKLLSRIEKECVEIDIKYEGFISRQQIQLQQMVHQQHRRLPEDLDYYAITTLSLEAREKLAKVRPQTIGQASRVGGVNPADITALLIILESDRRRAQEQKKLQLLNSIKTDTDPHVPEEVPLTGTLSS >ONI05335 pep chromosome:Prunus_persica_NCBIv2:G5:327876:341537:-1 gene:PRUPE_5G002000 transcript:ONI05335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRKIVESTPNLSIREAMVTDILLGKNDNVEGVQTFFGMNFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASLGLTENLQRLGFETDRLKTGTPARVDCRTVDFSGLEPQHGDEEVSWFSFDLDFHIEREQMCCYLTRTTKVTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRDVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIVLERESSFVGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGHEIGLIDDRRWKLYQDKQARISEEKKRLKSVRISGGKLAADVTRLSSQPVKDFTTLESLLKKPHIEYKVLDKHGFGSKLLSRIEKECVEIDIKYEGFISRQQIQLQQMVHQQHRRLPEDLDYYAITTLSLEAREKLAKVRPQTIGQASRVGGVNPADITALLIILESDRRRAQEQKKLQLLNSIKTDTDPHVPEEVPLTGTLSS >ONI05336 pep chromosome:Prunus_persica_NCBIv2:G5:327876:341537:-1 gene:PRUPE_5G002000 transcript:ONI05336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPALTVLHLSRLARHFPFSSSPLLTPLLFPCARLLPKPRDLPFRRSPFFPCNLSRRFSVAASTSLGLSDDGILDERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKISDRCYLQKRVLNASRGPAVRALRAQTDKREYAMEMRKIVESTPNLSIREAMVTDILLGKNDNVEGVQTFFGMNFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASLGLTENLQRLGFETDRLKTGTPARVDCRTVDFSGLEPQHGDEEVSWFSFDLDFHIEREQMCCYLTRTTKVTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRDVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIVLERESSFVGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGHEIGLIDDRRWKLYQDKQARISEEKKRLKSVRISDGPSTA >ONI05334 pep chromosome:Prunus_persica_NCBIv2:G5:327876:341537:-1 gene:PRUPE_5G002000 transcript:ONI05334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRKIVESTPNLSIREAMVTDILLGKNDNVEGVQTFFGMNFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASLGLTENLQRLGFETDRLKTGTPARVDCRTVDFSGLEPQHGDEEVSWFSFDLDFHIEREQMCCYLTRTTKVTHQLIKDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRDVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKSLIVLERESSFVGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGHEIGLIDDRRWKLYQDKQARISEEKKRLKSVRISGGKLAADVTRLSSQPVKDFTTLESLLKKPHIEYKVLDKHGFGSKLLSRIEKECVEIDIKYEGFISRQQIQLQQMVHQQHRRLPEDLDYYAITTLSLEAREKLAKVRPQTIGQASRVGGVNPADITALLIILESDRRRAQEQKKLQLLNSIKTDTDPHVPEEVPLTGTLSS >ONI08095 pep chromosome:Prunus_persica_NCBIv2:G5:13989369:13995085:-1 gene:PRUPE_5G157600 transcript:ONI08095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGVANEVANTHDL >ONI08084 pep chromosome:Prunus_persica_NCBIv2:G5:13990414:13993638:-1 gene:PRUPE_5G157600 transcript:ONI08084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVACVECTQKCLLDHGNKKKASPDVASFFKVMIGDRFSKLLFLPPKFAPTVSALVNQKAVLEDSLGLQWEVIISRINGSLSLKQGWNAFSLDHDLKIGEFLIFNYITSSHFIVKIYDKSGCEKLNFPEAINQKKRTRDNRKYTFKAGPSHTIDRSSMNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGESVKVVNPVCSKISNMIRTGNDCAVPVVKSEPVDPVITWPISSSFSCQELPMRLPIRMTYRGRPQTDRRVVYLRDPVMRLWPVLYIERNYFKTLASGWEAFSKANNIQPGDECVIGIENGVEGICSVQIISK >ONI08085 pep chromosome:Prunus_persica_NCBIv2:G5:13990414:13993638:-1 gene:PRUPE_5G157600 transcript:ONI08085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVACVECTQKCLLDHGNKKKASPDVASFFKVMIGDRFSKLLFLPPKFAPTVSALVNQKAVLEDSLGLQWEVIISRINGSLSLKQGWNAFSLDHDLKIGEFLIFNYITSSHFIVKIYDKSGCEKLNFPEAINQKKRTRDNRKYTFKAGPSHTIDRSSMNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGESVKVVNPVCSKISNMIRTGNDCAVPVVKSEPVDPVITWPISSSFSCQELPMRLPIRMTYRGRPQTDRRVVYLRDPVMRLWPVLYIERNYFKTLASGWEAFSKANNIQPGDECVIGIENGVEGICSVQIISK >ONI08091 pep chromosome:Prunus_persica_NCBIv2:G5:13989368:13995086:-1 gene:PRUPE_5G157600 transcript:ONI08091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGESVKVVNPVCSKISNMIRTGNDCAVPVVKSEPVDPVITWPISSSFSCQVKAGSQSFLELPMRLPIRMTYRGRPQTDRRVVYLRDPVMRLWPVLYIERNYFKTLASGWEAFSKANNIQPGDECVIGIENGVEGICSVQIISK >ONI08089 pep chromosome:Prunus_persica_NCBIv2:G5:13989375:13995044:-1 gene:PRUPE_5G157600 transcript:ONI08089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGNDCAVPVVKSEPVDPVITWPISSSFSCQELPMRLPIRMTYRGRPQTDRRVVYLRDPVMRLWPVLYIERNYFKTLASGWEAFSKANNIQPGDECVIGIENGVEGICSVQIISK >ONI08082 pep chromosome:Prunus_persica_NCBIv2:G5:13990414:13993638:-1 gene:PRUPE_5G157600 transcript:ONI08082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVACVECTQKCLLDHGNKKKASPDVASFFKVMIGDRFSKLLFLPPKFAPTVSALVNQKAVLEDSLGLQWEVIISRINGSLSLKQGWNAFSLDHDLKIGEFLIFNYITSSHFIVKIYDKSGCEKLNFPEAINQKKRTRDNRKYTFKAGPSHTIDRSSMNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGNDCAVPVVKSEPVDPVITWPISSSFSCQELPMRLPIRMTYRGRPQTDRRVVYLRDPVMRLWPVLYIERNYFKTLASGWEAFSKANNIQPGDECVIGIENGVEGICSVQIISK >ONI08086 pep chromosome:Prunus_persica_NCBIv2:G5:13990414:13993638:-1 gene:PRUPE_5G157600 transcript:ONI08086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVACVECTQKCLLDHGNKKKASPDVASFFKVMIGDRFSKLLFLPPKFAPTVSALVNQKAVLEDSLGLQWEVIISRINGSLSLKQGWNAFSLDHDLKIGEFLIFNYITSSHFIVKIYDKSGCEKLNFPEAINQKKRTRDNRKYTFKAGPSHTIDRSSMNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGESVKVVNPVCSKISNMIRTGNDCAVPVVKSEPVDPVITWPISSSFSCQELPMRLPIRMTYRGRPQTDRRVVYLRDPVMRLWPVLYIERNYFKTLASGWEAFSKANNIQPGDECVIGIENGVEGICSVQIISK >ONI08088 pep chromosome:Prunus_persica_NCBIv2:G5:13990414:13993638:-1 gene:PRUPE_5G157600 transcript:ONI08088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVACVECTQKCLLDHGNKKKASPDVASFFKVMIGDRFSKLLFLPPKFAPTVSALVNQKAVLEDSLGLQWEVIISRINGSLSLKQGWNAFSLDHDLKIGEFLIFNYITSSHFIVKIYDKSGCEKLNFPEAINQKKRTRDNRKYTFKAGPSHTIDRSSMNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGESVKVVNPVCSKISNMIRTGNDCAVPVVKSEPVDPVITWPISSSFSCQVKAGSQSFLELPMRLPIRMTYRGRPQTDRRVVYLRDPVMRLWPVLYIERNYFKTLASGWEAFSKANNIQPGDECVIGIENGVEGICSVQIISK >ONI08083 pep chromosome:Prunus_persica_NCBIv2:G5:13990414:13993638:-1 gene:PRUPE_5G157600 transcript:ONI08083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVACVECTQKCLLDHGNKKKASPDVASFFKVMIGDRFSKLLFLPPKFAPTVSALVNQKAVLEDSLGLQWEVIISRINGSLSLKQGWNAFSLDHDLKIGEFLIFNYITSSHFIVKIYDKSGCEKLNFPEAINQKKRTRDNRKYTFKAGPSHTIDRSSMNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGNDCAVPVVKSEPVDPVITWPISSSFSCQELPMRLPIRMTYRGRPQTDRRVVYLRDPVMRLWPVLYIERNYFKTLASGWEAFSKANNIQPGDECVIGIENGVEGICSVQIISK >ONI08094 pep chromosome:Prunus_persica_NCBIv2:G5:13989375:13995044:-1 gene:PRUPE_5G157600 transcript:ONI08094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVACVECTQKCLLDHGNKKKASPDVASFFKVMIGDRFSKLLFLPPKFAPTVSALVNQKAVLEDSLGLQWEVIISRINGSLSLKQGWNAFSLDHDLKIGEFLIFNYITSSHFIVKIYDKSGCEKLNFPEAINQKKRTRDNRKYTFKAGPSHTIDRSSMNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGVANEVANTHDL >ONI08092 pep chromosome:Prunus_persica_NCBIv2:G5:13989369:13995085:-1 gene:PRUPE_5G157600 transcript:ONI08092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVACVECTQKCLLDHGNKKKASPDVASFFKVMIGDRFSKLLFLPPKFAPTVSALVNQKAVLEDSLGLQWEVIISRINGSLSLKQGWNAFSLDHDLKIGEFLIFNYITSSHFIVKIYDKSGCEKLNFPEAINQKKRTRDNRKYTFKAGPSHTIDRSSMNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGVANEVANTHDL >ONI08087 pep chromosome:Prunus_persica_NCBIv2:G5:13990414:13993638:-1 gene:PRUPE_5G157600 transcript:ONI08087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVACVECTQKCLLDHGNKKKASPDVASFFKVMIGDRFSKLLFLPPKFAPTVSALVNQKAVLEDSLGLQWEVIISRINGSLSLKQGWNAFSLDHDLKIGEFLIFNYITSSHFIVKIYDKSGCEKLNFPEAINQKKRTRDNRKYTFKAGPSHTIDRSSMNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGESVKVVNPVCSKISNMIRTGNDCAVPVVKSEPVDPVITWPISSSFSCQVKAGSQSFLELPMRLPIRMTYRGRPQTDRRVVYLRDPVMRLWPVLYIERNYFKTLASGWEAFSKANNIQPGDECVIGIENGVEGICSVQIISK >ONI08090 pep chromosome:Prunus_persica_NCBIv2:G5:13990414:13992751:-1 gene:PRUPE_5G157600 transcript:ONI08090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGESVKVVNPVCSKISNMIRTGNDCAVPVVKSEPVDPVITWPISSSFSCQELPMRLPIRMTYRGRPQTDRRVVYLRDPVMRLWPVLYIERNYFKTLASGWEAFSKANNIQPGDECVIGIENGVEGICSVQIISK >ONI08093 pep chromosome:Prunus_persica_NCBIv2:G5:13989375:13994634:-1 gene:PRUPE_5G157600 transcript:ONI08093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVACVECTQKCLLDHGNKKKASPDVASFFKVMIGDRFSKLLFLPPKFAPTVSALVNQKAVLEDSLGLQWEVIISRINGSLSLKQGWNAFSLDHDLKIGEFLIFNYITSSHFIVKIYDKSGCEKLNFPEAINQKKRTRDNRKYTFKAGPSHTIDRSSMNKRDSRTSVVHGSDADIGHHLHEMNDTGKDLIVTESARDNSNERSKLNPKEAYIEEMICMLDRDVGDKQEEDRTYIFDLSDFEMLKKNSGTGGSHEVTAMDETCTDHDDSLLRLRNGAGPVDKNPVAKGAVTTAIPMDETCSDHDDSLLRLINEAGSVDKSPVAKEAVTAAIPSDASKFDGSQINNSSETKVQNTASPSHEGLCICKTSWHLFPKAALKPEENENISNISNTAINKCLTADGSESLSGNEVVISGKEHDFIDDSCQSANKKISEISESFDKTMKAIKIESVELTSDPCSQKIIPQINGVANEVANTHDL >ONI08144 pep chromosome:Prunus_persica_NCBIv2:G5:14099669:14104307:-1 gene:PRUPE_5G159400 transcript:ONI08144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFFRPVEKDGSAKKPTLSSSSENGEISGEGSKKEPLKFITWNANSFLLRVKKNWPEFTKFITSFDPDVIAIQEVRMPAAGSKGAPKNPGELKDDTSSSREEKQILMRALSSPPFGDYRVWWSLADSKYAGTALFVKKCFQPQKVFFNLDRKASKHEPDGRVILAEFETFNLLNTYAPNNGWKEEENSFPRRRKWDKRILEFVLQSSDKPLIWCGDLNVSHEEIDVSHPDFFSAAKLNGYVPPDKEDCGQPGFTLAERKRFGNILKEGKLIDAYRFLHKEKDMERGFSWSGNPIGKYRGKRMRIDYFIAAEKLKDRIVACEMHGLGIELQGFYGSDHCPVSLVLSEGRANSKETELSA >ONI07372 pep chromosome:Prunus_persica_NCBIv2:G5:11819919:11823647:1 gene:PRUPE_5G115700 transcript:ONI07372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNWNLSFNHKHKHLVVKFVVAILLMGIALRLFFSTSTGYFSPRIEETPFPEKTVAAEQPISVQVPPDEPISVKVPQDEPIPVRFPQDEDQDQIPLPRKETPFPEKTVVSESPISAEVPENGQDQIPPLKGECDLFTGDWVPNTSGPAYNESCPIIENPQNCIRNGRPDTGYLYWRWNPRDCEIPKFDPERFLEMMRNKAWALIGDSISRNHVQSLLCMLSTVERAVQVYHDKDYRSRRWHFPSYNFSVSVIWSPFLAKAAIFEDYNGVATSEVELHLDKLDRTWVDQYHSWEYMVISTGKWFLKTAIYYENNEVLGCHYCPKRNLTELGFDFSYRKVLSFVMDYLVTSDYKGMIFFRTSTPDHFENGEWFNGGNCKRTAPVKEGEVELKEVHKILRRIELEVFEKAAAEASKSGVNLKLLDLVPLSLMRPDGHPGPYRHYHPFDHDKNAKVQTDCLHWCLPGPIDSWNDILMEMVVNG >ONI07370 pep chromosome:Prunus_persica_NCBIv2:G5:11819919:11823647:1 gene:PRUPE_5G115700 transcript:ONI07370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNWNLSFNHKHKHLVVKFVVAILLMGIALRLFFSTSTGYFSPRIEETPFPEKTVAAEQPISVQVPPDEPISVKVPQDEPIPVRFPQDEDQDQIPLPRKETPFPEKTVVSESPISAEVPENGQDQIPPLKGECDLFTGDWVPNTSGPAYNESCPIIENPQNCIRNGRPDTGYLYWRWNPRDCEIPKFDPERFLEMMRNKAWALIGDSISRNHVQSLLCMLSTVERAVQVYHDKDYRSRRWHFPSYNFSVSVIWSPFLAKAAIFEDYNGVATSEVELHLDKLDRTWVDQYHSWEYMVISTGKWFLKTAIYYENNEVLGCHYCPKRNLTELGFDFSYRKVLSFVMDYLVTSDYKGMIFFRTSTPDHFENGEWFNGGNCKRTAPVKEGEVELKEVHKILRRIELEVFEKAAAEASKSGVNLKLLDLVPLSLMRPDGHPGPYRHYHPFDHDKNAKVQTDCLHWCLPGPIDSWNDILMEMVVNG >ONI07371 pep chromosome:Prunus_persica_NCBIv2:G5:11819919:11823649:1 gene:PRUPE_5G115700 transcript:ONI07371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNWNLSFNHKHKHLVVKFVVAILLMGIALRLFFSTSTGYFSPRIEETPFPEKTVAAEQPISVQVPPDEPISVKVPQDEPIPVRFPQDEDQDQIPLPRKETPFPEKTVVSESPISAEVPENGQDQIPPLKGECDLFTGDWVPNTSGPAYNESCPIIENPQNCIRNGRPDTGYLYWRWNPRDCEIPKFDPERFLEMMRNKAWALIGDSISRNHVQSLLCMLSTVERAVQVYHDKDYRSRRWHFPSYNFSVSVIWSPFLAKAAIFEDYNGVATSEVELHLDKLDRTWVDQYHSWEYMVISTGKWFLKTAIYYENNEVLGCHYCPKRNLTELGFDFSYRKVLSFVMDYLVTSDYKGMIFFRTSTPDHFENGEWFNGGNCKRTAPVKEGEVELKEVHKILRRIELEVFEKAAAEASKSGVNLKLLDLVPLSLMRPDGHPGPYRHYHPFDHDKNAKVQTDCLHWCLPGPIDSWNDILMEMVVNG >ONI05390 pep chromosome:Prunus_persica_NCBIv2:G5:618202:619324:1 gene:PRUPE_5G005100 transcript:ONI05390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITFSDLYTEAGLKSLDDFLAGKSYISGDQLTLDDIKVYAAVLGKPDSFANVSKWYDCVSSQLAANFPGKAVGVRIGSGKAAAPAAPAAAGGDDDDDLDLFGDETEEDKKAEAEREAAKKASTKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVEMEGLFWGASKLVAVGYGIKKLQIMLTIVDDLVSVDDLIEEQLTAEPRNEYIQSCDIVAFNKI >ONI05822 pep chromosome:Prunus_persica_NCBIv2:G5:2880192:2891435:-1 gene:PRUPE_5G025700 transcript:ONI05822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERLEEDWDTDFLEQLIQVEEQALSSQHPKPPKPPPQPPHLLPSSSHSNPIRAITYSPPRELTQRSSSNGISHSAPPPPPPSSSSSCPELEKELEIARLKRELGHVSEKLLHLEKESSELRKENDKKDKQLRLVTSMNEKKDAAARNSESTNLSLGSRKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNCPKILVKFCSYYKDGKGAGAMDGDVGDASAYQKYSMILQGLADCITCCGNGLQELKLRRNSILLLAFLSSSEKSGFEILVAYKLYQDANFLMLILQVLISEVDIEVAVNADHAQVFKERTLLMREALILLNRLVSNPTYSATVLRLLTKSRDMASLTIDVANRLSRKDQICDKFDGTARQMRESEIVDLARVFKKRVFTYLGDNLS >ONI05829 pep chromosome:Prunus_persica_NCBIv2:G5:2883688:2891293:-1 gene:PRUPE_5G025700 transcript:ONI05829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERLEEDWDTDFLEQLIQVEEQALSSQHPKPPKPPPQPPHLLPSSSHSNPIRAITYSPPRELTQRSSSNGISHSAPPPPPPSSSSSCPELEKELEIARLKRELGHVSEKLLHLEKESSELRKENDKKDKQLRLVTSMNEKKDAAARNSESTNLKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNS >ONI05820 pep chromosome:Prunus_persica_NCBIv2:G5:2880192:2891434:-1 gene:PRUPE_5G025700 transcript:ONI05820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERLEEDWDTDFLEQLIQVEEQALSSQHPKPPKPPPQPPHLLPSSSHSNPIRAITYSPPRELTQRSSSNGISHSAPPPPPPSSSSSCPELEKELEIARLKRELGHVSEKLLHLEKESSELRKENDKKDKQLRLVTSMNEKKDAAARNSESTNLKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNCPKILVKFCSYYKDGKGAGAMDGDVGDASAYQKYSMILQGLADCITCCGNGLQELKLRRNSILLLAFLSSSEKSGFEILVAYKLYQDANFLMLILQVLISEVDIEVAVNADHAQVFKERTLLMREALILLNRLVSNPTYSATVLRLLTKSRDMASLTIDVANRLSRKDQICDKFDGTARQMRESEIVDLARVFKKRVFTYLGDNLS >ONI05821 pep chromosome:Prunus_persica_NCBIv2:G5:2880192:2891452:-1 gene:PRUPE_5G025700 transcript:ONI05821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERLEEDWDTDFLEQLIQVEEQALSSQHPKPPKPPPQPPHLLPSSSHSNPIRAITYSPPRELTQRSSSNGISHSAPPPPPPSSSSSCPELEKELEIARLKRELGHVSEKLLHLEKESSELRKENDKKDKQLRLVTSMNEKKDAAARNSESTNFRKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNCPKILVKFCSYYKDGKGAGAMDGDVGDASAYQKYSMILQGLADCITCCGNGLQELKLRRNSILLLAFLSSSEKSGFEILVAYKLYQDANFLMLILQVLISEVDIEVAVNADHAQVFKERTLLMREALILLNRLVSNPTYSATVLRLLTKSRDMASLTIDVANRLSRKDQICDKFDGTARQMRESEIVDLARVFKKRVFTYLGDNLS >ONI05826 pep chromosome:Prunus_persica_NCBIv2:G5:2880192:2889837:-1 gene:PRUPE_5G025700 transcript:ONI05826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKKDAAARNSESTNLSLGSRKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNCPKILVKFCSYYKDGKGAGAMDGDVGDASAYQKYSMILQGLADCITCCGNGLQELKLRRNSILLLAFLSSSEKSGFEILVAYKLYQDANFLMLILQVLISEVDIEVAVNADHAQVFKERTLLMREALILLNRLVSNPTYSATVLRLLTKSRDMASLTIDVANRLSRKDQICDKFDGTARQMRESEIVDLARVFKKRVFTYLGDNLS >ONI05825 pep chromosome:Prunus_persica_NCBIv2:G5:2880192:2891361:-1 gene:PRUPE_5G025700 transcript:ONI05825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERLEEDWDTDFLEQLIQVEEQALSSQHPKPPKPPPQPPHLLPSSSHSNPIRAITYSPPRELTQRSSSNGISHSAPPPPPPSSSSSCPELEKELEIARLKRELGHVSEKLLHLEKESSELRKENDKKDKQLRLVTSMNEKKDAAARNSESTNLSLGSRKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNCPKILVKFCSYYKDGKGAGAMDGDVGDASAYQKYSMILQGLADCITCCGNGLQELKLRRNSILLLAFLSSSEKSGFEILVAYKLYQDANFLMLILQVLISEVDIEVAVNADHAQVFKERLLSASCKEYMSCVSVETLLMREALILLNRLVSNPTYSATVLRLLTKSRDMASLTIDVANRLSRKDQICDKFDGTARQMRESEIVDLARVFKKRVFTYLGDNLS >ONI05824 pep chromosome:Prunus_persica_NCBIv2:G5:2880189:2891452:-1 gene:PRUPE_5G025700 transcript:ONI05824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERLEEDWDTDFLEQLIQVEEQALSSQHPKPPKPPPQPPHLLPSSSHSNPIRAITYSPPRELTQRSSSNGISHSAPPPPPPSSSSSCPELEKELEIARLKRELGHVSEKLLHLEKESSELRKENDKKDKQLRLVTSMNEKKDAAARNSESTNLSLGSRKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNCPKILVKFCSYYKDGKGAGAMDGDVGDASAYQKYSMILQGLADCITCCGNGLQELKLRRNSILLLAFLSSSEKSGFEILVAYKLYQDANFLMLILQVLISEVDIEVAVNADHAQVFKERTLLMREALILLNRLVSNPTYSATVLRLLTKSRDMASLTIDVANRLSRKDQICDKFDGTARQMRESEIVDLARVFKKRVFTYLGDNLS >ONI05830 pep chromosome:Prunus_persica_NCBIv2:G5:2883624:2891404:-1 gene:PRUPE_5G025700 transcript:ONI05830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERLEEDWDTDFLEQLIQVEEQALSSQHPKPPKPPPQPPHLLPSSSHSNPIRAITYSPPRELTQRSSSNGISHSAPPPPPPSSSSSCPELEKELEIARLKRELGHVSEKLLHLEKESSELRKENDKKDKQLRLVTSMNEKKDAAARNSESTNFRKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNS >ONI05832 pep chromosome:Prunus_persica_NCBIv2:G5:2883688:2889746:-1 gene:PRUPE_5G025700 transcript:ONI05832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKKDAAARNSESTNLSLGSRKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNS >ONI05831 pep chromosome:Prunus_persica_NCBIv2:G5:2883688:2891293:-1 gene:PRUPE_5G025700 transcript:ONI05831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERLEEDWDTDFLEQLIQVEEQALSSQHPKPPKPPPQPPHLLPSSSHSNPIRAITYSPPRELTQRSSSNGISHSAPPPPPPSSSSSCPELEKELEIARLKRELGHVSEKLLHLEKESSELRKENDKKDKQLRLVTSMNEKKDAAARNSESTNLSLGSRKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNS >ONI05827 pep chromosome:Prunus_persica_NCBIv2:G5:2880192:2891429:-1 gene:PRUPE_5G025700 transcript:ONI05827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKKDAAARNSESTNLSLGSRKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNCPKILVKFCSYYKDGKGAGAMDGDVGDASAYQKYSMILQGLADCITCCGNGLQELKLRRNSILLLAFLSSSEKSGFEILVAYKLYQDANFLMLILQVLISEVDIEVAVNADHAQVFKERTLLMREALILLNRLVSNPTYSATVLRLLTKSRDMASLTIDVANRLSRKDQICDKFDGTARQMRESEIVDLARVFKKRVFTYLGDNLS >ONI05823 pep chromosome:Prunus_persica_NCBIv2:G5:2880192:2891434:-1 gene:PRUPE_5G025700 transcript:ONI05823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERLEEDWDTDFLEQLIQVEEQALSSQHPKPPKPPPQPPHLLPSSSHSNPIRAITYSPPRELTQRSSSNGISHSAPPPPPPSSSSSCPELEKELEIARLKRELGHVSEKLLHLEKESSELRKENDKKDKQLRLVTSMNEKKDAAARNSESTNLSLGSRKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENCTLLRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNCPKILVKFCSYYKDGKGAGAMDGDVGDASAYQKYSMILQGLADCITCCGNGLQELKLRRNSILLLAFLSSSEKSGFEILVAYKLYQDANFLMLILQVLISEVDIEVAVNADHAQVFKERTLLMREALILLNRLVSNPTYSATVLRLLTKSRDMASLTIDVANRLSRKDQICDKFDGTARQMRESEIVDLARVFKKRVFTYLGDNLS >ONI05828 pep chromosome:Prunus_persica_NCBIv2:G5:2880885:2891413:-1 gene:PRUPE_5G025700 transcript:ONI05828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERLEEDWDTDFLEQLIQVEEQALSSQHPKPPKPPPQPPHLLPSSSHSNPIRAITYSPPRELTQRSSSNGISHSAPPPPPPSSSSSCPELEKELEIARLKRELGHVSEKLLHLEKESSELRKENDKKDKQLRLVTSMNEKKDAAARNSESTNLSLGSRKSGDVLVNHEVSRQFQSAMCSSDQPGSRINTDVRTSKATGVQTDEIGAVPQALSHDDLRTYDDLSKKLLAIWGSPNEQELGRNLICNLLMDCQTDFHFLFGCIGMKMPSKLTMDKLGDDSSSIAASKYYSQMSHTHTPEAEMVSHLYSVLTKAKSGMVKLEDLFQPLVNLCGLENVIIVQASLHILHVYLKHLISLERKFEGRVNVMIEGLHCRNKIVNPHGSEGANKGALFCVNMDQMSYACSLGMKFSYPETQSKNGIWNNGIATLFSHIDWVSLFEVILQTAMKNSKPGVRLEAVSIMNMIIMRSNPFIEREKFGQTLVFEILSHLLTKAAGFEVRIQAVQLLYMLLNCPKILVKFCSYYKDGKGAGAMDGDVGDASAYQKYSMILQGLADCITCCGNGLQELKLRRNSILLLAFLSSSEKSGFEILVAYKLYQDANFLMLILQVLISEVDIEVAVNADHAQVFKESTL >ONI07594 pep chromosome:Prunus_persica_NCBIv2:G5:12525706:12530917:-1 gene:PRUPE_5G129300 transcript:ONI07594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGGGADGWKWIVFAEASTGGGGGGGRSGSLIGASVGGGSGFWSWTAPPNVGLAVAVTAMAGIALAATVVYSRRGSLKSPWSRRRKKHALLPKQWKSFFTPDGKLTDGGLKFLKKVRSGGVDPRIRAEVWPFLLGVYNVNSSMEERESVKNEKRKEYENLRKQCRRILTNSDKSFKLNEASGNSSTEGSGDFSQVLDSSGQGDVASARESISTEGGNQLAKDPDKPVDHQGVQSSKSCEGDDEKSGVTCEDACAGGETESTDSDSSEDADITRPLLATEVTGENYVDDSAKESSSPSIIENKSKSHTAEDFATWQRIIRLDAVRANDEWIIYSPSQAAVSEIKAQRLAESVGLKDYDHLEPCRMFHASRLVAILEAYAVYDPEIGYCQGMSDLLTPIISVMEEDHEAFWCFVGFMKKARHNFRLDEAGIRRQLGLVSKIIKCKDVPLYRHLEKLQAEDCFFVYRMVVVLFRRELSFEDTLCLWEVMWADQAAIRAGIAKSAGGRMRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMEEIMRECNSMAGRLDVWKLLDDAHDLVVNLHDKI >ONI07597 pep chromosome:Prunus_persica_NCBIv2:G5:12526778:12530112:-1 gene:PRUPE_5G129300 transcript:ONI07597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHQKPLMRALRRSHTSSNSSPPSSNSTSSPSSSSWIHLRSILLVVASSSSSPVSTDRGSLKSPWSRRRKKHALLPKQWKSFFTPDGKLTDGGLKFLKKVRSGGVDPRIRAEVWPFLLGVYNVNSSMEERESVKNEKRKEYENLRKQCRRILTNSDKSFKLNEASGNSSTEGSGDFSQVLDSSGQGDVASARESISTEGGNQLAKDPDKPVDHQGVQSSKSCEGDDEKSGVTCEDACAGGETESTDSDSSEDADITRPLLATEVTGENYVDDSAKESSSPSIIENKSKSHTAEDFATWQRIIRLDAVRANDEWIIYSPSQAAVSEIKAQRLAESVGLKDYDHLEPCRMFHASRLVAILEAYAVYDPEIGYCQGMSDLLTPIISVMEEDHEAFWCFVGFMKKARHNFRLDEAGIRRQLGLVSKIIKCKDVPLYRHLEKLQAEDCFFVYRMVVVLFRRELSFEDTLCLWEVMWADQAAIRAGIAKSAGGRMRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMEEIMRECNSMAGRLDVWKLLDDAHDLVVNLHDKI >ONI07596 pep chromosome:Prunus_persica_NCBIv2:G5:12526778:12530112:-1 gene:PRUPE_5G129300 transcript:ONI07596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHQKPLMRALRRSHTSSNSSPPSSNSTSSPSSSSWIHLRSILLVVASSSSSPVSTDRGSLKSPWSRRRKKHALLPKQWKSFFTPDGKLTDGGLKFLKKVRSGGVDPRIRAEVWPFLLGVYNVNSSMEERESVKNEKRKEYENLRKQCRRILTNSDKSFKLNEASGNSSTEGSGDFSQVLDSSGQGDVASARESISTEGGNQLAKDPDKPVDHQGVQSSKSCEGDDEKSGVTCEDACAGGETESTDSDSSEDADITRPLLATEVTGENYVDDSAKESSSPSIIENKSKSHTAEDFATWQRIIRLDAVRANDEWIIYSPSQAAVSEIKAQRLAESVGLKDYDHLEPCRMFHASRLVAILEAYAVYDPEIGYCQGMSDLLTPIISVMEEDHEAFWCFVGFMKKARHNFRLDEAGIRRQLGLVSKIIKCKDVPLYRHLEKLQAEDCFFVYRMVVVLFRRELSFEDTLCLWEVMWADQAAIRAGIAKSAGGRMRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMEEIMRECNSMAGRLDVWKLLDDAHDLVVNLHDKI >ONI07595 pep chromosome:Prunus_persica_NCBIv2:G5:12526248:12530917:-1 gene:PRUPE_5G129300 transcript:ONI07595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGGGADGWKWIVFAEASTGGGGGGGRSGSLIGASVGGGSGFWSWTAPPNVGLAVAVTAMAGIALAATVVYSRRGSLKSPWSRRRKKHALLPKQWKSFFTPDGKLTDGGLKFLKKVRSGGVDPRIRAEVWPFLLGVYNVNSSMEERESVKNEKRKEYENLRKQCRRILTNSDKSFKLNEASGNSSTEGSGDFSQVLDSSGQGDVASARESISTEGGNQLAKDPDKPVDHQGVQSSKSCEGDDEKSGVTCEDACAGGETESTDSDSSEDADITRPLLATEVTGENYVDDSAKESSSPSIIENKSKSHTAEDFATWQRIIRLDAVRANDEWIIYSPSQAAVSEIKAQRLAESVGLKDYDHLEPCRMFHASRLVAILEAYAVYDPEIGYCQGMSDLLTPIISVMEEDHEAFWCFVGFMKKARHNFRLDEAGIRRQLGLVSKIIKCKDVPLYRHLEKLQAEDCFFVYRMVVVLFRRELSFEDTLCLWEVMWADQAAIRAGIAKSAGGRMRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMEEIMRECNSMAGRLDVWKLLDDAHDLVVNLHDKI >ONI07593 pep chromosome:Prunus_persica_NCBIv2:G5:12526534:12530468:-1 gene:PRUPE_5G129300 transcript:ONI07593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGGGADGWKWIVFAEASTGGGGGGGRSGSLIGASVGGGSGFWSWTAPPNVGLAVAVTAMAGIALAATVVYSRRGSLKSPWSRRRKKHALLPKQWKSFFTPDGKLTDGGLKFLKKGVDPRIRAEVWPFLLGVYNVNSSMEERESVKNEKRKEYENLRKQCRRILTNSDKSFKLNEASGNSSTEGSGDFSQVLDSSGQGDVASARESISTEGGNQLAKDPDKPVDHQGVQSSKSCEGDDEKSGVTCEDACAGGETESTDSDSSEDADITRPLLATEVTGENYVDDSAKESSSPSIIENKSKSHTAEDFATWQRIIRLDAVRANDEWIIYSPSQAAVSEIKAQRLAESVGLKDYDHLEPCRMFHASRLVAILEAYAVYDPEIGYCQGMSDLLTPIISVMEEDHEAFWCFVGFMKKARHNFRLDEAGIRRQLGLVSKIIKCKDVPLYRHLEKLQAEDCFFVYRMVVVLFRRELSFEDTLCLWEVMWADQAAIRAGIAKSAGGRMRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMEEIMRECNSMAGRLDVWKLLDDAHDLVVNLHDKI >ONI08684 pep chromosome:Prunus_persica_NCBIv2:G5:15787583:15791598:-1 gene:PRUPE_5G193700 transcript:ONI08684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLCVDRFTKPESLQSLQGNEAPGSSSEGSCSQSAELPTCAIDVKGVGEKVVTEEEEPLIQTVECRICQEEDSVKNLEVPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDTTIDIRQGWTISGTPLDLRDPRLLAMAAAERHFLEAEYDEYADTNASGAAFCRSAALILMALLLLRHALTLPNGDGDDDDASTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQVSSSTCGN >ONI08683 pep chromosome:Prunus_persica_NCBIv2:G5:15787583:15791680:-1 gene:PRUPE_5G193700 transcript:ONI08683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLCVDRFTKPESLQSLQGNEAPGSSSEGSCSQSAELPTCAIDVKGVGEKVVTEEEEPLIQTVECRICQEEDSVKNLEVPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDTTIDIRQGWTISGTPLDLRDPRLLAMAAAERHFLEAEYDEYADTNASGAAFCRSAALILMALLLLRHALTLPNGDGDDDDASTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQVSSSTCGN >ONI08681 pep chromosome:Prunus_persica_NCBIv2:G5:15787502:15791600:-1 gene:PRUPE_5G193700 transcript:ONI08681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLCVDRFTKPESLQSLQGNEAPGSSSEGSCSQSAELPTCAIDVKGVGEKVVTEEEEPLIQTVECRICQEEDSVKNLEVPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDTTIDISEGWTISGTPLDLRDPRLLAMAAAERHFLEAEYDEYADTNASGAAFCRSAALILMALLLLRHALTLPNGDGDDDDASTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFMLQAGQHRGLQFTIAPGPAVTPHQEPIQ >ONI08680 pep chromosome:Prunus_persica_NCBIv2:G5:15787502:15791723:-1 gene:PRUPE_5G193700 transcript:ONI08680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLCVDRFTKPESLQSLQGNEAPGSSSEGSCSQSAELPTCAIDVKGVGEKVVTEEEEPLIQTVECRICQEEDSVKNLEVPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDTTIDISEGWTISGTPLDLRDPRLLAMAAAERHFLEAEYDEYADTNASGAAFCRSAALILMALLLLRHALTLPNGDGDDDDASTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFMLQAGQHRGLQFTIAPGPAVTPHQEPIQ >ONI08682 pep chromosome:Prunus_persica_NCBIv2:G5:15787502:15791690:-1 gene:PRUPE_5G193700 transcript:ONI08682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLVLCVDRFTKPESLQSLQGNEAPGSSSEGSCSQSAELPTCAIDVKGVGEKVVTEEEEPLIQTVECRICQEEDSVKNLEVPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPQSEDTTIDISEGWTISGTPLDLRDPRLLAMAAAERHFLEAEYDEYADTNASGAAFCRSAALILMALLLLRHALTLPNGDGDDDDASTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATEVAFMLQAGQHRGLQFTIAPGPAVTPHQEPIQ >ONI08772 pep chromosome:Prunus_persica_NCBIv2:G5:16089591:16094996:1 gene:PRUPE_5G200000 transcript:ONI08772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVENVGIPVILQDLDLNGDKARRNSIPVIPQELEPNDGDVRRNSISVIPQETNSNVGDIRRNSIPEFPQEMEPKGGNVRGDSNLVIPQEIEANGGGDSRRSSIGKVDPLPDIKRNSIPVIPQEMESNGDDRNSIAGIPQEIKPSGSDIKRNHIPAIPHEMETKGGDVRMNSIESVDPPDVIIRNSISMIPQGMEFNGSDASRSSVAVIPQEIEPNGSGIRRNSIPVISQEMEPKGGDIRRNYLLVIPLETEPNGDNIRKNRPNIGVTIQSRYLRARTGSCHDYCKYGVRNPSQENAPIAMPKSDTATGSAGQNLKATNSADTERKKMPTTSPKPSLDSDTQKLDYSVVTEKKVISTTARKSYPDSGTRKLNNSVVTERKVLSLTKKEIISSKKVSSPREIDVCTEDLVDLKVKADQLEPSSLPGSVLSLNNLEGIAAINPKSSPDSEIQTSVVAEKRVSSSFNDIEASSEDSLDSKLKAEQLEPSSLPGSVLRLSNSKGISTISPKPSPDTETLKLDHSVVTEKRVLPLKKKEKVSLKKVSTNVKEIDACTEGSLSRVKPEQLGPSSLPGKGSHNQGKNGSRKVKEILEGSSSRGNRKISSRTLRTSVLVEKKMLGPETVSLTSVHPVKRVSNVNTGSCEKVKGSSHLKDQNNPREIEPEGSCNKDMPEEILYVIESNTENNTVELTPNGINAPKLLSPSPLKVKSLKQARKGIGSTRSPPSSEKTKLKRTANRGYGRSPAPLCPDNKGLRRSTLASLSSSSPASSSMSISPSQSTHKKESGDTSQHNTMNTDNQIVNLKAERKTRPRRSGVLSSDSKNSLARKLKFRRGRVVDLKPENNTPRRLKFRKVRLVRDTQNRRSGIKGEINGRKEVDDNQSNGTAIRRGTIGWEEVDDSQSNGAGIKRGSIGRKDVDDSESNDAGTGKGSTGRKEVDDSQSNGAKIKREKVVMKNQERDLEGSRRKSFRRKYGRGGMLNGTDTSPEKVVLRHQDVKGRKDVQKLFNNVIKETASRLVESRKSKVKALVGAFETVISLQDARPLTVEDAC >ONI08771 pep chromosome:Prunus_persica_NCBIv2:G5:16089591:16094996:1 gene:PRUPE_5G200000 transcript:ONI08771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVENVGIPVILQDLDLNGDKARRNSIPVIPQELEPNDGDVRRNSISVIPQETNSNVGDIRRNSIPEFPQEMEPKGGNVRGDSNLVIPQEIEANGGGDSRRSSIGKVDPLPDIKRNSIPVIPQEMESNGDDRNSIAGIPQEIKPSGSDIKRNHIPAIPHEMETKGGDVRMNSIESVDPPDVIIRNSISMIPQGMEFNGSDASRSSVAVIPQEIEPNGSGIRRNSIPVISQEMEPKGGDIRRNYLLVIPLETEPNGDNIRKNRPNIGVTIQSRYLRARTGSCHDYCKYGVRNPSQENAPIAMPKSDTATGSAGQNLKATNSADTERKKMPTTSPKPSLDSDTQKLDYSVVTEKKVISTTARKSYPDSGTRKLNNSVVTERKVLSLTKKEIISSKKVSSPREIDVCTEDLVDLKVKADQLEPSSLPGSVLSLNNLEGIAAINPKSSPDSEIQTSVVAEKRVSSSFNDIEASSEDSLDSKLKAEQLEPSSLPGSVLRLSNSKGISTISPKPSPDTETLKLDHSVVTEKRVLPLKKKEKVSLKKVSTNVKEIDACTEGSLSRVKPEQLGPSSLPGKGSHNQGKNGSRKVKEILEGSSSRGNRKISSRTLRTSVLVEKKMLGPETVSLTSVHPVKRVSNVNTGSCEKVKGSSHLKDQNNPREIEPEGSCNKDMPEEILYVIESNTENNTVELTPNGINAPKLLSPSPLKVKSLKQARKGIGSTRSPPSSEKTKLKRTANRGYGRSPAPLCPDNKGLRRSTLASLSSSSPASSSMSISPSQSTHKKESGDTSQHNTMNTDNQIVNLKAERKTRPRRSGVLSSDSKNSLARKLKFRRGRVVDLKPENNTPRRLKFRKVRLVRDTQNRRSGIKGEINGRKEVDDNQSNGTAIRRGTIGWEEVDDSQSNGAGIKRGSIGRKDVDDSESNDAGTGKGSTGRKEVDDSQSNGAKIKREKVVMKNQERDLEGSRRKSFRRKYGRGGMLNGTDTSPEKVVLRHQDVKGRKDVQKLFNNVIKETASRLVESRKSKVKALVGAFETVISLQDARPLTVEDAC >ONI06599 pep chromosome:Prunus_persica_NCBIv2:G5:8345936:8348603:1 gene:PRUPE_5G069400 transcript:ONI06599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDADNLFLRNADELFQCGQFCAAFINPCIFHTGLFVLQPSMEVFKDMLHELKIGRDNPDGADQGFIGGYFPDLLDQPLFRAPPNGSKLNGTYRLPLGYQMDASYYYLRLHWSVPCGPNSVITFPGAPWLKPWYWWSWPVLPLGISWHAQRQQTLGYGAEMPVVLIQSLIYLGIIAMTRLARPNISKLCYRRSDKSITLIHTVLKMIAAWSIVAAYVVPFVLIPHTIHPLLGWSLYFLGTFALCFIAINALLLPMLPVLALWLGFLGVLFVMAFPWYPDGVIRALSVFAYAFCAAPFAWLSVVKVMSSIQAAVEREAYFPKIG >ONI06598 pep chromosome:Prunus_persica_NCBIv2:G5:8344623:8348603:1 gene:PRUPE_5G069400 transcript:ONI06598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDADNLFLRNADELFQCGQFCAAFINPCIFHTGLFVLQPSMEVFKDMLHELKIGRDNPDGADQGFIGGYFPDLLDQPLFRAPPNGSKLNGTYRLPLGYQMDASYYYLRLHWSVPCGPNSVITFPGAPWLKPWYWWSWPVLPLGISWHAQRQQTLGYGAEMPVVLIQSLIYLGIIAMTRLARPNISKLCYRRSDKSITLIHTVLKMIAAWSIVAAYVVPFVLIPHTIHPLLGWSLYFLGTFALCFIAINALLLPMLPVLALWLGFLGVLFVMAFPWYPDGVIRALSVFAYAFCAAPFAWLSVVKVMSSIQAAVEREAYFPKIG >ONI06597 pep chromosome:Prunus_persica_NCBIv2:G5:8345167:8348602:1 gene:PRUPE_5G069400 transcript:ONI06597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLASDILLGIACHLRDVAWFDCPGGRSRWMESKDMARLVLVNLVRWSREQEDGAKVVRVENLNNPYSNQNNFDKRFKLTLNKLYAWSLVDYDRVVMLDADNLFLRNADELFQCGQFCAAFINPCIFHTGLFVLQPSMEVFKDMLHELKIGRDNPDGADQGFIGGYFPDLLDQPLFRAPPNGSKLNGTYRLPLGYQMDASYYYLRLHWSVPCGPNSVITFPGAPWLKPWYWWSWPVLPLGISWHAQRQQTLGYGAEMPVVLIQSLIYLGIIAMTRLARPNISKLCYRRSDKSITLIHTVLKMIAAWSIVAAYVVPFVLIPHTIHPLLGWSLYFLGTFALCFIAINALLLPMLPVLALWLGFLGVLFVMAFPWYPDGVIRALSVFAYAFCAAPFAWLSVVKVMSSIQAAVEREAYFPKIG >ONI06596 pep chromosome:Prunus_persica_NCBIv2:G5:8344553:8348602:1 gene:PRUPE_5G069400 transcript:ONI06596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSIGISKILLLPLLLLVLETTAFSENHQLKQQQRRPRQYYRNAYATMMYMGTPRDYEFYVATRVMIRSLSRLHVAADLVVIASPDVPLRWVQTLEQEDGAKVVRVENLNNPYSNQNNFDKRFKLTLNKLYAWSLVDYDRVVMLDADNLFLRNADELFQCGQFCAAFINPCIFHTGLFVLQPSMEVFKDMLHELKIGRDNPDGADQGFIGGYFPDLLDQPLFRAPPNGSKLNGTYRLPLGYQMDASYYYLRLHWSVPCGPNSVITFPGAPWLKPWYWWSWPVLPLGISWHAQRQQTLGYGAEMPVVLIQSLIYLGIIAMTRLARPNISKLCYRRSDKSITLIHTVLKMIAAWSIVAAYVVPFVLIPHTIHPLLGWSLYFLGTFALCFIAINALLLPMLPVLALWLGFLGVLFVMAFPWYPDGVIRALSVFAYAFCAAPFAWLSVVKVMSSIQAAVEREAYFPKIG >ONI08874 pep chromosome:Prunus_persica_NCBIv2:G5:16442953:16446672:1 gene:PRUPE_5G205700 transcript:ONI08874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGPTPDAISTILACPDPSGSVERPDIVIQVLDLGPRGITYKFTASDGKMKLKGMFSSQLASQITSGNIQNLGLVRILDYAVNEIPGMSENYVLVKQCEVVSPALETEIKNEVKSEVKSGEVGIILKPKQEMVSKSASQIVHEQNGNMAPAVRMAMTRRVHPLVSLNPYQGNWTIKVRVTNKGTMRTYKNARGEGCVFNVELTDEEGTQIQATMFNEAAKKFFEKFELGKVYYISKGSLRVANKQFKTVQNDYEMNLNENSEVEEAINEAAFVPETKFNFVPVDMLGPHVNGKELVDVIGVVQSVSPTMSIRRKSNNESIPKRDITIADETKKTVVVSLWGELATSVGQELLDIADQSPIVAIKSLKVGDFQGVSLSTLSRSTVVVNPELPEAKRLRSWYDSEGKGASLASVGNGMSPLAKSGARSMYSDRVSLSHISDDPSLGEDKPVFFSVKAFISSIRPDQALWYRACKTCNKKVTEAIGSGYWCEACQKNDEECSLRYILVARVTDASGEAYLSLFNEEAERVIGCSADELDKLKSQEGEENVYQLKLKQATWVPHLFRVSVSQNEYNNEKRKRITARAVAPVDFAAESKFLLEEISKMKASQ >ONI06409 pep chromosome:Prunus_persica_NCBIv2:G5:6337460:6341132:-1 gene:PRUPE_5G058700 transcript:ONI06409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGNQMISVVPDELKFQFELEKQSFCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVIQAWDSCVIRVTLQAQREYPPDMQCKDKFLLQSTTVPPNTDVDDLPQDTFTKESGRALEECKLRVVYMTPGLAQGTSEDEALRSSMSGLDTNSNQAFQRLKDERDAAVRQTQQLQQELDILKRRRFRKGDPGFSFTFAIFVGLIGLMVGFLLNLSLSSPSTE >ONI06408 pep chromosome:Prunus_persica_NCBIv2:G5:6337460:6341132:-1 gene:PRUPE_5G058700 transcript:ONI06408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGNQMISVVPDELKFQFELEKQSFCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVIQAWDSCVIRVTLQAQREYPPDMQCKDKFLLQSTTVPPNTDVDDLPQDTFTKESGRALEECKLRVVYMTPGLAQGTSEDEALRSSMSGLDTNSNQAFQRLKDERDAAVRQTQQLQQELDILKRRRFRKGDPGFSFTFAIFVGLIGLMVGFLLNLSLSSPSTE >ONI06406 pep chromosome:Prunus_persica_NCBIv2:G5:6337290:6341802:-1 gene:PRUPE_5G058700 transcript:ONI06406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGNQMISVVPDELKFQFELEKQSFCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVIQAWDSCVIRVTLQAQREYPPDMQCKDKFLLQSTTVPPNTDVDDLPQDTFTKESGRALEECKLRVVYMTPGLAQGTSEDEALRSSMSGLDTNSAFQRLKDERDAAVRQTQQLQQELDILKRRRFRKGDPGFSFTFAIFVGLIGLMVGFLLNLSLSSPSTE >ONI06410 pep chromosome:Prunus_persica_NCBIv2:G5:6337290:6341363:-1 gene:PRUPE_5G058700 transcript:ONI06410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGNQMISVVPDELKFQFELEKQSFCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVIQAWDSCVIRVTLQAQREYPPDMQCKDKFLLQSTTVPPNTDVDDLPQDTFTKESGRALEECKLRVVYMTPGLAQGTSEDEALRSSMSGLDTNSNQAFQRLKDERDAAVRQTQQLQQELDILKRRRFRKGDPGFSFTFAIFVGLIGLMVGFLLNLSLSSPSTE >ONI06407 pep chromosome:Prunus_persica_NCBIv2:G5:6337290:6341802:-1 gene:PRUPE_5G058700 transcript:ONI06407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGNQMISVVPDELKFQFELEKQSFCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVIQAWDSCVIRVTLQAQREYPPDMQCKDKFLLQSTTVPPNTDVDDLPQDTFTKESGRALEECKLRVVYMTPGLAQGTSEDEALRSSMSGLDTNSAFQRLKDERDAAVRQTQQLQQELDILKRRRFRKGDPGFSFTFAIFVGLIGLMVGFLLNLSLSSPSTE >ONI07399 pep chromosome:Prunus_persica_NCBIv2:G5:11898592:11899546:1 gene:PRUPE_5G117500 transcript:ONI07399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVFFLVALLFLFSFSFSHALTPSDLPEKETNGRDPANTKFPESESKPATAILLPSEKRDSEPAKVFEWIPEAADTKLPDSDGPTILANEESTEFTHSESVPLTVISFRPVNRHFPRRPFPLSFRHGHRCRHGRRQVGPWSPRFHGGERDRDVVSYGDDMILGDDLAFDQVFHGGPRQIPPRWGSFRHGGPRFPFRHDDNMELERPHHHHHHRHDHDHDSKEFEGMEKPREHRHEHEREQEGGLMRRFRKFLNRF >ONI06170 pep chromosome:Prunus_persica_NCBIv2:G5:4919024:4925994:-1 gene:PRUPE_5G045100 transcript:ONI06170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHNSPGNRTRSTISIFVVIALCCFFYLLGAWEKSGFGKRDSIAFPVTKQTDCSNVFTNLNFETHHNMEEIVEPSEPKAKVFKPCDVKYADYTPCQEQDRAMKFPRENMIYRERHCPPEEEKLHCLIPARKGYMTPFPWPKGRDYVHYANVPYKSLTVEKAVQNWVQFQGKVFKFPGGGTMFPQGAGAYIDELASIIPISDGSVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIHLPYPSRAFDMAQCSRCLIPWTANDGMYLKEVDRVLRPGGYWILSGPPINWKTYYKTWKRSKEDVQTEQRQIEALAESLCWEKKYEKGDMAIWKKKVNTKSCKSKSVNVCQTEDADDVWYKKMDTCVTPSPEVTNANEVAVGALKFPARLYAVPPRIANGLVDGVTTESYQEDNKLWKKHVNTYKRINNLIGTTRYRNVMDMNAGLGGFAAALESRKSWVMNVVPTIAKNTLGVIYERGLIGIYHDWCEGFSTYPRSYDLIHASGVFSLYKNECNLEDILLEMDRMLRPEGAVIFRDEVDVLNKVRKIVGGMRWDAKIMDHEDGPLVPEKILVVVKQYWVAGSRNSTSKDQ >ONI06169 pep chromosome:Prunus_persica_NCBIv2:G5:4919282:4924148:-1 gene:PRUPE_5G045100 transcript:ONI06169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHNSPGNRTRSTISIFVVIALCCFFYLLGAWEKSGFGKRDSIAFPVTKQTDCSNVFTNLNFETHHNMEEIVEPSEPKAKVFKPCDVKYADYTPCQEQDRAMKFPRENMIYRERHCPPEEEKLHCLIPARKGYMTPFPWPKGRDYVHYANVPYKSLTVEKAVQNWVQFQGKVFKFPGGGTMFPQGAGAYIDELASIIPISDGSVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIHLPYPSRAFDMAQCSRCLIPWTANDGMYLKEVDRVLRPGGYWILSGPPINWKTYYKTWKRSKEDVQTEQRQIEALAESLCWEKKYEKGDMAIWKKKVNTKSCKSKSVNVCQTEDADDVWYKKMDTCVTPSPEVTNANEVAVGALKFPARLYAVPPRIANGLVDGVTTESYQEDNKLWKKHVNTYKRINNLIGTTRYRNVMDMNAGLGGFAAALESRKSWVMNVVPTIAKNTLGVIYERGLIGIYHDWCEGFSTYPRSYDLIHASGVFSLYKNECNLEDILLEMDRMLRPEGAVIFRDEVDVLNKVRKIVGGMRWDAKIMDHEDGPLVPEKILVVVKQYWVAGSRNSTSKDQ >ONI06171 pep chromosome:Prunus_persica_NCBIv2:G5:4919038:4925917:-1 gene:PRUPE_5G045100 transcript:ONI06171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAPRDNHEAQVQFALERGVPAVIGVLGSIHLPYPSRAFDMAQCSRCLIPWTANDGMYLKEVDRVLRPGGYWILSGPPINWKTYYKTWKRSKEDVQTEQRQIEALAESLCWEKKYEKGDMAIWKKKVNTKSCKSKSVNVCQTEDADDVWYKKMDTCVTPSPEVTNANEVAVGALKFPARLYAVPPRIANGLVDGVTTESYQEDNKLWKKHVNTYKRINNLIGTTRYRNVMDMNAGLGGFAAALESRKSWVMNVVPTIAKNTLGVIYERGLIGIYHDWCEGFSTYPRSYDLIHASGVFSLYKNECNLEDILLEMDRMLRPEGAVIFRDEVDVLNKVRKIVGGMRWDAKIMDHEDGPLVPEKILVVVKQYWVAGSRNSTSKDQ >ONI08607 pep chromosome:Prunus_persica_NCBIv2:G5:15524139:15529694:1 gene:PRUPE_5G188700 transcript:ONI08607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVAGRPANFWLQSNALLRKNLTFQKRRMCANIALILIPAFFCSLFAFFQIVVDVYTNKPNTKCGSDLADIGHFDDSCPIPKPPEWPALLKIPKNDFRAVRSDFLSFKDLPDESCRKKGSCPVTILMTGTNHSLAQTLASSMFSGSFPVNSSGNLTDWAKTVMGTESPLQSSYMFDPAFTSPDPTPISNLQRQCPPQLSTSSATLRVSTTPIKKEVTCVQALYLWRNSSSEINNELYKGYMKGNSEKKINEILSAYDFSNSNAKTYNVTLWYNSTTQAVGARALLRLPRTVNMATNAYLQSLKGPKTKIMFDFVKEMPKSRSVNKMDFASLAGTIFFTWIVLQLFPVVLTAIVYEKEQRLRIMMKMHGLGDGPYWMISYVYFLTISALYMLCFIGFGSLLGLSYFTQNDYSIQFVFFFIYINLQIALAFLLASVFSDTRTATVVAYIFVFGSGLLGAFFFEHLIKDASRSLLVFLELYPGFSLYRGLYELGQYAIEAKALGTRGMTWENLNDSYNGMRDVMVIMGFEWLGLLLLAYYIDQVVTCGKGPLFFLQNSRNKNPSTLRMPTLQRQGSKDFGDMDKPDISNEREKVEKLLQDPNDKSHVVICDNVKKVYPGRDGNPEKFAVRGLSLALLRGECFGMLGPSGAGKTSFIEMMIGLTKPTFGTSYVNGLDIVTQMNEIYTCMGVCPQYDLLWETLTGREHLLFYGRLKNLKGSVLTNAVEESLKNLNLFHGGVADKQAGQYSGGMKRRLSVAISLIGNPIAVYMDEPSTGLDPASRNTLWNVIKLAKQDRVIILTTHSMEEAEFLCDRLGIFVDGRMQCIGNPKELRGRYGGSYVFQMTTNSDHEQEVEDMVMNLSPNANRVYLLAGTQKFELPKNEVRIADVFQTIEHAKTRFTVYAWGLADTTLEDVFIKVASAPRKIESNVLNTD >ONI06226 pep chromosome:Prunus_persica_NCBIv2:G5:5168014:5170233:1 gene:PRUPE_5G048500 transcript:ONI06226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRLSIFTHKLLKNSNSILNTTTKHTTTFFLCSHFNPSNPRAGGFAFFRFLSYSPVSRRPPDPEDPSNLIKEDGVSVISQMWIENFREPDRIATNLSSYLRRLELWVLAYQKVCADEMGAYMPRSAIQRAALEDLLALRNAVLDSRFRWGARLEFYIKSPKDKTDYQSLSKRKIKAILTTTQPTPFQDRLVQEVLLMILEPIYESRFSQKSFAFRPGRTAHTALRVIRRSFAGYLWYIKGDLSTILDGTKVGLVINALIRDVRDKKVIDLLKAALVTPVITTKDDGVEKKKKKRKYQKKRVLAEDEPKPDPYWLETFFGFAPEEAEKLPSWGHCGILSPLLANVCLDELDRWMEGKIKAFYHPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYIRYGGHILIGVRGPRADAATLRKQLIEFCDQKYMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLSFLKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYKYADNRKKIVNFCSYILRGSLAKLYAAKYKLRSRAKVYKIGSRNLSRPLKEKKGQSSEYHNLLRMGLVESIDGLQYTRMSLVPETDYSPFPNNWRPDHEKALLEYIRLDDPRTIEEQRSCIMDQGLVSPQDYISMLVWNYKRNAVMMDQLSLVSSGSSINTERDQLLLLGSNQDKLEHRTKEEEENGERFIVSQM >ONI08890 pep chromosome:Prunus_persica_NCBIv2:G5:16491242:16497152:-1 gene:PRUPE_5G206600 transcript:ONI08890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSPCSAKPNSQSEEEEEEKSNESNYFLKDSDVHCQQGTFLMKNTVMEGPSVNIGSDLPQAEALISVVPKRKRKRKRKRARSSAPIINNLEPDFSDNTESVPVESRPNVVMKRSHAPKIGDFNPDCLKGALSSENITPENNSCMRYSGRDAHHDSPLGAYTLLEEPSINVISDLVPAELPPHVNLSRRKRKKKKTTIGAAPEPENSCLASCAGICSTPNSEKAKQHADLPSLQRKKKMKQNEWRKIDETDSNDRSCASPRTNEELSCSQGKMKNKWTKMSKAHENSYKSCDLSVGTSLVENIMPTVDPSLQPPVTESLEQELAVAQSPVEGISTKTNSESSELIADLSCSRKKKKKIRKEGCKTQETNDESCGNDKICDLSVGTSLVENIMPGIDHSQQPPVSEFSEQKLELPPPLMEGISTKTNSESSGPFADSSCSRKKKKKLKTHESNDRRCELSVGTLVENIMPGTDSSQQPPVLEFTDQKLELPQPLMEGISTTANSEGPEPNANLSCSKEKKKKNWKRGHKTHESNDRRSDPSLGTSLEGNIIPGADLSVQPPVAIFSEQDLEVEQPLVDGIGKITNSESPKPIEDLSCSQKMKQKNGKKRRKICESNDESCDPSGDTSLVENIIPGADPYLQHPLAILSEQELEVPQILLEDSTFQEKNEDKEQNKRSNASGNNDTDHDICAGLPCQVLIDVKADENNNDNSLSEVSKLDPTNIQDITDIEQTETKTEVPSLPPLAVKADVDVTVNVKEGNLSQISDCAAERSLVSCSKKKLLILDINGLLADIVPQECHILKRYKPDITISRKAVFKRPFCDDFLQFCFDRFNVGVWSSRTKKNVDMVIDFLLGDSRHKLLFCWNQSHCTTTRFNTIDNTDKPLVLKELRKLWEKHDPNLPWVKGEYNESNTLLLDDSPYKALRNPENTAIFPYSYECRDRRDASLGPGGKICSFLEGLAMADNVQKYVEQHPFGQRPITQSSLSWGFYRRVIEDEVESPKD >ONI06526 pep chromosome:Prunus_persica_NCBIv2:G5:8084501:8085624:1 gene:PRUPE_5G066300 transcript:ONI06526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISLSLSPNPSFTTTTTHKPSHALSTPTFLKFPTVSPSTIKSLTYAPLPQTPTPRATFSTRAAASPTPSTTFHGLCYVLDDNIDTDQIIPAEYLTLVPSNPSEYEKLGSYALCGLPDAHSTRFVDPGKTKSKYSIIIAGANFGCGSSREHAPVALGAAGVAAVVVESYARIFFRNSVATGEVYPLESEVRVCEECKTGDVVSIELSESRLINHTTGKEYTLKPIGDAGPVIRAGGIFAYAREAGMIPTLTSS >ONI08315 pep chromosome:Prunus_persica_NCBIv2:G5:14636005:14639082:1 gene:PRUPE_5G171200 transcript:ONI08315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPILTPQSPIFTFLIIYAFLFSSSISEPRISEAGRFCGHAKHNSSSNFIPNFITVMDFISNDVNAKRWGEYTIASPAPEVYAFAQCYDDLSPIDCAACFAVSRTKLPLCLPSTSARIYLDGCFLGYDDHEFSHQSLDEEHKNVKCSGSAIDVSKSKTEEFARKVEDVIGNVTEKAVSHEGFGVFEQRGGVENVYALAQCWKTINESGCRECLEEAGASLRRECVPGVEGRAMFAGCYLRYSTERFYGIESDSEDCVKVEHIVATILSAMVLCLLALFGAVMAYKRLSKRNEVYNGPIQISISIHKNNLNFKYEMLEKATNFFDASRKLGQGGAGSVFKGVLPDGRTVAVKRLYFNTRQWVDDFFNEVNLISGIQHQNLVKLLGCSIEGPESLLVYEYVPNKSLDQVLFDKDTLHILSWKQRFDIICGIAEGLVYLHGSCGVKIIHRDIKASNILLDENLIPKIADFGLARCVGPDKSHLSTGIAGTLGYMAPEYLVRGQLTDKADVYAFGVMVLEIVSGRKNRVFAEGSSSILYAVWKHYKARNITEAVDPILKGGFPEREASDVLRIGLLCTQASLAVRPSMTEVVQMLTDKECVIPSPKRPPFLNASILSSDVSRTSMKYFLSQPIDGEGSPPRKSFTAMPCND >ONI08291 pep chromosome:Prunus_persica_NCBIv2:G5:14565020:14567922:-1 gene:PRUPE_5G169800 transcript:ONI08291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNFWAYTGEKTKRVCIVLADDECEQPKIRMNKVVRANLRNGNQVHILPIDDTIEGVTGNLFDAYLKPYFADAYRPVRKGDLFLVRGMMRSVEFKVMETNPSVYSIREDEERLDEVGYDDVGGVRKQMAQIRELLFKSIGVKPPLKAFCFYGPPGTGKTLIARAVANETGAFFFLINGPEIMSKMAGESESNLTKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVEKRMVSQLLTLMDGLKSRAHVIVMGATNKPNSIDPTRRRFCRFDREIDIGVPDEVGRSEVLRIHTKKMKLAADFCVLLRQQVGKDTHGFVGADLAALCSEAAFQCIREKMDVIDLEDYTIDAEVLNSMAVTNEHFQTALASTNPSALRETTVPVEHPEKFENFGMSPSKGVLLYGPPGCGKALLAKAIANECQANFISIKGPELLTMWFGESEAMRRRWPWPGDRVLNQLLTEMDGMNAKKTVFVIGATNRLDIIDPALLRPGRPISNGADITEICQRACKYAIRENIETDIERERERRENPEAMEEDGIEEEVQEIKPAHFEESMKYACRSVSDSSIRIYQQFAQTLQQSRGFGSEFQFTEQTNNTEGGAPFSSATTAAPADDLYS >ONI08564 pep chromosome:Prunus_persica_NCBIv2:G5:15394047:15398437:1 gene:PRUPE_5G185500 transcript:ONI08564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGILVWVFSVISVAIIYSHCSLALSQDGFTLLEIKSTLNDSKNMLSDWQPSDDSPCKWTGISCHPQDLRVSSINLPYMQLGGTISPSIGKLSRLQRLALHQNSLHGSIPNEITNCPELRALYLRANYLQGGIPSAIGNLSHLTILDLSSNLLKGAIPSSIGRLAQLHSLNLSTNFFSGEIPDFGVLSTFGNKSFIGNLDLCGQQVHKPCRTSLGFPAVLPHAESDEAAVPTKRSSHYIKDTKLITFHGDMPYPSCEIIEKLESLDEEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGCDQVFERELEILGSIKHINLVNLRGYCRLPTSKLLIYDYLAMGSLDGFLHEQGLEERPLNWNARLRIALGSARGIAYLHHDCSPKIVHRDIKSSNILLDENLEPRVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATQKSDVYSFGVLLLELVTGKRPTDPTFVKRGLNVVGWMNTLLRENRLHDLVDKRCTDADSETVEAILEIAARCTDANPDDRPSMSQVLQLLEQEVMSPCPSDFYESHSDHC >ONI08562 pep chromosome:Prunus_persica_NCBIv2:G5:15394047:15398437:1 gene:PRUPE_5G185500 transcript:ONI08562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGILVWVFSVISVAIIYSHCSLALSQDGFTLLEIKSTLNDSKNMLSDWQPSDDSPCKWTGISCHPQDLRVSSINLPYMQLGGTISPSIGKLSRLQRLALHQNSLHGSIPNEITNCPELRALYLRANYLQGGIPSAIGNLSHLTILDLSSNLLKGAIPSSIGRLAQLHSLNLSTNFFSGEIPDFGVLSTFGNKSFIGNLDLCGQQVHKPCRTSLGFPAVLPHAESDEAAVPTKRSSHYIKGMLIGAMSAMGFALFILLTFLWVRLLSKKERVAKKYTEVKKQVTQEANTKLITFHGDMPYPSCEIIEKLESLDEEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGCDQVFERELEILGSIKHINLVNLRGYCRLPTSKLLIYDYLAMGSLDGFLHEQGLEERPLNWNARLRIALGSARGIAYLHHDCSPKIVHRDIKSSNILLDENLEPRVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATQKSDVYSFGVLLLELVTGKRPTDPTFVKRGLNVVGWMNTLLRENRLHDLVDKRCTDADSETVEAILEIAARCTDANPDDRPSMSQVLQLLEQEVMSPCPSDFYESHSDHC >ONI08563 pep chromosome:Prunus_persica_NCBIv2:G5:15394047:15398438:1 gene:PRUPE_5G185500 transcript:ONI08563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGILVWVFSVISVAIIYSHCSLALSQDGFTLLEIKSTLNDSKNMLSDWQPSDDSPCKWTGISCHPQDLRVSSINLPYMQLGGTISPSIGKLSRLQRLALHQNSLHGSIPNEITNCPELRALYLRANYLQGGIPSAIGNLSHLTILDLSSNLLKGAIPSSIGRLAQLHSLNLSTNFFSGEIPDFGVLSTFGNKSFIGNLDLCGQQVHKPCRTSLGFPAVLPHAESDEAAVPTKRSSHYIKGMLIGAMSAMGFALFILLTFLWVRLLSKKERVAKKYTEVKKQVTQEANTKLITFHGDMPYPSCEIIEKLESLDEEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGCDQVFERELEILGSIKHINLVNLRGYCRLPTSKLLIYDYLAMGSLDGFLHEQGLEERPLNWNARLRIALGSARGIAYLHHDCSPKIVHRDIKSSNILLDENLEPRVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATQKSDVYSFGVLLLELVTGKRPTDPTFVKRGLNVVGWMNTLLRENRLHDLVDKRCTDADSETVEAILEIAARCTDANPDDRPSMSQVLQLLEQEVMSPCPSDFYESHSDHC >ONI08028 pep chromosome:Prunus_persica_NCBIv2:G5:13839329:13839562:1 gene:PRUPE_5G154200 transcript:ONI08028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVYLSVREFEIHHSIKTLLCLILEIHHFLVWAISVYKLAEHLSHLFPCKCFCSCCILNVIYVPPQTCYHYCSHDK >ONI05368 pep chromosome:Prunus_persica_NCBIv2:G5:518975:522578:1 gene:PRUPE_5G004000 transcript:ONI05368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDTRKHLNTVVFYVLSPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKGLRGPGMQKSLVVGIIIVRYVALPLIGILVIKGALKLGLVHSDPLYLFVLLLQFSLPPAMNIGTITQLFGAGEKECSVIMLWTYAFASVSLTFWSAFFMWLVARV >ONI05367 pep chromosome:Prunus_persica_NCBIv2:G5:518975:522578:1 gene:PRUPE_5G004000 transcript:ONI05367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDTRKHLNTVVFYVLSPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKVFTPTSDEHRNNNSIVRSRRKGMFSYHAVDLCFRFSITYFLVRLFHVACGPSVKQLQSILH >ONI05370 pep chromosome:Prunus_persica_NCBIv2:G5:518975:522578:1 gene:PRUPE_5G004000 transcript:ONI05370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDTRKHLNTVVFYVLSPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKGILVIKGALKLGLVHSDPLYLFVLLLQFSLPPAMNIGTITQLFGAGEKECSVIMLWTYAFASVSLTFWSAFFMWLVARV >ONI05369 pep chromosome:Prunus_persica_NCBIv2:G5:518922:522584:1 gene:PRUPE_5G004000 transcript:ONI05369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLQLFITASIPVLKVLLITALGSYLALDSVNILGEDTRKHLNTVVFYVLSPALVSSNLARTITYESMVKLWFMPVNILITFIVGSILGWILLLLTRPPAHLRGLVLGCCAAGNLGNLLLIIVPAVCKEKGSPFGAPDVCRTYGLAYASLSMAIGAIYLWSYVYNIVRISAKRGTQDSTQSPVRSSTPNEISCTEPLLSSKESEVVEDNANHYALPCTISEENAKMTTSGKIKQRIMMVFGKLNLKTIFAPSTTGAIVGFSIGLIPQIRKALIGDGAPLRVVQDTASLLGDGAIPTLTLIIGGNLLKGLRGPGMQKSLVVGIIIVRYVALPLIGILVIKGALKLGLVHSDPLYLFVLLLQFSLPPAMNIGTITQLFGAGEKECSVIMLWTYAFASVSLTFWSAFFMWLVARV >ONI05515 pep chromosome:Prunus_persica_NCBIv2:G5:1135944:1152322:1 gene:PRUPE_5G011100 transcript:ONI05515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPGLILSISLLQVVLCFLSLAYAQTSVTTNATAGERHSEEYCAMYGICGKRSDGKYLNCPFGSPSVKPDDLLSSKVQSLCPTITGNVCCTETQFDTLRSQVQQAIPFLVGCPACLRNFLNLFCELTCSPSQSLFINVTSVAKVNNNLTVDGIDFYITDAYGEGLYDSCKDVKFGTMNSRAMEFIGAGAKNFKEWFTFIGRQAPSNVPGSPYAIRFSSSVTESSAMKPMNVSTYSCGDNSLGCSCGDCPSSTVCSNTVSPVSQKGGSCSVRIGSVKAKCIDLAVAILYIVLVSVFFGWGLFRRTRKANPASMTNPWWNVMDDSEVHSISREKNENPPMQVFEDAPHIRNSVQLSIVQGYMSRFFRRYGTWVARNPVIVLCSSLALVLLLCLGLIRFKVETRPEKLWVGPGSKAAEEKNFFDSHLAPFYRIEQLILATIPEVKHGSSPSIVTEENIKLLFEIQKKVDGIKANYSGSVISLADICMKPMDKDCATQSVLQYFKMNPANYDDYGGVEHLKYCFEHYSSADKCMSAFKGPLDPSTALGGFSGKNYSEATAFLVTYPVNNAISKEENETERAVTWEKAFIKLAKDELLQMVQSRNLTLSFSSESSVEEELKRESSADAITILISYLVMFAYISLTLGDSPRLSSFYISSKVLLGLSGVVLVMLSVLGSVGFFSLIGVKSTLIIMEVIPFLVLAVGVDNMCILVNAVKRQPLELSLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFRRTEDKRVDCFPCMKISSYTNSDKGIDQRKPGLLTRYMKEIHAPILSLWGVKIAVICVFVAFALASIALCTRIQPGLEQKIVLPRDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESRHTNQLCSISQCDSDSLLNEIARASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGAYCPPDDQIQNCRVVFSFGIMELLLSQLSMLSNSTIWEFKTCWIFSVRYHSELWIITPPCCSSSDGSCSLGGVCKDCTTCFRHSDLRNGRPSTTQFKEKLPWFLSALPSSDCAKGGHGAYTSSVEFKGNGSDIIPASSFRTYHTPLNKQVDYVNSMRAARELSSRLSDSLNIEIFPYSVFYMFFEQYLDIWRTALINLSIAIGAVFIVCLAITCSLWSSSIILLVLAMIVVDLMGVMAILNIQLNAVSVVNLVMAVGISVEFCVHMTHAFSVSTGDKDQRTKEALATMGASVFSGITLTKLVGVIVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRRVLIEE >ONI05516 pep chromosome:Prunus_persica_NCBIv2:G5:1135738:1152387:1 gene:PRUPE_5G011100 transcript:ONI05516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPGLILSISLLQVVLCFLSLAYAQTSVTTNATAGERHSEEYCAMYGICGKRSDGKYLNCPFGSPSVKPDDLLSSKVQSLCPTITGNVCCTETQFDTLRSQVQQAIPFLVGCPACLRNFLNLFCELTCSPSQSLFINVTSVAKVNNNLTVDGIDFYITDAYGEGLYDSCKDVKFGTMNSRAMEFIGAGAKNFKEWFTFIGRQAPSNVPGSPYAIRFSSSVTESSAMKPMNVSTYSCGDNSLGCSCGDCPSSTVCSNTVSPVSQKGGSCSVRIGSVKAKCIDLAVAILYIVLVSVFFGWGLFRRTRKANPASMTNPWWNVMDDSEVHSISREKNENPPMQVFEDAPHIRNSVQLSIVQGYMSRFFRRYGTWVARNPVIVLCSSLALVLLLCLGLIRFKVETRPEKLWVGPGSKAAEEKNFFDSHLAPFYRIEQLILATIPEVKHGSSPSIVTEENIKLLFEIQKKVDGIKANYSGSVISLADICMKPMDKDCATQSVLQYFKMNPANYDDYGGVEHLKYCFEHYSSADKCMSAFKGPLDPSTALGGFSGKNYSEATAFLVTYPVNNAISKEENETERAVTWEKAFIKLAKDELLQMVQSRNLTLSFSSESSVEEELKRESSADAITILISYLVMFAYISLTLGDSPRLSSFYISSKVLLGLSGVVLVMLSVLGSVGFFSLIGVKSTLIIMEVIPFLVLAVGVDNMCILVNAVKRQPLELSLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFRRTEDKRVDCFPCMKISSYTNSDKGIDQRKPGLLTRYMKEIHAPILSLWGVKIAVICVFVAFALASIALCTRIQPGLEQKIVLPRDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESRHTNQLCSISQCDSDSLLNEIARASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGAYCPPDDQPPCCSSSDGSCSLGGVCKDCTTCFRHSDLRNGRPSTTQFKEKLPWFLSALPSSDCAKGGHGAYTSSVEFKGNGSDIIPASSFRTYHTPLNKQVDYVNSMRAARELSSRLSDSLNIEIFPYSVFYMFFEQYLDIWRTALINLSIAIGAVFIVCLAITCSLWSSSIILLVLAMIVVDLMGVMAILNIQLNAVSVVNLVMAVGISVEFCVHMTHAFSVSTGDKDQRTKEALATMGASVFSGITLTKLVGVIVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRRVLIEE >ONI05518 pep chromosome:Prunus_persica_NCBIv2:G5:1136362:1152322:1 gene:PRUPE_5G011100 transcript:ONI05518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAANYVAKTSVNLTVVLCFLSLAYAQTSVTTNATAGERHSEEYCAMYGICGKRSDGKYLNCPFGSPSVKPDDLLSSKVQSLCPTITGNVCCTETQFDTLRSQVQQAIPFLVGCPACLRNFLNLFCELTCSPSQSLFINVTSVAKVNNNLTVDGIDFYITDAYGEGLYDSCKDVKFGTMNSRAMEFIGAGAKNFKEWFTFIGRQAPSNVPGSPYAIRFSSSVTESSAMKPMNVSTYSCGDNSLGCSCGDCPSSTVCSNTVSPVSQKGGSCSVRIGSVKAKCIDLAVAILYIVLVSVFFGWGLFRRTRKANPASMTNPWWNVMDDSEVHSISREKNENPPMQVFEDAPHIRNSVQLSIVQGYMSRFFRRYGTWVARNPVIVLCSSLALVLLLCLGLIRFKVETRPEKLWVGPGSKAAEEKNFFDSHLAPFYRIEQLILATIPEVKHGSSPSIVTEENIKLLFEIQKKVDGIKANYSGSVISLADICMKPMDKDCATQSVLQYFKMNPANYDDYGGVEHLKYCFEHYSSADKCMSAFKGPLDPSTALGGFSGKNYSEATAFLVTYPVNNAISKEENETERAVTWEKAFIKLAKDELLQMVQSRNLTLSFSSESSVEEELKRESSADAITILISYLVMFAYISLTLGDSPRLSSFYISSKVLLGLSGVVLVMLSVLGSVGFFSLIGVKSTLIIMEVIPFLVLAVGVDNMCILVNAVKRQPLELSLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFRRTEDKRVDCFPCMKISSYTNSDKGIDQRKPGLLTRYMKEIHAPILSLWGVKIAVICVFVAFALASIALCTRIQPGLEQKIVLPRDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESRHTNQLCSISQCDSDSLLNEIARASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGAYCPPDDQPPCCSSSDGSCSLGGVCKDCTTCFRHSDLRNGRPSTTQFKEKLPWFLSALPSSDCAKGGHGAYTSSVEFKGNGSDIIPASSFRTYHTPLNKQVDYVNSMRAARELSSRLSDSLNIEIFPYSVFYMFFEQYLDIWRTALINLSIAIGAVFIVCLAITCSLWSSSIILLVLAMIVVDLMGVMAILNIQLNAVSVVNLVMAVGISVEFCVHMTHAFSVSTGDKDQRTKEALATMGASVFSGITLTKLVGVIVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRRVLIEE >ONI05517 pep chromosome:Prunus_persica_NCBIv2:G5:1135944:1152322:1 gene:PRUPE_5G011100 transcript:ONI05517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPGLILSISLLQVVLCFLSLAYAQTSVTTNATAGERHSEEYCAMYGICGKRSDGKYLNCPFGSPSVKPDDLLSSKVQSLCPTITGNVCCTETQFDTLRSQVQQAIPFLVGCPACLRNFLNLFCELTCSPSQSLFINVTSVAKVNNNLTVDGIDFYITDAYGEGLYDSCKDVKFGTMNSRAMEFIGAGAKNFKEWFTFIGRQAPSNVPGSPYAIRFSSSVTESSAMKPMNVSTYSCGDNSLGCSCGDCPSSTVCSNTVSPVSQKGGSCSVRIGSVKAKCIDLAVAILYIVLVSVFFGWGLFRRTRKANPASMTNPWWNVMDDSEVHSISREKNENPPMQVFEDAPHIRNSVQLSIVQGYMSRFFRRYGTWVARNPVIVLCSSLALVLLLCLGLIRFKVETRPEKLWVGPGSKAAEEKNFFDSHLAPFYRIEQLILATIPEVKHGSSPSIVTEENIKLLFEIQKKVDGIKANYSGSVISLADICMKPMDKDCATQSVLQHYSSADKCMSAFKGPLDPSTALGGFSGKNYSEATAFLVTYPVNNAISKEENETERAVTWEKAFIKLAKDELLQMVQSRNLTLSFSSESSVEEELKRESSADAITILISYLVMFAYISLTLGDSPRLSSFYISSKVLLGLSGVVLVMLSVLGSVGFFSLIGVKSTLIIMEVIPFLVLAVGVDNMCILVNAVKRQPLELSLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFRRTEDKRVDCFPCMKISSYTNSDKGIDQRKPGLLTRYMKEIHAPILSLWGVKIAVICVFVAFALASIALCTRIQPGLEQKIVLPRDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESRHTNQLCSISQCDSDSLLNEIARASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGAYCPPDDQPPCCSSSDGSCSLGGVCKDCTTCFRHSDLRNGRPSTTQFKEKLPWFLSALPSSDCAKGGHGAYTSSVEFKGNGSDIIPASSFRTYHTPLNKQVDYVNSMRAARELSSRLSDSLNIEIFPYSVFYMFFEQYLDIWRTALINLSIAIGAVFIVCLAITCSLWSSSIILLVLAMIVVDLMGVMAILNIQLNAVSVVNLVMAVGISVEFCVHMTHAFSVSTGDKDQRTKEALATMGASVFSGITLTKLVGVIVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRRVLIEE >ONI06316 pep chromosome:Prunus_persica_NCBIv2:G5:5688596:5693795:-1 gene:PRUPE_5G052900 transcript:ONI06316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTPSSLSHSPTLSSLHHHHHHNQSSLNSGSSSSSSHSFLCSASLAIIPFATRSLAVKLFRHFRCAHLLRVHCRLLILLSVPSLYFITSPRRSFLLDFLSVIAFSAALLISLNIALPRFVSIRLFLSRTLPIKLCSSSCVPKPSQPVLWSIGPKPKLEKRPNSGSWVQVYGNKDVYEGEFDRGKCSGSGVYYYHMSGRYEGDWIDEKYDGYGVETWARGSRYRGQYRQGLRHGIGVYRFFTGDVYAGEWSNGQCHGCGILTCEDGSRYVGEFKWGVKHGLGHYHFRNGDRYAGEYFADKMHGFGVYQFGNGHRYEGAWHEGRKQGLGMYTFRSGETQSGHWQNGVLGIPSVQNTHPGSPRAVNHARVLNAVQEAQRAAERAYEVAKVDERVKKAVAIANKAANAARVAAVKAVQKQIHPLQQ >ONI05587 pep chromosome:Prunus_persica_NCBIv2:G5:1528030:1535820:-1 gene:PRUPE_5G014100 transcript:ONI05587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPRPLLSKPIRTVPPPPLPSSFNKTAKLSPNLNRVSGFSPHAFKFIVSMAASSRHGVSSDPQHSSSQLIPSNVASDSSSFQNSPSKVEDIEGHLDKVIYRFRFMAFLGVLGSLIGSFLCFIKGCTYVVRSFMEYSVNRSKVIWSLVEAIDVYLLGTVMLVFGMGLYELFISNLDIVKSSQENKPADRSNLLGLFTLKERPKWLDITTVNELKTKLGHVIVMLLLIGLFEKSKKAVIQSPFDLLCFSASVLLSSGCLFLLSKLNE >ONI05585 pep chromosome:Prunus_persica_NCBIv2:G5:1527786:1535975:-1 gene:PRUPE_5G014100 transcript:ONI05585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPRPLLSKPIRTVPPPPLPSSFNKTAKLSPNLNRVSGFSPHAFKFIVSMAASSRHGVSSDPQHSSSQLIPSNVASDSSSFQNSPSKVEDIEGHLDKGCTYVVRSFMEYSVNRSKVIWSLVEAIDVYLLGTVMLVFGMGLYELFISNLDIVKSSQENKPADRSNLLGLFTLKERPKWLDITTVNELKTKLGHVIVMLLLIGLFEKSKKAVIQSPFDLLCFSASVLLSSGCLFLLSKLNE >ONI05584 pep chromosome:Prunus_persica_NCBIv2:G5:1527779:1535975:-1 gene:PRUPE_5G014100 transcript:ONI05584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPRPLLSKPIRTVPPPPLPSSFNKTAKLSPNLNRVSGFSPHAFKFIVSMAASSRHGVSSDPQHSSSQLIPSNVASDSSSFQNSPSKVEDIEGHLDKGCTYVVRSFMEYSVNRSKVIWSLVEAIVMLVFGMGLYELFISNLDIVKSSQENKPADRSNLLGLFTLKERPKWLDITTVNELKTKLGHVIVMLLLIGLFEKSKKAVIQSPFDLLCFSASVLLSSGCLFLLSKLNE >ONI05586 pep chromosome:Prunus_persica_NCBIv2:G5:1528030:1535820:-1 gene:PRUPE_5G014100 transcript:ONI05586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPRPLLSKPIRTVPPPPLPSSFNKTAKLSPNLNRVSGFSPHAFKFIVSMAASSRHGVSSDPQHSSSQLIPSNVASDSSSFQNSPSKVEDIEGHLDKVIYRFRFMAFLGVLGSLIGSFLCFIKGCTYVVRSFMEYSVNRSKVIWSLVEAIVMLVFGMGLYELFISNLDIVKSSQENKPADRSNLLGLFTLKERPKWLDITTVNELKTKLGHVIVMLLLIGLFEKSKKAVIQSPFDLLCFSASVLLSSGCLFLLSKLNE >ONI05588 pep chromosome:Prunus_persica_NCBIv2:G5:1527784:1535975:-1 gene:PRUPE_5G014100 transcript:ONI05588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPRPLLSKPIRTVPPPPLPSSFNKTAKLSPNLNRVSGFSPHAFKFIVSMAASSRHGVSSDPQHSSSQLIPSNVASDSSSFQNSPSKVEDIEGHLDKVIYRFRFMAFLGVLGSLIGSFLCFIKGCTYVVRSFMEYSVNRSKVIWSLVEAIGTTKMVRYNNCERAENEAWPCHSDATSNWVVREE >ONI06655 pep chromosome:Prunus_persica_NCBIv2:G5:8753751:8754853:-1 gene:PRUPE_5G073200 transcript:ONI06655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTTASLSLFLALNLLFFALVSSCGTCPGPNPRPRPNPRPSPTPSTPSTPSTPSTGTRCPRDTLKLGVCANVLNGLLNITIGKPPVAPCCSLIQGLADVEAAVCLCTAIKANILGINLNIPISLSLLLNVCGNKVPKDFQCA >ONI08422 pep chromosome:Prunus_persica_NCBIv2:G5:15000974:15009644:-1 gene:PRUPE_5G177500 transcript:ONI08422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGGEERKRGRHMLTVPTRVSVADGSSSSSSSSPNSFFKDGRKISVGDCALFKPPQDSPPFIGIIRWLTISRENKLKLGVNWLYRPSEIKLGKGVLLDAALNEIFYSFHKDEIPAASLLHPCKVAFLAKGVELPSGISSFVCRRVYDITNKCLWWLTDQDYMNERQEEVDQLLYKTRVEMHATVQSGGRSPKPMNGPTSASQLKVGSDGVQNSASSFSSQVKGKKRERGDQGSEPVKRERTTKMEDGDSVHSRQESILKSEIAKITDKGGLVDSEGVEKLLQLMLPDRNEKKIDLAGRSMLASVVAATDKFDCLSQFVQLKGVPVYDEWLQDVHKGKIGDGSGAKDSDKSVEEFLLVLLRALDKLPVNLNALQMCNLGKSVNHLRTHKNLEIQKKARSLVDTWKKRVQAEMDANSNVNPAVSWSARPRLSEASNGGNRHSGGSTDVAVKSSVTQLSVSKSASVKLVQGDSVTKSASASPGSKSVPSPVSASSNLKDGQSRIVAVGVTVDLPLTTPRDEKSSSSSQSHNNSQSCSNDHARTGGVSGKEDARSSTAGSMNVNKISGGSSRPRKSINGFPGSALSGVQRETVSSRSSSLHKSPPPEKSSQPGLASEKVLDGSAAEGNSHKLIVKIPNRGRSPAQSGSGGSFEDPSNMNSRASSPMQLEKHDQLDRSVKEKADVYRATVTSDVNNESWQSNDFKDVLTGSDEGDGSPAAVTAEEDCRAGDNSKKIAEVPKAASSSSGNEKSDNLQEASFSSMHALIESCVKYSEGNASVGDDLGMNLLASVAAGEMSKSESPTDSPQRSTPVSEHLCEGNDSRVKSPPVDELARDESQSNDGADDEYQKHGFESTTSGAKNGVVKSSSVCEQNSVAEDPRNLYYSSVSIQRSAGLSPENKEKSSEVSLAPSGTASPPSTVEKIMEGDGKPLQDKKIIGGVSADGIPDIKHGFSGLLSNGNKVSDVSSRVAVGKEAIEESSLHAELDVDGKIKNLRYEGMDSSVPAEEKPSTLKRHSELVKGTCEDVLLSSGFRKDLISGKASELKAEKADETDDTGHHNQAENQRTDPESNDPSPSKKESNDLSIPENRAVGGSSSAVTDHDDEHVEENLESKEANDQLGEPVLSKVSSDLPMQEVEEHLRSRRSKLTCMEAEEADECTSTTADASSVSAAGVAEADAKVEFDLNEGFNADDGKYGEPSNLIAPGCSTALQLISPLPFAVSSMSSGLPASVTVPAAAKGPCIPPEDLLKSKGEVGWKGSAATSAFRPAEPRKALEMLLGTSISVLEPTAGKQGRPALDIDLNVPDERILEDMAPQGPAQEICSRSDPTNNNDLAHDQSMSIAPVRCSGGLDLDLNQIDEASEMGNYSLSNSCRMDNPLLSVKSTGPLNGEVSLRRDFDLNDGPVVEELSAEPAVFSQHTRSSVPSQPPLSGLRMNNTEVGNFSWFPPANTYSAVAIPSIMSDRGDQPFPIVATGGPQRMLGPTSGSNPFNSDLYRGSVLSSSPAVPYPSTSFPYPVFPFGSSFPLPSAAFAGGSAPYLDSSSAGRFGYSAVRSQLLGPGAMISSHYPRPYVVNLPDGSNNSSGESTRKWGRQGLDLNAGPGGPDLEGRDVTSPLAPRQLSVAGSQALAEEHVRMFQMQGGPFKRKEPEGGWDGYKQSSWK >ONI08423 pep chromosome:Prunus_persica_NCBIv2:G5:15002228:15009644:-1 gene:PRUPE_5G177500 transcript:ONI08423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGGEERKRGRHMLTVPTRVSVADGSSSSSSSSPNSFFKDGRKISVGDCALFKPPQDSPPFIGIIRWLTISRENKLKLGVNWLYRPSEIKLGKGVLLDAALNEIFYSFHKDEIPAASLLHPCKVAFLAKGVELPSGISSFVCRRVYDITNKCLWWLTDQDYMNERQEEVDQLLYKTRVEMHATVQSGGRSPKPMNGPTSASQLKVGSDGVQNSASSFSSQVKGKKRERGDQGSEPVKRERTTKMEDGDSVHSRQESILKSEIAKITDKGGLVDSEGVEKLLQLMLPDRNEKKIDLAGRSMLASVVAATDKFDCLSQFVQLKGVPVYDEWLQDVHKGKIGDGSGAKDSDKSVEEFLLVLLRALDKLPVNLNALQMCNLGKSVNHLRTHKNLEIQKKARSLVDTWKKRVQAEMDANSNVNPAVSWSARPRLSEASNGGNRHSGGSTDVAVKSSVTQLSVSKSASVKLVQGDSVTKSASASPGSKSVPSPVSASSNLKDGQSRIVAVGVTVDLPLTTPRDEKSSSSSQSHNNSQSCSNDHARTGGVSGKEDARSSTAGSMNVNKISGGSSRPRKSINGFPGSALSGVQRETVSSRSSSLHKSPPPEKSSQPGLASEKVLDGSAAEGNSHKLIVKIPNRGRSPAQSGSGGSFEDPSNMNSRASSPMQLEKHDQLDRSVKEKADVYRATVTSDVNNESWQSNDFKDVLTGSDEGDGSPAAVTAEEDCRAGDNSKKIAEVPKAASSSSGNEKSDNLQEASFSSMHALIESCVKYSEGNASVGDDLGMNLLASVAAGEMSKSESPTDSPQRSTPVSEHLCEGNDSRVKSPPVDELARDESQSNDGADDEYQKHGFESTTSGAKNGVVKSSSVCEQNSVAEDPRNLYYSSVSIQRSAGLSPENKEKSSEVSLAPSGTASPPSTVEKIMEGDGKPLQDKKIIGGVSADGIPDIKHGFSGLLSNGNKVSDVSSRVAVGKEAIEESSLHAELDVDGKIKNLRYEGMDSSVPAEEKPSTLKRHSELVKGTCEDVLLSSGFRKDLISGKASELKAEKADETDDTGHHNQAENQRTDPESNDPSPSKKESNDLSIPENRAVGGSSSAVTDHDDEHVEENLESKEANDQLGEPVLSKVSSDLPMQEVEEHLRSRRSKLTCMEAEEADECTSTTADASSVSAAGVAEADAKVEFDLNEGFNADDGKYGEPSNLIAPGCSTALQLISPLPFAVSSMSSGLPASVTVPAAAKGPCIPPEDLLKSKGEVGWKGSAATSAFRPAEPRKALEMLLGTSISVLEPTAGKQGRPALDIDLNVPDERILEDMAPQGPAQEICSRSDPTNNNDLAHDQSMSIAPVRCSGGLDLDLNQIDEASEMGNYSLSNSCRMDNPLLSVKSTGPLNGEVSLRRDFDLNDGPVVEELSAEPAVFSQHTRSSVPSQPPLSGLRMNNTEVGNFSWFPPANTYSAVAIPSIMSDRGDQPFPIVATGGPQRMLGPTSGSNPFNSDLYRGSVLSSSPAVPYPSTSFPYPVFPFGSSFPLPSAAFAGGSAPYLDSSSAGRFGYSAVRSQLLGPGAMISSHYPRPYVVNLPDGSNNSSGESTRKWGRQGLDLNAGPGGPDLEGRDVTSPLAPRQLSVAGSQALAEEHVRMFQMQGGPFKRKEPEGGWDGYKQSSWK >ONI06000 pep chromosome:Prunus_persica_NCBIv2:G5:3758021:3764493:1 gene:PRUPE_5G033200 transcript:ONI06000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGVGSFVVANSLVSRQARNISSRKWKTAIQSSSPLIILGFARLLSTRGVDYQVHVAEYGVHWNFFFTLAAISILTCIINVPAQYSGILGSLILVGYQVCLTHGLNLYLLSNERGTDIISQNKEGFFSIFGYWGLYLVGVQLGNFLFFNNHSSATMRSTNWAKIRVWMLSLLFWLLTVIIDRHVERVSRRTCNLGYVTLVLAVNLQVLAILLLSDFLPGSKTSVLEEAYDRNLLGTFLLANLLTGLVNLFVDTLFASSVKALFILIAYAFSLSFLIGLLDFCGIRLKFW >ONI05999 pep chromosome:Prunus_persica_NCBIv2:G5:3756598:3764493:1 gene:PRUPE_5G033200 transcript:ONI05999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMAFDFLLIVLPIILVYTVLAEWMYIWATLLTLLLLIRIAANRFGSYSNLKEDPYSVRKNISSYRVATMIITCLCILAVDFRIFPRRYAKTETYGTGWMDLGVGSFVVANSLVSRQARNISSRKWKTAIQSSSPLIILGFARLLSTRGVDYQVHVAEYGVHWNFFFTLAAISILTCIINVPAQYSGILGSLILVGYQVCLTHGLNLYLLSNERGTDIISQNKEGFFSIFGYWGLYLVGVQLGNFLFFNNHSSATMRSTNWAKIRVWMLSLLFWLLTVIIDRHVERVSRRTCNLGYVTLVLAVNLQVLAILLLSDFLPGSKTSVLEEAYDRNLLGTFLLANLLTGLVNLFVDTLFASSVKALFILIAYAFSLSFLIGLLDFCGIRLKFW >ONI05997 pep chromosome:Prunus_persica_NCBIv2:G5:3756505:3765568:1 gene:PRUPE_5G033200 transcript:ONI05997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMAFDFLLIVLPIILVYTVLAEWMYIWATLLTLLLLIRIAANRFGSYSNLKEDPYSVRKNISSYRVATMIITCLCILAVDFRIFPRRYAKTETYGTGWMDLGVGSFVVANSLVSRQARNISSRKWKTAIQSSSPLIILGFARLLSTRGVDYQVHVAEYGVHWNFFFTLAAISILTCIINVPAQYSGILGSLILVGYQVCLTHGLNLYLLSNERGTDIISQNKEGFFSIFGYWGLYLVGVQLGNFLFFNNHSSATMRSTNWAKIRVWMLSLLFWLLTVIIDRHVERVSRRTCNLGYVTLVLAVNLQVLAILLLSDFLPGSKTSVLEEAYDRNLLGTFLLANLLTGLVNLFVDTLFASSVKALFILIAYAFSLSFLIGLLDFCGIRLKF >ONI05998 pep chromosome:Prunus_persica_NCBIv2:G5:3756505:3764493:1 gene:PRUPE_5G033200 transcript:ONI05998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMAFDFLLIVLPIILVYTVLAEWMYIWATLLTLLLLIRIAANRFGSYSNLKEDPYSVRKNISSYRVATMIITCLCILAVDFRIFPRRYAKTETYGTGWMDLGVGSFVVANSLVSRQARNISSRKWKTAIQSSSPLIILGFARLLSTRGVDYQVHVAEYGVHWNFFFTLAAISILTCIINVPAQYSGILGSLILVGYQVCLTHGLNLYLLSNERGTDIISQNKEGFFSIFGYWGLYLVGVQLGNFLFFNNHSSATMRSTNWAKIRVWMLSLLFWLLTVIIDRHVERVSRRTCNLGYVTLVLAVNLQVLAILLLSDFLPGSKTSVLEEAYDRNLLGTFLLANLLTGLVNLFVDTLFASSVKALFILIAYAFSLSFLIGLLDFCGIRLKFW >ONI05995 pep chromosome:Prunus_persica_NCBIv2:G5:3755392:3765568:1 gene:PRUPE_5G033200 transcript:ONI05995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPNSFNPNKRLKEEFVSNLTGSSMMEIAALTTIIPILIILRHSVGSNPVVDVTLKKNDDAVVGSKGRPAYMSTMAFDFLLIVLPIILVYTVLAEWMYIWATLLTLLLLIRIAANRFGSYSNLKEDPYSVRKNISSYRVATMIITCLCILAVDFRIFPRRYAKTETYGTGWMDLGVGSFVVANSLVSRQARNISSRKWKTAIQSSSPLIILGFARLLSTRGVDYQVHVAEYGVHWNFFFTLAAISILTCIINVPAQYSGILGSLILVGYQVCLTHGLNLYLLSNERGTDIISQNKEGFFSIFGYWGLYLVGVQLGNFLFFNNHSSATMRSTNWAKIRVWMLSLLFWLLTVIIDRHVERVSRRTCNLGYVTLVLAVNLQVLAILLLSDFLPGSKTSVLEEAYDRNLLGTFLLANLLTGLVNLFVDTLFASSVKALFILIAYAFSLSFLIGLLDFCGIRLKF >ONI05996 pep chromosome:Prunus_persica_NCBIv2:G5:3755392:3764493:1 gene:PRUPE_5G033200 transcript:ONI05996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPNSFNPNKRLKEEFVSNLTGSSMMEIAALTTIIPILIILRHSVGSNPVVDVTLKKNDDAVVGSKGRPAYMSTMAFDFLLIVLPIILVYTVLAEWMYIWATLLTLLLLIRIAANRFGSYSNLKEDPYSVRKNISSYRVATMIITCLCILAVDFRIFPRRYAKTETYGTGWMDLGVGSFVVANSLVSRQARNISSRKWKTAIQSSSPLIILGFARLLSTRGVDYQVHVAEYGVHWNFFFTLAAISILTCIINVPAQYSGILGSLILVGYQVCLTHGLNLYLLSNERGTDIISQNKEGFFSIFGYWGLYLVGVQLGNFLFFNNHSSATMRSTNWAKIRVWMLSLLFWLLTVIIDRHVERVSRRTCNLGYVTLVLAVNLQVLAILLLSDFLPGSKTSVLEEAYDRNLLGTFLLANLLTGLVNLFVDTLFASSVKALFILIAYAFSLSFLIGLLDFCGIRLKFW >ONI09388 pep chromosome:Prunus_persica_NCBIv2:G5:17845755:17855091:1 gene:PRUPE_5G235400 transcript:ONI09388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKGRFFSSKKSDSSNSPDGSNNSPRSLGSNSPIRSDKKKSKSASKDDPQGPTTSSGGAFGTGSASRQTLVKDGSKKKETKGKESQAQLKTPSKSGSTAATSTPKKSSTSASASAAAAAEPAASVSPILASSLGLNRIKTRSGPLPQESFFGFRGDKGSSLGSSNLSRPGAVGDGSLGSGSGGKKKEAGSQSRIGFNENLANGSWVDNGSNSDAMSTGSVPSRDQSPNMLAPSRLQNGGESSAEAGRNISSWGHSGGLRSSDVCTPETAYDCENPKESESPRFQAILRLTSAPRKRFPADIKSFSHELNSKGVRPFPFWKPRGLNNLEEILVVIRAKFDKAKEEVNSDLAIFAADLVGILEKNADNHPEWQETLEDLLVLARSCAMTSSGEFWLQCEGIVQELDDRRQELPPGMLKQLHTRMLFILTRCTRLLQFHKESGLAEDEQVFQLRQSRILRSADKRIPPGLAKDPKSSTVNKVSKAASARKSYSQEQSGMEWKRDHVIQPGNLFSPPADQPSKNLDSPASRDRMTSWKKFPSPVGKSMKENAELKDQSDGKIELLKASDNRRGTSDIDLTTVKPPEPSAKDSHEHSSKHQHKPSWGWGNLQNVSDESSMICRICEEEVPTANVEDHSRICAIADRCDQKGISVNERLVRISETLEKMMESFAQKDSQHGVGSPDVAKVSNSSVTEESDVLSPKLSDWSHRGSEDMLDCFPEADNSAFMDDLKGLPSMSCRTRFGPKSDQGMTTSSAGSMTPRSPLLTPRASQIDLLLAGKASFSEQDDLPQMNELSDIARCVANTPLDDDRSMPYLLTCLEDLRVVIDRRKFDALTVETFGARIEKLIREKYLQLCELVEDEKVDITSTIIDEEAPLEDDVVRTSPIHFSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLINSTDDLSGPAVSSTSLLGEDEHELSLSEQQRESRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNCNIPWPRPGEMSPEARDLIDQLLTEDPNQRLGARGASEVKQHPFFKDINWDTLARQKAAFVPTSESALDTSYFTSRYSWNPSDEHVYPTSELDDSSDSDSLSGCSSCLSNRHEEVGDECGGLTEFESGSSINYSFSNFSFKNLSQLASINYDLLSKGFKDDPSGNPSG >ONI07476 pep chromosome:Prunus_persica_NCBIv2:G5:12144322:12153296:1 gene:PRUPE_5G122300 transcript:ONI07476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGDAMEVDLVTSSVQEGGAFGVTDTYSNDNPNFEGETCGICMDAVIDRGVLDCCQHWFCFACIDNWATITNLCPLCQNEFQLITCVPVYDTIGSRVDEDSPYRDDDWSIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGLVAIEDDSNLDTSIACDSCDLWYHAFCVGFDPEGTSESTWLCPRCVVDEIPKKSDTDSVQRSNSQYGPENANRESLDEDNISGKVSVAVADSGETAVVVSMVGENQRIAEPSKRVLPTVEVGKDLESETLVLASEDSHKLARPPGERTITQPVLGAQALELSLSCDTSNVPSNSLAQQFRMSTDGSTNELSSFDCIGNPSGKCFDESHISNKLTDSDSNMGLELGLSVGSFLSAVDLNNNGTEDVKHHNPKEEYLSKAAILVSNQETEDLKIHNPSEEYSPIADEIVPDANLDAPGIAVGGKRKHTDCSDDVHTIVVDDGDTNPKIETKESVKKIRHEEKTQPIASNDQAKASIPDDSKNCSILTVVPKDSTLTFHPVEENITSDILSIVRTTNRKSSKGLAHPNPADNSSQEQETMAGLRVKKIMRRAAEDKDSSMVVQTLRKEIREAVSNNSSKDFGANLFNPKLLDAFRAAVAGPKTEPVKKLSHLAVKTRKAMLQKGKVRENLTKKIYGTSNGRRKRAWDRDREIEFWKHRCIGTTEPEKIETLKSVLDLLKGRSKGADTERESDRQSTNPILSRLYLADSSLLPRKDDIKPLLALKTAGNSEQNDKQPTLIEKCSKSSLNDCTSNSTETGKVLSKGGIPSLEKYGSKNNIPSSGNGVSSSKVHQDRHAEGSLVSSAGGSKSITKREVVEKPEDIKSDKRKWALEVLARKTSGAGGKAANEKQEGNTVLKGNYPLLAQLPIDMRPNLAPSRHNKIPLSVRQTQLYRLTEHFLRKANLPVIRRTADTELAVADSINIEKEVADRSNSKLVYLNLCSQEILHRSENRKSSGAPVLSSAPTSVLAERSEQAANELSTDPVIDAALRNAGLLSDSPPNSPHPNMEVPVEEDGPSLDIREEGPDNVFEMDFHPDLDIYGDFEYNLEDEDYIGAAATKVSNAQPEEGAPKLKLVFSTLQSERSIHTLDLEKTEKTEVQKDFSSMLENPTYSGLEHSTTDGGTDESCAPLESLFGKEGEELSVAECEELYGPDTEPLIKQFPGASEKQSGLLNEALVKDKDPKENENNEPKPNKSIKTSGIGNENNAQNMMVASAGCNSSGGEDSTNHTQPGGNVESKEKKTSTVANNQSNSSSSVSKKVEAYIKEHIRPLCKSGVITTEQYKWAAAKTTDKVMKYHSKAKNANFLIKEGEKVKKLAEQYVETARQKEKTDPL >ONI07478 pep chromosome:Prunus_persica_NCBIv2:G5:12144815:12153296:1 gene:PRUPE_5G122300 transcript:ONI07478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVIDRGVLDCCQHWFCFACIDNWATITNLCPLCQNEFQLITCVPVYDTIGSRVDEDSPYRDDDWSIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGLVAIEDDSNLDTSIACDSCDLWYHAFCVGFDPEGTSESTWLCPRCVVDEIPKKSDTDSVQRSNSQYGPENANRESLDEDNISGKVSVAVADSGETAVVVSMVGENQRIAEPSKRVLPTVEVGKDLESETLVLASEDSHKLARPPGERTITQPVLGAQALELSLSCDTSNVPSNSLAQQFRMSTDGSTNELSSFDCIGNPSGKCFDESHISNKLTDSDSNMGLELGLSVGSFLSAVDLNNNGTEDVKHHNPKEEYLSKAAILVSNQETEDLKIHNPSEEYSPIADEIVPDANLDAPGIAVGGKRKHTDCSDDVHTIVVDDGDTNPKIETKESVKKIRHEEKTQPIASNDQAKASIPDDSKNCSILTVVPKDSTLTFHPVEENITSDILSIVRTTNRKSSKGLAHPNPADNSSQEQETMAGLRVKKIMRRAAEDKDSSMVVQTLRKEIREAVSNNSSKDFGANLFNPKLLDAFRAAVAGPKTEPVKKLSHLAVKTRKAMLQKGKVRENLTKKIYGTSNGRRKRAWDRDREIEFWKHRCIGTTEPEKIETLKSVLDLLKGRSKGADTERESDRQSTNPILSRLYLADSSLLPRKDDIKPLLALKTAGNSEQNDKQPTLIEKCSKSSLNDCTSNSTETGKVLSKGGIPSLEKYGSKNNIPSSGNGVSSSKVHQDRHAEGSLVSSAGGSKSITKREVVEKPEDIKSDKRKWALEVLARKTSGAGGKAANEKQEGNTVLKGNYPLLAQLPIDMRPNLAPSRHNKIPLSVRQTQLYRLTEHFLRKANLPVIRRTADTELAVADSINIEKEVADRSNSKLVYLNLCSQEILHRSENRKSSGAPVLSSAPTSVLAERSEQAANELSTDPVIDAALRNAGLLSDSPPNSPHPNMEVPVEEDGPSLDIREEGPDNVFEMDFHPDLDIYGDFEYNLEDEDYIGAAATKVSNAQPEEGAPKLKLVFSTLQSERSIHTLDLEKTEKTEVQKDFSSMLENPTYSGLEHSTTDGGTDESCAPLESLFGKEGEELSVAECEELYGPDTEPLIKQFPGASEKQSGLLNEALVKDKDPKENENNEPKPNKSIKTSGIGNENNAQNMMVASAGCNSSGGEDSTNHTQPGGNVESKEKKTSTVANNQSNSSSSVSKKVEAYIKEHIRPLCKSGVITTEQYKWAAAKTTDKVMKYHSKAKNANFLIKEGEKVKKLAEQYVETARQKEKTDPL >ONI07477 pep chromosome:Prunus_persica_NCBIv2:G5:12144453:12152754:1 gene:PRUPE_5G122300 transcript:ONI07477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGDAMEVDLVTSSVQEGGAFGVTDTYSNDNPNFEGETCGICMDAVIDRGVLDCCQHWFCFACIDNWATITNLCPLCQNEFQLITCVPVYDTIGSRVDEDSPYRDDDWSIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGLVAIEDDSNLDTSIACDSCDLWYHAFCVGFDPEGTSESTWLCPRCVVDEIPKKSDTDSVQRSNSQYGPENANRESLDEDNISGKVSVAVADSGETAVVVSMVGENQRIAEPSKRVLPTVEVGKDLESETLVLASEDSHKLARPPGERTITQPVLGAQALELSLSCDTSNVPSNSLAQQFRMSTDGSTNELSSFDCIGNPSGKCFDESHISNKLTDSDSNMGLELGLSVGSFLSAVDLNNNGTEDVKHHNPKEEYLSKAAILVSNQETEDLKIHNPSEEYSPIADEIVPDANLDAPGIAVGGKRKHTDCSDDVHTIVVDDGDTNPKIETKESVKKIRHEEKTQPIASNDQAKASIPDDSKNCSILTVVPKDSTLTFHPVEENITSDILSIVRTTNRKSSKGLAHPNPADNSSQEQETMAGLRVKKIMRRAAEDKDSSMVVQTLRKEIREAVSNNSSKDFGANLFNPKLLDAFRAAVAGPKTEPVKKLSHLAVKTRKAMLQKGKVRENLTKKIYGTSNGRRKRAWDRDREIEFWKHRCIGTTEPEKIETLKSVLDLLKGRSKGADTERESDRQSTNPILSRLYLADSSLLPRKDDIKPLLALKTAGNSEQNDKQPTLIEKCSKSSLNDCTSNSTETGKVLSKGGIPSLEKYGSKNNIPSSGNGVSSSKVHQDRHAEGSLVSSAGGSKSITKREVVEKPEDIKSDKRKWALEVLARKTSGAGGKAANEKQEGNTVLKGNYPLLAQLPIDMRPNLAPSRHNKIPLSVRQTQLYRLTEHFLRKANLPVIRRTADTELAVADSINIEKEVADRSNSKLVYLNLCSQEILHRSENRKSSGAPVLSSAPTSVLAERSEQAANELSTDPVIDAALRNAGLLSDSPPNSPHPNMEVPVEEDGPSLDIREEGPDNVFEMDFHPDLDIYGDFEYNLEDEDYIGAAATKVSNAQPEEGAPKLKLVFSTLQSERSIHTLDLEKTEKTEVQKDFSSMLENPTYSGLEHSTTDGGTDESCAPLESLFGKEGEELSVAECEELYGPDTEPLIKQFPGASEKQSGLLNEALVKDKDPKENENNEPKPNKSIKTSGIGNENNAQNMMVASAGCNSSGGEDSTNHTQPGGNVESKEKKTSTVANNQSNSSSSVSKKVEAYIKEHIRPLCKSGVITTEQYKWAAAKTTDKVMKYHSKAKNANFLIKEGEKVKKLAEQYVETARQKEKTDPL >ONI06069 pep chromosome:Prunus_persica_NCBIv2:G5:4255874:4256312:1 gene:PRUPE_5G038100 transcript:ONI06069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFTHLSMSNYCSMYSNTAYFMIFFFSEKQLSLKSARAKRIGTMFIKNKSTKISYTRRIPSFISKLIPPPPHI >ONI05353 pep chromosome:Prunus_persica_NCBIv2:G5:464208:470729:1 gene:PRUPE_5G003400 transcript:ONI05353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYGIPRELSDVQKFRSQYQPELPPCLQGATVRVEFGDTTTSLDPTDAHTIGRYFPHTYGQPLAHFLRATAKVPGAQVITEHPPFRVGVVFCGRQSPGGHNVIWGLHNALKVHNPKSTLLGFLGGSEGLFAQKTLEITDEILATYKNQGGYDLLGRTKDQIRTVEQVNATLTACKDLKLDGLVIIGGVTSNTDAAQLAETFAEAKCQTKVVGVPVTLNGDLKNQFVEANVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNLVILGEEVAASKLTLFDITTQICDAVEARAAQDKNHGVILLPEGLIESIPEVYALLKEIHGLHRQGVSADKISSQLSPWASALFEFLPPFIKKQVLLLPESDDSAQLSQIETEKLVAHLVEVEMNKRVKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHIGYHILAAGLNGYMATVTNLRNPVNKWRCGAAPITAMMTVRRWSQNPGTASIGKPAIHPATVDLKGKAYELLRQNATKFLLDDIYRNPGPLQFDGPGADSKAVTLCVEDQDYMGRIKKLQEYLDKIRTIVKPGCSQEVLKAALSVMASVTEVLSVMSSSPINGQSSL >ONI06913 pep chromosome:Prunus_persica_NCBIv2:G5:9949538:9950412:-1 gene:PRUPE_5G088700 transcript:ONI06913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTIYYSVNGRDLSIHYVEVEGRCISKEGQILAKRRKKMKQGQNYEVVITRKRERERERENESICMLTG >ONI06001 pep chromosome:Prunus_persica_NCBIv2:G5:3766289:3769171:1 gene:PRUPE_5G033300 transcript:ONI06001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMALEALTSNEPFNFIIYDTISATPYSFQDSSGTTSNFIPPDKNFCTSTSSMKSDQDTQIKRALNLEAAAAGGGGRQKQSSLGVNNNNAQQQQQGRKKRRRKPRVCKNKEEAETQRMTHIAVERNRRKQMNEHLAILRSLMPDSYAQRGDQASIVGGAIEFVKELEHLLHSLEAQKLQLLHNADVSTSTSKPAQTPFAQLLECPQYTWSHCPNKFTSKTKAAIADIEVTLIETHANLKIMSRRISPRQLSKLVGGIQSLQLTILHLTVTTMDPFVLYSISVKIEEGCLLSSVDDIATAIHHMLRVIEEAATLC >ONI06530 pep chromosome:Prunus_persica_NCBIv2:G5:8109476:8110646:1 gene:PRUPE_5G066500 transcript:ONI06530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYCFLKPLGEGSFGVVWKALHKVTGEIVAIKQLKNEVSRLTSWEEFLQMAEVESLSQLKNHPNIVNLKQAFMGFDGTASLVFEFMEGNLLQFMQLHKKRFNEAEIRNVCHQILLGLDHMHKNGWCHRDLKPENLLVKQGVVKIGDLGSAKKIQPGIPFKDYVTTRWYRAPEVLLGSHFYDSKVDMWAVGVILAEMFNLRPLLPGQNAEQQLFWICSVLGSPTMKSWPEGQILAGQAEYQFPQFSGSGLSFFIPSASESAIQLITSLLSWDPAKRPTAAEALKHPFFVGSHRIPRAIPRAIPERHNHILPNIGGILV >ONI06887 pep chromosome:Prunus_persica_NCBIv2:G5:9858063:9859628:-1 gene:PRUPE_5G087400 transcript:ONI06887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTAASSVLPSDFKPSLSLSDHRGSLLHCTKIPKKRNRAMVPVARLFGPAIFEASKLKVLFLGVDEKKHPGKLPRTYTLTHSDITSKLTLAVSQTIDNSQLQGWYNKLQRDEVVAEWKKVKDKMSLHVHCHISGGHFLLDLFARLRFFIFCKELPVAFVHGDGNLFSKYPELQEASVWVYFHSSIPEFNKVECWGPLSDAAAPCRSEPGGVHQENRKETSPSNWDLPEPCQEECSCCFPPLSLVPWPQELPHVSETRYGPQQRFEGQTQETN >ONI06888 pep chromosome:Prunus_persica_NCBIv2:G5:9857698:9859939:-1 gene:PRUPE_5G087400 transcript:ONI06888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTAASSVLPSDFKPSLSLSDHRGSLLHCTKIPKKRNRAMVPVARLFGPAIFEASKLKVLFLGVDEKKHPGKLPRTYTLTHSDITSKLTLAVSQTIDNSQLQGWYNKLQRDEVVAEWKKVKDKMSLHVHCHISGGHFLLDLFARLRFFIFCKELPVVLKAFVHGDGNLFSKYPELQEASVWVYFHSSIPEFNKVECWGPLSDAAAPCRSEPGGVHQENRKETSPSNWDLPEPCQEECSCCFPPLSLVPWPQELPHVSETRYGPQQRFEGQTQETN >ONI06886 pep chromosome:Prunus_persica_NCBIv2:G5:9858063:9859580:-1 gene:PRUPE_5G087400 transcript:ONI06886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTAASSVLPSDFKPSLSLSDHRGSLLHCTKIPKKRNRAMVPVARLFGPAIFEASKLKVLFLGVDEKKHPGKLPRTYTLTHSDITSKLTLAVSQTIDNSQLQGWYNKLQRDEVVAEWKKVKDKMSLHVHCHISGGHFLLDLFARLRFFIFCKELPVLKAFVHGDGNLFSKYPELQEASVWVYFHSSIPEFNKVECWGPLSDAAAPCRSEPGGVHQENRKETSPSNWDLPEPCQEECSCCFPPLSLVPWPQELPHVSETRYGPQQRFEGQTQETN >ONI07589 pep chromosome:Prunus_persica_NCBIv2:G5:12515121:12519216:1 gene:PRUPE_5G129100 transcript:ONI07589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEAREKKEIEREDMEDETVIEPEELAGTILPWTEQITVRGVVASIVIGMVYSVIAMKLNLTTGIVPNLNVSAALLAFVFIRTWTNLLQKAGFESRPFTRQENTMIQTCAVACYSIAMGGGFASYLLGLNKKTYELSGVNTEGNSASDVKEPGLVWMTGFLFLVCFVGLFVLIPLRKIMIVDLKLTYPSGLATAVLINGFHTQGDKMAKKQVHGFMKYFSVSFLWGFFKWFYSAKEECGFAQFPTFGLQAWKHTFYFDFSMTFVGAGMICSHLVNLSLLLGSVLSFGVMWPLLGQLKGHWFSESLDEYDMKSLYGYKVFLSVALILGDGLYNFIKILISTIVNIHDRMKNKNLNLALDGQVKPIEEKQNEIFLSENIPMWVGVTGYVVFSIISIIAIPMMFPELKWYYVIVAYMLAPSLAFCNAYGAGLTDINMAYNYGKVALFVLAALTGKEHGVVAGLAGCGLIKSVVSVACILMQDLKTAHLTFTSPRAMFVSQTLGTALGCVTAPLSFFLFYKAFDVGNPHGEFKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFAFAVIVNLVRDFSPKIGKYMPLPMVMGVPFLVGAYFAIDMCIGSLIVFTWHKLDSKKAVLMVPAVASGLICGEGLWTLPASVLALAKVKAPMCMKFLGS >ONI07588 pep chromosome:Prunus_persica_NCBIv2:G5:12515315:12519216:1 gene:PRUPE_5G129100 transcript:ONI07588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEAREKKEIEREDMEDETVIEPEELAGTILPWTEQITVRGVVASIVIGMVYSVIAMKLNLTTGIVPNLNVSAALLAFVFIRTWTNLLQKAGFESRPFTRQENTMIQTCAVACYSIAMGGGFASYLLGLNKKTYELSGVNTEGNSASDVKEPGLVWMTGFLFLVCFVGLFVLIPLRKIMIVDLKLTYPSGLATAVLINGFHTQGDKMAKKQVHGFMKYFSVSFLWGFFKWFYSAKEECGFAQFPTFGLQAWKHTFYFDFSMTFVGAGMICSHLVNLSLLLGSVLSFGVMWPLLGQLKGHWFSESLDEYDMKSLYGYKVFLSVALILGDGLYNFIKILISTIVNIHDRMKNKNLNLALDGQVKPIEEKQNEIFLSENIPMWVGVTGYVVFSIISIIAIPMMFPELKWYYVIVAYMLAPSLAFCNAYGAGLTDINMAYNYGKVALFVLAALTGKEHGVVAGLAGCGLIKSVVSVACILMQDLKTAHLTFTSPRAMFVSQTLGTALGCVTAPLSFFLFYKAFDVGNPHGEFKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFAFAVIVNLVRDFSPKIGKYMPLPMVMGVPFLVGAYFAIDMCIGSLIVFTWHKLDSKKAVLMVPAVASGLICGEGLWTLPASVLALAKVKAPMCMKFLGS >ONI07587 pep chromosome:Prunus_persica_NCBIv2:G5:12515121:12519216:1 gene:PRUPE_5G129100 transcript:ONI07587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEAREKKEIEREDMEDETVIEPEELAGTILPWTEQITVRGVVASIVIGMVYSVIAMKLNLTTGIVPNLNVSAALLAFVFIRTWTNLLQKAGFESRPFTRQENTMIQTCAVACYSIAMGGGFASYLLGLNKKTYELSGVNTEGNSASDVKEPGLVWMTGFLFLVCFVGLFVLIPLRKIMIVDLKLTYPSGLATAVLINGFHTQGDKMAKKQVHGFMKYFSVSFLWGFFKWFYSAKEECGFAQFPTFGLQAWKHTFYFDFSMTFVGAGMICSHLVNLSLLLGSVLSFGVMWPLLGQLKGHWFSESLDEYDMKSLYGYKVFLSVALILGDGLYNFIKILISTIVNIHDRMKNKNLNLALDGQVKPIEEKQNEIFLSENIPMWVGVTGYVVFSIISIIAIPMMFPELKWYYVIVAYMLAPSLAFCNAYGAGLTDINMAYNYGKVALFVLAALTGKEHGVVAGLAGCGLIKSVVSVACILMQDLKTAHLTFTSPRAMFVSQTLGTALGCVTAPLSFFLFYKAFDVGNPHGEFKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFAFAVIVNLVRDFSPKIGKYMPLPMVMGVPFLVGAYFAIDMCIGSLIVFTWHKLDSKKAVLMVPAVASGLICGEGLWTLPASVLALAKVKAPMCMKFLGS >ONI07590 pep chromosome:Prunus_persica_NCBIv2:G5:12515776:12519216:1 gene:PRUPE_5G129100 transcript:ONI07590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEAREKKEIEREDMEDETVIEPEELAGTILPWTEQITVRGVVASIVIGMVYSVIAMKLNLTTGIVPNLNVSAALLAFVFIRTWTNLLQKAGFESRPFTRQENTMIQTCAVACYSIAMGGGFASYLLGLNKKTYELSGVNTEGNSASDVKEPGLVWMTGFLFLVCFVGLFVLIPLRKIMIVDLKLTYPSGLATAVLINGFHTQGDKMAKKQVHGFMKYFSVSFLWGFFKWFYSAKEECGFAQFPTFGLQAWKHTFYFDFSMTFVGAGMICSHLVNLSLLLGSVLSFGVMWPLLGQLKGHWFSESLDEYDMKSLYGYKVFLSVALILGDGLYNFIKILISTIVNIHDRMKNKNLNLALDGQVKPIEEKQNEIFLSENIPMWVGVTGYVVFSIISIIAIPMMFPELKWYYVIVAYMLAPSLAFCNAYGAGLTDINMAYNYGKVALFVLAALTGKEHGVVAGLAGCGLIKSVVSVACILMQDLKTAHLTFTSPRAMFVSQTLGTALGCVTAPLSFFLFYKAFDVGNPHGEFKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFAFAVIVNLVRDFSPKIGKYMPLPMVMGVPFLVGAYFAIDMCIGSLIVFTWHKLDSKKAVLMVPAVASGLICGEGLWTLPASVLALAKVKAPMCMKFLGS >ONI08454 pep chromosome:Prunus_persica_NCBIv2:G5:15053842:15057315:-1 gene:PRUPE_5G178500 transcript:ONI08454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKSMTWVLLLLLLSPAIMNLSTSQSDARWAAKKRRLRDKVHKMFYHAYDNYMIHAFPHDELKPLSKSFTDSLSELGNLKLERLPQDYSGSALTLIESLSSLVIMGNNTEFQSAVVWLSENLTFNVDARVNLFECNIRVLGGLVSAHLLATDSTDRLGKGVYKNQLLTLAEDLGKRFLPAFNTPTGLPYAWVNLKYGVMENETTETSTSGCGSLILEMGALSRLTGDPKYESAALRALRKLWSMRSSLNLLGTTLDVSTGEWIEYSTGIGAGVDSFYEYLFKAHILFGKEEFWRMFHSAYIAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIAAANSSHREFVYVWKKYGVLPERSVVHRSGRINCKFSELIHKSGWRFC >ONI08453 pep chromosome:Prunus_persica_NCBIv2:G5:15052845:15057400:-1 gene:PRUPE_5G178500 transcript:ONI08453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKSMTWVLLLLLLSPAIMNLSTSQSDARWAAKKRRLRDKVHKMFYHAYDNYMIHAFPHDELKPLSKSFTDSLSELGNLKLERLPQDYSGSALTLIESLSSLVIMGNNTEFQSAVVWLSENLTFNVDARVNLFECNIRVLGGLVSAHLLATDSTDRLGKGVYKNQLLTLAEDLGKRFLPAFNTPTGLPYAWVNLKYGVMENETTETSTSGCGSLILEMGALSRLTGDPKYESAALRALRKLWSMRSSLNLLGTTLDVSTGEWIEYSTGIGAGVDSFYEYLFKAHILFGKEEFWRMFHSAYIAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIAAANSSHREFVYVWKKYGVLPERSVVHRSGRINCKFSELIHKSGWRFC >ONI08452 pep chromosome:Prunus_persica_NCBIv2:G5:15053280:15057315:-1 gene:PRUPE_5G178500 transcript:ONI08452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKSMTWVLLLLLLSPAIMNLSTSQSDARWAAKKRRLRDKVHKMFYHAYDNYMIHAFPHDELKPLSKSFTDSLSELGNLKLERLPQDYSGSALTLIESLSSLVIMGNNTEFQSAVVWLSENLTFNVDARVNLFECNIRVLGGLVSAHLLATDSTDRLGKGVYKNQLLTLAEDLGKRFLPAFNTPTGLPYAWVNLKYGVMENETTETSTSGCGSLILEMGALSRLTGDPKYESAALRALRKLWSMRSSLNLLGTTLDVSTGEWIEYSTGIGAGVDSFYEYLFKAHILFGKEEFWRMFHSAYIAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIAAANSSHREFVYVWKKYGVLPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATKDPWYIEVGESIVNSLNLYTKVDGGFASIRDVTTMQLEDHQHSFFLAETCKYLFLLFDDSFLVEKNYIFTTEGHPLPVLSSWHDRLPDTYIQTNRTLLKVLLLPKDGKPSTTDKFNVKASMSSYNIEFMGM >ONI08450 pep chromosome:Prunus_persica_NCBIv2:G5:15052845:15057399:-1 gene:PRUPE_5G178500 transcript:ONI08450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKSMTWVLLLLLLSPAIMNLSTSQSDARWAAKKRRLRDKVHKMFYHAYDNYMIHAFPHDELKPLSKSFTDSLSELGNLKLERLPQDYSGSALTLIESLSSLVIMGNNTEFQSAVVWLSENLTFNVDARVNLFECNIRVLGGLVSAHLLATDSTDRLGKGVYKNQLLTLAEDLGKRFLPAFNTPTGLPYAWVNLKYGVMENETTETSTSGCGSLILEMGALSRLTGDPKYESAALRALRKLWSMRSSLNLLGTTLDVSTGEWIEYSTGIGAGVDSFYEYLFKAHILFGKEEFWRMFHSAYIAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIAAANSSHREFVYVWKKYGVLPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATKDPWYIEVGESIVNSLNLYTKVDGGFASIRDVTTMQLEDHQHSFFLAETCKYLFLLFDDSFLVEKNYIFTTEGHPLPVLSSWHDRLPDTYIQTNRTLLKTGNQARQTSSMSKQVCPPTTLNSWECSGQQVESACHVPDARSDHRCLTDDECGVDSSNCRRRSCSMAGYCGLWLFI >ONI08449 pep chromosome:Prunus_persica_NCBIv2:G5:15052845:15057400:-1 gene:PRUPE_5G178500 transcript:ONI08449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKSMTWVLLLLLLSPAIMNLSTSQSDARWAAKKRRLRDKVHKMFYHAYDNYMIHAFPHDELKPLSKSFTDSLSELGNLKLERLPQDYSGSALTLIESLSSLVIMGNNTEFQSAVVWLSENLTFNVDARVNLFECNIRVLGGLVSAHLLATDSTDRLGKGVYKNQLLTLAEDLGKRFLPAFNTPTGLPYAWVNLKYGVMENETTETSTSGCALRALRKLWSMRSSLNLLGTTLDVSTGEWIEYSTGIGAGVDSFYEYLFKAHILFGKEEFWRMFHSAYIAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIAAANSSHREFVYVWKKYGVLPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATKDPWYIEVGESIVNSLNLYTKVDGGFASIRDVTTMQLEDHQHSFFLAETCKYLFLLFDDSFLVEKNYIFTTEGHPLPVLSSWHDRLPDTYIQTNRTLLKTGNQARQTSSMSKQVCPPTTLNSWECSGQQVESACHVPDARSDHRCLTDDECGVDSSNCRRRSCSMAGYCGLWLFI >ONI08451 pep chromosome:Prunus_persica_NCBIv2:G5:15053280:15057315:-1 gene:PRUPE_5G178500 transcript:ONI08451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKSMTWVLLLLLLSPAIMNLSTSQSDARWAAKKRRLRDKVHKMFYHAYDNYMIHAFPHDELKPLSKSFTDSLSELGNLKLERLPQDYSGSALTLIESLSSLVIMGNNTEFQSAVVWLSENLTFNVDARVNLFECNIRVLGGLVSAHLLATDSTDRLGKGVYKNQLLTLAEDLGKRFLPAFNTPTGLPYAWVNLKYGVMENETTETSTSGCALRALRKLWSMRSSLNLLGTTLDVSTGEWIEYSTGIGAGVDSFYEYLFKAHILFGKEEFWRMFHSAYIAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIAAANSSHREFVYVWKKYGVLPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATKDPWYIEVGESIVNSLNLYTKVDGGFASIRDVTTMQLEDHQHSFFLAETCKYLFLLFDDSFLVEKNYIFTTEGHPLPVLSSWHDRLPDTYIQTNRTLLKVLLLPKDGKPSTTDKFNVKASMSSYNIEFMGM >ONI09026 pep chromosome:Prunus_persica_NCBIv2:G5:16831590:16834775:1 gene:PRUPE_5G212700 transcript:ONI09026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIFCFPTSSVIPLHDHPGMTVFSKVLYGSLHVRAYDWVEPAQESKGPNYFPVRLAKLAVDKVLTAPCGTSVLYPRNGGNLHYFTAVTPCAVLDILTPPYREDAGRKCTYYRDYPYTAFATGNGIKIEDGKEEEYAWLAETEPDNLYMRPGNYTGPTIQV >ONI09023 pep chromosome:Prunus_persica_NCBIv2:G5:16831713:16834247:1 gene:PRUPE_5G212700 transcript:ONI09023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKFQKASDKLQMLLQNRANFSAFRSQTSMARKSSKVQALYELCQNMFTPSGSPPPSSAAINKLCSVLDTMSPADVGLKEENLDDDRGHGFFGLEQLNRVARWTQPITYLDIYECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSLHVRAYDWVEPAQESKGPNYFPVRLAKLAVDKVLTAPCGTSVLYPRNGGNLHYFTAVTPCAVLDILTPPYREDAGRKCTYYRDYPYTAFAL >ONI09024 pep chromosome:Prunus_persica_NCBIv2:G5:16831563:16834159:1 gene:PRUPE_5G212700 transcript:ONI09024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKFQKASDKLQMLLQNRANFSAFRSQTSMARKSSKVQALYELCQNMFTPSGSPPPSSAAINKLCSVLDTMSPADVGLKEENLDDDRGHGFFGLEQLNRVARWTQPITYLDIYECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSLHVRAYDWVEPAQESKGPNYFPVRLAKLAVDKVLTAPCGTSVLYPRNGGNLHYFTAVTPCAVLDILTPPYREDAGRKCTYYRDYPYTAFGK >ONI09025 pep chromosome:Prunus_persica_NCBIv2:G5:16831563:16834775:1 gene:PRUPE_5G212700 transcript:ONI09025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPADVGLKEENLDDDRGHGFFGLEQLNRVARWTQPITYLDIYECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSLHVRAYDWVEPAQESKGPNYFPVRLAKLAVDKVLTAPCGTSVLYPRNGGNLHYFTAVTPCAVLDILTPPYREDAGRKCTYYRDYPYTAFATGNGIKIEDGKEEEYAWLAETEPDNLYMRPGNYTGPTIQV >ONI09022 pep chromosome:Prunus_persica_NCBIv2:G5:16831563:16834775:1 gene:PRUPE_5G212700 transcript:ONI09022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPKFQKASDKLQMLLQNRANFSAFRSQTSMARKSSKVQALYELCQNMFTPSGSPPPSSAAINKLCSVLDTMSPADVGLKEENLDDDRGHGFFGLEQLNRVARWTQPITYLDIYECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSLHVRAYDWVEPAQESKGPNYFPVRLAKLAVDKVLTAPCGTSVLYPRNGGNLHYFTAVTPCAVLDILTPPYREDAGRKCTYYRDYPYTAFATGNGIKIEDGKEEEYAWLAETEPDNLYMRPGNYTGPTIQV >ONI05969 pep chromosome:Prunus_persica_NCBIv2:G5:3656242:3656553:1 gene:PRUPE_5G031900 transcript:ONI05969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHIFDAKEKLNSIQNFPFPLINHYHFIIDMWPFRCKVPIISLFEFERLSRREKDIGRLFVRNLVTFIDPVSS >ONI06617 pep chromosome:Prunus_persica_NCBIv2:G5:8436167:8444646:-1 gene:PRUPE_5G070400 transcript:ONI06617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPPFQVEDQTDEDFFDKLVEDDLGPAESGPKCNEGNDSDDAKAFTNLSSGDSVAAVSEDSGANAKAKDDENKAFANLTIGDSAAVSEDLGARTKAKDEIGPDESNSFGFRSVIESKNSVIDDGVLQSNNDGAGSHLTSDSRMSKSNDSGASGVKEIGWGSFHADSAENGIHGFGSYSDFFNELGDSSGDFPPKVDGNLSTESKTAPSNEDYTAQGLNHSVNNEQYQEGQAYGATVEESRNEQDLNSTEYWESLYPGWKYDPNMGQWYQVDSFDVPANAEGSFGTDSASDWATVSDNKTEVSYLQQTAHSVAGTVTETSTTGSLSNWDQVSQGTNGYPAHMVFNPEYPGWYYDTIAQEWRSLEGYNSSLQPTAPAQNDTSLYGEYRQDSNYGSLGVGSQGQDSSWAGSYSNYNQQGSNMWQAQTGTNNEAFSSFGGNQQMSNSFGSTVNKDQQKSLNSFGAVPLYNKASQGHGEANGTVGFQSFLPGGNFSQQFNQGNAKLIEQTQFSDDYYGNQKPLSYSQQPFQSGNQFSYAPSVGRSSAGRPPHALVTFGFGGKLIVMKDNSSLSNSSYGSQDPVGGSVSVLNLIEVFTEKTDASSFGMSTCDYFRALCQQSFPGPLVGGSVGSKELNKWMDERIANCESSEMDYRKGKVLRLLLSLLKIACQHYGKLRSPFGTDNVSRESDTPESAVAKLFASAKSNGVQFSEYGALSHCVQKMPSEGQMRATASEVQNLLVSGRKKEALQCAQEGQLWGPALVIASQLGEQFYVDTVKQMALRQLVAGSPLRTLCLLIAGQPAEVFSADTTSEINLPGAVNTPQQPAQFGANKMLDDWEENLAVITANRTKDDELVIIHLGDCLWKDRSEITAAHICYLVAEANFESYSDSARLCLIGADHWKSPRTYASPEAIQRTELYEYSRVLGNSQFILLPFQPYKLIYAHMLAEVGRVSDSLKYCQTILKSLKTGRAPEVETWKQLVLSLEERIKTHQQGGYSVNLVSTKFVGKLLNLFDSTAHRVVGGLPPPAPSTYQGSTQGNDHYQQPMGPRVSASQSTMAMSSLIPSASMEPISEWAADGNRKPMHNRSVSEPDFGRTPRQVDSSKETASPDAQGKASGGTSRFARFGFGSQLLQKTVGLVLRPRPGKQAKLGETNKFYYDEKLKRWVEEGVEPPAEEAALPPPPTTTAFHNGVSDYNLKSVLKKEGSPTKGSPDLQTSTSPGPTSGTPPIPPSSNQFSARGRLGIRSRYVDTFNQGGGSPANLFQSPSVPSVKPAVAANAKFFIPTLGSSSEQTMEAIAESVQEDVATKEVPSTSARNDPFQTPLPPSSTTMQRFPSMGNIHGMEVATNANGSVPPHSRRTASWGGSSNDVFSPPPKMGEIKPLGEALGMSPAMFRPSEPSMMRVPMNGGSFGDDLHEVEL >ONI06618 pep chromosome:Prunus_persica_NCBIv2:G5:8436167:8444594:-1 gene:PRUPE_5G070400 transcript:ONI06618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPPFQVEDQTDEDFFDKLVEDDLGPAESGPKCNEGNDSDDAKAFTNLSSGDSVAAVSEDSGANAKAKDDENKAFANLTIGDSAAVSEDLGARTKAKDEIGPDESNSFGFRSVIESKNSVIDDGVLQSNNDGAGSHLTSDSRMSKSNDSGASGVKEIGWGSFHADSAENGIHGFGSYSDFFNELGDSSGDFPPKVDGNLSTESKTAPSNEDYTAQGLNHSVNNEQYQEGQAYGATVEESRNEQDLNSTEYWESLYPGWKYDPNMGQWYQVDSFDVPANAEGSFGTDSASDWATVSDNKTEVSYLQQTAHSVAGTVTETSTTGSLSNWDQVSQGTNGYPAHMVFNPEYPGWYYDTIAQEWRSLEGYNSSLQPTAPAQNDTSLYGEYRQDSNYGSLGVGSQGQDSSWAGSYSNYNQQGSNMWQAQTGTNNEAFSSFGGNQQMSNSFGSTVNKDQQKSLNSFGAVPLYNKASQGHGEANGTVGFQSFLPGGNFSQQFNQGNAKLIEQTQFSDDYYGNQKPLSYSQQPFQSGNQFSYAPSVGRSSAGRPPHALVTFGFGGKLIVMKDNSSLSNSSYGSQDPVGGSVSVLNLIEVFTEKTDASSFGMSTCDYFRALCQQSFPGPLVGGSVGSKELNKWMDERIANCESSEMDYRKGKVLRLLLSLLKIACQHYGKLRSPFGTDNVSRESDTPESAVAKLFASAKSNGVQFSEYGALSHCVQKMPSEGQMRATASEVQNLLVSGRKKEALQCAQEGQLWGPALVIASQLGEQFYVDTVKQMALRQLVAGSPLRTLCLLIAGQPAEVFSADTTSEINLPGAVNTPQQPAQFGANKMLDDWEENLAVITANRTKDDELVIIHLGDCLWKDRSEITAAHICYLVAEANFESYSDSARLCLIGADHWKSPRTYASPEAIQRTELYEYSRVLGNSQFILLPFQPYKLIYAHMLAEVGRVSDSLKYCQTILKSLKTGRAPEVETWKQLVLSLEERIKTHQQGGYSVNLVSTKFVGKLLNLFDSTAHRVVGGLPPPAPSTYQGSTQGNDHYQQPMGPRVSASQSTMAMSSLIPSASMEPISEWAADGNRKPMHNRSVSEPDFGRTPRQVDSSKETASPDAQGKASGGTSRFARFGFGSQLLQKTVGLVLRPRPGKQAKLGETNKFYYDEKLKRWVEEGVEPPAEEAALPPPPTTTAFHNGVSDYNLKSVLKKEGSPTKGSPDLQTSTSPGPTSGTPPIPPSSNQFSARGRLGIRSRYVDTFNQGGGSPANLFQSPSVPSVKPAVAANAKFFIPTLGSSSEQTMEAIAESVQEDVATKEVPSTSARNDPFQTPLPPSSTTMQRFPSMGNIHGMEVATNANGSVPPHSRRTASWGGSSNDVFSPPPKMGEIKPLGEALGMSPAMFRPSEPSMMRVPMNGGSFGDDLHEVEL >ONI06616 pep chromosome:Prunus_persica_NCBIv2:G5:8436167:8444598:-1 gene:PRUPE_5G070400 transcript:ONI06616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPPFQVEDQTDEDFFDKLVEDDLGPAESGPKCNEGNDSDDAKAFTNLSSGDSVAAVSEDSGANAKAKDDENKAFANLTIGDSAAVSEDLGARTKAKDEIGPDESNSFGFRSVIESKNSVIDDGVLQSNNDGAGSHLTSDSRMSKSNDSGASGVKEIGWGSFHADSAENGIHGFGSYSDFFNELGDSSGDFPPKVDGNLSTESKTAPSNEDYTAQGLNHSVNNEQYQEGQAYGATVEESRNEQDLNSTEYWESLYPGWKYDPNMGQWYQVDSFDVPANAEGSFGTDSASDWATVSDNKTEVSYLQQTAHSVAGTVTETSTTGSLSNWDQVSQGTNGYPAHMVFNPEYPGWYYDTIAQEWRSLEGYNSSLQPTAPAQNDTSLYGEYRQDSNYGSLGVGSQGQDSSWAGSYSNYNQQGSNMWQAQTGTNNEAFSSFGGNQQMSNSFGSTVNKDQQKSLNSFGAVPLYNKASQGHGEANGTVGFQSFLPGGNFSQQFNQGNAKLIEQTQFSDDYYGNQKPLSYSQQPFQSGNQFSYAPSVGRSSAGRPPHALVTFGFGGKLIVMKDNSSLSNSSYGSQDPVGGSVSVLNLIEVFTEKTDASSFGMSTCDYFRALCQQSFPGPLVGGSVGSKELNKWMDERIANCESSEMDYRKGKVLRLLLSLLKIACQHYGKLRSPFGTDNVSRESDTPESAVAKLFASAKSNGVQFSEYGALSHCVQKMPSEGQMRATASEVQNLLVSGRKKEALQCAQEGQLWGPALVIASQLGEQFYVDTVKQMALRQLVAGSPLRTLCLLIAGQPAEVFSADTTSEINLPGAVNTPQQPAQFGANKMLDDWEENLAVITANRTKDDELVIIHLGDCLWKDRSEITAAHICYLVAEANFESYSDSARLCLIGADHWKSPRTYASPEAIQRTELYEYSRVLGNSQFILLPFQPYKLIYAHMLAEVGRVSDSLKYCQTILKSLKTGRAPEVETWKQLVLSLEERIKTHQQGGYSVNLVSTKFVGKLLNLFDSTAHRVVGGLPPPAPSTYQGSTQGNDHYQQPMGPRVSASQSTMAMSSLIPSASMEPISEWAADGNRKPMHNRSVSEPDFGRTPRQVDSSKETASPDAQGKASGGTSRFARFGFGSQLLQKTVGLVLRPRPGKQAKLGETNKFYYDEKLKRWVEEGVEPPAEEAALPPPPTTTAFHNGVSDYNLKSVLKKEGSPTKGSPDLQTSTSPGPTSGTPPIPPSSNQFSARGRLGIRSRYVDTFNQGGGSPANLFQSPSVPSVKPAVAANAKFFIPTLGSSSEQTMEAIAESVQEDVATKEVPSTSARNDPFQTPLPPSSTTMQRFPSMGNIHGMEVATNANGSVPPHSRRTASWGGSSNDVFSPPPKMGEIKPLGEALGMSPAMFRPSEPSMMRVPMNGGSFGDDLHEVEL >ONI09436 pep chromosome:Prunus_persica_NCBIv2:G5:17991426:17996247:1 gene:PRUPE_5G238100 transcript:ONI09436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHEKGISEAAGSCRLSLNPIPDLSLHISPPNANTNKNANSAPSSICTDQSTNEAPNHHESGSCFDIWCRDMDGDGLINKSHSDSCVRRGSCSLVAAADHDTELSLANPTASEAESRWRKNYFNTRGGGGEGRRHHLHHHEEEEEAKTSISHGFSMLDVAERLKPIKGIPVYNCPPTNCSFPPNLEDQSHYSRVDRDQRDDFDSNKLRLYLSNSLRPAAPAANSCSGMGMGMGMGFESAMSRTFNGMTMDSLSQRQHYFPSYNLNHLQQQQQQQCSVGGVSGGANYSYNYNSDLPSNGFIMRSRFTPTKLSQNINKRNMRAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTDKPLGSSDGSGDEDLLSTTTTHHHNSSALLNQRGASNLEHDMEHHHHPSSNNLWGNSSRYDLHL >ONI08250 pep chromosome:Prunus_persica_NCBIv2:G5:14440509:14442349:-1 gene:PRUPE_5G167100 transcript:ONI08250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCLKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLLKQGIDPTTHKPLSEEEMKEKKSMDCSELKECLPMPEHEQGLPTIPAMSSSQGPTFLVNDSNYFDGAGVLTQASRAFDSLSYFEFQTGIEPSGYNSDLVSTQYHPTNVRPHFNQPHNTTYETSSNFGFTSMPSLANSDHGSMSGTDFSDNSASRLSSFFMNEVKECSSNSSNVSSYAAGFHMNNNSNNNNNNNVVENAAFSWDTDNKLDSLFQFHANGIKSEELIKPNSWQQGQQLLHAQNSVDFNSYPLTSLSEDLTVLLQRPKLLCTKQLAATN >ONI08249 pep chromosome:Prunus_persica_NCBIv2:G5:14440449:14442717:-1 gene:PRUPE_5G167100 transcript:ONI08249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCLKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLLKQGIDPTTHKPLSEEEMKEKKSMDCSELKECLPMPEHEQGLPTIPAMSSSQGPTFLVNDSNYFDGAGVLTQASRAFDSLSYFEFQTGIEPSGYNSDLVSTQYHPTNVRPHFNQPHNTTYETSSNFGFTSMPSLANSDHGSMSGTDFSDNSASRLSSFFMNEVKECSSNSSNVSSYAAGFHMNNNSNNNNNNNVVENAAFSWDTDNKLDSLFQFHANGIKSEELIKPNSWQQGQQLLHAQNSVDFNSYPLTSLSEDLTEAKAALHQTASSYKLILDLHY >ONI08251 pep chromosome:Prunus_persica_NCBIv2:G5:14440635:14442749:-1 gene:PRUPE_5G167100 transcript:ONI08251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCLKQKLRKGLWSPEEDEKLFNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLLKQGIDPTTHKPLSEEEMKEKKSMDCSELKECLPMPEHEQGLPTIPAMSSSQGPTFLVNDSNYFDGAGVLTQASRAFDSLSYFEFQTGIEPSGYNSDLVSTQYHPTNVRPHFNQPHNTTYETSSNFGFTSMPSLANSDHGSMSGTDFSDNSASRLSSFFMNEVKECSSNSSNVSSYAAGFHMNNNSNNNNNNNVVENAAFSWDTDNKLDSLFQFHANGIKSEELIKPNSWQQGQQLLHAQNSVDFNSYPLTSLSEDLTGANFDVFQHI >ONI07663 pep chromosome:Prunus_persica_NCBIv2:G5:12801805:12803873:1 gene:PRUPE_5G134200 transcript:ONI07663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSSCHHVLSSFSSKKSSNPNNVKSQLSSSLWGSPISISSLFSSNLNTSLQFQTRRRLSVIAMAPPKAKPGGKSKKVIGMIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKAGYVIPVEITVYDDKSFTFILKTPPASVLLLKAAGVEKGSKDPQLEKVGKVTIDQLRTIAQEKLPDLNCTTIESAMRIIAGTAANMGIDVDPPVLEPKKKEVLL >ONI06700 pep chromosome:Prunus_persica_NCBIv2:G5:8913992:8920241:1 gene:PRUPE_5G075100 transcript:ONI06700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDVDDVDLILMCTSSPDDLFGSAPQVQKALGCKRNPLAYDITAACSGFVMGLVSAACHIRGGGFKNVLVIGADALSRFVDWTDRGTCILFGDAAGAVLVQACDSEDDSLLAFDLHSDGDGNRHLNAAFKESETDELGSNGSVLGFPPRRASFSCLQMNGKEVFRFAVRVVPQSIEAALAKAGLPTSSIDWLLLHQANQRILDGVSSRMQIPSEQVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIAAAGFGAGLTWGSTVFRWG >ONI06699 pep chromosome:Prunus_persica_NCBIv2:G5:8913646:8920463:1 gene:PRUPE_5G075100 transcript:ONI06699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPRGYSAPVPLKAQRSTLELPLLNLEHPGKESLTALAVEAALKALEMAEVDVDDVDLILMCTSSPDDLFGSAPQVQKALGCKRNPLAYDITAACSGFVMGLVSAACHIRGGGFKNVLVIGADALSRFVDWTDRGTCILFGDAAGAVLVQACDSEDDSLLAFDLHSDGDGNRHLNAAFKESETDELGSNGSVLGFPPRRASFSCLQMNGKEVFRFAVRVVPQSIEAALAKAGLPTSSIDWLLLHQANQRILDGVSSRMQIPSEQVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIAAAGFGAGLTWGSTVFRWG >ONI06698 pep chromosome:Prunus_persica_NCBIv2:G5:8913646:8920463:1 gene:PRUPE_5G075100 transcript:ONI06698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNASGLFTTPTVPSLRGKIKPSIRIFGSGFRSPDGISKRVFCSSTFEGAEKHVGASPSESRAPRLVSKGCKLIGCGSAVPSLKISNDDLAKVVDTNDEWISVRTGIRNRRILSESLTALAVEAALKALEMAEVDVDDVDLILMCTSSPDDLFGSAPQVQKALGCKRNPLAYDITAACSGFVMGLVSAACHIRGGGFKNVLVIGADALSRFVDWTDRGTCILFGDAAGAVLVQACDSEDDSLLAFDLHSDGDGNRHLNAAFKESETDELGSNGSVLGFPPRRASFSCLQMNGKEVFRFAVRVVPQSIEAALAKAGLPTSSIDWLLLHQANQRILDGVSSRMQIPSEQVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIAAAGFGAGLTWGSTVFRWG >ONI06697 pep chromosome:Prunus_persica_NCBIv2:G5:8913646:8920463:1 gene:PRUPE_5G075100 transcript:ONI06697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNASGLFTTPTVPSLRGKIKPSIRIFGSGFRSPDGISKRVFCSSTFEGAEKHVGASPSESRAPRLVSKGCKLIGCGSAVPSLKISNDDLAKVVDTNDEWISVRTGIRNRRILSGKESLTALAVEAALKALEMAEVDVDDVDLILMCTSSPDDLFGSAPQACDSEDDSLLAFDLHSDGDGNRHLNAAFKESETDELGSNGSVLGFPPRRASFSCLQMNGKEVFRFAVRVVPQSIEAALAKAGLPTSSIDWLLLHQANQRILDGVSSRMQIPSEQVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIAAAGFGAGLTWGSTVFRWG >ONI06695 pep chromosome:Prunus_persica_NCBIv2:G5:8913646:8920463:1 gene:PRUPE_5G075100 transcript:ONI06695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNASGLFTTPTVPSLRGKIKPSIRIFGSGFRSPDGISKRVFCSSTFEGAEKHVGASPSESRAPRLVSKGCKLIGCGSAVPSLKISNDDLAKVVDTNDEWISVRTGIRNRRILSGKESLTALAVEAALKALEMAEVDVDDVDLILMCTSSPDDLFGSAPQVQKALGCKRNPLAYDITAACSGFVMGLVSAACHIRGGGFKNVLVIGADALSRFVDWTDRGTCILFGDAAGAVLVQACDSEDDSLLAFDLHSDGDGNRHLNAAFKESETDELGSNGSVLGFPPRRASFSCLQMNGKEVFRFAVRVVPQSIEAALAKAGLPTSSIDWLLLHQANQRILDGVSSRMQIPSEQVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIAAAGFGAGLTWGSTVFRWG >ONI06696 pep chromosome:Prunus_persica_NCBIv2:G5:8913646:8920463:1 gene:PRUPE_5G075100 transcript:ONI06696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNASGLFTTPTVPSLRGKIKPSIRIFGSGFRSPDGISKRVFCSSTFEGAEKHVGASPSESRAPRLVSKGCKLIGCGSAVPSLKISNDDLAKVVDTNDEWISVRTGIRNRRILSGKESLTALAVEAALKALEMAEVDVDDVDLILMCTSSPDDLFGSAPQVQKALGCKRNPLAYDITAACSGFVMGLVSAACHIRGGGFKNVLVIGADALSRFVDWTDRGTCILFGDAAGAVLVQACDSEDDSLLAFDLHSDGDGNRHLNAAFKESETDELGSNGSVLGFPPRRASFSCLQMNGKEVFRFAVRVVPQSIEAALAKAGLPTSSIDWLLLHQANQRILDGVSSRMQIPSEQVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIAAAGFGAGLTWGSTVFRWG >ONI06701 pep chromosome:Prunus_persica_NCBIv2:G5:8917358:8920237:1 gene:PRUPE_5G075100 transcript:ONI06701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLAVLLRYIDHWMVYPISGFRSKKLEVQKALGCKRNPLAYDITAACSGFVMGLVSAACHIRGGGFKNVLVIGADALSRFVDWTDRGTCILFGDAAGAVLVQACDSEDDSLLAFDLHSDGDGNRHLNAAFKESETDELGSNGSVLGFPPRRASFSCLQMNGKEVFRFAVRVVPQSIEAALAKAGLPTSSIDWLLLHQANQRILDGVSSRMQIPSEQVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIAAAGFGAGLTWGSTVFRWG >ONI05849 pep chromosome:Prunus_persica_NCBIv2:G5:2977523:2981118:-1 gene:PRUPE_5G026300 transcript:ONI05849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVASAPGKVLLTGGYLILERPNAGLVLSTNSRFFAIVKPLYHQLKPDSWAWGWTDVKLTSPQLSRESIYKLSLKNLSLEYVSSSDSKNPFVEQAVQYCVAAARATVDKNKKDSLEKLLLQGLDITILGSNDFYSYRNQIEARGLPLTPDALAALPPFASITFNAEESNGENCKPEVAKTGLGSSAAMTTAVVAALLHYLRVVDLSSVLEDHQHEKYTADLDLVHVIAQTAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSSAQVAVKGTPLQEVILEILKGTWDHERTKFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPQKSLETWRKLSEANSALETQLSTLSKLAEQHWDEYESLIKSCSILKSEKWIHQAAKPNQEAITKALLGARDAMLRIRYHMHQMGEAAGVPLWWSLLREFWIAWAIPKGCFELLCTTMDLPGNRKRASVLWGCLVHAIFWNIWMERNCRFFLIIRGWDLPSFGIG >ONI05848 pep chromosome:Prunus_persica_NCBIv2:G5:2974859:2981363:-1 gene:PRUPE_5G026300 transcript:ONI05848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVASAPGKVLLTGGYLILERPNAGLVLSTNSRFFAIVKPLYHQLKPDSWAWGWTDVKLTSPQLSRESIYKLSLKNLSLEYVSSSDSKNPFVEQAVQYCVAAARATVDKNKKDSLEKLLLQGLDITILGSNDFYSYRNQIEARGLPLTPDALAALPPFASITFNAEESNGENCKPEVAKTGLGSSAAMTTAVVAALLHYLRVVDLSSVLEDHQHEKYTADLDLVHVIAQTAHCIAQGKVGSGFDVSSAVYGSQRYVRFSPEVLSSAQVAVKGTPLQEVILEILKGTWDHERTKFSLPPLMTLLLGEPGTGGSSTPSMVGAVKKWQKADPQKSLETWRKLSEANSALETQLSTLSKLAEQHWDEYESLIKSCSILKSEKWIHQAAKPNQEAITKALLGARDAMLRIRYHMHQMGEAAGVPIEPESQTSLLDATMNMEGVLLAGVPGAGGFDAVFAVTLGDSGSHVASSWSSFNVLALLVREDPHGVSLESADPRTKEITSSISSVHIE >ONI05472 pep chromosome:Prunus_persica_NCBIv2:G5:942135:945561:-1 gene:PRUPE_5G009200 transcript:ONI05472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKVRDEMDRWSSLQSRLISQFRNASSIIQRLQVLLDSKKYVRLKDVVGIQEAVLAKQMESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05485 pep chromosome:Prunus_persica_NCBIv2:G5:941571:945496:-1 gene:PRUPE_5G009200 transcript:ONI05485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05475 pep chromosome:Prunus_persica_NCBIv2:G5:941680:945545:-1 gene:PRUPE_5G009200 transcript:ONI05475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKVRDEMDRWSSLQSRLISQFRNASSIIQRLQVLLDSKKYVRLKDVVGIQEAVLAKQMESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05481 pep chromosome:Prunus_persica_NCBIv2:G5:941571:945546:-1 gene:PRUPE_5G009200 transcript:ONI05481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05471 pep chromosome:Prunus_persica_NCBIv2:G5:941571:945561:-1 gene:PRUPE_5G009200 transcript:ONI05471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKVRDEMDRWSSLQSRLISQFRNASSIIQRLQVLLDSKKYVRLKDVVGIQEAVLAKQMESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05476 pep chromosome:Prunus_persica_NCBIv2:G5:943079:945429:-1 gene:PRUPE_5G009200 transcript:ONI05476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKVRDEMDRWSSLQSRLISQFRNASSIIQRLQVLLDSKKYVRLKDVVGIQEAVLAKQMESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05477 pep chromosome:Prunus_persica_NCBIv2:G5:941680:945617:-1 gene:PRUPE_5G009200 transcript:ONI05477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKVRDEMDRWSSLQSRLISQFRNASSIIQRLQVLLDSKKYVRLKDVVGIQEAVLAKQMESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05470 pep chromosome:Prunus_persica_NCBIv2:G5:941680:945496:-1 gene:PRUPE_5G009200 transcript:ONI05470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKVRDEMDRWSSLQSRLISQFRNASSIIQRLQVLLDSKKYVRLKDVVGIQEAVLAKQMESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05473 pep chromosome:Prunus_persica_NCBIv2:G5:941680:945510:-1 gene:PRUPE_5G009200 transcript:ONI05473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKVRDEMDRWSSLQSRLISQFRNASSIIQRLQVLLDSKKYVRLKDVVGIQEAVLAKQMESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05482 pep chromosome:Prunus_persica_NCBIv2:G5:943079:945088:-1 gene:PRUPE_5G009200 transcript:ONI05482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05469 pep chromosome:Prunus_persica_NCBIv2:G5:941571:945488:-1 gene:PRUPE_5G009200 transcript:ONI05469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKVRDEMDRWSSLQSRLISQFRNASSIIQRLQVLLDSKKYVRLKDVVGIQEAVLAKQMESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSDASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05478 pep chromosome:Prunus_persica_NCBIv2:G5:943079:945429:-1 gene:PRUPE_5G009200 transcript:ONI05478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKVRDEMDRWSSLQSRLISQFRNASSIIQRLQVLLDSKKYVRLKDVVGIQEAVLAKQMESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05474 pep chromosome:Prunus_persica_NCBIv2:G5:941680:945488:-1 gene:PRUPE_5G009200 transcript:ONI05474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKVRDEMDRWSSLQSRLISQFRNASSIIQRLQVLLDSKKYVRLKDVVGIQEAVLAKQMESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05484 pep chromosome:Prunus_persica_NCBIv2:G5:941571:945496:-1 gene:PRUPE_5G009200 transcript:ONI05484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05479 pep chromosome:Prunus_persica_NCBIv2:G5:941680:945488:-1 gene:PRUPE_5G009200 transcript:ONI05479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVKKYQQRFRKVRDEMDRWSSLQSRLISQFRNASSIIQRLQVLLDSKKYVRLKDVVGIQEAVLAKQMESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPRCVIASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05480 pep chromosome:Prunus_persica_NCBIv2:G5:943079:945088:-1 gene:PRUPE_5G009200 transcript:ONI05480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05486 pep chromosome:Prunus_persica_NCBIv2:G5:943079:945088:-1 gene:PRUPE_5G009200 transcript:ONI05486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI05483 pep chromosome:Prunus_persica_NCBIv2:G5:943079:945088:-1 gene:PRUPE_5G009200 transcript:ONI05483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRKILFSMNKTMEEFHGIVLSLGKIHRDGRQMVKGGGSNQLTVKQLQQRVGVKPRLADCLDGLMLLQDMHCSEYLLKSSLVSALSALTFKPSASDLGALQQLLVDQPNIPNEEVQFIFDIIFAEEIC >ONI09392 pep chromosome:Prunus_persica_NCBIv2:G5:17865754:17870607:-1 gene:PRUPE_5G235700 transcript:ONI09392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIIQSTCISNVLPIPNSAVPLPPYSLSCSTLSSRASAFFTRNSNPIFKPNYAPFLPTKSPRGAHLVIQAAGTDHYKTLNVPRSATLQEIKSSYRKLARKYHPDLNKGAGAEDKFKEISSAYEVLSDDEKRSLYDRFGEAGLQGEYDSSAMGSTGVDPFDVFDTFFGGSDGIFGGRGESGGFNFNLRNRGNQGLDIRYDLYLSFEESIFGVQREIEVSSVETCDNCGGTGAKSDNCIKSCTGCGGRGGVMKTQRTPFGMISQVSTCSKCGGDGRIITDPCQRCSGKGQVQSKRTMSVTIPAGVNDGATMQIQGEGNFDKKRGTVGDVFIVLHIDRKAGIHRDGLNLYSKIKIDYTEAILGTVIKVETVEGLKELQIPSGIQPGETVKLRRMGVPDMNKPSTRGDHHFVVNVLIPKIISDKERTLVEELASLKASREGHSVASKGTQDANFAMHRTSASSQGTSRVASLWNSIKGVLGKRQSSSGEGFASVGVGSPCPPLLWGSTKPNSCYYMASLFTVLVVTYICSMMGKTRNLTLLQQQSDASPPHTTKRNSVDRKSKV >ONI09397 pep chromosome:Prunus_persica_NCBIv2:G5:17865932:17869529:-1 gene:PRUPE_5G235700 transcript:ONI09397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGVDPFDVFDTFFGGSDGIFGGRGESGGFNFNLRNRGNQGLDIRYDLYLSFEESIFGVQREIEVSSVETCDNCGGTGAKSDNCIKSCTGCGGRGGVMKTQRTPFGMISQVSTCSKCGGDGRIITDPCQRCSGKGQVQSKRTMSVTIPAGVNDGATMQIQGEGNFDKKRGTVGDVFIVLHIDRKAGIHRDGLNLYSKIKIDYTEAILGTVIKVETVEGLKELQIPSGIQPGETVKLRRMGVPDMNKPSTRGDHHFVVNVLIPKIISDKERTLVEELASLKASREGHSVASKGTGTQDANFAMHRTSASSQGTSRVASLWNSIKGVLGKRQSSSGEGFASVGVGSPCPPLLWGSTKPNSCYYMASLFTVLVVTYICSMMGKTRNLTLLQQQSDASPPHTTKRNSVDRKSKV >ONI09396 pep chromosome:Prunus_persica_NCBIv2:G5:17865932:17869529:-1 gene:PRUPE_5G235700 transcript:ONI09396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGVDPFDVFDTFFGGSDGIFGGRGESGGFNFNLRNRGNQGLDIRYDLYLSFEESIFGVQREIEVSSVETCDNCGGTGAKSDNCIKSCTGCGGRGGVMKTQRTPFGMISQVSTCSKCGGDGRIITDPCQRCSGKGQVQSKRTMSVTIPAGVNDGATMQIQGEGNFDKKRGTVGDVFIVLHIDRKAGIHRDGLNLYSKIKIDYTEAILGTVIKVETVEGLKELQIPSGIQPGETVKLRRMGVPDMNKPSTRGDHHFVVNVLIPKIISDKERTLVEELASLKASREGHSVASKGTGTQDANFAMHRTSASSQGTSRVASLWNSIKGVLGKRQSSSGEGFASVGVGSPCPPLLWGSTKPNSCYYMASLFTVLVVTYICSMMGKTRNLTLLQQQSDASPPHTTKRNSVDRKSKV >ONI09395 pep chromosome:Prunus_persica_NCBIv2:G5:17865754:17870607:-1 gene:PRUPE_5G235700 transcript:ONI09395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGVDPFDVFDTFFGGSDGIFGGRGESGGFNFNLRNRGNQGLDIRYDLYLSFEESIFGVQREIEVSSVETCDNCGGTGAKSDNCIKSCTGCGGRGGVMKTQRTPFGMISQVSTCSKCGGDGRIITDPCQRCSGKGQVQSKRTMSVTIPAGVNDGATMQIQGEGNFDKKRGTVGDVFIVLHIDRKAGIHRDGLNLYSKIKIDYTEAILGTVIKVETVEGLKELQIPSGIQPGETVKLRRMGVPDMNKPSTRGDHHFVVNVLIPKIISDKERTLVEELASLKASREGHSVASKGTQDANFAMHRTSASSQGTSRVASLWNSIKGVLGKRQSSSGEGFASVGVGSPCPPLLWGSTKPNSCYYMASLFTVLVVTYICSMMGKTRNLTLLQQQSDASPPHTTKRNSVDRKSKV >ONI09394 pep chromosome:Prunus_persica_NCBIv2:G5:17865754:17870607:-1 gene:PRUPE_5G235700 transcript:ONI09394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGVDPFDVFDTFFGGSDGIFGGRGESGGFNFNLRNRGNQGLDIRYDLYLSFEESIFGVQREIEVSSVETCDNCGGTGAKSDNCIKSCTGCGGRGGVMKTQRTPFGMISQVSTCSKCGGDGRIITDPCQRCSGKGQVQSKRTMSVTIPAGVNDGATMQIQGEGNFDKKRGTVGDVFIVLHIDRKAGIHRDGLNLYSKIKIDYTEAILGTVIKVETVEGLKELQIPSGIQPGETVKLRRMGVPDMNKPSTRGDHHFVVNVLIPKIISDKERTLVEELASLKASREGHSVASKGTQDANFAMHRTSASSQGTSRVASLWNSIKGVLGKRQSSSGEGFASVGVGSPCPPLLWGSTKPNSCYYMASLFTVLVVTYICSMMGKTRNLTLLQQQSDASPPHTTKRNSVDRKSKV >ONI09393 pep chromosome:Prunus_persica_NCBIv2:G5:17865932:17870439:-1 gene:PRUPE_5G235700 transcript:ONI09393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIIQSTCISNVLPIPNSAVPLPPYSLSCSTLSSRASAFFTRNSNPIFKPNYAPFLPTKSPRGAHLVIQAAGTDHYKTLNVPRSATLQEIKSSYRKLARKYHPDLNKGAGAEDKFKEISSAYEVLSDDEKRSLYDRFGEAGLQGEYDSSAMGSTGVDPFDVFDTFFGGSDGIFGGRGESGGFNFNLRNRGNQGLDIRYDLYLSFEESIFGVQREIEVSSVETCDNCGGTGAKSDNCIKSCTGCGGRGGVMKTQRTPFGMISQVSTCSKCGGDGRIITDPCQRCSGKGQVQSKRTMSVTIPAGVNDGATMQIQGEGNFDKKRGTVGDVFIVLHIDRKAGIHRDGLNLYSKIKIDYTEAILGTVIKVETVEGLKELQIPSGIQPGETVKLRRMGVPDMNKPSTRGDHHFVVNVLIPKIISDKERTLVEELASLKASREGHSVASKGTGTQDANFAMHRTSASSQGTSRVASLWNSIKGVLGKRQSSSGEGFASVGVGSPCPPLLWGSTKPNSCYYMASLFTVLVVTYICSMMGKTRNLTLLQQQSDASPPHTTKRNSVDRKSKV >ONI09313 pep chromosome:Prunus_persica_NCBIv2:G5:17635127:17637583:-1 gene:PRUPE_5G231100 transcript:ONI09313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIQHYGLFVVMLMILAGALPSGFSQTTRHFQFNVEWKNVTRLCSTKPLLTVNGEYPGPTIAVHEGDHVEIKVTNHIADNTTIHWHGIRQLRTGWADGPAYITQCPIRGGKSYTYKFTVQYQRGTLWWHAHYAWQRASVYGAFIIHPRMPFPFSAPIQDEFPIIFGEWWIGDVDEVENEMVKYGGGPNISDAYTINGLPGPFYPCSNKDTFIKTVEHGKTYLLRIINAALNDELFFAVANHTLTVVEIDAVYTKPFTATAIMVAPGQTTNVLLTANQVPDSSGTFLMAAWPYLTSVFPFDNSTTAGFLRYKNTVTENIKHPQKMPSTFEPNKYNLPKMEDTMFVTKFSDKLRSLASPQYPCTVPKTIDKRVVTVVSLNLQDCPANKTCKGYEDKRFFASMNNQSFVRPSLSILESHYNKLRNNEYSTDFPEKPLKPFDYTGVDPASENMNTQFSTKILEVPYGTNLEIVLQGTSFLNVENHPIHVHGHNFFIVGRGFGNFNVAKDPTKYNLIDPPERNTVAVPTGGWAAIRFKADNPGVWFIHCHLEEHTSWGLASGLIVQNGPRASQRVLPPPKDLPSC >ONI08565 pep chromosome:Prunus_persica_NCBIv2:G5:15398998:15400144:1 gene:PRUPE_5G185600 transcript:ONI08565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPTLDAVAQVTHKKLQGKVAIVTGGASGIGEATARKFALHGARTVVIADVQDDKGQNVAASIGGPDRSTYIHCDVTDEEQVRGLVESTVRIYGRLDIMFSNAGIGSASQQTVLDFDLASYDKLMAVNVRGMAACVKHAARAMVEGRVRGSIVCTASISASMGGSIFTDYTMSKHAVLGLVRSASVQLGAHGVRVNSVSPGPVATPLLCTLFKVEAEELEKMLESQMGLKAPVAGKMMSVESVADCVVFLASDEAEFVTGHNLVVDGGFKT >ONI08100 pep chromosome:Prunus_persica_NCBIv2:G5:14001348:14002853:1 gene:PRUPE_5G157900 transcript:ONI08100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMAEEKDWFFYVNMDDDLLVLDISKLLARSSPRPEFKLVHGSYFPDGRNVPEGMRYIIVNSKPYIVGGKSCPKRPSESFKPNLEVIDCEPTEGNKTTLPTTFAPKVNPVVVTIKDKIYVMSTEPVGYEMEEESPALFEVFDPVTGLWTVLPNPPFSVGTDVVLFECSWGDTLVVRHWLHQRYYVFDTLQNKWEEDDAIASGVPKASISRFAEFNNFLVNVYYWGGDVIAYSLDPINGIPKPVGEIVELRKVLWQPCLEFTSSFVSHMSGDDGLMCIMSGGWDPSNKFCVRVVVFQLLVTSLAPGEGFRVNADIKANETYYFKYYSFKPTGDLQISSVAMTLDRSDKHDNKPDASCKNENLPKFDRFVLCA >ONI07206 pep chromosome:Prunus_persica_NCBIv2:G5:11231236:11235748:1 gene:PRUPE_5G106000 transcript:ONI07206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLANSVCLKHSVSLWFPHQSPAAHRSSSIGFRTFHRSYVVSSSSFSNENREFVIVGGGNAAGYAARTFVEHGMADGRLAIVSKEAHAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPDWYKENGIEMFYEDLVSNIDIEKQTLTTNSGKLLKYGSLIVATGCTASRLPEKIGGNLPGVHYIRDVADADALISSLEKAKKVVVVGGGYIGMEVAAAAIGWNLDTTIVFPENYLLQRLFTPSLARRYEEFYQQNGVKFLKGASIKNLEAGVDGRVTYVKLDDGSTIEADTVIIGIGAKPAVSPFERVGLESSVGGIQVDGLFRTRVPGIFAVGDVAAFPLKMYNRIARVEHVDHARRSAQHCVKALLSAQTDIWRDH >ONI07205 pep chromosome:Prunus_persica_NCBIv2:G5:11231364:11235340:1 gene:PRUPE_5G106000 transcript:ONI07205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLANSVCLKHSVSLWFPHQSPAAHRSSSIGFRTFHRSYVVSSSSFSNENREFVIVGGGNAAGYAARTFVEHGMADGRLAIVSKEAHAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPDWYKENGIEMFYEDLVSNIDIEKQTLTTNSGKLLKYGSLIVATGCTASRLPEKIGGNLPGVHYIRDVADADALISSLEKAKKVVVVGGGYIGMEVAAAAIGWNLDTTIVFPENYLLQRLFTPSLARRYEEFYQQNGVKFLKGASIKNLEAGVDGRVTYVKLDDGSTIEADTVIIGIGAKPAVSPFERVGLESSVGGIQVDGLFRTRVPGIFAVGDVAAFPLKMYNRIARVEHVDHARRSAQHCVKALLSAQTDIYDYLPSFYSRVFEYEGSPRKIWWQFFGDNVGETIEIGNFDPKIATFWIDSGKLKGVLLESGSPEEFQLLPKLARSQPLVDKAKLQNASSVEEALEIAGSSL >ONI07202 pep chromosome:Prunus_persica_NCBIv2:G5:11231012:11235748:1 gene:PRUPE_5G106000 transcript:ONI07202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVRRRMANLANSVCLKHSVSLWFPHQSPAAHRSSSIGFRTFHRSYVVSSSSFSNENREFVIVGGGNAAGYAARTFVEHGMADGRLAIVSKEAHAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPDWYKENGIEMFYEDLVSNIDIEKQTLTTNSGKLLKYGSLIVATGCTASRLPEKIGGNLPGVHYIRDVADADALISSLEKAKKVVVVGGGYIGMEVAAAAIGWNLDTTIVFPENYLLQRLFTPSLARRYEEFYQQNGVKFLKGASIKNLEAGVDGRVTYVKLDDGSTIEADTVIIGIGAKPAVSPFERVGLESSVGGIQVDGLFRTRVPGIFAVGDVAAFPLKMYNRIARVEHVDHARRSAQHCVKALLSAQTDIYDYLPSFYSRVFEYEGSPRKIWWQFFGDNVGETIEIGNFDPKIATFWIDSGKLKGVLLESGSPEEFQLLPKLARSQPLVDKAKLQNASSVEEALEIAGSSL >ONI07203 pep chromosome:Prunus_persica_NCBIv2:G5:11231012:11235748:1 gene:PRUPE_5G106000 transcript:ONI07203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVRRRMANLANSVCLKHSVSLWFPHQSPAAHRSSSIGFRTFHRSYVVSSSSFSNENREFVIVGGGNAAGYAARTFVEHGMADGRLAIVSKEAHAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPDWYKENGIEMFYEDLVSNIDIEKQTLTTNSGKLLKYGSLIVATGCTASRLPEKIGGNLPGVHYIRDVADADALISSLIVFPENYLLQRLFTPSLARRYEEFYQQNGVKFLKGASIKNLEAGVDGRVTYVKLDDGSTIEADTVIIGIGAKPAVSPFERVGLESSVGGIQVDGLFRTRVPGIFAVGDVAAFPLKMYNRIARVEHVDHARRSAQHCVKALLSAQTDIYDYLPSFYSRVFEYEGSPRKIWWQFFGDNVGETIEIGNFDPKIATFWIDSGKLKGVLLESGSPEEFQLLPKLARSQPLVDKAKLQNASSVEEALEIAGSSL >ONI07204 pep chromosome:Prunus_persica_NCBIv2:G5:11231012:11235748:1 gene:PRUPE_5G106000 transcript:ONI07204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVRRRMANLANSVCLKHSVSLWFPHQSPAAHRSSSIGFRTFHRSYVVSSSSFSNENREFVIVGGGNAAGYAARTFVEHGMADGRLAIVSKEAHAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPDWYKENGIEMFYEDLVSNIDIEKQTLTTNSGKLLKYGSLIVATGCTASRLPEKIGGNLPGVHYIRDVADADALISSLIVFPENYLLQRLFTPSLARRYEEFYQQNGVKFLKGASIKNLEAGVDGRVTYVKLDDGSTIEADTVIIGIGAKPAVSPFERVGLESSVGGIQVDGLFRTRVPGIFAVGDVAAFPLKMYNRIARVEHVDHARRSAQHCVKALLSAQTDIWRDH >ONI06142 pep chromosome:Prunus_persica_NCBIv2:G5:4732676:4735045:-1 gene:PRUPE_5G043100 transcript:ONI06142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAPPSSASKPSPFPVSSKSSNPISRFTLPFSPHPQKPTFLRHLQISNSLSSKTATTTITTTNPPDPETKISRFAPDEPRKGADVLVEALERQGVTNVFAYPGGASMEIHQALTRSSTIRNVLPRHEQGGVFAAEGYARASGRPGVCIATSGPGATNLVSGLADALMDSIPVVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVVSEAFFLATSGRPGPVLIDIPKDVQQQLVVPNWDQPIRLPGYMSRLPKTPSEDHLEQIVRLVSESKRPVLYVGGGCLNSSEELRRFVELTGIPVASTLMGLGAYPCNDEELSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCADIKLALEGLNRILEGKESNVQLDFSAWRAELKEQKVKFPLGFKTFGESISPQNAIQVLDELTDGNAIISTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPDSIVVDIDGDGSFIMNVQELATISVEKLPIKILLLNNQHLGMVVQWEDRFYKANRAHTYLGNPSNESEIFPNMLQFADACGIPAARVTKKQDLKAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRSSY >ONI05922 pep chromosome:Prunus_persica_NCBIv2:G5:3415754:3421837:1 gene:PRUPE_5G029000 transcript:ONI05922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDCQVMSTMGGGNVVTSETLFSSPIQNPNFNFMPSQLPFQPFSSIINPKEENGLLMRGKEEMMESGSGSEQVEEKSGNEQEADQLQQPPKKKRYHRHTAHQIQEMENLFKECPHPDDKQRQKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNVMLRAENDSLKNENYRLQTALRNIICPNCGGPSMIADIGLDEQQLRLENARLREELERVCCLSSRYTTGRQIQTMAPGDPLMSASSLDLDMNIYSRHFQDPMTSCGDMIPMPLLPPEVPSHYNEGGVLLDEEKSLAVELAASSVDELVKMCQAGEPLWIRNSEIGKEVLNVKEYTRMFPPWPLNLKHHSSDQFRTEATRDSAVVIMNSINLVDCFLDANKWMELFPSIVSRAKTVQVIQADPSGQANGSLQLMYAELQILSPLVPTREAHFLRYCQQNAEEGCWAIVDFPIDSFHDNLQSSFPRYKRLPSGCLIQDMPNGYSKITWVEHAEIEEKPVHQILSHYIYSGMAFGAQRWLAILQRQCERVASLMARNISDLGVIPSPEARKNLMKLSQRMIRTFCVNMSTSNGQSWTALSDSPDDTVRITTRKVTDPGQPIGVILSAVSTTWLPYSHYRVFELLRDEHRRAQLDVLSNGNSLHEVAHIANGSHPGNCISLLRINVASNSAQNVELMLQESCTDESGSLVVYTTMDVDGIQLAMSGEDPSCIPLLPLGFVIVPLHPMESTGPTPNHLTSSSPDHRQEDSTATTTTTSNVINSGCLLTVGLQVLASTSPSAKLNLSSVTAINNHLCNSVQQIISALSSGSDTCIAAATTENGSVGGGASCSDPTASSPRQ >ONI08571 pep chromosome:Prunus_persica_NCBIv2:G5:15419784:15421531:-1 gene:PRUPE_5G186200 transcript:ONI08571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTTLVGSPELKQPVTSSPPPPQLQLLYQLASVPSTTKDNYRSFGNPCHAIYFNYKTHRHLKQLLPLAWSHNPLTTLKLICSLLRFEERDCFTRRPCNVVPIAGALGDFKDILEVLYGILDVNAVRKRRSRSRNLWFDYEEDVIQKKVGSGVDISSNTRMIDMARKAVEMYERDQDYQLLHERVSDLYAECLKSDIQNLKKYEKQKMENVNAKWCPSVDSFFDRSTLLCETIARKLFPLEECKKGNSVEEEEADYVSRVREWLMNEVLEPLRKVIKEGYEEKYVPYERRGEDPRVKKYLMCVNSKKIEAGAMLPHQIIGYVNDRNFRELAEAQWKAMVEEMYSKQGKFKNCLAVCDVSGRMSRDPMNFSLGLGLLVSELSEEPWKGKVFTFSRNPQLLLIQGDDLKSKCAFMRRMDNQDWDGETDFNKVFDLILEVAVKGNLKPEQMIKRLYVFTSDQDFDDASANSSKTDYRTIQSKFKEKGAMCVLGYEQKDEAIPVALSAEQGVARMTGYSKNLVNCFLDNDGDVSPDHVMEAAISGNYYQNLAVVD >ONI09524 pep chromosome:Prunus_persica_NCBIv2:G5:18243568:18248117:-1 gene:PRUPE_5G242700 transcript:ONI09524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKASVSKELNAKHSKILEGLLKLPQNRECADCRSKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSTTLDTWLPEQVAFMESVGNEKANSYWEKELPAHFERSEIKKFIRAKYEGKMWVAKNAKQPAPKLVEMSISSNKLVEGGATSEVPKKTRRHSLEEAVLSKHLGQSAPSMARSRGISLDLNHKFTTSPPPNGPPSMMECDTASNKSNGTTDLFSLLYVPDAKQDYPTPPRWQTFD >ONI05682 pep chromosome:Prunus_persica_NCBIv2:G5:2064519:2080980:-1 gene:PRUPE_5G018900 transcript:ONI05682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVALSGRLGGGSSKLAPGYLHRLPLSGTNSNSVVHASLFSGVRLRKKPGPQFGSFRRKRSAKRLVTESLISKMADYEGKAVAPDVTSGSMIFEPIIEDGVFRFDCSANDRNAAYPSISFINSKDRDTPIMSHKIPSYIPNFQCLLGQQIVKLELPVGTSLYGTGEVSGQLERTGKRVFTWNTDAWGYGSGTTSLYQSHPWVLAVLPTGEALGILADTTRRCEIDLRKKSMIQFIAPSSYPVITFGPFPSPQAVLISLSHAIGTVFMPPKWSLGYHQCRWSYDSDKKVQQITGTFREKGIPCDVVWMDIDYMDGFRCFTFDKERFPDPKSLVKGLNQNGFKAIWMLDPGIKQEDGYFVYDSGSKNDVWILKADGRPFVGEVWPGPCVFPDYTQAKVRSWWSNLVKDFTVNGVDGIWNDMNEPAVFKTLTKTMPESNIHKGDDELGGCQVHSHYHNVYGMLMARSTFEGMKLGSEKNRPFVLTRAGFIGSQRYAATWTGDNLSTWEHLHMSISMVLQLGLSGQPLSGPDIGGFAGNATPRLFGRWMGIGSMFPFCRGHSEIDTIDHEPWSFGTECEEVCRLALNRRYRLIPHIYTLFYMAHKTGTPVASPTFFADPKDPSLRKLENSFLLGPLLVYSSTLPGQGMDSLQCTLPKGIWLSFDFDDSHPDLPALYLQGGTIIPVGPPHQHVGESNIFDDLTLVVALDEHGKAKGVLYEDDGDGYEFMKGGFLLTHYVAELQSSIVTVKVSKTEGSWKRPQRRLHVQLLLGGGAMVDTWGKDGEVLQILMPSEQEVVKLVSTSEKQYRSRLENAKAIPDVEVTSAHKGVELSRTPVELKGGDWFVKVVPWIGGRIISMMHLPSGTQWLHSRVEVNGYEEYSGTEYRSAGCTEEYNVTERNLEHAGEQECLLLEGDIGGGLVLQRQIYIAKNDPKVFRIDSSIIARKVGAGSGGFSRLVCLRVHPMFTLLHPTESYVSFTAIDGSKHEIWPESEEQFYEGNLLPNGEWMLIDKCLGLGLLNRFDVSQVYKCLIHWGTGTVNLELWSEERPVSKKSPLRVAHEYEVITIP >ONI05681 pep chromosome:Prunus_persica_NCBIv2:G5:2065535:2080657:-1 gene:PRUPE_5G018900 transcript:ONI05681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVALSGRLGGGSSKLAPGYLHRLPLSGTNSNSVVHASLFSGVRLRKKPGPQFGSFRRKRSAKRLVTESLISKMADYEGKAVAPDVTSGSMIFEPIIEDGVFRFDCSANDRNAAYPSISFINSKDRDTPIMSHKIPSYIPNFQCLLGQQIVKLELPVGTSLYGTGEVSGQLERTGKRVFTWNTDAWGYGSGTTSLYQSHPWVLAVLPTGEALGILADTTRRCEIDLRKKSMIQFIAPSSYPVITFGPFPSPQAVLISLSHAIGTVFMPPKWSLGYHQCRWSYDSDKKVQQITGTFREKGIPCDVVWMDIDYMDGFRCFTFDKERFPDPKSLVKGLNQNGFKAIWMLDPGIKQEDGYFVYDSGSKNDVWILKADGRPFVGEVWPGPCVFPDYTQAKVRSWWSNLVKDFTVNGVDGIWNDMNEPAVFKTLTKTMPESNIHKGDDELGGCQVHSHYHNGLSGQPLSGPDIGGFAGNATPRLFGRWMGIGSMFPFCRGHSEIDTIDHEPWSFGTECEEVCRLALNRRYRLIPHIYTLFYMAHKTGTPVASPTFFADPKDPSLRKLENSFLLGPLLVYSSTLPGQGMDSLQCTLPKGIWLSFDFDDSHPDLPALYLQGGTIIPVGPPHQHVGESNIFDDLTLVVALDEHGKAKGVLYEDDGDGYEFMKGGFLLTHYVAELQSSIVTVKVSKTEGSWKRPQRRLHVQLLLGGGAMVDTWGKDGEVLQILMPSEQEVVKLVSTSEKQYRSRLENAKAIPDVEVTSAHKGVELSRTPVELKGGDWFVKVVPWIGGRIISMMHLPSGTQWLHSRVEVNGYEEYSGTEYRSAGCTEEYNVTERNLEHAGEQECLLLEGDIGGGLVLQRQIYIAKNDPKVFRIDSSIIARKVGAGSGGFSRLVCLRVHPMFTLLHPTESYVSFTAIDGSKHEIWPESEEQFYEGNLLPNGEWMLIDKCLGLGLLNRFDVSQVYKCLIHWGTGTVNLELWSEERPVSKKSPLRVAHEYEVITIP >ONI05683 pep chromosome:Prunus_persica_NCBIv2:G5:2065535:2080657:-1 gene:PRUPE_5G018900 transcript:ONI05683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVALSGRLGGGSSKLAPGYLHRLPLSGTNSNSVVHASLFSGVRLRKKPGPQFGSFRRKRSAKRLVTESLISKMADYEGKAVAPDVTSGSMIFEPIIEDGVFRFDCSANDRNAAYPSISFINSKDRDTPIMSHKIPSYIPNFQCLLGQQIVKLELPVGTSLYGTGEVSGQLERTGKRVFTWNTDAWGYGSGTTSLYQSHPWVLAVLPTGEALGILADTTRRCEIDLRKKSMIQFIAPSSYPVITFGPFPSPQAVLISLSHAIGTVFMPPKWSLGYHQCRWSYDSDKKVQQITGTFREKGIPCDVVWMDIDYMDGFRCFTFDKERFPDPKSLVKGLNQNGFKAIWMLDPGIKQEDGYFVYDSGSKNDVWILKADGRPFVGEVWPGPCVFPDYTQAKVRSWWSNLVKDFTVNGVDGIWNDMNEPAVFKTLTKTMPESNIHKGDDELGGCQVHSHYHNVYGMLMARSTFEGMKLGSEKNRPFVLTRAGFIGSQRYAATWTGDNLSTWEHLHMSISMVLQLGLSGQPLSGPDIGGFAGNATPRLFGRWMGIGSMFPFCRGHSEIDTIDHEPWSFGTECEEVCRLALNRRYRLIPHIYTLFYMAHKTGTPVASPTFFADPKDPSLRKLENSFLLGPLLVYSSTLPGQGMDSLQCTLPKGIWLSFDFDDSHPDLPALYLQGGTIIPVGPPHQHVGESNIFDDLTLVVALDEHGKAKGVLYEDDGDGYEFMKGGFLLTHYVAELQSSIVTVKVSKTEGSWKRPQRRLHVQLLLGGGAMVDTWGKDGEVLQILMPSEQEVVKLVSTSEKQYRSRLENAKAIPDVEVTSAHKGVELSRTPVELKGGDWFVKVVPWIGGRIISMMHLPSGTQWLHSRVEVNGYEEYSGTEYRSAGCTEEYNVTERNLEHAGEQECLLLEGDIGGGLVLQRQIYIAKNDPKVFRIDSSIIARKVGAGSGGFSRLVCLRVHPMFTLLHPTESYVSFTAIDGSKHEIWPESEEQFYEGNLLPNGEWMLIDKCLGLGLLNRFDVSQVYKCLIHWGTGTVNLELWSEERPVSKKSPLRVAHEYEVITIP >ONI05680 pep chromosome:Prunus_persica_NCBIv2:G5:2064519:2080832:-1 gene:PRUPE_5G018900 transcript:ONI05680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVALSGRLGGGSSKLAPGYLHRLPLSGTNSNSVVHASLFSGVRLRKKPGPQFGSFRRKRSAKRLVTESLISKMADYEGKAVAPDVTSGSMIFEPIIEDGVFRFDCSANDRNAAYPSISFINSKDRDTPIMSHKIPSYIPNFQCLLGQQIVKLELPVGTSLYGTGEVSGQLERTGKRVFTWNTDAWGYGSGTTSLYQSHPWVLAVLPTGEALGILADTTRRCEIDLRKKSMIQFIAPSSYPVITFGPFPSPQAVLISLSHAIGYHQCRWSYDSDKKVQQITGTFREKGIPCDVVWMDIDYMDGFRCFTFDKERFPDPKSLVKGLNQNGFKAIWMLDPGIKQEDGYFVYDSGSKNDVWILKADGRPFVGEVWPGPCVFPDYTQAKVRSWWSNLVKDFTVNGVDGIWNDMNEPAVFKTLTKTMPESNIHKGDDELGGCQVHSHYHNGLSGQPLSGPDIGGFAGNATPRLFGRWMGIGSMFPFCRGHSEIDTIDHEPWSFGTECEEVCRLALNRRYRLIPHIYTLFYMAHKTGTPVASPTFFADPKDPSLRKLENSFLLGPLLVYSSTLPGQGMDSLQCTLPKGIWLSFDFDDSHPDLPALYLQGGTIIPVGPPHQHVGESNIFDDLTLVVALDEHGKAKGVLYEDDGDGYEFMKGGFLLTHYVAELQSSIVTVKVSKTEGSWKRPQRRLHVQLLLGGGAMVDTWGKDGEVLQILMPSEQEVVKLVSTSEKQYRSRLENAKAIPDVEVTSAHKGVELSRTPVELKGGDWFVKVVPWIGGRIISMMHLPSGTQWLHSRVEVNGYEEYSGTEYRSAGCTEEYNVTERNLEHAGEQECLLLEGDIGGGLVLQRQIYIAKNDPKVFRIDSSIIARKVGAGSGGFSRLVCLRVHPMFTLLHPTESYVSFTAIDGSKHEIWPESEEQFYEGNLLPNGEWMLIDKCLGLGLLNRFDVSQVYKCLIHWGTGTVNLELWSEERPVSKKSPLRVAHEYEVITIP >ONI05775 pep chromosome:Prunus_persica_NCBIv2:G5:2659966:2667779:-1 gene:PRUPE_5G023800 transcript:ONI05775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATRFILSRARTSTKNLNFHGFSPLSQFIRNLSSVVSRESNFRNLKNPRPGYSRSMVSASNYNLDQDSALSLDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSVSEDIVMVNNGCLCCTVRGDLVKMLLELVKKKRDKFDHIVIETTGLANPAPVIETFCMDELVSRHVKLDGVVTLVDAKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTETELEVLTNRIKHINGMAQIKLAKFGSVDMDFVLGVGGYDLERIDSQVQVDSSCSPSHHREAGHGAEHHNHHHDHVHDSTVSSVSIVYEGTLDLDEVDDWLERLIEEKGEDLYRMKGVLSINGSDQRYVFQGVHSTLDGCPGSTWGPDEKRINKLVFIGRNLDETTLRKGFRGCLV >ONI05782 pep chromosome:Prunus_persica_NCBIv2:G5:2661823:2667741:-1 gene:PRUPE_5G023800 transcript:ONI05782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATRFILSRARTSTKNLNFHGFSPLSQFIRNLSSVVSRESNFRNLKNPRPGYSRSMVSASNYNLDQDSALSLDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSVSEDIVMVNNGCLCCTVRGDLVKMLLELVKKKRDKFDHIVIETTGLANPAPVIETFCMDELVSRHVKLDGVVTLVDAKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTETELEVLTNRIKHINGMAQIKLAKFGSVDMDFVLGVGGYDLERIDSQVQVDSSCSPSHHREAGHGAGLFVSFALCFFVLSFI >ONI05781 pep chromosome:Prunus_persica_NCBIv2:G5:2661289:2667741:-1 gene:PRUPE_5G023800 transcript:ONI05781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATRFILSRARTSTKNLNFHGFSPLSQFIRNLSSVVSRESNFRNLKNPRPGYSRSMVSASNYNLDQDSALSLDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSVSEDIVMVNNGCLCCTVRGDLVKMLLELVKKKRDKFDHIVIETTGLANPAPVIETFCMDELVSRHVKLDGVVTLVDAKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTETELEVLTNRIKHINGMAQIKLAKFGSVDMDFVLGVGGYDLERTPQSSS >ONI05780 pep chromosome:Prunus_persica_NCBIv2:G5:2659951:2667791:-1 gene:PRUPE_5G023800 transcript:ONI05780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATRFILSRARTSTKNLNFHGFSPLSQFIRNLSSVVSRESNFRNLKNPRPGYSRSMVSASNYNLDQDSALSLDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSVSEDIVMVNNGCLCCTVRGDLVKMLLELVKKKRDKFDHIVIETTGLANPAPVIETFCMDELVSRHVKLDGVVTLVDAKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTETELEVLTNRIKNTTIIIMTMYMILPSQVSA >ONI05779 pep chromosome:Prunus_persica_NCBIv2:G5:2660252:2667774:-1 gene:PRUPE_5G023800 transcript:ONI05779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATRFILSRARTSTKNLNFHGFSPLSQFIRNLSSVVSRESNFRNLKNPRPGYSRSMVSASNYNLDQDSALSLDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSVSEDIVMVNNGCLCCTVRGDLVKMLLELVKKKRDKFDHIVIETTGLANPAPVIETFCMDELVSRHVKLDGVVTLVDAKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTETELEVLTNRIKHINGMAQIKLAKFGSVDMDFVLGVGGYDLERIDSQVQVDSSCSPSHHREAGHGG >ONI05776 pep chromosome:Prunus_persica_NCBIv2:G5:2660422:2667197:-1 gene:PRUPE_5G023800 transcript:ONI05776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITYVQFGEVDIDGSLVASHSSVSEDIVMVNNGCLCCTVRGDLVKMLLELVKKKRDKFDHIVIETTGLANPAPVIETFCMDELVSRHVKLDGVVTLVDAKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTETELEVLTNRIKHINGMAQIKLAKFGSVDMDFVLGVGGYDLERIDSQVQVDSSCSPSHHREAGHEHHNHHHDHVHDSTVSSVSIVYEGTLDLDEVDDWLERLIEEKGEDLYRMKGVLSINGSDQRYVFQGVHSTLDGCPGSTWGPDEKRINKLVFIGRNLDETTLRKGFRGCLV >ONI05778 pep chromosome:Prunus_persica_NCBIv2:G5:2660083:2667774:-1 gene:PRUPE_5G023800 transcript:ONI05778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELVSRHVKLDGVVTLVDAKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTETELEVLTNRIKHINGMAQIKLAKFGSVDMDFVLGVGGYDLERIDSQVQVDSSCSPSHHREAGHEHHNHHHDHVHDSTVSSVSIVYEGTLDLDEVDDWLERLIEEKGEDLYRMKGVLSINGSDQRYVFQGVHSTLDGCPGSTWGPDEKRINKLVFIGRNLDETTLRKGFRGCLV >ONI05777 pep chromosome:Prunus_persica_NCBIv2:G5:2660083:2667755:-1 gene:PRUPE_5G023800 transcript:ONI05777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELVSRHVKLDGVVTLVDAKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTETELEVLTNRIKHINGMAQIKLAKFGSVDMDFVLGVGGYDLERIDSQVQVDSSCSPSHHREAGHEHHNHHHDHVHDSTVSSVSIVYEGTLDLDEVDDWLERLIEEKGEDLYRMKGVLSINGSDQRYVFQGVHSTLDGCPGSTWGPDEKRINKLVFIGRNLDETTLRKGFRGCLV >ONI05774 pep chromosome:Prunus_persica_NCBIv2:G5:2659952:2667866:-1 gene:PRUPE_5G023800 transcript:ONI05774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATRFILSRARTSTKNLNFHGFSPLSQFIRNLSSVVSRESNFRNLKNPRPGYSRSMVSASNYNLDQDSALSLDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSVSEDIVMVNNGCLCCTVRGDLVKMLLELVKKKRDKFDHIVIETTGLANPAPVIETFCMDELVSRHVKLDGVVTLVDAKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTETELEVLTNRIKHINGMAQIKLAKFGSVDMDFVLGVGGYDLERIDSQVQVDSSCSPSHHREAGHEHHNHHHDHVHDSTVSSVSIVYEGTLDLDEVDDWLERLIEEKGEDLYRMKGVLSINGSDQRYVFQGVHSTLDGCPGSTWGPDEKRINKLVFIGRNLDETTLRKGFRGCLV >ONI05773 pep chromosome:Prunus_persica_NCBIv2:G5:2660086:2667755:-1 gene:PRUPE_5G023800 transcript:ONI05773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATRFILSRARTSTKNLNFHGFSPLSQFIRNLSSVVSRESNFRNLKNPRPGYSRSMVSASNYNLDQDSALSLDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSVSEDIVMVNNGCLCCTVRGDLVKMLLELVKKKRDKFDHIVIETTGLANPAPVIETFCMDELVSRHVKLDGVVTLVDAKHAMQHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTETELEVLTNRIKHINGMAQIKLAKFGSVDMDFVLGVGGYDLERIDSQVQVDSSCSPSHHREAGHEHHNHHHDHVHDSTVSSVSIVYEGTLDLDEVDDWLERLIEEKGEDLYRMKGVLSINGSDQRYVFQGVHSTLDGCPGSTWGPDEKRINKLVFIGRNLDETTLRKGFRGCLV >ONI08590 pep chromosome:Prunus_persica_NCBIv2:G5:15461393:15465811:-1 gene:PRUPE_5G187400 transcript:ONI08590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVKPFQRALLYQSSDKGLRVCVNDFAADVGTDGVRICLFHYSNEVHLFIRVVPPNVYGNWISIESSQGQTLKPVQVQSCDQTKRQTIVRQLREDVAELIKHGHEDKAFNRVGQIIKDECIVAVYELLDNFCEFILIHLPYIRRHKDCPNDINEAVSSLIYASARCGDLPELRVIRKLFGERYGQKFAVTALELFPGNLVNHQLIEKLSPKSVTDDMKQRLVNEIARNYCIKPEVLAIEYYSEWQQKVKEIRDLEYLIQMFRHIMKELKDLNCKL >ONI07286 pep chromosome:Prunus_persica_NCBIv2:G5:11563859:11568241:-1 gene:PRUPE_5G111600 transcript:ONI07286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNATAGHACPPPMKATSNGIFQGDDPLHFALPLAILQICVVVIVTRGLAYVLRPLRQPRVIAEIVGGILLGPSALGRNKSYLQAIFPPKSITVLDTLANLGLLFFLFLAGLEIDPKSIRQTGKKALAIAIVGISLPFALGIGSSFVLRETIAKGVDATAFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAVALSGSNQSPLVSLWVFLSGCVFVICAILIVPPIFKWMAQRCHEGEPVDEIYVCATLTAVLAAGLITDTIGIHAMFGAFVVGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVIFTACFGKIFGTIMVSLFCKLPVREALALGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPLVIAVYKPAKKAGMAVYKHKTIERKNMNTQLRILACFHSARNIPSIINLLEVSRGTKKREGLCVYAMHLKELSERSSAILMVHKARRNGLPFWNKSQQPNSDNVVVAFEAYQQLSRVSIRPMTEISSISDMHEDICATAESKRAAVVILPFHKHQRLDGTLETTRNDFRLVNQRVLQHAPCSVGILVDRGLGGATHVAASNVSYNITVLFFGGRDDSEALAYGARMAEHPGVSLMVIRFLVEPEVVGEISRINIDENGSTKVGSVDEEVLAEFKQKISKDNSITYEEKTVRNNAQTIAVIREVGRCNLFLVGRTPGGEVALAINRRSECPELGPLGSLLISPDFSTSASVLVVQQYNGQVSLNLASEIEEESPERDREST >ONI07287 pep chromosome:Prunus_persica_NCBIv2:G5:11563820:11568309:-1 gene:PRUPE_5G111600 transcript:ONI07287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNATAGHACPPPMKATSNGIFQGDDPLHFALPLAILQICVVVIVTRGLAYVLRPLRQPRVIAEIVGGILLGPSALGRNKSYLQAIFPPKSITVLDTLANLGLLFFLFLAGLEIDPKSIRQTGKKALAIAIVGISLPFALGIGSSFVLRETIAKGVDATAFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAVALSGSNQSPLVSLWVFLSGCVFVICAILIVPPIFKWMAQRCHEGEPVDEIYVCATLTAVLAAGLITDTIGIHAMFGAFVVGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVIFTACFGKIFGTIMVSLFCKLPVREALALGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPLVIAVYKPAKKAGMAVYKHKTIERKNMNTQLRILACFHSARNIPSIINLLEVSRGTKKREGLCVYAMHLKELSERSSAILMVHKARRNGLPFWNKSQQPNSDNVVVAFEAYQQLSRVSIRPMTEISSISDMHEDICATAESKRAAVVILPFHKHQRLDGTLETTRNDFRLVNQRVLQHAPCSVGILVDRGLGGATHVAASNVSYNITVLFFGGRDDSEALAYGARMAEHPGVSLMVIRFLVEPEVVGEISRINIDENGSTKVGSVDEEVLAEFKQKISKDNSITYEEKTVRNNAQTIAVIREVGRCNLFLVGRTPGGEVALAINRRSECPELGPLGSLLISPDFSTSASVLVVQQYNGQVSLNLASEIEEESPERDREST >ONI07289 pep chromosome:Prunus_persica_NCBIv2:G5:11563859:11567501:-1 gene:PRUPE_5G111600 transcript:ONI07289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAVALSGSNQSPLVSLWVFLSGCVFVICAILIVPPIFKWMAQRCHEGEPVDEIYVCATLTAVLAAGLITDTIGIHAMFGAFVVGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVIFTACFGKIFGTIMVSLFCKLPVREALALGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPLVIAVYKPAKKAGMAVYKHKTIERKNMNTQLRILACFHSARNIPSIINLLEVSRGTKKREGLCVYAMHLKELSERSSAILMVHKARRNGLPFWNKSQQPNSDNVVVAFEAYQQLSRVSIRPMTEISSISDMHEDICATAESKRAAVVILPFHKHQRLDGTLETTRNDFRLVNQRVLQHAPCSVGILVDRGLGGATHVAASNVSYNITVLFFGGRDDSEALAYGARMAEHPGVSLMVIRFLVEPEVVGEISRINIDENGSTKVGSVDEEVLAEFKQKISKDNSITYEEKTVRNNAQTIAVIREVGRCNLFLVGRTPGGEVALAINRRSECPELGPLGSLLISPDFSTSASVLVVQQYNGQVSLNLASEIEEESPERDREST >ONI07290 pep chromosome:Prunus_persica_NCBIv2:G5:11563859:11566492:-1 gene:PRUPE_5G111600 transcript:ONI07290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAVALSGSNQSPLVSLWVFLSGCVFVICAILIVPPIFKWMAQRCHEGEPVDEIYVCATLTAVLAAGLITDTIGIHAMFGAFVVGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVIFTACFGKIFGTIMVSLFCKLPVREALALGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPLVIAVYKPAKKAGMAVYKHKTIERKNMNTQLRILACFHSARNIPSIINLLEVSRGTKKREGLCVYAMHLKELSERSSAILMVHKARRNGLPFWNKSQQPNSDNVVVAFEAYQQLSRVSIRPMTEISSISDMHEDICATAESKRAAVVILPFHKHQRLDGTLETTRNDFRLVNQRVLQHAPCSVGILVDRGLGGATHVAASNVSYNITVLFFGGRDDSEALAYGARMAEHPGVSLMVIRFLVEPEVVGEISRINIDENGSTKVGSVDEEVLAEFKQKISKDNSITYEEKTVRNNAQTIAVIREVGRCNLFLVGRTPGGEVALAINRRSECPELGPLGSLLISPDFSTSASVLVVQQYNGQVSLNLASEIEEESPERDREST >ONI07288 pep chromosome:Prunus_persica_NCBIv2:G5:11564157:11566170:-1 gene:PRUPE_5G111600 transcript:ONI07288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAVALSGSNQSPLVSLWVFLSGCVFVICAILIVPPIFKWMAQRCHEGEPVDEIYVCATLTAVLAAGLITDTIGIHAMFGAFVVGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVIFTACFGKIFGTIMVSLFCKLPVREALALGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPLVIAVYKPAKKAGMAVYKHKTIERKNMNTQLRILACFHSARNIPSIINLLEVSRGTKKREGLCVYAMHLKELSERSSAILMVHKARRNGLPFWNKSQQPNSDNVVVAFEAYQQLSRVSIRPMTEISSISDMHEDICATAESKRAAVVILPFHKHQRLDGTLETTRNDFRLVNQRVLQHAPCSVGILVDRGLGGATHVAASNVSYNITVLFFGGRDDSEALAYGARMAEHPGVSLMVIRFLVEPEVVGEISRINIDENGSTKVGSVDEEVLAEFKQKISKDNSITYEEKTVRNNAQTIAVIREVGRCNLFLVGRTPGGEVALAINRRSECPELGPLGSLLISPDFSTSASVLVVQQYNGQVSLNLASEIEEESPERDREST >ONI07284 pep chromosome:Prunus_persica_NCBIv2:G5:11563859:11568157:-1 gene:PRUPE_5G111600 transcript:ONI07284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNATAGHACPPPMKATSNGIFQGDDPLHFALPLAILQICVVVIVTRGLAYVLRPLRQPRVIAEIVGGILLGPSALGRNKSYLQAIFPPKSITVLDTLANLGLLFFLFLAGLEIDPKSIRQTGKKALAIAIVGISLPFALGIGSSFVLRETIAKGVDATAFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAVALSGSEPVDEIYVCATLTAVLAAGLITDTIGIHAMFGAFVVGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVIFTACFGKIFGTIMVSLFCKLPVREALALGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPLVIAVYKPAKKAGMAVYKHKTIERKNMNTQLRILACFHSARNIPSIINLLEVSRGTKKREGLCVYAMHLKELSERSSAILMVHKARRNGLPFWNKSQQPNSDNVVVAFEAYQQLSRVSIRPMTEISSISDMHEDICATAESKRAAVVILPFHKHQRLDGTLETTRNDFRLVNQRVLQHAPCSVGILVDRGLGGATHVAASNVSYNITVLFFGGRDDSEALAYGARMAEHPGVSLMVIRFLVEPEVVGEISRINIDENGSTKVGSVDEEVLAEFKQKISKDNSITYEEKTVRNNAQTIAVIREVGRCNLFLVGRTPGGEVALAINRRSECPELGPLGSLLISPDFSTSASVLVVQQYNGQVSLNLASEIEEESPERDREST >ONI07285 pep chromosome:Prunus_persica_NCBIv2:G5:11563859:11567510:-1 gene:PRUPE_5G111600 transcript:ONI07285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNATAGHACPPPMKATSNGIFQGDDPLHFALPLAILQICVVVIVTRGLAYVLRPLRQPRVIAEIVGGILLGPSALGRNKSYLQAIFPPKSITVLDTLANLGLLFFLFLAGLEIDPKSIRQTGKKALAIAIVGISLPFALGIGSSFVLRETIAKGVDATAFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAVALSGSNQSPLVSLWVFLSGCVFVICAILIVPPIFKWMAQRCHEGEPVDEIYVCATLTAVLAAGLITDTIGIHAMFGAFVVGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVIFTACFGKIFGTIMVSLFCKLPVREALALGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPLVIAVYKPAKKAGMAVYKHKTIERKNMNTQLRILACFHSARNIPSIINLLEVSRGTKKREGLCVYAMHLKELSERSSAILMVHKARRNGLPFWNKSQQPNSDNVVVAFEAYQQLSRVSIRPMTEISSISDMHEDICATAESKRAAVVILPFHKHQRLDGTLETTRNDFRLVNQRVLQHAPCSVGILVDRGLGGATHVAASNVSYNITVLFFGGRDDSEALAYGARMAEHPGVSLMVIRFLVEPEVVGEISRINIDENGSTKVGSVDEEVLAEFKQKISKDNSITYEEKTVRNNAQTIAVIREVGRCNLFLVGRTPGGEVALAINRRSECPELGPLGSLLISPDFSTSASVLVVQQYNGQVSLNLASEIEEESPERDREST >ONI06959 pep chromosome:Prunus_persica_NCBIv2:G5:10233265:10238573:-1 gene:PRUPE_5G091800 transcript:ONI06959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPNQEAIDTFISITGASEAVAVQKLEEHGGDLNEAVNAHFTEGDRNTSVNVHETPVAAQDDLMDIDDPVPVGPRRDPLSLLSATRNINPFSLLNPSLGSSIFESGSDFRERAPFVTHPREVREIPIEVKDGDNPSGHSGHAPTIDDVTGTAHAYGPSIPGTVIIDDEDDDVPAAPTVQAGQGSGPQDISSGDGSHHRNFVPSAPRFDDPQDYSNDIEEEMIRAAIEASKREVEEGQQNQLFGAPTFDDNEPPQRPPHLEDPELAHAVSLSLKTAEQEKALRGQGENVGPSEMGASKAAEVELEKLTAPNGRLGGGSSSIHDETEDVEEQPLVRHRSRRMSSGSVESAKDVGATEDSAPSSPGEQDMGNHPRHSGSVFPTDEWGGISSEEHDEAVMLEAAMFGGIPEGSGYRLPYAPHQFMRAESSYPRPVPRPPSPSLTAQRLIREQQDDEYLASLQADREKELKAIEEAEARRQEDRLKEEESQRKFEEEQELERQLAAKEATLPQEPASNDENAVTLMVRMPDGSRHGRRFLKTDKLQSLFNFIDIGRRFKPGSYRVVRPFPRRAFSDGESALTLNEVGLTSKQEALFLELI >ONI06960 pep chromosome:Prunus_persica_NCBIv2:G5:10233576:10238399:-1 gene:PRUPE_5G091800 transcript:ONI06960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPNQEAIDTFISITGASEAVAVQKLEEHGGDLNEAVNAHFTEGDRNTSVNVHETPVAAQDDLMDIDDPVPVGPRRDPLSLLSATRNINPFSLLNPSLGSSIFESGSDFRERAPFVTHPREVREIPIEVKDGDNPSGHSGHAPTIDDVTGTAHAYGPSIPGTVIIDDEDDDVPAAPTVQAGQGSGPQDISSGDGSHHRNFVPSAPRFDDPQDYSNDIEEEMIRAAIEASKREVEEGQQNQLFGAPTQFDDNEPPQRPPHLEDPELAHAVSLSLKTAEQEKALRGQGENVGPSEMGASKAAEVELEKLTAPNGRLGGGSSSIHDETEDVEEQPLVRHRSRRMSSGSVESAKDVGATEDSAPSSPGEQDMGNHPRHSGSVFPTDEWGGISSEEHDEAVMLEAAMFGGIPEGSGYRLPYAPHQFMRAESSYPRPVPRPPSPSLTAQRLIREQQDDEYLASLQADREKELKAIEEAEARRQEDRLKEEESQRKFEEEQELERQLAAKEATLPQEPASNDENAVTLMVRMPDGSRHGRRFLKTDKLQSLFNFIDIGRRFKPGSYRVVRPFPRRAFSDGESALTLNEVGLTSKQEALFLELI >ONI09224 pep chromosome:Prunus_persica_NCBIv2:G5:17407552:17409209:1 gene:PRUPE_5G225100 transcript:ONI09224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVTESSTTVAEHIKWRRPRSQFSPPISDTDPTPSIIQSTRCKSTISSLLLSSFTTNTNETLPTSLNNKKKTNFSSSTFRGLGCAASASQQVSVPAVIRNSADWQGKKVKKKKQKKNINTKNNTSNDKNEYKDKTQHQGAVDGPSFGLNSATCMDFQDVWCGPGIGFSAETAGSVDCVVARRNVSGRGKIDGDKLSSHRERPCLARRTVSPETVSFLDSEPDFVTSRPESEVFGGRCYRHVRHPSPEGLAEVLFTLALAHSVSHLIWFGNKNCFSKHYATVQYKNSAFLALVWQAIYSTCSIDV >ONI09223 pep chromosome:Prunus_persica_NCBIv2:G5:17407358:17410135:1 gene:PRUPE_5G225100 transcript:ONI09223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVTESSTTVAEHIKWRRPRSQFSPPISDTDPTPSIIQSTRCKSTISSLLLSSFTTNTNETLPTSLNNKKKTNFSSSTFRGLGCAASASQQVSVPAVIRNSADWQGKKVKKKKQKKNINTKNNTSNDKNEYKDKTQHQGAVDGPSFGLNSATCMDFQDVWCGPGIGFSAETAGSVDCVVARRNVSGRGKIDGDKLSSHRERPCLARRTVSPETVSFLDSEPDFVTSRPESEVFGGRCYRHVRHPSPEGLAEIMLFQSSLLMGGRLDRFRDWRLDVDNMSYEELLELGDRIGHVSTGLKEHEISCCLRKIKLSMLSDLSPHSLGQVDRKCIICQEEYEVADDLGSLHCGHNFHLQCIKQWLAQKNTCPFCKVEAISRC >ONI06703 pep chromosome:Prunus_persica_NCBIv2:G5:8923050:8924805:1 gene:PRUPE_5G075300 transcript:ONI06703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAVGLFGRKKTTRQFKDFYAEWFNTLKNTLLPSLRSSMSESDSSLTRLSTQMEALHLHFQSYYDALDLAAQNDVAQLLCPTWRNPLEKPFLFLGDFHPYLFTNLLRSFLTQNDTDSDNENDGDSMVVQCDRGDRDRFDRPWHIATAWRRPSYNLMERVEQVERGLRLMVPALAARARDAQVGFLERVARNWAFGTHKAAVEEAMAEQNEEMVGVFVDANRLRKSVLVEIMGATSVFQGALFLEGLAQFLVGFRDPELLAQFELCKTPLSKQSKLLAI >ONI07731 pep chromosome:Prunus_persica_NCBIv2:G5:12894264:12901201:1 gene:PRUPE_5G136100 transcript:ONI07731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTNCSSGFGQKPVFGAFGSNPTQPSLFGSTTQPSQPAFESSLVGSSTSFGISSQPAFGATATPAFGATSSPAFGATSIPPAFGATSSPAFGSTTSPTFGSTGSAFGVSSSSLFGSTGAFGASSTPAFGSSGSTVSTSSNSGFGASSAPGFGSTTQPSQLEFRSSIFGSSTAFGGSSQPAFGATTTPSFDGTSSPAFTSPAFGATSTSPAFGATSTSPAFGATSSQAFCSTTSPTFGSTGSAFGSNPTQPSPFGSTTQLSQPAFESSIVGSSTPFGGSSQPAFGATTTAAFGATTTPAFGATTTPAFGATSSTPAFGATSIPPAFGATSSPAFGSTTSPTFGSTGSAFGVSSSSLFGSTGAFGASSTPAFGSSGSTIGTSSNSGFGASSAPGFGITTQPSQLEFRSSIFGSSTAFGGSSQPAFGATTTPSFDGTSSPAFTTPAFGATSTSPAFGATSSQAFCSTTSPTFGSTGSAFGSNPTQPSPFGRTTQPSQPAFESSIVGSSTPFGGSIQPAFGATTTPAFGATATPAFGATSSTPAFGATSSPAFGSTTSPTFGSTGSAFGSNPTQPSPFGSTTQPSQLEFRSSIFGSSAPFGGSSQPAFGATTTPSFGTSSPAFDATTTPAFGATSTSPAFGATSSPAFGSTTSPTFGSTGSAFGSNPTQPSPFGSTTQPSQPAFQSSIVGSSAPFGGSSQPAFGATITPTFGGTSSPAFGATTTPAFGATTTPAFGATTTPAFGATSTSAFGATSTHPAFGATSSPAFGSTRSPTLGSTGSAFGVSNSSLFGSTGAFGASSTPAFGSSGSTVGTSSNPGFGASSAPGFGSSITPSFSFTSAPAFGQSNSTFGSASSPFGAQSSPFGAQPTPLGNNTFGQSAFGGQQRRGSRMAAYTETPEPDGGSGDTAAKLDSVSAMHVYKDKSHEELRWEDYQLGDKGGPAPAGGSGFGMSTAQPNSLNTASSFPQASTSPFNTATGPNLFTAKTPSFSSTGFGTSSTPFSSSSFGSSSTPSFCFSSAPAFGQSNSTFGSASSSFGAQSSPFGTQPTTLGNNAFGQSAFGGQQRGGSRVAAYAETPEPDGDSGYTAGKLESISAMPVYKDKSHEELCWEDYQLGDKAPAGGNGFGMSMSQPNPLNTAPSFSQASTSLFNTATSSSLFATKTPPFSSTGFGTSSTLFSSSSFGFSISSSILPHHHLIQVLGKPHLHLSLVHQCHPHFHFLL >ONI08312 pep chromosome:Prunus_persica_NCBIv2:G5:14622969:14624961:-1 gene:PRUPE_5G171000 transcript:ONI08312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAHCNLDGNADAVEFCPHDSYHHVLAASTYTLQEGDRPSRAGSISLFNVDADLGRLDLFHRIETAGIFDIKWNPVGGSVSPLLAQADADGYLRIHGLECCSDEARGFSLREITDEKISSAMCLCLDWNPSATSITVGLSDGSVSIASIVESQLETQELWKAHDFEVWAASFDTHQPQLVYSGSDDCKFSCWDLRDCPSKLAFQNTKVHTMGVCCIVKNPNDPNTVLTGSYDEYLRVWDVRSISRPVNETSICLGGGVWRIKYHPFVSGLVLTACMHNGFSVVKINGDKAEVIETYSKHESLAYGADWHREKSFREGKRNSTLVATCSFYDRLLRLWMPESVLQDSVL >ONI08313 pep chromosome:Prunus_persica_NCBIv2:G5:14623255:14624501:-1 gene:PRUPE_5G171000 transcript:ONI08313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAHCNLDGNADAVEFCPHDSYHHVLAASTYTLQEGDRPSRAGSISLFNVDADLGRLDLFHRIETAGIFDIKWNPVGGSVSPLLAQADADGYLRIHGLECCSDEARAGFSLREITDEKISSAMCLCLDWNPSATSITVGLSDGSVSIASIVESQLETQELWKAHDFEVWAASFDTHQPQLVYSGSDDCKFSCWDLRDCPSKLAFQNTKVHTMGVCCIVKNPNDPNTVLTGSYDEYLRVWDVRSISRPVNETSICLGGGVWRIKYHPFVSGLVLTACMHNGFSVVKINGDKAEVIETYSKHESLAYGADWHREKSFREGKRNSTLVATCSFYDRLLRLWMPESVLQDSVL >ONI07765 pep chromosome:Prunus_persica_NCBIv2:G5:13017670:13022429:-1 gene:PRUPE_5G138400 transcript:ONI07765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELCFVLDLRSLPPPFLRDLRLSLLQLANLYAISSTPSWRRTESLRDRIGLCYVFQNPISLSDELKIVYTPSPSGSFNLRDFHHAVNNLPAHGFQPQIDDSDVKLSTVLNDQVLYQWEGRDIMRKVIVLTSCLPRDVDSIMQNTLMAAADKCVSVDFLLFEQKSSHLSNTKEKVNNFQTCISDLDNCSFQAYLPDVKVLHGLVNRWLQDLKDDMEEPLQARFNFKNNLVGSVSQIFCNLYISVNPNIDGFYPCQACRCHGISLEDAVRNRVEGPSCPVTGCNLETCNVTENSVKVGEKTILLLPSFPSSMKLERASLPLDFNVIERTNLGSLSEGVIMGASYVVIPSTCNAIETTPDEIDQSELNIQLFQGLCSALHSLDQCLVCSSNCNIETMRQATFHSYYILQPSDNGPMLLRRVAGSEEVLHVPDLNQLTISSVRKEIENSIKDSLSKVDVRDYNPMLHERGFHQKLNLLVKESLQFGSISLILKEETREPNATQPKFPEVIVQPNSTKDVALIREETSELDLTTQEDKTSACITEEWEKLIVKVSEIHSPVCTSKPKLDQSLLLSPPDGNRQLDAKTSRILERLEVPRQFKRESVSPILANTCVKNFSVEVKKPLVESQPNCAPHQSMATSQLMKPNFQRLKRKHK >ONI07766 pep chromosome:Prunus_persica_NCBIv2:G5:13017670:13022429:-1 gene:PRUPE_5G138400 transcript:ONI07766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVIVLTSCLPRDVDSIMQNTLMAAADKCVSVDFLLFEQKSSHLSNTKEKVNNFQTCISDLDNCSFQAYLPDVKVLHGLVNRWLQDLKDDMEEPLQARFNFKNNLVGSVSQIFCNLYISVNPNIDGFYPCQACRCHGISLEDAVRNRVEGPSCPVTGCNLETCNVTENSVKVGEKTILLLPSFPSSMKLERASLPLDFNVIERTNLGSLSEGVIMGASYVVIPSTCNAIETTPDEIDQSELNIQLFQGLCSALHSLDQCLVCSSNCNIETMRQATFHSYYILQPSDNGPMLLRRVAGSEEVLHVPDLNQLTISSVRKEIENSIKDSLSKVDVRDYNPMLHERGFHQKLNLLVKESLQFGSISLILKEETREPNATQPKFPEVIVQPNSTKDVALIREETSELDLTTQEDKTSACITEEWEKLIVKVSEIHSPVCTSKPKLDQSLLLSPPDGNRQLDAKTSRILERLEVPRQFKRESVSPILANTCVKNFSVEVKKPLVESQPNCAPHQSMATSQLMKPNFQRLKRKHK >ONI07767 pep chromosome:Prunus_persica_NCBIv2:G5:13018703:13022429:-1 gene:PRUPE_5G138400 transcript:ONI07767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELCFVLDLRSLPPPFLRDLRLSLLQLANLYAISSTPSWRRTESLRDRIGLCYVFQNPISLSDELKIVYTPSPSGSFNLRDFHHAVNNLPAHGFQPQIDDSDVKLSTVLNDQVLYQWEGRDIMRKVIVLTSCLPRDVDSIMQNTLMAAADKCVSVDFLLFEQKSSHLSNTKEKVNNFQTCISDLDNCSFQAYLPDVKVLHGLVNRWLQDLKDDMEEPLQARFNFKNNLVGSVSQIFCNLYISVNPNIDGFYPCQACRCHGISLEDAVRNRVEGPSCPVTGCNLETCNVTENSVKVGEKTILLLPSFPSSMKLERASLPLDFNVIERTNLGSLSEGVIMGASYVVIPSTCNAIETTPDEIDQSELNIQLFQGLCSALHSLDQCLVCSSNCNIETMRQATFHSYYILQPSDNGPMLLRRVAGSEEVLHVPDLNQLTISSVRKEIENSIKDSLSKVDVRDYNPMLHERGFHQKLNLLVKESLQFG >ONI07109 pep chromosome:Prunus_persica_NCBIv2:G5:10847066:10851620:1 gene:PRUPE_5G100500 transcript:ONI07109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAPEQAPQADGVQSLSISEAPPSSSSNPTIQMSLEEKYQILRSVAEECIQEDELRNLLAHKSEPIAYDGFEPSGRMHIAQGVMKTINVNKMTSAGCRVKIWIADWFAMLNNKMGGDLKKIETVGRYLIEIWRAAGMNLDNGKVEFLWSSKEINSKADEYWPRVMDIAQKNSLKRIIRCSQIMGRNEQEDLTAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKKKNKPIILSHHMLPGLQQGQEKMSKSDVSSSIFMEDEEAEVNLKIKKAYCPPNVVEGNPCMEYVKYLILPWFNEFIVERSEKNGGNKTFKSFEELAADYESGELHPADLKSALSKALNKILEPVRSHFKNDKTAKQLLQSVKNYRVSR >ONI07108 pep chromosome:Prunus_persica_NCBIv2:G5:10847042:10851620:1 gene:PRUPE_5G100500 transcript:ONI07108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAPEQAPQADGVQSLSISEAPPSSSSNPTIQMSLEEKYQILRSVAEECIQEDELRNLLAHKSEPIAYDGFEPSGRMHIAQGVMKTINVNKMTSAGCRVKIWIADWFAMLNNKMGGDLKKIETVGRYLIEIWRAAGMNLDNGKVEFLWSSKEINSKADEYWPRVMDIAQKNSLKRIIRCSQIMGRNEQEDLTAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKKKNKPIILSHHMLPGLQQGQEKMSKSDVSSSIFMEDEEAEVNLKIKKAYCPPNVVEGNPCMEYVKYLILPWFNEFIVERSEKNGGNKTFKSFEELAADYESGELHPADLKSALSKALNKILEPVRSHFKNDKTAKQLLQSVKNYRVSR >ONI07110 pep chromosome:Prunus_persica_NCBIv2:G5:10847066:10851620:1 gene:PRUPE_5G100500 transcript:ONI07110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAPEQAPQADGVQSLSISEAPPSSSSNPTIQMSLEEKYQILRSVAEECIQEDELRNLLAHKSEPIAYDGFEPSGRMHIAQGVMKTINVNKMTSAGCRVKIWIADWFAMLNNKMGGDLKKIETVGRYLIEIWRAAGMNLDNGKVEFLWSSKEINSKADEYWPRVMDIAQKNSLKRIIRCSQIMGRNEQEDLTAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKKKNKPIILSHHMLPGLQQGQEKMSKSDVSSSIFMEDEEAEVNLKIKKAYCPPNVVEGNPCMEYVKYLILPWFNEFIVERSEKNGGNKTFKSFEELAADYESGELHPADLKSALSKALNKILEPVRSHFKNDKTAKQLLQSVKVFSGFSFK >ONI07883 pep chromosome:Prunus_persica_NCBIv2:G5:13343024:13349416:-1 gene:PRUPE_5G145000 transcript:ONI07883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSSIAEEMAASLCTFMQPPPRLAGMSSCDLSGMHGGNLGNHLQVDFTCSSFGESRRKSIMEIPNKPDFSNARKDGRSNMHALKINTTIENHWLNQKCGQNMQTPVPRIVGFEPRQLDSPLNFFDGNQYSSSEVTVTTGDTTEATGSAFRKRLLSPLNGMLLQDHFNGDSLDIGDGVHKSSAWGYNDSSNVPTLHEHKKAHIGNSSYFNSTIWSASCSPEWKSSLDHNCNANSSSVFDGPLLENKEPQSQNQFISSSGLDYSRETIKVRSESGAIDISSRKVALPTLSLSPLGPKFPERLKCGELCRDHTPKLDDNNITLKDVEQSLDGTISAISSSWKEEAFRLLSNSSQDVDMLQKKFDLFGSESTTTGMGQHWSQDLKSNPKGVKLVRTPTGLPGRRSLVGSFEESLLSGRLVSAKVNQRIDGFLAVLNVTGGNFSPKSQKLPFAVTSVDGDNYLLYYSSIDLARNMTPSNYGSLRMKRSFSMDDSRADKSRLRIPMKGRIQLTFLRQKITLASSEPTFKAGNGKHTDPGKENDAKPSSILDAANSLQLNANDADSSGLNNAHTIRSSNQCITVLGTGGSAYTNNVLNQYHREGECMTACYPGESNGCVCEKISEKDSITLNRCHISESKSVYTPSKVSKNTTGAGVLRYALHLRFLCHFPKKRSRSVQRCKLDSLSGQATNSFDIEGERRFYLYNDLRVVFPQRQTDSDEGKLHVEYHFPSDPKYFDISN >ONI07882 pep chromosome:Prunus_persica_NCBIv2:G5:13343079:13349282:-1 gene:PRUPE_5G145000 transcript:ONI07882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSSIAEEMAASLCTFMQPPPRLAGMSSCDLSGMHGGNLGNHLQVDFTCSSFGESRRKSIMEIPNKPDFSNARKDGRSNMHALKINTTIENHWLNQKCGQNMQTPVPRIVGFEPRQLDSPLNFFDGNQYSSSEVTVTTGDTTEATGSAFRKRLLSPLNGMLLQDHFNGDSLDIGDGVHKSSAWGYNDSSNVPTLHEHKKAHIGNSSYFNSTIWSASCSPEWKSSLDHNCNANSSSVFDGPLLENKEPQSQNQFISSSGLDYSRETIKVRSESGAIDISSRKVALPTLSLSPLGPKFPERLKCGELCRDHTPKLDDNNITLKDVEQSLDGTISAISSSWKEEAFRLLSNSSQDVDMLQKKFDLFGSESTTTGMGQHWSQDLKSNPKGVKLVRTPTGLPGRRSLVGSFEESLLSGRLVSAKRIDGFLAVLNVTGGNFSPKSQKLPFAVTSVDGDNYLLYYSSIDLARNMTPSNYGSLRMKRSFSMDDSRADKSRLRIPMKGRIQLVLSNPEKTPIHTFFCNYDLSDMPAGTKTFLRQKITLASSEPTFKAGNGKHTDPGKENDAKPSSILDAANSLQLNANDADSSGLNNAHTIRSSNQCITVLGTGGSAYTNNVLNQYHREGECMTACYPGESNGCVCEKISEKDSITLNRCHISESKSVYTPSKVSKNTTGAGVLRYALHLRFLCHFPKKRSRSVQRCKLDSLSGQATNSFDIEGERRFYLYNDLRVVFPQRQTDSDEGKLHVEYHFPSDPKYFDISN >ONI07886 pep chromosome:Prunus_persica_NCBIv2:G5:13343299:13347210:-1 gene:PRUPE_5G145000 transcript:ONI07886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTRVAPGDTMIVLMFPHYMSIRRLILATPVILILRSGPHLVPQSGRVHWITTAMQTPLLYLMVLCLKTRSHNLKISSYHHLDLITLEKQLRDHTPKLDDNNITLKDVEQSLDGTISAISSSWKEEAFRLLSNSSQDVDMLQKKFDLFGSESTTTGMGQHWSQDLKSNPKGVKLVRTPTGLPGRRSLVGSFEESLLSGRLVSAKVNQRIDGFLAVLNVTGGNFSPKSQKLPFAVTSVDGDNYLLYYSSIDLARNMTPSNYGSLRMKRSFSMDDSRADKSRLRIPMKGRIQLVLSNPEKTPIHTFFCNYDLSDMPAGTKTFLRQKITLASSEPTFKAGNGKHTDPGKENDAKPSSILDAANSLQLNANDADSSGLNNAHTIRSSNQCITVLGTGGSAYTNNVLNQYHREGECMTACYPGESNGCVCEKISEKDSITLNRCHISESKSVYTPSKVSKNTTGAGVLRYALHLRFLCHFPKKRSRSVQRCKLDSLSGQATNSFDIEGERRFYLYNDLRVVFPQRQTDSDEGKLHVEYHFPSDPKYFDISN >ONI07885 pep chromosome:Prunus_persica_NCBIv2:G5:13343079:13349234:-1 gene:PRUPE_5G145000 transcript:ONI07885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTRVAPGDTMIVLMFPHYMSIRRLILATPVILILRSGPHLVPQSGRVHWITTAMQTPLLYLMVLCLKTRSHNLKISSYHHLDLITLEKQLRDHTPKLDDNNITLKDVEQSLDGTISAISSSWKEEAFRLLSNSSQDVDMLQKKFDLFGSESTTTGMGQHWSQDLKSNPKGVKLVRTPTGLPGRRSLVGSFEESLLSGRLVSAKVNQRIDGFLAVLNVTGGNFSPKSQKLPFAVTSVDGDNYLLYYSSIDLARNMTPSNYGSLRMKRSFSMDDSRADKSRLRIPMKGRIQLTFLRQKITLASSEPTFKAGNGKHTDPGKENDAKPSSILDAANSLQLNANDADSSGLNNAHTIRSSNQCITVLGTGGSAYTNNVLNQYHREGECMTACYPGESNGCVCEKISEKDSITLNRCHISESKSVYTPSKVSKNTTGAGVLRYALHLRFLCHFPKKRSRSVQRCKLDSLSGQATNSFDIEGERRFYLYNDLRVVFPQRQTDSDEGKLHVEYHFPSDPKYFDISN >ONI07884 pep chromosome:Prunus_persica_NCBIv2:G5:13343024:13349486:-1 gene:PRUPE_5G145000 transcript:ONI07884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSSIAEEMAASLCTFMQPPPRLAGMSSCDLSGMHGGNLGNHLQVDFTCSSFGESRRKSIMEIPNKPDFSNARKDGRSNMHALKINTTIENHWLNQKCGQNMQTPVPRIVGFEPRQLDSPLNFFDGNQYSSSEVTVTTGDTTEATGSAFRKRLLSPLNGMLLQDHFNGDSLDIGDGVHKSSAWGYNDSSNVPTLHEHKKAHIGNSSYFNSTIWSASCSPEWKSSLDHNCNANSSSVFDGPLLENKEPQSQNQFISSSGLDYSRETIKVRSESGAIDISSRKVALPTLSLSPLGPKFPERLKCGELCRDHTPKLDDNNITLKDVEQSLDGTISAISSSWKEEAFRLLSNSSQDVDMLQKKFDLFGSESTTTGMGQHWSQDLKSNPKGVKLVRTPTGLPGRRSLVGSFEESLLSGRLVSAKVNQRIDGFLAVLNVTGGNFSPKSQKLPFAVTSVDGDNYLLYYSSIDLARNMTPSNYGSLRMKRSFSMDDSRADKSRLRIPMKGRIQLVLSNPEKTPIHTFFCNYDLSDMPAGTKTFLRQKITLASSEPTFKAGNGKHTDPGKENDAKPSSILDAANSLQLNANDADSSGLNNAHTIRSSNQCITVLGTGGSAYTNNVLNQYHREGECMTACYPGESNGCVCEKISEKDSITLNRCHISESKSVYTPSKVSKNTTGAGVLRYALHLRFLCHFPKKRSRSVQRCKLDSLSGQATNSFDIEGERRFYLYNDLRVVFPQRQTDSDEGKLHVEYHFPSDPKYFDISN >ONI07542 pep chromosome:Prunus_persica_NCBIv2:G5:12391101:12395424:-1 gene:PRUPE_5G126700 transcript:ONI07542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSEEREDQFYDTREDLSSVSDWGSDGCDEFSSSTSGFLRYDEVWAKNLDSVHERRHKFLQWMGLDLDRNLIMEEEAGDDCAEKIEMSIDRIKEDSCVVLRTSGLEEASCSSQSTASALSNEARESLGNGALDENFGCAVRTLNQRMDIIVNELGQDGRLCRLGQVGLNQLVSVGEIHTNHAPGPSPSIQQLLQREVDNARFFLDAKKKVKRGWLRKLGIGMCVVDKHEAALSPISLKSASGTGMRRVRVYPSKKGTKELSSLYAGQDFPAHEGTILSMKFSLDGKYLASAGEDGVVRVWKVIEDEGFNKFDISGDPSSLYFKTNPFSKLDSPNVDKDKAQRLRSSDSTCVIFPPKIFRLLEKPVHEFRGHSREVLDLSWSKKGFLLSSSVDKTVRLWQVGCDRCLRVFSHNNYVTCVNFNPLDDNYFISGSIDGKVRIWEVIRCLVVDYIDVREIVTAVSYRPDGKGGIVGFVTGNCCFYNIIDNHLELDAPISIQGKKKSPGKRITGFQFSPSNPSQVMVTSADSVVRVIYGFDVVCKFRGLRNGGNHMLASFTSDGTHIVSASEDSNVRIWNYNSQDMTSSRPRNIWSCESFVSHKSMIAIPWNGVNIIPGTLPSPRFTGDMPGSCLDNQLKHLSFDEKVEQKMHLSSPDCFSLGRGFLLEALPKGTATWPEEKLVNSSPVAVSPTMCRSDYKFLRSACQSMSGPNLWGLVIVTAGWDGRIRTYHNYGTPIRR >ONI07544 pep chromosome:Prunus_persica_NCBIv2:G5:12391035:12395455:-1 gene:PRUPE_5G126700 transcript:ONI07544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSEEREDQFYDTREDLSSVSDWGSDGCDEFSSSTSGFLRYDEVWAKNLDSVHERRHKFLQWMGLDLDRNLIMEEEAGDDCAEKIEMSIDRIKEDSCVVLRTSGLEEASCSSQSTASALSNEARESLGNGALDENFGCAVRTLNQRMDIIVNELGQDGRLCRLGQVGLNQLVSVGEIHTNHAPGPSPSIQQLLQREVDNARFFLDAKKKVKRGWLRKLGIGMCVVDKHEAALSPISLKSASGTGMRRVRVYPSKKGTKELSSLYAGQDFPAHEGTILSMKFSLDGKYLASAGEDGVVRVWKVIEDEGFNKFDISGDPSSLYFKTNPFSKLDSPNVDKDKAQRLRSSDSTCVIFPPKIFRLLEKPVHEFRGHSREVLDLSWSKKGFLLSSSVDKTVRLWQVGCDRCLRVFSHNNYVTCVNFNPLDDNYFISGSIDGKVRIWEVIRCLVVDYIDVREIVTAVSYRPDGKGGIVGFVTGNCCFYNIIDNHLELDAPISIQGKKKSPGKRITGFQFSPSNPSQVMVTSADSVVRVIYGFDVVCKFRGLRNGGNHMLASFTSDGTHIVSASEDSNVRIWNYNSQDMTSSRPRNIWSCESFVSHKSMIAIPWNGVNIIPGTLPSPRFTGDMPGSCLDNQLKHLSFDEKVEQKMHLSSPDCFSLGRGFLLEALPKGTATWPEEKLVNSSPVAVSPTMCRSDYKFLRSACQSMSGPNLWGLVIVTAGWDGRIRTYHNYGTPIRR >ONI07541 pep chromosome:Prunus_persica_NCBIv2:G5:12391051:12395426:-1 gene:PRUPE_5G126700 transcript:ONI07541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSEEREDQFYDTREDLSSVSDWGSDGCDEFSSSTSGFLRYDEVWAKNLDSVHERRHKFLQWMGLDLDRNLIMEEEAGDDCAEKIEMSIDRIKEDSCVVLRTSGLEEASCSSQSTASALSNEARESLGNGALDENFGCAVRTLNQRMDIIVNELGQDGRLCRLGQVGLNQLVSVGEIHTNHAPGPSPSIQQLLQREVDNARFFLDAKKKVKRGWLRKLGIGMCVVDKHEAALSPISLKSASGTGMRRVRVYPSKKGTKELSSLYAGQDFPAHEGTILSMKFSLDGKYLASAGEDGVVRVWKVIEDEGFNKFDISGDPSSLYFKTNPFSKLDSPNVDKDKAQRLRSSDSTCVIFPPKIFRLLEKPVHEFRGHSREVLDLSWSKKGFLLSSSVDKTVRLWQVGCDRCLRVFSHNNYVTCVNFNPLDDNYFISGSIDGKVRIWEVIRCLVVDYIDVREIVTAVSYRPDGKGGIVGFVTGNCCFYNIIDNHLELDAPISIQGKKKSPGKRITGFQFSPSNPSQVMVTSADSVVRVIYGFDVVCKFRGLRNGGNHMLASFTSDGTHIVSASEDSNVRIWNYNSQDMTSSRPRNIWSCESFVSHKSMIAIPWNGVNIIPGTLPSPRFTGDMPGSCLDNQLKHLSFDEKVEQKMHLSSPDCFSLGRGFLLEALPKGTATWPEEKLVNSSPVAVSPTMCRSDYKFLRSACQSMSGPNLWGLVIVTAGWDGRIRTYHNYGTPIRR >ONI07545 pep chromosome:Prunus_persica_NCBIv2:G5:12391532:12394700:-1 gene:PRUPE_5G126700 transcript:ONI07545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSEEREDQFYDTREDLSSVSDWGSDGCDEFSSSTSGFLRYDEVWAKNLDSVHERRHKFLQWMGLDLDRNLIMEEEAGDDCAEKIEMSIDRIKEDSCVVLRTSGLEEASCSSQSTASALSNEARESLGNGALDENFGCAVRTLNQRMDIIVNELGQDGRLCRLGQVGLNQLVSVGEIHTNHAPGPSPSIQQLLQREVDNARFFLDAKKKVKRGWLRKLGIGMCVVDKHEAALSPISLKSASGTGMRRVRVYPSKKGTKELSSLYAGQDFPAHEGTILSMKFSLDGKYLASAGEDGVVRVWKVIEDEGFNKFDISGDPSSLYFKTNPFSKLDSPNVDKDKAQRLRSSDSTCVIFPPKIFRLLEKPVHEFRGHSREVLDLSWSKKGFLLSSSVDKTVRLWQVGCDRCLRVFSHNNYVTCVNFNPLDDNYFISGSIDGKVRIWEVIRCLVVDYIDVREIVTAVSYRPDGKGGIVGFVTGNCCFYNIIDNHLELDAPISIQGKKKSPGKRITGFQFSPSNPSQVMVTSADSVVRVIYGFDVVCKFRGLRNGGNHMLASFTSDGTHIVSASEDSNVRIWNYNSQDMTSSRPRNIWSCESFVSHKSMIAIPWNGVNIIPGTLPSPRFTGDMPGSCLDNQLKHLSFDEKVEQKMHLSSPDCFSLGRGFLLEALPKGTATWPEEKLVNSSPVAVSPTMCRSDYKFLRSACQSMSGPNLWGLVIVTAGWDGRIRTYHNYGTPIRR >ONI07543 pep chromosome:Prunus_persica_NCBIv2:G5:12391043:12395332:-1 gene:PRUPE_5G126700 transcript:ONI07543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSEEREDQFYDTREDLSSVSDWGSDGCDEFSSSTSGFLRYDEVWAKNLDSVHERRHKFLQWMGLDLDRNLIMEEEAGDDCAEKIEMSIDRIKEDSCVVLRTSGLEEASCSSQSTASALSNEARESLGNGALDENFGCAVRTLNQRMDIIVNELGQDGRLCRLGQVGLNQLVSVGEIHTNHAPGPSPSIQQLLQREVDNARFFLDAKKKVKRGWLRKLGIGMCVVDKHEAALSPISLKSASGTGMRRVRVYPSKKGTKELSSLYAGQDFPAHEGTILSMKFSLDGKYLASAGEDGVVRVWKVIEDEGFNKFDISGDPSSLYFKTNPFSKLDSPNVDKDKAQRLRSSDSTCVIFPPKIFRLLEKPVHEFRGHSREVLDLSWSKKGFLLSSSVDKTVRLWQVGCDRCLRVFSHNNYVTCVNFNPLDDNYFISGSIDGKVRIWEVIRCLVVDYIDVREIVTAVSYRPDGKGGIVGFVTGNCCFYNIIDNHLELDAPISIQGKKKSPGKRITGFQFSPSNPSQVMVTSADSVVRVIYGFDVVCKFRGLRNGGNHMLASFTSDGTHIVSASEDSNVRIWNYNSQDMTSSRPRNIWSCESFVSHKSMIAIPWNGVNIIPGTLPSPRFTGDMPGSCLDNQLKHLSFDEKVEQKMHLSSPDCFSLGRGFLLEALPKGTATWPEEKLVNSSPVAVSPTMCRSDYKFLRSACQSMSGPNLWGLVIVTAGWDGRIRTYHNYGTPIRR >ONI07546 pep chromosome:Prunus_persica_NCBIv2:G5:12391532:12394700:-1 gene:PRUPE_5G126700 transcript:ONI07546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSEEREDQFYDTREDLSSVSDWGSDGCDEFSSSTSGFLRYDEVWAKNLDSVHERRHKFLQWMGLDLDRNLIMEEEAGDDCAEKIEMSIDRIKEDSCVVLRTSGLEEASCSSQSTASALSNEARESLGNGALDENFGCAVRTLNQRMDIIVNELGQDGRLCRLGQVGLNQLVSVGEIHTNHAPGPSPSIQQLLQREVDNARFFLDAKKKVKRGWLRKLGIGMCVVDKHEAALSPISLKSASGTGMRRVRVYPSKKGTKELSSLYAGQDFPAHEGTILSMKFSLDGKYLASAGEDGVVRVWKVIEDEGFNKFDISGDPSSLYFKTNPFSKLDSPNVDKDKAQRLRSSDSTCVIFPPKIFRLLEKPVHEFRGHSREVLDLSWSKKGFLLSSSVDKTVRLWQVGCDRCLRVFSHNNYVTCVNFNPLDDNYFISGSIDGKVRIWEVIRCLVVDYIDVREIVTAVSYRPDGKGGIVGFVTGNCCFYNIIDNHLELDAPISIQGKKKSPGKRITGFQFSPSNPSQVMVTSADSVVRVIYGFDVVCKFRGLRNGGNHMLASFTSDGTHIVSASEDSNVRIWNYNSQDMTSSRPRNIWSCESFVSHKSMIAIPWNGVNIIPGTLPSPRFTGDMPGSCLDNQLKHLSFDEKVEQKMHLSSPDCFSLGRGFLLEALPKGTATWPEEKLVNSSPVAVSPTMCRSDYKFLRSACQSMSGPNLWGLVIVTAGWDGRIRTYHNYGTPIRR >ONI07540 pep chromosome:Prunus_persica_NCBIv2:G5:12391032:12395462:-1 gene:PRUPE_5G126700 transcript:ONI07540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSEEREDQFYDTREDLSSVSDWGSDGCDEFSSSTSGFLRYDEVWAKNLDSVHERRHKFLQWMGLDLDRNLIMEEEAGDDCAEKIEMSIDRIKEDSCVVLRTSGLEEASCSSQSTASALSNEARESLGNGALDENFGCAVRTLNQRMDIIVNELGQDGRLCRLGQVGLNQLVSVGEIHTNHAPGPSPSIQQLLQREVDNARFFLDAKKKVKRGWLRKLGIGMCVVDKHEAALSPISLKSASGTGMRRVRVYPSKKGTKELSSLYAGQDFPAHEGTILSMKFSLDGKYLASAGEDGVVRVWKVIEDEGFNKFDISGDPSSLYFKTNPFSKLDSPNVDKDKAQRLRSSDSTCVIFPPKIFRLLEKPVHEFRGHSREVLDLSWSKKGFLLSSSVDKTVRLWQVGCDRCLRVFSHNNYVTCVNFNPLDDNYFISGSIDGKVRIWEVIRCLVVDYIDVREIVTAVSYRPDGKGGIVGFVTGNCCFYNIIDNHLELDAPISIQGKKKSPGKRITGFQFSPSNPSQVMVTSADSVVRVIYGFDVVCKFRGLRNGGNHMLASFTSDGTHIVSASEDSNVRIWNYNSQDMTSSRPRNIWSCESFVSHKSMIAIPWNGVNIIPGTLPSPRFTGDMPGSCLDNQLKHLSFDEKVEQKMHLSSPDCFSLGRGFLLEALPKGTATWPEEKLVNSSPVAVSPTMCRSDYKFLRSACQSMSGPNLWGLVIVTAGWDGRIRTYHNYVSLTACLVCTLEDYQMYCSPVRSCQVATVIQFTCSPTRCPQAAGGIAFKFCIGSSYTSKIYMIL >ONI06682 pep chromosome:Prunus_persica_NCBIv2:G5:8825316:8826548:1 gene:PRUPE_5G074100 transcript:ONI06682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTNSGSQPVGLQFPPLGYNDIYKKGPSIFGSPVLGVGGSIQAMCKFHIGKYNNNLQFKYRWSTSNCNETFCDKTPHLEVHWVFSLLGG >ONI07383 pep chromosome:Prunus_persica_NCBIv2:G5:11851321:11853709:-1 gene:PRUPE_5G116500 transcript:ONI07383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYRPVLSPKCFLILVTTLFFYCFATAKTRNSLERGSSLSVEDDSDFLISPDKSFTCGFFGVGTNAYWFSIWFTNSKDRTVVWMANRERPVNGMGSRVSLSRDGSMVLRDVDGTIVWESSTSTPTGGAERAELLNSGNLVLKDSQGKTLWQSFDFPTDTLLPNQPFTKSMKLISMLGKGNFGTGYYSFYFDNDNVLRLIYDGPDMTSVYWPNPSNRVLANGRTNYNTSRIAGFDEMGNFVSSDDLKFSAFDMGMRVKRRLTMDYDGNLRLYSLSGSTESWVITWQALTQQCKRGKCSCPRGYEVADTTDWHKGCKPKFQPTCSQSQQWKFMQIQHADFYGFDLIYYESISFLRCRKQCLEDCRCQAFSYREDSCYTKGALFNGYVSPNIPGSIYLRLPQSVDTSPPTNPNVFNTCRINVSTTHTSTSKRERWIYLYSFASAIGAVEFLFILSGWWLLFRRSHGAAPPQEDGYALLSSQFRMFLYAELKKATKNFKEELGRGASGVVYKGVLSDERVVAIKKLADIYKGEQVFWAEVSTIVKVNHMNLLRTWGFCSEDKQRLLISEYVENGSLDKHLFPPNFLGWKERFKIALGIAKGLAYLHHECLEWVIHCDVKPENILLDSNFEPKIADFGLAKLSQRGGLNSVSSHIRGTKGYMAPEWALNLSITAKVDVYSYGVVLLEILKGIRFSNRVVENSDGEEAELKRFVKVAKRKIQCGEDQWMEDMLDPRLEGQFSRNQAAKMVEIGISCVEEDRNKRPTMDSVVQMLLECEEEHNIQQ >ONI05805 pep chromosome:Prunus_persica_NCBIv2:G5:2780670:2784947:-1 gene:PRUPE_5G024800 transcript:ONI05805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKKQRRHRKIVRFYTACYGLRQPYKVLCDVNFVHHLVVNRITPADKALANILGAPVMLFTTKCAISELKKQDLRHVPSHSQALEAAESLITARCDHERAMSADDCIMDIIGQNNPEHFLVATQHADLREKILKIPGVPAIYALRTALFLESPSAAQRQFVKTSEEQRLHMTDLEYEMLTKGTKNMSTTHQEKDRSDEDGFGDQNLEVQAVAKKHTARKGLGVKDKVQFKRKKAKGPNPLSCKKKKTLKNPDLHSVQERKDGDATLRSRKKRNRSRKGKRPVEADG >ONI05804 pep chromosome:Prunus_persica_NCBIv2:G5:2780704:2784953:-1 gene:PRUPE_5G024800 transcript:ONI05804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKKQRRHRKIVRFYTACYGLRQPYKVLCDVNFVHHLVVNRITPADKALANILGAPVMLFTTKCAISELKKQDLRHVPSHSQALEAAESLITARCDHERAMSADDCIMDIIGQNNPEHFLVATQHADLREKILKIPGVPAIYALRTALFLESPSAAQRQFVKTSEEQRLHMTDLEYEMLTKGTKNMSTTHQEKDRSDEDGFGDQNLEVQAVAKKHTARKGLGVKDKVQFKRKKAKGPNPLSCKKKKTLKNPDLHSVQERKDGDATLRSRKKRNRSRKGKRPVEADG >ONI05803 pep chromosome:Prunus_persica_NCBIv2:G5:2780670:2784603:-1 gene:PRUPE_5G024800 transcript:ONI05803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKKQRRHRKIVRFYTACYGLRQPYKVLCDVNFVHHLVVNRITPADKALANILGAPVMLFTTKCAISELKKQDLRHVPSHSQALEAAESLITARCDHERAMSADDCIMDIIGQNNPEHFLVATQHADLREKILKIPGVPAIYALRTALFLESPSAAQRQFVKTSEEQRLHMTDLEYEMLTKGTKNMSTTHQEKDRSDEDGFGDQNLEVQAVAKKHTARKGLGVKDKVQFKRKKAKGPNPLSCKKKKTLKNPDLHSVQERKDGDATLRSRKKRNRSRKGKRPVEADG >ONI05807 pep chromosome:Prunus_persica_NCBIv2:G5:2780726:2784871:-1 gene:PRUPE_5G024800 transcript:ONI05807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKKQRRHRKIVRFYTACYGLRQPYKVLCDVNFVHHLVVNRITPADKALANILGAPVMLFTTKCAISELKKQDLRHVPSHSQALEAAESLITARCDHERAMSADDCIMDIIGQNNPEHFLVATQHADLREKILKIPGVPAIYALRTALFLESPSAAQRQFVKTSEEQRLHMTDLEYEMLTKGTKNMSTTHQEKDRSDEDGFGDQNLEVQAVAKKHTARKGLGVKDKVQFKRKKAKGPNPLSCKKKKTLKNPDLHSVQERKDGDATLRSRKKRNRSRKGKRPVEADG >ONI05806 pep chromosome:Prunus_persica_NCBIv2:G5:2780713:2784871:-1 gene:PRUPE_5G024800 transcript:ONI05806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKKQRRHRKIVRFYTACYGLRQPYKVLCDVNFVHHLVVNRITPADKALANILGAPVMLFTTKCAISELKKQDLRHVPSHSQALEAAESLITARCDHERAMSADDCIMDIIGQNNPEHFLVATQHADLREKILKIPGVPAIYALRTALFLESPSAAQRQFVKTSEEQRLHMTDLEYEMLTKGTKNMSTTHQEKDRSDEDGFGDQNLEVQAVAKKHTARKGLGVKDKVQFKRKKAKGPNPLSCKKKKTLKNPDLHSVQERKDGDATLRSRKKRNRSRKGKRPVEADG >ONI05808 pep chromosome:Prunus_persica_NCBIv2:G5:2780727:2784871:-1 gene:PRUPE_5G024800 transcript:ONI05808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKKQRRHRKIVRFYTACYGLRQPYKVLCDVNFVHHLVVNRITPADKALANILGAPVMLFTTKCAISELKKQDLRHVPSHSQALEAAESLITARCDHERAMSADDCIMDIIGQNNPEHFLVATQHADLREKILKIPGVPAIYALRTALFLESPSAAQRQFVKTSEEQRLHMTDLEYEMLTKGTKNMSTTHQEKDRSDEDGFGDQNLEVQAVAKKHTARKGLGVKDKVQFKRKKAKGPNPLSCKKKKTLKNPDLHSVQERKDGDATLRSRKKRNRSRKGKRPVEADG >ONI07550 pep chromosome:Prunus_persica_NCBIv2:G5:12414805:12417538:-1 gene:PRUPE_5G127000 transcript:ONI07550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTALQTKPCRFGDKNTSDIVICLKNREGIPKRFYSHSSVLINKSKYFANRLSHPGSNHCIDIYCSEVNYDHHVNLLRLLYLPTDSILDSFDSVKSAVGILQLAVVFQCEEIACCCIQYLEAVPWEDKEEELILKAVSKLGPKAMPILARIQPVDLAATKDVFVSAVRIATSIAGPCPPFGNELKTSAQEQVEFMLGEDEDAPLVTADEEVKSVLRMGLSQIYSSFEKELSSLLLEPDLVSERAEEKILHSLSDLEWMCNILGKMDLMKDFVSNWAESSSNVLGVVENNKLDSFMWGLKIKLIEITAKVLEAVGYGNVILPTPIRLTLLKTWLPYIRKMKPLLDSKGSEETGFPYKMSEDLCQSIEGAMVTLVLALPSNDQADILADWMGAEQVRYPDLSEAFEVWCFRTKSAKRRLVEGLDGAGNATVSL >ONI07549 pep chromosome:Prunus_persica_NCBIv2:G5:12414795:12417366:-1 gene:PRUPE_5G127000 transcript:ONI07549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTALQTKPCRFGDKNTSDIVICLKNREGIPKRFYSHSSVLINKSKYFANRLSHPGSNHCIDIYCSEVNYDHHVNLLRLLYLPTDSILDSFDSVKSAVGILQLAVVFQCEEIACCCIQYLEAVPWEDKEEELILKAVSKLGPKAMPILARIQPVDLAATKDVFVSAVRIATSIAGPCPPFGNELKTSAQEQVEFMLGEDEDAPLVTADEEVKSVLRMGLSQIYSSFEKELSSLLLEPDLVSERAEEKILHSLSDLEWMCNILGKMDLMKDFVSNWAESSSNVLGVVENNKLDSFMWGLKIKLIEITAKVLEAVGYGNVILPTPIRLTLLKTWLPYIRKMKPLLDSKGSEETGFPYKMSEDLCQSIEGAMVTLVLALPSNDQADILADWMGAEQVRYPDLSEAFEVWCFRTKSAKRRLVEGLDGAGNATVSL >ONI08706 pep chromosome:Prunus_persica_NCBIv2:G5:15858714:15859934:-1 gene:PRUPE_5G195700 transcript:ONI08706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYAASVFSSIENPDGFLWNTMIRGFGKTRKPEKAFEFYKRMQEKGEVADNFTLSFLLKACGQLGSYLLGKQMHCATLKLGLESHVFVRNTLIHIYGVLRDDQTATKLFEEIPSPDLVAWNTIIDSHVNCGKCKEALDLFLRLLQSGVEPDEATVVVTLSACSTLGALDFGRWVHSCIDQVNLGDIVTVSNSLIDMYAKCGAFEEAYETFSKMEGKNIVSWNTIILGLATHGHEDDALELFSKMLEEKLEIPDGVTFLGVLCACSHGGMVDEGRRYFDVMSKEYHIQPTMKHYGCMVDMLGRAGYVEEAYNLIRSMPMECNAIVWRALLAACQVHGDVELGEKVRSHLLELEPDQGQSSDYVLLANMYASVGRWNEVMSVRKSMKDRGVQKPEPGNSLVGAYSHMI >ONI08750 pep chromosome:Prunus_persica_NCBIv2:G5:16017790:16019765:1 gene:PRUPE_5G198800 transcript:ONI08750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEDHPRISMAKAGKILRKSVHTFLQNYQFFTSIVALIALPFSASVLISQALVPSSSSLLPTIYNRLQSLFDAAGFPPSSEFFSILNHKLSQTISSSIFTIPFTLTFLLITKASVIQYLNHRKPTTFSSHPSFSSVISLYIPLLHTHIFNSFLILSANATVFSLLFIAFNFLEGFGFSSPNTLLFLSASGAVLYSIVLANALIICNLSLVLSGTERSGGYLAILKACILIRGRTATALSLALPVNLALAAIEALFQYRVVRACHFAGKLGSSMALEGLLIAYLYSVLVVLDTIVSFMFFKSCKPSSWADQEGKHSCGIEYRDEESCGGYGNLKTLKELP >ONI08751 pep chromosome:Prunus_persica_NCBIv2:G5:16017790:16019803:1 gene:PRUPE_5G198800 transcript:ONI08751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEDHPRISMAKAGKILRKSVHTFLQNYQFFTSIVALIALPFSASVLISQALVPSSSSLLPTIYNRLQSLFDAAGFPPSSEFFSILNHKLSQTISSSIFTIPFTLTFLLITKASVIQYLNHRKPTTFSSHPSFSSVISLYIPLLHTHIFNSFLILSANATVFSLLFIAFNFLEGFGFSSPNTLLFLSASGAVLYSIVLANALIICNLSLVLSGTERSGGYLAILKACILIRGRTATALSLALPVNLALAAIEALFQYRVVRACHFAGKLGSSMALEGLLIAYLYSVLVVLDTIVSFMFFKSCKPSSWADQEGKHSCGIEYRDEESCGGYGNLKTLKELP >ONI06455 pep chromosome:Prunus_persica_NCBIv2:G5:6732574:6736589:-1 gene:PRUPE_5G061600 transcript:ONI06455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEADVIVEDDADAENMEEDVDGDLADLETLNYDDLDSVSKLQKTQRYADIIQKVEEALVKGSDMSSHGIVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRPKFPELESLVHHPIDYARVVKKIGNEMDVTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLTKTNEACDRALALDSAKKKVLDFVESRMGFIAPNLSAIVGSAVAAKLMGTAGGLTSLAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYVEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSTRGDSSGNTGRAFREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSMGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELSNPQAHAHQLGGGTQSTYFSETGTFSKIKRI >ONI06459 pep chromosome:Prunus_persica_NCBIv2:G5:6732574:6736589:-1 gene:PRUPE_5G061600 transcript:ONI06459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEADVIVEDDADAENMEEDVDGDLADLETLNYDDLDSVSKLQKTQRYADIIQKVEEALVKGSDMSSHGIVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRPKFPELESLVHHPIDYARVVKKIGNEMDVTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLTKTNEACDRALALDSAKKKVLDFVESRMGFIAPNLSAIVGSAVAAKLMGTAGGLTSLAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYVEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSTRGDSSGNTGRAFREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSMGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELSNPQAHAHQLGGGTQSTYFSETGTFSKIKRI >ONI06456 pep chromosome:Prunus_persica_NCBIv2:G5:6732283:6737313:-1 gene:PRUPE_5G061600 transcript:ONI06456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEADVIVEDDADAENMEEDVDGDLADLETLNYDDLDSVSKLQKTQRYADIIQKVEEALVKGSDMSSHGIVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRPKFPELESLVHHPIDYARVVKKIGNEMDVTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLTKTNEACDRALALDSAKKKVLDFVESRMGFIAPNLSAIVGSAVAAKLMGTAGGLTSLAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYVEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSTRGDSSGNTGRAFREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSMGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELSNPQAHAHQLGGGTQSTYFSETGTFSKIKRI >ONI06458 pep chromosome:Prunus_persica_NCBIv2:G5:6732283:6737313:-1 gene:PRUPE_5G061600 transcript:ONI06458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEADVIVEDDADAENMEEDVDGDLADLETLNYDDLDSVSKLQKTQRYADIIQKVEEALVKGSDMSSHGIVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRPKFPELESLVHHPIDYARVVKKIGNEMDVTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLTKTNEACDRALALDSAKKKVLDFVESRMGFIAPNLSAIVGSAVAAKLMGTAGGLTSLAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYVEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSTRGDSSGNTGRAFREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSMGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELSNPQAHAHQLGGGTQSTYFSETGTFSKIKRI >ONI06457 pep chromosome:Prunus_persica_NCBIv2:G5:6732574:6736589:-1 gene:PRUPE_5G061600 transcript:ONI06457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEADVIVEDDADAENMEEDVDGDLADLETLNYDDLDSVSKLQKTQRYADIIQKVEEALVKGSDMSSHGIVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRPKFPELESLVHHPIDYARVVKKIGNEMDVTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLTKTNEACDRALALDSAKKKVLDFVESRMGFIAPNLSAIVGSAVAAKLMGTAGGLTSLAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYVEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSTRGDSSGNTGRAFREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSMGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELSNPQAHAHQLGGGTQSTYFSETGTFSKIKRI >ONI06453 pep chromosome:Prunus_persica_NCBIv2:G5:6732283:6737386:-1 gene:PRUPE_5G061600 transcript:ONI06453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALVGSAKAPTVWKLTPLEPERMATLADSFLADLDELSDNEADVIVEDDADAENMEEDVDGDLADLETLNYDDLDSVSKLQKTQRYADIIQKVEEALVKGSDMSSHGIVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRPKFPELESLVHHPIDYARVVKKIGNEMDVTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLTKTNEACDRALALDSAKKKVLDFVESRMGFIAPNLSAIVGSAVAAKLMGTAGGLTSLAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYVEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSTRGDSSGNTGRAFREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSMGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELSNPQAHAHQLGGGTQSTYFSETGTFSKIKRI >ONI06454 pep chromosome:Prunus_persica_NCBIv2:G5:6732283:6737319:-1 gene:PRUPE_5G061600 transcript:ONI06454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALVGSAKAPTVWKLTPLEPERMATLADSFLADLDELSDNEADVIVEDDADAENMEEDVDGDLADLETLNYDDLDSVSKLQKTQRYADIIQKVEEALVKGSDMSSHGIVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRPKFPELESLVHHPIDYARVVKKIGNEMDVTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLTKTNEACDRALALDSAKKKVLDFVESRMGFIAPNLSAIVGSAVAAKLMGTAGGLTSLAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYVEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSTRGDSSGNTGRAFREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSMGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELSNPQAHAHQLGGGTQSTYFSETGTFSKIKRI >ONI05950 pep chromosome:Prunus_persica_NCBIv2:G5:3552389:3557160:-1 gene:PRUPE_5G031100 transcript:ONI05950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGANNNCDEASMAGGGGGAQQAGLAPAPAPLLNSNAPPPFLSKTYDMVDDPATDQVVSWSPTNNSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKSINRRKPAHGHGHQQPQPSQGQNSVAACVEVGKFGLEEEVERLKRDKNVLMQELIKLRQQQQSTDNQLQAMVQRLLGMEQRQQQMMSFLAKAVQSPSFLTQFVQQQNESNRRIIEVNKKRRLKQDEGGDSGTPDGQIVKYQPPVNEAAKAMLRQIMTTDTSSRLESFNDSPDKFPIGNGSSSSSSLIDSGSSSSRASGVTLQEVPLTSGLGSSSAISEVQSSLQATNSGTVMRSPFSDINALVGAQEAQSIPISQADVIIPELSQIPEMVPESLVDIPEENMGPDAGVGFIENMASDASDGFIGDILGLDGSMTIDIDSIPPDPDIEALLKNWDQFLQSPEPDEMDSTYAEGVPMGNEEQPSTENGWDKTQHNMDNLTEKMGRLTSDTKGV >ONI07531 pep chromosome:Prunus_persica_NCBIv2:G5:12355037:12360676:1 gene:PRUPE_5G126100 transcript:ONI07531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGMDEGEAPKKKVEVVDGPLLTERDRAKIERRKRKDERQREQQYQIHLAEMEAVRAGMPVVSVNHESIGGPNVKDIRLENFNVSVGGRDLIVDGSVTLSFGRHYGLVGRNGTGKTTFLRHLAMHAIDGIPKNFQILHVEQEVVGDDTSALQCVLNTDVERTQLMEEEARLLTKQRELEFEESTETSNGAIDKDAIGRRLQEIYKRLEFIDADSAESRAASILAGLSFSPEMQRKATKAFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLVKWPKTCIVVSHAREFLNTVVTDILHLHGQKLNAYKGNYDIYERTRIELVKNQQKAFESNERSRAHMQTFIDKFRYNAKRAALVQSRIKALDRLGHVDEIVNDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPILFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPTSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRSHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVIFQGGILMVSHDEHLISGSVDELWVVSEGRIAPFHGSFEDYKKILQSS >ONI07530 pep chromosome:Prunus_persica_NCBIv2:G5:12354450:12360676:1 gene:PRUPE_5G126100 transcript:ONI07530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVASSVVHEVLGRRAEDVDQPIIDYIVNVLADEDFDFGDDGEGAFDALGELLVGAGCVSDFAECRSVCSIISDKFGKHGLVKAKPTVRSLAAPVRMDDGMDEGEAPKKKVEVVDGPLLTERDRAKIERRKRKDERQREQQYQIHLAEMEAVRAGMPVVSVNHESIGGPNVKDIRLENFNVSVGGRDLIVDGSVTLSFGRHYGLVGRNGTGKTTFLRHLAMHAIDGIPKNFQILHVEQEVVGDDTSALQCVLNTDVERTQLMEEEARLLTKQRELEFEESTETSNGAIDKDAIGRRLQEIYKRLEFIDADSAESRAASILAGLSFSPEMQRKATKAFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLVKWPKTCIVVSHAREFLNTVVTDILHLHGQKLNAYKGNYDIYERTRIELVKNQQKAFESNERSRAHMQTFIDKFRYNAKRAALVQSRIKALDRLGHVDEIVNDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPILFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPTSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRSHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVIFQGGILMVSHDEHLISGSVDELWVVSEGRIAPFHGSFEDYKKILQSS >ONI06539 pep chromosome:Prunus_persica_NCBIv2:G5:8145518:8148885:1 gene:PRUPE_5G067100 transcript:ONI06539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVQRASPSLPKLFGFAKRSFKSLRFPGEPLDPSSSPILSYGIHVFHAPDAVGIVAKLSDCIASKGGNILGASVFVPENKHVFYSRSEFIFDPIKWPRSEMDEDFRKLLTTYSAMRSVVRVPDHDPKYKICILASKQDHCLVDLLHQWQEGRLPVDITCVISNHDRGPNTHVSRFLERHGIPYHYLQTTNTDKREGEILELVQNTDFLVLARYMQLLSGDFLKSYGKDVINIHHGLLPSFKGGKPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMKALVFPGQV >ONI06543 pep chromosome:Prunus_persica_NCBIv2:G5:8145518:8148885:1 gene:PRUPE_5G067100 transcript:ONI06543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLFKDDFERNGACRFDSEFIFDPIKWPRSEMDEDFRKLLTTYSAMRSVVRVPDHDPKYKICILASKQDHCLVDLLHQWQEGRLPVDITCVISNHDRGPNTHVSRFLERHGIPYHYLQTTNTDKREGEILELVQNTDFLVLARYMQLLSGDFLKSYGKDVINIHHGLLPSFKGGKPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMVARVSHRDNLQSFVQKSENLEKQCLTKAIKSYCELRVLPYEDNKTVVF >ONI06538 pep chromosome:Prunus_persica_NCBIv2:G5:8145518:8148885:1 gene:PRUPE_5G067100 transcript:ONI06538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVQRASPSLPKLFGFAKRSFKSLRFPGEPLDPSSSPILSYGIHVFHAPDAVGIVAKLSDCIASKGGNILGASVFVPENKHVFYSRSEFIFDPIKWPRSEMDEDFRKLLTTYSAMRSVVRVPDHDPKYKICILASKQDHCLVDLLHQWQEGRLPVDITCVISNHDRGPNTHVSRFLERHGIPYHYLQTTNTDKREGEILELVQNTDFLVLARYMQLLSGDFLKSYGKDVINIHHGLLPSFKGGKPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMVARVSHRDNLQSFVQKSENLEKQCLTKAIKSYCELRVLPYEDNKTVVF >ONI06545 pep chromosome:Prunus_persica_NCBIv2:G5:8146235:8147817:1 gene:PRUPE_5G067100 transcript:ONI06545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLFKDDFERNGACRFDSEFIFDPIKWPRSEMDEDFRKLLTTYSAMRSVVRVPDHDPKYKICILASKQDHCLVDLLHQWQEGRLPVDITCVISNHDRGPNTHVSRFLERHGIPYHYLQTTNTDKREGEILELVQNTDFLVLARYMQLLSGDFLKSYGKDVINIHHGLLPSFKGGKPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMKALVFPGQV >ONI06544 pep chromosome:Prunus_persica_NCBIv2:G5:8146235:8147817:1 gene:PRUPE_5G067100 transcript:ONI06544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLFKDDFERNGACRFDSEFIFDPIKWPRSEMDEDFRKLLTTYSAMRSVVRVPDHDPKYKICILASKQDHCLVDLLHQWQEGRLPVDITCVISNHDRGPNTHVSRFLERHGIPYHYLQTTNTDKREGEILELVQNTDFLVLARYMQLLSGDFLKSYGKDVINIHHGLLPSFKGGKPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMKALVFPGQV >ONI06540 pep chromosome:Prunus_persica_NCBIv2:G5:8145518:8148885:1 gene:PRUPE_5G067100 transcript:ONI06540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVQRASPSLPKLFGFAKRSFKSLRFPGEPLDPSSSPILSYGIHVFHAPDAVGIVAKLSDCIASKGGNILGASVFVPENKHVFYSRSEFIFDPIKWPRSEMDEDFRKLLTTYSAMRSVVRVPDHDPKYKICILASKQDHCLVDLLHQWQEGRLPVDITCVISNHDRGPNTHVSRFLERHGIPYHYLQTTNTDKREGEILELVQNTDFLVLARYMQLLSGDFLKSYGKDVINIHHGLLPSFKGGKPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMKALVFPGQV >ONI06541 pep chromosome:Prunus_persica_NCBIv2:G5:8145518:8148885:1 gene:PRUPE_5G067100 transcript:ONI06541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVQRASPSLPKLFGFAKRSFKSLRFPGEPLDPSSSPILSYGIHVFHAPDAVGIVAKLSDCIASKGGNILGASVFVPENKHVFYSRSEFIFDPIKWPRSEMDEDFRKLLTTYSAMRSVVRVPDHDPKYKICILASKQDHCLVDLLHQWQEGRLPVDITCVISNHDRGPNTHVSRFLERHGIPYHYLQTTNTDKREGEILELVQNTDFLVLARYMQLLSGDFLKSYGKDVINIHHGLLPSFKGGKPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMVNTNFLLLLLILPSSESLTIQADFLWRLFSFLLLFESYTLFYFFS >ONI06547 pep chromosome:Prunus_persica_NCBIv2:G5:8145518:8148885:1 gene:PRUPE_5G067100 transcript:ONI06547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQDHCLVDLLHQWQEGRLPVDITCVISNHDRGPNTHVSRFLERHGIPYHYLQTTNTDKREGEILELVQNTDFLVLARYMQLLSGDFLKSYGKDVINIHHGLLPSFKGGKPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMVARVSHRDNLQSFVQKSENLEKQCLTKAIKSYCELRVLPYEDNKTVVF >ONI06546 pep chromosome:Prunus_persica_NCBIv2:G5:8145518:8148885:1 gene:PRUPE_5G067100 transcript:ONI06546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFRKLLTTYSAMRSVVRVPDHDPKYKICILASKQDHCLVDLLHQWQEGRLPVDITCVISNHDRGPNTHVSRFLERHGIPYHYLQTTNTDKREGEILELVQNTDFLVLARYMQLLSGDFLKSYGKDVINIHHGLLPSFKGGKPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMVARVSHRDNLQSFVQKSENLEKQCLTKAIKSYCELRVLPYEDNKTVVF >ONI06542 pep chromosome:Prunus_persica_NCBIv2:G5:8145518:8148885:1 gene:PRUPE_5G067100 transcript:ONI06542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLFKDDFERNGACRFDSEFIFDPIKWPRSEMDEDFRKLLTTYSAMRSVVRVPDHDPKYKICILASKQDHCLVDLLHQWQEGRLPVDITCVISNHDRGPNTHVSRFLERHGIPYHYLQTTNTDKREGEILELVQNTDFLVLARYMQLLSGDFLKSYGKDVINIHHGLLPSFKGGKPSKQAFDAGVKLIGATSHFVTQELDAGPIIEQMVARVSHRDNLQSFVQKSENLEKQCLTKAIKSYCELRVLPYEDNKTVVF >ONI07814 pep chromosome:Prunus_persica_NCBIv2:G5:13148549:13150899:1 gene:PRUPE_5G141400 transcript:ONI07814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNEPPSTIVVTQMKFHHIPSLVSPRIQRMKGAYQLKGFHPWSKIFLCHSFPPRGISSCSSIVSGIFEGRNQTSHRNHLCPASCHSSNGSPCDSTNNQTIHPITLSPISLKPTVSSSTDSSNKSILKATRASRPRLVYEPRGERNTNPKLHQTFRCGG >ONI07815 pep chromosome:Prunus_persica_NCBIv2:G5:13149595:13150032:1 gene:PRUPE_5G141400 transcript:ONI07815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNEPPSTIVVTQMKFHHIPSLVSPRIQRMKGAYQLKGFHPWSKIFLCHSFPPRGISSCSSIVSGIFEGRNQTSHRNHLCPASCHSSNGSPCDSTNNQTIHPITLSPISLKPTVSSSTDSSNKSILKATRASRPRHKSGLSYPS >ONI08136 pep chromosome:Prunus_persica_NCBIv2:G5:14062537:14065599:-1 gene:PRUPE_5G158700 transcript:ONI08136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDARLDKIRKLDPALLDKIRKFDPDVHDELYNNQVSKDKMKERDGLAAEKPGQTECKDYSRSGGRKYEKACSLNPGRGEPSVAPIPECNFLGLPIRPGEKDCSFYMRNASCMFGTNCRFNHPDPTAARESEPPSGCGNGGSASLQGASSSTAAPWSAPRSLNDAPLYVPMVSPHVQIGSWGNADGVWAQSEAGNKDEASGWTKPAFINENQNDSWKKPSGVDDNRRASWGKSDGGSTWTKQDGDPTWNKQGEGSTWNKQDGSSAWNKPAGDSYWSKQAGWSSWGKQADVTAGHESGGVGNQDNGWKRASSFGGSQSMDGVNGDQPEDFNNNRSGGNWRGGSGRGNSDGGGFRGGRGFVGRGGDREEDRGGFGRRWGFGGRGGDRGSFGGRGRSDKGGFGGRGYGGRGRGRYQSGGWSNRNESIDNNSSGWSKGADGAGEGWKRDKGGGSWNQGGGSKNDWQGRNSSGWSSQSSGWNQSDVTKGIGGSGSGWNQTVEAKYTAGTQDKGTGSHNEVGTSWGNNWKSSDASNGDQSSRWKQSTAAKEVKGNTDQDGGWNKGPSSNAQAGGWGNQGSGWNKGTGSGFGGGTGEQPSAAVGGQSSDWKQSSAASGGQSSSWNQSGEAKQGTEEGAKLTNSWGKAAPQYLPERILPATRLCYEPLSD >ONI08619 pep chromosome:Prunus_persica_NCBIv2:G5:15565104:15570991:1 gene:PRUPE_5G189400 transcript:ONI08619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKGRVSFQLVKLENSNNMLEGPSYLVSRELPSSCEQESKWIYNTHRVMELSNNKRPLADGEELTLRKACKLSDAVLGGEAVVDLNGLSLSPAKDQSNDQHHEGGNSDSSSLINQLGRDNSISCLLRCSRSDYGSIASLNKNFRSLIRSGELYTLRRKMDIVEHWVYFSCNLLEWEAFDPDRRRWMHLPRMTSNDCFMCSDKESLAVGTELLVFGKEITSHVIYRYSILTNTWSSGTKMNTPRCLFGSASRGEIAILAGGCDPRGNILNSAELYNSETGTWLTLPSMNKPRKMCSGVFMDGKFYVIGGIGVGDPKQLTSGEVYDLEKTTWTEIPNMFPGRNGGAGAAEAPAAAEAPPLLAVVNNILYAADYAEKEVRKYDKEKNVWVAVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRVLGGGTIELNSWVPDGSSPQWDLLARKPSGSFVYNCAVMGC >ONI08621 pep chromosome:Prunus_persica_NCBIv2:G5:15565104:15570991:1 gene:PRUPE_5G189400 transcript:ONI08621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKGRVSFQLVKLENSNNMLEGPSYLVSRELPSSCEQESKWIYNTHRVMELSNNKRPLADGEELTLRKACKLSDAVLGGEAVVDLNGLSLSPAKDQSNDQHHEGGNSDSSSLINQLGRDNSISCLLRCSRSDYGSIASLNKNFRSLIRSGELYTLRRKMDIVEHWVYFSCNLLEWEAFDPDRRRWMHLPRMTSNDCFMCSDKESLAVGTELLVFGKEITSHVIYRYSILTNTWSSGTKMNTPRCLFGSASRGEIAILAGGCDPRGNILNSAELYNSETGTWLTLPSMNKPRKMCSGVFMDGKFYVIGGIGVGDPKQLTSGEVYDLEKTTWTEIPNMFPGRNGGAGAAEAPAAAEAPPLLAVVNNILYAADYAEKEVRKYDKEKNVWVAVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRVLGGGTIELNSWVPDGSSPQWDLLARKPSGSFVYNCAVMGC >ONI08620 pep chromosome:Prunus_persica_NCBIv2:G5:15565104:15570991:1 gene:PRUPE_5G189400 transcript:ONI08620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKGRVSFQLVKLENSNNMLEGPSYLVSRELPSSCEQESKWIYNTHRVMELSNNKRPLADGEELTLRKACKLSDAVLGGEAVVDLNGLSLSPAKDQSNDQHHEGGNSDSSSLINQLGRDNSISCLLRCSRSDYGSIASLNKNFRSLIRSGELYTLRRKMDIVEHWVYFSCNLLEWEAFDPDRRRWMHLPRMTSNDCFMCSDKESLAVGTELLVFGKEITSHVIYRYSILTNTWSSGTKMNTPRCLFGSASRGEIAILAGGCDPRGNILNSAELYNSETGTWLTLPSMNKPRKMCSGVFMDGKFYVIGGIGVGDPKQLTSGEVYDLEKTTWTEIPNMFPGRNGGAGAAEAPAAAEAPPLLAVVNNILYAADYAEKEVRKYDKEKNVWVAVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRVLGGGTIELNSWVPDGSSPQWDLLARKPSGSFVYNCAVMGC >ONI08625 pep chromosome:Prunus_persica_NCBIv2:G5:15565016:15570991:1 gene:PRUPE_5G189400 transcript:ONI08625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGPSYLVSRELPSSCEQESKWIYNTHRVMELSNNKRPLADGEELTLRKACKLSDAVLGGEAVVDLNGLSLSPAKDQSNDQHHEGGNSDSSSLINQLGRDNSISCLLRCSRSDYGSIASLNKNFRSLIRSGELYTLRRKMDIVEHWVYFSCNLLEWEAFDPDRRRWMHLPRMTSNDCFMCSDKESLAVGTELLVFGKEITSHVIYRYSILTNTWSSGTKMNTPRCLFGSASRGEIAILAGGCDPRGNILNSAELYNSETGTWLTLPSMNKPRKMCSGVFMDGKFYVIGGIGVGDPKQLTSGEVYDLEKTTWTEIPNMFPGRNGGAGAAEAPAAAEAPPLLAVVNNILYAADYAEKEVRKYDKEKNVWVAVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRVLGGGTIELNSWVPDGSSPQWDLLARKPSGSFVYNCAVMGC >ONI08624 pep chromosome:Prunus_persica_NCBIv2:G5:15565016:15568571:1 gene:PRUPE_5G189400 transcript:ONI08624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGPSYLVSRELPSSCEQESKWIYNTHRVMELSNNKRPLADGEELTLRKACKLSDAVLGGEAVVDLNGLSLSPAKDQSNDQHHEGGNSDSSSLINQLGRDNSISCLLRCSRSDYGSIASLNKNFRSLIRSGELYTLRRKMDIVEHWVYFSCNLLEWEAFDPDRRRWMHLPRMTSNDCFMCSDKESLAVGTELLVFGKEITSHVIYRYSILTNTWSSGTKMNTPRCLFGSASRGEIAILAGGCDPRGNILNSAELYNSETGTWLTLPSMNKPRKMCSGVFMDGKFYVIGGIGVGDPKQLTSGEVYDLEKTTWTEIPNMFPGRNGGAGAAEAPAAAEAPPLLAVVNNILYAADYAEKEVRKYDKEKNVWVAVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRVLGGGTIELNSWVPDGSSPQWDLLARKPSGSFVYNCAVMGC >ONI08618 pep chromosome:Prunus_persica_NCBIv2:G5:15565016:15568571:1 gene:PRUPE_5G189400 transcript:ONI08618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKGRVSFQLVKLENSNNMLEGPSYLVSRELPSSCEQESKWIYNTHRVMELSNNKRPLADGEELTLRKACKLSDAVLGGEAVVDLNGLSLSPAKDQSNDQHHEGGNSDSSSLINQLGRDNSISCLLRCSRSDYGSIASLNKNFRSLIRSGELYTLRRKMDIVEHWVYFSCNLLEWEAFDPDRRRWMHLPRMTSNDCFMCSDKESLAVGTELLVFGKEITSHVIYRYSILTNTWSSGTKMNTPRCLFGSASRGEIAILAGGCDPRGNILNSAELYNSETGTWLTLPSMNKPRKMCSGVFMDGKFYVIGGIGVGDPKQLTSGEVYDLEKTTWTEIPNMFPGRNGGAGAAEAPAAAEAPPLLAVVNNILYAADYAEKEVRKYDKEKNVWVAVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRVLGGGTIELNSWVPDGSSPQWDLLARKPSGSFVYNCAVMGC >ONI08623 pep chromosome:Prunus_persica_NCBIv2:G5:15565016:15570991:1 gene:PRUPE_5G189400 transcript:ONI08623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGPSYLVSRELPSSCEQESKWIYNTHRVMELSNNKRPLADGEELTLRKACKLSDAVLGGEAVVDLNGLSLSPAKDQSNDQHHEGGNSDSSSLINQLGRDNSISCLLRCSRSDYGSIASLNKNFRSLIRSGELYTLRRKMDIVEHWVYFSCNLLEWEAFDPDRRRWMHLPRMTSNDCFMCSDKESLAVGTELLVFGKEITSHVIYRYSILTNTWSSGTKMNTPRCLFGSASRGEIAILAGGCDPRGNILNSAELYNSETGTWLTLPSMNKPRKMCSGVFMDGKFYVIGGIGVGDPKQLTSGEVYDLEKTTWTEIPNMFPGRNGGAGAAEAPAAAEAPPLLAVVNNILYAADYAEKEVRKYDKEKNVWVAVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRVLGGGTIELNSWVPDGSSPQWDLLARKPSGSFVYNCAVMGC >ONI08617 pep chromosome:Prunus_persica_NCBIv2:G5:15565104:15570991:1 gene:PRUPE_5G189400 transcript:ONI08617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKGRVSFQLVKLENSNNMLEGPSYLVSRELPSSCEQESKWIYNTHRVMELSNNKRPLADGEELTLRKACKLSDAVLGGEAVVDLNGLSLSPAKDQSNDQHHEGGNSDSSSLINQLGRDNSISCLLRCSRSDYGSIASLNKNFRSLIRSGELYTLRRKMDIVEHWVYFSCNLLEWEAFDPDRRRWMHLPRMTSNDCFMCSDKESLAVGTELLVFGKEITSHVIYRYSILTNTWSSGTKMNTPRCLFGSASRGEIAILAGGCDPRGNILNSAELYNSETGTWLTLPSMNKPRKMCSGVFMDGKFYVIGGIGVGDPKQLTSGEVYDLEKTTWTEIPNMFPGRNGGAGAAEAPAAAEAPPLLAVVNNILYAADYAEKEVRKYDKEKNVWVAVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRVLGGGTIELNSWVPDGSSPQWDLLARKPSGSFVYNCAVMGC >ONI08622 pep chromosome:Prunus_persica_NCBIv2:G5:15565016:15570991:1 gene:PRUPE_5G189400 transcript:ONI08622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGPSYLVSRELPSSCEQESKWIYNTHRVMELSNNKRPLADGEELTLRKACKLSDAVLGGEAVVDLNGLSLSPAKDQSNDQHHEGGNSDSSSLINQLGRDNSISCLLRCSRSDYGSIASLNKNFRSLIRSGELYTLRRKMDIVEHWVYFSCNLLEWEAFDPDRRRWMHLPRMTSNDCFMCSDKESLAVGTELLVFGKEITSHVIYRYSILTNTWSSGTKMNTPRCLFGSASRGEIAILAGGCDPRGNILNSAELYNSETGTWLTLPSMNKPRKMCSGVFMDGKFYVIGGIGVGDPKQLTSGEVYDLEKTTWTEIPNMFPGRNGGAGAAEAPAAAEAPPLLAVVNNILYAADYAEKEVRKYDKEKNVWVAVGRLPERAVSMNGWGLAFRACGDRLIVIGGPRVLGGGTIELNSWVPDGSSPQWDLLARKPSGSFVYNCAVMGC >ONI07528 pep chromosome:Prunus_persica_NCBIv2:G5:12342692:12346680:1 gene:PRUPE_5G125900 transcript:ONI07528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSWRARGSLIVLAIVTFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDGERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDHRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKRQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGEETKGMLISRLCGQLRYIYLKRVIG >ONI07526 pep chromosome:Prunus_persica_NCBIv2:G5:12342679:12347322:1 gene:PRUPE_5G125900 transcript:ONI07526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSWRARGSLIVLAIVTFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDGERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDHRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKRQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRNLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIDRMVKEAEEFADEDKKVKERIDARNSLETYVYNMKNQINDKDKLADKLESDEKEKIETATKEALEWLDDNQTAEKEDYDEKLKEVEAVCNPIITAVYQRSGGAPGGGAASEEDEDSHDEL >ONI07527 pep chromosome:Prunus_persica_NCBIv2:G5:12342692:12346680:1 gene:PRUPE_5G125900 transcript:ONI07527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSWRARGSLIVLAIVTFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDGERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDHRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKRQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGSILSGEGGEETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRNLGKFDLSGIPPAPRFGNSSNRSHI >ONI07426 pep chromosome:Prunus_persica_NCBIv2:G5:11998522:12000287:-1 gene:PRUPE_5G119800 transcript:ONI07426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NCGYAGFDLSCNNQSQTIITFPSSGDFIVQWINYREQTLFINGTDNCIPKRRSLRSCSRGRPWSPQPNFRHSKGSLDPSCPNVRPPLPKRFLHRDFSFKDTPFFYYSSHDSTTNYTFFNCSPDQVIYWPSRIVSCLGTENYTVLALPTTWTLPHHEISLPNCTVISTTLAPKSFEWEEINHGFELAWDVPACRYCEVRGQACGLKKGKSLTSRCFRTCQSHHPIAELSTLTSQQPSTIVTGLDASTIESYPKTLLGESWELPKSNDNSCPICLSEYKSKETLRTIPECNHYFHASGVDEWLRMNATCPLCRNPQER >ONI06613 pep chromosome:Prunus_persica_NCBIv2:G5:8418954:8426355:1 gene:PRUPE_5G070100 transcript:ONI06613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALAPAPSLRFNPYSTLRFLSFYPRTLVQPQPPIFPPFLPWSSRFSGAGPAVPRRRSFCTVISGALHSGGGAAERTKPEIAESWRPESGNNRVGEFRKKLKVVDIKGGPDEGLERLSQSLVVMGWVRTLRVQSSVTFIEVNDGSCLSNMQCVLGLDAEGYDQVESGLITTGASIWVQGILAASQGSKQKVELKVKKIVVVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPSTREASHSPVDGIPRLKDGLVDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQYVVRHVLENCKEDMEFFNTWIEKGIIDRLNDVADKDFVQLTYTDAVELLLRANKKFEFPVKWGCDLQSEHERYLTEEAFSGCPVIVRDYPKDIKAFYMRRNDDGKTVAAMDMLVPRVGELIGGSQREERLEYLEERLDESKLNKDSYWWYLDLRRYGSGGEFCFLNLKNYSSG >ONI06612 pep chromosome:Prunus_persica_NCBIv2:G5:8418938:8428609:1 gene:PRUPE_5G070100 transcript:ONI06612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALAPAPSLRFNPYSTLRFLSFYPRTLVQPQPPIFPPFLPWSSRFSGAGPAVPRRRSFCTVISGALHSGGGAAERTKPEIAESWRPESGNNRVGEFRKKLKVVDIKGGPDEGLERLSQSLVVMGWVRTLRVQSSVTFIEVNDGSCLSNMQCVLGLDAEGYDQVESGLITTGASIWVQGILAASQGSKQKVELKVKKIVVVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPSTREASHSPVDGIPRLKDGLVDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQYVVRHVLENCKEDMEFFNTWIEKGIIDRLNDVADKDFVQLTYTDAVELLLRANKKFEFPVKWGCDLQSEHERYLTEEAFSGCPVIVRDYPKDIKAFYMRRNDDGKTVAAMDMLVPRVGELIGGSQREERLEYLEERLDESKLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIENIRDVIPFPRAPGSAEF >ONI08184 pep chromosome:Prunus_persica_NCBIv2:G5:14228419:14231181:-1 gene:PRUPE_5G162400 transcript:ONI08184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPSIKDDCLMVRDNVVLSHVPRNISVAPAADEAAFIGAASSNSSFRHVFSFGVLEFKLWWMIPSFGDSGCEVPAETQMLLLETREKSTVQNGLSEPTTENSLYILLLSVLDGPFRASLQGNTVNELEFCIESDTSTCGLVWLSTWDAFYHKVDPEGIEKGLKSLSEGGFPTKFLIIDEGWQNKVMEVEAEADETDSSYRAASVDRLTSIEENDKFKGFRSGKSYANLREFVKFIEEEYGLKLVYACHALIGSWGGVLPTSEEMRKYDPWIKHIVQSPGNVSHVICTTLGPMEKYGIGMIAPSNIYRFYDDLHSYLASCNVDGVKVDVQNVLELLGSCYGGREALMRRYQEALEASVIRNFHRNNLIWGMSLSNDHIYS >ONI08183 pep chromosome:Prunus_persica_NCBIv2:G5:14228757:14231107:-1 gene:PRUPE_5G162400 transcript:ONI08183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPSIKDDCLMVRDNVVLSHVPRNISVAPAADEAAFIGAASSNSSFRHVFSFGVLEYNNFMCLFRFKLWWMIPSFGDSGCEVPAETQMLLLETREKSTVQNGLSEPTTENSLYILLLSVLDGPFRASLQGNTVNELEFCIESGDPNVQTSQVTEAIFMNLGDNPFKLIRNSTKYIYTWDAFYHKVDPEGIEKGLKSLSEGGFPTKFLIIDEGWQNKVMEVEAEADETDSSYRAASVDRLTSIEENDKFKGFRSGKSYANLREFVKFIEEEYGLKLVYACHALIGSWGGVLPTSEEMRKYDPWIKHIVQSPGNVSHVICTTLGPMEKYGIGMIAPSNIYRFYDDLHSYLASCNVDGVKVDVQNVLELLGSCYGGREALMRRYQEALEASVIRNFHRNNLIWGMSLSNDHIYRISEDFMQMEPTFQTLHVAAVAFNSLLMGEIAVPDWDTFFSDHYTAEFHAAARALGGCPVYVQLRRNH >ONI06654 pep chromosome:Prunus_persica_NCBIv2:G5:8752641:8753305:1 gene:PRUPE_5G073100 transcript:ONI06654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKLKLTYVHMCTKRHISSRGHISTKKTKTNLFTKITHANYERIFVTEK >ONI08559 pep chromosome:Prunus_persica_NCBIv2:G5:15382920:15385563:-1 gene:PRUPE_5G185300 transcript:ONI08559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVNDSSSLREDPPLNPPSSNARKPGGWRAIKYILANESFEKLASMSLIANITVYLTTRYNLSGIFVVNVVNIWNGSSNVASLAGAFVSDAYLGRFRTLILGSISSILGMGAMTITASINQLRPPTCKEPPHCPEPQSWQLGFLFLALALLSIGAGGIRPCNIAFGADQFDTKTAKGRAQLESFFNWWYFSFTVALVVALTIVVYIQTNVSWTIGFVIPTVCLASSISIFLFGRHTYVYLKPQGSIFSDIAKVITASCRKCRVPVGQASEHSFYDPPLITNASDQTQMILKLPHTNRFRFLDRSAIITSPDELDNQGKPKSGWRLCSLQQVEQLKCLVAILPVWITAIGTFMSVDQQNTFGVLQALQMDRSIGPKFKFSPGWLNIISMLALAIWIFFYERIYLPLAQKKSTRRNKRLTMQQRINTGIMLSIVSMLVSGIVEEHRRKSALRHGSYISPSSFALLLPQFFLSGLTEAFSAVSIMEFFTMQMPESMRTIAGAVFFLSLSISSYIGSLIVNIVHKATEKKAKSPWLGGHDLNQNRLNYYYYIIAGLGVLNLVYFNLFARRYVLVGRPGNGIKEMQLENPVLHGSRDQSECA >ONI06500 pep chromosome:Prunus_persica_NCBIv2:G5:7894497:7898973:1 gene:PRUPE_5G064700 transcript:ONI06500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAALNLPIIDLSSPDSLSSATSIRQACIECGFFYLVNHGVEEELLGHVFEESSKLFSLPLEDKLKLARKQHRGYTPLFSENLDPTSTSKGDSKESFYIGPLDTRSNLNQWPSEDILPSWRPTMESYYRKVLSAGKRLISLIALALNLDEEFFEKVGALNKPTAVLRLLHYPGQLGSTDEEIYGASAHSDYGMVTLLASNGVPGLQVCREKSKQPRIWEDVLHIDGAFIVNIGDMLERWTNCLFRSTLHRVMPAGQERYSVAFFLDPNEDFVVECLKSCCSEASPPRFPPIRSGDYLKERFRLTYGS >ONI06690 pep chromosome:Prunus_persica_NCBIv2:G5:8882639:8888257:-1 gene:PRUPE_5G074700 transcript:ONI06690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWMSNQRASCSSSSSSFSPTRWSYDVFLSFRGEDTRNNFTGHLYTALCQRGLNTFIDDELRRGEEIAPTLIKAIQESMASVVVFSENYASSKWCLDELACILDCKESKRQIVLPIFYKVDPSDVRNQRGSFGVALSRHEANFKNNNSSSSTDRVQRWRTALTLAANFSGWHFPDGHESKFIHNIVEEISLQTSNRTYLKVAKYPVGLESRVRDMDELLSLGEDDVRMIGIWGLGGIGKTTIAKAVYGSIAHKFEGNCFLANVREMSSMPHGLVQLQKILLSDILGGNRKFKVTSVDQGANTIETRLRNRRVLLVLDDVDHRHQLDNLAGGSNWFGRGSRIIVTTRDKHLLTAHGVNLTYKVKELDFYESSELFSWNSFKRDKPPNDFLKLVWRAVCYTKGLPLALTVLGSHLCGRSIEEWKDALDSYEIIPNKEIQEILKISFNGLEHFQKEVFLDIACFFKGEDKDQIVDILRSCDLFPIISIKVLIDKSLLVINEHNMLTMHDLLEDMGKEIVRQESPTEPGERSRLWFHEDVYHVLTEQTGSTKVRGILINMPKKNDISMSAEAFSRMKNLRYLINLNASLTGNIDLPNELRLLNWYRYPLQSLPSNFHPKKLVALKMPSSNISRLESGSMKLGTLTSMDFFGCDKLEEIPDFTGFPNLEKLFLRGCTSLVGIHESVGFLEKLVTLNLQDCSSLTRFPTRIGLKSLKILNMKGCRMLASFPEIEAGTMVLENITLECCENLRNLPSSIYKLKNLRELEVRGCPKLLAFPMKVNPENPSSVSHDYQNSLVFPRLRFLRVGDCNLSECEFLMPFNCGSTLTFLDLSGSSFVSLPEWTSTFGSLEWLILRDCKKLQEIPQLSPCIKGINTGGCKSLERFSKLSNILEHNSQGSLQYSDLSNCHKLLKSLDFDVEKMASMLLSHSQTPQHVPSDGEYYEFSIILPGNDIPKWFGHRKQPADPNYCDFNIKFPPNFTGKNTRLAFSAAFGIIDYTLPYDYDDYERYGFHVRVFINGDEIFSFHEHIISPGSDHVWLQYISLSNMRHWKRYWNEEEIMYKCEVRFLPSEPILLKACGVQLVYLDPGGGENFGITTEEIIDENNSI >ONI06688 pep chromosome:Prunus_persica_NCBIv2:G5:8882639:8888237:-1 gene:PRUPE_5G074700 transcript:ONI06688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWMSNQRASCSSSSSSFSPTRWSYDVFLSFRGEDTRNNFTGHLYTALCQRGLNTFIDDELRRGEEIAPTLIKAIQESMASVVVFSENYASSKWCLDELACILDCKESKRQIVLPIFYKVDPSDVRNQRGSFGVALSRHEANFKNNNSSSSTDRVQRWRTALTLAANFSGWHFPDGHESKFIHNIVEEISLQTSNRTYLKVAKYPVGLESRVRDMDELLSLGEDDVRMIGIWGLGGIGKTTIAKAVYGSIAHKFEGNCFLANVREMSSMPHGLVQLQKILLSDILGGNRKFKVTSVDQGANTIETRLRNRRVLLVLDDVDHRHQLDNLAGGSNWFGRGSRIIVTTRDKHLLTAHGVNLTYKVKELDFYESSELFSWNSFKRDKPPNDFLKLVWRAVCYTKGLPLALTVLGSHLCGRSIEEWKDALDSYEIIPNKEIQEILKISFNGLEHFQKEVFLDIACFFKGEDKDQIVDILRNMGKEIVRQESPTEPGERSRLWFHEDVYHVLTEQTGSTKVRGILINMPKKNDISMSAEAFSRMKNLRYLINLNASLTGNIDLPNELRLLNWYRYPLQSLPSNFHPKKLVALKMPSSNISRLESGSMKLGTLTSMDFFGCDKLEEIPDFTGFPNLEKLFLRGCTSLVGIHESVGFLEKLVTLNLQDCSSLTRFPTRIGLKSLKILNMKGCRMLASFPEIEAGTMVLENITLECCENLRNLPSSIYKLKNLRELEVRGCPKLLAFPMKVNPENPSSVSHDYQNSLVFPRLRFLRVGDCNLSECEFLMPFNCGSTLTFLDLSGSSFVSLPEWTSTFGSLEWLILRDCKKLQEIPQLSPCIKGINTGGCKSLERFSKLSNILEHNSQGSLQYSDLSNCHKLLKSLDFDVEKMASMLLSHSQTPQHVPSDGEYYEFSIILPGNDIPKWFGHRKQPADPNYCDFNIKFPPNFTGKNTRLAFSAAFGIIDYTLPYDYDDYERYGFHVRVFINGDEIFSFHEHIISPGSDHVWLQYISLSNMRHWKRYWNEEEIMYKCEVRFLPSEPILLKACGVQLVYLDPGGGENFGITTEEIIDENNSI >ONI06691 pep chromosome:Prunus_persica_NCBIv2:G5:8882639:8888235:-1 gene:PRUPE_5G074700 transcript:ONI06691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWMSNQRASCSSSSSSFSPTRWSYDVFLSFRGEDTRNNFTGHLYTALCQRGLNTFIDDELRRGEEIAPTLIKAIQESMASVVVFSENYASSKWCLDELACILDCKESKRQIVLPIFYKVDPSDVRNQRGSFGVALSRHEANFKNNNSSSSTDRVQRWRTALTLAANFSGWHFPDGHESKFIHNIVEEISLQTSNRTYLKVAKYPVGLESRVRDMDELLSLGEDDVRMIGIWGLGGIGKTTIAKAVYGSIAHKFEGNCFLANVREMSSMPHGLVQLQKILLSDILGGNRKFKVTSVDQGANTIETRLRNRRVLLVLDDVDHRHQLDNLAGGSNWFGRGSRIIVTTRDKHLLTAHGVNLTYKVKELDFYESSELFSWNSFKRDKPPNDFLKLVWRAVCYTKGLPLALTVLGSHLCGRSIEEWKDALDSYEIIPNKEIQEILKISFNGLEHFQKEVFLDIACFFKGEDKDQIVDILRSCDLFPIISIKVLIDKSLLVINEHNMLTMHDLLEDMGKEIVRQESPTEPGERSRLWFHEDVYHVLTEQTGSTKVRGILINMPKKNDISMSAEAFSRMKNLRYLINLNASLTGNIDLPNELRLLNWYRYPLQSLPSNFHPKKLVALKMPSSNISRLESGSMKLGTLTSMDFFGCDKLEEIPDFTGFPNLEKLFLRGCTSLVGIHESVGFLEKLVTLNLQDCSSLTRFPTRIGLKSLKILNMKGCRMLASFPEIEAGTMVLENITLECCENLRNLPSSIYKLKNLRELEVRGCPKLLAFPMKVNPENPSSVSHDYQNSLVFPRLRFLRVGDCNLSECEFLMPFNCGSTLTFLDLSGSSFVSLPEWTSTFGSLEWLILRDCKKLQEIPQLSPCIKGINTGGCKSLERFSKLSNILEHNSQGSLQYSDLSNCHKLLKSLDFDVEKMASMLLSHSQTPQQHVPSDGEYYEFSIILPGNDIPKWFGHRKQPADPNYCDFNIKFPPNFTGKNTRLAFSAAFGIIDYTLPYDYDDYERYGFHVRVFINGDEIFSFHEHIISPGSDHVWLQYISLSNMRHWKRYWNEEEIMYKCEVRFLPSEPILLKACGVQLVYLDPGGGENFGITTEEIIDENNSI >ONI06689 pep chromosome:Prunus_persica_NCBIv2:G5:8882954:8887885:-1 gene:PRUPE_5G074700 transcript:ONI06689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWMSNQRASCSSSSSSFSPTRWSYDVFLSFRGEDTRNNFTGHLYTALCQRGLNTFIDDELRRGEEIAPTLIKAIQESMASVVVFSENYASSKWCLDELACILDCKESKRQIVLPIFYKVDPSDVRNQRGSFGVALSRHEANFKNNNSSSSTDRVQRWRTALTLAANFSGWHFPDGHESKFIHNIVEEISLQTSNRTYLKVAKYPVGLESRVRDMDELLSLGEDDVRMIGIWGLGGIGKTTIAKAVYGSIAHKFEGNCFLANVREMSSMPHGLVQLQKILLSDILGGNRKFKVTSVDQGANTIETRLRNRRVLLVLDDVDHRHQLDNLAGGSNWFGRGSRIIVTTRDKHLLTAHGVNLTYKVKELDFYESSELFSWNSFKRDKPPNDFLKLVWRAVCYTKGLPLALTVLGSHLCGRSIEEWKDALDSYEIIPNKEIQEILKISFNGLEHFQKEVFLDIACFFKGEDKDQIVDILRNMGKEIVRQESPTEPGERSRLWFHEDVYHVLTEQTGSTKVRGILINMPKKNDISMSAEAFSRMKNLRYLINLNASLTGNIDLPNELRLLNWYRYPLQSLPSNFHPKKLVALKMPSSNISRLESGSMKLGTLTSMDFFGCDKLEEIPDFTGFPNLEKLFLRGCTSLVGIHESVGFLEKLVTLNLQDCSSLTRFPTRIGLKSLKILNMKGCRMLASFPEIEAGTMVLENITLECCENLRNLPSSIYKLKNLRELEVRGCPKLLAFPMKVNPENPSSVSHDYQNSLVFPRLRFLRVGDCNLSECEFLMPFNCGSTLTFLDLSGSSFVSLPEWTSTFGSLEWLILRDCKKLQEIPQLSPCIKGINTGGCKSLERFSKLSNILEHNSQGSLQYSDLSNCHKLLKSLDFDVEKMASMLLSHSQTPQQHVPSDGEYYEFSIILPGNDIPKWFGHRKQPADPNYCDFNIKFPPNFTGKNTRLAFSAAFGIIDYTLPYDYDDYERYGFHVRVFINGDEIFSFHEHIISPGSDHVWLQYISLSNMRHWKRYWNEEEIMYKCEVRFLPSEPILLKACGVQLVYLDPGGGENFGITTEEIIDENNSI >ONI09106 pep chromosome:Prunus_persica_NCBIv2:G5:17082964:17086478:-1 gene:PRUPE_5G218200 transcript:ONI09106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRVVDNIIAVTKESVKTFTYESLNNIVRLINGVSALLLTFLPGKANILEGIHGWELRPTFRGPRFPRWMENGVSSFNHFIHELSVDSDSDGSSLDYPYGDEDSDGDTSPGSPLSQSSRVSRASSFSRNDRHWMGWMWIRYVFFWILLPARFLLGIPVRIFQLSYSRSSNGSSAPGSGQVLQARAINKMQTLKDHIVHRTTDRRRGVIEDLHLAIEIFIEAIFDVVHKAAHFILSPSEAFKTLSGWYSLSSSGAQDSHDSDSEVSVPTATLGENDPAPKERNTSFQQSLNTDARTCQDVITELGYPYEAIHVITSDGYVLLLERLPRRDSRKAVYLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNFRGLVSREHVNKNISSRQYWQYSINEHATQDIPAMIEKIHQVKTAELKLSQPDLEEETNDEQPYKLCAICHSLGGAAILMYVVTQRIEERPHRLSRLVLLSPAGFHGDSSLMFTVVEHVFLLLAPILAPLVPGFYIPTRFFRMLLNKLARDFHNYPAVGGLVQTLMSYFVGGDSSNWVGVLGIPHYNMNDMPGVSFQVALHLAQMKRAGKFRMFDYGSASANMEVYGSTEPLDLGEYYGLIDVPVDLVAGRKDKVIRPSMVRKHYKLMNDAGVDVSYSEFEYAHLDFTFSHREELLAYVMSRLLLVEPSPNQQSSQKGLRLKKKGQVNS >ONI09110 pep chromosome:Prunus_persica_NCBIv2:G5:17095435:17097405:1 gene:PRUPE_5G218600 transcript:ONI09110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAICGRVALSPNQGFNLAKSGDKHYFHKQCSSRSILMTASSTRLGKGGGLLEKPTIEKTTPSRDSEFDLRKSRKMSPPYRVMLHNDNYNKREYVVQVLMKVIPGMTVDIAVNIMQEAHHNGLAVVIVCAQVDAEDHCMQLRGNGLLSSVEPASDGC >ONI06052 pep chromosome:Prunus_persica_NCBIv2:G5:4177031:4182789:1 gene:PRUPE_5G037000 transcript:ONI06052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSREVPQQQQPPPPPQQQSMMVGPPSYQTSMPNSNLNPNSGPMMGGPNPARFPFNAVPQPQQQQQQPTSKPQMDSLSPSPYDGSLRPCGSGGGFSIDSSSASAAKKKRGRPRKYSPDGNIALGLAPTQMPSTASTAAAGPHGESSGTMSSDPPAKKNRGRPPGSGKKQLDALGAGGVGFTPHVIMVQAGEDIAAKVMSFSQQGPRTVCILSANGAICNVTLRQPAMSGGTVTYEGRFEIISLSGSYLFSENNGNRSRSGGLSVSLAGSDGQVLGGGVAGMLVAASPVQVIVGSFIADGKKSNSNFLKSGPSSPPPSQMLNFGAPMTAASPSSQGASSESSDENGSSPLNRGPVLYNNASQPIHNMQMYQLWGQAQQ >ONI07406 pep chromosome:Prunus_persica_NCBIv2:G5:11939642:11940628:-1 gene:PRUPE_5G118100 transcript:ONI07406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKRQRSITDRTSREAAAISSSHDPSSSHYSSEGGSTEEEEGMFTRSLCLSYGSISVMGRRRNMKDAVSLAKVDSYQFFAVYDGHGGSSVSNACRDRLHHLLEQEVEQWKLGGKGAVDWEKVMTACFTKMDEEVGGESGSTPADDDTDTNWGNTVGSSAVVVMVGQNEVVVANSGNSRAVLCRDGVATPLSRDHKPDLPDERERVEAAGGRVINWNGYRVLGVLATSRSIGDHYLKPYVISEPEVTISERTECCDFLVIASDGLWDVVTNECACQVVRRCLDAQTKRQLSEEMSGSSSAPANAAALLAQLALARGSKDNITIIVAELG >ONI08632 pep chromosome:Prunus_persica_NCBIv2:G5:15590862:15592657:-1 gene:PRUPE_5G190000 transcript:ONI08632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAGSFLKVLLKNFDVLAGYASIRAIETQSPADDRQWLTYWILYSMITLFELTFAKAIEWIPIWSYAKLILTCWLVIPYFCGAAYVYEQYIRPFFLNPQRVNIWYVPRKKDVSKPDDILIAAEKYIAENGTEAFEQIIHRADKYQSSGGSVYDEASRYY >ONI08633 pep chromosome:Prunus_persica_NCBIv2:G5:15590953:15592551:-1 gene:PRUPE_5G190000 transcript:ONI08633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAGSFLKVLLKNFDVLAGPVVSLVYPLYASIRAIETQSPADDRQWLTYWILYSMITLFELTFAKAIEWIPIWSYAKLILTCWLVIPYFCGAAYVYEQYIRPFFLNPQRVNIWYVPRKKDVSKPDDILIAAEKYIAENGTEAFEQIIHRADKYQSSGGSVYDEASRYY >ONI08391 pep chromosome:Prunus_persica_NCBIv2:G5:14886603:14888638:-1 gene:PRUPE_5G175000 transcript:ONI08391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTSSSIKTPPPPHPPNPWAASQNPNNSFLPINRRSLLAALTISTTTTPFAHTFVNPMSAQEVAFARGLFQMPPVRLTNRYFLVRAGESEYESIGVINTNPVAKTSVDNGLSEKGKKQAVRSAFDLKEMGACDKNCWIWPSITQRAYQAAEIIASVNGVSRSYIVPEYSFLDARGLGAYEGKKLEAVSEVYASDTLSPTIKPPPIDDGTPNESVSDVFVRVIQLMSILETQYSEDTVIIVSPDSDNLTILQAGIIGLDLRRELSFAPGEVRFVDTSSVPTYKQPASAVYKCLKPPNCN >ONI08392 pep chromosome:Prunus_persica_NCBIv2:G5:14886741:14888566:-1 gene:PRUPE_5G175000 transcript:ONI08392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTSSSIKTPPPPHPPNPWAASQNPNNSFLPINRRSLLAALTISTTTTPFAHTFVNPMSAQEVAFARGLFQMPPVRLTNRYFLVRAGESEYESIGVINTNPVAKTSVDNGLSEKGKKQAVRSAFDLKEMGACDKNCWIWPSITQRAYQAAEIIASVNGVSRSYIVPEYSFLDARGLGAYEGKKLEAVSEVYASDTLSPTIKPPPIDDGTPNESVSDVFVRVIQLMSILETQYSEDTVIIVSPDSDNLTILQAGIIGLDLRRHRELSFAPGEVRFVDTSSVPTYKQPASAVYKCLKPPNCN >ONI08390 pep chromosome:Prunus_persica_NCBIv2:G5:14886603:14888638:-1 gene:PRUPE_5G175000 transcript:ONI08390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTSSSIKTPPPPHPPNPWAASQNPNNSFLPINRRSLLAALTISTTTTPFAHTFVNPMSAQEVAFARGLFQMPPVRLTNRYIVPEYSFLDARGLGAYEGKKLEAVSEVYASDTLSPTIKPPPIDDGTPNESVSDVFVRVIQLMSILETQYSEDTVIIVSPDSDNLTILQAGIIGLDLRRHRELSFAPGEVRFVDTSSVPTYKQPASAVYKCLKPPNCN >ONI05299 pep chromosome:Prunus_persica_NCBIv2:G5:134193:141587:-1 gene:PRUPE_5G000300 transcript:ONI05299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIPRERDGESAPKALQGQICQICGDDVGLTADGELFVACNECAFPICRTCYEYERCEGSQVCPQCKTRFKRLKGCARVQGDEEEDGVDDLEHEFSFDATRSRHGMQQALAADAMLHGYMSYGRASDSDFPQVLHPMPQLPLLTNGQMVDDIPPEQHALVPSFMGTTARGKRIHPLPFSDPAFPVQARSMDPSKDLAAYGYGSVAWKERMESWKEKQEKLQMMKHENGGKDWDYDGDGNGPDLPLMDEARQPLSRKLPIPSSQINPYRMIIMIRLVALGFFFHYRVMHPVNDAYALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQPSQLCPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEWYFAQKIDYLKDKVLPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGKELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNGKALRESMCFMMDPLVGKRVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPTRTCNCLPKWCCCGCFCSGKRKKKANKPKTDMKKRNSKKGDTEALAAVCALEGIEEGIEGVEVKNLTLMSEEKLEKKFGQSSVFVASTLLEDGGTLKSTSPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPARPAFKGSAPINLSDRLHQVLRWALGSIEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPWTSIPLLAYCTLPAVCLLTGKFITPELSNVASLWFLSLFICIFTTSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKAGDDADFSELYAFKWTTLLIPPTTLLIINLIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRVDPFLAKSDGPVLEECGLDCH >ONI05301 pep chromosome:Prunus_persica_NCBIv2:G5:135363:141432:-1 gene:PRUPE_5G000300 transcript:ONI05301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQALAADAMLHGYMSYGRASDSDFPQVLHPMPQLPLLTNGQMVDDIPPEQHALVPSFMGTTARGKRIHPLPFSDPAFPVQARSMDPSKDLAAYGYGSVAWKERMESWKEKQEKLQMMKHENGGKDWDYDGDGNGPDLPLMDEARQPLSRKLPIPSSQINPYRMIIMIRLVALGFFFHYRVMHPVNDAYALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQPSQLCPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEWYFAQKIDYLKDKVLPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGKELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNGKALRESMCFMMDPLVGKRVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPTRTCNCLPKWCCCGCFCSGKRKKKANKPKTDMKKRNSKKGDTEALAAVCALEGIEEGIEGVEVKNLTLMSEEKLEKKFGQSSVFVASTLLEDGGTLKSTSPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPARPAFKGSAPINLSDRLHQVLRWALGSIEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPWTSIPLLAYCTLPAVCLLTGKFITPELSNVASLWFLSLFICIFTTSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKAGDDADFSELYAFKWTTLLIPPTTLLIINLIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRVDPFLAKSDGPVLEECGLDCH >ONI05300 pep chromosome:Prunus_persica_NCBIv2:G5:135363:141543:-1 gene:PRUPE_5G000300 transcript:ONI05300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAAREAKSVLSARPASNVLRGDEEEDGVDDLEHEFSFDATRSRHGMQQALAADAMLHGYMSYGRASDSDFPQVLHPMPQLPLLTNGQMVDDIPPEQHALVPSFMGTTARGKRIHPLPFSDPAFPVQARSMDPSKDLAAYGYGSVAWKERMESWKEKQEKLQMMKHENGGKDWDYDGDGNGPDLPLMDEARQPLSRKLPIPSSQINPYRMIIMIRLVALGFFFHYRVMHPVNDAYALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQPSQLCPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEWYFAQKIDYLKDKVLPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGKELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNGKALRESMCFMMDPLVGKRVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPTRTCNCLPKWCCCGCFCSGKRKKKANKPKTDMKKRNSKKGDTEALAAVCALEGIEEGIEGVEVKNLTLMSEEKLEKKFGQSSVFVASTLLEDGGTLKSTSPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPARPAFKGSAPINLSDRLHQVLRWALGSIEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPWTSIPLLAYCTLPAVCLLTGKFITPELSNVASLWFLSLFICIFTTSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKAGDDADFSELYAFKWTTLLIPPTTLLIINLIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRVDPFLAKSDGPVLEECGLDCH >ONI07772 pep chromosome:Prunus_persica_NCBIv2:G5:13029852:13034198:1 gene:PRUPE_5G138700 transcript:ONI07772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKEKYLPLVSGWTHSGITCVIFLAVICCRTVASDVKNVILEIVKGQKEFNIPIFTANRKLVASVNGGLHDPSYLVFNSAWGSEQSQHVTKRFKYPSLSGIKKPRSEQDIAFMSILELGELIKTKQLTSKELTKFFLKRLKRYNHALEAVVTYTEELAYKQAKEADKLLAQGVYLGPLHGIPYGLKDIIAVPHYKTTWGSTSFKNQVLDIEAWVYKRLKSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSVTYPAARCGVTALRPTFGTVGRTGVMSLSESLDKLGPFCRSATDCAIILDAIRGKDPDDLSSRDILLDDPFSVDITKLTVGYLEDAEMEVVHVLASKGVNMIPFKLNYTVDSVQGILNFTMDVDMLAHFDEWQRSGKDDVYEAQEQWPTELRRARVIPAVDYVQAQRARGKLIREVRESFTVDAFIGNATDWERVCLGNLVGLPVIVVPTGFKNIPNPPPNNTTRRRTTITTGIYAPPERDHIALALAMAYQSVTNHHRQQPPIDDLGPNDPIPSSPTSS >ONI07773 pep chromosome:Prunus_persica_NCBIv2:G5:13029852:13034198:1 gene:PRUPE_5G138700 transcript:ONI07773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKEKYLPLVSGWTHSGITCVIFLAVICCRTVASDVKNVILEIVKGQKEFNIPIFTANRKLVASVNGGLHDPSYLVFNSAWGSEQSQHVTKRFKYPSLSGIKKPRSEQDIAFMSILELGELIKTKQLTSKELTKFFLKRLKRYNHALEAVVTYTEELAYKQAKEADKLLAQGVYLGPLHGIPYGLKDIIAVPHYKTTWGSTSFKNQVLDIEAWVYKRLKSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSVTYPAARCGVTALRPTFGTVGRTGVMSLSESLDKLGPFCRSATDCAIILDAIRGKDPDDLSSRDILLDDPFSVDITKLTVGYLEDAEMEVVHVLASKGVNMIPFKLNYTVDSVQGILNFTMDVDMLAHFDEWQRSGKDDVYEAQEQWPTELRRARVIPAVDYVQAQRARGKLIREVRESFTVDAFIGNATDWERVCLGNLVGLPVIVVPTGFKNIPNPPPNNTTRRRTTITTGIYAPPERDHIALALAMAYQSVTNHHRQQPPIDDLGPNDPIPSSPTSS >ONI07771 pep chromosome:Prunus_persica_NCBIv2:G5:13029852:13034075:1 gene:PRUPE_5G138700 transcript:ONI07771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKEKYLPLVSGWTHSGITCVIFLAVICCRTVASDVKNVKSDEHSVCWARVGHSYPLKCAFELFDSSFFNNTKILEIVKGQKEFNIPIFTANRKLVASVNGGLHDPSYLVFNSAWGSEQSQHVTKRFKYPSLSGIKKPRSEQDIAFMSILELGELIKTKQLTSKELTKFFLKRLKRYNHALEAVVTYTEELAYKQAKEADKLLAQGVYLGPLHGIPYGLKDIIAVPHYKTTWGSTSFKNQVLDIEAWVYKRLKSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSVTYPAARCGVTALRPTFGTVGRTGVMSLSESLDKLGPFCRSATDCAIILDAIRGKDPDDLSSRDILLDDPFSVDITKLTVGYLEDAEMEVVHVLASKGVNMIPFKLNYTVDSVQGILNFTMDVDMLAHFDEWQRSGKDDVYEAQEQWPTELRRARVIPAVDYVQAQRARGKLIREVRESFTVDAFIGNATDWERVCLGNLVGLPVIVVPTGFKNIPNPPPNNTTRRRTTITTGIYAPPERDHIALALAMAYQSVTNHHRQQPPIDDLGPNDPIPSSPTSS >ONI07770 pep chromosome:Prunus_persica_NCBIv2:G5:13029852:13034198:1 gene:PRUPE_5G138700 transcript:ONI07770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKEKYLPLVSGWTHSGITCVIFLAVICCRTVASDVKNVKSDEHSVCWARVGHSYPLKCAFELFDSSFFNNTKILEIVKGQKEFNIPIFTANRKLVASVNGGLHDPSYLVFNSAWGSEQSQHVTKRFKYPSLSGIKKPRSEQDIAFMSILELGELIKTKQLTSKELTKFFLKRLKRYNHALEAVVTYTEELAYKQAKEADKLLAQGVYLGPLHGIPYGLKDIIAVPHYKTTWGSTSFKNQVLDIEAWVYKRLKSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSVTYPAARCGVTALRPTFGTVGRTGVMSLSESLDKLGPFCRSATDCAIILDAIRGKDPDDLSSRDILLDDPFSVDITKLTVGYLEDAEMEVVHVLASKGVNMIPFKLNYTVDSVQGILNFTMDVDMLAHFDEWQRSGKDDVYEAQEQWPTELRRARVIPAVDYVQAQRARGKLIREVRESFTVDAFIGNATDWERVCLGNLVGLPVIVVPTGFKNIPNPPPNNTTRRRTTITTGIYAPPERDHIALALAMAYQSVTNHHRQQPPIDDLGPNDPIPSSPTSS >ONI05702 pep chromosome:Prunus_persica_NCBIv2:G5:2195340:2200662:-1 gene:PRUPE_5G020000 transcript:ONI05702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEGNKGSSVNDSFMKPESSRQNIINSFEGQVLARSEGQQMEGRGLSDFYRNTSEELILRSFMESSVATPLPTMEMLGFKNLSQNFRTDSEELFKSWLTTGETNCFNTSSIAHRTRQGSRRISTEIASLSGQQHVGLLQKKRSNDCLYPQINVMPDEMSGDNNQNSIRHGVERGMQASELYLAKAWVHSSQPMTRSRSSELRRRYVAMQNAQTTVGLEGLQTASGNCPNVIKQEFAFSNAFNDPSVCEVTNQLGTFISPSNSSSSTFNTPQMSDMDKVSSVVSMLKGTLERKKLSNQIEKEGVEDDSSNGLFSAQEIIVNTGFDQGQGDRIHELAGTFQEVSTIQVNDHRITQNVEGSLDLEMEGFVNLRNPNPLSRNSQEPSQSESSAAAPVVSSGFDACDGPSNSSQTLSICESSMKRAGNRSSENGSKSKDFRERIIGNLKDDQKRGERLDRYGSVTSAVLVDKEDATKKRRVERSRKMAEAKERNSTPVIPSDIQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKENEDLTDEKERLLEEIERILSETGKI >ONI05706 pep chromosome:Prunus_persica_NCBIv2:G5:2196720:2200331:-1 gene:PRUPE_5G020000 transcript:ONI05706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEGNKGSSVNDSFMKPESSRQNIINSFEGQVLARSEGQQMEGRGLSDFYRNTSEELILRSFMESSVATPLPTMEMLGFKNLSQNFRTDSEELFKSWLTTGETNCFNTSSIAHRTRQGSRRISTEIASLSGQQHVGLLQKKRSNDCLYPQINVMPDEMSGDNNQNSIRHGVERGMQASELYLAKAWVHSSQPMTRSRSSELRRRYVAMQNAQTTVGLEGLQTASGNCPNVIKQEFAFSNAFNDPSVCEVTNQLGTFISPSNSSSSTFNTPQMSDMDKVSSVVSMLKGTLERKKLSNQIEKEGVEDDSSNGLFSAQEIIVNTGFDQGQGDRIHELAGTFQEVSTIQVNDHRITQNVEGSLDLEMEGFVNLRNPNPLSRNSQEPSQSESSAAAPVVSSGFDACDGPSNSSQTLSICESSMKRAGNRSSENGSKSKGCAVDKEDATKKRRVERSRKYVH >ONI05705 pep chromosome:Prunus_persica_NCBIv2:G5:2196720:2200331:-1 gene:PRUPE_5G020000 transcript:ONI05705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEGNKGSSVNDSFMKPESSRQNIINSFEGQVLARSEGQQMEGRGLSDFYRNTSEELILRSFMESSVATPLPTMEMLGFKNLSQNFRTDSEELFKSWLTTGETNCFNTSSIAHRTRQGSRRISTEIASLSGQQHVGLLQKKRSNDCLYPQINVMPDEMSGDNNQNSIRHGVERGMQASELYLAKAWVHSSQPMTRSRSSELRRRYVAMQNAQTTVGLEGLQTASGNCPNVIKQEFAFSNAFNDPSVCEVTNQLGTFISPSNSSSSTFNTPQMSDMDKVSSVVSMLKGTLERKKLSNQIEKEGVEDDSSNGLFSAQEIIVNTGFDQGQGDRIHELAGTFQEVSTIQVNDHRITQNVEGSLDLEMEGFVNLRNPNPLSRNSQEPSQSESSAAAPVVSSGFDACDGPSNSSQTLSICESSMKRAGNRSSENGSKSKVDKEDATKKRRVERSRKYVH >ONI05707 pep chromosome:Prunus_persica_NCBIv2:G5:2196720:2200331:-1 gene:PRUPE_5G020000 transcript:ONI05707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEGNKGSSVNDSFMKPESSRQNIINSFEGQVLARSEGQQMEGRGLSDFYRNTSEELILRSFMESSVATPLPTMEMLGFKNLSQNFRTDSEELFKSWLTTGETNCFNTSSIAHRTRQGSRRISTEIASLSGQQHVGLLQKKRSNDCLYPQINVMPDEMSGDNNQNSIRHGVERGMQASELYLAKAWVHSSQPMTRSRSSELRRRYVAMQNAQTTVGLEGLQTASGNCPNVIKQEFAFSNAFNDPSVCEVTNQLGTFISPSNSSSSTFNTPQMSDMDKVSSVVSMLKGTLERKKLSNQIEKEGVEDDSSNGLFSAQEIIVNTGFDQGQGDRIHELAGTFQEVSTIQVNDHRITQNVEGSLDLEMEGFVNLRNPNPLSRNSQEPSQSESSAAAPVVSSGFDACDGPSNSSQTLSICESSMKRAGNRSSENGSKSKGCAVDKEDATKKRRVERSRKYVH >ONI05704 pep chromosome:Prunus_persica_NCBIv2:G5:2195297:2198349:-1 gene:PRUPE_5G020000 transcript:ONI05704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIYLNDRRRYVAMQNAQTTVGLEGLQTASGNCPNVIKQEFAFSNAFNDPSVCEVTNQLGTFISPSNSSSSTFNTPQMSDMDKVSSVVSMLKGTLERKKLSNQIEKEGVEDDSSNGLFSAQEIIVNTGFDQGQGDRIHELAGTFQEVSTIQVNDHRITQNVEGSLDLEMEGFVNLRNPNPLSRNSQEPSQSESSAAAPVVSSGFDACDGPSNSSQTLSICESSMKRAGNRSSENGSKSKDFRERIIGNLKDDQKRGERLDRYGSVTSAVLVDKEDATKKRRVERSRKMAEAKERNSTPVIPSDIQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKENEDLTDEKERLLEEIERILSETGKI >ONI05700 pep chromosome:Prunus_persica_NCBIv2:G5:2195340:2200663:-1 gene:PRUPE_5G020000 transcript:ONI05700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEGNKGSSVNDSFMKPESSRQNIINSFEGQVLARSEGQQMEGRGLSDFYRNTSEELILRSFMESSVATPLPTMEMLGFKNLSQNFRTDSEELFKSWLTTGETNCFNTSSIAHRTRQGSRRISTEIASLSGQQHVGLLQKKRSNDCLYPQINVMPDEMSGDNNQNSIRHGVERGMQASELYLAKAWVHSSQPMTRSRSSELRRRYVAMQNAQTTVGLEGLQTASGNCPNVIKQEFAFSNAFNDPSVCEVTNQLGTFISPSNSSSSTFNTPQMSDMDKVSSVVSMLKGTLERKKLSNQIEKEGVEDDSSNGLFSAQEIIVNTGFDQGQGDRIHELAGTFQEVSTIQVNDHRITQNVEGSLDLEMEGFVNLRNPNPLSRNSQEPSQSESSAAAPVVSSGFDACDGPSNSSQTLSICESSMKRAGNRSSENGSKSKVDKEDATKKRRVERSRKMAEAKERNSTPVIPSDIQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKENEDLTDEKERLLEEIERILSETGKI >ONI05703 pep chromosome:Prunus_persica_NCBIv2:G5:2195720:2200331:-1 gene:PRUPE_5G020000 transcript:ONI05703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEGNKGSSVNDSFMKPESSRQNIINSFEGQVLARSEGQQMEGRGLSDFYRNTSEELILRSFMESSVATPLPTMEMLGFKNLSQNFRTDSEELFKSWLTTGETNCFNTSSIAHRTRQGSRRISTEIASLSGQQHVGLLQKKRSNDCLYPQINVMPDEMSGDNNQNSIRHGVERGMQASELYLAKAWVHSSQPMTRSRSSELRRRYVAMQNAQTTVGLEGLQTASGNCPNVIKQEFAFSNAFNDPSVCEVTNQLGTFISPSNSSSSTFNTPQMSDMDKVSSVVSMLKGTLERKKLSNQIEKEGVEDDSSNGLFSAQEIIVNTGFDQGQGDRIHELAGTFQEVSTIQVNDHRITQNVEGSLDLEMEGFVNLRNPNPLSRNSQEPSQSESSAAAPVVSSGFDACDGPSNSSQTLSICESSMKRAGNRSSENGSKSKDFRERIIGNLKDDQKRGERLDRYGSVTSAVLGCAVDKEDATKKRRVERSRKMAEAKERNSTPVIPSDIQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKENEDLTDEKERLLEEIERILSETGKI >ONI05701 pep chromosome:Prunus_persica_NCBIv2:G5:2195340:2200663:-1 gene:PRUPE_5G020000 transcript:ONI05701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEGNKGSSVNDSFMKPESSRQNIINSFEGQVLARSEGQQMEGRGLSDFYRNTSEELILRSFMESSVATPLPTMEMLGFKNLSQNFRTDSEELFKSWLTTGETNCFNTSSIAHRTRQGSRRISTEIASLSGQQHVGLLQKKRSNDCLYPQINVMPDEMSGDNNQNSIRHGVERGMQASELYLAKAWVHSSQPMTRSRSSELRRRYVAMQNAQTTVGLEGLQTASGNCPNVIKQEFAFSNAFNDPSVCEVTNQLGTFISPSNSSSSTFNTPQMSDMDKVSSVVSMLKGTLERKKLSNQIEKEGVEDDSSNGLFSAQEIIVNTGFDQGQGDRIHELAGTFQEVSTIQVNDHRITQNVEGSLDLEMEGFVNLRNPNPLSRNSQEPSQSESSAAAPVVSSGFDACDGPSNSSQTLSICESSMKRAGNRSSENGSKSKGCAVDKEDATKKRRVERSRKMAEAKERNSTPVIPSDIQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKENEDLTDEKERLLEEIERILSETGKI >ONI08103 pep chromosome:Prunus_persica_NCBIv2:G5:14012170:14037366:1 gene:PRUPE_5G158100 transcript:ONI08103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYDNWERLVRATLKREQLRSSGQGHERVPSGIAGAVPPSLGKTTNIDAILQAADAIQAEDPNVSRILCEQAYSMAQNLDPKSDGRGVLQFKTGLMSVIKQKLAKRDGGQIDRNRDIEHLWDFYQRYKRRHKVDDIQRQEQRWRESGTFSADFGDYLEMKKTFATLRALVEVMEALSKDADPNGVGRLITEELRRIKNTDATLSGDLAYNIVPLEAPSLTNAIGVFPEVRGAISAIRYTEQFPRLPAAFEIYGQRGADMFDLLECVFGFQKDNVRNQRENVVLTVANTQSRLGVPVEADPKIDEKAVNEVFLKVLDNYIKWCRYLRIRLAWNSLEAIDRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMAKELDAILDHGEANPASSCRTESGSVSFLEQIIHPIYETLAAEAARNNNGKAAHSSWRNYDDFNEYFWSPACFELSWPMRKDSRFLLKPKKGKRTGKSTFVEHRTFFHLYRSFHRLWIFLALMFQALTIIAFNGGRINLETFKIVLSIGPTFAIMNFIESFLDVLLMFGAYTTARGMAISRLAIRFFWFGLTSVCVTYIYLKVLQERNDRNSDSFYFRIYVLVLGIYAALRIVLALLLKLPACHSLSEMSDQSFFQFFKWIYQERYFVGRGLYEKMSDYCRSVLFWLVIFVCKFTFTYFLQIKPLVEPTRIIVKLPSLEYAWHDLVSKNNHNALTVASLWAPVVAIYLMDIHIWYTLLSAIIGGVMGARSRLGEIRSIEMVHKRFESFPEAFVRKLVSQNKRLPFNSQSSQDSQDSKTYAAIFSPFWNEIIKSLREEDFISNREMDLLCIPSNAGSLRLVQWPLFLLSSKILLAIDLALDCKDTQADLWNRICRDEYMAYAVQECYYSIEKILYSLVDGEGRLWVERIYREINNSIMEGSLVITLILKNLPLVLKRFTALTGLLIRNETDVLAKGAAKAVFDLYEVVTHDLLSSDLREQLDTWSLLAKARNEGRLFSRIKWANDPETKELAKRLHLLLTVKDSAANIPKNLEARRRLEFFTNSLFMDMPSAKPVSEMVPFSVFTPYYSETVLYSSSELRVENEDGISILFYLQKIFPDEWDNFLERIGRGESTGDAELQQNSSDSLELRFWVSYRGQTLARTVRGMMYYRKALMLQSYLEGRSLGVDDHPQNVAFTSQGFELSRESRAQADLKFTYVVSCQIYGQQKQRKAPEAADISLLLQRNEALRVAYIHVEESGAIEGKTTKEFYSKLVKADIHGKDQEIYSIKLPGDPKLGEGKPENQNHAIVFTRGEAVQTIDMNQDNYLEEAMKMRNLLEEFHKNHGLRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLAQRVLAYPLKVRMHYGHPDVFDRIFHTTRGGISKASRVINISEDIFAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMFSYFYTTIGFYVCTMMTVLVVYIFLYGRVYLAFSGLDRAIAKQAKLSGNTALDAVLNAQFLVQIGIFTAVPMVMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKAFEVAVLLIVYIAYGYTDGGAVSFVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLLYRGGVGVKGENSWESWWDEEQMHIQTLRGRILETILSLRFFLFQYGIVYKLHITGKGTSLAIYGFSWVVLVAIVMIFKVFTFSPKKSANFQLVMRFIQGVASLGLVAVIALLVIFTGLSIPDLFASFLAIVPTGWAIICLAITWKKIVRSLGLWDSVREFARMYDAGMGMLIFAPIAFLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANVEV >ONI08104 pep chromosome:Prunus_persica_NCBIv2:G5:14012170:14037366:1 gene:PRUPE_5G158100 transcript:ONI08104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYDNWERLVRATLKREQLRSSGQGHERVPSGIAGAVPPSLGKTTNIDAILQAADAIQAEDPNVSRILCEQAYSMAQNLDPKSDGRGVLQFKTGLMSVIKQKLAKRDGGQIDRNRDIEHLWDFYQRYKRRHKVDDIQRQEQRWRESGTFSADFGDYLEMKKTFATLRALVEVMEALSKDADPNGVGRLITEELRRIKNTDATLSGDLAYNIVPLEAPSLTNAIGVFPEVRGAISAIRYTEQFPRLPAAFEIYGQRGADMFDLLECVFGFQKDNVRNQRENVVLTVANTQSRLGVPVEADPKIDEKAVNEVFLKVLDNYIKWCRYLRIRLAWNSLEAIDRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHHMAKELDAILDHGEANPASSCRTESGSVSFLEQIIHPIYETLAAEAARNNNGKAAHSSWRNYDDFNEYFWSPACFELSWPMRKDSRFLLKPKKGKRTGKSTFVEHRTFFHLYRSFHRLWIFLALMFQALTIIAFNGGRINLETFKIVLSIGPTFAIMNFIESFLDVLLMFGAYTTARGMAISRLAIRFFWFGLTSVCVTYIYLKVLQERNDRNSDSFYFRIYVLVLGIYAALRIVLALLLKLPACHSLSEMSDQSFFQFFKWIYQERYFVGRGLYEKMSDYCRSVLFWLVIFVCKFTFTYFLQIKPLVEPTRIIVKLPSLEYAWHDLVSKNNHNALTVASLWAPVVAIYLMDIHIWYTLLSAIIGGVMGARSRLGEIRSIEMVHKRFESFPEAFVRKLVSQNKRLPFNSQSSQDSQDSKTYAAIFSPFWNEIIKSLREEDFISNREMDLLCIPSNAGSLRLVQWPLFLLSSKILLAIDLALDCKDTQADLWNRICRDEYMAYAVQECYYSIEKILYSLVDGEGRLWVERIYREINNSIMEGSLVITLILKNLPLVLKRFTALTGLLIRNETDVLAKGAAKAVFDLYEVVTHDLLSSDLREQLDTWSLLAKARNEGRLFSRIKWANDPETKELAKRLHLLLTVKDSAANIPKNLEARRRLEFFTNSLFMDMPSAKPVSEMVPFSVFTPYYSETVLYSSSELRVENEDGISILFYLQKIFPDEWDNFLERIGRGESTGDAELQQNSSDSLELRFWVSYRGQTLARTVRGMMYYRKALMLQSYLEGRSLGVDDHPQNVAFTSQGFELSRESRAQADLKFTYVVSCQIYGQQKQRKAPEAADISLLLQRNEALRVAYIHVEESGAIEGKTTKEFYSKLVKADIHGKDQEIYSIKLPGDPKLGEGKPENQNHAIVFTRGEAVQTIDMNQDNYLEEAMKMRNLLEEFHKNHGLRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLAQRVLAYPLKVRMHYGHPDVFDRIFHTTRGGISKASRVINISEDIFAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMFSYFYTTIGFYVCTMMTVLVVYIFLYGRVYLAFSGLDRAIAKQAKLSGNTALDAVLNAQFLVQIGIFTAVPMVMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKAFEVAVLLIVYIAYGYTDGGAVSFVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTSWLLYRGGVGVKGENSWESWWDEEQIYGFSWVVLVAIVMIFKVFTFSPKKSANFQLVMRFIQGVASLGLVAVIALLVIFTGLSIPDLFASFLAIVPTGWAIICLAITWKKIVRSLGLWDSVREFARMYDAGMGMLIFAPIAFLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANVEV >ONI08044 pep chromosome:Prunus_persica_NCBIv2:G5:13883136:13883540:1 gene:PRUPE_5G155000 transcript:ONI08044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYALIKLAVFVALCMVVSVPIAYAITCDQVSHNLVPCLDYLRNCGAVPEPCCRGISNLNDLGRTTAERRTICNCLKQNAPSLTGVNPTLAEELPAKCGVNVPYKISPNPNCAKYVSKTPQCSTRVASKFNLI >ONI06264 pep chromosome:Prunus_persica_NCBIv2:G5:5239585:5258965:1 gene:PRUPE_5G049600 transcript:ONI06264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSIIKVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06261 pep chromosome:Prunus_persica_NCBIv2:G5:5239585:5258965:1 gene:PRUPE_5G049600 transcript:ONI06261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06257 pep chromosome:Prunus_persica_NCBIv2:G5:5239742:5258352:1 gene:PRUPE_5G049600 transcript:ONI06257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVRGQISLYRGGSIIFGLSEYPISEFELVAEELLMSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRQNSVISSNTNLGVYGQGLLKLTGHGDAIKAQRLSLSLFYNITVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRR >ONI06253 pep chromosome:Prunus_persica_NCBIv2:G5:5239546:5258965:1 gene:PRUPE_5G049600 transcript:ONI06253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVRGQISLYRGGSIIFGLSEYPISEFELVAEELLMSDSIIKVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06249 pep chromosome:Prunus_persica_NCBIv2:G5:5239502:5258965:1 gene:PRUPE_5G049600 transcript:ONI06249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVRGQISLYRGGSIIFGLSEYPISEFELVAEELLMSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRQNSVISSNTNLGVYGQGLLKLTGHGDAIKAQRLSLSLFYNITVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06246 pep chromosome:Prunus_persica_NCBIv2:G5:5239742:5258965:1 gene:PRUPE_5G049600 transcript:ONI06246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVRGQISLYRGGSIIFGLSEYPISEFELVAEELLMSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRQNSVISSNTNLGVYGQGLLKLTGHGDAIKAQRLSLSLFYNITVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06258 pep chromosome:Prunus_persica_NCBIv2:G5:5244377:5258965:1 gene:PRUPE_5G049600 transcript:ONI06258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRQNSVISSNTNLGVYGQGLLKLTGHGDAIKAQRLSLSLFYNITVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06254 pep chromosome:Prunus_persica_NCBIv2:G5:5239548:5258965:1 gene:PRUPE_5G049600 transcript:ONI06254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06260 pep chromosome:Prunus_persica_NCBIv2:G5:5239585:5258965:1 gene:PRUPE_5G049600 transcript:ONI06260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRQNSVISSNTNLGVYGQGLLKLTGHGDAIKAQRLSLSLFYNITVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06259 pep chromosome:Prunus_persica_NCBIv2:G5:5239585:5258965:1 gene:PRUPE_5G049600 transcript:ONI06259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRQNSVISSNTNLGVYGQGLLKLTGHGDAIKAQRLSLSLFYNITVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06263 pep chromosome:Prunus_persica_NCBIv2:G5:5239585:5258965:1 gene:PRUPE_5G049600 transcript:ONI06263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSIIKVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06247 pep chromosome:Prunus_persica_NCBIv2:G5:5239502:5258965:1 gene:PRUPE_5G049600 transcript:ONI06247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVRGQISLYRGGSIIFGLSEYPISEFELVAEELLMSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRQNSVISSNTNLGVYGQGLLKLTGHGDAIKAQRLSLSLFYNITVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06248 pep chromosome:Prunus_persica_NCBIv2:G5:5241236:5258965:1 gene:PRUPE_5G049600 transcript:ONI06248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVRGQISLYRGGSIIFGLSEYPISEFELVAEELLMSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRQNSVISSNTNLGVYGQGLLKLTGHGDAIKAQRLSLSLFYNITVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06245 pep chromosome:Prunus_persica_NCBIv2:G5:5241236:5258965:1 gene:PRUPE_5G049600 transcript:ONI06245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVRGQISLYRGGSIIFGLSEYPISEFELVAEELLMSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRQNSVISSNTNLGVYGQGLLKLTGHGDAIKAQRLSLSLFYNITVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRRKEAFMFCFGTGVAALPLCFALASVWLLCLYVLLWHRCG >ONI06252 pep chromosome:Prunus_persica_NCBIv2:G5:5239546:5258965:1 gene:PRUPE_5G049600 transcript:ONI06252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVRGQISLYRGGSIIFGLSEYPISEFELVAEELLMSDSIIKVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06250 pep chromosome:Prunus_persica_NCBIv2:G5:5239585:5258965:1 gene:PRUPE_5G049600 transcript:ONI06250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVRGQISLYRGGSIIFGLSEYPISEFELVAEELLMSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06256 pep chromosome:Prunus_persica_NCBIv2:G5:5239742:5258965:1 gene:PRUPE_5G049600 transcript:ONI06256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVRGQISLYRGGSIIFGLSEYPISEFELVAEELLMSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRQNSVISSNTNLGVYGQGLLKLTGHGDAIKAQRLSLSLFYNITVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQDTLLLLISFMLLEDLSVTILMLLEFYWISLGAFLAVLLILPLSLLSPFLAGLNALFSKELRRASLARVYALWNATSLSNIG >ONI06255 pep chromosome:Prunus_persica_NCBIv2:G5:5239548:5258965:1 gene:PRUPE_5G049600 transcript:ONI06255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06262 pep chromosome:Prunus_persica_NCBIv2:G5:5239546:5258965:1 gene:PRUPE_5G049600 transcript:ONI06262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI06251 pep chromosome:Prunus_persica_NCBIv2:G5:5239585:5258965:1 gene:PRUPE_5G049600 transcript:ONI06251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQMKWYLWCCILAGHVWISALSLTSGKYRSTANGSEEWLHNVSSDIIEDFQRSTSTYKVTKLSSLLNDSVSCEDLEGVGSFNTTCLLNSNLNFSSDLYIYGTGNLEILPHVSIVCPIEGCMITFNMSGNVKIGQFAAIVAGSVVFSAANLTMEYNSSINTTSLGGLPPSQTSGTPVGYDGGGGGHGGRGASCLKNNQSSFWGGDVYTWSTLSEPWSYGSKGRGLSTKIPFGGNGGGRVKLQVKDMLYMNGSVTAEGGDGGTTGGGGSGGSISVHAVKLKGYGTISAAGGRGWGGGGGGRLSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTYFNADLLSLRVGNDNITTETETPLLDFPTSPLWSHVFVENNAKVLVPLLWTRVQVRGQISLYRGGSIIFGLSEYPISEFELVAEELLMSDSIIKVFGAFRVAVKMLLMWNSKIQIDGGGNPIVTASVLEVRNLIVLRVGAGSLLQAPLDDDANRNVVTKLLCESQACPLDLITPPDDCHVNYTLSFSLQICRVEDLLVDGVVKGSVIHIHRARTIIVDNNGLITASELGCSKGIGSGNFSNGAGSGAGHGGRGGSGYFNGRVCNGGNEYGNADLPCELGSGAEGPSPSYGNVVGGGMIVMGSIQWPLLKLDVFGTLSADGQSFHKAARNGNGTLIGGLGGGSGGTILIFLQELGLLAQNSSLSVAGGKGGPLGGGGGGGGRVHFHWSKIDFEDEYVPVASISGSINSSGGAGDDGGHHGSDGTITGKKCPKGLYGTFCKECPVGTFKNVDGSDAHLCIPCSVDLLPSRAEFIYVRGGVTQPSCPYKCVSDNYRMPKCYTPLEELLYTFGGPWPFAILLSCVLVVLALLLRTLRIKLVGSCSYHRAGSIEQHSHHQFPCLLSLSEVRGTRVEETQSHVHRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCSWSWKQWRQRNKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMLAYIDFFLGGDEKRLDMVSIIQKRFPMCIIFGGDGSYMSPYNLHNDTLLTNLLGQHVPETVWNHLVAGLNAQLRMVRHGSIRSHLIPVINWINSHANPQLVFHGVRVELGWFQATASGYYQLGILVVVGDYPLQSLHQSDMGDNELPRSNAACTRKCSKQMQQNWPFVGHALSVKRITGGINGGLINHTTLRSLDYKRDFLFPLSLLLHNTRPVGRQVVAFMCGILHYGFSFFQPPHKSNTWNTRRDDDKWWLLPSILLLFKLMQALFVDWHIANLEIQDHSLFFPDPDAFWAHESGT >ONI07009 pep chromosome:Prunus_persica_NCBIv2:G5:10468562:10471197:1 gene:PRUPE_5G094900 transcript:ONI07009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKESLERFKKQQEKCQTTLSSIASTKAAAAPRPAPAAARAPPPAVKFSNDTERLQHINSIRKGPVGAQIKRVIDLLLETRQAFTPEQINEACYVDANANKAVFDSLRNNPKVYYDGRRFSYKSKHNVTDKNQLRSLIRKYPEGIAVIDLKDAYPNVMDDLQALKAAGDIWLLSNFDSQEDIAYPNDPRLPAMNVDDELKVLFRSIELPRDMIDIEKELQKNGMKPATDTAKRRALAQSQGIPNKAKPKKKKHEISKRTKLTNAHLPELFSRP >ONI07010 pep chromosome:Prunus_persica_NCBIv2:G5:10468557:10471285:1 gene:PRUPE_5G094900 transcript:ONI07010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKESLERFKKQQEKCQTTLSSIASTKAAAAPRPAPAAARAPPPAVKFSNDTERLQHINSIRKGPVGAQIKRVIDLLLETRQAFTPEQINEACYVDANANKAVFDSLRNNPKVYYDGRRFSYKSKHNVTDKNQLRSLIRKYPEGIAVIDLKDAYPNVMDDLQALKAAGDIWLLSNFDSQEDIAYPNDPRLPAMNVDDELKVLFRSIELPRDMIDIEKELQKNGMKPATDTAKRRALAQSQGIPNKAKPKKKKHEISKRTKLTNAHLPELFSRP >ONI07011 pep chromosome:Prunus_persica_NCBIv2:G5:10468541:10471301:1 gene:PRUPE_5G094900 transcript:ONI07011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKESLERFKKQQEKCQTTLSSIASTKAAAAPRPAPAAARAPPPAVKFSNDTERLQHINSIRKGPVGAQIKRVIDLLLETRQAFTPEQINEACYVDANANKAVFDSLRNNPKVYYDGRRFSYKSKHNVTDKNQLRSLIRKYPEGIAVIDLKDAYPNVMDDLQALKAAGDIWLLSNFDSQEDIAYPNDPRLPAMNVDDELKVLFRSIELPRDMIDIEKELQKNGMKPATDTAKRRALAQSQGIPNKAKPKKKKHEISKRTKLTNAHLPELFSRP >ONI09448 pep chromosome:Prunus_persica_NCBIv2:G5:18036906:18039108:1 gene:PRUPE_5G238800 transcript:ONI09448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLTEEQIAEFKEAFCLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADQNGTIDFSEFLNLMARKMKDTDSEEELREAFKVFDKDQNGFISAAELRHVMANLGEKLTDEEVDEMIREADEDGDGQVNYEEFVRMMLNK >ONI07712 pep chromosome:Prunus_persica_NCBIv2:G5:12874974:12878089:-1 gene:PRUPE_5G135800 transcript:ONI07712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07715 pep chromosome:Prunus_persica_NCBIv2:G5:12874946:12878089:-1 gene:PRUPE_5G135800 transcript:ONI07715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07725 pep chromosome:Prunus_persica_NCBIv2:G5:12875749:12876961:-1 gene:PRUPE_5G135800 transcript:ONI07725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSRTIDVFRE >ONI07716 pep chromosome:Prunus_persica_NCBIv2:G5:12874946:12878270:-1 gene:PRUPE_5G135800 transcript:ONI07716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSRPKLTLRILFFLFLSAIVGTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07726 pep chromosome:Prunus_persica_NCBIv2:G5:12875749:12876961:-1 gene:PRUPE_5G135800 transcript:ONI07726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSRPKLTLRILFFLFLSAIVGTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSRTIDVFRE >ONI07723 pep chromosome:Prunus_persica_NCBIv2:G5:12875073:12878091:-1 gene:PRUPE_5G135800 transcript:ONI07723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07724 pep chromosome:Prunus_persica_NCBIv2:G5:12875073:12878089:-1 gene:PRUPE_5G135800 transcript:ONI07724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSRTIDVFRE >ONI07718 pep chromosome:Prunus_persica_NCBIv2:G5:12875337:12876961:-1 gene:PRUPE_5G135800 transcript:ONI07718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSRPKLTLRILFFLFLSAIVGTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07719 pep chromosome:Prunus_persica_NCBIv2:G5:12875073:12878089:-1 gene:PRUPE_5G135800 transcript:ONI07719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSRPKLTLRILFFLFLSAIVGTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07711 pep chromosome:Prunus_persica_NCBIv2:G5:12875071:12878100:-1 gene:PRUPE_5G135800 transcript:ONI07711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07710 pep chromosome:Prunus_persica_NCBIv2:G5:12874947:12878270:-1 gene:PRUPE_5G135800 transcript:ONI07710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07722 pep chromosome:Prunus_persica_NCBIv2:G5:12875073:12878100:-1 gene:PRUPE_5G135800 transcript:ONI07722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSRPKLTLRILFFLFLSAIVGTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07720 pep chromosome:Prunus_persica_NCBIv2:G5:12874946:12878103:-1 gene:PRUPE_5G135800 transcript:ONI07720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSRPKLTLRILFFLFLSAIVGTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07713 pep chromosome:Prunus_persica_NCBIv2:G5:12875073:12878089:-1 gene:PRUPE_5G135800 transcript:ONI07713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07721 pep chromosome:Prunus_persica_NCBIv2:G5:12874974:12878270:-1 gene:PRUPE_5G135800 transcript:ONI07721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSRPKLTLRILFFLFLSAIVGTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07714 pep chromosome:Prunus_persica_NCBIv2:G5:12875071:12877897:-1 gene:PRUPE_5G135800 transcript:ONI07714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI07727 pep chromosome:Prunus_persica_NCBIv2:G5:12875749:12876961:-1 gene:PRUPE_5G135800 transcript:ONI07727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSRPKLTLRILFFLFLSAIVGTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSRTIDVFRE >ONI07717 pep chromosome:Prunus_persica_NCBIv2:G5:12875073:12877897:-1 gene:PRUPE_5G135800 transcript:ONI07717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMKSCDEWKPFIAMIAIDFCFAIVNILLKKVLDEGMNHLVLITYRLTISTIFLAPISYFRERTSRPKLTLRILFFLFLSAIVGTSITQYFFLLGIQYTSATFACAFINMVPMITFIMALPFGLETVNLKCNSGRAKVIGTLVCIGGAFILTLYKGAPLFDHSKATTTQAMDHGTKLSHIRNKERWTIGSIALVVGTLLWSSWFLVQSNISKMYPCQYSSTTIMTFFGAIQSAILGFCTGRNLSMWVLRGRTQVITVLFSGMIASGLGFVGMSWCVKKRGPVFTAAFSPLVQILAALIGIPIFHEQLHFGSLLGSVIVIIGLYILLWGKKKEMQKCVTKLVQETNAIKEQEPHLPAITVSIDSRCP >ONI06653 pep chromosome:Prunus_persica_NCBIv2:G5:8749869:8752327:1 gene:PRUPE_5G073000 transcript:ONI06653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVTAMFFISLICTVFALPPIQACTPCTQPHPSKPHPPHHPSHPPQPPSHTPTHPKNPPTPKQPPHHKPHPKAPSKNPPVISPPIVKPPPHPKPPSKNPPVISPPIVKPPVTNPPVTIPPPSTPYPGSPPGGGGGGGGGGGGGGGGGGCGGCGGGGGGGGGTVPGPNPPPPTSPTCPIDALKLGLCVDVLGGLVHIGLGNPVENACCPILGGLLELEAAICLCTTIKLKLLNLNIFIPLALQALITCGKTPPPGFVCPPL >ONI05552 pep chromosome:Prunus_persica_NCBIv2:G5:1366613:1368988:-1 gene:PRUPE_5G012700 transcript:ONI05552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSKSTEWIFLATSLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKVDLPFLYDQEVEVECNIEQHWLQEQRRQPQEQQQLLEMWLQEQHEQYEQYEQQKERRRLELQRLQEQQERLQELQRRLELEQEQEQQKFLRHRRVVKAD >ONI05554 pep chromosome:Prunus_persica_NCBIv2:G5:1366276:1369612:-1 gene:PRUPE_5G012700 transcript:ONI05554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSKSTEWIFLATSLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGTLPDIYGLVAGISQCICSIVQYVYCLRHANSPFKASLLPAIFLMCLGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKVDLPFLYDQEVECNIEQHWLQEQRRQPQEQQQLLEMWLQEQHEQYEQYEQQKERRRLELQRLQEQQERLQELQRRLELEQEQEQQKFLRHRRVVKAD >ONI05551 pep chromosome:Prunus_persica_NCBIv2:G5:1366394:1369584:-1 gene:PRUPE_5G012700 transcript:ONI05551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSKSTEWIFLATSLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKVDLPFLYDQEVECNIEQHWLQEQRRQPQEQQQLLEMWLQEQHEQYEQYEQQKERRRLELQRLQEQQERLQELQRRLELEQEQEQQKFLRHRRVVKAD >ONI05553 pep chromosome:Prunus_persica_NCBIv2:G5:1366394:1369584:-1 gene:PRUPE_5G012700 transcript:ONI05553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSKSTEWIFLATSLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGTLPDIYGLVAGISQCICSIVQYVYCLRHANSPFKASLLPAIFLMCLGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKVECNIEQHWLQEQRRQPQEQQQLLEMWLQEQHEQYEQYEQQKERRRLELQRLQEQQERLQELQRRLELEQEQEQQKFLRHRRVVKAD >ONI05559 pep chromosome:Prunus_persica_NCBIv2:G5:1368082:1368988:-1 gene:PRUPE_5G012700 transcript:ONI05559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSKSTEWIFLATSLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGTLPDIYGLVAGISQCICSIVQYVYCLRHANSPFKASLLPAIFLMCLGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKVDLPFLYDQEVEVPI >ONI05550 pep chromosome:Prunus_persica_NCBIv2:G5:1366394:1369683:-1 gene:PRUPE_5G012700 transcript:ONI05550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSKSTEWIFLATSLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKVECNIEQHWLQEQRRQPQEQQQLLEMWLQEQHEQYEQYEQQKERRRLELQRLQEQQERLQELQRRLELEQEQEQQKFLRHRRVVKAD >ONI05555 pep chromosome:Prunus_persica_NCBIv2:G5:1366275:1369584:-1 gene:PRUPE_5G012700 transcript:ONI05555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSKSTEWIFLATSLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGTLPDIYGLVAGISQCICSIVQYVYCLRHANSPFKASLLPAIFLMCLGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKVDLPFLYDQEVEVECNIEQHWLQEQRRQPQEQQQLLEMWLQEQHEQYEQYEQQKERRRLELQRLQEQQERLQELQRRLELEQEQEQQKFLRHRRVVKAD >ONI05558 pep chromosome:Prunus_persica_NCBIv2:G5:1367160:1368988:-1 gene:PRUPE_5G012700 transcript:ONI05558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSKSTEWIFLATSLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGTLPDIYGLVAGISQCICSIVQYVYCLRHANSPFKASLLPAIFLMCLGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKVDLPFLYDQEVEATLGLNTIKKN >ONI05556 pep chromosome:Prunus_persica_NCBIv2:G5:1366394:1369612:-1 gene:PRUPE_5G012700 transcript:ONI05556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSKSTEWIFLATSLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGTLPDIYGLVAGISQCICSIVQYVYCLRHANSPFKASLLPAIFLMCLGGSKLCNNRMNANTTDNKDSCENSSRLNAT >ONI05557 pep chromosome:Prunus_persica_NCBIv2:G5:1367160:1368988:-1 gene:PRUPE_5G012700 transcript:ONI05557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSKSTEWIFLATSLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGTLPDIYGLVAGISQCICSIVQYVYCLRHANSPFKASLLPAIFLMCLGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKVDLPFLYDQEATLGLNTIKKN >ONI09359 pep chromosome:Prunus_persica_NCBIv2:G5:17772005:17775131:1 gene:PRUPE_5G234100 transcript:ONI09359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQEVVEGKIIVRSSSSISHYGIRLAVNGSVNLQVRGGSAGVIETLVGVAKPISIVNKIVEVRPSGKIASGTSEIPFSMNIKQPGEKKLERFYETFHGANINIQYLLTVDISRGYLHKSLSTTMEFIVESDKADLLERPVSPEMVIFYLTQDTQRHPLLPELKSGGFRVIGKMSTQCCLSDPIVGELTVEASAVPICSIDMHLLRVESILLGEKIVTEQSLIQTTQIADGDVCRNMTLPIYVILPRLLTCPTVLAGPFSIEFKVAIVITFQSDVAKVHSKSDPTTPRLWLAMESLPLELVRTR >ONI09360 pep chromosome:Prunus_persica_NCBIv2:G5:17772239:17775131:1 gene:PRUPE_5G234100 transcript:ONI09360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKQPGEKKLERFYETFHGANINIQYLLTVDISRGYLHKSLSTTMEFIVESDKADLLERPVSPEMVIFYLTQDTQRHPLLPELKSGGFRVIGKMSTQCCLSDPIVGELTVEASAVPICSIDMHLLRVESILLGEKIVTEQSLIQTTQIADGDVCRNMTLPIYVILPRLLTCPTVLAGPFSIEFKVAIVITFQSDVAKVHSKSDPTTPRLWLAMESLPLELVRTR >ONI09358 pep chromosome:Prunus_persica_NCBIv2:G5:17771684:17775131:1 gene:PRUPE_5G234100 transcript:ONI09358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIELKLSRINRVYRPSEVVEGKIIVRSSSSISHYGIRLAVNGSVNLQVRGGSAGVIETLVGVAKPISIVNKIVEVRPSGKIASGTSEIPFSMNIKQPGEKKLERFYETFHGANINIQYLLTVDISRGYLHKSLSTTMEFIVESDKADLLERPVSPEMVIFYLTQDTQRHPLLPELKSGGFRVIGKMSTQCCLSDPIVGELTVEASAVPICSIDMHLLRVESILLGEKIVTEQSLIQTTQIADGDVCRNMTLPIYVILPRLLTCPTVLAGPFSIEFKVAIVITFQSDVAKVHSKSDPTTPRLWLAMESLPLELVRTR >ONI09361 pep chromosome:Prunus_persica_NCBIv2:G5:17772239:17775131:1 gene:PRUPE_5G234100 transcript:ONI09361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIVESDKADLLERPVSPEMVIFYLTQDTQRHPLLPELKSGGFRVIGKMSTQCCLSDPIVGELTVEASAVPICSIDMHLLRVESILLGEKIVTEQSLIQTTQIADGDVCRNMTLPIYVILPRLLTCPTVLAGPFSIEFKVAIVITFQSDVAKVHSKSDPTTPRLWLAMESLPLELVRTR >ONI07793 pep chromosome:Prunus_persica_NCBIv2:G5:13086865:13088977:1 gene:PRUPE_5G140100 transcript:ONI07793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRRSLISLHRALKSGNSISPTETLLRPQYGYGLVQRSYSIGTTSNLDIDLSNQESKRRLFNRLIYRSKQRGFLELDLVLGKWVEEHIHSMDENGIKSLVDVLDLENPYLWKWLIGQEQPPEALKTNPVFTAVRNKVLNNLNSYAAPETRATPGQPWVRGWDDIKKGQGGPITGNQ >ONI07794 pep chromosome:Prunus_persica_NCBIv2:G5:13086865:13088977:1 gene:PRUPE_5G140100 transcript:ONI07794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRRSLISLHRALKSGNSISPTETLLRPQYGYGLVQRSYSIGTTSNLDIDLSNQESKRRLFNRSKQRGFLELDLVLGKWVEEHIHSMDENGIKSLVDVLDLENPYLWKWLIGQEQPPEALKTNPVFTAVRNKVLNNLNSYAAPETRATPGQPWVRGWDDIKKGQGGPITGNQ >ONI06079 pep chromosome:Prunus_persica_NCBIv2:G5:4365973:4367040:1 gene:PRUPE_5G039000 transcript:ONI06079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKKTKKFQKKTQPPSPFLPPPSTISIPKECPHPSSFLSLLSATDHRTIIHNHPNPPTPPTSQKASKSGGCFRV >ONI08471 pep chromosome:Prunus_persica_NCBIv2:G5:15121469:15125361:1 gene:PRUPE_5G179900 transcript:ONI08471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKRIPNLGQIFLIGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYNFRDLIMEDSPSHIFLLNCDVCCSFPLPEMLEAHRKHGGMGTLLVIKVSAESANQFGELVADPVTNELLHYTEKPETFVSDRINCGVYIFTPDIFNAIEGVSTQWKDRADLRRLSSFESLHSATRNAPTHFVRLDQDILSPLAGKKQLYTYETLDFWEQIKTPGMSLKCSGLYLSQFRFTTPHLLVSGDGTKSASIIGDVYVHPSAKIHPSAKIGPNVSISANARIGAGVRLISCIILDNVEIKDNAVVIHAIVGWKSSIGRWSRVQASGNYNSKLGTTILGEAVTVEDEVVVINSVVLPHKTLNVSVREEIIL >ONI08472 pep chromosome:Prunus_persica_NCBIv2:G5:15121766:15125130:1 gene:PRUPE_5G179900 transcript:ONI08472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKRIPNLGQIFLIGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYNFRDLIMEDSPSHIFLLNCDVCCSFPLPEMLEAHRKHGGMGTLLVIKVSAESANQFGELVADPVTNELLHYTEKPETFVSDRINCGVYIFTPDIFNAIEGVSTQWKDRADLRRLSSFESLHSATRNAPTHFVRLDQDILSPLAGKKQLYTYETLDFWEQIKTPGMSLKCSGLYLSQFRFTTPHLLVSGDGTKSASIIGDVYVHPSAKIHPSAKIGPNVSISANARIGAGVRLISCIILDNVEIKDNAVVIHAIVGWKSSIGRWSRVQAMGITIQSWGPRSLVKQ >ONI08470 pep chromosome:Prunus_persica_NCBIv2:G5:15121766:15125124:1 gene:PRUPE_5G179900 transcript:ONI08470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKRIPNLGQIFLIGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYNFRDLIMEDSPVISHIFLLNCDVCCSFPLPEMLEAHRKHGGMGTLLVIKVSAESANQFGELVADPVTNELLHYTEKPETFVSDRINCGVYIFTPDIFNAIEGVSTQWKDRADLRRLSSFESLHSATRNAPTHFVRLDQDILSPLAGKKQLYTYETLDFWEQIKTPGMSLKCSGLYLSQFRFTTPHLLVSGDGTKSASIIGDVYVHPSAKIHPSAKIGPNVSISANARIGAGVRLISCIILDNVEIKDNAVVIHAIVGWKSSIGRWSRVQASGNYNSKLGTTILGEAVTVEDEVVVINSVVLPHKTLNVSVREEIIL >ONI06183 pep chromosome:Prunus_persica_NCBIv2:G5:4984082:4988766:-1 gene:PRUPE_5G046100 transcript:ONI06183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSKKRPKPKPKRSPESSLSSIASTLEPSQNFFPSKEEFSRLTVALAIAASVALTLNFLSSTLINPHSKPFCDSSLDSLDFLPDSCEPCPSNGQCFQGKMECLQGFKKRGKLCIEDGDINETAKKLAERVEIRLCGALAQFLCYGTETIWVEENDIWNDLDKRELLEHVPDNAIYMYTKERTMETVNRMLDTRTSSRGVKELKCPDMLAEHYKPFSCRIRQWISEHALLILRVCALLVGSTFILWKLHRRRCLSTRVDELYQQVCEVLEEKAFMSKSVNSECEPWVVASRLRDRLLLPKERKDPVLWKKDSNHDLF >ONI06182 pep chromosome:Prunus_persica_NCBIv2:G5:4982788:4988766:-1 gene:PRUPE_5G046100 transcript:ONI06182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSKKRPKPKPKRSPESSLSSIASTLEPSQNFFPSKEEFSRLTVALAIAASVALTLNFLSSTLINPHSKPFCDSSLDSLDFLPDSCEPCPSNGQCFQGKMECLQGFKKRGKLCIEDGDINETAKKLAERVEIRLCGALAQFLCYGTETIWVEENDIWNDLDKRELLEHVPDNAIYMYTKERTMETVNRMLDTRTSSRGVKELKCPDMLAEHYKPFSCRIRQWISEHALLILRVCALLVGSTFILWKLHRRRCLSTRVDELYQQVCEVLEEKAFMSKSVNSECEPWVVASRLRDRLLLPKERKDPVLWKKVEELVQEDSHVDCYPKLVKGESKVVWEWQVEGSLSSSRRMRRGEDSKLKSSRATESSEHHLQALHAERKAPNFDMTMLEQ >ONI08601 pep chromosome:Prunus_persica_NCBIv2:G5:15500148:15502708:-1 gene:PRUPE_5G188200 transcript:ONI08601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSQSAQSGKLLRYALRSGSKSKEEKPPVAAESTNNSASKRGKPASTLSKSMGVLDLSGKDKPAKPPRRLSNPPKPAGTPAPKLLGNITPISEARSRRSVKSETPASDVSKSTSRKKFSVLSSASYWLTQIKLSEAAGKHSISLGLFKLALEAGCEPLHRMRDELKSYVVRHNLDDLEDPLKELLESKSNVEREQLQVSETCSQVPDEGTRSSDDDVKSCSSAMGTRKLKPKSLNTDAAPVSPVKASAKKEIAQKSIPATKTRGSLVKTSSNSGPVSDNGARGPTAKKPQKPSKQESNKVKDRTKKQGDKSAGSVSTTPAGQEALHENKENEDAPPMEDVSLTAEVM >ONI07648 pep chromosome:Prunus_persica_NCBIv2:G5:12762721:12766130:-1 gene:PRUPE_5G133300 transcript:ONI07648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSAFLDIFRRPTIVEVLGELMMFITPLWIAVIVGVFVGWAWKPKWASLVGRDLLDCPISKQKESSSSSQTSSTCFGPIPSLSSLRFLLPSYLPWAADDRNQKEALSMPPTTNPDFSSSQNEREKSGLVTNQDLRHICQLVEEKDGGPAWIHMMDRSTPTMRYQAWRRDPETGPPQYRSSTIYEDATPEQLRDFFWDDEFRSKWDDMLIYASTLEECPTTGTMLVHWVRKFPFFCSDREYLIGRRIWESGRSYYCVTKGVPCSSVPRRDKPRRVDLYYSSWCIRAVESKRGDGQLTACEVLLFHHEDMGIPWEIAKLGVRQGMWGAVKKIEPGLRVYQKERSSKAPLSRCAYMAQINTKVSADYLRSVENSTSDTMAIEKSGSSEKKPEGKSIPKLLVVGGAIALACSLDRGLLTKAVIFGVARRFANIGRKL >ONI05864 pep chromosome:Prunus_persica_NCBIv2:G5:3136343:3148954:1 gene:PRUPE_5G027200 transcript:ONI05864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILSGSPSTTFFRPRVSSSSLSSSSSSSSSSSSSSSSLSSSSRLCLVSVRSSARKSSACPCLFYSLKVSAMAELVKDTESPLSPIQNAQRRETNHSRTFLNTTTEEELLSAIRKETEAGRLPSNVASGMEELYRNYKNAILQSGNPKADEIVLSNMTAVLDRVFLDIEDPFVFSPYHKAMREPFDYYMFGQNYIRPLVDFRNSYVGNISVFKDIEEKIQQGHNIFLISNHQTEADPAVIALLLETTNSYLSENMTYIAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDVPELTEMKRKANTRSLKEMALLLRGGSQIVWIAPSGGRDRPDPVTGEWYPAPFDASSLDNLRRLAEHSAAPGHIYPLALLCHNIMPPPLQVEKEIGEKRMISFHGTGLSVAPEITFSDISASCQNPEENR >ONI05865 pep chromosome:Prunus_persica_NCBIv2:G5:3142957:3150657:1 gene:PRUPE_5G027200 transcript:ONI05865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGEGLVYVFCLLSIPFVEVEQRILISYSYIGPLHKDCGIHSSKRNSYVGNISVFKDIEEKIQQGHNIFLISNHQTEADPAVIALLLETTNSYLSENMTYIAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDVPELTEMKRKANTRSLKEMALLLRGGSQIVWIAPSGGRDRPDPVTGEWYPAPFDASSLDNLRRLAEHSAAPGHIYPLALLCHNIMPPPLQVEKEIGEKRMISFHGTGLSVAPEITFSDISASCQNPEEARETYTQALYKIVTEQYNVLKSAIHCKQGLKASTPNVSLSQPWN >ONI05866 pep chromosome:Prunus_persica_NCBIv2:G5:3142956:3150657:1 gene:PRUPE_5G027200 transcript:ONI05866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGEGLVYVFCLLSIPFVEVEQRILISYSYIGPLHKDCGIHSSKRNSYVGNISVFKDIEEKIQQGHNIFLISNHQTEADPAVIALLLETTNSYLSENMTYIAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDVPELTEMKRKANTRSLKEMALLLRGGSQIVWIAPSGGRDRPDPVTGEWYPAPFDASSLDNLRRLAEHSAAPGHIYPLALLCHNIMPPPLQVEKEIGEKRMISFHGTGLSVAPEITFSDISASCQNPEEARETYTQALYKIVTEQYNVLKSAIHCKQGLKASTPNVSLSQPWN >ONI05862 pep chromosome:Prunus_persica_NCBIv2:G5:3136343:3150657:1 gene:PRUPE_5G027200 transcript:ONI05862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILSGSPSTTFFRPRVSSSSLSSSSSSSSSSSSSSSSLSSSSRLCLVSVRSSARKSSACPCLFYSLKVSAMAELVKDTESPLSPIQNAQRRETNHSRTFLNTTTEEELLSAIRKETEAGRLPSNVASGMEELYRNYKNAILQSGNPKADEIVLSNMTAVLDRVFLDIEDPFVFSPYHKAMREPFDYYMFGQNYIRPLVDFRNSYVGNISVFKDIEEKIQQTYIAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDVPELTEMKRKANTRSLKEMALLLRGGSQIVWIAPSGGRDRPDPVTGEWYPAPFDASSLDNLRRLAEHSAAPGHIYPLALLCHNIMPPPLQVEKEIGEKRMISFHGTGLSVAPEITFSDISASCQNPEEARETYTQALYKIVTEQYNVLKSAIHCKQGLKASTPNVSLSQPWN >ONI05860 pep chromosome:Prunus_persica_NCBIv2:G5:3136343:3150657:1 gene:PRUPE_5G027200 transcript:ONI05860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILSGSPSTTFFRPRVSSSSLSSSSSSSSSSSSSSSSLSSSSRLCLVSVRSSARKSSACPCLFYSLKVSAMAELVKDTESPLSPIQNAQRRETNHSRTFLNTTTEEELLSAIRKETEAGRLPSNVASGMEELYRNYKNAILQSGNPKADEIVLSNMTAVLDRVFLDIEDPFVFSPYHKAMREPFDYYMFGQNYIRPLVDFRNSYVGNISVFKDIEEKIQQGHNIFLISNHQTEADPAVIALLLETTNSYLSENMTYIAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDVPELTEMKRKANTRSLKEMALLLRGGSQIVWIAPSGGRDRPDPVTGEWYPAPFDASSLDNLRRLAEHSAAPGHIYPLALLCHNIMPPPLQVEKEIGEKRMISFHGTGLSVAPEITFSDISASCQNPEEARETYTQALYKIVTEQYNVLKSAIHCKQGLKASTPNVSLSQPWN >ONI05861 pep chromosome:Prunus_persica_NCBIv2:G5:3136343:3150657:1 gene:PRUPE_5G027200 transcript:ONI05861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILSGSPSTTFFRPRVSSSSLSSSSSSSSSSSSSSSSLSSSSRLCLVSVRSSARKSSACPCLFYSLKVSAMAELVKDTESPLSPIQNAQRRETNHSRTFLNTTTEEELLSAIRKETEAGRLPSNVASGMEELYRNYKNAILQSGNPKADEIVLSNMTAVLDRVFLDIEDPFVFSPYHKAMREPFDYYMFGQNYIRPLVDFRNSYVGNISVFKDIEEKIQQTYIAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDVPELTEMKRKANTRSLKEMALLLRGGSQIVWIAPSGGRDRPDPVTGEWYPAPFDASSLDNLRRLAEHSAAPGHIYPLALLCHNIMPPPLQVEKEIGEKRMISFHGTGLSVAPEITFSDISASCQNPEEARETYTQALYKIVTEQYNVLKSAIHCKQGLKASTPNVSLSQPWN >ONI05859 pep chromosome:Prunus_persica_NCBIv2:G5:3136343:3150657:1 gene:PRUPE_5G027200 transcript:ONI05859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILSGSPSTTFFRPRVSSSSLSSSSSSSSSSSSSSSSLSSSSRLCLVSVRSSARKSSACPCLFYSLKVSAMAELVKDTESPLSPIQNAQRRETNHSRTFLNTTTEEELLSAIRKETEAGRLPSNVASGMEELYRNYKNAILQSGNPKADEIVLSNMTAVLDRVFLDIEDPFVFSPYHKAMREPFDYYMFGQNYIRPLVDFRNSYVGNISVFKDIEEKIQQGHNIFLISNHQTEADPAVIALLLETTNSYLSENMTYIAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDVPELTEMKRKANTRSLKEMALLLRGGSQIVWIAPSGGRDRPDPVTGEWYPAPFDASSLDNLRRLAEHSAAPGHIYPLALLCHNIMPPPLQVEKEIGEKRMISFHGTGLSVAPEITFSDISASCQNPEEARETYTQALYKIVTEQYNVLKSAIHCKQGLKASTPNVSLSQPWN >ONI05863 pep chromosome:Prunus_persica_NCBIv2:G5:3136646:3148801:1 gene:PRUPE_5G027200 transcript:ONI05863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILSGSPSTTFFRPRVSSSSLSSSSSSSSSSSSSSSSLSSSSRLCLVSVRSSARKSSACPCLFYSLKVSAMAELVKDTESPLSPIQNAQRRETNHSRTFLNTTTEEELLSAIRKETEAGRLPSNVASGMEELYRNYKNAILQSGNPKADEIVLSNMTAVLDRVFLDIEDPFVFSPYHKAMREPFDYYMFGQNYIRPLVDFRNSYVGNISVFKDIEEKIQQGHNIFLISNHQTEADPAVIALLLETTNSYLSENMTYIAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDVPELTEMKRKANTRSLKEMALLLRGGSQIVWIAPSGGRDRPDPVTGEWYPAPFDASSLDNLRRLAEHSAAPGHIYPLALLCHNIMPPPLQVEKEIGEKRMISFHGTGLSVAPEITFSDISASCQNPEENR >ONI08039 pep chromosome:Prunus_persica_NCBIv2:G5:13861761:13862707:-1 gene:PRUPE_5G154700 transcript:ONI08039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRGGCCIARYGGEYDMSQVDRIMLRFRPIAPKPVNGGGLDSGGSPPENGDVYVKIRRCVKQGCNNNNNNNNNNTSKRRRSSKGLGEEKTVVTLPLLPETPEPKGSERERKSSGYSATWLSFESFESNSSSISSTTCRRSKVVGEEMSTMNNMQQRRPVRVVGSCVTVDCVTDTWVGGDGLGRTDVERRMNLERDTCPGFVSDGAGRVVWTNGAYRSMVGEQEERETMVWLVTKERAAKAVGVMSNYDTAAFSCRVRVQYSGCGGSSARDWSSLTLPCDVWRMESGGFAWRLDVKAALCLGR >ONI08414 pep chromosome:Prunus_persica_NCBIv2:G5:14977172:14980096:-1 gene:PRUPE_5G176900 transcript:ONI08414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFPTWALAILIFLIFQMANSEDQDLKQLKQVLVQFMDKLSPGNSVRDVKWGWNMSSDPCRDQWEGVTCDKQNYVKKIVLEMSNLTGVLDADSLCMVQSLGVVSLKNNKISGLLSEDIGNCRDLTHFYISGNQFSGDLPDSLSQLNNLKRIDISNNNFHGELPDLPKISGLISFLAQNNQLDGQIPDFDFSNLKVFNVSNNNFSGPIPDVKGQLTKDSFSGNPKLCGKPLPNTCPPVPTSIMPKKKSNKSSSKKLLIFSGYIILGLVFVCFFVYKFISKKRTREYEEKGGNEKIATADTASTVPNTITSSEFNADGVPKTAEYSLTSVETGMVPPLVVLTSPLLMGLSFEELLRAPAELLARGKNGSLYKVMLDDGVNLVVKRIRNCGISSEDFKTRMKKLDQAKCRNVLPAVAFYCSRQEKLLVYEYQPNGNLFNLLHGSSNGQIFDWGSRLNVADIIAESLAFMHQELREDGIAHGNLKSMNILFNMTMKPCISEYGVMETENQDQSFLSPNNGIESSNAGHAYSTFKDDVYGFGVILLELLTGKLVQQNGFDLPRWVHSVIEEEWTLEVFDKALIQEGASEERMVSLLKVALQCINPSSNDRPSMSRVSMRLKSIKEEVERSISSDP >ONI06445 pep chromosome:Prunus_persica_NCBIv2:G5:6589202:6590423:-1 gene:PRUPE_5G060900 transcript:ONI06445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIFLVFKNTRKVFSEYHNVPMDTHKVFTALVQWGSCRSPSVIHNREVLVRALFV >ONI05809 pep chromosome:Prunus_persica_NCBIv2:G5:2788319:2797639:1 gene:PRUPE_5G024900 transcript:ONI05809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKLKDLSNLSEPEAQETQNLRETKAERKKKKQKNKDQEEQGQLTQLSPKRKLEEISPQEDNSDSNKKKNKKHKKSKDKEQETENNVKEPQRNGDADEGESREQVVVVTGKDANEAKYKSLNSFAEAKLPSEVLRCCENFKSPSPIQSRAWPFLLDGRDFIGIAKTGSGKTLAFGVPAIMHVLNKRKGKFSKGRTPLCLALAPTRELAQQISDVLFEAGKPCGVISVCLYGGASKGPQISALKSGVDIVIGTPGRLKDLIEMGVCSLKEVSFTVLDEADRMLDMGFEPEVRFILGQTCLERQMVMFSATWPPSVHQLAQEFMDPNPVKVVVGSEDLAANHDVMQIVEVLDDRSRDGRLVTLLEKYHKSQENRVLVFVLYKMEATRVENMLTKGGWKVVSIHGDKAQTERTKALSLFKKGSCPLMIATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTHHNKALAGELVNVLREAKQNVPEALLKFGTHVKKKESKLYGAHFREIPVDAPKSKKITFDNSDDEN >ONI06816 pep chromosome:Prunus_persica_NCBIv2:G5:9592682:9594143:1 gene:PRUPE_5G082800 transcript:ONI06816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSSNSSHDYDIFVTVEQRGFSDDESLPIPIPIPEVSILLNVVVSYNVNSDLSLPTRAYDARLELDHPFHSFKSNSRDILSNMIDAMHIPFPLDRLRWKTQRFGGGNTEPLESVEAMVSRMALEASTMVENHGAAGLNKKLGILVTVEKEIPMLAHQLFDEMQQLEVEDRELRDEVNSQIETYHAPLALSSGGIEQIGEGWARRLREGWYSLAFRASVMLSADDVHQMEDVLDRLLSLRDSMIRTCANMRSFLDTLAQNTGDIDDGALTEAAVRESLEEAVFSPMPATRASVDALEKFVFDGGVQRGSSSDQRCVVCLGKMLSGDQVTCLPCSHMFHGHCIEQWLRYGHVCPLCRFKLPTDY >ONI05958 pep chromosome:Prunus_persica_NCBIv2:G5:3611514:3618085:1 gene:PRUPE_5G031500 transcript:ONI05958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLTSGDSPTFGREKKRFPRVATVAGVLSELDDDNSNSVGSDAPSSIAQERMIIVGNQLPIRAHRRDDGEWYFSWDEDSLLLQLKDGLGEDVEVVYIGCLKEEIDPSEQDDVAQTLLDTFKCVPAFLPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRLKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIRQLQCVLNLPETESKVAELKDQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLIQHPDKRGKVVLVQVANPARGRGKDVREVQVETDATVKRINETFGREGYNPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPTAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPEAEKQLRHEKHYRYVTTHDVAYWARSFLQDLERACRDHMRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGAMMLPGSIITTPNTEAVGILNNLCNDPRNVVFLVSGKDRKTLTEWFSSCAKLGIAAEHGYFVRSNHNAEWETCVSIPDFDWKQIAEPVMQLYTETTDGSTIETKESALVWNYQYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAERLLLTMKQKTMLPDFVLCIGDDRSDEDMFEVIMSARDSLSPVAEVFACTVGQKPSKAKYYLEDTTEILRMLQGLANASEKAAKSAPQPSQRVVILE >ONI05959 pep chromosome:Prunus_persica_NCBIv2:G5:3611007:3618106:1 gene:PRUPE_5G031500 transcript:ONI05959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLTSGDSPTFGREKKRFPRVATVAGVLSELDDDNSNSVGSDAPSSIAQERMIIVGNQLPIRAHRRDDGEWYFSWDEDSLLLQLKDGLGEDVEVVYIGCLKEEIDPSEQDDVAQTLLDTFKCVPAFLPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRLKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIRQLQCVLNLPETESKVAELKDQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLIQHPDKRGKVVLVQVANPARGRGKDVREVQVETDATVKRINETFGREGYNPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPTAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPEAEKQLRHEKHYRYVTTHDVAYWARSFLQDLERACRDHMRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGAMMLPGSIITTPNTEAVGILNNLCNDPRNVVFLVSGKDRKTLTEWFSSCAKLGIAAEHGYFVRSNHNAEWETCVSIPDFDWKQIAEPVMQLYTETTDGSTIETKESALVWNYQYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAERLLLTMKQKTMLPDFVLCIGDDRSDEDMFEVIMSARDSLSPVAEVFACTVGQKPSKAKYYLEDTTEILRMLQGLANASEKAAKSAPQPSQRVVILE >ONI05963 pep chromosome:Prunus_persica_NCBIv2:G5:3611007:3618085:1 gene:PRUPE_5G031500 transcript:ONI05963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLTSGDSPTFGREKKRFPRVATVAGVLSELDDDNSNSVGSDAPSSIAQERMIIVGNQLPIRAHRRDDGEWYFSWDEDSLLLQLKDGLGEDVEVVYIGCLKEEIDPSEQDDVAQTLLDTFKCVPAFLPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRLKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIRQLQCVLNLPETESKVAELKDQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLIQHPDKRGKVVLVQVANPARGRGKDVREVQVETDATVKRINETFGREGYNPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPTAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPEAEKQLRHEKHYRYVTTHDVAYWARSFLQDLERACRDHMRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGAMMLPGSIITTPNTEAVGILNNLCNDPRNVVFLVSGKDRKTLTEWFSSCAKLGIAAEHGYFVRSNHNAEWETCVSIPDFDWKQIAEPVMQLYTETTDGSTIETKESALVWNYQYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAERLLLTMKQKTMLPDFVLCIGDDRSDEDMFEVIMSARDSLSPVAEVFACTVGQKPSKAKYYLEDTTEILRMLQGLANASEKAAKSAPQPSQRVVILE >ONI05962 pep chromosome:Prunus_persica_NCBIv2:G5:3611468:3618085:1 gene:PRUPE_5G031500 transcript:ONI05962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLTSGDSPTFGREKKRFPRVATVAGVLSELDDDNSNSVGSDAPSSIAQERMIIVGNQLPIRAHRRDDGEWYFSWDEDSLLLQLKDGLGEDVEVVYIGCLKEEIDPSEQDDVAQTLLDTFKCVPAFLPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRLKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIRQLQCVLNLPETESKVAELKDQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLIQHPDKRGKVVLVQVANPARGRGKDVREVQVETDATVKRINETFGREGYNPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPTAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPEAEKQLRHEKHYRYVTTHDVAYWARSFLQDLERACRDHMRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGAMMLPGSIITTPNTEAVGILNNLCNDPRNVVFLVSGKDRKTLTEWFSSCAKLGIAAEHGYFVRSNHNAEWETCVSIPDFDWKQIAEPVMQLYTETTDGSTIETKESALVWNYQYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAERLLLTMKQKTMLPDFVLCIGDDRSDEDMFEVIMSARDSLSPVAEVFACTVGQKPSKAKYYLEDTTEILRMLQGLANASEKAAKSAPQPSQRVVILE >ONI05965 pep chromosome:Prunus_persica_NCBIv2:G5:3611870:3618085:1 gene:PRUPE_5G031500 transcript:ONI05965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLTSGDSPTFGREKKRFPRVATVAGVLSELDDDNSNSVGSDAPSSIAQERMIIVGNQLPIRAHRRDDGEWYFSWDEDSLLLQLKDGLGEDVEVVYIGCLKEEIDPSEQDDVAQTLLDTFKCVPAFLPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRLKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIRQLQCVLNLPETESKVAELKDQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLIQHPDKRGKVVLVQVANPARGRGKDVREVQVETDATVKRINETFGREGYNPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPTAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPEAEKQLRHEKHYRYVTTHDVAYWARSFLQDLERACRDHMRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGAMMLPGSIITTPNTEAVGILNNLCNDPRNVVFLVSGKDRKTLTEWFSSCAKLGIAAEHGYFVRSNHNAEWETCVSIPDFDWKQIAEPVMQLYTETTDGSTIETKESALVWNYQYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAERLLLTMKQKTMLPDFVLCIGDDRSDEDMFEVIMSARDSLSPVAEVFACTVGQKPSKAKYYLEDTTEILRMLQGLANASEKAAKSAPQPSQRVVILE >ONI05960 pep chromosome:Prunus_persica_NCBIv2:G5:3611007:3618106:1 gene:PRUPE_5G031500 transcript:ONI05960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLTSGDSPTFGREKKRFPRVATVAGVLSELDDDNSNSVGSDAPSSIAQERMIIVGNQLPIRAHRRDDGEWYFSWDEDSLLLQLKDGLGEDVEVVYIGCLKEEIDPSEQDDVAQTLLDTFKCVPAFLPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRLKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIRQLQCVLNLPETESKVAELKDQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLIQHPDKRGKVVLVQVANPARGRGKDVREVQVETDATVKRINETFGREGYNPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPTAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPEAEKQLRHEKHYRYVTTHDVAYWARSFLQDLERACRDHMRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGAMMLPGSIITTPNTEAVGILNNLCNDPRNVVFLVSGKDRKTLTEWFSSCAKLGIAAEHGYFVRSNHNAEWETCVSIPDFDWKQIAEPVMQLYTETTDGSTIETKESALVWNYQYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAERLLLTMKQKTMLPDFVLCIGDDRSDEDMFEVIMSARDSLSPVAEVFACTVGQKPSKAKYYLEDTTEILRMLQGLANASEKAAKSAPQPSQRVVILE >ONI05964 pep chromosome:Prunus_persica_NCBIv2:G5:3611007:3618085:1 gene:PRUPE_5G031500 transcript:ONI05964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLTSGDSPTFGREKKRFPRVATVAGVLSELDDDNSNSVGSDAPSSIAQERMIIVGNQLPIRAHRRDDGEWYFSWDEDSLLLQLKDGLGEDVEVVYIGCLKEEIDPSEQDDVAQTLLDTFKCVPAFLPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRLKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIRQLQCVLNLPETESKVAELKDQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLIQHPDKRGKVVLVQVANPARGRGKDVREVQVETDATVKRINETFGREGYNPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPTAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPEAEKQLRHEKHYRYVTTHDVAYWARSFLQDLERACRDHMRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGAMMLPGSIITTPNTEAVGILNNLCNDPRNVVFLVSGKDRKTLTEWFSSCAKLGIAAEHGYFVRSNHNAEWETCVSIPDFDWKQIAEPVMQLYTETTDGSTIETKESALVWNYQYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAERLLLTMKQKTMLPDFVLCIGDDRSDEDMFEVIMSARDSLSPVAEVFACTVGQKPSKAKYYLEDTTEILRMLQGLANASEKAAKSAPQPSQRVVILE >ONI05966 pep chromosome:Prunus_persica_NCBIv2:G5:3611007:3618101:1 gene:PRUPE_5G031500 transcript:ONI05966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLTSGDSPTFGREKKRFPRVATVAGVLSELDDDNSNSVGSDAPSSIAQERMIIVGNQLPIRAHRRDDGEWYFSWDEDSLLLQLKDGLGEDVEVVYIGCLKEEIDPSEQDDVAQTLLDTFKCVPAFLPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRLKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIRQLQCVLNLPETESKVAELKDQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLIQHPDKRGKVVLVQVANPARGRGKDVREVQVETDATVKRINETFGREGYNPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPTAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPEAEKQLRHEKHYRYVTTHDVAYWARSFLQDLERACRDHMRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGAMMLPGSIITTPNTEAVGILNNLCNDPRNVVFLVSGKDRKTLTEWFSSCAKLGIAAEHGYFVRSNHNAEWETCVSIPDFDWKQIAEPVMQLYTETTDGSTIETKESALVWNYQYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAERLLLTMKQKTMLPDFVLCIGDDRSDEDMFEVIMSARDSLSPVAEVFACTVGQKPSKAKYYLEDTTEILRMLQGLANASEKAAKSAPQPSQRVVILE >ONI05961 pep chromosome:Prunus_persica_NCBIv2:G5:3611625:3618085:1 gene:PRUPE_5G031500 transcript:ONI05961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLTSGDSPTFGREKKRFPRVATVAGVLSELDDDNSNSVGSDAPSSIAQERMIIVGNQLPIRAHRRDDGEWYFSWDEDSLLLQLKDGLGEDVEVVYIGCLKEEIDPSEQDDVAQTLLDTFKCVPAFLPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRLKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIRQLQCVLNLPETESKVAELKDQFRGQTVLLGVDDMDIFKGISLKLLAMEQLLIQHPDKRGKVVLVQVANPARGRGKDVREVQVETDATVKRINETFGREGYNPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPTAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALMVPEAEKQLRHEKHYRYVTTHDVAYWARSFLQDLERACRDHMRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGAMMLPGSIITTPNTEAVGILNNLCNDPRNVVFLVSGKDRKTLTEWFSSCAKLGIAAEHGYFVRSNHNAEWETCVSIPDFDWKQIAEPVMQLYTETTDGSTIETKESALVWNYQYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAERLLLTMKQKTMLPDFVLCIGDDRSDEDMFEVIMSARDSLSPVAEVFACTVGQKPSKAKYYLEDTTEILRMLQGLANASEKAAKSAPQPSQRVVILE >ONI07252 pep chromosome:Prunus_persica_NCBIv2:G5:11428888:11429421:-1 gene:PRUPE_5G109000 transcript:ONI07252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADELKRKKKIKLAIYISIFVVFQIIVITTMSLTVMKVKTPKFRLGDIKVQSLESTPSFDLKFTTQIRIKNSANWGSYKFKAGNVTFQHQGQTVGAIDIAKGKVGWLSTVKRNANVSLNSNAITELGSGVLTLNSVGRLEGKVAIMFIMKKKKATNMNCTIAFDVTAKTLQSLHCK >ONI08145 pep chromosome:Prunus_persica_NCBIv2:G5:14105425:14105992:1 gene:PRUPE_5G159500 transcript:ONI08145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVKKFLKKEGEMAITMDGLPEKFFEPFIMKGIQVDLLKPGRIVCSFKGTYRLLNGGNFMHGGATTTLVDLIGSAAILTIGTPSFGISVEINVSYLDSAYPGEEVEIEAKALRVGKGVGVASVELRKKKTGKIIAQGRHTK >ONI05492 pep chromosome:Prunus_persica_NCBIv2:G5:987112:990574:1 gene:PRUPE_5G009500 transcript:ONI05492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHGALHSLRSLSRAPRYPLSYLVHSPISSSLFSTLYAQSNSLHDEHRIKSQSTLDESFVLDRLSNLLPISRSNSSTATLFEPSNSDKQIEIRTVDGFLLPDEKLRGVFLQKLRGTAAIEHALDNGGVDLSVDVVAQVVNRGGLGAEAMLVFFNWAIRKPTIAKYIETYHIILKALGRRKFFTHMMQILHHMRAQGISPNLETISIVMDSFVRAQHVSKAIQMFRNLEEIGLECDTESLNLLLQCLCQRSHVGAANSFLNSVKGKIQFNGNTYNIIIGGWSRHGRVSEIERILEAMVADGFSADSSTFSFILEGLGRAGRIDDAVEIFDSMKGKGCMPDTRVYNAMISNFISVRNFDECVRYYKGMSSNSCDPNIDTYTKLIAAFLKARKVAGALEMFDEMLGRGLVPTTGTITSFIEPLCSYGPPYAAMMIYKKARKVGCRISLSAYKLLLMRLSRFGKCGMLLNIWEDMQECGYASDKEVYDYVINGLCNIGHLENAVLVMEESLQKGFCPSRLVYSKLNNKLLASNKVERAYKLFLKIKHARRYDNAQRFWRSKGWHF >ONI09059 pep chromosome:Prunus_persica_NCBIv2:G5:16927671:16930102:-1 gene:PRUPE_5G215100 transcript:ONI09059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLGLCRQIFAGLDLSRRHFLVCARLILQLFTSLSGTIGHVAHGNVLYKLKTMILIPDVRFKNELEHNITMKLGYANAKIYKAYGSGMEDNPLCDVPGFENCKMKLLRHISFVDCPGHDILMATNGAAIMDGALLLIAANESCPQTTNC >ONI09391 pep chromosome:Prunus_persica_NCBIv2:G5:17863962:17864817:-1 gene:PRUPE_5G235600 transcript:ONI09391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVNVPKTNKTHCKSKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLMLQCQGCKHVSQQEVQVL >ONI09390 pep chromosome:Prunus_persica_NCBIv2:G5:17863908:17865693:-1 gene:PRUPE_5G235600 transcript:ONI09390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTNKTHCKSKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLMLQCQGCKHVSQQEVQVL >ONI07940 pep chromosome:Prunus_persica_NCBIv2:G5:13566432:13568146:-1 gene:PRUPE_5G148500 transcript:ONI07940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKPEEVKKPEAEEKKEEKKEEEKKPEAEEKKEEKKADAAPPLPPPPQEIVLKVYMHCEGCARKVKRRLKGFQGVEDVSTDCKTHTVVVKGEKADPIKVLERVQRKSRGQVELLSPIPKPPAEEKKPEEKEQPKPEEKKEEPVVITVVLKVHMHCDACAQEIKKRILRMKGVESAEPDLKSSEVTVKGVFDPPQLVEYVHKRTGKHASIVKQEPEKKDKEEAKEEEKKGGEEGDKDKKGKDEEAKPEEKKGDEAPPAAAAAPDAAAAAEGGAATEETKVVELMKKNEYYYYPPRYAMELYAYPPQIFSDENPNACSVM >ONI07939 pep chromosome:Prunus_persica_NCBIv2:G5:13565780:13569493:-1 gene:PRUPE_5G148500 transcript:ONI07939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKKMEEKKPEEVKKPEAEEKKEEKKEEEKKPEAEEKKEEKKADAAPPLPPPPQEIVLKVYMHCEGCARKVKRRLKGFQGVEDVSTDCKTHTVVVKGEKADPIKVLERVQRKSRGQVELLSPIPKPPAEEKKPEEKEQPKPEEKKEEPVVITVVLKVHMHCDACAQEIKKRILRMKGVESAEPDLKSSEVTVKGVFDPPQLVEYVHKRTGKHASIVKQEPEKKDKEEAKEEEKKGGEEGDKDKKGKDEEAKPEEKKGDEAPPAAAAAPDAAAAAEGGAATEETKVVELMKKNEYYYYPPRYAMELYAYPPQIFSDENPNACSVM >ONI08589 pep chromosome:Prunus_persica_NCBIv2:G5:15459650:15460408:1 gene:PRUPE_5G187300 transcript:ONI08589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFVQNVLRLADVAQITLALFFELLARFLLFFEVFIKFLLLPRNDFNVGEKQYLFHVRNQKAGLIINYNLSNFPDIPIERNRKIRIFSHVSCHLLHLLSD >ONI05685 pep chromosome:Prunus_persica_NCBIv2:G5:2082589:2088024:1 gene:PRUPE_5G019000 transcript:ONI05685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRGASSSSARFTKSRKYHVFLSFRGLDTRSNFTSHLYSNLRLQGIKTFMDDDELRRGEEISNALLTAIEDSKISVVVFSKNYASSKWCLDELVKILDCKESNQQLVIPVFYKVNPSNVRNHRGSFGDALANMDCNNVEKLNRWKEALSQAGKLAGFTLSDEHRSEAELIHNIVQHISREVIDRTYLYVTEYPVGMHHSVEYIIELLNLRENDVRMVGVWGTGGIGKTTIATAVYNSIAHEFEGCSFLANVRDSKGYKLQRTLLSEILGDTNLKVANVHKGATMIKQRLSCRKVLLVLDDVDDMDQLHKLVGACDWFGVGSRIIITTRDKQLLTAHRVNLIHEVEILNDPEALELFCWHAFKRSGPPLDDYVKLAERAIRYAQGLPLALEVLGCCLCGGSIDKWEAALDGFQGTEIQEVLKISYNALDDRVKKVFLDIACFFKGENRKYVKDACGLDARYGIYVLIEKALVSVEGSYIQMHDLLEKMGKDIIEQESPTEAGGRSRLWFHEDVKHVLTNNTGTNKITGIMLNFPKQDDEIFLDVGKSFSKMKNLKILINHNVCLSGDTSSIPKNLRVLDWHGFPFQFFPPNFVPNGLVVLSLPYSRIKQLGEGLKHMENLTSLNFEGSKFLTEIPDLSSSQNLRYLNASRCTSLVEVHPSVRDLYKLEELDFRYCYELTKFPNEVGLKSLKLFYLYGCIKLESFPEIVDKMESLIVLNLGRTAIKELPSSIGNLTGLEQLYLPGCENLANLPQSIYGLQNLDSIVLDRCPKLVTLPNNLISEGLSSAESLPLEVRTNANSPRDGDFLEMYFEECNVSNIDSLENFCFWSNLMTLDLSESNFVSLPMCISKCVNLLELDLRGCKRLVEILVQLPASIATIDMADCISLERFSTLSKILEDEDTQCISYMDLSNCHRLCDNLGLDLSKMAKILLNQVTDMMMSEGIIVTLPHSGSEVPEWFTFVWEITESFVSPCYLEFNLDGYQDPKDSLSIETGEGNVWLKCIPISYYDQISKTPIFRVRVIGKGLHIKSIGAHLAPMSKGGDDDGKHIDENELDDDDDVGDEVRPRKRTKI >ONI05684 pep chromosome:Prunus_persica_NCBIv2:G5:2082589:2088024:1 gene:PRUPE_5G019000 transcript:ONI05684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRGASSSSARFTKSRKYHVFLSFRGLDTRSNFTSHLYSNLRLQGIKTFMDDDELRRGEEISNALLTAIEDSKISVVVFSKNYASSKWCLDELVKILDCKESNQQLVIPVFYKVNPSNVRNHRGSFGDALANMDCNNVEKLNRWKEALSQAGKLAGFTLSDEHRSEAELIHNIVQHISREVIDRTYLYVTEYPVGMHHSVEYIIELLNLRENDVRMVGVWGTGGIGKTTIATAVYNSIAHEFEGCSFLANVRDSKGYKLQRTLLSEILGDTNLKVANVHKGATMIKQRLSCRKVLLVLDDVDDMDQLHKLVGACDWFGVGSRIIITTRDKQLLTAHRVNLIHEVEILNDPEALELFCWHAFKRSGPPLDDYVKLAERAIRYAQGLPLALEVLGCCLCGGSIDKWEAALDGFQGTEIQEVLKISYNALDDRVKKVFLDIACFFKGENRKYVKDACGLDARYGIYVLIEKALVSVEGSYIQMHDLLEKMGKDIIEQESPTEAGGRSRLWFHEDVKHVLTNNTGTNKITGIMLNFPKQDDEIFLDVGKSFSKMKNLKILINHNVCLSGDTSSIPKNLRVLDWHGFPFQFFPPNFVPNGLVVLSLPYSRIKQLGEGLKHMENLTSLNFEGSKFLTEIPDLSSSQNLRYLNASRCTSLVEVHPSVRDLYKLEELDFRYCYELTKFPNEVGLKSLKLFYLYGCIKLESFPEIVDKMESLIVLNLGRTAIKELPSSIGNLTGLEQLYLPGCENLANLPQSIYGLQNLDSIVLDRCPKLVTLPNNLISEGLSSAESLPLEVRTNANSPRDGDFLEMYFEECNVSNIDSLENFCFWSNLMTLDLSESNFVSLPMCISKCVNLLELDLRGCKRLVEILVQLPASIATIDMADCISLERFSTLSKILEDEDTQCISYMDLSNCHRLCDNLGLDLSKMAKILLNQVTDMMMSEGIIVTLPHSGSEVPEWFTFGNDFDDYDESKFDYELPIKIPWTSVLETTKLVLFAVWEITESFVSPCYLEFNLDGYQDPKDSLSIETGEGNVWLKCIPISYYDQISKTPIFRVRVIGKGLHIKSIGAHLAPMSKGGDDDGKHIDENELDDDDDVGDEVRPRKRTKI >ONI05687 pep chromosome:Prunus_persica_NCBIv2:G5:2082813:2086352:1 gene:PRUPE_5G019000 transcript:ONI05687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRGASSSSARFTKSRKYHVFLSFRGLDTRSNFTSHLYSNLRLQGIKTFMDDDELRRGEEISNALLTAIEDSKISVVVFSKNYASSKWCLDELVKILDCKESNQQLVIPVFYKVNPSNVRNHRGSFGDALANMDCNNVEKLNRWKEALSQAGKLAGFTLSDEHRSEAELIHNIVQHISREVIDRTYLYVTEYPVGMHHSVEYIIELLNLRENDVRMVGVWGTGGIGKTTIATAVYNSIAHEFEGCSFLANVRDSKGYKLQRTLLSEILGDTNLKVANVHKGATMIKQRLSCRKVLLVLDDVDDMDQLHKLVGACDWFGVGSRIIITTRDKQLLTAHRVNLIHEVEILNDPEALELFCWHAFKRSGPPLDDYVKLAERAIRYAQGLPLALEVLGCCLCGGSIDKWEAALDGFQGTEIQEVLKISYNALDDRVKKVFLDIACFFKGENRKYVKDACGLDARYGIYVLIEKALVSVEGSYIQMHDLLEKMGKDIIEQESPTEAGGRSRLWFHEDVKHVLTNNTGTNKITGIMLNFPKQDDEIFLDVGKSFSKMKNLKILINHNVCLSGDTSSIPKNLRVLDWHGFPFQFFPPNFVPNGLVVLSLPYSRIKQLGEGLKHMENLTSLNFEGSKFLTEIPDLSSSQNLRYLNASRCTSLVEVHPSVRDLYKLEELDFRYCYELTKFPNEVGLKSLKLFYLYGCIKLESFPEIVDKMESLIVLNLGRTAIKELPSSIGNLTGLEQLYLPGCENLANLPQSIYGLQNLDSIVLDRCPKLVTLPNNLISEGLSSAESLPLEVRTNANSPRDGDFLEMYFEECNVSNIDSLENFCFWSNLMTLDLSESNFVSLPMCISKCVNLLELDLRGCKRLVEILVQLPASIATIDMADCISLERFSTLSKILEDEDTQCISYMDLSNCHRLCDNLGLDLSKMAKILLNQVTDMMMSEGIIVTLPHSGSEVPEWFTFDHKIGFVCSLGNYGIIC >ONI05686 pep chromosome:Prunus_persica_NCBIv2:G5:2082589:2088024:1 gene:PRUPE_5G019000 transcript:ONI05686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRGASSSSARFTKSRKYHVFLSFRGLDTRSNFTSHLYSNLRLQGIKTFMDDDELRRGEEISNALLTAIEDSKISVVVFSKNYASSKWCLDELVKILDCKESNQQLVIPVFYKVNPSNVRNHRGSFGDALANMDCNNVEKLNRWKEALSQAGKLAGFTLSDEHRSEAELIHNIVQHISREVIDRTYLYVTEYPVGMHHSVEYIIELLNLRENDVRMVGVWGTGGIGKTTIATAVYNSIAHEFEGCSFLANVRDSKGYKLQRTLLSEILGDTNLKVANVHKGATMIKQRLSCRKVLLVLDDVDDMDQLHKLVGACDWFGVGSRIIITTRDKQLLTAHRVNLIHEVEILNDPEALELFCWHAFKRSGPPLDDYVKLAERAIRYAQGLPLALEVLGCCLCGGSIDKWEAALDGFQGTEIQEVLKISYNALDDRVKKVFLDIACFFKGENRKYVKDACGLDARYGIYVLIEKALVSVEGSYIQMHDLLEKMGKDIIEQESPTEAGGRSRLWFHEDVKHVLTNNTGTNKITGIMLNFPKQDDEIFLDVGKSFSKMKNLKILINHNVCLSGDTSSIPKNLRVLDWHGFPFQFFPPNFVPNGLVVLSLPYSRIKQLGEGLKHMENLTSLNFEGSKFLTEIPDLSSSQNLRYLNASRCTSLVEVHPSVRDLYKLEELDFRYCYELTKFPNEVGLKSLKLFYLYGCIKLESFPEIVDKMESLIVLNLGRTAIKELPSSIGNLTGLEQLYLPGCENLANLPQSIYGLQNLDSIVLDRCPKLVTLPNNLISEGLSSAESLPLEVRTNANSPRDGDFLEMYFEECNVSNIDSLENFCFWSNLMTLDLSESNFVSLPMCISKCVNLLELDLRGCKRLVEILVQLPASIATIDMADCISLERFSTLSKILEDEDTQCISYMDLSNCHRLCDNLGLDLSKMAKILLNQVTDMMMSEGIIVTLPHSGSEVPEWFTFDHKIGFVCSLGNYGIIC >ONI08324 pep chromosome:Prunus_persica_NCBIv2:G5:14663077:14669010:1 gene:PRUPE_5G171800 transcript:ONI08324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGETTAEAASPDPSLSFDRKSDGDGGDASPRSPPSRYSSFGESEYERYCSANSVMGTPSMCSTITVFNDFPEPEFGSLRSSGFVDESGGLDNFSLGGRIERNREDRRVSGSDRIEFCKEDDSIECRRNTNYGSSGLELYGNEDAHGVDGLDELMSWKLESGSSVLRGGSQLKYGSDNSDEDSEKGMEVQRGVAGKGKDSAEFERVVARETNDSNWVGTSSQFVPRVEEFDGVKMEFCTSEFDGRAIDREEGTSSRNEYSEDEGSMYGSDDEKSGFSQQRNVHYHQQAKPENENPFLINSSVAFGSDDWDDFVQESHGSNIASLARNVFRHQIEQKVETERKVSNSTSLASVERQSTCQTEQGKYVTNVPTESQLVQADKKLAENVNSSMVPASSPNIIETERVEDVRDIPVASYQVQAIDDPIEFTESSFTTPTSFQNVQEPEQEGSRDIPLTKNQNPGPDEAAKESPDENGLNIMDDGISNAHTCVNAGDVIGIDDGQDLENKNLGNLKVKPNPLSDISTNQLSIYATRPPGNMKGEFLVDDKPSMPTSIFENKMRESSASEDLFENYPKPVKTDNFELNEFYDEVVNEMEEILLDSAESPGARFAHGNRILQSQQSLPLRDGGSTASTSGTDDAYLFNQNSLRIDGVEVVGARQRKGDVSFSERLVGVKEYTVYIIKVWSGKDQWEVERRYRDFYTLYRRLKTLFADHGWNLPSPWSSVEKESRKIFGNASPDVVAERSVLIQECLQSILHYRFFSSPPSALIWFLSPQDSFPSSMASNMADSNTKRAYTENFSTLGKTISLIVEIRQYKSLKQMLEAQHYTCAGCHKHFDDGKTLIRDFAQTFGWGKPRLCEYTGQLFCSSCHTNEIAIIPARVLHNWDFTQYPVSQLAKSYLDSIHDQPMLCVSAVNPFLFSKVPALLHVMGVRKKIGTMLPYVRCPFRRSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAVLPVIVETVSRKILGHITEQCLICCDVGVPCGARQACNDPSSLIFPFQEDEIERCPSCESVFHKHCFRKLMDCMCGAHLRVDEPAQLIKRATSGVGAEISGLLDLFGGGSSSGLLSGIFSKVKPEKPREHKDGDNVILMGSFPSTSL >ONI08325 pep chromosome:Prunus_persica_NCBIv2:G5:14663553:14667587:1 gene:PRUPE_5G171800 transcript:ONI08325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGETTAEAASPDPSLSFDRKSDGDGGDASPRSPPSRYSSFGESEYERYCSANSVMGTPSMCSTITVFNDFPEPEFGSLRSSGFVDESGGLDNFSLGGRIERNREDRRVSGSDRIEFCKEDDSIECRRNTNYGSSGLELYGNEDAHGVDGLDELMSWKLESGSSVLRGGSQLKYGSDNSDEDSEKGMEVQRGVAGKGKDSAEFERVVARETNDSNWVGTSSQFVPRVEEFDGVKMEFCTSEFDGRAIDREEGTSSRNEYSEDEGSMYGSDDEKSGFSQQRNVHYHQQAKPENENPFLINSSVAFGSDDWDDFVQESHGSNIASLARNVFRHQIEQKVETERKVSNSTSLASVERQSTCQTEQGKYVTNVPTESQLVQADKKLAENVNSSMVPASSPNIIETERVEDVRDIPVASYQVQAIDDPIEFTESSFTTPTSFQNVQEPEQEGSRDIPLTKNQNPGPDEAAKESPDENGLNIMDDGISNAHTCVNAGDVIGIDDGQDLENKNLGNLKVKPNPLSDISTNQLSIYATRPPGNMKGEFLVDDKPSMPTSIFENKMRESSASEDLFENYPKPVKTDNFELNEFYDEVVNEMEEILLDSAESPGARFAHGNRILQSQQSLPLRDGGSTASTSGTDDAYLFNQNSLRIDGVEVVGARQRKGDVSFSERLVGVKEYTVYIIKVWSGKDQWEVERRYRDFYTLYRRLKTLFADHGWNLPSPWSSVEKESRKIFGNASPDVVAERSVLIQECLQSILHYRFFSSPPSALIWFLSPQDSFPSSMASNMADSNTKRAYTENFSTLGKTISLIVEIRQYKSLKQMLEAQHYTCAGCHKHFDDGKTLIRDFAQTFGWGKPRLCEYTGQLFCSSCHTNEIAIIPARVLHNWDFTQYPVSQLAKSYLDSIHDQGKKNELKGVSSTSPCFVSVLLIHSFSQRSQPCFMLWVSGRKLAPCFLMFVVHSGGQSTKDLGLGDIF >ONI06733 pep chromosome:Prunus_persica_NCBIv2:G5:9114070:9118737:1 gene:PRUPE_5G077400 transcript:ONI06733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAKLNQLKHFVEQCKADPCVLSDPSLLFFRDYLESLGATIPAHSHSHGDSKSKSYVVEESDDDMAEAEAAQVHVEEEEEDEIIESDVELEGDTVEPDNEPPQKMGDASVEVSDENRETSQAAKSKAVEAISEGNLEEAIEHLTEAILLNPTSAIMYGTRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGHWEEAAKDLHLASKLDYDEEISAVLKKVEPNVHRIEEHRRKYDRLRKEREDKRIERERQRRRAEAQAAYDKAKKQEQSSSSRRPGGMPGGFPGGMPGGFPGMPGGFPAGMGGGFPGGMPGGFPAGMGGGFPGGASGGASGGSPRGGAPGGFPGSTPGGVPGNVDFSKILNDPELMSAFSDPEVMAALQDVMKNPANLAKHQANPKVAPIIEKMMSKFGGPK >ONI09356 pep chromosome:Prunus_persica_NCBIv2:G5:17767490:17771663:-1 gene:PRUPE_5G234000 transcript:ONI09356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFATRKLIFALLIVFLYPLSLFARPYFLVLSKDDLLNTPNSPDDSTQNDSPEWDEFGDSGSPQSEEELDPGSWRPIFEPDPFRPDLANNPDERYYSTVTKLIKSVSSGDTTLMDDAVSEIEESASRGLPHARSVLGFLYATGQMRKQNKAKAFTYHYFASEGGNMQSKMALAYTYSRQDMFDKAVKLYSELAEAAVNSFLISKDSPVIEPVRIHNGAEENKEALRKSRGEEDEDFQILEYQAQKGNSAAMYKIGLFYYFGLRGLRRDHAKALSWFLKALEKGEPRAMELLGEIYARGAGVERNYTKALEWLTLAAKQELYSAYNGMGYLYVKGYGVEKKNLTKAKEYFEKAADNEDAGGHYNLGVMYLKGIGVTRDVKLACQYFIVAANAGQPKAFYQLGKMFHTGVGLKKNLPRATVLYKLVAERGPWNSLSRWALESYLKGDMGKAFFLYSRMAELGYEVAQSNAAWILDKYGERSMCIGESGFCTDAERHQRAHSLWWQASEQGNEHAALLIGDAYYYGQGTERDYDRAAEAYKHARSQSNAQAMFNLGYMHEHGQGLPLDLHLAKRYYDQALEIDQAAKLPVTLALTSLWIRKNYADGFLVHVIDSLPEVYPKVEEWVDNVLLEEGNATILTLFVCLLTVLYLRERQRRHAVAAPGGMAVPHHPNEHVAPAPV >ONI09357 pep chromosome:Prunus_persica_NCBIv2:G5:17768622:17771663:-1 gene:PRUPE_5G234000 transcript:ONI09357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFATRKLIFALLIVFLYPLSLFARPYFLVLSKDDLLNTPNSPDDSTQNDSPEWDEFGDSGSPQSEEELDPGSWRPIFEPDPFRPDLANNPDERYYSTVTKLIKSVSSGDTTLMDDAVSEIEESASRGLPHARSVLGFLYATGQMRKQNKAKAFTYHYFASEGGNMQSKMALAYTYSRQDMFDKAVKLYSELAEAAVNSFLISKDSPVIEPVRIHNGAEENKEALRKSRGEEDEDFQILEYQAQKGNSAAMYKIGLFYYFGLRGLRRDHAKALSWFLKALEKGEPRAMELLGEIYARGAGVERNYTKALEWLTLAAKQELYSAYNGMGYLYVKGYGVEKKNLTKAKEYFEKAADNEDAGGHYNLGVMYLKGIGVTRDVKLACQYFIVAANAGQPKAFYQLGKMFHTGVGLKKNLPRATVLYKLVAERGPWNSLSRWALESYLKGDMGKAFFLYSRMAELGYEVAQSNAAWILDKYGERSMCIGESGFCTDAERHQRAHSLWWQASEQGNEHAALLIGDAYYYGQGTERDYDRAAEAYKHARSQSNAQAMFNLGYMHEHGQGLPLDLHLAKRYYDQALEIDQAAKLPVTLALTSLWIRKNYADGFLTVLPTPTGS >ONI06950 pep chromosome:Prunus_persica_NCBIv2:G5:10182896:10187096:-1 gene:PRUPE_5G091400 transcript:ONI06950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMESMAAAIGVSVAVLRFLLCFVFTIPVSFLWRLVPSPPAKHLYAAVSGALLSYLSFGFSSNLHFLVPMLLGYASMVFARSHCGIITFILSFGYLIGCHVVYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEGLRESQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEIKDYLDWTEGKGIWSHTEEGLSPSPYGATIRALLQAAFCMALYLYLVPQFPLSRFTDPIYQEWGFWKRLGYQYMSGFSARWKYYFIWSISEASIILSGLGFTGWTESSPPKPRWDRAKNVDILGVEFAKSSVQLPLVWNIQVSTWLRHYVYERLVKPGKKAGFFQLLTTQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQAVPQNMDAVKNILVFINFAYTLLVLNYSCVGFIVLSLRETLASYGSVHFIGTILPIALILLSYVIKPPRPARSKARKEE >ONI06953 pep chromosome:Prunus_persica_NCBIv2:G5:10182896:10186075:-1 gene:PRUPE_5G091400 transcript:ONI06953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSHVVYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEGLRESQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEIKDYLDWTEGKGIWSHTEEGLSPSPYGATIRALLQAAFCMALYLYLVPQFPLSRFTDPIYQEWGFWKRLGYQYMSGFSARWKYYFIWSISEASIILSGLGFTGWTESSPPKPRWDRAKNVDILGVEFAKSSVQLPLVWNIQVSTWLRHYVYERLVKPGKKAGFFQLLTTQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQAVPQNMDAVKNILVFINFAYTLLVLNYSCVGFIVLSLRETLASYGSVHFIGTILPIALILLSYVIKPPRPARSKARKEE >ONI06952 pep chromosome:Prunus_persica_NCBIv2:G5:10183086:10185967:-1 gene:PRUPE_5G091400 transcript:ONI06952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSHVVYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEGLRESQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEIKDYLDWTEGKGIWSHTEEGLSPSPYGATIRALLQAAFCMALYLYLVPQFPLSRFTDPIYQEWGFWKRLGYQYMSGFSARWKYYFIWSISEASIILSGLGFTGWTESSPPKPRWDRAKNVDILGVEFAKSSVQLPLVWNIQVSTWLRHYVYERLVKPGKKAGFFQLLTTQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQAVPQNMDAVKNILVFINFAYTLLVLNYSCVGFIVLSLRETLASYGSVHFIGTILPIALILLSYVIKPPRPARSKARKEE >ONI06951 pep chromosome:Prunus_persica_NCBIv2:G5:10182946:10186988:-1 gene:PRUPE_5G091400 transcript:ONI06951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMESMAAAIGVSVAVLRFLLCFVFTIPVSFLWRLVPSPPAKHLYAAVSGALLSYLSFGFSSNLHFLVPMLLGYASMVFARSHCGIITFILSFGYLIGCHVVYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEGLRESQKKNRLIKLPSLIEYFGYCLCCGSHFAGPVYEIKDYLDWTEGKGIWSHTEEGLSPSPYGATIRALLQAAFCMALYLYLVPQFPLSRFTDPIYQEWGFWKRLGYQYMSGFSARWKYYFIWSISEASIILSGLGFTGWTESSPPKPRWDRAKNVDILGVEFAKSSVQLPLVWNIQVSTWLRHYVYERLVKPGKKAGFFQLLTTQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQAVPQNMDAVKNILVFINFAYTLLVLNYSCVGFIVLSLRETLASYGSVHFIGTILPIALILLSYVIKPPRPARSKARKEE >ONI07439 pep chromosome:Prunus_persica_NCBIv2:G5:12049783:12056583:1 gene:PRUPE_5G120700 transcript:ONI07439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLGNIKIIKDHFKASAPSIDSLQDIASSSVSQPRTDNYLRSHSRLWSRRKLRSAAYMLKFNFFSMRGLPWLSSTDGEEKVVLTAVELESLRSDLADLEEKEAHLKAQLEHVDEILRSARLSGYLYIRTRWKPLPGEPPPLDDTDVDDWLPRFVVLQGPCIFFYLFSTDLSPQDSTLLSDIVEIGPLPSVTRDDEGTQYAFYILTSQGLRFECSSASKVQVDSWLSALQTDCKLGGSDATTAPNGACEISASRAARSFLSAAPKSSRFYSEGRAVAAAAAVTLSAKVPVFASNFGRAGSENVSRGWISGALALPAAAYMLVDQEVHAAELERTFIAIKPDGVQRGLIAEIISRFERKGFKLVGIKVVVPTKDFAQKHYHDLKERPFFNGLCDFLSSGPVIGMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEINLWFKPNELVSYTSNAEKWVYGEN >ONI05875 pep chromosome:Prunus_persica_NCBIv2:G5:3216593:3219560:-1 gene:PRUPE_5G027600 transcript:ONI05875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGGGNSKGKEVREVNSPKVKQLSHGVADISLDSAQDDGEWAVISRKSKNRAGSSAAKLVQLGPQNSNYKAWAPAHDAQKPSMRNGTGGSQTANSQKPAGGRNARQQPNSTGGFGSNFVARQHLIPPPLDHGWNWQSRAGKKDNNVAAALADDNDDDNSDHMSDTDEYDDELFSDEFDSDSSEKSHGTRKQNRWFKKFFENLDGLSGGEIGNPDRQWHCPACKGGRGAIDWYQGVQPLKRHAETKGSNRVKLHREFAKLLDVELQMRGVMTQSVAAGEVFGKWVGLKDEEKDSKIVWPPMVVITNTRLEKDESGKWIGMGTEELAEYFGSYPYLKTRHSYGPQGHCGMSILIFEASAIGHFEAGLLHKNFAVQGLGRDTWDCGPILFRAGGIRQLYGYMAVKRDLDIFNQHTEEKSKLKFELRSYQEVVLHPMRQMSADSQQVIWLKDKLPIEQRLRKDAEQTNEILRDKLEKVQEENRILKRRIKMQLEENKEEMDLQEEFYKDIMSRTRD >ONI05876 pep chromosome:Prunus_persica_NCBIv2:G5:3217168:3218715:-1 gene:PRUPE_5G027600 transcript:ONI05876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGGGNSKGKEVREVNSPKVKQLSHGVADISLDSAQDDGEWAVISRKSKNRAGSSAAKLVQLGPQNSNYKAWAPAHDAQKPSMRNGTGGSQTANSQKPAGGRNARQQPNSTGGFGSNFVARQHLIPPPLDHGWNWQSRAGKKDNNVAAALADDNDDDNSDHMSDTDEYDDELFSDEFDSDSSEKSHGTRKQNRWFKKFFENLDGLSGGEIGNPDRQWHCPACKGGRGAIDWYQGVQPLKRHAETKGSNRVKLHREFAKLLDVELQMRGVMTQSVAAGEVFGKWVGLKDEEKDSKIVWPPMVVITNTRLEKDESGKWIGMGTEELAEYFGSYPYLKTRHSYGPQGHCGMSILIFEASAIGHFEAGLLHKNFAVQGLGRDTWDCGPILFRAGGIRQLYGYMAVKRDLDIFNQHTEEKSKLKFELRSYQEVVLHPMRQMSADSQQVIWLKDKLPIEQRLRKDAEQTNEILRDKLEKVQEENRILKRRIKMQLEENKEEMDLQEEFYKDIMSRTRD >ONI08861 pep chromosome:Prunus_persica_NCBIv2:G5:16396850:16400686:-1 gene:PRUPE_5G204600 transcript:ONI08861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSERRCHYEVLGLSRDCSPDEIRSAYKKLALQRHPDKLVQSGLSQAQATAQFQELSQAYEVLSDPKERAWYDSHRSQILFADPNSANSVPDSVIPNLFSFFSTTVFSGYSDSGRGFYKVYADVFNKIYANELSYVRKLGLGLDTVREAPAMGNLESPYAQVTAFYNYWLGFCTVMDFFWVDQYDVMSGPNRKVRRLMEEDNKKLRKKAKREYNDTVRGLAEFVKKRDKRVMDMMVKREEERERKREEEREKKKKLEKEKLERAMAYEEPEWAKVVEEEEGGNGVEEMEQEEEERRKELYCVVCGKKFKSEKQWKNHEQSKKHRDKVAEFRESIGDEEFDAQEILEGEAEERGEVDDIDGVDTDELGEGIREGLKIGEEENGVGVSDREDKFYEVGDENEREKVDEAVGLDGDDGEDEMGVLEAMLAGRNSRKNAALRVEQEDSFVTDIHVENDDDEVEFMEYDNRKSTRRKGGARKDRSKKSSGESKKVGRSEINSDRGEELNEHDNSHIEESASHSFVKKESNDKGDDQLARKKKSSSQPVDKKENVKKEANSKSKNSSKGKKAKAASKNSSNLCDTCGEEFDSRNQLHKHLGDTGHAKLKY >ONI08860 pep chromosome:Prunus_persica_NCBIv2:G5:16398190:16400654:-1 gene:PRUPE_5G204600 transcript:ONI08860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSERRCHYEVLGLSRDCSPDEIRSAYKKLALQRHPDKLVQSGLSQAQATAQFQELSQAYEVLSDPKERAWYDSHRSQILFADPNSANSVPDSVIPNLFSFFSTTVFSGYSDSGRGFYKVYADVFNKIYANELSYVRKLGLGLDTVREAPAMGNLESPYAQVTAFYNYWLGFCTVMDFFWVDQYDVMSGPNRKVRRLMEEDNKKLRKKAKREYNDTVRGLAEFVKKRDKRVMDMMVKREEERERKREEEREKKKKLEKEKLERAMAYEEPEWAKVVEEEEGGNGVEEMEQEEEERRKELYCVVCGKKFKSEKQWKNHEQSKKHRDKVAEFRESIGDEEFDAQEILEGEAEERGEVDDIDGVDTDELGEGIREGLKIGEEENGVGVSDREDKFYEVGDENEREKVDEAVGLDGDDGEDEMGVLEAMLAGRNSRKNAALRVEQEDSFVTDIHVENDDDEVEFMEYDNRKSTRRKGGARKDRSKKSSGESKKVGRSEINSDRGEELNEHDNSHIEESASHSFVKKESNDKGDDQLARKKKSSSQPVDKKENVKKEANSKSKNSSKGKKAKAASKNSSNLCDTCGEEFDSRNQLHKHLGDTGHAKLKY >ONI08859 pep chromosome:Prunus_persica_NCBIv2:G5:16398190:16400654:-1 gene:PRUPE_5G204600 transcript:ONI08859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSERRCHYEVLGLSRDCSPDEIRSAYKKLALQRHPDKLVQSGLSQAQATAQFQELSQAYEVLSDPKERAWYDSHRSQILFADPNSANSVPDSVIPNLFSFFSTTVFSGYSDSGRGFYKVYADVFNKIYANELSYVRKLGLGLDTVREAPAMGNLESPYAQVTAFYNYWLGFCTVMDFFWVDQYDVMSGPNRKVRRLMEEDNKKLRKKAKREYNDTVRGLAEFVKKRDKRVMDMMVKREEERERKREEEREKKKKLEKEKLERAMAYEEPEWAKVVEEEEGGNGVEEMEQEEEERRKELYCVVCGKKFKSEKQWKNHEQSKKHRDKVAEFRESIGDEEFDAQEILEGEAEERGEVDDIDGVDTDELGEGIREGLKIGEEENGVGVSDREDKFYEVGDENEREKVDEAVGLDGDDGEDEMGVLEAMLAGRNSRKNAALRVEQEDSFVTDIHVENDDDEVEFMEYDNRKSTRRKGGARKDRSKKSSGESKKVGRSEINSDRGEELNEHDNSHIEESASHSFVKKESNDKGDDQLARKKKSSSQPVDKKENVKKEANSKSKNSSKGKKAKAASKNSSNLCDTCGEEFDSRNQLHKHLGDTGHAKLKY >ONI05841 pep chromosome:Prunus_persica_NCBIv2:G5:2955525:2964377:-1 gene:PRUPE_5G026100 transcript:ONI05841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWRELVRKMLPPGASIPEDASDLDYSIAMEYVGPPVSYDVPRVEPLDVSSRAIPTAEPLSESQRSVTNMGPPVIEPIPLPVSRIAGVTSSPTQSPRVSGSSESVVSVLQNPDFSSASPSASPGSVHNPPGIHPKQVSNEVKRAPVVTFNTVDRSQRKEVDVEQPVFTAYVGVSKEKKKKKSRVCYRCRKAKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRLKLGKQSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLRVANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCSLFSLPVPHGQPQGPRDEASNYTTVPNYLEQKKIQKLLLLGLHGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSRMEGHGSHDQNTKAGGEIDLDETTQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRDELHFLPDVAEYFLSQAVEVSGNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTENLEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWVAPDNSGSGTLLQNKMIQSKELFETMVRHPCFKDTPFVLILNKYDLFEDKVNQAPLSTCEWFNDFSPVKPHHNNQSLAHQAYFYVAMKFKDLYASITSRKLFVWQARARERVTIDEAFKYIREVLKWDEEKEETYYGGPEDSFYSTDMSSSPYVRQE >ONI05840 pep chromosome:Prunus_persica_NCBIv2:G5:2955723:2963242:-1 gene:PRUPE_5G026100 transcript:ONI05840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWRELVRKMLPPGASIPEDASDLDYSIAMEYVGPPVSYDVPRVEPLDVSSRAIPTAEPLSESQRSVTNMGPPVIEPIPLPVSRIAGVTSSPTQSPRVSGSSESVVSVLQNPDFSSASPSASPGSVHNPPGIHPKQVSNEVKRAPVVTFNTVDRSQRKEVDVEQPVFTAYVGVSKEKKKKKSRVCYRCRKAKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRLKLGKQSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLRVANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCSLFSLPVPHGQPQGPRDEASNYTTVPNYLEQKKIQKLLLLGLHGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSRMEGHGSHDQNTKAGGEIDLDETTQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRDELHFLPDVAEYFLSQAVEVSGNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTENLEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWVAPDNSGSGTLLQNKMIQSKELFETMVRHPCFKDTPFVLILNKYDLFEDKVNQAPLSTCEWFNDFSPVKPHHNNQSLAHQAYFYVAMKFKDLYASITSRKLFVWQARARERVTIDEAFKYIREVLKWDEEKEETYYGGPEDSFYSTDMSSSPYVRQE >ONI05839 pep chromosome:Prunus_persica_NCBIv2:G5:2955507:2964385:-1 gene:PRUPE_5G026100 transcript:ONI05839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWRELVRKMLPPGASIPEDASDLDYSIAMEYVGPPVSYDVPRVEPLDVSSRAIPTAEPLSESQRSVTNMGPPVIEPIPLPVSRIAGVTSSPTQSPRVSGSSESVVSVLQNPDFSSASPSASPGSVHNPPGIHPKQVSNEVKRAPVVTFNTVDRSQRKEVDVEQPVFTAYVGVSKEKKKKKSRVCYRCRKAKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRLKLGKQSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLRVANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCSLFSLPVPHGQPQGPRDEASNYTTVPNYLEQKKIQKLLLLGLHGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSRMEGHGSHDQNTKAGGEIDLDETTQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRDELHFLPDVAEYFLSQAVEVSGNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTENLEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWVAPDNSGSGTLLQNKMIQSKELFETMVRHPCFKDTPFVLILNKYDLFEDKVNQAPLSTCEWFNDFSPVKPHHNNQSLAHQAYFYVAMKFKDLYASITSRKLFVWQARARERVTIDEAFKYIREVLKWDEEKEETYYGGPEDSFYSTDMSSSPYVRQE >ONI05842 pep chromosome:Prunus_persica_NCBIv2:G5:2955525:2964380:-1 gene:PRUPE_5G026100 transcript:ONI05842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWRELVRKMLPPGASIPEDASDLDYSIAMEYVGPPVSYDVPRVEPLDVSSRAIPTAEPLSESQRSVTNMGPPVIEPIPLPVSRIAGVTSSPTQSPRVSGSSESVVSVLQNPDFSSASPSASPGSVHNPPGIHPKQVSNEVKRAPVVTFNTVDRSQRKEVDVEQPVFTAYVGVSKEKKKKKSRVCYRCRKAKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRLKLGKQSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLRVANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCSLFSLPVPHGQPQGPRDEASNYTTVPNYLEQKKIQKLLLLGLHGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSRMEGHGSHDQNTKAGGEIDLDETTQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRDELHFLPDVAEYFLSQAVEVSGNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTENLEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWVAPDNSGSGTLLQNKMIQSKELFETMVRHPCFKDTPFVLILNKYDLFEDKVNQAPLSTCEWFNDFSPVKPHHNNQSLAHQAYFYVAMKFKDLYASITSRKLFVWQARARERVTIDEAFKYIREVLKWDEEKEETYYGGPEDSFYSTDMSSSPYVRQE >ONI05845 pep chromosome:Prunus_persica_NCBIv2:G5:2955525:2964441:-1 gene:PRUPE_5G026100 transcript:ONI05845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWRELVRKMLPPGASIPEDASDLDYSIAMEYVGPPVSYDVPRVEPLDVSSRAIPTAEPLSESQRSVTNMGPPVIEPIPLPVSRIAGVTSSPTQSPRVSGSSESVVSVLQNPDFSSASPSASPGSVHNPPGIHPKQVSNEVKRAPVVTFNTVDRSQRKEVDVEQPVFTAYVGVSKEKKKKKSRVCYRCRKAKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRLKLGKQSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLRVANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCSLFSLPVPHGQPQGPRDEASNYTTVPNYLEQKKIQKLLLLGLHGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSRMEGHGSHDQNTKAGGEIDLDETTQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRDELHFLPDVAEYFLSQAVEVSGNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTENLEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWVAPDNSGSGTLLQNKMIQSKELFETMVRHPCFKDTPFVLILNKYDLFEDKVNQAPLSTCEWFNDFSPVKPHHNNQSLAHQAYFYVAMKFKDLYASITSRKLFVWQARARERVTIDEAFKYIREVLKWDEEKEETYYGGPEDSFYSTDMSSSPYVRQE >ONI05838 pep chromosome:Prunus_persica_NCBIv2:G5:2955525:2964394:-1 gene:PRUPE_5G026100 transcript:ONI05838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWRELVRKMLPPGASIPEDASDLDYSIAMEYVGPPVSYDVPRVEPLDVSSRAIPTAEPLSESQRSVTNMGPPVIEPIPLPVSRIAGVTSSPTQSPRVSGSSESVVSVLQNPDFSSASPSASPGSVHNPPGIHPKQVSNEVKRAPVVTFNTVDRSQRKEVDVEQPVFTAYVGVSKEKKKKKSRVCYRCRKAKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRLKLGKQSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLRVANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCSLFSLPVPHGQPQGPRDEASNYTTVPNYLEQKKIQKLLLLGLHGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSRMEGHGSHDQNTKAGGEIDLDETTQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRDELHFLPDVAEYFLSQAVEVSGNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTENLEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWVAPDNSGSGTLLQNKMIQSKELFETMVRHPCFKDTPFVLILNKYDLFEDKVNQAPLSTCEWFNDFSPVKPHHNNQSLAHQAYFYVAMKFKDLYASITSRKLFVWQARARERVTIDEAFKYIREVLKWDEEKEETYYGGPEDSFYSTDMSSSPYVRQE >ONI05843 pep chromosome:Prunus_persica_NCBIv2:G5:2955723:2963242:-1 gene:PRUPE_5G026100 transcript:ONI05843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWRELVRKMLPPGASIPEDASDLDYSIAMEYVGPPVSYDVPRVEPLDVSSRAIPTAEPLSESQRSVTNMGPPVIEPIPLPVSRIAGVTSSPTQSPRVSGSSESVVSVLQNPDFSSASPSASPGSVHNPPGIHPKQVSNEVKRAPVVTFNTVDRSQRKEVDVEQPVFTAYVGVSKEKKKKKSRVCYRCRKAKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRLKLGKQSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLRVANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCSLFSLPVPHGQPQGPRDEASNYTTVPNYLEQKKIQKLLLLGLHGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSRMEGHGSHDQNTKAGGEIDLDETTQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRDELHFLPDVAEYFLSQAVEVSGNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTENLEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWVAPDNSGSGTLLQNKMIQSKELFETMVRHPCFKDTPFVLILNKYDLFEDKVNQAPLSTCEWFNDFSPVKPHHNNQSLAHQAYFYVAMKFKDLYASITSRKLFVWQARARERVTIDEAFKYIREVLKWDEEKEETYYGGPEDSFYSTDMSSSPYVRQE >ONI05844 pep chromosome:Prunus_persica_NCBIv2:G5:2955525:2964386:-1 gene:PRUPE_5G026100 transcript:ONI05844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWRELVRKMLPPGASIPEDASDLDYSIAMEYVGPPVSYDVPRVEPLDVSSRAIPTAEPLSESQRSVTNMGPPVIEPIPLPVSRIAGVTSSPTQSPRVSGSSESVVSVLQNPDFSSASPSASPGSVHNPPGIHPKQVSNEVKRAPVVTFNTVDRSQRKEVDVEQPVFTAYVGVSKEKKKKKSRVCYRCRKAKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRLKLGKQSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLRVANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCSLFSLPVPHGQPQGPRDEASNYTTVPNYLEQKKIQKLLLLGLHGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSRMEGHGSHDQNTKAGGEIDLDETTQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRDELHFLPDVAEYFLSQAVEVSGNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTENLEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWVAPDNSGSGTLLQNKMIQSKELFETMVRHPCFKDTPFVLILNKYDLFEDKVNQAPLSTCEWFNDFSPVKPHHNNQSLAHQAYFYVAMKFKDLYASITSRKLFVWQARARERVTIDEAFKYIREVLKWDEEKEETYYGGPEDSFYSTDMSSSPYVRQE >ONI05837 pep chromosome:Prunus_persica_NCBIv2:G5:2955515:2963959:-1 gene:PRUPE_5G026100 transcript:ONI05837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWRELVRKMLPPGASIPEDASDLDYSIAMEYVGPPVSYDVPRVEPLDVSSRAIPTAEPLSESQRSVTNMGPPVIEPIPLPVSRIAGVTSSPTQSPRVSGSSESVVSVLQNPDFSSASPSASPGSVHNPPGIHPKQVSNEVKRAPVVTFNTVDRSQRKEVDVEQPVFTAYVGVSKEKKKKKSRVCYRCRKAKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRLKLGKQSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLRVANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCSLFSLPVPHGQPQGPRDEASNYTTVPNYLEQKKIQKLLLLGLHGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSRMEGHGSHDQNTKAGGEIDLDETTQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRDELHFLPDVAEYFLSQAVEVSGNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTENLEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWVAPDNSGSGTLLQNKMIQSKELFETMVRHPCFKDTPFVLILNKYDLFEDKVNQAPLSTCEWFNDFSPVKPHHNNQSLAHQAYFYVAMKFKDLYASITSRKLFVWQARARERVTIDEAFKYIREVLKWDEEKEETYYGGPEDSFYSTDMSSSPYVRQE >ONI05846 pep chromosome:Prunus_persica_NCBIv2:G5:2955525:2964377:-1 gene:PRUPE_5G026100 transcript:ONI05846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEGESWRELVRKMLPPGASIPEDASDLDYSIAMEYVGPPVSYDVPRVEPLDVSSRAIPTAEPLSESQRSVTNMGPPVIEPIPLPVSRIAGVTSSPTQSPRVSGSSESVVSVLQNPDFSSASPSASPGSVHNPPGIHPKQVSNEVKRAPVVTFNTVDRSQRKEVDVEQPVFTAYVGVSKEKKKKKSRVCYRCRKAKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEPIDESKRLKLGKQSRLLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLRVANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCSLFSLPVPHGQPQGPRDEASNYTTVPNYLEQKKIQKLLLLGLHGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYKYLSILLDGRERFEEEALSRMEGHGSHDQNTKAGGEIDLDETTQCVYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRDELHFLPDVAEYFLSQAVEVSGNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTENLEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRVVVFCVALSDYDQMWVAPDNSGSGTLLQNKMIQSKELFETMVRHPCFKDTPFVLILNKYDLFEDKVNQAPLSTCEWFNDFSPVKPHHNNQSLAHQAYFYVAMKFKDLYASITSRKLFVWQARARERVTIDEAFKYIREVLKWDEEKEETYYGGPEDSFYSTDMSSSPYVRQE >ONI09066 pep chromosome:Prunus_persica_NCBIv2:G5:16951653:16955895:1 gene:PRUPE_5G215700 transcript:ONI09066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDSEKRFHSIMDKLFFAPKSAPSSTSGSSSAQTSRGKKRANPSSALALVEPKSRSDRVEAAQHLSVPPAPAHAPLCRPWDRGDLMRRVATFKSMTWFAKPKVVSAINCARRGWINVDTDIIACESCGARLFFSTPSSWNQQQVEKAALVFSLKLDNGHKILCPWIDNTCDEILAEFPPTPPPVLVDKFRERCSALLQLSVLPVISSSAIQYMKSPQLEQFLGQSSMFYGNGSGDIARTEHSDNEGSADSAKLYYQAQKLISLCGWEPRSLPYVVDSENRLNHSVMKANISSSSYSATNGQNPSINVHSTSSDELVEVERNASTSSSIQSEPNSVVLDCKLCGASVGLWAFSTVPRPLEFFRLVGYAEVHSESHPGTPDSSTKNHLDNRVDTVGAGSDGATLSKERFSNLNLTIAGGPPPTKQNFKATISLPVIGRNLRARFSYDSEFRDCMSVSQEVMQSDSQMDKGDKHYRENAGNVGLENSEVRDPRTASDANITYENGETDKNDSLVMVSSEGKLLQSGIVVDGSKKQDSPSVPSNLEDNADVNSSITDAQPTSNCEGSENRVQIPINNELVACSSGKDLTHVLPGCTMEFDPIRQHRYFCPWIVSAGNGAPGWKQTLSALQRQEGGSPSSKSIIKVDDPVTSIRNLFTSPSPKRMKPTVLTRNTKQ >ONI07780 pep chromosome:Prunus_persica_NCBIv2:G5:13058334:13059867:1 gene:PRUPE_5G139200 transcript:ONI07780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRLLTTKSKWPALLEKCNHELEAKQFYELLSHAITSGVLAHDSFVSSKLLLRSLPHGLGFSRALFSQIQNPNVFACNFIFKAYSHSSSPQEVLCLYNFIRRRFPHLLPDNYSFPFLFKACGRLQLPHKGQELCRLTLILGLHDDVFVQNGLVSMYSACGLLESARKVFDLVSALFLGVYRGIATGMPLFEEMLSDGSTRPDKVTLVSALTASARLGSLGLGRKIHGLVLGSGFALDVFLGSSLIDMYAKCGRMDDARKVFDRVPHRNVVSWTSMIAGYTQSSSFKDAIELFREMQLGVEADAAMVACVISACGHSVKNALIDMYSKCGDIERALEIFHGMSSRDVFTWTAMSTGLAMNGDSVRALEMFSQMEASSNVRQNEVTFLGVLSACSHGGFVEKGFRYFKAMSEIYKLVPRIEHYGCMVDLLGRANLLNEAEKFIRAMPIHPDVVIWRSLLFACRTYGNTELAEFGTKKV >ONI06957 pep chromosome:Prunus_persica_NCBIv2:G5:10220439:10223389:-1 gene:PRUPE_5G091600 transcript:ONI06957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASFSACKSDPVGALPLQSKPSLGDLPESCAALILGYLDPPEICKLAKLNRAFRGASWADFIWESKLPSNYQTIVRKVFGDGLENLGKRDVYTRLCQPNSFDDGTKTVWLDKSTGSVCLSISSKGLAITGIDDRRYWNHIQTEESRFCSVAYLQQIWWLEVDGEVEFPFPAGTYSLFFRLQLGRSSQKRFGRRICNTEHVHGWDIKPVRFQLWTSEGHYASSQCFLTEPGKWNYYHVGDFVVENPNASTKIKLSMTQIDCTHTKGGLCLDSVLIYPSEFRERLKHF >ONI06351 pep chromosome:Prunus_persica_NCBIv2:G5:5888567:5893430:-1 gene:PRUPE_5G055300 transcript:ONI06351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHALAIIFTTLSLLKMAVAEEEFCSAPLIVDSGSNSKHLYWKVTNPTLSPSHLQDLPGFTRSVYKQDHALITPESHVFSPLPEWTMTLGAYLITPAMGSHFVMYLAKMQENSLSGLPPYDAERFIFVVQGAVTLTNVSGISHKLTVDSYAYLPPNVEHSLKCDGSATLVVFERRHASLENQPTEQIVGSTDQQPLLETPGEVFQLRKLIPTSIPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDAIWMAPFVPQWYAALGKSRSRYLLYKDVNRNPL >ONI06353 pep chromosome:Prunus_persica_NCBIv2:G5:5888567:5892214:-1 gene:PRUPE_5G055300 transcript:ONI06353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKARFIFVVQGAVTLTNVSGISHKLTVDSYAYLPPNVEHSLKCDGSATLVVFERRHASLENQPTEQIVGSTDQQPLLETPGEVFQLRKLIPTSIPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDAIWMAPFVPQWYAALGKSRSRYLLYKDVNRNPL >ONI06352 pep chromosome:Prunus_persica_NCBIv2:G5:5888275:5893751:-1 gene:PRUPE_5G055300 transcript:ONI06352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGAYLITPAMGSHFVMYLAKMQENSLSGLPPYDAERFIFVVQGAVTLTNVSGISHKLTVDSYAYLPPNVEHSLKCDGSATLVVFERRHASLENQPTEQIVGSTDQQPLLETPGEVFQLRKLIPTSIPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDAIWMAPFVPQWYAALGKSRSRYLLYKDVNRNPL >ONI06350 pep chromosome:Prunus_persica_NCBIv2:G5:5888275:5893751:-1 gene:PRUPE_5G055300 transcript:ONI06350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHALAIIFTTLSLLKMAVAEEEFCSAPLIVDSGSNSKHLYWKVTNPTLSPSHLQENSLSGLPPYDAERFIFVVQGAVTLTNVSGISHKLTVDSYAYLPPNVEHSLKCDGSATLVVFERRHASLENQPTEQIVGSTDQQPLLETPGEVFQLRKLIPTSIPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDAIWMAPFVPQWYAALGKSRSRYLLYKDVNRNPL >ONI06354 pep chromosome:Prunus_persica_NCBIv2:G5:5888739:5893482:-1 gene:PRUPE_5G055300 transcript:ONI06354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHALAIIFTTLSLLKMAVAEEEFCSAPLIVDSGSNSKHLYWKVTNPTLSPSHLQDLPGFTRSVYKQDHALITPESHVFSPLPEWTMTLGAYLITPAMGSHFVMYLAKMQENSLSGLPPYDAERFIFVVQGAVTLTNVSGISHKLTVDSYAYLPPNVEHSLKCDGSATLVVFERRHASLENQPTEQIVGSTDQQPLLETPGEVFQLRKLIPTSIPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDAIWMAPFVPQW >ONI05379 pep chromosome:Prunus_persica_NCBIv2:G5:545205:546335:1 gene:PRUPE_5G004200 transcript:ONI05379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMVPCGPSSRLSWPKLRRSRASEEWRGFERQPGRGGWESLRHEAMAMLHVDDDKVAAISARFGEKERRWLWRWRCGQKRWLI >ONI09072 pep chromosome:Prunus_persica_NCBIv2:G5:16967514:16971301:1 gene:PRUPE_5G216200 transcript:ONI09072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGANNAFKTFKDVEPKSMMDMGLIPTIDSVDIGLSSSEQGNATPSAKPRKKTMTSVYLKFFETAADGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGDVVTSSAPPPITVVRKHQPQSKAPQVDYNHLNWLLVKWLVLASLPPATLEEKWLANSYKFLNPSIQLWSSEEYRKTFHEVFRSMKEVVRASLEHVSSKVSITLEFWTSYEEIYYMSVTCHWIDENWSFQKMMLDICHIPYPCGGAEIYHSLVKVLRLYNIENRVLSCTHDNSQSSMHGYVDGQKVGPFCYIPCSAHVLNLIIDDGLRTTKPLISKIREFAIGLNASSEMSEDFTQFTAAYQESTWKMPLDTSTRWSGNYQMLDIVCKASKSMDAVIRKYETLGSRMLLSSAEKNAVSNVHRYLQPFYKTTNNMCTNKLPTVGLVLFFMDHISETIAACRDSHLHPDLLKNAAKEMAEKVRGYNNQVCNIIIYMTAVLDPRIKGELIPESLNAENFLDEARTHFIRNYSTSHFPSMTSGYSAQELEEGCNVSFAEEIARKKRRANMSSATDELTQYLSEPPAPIATDVLEWWKVNSMRYPRLSLMARDFLAVQAVSVAPEELFCGKGDEIYKQRFCMPHDSTQALLCIRSWLQGGMKLKYKTTEIDFERLMELATTAATADNTTPGSEKKQKY >ONI09073 pep chromosome:Prunus_persica_NCBIv2:G5:16966898:16971290:1 gene:PRUPE_5G216200 transcript:ONI09073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGANNAFKTFKDVEPKSMMDMGLIPTIDSVDIGLSSSEQGNATPSAKPRKKTMTSVYLKFFETAADGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGDVVTSSAPPPITVVRKHQPQSKAPQVDYNHLNWLLVKWLVLASLPPATLEEKWLANSYKFLNPSIQLWSSEEYRKTFHEVFRSMKEVVRASLEHVSSKVSITLEFWTSYEEIYYMSVTCHWIDENWSFQKMMLDICHIPYPCGGAEIYHSLVKVLRLYNIENRVLSCTHDNSQSSMHGYVDGQKVGPFCYIPCSAHVLNLIIDDGLRTTKPLISKIREFAIGLNASSEMSEDFTQFTAAYQESTWKMPLDTSTRWSGNYQMLDIVCKASKSMDAVIRKYETLGSRMLLSSAEKNAVSNVHRYLQPFYKTTNNMCTNKLPTVGLVLFFMDHISETIAACRDSHLHPDLLKNAAKEMAEKVRGYNNQVCNIIIYMTAVLDPRIKGELIPESLNAENFLDEARTHFIRNYSTSHFPSMTSGYSAQELEEGCNVSFAEEIARKKRRANMSSATDELTQYLSEPPAPIATDVLEWWKVNSMRYPRLSLMARDFLAVQAVSVAPEELFCGKGDEIYKQRFCMPHDSTQALLCIRSWLQGGMKLKYKTTEIDFERLMELATTAATADNTTPGSEKKQKY >ONI05398 pep chromosome:Prunus_persica_NCBIv2:G5:668929:672978:1 gene:PRUPE_5G005600 transcript:ONI05398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGVMTSREPFSVGGLQKSPLQSQAAIQNMRLNFSPDGSAAAALYKPVAAATSPTYQSSAAAGGSAPVPLAAGEGSPGAAVMAPAPAAAGLNMNMGSEPMKRKRGRPRKYGPDGTMALSLSPSAASVTVTQSSGGAFSPPPPHPPPPSVGSASPTSIKKARGRPPGSTKKQQLDALGSVGFGFSPHVITVKAGEDVSAKIMSFSQNGPRAVCILSANGAISNVTLRQPATSGGTVTYEGRFEILTLSGSFLLSESSGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFVADGRKEPKTTNQLEPVAPKLAPSSGPTGASSPQSRGTLSESSGGPGSPLNQSTGGCNNSNPQGMSSMPWK >ONI05397 pep chromosome:Prunus_persica_NCBIv2:G5:668431:673030:1 gene:PRUPE_5G005600 transcript:ONI05397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGVMTSREPFSVGGLQKSPLQSQAAIQNMRLNFSPDGSAAAALYKPVAAATSPTYQSSAAAGGSAPVPLAAGEGSPGAAVMAPAPAAAGLNMNMGSEPMKRKRGRPRKYGPDGTMALSLSPSAASVTVTQSSGGAFSPPPPHPPPPSVGSASPTSIKKARGRPPGSTKKQQLDALGSVGFGFSPHVITVKAGEDVSAKIMSFSQNGPRAVCILSANGAISNVTLRQPATSGGTVTYEGRFEILTLSGSFLLSESSGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVGSFVADGRKEPKTTNQLEPVAPKLAPSSGPTGASSPQSRGTLSESSGGPGSPLNQSTGGCNNSNPQGMSSMPWK >ONI08049 pep chromosome:Prunus_persica_NCBIv2:G5:13903742:13909011:-1 gene:PRUPE_5G155500 transcript:ONI08049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLQTPHQFFTFPKTLNPNPKPHPKQLHHHLQWRPLSFSKPKALSSDEFPVDETFLENFGPKDKETEDEARKRNWVERGWAPWEEVLTPEADFARKSLNEGEEVALQSPEAIEAFKMLRPSYRKKKMEEMGLTEDDYYRKQFEIKGNIPEPLKTVWAAPLVVRHVPPRDWPPRDWEVDKKELEYIREAHKLQAVRVGLEELEREATTETNDLCLDRYKVFLKQYKEWVAANKDRLEEESYKYDQDYHPGRRKRGKDYKEGMYELPFYYPGQICEGKVTAIHLYQGAFVDIGGVYDGWVPIKNNDWYWIRHHIKVGMPVIVEILAKRDPYRFRFPIEMRFVYPNIDHLIFNRFDFSPIFHRDEDTNPDELRRDCGRPPVPRKDPKDKPVEEPLLSNHPYVDKLWQIHVAEQMILNDLEANPEKYKGKKLSELTDTEEFNEENSVEYTKAYYKKTLIPKVILKTSVKELDLEAAFAERELRNRLRREAKERGEEYRVTNMRRNDEMDEYDFLHWRRSVEEREALIRDISCRKALGLPLEEPGRYVESSYFGKDQYDPANPLYRYDYWGEPKNSEKSKQERLTDAHNKAIVGKSTVWYEMSYDDCIKQRMQREAKGIKPREIDEEDSSGEDDDDDDDFDFSFLNETNVDLSDQPHVNGTESSRISDEGMFEEEGL >ONI06120 pep chromosome:Prunus_persica_NCBIv2:G5:4556981:4563794:-1 gene:PRUPE_5G041700 transcript:ONI06120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLHSKTTHLHLSPDDPSSLPDTHKPDSANGDQAELEAQAPVFKEYSLDELRKATNGFSSECIVSESGEKAPNVVYRGKLDNNRLVAVKRFSKQSWPDAQQFVQVEAVGVGKLRHKRLVNLVGCCAEGDERLLVAEYMPNDTLSKHLFHWDKKPLPWEMRVRVAYYIAQALDHCNIENRKIYHDLNAYRVLFDEDGDPRLSTFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIREKNVLLLMDSSLEGQYVNDDATKLVELASKCLQSEARDRGDIKFLLTVVAPLQKQKEAASHVLMGLPRNTVVVPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIEYYSKQLSLREEPSPT >ONI06118 pep chromosome:Prunus_persica_NCBIv2:G5:4557234:4563501:-1 gene:PRUPE_5G041700 transcript:ONI06118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLHSKTTHLHLSPDDPSSLPDTHKPDSANGDQAELEAQAPVFKEYSLDELRKATNGFSSECIVSESGEKAPNVVYRGKLDNNRLVAVKRFSKQSWPDAQQFVQVEAVGVGKLRHKRLVNLVGCCAEGDERLLVAEYMPNDTLSKHLFHWDKKPLPWEMRVRVAYYIAQALDHCNIENRKIYHDLNAYRVLFDEDGDPRLSTFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIREKNVLLLMDSSLEGQYVNDDATKLVELASKCLQSEARDRGDIKFLLTVVAPLQKQKEAASHVLMGLPRNTVVVPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIEYYSKLVAMMSGPSATVFARRAFSYLMNGQAELALRDAMQAQVCIPEWPTAFYLQALALSKLGMETDAQDMLNDGAAFEAKRHNTWRS >ONI06119 pep chromosome:Prunus_persica_NCBIv2:G5:4557422:4563501:-1 gene:PRUPE_5G041700 transcript:ONI06119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLHSKTTHLHLSPDDPSSLPDTHKPDSANGDQAELEAQAPVFKEYSLDELRKATNGFSSECIVSESGEKAPNVVYRGKLDNNRLVAVKRFSKQSWPDAQQFVVEAVGVGKLRHKRLVNLVGCCAEGDERLLVAEYMPNDTLSKHLFHWDKKPLPWEMRVRVAYYIAQALDHCNIENRKIYHDLNAYRVLFDEDGDPRLSTFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIREKNVLLLMDSSLEGQYVNDDATKLVELASKCLQSEARDRGDIKFLLTVVAPLQKQKEAASHVLMGLPRNTVVVPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIEYYSKQLSLREEPSPT >ONI06116 pep chromosome:Prunus_persica_NCBIv2:G5:4556981:4563794:-1 gene:PRUPE_5G041700 transcript:ONI06116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLHSKTTHLHLSPDDPSSLPDTHKPDSANGDQAELEAQAPVFKEYSLDELRKATNGFSSECIVSESGEKAPNVVYRGKLDNNRLVAVKRFSKQSWPDAQQFVVEAVGVGKLRHKRLVNLVGCCAEGDERLLVAEYMPNDTLSKHLFHWDKKPLPWEMRVRVAYYIAQALDHCNIENRKIYHDLNAYRVLFDEDGDPRLSTFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIREKNVLLLMDSSLEGQYVNDDATKLVELASKCLQSEARDRGDIKFLLTVVAPLQKQKEAASHVLMGLPRNTVVVPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIEYYSKAQVCIPEWPTAFYLQALALSKLGMETDAQDMLNDGAAFEAKRHNTWRS >ONI06117 pep chromosome:Prunus_persica_NCBIv2:G5:4557234:4563501:-1 gene:PRUPE_5G041700 transcript:ONI06117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLHSKTTHLHLSPDDPSSLPDTHKPDSANGDQAELEAQAPVFKEYSLDELRKATNGFSSECIVSESGEKAPNVVYRGKLDNNRLVAVKRFSKQSWPDAQQFVVEAVGVGKLRHKRLVNLVGCCAEGDERLLVAEYMPNDTLSKHLFHWDKKPLPWEMRVRVAYYIAQALDHCNIENRKIYHDLNAYRVLFDEDGDPRLSTFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIREKNVLLLMDSSLEGQYVNDDATKLVELASKCLQSEARDRGDIKFLLTVVAPLQKQKEAASHVLMGLPRNTVVVPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIEYYSKLVAMMSGPSATVFARRAFSYLMNGQAELALRDAMQAQVCIPEWPTAFYLQALALSKLGMETDAQDMLNDGAAFEAKRHNTWRS >ONI07424 pep chromosome:Prunus_persica_NCBIv2:G5:11993889:11995296:-1 gene:PRUPE_5G119600 transcript:ONI07424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIKIFTFFILFLFLLFLPHTVTKTTCGSSTCTAGGPLVKFPFQLRNYQASSCGYPGFDVSCHNNSQTILTLPSFGDFTVESIDYNGQVVTINDPDKCLPARLLNHETSLVEYSPFSYLSFGGPINYTFLNCSSSKPSILPARIISCLSTGYYKVIALPTSWLLPPDPILLPHCNAISTILVPTSLEWEHFNDGLELTWEVPDCRSCEVRGQACGLKNGKSLEIRCFGPSKIHSVRASSGPSRAAKYGIMMAIGIPPVLFIIWLVLYMCGRMMIEVNGQNHRHITELSIVTNQQLPTIVTGLDAPTIESYSTTLLGESWELPKPNDNTCPICLSEYQSKETLRTIPKCNHYFHANCVDKWLRLNATCPLCRNPQDRDNNISHLVIEI >ONI05649 pep chromosome:Prunus_persica_NCBIv2:G5:1813372:1815489:1 gene:PRUPE_5G016900 transcript:ONI05649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKANTIWVVLLVIATSVTLFSTGAEGKQCSTNQDCDKTSCVSRTVTKSKSDMEKATSKAAVFIVFLVIASCVPFFSEAMVIKRRCSTTADCETFPCYSKEFKRICINNLCECKIPNSDGSAQTTENENPCSSQKDCDKIDFLCRSGTLKCVNGKCICVGVN >ONI08567 pep chromosome:Prunus_persica_NCBIv2:G5:15401566:15403676:1 gene:PRUPE_5G185800 transcript:ONI08567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRDHQKTIVLKIWFVTPNGQIDLSLSDTFCFLLSKRSSSYPSEPIWKRKRDQIREMGMQLMRSSSYHRHLHPHGASKTPAFSASLHPFSSSIVLQIGFEHGSTRSTGSIKRRIQKHGIVASSNLAAPPWESWNPEKGSASPSLSDIVWPSAGAFAAMAILGRVDQILAPKGVSMTIAPLGAVCAVLFATPSSPAARKYNMFLAQIGCAAIGVLAFSIFGPGWLARSFALAASIAFMTYTRSPHPPAASLPILFIDGAKLHHLNFWYALFPGAAGCLLLCLIQEMVLYLQENFKF >ONI09285 pep chromosome:Prunus_persica_NCBIv2:G5:17542261:17544821:-1 gene:PRUPE_5G229100 transcript:ONI09285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFPMTGGDGPNSYAKNSISQRLAADGAKGMLVASIFENLDIQTLPSRPTTASSTIFRIADLGCSTGPNTFIQVKNIIDAVSQKYHQSAVELPELQVYFSDLVSNDFNYLFATLPRDRQYFAAGVPGSFHGRLFPKASLNFVYSAYALHWLSKLPQVLRDANSPAFNKGRILYGNAPYEVGQAYSAQYAKDIECFFHARGQELAPGGLMVLLIPGRPHGTLPAQGSLAPFFAPLEFTLADMVNEGLLSEDKFDSFNLPFYCPSVEELRTLIDENGCFDILKLEIQAAQTPAYPSVQQCRAGMESILKKHFGDEIIELLFDRYSKNKNIAGSASLVADDGLAVGFFVLVKRKYL >ONI06499 pep chromosome:Prunus_persica_NCBIv2:G5:7888703:7893812:1 gene:PRUPE_5G064600 transcript:ONI06499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMIWCLRHEFLENVRPSNANFTSWRSLVRERKSAAIKRSWPDAVNNSEAPTGQEGTLFIEDALVNLEIEQGNTVKLVEELKLASLQKDGVSSIFRSEIEGVAGCYPFENVRAAVDILFLCGSSDLVVAKQAIFLYYLFDRHWTMPDEQWRHIVEDFGATFGIPRHLLLESLIFYLLDDHTDEALQEACHLLPEISGPATHPKIAQVLLERGNPDTALSVLRWSGRDGTSKPISLSEAVTAVRVRVECGLFTEAFIHQRMLCTKVKENKLKCGQFGDVTDDSTCKYRGWEDWVEILVTEICVLCIRRNMVDRMIELPWNSDEEKHLHKCLLDYTIDDPSSIIGSLLVVFYIQRYRYSEAYHVDQILKNAEQEFISKNSVSEEVLSRMRSMSGWRTGLIDKCMELLPEVQRQQVKAGKFPEISGATSSEVEISATCPLPEVQVSKSTSLLIPSSVDISHALWTDHMNPSWKPSISETPKKRVALVDSYRSDLGNHGSSVLHERLFTNSEMQWKPDNSINKSFNFEDASTPEIHWATPPSAVKGGNRSSFKLLSNSHLQDNQYDKMSPETEKNRSFNPFRSTSPLHYYSANSNPVTTPSSNHVQFKDSAMNPHRVSSKAYYPDRDDRPWDMVSKDDSMDISLSYGEKSFGIEDRNLNHGPRWRSDETSDEEEEQSPQKAIDITHHTPTSTTRGVRRSRFSKR >ONI06497 pep chromosome:Prunus_persica_NCBIv2:G5:7886106:7893812:1 gene:PRUPE_5G064600 transcript:ONI06497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLNSCGHASLCAECSQRCDVCPICRIPIPKNGKKLRRRLYDQCSEARLISKKCDKRFQEKEDGEEHISADVQRLYSLFDVALENNLVSLICHYVTDVCLDESAVSSDPVIAFLLDEVVVKDWCKRTFQNLITELQGIYNLETEQMKSMLSALLKFSAQLAGISNVLDVLDSSFKGSLSAQLQDLHQLQESILKTTQHMEAMIWCLRHEFLENVRPSNANFTSWRSLVRERKSAAIKRSWPDAVNNSEAPTGQEGTLFIEDALVNLEIEQGNTVKLVEELKLASLQKDGVSSIFRSEIEGVAGCYPFENVRAAVDILFLCGSSDLVVAKQAIFLYYLFDRHWTMPDEQWRHIVEDFGATFGIPRHLLLESLIFYLLDDHTDEALQEACHLLPEISGPATHPKIAQVLLERGNPDTALSVLRWSGRDGTSKPISLSEAVTAVRVRVECGLFTEAFIHQRMLCTKVKENKLKCGQFGDVTDDSTCKYRGWEDWVEILVTEICVLCIRRNMVDRMIELPWNSDEEKHLHKCLLDYTIDDPSSIIGSLLVVFYIQRYRYSEAYHVDQILKNAEQEFISKNSVSEEVLSRMRSMSGWRTGLIDKCMELLPEVQRQQVKAGKFPEISGATSSEVEISATCPLPEVQVSKSTSLLIPSSVDISHALWTDHMNPSWKPSISETPKKRVALVDSYRSDLGNHGSSVLHERLFTNSEMQWKPDNSINKSFNFEDASTPEIHWATPPSAVKGGNRSSFKLLSNSHLQDNQYDKMSPETEKNRSFNPFRSTSPLHYYSANSNPVTTPSSNHVQFKDSAMNPHRVSSKAYYPDRDDRPWDMVSKDDSMDISLSYGEKSFGIEDRNLNHGPRWRSDETSDEEEEQSPQKAIDITHHTPTSTTRGVRRSRFSKR >ONI06496 pep chromosome:Prunus_persica_NCBIv2:G5:7887065:7893812:1 gene:PRUPE_5G064600 transcript:ONI06496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNHPYLPEDLQEALEHLASIDLIDLCNEAKVERCRATRDLRSCGRYVMDVLNSCGHASLCAECSQRCDVCPICRIPIPKNGKKLRRRLYDQCSEARLISKKCDKRFQEKEDGEEHISADVQRLYSLFDVALENNLVSLICHYVTDVCLDESAVSSDPVIAFLLDEVVVKDWCKRTFQNLITELQGIYNLETEQMKSMLSALLKFSAQLAGISNVLDVLDSSFKGSLSAQLQDLHQLQESILKTTQHMEAMIWCLRHEFLENVRPSNANFTSWRSLVRERKSAAIKRSWPDAVNNSEAPTGQEGTLFIEDALVNLEIEQGNTVKLVEELKLASLQKDGVSSIFRSEIEGVAGCYPFENVRAAVDILFLCGSSDLVVAKQAIFLYYLFDRHWTMPDEQWRHIVEDFGATFGIPRHLLLESLIFYLLDDHTDEALQEACHLLPEISGPATHPKIAQVLLERGNPDTALSVLRWSGRDGTSKPISLSEAVTAVRVRVECGLFTEAFIHQRMLCTKVKENKLKCGQFGDVTDDSTCKYRGWEDWVEILVTEICVLCIRRNMVDRMIELPWNSDEEKHLHKCLLDYTIDDPSSIIGSLLVVFYIQRYRYSEAYHVDQILKNAEQEFISKNSVSEEVLSRMRSMSGWRTGLIDKCMELLPEVQRQQVKAGKFPEISGATSSEVEISATCPLPEVQVSKSTSLLIPSSVDISHALWTDHMNPSWKPSISETPKKRVALVDSYRSDLGNHGSSVLHERLFTNSEMQWKPDNSINKSFNFEDASTPEIHWATPPSAVKGGNRSSFKLLSNSHLQDNQYDKMSPETEKNRSFNPFRSTSPLHYYSANSNPVTTPSSNHVQFKDSAMNPHRVSSKAYYPDRDDRPWDMVSKDDSMDISLSYGEKSFGIEDRNLNHGPRWRSDETSDEEEEQSPQKAIDITHHTPTSTTRGVRRSRFSKR >ONI06498 pep chromosome:Prunus_persica_NCBIv2:G5:7887377:7893812:1 gene:PRUPE_5G064600 transcript:ONI06498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQMFVWMKVQCPVILLLLFYWMKWLLRIGANEHSRTSSQNFKAFFLYYLFDRHWTMPDEQWRHIVEDFGATFGIPRHLLLESLIFYLLDDHTDEALQEACHLLPEISGPATHPKIAQVLLERGNPDTALSVLRWSGRDGTSKPISLSEAVTAVRVRVECGLFTEAFIHQRMLCTKVKENKLKCGQFGDVTDDSTCKYRGWEDWVEILVTEICVLCIRRNMVDRMIELPWNSDEEKHLHKCLLDYTIDDPSSIIGSLLVVFYIQRYRYSEAYHVDQILKNAEQEFISKNSVSEEVLSRMRSMSGWRTGLIDKCMELLPEVQRQQVKAGKFPEISGATSSEVEISATCPLPEVQVSKSTSLLIPSSVDISHALWTDHMNPSWKPSISETPKKRVALVDSYRSDLGNHGSSVLHERLFTNSEMQWKPDNSINKSFNFEDASTPEIHWATPPSAVKGGNRSSFKLLSNSHLQDNQYDKMSPETEKNRSFNPFRSTSPLHYYSANSNPVTTPSSNHVQFKDSAMNPHRVSSKAYYPDRDDRPWDMVSKDDSMDISLSYGEKSFGIEDRNLNHGPRWRSDETSDEEEEQSPQKAIDITHHTPTSTTRGVRRSRFSKR >ONI06065 pep chromosome:Prunus_persica_NCBIv2:G5:4253195:4260568:1 gene:PRUPE_5G038000 transcript:ONI06065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSCIRSLPARKKKFGLGLPHNPLKSNTNLVPNLYTERHRHPTKFGSFTTAFLNQIRIPSLGWVQWRSISILHPCILQATGKLCTLPTNELSDSDSSNDAIGHLEESHVDSDGDVTTKTIRRVYQTPVDFTKVNKNLLPTVVLIGRPNVGKSALFNRLIRRREALVYNTPNDHVTRDIREGIAKLGDLRFKVLDSAGLETAASSGSILDRTSGMTASVLARCQFAVFLIDVRAGLHPLDLEVGRWLRKHAPGINLVVAMNKSESLLDGSGSLMAAAAETYRLGFGDPIAISAETGLGMQDLYGSLKPKLEDYMLQVLNNEEGTEETNFSEDGSSCELEESKLQLQLAIVGRPNVGKSTLLNTLLQEERVLVGPEAGLTRDSIRAQFEFQGRTIYLVDTAGWLQRTKMEKGPASLSIVQTRKSLMRAHVIALVLDAEEIANSRRSLKHDEVVIARQAVEEGRGLVVVVNKMDLLRGKQLYDKVMEAVPQEIQTIMPQVTGIPIVFISALEGRGHAAVMHQVIDTYEKWCSRLSTARLNRWLRKVMSRHSWKDQAAQPKVKYFTQVKARPPTFVAFVSGKKELSETDIRFLKRSLKEDFDLGGIPIRIMPRTIERKAVNGTSKSGQIANRPSERMFSDKRKVCPVE >ONI06068 pep chromosome:Prunus_persica_NCBIv2:G5:4254198:4259537:1 gene:PRUPE_5G038000 transcript:ONI06068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSCIRSLPARKKKFGLGLPHNPLKSNTNLVPNLYTERHRHPTKFGSFTTAFLNQIRIPSLGWVQWRSISILHPCILQATGKLCTLPTNELSDSDSSNDAIGHLEESHVDSDGDVTTKTIRRVYQTPVDFTKVNKNLLPTVVLIGRPNVGKSALFNRLIRRREALVYNTPNDHVTRDIREGIAKLGDLRFKVLDSAGLETAASSGSILDRTSGMTASVLARCQFAVFLIDVRAGLHPLDLEVGRWLRKHAPGINLVVAMNKSESLLDGSGSLMAAAAETYRLGFGDPIAISAETGLGMQDLYGSLKPKLEDYMLQVLNNEEGTEETNFSEDGSSCELEESKLQLQLAIVGRPNVGKSTLLNTLLQEERVLVGPEAGLTRDSIRAQFEFQGRTIYLVDTAGWLQRTKMEKGPASLSIVQTRKSLMRAHVIALVLDAEEIANSRRSLKHDEVVIARQAVEEGRGLVVVVNKMDLLRGKQLYDKVMEAVPQEIQTIMPQYKRLKRFSYACPRLPKLIWGLCSDQKNNDIRYYEVTKYGLII >ONI06067 pep chromosome:Prunus_persica_NCBIv2:G5:4253287:4260568:1 gene:PRUPE_5G038000 transcript:ONI06067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSCIRSLPARKKKFGLGLPHNPLKSNTNLVPNLYTERHRHPTKFGSFTTAFLNQIRIPSLGWVQWRSISILHPCILQATGKLCTLPTNELSDSDSSNDAIGHLEESHVDSDGDVTTKTIRRVYQTPVDFTKVNKNLLPTVVLIGRPNVGKSALFNRLIRRREALVYNTPNDHVTRDIREGIAKLGDLRFKVLDSAGLETAASSGSILDRTSGMTASVLARCQFAVFLIDVRAGLHPLDLEVGRWLRKHAPGINLVVAMNKSESLLDGSGSLMAAAAETYRLGFGDPIAISAETGLGMQDLYGSLKPKLEDYMLQVLNNEEGTEETNFSEDGSSCELEESKLQLQLAIVGRPNVGKSTLLNTLLQEERVLVGPEAGLTRDSIRAQFEFQGRTIYLVDTAGWLQRTKMEKGPASLSIVQTRKSLMRAHVIALVLDAEEIANSRRSLKHDEVVIARQAVEEGRGLVVVVNKMDLLRGKQLYDKVMEAVPQEIQTIMPQVTGIPIVFISALEGRGHAAVMHQVIDTYEKWCSRLSTARLNRWLRKVMSRHSWKDQAAQPKVKYFTQVKARPPTFVAFVSGKKELSETDIRFLKRSLKEDFDLGGIPIRIMPRTIERKAVNGTSKSGQIANRPSERMFSDKRKVCPVE >ONI06066 pep chromosome:Prunus_persica_NCBIv2:G5:4253287:4260568:1 gene:PRUPE_5G038000 transcript:ONI06066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSCIRSLPARKKKFGLGLPHNPLKSNTNLVPNLYTERHRHPTKFGSFTTAFLNQIRIPSLGWVQWRSISILHPCILQATGKLCTLPTNELSDSDSSNDAIGHLEESHVDSDGDVTTKTIRRVYQTPVDFTKVNKNLLPTVVLIGRPNVGKSALFNRLIRRREALVYNTPNDHVTRDIREGIAKLGDLRFKVLDSAGLETAASSGSILDRTSGMTASVLARCQFAVFLIDVRAGLHPLDLEVGRWLRKHAPGINLVVAMNKSESLLDGSGSLMAAAAETYRLGFGDPIAISAETGLGMQDLYGSLKPKLEDYMLQVLNNEEGTEETNFSEDGSSCELEESKLQLQLAIVGRPNVGKSTLLNTLLQEERVLVGPEAGLTRDSIRAQFEFQGRTIYLVDTAGWLQRTKMEKGPASLSIVQTRKSLMRAHVIALVLDAEEIANSRRSLKHDEVVIARQAVEEGRGLVVVVNKMDLLRGKQLYDKVMEAVPQEIQTIMPQVTGIPIVFISALEGRGHAAVMHQVIDTYEKWCSRLSTARLNRWLRKVMSRHSWKDQAAQPKVKYFTQVKARPPTFVAFVSGKKELSETDIRFLKRSLKEDFDLGGIPIRIMPRTIERKAVNGTSKSGQIANRPSERMFSDKRKVCPVE >ONI06055 pep chromosome:Prunus_persica_NCBIv2:G5:4194916:4195767:-1 gene:PRUPE_5G037400 transcript:ONI06055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGSAPRYVHQLHRQDLHLQQQQQTDSEDDAVVNRSTGQFSAEDHHQGLDLGGINPGSGDIVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGNGCDVFDCVATYARRRQRGICILSGSGTVTNVSLRQPAAAGSVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGVQGQVVGGSVVGELTAAGPVIVIASSFTNVAYERLPLDEEEQLQVQVPQGSGGSGGGGVGVGNNPFPDPSSGLPFFNLPLNMQNVQLPIDGWAGNNSGGRPPF >ONI08024 pep chromosome:Prunus_persica_NCBIv2:G5:13820674:13823402:1 gene:PRUPE_5G153800 transcript:ONI08024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKARNMKTSTFTTNPLSPFFHLLPVTPLTTLLFSPKTNRLRHPKLCSKHPLTLSIFYLSLLFSFTFLGISILTLIPFFHDSIPCSTPSPTSSFSPSFFASLSSTVSFNNEDDQPRLSTSAMVPFPAHGAVGNVSEAEREFWQQPNGEGYEPCLDFSLGYRKLSARISKEKRRFLVVVASGGLNQQRNQIVDAVVLARILEAALVVPTLQVNLIWGDESEFSDIFDVAHFKKTLQADVRVVSSLPSTHLMSRQTIENKIPHEVTPQWIHTRFFNQLKREGLLVLKGLDSKLSKNLPPDLQKLRCKVAFHALRFASPVQELGNLLAKRMWIEGPYIAIHLRLEKDVWVRTGCLTGLGPEYDDIITKIRESQPEYLTGRVNMSYIQRRLAGLCPLNALEIARFLKALGAPSGARIYRAGGEAFGDSRALQPLVAEFPNLVTKEMLARDGELSPYMNKSSALAAIDYIVSLSSDVFVPSHGGNMGRAMQGHRAYVGHRKFIKPNKRAMLPHFEDASIGDAEFGSIMRELHRKSQGKPEPRGYRRNRDVIAYPVPECMCKHNAGIF >ONI09478 pep chromosome:Prunus_persica_NCBIv2:G5:18125956:18132060:1 gene:PRUPE_5G240600 transcript:ONI09478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCALQRTNSDIQKNSDTRRYSLSKKEQKSFRTSLDDCEVPYVTGRNSDRRCPILSVLFREPDGHWRTVALPPLCPDNINHLVSGTLVNMDALHLVYPPPINPFKVNRQKVQKWPPLDFTYSVKSFTGRRFTGSAVHHQSRNKTLANKATKWNELSRKSFHNGCSDSSSTIPNGSNSINSSTMSNKKINSIAKRSSRKKSRKKGKQSTKVSNEPEVLSEEYANGSSASEPCGNNDGDGQVSSSTATEISLPDSGPKNSETPNTCTSSSDEVGIPSIGNFENQLLLKDSGFPIFDEVDGIHTQVSCYSDMYTRGYSDMHDSFVLDSMSIGSNSGDSINAGHDEKHAEKEIFKIDISKPPGLSSGKGRFSCQRFLNDVVDNYDHTEEARHGIQGCRSNDMQLVVPNKRSKQNKVAPRTANVSKFGSNGNLHIRIGKENNHSVWQKVQRNDSSDCTGELKKASSVYSRLDLPLREAPLLKRTSNVADVNAFSKSEDKKQQKDKVSKKLKRKTGPPLKQEYNFYSRKGSHASIAGLDGCAKARMDQNDILDISSQLKDKKSLSLVSRSCSPPSCPRGGYQSSKVECMTSESVHNMKLCQNEMDHFESVCVGNKNSSVQRKWDSLSESNLLQVQSPVYLPHLLCNATSQEVQKEVSLAESSRQNSSSSGSLKHKWMPIGSKNPGLTSSTRSGSSSLEHSDEAASKRWALKDPAKGNVVSNTQNLVSKVAVGCTGQNSEDVTCSSDAIDGRLSKSSTIEDLANNKHDVANCINDSAVSKDLNVFEAESNRILEAVNNACRAQLASEAVQMATGRPIAEFERLLYYSSPVIHQSPNSISCHTCCSRNQVDQVGGVSLCRHETPHTTLGCLWQWYEKYGSYGLEIRAEEFGNSKRLGADHFAFRAYFVPYLSGIQLFRNGRSTDSVDINNRLHSSQELSTCRISKTPKKSSSIEQSSASAKDVSAQLADTTGSSDLELLFEYFESEQPQERRPLYDKIKELVRGDGLSHSKVYGDPTKLDSINLNDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVHRHAKFESRNVDSCIVSPVVGLRSYNAQDECWFQLRPSTLRQTTVTPGLNPCGVLEERLRTLEETASLMARAVVNKGSMTSVNRHPDYEFFLSRRRW >ONI09481 pep chromosome:Prunus_persica_NCBIv2:G5:18126139:18132060:1 gene:PRUPE_5G240600 transcript:ONI09481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCALQRTNSDIQKNSDTRRYSLSKKEQKSFRTSLDDCEVPYVTGRNSDRRCPILSVLFREPDGHWRTVALPPLCPDNINHLVSGTLVNMDALHLVYPPPINPFKVNRQKVQKWPPLDFTYSVKSFTGRRFTGSAVHHQSRNKTLANKATKWNELSRKSFHNGCSDSSSTIPNGSNSINSSTMSNKKINSIAKRSSRKKSRKKGKQSTKVSNEPEVLSEEYANGSSASEPCGNNDGDGQVSSSTATEISLPDSGPKNSETPNTCTSSSDEVSCYSDMYTRGYSDMHDSFVLDSMSIGSNSGDSINAGHDEKHAEKEIFKIDISKPPGLSSGKGRFSCQRFLNDVVDNYDHTEEARHGIQGCRSNDMQLVVPNKRSKQNKVAPRTANVSKFGSNGNLHIRIGKENNHSVWQKVQRNDSSDCTGELKKASSVYSRLDLPLREAPLLKRTSNVADVNAFSKSEDKKQQKDKVSKKLKRKTGPPLKQEYNFYSRKGSHASIAGLDGCAKARMDQNDILDISSQLKDKKSLSLVSRSCSPPSCPRGGYQSSKVECMTSESVHNMKLCQNEMDHFESVCVGNKNSSVQRKWDSLSESNLLQVQSPVYLPHLLCNATSQEVQKEVSLAESSRQNSSSSGSLKHKWMPIGSKNPGLTSSTRSGSSSLEHSDEAASKRWALKDPAKGNVVSNTQNLVSKVAVGCTGQNSEDVTCSSDAIDGRLSKSSTIEDLANNKHDVANCINDSAVSKDLNVFEAESNRILEAVNNACRAQLASEAVQMATGRPIAEFERLLYYSSPVIHQSPNSISCHTCCSRNQVDQVGGVSLCRHETPHTTLGCLWQWYEKYGSYGLEIRAEEFGNSKRLGADHFAFRAYFVPYLSGIQLFRNGRSTDSVDINNRLHSSQELSTCRISKTPKKSSSIEQSSASAKDVSAQLADTTGSSDLELLFEYFESEQPQERRPLYDKIKELVRGDGLSHSKVYGDPTKLDSINLNDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVHRHAKFESRNVDSCIVSPVVGLRSYNAQDECWFQLRPSTLRQTTVTPGLNPCGVLEERLRTLEETASLMARAVVNKGSMTSVNRHPDYEFFLSRRRW >ONI09480 pep chromosome:Prunus_persica_NCBIv2:G5:18125783:18132060:1 gene:PRUPE_5G240600 transcript:ONI09480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCALQRTNSDIQKNSDTRRYSLSKKEQKSFRTSLDDCEVPYVTGRNSDRRCPILSVLFREPDGHWRTVALPPLCPDNINHLVSGTLVNMDALHLVYPPPINPFKVNRQKVQKWPPLDFTYSVKSFTGRRFTGSAVHHQSRNKTLANKATKWNELSRKSFHNGCSDSSSTIPNGSNSINSSTMSNKKINSIAKRSSRKKSRKKGKQSTKVSNEPEVLSEEYANGSSASEPCGNNDGDGQVSSSTATEISLPDSGPKNSETPNTCTSSSDEVSCYSDMYTRGYSDMHDSFVLDSMSIGSNSGDSINAGHDEKHAEKEIFKIDISKPPGLSSGKGRFSCQRFLNDVVDNYDHTEEARHGIQGCRSNDMQLVVPNKRSKQNKVAPRTANVSKFGSNGNLHIRIGKENNHSVWQKVQRNDSSDCTGELKKASSVYSRLDLPLREAPLLKRTSNVADVNAFSKSEDKKQQKDKVSKKLKRKTGPPLKQEYNFYSRKGSHASIAGLDGCAKARMDQNDILDISSQLKDKKSLSLVSRSCSPPSCPRGGYQSSKVECMTSESVHNMKLCQNEMDHFESVCVGNKNSSVQRKWDSLSESNLLQVQSPVYLPHLLCNATSQEVQKEVSLAESSRQNSSSSGSLKHKWMPIGSKNPGLTSSTRSGSSSLEHSDEAASKRWALKDPAKGNVVSNTQNLVSKVAVGCTGQNSEDVTCSSDAIDGRLSKSSTIEDLANNKHDVANCINDSAVSKDLNVFEAESNRILEAVNNACRAQLASEAVQMATGRPIAEFERLLYYSSPVIHQSPNSISCHTCCSRNQVDQVGGVSLCRHETPHTTLGCLWQWYEKYGSYGLEIRAEEFGNSKRLGADHFAFRAYFVPYLSGIQLFRNGRSTDSVDINNRLHSSQELSTCRISKTPKKSSSIGSLPIFSVLFPHPDHKEHAVTPPLVNQLCISEQSSASAKDVSAQLADTTGSSDLELLFEYFESEQPQERRPLYDKIKELVRGDGLSHSKVYGDPTKLDSINLNDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVHRHAKFESRNVDSCIVSPVVGLRSYNAQDECWFQLRPSTLRQTTVTPGLNPCGVLEERLRTLEETASLMARAVVNKGSMTSVNRHPDYEFFLSRRRW >ONI09484 pep chromosome:Prunus_persica_NCBIv2:G5:18125910:18132060:1 gene:PRUPE_5G240600 transcript:ONI09484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCALQRTNSDIQKNSDTRRYSLSKKEQKSFRTSLDDCEVPYVTGRNSDRRCPILSVLFREPDGHWRTVALPPLCPDNINHLVSGRRFTGSAVHHQSRNKTLANKATKWNELSRKSFHNGCSDSSSTIPNGSNSINSSTMSNKKINSIAKRSSRKKSRKKGKQSTKVSNEPEVLSEEYANGSSASEPCGNNDGDGQVSSSTATEISLPDSGPKNSETPNTCTSSSDEVSCYSDMYTRGYSDMHDSFVLDSMSIGSNSGDSINAGHDEKHAEKEIFKIDISKPPGLSSGKGRFSCQRFLNDVVDNYDHTEEARHGIQGCRSNDMQLVVPNKRSKQNKVAPRTANVSKFGSNGNLHIRIGKENNHSVWQKVQRNDSSDCTGELKKASSVYSRLDLPLREAPLLKRTSNVADVNAFSKSEDKKQQKDKVSKKLKRKTGPPLKQEYNFYSRKGSHASIAGLDGCAKARMDQNDILDISSQLKDKKSLSLVSRSCSPPSCPRGGYQSSKVECMTSESVHNMKLCQNEMDHFESVCVGNKNSSVQRKWDSLSESNLLQVQSPVYLPHLLCNATSQEVQKEVSLAESSRQNSSSSGSLKHKWMPIGSKNPGLTSSTRSGSSSLEHSDEAASKRWALKDPAKGNVVSNTQNLVSKVAVGCTGQNSEDVTCSSDAIDGRLSKSSTIEDLANNKHDVANCINDSAVSKDLNVFEAESNRILEAVNNACRAQLASEAVQMATGRPIAEFERLLYYSSPVIHQSPNSISCHTCCSRNQVDQVGGVSLCRHETPHTTLGCLWQWYEKYGSYGLEIRAEEFGNSKRLGADHFAFRAYFVPYLSGIQLFRNGRSTDSVDINNRLHSSQELSTCRISKTPKKSSSIEQSSASAKDVSAQLADTTGSSDLELLFEYFESEQPQERRPLYDKIKELVRGDGLSHSKVYGDPTKLDSINLNDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVHRHAKFESRNVDSCIVSPVVGLRSYNAQDECWFQLRPSTLRQTTVTPGLNPCGVLEERLRTLEETASLMARAVVNKGSMTSVNRHPDYEFFLSRRRW >ONI09476 pep chromosome:Prunus_persica_NCBIv2:G5:18125788:18132060:1 gene:PRUPE_5G240600 transcript:ONI09476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCALQRTNSDIQKNSDTRRYSLSKKEQKSFRTSLDDCEVPYVTGRNSDRRCPILSVLFREPDGHWRTVALPPLCPDNINHLVSGTLVNMDALHLVYPPPINPFKVNRQKVQKWPPLDFTYSVKSFTGRRFTGSAVHHQSRNKTLANKATKWNELSRKSFHNGCSDSSSTIPNGSNSINSSTMSNKKINSIAKRSSRKKSRKKGKQSTKVSNEPEVLSEEYANGSSASEPCGNNDGDGQVSSSTATEISLPDSGPKNSETPNTCTSSSDEVGIPSIGNFENQLLLKDSGFPIFDEVDGIHTQVSCYSDMYTRGYSDMHDSFVLDSMSIGSNSGDSINAGHDEKHAEKEIFKIDISKPPGLSSGKGRFSCQRFLNDVVDNYDHTEEARHGIQGCRSNDMQLVVPNKRSKQNKVAPRTANVSKFGSNGNLHIRIGKENNHSVWQKVQRNDSSDCTGELKKASSVYSRLDLPLREAPLLKRTSNVADVNAFSKSEDKKQQKDKVSKKLKRKTGPPLKQEYNFYSRKGSHASIAGLDGCAKARMDQNDILDISSQLKDKKSLSLVSRSCSPPSCPRGGYQSSKVECMTSESVHNMKLCQNEMDHFESVCVGNKNSSVQRKWDSLSESNLLQVQSPVYLPHLLCNATSQEVQKEVSLAESSRQNSSSSGSLKHKWMPIGSKNPGLTSSTRSGSSSLEHSDEAASKRWALKDPAKGNVVSNTQNLVSKVAVGCTGQNSEDVTCSSDAIDGRLSKSSTIEDLANNKHDVANCINDSAVSKDLNVFEAESNRILEAVNNACRAQLASEAVQMATGRPIAEFERLLYYSSPVIHQSPNSISCHTCCSRNQVDQVGGVSLCRHETPHTTLGCLWQWYEKYGSYGLEIRAEEFGNSKRLGADHFAFRAYFVPYLSGIQLFRNGRSTDSVDINNRLHSSQELSTCRISKTPKKSSSIGSLPIFSVLFPHPDHKEHAVTPPLVNQLCISEQSSASAKDVSAQLADTTGSSDLELLFEYFESEQPQERRPLYDKIKELVRGDGLSHSKVYGDPTKLDSINLNDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVHRHAKFESRNVDSCIVSPVVGLRSYNAQDECWFQLRPSTLRQTTVTPGLNPCGVLEERLRTLEETASLMARAVVNKGSMTSVNRHPDYEFFLSRRRW >ONI09483 pep chromosome:Prunus_persica_NCBIv2:G5:18125910:18132060:1 gene:PRUPE_5G240600 transcript:ONI09483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCALQRTNSDIQKNSDTRRYSLSKKEQKSFRTSLDDCEVPYVTGRNSDRRCPILSVLFREPDGHWRTVALPPLCPDNINHLVSGRRFTGSAVHHQSRNKTLANKATKWNELSRKSFHNGCSDSSSTIPNGSNSINSSTMSNKKINSIAKRSSRKKSRKKGKQSTKVSNEPEVLSEEYANGSSASEPCGNNDGDGQVSSSTATEISLPDSGPKNSETPNTCTSSSDEVSCYSDMYTRGYSDMHDSFVLDSMSIGSNSGDSINAGHDEKHAEKEIFKIDISKPPGLSSGKGRFSCQRFLNDVVDNYDHTEEARHGIQGCRSNDMQLVVPNKRSKQNKVAPRTANVSKFGSNGNLHIRIGKENNHSVWQKVQRNDSSDCTGELKKASSVYSRLDLPLREAPLLKRTSNVADVNAFSKSEDKKQQKDKVSKKLKRKTGPPLKQEYNFYSRKGSHASIAGLDGCAKARMDQNDILDISSQLKDKKSLSLVSRSCSPPSCPRGGYQSSKVECMTSESVHNMKLCQNEMDHFESVCVGNKNSSVQRKWDSLSESNLLQVQSPVYLPHLLCNATSQEVQKEVSLAESSRQNSSSSGSLKHKWMPIGSKNPGLTSSTRSGSSSLEHSDEAASKRWALKDPAKGNVVSNTQNLVSKVAVGCTGQNSEDVTCSSDAIDGRLSKSSTIEDLANNKHDVANCINDSAVSKDLNVFEAESNRILEAVNNACRAQLASEAVQMATGRPIAEFERLLYYSSPVIHQSPNSISCHTCCSRNQVDQVGGVSLCRHETPHTTLGCLWQWYEKYGSYGLEIRAEEFGNSKRLGADHFAFRAYFVPYLSGIQLFRNGRSTDSVDINNRLHSSQELSTCRISKTPKKSSSIGSLPIFSVLFPHPDHKEHAVTPPLVNQLCISEQSSASAKDVSAQLADTTGSSDLELLFEYFESEQPQERRPLYDKIKELVRGDGLSHSKVYGDPTKLDSINLNDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVHRHAKFESRNVDSCIVSPVVGLRSYNAQDECWFQLRPSTLRQTTVTPGLNPCGVLEERLRTLEETASLMARAVVNKGSMTSVNRHPDYEFFLSRRRW >ONI09475 pep chromosome:Prunus_persica_NCBIv2:G5:18125919:18132060:1 gene:PRUPE_5G240600 transcript:ONI09475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCALQRTNSDIQKNSDTRRYSLSKKEQKSFRTSLDDCEVPYVTGRNSDRRCPILSVLFREPDGHWRTVALPPLCPDNINHLVSGTLVNMDALHLVYPPPINPFKVNRQKVQKWPPLDFTYSVKSFTGRRFTGSAVHHQSRNKTLANKATKWNELSRKSFHNGCSDSSSTIPNGSNSINSSTMSNKKINSIAKRSSRKKSRKKGKQSTKVSNEPEVLSEEYANGSSASEPCGNNDGDGQVSSSTATEISLPDSGPKNSETPNTCTSSSDEVGIPSIGNFENQLLLKDSGFPIFDEVDGIHTQVSCYSDMYTRGYSDMHDSFVLDSMSIGSNSGDSINAGHDEKHAEKEIFKIDISKPPGLSSGKGRFSCQRFLNDVVDNYDHTEEARHGIQGCRSNDMQLVVPNKRSKQNKVAPRTANVSKFGSNGNLHIRIGKENNHSVWQKVQRNDSSDCTGELKKASSVYSRLDLPLREAPLLKRTSNVADVNAFSKSEDKKQQKDKVSKKLKRKTGPPLKQEYNFYSRKGSHASIAGLDGCAKARMDQNDILDISSQLKDKKSLSLVSRSCSPPSCPRGGYQSSKVECMTSESVHNMKLCQNEMDHFESVCVGNKNSSVQRKWDSLSESNLLQVQSPVYLPHLLCNATSQEVQKEVSLAESSRQNSSSSGSLKHKWMPIGSKNPGLTSSTRSGSSSLEHSDEAASKRWALKDPAKGNVVSNTQNLVSKVAVGCTGQNSEDVTCSSDAIDGRLSKSSTIEDLANNKHDVANCINDSAVSKDLNVFEAESNRILEAVNNACRAQLASEAVQMATGRPIAEFERLLYYSSPVIHQSPNSISCHTCCSRNQVDQVGGVSLCRHETPHTTLGCLWQWYEKYGSYGLEIRAEEFGNSKRLGADHFAFRAYFVPYLSGIQLFRNGRSTDSVDINNRLHSSQELSTCRISKTPKKSSSIGSLPIFSVLFPHPDHKEHAVTPPLVNQLCISEQSSASAKDVSAQLADTTGSSDLELLFEYFESEQPQERRPLYDKIKELVRGDGLSHSKVYGDPTKLDSINLNDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVHRHAKFESRNVDSCIVSPVVGLRSYNAQDECWFQLRPSTLRQTTVTPGLNPCGVLEERLRTLEETASLMARAVVNKGSMTSVNRHPDYEFFLSRRRW >ONI09477 pep chromosome:Prunus_persica_NCBIv2:G5:18125788:18132060:1 gene:PRUPE_5G240600 transcript:ONI09477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCALQRTNSDIQKNSDTRRYSLSKKEQKSFRTSLDDCEVPYVTGRNSDRRCPILSVLFREPDGHWRTVALPPLCPDNINHLVSGTLVNMDALHLVYPPPINPFKVNRQKVQKWPPLDFTYSVKSFTGRRFTGSAVHHQSRNKTLANKATKWNELSRKSFHNGCSDSSSTIPNGSNSINSSTMSNKKINSIAKRSSRKKSRKKGKQSTKVSNEPEVLSEEYANGSSASEPCGNNDGDGQVSSSTATEISLPDSGPKNSETPNTCTSSSDEVGIPSIGNFENQLLLKDSGFPIFDEVDGIHTQVSCYSDMYTRGYSDMHDSFVLDSMSIGSNSGDSINAGHDEKHAEKEIFKIDISKPPGLSSGKGRFSCQRFLNDVVDNYDHTEEARHGIQGCRSNDMQLVVPNKRSKQNKVAPRTANVSKFGSNGNLHIRIGKENNHSVWQKVQRNDSSDCTGELKKASSVYSRLDLPLREAPLLKRTSNVADVNAFSKSEDKKQQKDKVSKKLKRKTGPPLKQEYNFYSRKGSHASIAGLDGCAKARMDQNDILDISSQLKDKKSLSLVSRSCSPPSCPRGGYQSSKVECMTSESVHNMKLCQNEMDHFESVCVGNKNSSVQRKWDSLSESNLLQVQSPVYLPHLLCNATSQEVQKEVSLAESSRQNSSSSGSLKHKWMPIGSKNPGLTSSTRSGSSSLEHSDEAASKRWALKDPAKGNVVSNTQNLVSKVAVGCTGQNSEDVTCSSDAIDGRLSKSSTIEDLANNKHDVANCINDSAVSKDLNVFEAESNRILEAVNNACRAQLASEAVQMATGRPIAEFERLLYYSSPVIHQSPNSISCHTCCSRNQVDQVGGVSLCRHETPHTTLGCLWQWYEKYGSYGLEIRAEEFGNSKRLGADHFAFRAYFVPYLSGIQLFRNGRSTDSVDINNRLHSSQELSTCRISKTPKKSSSIEQSSASAKDVSAQLADTTGSSDLELLFEYFESEQPQERRPLYDKIKELVRGDGLSHSKVYGDPTKLDSINLNDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVHRHAKFESRNVDSCIVSPVVGLRSYNAQDECWFQLRPSTLRQTTVTPGLNPCGVLEERLRTLEETASLMARAVVNKGSMTSVNRHPDYEFFLSRRRW >ONI09479 pep chromosome:Prunus_persica_NCBIv2:G5:18125911:18132060:1 gene:PRUPE_5G240600 transcript:ONI09479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCALQRTNSDIQKNSDTRRYSLSKKEQKSFRTSLDDCEVPYVTGRNSDRRCPILSVLFREPDGHWRTVALPPLCPDNINHLVSGTLVNMDALHLVYPPPINPFKVNRQKVQKWPPLDFTYSVKSFTGRRFTGSAVHHQSRNKTLANKATKWNELSRKSFHNGCSDSSSTIPNGSNSINSSTMSNKKINSIAKRSSRKKSRKKGKQSTKVSNEPEVLSEEYANGSSASEPCGNNDGDGQVSSSTATEISLPDSGPKNSETPNTCTSSSDEVSCYSDMYTRGYSDMHDSFVLDSMSIGSNSGDSINAGHDEKHAEKEIFKIDISKPPGLSSGKGRFSCQRFLNDVVDNYDHTEEARHGIQGCRSNDMQLVVPNKRSKQNKVAPRTANVSKFGSNGNLHIRIGKENNHSVWQKVQRNDSSDCTGELKKASSVYSRLDLPLREAPLLKRTSNVADVNAFSKSEDKKQQKDKVSKKLKRKTGPPLKQEYNFYSRKGSHASIAGLDGCAKARMDQNDILDISSQLKDKKSLSLVSRSCSPPSCPRGGYQSSKVECMTSESVHNMKLCQNEMDHFESVCVGNKNSSVQRKWDSLSESNLLQVQSPVYLPHLLCNATSQEVQKEVSLAESSRQNSSSSGSLKHKWMPIGSKNPGLTSSTRSGSSSLEHSDEAASKRWALKDPAKGNVVSNTQNLVSKVAVGCTGQNSEDVTCSSDAIDGRLSKSSTIEDLANNKHDVANCINDSAVSKDLNVFEAESNRILEAVNNACRAQLASEAVQMATGRPIAEFERLLYYSSPVIHQSPNSISCHTCCSRNQVDQVGGVSLCRHETPHTTLGCLWQWYEKYGSYGLEIRAEEFGNSKRLGADHFAFRAYFVPYLSGIQLFRNGRSTDSVDINNRLHSSQELSTCRISKTPKKSSSIGSLPIFSVLFPHPDHKEHAVTPPLVNQLCISEQSSASAKDVSAQLADTTGSSDLELLFEYFESEQPQERRPLYDKIKELVRGDGLSHSKVYGDPTKLDSINLNDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVHRHAKFESRNVDSCIVSPVVGLRSYNAQDECWFQLRPSTLRQTTVTPGLNPCGVLEERLRTLEETASLMARAVVNKGSMTSVNRHPDYEFFLSRRRW >ONI09482 pep chromosome:Prunus_persica_NCBIv2:G5:18125783:18132060:1 gene:PRUPE_5G240600 transcript:ONI09482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCALQRTNSDIQKNSDTRRYSLSKKEQKSFRTSLDDCEVPYVTGRNSDRRCPILSVLFREPDGHWRTVALPPLCPDNINHLVSGTLVNMDALHLVYPPPINPFKVNRQKVQKWPPLDFTYSVKSFTGRRFTGSAVHHQSRNKTLANKATKWNELSRKSFHNGCSDSSSTIPNGSNSINSSTMSNKKINSIAKRSSRKKSRKKGKQSTKVSNEPEVLSEEYANGSSASEPCGNNDGDGQVSSSTATEISLPDSGPKNSETPNTCTSSSDEVSCYSDMYTRGYSDMHDSFVLDSMSIGSNSGDSINAGHDEKHAEKEIFKIDISKPPGLSSGKGRFSCQRFLNDVVDNYDHTEEARHGIQGCRSNDMQLVVPNKRSKQNKVAPRTANVSKFGSNGNLHIRIGKENNHSVWQKVQRNDSSDCTGELKKASSVYSRLDLPLREAPLLKRTSNVADVNAFSKSEDKKQQKDKVSKKLKRKTGPPLKQEYNFYSRKGSHASIAGLDGCAKARMDQNDILDISSQLKDKKSLSLVSRSCSPPSCPRGGYQSSKVECMTSESVHNMKLCQNEMDHFESVCVGNKNSSVQRKWDSLSESNLLQVQSPVYLPHLLCNATSQEVQKEVSLAESSRQNSSSSGSLKHKWMPIGSKNPGLTSSTRSGSSSLEHSDEAASKRWALKDPAKGNVVSNTQNLVSKVAVGCTGQNSEDVTCSSDAIDGRLSKSSTIEDLANNKHDVANCINDSAVSKDLNVFEAESNRILEAVNNACRAQLASEAVQMATGRPIAEFERLLYYSSPVIHQSPNSISCHTCCSRNQVDQVGGVSLCRHETPHTTLGCLWQWYEKYGSYGLEIRAEEFGNSKRLGADHFAFRAYFVPYLSGIQLFRNGRSTDSVDINNRLHSSQELSTCRISKTPKKSSSIEQSSASAKDVSAQLADTTGSSDLELLFEYFESEQPQERRPLYDKIKELVRGDGLSHSKVYGDPTKLDSINLNDLHPRSWYSVAWYPIYRIPDGNFRAAFLTYHSLGHLVHRHAKFESRNVDSCIVSPVVGLRSYNAQDECWFQLRPSTLRQTTVTPGLNPCGVLEERLRTLEETASLMARAVVNKGSMTSVNRHPDYEFFLSRRRW >ONI07207 pep chromosome:Prunus_persica_NCBIv2:G5:11237168:11238761:1 gene:PRUPE_5G106100 transcript:ONI07207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLDLHDDRQKQKALKSVSSLTGIDSIAMDMKERKLTVTGDIDPVDVVAKLRKCWHTDILTVGPAKEEKKDDKKKDEGKKDDDKKKDSVAELVKAYEAYNPYMTKYYCVQSAEENPNACVIC >ONI07208 pep chromosome:Prunus_persica_NCBIv2:G5:11237624:11238760:1 gene:PRUPE_5G106100 transcript:ONI07208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKERKLTVTGDIDPVDVVAKLRKCWHTDILTVGPAKEEKKDDKKKDEGKKDDDKKKDSVAELVKAYEAYNPYMTKYYCVQSAEENPNACVIC >ONI07209 pep chromosome:Prunus_persica_NCBIv2:G5:11237169:11238760:1 gene:PRUPE_5G106100 transcript:ONI07209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKERKLTVTGDIDPVDVVAKLRKCWHTDILTVGPAKEEKKDDKKKDEGKKDDDKKKDSVAELVKAYEAYNPYMTKYYCVQSAEENPNACVIC >ONI07944 pep chromosome:Prunus_persica_NCBIv2:G5:13578452:13579219:-1 gene:PRUPE_5G148800 transcript:ONI07944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHQVEEEKESVTKLQKQFMNLQQDWDSYKQSNPRAKRRHSTNSSSFTMVKNLDQLIDTSPRHLMSSLQHGLSPSQGEWRVRTNDLVVEEIRRERRAAIESGKLKGRRLFEAEECETEMCFGGTEGIWCGGNGLAQESEVRSVSFDDSDGDDDDGSGKSKEIAVCFQGCSKTSSSSSSSLSDEDVEREVEIEARMKLVSVEEKRVANKNEGGNGRRCMAMIMPWLAIALLGFAIFFIRGFAEYLNVDEVVLVPT >ONI09009 pep chromosome:Prunus_persica_NCBIv2:G5:16793877:16797172:-1 gene:PRUPE_5G211800 transcript:ONI09009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTFSGLNALYDAVNGGGDVWINETRFKIVRQLGEGGFAYVFLVKEVVSDSSASGGGGLAKKFKDPSHVSDDGTYAMKKVLIQNSEQLELVKEEIRVSSLFSHPNLLPLLDHAIISVKPTQEASWNHEAYLLFPVHLDGTLLDNAKTMKTKKEFFSTSDVLQIFRQICAGLKHMHSFDPPYAHNDIKPGNVLITHRKGQPPLAILMDFGSARPARRQIRSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAILYGVSPFEYALGESGGSLQLAIVNVQIKWPAGPKPPYPDALHQFITWMLQPQAAVRPRIDDIIIHVDKLISKFSQ >ONI09008 pep chromosome:Prunus_persica_NCBIv2:G5:16793939:16796912:-1 gene:PRUPE_5G211800 transcript:ONI09008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTFSGLNALYDAVNGGGDVWINETRFKIVRQLGEGGFAYVFLVKEIRVSSLFSHPNLLPLLDHAIISVKPTQEASWNHEAYLLFPVHLDGTLLDNAKTMKTKKEFFSTSDVLQIFRQICAGLKHMHSFDPPYAHNDIKPGNVLITHRKGQPPLAILMDFGSARPARRQIRSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAILYGVSPFEYALGESGGSLQLAIVNVQIKWPAGPKPPYPDALHQFITWMLQPQAAVRPRIDDIIIHVDKLISKFSQ >ONI09010 pep chromosome:Prunus_persica_NCBIv2:G5:16793939:16796912:-1 gene:PRUPE_5G211800 transcript:ONI09010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTFSGLNALYDAVNGGGDVWINETRFKIVRQLGEGGFAYVFLVKEVVSDSSASGGGGLAKKFKDPSHVSDDGTYAMKKVLIQNSEQLELVKEEIRVSSLFSHPNLLPLLDHAIISVKPTQEASWNHEAYLLFPVHLDGTLLDNAKTMKTKKEFFSTSDVLQIFRQICAGLKHMHSFDPPYAHNDIKPGNVLITHRKGQPPLAILMDFGSARPARRQIRSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIL >ONI07760 pep chromosome:Prunus_persica_NCBIv2:G5:12994372:12996423:1 gene:PRUPE_5G138000 transcript:ONI07760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFTFPMWENTLSDRIYDTYYIQLGAEFVGTFILMFAASAGPIVNQKYNGAETLIGNAACAGLGVMIVILSTGHISGAHLNPSLTIAFAALRHFPWVQVPAYIAAQVSGSICASFALKGAFHPYMSGGTTVPTVSTGQAFALEFIITFNLLFVVTAVATDTRALGELAGLAVGATVMLNILIAGPSSGGSMNPVRTLGPAVAAGNYTKLWVYLVAPTLGALAGAGTYTAVKLREDEVDEPVREARSFRR >ONI07761 pep chromosome:Prunus_persica_NCBIv2:G5:12994459:12995794:1 gene:PRUPE_5G138000 transcript:ONI07761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWENTLSDRIYDTYYIQLGAEFVGTFILMFAASAGPIVNQKYNGAETLIGNAACAGLGVMIVILSTGHISGAHLNPSLTIAFAALRHFPWVQVPAYIAAQVSGSICASFALKGAFHPYMSGGTTVPTVSTGQAFALEFIITFNLLFVVTAVATDTRALGELAGLAVGATVMLNILIAGPSSGGSMNPVRTLGPAVAAGNYTKLWVYLVAPTLGALAGAGTYTAVKLREDEVDEPVREARSFRR >ONI07759 pep chromosome:Prunus_persica_NCBIv2:G5:12991456:12996423:1 gene:PRUPE_5G138000 transcript:ONI07759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESEPVTPSASAPATPGTPAPLFSGLRVDSMSYDRKSMPRCKCLPVNAPTWGQSHTCFTDFPTPTVSLTRKLGAEFVGTFILMFAASAGPIVNQKYNGAETLIGNAACAGLGVMIVILSTGHISGAHLNPSLTIAFAALRHFPWVQVPAYIAAQVSGSICASFALKGAFHPYMSGGTTVPTVSTGQAFALEFIITFNLLFVVTAVATDTRALGELAGLAVGATVMLNILIAGPSSGGSMNPVRTLGPAVAAGNYTKLWVYLVAPTLGALAGAGTYTAVKLREDEVDEPVREARSFRR >ONI07800 pep chromosome:Prunus_persica_NCBIv2:G5:13094967:13098003:-1 gene:PRUPE_5G140500 transcript:ONI07800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWKLGFAVLLCAAFLLGIGSGEQARTERISGSAGDVLDDDPVGRLKVFVYELPSKYNKKILQKDPRCLNHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQQNHVCLKEGSITVPPYAPPQKMQTHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPNLDTILTSIPPELILRKQRLLANPSMKQAMLFPQPAEAGDAFHQVLNGLARKLPHGPGVYFKPGEKILNWTAGPVGDLKPW >ONI06766 pep chromosome:Prunus_persica_NCBIv2:G5:9359634:9362950:-1 gene:PRUPE_5G079800 transcript:ONI06766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRGGPGGRGPFSDFNDPFAGFGGFGGFGGQRSLISNLFGGRDPFDDPFFTRPFGGMSPLGGMAPLGGMSPFGGMPPFGGMPPFGGMLESSFFGPGGGNFPDMQPTGFIEHQAPEPKRPRGPIIEEIDSDHENEDADNEKKENPRKRSGPSNEPYVEDPDDEVEEKSSKHLQHRDNYNQYNAMQSQPQAQSFTFQSSSVSYGGANGAYYTSSKTRRMGSDGLAFEESKEADTTSGKATHRVSKGLRNKGHSITRKLKTDGKVDTMQTLHNLNEDEISGFEEAWKGTAKKRLPGWTDKFGGYENLGASSSGREEASRGGWALPSNESSQNPGNMMSAAKEKAGSSRSNQSGRMRADVRDKNGYSRGKARD >ONI05852 pep chromosome:Prunus_persica_NCBIv2:G5:3001601:3002376:1 gene:PRUPE_5G026600 transcript:ONI05852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPPSYKSRRPQHFLHRWMKMEQSNFWLTMVPEKEYNRETTSITRVAIHTKLFQIYVSNGTQSSRLTLVKIG >ONI07259 pep chromosome:Prunus_persica_NCBIv2:G5:11454706:11455406:1 gene:PRUPE_5G109700 transcript:ONI07259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKDGDHEANAYHQAEELKRQKKIKRLKYFGIFIVFQVIVITIFSLTVMKAKTPKLKLASNVYIQTLTYSPATPSFDMSFITQVRVRNPNWGPFKFRDGTVVFTYQGVVVGQVYIPNGKVGLRSTKKITVLVNVNSNALPGKSALGNELSNGLLLLTSTAELKGKVELMLIMKTKKTAELSCSMVFNLAARSLQNLDCN >ONI08922 pep chromosome:Prunus_persica_NCBIv2:G5:16571874:16574237:-1 gene:PRUPE_5G207900 transcript:ONI08922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKNQANPTHKVHLEVETCCSWSWLKFTFLAVLCCMVLSPAAAYDPLDPTGNITIKWDVMSWTPDGYVAAVTMNNFQMYRQIISPGWTLGWSWQKKEVIWTMVGAQTTEQGDCSKFKAAIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVLASWGQDPTASVSAFQVSVGQAGTSNKTVKLPKNFTLFGPGPGYTCGPAKVVPSTVFLTADRRRKTQALMTWNVTCTYSQFLASKNPSCCVSFSSFYNETIVPCPSCACGCHNKKNCIKSDSKLAHKTGINTPKKDNTPLLQCTHHMCPIRVHWHVKLNYKAYWRVKISITNFNYRMNYTEWTLVAQHPNLNNVTQVFSFGYKPLLPYESINDTGMFYGMKFYNDLLMEAGPSGNVQSEVLLQKDQNTFTFKQGWAFPRRVYFNGDECMLPPPDAYPALPNSAHVNSISIFKMAASVLLILFSICFSLS >ONI08921 pep chromosome:Prunus_persica_NCBIv2:G5:16571838:16574272:-1 gene:PRUPE_5G207900 transcript:ONI08921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKNQANPTHKVHLEVETCCSWSWLKFTFLAVLCCMVLSPAAAYDPLDPTGNITIKWDVMSWTPDGYVAAVTMNNFQMYRQIISPGWTLGWSWQKKEVIWTMVGAQTTEQGDCSKFKAAIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVLASWGQDPTASVSAFQVSVGQAGTSNKTVKLPKNFTLFGPGPGYTCGPAKVVPSTVFLTADRRRKTQALMTWNVTCTYSQFLASKNPSCCVSFSSFYNETIVPCPSCACGCHNKKNCIKSDSKLAHKTGINTPKKDNTPLLQCTHHMCPIRVHWHVKLNYKAYWRVKISITNFNYRMNYTEWTLVAQHPNLNNVTQVFSFGYKPLLPYESINDTGMFYGMKFYNDLLMEAGPSGNVQSEVLLQKDQNTFTFKQGWAFPRRVYFNGDECMLPPPDAYPALPNSAHVNSISIFKMAASVLLILFSICFSLS >ONI06524 pep chromosome:Prunus_persica_NCBIv2:G5:8075953:8077908:1 gene:PRUPE_5G066100 transcript:ONI06524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELGRATSSSDGFAIAWSCCEHLLSLKAYTIFATHMENLSELVTVYPNVKILHFDVDIKNNRLDFKFQLKDGARHVPHYGLLLAEVAGLPSSVIETARNITSRITEKEVKRMEVNCLQYQPIQMAYHVAQRLICLKYSSQDEDSIREALHNLKDSYIHGRL >ONI06522 pep chromosome:Prunus_persica_NCBIv2:G5:8068625:8077911:1 gene:PRUPE_5G066100 transcript:ONI06522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDAGERSSFVIGLIENRAKEVGVAAFDLRLASLHLSQYIETSSSYQNTKTLLQFYDPMVIIVSPNKLAPDGMVGVSELADRFYATVKKVVMARGCFDDTKGAVLIKNLAAKEPSALGLDTSYKQYYLCLAAAAATIKWIEAEKGVIITNHSLLVTFNGSFAHMNIDATSVQNLEIIEPLHSTLWGTSNKKRSLLNMLKTTKTVGGSRLLRANLLQPLKDIETINARLDCLDELMSNEQLFFGLAQVLSKFPKESDRVLCHFCFKPRKITNKVMGVDYARKSQVLVSSIILLKTALDALPLLSKVLKDAKCFLLANVYKSVCENEKYAAVRRRIGEVIDEDVLHARVPFVARTQQCFAVKAGIDGLLDIARRSFCDTSEAIHNLANKYREDFKLPNLKLPFNNRRGFYFSIPHKDIQGKLPSQFIQVLKHGNNLHCSTLELASLNVRNKSAAAECYIRTEVCLEELVDAIREDVSALTLLAEVLCLLDMIVNSFAHAISTKPVDRYTRPEFTDNGPMAIDAGRHPILETIHNDFVPNNIFLSEASNMVLIIGPNMSGKSTYLQQVCLIVILAQIGCYVPARFSTLRVVDRIFTRMGTVDNLESNSSTVYYICYSYGEPIRTSNRLSKCENTSL >ONI06521 pep chromosome:Prunus_persica_NCBIv2:G5:8068625:8077911:1 gene:PRUPE_5G066100 transcript:ONI06521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDAGERSSFVIGLIENRAKEVGVAAFDLRLASLHLSQYIETSSSYQNTKTLLQFYDPMVIIVSPNKLAPDGMVGVSELADRFYATVKKVVMARGCFDDTKGAVLIKNLAAKEPSALGLDTSYKQYYLCLAAAAATIKWIEAEKGVIITNHSLLVTFNGSFAHMNIDATSVQNLEIIEPLHSTLWGTSNKKRSLLNMLKTTKTVGGSRLLRANLLQPLKDIETINARLDCLDELMSNEQLFFGLAQVLSKFPKESDRVLCHFCFKPRKITNKVMGVDYARKSQVLVSSIILLKTALDALPLLSKVLKDAKCFLLANVYKSVCENEKYAAVRRRIGEVIDEDVLHARVPFVARTQQCFAVKAGIDGLLDIARRSFCDTSEAIHNLANKYREDFKLPNLKLPFNNRRGFYFSIPHKDIQGKLPSQFIQVLKHGNNLHCSTLELASLNVRNKSAAAECYIRTEVCLEELVDAIREDVSALTLLAEVLCLLDMIVNSFAHAISTKPVDRYTRPEFTDNGPMAIDAGRHPILETIHNDFVPNNIFLSEASNMVLIIGPNMSGKSTYLQQVCLIVILAQIGCYVPARFSTLRVVDRIFTRMGTVDNLESNSSTFMTEMKETAFIMQNVSQRSLIVMDELGRATSSSDGFAIAWSCCEHLLSLKAYTIFATHMENLSELVTVYPNVKILHFDVDIKNNRLDFKFQLKDGARHVPHYGLLLAEVAGLPSSVIETARNITSRITEKEVKRMEVNCLQYQPIQMAYHVAQRLICLKYSSQDEDSIREALHNLKDSYIHGRL >ONI06523 pep chromosome:Prunus_persica_NCBIv2:G5:8073216:8077911:1 gene:PRUPE_5G066100 transcript:ONI06523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMKETAFIMQNVSQRSLIVMDELGRATSSSDGFAIAWSCCEHLLSLKAYTIFATHMENLSELVTVYPNVKILHFDVDIKNNRLDFKFQLKDGARHVPHYGLLLAEVAGLPSSVIETARNITSRITEKEVKRMEVNCLQYQPIQMAYHVAQRLICLKYSSQDEDSIREALHNLKDSYIHGRL >ONI09452 pep chromosome:Prunus_persica_NCBIv2:G5:18058388:18059194:-1 gene:PRUPE_5G239200 transcript:ONI09452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAIPSSILVLRIVTLLALVASVVVLVTDHFTLQDGTQQHFQDIHAYRFVLATAAIGAAYTLIQLPFDIYYACAQKRLIRHGCMPEFDFYADKLVSLVLASGVGAGFGVGFEFKRVLNDLFLLLLALGEDPVSLDEEQSKDNAFFNRANIATGVLLVGAICMAVISVLSSINRTSNRGFFG >ONI07656 pep chromosome:Prunus_persica_NCBIv2:G5:12784169:12787988:1 gene:PRUPE_5G133600 transcript:ONI07656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLKKMSNKVSVFVTFNIFLVLCTQNTMIRTVHANSKVHIVYMGEKHHHDPEVVTSLHHDMLASVLGSKEAAYDSMVYSYKHGFSGFAAKVTESQAQKIAELPGVIRVMPSHFYSLQTTRSWDYLGLSPSSPTNLLHDTNLGDGIVIGLLDTGIWPESKVFNDEGLGPIPNQWKGQCVSGESFNASADCNKKLIGAKWYIDGFLAENKQPFNTTDSPDFLSPRDVFGHGTHTSTIAGGSFVYNASYRGLGLGSVRGGAPRARLAMYKVCWNVPRGQCSSADILKAFDDAIHDGVDVISVSLGTQLPLFSEVDDRDTISIGSFHAVAKGIPVVCGAANEGPSAYTVENTAPWILTVAATTIDRSFPTPITLGNNLTILGQAIFAGKEVGFTGLVYPENPGLIPSLAGVCESLLLNNTPVAGNVVLCFTTVASRTPVATAVSSVRAAGGVGVIVAKSPGDVLGPCSNEFPCIEVDYELGTQILFYIRSTRSPTVKLSPSATLVGKPISTKVATFSSRGPNSIAPAILKPDIAAPGVSILAGSSPYDSFMDGGFALHSGTSMATPHVSGIVALLKALHSNWSPAAIRSALVTTAWKTDPFGEPIFAEGSPQKVANPFDYGGGLVNPNKAADPGLIYDMGTEDYIKYLCAVGYNTSAISQLVGQTTACSMVKPSVLDVNLPSITIPNLRENITLTRSVTNVGPVNSVYKANIDPPPGISVAVRPETLVFNSTIKTISFTVAVSTTHQVNTGYYFGSLTWTDGEHLVTSPISVRTQIIQYYTDGN >ONI07015 pep chromosome:Prunus_persica_NCBIv2:G5:10485713:10487031:-1 gene:PRUPE_5G095200 transcript:ONI07015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQHLRVVCVVFMIMLMISQISSYRHIHRVTAKESKQTDKSESYSRFSWHFSTEAPEVSSSRDEIGPLKGVSLREVPQGPNPLHN >ONI08766 pep chromosome:Prunus_persica_NCBIv2:G5:16079014:16083674:1 gene:PRUPE_5G199800 transcript:ONI08766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQISEFLEMGAHIQCNSYFPGYYLTRNHNLIANSSKRKHNSSPDQFLGYYKEVLKQTMLEQEMILRDQIQDLHRLYGRQTELMDEIRRNELVKYQLKMEASQLTTSLSQSSSVCVQKTFHMPSLPLVNPVCNQIFVSGAESIQSPSCFVRGRNIKACSYSTQTEGRSEDSELLESKCKKFEKNFLDLELPADAYIDNEEEEFLGNGKVSEAPEASSSQALPCFNTPLPFSNRYKLSTVNPALYGDRLQLKKDLRSSTKHGSAFFLDSSFSNGSQLESKHSEAHPPPPISFDNLIRINDNLVSEHHGITKYRQDSANVKSPKDINLNFMPPSCPLDVAVSQSFQATTGSGKLEDYNEQLQWHRPKLVYSSKTDKGHEDSNQAEASDHSSKRICGSAASCEKLNISCDGCSHGSPSNANLNPPEEKKEREKYVVLDLNLACDSVLDAEIVLTEHVVETEFDKKDVGFGLQVDLNSSINGDRFSPISSLSTEIVLEAPASPENKECSPPRGESDQNQFETPFLLLGQEDLENKECFVPTRESDENQIETPFPSSGDSGQKVDLEEELVRTAAESLASISSSGLHTCIVRTTNKLLKTSCDSLHWFAGIASAVVGGPENKAGVVMSEDLLPDGMDYFEVMTLNLTETKVEECCCRSNSHKDEETGTTSSPNQPRKGRKRKGRQRKDFQSEILPSLASLSRYEVTEDLQTLGGLVESSGNRLETGSARYAAKLGLARGRRRSSISTSTVTENTLESLLKQIGSKSQFGKEERRLIGWGEVTRRRRGQRFPVSKPRLILSQV >ONI08765 pep chromosome:Prunus_persica_NCBIv2:G5:16078995:16083694:1 gene:PRUPE_5G199800 transcript:ONI08765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQISEFLEMGAHIQCNSYFPGYYLTRNHNLIANSSKRKHNSSPDQFLGYYKEVLKQTMLEQEMILRDQIQDLHRLYGRQTELMDEIRRNELVKYQLKMEASQLTTSLSQSSSVCVQKTFHMPSLPLVNPVCNQIFVSGAESIQSPSCFVRGRNIKACSYSTQTEGRSEDSELLESKCKKFEKNFLDLELPADAYIDNEEEEFLGNGKVSEAPEASSSQALPCFNTPLPFSNRYKLSTVNPALYGDRLQLKKDLRSSTKHGSAFFLDSSFSNGSQLESKHSEAHPPPPISFDNLIRINDNLVSEHHGITKYRQDSANVKSPKDINLNFMPPSCPLDVAVSQSFQATTGSGKLEDYNEQLQWHRPKLVYSSKTDKGHEDSNQAEASDHSSKRICGSAASCEKLNISCDGCSHGSPSNANLNPPEEKKEREKYVVLDLNLACDSVLDAEIVLTEHVVETEFDKKDVGFGLQVDLNSSINGDRFSPISSLSTEIVLEAPASPENKECSPPRGESDQNQFETPFLLLGQEDLENKECFVPTRESDENQIETPFPSSGDSGQKVDLEEELVRTAAESLASISSSGLHTCIVRTTNKLLKTSCDSLHWFAGIASAVVGGPENKAGVVMSEDLLPDGMDYFEVMTLNLTETKVEECCCRSNSHKDEETGTTSSPNQPRKGRKRKGRQRKDFQSEILPSLASLSRYEVTEDLQTLGGLVESSGNRLETGSARYAAKLGLARGRRRSSISTSTVTENTLESLLKQIGSKSQFGKEERRLIGWGEVTRRRRGQRFPVSKPRLILSQV >ONI08769 pep chromosome:Prunus_persica_NCBIv2:G5:16079259:16083650:1 gene:PRUPE_5G199800 transcript:ONI08769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQEMILRDQIQDLHRLYGRQTELMDEIRRNELVKYQLKMEASQLTTSLSQSSSVCVQKTFHMPSLPLVNPVCNQIFVSGAESIQSPSCFVRGRNIKACSYSTQTEGRSEDSELLESKCKKFEKNFLDLELPADAYIDNEEEEFLGNGKVSEAPEASSSQALPCFNTPLPFSNRYKLSTVNPALYGDRLQLKKDLRSSTKHGSAFFLDSSFSNGSQLESKHSEAHPPPPISFDNLIRINDNLVSEHHGITKYRQDSANVKSPKDINLNFMPPSCPLDVAVSQSFQATTGSGKLEDYNEQLQWHRPKLVYSSKTDKGHEDSNQAEASDHSSKRICGSAASCEKLNISCDGCSHGSPSNANLNPPEEKKEREKYVVLDLNLACDSVLDAEIVLTEHVVETEFDKKDVGFGLQVDLNSSINGDRFSPISSLSTEIVLEAPASPENKECSPPRGESDQNQFETPFLLLGQEDLENKECFVPTRESDENQIETPFPSSGDSGQKVDLEEELVRTAAESLASISSSGLHTCIVRTTNKLLKTSCDSLHWFAGIASAVVGGPENKAGVVMSEDLLPDGMDYFEVMTLNLTETKVEECCCRSNSHKDEETGTTSSPNQPRKGRKRKGRQRKDFQSEILPSLASLSRYEVTEDLQTLGGLVESSGNRLETGSARYAAKLGLARGRRRSSISTSTVTENTLESLLKQIGSKSQFGKEERRLIGWGEVTRRRRGQRFPVSKPRLILSQV >ONI08768 pep chromosome:Prunus_persica_NCBIv2:G5:16080191:16083650:1 gene:PRUPE_5G199800 transcript:ONI08768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACLFDYLGLEFLEMGAHIQCNSYFPGYYLTRNHNLIANSSKRKHNSSPDQFLGYYKEVLKQTMLEQEMILRDQIQDLHRLYGRQTELMDEIRRNELVKYQLKMEASQLTTSLSQSSSVCVQKTFHMPSLPLVNPVCNQIFVSGAESIQSPSCFVRGRNIKACSYSTQTEGRSEDSELLESKCKKFEKNFLDLELPADAYIDNEEEEFLGNGKVSEAPEASSSQALPCFNTPLPFSNRYKLSTVNPALYGDRLQLKKDLRSSTKHGSAFFLDSSFSNGSQLESKHSEAHPPPPISFDNLIRINDNLVSEHHGITKYRQDSANVKSPKDINLNFMPPSCPLDVAVSQSFQATTGSGKLEDYNEQLQWHRPKLVYSSKTDKGHEDSNQAEASDHSSKRICGSAASCEKLNISCDGCSHGSPSNANLNPPEEKKEREKYVVLDLNLACDSVLDAEIVLTEHVVETEFDKKDVGFGLQVDLNSSINGDRFSPISSLSTEIVLEAPASPENKECSPPRGESDQNQFETPFLLLGQEDLENKECFVPTRESDENQIETPFPSSGDSGQKVDLEEELVRTAAESLASISSSGLHTCIVRTTNKLLKTSCDSLHWFAGIASAVVGGPENKAGVVMSEDLLPDGMDYFEVMTLNLTETKVEECCCRSNSHKDEETGTTSSPNQPRKGRKRKGRQRKDFQSEILPSLASLSRYEVTEDLQTLGGLVESSGNRLETGSARYAAKLGLARGRRRSSISTSTVTENTLESLLKQIGSKSQFGKEERRLIGWGEVTRRRRGQRFPVSKPRLILSQV >ONI08767 pep chromosome:Prunus_persica_NCBIv2:G5:16079158:16083650:1 gene:PRUPE_5G199800 transcript:ONI08767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILKFLEMGAHIQCNSYFPGYYLTRNHNLIANSSKRKHNSSPDQFLGYYKEVLKQTMLEQEMILRDQIQDLHRLYGRQTELMDEIRRNELVKYQLKMEASQLTTSLSQSSSVCVQKTFHMPSLPLVNPVCNQIFVSGAESIQSPSCFVRGRNIKACSYSTQTEGRSEDSELLESKCKKFEKNFLDLELPADAYIDNEEEEFLGNGKVSEAPEASSSQALPCFNTPLPFSNRYKLSTVNPALYGDRLQLKKDLRSSTKHGSAFFLDSSFSNGSQLESKHSEAHPPPPISFDNLIRINDNLVSEHHGITKYRQDSANVKSPKDINLNFMPPSCPLDVAVSQSFQATTGSGKLEDYNEQLQWHRPKLVYSSKTDKGHEDSNQAEASDHSSKRICGSAASCEKLNISCDGCSHGSPSNANLNPPEEKKEREKYVVLDLNLACDSVLDAEIVLTEHVVETEFDKKDVGFGLQVDLNSSINGDRFSPISSLSTEIVLEAPASPENKECSPPRGESDQNQFETPFLLLGQEDLENKECFVPTRESDENQIETPFPSSGDSGQKVDLEEELVRTAAESLASISSSGLHTCIVRTTNKLLKTSCDSLHWFAGIASAVVGGPENKAGVVMSEDLLPDGMDYFEVMTLNLTETKVEECCCRSNSHKDEETGTTSSPNQPRKGRKRKGRQRKDFQSEILPSLASLSRYEVTEDLQTLGGLVESSGNRLETGSARYAAKLGLARGRRRSSISTSTVTENTLESLLKQIGSKSQFGKEERRLIGWGEVTRRRRGQRFPVSKPRLILSQV >ONI06127 pep chromosome:Prunus_persica_NCBIv2:G5:4681171:4681681:1 gene:PRUPE_5G042400 transcript:ONI06127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQLEEEFFFFFFFFLGGGLGRYVICQIQVIISGRGKRQYKYMGEVTFGTENQTRCCRDFVCCMLVF >ONI06565 pep chromosome:Prunus_persica_NCBIv2:G5:8209575:8223909:1 gene:PRUPE_5G068000 transcript:ONI06565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSQNLHLAGEGNTNYPPVLRPYALPKFEFDDSLHGHLRFDSLVETEVFLGIESSETNHWIEDFSRGSSGIEFNSSAAESCSISRRNNVWSEATSSESVEMLLKSVGQEEIIPPQTIFEELDACKELHCLTKQMEPSFNNDDNILSQMEDVTDLQPTLPQDDIPENISGIEDVGVDQLRVEDASQTHEGKLSVAGNSGDLDPNALSGNDSPHVTKGSLLADGKCKDADPVDFDNLFDEPPDKREDSCASGMQIDGMTTSVQNIMAIGDELNNKDVQHNIKNVNEENPGGHVLSIETQNMNEKAGEKVTCHLENPHCSASEVESIELGIANQDSVINVEEQSSVILQGDSNLHMLGGCSDRVNGGVLADTNKCEDMVSDIGIDQSKLNTHDLSPIAYKIDTGYAVEVSNNNAEISSSLEPTLKGDSDLHMVDGCSDRECRGVPAETNKCEDMVLFKDTDTGDDNSKLNTHDLSSVVYRSDDRYAVEVSNSNAGISSSLESMLKVDSGQSSSKENASESSFRPDSEILVKKFEVSLSVIKENDVSKDESEENKEDHSNLFNLTATCSSAEIVSEAHVTGASKSPHDSFGVSGEKSNVDGASFSILGESTQICDENEVYRDGDVGDGNLDLSHIEKDNTQLFNESNNTELEIGGSVDKEFQPSSVCEGSAEKELIVPKLKHGADDNESVANVSLENPDLASCVTMDAVPSSSGNGTTTNINRSEVEAETSPDVGPHSDKKQETANKMSKDASFPCIVSSPLAEIGPGSVSEVGKGVSCDTSGPLLCKRVDQSLPVTDSCNTECQNEPQTAVATEVSKRSTNEMEASSVQCESSENDGDGAGATIKDSFEKASANVKDPIMNCDTNVTQRGPSLLVEICGGSAKKVLEDTDTSEVSGDKGSAQDAVPSINSDASMICEGSTCSAALPESHTGFVAPESGRSSVDPHKPDCVSPKVVGTTEPFETKHELGNNKGPTNQSAPVSDTVGDGGNYSPNSQNPNGNDAFKDRGNGTSDVSLSADLPKADTANIVQRSPAIPSPKIVEGSKENSGSGQLDAKISQDISHGGPLVSGGDIGRGGSKSTPERRTRRAPSKATGKPSAKKGSMKATTPVRQSERGDKSISVSQNQSGIFQLVQPSETQPYGHVDGSIKPYSVLTTSTSSLPDLNTSAPQSVIFQQPFTDLQQVQLRAQIFVYGALIQGIAPEEAYMVSAFGGPDGGRGMWENAWRVCIERLHGQKSTPINPETPLQSRSGSRASDQVIKQGALHNKGLSSPVGRASTKGTPQTASPMIPISSPLWSISTPVCEGLQYSVIPRGSVMDYQQGFNPLHPFQTPSVKNLVGHNTTWMPQSSFRGPWLPSPQSSAEASMHFSAFPSTEAVQLTPIKEVSLPQLPTVKHVPSGPSAQTGGPISAFAGPSPLLDPKKVSASPGQHSADPKPRKRKKISPSEELGQISLQAQSQPESALTVAVVSSTTPSTLSSKAMPDKLIMSVPPMSSSDQLKKADLDLEQRATLSEETLAKVKEARQQAEEASSLAAAAVSHSQAIWNQLEKQKNSKLISDGEAKLAAAAAANVASNAALQAKLMAEEALDNYENPSPSMRMATPVSILRGEDGTNSSSSILVAAREAARRKVVAASAASKRAENLDAIVKAAELAAEAVSQAGTIVAMGDPLPLSELAEAGPEGYWKVPQVSSELITKSNDMVREQSNVGTVEEDAGTSARHSKDRQSDKKEAQPTPHEKLPIPIEVNRESTEDHLRSVVGVSGFDIVNEKGSKGPKGRKVSEIGSKSALMTVENDFEKEEHASEESGIKEGSLVEVLKDGGGFGAAWFTANVLSLQDGKACVCYTELQSDEGSGKLQEWVALESKEDKPPKIRIARPVTALGFEGTRKRRRAAMADYAWSVGDKVDAWIQDSWWEGVVTEKNKKDETILTVHFPAQGEKSVVKAWHLRPSLIWKDGEWVEWFSVRNDCVSHEGDMPQEKRPKLGSPAVEGKGKDKTSKSIDIVDSGKPEEPRLLNLSANEKVFNMGKNTRTENKPDPTRTIRTGLQKEGAKVVYGIPKPGKKRKFMEVSKHYVANQSTKINETNDSMKFAKYLMPQGSGSRGLKNTSKIDTREKQVTESKLKGLKSIKPQGVPSKSVPQKDNLLTDARTVSDGSSEMDHTGKIKDSVSRVDSVSGKHTLSQPEGPIVFSSLAPSSDFPSSKKVSASTAKSRSNKGNLAPAGAKLGKIEEGKVFSGNPAKSTSEVAEPRRSNRRIQPTSRLLEGLQSSLIITKIPSGSHDKGHRSQNRNASRGNNNG >ONI06564 pep chromosome:Prunus_persica_NCBIv2:G5:8209569:8223923:1 gene:PRUPE_5G068000 transcript:ONI06564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSQNLHLAGEGNTNYPPVLRPYALPKFEFDDSLHGHLRFDSLVETEVFLGIESSETNHWIEDFSRGSSGIEFNSSAAESCSISRRNNVWSEATSSESVEMLLKSVGQEEIIPPQTIFEELDACKELHCLTKQMEPSFNNDDNILSQMEDVTDLQPTLPQDDIPENISGIEDVGVDQLRVEDASQTHEGKLSVAGNSGDLDPNALSGNDSPHVTKGSLLADGKCKDADPVDFDNLFDEPPDKREDSCASGMQIDGMTTSVQNIMAIGDELNNKDVQHNIKNVNEENPGGHVLSIETQNMNEKAGEKVTCHLENPHCSASEVESIELGIANQDSVINVEEQSSVILQGDSNLHMLGGCSDRVNGGVLADTNKCEDMVSDIGIDQSKLNTHDLSPIAYKIDTGYAVEVSNNNAEISSSLEPTLKGDSDLHMVDGCSDRECRGVPAETNKCEDMVLFKDTDTGDDNSKLNTHDLSSVVYRSDDRYAVEVSNSNAGISSSLESMLKVDSGQSSSKENASESSFRPDSEILVKKFEVSLSVIKENDVSKDESEENKEDHSNLFNLTATCSSAEIVSEAHVTGASKSPHDSFGVSGEKSNVDGASFSILGESTQICDENEVYRDGDVGDGNLDLSHIEKDNTQLFNESNNTELEIGGSVDKEFQPSSVCEGSAEKELIVPKLKHGADDNESVANVSLENPDLASCVTMDAVPSSSGNGTTTNINRSEVEAETSPDVGPHSDKKQETANKMSKDASFPCIVSSPLAEIGPGSVSEVGKGVSCDTSGPLLCKRVDQSLPVTDSCNTECQNEPQTAVATEVSKRSTNEMEASSVQCESSENDGDGAGATIKDSFEKASANVKDPIMNCDTNVTQRGPSLLVEICGGSAKKVLEDTDTSEVSGDKGSAQDAVPSINSDASMICEGSTCSAALPESHTGFVAPESGRSSVDPHKPDCVSPKVVGTTEPFETKHELGNNKGPTNQSAPVSDTVGDGGNYSPNSQNPNGNDAFKDRGNGTSDVSLSADLPKADTANIVQRSPAIPSPKIVEGSKENSGSGQLDAKISQDISHGGPLVSGGDIGRGGSKSTPERRTRRAPSKATGKPSAKKGSMKATTPVRQSERGDKSISVSQNQSGIFQLVQPSETQPYGHVDGSIKPYSVLTTSTSSLPDLNTSAPQSVIFQQPFTDLQQVQLRAQIFVYGALIQGIAPEEAYMVSAFGGPDGGRGMWENAWRVCIERLHGQKSTPINPETPLQSRSGSRASDQVIKQGALHNKGLSSPVGRASTKGTPQTASPMIPISSPLWSISTPVCEGLQYSVIPRGSVMDYQQGFNPLHPFQTPSVKNLVGHNTTWMPQSSFRGPWLPSPQSSAEASMHFSAFPSTEAVQLTPIKEVSLPQLPTVKHVPSGPSAQTGGPISAFAGPSPLLDPKKVSASPGQHSADPKPRKRKKISPSEELGQISLQAQSQPESALTVAVVSSTTPSTLSSKAMPDKLIMSVPPMSSSDQLKKADLDLEQRATLSEETLAKVKEARQQAEEASSLAAAAVSHSQAIWNQLEKQKNSKLISDGEAKLASAAVAVAAAAAVAKAAAAAANVASNAALQAKLMAEEALDNYENPSPSMRMATPVSILRGEDGTNSSSSILVAAREAARRKVVAASAASKRAENLDAIVKAAELAAEAVSQAGTIVAMGDPLPLSELAEAGPEGYWKVPQVSSELITKSNDMVREQSNVGTVEEDAGTSARHSKDRQSDKKEAQPTPHEKLPIPIEVNRESTEDHLRSVVGVSGFDIVNEKGSKGPKGRKVSEIGSKSALMTVENDFEKEEHASEESGIKEGSLVEVLKDGGGFGAAWFTANVLSLQDGKACVCYTELQSDEGSGKLQEWVALESKEDKPPKIRIARPVTALGFEGTRKRRRAAMADYAWSVGDKVDAWIQDSWWEGVVTEKNKKDETILTVHFPAQGEKSVVKAWHLRPSLIWKDGEWVEWFSVRNDCVSHEGDMPQEKRPKLGSPAVEGKGKDKTSKSIDIVDSGKPEEPRLLNLSANEKVFNMGKNTRTENKPDPTRTIRTGLQKEGAKVVYGIPKPGKKRKFMEVSKHYVANQSTKINETNDSMKFAKYLMPQGSGSRGLKNTSKIDTREKQVTESKLKGLKSIKPQGVPSKSVPQKDNLLTDARTVSDGSSEMDHTGKIKDSVSRVDSVSGKHTLSQPEGPIVFSSLAPSSDFPSSKKVSASTAKSRSNKGNLAPAGAKLGKIEEGKVFSGNPAKSTSEVAEPRRSNRRIQPTSRLLEGLQSSLIITKIPSGSHDKGHRSQNRNASRGNNNG >ONI06559 pep chromosome:Prunus_persica_NCBIv2:G5:8209570:8223916:1 gene:PRUPE_5G068000 transcript:ONI06559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSQNLHLAGEGNTNYPPVLRPYALPKFEFDDSLHGHLRFDSLVETEVFLGIESSETNHWIEDFSRGSSGIEFNSSAAESCSISRRNNVWSEATSSESVEMLLKSVGQEEIIPPQTIFEELDACKELHCLTKQMEPSFNNDDNILSQMEDVTDLQPTLPQDDIPENISGIEDVGVDQLRVEDASQTHEGKLSVAGNSGDLDPNALSGNDSPHVTKGSLLADGKCKDADPVDFDNLFDEPPDKREDSCASGMQIDGMTTSVQNIMAIGDELNNKDVQHNIKNVNEENPGGHVLSIETQNMNEKAGEKVTCHLENPHCSASEVESIELGIANQDSVINVEEQSSVILQGDSNLHMLGGCSDRVNGGVLADTNKCEDMVSDIGIDQSKLNTHDLSPIAYKIDTGYAVEVSNNNAEISSSLEPTLKGDSDLHMVDGCSDRECRGVPAETNKCEDMVLFKDTDTGDDNSKLNTHDLSSVVYRSDDRYAVEVSNSNAGISSSLESMLKVDSGQSSSKENASESSFRPDSEILVKKFEVSLSVIKENDVSKDESEENKEDHSNLFNLTATCSSAEIVSEAHVTGASKSPHDSFGVSGEKSNVDGASFSILGESTQICDENEVYRDGDVGDGNLDLSHIEKDNTQLFNESNNTELEIGGSVDKEFQPSSVCEGSAEKELIVPKLKHGADDNESVANVSLENPDLASCVTMDAVPSSSGNGTTTNINRSEVEAETSPDVGPHSDKKQETANKMSKDASFPCIVSSPLAEIGPGSVSEVGKGVSCDTSGPLLCKRVDQSLPVTDSCNTECQNEPQTAVATEVSKRSTNEMEASSVQCESSENDGDGAGATIKDSFEKASANVKDPIMNCDTNVTQRGPSLLVEICGGSAKKVLEDTDTSEVSGDKGSAQDAVPSINSDASMICEGSTCSAALPESHTGFVAPESGRSSVDPHKPDCVSPKVVGTTEPFETKHELGNNKGPTNQSAPVSDTVGDGGNYSPNSQNPNGNDAFKDRGNGTSDVSLSADLPKADTANIVQRSPAIPSPKIVEGSKENSGSGQLDAKISQDISHGGPLVSGGDIGRGGSKSTPERRTRRAPSKATGKPSAKKGSMKATTPVRQSERGDKSISVSQNQSGIFQLVQPSETQPYGHVDGSIKPYSVLTTSTSSLPDLNTSAPQSVIFQQPFTDLQQVQLRAQIFVYGALIQGIAPEEAYMVSAFGGPDGGRGMWENAWRVCIERLHGQKSTPINPETPLQSRSELRFTGSRASDQVIKQGALHNKGLSSPVGRASTKGTPQTASPMIPISSPLWSISTPVCEGLQYSVIPRGSVMDYQQGFNPLHPFQTPSVKNLVGHNTTWMPQSSFRGPWLPSPQSSAEASMHFSAFPSTEAVQLTPIKEVSLPQLPTVKHVPSGPSAQTGGPISAFAGPSPLLDPKKVSASPGQHSADPKPRKRKKISPSEELGQISLQAQSQPESALTVAVVSSTTPSTLSSKAMPDKLIMSVPPMSSSDQLKKADLDLEQRATLSEETLAKVKEARQQAEEASSLAAAAVSHSQAIWNQLEKQKNSKLISDGEAKLASAAVAVAAAAAVAKAAAAAANVASNAALQAKLMAEEALDNYENPSPSMRMATPVSILRGEDGTNSSSSILVAAREAARRKVVAASAASKRAENLDAIVKAAELAAEAVSQAGTIVAMGDPLPLSELAEAGPEGYWKVPQVSSELITKSNDMVREQSNVGTVEEDAGTSARHSKDRQSDKKEAQPTPHEKLPIPIEVNRESTEDHLRSVVGVSGFDIVNEKGSKGPKGRKVSEIGSKSALMTVENDFEKEEHASEESGIKEGSLVEVLKDGGGFGAAWFTANVLSLQDGKACVCYTELQSDEGSGKLQEWVALESKEDKPPKIRIARPVTALGFEGTRKRRRAAMADYAWSVGDKVDAWIQDSWWEGVVTEKNKKDETILTVHFPAQGEKSVVKAWHLRPSLIWKDGEWVEWFSVRNDCVSHEGDMPQEKRPKLGSPAVEGKGKDKTSKSIDIVDSGKPEEPRLLNLSANEKVFNMGKNTRTENKPDPTRTIRTGLQKEGAKVVYGIPKPGKKRKFMEVSKHYVANQSTKINETNDSMKFAKYLMPQGSGSRGLKNTSKIDTREKQVTESKLKGLKSIKPQGVPSKSVPQKDNLLTDARTVSDGSSEMDHTGKIKDSVSRVDSVSGKHTLSQPEGPIVFSSLAPSSDFPSSKKVSASTAKSRSNKGNLAPAGAKLGKIEEGKVFSGNPAKSTSEVAEPRRSNRRIQPTSRLLEGLQSSLIITKIPSGSHDKGHRSQNRNASRGNNNG >ONI06563 pep chromosome:Prunus_persica_NCBIv2:G5:8211795:8223932:1 gene:PRUPE_5G068000 transcript:ONI06563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSQNLHLAGEGNTNYPPVLRPYALPKFEFDDSLHGHLRFDSLVETEVFLGIESSETNHWIEDFSRGSSGIEFNSSAAESCSISRRNNVWSEATSSESVEMLLKSVGQEEIIPPQTIFEELDACKELHCLTKQMEPSFNNDDNILSQMEDVTDLQPTLPQDDIPENISGIEDVGVDQLRVEDASQTHEGKLSVAGNSGDLDPNALSGNDSPHVTKGSLLADGKCKDADPVDFDNLFDEPPDKREDSCASGMQIDGMTTSVQNIMAIGDELNNKDVQHNIKNVNEENPGGHVLSIETQNMNEKAGEKVTCHLENPHCSASEVESIELGIANQDSVINVEEQSSVILQGDSNLHMLGGCSDRVNGGVLADTNKCEDMVSDIGIDQSKLNTHDLSPIAYKIDTGYAVEVSNNNAEISSSLEPTLKGDSDLHMVDGCSDRECRGVPAETNKCEDMVLFKDTDTGDDNSKLNTHDLSSVVYRSDDRYAVEVSNSNAGISSSLESMLKVDSGQSSSKENASESSFRPDSEILVKKFEVSLSVIKENDVSKDESEENKEDHSNLFNLTATCSSAEIVSEAHVTGASKSPHDSFGVSGEKSNVDGASFSILGESTQICDENEVYRDGDVGDGNLDLSHIEKDNTQLFNESNNTELEIGGSVDKEFQPSSVCEGSAEKELIVPKLKHGADDNESVANVSLENPDLASCVTMDAVPSSSGNGTTTNINRSEVEAETSPDVGPHSDKKQETANKMSKDASFPCIVSSPLAEIGPGSVSEVGKGVSCDTSGPLLCKRVDQSLPVTDSCNTECQNEPQTAVATEVSKRSTNEMEASSVQCESSENDGDGAGATIKDSFEKASANVKDPIMNCDTNVTQRGPSLLVEICGGSAKKVLEDTDTSEVSGDKGSAQDAVPSINSDASMICEGSTCSAALPESHTGFVAPESGRSSVDPHKPDCVSPKVVGTTEPFETKHELGNNKGPTNQSAPVSDTVGDGGNYSPNSQNPNGNDAFKDRGNGTSDVSLSADLPKADTANIVQRSPAIPSPKIVEGSKENSGSGQLDAKISQDISHGGPLVSGGDIGRGGSKSTPERRTRRAPSKATGKPSAKKGSMKATTPVRQSERGDKSISVSQNQSGIFQLVQPSETQPYGHVDGSIKPYSVLTTSTSSLPDLNTSAPQSVIFQQPFTDLQQVQLRAQIFVYGALIQGIAPEEAYMVSAFGGPDGGRGMWENAWRVCIERLHGQKSTPINPETPLQSRSGSRASDQVIKQGALHNKGLSSPVGRASTKGTPQTASPMIPISSPLWSISTPVCEGLQYSVIPRGSVMDYQQGFNPLHPFQTPSVKNLVGHNTTWMPQSSFRGPWLPSPQSSAEASMHFSAFPSTEAVQLTPIKEVSLPQLPTVKHVPSGPSAQTGGPISAFAGPSPLLDPKKVSASPGQHSADPKPRKRKKISPSEELGQISLQAQSQPESALTVAVVSSTTPSTLSSKAMPDKLIMSVPPMSSSDQLKKADLDLEQRATLSEETLAKVKEARQQAEEASSLAAAAVSHSQAIWNQLEKQKNSKLISDGEAKLASAAVAVAAAAAVAKAAAAAANVASNAALQAKLMAEEALDNYENPSPSMRMATPVSILRGEDGTNSSSSILVAAREAARRKVVAASAASKRAENLDAIVKAAELAAEAVSQAGTIVAMGDPLPLSELAEAGPEGYWKVPQVSSELITKSNDMVREQSNVGTVEEDAGTSARHSKDRQSDKKEAQPTPHEKLPIPIEVNRESTEDHLRSVVGVSGFDIVNEKGSKGPKGRKVSEIGSKSALMTVENDFEKEEHASEESGIKEGSLVEVLKDGGGFGAAWFTANVLSLQDGKACVCYTELQSDEGSGKLQEWVALESKEDKPPKIRIARPVTALGFEGTRKRRRAAMADYAWSVGDKVDAWIQDSWWEGVVTEKNKKDETILTVHFPAQGEKSVVKAWHLRPSLIWKDGEWVEWFSVRNDCVSHEGDMPQEKRPKLGSPAVEGKGKDKTSKSIDIVDSGKPEEPRLLNLSANEKVFNMGKNTRTENKPDPTRTIRTGLQKEGAKVVYGIPKPGKKRKFMEVSKHYVANQSTKINETNDSMKFAKYLMPQGSGSRGLKNTSKIDTREKQVTESKLKGLKSIKPQGVPSKSVPQKDNLLTDARTVSDGSSEMDHTGKIKDSVSRVDSVSGKHTLSQPEGPIVFSSLAPSSDFPSSKKVSASTAKSRSNKGNLAPAGAKLGKIEEGKVFSGNPAKSTSEVAEPRRSNRRIQPTSRLLEGLQSSLIITKIPSGSHDKGHRSQNRNASRGNNNG >ONI06560 pep chromosome:Prunus_persica_NCBIv2:G5:8209575:8223916:1 gene:PRUPE_5G068000 transcript:ONI06560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSQNLHLAGEGNTNYPPVLRPYALPKFEFDDSLHGHLRFDSLVETEVFLGIESSETNHWIEDFSRGSSGIEFNSSAAESCSISRRNNVWSEATSSESVEMLLKSVGQEEIIPPQTIFEELDACKELHCLTKQMEPSFNNDDNILSQMEDVTDLQPTLPQDDIPENISGIEDVGVDQLRVEDASQTHEGKLSVAGNSGDLDPNALSGNDSPHVTKGSLLADGKCKDADPVDFDNLFDEPPDKREDSCASGMQIDGMTTSVQNIMAIGDELNNKDVQHNIKNVNEENPGGHVLSIETQNMNEKAGEKVTCHLENPHCSASEVESIELGIANQDSVINVEEQSSVILQGDSNLHMLGGCSDRVNGGVLADTNKCEDMVSDIGIDQSKLNTHDLSPIAYKIDTGYAVEVSNNNAEISSSLEPTLKGDSDLHMVDGCSDRECRGVPAETNKCEDMVLFKDTDTGDDNSKLNTHDLSSVVYRSDDRYAVEVSNSNAGISSSLESMLKVDSGQSSSKENASESSFRPDSEILVKKFEVSLSVIKENDVSKDESEENKEDHSNLFNLTATCSSAEIVSEAHVTGASKSPHDSFGVSGEKSNVDGASFSILGESTQICDENEVYRDGDVGDGNLDLSHIEKDNTQLFNESNNTELEIGGSVDKEFQPSSVCEGSAEKELIVPKLKHGADDNESVANVSLENPDLASCVTMDAVPSSSGNGTTTNINRSEVEAETSPDVGPHSDKKQETANKMSKDASFPCIVSSPLAEIGPGSVSEVGKGVSCDTSGPLLCKRVDQSLPVTDSCNTECQNEPQTAVATEVSKRSTNEMEASSVQCESSENDGDGAGATIKDSFEKASANVKDPIMNCDTNVTQRGPSLLVEICGGSAKKVLEDTDTSEVSGDKGSAQDAVPSINSDASMICEGSTCSAALPESHTGFVAPESGRSSVDPHKPDCVSPKVVGTTEPFETKHELGNNKGPTNQSAPVSDTVGDGGNYSPNSQNPNGNDAFKDRGNGTSDVSLSADLPKADTANIVQRSPAIPSPKIVEGSKENSGSGQLDAKISQDISHGGPLVSGGDIGRGGSKSTPERRTRRAPSKATGKPSAKKGSMKATTPVRQSERGDKSISVSQNQSGIFQLVQPSETQPYGHVDGSIKPYSVLTTSTSSLPDLNTSAPQSVIFQQPFTDLQQVQLRAQIFVYGALIQGIAPEEAYMVSAFGGPDGGRGMWENAWRVCIERLHGQKSTPINPETPLQSRSELRFTGSRASDQVIKQGALHNKGLSSPVGRASTKGTPQTASPMIPISSPLWSISTPVCEGLQYSVIPRGSVMDYQQGFNPLHPFQTPSVKNLVGHNTTWMPQSSFRGPWLPSPQSSAEASMHFSAFPSTEAVQLTPIKEVSLPQLPTVKHVPSGPSAQTGGPISAFAGPSPLLDPKKVSASPGQHSADPKPRKRKKISPSEELGQISLQAQSQPESALTVAVVSSTTPSTLSSKAMPDKLIMSVPPMSSSDQLKKADLDLEQRATLSEETLAKVKEARQQAEEASSLAAAAVSHSQAIWNQLEKQKNSKLISDGEAKLASAAVAVAAAAAVAKAAAAAANVASNAALQAKLMAEEALDNYENPSPSMRMATPVSILRGEDGTNSSSSILVAAREAARRKVVAASAASKRAENLDAIVKAAELAAEAVSQAGTIVAMGDPLPLSELAEAGPEGYWKVPQVSSELITKSNDMVREQSNVGTVEEDAGTSARHSKDRQSDKKEAQPTPHEKLPIPIEVNRESTEDHLRSVVGVSGFDIVNEKGSKGPKGRKVSEIGSKSALMTVENDFEKEEHASEESGIKEGSLVEVLKDGGGFGAAWFTANVLSLQDGKACVCYTELQSDEGSGKLQEWVALESKEDKPPKIRIARPVTALGFEGTRKRRRAAMADYAWSVGDKVDAWIQDSWWEGVVTEKNKKDETILTVHFPAQGEKSVVKAWHLRPSLIWKDGEWVEWFSVRNDCVSHEGDMPQEKRPKLGSPAVEGKGKDKTSKSIDIVDSGKPEEPRLLNLSANEKVFNMGKNTRTENKPDPTRTIRTGLQKEGAKVVYGIPKPGKKRKFMEVSKHYVANQSTKINETNDSMKFAKYLMPQGSGSRGLKNTSKIDTREKQVTESKLKGLKSIKPQGVPSKSVPQKDNLLTDARTVSDGSSEMDHTGKIKDSVSRVDSVSGKHTLSQPEGPIVFSSLAPSSDFPSSKKVSASTAKSRSNKGNLAPAGAKLGKIEEGKVFSGNPAKSTSEVAEPRRSNRRIQPTSRLLEGLQSSLIITKIPSGSHDKGHRSQNRNASRGNNNG >ONI06566 pep chromosome:Prunus_persica_NCBIv2:G5:8209575:8223909:1 gene:PRUPE_5G068000 transcript:ONI06566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSQNLHLAGEGNTNYPPVLRPYALPKFEFDDSLHGHLRFDSLVETEVFLGIESSETNHWIEDFSRGSSGIEFNSSAAESCSISRRNNVWSEATSSESVEMLLKSVGQEEIIPPQTIFEELDACKELHCLTKQMEPSFNNDDNILSQMEDVTDLQPTLPQDDIPENISGIEDVGVDQLRVEDASQTHEGKLSVAGNSGDLDPNALSGNDSPHVTKGSLLADGKCKDADPVDFDNLFDEPPDKREDSCASGMQIDGMTTSVQNIMAIGDELNNKDVQHNIKNVNEENPGGHVLSIETQNMNEKAGEKVTCHLENPHCSASEVESIELGIANQDSVINVEEQSSVILQGDSNLHMLGGCSDRVNGGVLADTNKCEDMVSDIVEVSNNNAEISSSLEPTLKGDSDLHMVDGCSDRECRGVPAETNKCEDMVLFKDTDTGDDNSKLNTHDLSSVVYRSDDRYAVEVSNSNAGISSSLESMLKVDSGQSSSKENASESSFRPDSEILVKKFEVSLSVIKENDVSKDESEENKEDHSNLFNLTATCSSAEIVSEAHVTGASKSPHDSFGVSGEKSNVDGASFSILGESTQICDENEVYRDGDVGDGNLDLSHIEKDNTQLFNESNNTELEIGGSVDKEFQPSSVCEGSAEKELIVPKLKHGADDNESVANVSLENPDLASCVTMDAVPSSSGNGTTTNINRSEVEAETSPDVGPHSDKKQETANKMSKDASFPCIVSSPLAEIGPGSVSEVGKGVSCDTSGPLLCKRVDQSLPVTDSCNTECQNEPQTAVATEVSKRSTNEMEASSVQCESSENDGDGAGATIKDSFEKASANVKDPIMNCDTNVTQRGPSLLVEICGGSAKKVLEDTDTSEVSGDKGSAQDAVPSINSDASMICEGSTCSAALPESHTGFVAPESGRSSVDPHKPDCVSPKVVGTTEPFETKHELGNNKGPTNQSAPVSDTVGDGGNYSPNSQNPNGNDAFKDRGNGTSDVSLSADLPKADTANIVQRSPAIPSPKIVEGSKENSGSGQLDAKISQDISHGGPLVSGGDIGRGGSKSTPERRTRRAPSKATGKPSAKKGSMKATTPVRQSERGDKSISVSQNQSGIFQLVQPSETQPYGHVDGSIKPYSVLTTSTSSLPDLNTSAPQSVIFQQPFTDLQQVQLRAQIFVYGALIQGIAPEEAYMVSAFGGPDGGRGMWENAWRVCIERLHGQKSTPINPETPLQSRSGSRASDQVIKQGALHNKGLSSPVGRASTKGTPQTASPMIPISSPLWSISTPVCEGLQYSVIPRGSVMDYQQGFNPLHPFQTPSVKNLVGHNTTWMPQSSFRGPWLPSPQSSAEASMHFSAFPSTEAVQLTPIKEVSLPQLPTVKHVPSGPSAQTGGPISAFAGPSPLLDPKKVSASPGQHSADPKPRKRKKISPSEELGQISLQAQSQPESALTVAVVSSTTPSTLSSKAMPDKLIMSVPPMSSSDQLKKADLDLEQRATLSEETLAKVKEARQQAEEASSLAAAAVSHSQAIWNQLEKQKNSKLISDGEAKLASAAVAVAAAAAVAKAAAAAANVASNAALQAKLMAEEALDNYENPSPSMRMATPVSILRGEDGTNSSSSILVAAREAARRKVVAASAASKRAENLDAIVKAAELAAEAVSQAGTIVAMGDPLPLSELAEAGPEGYWKVPQVSSELITKSNDMVREQSNVGTVEEDAGTSARHSKDRQSDKKEAQPTPHEKLPIPIEVNRESTEDHLRSVVGVSGFDIVNEKGSKGPKGRKVSEIGSKSALMTVENDFEKEEHASEESGIKEGSLVEVLKDGGGFGAAWFTANVLSLQDGKACVCYTELQSDEGSGKLQEWVALESKEDKPPKIRIARPVTALGFEGTRKRRRAAMADYAWSVGDKVDAWIQDSWWEGVVTEKNKKDETILTVHFPAQGEKSVVKAWHLRPSLIWKDGEWVEWFSVRNDCVSHEGDMPQEKRPKLGSPAVEGKGKDKTSKSIDIVDSGKPEEPRLLNLSANEKVFNMGKNTRTENKPDPTRTIRTGLQKEGAKVVYGIPKPGKKRKFMEVSKHYVANQSTKINETNDSMKFAKYLMPQGSGSRGLKNTSKIDTREKQVTESKLKGLKSIKPQGVPSKSVPQKDNLLTDARTVSDGSSEMDHTGKIKDSVSRVDSVSGKHTLSQPEGPIVFSSLAPSSDFPSSKKVSASTAKSRSNKGNLAPAGAKLGKIEEGKVFSGNPAKSTSEVAEPRRSNRRIQPTSRLLEGLQSSLIITKIPSGSHDKGHRSQNRNASRGNNNG >ONI06561 pep chromosome:Prunus_persica_NCBIv2:G5:8209575:8223909:1 gene:PRUPE_5G068000 transcript:ONI06561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSQNLHLAGEGNTNYPPVLRPYALPKFEFDDSLHGHLRFDSLVETEVFLGIESSETNHWIEDFSRGSSGIEFNSSAAESCSISRRNNVWSEATSSESVEMLLKSVGQEEIIPPQTIFEELDACKELHCLTKQMEPSFNNDDNILSQMEDVTDLQPTLPQDDIPENISGIEDVGVDQLRVEDASQTHEGKLSVAGNSGDLDPNALSGNDSPHVTKGSLLADGKCKDADPVDFDNLFDEPPDKREDSCASGMQIDGMTTSVQNIMAIGDELNNKDVQHNIKNVNEENPGGHVLSIETQNMNEKAGEKVTCHLENPHCSASEVESIELGIANQDSVINVEEQSSVILQGDSNLHMLGGCSDRVNGGVLADTNKCEDMVSDIGIDQSKLNTHDLSPIAYKIDTGYAVEVSNNNAEISSSLEPTLKGDSDLHMVDGCSDRECRGVPAETNKCEDMVLFKDTDTGDDNSKLNTHDLSSVVYRSDDRYAVEVSNSNAGISSSLESMLKVDSGQSSSKENASESSFRPDSEILVKKFEVSLSVIKENDVSKDESEENKEDHSNLFNLTATCSSAEIVSEAHVTGASKSPHDSFGVSGEKSNVDGASFSILGESTQICDENEVYRDGDVGDGNLDLSHIEKDNTQLFNESNNTELEIGGSVDKEFQPSSVCEGSAEKELIVPKLKHGADDNESVANVSLENPDLASCVTMDAVPSSSGNGTTTNINRSEVEAETSPDVGPHSDKKQETANKMSKDASFPCIVSSPLAEIGPGSVSEVGKGVSCDTSGPLLCKRVDQSLPVTDSCNTECQNEPQTAVATEVSKRSTNEMEASSVQCESSENDGDGAGATIKDSFEKASANVKDPIMNCDTNVTQRGPSLLVEICGGSAKKVLEDTDTSEVSGDKGSAQDAVPSINSDASMICEGSTCSAALPESHTGFVAPESGRSSVDPHKPDCVSPKVVGTTEPFETKHELGNNKGPTNQSAPVSDTVGDGGNYSPNSQNPNGNDAFKDRGNGTSDVSLSADLPKADTANIVQRSPAIPSPKIVEGSKENSGSGQLDAKISQDISHGGPLVSGGDIGRGGSKSTPERRTRRAPSKATGKPSAKKGSMKATTPVRQSERGDKSISVSQNQSGIFQLVQPSETQPYGHVDGSIKPYSVLTTSTSSLPDLNTSAPQSVIFQQPFTDLQQVQLRAQIFVYGALIQGIAPEEAYMVSAFGGPDGGRGMWENAWRVCIERLHGQKSTPINPETPLQSRSELRFTGSRASDQVIKQGALHNKGLSSPVGRASTKGTPQTASPMIPISSPLWSISTPVCEGLQYSVIPRGSVMDYQQGFNPLHPFQTPSVKNLVGHNTTWMPQSSFRGPWLPSPQSSAEASMHFSAFPSTEAVQLTPIKEVSLPQLPTVKHVPSGPSAQTGGPISAFAGPSPLLDPKKVSASPGQHSADPKPRKRKKISPSEELGQISLQAQSQPESALTVAVVSSTTPSTLSSKAMPDKLIMSVPPMSSSDQLKKADLDLEQRATLSEETLAKVKEARQQAEEASSLAAAAVSHSQAIWNQLEKQKNSKLISDGEAKLAAAAAANVASNAALQAKLMAEEALDNYENPSPSMRMATPVSILRGEDGTNSSSSILVAAREAARRKVVAASAASKRAENLDAIVKAAELAAEAVSQAGTIVAMGDPLPLSELAEAGPEGYWKVPQVSSELITKSNDMVREQSNVGTVEEDAGTSARHSKDRQSDKKEAQPTPHEKLPIPIEVNRESTEDHLRSVVGVSGFDIVNEKGSKGPKGRKVSEIGSKSALMTVENDFEKEEHASEESGIKEGSLVEVLKDGGGFGAAWFTANVLSLQDGKACVCYTELQSDEGSGKLQEWVALESKEDKPPKIRIARPVTALGFEGTRKRRRAAMADYAWSVGDKVDAWIQDSWWEGVVTEKNKKDETILTVHFPAQGEKSVVKAWHLRPSLIWKDGEWVEWFSVRNDCVSHEGDMPQEKRPKLGSPAVEGKGKDKTSKSIDIVDSGKPEEPRLLNLSANEKVFNMGKNTRTENKPDPTRTIRTGLQKEGAKVVYGIPKPGKKRKFMEVSKHYVANQSTKINETNDSMKFAKYLMPQGSGSRGLKNTSKIDTREKQVTESKLKGLKSIKPQGVPSKSVPQKDNLLTDARTVSDGSSEMDHTGKIKDSVSRVDSVSGKHTLSQPEGPIVFSSLAPSSDFPSSKKVSASTAKSRSNKGNLAPAGAKLGKIEEGKVFSGNPAKSTSEVAEPRRSNRRIQPTSRLLEGLQSSLIITKIPSGSHDKGHRSQNRNASRGNNNG >ONI06562 pep chromosome:Prunus_persica_NCBIv2:G5:8209570:8223909:1 gene:PRUPE_5G068000 transcript:ONI06562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSQNLHLAGEGNTNYPPVLRPYALPKFEFDDSLHGHLRFDSLVETEVFLGIESSETNHWIEDFSRGSSGIEFNSSAAESCSISRRNNVWSEATSSESVEMLLKSVGQEEIIPPQTIFEELDACKELHCLTKQMEPSFNNDDNILSQMEDVTDLQPTLPQDDIPENISGIEDVGVDQLRVEDASQTHEGKLSVAGNSGDLDPNALSGNDSPHVTKGSLLADGKCKDADPVDFDNLFDEPPDKREDSCASGMQIDGMTTSVQNIMAIGDELNNKDVQHNIKNVNEENPGGHVLSIETQNMNEKAGEKVTCHLENPHCSASEVESIELGIANQDSVINVEEQSSVILQGDSNLHMLGGCSDRVNGGVLADTNKCEDMVSDIGIDQSKLNTHDLSPIAYKIDTGYAVEVSNNNAEISSSLEPTLKGDSDLHMVDGCSDRECRGVPAETNKCEDMVLFKDTDTGDDNSKLNTHDLSSVVYRSDDRYAVEVSNSNAGISSSLESMLKVDSGQSSSKENASESSFRPDSEILVKKFEVSLSVIKENDVSKDESEENKEDHSNLFNLTATCSSAEIVSEAHVTGASKSPHDSFGVSGEKSNVDGASFSILGESTQICDENEVYRDGDVGDGNLDLSHIEKDNTQLFNESNNTELEIGGSVDKEFQPSSVCEGSAEKELIVPKLKHGADDNESVANVSLENPDLASCVTMDAVPSSSGNGTTTNINRSEVEAETSPDVGPHSDKKQETANKMSKDASFPCIVSSPLAEIGPGSVSEVGKGVSCDTSGPLLCKRVDQSLPVTDSCNTECQNEPQTAVATEVSKRSTNEMEASSVQCESSENDGDGAGATIKDSFEKASANVKDPIMNCDTNVTQRGPSLLVEICGGSAKKVLEDTDTSEVSGDKGSAQDAVPSINSDASMICEGSTCSAALPESHTGFVAPESGRSSVDPHKPDCVSPKVVGTTEPFETKHELGNNKGPTNQSAPVSDTVGDGGNYSPNSQNPNGNDAFKDRGNGTSDVSLSADLPKADTANIVQRSPAIPSPKIVEGSKENSGSGQLDAKISQDISHGGPLVSGGDIGRGGSKSTPERRTRRAPSKATGKPSAKKGSMKATTPVRQSERGDKSISVSQNQSGIFQLVQPSETQPYGHVDGSIKPYSVLTTSTSSLPDLNTSAPQSVIFQQPFTDLQQVQLRAQIFVYGALIQGIAPEEAYMVSAFGGPDGGRGMWENAWRVCIERLHGQKSTPINPETPLQSRSGSRASDQVIKQGALHNKGLSSPVGRASTKGTPQTASPMIPISSPLWSISTPVCEGLQYSVIPRGSVMDYQQGFNPLHPFQTPSVKNLVGHNTTWMPQSSFRGPWLPSPQSSAEASMHFSAFPSTEAVQLTPIKEVSLPQLPTVKHVPSGPSAQTGGPISAFAGPSPLLDPKKVSASPGQHSADPKPRKRKKISPSEELGQISLQAQSQPESALTVAVVSSTTPSTLSSKAMPDKLIMSVPPMSSSDQLKKADLDLEQRATLSEETLAKVKEARQQAEEASSLAAAAVSHSQAIWNQLEKQKNSKLISDGEAKLASAAVAVAAAAAVAKAAAAAANVASNAALQAKLMAEEALDNYENPSPSMRMATPVSILRGEDGTNSSSSILVAAREAARRKVVAASAASKRAENLDAIVKAAELAAEAVSQAGTIVAMGDPLPLSELAEAGPEGYWKVPQVSSELITKSNDMVREQSNVGTVEEDAGTSARHSKDRQSDKKEAQPTPHEKLPIPIEVNRESTEDHLRSVVGVSGFDIVNEKGSKGPKGRKVSEIGSKSALMTVENDFEKEEHASEESGIKEGSLVEVLKDGGGFGAAWFTANVLSLQDGKACVCYTELQSDEGSGKLQEWVALESKEDKPPKIRIARPVTALGFEGTRKRRRAAMADYAWSVGDKVDAWIQDSWWEGVVTEKNKKDETILTVHFPAQGEKSVVKAWHLRPSLIWKDGEWVEWFSVRNDCVSHEGDMPQEKRPKLGSPAVEGKGKDKTSKSIDIVDSGKPEEPRLLNLSANEKVFNMGKNTRTENKPDPTRTIRTGLQKEGAKVVYGIPKPGKKRKFMEVSKHYVANQSTKINETNDSMKFAKYLMPQGSGSRGLKNTSKIDTREKQVTESKLKGLKSIKPQGVPSKSVPQKDNLLTDARTVSDGSSEMDHTGKIKDSVSRVDSVSGKHTLSQPEGPIVFSSLAPSSDFPSSKKVSASTAKSRSNKGNLAPAGAKLGKIEEGKVFSGNPAKSTSEVAEPRRSNRRIQPTSRLLEGLQSSLIITKIPSGSHDKGHRSQNRNASRGNNNG >ONI05695 pep chromosome:Prunus_persica_NCBIv2:G5:2132202:2134595:-1 gene:PRUPE_5G019600 transcript:ONI05695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPSSHFRKHWQNYVKTWFNQPARKTRRRKARQEKAVKIFPRPTSGPLRPIVHGQTLKYNMKVRSGRGFTLEELKTAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRARKLKAGDSSPEELANATQIQGPYMPIVREKPTVELVKVTNDMKAFKAYDKLRVERMNERHVGARLKKAAEAEKEEKK >ONI05696 pep chromosome:Prunus_persica_NCBIv2:G5:2132215:2134743:-1 gene:PRUPE_5G019600 transcript:ONI05696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPSSHFRKHWQNYVKTWFNQPARKTRRRKARQEKAVKIFPRPTSGPLRPIVHGQTLKYNMKVRSGRGFTLEELKTAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRARKLKAGDSSPEELANATQIQGPYMPIVREKPTVELVKVTNDMKAFKAYDKLRVERMNERHVGARLKKAAEAEKEEKK >ONI05694 pep chromosome:Prunus_persica_NCBIv2:G5:2132201:2134743:-1 gene:PRUPE_5G019600 transcript:ONI05694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPSSHFRKHWQNYVKTWFNQPARKTRRRKARQEKAVKIFPRPTSGPLRPIVHGQTLKYNMKVRSGRGFTLEELKTAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRARKLKAGDSSPEELANATQIQGPYMPIVREKPTVELVKVTNDMKAFKAYDKLRVERMNERHVGARLKKAAEAEKEEKK >ONI09414 pep chromosome:Prunus_persica_NCBIv2:G5:17905585:17907231:-1 gene:PRUPE_5G236800 transcript:ONI09414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEFKQLKQEYPDRILIASIMEEYNKAGWEELIDRVEQTGVDAFEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATIPVWAKMTPNVTDITQPARVALSSGCEGVAAINTIMSVMGINLTTLRPEPCVEGYSTPGGYSSKAVHPIALAKVMNIAKMMKSEFGDKDYSLSGIGGVESGGDAAEFILLGANTVQVCTGVMMHGYGIVKKLCSELQDFMKLHNFSSIEDFRGVSLEYFTTHTDLVRRQQEAIQQRKAVRKGLQSDKEWTGDGFVKETESMVSN >ONI09413 pep chromosome:Prunus_persica_NCBIv2:G5:17905585:17907231:-1 gene:PRUPE_5G236800 transcript:ONI09413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEFKQLKQEYPDRILIASIMEEYNKAGWEELIDRVEQTGVDAFEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATIPVWAKMTPNVTDITQPARVALSSGCEGVAAINTIMSVMGINLTTLRPEPCVEGYSTPGGYSSKAVHPIALAKVMNIAKMMKSEFGDKDYSLSGIGGVESGGDAAEFILLGANTVQVCTGVMMHGYGIVKKLCSELQDFMKLHNFSSIEDFRGVSLEYFTTHTDLVRRQQEAIQQRKAVRKGLQSDKEWTGDGFVKETESMVSN >ONI09412 pep chromosome:Prunus_persica_NCBIv2:G5:17905275:17908345:-1 gene:PRUPE_5G236800 transcript:ONI09412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFTQIRGKNPVAEFSRTRPELGLTRPSRVGFRVFASESEAKAEPDLSVTVNGLHMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVKNVTPRYARLRADANGSPKGQIIGWENIELISDRPLEIMLKEFKQLKQEYPDRILIASIMEEYNKAGWEELIDRVEQTGVDAFEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATIPVWAKMTPNVTDITQPARVALSSGCEGVAAINTIMSVMGINLTTLRPEPCVEGYSTPGGYSSKAVHPIALAKVMNIAKMMKSEFGDKDYSLSGIGGVESGGDAAEFILLGANTVQVCTGVMMHGYGIVKKLCSELQDFMKLHNFSSIEDFRGVSLEYFTTHTDLVRRQQEAIQQRKAVRKGLQSDKEWTGDGFVKETESMVSN >ONI09411 pep chromosome:Prunus_persica_NCBIv2:G5:17905301:17908290:-1 gene:PRUPE_5G236800 transcript:ONI09411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFTQIRGKNPVAEFSRTRPELGLTRPSRVGFRVFASESEAKAEPDLSVTVNGLHMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVKNVTPRYARLRADANGSPKGQIIGWENIELISDRPLEIMLKEFKQLKQEYPDRILIASIMEEYNKAGWEELIDRVEQTGVDAFEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATIPVWAKMTPNVTDITQVCTGVMMHGYGIVKKLCSELQDFMKLHNFSSIEDFRGVSLEYFTTHTDLVRRQQEAIQQRKAVRKGLQSDKEWTGDGFVKETESMVSN >ONI07963 pep chromosome:Prunus_persica_NCBIv2:G5:13637895:13640365:1 gene:PRUPE_5G150100 transcript:ONI07963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSPNESSLLFMIAVGPSMVVIALMFIVRPVGGHRQVRPADNSSFLFTYSVCLVLAAYLLGVLILEDVLNLSQSLITLFAVILIILILLPIIIPIILVFFSEPTPSAQESLLLEAQREEDGKSEQDKTEVILSEVEDEKSPEVDSLPASERQKRIAQLQAKLFQAAADGAVRVKRRKGPRRGEDFTLMQALIKADFWLIFVSLLLAAGSGLTIIDNLGQITQSLGYTDSSIYVSMISIWNFLGRVGGGYFSEIIVRDFAYPRPVAMAVVQVIMAIGLFYYALGLPGQIYVTTVLVGLGYGAHWAVLPAAASELFGLKSFGALYNFLTMANPAGSLIFSEVIASGIYDHYAKEQAALRHRDSASMLIKPLRVDDSLSCVGNVCYSITCGILSGLCIVAAALSLIVVYRTRRVYTQLYGSRG >ONI07964 pep chromosome:Prunus_persica_NCBIv2:G5:13637198:13640365:1 gene:PRUPE_5G150100 transcript:ONI07964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSPNESSLLFMIAVGPSMVVIALMFIVRPVGGHRQVRPADNSSFLFTYSVCLVLAAYLLGVLILEDVLNLSQSLITLFAVILIILILLPIIIPIILVFFSEPTPSAQESLLLEAQREEDGKSEQDKTEVILSEVEDEKSPEVDSLPASERQKRIAQLQAKLFQAAADGAVRVKRRKGPRRGEDFTLMQALIKADFWLIFVSLLLAAGSGLTIIDNLGQITQSLGYTDSSIYVSMISIWNFLGRVGGGYFSEIIVRDFAYPRPVAMAVVQVIMAIGLFYYALGLPGQIYVTTVLVGLGYGAHWAVLPAAASELFGLKSFGALYNFLTMANPAGSLIFSEVIASGIYDHYAKEQAALRHRDSASMLIKPLRVDDSLSCVGNVCYSITCGILSGLCIVAAALSLIVVYRTRRVYTQLYGSRG >ONI07962 pep chromosome:Prunus_persica_NCBIv2:G5:13637005:13640393:1 gene:PRUPE_5G150100 transcript:ONI07962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFHGKLKSFFNSRWLVFVCAMWIQSFAGIGYLFGSISPVIKSTMGYNQRQVAILGVAKDWGDAVGFVAGSLSEVLPTWGLLSIGAALNFLGYGFLWLIVSQRLPAFPLWVLCICIFVGTNGETFFNTAALISCVQNFPKSRGPVVGILKGYAGLSGAIITQIYAMINSPNESSLLFMIAVGPSMVVIALMFIVRPVGGHRQVRPADNSSFLFTYSVCLVLAAYLLGVLILEDVLNLSQSLITLFAVILIILILLPIIIPIILVFFSEPTPSAQESLLLEAQREEDGKSEQDKTEVILSEVEDEKSPEVDSLPASERQKRIAQLQAKLFQAAADGAVRVKRRKGPRRGEDFTLMQALIKADFWLIFVSLLLAAGSGLTIIDNLGQITQSLGYTDSSIYVSMISIWNFLGRVGGGYFSEIIVRDFAYPRPVAMAVVQVIMAIGLFYYALGLPGQIYVTTVLVGLGYGAHWAVLPAAASELFGLKSFGALYNFLTMANPAGSLIFSEVIASGIYDHYAKEQAALRHRDSASMLIKPLRVDDSLSCVGNVCYSITCGILSGLCIVAAALSLIVVYRTRRVYTQLYGSRG >ONI05568 pep chromosome:Prunus_persica_NCBIv2:G5:1416682:1420841:-1 gene:PRUPE_5G013300 transcript:ONI05568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRHMEMKKSFKFCIRSLLTPCSKQEFCQAFPNFTTAEQERLHRMFIQVITSLHGNVEDEFQSVCLETQVGTALDTVEQLVEEQALDRLFSDKTNVMDVAHDLSTMKKDQIQYLTKMLETAEEQNQCLRDRVELLKKERLDVSGMANAVERLRSGSVMYGMYNSNSLHNP >ONI05567 pep chromosome:Prunus_persica_NCBIv2:G5:1416371:1421247:-1 gene:PRUPE_5G013300 transcript:ONI05567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRHMEMKKSFKFCIRSLLTPCSKQEFCQAFPNFTTAEQERLHRMFIQVITSLHGNVEDEFQSVCLETQVGTALDTVEQLVEEQALDRLFSDKTNVMDVAHDLSTMKKDQIQYLTKMLETAEEQNQCLRDRVELLKKERLDVSGMANAVERLRSGSVMYGMYNSNSLHNP >ONI05569 pep chromosome:Prunus_persica_NCBIv2:G5:1416371:1421189:-1 gene:PRUPE_5G013300 transcript:ONI05569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQVITSLHGNVEDEFQSVCLETQVGTALDTVEQLVEEQALDRLFSDKTNVMDVAHDLSTMKKDQIQYLTKMLETAEEQNQCLRDRVELLKKERLDVSGMANAVERLRSGSVMYGMYNSNSLHNP >ONI05760 pep chromosome:Prunus_persica_NCBIv2:G5:2602007:2605453:1 gene:PRUPE_5G023200 transcript:ONI05760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGTGYLGRRIVSASLSQGHPTYVLQRPEIGLDIDKLQMLLAFKKQGAHLVEGSFSDLESLVRAVKLVDVVICPISGVHIRSHNILLQLQLVEAIKRAGNIKRFLPSEFGLDPPRMGHALEPGRVTFDDKMVVRKAIQDAKIPFTYVCGAGVAGYFAGNLSQMGTLIPPKEKVLIYGDGNAKVSIVDEDNIAAYTIKTIDDPRTLNKTLYLRPPENELSQKQLVEMWENLIGKKLEHISISEEDFLASMKGMDYAGQVGAGHFYHMFYEGVLTNFEIGEEGEEASKLYPEVKYTRMNEYLKIYV >ONI08078 pep chromosome:Prunus_persica_NCBIv2:G5:13973324:13977206:-1 gene:PRUPE_5G157300 transcript:ONI08078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTSLFIPILFLLSFSLLQTGQVSSFTQRNQEFEKSASSRTLEQEHEHAHEVHCSRERSRAAWKIIEEYLMPFLEQEHYEMSSKCRLHPDNDLFRDQEQHKIHLDINEWQCGYCKKSFRAEKFLDQHFDNRHYNLLNVSGSKCLADLCGALHCDVVMNTKSSKTKCNPAAAARNHHLCEGLADSCFPINQGPSARRLNEAIKCILSGYFHTDFDAAPYFLSDSLFAPKRDENGNPRS >ONI08076 pep chromosome:Prunus_persica_NCBIv2:G5:13973307:13977293:-1 gene:PRUPE_5G157300 transcript:ONI08076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTSLFIPILFLLSFSLLQTGQVSSFTQRNQEFEKSASSRTLEQEHEHAHEVHCSRERSRAAWKIIEEYLMPFLEQEHYEMSSKCRLHPDNDLFRDQEQHKIHLDINEWQCGYCKKSFRAEKFLDQHFDNRHYNLLNVSGSKCLADLCGALHCDVVMNTKSSKTKCNPAAAARNHHLCEGLADSCFPINQGPSARRLNELFLHQFCHAHTCSRKHKHFPRGGKKQLSVFYLAISILTLMLLPIFYLIVYLHQREMRTGTQDLRRISKVGQKTKPS >ONI08075 pep chromosome:Prunus_persica_NCBIv2:G5:13973792:13977207:-1 gene:PRUPE_5G157300 transcript:ONI08075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTSLFIPILFLLSFSLLQTGQVSSFTQRNQEFEKSASSRTLEQEHEHAHEVHCSRERSRAAWKIIEEYLMPFLEQEHYEMSSKCRLHPDNDLFRDQEQHKIHLDINEWQCGYCKKSFRAEKFLDQHFDNRHYNLLNVSGSKCLADLCGALHCDVVMNTKSSKTKCNPAAAARNHHLCEGLADSCFPINQGPSARRLNELFLHQFCHAHTCSRKHKHFPRGGKKQLSVFYLAISILTLMLLPIFYLIVYLHQREMRTGTQDLRRISKVGQKTKPS >ONI08077 pep chromosome:Prunus_persica_NCBIv2:G5:13973324:13977206:-1 gene:PRUPE_5G157300 transcript:ONI08077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTSLFIPILFLLSFSLLQTGQVSSFTQRNQEFEKSASSRTLEQEHEHAHEVHCSRERSRAAWKIIEEYLMPFLEQEHYEMSSKCRLHPDNDLFRDQEQHKIHLDINEWQCGYCKKSFRAEKFLDQHFDNRHYNLLNVSGSKCLADLCGALHCDVVMNTKSSKTKCNPAAAARNHHLCEGLADSCFPINQGPSARRLNELFLHQFCHAHTCSRKHKHFPRGGKKQLSVFYLAISILTLMLLPIFYLIVYLHQREMRTGTQDLRRISKVGQKTKPS >ONI08668 pep chromosome:Prunus_persica_NCBIv2:G5:15739078:15740225:1 gene:PRUPE_5G192500 transcript:ONI08668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKSESVIVHGFWASPYSKRVELALKLKGIPYEYVEEDLKNKTPELLKYNPVYKKVPVLVHNGKPIAESLVILQYIEDTWKNGPHLLPEDPYKRAQVRFWVDFVHQKFSGIMMTAAKTEGETQEKALNEVYELLKVLEEGIKSFYPDGIPTFEAENLSLLEVVASSILCLFKAPEEILGIKVIDPEITPLLFSWVEALRELSLVQETIPSHEKIVALLGTLRQLAINPPSQS >ONI05655 pep chromosome:Prunus_persica_NCBIv2:G5:1849132:1855256:1 gene:PRUPE_5G017200 transcript:ONI05655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFCSVGDALDHWATQLEVAEPLRAQITGAPLEDARHLTHRYDKLRQEVEAQVAEVLRRRLKSRGSVSAESSVKLQNAEARLTELKSTTVALGREATAAMLSVEEHQQQMTFHKLCTMVDAERSYHQHALAILDKLHSEMILEKLPKEFSSQSEKMDPDTNSNRSDDHGQLYQDDTFFIARAIHPFDAQADGELNLAIDDYVVVRQVGPNGWSEGECNGKAGWFPSAYIERQEKAPSAKLM >ONI05652 pep chromosome:Prunus_persica_NCBIv2:G5:1846957:1855319:1 gene:PRUPE_5G017200 transcript:ONI05652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIRKQASKLREQVAKQQQAVLKRLGSLGNEAVMIDEAELLCHQNLQDLYNSTRAAKHFQRSLVRGVEAFVSISSKQMEIVRKLAEDCCKYGAENQSTSTPLVIASDYFGTSYNSIEVEREIFLKILGEQVAEPLRAQITGAPLEDARHLTHRYDKLRQEVEAQVAEVLRRRLKSRGSVSAESSVKLQNAEARLTELKSTTVALGREATAAMLSVEEHQQQMTFHKLCTMVDAERSYHQHALAILDKLHSEMILEKLPKEFSSQSEKMDPDTNSNRSDDHGQLYQDDTFFIARAIHPFDAQADGELNLAIDDYVVVRQVGPNGWSEGECNGKAGWFPSAYIERQEKAPSAKLI >ONI05656 pep chromosome:Prunus_persica_NCBIv2:G5:1849323:1855256:1 gene:PRUPE_5G017200 transcript:ONI05656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNLPKFNVAEPLRAQITGAPLEDARHLTHRYDKLRQEVEAQVAEVLRRRLKSRGSVSAESSVKLQNAEARLTELKSTTVALGREATAAMLSVEEHQQQMTFHKLCTMVDAERSYHQHALAILDKLHSEMILEKLPKEFSSQSEKMDPDTNSNRSDDHGQLYQDDTFFIARAIHPFDAQADGELNLAIDDYVVVRQVGPNGWSEGECNGKAGWFPSAYIERQEKAPSAKLM >ONI05653 pep chromosome:Prunus_persica_NCBIv2:G5:1846957:1855256:1 gene:PRUPE_5G017200 transcript:ONI05653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIRKQASKLREQVAKQQQAVLKRLGSLGNEAVMIDEAELLCHQNLQDLYNSTRAAKHFQRSLVRGVEAFVSISSKQMEIVRKLAEDCCKYGAENQSTSTPLVIASDYFGTSYNSIEVEREIFLKILGEQVAEPLRAQITGAPLEDARHLTHRYDKLRQEVEAQVAEVLRRRLKSRGSVSAESSVKLQNAEARLTELKSTTVALGREATAAMLSVEEHQQQMTFHKLCTMVDAERSYHQHALAILDKLHSEMILEKLPKEFSSQSEKMDPDTNSNRSDDHGQLYQDDTFFIARAIHPFDAQADGELNLAIDDYVVVRQVGPNGWSEGECNGKAGWFPSAYIERQEKAPSAKLM >ONI05654 pep chromosome:Prunus_persica_NCBIv2:G5:1846956:1855256:1 gene:PRUPE_5G017200 transcript:ONI05654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIRKQASKLREQVAKQQQAVLKRLGSLGNEAVMIDEAELLCHQNLQDLYNSTRAAKHFQRSLVRGVEAFVSISSKQMEIVRKLAEDCCKYGAENQSTSTPLVIASDYFGTSYNSIEVEREIFLKILGEQVAEPLRAQITGAPLEDARHLTHRYDKLRQEVEAQVAEVLRRRLKSRGSVSAESSVKLQNAEARLTELKSTTVALGREATAAMLSVEEHQQQMTFHKLCTMVDAERSYHQHALAILDKLHSEAIHPFDAQADGELNLAIDDYVVVRQVGPNGWSEGECNGKAGWFPSAYIERQEKAPSAKLM >ONI08149 pep chromosome:Prunus_persica_NCBIv2:G5:14123310:14126553:1 gene:PRUPE_5G159800 transcript:ONI08149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAVCYSLATLSSTKPLLSHKNTTSIANLKSHERTLQSSLVGTKIAISKTTRPLSSRRLGTSSSEITCSASASPLPSALLFDCDGVLVDTEKDGHRISFNDTFKEKELGVTWDVDLYGELLKIGGGKERMTAYFNKTGWPENAPKSEEERKAFIASLHKRKTELFMALIEKKLLPLRPGVAKLIDQALAEGVKVAVCSTSNEKAVSAIVTFLLGPERAEKIKIFAGDVVPRKKPDPAIYVLAANTLGVDPSSCVVIEDSGIGLAAAKAAGMKCIVTKSGYTANEDFLNADAVFDFIGDPPEERFDLAFCRSLLKQYVS >ONI06322 pep chromosome:Prunus_persica_NCBIv2:G5:5701107:5702598:1 gene:PRUPE_5G053200 transcript:ONI06322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVATSVLSKYCRYLWASTKTLDFDVGKTLFSFTDLSRTLQDEESLENVNWVNHVVEQHTSLNIKLFRVCFDLDSRFTSSIDNWIPFALKKRVQVLVLDFVMVHGDVSIDFYTFPRQLLGLEEGFASLHYVGLKSLKVPYFQNVDATGEILEYFLSHIFQFLNHYQCGERRIEKKLCLRELAKVYMEEFIVALSIAVLT >ONI07335 pep chromosome:Prunus_persica_NCBIv2:G5:11710236:11710652:-1 gene:PRUPE_5G113800 transcript:ONI07335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFFSRMLFIIMILVGTCVASISQATATAMNITEEFERSLTEIERSYLNSEERERRFEIFKNNFNKKQEQGDHDDNSSSSPTPRFSGSCAGTSYFNSTMINFWFNIFLLVFICLFFLTIQLRSVAIRLFNSTKRAFL >ONI07757 pep chromosome:Prunus_persica_NCBIv2:G5:12982387:12985004:1 gene:PRUPE_5G137800 transcript:ONI07757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKVREREKEEAEAEEVGGVISSPAKIPHACHFVCGSNSTYYFFTTSISFLFFSFFSFSEASRSIPTPTLSSSSSATTYTTASTSKTHHVDYTIIIILSVSSAAFLAFLLVSAMAVLRCFGFKLKRKQTRVTSNSLSSLEGISHEPLDQKDDMAGESGNFSVRKLSWDEIERSTNNFCKVIGSGGYSNVYLARKPSGFWAIKINNGSERLNQVFKQELDILLHLQHPHIVKLLGYCDKQEEGALVFEYVANGNLQDKLHGGESSPVLPWRNRMAIAFQIAQALEYLHEKCPLQIVHMDIKASNILLDQDLNCKLCDFGSAKMGFSSTVRPPSSSMKSHVLTMMGSPGYTDPHYLRTGIASKKNDVYSFGVLVLELVTGMEAFCSEKGQFLTSMVGPRLKDGGGNVAEAARMVDPRLGAAGFDVEEAKTMLSVSAMCLRQSPTLRPSAAQILQTIHEKIPSVSFLQSHQKQIIY >ONI05320 pep chromosome:Prunus_persica_NCBIv2:G5:276077:279570:-1 gene:PRUPE_5G001300 transcript:ONI05320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVNCSSSSSTCRPPPPLGSHLSGPDNGVLVNMSPTLFSYFNPIVQLDRTLPNKDSLCCDSEEALGCTIINSFASTPKSSTELKEEIATLELEIMHLERHLLSLYRTTFQGCALLSTPESHLQFKTGHSKLELQVHRGGLVHHDQTSPAHRRVSSDNWNSATSIKAPSNWDRKINSRHRSLADHLGETRNDSTLNTPDRLSEDIIRCISSIYCKLANPQTHTCTSASPASSLSSSSIFSSKNPCDSWSPHCNEDATMHHQGLKENSGPYATMIEVLKICLDDDSFNYAAMMLQNFRSLVRSLEKVDVLKMKREEKLVFWINIHNALVMHAYLAYGTHSRVKSTSILKAAYNIGGHCINAYIIQSSILGIRAHHSAPWLQTLFHSGRKLKTGSIRHAYSLEYPEPLVHFALCSGAYSDPAVRAYRAKSIFQDLKLAKKEFIQANVYMYKETKLFLPKILYYFAKDMSLGMLGLLEEINDCLSDIQKKAIRSCMAGKLDKYIQWLPQSSTFRYVIHEELANVKLTF >ONI05319 pep chromosome:Prunus_persica_NCBIv2:G5:275954:283019:-1 gene:PRUPE_5G001300 transcript:ONI05319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGQSCREGGLEVSRVLISPQTLFRPPLSCFRLTLSCLCSHLPLRLCCRSSLYSVLDGPFLLGFQVSSTTSPGEQIVTQKDLFLFILSNRRRQKMLAVNCSSSSSTCRPPPPLGSHLSGPDNGVLVNMSPTLFSYFNPIVQLDRTLPNKDSLCCDSEEALGCTIINSFASTPKSSTELKEEIATLELEIMHLERHLLSLYRTTFQGCALLSTPESHLQFKTGHSKLELQVHRGGLVHHDQTSPAHRRVSSDNWNSATSIKAPSNWDRKINSRHRSLADHLGETRNDSTLNTPDRLSEDIIRCISSIYCKLANPQTHTCTSASPASSLSSSSIFSSKNPCDSWSPHCNEDATMHHQGLKENSGPYATMIEVLKICLDDDSFNYAAMMLQNFRSLVRSLEKVDVLKMKREEKLVFWINIHNALVMHAYLAYGTHSRVKSTSILKAAYNIGGHCINAYIIQSSILGIRAHHSAPWLQTLFHSGRKLKTGSIRHAYSLEYPEPLVHFALCSGAYSDPAVRAYRAKSIFQDLKLAKKEFIQANVYMYKETKLFLPKILYYFAKDMSLGMLGLLEEINDCLSDIQKKAIRSCMAGKLDKYIQWLPQSSTFRYVIHEELANVKLTF >ONI05318 pep chromosome:Prunus_persica_NCBIv2:G5:275432:280219:-1 gene:PRUPE_5G001300 transcript:ONI05318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVNCSSSSSTCRPPPPLGSHLSGPDNGVLVNMSPTLFSYFNPIVQLDRTLPNKDSLCCDSEEALGCTIINSFASTPKSSTELKEEIATLELEIMHLERHLLSLYRTTFQGCALLSTPESHLQFKTGHSKLELQVHRGGLVHHDQTSPAHRRVSSDNWNSATSIKAPSNWDRKINSRHRSLADHLGETRNDSTLNTPDRLSEDIIRCISSIYCKLANPQTHTCTSASPASSLSSSSIFSSKNPCDSWSPHCNEDATMHHQGLKENSGPYATMIEVLKICLDDDSFNYAAMMLQNFRSLVRSLEKVDVLKMKREEKLVFWINIHNALVMHAYLAYGTHSRVKSTSILKAAYNIGGHCINAYIIQSSILGIRAHHSAPWLQTLFHSGRKLKTGSIRHAYSLEYPEPLVHFALCSGAYSDPAVRAYRAKSIFQDLKLAKKEFIQANVYMYKETKLFLPKILYYFAKDMSLGMLGLLEEINDCLSDIQKKAIRSCMAGKLDKYIQWLPQSSTFRIMQQKPIADRFQGPKEPLHPSRDCCGLERRGLWLLLLTGVCW >ONI05317 pep chromosome:Prunus_persica_NCBIv2:G5:275376:281614:-1 gene:PRUPE_5G001300 transcript:ONI05317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVNCSSSSSTCRPPPPLGSHLSGPDNGVLVNMSPTLFSYFNPIVQLDRTLPNKDSLCCDSEEALGCTIINSFASTPKSSTELKEEIATLELEIMHLERHLLSLYRTTFQGCALLSTPESHLQFKTGHSKLELQVHRGGLVHHDQTSPAHRRVSSDNWNSATSIKAPSNWDRKINSRHRSLADHLGETRNDSTLNTPDRLSEDIIRCISSIYCKLANPQTHTCTSASPASSLSSSSIFSSKNPCDSWSPHCNEDATMHHQGLKENSGPYATMIEVLKICLDDDSFNYAAMMLQNFRSLVRSLEKVDVLKMKREEKLVFWINIHNALVMHAYLAYGTHSRVKSTSILKAAYNIGGHCINAYIIQSSILGIRAHHSAPWLQTLFHSGRKLKTGSIRHAYSLEYPEPLVHFALCSGAYSDPAVRAYRAKSIFQDLKLAKKEFIQANVYMYKETKLFLPKILYYFAKDMSLGMLGLLEEINDCLSDIQKKAIRSCMAGKLDKYIQWLPQSSTFRIMQQKPIADRFQGPKEPLHPSRDCCGLERRGLWLLLLTGVCW >ONI05316 pep chromosome:Prunus_persica_NCBIv2:G5:275437:280219:-1 gene:PRUPE_5G001300 transcript:ONI05316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVNCSSSSSTCRPPPPLGSHLSGPDNGVLVNMSPTLFSYFNPIVQLDRTLPNKDSLCCDSEEALGCTIINSFASTPKSSTELKEEIATLELEIMHLERHLLSLYRTTFQGCALLSTPESHLQFKTGHSKLELQVHRGGLVHHDQTSPAHRRVSSDNWNSATSIKAPSNWDRKINSRHRSLADHLGETRNDSTLNTPDRLSEDIIRCISSIYCKLANPQTHTCTSASPASSLSSSSIFSSKNPCDSWSPHCNEDATMHHQGLKENSGPYATMIEVLKICLDDDSFNYAAMMLQNFRSLVRSLEKVDVLKMKREEKLVFWINIHNALVMHAYLAYGTHSRVKSTSILKAAYNIGGHCINAYIIQSSILGIRAHHSAPWLQTLFHSGRKLKTGSIRHAYSLEYPEPLVHFALCSGAYSDPAVRAYRAKSIFQDLKLAKKEFIQANVYMYKETKLFLPKILYYFAKDMSLGMLGLLEEINDCLSDIQKKAIRSCMAGKLDKYIQWLPQSSTFRIMQQKPIADRFQGPKEPLHPSRDCCGLERRGLWLLLLTGVCW >ONI06640 pep chromosome:Prunus_persica_NCBIv2:G5:8688793:8693982:1 gene:PRUPE_5G072100 transcript:ONI06640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTDDFVVREIGLIGSLVWISQKSTRTLAQHTIKLRLFLHQFSHDDS >ONI08826 pep chromosome:Prunus_persica_NCBIv2:G5:16322326:16323545:1 gene:PRUPE_5G203200 transcript:ONI08826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEHGQEAMMSSGQLPVGFRFMPTDKELVTHYLMNKVFDRPVPAAEAIQDIDATQFYSTHPKNLVTFSCGEREWFFFIHEDDENCSPSAQGRRNIRVVGNGVGFWKPNGSENPIHNEDGNVCASKIFLTYFSGSLRKAKKTHWKMVEYHLHSDSHTEEEYQVQRREWVLGQLKRGNAYNGH >ONI09446 pep chromosome:Prunus_persica_NCBIv2:G5:18027025:18029415:-1 gene:PRUPE_5G238600 transcript:ONI09446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGLSRNNRENKAKPKRKEIIEKRKAMDALIKVASAEKDYLSAFPAFRHYQISGLSAFLESGRGDKLYSHVKQFIQNLLKANMEGLYGSEWPAEEKVKRREMVAPEARYVFVRNASNASSVEFLTTSEREKTSASCVEERGPIVGFVHFRFVIEEELPVLYVYELQLEPRVQGKGLGKFLMQLIELIACKNHMGAVVLTVQKANSAALNFYLCKMSLKSYQLFNIKSLVKSVTNHLWSNLGGMFFKLHIMVLYSTDLY >ONI09444 pep chromosome:Prunus_persica_NCBIv2:G5:18026338:18028941:-1 gene:PRUPE_5G238600 transcript:ONI09444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGLSRNNRENKAKPKRKEIIEKRKAMDALIKVASAEKDYLSAFPAFRHYQISGLSAFLESGRGDKLYSHVKQFIQNLLKANMEGLYGSEWPAEEKVKRREMVAPEARYVFVRNASNASSVEFLTTSEREKTSASCVEERGPIVGFVHFRFVIEEELPVLYVYELQLEPRVQGKGLGKFLMQLIELIACKNHMGAVVLTVQKANSAALNFYLCKMRYVTSTISPSRVDPLIGVEKSYEILCKTFSNEAKAILEEPLELVHSV >ONI09445 pep chromosome:Prunus_persica_NCBIv2:G5:18025617:18029415:-1 gene:PRUPE_5G238600 transcript:ONI09445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGLSRNNRENKAKPKRKEIIEKRKAMDALIKVASAEKDYLSAFPAFRHYQISGLSAFLESGRGDKLYSHVKQFIQNLLKANMEGLYGSEWPAEEKVKRREMVAPEARYVFVRNASNASSVEFLTTSEREKTSASCVEERGPIVGFVHFRFVIEEELPVLYVYELQLEPRVQGKGLGKFLMQLIELIACKNHMGAVVLTVQKANSAALNFYLCKMRYVTSTISPSRVDPLIGVEKSYEILCKTFSNEAKAILEEPLELVHSV >ONI08185 pep chromosome:Prunus_persica_NCBIv2:G5:14233526:14236561:1 gene:PRUPE_5G162500 transcript:ONI08185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEDITTNNKEALSIEQGQLRGDQDQEFSLPSAHTIGHDSWQQVGLMLVTSFNCGYILSFSNLMLVPLGWTWGIICLLVVGFYTAYANWLLAAFHFINGQRFIRYRDLMGLLFGREMYYITWVSQFLTLLLGNMGFILLGGRALKEINSEFSDSPLRLQYFIVVTGATYFIYSFLIPTISAMRRWLGPSTVLTFAYIVILLVVAVKDGKANTKRDYAIHGNKAGRVLNAFGAISAIIVCNTSGLLLEIQSTLRKPAVSNMRKALYLQFSVGLVFYYGVSMVGYWAYGSTVSEYLPGELSGPKSVKVLINAAVFLQSIVSQHMFAAPIHETLDTKFLKLETGMNTKENMKRRFYMRALLFTVNSFVTAAFPFMGNFVNLFGSFTLVPLTFVFPSMIFIKVKGKTARLEKNLWHWFNIVIFSLLAVVTTISAVRLIVNNVQKYHFFADT >ONI08186 pep chromosome:Prunus_persica_NCBIv2:G5:14234171:14236561:1 gene:PRUPE_5G162500 transcript:ONI08186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTSFNCGYILSFSNLMLVPLGWTWGIICLLVVGFYTAYANWLLAAFHFINGQRFIRYRDLMGLLFGREMYYITWVSQFLTLLLGNMGFILLGGRALKEINSEFSDSPLRLQYFIVVTGATYFIYSFLIPTISAMRRWLGPSTVLTFAYIVILLVVAVKDGKANTKRDYAIHGNKAGRVLNAFGAISAIIVCNTSGLLLEIQSTLRKPAVSNMRKALYLQFSVGLVFYYGVSMVGYWAYGSTVSEYLPGELSGPKSVKVLINAAVFLQSIVSQHMFAAPIHETLDTKFLKLETGMNTKENMKRRFYMRALLFTVNSFVTAAFPFMGNFVNLFGSFTLVPLTFVFPSMIFIKVKGKTARLEKNLWHWFNIVIFSLLAVVTTISAVRLIVNNVQKYHFFADT >ONI05796 pep chromosome:Prunus_persica_NCBIv2:G5:2723275:2729483:-1 gene:PRUPE_5G024300 transcript:ONI05796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQRLCTKLRSLSVHSNQTLPYLSSSFLCSSPRLLQPLPFASNNNKWSLRSLFNAHSPTQPSLAIATLRPSTFPISMLQVRHVSSRERKKRRKPMTPVTSKLKKTKIKAYSSYKSRFRTMNDGKIRRWREGKRHNAHLKSKISKRRLRLPALVHPAYAKVMKKLNFCG >ONI09486 pep chromosome:Prunus_persica_NCBIv2:G5:18134713:18144018:1 gene:PRUPE_5G240800 transcript:ONI09486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYERDEVPMLSDTHPQLSDENVHLQFETFMSRTRSASVSIPMDSMDSSFEREASLVSHTGPLRSRTKTPFLPMSGPLYNRRPDNIFRLTQAVPGQEEAVKPAGEKFPSTNVTNQNEGTDDNYAGKNEHLLRSGQLGMCNDPYCTTCPTYNLKAAQPQHSKASGIFDPKFHNALYGDAKGWARRFFSFLRLYIPGVMNPHAKVVQQWNKFFVISCLVAIFVDPLFFFVLSVRKDNKCIVLDWPMTTTLVVFRSMTDFIYLMHILLQFRLAYVAPESRVVGAGELVDHPKKIARNYLQGYFLIDFFVVLPLPQIIILLVLRKNLGLSGANYAKNLLRAAVLLQCIPRLCRCLPLLAGQSPSGFIFESAWANFIINLLTFVLSGHVVGSCWYLFGLQRVNQCFRDVCHDSGIRGCMSFIDCGHGNEIEGSKVEDPVRDSWKNYTNANACLDKDGFDYGIYSQAVNLTTENSIITRYVYALFWGFQQISTLAGNQTPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMRHRRLPGELRRRVRQAERYNWAATRGVNEEILLENLPEDLQTDIRRHLFKFIKKVRIFSLMDEPILDSICGRLRQKIYIKGSKVLYHGGLIEKMVFIVRGKMESIGEDGITVSLSEGDVCGEELLTWCLEHSSANRDGKIRIPGQRLLSNRMVRCLTNVEAFSLRAADIEEVTSLFSRFLRKPRVQGAIRYESPYWRGLAARRIQVAWRYRRKRLSRADTSQSQSNHIHNHAL >ONI09487 pep chromosome:Prunus_persica_NCBIv2:G5:18134713:18143786:1 gene:PRUPE_5G240800 transcript:ONI09487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYERDEVPMLSDTHPQLSDENVHLQFETFMSRTRSASVSIPMDSMDSSFEREASLVSHTGPLRSRTKTPFLPMSGPLYNRRPDNIFRLTQAVPGQEEAVKPAGEKFPSTNVTNQNEGTDDNYAGKNEHLLRSGQLGMCNDPYCTTCPTYNLKAAQPQHSKASGIFDPKFHNALYGDAKGWARRFFSFLRLYIPGVMNPHAKVVQQWNKFFVISCLVAIFVDPLFFFVLSVRKDNKCIVLDWPMTTTLVVFRSMTDFIYLMHILLQFRLAYVAPESRVVGAGELVDHPKKIARNYLQGYFLIDFFVVLPLPQIIILLVLRKNLGLSGANYAKNLLRAAVLLQCIPRLCRCLPLLAGQSPSGFIFESAWANFIINLLTFVLSGHVVGSCWYLFGLQRVNQCFRDVCHDSGIRGCMSFIDCGHGNEIEGSKVEDPVRDSWKNYTNANACLDKDGFDYGIYSQAVNLTTENSIITRYVYALFWGFQQISTLAGNQTPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMRHRRLPGELRRRVRQAERYNWAATRGVNEEILLENLPEDLQTDIRRHLFKFIKKVRIFSLMDEPILDSICGRLRQKIYIKGSKVLYHGGLIEKMVFIVRGKMESIGEDGITVSLSEGDVCGEELLTWCLEHSSANRDGKIRIPGQRLLSNRMVRCLTNVEAFSLRAADIEEVTSLFSRFLRKPRVQGAIRYESPYWRGLAARRIQVAWRYRRKRLSRADTSQSQSNHIHNHAL >ONI09488 pep chromosome:Prunus_persica_NCBIv2:G5:18135859:18142351:1 gene:PRUPE_5G240800 transcript:ONI09488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYERDEVPMLSDTHPQLSDENVHLQFETFMSRTRSASVSIPMDSMDSSFEREASLVSHTGPLRSRTKTPFLPMSGPLYNRRPDNIFRLTQAVPGQEEAVKPAGEKFPSTNVTNQNEGTDDNYAGKNEHLLRSGQLGMCNDPYCTTCPTYNLKAAQPQHSKASGIFDPKFHNALYGDAKGWARRFFSFLRLYIPGVMNPHAKVVQQWNKFFVISCLVAIFVDPLFFFVLSVRKDNKCIVLDWPMTTTLVVFRSMTDFIYLMHILLQFRLAYVAPESRVVGAGELVDHPKKIARNYLQGYFLIDFFVVLPLPQIIILLVLRKNLGLSGANYAKNLLRAAVLLQCIPRLCRCLPLLAGQSPSGFIFESAWANFIINLLTFVLSGHVVGSCWYLFGLQRVNQCFRDVCHDSGIRGCMSFIDCGHGNEIEGSKVEDPVRDSWKNYTNANACLDKDGFDYGIYSQAVNLTTENSIITRYVYALFWGFQQISTLAGNQTPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMSLRRRDVEQWMRHRRLPGELRRRVRQAERYNWAATRGVNEEILLENLPEDLQTDIRRHLFKFIKKLHSEVKHFWPE >ONI06674 pep chromosome:Prunus_persica_NCBIv2:G5:8806141:8812089:-1 gene:PRUPE_5G073800 transcript:ONI06674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTTAMEPSPPPKSKSTTKPPQPPPRPPLHRRLVTLDTTLSQRLHVLAKPFLPHFILLLLEISADFRFFFPLSLSLLLAPTLTLTPSPIQIPGPGASLSPIQILRPLLSPLILGLLLDLALIGLIKLLFRRARPLYNKNMNVAVSVDHFSFPSGHASRVCFVAALLHLSAAALADALALLRPTSPFIDRWIGADPVSAISVLVLVAWTWAYATSFSRIVLGRHFVTDVCAGACLGMFEGLVAFRFLRF >ONI06675 pep chromosome:Prunus_persica_NCBIv2:G5:8806058:8812099:-1 gene:PRUPE_5G073800 transcript:ONI06675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTTAMEPSPPPKSKSTTKPPQPPPRPPLHRRLVTLDTTLSQRLHVLAKPFLPHFILLLLEISADFRFFFPLSLSLLLAPTLTLTPSPIQIPGPGASLSPIQILRPLLSPLILGLLLDLALIGLIKLLFRRARPLYNKNMNVAVSVDHFSFPSGHASRVCFVAALLHLSAAALADALALLRPTSPFIDRWIGADPVSAISVLVLVAWTWAYATSFSRIVLGRHFVTDVCAGACLGMFEGLVAFRFLRF >ONI06676 pep chromosome:Prunus_persica_NCBIv2:G5:8806141:8812116:-1 gene:PRUPE_5G073800 transcript:ONI06676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTTAMEPSPPPKSKSTTKPPQPPPRPPLHRRLVTLDTTLSQRLHVLAKPFLPHFILLLLEISADFRFFFPLSLSLLLAPTLTLTPSPIQIPGPGASLSPIQILRPLLSPLILGLLLDLALIGLIKLLFRRARPLYNKNMNVAVSVDHFSFPSGHASRVCFVAALLHLSAAALADALALLRPTSPFIDRWIGADPVSAISVLVLVAWTWAYATSFSRIVLGRHFVTDVCAGACLGMFEGLVAFRFLRF >ONI06677 pep chromosome:Prunus_persica_NCBIv2:G5:8809159:8812098:-1 gene:PRUPE_5G073800 transcript:ONI06677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTTAMEPSPPPKSKSTTKPPQPPPRPPLHRRLVTLDTTLSQRLHVLAKPFLPHFILLLLEISADFRFFFPLSLSLLLAPTLTLTPSPIQIPGPGASLSPIQILRPLLSPLILGLLLDLALIGLIKLLFRRARPLYNKNMNVAVSVDHFSFPSGHASRVCFVAALLHLSAAALADALALLRPTSPFIDRWIGADPVSAISVLVLVAWTWAYATSFSRIVLGRHFVTDVCAGACLGMFEGLVAFRFLRF >ONI06519 pep chromosome:Prunus_persica_NCBIv2:G5:8064410:8068009:1 gene:PRUPE_5G066000 transcript:ONI06519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNIVKYGIVGVGMMGREHLINLHHLRTEGVAVVAIADPHVPSQKLALDLAQSFNWPIKVFSAHKELLDSLLCDVVVVSSPNMTHYQILMDIINHPKPHHVLVEKPLCTTVAHCKEVVNAARKRQDILVQVGLEYRYMPPVAKLIEIVKGGSLGQVKMVAIREHRFPFLVKVNNWNRFNINSGGTLVEKCCHFFDLMRLFAGANPVRVMASGAIDVNHKDEIYDGKGEAFVPESIVRHGTRVEGRDGVQTLKAENDQIKYDGLHHGSSYLEHLHFLSAIRAKAKAPAVDLHDGLVSVAIGVAAQLSIEKGRFVTIEEVMS >ONI06520 pep chromosome:Prunus_persica_NCBIv2:G5:8064620:8067303:1 gene:PRUPE_5G066000 transcript:ONI06520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNIVKYGIVGVGMMGREHLINLHHLRTEGVAVVAIADPHVPSQKLALDLAQSFNWPIKVFSAHKELLDSLLCDVVVVSSPNMTHYQILMDIINHPKPHHVLVEKPLCTTVAHCKEVVNAARKRQDILVQVGLEYRYMPPVAKLIEIVKGGSLGQVKMVAIREHRFPFLVKVNNWNRFNINSGGTLVEKCCHFFDLMRLFAGANPVRVMASGAIDVNHKDEIYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGTKNEQEISVVGDIGKYNH >ONI06518 pep chromosome:Prunus_persica_NCBIv2:G5:8064410:8068009:1 gene:PRUPE_5G066000 transcript:ONI06518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNIVKYGIVGVGMMGREHLINLHHLRTEGVAVVAIADPHVPSQKLALDLAQSFNWPIKVFSAHKELLDSLLCDVVVVSSPNMTHYQILMDIINHPKPHHVLVEKPLCTTVAHCKEVVNAARKRQDILVQVGLEYRYMPPVAKLIEIVKGGSLGQVKMVAIREHRFPFLVKVNNWNRFNINSGGTLVEKCCHFFDLMRLFAGANPVRVMASGAIDVNHKDEIYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGTKNEQEISVVGDIGKGEAFVPESIVRHGTRVEGRDGVQTLKAENDQIKYDGLHHGSSYLEHLHFLSAIRAKAKAPAVDLHDGLVSVAIGVAAQLSIEKGRFVTIEEVMS >ONI08331 pep chromosome:Prunus_persica_NCBIv2:G5:14669046:14672366:-1 gene:PRUPE_5G171900 transcript:ONI08331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTTTSSYWFNWRVMLCTIWVLTSMTLSVILVCKFEGPGSSKRRSRETQQEISAGTLYEDETWRPCLKGIHPAWLLAFRIFAFFVLLVLLIITAFVDGGGIFLFYTQWTFTLITIYFGLGSLLSMRGCYQYHKKTAGDKVESFEVDTEQGTFVSPPLGVNSGTSATDKASGSHVEQPQARQPAGFWGYVFQIIFQMNAGAVVLTDCVFWFIIVPFLTIKDYNLNFLIINMHTINAVFLLGETALNSLPFPWFRIGYFFLWTVTYVVFQWLVHAFFKLWWPYPFLDLSSPYVPLWYLSVGLLHIPCYSIFALIMKMKHQLYSTRFPESYQCGR >ONI08328 pep chromosome:Prunus_persica_NCBIv2:G5:14669046:14672123:-1 gene:PRUPE_5G171900 transcript:ONI08328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLTGVPKGSWQPIMTADTTTSSYWFNWRVMLCTIWVLTSMTLSVILVCKFEGPGSSKRRSRETQQEISAGTLYEDETWRPCLKGIHPAWLLAFRIFAFFVLLVLLIITAFVDGGGIFLFYTQWTFTLITIYFGLGSLLSMRGCYQYHKKTAGDKVESFEVDTEQGTFVSPPLGVNSGTSATDKASGSHVEQPQARQPAGFWGYVFQIIFQMNAGAVVLTDCVFWFIIVPFLTIKDYNLNFLIINMHTINAVFLLGETALNSLPFPWFRIGYFFLWTVTYVVFQWLVHAFFKLWWPYPFLDLSSPYVPLWYLSVGLLHIPCYSIFALIMKMKHQLYSTRFPESYQCGR >ONI08332 pep chromosome:Prunus_persica_NCBIv2:G5:14669046:14673183:-1 gene:PRUPE_5G171900 transcript:ONI08332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTTTSSYWFNWRVMLCTIWVLTSMTLSVILVCKFEGPGSSKRRSRETQQEISAGTLYEDETWRPCLKGIHPAWLLAFRIFAFFVLLVLLIITAFVDGGGIFLFYTQWTFTLITIYFGLGSLLSMRGCYQYHKKTAGDKVESFEVDTEQGTFVSPPLGVNSGTSATDKASGSHVEQPQARQPAGFWGYVFQIIFQMNAGAVVLTDCVFWFIIVPFLTIKDYNLNFLIINMHTINAVFLLGETALNSLPFPWFRIGYFFLWTVTYVVFQWLVHAFFKLWWPYPFLDLSSPYVPLWYLSVGLLHIPCYSIFALIMKMKHQLYSTRFPESYQCGR >ONI08327 pep chromosome:Prunus_persica_NCBIv2:G5:14669046:14674265:-1 gene:PRUPE_5G171900 transcript:ONI08327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSIIDFRTNFQASQFIVSENKQGSKSNIMSFLTGVPKGSWQPIMTADTTTSSYWFNWRVMLCTIWVLTSMTLSVILVCKFEGPGSSKRRSRETQQEISAGTLYEDETWRPCLKGIHPAWLLAFRIFAFFVLLVLLIITAFVDGGGIFLFYTQWTFTLITIYFGLGSLLSMRGCYQYHKKTAGDKVESFEVDTEQGTFVSPPLGVNSGTSATDKASGSHVEQPQARQPAGFWGYVFQIIFQMNAGAVVLTDCVFWFIIVPFLTIKDYNLNFLIINMHTINAVFLLGETALNSLPFPWFRIGYFFLWTVTYVVFQWLVHAFFKLWWPYPFLDLSSPYVPLWYLSVGLLHIPCYSIFALIMKMKHQLYSTRFPESYQCGR >ONI08333 pep chromosome:Prunus_persica_NCBIv2:G5:14669046:14674265:-1 gene:PRUPE_5G171900 transcript:ONI08333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTTTSSYWFNWRVMLCTIWVLTSMTLSVILVCKFEGPGSSKRRSRETQQEISAGTLYEDETWRPCLKGIHPAWLLAFRIFAFFVLLVLLIITAFVDGGGIFLFYTQWTFTLITIYFGLGSLLSMRGCYQYHKKTAGDKVESFEVDTEQGTFVSPPLGVNSGTSATDKASGSHVEQPQARQPAGFWGYVFQIIFQMNAGAVVLTDCVFWFIIVPFLTIKDYNLNFLIINMHTINAVFLLGETALNSLPFPWFRIGYFFLWTVTYVVFQWLVHAFFKLWWPYPFLDLSSPYVPLWYLSVGLLHIPCYSIFALIMKMKHQLYSTRFPESYQCGR >ONI08326 pep chromosome:Prunus_persica_NCBIv2:G5:14669046:14674357:-1 gene:PRUPE_5G171900 transcript:ONI08326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSIIGVPKGSWQPIMTADTTTSSYWFNWRVMLCTIWVLTSMTLSVILVCKFEGPGSSKRRSRETQQEISAGTLYEDETWRPCLKGIHPAWLLAFRIFAFFVLLVLLIITAFVDGGGIFLFYTQWTFTLITIYFGLGSLLSMRGCYQYHKKTAGDKVESFEVDTEQGTFVSPPLGVNSGTSATDKASGSHVEQPQARQPAGFWGYVFQIIFQMNAGAVVLTDCVFWFIIVPFLTIKDYNLNFLIINMHTINAVFLLGETALNSLPFPWFRIGYFFLWTVTYVVFQWLVHAFFKLWWPYPFLDLSSPYVPLWYLSVGLLHIPCYSIFALIMKMKHQLYSTRFPESYQCGR >ONI08330 pep chromosome:Prunus_persica_NCBIv2:G5:14669046:14674356:-1 gene:PRUPE_5G171900 transcript:ONI08330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADTTTSSYWFNWRVMLCTIWVLTSMTLSVILVCKFEGPGSSKRRSRETQQEISAGTLYEDETWRPCLKGIHPAWLLAFRIFAFFVLLVLLIITAFVDGGGIFLFYTQWTFTLITIYFGLGSLLSMRGCYQYHKKTAGDKVESFEVDTEQGTFVSPPLGVNSGTSATDKASGSHVEQPQARQPAGFWGYVFQIIFQMNAGAVVLTDCVFWFIIVPFLTIKDYNLNFLIINMHTINAVFLLGETALNSLPFPWFRIGYFFLWTVTYVVFQWLVHAFFKLWWPYPFLDLSSPYVPLWYLSVGLLHIPCYSIFALIMKMKHQLYSTRFPESYQCGR >ONI08329 pep chromosome:Prunus_persica_NCBIv2:G5:14669046:14671911:-1 gene:PRUPE_5G171900 transcript:ONI08329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLTGVPKGSWQPIMTADTTTSSYWFNWRVMLCTIWVLTSMTLSVILVCKFEGPGSSKRRSRETQQEISAGTLYEDETWRPCLKGIHPAWLLAFRIFAFFVLLVLLIITAFVDGGGIFLFYTQWTFTLITIYFGLGSLLSMRGCYQYHKKTAGDKVESFEVDTEQGTFVSPPLGVNSGTSATDKASGSHVEQPQARQPAGFWGYVFQIIFQMNAGAVVLTDCVFWFIIVPFLTIKDYNLNFLIINMHTINAVFLLGETALNSLPFPWFRIGYFFLWTVTYVVFQWLVHAFFKLWWPYPFLDLSSPYVPLWYLSVGLLHIPCYSIFALIMKMKHQLYSTRFPESYQCGR >ONI08005 pep chromosome:Prunus_persica_NCBIv2:G5:13780630:13784009:-1 gene:PRUPE_5G152900 transcript:ONI08005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESAFSCSSLWLAPNPSKRWGEVFFLLYTPFWLTLCLGIVVPLKLYESFTELEYLLIGLVSAVPAFLLPMLFVGKADSSLPWKDRYWVKASLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNNVPHTTFLLTHVCFLFYHVTSNITLRRLRHFTAELPERVQWVTEAAWILALSYFIAYLETVAISNFPYYQFVDRASMYTVGSLFYAIYFIVSFPMFLRIDEKPGNPWDLPRVAIDALGAAMLVTIILDLWRIFLGPIVPIPETKLCLQPGLPWFPGHDDQH >ONI08007 pep chromosome:Prunus_persica_NCBIv2:G5:13780926:13783851:-1 gene:PRUPE_5G152900 transcript:ONI08007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKESAFSCSSLWLAPNPSKRWGEVFFLLYTPFWLTLCLGIVVPLKLYESFTELEYLLIGLVSAVPAFLLPMLFVGKADSSLPWKDRYWVKASLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNNVPHTTFLLTHVCFLFYHVTSNITLRRLRHFTAELPERVQWVTEAAWILALSYFIAYLETVAISNFPYYQFVDRASMYTVGSLFYAIYFIVSFPMFLRIDEKPGNPWDLPRVAIDALGAAMLVTIILDLWRIFLGPIVPIPETKLCLQPGLPWFPGHDDQH >ONI08006 pep chromosome:Prunus_persica_NCBIv2:G5:13780630:13784009:-1 gene:PRUPE_5G152900 transcript:ONI08006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKESAFSCSSLWLAPNPSKRWGEVFFLLYTPFWLTLCLGIVVPLKLYESFTELEYLLIGLVSAVPAFLLPMLFVGKADSSLPWKDRYWVKVPHTTFLLTHVCFLFYHVTSNITLRRLRHFTAELPERVQWVTEAAWILALSYFIAYLETVAISNFPYYQFVDRASMYTVGSLFYAIYFIVSFPMFLRIDEKPGNPWDLPRVAIDALGAAMLVTIILDLWRIFLGPIVPIPETKLCLQPGLPWFPGHDDQH >ONI07643 pep chromosome:Prunus_persica_NCBIv2:G5:12752884:12754142:-1 gene:PRUPE_5G132900 transcript:ONI07643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASGTLVASVVAASTMALTTSSSSNQGVSSAAVKNGAQNCQTASDKEKFEPRFDGLRFIETLVTAHR >ONI07644 pep chromosome:Prunus_persica_NCBIv2:G5:12753238:12754142:-1 gene:PRUPE_5G132900 transcript:ONI07644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASGTLVASVVAASTMALTTSSSSNQGVSSAAVKNGAQNCQTASDKEKFEPRFDGLRFIETLVTAHR >ONI09576 pep chromosome:Prunus_persica_NCBIv2:G5:18389807:18391311:-1 gene:PRUPE_5G245700 transcript:ONI09576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPGLESLVDQTISVITNDGRNIVEGVQQLVLGLYIIRGDNISIVGELDADLDSTVDWSNMKAYPLKPVIH >ONI09577 pep chromosome:Prunus_persica_NCBIv2:G5:18390022:18391286:-1 gene:PRUPE_5G245700 transcript:ONI09577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPGLESLVDQTISVITNDGRNIVGVLKGFDQATNIILDESHERVFSTKEGVQQLVLGLYIIRGDNISIVGELDADLDSTVDWSNMKAYPLKPVIH >ONI06157 pep chromosome:Prunus_persica_NCBIv2:G5:4856047:4864202:-1 gene:PRUPE_5G044200 transcript:ONI06157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRGCWECVLKLLNFVLTLVGLAMVGYGIYLLVEYLKAADTVAMSSLVGDNQALIQLSRPMLMTVSLSSNIVDNLPKAWFIYLFIGIGAIIFVISCFGCVAAMTRNGCCLSCYSVLLILLILVELGGAAFIFFDKSWEAEIPTDKSGDFEMIYAFLKAHWDIVRWVALGAVVFEALLFLLALVVRAANRPAEYDSDDELIAPRQQIRQPLINNRPAVPATGVPVAGASDQRPNRNDAWSTRMREKYGLDTAEFTYNPSESNRFQPVPAQPQEERSRCSIM >ONI06156 pep chromosome:Prunus_persica_NCBIv2:G5:4856034:4864241:-1 gene:PRUPE_5G044200 transcript:ONI06156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRGCWECVLKLLNFVLTLVGLAMVGYGIYLLVEYLKAADTVAMSSLVGDNQALIQLSRPMLMTVSLSSNIVDNLPKAWFIYLFIGIGAIIFVISCFGCVAAMTRNGCCLSCYSVLLILLILVELGGAAFIFFDKSWEAEIPTDKSGDFEMIYAFLKAHWDIVRWVALGAVVFEALLFLLALVVRAANRPAEYDSDDELIAPRQQIRQPLINNRPAVPATGVPVAGASDQRPNRNDAWSTRMREKYGLDTAEFTYNPSESNRFQPVPAQPQEERSRCSIM >ONI06158 pep chromosome:Prunus_persica_NCBIv2:G5:4856033:4864241:-1 gene:PRUPE_5G044200 transcript:ONI06158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRGCWECVLKLLNFVLTLVGLAMVGYGIYLLVEYLKAADTVAMSSLVGDNQALIQLSRPMLMTVSLSSNIVDNLPKAWFIYLFIGIGAIIFVISCFGCVAAMTRNGCCLSCYSVLLILLILVELGGAAFIFFDKSWEAEIPTDKSGDFEMIYAFLKAHWDIVRWVALGAVVFEALLFLLALVVRAANRPAEYDSDDELIAPRQQIRQPLINNRPAVPATGVPVAGASDQRPNRNDAWSTRMREKYGLDTAEFTYNPSESNRFQPVPAQPQEERSRCSIM >ONI06863 pep chromosome:Prunus_persica_NCBIv2:G5:9779694:9785886:-1 gene:PRUPE_5G086300 transcript:ONI06863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDTAKENASVVDSSVTEWKQDMGNSDDPESSSHKVNEEGNPSKSEEGGHCHDQGGKTTGRKKGTLYDTRYFIIKSLNHQNIQLSIEKGIWATQVMNEPILEEAFHNSGKVILIFSVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPGSRPHESSRSKMQEDDLSSSYGIDRSCSRKRAHHSSHKKSQC >ONI06864 pep chromosome:Prunus_persica_NCBIv2:G5:9779529:9785902:-1 gene:PRUPE_5G086300 transcript:ONI06864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDTAKENASVVDSSVTEWKQDMGNSDDPESSSHKVNEEGNPSKSEEGGHCHDQGGKTTGRKKGTLYDTRYFIIKSLNHQNIQLSIEKGIWATQVMNEPILEEAFHNSGKVILIFSVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPGSRPHESSRSKMQEDDLSSSYGIDRSCSRKRAHHSSHKKSQC >ONI06873 pep chromosome:Prunus_persica_NCBIv2:G5:9780407:9783693:-1 gene:PRUPE_5G086300 transcript:ONI06873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDTAKENASVVDSSVTEWKQDMGNSDDPESSSHKVNEEGNPSKSEEGGHCHDQGGKTTGRKKGTLYDTRYFIIKSLNHQNIQLSIEKGIWATQVMNEPILEEAFHNSGKVILIFSVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPVRFETT >ONI06862 pep chromosome:Prunus_persica_NCBIv2:G5:9780202:9783693:-1 gene:PRUPE_5G086300 transcript:ONI06862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDTAKENASVVDSSVTEWKQDMGNSDDPESSSHKVNEEGNPSKSEEGGHCHDQGGKTTGRKKGTLYDTRYFIIKSLNHQNIQLSIEKGIWATQVMNEPILEEAFHNSGKVILIFSVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPGSRPHESSRSKMQEDDLSSSYGIDRSCSRKRAHHSSHKKSQC >ONI06866 pep chromosome:Prunus_persica_NCBIv2:G5:9779686:9785886:-1 gene:PRUPE_5G086300 transcript:ONI06866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEPILEEAFHNSGKVILIFSVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPGSRPHESSRSKMQEDDLSSSYGIDRSCSRKRAHHSSHKKSQC >ONI06865 pep chromosome:Prunus_persica_NCBIv2:G5:9779694:9785886:-1 gene:PRUPE_5G086300 transcript:ONI06865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPGSRPHESSRSKMQEDDLSSSYGIDRSCSRKRAHHSSHKKSQC >ONI06869 pep chromosome:Prunus_persica_NCBIv2:G5:9780202:9782646:-1 gene:PRUPE_5G086300 transcript:ONI06869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLQNSGKVILIFSVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPGSRPHESSRSKMQEDDLSSSYGIDRSCSRKRAHHSSHKKSQC >ONI06870 pep chromosome:Prunus_persica_NCBIv2:G5:9780279:9783693:-1 gene:PRUPE_5G086300 transcript:ONI06870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDTAKENASVVDSSVTEWKQDMGNSDDPESSSHKVNEEGNPSKSEEGGHCHDQGGKTTGRKKGTLYDTRYFIIKSLNHQNIQLSIEKGIWATQVMNEPILEEAFHNSGKVILIFSVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPVSMLSKIMVRDHMSHQEVKCRKMI >ONI06868 pep chromosome:Prunus_persica_NCBIv2:G5:9779694:9785886:-1 gene:PRUPE_5G086300 transcript:ONI06868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFWKRPFIVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPGSRPHESSRSKMQEDDLSSSYGIDRSCSRKRAHHSSHKKSQC >ONI06861 pep chromosome:Prunus_persica_NCBIv2:G5:9779688:9783961:-1 gene:PRUPE_5G086300 transcript:ONI06861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDTAKENASVVDSSVTEWKQDMGNSDDPESSSHKVNEEGNPSKSEEGGHCHDQGGKTTGRKKGTLYDTRYFIIKSLNHQNIQLSIEKGIWATQVMNEPILEEAFHNSGKVILIFSVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPGSRPHESSRSKMQEDDLSSSYGIDRSCSRKRAHHSSHKKSQC >ONI06871 pep chromosome:Prunus_persica_NCBIv2:G5:9780279:9782745:-1 gene:PRUPE_5G086300 transcript:ONI06871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEPILEEAFHNSGKVILIFSVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPVSMLSKIMVRDHMSHQEVKCRKMI >ONI06867 pep chromosome:Prunus_persica_NCBIv2:G5:9779694:9785886:-1 gene:PRUPE_5G086300 transcript:ONI06867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFWKRPFIVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPGSRPHESSRSKMQEDDLSSSYGIDRSCSRKRAHHSSHKKSQC >ONI06872 pep chromosome:Prunus_persica_NCBIv2:G5:9780407:9783693:-1 gene:PRUPE_5G086300 transcript:ONI06872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDTAKENASVVDSSVTEWKQDMGNSDDPESSSHKVNEEGNPSKSEEGGHCHDQGGKTTGRKKGTLYDTRYFIIKSLNHQNIQLSIEKGIWATQVMNEPILEEAFHNSGKVILIFSVNMSGSFQGYAQMMSSVGWRRDNVWSQGSSRSNPWGRSFKVKWLQLNDLPFQKTLHLKNPLNEYKPVKISRDCQELSPDVGEALCELLDGTNDVNGLNSVAMEDFPSKRPCIEPPCSLGEEEYNVGPMHLSWSQTPMHYPSLLYQHQAEANLPVNSVASKVTRAKHSRINGHHSNIRVDRDLSSRFDVWGLSAESRLASVLTEDDFLEMSYEEYLEAQSRSSKELSLPVRFETT >ONI09462 pep chromosome:Prunus_persica_NCBIv2:G5:18082016:18089244:1 gene:PRUPE_5G239700 transcript:ONI09462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSNSVDVILDFLRKNRFSRAEAALRSELSNRPDLNGFLQKLTLEEKDLGNSLEAENGDKLVVENQGLGSRNGGEVSKELIVKEIEYGTGRNGSEIKWKNTASIGERNKTIDVAGTNHKSFAFSKGLEDTVLDLYSWKVNPSNGPAEPCQNDGDGSINNYPQPQISHQSRNHTAEVPDSGKAIVKYGEEILFSGEKKTSWAGSTSKANVELKYDRTQTSEPKELDQQLKTSTSFFKENVADNPWSRIEEPSNSPSEMWKDCSVKTVFPFSKGDVPTSYDSASASDKKEGKRKAELADIRATIKDQVDEVGRALYLSKSQGSSEQNTISSLVFPILSENQKEEFPRLPPVKLKSEDKPLNINWEEKFERDVPGSKLSAADNALLIGSYLDVPIGQEINSSGGKRNVGGGSWLSVSQGIAEDTSDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGPTKDEDDDQSFAEEDSYFSGERYFQAKNVEPIVTSDDPIGLTVTELYGRSDENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKVLNECGRPRLDDVCVDDDQLGSVRSIGVGINSDAADIGSEVRESLVGGSSEGDLEYFRDHDVGIGGPRKHHHESDKKNIDRSNKDKKKTSKHEANKYIVETDTGVVRQKKNHTEGVFSFPPPLRDGQLVQASSSKSLWSNNCNAVVADETDDCMVGSDNMLTSWRQKSNDSSPRMSSRDENNANAVRSTNSTPSTLSNYAYAEREHAKQEEEDKIAAVREEDTGASLEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPGDKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALKFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVMGIICPIDQSMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEINPKKRPSASEALKHPWLSYPYEPISS >ONI09461 pep chromosome:Prunus_persica_NCBIv2:G5:18082016:18089244:1 gene:PRUPE_5G239700 transcript:ONI09461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSNSVDVILDFLRKNRFSRAEAALRSELSNRPDLNGFLQKLTLEEKDLGNSLEAENGDKLVVENQGLGSRNGGEVSKELIVKEIEYGTGRNGSEIKWKNTASIGERNKTIDVAGTNHKSFAFSKGLEDTVLDLYSWKVNPSNGPAEPCQNDGDGSINNYPQPQISHQSRNHTAEVPDSGKAIVKYGEEILFSGEKKTSWAGSTSKANVELKYDRTQTSEPKELDQQLKTSTSFFKENVADNPWSRIEEPSNSPSEMWKDCSVKTVFPFSKGDVPTSYDSASASDKKEGKRKAELADIRATIKDQVDEVGRALYLSKSQGSSEQNTISSLVFPILSENQKEEFPRLPPVKLKSEDKPLNINWEEKFERDVPGSKLSAADNALLIGSYLDVPIGQEINSSGGKRNVGGGSWLSVSQGIAEDTSDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGPTKDEDDDQSFAEEDSYFSGERYFQAKNVEPIVTSDDPIGLTVTELYGRSDENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKVLNECGRPRLDDVCVDDDQLGSVRSIGVGINSDAADIGSEVRESLVGGSSEGDLEYFRDHDVGIGGPRKHHHESDKKNIDRSNKDKKKTSKHEANKYIVETDTGVVRQKKNHTEGVFSFPPPLRDGQLVQASSSKSLWSNNCNAVVADETDDCMVGSDNMLTSWRQKSNDSSPRMSSRDENNANAVRSTNSTPSTLSNYAYAEREHAKQEEEDKIAAVREEDTGASLEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPGDKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALKFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVMGIICPIDQSMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEINPKKRPSASEALKHPWLSYPYEPISS >ONI09463 pep chromosome:Prunus_persica_NCBIv2:G5:18082201:18089212:1 gene:PRUPE_5G239700 transcript:ONI09463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSNSVDVILDFLRKNRFSRAEAALRSELSNRPDLNGFLQKLTLEEKDLGNSLEAENGDKLVVENQGLGSRNGGEVSKELIVKEIEYGTGRNGSEIKWKNTASIGERNKTIDVAGTNHKSFAFSKGLEDTVLDLYSWKVNPSNGPAEPCQNDGDGSINNYPQPQISHQSRNHTAEVPDSGKAIVKYGEEILFSGEKKTSWAGSTSKANVELKYDRTQTSEPKELDQQLKTSTSFFKENVADNPWSRIEEPSNSPSEMWKDCSVKTVFPFSKGDVPTSYDSASASDKKEGKRKAELADIRATIKDQVDEVGRALYLSKSQGSSEQNTISSLVFPILSENQKEEFPRLPPVKLKSEDKPLNINWEEKFERDVPGSKLSAADNALLIGSYLDVPIGQEINSSGGKRNVGGGSWLSVSQGIAEDTSDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGPTKDEDDDQSFAEEDSYFSGERYFQAKNVEPIVTSDDPIGLTVTELYGRSDENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKVLNECGRPRLDDVCVDDDQLGSVRSIGVGINSDAADIGSEVRESLVGGSSEGDLEYFRDHDVGIGGPRKHHHESDKKNIDRSNKDKKKTSKHEANKYIVETDTGVVRQKKNHTEGVFSFPPPLRDGQLVQASSSKSLWSNNCNAVVADETDDCMVGSDNMLTSWRQKSNDSSPRMSSRDENNANAVRSTNSTPSTLSNYAYAEREHAKQEEEDKIAAVREEDTGASLEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPGDKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALKFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVMGIICPIDQSMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEINPKKRPSASEALKHPWLSYPYEPISS >ONI09460 pep chromosome:Prunus_persica_NCBIv2:G5:18082592:18089244:1 gene:PRUPE_5G239700 transcript:ONI09460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSNSVDVILDFLRKNRFSRAEAALRSELSNRPDLNGFLQKLTLEEKDLGNSLEAENGDKLVVENQGLGSRNGGEVSKELIVKEIEYGTGRNGSEIKWKNTASIGERNKTIDVAGTNHKSFAFSKGLEDTVLDLYSWKVNPSNGPAEPCQNDGDGSINNYPQPQISHQSRNHTAEVPDSGKAIVKYGEEILFSGEKKTSWAGSTSKANVELKYDRTQTSEPKELDQQLKTSTSFFKENVADNPWSRIEEPSNSPSEMWKDCSVKTVFPFSKGDVPTSYDSASASDKKEGKRKAELADIRATIKDQVDEVGRALYLSKSQGSSEQNTISSLVFPILSENQKEEFPRLPPVKLKSEDKPLNINWEEKFERDVPGSKLSAADNALLIGSYLDVPIGQEINSSGGKRNVGGGSWLSVSQGIAEDTSDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGPTKDEDDDQSFAEEDSYFSGERYFQAKNVEPIVTSDDPIGLTVTELYGRSDENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKVLNECGRPRLDDVCVDDDQLGSVRSIGVGINSDAADIGSEVRESLVGGSSEGDLEYFRDHDVGIGGPRKHHHESDKKNIDRSNKDKKKTSKHEANKYIVETDTGVVRQKKNHTEGVFSFPPPLRDGQLVQASSSKSLWSNNCNAVVADETDDCMVGSDNMLTSWRQKSNDSSPRMSSRDENNANAVRSTNSTPSTLSNYAYAEREHAKQEEEDKIAAVREEDTGASLEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPGDKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALKFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVMGIICPIDQSMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEINPKKRPSASEALKHPWLSYPYEPISS >ONI06859 pep chromosome:Prunus_persica_NCBIv2:G5:9774733:9776766:1 gene:PRUPE_5G086100 transcript:ONI06859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSYQEVISGFAARLTQEQVRAMKEMDGFVAAHPERVFRRKTTHTPNFLGLHQQKGIWKESNFGKGVIIGVLDGGIEPNHPSFSGAGIPPPPAKWKGRCDFNASDCNNKLIGARAFNLAAQALKGDQPEAPNDIDGHGTHTASTAAGAFVQNADVLGNAKAAVQDGVDVISISLGEDSVPFFNDSTAIGSFAAIQKGIFVSCSAGNSGPFNGTLSNEAPWILTVGASTIDRRIVATAKLGNGEEFDGESLFQPKDFPSTLLPLVYAGVNGKANSALCAEGSLKGLSVKGKVVLCERGGGIGRIAKGEEVKNAGGAAMILVNEETDGFSTSADVHVLPATHVSHAAGLKIKAYINSTATPTATILFKGTVIGDSSTPAVASFSSRGPSLASPGILKPDIIGPGVSILAAWPFPVDNTTNSKVNFNIISGTSMSCPHLSGIAALLKSSHPYWSPAAIKSAIMTSADLLNLEGKPIPDETLQPADVLATGVGHVNPSKANDPGLVYDIQPDDYIPYLCGLGYKDDEVSILVHRPIKCSKVSSIPEGELNYPSFSVALGPSQTFTRTVTNVGEAYSSYAVKVNAPEEVYVSINPKTLYFSKVNQKLSYSVTFSRIGSRGKAGEFTQGFLTWVSAKHVVRSPISVKLQ >ONI08659 pep chromosome:Prunus_persica_NCBIv2:G5:15725253:15727028:1 gene:PRUPE_5G192100 transcript:ONI08659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRLNLDSEPFRVFSLFKAMQRLKRNDPVCDSFVFASLIKACNKVSALREGKSVHCHVVRLGLDYNVNLLNSVVSFYSCSKSLVGYACAVFDRIPHKTVVAVNCMLSGFVKNNLFDAGLGLFNEVLRCGFGLELKPNYVTLIILISGCVEFDEFSVGKALHSYCCKTGLDLVNKVCNALIDLYSKFGRMDEAASMFNEMPERDLISWNTMIAGYAGVSDCRRAFSLFRKMRERGVGFDRVSFISLILAACNSRDLEMGKVVHGYMTTWGTEITVAISTALTNLYCKCGQIACAKKVLDEVPDDNIALWNSMIHGYVKCGHNQEALGLFNQIRSRKLRPDEATMVGLILACRNSGDLSHGIDIHSYVESSNHLQGSIVLQNAIIDMYAKCGSMTRAKVLFDKMPKKDVVSWTSIIVGHAINGEGKESLLAFRKMCAEKVEPNSVTFIGVLSACDHAGLVDEGLNLYDAMCKFYRIKPTIEHCGCIIDMLARAGRLEEAHKFVRSMPIEPNAVVWRMLINACRVHGDFDRGLCLVRGFTDPKTLHGAEDHVTSSNILADAGRWDDVLHQRSLMAIRKAPKVSAKSSVSDLTE >ONI09138 pep chromosome:Prunus_persica_NCBIv2:G5:17196123:17198713:1 gene:PRUPE_5G220700 transcript:ONI09138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFKTLVLNQHVKLNKLKTKQPNKEENTSSVKRIRIIYNDPDATDSSSDDEEYDGKEDRLLHDKRFVSEILVVDTKYESSADVLSHCKTNRGKIGVSMNFYDSKKTKRSSSMYKGVRRRKWGRYAAEIRDPIQRRRKWLGTFATAEEAAAVYQKKKLEFDSIQSLGKTQRDYQNLQLSDKDKGAFESFQLTERTKHEFQGIPLSEESQDSSGDAAIETFSAEEAERVFCHPSPSSVLEISGTASLGIGLKQAKEESSVEVFGGEGSVQPCFEEELSILKFPVQPMLPLDIKQLDLGCGENYVFSSLDQFFDGMSDIVDYPAFNDGNGEVICLPALDSDFGQQDFAWIDQKPEHGMPMKFCS >ONI09139 pep chromosome:Prunus_persica_NCBIv2:G5:17196075:17198713:1 gene:PRUPE_5G220700 transcript:ONI09139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILMPPILQVMMRNMMGVRRRKWGRYAAEIRDPIQRRRKWLGTFATAEEAAAVYQKKKLEFDSIQSLGKTQRDYQNLQLSDKDKGAFESFQLTERTKHEFQGIPLSEESQDSSGDAAIETFSAEEAERVFCHPSPSSVLEISGTASLGIGLKQAKEESSVEVFGGEGSVQPCFEEELSILKFPVQPMLPLDIKQLDLGCGENYVFSSLDQFFDGMSDIVDYPAFNDGNGEVICLPALDSDFGQQDFAWIDQKPEHGMPMKFCS >ONI09137 pep chromosome:Prunus_persica_NCBIv2:G5:17196057:17198713:1 gene:PRUPE_5G220700 transcript:ONI09137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFHLLTGAQKKLWTSYHCLSCTLVLLSTFCMQYWSHGHTLKQSSPLFSMPLRSKSFPFALPLGFRSFDLKKMPGFKTLVLNQHVKLNKLKTKQPNKEENTSSVKRIRIIYNDPDATDSSSDDEEYDGKEDRLLHDKRFVSEILVVDTKYESSADVLSHCKTNRGKIGVSMNFYDSKKTKRSSSMYKGVRRRKWGRYAAEIRDPIQRRRKWLGTFATAEEAAAVYQKKKLEFDSIQSLGKTQRDYQNLQLSDKDKGAFESFQLTERTKHEFQGIPLSEESQDSSGDAAIETFSAEEAERVFCHPSPSSVLEISGTASLGIGLKQAKEESSVEVFGGEGSVQPCFEEELSILKFPVQPMLPLDIKQLDLGCGENYVFSSLDQFFDGMSDIVDYPAFNDGNGEVICLPALDSDFGQQDFAWIDQKPEHGMPMKFCS >ONI06216 pep chromosome:Prunus_persica_NCBIv2:G5:5059972:5062633:1 gene:PRUPE_5G047900 transcript:ONI06216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTPKDTVSRYKIMNGRKSKQKLLVQEAVLSINVIELVIHQIISFIRTKVLIETNIDRHIGINVCCVLYNLGSCFSRIDFKGKNTAILEKDDEIISAASIRIHGTRMAEMPFAATQQKFGRKFVTSCH >ONI06217 pep chromosome:Prunus_persica_NCBIv2:G5:5059972:5062633:1 gene:PRUPE_5G047900 transcript:ONI06217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTPKDTVSRYKIMNGRKSKQKLLVQEAVLSINVIELVIHQIIRSCFSRIDFKGKNTAILEKDDEIISAASIRIHGTRMAEMPFAATQQKFGRKFVTSCH >ONI06215 pep chromosome:Prunus_persica_NCBIv2:G5:5060413:5062633:1 gene:PRUPE_5G047900 transcript:ONI06215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTPKDTVSRYKIMNGRKSKQKLLVQEAVLSINVIELVIHQIISFIRTKVLIETNIDRHIGINVCCVLYNLGSCFSRIDFKGKNTAILEKDDEIISAASIRIHGTRMAEMPFAATQQKFGRKFVTSCH >ONI05572 pep chromosome:Prunus_persica_NCBIv2:G5:1446918:1453708:1 gene:PRUPE_5G013400 transcript:ONI05572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDQSLRQDLDPQSDHSSDYLPHHPHHPSHPLQPQQQPQPQPRRPRGFAATAAGSVGPTSPSTPTTNKGKREREREKERTKLRERLRRSITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWVVEADGTTYRQSPPPSQIAMGMRSVESPVSSGVRATKSLECHPPHNPSSVVRIDESLSPASLDSVVIAERDTTKNEKFSSGGSPINSVEADQLMQDLPIRPGNHGIGTTAFSTPNPYVPLYVTLATGFINNYCQLVDPEGLRQELTHMQSLSVDGVVVDCWWGIVEGWSPQKYVWSGYRGLFNILREFKFKLQVVMAFHEYGRSESGEALIPLPQWILEIGKENQDIFFTDREGRRNTECLSWGIDKERVLHGRTGVEVYFDFMRSFRTEFDDLFAEGLISAVEIGLGSSGELKYPSFSGRMGWRYPGVGEFQCYDRYLQQSLRRAAKLRGHSFWARGPDNAGEYNSRPHETGFFCERGDYDSYYGRFFLHWYAQSLIDHADNVLSLASLAFDETKIIVKVPAVYWWYKTSSHAAELTSGYYNPTNQDGYSPVFEVLKKHSVTVKFVCSGLHISSQDNDEALADPEGLSWQVLNLAWDQGLLVAGENALSCYDREGCMRIVEMVKPRNDPDHRHFSFFVYQQPAPLVQGAICFSELDFFIKCMHGEIAGDLVSC >ONI05570 pep chromosome:Prunus_persica_NCBIv2:G5:1446926:1453708:1 gene:PRUPE_5G013400 transcript:ONI05570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDQSLRQDLDPQSDHSSDYLPHHPHHPSHPLQPQQQPQPQPRRPRGFAATAAGSVGPTSPSTPTTNKGKREREREKERTKLRERLRRSITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWVVEADGTTYRQSPPPSQIAMGMRSVESPVSSGVRATKSLECHPPHNPSSVVRIDESLSPASLDSVVIAERDTTKNEKFSSGGSPINSVEADQLMQDLPIRPGNHGIGTTAFSTPNPYVPLYVTLATGFINNYCQLVDPEGLRQELTHMQSLSVDGVVVDCWWGIVEGWSPQKYVWSGYRGLFNILREFKFKLQALKGAHMNLTSRHNWKFTSVVMAFHEYGRSESGEALIPLPQWILEIGKENQDIFFTDREGRRNTECLSWGIDKERVLHGRTGVEVYFDFMRSFRTEFDDLFAEGLISAVEIGLGSSGELKYPSFSGRMGWRYPGVGEFQCYDRYLQQSLRRAAKLRGHSFWARGPDNAGEYNSRPHETGFFCERGDYDSYYGRFFLHWYAQSLIDHADNVLSLASLAFDETKIIVKVPAVYWWYKTSSHAAELTSGYYNPTNQDGYSPVFEVLKKHSVTVKFVCSGLHISSQDNDEALADPEGLSWQVLNLAWDQGLLVAGENALSCYDREGCMRIVEMVKPRNDPDHRHFSFFVYQQPAPLVQGAICFSELDFFIKCMHGEIAGDLVSC >ONI05574 pep chromosome:Prunus_persica_NCBIv2:G5:1446960:1451886:1 gene:PRUPE_5G013400 transcript:ONI05574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDQSLRQDLDPQSDHSSDYLPHHPHHPSHPLQPQQQPQPQPRRPRGFAATAAGSVGPTSPSTPTTNKGKREREREKERTKLRERLRRSITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWVVEADGTTYRQSPPPSQIAMGMRSVESPVSSGVRATKSLECHPPHNPSSVVRIDESLSPASLDSVVIAERDTTKNEKFSSGGSPINSVEADQLMQDLPIRPGNHGIGTTAFSTPNPYVPLYVTLATGFINNYCQLVDPEGLRQELTHMQSLSVDGVVVDCWWGIVEGWSPQKYVWSGYRGLFNILREFKFKLQVVMAFHEYGRSESGEALIPLPQWILEIGKENQDIFFTDREGRRNTECLSWGIDKERVLHGRTGVEVYFDFMRSFRTEFDDLFAEGLISAVEIGLGSSGELKYPSFSGRMGWRYPGVGEFQCYDRYLQQSLRRAAKLRGHSFWARGPDNAGEYNSRPHETGFFCERGDYDSYYGRFFLHWYAQSLIDHADNVLSLASLAFDETKIIVKVPAVYWWYKTSSHAAELTSGYYNPTNQDGYSPVFEVLKKHSVTVKFVCSGLHISSQDNDEALADPEGLSWQVMALAHAPSL >ONI05573 pep chromosome:Prunus_persica_NCBIv2:G5:1446926:1453708:1 gene:PRUPE_5G013400 transcript:ONI05573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDQSLRQDLDPQSDHSSDYLPHHPHHPSHPLQPQQQPQPQPRRPRGFAATAAGSVGPTSPSTPTTNKGKREREREKERTKLRERLRRSITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWVVEADGTTYRQSPPPSQIAMGMRSVESPVSSGVRATKSLECHPPHNPSSVVRIDESLSPASLDSVVIAERDTTKNEKFSSGGSPINSVEADQLMQDLPIRPGNHGIGTTAFSTPNPYVPLYVTLATGFINNYCQLVDPEGLRQELTHMQSLSVDGVVVDCWWGIVEGWSPQKYVWSGYRGLFNILREFKFKLQVVMAFHEYGRSESGEALIPLPQWILEIGKENQDIFFTDREGRRNTECLSWGIDKERVLHGRTGVEVYFDFMRSFRTEFDDLFAEGLISAVEIGLGSSGELKYPSFSGRMGWRYPGVGEFQCYDRYLQQSLRRAAKLRGHSFWARGPDNAGEYNSRPHETGFFCERGDYDSYYGRFFLHWYAQSLIDHADNVLSLASLAFDETKIIVKVPAVYWWYKTSSHAAELTSGYYNPTNQDGYSPVFEVLKKHSVTVKFVCSGLHISSQDNDEALADPEGLSWQVLNLAWDQGLLVAGENALSCYDREGCMRIVEMVKPRNDPDHRHFSFFVYQQPAPLVQGAICFSELDFFIKCMHGEIAGDLVSC >ONI05571 pep chromosome:Prunus_persica_NCBIv2:G5:1446926:1453708:1 gene:PRUPE_5G013400 transcript:ONI05571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDDQSLRQDLDPQSDHSSDYLPHHPHHPSHPLQPQQQPQPQPRRPRGFAATAAGSVGPTSPSTPTTNKGKREREREKERTKLRERLRRSITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWVVEADGTTYRQSPPPSQIAMGMRSVESPVSSGVRATKSLECHPPHNPSSVVRIDESLSPASLDSVVIAERDTTKNEKFSSGGSPINSVEADQLMQDLPIRPGNHGIGTTAFSTPNPYVPLYVTLATGFINNYCQLVDPEGLRQELTHMQSLSVDGVVVDCWWGIVEGWSPQKYVWSGYRGLFNILREFKFKLQALKGAHMNLTSRHNWKFTSVVMAFHEYGRSESGEALIPLPQWILEIGKENQDIFFTDREGRRNTECLSWGIDKERVLHGRTGVEVYFDFMRSFRTEFDDLFAEGLISAVEIGLGSSGELKYPSFSGRMGWRYPGVGEFQCYDRYLQQSLRRAAKLRGHSFWARGPDNAGEYNSRPHETGFFCERGDYDSYYGRFFLHWYAQSLIDHADNVLSLASLAFDETKIIVKVPAVYWWYKTSSHAAELTSGYYNPTNQDGYSPVFEVLKKHSVTVKFVCSGLHISSQDNDEALADPEGLSWQVLNLAWDQGLLVAGENALSCYDREGCMRIVEMVKPRNDPDHRHFSFFVYQQPAPLVQGAICFSELDFFIKCMHGEIAGDLVSC >ONI08852 pep chromosome:Prunus_persica_NCBIv2:G5:16377924:16379674:1 gene:PRUPE_5G204100 transcript:ONI08852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCGQFCDGFRAHLPGKVHPEAVEYSRLMPNVLQCTLLLCSSVLAEIFENDRPTVNDMALFFSPGDFERSKQQYLSLLGLLEMREMALRSHINGVNLLISSSKRLNLDSCGLEVMESFLWGVYCPAKGHLAVPSLLFSDS >ONI06361 pep chromosome:Prunus_persica_NCBIv2:G5:5984956:5985861:1 gene:PRUPE_5G055700 transcript:ONI06361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNQDQYLCLAFPFILAICSSQASSRQIYYDAEEKERLFVIFKANLEFIKAFNKHMSQNYFTLSLNEFADLTNEEFQEIRNGYTKRSSKSIMSNSTKATLEWREKGAVTPIKDQGKCWCCWAFSAVAATKGDNKLKTRNLISLSEQELVDYDTTGQDHGCEGGLMDDAFQFIQRNKVSINGYEDVPKNNQNAMLQAVANQPISFGIQTMI >ONI08573 pep chromosome:Prunus_persica_NCBIv2:G5:15427162:15429119:1 gene:PRUPE_5G186400 transcript:ONI08573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFREGTNSEENMGNLFCCVQVDQSKVAVKEKFGRFVEVLEPGCHCLPWFLGHQIAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKADDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDAAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGSVRDVASQIRDGLLQGSHQ >ONI08575 pep chromosome:Prunus_persica_NCBIv2:G5:15426485:15429119:1 gene:PRUPE_5G186400 transcript:ONI08575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVDQSKVAVKEKFGRFVEVLEPGCHCLPWFLGHQIAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKADDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDAAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGSVRDVASQIRDGLLQGSHQ >ONI08576 pep chromosome:Prunus_persica_NCBIv2:G5:15425565:15429119:1 gene:PRUPE_5G186400 transcript:ONI08576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVDQSKVAVKEKFGRFVEVLEPGCHCLPWFLGHQIAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKADDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDAAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGSVRDVASQIRDGLLQGSHQ >ONI08574 pep chromosome:Prunus_persica_NCBIv2:G5:15425700:15429119:1 gene:PRUPE_5G186400 transcript:ONI08574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFCCVQVDQSKVAVKEKFGRFVEVLEPGCHCLPWFLGHQIAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKADDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDAAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGSVRDVASQIRDGLLQGSHQ >ONI06858 pep chromosome:Prunus_persica_NCBIv2:G5:9763527:9766488:1 gene:PRUPE_5G086000 transcript:ONI06858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLLSFVKVLILLIILSFSEICSTQVLESPSPSTLATYIVHLKKPHDTDFSELQDLQSWHQSFLPTETETVNPNPYLAIQKGILESASPGNLGPFNGTVQNAGPWILTVGASTIDRSFKAPLGLLNPTTLPPLVYPTTSNHTLSEFCNQRTLANVRDMVKGKVVLCQGRGGEAGIARGAEVKKYGGVAMILMNEELDGYTISAETHQWLQIKAYINSTSTPTATILYKGTQTGNSSAPIVLSFSSRGPYAAIPGILKPDIIGPGGNILGAWPSDSSFSVSSAALLKSSHPDWSPAAIKSAMMTTADVLDHEDKPILDYNYAPAELFATGAGHVNPSKANDPGLVYDIQPDDYIPYLCGFKYKDKEIKSIPESQLNYPSFSITFGSSPQVVTRTVTNVGSASSNYTVKGCFSRGSKHSIQVKPEEIVFTKLKQTATYKVTFSLDDEGYSQSAGHKLFARGSLQWISAEHGVSSPISVAFKNDDRMLLLTVSSLKP >ONI08971 pep chromosome:Prunus_persica_NCBIv2:G5:16707022:16711204:1 gene:PRUPE_5G210100 transcript:ONI08971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCTCQANSESMDEHSTAVFKPVYKSFKFKCSEAIKGLKVEKNTGLKDNNVFISNLKSEDSEVVPNQHPDDSRDMHAVRNASRASGYMDWKDSVDGNGQHIPHYTCTCSDKEESRERKVGMDNELPELVAFLQESSNYQCFKDICIDKEVPSQEKYLVENCELDHSRISCILDSDMDSSSESIGETLDSESSISTEHDCDKDVSEQCGSKNLKIEDEKYSGDRDDHSTKKTVSETLLIVRQGPNNAVLANSMVLSKSQAIDDNSRTSKASVRSDLDDASSLGKLNGIITIDDGREEVTQSAECHPPDIGNMFRPDSSEDGMSASEPCSGLSALSGGIPSCGSISLRSNSSTTSSQSFAFPILSSEWNGSPARMGKADPRQLQRHHRHWVMRFLCCKF >ONI08972 pep chromosome:Prunus_persica_NCBIv2:G5:16709013:16711204:1 gene:PRUPE_5G210100 transcript:ONI08972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHSTAVFKPVYKSFKFKCSEAIKGLKVEKNTGLKDNNVFISNLKSEDSEVVPNQHPDDSRDMHAVRNASRASGYMDWKDSVDGNGQHIPHYTCTCSDKEESRERKVGMDNELPELVAFLQESSNYQCFKDICIDKEVPSQEKYLVENCELDHSRISCILDSDMDSSSESIGETLDSESSISTEHDCDKDVSEQCGSKNLKIEDEKYSGDRDDHSTKKTVSETLLIVRQGPNNAVLANSMVLSKSQAIDDNSRTSKASVRSDLDDASSLGKLNGIITIDDGREEVTQSAECHPPDIGNMFRPDSSEDGMSASEPCSGLSALSGGIPSCGSISLRSNSSTTSSQSFAFPILSSEWNGSPARMGKADPRQLQRHHRHWVMRFLCCKF >ONI08966 pep chromosome:Prunus_persica_NCBIv2:G5:16707022:16711242:1 gene:PRUPE_5G210100 transcript:ONI08966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCTCQANSESMDEHSTAVFKPVYKSFKFKCSEAIKGLKVEKNTGLKDNNVFISNLKSEDSEVVPNQHPDDSRDMHAVRNASRASGYMDWKDSVDGNGQHIPHYTCTCSDKEESRERKVGMDNELPELVAFLQESSNYQCFKDICIDKEVPSQEKYLVENCELDHSRISCILDSDMDSSSESIGETLDSESSISTEHDCDKDVSEQCGSKNLKIEDEKYSGDRDDHSTKKTVSETLLIVRQGPNNAVLANSMVLSKSQAIDDNSRTSKASVRSDLDDASSLGKLNGIITIDDGREEVTQSAECHPPDIGNMFRPDSSEDGMSASEPCSGLSALSGGIPSCGSISLRSNSSTTSSQSFAFPILSSEWNGSPARMGKADPRQLQRHHRHWVMRFLCCKF >ONI08969 pep chromosome:Prunus_persica_NCBIv2:G5:16707022:16711241:1 gene:PRUPE_5G210100 transcript:ONI08969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCTCQANSESMDEHSTAVFKPVYKSFKFKCSEAIKGLKVEKNTGLKDNNVFISNLKSEDSEVVPNQHPDDSRDMHAVRNASRASGYMDWKDSVDGNGQHIPHYTCTCSDKEESRERKVGMDNELPELVAFLQESSNYQCFKDICIDKEVPSQEKYLVENCELDHSRISCILDSDMDSSSESIGETLDSESSISTEHDCDKDVSEQCGSKNLKIEDEKYSGDRDDHSTKKTVSETLLIVRQGPNNAVLANSMVLSKSQAIDDNSRTSKASVRSDLDDASSLGKLNGIITIDDGREEVTQSAECHPPDIGNMFRPDSSEDGMSASEPCSGLSALSGGIPSCGSISLRSNSSTTSSQSFAFPILSSEWNGSPARMGKADPRQLQRHHRHWVMRFLCCKF >ONI08970 pep chromosome:Prunus_persica_NCBIv2:G5:16707964:16711241:1 gene:PRUPE_5G210100 transcript:ONI08970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCTCQANSESMDEHSTAVFKPVYKSFKFKCSEAIKGLKVEKNTGLKDNNVFISNLKSEDSEVVPNQHPDDSRDMHAVRNASRASGYMDWKDSVDGNGQHIPHYTCTCSDKEESRERKVGMDNELPELVAFLQESSNYQCFKDICIDKEVPSQEKYLVENCELDHSRISCILDSDMDSSSESIGETLDSESSISTEHDCDKDVSEQCGSKNLKIEDEKYSGDRDDHSTKKTVSETLLIVRQGPNNAVLANSMVLSKSQAIDDNSRTSKASVRSDLDDASSLGKLNGIITIDDGREEVTQSAECHPPDIGNMFRPDSSEDGMSASEPCSGLSALSGGIPSCGSISLRSNSSTTSSQSFAFPILSSEWNGSPARMGKADPRQLQRHHRHWVMRFLCCKF >ONI08968 pep chromosome:Prunus_persica_NCBIv2:G5:16707022:16711204:1 gene:PRUPE_5G210100 transcript:ONI08968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCTCQANSESMDEHSTAVFKPVYKSFKFKCSEAIKGLKVEKNTGLKDNNVFISNLKSEDSEVVPNQHPDDSRDMHAVRNASRASGYMDWKDSVDGNGQHIPHYTCTCSDKEESRERKVGMDNELPELVAFLQESSNYQCFKDICIDKEVPSQEKYLVENCELDHSRISCILDSDMDSSSESIGETLDSESSISTEHDCDKDVSEQCGSKNLKIEDEKYSGDRDDHSTKKTVSETLLIVRQGPNNAVLANSMVLSKSQAIDDNSRTSKASVRSDLDDASSLGKLNGIITIDDGREEVTQSAECHPPDIGNMFRPDSSEDGMSASEPCSGLSALSGGIPSCGSISLRSNSSTTSSQSFAFPILSSEWNGSPARMGKADPRQLQRHHRHWVMRFLCCKF >ONI08967 pep chromosome:Prunus_persica_NCBIv2:G5:16707022:16711204:1 gene:PRUPE_5G210100 transcript:ONI08967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCTCQANSESMDEHSTAVFKPVYKSFKFKCSEAIKGLKVEKNTGLKDNNVFISNLKSEDSEVVPNQHPDDSRDMHAVRNASRASGYMDWKDSVDGNGQHIPHYTCTCSDKEESRERKVGMDNELPELVAFLQESSNYQCFKDICIDKEVPSQEKYLVENCELDHSRISCILDSDMDSSSESIGETLDSESSISTEHDCDKDVSEQCGSKNLKIEDEKYSGDRDDHSTKKTVSETLLIVRQGPNNAVLANSMVLSKSQAIDDNSRTSKASVRSDLDDASSLGKLNGIITIDDGREEVTQSAECHPPDIGNMFRPDSSEDGMSASEPCSGLSALSGGIPSCGSISLRSNSSTTSSQSFAFPILSSEWNGSPARMGKADPRQLQRHHRHWVMRFLCCKF >ONI05447 pep chromosome:Prunus_persica_NCBIv2:G5:854864:857791:1 gene:PRUPE_5G008000 transcript:ONI05447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHAALASTRIPANTRLPSKSSHSFPAQCFSKRLEVGEFSGLRSSSCVTYASNGRETSFFDAVAAQLTPKTTGPAPVKGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIVVNDSGGVKNASHLLKYDSMLGIFKADVKIVDNETISVDGKPVQVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYSHEVANIVSNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINVEKKGISAEDVNAAFRKAAEGPLQGILAVCDIPLVSVDFRCTDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGTPQAGSGDPLEEFCKTNPADEECKVYEA >ONI05448 pep chromosome:Prunus_persica_NCBIv2:G5:855054:857791:1 gene:PRUPE_5G008000 transcript:ONI05448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHAALASTRIPANTRLPSKSSHSFPAQCFSKRLEVGEFSGLRSSSCVTYASNGRETSFFDAVAAQLTPKTTGPAPVKGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIVVNDSGGVKNASHLLKYDSMLGIFKADVKIVDNETISVDGKPVQVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYSHEVANIVSNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINVEKKGISAEDVNAAFRKAAEGPLQGILAVCDIPLVSVDFRCTDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYR >ONI06656 pep chromosome:Prunus_persica_NCBIv2:G5:8755743:8756145:-1 gene:PRUPE_5G073300 transcript:ONI06656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTTASLLALNLLFFSLVNANPNPAPNRFHLLDLEAAVCLCTAIKARILGINLNIPVAISLLLSACGDKISYNCV >ONI09245 pep chromosome:Prunus_persica_NCBIv2:G5:17452589:17454872:-1 gene:PRUPE_5G226400 transcript:ONI09245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSLSWDYLLVPVGLCVLGIYHIWLLITVQRNPTKTVMGLNSAVRKQWVFSMMDVSPPFSFLLCFPSAIYRLSFLETKTKKKKKVETLVSKTFEKDNGQWGRKTNCISFIYTMISVFVSTSSSSSCDSTVSQQLVYTIPLSSIKCFCILLCFLVGFLCNVQSTRYFAHASFLATLPTGKDKTDYIEYVSTTVNRGSYFWSLGLRAFYLSIPLFLWIFGAIPMFVCCCIMTIALIIQPPVLHNLFTANPSKRKGEPMMSNQLVNRRQILVLRTFSFGVPYLRIMTQFLPLMLLDSV >ONI07077 pep chromosome:Prunus_persica_NCBIv2:G5:10711821:10726416:-1 gene:PRUPE_5G099000 transcript:ONI07077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVEKGVVGPNLSVSASGSLNIPSAPVYYPTEDEFRDPLEYIYKIRPEAELYGICRIVPPKNWKPPFALDLDSFTFPTKTQAIHQLQVRPASCDSKTFELEYNRFLEDHCGKKLRKKVVFEGEELDLCKLFNAVKRYGGYDKVVKGKKWGEVARFVRPARKISECSKHVLCQLYRDHLHDYEKYYNKLNKEVVRSRKRGMHEEKRSEQNVECSSSKRRRTNNEGEKVKVCKVEKEDEEHDQICEQCRSGLHGEVMLLCDRCNKGWHIHCLSPPLKQVPPGNWYCLDCLNSDKDSFGFVPGKRFSLEVFRRVANRSKRKWFGSGSASRVQIEKKFWEIVEGSIGEVEVMYGSDLDTSIYGSGFPRGNDQRPESVEAKIWDEYCGSPWNLNNLPKLKGSVLRTVHHNIAGVMVPWLYVGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRNSLPDLFDAQPDLLFQLVTMLNPSVLQENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGFGAGLYQLYRKTAVLSHEELVCVVAKSDCDSRVTPYLKKELTRVYSKEKTWRERLWRKGIIKSSLMSSRKCPEYVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSRRLRLLYRHTLAELHDLVLAMDKHCFEETTESRTLRRQISCPDEPTALKKTVKGGHSTFSQLAEKWLLRSCKISQGPFLRDEYVSVLKEAEQFLWAGSEMNPVREMAKNLIRSQKWAEGVRDCLSKIETWSSHCGNGIERAHLEYINELLSFDAVPCYEPGHLNLKNYAEQARGLIQDIESAMSSCPKISELELLYSRACEFPIYVKESENLLQRISSAKVLMEGIRNCISEKRPAAIDVDVVYKLKLESSELQVQLPDVEKLSDLLGKAESCRVRCGEILKDHISLKDVEVLLQELDGFTVNIPELKLLSQYHTDAVSWISRFDAVLVSSHGREDQNNAVDELMLILKDGASLRIKVDQLSLVECELKKARCREKALRMRDTKLSLDFVQEVIMEAAVLHIEGEKLFVDMSKVLDAALQWEERAKYILAHEAHISDFEDVIRSSEDIYVNLPSLLDVKDTLSKAMAWLRSSEPFLVTCSPLVPASSSLLNVDTLKELVSESKCINVSLKEKTMLETVLMNCEEWKHDAFSLLQDISCLFDMRISGDGIRDGLISKIESLVKRIESMENTGLSLAFDFDELAKLKDVCSMLQWCKKALSFCTGAPSFEDVDGLMNGVENSCGTYASSALWCSLVDGVKWLKHATKVISASCNFGRCKLSEAEEVLSNSQSLSVSFPLMFGQVESAIQKHKCWLEQVHQLFSLRPGERSWSLMLQLKELGVSVAFSCTELDLIISEVGRVESWKRQCMDIVKSLIEDEDSLLGALEKMSQTLDRSMHIYDKPHGLKESGYYACCSSGSLDQEFLTCSSCKDCYHGRCLGTSIVDAKHAKFVCPCCRYLECGTTSQNGGSLKFGGMRPELQKIIEHISGEEDFCVCIEENEVLKEVMKKALACKSRLKEIVDFALAYSDKDLSVIFGKLSTALKAREMEGVHDHEGDCNLMLVLSRYSWKVKVNKSLEGSQKPTIQQIQQHLKEGAALNIPPGDYYRQKLTEVKCIGLQWADNAKKVAADSGALPLGKVFELVLEGENLPVRMEKELKLLKTRSMLYCICRKPYDQRAMIACDQCDEWYHFDCLKLRSAPEVYICPACEPRAQETEVVSTASGVDHERCTDAKFVEPKTPSPTHTKCRTNLKKVESDLNQKMCAITDPSNLFRCSSGIERLWWRNRKPFRRAAKRRAELESLSQFSHLQQ >ONI07079 pep chromosome:Prunus_persica_NCBIv2:G5:10711821:10726444:-1 gene:PRUPE_5G099000 transcript:ONI07079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVEKGVVGPNLSVSASGSLNIPSAPVYYPTEDEFRDPLEYIYKIRPEAELYGICRIVPPKNWKPPFALDLDSFTFPTKTQAIHQLQVRPASCDSKTFELEYNRFLEDHCGKKLRKKVVFEGEELDLCKLFNAVKRYGGYDKVVKGKKWGEVARFVRPARKISECSKHVLCQLYRDHLHDYEKYYNKLNKEVVRSRKRGMHEEKRSEQNVECSSSKRRRTNNEGEKVKVCKVEKEDEEHDQICEQCRSGLHGEVMLLCDRCNKGWHIHCLSPPLKQVPPGNWYCLDCLNSDKDSFGFVPGKRFSLEVFRRVANRSKRKWFGSGSASRVQIEKKFWEIVEGSIGEVEVMYGSDLDTSIYGSGFPRGNDQRPESVEAKIWDEYCGSPWNLNNLPKLKGSVLRTVHHNIAGVMVPWLYVGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRNSLPDLFDAQPDLLFQLVTMLNPSVLQENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGFGAGLYQLYRKTAVLSHEELVCVVAKSDCDSRVTPYLKKELTRVYSKEKTWRERLWRKGIIKSSLMSSRKCPEYVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSRRLRLLYRHTLAELHDLVLAMDKHCFEETTESRTLRRQISCPDEPTALKKTVKGGHSTFSQLAEKWLLRSCKISQGPFLRDEYVSVLKEAEQFLWAGSEMNPVREMAKNLIRSQKWAEGVRDCLSKIETWSSHCGNGIERAHLEYINELLSFDAVPCYEPGHLNLKNYAEQARGLIQDIESAMSSCPKISELELLYSRACEFPIYVKESENLLQRISSAKVLMEGIRNCISEKRPAAIDVDVVYKLKLESSELQVQLPDVEKLSDLLGKAESCRVRCGEILKDHISLKDVEVLLQELDGFTVNIPELKLLSQYHTDAVSWISRFDAVLVSSHGREDQNNAVDELMLILKDGASLRIKVDQLSLVECELKKARCREKALRMRDTKLSLDFVQEVIMEAAVLHIEGEKLFVDMSKVLDAALQWEERAKYILAHEAHISDFEDVIRSSEDIYVNLPSLLDVKDTLSKAMAWLRSSEPFLVTCSPLVPASSSLLNVDTLKELVSESKCINVSLKEKTMLETVLMNCEEWKHDAFSLLQDISCLFDMRISGDGIRDGLISKIESLVKRIESMENTGLSLAFDFDELAKLKDVCSMLQWCKKALSFCTGAPSFEDVDGLMNGVENSCGTYASSALWCSLVDGVKWLKHATKVISASCNFGRCKLSEAEEVLSNSQSLSVSFPLMFGQVESAIQKHKCWLEQVHQLFSLRPGERSWSLMLQLKELGVSVAFSCTELDLIISEVGRVESWKRQCMDIVKSLIEDEDSLLGALEKMSQTLDRSMHIYDKPHGLKESGYYACCSSGSLDQEFLTCSSCKDCYHGRCLGTSIVDAKHAKFVCPCCRYLECGTTSQNGGSLKFGGMRPELQKIIEHISGEEDFCVCIEENEVLKEVMKKALACKSRLKEIVDFALAYSDKDLSVIFGKLSTALKAREMEGVHDHEGDCNLMLVLSRYSWKVKVNKSLEGSQKPTIQQIQQHLKEGAALNIPPGDYYRQKLTEVKCIGLQWADNAKKVAADSGALPLGKVFELVLEGENLPVRMEKELKLLKTRSMLYCICRKPYDQRAMIACDQCDEWYHFDCLKLRSAPEVYICPACEPRAQETEVVSTASGVDHERCTDAKFVEPKTPSPTHTKCRTNLKKVESDLNQKMCAITDPSNLFRCSSGIERLWWRNRKPFRRAAKRRAELESLSQFSHLQQ >ONI07080 pep chromosome:Prunus_persica_NCBIv2:G5:10711821:10726392:-1 gene:PRUPE_5G099000 transcript:ONI07080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVEKGVVGPNLSVSASGSLNIPSAPVYYPTEDEFRDPLEYIYKIRPEAELYGICRIVPPKNWKPPFALDLDSFTFPTKTQAIHQLQVRPASCDSKTFELEYNRFLEDHCGKKLRKKVVFEGEELDLCKLFNAVKRYGGYDKVVKGKKWGEVARFVRPARKISECSKHVLCQLYRDHLHDYEKYYNKLNKEVVRSRKRGMHEEKRSEQNVECSSSKRRRTNNEGEKVKVCKVEKEDEEHDQICEQCRSGLHGEVMLLCDRCNKGWHIHCLSPPLKQVPPGNWYCLDCLNSDKDSFGFVPGKRFSLEVFRRVANRSKRKWFGSGSASRVQIEKKFWEIVEGSIGEVEVMYGSDLDTSIYGSGFPRGNDQRPESVEAKIWDEYCGSPWNLNNLPKLKGSVLRTVHHNIAGVMVPWLYVGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRNSLPDLFDAQPDLLFQLVTMLNPSVLQENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGFGAGLYQLYRKTAVLSHEELVCVVAKSDCDSRVTPYLKKELTRVYSKEKTWRERLWRKGIIKSSLMSSRKCPEYVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSRRLRLLYRHTLAELHDLVLAMDKHCFEETTESRTLRRQISCPDEPTALKKTVKGGHSTFSQLAEKWLLRSCKISQGPFLRDEYVSVLKEAEQFLWAGSEMNPVREMAKNLIRSQKWAEGVRDCLSKIETWSSHCGNGIERAHLEYINELLSFDAVPCYEPGHLNLKNYAEQARGLIQDIESAMSSCPKISELELLYSRACEFPIYVKESENLLQRISSAKVLMEGIRNCISEKRPAAIDVDVVYKLKLESSELQVQLPDVEKLSDLLGKAESCRVRCGEILKDHISLKDVEVLLQELDGFTVNIPELKLLSQYHTDAVSWISRFDAVLVSSHGREDQNNAVDELMLILKDGASLRIKVDQLSLVECELKKARCREKALRMRDTKLSLDFVQEVIMEAAVLHIEGEKLFVDMSKVLDAALQWEERAKYILAHEAHISDFEDVIRSSEDIYVNLPSLLDVKDTLSKAMAWLRSSEPFLVTCSPLVPASSSLLNVDTLKELVSESKCINVSLKEKTMLETVLMNCEEWKHDAFSLLQDISCLFDMRISGDGIRDGLISKIESLVKRIESMENTGLSLAFDFDELAKLKDVCSMLQWCKKALSFCTGAPSFEDVDGLMNGVENSCGTYASSALWCSLVDGVKWLKHATKVISASCNFGRCKLSEAEEVLSNSQSLSVSFPLMFGQVESAIQKHKCWLEQVHQLFSLRPGERSWSLMLQLKELGVSVAFSCTELDLIISEVGRVESWKRQCMDIVKSLIEDEDSLLGALEKMSQTLDRSMHIYDKPHGLKESGYYACCSSGSLDQEFLTCSSCKDCYHGRCLGTSIVDAKHAKFVCPCCRYLECGTTSQNGGSLKFGGMRPELQKIIEHISGEEDFCVCIEENEVLKEVMKKALACKSRLKEIVDFALAYSDKDLSVIFGKLSTALKAREMEGVHDHEGDCNLMLVLSRYSWKVKVNKSLEGSQKPTIQQIQQHLKEGAALNIPPGDYYRQKLTEVKCIGLQWADNAKKVAADSGALPLGKVFELVLEGENLPVRMEKELKLLKTRSMLYCICRKPYDQRAMIACDQCDEWYHFDCLKLRSAPEVYICPACEPRAQETEVVSTASGVDHERCTDAKFVEPKTPSPTHTKCRTNLKKVESDLNQKMCAITDPSNLFRCSSGIERLWWRNRKPFRRAAKRRAELESLSQFSHLQQ >ONI07078 pep chromosome:Prunus_persica_NCBIv2:G5:10711819:10726411:-1 gene:PRUPE_5G099000 transcript:ONI07078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRPRAVEKGVVGPNLSVSASGSLNIPSAPVYYPTEDEFRDPLEYIYKIRPEAELYGICRIVPPKNWKPPFALDLDSFTFPTKTQAIHQLQVRPASCDSKTFELEYNRFLEDHCGKKLRKKVVFEGEELDLCKLFNAVKRYGGYDKVVKGKKWGEVARFVRPARKISECSKHVLCQLYRDHLHDYEKYYNKLNKEVVRSRKRGMHEEKRSEQNVECSSSKRRRTNNEGEKVKVCKVEKEDEEHDQICEQCRSGLHGEVMLLCDRCNKGWHIHCLSPPLKQVPPGNWYCLDCLNSDKDSFGFVPGKRFSLEVFRRVANRSKRKWFGSGSASRVQIEKKFWEIVEGSIGEVEVMYGSDLDTSIYGSGFPRGNDQRPESVEAKIWDEYCGSPWNLNNLPKLKGSVLRTVHHNIAGVMVPWLYVGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRNSLPDLFDAQPDLLFQLVTMLNPSVLQENGVPVYSVLQEPGNFVITFPRSYHGGFNLGLNCAEAVNFAPADWLPHGGFGAGLYQLYRKTAVLSHEELVCVVAKSDCDSRVTPYLKKELTRVYSKEKTWRERLWRKGIIKSSLMSSRKCPEYVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSRRLRLLYRHTLAELHDLVLAMDKHCFEETTESRTLRRQISCPDEPTALKKTVKGGHSTFSQLAEKWLLRSCKISQGPFLRDEYVSVLKEAEQFLWAGSEMNPVREMAKNLIRSQKWAEGVRDCLSKIETWSSHCGNGIERAHLEYINELLSFDAVPCYEPGHLNLKNYAEQARGLIQDIESAMSSCPKISELELLYSRACEFPIYVKESENLLQRISSAKVLMEGIRNCISEKRPAAIDVDVVYKLKLESSELQVQLPDVEKLSDLLGKAESCRVRCGEILKDHISLKDVEVLLQELDGFTVNIPELKLLSQYHTDAVSWISRFDAVLVSSHGREDQNNAVDELMLILKDGASLRIKVDQLSLVECELKKARCREKALRMRDTKLSLDFVQEVIMEAAVLHIEGEKLFVDMSKVLDAALQWEERAKYILAHEAHISDFEDVIRSSEDIYVNLPSLLDVKDTLSKAMAWLRSSEPFLVTCSPLVPASSSLLNVDTLKELVSESKCINVSLKEKTMLETVLMNCEEWKHDAFSLLQDISCLFDMRISGDGIRDGLISKIESLVKRIESMENTGLSLAFDFDELAKLKDVCSMLQWCKKALSFCTGAPSFEDVDGLMNGVENSCGTYASSALWCSLVDGVKWLKHATKVISASCNFGRCKLSEAEEVLSNSQSLSVSFPLMFGQVESAIQKHKCWLEQVHQLFSLRPGERSWSLMLQLKELGVSVAFSCTELDLIISEVGRVESWKRQCMDIVKSLIEDEDSLLGALEKMSQTLDRSMHIYDKPHGLKESGYYACCSSGSLDQEFLTCSSCKDCYHGRCLGTSIVDAKHAKFVCPCCRYLECGTTSQNGGSLKFGGMRPELQKIIEHISGEEDFCVCIEENEVLKEVMKKALACKSRLKEIVDFALAYSDKDLSVIFGKLSTALKAREMEGVHDHEGDCNLMLVLSRYSWKVKVNKSLEGSQKPTIQQIQQHLKEGAALNIPPGDYYRQKLTEVKCIGLQWADNAKKVAADSGALPLGKVFELVLEGENLPVRMEKELKLLKTRSMLYCICRKPYDQRAMIACDQCDEWYHFDCLKLRSAPEVYICPACEPRAQETEVVSTASGVDHERCTDAKFVEPKTPSPTHTKCRTNLKKVESDLNQKMCAITDPSNLFRCSSGIERLWWRNRKPFRRAAKRRAELESLSQFSHLQQ >ONI08802 pep chromosome:Prunus_persica_NCBIv2:G5:16215137:16219008:-1 gene:PRUPE_5G201500 transcript:ONI08802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKRPLDDEQIFVSFKHPRQVGHSKELVSFSESVFPEDVSEKPQTLGGEDVETSGPGSFTISSWTTSSTSEEDSLLEAPFHGSFPDCFNPERPIRTLAQSEDIYSFLLDHPPRKSVSIGPEHQAEVPLWGAQGNNNNSNNLDTSEAVSNSDLEDEKRLMGTCVIPMPDSDLSADTGCIAGIGRTDCSCEDEDSVRCVRQHILEAREKLIKTIGPKRFEELGFSDMGEQVAQRWSEEEEQLFHQVVFSNPASLGKNFWDNLSTVFPSRTKKEIVSYYFNVFMLVKRAGQNRYDPINVDSDNDEWQGSNDYGDNQLAVTEDEDSVVESPICQNVPGYYQSWKDNLQEYDEEVVDDTCDDNVNVDMFGGGTKQILDRCYGLVDNCSTCPIAQLQDKISWDEKGDQEVQDDSCTSFDAAAASQENQLKSEEGNHWSGGFNGSSNRGDHEYVLEPCDTKIWDAGYMTCPENKVDFLPTCNMIEEVFGKESWNYKARDGKNLG >ONI08803 pep chromosome:Prunus_persica_NCBIv2:G5:16215137:16219007:-1 gene:PRUPE_5G201500 transcript:ONI08803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKRPLDDEQIFVSFKHPRQVGHSKELVSFSESVFPEDVSEKPQTLENGWAKVNDKGEEKLSDDIFYDLPKGGEDVETSGPGSFTISSWTTSSTSEEDSLLEAPFHGSFPDCFNPERPIRTLAQSEDIYSFLLDHPPRKSVSIGPEHQAEVPLWGAQGNNNNSNNLDTSEAVSNSDLEDEKRLMGTCVIPMPDSDLSADTGCIAGIGRTDCSCEDEDSVRCVRQHILEAREKLIKTIGPKRFEELGFSDMGEQVAQRWSEEEEQLFHQVVFSNPASLGKNFWDNLSTVFPSRTKKEIVSYYFNVFMLVKRAGQNRYDPINVDSDNDEWQGSNDYGDNQLAVTEDEDSVVESPICQNVPGYYQSWKDNLQEYDEEVVDDTCDDNVNVDMFGGGTKQILDRCYGLVDNCSTCPIAQLQDKISWDEKGDQEVQDDSCTSFDAAAASQENQLKSEEGNHWSGGFNGSSNRGDHEYVLEPCDTKIWDAGYMTCPENKVDFLPTCNMIEEVFGKESWNYKARDGKNLG >ONI07539 pep chromosome:Prunus_persica_NCBIv2:G5:12387311:12388691:-1 gene:PRUPE_5G126600 transcript:ONI07539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCSKVGLHRGSWTAREDALLIQYIQSHGEGHWSSLPNKAGLLRCGKSCRLRWMNYLRPDIKRGNITPEEDDLIVRLHALLGNRWSLIAGRLPGRTDNEIKNYWNTHIAKRLRIQKTSTQEVAPKPKSKCVQAEEAKKSDDDHENIGATTKRTKLHLPKPTRVSPVKTPVGSFCDGEGDHEQEVGFYDAEVPNYFHASWSDDMKKEDDDCFGEGLVCGENVEDCYDFVQVFDPARSQNSEEAAAAAERVAMTWKICEEYEQDLKADQDHGQLESFVDSLLI >ONI07733 pep chromosome:Prunus_persica_NCBIv2:G5:12907548:12915088:1 gene:PRUPE_5G136300 transcript:ONI07733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTNPFGQSSSSPFASQPVFGQTSNTSNNPFVPKPFGSTTPFGAQTGSSIFGGTSTGVFGAAQSSSPFPSSTTFGAASSSPAFGNTTPAFGAASSAAFNSSPSPFGGSSGFGQKPVFGAFGSNTTQPSPFGSTTQPSQPAFGSGIFGSTTPFGGSSQPAFGATTTPTAFGGTSSPAFGATTTPAFGATTTPAFGATSTPAFGATSNPPSFGATSTPPAFGATSSPAFGSTTSPTFGSTGSAFGVSNSSVFGSTGAFGASSTPAFGSSGSTFGTSSNPGFGASSAPGFGSSSTPSFTFTSAPAFGQSNSTFGSSSSPFGAQNSPFGAQPTPLGNNTFGQSAFGGQQRGGSRVAAYTETPEPDGGSGATAAKLESISAMSVYKDKSHEELRWEDYQLGDKGGPAPAGGSAFGMSTAQPNSLNTASSFPQASTSPFNTATGPNLFTPKTPSFPSTGFGTSSTPFSSSTFGLSTSTNTFNPSSSPSGFGQTSSPSLFTSSAPSTFSFSAPAQTSNSTFNTGIFNSTAPVAQTGSTFGLSTTSFGQNTGPFGQSNAFNTPSTGYGLGSSSTASATSNLMGFSQPTSSFPQPFQSSQPPQNSGFGFNSFNQTQPGNTGGLGGSVGILGQNNFGQLSATQSSAAVQPVPATNPFGTLPAMPQMSIGRGGTAPSIQYGISSMPVVDKLAPVRMSSSLVTSRHLTQRRVRLPVRKYYPKNDDQRFPFFIDDEESVNTPKADALFLPRENPRALVIRPKEQWPLRASAEKASPLKDTYTPVHENETPHEDGIVKERVNHVKANQKPNGVHDDHSIQKGDSYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYYTEPRIQELAAKERAEPGFCCHVKDFVVGRVDYGSIKFFGETDVRRLDLESVVQFNNREVIVYMDDNKKPPVGQGLNKPAEVTLLNITCMDKKTGRRFTEGPKTEKYKQMLKKKAEDQGAEFVSYDPLKGEWKFKVSHFSEYKLGDEDDWDVDGAQDC >ONI05912 pep chromosome:Prunus_persica_NCBIv2:G5:3320213:3322545:-1 gene:PRUPE_5G028400 transcript:ONI05912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNSKPTSSSSWRRSSREEQEEVDLGFWRRALDSRTSFSSSMAKPDTGFELEVSNPRVYAHGLHHHHHQHHQSNISSNHIIHHLNQNGNVFQGFEQNQFSVPQVNHHPLLNVHDQQLQLQSQSQSDHLGFLRPIRGIPVYQNPPPPNLFPFSSQKPLDSNSCTSTSTITSSSSPLFQAQGGLLRSRFLSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKAAPSSVYFIGQDNLMCTKMLHLGTPLKT >ONI05909 pep chromosome:Prunus_persica_NCBIv2:G5:3318216:3322545:-1 gene:PRUPE_5G028400 transcript:ONI05909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNSKPTSSSSWRRSSREEQEEVDLGFWRRALDSRTSFSSSMAKPDTGFELEVSNPRVYAHGLHHHHHQHHQSNISSNHIIHHLNQNGNVFQGFEQNQFSVPQVNHHPLLNVHDQQLQLQSQSQSDHLGFLRPIRGIPVYQNPPPPNLFPFSSQKPLDSNSCTSTSTITSSSSPLFQAQGGLLRSRFLSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKAAPSSGQSDVYENASSGDTTEDIMLDLQNPRRSCDQLSPAQQQGSRSTPQVQDNKEYYGLWSNSSREAWLHANTKDSSTPANIPSLDDQEKEDMMDPKGLSYERISDVSSSTTNISAGTRSPRKPNLEFTLGRPL >ONI05908 pep chromosome:Prunus_persica_NCBIv2:G5:3317720:3322970:-1 gene:PRUPE_5G028400 transcript:ONI05908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNSKPTSSSSWRRSSREEQEEVDLGFWRRALDSRTSFSSSMAKPDTGFELEVSNPRVYAHGLHHHHHQHHQSNISSNHIIHHLNQNGNVFQGFEQNQFSVPQVNHHPLLNVHDQQLQLQSQSQSDHLGFLRPIRGIPVYQNPPPPNLFPFSSQKPLDSNSCTSTSTITSSSSPLFQAQGGLLRSRFLSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKAAPSSGQSDVYENASSGDTTEDIMLDLQNPRRSCDQLSPAQQQGSRSTPQVQDNKEYYGLWSNSSREAWLHANTKDSSTPANIPSLDDQEEDMMDPKGLSYERISDVSSSTTNISAGTRSPRKPNLEFTLGRPL >ONI05913 pep chromosome:Prunus_persica_NCBIv2:G5:3321007:3322970:-1 gene:PRUPE_5G028400 transcript:ONI05913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNSKPTSSSSWRRSSREEQEEVDLGFWRRALDSRTSFSSSMAKPDTGFELEVSNPRVYAHGLHHHHHQHHQSNISSNHIIHHLNQNGNVFQGFEQNQFSVPQVNHHPLLNVHDQQLQLQSQSQSDHLGFLRPIRGIPVYQNPPPPNLFPFSSQKPLDSNSCTSTSTITSSSSPLFQAQGGLLRSRFLSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMRLRNP >ONI05910 pep chromosome:Prunus_persica_NCBIv2:G5:3317720:3322970:-1 gene:PRUPE_5G028400 transcript:ONI05910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNSKPTSSSSWRRSSREEQEEVDLGFWRRALDSRTSFSSSMAKPDTGFELEVSNPRVYAHGLHHHHHQHHQSNISSNHIIHHLNQNGNVFQGFEQNQFSVPQVNHHPLLNVHDQQLQLQSQSQSDHLGFLRPIRGIPVYQNPPPPNLFPFSSQKPLDSNSCTSTSTITSSSSPLFQAQGGLLRSRFLSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKAAPSSGQSDVYENASSGDTTEDIMLDLQNPRRSCDQLSPAQQQGSRSTPQVQDNKEYYGLWSNSSSREAWLHANTKDSSTPANIPSLDDQEEDMMDPKGLSYERISDVSSSTTNISAGTRSPRKPNLEFTLGRPL >ONI05911 pep chromosome:Prunus_persica_NCBIv2:G5:3318216:3322545:-1 gene:PRUPE_5G028400 transcript:ONI05911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNSKPTSSSSWRRSSREEQEEVDLGFWRRALDSRTSFSSSMAKPDTGFELEVSNPRVYAHGLHHHHHQHHQSNISSNHIIHHLNQNGNVFQGFEQNQFSVPQVNHHPLLNVHDQQLQLQSQSQSDHLGFLRPIRGIPVYQNPPPPNLFPFSSQKPLDSNSCTSTSTITSSSSPLFQAQGGLLRSRFLSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKAAPSSGQSDVYENASSGDTTEDIMLDLQNPRRSCDQLSPAQQQGSRSTPQVQDNKEYYGLWSNSSSREAWLHANTKDSSTPANIPSLDDQEKEDMMDPKGLSYERISDVSSSTTNISAGTRSPRKPNLEFTLGRPL >ONI05530 pep chromosome:Prunus_persica_NCBIv2:G5:1211509:1214118:-1 gene:PRUPE_5G011500 transcript:ONI05530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSNLNNNALGNSVVGSSVCHKQSTLPMKKIALRDVQNDNRNFMPNYPENCFLGRPVADPIKVLGTKILNPELPRSPPCHQFTSISSASDQLMHGGGGRKLESALGKRRVQGVTEQNTYSLNFKRYCSQKQQDLPRDRTKNQEHEISAFAPMPSASPVKFSPGKPPVPIFLGKPSNGLPAAHSRHLRFTPESMVPHLVGSEKNHDEQRTEGFLHLQNLLKQFDDSDQREYIQTLRYLSPSELSRHAVELEKRSMQLSVEEAKEIQRMMALNILGKSSMTSNFL >ONI07100 pep chromosome:Prunus_persica_NCBIv2:G5:10815725:10819979:1 gene:PRUPE_5G100100 transcript:ONI07100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMDFDRNRRVGLSPNTVLPIHRRHSFVEKRSTKGKSKRKDLLSIKEDFVEISFHRYRSSSGKSVPSRPVEQEVNSDLKRGSIYQSSKEVRKIKKMGSVDGRRKNEIPRSSDTAFSYRIVDSMCSSDEESSQNRSSLISSKSDLNTPSGGRPRVEPCSSDSLIDICLDPDNREKHSAEALGEYSVDLKLRSDPVAGPLNYGNELLERDQVHTLHKSFSAKVEMLHSPSPLESARSSRVSSKVRFSPIRRMFDPFMKSKSLRSPSYAVEPGRAKTTETENMTRNLTYQKSLLPVFSNTAQNPDCDPQFIKRDNHQSLVACSPVHLHGHLKLQNKHGMPFFEFSLKCSEDVFVARTWKADNAINWVYTFHSIGSRKKSNASGWGLYGSDRESSMVGQMQVSCYLCSELKDGVFDNSMVTEFVLYDVSHARQTARQTFAARENSKCTVDDVKTPKGSNPGTVGETLKLDESGPTKVKLEQKHASDKSDFDSSALASANLHPNLEIAAIVMQVPFEKRESLKYKREDKTSDKAHENLLNLSMVEQKKDAPDSRGPGNVKVVIPAGNHGMPSDESKGPSSLLDRWRLGGGCDCGGWDMSCPLIVLSNPHTQCSDNQLLVENQQPLELLVQGSKEKTPALTMTMVEEGQYTVDFHAQLSTLQAFSICVAILHGTETSAMAGQERNKQFSQCNSLKVLIEEEVKYLIEAVTAGEKKEVAKRVKKIQPSFVLNPPFSPIARV >ONI07102 pep chromosome:Prunus_persica_NCBIv2:G5:10815419:10819979:1 gene:PRUPE_5G100100 transcript:ONI07102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMDFDRNRRVGLSPNTVLPIHRRHSFVEKRSTKGKSKRKDLLSIKEDFVEISFHRYRSSSGKSVPSRPVEQEVNSDLKRGSIYQSSKEVRKIKKMGSVDGRRKNEIPRSSDTAFSYRIVDSMCSSDEESSQNRSSLISSKSDLNTPSGGRPRVEPCSSDSLIDICLDPDNREKHSAEALGEYSVDLKLRSDPVAGPLNYGNELLERDQVHTLHKSFSAKVEMLHSPSPLESARSSRVSSKVRFSPIRRMFDPFMKSKSLRSPSYAVEPGRAKTTETENMTRNLTYQKSLLPVFSNTAQNPDCDPQFIKRDNHQSLVACSPVHLHGHLKLQNKHGMPFFEFSLKCSEDVFVARTWKADNAINWVYTFHSIGSRKKSNASGWGLYGSDRESSMVGQMQVSCYLCSELKDGVFDNSMVTEFVLYDVSHARQTARQTFAARENSKCTVDDVKTPKGSNPGTVGETLKLDESGPTKVKLEQKHASDKSDFDSSALASANLHPNLEIAAIVMQVPFEKRESLKYKREDKTSDKAHENLLNLSMVEQKKDAPDSRGPGNVKVVIPAGNHGMPSDESKGPSSLLDRWRLGGGCDCGGWDMSCPLIVLSNPHTQCSDNQLLVENQQPLELLVQGSKEKTPALTMTMVEEGQYTVDFHAQLSTLQAFSICVAILHGTETSAMAGQERNKQFSQCNSLKVLIEEEVKYLIEAVTAGEKKEVAKRVKKIQPSFVLNPPFSPIARV >ONI07099 pep chromosome:Prunus_persica_NCBIv2:G5:10816836:10820030:1 gene:PRUPE_5G100100 transcript:ONI07099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMDFDRNRRVGLSPNTVLPIHRRHSFVEKRSTKGKSKRKDLLSIKEDFVEISFHRYRSSSGKSVPSRPVEQEVNSDLKRGSIYQSSKEVRKIKKMGSVDGRRKNEIPRSSDTAFSYRIVDSMCSSDEESSQNRSSLISSKSDLNTPSGGRPRVEPCSSDSLIDICLDPDNREKHSAEALGEYSVDLKLRSDPVAGPLNYGNELLERDQVHTLHKSFSAKVEMLHSPSPLESARSSRVSSKVRFSPIRRMFDPFMKSKSLRSPSYAVEPGRAKTTETENMTRNLTYQKSLLPVFSNTAQNPDCDPQFIKRDNHQSLVACSPVHLHGHLKLQNKHGMPFFEFSLKCSEDVFVARTWKADNAINWVYTFHSIGSRKKSNASGWGLYGSDRESSMVGQMQVSCYLCSELKDGVFDNSMVTEFVLYDVSHARQTARQTFAARENSKCTVDDVKTPKGSNPGTVGETLKLDESGPTKVKLEQKHASDKSDFDSSALASANLHPNLEIAAIVMQVPFEKRESLKYKREDKTSDKAHENLLNLSMVEQKKDAPDSRGPGNVKVVIPAGNHGMPSDESKGPSSLLDRWRLGGGCDCGGWDMSCPLIVLSNPHTQCSDNQLLVENQQPLELLVQGSKEKTPALTMTMVEEGQYTVDFHAQLSTLQAFSICVAILHGTETSAMAGQERNKQFSQCNSLKVLIEEEVKYLIEAVTAGEKKEVAKRVKKIQPSFVLNPPFSPIARV >ONI07101 pep chromosome:Prunus_persica_NCBIv2:G5:10816049:10819979:1 gene:PRUPE_5G100100 transcript:ONI07101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMDFDRNRRVGLSPNTVLPIHRRHSFVEKRSTKGKSKRKDLLSIKEDFVEISFHRYRSSSGKSVPSRPVEQEVNSDLKRGSIYQSSKEVRKIKKMGSVDGRRKNEIPRSSDTAFSYRIVDSMCSSDEESSQNRSSLISSKSDLNTPSGGRPRVEPCSSDSLIDICLDPDNREKHSAEALGEYSVDLKLRSDPVAGPLNYGNELLERDQVHTLHKSFSAKVEMLHSPSPLESARSSRVSSKVRFSPIRRMFDPFMKSKSLRSPSYAVEPGRAKTTETENMTRNLTYQKSLLPVFSNTAQNPDCDPQFIKRDNHQSLVACSPVHLHGHLKLQNKHGMPFFEFSLKCSEDVFVARTWKADNAINWVYTFHSIGSRKKSNASGWGLYGSDRESSMVGQMQVSCYLCSELKDGVFDNSMVTEFVLYDVSHARQTARQTFAARENSKCTVDDVKTPKGSNPGTVGETLKLDESGPTKVKLEQKHASDKSDFDSSALASANLHPNLEIAAIVMQVPFEKRESLKYKREDKTSDKAHENLLNLSMVEQKKDAPDSRGPGNVKVVIPAGNHGMPSDESKGPSSLLDRWRLGGGCDCGGWDMSCPLIVLSNPHTQCSDNQLLVENQQPLELLVQGSKEKTPALTMTMVEEGQYTVDFHAQLSTLQAFSICVAILHGTETSAMAGQERNKQFSQCNSLKVLIEEEVKYLIEAVTAGEKKEVAKRVKKIQPSFVLNPPFSPIARV >ONI08954 pep chromosome:Prunus_persica_NCBIv2:G5:16683749:16684750:-1 gene:PRUPE_5G209600 transcript:ONI08954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLALSAYQEQEEKKDVLQNCEEIISTLPKDRGWGTEHYYQYEGFWYPPIFLEGVIWAQANFRARDDDIFLATFPKCGTTWVKALMFAIQNRENYDFLSHPLLTKSPQDCVPYIELLAHQDNPIDYLDAIASPRFLATHIPHRSLPRSILNSGTKIVSVARNPKDVLISYWVFSQKIRSSINKLAPLPMEEGFELFCKGVALSGPFWDNVLGYWEASLENPEKVLFLKFEDIKIDTDCSVKRLAEFMGLPFSSKEEQEGVVREIIKLCSFDNLSNLEVNKSGTFSNADGKFSNAVFFRRGQTGDSKNHLTPEMLDRLDKITEQKLGASGLKF >ONI06397 pep chromosome:Prunus_persica_NCBIv2:G5:6314674:6317348:-1 gene:PRUPE_5G058400 transcript:ONI06397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLIEFGRKALFYARVLSGYEERRIRSFRLEVEKRLKEANERKLAIRKIPEQTILSEVRRMVEEMKTLNRKLEETEAAIEEYFKPIDKEAEMIMKTQLEGEERTMKEMMKAMHQQALLEKAEAEKTTAAAQILETNQKNQDSRPKTQHLPPAP >ONI06398 pep chromosome:Prunus_persica_NCBIv2:G5:6314674:6317348:-1 gene:PRUPE_5G058400 transcript:ONI06398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLIEFGRKALFYARVLSGYEERRIRSFRLEVEKRLKEANERKLAIRKIPEQTILSEVRRMVEEMKTLNRKLEETEAAIEEYFKPIDKEAEMIMKTQLEGEERTMKEMMKAMHQQALLEKAEAEKTTAAAQILETNQKNQDSRPKTQHLPPAP >ONI06396 pep chromosome:Prunus_persica_NCBIv2:G5:6313678:6317976:-1 gene:PRUPE_5G058400 transcript:ONI06396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLIEFGRKALFYARVLSGYEERRIRSFRLEVEKRLKEANERKLAIRKIPEQTILSEVRRMVEEMKTLNRKLEETEAAIEEYFKPIDKEAEMIMKTQLEGEERTMKEMMKAMHQQALLEKAEAEKTTAAAQILETNQKNQDSRPKTQHLPPAP >ONI06402 pep chromosome:Prunus_persica_NCBIv2:G5:6313632:6317976:-1 gene:PRUPE_5G058400 transcript:ONI06402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLIEFGRKALFYARVLSGYEERRIRSFRLEVEKRLKEANERKLAIRKIPEQTILSEVRRMVEEMKTLNRKLEETEAAIEEYFKPIDKEAEMIMKTQLEGEERTMKEMMKAMHQQALLEKAEAEKTTAAAQILETNQKNQDSRPKTQHLPPAP >ONI06400 pep chromosome:Prunus_persica_NCBIv2:G5:6314674:6317348:-1 gene:PRUPE_5G058400 transcript:ONI06400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLIEFGRKALFYARVLSGYEERRIRSFRLEVEKRLKEANERKLAIRKIPEQTILSEVRRMVEEMKTLNRKLEETEAAIEEYFKPIDKEAEMIMKTQLEGEERTMKEMMKAMHQQALLEKAEAEKTTAAAQILETNQKNQDSRPKTQHLPPAP >ONI06401 pep chromosome:Prunus_persica_NCBIv2:G5:6313678:6317599:-1 gene:PRUPE_5G058400 transcript:ONI06401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLIEFGRKALFYARVLSGYEERRIRSFRLEVEKRLKEANERKLAIRKIPEQTILSEVRRMVEEMKTLNRKLEETEAAIEEYFKPIDKEAEMIMKTQLEGEERTMKEMMKAMHQQALLEKAEAEKTTAAAQILETNQKNQDSRPKTQHLPPAP >ONI06399 pep chromosome:Prunus_persica_NCBIv2:G5:6313678:6317976:-1 gene:PRUPE_5G058400 transcript:ONI06399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLIEFGRKALFYARVLSGYEERRIRSFRLEVEKRLKEANERKLAIRKIPEQTILSEVRRMVEEMKTLNRKLEETEAAIEEYFKPIDKEAEMIMKTQLEGEERTMKEMMKAMHQQALLEKAEAEKTTAAAQILETNQKNQDSRPKTQHLPPAP >ONI09409 pep chromosome:Prunus_persica_NCBIv2:G5:17899868:17900902:-1 gene:PRUPE_5G236600 transcript:ONI09409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGMNNKLRINTALVAFMLLLLLLFIVVYIADDGKHYIWLTLKLILYLLIMFMIYLIVKNFFKLSPSIIYNMTFFFFFLSLTPIYIGTGTGGVNDKVASLVEDEAAKTKTTKTKTRQERGEDLDLLVMDYTPARKKSPIHN >ONI06905 pep chromosome:Prunus_persica_NCBIv2:G5:9918838:9923723:1 gene:PRUPE_5G088100 transcript:ONI06905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESGLGMMGGGGLSSGDGHHEHHNRQLKAEIATHPLYEQLLSAHVSCLRVATPIDQLPLIDAQLSQSHHLLRSYASQQQHGHSVSPHERQELDNFLAQYLIVLCSFKEQLQQHVRVHAVEAVMACREIESNLQALTGVSLGEGSGATMSDDEDDMQMDFSLDQSGGDGHDMMGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >ONI06906 pep chromosome:Prunus_persica_NCBIv2:G5:9918838:9923753:1 gene:PRUPE_5G088100 transcript:ONI06906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESGLGMMGGGGLSSGDGHHEHHNRQLKAEIATHPLYEQLLSAHVSCLRVATPIDQLPLIDAQLSQSHHLLRSYASQQQHGHSVSPHERQELDNFLAQYLIVLCSFKEQLQQHVRVHAVEAVMACREIESNLQALTGVSLGEGSGATMSDDEDDMQMDFSLDQSGGDGHDMMGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >ONI09599 pep chromosome:Prunus_persica_NCBIv2:G5:18411095:18420902:-1 gene:PRUPE_5G246200 transcript:ONI09599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCKSCAQRKGCYSIQSFQRLSVLIVLSSV >ONI09594 pep chromosome:Prunus_persica_NCBIv2:G5:18411140:18420345:-1 gene:PRUPE_5G246200 transcript:ONI09594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGIRNTNC >ONI09601 pep chromosome:Prunus_persica_NCBIv2:G5:18416943:18420902:-1 gene:PRUPE_5G246200 transcript:ONI09601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCKSCAQRKGCYSIQSFQRLSVLIVLSSV >ONI09589 pep chromosome:Prunus_persica_NCBIv2:G5:18410521:18420345:-1 gene:PRUPE_5G246200 transcript:ONI09589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGISLKVPNNMSGYSQVNDEQCRYVQAPLAYPRERKRRMNGGDKWLPFCIYSHGKFSDRLSPCYWSDYYSTNPRTPHNTQLAPWVARFYNQT >ONI09585 pep chromosome:Prunus_persica_NCBIv2:G5:18410249:18420903:-1 gene:PRUPE_5G246200 transcript:ONI09585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGIRYVQAPLAYPRERKRRMNGGDKWLPFCIYSHGKFSDRLSPCYWSDYYSTNPRTPHNTQLAPWVARFYNQT >ONI09592 pep chromosome:Prunus_persica_NCBIv2:G5:18410249:18419705:-1 gene:PRUPE_5G246200 transcript:ONI09592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGISLKVPNNMSGYSQVNDEQCRYVQAPLAYPRERKRRMNGGDKWLPFCIYSHGKFSDRLSPCYWSDYYSTNPRTPHNTQLAPWVARFYNQT >ONI09597 pep chromosome:Prunus_persica_NCBIv2:G5:18417620:18420345:-1 gene:PRUPE_5G246200 transcript:ONI09597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCKSCAQRKGCYSIQSFQRLSVLIVLSSV >ONI09588 pep chromosome:Prunus_persica_NCBIv2:G5:18410249:18420902:-1 gene:PRUPE_5G246200 transcript:ONI09588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGIRYVQAPLAYPRERKRRMNGGDKWLPFCIYSHGKFSDRLSPCYWSDYYSTNPRTPHNTQLAPWVARFYNQT >ONI09600 pep chromosome:Prunus_persica_NCBIv2:G5:18417620:18420345:-1 gene:PRUPE_5G246200 transcript:ONI09600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCKSCAQRKGCYSIQSFQRLSVLIVLSSV >ONI09598 pep chromosome:Prunus_persica_NCBIv2:G5:18410249:18420902:-1 gene:PRUPE_5G246200 transcript:ONI09598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCKSCAQRKGCYSIQSFQRLSVLIVLSSV >ONI09587 pep chromosome:Prunus_persica_NCBIv2:G5:18410249:18420603:-1 gene:PRUPE_5G246200 transcript:ONI09587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGIRYVQAPLAYPRERKRRMNGGDKWLPFCIYSHGKFSDRLSPCYWSDYYSTNPRTPHNTQLAPWVARFYNQT >ONI09602 pep chromosome:Prunus_persica_NCBIv2:G5:18410249:18420603:-1 gene:PRUPE_5G246200 transcript:ONI09602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCKSCAQRKGCYSIQSFQRLSVLIVLSSV >ONI09591 pep chromosome:Prunus_persica_NCBIv2:G5:18410521:18420345:-1 gene:PRUPE_5G246200 transcript:ONI09591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRSYMLLIGFQFRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGIRYVQAPLAYPRERKRRMNGGDKWLPFCIYSHGKFSDRLSPCYWSDYYSTNPRTPHNTQLAPWVARFYNQT >ONI09584 pep chromosome:Prunus_persica_NCBIv2:G5:18410521:18420345:-1 gene:PRUPE_5G246200 transcript:ONI09584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRSYMLLIGFQFRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGIRYVQAPLAYPRERKRRMNGGDKWLPFCIYSHGKFSDRLSPCYWSDYYSTNPRTPHNTQLAPWVARFYNQT >ONI09593 pep chromosome:Prunus_persica_NCBIv2:G5:18410521:18419146:-1 gene:PRUPE_5G246200 transcript:ONI09593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGISLKVPNNMSGYSQVNDEQCRYVQAPLAYPRERKRRMNGGDKWLPFCIYSHGKFSDRLSPCYWSDYYSTNPRTPHNTQLAPWVARFYNQT >ONI09586 pep chromosome:Prunus_persica_NCBIv2:G5:18410521:18420345:-1 gene:PRUPE_5G246200 transcript:ONI09586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGISLKVPNNMSGYSQVNDEQCRYVQAPLAYPRERKRRMNGGDKWLPFCIYSHGKFSDRLSPCYWSDYYSTNPRTPHNTQLAPWVARFYNQT >ONI09590 pep chromosome:Prunus_persica_NCBIv2:G5:18410521:18420345:-1 gene:PRUPE_5G246200 transcript:ONI09590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGISLKVPNNMSGYSQVNDEQCRYVQAPLAYPRERKRRMNGGDKWLPFCIYSHGKFSDRLSPCYWSDYYSTNPRTPHNTQLAPWVARFYNQT >ONI09596 pep chromosome:Prunus_persica_NCBIv2:G5:18417438:18420345:-1 gene:PRUPE_5G246200 transcript:ONI09596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGIRYIHNSLTSAIMISYNLFLLLWEQEGEECI >ONI09583 pep chromosome:Prunus_persica_NCBIv2:G5:18410249:18420902:-1 gene:PRUPE_5G246200 transcript:ONI09583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRQELCPEKRMLFYPKLPKIIGSDCLEFRIRSIHGVEGSPSACHVFGHTHFCWDSVLHGIRYVQAPLAYPRERKRRMNGGDKWLPFCIYSHGKFSDRLSPCYWSDYYSTNPRTPHNTQLAPWVARFYNQT >ONI09603 pep chromosome:Prunus_persica_NCBIv2:G5:18418136:18420345:-1 gene:PRUPE_5G246200 transcript:ONI09603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIAPYCLSFSQQTCNSNYISKHIALSKYRRDIRRGCLRRPQILPSTLGDAVGLRVFVLSDLHTDYTENMEWVKCLPTVRYKNDVLIVAGDVAETYKNFIVTMSLLKDRFEHVLYVPGNHDLWCRHEGEIYLDSHQKLKKLLDTCRGLGVQTSPMVLDGLGIIPLFSWYHESFDREEEITSIHVLPLEMACKDFKACKWSKELSNGDISLALYFDAMNEKNQSVIKEIQNTCNQIITFSHFVPRSG >ONI06751 pep chromosome:Prunus_persica_NCBIv2:G5:9324340:9325173:-1 gene:PRUPE_5G079100 transcript:ONI06751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAYANRHALNYVPQVVRERKERNRVVIRDGELNVCRVCGRRFYTNEKLLNHFKIHEREHAKRLNQIESARGSRRVKLVGKYSMKMEKYKNGARDVLTPKAGRGLADEVKRAGFWVRSVMDNPQRVDAALRNHIVDMMDHKRAECLMLVSDDSDFVDVVMEAKLRCLKTVVVGDFGDGALKRAADSGFSWNEILIGKAKKEAVSVVGKWKDRDVLKRLEWTYKPDEEQSVNSWDDEVDGESEDEEIEGIVDGVNDNLGKDVSGRWWELDSDADSSKC >ONI06750 pep chromosome:Prunus_persica_NCBIv2:G5:9324340:9325431:-1 gene:PRUPE_5G079100 transcript:ONI06750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHLKFTQRLETLNPFTLLIRYCHFQSKLCFNSSSPSSCLAPPKIVENSVAILWDLDTKPPKSVSPYEAAVKLKRAASSFGLVRHMIAYANRHALNYVPQVVRERKERNRVVIRDGELNVCRVCGRRFYTNEKLLNHFKIHEREHAKRLNQIESARGSRRVKLVGKYSMKMEKYKNGARDVLTPKAGRGLADEVKRAGFWVRSVMDNPQRVDAALRNHIVDMMDHKRAECLMLVSDDSDFVDVVMEAKLRCLKTVVVGDFGDGALKRAADSGFSWNEILIGKAKKEAVSVVGKWKDRDVLKRLEWTYKPDEEQSVNSWDDEVDGESEDEEIEGIVDGVNDNLGKDVSGRWWELDSDADSSKC >ONI06752 pep chromosome:Prunus_persica_NCBIv2:G5:9324022:9325661:-1 gene:PRUPE_5G079100 transcript:ONI06752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEKYKNGARDVLTPKAGRGLADEVKRAGFWVRSVMDNPQRVDAALRNHIVDMMDHKRAECLMLVSDDSDFVDVVMEAKLRCLKTVVVGDFGDGALKRAADSGFSWNEILIGKAKKEAVSVVGKWKDRDVLKRLEWTYKPDEEQSVNSWDDEVDGESEDEEIEGIVDGVNDNLGKDVSGRWWELDSDADSSKC >ONI08718 pep chromosome:Prunus_persica_NCBIv2:G5:15936796:15938262:1 gene:PRUPE_5G196800 transcript:ONI08718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLVSAYDKLYYVASPACSPPIKEPSFERYDPDEDVWERMTSFPFYHDYGSHTKIIGYAVCYGVILFSLSDSYLNPYVVAFHESRNQWNRVTSASYASFRGRAVAVGDTIYALHALMEEVIIAFSFRMDKGEDGGITYSLNPQFILRGLKIARPPVPFRELKTGYLVHLGNKDFFHVRTGSPDGEACPMVQYLSITTFQIIVGEGGRHMIKTIHSTVYPVDIKGREWFSLEFCFTPECGDYEPIEEESVTSMNQPKQEETTLDEHDKQFLIHEGTHRKLLAYPWLRE >ONI08667 pep chromosome:Prunus_persica_NCBIv2:G5:15735588:15738263:-1 gene:PRUPE_5G192400 transcript:ONI08667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLLNKKLHTRISPFTLKFVSSLAVVENPSSFPSENDQSPSHFDPLQFFNDYTKSRQCTTRNTKILHTHLLRTDLLQSNIFIANSLLDSYCKSSAMVDALKLFDFIADRTVISWNMMISGYNQNSLFEKSWEIFCRMHSSGFEPNEFTYGSTLSACTALQAPTFGKQVYSLAIKNGFFPNGYVQAGMIDLFAKNFSFDDALRVFNDVSCQNVVSWNAIISGAVRNGENMAALYLFRQMCRGVFLPNSFTFSSVLTACSALEEVGVGKEVQGWVIKRGAEDVFVGTTIVDLYAKCGKMNEAVKKFSRMPTRNVVSWTAIISGFVHKDDSVSALKAFREMRKMGEQMNKYTVTSILTACAKTSMAEEATQIHSLILKAGFYSAAVVGSALINAYSKIGAVDLSEMVFREMENIKDLGTWAAMISSFAQNQNSGRAIELFQRMLEGSVRPDKFCTSSVLSIVDCLNLGRQIHSYTLKIGLVSVVSVGSSLFTMYSKCDSLEESYKVFQQIPDKDNVSWASMISGFVEHGCADQALQLCREMLSEEVIPDQITLTAILTACSASRSLQTGKEIHGHALRKGVQQDVLGGAIVTMYSKCSAQKLARTVFDMLPQKDEVACSSLVSGYAQNGYIEEALLLFHDILMADLTIDSFTISSIIGAIALLNRLSIGTQLHAHIMKVGFNSDVSVGSSLLTMYSKCGSIEDCCKAFVQIEKPDLISWTAMIVSYAQHGKGAEALRAYELLREQGIRPDSVTFVGLLSACSHNGLVEEAYFYFNSMVNDYGLEPGYRHYACMVDLLGRSGRLKEAALFIENMPIEPDALLWGTLLAACKVHGDMELGKLAAEKVMELKPCDAGTYISLSNICADVGQWEEVLKIRSQMKGTDVRKEPGWSLV >ONI08666 pep chromosome:Prunus_persica_NCBIv2:G5:15734918:15738360:-1 gene:PRUPE_5G192400 transcript:ONI08666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLLNKKLHTRISPFTLKFVSSLAVVENPSSFPSENDQSPSHFDPLQFFNDYTKSRQCTTRNTKILHTHLLRTDLLQSNIFIANSLLDSYCKSSAMVDALKLFDFIADRTVISWNMMISGYNQNSLFEKSWEIFCRMHSSGFEPNEFTYGSTLSACTALQAPTFGKQVYSLAIKNGFFPNGYVQAGMIDLFAKNFSFDDALRVFNDVSCQNVVSWNAIISGAVRNGENMAALYLFRQMCRGVFLPNSFTFSSVLTACSALEEVGVGKEVQGWVIKRGAEDVFVGTTIVDLYAKCGKMNEAVKKFSRMPTRNVVSWTAIISGFVHKDDSVSALKAFREMRKMGEQMNKYTVTSILTACAKTSMAEEATQIHSLILKAGFYSAAVVGSALINAYSKIGAVDLSEMVFREMENIKDLGTWAAMISSFAQNQNSGRAIELFQRMLEGSVRPDKFCTSSVLSIVDCLNLGRQIHSYTLKIGLVSVVSVGSSLFTMYSKCDSLEESYKVFQQIPDKDNVSWASMISGFVEHGCADQALQLCREMLSEEVIPDQITLTAILTACSASRSLQTGKEIHGHALRKGVQQDVLGGAIVTMYSKCSAQKLARTVFDMLPQKDEVACSSLVSGYAQNGYIEEALLLFHDILMADLTIDSFTISSIIGAIALLNRLSIGTQLHAHIMKVGFNSDVSVGSSLLTMYSKCGSIEDCCKAFVQIEKPDLISWTAMIVSYAQHGKGAEALRAYELLREQGIRPDSVTFVGLLSACSHNGLVEEAYFYFNSMVNDYGLEPGYRHYACMVDLLGRSGRLKEAALFIENMPIEPDALLWGTLLAACKVHGDMELGKLAAEKVMELKPCDAGTYISLSNICADVGQWEEVLKIRSQMKGTDVRKEPGWSLV >ONI08665 pep chromosome:Prunus_persica_NCBIv2:G5:15734044:15738344:-1 gene:PRUPE_5G192400 transcript:ONI08665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLLNKKLHTRISPFTLKFVSSLAVVENPSSFPSENDQSPSHFDPLQFFNDYTKSRQCTTRNTKILHTHLLRTDLLQSNIFIANSLLDSYCKSSAMVDALKLFDFIADRTVISWNMMISGYNQNSLFEKSWEIFCRMHSSGFEPNEFTYGSTLSACTALQAPTFGKQVYSLAIKNGFFPNGYVQAGMIDLFAKNFSFDDALRVFNDVSCQNVVSWNAIISGAVRNGENMAALYLFRQMCRGVFLPNSFTFSSVLTACSALEEVGVGKEVQGWVIKRGAEDVFVGTTIVDLYAKCGKMNEAVKKFSRMPTRNVVSWTAIISGFVHKDDSVSALKAFREMRKMGEQMNKYTVTSILTACAKTSMAEEATQIHSLILKAGFYSAAVVGSALINAYSKIGAVDLSEMVFREMENIKDLGTWAAMISSFAQNQNSGRAIELFQRMLEGSVRPDKFCTSSVLSIVDCLNLGRQIHSYTLKIGLVSVVSVGSSLFTMYSKCDSLEESYKVFQQIPDKDNVSWASMISGFVEHGCADQALQLCREMLSEEVIPDQITLTAILTACSASRSLQTGKEIHGHALRKGVQQDVLGGAIVTMYSKCSAQKLARTVFDMLPQKDEVACSSLVSGYAQNGYIEEALLLFHDILMADLTIDSFTISSIIGAIALLNRLSIGTQLHAHIMKVGFNSDVSVGSSLLTMYSKCGSIEDCCKAFVQIEKPDLISWTAMIVSYAQHGKGAEALRAYELLREQGIRPDSVTFVGLLSACSHNGLVEEAYFYFNSMVNDYGLEPGYRHYACMVDLLGRSGRLKEAALFIENMPIEPDALLWGTLLAACKVHGDMELGKLAAEKVMELKPCDAGTYISLSNICADVGQWEEVLKIRSQMKGTDVRKEPGWSLV >ONI08469 pep chromosome:Prunus_persica_NCBIv2:G5:15115521:15120624:1 gene:PRUPE_5G179800 transcript:ONI08469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQIPTRLLFIDGEWREPVLKKRIPIINPASEEIIGSVPAATAEDVELAVEAARRALARNKGRDWASASGAVRAKYLRAIAAKITERKSELAKLEAIDCGKPLDEAAWDVDDVAGCFEYYAELAEGLDAQQKASISLPMEQFKSHVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCAAILKPSELASVTCLELAAVCREVGLPPGVLNILTGLGHEAGAPLASHPHVDKIAFTGSTITGSKIMTAAAQLVKPVSLELGGKSPIVVFDDVDIDKAAEWTAFGVFWTNGQICSATSRLIIHENIAAEFLDRLVKWIKNIKISDPLEEGCRLGPVVSGGQYEKILKFISTAKSEGATVLSGGARPEHLKKGFFIEPTIITDVTTSMQIWREEVFGPVLCVKTFSSEDEALELANDTQYGLGAAVISKDLERCERVSKALQAGIVWINCSQPCFCQAPWGGNKRSGFGRELGKWGLDNYLSVKQVTQYASDEPWGWYRSPSKL >ONI05693 pep chromosome:Prunus_persica_NCBIv2:G5:2128545:2130491:-1 gene:PRUPE_5G019500 transcript:ONI05693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKEEFDEYAEKAKTLPESTTNENKLILYGLYKQATVGAVNTSRPGIFNMRDRAKWDAWKAVEGKSKEEAMGDYVTKVKQLLEEAGAST >ONI07950 pep chromosome:Prunus_persica_NCBIv2:G5:13593250:13596318:1 gene:PRUPE_5G149100 transcript:ONI07950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPDMVPEVEMADAKGVFSPAHDDVSVSILARPPAVSFASSAACVGKTWNQSCNKNFSRPQFSSALSLNPDLHAALDEVLADAFSKPLRPDFIVAYIGINFSLEETHHLITEKVGPGIPVITNKAKGLIGTDVQTDKLREVIWGSTDGDSEWNENNSNRGIVLSVGYMPGLKVDVIPLLRPKNEQQLTMVDHFLMEIMDFTCAASGSLSPSCIIMFGDKNEDMNPILTILDQVMPDETAIVGDASAAFLCTRMDEAENYNKDVFSFAAAALVFARDQYKPEDIGETEFHVTVSSGIIPFGPYFKTVSVLEKHCLCSWVSAIMLGFESVIIDCYDVLMELKSQIKKPDMYIGVTQRRNYSILEKPPSSIRSLSFHEVKDGEGDFILVDGIGIKPGDIFFFYHADAENALITIDQARRKLKTLREDYEKNGREVFGGFIFSCENRGVSFFKSPGVDSKPFAMNFPGVPVAGMFGNGGEIGRGALLGVKKNLRRNGPRSCVHAYSSVYLAMSYVPPPEAPMIIDE >ONI06366 pep chromosome:Prunus_persica_NCBIv2:G5:6070769:6071762:1 gene:PRUPE_5G056200 transcript:ONI06366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSWGFFGATSSKIHFSGRVISLHFIIFGTATFDFSRLVWNKVVSPLLSKSLALGQTMFITLYETL >ONI07298 pep chromosome:Prunus_persica_NCBIv2:G5:11595983:11596822:1 gene:PRUPE_5G111900 transcript:ONI07298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASFRWVLQLHKDVPKAARFYSEGLDFTVDVCTLRWAELQSGPLKLALMQSPNDHVMQKGMGYSSLLSFTVKDINQTMTKLMALGAELDCPIKYEIHGKVASMRCIDGHMLGLYEPA >ONI05414 pep chromosome:Prunus_persica_NCBIv2:G5:717623:722550:1 gene:PRUPE_5G006300 transcript:ONI05414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVMVAIAATIGNLLQGWDNATIAGAIVYITEDFDLGSSLEGLVVAMSLIGATAITTCSGVISDSIGRKPMLIASSVLYFVSGLVMVWSPNVYVLCIARLLDGFGIGLAVTLVPLYISETAPADIRGSLNTLPQFLGSGGMFLSYCMVFGMSLMNSPNWRLMLGVLSIPSIIFFALTVFYLPESPRWLVSKGRMLEAKKVLQQLRGTEDVSGEMALLVEGLGIGGETSIEEYIIGPADELADDQEPADKDKIRLYGPEEGLSWVARPVTGQGSLISLVSRQGSMVNQGVPLMDPLVTLFGSVHEKFPETASTRSMLFPNFGSMFSTADPRVKTEQWDEESLQREGEGYASDAAGGDSDDNLHSPLISRQATSLEKDLVPPASHGSVLSMRRHSSLMQGTGETVGSTGIGGGWQLAWKWSEKEGGDGKKEGGFKRVYLHQEGGPGSRRGSLLSLPGGDVPAEGEFIQAAALVSQPALYSKELIDQHPVGPAMVHPSETASEGPIWAALFEPGVKHALFVGIGIQILQQFSGINGVLYYTPQILEDAGVEVLLEDLGLSTESSSFLISAFTTLLMLPCIAIAIKLMDISGRRTLLLATIPVLVVTLIILIIANLVTLGTVLHAALSVICVIVYFCCFVMAYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVLLDSIGLAGIFGIYAVVCVISFIFIFLKVPETKGMPLEVITEFFAVGARQVAAAKSE >ONI05416 pep chromosome:Prunus_persica_NCBIv2:G5:717468:722550:1 gene:PRUPE_5G006300 transcript:ONI05416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVMVAIAATIGNLLQGWDNATIAGAIVYITEDFDLGSSLEGLVVAMSLIGATAITTCSGVISDSIGRKPMLIASSVLYFVSGLVMVWSPNVYVLCIARLLDGFGIGLAVTLVPLYISETAPADIRGSLNTLPQFLGSGGMFLSYCMVFGMSLMNSPNWRLMLGVLSIPSIIFFALTVFYLPESPRWLVSKGRMLEAKKVLQQLRGTEDVSGEMALLVEGLGIGGETSIEEYIIGPADELADDQEPADKDKIRLYGPEEGLSWVARPVTGQGSLISLVSRQGSMVNQGVPLMDPLVTLFGSVHEKFPETASTRSMLFPNFGSMFSTADPRVKTEQWDEESLQREGEGYASDAAGGDSDDNLHSPLISRQATSLEKDLVPPASHGSVLSMRRHSSLMQGTGETVGSTGIGGGWQLAWKWSEKEGGDGKKEGGFKRVYLHQEGGPGSRRGSLLSLPGGDVPAEGEFIQAAALVSQPALYSKELIDQHPVGPAMVHPSETASEGPIWAALFEPGVKHALFVGIGIQILQQFSGINGVLYYTPQILEDAGVEVLLEDLGLSTESSSFLISAFTTLLMLPCIAIAIKLMDISGRRTLLLATIPVLVVTLIILIIANLVTLGTVLHAALSVICVIVYFCCFVMAYGPIPNILCSEIFPTRVPETKGMPLEVITEFFAVGARQVAAAKSE >ONI05413 pep chromosome:Prunus_persica_NCBIv2:G5:717402:722649:1 gene:PRUPE_5G006300 transcript:ONI05413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVMVAIAATIGNLLQGWDNATIAGAIVYITEDFDLGSSLEGLVVAMSLIGATAITTCSGVISDSIGRKPMLIASSVLYFVSGLVMVWSPNVYVLCIARLLDGFGIGLAVTLVPLYISETAPADIRGSLNTLPQFLGSGGMFLSYCMVFGMSLMNSPNWRLMLGVLSIPSIIFFALTVFYLPESPRWLVSKGRMLEAKKVLQQLRGTEDVSGEMALLVEGLGIGGETSIEEYIIGPADELADDQEPADKDKIRLYGPEEGLSWVARPVTGQGSLISLVSRQGSMVNQGVPLMDPLVTLFGSVHEKFPETASTRSMLFPNFGSMFSTADPRVKTEQWDEESLQREGEGYASDAAGGDSDDNLHSPLISRQATSLEKDLVPPASHGSVLSMRRHSSLMQGTGETVGSTGIGGGWQLAWKWSEKEGGDGKKEGGFKRVYLHQEGGPGSRRGSLLSLPGGDVPAEGEFIQAAALVSQPALYSKELIDQHPVGPAMVHPSETASEGPIWAALFEPGVKHALFVGIGIQILQQFSGINGVLYYTPQILEDAGVEVLLEDLGLSTESSSFLISAFTTLLMLPCIAIAIKLMDISGRRTLLLATIPVLVVTLIILIIANLVTLGTVLHAALSVICVIVYFCCFVMAYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVLLDSIGLAGIFGIYAVVCVISFIFIFLKVPETKGMPLEVITEFFAVGARQVAAAKSE >ONI05412 pep chromosome:Prunus_persica_NCBIv2:G5:717623:722550:1 gene:PRUPE_5G006300 transcript:ONI05412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVMVAIAATIGNLLQGWDNATIAGAIVYITEDFDLGSSLEGLVVAMSLIGATAITTCSGVISDSIGRKPMLIASSVLYFVSGLVMVWSPNVYVLCIARLLDGFGIGLAVTLVPLYISETAPADIRGSLNTLPQFLGSGGMFLSYCMVFGMSLMNSPNWRLMLGVLSIPSIIFFALTVFYLPESPRWLVSKGRMLEAKKVLQQLRGTEDVSGEMALLVEGLGIGGETSIEEYIIGPADELADDQEPADKDKIRLYGPEEGLSWVARPVTGQGSLISLVSRQGSMVNQGVPLMDPLVTLFGSVHEKFPETASTRSMLFPNFGSMFSTADPRVKTEQWDEESLQREGEGYASDAAGGDSDDNLHSPLISRQATSLEKDLVPPASHGSVLSMRRHSSLMQGTGETVGSTGIGGGWQLAWKWSEKEGGDGKKEGGFKRVYLHQEGGPGSRRGSLLSLPGGDVPAEGEFIQAAALVSQPALYSKELIDQHPVGPAMVHPSETASEGPIWAALFEPGVKHALFVGIGIQILQQFSGINGVLYYTPQILEDAGVEVLLEDLGLSTESSSFLISAFTTLLMLPCIAIAIKLMDISGRRTLLLATIPVLVVTLIILIIANLVTLGTVLHAALSVICVIVYFCCFVMAYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVLLDSIGLAGIFGIYAVVCVISFIFIFLKVPETKGMPLEVITEFFAVGARQVAAAKSE >ONI05415 pep chromosome:Prunus_persica_NCBIv2:G5:717623:722550:1 gene:PRUPE_5G006300 transcript:ONI05415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVMVAIAATIGNLLQGWDNATIAGAIVYITEDFDLGSSLEGLVVAMSLIGATAITTCSGVISDSIGRKPMLIASSVLYFVSGLVMVWSPNVYVLCIARLLDGFGIGLAVTLVPLYISETAPADIRGSLNTLPQFLGSGGMFLSYCMVFGMSLMNSPNWRLMLGVLSIPSIIFFALTVFYLPESPRWLVSKGRMLEAKKVLQQLRGTEDVSGEMALLVEGLGIGGETSIEEYIIGPADELADDQEPADKDKIRLYGPEEGLSWVARPVTGQGSLISLVSRQGSMVNQGVPLMDPLVTLFGSVHEKFPETASTRSMLFPNFGSMFSTADPRVKTEQWDEESLQREGEGYASDAAGGDSDDNLHSPLISRQATSLEKDLVPPASHGSVLSMRRHSSLMQGTGETVGSTGIGGGWQLAWKWSEKEGGDGKKEGGFKRVYLHQEGGPGSRRGSLLSLPGGDVPAEGEFIQAAALVSQPALYSKELIDQHPVGPAMVHPSETASEGPIWAALFEPGVKHALFVGIGIQILQQFSGINGVLYYTPQILEDAGVEVLLEDLGLSTESSSFLISAFTTLLMLPCIAIAIKLMDISGRRTLLLATIPVLVVTLIILIIANLVTLGTVLHAALSVICVIVYFCCFVMAYGPIPNILCSEIFPTRVPETKGMPLEVITEFFAVGARQVAAAKSE >ONI05411 pep chromosome:Prunus_persica_NCBIv2:G5:717190:722550:1 gene:PRUPE_5G006300 transcript:ONI05411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVMVAIAATIGNLLQGWDNATIAGAIVYITEDFDLGSSLEGLVVAMSLIGATAITTCSGVISDSIGRKPMLIASSVLYFVSGLVMVWSPNVYVLCIARLLDGFGIGLAVTLVPLYISETAPADIRGSLNTLPQFLGSGGMFLSYCMVFGMSLMNSPNWRLMLGVLSIPSIIFFALTVFYLPESPRWLVSKGRMLEAKKVLQQLRGTEDVSGEMALLVEGLGIGGETSIEEYIIGPADELADDQEPADKDKIRLYGPEEGLSWVARPVTGQGSLISLVSRQGSMVNQGVPLMDPLVTLFGSVHEKFPETASTRSMLFPNFGSMFSTADPRVKTEQWDEESLQREGEGYASDAAGGDSDDNLHSPLISRQATSLEKDLVPPASHGSVLSMRRHSSLMQGTGETVGSTGIGGGWQLAWKWSEKEGGDGKKEGGFKRVYLHQEGGPGSRRGSLLSLPGGDVPAEGEFIQAAALVSQPALYSKELIDQHPVGPAMVHPSETASEGPIWAALFEPGVKHALFVGIGIQILQQFSGINGVLYYTPQILEDAGVEVLLEDLGLSTESSSFLISAFTTLLMLPCIAIAIKLMDISGRRTLLLATIPVLVVTLIILIIANLVTLGTVLHAALSVICVIVYFCCFVMAYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVLLDSIGLAGIFGIYAVVCVISFIFIFLKVPETKGMPLEVITEFFAVGARQVAAAKSE >ONI06694 pep chromosome:Prunus_persica_NCBIv2:G5:8907392:8910376:-1 gene:PRUPE_5G075000 transcript:ONI06694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRARIKLGLISYSTLSRVLCPWLSPAILSLNCSSAVGGQVGGLTQLGVIRAQILNSTYSTVVQAQVSNQRTATAMVSLEDQHNHQSNSYYPEKNAGGEKRGQIGWNVSRKDKVNFLVRTLLDLNNSKEAVYGTLDGWVAWEQEFPIGKIRMALTSLEKEQQWHRIVQVIKWMLSKGQGNTMGTYGQLIRALDMDQRPEEAHKFWDKKIGIDLHSVPWQLCKSMIGIYYRNNMLESLVKLFEGLEAFDRKPPVKSIVQRVADAYEMLGRIEEKERVLQKYNHLFTENASLKKSREASAKKKKKLG >ONI05989 pep chromosome:Prunus_persica_NCBIv2:G5:3729774:3733907:1 gene:PRUPE_5G032800 transcript:ONI05989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASDSFNQTSNTVCVMDASGHVGTTLTERLLQRGYTVHAALQSHGESRLGELSCDKKKLKVFNLDPFDYQSILDALKGCSGLFYAFEPPQDQPNYDEYMAEVEVRAAHNVLEACARTETVDKVVFTSSATAVVWRNDRKSMALELDERHWTDVNFCRNFKLWHALSKTLAEKTAWALAMDRSLNMVSVNAGLLMGPDLSITNPYMKGAAEMYEDGVLVTVDVDFLVDAHICVFEDVSSYGRYLCFNNIINRSEDAVELARKLTTSAPSYPKSQDQDDMMIIQQRISNKKLNKLMVDFKSKSQEC >ONI06452 pep chromosome:Prunus_persica_NCBIv2:G5:6727143:6731833:1 gene:PRUPE_5G061500 transcript:ONI06452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDETPSAKRWLPLEANPDVMNQFLWGLGLPEHEAECCDVYGLDGELLEMVPKPVLAVLFLYPITSQSEEERMMQANEKQEPKGRVYFLKQTVGNACGTIGLLHAVGNITSEIKLVEESYLDRFFKTTATMDPSERAAFLEKDREMEVAHSVAATGGETEASDNVDTHFICFSCVDGELYELDGRKSGPISHGPSSPNSLLQDAAKVIQGMIQKNPDSLNFNVIAVSKKSGGFP >ONI05867 pep chromosome:Prunus_persica_NCBIv2:G5:3174850:3180298:-1 gene:PRUPE_5G027300 transcript:ONI05867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWEDEKIPALLSNDQPIRKWDDEDVDENDIKDSWEDFDEPAPLEPATKPAAEKAPKKPATKATEKKGKTVEVEQEPLDPIAEKLRQQRLVEEADYKSTKELFSSRGDDKTIDNFIPKSESDFLEYAELISHKLRPFEKSFHYIGLLKAVMRLSMTSLKGADAKDVASSITAIANEKIKAEKEANAGKKKTGAKKKQLLVDKPDDDIAVDGYDPLDDYDFM >ONI05868 pep chromosome:Prunus_persica_NCBIv2:G5:3174555:3180298:-1 gene:PRUPE_5G027300 transcript:ONI05868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWEDEKIPALLSNDQPIRKWDDEDVDENDIKDSWEDFDEPAPLEPATKPAAEKAPKKPATKATEKKGKTVEVEQEPLDPIAEKLRQQRLVEEADYKSTKELFSSRGDDKTIDNFIPKSESDFLEYAELISHKLRPFEKSFHYIGLLKAVMRLSMTSLKGADAKDVASSITAIANEKIKAEKEANAGKKKTGAKKKQLLVDKPDDDIAVDGYDPLDDYDFM >ONI09134 pep chromosome:Prunus_persica_NCBIv2:G5:17176317:17177903:1 gene:PRUPE_5G220400 transcript:ONI09134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHSSLLQHPFALSSLTLLLFLISLPPSILSQDPSSSSPTIAQCTTRLLPLASCASFVQGTSQSPAQSCCDNLKLLYSQQPDCLCLLLNSTTLSSFPINTTRALQLPALCSLQVDISACSGVHVPPSTPSSQVSFGTNTNSTAVNSTIAASPMPLNAPRPSMMGLGFGRTSSAGTKLKMGSYLTVAALAMGGFLVSGVLFSV >ONI07215 pep chromosome:Prunus_persica_NCBIv2:G5:11270759:11272245:1 gene:PRUPE_5G106700 transcript:ONI07215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENWDLQAVVRGCNTTANIDEAMENSQIPYCFAPLSIEEEDDFFHALPQLFEATAALDELEELYKPFYPVLQPSAPPVILASSMSVPQEAITVEVPKKLKESSTVCKKISRKNQSKRVVKEVRAEELFSDVWAWRKYGQKPIKGSPYPRSYYRCSSSKGCSARKQVERSCSNPETFIITYTAEHNHVHPTRRNSLAGSTRSKFPSSKNKDKCKSSLNSPATTNLAASIEEDHDVQSASTSTVKEEAQLLLEEDEISHENVMLDVMLSDEIIPSLEDLDRELEPVMDGWFLDQFSDNFPSPWFNIGHSNTVTGAC >ONI07216 pep chromosome:Prunus_persica_NCBIv2:G5:11270759:11272245:1 gene:PRUPE_5G106700 transcript:ONI07216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENWDLQAVVRGCNTTANIDEAMENSQIPYCFAPLSIEEEDDFFHALPQLFEATAALDELEELYKPFYPVLQPSAPPVILASSMSVPQEAITVEVPKKLKESSTVCKKIRKNQSKRVVKEVRAEELFSDVWAWRKYGQKPIKGSPYPRSYYRCSSSKGCSARKQVERSCSNPETFIITYTAEHNHVHPTRRNSLAGSTRSKFPSSKNKDKCKSSLNSPATTNLAASIEEDHDVQSASTSTVKEEAQLLLEEDEISHENVMLDVMLSDEIIPSLEDLDRELEPVMDGWFLDQFSDNFPSPWFNIGHSNTVTGAC >ONI09236 pep chromosome:Prunus_persica_NCBIv2:G5:17429609:17430439:1 gene:PRUPE_5G225700 transcript:ONI09236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNSVALVVVLLVAAAMFTVQLGLVTASAAVADDKNVIIINRELRERRPPPFRPKSPLANQQPGMRLPAPDPPPPPPPPPPSLPNNNNNRA >ONI06296 pep chromosome:Prunus_persica_NCBIv2:G5:5570567:5574063:-1 gene:PRUPE_5G051600 transcript:ONI06296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFISIILLLWRIIILSCGYGAATTSAASLPIAKPNCTTHCGDVAIPYPFGIGPSKDCYLDKWFQIDCRHTNSTTSANYSRQVPFLKSVNLELLSISPFEDGSGTQSVQVKNPITFFSCKGKETRQPQNLTGSPFIYSQTYNTFIAVSCDLFALMRSDHGTLAGCWSICRNNTGGDFSTCYKGIDCCEGFLPSYELTNFSIEIPSNSSTSDPMGDCKYAFLVDSSFMNNLTNFEDVKDMDSVPVVLDWMLNVDDYGERFSEGFREKPDLTGNQSTPFCTNDSTSQYNRNTMTCICPPGMEGNPYLLQLCQDIDECKDIVTCMSDNACENFVGGYRCYSNTTDVECEYFAVGNGGYNNTGCHSRITSEWTSGIQFQNIILGLGSGVGLLLLLIGAWWVNKIVKKRKTIARKKMLFKRNGGLLLEQQLSSGEVNVDKIKLFNSKELEKATNNFSIDRILGHGGQGTVYKGMLVDGRIVAVKKSKMVDTSMLSEFINEVVILSQINHRNVVQIMGCCLETEVPLLVYEFIPNGTLSQYIQGQIEEFSLTWEMRLQIATEVAGALSYLHGAASVPIYHRDIKSANILLDGRYRAKIADFGTSRSISIDQTHLTTCVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQKPISAVTWSQEEEYRSLATYFLTSMQDDRLFNVVDARVLKEGSETEIQVVANLARRCLNLNGRNRPTMREVTSELEAVQMSRKPSISAQQNSEGVDFVEDVSVWHWDVESLSEVSASY >ONI06295 pep chromosome:Prunus_persica_NCBIv2:G5:5570566:5574063:-1 gene:PRUPE_5G051600 transcript:ONI06295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFISIILLLWRIIILSCGYGAATTSAASLPIAKPNCTTHCGDVAIPYPFGIGPSKDCYLDKWFQIDCRHTNSTTSANYSRQVPFLKSVNLELLSISPFEDGSGTQSVQVKNPITFFSCKGKETRQPQNLTGSPFIYSQTYNTFIAVSCDLFALMRSDHGTLAGCWSICRNNTGGDFSTCYKGIDCCEGFLPSYELTNFSIEIPSNSSTSDPMGDCKYAFLVDSSFMNNLTNFEDVKDMDSVPVVLDWMLNVDDYGERFSEGFREKPDLTGNQSTPFCTNDSTSQYNRNTMTCICPPGMEGNPYLLQLCQGLGSGVGLLLLLIGAWWVNKIVKKRKTIARKKMLFKRNGGLLLEQQLSSGEVNVDKIKLFNSKELEKATNNFSIDRILGHGGQGTVYKGMLVDGRIVAVKKSKMVDTSMLSEFINEVVILSQINHRNVVQIMGCCLETEVPLLVYEFIPNGTLSQYIQGQIEEFSLTWEMRLQIATEVAGALSYLHGAASVPIYHRDIKSANILLDGRYRAKIADFGTSRSISIDQTHLTTCVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQKPISAVTWSQEEEYRSLATYFLTSMQDDRLFNVVDARVLKEGSETEIQVVANLARRCLNLNGRNRPTMREVTSELEAVQMSRKPSISAQQNSEGVDFVEDVSVWHWDVESLSEVSASY >ONI06946 pep chromosome:Prunus_persica_NCBIv2:G5:10127967:10132489:-1 gene:PRUPE_5G091000 transcript:ONI06946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGSSTVQKAVNSLLKWRNSKLQTEKPDLLESDEFAYLVLTLKKIPPKARVNAYKVPLPNPLHSQLSELCLIYDDGPKSNLTKDFIQKKIKAENIPISKILKLSKLKSAYVPFEAKRKLLHSYDMFLVDRRIVPLLPKYLGKQFFKKKKIPVPVDLEHKNWKEQVDRACESALLFMSTGTCSVVRVAKVSMSVDEIVENVVAAINGIVEIVPKKWRDVRSFHLKFLESLALPVYQAVPDLTLKIEGAKSDEDGKEAVKEVVKSESKGLKSEKVSKKKGRIHEVRYMDSNAGEVLDDDELVGDGVIGEGKQSENEEPGSGELGKKKRKKEKVVGESKGDKRLKKSAKVKDDAELNGEKVLGEFNNKKQLKKSTKVKDGDDDIPIEKRFKKLAKMVDEDDATIKHKKDGVSSKGKKKDGTKKKADDLPVKGEESVGKKEKRKSEHEKLKSGEAKLKTAKRSKKATE >ONI09197 pep chromosome:Prunus_persica_NCBIv2:G5:17309983:17318415:-1 gene:PRUPE_5G223100 transcript:ONI09197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLTGLQHMDGGGGVAVLSNSVNKVDSSSSSSANGCLKSLEPRSPILIFLFFHKAIRKELDALHRLAMAFAIGKRTDIRPLLERYHFLRSIYKHHSNAEDEVIFPALDIRVKNVAQTYSLEHKGETNLFDHLFELLNSNAKDDESFPRELASCTGALQTSVSQHMAKEEEQVFPLLIEKFSVEEQASLVWQFLCSIPVNMMAEFLPWLSSSVSPDEHLDLRKCLSKIVPEEKLLQQVIFTWMEGRRSADLFESSLDSPQFQCCVDSGASTSSQHMEKVNCACECRTGKRKYLESSTDVSDTSAGHPINEILLWHNAIKRELNEIAEEARKIQLSGDFTNLSAFNERLQFIAEVCIFHSIAEDKVIFPAVDGKISFFQEHAEEESQFNEFRCLIETIQSAGAISTSADFYAKLCSHADQIMETIQRHFSNEEVQVLPLARKHFSFKRQRELLYQSLCMMPLRLIERVLPWLVGSLTEDEMKNFLKNMQLAAPVPDSALVTLFSGWACKARNQGSCLSLSAIGCCPVKSFTDIEDDFVRSACACASALSARDSLISAQANNVKRLVKRNVSMSCKHSDASEPSETVNAQKPCCSDQSCCVPGLGVNSNNLGSSSLFGAKSLRSLSFSSSAPSLNSSLFVWETDSSSSDFGCGERPIDTIFKFHKAIRKDLEYLDIESGKLSYCDETTLRQFIGRFRLLWGLYRAHSNAEDDIVFPALESKEALHNVSHSYTLDHKQEENLFKDISHVLSELSHLHESLQKAHMDEDLAGSSINFLDANDINYTRKYNELATKLQGMCKSIKVTLDQHIFREELELWPLFGRHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFSEWLNECWKGTSELTSRTETWESSIPQKGVEFQESLDQTDQMFKPGWKDIFRMNQNELESEIRKVYRDATLDPRRKAYLVQNLMTSRWIATQQKLPQEIAGESSTGEDAIGRSPSYRDAEKKEFGCEHYKRNCKLRAACCGKLFACRFCHDNVSDHSMDRKATSEMMCMRCLNVQPVGPICTTPSCNELSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGIDFFHCMTCNCCLGIKLVNHKCLEKSLETNCPICCDFLFTSSATVRALPCGHYMHSACFQVYFGMLDALLAAEQLPEEYRNRCQDILCNDCDRKGSSRFHWLYHKCGNCGSYNTRVIKGETTNTDCPASH >ONI09198 pep chromosome:Prunus_persica_NCBIv2:G5:17310242:17317953:-1 gene:PRUPE_5G223100 transcript:ONI09198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLTGLQHMDGGGGVAVLSNSVNKVDSSSSSSANGCLKSLEPRSPILIFLFFHKAIRKELDALHRLAMAFAIGKRTDIRPLLERYHFLRSIYKHHSNAEDEVIFPALDIRVKNVAQTYSLEHKGETNLFDHLFELLNSNAKDDESFPRELASCTGALQTSVSQHMAKEEEQVFPLLIEKFSVEEQASLVWQFLCSIPVNMMAEFLPWLSSSVSPDEHLDLRKCLSKIVPEEKLLQQVIFTWMEGRRSADLFESSLDSPQFQCCVDSGASTSSQHMEKVNCACECRTGKRKYLESSTDVSDTSAGHPINEILLWHNAIKRELNEIAEEARKIQLSGDFTNLSAFNERLQFIAEVCIFHSIAEDKVIFPAVDGKISFFQEHAEEESQFNEFRCLIETIQSAGAISTSADFYAKLCSHADQIMETIQRHFSNEEVQVLPLARKHFSFKRQRELLYQSLCMMPLRLIERVLPWLVGSLTEDEMKNFLKNMQLAAPVPDSALVTLFSGWACKARNQGSCLSLSAIGCCPVKSFTDIEDDFVRSACACASALSARDSLISAQANNVKRLVKRNVSMSCKHSDASEPSETVNAQKPCCSDQSCCVPGLGVNSNNLGSSSLFGAKSLRSLSFSSSAPSLNSSLFVWETDSSSSDFGCGERPIDTIFKFHKAIRKDLEYLDIESGKLSYCDETTLRQFIGRFRLLWGLYRAHSNAEDDIVFPALESKEALHNVSHSYTLDHKQEENLFKDISHVLSELSHLHESLQKAHMDEDLAGSSINFLDANDINYTRKYNELATKLQGMCKSIKVTLDQHIFREELELWPLFGRHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFSEWLNECWKGTSELTSRTETWESSIPQKGVEFQESLDQTDQMFKPGWKDIFRMNQNELESEIRKVYRDATLDPRRKAYLVQNLMTSRWIATQQKLPQEIAGESSTGEDAIGRSPSYRDAEKKEFGCEHYKRNCKLRAACCGKLFACRFCHDNVSDHSMDRKATSEMMCMRCLNVQPVGPICTTPSCNELSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGIDFFHCMTCNCCLGIKLVNHKCLEKSLETNCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEQLPEEYRNRCQDILCNDCDRKGSSRFHWLYHKCGNCGSYNTRVIKGETTNTDCPASH >ONI09200 pep chromosome:Prunus_persica_NCBIv2:G5:17310836:17317953:-1 gene:PRUPE_5G223100 transcript:ONI09200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLTGLQHMDGGGGVAVLSNSVNKVDSSSSSSANGCLKSLEPRSPILIFLFFHKAIRKELDALHRLAMAFAIGKRTDIRPLLERYHFLRSIYKHHSNAEDEVIFPALDIRVKNVAQTYSLEHKGETNLFDHLFELLNSNAKDDESFPRELASCTGALQTSVSQHMAKEEEQVFPLLIEKFSVEEQASLVWQFLCSIPVNMMAEFLPWLSSSVSPDEHLDLRKCLSKIVPEEKLLQQVIFTWMEGRRSADLFESSLDSPQFQCCVDSGASTSSQHMEKVNCACECRTGKRKYLESSTDVSDTSAGHPINEILLWHNAIKRELNEIAEEARKIQLSGDFTNLSAFNERLQFIAEVCIFHSIAEDKVIFPAVDGKISFFQEHAEEESQFNEFRCLIETIQSAGAISTSADFYAKLCSHADQIMETIQRHFSNEEVQVLPLARKHFSFKRQRELLYQSLCMMPLRLIERVLPWLVGSLTEDEMKNFLKNMQLAAPVPDSALVTLFSGWACKARNQGSCLSLSAIGCCPVKSFTDIEDDFVRSACACASALSARDSLISAQANNVKRLVKRNVSMSCKHSDASEPSETVNAQKPCCSDQSCCVPGLGVNSNNLGSSSLFGAKSLRSLSFSSSAPSLNSSLFVWETDSSSSDFGCGERPIDTIFKFHKAIRKDLEYLDIESGKLSYCDETTLRQFIGRFRLLWGLYRAHSNAEDDIVFPALESKEALHNVSHSYTLDHKQEENLFKDISHVLSELSHLHESLQKAHMDEDLAGSSINFLDANDINYTRKYNELATKLQGMCKSIKVTLDQHIFREELELWPLFGRHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFSEWLNECWKGTSELTSRTETWESSIPQKGVEFQESLDQTDQMFKPGWKDIFRMNQNELESEIRKVYRDATLDPRRKAYLVQNLMTSRWIATQQKLPQEIAGESSTGEDAIGRSPSYRDAEKKEFGCEHYKRNCKLRAACCGKLFACRFCHDNVSDHSMDRKATSEMMCMRCLNVQPVGPICTTPSCNELSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGIDFFHCMTCNCCLGIKLVNHKCLEKSLETNCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPICSKSLGDMAVRFSLFFLKTYIFLELVVAALSSS >ONI09196 pep chromosome:Prunus_persica_NCBIv2:G5:17310014:17318415:-1 gene:PRUPE_5G223100 transcript:ONI09196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLTGLQHMDGGGGVAVLSNSVNKVDSSSSSSANGCLKSLEPRSPILIFLFFHKAIRKELDALHRLAMAFAIGKRTDIRPLLERYHFLRSIYKHHSNAEDEVIFPALDIRVKNVAQTYSLEHKGETNLFDHLFELLNSNAKDDESFPRELASCTGALQTSVSQHMAKEEEQASLVWQFLCSIPVNMMAEFLPWLSSSVSPDEHLDLRKCLSKIVPEEKLLQQVIFTWMEGRRSADLFESSLDSPQFQCCVDSGASTSSQHMEKVNCACECRTGKRKYLESSTDVSDTSAGHPINEILLWHNAIKRELNEIAEEARKIQLSGDFTNLSAFNERLQFIAEVCIFHSIAEDKVIFPAVDGKISFFQEHAEEESQFNEFRCLIETIQSAGAISTSADFYAKLCSHADQIMETIQRHFSNEEVQVLPLARKHFSFKRQRELLYQSLCMMPLRLIERVLPWLVGSLTEDEMKNFLKNMQLAAPVPDSALVTLFSGWACKARNQGSCLSLSAIGCCPVKSFTDIEDDFVRSACACASALSARDSLISAQANNVKRLVKRNVSMSCKHSDASEPSETVNAQKPCCSDQSCCVPGLGVNSNNLGSSSLFGAKSLRSLSFSSSAPSLNSSLFVWETDSSSSDFGCGERPIDTIFKFHKAIRKDLEYLDIESGKLSYCDETTLRQFIGRFRLLWGLYRAHSNAEDDIVFPALESKEALHNVSHSYTLDHKQEENLFKDISHVLSELSHLHESLQKAHMDEDLAGSSINFLDANDINYTRKYNELATKLQGMCKSIKVTLDQHIFREELELWPLFGRHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFSEWLNECWKGTSELTSRTETWESSIPQKGVEFQESLDQTDQMFKPGWKDIFRMNQNELESEIRKVYRDATLDPRRKAYLVQNLMTSRWIATQQKLPQEIAGESSTGEDAIGRSPSYRDAEKKEFGCEHYKRNCKLRAACCGKLFACRFCHDNVSDHSMDRKATSEMMCMRCLNVQPVGPICTTPSCNELSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGIDFFHCMTCNCCLGIKLVNHKCLEKSLETNCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEQLPEEYRNRCQDILCNDCDRKGSSRFHWLYHKCGNCGSYNTRVIKGETTNTDCPASH >ONI09199 pep chromosome:Prunus_persica_NCBIv2:G5:17310014:17317167:-1 gene:PRUPE_5G223100 transcript:ONI09199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEEEQVFPLLIEKFSVEEQASLVWQFLCSIPVNMMAEFLPWLSSSVSPDEHLDLRKCLSKIVPEEKLLQQVIFTWMEGRRSADLFESSLDSPQFQCCVDSGASTSSQHMEKVNCACECRTGKRKYLESSTDVSDTSAGHPINEILLWHNAIKRELNEIAEEARKIQLSGDFTNLSAFNERLQFIAEVCIFHSIAEDKVIFPAVDGKISFFQEHAEEESQFNEFRCLIETIQSAGAISTSADFYAKLCSHADQIMETIQRHFSNEEVQVLPLARKHFSFKRQRELLYQSLCMMPLRLIERVLPWLVGSLTEDEMKNFLKNMQLAAPVPDSALVTLFSGWACKARNQGSCLSLSAIGCCPVKSFTDIEDDFVRSACACASALSARDSLISAQANNVKRLVKRNVSMSCKHSDASEPSETVNAQKPCCSDQSCCVPGLGVNSNNLGSSSLFGAKSLRSLSFSSSAPSLNSSLFVWETDSSSSDFGCGERPIDTIFKFHKAIRKDLEYLDIESGKLSYCDETTLRQFIGRFRLLWGLYRAHSNAEDDIVFPALESKEALHNVSHSYTLDHKQEENLFKDISHVLSELSHLHESLQKAHMDEDLAGSSINFLDANDINYTRKYNELATKLQGMCKSIKVTLDQHIFREELELWPLFGRHFTVEEQDKIVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFSEWLNECWKGTSELTSRTETWESSIPQKGVEFQESLDQTDQMFKPGWKDIFRMNQNELESEIRKVYRDATLDPRRKAYLVQNLMTSRWIATQQKLPQEIAGESSTGEDAIGRSPSYRDAEKKEFGCEHYKRNCKLRAACCGKLFACRFCHDNVSDHSMDRKATSEMMCMRCLNVQPVGPICTTPSCNELSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGIDFFHCMTCNCCLGIKLVNHKCLEKSLETNCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEQLPEEYRNRCQDILCNDCDRKGSSRFHWLYHKCGNCGSYNTRVIKGETTNTDCPASH >ONI06900 pep chromosome:Prunus_persica_NCBIv2:G5:9882802:9884759:1 gene:PRUPE_5G087800 transcript:ONI06900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGFFYSLTLINLKLMSTCKPNKTTNRMHGPEYYWAHGLQQMTRSKKRCKSKIHLHDPPASSPSPPYVFMEKSFLSFSASHLIWKFPKKIGVLCTYQNHSLDQTTTGSRSRAAVRAT >ONI05339 pep chromosome:Prunus_persica_NCBIv2:G5:373928:375462:1 gene:PRUPE_5G002200 transcript:ONI05339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTMISNKPLRKTRTFLLKRSESMQQPTEFVPRKSPVVEFPTSPQLIFGEEMLHFGHPQHPLSQVNLPDLFTCAGCKEYGAGKRFVCQQCDFQLHDFCALAPPALNSHPFHFQHQLVLYSKSVKGGIAQSKCDICHKPAKGYAFRCSTCSFQMHPCCAMLSSEINLQTHPHTLRLLPATSSSNGDPNSSSSFVCGECRRKRSGRVYHCTVCNYHVHAVCAKDMINGLHDNGHKGREKPSVFGTAARLASQVVIEFIGGLIEGLGEGVAEVFVDNIARSGRANGRSNNN >ONI09332 pep chromosome:Prunus_persica_NCBIv2:G5:17707754:17710277:1 gene:PRUPE_5G232700 transcript:ONI09332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGQYTGNTDIQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDSIRAGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEFPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISGTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSALSVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPKGLIMSSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEEDVEYEDDEAIGTAPEN >ONI09494 pep chromosome:Prunus_persica_NCBIv2:G5:18165005:18168485:1 gene:PRUPE_5G241200 transcript:ONI09494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCAAAACRSARTMKAAVLYHYPCPDGAFAALAAHLYFSAMSMEELLFFPNTVYSPITPQHLPLHQIDRLYLLDFVGPPGFVQEISSRVPSVVVLDHHKTALETTRIGENVTGVLDMNRSGATIAFDYFKSKIDGDSGNKNEAVVAQFDRVRRLFEYVEDGDLWRWSLPNSKAFSSGLKDLNFQYDVGLNPSLFQQLLSLDLESLISQGMASLSKKQKLIDEALNQSYEIALWGGAFGHCLAVNADSISELRSELGHQLATKSRSLNLRGIGAVVYRVPELENDQMLKISLRSVDTEDTTPISQEFGGGGHQSASSFMLGSAEFEQWKIGFVAL >ONI09495 pep chromosome:Prunus_persica_NCBIv2:G5:18165005:18168485:1 gene:PRUPE_5G241200 transcript:ONI09495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCAAAACRSARTMKAAVLYHYPCPDGAFAALAAHLYFSAMSMEELLFFPNTVYSPITPQHLPLHQIDRLYLLDFVGPPGFVQEISSRVPSVVVLDHHKTALETTRIGENVTGVLDMNRSGATIAFDYFKSKIDGDSGNKNEAVVAQFDRVRRLFEYVEDGDLWRWSLPNSKAFSSGLKDLNFQYDVGLNPSLFQQAVNADSISELRSELGHQLATKSRSLNLRGIGAVVYRVPELENDQMLKISLRSVDTEDTTPISQEFGGGGHQSASSFMLGSAEFEQWKIGFVAL >ONI06622 pep chromosome:Prunus_persica_NCBIv2:G5:8489069:8490936:1 gene:PRUPE_5G070600 transcript:ONI06622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQLPVISEAAPEQKLFVVGACNDLVLCCPSELDQRDYYICNPYTKKWVALPPPPRIHNCVSVGFICDPYYSYNSSSTFDDEVSINAEYRWRVVRLRQEFYVDIFFSETGEWRESANVVCGLRNYFDIITAGVACNGKLYFSGSDHASSYILELDPFQDISNISTTNGNHIIVDKCRFSLAPLDMSSEVRGYSISMYRVLGACRGHLRVTEFVLGNHLSVWELDAGDDILKWRLVVDKVPFFQMDSSYHDDVSMTPLDEVAKTAIGFHPTIEDTIYVDAHKIVRWNFGAGMFELVPNRRGSSWSPNYIHPFVLPWWPTPVPSL >ONI06621 pep chromosome:Prunus_persica_NCBIv2:G5:8489521:8490969:1 gene:PRUPE_5G070600 transcript:ONI06621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNDSVDDLPEVLLVEIICRLSCIKLVFQCKCVSKRWCELISSSHFVGQYVRRQRDLKTPILGTVVVDNGTFFPVENEDGLMSLQLPVISEAAPEQKLFVVGACNDLVLCCPSELDQRDYYICNPYTKKWVALPPPPRIHNCVSVGFICDPYYSYNSSSTFDDEVSINAEYRWRVVRLRQEFYVDIFFSETGEWRESANVVCGLRNYFDIITAGVACNGKLYFSGSDHASSYILELDPFQDISNISTTNGNHIIVDKCRFSLAPLDMSSEVRGYSISMYRVLGACRGHLRVTEFVLGNHLSVWELDAGDDILKWRLVVDKVPFFQMDSSYHDDVSMTPLDEVAKTAIGFHPTIEDTIYVDAHKIVRWNFGAGMFELVPNRRGSSWSPNYIHPFVLPWWPTPVPSL >ONI05519 pep chromosome:Prunus_persica_NCBIv2:G5:1155118:1159374:-1 gene:PRUPE_5G011200 transcript:ONI05519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVLSPATMMGAQPDSATDLDFMDELFLEGCWLETTDGPEFPNQSHANSSAHINPSVFWHMLEANGNLTMNPSENSNQEVIQTPFFKQLHEGPVNPQFPSQNMIDVDGYSGHSADPTIKSYELNRRWWIGPLGNQGPASSVMERLTRALVCIREVMRDKNVLVQVWVPVNKGGRNVLTTNDDLFSLDSSCPRLSKYRDISVNYQFSTGEDSTELVKGLPGRVFSGQVPEWTPDVRFFRSDEYPRVDYAQRYDVRGTLALPIFEQGSRTCLGVIEVVTTTQKIKYQLELESVCKALEAVDLQSSRNWSTQNVKGCVKPYQAALPEIQEVLRCACETHKLPLAQTWVSCIQQGKDGCRHSDDNYVHCVSTVDHAFHVTDPYIEGFYEACSEHHLLKGQGIVGKAFMTNQPCFSDDITSCVKTEYPLSHHARMFGLHAAVAIRLRSMKTGSTDFVLEFFLPVECRDPEEQKKMLNSLSLIIQQICRSLRVVTDKELEEESDFPVSEMIVSSDPRPSGIASFTEVHLSGNDVSIFPMENPREVLGVKSSKLRQHQPDSNLKVGVKCGRECSALGEGSFSSVGVSKTREKRRTKAEKAITLEVLRKYFSGSLKDAANSIGVCSTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVEGASGAFQINSFYTNFPELTSPNLSGTSPFSTSKLSDQPMPTNLSPEGGVVSPQATTSKSPSSSCSQSSSSSQCCSSRTQQHRPTCNVTGGDDPIVGDNSGDGVLKRVRSEAELHAFGQDRTQLLPRSQSHKILNELQKLQPIPPSLKNNGVAQEGEVQRVKVAYGDEKTRFRMQSDWRYEDLVQEIAKRFSVEDMSKFYIKYLDDDSEWVLLTCDADLEECIDVCRSSQSNTIKLSLHLSRHHLERFIGTGGPS >ONI05522 pep chromosome:Prunus_persica_NCBIv2:G5:1155118:1159374:-1 gene:PRUPE_5G011200 transcript:ONI05522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVLSPATMMGAQPDSATDLDFMDELFLEGCWLETTDGPEFPNQSHANSSAHINPSVFWHMLEANGNLTMNPSENSNQEVIQTPFFKQLHEGPVNPQFPSQNMIDVDGYSGHSADPTIKSYELNRRWWIGPLGNQGPASSVMERLTRALVCIREVMRDKNVLVQVWVPVNKGGRNVLTTNDDLFSLDSSCPRLSKYRDISVNYQFSTGEDSTELVKGLPGRVFSGQVPEWTPDVRFFRSDEYPRVDYAQRYDVRGTLALPIFEQGSRTCLGVIEVVTTTQKIKYQLELESVCKALEAVDLQSSRNWSTQNVKQGCVKPYQAALPEIQEVLRCACETHKLPLAQTWVSCIQQGKDGCRHSDDNYVHCVSTVDHAFHVTDPYIEGFYEACSEHHLLKGQGIVGKAFMTNQPCFSDDITSCVKTEYPLSHHARMFGLHAAVAIRLRSMKTGSTDFVLEFFLPVECRDPEEQKKMLNSLSLIIQQICRSLRVVTDKELEEESDFPVSEMIVSSDPRPSGIASFTEVHLSGNDVSIFPMENPREVLGVKSSKLRQHQPDSNLKVGVKCGRECSALGEGSFSSVGVSKTREKRRTKAEKAITLEVLRKYFSGSLKDAANSIGVCSTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVEGASGAFQINSFYTNFPELTSPNLSGTSPFSTSKLSDQPMPTNLSPEGGVVSPQATTSKSPSSSCSQSSSSSQCCSSRTQQHRPTCNVTGGDDPIVGDNSGDGVLKRVRSEAELHAFGQDRTQLLPRSQSHKILNELQKLQPIPPSLKNNGVAQEGEVQRVKVAYGDEKTRFRMQSDWRYEDLVQEIAKRFSVEDMSKFYIKYLDDDSEWVLLTCDADLEECIDVCRSSQSNTIKLSLHLSRHHLERFIGTGGPS >ONI05525 pep chromosome:Prunus_persica_NCBIv2:G5:1154693:1161027:-1 gene:PRUPE_5G011200 transcript:ONI05525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVLSPATMMGAQPDSATDLDFMDELFLEGCWLETTDGPEFPNQSHANSSAHINPSVFWHMLEANGNLTMNPSENSNQEVIQTPFFKQLHEGPVNPQFPSQNMIDVDGYSGHSADPTIKSYELNRRWWIGPLGNQGPASSVMERLTRALVCIREVMRDKNVLVQVWVPVNKGGRNVLTTNDDLFSLDSSCPRLSKYRDISVNYQFSTGEDSTELVKGLPGRVFSGQVPEWTPDVRFFRSDEYPRVDYAQRYDVRGTLALPIFEQGSRTCLGVIEVVTTTQKIKYQLELESVCKALEAVDLQSSRNWSTQNVKGCVKPYQAALPEIQEVLRCACETHKLPLAQTWVSCIQQGKDGCRHSDDNYVHCVSTVDHAFHVTDPYIEGFYEACSEHHLLKGQGIVGKAFMTNQPCFSDDITSCVKTEYPLSHHARMFGLHAAVAIRLRSMKTGSTDFVLEFFLPVECRDPEEQKKMLNSLSLIIQQICRSLRVVTDKELEEESDFPVSEMIVSSDPRPSGIASFTEVHLSGNDVSIFPMENPREVLGVKSSKLRQHQPDSNLKVGVKCGRECSALGEGSFSSVGVSKTREKRRTKAEKAITLEVLRKYFSGSLKDAANSIGGYADNTGLNVGHLEKLRRLVTLYRNSNL >ONI05521 pep chromosome:Prunus_persica_NCBIv2:G5:1154693:1159744:-1 gene:PRUPE_5G011200 transcript:ONI05521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVLSPATMMGAQPDSATDLDFMDELFLEGCWLETTDGPEFPNQSHANSSAHINPSVFWHMLEANGNLTMNPSENSNQEVIQTPFFKQLHEGPVNPQFPSQNMIDVDGYSGHSADPTIKSYELNRRWWIGPLGNQGPASSVMERLTRALVCIREVMRDKNVLVQVWVPVNKGGRNVLTTNDDLFSLDSSCPRLSKYRDISVNYQFSTGEDSTELVKGLPGRVFSGQVPEWTPDVRFFRSDEYPRVDYAQRYDVRGTLALPIFEQGSRTCLGVIEVVTTTQKIKYQLELESVCKALEAVDLQSSRNWSTQNVKGCVKPYQAALPEIQEVLRCACETHKLPLAQTWVSCIQQGKDGCRHSDDNYVHCVSTVDHAFHVTDPYIEGFYEACSEHHLLKGQGIVGKAFMTNQPCFSDDITSCVKTEYPLSHHARMFGLHAAVAIRLRSMKTGSTDFVLEFFLPVECRDPEEQKKMLNSLSLIIQQICRSLRVVTDKELEEESDFPVSEMIVSSDPRPSGIASFTEVHLSGNDVSIFPMENPREVLGVKSSKLRQHQPDSNLKVGVKCGRECSALGEGSFSSVGVSKTREKRRTKAEKAITLEVLRKYFSGSLKDAANSIGVCSTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVEGASGAFQINSFYTNFPELTSPNLSGTSPFSTSKLSDQPMPTNLSPEGGVVSPQATTSKSPSSSCSQSSSSSQCCSSRTQQHRPTCNVTGGDDPIVGDNSGDGVLKRVRSEAELHAFGQDRTQLLPRSQSHKILNELQKLQPIPPSLKNNGVAQEGEVQRVKVAYGDEKTRFRMQSDWRYEDLVQEIAKRFSVEDMSKFYIKYLDDDSEWVLLTCDADLEECIDVCRSSQSNTIKLSLHLSRHHLERFIGTGGPS >ONI05524 pep chromosome:Prunus_persica_NCBIv2:G5:1154693:1161027:-1 gene:PRUPE_5G011200 transcript:ONI05524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVLSPATMMGAQPDSATDLDFMDELFLEGCWLETTDGPEFPNQSHANSSAHINPSVFWHMLEANGNLTMNPSENSNQEVIQTPFFKQLHEGPVNPQFPSQNMIDVDGYSGHSADPTIKSYELNRRWWIGPLGNQGPASSVMERLTRALVCIREVMRDKNVLVQVWVPVNKGGRNVLTTNDDLFSLDSSCPRLSKYRDISVNYQFSTGEDSTELVKGLPGRVFSGQVPEWTPDVRFFRSDEYPRVDYAQRYDVRGTLALPIFEQGSRTCLGVIEVVTTTQKIKYQLELESVCKALEAVDLQSSRNWSTQNVKGCVKPYQAALPEIQEVLRCACETHKLPLAQTWVSCIQQGKDGCRHSDDNYVHCVSTVDHAFHVTDPYIEGFYEACSEHHLLKGQGIVGKAFMTNQPCFSDDITSCVKTEYPLSHHARMFGLHAAVAIRLRSMKTGSTDFVLEFFLPVECRDPEEQKKMLNSLSLIIQQICRSLRVVTDKELEEESDFPVSEMIVSSDPRPSGIASFTEVHLSGNDVSIFPMENPREVLGVKSSKLRQHQPDSNLKVGVKCGRECSALGEGSFSSVGVSKTREKRRTKAEKAITLEVLRKYFSGSLKDAANSIGGYADNTGLNVGHLEKLRRLVTLYRNSNL >ONI05520 pep chromosome:Prunus_persica_NCBIv2:G5:1155118:1159374:-1 gene:PRUPE_5G011200 transcript:ONI05520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVLSPATMMGAQPDSATDLDFMDELFLEGCWLETTDGPEFPNQSHANSSAHINPSVFWHMLEANGNLTMNPSENSNQEVIQTPFFKQLHEGPVNPQFPSQNMIDVDGYSGHSADPTIKSYELNRRWWIGPLGNQGPASSVMERLTRALVCIREVMRDKNVLVQVWVPVNKGGRNVLTTNDDLFSLDSSCPRLSKYRDISVNYQFSTGEDSTELVKGLPGRVFSGQVPEWTPDVRFFRSDEYPRVDYAQRYDVRGTLALPIFEQGSRTCLGVIEVVTTTQKIKYQLELESVCKALEAVDLQSSRNWSTQNVKGCVKPYQAALPEIQEVLRCACETHKLPLAQTWVSCIQQGKDGCRHSDDNYVHCVSTVDHAFHVTDPYIEGFYEACSEHHLLKGQGIVGKAFMTNQPCFSDDITSCVKTEYPLSHHARMFGLHAAVAIRLRSMKTGSTDFVLEFFLPVECRDPEEQKKMLNSLSLIIQQICRSLRVVTDKELEEESDFPVSEMIVSSDPRPSGIASFTEVHLSGNDVSIFPMENPREVLGVKSSKLRQHQPDSNLKVGVKCGRECSALGEGSFSSVGVSKTREKRRTKAEKAITLEVLRKYFSGSLKDAANSIGVCSTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVEGASGAFQINSFYTNFPELTSPNLSGTSPFSTSKLSDQPMPTNLSPEGGVVSPQATTSKSPSSSCSQSSSSSQCCSSRTQQHRPTCNVTGGDDPIVGDNSGDGVLKRVRSEAELHAFGQDRTQLLPRSQSHKILNELQKLQPIPPSLKNNGVAQEGEVQRVKVAYGDEKTRFRMQSDWRYEDLVQEIAKRFSVEDMSKFYIKYLDDDSEWVLLTCDADLEECIDVCRSSQSNTIKLSLHLSRHHLERFIGTGGPS >ONI05523 pep chromosome:Prunus_persica_NCBIv2:G5:1155118:1159374:-1 gene:PRUPE_5G011200 transcript:ONI05523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVLSPATMMGAQPDSATDLDFMDELFLEGCWLETTDGPEFPNQSHANSSAHINPSVFWHMLEANGNLTMNPSENSNQEVIQTPFFKQLHEGPVNPQFPSQNMIDVDGYSGHSADPTIKSYELNRRWWIGPLGNQGPASSVMERLTRALVCIREVMRDKNVLVQVWVPVNKGGRNVLTTNDDLFSLDSSCPRLSKYRDISVNYQFSTGEDSTELVKGLPGRVFSGQVPEWTPDVRFFRSDEYPRVDYAQRYDVRGTLALPIFEQGSRTCLGVIEVVTTTQKIKYQLELESVCKALEAVDLQSSRNWSTQNVKQGCVKPYQAALPEIQEVLRCACETHKLPLAQTWVSCIQQGKDGCRHSDDNYVHCVSTVDHAFHVTDPYIEGFYEACSEHHLLKGQGIVGKAFMTNQPCFSDDITSCVKTEYPLSHHARMFGLHAAVAIRLRSMKTGSTDFVLEFFLPVECRDPEEQKKMLNSLSLIIQQICRSLRVVTDKELEEESDFPVSEMIVSSDPRPSGIASFTEVHLSGNDVSIFPMENPREVLGVKSSKLRQHQPDSNLKVGVKCGRECSALGEGSFSSVGVSKTREKRRTKAEKAITLEVLRKYFSGSLKDAANSIGVCSTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVEGASGAFQINSFYTNFPELTSPNLSGTSPFSTSKLSDQPMPTNLSPEGGVVSPQATTSKSPSSSCSQSSSSSQCCSSRTQQHRPTCNVTGGDDPIVGDNSGDGVLKRVRSEAELHAFGQDRTQLLPRSQSHKILNELQKLQPIPPSLKNNGVAQEGEVQRVKVAYGDEKTRFRMQSDWRYEDLVQEIAKRFSVEDMSKFYIKYLDDDSEWVLLTCDADLEECIDVCRSSQSNTIKLSLHLSRHHLERFIGTGGPS >ONI07937 pep chromosome:Prunus_persica_NCBIv2:G5:13554882:13555729:-1 gene:PRUPE_5G148300 transcript:ONI07937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAWTMLTQLHSLAGPVVTLLYPLYASVIAIESTSKIDDEQWLAYWIIYSFLTLLEMVIQPALEWLPIWYEVKLVFVAWLVLPQFQGAAFLYEKYVRQQLRRYRDGRDHPQSSQKSPTGKGKNKFVQFMTPKNQGEQEAY >ONI07936 pep chromosome:Prunus_persica_NCBIv2:G5:13554477:13555894:-1 gene:PRUPE_5G148300 transcript:ONI07936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAWTMLTQLHSLAGPVVTLLYPLYASVIAIESTSKIDDEQWLAYWIIYSFLTLLEMVIQPALEWLPIWYEVKLVFVAWLVLPQFQGAAFLYEKYVRQQLRRYRDGRDHPQSSQKSPTGKGKNKFVQFMTPKNGEQEAY >ONI09278 pep chromosome:Prunus_persica_NCBIv2:G5:17527603:17529121:-1 gene:PRUPE_5G228500 transcript:ONI09278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSQVPEFQVFFNDHTTNDFNMLFKSLPQNRPYYAVGVPGSFYGRIFPNASIHFVHSSFSLHWLSRVPKQVVDRNSPAWNKGRIQYSNSTDEVVRAYEAQFAEDMGCFLHARAQEIVHGGLMVIITPGRPNGSSHSDSVANATLLLVGSCLMDMAKEGVLLEEKVDSFNLPMYYMSPQELEAAVEQNGFFSIERLEYLPRVPVDDTVSEKLASHLRAALEGLIKQQFGEEILDELFDRYRKKVEGQLSILDIDSGKPTHFLAALKRKAN >ONI09279 pep chromosome:Prunus_persica_NCBIv2:G5:17527603:17529568:-1 gene:PRUPE_5G228500 transcript:ONI09279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSQVPEFQVFFNDHTTNDFNMLFKSLPQNRPYYAVGVPGSFYGRIFPNASIHFVHSSFSLHWLSRVPKQVVDRNSPAWNKGRIQYSNSTDEVVRAYEAQFAEDMGCFLHARAQEIVHGGLMVIITPGRPNGSSHSDSVANATLLLVGSCLMDMAKEGVLLEEKVDSFNLPMYYMSPQELEAAVEQNGFFSIERLEYLPRVPVDDTVSEKLASHLRAALEGLIKQQFGEEILDELFDRYRKKVEGQLSILDIDSGKPTHFLAALKRKAN >ONI09277 pep chromosome:Prunus_persica_NCBIv2:G5:17527885:17529469:-1 gene:PRUPE_5G228500 transcript:ONI09277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPQESSKFCEAFPMKSGDGPKSYAKNSTFQREAVDVAKQLIVKEIAEKLDIDILLSSKSNTFHIADLGCSTGPNTFSAVEGILEAVQLKYQSQMMNSQVPEFQVFFNDHTTNDFNMLFKSLPQNRPYYAVGVPGSFYGRIFPNASIHFVHSSFSLHWLSRVPKQVVDRNSPAWNKGRIQYSNSTDEVVRAYEAQFAEDMGCFLHARAQEIVHGGLMVIITPGRPNGSSHSDSVANATLLLVGSCLMDMAKEGVLLEEKVDSFNLPMYYMSPQELEAAVEQNGFFSIERLEYLPRVPVDDTVSEKLASHLRAALEGLIKQQFGEEILDELFDRYRKKVEGQLSILDIDSGKPTHFLAALKRKAN >ONI07840 pep chromosome:Prunus_persica_NCBIv2:G5:13183693:13190161:-1 gene:PRUPE_5G142200 transcript:ONI07840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDYQVGVDGTVATPGSMFSHSLPSRKLLPIGAQSADYLDMPQSNLAGEQTERLSIGGVANISKASWKPMDHQPKLWSDLSTKPTPYSSAGSKTVLHGAEHESSLFSSSLSEIFSRKLRLLKNDLIPHQATNNVASRHEEEPFESLEEIEAQTIGNLLPDENDLFSGVIDGLGYNTHAIIGDGFEDFDLFSSGGGMELEGEICAGLSDSDFSGGVSNGLGGCNGSIVGEHPNGEHPSRTLFVRNINSNVEDLELKSLFERFGDIRALYTACKHRGFVMISYYDIRAAQNALRALQSKPLRRRKLDIHFSIPKDNPSDKDINQGTLVLFNLDSSVSNDELSKTFGSYGEIKEIRETPHKPHNKFIEFYDVRAAEVALHALNRSEIGGKQIKLEPSRPGGVRRGLVQVSEQEQDEYKFGHSPSESLSTGCKAMLRPGMVESSCMVNGSNLGLHSAVRSPVSALIENALLHPSSSVPDTLPSPATVASAGRKFSLCEPDHSLDDVKFGNQCIPSLHPHSLPEYHDIAHGIPCNSSGAIANMTGNVGLRMSEGTDRRPTCGVNANGHLMELNGGAFGSPGNGSCPVHAHPYLWNNSNSYQKHPSSPMMWQNSPSFTNGPNAHRFPQMPGFHRTPPHMLNSTSPVQHHVGSAPAVNPSLWERHAYSGESPDTSSLHLGSLGIAHFPSSPQMHPMEIPSHNIFSLVGGNFLDMTTSARQRSSQEICHMFPGRNSMSSMPTSFDSPNERVRHLSHRRNEANSNIADKRQYELDIERILRGEDRRTTLMIKNIPNKYTSKMLLAAIDEQCRGIYDFLYLPIDFKNKCNVGYAFINMVDPHQIVPFFKAFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHTEGPNAGDPEPFPMGTNIRSRPGKPRTAGYEDNHNQGSPSANGEESSKVLGLGGSFNSSKESD >ONI07838 pep chromosome:Prunus_persica_NCBIv2:G5:13183693:13190419:-1 gene:PRUPE_5G142200 transcript:ONI07838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLFLLRQVGFQKLKTMPDYQVGVDGTVATPGSMFSHSLPSRKLLPIGAQSADYLDMPQSNLAGEQTERLSIGGVANISKASWKPMDHQPKLWSDLSTKPTPYSSAGSKTVLHGAEHESSLFSSSLSEIFSRKLRLLKNDLIPHQATNNVASRHEEEPFESLEEIEAQTIGNLLPDENDLFSGVIDGLGYNTHAIIGDGFEDFDLFSSGGGMELEGEICAGLSDSDFSGGVSNGLGGCNGSIVGEHPNGEHPSRTLFVRNINSNVEDLELKSLFERFGDIRALYTACKHRGFVMISYYDIRAAQNALRALQSKPLRRRKLDIHFSIPKDNPSDKDINQGTLVLFNLDSSVSNDELSKTFGSYGEIKEIRETPHKPHNKFIEFYDVRAAEVALHALNRSEIGGKQIKLEPSRPGGVRRGLVQVSEQEQDEYKFGHSPSESLSTGCKAMLRPGMVESSCMVNGSNLGLHSAVRSPVSALIENALLHPSSSVPDTLPSPATVASAGRKFSLCEPDHSLDDVKFGNQCIPSLHPHSLPEYHDIAHGIPCNSSGAIANMTGNVGLRMSEGTDRRPTCGVNANGHLMELNGGAFGSPGNGSCPVHAHPYLWNNSNSYQKHPSSPMMWQNSPSFTNGPNAHRFPQMPGFHRTPPHMLNSTSPVQHHVGSAPAVNPSLWERHAYSGESPDTSSLHLGSLGIAHFPSSPQMHPMEIPSHNIFSLVGGNFLDMTTSARQRSSQEICHMFPGRNSMSSMPTSFDSPNERVRHLSHRRNEANSNIADKRQYELDIERILRGEDRRTTLMIKNIPNKYTSKMLLAAIDEQCRGIYDFLYLPIDFKNKCNVGYAFINMVDPHQIVPFFKAFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHTEGPNAGDPEPFPMGTNIRSRPGKPRTAGYEDNHNQGSPSANGEESSKVLGLGGSFNSSKESD >ONI07837 pep chromosome:Prunus_persica_NCBIv2:G5:13183693:13190474:-1 gene:PRUPE_5G142200 transcript:ONI07837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEIMDQRGVSVSSHFFDEIPFPSERQVGFQKLKTMPDYQVGVDGTVATPGSMFSHSLPSRKLLPIGAQSADYLDMPQSNLAGEQTERLSIGGVANISKASWKPMDHQPKLWSDLSTKPTPYSSAGSKTVLHGAEHESSLFSSSLSEIFSRKLRLLKNDLIPHQATNNVASRHEEEPFESLEEIEAQTIGNLLPDENDLFSGVIDGLGYNTHAIIGDGFEDFDLFSSGGGMELEGEICAGLSDSDFSGGVSNGLGGCNGSIVGEHPNGEHPSRTLFVRNINSNVEDLELKSLFERFGDIRALYTACKHRGFVMISYYDIRAAQNALRALQSKPLRRRKLDIHFSIPKDNPSDKDINQGTLVLFNLDSSVSNDELSKTFGSYGEIKEIRETPHKPHNKFIEFYDVRAAEVALHALNRSEIGGKQIKLEPSRPGGVRRGLVQVSEQEQDEYKFGHSPSESLSTGCKAMLRPGMVESSCMVNGSNLGLHSAVRSPVSALIENALLHPSSSVPDTLPSPATVASAGRKFSLCEPDHSLDDVKFGNQCIPSLHPHSLPEYHDIAHGIPCNSSGAIANMTGNVGLRMSEGTDRRPTCGVNANGHLMELNGGAFGSPGNGSCPVHAHPYLWNNSNSYQKHPSSPMMWQNSPSFTNGPNAHRFPQMPGFHRTPPHMLNSTSPVQHHVGSAPAVNPSLWERHAYSGESPDTSSLHLGSLGIAHFPSSPQMHPMEIPSHNIFSLVGGNFLDMTTSARQRSSQEICHMFPGRNSMSSMPTSFDSPNERVRHLSHRRNEANSNIADKRQYELDIERILRGEDRRTTLMIKNIPNKYTSKMLLAAIDEQCRGIYDFLYLPIDFKNKCNVGYAFINMVDPHQIVPFFKAFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHTEGPNAGDPEPFPMGTNIRSRPGKPRTAGYEDNHNQGSPSANGEESSKVLGLGGSFNSSKESD >ONI07839 pep chromosome:Prunus_persica_NCBIv2:G5:13183195:13190328:-1 gene:PRUPE_5G142200 transcript:ONI07839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDYQVGVDGTVATPGSMFSHSLPSRKLLPIGAQSADYLDMPQSNLAGEQTERLSIGGVANISKASWKPMDHQPKLWSDLSTKPTPYSSAGSKTVLHGAEHESSLFSSSLSEIFSRKLRLLKNDLIPHQATNNVASRHEEEPFESLEEIEAQTIGNLLPDENDLFSGVIDGLGYNTHAIIGDGFEDFDLFSSGGGMELEGEICAGLSDSDFSGGVSNGLGGCNGSIVGEHPNGEHPSRTLFVRNINSNVEDLELKSLFERFGDIRALYTACKHRGFVMISYYDIRAAQNALRALQSKPLRRRKLDIHFSIPKDNPSDKDINQGTLVLFNLDSSVSNDELSKTFGSYGEIKEIRETPHKPHNKFIEFYDVRAAEVALHALNRSEIGGKQIKLEPSRPGGVRRGLVQVSEQEQDEYKFGHSPSESLSTGCKAMLRPGMVESSCMVNGSNLGLHSAVRSPVSALIENALLHPSSSVPDTLPSPATVASAGRKFSLCEPDHSLDDVKFGNQCIPSLHPHSLPEYHDIAHGIPCNSSGAIANMTGNVGLRMSEGTDRRPTCGVNANGHLMELNGGAFGSPGNGSCPVHAHPYLWNNSNSYQKHPSSPMMWQNSPSFTNGPNAHRFPQMPGFHRTPPHMLNSTSPVQHHVGSAPAVNPSLWERHAYSGESPDTSSLHLGSLGIAHFPSSPQMHPMEIPSHNIFSLVGGNFLDMTTSARQRSSQEICHMFPGRNSMSSMPTSFDSPNERVRHLSHRRNEANSNIADKRQYELDIERILRGEDRRTTLMIKNIPNKYTSKMLLAAIDEQCRGIYDFLYLPIDFKNKCNVGYAFINMVDPHQIVPFFKAFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHTEGPNAGDPEPFPMGTNIRSRPGKPRTAGYEDNHNQGSPSANGEESSKVLGLGGSFNSSKESD >ONI07841 pep chromosome:Prunus_persica_NCBIv2:G5:13183195:13192163:-1 gene:PRUPE_5G142200 transcript:ONI07841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHSLPSRKLLPIGAQSADYLDMPQSNLAGEQTERLSIGGVANISKASWKPMDHQPKLWSDLSTKPTPYSSAGSKTVLHGAEHESSLFSSSLSEIFSRKLRLLKNDLIPHQATNNVASRHEEEPFESLEEIEAQTIGNLLPDENDLFSGVIDGLGYNTHAIIGDGFEDFDLFSSGGGMELEGEICAGLSDSDFSGGVSNGLGGCNGSIVGEHPNGEHPSRTLFVRNINSNVEDLELKSLFERFGDIRALYTACKHRGFVMISYYDIRAAQNALRALQSKPLRRRKLDIHFSIPKDNPSDKDINQGTLVLFNLDSSVSNDELSKTFGSYGEIKEIRETPHKPHNKFIEFYDVRAAEVALHALNRSEIGGKQIKLEPSRPGGVRRGLVQVSEQEQDEYKFGHSPSESLSTGCKAMLRPGMVESSCMVNGSNLGLHSAVRSPVSALIENALLHPSSSVPDTLPSPATVASAGRKFSLCEPDHSLDDVKFGNQCIPSLHPHSLPEYHDIAHGIPCNSSGAIANMTGNVGLRMSEGTDRRPTCGVNANGHLMELNGGAFGSPGNGSCPVHAHPYLWNNSNSYQKHPSSPMMWQNSPSFTNGPNAHRFPQMPGFHRTPPHMLNSTSPVQHHVGSAPAVNPSLWERHAYSGESPDTSSLHLGSLGIAHFPSSPQMHPMEIPSHNIFSLVGGNFLDMTTSARQRSSQEICHMFPGRNSMSSMPTSFDSPNERVRHLSHRRNEANSNIADKRQYELDIERILRGEDRRTTLMIKNIPNKYTSKMLLAAIDEQCRGIYDFLYLPIDFKNKCNVGYAFINMVDPHQIVPFFKAFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHTEGPNAGDPEPFPMGTNIRSRPGKPRTAGYEDNHNQGSPSANGEESSKVLGLGGSFNSSKESD >ONI07801 pep chromosome:Prunus_persica_NCBIv2:G5:13105967:13109492:1 gene:PRUPE_5G140600 transcript:ONI07801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSSNVNDHYKEERSMDRKEDVLLGEASHADNNDIQESSSELEELPKIRLMRAFVEAQDPSSKEVDDPTIRRFLHARDFDISQASAMLLKYLKWRRTFVPNGFISASEVPNQIAQNKMFLQGSDKKGRPIAVVCGNRHFQDGLKEFKRYVVYGLDKTCARIPPGQEKFIAIGDLEGWGYSNSDIRGYLGALSILQDYYPERLGKLFIVHAPLVFMTVWKIVYPFIDNKTKKKIVFVENKMLKSTLLEEIDESQLPEIYGGQLPLVPI >ONI08670 pep chromosome:Prunus_persica_NCBIv2:G5:15743335:15744711:1 gene:PRUPE_5G192700 transcript:ONI08670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQENKVKLHGMWASTYSLRVEIALRLKGIPYEYIEEDLSNKSQLLLKYNPVHKKVPVLVHNGKSIAESYIILEYIDETWNSDPRILPEDPYGRAKVRFWASYIQQQLFEGLSRVVTSRGEAQEKALEEVFERLKVFEGGMKEYLQGGASFTNGESLGLLDILMVVTFGPYKAHEEVLGFKMLDPERNPLLFSWVAAMKEHPVVKEVDPPHGKLVELLQFIRSTSHARSH >ONI06836 pep chromosome:Prunus_persica_NCBIv2:G5:9673242:9677170:-1 gene:PRUPE_5G084300 transcript:ONI06836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNMLRYLSKEDFRVLTAVEMGMRNHEIVPCELVERIASLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVMAMKLHRLGRVSFRAVKSKRDYLKHRSSFSWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVIMSLVQGYPFVQVKQLQNPEVVFETIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHHNAQMYFDRDVECVFKFFRKRFNMSFQECTDDNDGTEVDTDASGRPCFSSIAKDAVFLDKELAASGFTKKDQEVVEKFIEGGLEKDASSDDEGTGDGTHISEEDASSDDEDSEDATHISVLNEANIKGVDSLHLLDQGQTLNLKHEEEGVENNLRNCEVGPSSKPESQDEGDDEAANDNDAELEKRLSKVRRRAVTAARGRRKNLASRNTYKDKGGRSSQSSKVQSQLGSW >ONI06838 pep chromosome:Prunus_persica_NCBIv2:G5:9673212:9677170:-1 gene:PRUPE_5G084300 transcript:ONI06838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNMLRYLSKEDFRVLTAVEMGMRNHEIVPCELVERIASLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVMAMKLHRLGRVSFRAVKSKRDYLKHRSSFSWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVIMSLVQGYPFVQVKQLQNPEVVFETIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHHNAQMYFDRDVECVFKFFRKRFNMSFQECTDDNDGTEVDTDASGRPCFSSIAKDAVFLDKELAASGFTKKDQEVVEKFIEGGLEKDASSDDEGTGDGTHISEEDASSDDEDSEDATHISVLNEANIKGVDSLHLLDQGQTLNLKHEEEGVENNLRNCEVGPSSKPESQDVSDKVGR >ONI06835 pep chromosome:Prunus_persica_NCBIv2:G5:9672898:9677345:-1 gene:PRUPE_5G084300 transcript:ONI06835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNMLRYLSKEDFRVLTAVEMGMRNHEIVPCELVERIASLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVMAMKLHRLGRVSFRAVKSKRDYLKHRSSFSWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVIMSLVQGYPFVQVKQLQNPEVVFETIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHHNAQMYFDRDVECVFKFFRKRFNMSFQECTDDNDGTEVDTDASGRPCFSSIAKDAVFLDKELAASGFTKKDQEVVEKFIEGGLEKDASSDDEGTGDGTHISEEDASSDDEDSEDATHISVLNEANIKGVDSLHLLDQEGDDEAANDNDAELEKRLSKVRRRAVTAARGRRKNLASRNTYKDKGGRSSQSSKVQSQLGSW >ONI06837 pep chromosome:Prunus_persica_NCBIv2:G5:9672896:9677345:-1 gene:PRUPE_5G084300 transcript:ONI06837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNMLRYLSKEDFRVLTAVEMGMRNHEIVPCELVERIASLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVMAMKLHRLGRVSFRAVKSKRDYLKHRSSFSWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVIMSLVQGYPFVQVKQLQNPEVVFETIIGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHHNAQMYFDRDVECVFKFFRKRFNMSFQECTDDNDGTEVDTDASGRPCFSSIAKDAVFLDKELAASGFTKKDQEVVEKFIEGGLEKDASSDDEGTGDGTHISEEDASSDDEDSEDATHISVLNEANIKGVDSLHLLDQGQTLNLKHEEEGVENNLRNCEVGPSSKPESQDVSDKEGDDEAANDNDAELEKRLSKVRRRAVTAARGRRKNLASRNTYKDKGGRSSQSSKVQSQLGSW >ONI06048 pep chromosome:Prunus_persica_NCBIv2:G5:4155069:4158442:1 gene:PRUPE_5G036600 transcript:ONI06048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSANRHNDHLGVNKIGKNIRKSPLHQPNFANNAARQQPQPQVYNISKNDFRNIVQQLTGSPSQEPLPRPPQNPPKPQSMRLQRIRPPPLTPINNRPVIPPPAPHPSAPPLVPYNNNFMRTQFGQPSPTPMPPFPHGDSMWANTAESPISAYMRYLQSSMLDPTPRGNQAQPQPQGPGQSQSQAPSTGLLPNPSMPAHPPPRMNGPVPPAPNLPHPPVNGPALLPSPTSQFLLPSPTGFMNLLSPRSPYPLLSPGMQFPPPLTPNFQFSPMAQSGILGPGPQPPPSPGYLFPLSPSGFFPISSPRWREQ >ONI06047 pep chromosome:Prunus_persica_NCBIv2:G5:4155069:4158442:1 gene:PRUPE_5G036600 transcript:ONI06047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSANRHNDHLGVNKIGKNIRKSPLHQPNFANNAARQQPQPQVYNISKNDFRNIVQQLTGSPSQEPLPRPPQNPPKPQSMRLQRIRPPPLTPINNRPVIPPPAPHPSAPPLVPYNNNFMRTQFGQPSPTPMPPFPHGDSMWANTAESPISAYMRYLQSSMLDPTPRGNQAQPQPQGPGQSQSQAPSTGLLPNPSMPAHPPPRMNGPVPPAPNLPHPPVNGPALLPSPTSQFLLPSPTGFMNLLSPRSPYPLLSPGMQFPPPLTPNFQFSPMAQSGILGPGPQPPPSPGYLFPLSPSGFFPISSPRWREQ >ONI06046 pep chromosome:Prunus_persica_NCBIv2:G5:4155069:4158442:1 gene:PRUPE_5G036600 transcript:ONI06046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSANRHNDHLGVNKIGKNIRKSPLHQPNFANNAARQQPQPQVYNISKNDFRNIVQQLTGSPSQEPLPRPPQNPPKPQSMRLQRIRPPPLTPINNRPVIPPPAPHPSAPPLVPYNNNFMRTQFGQPSPTPMPPFPHGDSMWANTAESPISAYMRYLQSSMLDPTPRGNQAQPQPQGPGQSQSQAPSTGLLPNPSMPAHPPPRMNGPVPPAPNLPHPPVNGPALLPSPTSQFLLPSPTGFMNLLSPRSPYPLLSPGMQFPPPLTPNFQFSPMAQSGILGPGPQPPPSPGYLFPLSPSGFFPISSPRWREQ >ONI07443 pep chromosome:Prunus_persica_NCBIv2:G5:12066422:12071017:1 gene:PRUPE_5G121000 transcript:ONI07443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLINLSSLSPWLSRLSHSSPPLPIITTNATNALLFRIQTTSINFSKLLTTKASLGESESGSGLAEDSVSELLDDELLSKVSGAKDAQEALQMIAEITGRNGGFVSASDCCAIVSAALKRNNPDLALSVFYEMRASFDQGVNENGPLVERWKWSRPDVRVYTSLILGLAASLKVSDALRMINNICRVGVSPAEEVPFGKVVRCPSCMIAVAVAQPQHGIQIVSCAKCCYQYELISGNIASIESEEISMDVPAWKRGLRFLQIMKQSVPAAVHSIVVQTPSGMARTNRFATETVDLPAQEGERVTIALAAPSSVYRELPRRLVDTVAIKQQLLSQYDTLQSRIKSLKEAAEKEVWMLARMCQLENKIFAVGETSYRARRSRVKRVREGLENSLRGRIELIDSYARISSMIEIEVELDSDVLAAEAASNVENIAEQIQQTMELENLEERWRIQVEANDEAERLLSSQPMPTEQV >ONI07442 pep chromosome:Prunus_persica_NCBIv2:G5:12066422:12071017:1 gene:PRUPE_5G121000 transcript:ONI07442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLINLSSLSPWLSRLSHSSPPLPIITTNATNALLFRIQTTSINFSKLLTTKASLGESESGSGLAEDSVSELLDDELLSKVSGAKDAQEALQMIAEITGRNGGFVSASDCCAIVSAALKRNNPDLALSVFYEMRASFDQGVNENGPLVERWKWSRPDVRVYTSLILGLAASLKVSDALRMINNICRVGVSPAEEVPFGKVVRCPSCMIAVAVAQPQHGIQIVSCAKCCYQYELISGNIASIESEEISMDVPAWKRGLRFLQIMKQSVPAAVHSIVVQTPSGMARTNRFATETVDLPAQEGERVTIALAAPSSVYREVGPLKFSPKAPNFYPGEPICLTNHEDGRESKLLRAPIKDRGSSLTNPSILFPIVAVLASGNAASGVIDPSLPQFLPVAAVASLAVGVTLNTLVFPQLSRLPRRLVDTVAIKQQLLSQYDTLQSRIKSLKEAAEKEVWMLARMCQLENKIFAVGETSYRARRSRVKRVREGLENSLRGRIELIDSYARISSMIEIEVELDSDVLAAEAASNVENIAEQIQQTMELENLEERWRIQVEANDEAERLLSSQPMPTEQV >ONI07062 pep chromosome:Prunus_persica_NCBIv2:G5:10640053:10640947:-1 gene:PRUPE_5G097800 transcript:ONI07062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEEERSPVMSERERGARRRLVLELGFEKENCISMRHSIHQLIVIDVVDLASSVLHPHLLSLHLKRANADAAESKAAGRIITLSETNTVADGLRAFLGDLTWPVVRDLVDGIITVEDKEIIHAMKLCYEILKVAVEPSGAIGLAAVLSNSFKKNSAWKDCSNIGIILSGGNVDLGILFLCVHLI >ONI06841 pep chromosome:Prunus_persica_NCBIv2:G5:9702069:9704771:1 gene:PRUPE_5G084600 transcript:ONI06841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKEEVLSIHNETNFFLQTTHSPGFLGLHPEKGFWKKSNFGKGVTIGVMDTGVLPDHPSFRDEGMPPPPDRWKGRCDFNQTANRTFVCNNKIIGARSFQVYDNLLQTSSAQPVDEIGHGTHTASTAAGNFVRGANLYNNANGMASGVAPHAHLAIYQVCSLDECSSTDVLAGFDAAVEDGVDVISISMTSLNVGQLFEDSIALAAFSAMQRRIFVVSSAIDRSIIATARLGNGDKFDGESIFQPNSTKGLNSKLLPLVQPGINGQMLSQNCNNGSLKDINVEGKVVFCERGMEISRLDQGKVVKAAGGAAMLLVNQEQEGFTTYTDPHVLPASHLSYAAGLNIKHYINKTSKLIATVKFKGTVTGNSSAPMVASFSSRGPSSICPGILKPDIIGPGVSILAASPFPIDKRKSNSKSNFNVMSGTSMSCPHLSGIAALLKSSHPDWSPAAIKCAIMTTAEVVNQKGQPITDETLQPASVFAIGSDHPNSSRANDPGLVYDIKPLDYIPYLCGKNYTDRHVGVLLQGKVNCSLEKPISEAQLNYPSFSIVLGLTPQTYTREVTNVGKADSSDTVKVAPPQGISVSIKPKLITFSEMNQKAKYAVTFRRSKDGGKSDKTALVVQYAEGSLTWVSDQYSVRSPIAIKLPLE >ONI07403 pep chromosome:Prunus_persica_NCBIv2:G5:11910589:11912572:-1 gene:PRUPE_5G117800 transcript:ONI07403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMQDSKLAFGFSDTIDIRSSLSNLILTSNTNTLDSIFSHCPQTLSTTTSNNSSVSEPLGSSVYLRQRDLLQKFCDESRANAEVSRFFQKNPVQSSACSSTSYMAPCKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYDTAEAAAYAYDRAAYKLRGEYARLNFPNLKDPSKMGFGDCARLDAVKTSVDAKIQAICQKVKRERAKKNAKKSSNSSENEKAVMKVDSSLSSSSPSGFGDGSGQELVSSTPTATPTVSEDGVWRCENSPPSISTEWPMGMAEDLEFEGCLLARMPSYDPELIWEVLAN >ONI09575 pep chromosome:Prunus_persica_NCBIv2:G5:18381205:18382420:-1 gene:PRUPE_5G245600 transcript:ONI09575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GATIIRGKKWITFQRDMRIRLEKPLLISSFTAIDGRGPSVHIAGNACLVVFKASNIIIHGLRIHHCRSQAPSLVMGPDGKTMPLGQVDGDAIRLVTASKDGLLDVTRGSTDITVSNNWFRDQDKVMLLGHDDGYFRDKNMRVTVVYNHFGPNCNQRMPRIRYGYAHVVNNLYREWSQYAIGGGSMNPSVKSEANLFIAPKSRNNKEITWRKDSIGNKESWKFYSVGDIFENRASFIRATPPICS >ONI06431 pep chromosome:Prunus_persica_NCBIv2:G5:6469916:6472005:1 gene:PRUPE_5G060000 transcript:ONI06431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKNSTNVEQAFMAMAAEIKNRMASQPMNNARPPTVQIRGQPVNQKSGCCSS >ONI06432 pep chromosome:Prunus_persica_NCBIv2:G5:6469897:6472190:1 gene:PRUPE_5G060000 transcript:ONI06432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKNSTNVEQAFMAMAAEIKNRMASQPMNNARPPTVQIRGQPVNQKSGCCSS >ONI09235 pep chromosome:Prunus_persica_NCBIv2:G5:17428064:17428911:1 gene:PRUPE_5G225600 transcript:ONI09235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTFTGFCGSLKRYRRRRRCRRLRSVVSNEENARVSMAEGKRPKFWKLRVTPKLRMKVASSVVLLRRLRNTYVGMMLWFAGGVMQLNNGNVHLYQKTNVSQLFA >ONI08938 pep chromosome:Prunus_persica_NCBIv2:G5:16635402:16638405:-1 gene:PRUPE_5G208700 transcript:ONI08938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQSEKFSADFVMGGMAALVSKSAAAPIERVKLLLQNQGEMIKRGQLKRPYMGVGDCFKRVFREEGVLSFWRGNQANVIRYFPTQAFNFAFKGYFKSIFGCSKEKDGYLKYFAGNVASGSAAGATTSLFLYHLDYARTRLGTDARGSSVNGQRQFRGILDVYSKTLSSDGIAGLYRGFGISIIGITLYRGMYFGIYDTMKPIVLVGSLEGNFLASFLLGWSVTTVSGVCAYPFDTLRRRMMLTSGQPSKYRNAIHAFREIVYLEGFTALFRGVTANMLLGVAGAGVLAGYDQLHRIAYRHGYSIEPHQRVLK >ONI08943 pep chromosome:Prunus_persica_NCBIv2:G5:16636177:16637677:-1 gene:PRUPE_5G208700 transcript:ONI08943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQSEKFSADFVMGGMAALVSKSAAAPIERVKLLLQNQGEMIKRGQLKRPYMGVGDCFKRVFREEGVLSFWRGNQANVIRYFPTQAFNFAFKGYFKSIFGCSKEKDGYLKYFAGNVASGSAAGATTSLFLYHLDYARTRLGTDARGSSVNGQRQFRGILDVYSKTLSSDGIAGLYRGFGISIIGITLYRGMYFGIYDTMKPIVLVGSLEVSISISEKKNMFNIICTIQRGVYFRMYDRSIHS >ONI08942 pep chromosome:Prunus_persica_NCBIv2:G5:16636177:16637677:-1 gene:PRUPE_5G208700 transcript:ONI08942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQSEKFSADFVMGGMAALVSKSAAAPIERVKLLLQNQGEMIKRGQLKRPYMGVGDCFKRVFREEGVLSFWRGNQANVIRYFPTQAFNFAFKGYFKSIFGCSKEKDGYLKYFAGNVASGSAAGATTSLFLYHLDYARTRLGTDARGSSVNGQRQFRGILDVYSKTLSSDGIAGLYRGFGISIIGITLYRGMYFGIYDTMKPIVLVGSLEVSISISEKKNMFNIICTIQRGVYFRMYDRSIHS >ONI08941 pep chromosome:Prunus_persica_NCBIv2:G5:16635402:16638092:-1 gene:PRUPE_5G208700 transcript:ONI08941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQSEKFSADFVMGGMAALVSKSAAAPIERVKLLLQNQGEMIKRGQLKRPYMGVGDCFKRVFREEGVLSFWRGNQANVIRYFPTQAFNFAFKGYFKSIFGCSKEKDGYLKYFAGNVASGSAAGATTSLFLYHLDYARTRLGTDARGSSVNGQRQFRGILDVYSKTLSSDGIAGLYRGFGISIIGITLYRGMYFGIYDTMKPIVLVGSLEETYYSAPLL >ONI08939 pep chromosome:Prunus_persica_NCBIv2:G5:16635402:16638496:-1 gene:PRUPE_5G208700 transcript:ONI08939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQSEKFSADFVMGGMAALVSKSAAAPIERVKLLLQNQGEMIKRGQLKRPYMGVGDCFKRVFREEGVLSFWRGNQANVIRYFPTQAFNFAFKGYFKSIFGCSKEKDGYLKYFAGNVASGSAAGATTSLFLYHLDYARTRLGTDARGSSVNGQRQFRGILDVYSKTLSSDGIAGLYRGFGISIIGITLYRGMYFGIYDTMKPIVLVGSLEGNFLASFLLGWSVTTVSGVCAYPFDTLRRRMMLTSGQPSKYRNAIHAFREIVYLEGFTALFRGVTANMLLGVAGAGVLAGYDQLHRIAYRHGYSIEPHQRVLK >ONI08940 pep chromosome:Prunus_persica_NCBIv2:G5:16635402:16638198:-1 gene:PRUPE_5G208700 transcript:ONI08940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQSEKFSADFVMGGMAALVSKSAAAPIERVKLLLQNQGEMIKRGQLKRPYMGVGDCFKRVFREEGVLSFWRGNQANVIRYFPTQAFNFAFKGYFKSIFGCSKEKDGYLKYFAGNVASGSAAGATTSLFLYHLDYARTRLGTDARGSSVNGQRQFRGILDVYSKTLSSDGIAGLYRGFGISIIGITLYRGMYFGIYDTMKPIVLVGSLEETYYSAPLL >ONI07532 pep chromosome:Prunus_persica_NCBIv2:G5:12361787:12362250:1 gene:PRUPE_5G126200 transcript:ONI07532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGNNSIISREKLDQVASWVSATVSTAFFSSLERFSCVNLATTDFDDEDEDEAADRPFTLTNHSDPPNDVAELPV >ONI06709 pep chromosome:Prunus_persica_NCBIv2:G5:8955357:8959521:1 gene:PRUPE_5G075600 transcript:ONI06709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRPFLPSDLNTLPCTYTPLPNGANPDGGAPETRKRHLKELLAIFSGLLMVSLLVVIISGKEPNSHNASNKHEPLFLAKQPHTARPEKLRPVSRGVPAGVSEKMNRLAGDVDGPVFPWNNSMLSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHFFYQYNPNKAVWGDIVWGHAVSKDLIHWLHLPLAMVADQWYDINGVWTGSATILPDGKIVMLYTGSTNESVQVQNLAYPADHSDPLLLNWVKYSGNPVLVPPPGIGYKDFRDPTTAWFTSEGKWRITIGSKLNKTGISLVYDTKDFKTYELLNGVLHAVPGTGMWECVDFYPVSKTSDKGLDTSANGPDVKHVVKASLDDDRNDYYAFGTYDEKTGKWVPDNQKIDVGIGIRYDYGKFYASKTFYDQNKGRRVLWGWIGESDSESADVQKGWASLQGIPRTVLFDQKTGSNLLQWPVEEIEKLRLSSKDFNKVEVKAGSVEPLQVGTATQLDIVAEFELDKKVLESVAESNEAFSCNTSGGAAKRGALGPFGLLVLADESLSEQTPVYFYVAKGPGGIVDTFFCADQTRSSVANDVRKEITGSYIPVLKDEKLSVRILVDHSIVESFAQGGRTTITNRIYPTKAIYGAARLFVFNNATEISVTASIKVWQLNSAFIRPYHQDESSLASFNHVTFIKLIAPFFIFLTLFFVR >ONI06710 pep chromosome:Prunus_persica_NCBIv2:G5:8955522:8959485:1 gene:PRUPE_5G075600 transcript:ONI06710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRPFLPSDLNTLPCTYTPLPNGANPDGGAPETRKRHLKELLAIFSGLLMVSLLVVIISGKEPNSHNASNKHEPLFLAKQPHTARPEKLRPVSRGVPAGVSEKMNRLAGDVDGPVFPWNNSMLSWQRTAFHFQPEKNWMNGPLFYKGWYHFFYQYNPNKAVWGDIVWGHAVSKDLIHWLHLPLAMVADQWYDINGVWTGSATILPDGKIVMLYTGSTNESVQVQNLAYPADHSDPLLLNWVKYSGNPVLVPPPGIGYKDFRDPTTAWFTSEGKWRITIGSKLNKTGISLVYDTKDFKTYELLNGVLHAVPGTGMWECVDFYPVSKTSDKGLDTSANGPDVKHVVKASLDDDRNDYYAFGTYDEKTGKWVPDNQKIDVGIGIRYDYGKFYASKTFYDQNKGRRVLWGWIGESDSESADVQKGWASLQGIPRTVLFDQKTGSNLLQWPVEEIEKLRLSSKDFNKVEVKAGSVEPLQVGTATQLDIVAEFELDKKVLESVAESNEAFSCNTSGGAAKRGALGPFGLLVLADESLSEQTPVYFYVAKGPGGIVDTFFCADQTRSSVANDVRKEITGSYIPVLKDEKLSVRILVDHSIVESFAQGGRTTITNRIYPTKAIYGAARLFVFNNATEISVTASIKVWQLNSAFIRPYHQDESSLASFNHVTFIKLIAPFFIFLTLFFVR >ONI09049 pep chromosome:Prunus_persica_NCBIv2:G5:16905855:16907588:1 gene:PRUPE_5G214500 transcript:ONI09049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVSMARTLQDSSSKRHFHWTNKVGTEDDDHVALPSFKSSSSSKTTDHEEEKNTEHDHHPKPQHGHVAAAAAAHQLPRRKLQAAAVSRLRSVLTAFGKNRSSLPLGLGPRVVGTLFGSRRGHVHFAFQRDPNSQPAFLIELATPISGLVREMASGLVRIALECDKDKEEMKKKDKALRLLEEPVWRTFCNGKKYGFASRRECGPKEWKVLKAVEPISMGAGVLPAAAGNEAEDGSDGELMYMRAKFERVVGSRDSEAFYMMNPDSNGAPELSIYLLRV >ONI08537 pep chromosome:Prunus_persica_NCBIv2:G5:15304111:15306215:-1 gene:PRUPE_5G184100 transcript:ONI08537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPIKQDIPLKFCSYNGTICCNSTQDLELQKQFEALNVSDPACAALLKLTMCAICDQFSAQLFEIDSGPRKVPVLCNTTDLVKPHQSKVGDSIDFCTKLWDTCGHISILSSPFAPPMQGSVGMQYSSLPSKLTDQWRSRKDFCEEFGGSEDDVCFKDEPVSLSQEKASPPAGLCLEKIANGAYINLVPHPDGSNCAFVSNQQGKIWLATTPDEGSNGILWINESEPFLDIADEVLFDTEFGLMGMSFHPNFVRNGRFFLSFNCDKMKHPGCSGRCSCNTDVNCDPSKLPADSGVQPCQYHSVVAEFTANGTASEPSLATSANPSEVRRIFTMGLPYRGGHAGQILFGPADGFLYLMMGDGSNRDDPYNFAQNKKSLLGKILRLDIDTIPNAMEISEKGLWGNYSIPSDNPFVDVKDVKPEIWALGFRNPWRCSFDSERPSYFLCGDCGQDQYEEVDIVIKRGNYGWRFYEGSIPFQPTKSPGGTTSATSINPIFPVMGYSHSDIDKNVGSAASASITGGYFYRSMTDPCMHKRSVCPVQQIQVYMYTRHTVICL >ONI08685 pep chromosome:Prunus_persica_NCBIv2:G5:15793525:15795499:1 gene:PRUPE_5G193800 transcript:ONI08685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKTVSELAETNSETAAAAEDMELEASEPAPAEKPDEGTNGEAEANAKRLRDEEGSEGNDAVAKKTKVEKSPEEERLEKLGEGKESGRVSLGPKSFGSSVEMFDYFYRLLHYWPTDLSVNKYEHLVLLDLLKKGHAEPDKKIGGGVQAFQVRTHPLYKSRCFFLIREDETVDDFSFRKCVDQILPLPENMKAHSDANKALGGKGGRGGGGGRGGWRGRGRGKPRN >ONI08833 pep chromosome:Prunus_persica_NCBIv2:G5:16327714:16338397:-1 gene:PRUPE_5G203400 transcript:ONI08833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSDSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVQCLSL >ONI08830 pep chromosome:Prunus_persica_NCBIv2:G5:16325928:16338409:-1 gene:PRUPE_5G203400 transcript:ONI08830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSVADSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNHSPALINGVPINEASNNQWPPDLVAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVCYYGIGNQLLWTSSSGHTKELKLLGQKSLDVILSGFSLSGYDFVFLDANCC >ONI08834 pep chromosome:Prunus_persica_NCBIv2:G5:16327714:16338396:-1 gene:PRUPE_5G203400 transcript:ONI08834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSDSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNHSPALINGVPINEASNNQWPPDLVAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVQCLSL >ONI08832 pep chromosome:Prunus_persica_NCBIv2:G5:16327714:16338369:-1 gene:PRUPE_5G203400 transcript:ONI08832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIHDLMAMSLTTPYTVIFRISFGGEHGSWSNTKLYWNKFLSPKNFCCKVVAGLSMPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSVADSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNHSPALINGVPINEASNNQWPPDLVAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVQCLSL >ONI08836 pep chromosome:Prunus_persica_NCBIv2:G5:16329641:16336177:-1 gene:PRUPE_5G203400 transcript:ONI08836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSADSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNHSPALINGVPINEASNNQWPPDLVAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVQCLSL >ONI08837 pep chromosome:Prunus_persica_NCBIv2:G5:16327714:16338409:-1 gene:PRUPE_5G203400 transcript:ONI08837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSVADSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVQCLSL >ONI08828 pep chromosome:Prunus_persica_NCBIv2:G5:16325928:16338397:-1 gene:PRUPE_5G203400 transcript:ONI08828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSADSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNHSPALINGVPINEASNNQWPPDLVAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVCYYGIGNQLLWTSSSGHTKELKLLGQKSLDVILSGFSLSGYDFVFLDANCC >ONI08829 pep chromosome:Prunus_persica_NCBIv2:G5:16325928:16338397:-1 gene:PRUPE_5G203400 transcript:ONI08829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSVADSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNHSPALINGVPINEASNNQWPPDLVAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVCYYGIGNQLLWTSSSGHTKELKLLGQKSLDVILSGFSLSGYDFVFLDANCC >ONI08841 pep chromosome:Prunus_persica_NCBIv2:G5:16329641:16336177:-1 gene:PRUPE_5G203400 transcript:ONI08841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSVADSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNHSPALINGVPINEASNNQWPPDLVAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVQCLSL >ONI08840 pep chromosome:Prunus_persica_NCBIv2:G5:16329641:16336177:-1 gene:PRUPE_5G203400 transcript:ONI08840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSVADSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNHSPALINGVPINEASNNQWPPDLVAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVQCLSL >ONI08839 pep chromosome:Prunus_persica_NCBIv2:G5:16329641:16336177:-1 gene:PRUPE_5G203400 transcript:ONI08839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSVADSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNHSPALINGVPINEASNNQWPPDLVAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVQCLSL >ONI08838 pep chromosome:Prunus_persica_NCBIv2:G5:16327714:16338397:-1 gene:PRUPE_5G203400 transcript:ONI08838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSVADSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVQCLSL >ONI08835 pep chromosome:Prunus_persica_NCBIv2:G5:16327714:16338395:-1 gene:PRUPE_5G203400 transcript:ONI08835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSDSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNHSPALINGVPINEASNNQWPPDLVAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRVQCLSL >ONI08831 pep chromosome:Prunus_persica_NCBIv2:G5:16327714:16338451:-1 gene:PRUPE_5G203400 transcript:ONI08831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNEVGDRVHNFFGQENLSQGQHHPQVDGNWPGLSNNLWVGSQRQIGAPVNSSLKNYNVQQSADSERGHGSQSLHVPHGLDFIHSNQKPESGRVQSQNQQPTPNGYVHGHQMFQTRQNEANFLGVDAESDRQNLTSRGLSILESQTGSGPEHKKNLMRMETSESPVGFDFFGGQQQMTGPHPSMMQSLPRQQSGISDMQQLQRQVMLTQIQEFQRQQQLQQLERQQVLANQASSITRQAAGNTNWLQRGASPVMQGASSGHVLSPEQAHTLRLMGFVPQQADQSLYGVPITSTSGSPGSYPHVQMDKSAMQQMSARNNSFPGSQYAAFSDQVSMQDGSLVSRQDFQGRSTFGPTAAEGLNSGFNLENLNQVNPQQRNEPMEEFQGRQQLVGLSEPSQEKAVIQVAPSQSVATLDPTEEKILFGSDDNLWEAFGRSTNVGMGGPNVLDGTDIFGGLPSVQSGTWSALMQSAVAETSSADIGLQEEWPLSFRNQEPPTGNQQPSSVGNTSKQQSGWASNNLHSSSDLNYRPFPHSADVHRPNTSSTFSSVQGFQQSGPKTLHERGEVFRNDSSQRFIQQNPEQGSKWLDRSPVQNLSAEGSHNYGNTSHSSGAEINANSISGSWNRQQSISSHSSDGQPFNMLNGWNFSESMSTDGGNNLKSHGNQVLSRSAPGGDRKRDVHEEMNHAAGTWKTDSNAELEQEKYPIGSPQRNREGSGTNNVAKSNSSTARANQESQKHLANNHDFWKTVDSVNSKGNEVLGKNQHHLDKNPLILESSGNHCLDKGAVEMHDMENLNRNDTFFSNAHHQASVGGLKESVAADAGDSRVFPGSKQKSSSIAGPRPPGTRKFQYHPMGDVDVEVEPSYGRKHVTQSQAMSQKVPRAFRSPDQGSFGQSKFIGHTDRSSMEMEKADTKRLDEKPSKRMLPGFVPSTSTPFDRFTGNNPPNKAAQSSQHMLELLHKVDQPREGGNATHFSSSDHNTSSEMPEVETSDGSVDHLHRNQSSVSQGFGLQLAPPSQRIPFADHASSSQISSQAVFSSSPVHSEIGEKGHTWLGSAASVQSLPSSREASQGEFRNNISGSSGQIGNKASPYNVQGNFSASFNSGFPLSRSQLENQHMAGSSGQVTASQSVNIPFDRLAFRPKQMDDSCEKAQTSQSALPPVPDMPGSTSQNNHASAEASHLNIADQSHSRVVAPKIPKSDAVPVSEPCVTSGMPHQGAFSKDLTNVWTSVPFQQPLVSAEPSNVASHLFKSQLQTNNNVVTTFPGSPKLNEQDTRERGNGMSAFGAYSSSMQSIAVKEQPPKQSTGQQVSTENIQGAQKINLSQGKESFTNNFFEASVSSSVATQRDIEAFGRSLRPNNSLHQSYSLLDQVQAMKSTEVDGNDRSVKRLKGPDSGVETQQVDAQGGSQLSYGYNNVERNSSADNMSVPAGDSNMLSFSSKLGDTRNSNASCQDTFTFSRKDSQNFSSSSNASFFRGEQSHVSPQMAPSWFDQYGTFKNGQIFPMHDTLRTTMKSLEKHSVTGKPGDDTHTRESMEQASATSDASKLVTIPQSSVPVPIPSEQLPSPPAARSDVTDESLIVARPKKRKSATSELSPWHKELTKLSQRLLNISAAETDWAQSTNRLVEKVEDETEIIEDRLPMLRPKRRLVLTTQLMQQLLRPPSAAVLFADASLCYESVAYFVSRLALGDACSAISCSGSGSQTPLPPDSVDLLPEKPKTPEKIGHQYFSKVAEDFVDKARKLENDLLRLDKRTSILDVRVESQDLEKFSVINRFAKFHGRAQGDAAEASPSSDALTNAQKTCPQRYVTALPVPRNLPDRGRTHRGTNGVA >ONI06921 pep chromosome:Prunus_persica_NCBIv2:G5:9985848:9994052:-1 gene:PRUPE_5G089200 transcript:ONI06921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKDPIFLCNTRLSPVPILISLSLSLSLSQFLLRAFSIFSTLLFIALIFLISNHSKNAFFALYLLSASEACRARRSRFVLFKAVFVDGHLSFFINRCSKFTFDMVAFGKKLKERQIREWQGYYINYKLMKKKVKHYGQQIQDGTLDRRHVLKDFSRMLDNQIETIVLFLLEQQGLLASRIAKLGERHDALQEQPDISQISELREAYRQVARDLLKLLIFVDVNATGLRKILKKFDKRFGYRFTNYYVKTRANHPYSQLQQVFKHVGIGAVVGAISRNLHELQDRQGSYLSIYDQAALPLQDPVIDSVRAAVDRLTHSTNFLSFLGQHALIVQEDELPTPVEERVDDQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSLSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFKPLVFSSVVLFAGNTLYAMAYDLNSIWVLLIGRLFCGFGSARAVNRRYISDCVPLRIRMQASAAFVSASALGMACGPALAGLLQTNFKIYKLTFNQDTLPGWVMAIAWLLYLIWLCISFKEPSREDEVIQQESSRTTENDALEKGLKQPLLISSDDKKEDEDGDQDIDESEEAPEETRRPATSIQSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTSKVAIFLFCLGLTVLPVNILVGSYISNMFEDRQILLASEIVVCIGIVMSFHMFNPYSVVQYVSSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITLAGYLGESKLLNVTLFPSLFICVISIIATCFTYNTLY >ONI06925 pep chromosome:Prunus_persica_NCBIv2:G5:9988008:9994052:-1 gene:PRUPE_5G089200 transcript:ONI06925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKDPIFLCNTRLSPVPILISLSLSLSLSQFLLRAFSIFSTLLFIALIFLISNHSKNAFFALYLLSASEACRARRSRFVLFKAVFVDGHLSFFINRCSKFTFDMVAFGKKLKERQIREWQGYYINYKLMKKKVKHYGQQIQDGTLDRRHVLKDFSRMLDNQIETIVLFLLEQQGLLASRIAKLGERHDALQEQPDISQISELREAYRQVARDLLKLLIFVDVNATGLRKILKKFDKRFGYRFTNYYVKTRANHPYSQLQQVFKHVGIGAVVGAISRNLHELQDRQGSYLSIYDQAALPLQDPVIDSVRAAVDRLTHSTNFLSFLGQHALIVQEDELPTPVEERVDDQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSLSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFKPLVFSSVVLFAGNTLYAMAYDLNSIWVLLIGRLFCGFGSARAVNRRYISDCVPLRIRMQASAAFVSASALGMACGPALAGLLQTNFKIYKLTFNQDTLPGWVMAIAWLLYLIWLCISFKEPSREDEVIQQESSRGTTENDALEKGLKQPLLISSDDKKEDEDGDQDIDESEEAPEETRRPATSIQSAYRLLTPSVKVSN >ONI06922 pep chromosome:Prunus_persica_NCBIv2:G5:9986492:9994052:-1 gene:PRUPE_5G089200 transcript:ONI06922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKDPIFLCNTRLSPVPILISLSLSLSLSQFLLRAFSIFSTLLFIALIFLISNHSKNAFFALYLLSASEACRARRSRFVLFKAVFVDGHLSFFINRCSKFTFDMVAFGKKLKERQIREWQGYYINYKLMKKKVKHYGQQIQDGTLDRRHVLKDFSRMLDNQIETIVLFLLEQQGLLASRIAKLGERHDALQEQPDISQISELREAYRQVARDLLKLLIFVDVNATGLRKILKKFDKRFGYRFTNYYVKTRANHPYSQLQQVFKHVGIGAVVGAISRNLHELQDRQGSYLSIYDQAALPLQDPVIDSVRAAVDRLTHSTNFLSFLGQHALIVQEDELPTPVEERVDDQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSLSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFKPLVFSSVVLFAGNTLYAMAYDLNSIWVLLIGRLFCGFGSARAVNRRYISDCVPLRIRMQASAAFVSASALGMACGPALAGLLQTNFKIYKLTFNQDTLPGWVMAIAWLLYLIWLCISFKEPSREDEVIQQESSRGTTENDALEKGLKQPLLISSDDKKEDEDGDQDIDESEEAPEETRRPATSIQSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTSKVAIFLFCLGLTVLPVNILVGSYISNMFEDRQILLASEIVVCIGIVMSFHMFNPYSVVQYVSSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITLAGYLGESKLLNVTLFPSLFICVISIIATCFTYNTLY >ONI06923 pep chromosome:Prunus_persica_NCBIv2:G5:9986136:9994052:-1 gene:PRUPE_5G089200 transcript:ONI06923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIREWQGYYINYKLMKKKVKHYGQQIQDGTLDRRHVLKDFSRMLDNQIETIVLFLLEQQGLLASRIAKLGERHDALQEQPDISQISELREAYRQVARDLLKLLIFVDVNATGLRKILKKFDKRFGYRFTNYYVKTRANHPYSQLQQVFKHVGIGAVVGAISRNLHELQDRQGSYLSIYDQAALPLQDPVIDSVRAAVDRLTHSTNFLSFLGQHALIVQEDELPTPVEERVDDQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSLSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFKPLVFSSVVLFAGNTLYAMAYDLNSIWVLLIGRLFCGFGSARAVNRRYISDCVPLRIRMQASAAFVSASALGMACGPALAGLLQTNFKIYKLTFNQDTLPGWVMAIAWLLYLIWLCISFKEPSREDEVIQQESSRTTENDALEKGLKQPLLISSDDKKEDEDGDQDIDESEEAPEETRRPATSIQSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTSKVAIFLFCLGLTVLPVNILVGSYISNMFEDRQILLASEIVVCIGIVMSFHMFNPYSVVQYVSSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITLAGYLGESKLLNVTLFPSLFICVISIIATCFTYNTLY >ONI06924 pep chromosome:Prunus_persica_NCBIv2:G5:9986492:9992586:-1 gene:PRUPE_5G089200 transcript:ONI06924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIREWQGYYINYKLMKKKVKHYGQQIQDGTLDRRHVLKDFSRMLDNQIETIVLFLLEQQGLLASRIAKLGERHDALQEQPDISQISELREAYRQVARDLLKLLIFVDVNATGLRKILKKFDKRFGYRFTNYYVKTRANHPYSQLQQVFKHVGIGAVVGAISRNLHELQDRQGSYLSIYDQAALPLQDPVIDSVRAAVDRLTHSTNFLSFLGQHALIVQEDELPTPVEERVDDQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSLSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFKPLVFSSVVLFAGNTLYAMAYDLNSIWVLLIGRLFCGFGSARAVNRRYISDCVPLRIRMQASAAFVSASALGMACGPALAGLLQTNFKIYKLTFNQDTLPGWVMAIAWLLYLIWLCISFKEPSREDEVIQQESSRGTTENDALEKGLKQPLLISSDDKKEDEDGDQDIDESEEAPEETRRPATSIQSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTSKVAIFLFCLGLTVLPVNILVGSYISNMFEDRQILLASEIVVCIGIVMSFHMFNPYSVVQYVSSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADATITLAGYLGESKLLNVTLFPSLFICVISIIATCFTYNTLY >ONI05582 pep chromosome:Prunus_persica_NCBIv2:G5:1502567:1508576:-1 gene:PRUPE_5G013900 transcript:ONI05582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQINGARDVSSFSPLEINGRQEHAILIDELDSVDKQRLFLGGERQLYPNSLSSREMESLTALCDTFLPSIDVSDTTTNASLVAFYRTSASMAGTPQRVGGLISERMKHPKLWLMRLALWFLSTWIGSFILCGRASLSSQFPYFQSFPQMSQQKREEILLSWSLSYFYLLRMLFKSMKLLTLLVFFTQVNEKNENLSWKAIDYTGPDPEFITKTKKFKASTTIGSQRPNNEEQNACPHKEELFGPLNRGIINLDKPKDLVVDALSTFGIPASVLHSEKTVNCSSNPSLTIRCDAVVIGSGSGGGVVAGVLATAGYKVVVIEKGNYHARKNLTLLEGPAMDQMYLSGGLIATDDMGVFVLAGSTVGGGSAINWSASIRTPQHVIKEWCNDHELELFDSKLYQEALDVVCEKMGVQSQIQEEGFNNAILRKGCQELGYPVNNIPRNSPPNHYCGWCCFGCKDGRKGGTTETWLVDLVNSGNGAILTGCEAIKVLHKRKKGRRDRNTATGVAFEFEHGGGKEIGFVESKVTIVACGALCTPKLLKRSGLKNVNIGENLHLHPVAMAWGYFPDSQVFDGRPEREKKSYEGGIMTAMSTVVAEFNKSGYGAVIQTPALHPGMFSVVMPWVSGKDIKHRMSRFSRTAHVFALARDKGSGTVNAPPYSISYEMEALDENNLKIGLEKSLRILAAAGAEDIGTHHFKGKGLHVKKSNLDEFERFVKEESSRPLRELSSAICSAHQMGSCRMGVDSKQSVLNQMGETWEVEGLFVADTSVFPTALGVNPMVTVQAIAYCTSQSILQVLRRKKVEYKN >ONI08171 pep chromosome:Prunus_persica_NCBIv2:G5:14188223:14190502:-1 gene:PRUPE_5G161300 transcript:ONI08171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQLKEELIMNSFKTIDGRGASVHIAGGPCITVQFVTNIIIHGLHIHDCKPGGNAMVRSSPEHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCKDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTEDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFAAPDIRFSKEVTKHEDAPESEWRNWNWRSEGDLMINGAFFTASGAGASSSYARASSLGAKPSSLVGSITTASGALSCRKGSRC >ONI08169 pep chromosome:Prunus_persica_NCBIv2:G5:14188188:14190502:-1 gene:PRUPE_5G161300 transcript:ONI08169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSLGPSPLSLLSFLLFCLLTPTLIASRPLQQNPELVVQDVQRSINDSVSRRNLGYLSCGTGNPIDDCWRCDPNWEQNRQRLADCAIGFGKNAIGGRDGKIYVVTDSGDNDPVNPKPGTLRHAVIQDEPLWIIFQRDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITVQFVTNIIIHGLHIHDCKPGGNAMVRSSPEHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCKDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTEDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFAAPDIRFSKEVTKHEDAPESEWRNWNWRSEGDLMINGAFFTASGAGASSSYARASSLGAKPSSLVGSITTASGALSCRKGSRC >ONI08172 pep chromosome:Prunus_persica_NCBIv2:G5:14189100:14190418:-1 gene:PRUPE_5G161300 transcript:ONI08172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSLGPSPLSLLSFLLFCLLTPTLIASRPLQQNPELVVQDVQRSINDSVSRRNLGYLSCGTGNPIDDCWRCDPNWEQNRQRLADCAIGFGKNAIGGRDGKIYVVTDSGDNDPVNPKPGTLRHAVIQDEPLWIIFQRDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITVQFVTNIIIHGLHIHDCKPGGNAMVRSSPEHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCKDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTEDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFAAPDIRFSKEV >ONI08170 pep chromosome:Prunus_persica_NCBIv2:G5:14188709:14190133:-1 gene:PRUPE_5G161300 transcript:ONI08170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFPCWGCRSINDSVSRRNLGYLSCGTGNPIDDCWRCDPNWEQNRQRLADCAIGFGKNAIGGRDGKIYVVTDSGDNDPVNPKPGTLRHAVIQDEPLWIIFQRDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITVQFVTNIIIHGLHIHDCKPGGNAMVRSSPEHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCKDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTEDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFAAPDIRFSKEVTKHEDAPESEWRNWNWRSEGDLMINGAFFTASGAGASSSYARASSLGAKPSSLVGSITTASGALSCRKGSRC >ONI08168 pep chromosome:Prunus_persica_NCBIv2:G5:14188223:14190502:-1 gene:PRUPE_5G161300 transcript:ONI08168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSLGPSPLSLLSFLLFCLLTPTLIASRPLQQNPELVVQDVQRSINDSVSRRNLGYLSCGTGNPIDDCWRCDPNWEQNRQRLADCAIGFGKNAIGGRDGKIYVVTDSGDNDPVNPKPGTLRHAVIQDEPLWIIFQRDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITVQFVTNIIIHGLHIHDCKPGGNAMVRSSPEHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCKDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTEDKNMQVTIAFNHFGEGLVQRMPRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFAAPDIRFSKEVTKHEDAPESEWRNWNWRSEGDLMINGAFFTASGAGASSSYARASSLGAKPSSLVGSITTASGALSCRKGSRC >ONI08349 pep chromosome:Prunus_persica_NCBIv2:G5:14739999:14740580:-1 gene:PRUPE_5G173000 transcript:ONI08349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVALARSFRARPTLPETTGPLQFRCFQPDFAPRDPDAKPKKYKYPAFYDPYGPRPPPSDKIIQLAERIAALAPEERCQLGPTLGERLRLPKLQPISTEGLDLGSQGGPAGASKAEEKKVEKTAFDVKLEKFDTAAKIKVIKEVRTFTSLGLKEAKDLVEKVPCVLKQGVTKEEANDIIEKIKAAGGVAVME >ONI08350 pep chromosome:Prunus_persica_NCBIv2:G5:14739711:14741528:-1 gene:PRUPE_5G173000 transcript:ONI08350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVALARSFRARPTLPETTGPLQFRCFQPDFAPRDPDAKPKKYKYPAFYDPYGPRPPPSDKIIQLAERIAALAPEERCQLGPTLGERLRLPKLQPISTEGLDLGSQGGPAGASKAEEKKVEKTAFDVKLEKFDTAAKIKVIKEVRTFTSLGLKEAKDLVEKVPCVLKQGVTKEEANDIIEKIKAAGGVAVME >ONI08348 pep chromosome:Prunus_persica_NCBIv2:G5:14739701:14741528:-1 gene:PRUPE_5G173000 transcript:ONI08348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVALARSFRARPTLPETTGPLQFRCFQPDFAPRDPDAKPKKYKYPAFYDPYGPRPPPSDKIIQLAERIAALAPEERCQLGPTLGERLRLPKLQPISTEGLDLGSQGGPAGASKAEEKKVEKTAFDVKLEKFDTAAKIKVIKEVRTFTSLGLKEAKDLVEKVPCVLKQGVTKEEANDIIEKIKAAGGVAVME >ONI08388 pep chromosome:Prunus_persica_NCBIv2:G5:14876803:14881727:-1 gene:PRUPE_5G174800 transcript:ONI08388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANGWGVGQAIPVPQFGPNCCSSFLANKSKFNSTNKNLSFVLNWGCSAQTKSSPSPSSSLSRNRKSSNLCCRCSESNSYIDDRIGSSLEWDWNRWSRHFSEIEQAESFASVLKFQLEDAIEKEDFQEAAKLKMCIAEATSKDTVAEIMSQLKNAIEEERYHDASRLCKYSGSGLVGWWVGYPKDSDDPFGRLIRITPGVGRFVGKSYSPRQLVTASPGSPLFEIFVVKNADGTHVMQVVYLHQPKANSTISAVTPSKPEKGSSTSEVENATLVDVQKTEGKAESSEEKGINIEGATEEGIKSVINFLKKKIPGLKVKVMDVDVAKEVIEDDNSVNQLMQENSENSDSDDSSEDEVDNMDEIQPDEVTLGEGSDTTQDEKDLDMKLFIGGVVHNDDDAPIKDEYVRRPAEIKDVERDSFVLHIPGRSLDNDTRESKASKVKVAALAAKSVSELMPSDVAKAFWNSDKVSPKVTRNMREIVKLAVSQAQKRSRLSEHTTFNRINTSSGDLDPFDGLYVGAFGPYGTEVVQLRRKYGHWNGSEGKDSSADVEFFEYVEAVKLTGDLNVPAGQVTFRAKIGKGNRLSNRGMYPDELGVVASYKGQGRIAEFGFRNPQWVEGELLQLNAKGIGPYVKGADLGFLYIVPEQSFLVLFNRLKLPE >ONI08700 pep chromosome:Prunus_persica_NCBIv2:G5:15841791:15843588:-1 gene:PRUPE_5G195200 transcript:ONI08700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGLALVGFLLLSLSSSAYGSDGGWVNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEIRCASDPKWCLPGSIFVTATNFCPPNNALPNNAGGWCNPPQQHFDLAQPVFQRIAQYRAGIVPVSYRRVPCIKKGGIRFTINGHSYFNLVLITNVGGAGDVHAVSIKGSKTGWQTLSRNWGQNWQSNSYLNGQSLSFKVTTSDGRTVVANNVAPAGWSFGQTFSGVQF >ONI07583 pep chromosome:Prunus_persica_NCBIv2:G5:12501214:12506914:-1 gene:PRUPE_5G128900 transcript:ONI07583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMGANSRSHSANPSDYKLLEEVGYGASATVYRAIYLPFNEVVAVKCLDLDRCGSNFEDIRREAQTMSLIDHPNVVKAYCSFVVERNLWVIMPFMAEGSCLHLMKIAYPDGFEESAIGSILKETLKALVYLHKQGHIHRDVKAGNILLDTNGVVKLADFGVSACLFDAADRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELSIKKLFTDLPPLWNRVKALQLKDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDVEDLKAQASLVRDDDDIPEMMEEDEKLKSVVSYKEATGCQSSLGKLNENSEPYWTEYKEVNDYEAQQVESLNKKGKVLESNILESGGQQKTAWKKNESSSEATASTSEKDMVQAKNKNLSVKSRQTQSGPLTPGAVLSHSVSERARIFERSENENQPAIDKAKCEVRRTPSFSGPLMLPNRASANSLSAPIKSSGGFRDSLDDKSKANLVQIKGRFSVTSENLDLVKDIPSSTIPRRSSQGSPLRKSASVGDWVFESRQMPTTPSAKELNNSNIPASLLLPHLQNLFQQTSIQQDIIMNLLSTLQPAEAVEGTQNGKLPPLPRSSETNGSVEAAVSERERLLLLKVSELQARMNNLSDELTAEKTRHIQLQQQLNAVSGQEENGDGR >ONI07582 pep chromosome:Prunus_persica_NCBIv2:G5:12500667:12507178:-1 gene:PRUPE_5G128900 transcript:ONI07582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMGANSRSHSANPSDYKLLEEVGYGASATVYRAIYLPFNEVVAVKCLDLDRCGSNFEDIRREAQTMSLIDHPNVVKAYCSFVVERNLWVIMPFMAEGSCLHLMKIAYPDGFEESAIGSILKETLKALVYLHKQGHIHRDVKAGNILLDTNGVVKLADFGVSACLFDAADRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELSIKKLFTDLPPLWNRVKALQLKDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDVEDLKAQASLVRDDDDIPEMMEEDEKLKSVVSYKEATGCQSSLGKLNENSEPYWTEYKEVNDYEAQQVESLNKKGKVLESNILESGGQQKTAWKKNESSSEATASTSEKDMVQAKNKNLSVKSRQTQSGPLTPGAVLSHSVSERARIFERSENENQPAIDKAKCEVRRTPSFSGPLMLPNRASANSLSAPIKSSGGFRDSLDDKSKANLVQIKGRFSVTSENLDLVKGSPLRKSASVGDWVFESRQMPTTPSAKELNNSNIPASLLLPHLQNLFQQTSIQQDIIMNLLSTLQPAEAVEGTQNGKLPPLPRSSETNGSVEAAVSERERLLLLKVSELQARMNNLSDELTAEKTRHIQLQQQLNAVSGQEENGDGR >ONI07584 pep chromosome:Prunus_persica_NCBIv2:G5:12500667:12507178:-1 gene:PRUPE_5G128900 transcript:ONI07584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMGANSRSHSANPSDYKLLEEVGYGASATVYRAIYLPFNEVVAVKCLDLDRCGSNFEDIRREAQTMSLIDHPNVVKAYCSFVVERNLWVIMPFMAEGSCLHLMKIAYPDGFEESAIGSILKETLKALVYLHKQGHIHRDVKAGNILLDTNGVVKLADFGVSACLFDAADRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELSIKKLFTDLPPLWNRVKALQLKDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDVEDLKAQASLVRDDDDIPEMMEEDEKLKSVVSYKQEATGCQSSLGKLNENSEPYWTEYKEVNDYEAQQVESLNKKGKVLESNILESGGQQKTAWKKNESSSEATASTSEKDMVQAKNKNLSVKSRQTQSGPLTPGAVLSHSVSERARIFERSENENQPAIDKAKCEVRRTPSFSGPLMLPNRASANSLSAPIKSSGGFRDSLDDKSKANLVQIKGRFSVTSENLDLVKGSPLRKSASVGDWVFESRQMPTTPSAKELNNSNIPASLLLPHLQNLFQQTSIQQDIIMNLLSTLQPAEAVEGTQNGKLPPLPRSSETNGSVEAAVSERERLLLLKVSELQARMNNLSDELTAEKTRHIQLQQQLNAVSGQEENGDGR >ONI07585 pep chromosome:Prunus_persica_NCBIv2:G5:12501214:12506914:-1 gene:PRUPE_5G128900 transcript:ONI07585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMGANSRSHSANPSDYKLLEEVGYGASATVYRAIYLPFNEVVAVKCLDLDRCGSNFEDIRREAQTMSLIDHPNVVKAYCSFVVERNLWVIMPFMAEGSCLHLMKIAYPDGFEESAIGSILKETLKALVYLHKQGHIHRDVKAGNILLDTNGVVKLADFGVSACLFDAADRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELSIKKLFTDLPPLWNRVKALQLKDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDVEDLKAQASLVRDDDDIPEMMEEDEKLKSVVSYKQEATGCQSSLGKLNENSEPYWTEYKEVNDYEAQQVESLNKKGKVLESNILESGGQQKTAWKKNESSSEATASTSEKDMVQAKNKNLSVKSRQTQSGPLTPGAVLSHSVSERARIFERSENENQPAIDKAKCEVRRTPSFSGPLMLPNRASANSLSAPIKSSGGFRDSLDDKSKANLVQIKGRFSVTSENLDLVKDIPSSTIPRRSSQGSPLRKSASVGDWVFESRQMPTTPSAKELNNSNIPASLLLPHLQNLFQQTSIQQDIIMNLLSTLQPAEAVEGTQNGKLPPLPRSSETNGSVEAAVSERERLLLLKVSELQARMNNLSDELTAEKTRHIQLQQQLNAVSGQEENGDGR >ONI07646 pep chromosome:Prunus_persica_NCBIv2:G5:12757425:12759464:1 gene:PRUPE_5G133100 transcript:ONI07646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMFSSFDALCAEFLGQKVKASFPTQQQQKSTGAVVKNKASVSDNLNNREEASLPQQAQPVKKQQSQKAPPRFALELDGLNCFETLVYR >ONI08242 pep chromosome:Prunus_persica_NCBIv2:G5:14414273:14418596:1 gene:PRUPE_5G166600 transcript:ONI08242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYDNRYTDVNSYRERRSDLMGPAPAVAPPAGPAYGRGGGAAPYAGPTPPVAPYSGRVAGPVTGPGDFNGYPTFQPPSGRFNIGRGGGNGSFGGRASNGHIVGGRGRGGGGRFGGGRDFDGGRGGGGRSSGFSRGGSAGFSGGRGFGGRGGGRHSGSSRGDLDNIVLPKQDFGSLVPFEKNFYVESSSVRAMSEQEVMVYRNRREITVEGHDIPKPIQMFEDANFPDYCLDAIAKLGFVEPTPIQAQGWPMALKGRDLVGIAETGSGKTLSYLLPALVHISAQPRLVPGEGPIVLVLAPTRELAVQIQEESSKFGSRSNIRSTCIYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLEAQHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQTRPDRQTLYWSATWPREVETLARQFLRNPYKVIIGSASLKANQSIKQVVEVVSDGEKYNRLIKLLKEAIVGSRILIFVETKKGCDQVTKQLRMDGWPALSIHGDKNQAERDWVLAEFKSGRNPIMTATDVAARGLGRITVC >ONI08240 pep chromosome:Prunus_persica_NCBIv2:G5:14414272:14418558:1 gene:PRUPE_5G166600 transcript:ONI08240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYDNRYTDVNSYRERRSDLMGPAPAVAPPAGPAYGRGGGAAPYAGPTPPVAPYSGRVAGPVTGPGDFNGYPTFQPPSGRFNIGRGGGNGSFGGRASNGHIVGGRGRGGGGRFGGGRDFDGGRGGGGRSSGFSRGGSAGFSGGRGFGGRGGGRHSGSSRGDLDNIVLPKQDFGSLVPFEKNFYVESSSVRAMSEQEVMVYRNRREITVEGHDIPKPIQMFEDANFPDYCLDAIAKLGFVEPTPIQAQGWPMALKGRDLVGIAETGSGKTLSYLLPALVHISAQPRLVPGEGPIVLVLAPTRELAVQIQEESSKFGSRSNIRSTCIYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLEAQHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQTRPDRQTLYWSATWPREVETLARQFLRNPYKVIIGSASLKANQSIKQVVEVVSDGEKYNRLIKLLKEAIVGSRILIFVETKKGCDQVTKQLRMDGWPALSIHGDKNQAERDWVLAEFKSGRNPIMTATDVAARGLDVKDIKCVINYDFPSSLEDYVHRIGRTGRAGATGTALTFFTHANAKFARELIKILQEAGQVVSPALAAMSRSSGSFGGSGGNFRNRGRGGGFGNRISGSNTVPIGYKRPW >ONI08241 pep chromosome:Prunus_persica_NCBIv2:G5:14414273:14418596:1 gene:PRUPE_5G166600 transcript:ONI08241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYDNRYTDVNSYRERRSDLMGPAPAVAPPAGPAYGRGGGAAPYAGPTPPVAPYSGRVAGPVTGPGDFNGYPTFQPPSGRFNIGRGGGNGSFGGRASNGHIVGGRGRGGGGRFGGGRDFDGGRGGGGRSSGFSRGGSAGFSGGRGFGGRGGGRHSGSSRGDLDNIVLPKQDFGSLVPFEKNFYVESSSVRAMSEQEVMVYRNRREITVEGHDIPKPIQMFEDANFPDYCLDAIAKLGFVEPTPIQAQGWPMALKGRDLVGIAETGSGKTLSYLLPALVHISAQPRLVPGEGPIVLVLAPTRELAVQIQEESSKFGSRSNIRSTCIYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLEAQHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQTRPDRQTLYWSATWPREVETLARQFLRNPYKVIIGSASLKANQSIKQVVEVVSDGEKYNRLIKLLKEAIVGSRILIFVETKKGCDQVTKQLRMDGWPALSIHGDKNQAERDWVLAEFKSGRNPIMTATDVAARGLGRITVC >ONI05930 pep chromosome:Prunus_persica_NCBIv2:G5:3461224:3462732:-1 gene:PRUPE_5G029500 transcript:ONI05930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKQPVKLDDEQIADLREIFRSFDRNNDGSLTQLELGSLLRSLGLKPGPDQLDTLIQKADTNSNGLVEFSEFVALVAPELVSAKSPYTEDQLRQLFRMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIREADTDGDGRIDFQEFAHAITSAAFDNSWS >ONI05928 pep chromosome:Prunus_persica_NCBIv2:G5:3461156:3462801:-1 gene:PRUPE_5G029500 transcript:ONI05928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKQPVKLDDEQIADLREIFRSFDRNNDGSLTQLELGSLLRSLGLKPGPDQLDTLIQKADTNSNGLVEFSEFVALVAPELVSAKSPYTEDQLRQLFRMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIREADTDGDGRIDFQEFAHAITSAAFDNSWS >ONI05929 pep chromosome:Prunus_persica_NCBIv2:G5:3459746:3462801:-1 gene:PRUPE_5G029500 transcript:ONI05929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKQPVKLDDEQIADLREIFRSFDRNNDGSLTQLELGSLLRSLGLKPGPDQLDTLIQKADTNSNGLVEFSEFVALVAPELVSAKSPYTEDQLRQLFRMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIREADTDGDGRIDFQEFAHAITSAAFDNSWS >ONI05931 pep chromosome:Prunus_persica_NCBIv2:G5:3459993:3462772:-1 gene:PRUPE_5G029500 transcript:ONI05931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKQPVKLDDEQIADLREIFRSFDRNNDGSLTQLELGSLLRSLGLKPGPDQLDTLIQKADTNSNGLVEFSEFVALVAPELVSAKSPYTEDQLRQLFRMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIREADTDGDGRIDFQEFAHAITSAAFDNSWS >ONI07768 pep chromosome:Prunus_persica_NCBIv2:G5:13023284:13023979:-1 gene:PRUPE_5G138500 transcript:ONI07768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFFSCCIVFLSVICFCTKICFADSDNLQDTCPTSPSAKQTIFINGFPCKNPNDNIAPDFKTSKLTKAGNTDNFFGSSVNIVTAAELAGLNTLGLSIARTDLRVDGLVALHSHPRASELFFVSKGTVLAGFIDTQNRAFQKILKEGDVFVFPRGLLHFSLNAGNDFATAFSVFNSQNPGVVGISGAMFKNDLDMIYKITKGFLSDHFKNVTFPGSSSLNRQQFRNLNPII >ONI07781 pep chromosome:Prunus_persica_NCBIv2:G5:13063131:13064032:1 gene:PRUPE_5G139300 transcript:ONI07781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDCIGHNPRTLIVCPPPVFAVGGFYIHQTGVLSVRRHLERCVCALRIRSGAFENIIQRVDFFAYVDHVSLKRD >ONI09467 pep chromosome:Prunus_persica_NCBIv2:G5:18107364:18110848:-1 gene:PRUPE_5G240100 transcript:ONI09467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTRKKVQKKCKIRGYTLELDAVDEILSFESEFNNDSALDDPVDILLATQPIKDKETVHRIATRILGADAAINETTDGDGDDVIRASDLLIIDAFKVPKFRYDPIKKVFYKHTGSLPIHGFLLISQMLSRHKNFSNPAFDSELSDFGRCEISPIQSLIGQTGRRWVMGLISQLEDGHFYLEDLSASDMAMPELIKARVEVQSNQKHEIIIFLDNIYKITAGFFVENTIVVAEGEMLLEGVFQVFNCGFPSLEDRDKSLQFLAGHDSFGSGTLTEQETLRLAKLEREAVNGNVVILSDIWLDNEEPMGKLERVLDAFENEDFVPCLFVLMGNFCSHPCNLGFHSFSNLINRQMIAAHPRLKEGSCFLFIPGPDDAEELQNHIPNAIFSSNPCRMKFCSQGLVFFRQDLLCRMRCSCLMPPSTEETTDDFEHSLYLYPTPHTIVLGDRSEQKAFKYTGITCFNPGSFSSDGAFVVYRPDNEEVELSSL >ONI08549 pep chromosome:Prunus_persica_NCBIv2:G5:15339251:15343931:-1 gene:PRUPE_5G184700 transcript:ONI08549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKHISNLSSSARSFFLNGPRCSATEGSSCTCSEDETCVSQRQQTRNGGPLAQTPSTMVSKPSAGAGTIITGDAVKVASSHKAESVEHTTNIKQVTTAPRSFGRSATVTYSSSTDAVHSSPLVVDQFARAGVAAVNFLSDIVNGKLPLSDGLGLLNLPQNCMVDPTRPLSSIKPSHVKQIKREHFISVHPKPSTETAAASKHTSNNHGSKGKGEKPSFVKGLNHVPYTRKENSVVAHTASSDTFDKRSMPRKSKGHSNNFIPNYSSNVQTSDAESMGRVTKGFNRPTRDMKMPTGITPINRQFVHTGNVVQNVSHILQQMRWGPAAEAALLNLNCSMDAYQANQILKQLQDHSVALSFFYWLKRQAGFKHDGHTYTTMVGILGRSRQFGAINKLLNQMVKEGCQPNVVTYNRLIHSYGRANYLKEAMNVFNQMQEAGCEPDRVTYCTLIDIHAKAGFLDVALRLYDGMQEAGLSPDTFTYSVMINCLGKAGHLAAAHRLFCEMVNQGCVPNLVTYNIMIALQAKARNYETALKLYRDMQGAGFEPDKVTYSIVMEVLGHCGYLEEAEAIFGEMKRKNWVPDEPVYGLLVDLWGKAGNVGKAWNWYQAMLHAGLRPNVPTCNSLLSAFLRVHQLSDAYNLLQSMMGLGLNPSLQTYTLLLSCCTEARSPYDMDFCCELMAVTGHPAHTFLLSMPSAGPDGQNVREHMSRFLDLMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAAQKNVYPDAIREKSSCYWLINLHVMSDGTAVTALSRTLAWFRQQMLISGICPSRIDIVTGWGRRSRVTGSSLVRQAVEELLNMFSFPFFTENGNSGCFVGCGEPLNKWLLQSYVERMHLL >ONI08551 pep chromosome:Prunus_persica_NCBIv2:G5:15339251:15343934:-1 gene:PRUPE_5G184700 transcript:ONI08551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKHISNLSSSARSFFLNGPRCSATEGSSCTCSEDETCVSQRQQTRNGGPLAQTPSTMVSKPSAGAGTIITGDAVKVASSHKAESVEHTTNIKQVTTAPRSFGRSATVTYSSSTDAVHSSPLVVDQFARAGVAAVNFLSDIVNGKLPLSDGLGLLNLPQNCMVDPTRPLSSIKPSHVKQIKREHFISVHPKPSTETAAASKHTSNNHGSKGKGEKPSFVKGLNHVPYTRKENSVVAHTASSDTFDKRSMPRKSKGHSNNFIPNYSSNVQTSDAESMGRVTKGFNRPTRDMKMPTGITPINRQFVHTGNVVQNVSHILQQMRWGPAAEAALLNLNCSMDAYQANQILKQLQDHSVALSFFYWLKRQAGFKHDGHTYTTMVGILGRSRQFGAINKLLNQMVKEGCQPNVVTYNRLIHSYGRANYLKEAMNVFNQMQEAGCEPDRVTYCTLIDIHAKAGFLDVALRLYDGMQEAGLSPDTFTYSVMINCLGKAGHLAAAHRLFCEMVNQGCVPNLVTYNIMIALQAKARNYETALKLYRDMQGAGFEPDKVTYSIVMEVLGHCGYLEEAEAIFGEMKRKNWVPDEPVYGLLVDLWGKAGNVGKAWNWYQAMLHAGLRPNVPTCNSLLSAFLRVHQLSDAYNLLQSMMGLGLNPSLQTYTLLLSCCTEARSPYDMDFCCELMAVTGHPAHTFLLSMPSAGPDGQNVREHMSRFLDLMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAAQKNVYPDAIREKSSCYWLINLHVMSDGTAVTALSRTLAWFRQQMLISGICPSRIDIVTGWGRRSRVTGSSLVRQAVEELLNMFSFPFFTENGNSGCFVGCGEPLNKWLLQSYVERMHLL >ONI08547 pep chromosome:Prunus_persica_NCBIv2:G5:15339251:15343978:-1 gene:PRUPE_5G184700 transcript:ONI08547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKHISNLSSSARSFFLNGPRCSATEGSSCTCSEDETCVSQRQQTRNGGPLAQTPSTMVSKPSAGAGTIITGDAVKVASSHKAESVEHTTNIKQVTTAPRSFGRSATVTYSSSTDAVHSSPLVVDQFARAGVAAVNFLSDIVNGKLPLSDGLGLLNLPQNCMVDPTRPLSSIKPSHVKQIKREHFISVHPKPSTETAAASKHTSNNHGSKGKGEKPSFVKGLNHVPYTRKENSVVAHTASSDTFDKRSMPRKSKGHSNNFIPNYSSNVQTSDAESMGRVTKGFNRPTRDMKMPTGITPINRQFVHTGNVVQNVSHILQQMRWGPAAEAALLNLNCSMDAYQANQILKQLQDHSVALSFFYWLKRQAGFKHDGHTYTTMVGILGRSRQFGAINKLLNQMVKEGCQPNVVTYNRLIHSYGRANYLKEAMNVFNQMQEAGCEPDRVTYCTLIDIHAKAGFLDVALRLYDGMQEAGLSPDTFTYSVMINCLGKAGHLAAAHRLFCEMVNQGCVPNLVTYNIMIALQAKARNYETALKLYRDMQGAGFEPDKVTYSIVMEVLGHCGYLEEAEAIFGEMKRKNWVPDEPVYGLLVDLWGKAGNVGKAWNWYQAMLHAGLRPNVPTCNSLLSAFLRVHQLSDAYNLLQSMMGLGLNPSLQTYTLLLSCCTEARSPYDMDFCCELMAVTGHPAHTFLLSMPSAGPDGQNVREHMSRFLDLMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAAQKNVYPDAIREKSSCYWLINLHVMSDGTAVTALSRTLAWFRQQMLISGICPSRIDIVTGWGRRSRVTGSSLVRQAVEELLNMFSFPFFTENGNSGCFVGCGEPLNKWLLQSYVERMHLL >ONI08548 pep chromosome:Prunus_persica_NCBIv2:G5:15339251:15343522:-1 gene:PRUPE_5G184700 transcript:ONI08548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKHISNLSSSARSFFLNGPRCSATEGSSCTCSEDETCVSQRQQTRNGGPLAQTPSTMVSKPSAGAGTIITGDAVKVASSHKAESVEHTTNIKQVTTAPRSFGRSATVTYSSSTDAVHSSPLVVDQFARAGVAAVNFLSDIVNGKLPLSDGLGLLNLPQNCMVDPTRPLSSIKPSHVKQIKREHFISVHPKPSTETAAASKHTSNNHGSKGKGEKPSFVKGLNHVPYTRKENSVVAHTASSDTFDKRSMPRKSKGHSNNFIPNYSSNVQTSDAESMGRVTKGFNRPTRDMKMPTGITPINRQFVHTGNVVQNVSHILQQMRWGPAAEAALLNLNCSMDAYQANQILKQLQDHSVALSFFYWLKRQAGFKHDGHTYTTMVGILGRSRQFGAINKLLNQMVKEGCQPNVVTYNRLIHSYGRANYLKEAMNVFNQMQEAGCEPDRVTYCTLIDIHAKAGFLDVALRLYDGMQEAGLSPDTFTYSVMINCLGKAGHLAAAHRLFCEMVNQGCVPNLVTYNIMIALQAKARNYETALKLYRDMQGAGFEPDKVTYSIVMEVLGHCGYLEEAEAIFGEMKRKNWVPDEPVYGLLVDLWGKAGNVGKAWNWYQAMLHAGLRPNVPTCNSLLSAFLRVHQLSDAYNLLQSMMGLGLNPSLQTYTLLLSCCTEARSPYDMDFCCELMAVTGHPAHTFLLSMPSAGPDGQNVREHMSRFLDLMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAAQKNVYPDAIREKSSCYWLINLHVMSDGTAVTALSRTLAWFRQQMLISGICPSRIDIVTGWGRRSRVTGSSLVRQAVEELLNMFSFPFFTENGNSGCFVGCGEPLNKWLLQSYVERMHLL >ONI08550 pep chromosome:Prunus_persica_NCBIv2:G5:15339251:15344094:-1 gene:PRUPE_5G184700 transcript:ONI08550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKHISNLSSSARSFFLNGPRCSATEGSSCTCSEDETCVSQRQQTRNGGPLAQTPSTMVSKPSAGAGTIITGDAVKVASSHKAESVEHTTNIKQVTTAPRSFGRSATVTYSSSTDAVHSSPLVVDQFARAGVAAVNFLSDIVNGKLPLSDGLGLLNLPQNCMVDPTRPLSSIKPSHVKQIKREHFISVHPKPSTETAAASKHTSNNHGSKGKGEKPSFVKGLNHVPYTRKENSVVAHTASSDTFDKRSMPRKSKGHSNNFIPNYSSNVQTSDAESMGRVTKGFNRPTRDMKMPTGITPINRQFVHTGNVVQNVSHILQQMRWGPAAEAALLNLNCSMDAYQANQILKQLQDHSVALSFFYWLKRQAGFKHDGHTYTTMVGILGRSRQFGAINKLLNQMVKEGCQPNVVTYNRLIHSYGRANYLKEAMNVFNQMQEAGCEPDRVTYCTLIDIHAKAGFLDVALRLYDGMQEAGLSPDTFTYSVMINCLGKAGHLAAAHRLFCEMVNQGCVPNLVTYNIMIALQAKARNYETALKLYRDMQGAGFEPDKVTYSIVMEVLGHCGYLEEAEAIFGEMKRKNWVPDEPVYGLLVDLWGKAGNVGKAWNWYQAMLHAGLRPNVPTCNSLLSAFLRVHQLSDAYNLLQSMMGLGLNPSLQTYTLLLSCCTEARSPYDMDFCCELMAVTGHPAHTFLLSMPSAGPDGQNVREHMSRFLDLMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAAQKNVYPDAIREKSSCYWLINLHVMSDGTAVTALSRTLAWFRQQMLISGICPSRIDIVTGWGRRSRVTGSSLVRQAVEELLNMFSFPFFTENGNSGCFVGCGEPLNKWLLQSYVERMHLL >ONI06683 pep chromosome:Prunus_persica_NCBIv2:G5:8848537:8851595:-1 gene:PRUPE_5G074200 transcript:ONI06683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPGLDFKIHEAAQSSLKHAHHLFGCVSENKQKRSVQEVSLIAQDAVEEFRKLLALLDGSLLSNQKRIRRGPLPKSHDINQVELLDSPNPSSQNTTHNWPQPHPQPQPQNCFVRQFFSAVHQTDQATANVIHSNSFSMGREKKPNPALQQGQSEAGVVLPNNLIMGLNQFSQKPTSTSLISMDGSSLNTRMIQYSSSELLASRDCTSMFSSKRKCGAKSEEVTPRCLVSAGGCHCSKRRKLRIKRRIRVPALSNKLADIPPDDYTWRKYGQKPIKGSPYPRSYYKCSSVRGCPARKHVERCLEDPTMLVVTYEGDHQHPKITFQAPTLAI >ONI09083 pep chromosome:Prunus_persica_NCBIv2:G5:16996343:16999570:1 gene:PRUPE_5G216900 transcript:ONI09083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLCLARLISSFICRAIQTSQNICPSIFYFQNFRRPRHSLCPAQLGIFSIAEALSSGTMSQPSTAAKLTSGQDHVASRQDNLLGKISEMEKSMGKISQMEKSLGKIWEMNKSLGKILEMEKSLGKIPEMEESLGQLFDLTKSITEELCLLLPIPHVTTAIPISTVIDGSPNHEAVKLYPSLPVDWEHASTRSVYLLVSSYGREYSNAIYEVKFKHGAGVTHEPPVVGLVAKFCEGICIQAARNFNRSKLYILMQEGGYIIDTNNNRKRWNRVQVDTSIRYAPFHGRAVVVGKTICALHGDEFIGFSFSMDKGNDDIIAYSLRKMFVLQGLEIASPPWPFCDYKTEYLVHLGNLDFFHVKTGCCDVNIEVQFLCITTFQVVVGDGGRDMIKTINSTVHSVDIKDLDWFWLIFCFTPDFGDYEPISDKQDCTMDENCFLVGGNYTTMAKKEEAKQGIAARKLEEIAARQLEIRNYKKICPSNMRIQKPRRATT >ONI09082 pep chromosome:Prunus_persica_NCBIv2:G5:16996343:16999570:1 gene:PRUPE_5G216900 transcript:ONI09082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLCLARLISSFICRAIQTSQNICPSIFYFQNFRRPRHSLCPAQLGIFSIAEALSSGTMSQPSTAAKLTSGQDHVASRQDNLLGKISEMEKSMGKISQMEKSLGKIWEMNKSLGKILEMEKSLGKIPEMEESLGQLFDLTKSITEELCLLLPIPHVTTAIPISTVIDGSPNHEAVKLYPSLPVDWEHASTRSVYLLVSSYGREYSNAIYEVKFKHGAGVTHEPPVVGLVAKFCEGICIQAARNFNRSKLYILMQEGGYIIDTNNNRLTHSSIPPTLAPKSIATVVSAYDKIYCVASPSCFPLSSEPSFERYDPDRNIWEEMPSFPFYRYYDTYMDITGYAVCYGVILFSLCGWKENNFDVVAFHESRKRWNRVQVDTSIRYAPFHGRAVVVGKTICALHGDEFIGFSFSMDKGNDDIIAYSLRKMFVLQGLEIASPPWPFCDYKTEYLVHLGNLDFFHVKTGCCDVNIEVQFLCITTFQVVVGDGGRDMIKTINSTVHSVDIKDLDWFWLIFCFTPDFGDYEPISDKQDCTMDENCFLVGGNYTTMAKKEEAKQGIAARKLEEIAARQLEIRNYKKICPSNMRIQKPRRATT >ONI09081 pep chromosome:Prunus_persica_NCBIv2:G5:16996343:16999570:1 gene:PRUPE_5G216900 transcript:ONI09081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLCLARLISSFICRAIQTSQNICPSIFYFQNFRRPRHSLCPAQLGIFSIAEALSSGTMSQPSTAAKLTSGQDHVASRQDNLLGKISEMEKSMGKISQMEKSLGKIWEMNKSLGKILEMEKSLGKIPEMEESLGQLFDLTKSITEELCLLLPIPHVTTAIPISTVIDGSPNHEAVKLYPSSLPVDWEHASTRSVYLLVSSYGREYSNAIYEVKFKHGAGVTHEPPVVGLVAKFCEGICIQAARNFNRSKLYILMQEGGYIIDTNNNRLTHSSIPPTLAPKSIATVVSAYDKIYCVASPSCFPLSSEPSFERYDPDRNIWEEMPSFPFYRYYDTYMDITGYAVCYGVILFSLCGWKENNFDVVAFHESRKRWNRVQVDTSIRYAPFHGRAVVVGKTICALHGDEFIGFSFSMDKGNDDIIAYSLRKMFVLQGLEIASPPWPFCDYKTEYLVHLGNLDFFHVKTGCCDVNIEVQFLCITTFQVVVGDGGRDMIKTINSTVHSVDIKDLDWFWLIFCFTPDFGDYEPISDKQDCTMDENCFLVGGNYTTMAKKEEAKQGIAARKLEEIAARQLEIRNYKKICPSNMRIQKPRRATT >ONI06548 pep chromosome:Prunus_persica_NCBIv2:G5:8149458:8150143:-1 gene:PRUPE_5G067200 transcript:ONI06548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGYFSAWKSAGSLHGLQHVVEEAMEMKIVATLPAIPQPQTPQEPMEFLSRSWSLSASEISRALAQKQKQILLEKSPDKFPEATVVPQLTGKIIKSVNTRRTKSMGKWFHHKEFTSSTVKKKDKERVERARVHYAVSIAGVVAALAAVTDCSRKL >ONI07881 pep chromosome:Prunus_persica_NCBIv2:G5:13338447:13340200:-1 gene:PRUPE_5G144900 transcript:ONI07881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIEAKIQQNPPQKTQNVFLGTQIFMRTFTMTATLAATWVTVTNKQTAQIVGIEFDARYNYSPAFTFFAYANAVVSAFSLLSLFLVFLFHRKGSNPANYFILFLHDLMMLCLLLAGCSAATAIGYVGKYGNSHSGWAPICDHFGKFCKRGTNSMVLSYLSVIFLLMLTISSATKSRQILV >ONI06041 pep chromosome:Prunus_persica_NCBIv2:G5:4111681:4114644:-1 gene:PRUPE_5G036300 transcript:ONI06041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLDNSGKTTIVLRINGEDTSVVSPTLGFNIKTITYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGSSLILANKQDIKGALTPEEIAKVLNLEAMDKTRHYEIVRCSAYTGDGLLEGFDWLVQDIASRRSMCWTNAYLEYFIFGCVLSDFSLRWRVILVFMDS >ONI06039 pep chromosome:Prunus_persica_NCBIv2:G5:4111715:4116166:-1 gene:PRUPE_5G036300 transcript:ONI06039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLDNSGKTTIVLRINGEDTSVVSPTLGFNIKTITYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGSSLILANKQDIKGALTPEEIAKVLNLEAMDKTRHYEIVRCSAYTGDGLLEGFDWLVQDIASRRSMCWTNAYLEYFIFGCVLSDFSLRWRVILVFMDS >ONI06042 pep chromosome:Prunus_persica_NCBIv2:G5:4111695:4116199:-1 gene:PRUPE_5G036300 transcript:ONI06042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLDNSGKTTIVLRINGEDTSVVSPTLGFNIKTITYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGSSLILANKQDIKGALTPEEIAKVLNLEAMDKTRHYEIVRCSAYTGDGLLEGFDWLVQDIASRRSMCWTNAYLEYFIFGCVLSDFSLRWRVILVFMDS >ONI06038 pep chromosome:Prunus_persica_NCBIv2:G5:4111688:4116199:-1 gene:PRUPE_5G036300 transcript:ONI06038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLDNSGKTTIVLRINGEDTSVVSPTLGFNIKTITYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGSSLILANKQDIKGALTPEEIAKVLNLEAMDKTRHYEIVRCSAYTGDGLLEGFDWLVQDIASRRSMCWTNAYLEYFIFGCVLSDFSLRWRVILVFMDS >ONI06040 pep chromosome:Prunus_persica_NCBIv2:G5:4111681:4116166:-1 gene:PRUPE_5G036300 transcript:ONI06040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLDNSGKTTIVLRINGEDTSVVSPTLGFNIKTITYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGSSLILANKQDIKGALTPEEIAKVLNLEAMDKTRHYEIVRCSAYTGDGLLEGFDWLVQDIASRRSMCWTNAYLEYFIFGCVLSDFSLRWRVILVFMDS >ONI07889 pep chromosome:Prunus_persica_NCBIv2:G5:13358249:13363534:1 gene:PRUPE_5G145300 transcript:ONI07889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLGTEAAGDRRRSVGAGHRRRSIEAASGVEAVRDDRKEAVARQRENHTGDFPAIERRKPFPANQQGWPSWLLAVAGDAIQGWTPRRANTFEKLAKIGQGTYSNVYKARDLVTGKIVALKKVRFDNLEPESVKFMAREINVLRKLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLSGLAASSGVKFTEPQVKCYMKQLLSGLEHCHNHGVLHRDIKGSNLLIDNEGILKIADFGLATFFGPEQKQHMTSRVVTLWYRPPELLLGATFYGVGVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKYKLPNATLFKPQQPYKRCVAETFKDFPASSLPLIESLLSVDSDGRGTATTALNSELFTTEPFACEPSSLPKYPPTKEMDVKLRDEEARRQRGLSGKPNAAEGPRRVKGRERVGRAIPAPEANAEIPGNLDRWRVMTQANAKSKSEKFPPPHQDGAVGYPQDTSHKGPVSFVTTTDASFGSVIFNTKSSTSAKSMGAIGGPSRRRKTNRDDPRIAPSRKLIQAFKPSSIGLSMNLLFNGK >ONI05510 pep chromosome:Prunus_persica_NCBIv2:G5:1087573:1092269:1 gene:PRUPE_5G010800 transcript:ONI05510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCFSPRRKDVSKVEIDNGTRSSGSGKGRGHSDDTESGRGKGKGGNNSQQSVARSFTFRELAAATKGFREVNLIGEGGFGRVYKGRLDAGQVVAIKQLNHDGLQGFQEFIVEVLMLSLLHHTNLVTLIGYCTDGDQRLLVYEYMPRGSLEDHLFDLSPDREPLSWDTRIKIAVGAARGLEYLHCKANPPVIYRDLKSANILLDDQFNPKLSDFGLAKLAPVGDKTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVMLELITGKKAIDCSKRPGEQNLVSWSRPFLKDRRKFVQLVDPLLQGRFPVRCLHHAVAITAMCLQEQPTFRPLIGDIVVALEYLASQTYKPDLRKAGVHSPSSSSPSQQNRNFFSPASDCRTSKTFA >ONI06086 pep chromosome:Prunus_persica_NCBIv2:G5:4387343:4389567:-1 gene:PRUPE_5G039600 transcript:ONI06086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPAPYTPPANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGVSTSTPEQKFNN >ONI06090 pep chromosome:Prunus_persica_NCBIv2:G5:4388106:4389008:-1 gene:PRUPE_5G039600 transcript:ONI06090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPAPYTPPANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEVWTYESNVHL >ONI06088 pep chromosome:Prunus_persica_NCBIv2:G5:4387242:4389632:-1 gene:PRUPE_5G039600 transcript:ONI06088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPAPYTPPANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGVSTSTPEQKFNN >ONI06089 pep chromosome:Prunus_persica_NCBIv2:G5:4387295:4389567:-1 gene:PRUPE_5G039600 transcript:ONI06089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPAPYTPPANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGVSTSTPEQKFNN >ONI06087 pep chromosome:Prunus_persica_NCBIv2:G5:4387267:4389173:-1 gene:PRUPE_5G039600 transcript:ONI06087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPAPYTPPANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGVSTSTPEQKFNN >ONI07675 pep chromosome:Prunus_persica_NCBIv2:G5:12847253:12851416:-1 gene:PRUPE_5G135100 transcript:ONI07675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKVSGKLLTRDIH >ONI07680 pep chromosome:Prunus_persica_NCBIv2:G5:12847253:12851416:-1 gene:PRUPE_5G135100 transcript:ONI07680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKVGCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKVSGKLLTRDIH >ONI07678 pep chromosome:Prunus_persica_NCBIv2:G5:12847603:12851266:-1 gene:PRUPE_5G135100 transcript:ONI07678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQMQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKVSGKLLTRDIH >ONI07685 pep chromosome:Prunus_persica_NCBIv2:G5:12847253:12852014:-1 gene:PRUPE_5G135100 transcript:ONI07685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKVGCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKASY >ONI07684 pep chromosome:Prunus_persica_NCBIv2:G5:12847620:12851266:-1 gene:PRUPE_5G135100 transcript:ONI07684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQMQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKASY >ONI07682 pep chromosome:Prunus_persica_NCBIv2:G5:12847603:12851266:-1 gene:PRUPE_5G135100 transcript:ONI07682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKVGCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQMQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKVSGKLLTRDIH >ONI07681 pep chromosome:Prunus_persica_NCBIv2:G5:12847603:12851266:-1 gene:PRUPE_5G135100 transcript:ONI07681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKVGCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQMQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKVSGKLLTRDIH >ONI07679 pep chromosome:Prunus_persica_NCBIv2:G5:12847603:12851266:-1 gene:PRUPE_5G135100 transcript:ONI07679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKVGCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKVSGKLLTRDIH >ONI07683 pep chromosome:Prunus_persica_NCBIv2:G5:12847253:12852014:-1 gene:PRUPE_5G135100 transcript:ONI07683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKASY >ONI07676 pep chromosome:Prunus_persica_NCBIv2:G5:12847242:12852014:-1 gene:PRUPE_5G135100 transcript:ONI07676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKVSGKLLTRDIH >ONI07686 pep chromosome:Prunus_persica_NCBIv2:G5:12847620:12851266:-1 gene:PRUPE_5G135100 transcript:ONI07686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKVGCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQMQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKASY >ONI07677 pep chromosome:Prunus_persica_NCBIv2:G5:12847603:12851266:-1 gene:PRUPE_5G135100 transcript:ONI07677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVHGKRASSSVVQSRLSPAAQPFSLNPFSHQPSWSSLTSADPCASMLKPVSDINLEDDPFSTAPYSFLEFVEDSHFPQYPSANAASDLGFMPSASKESLTNYTELSSFGHSQASFSSNKNASLAYETLLEQGKPAVKGSKPNHENSESVHEKCSDLTIGTENQFISRSTDQVDAGFFSFSAVNTMATPHEFPMSVTSSTSRLQDYSQAQLPYTAPNVTWSHCNSEIALCDSGFTKLDALTAKSTVFHLPTNNSFPAVLLESDTSTTVSPLNLALSKNVDFKGNYPPNNYDSSSKCSPSGIKDLHDLISSEGKEIHHDGSPNDKGKGGKDGKPLSSEGIGALLKATSEPLITLTNIPDDFSLKHPGPKGAVSISKNLDENDSDLDSPCWKGTLASRQYGVSRSLSSDFVGNEQEVRNSLNPLAPQFFPRHAKAIVDYHANDYVGDDFSSFQKSESSAVNSSSKGHGPVDQAGSKSSSSIKGIGTQTSNDIHDLERVYPLLNNSESGSVLNLPEGLSKLLSTHSKLDVPTILNMMHDLSELLVQKCSNDLDSLNEHKHVMQNIINNLCTYIQHGDGGKVPISDITLTGTPYCPVKSTELHKCSNMGFQVTKKKALAVPQEINYQNDREGRKVNSHVFTERMLDSFPSCSGVGTEKSNDIVQMQVMGNALRDNHLTTEELDPQALVYKKLWLQAEAALCSMKYETCVLCMQLEMGGRKLDKNKVSGKLLTRDIH >ONI05957 pep chromosome:Prunus_persica_NCBIv2:G5:3592997:3598794:-1 gene:PRUPE_5G031400 transcript:ONI05957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNRSGGGREKQDHRPPQDEDDETWTLKPPHNQDQNLDAPSVPCTSQAQSIDEEANRQQEKQEEEEIIAVEASESSKGEDDDVLSRLEELQLGVEEPKLSDEQLRIDDQAQEDELLAMESIYGDNFFIFERQRGCRSFQIHIHIEAPGEIAVTTKLNSSSDLKTRSDGSDEFSYSFKAQHLPPIMLTCLLPKSYPSHLPPYFTISVQWVHSSKISHLCSELDSIWREQPGQEVIYQWVEWLQNSSLSHLGFDKEIILGPYGVRDAGDRRAVTGSVSPDVDIPFIRSYNDDRLHENFHKNLHECCICFSEFAGTEFVRLPCQHFFCWKCMKTYSDMHVKEGTVSKLQCPDAKCGGMIPPGLLKRLLDDEEFERWESLILSKTLEAMSDVVYCPRCETPCIEDEDQHAQCSKCFFSFCTLCKERRHVGIQCMTPEMKLHILQERQNFGQLNDNQRRKEREEINEILSVREILRDAKQCPSCKMAISRTEGCNKMVCKNCGQYFCYRCDKAIEGYDHFRDGACELFPQEAIQNWEAQMNPRQVLGQIQAELFAAHGQLCPNCGQFNAKIGNNNHMFCWACQNHYCYLCKKIVRRSSQHYGRKGCTQHTAG >ONI07747 pep chromosome:Prunus_persica_NCBIv2:G5:12944874:12954052:1 gene:PRUPE_5G137300 transcript:ONI07747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASSSSSSSSSPVRWWRTAFLTVRDETLTTPLRTPIPELLHHFIFSHSHTLLSAAPSLPPQEVTSDLLFVMELITTRPHGIEDMTPTFTHTTHLIHDISHRLPLEINSASWTLILDAFNKMLRVFVSSSTFTPVMEALQTLRRVMSTYQRKCSTADEIQLVKFLLHIIESSHAELSSSSHSIRSQSSVLEAGKRMPLWENQTLAFTMLGETISRVGSSLPVDIWRSTIEVFRKVMDGLAAKSLVEDTAMSRFYLSLLHCLHLTLADRKCSLSDHVSGFVAALRMFFSYGISSRTQLTCPVVGQKEKELSLASLKTRLEDPKKTDRTPYRPPHLRQRDSSNTKQTGARGSQSLSDQESSVLDFASSDSDYSDSDGSIKETENIQKSKVRVAAIVCIQDLCQADSKSFTSQWTLLLPTSDVLQPRKYEATLMTCLLFDPYLKARISSASTLEAMLDGPSSVFLQVAEFKESSKRGSFTALSSSLGHILMQLHTGILYLIQRESHSRLMASLFKILMLLISSTPYSRMPGELLPTVFTSLQERITNGFSFKSDQTGLLASCISCLTTALNISPSSLQVKEMLLIEISNGFAEAKKKSGVLCTLFQFSEQVTNPTICFEALQALRAVSHNYPSIMGSCWKQISAMVYGLLRAATPEVPAGSWKGHTGNFVGFIGEKVITAAIKVLDECLRAISGFKGTEDPLDDKLLDAPFISDCVRMKKVSSAPLYESESSENTRDEPTSSQSGNEQWCEAIEKHMPLVLHHTSAMVRAASVTCFAGITSSVFFSFSKEKQDFIHSNLVRSAVNDAVPSVRSAACRAIGVISCFPQVSQSAEILDKFIHAVEINTRDPLVSVRITASWAVANICDSIRHCIDDFALKQSGGSPEIPKLFTLLTECALRLTKDGDKIKSNAVRALGNLSRSIKYTSDSDRTMDNKGSSLKSTRPEELPSSNYRAGSQQGVSISRHPASLGDSCWLEKVVQAFMSCVTTGNVKVQWNVCHALSNLFLNETLRLQDMDWFSSVFSILLLLLRDSSNFKIRIQAAAALAVPASVLDYGESFSDVIQGLVHILENQGSDHIASPSNFKYRVALEKQLTSTMLHVLILASSSDHEPVKDFLVKKASFLEDWFKALCSSLGETSCQAEVENDKFIENPKKEMIRNAIGSLIQLYNCRKHHAIAQKFDKLVNSIQ >ONI07748 pep chromosome:Prunus_persica_NCBIv2:G5:12944874:12952490:1 gene:PRUPE_5G137300 transcript:ONI07748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASSSSSSSSSPVRWWRTAFLTVRDETLTTPLRTPIPELLHHFIFSHSHTLLSAAPSLPPQEVTSDLLFVMELITTRPHGIEDMTPTFTHTTHLIHDISHRLPLEINSASWTLILDAFNKMLRVFVSSSTFTPVMEALQTLRRVMSTYQRKCSTADEIQLVKFLLHIIESSHAELSSSSHSIRSQSSVLEAGKRMPLWENQTLAFTMLGETISRVGSSLPVDIWRSTIEVFRKVMDGLAAKSLVEDTAMSRFYLSLLHCLHLTLADRKCSLSDHVSGFVAALRMFFSYGISSRTQLTCPVVGQKEKELSLASLKTRLEDPKKTDRTPYRPPHLRQRDSSNTKQTGARGSQSLSDQESSVLDFASSDSDYSDSDGSIKETENIQKSKVRVAAIVCIQDLCQADSKSFTSQWTLLLPTSDVLQPRKYEATLMTCLLFDPYLKARISSASTLEAMLDGPSSVFLQVAEFKESSKRGSFTALSSSLGHILMQLHTGILYLIQRESHSRLMASLFKILMLLISSTPYSRMPGELLPTVFTSLQERITNGFSFKSDQTGLLASCISCLTTALNISPSSLQVKEMLLIEISNGFAEAKKKSGVLCTLFQFSEQVTNPTICFEALQALRAVSHNYPSIMGSCWKQISAMVYGLLRAATPEVPAGSWKGHTGNFVGFIGEKVITAAIKVLDECLRAISGFKGTEDPLDDKLLDAPFISDCVRMKKVSSAPLYESESSENTRDEPTSSQSGNEQWCEAIEKHMPLVLHHTSAMVRAASVTCFAGITSSVFFSFSKEKQDFIHSNLVRSAVNDAVPSVRSAACRAIGVISCFPQVSQSAEILDKFIHAVEINTRDPLVSVRITASWAVANICDSIRHCIDDFALKQSGGSPEIPKLFTLLTECALRLTKDGDKIKSNAVRALGNLSRSIKYTSDSDRTMDNKGSSLKSTRPEELPSSNYRAGSQQGVSISRHPASLGDSCWLEKVVQAFMSCVTTGNVKVQWNVCHALSNLFLNETLRLQDMDWYSETTSYNAICLLI >ONI06505 pep chromosome:Prunus_persica_NCBIv2:G5:7916739:7921630:1 gene:PRUPE_5G065100 transcript:ONI06505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVDHLAHERSKAQFDVDQMKVVWVGSRHALQVSDRIARLVASDPVLRKDDRTMMTRKELFKNTLRKAAHGWKRINELRLSEEEASWFRHYVDQPAYTDLHWGMFVPFIKGSGTEEQQKKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVLNSPTLTSSKWWPGGLGKAATHAVAFARLITDGKDHGVNGFIVQLRNLDDHLPLPGITVGDIGMKFGNGAYNSMDNGVLRFDNVRIPRDQMLMRVAQVTREGKYVQSNVPRQLLYGTMVFVRQTIVADASTALSRAVCIATRYSAVRRQFGSENGGVETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQKLQANDFSTLPEAHACTAGLKSLTTSATADAIEECRKLCGGHGYLSNSGLPELFAVYVPACTYEGDNIVLLLQVARFLMKTVSQLPSGKKPVGTTSYMGRAEHLIQCRCNVQKVEDWLKPSVILEAFEARAIRMSIACAQDLSKFSNQEEGFAELSSNLAEAAVAHCQLIVVSKFIEKLQQDIPGKGVKEQLQNLCNIYALYIIHKHLGDFLSTGSITAKQASLANDQLRSLYSKLRPNAIALVDAFNYTDHYLGSVLGRYDGDVYPNLYAEAWKDPLNESVVPDGYREYIQPLLKNQLRNARL >ONI08474 pep chromosome:Prunus_persica_NCBIv2:G5:15126107:15128814:-1 gene:PRUPE_5G180000 transcript:ONI08474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAPPSGPSTRKHGYSRKQKSLGLLCSNFLGLYNRDGVTSIGLDDAASRLGVERRRIYDIVNVLESVGVLARKAKNQYSWKGFGAIPNALQELREEGLRENCINFAGNDDAKVSDDEDDEERCGSQLNDKSNPTVNPQPPADQKPDNRREKSLALLTQNFVKLFVCSAEEMISLDDVAKLLLGDAQSASAMRTAKVRRIYDIANVLSSMNLIEKTHTPDTRKPAFKWLGLRGKEENLVPNESRKRAFGTDITNVISKRGKLESSIGGELDAQKQRQHDLEDSKDGSKSYQFGPFAPVTIAKPGNSNMRRVHDWEKLTSTYRPQYQNQALKDLFSHYMEAWKSWYSEVAGKNPIRIS >ONI08473 pep chromosome:Prunus_persica_NCBIv2:G5:15125585:15129092:-1 gene:PRUPE_5G180000 transcript:ONI08473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAPPSGPSTRKHGYSRKQKSLGLLCSNFLGLYNRDGVTSIGLDDAASRLGVERRRIYDIVNVLESVGVLARKAKNQYSWKGFGAIPNALQELREEGLRENCINFAGNDDAKVSDDEDDEERCGSQLNDKSNPTVNPQPPADQKPDNRREKSLALLTQNFVKLFVCSAEEMISLDDVAKLLLGDAQSASAMRTKVRRIYDIANVLSSMNLIEKTHTPDTRKPAFKWLGLRGKEENLVPNESRKRAFGTDITNVISKRGKLESSIGGELDAQKQRQHDLEDSKDGSKSYQFGPFAPVTIAKPGNSNMRRVHDWEKLTSTYRPQYQNQALKDLFSHYMEAWKSWYSEVAGKNPIRIS >ONI08476 pep chromosome:Prunus_persica_NCBIv2:G5:15126440:15128814:-1 gene:PRUPE_5G180000 transcript:ONI08476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAPPSGPSTRKHGYSRKQKSLGLLCSNFLGLYNRDGVTSIGLDDAASRLGVERRRIYDIVNVLESVGVLARKAKNQYSWKGFGAIPNALQELREEGLRENCINFAGNDDAKVSDDEDDEERCGSQLNDKSNPTVNPQPPADQKPDNRREKSLALLTQNFVKLFVCSAEEMISLDDVAKLLLGDAQSASAMRTAKVRRIYDIANVLSSMNLIEKTHTPDTRKPAFKWLGLRGKEENLVPNESRKRAFGTDITNVISKRGKLESSIGGELDAQKQRQHDLEDSKDGSKSYQFGPFAPVTIAKPGNSNMRRVHDWEKLTSTYRPQYQNQGPGENSGRKGREVGRELGRERNRTGD >ONI08475 pep chromosome:Prunus_persica_NCBIv2:G5:15126440:15129094:-1 gene:PRUPE_5G180000 transcript:ONI08475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAPPSGPSTRKHGYSRKQKSLGLLCSNFLGLYNRDGVTSIGLDDAASRLGVERRRIYDIVNVLESVGVLARKAKNQYSWKGFGAIPNALQELREEGLRENCINFAGNDDAKVSDDEDDEERCGSQLNDKSNPTVNPQPPADQKPDNRREKSLALLTQNFVKLFVCSAEEMISLDDVAKLLLGDAQSASAMRTKVRRIYDIANVLSSMNLIEKTHTPDTRKPAFKWLGLRGKEENLVPNESRKRAFGTDITNVISKRGKLESSIGGELDAQKQRQHDLEDSKDGSKSYQFGPFAPVTIAKPGNSNMRRVHDWEKLTSTYRPQYQNQGPGENSGRKGREVGRELGRERNRTGD >ONI08295 pep chromosome:Prunus_persica_NCBIv2:G5:14580363:14582620:1 gene:PRUPE_5G170100 transcript:ONI08295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAMREGVRSAGEASHNGIVRRMVSLPRSIIGGFSRAMGHRRGLIGIGGRKAQTLPSNFQLQQPEEPLIGLDVSAFLPSFEQQYGTMHPFFYACHFMEALKIAEGEHKFLFMYLHSPEHPFTPSYCRETLSSELVVQFLDANFVSWGALADRGEGLQMAATMNPSCFPFCAVIAPAPGESIAVLRQMEGPISPTELVGILQRTVEEQGSAFRSSKVKQAEAIIADRRLREEQDAAYLAALQLDKEKEKLKNLPPADTAQKPAEAPTKAKNERLQNYPTKQQYGKTKEASSTRGAQYKEVANRGKDPQAAAQILIRFPNGERREQCFLCTDKVQSIYRYIDSLGLPGIANYRLISSFPKRVYGVDQMGITLKDAGLHPRATLFLELL >ONI07030 pep chromosome:Prunus_persica_NCBIv2:G5:10520559:10522512:-1 gene:PRUPE_5G095900 transcript:ONI07030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKQKAALEKDTSAIKKVLSTKSLGLIKAWEESEKTKVDNKTNKKLSNVVAWELSKQAYIDARQKKFEQKLERKKAMYVEKMQNKVAEIHKKADEKRTMVEDVKEEERTKVKEKADKFREIGKHYCHWLHPWKRLPLSWSES >ONI07031 pep chromosome:Prunus_persica_NCBIv2:G5:10521180:10522512:-1 gene:PRUPE_5G095900 transcript:ONI07031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKQKAALEKDTSAIKKVLSTKSLGLIKAWEESEKTKVDNKTNKKLSNVVAWELSKQAYIDARQKKFEQKLERKKAMYVEKMQNKVAEIHKKADEKRTMVEDVKEEERTKVKEKADKFREIGHVPKKILCFNF >ONI07809 pep chromosome:Prunus_persica_NCBIv2:G5:13131772:13133820:1 gene:PRUPE_5G141000 transcript:ONI07809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKILANVIVLGSAVLGRAFVQAYRQALSNASKSGVAREAVQNIRRASKTMAEPEARQVLGVTEHASWEEISQRYDSLFKQNATNGSFYLQSKVHRAKECLEGAYAKKAQGTMDG >ONI07810 pep chromosome:Prunus_persica_NCBIv2:G5:13132167:13133783:1 gene:PRUPE_5G141000 transcript:ONI07810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKILANVIVLGSAVLGRAFVQAYRQALSNASKSGVAREAVQNIRRASKTMAEPEARQVLGVTEHASWEEISQRYDSLFKQNATNGSFYLQSKVHRAKECLEGAYAKKAQGTMDG >ONI05870 pep chromosome:Prunus_persica_NCBIv2:G5:3194282:3201625:1 gene:PRUPE_5G027400 transcript:ONI05870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQLYCFFSWNLVPFWLFRYISLLSPFSFYLFVQKELLFVEYDYSGYGASTGKPSEENTYADIEAVYECLETEYGVSQEDLILYGQSVGSGPTLHLAAKLPRLRGVVLHSAILSGLRVLCHVKFTFCVDIYKNINKIKKVKCPVLVIHGTEDDIVNWLHGDALWKMARDAYEPLWIKGGGHCNLELYPDYIRHLCRFIQEMENITTEIRLRKIWQNMRTQKRSSASCAKCCRIKLCLPKCPECRRPKCVKCCSWQLKCPACWKPGSVKCSWRPKCLKGAEPSRCIRCFHWRCCIGTHSGLNGKQDG >ONI05869 pep chromosome:Prunus_persica_NCBIv2:G5:3193008:3201625:1 gene:PRUPE_5G027400 transcript:ONI05869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMFSHLAAKFAFFPPSPPTYKIQKRDHDGKLTVVASASTTTSLPVPHADDLSLDVLLIDTKRGNKIVAFYLRNPYARLTLLYSHGNAADLGQLYDLFLQLKLSLRVNLIGYDYSGYGASTGKPSEENTYADIEAVYECLETEYGVSQEDLILYGQSVGSGPTLHLAAKLPRLRGVVLHSAILSGLRVLCHVKFTFCVDIYKNINKIKKVKCPVLVIHGTEDDIVNWLHGDALWKMARDAYEPLWIKGGGHCNLELYPDYIRHLCRFIQEMENITTEIRLRKIWQNMRTQKRSSASCAKCCRIKLCLPKCPECRRPKCVKCCSWQLKCPACWKPGSVKCSWRPKCLKGAEPSRCIRCFHWRCCIGTHSGLNGKQDG >ONI08735 pep chromosome:Prunus_persica_NCBIv2:G5:15972365:15974022:1 gene:PRUPE_5G197800 transcript:ONI08735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKQIDIGNGSRQHGGSRAKSKNMSIDRSRVRVLLCDRDAESCEEVCTLLTTCCYQVLSTQNESRFLYNFLKFGATDYLVKPLCIDEILNMWIHSWRQRKPPKGLEPPQKSISLVVSAEATTNNILVLDDTTRDEEFPEDPEPTLCVSKK >ONI08734 pep chromosome:Prunus_persica_NCBIv2:G5:15972365:15974022:1 gene:PRUPE_5G197800 transcript:ONI08734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKQIDIGNGSRQHGGSRAKSKNMSIDRSRVRVLLCDRDAESCEEVCTLLTTCCYQVISVSSAVEVLDALNAEWSFIDIILAAVDLPIDASMRMLKYIMQDLHFKHIPVIMLSTQNESRFLYNFLKFGATDYLVKPLCIDEILNMWIHSWRQRKPPKGLEPPQKSISLVVSAEATTNNILVLDDTTRDEEFPEDPEPTLCVSKK >ONI08403 pep chromosome:Prunus_persica_NCBIv2:G5:14941066:14944151:-1 gene:PRUPE_5G176000 transcript:ONI08403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTFHPYAAASARIRNISTPFSIRKEIPWWSQIQDPGSERVTLWNHIFFVICIMGLFLDPIYFFLPSIGGSSCMKIDMGLGIIVTFLRTVFDFFYICHVAVKFRTAFVAPGSRVFGRGQLVMDPRAIALRYLKSDFSIDLAASLPLPQIMIWFIIPAVKTRTAAHANHTLSLIVLLQYVPRFIQIFPLNRRITKRTGVVAKTAWSGAAYNLVLFCLIAHIVGSTWYVLSIRRQYECWKGECSKEINKTHSPSCKISFLDCSSEGNPERDAWLKISKVAESCDANDDKDFDFGMFAEAFTNEVAGAVFIEKYFYCLWWGMRNLCSYGQDIETSTSASETSLCILISILGLVLFSHLMSQMQTYLQSATIRLEEWRVRKRDTEEWMRHRQLPPELQERVRRFVQYKWIATRGVDEKNILEALPTDLRRQIQRHLCLALVRRVPFFAQMDDQLLDAICERLESSLNTRNTYIIREGDPVNEMLFIIRGQLESSTTDGGRTGFFNSITLRAGDFCGEELLTWALMPTSSLNLPCSTRTVKSLTEVEAFALRAEDLKYVANQFKRLHSKKLQHAFRYYSHQWRTWGACFIQVAWRRFRKRKLAMELAKEEEYYYTHVLDQEELGNSNSESRIGGGSTDYEGRGAERSSSVGNILHNIPLGPAILASKFAANTRRGIQKVASVLHHDESSSSMPKKLFKPDEPDFSADT >ONI08402 pep chromosome:Prunus_persica_NCBIv2:G5:14940923:14944397:-1 gene:PRUPE_5G176000 transcript:ONI08402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTFHPYAAASARIRNISTPFSIRKEIPWWSQIQDPGSERVTLWNHIFFVICIMGLFLDPIYFFLPSIGGSSCMKIDMGLGIIVTFLRTVFDFFYICHVAVKFRTAFVAPGSRVFGRGQLVMDPRAIALRYLKSDFSIDLAASLPLPQIVGSTWYVLSIRRQYECWKGECSKEINKTHSPSCKISFLDCSSEGNPERDAWLKISKVAESCDANDDKDFDFGMFAEAFTNEVAGAVFIEKYFYCLWWGMRNLCSYGQDIETSTSASETSLCILISILGLVLFSHLMSQMQTYLQSATIRLEEWRVRKRDTEEWMRHRQLPPELQERVRRFVQYKWIATRGVDEKNILEALPTDLRRQIQRHLCLALVRRVPFFAQMDDQLLDAICERLESSLNTRNTYIIREGDPVNEMLFIIRGQLESSTTDGGRTGFFNSITLRAGDFCGEELLTWALMPTSSLNLPCSTRTVKSLTEVEAFALRAEDLKYVANQFKRLHSKKLQHAFRYYSHQWRTWGACFIQVAWRRFRKRKLAMELAKEEEYYYTHVLDQEELGNSNSESRIGGGSTDYEGRGAERSSSVGNILHNIPLGPAILASKFAANTRRGIQKVASVLHHDESSSSMPKKLFKPDEPDFSADT >ONI08458 pep chromosome:Prunus_persica_NCBIv2:G5:15075730:15077403:-1 gene:PRUPE_5G178900 transcript:ONI08458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNGKHRWKISFYRSNSSSRLPPKELLCPISGSLMSDPVVVSSGQTFERLSAQVCRDFGFSPKLEDGSRPDFSTMISNLAIKSTIQNWCHHNNTEYPQAPDLFSVEKTVRTLIADDREDQGIRVSESELLNGVAERPPIMFTHAATELGRRVDHFYSSSSEDSVVIPSASPLTPLPFATRPSCYSSSSSSDIIAEDETLNPNSSSPGCEEEEQLMLKLRSSEVIEQEEAVILLRKLTRTKEELRVSLCTPRLLSAVGSLIISRYSTVQQNALASLVNLSLEKPNKVKIVRSGFVPHLVDVLKGGSSESQEHAAGALFSLALEEDNKMAIGVLGALPPLMHALVRAESVRTRHDSALALYHLTLVESNRVKLVKQPNAIPTLLALAKSPASASRVLLILCNLASCNEGRSAMLDANAVECLVGMLRRSELESESTRENCVAALYALSHGSMRFRGLAREAKAVEVLGEIEKNGSERAREKAKRLLTVMRGREDEPNWDSVLESGAGVGLGPTHYRVGVGRNLHTANSTTF >ONI06732 pep chromosome:Prunus_persica_NCBIv2:G5:9113110:9113325:1 gene:PRUPE_5G077300 transcript:ONI06732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALLLGFLFKTKWANAPWNGIIVKKKQEFCLDPTQEWSVDTQNCFGHPRKLSNFPELVMLKSAQKPVCQN >ONI05646 pep chromosome:Prunus_persica_NCBIv2:G5:1802248:1803105:-1 gene:PRUPE_5G016600 transcript:ONI05646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGKEDLDLVLVPSGLLIMFLYHIILLYRYLHLPHTTVIGFENNDKRAWVERIMQDNKRDTGTVLSVISSNISAVTFLCSVSLSLSSLIGTWLGSSSSHEVFTSELIYGNVSPSILTIKYISLLTCLLLAFGFFVQSARHFVHANYLISTPDSNIPASYVELAVIRGGDFWSLGLRALYFALTLLLWFFGPIPMFASSLVLVIFLHYMDTNTRPFHDHQLPGRQLVKEVGQRITEVAVNIHQHSETVETKENTTTARMKSVDPPISVLTDSISQDQQYMLKMDL >ONI09469 pep chromosome:Prunus_persica_NCBIv2:G5:18114254:18117550:-1 gene:PRUPE_5G240300 transcript:ONI09469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISTTSSERGGQVNSTFASRYVRNALPKFEMPETSIPKDAAYQIIKDELMLDGNPRLNLASFVTTWMEPECDQLIMASLNKNYVDMDEYPVTTELQNRCVNIIANLFNAPLGDGETAVGVGTVGSSEAMMLAGLAFKRKWQQKRKAEGKPYDKPNMVTGSNVQVCWEKFARYFEVDLKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLTQKNKEKGWDTPIHVDAASGGFIAPFLYPDLVWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRSKEDLPDELVFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNVMENCMENTRFLREGLEKTGRFEIVSKDIGVPLVAFSLKDSSKHTVFEISDSLRKFGWIVPAYTMPANAEHIAVLRVVVREDFSRGLAERLISDIIKVVKETDTLPSRISTKTAHVTATVDEMARSSEGAVKPAKKSVEEIEQEITRRWKGLVDGKKRGVC >ONI06722 pep chromosome:Prunus_persica_NCBIv2:G5:9079766:9083571:1 gene:PRUPE_5G076500 transcript:ONI06722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSSGDFNAAVTHFTEAINLAPTNHVLYSNRSAAYASLNKYSEALADAKKTVEVKPDWGKGYSRLGAAHVGLGHYGDAISAYKRGLEIDPNNEALKSGLADAQAGAARSRAGPSPMNPFGDAFSGPEMWAKLTADPSTRAFLQQPDFVKMMQEIQTNPSNLNLYLKDQRVMQALGVLLNVKLRGGPAGAEDEEIPESPPAPKQPEPRKEEKKSEPEPMEVSEEESEAKKRKAEAIKEKEAGNAAYKKKDFDTAIQHYTKAMELDDEDISYIMNRAATYLEMGQLEDCIKDCDKAVERGRELRADYKMIAKVLTRKGTALVKMAKGSKDFEPAIEMFQKALTEHRNPDTLKKLNDAEKAKKELEQQEYFDPKLADEEREKGNEYFKQQKYPEAIKHYSESLRRNPKDPKAYSNRAACYTKLGAMPEGLKDAEKCIELDPTFAKGYTRKGAVQFFMKEYEKALETYQEGLKHDASNQELLDGVRRCVQQINKASRGDLSPDELKERQAKGMQDPEIQNILQDPVMRQVLTDFQENPKAAQEHTKNPMVMNKIQKLVSAGIVQLR >ONI08974 pep chromosome:Prunus_persica_NCBIv2:G5:16722257:16724420:1 gene:PRUPE_5G210300 transcript:ONI08974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVQVRIISVLNACRNHGLTRMAPTTSTSILVGPPRFKPHSPPPPPPPPQSQVPFLPRPFFTPSPYGNYCLDLFIHVVPEEDGGALASHERLNQMLHLAWFKNPLTTVKLICNLLDRRGYGGKDDRDSFYTAAFWLHRHHPKTLACNVASIASSFGSFDDLTEILYRLLHGQDVRRRNQSESIDGFRVRRKGSNSKVLSCRRLTPREVRVMKALERSKLQEEKARALRRDKAMAMANTAIERYQNDPDYRFLHDRISDVFAECFKSDIQNLNKEKDANYDRDQDCLELSLAADYCPKIGSSMDCATLLCESIAKKVFPRELCPEYQGIEEAHYAYRVRDRLRKEVLVPLRKALDVADYHAGANKWGYNPDFKREPCAIKKYLEDVKAGGESKIRVDALFPHEIMRYANHPDVGESAELQWKAMMEDMRMKKGMNVLNKCIAVCDVSPAMTGRPRDVSTALTLMMSELSEEPWKGKVITFSESPQLISIQGGDLKSKREFLRNMGWGYHTTFSKVFDLILEAAVNEKLKPEHMVKKVFVLTSCSLLERGFDDNSCWESEYEAIRSKFKKEGYGDVVPQLVFWNLNLEELHKEVRSEGECQKQEGVSMLSFFNDDLIKSLLENDGNIGLEHVMEAVISGQGYQSLVVVD >ONI07417 pep chromosome:Prunus_persica_NCBIv2:G5:11971134:11975057:1 gene:PRUPE_5G118900 transcript:ONI07417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWELMRGNIFNVTTRVSHGSILWLWLLQKQLYDINNVCEKLMVNGFWGLCVSRSHFTNGNEELIRIKLLILPIALSLSGSII >ONI09449 pep chromosome:Prunus_persica_NCBIv2:G5:18039570:18040342:1 gene:PRUPE_5G238900 transcript:ONI09449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRANHPCLCFEGERDVMWPIALLICIPNLEKEMLRNARLSETKSENYSSYTLLSSLYANARRALENKRLGCSWVQGKKGNAAFFVGDRTHPESQEIYETLADLFKRIKDISHFTMCTYIRITNNLRVCGDCHGAITYIYTSKIVEHEIILRDLSRFHHLKNGSCSWGGYW >ONI05697 pep chromosome:Prunus_persica_NCBIv2:G5:2179329:2181963:1 gene:PRUPE_5G019700 transcript:ONI05697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLRTTENRQGSIAMGECNGDAYVSVGELDSPRIDNFKKVSLLPLVFLIFYEVSGGPFGVEDSVQAAGPLLALLGFLVFPLIWSVPEALITAEMGTMFPEAGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALGGGLPRIIAVLVLTSVLTYMNYRGLAIVGWAAVLLGVFSLTPFVVMGLVAIPKLQPSRWLVVNLHSVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKALFCALILVVVGYLFPLLTGTGAVPLNRELWTDGYFSDIAKIIGGVWLRWWIQGAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFAKRSRYGTPVIGILFSASGVLFLSWLSFQEIVAAENFLYCFGMILEFLSFIRLRVKYPAASRPYKIPVGTVGAILLCIPPTILICTVLAFSTLKVVVVSVGAIMIGLVMQPCLMYVEKKKWIKFSTSTDLPDLHGANQGSVNSLID >ONI09142 pep chromosome:Prunus_persica_NCBIv2:G5:17199837:17204453:-1 gene:PRUPE_5G220800 transcript:ONI09142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGRMEGNGDVRPPKRKRYSVNTEVEQQPHKIRRGRVEFETETLTREPGPSLSTRFQALSPLSRRHRKPQQSRDERESSRQWAFSPCNSSTSTYKDKFVVVSYNILGVENASKHPDLYYKVPPRFLEWGRRKKLIRKEINRYNASIMCLQEVDRFDDLAHLFQKDGFEGVYKARTGEASDGCAIFWKKEMFSLLHQENIEFQSFGLRNNVAQLCVLKSKQNQLESEITPQTSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQSPMYQFLASSELDIRLHNRRHISGQVDSGSENISFRSQNGKASSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPGSCRTRDRCGEPLATSYHSKFMGTVDYIWHTEELVPVRVLETLPVNILRRNGGLPSKKWGSDHLALVCELAFRK >ONI09141 pep chromosome:Prunus_persica_NCBIv2:G5:17200185:17204293:-1 gene:PRUPE_5G220800 transcript:ONI09141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGRMEGNGDVRPPKRKRYSVNTEVEQQPHKIRRGRVEFETETLTREPGPSLSTRFQALSPLSRRHRKPQQSRDERESSRQWAFSPCNSSTSTYKDKFVVVSYNILGVENASKHPDLYYKVPPRFLEWGRRKKLIRKEINRYNASIMCLQEVDRFDDLAHLFQKDGFEGVYKSKQNQLESEITPQTSVSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQSPMYQFLASSELDIRLHNRRHISGQVDSGSENISFRSQNGKASRCSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPGSCRTRDRCGEPLATSYHSKFMGTVDYIWHTEELVPVRVLETLPVNILRRNGGLPSKKWGSDHLALVCELAFRK >ONI09144 pep chromosome:Prunus_persica_NCBIv2:G5:17199829:17204533:-1 gene:PRUPE_5G220800 transcript:ONI09144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGRMEGNGDVRPPKRKRYSVNTEVEQQPHKIRRGRVEFETETLTREPGPSLSTRFQALSPLSRRHRKPQQSRDERESSRQWAFSPCNSSTSTYKDKFVVVSYNILGVENASKHPDLYYKVPPRFLEWGRRKKLIRKEINRYNASIMCLQEVDRFDDLAHLFQKDGFEGVYKARTGEASDGCAIFWKKEMFSLLHQENIEFQSFGLRNNVAQLCVLKSKQNQLESEITPQTSVSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQSPMYQFLASSELDIRLHNRRHISGQVDSGSENISFRSQNGKASSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPGSCRTRDRCGEPLATSYHSKFMGTVDYIWHTEELVPVRVLETLPVNILRRNGGLPSKKWGSDHLALVCELAFRK >ONI09147 pep chromosome:Prunus_persica_NCBIv2:G5:17200185:17204293:-1 gene:PRUPE_5G220800 transcript:ONI09147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGRMEGNGDVRPPKRKRYSVNTEVEQQPHKIRRGRVEFETETLTREPGPSLSTRFQALSPLSRRHRKPQQSRDERESSRQWAFSPCNSSTSTYKDKFVVVSYNILGVENASKHPDLYYKVPPRFLEWGRRKKLIRKEINRYNASIMCLQEVDRFDDLAHLFQKDGFEGVYKARTGEASDGCAIFWKKEMFSLLHQENIEFQSFGLRNNVAQLCVLKHIVATCVESKQNQLESEITPQTSVSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQSPMYQFLASSELDIRLHNRRHISGQVDSGSENISFRSQNGKASRCSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPGSCRTRDRCGEPLATSYHSKFMGTVDYIWHTEELVPVRVLETLPVNILRRNGGLPSKKWGSDHLALVCELAFRK >ONI09149 pep chromosome:Prunus_persica_NCBIv2:G5:17200185:17204067:-1 gene:PRUPE_5G220800 transcript:ONI09149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERAPGSGLSLPATLLLLPIKINLWLFLITFWVLKMHPSTQICTIKSHPDSWSGAAARSLYGRRSIVIMLASCVYRFSLLHQENIEFQSFGLRNNVAQLCVLKSKQNQLESEITPQTSVSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQSPMYQFLASSELDIRLHNRRHISGQVDSGSENISFRSQNGKASSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPGSCRTRDRCGEPLATSYHSKFMGTVDYIWHTEELVPVRVLETLPVNILRRNGGLPSKKWGSDHLALVCELAFRK >ONI09145 pep chromosome:Prunus_persica_NCBIv2:G5:17200185:17204293:-1 gene:PRUPE_5G220800 transcript:ONI09145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGRMEGNGDVRPPKRKRYSVNTEVEQQPHKIRRGRVEFETETLTREPGPSLSTRFQALSPLSRRHRKPQQSRDERESSRQWAFSPCNSSTSTYKDKFVVVSYNILGVENASKHPDLYYKVPPRFLEWGRRKKLIRKEINRYNASIMCLQEVDRFDDLAHLFQKDGFEGVYKARTGEASDGCAIFWKKEMFSLLHQENIEFQSFGLRNNVAQLCVLKSKQNQLESEITPQTSVSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQSPMYQFLASSELDIRLHNRRHISGQVDSGSENISFRSQNGKASRCSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPGSCRTRDRCGEPLATSYHSKFMGTVDYIWHTEELVPVRVLETLPVNILRRNGGLPSKKWGSDHLALVCELAFRK >ONI09151 pep chromosome:Prunus_persica_NCBIv2:G5:17199831:17204477:-1 gene:PRUPE_5G220800 transcript:ONI09151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGRMEGNGDVRPPKRKRYSVNTEVEQQPHKIRRGRVEFETETLTREPGPSLSTRFQALSPLSRRHRKPQQSRDERESSRQWAFSPCNSSTSTYKDKFVVVSYNILGVENASKHPDLYYKVPPRFLEWGRRKKLIRKEINRYNASIMCLQEVDRFDDLAHLFQKDGFEGVYKARTGEASDGCAIFWKKEMFSLLHQENIEFQSFGLRNNVAQLCVLKSKQNQLESEITPQTSVSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQSPMYQFLASSELDIRLHNRRHISGQVDSGSENISFRSQNGKASSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPAYRGTCSCQSP >ONI09150 pep chromosome:Prunus_persica_NCBIv2:G5:17199829:17204470:-1 gene:PRUPE_5G220800 transcript:ONI09150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGRMEGNGDVRPPKRKRYSVNTEVEQQPHKIRRGRVEFETETLTREPGPSLSTRFQALSPLSRRHRKPQQSRDERESSRQWAFSPCNSSTSTYKDKFVVVSYNILGVENASKHPDLYYKVPPRFLEWGRRKKLIRKEINRYNASIMCLQEVDRFDDLAHLFQKDGFEGVYKARTGEASDGCAIFWKKEMFSLLHQENIEFQSFGLRNNVAQLCVLKSKQNQLESEITPQTSVSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQSPMYQFLASSELDIRLHNRRHISGQVDSGSENISFRSQNGKASSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPGSCRTRDRCGEPLATSYHSKFMGTVDYI >ONI09146 pep chromosome:Prunus_persica_NCBIv2:G5:17200185:17204293:-1 gene:PRUPE_5G220800 transcript:ONI09146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGRMEGNGDVRPPKRKRYSVNTEVEQQPHKIRRGRVEFETETLTREPGPSLSTRFQALSPLSRRHRKPQQSRDERESSRQWAFSPCNSSTSTYKDKFVVVSYNILGVENASKHPDLYYKVPPRFLEWGRRKKLIRKEINRYNASIMCLQEVDRFDDLAHLFQKDGFEGVYKARTGEASDGCAIFWKKEMFSLLHQENIEFQSFGLRNNVAQLCVLKHIVATCVESKQNQLESEITPQTSVSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQSPMYQFLASSELDIRLHNRRHISGQVDSGSENISFRSQNGKASSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPGSCRTRDRCGEPLATSYHSKFMGTVDYIWHTEELVPVRVLETLPVNILRRNGGLPSKKWGSDHLALVCELAFRK >ONI09140 pep chromosome:Prunus_persica_NCBIv2:G5:17199837:17204453:-1 gene:PRUPE_5G220800 transcript:ONI09140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGRMEGNGDVRPPKRKRYSVNTEVEQQPHKIRRGRVEFETETLTREPGPSLSTRFQALSPLSRRHRKPQQSRDERESSRQWAFSPCNSSTSTYKDKFVVVSYNILGVENASKHPDLYYKVPPRFLEWGRRKKLIRKEINRYNASIMCLQEVDRFDDLAHLFQKDGFEGVYKSKQNQLESEITPQTSVSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQSPMYQFLASSELDIRLHNRRHISGQVDSGSENISFRSQNGKASSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPGSCRTRDRCGEPLATSYHSKFMGTVDYIWHTEELVPVRVLETLPVNILRRNGGLPSKKWGSDHLALVCELAFRK >ONI09143 pep chromosome:Prunus_persica_NCBIv2:G5:17199837:17204453:-1 gene:PRUPE_5G220800 transcript:ONI09143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLGRMEGNGDVRPPKRKRYSVNTEVEQQPHKIRRGRVEFETETLTREPGPSLSTRFQALSPLSRRHRKPQQSRDERESSRQWAFSPCNSSTSTYKDKFVVVSYNILGVENASKHPDLYYKVPPRFLEWGRRKKLIRKEINRYNASIMCLQEVDRFDDLAHLFQKDGFEGVYKARTGEASDGCAIFWKKEMFSLLHQENIEFQSFGLRNNVAQLCVLKSKQNQLESEITPQTSVSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQLDIRLHNRRHISGQVDSGSENISFRSQNGKASSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPGSCRTRDRCGEPLATSYHSKFMGTVDYIWHTEELVPVRVLETLPVNILRRNGGLPSKKWGSDHLALVCELAFRK >ONI09148 pep chromosome:Prunus_persica_NCBIv2:G5:17199837:17204453:-1 gene:PRUPE_5G220800 transcript:ONI09148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERAPGSGLSLPATLLLLPIKINLWLFLITFWVLKMHPSTQICTIKSHPDSWSGAAARSLYGRRSIVIMLASCVYRFSLLHQENIEFQSFGLRNNVAQLCVLKSKQNQLESEITPQTSPCTQNRSVVIGNTHVLFNPNRGDIKLGQVRLFLEKAHELSQEWGSIPVIISGDLNSIPQSPMYQFLASSELDIRLHNRRHISGQVDSGSENISFRSQNGKASSIRKYISRPSQYIWNEEEVRLATGIEGATRLQHHLKLCSAYLGVPGSCRTRDRCGEPLATSYHSKFMGTVDYIWHTEELVPVRVLETLPVNILRRNGGLPSKKWGSDHLALVCELAFRK >ONI08013 pep chromosome:Prunus_persica_NCBIv2:G5:13795434:13799584:1 gene:PRUPE_5G153200 transcript:ONI08013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTALDSYKRYKEDVKAVKNLGVGSYRFSISWTRILPKGTLSGGVNQQGIDHYNNLIDELIKNGITPYVTILHFDAPQALTDKYGGLLNRSFVNDFKDYSELCFKFFGDRVKNWITINEPLITAKFGYDLGVDPPARCSVQTAYPCSVGGNSATEPYIVAHNILLAHAGAVKLYREKFQRKQGGQIGISLVGQYVEPYSDSAEDKAAAKRILDFELGWFVEPLVYGAYPRSMRQLVKGRLPTFTEKETMMVKRSFDFIGINYYTSRYGRNEPASSGKPISYSNDQLASLWTKNVDGIQIGPLASGSKFLYSYPQGLQKLLEFIKKEYRDPKIYITENGITEKRDDKLGLDEALKDPHRIQCILQHLYQIKMAIKSGVNVRGYFHWALFDDFEWGNGYTTRFGVYYIDYKDNLKRIPKVSAKWLPKFLKGQA >ONI08012 pep chromosome:Prunus_persica_NCBIv2:G5:13795434:13799584:1 gene:PRUPE_5G153200 transcript:ONI08012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSPPWSRILQGMCAVVLFACLTQGPVNASNPQELEVKWSDFPGDFVFGVSTAATQIEGSAKEAGRGPSVWDYYVEKFPERIADHSNMFTALDSYKRYKEDVKAVKNLGVGSYRFSISWTRILPKGTLSGGVNQQGIDHYNNLIDELIKNGITPYVTILHFDAPQALTDKYGGLLNRSFVNDFKDYSELCFKFFGDRVKNWITINEPLITAKFGYDLGVDPPARCSVQTAYPCSVGGNSATEPYIVAHNILLAHAGAVKLYREKFQRKQGGQIGISLVGQYVEPYSDSAEDKAAAKRILDFELGWFVEPLVYGAYPRSMRQLVKGRLPTFTEKETMMVKRSFDFIGINYYTSRYGRNEPASSGKPISYSNDQLASLWTKNVDGIQIGPLASGSKFLYSYPQGLQKLLEFIKKEYRDPKIYITENGITEKRDDKLGLDEALKDPHRIQCILQHLYQIKMAIKSGVNVRGYFHWALFDDFEWGNGYTTRFGVYYIDYKDNLKRIPKVSAKWLPKFLKGQA >ONI05431 pep chromosome:Prunus_persica_NCBIv2:G5:758145:762410:-1 gene:PRUPE_5G007100 transcript:ONI05431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGRELDQTPTWALATVCFIIIIISIVLEKVLHMIGHWFEHRKKSGLLEALEKVKGELMVLGFISLLLTFGQQYIAKVCIPIEAADTMLPCPYRGEEKEGGGGGGDHRRRLLWYERRYLAGGGDGPGCKEGKVPLISTNGLHQLHIFIFFLAIFHVVYGAITMTLGRLKIRAWKVWEREMEHDNEFNDPTKFRLTHETSFVRDHTRCWTKNPFTFYFVSFLRQFFRSVRRADYLTMRHGFVTVHLAPGSKFDFQKYIKRSLEDDFKVVVGISPLLWTSMVLYLLLNVHGWEAMFVLSILPLVIILAVGTKLQAIISQMALEIQERHAVVQGIPLVQVSDKHFWFSWPQLVLYLIHFVLFQNAFEITYFFWIWYEFGIRSCFHDNFVLTVLRVALGVCVQVMCSYITLPLYALVTQMGSTMKKSIFDEQTNKALKKWQKNAAAKKKNDGKPAAKASVDSVTKAPQKAEMEKGPSTPEQTANIMASVDIQGNTKDLLTGGP >ONI05451 pep chromosome:Prunus_persica_NCBIv2:G5:859926:864488:1 gene:PRUPE_5G008100 transcript:ONI05451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIILLTRDPRLTESLSVLARRTDRHPGGSLAIKHGLHDNLPATVQQIPLPPRSDEGRGILAMYRETEDPGSLGTHGRGVGQMNATSRMAEAVTKLQSLFLFVLTLLSIMVYVLRRYVTFGKQRKLKEMVEETKVQTGVPKKKKTRRLGNNKRNVIDEKNTSNVLHEYKVGESKESIHSQRSKDKFLLTFTDHVDGQIEGRRIGKLLVFNDEIAKGSNGTIVLEGTYDGRPVAVKRLVRAHHDVALKEVQNLIASDQHPNIVRWYGVEYDQDFVYLSLERCICSLNDLIYFYSESIQSQITKNQEPHFLTEYTVRLHTIMERNKGIELWKANGYPSPQLLKLMSDLVSGLAHLHELGIIHRDLKPQNVLIIKGRSLRAKLSDMGISKRLQGDRSSITQHATGYGSSGWQAPEQLRHQRQTRAVDLFSLGCLLFFCVTGGKHPYGDSIERDVNIVNDQKDLFLVDTIPEAVDLFNRLLDPNPDMRPTAMDVLHHPFFWSSETILSFLRDASDRVELEDRESESELLNALEGTAAVALNGKWDEKMESTFINNIGRYRRYKFDSVRDLLRVIRNKLNHYRELPQDIQEILGPVPEGFNSYFSSRFPKLLIEVYKVLYRYCKEEEFFCKYMKSKLL >ONI05449 pep chromosome:Prunus_persica_NCBIv2:G5:859926:864488:1 gene:PRUPE_5G008100 transcript:ONI05449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSLFFLLLFAPLIECLSSPSAITAGSESSEISLSNPYSWGSNLFNSLWPKLGNDVGLLVTLDGTIYMVNTTTGEIQWDVQSGAPIYTSYQDVNYFNKITSDDYFFIDVSEEGALYSHTSKGKEKLSSTIEEYIGRTPIWSKDGGVTLGSRRTTVFQVVAQTGKPIHIYNSADTPSKLVVRRTKSDASPKVKDADELVESGSKGLETVEQPLSIVRTDYEITHHSSGKLVWNVTFAAFDSYPQVSNTGNELALKHSRDSDSILPYQMKTIILLTRDPRLTESLSVLARRTDRHPGGSLAIKHGLHDNLPATVQQIPLPPRSDEGRGILAMYRETEDPGSLGTHGRGVGQMNATSRMAEAVTKLQSLFLFVLTLLSIMVYVLRRYVTFGKQRKLKEMVEETKVQTGVPKKKKTRRLGNNKRNVIDEKNTSNVLHEYKVGESKESIHSQRSKDKFLLTFTDHVDGQIEGRRIGKLLVFNDEIAKGSNGTIVLEGTYDGRPVAVKRLVRAHHDVALKEVQNLIASDQHPNIVRWYGVEYDQDFVYLSLERCICSLNDLIYFYSESIQSQITKNQEPHFLTEYTVRLHTIMERNKGIELWKANGYPSPQLLKLMSDLVSGLAHLHELGIIHRDLKPQNVLIIKGRSLRAKLSDMGISKRLQGDRSSITQHATGYGSSGWQAPEQLRHQRQTRAVDLFSLGCLLFFCVTGGKHPYGDSIERDVNIVNDQKDLFLVDTIPEAVDLFNRLLDPNPDMRPTAMDVLHHPFFWSSETILSFLRDASDRVELEDRESESELLNALEGTAAVALNGKWDEKMESTFINNIGRYRRYKFDSVRDLLRVIRNKLNHYRELPQDIQEILGPVPEGFNSYFSSRFPKLLIEVYKVLYRYCKEEEFFCKYMKSKLL >ONI05450 pep chromosome:Prunus_persica_NCBIv2:G5:859926:864488:1 gene:PRUPE_5G008100 transcript:ONI05450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTTTGEIQWDVQSGAPIYTSYQDVNYFNKITSDDYFFIDVSEEGALYSHTSKGKEKLSSTIEEYIGRTPIWSKDGGVTLGSRRTTVFQVVAQTGKPIHIYNSADTPSKLVVRRTKSDASPKVKDADELVESGSKGLETVEQPLSIVRTDYEITHHSSGKLVWNVTFAAFDSYPQVSNTGNELALKHSRDSDSILPYQMKTIILLTRDPRLTESLSVLARRTDRHPGGSLAIKHGLHDNLPATVQQIPLPPRSDEGRGILAMYRETEDPGSLGTHGRGVGQMNATSRMAEAVTKLQSLFLFVLTLLSIMVYVLRRYVTFGKQRKLKEMVEETKVQTGVPKKKKTRRLGNNKRNVIDEKNTSNVLHEYKVGESKESIHSQRSKDKFLLTFTDHVDGQIEGRRIGKLLVFNDEIAKGSNGTIVLEGTYDGRPVAVKRLVRAHHDVALKEVQNLIASDQHPNIVRWYGVEYDQDFVYLSLERCICSLNDLIYFYSESIQSQITKNQEPHFLTEYTVRLHTIMERNKGIELWKANGYPSPQLLKLMSDLVSGLAHLHELGIIHRDLKPQNVLIIKGRSLRAKLSDMGISKRLQGDRSSITQHATGYGSSGWQAPEQLRHQRQTRAVDLFSLGCLLFFCVTGGKHPYGDSIERDVNIVNDQKDLFLVDTIPEAVDLFNRLLDPNPDMRPTAMDVLHHPFFWSSETILSFLRDASDRVELEDRESESELLNALEGTAAVALNGKWDEKMESTFINNIGRYRRYKFDSVRDLLRVIRNKLNHYRELPQDIQEILGPVPEGFNSYFSSRFPKLLIEVYKVLYRYCKEEEFFCKYMKSKLL >ONI07387 pep chromosome:Prunus_persica_NCBIv2:G5:11858303:11861819:-1 gene:PRUPE_5G116800 transcript:ONI07387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHQTASSPKFPCFLILLVITVFCCCFATAKTQKSLKRGSSFSVEDDSGFLTSPHKSFTCGFYGVGTNAYWFSIWFTNSENKTLVWMANRDRPVNALGSRVSLSRDGTMILTDVDGKIVWDSSTNSTPTGAERAELLNSGNLVLKNPLGKILWQSFDFPTDTLLPNQPFTKTMKLTSSLGRGNFGTGYFSFYFDNDNVLRLIYDGPDISSVYWPGPGLSVYQNGRTDYNSSRIAVFDEMGNFVSSDDLIFSASDMGARVKRRLTTDYDGNLRLYSLNSTGSWVITWEALAQQCRVHGICGRNGICVYTPELKCSCLPGYEAVDTSNWNKGCKPKFKPTCSQSQRVKFKQIQYVDFYGFDLNYSESTSIQNCTKLCVEDCRCEAFVYRGQKCYTKGALFSGLRSPTIEGSLYLRLPEPLSMETSPAANLTVFNSCRTNAVKIGTSSMYDNPSKTVRWVYLYSFAAAIGAVEFLFILSGWWFFFRRSQGMSAPLVDKYRLISSNFRMYLYAELKKATRNFKEELGRGGSGTVYKGVLADERVIAVKALADIYQAEEVFWAEVSTIEKINHMNLVRTWGFCSEGKHRLLISEYVENGSLDKHLFPPNFLGWKERFKVAIGIAKGLAYLHHECLEWVIHCDVKPENILLDSNFEPKIADFGLAKLFQRGGLNAVSSHIRGTKGYMAPEWALNLSITAKVDVYSYGVVLLEIVKGIRLSNRVVDTAEEEEAEMKRFVRIARRKIQCGEDEWIEDMLDPRLEGQFGRNQAAKMVEVGISCVEEDRNKRPTMDSVVQMLLECEEESNIQ >ONI07386 pep chromosome:Prunus_persica_NCBIv2:G5:11858333:11861480:-1 gene:PRUPE_5G116800 transcript:ONI07386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHQTASSPKFPCFLILLVITVFCCCFATAKTQKSLKRGSSFSVEDDSGFLTSPHKSFTCGFYGVGTNAYWFSIWFTNSENKTLVWMANRDRPVNALGSRVSLSRDGTMILTDVDGKIVWDSSTNSTPTGAERAELLNSGNLVLKNPLGKILWQSFDFPTDTLLPNQPFTKTMKLTSSLGRGNFGTGYFSFYFDNDNVLRLIYDGPDISSVYWPGPGLSVYQNGRTDYNSSRIAVFDEMGNFVSSDDLIFSASDMGARVKRRLTTDYDGNLRLYSLNSTGSWVITWEALAQQCRVHGICGRNGICVYTPELKCSCLPGYEAVDTSNWNKGCKPKFKPTCSQSQRVKFKQIQYVDFYGFDLNYSESTSIQNCTKLCVEDCRCEAFVYRGQKCYTKGALFSGLRSPTIEGSLYLRLPEPLSMETSPAANLTVFNSCRTNAVKIGTSSMYDNPSKTVRWVYLYSFAAAIGAVEFLFILSGWWFFFRRSQGMSAPLVDKYRLISSNFRMYLYAELKKATRNFKEELGRGGSGTVYKGVLADERVIAVKALADIYQAEEVFWAEVSTIEKINHMNLVRTWGFCSEGKHRLLISEYVENGSLDKHLFPPNFLGWKERFKVAIGIAKGLAYLHHECLEWVIHCDVKPENILLDSNFEPKIADFGLAKLFQRGGLNAVSSHIRGTKGYMAPEWALNLSITAKVDVYSYGVVLLEIVKGIRLSNRVVDTAEEEEAEMKRFVRIARRKIQCGEDEWIEDMLDPRLEGQFGRNQAAKMVEVGISCVEEDRNKRPTMDSVVQMLLECEEESNIQ >ONI06077 pep chromosome:Prunus_persica_NCBIv2:G5:4343620:4350983:1 gene:PRUPE_5G038800 transcript:ONI06077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDKFKKSRGEARTCNVCSSTSLSSCMHIKPVASLSQAATETDGFSDEISMGKAAPTSQDFESNSKFPSKWPEEQAETLSNSMATFRVEDQIGGKKEDDVKCDAVVEEQEAPSQSKPVNCDDGSDVGEDDVKVCDICGDTGREELLATCSRCIDGAEHIYCMQVMMEKVPGDDWMCEDCMRMEESEKQNHNYVKAERVVKGSSLSGIRKNYKNVDASEFKNRLSMKSKCARVEIKTGKAGSTPSIAGKGPTVNAEAVSVSKRRALETSVKLPRVPSFCSKTVLHKDASKKELDKKKIKATTDVISGDRSSSSYLKNAYLPITSDKSDKFRLQMQLRKGALLKSKSFNIIDSKVKGKLSDEGGVQKHKFVDNNATDDNKKKNIAGTMCKSLSFDNARSNCSNCSDTKVKVPYHLKDSKKSTFTNQENSTQVKHEAKLLNPLVNSSGLLVPQSDKKIASSGQTSLLPVGHDLETGHRKSKNLSEASNHLAHEGSRYVNEKENRVVGDAKQHADHYIEAAVAIRENNSNVNLHSEGRPHVRNASNAFSLAFAVPQLDYIWKGGFEMWRNGRVLGSCDGMQAHLSTVASPKVLEVVPKLPQKMLVEEVPRLSTWPTQFVRNHPTEDNIALYFFAEDLESYRRNYKVLVECMVRDDLALRANVDGVELLIFPSNMLPEDLQCWNRMLFLWGVFRGRRVSCSELMQPSRDVNQVDSILKGGQSKQRQEMDFRFFLQGSRRYSIDSVSVDEEPDLKRVKTDESKYSDKGFPFQTDVCGSGFSGDHLGCGKPYDMPVSSTEMQVRTTIGKDQTESQVPGIELSLGAPFIDFEHQKEDRTSNPKLVNNINDEDNEVSTSLSLSLALPSPLGNKY >ONI06076 pep chromosome:Prunus_persica_NCBIv2:G5:4343620:4350983:1 gene:PRUPE_5G038800 transcript:ONI06076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDKFKKSRGEARTCNVCSSTSLSSCMHIKPVASLSQAATETDGFSDEISMGKAAPTSQDFESNSKALVFLSNKANSSEISSLTDIDPNRGFLKFPSKWPEEQAETLSNSMATFRVEDQIGGKKEDDVKCDAVVEEQEAPSQSKPVNCDDGSDVGEDDVKVCDICGDTGREELLATCSRCIDGAEHIYCMQVMMEKVPGDDWMCEDCMRMEESEKQNHNYVKAERVVKGSSLSGIRKNYKNVDASEFKNRLSMKSKCARVEIKTGKAGSTPSIAGKGPTVNAEAVSVSKRRALETSVKLPRVPSFCSKTVLHKDASKKELDKKKIKATTDVISGDRSSSSYLKNAYLPITSDKSDKFRLQMQLRKGALLKSKSFNIIDSKVKGKLSDEGGVQKHKFVDNNATDDNKKKNIAGTMCKSLSFDNARSNCSNCSDTKVKVPYHLKDSKKSTFTNQENSTQVKHEAKLLNPLVNSSGLLVPQSDKKIASSGQTSLLPVGHDLETGHRKSKNLSEASNHLAHEGSRYVNEKENRVVGDAKQHADHYIEAAVAIRENNSNVNLHSEGRPHVRNASNAFSLAFAVPQLDYIWKGGFEMWRNGRVLGSCDGMQAHLSTVASPKVLEVVPKLPQKMLVEEVPRLSTWPTQFVRNHPTEDNIALYFFAEDLESYRRNYKVLVECMVRDDLALRANVDGVELLIFPSNMLPEDLQCWNRMLFLWGVFRGRRVSCSELMQPSRDVNQVDSILKGGQSKQRQEMDFRFFLQGSRRYSIDSVSVDEEPDLKRVKTDESKYSDKGFPFQTDVCGSGFSGDHLGCGKPYDMPVSSTEMQVRTTIGKDQTESQVPGIELSLGAPFIDFEHQKEDRTSNPKLVNNINDEDNEVSTSLSLSLALPSPLGNKY >ONI08985 pep chromosome:Prunus_persica_NCBIv2:G5:16746945:16750224:1 gene:PRUPE_5G210600 transcript:ONI08985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKLESPVKTQMVVTLISGLLNRDSHESTRGNLARRRRVFVQTETGCVLGMELERGDNAHTVKRRLQLALSVPTDQSSLTFGDRILNNDLSAIRNHSPLLLARNAMHRSSSTPCLSPTGKNLLQKDQSDPIEILGCPNNFARIDELVKDIVAAIKKGVDPIPVHGGLGGAYYFRNISGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKSSVRVGETGFREVAAYLLDYDHFANVPPTVLVKVAHQIFNVNCGVNGDKIQKKKRVSKIASLQQFILHDYDAGDCGTSSFPVAAVHRIGILDIRIFNTDRHAGNLLVKKLDGEFGQVELIPIDHGLCLPEHLEDPYFEWIHWPQASIPFSEDELEYIRNLDPICDSEMLKMELPMIREACLRVLVLCTIFLKEATAFGLCLAEIGEMMSREFGGREEKPSELEAVCLEARRIIEDLNMSNVEAEEGNEEFLFDIDCENAMIVDPSQVISRDFFDQVSYPTRTSIVKVADIRPVRPEENWYKTASKLPTSLKNIDVGVRRSANDQLPTSISFVKVADMSEEQWILFLEKVRELLYPAFGNRRRATIGQRQRLGSSCKF >ONI08984 pep chromosome:Prunus_persica_NCBIv2:G5:16746991:16750177:1 gene:PRUPE_5G210600 transcript:ONI08984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKLESPVKTQMVVTLISGLLNRDSHESTRGNLARRRRVFVQTETGCVLGMELERGDNAHTVKRRLQLALSVPTDQSSLTFGDRILNNDLSAIRNHSPLLLARNAMHRSSSTPCLSPTGKNLLQKDQSDPIEILGCPNNFARIDELVKDIVAAIKKGVDPIPVHGGLGGAYYFRNISGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKSSVRVGETGFREVAAYLLDYDHFANVPPTVLVKVAHQIFNVNCGVNGDKIQKKKRVSKIASLQQFILHDYDAGDCGTSSFPVAAVHRIGILDIRIFNTDRHAGNLLVKKLDGEFGQVELIPIDHGLCLPEHLEDPYFEWIHWPQASIPFSEDELEYIRNLDPICDSEMLKMELPMIREACLRVLVLCTIFLKEATAFGLCLAEIGEMMSREFGGREEKPSELEAVCLEARRIIEDLNMSNVEAEEGNEEFLFDIDCENAMIVDPSQVISRDFFDQVSYPTRTSIVKVADIRPVRPEENWYKTASKLPTSLKNIDVGVRRSANDQLPTSISFVKVADMSEEQWILFLEKVRELLYPAFGNRRRATIGQRQRLGSSCKF >ONI08983 pep chromosome:Prunus_persica_NCBIv2:G5:16746991:16750172:1 gene:PRUPE_5G210600 transcript:ONI08983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKLESPVKTQMVVTLISGLLNRDSHESTRGNLARRRRVFVQTETGCVLGMELERGDNAHTVKRRLQLALSVPTDQSSLTFGDRILNNDLSAIRNHSPLLLARNAMHRSSSTPCLSPTGKNLLQKDQSDPIEILGCPNNFARIDELVKDIVAAIKKGVDPIPVHGGLGGAYYFRNISGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKSSVRVGETGFREVAAYLLDYDHFANVPPTVLVKVAHQIFNVNCGVNGDKIQKKKRVSKIASLQQFILHDYDAGDCGTSSFPVAAVHRIGILDIRIFNTDRHAGNLLVKKLDGEFGQVELIPIDHGLCLPEHLEDPYFEWIHWPQASIPFSEDELEYIRNLDPICDSEMLKMELPMIREACLRVLVLCTIFLKEATAFGLCLAEIGEMMSREFGGREEKPSELEAVCLEARRIIEDLNMSNVEAEEGNEEFLFDIDCENAMIVDPSQVISRDFFDQVSYPTRTSIVKVADIRPVRPEENWYKTASKLPTSLKNIDVGVRRSANDQLPTSISFVKVADMSEEQWILFLEKVRELLYPAFGNRRRATIGQRQRLGSSCKF >ONI05818 pep chromosome:Prunus_persica_NCBIv2:G5:2859018:2863228:1 gene:PRUPE_5G025500 transcript:ONI05818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAVKFLLDKLTSLFENDLQLLRGVREEIVYLRGELERMTAFLRIADAFEESDEEVKVWVKQVRDIAHDSEDVLDEFTILQAHDHGKEEQGLLYGSIRRLSCCIKNTKARYRIASQLQGINMRIRKISDVHKRLSHKFCTSEVAGKWWETHGGGGDALLLERSDIVGIDEPIKQMVGWLVKGSSGREVVSVAGMGGMGKTTLAKQVYDAAQVKKHFKVRAWITVTQSFKLGEILKHMIEQLHQAIRIPVPQGINNMSTNQLKTVIKEVLQRRRYLVVLDDVWHLCGWDALKYALPNNTCGSRVILTTRNADVASTTCVESRGKVYNLEPLPLTDAWELLCKKTFQGNSCPPHLEEVCNYILRKCEGLPLAIVAISGVLATKDKRRIDEWDMVGRCLGGQIEGNDKLKDLKKVLSLSFNDLPYYLKSCFLYLSIFPEDHQIKHMRLIRLWIAEGFIETKESKTLEDVAEDYLNELLNRSMIQAAETTPDGRVQKFRIHDLLREIITSKTRDQNFATIAKEYNMPWPDKVRRLSIHNTLQNVQQYRSASQLRSLFMFRVAEKPSLQRFFPTGFTLLNVLDLQSTPLNVFPAEVVNLFFLKYLSLRDTRVKTVPTWIGKLQNLETLDLKNSRVTELPVEILKLQHLRHLLVYRYEFVPHENFHSKYGFKVLGKIGALTSLQKLCFIEVNQDGGAILIELGKLVQLRRLGIVKMRKEYGKAFCSSIEKLTKICSLSITSVEEDEIIDLEYLSSPPLLLQRLYLRGRLEKLPHWIPSLHSLVKLYLKWSRLKDDPLVFLQYLPNLVHLELSEVFEGDTLCFGAGGFKKLKHLGLDTSDELRCIRVEAGTMPCIEQLSIKRCKSLEKVPSGIEHLITLKVLKFSDMPEKLIRTLLPHEPGNDYWKVEHIPEVYITYWREWEWKVYSLEGLSEAQNSHFCCK >ONI05817 pep chromosome:Prunus_persica_NCBIv2:G5:2858984:2863228:1 gene:PRUPE_5G025500 transcript:ONI05817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDHGKEEQGLLYGSIRRLSCCIKNTKARYRIASQLQGINMRIRKISDVHKRLSHKFCTSEVAGKWWETHGGGGDALLLERSDIVGIDEPIKQMVGWLVKGSSGREVVSVAGMGGMGKTTLAKQVYDAAQVKKHFKVRAWITVTQSFKLGEILKHMIEQLHQAIRIPVPQGINNMSTNQLKTVIKEVLQRRRYLVVLDDVWHLCGWDALKYALPNNTCGSRVILTTRNADVASTTCVESRGKVYNLEPLPLTDAWELLCKKTFQGNSCPPHLEEVCNYILRKCEGLPLAIVAISGVLATKDKRRIDEWDMVGRCLGGQIEGNDKLKDLKKVLSLSFNDLPYYLKSCFLYLSIFPEDHQIKHMRLIRLWIAEGFIETKESKTLEDVAEDYLNELLNRSMIQAAETTPDGRVQKFRIHDLLREIITSKTRDQNFATIAKEYNMPWPDKVRRLSIHNTLQNVQQYRSASQLRSLFMFRVAEKPSLQRFFPTGFTLLNVLDLQSTPLNVFPAEVVNLFFLKYLSLRDTRVKTVPTWIGKLQNLETLDLKNSRVTELPVEILKLQHLRHLLVYRYEFVPHENFHSKYGFKVLGKIGALTSLQKLCFIEVNQDGGAILIELGKLVQLRRLGIVKMRKEYGKAFCSSIEKLTKICSLSITSVEEDEIIDLEYLSSPPLLLQRLYLRGRLEKLPHWIPSLHSLVKLYLKWSRLKDDPLVFLQYLPNLVHLELSEVFEGDTLCFGAGGFKKLKHLGLDTSDELRCIRVEAGTMPCIEQLSIKRCKSLEKVPSGIEHLITLKVLKFSDMPEKLIRTLLPHEPGNDYWKVEHIPEVYITYWREWEWKVYSLEGLSEAQNSHFCCK >ONI06043 pep chromosome:Prunus_persica_NCBIv2:G5:4146686:4147456:1 gene:PRUPE_5G036400 transcript:ONI06043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNCLTCQVLNRTNSSGSDKDNVCKDKAYRKICCVNINMERSWSGNLTPPSYEQIQESSMFMPDKKKVKMSMGHRRLMSTGGVAYEGSTEPRLVRSSGMRRDWSFENLALLRNEKKGRNS >ONI09429 pep chromosome:Prunus_persica_NCBIv2:G5:17970131:17972761:1 gene:PRUPE_5G237700 transcript:ONI09429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAATASVVQSSIAAFRPSNSIGRSGNLAANGPAGFLRLSPGFHFSIKQHQFSISQPKVGHWRMGFGSRRCLVVRAAAASPSPDSTGSTSPIAPLQLESPIGQFLSQIMISHPHLVPSAVEQQLEQLQTDRDTEQKQEEPSASGTDLVLYRRIAEVKATERRKALEEILYTLVVQKFMDANVSLIPALTPSASDLSGRVDTWPSEEDKLEQLHSPEAYEMIQNHLALILGSRLGDSASLAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTVKILPNALGAEDSNVQKSVGEDMRPGGEEESLQAGASHPEVTSWGGGISPGGFGNGIKPSRLRTYVMSFDGETLHRYATIRSREAVSIIEKHTEALFGRPDIVITPQGTVDSSKDDHVKISFGGLRRLVLEAVTFGSFLWDVESYVDSRYHFVTN >ONI09428 pep chromosome:Prunus_persica_NCBIv2:G5:17970131:17972761:1 gene:PRUPE_5G237700 transcript:ONI09428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAATASVVQSSIAAFRPSNSIGRSGNLAANGPAGFLRLSPGFHFSIKQHQFSISQPKVGHWRMGFGSRRCLVVRAAAASPSPDSTGSTSPIAPLQLESPIGQFLSQIMISHPHLVPSAVEQQLEQLQTDRDTEQKQEEPSASGTDLVLYRRIAEVKATERRKALEEILYTLVVQKFMDANVSLIPALTPSASDLSGRVDTWPSEEDKLEQLHSPEAYEMIQNHLALILGSRLGDSASLAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTVKILPNALGAEDSNVQKSVGEDMRPGGEEESLQAGASHPEVTSWGGGISPGGFGNGIKPSRLRTYVMSFDGETLHRYATIRSREAVSIIEKHTEALFGRPDIVITPQGTVDSSKDDHVKISFGGLRRLVLEAVTFGSFLWDVESYVDSRYHFVTN >ONI09432 pep chromosome:Prunus_persica_NCBIv2:G5:17970131:17972761:1 gene:PRUPE_5G237700 transcript:ONI09432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAATASVVQSSIAAFRPSNSIGRSGNLAANGPAGFLRLSPGFHFSIKHQFSISQPKVGHWRMGFGSRRCLVVRAAAASPSPDSTGSTSPIAPLQLESPIGQFLSQIMISHPHLVPSAVEQQLEQLQTDRDTEQKQEEPSASGTDLVLYRRIAEVKATERRKALEEILYTLVVQKFMDANVSLIPALTPSASDLSGRVDTWPSEEDKLEQLHSPEAYEMIQNHLALILGSRLGDSASLAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTVKILPNALGAEDSNVQKSVGEDMRPGGEEESLQAGASHPEVTSWGGGISPGGFGNGIKPSRLRTYVMSFDGETLHRYATIRSREAVSIIEKHTEALFGRPDIVITPQGTVDSSKDDHVKISFGGLRRLVLEAVTFGSFLWDVESYVDSRYHFVTN >ONI09426 pep chromosome:Prunus_persica_NCBIv2:G5:17970131:17972761:1 gene:PRUPE_5G237700 transcript:ONI09426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKGGSQNVKIGNFALRPQKPLNCKRRRLPTLLIINYRVGLAELKMEAAATASVVQSSIAAFRPSNSIGRSGNLAANGPAGFLRLSPGFHFSIKHQFSISQPKVGHWRMGFGSRRCLVVRAAAASPSPDSTGSTSPIAPLQLESPIGQFLSQIMISHPHLVPSAVEQQLEQLQTDRDTEQKQEEPSASGTDLVLYRRIAEVKATERRKALEEILYTLVVQKFMDANVSLIPALTPSASDLSGRVDTWPSEEDKLEQLHSPEAYEMIQNHLALILGSRLGDSASLAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTVKILPNALGAEDSNVQKSVGEDMRPGGEEESLQAGASHPEVTSWGGGISPGGFGNGIKPSRLRTYVMSFDGETLHRYATIRSREAVSIIEKHTEALFGRPDIVITPQGTVDSSKDDHVKISFGGLRRLVLEAVTFGSFLWDVESYVDSRYHFVTN >ONI09430 pep chromosome:Prunus_persica_NCBIv2:G5:17970149:17972730:1 gene:PRUPE_5G237700 transcript:ONI09430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAATASVVQSSIAAFRPSNSIGRSGNLAANGPAGFLRLSPGFHFSIKHQFSISQPKVGHWRMGFGSRRCLVVRAAAASPSPDSTGSTSPIAPLQLESPIGQFLSQIMISHPHLVPSAVEQQLEQLQTDRDTEQKQEEPSASGTDLVLYRRIAEVKATERRKALEEILYTLVVQKFMDANVSLIPALTPSASDLSGRVDTWPSEEDKLEQLHSPEAYEMIQNHLALILGSRLGDSASLAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTVKILPNALGAEDSNVQKSVGEDMRPGGEEESLQAGASHPEVTSWGGGISPGGFGNGIKPSRLRTYVMSFDGETLHRYATIRSREAVSIIEKHTEALFGRPDIVITPQGTVDSSKDDHVKISFGGLRRLVLEAVTFGSFLWDVESYVDSRYHFVTN >ONI09427 pep chromosome:Prunus_persica_NCBIv2:G5:17970437:17972577:1 gene:PRUPE_5G237700 transcript:ONI09427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAATASVVQSSIAAFRPSNSIGRSGNLAANGPAGFLRLSPGFHFSIKQHQFSISQPKVGHWRMGFGSRRCLVVRAAAASPSPDSTGSTSPIAPLQLESPIGQFLSQIMISHPHLVPSAVEQQLEQLQTDRDTEQKQEEPSASGTDLVLYRRIAEVKATERRKALEEILYTLVVQKFMDANVSLIPALTPSASDLSGRVDTWPSEEDKLEQLHSPEAYEMIQNHLALILGSRLGDSASLAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTVKILPNALGAEDSNVQKSVGEDMRPGGEEESLQAGASHPEVTSWGGGISPGGFGNGIKPSRLRTYVMSFDGETLHRYATIRSREAVSIIEKHTEALFGRPDIVITPQGTVDSSKDDHVKISFGGLRRLVLEAVTFGSFLWDVESYVDSRYHFVTN >ONI09431 pep chromosome:Prunus_persica_NCBIv2:G5:17970131:17972761:1 gene:PRUPE_5G237700 transcript:ONI09431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAATASVVQSSIAAFRPSNSIGRSGNLAANGPAGFLRLSPGFHFSIKHQFSISQPKVGHWRMGFGSRRCLVVRAAAASPSPDSTGSTSPIAPLQLESPIGQFLSQIMISHPHLVPSAVEQQLEQLQTDRDTEQKQEEPSASGTDLVLYRRIAEVKATERRKALEEILYTLVVQKFMDANVSLIPALTPSASDLSGRVDTWPSEEDKLEQLHSPEAYEMIQNHLALILGSRLGDSASLAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTVKILPNALGAEDSNVQKSVGEDMRPGGEEESLQAGASHPEVTSWGGGISPGGFGNGIKPSRLRTYVMSFDGETLHRYATIRSREAVSIIEKHTEALFGRPDIVITPQGTVDSSKDDHVKISFGGLRRLVLEAVTFGSFLWDVESYVDSRYHFVTN >ONI08019 pep chromosome:Prunus_persica_NCBIv2:G5:13808053:13811801:1 gene:PRUPE_5G153500 transcript:ONI08019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLLYEAASGYSLFLAHGIDEIGQNTDAVRSSVSDLNRFGKVVQLTAFHPFESALDALNQCNSISEGVMTDELRNFLEINLPKVKDGKKPKFSLGLAEPKIGSHIFEATKIPCQSNDFVLELIRGVRLHFDRFIKDLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYSWHFPELVKIVNDNYLYAKVSKFIEDKSTLSEDKLPELTDIVGDEDKAKEIIEAAKASMGQDLSPIDLINVQQFAQRVMDLSEYRKRLYDYLVTKMNDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFADSSTTVFGEKLREQVEERLDFYDKGVAPRKNIDVMKSAIENTQIKEMETEEVPTEASGKKSKKKKSKAAANGDGAVDEPAETTNGDAGEGKSEKKKKKDKRKLDQEDQPMEDANNGHVEEDGAAKQKKKKKSKHENGEDLQAASEVKKKKKKKSRSEDTD >ONI08020 pep chromosome:Prunus_persica_NCBIv2:G5:13808098:13811588:1 gene:PRUPE_5G153500 transcript:ONI08020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLLYEAASGYSLFLAHGIDEIGQNTDAVRSSVSDLNRFGKVVQLTAFHPFESALDALNQCNSISEGVMTDELRNFLEINLPKVKDGKKPKFSLGLAEPKIGSHIFEATKIPCQSNDFVLELIRGVRLHFDRFIKDLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFSMRVREWYSWHFPELVKIVNDNYLYAKVSKFIEDKSTLSEDKLPELTDIVGDEDKAKEIIEAAKASMGQDLSPIDLINVQQFAQRVMDLSEYRKRLYDYLVTKMNDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFADSSTTVFGEKLREQVEERLDFYDKGVAPRKNIDVMKSAIENTQIKGA >ONI05462 pep chromosome:Prunus_persica_NCBIv2:G5:928182:928550:1 gene:PRUPE_5G008900 transcript:ONI05462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTLAKPPKHKPWIILIPTSCNPRIIRGLLLSQLLDGDNYSTWRRTIIISLSVKTKLGFVDGTIKPLSEKDSKYPLWRCCNDMVMAWLLNVITQALANSIIYVDTPTEVWSDLQDRFSVGHLT >ONI06633 pep chromosome:Prunus_persica_NCBIv2:G5:8627471:8632296:-1 gene:PRUPE_5G071400 transcript:ONI06633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRTNFYKNPSIAYKKDLSLSSVLQNLKAYNIATGNTPPIEEHPPAADGKTACRKRQRDPELPPPPRRQTQSREIEENDGPMSHQDYIDKRRKEVSASQAYEELTADVLGKPGTSSLKLVQYDSHIHESDRVKSRSEQHFPHPGEPVCVICGKYGEYICDETNDDICSMECKADLLEALKVVKEPSSNQRQDVSSSGPKFSLPMPDFGEDTWDYERHRWSKKISSLSTYECWKCRRPGHLAEDCLVMTSNQVTLVQGKPNSIPADLLALYRRCHQIGKNMSAAKCNECYSSLNLATCLHCSIPLCDNAGHLNEHIQANPSHRQYYSHKLSRLVGTNFGSLLPKSFFREGKDAVPAMTCGIVDRVQFE >ONI06631 pep chromosome:Prunus_persica_NCBIv2:G5:8625879:8632537:-1 gene:PRUPE_5G071400 transcript:ONI06631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRTNFYKNPSIAYKKDLSLSSVLQNLKAYNIATGNTPPIEEHPPAADGKTACRKRQRDPELPPPPRRQTQSREIEENDGPMSHQDYIDKRRKEVSASQAYEELTADVLGKPGTSSLKLVQYDSDESTSECELKQDSPSSGHIHESDRVKSRSEQHFPHPGEPVCVICGKYGEYICDETNDDICSMECKADLLEALKVVKEPSSNQRQDVSSSGPKFSLPMPDFGEDTWDYERHRWSKKISSLSTYECWKCRRPGHLAEDCLVMTSNQVTLVQGKPNSIPADLLALYRRCHQIGKNMSAAKCNECYSSLNLATCLHCSIPLCDNAGHLNEHIQANPSHRQYYSHKLSRLVKCCKSTCNVTDLKDLLTCQYCFDKAFDKFYDMYTATWKGTGLSIISGSICCEDHFAWHRMNCMNANAEESAYIISKSSQKDKRVQLSDFIF >ONI06632 pep chromosome:Prunus_persica_NCBIv2:G5:8625895:8632479:-1 gene:PRUPE_5G071400 transcript:ONI06632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRTNFYKNPSIAYKKDLSLSSVLQNLKAYNIATGNTPPIEEHPPAADGKTACRKRQRDPELPPPPRRQTQSREIEENDGPMSHQDYIDKRRKEVSASQAYEELTADVLGKPGTSSLKLVQYDSDESTSECELKQDSPSSGHIHESDRVKSRSEQHFPHPGEPVCVICGKYGEYICDETNDDICSMECKADLLEALKVVKEPSSNQRQDVSSSGPKFSLPMPDFGEDTWDYERHRWSKKISSLSTYECWKCRRPGHLAEDCLVMTSNQVTLVQGKPNSIPADLLALYRRCHQIGKNMSAAKCNECYSSLNLATCLHCSIPLCDK >ONI06630 pep chromosome:Prunus_persica_NCBIv2:G5:8625894:8632399:-1 gene:PRUPE_5G071400 transcript:ONI06630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRTNFYKNPSIAYKKDLSLSSVLQNLKAYNIATGNTPPIEEHPPAADGKTACRKRQRDPELPPPPRRQTQSREIEENDGPMSHQDYIDKRRKEVSASQAYEELTADVLGKPGTSSLKLVQYDSHIHESDRVKSRSEQHFPHPGEPVCVICGKYGEYICDETNDDICSMECKADLLEALKVVKEPSSNQRQDVSSSGPKFSLPMPDFGEDTWDYERHRWSKKISSLSTYECWKCRRPGHLAEDCLVMTSNQVTLVQGKPNSIPADLLALYRRCHQIGKNMSAAKCNECYSSLNLATCLHCSIPLCDNAGHLNEHIQANPSHRQYYSHKLSRLVKCCKSTCNVTDLKDLLTCQYCFDKAFDKFYDMYTATWKGTGLSIISGSICCEDHFAWHRMNCMNANAEESAYIISKSSQKDKRVQLSDFIF >ONI09206 pep chromosome:Prunus_persica_NCBIv2:G5:17346310:17347178:1 gene:PRUPE_5G223700 transcript:ONI09206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDEVRRLKKIGKDGAFHKKLASENINTVQDFLKLFVVNRSKLRRILGVGMSEKMWEVTVKHARTCVMGNKMFVHHGAHFELFLIPICEGLSTTYANWNSSEMVDAILNETALLTQGDYVVEQYPNHHTEAMSRSAFDQNGHLGLAAADNHPKSVNVGYLSP >ONI07173 pep chromosome:Prunus_persica_NCBIv2:G5:11096064:11100320:1 gene:PRUPE_5G104200 transcript:ONI07173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQEYMDKMQGRQNYRNLWHSDLMSTIQADTPYCCFAAFCAPCASYLLRKRALYNDMSRYVCCAGYMPCSGRCGESKCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQIACIFSIVAMIVGSEEISEASQLLNCLADLVYCTVCACMQTQHKIEMDKRDGKFGPQPMAVPQFQQMSRIDQQVPPSVGYPPQPAYPPQPAYGQPYGYPPPQQAQGYPPAGYPPSGYPHSGH >ONI06181 pep chromosome:Prunus_persica_NCBIv2:G5:4971900:4974384:-1 gene:PRUPE_5G046000 transcript:ONI06181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKMTVVPNGKDFIDIILSRTQRQTPTVFHKDYAISRLRTFYMRKVKYTQLNFHEKLSTIIDEFPSLDDIHPFYGNLLHVLYNKDHYKLALGQISTARNLIGKISKDYVKLLKYGDSLYRCKCLKVAALGCMCTVINRIGPSLAYLEQIRQHMARLPSIDPNTRTILVCGCPNVGKSSFINKITRADMDVQPYAFTTKSLFVGHTDYKCSRYQVIDTPGILDRPFEDRNIIEMCSIIALAHLRAAILFFLDISGSCGYSIAQQAALFHCIKSLFVNKPLIIVCNKTDLQPLEGISEEDKKLVTEMKAEAMKTVIGQGGEASNDEGVLFTMSTLTEEGVIAVKNAACERLLNQRVELKMKSKKINDCLNRFYVAMPNPCDNKERPQCIPQAVLEAKAKQAAEKDLENENGGAGVYSASLKKNYILANDEWKEDLMPEILDGHNVYDFVDPDILRRLEELEREEGFRQEKAGDDDFEMDGLELTPEEQKTLHHFQFSLSLF >ONI06180 pep chromosome:Prunus_persica_NCBIv2:G5:4971839:4974392:-1 gene:PRUPE_5G046000 transcript:ONI06180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKMTVVPNGKDFIDIILSRTQRQTPTVFHKDYAISRLRTFYMRKVKYTQLNFHEKLSTIIDEFPSLDDIHPFYGNLLHVLYNKDHYKLALGQISTARNLIGKISKDYVKLLKYGDSLYRCKCLKVAALGCMCTVINRIGPSLAYLEQIRQHMARLPSIDPNTRTILVCGCPNVGKSSFINKITRADMDVQPYAFTTKSLFVGHTDYKCSRYQVIDTPGILDRPFEDRNIIEMCSIIALAHLRAAILFFLDISGSCGYSIAQQAALFHCIKSLFVNKPLIIVCNKTDLQPLEGISEEDKKLVTEMKAEAMKTVIGQGGEASNDEGVLFTMSTLTEEGVIAVKNAACERLLNQRVELKMKSKKINDCLNRFYVAMPNPCDNKERPQCIPQAVLEAKAKQAAEKDLENENGGAGVYSASLKKNYILANDEWKEDLMPEILDGHNVYDFVDPDILRRLEELEREEGFRQEKAGDDDFEMDGLELTPEEQKTLHHFQFSLSLF >ONI05298 pep chromosome:Prunus_persica_NCBIv2:G5:118354:124995:-1 gene:PRUPE_5G000200 transcript:ONI05298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAVANGLAGAGGGMIAQIITYPLQTVNTRQQTERVAKKSLANSKRLGTLVQILQVIRSDGWGGLYSGLKPSLLGTAASQGIYYYFYQVFKNKAEAIAVARKAKGHGDGTVGMFSWLLVAALAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEERKEALVKEASENSLTGSELQVKLAELDSIKPHPYGTWQAVNEVYSEAKITGFWKGIVPTLIMVCNPAIQFMIYESSLKHLRAKRADRKQGSKNITALEVFLLGALAKLGATISTYPLLVVKSRLQAKQEIGGNISLRYSGTVDAIRKMIQYEGLPGFYKGMSTKIAQSVFAASVLFMVKEELLKIYTLLADKCNKVLLSSAK >ONI05297 pep chromosome:Prunus_persica_NCBIv2:G5:118354:124995:-1 gene:PRUPE_5G000200 transcript:ONI05297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAVANGLAGAGGGMIAQIITYPLQTVNTRQQTERVAKKSLANSKRLGTLVQILQVIRSDGWGGLYSGLKPSLLGTAASQGIYYYFYQVFKNKAEAIAVARKAKGHGDGTVGMFSWLLVAALAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEERKEALVKEASENSLTGSELQVKLAELDSIKPHPYGTWQAVNEVYSEAKITGFWKGIVPTLIMVCNPAIQFMIYESSLKHLRAKRADRKQGSKNITALESRLQAKQEIGGNISLRYSGTVDAIRKMIQYEGLPGFYKGMSTKIAQSVFAASVLFMVKEELLKIYTLLADKCNKVLLSSAK >ONI05296 pep chromosome:Prunus_persica_NCBIv2:G5:117619:125066:-1 gene:PRUPE_5G000200 transcript:ONI05296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAVANGLAGAGGGMIAQIITYPLQTVNTRQQTERVAKKSLANSKRLGTLVQILQVIRSDGWGGLYSGLKPSLLGTAASQGIYYYFYQVFKNKAEAIAVARKAKGHGDGTVGMFSWLLVAALAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEERKEALVKEASENSLTGSELQVKLAELDSIKPHPYGTWQASRLQAKQEIGGNISLRYSGTVDAIRKMIQYEGLPGFYKGMSTKIAQSVFAASVLFMVKEELLKIYTLLADKCNKVLLSSAK >ONI05294 pep chromosome:Prunus_persica_NCBIv2:G5:117619:125066:-1 gene:PRUPE_5G000200 transcript:ONI05294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAVANGLAGAGGGMIAQIITYPLQTVNTRQQTERVAKKSLANSKRLGTLVQILQVIRSDGWGGLYSGLKPSLLGTAASQVFKNKAEAIAVARKAKGHGDGTVGMFSWLLVAALAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEERKEALVKEASENSLTGSELQVKLAELDSIKPHPYGTWQASRLQAKQEIGGNISLRYSGTVDAIRKMIQYEGLPGFYKGMSTKIAQSVFAASVLFMVKEELLKIYTLLADKCNKVLLSSAK >ONI05295 pep chromosome:Prunus_persica_NCBIv2:G5:118354:124995:-1 gene:PRUPE_5G000200 transcript:ONI05295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAVANGLAGAGGGMIAQIITYPLQTVNTRQQTERVAKKSLANSKRLGTLVQILQVIRSDGWGGLYSGLKPSLLGTAASQVFKNKAEAIAVARKAKGHGDGTVGMFSWLLVAALAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEERKEALVKEASENSLTGSELQVKLAELDSIKPHPYGTWQAVNEVYSEAKITGFWKGIVPTLIMVCNPAIQFMIYESSLKHLRAKRADRKQGSKNITALEVFLLGALAKLGATISTYPLLVVKSRLQAKQEIGGNISLRYSGTVDAIRKMIQYEGLPGFYKGMSTKIAQSVFAASVLFMVKEELLKIYTLLADKCNKVLLSSAK >ONI07113 pep chromosome:Prunus_persica_NCBIv2:G5:10852040:10854586:1 gene:PRUPE_5G100600 transcript:ONI07113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGGERAQRRHPTSSETLISEPHLDPPSTCSETTLHPGEEDRTESKPELNQTEIFRTLEVVERDSLSIADSFTTLFASLRLALSEVTSNSVDHMHCFSEAAGRLQESVLDCATKGNRYINSSLRKVLRRNVDALDSGVNKLLRLP >ONI07112 pep chromosome:Prunus_persica_NCBIv2:G5:10852040:10854586:1 gene:PRUPE_5G100600 transcript:ONI07112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGGERAQRRHPTSSETLISEPHLDPPSTCSETTLHPGEEDRTESKPELNQTEIFRTLEVVERDSLSIADSFTTLFASLRLALSEVTSNSVDHMHCFSEAAGRLQESVLDCATKGNRYINSSLRLNEEMKGIDSLALQLKVLRRNVDALDSGVNKLLRLP >ONI07114 pep chromosome:Prunus_persica_NCBIv2:G5:10852040:10854586:1 gene:PRUPE_5G100600 transcript:ONI07114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGGERAQRRHPTSSETLISEPHLDPPSTCSETTLHPGEEDRTESKPELNQTEIFRTLEVVERDSLSIADSFTTLFASLRLALSEVTSNSVDHMHCFSEAAGRLQESVLDCATKGNRYINSSLRKVLRRNVDALDSGVNKLLRLP >ONI07111 pep chromosome:Prunus_persica_NCBIv2:G5:10852040:10854874:1 gene:PRUPE_5G100600 transcript:ONI07111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGGERAQRRHPTSSETLISEPHLDPPSTCSETTLHPGEEDRTESKPELNQTEIFRTLEVVERDSLSIADSFTTLFASLRLALSEVTSNSVDHMHCFSEAAGRLQESVLDCATKGNRYINSSLRLNEEMKGIDSLALQLKVLRRNVDALDSGVNKLLRLP >ONI09125 pep chromosome:Prunus_persica_NCBIv2:G5:17129518:17138610:-1 gene:PRUPE_5G219600 transcript:ONI09125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIVCLSNILKVATNLGERITTFNLVTATHVFNTQAFLTMRSRSTGQPPEPMLTQFLDSHGFMDYLERGLGSEENTNNLLDKLQDAIRRSQNPISILPSNLVEPEIITIADTDVGASGSGAKYTYDRFPSNIRTEEQEEKRRQILAAASGLSSSSPSVLVGKDSKSETLTPLERAAERECMVLDIKVKLQGLWLRLLKLGPTDDPLSSFEYGTILALIESDAEGIGGSGFIECIREHINQGWHCQLTEEQFLAVKELLKTAISRATSRNDLLTIRDALEVSAEMYKKDANNVADYIQRHLISLSIWEELRFWEGYFDYLMERSANKSANYASLVTAQLKFVESHMAGLGLPDTDAWYTIETIGEKNNIGYTQFIQLRGFLSHVVQLRIGYWGITAVKPQSMLSHGLASPHSKDNTVEDQQPAEASGVGRSWVQSMFSRDTASRSTSFSRVRKWTSDGGSSATNENGTPRKRDLSAAGQKKTQTNVRVLRGHSGSVTALHCVTRREVWDLVGDREDAGFFISGSTDCTVKIWDPSFRGNELRATLKGHTRAVRAISSDRGKVVSGSDDHSVLVWDKQTTQLLEELKGHDAPVSCARMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAIANTWDIRAGKAMHKLVGHTKWIRSIRMVGDTVVTGSDDWTARMWSVSRGTCDAVLACHAGPILSVEYSTLDKGIITGSTDGLLRFWENVEGGIRCVKNVTVHTAAILSINAAEHWLGIGAADNSMSLFHRPQERLGSFSSTGTKMAGWQLYRTPQRTVAVVRCIATDLERKRICSGGRNGLLRLWEATINI >ONI09124 pep chromosome:Prunus_persica_NCBIv2:G5:17129520:17138610:-1 gene:PRUPE_5G219600 transcript:ONI09124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVRKQVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLAMDGVVVVDLECNRITTTEDIPPIPEPELGDLRGEITKLLHPNVVGIDQMKDGLYSLPEQYPKGGNKPWGEDHDLQLRLVFLKFFASLLSGYRNFIEVTATHVFNTQAFLTMRSRSTGQPPEPMLTQFLDSHGFMDYLERGLGSEENTNNLLDKLQDAIRRSQNPISILPSNLVEPEIITIADTDVGASGSGAKYTYDRFPSNIRTEEQEEKRRQILAAASGLSSSSPSVLVGKDSKSETLTPLERAAERECMVLDIKVKLQGLWLRLLKLGPTDDPLSSFEYGTILALIESDAEGIGGSGFIECIREHINQGWHCQLTEEQFLAVKELLKTAISRATSRNDLLTIRDALEVSAEMYKKDANNVADYIQRHLISLSIWEELRFWEGYFDYLMERSANKSANYASLVTAQLKFVESHMAGLGLPDTDAWYTIETIGEKNNIGYTQFIQLRGFLSHVVQLRIGYWGITAVKPQSMLSHGLASPHSKDNTVEDQQPAEASGVGRSWVQSMFSRDTASRSTSFSRVRKWTSDGGSSATNENGTPRKRDLSAAGQKKTQTNVRVLRGHSGSVTALHCVTRREVWDLVGDREDAGFFISGSTDCTVKIWDPSFRGNELRATLKGHTRAVRAISSDRGKVVSGSDDHSVLVWDKQTTQLLEELKGHDAPVSCARMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAIANTWDIRAGKAMHKLVGHTKWIRSIRMVGDTVVTGSDDWTARMWSVSRGTCDAVLACHAGPILSVEYSTLDKGIITGSTDGLLRFWENVEGGIRCVKNVTVHTAAILSINAAEHWLGIGAADNSMSLFHRPQERLGSFSSTGTKMAGWQLYRTPQRTVAVVRCIATDLERKRICSGGRNGLLRLWEATINI >ONI09121 pep chromosome:Prunus_persica_NCBIv2:G5:17129518:17140867:-1 gene:PRUPE_5G219600 transcript:ONI09121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIFEHLVVCGIGPEIRTLDGSKGFHGFGTYYMPSLLDQYPPPNHTLYPPPPPQLPTCVLPAGVLFYSSGYDSNDASTIPRSYPIVLTEGDGTKIYVSCIAFRDPVSEDIAEAYCIPANSFADKCICLVSRSPSFRLLRNTLEELFTLCFSPGGSSKPLWDVIASLVSTVPLPTPGKDRVLFAIDNCLLSVEAPPKDGLPHVDISFQPLVQCLDVDNLIKFFTAVLLERRILLRSNKYSILTLVSEAICHLLYPFRWQQVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLAMDGVVVVDLECNRITTTEDIPPIPEPELGDLRGEITKLLHPNVVGIDQMKDGLYSLPEQYPKGGNKPWGEDHDLQLRLVFLKFFASLLSGYRNFIEVTATHVFNTQAFLTMRSRSTGQPPEPMLTQFLDSHGFMDYLERGLGSEENTNNLLDKLQDAIRRSQNPISILPSNLVEPEIITIADTDVGASGAKYTYDRFPSNIRTEEQEEKRRQILAAASGLSSSSPSVLVGKDSKSETLTPLERAAERECMVLDIKVKLQGLWLRLLKLGPTDDPLSSFEYGTILALIESDAEGIGGSGFIECIREHINQGWHCQLTEEQFLAVKELLKTAISRATSRNDLLTIRDALEVSAEMYKKDANNVADYIQRHLISLSIWEELRFWEGYFDYLMERSANKSANYASLVTAQLKFVESHMAGLGLPDTDAWYTIETIGEKNNIGYTQFIQLRGFLSHVVQLRIGYWGITAVKPQSMLSHGLASPHSKDNTVEDQQPAEASGVGRSWVQSMFSRDTASRSTSFSRVRKWTSDGGSSATNENGTPRKRDLSAAGQKKTQTNVRVLRGHSGSVTALHCVTRREVWDLVGDREDAGFFISGSTDCTVKIWDPSFRGNELRATLKGHTRAVRAISSDRGKVVSGSDDHSVLVWDKQTTQLLEELKGHDAPVSCARMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAIANTWDIRAGKAMHKLVGHTKWIRSIRMVGDTVVTGSDDWTARMWSVSRGTCDAVLACHAGPILSVEYSTLDKGIITGSTDGLLRFWENVEGGIRCVKNVTVHTAAILSINAAEHWLGIGAADNSMSLFHRPQERLGSFSSTGTKMAGWQLYRTPQRTVAVVRCIATDLERKRICSGGRNGLLRLWEATINI >ONI09122 pep chromosome:Prunus_persica_NCBIv2:G5:17129520:17140867:-1 gene:PRUPE_5G219600 transcript:ONI09122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIFEHLVVCGIGPEIRTLDGSKGFHGFGTYYMPSLLDQYPPPNHTLYPPPPPQLPTCVLPAGVLFYSSGYDSNDASTIPRSYPIVLTEGDGTKIYVSCIAFRDPVSEDIAEAYCIPANSFADKCICLVSRSPSFRLLRNTLEELFTLCFSPGGSSKPLWDVIASLVSTVPLPTPGKDRVLFAIDNCLLSVEAPPKDGLPHVDISFQPLVQCLDVDNLIKFFTAVLLERRILLRSNKYSILTLVSEAICHLLYPFRWQQVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLAMDGVVVVDLECNRITTTEDIPPIPEPELGDLRGEITKLLHPNVVGIDQMKDGLYSLPEQYPKGGNKPWGEDHDLQLRLVFLKFFASLLSGYRNFIEVTATHVFNTQAFLTMRSRSTGQPPEPMLTQFLDSHGFMDYLERGLGSEENTNNLLDKLQDAIRRSQNPISILPSNLVEPEIITIADTDVGASGSGAKYTYDRFPSNIRTEEQEEKRRQILAAASGLSSSSPSVLVGKDSKSETLTPLERAAERECMVLDIKVKLQGLWLRLLKLGPTDDPLSSFEYGTILALIESDAEGIGGSGFIECIREHINQGWHCQLTEEQFLAVKELLKTAISRATSRNDLLTIRDALEVSAEMYKKDANNVADYIQRHLISLSIWEELRFWEGYFDYLMERSANKSANYASLVTAQLKFVESHMAGLGLPDTDAWYTIETIGEKNNIGYTQFIQLRGFLSHVVQLRIGYWGITAVKPQSMLSHGLASPHSKDNTVEDQQPAEASGVGRSWVQSMFSRDTASRSTSFSRVRKWTSDGGSSAAGQKKTQTNVRVLRGHSGSVTALHCVTRREVWDLVGDREDAGFFISGSTDCTVKIWDPSFRGNELRATLKGHTRAVRAISSDRGKVVSGSDDHSVLVWDKQTTQLLEELKGHDAPVSCARMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAIANTWDIRAGKAMHKLVGHTKWIRSIRMVGDTVVTGSDDWTARMWSVSRGTCDAVLACHAGPILSVEYSTLDKGIITGSTDGLLRFWENVEGGIRCVKNVTVHTAAILSINAAEHWLGIGAADNSMSLFHRPQERLGSFSSTGTKMAGWQLYRTPQRTVAVVRCIATDLERKRICSGGRNGLLRLWEATINI >ONI09123 pep chromosome:Prunus_persica_NCBIv2:G5:17129794:17140528:-1 gene:PRUPE_5G219600 transcript:ONI09123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIFEHLVVCGIGPEIRTLDGSKGFHGFGTYYMPSLLDQYPPPNHTLYPPPPPQLPTCVLPAGVLFYSSGYDSNDASTIPRSYPIVLTEGDGTKIYVSCIAFRDPVSEDIAEAYCIPANSFADKCICLVSRSPSFRLLRNTLEELFTLCFSPGGSSKPLWDVIASLVSTVPLPTPGKDRVLFAIDNCLLSVEAPPKDGLPHVDISFQPLVQCLDVDNLIKFFTAVLLERRILLRSNKYSILTLVSEAICHLLYPFRWQQVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLAMDGVVVVDLECNRITTTEDIPPIPEPELGDLRGEITKLLHPNVVGIDQMKDGLYSLPEQYPKGGNKPWGEDHDLQLRLVFLKFFASLLSGYRNFIEVTATHVFNTQAFLTMRSRSTGQPPEPMLTQFLDSHGFMDYLERGLGSEENTNNLLDKLQDAIRRSQNPISILPSNLVEPEIITIADTDVGASGSGAKYTYDRFPSNIRTEEQEEKRRQILAAASGLSSSSPSVLVGKDSKSETLTPLERAAERECMVLDIKVKLQGLWLRLLKLGPTDDPLSSFEYGTILALIESDAEGIGGSGFIECIREHINQGWHCQLTEEQFLAVKELLKTAISRATSRNDLLTIRDALEVSAEMYKKDANNVADYIQRHLISLSIWEELRFWEGYFDYLMERSANKSANYASLVTAQLKFVESHMAGLGLPDTDAWYTIETIGEKNNIGYTQFIQLRGFLSHVVQLRIGYWGITAVKPQSMLSHGLASPHSKDNTVEDQQPAEASGVGRSWVQSMFSRDTASRSTSFSRVRKWTSDGGSSATNENGTPRKRDLSAAGQKKTQTNVRVLRGHSGSVTALHCVTRREVWDLVGDREDAGFFISGSTDCTVKIWDPSFRGNELRATLKGHTRAVRAISSDRGKVVSGSDDHSVLVWDKQTTQLLEELKGHDAPVSCARMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAIANTWDIRAGKAMHKLVGHTKWIRSIRMVGDTVVTGSDDWTARMWSVSRGTCDAVLACHAGPILSVEYSTLDKGIITGSTDGLLRFWENVEGGIRCVKNVTVHTAAILSINAAEHWLGIGAADNSMSLFHRPQERLGSFSSTGTKMAGWQLYRTPQRTVAVVRCIATDLERKRICSGGRNGLLRLWEATINI >ONI07900 pep chromosome:Prunus_persica_NCBIv2:G5:13431803:13433032:-1 gene:PRUPE_5G146100 transcript:ONI07900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARHSSSQLTEAIKNQEKGFHFCFCFCFSTMDKFNFVRNGMIRLPPGFRFQPTDEELVFQYLRCKVFSCPLPASIIPEVNVCMYDPWDLPGDLEQERYFFSNKESKYRNGSRANRVTSSGYWKATGTDKKIVSSRRNHIVGKKKTLVFYRGKAPNGCKTDWVMHEYCLVNAETTASINTAENALNEKENWVLCRIFSKKRSCKTDDEEGIRVNNAEMVHAPQTNNNQSPVSSSSSCSSSSGITEVTSSSEAGDEEEISGCTKF >ONI08202 pep chromosome:Prunus_persica_NCBIv2:G5:14297412:14297906:-1 gene:PRUPE_5G163900 transcript:ONI08202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRPTSTSLDLVRFFMNLGLKSKEEEDFAIFGCWEFLRLFYYSMNPLATDILKWSFGEEEGSGWVG >ONI08691 pep chromosome:Prunus_persica_NCBIv2:G5:15808023:15810257:-1 gene:PRUPE_5G194300 transcript:ONI08691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIALKSFIGLRQASAEKPHFTIQAKPISLNPSIHRRLQVNAAKTSPKVTGRNLRVAVVGGGPAGGSAAETLAKGGIETFLIERKMDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGQTLKPHEYIGMVRREVLDQYLRNRASENGATVINGLFLKMEKPGDGEAPYVLHYTEYDGKVGGAGVKKSLEVDAVIGADGANSRVAKSIDAGDYEYAIAFQERIKIPDDKMVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRNRAKDKILGGKILRVEAHPIPEHPRPRRLAGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRMVNEADLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKRVVPGNPWEDLKLAVNTIGSLVRANALRREMEKLNV >ONI08690 pep chromosome:Prunus_persica_NCBIv2:G5:15808131:15810257:-1 gene:PRUPE_5G194300 transcript:ONI08690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIALKSFIGLRQASAEKPHFTIQAKPISLNPSIHRRLQVNAAKTSPKVTGRNLRVAVVGGGPAGGSAAETLAKGGIETFLIERKMDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGQTLKPHEYIGMVRREVLDQYLRNRASENGATVINGLFLKMEKPGDGEAPYVLHYTEYDGKVGGAGVKKSLEVDAVIGADGANSRVAKSIDAGDYEYAIAFQRIKIPDDKMVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRNRAKDKILGGKILRVEAHPIPEHPRPRRLAGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRMVNEADLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKRVVPGNPWEDLKLAVNTIGSLVRANALRREMEKLNV >ONI07635 pep chromosome:Prunus_persica_NCBIv2:G5:12717331:12723036:1 gene:PRUPE_5G132500 transcript:ONI07635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRSHRLQNSDPPDDWVNGSWTVDCLCGVNFDDGEEMVNCDECGVWVHTRCSRYVKGDDNFVCDKCKTRNNRNESEETEVAQLLVELPTKTVRMESSYAHPPNVPTRRPFRLWTDIPMEERVHVQGIPGGDPAIFGGLSSVFTPELWKSTGYVPKKFNFQYREFPCWDDKKEDDARFDEDNENPVNKGAGVLFSLLANPVAALVGMRGREEDGGYDKNVSLKEPKRWDNEVDDLRCGQSGVKKERSLLRPVVFHSGKRKKDDLGTCKDRSSKKKARAADKEVDAKKRTAQSSKSVFTPPSDAKQLEFSEDRGPKTSKADIQSMKNKKLSDSVVREPAAPAANSSVEKNSSEAVISDISKHKLSFGDGPKDDKVGQQVLAVQGNITLTKPDDAVTPLLENNDNATDHVKPEGDSMADDNLDVKPPIEDVAAPEIKNQDLVVSSDHMSESSKINDVTVNGPLSSDHKVLGADRNSEAASDSQTDKGVELSVDSCQLKREWEGSEDSMTLQKSSSDANHGLVFAEELSKPGGTILNSPAVPSQCKTVVVCAGKSSTVSSTIAISKSSTYDNLKSGDAQNPNPIPKQRVMSESNVSIKKDRASCEDMDEDRDNMSRKTGKEQLRSPTNSALKTSHSSRNHDSISKWTTSDSKDSVLHSSSKTSSTGNTAVPSGSSEPVGSLPSQKVLHAHNKCSASSALQRGEKFNQTTSSKTNQSHTTSACPPAPSSLQAKLSDEELALLLHQQLNSSPRVPRVPRVRNASSLPQLASPSATSTLMKRTSSSGGKDQNSVSRRKVRDKDGFRSSREHDDEAKRIDRVPSSSDQRRQDAACTSDVAAKREDNLSSTVVHSSKRNIHSASTATANSGPSSSTEASDRNVSSVRSSPRNASDDDTGAVGPVHRTLPGLINEIMSKGRRMTYEELCNAVLPHWHNLRKHNGERYAYTSPSQAVLDCLRNRHEWARLVDRGPKTNSSRKKRKLDAEDSEDNEYCRGKNPKESEGKSIETQREDFPKGKRKARKRRRLALQGRGIKDVREKRKADLLSDDDIGPSFSNSTEGSMSSEDDIQGGGACPVGSEASTGSDETGAM >ONI07633 pep chromosome:Prunus_persica_NCBIv2:G5:12717349:12723036:1 gene:PRUPE_5G132500 transcript:ONI07633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRSHRLQNSDPPDDWVNGSWTVDCLCGVNFDDGEEMVNCDECGVWVHTRCSRYVKGDDNFVCDKCKTRNNRNESEETEVAQLLVELPTKTVRMESSYAHPPNVPTRRPFRLWTDIPMEERVHVQGIPGGDPAIFGGLSSVFTPELWKSTGYVPKKFNFQYREFPCWDDKKEDDARFDEDNENPVNKGAGVLFSLLANPVAALVGMRGREEDGGYDKNVSLKEPKRWDNEVDDLRCGQSGVKKERSLLRPVVFHSGKRKKDDLGTCKDRSSKKKARAADKEVDAKKRTAQSSKSVFTPPSDAKQLEFSEDRGPKTSKADIQSMKNKKLSDSVVREPAAPAANSSVEKNSSEAVISDISKHKLSFGDGPKDDKVGQQVLAVQGNITLTKPDDAVTPLLENNDNATDHVKPEGDSMADDNLDVKPPIEDVAAPEIKNQVQYPTGGVSIEHCSKVKTEEHDDNSRSPLNAQSSPHVDAQDLVVSSDHMSESSKINDVTVNGPLSSDHKVLGADRNSEAASDSQTDKGVELSVDSCQLKREWEGSEDSMTLQKSSSDANHGLVFAEELSKPGGTILNSPAVPSQCKTVVVCAGKSSTVSSTIAISKSSTYDNLKSGDAQNPNPIPKQRVMSESNVSIKKDRASCEDMDEDRDNMSRKTGKEQLRSPTNSALKTSHSSRNHDSISKWTTSDSKDSVLHSSSKTSSTGNTAVPSGSSEPVGSLPSQKVLHAHNKCSASSALQRGEKFNQTTSSKTNQSHTTSACPPAPSSLQAKLSDEELALLLHQQLNSSPRVPRVPRVRNASSLPQLASPSATSTLMKRTSSSGGKDQNSVSRRKVRDKDGFRSSREHDDEAKRIDRVPSSSDQRRQDAACTSDVAAKREDNLSSTVVHSSKRNIHSASTATANSGPSSSTEASDRNVSSVRSSPRNASDDDTGAVGPVHRTLPGLINEIMSKGRRMTYEELCNAVLPHWHNLRKHNGERYAYTSPSQAVLDCLRNRHEWARLVDRGPKTNSSRKKRKLDAEDSEDNEYCRGKNPKESEGKSIETQREDFPKGKRKARKRRRLALQGRGIKDVREKRKADLLSDDDIGPSFSNSTEGSMSSEDDIQGGGACPVGSEASTGSDETGAM >ONI07634 pep chromosome:Prunus_persica_NCBIv2:G5:12717302:12723036:1 gene:PRUPE_5G132500 transcript:ONI07634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRSHRLQNSDPPDDWVNGSWTVDCLCGVNFDDGEEMVNCDECGVWVHTRCSRYVKGDDNFVCDKCKTRNNRNESEETEVAQLLVELPTKTVRMESSYAHPPNVPTRRPFRLWTDIPMEERVHVQGIPGGDPAIFGGLSSVFTPELWKSTGYVPKKFNFQYREFPCWDDKKEDDARFDEDNENPVNKGAGVLFSLLANPVAALVGMRGREEDGGYDKNVSLKEPKRWDNEVDDLRCGQSGVKKERSLLRPVVFHSGKRKKDDLGTCKDRSSKKKARAADKEVDAKKRTAQSSKSVFTPPSDAKQLEFSEDRGPKTSKADIQSMKNKKLSDSVVREPAAPAANSSVEKNSSEAVISDISKHKLSFGDGPKDDKVGQQVLAVQGNITLTKPDDAVTPLLENNDNATDHVKPEGDSMADDNLDVKPPIEDVAAPEIKNQVQYPTGGVSIEHCSKVKTEEHDDNSRSPLNAQSSPHVDAQDLVVSSDHMSESSKINDVTVNGPLSSDHKVLGADRNSEAASDSQTDKGVELSVDSCQLKREWEGSEDSMTLQKSSSDANHGLVFAEELSKPGGTILNSPAVPSQCKTVVVCAGKSSTVSSTIAISKSSTYDNLKSGDAQNPNPIPKQRVMSESNVSIKKDRASCEDMDEDRDNMSRKTGKEQLRSPTNSALKTSHSSRNHDSISKWTTSDSKDSVLHSSSKTSSTGNTAVPSGSSEPVGSLPSQKVLHAHNKCSASSALQRGEKFNQTTSSKTNQSHTTSACPPAPSSLQAKLSDEELALLLHQQLNSSPRVPRVPRVRNASSLPQLASPSATSTLMKRTSSSGGKDQNSVSRRKVRDKDGFRSSREHDDEAKRIDRVPSSSDQRRQDAACTSDVAAKREDNLSSTVVHSSKRNIHSASTATANSGPSSSTEASDRNVSSVRSSPRNASDDDTGAVGPVHRTLPGLINEIMSKGRRMTYEELCNAVLPHWHNLRKHNGERYAYTSPSQAVLDCLRNRHEWARLVDRGPKTNSSRKKRKLDAEDSEDNEYCRGKNPKESEGKSIETQREDFPKGKRKARKRRRLALQGRGIKDVREKRKADLLSDDDIGPSFSNSTEGSMSSEDDIQGGGACPVGSEASTGSDETGAM >ONI06885 pep chromosome:Prunus_persica_NCBIv2:G5:9846973:9851812:1 gene:PRUPE_5G087300 transcript:ONI06885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPAAPLSNNQPAPRTPSSSSSQLNPPPAVPRTPQALQSLAPSRHIDRMINSNHHQSPSRTIYSDRFIPSRSGSNFALFDISNTPAEGRDDSSSAYATLLRAALFGPDAAGVVPPATPDKRNLIQINPPSPNIFRFKTETRRSMHSLSPFGFDDVVTGVHHSPVKAPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDSVCSVGWAQRGTHLAVGTSNGKVQIWDASRCRRIRTMEGHRLRIGALAWSSSMLSSGSRDKSILQRDIRAQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTESEIGASSLGRTVIR >ONI07874 pep chromosome:Prunus_persica_NCBIv2:G5:13310535:13313354:1 gene:PRUPE_5G144400 transcript:ONI07874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSYHPAFLLDSIFLPYTPNNINIDDHFSPSYPSEPLIQEVHNTDSRAVESSCTVDHSSAKVSLSDNEPSVTKKQSTESSTVVDKLETGEQVTQKVTSMDKKRKNRNGSSLNSAQSKASREHKGKKQKKLDGAEKAGEKKAKSDKKDQVKVGDEPPTGYIHVRARRGQATDSHSLAERVRREKISERMKMLQRLVPGCDKVTGRAVMLDEIINYVQSLQNQVEFLSMKLASVNPLFYDFGMDLGALMVKPERLSSMASPYPSVPQCSPTQPTPFADTSPNITTNTTFSTANNYPFPDSSASILLQQGQRPNDFSQDSESLMWDVEDQRQNFLNPSGFGNNFQLI >ONI08719 pep chromosome:Prunus_persica_NCBIv2:G5:15938580:15941725:-1 gene:PRUPE_5G196900 transcript:ONI08719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFKQNLDGDHLSTHLDLDTRNALRDPDRKSGFSSMKVMGIFVAGLIVFSVLFSVNVVLRDPPSDAVFETSKARVLEVEHRKDSEDQFSRSLEVPKDKLLRGLLADGFDEGSCVSRYQSASYRKELPYRPSSYLISKLRSYEALHKRCGPYTEFYNKTIEQLKSGYHTSSLDCNYVVWISFSGLGNRILTLASAFLYAVLTNRVLLVDPGVDMVDLFCEPFPEVSWFLPMDFPLKNQFDSLDQKSPHCYGKMLKKNSNFTNSDGSVMPSFVYLHLAHDYDDQDKLFFCSQDQTFLQKIPWLIMKTDNYFVPSLFLIPSFEQELNNLFPRKETAFHFLGRYLFHPTNVVWGLITRYYQSYLEKADERIGIQIRVFDTGTGPFQHVFDQILACAVKENLLPEINRQDFIVSPLGTPKSKAVLMTSLSSGYFEKLRDMYWEHPTVTGEVIGIYQPSHEEYQQTEKLMHNRKAWTEMYLLSLTDVLVTSSWSTFGYVAQSLGGLTPWILYKPENRTAPDPPCQRAMSMEPCFHAPPFYDCKAKTGVDTGKLVPHVRHCEDMSWGLKLVDSYEEL >ONI06184 pep chromosome:Prunus_persica_NCBIv2:G5:4992853:4993135:-1 gene:PRUPE_5G046200 transcript:ONI06184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSWWKGKDPKPETKPNPAPKPVEAPGMNGAVEVPRPANITVFEFGSVAASADNVTLAGYCPVSEDLEPCRWEILPASGSDAPQFRVVF >ONI06996 pep chromosome:Prunus_persica_NCBIv2:G5:10383472:10388091:1 gene:PRUPE_5G094000 transcript:ONI06996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILKTLPAFCILCATLFFASTNAARFNIRNNCPFTVWAAATPGGGLQLNRGQTEYALNQYNNLDFFDISLVDGFNVPMDFSPTSNGCTKGIRRLINIAAILGAVAQQTCLGFLRIGARVLIATRYIF >ONI08272 pep chromosome:Prunus_persica_NCBIv2:G5:14509266:14510630:-1 gene:PRUPE_5G168600 transcript:ONI08272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPNNKKRRCGNMAAGVAFKYYSCSRYISSPEWASLPLLPVFLVLDNLFEPIDHVSFAAVSTQRWRHNNLLPMLLIPNEYECQGGGGGGKNSLLLTKTNHRKALYSIAEGKIYNNIGLEVPFKKRSCGSSHGWFATIESVTDQGPIIALRDPFRNPASPILLPLLDVMPKKCDKYFHEFNVKKVIFSADPALSLDNYVVVALLCKARHIVASIHMKRGQSQKDWTWIRTPSPVSDVISYKNKVYLVGYRGDIMSLDVDNQACSRIPINPLTPLFEDARAHRSIRYLVESTKGDLMHMERVYKRTQGAYKNVMTESFRVYKAVFDDKDGSVLQVHVKSIGDDVFFIGDNHSVSVLTSDFHGCQSNSIYYTHDFSYSYITHRHDFQGNFQVDKLYDDMPCRDMGIFNLESGTITQHFSLDPYSDLQGYIPPAVWVVPQFNGLC >ONI08152 pep chromosome:Prunus_persica_NCBIv2:G5:14133286:14137430:-1 gene:PRUPE_5G160100 transcript:ONI08152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEGFLTTEQRETLKIASQNVEILSSSPKSPTSFLSEHHVKAPAGGKAPTAGIAVRHVRRSHSGKFVRVKKEGGGGKGTWGKLLDADSESPIDRNDPNYDSGEEPYQLVGSTITDPLDEYKKAVVSIIEEYFSTGDVALAASDLKELGSSEYHSYFIKRLVSIALDRHDKEKEMASVLLSSLYADVISPIQIRDGFFILLESADDLAVDILDAVDILALFLARAVVDDILPPAFLTRAKKALPESSKGVQVIQTAEKSYLSAPHHAELVERRWGGSTHITVEEMKKKIAGLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALILAMEIRTSEPLIMKLLKEAAEEGLISSSQMVKGFSRLAETLDDLALDIPSASTLFDSLVPKAISEGWLDASFLKSSGEDGGIRVEDEKVKRYKKEIVAIIHEYFLSDDIPELIRSLEDLGVPQYNPLFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFVLLLESAEDTELDILDASNELALFLARAVIDDVLAPLNLEEIGSKLPPNCSGSETVRMAQSLISARHAGERILRCWGGGTGWAVEDAKDKIAKLLEEYESGGVVSEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLHLLQECFNEGLITINQMTKGFTRIKDGLDDLALDIPNAREKFSFYVEHAQEKGWLLPSFGSSAADGSH >ONI08155 pep chromosome:Prunus_persica_NCBIv2:G5:14133191:14137430:-1 gene:PRUPE_5G160100 transcript:ONI08155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEGFLTTEQRETLKIASQNVEILSSSPKSPTSFLSEHHVKAPAGGKAPTAGIAVRHVRRSHSGKFVRVKKEGGGGKGTWGKLLDADSESPIDRNDPNYDSGEQEPYQLVGSTITDPLDEYKKAVVSIIEEYFSTGDVALAASDLKELGSSEYHSYFIKRLVSIALDRHDKEKEMASVLLSSLYADVISPIQIRDGFFILLESADDLAVDILDAVDILALFLARAVVDDILPPAFLTRAKKALPESSKGVQVIQTAEKSYLSAPHHAELVERRWGGSTHITVEEMKKKIAGLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALILAMEIRTSEPLIMKLLKEAAEEGLISSSQMVKGFSRLAETLDDLALDIPSASTLFDSLVPKAISEGWLDASFLKSSGEDGGIRVEDEKVKRYKKEIVAIIHEYFLSDDIPELIRSLEDLGVPQYNPLFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFVLLLESAEDTELDILDASNELALFLARAVIDDVLAPLNLEEIGSKLPPNCSGSETVRMAQSLISARHAGERILRCWGGGTGWAVEDAKDKIAKLLEEYESGGVVSEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLHLLQECFNEGLITINQMTKGFTRIKDGLDDLALDIPNAREKFSFYVEHAQEKGWLLPSFGSSAADGSH >ONI08154 pep chromosome:Prunus_persica_NCBIv2:G5:14133679:14136594:-1 gene:PRUPE_5G160100 transcript:ONI08154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEGFLTTEQRETLKIASQNVEILSSSPKSPTSFLSEHHVKAPAGGKAPTAGIAVRHVRRSHSGKFVRVKKEGGGGKGTWGKLLDADSESPIDRNDPNYDSGEQEPYQLVGSTITDPLDEYKKAVVSIIEEYFSTGDVALAASDLKELGSSEYHSYFIKRLVSIALDRHDKEKEMASVLLSSLYADVISPIQIRDGFFILLESADDLAVDILDAVDILALFLARAVVDDILPPAFLTRAKKALPESSKGVQVIQTAEKSYLSAPHHAELVERRWGGSTHITVEEMKKKIAGLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALILAMEIRTSEPLIMKLLKEAAEEGLISSSQMVKGFSRLAETLDDLALDIPSASTLFDSLVPKAISEGWLDASFLKSSGEDGGIRVEDEKVKRYKKEIVAIIHEYFLSDDIPELIRSLEDLGVPQYNPLFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFVLLLESAEDTELDILDASNELALFLARAVIDDVLAPLNLEEIGSKLPPNCSGSETVRMAQSLISARHAGERILRCWGGGTGWAVEDAKDKIAKLLEEYESGGVVSEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLHLLQECFNEGLITINQMTKGFTRIKDGLDDLALDIPNAREKFSFYVEHAQEKGWLLPSFGSSAADGSH >ONI08153 pep chromosome:Prunus_persica_NCBIv2:G5:14133679:14136594:-1 gene:PRUPE_5G160100 transcript:ONI08153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEGFLTTEQRETLKIASQNVEILSSSPKSPTSFLSEHHVKAPAGGKAPTAGIAVRHVRRSHSGKFVRVKKEGGGGKGTWGKLLDADSESPIDRNDPNYDSGEEPYQLVGSTITDPLDEYKKAVVSIIEEYFSTGDVALAASDLKELGSSEYHSYFIKRLVSIALDRHDKEKEMASVLLSSLYADVISPIQIRDGFFILLESADDLAVDILDAVDILALFLARAVVDDILPPAFLTRAKKALPESSKGVQVIQTAEKSYLSAPHHAELVERRWGGSTHITVEEMKKKIAGLLREYVESGDTFEACRCIRELGVSFFHHEVVKRALILAMEIRTSEPLIMKLLKEAAEEGLISSSQMVKGFSRLAETLDDLALDIPSASTLFDSLVPKAISEGWLDASFLKSSGEDGGIRVEDEKVKRYKKEIVAIIHEYFLSDDIPELIRSLEDLGVPQYNPLFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFVLLLESAEDTELDILDASNELALFLARAVIDDVLAPLNLEEIGSKLPPNCSGSETVRMAQSLISARHAGERILRCWGGGTGWAVEDAKDKIAKLLEEYESGGVVSEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLHLLQECFNEGLITINQMTKGFTRIKDGLDDLALDIPNAREKFSFYVEHAQEKGWLLPSFGSSAADGSH >ONI05919 pep chromosome:Prunus_persica_NCBIv2:G5:3389574:3396412:-1 gene:PRUPE_5G028800 transcript:ONI05919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVCLSKEAKNGLEILKRRRLQRMRSETGTEPVKLTNMMARSGGDALKASASCGIRLQGGSDPVSLSSGASHGKDLFSKRRVDKFETGDLDWTEKIPECPVYYPAKEEFEDPLVYLQKIAPEASKYGICKIISPLSASTPAGVVLMREKAGFKFTTRVQPLRLAEWDNDDKVTFFMSGRNYTFRDFEKMANKVFARRYCSSGSLPATYMEKEFWQEIACGKTETVEYACDVDGSAFSSSRSDPLGSSKWNLKNLSRLPNSILRLLETAIPGVTDPMLYIGMIFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGQAALQFEKVVKEHVYTHDIISTDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQKPGEFVVTFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASRRYALLNRMPLLPHEELLCKEAMLLYTSLELEDSEYSSADLVSHQCIKTSFVRLMRFQHRARWSLMKSGACTGVLPNSYGTVLCSLCKRDCYVAYINCNCYMHPVCLRHEAKSLDFSCGSNPILFLREEITELEAAARKFEMEDGMLEEIKGLGENGDDYYSYPLISFQSTEEKGYSPYCEIKFELNPKLTGTTHYRSQEPEPGSHGQPMLSCGAKCSSPAVSDGSLSCAASTLCSLLEPRESLSAPNNVQGNANTNTGALNSKRLSEELARSTYESSQSSPSYNECSSARPRNCNGSEVRPVVDQGSDDSDSEIFRVKRRSSLKVDKRSVNDISSSKHSENQGFKRLKKLQAERCGPSVPQYSCSTGESTRFLTTSTYKGVSESAPMEGRFSRGSTVVPRGSTVPISIKFKKLANEESVSRQREHHRKDRYHQLESGKRRRGPPPIEIGPKHLKVKGPSFLGSESSSRLS >ONI05920 pep chromosome:Prunus_persica_NCBIv2:G5:3390111:3395874:-1 gene:PRUPE_5G028800 transcript:ONI05920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVCLSKEAKNGLEILKRRRLQRMRSETGTEPVKLTNMMARSGGDALKASASCGIRLQGGSDPVSLSSGASHGKDLFSKRRVDKFETGDLDWTEKIPECPVYYPAKEEFEDPLVYLQKIAPEASKYGICKIISPLSASTPAGVVLMREKAGFKFTTRVQPLRLAEWDNDDKVTFFMSGRNYTFRDFEKMANKVFARRYCSSGSLPATYMEKEFWQEIACGKTETVEYACDVDGSAFSSSRSDPLGSSKWNLKNLSRLPNSILRLLETAIPGVTDPMLYIGMIFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGQAALQFEKVVKEHVYTHDIISTDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQKPGEFVVTFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAIASRRYALLNRMPLLPHEELLCKEAMLLYTSLELEDSEYSSADLVSHQCIKTSFVRLMRFQHRARWSLMKSGACTGVLPNSYGTVLCSLCKRDCYVAYINCNCYMHPVCLRHEAKSLDFSCGSNPILFLREEITELEAAARKFEMEDGMLEEIKGLGENGDDYYSYPLISFQSTEEKGYSPYCEIKFELNPKLTGTTHYRSQEPEPGSHGQPMLSCGAKCSSPAVSDGSLSCAASTLCSLLEPRESLSAPNNCSYVSWQVQGNANTNTGALNSKRLSEELARSTYESSQSSPSYNECSSARPRNCNGSEVRPVVDQGSDDSDSEIFRVKRRSSLKVDKRSVNDISSSKHSENQGFKRLKKLQAERCGPSVPQYSCSTGESTRFLTTSTYKGVSESAPMEGRFSRGSTVVPRGSTVPISIKFKKLANEESVSRQREHHRKDRYHQLESGKRRRGPPPIEIGPKHLKVKGPSFLGSESSSRLS >ONI05494 pep chromosome:Prunus_persica_NCBIv2:G5:991162:994279:-1 gene:PRUPE_5G009600 transcript:ONI05494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEWQQQQQQQIQNQQQIIHHLQNPEDGIGNGNGGLYVKVMTDEQMELLRRQIAVYATICEQLVEMHKAVTAQQDLTGMRLGNFYCDPFMASGGHKITARQRWTPTPVQLQMLERIFEEGTGTPCKQKIKEITMELTQHGQISETNVYNWFQNRRARSKRKQSVPAQNNGESEAEPEVESPRDEKTKPEDIMSLDNSAPRAENMYLQSPEIWKF >ONI05493 pep chromosome:Prunus_persica_NCBIv2:G5:990843:994898:-1 gene:PRUPE_5G009600 transcript:ONI05493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEWQQQQQQQIQNQQQIIHHLQNPEDGIGNGNGGLYVKVMTDEQMELLRRQIAVYATICEQLVEMHKAVTAQQDLTGMRLGNFYCDPFMASGGHKITARQRWTPTPVQLQMLERIFEEGTGTPCKQKIKEITMELTQHGQISETNVYNWFQNRRARSKRKQSVPAQNNGESEAEPEVESPRDEKTKPEDIMSLDNSAPRAENMYLQSPELGFGNFDW >ONI08793 pep chromosome:Prunus_persica_NCBIv2:G5:16156075:16160168:-1 gene:PRUPE_5G201100 transcript:ONI08793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTKGNTVSSFDVEELLQIGTRCRELKKEKDMLKESHSQSFGLIRRLEVHVNSLSEACTEDKKQIQVLEKELKNCSQEIDYLQDQLNARNTEVNLLEEHTHGLEFKLADMENLQETVDRLRDELKKSYSERMFLMEELESKEIELQNSALCIDELEESISSMSLESQCEIESMKLDILALEHSFLEVKKIQEETVQEKTRMSELIQELEVQCQNAHKTVESLYMENKELRKKLDASETSTRIFCQRVEKWLEKDRIQLDSESPLGQLEGNYIYSKEMSCGEVLGPLFSKLAIVVAPDADSIMKMEKMSHHIQDYELLVKQLKEELKEEKLKAKEEAEDLAQEMAELRYRMTGLLEEECKRRACIEQASLQRIAELEAQVTKERTQSVKSFAALRHLNEAK >ONI08794 pep chromosome:Prunus_persica_NCBIv2:G5:16156370:16159386:-1 gene:PRUPE_5G201100 transcript:ONI08794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTKGNTVSSFDVEELLQIGTRCRELKKEKDMLKESHSQSFGLIRRLEVHVNSLSEACTEDKKQIQVLEKELKNCSQEIDYLQDQLNARNTEVNLLEEHTHGLEFKLADMENLQETVDRLRDELKKSYSERMFLMEELESKEIELQNSALCIDELEESISSMSLESQCEIESMKLDILALEHSFLEVKKIQEETVQEKTRMSELIQELEVQCQNAHKTVESLYMENKELRKKLDASETSTRIFCQRVEKWLEKDRIQLDSESPLGQLEGNYIYSKEMSSCGEVLGPLFSKLAIVVAPDADSIMKMEKMSHHIQDYELLVKQLKEELKEEKLKAKEEAEDLAQEMAELRYRMTGLLEEECKRRACIEQASLQRIAELEAQVTKERTQSVKSFAALRHLNEAK >ONI08795 pep chromosome:Prunus_persica_NCBIv2:G5:16156370:16159386:-1 gene:PRUPE_5G201100 transcript:ONI08795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTKGNTVSSFDVEELLQIGTRCRELKKEKDMLKESHSQSFGLIRRLEVHVNSLSEACTEDKKQIQVLEKELKNCSQEIDYLQDQLNARNTEVNLLEEHTHGLEFKLADMENLQETVDRLRDELKKSYSERMFLMEELESKEIELQNSALCIDELEESISSMSLESQCEIESMKLDILALEHSFLEVKKIQEETVQEKTRMSELIQELEVQCQNAHKTVESLYMENKELRKKLDASETSTRIFCQRVEKWLEKDRIQLDSESPLGQLEGNYIYSKEMSSCGEVLGPLFSKLAIVVAPDADSIMKMEKMSHHIQDYELLVKQLKEELKEEKLKAKEEAEDLAQEMAELRYRMTGLLEEECKRRACIEQASLQRIAELEAQVTKERTQSVKSFAALRHLNEAK >ONI08792 pep chromosome:Prunus_persica_NCBIv2:G5:16155966:16160220:-1 gene:PRUPE_5G201100 transcript:ONI08792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTKGNTVSSFDVEELLQIGTRCRELKKEKDMLKESHSQSFGLIRRLEVHVNSLSEACTEDKKQIQVLEKELKNCSQEIDYLQDQLNARNTEVNLLEEHTHGLEFKLADMENLQETVDRLRDELKKSYSERMFLMEELESKEIELQNSALCIDELEESISSMSLESQCEIESMKLDILALEHSFLEVKKIQEETVQEKTRMSELIQELEVQCQNAHKTVESLYMENKELRKKLDASETSTRIFCQRVEKWLEKDRIQLDSESPLGQLEGNYIYSKEMSCGEVLGPLFSKLAIVVAPDADSIMKMEKMSHHIQDYELLVKQLKEELKEEKLKAKEEAEDLAQEMAELRYRMTGLLEEECKRRACIEQASLQRIAELEAQVTKERTQSVKSFAALRHLNEAK >ONI09128 pep chromosome:Prunus_persica_NCBIv2:G5:17148194:17150609:1 gene:PRUPE_5G219900 transcript:ONI09128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASARAILLLFLTFLWSLILRPRHRKLPPGPWALPVIGNLHMLGNLPHRSLRDLAKKYGPIMSMRLGTKTTIVVSSPEAAELFLKSHDTIFASRPKVQSSDYLLYGSKDMAFSEYGPYWRHIRKLCTLQLLCPSKIETFAPLRREEVGSLVESLKKAAAEGQVVDLSEKVGELLEDITNRMVLGSKTDDTCDMKGIIEELVLLMGAVNISDCLPFLSPFDFQGLTKRMTRLSKRIDQLLEKIIGEHQEVSKSGQAHQGHRHKDFVDVMLSLMHQPLNPNDEQVYMIDRTNVKAIILDMISGAFDTSTTAIVWTLAELLRHPRVMKHLQQELQSVIGTDQMVEESDFSKLGYLNMVLKESFRLHPVSPLLIRHASMEDITVEGHDIPKNRQSL >ONI09111 pep chromosome:Prunus_persica_NCBIv2:G5:17097834:17099600:-1 gene:PRUPE_5G218700 transcript:ONI09111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASKSISPFRLSSLLRRQKDPILALQLFQNPNSDSTPQLKRPFRYSLLSYDLIITKLGRAKMFHQMDQILHQLKQDTRFAPPEIIFCNVISFYGRAHLPDRALQMFDEIPTFRCHRTVKSLNSLLNALLKCGEFEKMWKFFVGIDKYATPDACTYNILMKACCSNEYLDDAWKVLDEMSRKGIPPNSVTMASLIYCLCSNLKLKEAFTLKEDMARVYGVPPTIFVYTSLMKGLCKIGEMSLAFRLKEEMIMRKIKPDAAVYSTLISGLFKLGRKGEVFGLLEEMSEYGCKLNTVTYNAMINGFCKEKDFEAAYKVLDEMVEKGCEPDVISYNVILGGLCKEGKWSEANDLFEDLPRRGCTPDVVSYRIMFTGLCDCRQFREAAFILDELIFKGYAPHCLSAHKLVEGLCREGDMELLRTVLTSLGNGNVLHVDTWAMVISMVCKKEKLSNVSELVDTLLVQ >ONI05465 pep chromosome:Prunus_persica_NCBIv2:G5:932436:935768:1 gene:PRUPE_5G009000 transcript:ONI05465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSERLSGEESLRQDFEALSVSKRLMRSVSQKLRKKNQRPGGEEEDDARGISLRCLTLYGRGGGCKVGADTGDDFGDPSNRRRSSASDEGKGYRPICGTEESGMDCFSYGVRERFWKKHNRKDFELEESIRNSRMHIFLPDDILEMCLVRLPLTTLMTARLVCKKWRHLTTTPRFLQMRREGSHQNPWLFIFGAVKDGYCSGEIYALDVSLNQWHVIGADILKGRFMFSVASIQDDIYIVGGCSSLNNFGRVDRSSFKTHKGVMLFSPLTKSWRKVSPMKYARSMPILGVSEVTSDFSTSQSYQNRQDRRIPRSRLGGVSDVYEDPHRLSLRRQCRYAVDENESSLLPNRKSYKFIKQKSDHSSMKSHRRFVIIAVGGLGSWDEPLDSGEIYDSVSKKWTEIQGLPVDFGIVCSGVVCNGMFYVYSESDKLAGYDIERGFWIGIQTSPFPPRVHEYYPKLVSCNGRLFMLSVFWCEGDGQIGRRNKAVRKVWELDLMYLNWTEVSVHPDAPMDWNAAFVADGNMIFGIEMFKIFGQVLDFFTVCDVSDMEMKWSHISRNHVTHELDASSCSTKSMAVLHL >ONI05463 pep chromosome:Prunus_persica_NCBIv2:G5:932436:935768:1 gene:PRUPE_5G009000 transcript:ONI05463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSERLSGEESLRQDFEALSVSKRLMRSVSQKLRKKNQRPGGEEEDDARGISLRCLTLYGRGGGCKVGADTGDDFGDPSNRRRSSASDEGKGYRPICGTEESGMDCFSYGVRERFWKKHNRKDFELEESIRNSRMHIFLPDDILEMCLVRLPLTTLMTARLVCKKWRHLTTTPRFLQMRREGSHQNPWLFIFGAVKDGYCSGEIYALDVSLNQWHVIGADILKGRFMFSVASIQDDIYIVGGCSSLNNFGRVDRSSFKTHKGVMLFSPLTKSWRKVSPMKYARSMPILGVSEVTSDFSTSQSYQNRQDRRIPRSRLGGVSDVYEDPHRLSLRRQCRYAVDENESSLLPNRKSYKFIKQKSDHSSMKSHRRFVIIAVGGLGSWDEPLDSGEIYDSVSKKWTEIQGLPVDFGIVCSGVVCNGMFYVYSESDKLAGYDIERGFWIGIQTSPFPPRVHEYYPKLVSCNGRLFMLSVFWCEGDGQIGRRNKAVRKVWELDLMYLNWTEVSVHPDAPMDWNAAFVADGNMIFGIEMFKIFGQVLDFFTVCDVSDMEMKWSHISRNHVTHELDASSCSTKSMAVLHL >ONI05466 pep chromosome:Prunus_persica_NCBIv2:G5:932436:935793:1 gene:PRUPE_5G009000 transcript:ONI05466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSERLSGEESLRQDFEALSVSKRLMRSVSQKLRKKNQRPGGEEEDDARGISLRCLTLYGRGGGCKVGADTGDDFGDPSNRRRSSASDEGKGYRPICGTEESGMDCFSYGVRERFWKKHNRKDFELEESIRNSRMHIFLPDDILEMCLVRLPLTTLMTARLVCKKWRHLTTTPRFLQMRREGSHQNPWLFIFGAVKDGYCSGEIYALDVSLNQWHVIGADILKGRFMFSVASIQDDIYIVGGCSSLNNFGRVDRSSFKTHKGVMLFSPLTKSWRKVSPMKYARSMPILGVSEVTSDFSTSQSYQNRQDRRIPRSRLGGVSDVYEDPHRLSLRRQCRYAVDENESSLLPNRKSYKFIKQKSDHSSMKSHRRFVIIAVGGLGSWDEPLDSGEIYDSVSKKWTEIQGLPVDFGIVCSGVVCNGMFYVYSESDKLAGYDIERGFWIGIQTSPFPPRVHEYYPKLVSCNGRLFMLSVFWCEGDGQIGRRNKAVRKVWELDLMYLNWTEVSVHPDAPMDWNAAFVADGNMIFGIEMFKIFGQVLDFFTVCDVSDMEMKWSHISRNHVTHELDASSCSTKSMAVLHL >ONI05464 pep chromosome:Prunus_persica_NCBIv2:G5:932436:935793:1 gene:PRUPE_5G009000 transcript:ONI05464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSERLSGEESLRQDFEALSVSKRLMRSVSQKLRKKNQRPGGEEEDDARGISLRCLTLYGRGGGCKVGADTGDDFGDPSNRRRSSASDEGKGYRPICGTEESGMDCFSYGVRERFWKKHNRKDFELEESIRNSRMHIFLPDDILEMCLVRLPLTTLMTARLVCKKWRHLTTTPRFLQMRREGSHQNPWLFIFGAVKDGYCSGEIYALDVSLNQWHVIGADILKGRFMFSVASIQDDIYIVGGCSSLNNFGRVDRSSFKTHKGVMLFSPLTKSWRKVSPMKYARSMPILGVSEVTSDFSTSQSYQNRQDRRIPRSRLGGVSDVYEDPHRLSLRRQCRYAVDENESSLLPNRKSYKFIKQKSDHSSMKSHRRFVIIAVGGLGSWDEPLDSGEIYDSVSKKWTEIQGLPVDFGIVCSGVVCNGMFYVYSESDKLAGYDIERGFWIGIQTSPFPPRVHEYYPKLVSCNGRLFMLSVFWCEGDGQIGRRNKAVRKVWELDLMYLNWTEVSVHPDAPMDWNAAFVADGNMIFGIEMFKIFGQVLDFFTVCDVSDMEMKWSHISRNHVTHELDASSCSTKSMAVLHL >ONI06165 pep chromosome:Prunus_persica_NCBIv2:G5:4891337:4892352:1 gene:PRUPE_5G044900 transcript:ONI06165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGGAMKLMMVMMAMTMLTTSHGIGIPINTSVVTGGYSASGCNGLTDTGCRLAHSELDFDSEFMLDSEFNIRLLQTNEFHPSKGALNPAISQACNRPDHPETCRGPPNKISIQEHCTDQLNRACHRYP >ONI07997 pep chromosome:Prunus_persica_NCBIv2:G5:13747198:13749355:1 gene:PRUPE_5G152400 transcript:ONI07997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPDNHTKSCKAKGNDLRVHFKNTRETAHAIRKLPLIKAKRYLEDVLVHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKREPETQLASRKAEKGRTLRSGAAS >ONI08159 pep chromosome:Prunus_persica_NCBIv2:G5:14148941:14154649:-1 gene:PRUPE_5G160500 transcript:ONI08159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGRRHSVDLPISKTLVALRRVRSLRDPSTNSMSKFSAPLENVNWETNSSNDISMRFTNTFQEGGSDQHRSLRPKNLGFYRHRGDFLDDFELDCDLEKSRLILHENSEWVGSTGSRPIRSKQAEEFDFSESDKEEVCGNKSLSDRYCSSQMDTGLVLTRVNTLEDVDYEADVRSSYLERTDQITSKRKSQCNNRVNSCGEVGEVTSEVGSPCPSASDAISSHSASLFANEAVDAVDCNRPSCEVSCCWSRTPRFREANRSLDVDEYPLLYKNVDESVLYEQRSLKHIGNKTNPLSENPRSLSQKFRPNFFNELVGQNLVARSLLGAISRGRITSVYMFHGPRGTGKTSASRIFAAALNCLSHEEHRPCGLCCECVSFFSGRSRDIKEVDSVRINRRDRVRSLIKNAAIPPVSSRFKVFIIDECHLMRGETWATVLNSIDNLSQHVVFVMITPDIDKLPRSAVSRSQRYHFPKLKDADVAKKLGKICVEEGLEFDQGALGFIASNSNGSLRDAEMMLDQLSLLGKKITMAQAYEFIGVVSDDELLGLLDLALSSDTSSTVIRARELMRSRVDPMQLISQLANLVMDILAGKCQDGASEVQKRFSSRHTSEVDLQKLSHALKIFSETEKQLRVSKNQMTWLTAALLQLSSVESSSLDGNDTKLCLRSTQDRGESFKTLVTCSCNVDIPDKLGMQKDSDGKLESIWKRATDSCQSNSLKNFLKKQGKLSSLLVSQGLAIAELEFCHPDYVSKAEKSWKIIASSLQSIFGCNVEVRINLVPCASDSKYAKVKKPSFRLFSCSRRIQQKSQSSTGRGSDSDYSEYTSEKPI >ONI06105 pep chromosome:Prunus_persica_NCBIv2:G5:4486277:4488971:1 gene:PRUPE_5G040700 transcript:ONI06105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSAVHFTVNSSETKRPIFFTSPFRQIPTKRFNLSCRSTKSPPKSPPDLAEPNSKNNNKKNDDNNNKKNPSLSLSEQLQPLTSTTLSNPPKDQSQLLSKPKSIWVNPAKPKRSVLSLQRQKRSLYSYNPQVRDLRQFAHKLNDCDASQNAFLAALEEIPHPPTRENALLILNSLKPWQKTHMFFNWVKAQNSFPMDTIFYNVTMKSLRFGRQFQLIEELAEEMVSNEIELDNITYSTIITCAKRSKLFDKAVEWFERMYKTGLMPDEVTYSAILDVYAKLGKVEEVLSLYERGRASGWKPDPIAFSVLGKMFGEAGDYDGIRYVLQEMAALGVQPNLVVYNTLLEAMGKAGKPGLARSLFEEMVGSGLKPNEKTLTALVKIYGKARWARDALELWERMRSNEWPMDFILYNTLLNMCADLGLEEEAKKLFEDMKQSEHCRPDSWSYTAMLNIFGSGGNVDGAMGLFEEMSELGIELNVMGCTCLIQCLGKARRFSDMVRVFGVAVERGVKPDDRLCGCLLSVVSLCEKTEDEDKVLSCLQQANPKLVTLVKVLQDKKLGFETIKDEFRDVISGTSVESRRPFCNCLIDICRNKNNHERAHELLYLGTLYGLYPGLHNKTSREWCLDVRSLSIGAAHTALEEWMGTLYKIVQREEALPELFSAQTGTGTHKFSQGLAHSFASHVEKLAAPFRKSEEKAGRFVATREDLVSWVQSQAPSTAITA >ONI06083 pep chromosome:Prunus_persica_NCBIv2:G5:4374943:4375762:-1 gene:PRUPE_5G039400 transcript:ONI06083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAKEKLSNKACAAKEHANIYKAKAEEKVEKATARTEEQREIASEVRKAKEAKAKMELHEAKAEHAAEKLTASAKKSHVIHGQSDPAVGDRASSPWS >ONI08504 pep chromosome:Prunus_persica_NCBIv2:G5:15212663:15215054:-1 gene:PRUPE_5G182100 transcript:ONI08504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSQKKSLHSLLAAKLSNLTIPPSSSSSSSSPPQDFDFNDLFGPTTAIPNDQSTSATSLPPAPLVIHNRSHSFVGPSPRFAPSSSLPFTAEFLDSQSDEDESENPDGTDNRNDGESEKTELAVKERARKFGPADFEMLRVVGKGAFGKVFQVRKKCNDGSNGNESDGLYAMKVMRKDTIIKKNHVDYMKAERDILTKVVHPFIVQLRYSFQTNSKLYLIMDFINGGHLFFHLYRQGIFSSEDQARLYTAEIVSAVAHLHKCGIVHRDLKPENVLVDSDGHVMLTDFGLAKEIDEASRSNSMCGTTEYMAPEILLSKGHNKDADWWSIGILLYEMLSGQPPFTHPNRKKLQERIIKEKVKLPPYLTSEAHSLLRGLLQKDPLQRLGSGPNGGDNIKSHKWFRSINWKKLEARELLPKFKPDVSGKDCTANFDRCWTTMPLDDSPAPTPTAGEHFQGYTYVAPNPWLSSA >ONI08502 pep chromosome:Prunus_persica_NCBIv2:G5:15212325:15215367:-1 gene:PRUPE_5G182100 transcript:ONI08502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSQKKSLHSLLAAKLSNLTIPPSSSSSSSSPPQDFDFNDLFGPTTAIPNDQSTSATSLPPAPLVIHNRSHSFVGPSPRFAPSSSLPFTAEFLDSQSDEDESENPDGTDNRNDGESEKTELAVKERARKFGPADFEMLRVVGKGAFGKVFQVRKKCNDGSNGNESDGLYAMKVMRKDTIIKKNHVDYMKAERDILTKTNSKLYLIMDFINGGHLFFHLYRQGIFSEDQARLYTAEIVSAVAHLHKCGIVHRDLKPENVLVDSDGHVMLTDFGLAKEIDEASRSNSMCGTTEYMAPEILLSKGHNKDADWWSIGILLYEMLSGQPPFTHPNRKKLQERIIKEKVKLPPYLTSEAHSLLRGLLQKDPLQRLGSGPNGGDNIKSHKWFRSINWKKLEARELLPKFKPDVSGKDCTANFDRCWTTMPLDDSPAPTPTAGEHFQGYTYVAPNPWLSSA >ONI08503 pep chromosome:Prunus_persica_NCBIv2:G5:15212663:15215054:-1 gene:PRUPE_5G182100 transcript:ONI08503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSQKKSLHSLLAAKLSNLTIPPSSSSSSSSPPQDFDFNDLFGPTTAIPNDQSTSATSLPPAPLVIHNRSHSFVGPSPRFAPSSSLPFTAEFLDSQSDEDESENPDGTDNRNDGESEKTELAVKERARKFGPADFEMLRVVGKGAFGKVFQVRKKCNDGSNGNESDGLYAMKVMRKDTIIKKNHVDYMKAERDILTKVVHPFIVQLRYSFQTNSKLYLIMDFINGGHLFFHLYRQGIFSEDQARLYTAEIVSAVAHLHKCGIVHRDLKPENVLVDSDGHVMLTDFGLAKEIDEASRSNSMCGTTEYMAPEILLSKGHNKDADWWSIGILLYEMLSGQPPFTHPNRKKLQERIIKEKVKLPPYLTSEAHSLLRGLLQKDPLQRLGSGPNGGDNIKSHKWFRSINWKKLEARELLPKFKPDVSGKDCTANFDRCWTTMPLDDSPAPTPTAGEHFQGYTYVAPNPWLSSA >ONI06313 pep chromosome:Prunus_persica_NCBIv2:G5:5677182:5679764:-1 gene:PRUPE_5G052700 transcript:ONI06313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSKLNIAIIHPDLGIGGAERLVVDAAVELASHGHKVHVFTAHHDKNRCFEETISGTFPVTVYGAFLPRHIFYHLHALCAYLRCLFVAVCVLVMWPSFDVILADQVSVVIPLLKLKKSTKVLFYCHFPDLLLAQHTTILRRIYRKPIDFIEEMTTGIADKILVNSKFTASMFAKTFKRLDARGVQPAVLYPAVNVNQFDEPTDSYKLNFLSINRFEKKKNIDLAILAFSMLQTLGGDVLQGPNLAEASLTIAGGFDKRLKENVEYLEELRSLAKREGVSSQVNFITSCSTAERNALLSQCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVKDGVTGFLCEGNPREFSLAMAKLIQDPQMAQRMGTEARKHVTESFSTKIFGQNLNQYLVDIARTKRD >ONI06312 pep chromosome:Prunus_persica_NCBIv2:G5:5675941:5682027:-1 gene:PRUPE_5G052700 transcript:ONI06312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSKLNIAIIHPDLGIGGAERLVVDAAVELASHGHKVHVFTAHHDKNRCFEETISGTFPVTVYGAFLPRHIFYHLHALCAYLRCLFVAVCVLVMWPSFDVILADQVSVVIPLLKLKKSTKVLFYCHFPDLLLAQHTTILRRIYRKPIDFIEEMTTGIADKILVNSKFTASMFAKTFKRLDARGVQPAVLYPAVNVNQFDEPTDSYKLNFLSINRFEKKKNIDLAILAFSMLQTLGGDVLQGPNLAEASLTIAGGFDKRLKENVEYLEELRSLAKREGVSSQVNFITSCSTAERNALLSQCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVKDGVTGFLCEGNPREFSLAMAKLIQDPQMAQRMGTEARKHVTESFSTKIFGQNLNQYLVDIARTKRD >ONI06311 pep chromosome:Prunus_persica_NCBIv2:G5:5675941:5680865:-1 gene:PRUPE_5G052700 transcript:ONI06311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSKLNIAIIHPDLGIGGAERLVVDAAVELASHGHKVHVFTAHHDKNRCFEETISGTFPVTVYGAFLPRHIFYHLHALCAYLRCLFVAVCVLVMWPSFDVILADQVSVVIPLLKLKKSTKVLFYCHFPDLLLAQHTTILRRIYRKPIDFIEEMTTGIADKILVNSKFTASMFAKTFKRLDARGVQPAVLYPAVNVNQFDEPTDSYKLNFLSINRFEKKKNIDLAILAFSMLQTLGGDVLQGPNLAEASLTIAGGFDKRLKENVEYLEELRSLAKREGVSSQVNFITSCSTAERNALLSQCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVKDGVTGFLCEGNPREFSLAMAKLIQDPQMAQRMGTEARKHVTESFSTKIFGQNLNQYLVDIARTKRD >ONI06310 pep chromosome:Prunus_persica_NCBIv2:G5:5675941:5682027:-1 gene:PRUPE_5G052700 transcript:ONI06310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSKLNIAIIHPDLGIGGAERLVVDAAVELASHGHKVHVFTAHHDKNRCFEETISGTFPVTVYGAFLPRHIFYHLHALCAYLRCLFVAVCVLVMWPSFDVILADQVSVVIPLLKLKKSTKVLFYCHFPDLLLAQHTTILRRIYRKPIDFIEEMTTGIADKILVNSKFTASMFAKTFKRLDARGVQPAVLYPAVNVNQFDEPTDSYKLNFLSINRFEKKKNIDLAILAFSMLQTLGGDVLQGPNLAEASLTIAGGFDKRLKENVEYLEELRSLAKREGVSSQVNFITSCSTAERNALLSQCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVKDGVTGFLCEGNPREFSLAMAKLIQDPQMAQRMGTEARKHVTESFSTKIFGQNLNQYLVDIARTKRD >ONI06314 pep chromosome:Prunus_persica_NCBIv2:G5:5677182:5679764:-1 gene:PRUPE_5G052700 transcript:ONI06314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSKLNIAIIHPDLGIGGAERLVVDAAVELASHGHKVHVFTAHHDKNRCFEETISGTFPVTVYGAFLPRHIFYHLHALCAYLRCLFVAVCVLVMWPSFDVILADQVSVVIPLLKLKKSTKVLFYCHFPDLLLAQHTTILRRIYRKPIDFIEEMTTGIADKILVNSKFTASMFAKTFKRLDARGVQPAVLYPAVNVNQFDEPTDSYKLNFLSINRFEKKKNIDLAILAFSMLQTLGGDVLQGPNLAEASLTIAGGFDKRLKENVEYLEELRSLAKREGVSSQVNFITSCSTAERNALLSQCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVKDGVTGFLCEGNPREFSLAMAKLIQDPQMAQRMGTEARKHVTESFSTKIFGQNLNQYLVDIARTKRD >ONI06309 pep chromosome:Prunus_persica_NCBIv2:G5:5677182:5679764:-1 gene:PRUPE_5G052700 transcript:ONI06309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSKLNIAIIHPDLGIGGAERLVVDAAVELASHGHKVHVFTAHHDKNRCFEETISGTFPVTVYGAFLPRHIFYHLHALCAYLRCLFVAVCVLVMWPSFDVILADQVSVVIPLLKLKKSTKVLFYCHFPDLLLAQHTTILRRIYRKPIDFIEEMTTGIADKILVNSKFTASMFAKTFKRLDARGVQPAVLYPAVNVNQFDEPTDSYKLNFLSINRFEKKKNIDLAILAFSMLQTLGGDVLQGPNLAEASLTIAGGFDKRLKENVEYLEELRSLAKREGVSSQVNFITSCSTAERNALLSQCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVKDGVTGFLCEGNPREFSLAMAKLIQDPQMAQRMGTEARKHVTESFSTKIFGQNLNQYLVDIARTKRD >ONI08812 pep chromosome:Prunus_persica_NCBIv2:G5:16243377:16245301:1 gene:PRUPE_5G201800 transcript:ONI08812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGITPMAVMAVYYSFGITGITHLENCTEKAQEAADSRNPIVIPSVRVAEKLSRKKSERFTYLVAAVMSSFGITSMAVMAVYYRFYWQMEGGNVPLSEMLGTFALSVGAAVGMEFWARWAHKALWHAYGICTSLTTQTQRRSIRA >ONI08074 pep chromosome:Prunus_persica_NCBIv2:G5:13971173:13973315:1 gene:PRUPE_5G157200 transcript:ONI08074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSSFTPIFSSLPAKPLSPKPLPNAIFSCFTHTAPTVRQNASPNTPTTILPPPTSAYIHLPFCRKRCHYCDFTIVALGSSSNQTEDDPRMINYVQLLCREINAMKAENKANPPLETVFFGGGTPSLVPPKLVSSILDTLRLKFGLSSDSEISMEMDPGTFDAKKMKDMMELGVNRVSLGVQAFQEELLKACGRAHGLREVYEAIEIVGSCGVENWSMDIISSLPHQTPEMWEESLRLTVEACPTHVSVYDLQVEQGTKFGLLYTPGEFPLPSETRSSEFYRMASRTLSDAGYNHYEISSYCKSGYHCKHNLTYWKNKPFYAFGLGSASYVDGVRFSRPKRMKEYTGYVENLENGLVDSCESNRSAQDMATDVLMLSLRTSRGLDLKSFGEEYGSSLVLALCKAYKPYVESGHVVFLDEHRRAMAADELNALLVNEDKIERSPAYIRLSDPDGFLLSNELISLAFAVVAP >ONI08526 pep chromosome:Prunus_persica_NCBIv2:G5:15269163:15272490:-1 gene:PRUPE_5G183500 transcript:ONI08526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPACCEKLHLKRGLWTEEEDAKILAHGSKHGNSNWTAFPKKAGLTRCGKSCRLRWTNYLRPNLKHESFTPHEEELIVRLHATIGSRWPIIAQQLPGRTDKDVKNYWNTKLKKKLSEMGIDHVTHKPFSQILADYGNIGGLPKAGMRMAIGSLNKDLKNAILMKPELPYSLSTQGFSNINSHLMPMTMIPIKMEPIQDSFLSNAQSSNQPFDLLTQLQAIRLVTEASNSTNLESSPPNLYIHEGTLSSSPSSSTCSSAAQEQATLQAFSWSDFLLEDAFFPTSDAQEHEDKAEHSSMDMLNQSKAAIPQSQIEDQAGMQKYNEVEATSPCDSSFVEAMVARENEMFLEFPQLMEEPFYY >ONI08524 pep chromosome:Prunus_persica_NCBIv2:G5:15269164:15272490:-1 gene:PRUPE_5G183500 transcript:ONI08524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPACCEKLHLKRGLWTEEEDAKILAHGSKHGNSNWTAFPKKAGLTRCGKSCRLRWTNYLRPNLKHESFTPHEEELIVRLHATIGSRWPIIAQQLPGRTDKDVKNYWNTKLKKKLSEMGIDHVTHKPFSQILADYGNIGGLPKAGMRMAIGSLNKDLKNAILMKPELPYSLSTQGFSNINSHLMPMTMIPIKMEPIQDSFLSNAQSSNQPFDLLTQLQAIRLVTEASNSTNLESSPPNLYIHEGTLSSSPSSSTCSSAAQEQATLQAFSWSDFLLEDAFFPTSDAQEHEDKAEHSSMDMLNQSKAAIPQSQIEDQAGMQKYNEVEATSPCDSSFVEAMVARENEMFLEFPQLMEEPFYY >ONI08527 pep chromosome:Prunus_persica_NCBIv2:G5:15269159:15271505:-1 gene:PRUPE_5G183500 transcript:ONI08527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPACCEKLHLKRGLWTEEEDAKILAHGSKHGNSNWTAFPKKAGLTRCGKSCRLRWTNYLRPNLKHESFTPHEEELIVRLHATIGSRWPIIAQQLPGRTDKDVKNYWNTKLKKKLSEMGIDHVTHKPFSQILADYGNIGGLPKAGMRMAIGSLNKDLKNAILMKPELPYSLSTQGFSNINSHLMPMTMIPIKMEPIQDSFLSNAQSSNQPFDLLTQLQAIRLVTEASNSTNLESSPPNLYIHEGTLSSSPSSSTCSSAAQEQATLQAFSWSDFLLEDAFFPTSDAQEHEDKAEHSSMDMLNQSKAAIPQSQIEDQAGMQKYNEVEATSPCDSSFVEAMVARENEMFLEFPQLMEEPFYY >ONI08525 pep chromosome:Prunus_persica_NCBIv2:G5:15269164:15271754:-1 gene:PRUPE_5G183500 transcript:ONI08525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPACCEKLHLKRGLWTEEEDAKILAHGSKHGNSNWTAFPKKAGLTRCGKSCRLRWTNYLRPNLKHESFTPHEEELIVRLHATIGSRWPIIAQQLPGRTDKDVKNYWNTKLKKKLSEMGIDHVTHKPFSQILADYGNIGGLPKAGMRMAIGSLNKDLKNAILMKPELPYSLSTQGFSNINSHLMPMTMIPIKMEPIQDSFLSNAQSSNQPFDLLTQLQAIRLVTEASNSTNLESSPPNLYIHEGTLSSSPSSSTCSSAAQEQATLQAFSWSDFLLEDAFFPTSDAQEHEDKAEHSSMDMLNQSKAAIPQSQIEDQAGMQKYNEVEATSPCDSSFVEAMVARENEMFLEFPQLMEEPFYY >ONI06121 pep chromosome:Prunus_persica_NCBIv2:G5:4607706:4608962:-1 gene:PRUPE_5G041800 transcript:ONI06121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPSSFHLHPQQQLQQHQNFKHLCGSGNNRPLRIRRNQIDVGLRMKKHLFQTEAGQNMVYSPLSIHVLLSLTAAGTQGATQDELLSFVNLKSTSELNSLASNLVPLVFADSSPSGGPCLTLANGLWVDKSLPFKPSFKEVVDTFYKGVPKTVNSSTRLILANALYFKGVWDNEFHESKTKKYVFHLLNGRSNIEAPFMTSHDEQFISAFDGFKVLKLQYKRAKDEKRGFSMCLFLPDEKDGLPALVERVCSEPGFLDRHIPHYHVEVGNFRIPKFKITSSFSVCHILKQLGLELPFLFYPYKGGNLTEMVESPSGEDPFVSDMRQEAVIEVNEEGTEAAAVTTFDLMEGSSLYKPKKIDFVADHPFLFFIREEITGAVLFIG >ONI06363 pep chromosome:Prunus_persica_NCBIv2:G5:6026068:6027050:1 gene:PRUPE_5G055900 transcript:ONI06363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDSTTIEKPNTRRLSLNEFADLTNEEFREIHNGYMKRSSKLIMSNSTKATMDWREKGAVTPMWCWWVFSAVAATEGVNRLKTRNLISLSEQELVDCDTTGQDHGCEGGLMDDAFQFIQQRLTTEANYPYQGVNGTSCNTQKAASQTVSINGYEDVPKNNKNAMLQAVANQPISVAIDASGCTFQFYSSGVFIGTCGINLDHGAGLVVMGLNTG >ONI05739 pep chromosome:Prunus_persica_NCBIv2:G5:2462056:2465653:-1 gene:PRUPE_5G022200 transcript:ONI05739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFPGHALPGTLFFVVGVWHVWSSLVRYVSNPKSFRVQVWSPVPGFDGRLKYLELYIIAIGTFIDMCIELLYSTHLKFFVNGVLNPSHMNDFEHSGMLLMFFIFGVVSLLSEKTRFLPLPEGALCLIAATAFCAEYLLFYFHSTTHKGLEGYYHILLVLLIGLCILSTVAGALFPTSFPIDLCSGIAMTLQGLWFYQTAFTLYGPMMPDGCQLKDDTISCHSVDNEVRGELLANFQFFVLVLGVLTAVVGGYGFVALRFGHSDPRSLRAV >ONI05740 pep chromosome:Prunus_persica_NCBIv2:G5:2462056:2465653:-1 gene:PRUPE_5G022200 transcript:ONI05740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFPGHALPGTLFFVVGVWHVWSSLVRYVSNPKSFRVQVWSPVPGFDGRLKYLELYIIAIGTFIDMCIELLYSTHLKFFVNGVLNPSHMNDFEHSGMLLMFFIFGVVSLLSEKTRFLPLPEGALCLIAATAFCAEYLLFYFHSTTHKGLEGYYHILLVLLIGLCILSTVAGALFPTSFPIDLCSGIAMTLQGLWFYQTAFTLYGPMMPDGCQLKDDTISCHSVDNEVRGELLANFQFFVLVLGVLTAVVGGYGFVALRFGHSDPRSLRAV >ONI05741 pep chromosome:Prunus_persica_NCBIv2:G5:2462056:2465653:-1 gene:PRUPE_5G022200 transcript:ONI05741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFPGHALPGTLFFVVGVWHVWSSLVRYVSNPKSFRVQVWSPVPGFDGRLKYLELYIIAIGTFIDMCIELLYSTHLKFFVNGVLNPSHMNDFEHSGMLLMFFIFGVVSLLSEKTRFLPLPEGALCLIAATAFCAEYLLFYFHSTTHKGLEGYYHILLVLLIGLCILSTVAGALFPTSFPIDLCSGIAMTLQGLWFYQTAFTLYGPMMPDGCQLKDDTISCHSVDNEVRGELLANFQFFVLVLGVLTAVVGGYGFVALRFGHSDPRSLRAV >ONI05743 pep chromosome:Prunus_persica_NCBIv2:G5:2461282:2466714:-1 gene:PRUPE_5G022200 transcript:ONI05743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFPGHALPGTLFFVVGVWHVWSSLVRYVSNPKSFRVQVWSPVPGFDGRLKYLELYIIAIGTFIDMCIELLYSTHLKFFVNGVLNPSHMNDFEHSGMLLMFFIFGVVSLLSEKTRFLPLPEGALCLIAATAFCAEYLLFYFHSTTHKGLEGYYHILLVLLIGLCILSTVAGALFPTSFPIDLCSGIAMTLQGLWFYQTAFTLYGPMMPDGCQLKDDTISCHSVDNEVRGELLANFQFFVLVLGVLTAVVGGYGFVALRFGHSDPRSLRAV >ONI05742 pep chromosome:Prunus_persica_NCBIv2:G5:2461282:2466547:-1 gene:PRUPE_5G022200 transcript:ONI05742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFPGHALPGTLFFVVGVWHVWSSLVRYVSNPKSFRVQVWSPVPGFDGRLKYLELYIIAIGTFIDMCIELLYSTHLKFFVNGVLNPSHMNDFEHSGMLLMFFIFGVVSLLSEKTRFLPLPEGALCLIAATAFCAEYLLFYFHSTTHKGLEGYYHILLVLLIGLCILSTVAGALFPTSFPIDLCSGIAMTLQGLWFYQTAFTLYGPMMPDGCQLKDDTISCHSVDNEVRGELLANFQFFVLVLGVLTAVVGGYGFVALRFGHSDPRSLRAV >ONI05744 pep chromosome:Prunus_persica_NCBIv2:G5:2461849:2466588:-1 gene:PRUPE_5G022200 transcript:ONI05744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFPGHALPGTLFFVVGVWHVWSSLVRYVSNPKSFRVQVWSPVPGFDGRLKYLELYIIAIGTFIDMCIELLYSTHLKFFVNGVLNPSHMNDFEHSGMLLMFFIFGVVSLLSEKTRFLPLPEGALCLIAATAFCAEYLLFYFHSTTHKGLEGYYHILLVLLIGLCILSTVAGALFPTSFPIDLCSGIAMTLQGLWFYQTAFTLYGPMMPDGCQLKDDTISCHSVDNEVRGELLANFQFFVLVLGVLTAVVGGYGFVALRFGHSDPRSLRAV >ONI07640 pep chromosome:Prunus_persica_NCBIv2:G5:12741121:12742801:-1 gene:PRUPE_5G132700 transcript:ONI07640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSFSYCSSLFLSILIISGFVFAEMVNSMGINYGQIANNLPSPDDVVPLVKSIGISKIKLYDADPKVLKAFANTGVQFIVGLGNEYLTKVQDPAQAQNWVKSNVQAHLPATNITCIFVGNEVLTFNDTSLSNNLLPAMQSVHAALVSLNLDKQVTVTTAHSLSILETSFPPSAGAFRQDLTGCITPILNFHSKTGSPFLINAYPYFAYKSNPKQVPLDYVLFQPNSGSLDSGTNLHYDNMLFAQIDAVYSALAALGFKKLPVHISETGWPSKGDEDEAGATPENAKKYNGNLIKLICQKKGTPLRPGSDLNIYVFALFNENMKPGPTSERNFGLFKPDGSPAYSIGLSVSNSSFGNGNSSSNSGSGSGSGGLVNPITPSPPGTSSSGYLAISSAAVTASPSFLLFSVFLFYCVKQLSF >ONI07639 pep chromosome:Prunus_persica_NCBIv2:G5:12740904:12742801:-1 gene:PRUPE_5G132700 transcript:ONI07639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSFSYCSSLFLSILIISGFVFAEMVNSMGINYGQIANNLPSPDDVVPLVKSIGISKIKLYDADPKVLKAFANTGVQFIVGLGNEYLTKVQDPAQAQNWVKSNVQAHLPATNITCIFVGNEVLTFNDTSLSNNLLPAMQSVHAALVSLNLDKQVTVTTAHSLSILETSFPPSAGAFRQDLTGCITPILNFHSKTGSPFLINAYPYFAYKSNPKQVPLDYVLFQPNSGSLDSGTNLHYDNMLFAQIDAVYSALAALGFKKLPVHISETGWPSKGDEDEAGATPENAKKYNGNLIKLICQKKGTPLRPGSDLNIYVFALFNENMKPGPTSERNFGLFKPDGSPAYSIGLSVSNSSFGNGNSSSNSGSGSGSGGLVNPITPSPPGTSSSGYLAISSAAVTESCHVIGLIPMLVVFF >ONI08258 pep chromosome:Prunus_persica_NCBIv2:G5:14475532:14478272:1 gene:PRUPE_5G167800 transcript:ONI08258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDSANADLIRYACNEITTATVLPTGLNSVIQPNMAPRRRSVDHHPHHQFYQQINNTSTTGGAFSAPYLPWNDIHNRSGDINEGGGDGRM >ONI08259 pep chromosome:Prunus_persica_NCBIv2:G5:14475710:14478209:1 gene:PRUPE_5G167800 transcript:ONI08259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDSANADLIRYACNEITTATVLPTGLNSVIQPNMAPRRRSVDHHPHHQFYQQINNTSTTGGAFSAPYLPWNDIHNRSGDINEGGGDGRM >ONI07700 pep chromosome:Prunus_persica_NCBIv2:G5:12864781:12867556:-1 gene:PRUPE_5G135500 transcript:ONI07700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTGSYWVWRVDSTYRSQNRDRQGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07693 pep chromosome:Prunus_persica_NCBIv2:G5:12864781:12867541:-1 gene:PRUPE_5G135500 transcript:ONI07693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTGSYWVWRVDSTYRSQNRDRGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07701 pep chromosome:Prunus_persica_NCBIv2:G5:12864781:12867543:-1 gene:PRUPE_5G135500 transcript:ONI07701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTQGSYWVWRVDSTYRSQNRDRGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07705 pep chromosome:Prunus_persica_NCBIv2:G5:12864781:12867543:-1 gene:PRUPE_5G135500 transcript:ONI07705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGATGSGELTQPIGHKIETGVVLAHFMWHFQSSLVVCCWTC >ONI07691 pep chromosome:Prunus_persica_NCBIv2:G5:12864781:12867581:-1 gene:PRUPE_5G135500 transcript:ONI07691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTGSYWVWRVDSTYRSQNRDRGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07696 pep chromosome:Prunus_persica_NCBIv2:G5:12864781:12867544:-1 gene:PRUPE_5G135500 transcript:ONI07696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTGSYWVWRVDSTYRSQNRDRQGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07695 pep chromosome:Prunus_persica_NCBIv2:G5:12865568:12867263:-1 gene:PRUPE_5G135500 transcript:ONI07695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTGSYWVWRVDSTYRSQNRDRGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07706 pep chromosome:Prunus_persica_NCBIv2:G5:12864781:12867544:-1 gene:PRUPE_5G135500 transcript:ONI07706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGATGSGELTQPIGHKIETGRVVLAHFMWHFQSSLVVCCWTC >ONI07698 pep chromosome:Prunus_persica_NCBIv2:G5:12865568:12867263:-1 gene:PRUPE_5G135500 transcript:ONI07698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTGSYWVWRVDSTYRSQNRDRQGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07707 pep chromosome:Prunus_persica_NCBIv2:G5:12865832:12867263:-1 gene:PRUPE_5G135500 transcript:ONI07707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGATGSGELTQPIGHKIETGRVVLAHFMWHFQSSLVVCCWTC >ONI07694 pep chromosome:Prunus_persica_NCBIv2:G5:12864781:12867593:-1 gene:PRUPE_5G135500 transcript:ONI07694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTGSYWVWRVDSTYRSQNRDRGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07703 pep chromosome:Prunus_persica_NCBIv2:G5:12865568:12867263:-1 gene:PRUPE_5G135500 transcript:ONI07703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTQGSYWVWRVDSTYRSQNRDRGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07702 pep chromosome:Prunus_persica_NCBIv2:G5:12864781:12867594:-1 gene:PRUPE_5G135500 transcript:ONI07702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTQGSYWVWRVDSTYRSQNRDRGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07699 pep chromosome:Prunus_persica_NCBIv2:G5:12865568:12867263:-1 gene:PRUPE_5G135500 transcript:ONI07699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTGSYWVWRVDSTYRSQNRDRQGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07692 pep chromosome:Prunus_persica_NCBIv2:G5:12864781:12867543:-1 gene:PRUPE_5G135500 transcript:ONI07692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTGSYWVWRVDSTYRSQNRDRGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07697 pep chromosome:Prunus_persica_NCBIv2:G5:12865568:12867263:-1 gene:PRUPE_5G135500 transcript:ONI07697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGVAANVPPPQSQPTGSYWVWRVDSTYRSQNRDRQGCVSPLYVAFSILIGGLLLDVLISVTLGVSALPINIIIGIIVVLGLGTALRLILEFFHQWSLRRVVQRVDTNVPLGYHPAL >ONI07704 pep chromosome:Prunus_persica_NCBIv2:G5:12865832:12867263:-1 gene:PRUPE_5G135500 transcript:ONI07704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKGDEHRSSERSMRSEKENEIPDLEKQQSDSGAEPSSLSNSSFGDTVPPLLNVVVLDEASREVHEQTTANLSREVPSPKKGDLSRTSSSHEQCRVCQQEKDEVLIDLGCQCRGGLAKSHRSCIDTWFRTRGSNKCEICQGATGSGELTQPIGHKIETGVVLAHFMWHFQSSLVVCCWTC >ONI09506 pep chromosome:Prunus_persica_NCBIv2:G5:18206881:18209068:1 gene:PRUPE_5G241900 transcript:ONI09506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPFERVKAGRLTFKDGSVATRSKAIDKKKNKKKKKKQQQLNPNDDGPNPTGPTDLEVVPEDAGAEAAAGGPETYTIDAAKRMKYDQLFPVEAKKFGYDPKSVAHKSIEDALDDRVKKKADRYCK >ONI07745 pep chromosome:Prunus_persica_NCBIv2:G5:12937007:12939073:1 gene:PRUPE_5G137100 transcript:ONI07745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYTFTTTAEREIVRDIKEKLAYVALDYEQELETAKTSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSVKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKSEYDESGPSIVHRKCF >ONI08477 pep chromosome:Prunus_persica_NCBIv2:G5:15130582:15132048:-1 gene:PRUPE_5G180100 transcript:ONI08477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLQLKTAAQKNPKPSFSIPCRSLTTSPPQPPQDSYLANLILQSDPQTLTQILHNPKIEWTADLVDKTLKRLWNHGPKAIQFFKVLDHHPSYTHSRSSFDHAIDIAGRLRDYKALWTLVSRMRARRLGPGPRTFAIITERYVAAGKPDRAVKVFLSMHEHGCPQDLNSFNTILDVLCKAKRVEKAYNLFKVFRGKFKADCISYNIIANGWCLIKRTPKALELLGEMVERGLDPSLTTYNIMLKGYFRAGQIKEAWEFFLQMKKRKCEIDVVTYTTLVHGFGVVGEIKKARKVFDEMVGEGVLPSVATYNALIQVLCKKDSVENAVLVFEEMMSKGYVPNVTTYSVLIRGLCHAGNMERAMEYMERMKDDKCEPNVQIYNVVIRYFCDAGEIEKGLSVFEKMGGGICLPNLDTYNVLISAMFVRKKPEDLLVAGKLLIEMVNRGFLPRRFTFNRILDGLLLTGNQAFAQEILRLQSRCGRLPRQVKL >ONI05305 pep chromosome:Prunus_persica_NCBIv2:G5:204071:211921:-1 gene:PRUPE_5G000700 transcript:ONI05305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVKEIGQELSDFNCGLAHLFLQHTTASLTINENVDSDVRDDTETFLNRIVPEGTSAPWKHTLEGSDDMPGHIKSLMFGCTLTVPITNGKLNMVPWQGIWLCEHCDYPTGQKVVVTLNGI >ONI09047 pep chromosome:Prunus_persica_NCBIv2:G5:16901910:16905069:1 gene:PRUPE_5G214400 transcript:ONI09047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIIGKSKEDASLPKAIVFEFCHRSILATSVAIGFGGLSLLLNPWVIFTTMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCSREEKRTIAPEHVLKALQVLGFSEYIEEVYSAYEQHKLETMHDVAKSVKWGNGAEMTEEEALAEQQRMFAEARARMNGGATAPKQPDPEQSLES >ONI09048 pep chromosome:Prunus_persica_NCBIv2:G5:16901910:16905069:1 gene:PRUPE_5G214400 transcript:ONI09048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDIIGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCSREEKRTIAPEHVLKALQVLGFSEYIEEVYSAYEQHKLETMHDVAKSVKWGNGAEMTEEEALAEQQRMFAEARARMNGGATAPKQPDPEQSLES >ONI07927 pep chromosome:Prunus_persica_NCBIv2:G5:13529500:13532484:1 gene:PRUPE_5G147500 transcript:ONI07927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGVKPAAAAKKKTEKVVNPLFEKRTKQFGIGGALPPKRDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKAAKKERLLKRAQAETEGKTVEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKSRLGAILHKKTAAALCLTTVKNEDKLEFSKILEAIKANFNDKYDEYRKKWGGGIMGSKSQARTKAKEKLLAKEAAQRLN >ONI09528 pep chromosome:Prunus_persica_NCBIv2:G5:18255059:18258439:1 gene:PRUPE_5G243100 transcript:ONI09528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGNSGSVGGPIAPPQLYFCHQCDRTVSLNPPPTSDLVCPICSGGFVEEMEASNPNPFPPNPFFSVPSSDAPPFASSGFPLLFSAPGPGNFSDDLSALFGGAPARSSPFQDPDAFNPFVFLQNYLQTLRANGANVQFVIDNNPGAGGDPAGFRVPPNLNLGDYFFGPGLEQLIQQLAENDPNRYGTPPASKSAVEGLPVVKISKELLDSDSSQCAVCKDLFELGEEAKQMPCKHIYHSDCILPWLELHNSCPVCRYELPTDDTDYEQRLSGASANANRANQSAAAGGVNIFAGVGGGGSGSGIGIGIGAPSLDNQSPTPRTVERRFTISLPRLFRVFGGSAETSNSGSGNNDESNSGNRGGHNSGSEEPRHEDLD >ONI09530 pep chromosome:Prunus_persica_NCBIv2:G5:18254836:18258677:1 gene:PRUPE_5G243100 transcript:ONI09530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGNSGSVGGPIAPPQLYFCHQCDRTVSLNPPPTSDLVCPICSGGFVEEMEASNPNPFPPNPFFSVPSSDAPPFASSGFPLLFSAPGPGNFSDDLSALFGGAPARSSPFQDPDAFNPFVFLQNYLQTLRANGANVQFVIDNNPGAGGDPAGFRVPPNLNLGDYFFGPGLEQLIQQLAENDPNRYGTPPASKSAVEGLPVVKISKELLDSDSSQCAVCKDLFELGEEAKQMPCKHIYHSDCILPWLELHNSCPVCRYELPTDDTDYEQRLSGASANANRANQSAAAGGVNIFAGVGGGGSGSGIGIGIGAPSLDNQSPTPRTVERRFTISLPRLFRVFGGSAETSNSGSGNNDESNSGNRGGHNSGSEEPRHEDLD >ONI09529 pep chromosome:Prunus_persica_NCBIv2:G5:18254836:18258685:1 gene:PRUPE_5G243100 transcript:ONI09529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGNSGSVGGPIAPPQLYFCHQCDRTVSLNPPPTSDLVCPICSGGFVEEMEASNPNPFPPNPFFSVPSSDAPPFASSGFPLLFSAPGPGNFSDDLSALFGGAPARSSPFQDPDAFNPFVFLQNYLQTLRANGANVQFVIDNNPGAGGDPAGFRVPPNLNLGDYFFGPGLEQLIQQLAENDPNRYGTPPASKSAVEGLPVVKISKELLDSDSSQCAVCKDLFELGEEAKQMPCKHIYHSDCILPWLELHNSCPVCRYELPTDDTDYEQRLSGASANANRANQSAAAGGVNIFAGVGGGGSGSGIGIGIGAPSLDNQSPTPRTVERRFTISLPRLFRVFGGSAETSNSGSGNNDESNSGNRGGHNSGSEEPRHEDLD >ONI07192 pep chromosome:Prunus_persica_NCBIv2:G5:11186264:11189212:1 gene:PRUPE_5G105400 transcript:ONI07192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWKTPLTSQLSEMVMAIVVVVLLATTKGVKSRKAREDLDYFEYCAMSCRAHSASLAEFGGVGDGSTSNTKAFQAAINHLSQYQSDGGAQLFVPPGKWLTGSFNLTSHFTLYLHKDAVLLASQEESEWPVIEPLPSYGRGRDTDGGRFISLIFGTNLTDVVVTGDNGTIDGQGELWWQKFKKGELKYTRPYLIEIMYSENIQISNLTLINSPSWNVHPVYSSNVLVQGITILAPVTSPNTDGINPDSCTNTKIEDCYIVSGDDCIAVKSGWDQYGIAFGMPTKQLVIRRLTCISPFSAVIALGSEMSGGIQDVRAENILAIDSESGVRIKTAVGRGGFVKDIYVRGVTMKTMKWAFWMTGNYGSHADNGYDPNALPVIQNINYHDMVAENVTMAAKLEGIPGDPFTGICISNVTITLAKKAKKLPWNCTDVAGISSGVVPQACGLLVDQGPSNVAACNFPEESLPIDNVQVQVCSYRRKHL >ONI07193 pep chromosome:Prunus_persica_NCBIv2:G5:11186486:11189175:1 gene:PRUPE_5G105400 transcript:ONI07193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSLLFFLLNLPYWVCLLHPETKKNAGNNYRNSIFCFSFFSFLSMLQLSEMVMAIVVVVLLATTKGVKSRKAREDLDYFEYCAMSCRAHSASLAEFGGVGDGSTSNTKAFQAAINHLSQYQSDGGAQLFVPPGKWLTGSFNLTSHFTLYLHKDAVLLASQEESEWPVIEPLPSYGRGRDTDGGRFISLIFGTNLTDVVVTGDNGTIDGQGELWWQKFKKGELKYTRPYLIEIMYSENIQISNLTLINSPSWNVHPVYSSNVLVQGITILAPVTSPNTDGINPDSCTNTKIEDCYIVSGDDCIAVKSGWDQYGIAFGMPTKQLVIRRLTCISPFSAVIALGSEMSGGIQDVRAENILAIDSESGVRIKTAVGRGGFVKDIYVRGVTMKTMKWAFWMTGNYGSHADNGYDPNALPVIQNINYHDMVAENVTMAAKLEGIPGDPFTGICISNVTITLAKKAKKLPWNCTDVAGISSGVVPQACGLLVDQGPSNVAACNFPEESLPIDNVQVQVCSYRRKHL >ONI07194 pep chromosome:Prunus_persica_NCBIv2:G5:11186630:11189166:1 gene:PRUPE_5G105400 transcript:ONI07194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSLLFFLLNLPYWVCLLHPETKKNAGNNYRNSIFCFSFFSFLSMLQLSEMVMAIVVVVLLATTKGVKSRKAREDLDYFEYCAMSCRAHSASLAEFGGVGDGSTSNTKAFQAAINHLSQYQSDGGAQLFVPPGKWLTGSFNLTSHFTLYLHKDAVLLASQESEWPVIEPLPSYGRGRDTDGGRFISLIFGTNLTDVVVTGDNGTIDGQGELWWQKFKKGELKYTRPYLIEIMYSENIQISNLTLINSPSWNVHPVYSSNVLVQGITILAPVTSPNTDGINPDSCTNTKIEDCYIVSGDDCIAVKSGWDQYGIAFGMPTKQLVIRRLTCISPFSAVIALGSEMSGGIQDVRAENILAIDSESGVRIKTAVGRGGFVKDIYVRGVTMKTMKWAFWMTGNYGSHADNGYDPNALPVIQNINYHDMVAENVTMAAKLEGIPGDPFTGICISNVTITLAKKAKKLPWNCTDVAGISSGVVPQACGLLVDQGPSNVAACNFPEESLPIDNVQVQVCSYRRKHL >ONI07388 pep chromosome:Prunus_persica_NCBIv2:G5:11871716:11873360:1 gene:PRUPE_5G116900 transcript:ONI07388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIACIQSLCKAPTFLLPSTFLGSSYFLQSPQANPFSRNRDSKYYIDRTIDNKKTRGGIVCGVLFPVDPWAPSIDSQSIASQLFAASLFPYLGFLYFITKSKSAPKLTLFGFYFLLAFVGATIPAGIYAKVKYGTSLANVDWLHGEAEALLTLTNIFIVLGLRRALRKAEDAKARTSTPISGLKEEKKTSV >ONI07389 pep chromosome:Prunus_persica_NCBIv2:G5:11871798:11872898:1 gene:PRUPE_5G116900 transcript:ONI07389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIACIQSLCKAPTFLLPSTFLGSSYFLQSPQANPFSRNRDSKYYIDRTIDNKKTRGGIVCGVLFPVDPWAPSIDSQSIASQLFAASLFPYLGFLYFITKSKSAPKLTLFGFYFLLAFVGATIPAGIYGKFSLFENVVHFISVHELMPYGTLVIWLNSKGEIWHFIGECRLVTW >ONI08111 pep chromosome:Prunus_persica_NCBIv2:G5:14051401:14058627:-1 gene:PRUPE_5G158500 transcript:ONI08111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08127 pep chromosome:Prunus_persica_NCBIv2:G5:14051767:14053734:-1 gene:PRUPE_5G158500 transcript:ONI08127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08134 pep chromosome:Prunus_persica_NCBIv2:G5:14051401:14058627:-1 gene:PRUPE_5G158500 transcript:ONI08134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGNDDVLSPNPGKVDSVLQVDPTELDPAIIDAICKFSLKGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPENLTPHLEMVMVDLHHYKVHRQQQQHDGMHQYH >ONI08132 pep chromosome:Prunus_persica_NCBIv2:G5:14051401:14058627:-1 gene:PRUPE_5G158500 transcript:ONI08132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGNDDVLSPNPGKVDSVLQVDPTELDPAIIDAICKFSLKGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPENLTPHLEMVMVDLHHYKVHRQQQQHDGMHQYH >ONI08133 pep chromosome:Prunus_persica_NCBIv2:G5:14051401:14058627:-1 gene:PRUPE_5G158500 transcript:ONI08133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGNDDVLSPNPGKVDSVLQVDPTELDPAIIDAICKFSLKGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPENLTPHLEMVMVDLHHYKVHRQQQQHDGMHQYH >ONI08118 pep chromosome:Prunus_persica_NCBIv2:G5:14051400:14058639:-1 gene:PRUPE_5G158500 transcript:ONI08118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08112 pep chromosome:Prunus_persica_NCBIv2:G5:14051767:14058316:-1 gene:PRUPE_5G158500 transcript:ONI08112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08124 pep chromosome:Prunus_persica_NCBIv2:G5:14051767:14058316:-1 gene:PRUPE_5G158500 transcript:ONI08124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGNDDVLSPNPGKVDSVLQVDPTELDPAIIDAICKFSLKGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08125 pep chromosome:Prunus_persica_NCBIv2:G5:14051767:14058316:-1 gene:PRUPE_5G158500 transcript:ONI08125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGNDDVLSPNPGKVDSVLQVDPTELDPAIIDAICKFSLKGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08131 pep chromosome:Prunus_persica_NCBIv2:G5:14052732:14058316:-1 gene:PRUPE_5G158500 transcript:ONI08131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPENLTPHLEMVMVDLHHYKVHRQQQQHDGMHQYH >ONI08117 pep chromosome:Prunus_persica_NCBIv2:G5:14051401:14058627:-1 gene:PRUPE_5G158500 transcript:ONI08117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08114 pep chromosome:Prunus_persica_NCBIv2:G5:14051401:14058627:-1 gene:PRUPE_5G158500 transcript:ONI08114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08119 pep chromosome:Prunus_persica_NCBIv2:G5:14051767:14058316:-1 gene:PRUPE_5G158500 transcript:ONI08119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08126 pep chromosome:Prunus_persica_NCBIv2:G5:14051451:14054390:-1 gene:PRUPE_5G158500 transcript:ONI08126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08128 pep chromosome:Prunus_persica_NCBIv2:G5:14051400:14058638:-1 gene:PRUPE_5G158500 transcript:ONI08128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPENLTPHLEMVMVDLHHYKVHRQQQQHDGMHQYH >ONI08120 pep chromosome:Prunus_persica_NCBIv2:G5:14051767:14058316:-1 gene:PRUPE_5G158500 transcript:ONI08120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08115 pep chromosome:Prunus_persica_NCBIv2:G5:14051767:14058316:-1 gene:PRUPE_5G158500 transcript:ONI08115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08130 pep chromosome:Prunus_persica_NCBIv2:G5:14051400:14058638:-1 gene:PRUPE_5G158500 transcript:ONI08130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPENLTPHLEMVMVDLHHYKVHRQQQQHDGMHQYH >ONI08122 pep chromosome:Prunus_persica_NCBIv2:G5:14051767:14058316:-1 gene:PRUPE_5G158500 transcript:ONI08122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGNDDVLSPNPGKVDSVLQVDPTELDPAIIDAICKFSLKGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08123 pep chromosome:Prunus_persica_NCBIv2:G5:14051767:14058316:-1 gene:PRUPE_5G158500 transcript:ONI08123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGNDDVLSPNPGKVDSVLQVDPTELDPAIIDAICKFSLKGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08110 pep chromosome:Prunus_persica_NCBIv2:G5:14051401:14058639:-1 gene:PRUPE_5G158500 transcript:ONI08110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08116 pep chromosome:Prunus_persica_NCBIv2:G5:14051400:14058638:-1 gene:PRUPE_5G158500 transcript:ONI08116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08121 pep chromosome:Prunus_persica_NCBIv2:G5:14051767:14058316:-1 gene:PRUPE_5G158500 transcript:ONI08121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08113 pep chromosome:Prunus_persica_NCBIv2:G5:14051401:14058640:-1 gene:PRUPE_5G158500 transcript:ONI08113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTECKVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPGEKDCSCYMRTLSCMYGTKCRFNHPDPTPAGESDPPSGNGNGGLASLQGASSATAARWYAPISLNNAPLYEPIMIPPSQEFPSQNTEWNGYQATERSMPAPPPYVMNHSVTETNTYEQYPQQKQVQEFPERPGQPVCLYFSRSGDCKHKSNCKYHHPKNQTAVPPSCDKGLLLRPGQNICTQYSAYGICNSGPACKFNHPSL >ONI08129 pep chromosome:Prunus_persica_NCBIv2:G5:14051400:14058638:-1 gene:PRUPE_5G158500 transcript:ONI08129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAPIGLPNPDVPPNAELSDLDLAILEEIRKLDALLDKIRKLGPALLDKIRKFDPDVLDELRKIDPVLLDGLRKIHPTLLDELRKVDSAVLQEMQLEPAEWDPVIIGAIRKLYLKLREEDEEVAERSTCSGRQTAKENENGNQKTQSEETDGGGGGENKNDNGGEVMKIGEERSRSQHYPVRPEAGEGKTGRKNRKNRKNRKNNQNYFRSGGQHPNLYASPNPGKEEGEQEVEVSCSTPETEIRNKNGNKRQSEERDGGGGVENKNENGGQVEKKVDDGRSRRHHYPVRPPGAGDSSHNLKTGTHKFGSNCKFNRTRGSKNNQVSKDKMKEREGLAEKPGQTECKNYFRSGGQRQNRYAPQNPGDNDSFSPNSDVSPNPGNDGVLPQNPGKDDILPQNHGDTDCDLATLREILRHKTALLGIIRKLDAGLFGDIQKIDPAVLHEMQADPAEWDPAIIDAICKLSLEEKDESEEEVEVSCGGPETEIRNENRYKTQSKERDGGGGENKNENGGEVQKKLDEERSRRHHYPVRPPGAGDSSHNLKTGTHQFGSNCKFNLTHRSKNNQVSKNKMKEREGLAEKPGKTEFKNYFRSGGRKSGNACALNPRRGEASVAPILENFMGLPIRPENLTPHLEMVMVDLHHYKVHRQQQQHDGMHQYH >ONI08613 pep chromosome:Prunus_persica_NCBIv2:G5:15536789:15537445:-1 gene:PRUPE_5G189000 transcript:ONI08613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYRAFSSCEVWQSENFEPGEENFLYSRKPEFLIEIFASFTREEDYPLVPRSTLHFHKFKEQCHLLTESRTSWSVISNMLTQILVPYHVQPFMIHTISTRAREIAGEPENANRNTIPILVSLTVGQEPFLEDQDEPEISDHVLQLVRARKSAIDELEKVKVEGCAKPCVICLEEIVNGSEATRMPCFHVYHESCIVNWLEKSHLCPLCRFEMPAEFS >ONI09079 pep chromosome:Prunus_persica_NCBIv2:G5:16986705:16992168:-1 gene:PRUPE_5G216800 transcript:ONI09079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEPFNKLVKLVGRAFYDDITKGDNQPKTGRSDNRGIAVVVLDALSRRQWVREEDLAKDLKLHSKQLRRTLRFFEEEKLVTRDHRRETAKGAKMHNAAVAATIDGLPAKEGEEKVKMHTHSYCCLDYAQISDVVRYRVQRMKKKLKDELEDKNTVQEYVCPNCGKRYNALDALQLVSMEDEYFHCESCNGELVAESDKLASQEVGDGDDNARRRRREKLKDLLQKMEAQLKPIYDQLNRVKDLPAPEFGGLQAWEARASAAKRAENGESNGMDPSKSSHGLGYGGTPMPFLGDTKVEVDFSGVEGKGKDIKSEADGAGLKVLPPWMIKQGMNLTKEQRGEVKQEAKMDGSGSLAAPEYSEDKKFNTENNEKNLQDEYLKAYYAALIKRQEEEEAKKAQESSETRVSDAPSGMSPSRQVGMKSKRDEDEGDDNVDWEEAPITGNEENYKVNDLNVEADATAEAEAEDEDDIDWEEG >ONI09080 pep chromosome:Prunus_persica_NCBIv2:G5:16986705:16991512:-1 gene:PRUPE_5G216800 transcript:ONI09080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNAAVAATIDGLPAKEGEEKVKMHTHSYCCLDYAQISDVVRYRVQRMKKKLKDELEDKNTVQEYVCPNCGKRYNALDALQLVSMEDEYFHCESCNGELVAESDKLASQEVGDGDDNARRRRREKLKDLLQKMEAQLKPIYDQLNRVKDLPAPEFGGLQAWEARASAAKRAENGESNGMDPSKSSHGLGYGGTPMPFLGDTKVEVDFSGVEGKGKDIKSEADGAGLKVLPPWMIKQGMNLTKEQRGEVKQEAKMDGSGSLAAPEYSEDKKFNTENNEKNLQDEYLKAYYAALIKRQEEEEAKKAQESSETRVSDAPSGMSPSRQVGMKSKRDEDEGDDNVDWEEAPITGNEENYKVNDLNVEADATAEAEAEDEDDIDWEEG >ONI05972 pep chromosome:Prunus_persica_NCBIv2:G5:3667449:3668372:-1 gene:PRUPE_5G032100 transcript:ONI05972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SDPYDWQFAITGPNGTDFEAGIYHGRIQFLGKYPHNISVLCSRCCRKYHMSLLFKENGRFKTQTEISFSDIFRKEQHGRTFHSF >ONI08209 pep chromosome:Prunus_persica_NCBIv2:G5:14335693:14338883:1 gene:PRUPE_5G164600 transcript:ONI08209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRIFSRESRSGPMLTMQHNKQRQPLMLEVQRRNKTQQVADLTDFMNDMFFGTVNAESKSYNLTGDDVDDVKYEDDGDSPRPTSATTTNSKMTQEWLEEARRIVASSPTRCESPSRLAAGSPRFAAPPQAPRLSFSSALDRRDALSRSARRHRAAESFSGEILSKSANKHTRNKSDVLLLEGPTSPASPVESSPASAVHKWFSNILKPNSNPSLAQQPNPEPKAQTGSPGQPVNRRSRFQNDPSSPRPQGIPIPSRRTFQSPTLLPDAKPLSPPKNLVPSAHRRSISSTTCSNGKGVPKPSVIGWPKDEAQVQTQNQDLDLNRFLKEQRTKVEKVVDGQVNAKAKIVLSDPSNSSSTMAAAICYAWLLENRARKENKSKGNGEEEYVVVPVMNVKRGSMWKQREAAWLFHHVGLDATSLLFADEVDLESLMMAGKLNILVIGQDILTNNGEVGSQCTILTDNYCEDAYDLLENPLLKKLLLAGILLDTQNLKASPQLSMTRDAEAVQLLLVGLPSNYRNSLFDQLTQDQRDNSFLEALRQSYGKPPNEKNIMSRRFQKGNQPLFLATKPSSKSQTRILMMQEMQKRLHQNQLNQVLQQSKPLHLMPPAERISSSWQSGLVLNEDKNLWHFVHCKFI >ONI07277 pep chromosome:Prunus_persica_NCBIv2:G5:11531985:11536380:1 gene:PRUPE_5G110900 transcript:ONI07277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLIIRRKLAEHIISRLKFPQRTLCTDAAPKVSSSHSVQSSNDHVGQQSFRNEVHPYDIAIVGGGMVGMALACSLARMPLTKHLKVAIIDSNPALSKGLGIKKEDPPDPRVSTVTPATISLFKDIGAWKYVEQHRHAYFDQMQVWDYTGLGYTKYNARDVHKDTLGCVVENKVLHNSLLSCIQDTDFQKTIYPSRLSSMTLQPRNLSMGVDSTSSGLNERGNLAKLDLSDGNSLYAKLVVGSDGSKSRVRELAGFKTTGWNYSQNAIICTVEHSVENRCAWQRFLPAGPIALLPIGDNFSNIVWTMNPKEATDRKSLAEDDFVKDVNYALDYGFGPHPKSSTLGSGGIFSWFKTDVNLSPDECFRVPPKVVKLASERMVFPLSLMHANDYASKHVVLIGDAAHTVHPLAGQGVNLGFGDAFALSRIISEGIAVGTDIAEVLLLKKYEAERKSANVTMMAILDGFQKAYSVDFGPLNILRAAAFNGAQYIPPLKRSIISYASGDQRFPIFS >ONI05762 pep chromosome:Prunus_persica_NCBIv2:G5:2631479:2634808:1 gene:PRUPE_5G023400 transcript:ONI05762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGTGYLGRRIVSASLSQGHPTYVLQRPEIGLDIDKLQMLLAFKKQGAHLVEGSFSDLESLVRAIKLVDVVICPISGVHIRSHNILLQLQLVEAIKRAGNIKRFLPSEFGFDPARMGHALEPGRVSFDDKMVVRKAIQDAKIPFTYVCGAGFAAYFAGNLSQMGALVPPKEKVLIYGDGNAKVSIMDEDDIAAYTIKTIDDPRTLNKTLYLRPPENELSQKQLVEMWENLIGKKLEHISISAEDFLASMKGMDYASQVGVGHFYHMFYEGALTNFETGEEGEEASKLYPEVKYTRMNEYLKIYA >ONI09327 pep chromosome:Prunus_persica_NCBIv2:G5:17683168:17685342:-1 gene:PRUPE_5G232200 transcript:ONI09327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMADSIDEECDYLFKAVLIGDSGVGKSNLLSRFSKDEFRLDSKPTIGVEFAYRNIKVGDKLIKAQIWDTAGQERFRAITSSYYRGALGAILVYDITRKLSFENVSKWLWELREYGNSDMVIVLAGNKSDLSHSREVSEEEGKNFAETEGLCFMETSALENVNVERVFLDMISKIHQITSQKILEAKLDETIPSPSLHAAKQTIHIDDHEVTATKQPSYYCCSN >ONI06958 pep chromosome:Prunus_persica_NCBIv2:G5:10228192:10230468:-1 gene:PRUPE_5G091700 transcript:ONI06958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCSSSTLLSPRHRLRSEAAAQLQACHFQLPAMSTQRLDLPCSFPRKDTSRSQPIRPVGLSVEKSIDSKTSSCSLKQSIRLPPLATSGPVTSAAQTAAFVEARKEVNDQFWEKKRGKSLKRFAERGSVDDDESCINRAKRKKGCFDNGKGDEGGDGLSLGQLGAGNFWFQPDFHVPRSVQSITTGLDPPPELPFSLTCSGDEERVCYVPAEAISQPLPLSNNPWLDSIVTEITDLGEKDAETRRGLGREASAAASSASSDSQSLGLRLNESASEHEAGNGSRDPYIPHGGAGVEAEDDDDDESEGEHQDFELVSLLTACVEAIGLKNIAAINHFIAKLGELASPRGTTISRLTAYYTEALALRVTRLWPHVFQITPPREFDRGDDDSGIALRLLNQVSPIPKFLHFTSNEILLRAFEGKDRVHIIDFDIKQGLQWPSLFQSLASRANPPSHIRITGIGESKQELNETGDRLAGFAGALNLPFEFHPVVDRLEDVRLWMLHVKEQESVAVNCVFQLHKTLYDGTGGALRDFLGLIRSTNPTIVLMAEQEAEHNEPRLEARVSNSLKHYSAIFDLISSSLPSESQARIKVEEMFAREIRNVIACEGSDRLERHESFEKWRKLMEQGGFRCMGITEREMLQSQFLLKMYAGENYNVKKQGQDGAAAVTLGWMDQPLYTVSAWTPVDVAGSSSSFSQPS >ONI07231 pep chromosome:Prunus_persica_NCBIv2:G5:11313653:11318394:1 gene:PRUPE_5G107200 transcript:ONI07231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSEVTNVVSASEYEPSKLGGQLLSYQGEELSRHQHCRGKNGFLLHTGAESVQLERKSGKVSKMGSSTSKRPRIARLEDPTSLAGVDGIRDMSDKLGSYLTKCSSSDKNQSAKQKNNCTSKRGDKRNLKLHAKTKHDSFSVKVGLPSFGSAAGGNNFFGVHGLKSDNHDVTKLVDDVLLNELLDGTCKCPSLDKGKGKKPANVNDSFLHSVRKASFVLPLPRSVQPQHITEVDSNSDKIMSPWPLSTSSVVTSGVNGDNGEPVITDLSSCNEVQDSHKKPETPANPLDLPLCEPKYILERLALPPPKDLESLLLEAAKPALSSKSTPDPCSGKQISRRASLPPFPWSHTSNGHCRTSSDAAKLSISRATCQGRWQRIGKNIVSSLGPVTNNFTDLESLTYDQSLVPSARLKIAGSENQVSPSISVSLSWFQRDSSSGATCSKQSFVPLESGGKVNHSGNGNDEHCPQILAAARTLCDMATCSSRQNPDGIIRWPKKPSQKAMKARKLKSIEKPEEAYGTSVVSGSDNLRRSIDRIMLPPKKPRLSMVDDRKDFNNFSCVTKGPINWSTPRSSRSSPGKSLKESIVDIRHSTTDVARQSYMMPPPARVPEKASNKREKIRKLLTMEWNRGRDRLD >ONI07230 pep chromosome:Prunus_persica_NCBIv2:G5:11310819:11318394:1 gene:PRUPE_5G107200 transcript:ONI07230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVDLTFPVDVAGAQKFMGIEGLVRAGVTVKELEPCDLDRVSVRASPLTEACSSFLSDKEYEPSKLGGQLLSYQGEELSRHQHCRGKNGFLLHTGAESVQLERKSGKVSKMGSSTSKRPRIARLEDPTSLAGVDGIRDMSDKLGSYLTKCSSSDKNQSAKQKNNCTSKRGDKRNLKLHAKTKHDSFSVKVGLPSFGSAAGGNNFFGVHGLKSDNHDVTKLVDDVLLNELLDGTCKCPSLDKGKGKKPANVNDSFLHSVRKASFVLPLPRSVQPQHITEVDSNSDKIMSPWPLSTSSVVTSGVNGDNGEPVITDLSSCNEVQDSHKKPETPANPLDLPLCEPKYILERLALPPPKDLESLLLEAAKPALSSKSTPDPCSGKQISRRASLPPFPWSHTSNGHCRTSSDAAKLSISRATCQGRWQRIGKNIVSSLGPVTNNFTDLESLTYDQSLVPSARLKIAGSENQVSPSISVSLSWFQRDSSSGATCSKQSFVPLESGGKVNHSGNGNDEHCPQILAAARTLCDMATCSSRQNPDGIIRWPKKPSQKAMKARKLKSIEKPEEAYGTSVVSGSDNLRRSIDRIMLPPKKPRLSMVDDRKDFNNFSCVTKGPINWSTPRSSRSSPGKSLKESIVDIRHSTTDVARQSYMMPPPARVPEKASNKREKIRKLLTMEWNRGRDRLD >ONI07233 pep chromosome:Prunus_persica_NCBIv2:G5:11310804:11318394:1 gene:PRUPE_5G107200 transcript:ONI07233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTSKRPRIARLEDPTSLAGVDGIRDMSDKLGSYLTKCSSSDKNQSAKQKNNCTSKRGDKRNLKLHAKTKHDSFSVKVGLPSFGSAAGGNNFFGVHGLKSDNHDVTKLVDDVLLNELLDGTCKCPSLDKGKGKKPANVNDSFLHSVRKASFVLPLPRSVQPQHITEVDSNSDKIMSPWPLSTSSVVTSGVNGDNGEPVITDLSSCNEVQDSHKKPETPANPLDLPLCEPKYILERLALPPPKDLESLLLEAAKPALSSKSTPDPCSGKQISRRASLPPFPWSHTSNGHCRTSSDAAKLSISRATCQGRWQRIGKNIVSSLGPVTNNFTDLESLTYDQSLVPSARLKIAGSENQVSPSISVSLSWFQRDSSSGATCSKQSFVPLESGGKVNHSGNGNDEHCPQILAAARTLCDMATCSSRQNPDGIIRWPKKPSQKAMKARKLKSIEKPEEAYGTSVVSGSDNLRRSIDRIMLPPKKPRLSMVDDRKDFNNFSCVTKGPINWSTPRSSRSSPGKSLKESIVDIRHSTTDVARQSYMMPPPARVPEKASNKREKIRKLLTMEWNRGRDRLD >ONI07227 pep chromosome:Prunus_persica_NCBIv2:G5:11312748:11318394:1 gene:PRUPE_5G107200 transcript:ONI07227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVDLTFPVDVAGAQKFMGIEGLVRAGVTVKELEPCDLDRVSVRASPLTEACSSFLSDKEVTNVVSASEYEPSKLGGQLLSYQGEELSRHQHCRGKNGFLLHTGAESVQLERKSGKVSKMGSSTSKRPRIARLEDPTSLAGVDGIRDMSDKLGSYLTKCSSSDKNQSAKQKNNCTSKRGDKRNLKLHAKTKHDSFSVKVGLPSFGSAAGGNNFFGVHGLKSDNHDVTKLVDDVLLNELLDGTCKCPSLDKGKGKKPANVNDSFLHSVRKASFVLPLPRSVQPQHITEVDSNSDKIMSPWPLSTSSVVTSGVNGDNGEPVITDLSSCNEVQDSHKKPETPANPLDLPLCEPKYILERLALPPPKDLESLLLEAAKPALSSKSTPDPCSGKQISRRASLPPFPWSHTSNGHCRTSSDAAKLSISRATCQGRWQRIGKNIVSSLGPVTNNFTDLESLTYDQSLVPSARLKIAGSENQVSPSISVSLSWFQRDSSSGATCSKQSFVPLESGGKVNHSGNGNDEHCPQILAAARTLCDMATCSSRQNPDGIIRWPKKPSQKAMKARKLKSIEKPEEAYGTSVVSGSDNLRRSIDRIMLPPKKPRLSMVDDRKDFNNFSCVTKGPINWSTPRSSRSSPGKSLKESIVDIRHSTTDVARQSYMMPPPARVPEKASNKREKIRKLLTMEWNRGRDRLD >ONI07234 pep chromosome:Prunus_persica_NCBIv2:G5:11314207:11318077:1 gene:PRUPE_5G107200 transcript:ONI07234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYHHQDYNFEKIDPGKSKDARCHLWSLYKNQSAKQKNNCTSKRGDKRNLKLHAKTKHDSFSVKVGLPSFGSAAGGNNFFGVHGLKSDNHDVTKLVDDVLLNELLDGTCKCPSLDKGKGKKPANVNDSFLHSVRKASFVLPLPRSVQPQHITEVDSNSDKIMSPWPLSTSSVVTSGVNGDNGEPVITDLSSCNEVQDSHKKPETPANPLDLPLCEPKYILERLALPPPKDLESLLLEAAKPALSSKSTPDPCSGKQISRRASLPPFPWSHTSNGHCRTSSDAAKLSISRATCQGRWQRIGKNIVSSLGPVTNNFTDLESLTYDQSLVPSARLKIAGSENQVSPSISVSLSWFQRDSSSGATCSKQSFVPLESGGKVNHSGNGNDEHCPQILAAARTLCDMATCSSRQNPDGIIRWPKKPSQKAMKARKLKSIEKPEEAYGTSVVSGSDNLRRSIDRIMLPPKKPRLSMVDDRKDFNNFSCVTKGPINWSTPRSSRSSPGKSLKESIVDIRHSTTDVARQSYMMPPPARVPEKASNKREKIRKLLTMEWNRGRDRLD >ONI07229 pep chromosome:Prunus_persica_NCBIv2:G5:11312614:11318394:1 gene:PRUPE_5G107200 transcript:ONI07229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVDLTFPVDVAGAQKFMGIEGLVRAGVTVKELEPCDLDRVSVRASPLTEACSSFLSDKEYEPSKLGGQLLSYQGEELSRHQHCRGKNGFLLHTGAESVQLERKSGKVSKMGSSTSKRPRIARLEDPTSLAGVDGIRDMSDKLGSYLTKCSSSDKNQSAKQKNNCTSKRGDKRNLKLHAKTKHDSFSVKVGLPSFGSAAGGNNFFGVHGLKSDNHDVTKLVDDVLLNELLDGTCKCPSLDKGKGKKPANVNDSFLHSVRKASFVLPLPRSVQPQHITEVDSNSDKIMSPWPLSTSSVVTSGVNGDNGEPVITDLSSCNEVQDSHKKPETPANPLDLPLCEPKYILERLALPPPKDLESLLLEAAKPALSSKSTPDPCSGKQISRRASLPPFPWSHTSNGHCRTSSDAAKLSISRATCQGRWQRIGKNIVSSLGPVTNNFTDLESLTYDQSLVPSARLKIAGSENQVSPSISVSLSWFQRDSSSGATCSKQSFVPLESGGKVNHSGNGNDEHCPQILAAARTLCDMATCSSRQNPDGIIRWPKKPSQKAMKARKLKSIEKPEEAYGTSVVSGSDNLRRSIDRIMLPPKKPRLSMVDDRKDFNNFSCVTKGPINWSTPRSSRSSPGKSLKESIVDIRHSTTDVARQSYMMPPPARVPEKASNKREKIRKLLTMEWNRGRDRLD >ONI07232 pep chromosome:Prunus_persica_NCBIv2:G5:11312748:11318394:1 gene:PRUPE_5G107200 transcript:ONI07232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTSKRPRIARLEDPTSLAGVDGIRDMSDKLGSYLTKCSSSDKNQSAKQKNNCTSKRGDKRNLKLHAKTKHDSFSVKVGLPSFGSAAGGNNFFGVHGLKSDNHDVTKLVDDVLLNELLDGTCKCPSLDKGKGKKPANVNDSFLHSVRKASFVLPLPRSVQPQHITEVDSNSDKIMSPWPLSTSSVVTSGVNGDNGEPVITDLSSCNEVQDSHKKPETPANPLDLPLCEPKYILERLALPPPKDLESLLLEAAKPALSSKSTPDPCSGKQISRRASLPPFPWSHTSNGHCRTSSDAAKLSISRATCQGRWQRIGKNIVSSLGPVTNNFTDLESLTYDQSLVPSARLKIAGSENQVSPSISVSLSWFQRDSSSGATCSKQSFVPLESGGKVNHSGNGNDEHCPQILAAARTLCDMATCSSRQNPDGIIRWPKKPSQKAMKARKLKSIEKPEEAYGTSVVSGSDNLRRSIDRIMLPPKKPRLSMVDDRKDFNNFSCVTKGPINWSTPRSSRSSPGKSLKESIVDIRHSTTDVARQSYMMPPPARVPEKASNKREKIRKLLTMEWNRGRDRLD >ONI07228 pep chromosome:Prunus_persica_NCBIv2:G5:11312748:11318394:1 gene:PRUPE_5G107200 transcript:ONI07228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVDLTFPVDVAGAQKFMGIEGLVRAGVTVKELEPCDLDRVSVRASPLTEACSSFLSDKEVTNVVSASEYEPSKLGGQLLSYQGEELSRHQHCRGKNGFLLHTGAESVQLERKSGKVSKMGSSTSKRPRIARLEDPTSLAGVDGIRDMSDKLGSYLTKCSSSDKNQSAKQKNNCTSKRGDKRNLKLHAKTKHDSFSVKVGLPSFGSAAGGNNFFGVHGLKSDNHDVTKLVDDVLLNELLDGTCKCPSLDKGKGKKPANVNDSFLHSVRKASFVLPLPRSVQPQHITEVDSNSDKIMSPWPLSTSSVVTSGVNGDNGEPVITDLSSCNEVQDSHKKPETPANPLDLPLCEPKYILERLALPPPKDLESLLLEAAKPALSSKSTPDPCSGKQISRRASLPPFPWSHTSNGHCRTSSDAAKLSISRATCQGRWQRIGKNIVSSLGPVTNNFTDLESLTYDQSLVPSARLKIAGSENQVSPSISVSLSWFQRDSSSGATCSKQSFVPLDEHCPQILAAARTLCDMATCSSRQNPDGIIRWPKKPSQKAMKARKLKSIEKPEEAYGTSVVSGSDNLRRSIDRIMLPPKKPRLSMVDDRKDFNNFSCVTKGPINWSTPRSSRSSPGKSLKESIVDIRHSTTDVARQSYMMPPPARVPEKASNKREKIRKLLTMEWNRGRDRLD >ONI07795 pep chromosome:Prunus_persica_NCBIv2:G5:13089215:13090399:1 gene:PRUPE_5G140200 transcript:ONI07795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPREASSTMASATLPKSPSFLFFLLLLLVMRLNFPLMSKTLVESASDDPAPAAWPHQFHSVLFMNNSKGALQIVDLWYDWPNGRNFNIIQSQLGKLTYDLEWDNGTSFIYTLDANRECKTLHFPVGILRPNWLDGATYLGQRHVDGFLCNVWEKVDFIWYYEDVLTRRPVHWVFYTGFNAHVMTFEVGAVLGDAKWEAPVYCFGEEAEAERSRSRSSVLEYMTSHHSHGSSMRAGFRAT >ONI07301 pep chromosome:Prunus_persica_NCBIv2:G5:11596823:11598572:-1 gene:PRUPE_5G112000 transcript:ONI07301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLMFLLLVSPWQLWHTMKMQMLLCYLIKGTLLNGLSFIAYTYAGELPSCAFGLNSHGLAFTLNSVPPSESEIVAGGIGRNFISRDLLEAASIDDALCRIKSSEVSIGHSYNLIETRTRKISNVETASRNRVSVYEVGATPFFRANMYLHLQVDQVHDENSKTRQSKAAVLPKRSKEDFLSLLGDTDDPKYPIYMIGPLLYTLCTAVIDLDEQTLSIVEGNPRKGEISHIFSLCSKDFKMP >ONI07299 pep chromosome:Prunus_persica_NCBIv2:G5:11596823:11598572:-1 gene:PRUPE_5G112000 transcript:ONI07299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAKGLEIFEVGPCEDAYRMGFLIGQRFSNQIKSRLANDLILQNQLLPFAQTPEAQQLLQSLTENNRNKFPRYWEELIGTAEGSGVPVLQIILINFRKEILAFLPKMVINQGIDTPDDCSDVLVASESMAVVAHNEDANVALVGHAYLIKGTLLNGLSFIAYTYAGELPSCAFGLNSHGLAFTLNSVPPSESEIVAGGIGRNFISRDLLEAASIDDALCRIKSSEVSIGHSYNLIETRTRKISNVETASRNRVSVYEVHDENSKTRQSKAAVLPKRSKEDFLSLLGDTDDPKYPIYMIGPLLYTLCTAVIDLDEQTLSIVEGNPRKGEISHIFSLCSKDFKMP >ONI07300 pep chromosome:Prunus_persica_NCBIv2:G5:11596854:11598522:-1 gene:PRUPE_5G112000 transcript:ONI07300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAKGLEIFEVGPCEDAYRMGFLIGQRFSNQIKSRLANDLILQNQLLPFAQTPEAQQLLQSLTENNRNKFPRYWEELIGTAEGSGVPVLQIILINFRKEILAFLPKMVINQGIDTPDDCSDVLVASESMAVVAHNEDANVALVGHAYLIKGTLLNGLSFIAYTYAGELPSCAFGLNSHGLAFTLNSVPPSESEIVAGGIGRNFISRDLLEAASIDDALCRIKSSEVSIGHSYNLIETRTRKISNVETASRNRVSVYEVGATPFFRANMYLHLQVDQVHDENSKTRQSKAAVLPKRSKEDFLSLLGDTDDPKYPIYMIGPLLYTLCTAVIDLDEQTLSIVEGNPRKGEISHIFSLCSKDFKMP >ONI05667 pep chromosome:Prunus_persica_NCBIv2:G5:1981565:1986953:1 gene:PRUPE_5G018200 transcript:ONI05667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCPLLFPVNRFEPELITPAKPTPIETKQLSDIDDQDGLRFHFPVIISYKNNPSMKGNDAVMVIREALSRALVYYYPLAGRLREGPNRKLMVECNGEGVLFIEANADVTLEQLGDRILPPCPVLEEFLSNPPGSDGILGCPLLLVQVTRLTCGGFIFGLRINHAMCDAVGLAKFLNAIGEMAQGADSLSVPPVWARELLNARDPPTVTRWHYEYDQLLDSQGSFIAAIDQSNMAQRSFYFGPQQIRALRKHLPPHLSTCSSFELITACVWRCRTLSLRLNPKDTVRISCAVNARGKSINDLCLPSGFYGNAFSIPTAVSTVELLCASPLGYGVELVRKSKAQMDKEYMQSLADFFVIRGRPPLPMGWNVFIVSDNRHTGFGEFDVGWGRPLFAGLARAFSMISFYVRDNNQEEEFGTLVPICLPSTSLERFEEELKKMTLEHVEEISK >ONI09265 pep chromosome:Prunus_persica_NCBIv2:G5:17492014:17494251:1 gene:PRUPE_5G227300 transcript:ONI09265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSFVSASTMKITTVHNVAISRGLGSVSDYGDQELVNLEVINHLVTTVKPEGK >ONI05457 pep chromosome:Prunus_persica_NCBIv2:G5:900015:900882:-1 gene:PRUPE_5G008400 transcript:ONI05457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNQSLRRKWKARRIMDAIEVGMVKRPRDNREEIEKIRKLNWALEEKVKPLCIKNHVLLTPRWRG >ONI05597 pep chromosome:Prunus_persica_NCBIv2:G5:1606766:1610463:-1 gene:PRUPE_5G014600 transcript:ONI05597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMALVSELLTNIIILVTRPFSLFKLLCLFGIKTTFIIVYTCIELMMASVCFHVNLFWRITMWTFALISLPGRVLTALERERQLERHLLDMQIELENLAWDRKELQEHLQTAIKEQKMMELILAELEEEHDKAIAKIELLASELHDLKTENLRLREIQGKGYWNNKGRDETGNVKDLAIADYGIPYGIPSWKSRYDGSGMILQDLLMHKDAWGDESKTKTELLKILRNESISSGPIHPVMSEINLRHLDMSEVLDQRRGIAIKQSLFSAVLSLLVGIIVWQAEDPCMPLVVALFTVVGMSLKSVVQFFSTINNRPASDAVALLSFNWFILGTLTYPTLPKVAHMVAIVALNFVDRALSLLGFSFFLA >ONI05601 pep chromosome:Prunus_persica_NCBIv2:G5:1606591:1611227:-1 gene:PRUPE_5G014600 transcript:ONI05601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMALVSELLTNIIILVTRPFSLFKLLCLFGIKTTFIIVYTCIELMMASVCFHVNLFWRITMWTFALISLPGRVLTALERERQLERHLLDMQIELENLAWDRKELQEHLQTAIKEQKMMELILAELEEEHDKAIAKIELLASELHDLKTENLRLREIQGKGYWNNKGRDETGNVKDLAIADYGIPYGIPSWKSRYDGSGMILQDLLMHKDAWGDESKTKTELLKILRNESISSGPIHPVMSEINLRHLDMSEVLDQRRGIAIKQSLFSAVLSLLVGIIVWQAEDPCMPLVVALFTVVGMSLKSVVQFFSTINNRPASDAVALLSFNWFILGTLTYPTLPKVAHMVAIVALNFVDRALSLLGFSFFLA >ONI05603 pep chromosome:Prunus_persica_NCBIv2:G5:1606690:1609227:-1 gene:PRUPE_5G014600 transcript:ONI05603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIELENLAWDRKELQEHLQTAIKEQKMMELILAELEEEHDKAIAKIELLASELHDLKTENLRLREIQGKGYWNNKGRDETGNVKDLAIADYGIPYGIPSWKSRYDGSGMILQDLLMHKDAWGDESKTKTELLKILRNESISSGPIHPVMSEINLRHLDMSEVLDQRRGIAIKQSLFSAVLSLLVGIIVWQAEDPCMPLVVALFTVVGMSLKSVVQFFSTINNRPASDAVALLSFNWFILGTLTYPTLPKVAHMVAIVALNFVDRALSLLGFSFFLA >ONI05599 pep chromosome:Prunus_persica_NCBIv2:G5:1606766:1611147:-1 gene:PRUPE_5G014600 transcript:ONI05599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMALVSELLTNIIILVTRPFSLFKLLCLFGIKTTFIIVYTCIELMMASVCFHVNLFWRITMWTFALISLPGRVLTALERERQLERHLLDMQIELENLAWDRKELQEHLQTAIKEQKMMELILAELEEEHDKAIAKIELLASELHDLKTENLRLREIQGKGYWNNKGRDETGNVKDLAIADYGIPYGIPSWKSRYDGSGMILQDLLMHKDAWGDESKTKTELLKILRNESISSGPIHPVMSEINLRHLDMSEVLDQRRGIAIKQSLFSAVLSLLVGIIVWQAEDPCMPLVVALFTVVGMSLKSVVQFFSTINNRPASDAVALLSFNWFILGTLTYPTLPKVAHMVAIVALNFVDRALSLLGFSFFLA >ONI05602 pep chromosome:Prunus_persica_NCBIv2:G5:1606723:1611211:-1 gene:PRUPE_5G014600 transcript:ONI05602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMALVSELLTNIIILVTRPFSLFKLLCLFGIKTTFIIVYTCIELMMASVCFHVNLFWRITMWTFALISLPGRVLTALERERQLERHLLDMQIELENLAWDRKELQEHLQTAIKEQKMMELILAELEEEHDKAIAKIELLASELHDLKTENLRLREIQGKGYWNNKGRDETGNVKDLAIADYGIPYGIPSWKSRYDGSGMILQDLLMHKDAWGDESKTKTELLKILRNESISSGPIHPVMSEINLRHLDMSEVLDQRRGIAIKQSLFSAVLSLLVGIIVWQAEDPCMPLVVALFTVVGMSLKSVVQFFSTINNRPASDAVALLSFNWFILGTLTYPTLPKVAHMVAIVALNFVDRALSLLGFSFFLA >ONI05598 pep chromosome:Prunus_persica_NCBIv2:G5:1606673:1611079:-1 gene:PRUPE_5G014600 transcript:ONI05598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMALVSELLTNIIILVTRPFSLFKLLCLFGIKTTFIIVYTCIELMMASVCFHVNLFWRITMWTFALISLPGRVLTALERERQLERHLLDMQIELENLAWDRKELQEHLQTAIKEQKMMELILAELEEEHDKAIAKIELLASELHDLKTENLRLREIQGKGYWNNKGRDETGNVKDLAIADYGIPYGIPSWKSRYDGSGMILQDLLMHKDAWGDESKTKTELLKILRNESISSGPIHPVMSEINLRHLDMSEVLDQRRGIAIKQSLFSAVLSLLVGIIVWQAEDPCMPLVVALFTVVGMSLKSVVQFFSTINNRPASDAVALLSFNWFILGTLTYPTLPKVAHMVAIVALNFVDRALSLLGFSFFLA >ONI05600 pep chromosome:Prunus_persica_NCBIv2:G5:1606767:1611147:-1 gene:PRUPE_5G014600 transcript:ONI05600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMALVSELLTNIIILVTRPFSLFKLLCLFGIKTTFIIVYTCIELMMASVCFHVNLFWRITMWTFALISLPGRVLTALERERQLERHLLDMQIELENLAWDRKELQEHLQTAIKEQKMMELILAELEEEHDKAIAKIELLASELHDLKTENLRLREIQGKGYWNNKGRDETGNVKDLAIADYGIPYGIPSWKSRYDGSGMILQDLLMHKDAWGDESKTKTELLKILRNESISSGPIHPVMSEINLRHLDMSEVLDQRRGIAIKQSLFSAVLSLLVGIIVWQAEDPCMPLVVALFTVVGMSLKSVVQFFSTINNRPASDAVALLSFNWFILGTLTYPTLPKVAHMVAIVALNFVDRALSLLGFSFFLA >ONI08945 pep chromosome:Prunus_persica_NCBIv2:G5:16641861:16644733:1 gene:PRUPE_5G208900 transcript:ONI08945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRAAEYRRPVRRRFSYWIWALFGLFSIAGLVLLVVHHNQHEDRVEQPVLERNTGLDQVAHESLNFTEEILSARSFSRQLAEQMTLAKAYVIIAKEHNNLHLAWELSSKIRNCQLLLSKAAMRGEPVTLEEAEPIIKSLSYLIFRAEDAHYDIATTIMTMKSHIQALEERANAATVQSTVFGQLAAEALPKSLHCLNVKLTADWLRKPSLQELADEKRNSPRLMDNNLYHFCIFSDNVLATSVVVNSTVSNADHPKQLVFHIVTNGINYGTMQAWFLSNDFKGSTIEVQNVEEFSWFNASYAPIVKQLLSADSQAYYIGGYQDNVAPKLRSPKYQSLLNHLRFYIPEIYPQLEKVVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNTIISSKFDPQACGWAFGMNVFDLIAWKKANVTARYHYWQEQNADGTLWRVGTLPPGLLTFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVVHFNGFMKPWLKLAIERYRPLWERYVNRSHPYLQDCVTS >ONI07987 pep chromosome:Prunus_persica_NCBIv2:G5:13721183:13723186:1 gene:PRUPE_5G151800 transcript:ONI07987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTLWSHLPLLVRSNSKDSVEYILQALWRTRKTGLDPADRDIIRDMLQLQNESDLDPLFVCLRMLIRRCVYDNIGRDEIQKLFPSEVLPELQRLLTLLLQKFQREWRQDVLKDQGALPRLKTMTWDMANPDAEFADRVAVINLKLLNDSQSRSKESEVKFELGNDTLETMLNSMYSIRDQLSNVGEASNDYLSQDANML >ONI07988 pep chromosome:Prunus_persica_NCBIv2:G5:13721116:13723309:1 gene:PRUPE_5G151800 transcript:ONI07988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTLWSHLPLLVRSNSKDSVEYILQALWRTRKTGLDPADRDIIRDMLQLQNESDLDPLFVCLRMLIRRCVYDNIGRDEIQKLFPSEVLPELQRLLTLLLQKFQREWRQDVLKDQGALPRLKTMTWDMANPDAEFADRVAVINLKLLNDSQSRSKESEVKFELGNDTLETMLNSMYSIRDQLSNVGEASNDYLSQDANML >ONI07989 pep chromosome:Prunus_persica_NCBIv2:G5:13721183:13723294:1 gene:PRUPE_5G151800 transcript:ONI07989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTLWSHLPLLVRSNSKDSVEYILQALWRTRKTGLDPADRDIIRDMLQLQNESDLDPLFVCLRMLIRRCVYDNIGRDEIQKLFPSEVLPELQRLLTLLLQKFQREWRQDVLKDQGALPRLKTMTWDMANPDAEFADRVAVINLKLLNDSQSRSKESEVKFELG >ONI06010 pep chromosome:Prunus_persica_NCBIv2:G5:3863515:3865722:-1 gene:PRUPE_5G033900 transcript:ONI06010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPHNPSIHEPWHVVTAGLDEALIKSLTVCKYKKGDGFVEGTDCSVCLSEFEEDERLRLLPKCNHAFHLPCIDTWLKSHSNCPLCRANIVPHQLPHPAVTETPSSTNHDASSIAESQPAHDQNVVMAQISEGGPSQNVESMQGDHEGYHQAIRRSVSMDDWRQNNQVLVADILSRNDEEDEEDDMNSESDDIAEGVDKSSNRKGVLLHCVMSPVAMKRSFSSGRFFPTRPSRARNTVLPV >ONI06009 pep chromosome:Prunus_persica_NCBIv2:G5:3863371:3865722:-1 gene:PRUPE_5G033900 transcript:ONI06009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPHNPSIHEPWHVVTAGLDEALIKSLTVCKYKKGDGFVEGTDCSVCLSEFEEDERLRLLPKCNHAFHLPCIDTWLKSHSNCPLCRANIVPHQLPHPAVTETPSSTNHDASSIAESQPAHDQNVVMAQISEGGPSQNVESMQGDHEGYHQAIRRSVSMDDWRQNNQVLVADILSRNDEEDEEDDMNSESDDIAEGVDKSSNRKGVLLHCVMSPVAMKRSFSSGRFFPTRPSRARNTVLPV >ONI06008 pep chromosome:Prunus_persica_NCBIv2:G5:3863372:3865722:-1 gene:PRUPE_5G033900 transcript:ONI06008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPHNPSIHEPWHVVTAGLDEALIKSLTVCKYKKGDGFVEGTDCSVCLSEFEEDERLRLLPKCNHAFHLPCIDTWLKSHSNCPLCRANIVPHQLPHPAVTETPSSTNHDASSIAESQPAHDQNVVMAQISEGGPSQNVESMQGDHEGYHQAIRRSVSMDDWRQNNQVLVADILSRNDEEDEEDDMNSESDDIAEGVDKSSNRKGVLLHCVMSPVAMKRSFSSGRFFPTRPSRARNTVLPV >ONI06007 pep chromosome:Prunus_persica_NCBIv2:G5:3863667:3864695:-1 gene:PRUPE_5G033900 transcript:ONI06007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGGSPKTWIPYMNTKDCSQGFCSLYCPQWCYKIIPPPPVFEFPEDNSSSPSFSPLVIAIIGILVSAFLLVSYYTIISKYCSNADRRRARREDQEQNEEMEDPHNPSIHEPWHVVTAGLDEALIKSLTVCKYKKGDGFVEGTDCSVCLSEFEEDERLRLLPKCNHAFHLPCIDTWLKSHSNCPLCRANIVPHQLPHPAVTETPSSTNHDASSIAESQPAHDQNVVMAQISEGGPSQNVESMQGDHEGYHQAIRRSVSMDDWRQNNQVLVADILSRNDEEDEEDDMNSESDDIAEGVDKSSNRKGVLLHCVMSPVAMKRSFSSGRFFPTRPSRARNTVLPV >ONI07913 pep chromosome:Prunus_persica_NCBIv2:G5:13472274:13474862:-1 gene:PRUPE_5G146900 transcript:ONI07913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTRRVGVVVTSSLLVFFAVVAAVLLFAPAFDHAVFMSIRHGSMGSSSSGAGNNTLTATHRKLLLRATEMDEPNRIPGEKCSKADIVINQGPTGPLPSGIPTYTVEIMNVCASGCDISRIHLTCGWFSSARLVNPRIFKRLHYNDCLVNDGKPLVNGGTLSFQYANTFLYHLSVSSVSCS >ONI07911 pep chromosome:Prunus_persica_NCBIv2:G5:13472274:13475520:-1 gene:PRUPE_5G146900 transcript:ONI07911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTRRVGVVVTSSLLVFFAVVAAVLLFAPAFDHAVFMSIRHGSMGSSSSGAGNNTLTATHRKLLLRATEMDEPNRIPGEKCSKADIVINQGPTGPLPSGIPTYTVEIMNVCASGCDISRIHLTCGWFSSARLVNPRIFKRLHYNDCLVNDGKPLVNGGTLSFQYANTFLYHLSVSSVSCS >ONI07914 pep chromosome:Prunus_persica_NCBIv2:G5:13472269:13475855:-1 gene:PRUPE_5G146900 transcript:ONI07914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTRRVGVVVTSSLLVFFAVVAAVLLFAPAFDHAVFMSIRHGSMGSSSSGAGNNTLTATHRKLLLRATEMDEPNRIPGEKCSKADIVINQGPTGPLPSGIPTYTVEIMNVCASGCDISRIHLTCGWFSSARLVNPRIFKRLHYNDCLVNDGKPLVNGGTLSFQYANTFLYHLSVSSVSCS >ONI07915 pep chromosome:Prunus_persica_NCBIv2:G5:13472274:13475855:-1 gene:PRUPE_5G146900 transcript:ONI07915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRHGSMGSSSSGAGNNTLTATHRKLLLRATEMDEPNRIPGEKCSKADIVINQGPTGPLPSGIPTYTVEIMNVCASGCDISRIHLTCGWFSSARLVNPRIFKRLHYNDCLVNDGKPLVNGGTLSFQYANTFLYHLSVSSVSCS >ONI07912 pep chromosome:Prunus_persica_NCBIv2:G5:13472274:13476146:-1 gene:PRUPE_5G146900 transcript:ONI07912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTRRVGVVVTSSLLVFFAVVAAVLLFAPAFDHAVFMSIRHGSMGSSSSGAGNNTLTATHRKLLLRATEMDEPNRIPGEKCSKADIVINQGPTGPLPSGIPTYTVEIMNVCASGCDISRIHLTCGWFSSARLVNPRIFKRLHYNDCLVNDGKPLVNGGTLSFQYANTFLYHLSVSSVSCS >ONI09015 pep chromosome:Prunus_persica_NCBIv2:G5:16811602:16813579:-1 gene:PRUPE_5G212100 transcript:ONI09015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSEVEKTAQKERERKKMQALAPIAKPLAGKKLCKRTLKLVRRAAENKCLKRGVKEVVKSIRRGQKGLCVIAGNISPIDVITHVPILCEEAEIPYLYVPSKEDLANAGATKRPTCCLLVMTKPSKGELGQEEQDKLKADYDQVVGDVSELQSSLF >ONI06708 pep chromosome:Prunus_persica_NCBIv2:G5:8947898:8953235:1 gene:PRUPE_5G075500 transcript:ONI06708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLQSPPSSAVSSFQGTRLRFSNGSPASNLLFNFQRSFPVIRASALDTGSTTELDLVSSFSEIVPDTVIFDDFERFPPTAATVSSSLLLGICSLPDTIFRNAVDMALADSECNKHENSELRLSCFSNKALVNVGGYLAKLVPGRVSTEVDARLAYDTHGIIRKVHDLLKLYNEVNVPPERLLFKIPSTWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVIQIFVGRLRDWSRNHSGDPEIEAALKRGEDPGLALVTKAYNYIHKYGHKSKLMAAAVRNKQDLFSLLGVDYIIAPLKVLQSLKESITTPEEKYSFVRRLSPQSAAIYKFSEEELVKWDQLSFASAVGPAAVELLASGLDGYANQANRVEELFEKIWPPPNV >ONI06963 pep chromosome:Prunus_persica_NCBIv2:G5:10261567:10262528:1 gene:PRUPE_5G092100 transcript:ONI06963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDACSQEKRSNVVNNRRDMRGEETNRGISCLLSVFNTTQKLVQHLGRYKCGELSPNHQSYFFLCNNYIIKHNKIVFL >ONI07529 pep chromosome:Prunus_persica_NCBIv2:G5:12347688:12353666:-1 gene:PRUPE_5G126000 transcript:ONI07529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLKTATCNLNQWAMDFDCNLKNIKESIAKAKEAGAVIRLGPELEITGYGCEDHFLELDTVNHAWECLKELLVGDWTDGILCSFGMPVIKGSERYNCQILCMNRKIIMIRPKMWLANDGNYRELRWFTAWKQRDQLVNFQLPKEISEALSQDSVPFGYGYIQFLDTAVAAEICEELFTPIPPHAELALNGVEVFMNASGSHHQLRKLDIRLRAFMGATHTRGGVYMYSNHQGCDGGRLYYDGCASVVVNGDLVAQGSQFSLKDVEVVIAQIDLEAVASLRGSISSFQEQASCKTRVPFVEARYNLCQSFNLKMCLSSPLKIKYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSCVAAIVGCMCQLVVKEIANGDEQVKADAIRIGQYKDGQYPTDSREFAKRIFYTVFMGSENSSEATKSRAKVLADEIGAWHLDVSIDGVISALLSLFQTVTGKRPQYKVDGGSNSENLGLQNIQARIRMVLAFMFASLLPWVHNKPGFYLVLGSSNVDEALRGYLTKYDCSSADINPIGSISKQDLRTFLRWAATHLGYASLAEIEAAPPTAELEPIRSDYSQLDEVDMGMTYEELSVYGRLRKIFRCGPISMFKNLCYRWGAKLTPQEVADKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDDLVRELDGDRVHLGESSELDKLGDASQGGGGMGVVAAGSGNPNVGL >ONI07339 pep chromosome:Prunus_persica_NCBIv2:G5:11724544:11727895:1 gene:PRUPE_5G114000 transcript:ONI07339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYETTARSWTQKYAMG >ONI07338 pep chromosome:Prunus_persica_NCBIv2:G5:11724261:11727895:1 gene:PRUPE_5G114000 transcript:ONI07338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYETTARSWTQKYAMG >ONI09114 pep chromosome:Prunus_persica_NCBIv2:G5:17105243:17108210:-1 gene:PRUPE_5G218900 transcript:ONI09114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGFEMVDAIAEAEGISLSSVSFKALVGKGLIGDVPVIFAKPQTFMNKSGESVGSIVSYYKIPLKQVLVIFDDLDLPFAKLRLLPKGGHGGHNGMRSVIDHFKGSRDFPRLRIGIGRPPGKMDPVNFVLRPFTKQELEELNFTFQDGVEAVRILLLEGFNKSATFVNSAKPLEQCG >ONI09113 pep chromosome:Prunus_persica_NCBIv2:G5:17105059:17108539:-1 gene:PRUPE_5G218900 transcript:ONI09113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAASLSSIATVRFPNCHRCFRSSKTLIPSSSLRIMSQFSSSPSASSAPPMSSNTAAVESKKPPKQPWLIVGLGNPGKKYHSTRHNVGFEMVDAIAEAEGISLSSVSFKALVGKGLIGDVPVIFAKPQTFMNKSGESVGSIVSYYKIPLKQVLVIFDDLDLPFAKLRLLPKGGHGGHNGMRSVIDHFKGSRDFPRLRIGIGRPPGKMDPVNFVLRPFTKQELEELNFTFQDGVEAVRILLLEGFNKSATFVNSAKPLEQCG >ONI06297 pep chromosome:Prunus_persica_NCBIv2:G5:5599913:5601284:-1 gene:PRUPE_5G051700 transcript:ONI06297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTLQFTIDNEVFGGERDTFLLPEDITQFAGVEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQLFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIGRAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI06776 pep chromosome:Prunus_persica_NCBIv2:G5:9391985:9395030:-1 gene:PRUPE_5G080300 transcript:ONI06776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSAAVQPGRVRILKEASKSAGQNVGPVVYWMFRDQRVRDNWALIHAVEQAHKANVPVAVAFNLFDQFLGAKARQLGFMLRGLRQLHRDLEETHQIPFFLFRGNAENTIPNFVSECGASLLVTDFSPLREVRKCKEEICKRVSDFVTIHEVDAHNVVPLWAASEKLEYSARTIRSKINKKLPEYLIEFPMLQPPVTKWVGTSRLIDWDSLIDEVLRKGAEVPEIDWCEPGEKAAMEVLMGSKNGFLTKRLKGYSSDRNNPLKPRGLSGLSPYLHFGQISAQRCALEARSIRKLCPEAVDAFVEELIVRRELADNFCFYQPHYDSLQGAWEWARKTLMDHAFDQREHIYTKEQLEKAQTADPLWNASQLEMVYYGKMHGFMRMYWAKKILEWTRGPEEALEISIYLNNKYEIDGRDPNGYVGCMWSVCGVHDQGWKERPIFGKIRYMNYAGCKRKFDVDGYISYVKRLGETKKRKAESLLGRKAKELCTY >ONI06777 pep chromosome:Prunus_persica_NCBIv2:G5:9391571:9395219:-1 gene:PRUPE_5G080300 transcript:ONI06777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSAAVQPGRVRILKEASKSAGQNVGPVVYWMFRDQRVRDNWALIHAVEQAHKANVPVAVAFNLFDQFLGAKARQLGFMLRGLRQLHRDLEETHQIPFFLFRGNAENTIPNFVSECGASLLVTDFSPLREVRKCKEEICKRVSDFVTIHEVDAHNVVPLWAASEKLEYSARTIRSKINKKLPEYLIEFPMLQPPVTKWVGTSRLIDWDSLIDEVLRKGAEVPEIDWCEPGEKAAMEVLMGSKNGFLTKRLKGYSSDRNNPLKPRGLSGLSPYLHFGQISAQRCALEARSIRKLCPEAVDAFVEELIVRRELADNFCFYQPHYDSLQGAWEWALRSNWRRHKQPILSGMPLNWRWFIMGRCMVLCGCIGQKRFLSGQEDLKKPLKYPYT >ONI06775 pep chromosome:Prunus_persica_NCBIv2:G5:9391571:9395219:-1 gene:PRUPE_5G080300 transcript:ONI06775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSAAVQPGRVRILKEASKSAGQNVGPVVYWMFRDQRVRDNWALIHAVEQAHKANVPVAVAFNLFDQFLGAKARQLGFMLRGLRQLHRDLEETHQIPFFLFRGNAENTIPNFVSECGASLLVTDFSPLREVRKCKEEICKRVSDFVTIHEVDAHNVVPLWAASEKLEYSARTIRSKINKKLPEYLIEFPMLQPPVTKWVGTSRLIDWDSLIDEVLRKGAEVPEIDWCEPGEKAAMEVLMGSKNGFLTKRLKGYSSDRNNPLKPRGLSGLSPYLHFGQISAQRCALEARSIRKLCPEAVDAFVEELIVRRELADNFCFYQPHYDSLQGAWEWARKTLMDHAFDQREHIYTKEQLEKAQTADPLWNASQLEMVYYGKMHGFMRMYWAKKILEWTRGPEEALEISIYLNNKGWKERPIFGKIRYMNYAGCKRKFDVDGYISYVKRLGETKKRKAESLLGRKAKELCTY >ONI06774 pep chromosome:Prunus_persica_NCBIv2:G5:9391571:9395219:-1 gene:PRUPE_5G080300 transcript:ONI06774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSAAVQPGRVRILKEASKSAGQNVGPVVYWMFRDQRVRDNWALIHAVEQAHKANVPVAVAFNLFDQFLGAKARQLGFMLRGLRQLHRDLEETHQIPFFLFRGNAENTIPNFVSECGASLLVTDFSPLREVRKCKEEICKRPPVTKWVGTSRLIDWDSLIDEVLRKGAEVPEIDWCEPGEKAAMEVLMGSKNGFLTKRLKGYSSDRNNPLKPRGLSGLSPYLHFGQISAQRCALEARSIRKLCPEAVDAFVEELIVRRELADNFCFYQPHYDSLQGAWEWARKTLMDHAFDQREHIYTKEQLEKAQTADPLWNASQLEMVYYGKMHGFMRMYWAKKILEWTRGPEEALEISIYLNNKYEIDGRDPNGYVGCMWSVCGVHDQGWKERPIFGKIRYMNYAGCKRKFDVDGYISYVKRLGETKKRKAESLLGRKAKELCTY >ONI07366 pep chromosome:Prunus_persica_NCBIv2:G5:11805386:11809889:-1 gene:PRUPE_5G115400 transcript:ONI07366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLLKDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTERSPRQYRGIAHALSTVFREEGPRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLIKTRPFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVVGDGKSKAPLEYTGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >ONI07365 pep chromosome:Prunus_persica_NCBIv2:G5:11805837:11809623:-1 gene:PRUPE_5G115400 transcript:ONI07365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKRTSETAVSTIVNLAEEAKLASEGVKAPTSHALLSIAKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYSGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEEASKGILWFYRQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTERSPRQYRGIAHALSTVFREEGPRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLIKTRPFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVVGDGKSKAPLEYTGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >ONI07364 pep chromosome:Prunus_persica_NCBIv2:G5:11805386:11809889:-1 gene:PRUPE_5G115400 transcript:ONI07364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKRTSETAVSTIVNLAEEAKLASEGVKAPTSHALLSIAKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYSGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEEASKGILWFYRQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQVPYVGLNFAVYESLKDWLIKTRPFGLVEDSELSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVVGDGKSKAPLEYTGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >ONI07985 pep chromosome:Prunus_persica_NCBIv2:G5:13713093:13718734:1 gene:PRUPE_5G151600 transcript:ONI07985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSFRLFTIFLILGLTNLGSACANIGGFGEQPLSKIAIEKATLNLHDSASVKVCPPVIGLQGEDTQWVTVALEYPNPSADDWVGVFSPAKFNSATCPPVDDPEEQKPYICSAPIKYKYAKDSNPDYTKTGKASLKFLLINQRADFSFALFSGGLSNPKLVAVSNFISFANPKAPVYPRLAQGKSWNEMTVTWTSGYDINEAIPFVEWGIKGELRMRAPAGTLTFDRSSMCGSPARTVGWRDPGFIHTSFLKNLWPNVVYIYRMGHRLVDGSFIWSKFYSFRSSPYPGQDSLQRVIIFGDMGKGERDGSNEYSNYQPGALNTTDQIIRDLNNIDIVFHIGDLSYANGYISQWDQFTSQVEPIASTVPYMVGSGNHERDWPDSGSFYDQNDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFRFCVADTEHDWREGSEQYKFIENCLASVDRQKQPWLIFLAHRVLGYSSNWWYGQEGSFEEPMGRESLQKLWQKYKVDIAFYGHVHNYERSCPIYQNQCVTSEKSHFSGTFKGTIHVVVGGAGSHLSDFSQVKPNWSIYRDYDYGFVKLTAFNHTSLLFEYKKSSDSSVHDSFTVSRDYTDVLACVHDSCEPTTAAS >ONI09269 pep chromosome:Prunus_persica_NCBIv2:G5:17504607:17508323:1 gene:PRUPE_5G227700 transcript:ONI09269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEDHTPPSLSSPLIQVCEEDDAVKEIEKHEGSHGRGFKRKEIVEEVKKQLWLAGPLICVSLLQYSVQIIAVMFVGHLGELSLSGASMALSFSSVTGFSLLMGMSSALDTLCGQCYGAKQYHMMGIHMQRAMLVLSIVCIPLAIISANTRIILTALGQDAAIAAQAGQFARFLIPSLFAYGLLQCLVRFLQTQNIVFPMMLSSAITALLHIPLCWILVFTSGLGSRGAALAVSISFWINVLLLALYVRFSSLCSKTWTGFSKEAFHNIIPFVRLAIPSAVMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAMVWMIPFGLSSAVSTRVSNELGAGRPETARLAVCVVLVMAITVGLLVGSVLILIRNFWGYAYSNETQVVKYLATMMPILATSNFLDGLQCVLSGTARGCGWQKIGAYINLGSYYLVGIPLAIVFSFVIHIGGKGLWLGIICALIVQVLSLLTVTIRTNWEKEAKKATERVYDTTVPVDVVS >ONI06238 pep chromosome:Prunus_persica_NCBIv2:G5:5198361:5204141:-1 gene:PRUPE_5G049200 transcript:ONI06238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNAPVAKRTRLSEEKYWKELWEKRAGKQKLRDGSDKKGKSRKGSNVWDCVSVDDSEENEEVTVSLSTGVKDKKKGGKRKSAEGSKKQRSGCDDSDEGDDPSVEIISESSFGKQDNESLGFDSVESAGSNGKKSNSGAVDMENFFPVEVEDSDDEVVYLGEEKVGGFGCLSSERSDACEDAASDGDAEDAASDGDAEDAASDGSDESWKSSSREDSIFHASDESDDEAFEPENSESSVFSESLNSCGEEGHDDEREVTKRSGKPRKGKNVEGDVEVKKGQDIDASEIAKGSGKAEKGKSVEIGVRRKRRVGLDMSVDADDDIDSNKDDPIHSAQEFNSVAKRTRSRVSPRSEKKRIESVTVNDNEDSSSGHDNDNEDVDDANCSGPRTRCHEKKTGSDDDRRNYESDKTYGGSSETERSKWNDVHGVCKKNKRDIGNLTNRRSMWAKEDCNVLKILVDSIYEKGEGTLKGSVSFGDEGRKDERNPPESEMTTLPLKFSFGEQSTVPKKSECDPEEKELWDDLEFALRASEIDSSDSNVVESQDSLPIADEVETVASLCRRGVHQLILDEEIGLRCKFCSYLDQEIKYILPDFLDCPYGRFGTRGSETDNRSIFDELQSHASDSDRHSGYNSHPHVDGTVWDLIPGVKSSMYPHQCEGFEFIWNHIAGGIHLDKLKRPSSVGGNGCIISHAPGTGKTRLTIVFLQTYMKLFPECRPLLIAPRSMLLTWEEEFKKWKLDIPFHNLNNWELSGKENQTAVNYVMQAQRRKSVNIESRRMLKLYSWRKKRSILGISYRLFEQLSGAQKTGSVDEMGKILLEFPGLVVFDEGHTPRNDQSHMWKALSEIKTKRRILLSGTPFQNNFQELFNTICLVRPTFAASIESTKFSRDLPRNRGRKSNGEKWKWTSLASSSGKVVDDKEKHATEVKAQIAPFVHVYKGSVLQDSLPGLRNSVVVLHPTQLQERFHKRIQVVKELFRYENLEALISFHPSLLLKEDAFSADQGRLQELKLNPDAGVKAKFVMELIRLSDALKEKVLVFSQYIDPLNLTRDLLKSQFQWTEGEEVLYMDGKSDMKQRQSSMKVFNDPSSKAKVLLASTKACSEGISLVGASRVVLLDVTWNPSVERQAISRAYRLGQKKVVFVYHLLMDGTNEEHKYSRQVDKSRLSELVFSDSDKKKVLEKEIRATVSEDKILQEMAQHGKLKHLFKSIALLHEDIYFEQLGLSTFG >ONI06239 pep chromosome:Prunus_persica_NCBIv2:G5:5198711:5204121:-1 gene:PRUPE_5G049200 transcript:ONI06239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNAPVAKRTRLSEEKYWKELWEKRAGKQKLRDGSDKKGKSRKGSNVWDCVSVDDSEENEEVTVSLSTGVKDKKKGGKRKSAEGSKKQRSGCDDSDEGDDPSVEIISESSFGKQDNESLGFDSVESAGSNGKKSNSGAVDMENFFPVEVEDSDDEVVYLGEEKVGGFGCLSSERSDACEDAASDGDAEDAASDGDAEDAASDGSDESWKSSSREDSIFHASDESDDEAFEPENSESSVFSESLNSCGEEGHDDEREVTKRSGKPRKGKNVEGDVEVKKGQDIDASEIAKGSGKAEKGKSVEIGVRRKRRVGLDMSVDADDDIDSNKDDPIHSAQEFNSVAKRTRSRVSPRSEKKRIESVTVNDNEDSSSGHDNDNEDVDDANCSGPRTRCHEKKTGSDDDRRNYESDKTYGGSSETERSKWNDVHGVCKKNKRDIGNLTNRRSMWAKEDCNVLKILVDSIYEKGEGTLKGSVSFGDEGRKDERNPPESEMTTLPLKFSFGEQSTVPKKSECDPEEKELWDDLEFALRASEIDSSDSNVVESQDSLPIADEVETVASLCRRGVHQLILDEEIGLRCKFCSYLDQEIKYILPDFLDCPYGRFGTRGSETDNRSIFDELQSHASDSDRHSGYNSHPHVDGTVWDLIPGVKSSMYPHQCEGFEFIWNHIAGGIHLDKLKRPSSVGGNGCIISHAPGTGKTRLTIVFLQTYMKLFPECRPLLIAPRSMLLTWEEEFKKWKLDIPFHNLNNWELSGKENQTAVNYVMQAQRRKSVNIESRRMLKLYSWRKKRSILGISYRLFEQLSGAQKTGSVDEMGKILLEFPGLVVFDEGHTPRNDQSHMWKALSEIKTKRRILLSGTPFQNNFQELFNTICLVRPTFAASIESTKFSRDLPRNRGRKSNGEKWKWTSLASSSGKVVDDKEKHATEVKAQIAPFVHVYKGSVLQDSLPGLRNSVVVLHPTQLQERFHKRIQVVKELFRYENLEALISFHPSLLLKEDAFSADQGRLQELKLNPDAGVKAKFVMELIRLSDALKEKVLVFSQYIDPLNLTRDLLKSQFQWTEGEEVLYMDGKSDMKQRQSSMKVFNDPSSKAKVLLASTKACSEGISLVGASRVVLLDVTWNPSVERQAISRAYRLGQKKVVFVYHLLMDGTNEEHKYSRQVDKSRLSELVFSDSDKKKVLEKEIRATVSEDKILQEMAQHGKLKHLFKSIALLHEDIYFEQLGLSTFG >ONI07126 pep chromosome:Prunus_persica_NCBIv2:G5:10898904:10901989:1 gene:PRUPE_5G101200 transcript:ONI07126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSKMGDGLKQQRWEFRRRDSDMDSSSDESKSSSEAAHKKHNLVCSLIPLENDEAIGNCRVQQTGMFNPMDDQIMFQKGKRVHLRRRKKDNWINNDMERDSRKEVSRKKSRTSVYDPAALDELKIFMESLLEDLKVTRENLFSWMRKEMQELMADDDAAPRLERRKGSSGGKNVRVRNGDNFVENTISKEHIQVLNKRKLEENIIIQHQNNFDENVLVQRESNYEQNACPDHHNKFKETFQVQHQGNFEKVNVQVNYRNNSDLGMEAQKCDMGSIERSVKSNTAAGHDNCYPLKPNFQSADKNVWMQHEKNYLLGTRAQAYSGRSLESPLIGRKMAQSNYFYPVIEHRVDRVQEIGAETSSEKDRGERLGLPIESTFSSNLSSQVASSMYLSLPTVLTEPCGENHMLSASSSNYIRTPCDRNKLDVNYGKENPMLKVNGHHGKSSGMQQEERNGSFAQLGSRNMSCFDKHCIPSSSIGTGFPVPLHQRTDIGVSIPSQVGLQYLPQEETNIMGLRMDDGASTFSGGSYAAISEGYLANTFYRNSISKAHDRLKAFQNQDLEEGLLFPK >ONI07125 pep chromosome:Prunus_persica_NCBIv2:G5:10898044:10901988:1 gene:PRUPE_5G101200 transcript:ONI07125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSKMGDGLKQQRWEFRRRDSDMDSSSDESKSSSEAAHKKHNLVCSLIPLENDEAIGNCRVQQTGMFNPMDDQIMFQKGKRVHLRRRKKDNWINNDMERDSRKEVSRKKSRTSVYDPAALDELKIFMESLLEDLKVTRENLFSWMRKEMQELMADDDAAPRLERRKGSSGGKNVRVRNGDNFVENTISKEHIQVLNKRKLEENIIIQHQNNFDENVLVQRESNYEQNACPDHHNKFKETFQVQHQGNFEKVNVQVNYRNNSDLGMEAQKCDMGSIERSVKSNTAAGHDNCYPLKPNFQSADKNVWMQHEKNYLLGTRAQAYSGRSLESPLIGRKMAQSNYFYPVIEHRVDRVQEIGAETSSEKDRGERLGLPIESTFSSNLSSQVASSMYLSLPTVLTEPCGENHMLSASSSNYIRTPCDRNKLDVNYGKENPMLKVNGHHGKSSGMQQEERNGSFAQLGSRNMSCFDKHCIPSSSIGTGFPVPLHQRTDIGVSIPSQVGLQYLPQEETNIMGLRMDDGASTFSGGSYAAISEGYLANTFYRNSISKAHDRLKAFQNQDLEEGLLFPK >ONI07124 pep chromosome:Prunus_persica_NCBIv2:G5:10898161:10901964:1 gene:PRUPE_5G101200 transcript:ONI07124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSKMGDGLKQQRWEFRRRDSDMDSSSDESKSSSEAAHKKHNLVCSLIPLENDEAIGNCRVQQTGMFNPMDDQIMFQKGKRVHLRRRKKDNWINNDMERDSRKEVSRKKSRTSVYDPAALDELKIFMESLLEDLKVTRENLFSWMRKEMQELMADDDAAPRLERRKGSSGGKNVRVRNGDNFVENTISKEHIQVLNKRKLEENIIIQHQNNFDENVLVQRESNYEQNACPDHHNKFKETFQVQHQGNFEKVNVQVNYRNNSDLGMEAQKCDMGSIERSVKSNTAAGHDNCYPLKPNFQSADKNVWMQHEKNYLLGTRAQAYSGRSLESPLIGRKMAQSNYFYPVIEHRVDRVQEIGAETSSEKDRGERLGLPIESTFSSNLSSQVASSMYLSLPTVLTEPCGENHMLSASSSNYIRTPCDRNKLDVNYGKENPMLKVNGHHGKSSGMQQEERNGSFAQLGSRNMSCFDKHCIPSSSIGTGFPVPLHQRTDIGVSIPSQVGLQYLPQEETNIMGLRMDDGASTFSGGSYAAISEGYLANTFYRNSISKAHDRLKAFQNQDLEEGLLFPK >ONI06417 pep chromosome:Prunus_persica_NCBIv2:G5:6378950:6383406:-1 gene:PRUPE_5G059200 transcript:ONI06417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSNSSSKSGLVVANERRPSGGIRIENPFTLKVGQVFTGFGIGCGVGIGVGRPINLGAIPVLNQVMGATRGATDALSGVSRHVNNSLRKVGAKNIEAGIGCGVGIGHGFGVGLAVKPGVLHQIQFHLLQGITKMMVKFGVAPNLAIGQGAIPGSLQRAIGIVNDPSSQNPMGSSMQLATKPPDHKSQGLPGYGNTGTASAYENFTSKGSPVDTPFGSRTEKVLSSFLQNPVLKGEESEPNEAAGRLRLENKMLQMILKHQQVIEELMEENEKLRHVLMEDLKIPPSKLQASSSSKNKSPCTDCFECRRKQRKK >ONI06416 pep chromosome:Prunus_persica_NCBIv2:G5:6378981:6383406:-1 gene:PRUPE_5G059200 transcript:ONI06416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSNSSSKSGLVVANERRPSGGIRIENPFTLKVGQVFTGFGIGCGVGIGVGRPINLGAIPVLNQVMGATRGATDALSGVSRHLRKVGAKNIEAGIGCGVGIGHGFGVGLAVKPGVLHQIQFHLLQGITKMMVKFGVAPNLAIGQGAIPGSLQRAIGIVNDPSSQNPMGSSMQLATKPPDHKSQGLPGYGNTGTASAYENFTSKGSPVDTPFGSRTEKVLSSFLQNPVLKGEESEPNEAAGRLRLENKMLQMILKHQQVIEELMEENEKLRHVLMEDLKIPPSKLQASSSSKNKSPCTDCFECRRKQRKK >ONI06420 pep chromosome:Prunus_persica_NCBIv2:G5:6380264:6382875:-1 gene:PRUPE_5G059200 transcript:ONI06420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSNSSSKSGLVVANERRPSGGIRIENPFTLKVGQVFTGFGIGCGVGIGVGRPINLGAIPVLNQVMGATRGATDALSGVSRHVNNSLRKVGAKNIEAGIGCGVGIGHGFGVGLAVKPGVLHQIQFHLLQGITKMMVKFGVAPNLAIGQGAIPGSLQRAIGIVNDPSSQNPMGSSMQLATKPPDHKSQGLPGYGNTGTASAYENFTSKGSPVDTPFGSRTEKVLSSFLQNPVLKGEESEPNEAESQGACLSSNFLLHFLWGGVCSSLF >ONI06421 pep chromosome:Prunus_persica_NCBIv2:G5:6380331:6383386:-1 gene:PRUPE_5G059200 transcript:ONI06421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSNSSSKSGLVVANERRPSGGIRIENPFTLKVGQVFTGFGIGCGVGIGVGRPINLGAIPVLNQVMGATRGATDALSGVSRHVNNSLRKVGAKNIEAGIGCGVGIGHGFGVGLAVKPGVLHQIQFHLLQGITKMMVKFGVAPNLAIGQGAIPGSLQRAIGIVNDPSSQNPMGSSMQLATKPPDHKSQGLPGYGNTGTASAYENFTSKGSPVDTPFGSRTEKVLSSFLQNPVLKGEESEPNEAVCNRWNMITYQRTI >ONI06418 pep chromosome:Prunus_persica_NCBIv2:G5:6378981:6383386:-1 gene:PRUPE_5G059200 transcript:ONI06418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSNSSSKSGLVVANERRPSGGIRIENPFTLKVGQVFTGFGIGCGVGIGVGRPINLGAIPVLNQVMGATRGATDALSGVSRHVNNSLLFPCIILQLRKVGAKNIEAGIGCGVGIGHGFGVGLAVKPGVLHQIQFHLLQGITKMMVKFGVAPNLAIGQGAIPGSLQRAIGIVNDPSSQNPMGSSMQLATKPPDHKSQGLPGYGNTGTASAYENFTSKGSPVDTPFGSRTEKVLSSFLQNPVLKGEESEPNEAAGRLRLENKMLQMILKHQQVIEELMEENEKLRHVLMEDLKIPPSKLQASSSSKNKSPCTDCFECRRKQRKK >ONI06419 pep chromosome:Prunus_persica_NCBIv2:G5:6378981:6382651:-1 gene:PRUPE_5G059200 transcript:ONI06419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKMDLGKLFKPKNAENHQTQVAKKPCAIPVLNQVMGATRGATDALSGVSRHVNNSLRKVGAKNIEAGIGCGVGIGHGFGVGLAVKPGVLHQIQFHLLQGITKMMVKFGVAPNLAIGQGAIPGSLQRAIGIVNDPSSQNPMGSSMQLATKPPDHKSQGLPGYGNTGTASAYENFTSKGSPVDTPFGSRTEKVLSSFLQNPVLKGEESEPNEAAGRLRLENKMLQMILKHQQVIEELMEENEKLRHVLMEDLKIPPSKLQASSSSKNKSPCTDCFECRRKQRKK >ONI06768 pep chromosome:Prunus_persica_NCBIv2:G5:9365947:9368096:-1 gene:PRUPE_5G080000 transcript:ONI06768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNAFKLCTALRALGYIMVLVVLVIVGLTYYAVVLANYLPAIFGGGLSAVIAFPLLILFHFLLVMLLWSYFNVVLNDPGVVPPNWRPANDEEGGDGAPLVGSDQSTLVSDPANQKVRFCRKCNHFKPPRCHHCSVCGRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLFKYFMAFFTEEEVIGTLGTVAASFITFGI >ONI06769 pep chromosome:Prunus_persica_NCBIv2:G5:9366082:9368096:-1 gene:PRUPE_5G080000 transcript:ONI06769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNAFKLCTALRALGYIMVLVVLVIVGLTYYAVVLANYLPAIFGGGLSAVIAFPLLILFHFLLVMLLWSYFNVVLNDPGVVPPNWRPANDEEGGDGAPLVGSDQSTLVSDPANQKVRFCRKCNHFKPPRCHHCSVCGRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLFKYFMAFFTEEEVIGTLGTVAASFITFVLNFAFALSVFGFMIMHLSLVASNTTTIEVMAFVIL >ONI06244 pep chromosome:Prunus_persica_NCBIv2:G5:5216975:5229667:1 gene:PRUPE_5G049500 transcript:ONI06244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQPNNLFETASQPDTGNDAYTFLEFNTQGEDFDYPEFRDPIRSPVAWPTPSDSLSDPTDRDRGGGGVGSDHQSDASPVSAAPGSATKARAGGSGSSGGNNQVVDVLAGGMSVLNFEDTGDDDNYEYGKGNFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQPVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKAFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPALSEFPSNSFYEGTLQNGVTINERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFPKPKKIYNDRRLFFGGGPGVIPNDSYGSIASSGQSADRRSTRGSYLPPGPPNGAHKPGVHPAGYPMPRAPLSPFHGGPLSQPYAIPTRGAVHGPVGAVPHVPQPGSRGFGAGRGNAGAPIGSHLPHQQGTQQNVGNLGSTFNFPALENPNSQPSVGGPLSQPGFVNNMPQGPSQTFRDGFSMAGMSQEFLGDDFKSQGSHVPYNVADFSTQASQSGYAVDYVTQGAQGGFPGNFMNQNSQAGYSRFGTGNDFMSQDYMPHGSQGLFTQVGFNDPSQDDASQNHYGVANANQLQSQGFMNSLYSQPFAHYNTQPMNLQAPQQQQQQQPPQQGQSSQNQKIHYNG >ONI06243 pep chromosome:Prunus_persica_NCBIv2:G5:5216704:5229775:1 gene:PRUPE_5G049500 transcript:ONI06243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQPNNLFETASQPDTGNDAYTFLEFNTQGEDFDYPEFRDPIRSPVAWPTPSDSLSDPTDRDRGGGGVGSDHQSDASPVSAAPGSATKARAGGSGSSGGNNQVVDVLAGGMSVLNFEDTGDDDNYEYGKGNFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQPVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKAFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPALSEFPSNSFYEGTLQNGVTINERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFPKPKKIYNDRRLFFGGGPGVIPNDSYGSIASSGQSADRRSTRGRGSYLPPGPPNGAHKPGVHPAGYPMPRAPLSPFHGGPLSQPYAIPTRGAVHGPVGAVPHVPQPGSRGFGAGRGNAGAPIGSHLPHQQGTQQNVGNLGSTFNFPALENPNSQPSVGGPLSQPGFVNNMPQGPSQTFRDGFSMAGMSQEFLGDDFKSQGSHVPYNVADFSTQASQSGYAVDYVTQGAQGGFPGNFMNQNSQAGYSRFGTGNDFMSQGFMNSLYSQPFAHYNTQPMNLQAPQQQQQQQPPQQGQSSQNQKIHYNG >ONI06242 pep chromosome:Prunus_persica_NCBIv2:G5:5216704:5229775:1 gene:PRUPE_5G049500 transcript:ONI06242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQPNNLFETASQPDTGNDAYTFLEFNTQGEDFDYPEFRDPIRSPVAWPTPSDSLSDPTDRDRGGGGVGSDHQSDASPVSAAPGSATKARAGGSGSSGGNNQVVDVLAGGMSVLNFEDTGDDDNYEYGKGNFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQPVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKAFAVDETSVSGYIYHHLLGHEVEVQMVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPALSEFPSNSFYEGTLQNGVTINERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFPKPKKIYNDRRLFFGGGPGVIPNDSYGSIASSGQSADRRSTRGRGSYLPPGPPNGAHKPGVHPAGYPMPRAPLSPFHGGPLSQPYAIPTRGAVHGPVGAVPHVPQPGSRGFGAGRGNAGAPIGSHLPHQQGTQQNVGNLGSTFNFPALENPNSQPSVGGPLSQPGFVNNMPQGPSQTFRDGFSMAGMSQEFLGDDFKSQGSHVPYNVADFSTQASQSGYAVDYVTQGAQGGFPGNFMNQNSQAGYSRFGTGNDFMSQDYMPHGSQGLFTQVGFNDPSQDDASQNHYGVANANQLQSQGFMNSLYSQPFAHYNTQPMNLQAPQQQQQQQPPQQGQSSQNQKIHYNG >ONI07251 pep chromosome:Prunus_persica_NCBIv2:G5:11421632:11422237:-1 gene:PRUPE_5G108900 transcript:ONI07251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKTNQTYPSGSANTGYTRSDGESQLSSHELKRKKRIKLAIYIAIFVVFQTIVITIMSLTVLKVKTPKFRLGNISVESDEATSLKFKTQIKIKNSANWGSYKFKASNVTFQHQGKIVGVLDIEKGKVGWLSTIKRDAEVSLNLDAINGSNLGSEVMLNSVGRLEGKVAIMFIMKKKKATNMNCTIAFHVNEQSVSPVHCK >ONI07887 pep chromosome:Prunus_persica_NCBIv2:G5:13350700:13351473:1 gene:PRUPE_5G145100 transcript:ONI07887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVNSMAKDGQNEQPTTATNSSENSQPAPSPLPPPSPKFSDVLAFSGPAPERINGRIAMVGFVAALAVELSKGQDVFAQISHWVSVESKSKGVMTSDAELWNGRLAMLGLVAMAFTEYVKGGTPV >ONI06084 pep chromosome:Prunus_persica_NCBIv2:G5:4380865:4383720:1 gene:PRUPE_5G039500 transcript:ONI06084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAIPCNPCTSIYRKPALNRQTTCPKSFACRSNVTKWRIKTMSELQTPVGVTDRAGSYSPSIPTHKVTVHDRQRGVVHQFLVPEDQYILHTAESQDITLPFACRHGCCTSCAVRVKSGQLRQPEALGISAELKSKGYALLCVGYPSSDLEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMGDE >ONI06085 pep chromosome:Prunus_persica_NCBIv2:G5:4380865:4382001:1 gene:PRUPE_5G039500 transcript:ONI06085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAIPCNPCTSIYRKPALNRQTTCPKSFACRSNVTKWRIKTMSELQTPVGVTDRAGSYSPSIPTHKVTVHDRQRGVVHQFLVPEDQYILHTAESQDITLPFACRHGCCTSCAVRVKSGQLRQPEALGISAELKSKACVLRFFMKLISMHKVSWLCTLYSAHLFSDKDLFMKW >ONI07148 pep chromosome:Prunus_persica_NCBIv2:G5:10968842:10970440:-1 gene:PRUPE_5G102600 transcript:ONI07148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTALMILSAFAAYFLWFKILLRSMNGPRVWPLVGSLPGLIQNANRMHDWIADNLHSCGGTYQTCTSAIPFLARKQRLVTVTCDPKNLEHILKLRFDNYPKGPTWQSVFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVSRAIEFRFCPILETAQNEAKPVDLQDLLLRLTFDNICGLAFGKDPQTLALGLPENDFANSFDRATEATLQRFILPEIIWKFRKWLRLGMEVSLSQSLQHIDQYLSAIINTRKLELINKQQGSNGVPHDDLLSRFMKKKESYSDTFLQHVALNFILAGRDTSSTALSWFFWLVIQNPHVEEKILAEVCTVLMETRGSDTSKWVKEPLVFEEVDRLTYLKAALSETLRLYPSVPEDSKLSINDDVLPSGTFVPAGSAITYSIYSVGRMKYIWGEDCLEFKPERWLSSDGKKMEVQDSYKFVSFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLTVVPGHRVEQKMSLTLFMKYGLRVNVHPRDLNPILEKIGKGVKMVAGIA >ONI05307 pep chromosome:Prunus_persica_NCBIv2:G5:240106:244421:1 gene:PRUPE_5G000900 transcript:ONI05307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKWWWMLTVTAIGVVAWGVPSEQQRLVTRIAFGSCANQSAPQPIWNAIISFDPQVFIWLGDNIYGDIRRPFKLFGKERTIGPWKNAPRFVPSTEQEMKSRYEKAKSNPGYSRLQENTKVIGTWDDHDYGLNDAGKEFSGKITNQRLLLDFLDEPENSPRRKQDGVYASYTLGPMGRQIKVILLDTRYHRDPLRSDGTILGHSQWEWLEKELNDSPTAVTIIGSSIQVISNLSATTGPLFYMESWGRFPKERDRLFKLIADSKRDGVFFISGDVHFGEITRYDCATGYPLYDVTSSGITQAVEKVVPPPLHFFVRFLAWLTPNTMRVMDQNCRYRSCTYGMSNFGAVEIDWDATPVTLKIQVRDINGNPVTGVTTSLSELQARNSTSSTTKKAREHHRHCSLESTLPWIVRYRLAILAYCAVATFVLALIGVIWASILACRRCARKRKCD >ONI07392 pep chromosome:Prunus_persica_NCBIv2:G5:11873555:11874745:-1 gene:PRUPE_5G117000 transcript:ONI07392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWTDHVMRVSSENGIEGPHEDGHSWRKYGQKDILGAKHPRSYYRCTYRNTQSCYATKQVQRSDEDPTIFEITYKGKHTCSHGSNSVLPPPSPEQQEQKRNKQNNTSHQQQSQGIQMSFPTNLRVDTKFLEDRENMASPFSFTSTSFGCMMPDDAFLSSMLDDNNTFFDNFNHSLLSPAAGESNYYLMPPSQMRNIAGNEQLSECGLTEIISANNSSTNSPIPEMDFPLEPVEIEPNFPFDTTGIFS >ONI07391 pep chromosome:Prunus_persica_NCBIv2:G5:11873684:11875103:-1 gene:PRUPE_5G117000 transcript:ONI07391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKSWEHKSLLTEIIEGMELAKQLRLSLSAASSSDTRQFLVQRILSSYEKALLILNFSGPAQSTAGAIASVPESLVSAYAGPCFDDYNKSPKDHQDLTDVSKKRKIMAKWTDHVMRVSSENGIEGPHEDGHSWRKYGQKDILGAKHPRSYYRCTYRNTQSCYATKQVQRSDEDPTIFEITYKGKHTCSHGSNSVLPPPSPEQQEQKRNKQNNTSHQQQSQGIQMSFPTNLRVDTKFLEDRENMASPFSFTSTSFGCMMPDDAFLSSMLDDNNTFFDNFNHSLLSPAAGESNYYLMPPSQMRNIAGNEQLSECGLTEIISANNSSTNSPIPEMDFPLEPVEIEPNFPFDTTGIFS >ONI07390 pep chromosome:Prunus_persica_NCBIv2:G5:11873361:11876616:-1 gene:PRUPE_5G117000 transcript:ONI07390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKSWEHKSLLTEIIEGMELAKQLRLSLSAASSSDTRQFLVQRILSSYEKALLILNFSGPAQSTAGAIASVPESLVSAYAGPCFDDYNKSPKDHQDLTDVSKKRKIMAKWTDHVMRVSSENGIEGPHEDGHSWRKYGQKDILGAKHPRSYYRCTYRNTQSCYATKQVQRSDEDPTIFEITYKGKHTCSHGSNSVLPPPSPEQQEQKRNKQNNTSHQQQSQGIQMSFPTNLRVDTKFLEDRENMASPFSFTSTSFGSAGESNYYLMPPSQMRNIAGNEQLSECGLTEIISANNSSTNSPIPEMDFPLEPVEIEPNFPFDTTGIFS >ONI08194 pep chromosome:Prunus_persica_NCBIv2:G5:14257598:14259513:-1 gene:PRUPE_5G163200 transcript:ONI08194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQSSLVILFVFLLPVIFWLLIKQRRKSSASQGRRLPPGPRRLPLIGNLHKLPNGLPHHVLEHLALQYGPLMFLQLGSISTLVVSSDHMASEIFKTHDLVFSGRPVLYVANKLSYGCVNLAFAPYGEYWREMRKIVILELLSAKRVQMFQSVRDEEVGLMLDSIAHSKGLINLTQLTLFLTNNVLCRSAFGKKYDDGGGIGKSRIHGLLEETRALLGGFFLSDFLPWLSWLNKFNGLEKKVEKCFKGLDNFYDRVIEEHLDSRRPKPEHEDLVDVLLRVQRDPNQAIPLSNDQIKGVLTDMFIAGTDTSSATLVWTMAELIRNPLVLRKAQDEVRSVLKGKSKVEESDLSELMYLKLVLKESFRLHPAVPLLLPRETLKSCTIEGYEIPTTTMVFIHAKMIGKDPECWENPNEFWPERFLDSSIDYKGNHFELLPFGAGRRGCPGISFSVKLIELALANLLYRFDWELPHGVRREDLDMEDAAGLVVSKKVPLFLAAKPVHPSLTNYVAQ >ONI06303 pep chromosome:Prunus_persica_NCBIv2:G5:5638196:5642260:-1 gene:PRUPE_5G052200 transcript:ONI06303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFFPFKDKTKSKKQGAQSAPELRNQSKSSDLVLDRATKSSSSLPSPRSIPELYKEKEHNLRVFSYQELREATNGFNRLLKLGEGGFGSVYKGTISPEHGQGSPIVVAIKRLNPRSLQGHKEWLAEVQFLGVVNHPNLVKLLGYCSVDGERGIQRLLVYEYMPNRSLEDHLFNRALPTMPWMTRLQVMLGSAEGLAYLHQGLEVQVIYRDFKSSNVLLDEQFRAKLSDFGLAREGPTGDRTHVSTSVSGGVVGTYGYAAPEYIETGHLSTHSDLWSFGVVLYEILTGRRVLDKNRPPAEQKLLYWVKQFPADGKRFSMIIDPLLRGQYSLTAARKLAKLADSCLNKNAKDRPTMSEVVEVLKQALQDSEAGTSSENRSFEASGSKLAKRKPK >ONI06302 pep chromosome:Prunus_persica_NCBIv2:G5:5637684:5643019:-1 gene:PRUPE_5G052200 transcript:ONI06302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFFPFKDKTKSKKQGAQSAPELRNQSKSSDLVLDRATKSSSSLPSPRSIPELYKEKEHNLRVFSYQELREATNGFNRLLKLGEGGFGSVYKGTISPEHGQGSPIVVAIKRLNPRSLQGHKEWLAEVQFLGVVNHPNLVKLLGYCSVDGERGIQRLLVYEYMPNRSLEDHLFNRALPTMPWMTRLQVMLGSAEGLAYLHQGLEVQVIYRDFKSSNVLLDEQFRAKLSDFGLAREGPTGDRTHVSTSVVGTYGYAAPEYIETGHLSTHSDLWSFGVVLYEILTGRRVLDKNRPPAEQKLLYWVKQFPADGKRFSMIIDPLLRGQYSLTAARKLAKLADSCLNKNAKDRPTMSEVVEVLKQALQDSEAGTSSENRSFEASGSKLAKRKPK >ONI08341 pep chromosome:Prunus_persica_NCBIv2:G5:14694266:14696789:-1 gene:PRUPE_5G172500 transcript:ONI08341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEIVLFGDSITEQSFRSGGWGAALADTYSRKADVKVRGYGGYNTRWALFLMQHLFPLDSKKPPAAATIFFGANDAAILGRTSERQHVPVEEYKENLRKIVLHMKECSPAILIVLITPPPVDEDGRNEYARSLYGEDAREEPERMNEVTGIYAKKCIELAEEMGLRSINLWSKLQETEGWQKKFLSDGLHLTPEGNAVVHQEVVRDFREAWFSAEEMPYDFPHHSNIDEKNPEKAFQQRCA >ONI08491 pep chromosome:Prunus_persica_NCBIv2:G5:15163706:15165018:-1 gene:PRUPE_5G181100 transcript:ONI08491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSITNASIHAAPNNNNAARDVGKKKRVRFQFPFPVYDLLLFCTRICIYWLIFSKISRLFGDFQARSAKLKQCKLDVRREQWLSQGAVKNKDCKAEQNGVVEERKDRNNHHPFGNLGMRPGGGESDGSIHHHHHHDSDLDSNSPNSLTSSVLGSNYSETNFTGSSSSSSSSSSGGCCSGNITEDDEGGGDDGCLDDWEAVADALAANEKPKNPCLESPPEHDPIAQSGSPQETTIGSDGPSFGVENSKPQCARTIPKASGNGLAWRPDDAFRPQSLPNLAKQVSLPNSNRKHYGCGGVPWAYSGVVSAPSSCPICYEDLDFTDTSFLPCLCGFRLCLFCHKRILEEDSRCPGCRKPYEHEPVEAEASVHGGSLTFRLPRSCSLITRS >ONI08488 pep chromosome:Prunus_persica_NCBIv2:G5:15163706:15165018:-1 gene:PRUPE_5G181100 transcript:ONI08488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSITNASIHAAPNNNNAARDVGKKKRARSAKLKQCKLDVRREQWLSQGAVKNKDCKAEQNGVVEERKDRNNHHPFGNLGMRPGGGESDGSIHHHHHHDSDLDSNSPNSLTSSVLGSNYSETNFTGSSSSSSSSSSGGCCSGNITEDDEGGGDDGCLDDWEAVADALAANEKPKNPCLESPPEHDPIAQSGSPQETTIGSDGPSFGVENSKPQCARTIPKASGNGLAWRPDDAFRPQSLPNLAKQVSLPNSNRKHYGCGGVPWAYSGVVSAPSSCPICYEDLDFTDTSFLPCLCGFRLCLFCHKRILEEDSRCPGCRKPYEHEPVEAEASVHGGSLTFRLPRSCSLITRS >ONI08489 pep chromosome:Prunus_persica_NCBIv2:G5:15163706:15165018:-1 gene:PRUPE_5G181100 transcript:ONI08489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSITNASIHAAPNNNNAARDVGKKKRARSAKLKQCKLDVRREQWLSQGAVKNKDCKAEQNGVVEERKDRNNHHPFGNLGMRPGGGESDGSIHHHHHHDSDLDSNSPNSLTSSVLGSNYSETNFTGSSSSSSSSSSGGCCSGNITEDDEGGGDDGCLDDWEAVADALAANEKPKNPCLESPPEHDPIAQSGSPQETTIGSDGPSFGVENSKPQCARTIPKASGNGLAWRPDDAFRPQSLPNLAKQVSLPNSNRKHYGCGGVPWAYSGVVSAPSSCPICYEDLDFTDTSFLPCLCGFRLCLFCHKRILEEDSRCPGCRKPYEHEPVEAEASVHGGSLTFRLPRSCSLITRS >ONI08490 pep chromosome:Prunus_persica_NCBIv2:G5:15160807:15165182:-1 gene:PRUPE_5G181100 transcript:ONI08490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSITNASIHAAPNNNNAARDVGKKKRARSAKLKQCKLDVRREQWLSQGAVKNKDCKAEQNGVVEERKDRNNHHPFGNLGMRPGGGESDGSIHHHHHHDSDLDSNSPNSLTSSVLGSNYSETNFTGSSSSSSSSSSGGCCSGNITEDDEGGGDDGCLDDWEAVADALAANEKPKNPCLESPPEHDPIAQSGSPQETTIGSDGPSFGVENSKPQCARTIPKASGNGLAWRPDDAFRPQSLPNLAKQVSLPNSNRKHYGCGGVPWAYSGVVSAPSSCPICYEDLDFTDTSFLPCLCGFRLCLFCHKRILEEDSRCPGCRKPYEHEPVEAEASVHGGSLTFRLPRSCSLITRS >ONI08334 pep chromosome:Prunus_persica_NCBIv2:G5:14676317:14679804:-1 gene:PRUPE_5G172000 transcript:ONI08334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSISLKVCTDYCSGTRTCLPGRGSFSSANSELRNLRVGPGFRDFGKSGRVVACVPERNGNSRSGSPSSSSSPSSGAGQKSSSFLSRSQSWALMKQQMEVAANTEDYEEAARIRDSLKLLEEEEPILWLRRKIKEAIAEERFEDAAKYRDELKEIAPYSFLKCSSDATTLGIRVQVRSVYIEGRSQPSKGQHFFAYRIRISNNSDRPVQLLRRHWIITDANGKSENVWGIGVIGEQPVILPQASFEYSSACPLSTPTGRMEGDFEMKHINSRVSSQSFNVAIAPFSLSVLGDDIDTF >ONI08335 pep chromosome:Prunus_persica_NCBIv2:G5:14676316:14679805:-1 gene:PRUPE_5G172000 transcript:ONI08335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSISLKVCTDYCSGTRTCLPGRGSFSSANSELRNLRVGPGFRDFGKSGRVVACVPERNGNSRSGSPSSSSSPSSGAGQKSSSFLSRSQSWALMKQQMEVAANTEDYEEAARIRDSLKLLEEEEPILWLRRKIKEAIAEERFEDAAKYRDELKEIAPYSFLKCSSDATTLGIRVQVRSVYIEGRSQPSKGQHFFAYRIRISNNSDRPVQLLRRHWIITDANGKSENGDWCYR >ONI08058 pep chromosome:Prunus_persica_NCBIv2:G5:13927891:13928440:1 gene:PRUPE_5G156000 transcript:ONI08058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKVEEAMKRRQQQQEQKQQYHHQQQQQQKQIQCNKSKVCKFKRSTSNVEEDGASTAILLLACIVCAPSYP >ONI07236 pep chromosome:Prunus_persica_NCBIv2:G5:11330829:11339642:1 gene:PRUPE_5G107400 transcript:ONI07236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHPRHERRWASDSVPEKSLVSAGTSPAMTEDSSSGADQEFVEVTLDLQDDNTIVLRSVEPATVIHVDDLAGVGASPGTETPASASASVSRSPSTMRRSSSNNRIRQFSQELKAEAVAKAKQFSQELKAELRRFSWSHGHASRVLSASASQNAGAGTSTGTFDSALAARALRRQRAQLDRTRSGAQKALRGLRFISNCKSTKTNGVDAWNDVEASFNKLAKDGQLLRADFAQCIGMRDSKEFALELFDALGRRRRMKVDKISKDELYEFWSQISDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRRRSPIRRMSTKLLYYLQENWRRVWVLTLWVAIMIGLFTWKFYQYKQKKAFHVMGYCLLTAKGAAETLKFNMALVLLPVCRNTITWLRNTRLGFFVPFDDNINFHKTIAAAIVVGVILHAGNHLACDFPRLIEVSKSDYDKYLVQDFGKHKPKYIDLIKGAEGVTGIIMLTCMIIAFTLATRWFRRSIIKLPKPFNRLTGFNAFWYSHHLFVIVYALLIIHGVFLYMVHTWYLKTTWMYISVPILLYAGERTLRIFRSGFYTVRLLKVAIYPGNVLTLQMSKPPQFKYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEACEPPLAGKSGLLRADETTKTSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADSVSDMSRASDLSAGSTDSPNPNKVYPKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVAEQDQRGVIEMHNYLTSVYEEGDARSTLITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVFSKTCSKHCNARIGVFYCGAPVLAKELSQLCYEFNQKGSTKFEFHKEHF >ONI09246 pep chromosome:Prunus_persica_NCBIv2:G5:17455585:17457669:-1 gene:PRUPE_5G226500 transcript:ONI09246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQLDYVLVPLGLLVFGTYHAWLLITILRYPIRTVVGLNAQSRRQWALSMMTDPLKNGVLAVQTIRNNIMASTLLATTAITLSSLIGVFVSTPSNSRSTASRLVYGNKTEFLSSINFLATVPTLKSQKDYMEYVVRNLNRGSFFWSLGLRAFYLSFPLFLWIFGPIPMFVCCCIMSCVLYFLDTSTSFTRHLHTNSLKEVACDDVESVGQPS >ONI09247 pep chromosome:Prunus_persica_NCBIv2:G5:17455879:17457545:-1 gene:PRUPE_5G226500 transcript:ONI09247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQLDYVLVPLGLLVFGTYHAWLLITILRYPIRTVVGLNAQSRRQWALSMMTDPLKNGVLAVQTIRNNIMASTLLATTAITLSSLIGVFVSTPSNSRSTASRLVYGNKTEFLSSIKYVTILLCFLVAFILNVQSIRYYAHVSFLATVPTLKSQKDYMEYVVRNLNRGSFFWSLGLRAFYLSFPLFLWIFGPIPMFVCCCIMSCVLYFLDTSTSFTRHLHTNSLKEVACDDVESVGQPS >ONI08979 pep chromosome:Prunus_persica_NCBIv2:G5:16735421:16740799:-1 gene:PRUPE_5G210500 transcript:ONI08979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQPRPFGTEGRKPTHDFLSLYSHPTAQQDPRPPSQGYLETHDFLQPLERMGKKCIAKEETSVEISTAEKPVSHAAAAAAQPTSSVEHILPGGIGTYTISHISYFNQRVPKPEGSPVFTVTQPQASSTDRNVEEHSNCSSYTGSGFTLWEESALKNKGKTGKENLGERPVGIVRGQWTSSERPAQSSSNNHRNCFSAQSSGQKNISFMDMMKSAAKGSTQEDELDDEEEFVLKKETSTTTTTATTPYKGDLRVKVDVKGSDQKANTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIQFLQEKVNKYEGSYQGWNPDPAKLMPWQRNNPKLRESYCDQSRGMNGGSGPALVFAAKFDEKTTSVSPSIPGSSAQNPVESDTSTETTFKAMDHHPGITSKPMPFPLSMQPNFFTPVRSDGAAVPQLPPRLPSDAENTSQHQSMLCQTVSRATDGAAARDKLKEQELTIEGGRINISSAYSQGLLNTLTQALQNSGVDLSQASISVQIELGKRANSRSPIPKSVVKDNEILSSNQGKRHSRVASGEDSEHAPKKLKTGRN >ONI08977 pep chromosome:Prunus_persica_NCBIv2:G5:16735420:16740818:-1 gene:PRUPE_5G210500 transcript:ONI08977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQPRPFGTEGRKPTHDFLSLYSHPTAQQDPRPPSQGYLETHDFLQPLERMGKKCIAKEETSVEISTAEKPVSHAAAAAAQPTSSVEHILPGGIGTYTISHISYFNQRVPKPEGSPVFTVTQPQASSTDRNVEEHSNCSSYTGSGFTLWEESALKNKGKTGKENLGERPVGIVRGQWTSSERPAQSSSNNHRNCFSAQSSGQKNISFMDMMKSAAKGSTQEDELDDEEEFVLKKETSTTTTTATTPYKGDLRVKVDVKGSDQKANTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIQFLQEKVNKYEGSYQGWNPDPAKLMPWRNNPKLRESYCDQSRGMNGGSGPALVFAAKFDEKTTSVSPSIPGSSAQNPVESDTSTETTFKAMDHHPGITSKPMPFPLSMQPNFFTPVRSDGAAVPQLPPRLPSDAENTSQHQSMLCQTVSRATDGAAARDKLKEQELTIEGGRINISSAYSQGLLNTLTQALQNSGVDLSQASISVQIELGKRANSRSPIPKSVVKDNEILSSNQGKRHSRVASGEDSEHAPKKLKTGRN >ONI08981 pep chromosome:Prunus_persica_NCBIv2:G5:16735421:16740778:-1 gene:PRUPE_5G210500 transcript:ONI08981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQPRPFGTEGRKPTHDFLSLYSHPTAQQDPRPPSQGGYLETHDFLQPLERMGKKCIAKEETSVEISTAEKPVSHAAAAAAQPTSSVEHILPGGIGTYTISHISYFNQRVPKPEGSPVFTVTQPQASSTDRNVEEHSNCSSYTGSGFTLWEESALKNKGKTGKENLGERPVGIVRGQWTSSERPAQSSSNNHRNCFSAQSSGQKNISFMDMMKSAAKGSTQEDELDDEEEFVLKKETSTTTTTATTPYKGDLRVKVDVKGSDQKANTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIQFLQEKVNKYEGSYQGWNPDPAKLMPWRNNPKLRESYCDQSRGMNGGSGPALVFAAKFDEKTTSVSPSIPGSSAQNPVESDTSTETTFKAMDHHPGITSKPMPFPLSMQPNFFTPVRSDGAAVPQLPPRLPSDAENTSQHQSMLCQTVSRATDGAAARDKLKEQELTIEGGRINISSAYSQGLLNTLTQALQNSGVDLSQASISVQIELGKRANSRSPIPKSVVKDNEILSSNQGKRHSRVASGEDSEHAPKKLKTGRN >ONI08978 pep chromosome:Prunus_persica_NCBIv2:G5:16735421:16740752:-1 gene:PRUPE_5G210500 transcript:ONI08978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQPRPFGTEGRKPTHDFLSLYSHPTAQQDPRPPSQGYLETHDFLQPLERMGKKCIAKEETSVEISTAEKPVSHAAAAAAQPTSSVEHILPGGIGTYTISHISYFNQRVPKPEGSPVFTVTQPQASSTDRNVEEHSNCSSYTGSGFTLWEESALKNKGKTGKENLGERPVGIVRGQWTSSERPAQSSSNNHRNCFSAQSSGQKNISFMDMMKSAAKGSTQEDELDDEEEFVLKKETSTTTTTATTPYKGDLRVKVDVKGSDQKANTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIQFLQEKVNKYEGSYQGWNPDPAKLMPWRNNPKLRESYCDQSRGMNGGSGPALVFAAKFDEKTTSVSPSIPGSSAQNPVESDTSTETTFKAMDHHPGITSKPMPFPLSMQPNFFTPVRSDGAAVPQLPPRLPSDAENTSQHQSMLCQTVSRATDGAAARDKLKEQELTIEGGRINISSAYSQGLLNTLTQALQNSGVDLSQASISVQIELGKRANSRSPIPKSVVKDNEILSSNQGKRHSRVASGEDSEHAPKKLKTGRN >ONI08982 pep chromosome:Prunus_persica_NCBIv2:G5:16735421:16740814:-1 gene:PRUPE_5G210500 transcript:ONI08982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQPRPFGTEGRKPTHDFLSLYSHPTAQQDPRPPSQGGYLETHDFLQPLERMGKKCIAKEETSVEISTAEKPVSHAAAAAAQPTSSVEHILPGGIGTYTISHISYFNQRVPKPEGSPVFTVTQPQASSTDRNVEEHSNCSSYTGSGFTLWEESALKNKGKTGKENLGERPVGIVRGQWTSSERPAQSSSNNHRNCFSAQSSGQKNISFMDMMKSAAKGSTQEDELDDEEEFVLKKETSTTTTTATTPYKGDLRVKVDVKGSDQKANTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIQFLQEKVNKYEGSYQGWNPDPAKLMPWQRNNPKLRESYCDQSRGMNGGSGPALVFAAKFDEKTTSVSPSIPGSSAQNPVESDTSTETTFKAMDHHPGITSKPMPFPLSMQPNFFTPVRSDGAAVPQLPPRLPSDAENTSQHQSMLCQTVSRATDGAAARDKLKEQELTIEGGRINISSAYSQGLLNTLTQALQNSGVDLSQASISVQIELGKRANSRSPIPKSVVKDNEILSSNQGKRHSRVASGEDSEHAPKKLKTGRN >ONI08980 pep chromosome:Prunus_persica_NCBIv2:G5:16735821:16739920:-1 gene:PRUPE_5G210500 transcript:ONI08980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQPRPFGTEGRKPTHDFLSLYSHPTAQQDPRPPSQGGYLETHDFLQPLERMGKKCIAKEETSVEISTAEKPVSHAAAAAAQPTSSVEHILPGGIGTYTISHISYFNQRVPKPEGSPVFTVTQPQASSTDRNVEEHSNCSSYTGSGFTLWEESALKNKGKTGKENLGERPVGIVRGQWTSSERPAQSSSNNHRNCFSAQSSGQKNISFMDMMKSAAKGSTQEDELDDEEEFVLKKETSTTTTTATTPYKGDLRVKVDVKGSDQKANTPRSKHSATEQRRRSKINDRFQMLRELIPHSDQKRDKASFLLEVIEYIQFLQEKVNKYEGSYQGWNPDPAKLMPWRNNPKLRESYCDQSRGMNGGSGPALVFAAKFDEKTTSVSPSIPGSSAQNPVESDTSTETTFKAMDHHPGITSKPMPFPLSMQPNFFTPVRSDGAAVPQLPPRLPSDAENTSQHQSMLCQTVSRATDGAAARDKLKEQELTIEGGRINISSAYSQGLLNTLTQALQNSGVDLSQASISVQIELGKRANSRSPIPKSVVKDNEILSSNQGKRHSRVASGEDSEHAPKKLKTGRN >ONI05665 pep chromosome:Prunus_persica_NCBIv2:G5:1936041:1937728:1 gene:PRUPE_5G018000 transcript:ONI05665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFIAVELEKGRSGNIQQVTRLTCGGFILGLRVNHTICECDAVGLAQFLNAIGEMAKGADSPSIPPLWAQELLNAIDPPTITLPHYEYEQLLDSQGSFIIDQSDMAQRSFYFGPQQITALRKHLPPHLSTCSSFELITACIWKCRTLSLRLNPKDTVRLSCIINARGKRMDDLCLPLGFYGNALGVPTIVSTVELVCASPLGYGVELVRKNKAQMGKEYMQSLAHFLVIRGRPPPPMAWNVFIVSDNRHTGLGEFDVGWGRPVYAGLARAVHVISFYVRENNQEEEFRILVPICLPRMCLERFEQELKRMILEHVDDVSK >ONI08192 pep chromosome:Prunus_persica_NCBIv2:G5:14245670:14249855:-1 gene:PRUPE_5G163100 transcript:ONI08192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIISKRATSRQASFGSGSHSWSQQSYPEVPYAQPYVPPSQDYGQQQHFAPPPQSHGSAWPGSKKRLERKYSKIDDDYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHIGEEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDEGSFCNGFEEVLRRYRELVPQLRLAGPTSFAPIIEMAITIVEQSGGQYHVLVIIADGQVTRSVDTERGQLSPQERKTVEAIVKASEYPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMTKNMDRSRKEAEFALAALMEIPSQYKATLELNILGSTRGKAVDRVPLPPPHYGSASFSMPKPSQSSNFRPSAPSRQRDEFVSTARPASSASDNHVCPICLTDPKNMAFGCGHQTCCDCGQDLQLCPICRSTIETRIKLY >ONI08193 pep chromosome:Prunus_persica_NCBIv2:G5:14246834:14248872:-1 gene:PRUPE_5G163100 transcript:ONI08193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIISKRATSRQASFGSGSHSWSQQSYPEVPYAQPYVPPSQDYGQQQHFAPPPQSHGSAWPGSKKRLERKYSKIDDDYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHIGEEQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDEGSFCNGFEEVLRRYRELVPQLRLAGPTSFAPIIEMAITIVEQSGGQYHVLVIIADGQVTRSVDTERGQLSPQERKTVEAIVKASEYPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQVNIADIILMEYVSFHVSYLFKL >ONI08815 pep chromosome:Prunus_persica_NCBIv2:G5:16288379:16289257:1 gene:PRUPE_5G202100 transcript:ONI08815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAIDLLLVSSRGGRKAGGVEVGFVRVAGGAITLVEGKPTCSSVAIFISTLFSTLDFIELMFNSSATCTFWLIEDRHVRKCDHIATQTISAFFFHVRGKCLFVFFFSPVSIEFSWKLK >ONI07783 pep chromosome:Prunus_persica_NCBIv2:G5:13069165:13069320:-1 gene:PRUPE_5G139400 transcript:ONI07783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSMYVSGIVRLDFILVGSLGFDFLWLDMTERGGKMGFGSFVVAWFGSW >ONI07784 pep chromosome:Prunus_persica_NCBIv2:G5:13069165:13069320:-1 gene:PRUPE_5G139400 transcript:ONI07784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSMYVSGIVRLDFILVGSLGFDFLWLDMTERGGKMGFGSFVVAWFGSW >ONI07782 pep chromosome:Prunus_persica_NCBIv2:G5:13068616:13069455:-1 gene:PRUPE_5G139400 transcript:ONI07782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSMYVSGIVRLDFILVGSLGFDFLWLDMTERGGKMGFGSFVVAWFGSW >ONI06343 pep chromosome:Prunus_persica_NCBIv2:G5:5842341:5842914:1 gene:PRUPE_5G054700 transcript:ONI06343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFTLFITSSCKISPTKSLHSSKTNDPLNPQSLLHLLMPQRILGQTDFVRNVLYVINRAEIVELLIHPQIFNFFNLFKEKKKSESRFFSLFLFP >ONI05538 pep chromosome:Prunus_persica_NCBIv2:G5:1227887:1229810:-1 gene:PRUPE_5G011800 transcript:ONI05538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTSESAEWIFLATNLGLEMLSAACDQASSPRRPHFALFGMLLAIAAVFISIGELVYRGKRERVVLRRRGMLWWFYHPPPPRHAPFGTLPDIYGVVAGISQCICSIVQYVYCLRHADSPFKASLLPAIFLICLVGSRLSNKRMNANTSSVEETSLHPIQEYYAPANIPFVDNQEVEVEDNIVGYQQQVMEPLLEPQLDMRYLKKLQYLDLSQLRYLDLSQLRYLDLSQLRYLELGLQLLPHLPQLPHLQYLDLPQQLRPKED >ONI05537 pep chromosome:Prunus_persica_NCBIv2:G5:1227673:1230234:-1 gene:PRUPE_5G011800 transcript:ONI05537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTSESAEWIFLATNLGLEMLSAACDQASSPRRPHFALFGMLLAIAAVFISIGELVYRGKRERVVLRRRGMLWWFYHPPPPRHAPFGTLPDIYGVVAGISQCICSIVQYVYCLRHADSPFKASLLPAIFLICLVGSRLSNKRMNANTSSVEETSLHPIQEYYAPANIPFVDNQEVEDNIVGYQQQVMEPLLEPQLDMRYLKKLQYLDLSQLRYLDLSQLRYLDLSQLRYLELGLQLLPHLPQLPHLQYLDLPQQLRPKED >ONI08001 pep chromosome:Prunus_persica_NCBIv2:G5:13769041:13769469:-1 gene:PRUPE_5G152700 transcript:ONI08001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNRGFDRVLSYFDEDGDGKISPSELRRGLGFMGGELLQKEAEVAVELLDSDGDGLLGLEDLVCLMEGGEEEEKVKGLRDAFQVYDVEGCGFITPKSLKRMLSSLGESRSIDECKVMINQFDLNGDGVISFEEFRIMMQ >ONI07968 pep chromosome:Prunus_persica_NCBIv2:G5:13660750:13661868:1 gene:PRUPE_5G150500 transcript:ONI07968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIDSVVDPLRVFAKESTRLVKRCHKPDRKEFTKVAIRTAIGFVAMGFVGFFVKLVFIPINNIIVGSV >ONI07970 pep chromosome:Prunus_persica_NCBIv2:G5:13660842:13661854:1 gene:PRUPE_5G150500 transcript:ONI07970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIDSVVDPLRVFAKESTRLVKRCHKPDRKEFTKVAIRTAIGFVAMGFVGFFVKLVFIPINNIIVGSV >ONI07969 pep chromosome:Prunus_persica_NCBIv2:G5:13660753:13661860:1 gene:PRUPE_5G150500 transcript:ONI07969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIDSVVDPLRVFAKESTRLVKRCHKPDRKEFTKVAIRTAIGFVAMGFVGFFVKLVFIPINNIIVGSV >ONI07971 pep chromosome:Prunus_persica_NCBIv2:G5:13660696:13661940:1 gene:PRUPE_5G150500 transcript:ONI07971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIDSVVDPLRVFAKESTRLVKRCHKPDRKEFTKVAIRTAIGFVAMGFVGFFVKLVFIPINNIIVGSV >ONI07775 pep chromosome:Prunus_persica_NCBIv2:G5:13036243:13036603:-1 gene:PRUPE_5G138900 transcript:ONI07775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLVQNSLIGMYSACGFLNSARTLFDEMLERDVVSWNSMIKAMNLFGKMNKRNIITWNSMITGFFSRWSAMELFHEMQITSGDMLCAHLGATDHGIWVHGYLRSA >ONI05759 pep chromosome:Prunus_persica_NCBIv2:G5:2600819:2601868:-1 gene:PRUPE_5G023100 transcript:ONI05759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNDIGLDPVVFVFGLVFEEHLFVSYICSWIVSGIFFFWLLVLKFLGLNYLVKSFNFYGRMTYIYIYIYSPLLLRDPSNNYI >ONI05768 pep chromosome:Prunus_persica_NCBIv2:G5:2638657:2641390:1 gene:PRUPE_5G023600 transcript:ONI05768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAHNRSHNNLMQLKLVQAIKEAGNIKRFLPSEFGFDPARMGHALEPGRVTFDEKMIVRKAIQDAKIPFTYVCGAAFASYFAGNLSQMGTLFPPKEKVLIYGDGNAKVTILEEDDIAAYTIKTIDDPRTLNKTLYLRPPENELSQKQLVEMWENLIGKKLEHISISEQDFLASMKGMDFAGRVGVGHFYHMFYEGALTNFEIGEEGEEASKLYPEVKYTRMDEYLILYT >ONI05769 pep chromosome:Prunus_persica_NCBIv2:G5:2639597:2641390:1 gene:PRUPE_5G023600 transcript:ONI05769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNKRFLPSEFGFDPARMGHALEPGRVTFDEKMIVRKAIQDAKIPFTYVCGAAFASYFAGNLSQMGTLFPPKEKVLIYGDGNAKVTILEEDDIAAYTIKTIDDPRTLNKTLYLRPPENELSQKQLVEMWENLIGKKLEHISISEQDFLASMKGMDFAGRVGVGHFYHMFYEGALTNFEIGEEGEEASKLYPEVKYTRMDEYLILYT >ONI08873 pep chromosome:Prunus_persica_NCBIv2:G5:16439901:16442427:1 gene:PRUPE_5G205600 transcript:ONI08873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADEGKRLSPPSSSPLSTSSDFVHAAATESPAESTTGQRKQDEEDNVIGILELGGISSNNVMRTSSATTLGEVDRLNDLRTDSATTLGEANASSSRGENFSHSLSDCSETTDFGLGTNATSMSQEGSTGHTKLVDSQEGSDYADEDFLGASMPKNEQPGDGLEAPPSQPAVDDLSSATTESPPTQVMERPGDPSSYRIPDYVFARNKSTAPLEWSTASNESLFSIQMGNMSFTKDQFPWLGKSGELGLPGEVSMPMPSGSNLSNIDFSSNQPPSKQSAAENSQESGNLEEEVEPSPRVTEAKSAATMREVIRENAENHEKANDSVAEGKYHSACLSHASDGSTRSFAFPILAGEGDVSCSLRGDAMKQRQHSPSKPKSKRESRPQTPKESPNGSSPQTPKPTPNGGKCRWGLSCFSCCPSCCS >ONI07468 pep chromosome:Prunus_persica_NCBIv2:G5:12133816:12136612:1 gene:PRUPE_5G122000 transcript:ONI07468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINLLLVTLLCILISTVVSQPGSPPFPQELINAIIGPTPSPSSSSIPPTPTPSIPQTPLVPALFVIGDSSVDCGNNNFLGTFARADHLPYGRDFDTHQPTGRFCNGRIPVDYLALRLGLPFVPSYLGQNGRVEGMIQGLNYASAGAGIIFTSGSELGQHVSFTQQVQQFTDTYQQFILSLGEQAAIDLISNSVLYISIGINDYIHYYLRNVSNVQNLYLPWSFNQFLASTLRQEIKNLYSINVMKVVVIGLAPIGCAPHYLSQYRMKDGQCVEEINDTVMEFNFLMRYMIEELREELPDSNIIFCDVFEGSMDIIKNSEHHGLNATADACCGLGEYKGFVMCLSPEMACSNASNHIWWDQFHPTDAVNAILADNVWNGLHTKMCYPMNLEEMVAFDS >ONI05872 pep chromosome:Prunus_persica_NCBIv2:G5:3209906:3214684:1 gene:PRUPE_5G027500 transcript:ONI05872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSRFSFSSGSPRVRHISQASNDLDSEIGSADFATYTVHIPPTPDNQPTGILMQRSTSQRLEDQYASSSLFTGGYNCVTRAQLKEKVIESETSHPQMTGAQGSYCAVEGCDAKVVTDERGLDIVPCECNYKICMDCYRDAIASGDSICPGCKQPYKEQQMDMTEYALANQQPLSLPSTAGMSKMERRLSLMKSKSTKSTALMESQTNDFDHNQWLFETKGSYGYGNAMWPKDTANGSDDGISGDPNVFQHKQWKPLTRTLNISAAILSPYRLLVLVRMVVLGLFLQWRVRNPNDDAVWLWAMSVVCEIWFAFSWLLDQLPKLCPINRIADVDVLKEKFETPSPNNPTGKSDLPGVDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRPDFVRDRRQVKREYDEFKVRINSLPDSIRRRSDAFNAREEIKAMKMQREISNDEPVENLKLPKATWMADTTHWPGTWTVSAPEHSRGDHASIMQVMVKPPSDQPLNGTAVDSNSMNLSEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYYSKALREGMCFMMDHGGEHICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIQGPVYVGTGCLFRRTALYGFDPPSRKERNGFCGGFFTNPKKTSLVASAPEVASQDSQSIELGDMEEEEMSLALIPKTFGNSSFLVDSIRVAEFQGRPLADHPSIKHGRPPGALTLPREPLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFTGQFIVQSLNLTFLVYLLGITVTLILLAVLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDGDDDFVDLYVFKWTALMVPPITIMMTNLIGIAVATCRTIYSAAPEWSSLLGGGFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAIDPPSGNNQIGGSFQFP >ONI05874 pep chromosome:Prunus_persica_NCBIv2:G5:3210372:3214684:1 gene:PRUPE_5G027500 transcript:ONI05874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSRFSFSSGSPRVRHISQASNDLDSEIGSADFATYTVHIPPTPDNQPTGILMQRSTSQRLEDQYASSSLFTGGYNCVTRAQLKEKVIESETSHPQMTGAQGSYCAVEGCDAKVVTDERGLDIVPCECNYKICMDCYRDAIASGDSICPGCKQPYKEQQMDMTEYALANQQPLSLPSTAGMSKMERRLSLMKSKSTKSTALMESQTNDFDHNQWLFETKGSYGYGNAMWPKDTANGSDDGISGDPNVFQHKQWKPLTRTLNISAAILSPYRLLVLVRMVVLGLFLQWRVRNPNDDAVWLWAMSVVCEIWFAFSWLLDQLPKLCPINRIADVDVLKEKFETPSPNNPTGKSDLPGVDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRPDFVRDRRQVKREYDEFKVRINSLPDSIRRRSDAFNAREEIKAMKMQREISNDEPVENLKLPKATWMADTTHWPGTWTVSAPEHSRGDHASIMQVMVKPPSDQPLNGTAVDSNSMNLSEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYYSKALREGMCFMMDHGGEHICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIQGPVYVGTGCLFRRTALYGFDPPSRKERNGFCGGFFTNPKKTSLVASAPEVASQDSQSIELGDMEEEEMSLALIPKTFGNSSFLVDSIRVAEFQGRPLADHPSIKHGRPPGALTLPREPLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFTGQFIVQSLNLTFLVYLLGITVTLILLAVLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDGDDDFVDLYVFKWTALMVPPITIMMTNLIGIAVATCRTIYSAAPEWSSLLGGGFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAIDPPSGNNQIGGSFQFP >ONI05873 pep chromosome:Prunus_persica_NCBIv2:G5:3209797:3214689:1 gene:PRUPE_5G027500 transcript:ONI05873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSRFSFSSGSPRVRHISQASNDLDSEIGSADFATYTVHIPPTPDNQPTGILMQRSTSQRLEDQYASSSLFTGGYNCVTRAQLKEKVIESETSHPQMTGAQGSYCAVEGCDAKVVTDERGLDIVPCECNYKICMDCYRDAIASGDSICPGCKQPYKEQQMDMTEYALANQQPLSLPSTAGMSKMERRLSLMKSKSTKSTALMESQTNDFDHNQWLFETKGSYGYGNAMWPKDTANGSDDGISGDPNVFQHKQWKPLTRTLNISAAILSPYRLLVLVRMVVLGLFLQWRVRNPNDDAVWLWAMSVVCEIWFAFSWLLDQLPKLCPINRIADVDVLKEKFETPSPNNPTGKSDLPGVDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRPDFVRDRRQVKREYDEFKVRINSLPDSIRRRSDAFNAREEIKAMKMQREISNDEPVENLKLPKATWMADTTHWPGTWTVSAPEHSRGDHASIMQVMVKPPSDQPLNGTAVDSNSMNLSEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYYSKALREGMCFMMDHGGEHICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIQGPVYVGTGCLFRRTALYGFDPPSRKERNGFCGGFFTNPKKTSLVASAPEVASQDSQSIELGDMEEEEMSLALIPKTFGNSSFLVDSIRVAEFQGRPLADHPSIKHGRPPGALTLPREPLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFTGQFIVQSLNLTFLVYLLGITVTLILLAVLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDGDDDFVDLYVFKWTALMVPPITIMMTNLIGIAVATCRTIYSAAPEWSSLLGGGFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAIDPPSGNNQIGGSFQFP >ONI05871 pep chromosome:Prunus_persica_NCBIv2:G5:3209796:3214684:1 gene:PRUPE_5G027500 transcript:ONI05871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSRFSFSSGSPRVRHISQASNDLDSEIGSADFATYTVHIPPTPDNQPTGILMQRSTSQRLEDQYASSSLFTGGYNCVTRAQLKEKVIESETSHPQMTGAQGSYCAVEGCDAKVVTDERGLDIVPCECNYKICMDCYRDAIASGDSICPGCKQPYKEQQMDMTEYALANQQPLSLPSTAGMSKMERRLSLMKSKSTKSTALMESQTNDFDHNQWLFETKGSYGYGNAMWPKDTANGSDDGISGDPNVFQHKQWKPLTRTLNISAAILSPYRLLVLVRMVVLGLFLQWRVRNPNDDAVWLWAMSVVCEIWFAFSWLLDQLPKLCPINRIADVDVLKEKFETPSPNNPTGKSDLPGVDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRPDFVRDRRQVKREYDEFKVRINSLPDSIRRRSDAFNAREEIKAMKMQREISNDEPVENLKLPKATWMADTTHWPGTWTVSAPEHSRGDHASIMQVMVKPPSDQPLNGTAVDSNSMNLSEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYYSKALREGMCFMMDHGGEHICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIQGPVYVGTGCLFRRTALYGFDPPSRKERNGFCGGFFTNPKKTSLVASAPEVASQDSQSIELGDMEEEEMSLALIPKTFGNSSFLVDSIRVAEFQGRPLADHPSIKHGRPPGALTLPREPLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFTGQFIVQSLNLTFLVYLLGITVTLILLAVLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDGDDDFVDLYVFKWTALMVPPITIMMTNLIGIAVATCRTIYSAAPEWSSLLGGGFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAIDPPSGNNQIGGSFQFP >ONI07343 pep chromosome:Prunus_persica_NCBIv2:G5:11748402:11751026:-1 gene:PRUPE_5G114400 transcript:ONI07343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPRPLGVVAVAALVFAIAISAVQATPLPPGPAPAPSHSGTDGTTLDQGIACVLMLLALVLTYLIHSADVPVF >ONI05509 pep chromosome:Prunus_persica_NCBIv2:G5:1086452:1087253:1 gene:PRUPE_5G010700 transcript:ONI05509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEANRVVLQERAHLWFGLLPSLFFSLDLFPSAISLGRHDDVVTQTLLSHPPPNFISQLSTKALRNSNNIDAAQIVASSNRSGQAFNSFERGLVLEI >ONI08870 pep chromosome:Prunus_persica_NCBIv2:G5:16430847:16433395:-1 gene:PRUPE_5G205300 transcript:ONI08870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSKIGNILRQTANKQIRSELSPFKLSIHQAVRCMSSMASSKLFIGGISYQTDEQSLREAFAKYGEVIDARIISDRETGRSRGFGFITFTSSEEASSAIQALDGQELHGRRVRVNYATDRPRPNFGDGGYNGGGGGYGGGGGYGGGGGGYGGGGSYGGGGYGGGNTGGGYGGSGGGYSSGGNYPSGNTFETASTGGAFGNSSNFGVAGGVGGADDFVGGADNNFGSGGAQLGENKGGFSLDDPLEGNDRDDDNDGNFLKRA >ONI08495 pep chromosome:Prunus_persica_NCBIv2:G5:15185442:15186621:-1 gene:PRUPE_5G181500 transcript:ONI08495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNTPKPKVGNTHGVEKNQEQLKVMQLHGSHIQAPAFKSFQNKRKRAARYRRSKAPSCRYLPHQFKQMHQDLSCTITSASSVNNNVLTDNASKKYENEIRKVTESVLTPKLLSDTTEMSTRSRCSNVTDNDAGFVRPCSVQKAMDNDKMIIEESELTKQECLSAEKLEILACKTDVEKDNRPLNKSDMRASDLDGGTASQPADNKFLKYTFCRKRKKETLSSPESWMRTVECYLLIPYAYSLAQLISLSEKKWWK >ONI08606 pep chromosome:Prunus_persica_NCBIv2:G5:15522774:15523267:1 gene:PRUPE_5G188600 transcript:ONI08606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEFAEGSCTAHSSFIASKLSLLNLIPTEFSFSPSKVYQHFNTLNHMHCSSWLFDVWKIRIVQSQVTIISCSHNFQEI >ONI09170 pep chromosome:Prunus_persica_NCBIv2:G5:17236463:17238493:-1 gene:PRUPE_5G221500 transcript:ONI09170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPNSNLQSQHQTSSSEDQFISAPESLIIEDQEDESLHGSPQVTESEYYDHDAEEIPVKETVLVHNSLQNDQVWSTAPITIDLRKSDMRSNAKNGKCGKQNTEKNKADQTHLPGDENCLVFRPHQLETNKFQVQENKEDEIFGDSTVGSTSKSSSEWRSSINCRDSATEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRISAQKLHETESLRSIQVCPRSISERIVHKIAMVTKRPSDVRHNPYHELEAAYVAQICLTWEALNWNYKNFEQKRASHGDFDPGCPGQLAQQFQQFQVLLQRYIENEPYEQGRRPEIYARMRLLAPKLLQVPEYRASEDDGNQKDEGFGSRISSAAFLMIMEDGIRTFMNFLKADKEKPCQKLASMFKRKRKGSVDPTLLHLTKKINQKKKMKVKDLRRSHKCLRKRKLKVEEEMEILMGLIDLKLVSRVLRMTELSEEQLHWCEAKMSKVRIMDGIYHRDSSPLFFPAQ >ONI09169 pep chromosome:Prunus_persica_NCBIv2:G5:17236463:17239009:-1 gene:PRUPE_5G221500 transcript:ONI09169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFVKERSLIETHFGEKKKMPCSTEEALARLFYNVSSSLHLLLLFLYVSSIVLAKFFYFVGSNPIFPRNQNGYENYLFSEEEEEEEEEGELEAERQFHVHGHQSCTENDHDLVADIILGGESLMFLPNSNLQSQHQTSSSEDQFISAPESLIIEDQEDESLHGSPQVTESEYYDHDAEEIPVKETVLVHNSLQNDQVWSTAPITIDLRKSDMRSNAKNGKCGKQNTEKNKADQTHLPGDENCLVFRPHQLETNKFQVQENKEDEIFGDSTVGSTSKSSSEWRSSINCRDSATEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRISAQKLHETESLRSIQVCPRSISERIVHKIAMVTKRPSDVRHNPYHELEAAYVAQICLTWEALNWNYKNFEQKRASHGDFDPGCPGQLAQQFQQFQVLLQRYIENEPYEQGRRPEIYARMRLLAPKLLQVPEYRASEDDGNQKDEGFGSRISSAAFLMIMEDGIRTFMNFLKADKEKPCQKLASMFKRKRKGSVDPTLLHLTKKINQKKKMKVKDLRRSHKCLRKRKLKVEEEMEILMGLIDLKLVSRVLRMTELSEEQLHWCEAKMSKVRIMDGIYHRDSSPLFFPAQ >ONI09168 pep chromosome:Prunus_persica_NCBIv2:G5:17236463:17239009:-1 gene:PRUPE_5G221500 transcript:ONI09168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFVKERSLIETHFGEKKKMPCSTEEALARLFYNVSSSLHLLLLFLYVSSIVLAKFFYFVGSNPIFPRNQNGYENYLFSEEEEEEEEEGELEAERQFHVHGHQSCTENDHDLVADIILGGESLMFLPNSNLQSQHQTSSSEDQFISAPESLIIEDQEDESLHGSPQVTESEYYDHDAEEIPVKETVLVHNSLQNDQVWSTAPITIDLRKSDMRSNAKNGKCGKQNTEKNKADQTHLPGDENCLVFRPHQLETNKFQVQENKEDEIFGDSTVGSTSKSSSEWRSSINCRDSATEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRISAQKLHETESLRSIQVCPRSISERIVHKIAMVTKRPSDVRHNPYHELEAAYVAQICLTWEALNWNYKNFEQKRASHGDFDPGCPGQLAQQFQQFQVLLQRYIENEPYEQGRRPEIYARMRLLAPKLLQVPEYREDDGNQKDEGFGSRISSAAFLMIMEDGIRTFMNFLKADKEKPCQKLASMFKRKRKGSVDPTLLHLTKKINQKKKMKVKDLRRSHKCLRKRKLKVEEEMEILMGLIDLKLVSRVLRMTELSEEQLHWCEAKMSKVRIMDGIYHRDSSPLFFPAQ >ONI09167 pep chromosome:Prunus_persica_NCBIv2:G5:17235702:17239068:-1 gene:PRUPE_5G221500 transcript:ONI09167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFVKERSLIETHFGEKKKMPCSTEEALARLFYNVSSSLHLLLLFLYVSSIVLAKFFYFVGSNPIFPRNQNGYENYLFSEEEEEEEEEGELEAERQFHVHGHQSCTENDHDLVADIILGGESLMFLPNSNLQSQHQTSSSEDQFISAPESLIIEDQEDESLHGSPQVTESEYYDHDAEEIPVKETVLVHNSLQNDQVWSTAPITIDLRKSDMRSNAKNGKCGKQNTEKNKADQTHLPGDENCLVFRPHQLETNKFQVQENKEDEIFGDSTVGSTSKSSSEWRSSINCRDSATEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRISAQKLHETESLRSIQVCPRSISERIVHKIAMVTKRPSDVRHNPYHELEAAYVAQICLTWEALNWNYKNFEQKRASHGDFDPGCPGQLAQQFQQFQVLLQRYIENEPYEQGRRPEIYARMRLLAPKLLQVPEYRDDGNQKDEGFGSRISSAAFLMIMEDGIRTFMNFLKADKEKPCQKLASMFKRKRKGSVDPTLLHLTKKINQKKKMKVKDLRRSHKCLRKRKLKVEEEMEILMGLIDLKLVSRVLRMTELSEEQLHWCEAKMSKVRIMDGIYHRDSSPLFFPAQ >ONI06800 pep chromosome:Prunus_persica_NCBIv2:G5:9512707:9515990:1 gene:PRUPE_5G082000 transcript:ONI06800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIENDAVSFLLPSSKFQSHILPRIQFQRLHFCFPKMAVHSLSKLPTSTTALIPNHRTKPGLDSPPLTRNLRHDGLQKPWLGANSLSLQSSSLRVRTQEPKLRPFSATVCLSLPTANAEGVSFTEKVPKWSWRAIKSFAMGELEARKLKYATTGTEAILMGILIEGTSLAAKFLRANGITLFKVREETIKLLGKADMWFFSPEHPTLTEEAQRVLDWAVDKKLKSGDSGEITTSHLLLGIWYEVESPGQKILATLGFNEEKVKELESLKF >ONI06801 pep chromosome:Prunus_persica_NCBIv2:G5:9513008:9515385:1 gene:PRUPE_5G082000 transcript:ONI06801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIENDAVSFLLPSSKFQSHILPRIQFQRLHFCFPKMAVHSLSKLPTSTTALIPNHRTKPGLDSPPLTRNLRHDGLQKPWLGANSLSLQSSSLRVRTQEPKLRPFSATVCLSLPTANAEGVSFTEKVPKWSWRAIKSFAMGELEARKLKYATTGTEAILMGILIEGTSLAAKFLRANGITLFKVREETIKLLGKADMWFFSPEHPTLTEEAQRVLDWAVDKKLKSAT >ONI09057 pep chromosome:Prunus_persica_NCBIv2:G5:16926353:16927210:1 gene:PRUPE_5G215000 transcript:ONI09057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSGELLISFLMAMGRAVLDWLNGSILFKVLLEDLFISTMILVLKVLDEKMRPKISNFGWHVSFKGHRANTQSQKVVGTLVWLYVSGGAGYFLKILMYITLGSCY >ONI09058 pep chromosome:Prunus_persica_NCBIv2:G5:16926511:16926756:1 gene:PRUPE_5G215000 transcript:ONI09058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSGELLISFLMAMGRAVLDWLNGSILFKVLLEDLFISTMILVLKVLDEKMRPKISNFGWHVSFKGHRANTQSQKVVGTL >ONI08651 pep chromosome:Prunus_persica_NCBIv2:G5:15711487:15714631:-1 gene:PRUPE_5G191700 transcript:ONI08651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYEGLKDLSMGSKLQNPNFHIDISIEGVMLRGVAGVNFRYNEWLNAISCVWMAEDAQGMFRGSSPASDPTFMVVEFVRDHFKEGLRTRIKLLAC >ONI08652 pep chromosome:Prunus_persica_NCBIv2:G5:15711487:15714619:-1 gene:PRUPE_5G191700 transcript:ONI08652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYEGLKDLSMGSKLQNPNFHIDISIEGVMLRGVAGVNFRYNEWLNAISCVWMAEDAQGMFRGSSPASDPTFMVVEFVRDHFKEGLRTRIKLLAC >ONI08653 pep chromosome:Prunus_persica_NCBIv2:G5:15711486:15714619:-1 gene:PRUPE_5G191700 transcript:ONI08653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYEGLKDLSMGSKLQNPNFHIDISIEGVMLRGVAGVNFRYNEWLNAISCVWMAEDAQGMFRGSSPASDPTFMVVEFVRDHFKEGLRTRIKLLAC >ONI08650 pep chromosome:Prunus_persica_NCBIv2:G5:15711487:15714615:-1 gene:PRUPE_5G191700 transcript:ONI08650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPHQFIIFFHRQNLCGDGELLIEAPHTNQTMLYEGLKDLSMGSKLQNPNFHIDISIEGVMLRGVAGVNFRYNEWLNAISCVWMAEDAQGMFRGSSPASDPTFMVVEFVRDHFKEGLRTRIKLLAC >ONI07271 pep chromosome:Prunus_persica_NCBIv2:G5:11513024:11519598:-1 gene:PRUPE_5G110700 transcript:ONI07271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRLQNLGFAANFSSNAFNIVGNSMQVGGAGSESCADTILRLNSPGSSMACMSSLQGIKRKWSSIGGNVTEHFGSSLSLGLGRSTSSSDSKGSSATACTTMSSAKETDEESSMDFELDFALHLGNEKVPSPKKPANSKLRALELQPKVDLELSLSTGLSESEITCVNPSSTSPLSGMEMALAAGGAQNADEGSTPFHWKRGIAIQPLQTSFNPGASFLFKQVPQKIDSPAIVPELSSSILTTPNSSVSCSSGMTQKQQSQHRSSNSKTCQVEGCGKGARGASGRCISHGGGRRCQKSGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQRENCTKSAEGLSGLCISHGGGRRCQAIGCTKGAQGSTMFCKAHGGGKRCTAPGCTKGAEGSTPYCKGHGGGKRCAFQGGGHCTKSVHGGTNFCVAHGGGKRCAMPECTKSARGRTDYCVRHGGGKRCKSEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSVFGGQAIGPCNSFARGKTGLCALHSGLVQDKRVHGGITLGPMVQDPKLGKSDKKKEVATADDMNVDVMNIGSSIRTSATGTCSDMKQAGQSSAPVLIPEGRVHGGSLMALLAGGSDSNSNSRGSNSSGSKNSYMMPQNWM >ONI07274 pep chromosome:Prunus_persica_NCBIv2:G5:11515143:11519595:-1 gene:PRUPE_5G110700 transcript:ONI07274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRLQNLGFAANFSSNAFNIVGNSMQVGGAGSESCADTILRLNSPGSSMACMSSLQGIKRKWSSIGGNVTEHFGSSLSLGLGRSTSSSDSKGSSATACTTMSSAKETDEESSMDFELDFALHLGNEKVPSPKKPANSKLRALELQPKVDLELSLSTGLSESEITCVNPSSTSPLSGMEMALAAGGAQNADEGSTPFHWKRGIAIQPLQTSFNPGASFLFKQVPQKIDSPAIVPELSSSILTTPNSSVSCSSGMTQKQQSQHRSSNSKTCQVEGCGKGARGASGRCISHGGGRRCQKSGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQRENCTKSAEGLSGLCISHGGGRRCQAIGCTKGAQGSTMFCKAHGGGKRCTAPGCTKGAEGSTPYCKGHGGGKRCAFQGGGHCTKSVHGGTNFCVAHGGGKRCAMPECTKSARGRTDYCVRHGGGKRCKSEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSVFGGQAIGPCNSFARGKTGLCALHSGLVQDKRVHGGITLGPMVQDPKLGKSDKKKEVATADDMNVDVMNIGSSIRTSATGTCSDMKQAGQSSAPVLIPEGRVHGGSLMALLAGGSDSNSNSRGSNSSGSKNSYMMPQNWM >ONI07272 pep chromosome:Prunus_persica_NCBIv2:G5:11515143:11519593:-1 gene:PRUPE_5G110700 transcript:ONI07272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRLQNLGFAANFSSNAFNIVGNSMQVGGAGSESCADTILRLNSPGSSMACMSSLQGIKRKWSSIGGNVTEHFGSSLSLGLGRSTSSSDSKGSSATACTTMSSAKETDEESSMDFELDFALHLGNEKVPSPKKPANSKLRALELQPKVDLELSLSTGLSESEITCVNPSSTSPLSGMEMALAAGGAQNADEGSTPFHWKRGIAIQPLQTSFNPGASFLFKQVPQKIDSPAIVPELSSSILTTPNSSVSCSSGMTQKQQSQHRSSNSKTCQVEGCGKGARGASGRCISHGGGRRCQKSGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQRENCTKSAEGLSGLCISHGGGRRCQAIGCTKGAQGSTMFCKAHGGGKRCTAPGCTKGAEGSTPYCKGHGGGKRCAFQGGGHCTKSVHGGTNFCVAHGGGKRCAMPECTKSARGRTDYCVRHGGGKRCKSEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSVFGGQAIGPCNSFARGKTGLCALHSGLVQDKRVHGGITLGPMVQDPKLGKSDKKKEVATADDMNVDVMNIGSSIRTSATGTCSDMKQAGQSSAPVLIPEGRVHGGSLMALLAGGSDSNSNSRGSNSSGSKNSYMMPQNWM >ONI07270 pep chromosome:Prunus_persica_NCBIv2:G5:11514546:11519650:-1 gene:PRUPE_5G110700 transcript:ONI07270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRLQNLGFAANFSSNAFNIVGNSMQVGGAGSESCADTILRLNSPGSSMACMSSLQGIKRKWSSIGGNVTEHFGSSLSLGLGRSTSSSDSKGSSATACTTMSSAKETDEESSMDFELDFALHLGNEKVPSPKKPANSKLRALELQPKVDLELSLSTGLSESEITCVNPSSTSPLSGMEMALAAGGAQNADEGSTPFHWKRGIAIQPLQTSFNPGASFLFKQVPQKIDSPAIVPELSSSILTTPNSSVSCSSGMTQKQQSQHRSSNSKTCQVEGCGKGARGASGRCISHGGGRRCQKSGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQRENCTKSAEGLSGLCISHGGGRRCQAIGCTKGAQGSTMFCKAHGGGKRCTAPGCTKGAEGSTPYCKGHGGGKRCAFQGGGHCTKSVHGGTNFCVAHGGGKRCAMPECTKSARGRTDYCVRHGGGKRCKSEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSVFGGQAIGPCNSFARGKTGLCALHSGLVQDKRVHGGITLGPMVQDPKLGKSDKKKEVATADDMNVDVMNIGSSIRTSATGTCSDMKQAGQSSAPVLIPEGRVHGGSLMALLAGGSDSNSNSRGSNSSGSKNSYMMPQNWM >ONI07273 pep chromosome:Prunus_persica_NCBIv2:G5:11513024:11519593:-1 gene:PRUPE_5G110700 transcript:ONI07273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRLQNLGFAANFSSNAFNIVGNSMQVGGAGSESCADTILRLNSPGSSMACMSSLQGIKRKWSSIGGNVTEHFGSSLSLGLGRSTSSSDSKGSSATACTTMSSAKETDEESSMDFELDFALHLGNEKVPSPKKPANSKLRALELQPKVDLELSLSTGLSESEITCVNPSSTSPLSGMEMALAAGGAQNADEGSTPFHWKRGIAIQPLQTSFNPGASFLFKQVPQKIDSPAIVPELSSSILTTPNSSVSCSSGMTQKQQSQHRSSNSKTCQVEGCGKGARGASGRCISHGGGRRCQKSGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQRENCTKSAEGLSGLCISHGGGRRCQAIGCTKGAQGSTMFCKAHGGGKRCTAPGCTKGAEGSTPYCKGHGGGKRCAFQGGGHCTKSVHGGTNFCVAHGGGKRCAMPECTKSARGRTDYCVRHGGGKRCKSEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSVFGGQAIGPCNSFARGKTGLCALHSGLVQDKRVHGGITLGPMVQDPKLGKSDKKKEVATADDMNVDVMNIGSSIRTSATGTCSDMKQAGQSSAPVLIPEGRVHGGSLMALLAGGSDSNSNSRGSNSSGSKNSYMMPQNWM >ONI07004 pep chromosome:Prunus_persica_NCBIv2:G5:10460346:10467577:-1 gene:PRUPE_5G094800 transcript:ONI07004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKSSPDWLPAGWAVQFRVQKTGRKIEFYTNLETGKNFFSKDDVMRHIKMASTNGGNPQPTTLHNQHHSEKIPSQLVVNTTEYPEWLPKGWKVEVRTRKTGVHVGKEYKPSSATKRQKFKHPVTRQQHYEDKGSSEISGLELPETESSNLQDKRVPTESGVAVALTADVVQEKHLPEDIVEECPRTMEDCPPIRSSQPKPEVADIHEGKRSLLKVEHSATDPGKIILAGNEPVLTPAADTLNENNSLKIVMEKGNVRTTQTGSRKSKSKEKLNLPRRSSKRLAGLEPEQVANSVSSEQALQVVRKCSKSDGSQDAVLASDADQQVGAASEVVVAHHTSTDIKSTSHEEALNKGRMPLDDQMVPKEQQQKLESERMDSEKPEPEFSLLFGSDPCLEFAFKTLTGELPIADTVDNEPILKPAADVLQKENSLESEMEKSCSRNTRVNKSKKNKEIKLPHRSSKRLAGVEPELLPNSMSSERALRNATGRSSKSKAIQAVNSADEASQLPEAGPETKFANYPCTTTIDTSIPEQSSNKSENFLEDQAIPQEKSQKFETEKAAIENPEAQFSFPFMDSWSDPCLDFAFKTLTGAIPIEDDLFQGYFQEKIETSHNHRDSLALPDFGSPSFFQSDILPQFDAPEQSISGQQLPMNSSFLPSGNVGMSNCNGVASSQQLSMNPSFLPSGNVSMSNCNGVASSQQLSMNPSFLPSGNVSMSNCNGVASSHQLSMNPSFLPAGNVSLSNCSGAGSGQQLSMNSPFLPAGNVSLSNCGGVDSQKPCLGDSKDYRGKVKS >ONI07006 pep chromosome:Prunus_persica_NCBIv2:G5:10460649:10467271:-1 gene:PRUPE_5G094800 transcript:ONI07006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKSSPDWLPAGWAVQFRVQKTGRKIEFYTNLETGKNFFSKDDVMRHIKMASTNGGNPQPTTLHNQHHSEKIPSQLVVNTTEYPEWLPKGWKVEVRTRKTGVHVGKEYKCYIDPSTECSFYSKPEVFRYLKTVKRKSCKSRNLKTVNHKRCMIKKKTVAPLQSANKVDVEKHNVEDLPSGWTKEIKVKRVANRLRRDPYYTDPVSGYVFRSKNAVMHYLETGEISRHAFKPKNKCTNELTLINDESHEITPSSATKRQKFKHPVTRQQHYEDKGSSEISGLELPETESSNLQDKRVPTESGVAVALTADVVQEKHLPEDIVEECPRTMEDCPPIRSSQPKPEVADIHEGKRSLLKVEHSATDPGKIILAGNEPVLTPAADTLNENNSLKIVMEKGNVRTTQTGSRKSKSKEKLNLPRRSSKRLAGLEPEQVANSVSSEQALQVVRKCSKSDGSQDAVLASDADQQVGAASEVVVAHHTSTDIKSTSHEEALNKGRMPLDDQMVPKEQQQKLESERMDSEKPEPEFSLLFGSDPCLEFAFKTLTGELPIADTVDNEPILKPAADVLQKENSLESEMEKSCSRNTRVNKSKKNKEIKLPHRSSKRLAGVEPELLPNSMSSERALRNATGRSSKSKAIQAVNSADEASQLPEAGPETKFANYPCTTTIDTSIPEQSSNKSENFLEDQAIPQEKSQKFETEKAAIENPEAQFSFPFMDSWSDPCLDFAFKTLTGAIPIEDDLFQGYFQEKIETSHNHRDSLALPDFGSPSFFQSDILPQFDAPEQSISGQQLPMNSSFLPSGNVGMSNCNGVASSQQLSMNPSFLPSGNVSMSNCNGVASSQQLSMNPSFLPSGNVSMSNCNGVASSHQLSMNPSFLPAGNVSLSNCSGAGSGQQLSMNSPFLPAGNVSLSNCGGVDSQKPCLGDSKDYRGKVKS >ONI07007 pep chromosome:Prunus_persica_NCBIv2:G5:10460346:10466402:-1 gene:PRUPE_5G094800 transcript:ONI07007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHIKMASTNGGNPQPTTLHNQHHSEKIPSQLVVNTTEYPEWLPKGWKVEVRTRKTGVHVGKEYKCYIDPSTECSFYSKPEVFRYLKTVKRKSCKSRNLKTVNHKRCMIKKKTVAPLQSANKVDVEKHNVEDLPSGWTKEIKVKRVANRLRRDPYYTDPVSGYVFRSKNAVMHYLETGEISRHAFKPKNKCTNELTLINDESHEITPSSATKRQKFKHPVTRQQHYEDKGSSEISGLELPETESSNLQDKRVPTESGVAVALTADVVQEKHLPEDIVEECPRTMEDCPPIRSSQPKPEVADIHEGKRSLLKVEHSATDPGKIILAGNEPVLTPAADTLNENNSLKIVMEKGNVRTTQTGSRKSKSKEKLNLPRRSSKRLAGLEPEQVANSVSSEQALQVVRKCSKSDGSQDAVLASDADQQVGAASEVVVAHHTSTDIKSTSHEEALNKGRMPLDDQMVPKEQQQKLESERMDSEKPEPEFSLLFGSDPCLEFAFKTLTGELPIADTVDNEPILKPAADVLQKENSLESEMEKSCSRNTRVNKSKKNKEIKLPHRSSKRLAGVEPELLPNSMSSERALRNATGRSSKSKAIQAVNSADEASQLPEAGPETKFANYPCTTTIDTSIPEQSSNKSENFLEDQAIPQEKSQKFETEKAAIENPEAQFSFPFMDSWSDPCLDFAFKTLTGAIPIEDDLFQGYFQEKIETSHNHRDSLALPDFGSPSFFQSDILPQFDAPEQSISGQQLPMNSSFLPSGNVGMSNCNGVASSQQLSMNPSFLPSGNVSMSNCNGVASSQQLSMNPSFLPSGNVSMSNCNGVASSHQLSMNPSFLPAGNVSLSNCSGAGSGQQLSMNSPFLPAGNVSLSNCGGVDSQKPCLGDSKDYRGKVKS >ONI07005 pep chromosome:Prunus_persica_NCBIv2:G5:10460649:10467271:-1 gene:PRUPE_5G094800 transcript:ONI07005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKSSPDWLPAGWAVQFRVQKTGRKIEFYTNLETGKNFFSKDDVMRHIKMASTNGGNPQPTTLHNQHHSEKIPSQLVVNTTEYPEWLPKGWKVEVRTRKTGVHVGKEYKCYIDPSTECSFYSKPEVFRYLKTVKRKSCKSRNLKTVNHKRCMIKKKTVAPLQSANKPSSATKRQKFKHPVTRQQHYEDKGSSEISGLELPETESSNLQDKRVPTESGVAVALTADVVQEKHLPEDIVEECPRTMEDCPPIRSSQPKPEVADIHEGKRSLLKVEHSATDPGKIILAGNEPVLTPAADTLNENNSLKIVMEKGNVRTTQTGSRKSKSKEKLNLPRRSSKRLAGLEPEQVANSVSSEQALQVVRKCSKSDGSQDAVLASDADQQVGAASEVVVAHHTSTDIKSTSHEEALNKGRMPLDDQMVPKEQQQKLESERMDSEKPEPEFSLLFGSDPCLEFAFKTLTGELPIADTVDNEPILKPAADVLQKENSLESEMEKSCSRNTRVNKSKKNKEIKLPHRSSKRLAGVEPELLPNSMSSERALRNATGRSSKSKAIQAVNSADEASQLPEAGPETKFANYPCTTTIDTSIPEQSSNKSENFLEDQAIPQEKSQKFETEKAAIENPEAQFSFPFMDSWSDPCLDFAFKTLTGAIPIEDDLFQGYFQEKIETSHNHRDSLALPDFGSPSFFQSDILPQFDAPEQSISGQQLPMNSSFLPSGNVGMSNCNGVASSQQLSMNPSFLPSGNVSMSNCNGVASSQQLSMNPSFLPSGNVSMSNCNGVASSHQLSMNPSFLPAGNVSLSNCSGAGSGQQLSMNSPFLPAGNVSLSNCGGVDSQKPCLGDSKDYRGKVKS >ONI07008 pep chromosome:Prunus_persica_NCBIv2:G5:10460346:10467577:-1 gene:PRUPE_5G094800 transcript:ONI07008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTEYPEWLPKGWKVEVRTRKTGVHVGKEYKCYIDPSTECSFYSKPEVFRYLKTVKRKSCKSRNLKTVNHKRCMIKKKTVAPLQSANKVDVEKHNVEDLPSGWTKEIKVKRVANRLRRDPYYTDPVSGYVFRSKNAVMHYLETGEISRHAFKPKNKCTNELTLINDESHEITPSSATKRQKFKHPVTRQQHYEDKGSSEISGLELPETESSNLQDKRVPTESGVAVALTADVVQEKHLPEDIVEECPRTMEDCPPIRSSQPKPEVADIHEGKRSLLKVEHSATDPGKIILAGNEPVLTPAADTLNENNSLKIVMEKGNVRTTQTGSRKSKSKEKLNLPRRSSKRLAGLEPEQVANSVSSEQALQVVRKCSKSDGSQDAVLASDADQQVGAASEVVVAHHTSTDIKSTSHEEALNKGRMPLDDQMVPKEQQQKLESERMDSEKPEPEFSLLFGSDPCLEFAFKTLTGELPIADTVDNEPILKPAADVLQKENSLESEMEKSCSRNTRVNKSKKNKEIKLPHRSSKRLAGVEPELLPNSMSSERALRNATGRSSKSKAIQAVNSADEASQLPEAGPETKFANYPCTTTIDTSIPEQSSNKSENFLEDQAIPQEKSQKFETEKAAIENPEAQFSFPFMDSWSDPCLDFAFKTLTGAIPIEDDLFQGYFQEKIETSHNHRDSLALPDFGSPSFFQSDILPQFDAPEQSISGQQLPMNSSFLPSGNVGMSNCNGVASSQQLSMNPSFLPSGNVSMSNCNGVASSQQLSMNPSFLPSGNVSMSNCNGVASSHQLSMNPSFLPAGNVSLSNCSGAGSGQQLSMNSPFLPAGNVSLSNCGGVDSQKPCLGDSKDYRGKVKS >ONI06739 pep chromosome:Prunus_persica_NCBIv2:G5:9172715:9173599:-1 gene:PRUPE_5G078000 transcript:ONI06739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVISDTTFRNSSPSPALSSPSSAAMQPPHIMLEMTLSDVRKICSPTFTGDPALPYKYPTVFRTWFWRINWKESSAAPPSIFRMETFLSIRHSGPCGVHTTSAPRKRRSGPLRGRHGF >ONI06202 pep chromosome:Prunus_persica_NCBIv2:G5:5014056:5016798:-1 gene:PRUPE_5G047000 transcript:ONI06202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPGYMRACLHTGKLAALAILVSGGIVLQILACALYNNWWPMLTIIMYVLLPMPLLFFVGSDSSVLSESDNGWVNATKFLTGASAIGSIAIPAILKHAGVIGWGAMAMELASFFVFVLAIMCYIRTSDEDSYSAI >ONI06203 pep chromosome:Prunus_persica_NCBIv2:G5:5014028:5017089:-1 gene:PRUPE_5G047000 transcript:ONI06203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPGYMRACLHTGKLAALAILVSGGIVLQILACALYNNWWPMLTIIMYVLLPMPLLFFVGSDSSVLSESDNGWVNATKFLTGASAIGSIAIPAILKHAGVIGWGAMAMELASFFVFVLAIMCYIRTSDEDSYSAI >ONI08975 pep chromosome:Prunus_persica_NCBIv2:G5:16729093:16733841:1 gene:PRUPE_5G210400 transcript:ONI08975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIEKKERKGVMGFKVLGGGGGDKFKNSMLVTTTSSPSRSKMKLWMIRATTSVLLWTCIVQLTALGDMWGPRVLKGWPACFSQESAAASSVLQDKLPSVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRVKRRVELGMIYTMPPISWSDMSYYHNQILPLIQKYKVVHLNRTDARLANNGQPLDIQRLRCRVNFSALRFTSQIEELGKRVIRLLRKNGPFLVLHLRYEMDMLAFSGCTQGCNTEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLSALDIDRDIQIYIAAGEIYGAERRMASLAKAYPKLVRKETLLEPSDLRFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRKLLVDLIDQYTSESVNWDEFSSAVKEAHAGRMGNPTNRLMIQDRPKEEDYFYANPEECLQPLDEQLSST >ONI08976 pep chromosome:Prunus_persica_NCBIv2:G5:16729086:16733841:1 gene:PRUPE_5G210400 transcript:ONI08976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIEKKERKGVMGFKVLGGGGGDKFKNSMLVTTTSSPSRSKMKLWMIRATTSVLLWTCIVQLTALGDMWGPRVLKGWPACFSQESAAASSVLQDKLPSVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRVKRRVELGMIYTMPPISWSDMSYYHNQILPLIQKYKVVHLNRTDARLANNGQPLDIQRLRCRVNFSALRFTSQIEELGKRVIRLLRKNGPFLVLHLRYEMDMLAFSGCTQGCNTEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLSALDIDRDIQIYIAAGEIYGAERRMASLAKAYPKLVRKETLLEPSDLRFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRKLLVDLIDQYTSESVNWDEFSSAVKEAHAGRMGNPTNRLMIQDRPKEEDYFYANPEECLQPLDEQLSST >ONI06941 pep chromosome:Prunus_persica_NCBIv2:G5:10083812:10084534:-1 gene:PRUPE_5G090500 transcript:ONI06941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFFSHFSDSVDQPESSSLSDATVTTLRASWSDEDVILASSRPKKRAGRRVFKETRHPVYRGVRRRNNDKWVCEMREPKKTKSRIWLGTYPTAEMAARAHDVAALAFRGKLACLNFPDSAWRLPVPASMDAMDIRRAAAEAAEGFRPVEFGGVSSSSSDEKERMVVQVEEKKKKKDSVKMEKSRSLSLSYWDEEEVFDMPRLLDDMAQGLLLTPPQCLGGDIWDDMGTDADVKLWSFSN >ONI05385 pep chromosome:Prunus_persica_NCBIv2:G5:578564:581015:-1 gene:PRUPE_5G004600 transcript:ONI05385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYNESLSTTSAPTASRYVHQLFHPDLHLQVHQQQQLHHHHQQQQSDDSHHQDDQDHNNNKIIESSDTAATSSGGGGDGDGGSGSGGPTRRPRGRPAGSKNKPKPPIIVTRDTPNALRSHVLEISAGADIMESVSIYARRRGRGVCVLSGSGTVANVTLRQPAGSVVTLHGRFEILSLSGTVLPPPAPPGAGGLSIFLAGVQGQVVGGCVVGPLLASGPVVLMAASFGNAVFERLPLDDPEEGTPTGGNGGGGGLQVQQPTASQSSGVTGGLGEGTGGNSGGGAGLFNLGGNMAANYPFSGPDLFGWGGGSTPRPPF >ONI09344 pep chromosome:Prunus_persica_NCBIv2:G5:17743679:17746857:1 gene:PRUPE_5G233500 transcript:ONI09344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHEPWEQLDVDESELISLSLRPCKRSASHSLKSNHPQPPKPTSNLIPGPAGALQAAMQRRTQTHRHHPHDRDKEEPIPTQEFVRRVVEVGHDHDADFTTNPWLCTLDFLTKQGAHFPTTLGSIRKGIESHRLAQVVAIIKSCTPNGLGDLMLTLKDPTGTIGASIHHTVLSEGDFGKSISVGAVLVLQKVAVFTPSRSACYLNITKNNMVKVISKDSGPLVTKDLLTSSVQNPAPSSGSSENLWMPQEKFPPSQESTERIMNFLRQNSKARESQHNTEKHMETDHAAAGLSCSGHEQCGSRNTDVEVLSSLVKKAMPDGITNMEDTTDNDQVTVVAEKPNAGRVADGDNASSHTQAINHVTVVTEKPKHGTVAKGYNPLSRTQPISASANSVEIPNPDDDQEVERITGAKRQRQPLISRTSLPEYTEEELDLFEFD >ONI05643 pep chromosome:Prunus_persica_NCBIv2:G5:1787796:1792378:1 gene:PRUPE_5G016300 transcript:ONI05643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGQSRKWMILVATIWIQAFTGTNFDFSSYSSSLKSVLGISQVQLNYLATASDLGKVLGWSSGLALMYFPLWVVLFIAAFMGFVGYGIQWLVIRQIISLPYFLMFLLCLLAGCSICWFNTVCFVLCIRNFPANQPLAISLTVSFNGVSAALYNLAADAIDSSSTSLFLILNAVIPLLTSVAALIPIVRQPSLDPLPPDGVRRDSLIFLLLNILAVLTGIYLLLFGSTSYDTETARLFLGGAIFLLIFPLFIPGIVYARDWFHRAIHSSIRIEGSGFVLVDVDDLELHKELLTRENSLNYGNGSVVQPVNNNDGPTTTLSFRQKNGYQSAGCCGAIVGKDQLAMLGEDHTARALVRRLDFWLYYVAYFCGGTIGLVYSNNMGQIAQSLGQSSNTTTLVTLYSSFSFFGRLLSAAPDYIRAKLYFARTGWLAIALLPTPIAFMLLASSGGSLALHTGTALIGLSSGFIFSAAVSITSELFGPNSVGVNHNIVITNIPIGSLVYGLLAAIVYDSNASSGLSILTFSDSVVCMGRDCYFLTFVWWACISVLGLASSVLLFLRTRHAYDHFEHNRSTQLY >ONI07689 pep chromosome:Prunus_persica_NCBIv2:G5:12859766:12863904:-1 gene:PRUPE_5G135400 transcript:ONI07689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSMDSLPLGFRFRPTDEELINHYLKLKINGRNSEVQVIPEIDVCKWEPWDLPKLSVIKSDDQEWFFFCPRDRKYPNGHRSNRATDAGYWKATGKDRTIKSRQCKSASNSTGQVGMKKTLVFYRGRAPRGERTSWIMHEYRATQKDLDGTAPGQGAFVLCRLFHKPEEKADVLKYDEVEQTGLSPTTTKSSPDETSSDVVQETATSDMQGEKQSESIMRWWNDKSDNMSPDALPPVPGDSYMASDVEDPGAEETGIQDGTCEQDVSFPEFLDEFGINPYASSCEESTSQKNLVVGNETYLSGQSCMLQTMPPGNSCLDGAWDNTDTNIAQHDLERRASGLYNKQFDAEDLLQKTSLGYYQAKAQASLDNQKPRMGNMAGNYFPPSAFAEQFPVSSVNDMFNSSDGSTSCKNLDNHGGDHGGGTAIKIRARHPQQLQNSGNLVDQGSAPRRLLLCVDKPSSGSIANGNMGDANYRKEEDEVQSTITEAREDIRQSPTSDEQEKEHAIFNNGEEFSSRKDSVNHGYDQVGRTRIRIKTRQPQQQSNSENYVTQGTAPRRIRLQMNISTGSVVDSNVRDRDDEEEDEVQSTNTDAREAVQQSHTSDGQEKEHAKINNKEELTSRKNSVNYGSDIVGTTGIRIKARQPQQPLKSDESVTQGTAPRRIRLQMNPVADSNVREPTPGKEDEVQSTINETRESTQKIPDSDEHDAECRLSKLEVNGKMAEDSPAEMVDKSRETAEEAPGKLKPRMGRDSLLHSNHTGLSVASKALPKRRGLISTFVISVGIPLAVTLFIAFSGIWIALRS >ONI07690 pep chromosome:Prunus_persica_NCBIv2:G5:12859748:12864064:-1 gene:PRUPE_5G135400 transcript:ONI07690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSMDSLPLGFRFRPTDEELINHYLKLKINGRNSEVQVIPEIDVCKWEPWDLPKLSVIKSDDQEWFFFCPRDRKYPNGHRSNRATDAGYWKATGKDRTIKSRQCKSASNSTGQVGMKKTLVFYRGRAPRGERTSWIMHEYRATQKDLDGTAPGQGAFVLCRLFHKPEEKADVLKYDEVEQTGLSPTTTKSSPDETSSDVVQETATSDMQGEKQSESIMRWWNDKSDNMSPDALPPVPGDSYMASDVEDPGAEETGIQRHLLMEENQVFDEPFGGQIDCKVFSPMKSLINSELEHYVGSPFTSDFGNYNNGFHFQDGTCEQDVSFPEFLDEFGINPYASSCEESTSQKNLVVGNETYLSGQSCMLQTMPPGNSCLDGAWDNTDTNIAQHDLERRASGLYNKQFDAEDLLQKTSLGYYQAKAQASLDNQKPRMGNMAGNYFPPSAFAEQFPVSSVNDMFNSSDGSTSCKNLDNHGGDHGGGTAIKIRARHPQQLQNSGNLVDQGSAPRRLLLCVDKPSSGSIANGNMGDANYRKEEDEVQSTITEAREDIRQSPTSDEQEKEHAIFNNGEEFSSRKDSVNHGYDQVGRTRIRIKTRQPQQQSNSENYVTQGTAPRRIRLQMNISTGSVVDSNVRDRDDEEEDEVQSTNTDAREAVQQSHTSDGQEKEHAKINNKEELTSRKNSVNYGSDIVGTTGIRIKARQPQQPLKSDESVTQGTAPRRIRLQMNPVADSNVREPTPGKEDEVQSTINETRESTQKIPDSDEHDAECRLSKLEVNGKMAEDSPAEMVDKSRETAEEAPGKLKPRMGRDSLLHSNHTGLSVASKALPKRRGLISTFVISVGIPLAVTLFIAFSGIWIALRS >ONI05394 pep chromosome:Prunus_persica_NCBIv2:G5:630597:634002:1 gene:PRUPE_5G005400 transcript:ONI05394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKSVVQDFSSDTSRGPNPSLISVKPETPATLRPPQPPANSDVHIVHVPSYSRWFSWDHIHHCEVRFLPEFFDSHSPSKNPRLYMYCRNNIIKHYRDNPARKLTFTDARKTLVGDVGSIRRVFDFLEVWGLINYSSSALNKPLRWEDKDSKAASPVAESPTSCPEDSSTPNKESPKKRVCHGCKSLCSIACFVSEKYDMTLCARCYVRGNYQIGVTSSDFRRVEINEEMRSDWAYKDILHLLEALMHYGDDWRKVAQHVGRSEKECITHFIKIPFGEEFIADFDSGNFDYKNSSPLKDSADSKFGMESNGTPSPSKRMRLTPLADASNPIMAQAAFLSALAGIEVAKAAACAAVTTLCEADYETSRLSLGSRAWNARQHEVNAESNGDTNLDELGGAFVDANSQFEKEGMDVERAISGITEVQMKEIQEKIVRFEELDLQMEKERQKLEQMKNMLFVDKLTLSIHKTCAQRTEGMEKNIQTD >ONI06928 pep chromosome:Prunus_persica_NCBIv2:G5:10023487:10027385:1 gene:PRUPE_5G089500 transcript:ONI06928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTANNNDTSQPSNQFCNSYRVASLFDTILEASQVADLKDRYILGEQLGWGQFGIIRACSDKFTGEVLACKSIAKDKLVTHDDARSIKLEIEILSRLSGHPNVVNLKAVYEEENYVHLLMELCAGGELFHRLEKQGRFSETDAQVLFRHLMQVVKYCHENGVVHRDLKPENILLATTSSSSPIKLADFGLATYIKPGKKLHGTVGSPFYIAPEVLTGGYDQSADVWSAGVILYILLSGIPPFWGKTKSRIFDAVRAADLWFPPDPWGHISVPAKELIAEMLCVDPSRRLTAAQVLAHPWLQSCACASKETYKQDNQVCRQLEVGGGSFSTPFIDRNQDFSFSDGSPTAGDVELWQSPAFTCKSSFSTFLVGNSSLCPASGGFSFSSCYEPSAAEFSSPVPSMPSFTFFSPSSSVAKGDISLGFTTNMSMLDASYGESSAEKLLVLSDCSPVKHDGEEIERKEFRRGGTNGSSRVSGIHSKRNHTIGHGELDQLNLVMTESVIRWASCTQIPTVPSLRLSLVC >ONI06929 pep chromosome:Prunus_persica_NCBIv2:G5:10023487:10027385:1 gene:PRUPE_5G089500 transcript:ONI06929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTANNNDTSQPSNQFCNSYRVASLFDTILEASQVADLKDRYILGEQLGWGQFGIIRACSDKFTGEVLACKSIAKDKLVTHDDARSIKLEIEILSRLSGHPNVVNLKAVYEEENYVHLLMELCAGGELFHRLEKQGRFSETDAQVLFRHLMQVVKYCHENGVVHRDLKPENILLATTSSSSPIKLADFGLATYIKPGKKLHGTVGSPFYIAPEVLTGGYDQSADVWSAGVILYILLSGIPPFWGKTKSRIFDAVRAADLWFPPDPWGHISVPAKELIAEMLCVDPSRRLTAAQVLAHPWLQSCACASKETYKQDNQVCRQLEVGGGNSSLCPASGGFSFSSCYEPSAAEFSSPVPSMPSFTFFSPSSSVAKGDISLGFTTNMSMLDASYGESSAEKLLVLSDCSPVKHDGEEIERKEFRRGGTNGSSRVSGIHSKRNHTIGHGELDQLNLVMTESVIRWASCTQIPTVPSLRLSLVC >ONI07607 pep chromosome:Prunus_persica_NCBIv2:G5:12576017:12577680:1 gene:PRUPE_5G130100 transcript:ONI07607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILINNIDSVETDHLVLHLVTSDQASFPPIIASNHTDLHLPMLDFCLDHPKIYMPAEQVLDDLLYFFLYVQFTSFVVSVSWWSQLWSCVLYCNLRHSRSVIIQMISLVGL >ONI06364 pep chromosome:Prunus_persica_NCBIv2:G5:6045133:6045854:1 gene:PRUPE_5G056000 transcript:ONI06364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSSITVLAFAFCFLSLLSFAYSNTTDDKIYLTGLVYCDNCQLKSMTEMSKMIPGTTVRLECREGGT >ONI06721 pep chromosome:Prunus_persica_NCBIv2:G5:9051117:9054511:-1 gene:PRUPE_5G076400 transcript:ONI06721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGASASSSLRAAFSYCVQQVRSYDYYNYLCLLELPPNMRKVAFAVRALNVETARAMDVASDPRIGLMRLVWWQEAIDKIYANKLIEHPTAQALLSVVHENKISKVWLKRSVEARISDARKEVGDTPEKIEDLERYAEDTVSTLLYMTLQAGGIRSTAADHAASHIGKASGLLLLIKSLPYHGSRNRHFSYIPTEVAAKHGLLVKHGGQSEIHLDSREGLCEAVFEMASVANVHLQKARDLAGTVPVEAFPVLLPAVPAQVLLDSLRRVNFDVFDPRLARGILGIPPLWYQLKLKWHSWRAKY >ONI06123 pep chromosome:Prunus_persica_NCBIv2:G5:4642558:4644428:-1 gene:PRUPE_5G042000 transcript:ONI06123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSVSSEELKPRRKSKSTAELNSLSSNLAAILFARGSPSGGPCLSFANALLVDNSLPLKPSFKEIVDAFYKVVPKQAYFQNKAEEIRTKFNLWAAKKTKGVIKEVIRPGEVDSFTKSSLQMHYTSKELGMMIRLITQKQRTMSSTFSMFLPDEKDGLPPLVERVCFEPGFLDRHIPRYHVDVGDFRIPKFKISSRFKVCDILEQLGLELPSSVEEMVESPLGKGPFVSKMSPVAVIEVNEEGTEAAAVTECDMGMCLDEPEPPVEFVADHPFLPIFDQRGNDWSRVVHWAAAQSSFRLVFINMSSFCSLLNPQFS >ONI06764 pep chromosome:Prunus_persica_NCBIv2:G5:9356697:9359317:-1 gene:PRUPE_5G079700 transcript:ONI06764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRTTTNMILTHILQPYLSFPPSIRTTLIRSSNGGIRIGSVRCAASNSGSGGNKVSARLSQVNQLLQAAEERALSADTQPPPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNLQKAYWLSEWIRERILQMEKNRINKDGELVISSTKTRTQKGNIEDALEKLQAIIDAASYVPPPPSEEQKKKIAKMAAIGEQKRLKSKKVLSDKKAFRRSRDSWD >ONI06765 pep chromosome:Prunus_persica_NCBIv2:G5:9357354:9359218:-1 gene:PRUPE_5G079700 transcript:ONI06765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRTTTNMILTHILQPYLSFPPSIRTTLIRSSNGGIRIGSVRCAASNSGSGGNKVSARLSQVNQLLQAAEERALSADTQPPPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNLQKAYWLSEWIRERILQMEKNRINKDGELVISSTKTRTQKGNIEDALEKLQAIIDAASYVPPPPSEEQKKKIAKIYCLCILQGCYWRTKTP >ONI06763 pep chromosome:Prunus_persica_NCBIv2:G5:9356693:9359355:-1 gene:PRUPE_5G079700 transcript:ONI06763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRTTTNMILTHILQPYLSFPPSIRTTLIRSSNGGIRIGSVRCAASNSGSGGNKVSARLSQVNQLLQAAEERALSADTQPPPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNLQKAYWLSEWIRERILQMEKNRINKDGELVISSTKTRTQKGNIEDALEKLQAIIDAASYVPPPPSEEQKKKIAKMAAIGEQKRLKSKKVLSDKKAFRRSRDSWD >ONI08099 pep chromosome:Prunus_persica_NCBIv2:G5:13998179:13999903:-1 gene:PRUPE_5G157800 transcript:ONI08099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVTYFSWKNTLIAITTICILSSSSCSASSATTKVNPRPRPFKKIYAFGDSFTDTGNTRSATGPSGFGHVSNPPYGTTFFHRPTNRYSDGRLVIDFIAESLSLPYLKPYRSIMSNATDAAHGVNFAVAGSTAIEHQFFVKNNLSLAITPQSIQTQLLWFDKFLESQGCKVAGAACKAAAFDDTLFWVGEIGVNDYAYTIGSSIPGDTIQQLGISRVTSFLQALLKKGAKYVVVQGLPLSGCLPLAMTLAPEDDRDGIGCVKSANNQTYSHNLVLQAKLQQLRTQFPHAVITYADYWNAYFTVMKNPNQYGFKESFKACCGTGDPYNFEVFAVCGTPSASACPSPSQYINWDGVHLTEAMYKVLTDMFLNGKATHPPFSYLLDMKLRHG >ONI05795 pep chromosome:Prunus_persica_NCBIv2:G5:2719796:2722812:1 gene:PRUPE_5G024200 transcript:ONI05795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSPETGTSSSAQELIISSAPPAVENEEERAYMGIGTTPDVHGAAMKIQRARDVYKAYAGVEEKPNKVEVLSWYFYELCSYFILTVLIPIVFPLIISQVANGSLASVEGGFSDKGFVCKQKEIKLYHSLTKKSILSKYSALEWTSISWAIGLALASPLLAFVSIILDHGYNQPIIAAAATALGAIFCLPAGFFKTLWIFPPYIAAITAAHIISTACHTRHLSLMVRGFTGPTLEKSQFQDRRGISAWLSLYAAAAGSLGAAIMSSFTYHMLREDEEFISLWVVSIFSGIKWFCGIFHFFVTNRPCVNPPISKSHALSIFKFPHAVGGLVSAFLSSATTMCLFTGGVLYLVGQLCYDPRSLLYFWLMYFIFPLFSLPMLQPLQHFIKANAVKMQLMGFFLSLTTSGYGFYFGGSVWKQHHVLLFAAVQSTSAGLLHAFGRVLLMDCSPPGKEGAFSAWFSWTKALGTCAGFAVASAIPGNVSTSFGLSFITAVCGVLVLIFGNISDSGGAKAAGYVRHDSEEGGSPVQGLDAAATAYEIQESAY >ONI06482 pep chromosome:Prunus_persica_NCBIv2:G5:7736412:7737223:-1 gene:PRUPE_5G063600 transcript:ONI06482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLVWILPAYCSMECFNGIFFRVAVYTRILHLFWYWVWCFFYGFCTQSASYCYKFTMATTLISNSKYLSI >ONI07893 pep chromosome:Prunus_persica_NCBIv2:G5:13376835:13377071:-1 gene:PRUPE_5G145500 transcript:ONI07893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRANESREGSWSIKDVSNGVIAAATVGGMALLAYGLYGLVSASERRRKTMKAPGRGDRILRNDFQANPKAYFRDLHRK >ONI07929 pep chromosome:Prunus_persica_NCBIv2:G5:13533112:13536431:1 gene:PRUPE_5G147600 transcript:ONI07929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSIKPILPISAPPKAGDAGGGVVNRITLAPLASYSDVFGGLKKEEGLVCGNCGGHCETGHYKYSKGDFLICIKCFENGNYGENKLRDDFKLNEAIEKSGTNGVEWTESETLLLLESVLKHGDDWEFVAQNVQTKTKFDCIAKLIDLPFGELVLGSAYRKGNPSSFSGNLISSERIQLSSSECQDTVETNGQLHEQTDDCKQNGDILDQDPPLKRQRIASLSDASSSLIKQVAAITTMVGPHITSAAAEAAVNALCEETSCSREIFNADDDSIPNGLWSPAKNCETERVHGEDSEMKERPTQSESRHAIFKKDDIPPTLQIRAAIGTALGAAAAHAKLLADQEDRQIEHLMATIIGTQMKKLHSKLKHFEDLELIRKKECAQIEEVEDILVEERMNILQRTFDSGVPRWRDHPSLKS >ONI07928 pep chromosome:Prunus_persica_NCBIv2:G5:13533112:13536431:1 gene:PRUPE_5G147600 transcript:ONI07928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAPHDPGSKLLRPDEPELDLYTIPSHSSWFSWDEIHETERIALKEYFDGSSISRTPKTYKEYRDFIVSKYREDPSRKLTFTEVRKSLVGDVSLLHKVFNFLEKWGLINFSANLGVNGGFGIEGEERSKVKVEDGVPNGIRVAAMPNSIKPILPISAPPKAGDAGGGVVNRITLAPLASYSDVFGGLKKEEGLVCGNCGGHCETGHYKYSKGDFLICIKCFENGNYGENKLRDDFKLNEAIEKSGTNGVEWTESETLLLLESVLKHGDDWEFVAQNVQTKTKFDCIAKLIDLPFGELVLGSAYRKGNPSSFSGNLISSERIQLSSSECQDTVETNGQLHEQTDDCKQNGDILDQDPPLKRQRIASLSDASSSLIKQVAAITTMVGPHITSAAAEAAVNALCEETSCSREIFNADDDSIPNGLWSPAKNCETERVHGEDSEMKERPTQSESRHAIFKKDDIPPTLQIRAAIGTALGAAAAHAKLLADQEDRQIEHLMATIIGTQMKKLHSKLKHFEDLELIRKKECAQIEEVEDILVEERMNILQRTFDSGVPRWRDHPSLKS >ONI07980 pep chromosome:Prunus_persica_NCBIv2:G5:13695028:13699359:1 gene:PRUPE_5G151200 transcript:ONI07980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEEIVEKEKAPEAKKEEAEEEEEVEEDEDEELWTSDSDVGDALDYLDSRDDDRGVDGGFTINSRRPNAHGGLHSRPNSSTLQPLSNRNQKFTTHIRASPLEEWEGRLNVGMSNSVTTAIRGSVRDTAIGRKRTTEKADRATVEQAIDPRTRMVLFKMLNIGTFHDINGCISTGKEANVYHATKSDGQEMAIKIYKTSILIFKDRDRYVQGDYRFRHGYCKNNPRKMVRTWAEKEMRNLKRLREDGIRCPAIVSLKLHVLVMEFIGKSGWAAPRLKDAALSLDKLREGYIEMIIAMRMMYQKSKLVHGDLSEYNILYFEGHLYIIDVSQSVELDHPHALDFLREDCVHVSDFFKKNGVAVMTIRELFDFIVDPSIADDAVDSYLDMVQQKVIARGDMTAEDEIADSVFVQSYIPKTLDSVKNVEEDVIRLTSGGDTGDMYYKTITGLKQALPECQSVPSEKEQQQDAKAVAKSSDDPVGHSENPETESDSDRDDEDSSDSEGRSSSSETKAQDPLDKKAARKENKKKVKEEKREARKTKVPKALKKRKKKMAKAHKTR >ONI08865 pep chromosome:Prunus_persica_NCBIv2:G5:16412890:16418093:-1 gene:PRUPE_5G204900 transcript:ONI08865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHTQLQTPHQPSVSALSPSSSTSSSCNASVVPLSFFPVWTEPHGDKTEPLQDALNRQYYMAENGGAASTAGSATKTQWLRFVGTMGNGGMEWKGVEERFDRLALTGNGVEPVIKWSDFGLCIGMQQTPEFANELLRALRGTRDRNVDMLKDELHSYWCRMTDPCFDSRIRIYFDLCDKNMDGRITKKDLKQTIILCASTNKLSLTHEEAEDYAALVMEHLDIENQGYIELNQFETLIKMSLSKVSFSTNHLSIRRPYYSFDLCEEPRSKNEVLFRSYWRRAWIVLLWLIICTALFTWKFIQYRHRAAFQVMGYCLSTAKGAAETLKFNMALILLPVCRNTITWLRKNRSINSIIPFNDNINFHKMIAGGIVVGVILHGGAHLACDLPRISDSDRLIFWQTIAARFGYHQPSYFEILATKEVATGIVMVVLMMIAFSLATKWPRRQPPSLPRSVRNVTGYNTFWYSHHLFIAVYALLILHSMFLFLTDNVTEKTTWMYIAIPVLLYTGERVFRAIRSGFYEVEILKASIYPGKVLSLQLNKPEGFKYLSGMYIFIQCPQISPFEWHPFSLTSGPEDNYLSVHIRTAGDWSYQIYSLFQEATLSGVKGYPKVHIDGPYGAASQDHVKYDIVVLIGLGIGFTPFISILKDVVNGAEKSHCDHTGCREGSLRKAPLKAYLYWVTREQSSFDWFRDITKEILNSNQKQSVVEMHNFLTCVYQEGDARSVLISAIQALHHAKNGTYAFCPTKLDQHLLKIGT >ONI08864 pep chromosome:Prunus_persica_NCBIv2:G5:16413143:16417646:-1 gene:PRUPE_5G204900 transcript:ONI08864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHTQLQTPHQPSVSALSPSSSTSSSCNASVVPLSFFPVWTEPHGDKTEPLQDALNRQYYMAENGGAASTAGSATKTQWLRFVGTMGNGGMEWKGVEERFDRLALTGNGVEPVIKWSDFGLCIGMQQTPEFANELLRALRGTRDRNVDMLKDELHSYWCRMTDPCFDSRIRIYFDLCDKNMDGRITKKDLKQTIILCASTNKLSLTHEEAEDYAALVMEHLDIENQGYIELNQFETLIKMSLSKVSFSTNHLSIRRPYYSFDLCEEPRSKNEVLFRSYWRRAWIVLLWLIICTALFTWKFIQYRHRAAFQVMGYCLSTAKGAAETLKFNMALILLPVCRNTITWLRKNRSINSIIPFNDNINFHKMIAGGIVVGVILHGGAHLACDLPRISDSDRLIFWQTIAARFGYHQPSYFEILATKEVATGIVMVVLMMIAFSLATKWPRRQPPSLPRSVRNVTGYNTFWYSHHLFIAVYALLILHSMFLFLTDNVTEKTTWMYIAIPVLLYTGERVFRAIRSGFYEVEILKASIYPGKVLSLQLNKPEGFKYLSGMYIFIQCPQISPFEWHPFSLTSGPEDNYLSVHIRTAGDWSYQIYSLFQEATLSGVKGYPKVHIDGPYGAASQDHVKYDIVVLIGLGIGFTPFISILKDVVNGAEKSHCDHTGCREGSLRKAPLKAYLYWVTREQSSFDWFRDITKEILNSNQKQSVVEMHNFLTCVYQEGDARSVLISAIQALHHAKNGIDFVSRTPVRTHFARPNWISIFSKLAHRHREARIGVFYCGPSAVAKELEKMCTKFSTKTSTRFVFHKENY >ONI09005 pep chromosome:Prunus_persica_NCBIv2:G5:16785713:16787416:-1 gene:PRUPE_5G211600 transcript:ONI09005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKVFGGAEECHSSESGWTMYIGSCTDGENEDGTGMTHENSKDDGENDGHKDDHSDDSMVSDASSGPSHHHHGGERSRGHGLAVDKKAKKKPKEKQQPRAGRRKEEKKDKVLLTHAKKR >ONI09002 pep chromosome:Prunus_persica_NCBIv2:G5:16785848:16791161:-1 gene:PRUPE_5G211600 transcript:ONI09002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKVFGGAEECHSSESGWTMYIGSCTDGENEDGTGMTHENSKDDGENDGHKDDHSDDSMVSDASSGPSHHHHGGERSRGHGLAVDKKAKKKPKEKQQPRAGRRKEEKKDKVLLTHAKKR >ONI09003 pep chromosome:Prunus_persica_NCBIv2:G5:16785805:16787179:-1 gene:PRUPE_5G211600 transcript:ONI09003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKVFGGAEECHSSESGWTMYIGSCTDGENEDGTGMTHENSKDDGENDGHKDDHSDDSMVSDASSGPSHHHHGGERSRGHGLAVDKKAKKKPKEKQQPRAGRRKEEKKDKVLLTHAKKR >ONI09006 pep chromosome:Prunus_persica_NCBIv2:G5:16786019:16786384:-1 gene:PRUPE_5G211600 transcript:ONI09006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKVFGGAEECHSSESGWTMYIGSCTDGENEDGTGMTHENSKDDGENDGHKDDHSDDSMVSDASSGPSHHHHGGERSRGHGLAVDKKAKKKPKEKQQPRAGRRKEEKKDKVLLTHAKKR >ONI09004 pep chromosome:Prunus_persica_NCBIv2:G5:16785795:16787416:-1 gene:PRUPE_5G211600 transcript:ONI09004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKVFGGAEECHSSESGWTMYIGSCTDGENEDGTGMTHENSKDDGENDGHKDDHSDDSMVSDASSGPSHHHHGGERSRGHGLAVDKKAKKKPKEKQQPRAGRRKEEKKDKVLLTHAKKR >ONI06443 pep chromosome:Prunus_persica_NCBIv2:G5:6530398:6537446:1 gene:PRUPE_5G060700 transcript:ONI06443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQNSCAHLSAEEAVAIEESLLIYCKPVELYNILRPRALHNPLFLRRCLHYKIQARRKRRFRTGIVIFNYRDYNNTLQKTEVTEDFTCPFCLMQCASFKVTGEYQAVNVSVKIDVLRSETVADGAVPQLQTFFFCSRPRKRRAENCGQNEKHVNVQFLELDSPRLANGVVHEGLLENDDGEKASKVHWKDIQLPSTGEKASKLRVEWKDIRHRRHEHENYGPDHPTSVECVTSSSNIPGSTVGSLEPDCVKIVSGSDSAPPTKIRKLNGERSDPKNRMLLQKRQFFHSHRVQPMELEQVLSDRDSEDEVDDDIADLEDRRMLDDFVDVTKDEKQLMHLWNSFVRKQSVLADGHVPWACEAFSKLHGQELVSSPALFWCWRFFMIKLWNHGLLDAGTMNSCNIILDGYKH >ONI06441 pep chromosome:Prunus_persica_NCBIv2:G5:6530345:6537446:1 gene:PRUPE_5G060700 transcript:ONI06441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQNSCAHLSAEEAVAIEESLLIYCKPVELYNILRPRALHNPLFLRRCLHYKIQARRKRRFRTGIVIFNYRDYNNTLQKTEVTEDFTCPFCLMQCASFKGLRYHLCSSHDLFNFEFWVTGEYQAVNVSVKIDVLRSETVADGAVPQLQTFFFCSRPRKRRAENCGQNEKHVNVQFLELDSPRLANGVVHEGLLENDDGEKASKVHWKDIQLPSTGEKASKLRVEWKDIRHRRHEHENYGPDHPTSVECVTSSSNIPGSTVGSLEPDCVKIVSGSDSAPPTKIRKLNGERSDPKNRMLLQKRQFFHSHRVQPMELEQVLSDRDSEDEVDDDIADLEDRRMLDDFVDVTKDEKQLMHLWNSFVRKQSVLADGHVPWACEAFSKLHGQELVSSPALFWCWRFFMIKLWNHGLLDAGTMNSCNIILDGYKH >ONI06442 pep chromosome:Prunus_persica_NCBIv2:G5:6530422:6537446:1 gene:PRUPE_5G060700 transcript:ONI06442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQNSCAHLSAEEAVAIEESLLIYCKPVELYNILRPRALHNPLFLRRCLHYKIQARRKRRFRTGIVIFNYRDYNNTLQKTEVTEDFTCPFCLMQCASFKGLRYHLCSSHDLFNFEFWVTGEYQAVNVSVKIDVLRSETVADGAVPQLQTFFFCSRPRKRRAENCGQNEKHVNVQFLELDSPRLANGVVHEGLLENDDGEKASKVHWKDIQLPSTGEKASKLRVEWKDIRHRRHEHENYGPDHPTSVECVTSSSNIPGSTVGSLEPDCVKIVSGSDSAPPTKIRKLNGERSDPKNRMLLQKRQFFHSHRVQPMELEQVLSDRDSEDEVDDDIADLEDRRMLDDFVDVTKDEKQLMHLWNSFVRKQSVLADGHVPWACEAFSKLHGQELVSSPALFWCWRFFMIKLWNHGLLDAGTMNSCNIILDGYKH >ONI07659 pep chromosome:Prunus_persica_NCBIv2:G5:12792873:12796016:1 gene:PRUPE_5G133800 transcript:ONI07659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTRRDWICTKKNYSLVVLALCLLQHYLHVSIILVEATSEVHIVYLGEKKNDDPASTKKFHHQMLTTLLGSKEAAYRSIIYSYKHGFSGFAARLTESQAEAIAAAEFPGVVQVIRNRIHKLHTTRSWDFIGIHQHSSGNLLTKSMGKGTIIGLIDSGVWPESKSFNDEGMDPIPTHWKGICQQGEHFNSTNCNRKIIGARWFRKGAIEHFKNLNRTNTVVDFRSPRDGIGHGTHTASTAAGYFVKRANYRGLASGLARGGAPLAHLAIYKACWAFEGCTDADLLKAFDKAIHDGVDILSLSVGNVTPLFSYVDQRDSIAIGSFHATTKGITVVCSAGNDGPISQTIVNTAPWLITVAATTIDRVFPTAITLGNNHTLWGQSIDIEKHKHGFVGIIYSERIALDRTNDSAKDCQPGSLNATLASGKIVLCFSKSDQQDIESASNTVQEAGGVGLIFAQFPNDGLASCDIPCIRVGYEVGTQILSYIRKARFPIAKLSDPKTVIGKWASPRVASFSARGPSSMTPEVLKPDIAAPGVDIIAAFRPRDTKHSNGYALLSGTSMACPHVAGIAALIKSAHPNWSPSAIKSALVTTGNFPDWNRWNKHFSRRPNPQGS >ONI07658 pep chromosome:Prunus_persica_NCBIv2:G5:12792583:12796188:1 gene:PRUPE_5G133800 transcript:ONI07658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSHGLSIILQEKKDFRLLTCLLGPLDYNLQMQGWLAFKVYTIPVENFQSFRVFHFLMDSKTRRDWICTKKNYSLVVLALCLLQHYLHVSIILVEATSEVHIVYLGEKKNDDPASTKKFHHQMLTTLLGSKEAAYRSIIYSYKHGFSGFAARLTESQAEAIAEFPGVVQVIRNRIHKLHTTRSWDFIGIHQHSSGNLLTKSMGKGTIIGLIDSGVWPESKSFNDEGMDPIPTHWKGICQQGEHFNSTNCNRKIIGARWFRKGAIEHFKNLNRTNTVVDFRSPRDGIGHGTHTASTAAGYFVKRANYRGLASGLARGGAPLAHLAIYKACWAFEGCTDADLLKAFDKAIHDGVDILSLSVGNVTPLFSYVDQRDSIAIGSFHATTKGITVVCSAGNDGPISQTIVNTAPWLITVAATTIDRVFPTAITLGNNHTLWGQSIDIEKHKHGFVGIIYSERIALDRTNDSAKDCQPGSLNATLASGKIVLCFSKSDQQDIESASNTVQEAGGVGLIFAQFPNDGLASCDIPCIRVGYEVGTQILSYIRKARFPIAKLSDPKTVIGKWASPRVASFSARGPSSMTPEVLKPDIAAPGVDIIAAFRPRDTKHSNGYALLSGTSMACPHVAGIAALIKSAHPNWSPSAIKSALVTTASQTGTDGTSISAEGLTRKEADPFDIGGGHVDPNKAIDPGLIFDASTEDYIKFLCSLGYSIASITRLAKTNINCITKTNGVNLNLPSITIPNLKRTATVTRTVTNVGHINSKYKALVQAPPGIKMTVEPQTLSFNITTQILPFKVIFFTTQKLHGDYKFGSLTWTDGEHLVRSPIAIRVIGFESYNDV >ONI06154 pep chromosome:Prunus_persica_NCBIv2:G5:4838404:4845436:-1 gene:PRUPE_5G044100 transcript:ONI06154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNTSDNPLIFNPQISQKDGGLKRSHHSSGNLRRSISVEQQEMPRVFDTLSNVSDSFLEGEDNIFDELPNEQLKNEVIDDGAKLPSTYVRIVSKQMVGIYISVWVRKKLRRHINNLKVSPVGVGLMGFMGNKGSVSVSMSLFQSRMCFVCSHLSSGQKEGADQRRNSDVYEIIRRTCFSSVFDTDQPLTIPSHDQIFWFGDLNYRLNMEDADVRKLIALKRWDELVSNDQLNKELRSGHVFEGWKEGVINFPPTYKYEINSDRYFGENIKEGEKKRSPAWCDRILWLGKGIRQLSYERAEIKLSDHRPVSSVFMVEVEVLDHWKLQRALNCTSVAVHPEIFLDEDEDLDY >ONI06153 pep chromosome:Prunus_persica_NCBIv2:G5:4838316:4845436:-1 gene:PRUPE_5G044100 transcript:ONI06153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRGKPSEAFWPSLMMKKWLNIKPKEYDFSADEVDTETETETETESEDDGYSLKDARKHMRDDHGLRENHSDFRSQLSDIPSKGYQLKHRRGKSETVRAQYINTKDVRVTVGSWNVGGRLPYEDLDIDDWLCTEEPADVYILGFQEVVPLNAGNVLGAEDNKSIPKWEAIIRKTLNKSLEPESKHICYSAPPSPVQRTSSVADVLAETNAHPLDFLGKEYVGATNGCDLEHGQLNKVLSIGRNLQWRRIYGIDCDSRLDWPELSLDATPQVVFTSSKLRRVLSSSARMSFNTSDNPLIFNPQISQKDGGLKRSHHSSGNLRRSISVEQQEMPRVFDTLSNVSDSFLEGEDNIFDELPNEQLKNEVIDDGAKLPSTYVRIVSKQMVGIYISVWVRKKLRRHINNLKVSPVGVGLMGFMGNKGSVSVSMSLFQSRMCFVCSHLSSGQKEGADQRRNSDVYEIIRRTCFSSVFDTDQPLTIPSHDQIFWFGDLNYRLNMEDADVRKLIALKRWDELVSNDQLNKELRSGHVFEGWKEGVINFPPTYKYEINSDRYFGENIKEGEKKRSPAWCDRILWLGKGIRQLSYERAEIKLSDHRPVSSVFMVEVEVLDHWKLQRALNCTSVAVHPEIFLDEDEDLDY >ONI06155 pep chromosome:Prunus_persica_NCBIv2:G5:4840090:4843773:-1 gene:PRUPE_5G044100 transcript:ONI06155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRGKPSEAFWPSLMMKKWLNIKPKEYDFSADEVDTETETETETESEDDGYSLKDARKHMRDDHGLRENHSDFRSQLSDIPSKGYQLKHRRGKSETVRAQYINTKDVRVTVGSWNVGGRLPYEDLDIDDWLCTEEPADVYILGFQEVVPLNAGNVLGAEDNKSIPKWEAIIRKTLNKSLEPESKHICYSAPPSPVQRTSSVADVLAETNAHPLDFLGKEYVGATNGCDLEHGQLNKVLSIGRNLQWRRIYGIDCDSRLDWPELSLDATPQVVFTSSKLRRVLSSSARMSFNTSDNPLIFNPQISQKDGGLKRSHHSSGNLRRSISVEQQEMPRVFDTLSNVSDSFLEGEDNIFDELPNEQLKNEVIDDGAKLPSTYVRIVSKQMVGIYISVWVRKKLRRHINNLKVSPVGVGLMGFMGNKGSVSVSMSLFQSRMCFVCSHLSSGQKEGADQRRNSDVYEIIRRTCFSSVFDTDQPLTIPSHDQIFWFGDLNYRLNMEDADVRKLIALKRWDELVSNDQLNKELRSGHVFEGWKEGVINFPPTYKYEINSDRYFGENIKEGEKKRSPAW >ONI06370 pep chromosome:Prunus_persica_NCBIv2:G5:6104106:6104541:1 gene:PRUPE_5G056600 transcript:ONI06370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKSSTTVPAFAFCFLSLLSFAYSNTTNDKIYLTGLVYCDNCQLKSMTEISKMIPSATVRLECREGGT >ONI08033 pep chromosome:Prunus_persica_NCBIv2:G5:13846635:13851162:-1 gene:PRUPE_5G154500 transcript:ONI08033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRVDVLRRRDSYNYSKREFESYRNGVCRGDGVKHKNGFHLPSNVRNSGGSERSSNSSEPCSKNSKTRKSECLVQLPPEKKRKFSPIIWDREEKQVRVSSKNRVVPVIPPSPAHPTSVQNVLFDGVVSKSPVTVVKSQEVEVSEPSVADCLEGSCQSEAPADLSTQLHSEQPGQDEQAKKNMVHPPNISLSRWASDSDSPRAPRDIADDPCSSPESGEIQREGSGGSRTRVSSSDGESSFETPGENYYGEELCDENMNIDKHDGNAGVGINQLYSDSEDDVDLPKIEEPAVPMRGSINMLQGCRSVFEYEKLNKINEGTYGVVYRARDKKSGEIVALKKVKMDVDKGCDGFPMSALREINILLSFNHPSVVGVKEVVMDDFDGVYMVMEYMEYDLKGLMDSMKQPFSIGEVKYLMLQLLNGVEYLHDNWVLHRDLKSSNLLVNKEGELKICDLGLSRQYGSPLKPYTPLVVTLWYRAPEILLGTKQYSTAIDMWSVGCIMAELLAKDALFKGKTEVDQIDKIFRMLGTPDEKSGLSKLPGFKVNSVKQQCYNHLRKKFPAASFTGSSPVLSESGFDLLKRLLSYNPAERITARDALNHNWFRESPEPRYDFKPITPDLHSRPVPKQQAA >ONI08031 pep chromosome:Prunus_persica_NCBIv2:G5:13846635:13851208:-1 gene:PRUPE_5G154500 transcript:ONI08031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPPNISLSRWASDSDSPRAPRDIADDPCSSPESGEIQREGSGGSRTRVSSSDGESSFETPGENYYGEELCDENMNIDKHDGNAGVGINQLYSDSEDDVDLPKIEEPAVPMRGSINMLQGCRSVFEYEKLNKINEGTYGVVYRARDKKSGEIVALKKVKMDVDKGCDGFPMSALREINILLSFNHPSVVGVKEVVMDDFDGVYMVMEYMEYDLKGLMDSMKQPFSIGEVKYLMLQLLNGVEYLHDNWVLHRDLKSSNLLVNKEGELKICDLGLSRQYGSPLKPYTPLVVTLWYRAPEILLGTKQYSTAIDMWSVGCIMAELLAKDALFKGKTEVDQIDKIFRMLGTPDEKSGLSKLPGFKVNSVKQQCYNHLRKKFPAASFTGSSPVLSESGFDLLKRLLSYNPAERITARDALNHNWFLLQILLT >ONI08036 pep chromosome:Prunus_persica_NCBIv2:G5:13846635:13851153:-1 gene:PRUPE_5G154500 transcript:ONI08036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPPNISLSRWASDSDSPRAPRDIADDPCSSPESGEIQREGSGGSRTRVSSSDGESSFETPGENYYGEELCDENMNIDKHDGNAGVGINQLYSDSEDDVDLPKIEEPAVPMRGSINMLQGCRSVFEYEKLNKINEGTYGVVYRARDKKSGEIVALKKVKMDVDKGCDGFPMSALREINILLSFNHPSVVGVKEVVMDDFDGVYMVMEYMEYDLKGLMDSMKQPFSIGEVKYLMLQLLNGVEYLHDNWVLHRDLKSSNLLVNKEGELKICDLGLSRQYGSPLKPYTPLVVTLWYRAPEILLGTKQYSTAIDMWSVGCIMAELLAKDALFKGKTEVDQIDKIFRMLGTPDEKSGLSKLPGFKVNSVKQQCYNHLRKKFPAASFTGSSPVLSESGFDLLKRLLSYNPAERITARDALNHNWFRESPEPRYDFKPITPDLHSRPVPKQQAA >ONI08037 pep chromosome:Prunus_persica_NCBIv2:G5:13848479:13850149:-1 gene:PRUPE_5G154500 transcript:ONI08037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPPNISLSRWASDSDSPRAPRDIADDPCSSPESGEIQREGSGGSRTRVSSSDGESSFETPGENYYGEELCDENMNIDKHDGNAGVGINQLYSDSEDDVDLPKIEEPAVPMRGSINMLQGCRSVFEYEKLNKINEGTYGVVYRARDKKSGEIVALKKVKMDVDKGCDGFPMSALREINILLSFNHPSVVGVKEVVMDDFDGVYMVMEYMEYDLKGLMDSMKQPFSIGEVKYLMLQLLNGVEYLHDNWVLHRDLKSSNLLVNKEGELKICDLGLSRQYGSPLKPYTPLVVTLWYRAPEILLGTKQYSTAIDMWSVGCIMAELLAKDALFKGKTEVDQIDKIFRMLGTPDEKSGLSKLPGFKVNSVKQQCYNHLRKKFPAASFTGSSPVLSESGFDLLKRLLSYNPAERITARDALNHNWFRESPEPRYDFKPITPDLHSRPVPKQQAA >ONI08034 pep chromosome:Prunus_persica_NCBIv2:G5:13846635:13851147:-1 gene:PRUPE_5G154500 transcript:ONI08034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPPNISLSRWASDSDSPRAPRDIADDPCSSPESGEIQREGSGGSRTRVSSSDGESSFETPGENYYGEELCDENMNIDKHDGNAGVGINQLYSDSEDDVDLPKIEEPAVPMRGSINMLQGCRSVFEYEKLNKINEGTYGVVYRARDKKSGEIVALKKVKMDVDKGCDGFPMSALREINILLSFNHPSVVGVKEVVMDDFDGVYMVMEYMEYDLKGLMDSMKQPFSIGEVKYLMLQLLNGVEYLHDNWVLHRDLKSSNLLVNKEGELKICDLGLSRQYGSPLKPYTPLVVTLWYRAPEILLGTKQYSTAIDMWSVGCIMAELLAKDALFKGKTEVDQIDKIFRMLGTPDEKSGLSKLPGFKVNSVKQQCYNHLRKKFPAASFTGSSPVLSESGFDLLKRLLSYNPAERITARDALNHNWFRESPEPRYDFKPITPDLHSRPVPKQQAA >ONI08035 pep chromosome:Prunus_persica_NCBIv2:G5:13846635:13851152:-1 gene:PRUPE_5G154500 transcript:ONI08035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPPNISLSRWASDSDSPRAPRDIADDPCSSPESGEIQREGSGGSRTRVSSSDGESSFETPGENYYGEELCDENMNIDKHDGNAGVGINQLYSDSEDDVDLPKIEEPAVPMRGSINMLQGCRSVFEYEKLNKINEGTYGVVYRARDKKSGEIVALKKVKMDVDKGCDGFPMSALREINILLSFNHPSVVGVKEVVMDDFDGVYMVMEYMEYDLKGLMDSMKQPFSIGEVKYLMLQLLNGVEYLHDNWVLHRDLKSSNLLVNKEGELKICDLGLSRQYGSPLKPYTPLVVTLWYRAPEILLGTKQYSTAIDMWSVGCIMAELLAKDALFKGKTEVDQIDKIFRMLGTPDEKSGLSKLPGFKVNSVKQQCYNHLRKKFPAASFTGSSPVLSESGFDLLKRLLSYNPAERITARDALNHNWFRESPEPRYDFKPITPDLHSRPVPKQQAA >ONI08032 pep chromosome:Prunus_persica_NCBIv2:G5:13846635:13851161:-1 gene:PRUPE_5G154500 transcript:ONI08032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRVDVLRRRDSYNYSKREFESYRNGVCRGDGVKHKNGFHLPSNVRNSGGSERSSNSSEPCSKNSKTRKSECLVQLPPEKKRKFSPIIWDREEKQVRVSSKNRVVPVIPPSPAHPTSVQNVLFDGVVSKSPVTVVKSQEVEVSEPSVADCLEGSCQSEAPADLSTQLHSEQPGQDEQAKKNMVHPPNISLSRWASDSDSPRAPRDIADDPCSSPESGEIQREGSGGSRTRVSSSDGESSFETPGENYYGEELCDENMNIDKHDGNAGVGINQLYSDSEDDVDLPKIEEPAVPMRGSINMLQGCRSVFEYEKLNKINEGTYGVVYRARDKKSGEIVALKKVKMDVDKGCDGFPMSALREINILLSFNHPSVVGVKEVVMDDFDGVYMVMEYMEYDLKGLMDSMKQPFSIGEVKYLMLQLLNGVEYLHDNWVLHRDLKSSNLLVNKEGELKICDLGLSRQYGSPLKPYTPLVVTLWYRAPEILLGTKQYSTAIDMWSVGCIMAELLAKDALFKGKTEVDQIDKIFRMLGTPDEKSGLSKLPGFKVNSVKQQCYNHLRKKFPAASFTGSSPVLSESGFDLLKRLLSYNPAERITARDALNHNWFRESPEPRYDFKPITPDLHSRPVPKQQAA >ONI07381 pep chromosome:Prunus_persica_NCBIv2:G5:11842877:11845602:1 gene:PRUPE_5G116300 transcript:ONI07381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPLVALDKGTTCSSWNYSSQRLATGSVDGTLAIFDTRDPASSSFSCTSKSKVHEAAGIVKIVWVPPEYGDAVACVCADGTLSLWEEAAEDAQPIQWKLCTSFKSGSAQLLDTQFGVSPSGLKMVTAYSDGHVKVYELLDPLDLKNWQLQAEFQNVIDSVSTFGKAMCLAASISWNPQRGESQESSFVLGFNSNTPQLNSSKVWEFDQAHQRWLPVAELALPGDNSDQVYAVAWAPNIGRPYEVIAVATDKGIAIWHLGLNPDSDGRLSLEKIAQLSGHNGEVWQMEWDMSGMTLATTGNDGAVRLWQSNLNGVWHEEATFEPTS >ONI09362 pep chromosome:Prunus_persica_NCBIv2:G5:17776478:17778344:-1 gene:PRUPE_5G234200 transcript:ONI09362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQNQKAEIFELNNGTMRVFITNYGCTITSLSVPGKDGKLADVVLGFDSVDPYMQGLAPYFGCIVGRVANRIKDGKFTLNGTDYSLPVNRPPNSLHGGHKGYDKQIWEVAEHKKGDNGSITFKYHSRDGEEGYPGNLSLTATYTLTSTTSMRLDMEAVPENKPTPVSLAQHTYWNLAGHNSGSILDHAIQIWANHVTPVDENTVPTGEIEPVKGTPFDFTAQKRIGESIHEVGIGYDHNYVLDCGEEKEGLKHAARVRDPSSSRVLNLWSNAPGMQFYTANYVNGVVGKGGAVYGKHAGLCLETQGFPNAINTPNFPSIVVQPGEKYRHSMLFEFSVE >ONI06754 pep chromosome:Prunus_persica_NCBIv2:G5:9333646:9334757:-1 gene:PRUPE_5G079300 transcript:ONI06754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDLSDDQVSSMKEAFTLFDTDNDGKIAPSELGILMRSLGGNPTQAQLKSIVAEENLTAPFDFPRFLALMGKHMKPEPFDRQLRDAFKVLDKDSTGFVSVSELRHILTSIGEKLEPSEFDEWIREVDVGSDGKIKYEDFIARMVAK >ONI08901 pep chromosome:Prunus_persica_NCBIv2:G5:16535672:16538377:-1 gene:PRUPE_5G207200 transcript:ONI08901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLSLKLASNLPKNLPNSSTTSSLFHFQPHKTLCLTKPPQTQTQSYFFSSFPAHPIRHLPHSWRFQHTLTQKIHGVLSNPLLKRHFFFGFPNTLMRVSSKSLSSEFKPAGFFRAQIRQHIFKFNPNESSPWRSWFRRVSEGEVVLGLIIANVAMFMLWRIADNSFMVKNFTISLDNFTSGRLHTLITCAFSHIDAGHVTSNMLGLYVFGKRIERIFGPEFFFKLYLAGAVGGSVFFLVHKAYLAASSKGGQPMKMDPSKIQGLGSSGAVTAIMLLDIFLFPKSTIYLELIIPVPAMLVGICIIAKDLMRIIRGDQRISGSAHLGGAAVAAIAWARIWRGRF >ONI08902 pep chromosome:Prunus_persica_NCBIv2:G5:16535158:16537447:-1 gene:PRUPE_5G207200 transcript:ONI08902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRSWFRRVSEGEVVLGLIIANVAMFMLWRIADNSFMVKNFTISLDNFTSGRLHTLITCAFSHIDAGHVTSNMLGLYVFGKRIERIFGPEFFFKLYLAGAVGGSVFFLVHKAYLAASSKGGQPMKMDPSKIQGLGSSGAVTAIMLLDIFLFPKSTIYLELIIPVPAMLVGICIIAKDLMRIIRGDQRISGSAHLGGAAVAAIAWARIWRGRF >ONI08900 pep chromosome:Prunus_persica_NCBIv2:G5:16535158:16538509:-1 gene:PRUPE_5G207200 transcript:ONI08900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLSLKLASNLPKNLPNSSTTSSLFHFQPHKTLCLTKPPQTQTQSYFFSSFPAHPIRHLPHSWRFQHTLTQKIHGVLSNPLLKRHFFFGFPNTLMRVSSKSLSSEFKPAGFFRAQIRQHIFKFNPNESSPWRSWFRRVSEGEVVLGLIIANVAMFMLWRIADNSFMVKNFTIERIFGPEFFFKLYLAGAVGGSVFFLVHKAYLAASSKGGQPMKMDPSKIQGLGSSGAVTAIMLLDIFLFPKSTIYLELIIPVPAMLVGICIIAKDLMRIIRGDQRISGSAHLGGAAVAAIAWARIWRGRF >ONI06151 pep chromosome:Prunus_persica_NCBIv2:G5:4834012:4835423:-1 gene:PRUPE_5G043900 transcript:ONI06151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERISILSVRMETEVLSRKDLGGSLPVENVQALASNNLKEIPPRYIRPEIEHEEVSMEDSLQIPVVDMSKLIGDPLGHGEELAKLHLACKDWGFFQLINHGVSEEVIEKIKSDTKEFFQLPLEEKKAYAQLPNHIEGYGQAFVVSEEQKLDWGDMLFLLSQPVSLRNLTFWPTLPTSFRETLDKYSVELQEVTKYLWKLICKNLGLNSEKLASMFEDGTQGLRMNYYPPCPQASRVMGLTPHSDAVGLTLLIQVNDVQGLQIKNNGKWVPIKPVPGALIVNIGDIIEVPQ >ONI06150 pep chromosome:Prunus_persica_NCBIv2:G5:4831320:4835703:-1 gene:PRUPE_5G043900 transcript:ONI06150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERISILSVRMETEVLSRKDLGGSLPVENVQALASNNLKEIPPRYIRPEIEHEEVSMEDSLQIPVVDMSKLIGDPLGHGEELAKLHLACKDWGFFQLINHGVSEEVIEKIKSDTKEFFQLPLEEKKAYAQLPNHIEGYGQAFVVSEEQKLDWGDMLFLLSQPVSLRNLTFWPTLPTSFRETLDKYSVELQEVTKYLWKLICKNLGLNSEKLASMFEDGTQGLRMNYYPPCPQASRVMGLTPHSDAVGLTLLIQVNDVQGLQIKNNGKWVPIKPVPGALIVNIGDIIEILSNGEYKSIEHRAVVNTERERLSIAGFHSPNMMSMIGPLPDLVKEKAANYKAISNEEYIRLVVTSKLDGKHLLDHMKLKQ >ONI05358 pep chromosome:Prunus_persica_NCBIv2:G5:498870:500165:1 gene:PRUPE_5G003800 transcript:ONI05358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMETYSEFGDQTNPTMKELSVTPPLSSPFSISHHPQNTEQTPQPTPISHPRLPPPFLWHRWDHELLMSMKAGNIKYEVSNGVFDVVLRLAIFGRQ >ONI05811 pep chromosome:Prunus_persica_NCBIv2:G5:2799723:2803035:1 gene:PRUPE_5G025000 transcript:ONI05811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVVSFLLDRLTTIIEDEVRLLSGTRAEMKDIVEELERIKAFLRVADAKEDSDPQLKVWVKQVRDVAYQIEDALDIFRLSHSCYHRPGCHVSLHELSCIINKLKARRRIATDIQGIKSKVRTLSEGHQNYKLDVDPGSSKVHKHQYSQGDALLLEEADLVAIGEPKRQLIELLMQEGGDVGRQAVAVVGMAGLGKTTLAKQVYKDERVKKNFKEGKTPEEVAESYLKELLDRSLIEAEEIATDGRVKSCRIHDLLREIVVLKSREQNFAAIEKEQGTMWPEKVRRLSIFNTFQNVQQKRIPSKLRSLLIFGVEDSLTEFSIPKLFPRGLPLLTVLDLEGAPLETFPKEVVNLLLLRYLSLRGTKVKQIPSSIKKLQNLETFDLKHSHVVELPAEILNLKRLRHLLVYRYEVESYARFNSRYGVKVPAGICGLQSLQKLCFVEANQDNGALVAELGRMNQLRKLGIFKLRQEDGVTLCSSIEKMRNLRSLSVSSVEKDKIIDLTHISCPPQFLQRLYLTGRLENLPHWISSLQNVVRLFLKWSRLKEDPLVHLQGLPNLVHLELLQVYEGDCLHFKAGGFPSLKLLGIDKLDELKLVIMDKGAMPCLEKLIIQRCRLLKKVSGIEHLQDLKLLEFFDMPNELIRPFHPDGGEDHWKVAHIPEVYSSYWNVGGWDVYSLEITDGESTSHQGAMRRLEPNILWKA >ONI05810 pep chromosome:Prunus_persica_NCBIv2:G5:2799779:2803044:1 gene:PRUPE_5G025000 transcript:ONI05810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVVSFLLDRLTTIIEDEVRLLSGTRAEMKDIVEELERIKAFLRVADAKEDSDPQLKVWVKQVRDVAYQIEDALDIFRLSHSCYHRPGCHVSLHELSCIINKLKARRRIATDIQGIKSKVRTLSEGHQNYKLDVDPGSSKVHKHQYSQGDALLLEEADLVAIGEPKRQLIELLMQEGGDVGRQAVAVVGMAGLGKTTLAKQVYKDERVKKNFKVHAWITVSQSFKIKKLLRHIVEKIFKVIRKPVPEEFDSMDTNKLRERIKKLLQHSRYLIVLDDLWHIDDWDVINHALPNNNGSRVMITTRNASVASASCMNNHGMVYHLEPLSPEESWTLLCRKTFQEESCPPNLEEICRCILSKCGGLPLAIVAIGAVLAMKDKKNIEDWAAVCGSIGAEIEENDQLDNMKRLLYLSFSDLPYHLKSCFLYLSIFPDLYKFEYMRLIRLWIAEGFVIEKEGKTPEEVAESYLKELLDRSLIEAEEIATDGRVKSCRIHDLLREIVVLKSREQNFAAIEKEQGTMWPEKVRRLSIFNTFQNVQQKRIPSKLRSLLIFGVEDSLTEFSIPKLFPRGLPLLTVLDLEGAPLETFPKEVVNLLLLRYLSLRGTKVKQIPSSIKKLQNLETFDLKHSHVVELPAEILNLKRLRHLLVYRYEVESYARFNSRYGVKVPAGICGLQSLQKLCFVEANQDNGALVAELGRMNQLRKLGIFKLRQEDGVTLCSSIEKMRNLRSLSVSSVEKDKIIDLTHISCPPQFLQRLYLTGRLENLPHWISSLQNVVRLFLKWSRLKEDPLVHLQGLPNLVHLELLQVYEGDCLHFKAGGFPSLKLLGIDKLDELKLVIMDKGAMPCLEKLIIQRCRLLKKVSGIEHLQDLKLLEFFDMPNELIRPFHPDGGEDHWKVAHIPEVYSSYWNVGGWDVYSLEITDGESTSHQGAMRRLEPNILWKA >ONI05388 pep chromosome:Prunus_persica_NCBIv2:G5:599099:600905:1 gene:PRUPE_5G004900 transcript:ONI05388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKEKVCVTGAGGFAASWVVNLLLSKDYVVHGTVRQPGDSKYAHLNKLEKASENLKLFKADLLDYDSLRAAVEGCSGVFHVASPVPAAHSVADPEEFLEPAVTGTLNVLKACVEAKVKRVVVVSSIAAVVMNPDWPKGQVKDETCWSVPEYIKTTKKWYYLSKTEAERQALDFGKRNGIEVVTVCPSVILGPILQSNLNSSSLLLVGTVKGGVESLGYNYWTFVDARDFAEALLLAYNKSEAGERYLCTSHAIGAEEVVEKYLRPAYPKYSYSKILTHTEEEKEHLSSEKLQRLGWTFRPVEETLIDSIESYRKAGIVD >ONI07255 pep chromosome:Prunus_persica_NCBIv2:G5:11444294:11446331:-1 gene:PRUPE_5G109300 transcript:ONI07255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETPPNFWGDMPEEDYYTSQGVRNTKSFFQTPNGQIFTQSFLPLDQTVKGTVFMTHGYGSDTGWLFQKICMHYATWGYAVFAADLLGHGRSDGLRCYLGDMEKVAATSLSFFLHVRRNELYLHLPAFLFGESMGGAATMLMYFQSPPDTWTGLIFSAPLFVIPENMKPSKVHLFLYGLLFGIADTWAAMPDNKMVGKAIKDPAKLRIIASNPRRYTGPPRVGTMREIARVCQYIQDNFSRVTTPFLTVHGTADGVTCPSSSKLLYEKASSVDKTLKIYDGMYHSLIQGEPDENAEIVLRDMREWIDERVERYGPK >ONI08689 pep chromosome:Prunus_persica_NCBIv2:G5:15805179:15807364:-1 gene:PRUPE_5G194200 transcript:ONI08689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSAAASFSIGTTCSLGHKAVSFPQSKPCSLRFNSQNPLRSSFNGLKATTSLACESETSFLGKETTAALRASFALKAHKGAQVVQTPFQPQASYKVAVLGAAGGIGQPLALLIKMSPLVSDLHLYDIANVKGVAADLSHCNTPSQVLDFTGASELAKSLKGVDVVVIPAGVPRKPGMTRDDLFNINAGIVKNLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKKKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTKPSVSLTDEEVEKLTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSYVESDLTELPFFASRVKLGRKGVEALIPSDLQGLTEYEQKALEALKPELKASIEKGIAFANKQAVTA >ONI06308 pep chromosome:Prunus_persica_NCBIv2:G5:5672119:5673313:1 gene:PRUPE_5G052600 transcript:ONI06308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWADSKARQLNSIINVQEIYARYQLLITWFSESVSDVLLRVLVIVQQLLQENRHGFLGILVDTLRLPTYCLVDCDPYGFDIMSTYRFSSMVRLLDQSVN >ONI08410 pep chromosome:Prunus_persica_NCBIv2:G5:14964670:14965969:1 gene:PRUPE_5G176500 transcript:ONI08410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCFALFTIFVFAIVPHIQGIATNDQRAQISVRSSPFETALATLQKQIGYNFQKPGLLRRSMTHASFSEENNRALSILGANVIETSASLRLLEKDLDISAKELNRRVSEISKVESSCAADGLRLGLHKVVRVSPKTDSSTPLVVCGAFRAIFGAIAIDVGKSDDAGSYFWGVHGGQVGGALAM >ONI09330 pep chromosome:Prunus_persica_NCBIv2:G5:17693032:17694488:-1 gene:PRUPE_5G232500 transcript:ONI09330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLRCNLTLMLSTLLFLHVLVEVSSATTITMHNKCSHPVWPGIQPSAGQPILARGGFTLPPNKAYTLRLPPLWSGRLWGRHGCAFDSSGRGRCATGDCGGNLFCSGLGGTPPATLAEITLGNEQDFYDVSLVDGYNLAISIQPFKGSGKCSYAGCISDLNMMCPVGLQVRSHDNRRVVACKSACSAFNSPRYCCTGSFGSPQSCKPTAYSKIFKAACPKAYSYAYDDPTSIATCTRGNYVVTFCPHHR >ONI06045 pep chromosome:Prunus_persica_NCBIv2:G5:4148752:4150144:-1 gene:PRUPE_5G036500 transcript:ONI06045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAQTQDSFIMKPIDQRERTRSRNDVLTRRLKNRERQRRYRARKRLEADMKKPSIIKQSPPPQVEVQMNGFVSNYVPRVHCKRDWKKDARRARVSEYPEVTPNGCAVLTPMSTSESQTVLLSGTTAEPLLDSETHSEISVNQNNFEMHRPKLCRRDWKADARKKKN >ONI06044 pep chromosome:Prunus_persica_NCBIv2:G5:4148752:4151768:-1 gene:PRUPE_5G036500 transcript:ONI06044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPTLDLKAGKDMNAAQTQDSFIMKPIDQRERTRSRNDVLTRRLKNRERQRRYRARKRLEADMKKPSIIKQSPPPQVEVQMNGFVSNYVPRVHCKRDWKKDARRARVSEYPEVTPNGCAVLTPMSTSESQTVLLSGTTAEPLLDSETHSEISVNQNNFEMHRPKLCRRDWKADARKKKN >ONI06112 pep chromosome:Prunus_persica_NCBIv2:G5:4538449:4539993:1 gene:PRUPE_5G041300 transcript:ONI06112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRMWASSTANALRISSASKAHLSPAFSFSRCFSSVLEGLKYASSHEWVKHEGSVATIGITDHAQDHLGEVVFVELPEAGRSVSAGVGFGAVESVKATSDINSPISGDVVEVNSKLTESPGLINSSPYEDGWMIKIKPSNPSELESLLGAKEYTKFCEEEDAAH >ONI07145 pep chromosome:Prunus_persica_NCBIv2:G5:10962616:10963245:1 gene:PRUPE_5G102300 transcript:ONI07145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEASTTLTLGPATPCPQEKVSTELGLAAPCPQDEVSTELTLWTTNKKKRKPETECPVPRKKTNTNLVSWLKPSEAWEIKKTLTPSDLENLLIVETNFIENQVMSFLGDTFSKRVLESKYGARVTVHDRDTFSKHRMVLKLRDSPRRSYILDEDWQQEFVKRRELKEGDEIGVGWYTPSNVPSKAMFTFSVLKRAGQPAPLHDQESV >ONI09098 pep chromosome:Prunus_persica_NCBIv2:G5:17054159:17061023:1 gene:PRUPE_5G217600 transcript:ONI09098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPSFSVKSELSPKLDPESLQQWVVAFCIIRFDLEQGQLIEECYPPGCLTQDEELEVAFSSFPDSVSQHQNRSSIHDCIFFFRFRRRESSQIDASSTELAEIDKKLTPKSPDVKVLRRSKSNNDSNDSRYMYGYVFNRQRHDERLKRGGEQKSVVILSHSPYSSVFRPLLQIMGPLYFDIGRKALEHIAAYVSMWPPPVPGKLMELPIGNAALKVNLPPAHSLPSENGMLFEESASSMAPFLPNNQSVPQGLFHDSDLFGIFRGLLLQLWVLWELLLIGEPMLIIAPTPPQCSEAVAGLVSLVAPLLCSVDFRPYFTIHDPEFAHLNSLQEGDTFPPMILGVTNLFFLKSLRNMPHVISVGSPAPNTNRLPLATRSSTGRISSRPEGFGFQQLSLKKFSPSNLLNAVKLRRDGPLCLMTEHKEAIWSTYSATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRTRTPSEGSSPFVDPPPLIPFNADEFLGSLSARGPGKFVSKRMRSNWLDLYRRFLEGPNFTPWFQRRRAVAEQEQHRLWRQARMKTDIQQLISKMSELEIVDSFNAIERHILEEIQLDQSGRASTDSGATCQKLMGDLQAVFNVLPKDMQQLLLFNPQRAALLKGAPEHTKLPGRPLIQVGVVLSTSPR >ONI09099 pep chromosome:Prunus_persica_NCBIv2:G5:17054159:17061023:1 gene:PRUPE_5G217600 transcript:ONI09099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGYVFNRQRHDERLKRGGEQKSVVILSHSPYSSVFRPLLQIMGPLYFDIGRKALEHIAAYVSMWPPPVPGKLMELPIGNAALKVNLPPAHSLPSENGMLFEESASSMAPFLPNNQSVPQGLFHDSDLFGIFRGLLLQLWVLWELLLIGEPMLIIAPTPPQCSEAVAGLVSLVAPLLCSVDFRPYFTIHDPEFAHLNSLQEGDTFPPMILGVTNLFFLKSLRNMPHVISVGSPAPNTNRLPLATRSSTGRISSRPEGFGFQQLSLKKFSPSNLLNAVKLRRDGPLCLMTEHKEAIWSTYSATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRTRTPSEGSSPFVDPPPLIPFNADEFLGSLSARGPGKFVSKRMRSNWLDLYRRFLEGPNFTPWFQRRRAVAEQEQHRLWRQARMKTDIQQLISKMSELEIVDSFNAIERHILEEIQLDQSGRASTDSGATCQKLMGDLQAVFNVLPKDMQQLLLFNPQRAALLKGAPEHTKLPGRPLIQVGVVLSTSPR >ONI09364 pep chromosome:Prunus_persica_NCBIv2:G5:17796979:17798779:1 gene:PRUPE_5G234400 transcript:ONI09364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDDEKDAVSGNYIPKELTHALASTGAKFIDEVLSGQNERCLENFRMDKHVFYKLCDILQGKGLLRHTNRIKIEEQLAMFMFIIGHNLRTRAVQELFRYSGETISRHFNNVLNAIRAISLDFFQPPGSDVPPEISEDPRFYPYFKDCVGAVDGIHIPVMVGVDEQGPFRNKNGLLSQNVLAACSFDLKFHYVLAGWEGSASDLQVLNSALTRRNKLQTPEGRYYLVDNKYANMPGFIAPYPGVPYHSKEFPSGFHPQDAKELFNQRHSMLRNASDRIFGALKARFPILMAAPPYPLQTQVKLVVAACALHNYMRREKPDDWIFKMYEKDTILQMEESLPPLEVEPMMHFEASAMDIAFGTEELEFTSQLRDSIATEMWDDYIHDLSPM >ONI06822 pep chromosome:Prunus_persica_NCBIv2:G5:9618449:9622564:1 gene:PRUPE_5G083400 transcript:ONI06822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLHTFRLSHALLCFVPFFLAIIVVSSVTEKEILLQFKGNITNDPHNKLASWVLSGNPCEDYHGVFCNSAGFVDKIVLWNSSLGGVLSPALSGLKYLRVLTLFGNSFMGNIPNEYADIQTLWKINFSSNALSGSIPEFIGDLPSIRLLDLSRNGFTGEIPSALFKHCYKTKFVSLSHNILLGSIPESLANCLNLEGFDFSFNNLSGGIPLRICDIPRLDYLSLRSNALSGDVVQQLSTCQSLKLLDLGSNLFKGSAPFGVLGSSNLTYFNVSHNVFNGKIPEIATCSERMEYFDASWNELEGELPLSITNCRSLKVLELGYNRLSGSIPEVLGNLDRLLVIQLCNNSISGTIPKNLASIQLLQVLDLHNLSLVGDIPDDISNCMFLRELDVSGNALEGEIPQNLYNMTYLEILDLHKNQLNGSIPPDLGNLSKLQYLDLSQNSLSGLIPSSLGNLTKLTYFNLSSNKLSGTIPTAIQGYGSYAFIDNPFLCGAPLDKPCSANGNGTLTPTSKKPKALRVPAIIAIVAAAVILSGVCLVSIMNIRARRKKKADVTMVVESTPLGSTDSNVIIGKLVLFSKSLPSRYEDWESGTRALLGKECIIGVGSIGVVYKTTFEGGISIAVKKLETLGRIRNQDEFEQEIGRLGNIQHPNLVAFQGYYWSSTMKLMLSEFVPNGNLYDNLHGLHYPGPSTSRGNSELYWSRRFKIAMGTAKALAYLHHDCRPPILHLNIKSTNILLDEKYEAKLSDYGLNKLLPILDNHGLTKFHNAVGYVAPELAQSLRLSEKCDVYSFGVILLELVTGRKPVERPTANEVVVLCEYVRQLLESGFASDCLDRSLRDLVENELIQVMKLGLICTSELPSKRPSMAEVIQVLESIRNGLES >ONI06823 pep chromosome:Prunus_persica_NCBIv2:G5:9618449:9622564:1 gene:PRUPE_5G083400 transcript:ONI06823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLHTFRLSHALLCFVPFFLAIIVVSSVTEKEILLQFKGNITNDPHNKLASWVLSGNPCEDYHGVFCNSAGFVDKIVLWNSSLGGVLSPALSGLKYLRVLTLFGNSFMGNIPNEYADIQTLWKINFSSNALSGSIPEFIGDLPSIRLLDLSRNGFTGEIPSALFKHCYKTKFVSLSHNILLGSIPESLANCLNLEGFDFSFNNLSGGIPLRICDIPRLDYLSLRSNALSGDVVQQLSTCQSLKLLDLGSNLFKGSAPFGVLGSSNLTYFNVSHNVFNGKIPEIATCSERMEYFDASWNELEGELPLSITNCRSLKVLELGYNRLSGSIPEVLGNLDRLLVIQLCNNSISGTIPKNLASIQLLQVLDLHNLSLVGDIPDDISNCMFLRELDVSGNALEGEIPQNLYNMTYLEILDLHKNQLNGSIPPDLGNLSKLQYLDLSQNSLSGLIPSSLGNLTKLTYFNLSSNKLSGTIPTAIQGYGSYAFIDNPFLCGAPLDKPCSANGNGTLTPTSKKPKALRVPAIIAIVAAAVILSGVCLVSIMNIRARRKKKADVTMVVESTPLGSTDSNVIIGKLVLFSKSLPSRYEDWESGTRALLGKECIIGVGSIGVVYKTTFEGGISIAVKKLETLGRIRNQDEFEQEIGRLGNIQHPNLVAFQGYYWSSTMKLMLSEFVPNGNLYDNLHGLHYPGPSTSRGNSELYWSRRFKIAMGTAKALAYLHHDCRPPILHLNIKSTNILLDEKYEAKLSDYGLNKLLPILDNHGLTKFHNAVGYVAPELVVVLCEYVRQLLESGFASDCLDRSLRDLVENELIQVMKLGLICTSELPSKRPSMAEVIQVLESIRNGLES >ONI06824 pep chromosome:Prunus_persica_NCBIv2:G5:9618449:9622564:1 gene:PRUPE_5G083400 transcript:ONI06824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIPNEYADIQTLWKINFSSNALSGSIPEFIGDLPSIRLLDLSRNGFTGEIPSALFKHCYKTKFVSLSHNILLGSIPESLANCLNLEGFDFSFNNLSGGIPLRICDIPRLDYLSLRSNALSGDVVQQLSTCQSLKLLDLGSNLFKGSAPFGVLGSSNLTYFNVSHNVFNGKIPEIATCSERMEYFDASWNELEGELPLSITNCRSLKVLELGYNRLSGSIPEVLGNLDRLLVIQLCNNSISGTIPKNLASIQLLQVLDLHNLSLVGDIPDDISNCMFLRELDVSGNALEGEIPQNLYNMTYLEILDLHKNQLNGSIPPDLGNLSKLQYLDLSQNSLSGLIPSSLGNLTKLTYFNLSSNKLSGTIPTAIQGYGSYAFIDNPFLCGAPLDKPCSANGNGTLTPTSKKPKALRVPAIIAIVAAAVILSGVCLVSIMNIRARRKKKADVTMVVESTPLGSTDSNVIIGKLVLFSKSLPSRYEDWESGTRALLGKECIIGVGSIGVVYKTTFEGGISIAVKKLETLGRIRNQDEFEQEIGRLGNIQHPNLVAFQGYYWSSTMKLMLSEFVPNGNLYDNLHGLHYPGPSTSRGNSELYWSRRFKIAMGTAKALAYLHHDCRPPILHLNIKSTNILLDEKYEAKLSDYGLNKLLPILDNHGLTKFHNAVGYVAPELAQSLRLSEKCDVYSFGVILLELVTGRKPVERPTANEVVVLCEYVRQLLESGFASDCLDRSLRDLVENELIQVMKLGLICTSELPSKRPSMAEVIQVLESIRNGLES >ONI07551 pep chromosome:Prunus_persica_NCBIv2:G5:12428297:12433322:1 gene:PRUPE_5G127100 transcript:ONI07551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAGKSSVIRETLESLCVSNGWSYGVFWRFDKRNSMLLTMGDAYYEEHMGAVMDSMLPQVHMLGEGIIGQTAFTGKHRWMHSDAPSGEWSSCNSLESQDMFQDDSELRCQFSSGIKTIATISVEPRGVIQFGSTKKIMERSEVLDETKRLFWEMESLDGLIPLENVPSCLNNGTNDLNELFASLISSGNSYNGDVTWTLGDKFKELRGNDTSAMNLHSTSSDDIGSADKTPWFSTWSTESSYLTSFDPQLTSEIRVQDSHESCRNTAQNIQMESTFTSLADLAKPIQGSCGYQMDNQHSLHGFPVEFNPTDFTTNISKFCQVDDLSQWFAASPEQNINGMATTLNDDLSQVMESTSGSSGLVKGDRFIDAPIEHPDNSMHSSITNPFNAGGHENSVFIQNAENGLFDGLGPDFGCGQVGECWEDIMVPAVSGGYLNTGTALTKCFSEPEVGSMTAPRKGLFSELGLEELLNGISTTTSSVFKSSLEDLSSTTRKRKSECSSVNSNQVQFARLAGSSGSMHSTEPLYNLDKTNSLVPKKDFFPKSQVGLWIDDSYSVNARSAAQDKQQQAEEHTKTTRKRARPGESTRPRPKDRQQIQDRMKELRGIIPSGGKCSIDSLLDRTIKYMLFLQSVTKYADKLKQAHEPKLIGKENGVVLKDNNNRSAGNTWALAVEGQTVVCPIIVEDLSPPGQMLIEMLCEEQGFFLEIADIIRGFGLNILKGEMESREDKIWARFIVEANRHVTRIDVFWSLLRLLQQTTNNVVDPTNLPTNIVDSGVPILDSCQQQSLPPPISLS >ONI05440 pep chromosome:Prunus_persica_NCBIv2:G5:800405:810447:1 gene:PRUPE_5G007500 transcript:ONI05440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSAISNPGHILSPNALAHDVKPKKICYSQCHAFFLPPHRSPICRMGFVSGLERKKTLKSRIFASVTDTPVNTSPEKVQLPKGDTWSVHKFGGTCMGSSERIKNVAKIVLSDDSERKFIVVSAMSKVTDMIYDLIYKAQSRDDSYLSALDAVLEKHRSTACDLLDGDELGSFLAQLNHDISNLKAMLRAIYIAGHATESFTDFVVGHGELWSAQMLSCVVRKNGVDCNWMDTREVLIVNPTSSNQVDPDFKESEERLEIWYSKNPSKTIVATGFIASTPKNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILKTLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIIIRNVFNLVVPGTKICRSTEDEDGQGLESFVKGFATIDNLALVNVEGTGMAGVPGTASTIFSAVKDVGANVIMISQASSEHSVCFAVPEKEVNAVSELLKSRFREALNAGRLSQVQVIPNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVVKREDCIRALRAVHSRFYLSRTTIAMGIIGPGLIGGTLLDQLRDQTATLKEEFNIDLRVMGITGSRTMLLSEAGIDLSRWKELQKEKGVVADMEKFVQHIHGNHFIPNTVLVDCTADSSIASHYYDWLRKGIHVVTPNKKANSGPLDQYLKLRALQRQSYTHYFYEATVGAGLPIINTLQGLLETGDKILRIEGIFSGTLSYIFNNFIGRRTFSEVVAEAKRAGYTEPDPRDDLSGTDVCRKVIILARESGLKLELSDIPVESLVPEPLKDSASAEEFMQKLPQFDHDLAKKRQIAEDAGQVLRYVGVVDMVNEEGAVKLQTYKNDHPFAQLSGADNIIAFTTTRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >ONI05441 pep chromosome:Prunus_persica_NCBIv2:G5:800575:809654:1 gene:PRUPE_5G007500 transcript:ONI05441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSAISNPGHILSPNALAHDVKPKKICYSQCHAFFLPPHRSPICRMGFVSGLERKKTLKSRIFASVTVDTPVNTSPEKVQLPKGDTWSVHKFGGTCMGSSERIKNVAKIVLSDDSERKFIVVSAMSKVTDMIYDLIYKAQSRDDSYLSALDAVLEKHRSTACDLLDGDELGSFLAQLNHDISNLKAMLRAIYIAGHATESFTDFVVGHGELWSAQMLSCVVRKNGVDCNWMDTREVLIVNPTSSNQVDPDFKESEERLEIWYSKNPSKTIVATGFIASTPKNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILKTLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIIIRNVFNLVVPGTKICRSTEDEDGQGLESFVKGFATIDNLALVNVEGTGMAGVPGTASTIFSAVKDVGANVIMISQASSEHSVCFAVPEKEVNAVSELLKSRFREALNAGRLSQVQVIPNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVVKREDCIRALRAVHSRFYLSRTTIAMGIIGPGLIGGTLLDQLRDQTATLKEEFNIDLRVMGITGSRTMLLSEAGIDLSRWKELQKEKGVVADMEKFVQHIHGNHFIPNTVLVDCTADSSIASHYYDWLRKGIHVVTPNKKANSGPLDQYLKLRALQRQSYTHYFYEATVGAGLPIINTLQGLLETGDKILRIEGIFSGTLSYIFNNFIGRRTFSEVVAEAKRAGYTEPDPRDDLSGTDVCRKVIILARESGLKLELSDIPVESLVPEPLKDSASAEEFMQKLPQFDHDLAKKRQIAEDAGQ >ONI05439 pep chromosome:Prunus_persica_NCBIv2:G5:800405:810447:1 gene:PRUPE_5G007500 transcript:ONI05439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSAISNPGHILSPNALAHDVKPKKICYSQCHAFFLPPHRSPICRMGFVSGLERKKTLKSRIFASVTVDTPVNTSPEKVQLPKGDTWSVHKFGGTCMGSSERIKNVAKIVLSDDSERKFIVVSAMSKVTDMIYDLIYKAQSRDDSYLSALDAVLEKHRSTACDLLDGDELGSFLAQLNHDISNLKAMLRAIYIAGHATESFTDFVVGHGELWSAQMLSCVVRKNGVDCNWMDTREVLIVNPTSSNQVDPDFKESEERLEIWYSKNPSKTIVATGFIASTPKNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILKTLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIIIRNVFNLVVPGTKICRSTEDEDGQGLESFVKGFATIDNLALVNVEGTGMAGVPGTASTIFSAVKDVGANVIMISQASSEHSVCFAVPEKEVNAVSELLKSRFREALNAGRLSQVQVIPNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVVKREDCIRALRAVHSRFYLSRTTIAMGIIGPGLIGGTLLDQLRDQTATLKEEFNIDLRVMGITGSRTMLLSEAGIDLSRWKELQKEKGVVADMEKFVQHIHGNHFIPNTVLVDCTADSSIASHYYDWLRKGIHVVTPNKKANSGPLDQYLKLRALQRQSYTHYFYEATVGAGLPIINTLQGLLETGDKILRIEGIFSGTLSYIFNNFIGRRTFSEVVAEAKRAGYTEPDPRDDLSGTDVCRKVIILARESGLKLELSDIPVESLVPEPLKDSASAEEFMQKLPQFDHDLAKKRQIAEDAGQVLRYVGVVDMVNEEGAVKLQTYKNDHPFAQLSGADNIIAFTTTRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >ONI05444 pep chromosome:Prunus_persica_NCBIv2:G5:841690:850752:1 gene:PRUPE_5G007800 transcript:ONI05444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDVPLDDKAKRMRDLLSSFYSLDHSMSSPDTSSSSKYATLDAINTTSFDPDQYMHLLVHKSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFICATDTIKQMKSNIVNMEANMEQLLEKIMSVQCRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPARLGKCIKSEAYADAVKFYTGAMPIFKAYGDSSFQDCKRASEEAVTIIIKNLQGKLFSDSESIQARAEAAVLLKQLDFPVDSLKVKLLEKLEQSVAGLQLKIEDIGNASVDSNDTSTDSVPATAHETSVREFAEAIRAYRVIFPDSEMQLTKLAQDLVSRHFETTEQYIKTQIWSAHLLGVLRIIWRDVLLMDDVLHEAALSDYSLEPARVAVKLYVSNKFSHLLSSISDALTKAHTRQKDKGEEYSLQVALEGGKKAVLQGSMDVLLDFRQLLDDNLGLLVKLKDLIIDWVQEGFQDFFRALDGHFLLLSGKNSSATQDQGLTEGIQDDKVLAGLVLVLAQVSIFIEQNAIPRITEEIAASFSGGGARGYEYGPAFVPGEICRIFHSAGEKFLHIYINMRTQRISVLLKKRFTTPNWVKHKEPREVHMFVDLFLQELEVIRSEVKQILPEGIRRHRRADSTGSTASSRSNPLREEKLSRSNTQRARSQLLETHLAKLFKQKVEIFTKVEFTQESVVTTLVKLCLKSLQEFVRLQTFNRSGFQQIQLDIQFLRTPLKEMAEDEAAVDFLLDEVIVAAAERCLDPIPLEPAILDKLIQAKLAKTKEQNPNTP >ONI05445 pep chromosome:Prunus_persica_NCBIv2:G5:841690:850752:1 gene:PRUPE_5G007800 transcript:ONI05445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDVPLDDKAKRMRDLLSSFYSLDHSMSSPDTSSSSKYATLDAINTTSFDPDQYMHLLVHKSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFICATDTIKQMKSNIVNMEANMEQLLEKIMSVQCRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPARLGKCIKSEAYADAVKFYTGAMPIFKAYGDSSFQDCKRASEEAVTIIIKNLQGKLFSDSESIQARAEAAVLLKQLDFPVDSLKVKLLEKLEQSVAGLQLKIEDIGNASVDSNDTSTDSVPATAHETSVREFAEAIRAYRVIFPDSEMQLTKLAQDLVSRHFETTEQYIKTQIWSAHLLGVLRIIWRDVLLMDDVLHEAALSDYSLEPARVAVKLYVSNKFSHLLSSISDALTKAHTRQKDKGEEYSLQVALEGGKKAVLQGSMDVLLDFRQLLDDNLGLLVKLKDLIIDWVQEGFQDFFRALDGHFLLLSGKNSSATQDQGLTEGIQDDKVLAGLVLVLAQVSIFIEQNAIPRITEEIAASFSGGGARGYEYGPAFVPGEICRIFHSAGEKFLHIYINMRTQRISVLLKKRFTTPNWVKLEVIRSEVKQILPEGIRRHRRADSTGSTASSRSNPLREEKLSRSNTQRARSQLLETHLAKLFKQKVEIFTKVEFTQESVVTTLVKLCLKSLQEFVRLQTFNRSGFQQIQLDIQFLRTPLKEMAEDEAAVDFLLDEVIVAAAERCLDPIPLEPAILDKLIQAKLAKTKEQNPNTP >ONI06504 pep chromosome:Prunus_persica_NCBIv2:G5:7912225:7914172:1 gene:PRUPE_5G065000 transcript:ONI06504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLTRNRPTARPWPTRKQPSYRSPLIYLTLLFSLLFFFSYFFSLTPNSLLSNKTSISDSPKCPSLGGGKFLWYAPHSGFSNQLSEFKNAVLMAAILNRTLVVPPVLDHHAVALGSCPKFRVLSANEIRISVWDHIVELIRSGRYVSMADIVDVSSLVSSSLVRVIDFRVFISLWCNVNEDFACYNELDKHASLLERLKQCGSLLSGLNGDVKCLYAVNEDCRTTVWTYQSGNLDGALDSFQPDEQLKKKKKISYVRKRRDVYNTLGPGSEAESATVLAFGSLFTLPYKRSELYIDIHDAPRDQGIKTLIEKIEFLPFAPEILSAGKKFAYGTIKTPFLCAQLRLLDGQFKNHWKATFLKFKQTVDALMQGPLPIHIFVMTDLPKNNWTGSYLGELVRDSRQFKLFFLKERDELIIQTAKRIVDAGHGLKFGTVPKKHDGTGQIEKDCPPGLPDVLLYIEQTVCSCASLGFVGTAGSTIADIIESMRKFGACSS >ONI06568 pep chromosome:Prunus_persica_NCBIv2:G5:8244407:8246084:1 gene:PRUPE_5G068200 transcript:ONI06568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSLSCDSFFFSLCLCILCFSRPCFPARILPNSVTVLTAASDVHNATWRDFERFLDAGRGSQVSGMSELKNYFHRFGYLPNSNFTDIFDTDFESALTLYQSKLSLPVTGRLDSDTISAIISPRCGVSDASQQTALHATRRFAFFNGKPRWVRRNPVTLSYALSPNNMISYLSSSDVQDIFRRSFSRWSAVIPVNFTEAETYESADIKIGFYRGDHGDGEPFDGVLGVLAHAFSPENGRFHLDAAESWAVDFKKDKSKAAVDLESVATHEIGHVLGLAHSSVKGAVMYPSLTPRTKKVDLRIDDVEGVQALYGSNPNFKISSLQSENSYNHAVALDTRSSFKWAISLTLLITLMLRLAT >ONI08389 pep chromosome:Prunus_persica_NCBIv2:G5:14882399:14885986:-1 gene:PRUPE_5G174900 transcript:ONI08389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRGVDFKWYDGFFLSMLATSVVIVAINWKRYHTCTYPLHIWIVVDYTTVFVFRMLMFVDNGLASGMGLDFGWQQRYARFCGRVVVLSILSLLLYPFLWTWTVIGTLWFRKSKDCLPEDGQKWGFLIWLLFSYCALLCVACMSIGKWLTRREAHLLRAQQGIPVSEYGVLVDMIRVPDWAFEAAGQETRGMGQDAAAYQPGLYLTPAQTEAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSAISNLRPESERSSVSVLTANRYVRTQPYSQSYLLRLQGLLRPVCTENAGVGPSGNQADTALETAEYGGVALSTRDPSSPRPVSSTGHIVVVDSTAQQQ >ONI08102 pep chromosome:Prunus_persica_NCBIv2:G5:14003096:14003898:-1 gene:PRUPE_5G158000 transcript:ONI08102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSEPESPEMEPIQANPALRRPRILLAASGSVAAIKFGNLCHSFSEWAEVKAVATRASLHFIDRTSLPKDVILYTDEDEWSSWNKMGDSVLHIELRRWADILVIAPLSANTLGKIAGGLCDNLLTCVVRAWDYSKPFFVAPAMNTLMWKNPFTERHIMSIDELGVSLIPPVTKRLACGDYGNGAMAEPSLIYSTVRLFFESRVQQGGSVV >ONI08101 pep chromosome:Prunus_persica_NCBIv2:G5:14002778:14005516:-1 gene:PRUPE_5G158000 transcript:ONI08101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSEPESPEMEPIQANPALRRPRILLAASGSVAAIKFGNLCHSFSEWAEVKAVATRASLHFIDRTSLPKDVILYTDEDEWSSWNKMGDSVLHIELRRWADILVIAPLSANTLGKIAGGLCDNLLTCVVRAWDYSKPFFVAPAMNTLMWKNPFTERHIMSIDELGVSLIPPVTKRLACGDYGNGAMAEPSLIYSTVRLFFESRVQQGGSVV >ONI05642 pep chromosome:Prunus_persica_NCBIv2:G5:1776742:1781473:1 gene:PRUPE_5G016200 transcript:ONI05642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSWDHWVEEALQKLQHLQLVGSLRPIHLHSAPALEAQKPIEDEFNVFDELQPWDRSAVQVQITEATFQKWVHDIPSSGDDDGIQSFKKLLLFSTNDYLGLGSHPTIGKAAAKVALEHGMGPRSSALICGYTDYHRLLESCLADLKEKEDCLLCPTGFAANTSLMVALGSVGTLLAAGKTPLPDEKIAIFSDALNHASIIDGIRIADKQKSVQIFVYRHCDMNHLDALLSSCKIMKKVVVTDSVFSMDGDVAPMVELVKLRKEHDFLLVIDDAHGTLVFGKNGGGVAEEFNCERDVDICVGTLSKAVGCQGGFIACSKKWKQLIQSRGRSFIFSTSTPVPIAAAAHAALIVARKETWRRRAIWNRVEDFRALTGIPIKSPIISLVVGSEEKALQASQSLLKYGFHVTAIRPPSVPPNSCRLRVTLSAMHTRHDIEKLVDALSRCINFQELM >ONI08167 pep chromosome:Prunus_persica_NCBIv2:G5:14178083:14179099:1 gene:PRUPE_5G161200 transcript:ONI08167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCLSQKLEENNANLCIAKHVINKHLWHAPEEKLKMIMISYESKGRGSTVASSHVLFDARSKGLSSENKNLPCGAFWLDEDQFSSSGLFQLEFLLKAVWLMTVDQLLAGSGTFMQFERNHCWLGS >ONI09152 pep chromosome:Prunus_persica_NCBIv2:G5:17204860:17207029:1 gene:PRUPE_5G220900 transcript:ONI09152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSNQLEQLLVFIFILSPTLLVLVTHAKSTIEACSSSDSCTSLLSYIVPWDSKFSEIAYRFQVNVFDVLAANSINLTIPSPGNQILQAKSLLKIPNSCACVDGIRRSIIAYTVKAADSLDLISQGYGGLVSAEQIRTMNGIGPKNPLTSGQSIMIQLPCTCFNNTNNGVASVYMSYVVQSGESLSSIGLEFGATLMELVSINGLDQPRVDPGDTLAIPFSACSSANLNWYNESLIVPNGSTALTANDCIKCFCRPSSLKLHCSPSGIVGPCSHLQCKESDLFIGDVSIQQNAIGCNVSTCLYRGHNGRKIFRSLENSTHANCSADGEDYSAGSAEQSPNPIVPCISLSPSPLPSAHPPMGVSAYGPSSTHNPNIPNYSTLLTHAPSYSLLVVLFFFL >ONI09153 pep chromosome:Prunus_persica_NCBIv2:G5:17204838:17207070:1 gene:PRUPE_5G220900 transcript:ONI09153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSNQLEQLLVFIFILSPTLLVLVTHAKSTIEACSSSDSCTSLLSYIVPWDSKFSEIAYRFQVNVFDVLAANSINLTIPSPGNQILQAKSLLKIPNSCACVDGIRRSIIAYTVKAADSLDLISQGYGGLVSAEQIRTMNGIGPKNPLTSGQSIMIQLPCTCFNNTNNGVASVYMSYVVQSGESLSSIGLEFGATLMELVSINGLDQPRVDPGDTLAIPFSACSSANLNWYNESLIVPNGSTALTANDCIKCFCRPSSLKLHCSPSGIVGPCSHLQCKESDLFIGDVSIQQNAIGCNVSTCLYRGHNGRKIFRSLENSTHANCSDGEDYSAGSAEQSPNPIVPCISLSPSPLPSAHPPMGVSAYGPSSTHNPNIPNYSTLLTHAPSYSLLVVLFFFL >ONI07992 pep chromosome:Prunus_persica_NCBIv2:G5:13728348:13732233:-1 gene:PRUPE_5G152000 transcript:ONI07992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPATTTMGESESPRSPEAKLGMQVEDLWDVQEPQLSPTEKLNACFESVPVSAFPPAPSNQVIEIKSDTSLAEAVKILSQHKILSAPVVDVDAPEDASWIDRYIGIVEFAGIVVWILHQSEPPSPRTPTSPSSATAIAAAANGLKGLDIAGLELGSDFAATTAGSFFEALTSSEFYKNTQVRDISGSFRWAPFLALQTSNSFLTMLLLLSKYKMKSVPVVDLGDGKIDNVITQSAVIHMLAECAGLQWFESWGTKKLSELGLPMMSADHIVKVYEDEPVLQAFKLMRKKRVGGVPVIEKGGSRAVGNISLRDVQFLLTAPEIYHDYRSITAKNFLTAVEKYLETHHEVYPMLSTMVTCKKDHTIKDLILMLDAEKIHRVYVVDDDGNLEGVITLRDIISRLVHEPRGYFGDFFDGVLPLPENSRV >ONI07991 pep chromosome:Prunus_persica_NCBIv2:G5:13729633:13731931:-1 gene:PRUPE_5G152000 transcript:ONI07991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPATTTMGESESPRSPEAKLGMQVEDLWDVQEPQLSPTEKLNACFESVPVSAFPPAPSNQVIEIKSDTSLAEAVKILSQHKILSAPVVDVDAPEDASWIDRYIGIVEFAGIVVWILHQSEPPSPRTPTSPSSATAIAAAANGLKGLDIAGLELGSDFAATTAGSFFEALTSSEFYKNTQVRDISGSFRWAPFLALQTSNSFLTMLLLLSKYKMKSVPVVDLGDGKIDNVITQSAVIHMLAECAGLQWFESWGTKKLSELGLPMMSADHIVKVYEDEPVLQAFKLMRKKRVGGVPVIEKGGSRAVGNISLRDVQFLLTAPEIYHDYRSITAKNFLTAVEKYLETHHEVYPMLSTMVTCKKDHTIKDLILMLDAEKIHRVYVVDDDGNLEGVITLRDIISRLVHEPRGYFGDFFDGVLPLPENSRV >ONI08284 pep chromosome:Prunus_persica_NCBIv2:G5:14539135:14542982:-1 gene:PRUPE_5G169400 transcript:ONI08284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHTRIDDDDDFGGDFPGAHNNRRPGKKRSFGDLEDEEDDFFGSKQGNSKVEETAPGVATGMILSLRESLQNCKDTLATCQTELEAAKSEIRKWHSSFQNEHFITPGTSPDPKLVINYLQSLKNSEELLKEQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKAQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKEKKVKELNDNIAAVQFTPTSKMGKQLMAKCRTLQEENEEIGNEASEGKMHELSMKLALQKSQNAELRSQFEGLHKHMEGLTNDVERSNEMVRIMQEQLDERDCEIKRLKQELEQKSLIEEEKAEPSSDSRKVSDEVIESEEVTT >ONI08285 pep chromosome:Prunus_persica_NCBIv2:G5:14538585:14543195:-1 gene:PRUPE_5G169400 transcript:ONI08285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHTRIDDDDDFGGDFPGAHNNRRPGKKRSFGDLEDEEDDFFGSKQGNSKVEETAPGVATGMILSLRESLQNCKDTLATCQTELEAAKSEIRKWHSSFQNEHFITPGTSPDPKLVINYLQSLKNSEELLKEQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKAQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKEKKVKELNDNIAAVQFTPTSKMGKQLMAKCRTLQEENEEIGNEASEGKDCTNIWRGSQMTLKDQMKWCVSCKSS >ONI08286 pep chromosome:Prunus_persica_NCBIv2:G5:14539712:14542982:-1 gene:PRUPE_5G169400 transcript:ONI08286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHTRIDDDDDFGGDFPGAHNNRRPGKKRSFGDLEDEEDDFFGSKQGNSKVEETAPGVATGMILSLRESLQNCKDTLATCQTELEAAKSEIRKWHSSFQNEHFITPGTSPDPKLVINYLQSLKNSEELLKEQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKAQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKEKKVKELNDNIAAVQFTPTSKMGKQLMAKCRTLQEENEEIGNEASEGKN >ONI05677 pep chromosome:Prunus_persica_NCBIv2:G5:2008671:2027934:-1 gene:PRUPE_5G018600 transcript:ONI05677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEILAEELLNVEVEIQGVQDQIKILLDQQERLYERQSELKAILEACKESGYPVNGASTTVEDWSGPFEWDSQADDTRFNIFGISAYRANQREIINAVMSGKDVLVIMAAGGGKSLCYQLPAILRDGVALVVSPLLSLIQDQVMGLAALGIPAHMLTSTTSKEEEKLIYKALEKGEGDLKILYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPVIALTATATQKVQSDLMEMLHIPRCVKFVSTVNRPNLFYMVREKSSVGKVVVDEIAEFIRDSCSHNDSGIVYCFSRKECEQVAKELRERGISALHYHADMDVNARENVHMRWSNGKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSQCLLYFRPGDVPRQVLFSTTA >ONI05676 pep chromosome:Prunus_persica_NCBIv2:G5:2008482:2027934:-1 gene:PRUPE_5G018600 transcript:ONI05676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEILAEELLNVEVEIQGVQDQIKILLDQQERLYERQSELKAILEACKESGYPVNGASTTVEDWSGPFEWDSQADDTRFNIFGISAYRANQREIINAVMSGKDVLVIMAAGGGKSLCYQLPAILRDGVALVVSPLLSLIQDQVMGLAALGIPAHMLTSTTSKEEEKLIYKALEKGEGDLKILYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPVIALTATATQKVQSDLMEMLHIPRCVKFVSTVNRPNLFYMVREKSSVGKVVVDEIAEFIRDSCSHNDSGIVYCFSRKECEQVAKELRERGISALHYHADMDVNARENVHMRWSNGKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSQCLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSSFFRHFAEPLQDCNGMCDNCAFSNEVKEVDASRMFF >ONI05674 pep chromosome:Prunus_persica_NCBIv2:G5:2003437:2027934:-1 gene:PRUPE_5G018600 transcript:ONI05674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKDVLVIMAAGGGKSLCYQLPAILRDGVALVVSPLLSLIQDQVMGLAALGIPAHMLTSTTSKEEEKLIYKALEKGEGDLKILYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPVIALTATATQKVQSDLMEMLHIPRCVKFVSTVNRPNLFYMVREKSSVGKVVVDEIAEFIRDSCSHNDSGIVYCFSRKECEQVAKELRERGISALHYHADMDVNARENVHMRWSNGKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSQCLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSSFFRHFAEPLQDCNGMCDNCAFSNEVKEVDASRHAKLIISLLQDTQENDQRLTVLQLVDKIKAKHKELGSELKREEIEQLVIKLILDRSLKEEFQHTAYSTNAYVTIGPLANQVLHGKCSVKLEISSGQNKVAGIKSVKRSCASSGLELKLDELRKELSSVHGGIFPHSVLSTQQINMISAQKPNSMELLENIIGKLKAEKYGSRILEQVNTYANSTQPEDAKEDQDIENRASKRLKSKKHLVLVESSDDES >ONI05675 pep chromosome:Prunus_persica_NCBIv2:G5:2003437:2027934:-1 gene:PRUPE_5G018600 transcript:ONI05675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEILAEELLNVEVEIQGVQDQIKILLDQQERLYERQSELKAILEACKESGYPVNGASTTVEDWSGPFEWDSQADDTRFNIFGISAYRANQREIINAVMSGKDVLVIMAAGGGKSLCYQLPAILRDGVALVVSPLLSLIQDQVMGLAALGIPAHMLTSTTSKEEEKLIYKALEKGEGDLKILYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPVIALTATATQKVQSDLMEMLHIPRCVKFVSTVNRPNLFYMVREKSSVGKVVVDEIAEFIRDSCSHNDSGIVYCFSRKECEQVAKELRERGISALHYHADMDVNARENVHMRWSNGKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSQCLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSSFFRHFAEPLQDCNGMCDNCAFSNEVKEVDASRHAKLIISLLQDTQENDQRLTVLQLVDKIKAKHKELGSELKREEIEQLVIKLILDRSLKEEFQHTAYSTNAYVTIGPLANQVLHVLNLKFLADKIK >ONI05672 pep chromosome:Prunus_persica_NCBIv2:G5:2004124:2027703:-1 gene:PRUPE_5G018600 transcript:ONI05672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEILAEELLNVEVEIQGVQDQIKILLDQQERLYERQSELKAILEACKESGYPVNGASTTVEDWSGPFEWDSQADDTRFNIFGISAYRANQREIINAVMSGKDVLVIMAAGGGKSLCYQLPAILRDGVALVVSPLLSLIQDQVMGLAALGIPAHMLTSTTSKEEEKLIYKALEKGEGDLKILYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPVIALTATATQKVQSDLMEMLHIPRCVKFVSTVNRPNLFYMVREKSSVGKVVVDEIAEFIRDSCSHNDSGIVYCFSRKECEQVAKELRERGISALHYHADMDVNARENVHMRWSNGKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSQCLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSSFFRHFAEPLQDCNGMCDNCAFSNEVKEVDASRHAKLIISLLQDTQENDQRLTVLQLVDKIKAKHKELGSELKREEIEQLVIKLILDRSLKEEFQHTAYSTNAYVTIGPLANQVLHGKCSVKLEISSGQNKVAGIKSVKRSCASSGLELKLDELRKELSSVHGGIFPHSVLSTQQINMISAQKPNSMELLENIIGKLKAEKYGSRILEQVNTYANSTQPEDAKEDQDIENRASKRLKSKKHLVLVESSDDES >ONI05673 pep chromosome:Prunus_persica_NCBIv2:G5:2003382:2027934:-1 gene:PRUPE_5G018600 transcript:ONI05673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKDVLVIMAAGGGKSLCYQLPAILRDGVALVVSPLLSLIQDQVMGLAALGIPAHMLTSTTSKEEEKLIYKALEKGEGDLKILYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPVIALTATATQKVQSDLMEMLHIPRCVKFVSTVNRPNLFYMVREKSSVGKVVVDEIAEFIRDSCSHNDSGIVYCFSRKECEQVAKELRERGISALHYHADMDVNARENVHMRWSNGKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSQCLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSSFFRHFAEPLQDCNGMCDNCAFSNEVKEVDASRHAKLIISLLQDTQENDQRLTVLQLVDKIKAKHKELGSELKREEIEQLVIKLILDRSLKEEFQHTAYSTNAYVTIGPLANQVLHGKCSVKLEISSGQNKVAGIKSVKRSCASSGLELKLDELRKELSSVHGGIFPHSVLSTQQINMISAQKPNSMELLENIIGKLKAEKYGSRILEQVNTYANSTQPEDAKEDQDIENRASKRLKSKKHLVLVESSDDES >ONI05671 pep chromosome:Prunus_persica_NCBIv2:G5:2003383:2027934:-1 gene:PRUPE_5G018600 transcript:ONI05671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEILAEELLNVEVEIQGVQDQIKILLDQQERLYERQSELKAILEACKESGYPVNGASTTVEDWSGPFEWDSQADDTRFNIFGISAYRANQREIINAVMSGKDVLVIMAAGGGKSLCYQLPAILRDGVALVVSPLLSLIQDQVMGLAALGIPAHMLTSTTSKEEEKLIYKALEKGEGDLKILYVTPEKISKSKRFMSKLEKCHHAGRLSLISIDEAHCCSQWGHDFRPDYKSLGILKTQFPNVPVIALTATATQKVQSDLMEMLHIPRCVKFVSTVNRPNLFYMVREKSSVGKVVVDEIAEFIRDSCSHNDSGIVYCFSRKECEQVAKELRERGISALHYHADMDVNARENVHMRWSNGKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSQCLLYFRPGDVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSSFFRHFAEPLQDCNGMCDNCAFSNEVKEVDASRHAKLIISLLQDTQENDQRLTVLQLVDKIKAKHKELGSELKREEIEQLVIKLILDRSLKEEFQHTAYSTNAYVTIGPLANQVLHGKCSVKLEISSGQNKVAGIKSVKRSCASSGLELKLDELRKELSSVHGGIFPHSVLSTQQINMISAQKPNSMELLENIIGKLKAEKYGSRILEQVNTYANSTQPEDAKEDQDIENRASKRLKSKKHLVLVESSDDES >ONI05748 pep chromosome:Prunus_persica_NCBIv2:G5:2498797:2499198:1 gene:PRUPE_5G022500 transcript:ONI05748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWVIQKWCFELLSIQFKAFWNGRKAKTLGGCLVFASFGLFWSILGELSRITKLWWKIFGIELDLVCIVVVGSEDFWAIPYSSFFVGSASCGDVLLVEVQFVFFMMACNTLLD >ONI09014 pep chromosome:Prunus_persica_NCBIv2:G5:16806164:16812067:1 gene:PRUPE_5G212000 transcript:ONI09014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTTSDAESPRPRSGLLRDQVQLVKRKDCDRYEIVPIQDILSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKVLNFMPSIAVISMDNYNDASRIIDGNFDDPRLTDYDTMLENIHGLKAGNPVEVPVYDFKSSSRTGYRTVEVPSSRIVLIEGIYALSERLRPLLDLRVSITGGVHFDLVKRVLRDIQRAGQDPAEIIHQISETVYPMYKAFIEPDLQTAHIKITNKFNPFTGFQNPTYILKSIKAVTVDQIKAVLSDDHKETKEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIASILKRSSHIFCDDKICVKTDWLEQINRQYVQVQGKDRLYVKYVAEQLDLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDFVSSPKEALSRASADRRTKYLNRGASHSFSNQRDKTMSKLTRLAVNSRRFDGRALESPQALSNQGVITQLSEQISTLNERMDEFTSRVEELNSKFSVRKVSASQQNLALQAEACTGSGPTSHFVTGLSNGSLAGSLLPHSSSSSQLVKESPLMEEMLAITRSQRQIMHQIDNLSNLLREYSGERLRQGRADSSGRVTDIDSIVPVILTLAIGGLGFFFFRSLTSPK >ONI09013 pep chromosome:Prunus_persica_NCBIv2:G5:16806121:16812458:1 gene:PRUPE_5G212000 transcript:ONI09013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDTTSDAESPRPRSGLLRDQVQLVKRKDCDRYEIVPIQDILSFEKGFFIVIRACQLLAQKNDGIILVGVAGPSGAGKTVFTEKVLNFMPSIAVISMDNYNDASRIIDGNFDDPRLTDYDTMLENIHGLKAGNPVEVPVYDFKSSSRTGYRTVEVPSSRIVLIEGIYALSERLRPLLDLRVSITGGVHFDLVKRVLRDIQRAGQDPAEIIHQISETVYPMYKAFIEPDLQTAHIKITNKFNPFTGFQNPTYILKSIKAVTVDQIKAVLSDDHKETKEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIASILKRSSHIFCDDKICVKTDWLEQINRQYVQVQGKDRLYVKYVAEQLDLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDFVSSPKEALSRASADRRTKYLNRGASHSFSNQRDKTMSKLTRLAVNSRRFDGRALESPQALSNQGVITQLSEQISTLNERMDEFTSRVEELNSKFSVRKVSASQQNLALQAEACTGSGPTSHFVTGLSNGSLAGSLLPHSSSSSQLVKESPLMEEMLAITRSQRQIMHQIDNLSNLLREYSGERLRQGRADSSGRVTDIDSIVPVILTLAIGGLGFFFFRSLTSPK >ONI08297 pep chromosome:Prunus_persica_NCBIv2:G5:14585135:14588354:-1 gene:PRUPE_5G170300 transcript:ONI08297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSPSKLKLSIMGFAQYKQALRTGFMVTVIILLLFGAYFVFIPGKGHSVSKGPYYTVVVDCGSTGTRVNVYKWLARAVSSKELPILLYSYPDNSTDGMLWKSCKYHCLQTEPGLDKSVGNLSGVRASLEPLITLAEHKVPSEKRRDTPIFVLATAGLRRLAMEDARRVLNDIEAIVKEHTFLYEKSWIRVLSGQEEAYYGWVALNYKMGSFGNHSRSPTLGLLDLGGSSLQIVVEVDDTREDANLVRSKFGFVEHDILAYSLSEFGLNEAFDRTVVMLSHMEQLRESASGIVEIRHPCLHTDIVQNYTCYGCSQLNAPDQKKVTSQVQETKFPSVYLVGVPNWEQCRRLARVAAINSSTADTDHRARSCSDNGSEMINLTAFAHPKARFHALSGFFAVYDKLNLSTRATLTKIWEKGQQVCSRSGSDLSSNSQNAYFTWQYCFRVPYVASLIDDALCLGDKEIIFGPADVTWTLGAALVEGEYLWSSPTRSQSSILALNMEVISSPIFVLVLLLCILLIVYCCQVKLPMIGRKSTVRGQKMID >ONI08298 pep chromosome:Prunus_persica_NCBIv2:G5:14585191:14588294:-1 gene:PRUPE_5G170300 transcript:ONI08298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSPSKLKLSIMGFAQYKQALRTGFMVTVIILLLFGAYFVFIPGKGHSVSKGPYYTVVVDCGSTGTRVNVYKWLARAVSSKELPILLYSYPDNSTDGMLWKSCKYHCLQTEPGLDKSVGNLSGVRASLEPLITLAEHKVPSEKRRDTPIFVLATAGLRRLAMEDARRVLNDIEAIVKEHTFLYEKSWIRVLSGQEEAYYGWVALNYKMGSFGNHSRSPTLGLLDLGGSSLQIVVEVDDTREDANLVRSKFGFVEHDILAYSLSEFGLNEAFDRTVVMLSHMEQLRESASGIVEIRHPCLHTDIVQNYTCYGCSQLNAPDQKKVTSQVQETKFPSVYLVGVPNWEQCRRLARVAAINSSTADTDHRARSCSDNGSEMINLTAFAHPKARFHALSGFFAVYDKLNLSTRATLTKIWEKGQQVCSRSGSDLSSNSQNAYFTWQYCFRVPYVASLIDDALCLGDKEIIFGPADVTWTLGAALVEGEYLWSSPTRSQSSILALNMEVISSPIFVLVLLLCILLIVYCCQVKLPMIGRKSTVRGQKMID >ONI08300 pep chromosome:Prunus_persica_NCBIv2:G5:14585135:14588294:-1 gene:PRUPE_5G170300 transcript:ONI08300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSPSKLKLSIMGFAQYKQALRTGFMVTVIILLLFGAYFVFIPGKGHSVSKGPYYTVVVDCGSTGTRVNVYKWLARAVSSKELPILLYSYPDNSTDGMLWKSCKYHCLQTEPGLDKSVGNLSGVRASLEPLITLAEHKVPSEKRRDTPIFVLATAGLRRLAMEDARRVLNDIEAIVKEHTFLYEKSWIRVLSGQEEAYYGWVALNYKMGSFGNHSRSPTLGLLDLGGSSLQIVVEVDDTREDANLVRSKFGFVEHDILAYSLSEFGLNEAFDRTVVMLSHMEQLRESASGIVEIRHPCLHTDIVQNYTCYGCSQLNAPDQKKVTSQVQETKFPSVYLVGVPNWEQCRRLARVAAINSSTADTDHRARSCSDNGSEMINLTAFAHPKARFHALSGFFAVYDKLNLSTRATLTKIWEKGQQVCSRSGSDLSSNSQNAYFTWQYCFRVPYVASLIDDALCLGDKEIIFGPADVTWTLGAALVEGEYLWSSPTRSQSSILALNMEVISSPIFVLVLLLCILLIVYCCQVKLPMIGRKSTVRGQKMID >ONI08299 pep chromosome:Prunus_persica_NCBIv2:G5:14585135:14587458:-1 gene:PRUPE_5G170300 transcript:ONI08299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSPSKLKLSIMGFAQYKQALRTGFMVTVIILLLFGAYFVFIPGKGHSVSKGPYYTVVVDCGSTGTRVNVYKWLARAVSSKELPILLYSYPDNSTDGMLWKSCKYHCLQTEPGLDKSVGNLSGVRASLEPLITLAEHKVPSEKRRDTPIFVLATAGLRRLAMEDARRVLNDIEAIVKEHTFLYEKSWIRVLSGQEEAYYGWVALNYKMGSFGNHSRSPTLGLLDLGGSSLQIVVEVDDTREDANLVRSKFGFVEHDILAYSLSEFGLNEAFDRTVVMLSHMEQLRESASGIVEIRHPCLHTDIVQNYTCYGCSQLNAPDQKKVTSQVQETKFPSVYLVGVPNWEQCRRLARVAAINSSTADTDHRARSCSDNGSEMINLTAFAHPKARFHALSGFFAVYDKLNLSTRATLTKIWEKGQQVCSRSGSDLSSNSQNAYFTWQYCFRVPYVASLIDDALCLGDKEIIFGPADVTWTLGAALVEGEYLWSSPTRSQSSILALNMEVISSPIFVLVLLLCILLIVYCCQVKLPMIGRKSTVRGQKMID >ONI06748 pep chromosome:Prunus_persica_NCBIv2:G5:9309588:9313065:-1 gene:PRUPE_5G078900 transcript:ONI06748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRSFLRFFFLTLCIAVALLFTWAHLPYGPPYASDLLDCSTNSAWCSSKNRLQSKPPSPTRRLRDHASDTPHHPLDPLTLPEITAVRSILSSHALFASSSSHALHSVDLQEPDKSLVLRWHHGDPLFPRKATVVARVDDMSHVLTVDLTTREVTVEDTASHSGYPTMTLEEMTAATWVPLMNADFNRTIVQRGVDLTDLACLPLSTGWYGKVEEKRRLIKVQCYSTKDTVNFYMRPIEGLTVLVDLDTKQVLEISDKGGSIPIPKAANTDYRYSAQKPNQVLKLLKPISIEQPNGRSFTVEDDHLVKWANWEFHLKPDARAGVIVSQAKVRDPNTGEFRDVMYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMSLDPLNDCPRNAYYMDGVFAAADGTPYVRSNMVCVFESYAGDIAWRHTECPITGMQIREVRPKVTLVVRMAASVANYDYIIDWEFQTDGLIRVKVGLSGILMVKGTSYENVNQVSSPENLHGTLLSENVIGVIHDHYVTFYLDMDVDGSDNSFLKVNLRREQNSPNESPRKSYLKATKTVAKTEKDAQVKLKLYDPSEFHVINPTKRTRVGNPVGYKLVPGGTAASLLDLDDPPQKRGAFTNNQIWVTPYNRSEQWAGGLLVCQSQGEDTLAVWSDRDRPIENKDIVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILRTPPNVETDLPVCVAAASA >ONI07026 pep chromosome:Prunus_persica_NCBIv2:G5:10508753:10514693:-1 gene:PRUPE_5G095700 transcript:ONI07026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFSLTLTSNATSFFPTSTRIPLLSSRTSRRLETQIFPPKNTKFIVFSSKEEPRLDPLDQMEMKFGRLIGEDPKLTLAKILGRKANPEATYMEIEKSFYKNKGKLIEIKEVPFNGSKEVPTTQEKKVPFDGPRKVQSSTSLDGLNLVRPVPKKGVKFEVDYKPRVSEIKNLRRPVAKPVERTKSSVPNVILRKPTSYYEDDDEDMSSRLRIKPNLSVKMRNEQPKEMFSDMTLLRKPQAVSVDKSSENKKEQSSDVDRNVIGDAELEKWREEENDEVSGFTLLEKPIAIGVETKSENDNEQLENQESSATDNVQDNNGLKDFYGSTATSEGTRNSLEESKDDSLIGLQQYEQSTMESNEEVSAVSELSDTNLPVSNVELSIDTALQGKPKRFDIPVKEASVKEAESNLVESGNLLFASPIEGHEDADWVMAENLVKRGDRGDVELISASTRGFVVSFRSLIGFLPYRNLASKWKFLAFESWLRRKGLDPSLYRRNLGIIGSYDIVDKNALLNPSLDPNVVIKNDGEVSPDMKLEELLMIYDQEKIKFLSSFVGQKIKVNVVLANRKFGKLVFSVRPKEKEESVERKRSLMAKLQVGDVVKCCIKKITYFGIFVEVEGVPALIHQTEISWDATVDPSSYFKVGQILEAKVYQLDFSLERIFLSLKEIMPDPLMEALESVVGDRDSVDGRLEAAQADTEWVDVESLIKELQQTEGIQSVLKGRFFLSPGLAPTFQVYMASMFENQYKLLARSENKVQEVIVQASLDKEEMKSVILTCTSRVG >ONI07027 pep chromosome:Prunus_persica_NCBIv2:G5:10508753:10514652:-1 gene:PRUPE_5G095700 transcript:ONI07027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFSLTLTSNATSFFPTSTRIPLLSSRTSRRLETQIFPPKNTKFIVFSSKEEPRLDPLDQMEMKFGRLIGEDPKLTLAKILGRKANPEATYMEIEKSFYKNKGKLIEIKEVPFNGSKEVPTTQEKKVPFDGPRKVQSSTSLDGLNLVRPVPKKGVKFEVDYKPRVSEIKNLRRPVAKPVERTKSSVPNVILRKPTSYYEDDDEDMSSRLRIKPNLSVKMRNEQPKEMFSDMTLLRKPQAVSVDKSSENKKEQSSDVDRNVIGDAELEKWREEENDEVSGFTLLEKPIAIGVETKSENDNEQLENQESSATDNVQDNNGLKDFYGSTATSEGTRNSLEESKDDSLIGLQQYEQSTMESNEEVSAVSELSDTNLPVSNVELSIDTALQGKPKRFDIPVKEASVKEAESNLVESGNLLFASPIEGHEDADWVMAENLVKRGDRGDVELISASTRGFVVSFRSLIGFLPYRNLASKWKFLAFESWLRRKGLDPSLYRRNLGIIGSYDIVDKNALLNPSLDPNVVIKNDGEVSPDMKLEELLMIYDQEKIKFLSSFVGQKIKVNVVLANRKFGKLVFSVRPKEKEESVERKRSLMAKLQVGDVVKCCIKKITYFGIFVEVEGVPALIHQTEISWDATVDPSSYFKVGQILEAKVYQLDFSLERIFLSLKEIMPDPLMEALESVVGDRDSVDGRLEAAQADTEWVDVESLIKELQQTEGIQSVLKGRFFLSPGLAPTFQVYMASMFENQYKLLARSENKVQEVGFASFIDNPTELDHDTLVLPNLKISCF >ONI08754 pep chromosome:Prunus_persica_NCBIv2:G5:16033566:16039071:-1 gene:PRUPE_5G199000 transcript:ONI08754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQAVSVTPAFDHSGAFRDNVGNSGRSRVGLGEVEKKSKKGAELSGSELGESGRASSNGGCGGNYHKSNNSYCESLSFRLSNLQKYVEGEQVAAGWPAWLSAVAGEAIQGWVPLRADAYEKLEKIGQGTYSSVFRARDLETGKIVALKKVRFDNFEPESVSIYLVFEYMEHDITGLLSNPDIKFSEAQIKCYLKQLLSGLEHCHSRGVMHRDIKGSNLLVSNDGILKVADFGLANFCNSGQRQPLTSRVVTLWYRPPELLLGSTDYGASIDLWSVGCAFAELLIGKPVLQGRTEVEQLHKIFKLCGSPPDDYWKKSKLPHATLFKPQQPYDSSLRQTFKDLPTTTLNLLETLLSVEPHKRGTASSALASEYFKMKPYACDPSSLPIYPPSKEIDAKNREEAKRKKIGGRVRGSETRKQTRKSLGCSKLAPAEDLAVQSQVSYKINANNGNILKEENAITGRELPKPSAGKVEESSHVKNASQGDIPFSGPLEVSTSSGFAWAKRRKDDASIRSHTRSISRGHIFNAIEPPIAVHTRNNFDSRRHENGELKYRVRTDSRGHDSYEIAKLAMMKQWGKLEHPDSFDASDGYHSQELSLALYQREEMAAKSDLGFQLQDQGDKVEFSGPLLSQPYRVDELLERHERHIRRTTRNSWFHRGKKQGK >ONI08755 pep chromosome:Prunus_persica_NCBIv2:G5:16034115:16038512:-1 gene:PRUPE_5G199000 transcript:ONI08755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQAVSVTPAFDHSGAFRDNVGNSGRSRVGLGEVEKKSKKGAELSGSELGESGRASSNGGCGGNYHKSNNSYCESLSFRLSNLQKYVEGEQVAAGWPAWLSAVAGEAIQGWVPLRADAYEKLEKIGQGTYSSVFRARDLETGKIVALKKVRFDNFEPESVRFMAREILILRRLDHPNVIKLEGLITSRLSCSIYLVFEYMEHDITGLLSNPDIKFSEAQIKCYLKQLLSGLEHCHSRGVMHRDIKGSNLLVSNDGILKVADFGLANFCNSGQRQPLTSRVVTLWYRPPELLLGSTDYGASIDLWSVGCAFAELLIGKPVLQGRTEVEQLHKIFKLCGSPPDDYWKKSKLPHATLFKPQQPYDSSLRQTFKDLPTTTLNLLETLLSVEPHKRGTASSALASEYFKMKPYACDPSSLPIYPPSKEIDAKNREEAKRKKIGGRVRGSETRKQTRKSLGCSKLAPAEDLAVQSQVSYKINANNGNILKEENAITGRELPKPSAGKVEESSHVKNASQGDIPFSGPLEVSTSSGFAWAKRRKDDASIRSHTRSISRGHIFNAIEPPIAVHTRNNFDSRRHENGELKYRVRTDSRGHDSYEIAKLAMMKQWGKLEHPDSFDASDGYHSQELSLALYQREEMAAKSDLGFQLQDQGDKVEFSGPLLSQPYRVDELLERHERHIRRTTRNSWFHRGKKQGK >ONI06340 pep chromosome:Prunus_persica_NCBIv2:G5:5813999:5819277:-1 gene:PRUPE_5G054400 transcript:ONI06340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSSKARRQFPGHEDPVSLASQTAFTVSEVEALFELYKSISSSVIDDGLINKEEFQLAIFKNRKKENLFANRIFDLFDVKRKGVIDFSDFVKSLNVFHPNAQLEDKIDFSFKLYDLDSTGFIERQEVKQMLIALLCESEMKLADETIELILDKTFLEADVNQDGKIDRYEWNNFVSKNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT >ONI06338 pep chromosome:Prunus_persica_NCBIv2:G5:5813999:5819707:-1 gene:PRUPE_5G054400 transcript:ONI06338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSSKARRQFPGHEDPVSLASQTAFTVSEVEALFELYKSISSSVIDDGLINKEEFQLAIFKNRKKENLFANRIFDLFDVKRKGVIDFSDFVKSLNVFHPNAQLEDKIDFSFKLYDLDSTGFIERQEVKQMLIALLCESEMKLADETIELILDKTFLEADVNQDGKIDRYEWNNFVSKNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT >ONI06339 pep chromosome:Prunus_persica_NCBIv2:G5:5813999:5819508:-1 gene:PRUPE_5G054400 transcript:ONI06339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSSKARRQFPGHEDPVSLASQTAFTVSEVEALFELYKSISSSVIDDGLINKEEFQLAIFKNRKKENLFANRIFDLFDVKRKGVIDFSDFVKSLNVFHPNAQLEDKIDFSFKLYDLDSTGFIERQEVKQMLIALLCESEMKLADETIELILDKTFLEADVNQDGKIDRYEWNNFVSKNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT >ONI06337 pep chromosome:Prunus_persica_NCBIv2:G5:5813999:5819506:-1 gene:PRUPE_5G054400 transcript:ONI06337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSSKARRQFPGHEDPVSLASQTAFSEVEALFELYKSISSSVIDDGLINKEEFQLAIFKNRKKENLFANRIFDLFDVKRKGVIDFSDFVKSLNVFHPNAQLEDKIDFSFKLYDLDSTGFIERQEVKQMLIALLCESEMKLADETIELILDKTFLEADVNQDGKIDRYEWNNFVSKNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT >ONI06535 pep chromosome:Prunus_persica_NCBIv2:G5:8132145:8137227:1 gene:PRUPE_5G066800 transcript:ONI06535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRASHRVCIFSHSTHLNSLHNQRRCFASFLKKLHKTPQARSFSNGGGGGSKGDSFLLPGATVATLLMLGALHVRRMYDDRKVEEALEKGTEFEFQPDVKSTFLRLLPLRSISRCWGLLTSVEIPVSLRPYVYGAWARAFHSNLEEAALPLDEYTSLREFFVRTLKEGSRPIDPDPRCLVSPVDGTVLRFGELRGAGAMIEQVKGFSYSVFSLLGASSFLPLIAKGDVHEESSEPENASREKSKKSWLRVSLASPKVWDPVSTCPIKGLFYCVIYLKPGDYHRIHAPADWNVLVRRHFSGRLLPVNERATRTIRNLYVENERVVLEGLWKEGFMALAAVGATNIGSIELSIEPELRTNQARKKLLHSEPPEERIYEPDGIGRTLKKGDEVAAFNMGSTVVLVFQAPISLSQENGDSASEFRFSVQRGDRVRVGEALGRWRDQ >ONI06534 pep chromosome:Prunus_persica_NCBIv2:G5:8132114:8137398:1 gene:PRUPE_5G066800 transcript:ONI06534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRASHRVCIFSHSTHLNSLHNQRRCFASFLKKLHKTPQARSFSNGGGGGSKGDSFLLPGATVATLLMLGALHVRRMYDDRKVEEALEKGTEFEFQPDVKSTFLRLLPLRSISRCWGLLTSVEIPVSLRPYVYGAWARAFHSNLEEAALPLDEYTSLREFFVRTLKEGSRPIDPDPRCLVSPVDGTVLRFGELRGAGAMIEQVKGFSYSVFSLLGASSFLPLIAKGDVHEESSEPENASREKSKKSWLRVSLASPKVWDPVSTCPIKGLFYCVIYLKPGDYHRIHAPADWNVLVRRHFSGRLLPVNERATRTIRNLYVENERVVLEGLWKEGFMALAAVGATNIGSIELSIEPELRTNQARKKLLHSEPPEERIYEPDGIGRTLKKGDEVAAFNMGSTVVLVFQAPISLSQENGDSASEFRFSVQRGDRVRVGEALGRWRDQ >ONI06533 pep chromosome:Prunus_persica_NCBIv2:G5:8132132:8137392:1 gene:PRUPE_5G066800 transcript:ONI06533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRASHRVCIFSHSTHLNSLHNQRRCFASFLKKLHKTPQARSFSNGGGGGSKGDSFLLPGATVATLLMLGALHVRRMYDDRKVEEALEKGTEFEFQPDVKSTFLRLLPLRSISRCWGLLTSVEIPVSLRPYVYGAWARAFHSNLEEAALPLDEYTSLREFFVRTLKEGSRPIDPDPRCLVSPVDGTVLRFGELRGAGAMIEQVKGFSYSVFSLLGASSFLPLIAKGDVHEESSEPENASREKSKKSWLRVSLASPKVWDPVSTCPIKGLFYCVIYLKPGDYHRIHAPADWNVLVRRHFSGRLLPVNERATRTIRNLYVENERVVLEGLWKEGFMALAAVGATNIGSIELSIEPELRTNQARKKLLHSEPPEERIYEPDGIGRTLKKGDEVAAFNMGSTVVLVFQAPISLSQENGDSASEFRFSVQRGDRVRVGEALGRWRDQ >ONI08204 pep chromosome:Prunus_persica_NCBIv2:G5:14313215:14315432:1 gene:PRUPE_5G164100 transcript:ONI08204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTLFNQIWQEGQLQSSTSSFNIFLVPILFLSIFILFSLTRSSSPSEKNRKLKLPPSPPRLPWIGNLHQLGSFPHRSLRALSKKYGDVMFMHFGKVPTLIVSSAEMAKDVMKTQDIVFCSRPQTTAPSILFYDGHDIAFAPYGEYWRQVRRICVLELLSLKRVHQFQYARVEEVAELVSKIRKASASANGAPINLGELLVSTSNNIICRCILGQKFEDKEDNWFGETTKELMTQVMSFSFGDFFPSLKWIDRARGYLAYLKSIWLEFDKFFDKLIDEHKAAEKEGKPRKKDIVDILLDVQKDGSLDFELTTSNVKAILQDMFVGGSDTSWTAAIWLMSELSQNPRVMKKVQEEVRRVAGKRGYVEESDINEMKYLTCVIKENLRLHPPAPLLLPREAMSDVKLGGFDIPEKTQVFVNAYAVQRDPKVWDKPDEFMPERFEENNVGFVGQDFELIPFGAGRRVCPGLAFGVASAQYVLANMLYWFDWKLPSGGSKLAETLDMSEVYGLTVHKKSPLYLVPTPYSP >ONI05708 pep chromosome:Prunus_persica_NCBIv2:G5:2218690:2221691:1 gene:PRUPE_5G020100 transcript:ONI05708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYIVDSLENAYQEFVIAATDVLEAKETSGAQNTPAIKAALEAFKHKWELFKVACDQAEDFVESMKQRIGSELLMDEASAPVTRKSGQIGPTGLPPINGVRLQHMFKSVRRLLTELQHGSVTAEGYGTPASSTHSHPTTPFDGRFSGDST >ONI08736 pep chromosome:Prunus_persica_NCBIv2:G5:15974165:15978247:1 gene:PRUPE_5G197900 transcript:ONI08736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKELNLKKHSENGGSGGDGFIDRSKVRILLCDNDEHSSEEVFALLMKCSYQVISVRSPRQVIDALNAEAPDIDIILAEVDLPMRKGLKMLKYITWDRELRRIPVIMMSAQDEVSTVVKCLKLGAADYLVKPLRTNELLNLWTHVWRRRRMFSSAAAMEFPVNNVSEFRPDVPGTHDHQTGKSSSGPKKSNLKMGESSSFFTYVKSSTLTCAEDNVVEEVRIKEKHQAFGCQVVDDPQVHVSREAQESYSEGDDLQGSNSSVPDSLSLEGSCTPLGSMLLQHEKNFEKDQSSQVLVHPRNELQQDFSGLPAQAAYPYYIPGVVNQVMMSSSTQVHQKNPHDMQNHSTTAIMPQYSHLPHCPPDVTGMTPFPCYQLSVCLQPGQIPNTHPWPSLGISSSTEVKLNKVDRREAALIKFTKKRMELCFDKKIRYVNRKRLAERRPRVRGQFVRKASGVNLELQSREYSPEDGASGC >ONI05605 pep chromosome:Prunus_persica_NCBIv2:G5:1628918:1633193:-1 gene:PRUPE_5G014800 transcript:ONI05605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTRFGRPKGAQGQSSPNLYVANCGPAVGLSYDTIVSVFRAFGEIKGVYAADESGARVVVCFAEEGCAQNAFNALNGRPCAELGGRSLHIRYSVLQPTSQSQGRVNDSVPVSLLASELNIPGLFLMHDFVTAKEEEEFLAAVDERPWKNLSKRRVQHYGYEFCYETRNVDSRKNLGELPAFVSPILKRIALLPMREDAEAIVLDQLTVNEYPPGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYLDEGLTPRASSSSDIEIESSENNSNFLRRAIYLPPRSLLLLSGEARYAWNHYIPHHKIDMVKDSVIRRASRRVSFTFRKVRASPCQCEFSQYCDSQR >ONI09433 pep chromosome:Prunus_persica_NCBIv2:G5:17972762:17975835:-1 gene:PRUPE_5G237800 transcript:ONI09433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGFLWLWVVICMYNIIWLSFVSVSTAELQRFEHPVKADGSLSFLAIGDWGRRGAYNQSQVAYQMGEIGAKLNIDFVISTGDNFYSRGLTSVNDPAFVESFTEIYTAKSLQKQWYIVLGNHDYRGNALAQLSPVLRKIDSRWLCLRSFLVNTKIADFFFIDTNPFVDKYFLRQKHYQHDWRGVLPRKQYTSNLLKDLDFALRNSSANWKVVVGHHTIRSIGHHGDTKEVVDQILPILEANNVDAYINGHDHCLEHISSTNSQIQFLTSGGGSKAWKGDIKMKRDGSKFYYDGQGFLSAQFTETDAEFAFYDVSGTLLHRLNLSKLLYDSM >ONI09062 pep chromosome:Prunus_persica_NCBIv2:G5:16944721:16945793:1 gene:PRUPE_5G215400 transcript:ONI09062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSGELLISFLMAMRGAVLDWAKRFNIIQGVARGLVYLHHDSCLKVLDEKMSPKFSDFGFARIFQGRQNLANTQSEGCGNSCAAICPWSMPAMGGVFSEKSHVYSFGVLLLKIIGDRKNTSFSYIYLPKLSLSSTTV >ONI09063 pep chromosome:Prunus_persica_NCBIv2:G5:16944721:16945947:1 gene:PRUPE_5G215400 transcript:ONI09063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSGELLISFLMAMRGAVLDWAKRFNIIQGVARGLVYLHHDSCLKVLDEKMSPKFSDFGFARIFQGRQNLANTQSEGCGNSCAAICPWSMPAMGGVFSEKSHVYSFGVLLLKIIGDRKNTSFSYIYLPKLSLSSTTV >ONI07490 pep chromosome:Prunus_persica_NCBIv2:G5:12189467:12193067:1 gene:PRUPE_5G123300 transcript:ONI07490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSKNEAKSMQSHLLFCSINIIAQSFVHCLNEIGGGLSNSFQNQNKQNPLFVSSFSSLSTQTSLSFLVSIKTICSCLGNRVGEREREREREREREREREREIIMAGIAGPCSSGVLFRAREAGGSINGASMAQFDGLRQVESTHMPVSGNKSNGFASSSAPKCRTIKAMASPTVSAPKREKDPKKRTVITGMGLVSVFGSEIDTFYNKLLEGESGITLIDRFDASNFSVQFAGQIRDFSSKGYIDGKNDRRLDDCWRYGIVAGKKALEDANLGPQVLETMDRTRIGVIVGTGMGGLTAFSAGVESLIQKGYKKISPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVVGGTEAAVMPTGVGGFIACRALSQRNDEPHRASRPWDKDRDGFVMGEGAGVLVMESLESALNRGAPIIAEYLGGAVTCDAHHMTDPRSDGLGVSSCITKSLEDAGVSPEEVNYVNAHATSTLAGDLAEFSAIKKVFKDTSEIKINGTKSMIGHGLGAAGGLEAIATIKAINTGWLHPTINQDNLEPAVTIDTVPNVKKQHEVNVAISNSFGFGGHNSVVVFAPFSP >ONI06821 pep chromosome:Prunus_persica_NCBIv2:G5:9611631:9616197:1 gene:PRUPE_5G083300 transcript:ONI06821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYDESEDRGEVVPELILRIGGEDDKRDYVKLRGDHGDCGPAEGVEGPVEPSPPKKLASLWYWIRLVLLVMCLVLLAGVFVKWVGPFFMDKEIIPIITWETKTFSTPVLAVLVFASVALFPTLLLPSSPSMWVAGMTFGYGYGFLLIMSAAAVGVSLPFFMGSLFYRKIQGWLEKYPKRASVLRSAGEGNWFHQFRAVTLIRISPFPYIIYNYCAVATNVKYVPYLLGSLAGMVPETFVAIYTGILIRTLADASHEHHGLSAPQIIFTVVGFSLTVATTIFFTVYAKRQLKELQKDDEPLLQ >ONI08345 pep chromosome:Prunus_persica_NCBIv2:G5:14725058:14733954:1 gene:PRUPE_5G172800 transcript:ONI08345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTEDPVLGINDIKEREEENEKGNTQVVKTEEVGQSERDMASATGSSIHRSGSRPQLDLSKAAIQGNFEERDPTILLPNQSDDLSHLALDIGGSLIKLVYFSRHEDQSIDDKRKKTLKERLGISNGNRRSYPILGGRLHFVKFETNKINECLDFIYSKQLHRGGMDARIWNPDAPTNENAVIKATGGGAYKFADLFKERLGVSLDKEDEMNCLVSGANFLLKAIRHEAFTHMEGHKEFVQIDQNELFPYLLVNIGSGVSMIKVDGDGKFQRISGTNVGGGTYWGLGRLLTKCKSFDELLELSQLGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISENKELEDYSPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVQFWSKGDAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHHLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVRKGTEITAPVPMAPTGTTGLGGFEVPSSKGGTLRSDASALNIGVLHLVPTLEVFPLLADPKTYEPNTIDLSDHGELEYWFTVLSEHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDAYRSIKQRENEASLAVLADLLMELDSMTEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDVFKERMLGSGDKKPRPHKRALLFVDNSGADVILGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPEIVAEAAKHCDILRRAAEAGGLLVDAMVSTLDDSKGHSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKEADLIILEGMGRALHTNFNARFKCDALKLAMVKNQRLAEKLIKGNIYNCVCRYEPLS >ONI08346 pep chromosome:Prunus_persica_NCBIv2:G5:14724437:14733954:1 gene:PRUPE_5G172800 transcript:ONI08346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTEDPVLGINDIKEREEENEKGNTQVVKTEEVGQSERDMASATGSSIHRSGSRPQLDLSKAAIQGNFEERDPTILLPNQSDDLSHLALDIGGSLIKLVYFSRHEDQSIDDKRKKTLKERLGISNGNRRSYPILGGRLHFVKFETNKINECLDFIYSKQLHRGGMDARIWNPDAPTNENAVIKATGGGAYKFADLFKERLGVSLDKEDEMNCLVSGANFLLKAIRHEAFTHMEGHKEFVQIDQNELFPYLLVNIGSGVSMIKVDGDGKFQRISGTNVGGGTYWGLGRLLTKCKSFDELLELSQLGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISENKELEDYSPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVQFWSKGDAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHHLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVRKGTEITAPVPMAPTGTTGLGGFEVPSSKGGTLRSDASALNIGVLHLVPTLEVFPLLADPKTYEPNTIDLSDHGELEYWFTVLSEHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDAYRSIKQRENEASLAVLADLLMELDSMTEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDVFKERMLGSGDKKPRPHKRALLFVDNSGADVILGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPEIVAEAAKHCDILRRAAEAGGLLVDAMVSTLDDSKGHSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKEADLIILEGMGRALHTNFNARFKCDALKLAMVKNQRLAEKLIKGNIYNCVCRYEPLS >ONI05878 pep chromosome:Prunus_persica_NCBIv2:G5:3239140:3242924:-1 gene:PRUPE_5G027800 transcript:ONI05878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTMKRCRCWFNGISLFLHPLIITVLIISMCMANIGNVDAAFSNLTTIPFNQGYSPLFGDGNLVRSPDGKGVRLLLDRFTGSGFISSNLYNHGFFSANIKLPSDYTAGICVAFYTSNADVFEKSHDELDIEFLGNTEGKPWRFQTNIYGNGSTNRGREERYRLWFDPTKDFHRYSILWTPQITIFYVDDVPIREVERREEMGGDYPSKPMSLYTTIWDASNWATSGGKYKVNYKYAPFVAEFKDLVLDGCPADPIQQIPAAEACAEKYALLATQDYSVITPQRRAAMRRFRQRYMYYSYCYDSLRYPVPPPECVIIAAERARFKDTGRLKFGGSHKRQSKRRTRNRNRVLPDSDVTPY >ONI09241 pep chromosome:Prunus_persica_NCBIv2:G5:17436217:17437773:1 gene:PRUPE_5G226000 transcript:ONI09241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTMESRSYMTCGLKVDTKSGGWHKCLTKMLKKIRGASYNVDAEAGMAYISGKVNPTKLLRRLVQAGKEAEICWVRTGDQCTNYDGYGEGNIVNANGYYDHHSYYRAGAVDPYMDGYNGPYGQRSSYYPYGHYYPQAPPYTYY >ONI09240 pep chromosome:Prunus_persica_NCBIv2:G5:17436217:17438187:1 gene:PRUPE_5G226000 transcript:ONI09240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTMESRSYMTCGLKVDTKSGGWHKCLTKMLKKIRGASYNVDAEAGMAYISGKVNPTKLLRRLVQAGKEAEICWVRTGDQCTNYDGYGEGNIVNANGCINNSFPAPAIYLISLARQDFRL >ONI09239 pep chromosome:Prunus_persica_NCBIv2:G5:17436205:17437773:1 gene:PRUPE_5G226000 transcript:ONI09239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTMESRSYMTCGLKVDTKSGGWHKCLTKMLKKIRGASYNVDAEAGMAYISGKVNPTKLLRRLVQAGKEAEICWVRTGDQCTNYDGYGEGNIVNANGCINNSFPAPAIYLISLARQDFRL >ONI07557 pep chromosome:Prunus_persica_NCBIv2:G5:12449563:12450126:1 gene:PRUPE_5G127600 transcript:ONI07557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDRSEGNGVEGATLGTSFGVLFGAVIQVKDKTKMFKCILEYLESTIDSLKPFIEEMVEYNKVLHLSKEELGNFITLMEKGAQLIHKCSKIRKWASYKKYEYANKLLRLDESLQVMLNIFRVQLVRDVRESLVSLSNIEAMIKRMEGSDLIQNDQILSIGGSAVPEVYLHRPGCIECTWNTRCAVP >ONI09017 pep chromosome:Prunus_persica_NCBIv2:G5:16819181:16824580:-1 gene:PRUPE_5G212300 transcript:ONI09017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLILLLLWSTTPRVTDHRLRGSTSTSSSLSSSLALPQRTSTITGQVVQMLNEEIKLRNEELEAKDETIRKLREELEAN >ONI08654 pep chromosome:Prunus_persica_NCBIv2:G5:15715042:15716108:-1 gene:PRUPE_5G191800 transcript:ONI08654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENKVTLYGMWATKYFNSQKLALRLKGIPYEYVQEDLRNKSPLLLKLNPVHQKVPVLVHNGKPIVESLVILEYIDETWETGPRLVCESMVSVVKTNGDAQDKTMKQVTETMKLLEEGLKEVVIFSHFGSYEAQEEALGLKYINPEKTPLISSSITALTEIPGGERGAQSS >ONI07955 pep chromosome:Prunus_persica_NCBIv2:G5:13614308:13614523:-1 gene:PRUPE_5G149500 transcript:ONI07955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVVIAVVLFVVLTPGLLFQIPGKGRVVEFGNMQTSGASIVVHAVIYFGLITIFLIAIGVHIYAG >ONI07525 pep chromosome:Prunus_persica_NCBIv2:G5:12329131:12332669:-1 gene:PRUPE_5G125800 transcript:ONI07525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVTQILLNAQAVDGNVRKHAEENLRQFQEQNLPSFLLSLAGELANDDKPVESRKLAGLILKNALDAKEQHRKFELGQRWLSLDPSVKAQIKACLLKTLSSPAHDARSTASQVIAKLAGIELPLKQWPELIEALLSNNNQLPAHTRQATLETLGYICEEVSPDVVEQDQVNKMLTAVVQGMNSSETNNDVRLAATRALHNALGFAQANFSNDMERDYIVRVVCEATLSPEVKIRQAAFECLVSISSTYYEKLAPYIQDIFSITAKAVKEDEEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSVIPCYYFIKQALPALIPLLLETLLKQEEDQDQDEVAWNVAMAGGTCLGLVARTVGDDVVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLMPLVNVALNFMLNALMKDPNNHVKDTTGWTLGRIFEFLHGSTLETPIITQANCQQIITVLLQSMKDVPNVAEKACGALYFLAQGFEDAGPSSSPLAPFFQDIIQALLDATHREDAGESRLRTAAYEALNEVVRCSTDDTAPMVMQLVPLIMMELHQTLEAQKLSSDEREKQNELQGLLCGCLQVIIQKLGSSEPTKYVFLQYADQMMGLFLRVFACKSATAHEEAMLAIGALVYSTGADFAKYMTEFYKYLEMGLQNFEDYQVCAITVGVVGDICRALEDKILPFCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDLALAIGENFEKYLMYAMPMLQSAAELSAHTSGVDDDMIEYTNTLRNGIMEAYSGILQGFKGSAKTHLLMPYAPHVLQFLDSLYIEKDMDDFVIKTAIGLLGDLADTLGSAVGSLIQQSVSARDFLNECLTSDDPSIKEAAEWVKIAISRATNF >ONI06780 pep chromosome:Prunus_persica_NCBIv2:G5:9401311:9405175:-1 gene:PRUPE_5G080400 transcript:ONI06780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNTTTQAVGVLGVDTSASADEAAAKAVHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSVAKPISSLSPSSTINLPPSPTPVHHNHRKRSSSSVSVSASTSSYHVPPLAIVDPTRFCGELTYSPTTATAQTAVTAVTHQPHLVLSGGKDDLGALAMLEDSVKKLKSPKTSPGPTLSKTQVEFALDFLADWVFESCGSVSFSSLEHPKFRAFLNQVGLPSISRREFTGSRLDAKFEEAKAESEARIRDAMFFQVASDGWKNKSFGAFGEDGLVNLTVNLPNGTSLYRRAVFVGGSVPSTYAEEVLWDTVTSICGNVVQQCVGIVADKFKSKALRNLETQNHWMVNLSCQFQGFNSLIKDFSKELPLFKAVTENCFKLANFVNNKSQVRSSFHKYQSQEYGHAGLLRVPLREFEMFNFGSVHVMLEDILSSARALQLVLLDESYKVASMEDPTAREVAEMIGDVGFWNELEAVHSLVKLIKDMAQEIETERPLVGKCLPLWDELRAKVKDWCSNFHIAEEPVEKVIERRFKKNYHPAWAAAFILDPLYLIRDNSGKYLPPFKLLTPEQEKDVDKLITRLVTREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLLRWVSAHGHSRVGMDKAQKLIFIAAHSKLERRDFSCDEDKDAELLALANGAIFCILSRRCSVIQLS >ONI06779 pep chromosome:Prunus_persica_NCBIv2:G5:9401091:9404810:-1 gene:PRUPE_5G080400 transcript:ONI06779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNTTTQAVGVLGVDTSASADEAAAKAVHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSVAKPISSLSPSSTINLPPSPTPVHHNHRKRSSSSVSVSASTSSYHVPPLAIVDPTRFCGELTYSPTTATAQTAVTAVTHQPHLVLSGGKDDLGALAMLEDSVKKLKSPKTSPGPTLSKTQVEFALDFLADWVFESCGSVSFSSLEHPKFRAFLNQVGLPSISRREFTGSRLDAKFEEAKAESEARIRDAMFFQVASDGWKNKSFGAFGEDGLVNLTVNLPNGTSLYRRAVFVGGSVPSTYAEEVLWDTVTSICGNVVQQCVGIVADKFKSKALRNLETQNHWMVNLSCQFQGFNSLIKDFSKELPLFKAVTENCFKLANFVNNKSQVRSSFHKYQSQEYGHAGLLRVPLREFEMFNFGSVHVMLEDILSSARALQLVLLDESYKVASMEDPTAREVAEMIGDVGFWNELEAVHSLVKLIKDMAQEIETERPLVGKCLPLWDELRAKVKDWCSNFHIAEEPVEKVIERRFKKNYHPAWAAAFILDPLYLIRDNSGKYLPPFKLLTPEQEKDVDKLITRLVTREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLLRWVSAHGHSRVGMDKAQKLIFIAAHSKLERRDFSCDEDKDAELLALANAEAFDKDIIGLERPP >ONI06778 pep chromosome:Prunus_persica_NCBIv2:G5:9400278:9405175:-1 gene:PRUPE_5G080400 transcript:ONI06778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNTTTQAVGVLGVDTSASADEAAAKAVHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSVAKPISSLSPSSTINLPPSPTPVHHNHRKRSSSSVSVSASTSSYHVPPLAIVDPTRFCGELTYSPTTATAQTAVTAVTHQPHLVLSGGKDDLGALAMLEDSVKKLKSPKTSPGPTLSKTQVEFALDFLADWVFESCGSVSFSSLEHPKFRAFLNQVGLPSISRREFTGSRLDAKFEEAKAESEARIRDAMFFQVASDGWKNKSFGAFGEDGLVNLTVNLPNGTSLYRRAVFVGGSVPSTYAEEVLWDTVTSICGNVVQQCVGIVADKFKSKALRNLETQNHWMVNLSCQFQGFNSLIKDFSKELPLFKAVTENCFKLANFVNNKSQVRSSFHKYQSQEYGHAGLLRVPLREFEMFNFGSVHVMLEDILSSARALQLVLLDESYKVASMEDPTAREVAEMIGDVGFWNELEAVHSLVKLIKDMAQEIETERPLVGKCLPLWDELRAKVKDWCSNFHIAEEPVEKVIERRFKKNYHPAWAAAFILDPLYLIRDNSGKYLPPFKLLTPEQEKDVDKLITRLVTREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLLRWVSAHGHSRVGMDKAQKLIFIAAHSKLERRDFSCDEDKDAELLALANEAFDKDIIGLERPP >ONI07754 pep chromosome:Prunus_persica_NCBIv2:G5:12968715:12973735:-1 gene:PRUPE_5G137600 transcript:ONI07754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGAGGAQTHYGVGAAEDMGASAAAAAAGPSSSMAAAAAEAGDQAQLVEAASPISSRPPASASVNLDELMTLSGAAAAAEDALAASRDEADRGGGGVGSSGNRWPRQETLALLKIRSEMDVSFRDATLKGPLWEDVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYKFFSELEALHGTTAATSSVNVSASPSIHVTHASPNPVSIGFSNPMPISSFRMSPTIPVMPSQQPPATFPVMPSSQPPQTAATTATPMDINFSSNSSSSSPGTDDEDDDDDVEGEPSSRKRKRGGASTSGSGSTRKMMEFFEVLMKQVMQKQETMQQRFLEVIEKREQDRTIREEAWKRQEMARLTREHELMSQERAISASRDAAIISFLQKITGQTIQLPPPVNVHSAPPPPVPPSVPVVTPLAQQSVQPPIQTSYHQTTPQQQQPPQQQHGQQVRHHQQQSQNLQVVMAVPEQQVQPPQENIASGGGAGGSLEPASSSRWPKAEVLALIKLRSGLESRYQEAGPKGPLWEEISAGMGRMGYKRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHELDALYRKRILGGGGGGGSSSSLGNQNRLEQPQQHQLQLENPKSDSATQPQDRSLEAQPSVPVMPQTQEAVVATDQSENKNGDQSANVENLFGEATDEAAKKKTL >ONI07753 pep chromosome:Prunus_persica_NCBIv2:G5:12969480:12972912:-1 gene:PRUPE_5G137600 transcript:ONI07753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGAGGAQTHYGVGAAEDMGASAAAAAAGPSSSMAAAAAEAGDQAQLVEAASPISSRPPASASVNLDELMTLSGAAAAAEDALAASRDEADRGGGGVGSSGNRWPRQETLALLKIRSEMDVSFRDATLKGPLWEDVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYKFFSELEALHGTTAATSSVNVSASPSIHVTHASPNPVSIGFSNPMPISSFRMSPTIPVMPSQQPPATFPVMPSSQPPQTAATTATPMDINFSSNSSSSSPGTDDEDDDDDVEGEPSSRKRKRGGASTSGSGSTRKMMEFFEVLMKQVMQKQETMQQRFLEVIEKREQDRTIREEAWKRQEMARLTREHELMSQERAISASRDAAIISFLQKITGQTIQLPPPVNVHSAPPPPVPPSVPVVTPLAQQSVQPPIQTSYHQTTPQQQQPPQQQHGQQVRHHQQQSQNLQVVMAVPEQQVQPPQENIASGGGAGGSLEPASSSRWPKAEVLALIKLRSGLESRYQEAGPKGPLWEEISAGMGRMGYKRSSKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHELDALYRKRILGGGGGGGSSSSLGNQNRLEQPQQHQLQLENPKSDSATQPQDRSLEAQPSVPVMPQTQEAVVATDQSENKNGDQSANVENLFGEATDEAAKKPEDIVKELMQQEVHDHLQQLAVDDYDRIEEANSDNIMDQEEDMEDDDIDEEDDEEMEEERKMAYKIEFQKPNTTGPSSNGGGNGAASFLAMVQ >ONI07945 pep chromosome:Prunus_persica_NCBIv2:G5:13580451:13583372:-1 gene:PRUPE_5G148900 transcript:ONI07945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEELTEQETALYDRQIRVWGAGAQRRLSKAHILVCGITGTIAEFCKNIVLAGVGSLTLVDDRAVTEEALSANFLIPSDENVCAGKTLTELCRDSLKDFNPMVRVSVEKGDLSSFGAEFYSKFDVVVVSCCSFTTKKLINEKCRKLSKRVAFYTVDCRDSCGEIFVDLQHHKYSKQKREETIECELHYPSFEESISIPWKALPRKFSKLYFAMRVIERFEEVEQRKPGELSIADLPAVVKLKKELCVAQSLNESHIPNVLLERLVTDTREFPPVCPIIGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGIIEDVSGKP >ONI07946 pep chromosome:Prunus_persica_NCBIv2:G5:13580082:13583589:-1 gene:PRUPE_5G148900 transcript:ONI07946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEELTEQETALYDRQIRVWGAGAQRRLSKAHILVCGITGTIAEFCKNIVLAGVGSLTLVDDRAVTEEALSANFLIPSDENVCAGKTLTELCRDSLKDFNPMVRVSVEKGDLSSFGAEFYSKFDVVVVSCCSFTTKKLINEKCRKLSKRVAFYTVDCRDSCGEIFVDLQHHKYSKQKREETIECELHYPSFEESISIPWKALPRKFSKLYFAMRVIERFEEVEQRKPGELSIADLPAVVKLKKELCVAQSLNESHIPNVLLERLVTDTREFPPVCPIIGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGIIEDVSGKP >ONI06650 pep chromosome:Prunus_persica_NCBIv2:G5:8734621:8736923:1 gene:PRUPE_5G072700 transcript:ONI06650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSTSGSLGPSGLDLTQAFFKPISGAASPSSTKRHTKISVIGAGNVGMAIAQTILTQDLADELVLVDAKPEKLRGEMLDLQHAAAFLPRTKIIADVDYAVTHGSDLCIVTAGARQILGESRLNLLHRNVALFRNVIPPLAKYSPDTILLIVSNPVDILTYVAWKLSGFPSSRVVGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGVPVLSFLDKQEIAYEKETLESIHKVVIDSAYEVISLKGYTSWAIGYSVAALAWSILRDQRKIHPVSVLAKGFYGVDGGDVFLSLPAQLGRGGVLGVTNVHLTDEETQRLRDSANTILEQQSQLGL >ONI05488 pep chromosome:Prunus_persica_NCBIv2:G5:948306:954211:-1 gene:PRUPE_5G009300 transcript:ONI05488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAYTDQLEAYFKRADLDGDGRISGAEAVAFFQGSNLPKQVLAQIWMHADQNKTGFLGRPEFYNALRLVTVAQSKRELTPDIVKAALYGPAAAKIPAPQINLPPTSAPQSNPMAATSAPQMGMGTPPTSQNFGFRGPGVPNTTMNQNYFPPQQNQSLRPPQAIPTGMPTGSHSRPPQGVGGMGAPSVLNSNVSSNWLSGSTGTPPAGPRGLSPSVPSSTPKSQPPVSTSSLPAANDSKALVVSGNGFASNSAFSGDLFSATPAQPKQESSGSTYSARSTPNSSATVPVSSGPQSSSKLSALDSLSAFTMQPSGTQFQRPQGPLNHSQQVSAPASSSFASSGVSVGAGISTSENSQIPWPKMKPSDVQKYSKVFMEVDTDRDGRITGDQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCFSLYLMERYREGRPLPGTLPHNVMFDETLLSMTGQPKVPYGNAAWSANPGFGQHQGMQGSQMMAPAAGLRPPMQLSTPQADGALQPNQQNLRVQGMEGLSTTQLDNGKQDSSNSKPEEPKDAGKKVEQTEHVILDSREKMEFYRTKMQELVLYKSRCDNRLNEITERAIADKRESESLAKKYEEKYKQVAEIASKLTIEEATFREVQERKMELHQAIVKMEQGGSADGILQVRADRIQYDLEELVKALSERCKKHGLNMKSSAIIELPIGTYRLILFPLFWDVFT >ONI05487 pep chromosome:Prunus_persica_NCBIv2:G5:946150:954432:-1 gene:PRUPE_5G009300 transcript:ONI05487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAYTDQLEAYFKRADLDGDGRISGAEAVAFFQGSNLPKQVLAQIWMHADQNKTGFLGRPEFYNALRLVTVAQSKRELTPDIVKAALYGPAAAKIPAPQINLPPTSAPQSNPMAATSAPQMGMGTPPTSQNFGFRGPGVPNTTMNQNYFPPQQNQSLRPPQAIPTGMPTGSHSRPPQGVGGMGAPSVLNSNVSSNWLSGSTGTPPAGPRGLSPSVPSSTPKSQPPVSTSSLPAANDSKALVVSGNGFASNSAFSGDLFSATPAQPKQESSGSTYSARSTPNSSATVPVSSGPQSSSKLSALDSLSAFTMQPSGTQFQRPQGPLNHSQQVSAPASSSFASSGVSVGAGISTSENSQIPWPKMKPSDVQKYSKVFMEVDTDRDGRITGDQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCFSLYLMERYREGRPLPGTLPHNVMFDETLLSMTGQPKVPYGNAAWSANPGFGQHQGMQGSQMMAPAAGLRPPMQLSTPQADGALQPNQQNLRVQGMEGLSTTQLDNGKQDSSNSKPEEPKDAGKKVEQTEHVILDSREKMEFYRTKMQELVLYKSRCDNRLNEITERAIADKRESESLAKKYEEKYKQVAEIASKLTIEEATFREVQERKMELHQAIVKMEQGGSADGILQVRADRIQYDLEELVKALSERCKKHGLNMKSSAIIELPIGWQPGIQDGAAVWDEDWDKFEDEGFANNLTIDASAKAQSVSVQRDKASPDRSSTPDSSFADGKSRNGEHALESESAFTHGEDEYARSPNGSPAGRTAPESPSQEFSDVHYGKSFEADAETHGSFDESTWGAFDNNDDTDSVWGFNTKGSDSEKHRDFFGSDDFGLHPVRTGSPHAETTFQKKSLFFEDSVPSTPLSKFGNSPRYSEAGDHYFDNFSRFDSFSSSRHDGGFSSQPERFTRFDSMNSTRDFGHTRFDSISSSKDFGQGREQLTRFDSINSTKDFGQSAFSFDETDPFGSSGPFKVSSESQTSKKGSDNWSAF >ONI08314 pep chromosome:Prunus_persica_NCBIv2:G5:14628747:14634843:1 gene:PRUPE_5G171100 transcript:ONI08314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEELYGLASSTPASGFSSDQYYSSSMAMPENLTVPDHHQHHQHQVEVDCYDYYHKSAFEPLAAVLGDRMPGLQRPDPVFSGCSSGVSDAASAVAVGINNQRERGGGCGLGEEVLCEMNAKIASHPLYPKLLQAYIDCQKVGAPPEVASVLDEIRRESDHLICRRSSTSASTCMATADPELDAFMETYCDILLKYKSDLTRPFDEAITFLNKMETQLITLSSNNTTTPNDGGGSSDEEDLSGGETSPRTGEEHELKDKLLRKYSGYISTLKHEFSKKKKKGKLPREARQTLFDWWNLHDKWPYPTEADKISLAQVTGLDQKQINNWFINQRKRHWKPSENMQFAVMDTIYRPCFTKD >ONI09019 pep chromosome:Prunus_persica_NCBIv2:G5:16825794:16827623:-1 gene:PRUPE_5G212500 transcript:ONI09019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPVPVYYTTSVLLKACRSNHVVGKADIPPPQIQLPTPTTLVGPPLLSRLTANNYNDGSNKNPIPNPCLRLFFDIKPPAYWRGTPQFSDDYLQQLLPQAWSHNPLTTLKLIFNLCHRRGKSDEQAFYTAAYWLYQYHPKTLACNVVAFAASFGTFPDLLQILYRTLQGKDQLPSACFYLPITQGIEGRTWTRRRREKILAMAKKAVDRYQLDPNYRLLHDAVSDIYALCLKSDIQSLKNHRITSFDEPECLEITRAADFFPHIDSSYDRATLLCESIAKKVLPQGGGGVESEEANDDYAYRVRKRLWKEVLVPLNKALATPAYTGGNKWGYDPGFKPEPCAVETYLEDVVKAGKSKIKAGALLPNEIIGYLKRRMDNEDDDVHDLMAAADLQWKTMVEDIYSKQGKFKKCLVVCDVGSNNEMSVGLGLLLSQLSEEPWNGKVITYNENPRLVSIQGDDLKSKYEFMTTKLGPWDVEVNFEKVFDLILKVAVDENLKPEQMIERVYVFTPSRGVYNRWETSDFEAMQSKFKEKGYGDVVPHIVYWNIRARMSVLGMPNTQPGLGLTMLSGFVNNNLVNFFLDNGGDFGPEHAMEAAISGQDYQNLVIVD >ONI06665 pep chromosome:Prunus_persica_NCBIv2:G5:8785634:8792729:-1 gene:PRUPE_5G073700 transcript:ONI06665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQENGWKPRWFQREGEDGPFRYVGGYWETRKQGKWDECPDIFGEFSEGLDEALEGS >ONI06670 pep chromosome:Prunus_persica_NCBIv2:G5:8787312:8793893:-1 gene:PRUPE_5G073700 transcript:ONI06670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCITLESAGLGDQSPEISLSRTRSLPTSLATAPLGSDTNASGRPAGSETTVAGVLHKWTNYSKGWRSRWFLLRNGVLSYAKIRRPETLSLLTPNDDVRLIGEISTHRLSRIDSGTGRRNNKPPKTVGIVHLKISSFRESKSDDRKFYIFTATKTLHLRTNSRSDRAAWLQALVSTRSLFPLRYLNDSLSLVQTDLSVSTERLKKRLLEEGTSENLVQDCEQIMLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRYNLTSFAITLNELTPGLQLCLIPGI >ONI06662 pep chromosome:Prunus_persica_NCBIv2:G5:8785634:8794915:-1 gene:PRUPE_5G073700 transcript:ONI06662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCITLESAGLGDQSPEISLSRTRSLPTSLATAPLGSDTNASGRPAGSETTVAGVLHKWTNYSKGWRSRWFLLRNGVLSYAKIRRPETLSLLTPNDDVRLIGEISTHRLSRIDSGTGRRNNKPPKTVGIVHLKISSFRESKSDDRKFYIFTATKTLHLRTNSRSDRAAWLQALVSTRSLFPLRYLNDSLSLVQTDLSVSTERLKKRLLEEGTSENLVQDCEQIMLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQENGWKPRWFQREGEDGPFRYVGGYWETRKQGKWDECPDIFGEFSEGLDEALEGS >ONI06669 pep chromosome:Prunus_persica_NCBIv2:G5:8785634:8792454:-1 gene:PRUPE_5G073700 transcript:ONI06669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRRSYHPRIPGSGRTSGIWRMENMKRQMQRNSGWRKGKECRGNYKKMGGNLDGSREKVKMDLSATLGAIGKHGSRENGMNVQTFLVNLVKALMKH >ONI06663 pep chromosome:Prunus_persica_NCBIv2:G5:8785634:8794915:-1 gene:PRUPE_5G073700 transcript:ONI06663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCITLESAGLGDQSPEISLSRTRSLPTSLATAPLGSDTNASGRPAGSETTVAGVLHKWTNYSKGWRSRWFLLRNGVLSYAKIRRPETLSLLTPNDDVRLIGEISTHRLSRIDSGTGRRNNKPPKTVGIVHLKQISSFRESKSDDRKFYIFTATKTLHLRTNSRSDRAAWLQALVSTRSLFPLRYLNDSLSLVQTDLSVSTERLKKRLLEEGTSENLVQDCEQIMLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQENGWKPRWFQREGEDGPFRYVGGYWETRKQGKWDECPDIFGEFSEGLDEALEGS >ONI06661 pep chromosome:Prunus_persica_NCBIv2:G5:8786326:8793893:-1 gene:PRUPE_5G073700 transcript:ONI06661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCITLESAGLGDQSPEISLSRTRSLPTSLATAPLGSDTNASGRPAGSETTVAGVLHKWTNYSKGWRSRWFLLRNGVLSYAKIRRPETLSLLTPNDDVRLIGEISTHRLSRIDSGTGRRNNKPPKTVGIVHLKISSFRESKSDDRKFYIFTATKTLHLRTNSRSDRAAWLQALVSTRSLFPLRYLNDSLSLVQTDLSVSTERLKKRLLEEGTSENLVQDCEQIMLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQENGWKPRWFQREGEDGPFRYVGGYWETRKQGKWDECPDIFGEFSEGLDEALEGS >ONI06667 pep chromosome:Prunus_persica_NCBIv2:G5:8785634:8792742:-1 gene:PRUPE_5G073700 transcript:ONI06667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQENGWKPRWFQREGEDGPFRYVGGYWETRKQGKWDECPDIFGEFSEGLDEALEGS >ONI06668 pep chromosome:Prunus_persica_NCBIv2:G5:8785634:8794632:-1 gene:PRUPE_5G073700 transcript:ONI06668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCITLESAGLGDQSPEISLSRTRSLPTSLATAPLGSDTNASGRPAGSETTVAGVLHKWTNYSKGWRSRWFLLRNGVLSYAKIRRPETLSLLTPNDDVRLIGEISTHRLSRIDSGTGRRNNKPPKTVGIVHLKISSFRESKSDDRKFYIFTATKTLHLRTNSRSDRAAWLQALVSTRSLFPLRYLNDSLSLVQTDLSVSTERLKKRLLEEGTSENLVQDCEQIMLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRRSYHPRIPGSGRTSGIWRMENMKRQMQRNSGWRKGKECRGNYKKMGGNLDGSREKVKMDLSATLGAIGKHGSRENGMNVQTFLVNLVKALMKH >ONI06666 pep chromosome:Prunus_persica_NCBIv2:G5:8785634:8792883:-1 gene:PRUPE_5G073700 transcript:ONI06666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQENGWKPRWFQREGEDGPFRYVGGYWETRKQGKWDECPDIFGEFSEGLDEALEGS >ONI06664 pep chromosome:Prunus_persica_NCBIv2:G5:8785634:8794632:-1 gene:PRUPE_5G073700 transcript:ONI06664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCITLESAGLGDQSPEISLSRTRSLPTSLATAPLGSDTNASGRPAGSETTVAGVLHKWTNYSKGWRSRWFLLRNGVLSYAKIRRPETLSLLTPNDDVRLIGEISTHRLSRIDSGTGRRNNKPPKTVGIVHLKQISSFRESKSDDRKFYIFTATKTLHLRTNSRSDRAAWLQALVSTRSLFPLRYLNDSLSLVQTDLSVSTERLKKRLLEEGTSENLVQDCEQIMLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQENGWKPRWFQREGEDGPFRYVGGYWETRKQGKWDECPDIFGEFSEGLDEALEGS >ONI06671 pep chromosome:Prunus_persica_NCBIv2:G5:8787312:8793893:-1 gene:PRUPE_5G073700 transcript:ONI06671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCITLESAGLGDQSPEISLSRTRSLPTSLATAPLGSDTNASGRPAGSETTVAGVLHKWTNYSKGWRSRWFLLRNGVLSYAKIRRPETLSLLTPNDDVRLIGEISTHRLSRIDSGTGRRNNKPPKTVGIVHLKISSFRESKSDDRKFYIFTATKTLHLRTNSRSDRAAWLQALVSTRSLFPLRYLNDSLSLVQTDLSVSTERLKKRLLEEGTSENLVQDCEQIMLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRYNLTSFAITLNELTPGLQLCLIPGI >ONI06672 pep chromosome:Prunus_persica_NCBIv2:G5:8787312:8793893:-1 gene:PRUPE_5G073700 transcript:ONI06672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCITLESAGLGDQSPEISLSRTRSLPTSLATAPLGSDTNASGRPAGSETTVAGVLHKWTNYSKGWRSRWFLLRNGVLSYAKIRRPETLSLLTPNDDVRLIGEISTHRLSRIDSGTGRRNNKPPKTVGIVHLKQISSFRESKSDDRKFYIFTATKTLHLRTNSRSDRAAWLQALVSTRSLFPLRYLNDSLSLVQTDLSVSTERLKKRLLEEGTSENLVQDCEQIMLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRYNLTSFAITLNELTPGLQLCLIPGI >ONI06673 pep chromosome:Prunus_persica_NCBIv2:G5:8787312:8793893:-1 gene:PRUPE_5G073700 transcript:ONI06673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKEMHPLCCITLESAGLGDQSPEISLSRTRSLPTSLATAPLGSDTNASGRPAGSETTVAGVLHKWTNYSKGWRSRWFLLRNGVLSYAKIRRPETLSLLTPNDDVRLIGEISTHRLSRIDSGTGRRNNKPPKTVGIVHLKQISSFRESKSDDRKFYIFTATKTLHLRTNSRSDRAAWLQALVSTRSLFPLRYLNDSLSLVQTDLSVSTERLKKRLLEEGTSENLVQDCEQIMLSEFSQIQGQYKVLCEERSNLLDTLRQLEAANYEADASGIHNGEYQLTKHEFPGLGQGKYSECSTTESSDDIEKQELEEVSDEDEMPFHDTKEYFTEPHIGCGSMKGVVNNSNKHREPRSQFDNVEEMQTEKEVHGYRYPHIERRKELPTPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCCEDLEYSYLLDRAYEYGKMGNSLQRVLNVAAFAVSGYASSVGRHCKPFNPLLGETYEADYPDKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNIRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTSIYNLILGKVYCDHHGTMHIRGNRQHSCKLKFKEQSILDRNPHQVHGVVEDVMGKKVATLFGKWDESIHYVNGDGSGKPNPSDASLLWKSSKPPNVTRYNLTSFAITLNELTPGLQLCLIPGI >ONI07197 pep chromosome:Prunus_persica_NCBIv2:G5:11189517:11193706:-1 gene:PRUPE_5G105500 transcript:ONI07197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKESSSASSTDAKIKRVLTHGGRYAQYNVFGNLFEVSSKYVPPIRPIGRGAYGIVCAAVNADTHEEVAIKKIGNAFDNIIDAKRTLREIKLLRHMDHENVISIKDIVRPPKKETFNDVYIVYELMDTDLHQIIRSDQPLTDDHCQYFLYQLLRGLKYVHSAHVLHRDLKPSNLFLNANCDLKIGDFGLARTTTETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITEVHLMMLALGFFEAIIPEDM >ONI07195 pep chromosome:Prunus_persica_NCBIv2:G5:11189514:11193706:-1 gene:PRUPE_5G105500 transcript:ONI07195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKESSSASSTDAKIKRVLTHGGRYAQYNVFGNLFEVSSKYVPPIRPIGRGAYGIVCAAVNADTHEEVAIKKIGNAFDNIIDAKRTLREIKLLRHMDHENYFLYQLLRGLKYVHSAHVLHRDLKPSNLFLNANCDLKIGDFGLARTTTETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNTRRYVKQLPLFRRQQFAARFPNMSPGAVDLLEKMLVFDPNRRISVDEALCHPYLSSLHDNNDEPVCARPFHFDFEQPSCTEEHIKELIWRETVKFNPDPTH >ONI07196 pep chromosome:Prunus_persica_NCBIv2:G5:11189509:11193757:-1 gene:PRUPE_5G105500 transcript:ONI07196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKESSSASSTDAKIKRVLTHGGRYAQYNVFGNLFEVSSKYVPPIRPIGRGAYGIVCAAVNADTHEEVAIKKIGNAFDNIIDAKRTLREIKLLRHMDHENVISIKDIVRPPKKETFNDVYIVYELMDTDLHQIIRSDQPLTDDHCQYFLYQLLRGLKYVHSAHVLHRDLKPSNLFLNANCDLKIGDFGLARTTTETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNTRRYVKQLPLFRRQQFAARFPNMSPGAVDLLEKMLVFDPNRRISVDEALCHPYLSSLHDNNDEPVCARPFHFDFEQPSCTEEHIKELIWRETVKFNPDPTH >ONI09030 pep chromosome:Prunus_persica_NCBIv2:G5:16846697:16852861:-1 gene:PRUPE_5G213100 transcript:ONI09030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKGLDRITVSDIEALGIESEAAKRLHATLTNIIQNYGPATPHTWRNITAHVLSPELPFSFHQMLYYGCYKDFGPDPPAWLPDSETANLTNVGQLLERRGKEFLGSRYKDPMSSFSDFQEFSVSNPEVYWKAVLDEMNASFSVPPQCILRENLSGDGQLSVPGGQWLPGAFGNPAKNCLSLNRKRSLNDTMVIWRDEGNDDLPLNKMTLKELHTEVWLVAHALKALGLEKGSAIAIDMPMHVNSVIIYLAIVLAGYVVVSIADSFAPPEISTRLKISEAKAIFTQDLIVRGEKSLPLYSKIVAAQSPMAIVILTKGSNSSMKLRDGDISWHDFLETVKDFKEDEFAAVEQPIEAFTNILFSSGTTGEPKAIPWTHATPFKAAADAWCHMDIRIGDVVSWPTNLGWMMGPWLVYASLLNGASIALYNGSPLGSGFPKFVQDAKVTMLGVIPSIVRTWKSTNSVSGYDWSTIRCFGSTGEASNVDEYLWLMGRARYKPIIEYCGGTEIGGGFVSGSLLQAQSLAAFSTPAMGCSLFILGNDGVPIPQNEPGVGELALGPLMFGASSTLLNADHYDVYFKEMPVWNGKVLRRHGDVFERTSRGYYHAHGRADDTMNLGGIKVSSVEIERICNEVDSDVLETAAIGVPPAVGGPEQLVLAVVFKNSDNQTADLNQLRTSFNSAVQKKLNPLFKVSRVVPLPSLPRTATNKVMRRILRQQYAQLDQSAKL >ONI09031 pep chromosome:Prunus_persica_NCBIv2:G5:16847513:16852861:-1 gene:PRUPE_5G213100 transcript:ONI09031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKGLDRITVSDIEALGIESEAAKRLHATLTNIIQNYGPATPHTWRNITAHVLSPELPFSFHQMLYYGCYKDFGPDPPAWLPDSETANLTNVGQLLERRGKEFLGSRYKDPMSSFSDFQEFSVSNPEVYWKAVLDEMNASFSVPPQCILRENLSGDGQLSVPGGQWLPGAFGNPAKNCLSLNRKRSLNDTMVIWRDEGNDDLPLNKMTLKELHTEVWLVAHALKALGLEKGSAIAIDMPMHVNSVIIYLAIVLAGYVVVSIADSFAPPEISTRLKISEAKAIFTQDLIVRGEKSLPLYSKIVAAQSPMAIVILTKGSNSSMKLRDGDISWHDFLETVKDFKEDEFAAVEQPIEAFTNILFSSGTTGEPKAIPWTHATPFKAAADAWCHMDIRIGDVVSWPTNLGWMMGPWLVYASLLNGASIALYNGSPLGSGFPKFVQDAKVTMLGVIPSIVRTWKSTNSVSGYDWSTIRCFGSTGEASNVDEYLWLMGRARYKPIIEYCGGTEIGGGFVSGSLLQAQSLAAFSTPAMGCSLFILGNDGVPIPQNEPGVGELALGPLMFGASSTLLNADHYDVYFKEMPVWNGKVLRRHGDVFERTSRGYYHAHGRADDTMNLGGIKMVVLNFCVQKVLCLLISYRVCFVI >ONI05511 pep chromosome:Prunus_persica_NCBIv2:G5:1121436:1125535:1 gene:PRUPE_5G010900 transcript:ONI05511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCYAAPVTNHSPSTSATSRNNSKQNGLAGIKEAAGNSSGKTSSGITEKNASKALGKGNSNNNIEEESAAPSESLETKLPKLKEFSLAELKKCTRDFRPDTILGEGGFGRVFKGWVNEDTYAPSQVGIGMAVAVKKSNPDSYQGLQEWQTEVNFLGKYRHPNLVRLLGYCCEENQFLLVYEYMQKGSLENHLFRKGQEPLGWELRLKIAIGAARGLAFLHTSEKVIYRDFKASNILLDPAYNAKLSDFGLAKVGPINGNSHVTTRIIGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDNNRPSREHSLVDWLRPSLHKKKELKKIMDPGLGDQYPLKAACQAAELILKCLESDPKNRPSMEEVLGILEKINAIKEKPKTAKPNGRGRPDVRSSPSPHHYTHHLHRSPNFGPRGM >ONI07742 pep chromosome:Prunus_persica_NCBIv2:G5:12928579:12930197:-1 gene:PRUPE_5G136800 transcript:ONI07742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGIDDISIKITHCGVCYADVIWARNKHGDSKYPLVPGHEIAGIVKEVGSNVLRFKVGDHIGVGTYVNSCRDCEYCNEGFEVYCAKGSVYTFNGVDADGTITKGGYSSHIVVHERYCFKIPDNYPLASAAPLLCAGITVYAPMKRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLHVTVFSTSVSKKEEALSQLGADKFVVSSDQEQMTALVKSLDFLIDTASGDHPFDPYMALLKTGGTLVLVGFPSEVKFSPASLNLGMKTISGSVTGGTKDTQEMIDFCAAHEIHPMIEIIPIQYATEALERLVKKDVKYRFVIDIENSLK >ONI07741 pep chromosome:Prunus_persica_NCBIv2:G5:12928422:12930956:-1 gene:PRUPE_5G136800 transcript:ONI07741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESANENCLGYAARDPSGVLSEYKFSRRALGIDDISIKITHCGVCYADVIWARNKHGDSKYPLVPGHEIAGIVKEVGSNVLRFKVGDHIGVGTYVNSCRDCEYCNEGFEVYCAKGSVYTFNGVDADGTITKGGYSSHIVVHERYCFKIPDNYPLASAAPLLCAGITVYAPMKRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLHVTVFSTSVSKKEEALSQLGADKFVVSSDQEQMTALVKSLDFLIDTASGDHPFDPYMALLKTGGTLVLVGFPSEVKFSPASLNLGMKTISGSVTGGTKDTQEMIDFCAAHEIHPMIEIIPIQYATEALERLVKKDVKYRFVIDIENSLK >ONI07739 pep chromosome:Prunus_persica_NCBIv2:G5:12928451:12931168:-1 gene:PRUPE_5G136800 transcript:ONI07739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESANENCLGYAARDPSGVLSEYKFSRRALGIDDISIKITHCGVCYADVIWARNKHGDSKYPLVPGHEIAGIVKEVGSNVLRFKVGDHIGVGTYVNSCRDCEYCNEGFEVYCAKGSVYTFNGVDADGTITKGGYSSHIVVHERYCFKIPDNYPLASAAPLLCAGITVYAPMKRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLHVTVFSTSVSKKEEALSQLGADKFVVSSDQEQMTALVKSLDFLIDTASGDHPFDPYMALLKTGGTLVLVGFPSEVKFSPASLNLGMKTISGSVTGGTKDTQEMIDFCAAHEIHPMIEIIPIQYATEALERLVKKDVKYRFVIDIENSLK >ONI07740 pep chromosome:Prunus_persica_NCBIv2:G5:12928452:12931124:-1 gene:PRUPE_5G136800 transcript:ONI07740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESANENCLGYAARDPSGVLSEYKFSRRALGIDDISIKITHCGVCYADVIWARNKHGDSKYPLVPGHEIAGIVKEVGSNVLRFKVGDHIGVGTYVNSCRDCEYCNEGFEVYCAKGSVYTFNGVDADGTITKGGYSSHIVVHERYCFKIPDNYPLASAAPLLCAGITVYAPMKRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLHVTVFSTSVSKKEEALSQLGADKFVVSSDQEQMTALVKSLDFLIDTASGDHPFDPYMALLKTGGTLVLVGFPSEVKFSPASLNLGMKTISGSVTGGTKDTQEMIDFCAAHEIHPMIEIIPIQYATEALERLVKKDVKYRFVIDIENSLK >ONI09211 pep chromosome:Prunus_persica_NCBIv2:G5:17363714:17364960:1 gene:PRUPE_5G224000 transcript:ONI09211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLGSHTVSHTSATDSEPVRFVHTSEARRLLPSASRWNSIEIDFNLLPQSTMANDSIPSQYSKSYQYNLVITDKKYFKRCLYISVSTVFLILALLLLLHFLPSKHHHDRPSKNLTLALNQALTFFDAQKSGVYPNNSPVKFRASSGLQDGNSGSKPVNLEGGFYDSGNNIKFSFPTAYTVTLLSWSVIEYHDKYADIGELDHVKDIIKWGSDYLLKLFVPPHTTSDTILYSQASLMNNFIGSANSDAKVPNDINCWQRPEDMDYKRTVSFCDNTASDLAGEIVAALSAASLVFKEDNVYSGELVTAAEKLFESAITKLDPARQGTYTKVDACGGEARNFYNSSGHQDELV >ONI08999 pep chromosome:Prunus_persica_NCBIv2:G5:16775397:16778333:-1 gene:PRUPE_5G211400 transcript:ONI08999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVAPPLSCHPLPTPNTKHSLHSIFTPKPNASLSFCATQTHQREPLTSTHNSLSQPNLLQEINNLCDSGNLSEALTLLQADSRNAISSLQQKKDAMGALLQACGRHKDVETGRKVHDLVSASTQFSNDFVLNTRIITMYSVCGSPSDSRLVFNGLQRKNLFQWNALVSGYARNELYGDAIDVFIELISVTVFKPDNFTFPCLIKACGGLLDVGLGQVIHGMAVKMGLMSDVFVGNALIAMYGKCGSIEDAVRVFDLMPERNLVSWNSMICGYSENGFSQQCYSLLRKILEGEESLVPDVATLVTILPLCAGKGEVNIGMVIHGVAVKLGLNQELMVNNALMDMYSKCGYLAEAQVLFDKNDKKNVVSWNSIIGGYSREGDVWGTFDLFQKMQMEEEKVKVNEVTVLNVLPACLEESELLSLKKLHGYSFRHGFLYDELVANAFVSAYAKCGSLTSAERVFHGIETKTVSSWNAVIGGYAQNGDPKKALDLYLQMKYSGLDPDWFSIGSLLLACAHLKLLQHGRQIHGFVLRDGSETDSFIGISLLSFYIQCGKLSSARVLFDRMEAKSRVSWNAMITGYTQSGLADEALNLFRQMLSDETLPCEIGTMSVFEACSQLSSLRLGKELHCFALKARLTEDLFVGCSLIDMYAKSGCIEESHRVFDWLVKKDVPSWNVIIAGYGVHGHGSKALELFGEMVSLGQKPDGFTFIGVLTACSHAGLVKEGLKYFNQMQSLYGIDPKLEHYACVVDMLGRAGQLEEALNLIHEMPEEPDTRMWSSLLSSCRLHNNLDMGQKISEKLIELEPEKAESYVLLSNLYAASGKWDDVRRVRQRMKEMGLQKDAGHSWIDVGGQVYSFVAGDTSLPESGEIKKMWSRLEEKISKFGYRPNTGSVLHELEEEEEKIEILRRHSEKLAISFGLLKMSKGATLRICKNLRICVDCHNAAKLISKVVEREIVVRDNKRFHHFKHGLCSCGDYW >ONI07025 pep chromosome:Prunus_persica_NCBIv2:G5:10499165:10502395:-1 gene:PRUPE_5G095600 transcript:ONI07025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGTSTFVIRWINFLTMLLAIAVVVFGVWMSTHHDNCRRSLTIPVIGIGAVIFLVSIIGFLGALKNSSILLWIYLILLCFISVGVLVFTVLAFIVTNNGSGHSVAGLRYKEYQLHDYSPWFVKQLNNTRNWKHLQSCLVKSDDCKNLSKKYKTLKQYKLAKLTPIEAGCCRPPSDLFQT >ONI07022 pep chromosome:Prunus_persica_NCBIv2:G5:10497800:10502685:-1 gene:PRUPE_5G095600 transcript:ONI07022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGTSTFVIRWINFLTMLLAIAVVVFGVWMSTHHDNCRRSLTIPVIGIGAVIFLVSIIGFLGALKNSSILLWIYLILLCFISVGVLVFTVLAFIVTNNGSGHSVAGLRYKEYQLHDYSPWFVKQLNNTRNWKHLQSCLVKSDDCKNLSKKYKTLKQYKLAKLTPIEAGCCRPPSECGYPAVNASYYDMSFHPVSSNKDCKLYKNARDIKCYNCDSCNL >ONI07021 pep chromosome:Prunus_persica_NCBIv2:G5:10498492:10502395:-1 gene:PRUPE_5G095600 transcript:ONI07021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGTSTFVIRWINFLTMLLAIAVVVFGVWMSTHHDNCRRSLTIPVIGIGAVIFLVSIIGFLGALKNSSILLWIYLILLCFISVGVLVFTVLAFIVTNNGSGHSVAGLRYKEYQLHDYSPWFVKQLNNTRNWKHLQSCLVKSDDCKNLSKKYKTLKQYKLAKLTPIEAGCCRPPSECGYPAVNASYYDMSFHPVSSNKDCKLYKNARDIKCYNCDSCKAGVAQYMKTEWRVVAIFNVALFVVLSMIYFVGCCARRNAARIRSKD >ONI07024 pep chromosome:Prunus_persica_NCBIv2:G5:10499165:10502395:-1 gene:PRUPE_5G095600 transcript:ONI07024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGTSTFVIRWINFLTMLLAIAVVVFGVWMSTHHDNCRRSLTIPVIGIGAVIFLVSIIGFLGALKNSSILLWIYLILLCFISVGVLVFTVLAFIVTNNGSGHSVAGLRYKEYQLHDYSPWFVKQLNNTRNWKHLQSCLVKSDDCKNLSKKYKTLKQYKLAKLTPIEAGCCRPPSDLFQT >ONI07023 pep chromosome:Prunus_persica_NCBIv2:G5:10497801:10502685:-1 gene:PRUPE_5G095600 transcript:ONI07023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGTSTFVIRWINFLTMLLAIAVVVFGVWMSTHHDNCRRSLTIPVIGIGAVIFLVSIIGFLGALKNSSILLWIYLILLCFISVGVLVFTVLAFIVTNNGSGHSVAGLRYKEYQLHDYSPWFVKQLNNTRNWKHLQSCLVKSDDCKNLSKKYKTLKQYKLAKLTPIEAGCCRPPSD >ONI08520 pep chromosome:Prunus_persica_NCBIv2:G5:15259451:15261280:-1 gene:PRUPE_5G183200 transcript:ONI08520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPLINESSFSAANPSAYSLAEIWPFSGEPGGSGGGLGLRMGSLGGLGDSSVNRDGSLEESTVTEQSGGGGGRKRRDVSSEDESSKLVSTSSASGLKDSSGKRMKLAGSQNENGGSKAEVEESSAAGDNKPAEQSTKPSEPPKQDFIHVRARRGQATDSHSLAERARREKISERMKLLQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNSRMNLNPTIEAFPSKDVSLVYFYYLHKSH >ONI08518 pep chromosome:Prunus_persica_NCBIv2:G5:15258392:15261195:-1 gene:PRUPE_5G183200 transcript:ONI08518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPLINESSFSAANPSAYSLAEIWPFSGEPGGSGGGLGLRMGSLGGLGDSSVNRDGSLEESTVTEQSGGGGGRKRRDVSSEDESSKLVSTSSASGLKDSSGKRMKLAGSQNENGGSKAEVEESSAAGDNKPAEQSTKPSEPPKQDFIHVRARRGQATDSHSLAERARREKISERMKLLQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNSRMNLNPTIEAFPSKDLGAQPFDGAGLLFGSHTPREYAQGSHPEWLHMQVGSSFERAT >ONI08517 pep chromosome:Prunus_persica_NCBIv2:G5:15258096:15261597:-1 gene:PRUPE_5G183200 transcript:ONI08517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPLINESSFSAANPSAYSLAEIWPFSGEPGGSGGGLGLRMGSLGGLGDSSVNRDGSLEESTVTEQSGGGGGRKRRDVSSEDESSKLVSTSSASGLKDSSGKRMKLAGSQNENGGSKAEVEESSAAGDNKPAEQSTKPSEPPKQDFIHVRARRGQATDSHSLAERARREKISERMKLLQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNSRMNLNPTIEAFPSKDLGAQPFDGAGLLFGSHTPREYAQGSHPEWLHMQVGSSFERAT >ONI08519 pep chromosome:Prunus_persica_NCBIv2:G5:15259103:15261597:-1 gene:PRUPE_5G183200 transcript:ONI08519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPLINESSFSAANPSAYSLAEIWPFSGEPGGSGGGLGLRMGSLGGLGDSSVNRDGSLEESTVTEQSGGGGGRKRRDVSSEDESSKLVSTSSASGLKDSSGKRMKLAGSQNENGGSKAEVEESSAAGDNKPAEQSTKPSEPPKQDFIHVRARRGQATDSHSLAERARREKISERMKLLQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNSRMNLNPTIEAFPSKDLFNLRDTSRNVNVCQPSSLGK >ONI08516 pep chromosome:Prunus_persica_NCBIv2:G5:15258205:15261280:-1 gene:PRUPE_5G183200 transcript:ONI08516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPLINESSFSAANPSAYSLAEIWPFSGEPGGSGGGLGLRMGSLGGLGDSSVNRDGSLEESTVTEQSGGGGGRKRRDVSSEDESSKLVSTSSASGLKDSSGKRMKLAGSQNENGGSKAEVEESSAAGDNKPAEQSTKPSEPPKQDFIHVRARRGQATDSHSLAERARREKISERMKLLQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNSRMNLNPTIEAFPSKDVGSSFERAT >ONI07368 pep chromosome:Prunus_persica_NCBIv2:G5:11814358:11819105:1 gene:PRUPE_5G115600 transcript:ONI07368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRRGRGKGRVFGERKFDSISGSSSRTDNNEEVLVDNYVNVREGVKGLKLDQSGAAKETEFVEKYSRNSQYPIDNWISGNDLDMNMSRLSSVNSSVGDISTQSNYIAGSLRSRESMDQWGVERDRFEGYYANPRVVAERGRVPISAYPDEGPSNYELDSFQGHGKQKYRGDLSEVENLEQDRAELLRKLDELKEKLSRTYDVADKPREMVPIERSRTPPDPYGDRLTYNLSMQPYAVDKPMPRPPHFNYSHGPVPFMDHDSMDMQNFYPPQGHPLNVIPEYEDPSQLQMKRRPPHHPPQYPTPPPHEYFMAQQHMDFNLHPLASYHHENVFHSPRCSCLSCYNQNSALPPQVPLADFGNKGVPNVPSSLNSYHHVNPATLRPHNYNLRNASPPPFHTRWQSDLASDNDGDRHPRRPTAVNRHGRIFHPVAGGAPIITCFSCFELLKLPRKLNVTNKYQSKLRCGSCSTVISLEIKNKKLITSAPKESNQLSPEIDPSSNEVLKGSVLSSHSSQNASDTNFRCDDLDNSGNNLQSIDTKDSPLADDQRLNLDTSEKMKCLSSSSSILSKEEEEISDSVIAHRNVPDSAGLPTKDSFSPARPGSPLWEQSDDSPSKHAVSIDGKGNKSECIDQDKVLFSKITSGQNSVKDRSVETEVDSSFNEYLNTSISQDSAEGSKDEDRPKIGRGADSFLVGLIKKSFKDFTKSNEAVERTRPSVFINGQPLADHVVKKAEKRAGPIRPGDYWYDFRAGFWGVMGQTCLGIIPPFIEEFYYPMPTNCAAGNTGVYVNGRELHQRDLDLLASRGLPTTKNKFYIIEISGKVTDEGSGQELGSLGKLAPTIEKAKHGFGMKVPRVVV >ONI07369 pep chromosome:Prunus_persica_NCBIv2:G5:11815193:11819105:1 gene:PRUPE_5G115600 transcript:ONI07369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRRGRGKGRVFGERKFDSISGSSSRTDNNEEVLVDNYVNVREGVKGLKLDQSGAAKETEFVEKYSRNSQYPIDNWISGNDLDMNMSRLSSVNSSVGDISTQSNYIAGSLRSRESMDQWGVERDRFEGYYANPRVVAERGRVPISAYPDEGPSNYELDSFQGHGKQKYRGDLSEVENLEQDRAELLRKLDELKEKLSRTYDVADKPREMVPIERSRTPPDPYGDRLTYNLSMQPYAVDKPMPRPPHFNYSHGPVPFMDHDSMDMQNFYPPQGHPLNVIPEYEDPSQLQMKRRPPHHPPQYPTPPPHEYFMAQQHMDFNLHPLASYHHENVFHSPRCSCLSCYNQNSALPPQVPLADFGNKGVPNVPSSLNSYHHVNPATLRPHNYNLRNASPPPFHTRWQSDLASDNDGDRHPRRPTAVNRHGRIFHPVAGGAPIITCFSCFELLKLPRKLNVTNKYQSKLRCGSCSTVISLEIKNKKLITSAPKESNQLSPEIDPSSNEVLKGSVLSSHSSQNASDTNFRCDDLDNSGNNLQSIDTKDSPLADDQRLNLDTSEKMKCLSSSSSILSKEEEEISDSVIAHRNVPDSAGLPTKDSFSPARPGSPLWEQSDDSPSKHAVSIDGKGNKSECIDQDKVLFSKITSGQNSVKDRSVETEVDSSFNEYLNTSISQDSAEGSKDEDRPKIGRGADSFLVGLIKKSFKDFTKSNEAVERTRPSVFINGQPLADHVVKKAEKRAGPIRPGDYWYDFRAGFWGVMGQTCLGIIPPFIEEFYYPMPTNCAAGNTGVYVNGRELHQRDLDLLASRGLPTTKNKFYIIEISGKVTDEGSGQELGSLGKLAPTIEKAKHGFGMKVPRVVV >ONI07436 pep chromosome:Prunus_persica_NCBIv2:G5:12031755:12032734:-1 gene:PRUPE_5G120500 transcript:ONI07436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNITLPSFQGPLLKSNFLGRNDLSNRAHKSSFTIHKQPSIHSLRPCAKFNLSEILGGRGLCNGEEGLLQELTRNVEEQKDVEEQVSAAVAAETDEEKSDSSSASALKSVQEDAFEKELMGLTGGFPGGEKGLQKFIEKNPPPKKPLVADSGSTAALVSSKKPKAPELPLLLPGMIAIVNNPNSPYYKYCGIVQRITDGKAGVLFEGGNWDRLITFRLGELLRRDKGPPGKNPKSAVLEPFLQKDSE >ONI07409 pep chromosome:Prunus_persica_NCBIv2:G5:11946163:11946558:-1 gene:PRUPE_5G118300 transcript:ONI07409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWKGWIECRYPVSNFYKTQHRKHWMKSRTIYGCNEQITMWQHRKTNQVQLLRYQGRIPLFKPHKNILQQFQPNATFTNIKSLLLGQPKTSKWIPTKNSRTVTEIQVSNYVEYEVHQSSQGNLSSLDSINM >ONI05418 pep chromosome:Prunus_persica_NCBIv2:G5:721835:724817:-1 gene:PRUPE_5G006400 transcript:ONI05418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTLQVLPFPLSHLVSKHRTPSLLLKLRPEFGSRNGELSWAGRLISSQRFLLKAIDGSVSSSAGQDQSLRNDGVVKSKNKNGINLKDSVGKLHGIISSFSPVVYSMRQRVGSNLAIGLCIAAAFLVIALRVYAVRKSKYNRPGSVADLVRRGQLRSDRRGISQPLKYDDPFNNPLVKVGKGNSTVEMCGKVYRLAPVTLTKEQQAVHQKRRSRAYQWKRPTIFLKEGESIPLDVDPDTVRWIPANHPFATTAGDIDEDLAQNNVYQKHGVPFRIQAEHEALQREQKLNRLVMDSSNDSDFVKAFKSGPKSPEHGEESPINNQAGNSKPPLSECGPNSFGIMSPSEETHD >ONI05417 pep chromosome:Prunus_persica_NCBIv2:G5:721835:724815:-1 gene:PRUPE_5G006400 transcript:ONI05417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTLQVLPFPHRTPSLLLKLRPEFGSRNGELSWAGRLISSQRFLLKAIDGSVSSSAGQDQSLRNDGVVKSKNKNGINLKDSVGKLHGIISSFSPVVYSMRQRVGSNLAIGLCIAAAFLVIALRVYAVRKSKYNRPGSVADLVRRGQLRSDRRGISQPLKYDDPFNNPLVKVGKGNSTVEMCGKVYRLAPVTLTKEQQAVHQKRRSRAYQWKRPTIFLKEGESIPLDVDPDTVRWIPANHPFATTAGDIDEDLAQNNVYQKHGVPFRIQAEHEALQREQKLNRLVMDSSNDSDFVKAFKSGPKSPEHGEESPINNQAGNSKPPLSECGPNSFGIMSPSEETHD >ONI05419 pep chromosome:Prunus_persica_NCBIv2:G5:723250:724593:-1 gene:PRUPE_5G006400 transcript:ONI05419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTLQVLPFPLSHLVSKHRTPSLLLKLRPEFGSRNGELSWAGRLISSQRFLLKAIDGSVSSSAGQDQSLRNDGVVKSKNKNGINLKDSVGKLHGIISSFSPVVYSMRQRVGSNLAIGLCIAAAFLVIALRVYAVRKSKYNRPGSVADLVRRGQLRSDRRGISQPLKYDDPFNNPLVKVGKGNSTVEMCGKVYRLAPVTLTKEQQAVHQKRRSRAYQWKRPTIFLKEGESIPLDVDPDTVRWIPANHPFATTAGDIDEDLAQNNVYQKHGVPFRIQAEHEALQRRFLFFVGAKAEQASDGL >ONI09579 pep chromosome:Prunus_persica_NCBIv2:G5:18397254:18399284:-1 gene:PRUPE_5G245900 transcript:ONI09579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGELFAMQRRLVSYFCDDELASGLWPSSTEGKLLFDPVISFMGQTNEGSSVDSSQKAESSEHLPKVDKSSGESESPQKLSTVEAKEGVKTETLQHSSTEQMADKEETEVVKEETDDKHAATVEETETVVAEPEKSESESSSLPVEPFEPTVKNDGPSESVGSQDDNKISVVGPSVNPETMQGKSRAVEVDQVEEGHTVLPREAHDVDVDEQKTQVEQKDGHMTQAGEIVETVAMVEAETPTDSQPGGFPLC >ONI09580 pep chromosome:Prunus_persica_NCBIv2:G5:18397254:18400756:-1 gene:PRUPE_5G245900 transcript:ONI09580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGELFAMQRRLVSYFCDDELASGLWPSSTEGKLLFDPVISFMGQTNEGSSVDSSQKAESSEHLPKVDKSSGESESPQKLSTVEAKEGVKTETLQHSSTEQMADKEETEVVKEETDDKHAATVEETETVVAEPEKSESESSSLPVEPFEPTVKNDGPSESVGSQDDNKISVVGPSVNPETMQGKSRAVEVDQVEEGHTVLPREAHDVDVDEQKTQVEQKDGHMTQAGEIVETVAMVEAETPTDSQPGGFPLC >ONI08881 pep chromosome:Prunus_persica_NCBIv2:G5:16455278:16458782:-1 gene:PRUPE_5G205900 transcript:ONI08881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEANKDQVSQPNNNAMQNNNADSVDPELNLGLSLGEIYTENPNENALARSSTMAGFLKPKTTPEEEMVAAPLPSVQLPRSSSVPEWTGQRMIRHKDILALRRMERKKRLAEKLRKEELAASLREKTSPPAAQASQPPEANATGPLSTVFKFELKAEGYITVSAASNGAGCFETFPSGSDIKPVVTSSKVATLEHVNPAHNAMENPSKAARFSNAVVPKEELTSKMPSVRTFGADGRKTEGVLYKYRQGQVGILCACHGSFLSPAEFVKHAGGNEVANPLRHIIICSTPCYD >ONI08880 pep chromosome:Prunus_persica_NCBIv2:G5:16455272:16459517:-1 gene:PRUPE_5G205900 transcript:ONI08880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEANKDQVSQPNNNAMQNNNADSVDPELNLGLSLGEIYTENPNENALARSSTMAGFLKPKTTPEEEMVAAPLPSVQLPRSSSVPEWTGQRMIRHKDILALRRMERKKRLAEKLRKEELAASLREKTSPPAAQASQPPEANATGPLSTVFKFELKAEGYITVSAASNGAGCFETFPSGSDIKPVVTSSKVATLEHVNPAHNAMENPSKAARFSNAVVPKEELTSKMPSVRTFGADGRKTEGVLYKYRQGQVGILCACHGSFLSPAEFVKHAGGNEVANPLRHIIICSTPCYD >ONI08878 pep chromosome:Prunus_persica_NCBIv2:G5:16455278:16459356:-1 gene:PRUPE_5G205900 transcript:ONI08878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEANKDQVSQPNNNAMQNNNADSVDPELNLGLSLGEIYTENPNENALARSSTMAGFLKPKTTPEEEMVAAPLPSVQLPRSSSVPEWTGQRMIRHKDILALRRMERKKRLAEKLRKEELAASLREKTSPPAAQASQPPEANATGPLSTVFKFELKAEGYITVSAASNGCFETFPSGSDIKPVVTSSKVATLEHVNPAHNAMENPSKAARFSNAVVPKEELTSKMPSVRTFGADGRKTEGVLYKYRQGQVGILCACHGSFLSPAEFVKHAGGNEVANPLRHIIICSTPCYD >ONI08879 pep chromosome:Prunus_persica_NCBIv2:G5:16455273:16459517:-1 gene:PRUPE_5G205900 transcript:ONI08879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEANKDQVSQPNNNAMQNNNADSVDPELNLGLSLGEIYTENPNENALARSSTMAGFLKPKTTPEEEMVAAPLPSVQLPRSSSVPEWTGQRMIRHKDILALRRMERKKRLAEKLRKEELAASLREKTSPPAAQASQPPEANATGPLSTVFKFELKAEGYITVSAASNGAGCFETFPSGSDIKPVVTSSKVATLEHVNPAHNAMENPSKAARFSNAVVPKEELTSKMPSVRTFGADGRKTEGVLYKYRQGQVGILCACHGSFLSPAEFVKHAGGNEVANPLRHIIICSTPCYD >ONI08566 pep chromosome:Prunus_persica_NCBIv2:G5:15400408:15401507:1 gene:PRUPE_5G185700 transcript:ONI08566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLRENKLQGKIAIITGGASGIGEATARLFANHGAAVVVIADIQDELGRQVAESIGTHRCTYVHCDVSDEDQVKTMVEQTVRKYGHLDIMFSNAGILSSPDQTVLDLDFSAFDRLFDVNVRGMALCVKHAARVMVERRVKGSIVCTASVAANHGMAIRTDYCMSKHAVLGLVRSASVQLGVHGIRVNCVSPTALATPLTCRAAGKGVEEVEKEYKKFARLKGIVLKAGHVADAVLYLACGESEFVTGHDLVVDGGFFRQ >ONI08851 pep chromosome:Prunus_persica_NCBIv2:G5:16370917:16377464:1 gene:PRUPE_5G204000 transcript:ONI08851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQAFIVALVSLTNLLVLLSDLGLITSDTKREIERMRQCNRVEKFFFFVKKLYPIIYAQKGLLEIREIARSVETLLLHMVTSYNNFLIQFIAARLYRAILPNLSIGTRQLQPPLESRAEHQEICFCKRILLEKARGPRKTHMDKTCDVCGGTGFVELIATCFQCKIAWEHVYCIQVLLKYVPEIWFCETCCSGDQSLKNLRLVEESGSSGHLRKRTSLGTAKVKFIPIEKALKMSSGAQKVELPSPSKLHSSSIRIDTMETMSRRASTELKSTPQKNSSQNVKETYKNLPAGHLKLSGHGSEKISSITPAQEPKCCTELKCKITLTSIAWKTFVKKFGKHKGQN >ONI08669 pep chromosome:Prunus_persica_NCBIv2:G5:15741623:15742404:-1 gene:PRUPE_5G192600 transcript:ONI08669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKLTLHGLWISPYSKRVELALKTKGIPFEFVEEDLMNKSPLLLKYNPVHKKVPVLVHNGKPIVESLIILEYIDETWKTGPRLLPEDPYKRARVRFWASFVDQQVFESLKLAFTSDGEVQEKAIKELLEKLKTFEVGMKEFFPDGIASIERTKNLGLLDIVLYSGFGAHKVQEEVLGTKIIDPEKTPLIFSWLTALNELPLVKELTPPHEKLVAVLQFFRNNALKSGAA >ONI07362 pep chromosome:Prunus_persica_NCBIv2:G5:11800472:11804817:1 gene:PRUPE_5G115300 transcript:ONI07362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQLKDEKVTLEQELESVQGEVSNLKQQLESAEQQVSDVSKAKEEETLKISEMSNEIQQAQNMIQELTVESSQLKEKLGQKENEYSTLSERHELHENKTSAQIKGLQATVTGLELELESLQGQKRDMEVKIESKETEVKQLEDENTGLQVRISELKSVSNERAAELSALTKELEDKTSESIQLKEKLENKETQVKQVEEENAGLQAQISKLESTLEEREAELSALTKKLEDSNTEYSQLNEQMDLKEKEYLTLSEMHKLHENETLAQIKGLEEKVSGLELELESLRHQKSDLEVEIESKETEAKQLGEENAGLHARVSELELISEDREAELSALTKKIEDSNNESSSRIADLAAQISNLLADIDSLRAQKVELEEQIVCKGDEASTQVKGLMEQVNVLQQELESLLSQKTELQVQVENKTQETSEYLIQIQNLKEEITNKLTDHQRIVEEKESLTAEKRDIEIKVDSIHNHKSELEEEIRTKVLENDQLRAEIVELKDQISEFEKKLTQIEVEFSSLQEKHESSVNDASAQIEAFVSQVNSLQQDLDSLQTQKKQIELQFEKEKQEHSESLTLLENEKAELTSKITDHQRLLNEREDSYKKLNEEYKQLESQFQDSKVNRDSAERKIEQMVLEFSTKVESKDQIIADLEQAAEDLKRDLEEKGDELSSLVDNSRNTEVKLRLSNQKLRVTEQLLAEKEESFRRAEQKFQEEQRALEDRIATLSGTISANNEAYQRNITHISENVNSSLTVLESVIKKFVDDFAKYEKCILGTTKELHTAKNWVAETNGERVKLKEEVGDLIKQLRGKKEEALVLREQVEKLRATASGEEVEKGGLIKAVKQLERTVEDLEKTVGEKNEGLLGLAEEKREAIRQLCMWIEYHQSRYDDLKEVLSKMTAARGQRRA >ONI07361 pep chromosome:Prunus_persica_NCBIv2:G5:11798823:11804544:1 gene:PRUPE_5G115300 transcript:ONI07361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTANPNRHTLLVSLTESLDHCPKSHVVDRIEGSLEIEVMTKHRLSESIKSLFGSHINLEKHEELKGTKIEKSSWQQEKDSSSSSSSDSDSEYSSNDKSGKNGLLESDFQKTDGIKHELESAHLEVADLKRKLTATSEEKEALNLEYEVALTKIEETEKIARDLKTEAERLDVEKSQLLAENNELNKKLEAGGKIEAELNQRVENVERERDNLIREKETALRRIEDGENITAELRTMVDQLKDEKVTLEQELESVQGEVSNLKQQLESAEQQVSDVSKAKEEETLKISEMSNEIQQAQNMIQELTVESSQLKEKLGQKENEYSTLSERHELHENKTSAQIKGLQATVTGLELELESLQGQKRDMEVKIESKETEVKQLEDENTGLQVRISELKSVSNERAAELSALTKELEDKTSESIQLKEKLENKETQVKQVEEENAGLQAQISKLESTLEEREAELSALTKKLEDSNTEYSQLNEQMDLKEKEYLTLSEMHKLHENETLAQIKGLEEKVSGLELELESLRHQKSDLEVEIESKETEAKQLGEENAGLHARVSELELISEDREAELSALTKKIEDSNNESSSRIADLAAQISNLLADIDSLRAQKVELEEQIVCKGDEASTQVKGLMEQVNVLQQELESLLSQKTELQVQVENKTQETSEYLIQIQNLKEEITNKLTDHQRIVEEKESLTAEKRDIEIKVDSIHNHKSELEEEIRTKVLENDQLRAEIVELKDQISEFEKKLTQIEVEFSSLQEKHESSVNDASAQIEAFVSQVNSLQQDLDSLQTQKKQIELQFEKEKQEHSESLTLLENEKAELTSKITDHQRLLNEREDSYKKLNEEYKQLESQFQDSKVNRDSAERKIEQMVLEFSTKVESKDQIIADLEQAAEDLKRDLEEKGDELSSLVDNSRNTEVKLRLSNQKLRVTEQLLAEKEESFRRAEQKFQEEQRALEDRIATLSGTISANNEAYQRNITHISENVNSSLTVLESVIKKFVDDFAKYEKCILGTTKELHTAKNWVAETNGERVKLKEEVGDLIKQLRGKKEEALVLREQVEKLRATASGEEVEKGGLIKAVKQLERTVEDLEKTVGEKNEGLLGLAEEKREAIRQLCMWIEYHQSRYDDLKEVLSKMTAARGQRRA >ONI07363 pep chromosome:Prunus_persica_NCBIv2:G5:11800472:11804817:1 gene:PRUPE_5G115300 transcript:ONI07363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQLKDEKVTLEQELESVQGEVSNLKQQLESAEQQVSDVSKAKEEETLKISEMSNEIQQAQNMIQELTVESSQLKEKLGQKENEYSTLSERHELHENKTSAQIKGLQATVTGLELELESLQGQKRDMEVKIESKETEVKQLEDENTGLQVRISELKSVSNERAAELSALTKELEDKTSESIQLKEKLENKETQVKQVEEENAGLQAQISKLESTLEEREAELSALTKKLEDSNTEYSQLNEQMDLKEKEYLTLSEMHKLHENETLAQIKGLEEKVSGLELELESLRHQKSDLEVEIESKETEAKQLGEENAGLHARVSELELISEDREAELSALTKKIEDSNNESSSRIADLAAQISNLLADIDSLRAQKVELEEQIVCKGDEASTQVKGLMEQVNVLQQELESLLSQKTELQVQVENKTQETSEYLIQIQNLKEEITNKLTDHQRIVEEKESLTAEKRDIEIKVDSIHNHKSELEEEIRTKVLENDQLRAEIVELKDQISEFEKKLTQIEVEFSSLQEKHESSVNDASAQIEAFVSQVNSLQQDLDSLQTQKKQIELQFEKEKQEHSESLTLLENEKAELTSKITDHQRLLNEREDSYKKLNEEYKQLESQFQDSKVNRDSAERKIEQMVLEFSTKVESKDQIIADLEQAAEDLKRDLEEKGDELSSLVDNSRNTEVKLRLSNQKLRVTEQLLAEKEESFRRAEQKFQEEQRALEDRIATLSGTISANNEAYQRNITHISENVNSSLTVLESVIKKFVDDFAKYEKCILGTTKELHTAKNWVAETNGERVKLKEEVGDLIKQLRGKKEEALVLREQVEKLRATASGEEVEKGGLIKAVKQLERTVEDLEKTVGEKNEGLLGLAEEKREAIRQLCMWIEYHQSRYDDLKEVLSKMTAARGQRRA >ONI07755 pep chromosome:Prunus_persica_NCBIv2:G5:12977124:12980208:-1 gene:PRUPE_5G137700 transcript:ONI07755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTPSTNPKDLDSSATRSPKNRRHRQSHVATAVIAVAALVISTAAWLSLVFSGASQPFPAPQTLTPSRNRSLSLSQQQQQLRHSQELTLNDIVFGIAGSAQLWKQRREYVRLWWRPKDMRGHVWLEEKLPKNDVDLSLPPIMVSEDISRFRYTNPTGHPSGLRISRIVSECFRLGLPNVRWFLLADDDTIINVDNLVAVLSKYDSSEMVYVGSPSESHSANTYFSHSMAFGGGGIAISHPLAEALSEMQDECLERYPKLYGSDDRLHACITELGVPLTREPGFHQCDIRGNAHGLLSSHPIAPFVSIHHVEAVDPFYPGFTSLQSLELFTQAMRLQPTSFLQRSICYDRRRRLTFSVSVGYVVQVFPSIVLPRDLERSEQTYSAWNGISHRNEFDFDTKDPYRSVCKKPILFFLKDVTQQENATLGSYVRPRAKDDFKRKVLCFPRFRVLRNLRKIQVLGYPLTKKWHLVPRRLCCKLNQTSEEVLRLTVGQCAKGDFGSITDSK >ONI07756 pep chromosome:Prunus_persica_NCBIv2:G5:12977126:12980013:-1 gene:PRUPE_5G137700 transcript:ONI07756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTPSTNPKDLDSSATRSPKNRRHRQSHVATAVIAVAALVISTAAWLSLVFSGASQPFPAPQTLTPSRNRSLSLSQQQQQLRHSQELTLNDIVFGIAGSAQLWKQRREYVRLWWRPKDMRGHVWLEEKLPKNDVDLSLPPIMVSEDISRFRYTNPTGHPSGLRISRIVSECFRLGLPNVRWFLLADDDTIINVDNLVAVLSKYDSSEMVYVGSPSESHSANTYFSHSMAFGGGGIAISHPLAEALSEMQDECLERYPKLYGSDDRLHACITELGVPLTREPGFHQCDIRGNAHGLLSSHPIAPFVSIHHVEAVDPFYPGFTSLQSLELFTQAMRLQPTSFLQRSICYDRRRRLTFSVSVGYVVQVFPSIVLPRDLERSEQTYSAWNGISHRNEFDFDTKDPYRSVCKKPILFFLKDVTQQENATLGSYVRPRAKDDFKRKVLCFPRFRVLRNLRKIQVLGYPLTKKWHLVPRRLCCKLNQTSEEVLRLTVGQCAKGDFGSITDSK >ONI06587 pep chromosome:Prunus_persica_NCBIv2:G5:8303913:8305289:-1 gene:PRUPE_5G068800 transcript:ONI06587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMNDSVDDLPEVLLVEIICRLSCSKFVFQCKCVSKRWCELISSSHFVGQYVRCQRDLKTPILGTVVVDNGTFFPVENEDGLMSLQLPVISEAAPEQKLFVVGACNDLVLCCPSELDQRDYYICNPYTKKWVALPPPPRIHNWVSVGFICDPYYNYNSSSTFDDEVSINAEYRWRVVRLRQEFYVDIFFSETGEWRESANVVCGLRNYFDIITAGVACNGKLYFSGSDHASSYILELDPFQGISNISTNNGDGDGDHIIVDKCRFSLAPLDMLAEVRGYAISKYRVLGACRGHLRVSDFLVGDHLSVWELDAGDDNLKWRLVVDKVPFFRMDSPNSDDPLMPLDEWSKTVIGFHPSIEDTIYVDARQIIRFPQCKINANKER >ONI08496 pep chromosome:Prunus_persica_NCBIv2:G5:15189144:15191094:1 gene:PRUPE_5G181600 transcript:ONI08496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVSNLVDRISELPEEILGGIILSLLPLKEAAATSILSKRWRYVWCFTTNLYFDDDDNLLYFRALEPEVRHQKSCRYVNWVNHVLKQHRGSVEQFRVCFHLDRRFASSIDKWIQFAMEKRVRVLLLDCQRAFYEASYSLPRTILGLEKEKVTPFGCDIPSLHSCGYTGFGFLRVLQLIGVDVTQEVVEYFLSNCRALERLSLGGARNLVNLRVVRPSVSLKYLSIRCCLGLKSVEICDANLVSFAYHGTQAKLLLNNLPSLVEVSFCEFSTFHPESIRLAFTPFLCLLSQLETLKLGIHLEMSSWSPWYASPVPTLPNVKHLELIVQPDTPFVLYHLASFLKASPSLQTLVLKLEYGSWEPWETMKVGKGPQWPHHNLKVVEILGYRGRINVIEHVIYFIENVVALEKLVIDPVMCWSHHPTGMGRRIEDVKEEEEARDHAMHQLKQMVPSTVQFVCL >ONI08406 pep chromosome:Prunus_persica_NCBIv2:G5:14950008:14953680:-1 gene:PRUPE_5G176300 transcript:ONI08406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHLLYAMGTGLALTTLSNGRFSPHHTLFYTINAFFGPDIGSFSEWLDSTLGFGFGSKLADLIHHPFYYVLFPGLPLCLLYSLVSRVLLQRRLLDSFSRVPLTRKQCWFLVSAGSFSHFFLDHLFEENGHSKMYTWILSTGWWKGRAPVNPDAVVVVGLLCIFLIGGFIYINRVKPHKSINKQSYQSVTLISIVAILYCLWCASQIHWVNPRRPAVGEEADLGVLVFLAIYFFLPHLLCILSMHPIDLQAEQLPL >ONI08408 pep chromosome:Prunus_persica_NCBIv2:G5:14951179:14953680:-1 gene:PRUPE_5G176300 transcript:ONI08408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHLLYAMGTGLALTTLSNGRFSPHHTLFYTINAFFGPDIGSFSEWLDSTLGFGFGSKLADLIHHPFYYVLFPGLPLCLLYSLVSRVLLQRRLLDSFSRVPLTRKQCWFLVSAGSFSHFFLDHLFEVI >ONI08407 pep chromosome:Prunus_persica_NCBIv2:G5:14950637:14952270:-1 gene:PRUPE_5G176300 transcript:ONI08407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGPHLLYAMGTGLALTTLSNGRFSPHHTLFYTINAFFGPDIGSFSEWLDSTLGFGFGSKLADLIHHPFYYVLFPGLPLCLLYSLVSRVLLQRRLLDSFSRVPLTRKQCWFLVSAGSFSHFFLDHLFEENGHSKMYTWILSTGWWKGRAPVNPDAVVVVGLLCIFLIGGFIYINSFGMQG >ONI08245 pep chromosome:Prunus_persica_NCBIv2:G5:14426171:14429692:1 gene:PRUPE_5G166700 transcript:ONI08245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPQTRHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVVLLEPDKHVVGLADAYFFPPFQPSLLPRTKGGPIIPTKLPPRRARLVVYNKKSNETSTWVVELSEVHAATRGGHHRGKVISSQVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDAWCVGYHSDADAPSQRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQNMVVVEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQILQPEGPSFRVNGYFVEWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGIETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGEVRKYGTVIAPGLYAPVHQHFFVARMDMAVDCKPGETYNQVVELDVKVEKPGDNNVHSNAFYAEETLLRTELQAMRDCNPLTARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYAQDEMFPGGEFPNQNPRVGEGLATWVKKNRSLEETDIVLWSV >ONI08244 pep chromosome:Prunus_persica_NCBIv2:G5:14425412:14430292:1 gene:PRUPE_5G166700 transcript:ONI08244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPQTRHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVVLLEPDKHVVGLADAYFFPPFQPSLLPRTKGGPIIPTKLPPRRARLVVYNKKSNETSTWVVELSEVHAATRGGHHRGKVISSQVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDAWCVGYHSDADAPSQRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQNMVVVEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQILQPEGPSFRVNGYFVEWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGIETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGEVRKYGTVIAPGLYAPVHQHFFVARMDMAVDCKPGETYNQVVELDVKVEKPGDNNVHSNAFYAEETLLRTELQAMRDCNPLTARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYAQDEMFPGGEFPNQNPRVGEGLATWVKKNRSLEETDIVLWYVFGITHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSACELEAKDNDVKDNGVAKPIPNGLLAAKL >ONI08243 pep chromosome:Prunus_persica_NCBIv2:G5:14424691:14430408:1 gene:PRUPE_5G166700 transcript:ONI08243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASKKTSSSCCFRSDSAPIPREAAPAPNAVVSASVVQDWTAIAGSEDRRDDQRPKKIAMASLITEPSANASTTGIPIMLRPQTRHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFVEVVLLEPDKHVVGLADAYFFPPFQPSLLPRTKGGPIIPTKLPPRRARLVVYNKKSNETSTWVVELSEVHAATRGGHHRGKVISSQVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDAWCVGYHSDADAPSQRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQNMVVVEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQILQPEGPSFRVNGYFVEWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGIETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGEVRKYGTVIAPGLYAPVHQHFFVARMDMAVDCKPGETYNQVVELDVKVEKPGDNNVHSNAFYAEETLLRTELQAMRDCNPLTARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYAQDEMFPGGEFPNQNPRVGEGLATWVKKNRSLEETDIVLWYVFGITHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSACELEAKDNDVKDNGVAKPIPNGLLAAKL >ONI09522 pep chromosome:Prunus_persica_NCBIv2:G5:18233009:18235153:1 gene:PRUPE_5G242500 transcript:ONI09522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGVRAGLAVKAIVVVIFTSMWFRCVSAFAAAINTNHSVGGASGWDLSSNLQAWATHATFHVGDCLVFRYTPVHDVLEVKNTDYDRCHTVDPMETHDEGETVIQLREVGNRYFICGRLGHCAMGLKLHVQVFPAQLMTNNATSPAAPPPTTSDHHHDHPNDNNNNNSSHGMMLPSPPPADSNYSNNNDAVAAKTSSTSTTAGTAAAAAFLVVVFVTLHAVAEHSAS >ONI05727 pep chromosome:Prunus_persica_NCBIv2:G5:2352218:2353744:-1 gene:PRUPE_5G021500 transcript:ONI05727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKGLFRRYERWNPVHPTSGAFWGLGVGIGCGIGWGPGFGPEVIGYVGAGCGVGFSVGFTLLGAGIGLPANWLLQVPYNAAMATRSGALGFGQYSGLPFSKNIAGEGWNNIAPCFTNLQREASGRFSSFNQQHLLDKGVDLFEMKSRLSVNASSMCESLQAFRGRIFHSPKASS >ONI05728 pep chromosome:Prunus_persica_NCBIv2:G5:2351695:2354014:-1 gene:PRUPE_5G021500 transcript:ONI05728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKGLFRRYERWNPVHPTSGAFWGLGVGIGCGIGWGPGFGPEVIGYVGAGCGVGFSVGFTLLGAGIGLPANWLLQVPYNAAMATRSGALGFGQYSGLPFSKNIAGEGWNNIAPCFTNLQREASGRFSSFNQQHLLDKGVDLFEMKSRLSVNASSMCESLQAFRGRIFHSPKASS >ONI05726 pep chromosome:Prunus_persica_NCBIv2:G5:2350513:2354049:-1 gene:PRUPE_5G021500 transcript:ONI05726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKGLFRRYERWNPVHPTSGAFWGLGVGIGCGIGWGPGFGPEVIGYVGAGCGVGFSVGFTLLGAGIGLPANWLLQVPYNAAMATRSGALGFGQYSGLPFSKNIAGEGWNNIAPCFTNLQREASGRFSSFNQQHLLDKGVDLFEMKSRLSVNASSMCESLQAFRGRIFHSPKGTKS >ONI05725 pep chromosome:Prunus_persica_NCBIv2:G5:2350593:2354014:-1 gene:PRUPE_5G021500 transcript:ONI05725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKGLFRRYERWNPVHPTSGAFWGLGVGIGCGIGWGPGFGPEVIGYVGAGCGVGFSVGFTLLGAGIGLPANWLLQVPYNGEGWNNIAPCFTNLQREASGRFSSFNQQHLLDKGVDLFEMKSRLSVNASSMCESLQAFRGRIFHSPKGTKS >ONI06091 pep chromosome:Prunus_persica_NCBIv2:G5:4396826:4400728:-1 gene:PRUPE_5G039700 transcript:ONI06091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTSVTNGFSQSRMDQKINIYIWDMDETLILLKSLLNGTYAEAFNGLKNIQEGVEIGKMWEKHILALCDDHFFYEQIENYNKPSLDALSQYDDGRNLSDYDFNQDGFGPPYDDSNNRKLAYRHRFIAHRYKQGLHSFLNQEMIKDLDELYDMTDKYTDRWLSSARIFLEECSAGHKKTSLVAADGINESSASKYQHINLLVTSGSLIPSLVKCLLFRLNSMITDENVYSSWEVGKLHCFQQIKVRFNHPNVRFCVIGDGWEECEAAQAMRWPLVKIDMRPGGSHRFPGLTFRTLGFYFSVVYGSSDAEDEEE >ONI06092 pep chromosome:Prunus_persica_NCBIv2:G5:4396533:4401305:-1 gene:PRUPE_5G039700 transcript:ONI06092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTSVTNGFSQSRMDQKINIYIWDMDETLILLKSLLNGTYAEAFNGLKNIQEGVEIGKMWEKHILALCDDHFFYEQIENYNKPSLDALSQYDDGRNLSDYDFNQDGFGPPYDDSNNRKLAYRHRFIAHRYKQGLHSFLNQEMIKDLDELYDMTDKYTDRWLSSARIFLEECSAGHKKTSLVAADGINESSASKYQHINLLVTSGSLIPSLVKCLLFRLNSMITDENVYSSWEVGKLHCFQQIKVRFNHPNVRFCVIGDGWEECEAAQAMRWPLVKIDMRPGGSHRFPGLTFRTLGFYFSVVYGSSDAEDEEE >ONI06093 pep chromosome:Prunus_persica_NCBIv2:G5:4396557:4401283:-1 gene:PRUPE_5G039700 transcript:ONI06093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKINIYIWDMDETLILLKSLLNGTYAEAFNGLKNIQEGVEIGKMWEKHILALCDDHFFYEQIENYNKPSLDALSQYDDGRNLSDYDFNQDGFGPPYDDSNNRKLAYRHRFIAHRYKQGLHSFLNQEMIKDLDELYDMTDKYTDRWLSSARIFLEECSAGHKKTSLVAADGINESSASKYQHINLLVTSGSLIPSLVKCLLFRLNSMITDENVYSSWEVGKLHCFQQIKVRFNHPNVRFCVIGDGWEECEAAQAMRWPLVKIDMRPGGSHRFPGLTFRTLGFYFSVVYGSSDAEDEEE >ONI05836 pep chromosome:Prunus_persica_NCBIv2:G5:2944175:2947181:-1 gene:PRUPE_5G026000 transcript:ONI05836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSVSRAAHRRTQSAIDEREVLPPNSEGTGSATAPITASHGIEVAVEFKPVEHPIEPLNNDRPIQCPLPEPSILNDGRLWKERVSATVPRRGDLPVMKEGGSIDSEDSGTKPRQKRTNRMILPSLSAPEHNLLNLLEECNASGI >ONI05835 pep chromosome:Prunus_persica_NCBIv2:G5:2944428:2947281:-1 gene:PRUPE_5G026000 transcript:ONI05835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSVSRAAHRRTQSAIDEREVLPPNSEGTGSATAPITASHGIEVAVEFKPVEHPIEPLNNDRPIQCPLPEPSILNDGRLWKERVSATVPRRGDLPVMKEGGSIDSEDSGTKPRQKRTNRMILPSLSAPEHNLLNLLEECNASGI >ONI06760 pep chromosome:Prunus_persica_NCBIv2:G5:9346730:9350615:-1 gene:PRUPE_5G079500 transcript:ONI06760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFKLSLRFPKSISSSYRAIHDKTQFDNAGPVASVLKSRAVVRFRGPDTVKFLQGLLTNDVRRFGEAVGEKTSTLPTPNLPTASEAPMYAALLTPQGRFLYDFFLYAPPRPDTKLDKTGSGPGPDPDEALELFADVDASVLDELLSTLKKYRLRSKVDIENVAEELYCWQRFGGNLSEKSSSVEEPEAASVGWGAGVDPAGMSASHGGPLGWQWFKDPRLDCLGFRGIFPSNTTPPLVEADKETDEQNYFLWRIENGVAEGSTEIPKVLMTSYFASVILLCPGVV >ONI06757 pep chromosome:Prunus_persica_NCBIv2:G5:9346730:9350615:-1 gene:PRUPE_5G079500 transcript:ONI06757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFKLSLRFPKSISSSYRAIHDKTQFDNAGPVASVLKSRAVVRFRGPDTVKFLQGLLTNDVRRFGEAVGEKTSTLPTPNLPTASEAPMYAALLTPQGRFLYDFFLYAPPRPDTKLDKTGSGPGPDPDEALELFADVDASVLDELLSTLKKYRLRSKVDIENVAEELYCWQRFGGNLSEKSSSVEEPEAASVGWGAGVDPAGMSASHGGPLGWQWFKDPRLDCLGFRGIFPSNTTPPLVEADKETDEQNYFLWRIENGVAEGSTEIPKGEAIPLEYNLVGLNAISFDKGCYVGQELVARTHHRGVIRKRLLPLRFVKDSGEEAEQKVAPGSEVIDSVSEKKVGTITTQLGCRGLGVLRLDEAFKGSNTLKIQGQKDIKVEAIRPHWWPAEWLQEPQHQSAAV >ONI06758 pep chromosome:Prunus_persica_NCBIv2:G5:9348464:9350144:-1 gene:PRUPE_5G079500 transcript:ONI06758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFKLSLRFPKSISSSYRAIHDKTQFDNAGPVASVLKSRAVVRFRGPDTVKFLQGLLTNDVRRFGEAVGEKTSTLPTPNLPTASEAPMYAALLTPQGRFLYDFFLYAPPRPDTKLDKTGSGPGPDPDEALELFADVDASVLDELLSTLKKYRLRSKVDIENVAEELYCWQRFGGNLSEKSSSVEEPEAASVGWGAGVDPAGMSASHGGPLGWQWFKDPRLDCLGFRGIFPSNTTPPLVEADKETDEQNYFLWRIENGVAEGSTEIPKVILLCPGVV >ONI06756 pep chromosome:Prunus_persica_NCBIv2:G5:9346730:9350616:-1 gene:PRUPE_5G079500 transcript:ONI06756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFKLSLRFPKSISSSYRAIHDKTQFDNAGPVASVLKSRAVVRFRGPDTVKFLQGLLTNDVRRFGEAVGEKTSTLPTPNLPTASEAPMYAALLTPQGRFLYDFFLYAPPRPDTKLDKTGSGPGPDPDEALELFADVDASVLDELLSTLKKYRLRSKVDIENVAEELYCWQRFGGNLSEKSSSVEEPEAASVGWGAGVDPAGMSASHGGPLGWQWFKDPRLDCLGFRGIFPSNTTPPLVEADKETDEQNYFLWRIENGVAEGSTEIPKGEAIPLEYNLVGLNAISFDKGCYVGQELVARTHHRGVIRKRLLPLRFVKDSGEAEQKVAPGSEVIDSVSEKKVGTITTQLGCRGLGVLRLDEAFKGSNTLKIQGQKDIKVEAIRPHWWPAEWLQEPQHQSAAV >ONI06759 pep chromosome:Prunus_persica_NCBIv2:G5:9348464:9350144:-1 gene:PRUPE_5G079500 transcript:ONI06759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFKLSLRFPKSISSSYRAIHDKTQFDNAGPVASVLKSRAVVRFRGPDTVKFLQGLLTNDVRRFGEAVGEKTSTLPTPNLPTASEAPMYAALLTPQGRFLYDFFLYAPPRPDTKLDKTGSGPGPDPDEALELFADVDASVLDELLSTLKKYRLRSKVDIENVAEELYCWQRFGGNLSEKSSSVEEPEAASVGWGAGVDPAGMSASHGGPLGWQWFKDPRLDCLGFRGIFPSNTTPPLVEADKETDEQNYFLWRIENGVAEGSTEIPKVLMTSYFASVILLCPGVV >ONI06761 pep chromosome:Prunus_persica_NCBIv2:G5:9348621:9350144:-1 gene:PRUPE_5G079500 transcript:ONI06761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFKLSLRFPKSISSSYRAIHDKTQFDNAGPVASVLKSRAVVRFRGPDTVKFLQGLLTNDVRRFGEAVGEKTSTLPTPNLPTASEAPMYAALLTPQGRFLYDFFLYAPPRPDTKLDKTGSGPGPDPDEALELFADVDASVLDELLSTLKKYRLRSKVDIENVAEELYCWQRFGGNLSEKSSSVEEPEAASVGWGAGVDPAGMSASHGGPLGWQWFKDPRLDCLGFRGIFPSNTTPPLVEADKETDEQNYFLWRIENGVAEGSTEIPKGASLLPL >ONI06506 pep chromosome:Prunus_persica_NCBIv2:G5:7933395:7934000:1 gene:PRUPE_5G065200 transcript:ONI06506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFFQALSLFYYSKFAILVGMSMPEFLRSYMIVSVDTPDCLNFDIRPLRYCNGPFVASLYWPFVSSGFFD >ONI07575 pep chromosome:Prunus_persica_NCBIv2:G5:12486656:12491028:-1 gene:PRUPE_5G128400 transcript:ONI07575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFYFLLFGALSAVVAALELSKNNKDRIHTSSVFNDFKNNYLLIYSLMMAGDWLQGPYVYYLYSQYGFSKGDIGQLFIAGFGSSMLFGTVVGSLADKQGRKRACITYCITYILSCFTKHFPDYKVLLLGRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAIVAGLLGNLLVDTLGFGPVAPFDAAACFLAIGMAIILASWGENYGDSSENKNLITQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMAASSPKVESYMQIVFVVSAASLLLPIVTTLFIAPSNVKGGSMSLSGYTQLIGFCIFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDAFPITIMFGMCSIFLSVAAVLQRRLMVIADGPKSKEWTTHERDTEAEPLND >ONI07576 pep chromosome:Prunus_persica_NCBIv2:G5:12487765:12490711:-1 gene:PRUPE_5G128400 transcript:ONI07576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFYFLLFGALSAVVAALELSKNNKDRIHTSSVFNDFKNNYLLIYSLMMAGDWLQGPYVYYLYSQYGFSKGDIGQLFIAGFGSSMLFGTVVGSLADKQGRKRACITYCITYILSCFTKHFPDYKVLLLGRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAIVAGLLGNLLVDTLGFGPVAPFDAAACFLAIGMAIILASWGENYGDSSENKNLITQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMAASSPKVESYMQIVFVVSAASLLLPIVTTLFIAPSNVKGGSMSLSGYTQLIGFCIFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDAFPITIMFGMCSIFLSVAAVLQRRLMVIADGPKSKPEEWTTHERDTEAEPLND >ONI09038 pep chromosome:Prunus_persica_NCBIv2:G5:16876549:16877674:1 gene:PRUPE_5G213800 transcript:ONI09038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLDSKKVPADDQFQTETFQENKERISAMRKVNSNNISGKRFLGVRQRPSGRWVAEIKDSSQKLRLWLGTFDKAEEAALAYDKAARLLRGRNAKTNFPCHIMNTHEENCSILRKNPKLYQLLQHAIMKNHAIARSSSMRINPWDRNQIRGIDFDTLVEETIVCSSSGNTSGQDHDDHEDRNKLCALSFGSCKVYSSVVVAPSFSASSQTQCQQAHEEKGYQEA >ONI05712 pep chromosome:Prunus_persica_NCBIv2:G5:2234047:2234638:1 gene:PRUPE_5G020500 transcript:ONI05712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICTFTLSFGTSCTNWKKEKYSLDQSTGITPPMSNYPSKKLHLRRYCSLPNKRRGKVGRDELTQLSKV >ONI08397 pep chromosome:Prunus_persica_NCBIv2:G5:14922045:14924558:-1 gene:PRUPE_5G175500 transcript:ONI08397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTGTIRMVVGIIGNVISLGLFLSPIPTFCRIIKLKTVADFKPDPYVATLLNCAMWVFYGMPFVHPDSILVITINGAGFFIELIYITIFIIYSPGSKRKKIFIALVTEVIFFVIVVFVVMYCFHTTKRRSLIVGIICIVFNILMYASPLTIMKIVIKTKSVKYMPFYLSLASLLNGVVWVVYALLKFDINILIPNGLGAISGVVQLILYATFYRTTRWDDDDDEMNSRSKVQMSNV >ONI06467 pep chromosome:Prunus_persica_NCBIv2:G5:6803912:6808244:1 gene:PRUPE_5G062400 transcript:ONI06467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPLSSSPTSTSTSSTEKEKTDRKKNRALLYLNVYDLTPVNNYLYWFGFGIFHSGIEVHGLEYGFGAHEYPSSGVFEVEPRSCPGFIFRRSVLLGSTDMSRSELRSFMEHLSGKYHGDTYHLIAKNCNHFTDEVCMRLTGKPIPGWVNRLAKVGSFCNCLLPESIQIAAVRHLPDHPAYSGEDDDDDGSESIASSGTEGSEEGPNHHLLTTPAGDVAFLKEKPVRLAREH >ONI06469 pep chromosome:Prunus_persica_NCBIv2:G5:6805253:6808244:1 gene:PRUPE_5G062400 transcript:ONI06469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMTKFYLFLYPVHGLEYGFGAHEYPSSGVFEVEPRSCPGFIFRRSVLLGSTDMSRSELRSFMEHLSGKYHGDTYHLIAKNCNHFTDEVCMRLTGKPIPGWVNRLAKVGSFCNCLLPESIQIAAVRHLPDHPAYSGEDDDDDGSESIASSGTEGSEEGPNHHLLTTPAGDVAFLKEKPVRLAREH >ONI06468 pep chromosome:Prunus_persica_NCBIv2:G5:6804048:6807944:1 gene:PRUPE_5G062400 transcript:ONI06468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPLSSSPTSTSTSSTEKEKTDRKKNRALLYLNVYDLTPVNNYLYWFGFGIFHSGIEVHGLEYGFGAHEYPSSGVFEVEPRSCPGFIFRRSVLLGSTDMSRSELRSFMEHLSGKYHGDTYHLIAKNCNHFTDEVCMRLTGKPIPGWVNRLAKVGSFCNCLLPESIQIAAVRHLPDHPAYSGMMMMTMDQNPSHHLEQKEVKRGQIIIC >ONI07956 pep chromosome:Prunus_persica_NCBIv2:G5:13617475:13617684:-1 gene:PRUPE_5G149600 transcript:ONI07956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWAPVLLGLMLFILLSPGLLFQIPGNTRHVEFGSFTTNGKAILVHTLIFFVIFTILILAFGIHIHTG >ONI07433 pep chromosome:Prunus_persica_NCBIv2:G5:12021935:12022390:-1 gene:PRUPE_5G120300 transcript:ONI07433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFLSFFSRIKTVDAMLELEKPDVKNFIYRDELSKKPFSKKQKMNGSTCFESSESLIKRELEDGKLCGGRSSYGSAAEEKGEVIRVKIKMTKQEAAQMLSKCKDGGVLEFKDVTCALAQIPTSRVRIDPSPATCCGAVPAVLKTIPEEY >ONI07432 pep chromosome:Prunus_persica_NCBIv2:G5:12020806:12022422:-1 gene:PRUPE_5G120300 transcript:ONI07432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFLSFFSRIKTVDAMLELEKPDVKNFIYRDELSKKPFSKKQKMNGSTCFESSESLIKRELEDGKLCGGRSSYGSAAEEKGEVIRVKIKMTKQEAAQMLSKCKDGGVLEFKDVTCALAQIPTSRVRIDPSPATCCGAVPAVLKTIPEEY >ONI07434 pep chromosome:Prunus_persica_NCBIv2:G5:12020806:12022423:-1 gene:PRUPE_5G120300 transcript:ONI07434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSFLSFFSRIKTVDAMLELEKPDVKNFIYRDELSKKPFSKKQKMNGSTCFESSESLIKRELEDGKLCGGRSSYGSAAEEKGEVIRVKIKMTKQEAAQMLSKCKDGGVLEFKDVTCALAQIPTSRVRIDPSPATCCGAVPAVLKTIPEEY >ONI08716 pep chromosome:Prunus_persica_NCBIv2:G5:15927994:15930894:1 gene:PRUPE_5G196600 transcript:ONI08716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHWQNSQPSAAAADLISGKGHVLTMTSRQYDPRGKLPEMEKSPVNISEMEKTQKFELQTSILELLRRLSGSNDILVQPCSPSLTMPSAAADLTPAEYRALTKLSEVLSAQLSARLSAQLSARLSAQLSAQQLQLADTQKSILEELRLIRSSNASLTQSTTTPNFTVIDDSPNREPFNPHPSPEHASMTTHQGEDRSVYLLVSFDDSEYTDSIYKVTFKHGGVTHEPPVVGLMAEYYDSFPIKGARIFNRSKLYIIPQEVYEKTRRAKSCRPLGYSIDTKTGSYCSSLPPSIASKALGTLVSAYDKLYYVALPGSSPSIKEPSFERYDPDEDLWERMTSFPFYHEYGIRMKIIGYAVCYGVILFSLSDSYMNSFVVAFHESRNQWNQVTSASYASFRGRAVVVGDTIYALHALIEEVIITFSLRMDKGEDGGIAYSLSPLFVLRGLKIACPPVRFNKLKTGYLVHLGNRDFFHVKSGSPNEEALPVVQYLCITTFQIIVGEGGKPMIRTIHSTVHPVDIKGRDWFSLEFCFTPEFGDYEPIEVESVTSMNLPKQEETTLDEHDKEFLIREGTRSKLRACPWLRGKLNLKYKAPCIVKGPSTKTPN >ONI06851 pep chromosome:Prunus_persica_NCBIv2:G5:9733260:9735197:1 gene:PRUPE_5G085300 transcript:ONI06851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKKGIALVQVLHLLGLTFMFYLSIAVAQEEQTSLQTYIVWVEKPISQNSYAQSHEDLESWYQSFLPETSANSNQLMKQRMVHAYRHIATGFAAKLTQEEVKAMENKEGFVSAHLQRTLPLHTTHSPEFLGLHQGLGLWEQTNYGEGVIIGVLDTGIGPDHPSFSDEGVSPPPAKWKGKCVFNGTVCNNKLIGAKNFIDAGKGKARRSAPFDQDGHGTHTSSTAAGNFVEGASLFGQANGTASGMAPYAHLAIYKVCGGYDCADADVLAAMDAAVDDGVDVLSLSLGGPSVPLYVDLIAVGAFGAMRKGIFVSCSAGNDGPEHGSLSNEAPWILTVGASTTDRILNLTATPTATNLSKVSGSDPLAPKVAIFSSRGPNIASPGILKPDIIGPGIDILAAWPYSVDNATSKATFNIISGTSMSCPHLSGIAALLKSSHPDWSPAAIKSAIMTTADVLNLIGKPIVDEWLRPADIFAIGAGHVNPSKAIDPGLIYDIQAEDYIPYLCGLNYTEKQIRILTQQAVNCSQVGAIEETQLNYPSFSIFVSSSHKLWTQSAHYTRTVKNVGPTNSVYSLDLLVPNKTRMSVNPQVLTFTEVNQTITYQVEFLALDSATSGGDDFQSQGYLRWVSDQHNVTSPIAVVIEAK >ONI09303 pep chromosome:Prunus_persica_NCBIv2:G5:17593946:17597964:1 gene:PRUPE_5G230300 transcript:ONI09303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKDLLRFMKPYISPIHIKKYAGKRVGIDAYSWLHKGAYSCSLELCMNSNSERKLKYIDYFMHRINLLRHHKITPVVVFDGGNVPCKAATAEERHRRRKTNHDLAMAKFKEGDIRAATELFQRAVSVTPSMAHQLIQILRSENIEFVVAPYEADAQLAFLSNLEAEKGGIAAVITEDSDLVAYGCQAVVFKMDQYGNGEEMVLENVFNPVGRTPSFQNFDQELFTGMCVLAGCDFLPSVHGIGIAKAYALVSKYRNLDRALSVLKSQKGNQMPEDYPKCFKEAVAVFQHAQIFDAEKKKVKHMKAIPENLVQSLDGELDFLGPEMPPSVATAIAEGNLDPINMKAFDHFPASRHHQEPIKSQNIGQIQRPETAVVAAEDSCFVSFPSHKSRRKDSTGPTERQNPVSNENKYLNESAALAKLIVPSTNNVTLESTIAPNTIPLKVRHNNPFKKRKDDVHSDQMGSKTKQVSSEAEVEISDTLNVSPSNIKTKVVNNVPSRKRKNDIILEHVESIGEEVSVVTEIESTDILCVTPESQESVNSKLPKGKRIVKNEKLKRSSFKSSGNKNSSILNFFSRV >ONI07459 pep chromosome:Prunus_persica_NCBIv2:G5:12076014:12080247:1 gene:PRUPE_5G121200 transcript:ONI07459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTILVTGGAGYIGSHTVLQLLLGGFKTVVVDNLDNSSEVAVNRVRELAREFGKNLSFHKVDLRDKPALDKLFSSIPFDAVIHFAGLKAVGESVQKPLLYYNNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKVVPCTEDFPLVAANPYGRTKLFIEEIARDVYCSDSDWKIILLRYFNPVGAHPSGHIGEDPRGIPNNLMPFVQQVAVGRRQALTVFGTDYNTKDGTGVRDYIHVVDLADGHIAALQKLDEDNIGCEVYNLGTGKGTSVLEMVAAFESASGKKIPLVTSGRRPGDAEVVYASTEKAERELNWKAQYSIDDMCRDQWNWASKNPFGYDTSESTN >ONI06705 pep chromosome:Prunus_persica_NCBIv2:G5:8941701:8947141:1 gene:PRUPE_5G075400 transcript:ONI06705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKTNHAASVLTDPPPISKSRLGLHSSLLPYSQQGASFSSGKYINIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKLIKDFNFEVASDDVDNAYCSWMLKHPSALNSFERITNFARNKKIAIFLDYDGTLSPIVDDPDRAIMSNAMRSAVRNVAKHFPTAIISGRSRDKVYDLVGLTELYYAGSHGMDIMGPVTNTDSNDHPNCVKSTDQQGKEVNLFQPAREFIPMIDEVFRTLVENTKGIKGAKVENHKFCASVHYRNVDEKNWSTIAQSVHDILKDYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLNGRDDVLPIYIGDDRTDEDAFKFLKKNKKRGYGILVSPVPKETSAFYSLKDPSEVMEFLKSLVRWKEEEEEAN >ONI06704 pep chromosome:Prunus_persica_NCBIv2:G5:8941701:8947141:1 gene:PRUPE_5G075400 transcript:ONI06704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKTNHAASVLTDPPPISKSRLGLHSSLLPYSQQGASFSSGKYINIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKLIKDFNFEVASDDVDNAYCSWMLKHPSALNSFERITNFARNKKIAIFLDYDGTLSPIVDDPDRAIMSNAMRSAVRNVAKHFPTAIISGRSRDKVYDLVGLTELYYAGSHGMDIMGPVTNTDSNDHPNCVKSTDQQGKEVNLFQPAREFIPMIDEVFRTLVENTKGIKGAKVENHKFCASVHYRNVDEKNWSTIAQSVHDILKDYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLNGRDDVLPIYIGDDRTDEDAFKFLKKNKKRGYGILVSPVPKETSAFYSLKDPSEVMEFLKSLVRWKEEEEEAN >ONI06706 pep chromosome:Prunus_persica_NCBIv2:G5:8942946:8947141:1 gene:PRUPE_5G075400 transcript:ONI06706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKTNHAASVLTDPPPISKSRLGLHSSLLPYSQQGASFSSGKYINIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKLIKDFNFEVASDDVDNAYCSWMLKHPSALNSFERITNFARNKKIAIFLDYDGTLSPIVDDPDRAIMSNAMRSAVRNVAKHFPTAIISGRSRDKVYDLVGLTELYYAGSHGMDIMGPVTNTDSNDHPNCVKSTDQQGKEVNLFQPAREFIPMIDEVFRTLVENTKGIKGAKVENHKFCASVHYRNVDEKNWSTIAQSVHDILKDYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLNGRDDVLPIYIGDDRTDEDAFKFLKKNKKRGYGILVSPVPKETSAFYSLKDPSEVMEFLKSLVRWKEEEEEAN >ONI06707 pep chromosome:Prunus_persica_NCBIv2:G5:8942110:8947141:1 gene:PRUPE_5G075400 transcript:ONI06707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKTNHAASVLTDPPPIRKLDDVRSNGWLDAMKSSSPPRKKLIKDFNFEVASDDVDNAYCSWMLKHPSALNSFERITNFARNKKIAIFLDYDGTLSPIVDDPDRAIMSNAMRSAVRNVAKHFPTAIISGRSRDKVYDLVGLTELYYAGSHGMDIMGPVTNTDSNDHPNCVKSTDQQGKEVNLFQPAREFIPMIDEVFRTLVENTKGIKGAKVENHKFCASVHYRNVDEKNWSTIAQSVHDILKDYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLNGRDDVLPIYIGDDRTDEDAFKFLKKNKKRGYGILVSPVPKETSAFYSLKDPSEVMEFLKSLVRWKEEEEEAN >ONI05610 pep chromosome:Prunus_persica_NCBIv2:G5:1638889:1642961:-1 gene:PRUPE_5G015000 transcript:ONI05610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYNGLENCILNGQSLENESTTSRGDGFATDSLDDDASTCSSGKDAFGSFSSKWLTVNRDEQRLDEWEPLDSPNHFYVKEKPSYVLQNSDVEEMKEKFSKLLLGEDVTGGYKGLSTAVALSNAITNLAATVFGELWKLEPLPEERKGKWRREMDWLLSPTNYMVELVPAKQNGANGRMLEIMTPKARGDIHMNLPALQKLDSMLIETLESMVNTEFWYAEGGSRAEGRNKVSRQSKRWWLPAPQVQATGLSDTAKQKLLNQGRVVHQVFKAARSINESVLLEMPVPTVVRDALPKSGKASLGEELYKVLTAETNSAEEMVNSLNLKSEHNALEVINRLEAAVFSWKERITEQVSGKSPVRTSWSFIKDPLSEIDKVESLLDRAEVLLQQLKTRYPNLPQTFLDVTKIQYGKDVGHSIMEAYSRVLGNLAFSILSRVGDVLQEDALNNPNSFTASSYFPWINISHNSSMAGQNIRYSFIDGNKGDRRHSDSTLGSIDLEFSYSVAKDSPVTATPSRARVWCIGREACVSVSPTNSP >ONI05609 pep chromosome:Prunus_persica_NCBIv2:G5:1638889:1642947:-1 gene:PRUPE_5G015000 transcript:ONI05609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYNGLENCILNGQSLENESTTSRGDGFATDSLDDDASTCSSGKDAFGSFSSKWLTVNRDEQRLDEWEPLDSPNHFYVKEKPSYVLQNSDVEEMKEKFSKLLLGEDVTGGYKGLSTAVALSNAITNLAVFGELWKLEPLPEERKGKWRREMDWLLSPTNYMVELVPAKQNGANGRMLEIMTPKARGDIHMNLPALQKLDSMLIETLESMVNTEFWYAEGGSRAEGRNKVSRQSKRWWLPAPQVQATGLSDTAKQKLLNQGRVVHQVFKAARSINESVLLEMPVPTVVRDALPKSGKASLGEELYKVLTAETNSAEEMVNSLNLKSEHNALEVINRLEAAVFSWKERITEQVSGKSPVRTSWSFIKDPLSEIDKVESLLDRAEVLLQQLKTRYPNLPQTFLDVTKIQYGKDVGHSIMEAYSRVLGNLAFSILSRVGDVLQEDALNNPNSFTASSYFPWINISHNSSMAGQNIRYSFIDGNKGDRRHSDSTLGSIDLEFSYSVAKDSPVTATPSRARVWCIGREACVSVSPTNSP >ONI05608 pep chromosome:Prunus_persica_NCBIv2:G5:1638890:1645719:-1 gene:PRUPE_5G015000 transcript:ONI05608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGLACCTRDREISIDFDEQERIMTYNGLENCILNGQSLENESTTSRGDGFATDSLDDDASTCSSGKDAFGSFSSKWLTVNRDEQRLDEWEPLDSPNHFYVKEKPSYVLQNSDVEEMKEKFSKLLLGEDVTGGYKGLSTAVALSNAITNLAATVFGELWKLEPLPEERKGKWRREMDWLLSPTNYMVELVPAKQNGANGRMLEIMTPKARGDIHMNLPALQKLDSMLIETLESMVNTEFWYAEGGSRAEGRNKVSRQSKRWWLPAPQVQATGLSDTAKQKLLNQGRVVHQVFKAARSINESVLLEMPVPTVVRDALPKSGKASLGEELYKVLTAETNSAEEMVNSLNLKSEHNALEVINRLEAAVFSWKERITEQVSGKSPVRTSWSFIKDPLSEIDKVESLLDRAEVLLQQLKTRYPNLPQTFLDVTKIQYGKDVGHSIMEAYSRVLGNLAFSILSRVGDVLQEDALNNPNSFTASSYFPWINISHNSSMAGQNIRYSFIDGNKGDRRHSDSTLGSIDLEFSYSVAKDSPVTATPSRARVWCIGREACVSVSPTNSP >ONI05607 pep chromosome:Prunus_persica_NCBIv2:G5:1638889:1645720:-1 gene:PRUPE_5G015000 transcript:ONI05607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGLACCTRDREISIDFDEQERIMTYNGLENCILNGQSLENESTTSRGDGFATDSLDDDASTCSSGKDAFGSFSSKWLTVNRDEQRLDEWEPLDSPNHFYVKEKPSYVLQNSDVEEMKEKFSKLLLGEDVTGGYKGLSTAVALSNAITNLAVFGELWKLEPLPEERKGKWRREMDWLLSPTNYMVELVPAKQNGANGRMLEIMTPKARGDIHMNLPALQKLDSMLIETLESMVNTEFWYAEGGSRAEGRNKVSRQSKRWWLPAPQVQATGLSDTAKQKLLNQGRVVHQVFKAARSINESVLLEMPVPTVVRDALPKSGKASLGEELYKVLTAETNSAEEMVNSLNLKSEHNALEVINRLEAAVFSWKERITEQVSGKSPVRTSWSFIKDPLSEIDKVESLLDRAEVLLQQLKTRYPNLPQTFLDVTKIQYGKDVGHSIMEAYSRVLGNLAFSILSRVGDVLQEDALNNPNSFTASSYFPWINISHNSSMAGQNIRYSFIDGNKGDRRHSDSTLGSIDLEFSYSVAKDSPVTATPSRARVWCIGREACVSVSPTNSP >ONI06744 pep chromosome:Prunus_persica_NCBIv2:G5:9263532:9265719:1 gene:PRUPE_5G078500 transcript:ONI06744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANIERDGHLMIRAMFLTVSAMVVALFWFLWNWKSSKNPMPPLPPGPRGLPFLGSLPLLGPNLHHEFSNLATIYGPIYKLQLGSKLCFVLSSPSIVKQVLRDQDTLFANRDPTIAAQIASYGCTDIAFGPYGPGWRRLRKVFMSHMLSKANLDASYALRKEEVLKSISHVYDKVGSPIDLGQFAFLTSINTVMRMLWGGTLEAENGADLGAEFRNVVAEIIEVLGKPNVSDFLTSLARFDVQGIARRMKQLQSMTEKIFDSAIERQKSEAVEKDEGLELKHERKDFLQILLELNDHEDGAKSITLQQLKALLLDIVVGGTDTTATMVEWVMAELIKHQDDLKKVQEELKEVVGLKNMVEESHIPKLHYLDAVIKETSRLHPALPLLAPHCPSQSTTIGGYNIPKGSTIFINIWAIHRDPNVWDNPLEFRPKRFLNDPSSNNFDYKGNKLEYLPFGSGRRMCAGLPLAEKMMIYVLASFLHSFEWRLPTDAKHDLQDKFGIVTKKMTPLIVIPTPRLSKLELYA >ONI07569 pep chromosome:Prunus_persica_NCBIv2:G5:12474528:12478933:1 gene:PRUPE_5G128100 transcript:ONI07569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESTESMEESTHEITSPLISKDKKDEDFYGQPSSSQPKLKNPEEEEENSPVEQVALTVPTTDDPSLPVLTFRMWVLGTLSCILLSFLNQFFWYRKEPLSITAISAQIAVVPLGQLMASKITTHAFFKGSRWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHIVTVVKVFYKKHITFFVSLLVILTTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFREIWEQSKASFKEKQMDIHTRLMQRYKQVPEWWFVVILLVNIAVTIFTCQYYNDQLQLPWWGVLLACAIAIFFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAIVYLGTAWWLMETIPDICEDTSSVWTCPGDTVFYDASVIWGLIGPRRIFGNKGTYEAINWFFLGGAVAPLVVWAAAKAFPKQEWIRLINMPVLIGATGNMPPATAVNYTSWIILGFLSGFVVYRYRPDWWRRHNYVLSGALDAGLAFMGVLLYFSLGLEDISLNWWGNDLDGCPLATCPTAKGVIVEGCPVYT >ONI07572 pep chromosome:Prunus_persica_NCBIv2:G5:12475809:12478933:1 gene:PRUPE_5G128100 transcript:ONI07572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLSWICWIFPKSVLAQQLGSGLYGLGIGTVGIDWSTISSYLGSPLASPWFATANVAAGFFLVMYILTPFCYWFNVYKAKTFPIFSSTMFKSDGEEYNITAIIDSNFHLDSAAYNREGPLYLSIIFAITYGVGFAALTATIVHVALFHGREIWEQSKASFKEKQMDIHTRLMQRYKQVPEWWFVVILLVNIAVTIFTCQYYNDQLQLPWWGVLLACAIAIFFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAIVYLGTAWWLMETIPDICEDTSSVWTCPGDTVFYDASVIWGLIGPRRIFGNKGTYEAINWFFLGGAVAPLVVWAAAKAFPKQEWIRLINMPVLIGATGNMPPATAVNYTSWIILGFLSGFVVYRYRPDWWRRHNYVLSGALDAGLAFMGVLLYFSLGLEDISLNWWGNDLDGCPLATCPTAKGVIVEGCPVYT >ONI07568 pep chromosome:Prunus_persica_NCBIv2:G5:12474528:12478933:1 gene:PRUPE_5G128100 transcript:ONI07568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESTESMEESTHEITSPLISKDKKDEDFYGQPSSSQPKLKNPEEEEENSPVEQVALTVPTTDDPSLPVLTFRMWVLGTLSCILLSFLNQFFWYRKEPLSITAISAQIAVVPLGQLMASKITTHAFFKGSRWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHIVTVVKVFYKKHITFFVSLLVILTTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEARPKGGVTRTQFFLIAFICSFAYYVFPGYLFEMLTSLSWICWIFPKSVLAQQLGSGLYGLGIGTVGIDWSTISSYLGSPLASPWFATANVAAGFFLVMYILTPFCYWFNVYKAKTFPIFSSTMFKSDGEEYNITAIIDSNFHLDSAAYNREGPLYLSIIFAITYGVGFAALTATIVHVALFHGREIWEQSKASFKEKQMDIHTRLMQRYKQVPEWWFVVILLVNIAVTIFTCQYYNDQLQLPWWGVLLACAIAIFFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAIVYLGTAWWLMETIPDICEDTSSVWTCPGDTVFYDASVIWGLIGPRRIFGNKGTYEAINWFFLGGAVAPLVVWAAAKAFPKQEWIRLINMPVLIGATGNMPPATAVNYTSWIILGFLSGFVVYRYRPDWWRRHNYVLSGALDAGLAFMGVLLYFSLGLEDISLNWWGNDLDGCPLATCPTAKGVIVEGCPVYT >ONI07571 pep chromosome:Prunus_persica_NCBIv2:G5:12476298:12478933:1 gene:PRUPE_5G128100 transcript:ONI07571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLSWICWIFPKSVLAQQLGSGLYGLGIGTVGIDWSTISSYLGSPLASPWFATANVAAGFFLVMYILTPFCYWFNVYKAKTFPIFSSTMFKSDGEEYNITAIIDSNFHLDSAAYNREGPLYLSIIFAITYGVGFAALTATIVHVALFHGREIWEQSKASFKEKQMDIHTRLMQRYKQVPEWWFVVILLVNIAVTIFTCQYYNDQLQLPWWGVLLACAIAIFFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAIVYLGTAWWLMETIPDICEDTSSVWTCPGDTVFYDASVIWGLIGPRRIFGNKGTYEAINWFFLGGAVAPLVVWAAAKAFPKQEWIRLINMPVLIGATGNMPPATAVNYTSWIILGFLSGFVVYRYRPDWWRRHNYVLSGALDAGLAFMGVLLYFSLGLEDISLNWWGNDLDGCPLATCPTAKGVIVEGCPVYT >ONI07570 pep chromosome:Prunus_persica_NCBIv2:G5:12475120:12478613:1 gene:PRUPE_5G128100 transcript:ONI07570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLGTLSCILLSFLNQFFWYRKEPLSITAISAQIAVVPLGQLMASKITTHAFFKGSRWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHIVTVVKVFYKKHITFFVSLLVILTTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEARPKGGVTRTQFFLIAFICSFAYYVFPGYLFEMLTSLSWICWIFPKSVLAQQLGSGLYGLGIGTVGIDWSTISSYLGSPLASPWFATANVAAGFFLVMYILTPFCYWFNVYKAKTFPIFSSTMFKSDGEEYNITAIIDSNFHLDSAAYNREGPLYLSIIFAITYGVGFAALTATIVHVALFHGREIWEQSKASFKEKQMDIHTRLMQRYKQVPEWWFVVILLVNIAVTIFTCQYYNDQLQLPWWGVLLACAIAIFFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAIVYLGTAWWLMETIPDICEDTSSVWTCPGDTVFYDASVIWGLIGPRRIFGNKGTYEAINWFFLGGAVAPLVVWAAAKAFPKQEWIRLINMPVLIGATGNMPPATAVNYTSWIILGFLSGFVVYRYRPDWWRRHNYVLSGALDAGLAFMGVLLYFSLGLEDISLNWWGNDLDGCPLATCPTAKGVIVEGCPVYT >ONI07867 pep chromosome:Prunus_persica_NCBIv2:G5:13290884:13295808:1 gene:PRUPE_5G143800 transcript:ONI07867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPLNNNKNSRHSLLPSFLYSSSSSMVASGYASSAPSSRVVIAAPREKVEMYSAGYYVACGVAGMLATGPTHMAVTPMDVVKCNMQIDPIKYKSVTSGFGVLLKEQGIRGLFKGWAPTLIGYSAQGAGKYGLYEFFKKYYTDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLADGLPKIIKSEGALGLYKGLVPLWGRQVPYTMMKFAAFENTIELMYKHVIPTPKEQCSKPLQLGVSFASGYIAGVFCAVVSHPADNLVSFLNNAKGATVGDAVKKLGLLGLFTRGLPLRIFMIGTLSAGQWALYDTFKVLVGLPTTGGPAPAATELAKA >ONI07868 pep chromosome:Prunus_persica_NCBIv2:G5:13289514:13295808:1 gene:PRUPE_5G143800 transcript:ONI07868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPLNNNKNSRHSLLPSFLYSSSSSMVASGYASSAPSSRVVIAAPREKVEMYSAGYYVACGVAGMLATGPTHMAVTPMDVVKCNMQIDPIKYKSVTSGFGVLLKEQGIRGLFKGWAPTLIGYSAQGAGKYGLYEFFKKYYTDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLADGLPKIIKSEGALGLYKGLVPLWGRQVPYTMMKFAAFENTIELMYKHVIPTPKEQCSKPLQLGVSFASGYIAGVFCAVVSHPADNLVSFLNNAKGATVGDAVKKLGLLGLFTRGLPLRIFMIGTLSAGQWALYDTFKVLVGLPTTGGPAPAATELAKA >ONI06488 pep chromosome:Prunus_persica_NCBIv2:G5:7792622:7794862:1 gene:PRUPE_5G064000 transcript:ONI06488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKLLSSYSFYRLNFLQIPPQPFLKSVIFLYSISLVMVTNLKLERQFLGFGERRMKSERRKGMKREGEWEWGGELERPLKLLKETYFMNVEMSGMGETIKINFKHPSSCKDFHPKTEELSLEYHRTRPADHQVKKICKRKKS >ONI07826 pep chromosome:Prunus_persica_NCBIv2:G5:13167045:13170277:-1 gene:PRUPE_5G141900 transcript:ONI07826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVRDEAFEDKGPAVNYLDANYFHAPASQNRAYDRVSSPADNIRRDAAEDQGLRKDHLGLEEFSGYIFMCNGRTKPECYLHRVFGLPAGRKEVIEKIRPGMKLFLFDYNVKFLYGVYEATTAGKLNLERTAFGGNFPAQVRFKIYRECLPLPESDFKRAIQDNYKRGSNKFNTILNSQQVSHLLSLFHPISMQSSTQNDSSYMKDQFQTGARLPSSGSTYFSSMHSTPQVLVPQHVPQGVHQQWDSSGSTGNMGIVHYSVGSQPIVPAQPMKDQFQSSVSLPSMKDPNAAWASPMLEPRYIQPSVLHPQSPSYGYTMNMGYFYPAVQPQAMPASSQTYYSAEFGQHHLAEVTAQPAPKSYESYYRYEAAQDMVLTDQHTGFGHGYSQSSLQTDGETILQQENAAEHHNLHQVPTAPHAPPSMQQHTFTSEQPGLAAGTVPMPSSNSWTGASPT >ONI07828 pep chromosome:Prunus_persica_NCBIv2:G5:13167121:13170265:-1 gene:PRUPE_5G141900 transcript:ONI07828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNGRTKPECYLHRVFGLPAGRKEVIEKIRPGMKLFLFDYNVKFLYGVYEATTAGKLNLERTAFGGNFPAQVRFKIYRECLPLPESDFKRAIQDNYKRGSNKFNTILNSQQVSHLLSLFHPISMQSSTQNDSSYMKDQFQTGARLPSSGSTYFSSMHSTPQVLVPQHVPQGVHQQWDSSGSTGNMGIVHYSVGSQPIVPAQPMKDQFQSSVSLPSMKDPNAAWASPMLEPRYIQPSVLHPQSPSYGYTMNMGYFYPAVQPQAMPASSQTYYSAEFGQHHLAEVTAQPAPKSYESYYRYEAAQDMVLTDQHTGFGHGYSQSSLQTDGETILQQENAAEHHNLHQVPTAPHAPPSMQQHTFTSEQPGLAAGTVPMPSSNSWTGASPT >ONI07827 pep chromosome:Prunus_persica_NCBIv2:G5:13166880:13170277:-1 gene:PRUPE_5G141900 transcript:ONI07827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVRDEAFEDKGPAVNYLDANYFHAPASQNRAYDRVSSPADNIRRDAAEDQGLRKDHLGLEEFSGYIFMCNGRTKPECYLHRVFGLPAGRKEVIEKIRPGMKLFLFDYNVKFLYGVYEATTAGKLNLERTAFGGNFPAQVRFKIYRECLPLPESDFKRAIQDNYKRGSNKFNTILNSQQVSHLLSLFHPISMQSSTQNDSSYMKDQFQTGARLPSSGSTYFSSMHSTPQVLVPQHVPQGVHQQWDSSGSTGNMGIVHYSVGSQPIVPAQPMKDQFQSSVSLPSMKDPNAAWASPMLEPRYIQPSVLHPQSPSYGYTMNMGYFYPAVQPQAMPASSQTYYSAEFGQHHLAEVTAQPAPKSYESYYRYEAAQDMVLTDQHTGFGHGYSQSSLQTDGETILQQENAAEHHNLHQVPTAPHAPPSMQQHTFTSEQPGLAAGTVPMPSSNSWTGASPT >ONI07825 pep chromosome:Prunus_persica_NCBIv2:G5:13167214:13170093:-1 gene:PRUPE_5G141900 transcript:ONI07825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAYYIIFLLVFNMTRVRDEAFEDKGPAVNYLDANYFHAPASQNRAYDRVSSPADNIRRDAAEDQGLRKDHLGLEEFSGYIFMCNGRTKPECYLHRVFGLPAGRKEVIEKIRPGMKLFLFDYNVKFLYGVYEATTAGKLNLERTAFGGNFPAQVRFKIYRECLPLPESDFKRAIQDNYKRGSNKFNTILNSQQVSHLLSLFHPISMQSSTQNDSSYMKDQFQTGARLPSSGSTYFSSMHSTPQVLVPQHVPQGVHQQWDSSGSTGNMGIVHYSVGSQPIVPAQPMKDQFQSSVSLPSMKDPNAAWASPMLEPRYIQPSVLHPQSPSYGYTMNMGYFYPAVQPQAMPASSQTYYSAEFGQHHLAEVTAQPAPKSYESYYRYEAAQDMVLTDQHTGFGHGYSQSSLQTDGETILQQENAAEHHNLHQVPTAPHAPPSMQQHTFTSEQPGLAAGTVPMPSSNSWTGASPT >ONI07829 pep chromosome:Prunus_persica_NCBIv2:G5:13167045:13170265:-1 gene:PRUPE_5G141900 transcript:ONI07829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNGRTKPECYLHRVFGLPAGRKEVIEKIRPGMKLFLFDYNVKFLYGVYEATTAGKLNLERTAFGGNFPAQVRFKIYRECLPLPESDFKRAIQDNYKRGSNKFNTILNSQQVSHLLSLFHPISMQSSTQNDSSYMKDQFQTGARLPSSGSTYFSSMHSTPQVLVPQHVPQGVHQQWDSSGSTGNMGIVHYSVGSQPIVPAQPMKDQFQSSVSLPSMKDPNAAWASPMLEPRYIQPSVLHPQSPSYGYTMNMGYFYPAVQPQAMPASSQTYYSAEFGQHHLAEVTAQPAPKSYESYYRYEAAQDMVLTDQHTGFGHGYSQSSLQTDGETILQQENAAEHHNLHQVPTAPHAPPSMQQHTFTSEQPGLAAGTVPMPSSNSWTGASPT >ONI08352 pep chromosome:Prunus_persica_NCBIv2:G5:14751351:14753310:-1 gene:PRUPE_5G173200 transcript:ONI08352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPEAETHPDQLVNNNNNPCLDLFYNVGEEDVHDRAQMEASCNYVKQVLPLAWSHNPLTALKLVCNLLDGKFNLSAFYTAAFWLHHNHPKTLLCNLASIVRSFEAFSNSMNIVYHILREGQDIYKTTRRRGYNRYQLLHKAVKRYKHDRDYQLLHDQVSDILAEMFKSDMEKLKFKEAAEEQNKNNKLKQLSESDNDDRITMAACDIHSAVSTFADYNPDYSITLLLENIARKFFPPEEYHKLELGGGHGKGKRAKAKDADRVMVKRLKDEVLPSLKKAYFAQDYPPDEASAVETYLEKVKASCCCSGSAGKISSSSSLLADALLPHEITGYVHHWNLGRAAELQWKSMVEDVYSKQGKFKNWLAVCDVHPKFMDDEVSLEVSIALGLLLSELSEEPWKGKVIQFSREPQLHSIQGGDDLRYKYEFVRRMTCGVDLDFEKLFDLILQVAVNENLKPDQMIKKVLVLSHADFDRASAAETSWKIDYQAIQSKYKEKGYGDVVPHMVFWTLSKYNPEKPVAPRTQPGVSILNGFSNNLLKHFLNNEGEIGPDYLMELAISDERYQALTVVD >ONI06794 pep chromosome:Prunus_persica_NCBIv2:G5:9470784:9471478:-1 gene:PRUPE_5G081400 transcript:ONI06794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVGVPGTVFLLLFMAAALVLSSLLQSISKESLHFSSNPLFVFSLFNIIIVAIIVGSHRSSSGEVDSIIPFLYHQYEPEEDADNDVEDTKSDKYLNLDGYENGSDCVDDESEDYSFDSDGYNEDDDDNGSDDEVGWGDMDEHNSNLEKRIEDFIDKVNKGWKEERLRDSLSNPLQFSYL >ONI07788 pep chromosome:Prunus_persica_NCBIv2:G5:13075135:13078645:-1 gene:PRUPE_5G139700 transcript:ONI07788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAKPAAEHVGFPNGDANKPSADGCCNPVKKSGPISMDHVLLALRETKEEREIRLRSLFNFFDATNLGYLDYPQIEAGLSALQIPPEYKYAKDLLKVCDANRDGRVDYLEFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEMDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISRHVHRSRYFIAGAIAGAASRTATAPLDRLKVVLQVQTARASVVPAIKKILKEDGILGFFRGNGINVVKVAPESAIKFYTYEMLKKVIGDSMGADSGDIGTSGRLLAGGIAGAVAQTSIYPLDLVKTRLQTCTSEAGKSPQLRTLTKEIWIHEGPRAFYKGLFPSLLGIIPYAGIDLTAYETLKDMSRTYILQDSEPGPLIQLGCGTISGALGATCVYPLQVIRTRLQAQRSNTAAAYKGMSDVFWRTLQNEGYTGFYKGLFPNLLKVVPAASITYMVYEAMKKKLDL >ONI07790 pep chromosome:Prunus_persica_NCBIv2:G5:13075135:13078678:-1 gene:PRUPE_5G139700 transcript:ONI07790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAKPAAEHVGFPNGDANKPSADGCCNPVKKSGPISMDHVLLALRETKEEREIRLRSLFNFFDATNLGYLDYPQIEAGLSALQIPPEYKYAKDLLKVCDANRDGRVDYLEFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEMDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISRHVHRSRYFIAGAIAGAASRTATAPLDRLKVVLQVQTARASVVPAIKKILKEDGILGFFRGNGINVVKVAPESAIKFYTYEMLKKVIGDSMGADSGDIGTSGRLLAGGIAGAVAQTSIYPLDLVKTRLQTCTSEAGKSPQLRTLTKEIWIHEGPRAFYKGLFPSLLGIIPYAGIDLTAYETLKDMSRTYILQDSEPGPLIQLGCGTISGALGATCVYPLQVIRTRLQAQRSNTAAAYKGMSDVFWRTLQNEGYTGFYKGLFPNLLKVVPAASITYMVYEAMKKKLDL >ONI07789 pep chromosome:Prunus_persica_NCBIv2:G5:13073837:13078706:-1 gene:PRUPE_5G139700 transcript:ONI07789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAKPAAEHVGFPNGDANKPSADGCCNPVKKSGPISMDHVLLALRETKEEREIRLRSLFNFFDATNLGYLDYPQIEAGLSALQIPPEYKYAKDLLKVCDANRDGRVDYLEFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEMDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISRHVHRSRYFIAGAIAGAASRTATAPLDRLKVVLQVQTARASVVPAIKKILKEDGILGFFRGNGINVVKVAPESAIKFYTYEMLKKVIGDSMGADSGDIGTSGRLLAGGIAGAVAQTSIYPLDLVKTRLQTCTSEAGKSPQLRTLTKEIWIHEGPRAFYKGLFPSLLGIIPYAGIDLTAYETLKDMSRTYILQDSEPGPLIQLGCGTISGALGATCVYPLQVIRTRLQAQRSNTAAAYKGMSDVFWRTLQNEGYTGFYKGLFPNLLKVVPAASITYMVYEAMKKKLDL >ONI09519 pep chromosome:Prunus_persica_NCBIv2:G5:18224924:18225985:-1 gene:PRUPE_5G242300 transcript:ONI09519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEEKMKMLGCSRATAQQEIGDTFAERAEAYYKRRPQLLSLLRDLYNSYLTLSDRYIQTVAKTQHHRPPSSQISVIDNDYYDYDDQEEEEDDAYTSHIDSDVESSLSYQQLPPTLLLAASVATQHDETMLFDLDSIVTEIVVKNVEYDILLDEANMTERRYKDASRKIELQKSLLEVLESERLILLNENAKLGYKVGALVEENKALASESLFIRRKAGELARCLLKTREDQRVCMLSRKIEDLQGQIYGLEKRNKDYYEQLVKRDQRSFQLVQEEEESCNINKSKINGNQVNLEVCFQIRKINRRLIKRGNSNGSGKEIGVGKEKKGSSSSLWERVKNMDLFLCGGNQNST >ONI09520 pep chromosome:Prunus_persica_NCBIv2:G5:18224556:18228210:-1 gene:PRUPE_5G242300 transcript:ONI09520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLGCSRATAQQEIGDTFAERAEAYYKRRPQLLSLLRDLYNSYLTLSDRYIQTVAKTQHHRPPSSQISVIDNDYYDYDDQEEEEDDAYTSHIDSDVESSLSYQQLPPTLLLAASVATQHDETMLFDLDSIVTEIVVKNVEYDILLDEANMTERRYKDASRKIELQKSLLEVLESERLILLNENAKLGYKVGALVEENKALASESLFIRRKAGELARCLLKTREDQRVCMLSRKIEDLQGQIYGLEKRNKDYYEQLVKRDQRSFQLVQEEEESCNINKSKINGNQVNLEVCFQIRKINRRLIKRGNSNGSGKEIGVGKEKKGSSSSLWERVKNMDLFLCGGNQNST >ONI09516 pep chromosome:Prunus_persica_NCBIv2:G5:18224556:18226927:-1 gene:PRUPE_5G242300 transcript:ONI09516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPEKPIIMSASIHSAPTPTPRRRSLSSSSSSNKSCTTTSTDPSTMKPKWLLSILADVEEKMKMLGCSRATAQQEIGDTFAERAEAYYKRRPQLLSLLRDLYNSYLTLSDRYIQTVAKTQHHRPPSSQISVIDNDYYDYDDQEEEEDDAYTSHIDSDVESSLSYQQLPPTLLLAASVATQHDETMLFDLDSIVTEIVVKNVEYDILLDEANMTERRYKDASRKIELQKSLLEVLESERLILLNENAKLGYKVGALVEENKALASESLFIRRKAGELARCLLKTREDQRVCMLSRKIEDLQGQIYGLEKRNKDYYEQLVKRDQRSFQLVQEEEESCNINKSKINGNQVNLEVCFQIRKINRRLIKRGNSNGSGKEIGVGKEKKGSSSSLWERVKNMDLFLCGGNQNST >ONI09517 pep chromosome:Prunus_persica_NCBIv2:G5:18224556:18228210:-1 gene:PRUPE_5G242300 transcript:ONI09517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPEKPIIMSASIHSAPTPTPRRRSLSSSSSSNKSCTTTSTDPSTMKPKWLLSILADVEEKMKMLGCSRATAQQEIGDTFAERAEAYYKRRPQLLSLLRDLYNSYLTLSDRYIQTVAKTQHHRPPSSQISVIDNDYYDYDDQEEEEDDAYTSHIDSDVESSLSYQQLPPTLLLAASVATQHDETMLFDLDSIVTEIVVKNVEYDILLDEANMTERRYKDASRKIELQKSLLEVLESERLILLNENAKLGYKVGALVEENKALASESLFIRRKAGELARCLLKTREDQRVCMLSRKIEDLQGQIYGLEKRNKDYYEQLVKRDQRSFQLVQEEEESCNINKSKINGNQVNLEVCFQIRKINRRLIKRGNSNGSGKEIGVGKEKKGSSSSLWERVKNMDLFLCGGNQNST >ONI09518 pep chromosome:Prunus_persica_NCBIv2:G5:18224924:18225985:-1 gene:PRUPE_5G242300 transcript:ONI09518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEEKMKMLGCSRATAQQEIGDTFAERAEAYYKRRPQLLSLLRDLYNSYLTLSDRYIQTVAKTQHHRPPSSQISVIDNDYYDYDDQEEEEDDAYTSHIDSDVESSLSYQQLPPTLLLAASVATQHDETMLFDLDSIVTEIVVKNVEYDILLDEANMTERRYKDASRKIELQKSLLEVLESERLILLNENAKLGYKVGALVEENKALASESLFIRRKAGELARCLLKTREDQRVCMLSRKIEDLQGQIYGLEKRNKDYYEQLVKRDQRSFQLVQEEEESCNINKSKINGNQVNLEVCFQIRKINRRLIKRGNSNGSGKEIGVGKEKKGSSSSLWERVKNMDLFLCGGNQNST >ONI09515 pep chromosome:Prunus_persica_NCBIv2:G5:18224924:18226843:-1 gene:PRUPE_5G242300 transcript:ONI09515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPEKPIIMSASIHSAPTPTPRRRSLSSSSSSNKSCTTTSTDPSTMKPKWLLSILADVEEKMKMLGCSRATAQQEIGDTFAERAEAYYKRRPQLLSLLRDLYNSYLTLSDRYIQTVAKTQHHRPPSSQISVIDNDYYDYDDQEEEEDDAYTSHIDSDVESSLSYQQLPPTLLLAASVATQHDETMLFDLDSIVTEIVVKNVEYDILLDEANMTERRYKDASRKIELQKSLLEVLESERLILLNENAKLGYKVGALVEENKALASESLFIRRKAGELARCLLKTREDQRVCMLSRKIEDLQGQIYGLEKRNKDYYEQLVKRDQRSFQLVQEEEESCNINKSKINGNQVNLEVCFQIRKINRRLIKRGNSNGSGKEIGVGKEKKGSSSSLWERVKNMDLFLCGGNQNST >ONI06375 pep chromosome:Prunus_persica_NCBIv2:G5:6153389:6157970:1 gene:PRUPE_5G056900 transcript:ONI06375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFRHAARILGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPRDLSMSELERLTRVFTQRIHDLIGIHRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRESATGLGVVFATESVLAEYGKSISGMKFVIQGFGNVGSWAAKLIHDRGGKVVAVSDITGAIKNPDGIDIPALLRYKESNTSLKEFQGTDAMDPNDLLVHECDVLIPCALGGVINKENAADVKAKFIIEAANHPTDPEADEILSNKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWEEEKVNCELKRYMHKAFLDIKAMCQIHNCNLRMGAFTLGVNRVARATILRGWEA >ONI06376 pep chromosome:Prunus_persica_NCBIv2:G5:6155136:6157783:1 gene:PRUPE_5G056900 transcript:ONI06376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPRDLSMSELERLTRVFTQRIHDLIGIHRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRESATGLGVVFATESVLAEYGKSISGMKFVIQGFGNVGSWAAKLIHDRGGKVVAVSDITGAIKNPDGIDIPALLRYKESNTSLKEFQGTDAMDPNDLLVHECDVLIPCALGGVINKENAADVKAKFIIEAANHPTDPEADEILSNKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWEEEKVNCELKRYMHKAFLDIKAMCQIHNCNLRMGAFTLGVNRVARATILRGWEA >ONI06373 pep chromosome:Prunus_persica_NCBIv2:G5:6153639:6157969:1 gene:PRUPE_5G056900 transcript:ONI06373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIGHIPAPMNALAATNRNFRHAARILGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPRDLSMSELERLTRVFTQRIHDLIGIHRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRESATGLGVVFATESVLAEYGKSISGMKFVIQGFGNVGSWAAKLIHDRGGKVVAVSDITGAIKNPDGIDIPALLRYKESNTSLKEFQGTDAMDPNDLLVHECDVLIPCALGGVINKENAADVKAKFIIEAANHPTDPEADEILSNKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWEEEKVNCELKRYMHKAFLDIKAMCQIHNCNLRMGAFTLGVNRVARATILRGWEA >ONI06374 pep chromosome:Prunus_persica_NCBIv2:G5:6153649:6157739:1 gene:PRUPE_5G056900 transcript:ONI06374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATNRNFRHAARILGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYVGFRIQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPRDLSMSELERLTRVFTQRIHDLIGIHRDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRESATGLGVVFATESVLAEYGKSISGMKFVIQGFGNVGSWAAKLIHDRGGKVVAVSDITGAIKNPDGIDIPALLRYKESNTSLKEFQGTDAMDPNDLLVHECDVLIPCALGGVINKENAADVKAKFIIEAANHPTDPEADEILSNKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWEEEKVNCELKRYMHKAFLDIKAMCQIHNCNLRMGAFTLGVNRVARATILRGWEA >ONI07626 pep chromosome:Prunus_persica_NCBIv2:G5:12682230:12683213:-1 gene:PRUPE_5G131800 transcript:ONI07626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSNLFNHDDEFTQLGSSALSHHIVSLTSSTYGLLTLDPPPPQTPTTPPTPPSRFTLGSIFPSPLCEPKSLWSDPKPLRSEPRPLRSDPEVINSWELMAGLDADSFRFSPLPPPKAFNFRDPKTAGKENSNPNRGIFKSPNDGVFGVKNKEDPLDRFEKICPPAGENKVVVYTTTLRGVRRTFEACNAVRAAIEGLGVMIVERDVSMDRGFREELRDLMDGKEEAGVPPRVFVKGRYVGGAEEVLKILEEGLLGAILDGCPKKKAGSVCEGCGEAKFLPCFQCNGSSKMVLVVKEELGQRQGSTVVVRCPECNENGLVLCPICS >ONI06525 pep chromosome:Prunus_persica_NCBIv2:G5:8081534:8084053:-1 gene:PRUPE_5G066200 transcript:ONI06525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSEIWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWLCNPVHKHRELRGLTSAGKKYRGLRGKGHLYHKARPSRRATWKRNTTLSLRRYR >ONI07471 pep chromosome:Prunus_persica_NCBIv2:G5:12139284:12143356:-1 gene:PRUPE_5G122200 transcript:ONI07471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLHCFCLMSSKVDRHRLGYEDPTILASETAFTVNEVEALYDLFKKLSSSIIKDGLLLHKEEFQLALFQSSSKQNLFADRVFDLFDIKRNGVIEFGEFVRSLSIFHPNASEAEKISFAFRLYDLRQTGYIERDEVKEMVSALLSESDLELSDDVIESIVDKTMVEADLKGDGKIDQEEWKEFVAKHPSLIKNMTLPYLKDITIQFPSFVLNTEVRD >ONI07475 pep chromosome:Prunus_persica_NCBIv2:G5:12139284:12143357:-1 gene:PRUPE_5G122200 transcript:ONI07475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLHCFCLMSSKVDRHRLGYEDPTILASETAFTVNEVEALYDLFKKLSSSIIKDGLLLHKEEFQLALFQSSSKQNLFADRVFDLFDIKRNGVIEFGEFVRSLSIFHPNASEAEKISFAFRLYDLRQTGYIERDEVKEMVSALLSESDLELSDDVIESIVDKTMVEADLKGDGKIDQEEWKEFVAKHPSLIKNMTLPYLKDITIQFPSFVLNTEVRD >ONI07474 pep chromosome:Prunus_persica_NCBIv2:G5:12139284:12143349:-1 gene:PRUPE_5G122200 transcript:ONI07474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLHCFCLMSSKVDRHRLGYEDPTILASETAFTVNEVEALYDLFKKLSSSIIKDGLLLHKEEFQLALFQSSSKQNLFADRVFDLFDIKRNGVIEFGEFVRSLSIFHPNASEAEKISFAFRLYDLRQTGYIERDEVKEMVSALLSESDLELSDDVIESIVDKTMVEADLKGDGKIDQEEWKEFVAKHPSLIKNMTLPYLKDITIQFPSFVLNTEVRD >ONI07472 pep chromosome:Prunus_persica_NCBIv2:G5:12139675:12142269:-1 gene:PRUPE_5G122200 transcript:ONI07472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLHCFCLMSSKVDRHRLGYEDPTILASETAFTVNEVEALYDLFKKLSSSIIKDGLLLHKEEFQLALFQSSSKQNLFADRVFDLFDIKRNGVIEFGEFVRSLSIFHPNASEAEKISFAFRLYDLRQTGYIERDEVKEMVSALLSESDLELSDDVIESIVDKTMVEADLKGDGKIDQEEWKEFVAKHPSLIKNMTLPYLKDITIQFPSFVLNTEVRD >ONI07473 pep chromosome:Prunus_persica_NCBIv2:G5:12139675:12142269:-1 gene:PRUPE_5G122200 transcript:ONI07473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLHCFCLMSSKVDRHRLGYEDPTILASETAFTVNEVEALYDLFKKLSSSIIKDGLLLHKEEFQLALFQSSSKQNLFADRVFDLFDIKRNGVIEFGEFVRSLSIFHPNASEAEKISFAFRLYDLRQTGYIERDEVKEMVSALLSESDLELSDDVIESIVDKTMVEADLKGDGKIDQEEWKEFVAKHPSLIKNMTLPYLKDITIQFPSFVLNTEVRD >ONI07065 pep chromosome:Prunus_persica_NCBIv2:G5:10660578:10667007:1 gene:PRUPE_5G098000 transcript:ONI07065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGKFAAIGCFCNDRWGDMSPQPHYPSMPKYPKGTSPEAATNVKGSEVKALFSVAGMTCSACAGSIEKAVKRLPGIREAAVDVLNNTAHVLYYPSFVTEEKICETIEDVGFEAKLIKEETSDKSRQVCRISISGMTCTSCSSTIESALQAIHGVQRAQVALATEEAQVHYDPKIVSYNQLLETVENTGFEATLISLGEDISKIELKVDGIKTEQSIRAIAKSLEALPGIQNIETFPELNKISISYKADIVGPRTFIEVIESSGSAHFKAMIYPEEGRDTHRKEEIKQYYKFFLWSLFFTIPVFLTSMVLMYVPGVKKVLDVKIVNKLNVGQILRWELSTPVQFIIGRRFYIGSYKALRHGSANMDVLIALGTNAAYFYSVYIVLRAANSKDFKGTDFFETSSMLITFILLGKYLEVLAKGKTSEAIAKLMDLAPETATLLTLDEEGNVVNEQEIDSRLIQKNDVIKIIPGAKVACDGSVMWGQSHVNESMITGEARPVAKKKGDAVIGGTVNENGVLHVKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPMVIILSFSTWLAWFLAGKFHSYPHSWIPSSIDSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGILIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLLNNMLPHEFYELVVATEVNSEHPLAKAIVEHAKKFRGGEENPSWPEARNFASITGQGVKAVVREKEILIGNKSLMLDSNISIAVEAEETLAEAEALAQTGILIAIDREMAGIVAISDPLKPGAREVVSILKSMGVRSIMVTGDNWGTANSIAKETEIETVIAEARPEQKAQKVKDLQASGYIVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNVLGIPIAAGTLFPSTRFRLPPWIAGAAMAASSVSVVCCSLLLKNYKRPKKLDVLEIQEVRIER >ONI07180 pep chromosome:Prunus_persica_NCBIv2:G5:11139700:11144547:-1 gene:PRUPE_5G104700 transcript:ONI07180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASQKDQEKVIWDQMRSSTGTPIPGPGSQSRATPKLLVWLILCVSLTYIVYTLKLVSTSRAACSDENDPFNPVRLSSSTATATNITTVQDRTAEVLQLKTHQPINENHPTEINDIVFGIAASAKLWKQRKNYIKLWYKPNSMRGIVWLDRQVEDKNPEGLGLPPIKISGDTSGFAYTNKQGHRSAIRISRIVSETLRLGLKDVRWFVMGDDDTVFITDNLVRVLRKYDHTQYYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALSKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVAPLVTMHHLDVVEPIFPNTTRVQALQRLLVPVKLDSAGIMQQSICYDKSKSWTISVSWGFAVQIFRGVFSPREMEMPSRTFLNWYKRADYTAYAFNTRPVSRNPCQKPFVFYMSRVRVNATMNSTISEYVQHRVPHPHCKWKMADPADLDKVEVHKKPDPHLWERSPRRNCCRVMKSKKKGPMVIDVGLCKEGEISEISTI >ONI07744 pep chromosome:Prunus_persica_NCBIv2:G5:12934743:12935339:1 gene:PRUPE_5G137000 transcript:ONI07744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTNHPIPVEDQYHLKFKLFKETETVWTDEDDVPVEVSREPPLFDSEIDITLSRADLLLVFEESHSTNSASDSISLMADALAAMEIPEFKHEHIIGSLLAGFKAKKSECLPHVRMERSQIRGETMCAICREEFESGTNGNRLLHLPCSHIFHVNCIEKWLETCPSHRSCPLCRFPLCRRFDYQLVFM >ONI07858 pep chromosome:Prunus_persica_NCBIv2:G5:13246313:13251588:1 gene:PRUPE_5G143100 transcript:ONI07858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSEVSIKDNCGNQRGDSFSSGFSDHNDVRNNLEGQNSHPTVSAAGRDAETALYTELWHACAGPLVTVPRERERVFYFPQGHIEQVEASTNQVADQQMPVYNLPSKILCRVIHVQLKAEPDTDEVFAQVTLLPEPSQDENTVEKEPPPPPPPRFQVHSFCKTLTASDTSTHGGFSVLRRHADECLPQLDMSRQPPTQELVAKDLHANEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGSAPSSVISSHSMHLGVLATAWHAILTGTMFTVYYKPRTSPAEFIVPFDQYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIIGIEDADTKRWRDSKWRSLKVRWDETSSIPRPDRVSPWKIEPALAPPALNPLPMPRPKRPRSNMVPSSPDSILTREGSSKVTADPAMPGGFSRVLQGQEFSTLRGNFVDSESDTAEKSLAWTPSVDDEKIDVVSASRRHGSENWMPSGRHEPTYTDLLSGFGTNVDSSRGICPPFVDQAVGNSMRKHSLDQEGKFNLQSWSMLPSSLSLSLDSNLKGPPIGNMAYQAQGNARYGGFSDYSVLNGHRVDHPQGNWLMPPPPSHFENPANAREAMPQHASLQKQEAVKPKDGNYKLFGIPLIAPEAALSHRNAMIGSPHHNQVHTFESDQKSDKSRGSKSVENPLAVGEPDKLLQTSQQHVRDGQGKPQGGSTRSCTKVHKQGIALGRSVDLTKFNNYEELIAALDQLFEFDGELMAPKKNWLIVYTDDEGDMMLVGDDPWQEFCGIVRKIFIYTREEVQKMNPGTLNSHGEENLSLVAEGADAREGKSQLLP >ONI07856 pep chromosome:Prunus_persica_NCBIv2:G5:13246313:13251588:1 gene:PRUPE_5G143100 transcript:ONI07856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSEVSIKDNCGNQRGDSFSSGFSDHNDVRNNLEGQNSHPTVSAAGRDAETALYTELWHACAGPLVTVPRERERVFYFPQGHIEQVEASTNQVADQQMPVYNLPSKILCRVIHVQLKAEPDTDEVFAQVTLLPEPSQDENTVEKEPPPPPPPRFQVHSFCKTLTASDTSTHGGFSVLRRHADECLPQLDMSRQPPTQELVAKDLHANEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGSAPSSVISSHSMHLGVLATAWHAILTGTMFTVYYKPRTSPAEFIVPFDQYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIIGIEDADTKRWRDSKWRSLKVRWDETSSIPRPDRVSPWKIEPALAPPALNPLPMPRPKRPRSNMVPSSPDSILTREGSSKVTADPAMPGGFSRVLQGQEFSTLRGNFVDSESDTAEKSLAWTPSVDDEKIDVVSASRRHGSENWMPSGRHEPTYTDLLSGFGTNVDSSRGICPPFVDQAVGNSMRKHSLDQEGKFNLQSWSMLPSSLSLSLDSNLKGPPIGNMAYQAQGNARYGGFSDYSVLNGHRVDHPQGNWLMPPPPSHFENPANAREAMPQHASLQKQEAVKPKDGNYKLFGIPLIAPEAALSHRNAMIGSPHHNQVHTFESDQKSDKSRGSKSVENPLAVGEPDKLLQTSQQHVRDGQGKPQGGSTRSCTKVHKQGIALGRSVDLTKFNNYEELIAALDQLFEFDGELMAPKKNWLIVYTDDEGDMMLVGDDPWQEFCGIVRKIFIYTREEVQKMNPGTLNSHGEENLSLVAEGADAREGKSQLLP >ONI07857 pep chromosome:Prunus_persica_NCBIv2:G5:13246313:13251588:1 gene:PRUPE_5G143100 transcript:ONI07857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSEVSIKDNCGNQRGDSFSSGFSDHNDVRNNLEGQNSHPTVSAAGRDAETALYTELWHACAGPLVTVPRERERVFYFPQGHIEQVEASTNQVADQQMPVYNLPSKILCRVIHVQLKAEPDTDEVFAQVTLLPEPSQDENTVEKEPPPPPPPRFQVHSFCKTLTASDTSTHGGFSVLRRHADECLPQLDMSRQPPTQELVAKDLHANEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGSAPSSVISSHSMHLGVLATAWHAILTGTMFTVYYKPRTSPAEFIVPFDQYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIIGIEDADTKRWRDSKWRSLKVRWDETSSIPRPDRVSPWKIEPALAPPALNPLPMPRPKRPRSNMVPSSPDSILTREGSSKVTADPAMPGGFSRVLQGQEFSTLRGNFVDSESDTAEKSLAWTPSVDDEKIDVVSASRRHGSENWMPSGRHEPTYTDLLSGFGTNVDSSRGICPPFVDQAVGNSMRKHSLDQEGKFNLQSWSMLPSSLSLSLDSNLKGPPIGNMAYQAQGNARYGGFSDYSVLNGHRVDHPQGNWLMPPPPSHFENPANAREAMPQHASLQKQEAVKPKDGNYKLFGIPLIAPEAALSHRNAMIGSPHHNQVHTFESDQKSDKSRGSKSVENPLAVGEPDKLLQTSQQHVRDGQGKPQGGSTRSCTKVHKQGIALGRSVDLTKFNNYEELIAALDQLFEFDGELMAPKKNWLIVYTDDEGDMMLVGDDPWQEFCGIVRKIFIYTREEVQKMNPGTLNSHGEENLSLVAEGADAREGKSQLLP >ONI08282 pep chromosome:Prunus_persica_NCBIv2:G5:14535836:14538728:1 gene:PRUPE_5G169300 transcript:ONI08282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVADFTMTRTLVGTIALGMVFTTNSKMETTCFFPLIRWSDFWFGWKYYFQADNLETCETQVTAPIQRELFAHESECIEIVPQEPIGISGTTECCSSSDVPENPPPTSEWLEDTLIDLYLSGYSNLEANAADDMTVFPETDVEFGSNGNKDSHELNEGELILDDHDAAIKSSENVSDEGVSLDEENWRAQYGQVIQSEEVSIPESSPVSLWDWEMITKPRKDGKGEVARLVGRLVRRSAKLHPSMPSGGGLLKTAPICEVHLDLVRVITGQVYKLRSPSIRYLSSLSTYDSSNPTKDWGFPELSVNTQILALSKSSGNSESITADGVAVSKDSSLLPGQIYEKHESYTYRDRAADRRALHGGFGVGPGQKNSLIGDSDSPPGSTEEAAAEALNMSFGVGSYARKLLENMGWKEGEALGKSTKGLVEPIQAHSNIGNAGLGWPQGRFKRP >ONI08283 pep chromosome:Prunus_persica_NCBIv2:G5:14535836:14537683:1 gene:PRUPE_5G169300 transcript:ONI08283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVADFTMTRTLVGTIALGMVFTTNSKMETTCFFPLIRWSDFWFGWKYYFQADNLETCETQVTAPIQRELFAHESECIEIVPQEPIGISGTTECCSSSDVPENPPPTSEWLEDTLIDLYLSGYSNLEANAADDMTVFPETDVEFGSNGNKDSHELNEGELILDDHDAAIKSSENVSDEGVSLDEENWRAQYGQVIQSEEVSIPESSPVSLWDWEMITKPRKDGKGEVARLVGRLVRRSAKLHPSMPSGGGLLKTAPICEVHLDLDKSISCGAPVYDTYLPCQLMILPTQPKIGASLNYQSTHKFWPSLNPVETVNQ >ONI08281 pep chromosome:Prunus_persica_NCBIv2:G5:14535626:14539301:1 gene:PRUPE_5G169300 transcript:ONI08281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPSPSATEPGSQDSHNAFVWDESSQLYFHAGSGFYHDPNAGWYYSTRDGLYYKFEDGNYVLLPSHQADNLETCETQVTAPIQRELFAHESECIEIVPQEPIGISGTTECCSSSDVPENPPPTSEWLEDTLIDLYLSGYSNLEANAADDMTVFPETDVEFGSNGNKDSHELNEGELILDDHDAAIKSSENVSDEGVSLDEENWRAQYGQVIQSEEVSIPESSPVSLWDWEMITKPRKDGKGEVARLVGRLVRRSAKLHPSMPSGGGLLKTAPICEVHLDLDKSISCGAPVYDTYLPCQLMILPTQPKIGASLNYQSTHKFWPSLNPVETVNQ >ONI08280 pep chromosome:Prunus_persica_NCBIv2:G5:14535626:14539301:1 gene:PRUPE_5G169300 transcript:ONI08280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPSPSATEPGSQDSHNAFVWDESSQLYFHAGSGFYHDPNAGWYYSTRDGLYYKFEDGNYVLLPSHQADNLETCETQVTAPIQRELFAHESECIEIVPQEPIGISGTTECCSSSDVPENPPPTSEWLEDTLIDLYLSGYSNLEANAADDMTVFPETDVEFGSNGNKDSHELNEGELILDDHDAAIKSSENVSDEGVSLDEENWRAQYGQVIQSEEVSIPESSPVSLWDWEMITKPRKDGKGEVARLVGRLVRRSAKLHPSMPSGGGLLKTAPICEVHLDLVRVITGQVYKLRSPSIRYLSSLSTYDSSNPTKDWGFPELSVNTQILALSKSSGNSESITADGVAVSKDSSLLPGQIYEKHESYTYRDRAADRRALHGGFGVGPGQKNSLIGDSDSPPGSTEEAAAEALNMSFGVGSYARKLLENMGWKEGEALGKSTKGLVEPIQAHSNIGNAGLGWPQGRFKRP >ONI08501 pep chromosome:Prunus_persica_NCBIv2:G5:15208927:15211253:1 gene:PRUPE_5G182000 transcript:ONI08501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTCSTVEPAGAGEIMLFGVRVVIDSMRKSVSLNNLSQYEQPQEGSNNNSGNNTIAGKDDVAGYASENDVVHNSGGNRERERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNHNRRRRRSSLFDITTDTVTATPMDEEQVHHQNNTSQSHPLPPPPPSEACNPSGFSMVPNFSLTVGPAVLPVPIENPMGNLTLGHANLENNASAKLVRPIALHSAPHATEMSDLNLKSTMDTSTLTLNLSLSMDPRESSSRHSAFQAMPSFSNGDSIISVA >ONI06201 pep chromosome:Prunus_persica_NCBIv2:G5:5011183:5012711:-1 gene:PRUPE_5G046800 transcript:ONI06201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIQAKAEELRLQLSSFHFNSAAPPPNQDLYNNNTENNFGDGQEVFYDDDGLLFNIGFGNVIVVDNLPIVKAEMAQQLESEIRRVFSEVGVIKEDGFSMPLNPLNQKTLGRCFIEFSSRQEAELARVKRDGYNFNTQALSVTLYDDLDRFIDITDVGTTPETNPSACLSCLSTPPFYIQNRSLPTSMAHGTFRYCIPSYG >ONI06200 pep chromosome:Prunus_persica_NCBIv2:G5:5011176:5012711:-1 gene:PRUPE_5G046800 transcript:ONI06200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIQAKAEELRLQLSSFHFNSAAPPPNQDLYNNNTENNFGDGQEVFYDDDGLLFNIGFGNVIVVDNLPIVKAEMAQQLESEIRRVFSEVGVIKEDGFSMPLNPLNQKTLGRCFIEFSSRQEAELARVKRDGYNFNTQALSVTLYDDLDRFIDITDVGTTPETNPSACLVIFLTSLCLSTIVLLILYCSYACSLVYQLHLSIFKTEVCLLLWHMAHLDIVYLHMDKL >ONI06199 pep chromosome:Prunus_persica_NCBIv2:G5:5010771:5012863:-1 gene:PRUPE_5G046800 transcript:ONI06199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIQAKAEELRLQLSSFHFNSAAPPPNQDLYNNNTENNFGDGQEVFYDDDGLLFNIGFGNVIVVDNLPIVKAEMAQQLESEIRRVFSEVGVIKEDGFSMPLNPLNQKTLGRCFIEFSSRQEAELARVKRDGYNFNTQALSVTLYDDLDRFIDITDVGTTPETNPSACLPFH >ONI06194 pep chromosome:Prunus_persica_NCBIv2:G5:5005269:5012863:-1 gene:PRUPE_5G046800 transcript:ONI06194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIQAKAEELRLQLSSFHFNSAAPPPNQDLYNNNTENNFGDGQEVFYDDDGLLFNIGFGNVIVVDNLPIVKAEMAQQLESEIRRVFSEVGVIKEDGFSMPLNPLNQKTLGRCFIEFSSRQEAELARVKRDGYNFNTQALSVTLYDDLDRFIDITDVGTTPETNPSACLSSRYSGPPSLLSPLKEEDEVPGEERQEDQGRELGQQRSKI >ONI06198 pep chromosome:Prunus_persica_NCBIv2:G5:5009772:5012863:-1 gene:PRUPE_5G046800 transcript:ONI06198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIQAKAEELRLQLSSFHFNSAAPPPNQDLYNNNTENNFGDGQEVFYDDDGLLFNIGFGNVIVVDNLPIVKAEMAQQLESEIRRVFSEVGVIKEDGFSMPLNPLNQKTLGRCFIEFSSRQEAELARVKRDGYNFNTQALSVTLYDDLDRFIDITDVGTTPETNPSACLG >ONI06197 pep chromosome:Prunus_persica_NCBIv2:G5:5009669:5012863:-1 gene:PRUPE_5G046800 transcript:ONI06197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIQAKAEELRLQLSSFHFNSAAPPPNQDLYNNNTENNFGDGQEVFYDDDGLLFNIGFGNVIVVDNLPIVKAEMAQQLESEIRRVFSEVGVIKEDGFSMPLNPLNQKTLGRCFIEFSSRQEAELARVKRDGYNFNTQALSVTLYDDLDRFIDITDVGTTPETNPSACLSSRYSGPPSLLSPLKEEDEVPGEERQEDQGTDEGARFRGSH >ONI06195 pep chromosome:Prunus_persica_NCBIv2:G5:5005007:5012863:-1 gene:PRUPE_5G046800 transcript:ONI06195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIQAKAEELRLQLSSFHFNSAAPPPNQDLYNNNTENNFGDGQEVFYDDDGLLFNIGFGNVIVVDNLPIVKAEMAQQLESEIRRVFSEVGVIKEDGFSMPLNPLNQKTLGRCFIEFSSRQEAELARVKRDGYNFNTQALSVTLYDDLDRFIDITDVGTTPETNPSACLSSRYSVLTQPLERGR >ONI07172 pep chromosome:Prunus_persica_NCBIv2:G5:11087568:11089769:-1 gene:PRUPE_5G104100 transcript:ONI07172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDLYVLDDSFFRHSSSSEMASYEPDQFFSEPFSPFSDIDILQAISDHQSNQNPVENQSHHNNSLDQSSPTILSSSPPSYQLESLSLCQATHLNPLDQNCTNLANGLPNFSAFDALEVKTEQCHLAFDQNAYNNSQVFGPHSYSGAENVAKFMQRSFSSNSFDGKPGVVFQPCFDSLMESSTFQNQALSSPENSFLAGQMRRVSSTGDLQNFRTARNPRESSVMEEAAANFKMGRYSAEERKERISKYRAKRSQRNFNKTIKYACRKTLADNRPRIRGRFARNDETGEVLKAACSSNREEDGDELWVEGFNVEEEENGTVRGGGGGQFMNNFGATQFQYYGF >ONI07660 pep chromosome:Prunus_persica_NCBIv2:G5:12794907:12797901:-1 gene:PRUPE_5G133900 transcript:ONI07660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQKQSTHLENCTERAQEAADSQNPIVIPSVRVAEKLSRKKSERFTYLVAAVMSSFGITSMAVMAVYYRFYWQMEGGNVPLSEMLGTFALSVGAAVGMEFWARWAHKALWHAYGICTSLTTQTQRRSIRA >ONI06293 pep chromosome:Prunus_persica_NCBIv2:G5:5518015:5521184:-1 gene:PRUPE_5G051400 transcript:ONI06293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHKSLINNNEYHIYYSVVMAHTSAPIANPNCTTHCGDVAIPYPFGIGPNKDCYLDECHLYTNNYSRQAPFLKSVNLELLSISFPFHGFPSVPVKTLSLSSAARERKLHQPQNLTGSPFNYSRTDNIFIAVSCDLFVRVSSDHETVAGCQSICRNTTGDDYNNCYEDWFENNLTNFQDLKDMGSVPVVLDWISNVHNYGERFSERFRENPDLTGNQSTPFCTNISTSSSDLTRYNRTRMICACPPGLEGNPYLLQPCQGTQTESLFFLDLD >ONI06460 pep chromosome:Prunus_persica_NCBIv2:G5:6737555:6744075:1 gene:PRUPE_5G061700 transcript:ONI06460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEQKQAQMIEHFVKQASSLQGSSLVSLIVQVTSHSSLFAFSEILAVPSVLELQGTDYAVYIDLLRLFAHGTWSDYKSNASLLPPLTPDQITKLKQLTVLSLAEINKVLSYDQLLEQLDLSNVRELEDFLINECMYAGIVKGKLDHLRRSFEVQFAAGRDLRPGQLGNIIQTLDDWLNTTDNVLLTIEEKIKWADKKCALNTIHQKQKAVKDKVEEVKKSLFNRGGRDFQALDDVLFGETAGLMDYEEDRTRTKRRRSPIY >ONI08181 pep chromosome:Prunus_persica_NCBIv2:G5:14222758:14225925:1 gene:PRUPE_5G162200 transcript:ONI08181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLLSPARATSAIVGLDRLAYGSRYPAPTVQHLLTFTTLSFFPHSHHRHRHRPRTLTLLPTTSLSFCPLLQNPNFLTGNSLFRGMEQFWSHSSVKKNKAMVENLQSYGVISSKKVVEVMGTIDRALFVPDGTPPYVDSPMAIGYNATISAPHMHATCLQLLEENLQPNMRALDIGSGTGYLTACFALMVGPQGRAVGVEHIPELASSSIENIKKSAAAPLLKEGSLSVHVGDGRLGWPEFAPYDAIHVGAAAPEIPQPLIDQLKPGGRMVIPVGNIFQDLKVVDKNLDGSISVRTETSVRYVPLTSKDAQLRGS >ONI08071 pep chromosome:Prunus_persica_NCBIv2:G5:13958945:13960311:-1 gene:PRUPE_5G156900 transcript:ONI08071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSKLLSSILPSKASPPQPSPSSSNLPLPPRVSLPKTPSWRAPLVATWTPSSSPSSSSLASILWPSLAYSNTLFFKSAYNVQIVVQDNDSEEKLVGRFRKEVLRAGVLQECKTRRFFENKREKKQRKTRQAARKNRKRYPQSKDPRQDKPEARINDDKEDDNWDYDVELPYH >ONI05918 pep chromosome:Prunus_persica_NCBIv2:G5:3376394:3383470:-1 gene:PRUPE_5G028700 transcript:ONI05918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAQPQPPSSMMSQAQGYQHHHHQPTSVEEVRTLWIGDLQYWVDENYLTTCFAHTGEVISIKIIRNKITGQPEGYGFVEFVSHAAAERVLHAYNGTLMPGTEQNFRLNWASFGIGERRPDAGPEHSIFVGDLAPDVTDFMLQETFRTQYSSVRGAKVVTDPNTGRSKGYGFVKFADETERNRAMTEMNGVYCSTRQMRISAATPKKTTGFQQQYGATKAVYPNPAYTTPAVPVLPADYDANNTTIFVGNLDPNVTEEELKQSFMQFGEIPYVKIPVGKGCGFVQFGTRASAEEAIQRMQGMMIGQQVVRISWGRSPTAKQDVPGSFAQQVDPSQWAAYYGYGQGYDAYAYGATQDPSLYAYGAYAGYGQYPQQVEGVQDLAAMGGTVPSVEQREELDDPLATPDVDKLNAAYLSVHGRAILGRPMWLRTSSFTQQA >ONI05917 pep chromosome:Prunus_persica_NCBIv2:G5:3375942:3383759:-1 gene:PRUPE_5G028700 transcript:ONI05917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAQPQPPSSMMSQAQGYQHHHHQPTSVEEVRTLWIGDLQYWVDENYLTTCFAHTGEVISIKIIRNKITGQPEGYGFVEFVSHAAAERVLHAYNGTLMPGTEQNFRLNWASFGIGERRPDAGPEHSIFVGDLAPDVTDFMLQETFRTQYSSVRGAKVVTDPNTGRSKGYGFVKFADETERNRAMTEMNGVYCSTRQMRISAATPKKTTGFQQQYGATKVYPNPAYTTPAVPVLPADYDANNTTIFVGNLDPNVTEEELKQSFMQFGEIPYVKIPVGKGCGFVQFGTRASAEEAIQRMQGMMIGQQVVRISWGRSPTAKQDVPGSFAQQVDPSQWAAYYGYGQGYDAYAYGATQDPSLYAYGAYAGYGQYPQQVEGVQDLAAMGGTVPSVEQREELDDPLATPDVDKLNAAYLSVHGRAILGRPMWLRTSSFTQQA >ONI06717 pep chromosome:Prunus_persica_NCBIv2:G5:9011205:9012988:-1 gene:PRUPE_5G076100 transcript:ONI06717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEMQVLDNYTEKMNTFSHVPPGFRFHPTDEELVDYYLRKKIASKRIDLDVIKDVDLYKIEPWDLQELCKIGTDDQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRNILIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETSENGTPQEEGWVVCRVFKKRLATVRKMGDYESPCWYDQVSFMPELESPRRSISHPYASPYHQQQQHYSQCKQELDLQYNMPHHHHHDSFLQLPQLESPKVPQSAPYGSMLQSSTLTQEEQLQQHINQQQNMFNSSSSSLMLYNNNDQQSVDQVTDWRVLDKFVASQLSQDQQDASKEVTNYSNAASMFHVANMLANESSRRPDNENLGRDYASTSTSSCQIDLWK >ONI06718 pep chromosome:Prunus_persica_NCBIv2:G5:9011205:9015718:-1 gene:PRUPE_5G076100 transcript:ONI06718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFSHVPPGFRFHPTDEELVDYYLRKKIASKRIDLDVIKDVDLYKIEPWDLQELCKIGTDDQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRNILIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETSENGTPQEEGWVVCRVFKKRLATVRKMGDYESPCWYDQVSFMPELESPRRSISHPYASPYHQQQQHYSQCKQELDLQYNMPHHHHHDSFLQLPQLESPKVPQSAPYGSMLQSSTLTQEEQLQQHINQQQNMFNSSSSSLMLYNNNDQQSVDQVTDWRVLDKFVASQLSQDQQDASKEVTNYSNAASMFHVANMLANESSRRPDNENLGRDYASTSTSSCQIDLWK >ONI07792 pep chromosome:Prunus_persica_NCBIv2:G5:13083273:13086060:1 gene:PRUPE_5G140000 transcript:ONI07792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSDKAERAKERREKRLQEISLLRTIPYSDHQRWWSAETVAVVTGGNRGIGFEISRQLAAHGVTVILTSRDHSVGLEAAKVFQEGGLNVFCHQLDVLDTLSINEFCDWLKQNYGGLDILINNAAVNFNQGSDNSVEYASQVITTNYYGIKNMIQAMIPLMKHSAHGGRIVNVSSRLGRTNGKRNKLEDANLREKLSNIDTLTEEMIDQTVSTFLQQVQEGTWESGGWPKTFTDYSISKLAVNTYTRLMARIFSERPEGEKIYINCYCPGWVKTAMTGYAGNISAEDGADTAVWLALLPDQAVTGKFFAERREISF >ONI06980 pep chromosome:Prunus_persica_NCBIv2:G5:10325598:10327815:-1 gene:PRUPE_5G093100 transcript:ONI06980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEITVISAQGLKTTSPTTFSNKIRTFITLTTTNGDHKCHVYSTGVDDEGGINPTWGDKFHVPVDTSFFTNRYSCIYLQLYSKRLIMGRTQLGWCQISAQDIGFPPAGSARYLSYRLRARDGSRTNGIVNLSVKLENLGPVSGHGQTNSVNAPAFGMCGTGTVIGTPVTALLPPLGECSVNCEGQLDHVGFGCKEAHRWATCDSEGHPSRN >ONI06392 pep chromosome:Prunus_persica_NCBIv2:G5:6291876:6293307:1 gene:PRUPE_5G058200 transcript:ONI06392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGADSYEYENDSSSESSSGSVACTGIIMVSPPESSEDDEAHNNRASQDVFQTPPEYSYPPTSEGKTPSLEVNTSDDDPPYEDVGDGRGVIVAFGEGTGAVDLGGDTDLGFAEAAAVECRVLRRELSPEGGLLEPPLKKLKDSEHNLGKFEPSCSSTESLEIDGCDESSGEEGSEERKLNSSDNESESAVEETQSNSDEKDSVVEMEDGGEECLDDVMRGTPNVTIEELRRIDSPWRGRALPRSIVQPGSSAMDGEDMVQNEVAILDVLKLLKDKYDGDGDDVVDNGSFWEISRRRGMTFPRPSWWPEGGF >ONI08375 pep chromosome:Prunus_persica_NCBIv2:G5:14851233:14854187:-1 gene:PRUPE_5G174300 transcript:ONI08375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKATGSPASFVDQSVVPGDVVLDLTSMANQTIKLGGGLRQDCDAIAAMKAGTLKFSKPNKYWVETSQKRYVPCAGDSVLGIVADSKADNFLVDIKGPTIAFLPVLAFEGGTRRNIPKFEVGTLLYVRVVKANPGMNPELSCIDASGKAAEYGPLKDGYMFECSTGLSKMLLSSPRCPVLEALGKNISFETAIGLNGRVWVNAGSPSTVTVVANAIMNAESLSGVQQRIMVDKLIQNLKLST >ONI07144 pep chromosome:Prunus_persica_NCBIv2:G5:10960534:10960959:1 gene:PRUPE_5G102200 transcript:ONI07144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRVPANPSPFRNVWEIRKTLTTSDVGQNVSRTSSRLLLNTHMVKKSLLPYWSSRLINRVESQEGAAVTIYDCNTSSQHHLTFKYWKSSRTYVLIKKWNREFVRRRQLKAGDEIGFFWDVHRLMLMFSVLGRAGHQLPSFA >ONI08188 pep chromosome:Prunus_persica_NCBIv2:G5:14238171:14239016:1 gene:PRUPE_5G162700 transcript:ONI08188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKALNAQFSGGILFYHAVPMMGYWAYGLKVPENLPNELNDVVAPIHEALDTKFFKLDKSTNSKENLKRRFFLRAFFFIANTFVTLAIPFMGDFVNLFGSFSLVPLTFVFLSMIFIKIKGKTARPEKNLWHWFNIIIFSLLAVVTTIAAVRLILNNVQKYNFFADR >ONI08856 pep chromosome:Prunus_persica_NCBIv2:G5:16388994:16389833:1 gene:PRUPE_5G204400 transcript:ONI08856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIINILVHVNIQCQSFLCGFFRCINKDHIHNKVHEGFPSTIDQANMTTTRRLWIWKLTWYGRWRDGGNNRVDVVVVLPVEHQHTDAAYPTHCQK >ONI07334 pep chromosome:Prunus_persica_NCBIv2:G5:11704963:11707439:-1 gene:PRUPE_5G113700 transcript:ONI07334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESKVADQVNMPEELTNQLVLVIDSVISCLTMRLRFAWLLGSRGENYVEPNGIWARVSLEEFERWMIKHERTYPNNSEKERRFGIFRTRFESIELFNTQQEGKTSSFKKGINMFSDMTAEEFLSIYASCQNDDPSKYISSFGVDSTYDVAEDDYADIPPVSIDWRDENLVTPVKNQGQCGSCWAFAAVAAVEGSMAWNYGINTSLSEQQILDCSGNADGCKGGFTVAAFDYVIRNGGLNSEENYGYVAKQGTCDSSKTDEHLGRITGYKKVPPNEYDLAKAVAWQPIPVSISIGAGFQEYQGGILRGGCSYNPYKNKHTVLIVGYGRTEDHHEDYWIVKNSWGEDWGEEGYVRMYKGVNLCNIADNAISPLNTYDVERRKTITRNRRAVG >ONI06636 pep chromosome:Prunus_persica_NCBIv2:G5:8664949:8667576:1 gene:PRUPE_5G071700 transcript:ONI06636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCDVDGNLNQENFSAPMPWIGIYVAAASLACLIAMAADVVHGFRHWKLWFPSQFFSINATTLTLIGVAVKLSVDLNTAMPSRQDQLAKLSSSVLICTVMGNSMPSLGSMENKEIMMNIIAFGILVITLIVNICIQLATGAIFVFCKEHVFIMFIMLVLLIMMNFSALTIPVSKRYLEHKYTKRYQLALKEGINETGKRVASKLKECLMKHWMMAHTSSPQFVMGRSATCTASGAICLLSTMILAEAMLRTYLMPWSFTFCRGESDYKWSTTLVLATQTIAVAVGTIGPASRWFIAVNFRCAKRGNTTYKGEFRVEKYWTQRLIELKECPLSFIRIKNRHCRKLAHDTRNKFLDLCIGMQTGNVIMSKAIRLISIFLVSRILLCCDFCKQWKKKFKFNTVFNDSGPESQPNQKFDLSCYVLHLEGEDALVEHMMRSNCDATDHWFQRGKKREPKYIVKLLEKSTFSQGFKGVLDFDSEKVPCLDLEEPPNSWALPVVTLTSIALALPNISSCSIKELICGVHEGIMYINFIENFLDSKEDVTNIRKTADMVWLGVDLYHTWLDVDLRKLSLHGKSSKEILEGLAETAKFIFEESKKKQMITNVCLRDTPSKWPVKELAANSMYRISETLLLNYEGSLNRTGERLFEALTVMISDILAACLTNIKQVIKRKCLNSTIEEREESVRHAVYILGKTENILNILDQRIPPSMDPHQISSIDEWRLLHKMESPLALPSSSPSQGDTASSVSSDFYVTIE >ONI09078 pep chromosome:Prunus_persica_NCBIv2:G5:16984333:16984701:-1 gene:PRUPE_5G216700 transcript:ONI09078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKLFSLLFSLMLWLSLLLFSVHGWFHIVSNNSSTDHFNPAATTTTTQPYSLGSHHRQTLSNRKALFSAKFDFTPFVRRHHQQHRLNRHVPVRPEPGGAEIDPRYGSEMRLVPTGPNPLHH >ONI06425 pep chromosome:Prunus_persica_NCBIv2:G5:6431807:6433544:-1 gene:PRUPE_5G059600 transcript:ONI06425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCELPLSLYTTISLLLLAQKEMNSLVPVLHPSQVTTIDVTQLHHDSHTRSVAVKTIHDACQKRGFFQVKNHGVSKKVTENALGSLSKFFDLPMDQKTIFLSNDVKKPVRLETFRVDNVSRVSRELIKLYAYPIEDWIGSWPHNPTDFTENLGKYAIEVRRLSLEIMGAIMESLGLPPTYLRSSLEKGMHMIVGNKYPQCSPSSNILGLASHTDHTIITILLESISGLEIMDSNDNNAWKSVPATGGTLKVLIGNHLEVLSNGLIVQKCVPQGDFKPSNE >ONI06426 pep chromosome:Prunus_persica_NCBIv2:G5:6432249:6433384:-1 gene:PRUPE_5G059600 transcript:ONI06426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLVPVLHPSQVTTIDVTQLHHDSHTRSVAVKTIHDACQKRGFFQVKNHGVSKKVTENALGSLSKFFDLPMDQKTIFLSNDVKKPVRLETFRVDNVSRVSRELIKLYAYPIEDWIGSWPHNPTDFTENLGKYAIEVRRLSLEIMGAIMESLGLPPTYLRSSLEKGMHMIVGNKYPQCSPSSNILGLASHTDHTIITILLESISGLEIMDSNDNNAWKSVPATGGTLKVLIGNHLEVLSNGLIVQKCVPQGDFKPSNE >ONI08760 pep chromosome:Prunus_persica_NCBIv2:G5:16054382:16058307:1 gene:PRUPE_5G199400 transcript:ONI08760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKAFANNNPRGQKIMHCSNKETNSWVILSSIFLALLVLITAEASVVAGDSLDTDREVLLSLKAFLQQHNPVNQGQYSQWNQHSNNPCKWHGVTCNNDTRVSVVELSNNEITGEIFPNFSALTALSHLDLSTNTLSGALPEDLSKCHSLKYLNLSHNIIDSELNLNGLNQLEVLDLAVNRFNGDLQMSFPGICNNLVVVNISENNLTGRIDHSFDDCLKLQYLDLSANYFSGEIWNGFTKLREFSVAENYLSGTILPSIFTNNCSLVVLDLSENGISGGVPAEISKCQRLVILNLWGNNFTGSIPSEIGRISSLQALFLGNNSFYRVIPETLLDLNNLTFLDLSRNNFGGDIQDIFGRFRQVKFLVLHSNSYTGGIYSSGILKLLNISRLDLSRNNFTGPLPVEIAQMPKLKFLILAYNQFNGTIPPEYGNIPSLQALDLSFNNLTGAIPSTLGNLSSLLWLMLANNLLSGPIPQELGNCTSLLWLNLANNLLSGPIPSELTKIGKNVKPTFETNNLDNDQIIPGSGECLAMKRWIPADYPPFSFVYTILTRKSCRSIWDRLLKGNGLFPICAAGSAVRTLQISGYLQLSGNQLSGQLPPDIGKMQNFSMINLGFNKFNGELPAKIGQLPLVVFNISMNNFSGQIPMQIGNIKCMQNLDMSYNNFSGTFPVSLNSLTELSKFNISYNPLISGVIPSSGQLATFEKDSYLGDPLLKLPPFIDNSTDGRAKKSNVNLKRPTKFAAYMVVLALLLAVLICGVLSLVVCLFGKSPAEQPGYLLQDVKYRHDLASSSTGSSPWLSDTVKVIRLDKTAFTHADILKATCNFSEERILGKGGFGTVYQGVLPDGRVVAVKKLQRKGLEGEREFRAEMEVLSGNGFGWPHPNLVTLHGWCLYGSEKILVYEYMEGGSLEDLVSDRVRLTWHRRVDVAVDVARALVFLHHECFPAIVHRDVKASNVLLDKDGKARVTDFGLARIVDAGDSHVSTMVAGTVGYVAPEYGQTWQATTKGDVYSYGVLAMELATGRRAVDGGEECLVEWARRVMGNGRPGFNRSVIPVMLMGSGLLDGAEEMCELLKVGIKCTAEAPQSRPNMKEVLAMLIKISSTQGDFNYGSPPPF >ONI08761 pep chromosome:Prunus_persica_NCBIv2:G5:16054382:16058307:1 gene:PRUPE_5G199400 transcript:ONI08761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKAFANNNPRGQKIMHCSNKETNSWVILSSIFLALLVLITAEASVVAGDSLDTDREVLLSLKAFLQQHNPVNQGQYSQWNQHSNNPCKWHGVTCNNDTRVSVVELSNNEITGEIFPNFSALTALSHLDLSTNTLSGALPEDLSKCHSLKYLNLSHNIIDSELNLNGLNQLEVLDLAVNRFNGDLQMSFPGICNNLVVVNISENNLTGRIDHSFDDCLKLQYLDLSANYFSGEIWNGFTKLREFSVAENYLSGTILPSIFTNNCSLVVLDLSENGISGGVPAEISKCQRLVILNLWGNNFTGSIPSEIGRISSLQALFLGNNSFYRVIPETLLDLNNLTFLDLSRNNFGGDIQDIFGRFRQVKFLVLHSNSYTGGIYSSGILKLLNISRLDLSRNNFTGPLPVEIAQMPKLKFLILAYNQFNGTIPPEYGNIPSLQALDLSFNNLTGAIPSTLGNLSSLLWLMLANNLLSGPIPQELGNCTSLLWLNLANNLLSGPIPSELTKIGKNVKPTFETNNLDNDQIIPGSGECLAMKRWIPADYPPFSFVYTILTRKSCRSIWDRLLKGNGLFPICAAGSAVRTLQISGYLQLSGNQLSGQLPPDIGQIPMQIGNIKCMQNLDMSYNNFSGTFPVSLNSLTELSKFNISYNPLISGVIPSSGQLATFEKDSYLGDPLLKLPPFIDNSTDGRAKKSNVNLKRPTKFAAYMVVLALLLAVLICGVLSLVVCLFGKSPAEQPGYLLQDVKYRHDLASSSTGSSPWLSDTVKVIRLDKTAFTHADILKATCNFSEERILGKGGFGTVYQGVLPDGRVVAVKKLQRKGLEGEREFRAEMEVLSGNGFGWPHPNLVTLHGWCLYGSEKILVYEYMEGGSLEDLVSDRVRLTWHRRVDVAVDVARALVFLHHECFPAIVHRDVKASNVLLDKDGKARVTDFGLARIVDAGDSHVSTMVAGTVGYVAPEYGQTWQATTKGDVYSYGVLAMELATGRRAVDGGEECLVEWARRVMGNGRPGFNRSVIPVMLMGSGLLDGAEEMCELLKVGIKCTAEAPQSRPNMKEVLAMLIKISSTQGDFNYGSPPPF >ONI08894 pep chromosome:Prunus_persica_NCBIv2:G5:16497471:16505150:-1 gene:PRUPE_5G206700 transcript:ONI08894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANNQQRPPALPLPPRQYQQGPPPPLPPVVQQATSVVPPQIGQRGPYLYQHGFPPPPPTVHQSPPIPLRGIPNTGQSYLHPPPPGHGSTLISQCYSNAQHNVQHPSHTGTQNFHRIPPPGFVPPPCPDMSAPHGPLPPPPPPPPPAAAPQGLTLYKTLYPPQPQTGGAQGLQQIPPPPASSASNRFTTTTFGSSVHLTVKDSYMPCSAPLPPASAQPPSAPLPAPPPSSSPTLAAFNFPGHSNFDHRFNKLPMSELRDLDSVDEAADRNEVRHIAAVHEGSQNYEGGSGCEAGSLAGDGLSSNGSLMLDVSPPPPKPTDEKVVQKIEFFCHLIAKNGPGIEDLTRQNEYKNPEFEFLFGGEPGSGAAIAHEYFLWMKKKCNLACKLHDGPNEPPLKSLVIDSSSQPEHLNASSGYSLPADSDMEMEDDITLSDRDQGVNDPIEALNGKSGLIDDELDVKRQLHRPQSSPEWRAAQGVFCEKVSCSLSSELCKLSEGPNLLFEGRSKIEKSPSRVDNVYSAGAAECPLDSDLGKSSTAIAIADDQNKLSTPAAPEANNSDRFSNQFIKVGSPFRLLQDYASDNSSENDDEAFVEDVNVKIVPPSVTAAAKSSHKDSGSHLKTYIGSESPCMSDKESRLPSESRKPYKAEKFSLHTNKEIKDTSTTLITIESHEAFQEKDALAGAGTDVVSRRGKSPEGKKATIESVPPKVDEFGRLVRDGSSDSNSDDSRYNKRHNKRGRSRIRSRSRSPLDSRRRSSHRRRDKRSRSRSWSSRNQRSRSRSPTFRRAGEFRDGNKRQDKRLIPECFDFLRGRCYRGASCRYMHHEYDKNDSSRHHRSKQKHLDTQPGLKRSRIKEETKHTSAMNLHDEIKDQELQDVPIARKDSQLIDPDKINCESSRVAIATVQVKQILPETPVETTTHIPDKKEFQEVLKSHQPSPQLISSADNMKSCDDTYQDVFPLMKKSVVEQTQSNNSVAQLQKVDCPSKQMEEFLVSDLSPDRASKTSPNKVYSSGPLPIAMSSTHVWPMKSSNGQPLSSEQFPYLSQLLPPPPSQGTSAVHVPQLHRDYNLMPPYPLQSTPTGSIHSYQDSLPNQHAQLSRPLDSTWTSLPPPPPRPLYDSSINAGTAARGVSSQFQQNHLVPRNDFGSHTSVRPYPTELPSHSQASDFQHQMYPPVREFHRPLLHREDFGSGNPSSQPFGASGLSREDQFTHAPVQDLNSSNAFAHGNIHPQPTPPREINMHKMQNFSGDNFPSGELLNSSPQIQSRSQNQQPTCGMQYPVGDSILGVPGKTGVKYHVGDSILGFPGKDGPTSQYPTDILDRNQSSHLPDFGASRIPTHHNPYAATFEQPLSSKFSSNILNQENDAPSGNIFDAPSILSQVPVDGQGVGSVGSRQTTSSPSSARAVGQLLPKSDGEQYDPLLDSIEPSSTPCKKSGHGEKQKTPSDSNIMGSVSGSCQPLDLEENNKRKEVDTVASATSLDIDEYGETADAEVGVVEDESLSDPDDAANMAAGEIEIDQRESPGKSKKEKDSRSMRLFKIAIADFVKEILKPSWRQGNMSKEAFKTIVKKTVDKVSGAMKRHQIPKSQAKIDHYIDSSQRKLTKLVMGYVDKYVKV >ONI08891 pep chromosome:Prunus_persica_NCBIv2:G5:16497934:16504181:-1 gene:PRUPE_5G206700 transcript:ONI08891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQANYGHQFGQGPRTPMTPANNQQRPPALPLPPRQYQQGPPPPLPPVVQQATSVVPPQIGQRGPYLYQHGFPPPPPTVHQSPPIPLRGIPNTGQSYLHPPPPGHGSTLISQCYSNAQHNVQHPSHTGTQNFHRIPPPGFVPPPCPDMSAPHGPLPPPPPPPPPAAAPQGLTLYKTLYPPQPQTGGAQGLQQIPPPPASSASNRFTTTTFGSSVHLTVKDSYMPCSAPLPPASAQPPSAPLPAPPPSSSPTLAAFNFPGHSNFDHRFNKLPMSELRDLDSVDEAADRNEVRHIAAVHEGSQNYEGGSGCEAGSLAGDGLSSNGSLMLDVSPPPPKPTDEKVVQKIEFFCHLIAKNGPGIEDLTRQNEYKNPEFEFLFGGEPGSGAAIAHEYFLWMKKKCNLACKLHDGPNEPPLKSLVIDSSSQPEHLNASSGYSLPADSDMEMEDDITLSDRDQGVNDPIEALNGKSGLIDDELDVKRQLHRPQSSPEWRAAQGVFCEKVSCSLSSELCKLSEGPNLLFEGRSKIEKSPSRVDNVYSAGAAECPLDSDLGKSSTAIAIADDQNKLSTPAAPEANNSDRFSNQFIKVGSPFRLLQDYASDNSSENDDEAFVEDVNVKIVPPSVTAAAKSSHKDSGSHLKTYIGSESPCMSDKESRLPSESRKPYKAEKFSLHTNKEIKDTSTTLITIESHEAFQEKDALAGAGTDVVSRRGKSPEGKKATIESVPPKVDEFGRLVRDGSSDSNSDDSRYNKRHNKRGRSRIRSRSRSPLDSRRRSSHRRRDKRSRSRSWSSRNQRSRSRSPTFRRAGEFRDGNKRQDKRLIPECFDFLRGRCYRGASCRYMHHEYDKNDSSRHHRSKQKHLDTQPGLKRSRIKEETKHTSAMNLHDEIKDQELQDVPIARKDSQLIDPDKINCESSRVAIATVQVKQILPETPVETTTHIPDKKEFQEVLKSHQPSPQLISSADNMKSCDDTYQDVFPLMKKSVVEQTQSNNSVAQLQKVDCPSKQMEEFLVSDLSPDRASKTSPNKVYSSGPLPIAMSSTHVWPMKSSNGQPLSSEQFPYLSQLLPPPPSQGTSAVHVPQLHRDYNLMPPYPLQSTPTGSIHSYQDSLPNQHAQLSRPLDSTWTSLPPPPPRPLYDSSINAGTAARGVSSQFQQNHLVPRNDFGSHTSVRPYPTELPSHSQASDFQHQMYPPVREFHRPLLHREDFGSGNPSSQPFGASGLSREDQFTHAPVQDLNSSNAFAHGNIHPQPTPPREINMHKMQNFSGDNFPSGELLNSSPQIQSRSQNQQPTCGMQYPVGDSILGVPGKTGVKYHVGDSILGFPGKDGPTSQYPTDILDRNQSSHLPDFGASRIPTHHNPYAATFEQPLSSKFSSNILNQENDAPSGNIFDAPSILSQVPVDGQGVGSVGSRQTTSSPSSARAVGQLLPKSDGEQYDPLLDSIEPSSTPCKKSGHGEKQKTPSDSNIMGSVSGSCQPLDLEENNKRKEVDTVASATSLDIDEYGETADAEVGVVEDESLSDPDDAANMAAGEIEIDQRESPGKSKKEKDSRSMRLFKIAIADFVKEILKPSWRQGNMSKEAFKTIVKKTVDKVSGAMKRHQIPKSQAKIDHYIDSSQRKLTKLVMGYVDKYVKV >ONI08893 pep chromosome:Prunus_persica_NCBIv2:G5:16497934:16504181:-1 gene:PRUPE_5G206700 transcript:ONI08893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQANYGHQFGQGPRTPMTPANNQQRPPALPLPPRQYQQGPPPPLPPVVQQATSVVPPQIGQRGPYLYQHGFPPPPPTVHQSPPIPLRGIPNTGQSYLHPPPPGHGSTLISQCYSNAQHNVQHPSHTGTQNFHRIPPPGFVPPPCPDMSAPHGPLPPPPPPPPPAAAPQGLTLYKTLYPPQPQTGGAQGLQQIPPPPASSASNRFTTTTFGSSVHLTVKDSYMPCSAPLPPASAQPPSAPLPAPPPSSSPTLAAFNFPGHSNFDHRFNKLPMSELRDLDSVDEAADRNEVRHIAAVHEGSQNYEGGSGCEAGSLAGDGLSSNGSLMLDVSPPPPKPTDEKVVQKIEFFCHLIAKNGPGIEDLTRQNEYKNPEFEFLFGGEPGSGAAIAHEYFLWMKKKCNLACKLHDGPNEPPLKSLVIDSSSQPEHLNASSGYSLPADSDMEMEDDITLSDRDQGVNDPIEALNGKSGLIDDELDVKRQLHRPQSSPEWRAAQGVFCEKVSCSLSSELCKLSEGPNLLFEGRSKIEKSPSRVDNVYSAGAAECPLDSDLGKSSTAIAIADDQNKLSTPAAPEANNSDRFSNQFIKVGSPFRLLQDYASDNSSENDDEAFVEDVNVKIVPPSVTAAAKSSHKDSGSHLKTYIGSESPCMSDKESRLPSESRKPYKAEKFSLHTNKEIKDTSTTLITIESHEAFQEKDALAGAGTDVVSRRGKSPEGKKATIESVPPKVDEFGRLVRDGSSDSNSDDSRYNKRHNKRGRSRIRSRSRSPLDSRRRSSHRRRDKRSRSRSWSSRNQRSRSRSPTFRRAGEFRDGNKRQDKRLIPECFDFLRGRCYRGASCRYMHHEYDKNDSSRHHRSKQKHLDTQPGLKRSRIKEETKHTSAMNLHDEIKDQELQDVPIARKDSQLIDPDKINCESSRVAIATVQVKQILPETPVETTTHIPDKKEFQEVLKSHQPSPQLISSADNMKSCDDTYQDVFPLMKKSVVEQTQSNNSVAQLQKVDCPSKQMEEFLVSDLSPDRASKTSPNKVYSSGPLPIAMSSTHVWPMKSSNGQPLSSEQFPYLSQLLPPPPSQGTSAVHVPQLHRDYNLMPPYPLQSTPTGSIHSYQDSLPNQHAQLSRPLDSTWTSLPPPPPRPLYDSSINAGTAARGVSSQFQQNHLVPRNDFGSHTSVRPYPTELPSHSQASDFQHQMYPPVREFHRPLLHREDFGSGNPSSQPFGASGLSREDQFTHAPVQDLNSSNAFAHGNIHPQPTPPREINMHKMQNFSGDNFPSGELLNSSPQIQSRSQNQQPTCGMQYPVGDSILGVPGKTGVKYHVGDSILGFPGKDGPTSQYPTDILDRNQSSHLPDFGASRIPTHHNPYAATFEQPLSSKFSSNILNQENDAPSGNIFDAPSILSQVPVDGQGVGSVGSRQTTSSPSSARAVGQLLPKSDGEQYDPLLDSIEPSSTPCKKSGHGEKQKTPSDSNIMGSVSGSCQPLDLEENNKRKEVDTVASATSLDIDEYGETADAEVGVVEDESLSDPDDAANMAAGEIEIDQRESPGKSKKEKDSRSMRLFKIAIADFVKEILKPSWRQGNMSKEAFKTIVKKTVDKVSGAMKRHQIPKSQAKIDHYIDSSQRKLTKLVMGYVDKYVKV >ONI08892 pep chromosome:Prunus_persica_NCBIv2:G5:16497471:16505172:-1 gene:PRUPE_5G206700 transcript:ONI08892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQANYGHQFGQGPRTPMTPANNQQRPPALPLPPRQYQQGPPPPLPPVVQQATSVVPPQIGQRGPYLYQHGFPPPPPTVHQSPPIPLRGIPNTGQSYLHPPPPGHGSTLISQCYSNAQHNVQHPSHTGTQNFHRIPPPGFVPPPCPDMSAPHGPLPPPPPPPPPAAAPQGLTLYKTLYPPQPQTGGAQGLQQIPPPPASSASNRFTTTTFGSSVHLTVKDSYMPCSAPLPPASAQPPSAPLPAPPPSSSPTLAAFNFPGHSNFDHRFNKLPMSELRDLDSVDEAADRNEVRHIAAVHEGSQNYEGGSGCEAGSLAGDGLSSNGSLMLDVSPPPPKPTDEKVVQKIEFFCHLIAKNGPGIEDLTRQNEYKNPEFEFLFGGEPGSGAAIAHEYFLWMKKKCNLACKLHDGPNEPPLKSLVIDSSSQPEHLNASSGYSLPADSDMEMEDDITLSDRDQGVNDPIEALNGKSGLIDDELDVKRQLHRPQSSPEWRAAQGVFCEKVSCSLSSELCKLSEGPNLLFEGRSKIEKSPSRVDNVYSAGAAECPLDSDLGKSSTAIAIADDQNKLSTPAAPEANNSDRFSNQFIKVGSPFRLLQDYASDNSSENDDEAFVEDVNVKIVPPSVTAAAKSSHKDSGSHLKTYIGSESPCMSDKESRLPSESRKPYKAEKFSLHTNKEIKDTSTTLITIESHEAFQEKDALAGAGTDVVSRRGKSPEGKKATIESVPPKVDEFGRLVRDGSSDSNSDDSRYNKRHNKRGRSRIRSRSRSPLDSRRRSSHRRRDKRSRSRSWSSRNQRSRSRSPTFRRAGEFRDGNKRQDKRLIPECFDFLRGRCYRGASCRYMHHEYDKNDSSRHHRSKQKHLDTQPGLKRSRIKEETKHTSAMNLHDEIKDQELQDVPIARKDSQLIDPDKINCESSRVAIATVQVKQILPETPVETTTHIPDKKEFQEVLKSHQPSPQLISSADNMKSCDDTYQDVFPLMKKSVVEQTQSNNSVAQLQKVDCPSKQMEEFLVSDLSPDRASKTSPNKVYSSGPLPIAMSSTHVWPMKSSNGQPLSSEQFPYLSQLLPPPPSQGTSAVHVPQLHRDYNLMPPYPLQSTPTGSIHSYQDSLPNQHAQLSRPLDSTWTSLPPPPPRPLYDSSINAGTAARGVSSQFQQNHLVPRNDFGSHTSVRPYPTELPSHSQASDFQHQMYPPVREFHRPLLHREDFGSGNPSSQPFGASGLSREDQFTHAPVQDLNSSNAFAHGNIHPQPTPPREINMHKMQNFSGDNFPSGELLNSSPQIQSRSQNQQPTCGMQYPVGDSILGVPGKTGVKYHVGDSILGFPGKDGPTSQYPTDILDRNQSSHLPDFGASRIPTHHNPYAATFEQPLSSKFSSNILNQENDAPSGNIFDAPSILSQVPVDGQGVGSVGSRQTTSSPSSARAVGQLLPKSDGEQYDPLLDSIEPSSTPCKKSGHGEKQKTPSDSNIMGSVSGSCQPLDLEENNKRKEVDTVASATSLDIDEYGETADAEVGVVEDESLSDPDDAANMAAGEIEIDQRESPGKSKKEKDSRSMRLFKIAIADFVKEILKPSWRQGNMSKEAFKTIVKKTVDKVSGAMKRHQIPKSQAKIDHYIDSSQRKLTKLVMGYVDKYVKV >ONI07854 pep chromosome:Prunus_persica_NCBIv2:G5:13230918:13233977:-1 gene:PRUPE_5G142900 transcript:ONI07854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFSHGYLLAFQLNNRIGIAKARLVISIKYCTSQSETCKQDEDTVREISTILKHNDWHIALNSSDLPKKLNPHVVRAVLQQNHQVGDPKRLLSFFIWTDTHIGVPQNLHSFSILAVALCNSKLFEQAHAVLERIVKSRKPPLEVVNSLVMCFREFDGSDRVVFEILINAFKMAGHLNEAADAFLAVKKVGIFPGLDCCNSLLKDLLKCNRLELFWKVYDAMLEAKVNPDFYTYTNVINAHCKAGNAGQGKRCLHEMEEKGCNPNLSTYNVVIGALCRTGGVDEALEVKKAMVEKGLVPDRYTYSALLDGLCRHKRSEEAKLILKDMYDMGLNPENTCYIVLIDGFIKEGNMEEALSIKGEMIARGVKLCDASYNAILAGVCRNGTMEKAEAVLNEMNVMGIKPNAQTFKFLIDGYCREQSMVKAYEILNEMKKRNLAPNVYTYGVIINGLSRCGDLQRANKVLKEMITRGLKPGAVIYTTVIRGHVQEGKFEEAIKLFKGMNEKGIMPDVFCYNSLIIGLCKARKMEEARTYFLEMVERGLRPNAYTYGAFVHGHCKDGEMQLANRYFQEMLGCGIAPNDVIYTALIEGHCKEGNLTEAYSAFRCMLGRGVLPDIKTYSVIIHGLSKNGKLQEAMGVFSELLGKDLVPDVFTYSSLISGFCKQGNVDKAFQLLELMCQRGIDPNIVTYNALINGLCKSGDVDKARELFDGISGKGLTPNAVTYATMMGGYSKAGKLTEAFRLLDEMLLHGFPTDSFIYCTLIDGCCKAGDTEKALSLFEDVVEKGFAATASFNALINGFCKLGKMMEAIRLFEDMVDKHVTPNHVSYTILIVSLSKEGLMNESEQLFLEMQKRNLTPTIVTYTSLLHGYNITGSRFKMFALFEEMMARGLKPDEVNYGMMVDAYCKEGDWVKCLKLVDEVLVNGTIMNSIVVDALTINLFQKEEFSEVMKSLDEMGEQGFALSLATCSTLVRGFYRLGNVEKAARILESMLSFGWVSQSTSLSDLINEDRNEASSGIC >ONI07853 pep chromosome:Prunus_persica_NCBIv2:G5:13230051:13234144:-1 gene:PRUPE_5G142900 transcript:ONI07853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFSHGYLLAFQLNNRIGIAKARLVISIKYCTSQSETCKQDEDTVREISTILKHNDWHIALNSSDLPKKLNPHVVRAVLQQNHQLFEQAHAVLERIVKSRKPPLEVVNSLVMCFREFDGSDRVVFEILINAFKMAGHLNEAADAFLAVKKVGIFPGLDCCNSLLKDLLKCNRLELFWKVYDAMLEAKVNPDFYTYTNVINAHCKAGNAGQGKRCLHEMEEKGCNPNLSTYNVVIGALCRTGGVDEALEVKKAMVEKGLVPDRYTYSALLDGLCRHKRSEEAKLILKDMYDMGLNPENTCYIVLIDGFIKEGNMEEALSIKGEMIARGVKLCDASYNAILAGVCRNGTMEKAEAVLNEMNVMGIKPNAQTFKFLIDGYCREQSMVKAYEILNEMKKRNLAPNVYTYGVIINGLSRCGDLQRANKVLKEMITRGLKPGAVIYTTVIRGHVQEGKFEEAIKLFKGMNEKGIMPDVFCYNSLIIGLCKARKMEEARTYFLEMVERGLRPNAYTYGAFVHGHCKDGEMQLANRYFQEMLGCGIAPNDVIYTALIEGHCKEGNLTEAYSAFRCMLGRGVLPDIKTYSVIIHGLSKNGKLQEAMGVFSELLGKDLVPDVFTYSSLISGFCKQGNVDKAFQLLELMCQRGIDPNIVTYNALINGLCKSGDVDKARELFDGISGKGLTPNAVTYATMMGGYSKAGKLTEAFRLLDEMLLHGFPTDSFIYCTLIDGCCKAGDTEKALSLFEDVVEKGFAATASFNALINGFCKLGKMMEAIRLFEDMVDKHVTPNHVSYTILIVSLSKEGLMNESEQLFLEMQKRNLTPTIVTYTSLLHGYNITGSRFKMFALFEEMMARGLKPDEVNYGMMVDAYCKEGDWVKCLKLVDEVLVNGTIMNSIVVDALTINLFQKEEFSEVMKSLDEMGEQGFALSLATCSTLVRGFYRLGNVEKAARILESMLSFGWVSQSTSLSDLINEDRNEASSGIC >ONI07060 pep chromosome:Prunus_persica_NCBIv2:G5:10634170:10636246:-1 gene:PRUPE_5G097700 transcript:ONI07060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQTLTGLLKKVASEFPNHRALSVSGKFDLTHARLQELVDHAASLLIASGIGPNDTVALTFPNTVELVVLFLAVIRCRATAVPLNAAYTAEEFEFYLSDSESKLLITPENPILAAKAAATKLNIPHVTAKLAGATSHVTLSSTAESSPDSVLQLVNDASDVALFLHTSGTTSRPKGVPLTQLNLASSVQNIKSVYKLTESDSTVIVLPLFHVHGLIAGLLSSLVAGAAVTLPAAGRFSASTFWADMLSYNATWYTAVPTIHQIILDRHDSKPEPKYPKLRFIRSCSSSLAPSILARLEETFGAPVLEAYAMTEATHLMCSNPLPEDGPHKPGSVGLAVGQELAILDEKGLVQPEGVNGEVCIRGPNVTKGYKNNPEANKAAFLFGWFHTGDIGVLDSDGYLSLVGRIKELINRGGEKISPIEVDAVLVSHPEIEQGVSFGVPDDKYGEEINCAVIPRKSASIDEEEVLRFCKKNLASFKVPKRAFITDSLPKTATGKIQRRIVAEHFLAQISTAKVPKFGA >ONI07061 pep chromosome:Prunus_persica_NCBIv2:G5:10634759:10636193:-1 gene:PRUPE_5G097700 transcript:ONI07061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQTLTGLLKKVASEFPNHRALSVSGKFDLTHARLQELVDHAASLLIASGIGPNDTVALTFPNTVELVVLFLAVIRCRATAVPLNAAYTAEEFEFYLSDSESKLLITPENPILAAKAAATKLNIPHVTAKLAGATSHVTLSSTAESSPDSVLQLVNDASDVALFLHTSGTTSRPKGVPLTQLNLASSVQNIKSVYKLTESDSTVIVLPLFHVHGLIAGLLSSLVAGAAVTLPAAGRFSASTFWADMLSYNATWYTAVPTIHQIILDRHDSKPEPKYPKLRFIRSCSSSLAPSILARLEETFGAPVLEAYAMTEATHLMCSNPLPEDGPHKPGSVGLAVGQELAILDEKGLVQPEGVNGEVCIRGPNVTKGYKNNPEANKAAFLFGWFHTGDIGVLDSDGYLSLVGRIKELINRGGNELIETEAQVFDKMREISLAHNLFV >ONI07059 pep chromosome:Prunus_persica_NCBIv2:G5:10633652:10636261:-1 gene:PRUPE_5G097700 transcript:ONI07059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQTLTGLLKKVASEFPNHRALSVSGKFDLTHARLQELVDHAASLLIASGIGPNDTVALTFPNTVELVVLFLAVIRCRATAVPLNAAYTAEEFEFYLSDSESKLLITPENPILAAKAAATKLNIPHVTAKLAGATSHVTLSSTAESSPDSVLQLVNDASDVALFLHTSGTTSRPKGVPLTQLNLASSVQNIKSVYKLTESDSTVIVLPLFHVHGLIAGLLSSLVAGAAVTLPAAGRFSASTFWADMLSYNATWYTAVPTIHQIILDRHDSKPEPKYPKLRFIRSCSSSLAPSILARLEETFGAPVLEAYAMTEATHLMCSNPLPEDGPHKPGSVGLAVGQELAILDEKGLVQPEGVNGEVCIRGPNVTKGYKNNPEANKAAFLFGWFHTGDIGVLDSDGYLSLVGRIKELINRGGEKISPIEVDAVLVSHPEIEQGVSFGVPDDKYGEEINCAVIPRKSASIDEEEVLRFCKKNLASFKVPKRAFITDSLPKTATGKIQRRIVAEHFLAQISTAKVPKFGA >ONI06274 pep chromosome:Prunus_persica_NCBIv2:G5:5349126:5351741:1 gene:PRUPE_5G050300 transcript:ONI06274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNILFLNRNSQEGHDYSLQAQPGGYLDQSHMLFNNGGGVNNTTNQRKRGRETSAATEISPSILPFSLQQQSQPPPPQLIDLSQLHNHNHNHNNPNVVSTGLRLSFGDQQQQQQQQQQQFQQHHNQQQQQHSSALFSVLTEDFATQIKHQRDELDQFLQAQGEQLQRTLAEKRQRHYRALLNAAEESIARRLREKEVEVEKATRRNAELEARAAQLSVEAQVWQAKARAQQATAATLQAQLQQAMMSGGFVAAQDSRRGDDGVASPAGVEGQAEDAESAYIDPERVTMSAPSCKACRKRVASVVILPCRHLCLCTECDQMVQACPLCLCMRNSSVEVFLS >ONI06275 pep chromosome:Prunus_persica_NCBIv2:G5:5349126:5351637:1 gene:PRUPE_5G050300 transcript:ONI06275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNNGGGAVNNTTNQRKRGRETSAATEISPSILPFSLQQQSQPPPPQLIDLSQLHNHNHNHNNPNVVSTGLRLSFGDQQQQQQQQQQQFQQHHNQQQQQHSSALFSVLTEDFATQIKHQRDELDQFLQAQGEQLQRTLAEKRQRHYRALLNAAEESIARRLREKEVEVEKATRRNAELEARAAQLSVEAQVWQAKARAQQATAATLQAQLQQAMMSGGFVAAQDSRRGDDGVASPAGVEGQAEDAESAYIDPERVTMSAPSCKACRKRVASVVILPCRHLCLCTECDQMVQACPLCLCMRNSSVEVFLS >ONI06276 pep chromosome:Prunus_persica_NCBIv2:G5:5349126:5351661:1 gene:PRUPE_5G050300 transcript:ONI06276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNNGGGVNNTTNQRKRGRETSAATEISPSILPFSLQQQSQPPPPQLIDLSQLHNHNHNHNNPNVVSTGLRLSFGDQQQQQQQQQQQFQQHHNQQQQQHSSALFSVLTEDFATQIKHQRDELDQFLQAQGEQLQRTLAEKRQRHYRALLNAAEESIARRLREKEVEVEKATRRNAELEARAAQLSVEAQVWQAKARAQQATAATLQAQLQQAMMSGGFVAAQDSRRGDDGVASPAGVEGQAEDAESAYIDPERVTMSAPSCKACRKRVASVVILPCRHLCLCTECDQMVQACPLCLCMRNSSVEVFLS >ONI06272 pep chromosome:Prunus_persica_NCBIv2:G5:5349126:5351637:1 gene:PRUPE_5G050300 transcript:ONI06272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNILFLNRNSQEGHDYSLQAQPGGYLDQSHMLFNNGGGAVNNTTNQRKRGRETSAATEISPSILPFSLQQQSQPPPPQLIDLSQLHNHNHNHNNPNVVSTGLRLSFGDQQQQQQQQQQQFQQHHNQQQQQHSSALFSVLTEDFATQIKHQRDELDQFLQAQGEQLQRTLAEKRQRHYRALLNAAEESIARRLREKEVEVEKATRRNAELEARAAQLSVEAQVWQAKARAQQATAATLQAQLQQAMMSGGFVAAQDSRRGDDGVASPAGVEGQAEDAESAYIDPERVTMSAPSCKACRKRVASVVILPCRHLCLCTECDQMVQACPLCLCMRNSSVEVFLS >ONI06273 pep chromosome:Prunus_persica_NCBIv2:G5:5349096:5351754:1 gene:PRUPE_5G050300 transcript:ONI06273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNILFLNRNSQEGHDYSLQAQPGGYLDQSHMLFNNGGGAVNNTTNQRKRGRETSAATEISPSILPFSLQQQSQPPPPQLIDLSQLHNHNHNHNNPNVVSTGLRLSFGDQQQQQQQQQQQFQQHHNQQQQQHSSALFSVLTEDFATQIKHQRDELDQFLQAQGEQLQRTLAEKRQRHYRALLNAAEESIARRLREKEVEVEKATRRNAELEARAAQLSVEAQVWQAKARAQQATAATLQAQLQQAMMSGGFVAAQDSRRGDDGVASPAGVEGQAEDAESAYIDPERVTMSAPSCKACRKRVASVVILPCRHLCLCTECDQMVQACPLCLCMRNSSVEVFLS >ONI09210 pep chromosome:Prunus_persica_NCBIv2:G5:17351449:17354395:-1 gene:PRUPE_5G223900 transcript:ONI09210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLFLDHPLQMYLLLQSHFKGKIPFHVCIITIIFTTLAKQTSSLDQSYEACKPQTCGNGPNISYPFWLSDRQESFCGYSSFKIACDGENPVLSISDDDYIIKDIFYSNHSFVLANAVVYHDKCPLPLHNFSLDRTPFSYSSDQIDFSFFYNCDEEPIVYIHPYPIDCASNASHHSFATFHEEVLKDSNYSFDSCQSPVNLPVDVAVGVEALLRMNYTEILKMGFLLNWTAQNCSNCEKSSGRCGFKNNEFVCFCRDGPRSQTCDHGNSWNWERKVVIGVCTSAATVLIMSVVFFVYQRRNRKQYAPSSFVSRSIFSKQTSMDDMEKGSTYLGVHLFTYRELEEATNYFDSAKELGDGGFGTVYHGNVRDGRAVAVKRLYENNCKRVEQFMNEIEILARLRHQNLVLLYGCTSRHSRELLLVYEYIPNGTLAEHLHGEKAKPGALPWLTRMNIAIETASALSYLHASDIIHRDVKTTNILLDNNFCVKVADFGLSRLFPTDVTHISTAPQGTPGYVDPEYNQCYQLTSKSDVYSFGVVMIELISSLPAVDITRHRHEINLSNMAINKIQKHALHELVDTCLGFESDYRIRKMIIAVAELAFRCLQNDKEVRPSMPDVLDELKRIQSKDFDKEKAEEIDISADDVVLLKSGPLPPPPDTLTLNWISSSTTPNGSG >ONI09209 pep chromosome:Prunus_persica_NCBIv2:G5:17351716:17356699:-1 gene:PRUPE_5G223900 transcript:ONI09209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPTAHIFLRLPILFITFNLITALIPSALCDDDAQYTECRNTYDCGLLKNITYPFWAANGRPHHCGREGYELTCRDNEYPVIRIEEQDFLVLNISREGYTITIARVDLWDSPCTSRLINTTLDYDHFAYVQAVRNLTLFYGCVLHNQSIPNNFTCKIEGTQNDLAFYIDDSISRLNPLQNETLCLHNIRVPIMWTDIDPLLENYTMDVLEQVLKQGFRVEYNADWKLCGPCMLSNGTCGSNITTDSFLCFCEDHPYEKTCPSGGNSWNWERKVVIGVCTSAATVLIMSVVFFVYQRRNRKQYAPSSFVSRSIFSKQTSMDDMEKGSTYLGVHLFTYRELEEATNYFDSAKELGDGGFGTVYHGNVRDGRAVAVKRLYENNCKRVEQFMNEIEILARLRHQNLVLLYGCTSRHSRELLLVYEYIPNGTLAEHLHGEKAKPGALPWLTRMNIAIETASALSYLHASDIIHRDVKTTNILLDNNFCVKVADFGLSRLFPTDVTHISTAPQGTPGYVDPEYNQCYQLTSKSDVYSFGVVMIELISSLPAVDITRHRHEINLSNMAINKIQKHALHELVDTCLGFESDYRIRKMIIAVAELAFRCLQNDKEVRPSMPDVLDELKRIQSKDFDKEKAEEIDISADDVVLLKSGPLPPPPDTLTLNWISSSTTPNGSG >ONI09208 pep chromosome:Prunus_persica_NCBIv2:G5:17351449:17356980:-1 gene:PRUPE_5G223900 transcript:ONI09208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPTAHIFLRLPILFITFNLITALIPSALCDDDAQYTECRNTYDCGLLKNITYPFWAANGRPHHCGREGYELTCRDNEYPVIRIEEQDFLVLNISREGYTITIARVDLWDSPCTSRLINTTLDYDHFAYVQAVRNLTLFYGCVLHNQSIPNNFTCKIEGTQNDLAFYIDDSISRLNPLQNETLCLHNIRVPIMWTDIDPLLENYTMDVLEQVLKQGFRVEYNADWKLCGPCMLSNGTCGSNITTDSFLCFCEDHPYEKTCPSGGVCTSAATVLIMSVVFFVYQRRNRKQYAPSSFVSRSIFSKQTSMDDMEKGSTYLGVHLFTYRELEEATNYFDSAKELGDGGFGTVYHGNVRDGRAVAVKRLYENNCKRVEQFMNEIEILARLRHQNLVLLYGCTSRHSRELLLVYEYIPNGTLAEHLHGEKAKPGALPWLTRMNIAIETASALSYLHASDIIHRDVKTTNILLDNNFCVKVADFGLSRLFPTDVTHISTAPQGTPGYVDPEYNQCYQLTSKSDVYSFGVVMIELISSLPAVDITRHRHEINLSNMAINKIQKHALHELVDTCLGFESDYRIRKMIIAVAELAFRCLQNDKEVRPSMPDVLDELKRIQSKDFDKEKAEEIDISADDVVLLKSGPLPPPPDTLTLNWISSSTTPNGSG >ONI08809 pep chromosome:Prunus_persica_NCBIv2:G5:16221406:16224038:-1 gene:PRUPE_5G201600 transcript:ONI08809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKLVNLQRLVLAHNLIDRLPINLAKLESLKVMTLDANRVTSLPDELGQLVRLEQLSISGNSLQYLPETIGSLRNLKLLNVSNNKLKSLPESIGSCFSLEELQADDNSIEDLPESVCNLVHLKALCLDNNNVKQIPTNLLKDCKALQNISLHGNPISMDQFQQMEGFQEFEARRKKKFDKQIDSNVMISSKGLDEGVDH >ONI08808 pep chromosome:Prunus_persica_NCBIv2:G5:16220406:16225350:-1 gene:PRUPE_5G201600 transcript:ONI08808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKLVNLQRLVLAHNLIDRLPINLAKLESLKVMTLDANRVTSLPDELGQLVRLEQLSISGNSLQYLPETIGSLRNSLPESIGSCFSLEELQADDNSIEDLPESVCNLVHLKALCLDNNNVKQIPTNLLKDCKALQNISLHGNPISMDQFQQMEGFQEFEARRKKKFDKQIDSNVMISSKGLDEGVDH >ONI08807 pep chromosome:Prunus_persica_NCBIv2:G5:16221406:16225003:-1 gene:PRUPE_5G201600 transcript:ONI08807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNSSKSVDSKANRAARWRSTGIVGLRDSKLKSFPDEILDLDRSVRTLDLTHNKIVDIPMEISKLVNLQRLVLAHNLIDRLPINLAKLESLKVMTLDANRVTSLPDELGQLVRLEQLSISGNSLQYLPETIGSLRNLKLLNVSNNKLKSLPESIGSCFSLEELQADDNSIEDLPESVCNLVHLKALCLDNNNVKQIPTNLLKDCKALQNISLHGNPISMDQFQQMEGFQEFEARRKKKFDKQIDSNVMISSKGLDEGVDH >ONI08804 pep chromosome:Prunus_persica_NCBIv2:G5:16220406:16225350:-1 gene:PRUPE_5G201600 transcript:ONI08804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNSSKSVDSKANRAARWRSTGIVGLRDSKLKSFPDEILDLDRSVRTLDLTHNKIVDIPMEISKLVNLQRLVLAHNLIDRLPINLAKLESLKVMTLDANRVTSLPDELGQLVRLEQLSISGNSLQYLPETIGSLRNSLPESIGSCFSLEELQADDNSIEDLPESVCNLVHLKALCLDNNNVKQIPTNLLKDCKALQNISLHGNPISMDQFQQMEGFQEFEARRKKKFDKQIDSNVMISSKGLDEGVDH >ONI08806 pep chromosome:Prunus_persica_NCBIv2:G5:16221406:16225003:-1 gene:PRUPE_5G201600 transcript:ONI08806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNSSKSVDSKANRAARWRSTGIVGLRDSKLKSFPDEILDLDRSVRTLDLTHNKIVDIPMEISKLVNLQRLVLAHNLIDRLPINLAKLESLKVMTLDANRVTSLPDELGQLVRLEQLSISGNSLQYLPETIGSLRNLKLLNVSNNKLKSLPESIGSCFSLEELQADDNSIEDLPESVCNLVHLKALCLDNNNVKQIPTNLLKDCKALQNISLHGNPISMDQFQQMEGFQEFEARRKKKFDKQIDSNVMISSKGLDEGVDH >ONI08805 pep chromosome:Prunus_persica_NCBIv2:G5:16220893:16225003:-1 gene:PRUPE_5G201600 transcript:ONI08805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNSSKSVDSKANRAARWRSTGIVGLRDSKLKSFPDEILDLDRSVRTLDLTHNKIVDIPMEISKLVNLQRLVLAHNLIDRLPINLAKLESLKVMTLDANRVTSLPDELGQLVRLEQLSISGNSLQYLPETIGSLRNLKLLNVSNNKLKSLPESIGSCFSLEELQADDNSIEDLPESVCNLVHLKALCLDNNNVKQIPTNLLKDCKALQNISLHGNPISMDQFQQMEGFQEFEARRKKKFDKQIDSNVMISSKGLDEGVDH >ONI06641 pep chromosome:Prunus_persica_NCBIv2:G5:8698601:8700198:-1 gene:PRUPE_5G072200 transcript:ONI06641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFWPFSSLLWIKWNFYRWVVVNSFNMLLGKLMDPLTICYIPCLIQEELILSLSDSGRNMVEMLIRRLKMLAVLGRNMVDMLISENLDVLLILS >ONI06567 pep chromosome:Prunus_persica_NCBIv2:G5:8230279:8236281:-1 gene:PRUPE_5G068100 transcript:ONI06567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAKAWRFSLTPAAAKLALLHNGYNNGEKKQVLSLKSSATAPTITKTTSSLSQRKQTVSWSWSLVCGLMLFGLGLISLFTGHVASDLEWYSQRLVKNTLYYSKLNGNGREAIDVWKSKYSKFYYGCSQRGPRYAPAVKERASNGYLLIATSGGLNQQRTGITDAVVVARILNATLVVPELDHHSYWKDDSDFIHIFDVDWFMSYLAKDVTIVRRVPEKVMRNMDKPPYTMRVPRKSEPEYYIEQVLPILLRRRVVQLTKFDYRLSSNLDDELQKLRCRVNYHALKFTKSIHELGQKLVMRMRRMEKRFIAVHLRFEPDMLAFSGCYYGGGDKERYEFAEIRKRWATLPDLNAEEERKRGKCPLTPHEVGLMLRALGFANDTYLYVASGEIYGGDETLRPLRELFPNFYTKEMLANEELKPFLPFSSRLAAIDYIVSDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKRLSALFMARDQMDWDTFAKKVKSCQKGFMGDPDEMKPGRGEFHEFPETCVCEKPFKDFAKSNDGNLLTAGKTKSTYSVENPGEKARHMSMKRKIGKDRISVGDKEDDEIFAD >ONI06265 pep chromosome:Prunus_persica_NCBIv2:G5:5259348:5260442:-1 gene:PRUPE_5G049700 transcript:ONI06265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAANKPPAFGLGPIHNCYGCCGYGRGPYHKKKLNSRTDQLHLICGSCYLPKENKEKPLGEDAHFICHDAQTIGVADGVGGWARKGVDAGEYARGLMNHAKKTATGITRSSAAAVDPRKVLSEAYANNAGVQGSSTACILSLDKERGTLHAVNVGDSGFMVFRDNMCFFKSSTQQRSFNCPYQLGNCVGGDCPEAAEEFEVEELRPGDIIVLGTDGLLDNIFASEIEEVIVAYDVSGQDCEELASYIANRALYNSLDKYNVSPFQMEAQKAGREHVGGKIDDITVVVARVLASTKNKNKNIYKKLSIERNTGTTTRAYSLKFQFHIGERHKAFDVPCQNAFLRYTFSCFRNSANLAKRFLECAT >ONI06727 pep chromosome:Prunus_persica_NCBIv2:G5:9091326:9092648:-1 gene:PRUPE_5G076800 transcript:ONI06727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYLMPALLLALHILQLFNHINPCTATGKTNTQYIKRSCSVTIYPRLCYHSLSIYAGKIKTDPKVLAHTALNVTLAATQESSVIMRRLSEIHGLKPIETAAVLDCMEEIGDAILELQQSLDELGHVVRGSNFWFQMSDIQTWVSAALTDEDTCMDGFEEHHLRGRVEAVVRRYTVNVAHLTSNALALINSYASSKAALP >ONI07481 pep chromosome:Prunus_persica_NCBIv2:G5:12165155:12169127:1 gene:PRUPE_5G122600 transcript:ONI07481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPRNGSLYIKSQSKDTNETFNFQKHNESCNFQKNNDSCKNKNKKNNYSTNKSKSFYELSVSLIFSLWCLVFLFYSKLGLGHGNGGNSAPDNRTTHCPSVHNGEHGDHACSFVENGNGRQTNGMLLDFGSSKSRNDSDVSHNYAISKYSLPETNRLEKLVWSVLGYGDLVCEVHQAQEEQNTNHSGKYLNGRTSHSTYLNFDEFRNITKQEKGQDVPSQLVNITHGLEPDGTEYNYASASKGAKVVAHNKEAKGASNILGKDHDKYLRNPCSVGGKFVIVELAEETLVDAVKIANFEHYSSNFKEFELSGSLSYPADAWSPLGNFVAANVKHAQTFKLTEPKWVRYLKLDLLSHYGSEFYCTLSVLEVYGINAIERMLEDLIVASAESVANKLAEPNATVISSPKEEVGSTDRRTSGEGQNGVRTAGVGIENIEDTQKVNLDVTKNPVTTNKIPATTNKIPEPVMKVRQQPNGRIPGDSVLKILMQKVRSLELNLSVLEEYIKELNRRQGDILPEVGKELLRLSLLLDKTKTEIKDLMQWKENLEKEFTDLESWKAVVSSQVNALARENNMLRLDFENVRKDQASLESKELAVLAVSLFFLCFAILKLVSLQVLTFFRASSQPENACRKSRGWVLMIVSSSMTIFITLICS >ONI07482 pep chromosome:Prunus_persica_NCBIv2:G5:12165165:12168834:1 gene:PRUPE_5G122600 transcript:ONI07482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPRNGSLYIKSQSKDTNETFNFQKHNESCNFQKNNDSCKNKNKKNNYSTNKSKSFYELSVSLIFSLWCLVFLFYSKLGLGHGNGGNSAPDNRTTHCPSVHNGEHGDHACSFVENGNGRQTNGMLLDFGSSKSRNDSDVSHNYAISKYSLPETNRLEKLVWSVLGYGDLVCEVHQAQEEQNTNHSGKYLNGRTSHSTYLNFDEFRNITKQEKGQDVPSQLVNITHGLEPDGTEYNYASASKGAKVVAHNKEAKGASNILGKDHDKYLRNPCSVGGKFVIVELAEETLVDAVKIANFEHYSSNFKEFELSGSLSYPADAWSPLGNFVAANVKHAQTFKLTEPKWVRYLKLDLLSHYGSEFYCTLSVLEVYGINAIERMLEDLIVASAESVANKLAEPNATVISSPKEEVGSTDRRTSGEGQNGVRTAGVGIENIEDTQKVNLDVTKNPVTTNKIPATTNKIPEPVMKVRQQPNGRIPGDSVLKILMQKVRSLELNLSVLEEYIKELNRRQGDILPEVGKELLRLSLLLDKTKTEIKDLMQWKENLEKEFTDLESWKAVVSSQVNALARENNMLRLDFENVRKDQASLESKELAVLAVSLFFLCFAILKLVSLQVLTFFRASSQPENACRKSRGWVLMIVSSSMTIFITLICS >ONI09400 pep chromosome:Prunus_persica_NCBIv2:G5:17877317:17877932:1 gene:PRUPE_5G236000 transcript:ONI09400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPIQVNCRHSIYNTKGTHMQGARVHLLVMPFLWCSLIFCGVNLWGSSLIQLRDQNSRLLIRFPINFALGQVKQIVGMQAHAWDRWG >ONI05422 pep chromosome:Prunus_persica_NCBIv2:G5:729848:733588:1 gene:PRUPE_5G006600 transcript:ONI05422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAHSLTEEGRNGGRELTWDDKEVCGHYLVRFCPFDLFVNTRSDIGPCPKIHDPKLKESFEKSPQHDAYVAKFEAELAQFCEILVMDLDRRVRRERERRHQEVEPAPPHPPSTEQFEQLSVVEEKLKNLLEQVEALGEAGKMDEAEAPMRKMPNNNAVENPEAGRGRQVQGGSSSPTLFGNSQSNWYSVLLQQISVYGVAAGYCLSASLLSIINKWAVVKFPYPGALTALQYFTSVIGVLVCGQLKLIEYDSLYLLTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSVVPIFVAIGETLFLHQPWPSMRTWTSLATILGGSVIFVLTDYQFTVTAYSWALAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLVMGELKKIKHEISDESDWYSLEVLLPVGLSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTFVGTVGLLICMAGGVMYQQSTSKKPKDVNQVKAQETEEEKQKLIETKSSLESKNNEKWTVESEGGK >ONI05424 pep chromosome:Prunus_persica_NCBIv2:G5:729848:733588:1 gene:PRUPE_5G006600 transcript:ONI05424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAHSLTEEGRNGGRELTWDDKEVCGHYLVRFCPFDLFVNTRSDIGPCPKIHDPKLKESFEKSPQHDAYVAKFEAELAQFCEILVMDLDRRVRRERERRHQEVEPAPPHPPSTEQFEQLSVVEEKLKNLLEQVEALGEAGKMDEAEAPMRKMPNNNAVENPEAGRGRQVQGGSSSPTLFGNSQSNWYSVLLQQISVYGVAAGYCLSASLLSIINKWAVVKFPYPGALTALQYFTSVIGVLVCGQLKLIEYDSLYLLTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSVVPIFVAIGETLFLHQPWPSMRTWTSLATILGGSVIFVLTDYQFTVTAYSWALAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLVMGELKKIKHEISDESDWYSLEVLLPVGLSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTFVGTVGLLICMAGGVMYQQSTSKKPKDVNQVKAQETEEEKQKLIETKSSLESKNNEKWTVESEGGK >ONI05423 pep chromosome:Prunus_persica_NCBIv2:G5:729848:733588:1 gene:PRUPE_5G006600 transcript:ONI05423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAHSLTEEGRNGGRELTWDDKEVCGHYLVRFCPFDLFVNTRSDIGPCPKIHDPKLKESFEKSPQHDAYVAKFEAELAQFCEILVMDLDRRVRRERERRHQEVEPAPPHPPSTEQFEQLSVVEEKLKNLLEQVEALGEAGKMDEAEAPMRKMPNNNAVENPEAGRGRQVQGGSSSPTLFGNSQSNWYSVLLQQISVYGVAAGYCLSASLLSIINKWAVVKFPYPGALTALQYFTSVIGVLVCGQLKLIEYDSLYLLTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSVVPIFVAIGETLFLHQPWPSMRTWTSLATILGGSVIFVLTDYQFTVTAYSWALAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLVMGELKKIKHEISDESDWYSLEVLLPVGLSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTFVGTVGLLICMAGGVMYQQSTSKKPKDVNQVKAQETEEEKQKLIETKSSLESKNNEKWTVESEGGK >ONI09232 pep chromosome:Prunus_persica_NCBIv2:G5:17424546:17427743:1 gene:PRUPE_5G225500 transcript:ONI09232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFQLGSHTLKSHGVKVAKVHKYDWLILLLLAAVDITLNVIEPFHRFVGEEMMTDLKYPCHKDTIPLWAVPIYAVILPLVIFLLYYFCRKDVYDLHHAILGLFYSVLITLVITDSIKDAVGRPRPNFFWRCFPDGIGEFDPVSGNAMCNGDKKVIKEGHKSFPSGHTSVSFAGLGFLAWYLSGKIKVFDRRGHSAKLCIAFLPLLCAALVGISRVDDYWHHWQDVFAGGLIGITIASICYLQSFPLPNQHDGWAPHAYFSMLAAERNGGLSMSPATRANSLRMRRTDMDSPDFTPQDTSPPPHQLLEEMETGRRY >ONI09233 pep chromosome:Prunus_persica_NCBIv2:G5:17424283:17427743:1 gene:PRUPE_5G225500 transcript:ONI09233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFQLGSHTLKSHGVKVAKVHKYDWLILLLLAAVDITLNVIEPFHRFVGEEMMTDLKYPCHKDTIPLWAVPIYAVILPLVIFLLYYFCRKDVYDLHHAILGLFYSVLITLVITDSIKDAVGRPRPNFFWRCFPDGIGEFDPVSGNAMCNGDKKVIKEGHKSFPSGHTSVSFAGLGFLAWYLSGKIKVFDRRGHSAKLCIAFLPLLCAALVGISRVDDYWHHWQDVFAGGLIGITIASICYLQSFPLPNQHDGWAPHAYFSMLAAERNGGLSMSPATRANSLRMRRTDMDSPDFTPQDTSPPPHQLLEEMETGRRY >ONI09234 pep chromosome:Prunus_persica_NCBIv2:G5:17424546:17427743:1 gene:PRUPE_5G225500 transcript:ONI09234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFQLGSHTLKSHGVKVAKVHKYDWLILLLLAAVDITLNVIEPFHRFVGEEMMTDLKYPCHKDTIPLWAVPIYAVILPLVIFLLYYFCRKDVYDLHHAILGLFYSVLITLVITDSIKDAVGRPRPNFFWRCFPDGIGEFDPVSGNAMCNGDKKVIKEGHKSFPSGHTSVSFAGLGFLAWYLSGKIKVFDRRGHSAKLCIAFLPLLCAALVGISRVDDYWHHWQDVFAGGLIGITIASICYLQSFPLPNQHDGWAPHAYFSMLAAERNGGLSMSPATRANSLRMRRTDMDSPDFTPQDTSPPPHQLLEEMETGRRY >ONI09349 pep chromosome:Prunus_persica_NCBIv2:G5:17757414:17761670:1 gene:PRUPE_5G233800 transcript:ONI09349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASKLIRFGTAALFHAPIRTISATPSLCSLKPFAASQSLRFPRYSSSSAAIETIDSVTANSTEAPLLHPWPEWVAFIDRLKTKGYFTGTQPSESDDVYTDMTQIKDACLSFARDRYDVFKSLSTEDMQAVVEGGCPNLFRKAVNSAKRLRFYLRLDEGDVCGACNLRGSCDRAYVVLKESEAAARTVDIVRILLFHALDPLVISGGEKPLGRDLLETSARKLLSELLQLSETAVDPSLPKPAAKAEKKLSASIIDDGLSQTVEMKRGDWMCPKCNFMNFAKNIRCLQCKEDGPKKADAGDLEMKKGDWICTECNFMNFSRNIRCLKCKAEGPKRVSTDAVEMKKGDWNCPKCAFMNFASNRKCLRCQETRPKKNPTDWDCPSCNFLNYGRNTVCLKCNCKCPKEATTSEYEEQMWRHPR >ONI09350 pep chromosome:Prunus_persica_NCBIv2:G5:17757414:17761670:1 gene:PRUPE_5G233800 transcript:ONI09350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASKLIRFGTAALFHAPIRTISATPSLCSLKPFAASQSLRFPRYSSSSAAIETIDSVTANSTEAPLLHPWPEWVAFIDRLKTKGYFTGTQPSESDDVYTDMTQIKDACLSFARDRYDVFKSLSTEDMQAVVEGGCPNLFRKAVNSAKRLRFYLRLDEGDVCGACNLRGSCDRAYVVLKESEAAARTVDIVRILLFHALDPLVISGGEKPLGRDLLETSARKLLSELLQLSETAVDPSLPKPAAKAEKKLSASIIDDGLSQTVEMKRGDWMCPKCNFMNFSRNIRCLKCKAEGPKRVSTDAVEMKKGDWNCPKCAFMNFASNRKCLRCQETRPKKNPTDWDCPSCNFLNYGRNTVCLKCNCKCPKEATTSEYEEQMWRHPR >ONI07995 pep chromosome:Prunus_persica_NCBIv2:G5:13743892:13747109:-1 gene:PRUPE_5G152300 transcript:ONI07995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNQAKNVGVPPHFGNSGAVPQPMAMNHQPHLLSQSQPQTQGGSHFPGHFQLSEPQAQALAQAQYVNAHAQAQTQAAHAQFVQLQAQAQSLAQLHSANTSNAGAASPSIATPSTGSAKRANQKPPSRPPGSSNANTASPFKTMELTPAARRKKRKLPDKQIPDKVAALLPESGLYTQLLEFEARVDAALARKKIDIQESLKCPPRVQKTVRIYVFNTFANQTQTTPEKTNAEPPSWSLKIIGRLLEEGNDPVVAGMTQKSRAKFSSLFKKITIYLDKSLYPDNHVILWESARSPALHDGFEVKRKGDKEFNAIIRMEMNYAPEKFKLSQALNEVLGIEVETRPRVIAAIWHYVKARKLQNPNDPTLFVCDPPLQKVFGEEKMKFSMVSQKISQHLTPPQPINIEHKIKLSGNCPAGTTCHDILVDVPMPLEKEMSAFLASTERNKEIDACDELICASIKKIHEHRRRRSFFLGFSQSPAEFINTLIASQSKDLKLVAGDASRNAEKERRSDFYNQPWVEDAVIRYLNRKSAGSDAPGST >ONI07996 pep chromosome:Prunus_persica_NCBIv2:G5:13743949:13747043:-1 gene:PRUPE_5G152300 transcript:ONI07996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNHQPHLLSQSQPQTQGGSHFPGHFQLSEPQAQALAQAQYVNAHAQAQTQAAHAQFVQLQAQAQSLAQLHSANTSNAGAASPSIATPSTGSAKRANQKPPSRPPGSSNANTASPFKTMELTPAARRKKRKLPDKQIPDKVAALLPESGLYTQLLEFEARVDAALARKKIDIQESLKCPPRVQKTVRIYVFNTFANQTQTTPEKTNAEPPSWSLKIIGRLLEEGNDPVVAGMTQKSRAKFSSLFKKITIYLDKSLYPDNHVILWESARSPALHDGFEVKRKGDKEFNAIIRMEMNYAPEKFKLSQALNEVLGIEVETRPRVIAAIWHYVKARKLQNPNDPTLFVCDPPLQKVFGEEKMKFSMVSQKISQHLTPPQPINIEHKIKLSGNCPAGTTCHDILVDVPMPLEKEMSAFLASTERNKEIDACDELICASIKKIHEHRRRRSFFLGFSQSPAEFINTLIASQSKDLKLVAGDASRNAEKERRSDFYNQPWVEDAVIRYLNRKSAGSDAPGST >ONI05314 pep chromosome:Prunus_persica_NCBIv2:G5:249659:257234:-1 gene:PRUPE_5G001100 transcript:ONI05314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSFRQGVEAFQQALEISPKSVSAHYGLASGLLCLAKECNNLGAYRWGATVLEEASKVAWMCTQLAGNMSSIWKLHGDIQLTYAKCYPWMEEDHSLEFDVEAFDNSILSWKRTCCLAAKTARCSYQRALHLSPWQANIYADIAVTSDLVDSFDNSPGHELSAWQPSEKMALGALLLEGDNSEFWVALGCLSDHNALKQHALIRGLHLNVSLAVAWAYLGKLYRKQGEKQFARQAFDCARSIDPSLALPWAGMSADFHARESAAGEAYESCLRAVQILPLAEFQMGLAKLALGSGNLSSSQVFGAIRQAMQRAPHYPECHNLTGLVYEAQSNYRSAAASYRLARYAITNLPGSDRKSHMTDISINLARSLSRAGNALDALQECEDLKKEGLLDVEGLQIYAFSLWQLGKTELALSVARNLAVSVSTMEQTSAAASVVFICRFLYHISGLDSAINSILKMPKQLFQSSKISFIVSAIHALDRSNRLESVVSSSRYYLKSHEEITGMHFLIALGKLIKHGSEHRLGYQSGIDHLRKALHMYPNSSLLRNLLGYLLLCSEEWNDTHIATRCCDIDATNPSKGGLKSAYEILGAGAVACYAVGNCSPKFSYPTCTCQCLNEPGAIQQLQKCLRREPWNQNIRYLLVLNLLQKAREERFPCHLCIILERLISVALSDEVYHNTGMSYEYKKFQLLLCASEICLQGGNLTSCINRAKNASSIMLPDDCLFFAHLLLLRAYALECDTVNLQKEYIRCLELKTDHHIGWICLKFIEYRYELQSDLDILESSFKECSKERMNSWNRWRALFILVQGLISIWSQDIISAEQFFAQACSLAGDESSLLLCHGATCMELSRQGCSQFLSLAVRSLTKAQKGPLIPLPIVSALLAQAAGSLGSKEKWEKNLRLEWPTWPQEMRPAELFFQMHLLARQLKASSASRIEFCQSPEKWVLRAIHTNPSCMRYWKVLQKLVE >ONI05310 pep chromosome:Prunus_persica_NCBIv2:G5:249659:258307:-1 gene:PRUPE_5G001100 transcript:ONI05310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETEQEKECELRRLEECIEAHPDDPSLRFELGVLLWEEWDTKEKAAEQFVIAAKLKPEIEKGGAFRYLGIYYAGLDSQSHSQRALKCFQKAVSLNPDDSLSGEALCDLLDQQGKESLEVAVCREASQKSPRAFWAFQRLGYLLLHQNKCSEAVHSLQHAIRGYPTSPHLWEALGLAYQRLGRFTAALKSYGRAIELEGTRIFALLESGNIFLMLGSFRQGVEAFQQALEISPKSVSAHYGLASGLLCLAKECNNLGAYRWGATVLEASKVAWMCTQLAGNMSSIWKLHGDIQLTYAKCYPWMEEDHSLEFDVEAFDNSILSWKRTCCLAAKTARCSYQRALHLSPWQANIYADIAVTSDLVDSFDNSPGHELSAWQPSEKMALGALLLEGDNSEFWVALGCLSDHNALKQHALIRGLHLNVSLAVAWAYLGKLYRKQGEKQFARQAFDCARSIDPSLALPWAGMSADFHARESAAGEAYESCLRAVQILPLAEFQMGLAKLALGSGNLSSSQVFGAIRQAMQRAPHYPECHNLTGLVYEAQSNYRSAAASYRLARYAITNLPGSDRKSHMTDISINLARSLSRAGNALDALQECEDLKKEGLQIYAFSLWQLGKTELALSVARNLAVSVSTMEQTSAAASVVFICRFLYHISGLDSAINSILKMPKQLFQSSKISFIVSAIHALDRSNRLESVVSSSRYYLKSHEEITGMHFLIALGKLIKHGSEHRLGYQSGIDHLRKALHMYPNSSLLRNLLGYLLLCSEEWNDTHIATRCCDIDATNPSKGGLKSAYEILGAGAVACYAVGNCSPKFSYPTCTCQCLNEPGAIQQLQKCLRREPWNQNIRYLLVLNLLQKAREERFPCHLCIILERLISVALSDEVYHNTGMSYEYKKFQLLLCASEICLQGGNLTSCINRAKNASSIMLPDDCLFFAHLLLLRAYALECDTVNLQKEYIRCLELKTDHHIGWICLKFIEYRYELQSDLDILESSFKECSKERMNSWNRWRALFILVQGLISIWSQDIISAEQFFAQACSLAGDESSLLLCHGATCMELSRQGCSQFLSLAVRSLTKAQKGPLIPLPIVSALLAQAAGSLGSKEKWEKNLRLEWPTWPQEMRPAELFFQMHLLARQLKASSASRIEFCQSPEKWVLRAIHTNPSCMRYWKVLQKLVE >ONI05309 pep chromosome:Prunus_persica_NCBIv2:G5:249659:258307:-1 gene:PRUPE_5G001100 transcript:ONI05309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETEQEKECELRRLEECIEAHPDDPSLRFELALGLAYQRLGRFTAALKSYGRAIELEGTRIFALLESGNIFLMLGSFRQGVEAFQQALEISPKSVSAHYGLASGLLCLAKECNNLGAYRWGATVLEEASKVAWMCTQLAGNMSSIWKLHGDIQLTYAKCYPWMEEDHSLEFDVEAFDNSILSWKRTCCLAAKTARCSYQRALHLSPWQANIYADIAVTSDLVDSFDNSPGHELSAWQPSEKMALGALLLEGDNSEFWVALGCLSDHNALKQHALIRGLHLNVSLAVAWAYLGKLYRKQGEKQFARQAFDCARSIDPSLALPWAGMSADFHARESAAGEAYESCLRAVQILPLAEFQMGLAKLALGSGNLSSSQVFGAIRQAMQRAPHYPECHNLTGLVYEAQSNYRSAAASYRLARYAITNLPGSDRKSHMTDISINLARSLSRAGNALDALQECEDLKKEGLLDVEGLQIYAFSLWQLGKTELALSVARNLAVSVSTMEQTSAAASVVFICRFLYHISGLDSAINSILKMPKQLFQSSKISFIVSAIHALDRSNRLESVVSSSRYYLKSHEEITGMHFLIALGKLIKHGSEHRLGYQSGIDHLRKALHMYPNSSLLRNLLGYLLLCSEEWNDTHIATRCCDIDATNPSKGGLKSAYEILGAGAVACYAVGNCSPKFSYPTCTCQCLNEPGAIQQLQKCLRREPWNQNIRYLLVLNLLQKAREERFPCHLCIILERLISVALSDEVYHNTGMSYEYKKFQLLLCASEICLQGGNLTSCINRAKNASSIMLPDDCLFFAHLLLLRAYALECDTVNLQKEYIRCLELKTDHHIGWICLKFIEYRYELQSDLDILESSFKECSKERMNSWNRWRALFILVQGLISIWSQDIISAEQFFAQACSLAGDESSLLLCHGATCMELSRQGCSQFLSLAVRSLTKAQKGPLIPLPIVSALLAQAAGSLGSKEKWEKNLRLEWPTWPQEMRPAELFFQMHLLARQLKASSASRIEFCQSPEKWVLRAIHTNPSCMRYWKVLQKLVE >ONI05311 pep chromosome:Prunus_persica_NCBIv2:G5:250050:258036:-1 gene:PRUPE_5G001100 transcript:ONI05311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETEQEKECELRRLEECIEAHPDDPSLRFELGVLLWEEWDTKEKAAEQFVIAAKLKPEIEKGGAFRYLGIYYAGLDSQSHSQRALKCFQKAVSLNPDDSLSGEALCDLLDQQGKESLEVAVCREASQKSPRAFWAFQRLGYLLLHQNKCSEAVHSLQHAIRGYPTSPHLWEALGLAYQRLGRFTAALKSYGRAIELEGTRIFALLESGNIFLMLGSFRQGVEAFQQALEISPKSVSAHYGLASGLLCLAKECNNLGAYRWGATVLEASKVAWMCTQLAGNMSSIWKLHGDIQLTYAKCYPWMEEDHSLEFDVEAFDNSILSWKRTCCLAAKTARCSYQRALHLSPWQANIYADIAVTSDLVDSFDNSPGHELSAWQPSEKMALGALLLEGDNSEFWVALGCLSDHNALKQHALIRGLHLNVSLAVAWAYLGKLYRKQGEKQFARQAFDCARSIDPSLALPWAGMSADFHARESAAGEAYESCLRAVQILPLAEFQMGLAKLALGSGNLSSSQVFGAIRQAMQRAPHYPECHNLTGLVYEAQSNYRSAAASYRLARYAITNLPGSDRKSHMTDISINLARSLSRAGNALDALQECEDLKKEGLLDVEGLQIYAFSLWQLGKTELALSVARNLAVSVSTMEQTSAAASVVFICRFLYHISGLDSAINSILKMPKQLFQSSKISFIVSAIHALDRSNRLESVVSSSRYYLKSHEEITGMHFLIALGKLIKHGSEHRLGYQSGIDHLRKALHMYPNSSLLRNLLGYLLLCSEEWNDTHIATRCCDIDATNPSKGGLKSAYEILGAGAVACYAVGNCSPKFSYPTCTCQCLNEPGAIQQLQKCLRREPWNQNIRYLLVLNLLQKAREERFPCHLCIILERLISVALSDEVYHNTGMSYEYKKFQLLLCASEICLQGGNLTSCINRAKNASSIMLPDDCLFFAHLLLLRAYALECDTVNLQKEYIRCLELKTDHHIGWICLKFIEYRYELQSDLDILESSFKECSKERMNSWNRWRALFILVQGLISIWSQDIISAEQFFAQACSLAGDESSLLLCHGATCMELSRQGCSQFLSLAVRSLTKAQKGPLIPLPIVSALLAQAAGSLGSKEKWEKNLRLEWPTWPQEMRPAELFFQMHLLARQLKASSASRIEFCQSPEKWVLRAIHTNPSCMRYWKVLQKLVE >ONI05313 pep chromosome:Prunus_persica_NCBIv2:G5:250050:258036:-1 gene:PRUPE_5G001100 transcript:ONI05313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETEQEKECELRRLEECIEAHPDDPSLRFELGVLLWEEWDTKEKAAEQFVIAAKLKPEIEKGGAFRYLGIYYAGLDSQSHSQRALKCFQKAVSLNPDDSLSGEALCDLLDQQGKESLEVAVCREASQKSPRAFWAFQRLGYLLLHQNKCSEAVHSLQHAIRGYPTSPHLWEALGLAYQRLGRFTAALKSYGRAIELEGTRIFALLESGNIFLMLGSFRQGVEAFQQALEISPKSVSAHYGLASGLLCLAKECNNLGAYRWGATVLEEASKVAWMCTQLAGNMSSIWKLHGDIQLTYAKCYPWMEEDHSLEFDVEAFDNSILSWKRTCCLAAKTARCSYQRALHLSPWQANIYADIAVTSDLVDSFDNSPGHELSAWQPSEKMALGALLLEGDNSEFWVALGCLSDHNALKQHALIRGLHLNVSLAVAWAYLGKLYRKQGEKQFARQAFDCARSIDPSLALPWAGMSADFHARESAAGEAYESCLRAVQILPLAEFQMGLAKLALGSGNLSSSQVFGAIRQAMQRAPHYPECHNLTGLVYEAQSNYRSAAASYRLARYAITNLPGSDRKSHMTDISINLARSLSRAGNALDALQECEDLKKEGLLDVEGLQIYAFSLWQLGKTELALSVARNLAVSVSTMEQTSAAASVVFICRFLYHISGLDSAINSILKMPKQLFQSSKISFIVSAIHALDRSNRLESVVSSSRYYLKSHEEITGMHFLIALGKLIKHGSEHRLGYQSGIDHLRKALHMYPNSSLLRNLLGYLLLCSEEWNDTHIATRCCDIDATNPSKGGLKSAYEILGAGAVACYAVGNCSPKFSYPTCTCQCLNEPGAIQQLQKCLRREPWNQNIRYLLVLNLLQKAREERFPCHLCIILERLISVALSDEVYHNTGMSYEYKKFQLLLCASEICLQGGNLTSCINRAKNASSIMLPDDCLFFAHLLLLRAYALECDTVNLQKEYIRCLELKTDHHIGWICLKFIEYRYELQSDLDILESSFKECSKERMNSWNRWRALFILVQGLISIWSQDIISAEQFFAQACSLAGDESSLLLCHGATCMELSRQGCSQFLSLAVRSLTKAQKGPLIPLPIVSALLAQAAGSLGSKEKWEKNLRLEWPTWPQEMRPAELFFQMHLLARQLKASSASRIEFCQSPEKWVLRAIHTNPSCMRYWKVLQKLVE >ONI05312 pep chromosome:Prunus_persica_NCBIv2:G5:249659:258307:-1 gene:PRUPE_5G001100 transcript:ONI05312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETEQEKECELRRLEECIEAHPDDPSLRFELGVLLWEEWDTKEKAAEQFVIAAKLKPEIEKGGAFRYLGIYYAGLDSQSHSQRALKCFQKAVSLNPDDSLSGEALCDLLDQQGKESLEVAVCREASQKSPRAFWAFQRLGYLLLHQNKCSEAVHSLQHAIRGYPTSPHLWEALGLAYQRLGRFTAALKSYGRAIELEGTRIFALLESGNIFLMLGSFRQGVEAFQQALEISPKSVSAHYGLASGLLCLAKECNNLGAYRWGATVLEEASKVAWMCTQLAGNMSSIWKLHGDIQLTYAKCYPWMEEDHSLEFDVEAFDNSILSWKRTCCLAAKTARCSYQRALHLSPWQANIYADIAVTSDLVDSFDNSPGHELSAWQPSEKMALGALLLEGDNSEFWVALGCLSDHNALKQHALIRGLHLNVSLAVAWAYLGKLYRKQGEKQFARQAFDCARSIDPSLALPWAGMSADFHARESAAGEAYESCLRAVQILPLAEFQMGLAKLALGSGNLSSSQVFGAIRQAMQRAPHYPECHNLTGLVYEAQSNYRSAAASYRLARYAITNLPGSDRKSHMTDISINLARSLSRAGNALDALQECEDLKKEGLQIYAFSLWQLGKTELALSVARNLAVSVSTMEQTSAAASVVFICRFLYHISGLDSAINSILKMPKQLFQSSKISFIVSAIHALDRSNRLESVVSSSRYYLKSHEEITGMHFLIALGKLIKHGSEHRLGYQSGIDHLRKALHMYPNSSLLRNLLGYLLLCSEEWNDTHIATRCCDIDATNPSKGGLKSAYEILGAGAVACYAVGNCSPKFSYPTCTCQCLNEPGAIQQLQKCLRREPWNQNIRYLLVLNLLQKAREERFPCHLCIILERLISVALSDEVYHNTGMSYEYKKFQLLLCASEICLQGGNLTSCINRAKNASSIMLPDDCLFFAHLLLLRAYALECDTVNLQKEYIRCLELKTDHHIGWICLKFIEYRYELQSDLDILESSFKECSKERMNSWNRWRALFILVQGLISIWSQDIISAEQFFAQACSLAGDESSLLLCHGATCMELSRQGCSQFLSLAVRSLTKAQKGPLIPLPIVSALLAQAAGSLGSKEKWEKNLRLEWPTWPQEMRPAELFFQMHLLARQLKASSASRIEFCQSPEKWVLRAIHTNPSCMRYWKVLQKLVE >ONI09182 pep chromosome:Prunus_persica_NCBIv2:G5:17279004:17280722:1 gene:PRUPE_5G222400 transcript:ONI09182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTKSLSSTSCSEDESELRRGPWTLEEDTLLIQYIARHGEGRWNLLAKRSGLRRTGKSCRLRWLNYLKPDVKRGNLSPEEQLLILDLHSKWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQARHLKVDTNSTEFQNIIRCFWMPRLLQKIGGESSFSSPMLIQNPMALQPLDNVSQHLTAATASPPQIPGQGALNMSGTIYNLDSIQKQNAESVFCTSSCILPSEPIDMPKMSQIPECPPSPFHAILNNDNAKGGFCVDDNSYDIEAFNLQGSVSAQGFAGNSAGDCYVAESNWLDSDFSCGMWSMDELWQSRS >ONI06325 pep chromosome:Prunus_persica_NCBIv2:G5:5722061:5728056:1 gene:PRUPE_5G053400 transcript:ONI06325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSKQHEDIFGQDYDDDDHNTQDAAHSSSPSSSSSSSSSSSSSSSASSSSNGSDRGESSSGNSPSASSAGGGGGEEEEENGDEADNSNATNSNEFNNRGYFGDGDKDLFGSDNEDFCKTPATSPFLIPVLPVIRNTNNQGRGNFGRGRWQSGHPNDRGAGILPRPGPYPQRHNYGYGSKFSNGHRDERFVSDLRLTKSEETLSRKIIAFQEPCELACYSRVEGGDVTFDDTSLRLFKRLITEDIGADLNDGYDTFIGKKDLGSQGFGDLLACIRDKNIPLQNIHFVTYRNNLNKILATAYIRHEPWEMGVHKRNGVVYLDVHKLPERPQSELDRRRCYWGYCFESLATEDPGRGDGGIHHVDANVEFCSVIKTKLGAHRILMGAEMDCCDSTDDGRRFYVELKTSRELDYHTEERFEREKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTKDITQRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFNRLELLQAQSCPEEITNHVQQL >ONI07641 pep chromosome:Prunus_persica_NCBIv2:G5:12744684:12749181:-1 gene:PRUPE_5G132800 transcript:ONI07641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAASHFVSRTSHVNGGSGSETRTNLGQMGLWNQPMTHNGLRSLSNLDMLRIKTRPNAVPRQAMKKADKTEGDQCVGKIVCGTGMNLVFVGAEVGPWSKTGGLGDVLGGLPPALAANGHRVMTVSPRYDQYKDAWDTSVLVEIEVDGKIETVRFFHCYKRGVDRVFVDHPLFLERVWGKTGSKIYGPKTGEDYKDNQLRFSLLCQAALEAPRVLNLNSNKYFSGPYGEDVVFVANDWHTALIPCYLKTIYKPRGLYKNAKVVFCIHNIAYQGRFSFSDFSLLDLPDHLRGSFDFIDGYDKPVKGRKINWMKAGILESDRVVTVSPYYAQELISGEDKGVELDNIIRKTGITGIINGMDVQEWNPARDKYLDVKYDNTTVLDAKPLLKEALQAEVGLPVDRDIPVIGFIGRLEEQKGSDILVEAISKFIGEEVQIIILGTGKNYLETQIKQLEIKFPGKAVGVAKFNVPLAHMIIGGADFMLIPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVVCDEVDPADVTAIATTVKRALAAYGTPALNEMIQNCMAQDLSWKGPSKQWEKMLLSLEVAGGEPGIEGEEIAPLAKENVPTP >ONI07642 pep chromosome:Prunus_persica_NCBIv2:G5:12744233:12749648:-1 gene:PRUPE_5G132800 transcript:ONI07642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAASHFVSRTSHVNGGSGSETRTNLGQMGLWNQPMTHNGLRSLSNLDMLRIKTRPNAVPRQAMKKADKTEGDQCVGKIVCGTGMNLVFVGAEVGPWSKTGGLGDVLGGLPPALAANGHRVMTVSPRYDQYKDAWDTSVLVEIEVDGKIETVRFFHCYKRGVDRVFVDHPLFLERVWGKTGSKIYGPKTGEDYKDNQLRFSLLCQAALEAPRVLNLNSNKYFSGPYGEDVVFVANDWHTALIPCYLKTIYKPRGLYKNAKVVFCIHNIAYQGRFSFSDFSLLDLPDHLRGSFDFIDGYDKPVKGRKINWMKAGILESDRVVTVSPYYAQELISGEDKGVELDNIIRKTGITGIINGMDVQEWNPARDKYLDVKYDNTTVLDAKPLLKEALQAEVGLPVDRDIPVIGFIGRLEEQKGSDILVEAISKFIGEEVQIIILGTGKNYLETQIKQLEIKFPGKAVGVAKFNVPLAHMIIGGADFMLIPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGAFNVVCDEVDPADVTAIATTVKRALAAYGTPALNEMIQNCMAQDLSWKGPSKQWEKMLLSLEVAGGEPGIEGEEIAPLAKENVPTP >ONI07133 pep chromosome:Prunus_persica_NCBIv2:G5:10932462:10934001:1 gene:PRUPE_5G101700 transcript:ONI07133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLSSLASVRKFAADFKYLDLPLNINYCTLSFVRSNNAGTMATPFKLSEDNIELHFATNHLGHFLLTDLLLETMKSTSRERKTEGRIVNVSSLGHRYVTVKGFVMIKLTMNQDTTDIMLMDRPSLETYCMLMSLQDV >ONI09286 pep chromosome:Prunus_persica_NCBIv2:G5:17546308:17554750:-1 gene:PRUPE_5G229200 transcript:ONI09286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKEEVHAHSVKPEVVEQKGKEKINVDPEEEHDEMELDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKEKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETESSRFTILDAPGHKSYVPNMISGASQADVGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVNWAKERYDEIGSKMVPFLKTSGYNVKKDVQFLPISGLVGTNIKNRVDKTVCSWWDGPCLFEALDAIEIPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLFVMPNKVPVKVVAIFIDEDRVKRAGPGENLRVRLSGIEEEDILSGFVLASVANPIPAVTDFFAQLQILELLDNAILTAGYKAVLHIHSIVEECEIIELISQMDPKTKKPMKKHIRFVKNGAVVVCKIQVNNLICVEKFSDFPQLGRFTLRTEGKTIAVGKVIDPPSTYSRKLSS >ONI09290 pep chromosome:Prunus_persica_NCBIv2:G5:17546308:17554821:-1 gene:PRUPE_5G229200 transcript:ONI09290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEEEIRSLQLDSAAEDNGVVNPDAAQPEVENSNKMEEDSKEEVHAHSVKPEVVEQKGKEKINVDPEEEHDEMELDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKEKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETESSRFTILDAPGHKSYVPNMISGASQADVGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVNWAKERYDEIGSKMVPFLKTSGYNVKKDVQFLPISGLVGTNIKNRVDKTVCSWWDGPCLFEALDAIEIPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLFVMPNKVPVKVVAIFIDEDRVKRAGPGENLRVRLSGIEEEDILSGFVLASVANPIPAVTDFFAQLQILELLDNAILTAGYKAVLHIHSIVEECEIIELISQMDPKTKKPMKKHIRFVKNGAVVVCKIQVNNLICVEKFSDFPQLGRFTLRTEGKTIAVGKVIDPPSTYSRKLSS >ONI09288 pep chromosome:Prunus_persica_NCBIv2:G5:17546308:17554735:-1 gene:PRUPE_5G229200 transcript:ONI09288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEEEIRSLQLDSAEDNGVVNPDAAQPEVENSNKMEEDSKEEVHAHSVKPEVVEQKGSLEASFSPASPISFLIKFKYIFFLDVNFWLEYAGKEKINVDPEEEHDEMELDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKEKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETESSRFTILDAPGHKSYVPNMISGASQADVGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVNWAKERYDEIGSKMVPFLKTSGYNVKKDVQFLPISGLVGTNIKNRVDKTVCSWWDGPCLFEALDAIEIPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLFVMPNKVPVKVVAIFIDEDRVKRAGPGENLRVRLSGIEEEDILSGFVLASVANPIPAVTDFFAQLQILELLDNAILTAGYKAVLHIHSIVEECEIIELISQMDPKTKKPMKKHIRFVKNGAVVVCKIQVNNLICVEKFSDFPQLGRFTLRTEGKTIAVGKVIDPPSTYSRKLSS >ONI09289 pep chromosome:Prunus_persica_NCBIv2:G5:17546323:17554735:-1 gene:PRUPE_5G229200 transcript:ONI09289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEEEIRSLQLDSAEDNGVVNPDAAQPEVENSNKMEEDSKEEVHAHSVKPEVVEQKGSLEASFSPASPISFLIKFKYIFFLDVNFWLEYAGKEKINVDPEEEHDEMELDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKEKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETESSRFTILDAPGHKSYVPNMISGASQADVGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVNWAKERYDEIGSKMVPFLKTSGYNVKKDVQFLPISGLVGTNIKNRVDKTVCSWWDGPCLFEALDAIEIPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLFVMPNKVLPLKVVAIFIDEDRVKRAGPGENLRVRLSGIEEEDILSGFVLASVANPIPAVTDFFAQLQILELLDNAILTAGYKAVLHIHSIVEECEIIELISQMDPKTKKPMKKHIRFVKNGAVVVCKIQVNNLICVEKFSDFPQLGRFTLRTEGKTIAVGKVIDPPSTYSRKLSS >ONI09287 pep chromosome:Prunus_persica_NCBIv2:G5:17545940:17554968:-1 gene:PRUPE_5G229200 transcript:ONI09287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEEEIRSLQLDSAEDNGVVNPDAAQPEVENSNKMEEDSKEEVHAHSVKPEVVEQKGKEKINVDPEEEHDEMELDKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKEKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETESSRFTILDAPGHKSYVPNMISGASQADVGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVNWAKERYDEIGSKMVPFLKTSGYNVKKDVQFLPISGLVGTNIKNRVDKTVCSWWDGPCLFEALDAIEIPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVREGDSLFVMPNKVPVKVVAIFIDEDRVKRAGPGENLRVRLSGIEEEDILSGFVLASVANPIPAVTDFFAQLQILELLDNAILTAGYKAVLHIHSIVEECEIIELISQMDPKTKKPMKKHIRFVKNGAVVVCKIQVNNLICVEKFSDFPQLGRFTLRTEGKTIAVGKVIDPPSTYSRKLSS >ONI06465 pep chromosome:Prunus_persica_NCBIv2:G5:6778597:6787941:1 gene:PRUPE_5G062200 transcript:ONI06465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSVALEPICKEAVDLENIPVEEVFENLKCTAEGLSSVEVQQRLEVFGYNKLEEKKESKLLNFLGFMWNPLSWVMEAAALMSITLAHGGGKGVDVYDFVGILALLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEDASVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHSGDGVYSGSTCKQGELEAIVIATGVHTFFGKAAHLVESTTHVGHFQQVLTAIGNFCICSIAIGIVIEIIVLISQDRPYRPSIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVEKDTVVLMAARASRLENQDAIDAAIVAMLADPKEARAGITEIHFLPFNPTDKRTALTYIDQAGKMHRVSKGAPEQILNLAWNRSDIEKRVHSVIDKFAERGLRSLAVAQQEVPAGTKDSPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGENKDGLDATLGVDELIESADGFAGVFPEHKYEIVQRLQGKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLAVFWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLSEIFATGIVLGSYLALTTVAFVYITYETQFFQKKFKADDIFNGPLYYTKKSINEPLNAKLASAVYLQVSTISQALIFVTRSRGWSFTERPGLLLVTAFIVAQLVATAISAQATWEFARIHAIGWRWCGIIWLYNILIYMLLDPIKFFVRYALSGRAWSLVLNKRTAFNTQKDFGKEFREAAWAAEQRTVHGLPSESRNIPERHTFRDVSIMAEEARRRAEIARLRELHTLKGKVESFAKLRGLDIEVNPHYTL >ONI09120 pep chromosome:Prunus_persica_NCBIv2:G5:17127604:17129486:1 gene:PRUPE_5G219500 transcript:ONI09120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPEAQTCDAQPHPLEHQPNQAPFKFAVHAQQPQQQLPAEQLPPPNNPSTVIEPSPDHEADDDHHEHQAIANHHDQTHEHQAMQPHYNPLQLAPQNPSHSVGTSRPDHHQQPRPLHLAAQLPPTNPYNTNLMLHHYHWPHHNYNAPAPPAAYQVHPQQQALMPTGPAAPRPHPTPTPYYPPLPVPLPLPHHHHHASSDPAIYYTTPQVLFPPPAAYQFVPRHSGQLQYYSNPVAAARNQLPPLHYNPNYIAETGIPVQNVQYLPMLLPQPAGIDGAWKTGLFQCLDDPPNAIMTLFCPCWTFGQVAEIVNNGQTSCAVNSLIYMLITVCIFVPCLLSCTYRKKLRNKFDLPESPAPDCIIHFLCEWCALCQEHRELELRGLDPSLGWVGNMEHMQRLQQKRQAAMAPPATQRMTGY >ONI08045 pep chromosome:Prunus_persica_NCBIv2:G5:13889480:13890170:1 gene:PRUPE_5G155100 transcript:ONI08045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRTVRNAWKIFRSFLLILQLMYMHQGLLESKPIRRLGIQLDEVARLVSETFAEMMFKHGFVHCDPHAANLLVRPLPYSLIAARAF >ONI07235 pep chromosome:Prunus_persica_NCBIv2:G5:11321192:11322310:-1 gene:PRUPE_5G107300 transcript:ONI07235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVPFLKSLSRSVHNQEQHDQHDEQELNTVGACTTLTVWRKSLLISCKGFTVIDSNGDLVYRVDNYVGHPEEVVLMDASGKSVLTMRRRKKLTLVDSWFVHEGEVGDYCRTRTSKSSPTFCVRKNMNMLNANPNILAYVYREAWGHKRYAYLIEGSYTHRSCKVLDEYSNVVAEIKRKEANIGGVSYGVEVFHLIVHPGFDAGFAMALVLALDQLFS >ONI08062 pep chromosome:Prunus_persica_NCBIv2:G5:13936469:13938091:-1 gene:PRUPE_5G156300 transcript:ONI08062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKVRASSCPAESLERPPSKILKDDSLSIYEATLMKLKLGSKRNLSPCSNEVVGEIYNGSTSSSSNSEPIKIDLNCASAAASRGHTEVASSPQEQEEFMTLDTDCSSVTSSTSSTDCHSLGTSKQQRSTNVSVLYLFSKFKRAQEDICSSSGDAMTKESASISPSCSGCQSLNSTEQRLEMECVNSLPASHICML >ONI08869 pep chromosome:Prunus_persica_NCBIv2:G5:16426216:16430698:1 gene:PRUPE_5G205200 transcript:ONI08869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWVVAAAAGAGYLTKYWQNISRNGDKDSLLQFSSGDANLDKSESPSHPFQRLARRKKVGDAVSTDRKKVSDNNPLESAYGTEVASTSGYDGEKLGGFVNYKDCNVLSLSNMLPVYSGNDNKDGDGTWLSNDIDDSTSGVMLPKSSNGERGSSHVPLRNRSSIRAEHSYRHIVKPVSSLESCLMAQLYKERAEMEEYALTLPSSSTPSIRPLFVTDGSRIISRGSGDHFSAHIGIEENKLRKEAYLETNENVCGFPPPPKLGYLDLSKKMKTKTGKGRNGRLGSSSKMVNGKHFHSEGSPEGPVLLCLGISIGIISSFITNKQEVDKLKDLLKQTENLVQDLEEELEMKDSVTVKELAKENYESHDTCDHSFFDRAPNSFSPEQNMDKYDGSDSYDRKAEEFSESMSKIEAELEAELERLGLNVNTSTTERRLIDLAELDPDLIADFAQGELRADIVGGLAVAQPKSNEEASSASTAHHCANYAVSPRELSLRLHEVIQSRLEERVQELEAALENSQRKVKIVELKHEDCGSGFSESRIHSTIQESTNSEEKCKTVAEPLVMNLSGEALDAYNEAYEELMKISESEEEDLPCQVFETFRAQNPCQTQSDEVNGSIGHFASNKEETLAEDIFSFESEVRMLDEEHISRVQSSNNTSVTGEEHSDSDAEMEKQLIMQIVEKTKKGSPVVLNAQRWLFQSMDETER >ONI08868 pep chromosome:Prunus_persica_NCBIv2:G5:16426267:16430585:1 gene:PRUPE_5G205200 transcript:ONI08868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWVVAAAAGAGYLTKYWQNISRNGDKDSLLQFSSGDANLDKSESPSHPFQRLARRKKVGDAVSTDRKKVSDNNPLESAYGTEVASTSGYDGEKLGGFVNYKDCNVLSLSNMLPVYSGNDNKDGDGTWLSNDIDDSTSGVMLPKSSNGERGSSHVPLRNRSSIRAEHSYRHIVKPVSSLESCLMAQLYKERAEMEEYALTLPSSSTPSIRPLFVTDGSRIISRGSGDHFSAHIGIEENKLRKEAYLETNENVCGFPPPPKLGYLDLSKKMKTKTGKGRNGRLGSSSKMVNGKHFHSEGSPEGPVLLCLGISIGIISSFITNKQEVDKLKDLLKQTENLVQDLEEELEMKDSVTVKELAKENYESHDTCDHSFFDRAPNSFSPEQNMDKYDGSDSYDRKAEEFSESMSKIEAELEAELERLGLNVNTSTTERRLIDLAELDPDLIADFAQGELRADIVGGLAVAQPKSNEEASSASTAHHCANYAVSPRELSLRLHEVIQSRLEERVQELEAALENSQRKVKIVELKHEDCGSGFSESRIHSTIQESTNSEEKCKTVAEPLVMNLSGEALDAYNEAYEELMKISESEEEDLPCQVFETFRAQNPCQTQSDEVNGSIGHFASNKEETLAEDIFSFESEVRMLDEEHISRVQSSNNTSVTGEEHSDSDAEMEKQLIMQIVEKTKKGSPVVLNAQRWLFQSMDETER >ONI06840 pep chromosome:Prunus_persica_NCBIv2:G5:9692831:9695725:-1 gene:PRUPE_5G084500 transcript:ONI06840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQTWTTRRMSNPRLQDTTSSPDQVVDIPATPTGDVRNGTSYGFSSAVGSLLSPTLSTAAIIASWYLSNIGVLLLNKYLLSFYGFRYPIFLTMLHMISCAAYSYIAIHLLELVPRQHILSRRQFFKILALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFIITCKKESAEVYGALLPVVFGIVVASNSEPLFHLFGFLVCVGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPMAACILLPFTLYIEGNVAAATVEKARTDPFIVFLLVGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFAVTIMGVVLYSEAKKRSKVTTH >ONI05936 pep chromosome:Prunus_persica_NCBIv2:G5:3503416:3508989:1 gene:PRUPE_5G030000 transcript:ONI05936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWSHRCHIGDEEKIPFVGPHQRAGSGVRPQIASTRKNNLTASIIQYETYTYLLFLSLSLSLSLSLSLVSLPLRLSLSSESSPPACLPVLPAILNSYPNTSFSFHFFRNSRRDFLGKVRVRVWEGSEMSFRDLEAGRGLGFSRRDIVNGKQDPTQAVASGIFQINTAVSTFQRLVNTIGTPKDTPELREKLHKTRLHIGQLVKNTSEKLKQVSERDHHTEVNASKKITDAKLAKDFQAVLREFQKAQRLAAERETAYAPFVPQAVLPSSYTASEIDISSDKSPEQRALLVESRRQEVVLLDNEISFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAILVHEQGTMIDDIGSNIEGAHAATGQAKSQLVKASKTQRSNSSLTCLLLVIFGIVLLIVIVVLAA >ONI05937 pep chromosome:Prunus_persica_NCBIv2:G5:3503553:3508418:1 gene:PRUPE_5G030000 transcript:ONI05937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWSHRCHIGDEEKIPFVGPHQRAGSGVRPQIASTRKNNLTASIIQYETYTYLLFLSLSLSLSLSLSLVSLPLRLSLSSESSPPACLPVLPAILNSYPNTSFSFHFFRNSRRDFLGKVRVRVWEGSEMSFRDLEAGRGLGFSRRDIVNGKQDPTQAVASGIFQINTAVSTFQRLVNTIGTPKDTPELREKLHKTRLHIGQLVKNTSEKLKQVSERDHHTEVNASKKITDAKLAKDFQAVLREFQKAQRLAAERETAYAPFVPQAVLPSSYTASEIDISSDKSPEQRALLVESRRQEVVLLDNEISFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAILVHEQGTMIDDIGSNIEGAHAATGQAKSQLVKASKTQRSNSSLTHDILQ >ONI05879 pep chromosome:Prunus_persica_NCBIv2:G5:3247799:3254719:-1 gene:PRUPE_5G027900 transcript:ONI05879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSGVIGDVSLVIPSGGGLSWPEFRHFHSHTLSNSKPFLSGAFFRSCPSSCIPKLGNGLYKCRARGLIVRASKDSSDNLVPVAPLQFESPAGQLLAQILQNHPHLLSAAIDQQLENLQKDRDARREETSASSADPLYKRIAQVKEKERRMALEEIIYCLIVQKFIENDISMIPKISATSDPTGRVDFWPMQEKKLESVHSPEALEMIQSHLSLVLGERLVGPLSAIVEISKIKLGKLYAASIMYGYFLKRVDQRFQLERTMNTLPDGFTPDAAPANQLWDPDSLIRIPPDGGSDSDGGSYMNNGDDKSYRLRSYVMYLDAETLQRYATIRSKEAISLIENQTQALFGRPDIRITEDGSIDASNDEVIALTFSGLTMLVLEAVAFGSFLWDAETYIESNYHFLKS >ONI05880 pep chromosome:Prunus_persica_NCBIv2:G5:3248273:3254566:-1 gene:PRUPE_5G027900 transcript:ONI05880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSGVIGDVSLVIPSGGGLSWPEFRHFHSHTLSNSKPFLSGAFFRSCPSSCIPKLGNGLYKCRARGLIVRASKDSSDNLVPVAPLQFESPAGQLLAQILQNHPHLLSAAIDQQLENLQKDRDARREETSASSADPLYKRIAQVKEKERRMALEEIIYCLIVQKFIENDISMIPKISATSDPTGRVDFWPMQEKKLESVHSPEALEMIQSHLSLVLGERLVGPLSAIVEISKIKLGKLYAASIMYGYFLKRVDQRFQLERTMNTLPDGFTPDAAPANQLWDPDSLIRIPPDGGSDSDGGSYMNNGDDKSYRLRSYVMYLDAETLQRYATIRSKEAISLIENQTQALFGRPDIRITEDGSIDASNDEVIALTFSGLTMLVLEAVAFGSFLWDAETYIESNYHFLKS >ONI07602 pep chromosome:Prunus_persica_NCBIv2:G5:12550056:12553428:1 gene:PRUPE_5G129800 transcript:ONI07602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTKPQGFVFPTNTTSSKKRAEHDDMDNDDYAVAKKSMLLSSAAAAAWEDPAAAFASARHEFGEHGGVNMSIEASATFTVMEPETLRKMFSGELGADRDFFIYSRHFNPTVLNLSRQMAALEGTEAAYCTSSGMSAISSVLLQLVSSGDHIVASRTLYGGTHALLTHFFPRACNITTTFVDIHDMDMVRNAIEVGKTKVLYFEGMSNPTLEVANIPELSRIGHEKGVTVVVDNTFSPMVLSPVKLGADVVVHSISKFISGGADIIAGVVCGPASLVNSMMDLHQGSLMLLGPTMNAKVAFELAERIPHLGLRMKEHCNRAMVYATRMKKMGLKVIYPGLEDHPQHELLKSTANKDYGFGGLLCLDMGTEEKANRLMNLLQNCTQFGFMAVSLGYYETLMSCSGSSTSSEMNAEEKALAGISPGLVRMSIGYIGTLEQRWSQFEKAISRMQDSGLLNKK >ONI09489 pep chromosome:Prunus_persica_NCBIv2:G5:18150069:18154489:1 gene:PRUPE_5G240900 transcript:ONI09489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGSGGGAGSGGDHDASDSQRRKKRYHRHTANQIQKLEGMFKECPHPDEKQRLQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNCVLRAENDKIRCENIAIREALKNVICPACGVPPLNEDSYFDEHKLRMENAQLKEELDRVSSIAAKCIGRPISQLPPVQPIHVSSLELSMASFGGHGVGGPSLDLDLLPGTTSSAMPSLPYHPTGLSDMDKSLMTDIAANAMEELLRLLQTNEPLWIKSATDGRDVLNLESYERIFPRANSHLKNPDLRIEASRDSGVVIMNGLALVEMFMDPNKFGELFPTIVSMAKTIEVISSGMLGSQSGSLQLMYKELQVLSPLVQTREFYFLRYCQQIEQGLWAIVDVSYDFPRDNQFTNQCRSHRLPSGCLIQDMPNGYSKVSWVEHVEIEDKAPTHRLYRDLIHSGLAFGAERWLAALQRMCERFACLMVSGTSTRDLEGVIPSPEGKRSMMKLAQRMVNNFCASISTSNGHRWTTLSGMNEVGVRVTIHKSTDPGQPNGVVLSAATTIWLPVSPQNVFNFFKDERTRPQWDVLSNNNAVQEVAHIANGSHPGNCISVLRAFNTSQNNMLMLQESCIDSSGSLVVYSPVDLPSINIAMSGEDPSYIPLLPSGFTISPDGRPEQGDGASTSSCNVHGSGGSLVTVAFQILVSSLPSAKLNLESVNTVNTLIGTTVQQIKAALNCNSS >ONI05381 pep chromosome:Prunus_persica_NCBIv2:G5:561816:562747:-1 gene:PRUPE_5G004400 transcript:ONI05381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCRPLGFLLGLPFALVALVLSVVGAVIWVLGSVLSCLCPCCCCVGGLANLAVTLIKLPVKIIRWFIDKIPC >ONI05562 pep chromosome:Prunus_persica_NCBIv2:G5:1373791:1376825:1 gene:PRUPE_5G012900 transcript:ONI05562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTATHCVASIPTYPICKHQHKPPRSLSRRILSRSNRVSNVKIPQSTSLTFEPRNECECFNLYEQQVPYEQAWAWQKSIVNEKKTLIQRNEDCSDSLFVLQHRPVYTLGTASSEQFLNFDVKDSPFDIYRTERGGEVTYHGPGQIVMYPIMNLRNHKMDLHWYLRSLEEVIIRALSKTFCIKASRLEGLTGVWHGTQKLAAIGIRVLQWITYHGLALNVTTDLTPFHSIVPCGLRDYQVGSVRGLLKEFQSSTDCERARLPDPDDGQLLDITCKSLIKEFSEVFQVRINYETISRLELLERKPVNHLEEETN >ONI05561 pep chromosome:Prunus_persica_NCBIv2:G5:1374826:1376833:1 gene:PRUPE_5G012900 transcript:ONI05561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTATHCVASIPTYPICKHQHKPPRSLSRRILSRSNRVSNVKIPQSTSLTFEPRNECECFNLYEQQVPYEQAWAWQKSIVNEKKTLIQRNEDCSDSLFVLQHRPVYTLGTASSEQFLNFDVKDSPFDIYRTERGGEVTYHGPGQIVMYPIMNLRNHKMDLHWYLRSLEEVIIRALSKTFCIKASRLEGLTGVWHGTQKLAAIGIRVLQWITYHGLALNVTTDLTPFHSIVPCGLRDYQVGSVRGLLKEFQSSTDCERARLPDPDDGQLLDITCKSLIKEFSEVFQVRINYETISRLELLERKPVNHLEEETN >ONI08932 pep chromosome:Prunus_persica_NCBIv2:G5:16610315:16614774:-1 gene:PRUPE_5G208300 transcript:ONI08932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSGLYSQTAYCQVYGCNKDLSSSKDYHKRHKVCEAHSKTAKVIVNGREQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIHSGRAGSRFQDNMLTTASFICQEILPSGILDAENYGTNDWCRHLKVEDATHYRPLSGVPVTNGHLPLKPAFPSYDIGKRYPPFHDNGTNPLTGNIIIENGSQYSHDMRGLNSGSHSMFHETTTLGSEDFNVFNTASTVHGLSGISDSGCALSLLSSQSQKSSSHLSGIPMASPLVMPDRHTNYRLVQGSENLIGGCSHASSSGVSNKFPSSGMNSGAGTHLGPILLCNGSDTDDFGISDGIFQGSDFVNAKDRLSCEDGTTIDLLQLSSQLQRVEDQRQSMQVKQESDAFCCLRIT >ONI08928 pep chromosome:Prunus_persica_NCBIv2:G5:16610565:16614654:-1 gene:PRUPE_5G208300 transcript:ONI08928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSRISEGKGFVSEETISHTDSFSRSRNTLMGWELKIPCTFGSNVLVSGQQAIESQGFGELGFPEMMGKHFSNNPIRDVLSGKVYGERSTNTTSMATPNLFSGEDESSSKLSSSIVDSNSRDSSLIDLKLGRLADNRDFHDSKFSKGHPILSSSESSTPPKRMRVSGLYSQTAYCQVYGCNKDLSSSKDYHKRHKVCEAHSKTAKVIVNGREQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIHSGRAGSRFQDNMLTTASFICQEILPSGILDAENYGTNDWCRHLKVEDATHYRPLSGVPVTNGHLPLKPAFPSYDIGKRYPPFHDNGTNPLTGNIIIENGSQYSHDMRGLNSGSHSMFHETTTLGSEDFNVFNTASTVHGLSGISDSGCALSLLSSQSQKSSSHLSGIPMASPLVMPDRHTNYRLVQGSENLIGGCSHASSSGVSNKFPSSGMNSGAGTHLGPILLCNGSDTDDFGISDGIFQGSDFVNAKDRLSCEDGTTIDLLQLSSQLQRVEDQRQSMQVKQESDAFCCLRIT >ONI08926 pep chromosome:Prunus_persica_NCBIv2:G5:16610165:16614654:-1 gene:PRUPE_5G208300 transcript:ONI08926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSRISEGKGFVSEETISHTDSFSRSRNTLMGWELKIPCTFGSNVLVSGQQAIESQGFGELGFPEMMGKHFSNNPIRDVLSGKVYGERSTNTTSMATPNLFSGEDESSSKLSSSIVDSNSRDSSLIDLKLGRLADNRDFHDSKFSKGHPILSSSESSTPPKRMRVSGLYSQTAYCQVYGCNKDLSSSKDYHKRHKVCEAHSKTAKVIVNGREQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIHSGRAGSRFQDNMLTTASFICQEILPSGILDAENYGTNDWCRHLKVEDATHYRPLSGVPVTNGHLPLKPAFPSYDIGKRYPPFHDNGTNPLTGNIIIENGSQYSHDMRGLNSGSHSMFHETTTLGSEDFNVFNTASTVHGLSGISDSGCALSLLSSQSQKSSSHLSGIPMASPLVMPDRHTNYRLVQGSENLIGGCSHASSSGVSNKFPSSGMNSGAGTHLGPILLCNGSDTDDFGISDGIFQGSDFVNAKDRLSCEDGTTIDLLQLSSQLQRVEDQRQSMQVKQESDAFCCLRIT >ONI08927 pep chromosome:Prunus_persica_NCBIv2:G5:16610251:16614654:-1 gene:PRUPE_5G208300 transcript:ONI08927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSRISEGKGFVSEETISHTDSFSRSRNTLMGWELKIPCTFGSNVLVSGQQAIESQGFGELGFPEMMGKHFSNNPIRDVLSGKVYGERSTNTTSMATPNLFSGEDESSSKLSSSIVDSNSRDSSLIDLKLGRLADNRDFHDSKFSKGHPILSSSESSTPPKRMRVSGLYSQTAYCQVYGCNKDLSSSKDYHKRHKVCEAHSKTAKVIVNGREQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIHSGRAGSRFQDNMLTTASFICQEILPSGILDAENYGTNDWCRHLKVEDATHYRPLSGVPVTNGHLPLKPAFPSYDIGKRYPPFHDNGTNPLTGNIIIENGSQYSHDMRGLNSGSHSMFHETTTLGSEDFNVFNTASTVHGLSGISDSGCALSLLSSQSQKSSSHLSGIPMASPLVMPDRHTNYRLVQGSENLIGGCSHASSSGVSNKFPSSGMNSGAGTHLGPILLCNGSDTDDFGISDGIFQGSDFVNAKDRLSCEDGTTIDLLQLSSQLQRVEDQRQSMQVKQESDAFCCLRIT >ONI08929 pep chromosome:Prunus_persica_NCBIv2:G5:16610578:16614654:-1 gene:PRUPE_5G208300 transcript:ONI08929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSRISEGKGFVSEETISHTDSFSRSRNTLMGWELKIPCTFGSNVLVSGQQAIESQGFGELGFPEMMGKHFSNNPIRDVLSGKVYGERSTNTTSMATPNLFSGEDESSSKLSSSIVDSNSRDSSLIDLKLGRLADNRDFHDSKFSKGHPILSSSESSTPPKRMRVSGLYSQTAYCQVYGCNKDLSSSKDYHKRHKVCEAHSKTAKVIVNGREQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIHSGRAGSRFQDNMLTTASFICQEILPSGILDAENYGTNDWCRHLKVEDATHYRPLSGVPVTNGHLPLKPAFPSYDIGKRYPPFHDNGTNPLTGNIIIENGSQYSHDMRGLNSGSHSMFHETTTLGSEDFNVFNTASTVHGLSGISDSGCALSLLSSQSQKSSSHLSGIPMASPLVMPDRHTNYRLVQGSENLIGGCSHASSSGVSNKFPSSGMNSGAGTHLGPILLCNGSDTDDFGISDGIFQGSDFVNAKDRLSCEDGTTIDLLQLSSQLQRVEDQRQSMQVKQESDAFCCLRIT >ONI08931 pep chromosome:Prunus_persica_NCBIv2:G5:16610659:16614654:-1 gene:PRUPE_5G208300 transcript:ONI08931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSRISEGKGFVSEETISHTDSFSRSRNTLMGWELKIPCTFGSNVLVSGQQAIESQGFGELGFPEMMGKHFSNNPIRDVLSGKVYGERSTNTTSMATPNLFSGEDESSSKLSSSIVDSNSRDSSLIDLKLGRLADNRDFHDSKFSKGHPILSSSESSTPPKRMRVSGLYSQTAYCQVYGCNKDLSSSKDYHKRHKVCEAHSKTAKVIVNGREQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIHSGRAGRLLQSYNGSRFQDNMLTTASFICQEILPSGILDAENYGTNDWCRHLKVEDATHYRPLSGVPVTNGHLPLKPAFPSYDIGKRYPPFHDNGTNPLTGNIIIENGSQYSHDMRGLNSGSHSMFHETTTLGSEDFNVFNTASTVHGLSGISDSGCALSLLSSQSQKSSSHLSGIPMASPLVMPDRHTNYRLVQGSENLIGGCSHASSSGVSNKFPSSGMNSGAGTHLGPILLCNGSDTDDFGISDGIFQGSDFVNAKDRLSCEDGTTIDLLQLSSQLQRVEDQRQSMQVKQESDAFCCLRIT >ONI08930 pep chromosome:Prunus_persica_NCBIv2:G5:16610445:16614654:-1 gene:PRUPE_5G208300 transcript:ONI08930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWSRISEGKGFVSEETISHTDSFSRSRNTLMGWELKIPCTFGSNVLVSGQQAIESQGFGELGFPEMMGKHFSNNPIRDVLSGKVYGERSTNTTSMATPNLFSGEDESSSKLSSSIVDSNSRDSSLIDLKLGRLADNRDFHDSKFSKGHPILSSSESSTPPKRMRVSGLYSQTAYCQVYGCNKDLSSSKDYHKRHKVCEAHSKTAKVIVNGREQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIHSGRAGRLLQSYNGSRFQDNMLTTASFICQEILPSGILDAENYGTNDWCRHLKVEDATHYRPLSGVPVTNGHLPLKPAFPSYDIGKRYPPFHDNGTNPLTGNIIIENGSQYSHDMRGLNSGSHSMFHETTTLGSEDFNVFNTASTVHGLSGISDSGCALSLLSSQSQKSSSHLSGIPMASPLVMPDRHTNYRLVQGSENLIGGCSHASSSGVSNKFPSSGMNSGAGTHLGPILLCNGSDTDDFGISDGIFQGSDFVNAKDRLSCEDGTTIDLLQLSSQLQRVEDQRQSMQVKQESDAFCCLRIT >ONI05425 pep chromosome:Prunus_persica_NCBIv2:G5:740536:741482:-1 gene:PRUPE_5G006700 transcript:ONI05425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPLRLLGGAFNPPALFSEPDDESSANMGSDSALILLALFCALVCVLGLLVVARCVWLRRLATAATPPPPPPLPTPPPNKGLKKKILRSLPKLTYTADCTSKFTECAICLTEFAAGDEIRELPQCGHRFHVACIDVWLRSHSSCPSCRQIPEVSSKCHKCGGFPSLS >ONI08764 pep chromosome:Prunus_persica_NCBIv2:G5:16073753:16074450:1 gene:PRUPE_5G199700 transcript:ONI08764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSGGELGSEEMGSSYEVARNAKDVSLQELRDRLAEFAQVRGWDQYHSPRNLLLALVGEVGELSEIFQWKGEVARGLPNWTCDEKEHLEEELSDVLLYLIQLADVCGLDLGQAALSKIVKNARKYPVTNQTPNSTN >ONI06846 pep chromosome:Prunus_persica_NCBIv2:G5:9711163:9713886:-1 gene:PRUPE_5G084900 transcript:ONI06846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRSVATTTAIAASALPAAFALSSLSSSSSSSPSQSFRFLKAQNLSFLSSTPSLKPNRSCLATSFTNSPSAIHMDAPTSEQKPSLQSDAALPELLTEYMVDMKCEGCVNSVKNKLQTVDGVKSVEVDLNSQVVRILGSTPVKTMTEVLEQTGRKARLIGQGIPEDFLVSAAVAEFKGPDIFGVVRLAQVNMELARIEANFSGLPPGKHGWSINEFGDVTEGAASTGKVFNPSKEEPLGDLGTLDTDENGNAFFSGIKEKLRVADLIGRSLVVYGTADKSDSGIKAAVIARSAGVGENYKKLCTCDGTTIWEATGNDFVTSKV >ONI06847 pep chromosome:Prunus_persica_NCBIv2:G5:9711160:9713926:-1 gene:PRUPE_5G084900 transcript:ONI06847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRSVATTTAIAASALPAAFALSSLSSSSSSSPSQSFRFLKAQNLSFLSSTPSLKPNRSCLATSFTNSPSAIHMDAPTSEQKPSLQSDAALPELLTEYMVDMKCEGCVNSVKNKLQTVDGVKSVEVDLNSQVVRILGSTPVKTMTEVLEQTGRKARLIGQGIPEDFLVSAAVAEFKGPDIFGVVRLAQVNMELARIEANFSGLPPGKHGWSINEFGDVTEGAASTGKVFNPSKEEANEPLGDLGTLDTDENGNAFFSGIKEKLRVADLIGRSLVVYGTADKSDSGIKAAVIARSAGVGENYKKLCTCDGTTIWEATGNDFVTSKV >ONI06168 pep chromosome:Prunus_persica_NCBIv2:G5:4893880:4900294:-1 gene:PRUPE_5G045000 transcript:ONI06168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTANDLQEWKDFPKGLKVLLLDEDNSSAAEIKSKLEAMDYIVTTFCNETEALSAFSSNPLSFQVAIVEVNASNGHGVFQFLETAKDLPTIMTSNIHCLSTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSAISESLKPVKESVVSILQIKQETEEQKCKISVETENVSRVHESDREQSVGSDKYPAPSTPQLKQGSRLLADGDCQDQINCLSEKESGENDSESKSVETTCGNPIDEGTVQLSEPQMTRKRVVKEENDSVNGSQHPHNRNSANDVGGVAENLSKASAPNNSCKSNRKKMKVDWTPELHKKFVQAVEQLGVDQAIPSRILELMKAEGLTRHNVASHLQKYRMHKRHILPKEDDRRLPHPRDPIQRSYYPQNQKPIMAFPPYHYNNSLSMAPVYPMWGQPGSQPAGVQMWGSPGYPQWQPSESWHWKPYQGMQADAWGCPVMPPLQGGYPSYPQNAAGFHAGVVDNSFDLHPAEEVVDKVVKEAISKPWLPLPLGLKPPSTEGVLDELSRQGICNIPPHVNRSNTR >ONI06167 pep chromosome:Prunus_persica_NCBIv2:G5:4893877:4900294:-1 gene:PRUPE_5G045000 transcript:ONI06167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTANDLQEWKDFPKGLKVLLLDEDNSSAAEIKSKLEAMDYIVTTFCNETEALSAFSSNPLSFQVAIVEVNASNGHGVFQFLETAKDLPTIMTSNIHCLSTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSAISESLKPVKESVVSILQIKQETEEQKCKISVETENVSRVHESDREQSVGSDKYPAPSTPQLKQGSRLLADGDCQDQINCLSEKESGENDSESKSVETTCGNPIDEGTVQLSEPQMTRKRVVKEENDSVNGSQHPHNRNSANDVGGVAENLSKASAPNNSCKSNRKKMKVDWTPELHKKFVQAVEQLGVDQAIPSRILELMKAEGLTRHNVASHLQKYRMHKRHILPKEDDRRLPHPRDPIQRSYYPQNQKPIMAFPPYHYNNSLSMAPVYPMWGQPGSQPAGVQMWGSPGYPQWQPSESWHWKPYQGMQADAWGCPVMPPLQGGYPSYPQNAAGFHAGVVDNSFDLHPAEEVVDKVVKEAISKPWLPLPLGLKPPSTEGVLDELSRQGICNIPPHVNRSNTR >ONI06166 pep chromosome:Prunus_persica_NCBIv2:G5:4893873:4900294:-1 gene:PRUPE_5G045000 transcript:ONI06166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTANDLQEWKDFPKGLKVLLLDEDNSSAAEIKSKLEAMDYIVTTFCNETEALSAFSSNPLSFQVAIVEVNASNGHGVFQFLETAKDLPTIMTSNIHCLSTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSAISESLKPVKESVVSILQIKQETEEQKCKISVETENVSRVHESDREQSVGSDKYPAPSTPQLKQGSRLLADGDCQDQINCLSEKESGENDSESKSVETTCGNPIDEGTVQLSEPQMTRKRVVKEENDSVNGSQHPHNRNSANDVGGVAENLSKASAPNNSCKSNRKKMKVDWTPELHKKFVQAVEQLGVDQAIPSRILELMKAEGLTRHNVASHLQKYRMHKRHILPKEDDRRLPHPRDPIQRSYYPQNQKPIMAFPPYHYNNSLSMAPVYPMWGQPGSQPAGVQMWGSPGYPQWQPSESWHWKPYQGMQADAWGCPVMPPLQGGYPSYPQNAAGFHAGVVDNSFDLHPAEEVVDKVVKEAISKPWLPLPLGLKPPSTEGVLDELSRQGICNIPPHVNRSNTR >ONI07630 pep chromosome:Prunus_persica_NCBIv2:G5:12705177:12706474:-1 gene:PRUPE_5G132200 transcript:ONI07630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIISWSLAVGHAEVEGLSRITTVVPGTDESISKLVQQLYKLADIHDVRMFNYYKECICLIC >ONI07924 pep chromosome:Prunus_persica_NCBIv2:G5:13522946:13527261:-1 gene:PRUPE_5G147400 transcript:ONI07924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEALEDKKPEDEAPLEEKQEAEAEVEPKEEQEEQEKEEEKEEEKENVPEASEKVTENEEEQVGEEGEEEKEKESEETPKKAKRGRKTSSKKEEKDQTEPKKRGRDSSGKKSSKEAEAEKKEKEPVTPVSERPTRERKIVERYSAPESGRSSANKALNIEKGRGTELKNIPNVAFKLSKRKIDDNLQLLHTILFGKKAKAHNLKKNISQFSGYVWTENEQEKQRTRVKEKLDKCVKEKLVDFCEFLNIPIKAGTKKEELSVKLLEFLESPHVTTDVLLAEKEQKGQKRRRKVTPSKMAGSGEASPETSAKKQKEIPNVEKKQESSKAEEEEGDDNDETSDAKDNSVGDDDHNTMDEDTDHEDKSDEEQDEPKDQVSSPKSSSKKVVKEGSKVKAGSKTTSAKKKTPAKSAKTPSDSAKKSSSTSKRGASDADGASGSQTKAKRSAKKQKTEKESQKDVKEKASGKKQTSKSPAKVSAKDQAKGKTSKKAKAEPTKDDMHAVIVDILKEVDFNTATLSDILRQLGTHFGIDLMHRKAEVKDIITEVINNMSDEEDEGDEAEEEAEAGDDADKDEEKDDNDEDDA >ONI07926 pep chromosome:Prunus_persica_NCBIv2:G5:13522946:13527261:-1 gene:PRUPE_5G147400 transcript:ONI07926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEALEDKKPEDEAPLEEKQEAEAEVEPKEEQEEQEKEEEKEEEKENVPEASEKVTENEEEQVGEEGEEEKEKESEETPKKAKRGRKTSSKKEEKDQTEPKKRGRDSSGKKSSKEAEAEKKEKEPVTPVSERPTRERKIVERYSAPESGRSSANKALNIEKGRGTELKNIPNVAFKLSKRKIDDNLQLLHTILFGKKAKAHNLKKNISQFSGYVWTENEQEKQRTRVKEKLDKCVKEKLVDFCEFLNIPIKAGTKKVSLSVKLLEFLESPHVTTDVLLAEKEQKGQKRRRKVTPSKMAGSGEASPETSAKKQKEIPNVEKKQESSKAEEEEGDDNDETSDAKDNSVGDDDHNTMDEDTDHEDKSDEEQDEPKDQVSSPKSSSKKVVKEGSKVKAGSKTTSAKKKTPAKSAKTPSDSAKKSSSTSKRGASDADGASGSQTKAKRSAKKQKTEKESQKDVKEKASGKKQTSKSPAKVSAKDQAKGKTSKKAKAEPTKDDMHAVIVDILKEVDFNTATLSDILRQLGTHFGIDLMHRKAEVKDIITEVINNMSDEEDEGDEAEEEAEAGDDADKDEEKDDNDEDDA >ONI07925 pep chromosome:Prunus_persica_NCBIv2:G5:13522608:13527358:-1 gene:PRUPE_5G147400 transcript:ONI07925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEALEDKKPEDEAPLEEKQEAEAEVEPKEEQEEQEKEEEKEEEKENVPEASEKVTENEEEQVGEEGEEEKEKESEETPKKAKRGRKTSSKKEEKDQTEPKKRGRDSSGKKSSKEAEAEKKEKEPVTPVSERPTRERKIVERYSAPESGRSSANKALNIEKGRGTELKNIPNVAFKLSKRKIDDNLQLLHTILFGKKAKAHNLKKNISQFSGYVWTENEQEKQRTRVKEKLDKCVKEKLVDFCEFLNIPIKAGTKKVSLSVKLLEFLESPHVTTDVLLAEKEQKGQKRRRKVTPSKMAGSGEASPETSAKKQKEIPNVEKKQESSKAEEEEGDDNDETSDAKDNSVGDDDHNTMDEDTDHEDKSDEEQDEPKDQVSSPKSSSKKVVKEGSKVKAGSKTTSAKKKTPAKSAKTPSDSAKKSSSTSKRGASDADGASGSQTKAKRSAKKQKTEKESQKDVKEKASGKKQTSKSPAKVSAKDQAKGKTSKKAKAEPTKDDMHAVIVDILKEVDFNTATLSDILRQLGTHFGIDLMHRKAEVKDIITEVINNMSDEEDEGDEAEEEAEAGDDADKDEEKDDNDEDDA >ONI07923 pep chromosome:Prunus_persica_NCBIv2:G5:13522608:13527593:-1 gene:PRUPE_5G147400 transcript:ONI07923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEALEDKKPEDEAPLEEKQEAEAEVEPKEEQEEQEKEEEKEEEKENVPEASEKVTENEEEQVGEEGEEEKEKESEETPKKAKRGRKTSSKKEEKDQTEPKKRGRDSSGKKSSKEAEAEKKEKEPVTPVSERPTRERKIVERYSAPESGRSSANKALNIEKGRGTELKNIPNVAFKLSKRKIDDNLQLLHTILFGKKAKAHNLKKNISQFSGYVWTENEEKQRTRVKEKLDKCVKEKLVDFCEFLNIPIKAGTKKEELSVKLLEFLESPHVTTDVLLAEKEQKGQKRRRKVTPSKMAGSGEASPETSAKKQKEIPNVEKKQESSKAEEEEGDDNDETSDAKDNSVGDDDHNTMDEDTDHEDKSDEEQDEPKDQVSSPKSSSKKVVKEGSKVKAGSKTTSAKKKTPAKSAKTPSDSAKKSSSTSKRGASDADGASGSQTKAKRSAKKQKTEKESQKDVKEKASGKKQTSKSPAKVSAKDQAKGKTSKKAKAEPTKDDMHAVIVDILKEVDFNTATLSDILRQLGTHFGIDLMHRKAEVKDIITEVINNMSDEEDEGDEAEEEAEAGDDADKDEEKDDNDEDDA >ONI09085 pep chromosome:Prunus_persica_NCBIv2:G5:17000602:17015893:1 gene:PRUPE_5G217000 transcript:ONI09085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYSWYTELKISVPEIGIVGLHAENLGIESVSVDGEQTEFEYYPHSNHKDAESEKRWSWVTSPSSAADAAGSTYISALERELVPNLLINCCKAFKAGSELQEQLVVDNEVQHSSGEAKQNVRLVRIDYWVEKAETGIHFHDTVLHTDNQIRRARCWFPCMDESSQSCCYDLEFTVAQNLVAVSTGSLLYQVLSKDDPPRKTYVYRLDVPVSAQWISLVVAPFEILPDHQLGLISHMCLPANMSKLRNTVEFFHGAFSCYKDYLSINFPFGSYKQVFIEPEMAVSSLCSGASMSIFSSQVLFDEKIIDQTIDTRIKLAFALARQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKYLGNNEARYRRYKANCAVCKADDSGATALSSAASCKDLYGTQCIGIYSKIRSWKSVAILQMLEKQMGPESFRKILQTIVLRAQDKTRPLRSLSTKEFRHFANKVGNLERPFLKEFFPRWVELCGCPVLRMGFSYNKRKNMVELAVLRGCTGLSDSSASVVNANPESEKRDGDNGWPGMMSIRAHELDGTFDHPVLPMAGEVWQLLEIQCHSKLAARRFQKPKKGSKLDGADDNGDGAPALDMRSSMESPLLWMRADPEMEYLAEIHFNQPVQMWINQLEKDKDVVAQAQAIATLESLPQLPFSVVNALNNFLIDSKAFWRVRIEAAFALANTASEDTDWAGLLHLVKFYKSRRFDANIGLPKPNDFHDISEYFVLEVIPHAIAMVRAADKKSPREAVEFVLQLLKYNDNNGNPYSDVFWLAALIESVGELEFGQQSILFLSSLLKRIDRILQFDRLMPSYNGILSVSCIRSLTQIALKLLGFVPLDRVFELVKPFRDSKAIWQVRVEASRALLDLEFHCKGIDAALELFIKYLDEETSFRGQVKLAVHAMRLCQIRGGSDFNDNIRSQTLVDLLCLLEGRMAFNNIFLRHHLFCILQILAGRAPTLYGVPRDHKPFHLGAAESFHEQKNIFATFIPESKFLEPPSEAPNHSHDDLTVLETSRDGLPAPEISMNGLSVPAPETSKDGFAFPGASKDDLGVPKPTNDGLDAPEPSSGGLGDPQPSSVCWVAPEPSSGGLVAPEPSGGGLVAPEPSIGSFGATEPSIGSFGAPEPSKDGLVVSEPFKDGLAVLEPFKEADTISNSHKRKLPVVKIRVKRSATTSRAEECDNQTAERSQGGHLETDHGPSSSVSVDAPHRNFPETVSHSNQNVEEVNSWHDLGSRMTASIGSAKLASDGDDIGKELQCTADSSKVSALPQPEDPSPRYIQDNQDADVQKYASLQALSVPRNDVNGGSFGMVDSLPRGKEKEKKKDKEKKRKRDKGHRDDPEYLERKRLKKENKQKQKELAKLLNETGKVSSADLPHSRKEILGIKPANVQLKPAEPSGSNKLVITGVEATRPEASEGTSATPRFRIKTKIRTQSKP >ONI09087 pep chromosome:Prunus_persica_NCBIv2:G5:16999939:17015893:1 gene:PRUPE_5G217000 transcript:ONI09087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYDLEFTVAQNLVAVSTGSLLYQVLSKDDPPRKTYVYRLDVPVSAQWISLVVAPFEILPDHQLGLISHMCLPANMSKLRNTVEFFHGAFSCYKDYLSINFPFGSYKQVFIEPEMAVSSLCSGASMSIFSSQVLFDEKIIDQTIDTRIKLAFALARQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKYLGNNEARYRRYKANCAVCKADDSGATALSSAASCKDLYGTQCIGIYSKIRSWKSVAILQMLEKQMGPESFRKILQTIVLRAQDKTRPLRSLSTKEFRHFANKVGNLERPFLKEFFPRWVELCGCPVLRMGFSYNKRKNMVELAVLRGCTGLSDSSASVVNANPESEKRDGDNGWPGMMSIRAHELDGTFDHPVLPMAGEVWQLLEIQCHSKLAARRFQKPKKGSKLDGADDNGDGAPALDMRSSMESPLLWMRADPEMEYLAEIHFNQPVQMWINQLEKDKDVVAQAQAIATLESLPQLPFSVVNALNNFLIDSKAFWRVRIEAAFALANTASEDTDWAGLLHLVKFYKSRRFDANIGLPKPNDFHDISEYFVLEVIPHAIAMVRAADKKSPREAVEFVLQLLKYNDNNGNPYSDVFWLAALIESVGELEFGQQSILFLSSLLKRIDRILQFDRLMPSYNGILSVSCIRSLTQIALKLLGFVPLDRVFELVKPFRDSKAIWQVRVEASRALLDLEFHCKGIDAALELFIKYLDEETSFRGQVKLAVHAMRLCQIRGGSDFNDNIRSQTLVDLLCLLEGRMAFNNIFLRHHLFCILQILAGRAPTLYGVPRDHKPFHLGAAESFHEQKNIFATFIPESKFLEPPSEAPNHSHDDLTVLETSRDGLPAPEISMNGLSVPAPETSKDGFAFPGASKDDLGVPKPTNDGLDAPEPSSGGLGDPQPSSVCWVAPEPSSGGLVAPEPSGGGLVAPEPSIGSFGATEPSIGSFGAPEPSKDGLVVSEPFKDGLAVLEPFKEADTISNSHKRKLPVVKIRVKRSATTSRAEECDNQTAERSQGGHLETDHGPSSSVSVDAPHRNFPETVSHSNQNVEEVNSWHDLGSRMTASIGSAKLASDGDDIGKELQCTADSSKVSALPQPEDPSPRYIQDNQDADVQKYASLQALSVPRNDVNGGSFGMVDSLPRGKEKEKKKDKEKKRKRDKGHRDDPEYLERKRLKKENKQKQKELAKLLNETGKVSSADLPHSRKEILGIKPANVQLKPAEPSGSNKLVITGVEATRPEASEGTSATPRFRIKTKIRTQSKP >ONI09086 pep chromosome:Prunus_persica_NCBIv2:G5:17001354:17015581:1 gene:PRUPE_5G217000 transcript:ONI09086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVLFYGFSYRLVLVQNVRLVRIDYWVEKAETGIHFHDTVLHTDNQIRRARCWFPCMDESSQSCCYDLEFTVAQNLVAVSTGSLLYQVLSKDDPPRKTYVYRLDVPVSAQWISLVVAPFEILPDHQLGLISHMCLPANMSKLRNTVEFFHGAFSCYKDYLSINFPFGSYKQVFIEPEMAVSSLCSGASMSIFSSQVLFDEKIIDQTIDTRIKLAFALARQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKYLGNNEARYRRYKANCAVCKADDSGATALSSAASCKDLYGTQCIGIYSKIRSWKSVAILQMLEKQMGPESFRKILQTIVLRAQDKTRPLRSLSTKEFRHFANKVGNLERPFLKEFFPRWVELCGCPVLRMGFSYNKRKNMVELAVLRGCTGLSDSSASVVNANPESEKRDGDNGWPGMMSIRAHELDGTFDHPVLPMAGEVWQLLEIQCHSKLAARRFQKPKKGSKLDGADDNGDGAPALDMRSSMESPLLWMRADPEMEYLAEIHFNQPVQMWINQLEKDKDVVAQAQAIATLESLPQLPFSVVNALNNFLIDSKAFWRVRIEAAFALANTASEDTDWAGLLHLVKFYKSRRFDANIGLPKPNDFHDISEYFVLEVIPHAIAMVRAADKKSPREAVEFVLQLLKYNDNNGNPYSDVFWLAALIESVGELEFGQQSILFLSSLLKRIDRILQFDRLMPSYNGILSVSCIRSLTQIALKLLGFVPLDRVFELVKPFRDSKAIWQVRVEASRALLDLEFHCKGIDAALELFIKYLDEETSFRGQVKLAVHAMRLCQIRGGSDFNDNIRSQTLVDLLCLLEGRMAFNNIFLRHHLFCILQILAGRAPTLYGVPRDHKPFHLGAAESFHEQKNIFATFIPESKFLEPPSEAPNHSHDDLTVLETSRDGLPAPEISMNGLSVPAPETSKDGFAFPGASKDDLGVPKPTNDGLDAPEPSSGGLGDPQPSSVCWVAPEPSSGGLVAPEPSGGGLVAPEPSIGSFGATEPSIGSFGAPEPSKDGLVVSEPFKDGLAVLEPFKEADTISNSHKRKLPVVKIRVKRSATTSRAEECDNQTAERSQGGHLETDHGPSSSVSVDAPHRNFPETVSHSNQNVEEVNSWHDLGSRMTASIGSAKLASDGDDIGKELQCTADSSKVSALPQPEDPSPRYIQDNQDADVQKYASLQALSVPRNDVNGGSFGMVDSLPRGKEKEKKKDKEKKRKRDKGHRDDPEYLERKRLKKENKQKQKELAKLLNETGKVSSADLPHSRKEILGIKPANVQLKPAEPSGSNKLVITGVEATRPEASEGTSATPRFRIKTKIRTQSKP >ONI09084 pep chromosome:Prunus_persica_NCBIv2:G5:16999939:17015893:1 gene:PRUPE_5G217000 transcript:ONI09084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRKPKNEDAKPDNSGPVVRHQKLCLSIDIDKRRIYGYTELKISVPEIGIVGLHAENLGIESVSVDGEQTEFEYYPHSNHKDAESEKRWSWVTSPSSAADAAGSTYISALERELVPNLLINCCKAFKAGSELQEQLVVDNEVQHSSGEAKQNVRLVRIDYWVEKAETGIHFHDTVLHTDNQIRRARCWFPCMDESSQSCCYDLEFTVAQNLVAVSTGSLLYQVLSKDDPPRKTYVYRLDVPVSAQWISLVVAPFEILPDHQLGLISHMCLPANMSKLRNTVEFFHGAFSCYKDYLSINFPFGSYKQVFIEPEMAVSSLCSGASMSIFSSQVLFDEKIIDQTIDTRIKLAFALARQWFGVYITPEAPNDEWLLDGLAGFLTDLFIKKYLGNNEARYRRYKANCAVCKADDSGATALSSAASCKDLYGTQCIGIYSKIRSWKSVAILQMLEKQMGPESFRKILQTIVLRAQDKTRPLRSLSTKEFRHFANKVGNLERPFLKEFFPRWVELCGCPVLRMGFSYNKRKNMVELAVLRGCTGLSDSSASVVNANPESEKRDGDNGWPGMMSIRAHELDGTFDHPVLPMAGEVWQLLEIQCHSKLAARRFQKPKKGSKLDGADDNGDGAPALDMRSSMESPLLWMRADPEMEYLAEIHFNQPVQMWINQLEKDKDVVAQAQAIATLESLPQLPFSVVNALNNFLIDSKAFWRVRIEAAFALANTASEDTDWAGLLHLVKFYKSRRFDANIGLPKPNDFHDISEYFVLEVIPHAIAMVRAADKKSPREAVEFVLQLLKYNDNNGNPYSDVFWLAALIESVGELEFGQQSILFLSSLLKRIDRILQFDRLMPSYNGILSVSCIRSLTQIALKLLGFVPLDRVFELVKPFRDSKAIWQVRVEASRALLDLEFHCKGIDAALELFIKYLDEETSFRGQVKLAVHAMRLCQIRGGSDFNDNIRSQTLVDLLCLLEGRMAFNNIFLRHHLFCILQILAGRAPTLYGVPRDHKPFHLGAAESFHEQKNIFATFIPESKFLEPPSEAPNHSHDDLTVLETSRDGLPAPEISMNGLSVPAPETSKDGFAFPGASKDDLGVPKPTNDGLDAPEPSSGGLGDPQPSSVCWVAPEPSSGGLVAPEPSGGGLVAPEPSIGSFGATEPSIGSFGAPEPSKDGLVVSEPFKDGLAVLEPFKEADTISNSHKRKLPVVKIRVKRSATTSRAEECDNQTAERSQGGHLETDHGPSSSVSVDAPHRNFPETVSHSNQNVEEVNSWHDLGSRMTASIGSAKLASDGDDIGKELQCTADSSKVSALPQPEDPSPRYIQDNQDADVQKYASLQALSVPRNDVNGGSFGMVDSLPRGKEKEKKKDKEKKRKRDKGHRDDPEYLERKRLKKENKQKQKELAKLLNETGKVSSADLPHSRKEILGIKPANVQLKPAEPSGSNKLVITGVEATRPEASEGTSATPRFRIKTKIRTQSKP >ONI07189 pep chromosome:Prunus_persica_NCBIv2:G5:11159013:11161115:-1 gene:PRUPE_5G105100 transcript:ONI07189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSVTVTYNFGQKTLLARKPISKTIPSSVLTSSTATYLKKKRWNVLRLVMVKKTEKDHKLQEETNTERWTVITPRVLQRLERKRSERNTYLVAAVMSSLGVTSAAAMAVYYRFSWQTEFGEYILPEMLGTFALSVGSAVGMEFWARWAHRALWHASLWDMHESHHQPRDGPFELNDIFAIINVVPAIALLSYGFLNTGLFPGLCFGAGLGITTFGMAYMFVHDGLVHRRFPVGPIADVPYLQKVAAAHYLHHSCILNGVPYGLFLGPKEIEEVGGMEELEKEVQRRTKKSKS >ONI07806 pep chromosome:Prunus_persica_NCBIv2:G5:13120036:13122237:1 gene:PRUPE_5G140800 transcript:ONI07806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEVFASLAIPLMKLVGLKTVEMANEDRFTTIIIPANTDSHRQSFGSGSSDRNRLSVKEEICAAKAAMAGKLVMEKQKDQLIQLIRILKRIETQVNARQSHMVQTLTHRRLYLHKFFHRAIDYLSTVDRVDHQTFRISVLKLLRAAFHEVGAVLGSVESDVEELLQDLGAQMCDPMVEYVEGVKADLSDGGCVRLVGLVKEMAMAAREVRVELEAARNKASVAEASKFEALSRLREAEDNVSKLKECLKLLPEPNKASSAKVTKAFAPHKFLGMEKEQPNDEKLLWALLEKKRKYQTPESPPGPSKLLPLPSIYKRQRSTRAKPTVVTRTYSPRARTTPRLDARILLGSSPSATIQKAAPLKQISPKMHA >ONI07804 pep chromosome:Prunus_persica_NCBIv2:G5:13119718:13122232:1 gene:PRUPE_5G140800 transcript:ONI07804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHRRLSETSAPELRSKLRRVVSGHEQMKIAFHQLKSQIGIGLFQAEEVFASLAIPLMKLVGLKTVEMANEDRFTTIIIPANTDSHRQSFGSGSSDRNRLSVKEEICAAKAAMAGKLVMEKQKDQLIQLIRILKRIETQVNARQSHMVQTLTHRRLYLHKFFHRAIDYLSTVDRVDHQTFRISVLKLLRAAFHEVGAVLGSVESDVEELLQDLGAQMCDPMVEYVEGVKADLSDGGCVRLVGLVKEMAMAAREVRVELEAARNKASVAEASKFEALSRLREAEDNVSKLKECLKLLPEPNKASSAKVTKAFAPHKFLGMEKEQPNDEKLLWALLEKKRKYQTPESPPGPSKLLPLPSIYKRQRSTRAKPTVVTRTYSPRARTTPRLDARILLGSSPSATIQKAAPLKQISPKMHA >ONI07803 pep chromosome:Prunus_persica_NCBIv2:G5:13119718:13122232:1 gene:PRUPE_5G140800 transcript:ONI07803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHRRLSETSAPELRSKLRRVVSGHEQMKIAFHQLKSQIGIGLFQAEEVFASLAIPLMKLVGLKTVEMANEDRFTTIIIPANTDSHRQSFGSGSSDRNRLSVKEEICAAKAAMAGKLVMEKQKDQLIQLIRILKRIETQVNARQSHMVQTLTHRRLYLHKFFHRAIDYLSTVDRVDHQTFRISVLKLLRAAFHEVGAVLGSVESDVEELLQDLGAQMCDPMVEYVEGVKADLSDGGCVRLVGLVKEMAMAAREVRVELEAARNKASVAEASKFEALSRLREAEDNVSKLKECLKLLPEPNKASSAKVTKAFAPHKFLGMEKEQPNDEKLLWALLEKKRKYQTPESPPGPSKLLPLPSIYKRQRSTRAKPTVVTRTYSPRARTTPRLDARILLGSSPSATIQKAAPLKQISPKMHA >ONI07805 pep chromosome:Prunus_persica_NCBIv2:G5:13120036:13122237:1 gene:PRUPE_5G140800 transcript:ONI07805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEVFASLAIPLMKLVGLKTVEMANEDRFTTIIIPANTDSHRQSFGSGSSDRNRLSVKEEICAAKAAMAGKLVMEKQKDQLIQLIRILKRIETQVNARQSHMVQTLTHRRLYLHKFFHRAIDYLSTVDRVDHQTFRISVLKLLRAAFHEVGAVLGSVESDVEELLQDLGAQMCDPMVEYVEGVKADLSDGGCVRLVGLVKEMAMAAREVRVELEAARNKASVAEASKFEALSRLREAEDNVSKLKECLKLLPEPNKASSAKVTKAFAPHKFLGMEKEQPNDEKLLWALLEKKRKYQTPESPPGPSKLLPLPSIYKRQRSTRAKPTVVTRTYSPRARTTPRLDARILLGSSPSATIQKAAPLKQISPKMHA >ONI06424 pep chromosome:Prunus_persica_NCBIv2:G5:6427211:6428565:1 gene:PRUPE_5G059500 transcript:ONI06424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNSDFSCYISLACWIDTVILIVCTDLVRGAKNKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWD >ONI08465 pep chromosome:Prunus_persica_NCBIv2:G5:15100339:15103048:-1 gene:PRUPE_5G179400 transcript:ONI08465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVAELTSAEDLQDQLIKMTVRRDELYAWFREIREAINAVDLEKYKLRKEVIRLIEETTSPNIPLIVYNSTNNDNNIVNPYPSMPMVGDHTSRMANQGKASSMFVVVKYVEPPYSGAVFEIKLKRGGEDHRGGGAGREGVTHEPQLLEPIVKLFGRYAIQTARIFSRSQLFILLENDITHKTLHGYAINTKSLSVASFVPPGASKRAGVIVSAYGKLYHLAAPVHPEKLSFDSYDPATNLWTPLPNFPFYSTYPANMHIVGHAVCYGFILFSMCDCNERRFKVAAFHVSTSVWREVKIDTYAFVPFRGRAVVVGKTIYALSQYGTNILAFSFFLKMDEGDFACSLNAPLRLQGLEVRGGPFTCGAKQTEYLVHLGNNDFCHIRTAQNDEIDCRQYLCITTFQIVVGEEGSCIKTLDSTVFHVDVSHSGTFYITFSFAPECEDFEPEEEKESVETKCKVSYHPHITRN >ONI08464 pep chromosome:Prunus_persica_NCBIv2:G5:15099758:15103048:-1 gene:PRUPE_5G179400 transcript:ONI08464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVAELTSAEDLQDQLIKMTVRRDELYAWFREIREAINAVDLEKYKLRKEVIRLIEETTSPNIPLIVYNSTNNDNNIVNPYPSMPMVGDHTSRMANQGKASSMFVVVKYVEPPYSGAVFEIKLKRGGEDHRGGGAGREGVTHEPQLLEPIVKLFGRYAIQTARIFSRSQLFILLENDITHKTLHGYAINTKSLSVASFVPPGASKRAGVIVSAYGKLYHLAAPVHPEKLSFDSYDPATNLWTPLPNFPFYSTYPANMHIVGHAVCYGFILFSMCDCNERRFKVAAFHVSTSVWREVKIDTYAFVPFRGRAVVVGKTIYALSQYGTNILAFSFFLKMDEGDFACSLNAPLRLQGLEVRGGPFTCGAKQTEYLVHLGNNDFCHIRTAQNDEIDCRQYLCITTFQIVVGEEGSCIKTLDSTVFHVDVSHSGTFYITFSFAPECEDFEPEEEKESVETKCK >ONI08692 pep chromosome:Prunus_persica_NCBIv2:G5:15811685:15813624:1 gene:PRUPE_5G194400 transcript:ONI08692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVMVALMAVTIFGLLIDGHNCKIVQFIFGDSLSDVGNNKYLSKSLAQASLPWYGIDFGNGLPNGRFSNGRTVADIIGDNMGLSRPPAFLDPSLTEDKILENGVNYASGGGGILNETGGYFIQRFSLYKQIELFEGTQTLIKSKIGAQAAEKFFHEARYVVALGSNDFINNYLMPVYSDSWTYNDQTFIDYIMETLQTQLKLLHSLGARQLMVFGLGPMGCIPLQRVLSTSGDCQDRTNKLALSFNKAGSKLLEDLSSKLPNASYRFGDAYDVVNDVISNPDKYGFSNADTPCCSFGRIRPALTCIPASVLCKDRSKYVFWDEYHPSDGANELIARELIKKFGFSRVDETNGPSPAPAIAPSPEE >ONI06634 pep chromosome:Prunus_persica_NCBIv2:G5:8638192:8638808:-1 gene:PRUPE_5G071500 transcript:ONI06634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAGTDTSSNTIEFAMAEVMNKPEVMQKAQQELDAVVGKHNIVEESHNHKLPYLQAVMKETLRLHPVVPLLIPHSPTKTCTVGGYTIPKGSQVFVNVWAIHKDPCNWENPLEFDPNRFLDSKWDYSGRDFTYLPFRSGKRICIGIAMAERMVLPQGEEQDFSEKFGLALKKEVPLVAIPTPMLSDPALYE >ONI09563 pep chromosome:Prunus_persica_NCBIv2:G5:18350170:18354345:1 gene:PRUPE_5G245000 transcript:ONI09563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEAQVELAKKRCRDVIDRIEGLPASTKITASCRRTLLKLAHSELTFLCRCCSSTASSSSTPLNLSVNIGHLEAVVHLLQQPFITGVSRVCKPIPLSTLAPHPHGQKTDPCLKIHVDIVCTLHRNPVWIIVSDRNPKYISWSGSSCGSPYKRDKSKGLKLRIQQVTAAARSAVALKPSSVILFFSNRNGLSSIVCDKLKDEFGATEFQLDFPVLDFNFDLSKEAGEWTNVLVARTYQEACAFEIKVSDTRNTVLSSESDVKDSSLGEAADTEKDPSDSTEHTEFCRAFSNLISRMEFYSLYLKNGESAQVGSLLGQSELINFDTTALIALVSGISNGGTPKLLATPESELRQRFKGNYEFVIGQVMSEIQNPILVEFGRTISGKRVIICESVRSEFKELVLMCGGPNEKLRASQLLNCLTVVPDSPSERMMNLPTTRKLALKNKVVFGTGDYWCAPTVTANMAFVRAISQTGMSLFTIEHRPRALTGD >ONI09565 pep chromosome:Prunus_persica_NCBIv2:G5:18350205:18353891:1 gene:PRUPE_5G245000 transcript:ONI09565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEAQVELAKKRCRDVIDRIEGLPASTKITASCRRTLLKLAHSELTFLCRCCSSTASSSSTPLNLSVNIGHLEAVVHLLQQPFITGVSRVCKPIPLSTLAPHPHGQKTDPCLKIHVDIVCTLHRNPVWIIVSDRNPKYISWSGSSCGSPYKRDKSKGLKLRIQQVTAAARSAVALKPSSVILFFSNRNGLSSIVCDKLKDEFGATEFQLDFPVLDFNFDLSKEAGEWTNVLVARTYQEACAFEIKVSDTRNTVLSSESDVKDSSLGEAADTEKDPSDSTEHTEFCRAFSNLISRMEFYSLYLKNGESAQVGSLLGQSELINFDTTALIALVSGISNGGTPKLLATPESELRQRFKGNYEFVIGQVMSEIQNPILVEFGRTISGKRVIICESVRSEFKELVLMCGGPNEKLRASQLLNCLTTGIGLFLIVLLNA >ONI09566 pep chromosome:Prunus_persica_NCBIv2:G5:18350205:18354345:1 gene:PRUPE_5G245000 transcript:ONI09566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEAQVELAKKRCRDVIDRIEGLPASTKITASCRRTLLKLAHSELTFLCRCCSSTASSSSTPLNLSVNIGHLEAVVHLLQQPFITGVSRVCKPIPLSTLAPHPHGQKTDPCLKIHVDIVCTLHRNPVWIIVSDRNPKYISWSGSSCGSPYKRDKSKGLKLRIQQVTAAARSAVALKPSSVILFFSNRNGLSSIVCDKLKDEFGATEFQLDFPVLDFNFDLSKEAGEWTNVLVARTYQEACAFEIKVSDTRNTVLSSESDVKDSSLGEAADTEKDPSDSTEHTEFCRAFSNLISRMEFYSLYLKNGESAQVGSLLGQSELINFDTTALIALVSGISNGGTPKLLATPESELRQRFKGNYEFVIGQVMSEIQNPILVEFGRTISGKRVIICESVRSEFKELVLMCGGPNEKLRASQLLNCLTTGIGLFLIVLLNA >ONI09561 pep chromosome:Prunus_persica_NCBIv2:G5:18350128:18354345:1 gene:PRUPE_5G245000 transcript:ONI09561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEAQVELAKKRCRDVIDRIEGLPASTKITASCRRTLLKLAHSELTFLCRCCSSTASSSSTPLNLSVNIGHLEAVVHLLQQPFITGVSRVCKPIPLSTLAPHPHGQKTDPCLKIHVDIVCTLHRNPVWIIVSDRNPKYISWSGSSCGSPYKRDKSKGLKLRIQQVTAAARSAVALKPSSVILFFSNRNGLSSIVCDKLKDEFGATEFQLDFPVLDFNFDLSKEAGEWTNVLVARTYQEACAFEIKVSDTRNTVLSSESDVKDSSLGEAADTEKDPSDSTEHTEFCRAFSNLISRMEFYSLYLKNGESAQVGSLLGQSELINFDTTALIALVSGISNGGTPKLLATPESELRQRFKGNYEFVIGQVMSEIQNPILVEFGRTISGKRVIICESVRSEFKELVLMCGGPNEKLRASQLLNCLTVVPDSPSERMMNLPTTRKLALKNKVVFGTGDYWCAPTVTANMAFVRAISQTGMSLFTIEHRPRALTGD >ONI09564 pep chromosome:Prunus_persica_NCBIv2:G5:18350093:18353891:1 gene:PRUPE_5G245000 transcript:ONI09564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEAQVELAKKRCRDVIDRIEGLPASTKITASCRRTLLKLAHSELTFLCRCCSSTASSSSTPLNLSVNIGHLEAVVHLLQQPFITGVSRVCKPIPLSTLAPHPHGQKTDPCLKIHVDIVCTLHRNPVWIIVSDRNPKYISWSGSSCGSPYKRDKSKGLKLRIQQVTAAARSAVALKPSSVILFFSNRNGLSSIVCDKLKDEFGATEFQLDFPVLDFNFDLSKEAGEWTNVLVARTYQEACAFEIKVSDTRNTVLSSESDVKDSSLGEAADTEKDPSDSTEHTEFCRAFSNLISRMEFYSLYLKNGESAQVGSLLGQSELINFDTTALIALVSGISNGGTPKLLATPESELRQRFKGNYEFVIGQVMSEIQNPILVEFGRTISGKRVIICESVRSEFKELVLMCGGPNEKLRASQLLNCLTVVPDSPSERMMNLPTTRKLALKNKVVFGTGDYWCAPTVTANMAFVRAISQTGMSLFTIEHRPRALTGD >ONI09569 pep chromosome:Prunus_persica_NCBIv2:G5:18350199:18353891:1 gene:PRUPE_5G245000 transcript:ONI09569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEAQVELAKKRCRDVIDRIEGLPASTKITASCRRTLLKLAHSELTFLCRCCSSTASSSSTPLNLSVNIGHLEAVVHLLQQPFITGVSRVCKPIPLSTLAPHPHGQKTDPCLKIHVDIVCTLHRNPVWIIVSDRNPKYISWSGSSCGSPYKRDKSKGLKLRIQQVTAAARSAVALKPSSVILFFSNRNGLSSIVCDKLKDEFGATEFQLDFPVLDFNFDLSKEAGEWTNVLVARTYQEACAFEIKVSDTRNTVLSSESDVKDSSLGEAADTEKDPSDSTEHTEFCRAFSNLISRMEFYSLYLKNGESAQVGSLLGQSELINFDTTALIALVSGISNGGTPKLLATPESELRQRFKGNYEFVIGQGCS >ONI09567 pep chromosome:Prunus_persica_NCBIv2:G5:18350198:18354345:1 gene:PRUPE_5G245000 transcript:ONI09567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEAQVELAKKRCRDVIDRIEGLPASTKITASCRRTLLKLAHSELTFLCRCCSSTASSSSTPLNLSVNIGHLEAVVHLLQQPFITGVSRVCKPIPLSTLAPHPHGQKTDPCLKIHVDIVCTLHRNPVWIIVSDRNPKYISWSGSSCGSPYKRDKSKGLKLRIQQVTAAARSAVALKPSSVILFFSNRNGLSSIVCDKLKDEFGATEFQLDFPVLDFNFDLSKEAGEWTNVLVARTYQEACAFEIKVSDTRNTVLSSESDVKDSSLGEAADTEKDPSDSTEHTEFCRAFSNLISRMEFYSLYLKNGESAQVGSLLGQSELINFDTTALIALVSGISNGGTPKLLATPESELRQRFKGNYEFVIGQGCS >ONI09562 pep chromosome:Prunus_persica_NCBIv2:G5:18350050:18354345:1 gene:PRUPE_5G245000 transcript:ONI09562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEAQVELAKKRCRDVIDRIEGLPASTKITASCRRTLLKLAHSELTFLCRCCSSTASSSSTPLNLSVNIGHLEAVVHLLQQPFITGVSRVCKPIPLSTLAPHPHGQKTDPCLKIHVDIVCTLHRNPVWIIVSDRNPKYISWSGSSCGSPYKRDKSKGLKLRIQQVTAAARSAVALKPSSVILFFSNRNGLSSIVCDKLKDEFGATEFQLDFPVLDFNFDLSKEAGEWTNVLVARTYQEACAFEIKVSDTRNTVLSSESDVKDSSLGEAADTEKDPSDSTEHTEFCRAFSNLISRMEFYSLYLKNGESAQVGSLLGQSELINFDTTALIALVSGISNGGTPKLLATPESELRQRFKGNYEFVIGQVMSEIQNPILVEFGRTISGKRVIICESVRSEFKELVLMCGGPNEKLRASQLLNCLTVVPDSPSERMMNLPTTRKLALKNKVVFGTGDYWCAPTVTANMAFVRAISQTGMSLFTIEHRPRALTGD >ONI09568 pep chromosome:Prunus_persica_NCBIv2:G5:18350201:18354345:1 gene:PRUPE_5G245000 transcript:ONI09568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAEAQVELAKKRCRDVIDRIEGLPASTKITASCRRTLLKLAHSELTFLCRCCSSTASSSSTPLNLSVNIGHLEAVVHLLQQPFITGVSRVCKPIPLSTLAPHPHGQKTDPCLKIHVDIVCTLHRNPVWIIVSDRNPKYISWSGSSCGSPYKRDKSKGLKLRIQQVTAAARSAVALKPSSVILFFSNRNGLSSIVCDKLKDEFGATEFQLDFPVLDFNFDLSKEAGEWTNVLVARTYQEACAFEIKVSDTRNTVLSSESDVKDSSLGEAADTEKDPSDSTEHTEFCRAFSNLISRMEFYSLYLKNGESAQVGSLLGQSELINFDTTALIALVSGISNGGTPKLLATPESELRQRFKGNYEFVIGQGCS >ONI06003 pep chromosome:Prunus_persica_NCBIv2:G5:3792997:3796966:1 gene:PRUPE_5G033500 transcript:ONI06003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFKSKVEEEYNPFNMSMNKSRLSRLIRTELEDMQSNPSADFMCLSLESNLRDLQFAIRGPNGTEFEGGIYHGQIKIPEEYPHKPPIITLLSENGRFKTQTEIDYFSNFFGGPRNKLRHALLRLIELLPTYPDGALGSVEYDKEERRVLAIKSRLAAPRYGTDERQNLIN >ONI06935 pep chromosome:Prunus_persica_NCBIv2:G5:10049939:10050631:-1 gene:PRUPE_5G089900 transcript:ONI06935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSDSADQPRSSSSSDASVSTLRTSDVILASSSPKKRAGRKVFKETRHPVYRGVRRRDNNKWVCELRQPNKKKSGIWLGTYPTAEMAARAHDVAALAFKGKLACLNFADSGWRLPVAASMDSMDIQRAAAEAAEGFRPVEFGGVSSGSSDEKERMVVVEEKKKKQAIVDMGKSCSRLNLFYLDEEEMFDMPRLIDNMAEGLLLSPPQCLAGYLNWDDMETEADSKLWSFSI >ONI06430 pep chromosome:Prunus_persica_NCBIv2:G5:6462290:6466194:1 gene:PRUPE_5G059900 transcript:ONI06430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEFRDRKNKLQVYQSNWSSSVFSSDETDSSDPEYTRRPPRRQAKNYNLSIAQVAEAGPATTSAGNLELVRPKRGRPRKNTKPRRQTRARFSYSNPAGSSNQTKNLEDSRTILSWLIDLHIVEDNAAVFYNNEIMLKGTIKRAGILCTCCEQVFTVWNFEVHAKSELRRPYEYILVESNGNSLLQCLMDAWESHIESAPQFGFHRSRPRTAAADQNDDSCMICADGGDLMCCDKCPSAFHPSCMNMERVPEGEWGCSYCVCIHCGLVRGKFLTCSIFEKLEQMVGAKNDLDNGYSWRLLKREDINLRGHTENLHKKLECNSKIAVAGVLMHHCFETIIDRFTGTNVVQSVIHSQGSNLGRLNFRNFYTAILEKDDEIISAASIRIRGREMAEMPFVGTESKCRGQGFLRKFLVAIESALHFLNVENLIIPASIEIVGMWTQKFKFSHIASAMIRTIISSNTLMFPKAVRLQKSLLADDAEADNAAMDVNEVQNNDQNEVQQNRDERPPFIDINLDPTEEAIDA >ONI06436 pep chromosome:Prunus_persica_NCBIv2:G5:6485991:6489094:-1 gene:PRUPE_5G060200 transcript:ONI06436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANPFDLLGDDDNEDPSQLLAAQRQKLPPPQPKKPQQAQPAQPAKLPSKPLPPTQAVREGKYETGRGGGRGGGRGFGRGRGGGGGGFPRDPISNESANGVYGGYRAPEEGDTGKPSERRGGYGGPRGSFRGGRRSGFNNGDDAEGERPRRVFDRRSGTGHGLVFKRDGAGRGNWGTPTDDIAQETEEPGTEIEKNVGAEKQLGENEAADANKENTVNESEEKEPEEKEMTLEEYEKVLEEKRKALLALKTEERKVDLDKELKSMQQLSNKKENNDIFIKLGSEKDKRKEAAEKEERAKKSVSINEFLKPAEGERYYGGRGRGRGRGPRGGYSGGPGGYSGSAAPSIEDPGQFPTLGGK >ONI06435 pep chromosome:Prunus_persica_NCBIv2:G5:6485947:6489221:-1 gene:PRUPE_5G060200 transcript:ONI06435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANPFDLLGDDDNEDPSQLLAAQRQKLPPPQPKKPQQAQPAQPAKLPSKPLPPTQAVREGKYETGRGGGRGGGRGFGRGRGGGGGGFPRDPISNESANGVYGGYRAPEEGDTGKPSERRGGYGGPRGSFRGGRRSGFNNGDDAEGERPRRVFDRRSGTGHGSEFKRDGAGRGNWGTPTDDIAQETEEPGTEIEKNVGAEKQLGENEAADANKENTVNESEEKEPEEKEMTLEEYEKVLEEKRKALLALKTEERKVDLDKELKSMQQLSNKKENNDIFIKLGSEKDKRKEAAEKEERAKKSVSINEFLKPAEGERYYGGRGRGRGRGPRGGYSGGPGGYSGSAAPSIEDPGQFPTLGGK >ONI06434 pep chromosome:Prunus_persica_NCBIv2:G5:6485943:6489221:-1 gene:PRUPE_5G060200 transcript:ONI06434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANPFDLLGDDDNEDPSQLLAAQRQKLPPPQPKKPQQAQPAQPAKLPSKPLPPTQAVREGKYETGRGGGRGGGRGFGRGRGGGGGGFPRDPISNESANGVYGGYRAPEEGDTGKPSERRGGYGGPRGSFRGGRRSGFNNGDDAEGERPRRVFDRRSGTGHGEFKRDGAGRGNWGTPTDDIAQETEEPGTEIEKNVGAEKQLGENEAADANKENTVNESEEKEPEEKEMTLEEYEKVLEEKRKALLALKTEERKVDLDKELKSMQQLSNKKENNDIFIKLGSEKDKRKEAAEKEERAKKSVSINEFLKPAEGERYYGGRGRGRGRGPRGGYSGGPGGYSGSAAPSIEDPGQFPTLGGK >ONI08215 pep chromosome:Prunus_persica_NCBIv2:G5:14352341:14354337:1 gene:PRUPE_5G165000 transcript:ONI08215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTAAAASASSKLTYPYASQSSQPSPASSISIRPSSKLTHLSSSFLNPSTILHLTPTTTLPLHHHHHRSFTVKAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASIGGSASKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDEVPIISGSALLALEALMAKPTITRGENEWVDKIYELMDSVDSYIPIPQRQTELPFLLAIEDVFSITGRGTVATGRVERGTIKVGDTVDIVGLKDTRSTTVTGVEMFQKILDDAMAGDNVGLLLRGIQKIDIQRGMVLAKPGTITPHTKFEAIVYVLKKEEGGRHSPFFSGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKIVVELIVPVACEQGMRFAIREGGKTVGAGVIQSIIE >ONI08214 pep chromosome:Prunus_persica_NCBIv2:G5:14352333:14354454:1 gene:PRUPE_5G165000 transcript:ONI08214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTAAAASASSKLTYPYASQSSQPSPASSISIRPSSKLTHLSSSFLNPSTILHLTPTTTLPLHHHHHRSFTVKAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASIGGSASKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDEVPIISGSALLALEALMAKPTITRGENEWVDKIYELMDSVDSYIPIPQRQTELPFLLAIEDVFSITGRGTVATGRVERGTIKVGDTVDIVGLKDTRSTTVTGVEMFQKILDDAMAGDNVGLLLRGIQKIDIQRGMVLAKPGTITPHTKFEAIVYVLKKEEGGRHSPFFSGYRPQFYMRTTDVTGKVTSIMNDKDEESKMVMPGDRVKIVVELIVPVACEQGMRFAIREGGKTVGAGVIQSIIE >ONI05321 pep chromosome:Prunus_persica_NCBIv2:G5:276751:277767:1 gene:PRUPE_5G001400 transcript:ONI05321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRHIIIESSLRIMACYSVIHKDTKRHVQNDDAQTLSKDINRHVILEFLGPLFTMVLQKSTLILAQRPDIFKSIESPKLVQYYSTSSHKIS >ONI08307 pep chromosome:Prunus_persica_NCBIv2:G5:14613240:14614955:-1 gene:PRUPE_5G170800 transcript:ONI08307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLTKSISKEQLEMAVSKVKTIVNSNPVVVFSKTYCGYCKRVKQLLTQLGATYKVIELDEGTDGDETQAALAEWTGQRTVPNVFIGGKHIGGCDSVLEKHQAGHLLPLLSEAGAIASK >ONI09336 pep chromosome:Prunus_persica_NCBIv2:G5:17717103:17720074:-1 gene:PRUPE_5G233000 transcript:ONI09336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGWLKVGGYIFFRESCFHQSGDSKRKSNPTHYREPRFYTKVFKQCHMHDDSGNFFELSLVGCKCIGAYVRNKKNQNQICWLWQKVASQDDRGFQQFLDNVQYKSNGILRYERVFGQGFVSTGGIETTKEFVAKLDLKPSQKVLDVGCGIGGGDFYMASNYDVEVVGIDLSVNMISFALERAIGLKCAVEFEVADCTQKTYADDTFDVIYSRDTILHIQDKPALFRSFYRWLKPGGKVLISDYCRSAGTPSAEFAEYIKQRGYDLHNVQAYGQMLKDAGFDEVIAEDRTDQFKEVLQRELDAVEKDKDAFIQDFSEEDYDDIVGGWKAKLIRADSGEQKWGLFIAKKK >ONI09335 pep chromosome:Prunus_persica_NCBIv2:G5:17717103:17721902:-1 gene:PRUPE_5G233000 transcript:ONI09335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNGQEREVQKNYWVEHSADLTVEAMMLDSKASDLDKEERPEVLSMLPPYEGKSVLELGAGIGRFTGELAQKAGQLVALDFIDSVIKKNESTNGHHKNVKFMCADVTSPDLKISEESVDMIFSNWLLMYLSDKEVENLVERMMGWLKVGGYIFFRESCFHQSGDSKRKSNPTHYREPRFYTKVFKQCHMHDDSGNFFELSLVGCKCIGAYVRNKKNQNQICWLWQKVASQDDRGFQQFLDNVQYKSNGILRYERVFGQGFVSTGGIETTKEFVAKLDLKPSQKVLDVGCGIGGGDFYMASNYDVEVVGIDLSVNMISFALERAIGLKCAVEFEVADCTQKTYADDTFDVIYSRDTILHIQDKPALFRSFYRWLKPGGKVLISDYCRSAGTPSAEFAEYIKQRGYDLHNVQAYGQMLKDAGFDEVIAEDRTDQFKEVLQRELDAVEKDKDAFIQDFSEEDYDDIVGGWKAKLIRADSGEQKWGLFIAKKK >ONI06797 pep chromosome:Prunus_persica_NCBIv2:G5:9503077:9504007:1 gene:PRUPE_5G081700 transcript:ONI06797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPKHQEGNNSQSKNKPGKTLPKAKETNILSKEGGGNNPRMEGVVQAPEEELYTSSERDKKNRVWD >ONI07017 pep chromosome:Prunus_persica_NCBIv2:G5:10489927:10495067:-1 gene:PRUPE_5G095400 transcript:ONI07017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASILLERSRDFSKLLCRLVLTRPMGGGPRTFPGGVTKWKWKRMHEKRAKEKEKRLLEQEKQLYEARLRSQIRAKVAGKPDPFSNQGSETGHNPMNPNEHLKALADRFMKEGAEDLWNEKDGPIDDRPPPVGSDARTRSVTAPPLDLRKLISKGHDLAGNGGSVNLINLSGNQVRGRNYSVQSRGRFRRNDNSSDEDSDFDSEGESVQPFANENSKFGRNVRKLGSSASLGKYDVKIIKRRVPLNSLDEESDFAQQVESIRYELSKKNAAGNERGEDREQEETILSGKRFDECGISPLTVKALTSAGYIQMTRVQEAALSVCLEGKDALIKAKTGTGKTAAFVLPAIEAVVKAKTSNTNQRVSPVLVLILCPTRELASQIAAETNVLLKYHDGIGLQTLVGGTRFKEDQKRLESNPCQIIVATPGRLLDHIENKSGLSVRLMGLKMLILDEAGHLLDLGFRKDIEKIVDCLPRRRQSLLFTVTIPKEVRRISQLVLKKDHAFVDTVGLGCVETHDKVKQSCLVEPHELHFQIVHQLLMEHISQSPNYKVIVFCTTGMVTSLLYHVLREMKMNVKEMHSRKPQLYRTRISEEFKVSKRLILVTSDVSARGMNYPDVTLVIQVGIPSDRDQYIHRLGRTGREGKEGQGILLLAPWEEYFLDELKDLPVEKFPTLRLDPGTKLKIEDSLAKIDGSVKEAAFHAWLGYYNSIREIGRDKTTLVEQANQFCQSIGLQKPPSLFRKTALKMGLRDIPGIRIRK >ONI07019 pep chromosome:Prunus_persica_NCBIv2:G5:10490061:10495054:-1 gene:PRUPE_5G095400 transcript:ONI07019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASILLERSRDFSKLLCRLVLTRPMGGGPRTFPGGVTKWKWKRMHEKRAKEKEKRLLEQEKQLYEARLRSQIRAKVAGKPDPFSNQGSETGHNPMNPNEHLKALADRFMKEGAEDLWNEKDGPIDDRPPPVGSDARTRSVTAPPLDLRKLISKGHDLAGNGGSVNLINLSGNQVRGRNYSVQSRGRFRRNDNSSDEDSDFDSEGESVQPFANENSKFGRNVRKLGSSASLGKYDVKIIKRRVPLNSLDEESDFAQQVESIRYELSKKNAAGNERGEDREQEETILSGKRFDECGISPLTVKALTSAGYIQMTRVQEAALSVCLEGKDALIKAKTGTGKTAAFVLPAIEAVVKAKTSNTNQRVSPVLVLILCPTRELASQIAAETNVLLKYHDGIGLQTLVGGTRFKEDQKRLESNPCQIIVATPGRLLDHIENKSGLSVRLMGLKMLILDEAGHLLDLGFRKDIEKIVDCLPRRRQSLLFTVTIPKEVRRISQLVLKKDHAFVDTVGLGCVETHDKVKQSCLVEPHELHFQIVHQLLMEHISQSPNYKVIVFCTTGMVTSLLYHVLREMKMNVKEMHSRKPQLYRTRISEEFKVSKRLILVTSDVSARGMNYPDVTLVIQVGIPSDRDQYIHRLGRTGREGKEGQGILLLAPWEEYFLDELKDLPVEKFPTLRLDPGTKLKVSYL >ONI07018 pep chromosome:Prunus_persica_NCBIv2:G5:10490061:10495054:-1 gene:PRUPE_5G095400 transcript:ONI07018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASILLERSRDFSKLLCRLVLTRPMGGGPRTFPGGVTKWKWKRMHEKRAKEKEKRLLEQEKQLYEARLRSQIRAKVAGKPDPFSNQGSETGHNPMNPNEHLKALADRFMKEGAEDLWNEKDGPIDDRPPPVGSDARTRSVTAPPLDLRKLISKGHDLAGNGGSVNLINLSGNQVRGRNYSVQSRGRFRRNDNSSDEDSDFDSEGESVQPFANENSKFGRNVRKLGSSASLGKYDVKIIKRRVPLNSLDEESDFAQQVESIRYELSKKNAAGNERGEDREQEETILSGKRFDECGISPLTVKALTSAGYIQMTRVQEAALSVCLEGKDALIKAKTGTGKTAAFVLPAIEAVVKAKTSNTNQRVSPVLVLILCPTRELASQIAAETNVLLKYHDGIGLQTLVGGTRFKEDQKRLESNPCQIIVATPGRLLDHIENKSGLSVRLMGLKMLILDEAGHLLDLGFRKDIEKIVDCLPRRRQSLLFTVTIPKEVRRISQLVLKKDHAFVDTVGLGCVETHDKVKQSCLVEPHELHFQIVHQLLMEHISQSPNYKVIVFCTTGMVTSLLYHVLREMKMNVKEMHSRKPQLYRTRISEEFKVSKRLILVTSDVSARGMNYPDVTLVIQSDCCQVGIPSDRDQYIHRLGRTGREGKEGQGILLLAPWEEYFLDELKDLPVEKFPTLRLDPGTKLKIEDSLAKIDGSVKEAAFHAWLGYYNSIREIGRDKTTLVEQANQFCQSIGLQKPPSLFRKTALKMGLRDIPGIRIRK >ONI08699 pep chromosome:Prunus_persica_NCBIv2:G5:15839308:15840574:-1 gene:PRUPE_5G195100 transcript:ONI08699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTTQASAAVFRPCINSKSRFLTGSSSTKLNREVSFIRPMASPSATSFKVEAKKGEWLPGLASPGYLTGSLPGDNGFDPLGLAEDPENLRWYVQAELVNGRWAMLGVVGMLLPEVFTSIGILNVPKWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPPNEVGYPGGIFNPLNFAPTLEAKEKEIANGRLAMLAFLGFVVQHNVTGKGPFDNLLQHLSDPWHNTIVQTLRGY >ONI06036 pep chromosome:Prunus_persica_NCBIv2:G5:4075836:4077698:1 gene:PRUPE_5G036100 transcript:ONI06036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIIQVKNGETSTPKKKVTQLKAPYSGMVFDTMEEARNYYEEYGRQEGFWIRTRSSSKTRRRLDEVTSRQFVCAHEGKYVPKNTSQKASEENDEKNIMKCGCKASMRIKLDRWSNKWKVSSFQDSHNHKPVTPERRMKMKSNRVMPKAAKILTETFHEENLPIAKVPSIFGGPHIGFNNRDCYNHLRNVRHRQLDGGDAQSVLTYFRKKQAENPQFFYAIQCDENGRAANFFWVDARSRMAYNYFGDVVTFDTTYRTNKYDMPFAQFTGVNHHLQSIQFGCALLQDETEVTFLWLFETWLEAMGGRHPVSIITDQDLAMKGAIAKIFPNTRHRLCLWHIKKKFAEKLSHVYFKKSKFKIQMKKCIRSTYKIEEFEEKWKELMKECELANDDWLNSLYDIRSSWVPVYNRGIFFAGMNTTGRSEGINSFFDGFVTPTTNLREFVVKYEQALKRIMDRESDEDFESEHKYRIVNEGEFLLKHAAKFYTRNVFNKFKDEWSKVTLYKVEEIPCDDEYHAYLVKTKLGEHEEFVVKLNLQTYKGMCECQNFEFVGILCRHLLKVFVRLDIDTLPDHFILPRWRQEANKFRIMDFKSLVTNDGKEESDTTTK >ONI09323 pep chromosome:Prunus_persica_NCBIv2:G5:17674277:17677265:1 gene:PRUPE_5G232000 transcript:ONI09323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVLDSHFLALTAIVTVGYQLLFFIITALLKFDKITDFAGSTNFVILAILTLVVKGSWHFRQVVLSLLVVIWGLRLGLFLLMRILQWGEDRRFDEMRNNIGKLAIFWIFQAVWVWTVSLPVTVVNASNRTPSLQAQDIIGWIIWFVGFAVEATADQQKLTFKSSPQNRGKWCNAGLWKYTRHPNYFGEIFLWWGVFVASTPVLKGAEWLVILGPIFLTLLLLFISGIPLLEESADKKFGNVGEYRLYKRTTSPLIPLPPVIYKNLPLWFKATFLFEFPLYGRNLPREEPNWCRTSRVEGSDGLKIG >ONI09324 pep chromosome:Prunus_persica_NCBIv2:G5:17674280:17677260:1 gene:PRUPE_5G232000 transcript:ONI09324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVLDSHFLALTAIVTVGYQLLFFIITALLKFDKITDFAGSTNFVILAILTLVVKGSWHFRQVVLSLLVVIWGLRLGLFLLMRILQWGEDRRFDEMRNNIGKLAIFWIFQAVWVWTVSLPVTVVNASNRTPSLQAQDIIGWIIWFVGFAVEATADQQKLTFKSSPQNRGKWCNAGLWKYTRHPNYFGEIFLWWGVFVASTPVLKGAEWLVILGPIFLTLLLLFISGIPLLEESADKKFGNVGEYRLYKRTTSPLIPLPPVIYKNLPLWFKATFLFEFPLYGRNLPREEPN >ONI09325 pep chromosome:Prunus_persica_NCBIv2:G5:17674510:17676633:1 gene:PRUPE_5G232000 transcript:ONI09325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSFSFWISFPLSKVFKFSVFLVFFFKVGYQLLFFIITALLKFDKITDFAGSTNFVILAILTLVVKGSWHFRQVVLSLLVVIWGLRLGLFLLMRILQWGEDRRFDEMRNNIGKLAIFWIFQAVWVWTVSLPVTVVNASNRTPSLQAQDIIGWIIWFVGFAVEATADQQKLTFKSSPQNRGKWCNAGLWKYTRHPNYFGEIFLWWGVFVASTPVLKGAEWLVILGPIFLTLLLLFISGIPLLEESADKKFGNVGEYRLYKRTTSPLIPLPPVIYKNLPLWFKATFLFEFPLYGRNLPREEPNWCRTSRVEGSDGLKIG >ONI08532 pep chromosome:Prunus_persica_NCBIv2:G5:15291322:15297192:-1 gene:PRUPE_5G183900 transcript:ONI08532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLESSLPPIPAARSSPEPQQQQPPQNDNATSTANPTPPLSRSNRPSRACTLRAAARLQQYQQQQNQPAEKRRAVAKKEQQQQGDDESSSPQQCSASKIITPLVGPPPPSQLPRWTLRSMWELASVLNFLHVFRPLLNISAEFSAEELETALITPNDTLSDIHIPLLKAIPPITRMALTRDTWVTVLCRKLRDWWHWVADGDLPIVASHGAEVEVYKTLDPGVRVVILKALCDIRVEQEDIRNYIDNSLKHGVQLSTFRKERVGGDSQGISYWYEDDPIIGYRLYREIRKVEVKKAKAKGSQVLPSATYEWETVATNFDEFQDVSEKLFSSKNRTEASLGKKLKGDMLAEIEKVHKRKERLLKKQHRQALLLDNFLTVDGLGPGRSLRDRKPVTYTFDDYDRSINEAIKITKRKQPSPEPLQKREGVVKPEASSNGKWNATPNSYEHVGFSAPSPKSPDYDYDVEEDNKSEQLDRGNRRRQRPQRYSAKEFVEAVSDNEADFDSDDDIVGEAIYDEEYLKKRKERRKFSSSSEGDEEYHFEEENAEEEEEEEEEDSVSASEDSDEPRKVKKLPGRTRRETKLRSVDELQSGLRRSKRATRNRIDYRQYEFSESEPEQSMKPEKSNASDGHYDAGENGDYSMESQDSDGNDEDQEMKVDQAVENYPETTVEKEQIQPPGKSNSPGEDEVEGVQKRRFLDLNELAPGSGFDDGPNTMMKDDTDDL >ONI08531 pep chromosome:Prunus_persica_NCBIv2:G5:15290680:15297383:-1 gene:PRUPE_5G183900 transcript:ONI08531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLESSLPPIPAARSSPEPQQQQPPQNDNATSTANPTPPLSRSNRPSRACTLRAAARLQQYQQQQNQPAEKRRAVAKKEQQQQGDDESSSPQQCSASKIITPLVGPPPPSQLPRWTLRSMWELASVLNFLHVFRPLLNISAEFSAEELETALITPNDTLSDIHIPLLKAIPPITRMALTRDTWVTVLCRKLRDWWHWVADGDLPIVASHGAEVEVYKTLDPGVRVVILKALCDIRVEQEDIRNYIDNSLKHGVQLSTFRKERVGGDSQGISYWYEDDPIIGYRLYREIRKVEVKKAKAKGSQVLPSATYEWETVATNFDEFQDVSEKLFSSKNRTEASLGKKLKGDMLAEIEKVHKRKERLLKKQHRQALLLDNFLTVDGLGPGRSLRDRKPVTYTFDDYDRSINEAIKITKKQPSPEPLQKREGVVKPEASSNGKWNATPNSYEHVGFSAPSPKSPDYDYDVEEDNKSEQLDRGNRRRQRPQRYSAKEFVEAVSDNEADFDSDDDIVGEAIYDEEYLKKRKERRKFSSSSEGDEEYHFEEENAEEEEEEEEEDSVSASEDSDEPRKVKKLPGRTRRETKLRSVDELQSGLRRSKRATRNRIDYRQYEFSESEPEQSMKPEKSNASDGHYDAGENGDYSMESQDSDGNDEDQEMKVDQAVENYPETTVEKEQIQPPGKSNSPGEDEVEGVQKRRFLDLNELAPGSGFDDGPNTMMKDDTDDL >ONI08228 pep chromosome:Prunus_persica_NCBIv2:G5:14385260:14387371:-1 gene:PRUPE_5G165900 transcript:ONI08228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTVIKVRYFGTSKVKLGDKLRYFEARVDKNGELDLNMAGLREKICGLFHLPPGADITLTYIDEDRDVVTLVDDDDLRDAMRQHLKSFRIDVLMNDDKDVGKYSSSKRSKSQGKDAANVTSDMEMVVQPYPDYFDDLDLLADSGETNKATSTSSASPPNHNEDMSRSNAPPHYNHPFIPTVACLESEAVVGGMMCHTGFRCSICGCNPILGSRFKSIVIEDYSLCRICVTSRGNVTDYIRIDLPVSPKGDV >ONI08810 pep chromosome:Prunus_persica_NCBIv2:G5:16232993:16242723:1 gene:PRUPE_5G201700 transcript:ONI08810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESNLKTWVSDKLMTFLGYSQPTVVQYIIGLTKQAKSPADVVGKLVEFGLSSSAETSAFAEDIFARVPRKESGLNLYQKQEREAAMLVKKQKTYSLLDADDQDDDDGDRSSVQVVSESRKADSHKKRFRKKVLSQEDEDDEVIAQEKEERRVKRRISPDDNDGSESEEERLRDQREREQLEQNIRERDTAATRKLTERKLTRKEEEEAIRRSNALERNDLEDLRKVSRQEYLKKREQKKLEEIRDDIEDEQYLFDGVKLTEVEYRELSYKKQIYELVKKRSDEVEDTTEYRMPDAYDEEGGVNQEKRFSVAVQRYRDLSAGDKMNPFAEQEAWEDHQIGKATLKFGSKNKKQISDEYQFVFEDQIDFIKASVMDGDEFDDDGQPSELLESKAKTALEKLQDDRKTLPIYTYRDQLLEAVENHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKIGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTVLKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHYTKAPEADYLDAAIVTALQIHVTQPPGDILVFLTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEATPDGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASAMQRAGRSGRTGPGKCFRLYTAYNYYNDLDDNTVPEVQRTNLANVVLTLKSLGIHDLLHFDFMDPPPSEALLKALELLFALSALNKVGELTKVGRRMAEFPLDPMLSKMIVASDKYKCSDEVISIAAMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLKVYNSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIELVSNLSDYETIKKAITSGFFPHSAKLQKNGSYRTVKHPQTVHIHPSSGLSQVLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVEDLMSKKMPRGEGRAQQD >ONI08811 pep chromosome:Prunus_persica_NCBIv2:G5:16233395:16242707:1 gene:PRUPE_5G201700 transcript:ONI08811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESNLKTWVSDKLMTFLGYSQPTVVQYIIGLTKQAKSPADVVGKLVEFGLSSSAETSAFAEDIFARVPRKESGLNLYQKQEREAAMLVKKQKTYSLLDADDQDDDDGDRSSVQVVSESRKADSHKKRFRKKVLSQEDEDDEVIAQEKEERRVKRRISPDDNDGSESEEERLRDQREREQLEQNIRERDTAATRKLTERKLTRKEEEEAIRRSNALERNDLEDLRKVSRQEYLKKREQKKLEEIRDDIEDEQYLFDGVKLTEVEYRELSYKKQIYELVKKRSDEVEDTTEYRMPDAYDEEGGVNQEKRFSVAVQRYRDLSAGDKMNPFAEQEAWEDHQIGKATLKFGSKNKKQISDEYQFVFEDQIDFIKASVMDGDEFDDDGQPSELLESKAKTALEKLQDDRKTLPIYTYRDQLLEAVENHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKIGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTVLKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHYTKAPEADYLDAAIVTALQIHVTQPPGDILVFLTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEATPDGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASAMQRAGRSGRTGPGKCFRLYTAYNYYNDLDDNTVPEVQRTNLANVVLTLKSLGIHDLLHFDFMDPPPSEALLKALELLFALSALNKVGELTKVGRRMAEFPLDPMLSKMIVASDKYKCSDEVISIAAMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLKVYNSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIELVSNLSDYETIKKAITSGFFPHSAKLQKNGSYRTVKHPQTVHIHPSSGLSQVLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVEDLMSKKMPRGEGRAQQD >ONI05699 pep chromosome:Prunus_persica_NCBIv2:G5:2186784:2188842:-1 gene:PRUPE_5G019900 transcript:ONI05699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPQKSSSWSQNLHTAARSGDLTAVQSILSSNPLAVNSRDKHSRTPLHLAAWSGQTEVVNFLCSHKADVGAAAMDDMGAIHFAAQKGHLEVVRTLLLSGASVKAYTRKGLTPLHYAVQGAHVELIKYLVKKGANLSAKTKAGKTPLDLASSDEVRSCLKECERSSEKGGLNEKQKDEESDPKTSLQEEVKSEGEAANSGNDKPAEDESLKRKAEKDDSEEASGERKKPRVVLNHLLSADDTQDEE >ONI05819 pep chromosome:Prunus_persica_NCBIv2:G5:2876100:2879760:1 gene:PRUPE_5G025600 transcript:ONI05819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSVNFLLEKLATLFEKDVHLFGGVREEAVYLRGELERMKAFLRIADTLQESDEELKVWVKQLRDISHETEDILDEYTLLQGHDHDHGRGIFGSLYRLGCCIKNAKACYRIGSELQAINSRIKEICEVHKRLRHKFRKAEQDPGSDDSAGNTWQDCRGDALLLDKSDLVGLDEPKNQLVGWLFNGSSGREVVSLAGMGGMGKTTLAKQVYDDPEVKKHFEVRAWITVNRSFKFGDLLKDMVQQLFKAIRRRIPQIVANMNDYQLKTTIKELLQNRRYLVVLDDVWHLYEWDAIKYALPSNGCGSRIMLTTRNADVASTTGVLCEGKAYNLKPLPPPESWDLFCRKAFQWNKCPSHLEEICKYILRKCEGLPLAIVAISGVLATKDKRRIDEWDMVRRSLGAEIEGNDKLKDLKKVLSLSFNDLPYYLKSCFLYLSIFPEDHLIERMRLIRLWVAEGFIEAKEGKTLEEVADDYLHELLNRSLMQVATTTPDGRVKTCRIHDLLREIIIPKSRDQNFTTIVKDQSLQWFERARRLSIHSTLQSVQPNRSVSQLRSLFMFGASENPSISKLFPSGLRLLNVLDLQNSPLEKFPVEVVDLYCLKYLSLRETKVKTVPRSIGRLQSLETLDLKHSNVNQLPVEILKLQRLRHLLVYQHEFVSYEHFHSKKGFKVMSNIGVLQALQKLCFIEVNQDGGTIIRELGKLNQLRRLGLLKLRKEDGKALCSSIEKLTNLRALSIASVEEDEIIDLQHLPSPPLLLQRLYMRGRLDALPHWIPSLPSLVRLSLKWSQLKDDPLIYLRYIPNLVQLELCQVFLGDRLCFRADGFRKLKILSMDKFDELRCIEVEMGAMASLEKLSIQRCKLLENVPSGIEHLTKLKVLEFSDMPVDLMKTIRTDGKDNWKVSHIPEVYSTYWREGGWEVYSIESMTDGEYCPLPSNPFSHELQTRWKSWK >ONI06472 pep chromosome:Prunus_persica_NCBIv2:G5:6813699:6815775:1 gene:PRUPE_5G062700 transcript:ONI06472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLIAARWRVLSGEDEWEGLLDPLDINLRRYIIHYGERAGAIGYGFIDKPTSISKNIGLPRYAKRNLFSKVGLETGNPYKYEVKKYLYAATRFAPGKSSLLGFVAVSTDEGSKVLGRRDILISWRGTMLDQELEVDATILFFSASDILGKEHNPQVHLGWHGYYTNLDSESAHNKTASCRDQVLAAVRELVDEYKEEEISITVTGHSMGSAIAVLNATDIVYNGYNKPTNSNNVSLVTAIVFACPNVGDQGFKKVFSSLENLRVLRITNEWDPVPKLPILPYVPVGKELVIDTLKSPYLKNAIDSVHQLEVYLHGVAGTQGRNNDFKLEINRDLALVNRILDGLDDKYHIIPKWWIERNNSMVQMEDGSWELMDHEKDDDDDDDGA >ONI07071 pep chromosome:Prunus_persica_NCBIv2:G5:10692300:10694477:1 gene:PRUPE_5G098500 transcript:ONI07071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQPTDNPKTIYDFTVKDAKGNYVDLSVYKGKVLLIVNVASKCGLTNSNYTELNQLYQQYKDQGLEVVAFPCNQFGDEEPGSNEEIEEFVCTRFKSEFPIFDKIEVNGDNAAPLYKFLKLGKWGFFGDDIQWNFTKFLVDRDGKVSDRYYPTTSPLSLERDIKKLLGVS >ONI06968 pep chromosome:Prunus_persica_NCBIv2:G5:10281636:10286996:1 gene:PRUPE_5G092500 transcript:ONI06968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHDSPESLYRVLFDRCQSLEASYARLRAELHELRHHGRKKEEKREEDDAVLTSDYSGSSFHLPGFFLSGSPYKGVLDSMGHAVLVCTAFSGEINYWNRSAENLYGWKDYEVLGQRVAELLIAEDYHALLKRIMERLRLGLTWSGQFPFKKRSGEIFMAMVTKSPLYEDGELVGIITVSTDAALCNRIESDLSAFKDRANAKHRGWQLKYPRPPVVPVPQIASSVSNLASKLLSRNGDDVCNSSVMDEVREDSTTATEDANLEKPGSLTVKFLAKLQIIGTNKEGKQEDPNSPRKSKASSSCHFFVDTDGKNSVLASSRECNECFRLSRPGYPKPEEHNLNALEIQDAVHEQNGKQLPSSVESIGNHDSCSSKGVNDSNPREDCEIRWDDLHLGEAIGHGSCAIVYRGIWNGSDVAIKMYFKNEYSEGILHDYKKEIDIMKRLRHPNVLLFMGAICSQERLAIVSEYLPRGSLFKQLHKNNQTLDIRRRLMMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSKLKNATFLTAKSGRGTPQWMAPEVLRNEPSNEKSDVFGFGVILWELMTESIPWNNLNSLQVVGVVGFMDRRLDIPEGLDPQVVLVIEDCWRSDPEQRPSFEDIIQRMKGLVHKVAAPSKRELMSFGKPSVWELSLVPAIYLLFGAPHM >ONI06970 pep chromosome:Prunus_persica_NCBIv2:G5:10281655:10286944:1 gene:PRUPE_5G092500 transcript:ONI06970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHDSPESLYRVLFDRCQSLEASYARLRAELHELRHHGRKKEEKREEDDAVLTSDYSGSSFHLPGFFLSGSPYKGVLDSMGHAVLVCTAFSGEINYWNRSAENLYGWKDYEVLGQRVAELLIAEDYHALLKRIMERLRLGLTWSGQFPFKKRSGEIFMAMVTKSPLYEDGELVGIITVSTDAALCNRIESDLSAFKDRANAKHRGWQLKYPRPPVVPVPQIASSVSNLASKLLSRNGDDVCNSSVMDEVREDSTTATEDANLEKPGSLTVKFLAKLQIIGTNKEGKQEDPNSPRKSKASSSCHFFVDTDGKNSVLASSRECNECFRLSRPGYPKPEEHNLNALEIQDAVHEQNGKQLPSSVESIGNHDSCSSKGVNDSNPREDCEIRWDDLHLGEAIGHGSCAIVYRGIWNGSDVAIKMYFKNEYSEGILHDYKKEIDIMKRLRHPNVLLFMGAICSQERLAIVSEYLPRGSLFKQLHKNNQTLDIRRRLMMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSKLKNATFLTAKSGRGTPQWMAPEVLRNEPSNEKSDVFGFGVILWELMTESIPWNNLNSLQVVGVVGFMDRRLDIPEGLDPQVVLVIEDCWRSDPEQRPSFEDIIQRMKGLVHKVAAPSVRRSSEA >ONI06969 pep chromosome:Prunus_persica_NCBIv2:G5:10281655:10286897:1 gene:PRUPE_5G092500 transcript:ONI06969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHDSPESLYRVLFDRCQSLEASYARLRAELHELRHHGRKKEEKREEDDAVLTSDYSGSSFHLPGFFLSGSPYKGVLDSMGHAVLVCTAFSGEINYWNRSAENLYGWKDYEVLGQRVAELLIAEDYHALLKRIMERLRLGLTWSGQFPFKKRSGEIFMAMVTKSPLYEDGELVGIITVSTDAALCNRIESDLSAFKDRANAKHRGWQLKYPRPPVVPVPQIASSVSNLASKLLSRNGDDVCNSSVMDEVREDSTTATEDANLEKPGSLTVKFLAKLQIIGTNKEGKQEDPNSPRKSKASSSCHFFVDTDGKEERPNKINSSLEDKRTHSNAFWQKNPIPSGKNSVLASSRECNECFRLSRPGYPKPEEHNLNALEIQDAVHEQNGKQLPSSVESIGNHDSCSSKGVNDSNPREDCEIRWDDLHLGEAIGHGSCAIVYRGIWNGSDVAIKMYFKNEYSEGILHDYKKEIDIMKRLRHPNVLLFMGAICSQERLAIVSEYLPRGSLFKQLHKNNQTLDIRRRLMMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSKLKNATFLTAKSGRGTPQWMAPEVLRNEPSNEKSDVFGFGVILWELMTESIPWNNLNSLQVVGVVGFMDRRLDIPEGLDPQVVLVIEDCWRSDPEQRPSFEDIIQRMKGLVHKVAAPSVRRSSEA >ONI08230 pep chromosome:Prunus_persica_NCBIv2:G5:14393912:14396532:1 gene:PRUPE_5G166100 transcript:ONI08230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFPGFMKQYPWSTRTIPSSFLLPTQWPQPHSEELLLAMEESDFEEKCNEIRKSSSSLIVIGKTTVDNDKDDYEHDPDDDDIDNAEDSEGEEFEQETG >ONI07491 pep chromosome:Prunus_persica_NCBIv2:G5:12202422:12207274:1 gene:PRUPE_5G123400 transcript:ONI07491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANREGGDLYPELWKACAGPLVEVPRSNERVFYFPQGHMEQLEASSPTNKELNQEIPQFNLPSKILCRVLNINLLAEQETDEVYAQITLLPDTNQAEPTSPDPSLPEPQRSTVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMTQATPTQELVARDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLSAGDSFVFLRGGNGELRVGVRRLARQQSSMPSSVISSQSMHVGVLATATHAVATQTLFVVYYKPRTSQFIIGVNKYLEAVNNKFSVGMRFKMRFEGEDAPERRFSGTIIGLEDISSHWSHSKWRSLKVHWDESASVPRPDRVSPWEIEPFVASIPASVPQPSAVKNKRPRPAAEIPALDATMSPPSVATEGKRSENHALWHHQQADVISNNNSISRTQTDGGWLSQTGGSKLMFQDAMDDTKIFSGCPVFSGYSTPNSSKLKNDSTCDHVENGKKTETATSCRIFGIEFINHSASSPSMEKTPLQPINASTGITEGRVSNSLAAESDQKSDVSKASKENKPGQLQVSSKETQTKQSCSTSTRSRTKVQMQGMAVGRAVDLTILEGYDQLIDELEEMFDIKGQIHSGNMWQIVFTDNEGDMMLMGDDPWAEFCDMVKRIFICSSQDVKKISAGCKLPLSSLEVEGTVTSSD >ONI09133 pep chromosome:Prunus_persica_NCBIv2:G5:17171715:17173667:-1 gene:PRUPE_5G220300 transcript:ONI09133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLWVKKKFAPLKTHKGSNRWLLPRTSSASATTLEVPLFCYMLMRINIDCNGCYRKVRRALLDMRELETHLIEKKECRVSVFGRFIPQDVAIKIRKKTNRRVEILDIQELISNNSNTNDEENQDQQQTPLITSWNNPISYPNQS >ONI07855 pep chromosome:Prunus_persica_NCBIv2:G5:13234244:13236704:1 gene:PRUPE_5G143000 transcript:ONI07855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVRQGVLVSFGRQMHTYDGPSESLKTKIAQLGKMRKRKSSKKDKQTVFVEVPEPMTYLDTATMPQILTAVGIALFAKLLMMYDDSRSQELIERKIKNAPAEQGTVRMLTREEWEEIREVRPRTPFESKLARPNARIRTGEPVRMEDLKDWTIDVLTDAFARVEESVRHGSN >ONI05784 pep chromosome:Prunus_persica_NCBIv2:G5:2681142:2681904:1 gene:PRUPE_5G024000 transcript:ONI05784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVSWSYPNGGCWPTLLWLLTAACIKTGRPQTAKRAIEQVKQRLSKDGWPEYYDGKAGRYIGKQARKYQTWSISGYLVAKLMIENPASLSLISLEGDKKIAKPRLTRSTSF >ONI08673 pep chromosome:Prunus_persica_NCBIv2:G5:15751026:15753401:1 gene:PRUPE_5G193000 transcript:ONI08673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAEQLCVSLLSKCKTLKTVKQVHAFACKTGLDAHPLVSGKLLLHCAVTISGALEYARRLLLHFRNPDAFMYNTLIRGFAESDTPDNAFDVFVEMRRKLIDPLDSFSFAFILKAAANCRSLRDGMQLHCQALTHGLDTHLFVGTTIISMYAECGIVSFARKVFEEMSDPNVVAWNAILTACFRCGDVEGAETMFDRMPLRNLTSWNVLLAGYVKADELELAKKAFLRMPMKDDVSWSTMIVGYAQSGCFDEAFGFFRELQREGIRPNEVSLTGVLSACAQAGAFEFGKILHGLVEKAGFLWMISVNNALLDAYSKSGNVDMARLVFKRMPEKKSIISWTSMIAGFAMHGYGKEATQVFHDMEASGIRPDGITFISVLYACSHAGLIDEGCEYFSKMRYLYGIEPAIEHYGCMVDLYGRAGKLQKAYDFVSQLPMSPNAVVWRTLLGACSIHGNVELAEQVKEVLSKLEPENSSDHVLLSNVYAVAGKWNDVAAVRRSMADQRIKKTPGWSVIEVDKVIYSFTAGEKSNKITEEAYEKLREIMLKIRVDGGYVPEVGSVLHDIEEEDKEDSVFRHSEKLAVAFGLARLRVGMNIRIVKNLRVCRDCHTVMKLISKVYRLEIVVRDRSRFHSFKDGSCSCKDYW >ONI08906 pep chromosome:Prunus_persica_NCBIv2:G5:16559158:16561258:1 gene:PRUPE_5G207600 transcript:ONI08906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLQPLQLRRLLLRYLSTSSSSPSSSPTIQTHILFHSRKPTHFHYAQPAITTTASSHFLSLLHFSVSKPFSSSTLPAQDSDQSQLAQSLSSELLIDPSSDPLSVTQRLQLSFSHITPTPSLVQSVLNLSPDAGRAVIGFNEWLISNPTFEHTDETLSYFVDYFGRRKDFKATHDVIVSAGGVAGPKTFASSIDRLVRAGRPAQAVSFFERMEKDYGLKRDKTSLRLVVEKLCENGFASNAEKMVKSLANEFFPDEYICDLLIKGWCIDGKLEEARRLAGEMYRGGFEIGTTAYNAILDCVSKLCRKKDPFRLHSEAEQILVDMDTHGVPRNVETFNVLISNLCKLRKTEDALNLFHRMGEWGCYPNETTFLVLIRSLYQGARIGEGDEMIDRMKSAGFGGALDKKAYYGFMKILCGIERIDHAMRVFKKMKEDGCEPGIKSYDLLMGKLYAHNRADRATALFNEAQKRGVPLTQKAYQADPRFLKKKPKAAKKEKKRETFPEKMARKKRRLKQIRLSFVKKPKKMMRRAY >ONI07421 pep chromosome:Prunus_persica_NCBIv2:G5:11984035:11985946:-1 gene:PRUPE_5G119300 transcript:ONI07421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSYRMATLEIFTFFFFFPLVLASATTCGGSTCTADGPLVRFPFLLRDHQASSCGYPAFNLSCNNKNQTVLTLPSSSGDFIVQSIDYIDQTLTINDPDNCLLRRFLHHDINLKNSLFSHANAPENYTFVGCSSQKAFWPWNSAPVIPCLTKYHYKVIYVVQTSSLLHPDWIPPVPKILPAGCSKISTALVPFLFDSEYLGVQLTWYVPNCGSCEATGRVCGWENGTTTSQIRCFGSSGFSSLPRAARYGIVIAVGIPGLLCIIGLAVYVCNKTRVPGQVHQPTITQVLSIPDRQPSTVRIGLDDPTIESYPEIQLGESWELPKLNDNTCPICLSEYQPKETLRTIPECGHYFHANCIDEWLRMNATCPVCRNPQKR >ONI08278 pep chromosome:Prunus_persica_NCBIv2:G5:14525217:14527129:-1 gene:PRUPE_5G169100 transcript:ONI08278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPNNKKRRCGNMAAGVAFKYYSCSRYISSPEWASLPLLPVFLVLDNLFEPIDHVSFAAVCKQWRSLAKDYNQATQRWRHNNLLPMLLIPNEYECQGDGGKNSRLLTKTNHRKALYSIAEGKIYNNIGLEVPFKKRSCGSSHGWFATVESVTDQGPIIALRDPFRNPASPILLPLLDVIPKKRDKYFHEFNVRKVIFSADPALNPDNYVVVALLRKANHFVGIYDEFAFIHMKRGLSQKRWTWIKPPLPVTDVISYQTQVHLLGHQGEIWSLDVSPYTSRIRRLKLLTHRDAGFHRYKATRYLVESTKGDLMHIERVCKQTQGAYKNVMTESFRVYKVVFDDEDGSVLQHVEVKSIGDDAFFIGDNHSVSVLASNFHGCQSNSIYYTHDFSSSSFVYGDHIQYDTLYDDMPCRDMGIFNLESGTITQHFSLDPYSDLQGYIPPAVWVVPQFNGLR >ONI07187 pep chromosome:Prunus_persica_NCBIv2:G5:11156611:11157286:-1 gene:PRUPE_5G105000 transcript:ONI07187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLAALSPAKIKLFKQAYPMKGSWGTVATSTPKRQGNNIDPGEGGLVAKQKTEPIVAFSRPPPLPPVFGPLVLLSLLETWWNRDNNDD >ONI07188 pep chromosome:Prunus_persica_NCBIv2:G5:11156591:11157289:-1 gene:PRUPE_5G105000 transcript:ONI07188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLAALSPAKIKLFKQAYPMKGSWGTVATSTPKRQGNNIDPGEGGLVAKQKTEPIVAFSRPPPLPPVFGPLVLLSLLETWWNRDNNDD >ONI07908 pep chromosome:Prunus_persica_NCBIv2:G5:13464790:13465889:1 gene:PRUPE_5G146700 transcript:ONI07908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDLVDIKFRLYDGSDIGPFSYSSASTVDMLKQRVVSDWPKGKTMTPKTANEVKLMSFGKILENNKTVGQCKLPFGDVGGGVIIMHVVVQPAIEKAKTGDISSMVFTPAIHCY >ONI07907 pep chromosome:Prunus_persica_NCBIv2:G5:13464285:13467017:1 gene:PRUPE_5G146700 transcript:ONI07907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDLVDIKFRLYDGSDIGPFSYSSASTVDMLKQRVVSDWPKGKTMTPKTANEVKLMSFGKILENNKTVGQCKLPFGDVGGGVIIMHVVVQPAIEKAKTEKKVDDLPRKVVCSCSIL >ONI08226 pep chromosome:Prunus_persica_NCBIv2:G5:14380715:14384383:-1 gene:PRUPE_5G165800 transcript:ONI08226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMVIKVKYGDTLRRFNARVDENDQLDLDMGGLRAKIFSLFNFHPDADIAMTYIDEDGDIVTLVDDDDLRDAMRQQLKFLRIDVHMNNDKAGKSYAKSSGSSTPLRSPKGTSPILNFKTGAAEVLKSLPEPLREFVSKLHLELASQAESSSPVLADLVNRFSNMGISYLIPDSQVPVGGDSATQNGFSKIPTAPSAAANLNDVKDDGKSGPILKSAFEESSSNKSQAMGAVNKNFEKSLGCGASTSSAIPESVINDMSSNHFNECPFTGTAIANQSANPAVCRRIPFKRNHSEAMGGMFHTGVRCDGCGCHPIIGPRFKSVVKEDYDLCRICYSSMGNSSDYIRIDHPVSYRHPRPFKGLYEQPPWVGPPVLPKILRGCSMKSGRPKLDSRFVLDVNVMDGTLIAPSTPFTKIWRMRNTGGLIWPQGTQLMWIGGDRFSKSDSVEIEIPSHGVSADYELDVAVDFTAPESPGRYISYWRMASPSGQKFGQRVWVLIQVDASLKDSFFGSFQGLNLNLPPEICGSKEPEKIDVNLKPASGNDFIEPSGSSSVKEPVKHMPHQQPENDQELHFPINDNLLVGHSGSAPTEPQNSTVSYPTVDIFESAPPSPKSAPVVNAPTSSKGTSSNTGMEDTLLKELEEMGFKQVNLNKEILRRNEYNLEQSVDDLCDVADWDPILEELQEMGFCDAEMNKKLLVKNNGSIKRVVMDLINGEKL >ONI08227 pep chromosome:Prunus_persica_NCBIv2:G5:14380715:14384383:-1 gene:PRUPE_5G165800 transcript:ONI08227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMVIKVKYGDTLRRFNARVDENDQLDLDMGGLRAKIFSLFNFHPDADIAMTYIDEDGDIVTLVDDDDLRDAMRQQLKFLRIDVHMNNDKAGKSYAKSSGSSTPLRSPKGTSPILNFKTGAAEVLKSLPEPLREFVSKLHLELASQAESSSPVLADLVNRFSNMGISYLIPDSQVPVGGDSATQNGFSKIPTAPSAAANLNDVKDDGKSGPILKSAFEESSSNKSQAMGAVNVSKDVGLSVPPCHATVDLNSLPTDFNPSVPAPVNCAPVGSSLHTSDDRKETKEFNSLFYLPQKNFEKSLGCGASTSSAIPESVINDMSSNHFNECPFTGTAIANQSANPAVCRRIPFKRNHSEAMGGMFHTGVRCDGCGCHPIIGPRFKSVVKEDYDLCRICYSSMGNSSDYIRIDHPVSYRHPRPFKGLYEQPPWVGPPVLPKILRGCSMKSGRPKLDSRFVLDVNVMDGTLIAPSTPFTKIWRMRNTGGLIWPQGTQLMWIGGDRFSKSDSVEIEIPSHGVSADYELDVAVDFTAPESPGRYISYWRMASPSGQKFGQRVWVLIQVDASLKDSFFGSFQGLNLNLPPEICGSKEPEKIDVNLKPASGNDFIEPSGSSSVKEPVKHMPHQQPENDQELHFPINDNLLVGHSGSAPTEPQNSTVSYPTVDIFESAPPSPKSAPVVNAPTSSKGTSSNTGMEDTLLKELEEMGFKQVNLNKEILRRNEYNLEQSVDDLCDVADWDPILEELQEMGFCDAEMNKKLLVKNNGSIKRVVMDLINGEKL >ONI08225 pep chromosome:Prunus_persica_NCBIv2:G5:14380476:14384621:-1 gene:PRUPE_5G165800 transcript:ONI08225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMVIKVKYGDTLRRFNARVDENDQLDLDMGGLRAKIFSLFNFHPDADIAMTYIDEDGDIVTLVDDDDLRDAMRQQLKFLRIDVHMNNDKAGKSYAKSSGSSTPLRSPKGTSPILNFKTGAAEVLKSLPEPLREFVSKLHLELASQAESSSPVLADLVNRFSNMGISYLIPDSQVPVGGDSATQNGFSKIPTAPSAAANLNDVKDDGKSGPILKSAFEESSSNKSQAMGAVNKNFEKSLGCGTAIANQSANPAVCRRIPFKRNHSEAMGGMFHTGVRCDGCGCHPIIGPRFKSVVKEDYDLCRICYSSMGNSSDYIRIDHPVSYRHPRPFKGLYEQPPWVGPPVLPKILRGCSMKSGRPKLDSRFVLDVNVMDGTLIAPSTPFTKIWRMRNTGGLIWPQGTQLMWIGGDRFSKSDSVEIEIPSHGVSADYELDVAVDFTAPESPGRYISYWRMASPSGQKFGQRVWVLIQVDASLKDSFFGSFQGLNLNLPPEICGSKEPEKIDVNLKPASGNDFIEPSGSSSVKEPVKHMPHQQPENDQELHFPINDNLLVGHSGSAPTEPQNSTVSYPTVDIFESAPPSPKSAPVVNAPTSSKGTSSNTGMEDTLLKELEEMGFKQVNLNKEILRRNEYNLEQSVDDLCDVADWDPILEELQEMGFCDAEMNKKLLVKNNGSIKRVVMDLINGEKL >ONI08752 pep chromosome:Prunus_persica_NCBIv2:G5:16020148:16029871:-1 gene:PRUPE_5G198900 transcript:ONI08752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKVYLGGIVAQLQDYFPDASFMVFNFREGERRSQISDVLSQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEQKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLLLDCLILRNLPLFDDGKGCRPFIRVYGQDPSTPANRTSKLLFSTLKNEKNTHLYVQAECVLVKIDIRCRVRGDVVLECIHLDEDLVREEMMLRVMFHTTFVRSNILMLGRDDIDILWDAKDQFSKDFRAEVLFLDADAVVPNLTTVVASEDGNETGSASPEEFFEVEEIFSNVMDAQEVKVDFHRSMTHNNTLKDIDQKEVWKEDLDPHAFLDCTSDDGKHKKDRKADSNIDAVKDIAVDDVKYKLDEVDSNLDAVKDIAVDDGDMKSNSILIAADVQNQTGTKEVVEEVCRKLEEMEDIINEEDSAIQKRFETKISQQKVSAEVSRQKAEKLQPPASRKQPSLNTKVAAETTVAKQKTKQLETQGPSAKVAKPNAVSRWIPPNKGSYTNSMHVSYPPSRYNSAPAAFASTASSKDTNANAKLKASSGTVVLKDVENEPKHGKVDALKPSKSAPETLTETLTETLTSCLPSTLPPIQETESSSIITAHIGLQQVVPPPPPPPPPPPPPPMSHISSLYTHPPKSLSPAISLSQVAAIATPPPPPPPPPPPPPPPLLSTNFVSLKAPSPSSSFLSPPPPPPPPPPPPPPPPPPLSAPLSSRQNTGIAFPPAPPPPPPVQSGGQKSGTILPSQPSSSLGRLAARVMVATSQNSPPPPPPPPPYVVSAVKHLGVEVPTPPSPPSPPLPHARVTPPPPPPPPPMARVSSTPPPPPPPPLYGAPPPPPPPMQGAPPPPPPPPLHGTPPPPPPPPFSVHGPPPPPPPPPMHGAPPPPPPPMRGAPPPPPPPMHGGPPPPPSGHGAPPPPPPPGGGRPPPLPGAPPPPPPPGGRGPGPPAPPGPPGGAPPPPGGRGLGPPGRGRGRGIGAAATAPRRSSLKPLHWSKVTRALQGSLWEELQRHGETQTAPEFDVSEIESLFSATVPKPADSGGKSGGRRKSTGSKTEKVHLIDLRRANNTEIMLTKVKMPLSDMMVENLIKFCPTKEEMELLKNYTGDKETLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQVSEFKKSLNTVNSACEEVRNSGKLKEIMKSILFLGNTLNQGTARGSAVGFKLDSLPKLYDTRSINSKMTLMHYLCKTLASKSPGLLDFHRDLVSLEPATKIQLKSLAEEMQAIIKGLEKVKQELGASENDGPVSEVFHKTLKEFTTVAETEVTSVTNLYSVVGRNADALALYFGEDPARCPFEQVTATLSNFVKLFRKAHEENGKQAEMEKKKADKEAEMEKAKGINLTKKATK >ONI08753 pep chromosome:Prunus_persica_NCBIv2:G5:16020939:16029871:-1 gene:PRUPE_5G198900 transcript:ONI08753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKVYLGGIVAQLQDYFPDASFMVFNFREGERRSQISDVLSQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEQKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYISRRNLGSDWPPSDTPLLLDCLILRNLPLFDDGKGCRPFIRVYGQDPSTPANRTSKLLFSTLKNEKNTHLYVQAECVLVKIDIRCRVRGDVVLECIHLDEDLVREEMMLRVMFHTTFVRSNILMLGRDDIDILWDAKDQFSKDFRAEVLFLDADAVVPNLTTVVASEDGNETGSASPEEFFEVEEIFSNVMDAQEVKVDFHRSMTHNNTLKDIDQKEVWKEDLDPHAFLDCTSDDGKHKKDRKADSNIDAVKDIAVDDVKYKLDEVDSNLDAVKDIAVDDGDMKSNSILIAADVQNQTGTKEVVEEVCRKLEEMEDIINEEDSAIQKRFETKISQQKVSAEVSRQKAEKLQPPASRKQPSLNTKVAAETTVAKQKTKQLETQGPSAKVAKPNAVSRWIPPNKGSYTNSMHVSYPPSRYNSAPAAFASTASSKDTNANAKLKASSGTVVLKDVENEPKHGKVDALKPSKSAPETLTETLTETLTSCLPSTLPPIQETESSSIITAHIGLQQVVPPPPPPPPPPPPPPMSHISSLYTHPPKSLSPAISLSQVAAIATPPPPPPPPPPPPPPPLLSTNFVSLKAPSPSSSFLSPPPPPPPPPPPPPPPPPPLSAPLSSRQNTGIAFPPAPPPPPPVQSGGQKSGTILPSQPSSSLGRLAARVMVATSQNSPPPPPPPPPYVVSAVKHLGVEVPTPPSPPSPPLPHARVTPPPPPPPPPMARVSSTPPPPPPPPLYGAPPPPPPPMQGAPPPPPPPPLHGTPPPPPPPPFSVHGPPPPPPPPPMHGAPPPPPPPMRGAPPPPPPPMHGGPPPPPSGHGAPPPPPPPGGGRPPPLPGAPPPPPPPGGRGPGPPAPPGPPGGAPPPPGGRGLGPPGRGRGRGIGAAATAPRRSSLKPLHWSKVTRALQGSLWEELQRHGETQTAPEFDVSEIESLFSATVPKPADSGGKSGGRRKSTGSKTEKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDESILDVDQVENLIKFCPTKEEMELLKNYTGDKETLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQVSEFKKSLNTVNSACEEVRNSGKLKEIMKSILFLGNTLNQGTARGSAVGFKLDSLPKLYDTRSINSKMTLMHYLCKTLASKSPGLLDFHRDLVSLEPATKIQLKSLAEEMQAIIKGLEKVKQELGASENDGPVSEVFHKTLKEFTTVAETEVTSVTNLYSVVGRNADALALYFGEDPARCPFEQVTATLSNFVKLFRKAHEENGKQAEMEKKKADKEAEMEKAKGINLTKKATK >ONI08634 pep chromosome:Prunus_persica_NCBIv2:G5:15593211:15595184:-1 gene:PRUPE_5G190100 transcript:ONI08634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQDPVPAADKANVTEASVRGACSGKKIQGKIPKRIHKAEREKQKREHFNELFLELADALELNQQNNGKASILCEATRLLKDLIGQIEYLQKENASLLSESNYMTIEKNELREDNSALETQNEKLHSEIEDKVVQSKPDLNAALPCAELRPEVASHFTGTSVSLPTQDPSLQQAPAVFVMPLCPDLQSYPLPDAAQLTSNTTSHVSKPHARYPTSVDSWPSQLLGEKPTAGKESRQLGDSSNICRSRETDPDNM >ONI05536 pep chromosome:Prunus_persica_NCBIv2:G5:1225378:1225830:-1 gene:PRUPE_5G011700 transcript:ONI05536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTSASVEWIFLATYLVLEILSAACDQASSPRRPHFALFGMLLAIAAVFISIGELIYRGKRERVVLRRRGMLWWFYHPPPPRHAPFGTLPDIYGLAAGISQCICSIVQYVYCLRHADSPLKASLLPAIFLICLVGSRLSNKRMNANTSR >ONI07307 pep chromosome:Prunus_persica_NCBIv2:G5:11618566:11620526:-1 gene:PRUPE_5G112300 transcript:ONI07307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVDRAHNEGNLPLFGQNNPRDFVLSIQRPRSVIILVKAGAPVDQTIAALSAHMEPGDAIIDGGNEWYENTERRIAEATGRGLLYLGMGVSGGEEGARHGPSLMPGGSHQAYTNVQDILHKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNEELGEIFAEWNKGELESFLIEITADIFKVRDDLADGFLVDKLLDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEEREKAEETLKQAGFKEVIGSVTSGIDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKGWGLNLGELARIWKGGCIIRAVFLDRIKNAYQRNQNLPNLIVDPDFAKEMVQRQAAWRRVVGLAVSAGISTPGMCASLSYFDTYRRGRLPANLVQAQRDLFGAHTYERVDRPGAFHTEWTKLAQKSGSGVGALN >ONI07306 pep chromosome:Prunus_persica_NCBIv2:G5:11618682:11620379:-1 gene:PRUPE_5G112300 transcript:ONI07306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVDRAHNEGNLPLFGQNNPRDFVLSIQRPRSVIILVKAGAPVDQTIAALSATGRGLLYLGMGVSGGEEGARHGPSLMPGGSHQAYTNVQDILHKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNEELGEIFAEWNKGELESFLIEITADIFKVRDDLADGFLVDKLLDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEEREKAEETLKQAGFKEVIGSVTSGIDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKGWGLNLGELARIWKGGCIIRAVFLDRIKNAYQRNQNLPNLIVDPDFAKEMVQRQAAWRRVVGLAVSAGISTPGMCASLSYFDTYRRGRLPANLVQAQRDLFGAHTYERVDRPGAFHTEWTKLAQKSGSGVGALN >ONI08661 pep chromosome:Prunus_persica_NCBIv2:G5:15730105:15734758:1 gene:PRUPE_5G192300 transcript:ONI08661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALLPKHVSAVIKCQKDPLKALEMFNSVNKEDGFKHTLQTYKCMIEKLGVHGEFEDMERVLSEMRMNIDNSLLEGVYIGAMRNYGRKGKVEEAVNVFQRMEFYHCEPSVQSYNAIMNVLVEYGYFDQAHKVYMGMRDNGIAPDVYTYTIRIKSFCKTRRPHVALRLLNNMPSQGCKFNAVAYCTVISGFYEENYRIEAYELFGDMLGQGICPSVTTFNKLIHTLSKKGDVQESEKLLNKVLKRGVSPNVFTFNIFIQGLCKNGSLSGAVKMLDGFMMEGLTPDVVTYNTLIFGLCKNFKVEEAECYMSKMVNNGFRPDAFTYNSIIDGYCKLGMIQKADKILCDAIFKGFEPDEFTYCSLIKGLCQDGDIDRAVAVFDEAFGKGLKPNIVLYNTLVKGLSQNGLILQALQLMNEMSKNGCSPNIWTYNLVINGLCKMGYVSDASKLVSDAIARGYLPDIFTFNTLIDGYCKQLNLNGAVEVINSMWSHGVTPDVITYNTVLNGLCKAAKYEDVIHTFQAMMEKGCVPNIISYNILVESLCKARKVNAALEMLQEIKVKGLTPDIVCFGTLLTGLCGNGDLDGAYHLFTRMKHEYNISHTTATYNIMINALCEKLNVSMAQKLFWEMGDKGSAPDCFTYRVMIDGFCKAGNTDSGYNVLLEKIEKGFIPSLVTFGRVLNCLCVNHRVHEAVGIIYLMVRKGIVPEVVNSIFEADKKEIAAPKIVVEDLLKKGHITYYAYELLYDGIRDKKLVKQTQKRFKPGIHVTSQ >ONI08662 pep chromosome:Prunus_persica_NCBIv2:G5:15730105:15734511:1 gene:PRUPE_5G192300 transcript:ONI08662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALLPKHVSAVIKCQKDPLKALEMFNSVNKEDGFKHTLQTYKCMIEKLGVHGEFEDMERVLSEMRMNIDNSLLEGVYIGAMRNYGRKGKVEEAVNVFQRMEFYHCEPSVQSYNAIMNVLVEYGYFDQAHKVYMGMRDNGIAPDVYTYTIRIKSFCKTRRPHVALRLLNNMPSQGCKFNAVAYCTVISGFYEENYRIEAYELFGDMLGQGICPSVTTFNKLIHTLSKKGDVQESEKLLNKVLKRGVSPNVFTFNIFIQGLCKNGSLSGAVKMLDGFMMEGLTPDVVTYNTLIFGLCKNFKVEEAECYMSKMVNNGFRPDAFTYNSIIDGYCKLGMIQKADKILCDAIFKGFEPDEFTYCSLIKGLCQDGDIDRAVAVFDEAFGKGLKPNIVLYNTLVKGLSQNGLILQALQLMNEMSKNGCSPNIWTYNLVINGLCKMGYVSDASKLVSDAIARGYLPDIFTFNTLIDGYCKQLNLNGAVEVINSMWSHGVTPDVITYNTVLNGLCKAAKYEDVIHTFQAMMEKGCVPNIISYNILVESLCKARKVNAALEMLQEIKVKGLTPDIVCFGTLLTGLCGNGDLDGAYHLFTRMKHEYNISHTTATYNIMINALCEKLNVSMAQKLFWEMGDKGSAPDCFTYRVMIDGFCKAGNTDSGYNVLLEKIEKGFIPSLVTFGRVLNCLCVNHRVHEAVGIIYLMVRKGIVPEVVNSIFEADKKEIAAPKIVVEDLLKKGHITYYAYELLYDGIRDKKLVKQTQKRFKPGIHVTSQ >ONI08664 pep chromosome:Prunus_persica_NCBIv2:G5:15730105:15734756:1 gene:PRUPE_5G192300 transcript:ONI08664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALLPKHVSAVIKCQKDPLKALEMFNSVNKEDGFKHTLQTYKCMIEKLGVHGEFEDMERVLSEMRMNIDNSLLEGVYIGAMRNYGRKGKVEEAVNVFQRMEFYHCEPSVQSYNAIMNVLVEYGYFDQAHKVYMGMRDNGIAPDVYTYTIRIKSFCKTRRPHVALRLLNNMPSQGCKFNAVAYCTVISGFYEENYRIEAYELFGDMLGQGICPSVTTFNKLIHTLSKKGDVQESEKLLNKVLKRGVSPNVFTFNIFIQGLCKNGSLSGAVKMLDGFMMEGLTPDVVTYNTLIFGLCKNFKVEEAECYMSKMVNNGFRPDAFTYNSIIDGYCKLGMIQKADKILCDAIFKGFEPDEFTYCSLIKGLCQDGDIDRAVAVFDEAFGKGLKPNIVLYNTLVKGLSQNGLILQALQLMNEMSKNGCSPNIWTYNLVINGLCKMGYVSDASKLVSDAIARGYLPDIFTFNTLIDGYCKQLNLNGAVEVINSMWSHGVTPDVITYNTVLNGLCKAAKYEDVIHTFQAMMEKGCVPNIISYNILVESLCKARKVNAALEMLQEIKVKGLTPDIVCFGTLLTGLCGNGDLDGAYHLFTRMKHEYNISHTTATYNIMINALCEKLNVSMAQKLFWEMGDKGSAPDCFTYRVMIDGFCKAGNTDSGYNVLLEKIEKGFIPSLVTFGRVLNCLCVNHRVHEAVGIIYLMVRKGIVPEVVNSIFEADKKEIAAPKIVVEDLLKKGHITYYAYELLYDGIRDKKLVKQTQKRFKPGIHVTSQ >ONI08663 pep chromosome:Prunus_persica_NCBIv2:G5:15730105:15734415:1 gene:PRUPE_5G192300 transcript:ONI08663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALLPKHVSAVIKCQKDPLKALEMFNSVNKEDGFKHTLQTYKCMIEKLGVHGEFEDMERVLSEMRMNIDNSLLEGVYIGAMRNYGRKGKVEEAVNVFQRMEFYHCEPSVQSYNAIMNVLVEYGYFDQAHKVYMGMRDNGIAPDVYTYTIRIKSFCKTRRPHVALRLLNNMPSQGCKFNAVAYCTVISGFYEENYRIEAYELFGDMLGQGICPSVTTFNKLIHTLSKKGDVQESEKLLNKVLKRGVSPNVFTFNIFIQGLCKNGSLSGAVKMLDGFMMEGLTPDVVTYNTLIFGLCKNFKVEEAECYMSKMVNNGFRPDAFTYNSIIDGYCKLGMIQKADKILCDAIFKGFEPDEFTYCSLIKGLCQDGDIDRAVAVFDEAFGKGLKPNIVLYNTLVKGLSQNGLILQALQLMNEMSKNGCSPNIWTYNLVINGLCKMGYVSDASKLVSDAIARGYLPDIFTFNTLIDGYCKQLNLNGAVEVINSMWSHGVTPDVITYNTVLNGLCKAAKYEDVIHTFQAMMEKGCVPNIISYNILVESLCKARKVNAALEMLQEIKVKGLTPDIVCFGTLLTGLCGNGDLDGAYHLFTRMKHEYNISHTTATYNIMINALCEKLNVSMAQKLFWEMGDKGSAPDCFTYRVMIDGFCKAGNTDSGYNVLLEKIEKGFIPSLVTFGRVLNCLCVNHRVHEAVGIIYLMVRKGIVPEVVNSIFEADKKEIAAPKIVVEDLLKKGHITYYAYELLYDGIRDKKLVKQTQKRFKPGIHVTSQ >ONI05801 pep chromosome:Prunus_persica_NCBIv2:G5:2765910:2773669:-1 gene:PRUPE_5G024700 transcript:ONI05801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHHDPNPFDEEVVEVEVNPFSNGAGAPKSKSRVPPLASEPLGFGHKHDATVDIPLDTMNDSKQKAKELATWEADLKRREKDIKRREDSVAKAGVPADDRNWPPFFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLSFNAIAIIVCWIRGGGVKIFLLATIYALLGCPLSYVLWYRPLYRAMRTDSAFKFGWFFLFYMLHIGFCIFAAIAPPIVFNGRSLTGILPAIDVFSDHVVVGIFYLTGFALFCLETLLSFWVLQKVYMYFRGNK >ONI05802 pep chromosome:Prunus_persica_NCBIv2:G5:2766488:2773632:-1 gene:PRUPE_5G024700 transcript:ONI05802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHHDPNPFDEEVVEVEVNPFSNGAGAPKSKSRVPPLASEPLGFGHKHDATVDIPLDTMNDSKQKAKELATWEADLKRREKDIKRREDSVAKAGVPADDRNWPPFFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLSFNAIAIIVCWIRGGGVKIFLLATIYALLGCPLSYVLWYRPLYRAMRTDSAFKFGWFFLFYMLHIGFCIFAAIAPPIVFNGRSLTYST >ONI05668 pep chromosome:Prunus_persica_NCBIv2:G5:1983870:1985422:1 gene:PRUPE_5G018300 transcript:ONI05668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNALVDLFTNLKGKYEKRRGGGGAVMLLPPPPSMEFSFRTYREREQLEFLRVYKVIQVCYFAHNEQVYIFDRKRKKKREQVYTVYLSSKHFYVVMCCKLEIQFFFT >ONI06845 pep chromosome:Prunus_persica_NCBIv2:G5:9708291:9710952:1 gene:PRUPE_5G084800 transcript:ONI06845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFLQASVPLSLSSLSKRLSLSSSTRLQLRSFCCKMSSDSPPLTHSITLPSQLTEPVEIVAAPNISHSEFRSAIDSSLFKQWLKNMESENGVLYGGALSLRRVLIQGVDMFGKRIGFLKFVADVFDKETGKKVRIPVGRVILELPAGMLDDDKGNFLGTAIREVEEETGICLKQEDMVDLTAFLDQSTGGRIFPSPGGCDEEISLFLYRGQVNKEIIEQLQGKETGLREHGELIKVCVVPYEKLWCMTADAKVLAAIALYEMAKKEGLLPHLKA >ONI06844 pep chromosome:Prunus_persica_NCBIv2:G5:9708196:9711080:1 gene:PRUPE_5G084800 transcript:ONI06844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFLQASVPLSLSSLSKRLSLSSSTRLQLRSFCCKMSSDSPPLTHSITLPSQLTEPVEIVAAPNISHSEFRSAIDSSLFKQWLKNMESENGVLYGGALSLRRVLIQGVDMFGKRIGFLKFVADVFDKETGKKVPGIVFARGPAVAVLILLDSEDKTYAVLTEQVEEETGICLKQEDMVDLTAFLDQSTGGRIFPSPGGCDEEISLFLYRGQVNKEIIEQLQGKETGLREHGELIKVCVVPYEKLWCMTADAKVLAAIALYEMAKKEGLLPHLKA >ONI06843 pep chromosome:Prunus_persica_NCBIv2:G5:9708196:9711080:1 gene:PRUPE_5G084800 transcript:ONI06843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFLQASVPLSLSSLSKRLSLSSSTRLQLRSFCCKMSSDSPPLTHSITLPSQLTEPVEIVAAPNISHSEFRSAIDSSLFKQWLKNMESENGVLYGGALSLRRVLIQGVDMFGKRIGFLKFVADVFDKETGKKVPGIVFARGPAVAVLILLDSEDKTYAVLTEQVRIPVGRVILELPAGMLDDDKGNFLGTAIREVEEETGICLKQEDMVDLTAFLDQSTGGRIFPSPGGCDEEISLFLYRGQVNKEIIEQLQGKETGLREHGELIKVCVVPYEKLWCMTADAKVLAAIALYEMAKKEGLLPHLKA >ONI08934 pep chromosome:Prunus_persica_NCBIv2:G5:16621001:16625383:1 gene:PRUPE_5G208400 transcript:ONI08934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFCSSMRNLLGEDLAPLNTKELEQLEHQLEASLNQIRSTKTQFMLDQLCDLQNKEQMLVEANKALRRKLEETSGQAPPLLAWEAAGHGNNNVQHTGLPHHPHSQGFFHPLGNNSTSQIGYTPLGSDHHEQMNVGNHGQHVNGFIPGWML >ONI08935 pep chromosome:Prunus_persica_NCBIv2:G5:16621173:16624629:1 gene:PRUPE_5G208400 transcript:ONI08935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFCSSMSMLKTLEKYQRCSYGSLEANRPVNETQNSYQEYLKLKARVEVLQQSQRNLLGEDLAPLNTKELEQLEHQLEASLNQIRSTKTQFMLDQLCDLQNKEQMLVEANKALRRKVKQMKPYAP >ONI08933 pep chromosome:Prunus_persica_NCBIv2:G5:16620814:16625385:1 gene:PRUPE_5G208400 transcript:ONI08933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFCSSMSMLKTLEKYQRCSYGSLEANRPVNETQNSYQEYLKLKARVEVLQQSQRNLLGEDLAPLNTKELEQLEHQLEASLNQIRSTKTQFMLDQLCDLQNKEQMLVEANKALRRKLEETSGQAPPLLAWEAAGHGNNNVQHTGLPHHPHSQGFFHPLGNNSTSQIGYTPLGSDHHEQMNVGNHGQHVNGFIPGWML >ONI09050 pep chromosome:Prunus_persica_NCBIv2:G5:16909427:16914607:-1 gene:PRUPE_5G214600 transcript:ONI09050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTRSQINQGKNEPNPLESRKPWSDQEQNHANLPLRNPHHGLKEKMKALTQLYEKQKQASAALRNQSSKTDQELRLTTHPSVELLGSCKKEEKESKPSHVMRENNNTMHNSTITRTYVLPQPPTHDAKENVVGGNDKILSFTSCPRKAKASTAVARRLSMGGHVPHVPQPESKEAEGTKNVPESETTSASEKHTSRILVFVRLRPMAKKEKEVGSRCCVRIVNRRDVYLTEFANDNDYLRLKRLRGRHFTFDASFPDATSQQEVYSTTTAELVEAVLQGRNGSVFCYGATGAGKTYTMLGTLENPGVMVLAIKDLFTKIRKRSCDGNHVVHLSYLEVYNESVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNQNRTTEPTRANETSSRSHAILQVVIEYRVRDASMNVVNRIGKLSLIDLAGSERALATDQRTVRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACYTVMIANISPCNLSFGETQNTLHWADRAKEIRTKACDVNEEVLQVPESDTDQAKLLLELQKENRELRVQLAHQQQKLLVIRAQSLAANASPTPSSVTSLLTPPTSAQPNEKRKPRPSFLTGNCFTPESKKKGAEEAVRELRKTVKALEAEIEKMKKDHTSLLKQKDDLIRELSRKSEKTPGAAGREGGSKRIVTRASLRPQEPRTSLRPQEPRTSLRPQEPSTGELKSPSHRFRSPVPTAKKRSFWDITTANSPSVATLNGRKTRSHVISEPAGAPSMLLQVLQDNSPSIGSNIGQTGRKGEIAT >ONI09052 pep chromosome:Prunus_persica_NCBIv2:G5:16909842:16914039:-1 gene:PRUPE_5G214600 transcript:ONI09052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTRSQINQGKNEPNPLESRKPWSDQEQNHANLPLRNPHHGLKEKMKALTQLYEKQKQASAALRNQSSKTDQELRLTTHPSVELLGSCKKEEKESKPSHVMRENNNTMHNSTITRTYVLPQPPTHDAKENVVGGNDKILSFTSCPRKAKASTAVARRLSMGGHVPHVPQPESKEAEGTKNVPESETTSASEKHTSRILVFVRLRPMAKKEKEVGSRCCVRIVNRRDVYLTEFANDNDYLRLKRLRGRHFTFDASFPDATSQQEVYSTTTAELVEAVLQGRNGSVFCYGATGAGKTYTMLGTLENPGVMVLAIKDLFTKIRKRSCDGNHVVHLSYLEVYNESVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNQNRTTEPTRANETSSRSHAILQVVIEYRVRDASMNVVNRIGKLSLIDLAGSERALATDQRTVRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACYTVMIANISPCNLSFGETQNTLHWADRAKEIRTKACDVNEEVLQVPESDTDQAKLLLELQKENRELRVQLAHQQQKLLVIRAQSLAANASPTPSSVTSLLTPPTSAQPNEKRKPRPSFLTGNCFTPESKKKGAEEAVRELRKTVKALEAEIEKMKKDHTSLLKQKDDLIRELSRKSEKTPGAAGREGGSKRIVTRASLRPQEPRTSLRPQEPRTSLRPQEPSTGELKSPSHRFRSPVPTAKKRSFWDITTANSPSVATLNGRKTRSHVISEPAGAPSMLLQLAYPCFWFVIFFGPHIINCTIQPGFARQQPEHWK >ONI09051 pep chromosome:Prunus_persica_NCBIv2:G5:16909448:16914607:-1 gene:PRUPE_5G214600 transcript:ONI09051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTRSQINQGKNEPNPLESRKPWSDQEQNHANLPLRNPHHGLKEKMKALTQLYEKQKQASAALRNQSSKTDQELRLTTHPSVELLGSCKKEEKESKPSHVMRENNNTMHNSTITRTYVLPQPPTHDAKENVVGGNDKILSFTSCPRKAKASTAVARRLSMGGHVPHVPQPESKEAEGTKNVPESETTSASEKHTSRILVFVRLRPMAKKEKEVGSRCCVRIVNRRDVYLTEFANDNDYLRLKRLRGRHFTFDASFPDATSQQEVYSTTTAELVEAVLQGRNGSVFCYGATGAGKTYTMLGTLENPGVMVLAIKDLFTKIRKRSCDGNHVVHLSYLEVYNESVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNQNRTTEPTRANETSSRSHAILQVVIEYRVRDASMNVVNRIGKLSLIDLAGSERALATDQRTVRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACYTVMIANISPCNLSFGETQNTLHWADRAKEIRTKACDVNEEVLQVPESDTDQAKLLLELQKENRELRVQLAHQQQKLLVIRAQSLAANASPTPSSVTSLLTPPTSAQPNEKRKPRPSFLTGNCFTPESKKKGAEEAVRELRKTVKALEAEIEKMKKDHTSLLKQKDDLIRELSRKSEKTPGAAGREGGSKRIVTRASLRPQEPRTSLRPQEPRTSLRPQEPSTGELKSPSHRFRSPVPTAKKRSFWDITTANSPSVATLNGRKTRSHVISEPAGAPSMLLQPGFARQQPEHWK >ONI06053 pep chromosome:Prunus_persica_NCBIv2:G5:4184421:4185506:1 gene:PRUPE_5G037100 transcript:ONI06053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLVLPIAMLKKRCMGSRIGGYRHLTEEGFGGLENPVTVVVGKERREFLVDPFVLEENPFRVLIEMMNKDKKMVDFIDHENGKGRMVFVDVDAILFEHMLWLMYNDCSSLFKLNLKEIIDFYAQDN >ONI07921 pep chromosome:Prunus_persica_NCBIv2:G5:13518654:13520518:-1 gene:PRUPE_5G147300 transcript:ONI07921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISGKAFELSVKKEEPTLVPPAEETEKGLYYLSNLDQNIAVIVRTIYCFKSDLKGNEEAVEIIKSALSKVLVHYYPLAGRLNISTEGKLIVDCTGDGAVFVEAEANCEMEKIGDITKPDPLTLGKLVHDIPGAKNILEIPPLAAQVTKFRCGGFVLGLCINHCMFDGIGAMEFVNSWGETARGLPLKVPPFLDRSILKARKPPKIEFPHNEFAEIEDISDNTKLYEEELLYRSFFFHPENIEKLKKMAMEDGVLDKCTTFQALSAFVWRARTQALRMRPDQKTKLLFAVDGRSRFEPPIPEGFFGNAILLTNSLCSAGELLEKPLSFAVGLVDKAVHMVTDSYMRSAIDYFEVTRARPSLSETLLITTWSKLAFHSTDFGWGEPVLSGPVGLPEKEVSLFLSHGKNRKSINVLLGLPASAMKTFEELMQI >ONI07922 pep chromosome:Prunus_persica_NCBIv2:G5:13518654:13520712:-1 gene:PRUPE_5G147300 transcript:ONI07922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISGKAFELSVKKEEPTLVPPAEETEKGLYYLSNLDQNIAVIVRTIYCFKSDLKGNEEAVEIIKSALSKVLVHYYPLAGRLNISTEGKLIVDCTGDGAVFVEAEANCEMEKIGDITKPDPLTLGKLVHDIPGAKNILEIPPLAAQVTKFRCGGFVLGLCINHCMFDGIGAMEFVNSWGETARGLPLKVPPFLDRSILKARKPPKIEFPHNEFAEIEDISDNTKLYEEELLYRSFFFHPENIEKLKKMAMEDGVLDKCTTFQALSAFVWRARTQALRMRPDQKTKLLFAVDGRSRFEPPIPEGFFGNAILLTNSLCSAGELLEKPLSFAVGLVDKAVHMVTDSYMRSAIDYFEVTRARPSLSETLLITTWSKLAFHSTDFGWGEPVLSGPVGLPEKEVSLFLSHGKNRKSINVLLGLPASAMKTFEELMQI >ONI09230 pep chromosome:Prunus_persica_NCBIv2:G5:17419134:17422578:-1 gene:PRUPE_5G225400 transcript:ONI09230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPLPPLPTTITTTAMAATSMQPNYPDSVDSSPRSRNVDAWDEPLPQIPGAKLRLMCSYGGHIIPRPHDKSLCYVGGETRIVLTERSSSLSDLCSRLSRTLLHGRPFSLKYQLPSEDLDSLISVTTDEDLDNMIEEYDRTASTSPLKPSRLRLFLFFAKPETAASMGALLDDAKSESWFVDALNGSGLIPRNLSDSATMDCLLTGSENSCNDLEAQGDFFGDNNKQGINDVMKNVNNVVHDVHSINQESPFVENSSSSFGSSTSSPCLSNLPSIRVRMDDNGARVMQNGHKAIGIEEQFAQMSTGFAAAAPSLAANSGALPPSGTQMMNAMPFSGENVNRVISSDDERSSDQSVPVGFRKPPLPLPLQPLQVNKAAGGGGGGYSLPSPDSVASDSSIASANSLSKPMYYQEQIQVASRENTRGPVSPNTNSDASDLGSQSQVQQVQDSGYTMPPQSDQQQQQQQQQQQQQQQQQQQQQQQQQQQFVHANTHYMHHPATGQAPMQSYYTMYAPPPQQQLHHQLDHQQYPVYLMPVGQSQPYNMPLQPNMADTTAVASSRLTSQNPASAVYKDSIPPIYPTKTTSPTMPEMSASVYKTIVPQTPSLVQVPSNQYQQQYVGFSQMHHHPSQSIAVPSSATASYAYEYANPSHEQVFYTQHPPAPLPPQYQSMTPAAAAAAVALSDDSKQQLPTYSAADQNHTTISH >ONI09231 pep chromosome:Prunus_persica_NCBIv2:G5:17419134:17422725:-1 gene:PRUPE_5G225400 transcript:ONI09231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPLPPLPTTITTTAMAATSMQPNYPDSVDSSPRSRNVDAWDEPLPQIPGAKLRLMCSYGGHIIPRPHDKSLCYVGGETRIVLTERSSSLSDLCSRLSRTLLHGRPFSLKYQLPSEDLDSLISVTTDEDLDNMIEEYDRTASTSPLKPSRLRLFLFFAKPETAASMGALLDDAKSESWFVDALNGSGLIPRNLSDSATMDCLLTGSENSCNDLEAQGDFFGDNNKQGINDVMKNVNNVVHDVHSINQESPFVENSSSSFGSSTSSPCLSNLPSIRVRMDDNGARVMQNGHKAIGIEEQFAQMSTGFAAAAPSLAANSGALPPSGTQMMNAMPFSGENVNRVISSDDERSSDQSVPVGFRKPPLPLPLQPLQVNKAAGGGGGGYSLPSPDSVASDSSIASANSLSKPMYYQEQIQVASRENTRGPVSPNTNSDASDLGSQSQVQQVQDSGYTMPPQSDQQQQQQQQQQQQQQQQQQQQQQQQQQQFVHANTHYMHHPATGQAPMQSYYTMYAPPPQQQLHHQLDHQQYPVYLMPVGQSQPYNMPLQPNMADTTAVASSRLTSQNPASAVYKDSIPPIYPTKTTSPTMPEMSASVYKTIVPQTPSLVQVPSNQYQQQYVGFSQMHHHPSQSIAVPSSATASYAYEYANPSHEQVFYTQHPPAPLPPQYQSMTPAAAAAAVALSDDSKQQLPTYSAADQNHTTISH >ONI09229 pep chromosome:Prunus_persica_NCBIv2:G5:17419051:17422600:-1 gene:PRUPE_5G225400 transcript:ONI09229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPLPPLPTTITTTAMAATSMQPNYPDSVDSSPRSRNVDAWDEPLPQIPGAKLRLMCSYGGHIIPRPHDKSLCYVGGETRIVLTERSSSLSDLCSRLSRTLLHGRPFSLKYQLPSEDLDSLISVTTDEDLDNMIEEYDRTASTSPLKPSRLRLFLFFAKPETAASMGALLDDAKSESWFVDALNGSGLIPRNLSDSATMDCLLTGSENSCNDLEAQGDFFGDNNKQGINDVMKNVNNVVHDVHSINQESPFVENSSSSFGSSTSSPCLSNLPSIRVRMDDNGARVMQNGHKAIGIEEQFAQMSTGFAAAAPSLAANSGALPPSGTQMMNAMPFSGENVNRVISSDDERSSDQSVPVGFRKPPLPLPLQPLQVNKAAGGGGGGYSLPSPDSVASDSSIASANSLSKPMYYQEQIQVASRENTRGPVSPNTNSDASDLGSQSQVQQVQDSGYTMPPQSDQQQQQQQQQQQQQQQQQQQQQQQQQQQFVHANTHYMHHPATGQAPMQSYYTMYAPPPQQQLHHQLDHQQYPVYLMPVGQSQPYNMPLQPNMADTTAVASSRLTSQNPASAVYKDSIPPIYPTKTTSPTMPEMSASVYKTIVPQTPSLVQVPSNQYQQQYVGFSQMHHHPSQSIAVPSSATASYAYEYANPSHEQVFYTQHPPAPLPPQYQSMTPAAAAAAVALSDDSKQQLPTYSAADQNHTTISH >ONI09328 pep chromosome:Prunus_persica_NCBIv2:G5:17686526:17688673:-1 gene:PRUPE_5G232300 transcript:ONI09328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGTPSPAQAPKSVDAKPVVTDEVQRVFKSFDANGDGKISVSELGNVLKALGSSVSADELQRVMGDLDTDRDGFICLDEFNAFWVSGSKDGNAAELRDAFDLYDQDRNGLISANELHMVLNQLQMTCSLEDCNRMIKSVDADGDGNVNFEEFKKMMGNNASTVSNTNA >ONI08427 pep chromosome:Prunus_persica_NCBIv2:G5:15018518:15023577:1 gene:PRUPE_5G177700 transcript:ONI08427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGAPKVDEPQPHPPKEQLPNISYCITSPPPWPDAILLGFQHYIVMLGTSVLIPSALVPQMGGGNEEKAKVIQTLLFVAGLNTLQQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDSDDPIDKFKRTMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVGLVGFGLYELGFPVLAKCVEIGLPELVILVFVSQYMPHIIHRGKPIFDRFAVIFSIVIVWIYAHLLTVGGAYDDASPKTQASCRTDRAGLINAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALIESTGAFIAVSRYASATPVPPAILSRGVGWQGVGILISGLFGTVTGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAALYCLFFAYVGMCLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHSGGRWFNDMINVPLQSEAFVAGCVAYFLDNTLHRKDSSVRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >ONI08430 pep chromosome:Prunus_persica_NCBIv2:G5:15017910:15023577:1 gene:PRUPE_5G177700 transcript:ONI08430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGAPKVDEPQPHPPKEQLPNISYCITSPPPWPDAILLGFQHYIVMLGTSVLIPSALVPQMGGGNEEKAKVIQTLLFVAGLNTLQQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDSDDPIDKFKRTMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVGLVGFGLYELGFPVLAKCVEIGLPELVILVFVSQYMPHIIHRGKPIFDRFAVIFSIVIVWIYAHLLTVGGAYDDASPKTQASCRTDRAGLINAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALIESTGAFIAVSRYASATPVPPAILSRGVGWQGVGILISGLFGTVTGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHSGGRWFNDMINVPLQSEAFVAGCVAYFLDNTLHRKDSSVRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >ONI08433 pep chromosome:Prunus_persica_NCBIv2:G5:15018518:15023577:1 gene:PRUPE_5G177700 transcript:ONI08433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTSVLIPSALVPQMGGGNEEKAKVIQTLLFVAGLNTLQQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDSDDPIDKFKRTMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVGLVGFGLYELGFPVLAKCVEIGLPELVILVFVSQYMPHIIHRGKPIFDRFAVIFSIVIVWIYAHLLTVGGAYDDASPKTQASCRTDRAGLINAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALIESTGAFIAVSRYASATPVPPAILSRGVGWQGVGILISGLFGTVTGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHSGGRWFNDMINVPLQSEAFVAGCVAYFLDNTLHRKDSSVRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >ONI08428 pep chromosome:Prunus_persica_NCBIv2:G5:15017910:15023577:1 gene:PRUPE_5G177700 transcript:ONI08428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGAPKVDEPQPHPPKEQLPNISYCITSPPPWPDAILLGFQHYIVMLGTSVLIPSALVPQMGGGNEEKAKVIQTLLFVAGLNTLQQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDSDDPIDKFKRTMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVGLVGFGLYELGFPVLAKCVEIGLPELVILVFVSQYMPHIIHRGKPIFDRFAVIFSIVIVWIYAHLLTVGGAYDDASPKTQASCRTDRAGLINAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALIESTGAFIAVSRYASATPVPPAILSRGVGWQGVGILISGLFGTVTGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHSGGRWFNDMINVPLQSEAFVAGCVAYFLDNTLHRKDSSVRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >ONI08426 pep chromosome:Prunus_persica_NCBIv2:G5:15018312:15023577:1 gene:PRUPE_5G177700 transcript:ONI08426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGAPKVDEPQPHPPKEQLPNISYCITSPPPWPDAILLGFQHYIVMLGTSVLIPSALVPQMGGGNEEKAKVIQTLLFVAGLNTLQQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDSDDPIDKFKRTMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVGLVGFGLYELGFPVLAKCVEIGLPELVILVFVSQYMPHIIHRGKPIFDRFAVIFSIVIVWIYAHLLTVGGAYDDASPKTQASCRTDRAGLINAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALIESTGAFIAVSRYASATPVPPAILSRGVGWQGVGILISGLFGTVTGSSVSVRENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHSGGRWFNDMINVPLQSEAFVAGCVAYFLDNTLHRKDSSVRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >ONI08425 pep chromosome:Prunus_persica_NCBIv2:G5:15018222:15023577:1 gene:PRUPE_5G177700 transcript:ONI08425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGAPKVDEPQPHPPKEQLPNISYCITSPPPWPDAILLGFQHYIVMLGTSVLIPSALVPQMGGGNEEKAKVIQTLLFVAGLNTLQQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDSDDPIDKFKRTMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVGLVGFGLYELGFPVLAKCVEIGLPELVILVFVSQYMPHIIHRGKPIFDRFAVIFSIVIVWIYAHLLTVGGAYDDASPKTQASCRTDRAGLINAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALIESTGAFIAVSRYASATPVPPAILSRGVGWQGVGILISGLFGTVTGSSVSVRENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHSGGRWFNDMINVPLQSEAFVAGCVAYFLDNTLHRKDSSVRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >ONI08434 pep chromosome:Prunus_persica_NCBIv2:G5:15020397:15023577:1 gene:PRUPE_5G177700 transcript:ONI08434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVGLVGFGLYELGFPVLAKCVEIGLPELVILVFVSQYMPHIIHRGKPIFDRFAVIFSIVIVWIYAHLLTVGGAYDDASPKTQASCRTDRAGLINAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALIESTGAFIAVSRYASATPVPPAILSRGVGWQGVGILISGLFGTVTGSSVSVRENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHSGGRWFNDMINVPLQSEAFVAGCVAYFLDNTLHRKDSSVRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >ONI08432 pep chromosome:Prunus_persica_NCBIv2:G5:15018739:15022385:1 gene:PRUPE_5G177700 transcript:ONI08432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGAPKVDEPQPHPPKEQLPNISYCITSPPPWPDAILLGFQHYIVMLGTSVLIPSALVPQMGGGNEEKAKVIQTLLFVAGLNTLQQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDSDDPIDKFKRTMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVGLVGFGLYELGFPVLAKCVEIGLPELVILVFVSQYMPHIIHRGKPIFDRFAVIFSIVIVWIYAHLLTVGGAYDDASPKTQASCRTDRAGLINAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALIESTGAFIAVSRYASATPVPPAILSRGVGWQGVGILISGLFGTVTGSSVSV >ONI08429 pep chromosome:Prunus_persica_NCBIv2:G5:15017918:15023577:1 gene:PRUPE_5G177700 transcript:ONI08429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGAPKVDEPQPHPPKEQLPNISYCITSPPPWPDAILLGFQHYIVMLGTSVLIPSALVPQMGGGNEEKAKVIQTLLFVAGLNTLQQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDSDDPIDKFKRTMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVGLVGFGLYELGFPVLAKCVEIGLPELVILVFVSQYMPHIIHRGKPIFDRFAVIFSIVIVWIYAHLLTVGGAYDDASPKTQASCRTDRAGLINAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALIESTGAFIAVSRYASATPVPPAILSRGVGWQGVGILISGLFGTVTGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHSGGRWFNDMINVPLQSEAFVAGCVAYFLDNTLHRKDSSVRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >ONI08431 pep chromosome:Prunus_persica_NCBIv2:G5:15018424:15023577:1 gene:PRUPE_5G177700 transcript:ONI08431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGGAPKVDEPQPHPPKEQLPNISYCITSPPPWPDAILLGFQHYIVMLGTSVLIPSALVPQMGGGNEEKAKVIQTLLFVAGLNTLQQTLFGTRLPAVIGGSYTFVPTTISIILAGRFSDSDDPIDKFKRTMRAIQGSLIVASTLQIVLGFSGLWRNVARFLSPLSVVPLVGLVGFGLYELGFPVLAKCVEIGLPELVILVFVSQYMPHIIHRGKPIFDRFAVIFSIVIVWIYAHLLTVGGAYDDASPKTQASCRTDRAGLINAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALIESTGAFIAVSRYASATPVPPAILSRGVGWQGVGILISGLFGTVTGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHSGGRWFNDMINVPLQSEAFVAGCVAYFLDNTLHRKDSSVRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >ONI05395 pep chromosome:Prunus_persica_NCBIv2:G5:634359:636669:-1 gene:PRUPE_5G005500 transcript:ONI05395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVASSYSLPLLRPLSNNTGIAICRKSYVQCCRNKEPEPEPESCFGIQCQAKRRQVLLGTTFAAFSFPELISNALAENDVPEGFRIYTDDVNKFKILIPQGAGEPTGFKSVTAFYPEEGYSSISVVITGLGPDFTKMESFGKVEAFAETLVSGLDRSWQRPAGVAAKLIDCKASKGLYFIEYSLQKPGESVKHLFSALGMATNGWYNRLYTVTGQYVEEESDKYSSNIEKAVKSFKFI >ONI05396 pep chromosome:Prunus_persica_NCBIv2:G5:634817:636570:-1 gene:PRUPE_5G005500 transcript:ONI05396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVASSYSLPLLRPLSNNTGIAICRKSYVQCCRNKEPEPEPESCFGIQCQAKRRQVLLGTTFAAFSFPELISNALAENDVPEGFRIYTDDVNKFKILIPQDWQVGAGEPTGFKSVTAFYPEEGYSSISVVITGLGPDFTKMESFGKVEAFAETLVSGLDRSWQRPAGVAAKLIDCKASKGLYFIEYSLQKPGESVKHLFSALGMATNGWYNRLYTVTGQYVEEESDKYSSNIEKAVKSFKFI >ONI05402 pep chromosome:Prunus_persica_NCBIv2:G5:674378:677659:-1 gene:PRUPE_5G005700 transcript:ONI05402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSENEGIEGNTFVVTGGLGFVGSALCLELLRRGAHQVRAFDLRTTSPWSANLLQHGVHCVQGDVTHKKDVEKALRGADCVFHLASYGMSGKEMLQFGRVDEVNINGTCHILDACLEFGIQRLVYVSTYNVVFGGEEIVNGNEALRYFPIDSHVDPYGRSKSIAEQLVLKYNARPFRKKSGKCLYTCAVRPAAIYGPGEERHLPRIVSLAKLGLVPFKIGEANVKTDWIYVDNLVLALVMASMGLLDDIPGRDKERHPIAAGQPYFVSDGSPVNTFEFIRPLLRSLDYDLPKASLSVPRALSLGKVFWAVYTILYPWLNRWWLPQPLILPAEVYKVGVTHYFSFLKAKEELGYVPMVTPREGMAATISYWQERKRKTLDGPTIYAWLFCVLGMATLFCAAYLPDIGPVPLFRAISLFFLRSMRVVRTTFLLAAAAHIGEAVYAWKLAKRVDPANSRGWFWQTLALGFFSLRFLLKRARKARAPRH >ONI05401 pep chromosome:Prunus_persica_NCBIv2:G5:673356:677657:-1 gene:PRUPE_5G005700 transcript:ONI05401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLGERRLSMGMRPCATSPLIAMSTRMAVVNQLPSSWSSSTMLVPLGSPVNTFEFIRPLLRSLDYDLPKASLSVPRALSLGKVFWAVYTILYPWLNRWWLPQPLILPAEVYKVGVTHYFSFLKAKEELGYVPMVTPREGMAATISYWQERKRKTLDGPTIYAWLFCVLGMATLFCAAYLPDIGPVPLFRAISLFFLRSMRVVRTTFLLAAAAHIGEAVYAWKLAKRVDPANSRGWFWQTLALGFFSLRFLLKRARKARAPRHYACL >ONI05403 pep chromosome:Prunus_persica_NCBIv2:G5:674392:677657:-1 gene:PRUPE_5G005700 transcript:ONI05403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLGERRLSMGMRPCATSPLIAMSTRMAVVNQLPSSWSSSTMLVPLGSPVNTFEFIRPLLRSLDYDLPKASLSVPRALSLGKVFWAVYTILYPWLNRWWLPQPLILPAEVYKVGVTHYFSFLKAKEELGYVPMVTPREGMAATISYWQERKRKTLDGPTIYAWLFCVLGMATLFCAAYLPDIGPVPLFRAISLFFLRSMRVVRTTFLLAAAAHIGEAVYAWKLAKRVDPANSRGWFWQTLALGFFSLRFLLKRARKARAPRH >ONI05400 pep chromosome:Prunus_persica_NCBIv2:G5:673753:677290:-1 gene:PRUPE_5G005700 transcript:ONI05400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSENEGIEGNTFVVTGGLGFVGSALCLELLRRGAHQVRAFDLRTTSPWSANLLQHGVHCVQGDVTHKKDVEKALRGADCVFHLASYGMSGKEMLQFGRVDEVNINGTCHILDACLEFGIQRLVYVSTYNVVFGGEEIVNGNEALRYFPIDSHVDPYGRSKSIAEQLVLKYNARPFRKKSGKCLYTCAVRPAAIYGPGEERHLPRIVSLAKLGLVPFKIGEANVKTDWIYVDNLVLALVMASMGLLDDIPGRDKERHPIAAGQPYFVSDGSPVNTFEFIRPLLRSLDYDLPKASLSVPRALSLGKVFWAVYTILYPWLNRWWLPQPLILPAEVYKVGVTHYFSFLKAKEELGYVPMVTPREGMAATISYWQERKRKTLDGPTIYAWLFCVLGMATLFCAAYLPDIGPVPLFRAISLFFLRSMRVVRTTFLLAAAAHIGEAVYAWKLAKRVDPANSRGWFWQTLALGFFSLRFLLKRARKARAPRHYACL >ONI05399 pep chromosome:Prunus_persica_NCBIv2:G5:673356:677657:-1 gene:PRUPE_5G005700 transcript:ONI05399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSENEGIEGNTFVVTGGLGFVGSALCLELLRRGAHQVRAFDLRTTSPWSANLLQHGVHCVQGDVTHKKDVEKALRGADCVFHLASYGMSGKEMLQFGRVDEVNINGTCHILDACLEFGIQRLVYVSTYNVVFGGEEIVNGNEALRYFPIDSHVDPYGRSKSIAEQLVLKYNARPFRKKSGKCLYTCAVRPAAIYGPGEERHLPRIVSLAKLGLVPFKIGEANVKTDWIYVDNLVLALVMASMGLLDDIPGRDKERHPIAAGQPYFVSDGSPVNTFEFIRPLLRSLDYDLPKASLSVPRALSLGKVFWAVYTILYPWLNRWWLPQPLILPAEVYKVGVTHYFSFLKAKEELGYVPMVTPREGMAATISYWQERKRKTLDGPTIYAWLFCVLGMATLFCAAYLPDIGPVPLFRAISLFFLRSMRVVRTTFLLAAAAHIGEAVYAWKLAKRVDPANSRGWFWQTLALGFFSLRFLLKRARKARAPLHACSVRRIL >ONI08499 pep chromosome:Prunus_persica_NCBIv2:G5:15201172:15205571:1 gene:PRUPE_5G181900 transcript:ONI08499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSPALSISSSSPLPASLSNLKPKSHHLSVVTKTPDSSVHRRFLSGHRNFLSGDRRLAFSARAKPKDLILGNPSVTVEKGKYSYDVETLINKLSSLPPRGSIARCLDIFKNKLSLNDFALVFKEFAARGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLDKCSEVFDDMPSQGVVRSVFSYTALINAYGRNGQYETSLQFLDRMKKDKVSPSILTYNTVLNACARGGLEWEGLLGLFAEMRHEGIQPDLVTYNTLLSACAGRGLGDEAEMVFRTMNEGGIVPDITTYRYLVETFGKLDKLEKVSELLKEMESGGNLPDITSYNVLLEAYAQLGSIRESMGVFRQMQAAGCMPNAATYSILLNLYGRHGRYDDVRELFLEMKISNTEPDPATYNILIQVFGEGGYFKEVVTLFHDMVEENIEPNMETYEGLIYACGKGGLHEDAKNILLHMSEKGIVPSSKAYTGVIEAYGQAALYDEALVAFNTMNEVGSKPSVESYNSLIYAFARGGLYRETEAVLSIMGEVGAARNVHTFNGMIEAFRQGGQFEEAIKAYVEMEKRRCDHDEWTLEAVLSVYCVAGLVNECEEHFQEMKASGILPSVMCYCMMLAVYARNDRWDDANELLNEMLTNRASNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLKSEGCGLGMRFYNTLLEALWWLGQKQRAVRVLNEATQRGLFPELFRKNKLVGSVDVHRMWQGGAYAAMSVWLNNMYEMFLNGEDLPNIATVVVVRGKMEKSSMTQDLPIAKAAYSFLEDNMPSSFSFPKWNKGRILCQRPQLKRILSSIEPSTDGSERKKIITLSNSLFPPLGTKTSSKDVNSGRFTCRHFSTRMGIRQDQFIVQFPRVLIWWRMIFRVLTNQSISLQRRRHRPNRECSVPRSF >ONI08500 pep chromosome:Prunus_persica_NCBIv2:G5:15201264:15205061:1 gene:PRUPE_5G181900 transcript:ONI08500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSPALSISSSSPLPASLSNLKPKSHHLSVVTKTPDSSVHRRFLSGHRNFLSGDRRLAFSARAKPKDLILGNPSVTVEKGKYSYDVETLINKLSSLPPRGSIARCLDIFKNKLSLNDFALVFKEFAARGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLDKCSEVFDDMPSQGVVRSVFSYTALINAYGRNGQYETSLQFLDRMKKDKVSPSILTYNTVLNACARGGLEWEGLLGLFAEMRHEGIQPDLVTYNTLLSACAGRGLGDEAEMVFRTMNEGGIVPDITTYRYLVETFGKLDKLEKVSELLKEMESGGNLPDITSYNVLLEAYAQLGSIRESMGVFRQMQAAGCMPNAATYSILLNLYGRHGRYDDVRELFLEMKISNTEPDPATYNILIQVFGEGGYFKEVVTLFHDMVEENIEPNMETYEGLIYACGKGGLHEDAKNILLHMSEKGIVPSSKAYTGVIEAYGQAALYDEALVAFNTMNEVGSKPSVESYNSLIYAFARGGLYRETEAVLSIMGEVGAARNVHTFNGMIEAFRQGGQFEEAIKAYVEMEKRRCDHDEWTLEAVLSVYCVAGLVNECEEHFQEMKASGILPSVMCYCMMLAVYARNDRWDDANELLNEMLTNRASNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLKSEGCGLGMRFYNTLLEALWWLGQKQRAVRVLNEATQRGLFPELFRKNKLVGSVDVHRMWQGGAYAAMSVWLNNMYEMFLNGEDLPNIATVVVVRGKMEKSSMTQDLPIAKAAYSFLEDNMPSSFSFPKWNKGRILCQRPQLKRILSSIEPSTDGSERKKIITLSNSLFPPLGTKTSSKDVNSGRYNDVTSDERLRIRTELLTSAV >ONI09032 pep chromosome:Prunus_persica_NCBIv2:G5:16859722:16860923:-1 gene:PRUPE_5G213200 transcript:ONI09032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKALGLKSPGSGSKKSLGSGGFGSGPGKPKRVMTVGELMRIQMGISDAMDSRVRRACLGFLLLSEFCSMVRKIFIYTVEEVKRLSPKIKLTLNEEVKPGKPDSEAAVNTEDQSSIVGAAY >ONI06291 pep chromosome:Prunus_persica_NCBIv2:G5:5498674:5501880:-1 gene:PRUPE_5G051200 transcript:ONI06291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIISIILLLWRIIILSCCYGAATTSAASAPIAKPNCTTHCGDIAIPYPFGIGPHKDCYLDEWFQIDCRHDNSTTSANYSRQAPFLKSVNLELLSIFPFNDYGVQSVQVKNPITFFSCEGKETRQPQNLTGSPFIYSRTDNIFIAVGCDLFARMRSDHGPVAGCGSICQNNADGDYDNCNEGNGCCQGTLLTYELTNLSIEIPSNSSTKSDPMGDCKYAFLVDHNWFANNLTFRDVKDMDSVPVVLDWMLNVHDYGERFREKPDLTDANQSTSLCTSNNDYNGTIMICVCPPGMEGNPYLLQPCQDIDECKGSSKCEVGDVCENLDGGYSCYSNLNGRRCTHFASDLACHFRTTSGRASKTVILAIISGLGSSVGVWLLLIGAWLVHKIVKIKKTIARKKMFFKRNGGLLLEQQLSSGEVNVDKIKLFNSKELEKATNNFSIDRILGQGGQGTVYKGMLADGRIVAVKKSKMVDTSKLSDFINEIVILSQINHRNVVQIMGCCLETEVPLLVYEFIPNGTLSQYIQGQIEEFTLTWEMRLQIATEVAGALSYLHGAASVPIYHRDIKSANILLDRKYRAKIADFGTSRSISIDQTHLTTCVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQKPISAVTWSQEKEYRSLATYFINSMQEDRLFNIVDARVLKEGSETEIQVVANLARRCLNLNGRNRPTMREVTSELEAVQMSRKPSISAEQNSEGVDFVEDDAVGHWDVESLSEVSASY >ONI06511 pep chromosome:Prunus_persica_NCBIv2:G5:7967958:7973602:-1 gene:PRUPE_5G065600 transcript:ONI06511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLCESLAVILMKEFIRLFGGYKIDWKKIFFVGAIMTASCVMLQMFILNDPLDMWFLSLPVAIPSDKPLNSSLSLNKTSTEARVKRLQIISGAPIVSPNPPIDLNLSVPIMPVAALVPPRGKSRRRRKDSKVDNMSKVVPPPPPPRRTVPSRMQKFIWSLTPKEALVYAKKEVEHAPAVMEDDPDLYAPIFRNISVFKRSYELMELILKVYIYRDGARPIFHQPHLRGIYASEGWFMKLMEENRQFVTRDPEMAHLFYFPYSMRQLGMALYVPNSHNLKPLSIFLRDYTNTIAAKYPFWNRTHGSDHFLVACHDWGPYTLTAHEELTKNTIKALCNADTSEGIFVARKDVSLPETTIRTPRKPLRNVGGFRVSQRPLLAFFAGNMHGRVRPTLLKHWQDKHEDMKIYGPLPLRVSRKMSYVQHMKSSKFCICPMGYEVNSPRIIESIYYECVPVIIADNFPPPLSDVLDWSKFSVAVAEKDIPKLREILVAIPMRRYLTMQINVKMVQKHFLWNPRPIRYDLFHMILHSIWSSRLNQIQIPES >ONI06514 pep chromosome:Prunus_persica_NCBIv2:G5:7968332:7972369:-1 gene:PRUPE_5G065600 transcript:ONI06514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILNDPLDMWFLSLPVAIPSDKPLNSSLSLNKTSTEARVKRLQIISGAPIVSPNPPIDLNLSVPIMPVAALVPPRGKSRRRRKDSKVDNMSKVVPPPPPPRRTVPSRMQKFIWSLTPKEALVYAKKEVEHAPAVMEDDPDLYAPIFRNISVFKRSYELMELILKVYIYRDGARPIFHQPHLRGIYASEGWFMKLMEENRQFVTRDPEMAHLFYFPYSMRQLGMALYVPNSHNLKPLSIFLRDYTNTIAAKYPFWNRTHGSDHFLVACHDWGPYTLTAHEELTKNTIKALCNADTSEGIFVARKDVSLPETTIRTPRKPLRNVGGFRVSQRPLLAFFAGNMHGRVRPTLLKHWQDKHEDMKIYGPLPLRVSRKMSYVQHMKSSKFCICPMGYEVNSPRIIESIYYECVPVIIADNFPPPLSDVLDWSKFSVAVAEKDIPKLREILVAIPMRRYLTMQINVKMVQKHFLWNPRPIRYDLFHMILHSIWSSRLNQIQIPES >ONI06513 pep chromosome:Prunus_persica_NCBIv2:G5:7968332:7972504:-1 gene:PRUPE_5G065600 transcript:ONI06513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLCESLAVILMKEFIRLFGGYKIDWKKIFFVGAIMTASCVMLQMFILNDPLDMWFLSLPVAIPSDKPLNSSLSLNKTSTEARVKRLQIISGAPIVSPNPPIDLNLSVPIMPVAALVPPRGKSRRRRKDSKVDNMSKVVPPPPPPRRTVPSRMQKFIWSLTPKEALVYAKKEVEHAPAVMEDDPDLYAPIFRNISVFKRSYELMELILKVYIYRDGARPIFHQPHLRGIYASEGWFMKLMEENRQFVTRDPEMAHLFYFPYSMRQLGMALYVPNSHNLKPLSIFLRDYTNTIAAKYPFWNRTHGSDHFLVACHDWGPYTLTAHEELTKNTIKALCNADTSEGIFVARKDVSLPETTIRTPRKPLRNVGGFRVSQRPLLAFFAGNMHGRVRPTLLKHWQDKHEDMKIYGPLPLRVSRKMSYVQHMKSSKFCICPMGYEVNSPRIIESIYYECVPVIIADNFPPPLSDVLDWSKFSVAVAEKDIPKLREILVAIPMRRYLTMQINVKMVQKHFLWNPRPIRYDLFHMILHSIWSSRLNQIQIPES >ONI06512 pep chromosome:Prunus_persica_NCBIv2:G5:7967413:7973602:-1 gene:PRUPE_5G065600 transcript:ONI06512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLCESLAVILMKEFIRLFGGYKIDWKKIFFVGAIMTASCVMLQMFILNDPLDMWFLSLPVAIPSDKPLNSSLSLNKTSTEARVKRLQIISGAPIVSPNPPIDLNLSVPIMPVAALVPPRGKSRRRRKDSKVDNMSKVVPPPPPPRRTVPSRMQKFIWSLTPKEALVYAKKEVEHAPAVMEDDPDLYAPIFRNISVFKRSYELMELILKVYIYRDGARPIFHQPHLRGIYASEGWFMKLMEENRQFVTRDPEMAHLFYFPYSMRQLGMALYVPNSHNLKPLSIFLRDYTNTIAAKYPFWNRTHGSDHFLVACHDWGPYTLTAHEELTKNTIKALCNADTSEGIFVARKDVSLPETTIRTPRKPLRNVGGFRVSQRPLLAFFAGNMHGRVRPTLLKHWQDKHEDMKIYGPLPLRVSRKMSYVQHMKSSKFCICPMGYEVNSPRIIESIYYECVPVIIADNFPPPLSDVLDWSKFSVAVAEKDIPKLREILVAIPMRRYLTMQINVKMVQKHFLWNPRPIRYDLFHMILHSIWSSRLNQIQIPES >ONI06510 pep chromosome:Prunus_persica_NCBIv2:G5:7967413:7974005:-1 gene:PRUPE_5G065600 transcript:ONI06510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLCESLAVILMKEFIRLFGGYKIDWKKIFFVGAIMTASCVMLQMFILNDPLDMWFLSLPVAIPSDKPLNSSLSLNKTSTEARVKRLQIISGAPIVSPNPPIDLNLSVPIMPVAALVPPRGKSRRRRKDSKVDNMSKVVPPPPPPRRTVPSRMQKFIWSLTPKEALVYAKKEVEHAPAVMEDDPDLYAPIFRNISVFKRSYELMELILKVYIYRDGARPIFHQPHLRGIYASEGWFMKLMEENRQFVTRDPEMAHLFYFPYSMRQLGMALYVPNSHNLKPLSIFLRDYTNTIAAKYPFWNRTHGSDHFLVACHDWGPYTLTAHEELTKNTIKALCNADTSEGIFVARKDVSLPETTIRTPRKPLRNVGGFRVSQRPLLAFFAGNMHGRVRPTLLKHWQDKHEDMKIYGPLPLRVSRKMSYVQHMKSSKFCICPMGYEVNSPRIIESIYYECVPVIIADNFPPPLSDVLDWSKFSVAVAEKDIPKLREILVAIPMRRYLTMQINVKMVQKHFLWNPRPIRYDLFHMILHSIWSSRLNQIQIPES >ONI06136 pep chromosome:Prunus_persica_NCBIv2:G5:4710734:4712263:1 gene:PRUPE_5G042700 transcript:ONI06136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISLRFQEDQPEFQNQQNPLIKAKVPLTIFNQPFTSSIATVPSTTTSTSMPTNSSSHLSFSLSSNFSSGPSVKLSYSHTSTTPSPFSLSLKSGLGLFGSPKNSPLVFTANFSLSHTNPSFSLHIKPQFGNFSLKQTAFSDPNFKQISGSASNVGAHLDSGSVSNGDFDNGFVSEGSSVWQELRLEPCGGKNGAVNSEFRDNCGAHLNGGSVAEKPLAWKNGGKDGLFSGIAVMARTVLPVTKSVVMNMRWGVNLPPKFGKAMPYLTLNKIGIERVEEVKAEEEKGTDSSNVGDFELLKGMCFWMRRDLEVMEKENKEMKHRLEEMKSGVSRKHFRGESDSVGKRVSPPLDETSGGFEQWRNKKKVREENARTEAKKSTDSASDLESELQRAIKAATI >ONI07743 pep chromosome:Prunus_persica_NCBIv2:G5:12931978:12934167:-1 gene:PRUPE_5G136900 transcript:ONI07743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFGGGAFRASTSTGSGLITSATAFVRHFSRKRAENLRKINPKVSFPEANSIARDLYDVVKQHGPLTISNTWVQAKDSGLSGLNSKTHMKIMLKWMRGRKMLKLFPNQVGSTKKFLLCSLPEDPEVTEFRTSSAVKVQNRKPSVKRKKQKK >ONI07402 pep chromosome:Prunus_persica_NCBIv2:G5:11904615:11909908:1 gene:PRUPE_5G117700 transcript:ONI07402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDEVSKGSDDMMIDEYEQKQGNVVPDGFNSHYLKVYYGKLFPYADIFKWMSYGNDGKHPVCDQSYFSRREFSFTLDNEVYLRFQSFNSMSELENSVKEKCPVKIDIGPVYSVDPSKRHAYAQSGDNVFTPVERELIFDIDMSDYDDVRYCCSGADVCLECWPLMTIAVKVIDTSLRDDFGFSHILWVYSGRRGVHCWVCDGKARRLTNEQRAAIADYFRVYKGNENSHKKVSFTGLALHPFLARSYTEVLMDFFERKLLLSQEIFSTEERYEKILDMIPDPDQSITSELRGKWQEKRRTANSKDDINVVRWEQLKNMLQSGKHKVQGLRRCVEEIVFSFTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPNRCEEFDPTAVPTLSNLLEQLNIGGLRAEVDNEWDRTSLGKSIRFFRSSFLQPLLKSCKEEMESSYNAKLQQSKNSFSW >ONI09296 pep chromosome:Prunus_persica_NCBIv2:G5:17568866:17570561:-1 gene:PRUPE_5G229700 transcript:ONI09296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHFNNNNISPTLHFPILSSYGNPCLDLFFHVLAPNVEAEDDSDKPPASSSYKYLKQLLPLAWSHNPLTTLKLICNLRDCSNDLGKSDEEAFYAAAFWLHQNHPETLACNVASIAGEFSQSVALMHDLVEILYRLLQGQDVRRRREKEKAAGGGNHNNNSISSRRRRREQVIDIAMAKKKLKSDTEKLKKQDIHEISSTSYFCPSIDSSTERATFLCESVARKIFPRDSSPEYRVVSEARYAYRVRDRLRQEVLVPLINALDYFDDSGTKACAVKKYLEDVKGGHGGGKCNIAAGALLPNDIVGYADDVDVGQVAELQWKAMVMGFKKQGKLNNCLAVCDVSSSSMAGIQNYMDVSVGLGLLLSQLSEKPCWKGKVISFSPNPELHLVGGDDLKSRCEFVRRMDCGGSKIDLHKVFDLILEAAVKGNLKTEQMVKKVFVFTNTCFEVANSGNDNKKSCWESDYKAIQSKFKEKGYEKNAVPEIVYWKLDMLAVPRRRPGLAIFGGFSADLLKLFVDNDGQVSPYHVMEAAISPKHYQNLAVVD >ONI06387 pep chromosome:Prunus_persica_NCBIv2:G5:6250098:6252845:-1 gene:PRUPE_5G057700 transcript:ONI06387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCVGAQEGASLPNVGFTHYLRKDQRLMKAAQEGDIDGFYSLIQEDSCILERIDQVPFVHTPLHVAASAGHTHFALEMMRLKPQFTRKQNKEGFSALHLALKHGKTQTVLSVLSAYRDIVRVKGREGRTLLHCVAEIGNLDLLAEFLAACPESIIDLTNQKETALHIAAKNDKAGALEVLLGWIQHVDMDEVLQWTDVEGNTVLHIATARNQFQVMRLLIKRVDLNAKNLEGLTALDISLQGPDNNTEMINLLCRNGALGASSLRRVSSLADSLRKEMSLTEKWILRSYLAKCCMPNEKRNALLVIAVLIATATFQAVLNPPAGIEKGYSETPHNFKRNSAATTSSAEENAVSNFAFHSAAACVSFLAFNTMAFLTSISEIWFHLPQGLYFLIKLGLPLLLCYMLSLSLTAPVSSVTPFYVVLLFLSQVKAIVRVMFFKRTLELKLSLLKHCPSLHREMES >ONI07965 pep chromosome:Prunus_persica_NCBIv2:G5:13640456:13643388:-1 gene:PRUPE_5G150200 transcript:ONI07965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGFKMYEGDDGSIVYFLSQLFVLEGLEIALPPLPYSEEYKTDYLVDLGNNEFFYVKTSRTLLSVQYICITTFRIVVEEAGSLKMKTIHSTVRSVDIQCGEWFNLVSCFIFGCKDYEPKEEETSLDESFSMVNHRRMAKKEAGCGIAAREYVICCS >ONI07608 pep chromosome:Prunus_persica_NCBIv2:G5:12582310:12584139:1 gene:PRUPE_5G130200 transcript:ONI07608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKTSVFLALAICSLFINLAQCSQLIYQSKWKLLKRSIGVSAMHMALLPNDKVIIFDRTDAGPSNLSLPQENCARVHHQSAHEATDCYAHSVEFNPANRDFRPLTISTDTWCSSGALSQDGVLIQSGGYDDGYKVVRYFKPCLDCDWIEEQNGLIVPRWYASNQVLPDGRIIVVGGRFQFNYEFIPKTSTNDKKLYQLPFLEETMHLPKVPNNLYPFLHLSTDGNLFIFANDRAILFDYVHNKVVTKFPVMPGGVSRNYPSTGSSALLPISLVGNNSSPSVEVLVCGGTFPDSNVKANAGIYMPASKSCGRLIITEKNPNWEMEEMPINRVMGDMILLPTGDVLIINGAASGTAGWAVAREPVLNPVLYKPNHEKTGRFELMNPTTIPRLYHSTAHLLSDGRVLVGGSNPNVNYNFTTLYPTELSLEAFYPPYLSTSSKSRPSINSIKPGVDLTYLQKFYLGFKTNYVPDKIYVTMVAPSFTTHSFAMNQRVLVLDFSQVGKNGNLSGSKGYINLEGFAPARPELAPPGYYLLFVVCDGIPSRGMWVRIKKL >ONI06787 pep chromosome:Prunus_persica_NCBIv2:G5:9438317:9440007:1 gene:PRUPE_5G080800 transcript:ONI06787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPQLSSLSLSFSHTSNSTNTFPTLFILAFSQPQTMIQRSHHYRRNHLHAVPPATSGRALALHTFPPESGTGELVRRRGGLISVPVKLWSPPETHRRMTKLLLNVTIERSLGPVQVVMSQENTVSDLIKAAVEIYVREKRRPLVKETDPHRFELHYSQFSLESLKGDEKLIKLGSRNFFLCSSKSKPSANHSNYCGEAKKETRNSPFPWAKFMDFLL >ONI05669 pep chromosome:Prunus_persica_NCBIv2:G5:1989052:1991751:1 gene:PRUPE_5G018400 transcript:ONI05669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRRSLSRRRSFRSGVDMDDRGWTLLHIGCRKGDLKQVKRLLNEGMDVNVAAWGPKSKGITPLHLAAEGGHLEVMDELLERGANIDARTKGACGWTPLHSAAKERRREAVKFLVENGAFLPDDMYDCRFNPPLHYCPGLEWAYEEMKRLQLESASSGESSESSES >ONI06828 pep chromosome:Prunus_persica_NCBIv2:G5:9636118:9642048:1 gene:PRUPE_5G083700 transcript:ONI06828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEVEQVFMAQEVDIDYEFDAARCFNFTREETPAEASRAELWFESAKSYPPSPFVTRLVLGADILRENVNVSPKSRAVENTNDGECDMGGGEEVCAMDVNNRDCGGMNRGIFGNVQKVLNQPHGTGTGMTFYNHLAGDKLKGKSKSSVKPSFPRSSTLMKPTVSQLAKQNLKPQIGGSRFQMLHFENNEKSLCSSSGVESQAAKRQKLDGGHLCKVTDTKQQTNLVHKVPKKDETFDKTTAHAKLRLTIPREPDLETASRAHRIRPKNASVLEQVTSAHRKFKARPLNRKIFEAPSLPLPTRSTPKLPEFQEFHLKTMERAMQNTSAVSSSSHRFNDPEEGLDKPSISTVAENGNRESRRPSTVDGPKQDGNEVMQKFKARPLNKKILSSKGDIGVFWNNKRETTVPMEFNFQTERKNQQFPPTDLFSKLSLTSELQPKNGSRLKVSQPTSLSTKAQFGGDRCTTDVDNKSRMSRSFGVR >ONI06827 pep chromosome:Prunus_persica_NCBIv2:G5:9636118:9642048:1 gene:PRUPE_5G083700 transcript:ONI06827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEVEQVFMAQEVDIDYEFDAARCFNFTREETPAEASRAELWFESAKSYPPSPFVTRLVLGADILRENVNVSPKSRAVENTNDGECDMGGGEEVCAMDVNNRDCGGMNRGIFGNVQKVLNQPHGTGTGMTFYNHLAGDKLKGKSKSSVKPSFPRSSTLMKPTVSQLAKQNLKPQIGGSRFQMLHFENNEKSLCSSSGVESQAAKRQKLDGGHLCKVTDTKQQTNLVHKVPKKDETFDKTTAHAKLRLTIPREPDLETASRAHRIRPKNASVLEQVTSAHRKFKARPLNRKIFEAPSLPLPTRSTPKLPEFQEFHLKTMERAMQNTSAVSSSSHRFNDPEEGLDKPSISTVAENGNRESRRPSTVDGPKQDGNEVMQKFKARPLNKKILSSKGDIGVFWNNKRETTVPMEFNFQTERKNQQFPPTDLFSKLSLTSELQPKNGSRLKVSQPTSLSTKDSKENRSNFFQPEHKIMVKEKPSLFGAKQAQFGGDRCTTDVDNKSRMSRSFGVR >ONI06829 pep chromosome:Prunus_persica_NCBIv2:G5:9636500:9641151:1 gene:PRUPE_5G083700 transcript:ONI06829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEVEQVFMAQEVDIDYEFDAARCFNFTREETPAEASRAELWFESAKSYPPSPFVTRLVLGADILRENVNVSPKSRAVENTNDGECDMGGGEEVCAMDVNNRDCGGMNRGIFGNVQKVLNQPHGTGTGMTFYNHLAGDKLKGKSKSSVKPSFPRSSTLMKPTVSQLAKQNLKPQIGGSRFQMLHFENNEKSLCSSSGVESQAAKRQKLDGGHLCKVTDTKQQTNLVHKVPKKDETFDKTTAHAKLRLTIPREPDLETASRAHRIRPKNASVLEQVTSAHRKFKARPLNRKIFEAPSLPLPTRSTPKLPEFQEFHLKTMERAMQNTSAVSSSSHRFNDPEEGLDKPSISTVAENGNRESRRPSTVDGPKQDGNEVMQKFKARPLNKKILSSKGDIGVFWNNKRETTVPMEFNFQTERKNQQFPPTDLFSKLSLTSELQPKNGSRLKVSQPTSLSTKDSKENRSNFFQPEHKIMVKEKPSLFGAKQAQFGGDRCTTDVDNKSRMRCAS >ONI08217 pep chromosome:Prunus_persica_NCBIv2:G5:14355124:14358878:-1 gene:PRUPE_5G165100 transcript:ONI08217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSWASRVQAAKSLSAAHAARLHYEYEDYLDYLENLREQILKETEGILSESLGTDSFTLESKWERDASSVVIHEGEDDDDDEEEPAYRISWNEDNHMASEDSEGDDDAKSCFPCPFCYVDIEVPMLCNHLEEEHCFDFKNAVCPMCAANLGKDVVGHFMVHHASSFKHRRKSQKAGLWTGSSAMLGKALLKNGRASAHESAPDPLLSPFICNISFSDPIGIPEDICSNINAPITSSLKSAKSSSPDEGCEKDKEERRQRAAFVQQLITSTVFLDL >ONI08216 pep chromosome:Prunus_persica_NCBIv2:G5:14354825:14359049:-1 gene:PRUPE_5G165100 transcript:ONI08216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSWASRVQAAKSLSAAHAARLHYDNHMASEDSEGDDDAKSCFPCPFCYVDIEVPMLCNHLEEEHCFDFKNAVCPMCAANLGKDVVGHFMVHHASSFKHRRKSQKAGLWTGSSAMLGKALLKNGRASAHESAPDPLLSPFICNISFSDPIGIPEDICSNINAPITSSLKSAKSSSPDEGCEKDKEERRQRAAFVQQLITSTVFLDL >ONI07430 pep chromosome:Prunus_persica_NCBIv2:G5:12012077:12016085:1 gene:PRUPE_5G120100 transcript:ONI07430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAFEDEAEHTVSIQEYLKDVEDQELEADLVLGGDEGKECTYNNGYMKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHEIVELWTKRNFRCDCGNSKFGEFFCKLFPKKDVENVENSYNHNFKGSYCTCGRPYPDPDVEDQVEMIQCCVCEDWFHEEHIGLDSSDEIPRDEEGEPLYEDFICKGCSVICSFLRLYSQNILAAGSQKDSSVNTGKDKEVLGDVTLACGSGKLENDISHSYNNISEPVSGEEGLLLGENSGKNIGSDQCTTDANSQVTCVLGVNLVDASPVLECKPLFLSKNWRDALCRCEKCSEFYEQKHIRFLLDKEDTIMEYEKMAKQKREEKLQQQEGAELTMLDKLGHVEKIEILNGIADMKDELRSFLESFDPSKAITSDDVHQVFENLAKKRRRVE >ONI07429 pep chromosome:Prunus_persica_NCBIv2:G5:12012074:12016156:1 gene:PRUPE_5G120100 transcript:ONI07429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAFEDEAEHTVSIQEYLKDVEDQELEADLVLGGDEGKECTYNNGYMKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHEIVELWTKRNFRCDCGNSKFGEFFCKLFPKKDVENVENSYNHNFKGSYCTCGRPYPDPDVEDQVEMIQCCVCEDWFHEEHIGLDSSDEIPRDEEGEPLYEDFICKGCSVICSFLRLYSQNILAAGSQKDSSVNTGKDKEVLGDVTLACGSGKLENDISHSYNNISEPVSGEEGLLLGENSGKNIGSDQCTTDANSQVTCVLGVNLVDASPVLECKPLFLSKNWRDALCRCEKCSEFYEQKHIRFLLDKEDTIMEYEKMAKQKREEKLQQQEGAELTMLDKLGHVEKIEILNGIADMKDELRSFLESFDPSKAITSDDVHQVFENLAKKRRRVE >ONI06014 pep chromosome:Prunus_persica_NCBIv2:G5:3902023:3905702:1 gene:PRUPE_5G034200 transcript:ONI06014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHKKFIERCYTSSRSHPNFAILALSLVVTDSISFHGDFLKQHKRLLQLLHACSRVRSLRATKQLHALNITMGPSPTQPTFVYNNIMSQYSSLGELSVARYLFDKMPHRNVVSYNIIISGYSSCGYVGEAWKIFSVMRVCGFEPTQYAVGGFLTCRSLDVYHGVQLHSLVIKNGLFDVDAFVGTCLLGFYGRHGLLEEAVWAFEDMPCKSLVTWNSLIYLLGNHGFVKNCVFLFRELVRMHCTLSEGSFVGVFSVLSCQQDFEFGEQLHALVIKNGFKCEVAVLNSLISMYMKCTGIFLAEKIIEEVTFLDVVSWNTMIGAVAKTDRPQKALEFFTKMSMDGVLPTETTFVSLINCCTHLDIPFYGESFHVKIIQHGLESNVFVGSALVHFYAKCDNLESAQRCFNEIYMKNVVCWNALILGYSNNYSPASILLLQEMLHLGYRPNEFSFSAALKSSLALELQQLHCLIVRMGFQKHEYVLSSLITSYAKNGLISHVLVFLTDSDGLLAAVPSNVIAGIYNRIGRYNETLKFLSLREKLDIVSWNIVIAACARSGYYEEVFELYKQMHLIQVLPDNYTFVSLLSVCAKLCNFSLGSSLHGYIIKIDFSSCDTFACNVLIDMYGKCGSADSSVKIFEEMKEKNLITWTALISALGLNGYVHESLERFREMILLGFKPDGVAFTAVLTACRHGGLVRDGMELFGKMKMDYGVEPEMDHYHCMVDLLAKCGHVTEAETVISNMPFPPNVIIWRSFLEGCKTHGAAMDQAVGHL >ONI07496 pep chromosome:Prunus_persica_NCBIv2:G5:12238043:12238601:1 gene:PRUPE_5G123900 transcript:ONI07496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVTQKVVLSKKQINMGSCYKETTTHRNVTSAAILICHLVWVPILFIMHEPMTAQTANTKLYGILFIMHEPMTAQTANTKLYGHRITFRTGLINPD >ONI07346 pep chromosome:Prunus_persica_NCBIv2:G5:11762238:11765896:1 gene:PRUPE_5G114700 transcript:ONI07346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRHIGEVVGSMKALLMVQDETLINQCQCSLLHDVFSMAFDTIGEEIRLNLKLEEKKTKWKVLEKPLRELHTVFKEGELYIRHCMDASNWWSKTILLHQNKDSVEFHVHNLFCYYAAVIEAIENAGEIAGLDQDVMHKKRILLTRKYDREWNDPKLFQWRFGKQYLVPKAICKRLESAWREDRWRLIETLKENKISGSLGSTRNEQQLGDLLLKKLHGSDFSGKLFPSTILLASKDYQIRRRLGGGSQYKEIQWLGKNFALRHFFGDLEPLNSEISTLLSLSHPHVLQYLCGFYDEEKKECFLVMELMSKDLRCYMKENRGARRQVLFSIPVVVDIMLQIARGMEYLHSRKIYHGELNPCNVFLKARSCTEGYFQVKVSGFGLSSVHKPTSRYSQQQNEINPLIWCAPEVLAEQEQPGNNRRTKYTEKADVYSFAMLCFELLTGKVPFEDSHLQGDKMSRTIKAGGRPLFPFPSPKYLVNLTKRCWHTDPSQRLTFSSICRILRYIKNFLTLNPDDDQPILQSPPMDYCEIETWFLKNSSAAGYADLSSISQLPFQMFSYRLGEKEKTRPGLIRIKSLDSVSDTTSMSRQEAPNCRNDSVSVVEDPFVPLSDTRSVCSDLRSVFDLRSVCSEAPTKKTLTAKKRPGVSARKGLGTSRSPATPRFSTPRLSSPRLPPPKLPLPKIPPPKVSTPIKPCRRSSTKMNNGSSPLPSPMSTKSTASGRHRPCGHVSDSEIH >ONI07347 pep chromosome:Prunus_persica_NCBIv2:G5:11763013:11765896:1 gene:PRUPE_5G114700 transcript:ONI07347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRHIGEVVGSMKALLMVQDETLINQCQCSLLHDVFSMAFDTIGEEIRLNLKLEEKKTKWKVLEKPLRELHTVFKEGELYIRHCMDASNWWSKTILLHQNKDSVEFHVHNLFCYYAAVIEAIENAGEIAGLDQDVMHKKRILLTRKYDREWNDPKLFQWRFGKQYLVPKAICKRLESAWREDRWRLIETLKENKISGSLGSTRNEQQLGDLLLKKLHGSDFSGKLFPSTILLASKDYQIRRRLGGGSQYKEIQWLGKNFALRHFFGDLEPLNSEISTLLSLSHPHVLQYLCGFYDEEKKECFLVMELMSKDLRCYMKENRGARRQVLFSIPVVVDIMLQIARGMEYLHSRKIYHGELNPCNVFLKARSCTEGYFQVKVSGFGLSSVHKPTSRYSQQQNEINPLIWCAPEVLAEQEQPGNNRRTKYTEKADVYSFAMLCFELLTGKVPFEDSHLQGDKMSRTIKAGGRPLFPFPSPKYLVNLTKRCWHTDPSQRLTFSSICRILRYIKNFLTLNPDDDQPILQSPPMDYCEIETWFLKNSSAAGYADLSSISQLPFQMFSYRLGEKEKTRPGLIRIKSLDSVSDTTSMSRQEAPNCRNDSVSVVEDPFVPLSDTRSVCSDLRSVFDLRSVCSEAPTKKTLTAKKRPGVSARKGLGTSRSPATPRFSTPRLSSPRLPPPKLPLPKIPPPKVSTPIKPCRRSSTKMNNGSSPLPSPMSTKSTASGRHRPCGHVSDSEIH >ONI06678 pep chromosome:Prunus_persica_NCBIv2:G5:8817852:8819164:1 gene:PRUPE_5G073900 transcript:ONI06678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWVKSLQCKSRAFEDVYHPTPKNLMNSASCRQSVQNIKDVIDTTKHYKPRKLKPLPPAGPPRPPSKRPDPKSQLPTKPEPDSSPGQQTRTRPKRPHDPFLPALTELPEGHPSRNVVEIIFHTSWSPKAFSGRIDMIFKVQNGSKTVARFEEYRESVKARCRAGSKGGAQCEEENVRCVADGNEVMRFHCLGPTTSGFGVYDTCGGAWGFHGGKGKAICTFSGSGVAHENAGAGGSRGRRAMLVCRVVAGRVSKQLELESLLDGRVGFGFDSVSGDNGELLVFDSRAVLPCFLIIYKL >ONI07953 pep chromosome:Prunus_persica_NCBIv2:G5:13604601:13608023:-1 gene:PRUPE_5G149300 transcript:ONI07953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALARISRKALTSFSSSAQPTSLHRTFAAEASKAAAITPSPDRVKWDYRGQRKIIPLGQWLPKIAVDAYVAPNVVLAGQVTVNDGASVWPGSVLRGDLNKITVGFCSNVQERCVLHAAWSSPTGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHAILEAGSVVPPGRRIPTGELWAGNPARFVRTLTHEETLEIPKLAVAINDLSKEYFQEFLPYSTVYLEVEKFKKSLGISI >ONI07428 pep chromosome:Prunus_persica_NCBIv2:G5:12005021:12007789:-1 gene:PRUPE_5G120000 transcript:ONI07428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFELFFSFLLLLIFFFIIPTRASCPPSSCPNGPTVRFPFRLQSLQLRRCGYAGFDLSCNNQTLQTTLSFSNSSGNNFVVQTIEYDLQTLIVNDPDQCLPKRFLDGSFDKLLNTRPFRIHSFQMYTFLNCSPQATSFPAPARNISCLSGENHTVFAMRSGEYDNWLQSTAPSPSSSPSSSGLSCEVISSVRVPARAANELAVFGDAIEGVALTWSTPDCRVCETSGRYCGLNRDNQIVCSDPKAKRKGLPRSAKYGIIIGVVIPGFLCILGLASYVCGRINAYGRRNQPDMDLSTSASQQPAIVVVGLDSPTIESYPKTLLGESRRLPKPNDTTCSICLGEYQPKEELRTIPECNHYFHASCVDEWLKMNATCPVCRKSPEGSALVTPSSSMSSSSTSLPSP >ONI08671 pep chromosome:Prunus_persica_NCBIv2:G5:15745060:15745842:-1 gene:PRUPE_5G192800 transcript:ONI08671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSKVILHGSWLSLYTKRVELALKTKGISFEFVEEDLNNKSPLLLKYNPVHKKVPVLVHNGNLLLSHSSFLSTLMRHGKLVLDFCLRILTKGPEFASGLALSTNRFLSFVKQINDIFSAKIFVFESLSLACTSDGEVQEKAIKELLEKLKTFEEGMKEFFPDGIASIESRKNLGLLDIVLCSVFGPHKVQEEVLGIKIIDPEKTPLIFSWVTALTELPLVKELTPPHEKLLAFLQFFRNNALKSGAA >ONI07423 pep chromosome:Prunus_persica_NCBIv2:G5:11989079:11991373:-1 gene:PRUPE_5G119500 transcript:ONI07423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATLKIFTFFFFFPLVVPSTTTCVGSTCPAGGPLVRFPFLLRDHQASSCGHPGFNLSCNNKNQTILTLPSSSGDFIVQSIDYKYQTLTINDPDNCLPRRFLHHDINLNNSPFLYAYPPENYTFVGCSSQKASWPWNSAPAIPCLTEHHYKVIYVVQTSSLLQPDRILFPPVRKPLPAGCSKISTALVPFLFNFGAQLTWDSPNCRSCEAKGRVCGLENGTTTSQIRCFGSSGFSSLPRAARYGVVISVGIPGLLCIIGLAGYVCNKTRARGQIHQPTIAQLLSTIPDRRPSTVRIGLDDPTIKSYPEIQLGESWELSKLNDNTCPICLSEYQPKETLRTIPECGHYFHANCIDEWLRMNATCPVCRNPQKR >ONI05925 pep chromosome:Prunus_persica_NCBIv2:G5:3431260:3435335:1 gene:PRUPE_5G029200 transcript:ONI05925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFIDSREDIDFLCEKGMLANWLNPEDAAQFFNKLYNNTIVMGYYYSDLSDNMNNYYNTKWHKFMEILRRDYFSTLWTIVSVIAAFILLVLTLVQTLYTIQWP >ONI08276 pep chromosome:Prunus_persica_NCBIv2:G5:14518100:14520666:-1 gene:PRUPE_5G168900 transcript:ONI08276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPLLVVAPSEVTTAARFYRQVFGAKIVSNDPEMAEILIGSDLLIITCPARSRTDLSPPMLCLRLRCSDPEQFAKKILNTEQFTPMAEHCEWNVKDEHGIFWQFTNNCERPVLEAGPNVDHLDSEIKVISMIPKVFVPANQATNATNCYCNYFGGKTLHYNSIPRQEDEEDLIHCYRITLGSSDLLITDDDMLMNTCLQLNLHNSTDIRAARDFAKTNGCNVLRDVGEDPLRPGVLVATVTDPFKFVWQLSNSAGGLGPYEDGFLCFRCVRIVVIGGGNAEMFDIPGTPTVIPEDISRHEFFKRFWKGCREDVVVVVGYELSKEIVSYLRDNIGFRGMIVGLVSGSVDIDQEEQMMLMCQYGCDTSLGIPLTQRAIRNLVIAYLEKEEEEKAKAAAGSSTRKVESTERTNAKRLLSELRTEKEEALKRKIDEEKKEEALEKKVTKTEQEQEAEAPKEKNEKKKEEEEDKEKVEEEALRQQRKKRRTKKQRQQRRKISVGPFFRPPFLFAVRGGRL >ONI08275 pep chromosome:Prunus_persica_NCBIv2:G5:14517757:14520946:-1 gene:PRUPE_5G168900 transcript:ONI08275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPLLVVAPSEVTTAARFYRQVFGAKIVSNDPEMAEILIGSDLLIITCPARSRTDLSPPMLCLRLRCSDPEQFAKKILNTEQFTPMAEHCEWNVKDEHGIFWQFTNTNQATNATNCYCNYFGGKTLHYNSIPRQEDEEDLIHCYRITLGSSDLLITDDDMLMNTCLQLNLHNSTDIRAARDFAKTNGCNVLRDVGEDPLRPGVLVATVTDPFKFVWQLSNSAGGLGPYEDGFLCFRCVRIVVIGGGNAEMFDIPGTPTVIPEDISRHEFFKRFWKGCREDVVVVVGYELSKEIVSYLRDNIGFRGMIVGLVSGSVDIDQEEQMMLMCQYGCDTSLGIPLTQRAIRNLVIAYLEKEEEEKAKAAAGSSTRKVESTERTNAKRLLSELRTEKEEALKRKIDEEKKEEALEKKVTKTEQEQEAEAPKEKNEKKKEEEEDKEKVEEEALRQQRKKRRTKKQRQQRRKISVGPFFRPPFLFAVRGGRL >ONI09284 pep chromosome:Prunus_persica_NCBIv2:G5:17539727:17542058:-1 gene:PRUPE_5G229000 transcript:ONI09284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAEESSKFEAYPMKSGDGPNSYANNSTYQRGIVDIAKELLHKEIAEKLDIEIFISSNTFHIADLDCSVGPNTFFAVQNILEAVELKSQSQGLNSQISEFQVFFNDHTPNDFNMLFKSLPQNRRYYAAGVPGSFYGRLFPKASIHFFHASFCLHWLSRAPKEVADKNSSAWNKGRIHYLNSREEVVKAYEAQHGEDMECFLHARAQEIVCGGLMLLIVPGLPHGASHSHTEAKGSHEVLGSCLMDMARKKFEEQPSMYESGMPVNFLAVLKRKATCCYGVFGVCEAIVKLS >ONI07039 pep chromosome:Prunus_persica_NCBIv2:G5:10560323:10560946:-1 gene:PRUPE_5G096700 transcript:ONI07039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVHPLSISKTDDASTASTSSCSNNKYMTSKRETFTIWMKSLVMQGKGCTAFDQNGEIVYRIDNYDAKHSNEVYLMDLRGKLLFTVCEKKMCFFPSWKGYKNNGANKALFQLRKSCRSIPGKKGFAYKVTMRSDGSSYRLEGLSGKSSEFRITDSNGGVVAETKRKQSSSGVVLGDDVFTVVVEPHVDHSFIMALVTVHGLIRHQI >ONI07354 pep chromosome:Prunus_persica_NCBIv2:G5:11775659:11779925:-1 gene:PRUPE_5G114900 transcript:ONI07354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTRPMSRNFSQDEAEDDIASISHLISTLRSAFLTSDFNHVEEKLIARETKLKREIIKLKSENEVLEEKHQFDRLEKLNLEEERNAANERYEKLLEVVMRGVGSDDKVTMLELRRKNDELESEKKKLEALLHSNFTRWENNISRLENDTEELMNERRMGSRKMRGKRGNCGMIVDGKKDNCSVTRSPVIDLENVDDLNENGSVAASAPVSPLSDHSHSKGNGHQQNSGARKFSSGGAGFIVSSDDDCAPRENSGLSGVQKKPKFLKRKCASSLSSGVKENGDDNFDEMEQHSKLNLEPFGSKVNHCSEAGVTSTTNDPSSRDPVNLRQAEEEIEPEQKLMEFIRPLAEARVDCDSGSSSSSSSDSEDGNRRQFLYSYAMQKVKERKEYFSRDSCI >ONI07351 pep chromosome:Prunus_persica_NCBIv2:G5:11775607:11779936:-1 gene:PRUPE_5G114900 transcript:ONI07351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTRPMSRNFSQDEAEDDIASISHLISTLRSAFLTSDFNHVEEKLIARETKLKREIIKLKSENEVLEEKHQFDRLEKLNLEEERNAANERYEKLLEVVMRGVGSDDKVTMLELRRKNDELESEKKKLEALLHSNFTRWENNISRLENDTEELMNERRMGSRKMRGKRGNCGMIVDGKKDNCSVTRSPVIDLENVDDLNENGSVAASAPVSPLSDHSHSKGNGHQQNSGARKFSSGGAGFIVSSDDDCAPRENSGLSGVQKKPKFLKRKCASSLSSGVKENGDDNFDEMEQHSKLNLEPFGSKVNHCSEAGVTSTTNDPSSRDPVNLRQAEEEIEPEQKLMEFIRPLAEARVDCDSGSSSSSSSDSEDGNRRQFLYSYAMQKVKERKEYFSRDSCI >ONI07352 pep chromosome:Prunus_persica_NCBIv2:G5:11775992:11778329:-1 gene:PRUPE_5G114900 transcript:ONI07352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTRPMSRNFSQDEAEDDIASISHLISTLRSAFLTSDFNHVEEKLIARETKLKREIIKLKSENEVLEEKHQFDRLEKLNLEEERNAANERYEKLLEVVMRGVGSDDKVTMLELRRKNDELESEKKKLEALLHSNFTRWENNISRLENDTEELMNERRMGSRKMRGKRGNCGMIVDGKKDNCSVTRSPVIDLENVDDLNENGSVAASAPVSPLSDHSHSKGNGHQQNSGARKFSSGGAGFIVSSDDDCAPRENSGLSGVQKKPKFLKRKCASSLSSGVKENGDDNFDEMEQHSKLNLEPFGSKVNHCSEAGVTSTTNDPSSRDPVNLRQAEEEIEPEQKLMEFIRPLAEARVDCDSGSSSSSSSDSEDGNRRQFLYSYAMQKVKERKEYFSRDSCI >ONI07350 pep chromosome:Prunus_persica_NCBIv2:G5:11775992:11778329:-1 gene:PRUPE_5G114900 transcript:ONI07350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTRPMSRNFSQDEAEDDIASISHLISTLRSAFLTSDFNHVEEKLIARETKLKREIIKLKSENEVLEEKHQFDRLEKLNLEEERNAANERYEKLLEVVMRGVGSDDKVTMLELRRKNDELESEKKKLEALLHSNFTRWENNISRLENDTEELMNERRMGSRKMRGKRGNCGMIVDGKKDNCSVTRSPVIDLENVDDLNENGSVAASAPVSPLSDHSHSKGNGHQQNSGARKFSSGGAGFIVSSDDDCAPRENSGLSGVQKKPKFLKRKCASSLSSGVKENGDDNFDEMEQHSKLNLEPFGSKVNHCSEAGVTSTTNDPSSRDPVNLRQAEEEIEPEQKLMEFIRPLAEARVDCDSGSSSSSSSDSEDGNRRQFLYSYAMQKVKERKEYFSRDSCI >ONI07353 pep chromosome:Prunus_persica_NCBIv2:G5:11775992:11778329:-1 gene:PRUPE_5G114900 transcript:ONI07353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTRPMSRNFSQDEAEDDIASISHLISTLRSAFLTSDFNHVEEKLIARETKLKREIIKLKSENEVLEEKHQFDRLEKLNLEEERNAANERYEKLLEVVMRGVGSDDKVTMLELRRKNDELESEKKKLEALLHSNFTRWENNISRLENDTEELMNERRMGSRKMRGKRGNCGMIVDGKKDNCSVTRSPVIDLENVDDLNENGSVAASAPVSPLSDHSHSKGNGHQQNSGARKFSSGGAGFIVSSDDDCAPRENSGLSGVQKKPKFLKRKCASSLSSGVKENGDDNFDEMEQHSKLNLEPFGSKVNHCSEAGVTSTTNDPSSRDPVNLRQAEEEIEPEQKLMEFIRPLAEARVDCDSGSSSSSSSDSEDGNRRQFLYSYAMQKVKERKEYFSRDSCI >ONI07734 pep chromosome:Prunus_persica_NCBIv2:G5:12915662:12916632:1 gene:PRUPE_5G136400 transcript:ONI07734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSDSMEIPDGVTIKVKAKVIEVEGPRGKLTRNFKHLNLDFDLITDEATGKKKLKIEAWFGTRKTSAAIRTAISHVENLITGVTKGYRYKMRFVYAHFPINASIPNSNNAIEIRNFLGEKKVRKVEMLQGVNIYRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKRTIAEEE >ONI09176 pep chromosome:Prunus_persica_NCBIv2:G5:17265388:17269987:-1 gene:PRUPE_5G222100 transcript:ONI09176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASKTRNMLENLVREGSFKWLLGNRSPFDEELEEMERSPSAQTNWVPELSPIANIVVRRCSKILGVPTTELREGFNSEASESIKLPLCYAKNFLEYCCFRALALSTQVTGHLADRKFRRLTYDMMLAWEAPAATSQAILTGPTAFVQLDEDLSVGVEAFSRIASAVPTIANVIISENIFEVLTASTGGRLQFSTYDKYLSGLERAIRKMRTQSESSLLSAMRSPRGEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHAFYFEALRVVSYDKAKRYDLSDDLKQVVKPELTGPWGTRLFDKAVFYKSISLSEPAVIEFPELKGHTRRDYWLAIIREILYVHRFINNFQIKGVKRDEALSKAVLGILRLQAIQEICSANPLRYEALLMFNLCDQLPGGDLILETLADMSTVRELDRSSYSKPGGGMYSISALDMISNLGFAFGTSSNNSVEAGLAVGEITVGEVTLLERAVKESKNNYEKVAQAQATVDGVKVEGIDTNFAVMKELLFPFMELGKCLLSLAFWEDPMKSLVFCGVFTYIICRGWLSYAFALMLVFIAVFMVLTRYFSQGKSIHEVKVLAPPAMNTMEQLLAVQNAISQAEGIIQDGNVVLLKIRALLLSLFPQASEKFAVALVVAALTLAFMPSRYVFLLMFLEMFTRYSPMRRASTERWMRRLREWWFSIPAAPVILEREKEEKKKK >ONI09179 pep chromosome:Prunus_persica_NCBIv2:G5:17266182:17269904:-1 gene:PRUPE_5G222100 transcript:ONI09179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASKTRNMLENLVREGSFKWLLGNRSPFDEELEEMERSPSAQTNWVPELSPIANIVVRRCSKILGVPTTELREGFNSEASESIKLPLCYAKNFLEYCCFRALALSTQVTGHLADRKFRRLTYDMMLAWEAPAATSQAILTGPTAFVQLDEDLSVGVEAFSRIASAVPTIANVIISENIFEVLTASTGGRLQFSTYDKYLSGLERAIRKMRTQSESSLLSAMRSPRGEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHAFYFEALRVVSYDKAKRYDLSDDLKQVVKPELTGPWGTRLFDKAVFYKSISLSEPAVIEFPELKGHTRRDYWLAIIREILYVHRFINNFQIKGVKRDEALSKAVLGILRLQAIQEICSANPLRYEALLMFNLCDQLPGGDLILETLADMSTVRELDRSSYSKPGGGMYSISALDMISNLGFAFGTSSNNSVEAGLAVGEITVGEVTLLERAVKESKNNYEKVAQAQATVDGVKVEGIDTNFAVMKELLFPFMELGKCLLSLAFWEDPMKSLVFCGVFTYIICSTLVGFFSCRLTKVCISGDG >ONI09177 pep chromosome:Prunus_persica_NCBIv2:G5:17265313:17271033:-1 gene:PRUPE_5G222100 transcript:ONI09177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASKTRNMLENLVREGSFKWLLGNRSPFDEELEEMERSPSAQTNWVPELSPIANIVVRRCSKILGVPTTELREGFNSEASESIKLPLCYAKNFLEYCCFRALALSTQVTGHLADRKFRRLTYDMMLAWEAPAATSQAILTGPTAFVQLDEDLSVGVEAFSRIASAVPTIANVIISENIFEVLTASTGGRLQFSTYDKYLSGLERAIRKMRTQSESSLLSAMRSPRGEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHAFYFEALRVVSYDKAKRYDLSDDLKQVVKPELTGPWGTRLFDKAVFYKSISLSEPAVIEFPELKGHTRRDYWLAIIREILYVHRFINNFQIKGVKRDEALSKAVLGILRLQAIQEICSANPLRYEALLMFNLCDQLPGGDLILETLADMSTVRELDRSSYSKPGGGMYSISALDMISNLGFAFGTSSNNSVEAGLAVGEITVGEVTLLERAVKESKNNYEKVAQAQATVDGVKVEGIDTNFAVMKELLFPFMELGKCLLSLAFWEDPMKSLVFCGVFTYIICRGWLSYAFALMLVFIAVFMVLTRYFSQGKSIHEVKVLAPPAMNTMEQLLAVQNAISQAEGIIQDGNVVLLKIRALLLSLFPQASEKFAVALVVAALTLAFMPSRYVFLLMFLEMFTRYSPMRRASTERWMRRLREWWFSIPAAPVILEREKEEKKKK >ONI09178 pep chromosome:Prunus_persica_NCBIv2:G5:17265334:17270891:-1 gene:PRUPE_5G222100 transcript:ONI09178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASKTRNMLENLVREGSFKWLLGNRSPFDEELEEMERSPSAQTNWVPELSPIANIVVRRCSKILGVPTTELREGFNSEASESIKLPLCYAKNFLEYCCFRALALSTQVTGHLADRKFRRLTYDMMLAWEAPAATSQAILTGPTAFVQLDEDLSVGVEAFSRIASAVPTIANVIISENIFEVLTASTGGRLQFSTYDKYLSGLERAIRKMRTQSESSLLSAMRSPRGEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHAFYFEALRVVSYDKAKRYDLSDDLKQVVKPELTGPWGTRLFDKAVFYKSISLSEPAVIEFPELKGHTRRDYWLAIIREILYVHRFINNFQIKGVKRDEALSKAVLGILRLQAIQEICSANPLRYEALLMFNLCDQLPGGDLILETLADMSTVRELDRSSYSKPGGGMYSISALDMISNLGFAFGTSSNNSVEAGLAVGEITVGEVTLLERAVKESKNNYEKVAQAQATVDGVKVEGIDTNFAVMKELLFPFMELGKCLLSLAFWEDPMKSLVFCGVFTYIICRGWLSYAFALMLVFIAVFMVLTRYFSQGKSIHEVKVLAPPAMNTMEQLLAVQNAISQAEGIIQDGNVVLLKIRALLLSLFPQASEKFAVALVVAALTLAFMPSRYVFLLMFLEMFTRYSPMRRASTERWMRRLREWWFSIPAAPVILEREKEEKKKK >ONI09354 pep chromosome:Prunus_persica_NCBIv2:G5:17763119:17767398:1 gene:PRUPE_5G233900 transcript:ONI09354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHDDKINAVFYKKYSSFAIYEGRDGRNLVPYQTYETFREKDLDKNFVIYLRRWLQNFQFDEGANYFSLLREINGGESFNLVCKIIHIHEGAGNEWMAFVWDGTDAPPSNILQKLEDEMHHPLPLHLESLPLPRDTLCSFPSVGTVLRVVSQDIENDNLRLLKTGEWVKFLNLLCEVHAGLWRCVLTPFTKLRYTPNEDRLKIERQRLYDERLSRSPQSLRRMPFWSLPWPSQVTEVDGDDDDDHVIFLTLMDVLTSSEVTASFKCVVRVIAAFPWQAKDFCFPAGIDRIRLTLEDSTARIHAFLYAEDGVKFFDGQSSVKALESKLNALLGVIADNDGEQNDTRRNPPWVQICLKSQSDKSGSRHYRIFGTKLVA >ONI09352 pep chromosome:Prunus_persica_NCBIv2:G5:17763099:17767398:1 gene:PRUPE_5G233900 transcript:ONI09352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHDDKINAVFYKKYSSFAIYEGRDGRNLVPYQTYETFREKDLDKNFVIYLRRWLQNFQFDEGANYFSLLREINGGESFNLVCKIIHIHEGAGNEWMAFVWDGTDAPPSNILQKLEDEMHHPLPLHLESLPLPRDTLCSFPSVGTVLRVVSQDIENDNLRLLKTGEWVKFLNLLCEVHAGLWRCVLTPFTKLRYTPNEDRLKIERQRLYDERLSRSPQSLRRMPFWSLPWPSQVTEVDGDDDDDHVIFLTLMDVLTSSEVTASFKCVVRVIAAFPWQAKDFCFPAGIDRIRLTLEDSTARIHAFLYAEDGVKFFDGQSSVKALESKLNALLGVIADNDGEQNDTRRNPPWVQICLKSQSDKSGSRHYRIFGTKLVA >ONI09353 pep chromosome:Prunus_persica_NCBIv2:G5:17763642:17767398:1 gene:PRUPE_5G233900 transcript:ONI09353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHDDKINAVFYKKYSSFAIYEGRDGRNLVPYQTYETFREKDLDKNFVIYLRRWLQNFQFDEGANYFSLLREINGGESFNLVCKIIHIHEGAGNEWMAFVWDGTDAPPSNILQKLEDEMHHPLPLHLESLPLPRDTLCSFPSVGTVLRVVSQDIENDNLRLLKTGEWVKFLNLLCEVHAGLWRCVLTPFTKLRYTPNEDRLKIERQRLYDERLSRSPQSLRRMPFWSLPWPSQVTEVDGDDDDDHVIFLTLMDVLTSSEVTASFKCVVRVIAAFPWQAKDFCFPAGIDRIRLTLEDSTARIHAFLYAEDGVKFFDGQSSVKALESKLNALLGVIADNDGEQNDTRRNPPWVQICLKSQSDKSGSRHYRIFGTKLVA >ONI09351 pep chromosome:Prunus_persica_NCBIv2:G5:17763112:17767398:1 gene:PRUPE_5G233900 transcript:ONI09351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGDLYNFLKIRDAIDSVGEKVNLIGVVLECGFPRKTKGTDWFCSVRIIDETHQDPGLPVNVFTQTRNQLPRILSVGDIIQFQRVSMKIHDDKINAVFYKKYSSFAIYEGRDGRNLVPYQTYETFREKDLDKNFVIYLRRWLQNFQFDEGANYFSLLREINGGESFNLVCKIIHIHEGAGNEWMAFVWDGTDAPPSNILQKLEDEMHHPLPLHLESLPLPRDTLCSFPSVGTVLRVVSQDIENDNLRLLKTGEWVKFLNLLCEVHAGLWRCVLTPFTKLRYTPNEDRLKIERQRLYDERLSRSPQSLRRMPFWSLPWPSQVTEVDGDDDDDHVIFLTLMDVLTSSEVTASFKCVVRVIAAFPWQAKDFCFPAGIDRIRLTLEDSTARIHAFLYAEDGVKFFDGQSSVKALESKLNALLGVIADNDGEQNDTRRNPPWVQICLKSQSDKSGSRHYRIFGTKLVA >ONI09355 pep chromosome:Prunus_persica_NCBIv2:G5:17763119:17767398:1 gene:PRUPE_5G233900 transcript:ONI09355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQIISRCSEKLMEVKALIWFVKLEDEMHHPLPLHLESLPLPRDTLCSFPSVGTVLRVVSQDIENDNLRLLKTGEWVKFLNLLCEVHAGLWRCVLTPFTKLRYTPNEDRLKIERQRLYDERLSRSPQSLRRMPFWSLPWPSQVTEVDGDDDDDHVIFLTLMDVLTSSEVTASFKCVVRVIAAFPWQAKDFCFPAGIDRIRLTLEDSTARIHAFLYAEDGVKFFDGQSSVKALESKLNALLGVIADNDGEQNDTRRNPPWVQICLKSQSDKSGSRHYRIFGTKLVA >ONI09119 pep chromosome:Prunus_persica_NCBIv2:G5:17125208:17127362:1 gene:PRUPE_5G219400 transcript:ONI09119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQEAEYQGDVHDQPQMEAEEVELQDQQFEYQQVPDTGDGVPPLNYDDQNQHDQYQQPQPQPPNQNDYDPQPQPQPQVVFQQTQQPSQPQPMQFPPLGPPQPNPYQTQPQQFPPQSPQQFPPQRPQQFPPQSPQQFPPQSPIQFPPQRPQQLPPQNPQQFPPQSPQQFPPQSPKQFPPQRPQQYPPQSPQFQAQQQQQQQQQQGYQQPQMTPQPGAAAQFPPQNVQSHPNPMYGNGANQPGAYPPQAPQQSPAKFPPASPSTPHQVHYQQQQPLPAQAGYINVNAGNTNAMPDNNYAAQGVPVQQPHYQPGPLNHINLQNVGTEGWSSELFDCMDDPMNALTTAFVPCLTFGQIAEIVDNGTTSCAISGLFYGLIASFLGVPFIMSCTYRTKLRSMFGLVEAPAPDWVTHLFCEPCALCQEYRELQIRGIDPSIGWIGNLQRNPNLQQQLRANMMAPPPPQYMNHNCQ >ONI09513 pep chromosome:Prunus_persica_NCBIv2:G5:18222196:18224791:1 gene:PRUPE_5G242200 transcript:ONI09513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVLPLPGSGSNNKSLCGLSSSTAAATSYFRRRRIVAITNSTINGSHSSSSAEAEEDIQIKEIRVCTNRTCRRQGSFQTLDTLTALAPSNVAVKSSGCLGRCGAGPNLVALPAATLVAHCGTTARAVEVLVALVLLSRRRGGGVSNSDGANANKNIETIANKSLEALALRNKARNELLHNNNFSQAEFFLSQAIELKPIGGIHIMYKDRSLARLALGNYSGALEDAAQALALNPLYPEAYICQGDAFLALNQFQSAHNSYMASLEIDPSLRRSKSFKARIANLEKLTTGNMP >ONI09514 pep chromosome:Prunus_persica_NCBIv2:G5:18222276:18224791:1 gene:PRUPE_5G242200 transcript:ONI09514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVLPLPGSGSNNKSLCGLSSSTAAATSYFRRRRIVAITNSTINGSHSSSSAEAEEDIQIKEIRVCTNRTCRRQGSFQTLDTLTALAPSNVAVKSSGCLGRCGAGPNLVALPAATLVAHCGTTARAVEVLVALVLLSRRRGGGVSNSDGANANKNIETIANKSLEALALRNKARNELLHNNNFSQAEFFLSQAIELKPIGGIHIMYKDRSLARLALGNYSGALEDAAQALALNPLYPEAYICQGDAFLALNQFQSAHNSYMASLEIDPSLRRSKSFKARIANLEKLTTGNMP >ONI07518 pep chromosome:Prunus_persica_NCBIv2:G5:12303785:12306940:-1 gene:PRUPE_5G125100 transcript:ONI07518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSADVVRTVIGVIGNVISLILFLSPVPTFVRIWKKGSVEQYSPAPYLATLANCMVWALYGLPMVHPHSILVVTINGSAIFIELSYIILFLIYASDKKQRLKVLLILLVELVLMALLVLTVLILAHTYERRSLIVGIVCILCNIMMYASPLAVMKLVITTKSVEFMPFCLSLFSFANGLAWFSFALIRFDIFLTIPNGLGLLFGSGQLILYATYYKNTKRLLAERKARQVSLTEVVSDGDEPKKIGSTTQNGSAPHHGT >ONI09582 pep chromosome:Prunus_persica_NCBIv2:G5:18408543:18410248:1 gene:PRUPE_5G246100 transcript:ONI09582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRYAFGRADEATHPDSMRATLAEFVATFIFVFAGEGSVLALGKIYKDSGTSAAELIAIALAHAFSLFSAVSTSINVSGGHVNPAVTFGALIGGRLSVVRALYYWVAQLLGAIVASLLLRLVTNGMRTVAFSMASGVGEWHGLILEIVMTFGLVYTVYATAIDPKRGSLGTMAPLAIGLIVGANILVGGPFDGASMNPARAFGPALVGWRWRNHWIYWVGPFIGGGLAALIYEYMVIPTETPHHTHQPLAPEDY >ONI09102 pep chromosome:Prunus_persica_NCBIv2:G5:17064736:17069141:-1 gene:PRUPE_5G217800 transcript:ONI09102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSIVMYVPPHPPQDSDICGEHRESGILRHGFFASSLLQDSGLTEIGADTRERHKGPQDSASNSSTAVGFDERMLLHSEVQMKSHPHPERPDRIRAIAASLATAGIFPGRCYPISAREITREELQMVHSLDHIEAVDHTSHMFSSYFTPDTYANEHSAHAARLAAGLCADLTKEIVSGRAKNGFALVRPPGHHAGVRQAMGFCLHNNAAAAALAAQASGAKKVLIVDWDVHHGNGTQEIFDQNKSVLYMSLHRHEGGKFYPGTGAADEVGSMGAEGYCVNVPWSRGGVGDNDYIFAFQHVVLPIASEFAPDFTIISAGFDAARGDPLGCCDVTPAGYAKMTHMLTDLSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPGCELDNTLPSRSGLQTVLEVLQIQNKYWPALGSSLTKFQSQCSMYTIQNKKKQIKKRRRAMAPIWWKGGRKTLLYRLLNGHFHLKRRCL >ONI09101 pep chromosome:Prunus_persica_NCBIv2:G5:17064596:17070386:-1 gene:PRUPE_5G217800 transcript:ONI09101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAENPHVSSEYSLASETLQMSRLTNGEAEISLHKPNNQVQCQGSNGRYGNLGFDGTNGRPCRDENCGKEDIGVSSGDASTDVLSKKATQQKEMTLEDMYNQDLNDDDDDSDWEPFPQHVEIVKWFCTNCTMVNLDDVVHCDICGEHRESGILRHGFFASSLLQDSGLTEIGADTRERHKGPQDSASNSSTAVGFDERMLLHSEVQMKSHPHPERPDRIRAIAASLATAGIFPGRCYPISAREITREELQMVHSLDHIEAVDHTSHMFSSYFTPDTYANEHSAHAARLAAGLCADLTKEIVSGRAKNGFALVRPPGHHAGVRQAMGFCLHNNAAAAALAAQASGAKKVLIVDWDVHHGNGTQEIFDQNKSVLYMSLHRHEGGKFYPGTGAADEVGSMGAEGYCVNVPWSRGGVGDNDYIFAFQHVVLPIASEFAPDFTIISAGFDAARGDPLGCCDVTPAGYAKMTHMLTDLSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPGCELDNTLPSRSGLQTVLEVLQIQNKYWPALGSSLTKFQSQCSMYTIQNKKKQIKKRRRAMAPIWWKGGRKTLLYRLLNGHFHLKRRCL >ONI06809 pep chromosome:Prunus_persica_NCBIv2:G5:9583410:9584417:-1 gene:PRUPE_5G082500 transcript:ONI06809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFNPNTNDHDYSVVVMVENRGFPDDQSLVKFPQVSIAWNVFKSYHVIQDGTGTTTRSRNPITNPDTTHKFNALQSLPSHEIISNMLHELHISFPLDRLRWKPSRFVNNTEPLNNVEDTINNIRRAIDGMVTAGQRKKLDVIVTVDKQSKISQQQYDAMVARREVEHMLNDFRSMLSREGLLQQPHEEARDQATSSVDALRWRLVRSHLNEIRSRAAAAGMLNNINYEGGLDVNEILIEMGMLMEELSDDHQARLLRAKPATKASVEALAKLIKIDGVNYGTNSEYCVVCMEKMLSGEEVTCMPCSHLFHADCVVQWLKLRHTCPVCRFKLPTD >ONI07941 pep chromosome:Prunus_persica_NCBIv2:G5:13574432:13576468:1 gene:PRUPE_5G148600 transcript:ONI07941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLRDLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSNTIGVPEGFDYELYNRNDVNRILGPKASCIPFKDSACRCFGFLVSKKKYIFTIDDDCFVAKEPNGKEINALEQHIRNLLTPSTPLFFNTLYDPFANGSDFVRGYPFSLREGLPTAISHGLWLNIPDYDAPTQLVKPRERNTRYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCAKVICDHLLLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEDIIPFFQSIALREECTSVQDCYIELANKVKERLGPIDPYFEKLGDAMVTWIEAWNELNPPPPPAQTATVAHPNVAMKN >ONI07211 pep chromosome:Prunus_persica_NCBIv2:G5:11248417:11250684:-1 gene:PRUPE_5G106300 transcript:ONI07211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAATPVYASSIATTTATTTKPLSRSLPRSNLPAPNPYSLRTPSSLSLRAAQTTRRPVVFASASTAFEVDQAPASAAASKFLPFRVGHGFDLHRLEPGYPLIIGGINIPHDKGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWRGADSSVFIREAVRLMHEAGYEIGNLDATLILQRPKLSPHKETMRANLAKLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >ONI07560 pep chromosome:Prunus_persica_NCBIv2:G5:12465708:12469414:1 gene:PRUPE_5G127900 transcript:ONI07560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALVGGAAIGALFGALYDVVKESMGRTVLQYKPLLGDLKFTLESLRPRIVQQIGDHNVELGLPNDDIESLQRQMEEGIVLVRKLSNIGMWNCYAWGSCCNCTKPSYSDQLVDLDRSLRILLEILKLQEARDVKEVLLLARKIHDKQDELERRMSDLLKVQQEAGDVGGSSGSNTGTTIQQGNEGNGGHQVAPLGGVGGAATLGAVFGVLFDTVARVKDKTMMLKRPLEDVKSTLDSLKPLIEEIAAYNKVLNLPKEELEKVSFQMEEGVELLHKCSKVRQWTSCKRYEYANKLLGLDESLQRLLNILRVQLARDVRESLVSVSKIEKVIDQIEESGTVQLQNHPTEIEDSCDVLEHPQPEVGLSVQGTRDVNETLDSAAKIEVGVKRIEGSGDVQGQTDMGIGEPKLPTLEAPDAENEVTEYVPSMVTIGLDVLLRELKRELLKDEVSALVLTGPRGCGKTKLANKICQDKEVTDIFKNNIFFVRVSKRPNLRVIVRKLYQQIKHKGSQIPELQEDTIALKWLQVFLKETGQHPSLLVLDDVWPGSEPILDKFDNFKTSNYKILVTSRSEFPTFGSPYYLQSIYDEGTMTLSHHSRAYSFESLDDEDLVSVSPAPSFTAMDDDPTAYFRDFSTSYLEDKISHVSEDAYPMAHFHDSSASTARDRSSYVSRDVGTKFPLGNPVKSTQTYMQQKLELKVSADDKTMKKVMKAVCAIKGVSSTSWDAKNQKLTVVGQLDPALIATKLRKIVSKTEVISVGPAEEEIKEDKKERNLTMKEKMEDNKEENKEKIFTRKERR >ONI05548 pep chromosome:Prunus_persica_NCBIv2:G5:1341894:1343204:-1 gene:PRUPE_5G012500 transcript:ONI05548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSESAEWIFLATGLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGTLPDIYGLVAGISQCICSIVQYVYCLRHANSPFKASLLPAIFLMCLGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKADLPFLYDQETHTYLLFREDMMKSHMKAYVIKMMKSHMYHRMSIWSSLWEGHIGIEYNKEKLGIRHNDELGFETEYFCLGFNVYE >ONI05546 pep chromosome:Prunus_persica_NCBIv2:G5:1341156:1343613:-1 gene:PRUPE_5G012500 transcript:ONI05546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSESAEWIFLATGLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGTLPDIYGLVAGISQCICSIVQYVYCLRHANSPFKASLLPAIFLMCLGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKVESNIEQHRLQEQRRQPHEQQQLLEMWLHEQHEQYEQYEQQKQRRRRELQRLQEQQERLQELQRQLELEQEQEQQKFLRHRRVVKAD >ONI05547 pep chromosome:Prunus_persica_NCBIv2:G5:1341141:1343613:-1 gene:PRUPE_5G012500 transcript:ONI05547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATSESAEWIFLATGLGLEILSAACDQASSPRTPHYALFGMLFAIAAVLISIWELIYRGKKERVVLRRWGMLWWFYHTPPPRHTPFGTLPDIYGLVAGISQCICSIVQYVYCLRHANSPFKASLLPAIFLMCLGGSKLCNNRMNANTTDNKDSCENSSSTEETSWHAIKADLPFLYDQEVESNIEQHRLQEQRRQPHEQQQLLEMWLHEQHEQYEQYEQQKQRRRRELQRLQEQQERLQELQRQLELEQEQEQQKFLRHRRVVKAD >ONI08366 pep chromosome:Prunus_persica_NCBIv2:G5:14821535:14824118:1 gene:PRUPE_5G173700 transcript:ONI08366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSGVQGRLSSVFTDGSNPHGFTAFSSENSSEDELTDQLTDSGTSDTASETSVQDQDSFISDCESVVDSGSSSAQSRMFNDGLVALFDGDRVHDLIKQRFVSSLGLLGPHANVVAIHRNSYSSLVGQARLRSFQIYLKAVEEKCGGNPNVKYAWYAPSSKDEISKIICHGFGHHEKPQKDGLYGSGVYLAPDDSPMTCVEGSNVDEDGLRHLLLCRVILGRPEVVHPGSEQYHPSSEEFDSGVDNPIAPKKYIVWSTYMNTHILPEYVISFRAPTCLKGFLKTQESIKKPTSPWMPFPALIGVLSKFLPPPTFALISKHYKDHRENKISRHELIQRVRQIAGDKLLASIIKSFRAKV >ONI08365 pep chromosome:Prunus_persica_NCBIv2:G5:14821535:14824542:1 gene:PRUPE_5G173700 transcript:ONI08365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSGVQGRLSSVFTDGSNPHGFTAFSSENSSEDELTDQLTDSGTSDTASETSVQDQDSFISDCESVVDSGSSSAQSRMFNDGLVALFDGDRVHDLIKQRFVSSLGLLGPHANVVAIHRNSYSSLVGQARLRSFQIYLKAVEEKCGGNPNVKYAWYAPSSKDEISKIICHGFGHHEKPQKDGLYGSGVYLAPDDSPMTCVEGSNVDEDGLRHLLLCRVILGRPEVVHPGSEQYHPSSEEFDSGVDNPIAPKKYIVWSTYMNTHILPEYVISFRAPTCLKGFLKTQESIKKPTSPWMPFPALIGVLSKFLPPPTFALISKHYKDHRENKISRHELIQRVRQIAGDKLLASIIKSFRAKQIKGLQRVAQNGDRNGKEFKNNTGGVDEQPIPLLG >ONI08138 pep chromosome:Prunus_persica_NCBIv2:G5:14072556:14073792:-1 gene:PRUPE_5G158900 transcript:ONI08138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARQPYLVNNSMTGTNVYKQYLQQQQAEEFPERPGQPVCSYFLRTGDCKFKSNCKYHHPKTQTAVFPSCTL >ONI06349 pep chromosome:Prunus_persica_NCBIv2:G5:5875072:5879155:-1 gene:PRUPE_5G055200 transcript:ONI06349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKVGTLIWVLTYQPLVKESSNISSPKCIFKPSHPVVPWNPKHFLNCYTNMATTTQTSAPNNTTTICFSKYLHSLSQTPHRLRKRMLATWTPDQELNQVRQRSGADMKRKLKWYDLVALGVGGMLGVGVFVTTGQVAFETSGPSVFISYIIAGISALLSSLCYTEFSVQIPVAGGAFSYLRLTFGEFVGYFAGANILMEYVLSNAAVARNFTDYLSSAFGENDPKTWRVKVDGLVEGCNMLDFPAVALILLLTLCLCHSTKESSLLNLIMTVFHVVFFGFIIIVGFCKGSTNNLVKPKGLAPYGVRGVLDGAAKVYLSYIGYDSVSTMAEEIQNPSKSLPIGIVGSVVITSVLYCLMALSLCLMVPYNEISRNVAFSMAFRQIGWEWASNLVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLAKVHPSTGTPLNATLFLGLCTASIALFTDLEIVIDMISIGTLLVFYLVANALIYRRYVTISNNPPFQTLLFLFLLSSSAIGFSMSWKFKQQRWGLPLFGVSTITIIAFFNYTVPCLRHSTGWSMPFMPWPAALSIFLNVFLMTTLKQFSFERFAVWACLITLFYLLYGVHSTYQAEEMGTGEDEVDPSSAVQQSKLDNIQVL >ONI07157 pep chromosome:Prunus_persica_NCBIv2:G5:11011399:11013587:1 gene:PRUPE_5G103300 transcript:ONI07157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLVHVFEVFLPQLLLYPNPLDPLNGEAAAMHDRSAYEQRVKAFVAGGRGGFQNHNWTVFKKVLKVMNL >ONI07158 pep chromosome:Prunus_persica_NCBIv2:G5:11011879:11012262:1 gene:PRUPE_5G103300 transcript:ONI07158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPGGDKNLPQLFLKFVPDLVHVFEVFLPQLLLYPNPLDPLNGEAAAMHDRSAYEQRVKAFVAGGRGGFQNHNWTVFKKVLKVMNL >ONI07190 pep chromosome:Prunus_persica_NCBIv2:G5:11171176:11172203:1 gene:PRUPE_5G105200 transcript:ONI07190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSYGGGQSSLGYLFGSDEQQSPPPPSKPITPPYGIDSLDTVTEKPPGTPNSAEKEKAAVSNNYHRAEGQNSGNFLTDRPTTKVNSVPGGDSSLGYLFGDK >ONI08866 pep chromosome:Prunus_persica_NCBIv2:G5:16419229:16420760:-1 gene:PRUPE_5G205000 transcript:ONI08866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTPFNFDLDTNRAQLRPAQQPSPHYLIRVRGIPIGLYLLSCVYRRGDGCPIDKTVVSQLTTRRLMFQQKKSRRLVASYTTDVAPPPPPQTSALRRSVSKRASTRAPYKYPDQLLPLAWSHDSLTTLKLICNLRDDDYDLGKYYLQAFYRTAIWLHHNHPKTLACNADTIVSEFSKSVGTMDDLVEILYRLSKKDNNNTIAMANSNSDYRFLHDRVSDIFADYLREDIQNLEKQQEEILSSAAFVCPPVGSSLDRATLLCESIARKVFPIESQGLQEAHYADKVRDRLSKELLYLEDLKASKIELEADALLPHEIIGYVNDGDVGQAAELQWKAMVEEVYLKQGKFSNCLVACNVSSGLFKDVSAGLAVLVSQLGEEPWKGKEKGYGDAVPQIVFWQLDHYGTASVPCRRRPGVATLGGFSNNLFKSFLDNDGEVGPHHVMEAAISGPQYQNLAVVD >ONI05730 pep chromosome:Prunus_persica_NCBIv2:G5:2398720:2400338:1 gene:PRUPE_5G021700 transcript:ONI05730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAGLSSWSSKPSPISPSQHQASSSKISIKPWTFSITNSLKEITKTHYPISTTDQNQKFFSPSTHLNATLRSPRGFGPSPKKKKKTKKNTNQDNDSDEDDDEDEEEDEREEGVIPEIVTNRMIGRMGFTVGVPLFLGLLFFPFFYYLKVGLKIDVPTWVPFIVSFVFFGTALFGVSYGIVSSSWDPLREGSLLGWNEAQKNWPVFWQSLRGGSKKD >ONI06481 pep chromosome:Prunus_persica_NCBIv2:G5:7735128:7736354:1 gene:PRUPE_5G063500 transcript:ONI06481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFRIIEWDQGWNFIQEGIMNLKRRIAEGLPENQVSAAEIVDMYTTIYNMCTQKPPYQYTEPLYDRYQRTFEEHITSTVLPSLKAKPDEFLLQEFVKSWGDYKVMLRWMSRSFAYLDRYFLNRPSRPGLKEAAVKYYRDLVYREVNAIVREAAIRLIDKEREGGEIDRALLKNVIDIFVEIGEGQRDAYEKDFEGYMLTDTRDYYCRKASRWILEDNYTNYMLKVEECLRRERDIVSHYLHPSSEKMLVETVKHWLAVYANQLIGKKGSESGCGGDCLTVDNIEELSKNFIAAVVLEQQVPAQCSTLFQQAEDAAMQE >ONI05915 pep chromosome:Prunus_persica_NCBIv2:G5:3364280:3370234:1 gene:PRUPE_5G028600 transcript:ONI05915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLLPYITSIYLPLKPHQILKLHLSLFPHSPNPARTLFLGPLSRCCSSSSAVPQTPHPPNSINGDLGPYLSCSMPHQSLKVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENFWSECPWEEDLKYAKAVCNQVDVPLEVVHLTNEYWKNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAISCMKFDYVASGHYANVVHPSAGEMDEDSVLELSQDMVKDQTYFLSHLSQAQLKQLIFPLGCLSKDEVRKLATKFDLLNKQRKDSQGICFLGKLKFSEFIARHIGEKEGIILEAETGDFLGTHRGFWFYTIGQRQGLRLPGGPWYVVEKDIKNNVVFVSRNYFSVDKRRRLFRVGSLKWLNGFPQNQISQLQCKVRHGPGFRNCSLIMEPGAYGHEDVAVVHLSEDDQGLAAGQFAAFYQGRTCLGSGVILESWDDQGFPVCARALEIARMEDKSLLGKPVKIKVKPENPITESDQVDGMELCRGLKHSGISAAKQRMQVSQGEAITRFPVNWLQKFREKWLRIF >ONI05916 pep chromosome:Prunus_persica_NCBIv2:G5:3365191:3369865:1 gene:PRUPE_5G028600 transcript:ONI05916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLSAIRLGKGGALQLKRNDIGLQVDVPLEVVHLTNEYWKNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAISCMKFDYVASGHYANVVHPSAGEMDEDSVLELSQDMVKDQTYFLSHLSQAQLKQLIFPLGCLSKDEVRKLATKFDLLNKQRKDSQGICFLGKLKFSEFIARHIGEKEGIILEAETGDFLGTHRGFWFYTIGQRQGLRLPGGPWYVVEKDIKNNVVFVSRNYFSVDKRRRLFRVGSLKWLNGFPQNQISQLQCKVRHGPGFRNCSLIMEPGAYGHEDVAVVHLSEDDQGLAAGQFAAFYQGRTCLGSGVILESWDDQGFPVCARALEIARMEDKSLLGKPVKIKVKPENPITESDQVDGMELCRGLKHSGISAAKQRMQVSQGEAITRFPVNWLQKFREKWLRIF >ONI07198 pep chromosome:Prunus_persica_NCBIv2:G5:11195383:11197781:1 gene:PRUPE_5G105600 transcript:ONI07198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVAMADTETFAFQAEINQLLSLIINTFYNNKKIFLHELISNASDALDKIRFESLTDKRKLDSQPELVIRNVPDKSNRTLSIIDTGIGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGREIVTTKHNDDEQYVWESQAGGSFTIRKDVDGEPLGRGTTVTLFLKEDQLEFLEERKLKKLVKKHSEFISYLIYLQVEKIVEKEINDDEHEDGGEAKKKEGEGEVEEVDEEKDKEGRPKKKKVKEVRNEWELINKQKPIWLRKPEEVSKEEYAFFYKSLTNDWEDPLAWKHFSVEGQLEFTAILFVPKRAPFDLFDTRKKMSDIKLYVRRVFIMDNCEELMPKYLVFVKGVVDLDDLPLNISREMLQQNKILKVIRKNLVKKCIELFNEIAENKEDYLKFYEAFSKNLKLGIHEDNQNRGKLADLLRLKKKRYEVIFMVNVIDEYVVGQLKEYDGKKPVSATKEGLKLEDESKEEKRKEEEKKQLFENLCKTMKDILRDRVEKVVVSDRIVDSPCCLVTGEYRWTANMERIMKAQALRDNSMSSYMASRKIMEINPDNGIVKELRKRADVNKNDKSVKDLVLLLYETALLTSGFSLDDPNTIAGRIYRMLKLGLRIDDDDPRLDDDVDVPPLEEN >ONI08770 pep chromosome:Prunus_persica_NCBIv2:G5:16083742:16086702:-1 gene:PRUPE_5G199900 transcript:ONI08770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSETYQSKKVCVIGAGPSGLVAARELRKEGHRVVVLEQNHDVGGQWLYDPNVEGEDPLGRRPSLKVHSSLYTSLRLISPREIMGFTDFPFSVKKGRDMRRFPGHRELLLYLKDFCDWFGLRDLIRFNTRVAYVGMLDYYATSTDDVVGCEDLKWVVRSVDKKTQIVMEEVFDAVIVATGHYSQPRLPSIKGMDAWKRKQMHSHIYRVPEPFADEVVVVVGTSLSGQDISMELVEEAKAVYLSGKSLDISEGLSKVISKHENLHLRPQIDCLQEDGKVLFVDGSWVIADTIMYCTGYSYTFPFLDTKGIVAVDDDRVGPLYEHTFPPSLAPSLSFIGIPRKIIGFPFFESQAKWIAQLLSGKRTLPSRDDMMQSIKELYHSRDVAGIPKHNTHDIAEFEYCDRYGDHIGFPRLEEWRKELCLSALRNADTDLETYRDSWDDHELLQEALQSPHFTQHGAQDFPL >ONI07808 pep chromosome:Prunus_persica_NCBIv2:G5:13126544:13131423:1 gene:PRUPE_5G140900 transcript:ONI07808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSLILYSSAFGTPCGHNFCLKCFQKWVGQGKRTCAKCRNAIPPKMASQPRINSSLVVAIRMAKVSKSNVTGGPAKIYQFIQNQDRPDKAYTTDRAQKTGKANAASGKIFVTIPSDHFGPIPAENDPERNRGVLVGESWEDRLECRQWGVHFPHVSGIAGQSNHGAQSVVLSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQTFDKYNKALQVSCLKGYPVRVVRSHKEKRSSYAPEKGVRYDGCYRIEKCWKKVGVQGFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPPIPELKQATDITERKQSPSWDFVEKDGCWTWKKPAPVSKKPVQALNPDDRKRSRKAIRKAHNTTVRDRLLKEFSCQICRQVMTSPITTPCAHNFCKLCLEGAFAGKSFVRERNRGGRSLRSQKNVMNCPSCPTDISDFLQNPQVNREIMDMIESLKSTNEEEPNVDPPEESSEEGMADSDNEASTQMSEGKVVNDGGQNSPSTHKSTRSPKRVKFDLGDCSTKTDDGEENEIPAVQADGLEGDSELGNPKESNKSVAPVAKHGRKAVGQEALEEEAMGDDEGNGSPSSPLQVQSDEDPKESNKSEAPVAKCGRKAAGQAGVGAKTRGRKKAPEEEALEDDEGNGSPSSPLQVKSVEDAKESKKSVAPVAKREKKAAVQGGVAARTRGRKKAQEEEALGDDEGNVSPSSPLHVKTDDDFE >ONI07807 pep chromosome:Prunus_persica_NCBIv2:G5:13125563:13131423:1 gene:PRUPE_5G140900 transcript:ONI07807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVSHLPCDGDGVCMLCKTKPSDEDKLTCKTCVTPWHVACLVTRPESLASTLQWECPDCTTFSGESPAAPAVNSAGSGELVAAIRAIENDESLTEQEKAKKRQELLSGKAEVDEDDGGRQKGGRDVLDVLDKIFYCCFCMQLPERPVTTPCGHNFCLKCFQKWVGQGKRTCAKCRNAIPPKMASQPRINSSLVVAIRMAKVSKSNVTGGPAKIYQFIQNQDRPDKAYTTDRAQKTGKANAASGKIFVTIPSDHFGPIPAENDPERNRGVLVGESWEDRLECRQWGVHFPHVSGIAGQSNHGAQSVVLSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQTFDKYNKALQVSCLKGYPVRVVRSHKEKRSSYAPEKGVRYDGCYRIEKCWKKVGVQGFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPPIPELKQATDITERKQSPSWDFVEKDGCWTWKKPAPVSKKPVQALNPDDRKRSRKAIRKAHNTTVRDRLLKEFSCQICRQVMTSPITTPCAHNFCKLCLEGAFAGKSFVRERNRGGRSLRSQKNVMNCPSCPTDISDFLQNPQVNREIMDMIESLKSTNEEEPNVDPPEESSEEGMADSDNEASTQMSEGKVVNDGGQNSPSTHKSTRSPKRVKFDLGDCSTKTDDGEENEIPAVQADGLEGDSELGNPKESNKSVAPVAKHGRKAVGQEALEEEAMGDDEGNGSPSSPLQVQSDEDPKESNKSEAPVAKCGRKAAGQAGVGAKTRGRKKAPEEEALEDDEGNGSPSSPLQVKSVEDAKESKKSVAPVAKREKKAAVQGGVAARTRGRKKAQEEEALGDDEGNVSPSSPLHVKTDDDFE >ONI09001 pep chromosome:Prunus_persica_NCBIv2:G5:16781159:16784899:-1 gene:PRUPE_5G211500 transcript:ONI09001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLILLHTSHHLTPIHRRVLNAAVLTPLSKPLLTPFSLSGLRLQCLRSSFSPPLSLRSLSARAFDSSSSSSSSTKEEELKQIDGESGPQGKVNSESVNTGTPDKDYPTGEFQFQKMSSWKSFVVKLRMLIALPWERVKKGSVLTMKLRGQVSDQLKSRFSSGLSLPQICENLVKAAYDPRISGVYLQIESLNCGWGKVEEIRRHILDFKKSGKFILAYVPACGEKEYYLASACQEIYAPPSAYFSLFGLTVQASFVRGVLENVGIEPQVERIGKYKSAGDQLARKTMSEENCEMLTALLDNIYGNWLDVISSTRGKKREDIENFINEGVYQVDKFKEEGWITNIHYDDEVISLLKERLGVQKEKVLPMVDYRKYSKVRQSTVGLSGSKDKIAIIRASGSISRVRGSFSLPGSGIIGEQFIEKIRSVRESKKYKAAIIRIDSPGGDALASDLMWREIRLLAASKPVIASMSDVAASGGYYMAMAADTIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGKYAELLAAEQRSFRPEEAELFAKSAQNAYKQFRDKAAFSRSMTVCW >ONI09000 pep chromosome:Prunus_persica_NCBIv2:G5:16780352:16785022:-1 gene:PRUPE_5G211500 transcript:ONI09000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLILLHTSHHLTPIHRRVLNAAVLTPLSKPLLTPFSLSGLRLQCLRSSFSPPLSLRSLSARAFDSSSSSSSSTKEEELKQIDGESGPQGKVNSESVNTGTPDKDYPTGEFQFQKMSSWKSFVVKLRMLIALPWERVKKGSVLTMKLRGQVSDQLKSRFSSGLSLPQICENLVKAAYDPRISGVYLQIESLNCGWGKVEEIRRHILDFKKSGKFILAYVPACGEKEYYLASACQEIYAPPSAYFSLFGLTVQASFVRGVLENVGIEPQVERIGKYKSAGDQLARKTMSEENCEMLTALLDNIYGNWLDVISSTRGKKREDIENFINEGVYQVDKFKEEGWITNIHYDDEVISLLKERLGVQKEKVLPMVDYRKYSKVRQSTVGLSGSKDKIAIIRASGSISRVRGSFSLPGSGIIGEQFIEKIRSVRESKKYKAAIIRIDSPGGDALASDLMWREIRLLAASKPVIASMSDVAASGGYYMAMAADTIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGKYAELLAAEQRSFRPEEAELFAKSAQNAYKQFRDKAAFSRSMTVDKMEEVAQGRVWAGKDAASRGLVDAIGGLSRAVAIAKLKANIPQDRQVTLVELARPSPTLPEILSGIGSSLVGVDRTMKELLQDLTFGDGGVQARMEGIMFQRLEGASQANPIFSLLKDYLSSL >ONI05420 pep chromosome:Prunus_persica_NCBIv2:G5:726750:729248:-1 gene:PRUPE_5G006500 transcript:ONI05420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAHIPTKSAALIAMIADEDTVTGFLLAGVGNVDLRRKTNYLIVDSKTTVKAIEDAFKEFTTKEDIAIVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSSESVASGRR >ONI05421 pep chromosome:Prunus_persica_NCBIv2:G5:727121:728214:-1 gene:PRUPE_5G006500 transcript:ONI05421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELWNFIHTETTVKAIEDAFKEFTTKEDIAIVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSSESVASGRR >ONI09029 pep chromosome:Prunus_persica_NCBIv2:G5:16842209:16844766:-1 gene:PRUPE_5G213000 transcript:ONI09029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSVSTPNFTTPFVGQKLTFRANTKKLQTSYSPIRTPRCAADTSYGGNVPKFPRTSIWDPYKRLGVSYDASEEEIWGSRNFLLQQYAGHERSEESIESAFEKILMASFTQRKKTKINLKSKLKKKVEESPPWVKNLLNFVEVPPIEVIFRRFFLFAFMGGWSIMNSAEGGPAFQVAVSLAACIYFLNEKTKSLGRACIFGLGALVTGWVCGSVLVPNIPSMLLHPTWTLELVTSLVVYVFLFLACTFLK >ONI05505 pep chromosome:Prunus_persica_NCBIv2:G5:1049375:1051996:-1 gene:PRUPE_5G010400 transcript:ONI05505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHIKELQVLSLPPPSSSSSSSSRPPSFLSLSLCLQAAMRPTLPALLFFAFASLFLFAFFSLHLSRTTQLIQHFPIAIDNINYAIFHDPQLPSTHRALHVSSIIINHSAISTVSILFPAWELLLLVSPHTPISAADDTYVCLFQNNDTSPVRFSKTLPFSNRTTLTCPMPKRVKHLRPFYQPVLARSEEKDARAPGLGSPELIRWNFLVYESFSTENDVVVFVKGLNHRQGVNRPPSEFRCVFGDGDKNSVKTAVTSSTQEVFRCQHPNLTELEDTFYYNEQQQQQERRRQDVTKFKISLEIVDGLVVVPSVAYYTRPRPRTRLTTTQTTSSKPDPDHDVCACTMVYNVAKFLREWVIYHSKIGVDHFILYDNDSDDNLESVVLGLQQEGYNITTLFWVWPKTQEAGFSHSAIYAKHLCKWVLYIDVDEFVFAPYSWAHSPQPSKHIIKSLLPKHNNRKNNNNNVAVGQVSIKCNDFGPSNLKTHPAEGVTQGYTCRRRFGQRHKSMVLLDAVDYSLLNMIHHFEMKKGYRSRKLSMEEGVVNHYKYQVWPEFQTKFRRRVSAYVVDWTQELNPKSKDRTPGLGFEPVEPKGWADMFCEVRDERLKMLTQRWFGSPTSNNGGYRMLWQT >ONI07993 pep chromosome:Prunus_persica_NCBIv2:G5:13734096:13737190:1 gene:PRUPE_5G152100 transcript:ONI07993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSQPSDSNQTASGAFKFLQNLPSRGLLSSTFLSSNPGGMRVYVCVRDTSPPEDQHIQTNQQNILIRSLTLKKQKGESSSKDVKGAGAAEGSRKRVAERVLDSRTSAKRAASQSSSRQEGSSSNQASSRDFQGLTVERLRALLKAKGLSLKGKKDELIARLKSSS >ONI08301 pep chromosome:Prunus_persica_NCBIv2:G5:14589280:14598601:1 gene:PRUPE_5G170400 transcript:ONI08301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSMLLGDRRLASSSRRGPMTVLGKVPKPVNLPSQRLENHGRDPNVEIVPKGTLGWGSRSSSASNAWGSPSLSPKADGGTSPSHLSGHLSSGSGTRPSTAGSEKAHEPSSNAWGPNSRPSSASGALTSNQTSLTSLRPRSAETRPGSSQLSRFAEHSEHPVAWSAPGTAEKLGVLSAKNDGFSLSSGDFPTLGSEKDNPGNNAKSQDHSSYCRPGSSSSDRVAKETTGTSLVGDVSANANVKSGTANSWKRENPSYSGDGGRPGMEKWQGNPHPYPSANVPPQHYDGWHGGPVTNPQGGVWYRGPPGATPYGTPVPPGGFPMEPFPYYPPQIPPAALANAQPVPPPGAGPRGHHPKNGDMYRAHMQDAYIRPGMPIRPGFYPGPVPYEGYYPSPMGYCNPNERDVPFVGMAAGPPVYNRYPSQSAHEPGNSHGRPGGYGPTNQAVMSEQLESGHPHESRGPYKVLLKQHDSWDRRNEEQRNEGAVLSHASCLEREDQPRTLASENDWISDHRKGGERDQRKALGEETASQNFDNRGACSVPMKVAPESLGNIKADDVISVKKLGTEASGTAEVGQPLLAAAKDSSLIQKIEGLNAKARVSDGRNDTASVSSREEQKNRFQVNAKANHSVNERGSSFVNPERSHVTEIVNPSHEVGFSAGDKNQVTAGSGISISRRSNQGMHSRSDHRGRGRLNNQEGEGWWKKSLVSEPTTVVSSAHLETPNVHLQDHLATMEATEKSGSYPQGRHEEESATPLLDPNDSEAQRAKMRELAKQRTKQLQEEEEERTRRQMAKALAKLEELNRRTQVVEGSNEKFAKLNEKCEEEEERTREQTAKALAKLEELNRCTQVVEGSNEKFAKLNEKYEEEEERTRGQTAKAHAKLEELNKYTQVVEGSNEKFESHSSGAIQNKQEESQTSVEPLVPGRKSASGSNLNAVAEINESSSGKVEKSTVPSSGLLLETPMSAYKEPVEMHDQSAIVANAVHHNNAPQAHDINISRQKQAPKQRQNNQLEKKSTGKFTSMSTAEGQTDTVVNISASLGVIGSETALSSESSLTANSSAILESSSYPRKKHNRNGKNKHKTENTSTVAALPSSVSKETNIANATFESGRPKLSELEADPNSVHLQAIPRDAHQSSEQHSSLSNDESQGRVNSQWKSQHPRRGSRNAQAIKHSEKFHSTDAVVWAPVRSQNKADVNDEAIPKNEVEAVNAVKTDNKVQSNSKNKRAEMERYVPKPVAKEMAHQGSTQPPVTSLINQTTVNETIERADSASQGAESSQPTTITVGKVGIPIDSWNGSGRQTKHGKALGSWRQRGSTESTTTQGLQDGPSYTSNVSQSDKKSIQHHQPQKPDVGSVVEQPKSSDGYSDGWNMPNEPDVVAPVSVSIAKDQGVKGRGKQHPFKGHKAMGNHHDLDQKKTSRGVADKINNQSSVSEMGQDLPAASKENRAVGERAMPHWQPKSQALSANNQRGNRANGGQNVGVEVGQTIKKETSPRGGVPLQPTPDKDTTEYVTQQRHDQLISERNNAEEGLNKRERKAIRGRPHSPNLGPVRPVELAPTGMDARQEQHYHTGFRKNGNQNNRFGRGQESRGDWNYSGHDSRQHNPAANRERPRHSSHFEYQPVGPYNNNTKFDNSEGPRDGSHSAGGRVKERGQSHPRRGGGNFHGRQSGAVRVDADME >ONI06881 pep chromosome:Prunus_persica_NCBIv2:G5:9827484:9831357:1 gene:PRUPE_5G086900 transcript:ONI06881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLAFTLTSPTPFNPSSQRPFVSVSSPSTRVESFQFRGRQLCLRRRLLVLPSKATADQRQDKVEDEEVFDGKILQYCSIDKKGKKSLGELEQDFLQALQSFYYDGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGKPILTDEEYDKLKMRLKTEGSDIVVEGPRCSLRTRKVYSDLSVDYLKMLLLNVPATVVALAAFFFLDDVTGFEITYLLELPEPYSFIFTWFAAVPVIVYLAQALTKLIVKDVLILKGPCPNCGTENVSFFGTILSISNGGATNTIKCSNCGTPLVYDSNTRLITLPEGSNA >ONI06879 pep chromosome:Prunus_persica_NCBIv2:G5:9816352:9817376:-1 gene:PRUPE_5G086700 transcript:ONI06879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMSSWTAFFDLPIEQKEKYANDQASGKIQGYGSKLANNASGQLEWEDYFFHLVYPEDKRDLSIWPQTPADYIEATAEYAKELRALATKVLRVLSLGLGLEEGRLEKEVGGLEELLLQMKINYYPLCPQPELALGVEAHTDVSALTFILHNMVPGLQLFYEGKWVTAKCVPNSIIMHIGDTIEILSNGKYKSILHRGMVNKEKVRISWAVFCEPPKEKIILKPLPETVSETEPPIFPPRTFAEHIQHKLFRKSQEALLNK >ONI06878 pep chromosome:Prunus_persica_NCBIv2:G5:9816076:9817728:-1 gene:PRUPE_5G086700 transcript:ONI06878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGKYANDQASGKIQGYGSKLANNASGQLEWEDYFFHLVYPEDKRDLSIWPQTPADYIEATAEYAKELRALATKVLRVLSLGLGLEEGRLEKEVGGLEELLLQMKINYYPLCPQPELALGVEAHTDVSALTFILHNMVPGLQLFYEGKWVTAKCVPNSIIMHIGDTIEILSNGKYKSILHRGMVNKEKVRISWAVFCEPPKEKIILKPLPETVSETEPPIFPPRTFAEHIQHKLFRKSQEALLNK >ONI06877 pep chromosome:Prunus_persica_NCBIv2:G5:9816076:9818049:-1 gene:PRUPE_5G086700 transcript:ONI06877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSDSVNSRVETLSSSGIATIPKEYIRPKEELINISDIFEQEKSTDGPQVPTIDLKEIDSENENVRERCREELKKAAVDWGVMHLVNHGISDELMDRVRKAGKAFFDLPIEQKEKYANDQASGKIQGYGSKLANNASGQLEWEDYFFHLVYPEDKRDLSIWPQTPADYIEATAEYAKELRALATKVLRVLSLGLGLEEGRLEKEVGGLEELLLQMKINYYPLCPQPELALGVEAHTDVSALTFILHNMVPGLQLFYEGKWVTAKCVPNSIIMHIGDTIEILSNGKYKSILHRGMVNKEKVRISWAVFCEPPKEKIILKPLPETVSETEPPIFPPRTFAEHIQHKLFRKSQEALLNK >ONI07151 pep chromosome:Prunus_persica_NCBIv2:G5:10985890:10989205:-1 gene:PRUPE_5G102900 transcript:ONI07151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVNGESRTGNNALETINAAASAIAAAENRVPQATVQKRRWGSWWSMYWCFGFQRHKKRIGHAVLVPETTDRGGDAPRAENPIQTPSIVLPFVAPPSSPASFLQSEPPSATQSPAGFFSLTASMYSPSGPTSIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQLLDPHFRNGEGGQRFPLSHYEFQSYQLYPGSPVGQLISPSSGISGSGTSSPFPDLEFAARGHHFLEFRTGDPPKLLNLDILSTRDWGSRLGSGSVTPDGAKSTSSDGFLLKPQTPEVVLNPRSNNRGRNNDISINHRVSFELSSEEVIRCVEKKPVALAEAVSTSLEDTEKAQSKEDPSKVVSSSICPVGETSNDAAEKAVADGEEAQLHPKQRSITLGSVKEFNFDNPDGGDSGNSIGSDWWANEKVDAKENGPTKNWSFFPMMQPGVS >ONI08436 pep chromosome:Prunus_persica_NCBIv2:G5:15031754:15034574:-1 gene:PRUPE_5G177900 transcript:ONI08436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAGTTQKCKACEKTVYLVDQLTADNKVYHKACFRCHHCKGTLKLSNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGIPKTVRDRSEQVNNSKVSSLFAGTQDKCVACKKTVYPIEKVAVDGTSYHKPCFRCSHGGCVISPSNYVAHEHRLYCRHHHSQLFKEKGNFSQLSKQEEVQGVTENAEA >ONI06848 pep chromosome:Prunus_persica_NCBIv2:G5:9715799:9718090:-1 gene:PRUPE_5G085000 transcript:ONI06848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKCVVLQMQIVYLLSLLFMSSLSLAADEEQNGMQTYIVWVEKPVAQGSSAQSHEDLESWYQTFLPESTIATSNQLSKPRIVHAYHNVATGFAAKLTPEEVKAMEKKPGFVSAHPEAILPLHTTHSPNFLGLNQGLGFWKGSNYGKGVIIGVLDTGVSPDHPSFSDAGVPPPPAKWKGKCEFNGRVCNNKLIGARNFNGISTGQPAGDPPFDQEGHGTHTSSTAAGNFVKGAAVFGMVKGTAVGMAPYAHLAIYRVCSVAGCAEGDILAAMDAAVDDGVDVLSLSLGGFSRPFYSDGVAVGAFGAIQKGIFVSCSAGNSGPSYSTSSNEAPWILTVGASTIDRNIRATARLGNKKEYNGESLFQPNNFNSKIMLPLVDAGSLGNQTSAFCDPGTLKNVKGKIVLCERGGAGGRIDKGAEVKRAGGAAMILMNQRIDGFSTLADPHVLPTAHVSYDAGLKIKSYLKSTTKPTATILFKGTVIGDKHAPSVASFSSRGPSTASPGILKPDITGPGVSILATWPVSVDNATKSKATFNIISGTSMACPHLSGIAALLKGSHPDWSPAAIKSAIMTTADVHNLGGKSIVDQALKAADLFAIGAGHVNPSKANDPGLVYDTQPNNYIQYLCGLNYTDKQIQIITQQTVDCSKIGAIPEAQLNYPSFSIIIGSNKKTRSQLYTRTVKNVGEANSTYKLDILAPHKVDVNVSPEVLKFTKVKQTITYRVKFVAQEGAGKDGVLFGKGYLRWVSDNHNVASPIVVIFGS >ONI09172 pep chromosome:Prunus_persica_NCBIv2:G5:17245355:17248430:1 gene:PRUPE_5G221700 transcript:ONI09172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQLAGDSLPADFAGMSKNQLYTIMSQMKNLIEQNQQQARQILIQNPLLTKALFQAQIMLGMVRPPQVIPSIQPSASQHSQQSTQPTQQSNIQSASVSLGQVGLQDQTGPSQIQAPPRKQYQNQSAMPSSSAAVPSINLQSQPMPSHPLQTPQQPKGHLSHQMTPTSLPQSSQLPNIPSHPLHSSSQPPSLHQTQMATASGQLQQSLQTSGVLHMPMQPPLPPQPRPPSMPNFHHQYPQQMGPNMGYQHANSQHLPQSMFHSGTKPPASAGPSFPQGQPPLPSQPPPQSLYQGGGMHLGSEFNNQAGSSMQVDRGSWMSGPSESSSSGPPQLVPGQMGPGSQSTRPPPLTPDMEKALLQQVMSLTPDQINLLPPEQRNQVLQLQQILRQ >ONI08578 pep chromosome:Prunus_persica_NCBIv2:G5:15432661:15435843:-1 gene:PRUPE_5G186600 transcript:ONI08578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSFQLPTQQQSPPQQQPPPQSQSQPQPQPQPQPQPQPSEPPQPPRTVRKLIVEVVDARDLLPKDGQGSSSPYVVADFDGQRKRTSTKYKSLNPAWNEALEFVVSDPDHMEYEELEIEVLNDKRFGNSSGTARKNHFLGRVKLYGTQFSRRGDEGLVYFQLEKKSVFSWIKGEIGLRIYYYDELVEESPPPPPQEDPPQEKPRSPRPGVVGVEEGTVFEVPGFPLANRMHESSYSPPVVEESPPPMVHVHSEQAGHDMSSHHQHQPEAQFQSEVRKMETHRVMNTERVRILRKPNGDFSPKVISGKFAGPERERIHPRDLVEPMQYLFIRIVKARGLAPNESPYVRVRTSTHMVRSKPAVHRPGEPTDSPEWNQVFALAHNRPDSVNSELEIVVLDLPSDKFLGGIRFNLADVLVRDPPDSPLAPQWCSLDGDQNSGRITGEIQLSVWIGTQADDAFPEAWSSDAPFVAHTRSKVYQSPKLWYLRLTIMEAQDLHIPSNLPPLTAPEIRVKAQLGPQSARTRRGSMNNHSASFHWNEDLIFVAGEPLEDSLILIVEDRTNKDAAILGHVVIPVSSIEQRIDERYVASKWFGLECQGNELECRRTEPYCGRIHLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAVGILELGILGARGLLPMKAKSGGKGSTDAYCVAKYGKKWVRTRTITDSFDPRWNEQYTWQVYDPCTVLTIGVFDNWRMFAGVGEDKPDCRIGKIRIRISTLESNKVYTNSYPLLVLLRTGLKKMGEIELAVRFACPSLLPETCAVYGQPLLPRMHYLRPLGVAQQEALRGAATRMVSAWLGRSEPPLGSEVVRYMLDADSHSWSMRKSKANWFRIVAVLAWLVGLAKWSDDIRRWRNPVTTVLVHVLYLVLVWYPDLIVPTGFLYVFLIGVWYYRFRPKIPAGMDIRLSQADTVDPDELDEEFDTIPSSRPPDVIRVRYDRLRMLAARVQTVLGDFATQGERAQALVSWRDPRATKLFIGVCLAITVVLYAVPPKMVAVALGFYYLRHPMFREHMPPASLNFFRRLPSLSDRLM >ONI09020 pep chromosome:Prunus_persica_NCBIv2:G5:16829143:16831641:-1 gene:PRUPE_5G212600 transcript:ONI09020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSESEAVFDSLNVNPQLFINEVFNLVDDLVDDACNHFHQQASTSLKIEGTDRTQDLSKGINVIRKKVQSDIDKRLAMWEQHCHNHFFQVPEGFMLPKSVGQESAALNRELQSLERQSASNDHISALDEISLLYDQNSYHEMFQEMMKTASELRTKIGKLKTRKIEETEHNKADKIYNPHRDPSMMGIGKGFSGAKLEDLQEVLVHLKNR >ONI09021 pep chromosome:Prunus_persica_NCBIv2:G5:16829151:16831641:-1 gene:PRUPE_5G212600 transcript:ONI09021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSESEAVFDSLNVNPQLFINEVFNLVDDLVDDACNHFHQQASTSLKIEGTDRTQDLSKGINVIRKKVQSDIDKRLAMWEQHCHNHFFQVPEGFMLPKSDESPVETSICQNAICDPDLDAQLDLLRNNLTKVGQESAALNRELQSLERQSASNDHISALDEISLLYDQNSYHEMFQEMMKTASELRTKIGKLKTRKIEETEHNKADKIYNPHRDPSMMGIGKGFSGAKLEDLQEVLVHLKNR >ONI06301 pep chromosome:Prunus_persica_NCBIv2:G5:5629129:5630027:1 gene:PRUPE_5G052100 transcript:ONI06301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILIALVELSNPSLQLLFIIPILRMMTRIRLLRRLRVLLTRRWRRCAWHQAEGLQILAFAVRWFLELLQNCQVAPIKFDVVEQLGGIASHDFKDEDSFKVVQRRASESTKVVIVNRRLSLASLFLQSRHLV >ONI08200 pep chromosome:Prunus_persica_NCBIv2:G5:14287634:14289727:-1 gene:PRUPE_5G163700 transcript:ONI08200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSLFNQMWQQLQSNLFLLPLLVLSIFILFALTRSSSSSGKNQKIKLPPSPPRLPWIGNLHQLGSFPHRSLQALSKKYGDVMLLHLGKVPTLIVSSAEMAKDVMKTQDIVFCSRPQTTAPSILFYDGHDIAFAPYGEYWRQVRRICVLELLSLKRVHQFQYARMEEVAELVSKIRKASASANGAPINLGELLVSTSNNIICRCILGQKFEDKEDNWFGETTKELMTQVMSFSFGDFFPSLKWIDRARGYLAYLKSIWLEFDKFFDKLIDEHKAAQKEGKPRKKDIVDILLDVQKNGSLDFELTTSNVKAILQDMFVGGSDTSWTAAIWLMSELLQNPRVMKKVQEEVRRVAGRRGYVEESDIKEMKYMTCVIKENLRLHPPAPLLLPREAMSDVKLGGYDIPAKTQVFVNAYAVQRDPKVWDKPDEFMPERFEENSVGFVGQEFELIPFGAGRRVCPGLAFGVASAEYVLANILFWFDWKLPSGGSKLAETLDMSEVYGLTVHKKTPLYLIPTPYSP >ONI05770 pep chromosome:Prunus_persica_NCBIv2:G5:2655528:2658228:-1 gene:PRUPE_5G023700 transcript:ONI05770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNYGGGCDGEGNCGYENGVMMTRDPKPRLRWTPDLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQHARKQNSGEEHNRENNRDSYVHFSNHHSTGSSAKSSRGGNAEQGTLPFSEALKCQIEVQNRLQEQLEVQKKLQMRIEAQGKYLQAILEKAQKGLSLDMKGPTTIEATRAQLTDFNLALSNFMENINEEDRRGKSNGSTFEIYEEGNTEEHKDAKLKVERGSINFDLNTKGSFDYVGSNAGDFEPRCFRLLDTTL >ONI05772 pep chromosome:Prunus_persica_NCBIv2:G5:2655528:2657565:-1 gene:PRUPE_5G023700 transcript:ONI05772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGLTLYHLKSHLQKYRLGQHARKQNSGEEHNRENNRDSYVHFSNHHSTGSSAKSSRGGNAEQGTLPFSEALKCQIEVQNRLQEQLEVQKKLQMRIEAQGKYLQAILEKAQKGLSLDMKGPTTIEATRAQLTDFNLALSNFMENINEEDRRGKSNGSTFEIYEEGNTEEHKDAKLKVERGSINFDLNTKGSFDYVGSNAGDFEPRCFRLLDTTL >ONI05771 pep chromosome:Prunus_persica_NCBIv2:G5:2655528:2658224:-1 gene:PRUPE_5G023700 transcript:ONI05771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGLTLYHLKSHLQKYRLGQHARKQNSGEEHNRENNRDSYVHFSNHHSTGSSAKSSRGGNAEQGTLPFSEALKCQIEVQNRLQEQLEVQKKLQMRIEAQGKYLQAILEKAQKGLSLDMKGPTTIEATRAQLTDFNLALSNFMENINEEDRRGKSNGSTFEIYEEGNTEEHKDAKLKVERGSINFDLNTKGSFDYVGSNAGDFEPRCFRLLDTTL >ONI05581 pep chromosome:Prunus_persica_NCBIv2:G5:1490034:1490860:-1 gene:PRUPE_5G013800 transcript:ONI05581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGVYNSPSGTRLIGGSQAVRMNAPRVGGSFAVWGGLFSAFDCTMVYVRQKEDPWNSIIAGAATGGFLQMRQGLGASARSAAFGGVLLALIEGAGIMLNKFMSQQQQMPIVIEEPASVAGLPGLPPMGRAPGQPVSEPATSMSSSGAEASSGGWLGGWFGKSKEPEAKSSGSETKILESFDAPPLPSFEYNNK >ONI06027 pep chromosome:Prunus_persica_NCBIv2:G5:4001265:4007188:-1 gene:PRUPE_5G035300 transcript:ONI06027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEIFNDKNAVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAAHRSLGVHISFVRSTNLDSWTPEQLKTMSFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQMLSKEVAKSMAEEAGLPSSPVASQSGQASNGLPDVKTSEAPKETSLARKETPEVSAPPKAPHTFVTSTVKKPLGAKKTGKTGGLGARKLTTKSSESLYDQKPEEPVIPVPSSTNSTPTTAGSSFVSRFEYVEIIQNPETNPNGAHVISHIAPPKSSNFFADFGMDSNFPKRTSSNSSKVQIQETDEARKKFTNAKSISSAQFFGDQNKSADVDAQASLQKFSGSAAISSADLFGDRDDNLPLDLTASDLINRLSFQAQQDISSLKNIAGETGKKLSSLASNLMTDLQDRIL >ONI06728 pep chromosome:Prunus_persica_NCBIv2:G5:9094726:9096486:-1 gene:PRUPE_5G076900 transcript:ONI06728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLGLGLSFLVLFHVLHMFGAADSTIARHSSPTAFIKASCRATDYPALCVQSLAGYASAIGQSERQLAQTALTVSLARVRSAQSYVAKLTRVRGIKPREYRAVKDCIENMGDSVDRLRQSVRELGQTGRAVGQDFMWHMSNVETWVSAALTDESTCLDGFSGRFMDGNIKTAITRRVNNVAQVTSNALALVNRFAAKHKAASAAKQP >ONI06437 pep chromosome:Prunus_persica_NCBIv2:G5:6506849:6508996:1 gene:PRUPE_5G060300 transcript:ONI06437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVLSKQFLVLPLILVVIVVPLYISFNFREPKPAEISSSQSEETPMATNAFGVKVENNPAQSKLTELGVTAWPKWSCGPSKFPWSFTATETMYLLEGKVIVQVDGHEGSFTIGAGDLAVFPKGMKVTWDVLEAVNKHYSLEK >ONI06271 pep chromosome:Prunus_persica_NCBIv2:G5:5322144:5329508:1 gene:PRUPE_5G050200 transcript:ONI06271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAPSPSPALSSTSSGATPSTAATTATAAVPAVAPFQTVHRTDTPPKTLRGLNKPKCKQCGNVARSRCPYESCKSCCSKAQNPCHIHVLKSNATFPDKAPTSSSPLFDHQSIEASPSGNSHRVASLRQLSNNFAQFNNVHLPLRSRKPLTKKDAVAINEWRFSKLKEYKDRNIEVENEAFDRYTQNVTLLEEVFSVKSIMEESIGDEAELKSISTDNSTTATTEEDTEAMVSGLKLKLRSNPMRTNNFRKRIQQVVDEGLKKLKKRELDDGEKEPIVGTGLDPGPKEGEKWQAERASALGDLIDKLNKARNEEDLKLCLEMKSQLFKEHKLTSTSESEEKQATKNDLEPRKESNYSFPKVVSTTEIDQETLNIVYTHFSSLEHIQGL >ONI05539 pep chromosome:Prunus_persica_NCBIv2:G5:1272266:1273432:1 gene:PRUPE_5G011900 transcript:ONI05539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTQPSSSVAAAAIVSFLSFVGGGQFARATCPCARDNSGGARMPMTWTLPSGRLRRTQKSPDGAASSDAGTLAHGFGCFPAPPSRRLRPRSRPSSSSASAGYELS >ONI06321 pep chromosome:Prunus_persica_NCBIv2:G5:5695499:5696107:1 gene:PRUPE_5G053100 transcript:ONI06321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYPLKRHHNNPHINASESDETNNLPKGNIGKMVSTYLPVSNLQLQYFQEKTYKLNFNRNNPHLNLKQDVNVQLPDI >ONI08644 pep chromosome:Prunus_persica_NCBIv2:G5:15677291:15678608:1 gene:PRUPE_5G191100 transcript:ONI08644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKKSQASKLTSGQDDDPHDNLSEIRKALVENAALQAQLKKELCLLRASEPETKSANPTVVAGSSKRRPLGQDSLNMLPASYVLDPCPSECGKSVASMKQPKQAEQTKCESVASMKQPKQAEETKCESVASMKQPKQTEETKCEGTVKKRSKPIAKQSWKPPITGMPLWLQAPLPETELLPRRK >ONI07091 pep chromosome:Prunus_persica_NCBIv2:G5:10777193:10781662:-1 gene:PRUPE_5G099600 transcript:ONI07091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVDNAEVGQRRRRSAIVAASPPTEAAVIAAEPLTKEDLIGYLASGCKPKENWRIGTEQERFGFDLKTLRPMKYEHIAELLNGIADRFDWDKIMEGNNIIALKQGKQSITLEPGGQFEHSGAPLETLHQVCAEVNSHLYQVSENNFSFEFTCNYASEVKAVLKEMGIGLLDIGCQPKWEVKDIPMMPKERYEIIRNYMPKVGSLGLDLMFRTCTAQVNLDFSSEADMIKKLRASLALQPIATALFANSPFTEGKPNGFLSMRSQIWTDTDKDRTGMIPFVFDDTFGFEKYVEYALDIPMYFVYRNKRHFDCTGMTFRDFMAGKLRCIPGELPTMNDWEMHLGTIYPEVRLKRYLEMRGADGGPWSRLRALPAFWVGLLYDEVSLQNVIDMIADWTAEERQMLRDQVPKTGLKTPFRGRLLKHVAQDVVRFAKAGLERRGFNETGFLNELEEVARTGVTPAEKLLELYYRKWGQNIDPVFEELLY >ONI07090 pep chromosome:Prunus_persica_NCBIv2:G5:10777725:10781662:-1 gene:PRUPE_5G099600 transcript:ONI07090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVDNAEVGQRRRRSAIVAASPPTEAAVIAAEPLTKEDLIGYLASGCKPKENWRIGTEQERFGFDLKTLRPMKYEHIAELLNGIADRFDWDKIMEGNNIIALKQGKQSITLEPGGQFEHSGAPLETLHQVCAEVNSHLYQVSENNFSFEFTCNYASEVKAVLKEMGIGLLDIGCQPKWEVKDIPMMPKERYEIIRNYMPKVGSLGLDLMFRTCTAQVNLDFSSEADMIKKLRASLALQPIATALFANSPFTEGKPNGFLSMRSQIWTDTDKDRTGMIPFVFDDTFGFEKYVEYALDIPMYFVYRNKRHFDCTGMTFRDFMAGKLRCIPGELPTMNDWEMHLGTIYPEVRLKRYLEMRGADGGPWSRLRALPAFWVGLLYDEVSLQNVIDMIADWTAEERQMLRDQVPKTGLKTPFRGRLLKHVAQDVVRFAKAGLERRGFNETGFLNELEEVARTGVTPAEKLLELYYRKWGQNIDPVFEELLY >ONI05543 pep chromosome:Prunus_persica_NCBIv2:G5:1332385:1334949:-1 gene:PRUPE_5G012300 transcript:ONI05543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNSESAEWIFLATNLGLEMLSAACDQASSPRRPHFALYGMLLAIAAVLISIGELIYRGQQENVEFRSRGMRSWFYHPPIPPYTPFGTLPDIFGLVAGISQCICSIVQYVYCLRHADSPLKASLLPAIFLICLVGSRLSNKRMNANTTDNMDLSENSSSTEETSLHPIPEYCAPANIPFLDNQEVVSNIVQQWRWMREREREREQEREWELEWEREQERERVQERELRRMWEHLEQLPEPLLWEKLSGLPKREPKQLQLSKAPLRLRRVSRVVIAD >ONI05544 pep chromosome:Prunus_persica_NCBIv2:G5:1332385:1334936:-1 gene:PRUPE_5G012300 transcript:ONI05544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNSESAEWIFLATNLGLEMLSAACDQASSPRRPHFALYGMLLAIAAVLISIGELIYRGQQENVEFRSRGMRSWFYHPPIPPYTPFGTLPDIFGLVAGISQCICSIVQYVYCLRHADSPLKASLLPAIFLICLVGSRLSNKRMNANTTDNMDLSENSSSTEETSLHPIPEYCAPANIPFLDNQEVEVVSNIVQQWRWMREREREREQEREWELEWEREQERERVQERELRRMWEHLEQLPEPLLWEKLSGLPKREPKQLQLSKAPLRLRRVSRVVIAD >ONI09158 pep chromosome:Prunus_persica_NCBIv2:G5:17216144:17218456:-1 gene:PRUPE_5G221100 transcript:ONI09158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKGVVDFDESPPDDFDPANPYKDPVAMLEMREHIVREKWIDIEKAKIIREKLRWCYRIEGINHLQKCRHLVQQYLESTRGIGWGKDHRPHEFHGPKVEAVEAD >ONI09156 pep chromosome:Prunus_persica_NCBIv2:G5:17216141:17218456:-1 gene:PRUPE_5G221100 transcript:ONI09156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKGVVDFDESPPDDFDPANPYKDPVAMLEMREHIVREKWIDIEKAKIIREKLRWCYRIEGINHLQKCRHLVQQYLESTRGIGWGKDHRPHEFHGPKVEAVEAD >ONI09155 pep chromosome:Prunus_persica_NCBIv2:G5:17216994:17218456:-1 gene:PRUPE_5G221100 transcript:ONI09155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKGVVDFDESPPDDFDPANPYKDPVAMLEMREHIVREKWIDIEKAKIIREKLRWCYRIEGINHLQKCRHLVQQYLESTRGIGWGKDHRPHEFHGPKVEAVEAD >ONI09157 pep chromosome:Prunus_persica_NCBIv2:G5:17217938:17218364:-1 gene:PRUPE_5G221100 transcript:ONI09157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKGVVDFDESPPDDFDPANPYKDPVAMLEMREHIVREKWIDIEKAKIIREKLRWCYRIEGINHLQKCRHLVQQYLESTRGIGWGKDHRPHEFHGPKVEAVEAD >ONI05406 pep chromosome:Prunus_persica_NCBIv2:G5:687277:690448:1 gene:PRUPE_5G005900 transcript:ONI05406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDPPKMSVNPSFADQLFLLNFVMGNYLGPDVTFDNLECSAFQRIAEGLTKDSWQFTNFFPLDLHEQIWYPESFRIVKGILFIDGPVTSCMKEDDLEKFKSLSGINNMKIDIDEAIRYQHKYLDNGESKTNCLNDDCNFTKTEFFSNGNGNWSERFQQKYKRRCFSHSPSKPAFPQVVTTKHLSKYGASWKTCKPDGPVFMPLISVPNLEECTSDSSVVLSGTARKGVVGPPVGVVDIGVSKAAYYFRVALPGVRKDFCQFNCEIESNGKIHLQGVTSGGNPIRKRSRVFQMKLQQLCPPGPFTLSFSLPGPVDPRLFAPNFGPDGIFEGVIIKDE >ONI05405 pep chromosome:Prunus_persica_NCBIv2:G5:687277:690448:1 gene:PRUPE_5G005900 transcript:ONI05405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDPPKMSVNPSFADQLFLLNFVMGNYLGPDVTFDNLECSAFQRIAEGSPPYMSSYLGPSYVSVSLLEGLYYYLLRNVHPSLILRPEMLHKYLKGSLPLPNSGLTKDSWQFTNFFPLDLHEQIWYPESFRIVKGILFIDGPVTSCMKEDDLEKFKSLSGINNMKIDIDEAIRYQHKYLDNGESKTNCLNDDCNFTKTEFFSNGNGNWSERFQQKYKRRCFSHSPSKPAFPQVVTTKHLSKYGASWKTCKPDGPVFMPLISVPNLEECTSDSSVVLSGTARKGVVGPPVGVVDIGVSKAAYYFRVALPGVRKDFCQFNCEIESNGKIHLQGVTSGGNPIRKRSRVFQMKLQQLCPPGPFTLSFSLPGPVDPRLFAPNFGPDGIFEGVIIKDE >ONI05407 pep chromosome:Prunus_persica_NCBIv2:G5:688432:689500:1 gene:PRUPE_5G005900 transcript:ONI05407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDPPKMSVNPSFADQLFLLNFVMGNYLGPDVTFDNLECSAFQRIAEGSPPYMSSYLGPSYVSVSLLEGLYYYLLRNVHPSLILRPEMLHKYLKGSLPLPNSGLTKDSWQFTNFFPLDLHEQIWYPESFRIVKGILFIDGPVTSCMKEDDLEKFKSLSGINNMKIDIDEAIRYQHKYLDNGESKTNCLNDDCNFTKTEFFSNGNGNWSERFQQKYKRRCFSHSPSKPAFPQVVTTKHLSKYGASWKTCKPDGPVFMPLISVPNLEECTSDSSVVLSGTARKGVVGPPVGVVDIGVSKAAYYFRVALPGVRKDF >ONI07064 pep chromosome:Prunus_persica_NCBIv2:G5:10647142:10654386:1 gene:PRUPE_5G097900 transcript:ONI07064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFLALCIRSESRGDLSPRPHYPSMPKYPKGVAVEETSLMAEVEAKAVFSVIGMTCSACAGSVEKAVKRLPGIREAVVDVLNNRAQVMFYPNYVNEETIREKIEDVGFQATLINDEGNERSTLVCRIRIKGMTCTSCSTTVESALQAVHGVQKAQVALATEEADVHYDPKIVSYDHLLTTIEDTGFEGILLTTGEDMSRIELKVDGVRTDHSMRILEQSLQALPGVQAIEFDSEIKKISLSYKSDMTGPRNFINVIETTGSRRFKANIFPGGGAGRDTHRKEEIKQYYRFFLWSLVFTIPVFLTSMVFMYIPGIKHGLETKIVNMLEIGALLRWILSTPVQFIIGRRFYTGAYKSLRHGSANMDVLIALGTNAAYFYSVYSVLRAATSPNFKGTDFFETSAMLISFILLGKYLEVLAKGKTSDAIAKLMDLAPETATLLTLDGEGNVINEEEIDSRLIQKNDVIKIIPGAKVASDGYVTWGQSHVNESMITGEARPVAKIKGDTVIGGTLNANGVLHIRATRVGSESSLSQIVRLVESAQMAKAPVQKFADRISKYFVPLVIMLSFLTWLSWFLAGKFHGYPESWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYELVAAAEVNSEHPLAKAIVEYAKKFREEEENPSWPEARDFVSITGRGVKAIVQNKEIIVGNKSLMVDHNIAIPVDAEEILAEAEGLAQTGILISIDGEVTGVLAISDPLKPGAQEVISILKAMKVRSIMVTGDNWGTANSIAKEVGIETVIAEAKPEQKAEKVKELQASGDTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNVLGIPIAAGALFPSTGYRLPPWIAGAAMAASSVSVVCCSLLLKNYKRPKELESLEVRGIRIE >ONI07063 pep chromosome:Prunus_persica_NCBIv2:G5:10648505:10654400:1 gene:PRUPE_5G097900 transcript:ONI07063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKFLALCIRSESRGDLSPRPHYPSMPKYPKGVAVEETSLMAEVEAKAVFSVIGMTCSACAGSVEKAVKRLPGIREAVVDVLNNRAQVMFYPNYVNEETIREKIEDVGFQATLINDEGNERSTLVCRIRIKGMTCTSCSTTVESALQAVHGVQKAQVALATEEADVHYDPKIVSYDHLLTTIEDTGFEGILLTTGEDMSRIELKVDGVRTDHSMRILEQSLQALPGVQAIEFDSEIKKISLSYKSDMTGPRNFINVIETTGSRRFKANIFPGGGAGRDTHRKEEIKQYYRFFLWSLVFTIPVFLTSMVFMYIPGIKHGLETKIVNMLEIGALLRWILSTPVQFIIGRRFYTGAYKSLRHGSANMDVLIALGTNAAYFYSVYSVLRAATSPNFKGTDFFETSAMLISFILLGKYLEVLAKGKTSDAIAKLMDLAPETATLLTLDGEGNVINEEEIDSRLIQKNDVIKIIPGAKVASDGYVTWGQSHVNESMITGEARPVAKIKGDTVIGGTLNANGVLHIRATRVGSESSLSQIVRLVESAQMAKAPVQKFADRISKYFVPLVIMLSFLTWLSWFLAGKFHGYPESWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYELVAAAEVNSEHPLAKAIVEYAKKFREEEENPSWPEARDFVSITGRGVKAIVQNKEIIVGNKSLMVDHNIAIPVDAEEILAEAEGLAQTGILISIDGEVTGVLAISDPLKPGAQEVISILKAMKVRSIMVTGDNWGTANSIAKEVGIETVIAEAKPEQKAEKVKELQASGDTVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNVLGIPIAAGALFPSTGYRLPPWIAGAAMAASSVSVVCCSLLLKNYKRPKELESLEVRGIRIE >ONI08255 pep chromosome:Prunus_persica_NCBIv2:G5:14462684:14463798:1 gene:PRUPE_5G167500 transcript:ONI08255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFPAKFMLVIAALVLLLMGEFQATCAFLRAEADQGIVKSTLSHVDCTRDGLRKINTGRKEGSFGSCQTDIFGKKIVPIRRNLRPLKGPKPPSPTKNFPPHFRRPDLPLPPSPPPPSFNDTYSPES >ONI08923 pep chromosome:Prunus_persica_NCBIv2:G5:16574962:16577617:-1 gene:PRUPE_5G208000 transcript:ONI08923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFNKYMCLVLSAVSFILKIPHAAAYDPLDPNGNITLKWDVKSWTSDGYVAVVTMNNFQMYRHIMSPGWTLGWVWAKKEVIWSMVGAQAVDQGDCTKFKGNIPHCCKKNPTVVDLLPGVPYNQQIANCCKAGVVASWGQDPSAAVSSFQLSVGRSGTSNKTVRLPKNFTLLGPGPGYTCSQAKIVRSTVFNSPDGRRKTQALMTWNVICTYSQLLASRYPTCCVSMSSFYNSTITPCPTCACGCRDKKKCIVSDSKLSSVVGIHTPTKDNTPLLQCTQHMCPIRVHWHVKTNYKQYWRVKITITNFNHRLNYTQWTLVVEHPNLNHITEVFSFVYKPLTPYQSKNDTGLFYGIKFYNDLLKEAGPEGNVQSELILEKNANTFTFKEGWGFPRKVYFNGDECMMPQPDEFPGLPNAAHTNLITVPKLALSWLLMFLALH >ONI08321 pep chromosome:Prunus_persica_NCBIv2:G5:14655843:14657765:1 gene:PRUPE_5G171600 transcript:ONI08321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALEKSEETVSNHSQKLAGTVNWGTATVIGVFAGLFYGGSKEASASVSKDAEVMLKLGSTPDKREQYRMMRDAMEKRFIRVTRGSIVGGVRLGLFTATFCGIQNLLAEKRGVHDVFNVVGAGSATAATFGLIMPGSLPWRARNVMLGAVLGAALGFPFGWAHLKLVEKANEGNLSAHPDSDQREARSGVGAAIERLEENLNK >ONI08637 pep chromosome:Prunus_persica_NCBIv2:G5:15610230:15613527:-1 gene:PRUPE_5G190400 transcript:ONI08637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSLTVFSRHALCLPPLLLNAKRTVTLPHYSITFSCVPNCHEFLCYFSSKYIEFLSIQDPFVLRSISFCRIQGPIQFIDTIFGSWVHRILFWLTRLM >ONI06962 pep chromosome:Prunus_persica_NCBIv2:G5:10257164:10261284:1 gene:PRUPE_5G092000 transcript:ONI06962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALCLAALLIISITHWVYRWRNPRCHGKLPPGSMGLPLLGETLQFFTPNTSSDIPPFIKKRMKRYGPIFRTNLVGRPVIISTDPDLNYFVFQQEGNLFQSWYPDTFTEIFGKQNVGSLHGVMYKYLKNMVLHLFGPENLKKMIPEVEQAALSRLQQWSYQDITELKDATASMIFDLTAKKLISYDSNKSSENLRENFVAFIQGLISFPLDIPGTAYHKCLQGRKNAMRMLKNMLQERRAESRKQPVDFFDYVLEELKKEGTILTEGIALDLMFVLLFASFETTSLAITLAMKFLSDHPLVLKQLTEEHEMIIKQREIADSGLTWKEYKSMTFTFQFINETVRLANIVPGIFRKAVREINFKGYTIPAGWAVMVCPPAVHLNPAKYEDPVAFNPWRWEGVEVSSASKHFMAFGGGMRFCVGTDFTKVQMAVFLHCLVTKYRWEAIKGGDIVRTPGLQFPNGFHVKIMEKDTRNRKQHYIAADA >ONI05713 pep chromosome:Prunus_persica_NCBIv2:G5:2239064:2240663:-1 gene:PRUPE_5G020600 transcript:ONI05713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTKSPGIDGMSAFFNQKYWGVMGTDIEQFFNHTLITLIPKLAHPSRVTKYMPITLCSVLYELVSKTIVNKLKEVMSFVISKCQTFETIHAIKRRGISGLKKMVLKLDMSKAYDLVEWSFLEGMLCKLGSFYPDTFVFANDSLLFCNAHLFDCYSLLTILRAYERASWQKINFDKSTACFSLNTDPMMQQLISNMLGVSIIPCHECYLGLPTVAQRSRSQMFKHVHDMLWNKLHGWSSKLLPLALPTYTMGVFQLPQALYQDLSIMIALYWWEKLCIPKCFGGLGFQIFEAFNKAMVAKQAWRLLENPDSLVSRIMKARYFPAGDFLLAKVGNCPSLVWRGIVWGRQVIKQGLVWRVSDGQSIKMFQDQWIPKLFTFKPLLNNGLPQHATISNLITPTGAGIYI >ONI08557 pep chromosome:Prunus_persica_NCBIv2:G5:15373081:15374537:-1 gene:PRUPE_5G185100 transcript:ONI08557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLTGFALLVVGAAGIRPCNLAFGADQFNPKTESGKRGINSFFNWYFFTFTFAQMVALTLIVYVQSKISWALGFGIPPILMLISCALFFMGSKMYVKVKATGSPITSLAQVIVVAIKKRHLKLSEPEQPWFCMFIYMPPNSINSKLPNTNQFRYLDKAAILTPQDEILPDGSAANPWRLCSMQQVEELKCLLRVLPIWAAALVCHVAIVQLQTYVVFQAIQSDRRLGNTNFDIPPASYSVFLMLSMTIWIPIYDQLVVPFLQRLTGKEGGITLLQRIGIGTFLTVICMIVSAFVEERRRTIALTKPIPGPKGAISSMSGFWLIPQLSIAGLADAFTTVGQIEFYYKQFPENMRSIAGSLFFCGIAGSSYLSSLLIAVVHRTTEGAATGNWLPEDLNKGRLDYFYYLIAALGAINLGYFLVCANWYKYKGTENNNALGVEVELVREKLLVDNEA >ONI08556 pep chromosome:Prunus_persica_NCBIv2:G5:15372881:15375448:-1 gene:PRUPE_5G185100 transcript:ONI08556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVEKNERDDSMEKTERDDSMEKTERGNSSRTEEPVNYRGWKAMPFVIGNETFEKLGAIGTLSNLLVYLISVFNMKKITAATMLIIFNGTTNFATLLGAFASDTYFGRYKTLGFSSFASFLGLLLIDLTVVFKKLHPPHCKAEEGETCKGPTTGQMAFLLTGFALLVVGAAGIRPCNLAFGADQFNPKTESGKRGINSFFNWYFFTFTFAQMVALTLIVYVQSKISWALGFGIPPILMLISCALFFMGSKMYVKVKATGSPITSLAQVIVVAIKKRHLKLSEPEQPWFCMFIYMPPNSINSKLPNTNQFRYLDKAAILTPQDEILPDGSAANPWRLCSMQQVEELKCLLRVLPIWAAALVCHVAIVQLQTYVVFQAIQSDRRLGNTNFDIPPASYSVFLMLSMTIWIPIYDQLVVPFLQRLTGKEGGITLLQRIGIGTFLTVICMIVSAFVEERRRTIALTKPIPGPKGAISSMSGFWLIPQLSIAGLADAFTTVGQIEFYYKQFPENMRSIAGSLFFCGIAGSSYLSSLLIAVVHRTTEGAATGNWLPEDLNKGRLDYFYYLIAALGAINLGYFLVCANWYKYKGTENNNALGVEVELVREKLLVDNEA >ONI08555 pep chromosome:Prunus_persica_NCBIv2:G5:15372881:15375529:-1 gene:PRUPE_5G185100 transcript:ONI08555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVEKNERDDSMEKTERDDSMEKTERGNSSRTEEPVNYRGWKAMPFVIGNETFEKLGAIGTLSNLLVYLISVFNMKKITAATMLIIFNGTTNFATLLGAFASDTYFGRYKTLGFSSFASFLGLLLIDLTVVFKKLHPPHCKAEEGETCKGPTTGQMAFLLTGFALLVVGAAGIRPCNLAFGADQFNPKTESGKRGINSFFNWYFFTFTFAQMVALTLIVYVQSKISWALGFGIPPILMLISCALFFMGSKMYVKVKATGSPITSLAQVIVVAIKKRHLKLSEPEQPWFCMFIYMPPNSINSKLPNTNQFRYLDKAAILTPQDEILPDGSAANPWRLCSMQQVEELKCLLRVLPIWAAALVCHVAIVQLQTYVVFQAIQSDRRLGNTNFDIPPASYSVFLMLSMTIWIPIYDQLVVPFLQRLTGKEGGITLLQRIGIGTFLTVICMIVSAFVEERRRTIALTKPIPGPKGAISSMSGFWLIPQLSIAGLADAFTTVGQIEFYYKQFPENMRSIAGSLFFCGIAGSSYLSSLLIAVVHRTTEGAATGNWLPEDLNKGRLDYFYYLIAALGAINLGYFLVCANWYKYKGTENNNALGVEVELVREKLLVDNEA >ONI09268 pep chromosome:Prunus_persica_NCBIv2:G5:17498358:17500704:-1 gene:PRUPE_5G227600 transcript:ONI09268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMYDSILARSFSRHEQKKLRYGAFVCCLLIALCFCAVLKPNLNPLPALKLQRSMGVKHQILALWETNSTQQVIKNMLEYPEINTNTTEEFENMTSQVAADSDDLQQNASTLTNPIASSSQAEEAKIEEVVTKNLEPLCNTMEAKTEFCELNMDVHVDAKSSSAFVVSSQIGNRSWSIRPYARKEDKTAMSRTRAWSVKPVIGDLEIPQCNRNHRVPAILFSNGGYTGNHFHEFTDVVIPLFITSRKYDGEVQFLISDIKPFWVTKYQAVLKGLSKYDIIDIDKEDVVHCFPSLTVGLKRHEKELSIDPSKHSYSMKDFREFLRNSFSLKKANAIRIKDGHQRKRPRLLIIPRKRTRSFTNTGEISKMARRLGFKVIVAEADINLSKFAEVVNSCDVLMGVHGAGLTNILFLPENAVFIQILPIGGFEWLATNDFGEPSQDMNLKYLEYKISNEESTLIQQYPLDHAVFTDPYSIGKQGWEAFKSIFLEKQNVKLNVNRFRPTLLKALELLHQN >ONI06895 pep chromosome:Prunus_persica_NCBIv2:G5:9871601:9873539:-1 gene:PRUPE_5G087600 transcript:ONI06895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIISSCSSPNFCQENLGTFQQRLQFIFQNRPEWWLYWIFWQSSKDSNDQVSLSWAAGDFRVNSDLASKRNNKVSNNHQPKFGFNKKVTSREAEEALFDEDMADLEMRLVDHEDVTESEWFYFYSVSLTQSFAAGHATNNILGRAFCSGGFVWLAGAHELQFYECERVKEARMHGIQTLVCIATPCGVLELASLDVIKEDWGFVHLSKSLFGSDNNNNNNRVSQQKGSREGNVLAPLLANGIFSGSQKELTRQAGTKEAAPFNIGGSSPESLSGSVGNFTCENTGNTRSKKRRRSSNNGASRESSLLNHVEAERQRREKLNHRFYLLRSVVPNVSKMDRSSLLADAVAYINQLKAKVEELELKTQAQPQNPKKWKWM >ONI06893 pep chromosome:Prunus_persica_NCBIv2:G5:9871598:9873573:-1 gene:PRUPE_5G087600 transcript:ONI06893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIISSCSSPNFCQENLGTFQQRLQFIFQNRPEWWLYWIFWQSSKDSNDQVSLSWAAGDFRVNSDLASKRNNKVSNNHQPKFGFNKKVTSREAEEALFDEDMADLEMRLVDHEDVTESEWFYFYSVSLTQSFAAGHATNNILGRAFCSGGFVWLAGAHELQFYECERVKEARMHGIQTLVCIATPCGVLELASLDVIKEDWGFVHLSKSLFGSDNNNNNNRVSQQKGSREGNVLAPLLANGIFSGSQKELTRQAAGTKEAAPFNIGGSSPESLSGSVGNFTCENTGNTRSKKRRRSSNNGASRESSLLNHVEAERQRREKLNHRFYLLRSVVPNVSKMDRSSLLADAVAYINQLKAKVEELELKTQAQPQNPKKVSVNNLDNQCSQSTSSIVDHHSSYNNTKVVPVEVDVKIMGSEAIIRVQCQDQDYPYARLMNALKGLGLQVYHASISSVKELMIQDVVARVPYGFTSEEAMRTGIIKRWHN >ONI06897 pep chromosome:Prunus_persica_NCBIv2:G5:9871971:9873306:-1 gene:PRUPE_5G087600 transcript:ONI06897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIISSCSSPNFCQENLGTFQQRLQFIFQNRPEWWLYWIFWQSSKDSNDQVSLSWAAGDFRVNSDLASKRNNKVSNNHQPKFGFNKKVTSREAEEALFDEDMADLEMRLVDHEDVTESEWFYFYSVSLTQSFAAGHATNNILGRAFCSGGFVWLAGAHELQFYECERVKEARMHGIQTLVCIATPCGVLELASLDVIKEDWGFVHLSKSLFGSDNNNNNNRVSQQKGSREGNVLAPLLANGIFSGSQKELTRQAAGTKEAAPFNIGGSSPESLSGSVGNFTCENTGNTRSKKRRRSSNNGASRESSLLNHVEAERQRREKLNHRFYLLRSVVPNVSKMDRSSLLADAVAYINQLKAKVEELELKTQAQPQNPKKWKWM >ONI06896 pep chromosome:Prunus_persica_NCBIv2:G5:9870446:9873497:-1 gene:PRUPE_5G087600 transcript:ONI06896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIISSCSSPNFCQENLGTFQQRLQFIFQNRPEWWLYWIFWQSSKDSNDQVSLSWAAGDFRVNSDLASKRNNKVSNNHQPKFGFNKKVTSREAEEALFDEDMADLEMRLVDHEDVTESEWFYFYSVSLTQSFAAGHATNNILGRAFCSGGFVWLAGAHELQFYECERVKEARMHGIQTLVCIATPCGVLELASLDVIKEDWGFVHLSKSLFGSDNNNNNNRVSQQKGSREGNVLAPLLANGIFSGSQKELTRQAGTKEAAPFNIGGSSPESLSGSVGNFTCENTGNTRSKKRRRSSNNGASRESSLLNHVEAERQRREKLNHRFYLLRSVVPNVSKMDRSSLLADAVAYINQLKAKVEELELKTQAQPQNPKKWKWM >ONI06894 pep chromosome:Prunus_persica_NCBIv2:G5:9871747:9873306:-1 gene:PRUPE_5G087600 transcript:ONI06894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIISSCSSPNFCQENLGTFQQRLQFIFQNRPEWWLYWIFWQSSKDSNDQVSLSWAAGDFRVNSDLASKRNNKVSNNHQPKFGFNKKVTSREAEEALFDEDMADLEMRLVDHEDVTESEWFYFYSVSLTQSFAAGHATNNILGRAFCSGGFVWLAGAHELQFYECERVKEARMHGIQTLVCIATPCGVLELASLDVIKEDWGFVHLSKSLFGSDNNNNNNRVSQQKGSREGNVLAPLLANGIFSGSQKELTRQAAGTKEAAPFNIGGSSPESLSGSVGNFTCENTGNTRSKKRRRSSNNGASRESSLLNHVEAERQRREKLNHRFYLLRSVVPNVSKMDRSSLLADAVAYINQLKAKVEELELKTQAQPQNPKKVSVNNLDNQCSQSTSSIVDHHSSYNNTKVVPVEVDVKIMGSEAIIRVQCQDQDYPYARLMNALKGLGLQVYHASISSVKELMIQDVVARVPYGFTSEEAMRTGIIKRWHN >ONI06898 pep chromosome:Prunus_persica_NCBIv2:G5:9870446:9873497:-1 gene:PRUPE_5G087600 transcript:ONI06898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIISSCSSPNFCQENLGTFQQRLQFIFQNRPEWWLYWIFWQSSKDSNDQVSLSWAAGDFRVNSDLASKRNNKVSNNHQPKFGFNKKVTSREAEEALFDEDMADLEMRLVDHEDVTESEWFYFYSVSLTQSFAAGHATNNILGRAFCSGGFVWLAGAHELQFYECERVKEARMHGIQTLVCIATPCGVLELASLDVIKEDWGFVHLSKSLFGSDNNNNNNRVSQQKGSREGNVLAPLLANGIFSGSQKELTRQAAGTKEAAPFNIGGSSPESLSGSVGNFTCENTGNTRSKKRRRSSNNGASRESSLLNHVEAERQRREKLNHRFYLLRSVVPNVSKMDRSSLLADAVAYINQLKAKVEELELKTQAQPQNPKKWKWM >ONI06892 pep chromosome:Prunus_persica_NCBIv2:G5:9871592:9873573:-1 gene:PRUPE_5G087600 transcript:ONI06892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIISSCSSPNFCQENLGTFQQRLQFIFQNRPEWWLYWIFWQSSKDSNDQVSLSWAAGDFRVNSDLASKRNNKVSNNHQPKFGFNKKVTSREAEEALFDEDMADLEMRLVDHEDVTESEWFYFYSVSLTQSFAAGHATNNILGRAFCSGGFVWLAGAHELQFYECERVKEARMHGIQTLVCIATPCGVLELASLDVIKEDWGFVHLSKSLFGSDNNNNNNRVSQQKGSREGNVLAPLLANGIFSGSQKELTRQAGTKEAAPFNIGGSSPESLSGSVGNFTCENTGNTRSKKRRRSSNNGASRESSLLNHVEAERQRREKLNHRFYLLRSVVPNVSKMDRSSLLADAVAYINQLKAKVEELELKTQAQPQNPKKVSVNNLDNQCSQSTSSIVDHHSSYNNTKVVPVEVDVKIMGSEAIIRVQCQDQDYPYARLMNALKGLGLQVYHASISSVKELMIQDVVARVPYGFTSEEAMRTGIIKRWHN >ONI06891 pep chromosome:Prunus_persica_NCBIv2:G5:9871747:9873306:-1 gene:PRUPE_5G087600 transcript:ONI06891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIISSCSSPNFCQENLGTFQQRLQFIFQNRPEWWLYWIFWQSSKDSNDQVSLSWAAGDFRVNSDLASKRNNKVSNNHQPKFGFNKKVTSREAEEALFDEDMADLEMRLVDHEDVTESEWFYFYSVSLTQSFAAGHATNNILGRAFCSGGFVWLAGAHELQFYECERVKEARMHGIQTLVCIATPCGVLELASLDVIKEDWGFVHLSKSLFGSDNNNNNNRVSQQKGSREGNVLAPLLANGIFSGSQKELTRQAGTKEAAPFNIGGSSPESLSGSVGNFTCENTGNTRSKKRRRSSNNGASRESSLLNHVEAERQRREKLNHRFYLLRSVVPNVSKMDRSSLLADAVAYINQLKAKVEELELKTQAQPQNPKKVSVNNLDNQCSQSTSSIVDHHSSYNNTKVVPVEVDVKIMGSEAIIRVQCQDQDYPYARLMNALKGLGLQVYHASISSVKELMIQDVVARVPYGFTSEEAMRTGIIKRWHN >ONI07201 pep chromosome:Prunus_persica_NCBIv2:G5:11226518:11228342:1 gene:PRUPE_5G105900 transcript:ONI07201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATDNQGSFLNRISIRRNQVVSMEGNHEQELEDLEHFQKHVADRFSDLLSPSEDFPSDPMLSIAWVRKLLDVFLCCEAEFKAVLLMGRDPSQISKPPLDRLVPEFLDRVVKALDICNAVSHGVDSVRHFQKLAEIAVSALEQRPIGDGQVRRAKKALSALVIGMAVEDKEGGGNKATERSWSFGRRSGVSAPNKERAVGHFRALSWGMSKGWSAAKQIQAMSSNLVAPKGNEASGLAQPVYIMSTIMLFVMWMLVTAIPCQERNGLMTHFPVPRNLAWAQAMIGLQEKISEEWKKKEKKGSAGLLEEMQKMEKLGQSLIEFSDSFQFPAEAEKLEEVAAQVAELAETCRKMEEGLVPLQQQIRELFHRVVRSRSEVLDVLDQGGKASAPVM >ONI08460 pep chromosome:Prunus_persica_NCBIv2:G5:15086079:15086639:-1 gene:PRUPE_5G179100 transcript:ONI08460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRLTKKEEGLQFNKYRHQSILLLWISRLLHNIILDTIHQTNCRFPQFYCSN >ONI05971 pep chromosome:Prunus_persica_NCBIv2:G5:3660342:3661361:-1 gene:PRUPE_5G032000 transcript:ONI05971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVSSIRTKIFSSLLIWLHLVAASASRKRGFLRTTLKMCRVRAFALSRVPAPPPSTMLICVCSGALSGLIWEVW >ONI05717 pep chromosome:Prunus_persica_NCBIv2:G5:2251113:2252868:1 gene:PRUPE_5G020800 transcript:ONI05717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVGSSSKAPATWNNHNISIFCDLCIKEVDAGRRPGTHFKKEGWENLRVNFSKETGNNYDKGQLKNKWDALKIEWKLWKELVGKETGLGWNSSKGTVDASDEWWNNKIQINAEYAKLRKKGINPEMEEKLDRMFMNTTATGEHAWAPSCGILPSETEEASMGDVIPLEGSDDSDETIQAIKNATKKGKRRAPEQLNKKQQDKKGRKVGGAEKLAGQIDRLVGVVESRSTATSLMMKMQLGSSIPEVMEVVSSLPGCEPTSTLWMFATRLFLNQEKREMFSTMKTPNVKLAWLTYEFNNQ >ONI05715 pep chromosome:Prunus_persica_NCBIv2:G5:2249982:2252868:1 gene:PRUPE_5G020800 transcript:ONI05715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVGSSSKAPATWNNHNISIFCDLCIKEVDAGRRPGTHFKKEGWENLRVNFSKETGNNYDKGQLKNKWDALKIEWKLWKELVGKETGLGWNSSKGTVDASDEWWNNKIQINAEYAKLRKKGINPEMEEKLDRMFMNTTATGEHAWAPSCGILPSETEEASMGDVIPLEGSDDSDETIQAIKNATKKGKRRAPEQLNKKQQDKKGRKVGGAEKLAGQIDRLVGVVESRSTATSLMMKMQLGSSIPEVMEVVSSLPGCEPTSTLWMFATRLFLNQEKREMFSTMKTPNVKLAWLTYEFNNQ >ONI05716 pep chromosome:Prunus_persica_NCBIv2:G5:2249982:2252993:1 gene:PRUPE_5G020800 transcript:ONI05716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVGSSSKAPATWNNHNISIFCDLCIKEVDAGRRPGTHFKKEGWENLRVNFSKETGNNYDKGQLKNKWDALKIEWKLWKELVGKETGLGWNSSKGTVDASDEWWNNKIQINAEYAKLRKKGINPEMEEKLDRMFMNTTATGEHAWAPSCGILPSETEEASMGDVIPLEGSDDSDETIQAIKNATKKGKRRAPEQLNKKQQDKKGRKVGGAEKLAGQIDRLVGVVESRSTATSLMMKMQLGSSIPEVMEVVSSLPGCEPTSTLWMFATRLFLNQEKREMFSTMKTPNVKLAWLTYEFNNQ >ONI05648 pep chromosome:Prunus_persica_NCBIv2:G5:1807830:1811043:-1 gene:PRUPE_5G016800 transcript:ONI05648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQHSKPWRPFTANCCSAEDQTIFGNFSRCRPSRSDFSKDVAQLPSFRRLSFSDLSRSSSLRINDDLAQSFGSDLYDFQLSELRGVTQNFSVNFLLGEGGFGTVHKGYVDENLRQGLKAQAVAVKLLDIEGLQGHREWLAEVIFLGQLRHPHLVKLIGYCCEDEERLLVYEFMPRGSLENHLFKRLSISLPWSTRLKIAIGAAKGLAFLHGAEKPVIYRDLKTSNVLLDSDFTAKLSDFGLAKMGPEGSETHVTTRVMGTYGYAAPEYVSTGQLTTKCDIYSFGVVLLELLTGRRAMDKSRPKSEQNLIDWAKPYLSSTRRLRYVMDPRLSGQYSVKGAKEIAHLALQCISLSPKDRPRMPAIIETLESLQFLKDMAVTCGHWPASQKSSRNGVFAKAKNDGKVESIRKYSPAAAANQK >ONI08411 pep chromosome:Prunus_persica_NCBIv2:G5:14966714:14968081:1 gene:PRUPE_5G176600 transcript:ONI08411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTANCRSLDMFPLQTSISSLTIFIKHTRTPFYRERRNCSIGGFPQPPLSLFLLSSVQVHMAMEDVDLESIGHGRTSSRCCFCIPASSAWWERMRANQNNDSWWSRGFKAFYKLREWSEIVAGPRWKTFIRRFNRNRSGGGGSSGAGRHHGKFQYDPLSYALNFDEGKEDEDDEVGGFRDFSTRFASLPPVRSGLPESSKDVAVYG >ONI09315 pep chromosome:Prunus_persica_NCBIv2:G5:17641330:17644863:1 gene:PRUPE_5G231300 transcript:ONI09315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSYRFRQLHSSSFSSRSLETRQPSNIKHQTGNPTCPNPIPSKKTLTQKRRSMNKLSNASDSEIVKLNKDITTQMRNGRCEAALRVFNVMPRRSPVSYNAMISGYLANGKFDLAKDMFEKMPERDLVSWNVMLSGYVRNRDLGAAHALFERMPEKDVVSWNAMLSGYAQNGYVDEARKVFERMPNKNEISWNGLLAAYVQNGRIEDARRLFESKANWEAVSWNCLMGGLVKQKRLVHARQLFDRMPVRDEVSWNTMITGYAQNGEMSEARRLFGESPIRDVFAWTSMLSGYVQNGMLDEGRRMFDEMPEKNSVSWNAMIAGYVQCKRMDMAMKLFGAMPFRNASSWNTILTGYAQSGDIDNARKIFDSMPRRDSISWAAIIAGYAQNGYSEEALCLFVEMKRDGERLTRSSFTCTLSTCAEIAALELGKQLHGRVTKAGYETGCYVGNALLVMYCKCGSIEEAYDVFQGIAEKDVVSWNTMIYGYARHGFGSKALMVFESMKAAGIKPDDVTMVGVLSACSHTGLVDRGTEYFYSMNQDYGITANSKHYTCMIDLLGRAGRLEEAQNLMRDMPFEPDAATWGALLGASRIHGNTELGEKAAQIIFEMEPENAGMYVLLSNLYAASGRWGEVGKMRLKMKDKGVRKVPGYSWVEVQNKIHTFSVGDSIHPDKDKIYAFLEELDLKMKREGYISSTKLVLHDVEEEEKEHMLKYHSEKLAVAFGILSIPAGRPIRVIKNLRVCGDCHNAIKYISKIVGRTIILRDSHRFHHFSGGNCSCGDYW >ONI06978 pep chromosome:Prunus_persica_NCBIv2:G5:10311434:10325069:1 gene:PRUPE_5G093000 transcript:ONI06978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQPLLQRYRRDRRKLLEFLLSSSGLVTELRTPTGSAASLSHIDFDTLSADYVLDCVKSGGVLDISEATKKYFHESSYPLMIHSQLGNSFFLLSDPELSGSPPRRVPSPINVNRTSENASSSSTQMDSLNVEDIAKAGDYYGFKDRAMLSAPPKPVKDVTNMSLGLPHLNTGLSDDDLRESAYEILLASMATSGIVICSIEDRKKQRSSKLLSRLKSRKDTANVQSQPLERHLQLLNTIRVQMQISEAMDESTRQKLMLLASGRTRVQIDVPQVLLGLLNGTFKSDFPNEKSYLQWKNRQASILEELLCFSANLVAHDQQAIKRSLAVVRNSKEWDFMSLSERAEVLSVIKQVALKFSSLPGHFGIQSETYYWTSGYHLNIRLYEKLLLGVFDVLDEGQLIEEADEFLMLIKMAWPTLGITQKIHDALYGWVLFQQFVATDEPVLLEYATLELQKIISAEDDDEKLRLYMTSLLCSRQCNGSEIKLSLVEAVFYLISIWSESKLEDYHLHFSQLSRLNILDEDPSTIFESYVKRSIEAAYRRVASNVDHLSKVEKKHPLNVLANELRLISEREFNVFYPKLCKLCPQSVMIVAMQLHRVYWERLKSFIDGVSSLSEDVISVLPAAHLLDQGLTQLYNIGNGANSGDLHHYPIGEVAKPIILDWVIAQHARILEWTGRAFDLEEWEPLSSQQRQAPSIIEVFRIIEETVDQFFGFNLPMDITHLQGLLSVVFHALDAYLLKLLDELVEKNHLYPSPPPLTRYKETTIPVMKKKLLECVPLDDNVYDKLNSLTIPKLCIRLNTLKYIQKQIDILEEGIRKSWALVRHSSDKKWDKKQSLGTSTCNEQVDELFATTFEIIRDTAANAISRLCDFTGARVVFLDLKHAFLFGLYCGNVEGARLDGVLTHIDTVLGHLCGLIDDSLRDVVVLSIFRASLEGFVWVLLDGGPSRAFCDSDILLMEDDLATLKEFFVADGEGLPRSLVEQETKFAEQILNVYSFQTESIIQMLMAASEQISSGLDSHDHNHVRLNNAHTLVRILCHKKDREASKFLKRQYQFPMSSEYDDTPSKDPTSRSPLRSDLTNRSTSFHWNKMSPTSFKTFKKKLQDATSEIRNVAW >ONI06979 pep chromosome:Prunus_persica_NCBIv2:G5:10311831:10325069:1 gene:PRUPE_5G093000 transcript:ONI06979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSQLGNSFFLLSDPELSGSPPRRVPSPINVNRTSENASSSSTQMDSLNVEDIAKAGDYYGFKDRAMLSAPPKPVKDVTNMSLGLPHLNTGLSDDDLRESAYEILLASMATSGIVICSIEDRKKQRSSKLLSRLKSRKDTANVQSQPLERHLQLLNTIRVQMQISEAMDESTRQKLMLLASGRTRVQIDVPQVLLGLLNGTFKSDFPNEKSYLQWKNRQASILEELLCFSANLVAHDQQAIKRSLAVVRNSKEWDFMSLSERAEVLSVIKQVALKFSSLPGHFGIQSETYYWTSGYHLNIRLYEKLLLGVFDVLDEGQLIEEADEFLMLIKMAWPTLGITQKIHDALYGWVLFQQFVATDEPVLLEYATLELQKIISAEDDDEKLRLYMTSLLCSRQCNGSEIKLSLVEAVFYLISIWSESKLEDYHLHFSQQPCHLKKVWSLVSVVGIHTFRDGGDMKLSRLNILDEDPSTIFESYVKRSIEAAYRRVASNVDHLSKVEKKHPLNVLANELRLISEREFNVFYPKLCKLCPQSVMIVAMQLHRVYWERLKSFIDGVSSLSEDVISVLPAAHLLDQGLTQLYNIGNGANSGDLHHYPIGEVAKPIILDWVIAQHARILEWTGRAFDLEEWEPLSSQQRQAPSIIEVFRIIEETVDQFFGFNLPMDITHLQGLLSVVFHALDAYLLKLLDELVEKNHLYPSPPPLTRYKETTIPVMKKKLLECVPLDDNVYDKLNSLTIPKLCIRLNTLKYIQKQIDILEEGIRKSWALVRHSSDKKWDKKQSLGTSTCNEQVDELFATTFEIIRDTAANAISRLCDFTGARVVFLDLKHAFLFGLYCGNVEGARLDGVLTHIDTVLGHLCGLIDDSLRDVVVLSIFRASLEGFVWVLLDGGPSRAFCDSDILLMEDDLATLKEFFVADGEGLPRSLVEQETKFAEQILNVYSFQTESIIQMLMAASEQISSGLDSHDHNHVRLNNAHTLVRILCHKKDREASKFLKRQYQFPMSSEYDDTPSKDPTSRSPLRSDLTNRSTSFHWNKMSPTSFKTFKKKLQDATSEIRNVAW >ONI06977 pep chromosome:Prunus_persica_NCBIv2:G5:10311434:10325069:1 gene:PRUPE_5G093000 transcript:ONI06977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQPLLQRYRRDRRKLLEFLLSSSGLVTELRTPTGSAASLSHIDFDTLSADYVLDCVKSGGVLDISEATKKYFHESSYPLMIHSQLGNSFFLLSDPELSGSPPRRVPSPINVNRTSENASSSSTQMDSLNVEDIAKAGDYYGFKDRAMLSAPPKPVKDVTNMSLGLPHLNTGLSDDDLRESAYEILLASMATSGIVICSIEDRKKQRSSKLLSRLKSRKDTANVQSQPLERHLQLLNTIRVQMQISEAMDESTRQKLMLLASGRTRVQIDVPQVLLGLLNGTFKSDFPNEKSYLQWKNRQASILEELLCFSANLVAHDQQAIKRSLAVVRNSKEWDFMSLSERAEVLSVIKQVALKFSSLPGHFGIQSETYYWTSGYHLNIRLYEKLLLGVFDVLDEGQLIEEADEFLMLIKMAWPTLGITQKIHDALYGWVLFQQFVATDEPVLLEYATLELQKIISAEDDDEKLRLYMTSLLCSRQCNGSEIKLSLVEAVFYLISIWSESKLEDYHLHFSQQPCHLKKVWSLVSVVGIHTFRDGGDMKLSRLNILDEDPSTIFESYVKRSIEAAYRRVASNVDHLSKVEKKHPLNVLANELRLISEREFNVFYPKLCKLCPQSVMIVAMQLHRVYWERLKSFIDGVSSLSEDVISVLPAAHLLDQGLTQLYNIGNGANSGDLHHYPIGEVAKPIILDWVIAQHARILEWTGRAFDLEEWEPLSSQQRQAPSIIEVFRIIEETVDQFFGFNLPMDITHLQGLLSVVFHALDAYLLKLLDELVEKNHLYPSPPPLTRYKETTIPVMKKKLLECVPLDDNVYDKLNSLTIPKLCIRLNTLKYIQKQIDILEEGIRKSWALVRHSSDKKWDKKQSLGTSTCNEQVDELFATTFEIIRDTAANAISRLCDFTGARVVFLDLKHAFLFGLYCGNVEGARLDGVLTHIDTVLGHLCGLIDDSLRDVVVLSIFRASLEGFVWVLLDGGPSRAFCDSDILLMEDDLATLKEFFVADGEGLPRSLVEQETKFAEQILNVYSFQTESIIQMLMAASEQISSGLDSHDHNHVRLNNAHTLVRILCHKKDREASKFLKRQYQFPMSSEYDDTPSKDPTSRSPLRSDLTNRSTSFHWNKMSPTSFKTFKKKLQDATSEIRNVAW >ONI09457 pep chromosome:Prunus_persica_NCBIv2:G5:18069853:18073474:-1 gene:PRUPE_5G239600 transcript:ONI09457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTMAVGVPVIIALLILCGVDLVRSDASDHRYKEGDLVPLYANKVGPFHNPSETYRYFDLPFCSPDKVKEKKEALGEVLNGDRLVSAPYKLEFQKHKDSEVACKRTIKKEEVARFRSAVNKDYYFQMYYDDLPIWGFIGKVDKEGKDPSEYKTYLYKHIHFDISYNKDRVIEINVRTDPNALVDLTEDKEIDVEFMYTVKWKETTTPFDKRMDKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAAEDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYTATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPPLPWYRATIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGIFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALLFVRHIYRSIKCE >ONI09458 pep chromosome:Prunus_persica_NCBIv2:G5:18069891:18072513:-1 gene:PRUPE_5G239600 transcript:ONI09458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYDDLPIWGFIGKVDKEGKDPSEYKTYLYKHIHFDISYNKDRVIEINVRTDPNALVDLTEDKEIDVEFMYTVKWKETTTPFDKRMDKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAAEDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYTATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPPLPWYRATIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGIFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALLFVRHIYRSIKCE >ONI09459 pep chromosome:Prunus_persica_NCBIv2:G5:18070551:18073474:-1 gene:PRUPE_5G239600 transcript:ONI09459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTMAVGVPVIIALLILCGVDLVRSDASDHRYKEGDLVPLYANKVGPFHNPSETYRYFDLPFCSPDKVKEKKEALGEVLNGDRLVSAPYKLEFQKHKDSEVACKRTIKKEEVARFRSAVNKDYYFQMYYDDLPIWGFIGKVDKEGKDPSEYKTYLYKHIHFDISYNKDRVIEINVRTDPNALVDLTEDKEIDVEFMYTVKWKETTTPFDKRMDKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAAEDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYTATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPPLPWYRATIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWR >ONI07276 pep chromosome:Prunus_persica_NCBIv2:G5:11525971:11532180:-1 gene:PRUPE_5G110800 transcript:ONI07276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSITLSESVLGAHSLPSPFPKPLKPSSSSCYLLPFKLRSKKLTSKLSLPNPSFVSSRYSCKWNANTCEEWFRRLLQTSGNLDDLELHYLNYEKCRVTTDPIDIEDDFVALDKALPKKNLKKNCHMDGETMAHNSFSHFWKAILLFGFLALQGSEPAFAISDLASGLPSIPFLGDLGDLSTGFASAFLLIFFSELGDKTFFIAALLAARNSAPVVFIGTFGALAAMTIISVVLGRTFHYVDEILPFRFGETDLPIDDIAAVLLLVYFGVSTLLDATSSDSLKAEDEQREAELAVSKFSGNGAGILAAASTVISTFLLVFVAEWGDKSFFSTIALAAASSPLGVIGGALAGHGVATLLAVLGGSLLGTFLSEKAIAYIGGVLFLVFAAVTLVEIVT >ONI07275 pep chromosome:Prunus_persica_NCBIv2:G5:11525377:11532180:-1 gene:PRUPE_5G110800 transcript:ONI07275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSITLSESVLGAHSLPSPFPKPLKPSSSSCYLLPFKLRSKKLTSKLSLPNPSFVSSRYSCKWNANTCEEWFRRLLQTSGNLDDLELHYLNYEKCRVTTDPIDIEDDFVALDKALPKKNLKKNCHMDGETMAHNSFSHFWKAILLFGFLALQGSEPAFAISDLASGLPSIPFLGDLGDLSTGFASAFLLIFFSELGDKTFFIAALLAARNSAPVVFIGTFGALAAMTIISVVLGRTFHYVDEILPFRFGETDLPIDDIAAVLLLVYFGVSTLLDATSSDSLKAEDEQREAELAVSKFSGNGAGILAAASTVISTFLLVFVAEWGDKSFFSTIALAAASSPLGVIGGALAGHGVATLLAVLGGSLLGTFLSEKAIAYIGGVLFLVFAAVTLVEIVT >ONI05678 pep chromosome:Prunus_persica_NCBIv2:G5:2040794:2043619:1 gene:PRUPE_5G018700 transcript:ONI05678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMMLVSRRHLAKSMVGVAGGTIRLFSSATTTACSGTGAAAAAAAAAKQSTRMGVPTWTKSGAVYWVQMDCGARSAGTVALGGKDDYEEEKKQHAADHGSKPSVDNGSGNKDEKGIASYWGVGPTKLTKEDGTQWKWTCFRPWETYKADVSIDLNKHHVPTTFLDKMAYWTVKSLRWPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKALLEEAENERMHLMTFMEVAKPKWYERALVVTVQGVFFNAYLLGYLLSPKFAHRMVGYLEEEAIHSYTEFLKELDKGNIENVPAPAIAIDYWQLPPNSTLRDVVTVVRADEAHHRDVNHFASDIHYHGRQLKESPAPIGYH >ONI06907 pep chromosome:Prunus_persica_NCBIv2:G5:9924983:9927308:1 gene:PRUPE_5G088200 transcript:ONI06907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPPCLWWLLILGSIVHLVQQPQLLQAHRFSFTHHPKGPFTNVTKHLHFPNFHTTSSLGTNFPQLKFLGNARVSDDKGVIQIPHSSSSQADQPTYQVGRAIYSSPIRLFDPLTLTPASFETTFSFQFTTNSMATGGGNGLAFVISPDEFTIGRPGPWLGILNDACNHYKVFAVEFDTNHDPQFGDPNDDHIGINLGAAVSFKTANLSEANISLHNSKVHRAWITYDGNHKHINIHLGLDGNPIPSKPILSSSLNLSPFLQEYMFVGFSASTGNSSQNSIHNILSWNFSSTVQASLHVPSTKICHRNVAHLVSKYSTTPHTNPPSSFLIFVALLSLSSVALLSFYFSRIKTNTSDALLFFDTKQRPMLPISPRRFTFAELYIATRRFDKAQVLATDCRGGSTSVLYRGTLVNGCQVAVKRFSTPFLSSARVTKRLGDQITRASHPSLPHIRGWCCDGREAMIVYDHYPNGSLDRWLFGIGALPWTWRLKLVKDIAEGLSFLHSKELAHGNLKASSVFLDLNYRPILGDYGLDFFLPGSGRGSMGDVFGFGIFVLETVAGRKEEGLLGFAREMFEKGEIERVVDERMRDRVNSEEAVRILKIGLSCTASERGRRPCMEEVVQCLASSSHSRPVELSPL >ONI08051 pep chromosome:Prunus_persica_NCBIv2:G5:13909322:13911792:-1 gene:PRUPE_5G155600 transcript:ONI08051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESYIYFYSILLNCVWCIRGPGVAVLVLSWIITLYTLWQMVEMHEIVPGKRFDRYHELGQHAFGEKLGLWVVVPQQLMVECGVCIVYMITGGNSIKKIHDTLCPNCKSIKTTYFIMIFASVHFVLSHLPSFNSIAGVSLAAAVMSLSYSTIAWTASVHKGVDPEVQYGPKASSTAGNVFNFFSALGNVAFAFAGHNVVLEIQATIPSTPEKPSKKPMWKGVFIAYVVVALCYFPVAMIGYWVFGNNVEDNILISLQKPRWLIALANFFVVIHVIGSYQVFAMPVFDMLESFLVTVMKFKPSMSLRFLTRTAFVAFTLFIGITFPFFGGLLSFFGGFAFAPTTYFLPCVMWLVMYKPKKFGLSWWANWLCIIVGVLLMVLSPIGALKNIILQAKDFKFYS >ONI08050 pep chromosome:Prunus_persica_NCBIv2:G5:13909322:13912317:-1 gene:PRUPE_5G155600 transcript:ONI08050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSQETKDINDWLPITKSRNANWWYSAFHNVTAMVGAGVLGLPYAMSQLGWGPGVAVLVLSWIITLYTLWQMVEMHEIVPGKRFDRYHELGQHAFGEKLGLWVVVPQQLMVECGVCIVYMITGGNSIKKIHDTLCPNCKSIKTTYFIMIFASVHFVLSHLPSFNSIAGVSLAAAVMSLSYSTIAWTASVHKGVDPEVQYGPKASSTAGNVFNFFSALGNVAFAFAGHNVVLEIQATIPSTPEKPSKKPMWKGVFIAYVVVALCYFPVAMIGYWVFGNNVEDNILISLQKPRWLIALANFFVVIHVIGSYQVFAMPVFDMLESFLVTVMKFKPSMSLRFLTRTAFVAFTLFIGITFPFFGGLLSFFGGFAFAPTTYFLPCVMWLVMYKPKKFGLSWWANWLCIIVGVLLMVLSPIGALKNIILQAKDFKFYS >ONI08151 pep chromosome:Prunus_persica_NCBIv2:G5:14127695:14131584:-1 gene:PRUPE_5G160000 transcript:ONI08151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERNDSQPYTVQQLATPKSSKPPQPLQVTGLATNLNVDPPETDGAIPSTCQHRPSSVFSLLPPFPKVTCNKDTDTSEAEGKRLNKLGKSRSRNCKGECSMDNGADADGYLPGQGVSSSREEKVSSVKTGLVHVARRMPKNAHAHFILGLMYQRLGQPSKAVLAYEKAEEILLRPEADIDRPELLSLVQIHHAQCLMLETLGDSCFDKELEPQELDEINSKLKESMQSDVRQAAVWNTLGLILLKTGRLQSAISVLSSLLAVAPDNYDCLGNLGIAHLQNGNLELSEKCFQELILKDQNHPAALINYAALLLCRYGSVVAGAGANAGEGTSADHVSGINVAKECLLASLKEDPKAAHIWANLANAYSITGDHRSSSKCLEKAAKLEVNCCMSTRYAIAIHRIKDAERCQDPSEQLSWAGNEMASIIRDGDSASVELPIAWTGLAMVHKTQHEIAAAFETEQNTLMEVEERADYSLKQAIAEDPDDAVQWHQLGLHSLCTQKFKHSQKYLKAAVSRFRECSCAWSNLGISLQLSEESSHAEEVYKRALALATTKHAHAILSNLGNLYRQQKQYERAKAMFTKSLELQPGYAPAFNNLGLVFVAEGRWEEAKFCFSKALQADPLLDAAKSNMIKAVSASSSCAGLSSLLQD >ONI05387 pep chromosome:Prunus_persica_NCBIv2:G5:593906:597456:1 gene:PRUPE_5G004800 transcript:ONI05387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKGRYCVTGAGGFVASWVVKLLLSKDCTVHGTVRDPSNDKYAHLKKLDKASENLQLFKADLLDYESLRAGILGCDGVFHVASPVPSSTVPNPEVEVVEPAVKGTLNVLKACLEAKVKRVVFVSSVAAVIMNPRWSEGQLLDETCWSDKEYCRKTENWYSLSKTLAEWEALEFARRNGLDLVTVCPTLILGPILQSTVNASTLVLIKLLKEGYESLENKVRMIVDVRDVAQAVLVAYAMPEAEGRYICTGHHIKARDLVEELRSIYPDYNYPNNFIEVEEQQRLSYEKLQRLGWSARPLNETLVDTVESYKEAGLLD >ONI06629 pep chromosome:Prunus_persica_NCBIv2:G5:8623690:8625437:-1 gene:PRUPE_5G071300 transcript:ONI06629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGGLNILPQKRWNVYNFDNREKVRQDEEAAAKEEQLKREQSRKRDAEFRLEQLRTARGLAPVSQGEKPAAVESKPGHINLFEGIKIFDPIKGLENEGDDGKDGFKKNKKTKKEEKPRVVTAEDEKYRLGYGVAGKGVKLPWYLERLSADATDDSGDGDESSRGAKGEMKNKSGKKTLEELREERLEREKREKERERALILNKTRKGGAALKDRRFSRR >ONI07895 pep chromosome:Prunus_persica_NCBIv2:G5:13408225:13409076:-1 gene:PRUPE_5G145700 transcript:ONI07895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANESREGSGNNEENGGDLRNDKADLSTGAIAAGAVAGAALLAYGLSRLVSGSGSESEPKQKGKTMKAPGRGGEQILRDDFARDPKAYFKDLHRK >ONI08317 pep chromosome:Prunus_persica_NCBIv2:G5:14639340:14644603:1 gene:PRUPE_5G171300 transcript:ONI08317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSDPPAPLDWKFSQVFGERAPGDDLQDVDMISAIEFDKSGDFLAIGDRGGRCIIFERKDGKHHHSRHELEQLDFTSTQHPEFQFKTEFQGHEPEFDYLKSVEIEEKINKVRWCATSSGSQFMLSTNDKTIKLWKVKECKVKKVKEMNAHPFACLDNMLLAERSFVSREDKPAVVNGYRQEWMETIAKNASPSQDMHSKVADMEDTAHTRCRKVYAHAHDFNINSISNNSDCETFLSADDLRINLWNLEISDQCFNILDMKPANMEDLTEVITTAEFHPIHCNLLAYSSSRGFIRLVDMRQSALCDHSARILQDGDSHGLKSFFTEIIASISDMKFSRDGRHILSRDYMNLKLWDTHMDSSPVAIYKIHEHLRPKLSELYNNDRIFDRFGCCFSGDGLHFATGSYSNLMRIFSGGDGSEGATIEASKTPNRKPLLRTAPRARRSSLSNLARGFYRHGHENSSSGSNESSYDLSSRLLHLAWHPETNLIASAAGNSLFMYYS >ONI08318 pep chromosome:Prunus_persica_NCBIv2:G5:14640284:14644603:1 gene:PRUPE_5G171300 transcript:ONI08318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTNDKTIKLWKVKECKVKKVKEMNAHPFACLDNMLLAERSFVSREDKPAVVNGYRQEWMETIAKNASPSQDMHSKVADMEDTAHTRCRKVYAHAHDFNINSISNNSDCETFLSADDLRINLWNLEISDQCFNILDMKPANMEDLTEVITTAEFHPIHCNLLAYSSSRGFIRLVDMRQSALCDHSARILQDGDSHGLKSFFTEIIASISDMKFSRDGRHILSRDYMNLKLWDTHMDSSPVAIYKIHEHLRPKLSELYNNDRIFDRFGCCFSGDGLHFATGSYSNLMRIFSGGDGSEGATIEASKTPNRKPLLRTAPRARRSSLSNLARGFYRHGHENSSSGSNESSYDLSSRLLHLAWHPETNLIASAAGNSLFMYYS >ONI08316 pep chromosome:Prunus_persica_NCBIv2:G5:14639340:14644603:1 gene:PRUPE_5G171300 transcript:ONI08316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSDPPAPLDWKFSQVFGERAPGDDLQDVDMISAIEFDKSGDFLAIGDRGGRCIIFERKDGKHTLNQHHSRHELEQLDFTSTQHPEFQFKTEFQGHEPEFDYLKSVEIEEKINKVRWCATSSGSQFMLSTNDKTIKLWKVKECKVKKVKEMNAHPFACLDNMLLAERSFVSREDKPAVVNGYRQEWMETIAKNASPSQDMHSKVADMEDTAHTRCRKVYAHAHDFNINSISNNSDCETFLSADDLRINLWNLEISDQCFNILDMKPANMEDLTEVITTAEFHPIHCNLLAYSSSRGFIRLVDMRQSALCDHSARILQDGDSHGLKSFFTEIIASISDMKFSRDGRHILSRDYMNLKLWDTHMDSSPVAIYKIHEHLRPKLSELYNNDRIFDRFGCCFSGDGLHFATGSYSNLMRIFSGGDGSEGATIEASKTPNRKPLLRTAPRARRSSLSNLARGFYRHGHENSSSGSNESSYDLSSRLLHLAWHPETNLIASAAGNSLFMYYS >ONI06380 pep chromosome:Prunus_persica_NCBIv2:G5:6234082:6235284:1 gene:PRUPE_5G057300 transcript:ONI06380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPDKDMASKVSATATTQDVCSFLVKFGCCSFHYKEVFRFFLIMYM >ONI07830 pep chromosome:Prunus_persica_NCBIv2:G5:13172300:13173967:-1 gene:PRUPE_5G142000 transcript:ONI07830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVELARFIACAELPFRFVENRCFERFVQVALQPEFKKVSRNTNRSDVVKLYDEEKKKLINVFSNLKGSIAVTSDMWDGGNNLPFICVTANYIDENWLLQKRIIAFRLLEFPHTGSSIFHAMMNVFKEYNITHKIFSITFDNASNNSSAIEQFKYVLHPPYGGNFFHMRCVCHIINLMVQDGLKVIQTQLQLIRDAIGYISSSSSRQQDFAHLCMSHGLKPIKLKKDIRIRWNSTYHMLKSCKCYTNVINFYYNNKMNDNLLRDEEWNVCFALVDFFKVFYDATCNCSGVYYPTSPIALHDLFSISATFAKYRFDTTCNFTNICEQMETKYKKYWTEIPYTFCFGAIMDPRIKVSGLEVILTEISRNLSISLPLTISNIQKTFNDTYLLYEKKYSVGTIATQSALTVHLFGSSSSSSAAIFGMLASKGKQKSVISSRTEVFKYLDTEFVEFMTEEERNNFNILDWWKAHEKNFPVLSIMARDVLTTPVSTVASESAFSAGGRVLDEKRTRLTPQICEALMCLKDWEDADFRTQSFVDEDLLYFEDDSTSSLNEVC >ONI09292 pep chromosome:Prunus_persica_NCBIv2:G5:17556418:17560108:1 gene:PRUPE_5G229400 transcript:ONI09292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEGCMDLDICVQLVAILGSIFSADVKVKDYVPSKNQTRLLQTSLCALEMCSAVCRSLIVAGQLGQ >ONI09203 pep chromosome:Prunus_persica_NCBIv2:G5:17328643:17330681:1 gene:PRUPE_5G223400 transcript:ONI09203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAMGKEHRKSQPILKLLLSYMQFSHAFELVFFVVGLCLGVMVSLYCKTFPFKLQTTVNVFSSSPLSLSLPTPASQLLPQPPSLLPTPTSQLLPQPCSPPPLPLAPTSQLLPQPCSPPPLPLADLGTEVTSKIEAFMLHKMDDDDELLWRASLVPRIRKFPFNHVPRVAFMFLTKGNIPLAPLWEMFFKGHEGLYTIYVHTHPSYVDSWPQNSVFYGRRIPSKEVEWGKPTMIDGERRLLASALLDFSNERFVLLSESCIPLFNFTTIYSYLVNSSESNIGSYDDPRKVGRGRYNPKMWPAINISDWRKGSQWFEASRKLAIEIISDTKYYPIFKEHCNPPCYMDEHYIPTLVNILGVEDNSNRSITWVDWSRGGPHPRRFGRNDVSYEFLNQIRFGTNCTCNGINATTCMCFLFARKFMGDTLRPLLQIAPILLGSVS >ONI06646 pep chromosome:Prunus_persica_NCBIv2:G5:8713746:8715727:1 gene:PRUPE_5G072400 transcript:ONI06646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRRDGQRLLGSERNTQIEFQYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGYQVPL >ONI06645 pep chromosome:Prunus_persica_NCBIv2:G5:8713746:8715727:1 gene:PRUPE_5G072400 transcript:ONI06645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGYQVPL >ONI06644 pep chromosome:Prunus_persica_NCBIv2:G5:8713746:8715727:1 gene:PRUPE_5G072400 transcript:ONI06644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGYQVPL >ONI07961 pep chromosome:Prunus_persica_NCBIv2:G5:13633654:13635715:1 gene:PRUPE_5G150000 transcript:ONI07961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMVGHLLPPTSKHSFTKTSDCLQNPKASLQSSAPKPTILPSLLSLALSVTLSSPFPALAVPSLNSQSNLVPPPTTPFSQSKNLQTGLENGKIRPCPSINPGCVSTNPRSSSFAFPLTIPANSSDNAIQRLKEAILETQKNAKIQILQDTPDGGQYLQAEFDGGFGRDVLEFLVKGDLVSYRCMATKVTYLYPFTTALGNSKGQEERMKKITDQLGWYAPSFEAMD >ONI08002 pep chromosome:Prunus_persica_NCBIv2:G5:13772462:13780075:-1 gene:PRUPE_5G152800 transcript:ONI08002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGVLGGLSVHHSLCSAPFRPSVSTQMAAFGALSPCPYSLLWRPKPTNRCVSCSVGSSAVTGTRGSNVKRSGRMEGPRKSMEDSVQRKMEQFYEGREGPPIRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDFDELGVQKIIPDTTFIKKWSHKIEAIVITHGHEDHIGALPWVIPALDPRTPIFASSFTMELIKKRLKEHGIFVPSRLKTFRTKRKFMAGPFEIEPVRVTHSIPDCCGLVLRCSDGTILHTGDWKIDESPLDGRGFDREALEELSKEGVTLMMSDSTNVLSPGRTTSETSVADALLRHISAAKGRVITTQFASNIHRLGSVKAAADFTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSSLVKVEDIDSYAPKDLLIVTTGSQAEPRAALNLASFGSSHSVKLTKEDIILYSAKVIPGNESRVMKMLNRISEIGSTIVMGKNEGLHTSGHGYRGELEEVLQIVKPQHFLPIHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFTLLGKENLQLKFSDGDKAFGTSSELCVDERLRVALDGIIVVSMEILRPQNVNGLTENSIKGKIKITTRCLWLDKGKLIDALHKAAHAALSSCPINCPLPHMERTVSEVLRKLVRKYSGKRPDVIAIAMENPAAVLADEVSVRLSGKSHVGSEMSTLRKVIDRHPYKSQSTRTQADEGKDNARLQSTSQQDTEDSVLEDDGIEVEVLLPEEDSATSNSKSEKLSSDSEKSDDFWNAIVGLSTVDKSVEDKNGLAVQQEHLKKDGPDNSEIPSSKPVKRNKWKPEEVEKLIKMRGKLRSRFQVVKGRMALWEEISRNLLADGINRSPGQCKSLWASLVQKYEESKSGKRSQKSWPYFEEMDGALSDSEEMATK >ONI08003 pep chromosome:Prunus_persica_NCBIv2:G5:13772462:13780075:-1 gene:PRUPE_5G152800 transcript:ONI08003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGVLGGLSVHHSLCSAPFRPSVSTQMAAFGALSPCPYSLLWRPKPTNRCVSCSVGSSAVTGTRGSNVKRSGRMEGPRKSMEDSVQRKMEQFYEGREGPPIRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDFDELGVQKIIPDTTFIKKWSHKIEAIVITHGHEDHIGALPWVIPALDPRTPIFASSFTMELIKKRLKEHGIFVPSRLKTFRTKRKFMAGPFEIEPVRVTHSIPDCCGLVLRCSDGTILHTGDWKIDESPLDGRGFDREALEELSKEGVTLMMSDSTNVLSPGRTTSETSVADALLRHISAAKGRVITTQFASNIHRLGSVKAAADFTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSSLVKVEDIDSYAPKDLLIVTTGSQAEPRAALNLASFGSSHSVKLTKEDIILYSAKVIPGNESRVMKMLNRISEIGSTIVMGKNEGLHTSGHGYRGELVKVLQIVKPQHFLPIHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFTLLGKENLQLKFSDGDKAFGTSSELCVDERLRVALDGIIVVSMEILRPQNVNGLTENSIKGKIKITTRCLWLDKGKLIDALHKAAHAALSSCPINCPLPHMERTVSEVLRKLVRKYSGKRPDVIAIAMENPAAVLADEVSVRLSGKSHVGSEMSTLRKVIDRHPYKSQSTRTQADEGKDNARLQSTSQQDTEDSVLEDDGIEVEVLLPEEDSATSNSKSEKLSSDSEKSDDFWNAIVGLSTVDKSVEDKNGLAVQQEHLKKDGPDNSEIPSSKPVKRNKWKPEEVEKLIKMRGKLRSRFQVVKGRMALWEEISRNLLADGINRSPGQCKSLWASLVQKYEESKSGKRSQKSWPYFEEMDGALSDSEEMATK >ONI08004 pep chromosome:Prunus_persica_NCBIv2:G5:13771997:13780245:-1 gene:PRUPE_5G152800 transcript:ONI08004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSCFQTLMSLESKRLYLIPHLSKNGATKLKQLLLHMGMKITLVIPALDPRTPIFASSFTMELIKKRLKEHGIFVPSRLKTFRTKRKFMAGPFEIEPVRVTHSIPDCCGLVLRCSDGTILHTGDWKIDESPLDGRGFDREALEELSKEGVTLMMSDSTNVLSPGRTTSETSVADALLRHISAAKGRVITTQFASNIHRLGSVKAAADFTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSSLVKVEDIDSYAPKDLLIVTTGSQAEPRAALNLASFGSSHSVKLTKEDIILYSAKVIPGNESRVMKMLNRISEIGSTIVMGKNEGLHTSGHGYRGELEEVLQIVKPQHFLPIHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFTLLGKENLQLKFSDGDKAFGTSSELCVDERLRVALDGIIVVSMEILRPQNVNGLTENSIKGKIKITTRCLWLDKGKLIDALHKAAHAALSSCPINCPLPHMERTVSEVLRKLVRKYSGKRPDVIAIAMENPAAVLADEVSVRLSGKSHVGSEMSTLRKVIDRHPYKSQSTRTQADEGKDNARLQSTSQQDTEDSVLEDDGIEVEVLLPEEDSATSNSKSEKLSSDSEKSDDFWNAIVGLSTVDKSVEDKNGLAVQQEHLKKDGPDNSEIPSSKPVKRNKWKPEEVEKLIKMRGKLRSRFQVVKGRMALWEEISRNLLADGINRSPGQCKSLWASLVQKYEESKSGKRSQKSWPYFEEMDGALSDSEEMATK >ONI07460 pep chromosome:Prunus_persica_NCBIv2:G5:12081693:12087586:1 gene:PRUPE_5G121300 transcript:ONI07460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIEEGSREYAEFLHLPRKRFTDFAAVRKEISDETDRETGRSKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSDTIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIRISREVDPTGERTLGVLTKIDLMDKGTDAAEILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFSTTPEYKHLAPRMGSEHLAKMLSKHLETVIKSKIPGIQSLISKTVAELEAELSRLGKPISADAGGKLYTIMEICRLFDGTYKEHLDGVRPGGDKIYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESSVITIRGPAEAAVDAVHAILKDLVHKSVNETPELKQYPALRVEVTNAASDSLERMREESKKATLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGTTVLAYVNMVCASLRNSIPKSIVYCQVREAKRSFLDHFYTDLGKLETKQLSSLLNEDPAIMERRSALAKRLELYRSAQAEIDSVAWAK >ONI09191 pep chromosome:Prunus_persica_NCBIv2:G5:17289473:17291478:-1 gene:PRUPE_5G222700 transcript:ONI09191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIDWRPNWQLRRCCNRDQVTFLISVAVCTVVILVLWRTVLMTPFKLITVFLHEVSHALACMLTCGKVEGIKVHADEGGVTQTRGGIYWLILPAGYLGSSFWGMVLILASTKHLATQVAAGCFIVALFVVLFLAKNWTLRGLCIGFIILFAGIWYLQETTPVRILREIILFTGVMNSLFSVYDIYDDLISRRVNSSDAERFAEECPCCTGCGWGVIWAFISFFFLCGSMYLALVVLA >ONI09192 pep chromosome:Prunus_persica_NCBIv2:G5:17288978:17291478:-1 gene:PRUPE_5G222700 transcript:ONI09192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIDWRPNWQLRRCCNRDQVTFLISVAVCTVVILVLWRTVLMTPFKLITVFLHEVSHALACMLTCGKISLQVEGIKVHADEGGVTQTRGGIYWLILPAGYLGSSFWGMVLILASTKHLATQVAAGCFIVALFVVLFLAKNWTLRGLCIGFIILFAGIWYLQETTPVRILREIILFTGVMNSLFSVYDIYDDLISRRVNSSDAERFAEECPCCTGCGWGVIWAFISFFFLCGSMYLALVVLA >ONI09189 pep chromosome:Prunus_persica_NCBIv2:G5:17288978:17292242:-1 gene:PRUPE_5G222700 transcript:ONI09189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIDWRPNWQLRRCCNRDQVTFLISVAVCTVVILVLWRTVLMTPFKLITVFLHEVSHALACMLTCGKVEGIKVHADEGGVTQTRGGIYWLILPAGYLGSSFWGMVLILASTKHLATQVAAGCFIVALFVVLFLAKNWTLRGLCIGFIILFAGIWYLQETTPVRILREIILFTGVMNSLFSVYELILVMPRDLQKSVHAALVVDGVSFGRSYLSSFSVDPCTLLLWFWLEQALQIGPT >ONI09190 pep chromosome:Prunus_persica_NCBIv2:G5:17288978:17291796:-1 gene:PRUPE_5G222700 transcript:ONI09190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIDWRPNWQLRRCCNRDQVTFLISVAVCTVVILVLWRTVLMTPFKLITVFLHEVSHALACMLTCGKVEGIKVHADEGGVTQTRGGIYWLILPAGYLGSSFWGMVLILASTKHLATQVAAGCFIVALFVVLFLAKNWTLRGLCIGFIILFAGIWYLQETTPVRILREIILFTGVMNSLFSVYDIYDDLISRRVNSSDAERFAEECPCCTGCGWGVIWAFISFFFLCGSMYLALVVLA >ONI08073 pep chromosome:Prunus_persica_NCBIv2:G5:13967617:13971011:1 gene:PRUPE_5G157100 transcript:ONI08073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELLAVSEPTRKQPRPGRGGFEAHGLSEEEARVRAIAEIVSAMVDLSRKGQNVDLNALKTTACRKYGLSRAPKLVEMIAALPESDREALLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARGRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHGATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDMESFREFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIKPDQVELVRRDYMANEGWETFLSYEDTRQDILVGLLRLRKCGRNTSCPELMGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIASREHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKHLV >ONI08305 pep chromosome:Prunus_persica_NCBIv2:G5:14609579:14612431:1 gene:PRUPE_5G170700 transcript:ONI08305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFMLFFAILHAILAVALGDSLRDSISLLRIKSELVDPAGVLDNWSPRAHICSWNGLSCSDDQIHIFGLNLSGSGLSGSIPRELWQLTSIETLDLSSNSLTGPLPPELQQLQNLETLLLYSNFLSGEIPPEVGLLKNLQVLRIGDNLLSGAITPSIGNLTELRVLGLAYCQLNGSIPVDIGNLKHLISLDLQNNSLSGLIPEEIHGCEELQNFAASNNMLEGDIPSSIGSLKLLQILNLANNSLSGSIPVVLSQLTNLKYMNFLGNRLNGDIPLELNQLVQLEMLDLSQNNLSGSIHLLSTQLKNLEALVLSDNALTGSIPRNFCLRNSNLRQLLLARNKLTGRFPLEVLSCYSLQQLDLSDNSFGGELPAALDKLENLIDLVLNNNSFTGTLPPEIGNMSYLENLYLFGNMITGGIPVEIGKLQRLKTIYLYDNQMSGSIPIELTNCSDLTGIDFFGNHFTGPIPATIGNLKNLVLLQLRQNGLSGPIPPSLGYCRSLQLLALADNKLTGTLPPTFRFISQLSTITLYNNSFEGPLPSSLFLLKNLKIINFSHNRFSGTIFPLSGSNSLTAVDLTNNSFSGSIPSRLSMCRNLSRLRLAHNHLTGTIPSEFGQLTQLNFLDLSYNNLTGEVPPQLSSCKRVEHLLLSDNQFRGTIPPWLGSLQELGELDFSSNNFHGTVPAELEEIGNLTSLNVLNLQRNNFSGSISSTIRQCKKLYELRLSENFLTGLIPFELGELTELQVILDLSKNLFSGEIPSSLGNLMKLERLNLSSNQLQGEVPVSLGKLTSLHMLNLSNNHLQGQIPSTFSGFPLSSFLRNDKLCGPPLAKCLESQGQRKKHLSDAAVTGITVAIVLTSTVICLVMLYIMLRMWCKWRKVSISNLDGGGAEYKREEEKWGLGNEKKRPGEYWKVESVAEMQQQHAFYTSK >ONI08306 pep chromosome:Prunus_persica_NCBIv2:G5:14609199:14611816:1 gene:PRUPE_5G170700 transcript:ONI08306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFMLFFAILHAILAVALGDSLRDSISLLRIKSELVDPAGVLDNWSPRAHICSWNGLSCSDDQIHIFGLNLSGSGLSGSIPRELWQLTSIETLDLSSNSLTGPLPPELQQLQNLETLLLYSNFLSGEIPPEVGLLKNLQVLRIGDNLLSGAITPSIGNLTELRVLGLAYCQLNGSIPVDIGNLKHLISLDLQNNSLSGLIPEEIHGCEELQNFAASNNMLEGDIPSSIGSLKLLQILNLANNSLSGSIPVVLSQLTNLKYMNFLGNRLNGDIPLELNQLVQLEMLDLSQNNLSGSIHLLSTQLKNLEALVLSDNALTGSIPRNFCLRNSNLRQLLLARNKLTGRFPLEVLSCYSLQQLDLSDNSFGGELPAALDKLENLIDLVLNNNSFTGTLPPEIGNMSYLENLYLFGNMITGGIPVEIGKLQRLKTIYLYDNQMSGSIPIELTNCSDLTGIDFFGNHFTGPIPATIGNLKNLVLLQLRQNGLSGPIPPSLGYCRSLQLLALADNKLTGTLPPTFRFISQLSTITLYNNSFEGPLPSSLFLLKNLKIINFSHNRFSGTIFPLSGSNSLTAVDLTNNSFSGSIPSRLSMCRNLSRLRLAHNHLTGTIPSEFGQLTQLNFLDLSYNNLTGEVPPQLSSCKRVEHLLLSDNQFRGTIPPWLGSLQELGELDFSSNNFHGTVPAELEK >ONI06411 pep chromosome:Prunus_persica_NCBIv2:G5:6344884:6346879:-1 gene:PRUPE_5G058800 transcript:ONI06411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLSSSYPPIHHPSSIHTSITSTLSLSLSLSIFISQNPNSQIMASKALPQALITMPRNPTHHPPVKLGFSASFSRRSSSNSARVSLSRVSSSSFGSSFCPIVAAMSRLDFVVRADSSTEGEAEAVGSEEASEAIVEAEAEPVVESEPEEPEPEEPKTPRKSRVKLGDIMGILNKRAVEAAVQERPVPDIRTGDVVQIKLEVPENKRRLSVYKGIVISRQNAGIHTTIRIRRIIAGIGVEIVFPLYSPNIKELKVLSHRKVRRARLYYLRDKLPRFSTFK >ONI08231 pep chromosome:Prunus_persica_NCBIv2:G5:14396800:14398095:-1 gene:PRUPE_5G166200 transcript:ONI08231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHKTKETLKLLCSYGGKILPRHTDGNLRYVGGLTRVLAVDASISFAELMVKLAEFCGYSVDLRCQLPDGDLETLISVKSDEELANIIEEYDRASSSSRPLKIRAILSPPKSLKQISPPMSMATSGGDLSPSKSLLSFTDSPPKRYVSPLKGYVSPPKRYASPPIVHPGPVRFHKGSGRVCYYPCHVQSNPSDMSYLPHHHHHHQHRHQHVPHCNDWR >ONI08232 pep chromosome:Prunus_persica_NCBIv2:G5:14396533:14398078:-1 gene:PRUPE_5G166200 transcript:ONI08232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHKTKETLKLLCSYGGKILPRHTDGNLRYVGGLTRVLAVDASISFAELMVKLAEFCGYSVDLRCQLPDGDLETLISVKSDEELANIIEEYDRASSSSRPLKIRAILSPPKSLKQISPPMSMATSGGDLSPSKSLLSFTDSPPKRYVSPLKGYVSPPKRYASPPIVHPGPVRFHKGSGRVCYYPCHVQSNPSDMSYLPHHHHHHQHRHQHVPHCNDWR >ONI09272 pep chromosome:Prunus_persica_NCBIv2:G5:17516301:17517505:1 gene:PRUPE_5G228000 transcript:ONI09272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKLVATTQSLFCTRIEWALKLKGVEYEYIEEDLRNKSPILLKYNPVHKKVPVFVHDDRAIAESLVILEYIDETWKEHPLLPQDSYDRAIARFWAKFADEKVVFGAWRACTAAEGEEKEKAIESALESLAYLEKQIEGKKFFGGEEIGYLDLALGWIPHWLNTMEEAGGMKLLEAERFPSLHEWGHNFIQIPLIKECLPPREKLVNYLNASLTYLRSLSANKP >ONI09423 pep chromosome:Prunus_persica_NCBIv2:G5:17956252:17962832:-1 gene:PRUPE_5G237500 transcript:ONI09423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAEDEKSWKDLLRKMLPAGAPLPDEEHLDYSIAVEYQGPPLPYDDLPRVDPVQIDSLQTSSVTSLSVSDLSSIPVAVPVAQKLSRFNRVRNGAGVKEPGSSSTTSSSAPKSQLDLQNGREGSEFEGADQGFSSELPVQDSNPQQKPIGTTGGKRAAVVTFNTPRDSENEDDHENDNEADRSSSPQSSATEPLGSPIALASSPGRRTNKRGICSRCGKGNRLKEREWCLVCDAKFCSNCLLKAMGSMPEGRKCVSCIGQPIDESKRSSLGKCSRILSRVCSPLEIRQIMKAEKECPANQLRPEQLVVNGRLLREEELAEILGCELPPQKLKPGRYWYDKDSGLWGKEGEKPDRIISSKLNVGGKLRFDASNGNTKVFMNGREITKTERRVLKLAKVQCPPDTHFWVYDDGSYEEEGQNNIKGNIWGKASTRFICSLFSLPVPPGNQHGTNEDPTTPSSRSVPEYLEQGRVQKLLLFGLEGSGTSTIFKQAKFLYGNKFTPEELQNIKLMIQSNMYKYLSILLEGRERFEEEALTENRATSSAAEESPSVDESEQCIYAINQRFKHFSDWLLDIMATGDLDAFFPAATREYAPIVDEVWKDSAIQETYKRREELHCLPEVAKYFLDRAIEISSNEYEPSENDILYAEGVTQSNGLAFMEFSFDDRSAMSELYNENYECPPPLTKYQLIRINSKGLNDGCKWLEMFEDVRAVIFCVALSDYDQMWAQGNGLLCNKMLASRDLFESLVRHPCFRNTPFVLLLNKYDAFEAKINQVPLSVCEWLKDFSPVKPHGNIQSLAQQAYYYVAVKFKELYSSITGEKLFVAQTRARAGTSVDEAFKYIREILKWDDEKNDNFFAMNGDDSFYSTEMSSSPYVRQE >ONI09424 pep chromosome:Prunus_persica_NCBIv2:G5:17956679:17962432:-1 gene:PRUPE_5G237500 transcript:ONI09424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAEDEKSWKDLLRKMLPAGAPLPDEEHLDYSIAVEYQGPPLPYDDLPRVDPVQIDSLQTSSVTSLSVSDLSSIPVAVPVAQKLSRFNRVRNGAGVKEPGSSSTTSSSAPKSQLDLQNGREGSEFEGADQGFSSELPVQDSNPQQKPIGTTGGKRAAVVTFNTPRDSENEDDHENDNEADRSSSPQSSATEPLGSPIALASSPGRRTNKRGICSRCGKGNRLKEREWCLVCDAKFCSNCLLKAMGSMPEGRKCVSCIGQPIDESKRSSLGKCSRILSRVCSPLEIRQIMKAEKECPANQLRPEQLVVNGRLLREEELAEILGCELPPQKLKPGRYWYDKDSGLWGKEGEKPDRIISSKLNVGGKLRFDASNGNTKVFMNGREITKTERRVLKLAKVQCPPDTHFWVYDDGSYEEEGQNNIKGNIWGKASTRFICSLFSLPVPPGNQHGTNEDPTTPSSRSVPEYLEQGRVQKLLLFGLEGSGTSTIFKQAKFLYGNKFTPEELQNIKLMIQSNMYKYLSILLEGRERFEEEALTENRATSSAAEESPSVVDESEQCIYAINQRFKHFSDWLLDIMATGDLDAFFPAATREYAPIVDEVWKDSAIQETYKRREELHCLPEVAKYFLDRAIEISSNEYEPSENDILYAEGVTQSNGLAFMEFSFDDRSAMSELYNENYECPPPLTKYQLIRINSKGLNDGCKWLEMFEDVRAVIFCVALSDYDQMWAQGNGLLCNKMLASRDLFESLVRHPCFRNTPFVLLLNKYDAFEAKINQVPLSVCEWLKDFSPVKPHGNIQSLAQQAYYYVAVKFKELYSSITGEKLFVAQTRARAGTSVDEAFKYIREILKWDDEKNDNFFAMNGDDSFYSTEMSSSPYVRQE >ONI06983 pep chromosome:Prunus_persica_NCBIv2:G5:10330283:10332163:-1 gene:PRUPE_5G093200 transcript:ONI06983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQADQNGDSGPVNGAGGGGDSQRTLPTPFLTKTYQLVDDPSVDDLISWSEDGSAFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFKRGEKGLLREIQRRKISPSVSASPAAITATLATVSVVAPGVSPSNSGDEQVISSNSSPVAPPATMLSRIRSCTTTSDVLEENERLRKENMQLSHELTQLRGLCNNILALMTNYASGQLEGGGGGGGSVMDEGKPLELSPVQEAEPSENGVVREGSKAEASAEEEEDEEEETRPRLFGVSIGVKRVRRDEEEEEQHREGSEAMKSEPSDGSSKRDQDSTWLELGK >ONI06982 pep chromosome:Prunus_persica_NCBIv2:G5:10330295:10332518:-1 gene:PRUPE_5G093200 transcript:ONI06982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQADQNGDSGPVNGAGGGGDSQRTLPTPFLTKTYQLVDDPSVDDLISWSEDGSAFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFKRGEKGLLREIQRRKISPSVSASPAAITATLATVSVVAPGVSPSNSGDEQVISSNSSPVAPPATMLSRIRSCTTTSDVLEENERLRKENMQLSHELTQLRGLCNNILALMTNYASGQLEGGGGGGGSVMDEGKPLELSPVQEAEPSENGVVREGSKAEASAEEEEDEEEETRPRLFGVSIGVKRVRRDEEEEEQHREGSEAMKSEPSDGSSKRDQDSTWLELGK >ONI06981 pep chromosome:Prunus_persica_NCBIv2:G5:10330295:10332453:-1 gene:PRUPE_5G093200 transcript:ONI06981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQADQNGDSGPVNGAGGGGDSQRTLPTPFLTKTYQLVDDPSVDDLISWSEDGSAFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFKRGEKGLLREIQRRKISPSVSASPAAITATLATVSVVAPGVSPSNSGDEQVISSNSSPVAPPATMLSRIRSCTTTSDVLEENERLRKENMQLSHELTQLRGLCNNILALMTNYASGQLEGGGGGGGSVMDEGKPLELSPVQEAEPSENGVVREGSKAEASAEEEEDEEEETRPRLFGVSIGVKRVRRDEEEEEQHREGSEAMKSEPSDGSSKRDQDSTWLELGK >ONI06984 pep chromosome:Prunus_persica_NCBIv2:G5:10330283:10332551:-1 gene:PRUPE_5G093200 transcript:ONI06984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQADQNGDSGPVNGAGGGGDSQRTLPTPFLTKTYQLVDDPSVDDLISWSEDGSAFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFKRGEKGLLREIQRRKISPSVSASPAAITATLATVSVVAPGVSPSNSGDEQVISSNSSPVAPPATMLSRIRSCTTTSDVLEENERLRKENMQLSHELTQLRGLCNNILALMTNYASGQLEGGGGGGGSVMDEGKPLELSPVQEAEPSENGVVREGSKAEASAEEEEDEEEETRPRLFGVSIGVKRVRRDEEEEEQHREGSEAMKSEPSDGSSKRDQDSTWLELGK >ONI06985 pep chromosome:Prunus_persica_NCBIv2:G5:10330807:10331907:-1 gene:PRUPE_5G093200 transcript:ONI06985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQADQNGDSGPVNGAGGGGDSQRTLPTPFLTKTYQLVDDPSVDDLISWSEDGSAFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFKRGEKGLLREIQRRKISPSVSASPAAITATLATVSVVAPGVSPSNSGDEQVISSNSSPVAPPATMLSRIRSCTTTSDVLEENERLRKENMQLSHELTQLRGLCNNILALMTNYASGQLEGGGGGGGSVMDEGKPLELSPVQEAEPSENGVVREGSKAEASAEEEEDEEEETRPRLFGVSIGVKRVRRDEEEEEQHREGSEAMKSEPSDGSSKRDQDSTWLELGK >ONI05948 pep chromosome:Prunus_persica_NCBIv2:G5:3535145:3539148:1 gene:PRUPE_5G030900 transcript:ONI05948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPAHSSISTTAIAGERGERNGSSNPALSTDDFLFPIDLISIQDRKEEAMLVIKSDLMDALNKVVKSLDEDNWIFEGPRHRINPISRKGGFLQKHMEISNNWNLAPPK >ONI09399 pep chromosome:Prunus_persica_NCBIv2:G5:17874255:17875678:-1 gene:PRUPE_5G235900 transcript:ONI09399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFLSRNIADFVFSSPLFSKKNGGNFIPMQIEDVVSLVSRTGRHMQRYDEGYRQVVGCIPYRFRKTEQSSSLEELEVLVISSQKGQGMLFPKGGWEIDESIEEAAKRETLEEAGVVGHLGSRLGMWRYKSKSHGLIHEGYMFPLLVQQQLDFWPEQSARKRQWMSVAEAREACQNWWMREALEKLVCRQMHPQQKEEAEEETKCT >ONI05626 pep chromosome:Prunus_persica_NCBIv2:G5:1706287:1710218:1 gene:PRUPE_5G015700 transcript:ONI05626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGFVHKAWDKWASPNIGSDKPLKAAILINYDPTGPSRLLSTIAEEEGIKVNPIELSQFIDFIKCDKLQTESFIIGPNQYIVTSIHENWFCARCMNTSKSTGEGAIVMHTAAFLLVALYDGSIGSASRAMVAVDQFAWQLTRKNL >ONI05627 pep chromosome:Prunus_persica_NCBIv2:G5:1706275:1711430:1 gene:PRUPE_5G015700 transcript:ONI05627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGFVHKAWDKWASPNIGSDKPLKAAILINYDPTGPSRLLSTIAEEEGIKVNPIELSQFIDFIKCDKLQTESFIIGPNQYIVTSIHENWFCARCMNTSKSTGEGAIVMHTAAFLLVALYDGSIGSASRAMVAVDQFAWQLTRKNL >ONI05625 pep chromosome:Prunus_persica_NCBIv2:G5:1706287:1710218:1 gene:PRUPE_5G015700 transcript:ONI05625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGFVHKAWDKWASPNIGSDKPLKAAILINYDPTGPSRLLSTIAEEEGIKVNPIELSQFIDFIKCDKLQTESFIIGPNQYIVTSIHENWFCARCMNTSKSTGEGAIVMHTAAFLLVALYDGSIGSASRAMVAVDQFAWQLTRKNL >ONI06814 pep chromosome:Prunus_persica_NCBIv2:G5:9585693:9589361:-1 gene:PRUPE_5G082600 transcript:ONI06814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHAPVNNQQCQIHRLNLHHRTQIQLRNLYFNIPISQSRGFSLRSRSISHPLLLRRCVSEKISGSFDPDSGRNYASGPPQIPQQNNVSFVEVLKKSNSFLPHVTLASTLLALVFPPSFTWFTNRYYAPALGFLMFAVGVNSSEKDFLEAFKRPTAILAGYIGQFLVKPLLGYIFGIISVSIFGLPTPVGAGIMLVSCVSGAQLSNYATFLTDPPMAPLSIVMTSLSTATAVIITPLLSLLLIGKRLPVDVKGMVSSILQIVVTPVAAGLLLNRFFPRICDAIRPFLPPLSVLVTACCVGAPLAINIESVTSPFGLTILLLIIAFHFTAFVAGYFLTGMVFHKTPDVKALQRTLSYETGMQSSLLALALANRFFQDPLVGVPPAISVYY >ONI06812 pep chromosome:Prunus_persica_NCBIv2:G5:9585325:9589533:-1 gene:PRUPE_5G082600 transcript:ONI06812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPDHRRYYAPALGFLMFAVGVNSSEKDFLEAFKRPTAILAGYIGQFLVKPLLGYIFGIISVSIFGLPTPVGAGIMLVSCVSGAQLSNYATFLTDPPMAPLSIVMTSLSTATAVIITPLLSLLLIGKRLPVDVKGMVSSILQIVVTPVAAGLLLNRFFPRICDAIRPFLPPLSVLVTACCVGAPLAINIESVTSPFGLTILLLIIAFHFTAFVAGYFLTGMVFHKTPDVKALQRTLSYETGMQSSLLALALANRFFQDPLVGVPPAISTVIMSLMGFSLVMVWAKRKE >ONI06813 pep chromosome:Prunus_persica_NCBIv2:G5:9585325:9589198:-1 gene:PRUPE_5G082600 transcript:ONI06813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVGVNSSEKDFLEAFKRPTAILAGYIGQFLVKPLLGYIFGIISVSIFGLPTPVGAGIMLVSCVSGAQLSNYATFLTDPPMAPLSIVMTSLSTATAVIITPLLSLLLIGKRLPVDVKGMVSSILQIVVTPVAAGLLLNRFFPRICDAIRPFLPPLSVLVTACCVGAPLAINIESVTSPFGLTILLLIIAFHFTAFVAGYFLTGMVFHKTPDVKALQRTLSYETGMQSSLLALALANRFFQDPLVGVPPAISTVIMSLMGFSLVMVWAKRKE >ONI06811 pep chromosome:Prunus_persica_NCBIv2:G5:9585599:9589361:-1 gene:PRUPE_5G082600 transcript:ONI06811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHAPVNNQQCQIHRLNLHHRTQIQLRNLYFNIPISQSRGFSLRSRSISHPLLLRRCVSEKISGSFDPDSGRNYASGPPQIPQQNNVSFVEVLKKSNSFLPHVTLASTLLALVFPPSFTWFTNRYYAPALGFLMFAVGVNSSEKDFLEAFKRPTAILAGYIGQFLVKPLLGYIFGIISVSIFGLPTPVGAGIMLVSCVSGAQLSNYATFLTDPPMAPLSIVMTSLSTATAVIITPLLSLLLIGKRLPVDVKGMVSSILQIVVTPVAAGLLLNRFFPRICDAIRPFLPPLSVLVTACCVGAPLAINIESVTSPFGLTILLLIIAFHFTAFVAGYFLTGMVFHKTPDVKALQRTLSYETGMQSSLLALALANRFFQDPLVGVPPAISTVIMSLMGFSLVMVWAKRKE >ONI06810 pep chromosome:Prunus_persica_NCBIv2:G5:9585599:9589361:-1 gene:PRUPE_5G082600 transcript:ONI06810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHAPVNNQQCQIHRLNLHHRTQIQLRNLYFNIPISQSRGFSLRSRSISHPLLLRRCVSEKISGSFDPDSGRNYASGPPQVLKKSNSFLPHVTLASTLLALVFPPSFTWFTNRYYAPALGFLMFAVGVNSSEKDFLEAFKRPTAILAGYIGQFLVKPLLGYIFGIISVSIFGLPTPVGAGIMLVSCVSGAQLSNYATFLTDPPMAPLSIVMTSLSTATAVIITPLLSLLLIGKRLPVDVKGMVSSILQIVVTPVAAGLLLNRFFPRICDAIRPFLPPLSVLVTACCVGAPLAINIESVTSPFGLTILLLIIAFHFTAFVAGYFLTGMVFHKTPDVKALQRTLSYETGMQSSLLALALANRFFQDPLVGVPPAISTVIMSLMGFSLVMVWAKRKE >ONI08776 pep chromosome:Prunus_persica_NCBIv2:G5:16099202:16100922:-1 gene:PRUPE_5G200200 transcript:ONI08776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYSDAQDVEFSTAVAAAAFAIHSNEEARLQYQKGMRKSLQISRTKTLQDAMAGRPSSGEVNRRLSNKEAKNAGEASMRKPVGQDQRTLESAFPSRYPNRASSTRPSTPADGYQNRRGSSTRHNTLETEADAWEKAQIKKIQKRYEKVKSAILAWENEKKMQAKIKMEKRKSELEQRRASNMQHYQIKQTRIDQIAGGARAQMEEKRRNEESKVREKVKRIRSKGKVPVKCFCFTCY >ONI08775 pep chromosome:Prunus_persica_NCBIv2:G5:16099435:16100461:-1 gene:PRUPE_5G200200 transcript:ONI08775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYSDAQDVEFSTAVAAAAFAIHSNEEARLQYQKGMRKSLQISRTKTLQDAMAGRPSSGEVNRRLSNKEAKNAGEASMRKPVGQDQRTLESAFPSRYPNRASSTRPSTPADGYQNRRGSSTRHNTLETEADAWEKAQIKKIQKRYEKVKSAILAWENEKKMQAKIKMEKRKSELEQRRASNMQHYQIKQTRIDQIAGGARAQMEEKRRNEESKVREKVKRIRSKGKVPVKCFCFTCY >ONI08774 pep chromosome:Prunus_persica_NCBIv2:G5:16099202:16100881:-1 gene:PRUPE_5G200200 transcript:ONI08774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYSDAQDVEFSTAVAAAAFAIHSNEEARLQYQKGMRKSLQISRTKTLQDAMAGRPSSGEVNRRLSNKEAKNAASMRKPVGQDQRTLESAFPSRYPNRASSTRPSTPADGYQNRRGSSTRHNTLETEADAWEKAQIKKIQKRYEKVKSAILAWENEKKMQAKIKMEKRKSELEQRRASNMQHYQIKQTRIDQIAGGARAQMEEKRRNEESKVREKVKRIRSKGKVPVKCFCFTCY >ONI08777 pep chromosome:Prunus_persica_NCBIv2:G5:16099202:16101383:-1 gene:PRUPE_5G200200 transcript:ONI08777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYSDAQDVEFSTAVAAAAFAIHSNEEARLQYQKGMRKSLQISRTKTLQDAMAGRPSSGEVNRRLSNKEAKNAGEASMRKPVGQDQRTLESAFPSRYPNRASSTRPSTPADGYQNRRGSSTRHNTLETEADAWEKAQIKKIQKRYEKVKSAILAWENEKKMQAKIKMEKRKSELEQRRASNMQHYQIKQTRIDQIAGGARAQMEEKRRNEESKVREKVKRIRSKGKVPVKCFCFTCY >ONI08008 pep chromosome:Prunus_persica_NCBIv2:G5:13786561:13790140:1 gene:PRUPE_5G153000 transcript:ONI08008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNGSEAVVVCSDKSMGIGITIWGIDTGDRLLHIPTCASAPHGLVCLRNQFLVASQIHKHGSVGGGAISMWPLNKPQQPIRSYPLEAIGPLSCTKDGVYLAGGALSGNVYLWEVANGKLLKTWRGHHRSLNCMLFSDDGSLLVSASDDGMICVWSMVGLLDMEDSQSFPSLLHYSMEHKSSITGLLTTSGSSNVVLISSSLDGSCKVWDLVLGKLMQTQVYLLAITAVVLHPTEQLFFSGSIDGRIFVNKLEIGLVENSLAAAEDQKSVIKGHKGAVTALTFSQAGLVSASEDCTICIWDITNWAIIRRFDHKKGAVTNLAVIPRSSLLSVSNHRRVSNAFGVSSLDKYPQPPNSSKEKMPLLSSCHFLKGNQTTHSEQQICDTEEEFSPAAMQMKLETSLEHRMWATRMAKHVMEMNTHLQTRLLDLMQSGLLRSTSTEMDSPPTKKRETRMFDESPPFQGEEQP >ONI08009 pep chromosome:Prunus_persica_NCBIv2:G5:13786561:13790140:1 gene:PRUPE_5G153000 transcript:ONI08009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSDDGSLLVSASDDGMICVWSMVGLLDMEDSQSFPSLLHYSMEHKSSITGLLTTSGSSNVVLISSSLDGSCKVWDLVLGKLMQTQVYLLAITAVVLHPTEQLFFSGSIDGRIFVNKLEIGLVENSLAAAEDQKSVIKGHKGAVTALTFSQAGLVSASEDCTICIWDITNWAIIRRFDHKKGAVTNLAVIPRSSLLSVSNHRRVSNAFGVSSLDKYPQPPNSSKEKMPLLSSCHFLKGNQTTHSEQQICDTEEEFSPAAMQMKLETSLEHRMWATRMAKHVMEMNTHLQTRLLDLMQSGLLRSTSTEMDSPPTKKRETRMFDESPPFQGEEQP >ONI08888 pep chromosome:Prunus_persica_NCBIv2:G5:16475930:16478821:1 gene:PRUPE_5G206400 transcript:ONI08888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNSREKGFQAKQEGHNNTNNDGNSSNFNKASSSSTTTSRQWSGFRNPRIVRVSRTFGGKDRHSKVSTVRGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVIDWLLDVTEDDIDKLPPLQVPHGFAHQFHQQMLNPHHSHDQGHQSNNSLAAAPFFDVNSSFMEADHQAHQRSSTTTNVGDRKGKSIKTHDEQDDDDQNHHQDGNIGGGQLLAQKLFPQGNHPSSIPGLLNNAMAYNYYHNYSEPSSLSLSQFGGHGFPPVPQIDHHSHMMSNALSFSTSMPSGSQLFFCPSTATPSLFGPYPPYITNPVVERVFEILSNIGQSQAAPFEFTG >ONI08887 pep chromosome:Prunus_persica_NCBIv2:G5:16475930:16478821:1 gene:PRUPE_5G206400 transcript:ONI08887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNSREKGFQAKQEGHNNTNNDGNSSNFNKASSSSTTTSRQWSGFRNPRIVRVSRTFGGKDRHSKVSTVRGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVIDWLLDVTEDDIDKLPPLQVPHGFAHQFHQQMLNPHHSHDQGHQSNNSLAAAPFFDVNSSFMEADHQAHQRSSTTTNVGDRKGKSIKTHDEQDDDDQNHHQDGNIGGGQLLAQKLFPQGNHPSSIPGLLNNAMAYNYYHNYSEPSSLSLSQFGGHGFPPVPQIDHHSHMMSNALSFSTSMPSGSQLFFCPSTATPSLFGPYPPYITNPVVERGTTTSEPRSQANHFQFLSSSNSPNLLPNALMSSLQSLKSYPTSVNPKQLHSNSQDNNGSQPNKDHN >ONI08886 pep chromosome:Prunus_persica_NCBIv2:G5:16475878:16478821:1 gene:PRUPE_5G206400 transcript:ONI08886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNSREKGFQAKQEGHNNTNNDGNSSNFNKASSSSTTTSRQWSGFRNPRIVRVSRTFGGKDRHSKVSTVRGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVIDWLLDVTEDDIDKLPPLQVPHGFAHQFHQQMLNPHHSHDQGHQSNNSLAAAPFFDVNSSFMEADHQAHQRSSTTTNVGDRKGKSIKTHDEQDDDDQNHHQDGNIGGGQLLAQKLFPQGNHPSSIPGLLNNAMAYNYYHNYSEPSSLSLSQFGGHGFPPVPQIDHHSHMMSNALSFSTSMPSGSQLFFCPSTATPSLFGPYPPYITNPVVERGTTTSEPRSQANHFQFLSSSNSPNLLPNALMSSLQSLKSYPTSVNPKQLHSNSQDNNGSQPNKDHN >ONI09251 pep chromosome:Prunus_persica_NCBIv2:G5:17466203:17470698:-1 gene:PRUPE_5G226700 transcript:ONI09251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGRPGDRLEGPLPVTGNGVVLEDSNRPALAPMSSQRLRLIPNKDHNPDNYDDMELDFSPSIFTSLERFLPPSMLGMPRHDKVKFMTEILLKYLPHGERNRVQKHRDYRQKIISNYQVENFEKWVNEAKFRIMRPNTMNKYGAVLDDFGLETMLDKLMENFIRPISKVFFAEVGGSTLDSHHGFVVEYGEDRDADLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNSGSHSEEIFDYSHVPGCAVLHRGRHRHGARATTSGHRINLLLWCRSSVFREMKKYQKDFSSWCGECLREKKERQCISIRATKRELLRREGESTA >ONI09256 pep chromosome:Prunus_persica_NCBIv2:G5:17467652:17470461:-1 gene:PRUPE_5G226700 transcript:ONI09256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGRPGDRLEGPLPVTGNGVVLEDSNRPALAPMSSQRLRLIPNKDHNPDNYDDMELDFSPSIFTSLERFLPPSMLGMPRHDKVKFMTEILLKYLPHGERNRVQKHRDYRQKIISNYQPLHRELYSMHPATTFVAPFLKAVSDNTEESFRRIMSEPSPGVFTFEMFQPQFCELLLSEVENFEKWVNEAKFRIMRPNTMNKYGAVLDDFGLETMLDKLMENFIRPISKVFFAEVGGSTLDSHHGFVVEYGEDRDADLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNSGSHSEEIFDYSHVPGCAVLHRGRHRHGARATTSGHRINLLLWCRRYSLDSNFSQSVIYFDKLPVSYFMESVW >ONI09253 pep chromosome:Prunus_persica_NCBIv2:G5:17466589:17470461:-1 gene:PRUPE_5G226700 transcript:ONI09253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGRPGDRLEGPLPVTGNGVVLEDSNRPALAPMSSQRLRLIPNKDHNPDNYDDMELDFSPSIFTSLERFLPPSMLGMPRHDKVKFMTEILLKYLPHGERNRVQKHRDYRQKIISNYQPLHRELYSMHPATTFVAPFLKAVSDNTEESFRRIMSEPSPGVFTFEMFQPQFCELLLSEVENFEKWVNEAKFRIMRPNTMNKYGAVLDDFGLETMLDKLMENFIRPISKVFFAEVGGSTLDSHHGFVVEYGEDRDADLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNSGSHSEEIFDYSHVPGCAVLHRGRHRHGARATTSGHRINLLLWCRSSVFREMKKYQKDFSSWCGECLREKKERQCISIRATKRELLRREGESTA >ONI09254 pep chromosome:Prunus_persica_NCBIv2:G5:17466203:17470698:-1 gene:PRUPE_5G226700 transcript:ONI09254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGRPGDRLEGPLPVTGNGVVLEDSNRPALAPMSSQRLRLIPNKDHNPDNYDDMELDFSPSIFTSLERFLPPSMLGMPRHDKVKFMTEILLKYLPHGERNRVQKHRDYRQKIISNYQPLHRELYSMHPATTFVAPFLKAVSDNTEESFRRIMSEPSPGVFTFEMFQPQFCELLLSEVENFEKWVNEAKFRIMRPNTMNKYGAVLDDFGLETMLDKLMENFIRPISKVFFAEVGGSTLDSHHGFVVEYGEDRDADLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNSGSHSEDVLCFIVAVTGMVLEQRHQVIASIYFYGAEVLYLER >ONI09252 pep chromosome:Prunus_persica_NCBIv2:G5:17466203:17470698:-1 gene:PRUPE_5G226700 transcript:ONI09252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGRPGDRLEGPLPVTGNGVVLEDSNRPALAPMSSQRLRLIPNKDHNPDNYDDMELDFSPSIFTSLERFLPPSMLGMPRHDKVKFMTEILLKYLPHGERNRVQKHRDYRQKIISNYQPLHRELYSMHPATTFVAPFLKAVSDNTEESFRRIMSEPSPGVFTFEMFQPQFCELLLSEVENFEKWVNEAKFRIMRPNTMNKYGAVLDDFGLETMLDKLMENFIRPISKVFFAEVGGSTLDSHHGFVVEYGEDRDADLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNSGSHSEEIFDYSHVPGCAVLHRGRHRHGARATTSGHRINLLLWCRSSVFREMKKYQKDFSSWCGECLREKKERQCISIRATKRLLRREGESTA >ONI09255 pep chromosome:Prunus_persica_NCBIv2:G5:17467257:17470698:-1 gene:PRUPE_5G226700 transcript:ONI09255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGRPGDRLEGPLPVTGNGVVLEDSNRPALAPMSSQRLRLIPNKDHNPDNYDDMELDFSPSIFTSLERFLPPSMLGMPRHDKVKFMTEILLKYLPHGERNRVQKHRDYRQKIISNYQPLHRELYSMHPATTFVAPFLKAVSDNTEESFRRIMSEPSPGVFTFEMFQPQFCELLLSEVENFEKWVNEAKFRIMRPNTMNKYGAVLDDFGLETMLDKLMENFIRPISKVFFAEVGGSTLDSHHGFVVEYGEDRDADLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNSGSHSEEIFDYSHVPGCAVLHRGRHRHGARATTSGHRINLLLWCRR >ONI08713 pep chromosome:Prunus_persica_NCBIv2:G5:15903032:15904586:-1 gene:PRUPE_5G196300 transcript:ONI08713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGFRFSNVPDEVAHRILSFLAITDLTRFCCVSKRCRELPHSASSLDFDLSASDYTFASTCEKRIQLLNYLDSFLSRHGDNKIQRFRVRLECHCVEKKIKWMVDKTRSFRVRREACECRKEYSRIVRWVEIAVRSGVEVLHLEIFIDEKQCGQDPLVPSCVFLCGSLKTLELDFGMNYPKVLKKPSSSSFSSNLQRLDLRNVEIDEGFFEWMSSSCKCLKELSLDNCRAESINIQSSSLESFRYIYDDDDLSDFDIIAPNLKCVAWKANMFVFQNVEKCTHLEKVELLLQPQKHDALVLFEVLRCISTVKHLTLNHETTRNCSKFDTRYWKSLNLDFVHKLEEVDIHMLIGSNAVEFARYVLEHAQKLKKMRIVHTAEQSKVLRRVNESKKISDATLVFEEAEE >ONI06642 pep chromosome:Prunus_persica_NCBIv2:G5:8700201:8703761:-1 gene:PRUPE_5G072300 transcript:ONI06642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSPSPPTSASTLSSSFNGGGGGGGDKTTPLAAETSPPVGPASTKDEWACELQSIPSGGLEAVTGSGGVERCGLGLGGLEDWETMLSGTAASPGQDQSLLRWIAGDVDDTSFGLKQLLQSGNQNGSNNNNSNPLDFDGNAGLGIVDQGPGFDLIGSSVSGTSVSGPNFGFSSSGFVSNGNNSSNGKVGFVPGSSGLLNYKVSNVGFMHNNNSGGGNSVNCNIQNPIFFNSSNTMALPVSLPLGHVVYQQQQFESSPDEKPQILNPQLFMNNQNQQSQLSQNPNFFMPLAYAQQEQHHLLQSQAKRHNSGGIVDPGSHQIQKVQFSDPGHEFLLRKHQQQQQQQFGYPQGMQFLPQQHHVPQKPLMVPKPKVAVGHGEEMAHQQQLQHTLLDQLYKAAELVGTGNFSHAQGILARLNHQLSPVGKPLQRAAFYFKEALQLLLLMNNPATSPPPRTPTPFDVIFKMGAYKVFSEVSPLIQFVNFTCNQALLEAVSDTDQIHIVDFDIGFGAHWASFMQELPVRNRGATAPSLRITAFASPSTHHPVELGLMRDNLTQFANEIGISFELEVVNFDSLDQSSYSLPIFRANDNETVAVNFPIWSTSNQPAALPNLLRFVKQLSPKIMVSLDRGCDRSDLPFPQHILQALQSYINLLESLDAVNVTSDAVNKIERFLLQPKIESTVLGRLRTPDKMPLWKTLFASAGFTPVPFSNFTETQAECVVKRTPARGFHVEKRQESLVLCWQRRELISASAWRC >ONI06643 pep chromosome:Prunus_persica_NCBIv2:G5:8700201:8703734:-1 gene:PRUPE_5G072300 transcript:ONI06643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSPSPPTSASTLSSSFNGGGGGGGDKTTPLAAETSPPVGPASTKDEWACELQSIPSGGLEAVTGSGGVERCGLGLGGLEDWETMLSGTAASPGQDQSLLRWIAGDVDDTSFGLKQLLQSGNQNGSNNNNSNPLDFDGNAGLGIVDQGPGFDLIGSSVSGTSVSGPNFGFSSSGFVSNGNNSSNGKVGFVPGSSGLLNYKVSNVGFMHNNNSGGGNSVNCNIQNPIFFNSSNTMALPVSLPLGHVVYQQQQFESSPDEKPQILNPQLFMNNQNQQSQLSQNPNFFMPLAYAQQEQHHLLQSQAKRHNSGGIVDPGSHQIQKVQFSDPGHEFLLRKHQQQQQQQFGYPQGMQFLPQQHHVPQKPLMVPKPKVAVGHGEEMAHQQQLQHTLLDQLYKAAELVGTGNFSHAQGILARLNHQLSPVGKPLQRAAFYFKEALQLLLLMNNPATSPPPRTPTPFDVIFKMGAYKVFSEVSPLIQFVNFTCNQALLEAVSDTDQIHIVDFDIGFGAHWASFMQELPVRNRGATAPSLRITAFASPSTHHPVELGLMRDNLTQFANEIGISFELEVVNFDSLDQSSYSLPIFRANDNETVAVNFPIWSTSNQPAALPNLLRFVKQLSPKIMVSLDRGCDRSDLPFPQHILQALQSYINLLESLDAVNVTSDAVNKIERFLLQPKIESTVLGRLRTPDKMPLWKTLFASAGFTPVPFSNFTETQAECVVKRTPARGFHVEKRQESLVLCWQRRELISASAWRC >ONI07257 pep chromosome:Prunus_persica_NCBIv2:G5:11450360:11451417:-1 gene:PRUPE_5G109500 transcript:ONI07257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAYPAAPANHGYQRSDAESLENADELKRKKKIKLAIYITIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVESFVSDSAAPSFDTKFTTQIKIKNSANWGSYKFNAANITFQHQGATLAVIDIAKGKAGWLSTIKRNAEVSLNSNAITGSNFESELRSGVLTLNSVGRLNGKVAIMFIMKKKKAANMNCTIAFDVAAKNVKSLHCK >ONI07506 pep chromosome:Prunus_persica_NCBIv2:G5:12254263:12256822:1 gene:PRUPE_5G124300 transcript:ONI07506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLTEVAQLFERFKAAFVRNDFDTCSNFLSQLKVKLTEFRSLPPLFEDTPNAIRELTLARDIYEHAVVLSVKIEDQDAFERDFFQLKSYYTDARDRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSSAALENPCIKHAVELEQSFMEGAYNRVLSARQTVPHETYVYFMDLLAKTVRYFIAGCSEKAYDYLSIKDARQMLLFPSDRDLLAYVEEDHPEWEVKNDAVYFQKAKETAPCKEIPSLQLINQTLSYARELERIV >ONI07507 pep chromosome:Prunus_persica_NCBIv2:G5:12254202:12257107:1 gene:PRUPE_5G124300 transcript:ONI07507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLTEVAQLFERFKAAFVRNDFDTCSNFLSQLKVKLTEFRSLPPLFEDTPNAIRELTLARDIYEHAVVLSVKIEDQDAFERDFFQLKSYYTDARDRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSSAALENPCIKHAVELEQSFMEGAYNRVLSARQTVPHETYVYFMDLLAKTVRDEIAGCSEKAYDYLSIKDARQMLLFPSDRDLLAYVEEDHPEWEVKNDAVYFQKAKETAPCKEIPSLQLINQTLSYARELERIV >ONI07505 pep chromosome:Prunus_persica_NCBIv2:G5:12254263:12256822:1 gene:PRUPE_5G124300 transcript:ONI07505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLTEVAQLFERFKAAFVRNDFDTCSNFLSQLKVKLTEFRSLPPLFEDTPNAIRELTLARDIYEHAVVLSVKIEDQDAFERDFFQLKSYYTDARSDRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSSAALENPCIKHAVELEQSFMEGAYNRVLSARQTVPHETYVYFMDLLAKTVRDEIAGCSEKAYDYLSIKDARQMLLFPSDRDLLAYVEEDHPEWEVKNDAVYFQKAKETAPCKEIPSLQLINQTLSYARELERIV >ONI08821 pep chromosome:Prunus_persica_NCBIv2:G5:16307258:16308095:1 gene:PRUPE_5G202700 transcript:ONI08821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEGYTINHIVESGKLITLFSAPDYPQFHSTDERASIILKPPNFDDPVFHSFESMTPRLKADPFDNFEEVIDSDEELNLASMVISP >ONI07440 pep chromosome:Prunus_persica_NCBIv2:G5:12057985:12062370:1 gene:PRUPE_5G120800 transcript:ONI07440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPLTTETIALTEKKMDMTLDDIIKMSKVAPKGKKQQRRASNKAQNFVKGAAQDKPAKLRRFMDARSSIRQGVLAQRRSNFQGNQFPIAAEAARKAAVAPLRNRAFNRNRVPNWKARVGAPILQRRAGNVGYGTKLPLPQQQQQQLRQQENALPKQRPQTLDLLFANMKEQRMRAQPRQNNAVPRNIRGVQQRPPWGRGGRFGN >ONI09096 pep chromosome:Prunus_persica_NCBIv2:G5:17039493:17045897:1 gene:PRUPE_5G217400 transcript:ONI09096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNWRRVLKSVQALAAHSLLFTFTLLLVLKLDHVTSYSWWIIFFPLWIFHAVVARGRFSLPAPSVPHSRHWAPCHAVVATPLLIAFELLLCIYLESIYVHGFAAVNLKVVFLPLLAFEIIILIDNFRMCRALMPGDDESMNDEAIWETLPHFWVAISMVFFVAATIFTLLKLCGEVGALGWWDLFINFGIAECFSFLVCTKWSNPVIHRNSRTREATSSSTTIRYLDWNSGLVVSAEEDQHRDRMCGLQDIGGHLMKIPVIGFQVLLCMRLEGTPANARHIPLPVLFSPLFLLQGAGVLFSACRLVEKIVLLLRSGAGTGIYFRISSRAHDCFGFLHHGSRLLGWWSIDEGSREEHARLFHEGASGYNTFCGYPPEIVKKMPKKDLTEEVWRLQAALGEQTEITKYSQQEYERLQNEKVLCRVCFEGEISVVLLPCRHRVLCSTCCDKCKKCPICRVGIEERLPVYDV >ONI09095 pep chromosome:Prunus_persica_NCBIv2:G5:17039724:17045223:1 gene:PRUPE_5G217400 transcript:ONI09095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNWRRVLKSVQALAAHSLLFTFTLLLVLKLDHVTSYSWWIIFFPLWIFHAVVARGRFSLPAPSVPHSRHWAPCHAVVATPLLIAFELLLCIYLESIYVHGFAAVNLKVVFLPLLAFEIIILIDNFRMCRALMPGDDESMNDEAIWETLPHFWVAISMVFFVAATIFTLLKLCGEVGALGWWDLFINFGIAECFSFLVCTKWSNPVIHRNSRTREATSSSTTIRYLDWNSGLVVSAEEDQHRDRMCGLQDIGGHLMKIPVIGFQVLLCMRLEGTPANARHIPLPVLFSPLFLLQGAGVLFSACRLVEKIVLLLRSGAGTGIYFRISSRAHDCFGFLHHGSRLLGWWSIDEGSREEHARLFHEGASGFGDFKQLLVNRQKLPNIANRSMKDFKMKRCYVGFALRERSAWSCYRVGIASFAVPAVTSVKNAQYAVLVLRSAYLCMMFRL >ONI08494 pep chromosome:Prunus_persica_NCBIv2:G5:15182993:15184494:-1 gene:PRUPE_5G181400 transcript:ONI08494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSKAGDWAFKAFTAGLGITTIYLTATFSANVYKGLVWHNAQSKIEKEQSAEQAP >ONI05350 pep chromosome:Prunus_persica_NCBIv2:G5:436756:438971:1 gene:PRUPE_5G003100 transcript:ONI05350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRQLNFKTLQRIPNQRYASCCYYHSFRHEHQPFDQNPQTNAASTNHSMLNYFHRNLPLRAIDMFSKQLQLHLFHNIDEVTVTLAVKACQGHPKPGCQIHRFTVSSGFASYTTVSNSMMSMYTKAGQFDFSWNTILSGFRTSEGALNFALRMNFNGVIFYPVTCTTVLAFCADHEDFLFGLQLHSLIFKSGLDGEVFVGNAIISMYSRWRRRRLIEARKGNHGLEAIFVFIQMVREGMGFDHVSFTSAVSACGHEMNLELGKQIHGLTIKSGYGNVSVCNALVSTYSKCEFTEDATLVFQCMNDRNVVSWTTMISIDEEDAISLFNEMRLDGVYPNDVTFTGFLSKHNVGNSLITMYAKSESMHDSIKVFEELNCREIISWNALISGYAQNGLCQDALKTFLVATMESKPNNYTFGSVLSAIGDAHDISLKYGQRCHSSLIKLGLVTDPIIAGALLDMYAKRGSICESKRVFSETPHKRVRPDSVTFLSVLTSCSRKGMVEMGRHLFHSMVKDYHIEPSPQHYSSMVDMLGRAGKLEEAKELMSRIPGQPGFSLLQSLLGACRIHGNVEMAERVADTLMRLEPTELGSFVLMSNLYAEKGDWEMVAKVRKGMRDKGVRKEVGYGWVDTGDSDGSLYLHAFSSGDTSHPQFGGDM >ONI08539 pep chromosome:Prunus_persica_NCBIv2:G5:15307355:15309536:-1 gene:PRUPE_5G184200 transcript:ONI08539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDSVYYHPTLNPTGAPPPGKPPMFKSSIGPRIPLSAASSSGAASSSSTMESEDAALAVPLHDSGNNGPGDSSVIPESLPLPPPPPLPPTPAAVNPGISLPPPPPPPPGPLPKEIANRPLLPPPPLQKSAQPPPPGISRNEMETSQSTLSDQSTSKDSTQVHTILPPPPPPPGLPPKSTNNQSDGVTSDTETNNTLVTKDLTNMLPPPPPPRQQPPMPGPTLIPNLQPDVLPPGISRFPPPPPPPDMQPPLSTPGLPSRPGPPGMMAPMIPRPPYGPPPGPPPMMRPPLPPGPPPTYQDDDHAANRVAAHQKPSYVKSAASTVVKRPLAQHTPELTAMVPASVRVRREMAAPKAKAKPSLPTTAAPTQSATPFVRQESVNSSSAPKPQSIDDSYMAFLEDMKALGALES >ONI08538 pep chromosome:Prunus_persica_NCBIv2:G5:15307155:15311625:-1 gene:PRUPE_5G184200 transcript:ONI08538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPETLKEQIDKLKTMKADGALDKARKHKKRQLEDTLNLVIKKRKEYAEKLKEKGEAPVMFSHLGPPKRRTAEEEERAKHPMPEDSVYYHPTLNPTGAPPPGKPPMFKSSIGPRIPLSAASSSGAASSSSTMESEDAALAVPLHDSGNNGPGDSSVIPESLPLPPPPPLPPTPAAVNPGISLPPPPPPPPGPLPKEIANRPLLPPPPLQKSAQPPPPGISRNEMETSQSTLSDQSTSKDSTQVHTILPPPPPPPGLPPKSTNNQSDGVTSDTETNNTLVTKDLTNMLPPPPPPRQQPPMPGPTLIPNLQPDVLPPGISRFPPPPPPPDMQPPLSTPGLPSRPGPPGMMAPMIPRPPYGPPPGPPPMMRPPLPPGPPPTYQDDDHAANRVAAHQKPSYVKSAASTVVKRPLAQHTPELTAMVPASVRVRREMAAPKAKAKPSLPTTAAPTQSATPFVRQESVNSSSAPKPQSIDDSYMAFLEDMKALGALES >ONI09067 pep chromosome:Prunus_persica_NCBIv2:G5:16955896:16957306:-1 gene:PRUPE_5G215800 transcript:ONI09067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSPKFLQSSAPPPTPPSPIPQLQVVPTRLSFPSIKQCCKFSRRELTIGSNSLILALLASQSLEALLPSKAQAENLIDDDTNRTEQEAQTSNATAATCTDRSPTKRAFLDISIDGEPVGRIIIGLHEDDAPVGAARFGSLVSGAAGTSYRRKEFVKIMPNYVQHGGVRSFGVDAELAKKTGTNLEVDNLTDEWERLNEKCAGIKNKAGTVGIVVRDPSRPPPKMKLVARKGKLEIDQEEVGTAPNGTEFVITTKDSPELDASTLVVGTVLEGKEVVEKIGEVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYTKVVITNCGLMK >ONI09068 pep chromosome:Prunus_persica_NCBIv2:G5:16956128:16957130:-1 gene:PRUPE_5G215800 transcript:ONI09068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSPKFLQSSAPPPTPPSPIPQLQVVPTRLSFPSIKQCCKFSRRELTIGSNSLILALLASQSLEALLPSKAQAENLIDDDTNRTEQEAQTSNATAATCTDRSPTKRAFLDISIDGEPVGRIIIGLHEDDAPVGAARFGSLVSGAAGTSYRRKEFVKIMPNYVQHGGVRSFGVDAELAKKTGTNLEVDNLTDEWERLNEKCAGIKNKAGTVGIVVRDPSRPPPKMKLVARKGKLEIDQEEVGTAPNGTEFVITTKDSPELDASTLVVGTVLEGKEVVEKIGEVKTVQENTSSPYFRSSAGWPS >ONI09556 pep chromosome:Prunus_persica_NCBIv2:G5:18330465:18335074:-1 gene:PRUPE_5G244700 transcript:ONI09556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTDMNITLLFDIVEGHGEVSDFIAVRQVFASRLINFFAMHPEACDVPEAILPEPFSQRREIPVLEKLPINSSMEFKATSNETKLFLEKVHPYPYVSRHFSRNAVVAEAEKAQLLASPVPLPSSGGMNNQGIKNEQKKEFPVLSSKPDSITELDIKKGQQNKPCSKFSIINHSVQLNHPQRSSASSVSESPLVKLTSSADSIMTETPTPLTPRRSMPSCDAKHVTMNSQSASCHKPAKRILDFSHLEGDKISLDCTADESDCYKVVNKNIPKTKEGLFEDGNVTCSLATLEVEQILGCGNEDLEKSQKSALLCQQMSACLADLVTIIHSIFKSLNWSPITKEELVHKIIMNNFDVVERREVEEQIDLLERHVPDWIHRKSSGGDTMYNIKKVPNLNLVLSKLKANMII >ONI09555 pep chromosome:Prunus_persica_NCBIv2:G5:18330465:18335074:-1 gene:PRUPE_5G244700 transcript:ONI09555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTDMNITLLFDIVEGHGEVSDFIAVRQVFASRLINFFAMHPEACDVPEAILPEPFSQRREIPVLEKLPINSSMEFKATSNETKLFLEKVHPYPYVSRHFSRNAVVAEAEKAQLLASPVPLPSSGGMNNQGIKNEQKKEFPVLSSKPDSITELDIKKGQQNKPCSKFSIINHSVQLNHPQRSSASSVSESPLVKLTSSADSIMTETPTPLTPRRSMPSCDAKHVTMNSQSASCHKPAKRILDFSHLEGDKISLDCTADESDCYKVVNKNIPKTKEGLFEDGNVTCSLATLEVEQILGCGNEDLEKSQKSALLCQQMSACLADLVTIIHSIFKSLNWSPITKEELVHKIIMNNFDVVERREVEEQIDLLERHVPDWIHRKSSGGDTMYNIKKVPNLNLVLSKLKANMII >ONI09554 pep chromosome:Prunus_persica_NCBIv2:G5:18330830:18334800:-1 gene:PRUPE_5G244700 transcript:ONI09554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPARVKNPRILENKIECQTPEKINEPLNTKYHEGYRIMADFFDHMNCSLRLLRLRKRSPTFQNISTQVEVLAKRKFLYKHLAQMKFILPEAIKIDRILVQDNKTPCMKTDMNITLLFDIVEGHGEVSDFIAVRQVFASRLINFFAMHPEACDVPEAILPEPFSQRREIPVLEKLPINSSMEFKATSNETKLFLEKVHPYPYVSRHFSRNAVVAEAEKAQLLASPVPLPSSGGMNNQGIKNEQKKEFPVLSSKPDSITELDIKKGQQNKPCSKFSIINHSVQLNHPQRSSASSVSESPLVKLTSSADSIMTETPTPLTPRRSMPSCDAKHVTMNSQSASCHKPAKRILDFSHLEGDKISLDCTADESDCYKVVNKNIPKTKEGLFEDGNVTCSLATLEVEQILGCGNEDLEKSQKSALLCQQMSACLADLVTIIHSIFKSLNWSPITKEELVHKIIMNNFDVVERREVEEQIDLLERHVPDWIHRKSSGGDTMYNIKKVPNLNLVLSKLKANMII >ONI07910 pep chromosome:Prunus_persica_NCBIv2:G5:13467204:13469954:-1 gene:PRUPE_5G146800 transcript:ONI07910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAYTSRPKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATIDTLTAPYNDLSAVEALFESHKGEIAGIILEPVVGNSGFIVPKSDFLNGIRKLTKEHGALLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRREIMEIVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLKEPGSYEYLNKITSELIQGIIESGKRAGHAICGGYISGMFGFFFTEGPVYNFDDAKKSDTTKFAKFYRGLLEEGVYLAPSQFEAGFTSLAHTHEDIQNTIAAADRVFRQI >ONI07909 pep chromosome:Prunus_persica_NCBIv2:G5:13467513:13469894:-1 gene:PRUPE_5G146800 transcript:ONI07909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTIPGVAVGLSRSTPKLSQRPSSRFSQSSRRFCTVKMAVSLDEKKSFTLEKSEQAFKAAKELMPGGVNSPVRAFKSVGGQPIVIDSVKGSHMWDIDGNEYIDYVGSWGPAIIGHADDKVLAALAETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAYTSRPKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATIDTLTAPYNDLSAVEALFESHKGEIAGIILEPVVGNSGFIVPKSDFLNGIRKLTKEHGALLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRREIMEIVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLKEPGSYEYLNKITSELIQGIIESGKRAGHAICGGYISGMFGFFFTEGPVYNFDDAKKSDTTKFAKFYRGLLEEGVYLAPSQFEAGFTSLAHTHEDIQNTIAAADRVFRQI >ONI06476 pep chromosome:Prunus_persica_NCBIv2:G5:7712204:7713589:1 gene:PRUPE_5G063100 transcript:ONI06476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASIFFILFFTSVFLDIEASHGNCTDGTPDIRFPFQLRGHQRQHCGHTGYELDCKNGTTIIHFPSYGDLVVKSISYDIKKLDLIDPKNCVHEVYLNLNLSLTPFQYYHAVKEYSYLNCSVRSSPPLTEVPCLSGSDYHVYTVDPSLAVPDSCSVVKTIPIPFMYSPYLSDNSFSLGLTWSLLGHEDCEAKQGQSSLHPKREQQTECLIMAHAKVEGYQTLSSTTTKRQVIEWDQGWESMQKGIARLKRIVEGLPEPKFSSEEYMMLYTTIYTMCIQDPPYEYSQQLYKKYQETFEEYITSTLLPSLKEKQDEFLLQEFVKSWAKQKVMVRWLLRFFHYLDRFYIAERSVPGLNEVGFNCFQDLVYREVNANVRVAIIGLINKEREGEQIDRALLKNVIDIFRGGHSNRRTGNPNQTAPNQTGKKPS >ONI05575 pep chromosome:Prunus_persica_NCBIv2:G5:1455012:1462544:1 gene:PRUPE_5G013500 transcript:ONI05575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESADSYIGSLISLISKYEIRYEGVLYFLSVQDSSIGLKNVRSYGTEGRKKDGPQVPPNDKVYEYILFRASDIKDLQVKSHPPAQREEQTHDDPAIIQSHYAGVPVSSPASALVGGKNLVETTHWQDTPALTSRGHIGVLPSYNSAIEVGTSGHSSYTHIASPPALSFPMYWQGYNGASLDMSDHPHHRIPLQSPSAVSQPLTMQNKAPENHASIAVGLATTSESPNPIISSSTPAFVHPNFSTSVPSVHFSSGLDMPTSMAAQVSLPSHSIPLNASRLTMSSLPSPCQDLDIIETQIATKAVSDSVPVLPAQSMPYPGSFSVGSDRGPLLTPPPSLLTPDQLAPSRSHILSSSQKLYLDGKDMGILMPTSSGSSSLIPPVTRAPLLPLPTSQQSPYSATPYTEEFDFLAMNEKFKKDEVWGYLGKEKQSDQTERVDNSGTGQCVDDKEGSGLIPNTKPAYNKDEFFDTISCNSLNRGGRNGHRFSERMRQDTETFGNFQQRYNLAYGGYGAGRGGNYRGPYQWGRGYGYGGRGRGGNMPF >ONI05577 pep chromosome:Prunus_persica_NCBIv2:G5:1455012:1462544:1 gene:PRUPE_5G013500 transcript:ONI05577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESADSYIGSLISLISKYEIRYEGVLYFLSVQDSSIGLKNVRSYGTEGRKKDGPQVPPNDKVYEYILFRASDIKDLQVKSHPPAQREEQTHDDPAIIQSHYAGVPVSSPASALVGALSFPMYWQGYNGASLDMSDHPHHRIPLQSPSAVSQPLTMQNKAPENHASIAVGLATTSESPNPIISSSTPAFVHPNFSTSVPSVHFSSGLDMPTSMAAQSMPYPGSFSVGSDRGPLLTPPPSLLTPDQLAPSRSHILSSSQKLYLDGKDMGILMPTSSGSSSLIPPVTRAPLLPLPTSQQSPYSATPYTEEFDFLAMNEKFKKDEVWGYLGKEKQSDQTERVDNSGTGQCVDDKEGSGLIPNTKPAYNKDEFFDTISCNSLNRGGRNGHRFSERMRQDTETFGNFQQRYNLAYGGYGAGRGGNYRGPYQWGRGYGYGGRGRGGNMPF >ONI05576 pep chromosome:Prunus_persica_NCBIv2:G5:1455012:1462544:1 gene:PRUPE_5G013500 transcript:ONI05576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESADSYIGSLISLISKYEIRYEGVLYFLSVQDSSIGLKNVRSYGTEGRKKDGPQVPPNDKVYEYILFRASDIKDLQVKSHPPAQREEQTHDDPAIIQSHYAGVPVSSPASALVGGKNLVETTHWQDTPALTSRGHIGVLPSYNSAIEVGTSGHSSYTHIASPPALSFPMYWQGYNGASLDMSDHPHHRIPLQSPSAVSQPLTMQNKAPENHASIAVGLATTSESPNPIISSSTPAFVHPNFSTSVPSVHFSSGLDMPTSMAAQSMPYPGSFSVGSDRGPLLTPPPSLLTPDQLAPSRSHILSSSQKLYLDGKDMGILMPTSSGSSSLIPPVTRAPLLPLPTSQQSPYSATPYTEEFDFLAMNEKFKKDEVWGYLGKEKQSDQTERVDNSGTGQCVDDKEGSGLIPNTKPAYNKDEFFDTISCNSLNRGGRNGHRFSERMRQDTETFGNFQQRYNLAYGGYGAGRGGNYRGPYQWGRGYGYGGRGRGGNMPF >ONI05578 pep chromosome:Prunus_persica_NCBIv2:G5:1456684:1462544:1 gene:PRUPE_5G013500 transcript:ONI05578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWQGYNGASLDMSDHPHHRIPLQSPSAVSQPLTMQNKAPENHASIAVGLATTSESPNPIISSSTPAFVHPNFSTSVPSVHFSSGLDMPTSMAAQSMPYPGSFSVGSDRGPLLTPPPSLLTPDQLAPSRSHILSSSQKLYLDGKDMGILMPTSSGSSSLIPPVTRAPLLPLPTSQQSPYSATPYTEEFDFLAMNEKFKKDEVWGYLGKEKQSDQTERVDNSGTGQCVDDKEGSGLIPNTKPAYNKDEFFDTISCNSLNRGGRNGHRFSERMRQDTETFGNFQQRYNLAYGGYGAGRGGNYRGPYQWGRGYGYGGRGRGGNMPF >ONI08951 pep chromosome:Prunus_persica_NCBIv2:G5:16651856:16659429:-1 gene:PRUPE_5G209300 transcript:ONI08951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPFSMDVAHHSGEPLNLLAHDWYCLHPGTDSLLPVEMVEHLRKGIGAKGSNYMASSANHSTMKLWMQLVHVEDIGARRPVYVEVPHELSENMRSALHSIGNMQLYSHQAESIQASLSGKNVVVAKMRSSGKSLCYSLLEVLSQSSSSCALYLFPTKALAQDQLRALLAMTKGFDGSLNIGVYDGDTTQEERTWLALRHNSRLLITNPDMLHVSILPHQRRFARILENIRFVVIDEAHIYKGAFGCHTAFILRRLRRLCSHVYGSDPSFVFSTATSANPHDHCMELASLPTLELIQNDGSPAARKLFILWNPFVDQETVMKNPNNIMENGKSSDESANFKSSSPILDVSCLFAEMVQHGLRCVAFCKTRKLCELVLCYTLLSFIWCYGELNDEMNKYYCARREILQQTAPHLVDSICAYRAGYIAQNRRRMESDFFDGKLCGIAATNALELGIDVGHIDVTLHLGFPGSISSLWQQAGRAGRRDRPSLAVYIAFEGPLDQYFMKYPKKLFGSPIECCHVDAKNQQVLAQQLVCAAHEYALSLSYDEKFFGSDQQKKEILEEIEESRAFFQVYEGAVYMNQGKTYLVTSLDLSCKIASCYVAGLKYYTKSRDNTDFHVIGSQYAYRPQLSNIQFSRTTARADPCKVTTTWLGYHRVSRGSNEIMETVDHVLPKYSYESQQSEPELNPFKYPSNPTYRALQFLIYKVQTYFKFRGHKAGSLGSGSTISKRSCNYERLDFRSGLHAASPVVLNVVPLRIICNLSDLAPECINPEYTLYYPERILLYDRHPGGSGVSVQVQPIFLELLIVALELLTSCRCSEHGGCPNCVQSFACKEYNEGSHKESAIMIIKVVI >ONI06102 pep chromosome:Prunus_persica_NCBIv2:G5:4430568:4432467:-1 gene:PRUPE_5G040400 transcript:ONI06102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWFFFCARDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSTVTGYRKTLVFYRGRAPLGDRTDWIMHEYRLSDDLAQGPSGHQGVFALCRVIKKNENAQKTNDSHGEPKAKRVGSASNSGEDLSSTRISNEPLNISDDLSSRASYQHNESHYSSHVTSPYEVNPMAEFDPTSRETNPADFWVSPDFILDSSKDYPQLQEAMPNYFPQYKSAMASWQSYENTESPYSSYPNFTGDVTMADNANQVADMSPFSGHTGYMGYYGNEEMQFEGFDQPSSLRNPTSF >ONI06101 pep chromosome:Prunus_persica_NCBIv2:G5:4430568:4433387:-1 gene:PRUPE_5G040400 transcript:ONI06101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASLPPGFRFHPTDEELVGYYLKRKVEGLEFELEVIPVIDLYKFDPWELPEKSFLPRCDMEWFFFCARDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSTVTGYRKTLVFYRGRAPLGDRTDWIMHEYRLSDDLAQGPSGHQGVFALCRVIKKNENAQKTNDSHGEPKAKRVGSASNSGEDLSSTRISNEPLNISDDLSSRASYQHNESHYSSHVTSPYEVNPMAEFDPTSRETNPADFWVSPDFILDSSKDYPQLQEAMPNYFPQYKSAMASWQSYENTESPYSSYPNFTGDVTMADNANQVADMSPFSGHTGYMGYYGNEEMQFEGFDQPSSLRNPTSF >ONI07283 pep chromosome:Prunus_persica_NCBIv2:G5:11562732:11563181:-1 gene:PRUPE_5G111500 transcript:ONI07283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYFHETVYNGTNAADATSAIVAAPQGANLTILAPQNYFGNIVIFNDLITLDNNIHSKPVGRTQGFYLYDTKDTITAWFGFSFILNSTDYQGSINFIGPNPVFVKTRDISVVGGSGDFFMHRGVATLRTDGFEGKYFFGLRVDIKFYECW >ONI08294 pep chromosome:Prunus_persica_NCBIv2:G5:14573719:14576304:-1 gene:PRUPE_5G170000 transcript:ONI08294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDYSGPWTKQKNENNTPSSCCYAIQHTTRRQQQCIQTQTVVVVVPKQKPTPLKHSSKLALPPCHIHTNTFSFVPMLLVLLFLVASSLFTPALSHPIVSDSGRRLSPANQTFRPGSELNKLRVVQSYLKKINKPAVKTIQSPDGDVIDCVLSHLQPAFDHPELKGQKPLDEPERPKDKGRNNTDIVGDSYQLWSDSGESCPQGTVPIRRTADKDILRASSIRRFGRKPRRSVRRDSSGSGHEHAVVFVNGDQYYGAKASINVWAPRVTDQYEFSLSQIWVISGSFGHDLNTIEAGWQASQSYMETTTLDSLHTGQQMHIKPLDATTCFALALSKLITRLLLEQQYLQGLLMVADNLTLA >ONI08293 pep chromosome:Prunus_persica_NCBIv2:G5:14573525:14576587:-1 gene:PRUPE_5G170000 transcript:ONI08293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDYSGPWTKQKNENNTPSSCCYAIQHTTRRQQQCIQTQTVVVVVPKQKPTPLKHSSKLALPPCHIHTNTFSFVPMLLVLLFLVASSLFTPALSHPIVSDSGRRLSPANQTFRPGSELNKLRVVQSYLKKINKPAVKTIQSPDGDVIDCVLSHLQPAFDHPELKGQKPLDEPERPKDKGRNNTDIVGDSYQLWSDSGESCPQGTVPIRRTADKDILRASSIRRFGRKPRRSVRRDSSGSGHEHAVVFVNGDQYYGAKASINVWAPRVTDQYEFSLSQIWVISGSFGHDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPRSSYGSRQFDIGLMVWKDPKHGHWWLEFGNGLLVGYWPAFLFSHLRSHASMVQFGGEIVNSRSMGYHTSTQMGSGQFAEAGFGKASYFRNLQVVDWDNNLLPLTNLHLLADHPNCYDIRQGRNNVWGTYFYYGGPGRNVRCP >ONI05357 pep chromosome:Prunus_persica_NCBIv2:G5:483417:485958:1 gene:PRUPE_5G003700 transcript:ONI05357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKAANTTTVSAMDAFEKLEKVGEGTYGKVYRAREKATGKIVALKKTRLHEDEEGVPPTTLREVSILRMLSRDPHIVRLMDVKQGQNKEGKTVLYLVFEYMDTDLKKYIRTFRQSGEYIPSPIVKSLMYQLCKGVAFCHGHGILHRDLKPHNLLMDRKTMMLKIADLGLARAFTVPLRKYTHEILTLWYRAPEVLLGATHYSTAVDIWSVGCIFAELVTKQALFPGDSELQQLLHIFRLLGTPNEEVWPGVSKLMNWHEYPQWNPQSLSKAVPNLDAMGLDLLLQMLQYEPAKRISAKKAMEHPYFDDLNKASL >ONI06284 pep chromosome:Prunus_persica_NCBIv2:G5:5432403:5436016:1 gene:PRUPE_5G050800 transcript:ONI06284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKGLIDVALGHDENRNEDPGPRDRQEQSRSTWAQVVSGEEQDENDSDRPHGSKQSHWNRQEQSHLGRAEWDNVDSEPSRRPHKAAHEGYERNDDGGRHGYNQNYSRRKDEEENKDGWETVGKRPPRQQPHKIQMGQWNGYKRPSTEQEYSDEAEVGAKIEPSEEELSDLSQACSKIWELDLNRLVPGKDYEIDCGGGKKVYQKEDLAEGSLFTWLSEDIFRRPTFSRFCSLLDNYNPNEGCKEVITSEEKQEQAAFIEEISRTAPIKYLHKYLSRKGILSESYQDFKRTLTTLWFDLYGRGGASASSSAFEHVFVGEIKQRGEEEVSGFHNWLQFYLEEAKGRVDYQGYIFPRRRGQTPDSETQLLTIQFEWNGVLKAVSSTLVGVSPEFEIALYTLCFFMGGEDNHIELGPYQVNIKCYRFGDRIGSVFPMA >ONI06285 pep chromosome:Prunus_persica_NCBIv2:G5:5432446:5435832:1 gene:PRUPE_5G050800 transcript:ONI06285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKGLIDVALGHDENRNEDPGPRDRQEQSRSTWAQVVSGEEQDENDSDRPHGSKQSHWNRQSHLGRAEWDNVDSEPSRRPHKAAHEGYERNDDGGRHGYNQNYSRRKDEEENKDGWETVGKRPPRQQPHKIQMGQWNGYKRPSTEQEYSDEAEVGAKIEPSEEELSDLSQACSKIWELDLNRLVPGKDYEIDCGGGKKVYQKEDLAEGSLFTWLSEDIFRRPTFSRFCSLLDNYNPNEGCKEVITSEEKQEQAAFIEEISRTAPIKYLHKYLSRKGILSESYQDFKRTLTTLWFDLYGRGGASASSSAFEHVFVGEIKQRGEEEVSGFHNWLQFYLEEAKGRVDYQGYIFPRRRGQTPDSETQLLTIQFEWNGVLKAVSSTLVGVSPEFEIALYTLCFFMGGEDNHIELGPYQVNIKCYRFGDRIGSVFPMA >ONI08724 pep chromosome:Prunus_persica_NCBIv2:G5:15949422:15951933:-1 gene:PRUPE_5G197100 transcript:ONI08724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAELQRVGVVQRVPLLIINFVAGALVLLCALAGFFTGGIAGALAGKASDKGVVRGAGLGAVAGAVLSVEILEASRDLLRLGRPGSRRSSLMAEITEELICWRFEEENLAPPEGHTANQWQVTFANLRRDEIYDAFGGSETKGLSRDVLKKLPSHVIVGDTRAAQGFCCTICLQDVEVGEIARTLPLCQHKFHLACVDKWLIRHASCPLCRQNV >ONI08726 pep chromosome:Prunus_persica_NCBIv2:G5:15949377:15951933:-1 gene:PRUPE_5G197100 transcript:ONI08726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAELQRVGVVQRVPLLIINFVAGALVLLCALAGFFTGGIAGALAGKASDKGVVRGAGLGAVAGAVLSVEILEASRDLLRLGRPGSRRSSLMAEITEELICWRFEEENLAPPEGHTANQWQVTFANLRRDEIYDAFGGSETKGLSRDVLKKLPSHVIVGDTRAAQGFCCTICLQDVEVGEIARTLPLCQHKFHLACVDKWLIRHASCPLCRQNV >ONI08725 pep chromosome:Prunus_persica_NCBIv2:G5:15949370:15951992:-1 gene:PRUPE_5G197100 transcript:ONI08725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAELQRVGVVQRVPLLIINFVAGALVLLCALAGFFTGGIAGALAGKASDKGVVRGAGLGAVAGAVLSVEILEASRDLLRLGRPGSRRSSLMAEITEELICWRFEEENLAPPEGHTANQWQVTFANLRRDEIYDAFGGSETKGLSRDVLKKLPSHVIVGDTRAAQGFCCTICLQDVEVGEIARTLPLCQHKFHLACVDKWLIRHASCPLCRQNV >ONI07155 pep chromosome:Prunus_persica_NCBIv2:G5:11006506:11011383:1 gene:PRUPE_5G103200 transcript:ONI07155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGDDSSRFCSLPATTSRNMSTSSSTFFSANQSPFFSPRSPSFQLSESTRSEAPCDSILLSTDPLSSSSGIPDLESLANVRYKLSTMSLAPAASVSGDFQKFDRVSSSTGISNSVLSSHSHARGYDYSGQRERQKKHARNYGAPHTSGPVSLTSNRLRSCDVFIGLHGRKPSLLRFANWLRVELEVQGMSCFVSDRSRCRNSRKHGIVERAMDVSSFGIVILTRKSFRNPYTIEELRFFSSKKTLVPIFFDLTPGDCLVRDIVEKRGELWEKHGGELWILYGGLEKEWKEAVHSLSRVDEWKLEAQDGNWRDCILRAVTLLAIRLGRRSVVDRLSKWREKVEKEEFPFPRNENFVGRKKELSELEFILFGDVSGDAERDYFELKARPRRKNLTIGWGRSSSFDERRRERKLEIGSRKGKEPVVWKESEKEIEMQSTELPQKKHQSKPKSGARYARRKRSTKILYGKGIACVSGDSGIGKTELLLEFAYRYHQRYKMVLWVGGESRYIRQNYLNLWSFLEVDVGVENCLDKNRIKSFEDQEEAAIARVRRELMRNMPFLVVIDNLESEKDWWDHKLVMDLLPRFGGETHIIISTRLPSVMNLEPLKLSYLSGAEAMSLMQGSVKEYTENEELDALRAIEEKVGRSTLGLAIVGAILSELPILPSKLLETTNRMPLKEFSWSGREVNSLRRHTFLLQLVEVCFSIFDHADGPRSLATRMVQASTWFAPTAIPVSLLALAAHKIPEKHQGTWLWRKLLRSLTCGFATSYTKKSAAEATSMLVRFNIARSSTRQDHIHFHELIKLYARKRVLTGVAQAMVQAVITRGSISQHSEHIWAACFLTFGFSHDPIVVELKVSDLLYLVKEVVLPLAIRTFITFSRCNAALELLRLCTNALEAADQAFVTPVEKWLDKSLCWRPIPTNAQLNPYLWQELALSRATVLETRAKLMLRGGQFDIADDLIRKALFIRTSICGEDHHDTVAARETLSKITRLLANVQIHTSP >ONI07156 pep chromosome:Prunus_persica_NCBIv2:G5:11006779:11011339:1 gene:PRUPE_5G103200 transcript:ONI07156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGDDSSRFCSLPATTSRNMSTSSSTFFSANQSPFFSPRSPSFQLSESTRSEAPCDSILLSTDPLSSSSGIPDLESLANVRYKLSTMSLAPAASVSGDFQKFDRVSSSTGISNSVLSSHSHARGYDYSGQRERQKKHARNYGAPHTSGPVSLTSNRLRSCDVFIGLHGRKPSLLRFANWLRVELEVQGMSCFVSDRSRCRNSRKHGIVERAMDVSSFGIVILTRKSFRNPYTIEELRFFSSKKTLVPIFFDLTPGDCLVRDIVEKRGELWEKHGGELWILYGGLEKEWKEAVHSLSRVDEWKLEAQDGNWRDCILRAVTLLAIRLGRRSVVDRLSKWREKVEKEEFPFPRNENFVGRKKELSELEFILFGDVSGDAERDYFELKARPRRKNLTIGWGRSSSFDERRRERKLEIGSRKGKEPVVWKESEKEIEMQSTELPQKKHQSKPKSGARYARRKRSTKILYGKGIACVSGDSGIGKTELLLEFAYRYHQRYKMVLWVGGESRYIRQNYLNLWSFLEVDVGVENCLDKNRIKSFEDQEEAAIARVRRELMRNMPFLVVIDNLESEKDWWDHKLVMDLLPRFGGETHIIISTRLPSVMNLEPLKLSYLSGAEAMSLMQGSVKEYTENEELDALRAIEEKVGRSTLGLAIVGAILSELPILPSKLLETTNRMPLKEFSWSGREVNSLRRHTFLLQLVEVCFSIFDHADGPRSLATRMVQASTWFAPTAIPVSLLALAAHKIPEKHQGTWLWRKLLRSLTCGFATSYTKKSAAEATSMLVRFNIARSSTRQDHIHFHELIKLYARKRVLTGVAQAMVQAVITRGSISQHSEHIWAACFLTFGFSHDPIVVELKVSDLLYLVKEVVLPLAIRTFITFSRCNAALELLRLCTNALEAADQAFVTPVEKWLDKSLCWRPIPTNAQLNPYLWQELALSRATVLETRAKLMLRGGQFDIADDLIRKALFIRTSICGEDHHDTVAARETLSKITRLLANVQIHTSP >ONI08626 pep chromosome:Prunus_persica_NCBIv2:G5:15572383:15576354:1 gene:PRUPE_5G189500 transcript:ONI08626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALPPASDLSPSIVSFLNDKFRTNANLNGAPALLSELQTQCGDLDRTLIDLNRSLGSSLLAYASFSDRVHGVLGDINAQLTGLGSSTRSRSSDGEGKERAEQILGEELPALAKEVARVESVRTYAETALKLQTMIGDIEDAVSSTMKKNSWKHSSKQNSEEMRLVAIKTLKLIEDILTSVTKTHPQWEHLVSAVDHRVDRALAILRPHAIADHRALLTSLGWPPPLAILTSSTPYTGRSTEVLNPLFTMQGDLKDQYCENFFALCSLQELQRRRKSRQLEGYNRELALHQPLWVIEELVNPISLASQRHFTKWVDKPEFIFALVYKITRDYVDSMDELLQPLVDEAMLTGYSCREEWISAMVSSLSTYLAKEIFPKYAGQLDEDSTTGSQSQARISWLYLVDLMISFDKQIKSLIEHSGILLSLQDDGDFSKVSSLSVFCDRPDWLDLWAEIELSDILEKLKPDTSDERNWTMKVQGAVLLSATEDYKAPAVCSAYLRCLSSVVDRCRSLPSISMRSRFLRLAAVPIIQKFLDCLLIRCQEAEGLTALTDDDALVKVANSINAARYFESVLKEWSEDVFFLEIWSGQSDQLGISVGDQNGNVEPVEGLESGIFYEEIVKLEEFRIEWAEKLSVVILRGFDAQCRDYMKNRRQWQEKSEDGWTVSKFLVGALDYLQGKMSVVENGLNGIDFVGVWRSLAAGIDRSFFNGILMSNVKFYDGGVERFGSDLEVLFGAFGAWCLRPEGFFPRVSEGLKLLKMEEEKLQNSLAGGEKWMKENGIRHLNVPDVEKIVKSRVFTS >ONI08106 pep chromosome:Prunus_persica_NCBIv2:G5:14040124:14043842:-1 gene:PRUPE_5G158300 transcript:ONI08106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAAAVAARLLLPVSVSVVYVIYKYWRSGRQTESWVPPNRGNNDDVTPNSGNNGVVPPNSVVRPKSCKNDVVPSDGDLAVVEEILKNDPVFFDKIRTLDPAVLDELLKIDPVALDELLRIDPAVLRDSAELDPAIIDAISELSEKEEGDEEADWSCSRPVTESSLVIGVPTNSGNNDVVPSNSGNNDVVPPNSGNNDVVPCPELSDRDLAILEEILKDDPVLLYEIRTHDPAVINELRKFDPAVLREMVLDSAEVDPTIIDAIRKLCLKRKEEDKQEAKGSCSGRETESENENGNETQSQERDEEISRSRHYPLRPEAADCSYYLKTGTCKFRSNCKFNHPRTTTKNNQDHFWSGGRKDGRDGSFNPRSREPFAAPFVENFMGLPIRPGERDCPYYMRNLSCGYGLSCRFNHPDPTPAGESDPPSGYGNGEPASLQGASSSTAARWSAPRSLNNAPLIIPPSQGIPSRNTEHNGYQQQVEELPQRPGQPVCIYFSTTGDCKFKSNCKYHHPKNQTAVSPSCALNDKGLPLRPGQNICTQYSSYGICNSGPACKFDHPSL >ONI08107 pep chromosome:Prunus_persica_NCBIv2:G5:14040124:14043833:-1 gene:PRUPE_5G158300 transcript:ONI08107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAAAVAARLLLPVSVSVVYVIYKYWRSGRQTESWVPPNRGNNDDVTPNSGNNGVVPPNSVVRPKSCKNDVVPSDGDLAVVEEILKNDPVFFDKIRTLDPAVLDELLKIDPVALDELLRIDPAVLRDSAELDPAIIDAISELSEKEEGDEEADWSCSRPVTESSLVIGVPTNSGNNDVVPSNSGNNDVVPPNSGNNDVVPCPELSDRDLAILEEILKDDPVLLYEIRTHDPAVINELRKFDPAVLREMVLDSAEVDPTIIDAIRKLCLKRKEEDKQEAKGSCSGRETESENENGNETQSQERDEEISRSRHYPLRPEAADCSYYLKTGTCKFRSNCKFNHPRTTTKNNQDHFWSGGRKDGRDGSFNPRSREPFAAPFVENFMGLPIRPGERDCPYYMRNLSCGYGLSCRFNHPDPTPAGESDPPSGYGNGEPASLQGASSSTAARWSAPRSLNNAPLIIPPSQGIPSRNTEHNGYQQQQVEELPQRPGQPVCIYFSTTGDCKFKSNCKYHHPKNQTAVSPSCALNDKGLPLRPGQNICTQYSSYGICNSGPACKFDHPSL >ONI06964 pep chromosome:Prunus_persica_NCBIv2:G5:10264120:10265198:1 gene:PRUPE_5G092200 transcript:ONI06964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENELSALLALNPGRASSSANPCPLPGQVSTALTLCDPTWNYNTNQRKAEEFDPAPLRFSAFPPNLKHKKITNNMNKELKKKKRNPVVPETTLSPQPQDHGPWKIRKKLTVSDLGSCSRLLMPKKPVIDHVLHYLDDTFAKRVKSGEGIEVIVEDCDTNTRHHMTFKLWGSAESYILNGDWRLEFVHRRGLEENDKIGLYWDTSKSMFMFSVLERARQSQLPA >ONI06745 pep chromosome:Prunus_persica_NCBIv2:G5:9277041:9281101:1 gene:PRUPE_5G078600 transcript:ONI06745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWLKWWSCLGREIFPTFFLGLPGLMCKELRGVRKDSLHIKPVTEKILNSAIEKQMSEAAEQDGGLSLKHERKGFLQFLLELNEHGNGAESLTLQQIKALLTDIVAGGTDTTATMVEWVMAELMQHPDDLKKVQQELKEVVGLDNLVEESHVPKLHYLDVVIKETSRLHPAFPLLVPHCPSQSTTIGGFKIPKGSNVFLNVWAIHRDPNVWDNPLEFRPKRFLNDPPTNNIHYNGNKLEYLPFGSGRRMCPGIPLAERMMIYVLASFLHSFEWRLPNDAKLDLQDKFGIVTKKMTPLVVIPTPRLSKLELYT >ONI07497 pep chromosome:Prunus_persica_NCBIv2:G5:12242843:12246252:1 gene:PRUPE_5G124000 transcript:ONI07497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSHGLPLHTHKPSRRTTANRIFAVVYGCATLALLSHHALSLLNSTTLTSFFITLTFLISDSILAFMFATTQSFHMRPIYRKEFPENLRRVVKESDFPALDVFISTADPHKEPPMNVVNTALSVMAYDYPIQKVSVYVSDDGGSALTLFALMEAAKFASHWLPFCRKNNIVECSPEAYFAIDRDSHLSDQVENIKVMYKRMKARVKNVTERGKVAHEYITGEEEIQAFNKWTEEFTRQDHPTVIQVLLESNKDKDITGNLMPNLIYVSREKSKTSTHHFKAGALNVLLRVSAIMTNAPIILTLDCDMHSNDPQTARRALCYILDPEVRPKLGYVQFPQLFRGINKNDIYACEHKRLFQIDPMGMNGLSGSNHLGTGCFFTRRAFFGGPSNFLPPEIPQLSPNNLVDKHIWSSEVMELAYCVAACNYENNTNWGLKIGVRYGSLVEDYFTGYRLQCEGWKSIFCHPDRAAFYGDIPINLVEVLNQNKRWAIGLLEVAFSKFSPITFGTRAMGPLMGLAYAHSGFWPIWSVPITSYAFLPQLTLLNGVTIFPKVSEPWFLLYVYLFLGAYIQDFLDFVLAGGTFYRWWNDQRMWIIRGLSSYLFGLIEFLLKYSGISTHGFNLTSKVLDEDQRKRYEQGTMEFGVPSPLFVPLTMAAIVNLVAFAWGHIEVFRGNNNNNLEGLFVQMFIAGFGVVNCIPIYEAIIFRSDGGKIPRKTSVVATFLVFLLYLAAHVTLRNSAAKSVFCQSTIL >ONI07499 pep chromosome:Prunus_persica_NCBIv2:G5:12242838:12246252:1 gene:PRUPE_5G124000 transcript:ONI07499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSHGLPLHTHKPSRRTTANRIFAVVYGCATLALLSHHALSLLNSTTLTSFFITLTFLISDSILAFMFATTQSFHMRPIYRKEFPENLRRVVKESDFPALDVFISTADPHKEPPMNVVNTALSVMAYDYPIQKVSVYVSDDGGSALTLFALMEAAKFASHWLPFCRKNNIVECSPEAYFAIDRDSHLSDQVENIKVMYKRMKARVKNVTERGKVAHEYITGEEEIQAFNKWTEEFTRQDHPTVIQIGVRYGSLVEDYFTGYRLQCEGWKSIFCHPDRAAFYGDIPINLVEVLNQNKRWAIGLLEVAFSKFSPITFGTRAMGPLMGLAYAHSGFWPIWSVPITSYAFLPQLTLLNGVTIFPKVSEPWFLLYVYLFLGAYIQDFLDFVLAGGTFYRWWNDQRMWIIRGLSSYLFGLIEFLLKYSGISTHGFNLTSKVLDEDQRKRYEQGTMEFGVPSPLFVPLTMAAIVNLVAFAWGHIEVFRGNNNNNLEGLFVQMFIAGFGVVNCIPIYEAIIFRSDGGKIPRKTSVVATFLVFLLYLAAHVTLRNSAAKSVFCQSTIL >ONI07501 pep chromosome:Prunus_persica_NCBIv2:G5:12242863:12246252:1 gene:PRUPE_5G124000 transcript:ONI07501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLIYVSREKSKTSTHHFKAGALNVLLRVSAIMTNAPIILTLDCDMHSNDPQTARRALCYILDPEVRPKLGYVQFPQLFRGINKNDIYACEHKRLFQIDPMGMNGLSGSNHLGTGCFFTRRAFFGGPSNFLPPEIPQLSPNNLVDKHIWSSEVMELAYCVAACNYENNTNWGLKIGVRYGSLVEDYFTGYRLQCEGWKSIFCHPDRAAFYGDIPINLVEVLNQNKRWAIGLLEVAFSKFSPITFGTRAMGPLMGLAYAHSGFWPIWSVPITSYAFLPQLTLLNGVTIFPKVSEPWFLLYVYLFLGAYIQDFLDFVLAGGTFYRWWNDQRMWIIRGLSSYLFGLIEFLLKYSGISTHGFNLTSKVLDEDQRKRYEQGTMEFGVPSPLFVPLTMAAIVNLVAFAWGHIEVFRGNNNNNLEGLFVQMFIAGFGVVNCIPIYEAIIFRSDGGKIPRKTSVVATFLVFLLYLAAHVTLRNSAAKSVFCQSTIL >ONI07498 pep chromosome:Prunus_persica_NCBIv2:G5:12242838:12246252:1 gene:PRUPE_5G124000 transcript:ONI07498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSHGLPLHTHKPSRRTTANRIFAVVYGCATLALLSHHALSLLNSTTLTSFFITLTFLISDSILAFMFATTQSFHMRPIYRKEFPENLRRVVKESDFPALDVFISTADPHKEPPMNVVNTALSVMAYDYPIQKVSVYVSDDGGSALTLFALMEAAKFASHWLPFCRKNNIVECSPEAYFAIDRDSHLSDQVENIKVMYKRMKARVKNVTERGKVAHEYITGEEEIQAFNKWTEEFTRQDHPTVIQVLLESNKDKDITGNLMPNLIYVSREKSKTSTHHFKAGALNVLIGVRYGSLVEDYFTGYRLQCEGWKSIFCHPDRAAFYGDIPINLVEVLNQNKRWAIGLLEVAFSKFSPITFGTRAMGPLMGLAYAHSGFWPIWSVPITSYAFLPQLTLLNGVTIFPKVSEPWFLLYVYLFLGAYIQDFLDFVLAGGTFYRWWNDQRMWIIRGLSSYLFGLIEFLLKYSGISTHGFNLTSKVLDEDQRKRYEQGTMEFGVPSPLFVPLTMAAIVNLVAFAWGHIEVFRGNNNNNLEGLFVQMFIAGFGVVNCIPIYEAIIFRSDGGKIPRKTSVVATFLVFLLYLAAHVTLRNSAAKSVFCQSTIL >ONI07500 pep chromosome:Prunus_persica_NCBIv2:G5:12242863:12246252:1 gene:PRUPE_5G124000 transcript:ONI07500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSHGLPLHTHKPSRRTTANRIFAVVYGCATLALLSHHALSLLNSTTLTSFFITLTFLISDSILAFMFATTQSFHMRPIYRKEFPENLRRVVKESDFPALDVFISTADPHKEPPMNVVNTALSVMAYDYPIQKVSVYVSDDGGSALTLFALMEAAKFASHWLPFCRKNNIVECSPEAYFAIDRDSHLSDQVENIKVMYKRMKARVKNVTERGKVAHEYITGEEEIQAFNKWTEEFTRQDHPTVIQVLLESNKDKDITGNLMPNLIYVSREKSKTSTHHFKAGALNVLLRVSAIMTNAPIILTLDCDMHSNDPQTARRALCYILDPEVRPKLGYVQFPQLFRGINKNDIYACEHKRLFQIDPMGMNGLSGSNHLGTGCFFTRRAFFGGPSNFLPPEIPQLSPNNLVDKHIWSSEVMELAYCVAACNYENNTNWGLKVSQLNFCLL >ONI07502 pep chromosome:Prunus_persica_NCBIv2:G5:12242863:12246252:1 gene:PRUPE_5G124000 transcript:ONI07502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAPIILTLDCDMHSNDPQTARRALCYILDPEVRPKLGYVQFPQLFRGINKNDIYACEHKRLFQIDPMGMNGLSGSNHLGTGCFFTRRAFFGGPSNFLPPEIPQLSPNNLVDKHIWSSEVMELAYCVAACNYENNTNWGLKIGVRYGSLVEDYFTGYRLQCEGWKSIFCHPDRAAFYGDIPINLVEVLNQNKRWAIGLLEVAFSKFSPITFGTRAMGPLMGLAYAHSGFWPIWSVPITSYAFLPQLTLLNGVTIFPKVSEPWFLLYVYLFLGAYIQDFLDFVLAGGTFYRWWNDQRMWIIRGLSSYLFGLIEFLLKYSGISTHGFNLTSKVLDEDQRKRYEQGTMEFGVPSPLFVPLTMAAIVNLVAFAWGHIEVFRGNNNNNLEGLFVQMFIAGFGVVNCIPIYEAIIFRSDGGKIPRKTSVVATFLVFLLYLAAHVTLRNSAAKSVFCQSTIL >ONI06471 pep chromosome:Prunus_persica_NCBIv2:G5:6811451:6813134:1 gene:PRUPE_5G062600 transcript:ONI06471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSIAKRWRLLSGETEWEGLLNPLDLDLRRYILHYGERAAAIADAFIDETKSDNCGLPRYAKRYLFSKVGLENSNPYKYKVKKYLYAATTLSPGKSIWLGYVAVATDEGKKVLGRRDILVSWRGTELVAEWGIDVVFDLVSASDILGEKHDPKVHHGFHSYYTHIDPQSPHNKTSCRAQALAAIKEVVNRYKNETISITVTGHSMGAAMGILNATDIVYNGYNKPPGHPVCPVTAIVFAAPRLGDQGLSNVFYGLKNLHVLRVTNDYDLIPSLPPFANYLHVGKELRFDTFKSPYLKDPKQSLHSLEVYLHGVAGTQGENDFKLAVKRDLALVNKYLDGLKDKYLVVAKWWTEKNKSMLQIDDGSWVLMDHESDDD >ONI07878 pep chromosome:Prunus_persica_NCBIv2:G5:13323627:13325524:1 gene:PRUPE_5G144600 transcript:ONI07878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPPPMGQINGGQLMLGSSETVGSKRQRRPSVRLGDIGGDQPYDSHVRRTTKQWKLPLDHRKDSNKSSKTRPLTNLSAGADFQETLDGEDREGNLDSVAIGSWKVKDTKKRASNTKRVRSNWVSSKIDEGGDAAAAEGDDKFSGGEDVEDGYRVFDVENSESPLKDQSPIHSSDNLGVDGHLNERDVLYHGSRRPMRTRVSEGRDHHDGVELSGPSDNDARDWNCRGTSGDRNGNEGRGTCGEDGVRVWLNGLGLGRYAPMFEIHEVDDEVLPMLTLEDLKDMGINAVGTRRKMYCAIQKLGKGFS >ONI07877 pep chromosome:Prunus_persica_NCBIv2:G5:13323627:13325696:1 gene:PRUPE_5G144600 transcript:ONI07877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPPPMGQINGGQLMLGSSETVGSKRQRRPSVRLGDIGGDQPYDSHVRRTTKQWKLPLDHRKDSNKSSKTRPLTNLSAGADFQETLDGEDREGNLDSVAIGSWKVKDTKKRASNTKRVRSNWVSSKIDEGGDAAAAEGDDKFSGGEDVEDGYRVFDVENSESPLKDQSPIHSSDNLGVDGHLNERDVLYHGSRRPMRTRVSEGRDHHDGVELSGPSDNDARDWNCRGTSGDRNGNEGRGTCGEDGVRVWLNGLGLGRYAPMFEIHEVDDEVLPMLTLEDLKDMGINAVGTRRKMYCAIQKLGKGFS >ONI08800 pep chromosome:Prunus_persica_NCBIv2:G5:16200109:16202801:1 gene:PRUPE_5G201300 transcript:ONI08800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSEKRRLHQAQSTNLAGTQSPTTQPQPTSGARFAPTQPTGGAHPAPTQSQPTSGAQTTSRGHLPPTQTPSSSGTVPPPPPPPMRASCRHRDSREQPLPGQGVRGACRGITTSNIVQTIQEKITIVFHPLNYDIDLKNVDCGNYVNKIMARRFRDFKHELHKHFKFFPSAEEALANPPLEIVERDATHEWLVLCTHFQSPNFLKASRANEVNQAKKKIEHLVPPADARVERASVAAQSTFASQSSSASPSCNPPLPASQEQQSAATPIEVAEATIPHVFNSSPITTNSKYEDNTSSQSPQLEIPIGWPRDGKLTLNWVRNLMSVFDWASRNLEPIQLPDVFPVEVFDSLVLCASKILHKEANCVTVDDLGLESRVIIVGDLHGQLHDLLFLLHDAGFPSENQFFVFNGDYVDRGAWGFESFLILLAWKAFMPKSVYLLRGNHESKYCTSVYGFENEVLTKYSDGGKHVYRKCLGCFEDLPLASIIGKHVYTAHGGLFRHMPAIPKKSKRKKNRRIAFNPEPNLLSLGSMEDLNKARRSVLDPPYEGSNLIPGDVMWSDPSMSPGLSPNTERGIGLLWGPDCTESFLKTFQLKLIIRSHEGPDAREKRPGLGGMDEGYTIDHIVESGKLITLFSAPDYPQFQGTEERYRNKGAYIILEPPNFDDPVFRSFEAITPRPNANAFYNFEEVIDSDEELDLASMVTSP >ONI06684 pep chromosome:Prunus_persica_NCBIv2:G5:8852607:8857317:-1 gene:PRUPE_5G074300 transcript:ONI06684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLMRGDLDPKSMQAYTGSLNDVLRTTMLNQEVMFKKQVHDLHHLYGIQKTLMQNVGRMEFGRYNFRKASAESTLLPCRNSTRDEPMDFLEGYKGVYDKIQPRTPDLKLPSDQCVSYIDPAELTLSLSIQDDSRREGGTKRTWFDVETHSCSHQVIDLEESIERTSIEGLEHSPSFSSATPIKNFGAKHDSEVSVLVDPIVSSCGKENLLFHAADSNPHSDHSEWNPFNQGLKKLSGGVPINNLSTTRQHFSSCEAGLLDLNKIQHDDSSCLSNDPTVAHPSTASSSHAFHGLVGKVEEGTPCFGTGEKKNDNDSNKASETFQKDDSGDFASTNSKRKNERTDYWGGNSKVDVLSISEMGHVSLEAASRPKIDLCEAVCCHSNDPGKGNDGFIMGLLDSRTRSCEAAKQANHENNKAEDPIFSHSDLSQKRDQDGHGNISSASCKPGCIGDNDSSSIKTMQSEVEYRNSNPFCVDQFSETHVGYQVSETLMVEQDQRSSNNSQLKHKCPKKEGESAELDVLIKEAAEALVGISLENSSGYQDCPYKVGVSNKMEMKESEQPQYSSDSFELITLKLTESSADDNCVSSKPSEVDYTDRKDFGVKLRRGRRLKDFQRDILPGLASLSRQEIREDINIMEAVLRSREYKKLRAKAADGQSWCAPVKNKRSRLNYVPRRKRTL >ONI09077 pep chromosome:Prunus_persica_NCBIv2:G5:16980341:16980826:-1 gene:PRUPE_5G216600 transcript:ONI09077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLRTGTEYPSSDCPARLKSKARMINSVSALVDIASRLSKKLKAKPRSANNSKIAPKSSPMRKPKQLMSTISNKAITFLHRKKIGEESADAHLEDKEEEWGNGGVWQRSILMGDKCEPLDFSGAIYYDSHGNQLNGAPLRSPRASPLPGYLERAAHKRN >ONI09226 pep chromosome:Prunus_persica_NCBIv2:G5:17410819:17414706:-1 gene:PRUPE_5G225200 transcript:ONI09226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQGYDTWILEVRGSGLSTYGMDLGEVKQSLNAMSKQTRSSVKHERKSGASANSEISLNGTYNMVSKSDELQLVTNSMETFTHLPERLSGFLNEGLLEAGQNSSIATQIRDLSQRLSNIIGEGPRAVPTRFVDFQERFSTTLEDFQKQLDLIVKFDWDFDHYLEEDLPVAMEYIRTQCKPKDGKLLAIGHSMGGILLYAMLSKCSFQGRDSGLASVATLGSSLDYTQSRSSLKLFLPLADPAQVLNVPVPFGALVAAVHHLATRTHVLSWLKPQISAQDLQPELYEKLVLNNFCTVPTKLLLQLATAFQEGGLCDRSRTFFYKDHLCNSNVPILALGGDQDLICPLEAIYETVKIIPEKLVTFKVLGEAGGPHYAHYDLVGGRLAVETVYPLIIEFLNRHDRT >ONI09225 pep chromosome:Prunus_persica_NCBIv2:G5:17410700:17414774:-1 gene:PRUPE_5G225200 transcript:ONI09225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSPHSDIRSLTALGSDRWCFSPITWSDPKPELSRVYARQRRTASNGVALDLKKRKDPRLSICTADELHYASVPKSEWSLALWRYLPSSKAEPRNHPLLLLSGLATNAIGYDLSPESSFARYMSAQGYDTWILEVRGSGLSTYGMDLGEVKQSLNAMSKQTRSSVKHERKSGASANSEISLNGTYNMVSKSDELQLVTNSMETFTHLPERLSGFLNEGLLEAGQNSSIATQIRDLSQRLSNIIGEGPRAVPTRFVDFQERFSTTLEDFQKQLDLIVKFDWDFDHYLEEDLPVAMEYIRTQCKPKDGKLLAIGHSMGGILLYAMLSKCSFQGRDSGLASVATLGSSLDYTQSRSSLKLFLPLADPAQVLNVPVPFGALVAAVHHLATRTHVLSWLKPQISAQDLQPELYEKLVLNNFCTVPTKLLLQLATAFQEGGLCDRSRTFFYKDHLCNSNVPILALGGDQDLICPLEAIYETVKIIPEKLVTFKVLGEAGGPHYAHYDLVGGRLAVETVYPLIIEFLNRHDRT >ONI06451 pep chromosome:Prunus_persica_NCBIv2:G5:6722569:6723411:1 gene:PRUPE_5G061400 transcript:ONI06451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQRGPSSRFKGVSLLKSGNWGARISYMYKPYWLGAYQMEEEAAIAYDRAAVKLQRGDTQLNFHWSKYSAEESIFQSQYSTEEILSMLKDKTYSSKLMNFLSRHSSGLGTRTTTFMNEQGISYHFLFQKELTHRDVTNKCFLIPREFSFQYLPPPEGIINAGEDEARYVKLTFYDKHGRSWTFGYSYWQSTRNFMFTNGWGHFIRMNNLNQKDLVMFYKCEYEGEVLGREVYMIDYQRSNGENYVLEHSSGSSTIELEADCKQKETKKGFKLFGVEING >ONI08015 pep chromosome:Prunus_persica_NCBIv2:G5:13798836:13803408:-1 gene:PRUPE_5G153400 transcript:ONI08015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVKKREFFMGCCRSFLLFCFASSFFFLGTHQLQSSQTQVLLQLRKHLEYPRQLEIWNDHTIDFCSISSLSQVHMTCLDNLVTELRVVGDKPATVSDFNGFSIPNQTLSEAFSLDSFVTTLARLNSLKVLSLVSLGIWGQLPDKIHRLSSLQYLDLSSNYLFGSIPPKVSAMVKLQTLKFDDNFLNETMPNWFDSLSNITTLSLRNNQLKGSLPDLSSSSSLHVLDLSGNKLNSKLPSMPKGLIMLLLSNNSFSGEIPKKYCTLSGLQHLDVSHNAIRGTPLAALFSLPNMSYLNLASNLLSGSLPGHLICGSKLDYIDISNNSLTGELPFCLRTESEKRIVKFGGNCLSIGMQHQHELSYCKEVSPKEKQYGGKDVGILVGVILGLVVLTVLLVLSFIIFCRRYYPRGISEQHLLHKSVEDNSAVGLSSEILANARYISQAAKVGIQGLPVCRTFALEELMEATNNFDNSVFLGEGSYGKLYKGRLYNGTLVSIRCLPLSKKYSIRNVKLRLDLLAKLRHPHLVCLLGHCLHGGVRDEYSPNKVYLVSEYVPNGNFRAHLSGNSPGKVLDWSERLAVLISVAKAVQFLHTGIIPGFFCNRLKTNNILLNEHGMAKLSDYGLSILAEETDKSKANDGLSSWQMTSLEDDAYSFGYILLEALVGPSKSDRREAFMQNDMASLNSLDGRKKIVEPIVLATCSQESLSIVISITNKCICPESSRPSFEDILWNLQYAVQVQATADGDRRFDSASHQY >ONI08018 pep chromosome:Prunus_persica_NCBIv2:G5:13798910:13803215:-1 gene:PRUPE_5G153400 transcript:ONI08018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVKKREFFMGCCRSFLLFCFASSFFFLGTHQLQSSQTQVLLQLRKHLEYPRQLEIWNDHTIDFCSISSLSQVHMTCLDNLVTELRVVGDKPATVSDFNGFSIPNQTLSEAFSLDSFVTTLARLNSLKVLSLVSLGIWGQLPDKIHRLSSLQYLDLSSNYLFGSIPPKVSAMVKLQTLKFDDNFLNETMPNWFDSLSNITTLSLRNNQLKGSLPDLSSSSSLHVLDLSGNKLNSKLPSMPKGLIMLLLSNNSFSGEIPKKYCTLSGLQHLDVSHNAIRGTPLAALFSLPNMSYLNLASNLLSGSLPGHLICGSKLDYIDISNNSLTGELPFCLRTESEKRIVKFGGNCLSIGMQHQHELSYCKEVSPKEKQYGGKDVGILVGVILGLVVLTVLLVLSFIIFCRRYYPRGISEQHLLHKSVEDNSAVGLSSEILANARYISQAAKVGIQGLPVCRTFALEELMEATNNFDNSVFLGEGSYGKLYKGRLYNGTLVSIRCLPLSKKYSIRNVKLRLDLLAKLRHPHLVCLLGHCLHGGVRDEYSPNKVYLVSEYVPNGNFRAHLSGNSPGKVLDWSERLAVLISVAKAVQFLHTGIIPGFFCNRLKTNNILLNEHGMAKLSDYGLSILAEETDKSKANDGLSSWQMTSLEDDAYSFGYILLEALVGPSKSDRREAFMQNDMASLNSLDGRKKIVEPIVLATCSQESLSIVISITNKCICPESSRPSFEDILWNLQYAVQVQATADGDRRFDSASHQY >ONI08016 pep chromosome:Prunus_persica_NCBIv2:G5:13798835:13802865:-1 gene:PRUPE_5G153400 transcript:ONI08016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVKKREFFMGCCRSFLLFCFASSFFFLGTHQLQSSQTQVLLQLRKHLEYPRQLEIWNDHTIDFCSISSLSQVHMTCLDNLVTELRVVGDKPATVSDFNGFSIPNQTLSEAFSLDSFVTTLARLNSLKVLSLVSLGIWGQLPDKIHRLSSLQYLDLSSNYLFGSIPPKVSAMVKLQTLKFDDNFLNETMPNWFDSLSNITTLSLRNNQLKGSLPDLSSSSSLHVLDLSGNKLNSKLPSMPKGLIMLLLSNNSFSGEIPKKYCTLSGLQHLDVSHNAIRGTPLAALFSLPNMSYLNLASNLLSGSLPGHLICGSKLDYIDISNNSLTGELPFCLRTESEKRIVKFGGNCLSIGMQHQHELSYCKEVSPKEKQYGGKDVGILVGVILGLVVLTVLLVLSFIIFCRRYYPRGISEQHLLHKSVEDNSAVGLSSEILANARYISQAAKVGIQGLPVCRTFALEELMEATNNFDNSVFLGEGSYGKLYKGRLYNGTLVSIRCLPLSKKYSIRNVKLRLDLLAKLRHPHLVCLLGHCLHGGVRDEYSPNKVYLVSEYVPNGNFRAHLSGNSPGKVLDWSERLAVLISVAKAVQFLHTGIIPGFFCNRLKTNNILLNEHGMAKLSDYGLSILAEETDKSKANDGLSSWQMTSLEDDAYSFGYILLEALVGPSKSDRREAFMQNDMASLNSLDGRKKIVEPIVLATCSQESLSIVISITNKCICPESSRPSFEDILWNLQYAVQVQATADGDRRFDSASHQY >ONI08017 pep chromosome:Prunus_persica_NCBIv2:G5:13798910:13802565:-1 gene:PRUPE_5G153400 transcript:ONI08017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVKKREFFMGCCRSFLLFCFASSFFFLGTHQLQSSQTQVLLQLRKHLEYPRQLEIWNDHTIDFCSISSLSQVHMTCLDNLVTELRVVGDKPATVSDFNGFSIPNQTLSEAFSLDSFVTTLARLNSLKVLSLVSLGIWGQLPDKIHRLSSLQYLDLSSNYLFGSIPPKVSAMVKLQTLKFDDNFLNETMPNWFDSLSNITTLSLRNNQLKGSLPDLSSSSSLHVLDLSGNKLNSKLPSMPKGLIMLLLSNNSFSGEIPKKYCTLSGLQHLDVSHNAIRGTPLAALFSLPNMSYLNLASNLLSGSLPGHLICGSKLDYIDISNNSLTGELPFCLRTESEKRIVKFGGNCLSIGMQHQHELSYCKEVSPKEKQYGGKDVGILVGVILGLVVLTVLLVLSFIIFCRRYYPRGISEQHLLHKSVEDNSAVGLSSEILANARYISQAAKVGIQGLPVCRTFALEELMEATNNFDNSVFLGEGSYGKLYKGRLYNGTLVSIRCLPLSKKYSIRNVKLRLDLLAKLRHPHLVCLLGHCLHGGVRDEYSPNKVYLVSEYVPNGNFRAHLSGNSPGKVLDWSERLAVLISVAKAVQFLHTGIIPGFFCNRLKTNNILLNEHGMAKLSDYGLSILAEETDKSKANDGLSSWQMTSLEDDAYSFGYILLEALVGPSKSDRREAFMQNDMASLNSLDGRKKIVEPIVLATCSQESLSIVISITNKCICPESSRPSFEDILWNLQYAVQVQATADGDRRFDSASHQY >ONI06146 pep chromosome:Prunus_persica_NCBIv2:G5:4802038:4802295:1 gene:PRUPE_5G043500 transcript:ONI06146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCPTLVTDIALCMLSEMASFITWLLSIVPSTCTSLGVTKLLAAPTVTSLSRSKESFTVTGLIRNQIRTSTLVQPLAPCLCWRS >ONI08404 pep chromosome:Prunus_persica_NCBIv2:G5:14945455:14947647:1 gene:PRUPE_5G176100 transcript:ONI08404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTVNYPLKSAADHDEDDDDLVDHPKVPGWFSEHCPIWPGQAHFLKVEKILFQGRSEYQSMMVFQSSAYGKVFVLDGALQLTEKDECAYQEMITHLPLCSIQNPNKVLLIGGGDGGILREISRHSSVQQIDICEIDTMLIDVYKKYFPGIAIGYEDPRVTLHVKDGNAFIKSVPEGTYDAIIVDAFDPIRPDHELHEGPFFELVAKALRPGGVMCIQAESIWFPSLDVEHLTAKCRQKFKGSVDYAWTIVPAYPSGVIGFLLCSTEGQFVDFRNPVNPLDPNNNSYGVAKEPLKFYNPEVHFAAFCLPSFAKKGMNNNKNNNGCKVSSNGVGTISADDEGTKQQVNIQEEDDIIDH >ONI08236 pep chromosome:Prunus_persica_NCBIv2:G5:14405417:14408225:-1 gene:PRUPE_5G166400 transcript:ONI08236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSTLLVALKILLILIFAAWVSLWLLKPTQLWTRKWKAAEDKARATVFGYYGLNFAVYTFPPIALAIIGSFYLNLKPRESIRRKGRKLTAGFSNPLVVNTLLGVFSTLEILAVFLFILFLAWNFYARISNDFKNLKAAKSLMLNSWQLKYLKVATRFGLLAEVCLAFLLFPILRGLALFRLLGIQFEASVRYHIWLGTAMIFFATFHGASTLFVWGVSHYIQEEIWKWQKTGRIYLAGEICLVTGLVIWITSLPQIRRKQFEIFYYTHHLYMVFLVFFLFHAGDRHFYMVFPGIFLFGLDKLFRIIQSRPETCILSARIFPSKAIELILPKDPGLKYTPTSIIFVKVPSISKYEWHSFSITSCSRVDKSTMSIMIKSEGSWTSSLSHMIQTRQESDGDQTKYIPIAVEGPYGPVSMDFLRYDSLLLVSGGIGITPFLSIIQELASSQNSGINKFLPRMQLIYVVKKSQDICLLNSISPLIFGQLAEKCLLKVKVFVTQEEQSDATVRELLNEFSEVQTVNYGTKFLNFSISGLENSLWMAAIAGISSILFLIFLIMFNHIFVPSQKNPTKEKTPSWVVDLLIISSFILALICSIFVALVIRWRRLKKQILPTLQEQSKALEKGSTQASDALEEHEIHFGGRPNFEDIFAKFPDEKGGSDIGVLVCGPETMKESVASVCQKKCGAKKQKPNFSFHSLNFTL >ONI08235 pep chromosome:Prunus_persica_NCBIv2:G5:14405158:14408373:-1 gene:PRUPE_5G166400 transcript:ONI08235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSTLLVALKILLILIFAAWVSLWLLKPTQLWTRKWKAAEDKARATVFGYYGLNFAVYTFPPIALAIIGSFYLNLKPRESIRRWQLKYLKVATRFGLLAEVCLAFLLFPILRGLALFRLLGIQFEASVRYHIWLGTAMIFFATFHGASTLFVWGVSHYIQEEIWKWQKTGRIYLAGEICLVTGLVIWITSLPQIRRKQFEIFYYTHHLYMVFLVFFLFHAGDRHFYMVFPGIFLFGLDKLFRIIQSRPETCILSARIFPSKAIELILPKDPGLKYTPTSIIFVKVPSISKYEWHSFSITSCSRVDKSTMSIMIKSEGSWTSSLSHMIQTRQESDGDQTKYIPIAVEGPYGPVSMDFLRYDSLLLVSGGIGITPFLSIIQELASSQNSGINKFLPRMQLIYVVKKSQDICLLNSISPLIFGQLAEKCLLKVKVFVTQEEQSDATVRELLNEFSEVQTVNYGTKFLNFSISGLENSLWMAAIAGISSILFLIFLIMFNHIFVPSQKNPTKEKTPSWVVDLLIISSFILALICSIFVALVIRWRRLKKQILPTLQEQSKALEKGSTQASDALEEHEIHFGGRPNFEDIFAKFPDEKGGSDIGVLVCGPETMKESVASVCQKKCGAKKQKPNFSFHSLNFTL >ONI08237 pep chromosome:Prunus_persica_NCBIv2:G5:14405417:14408225:-1 gene:PRUPE_5G166400 transcript:ONI08237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSTLLVALKILLILIFAAWVSLWLLKPTQLWTRKWKAAEDKARATVFGYYGLNFAVYTFPPIALAIIGSFYLNLKPRESIRSRKGRKLTAGFSNPLVVNTLLGVFSTLEILAVFLFILFLAWNFYARISNDFKNLKAAKSLMLNSWQLKYLKVATRFGLLAEVCLAFLLFPILRGLALFRLLGIQFEASVRYHIWLGTAMIFFATFHGASTLFVWGVSHYIQEEIWKWQKTGRIYLAGEICLVTGLVIWITSLPQIRRKQFEIFYYTHHLYMVFLVFFLFHAGDRHFYMVFPGIFLFGLDKLFRIIQSRPETCILSARIFPSKAIELILPKDPGLKYTPTSIIFVKVPSISKYEWHSFSITSCSRVDKSTMSIMIKSEGSWTSSLSHMIQTRQESDGDQTKYIPIAVEGPYGPVSMDFLRYDSLLLVSGGIGITPFLSIIQELASSQNSGINKFLPRMQLIYVVKKSQDICLLNSISPLIFGQLAEKCLLKVKVFVTQEEQSDATVRELLNEFSEVQTVNYGTKFLNFSISGLENSLWMAAIAGISSILFLIFLIMFNHIFVPSQKNPTKEKTPSWVVDLLIISSFILALICSIFVALVIRWRRLKKQILPTLQEQSKALEKGSTQASDALEEHEIHFGGRPNFEDIFAKFPDEKGGSDIGVLVCGPETMKESVASVCQKKCGAKKQKPNFSFHSLNFTL >ONI05651 pep chromosome:Prunus_persica_NCBIv2:G5:1835345:1836718:1 gene:PRUPE_5G017100 transcript:ONI05651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAAPTSTKLYFPLALLACFILLAQASSHGFTADLIHRDSPLSPLYNSSMSHLDRLHNAFRRSVTRVHHFIKPTMTSLSSSLAAPNIQSIIIPSAGEYLMNVSIGTPPVEVLGIADTGSDLIWTQCKPCKQCFNQNPPLFDPKKSSTYHSIPCQSSSCTYLEEAACGTLINGDHDTCEYSYRYGDRSFTRGTLALETLTFGSTSGRPTSLPKVVFGCGHENGGTFDESGSGLIGLGGGPLSLISQLTKLTNGGKFSYCLLPTANTAASKISFGSAGIVSGSGAVSTPLVAKNPDTFYYLTLEAISVGEKRLAYKTKSPDCEKAAVAANEGNIIIDSGTTLTLLPPGFHDDLVSALETAINAERVSDPRGILSLCFKSKSDDIGVPVITVHFSGGADVKLQALNTFARMDDDMICFTMIPSSDVAIFGNLAQMNFLVGYDLEERSVSFKPTDCTKH >ONI07135 pep chromosome:Prunus_persica_NCBIv2:G5:10944492:10947005:1 gene:PRUPE_5G101900 transcript:ONI07135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFSKKQQSGFSASSTAEEVTEGIDGTGLTAIVTGASSGIGVETARVLALRGVHVVMAVRNTDAGANVKEVILKEIPNAKIDVRELDLSSFTSVRKFAADYRSSGLPLNILINNAGVGVTPFKLSQDSIELQFATNHLGHFLLTNLLLETMKSTSRESNTEGRIVNVSSLSHHYGYREGIRFDKLNDEPTYNRHFAYAQSKLANILHANELSRCLKEEGVEITANSVHPGAILTNITRHDSFARCVFTVVCLFISKTVQQGAATTCFVALNPQVKGVGGEYFSDCKIAKRSSQAKDADMARRLWDCSFSLTNPKK >ONI07098 pep chromosome:Prunus_persica_NCBIv2:G5:10806030:10813473:1 gene:PRUPE_5G100000 transcript:ONI07098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLGQWFYRPEEAEKKAGGNWQSRDTRELFYSFHRDEVPAESVMHRCVVHFVPLNKQLPSRKQHPGFIVQKVYDTQGKKLWKLTDRDYEEDKQHEIDLLVQKTIGRLGDLPDIETADTTFNQDEQFKTKRSLIKKNISPLDVSRVEEAITKPGQNQKAETPGSRTNSSEYHSILVKFMALTGDTHRDRWLEKLLENIRHACNSADITNGDEKRKSGSDDIAHASDCKSPEVGNGSHNLKSGKSFHWPDDAVRAVTSLEKASHESLSSDSTKYNQKLRQLWFNIQRNSFLARRLLNQELEPLTIVNMSPDELKEGLTAEERAKKEPDDWERMQMTDARCSRCSEFRVGVRDIIQAGHGARYQLECIACGHSWYAARDAVSMLTIDAPSSNKNVGTAPLATAKFEDVEKKLVSPRESDKAAAKDTLKKTTEAFMPVLDAQRSFSKSRKEENSESTKKAE >ONI07097 pep chromosome:Prunus_persica_NCBIv2:G5:10806030:10813473:1 gene:PRUPE_5G100000 transcript:ONI07097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRRFAQVSTSDDEDDVAPQNQQLEEENGSSKKRKHMKLREGEEEESEEEEKHKSKKRKGKKGKNVRDESASESEDNEEEPQLEDAKPIGEVVRLSGKGRGRRQHYEAFEYDGNRYDLEDAVLLIPEDRKQKPYVAIIKDISKTRDGSMMVLGQWFYRPEEAEKKAGGNWQSRDTRELFYSFHRDEVPAESVMHRCVVHFVPLNKQLPSRKQHPGFIVQKVYDTQGKKLWKLTDRDYEEDKQHEIDLLVQKTIGRLGDLPDIETADTTFNQDEQFKTKRSLIKKNISPLDVSRVEEAITKPGQNQKAETPGSRTNSSEYHSILVKFMALTGDTHRDRWLEKLLENIRHACNSADITNGDEKRKSGSDDIAHASDCKSPEVGNGSHNLKSGKSFHWPDDAVRAVTSLEKASHESLSSDSTKYNQKLRQLWFNIQRNSFLARRLLNQELEPLTIVNMSPDELKEGLTAEERAKKEPDDWERMQMTDARCSRCSEFRVGVRDIIQAGHGARYQLECIACGHSWYAARDAVSMLTIDAPSSNKNVGTAPLATAKFEDVEKKLVSPRESDKAAAKDTLKKTTEAFMPVLDAQRSFSKSRKEENSESTKKAE >ONI06624 pep chromosome:Prunus_persica_NCBIv2:G5:8565574:8567837:1 gene:PRUPE_5G070800 transcript:ONI06624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALFLILCFTHFFLSCSQPLYLPLTHTLSQTQFNSTQHLLKSTTTRSARRFHHHHRGHNRQTNQVSLPLAPGSDYTLSFTLNSSPPQPVALYMDTGSDLVWFPCSPFECILCEGKPNSTNPPPKIPKNAAVSCDSRSCSAAHSFLSSANLCAISHCPLDSIEISECSSFSCPPFYYAYADGSFIAKLYKHSLSIPMSTPALVLRNFTFGCSHSSLGEPIGVAGFGRGLLSLPAQLSTFSPHLATQFSYCLVSHSFDQDRVRRPSPLILGPYDQKQKRFGDGAGGSVEYAYTSMLDNPKHPYFYSIGLAGVSVGKRVFPAPEILQGVDKNGNGGIVVDSGTTFTMFPQGFYNSLVAEFDRRVGRVHERATRVEDETGLGPCYYYEKVVDVPAVTLHFAGNNSSVLLPRRNYFYEFVDGGDGAGRKRKKVGCWMLMNGGDEAEMSGGPGGILGNYQQQGFEVVYDLEKQRVGFARRQCALLWDSLNQR >ONI08570 pep chromosome:Prunus_persica_NCBIv2:G5:15417338:15419206:-1 gene:PRUPE_5G186100 transcript:ONI08570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGQVQALCILKACKNYMANTSQLTRRAPTTLVGPPELKSLSPPLQPPQPQLVPKPAFSLSHGTKIPCSYGNACLDLYFHVLPGTPDSGSYLKQLLPLAWSHNSLTTLKLICKLPGGNGDLEELFYTAALWLHQNHPKTLAHNLLPIAGSLVRFRSLLEVLYGVLEGRDDANYKRKRIKRMQKLLDYYDDLIIKTVGSGRRTSSKKREEIIAMAKKAIEMYEGDQDYQFLHERVSDIYAECLKYDIKSLKKFEQQEKDNVNDPKHSLKLRLTDAASWCPSVDSFFDRATLLCESIAKKVFPREQCSQGSCAEEEEEEADYTSRVRERLMKEVLEPLRKAMDEGIEDMFPRKQHSCPIEKYLNDVKAGGESKINVSAILPHDIIGYVSDKNFGQLVEHQWKAMVEKIYLKQGKFKNCLAVCDVSARMSGYPMDMSISLGLLVSELGEEPWKGKVITFSRNPQLHSIQGSDLKSKYTFMRTMDNQHWDGETDFQKVFDLILQVAVNGNLKPEQMIKRLFVFTSDQDFDDASFNSWETDYEAIQRKFKEKGYGDVVPRILFWNLNDNDMPIPVAHATEQGVARLSGFSYNLVKCFLENDGEIGPHHLMEIDISCSRYQRLAVVD >ONI06948 pep chromosome:Prunus_persica_NCBIv2:G5:10168778:10170572:1 gene:PRUPE_5G091200 transcript:ONI06948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLAPLNPESPKLEQENQKTHLSLALIEAKCIANIAFPMVLTGLLLYSRTMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAYGAKRFKLLGLTLQRTVILLLLTSIPIALLWFNMKRILLSCGQQDDIATQAKSYIFYSLPDLIAQSLLHPLRIYLRSQSITVPLTFCATLAILLHIPINYLLVCVLNLGIKGVALSGVWSNVNLVGSLIFYIAISGVYKKTWGGFSKECFKGWKSLMNLAIPSCISVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTGLIYIFPSSLSFGVSTRVGNELGANRPERAKLATIVGLSYSFILGFSALLFAVTVRNIWASMYTQDSEIITLTSMVLPIIGLCELGNCPQTTGCGVLRGAARPKLGANINLGCFYLVGMPVAVWLGFFAGFDFRGLWLGLLAAQGSCVVTMLFVLARTNWELQAQRAKELTGTFTIDDQDDQDAADEAYESLSSLQKAKCDNSLV >ONI07738 pep chromosome:Prunus_persica_NCBIv2:G5:12924008:12926286:-1 gene:PRUPE_5G136700 transcript:ONI07738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKYPVVPGHEIAGIVKEVASNVQRFKVGDHMGVGTHVNSCRDCEYCNDRFNGVDADGTITKGGYSSHIFVHEWYCFNIPENYPLASAAPLLCAGITVYASMVCHEMNQHGKFEGDWPWWPRSHGSEVWQKAFGLNVTIFSTSISKKEEALSQLGADNFVVSSDRNQMKALVKSVDFIIDIASGDHPFVPYMELLKTGGVLIGLPVQASCSFLCLFISLAHHIPLRVQASSVIIWQKKDAQTRPGRLEPPRPPRRRLEPPRPPISA >ONI09470 pep chromosome:Prunus_persica_NCBIv2:G5:18119508:18120212:-1 gene:PRUPE_5G240400 transcript:ONI09470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNHLCISVLQSYGIKIVSLHKPCSAQVLGTDSLGGDIQSCKLCGHSENIANMLLCDRCEEAFHVSCCKPRVVLPIDEWFCPSCLKMSQNNSFIKSPSIGSGIGSCKFELGPIAVMLKYPEPYTSKVRLGEAFQAQVPEWCDQINAAPLSDVQTEDPDCSSHSACADPPVI >ONI09093 pep chromosome:Prunus_persica_NCBIv2:G5:17032041:17038367:1 gene:PRUPE_5G217300 transcript:ONI09093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANFEDQSKLPELKLDAKQSQGFLSFFKTLPHDPRPIRLFDRRDYYTAHGENATFIAKTYYRTTTALRQLGSGLDGLSSVSVSKNMFETIARDLLLERTDHTLEIYEGSGSSWRLVKSGTPGNLGSFEDVLFANNDMQDTPVVVALLPNFRENGCTVGLGYVDLTKRVLGLAEFLDDSHFTNVESALVALGCKECLLPLESGKTSEIRTLHDALNRCGVMLTERKKAEFKMRDLVQDLSRLVKGSIEPVRDLVSGFEFAAGALGALLSYAELLGDESNYGNYSIQRYNLDSYMRLDSAAMRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVDEINSRLDLVQAFVEDPALRQDLRQHLKRISDIERLMHNLEKKRAGLQHIVKLYQSSIRLPYIKSALERYDGEFSSLIKERYWDPLELWTDDGHLNKFVALVESAVDLDQLENGEYMISSTYDPALSALKDEQESLEHRIHNLHKETAKDLDLALDKALKLDKGTQFGHVFRITKKEEPKIRKKLTTQFIVLETRKDGVKFTNTKLKKLGDQYQRIVEEYKNCQKELVNRVVQTTATFSEVFWSVAGLLSELDVLLSFSDLASSCPTAYTRPIITPSDEGDIILEGSRHPCVEAQDWVNFIPNDCKLVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDKASISIRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVIKAPTLFATHFHELTALAHENSVHEANMKQIVGVANYHVSAHIDSSSHKLTMLYKVEPGACDQSFGIQVAEFANFPESVVSLAREKAAELEDFSATAVIPNDAIEEVGSKRKREYDSDDMSRGSARAHEFLKEFSNLPLETMDLKEALQKVSKMKNDLQKDAVNSHWLQQFF >ONI09094 pep chromosome:Prunus_persica_NCBIv2:G5:17032444:17038367:1 gene:PRUPE_5G217300 transcript:ONI09094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFETIARDLLLERTDHTLEIYEGSGSSWRLVKSGTPGNLGSFEDVLFANNDMQDTPVVVALLPNFRENGCTVGLGYVDLTKRVLGLAEFLDDSHFTNVESALVALGCKECLLPLESGKTSEIRTLHDALNRCGVMLTERKKAEFKMRDLVQDLSRLVKGSIEPVRDLVSGFEFAAGALGALLSYAELLGDESNYGNYSIQRYNLDSYMRLDSAAMRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVDEINSRLDLVQAFVEDPALRQDLRQHLKRISDIERLMHNLEKKRAGLQHIVKLYQSSIRLPYIKSALERYDGEFSSLIKERYWDPLELWTDDGHLNKFVALVESAVDLDQLENGEYMISSTYDPALSALKDEQESLEHRIHNLHKETAKDLDLALDKALKLDKGTQFGHVFRITKKEEPKIRKKLTTQFIVLETRKDGVKFTNTKLKKLGDQYQRIVEEYKNCQKELVNRVVQTTATFSEVFWSVAGLLSELDVLLSFSDLASSCPTAYTRPIITPSDEGDIILEGSRHPCVEAQDWVNFIPNDCKLVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDKASISIRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVIKAPTLFATHFHELTALAHENSVHEANMKQIVGVANYHVSAHIDSSSHKLTMLYKVEPGACDQSFGIQVAEFANFPESVVSLAREKAAELEDFSATAVIPNDAIEEVGSKRKREYDSDDMSRGSARAHEFLKEFSNLPLETMDLKEALQKVSKMKNDLQKDAVNSHWLQQFF >ONI05542 pep chromosome:Prunus_persica_NCBIv2:G5:1326233:1329363:-1 gene:PRUPE_5G012200 transcript:ONI05542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFKVSLHSLFSNSQTWVLSSHLSSSAQRSFERFSIYEFIHRFSSVYPNRVKTSTEEEKEHKPPVQQR >ONI06692 pep chromosome:Prunus_persica_NCBIv2:G5:8900638:8903781:1 gene:PRUPE_5G074800 transcript:ONI06692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTHHETASRHVAVIGAGAGGLVAARELRREGHKVVVFERGDQVGGTWVYTPNVESDPIGLDPNRTRVHSSMYQSLRTNLPREAMGFRDYPFVAIEEDEERDPRRYPGHREVLMYLKDFAIEFGVSEIVRFETEVVFVGLVEGGKWKVKSRSKRGEVVEDEIFDAVVVCNGHHTEPCVAQIPGINTWKGKQIHSHNYRTPEPFRDQVVILIGSATSALDISRDIVGVAKEVHIASRSVADETLEKQPGYNNMWLHSMIKSVQEDGSVVFRNGSVVLADVILHCTGYKFHFPFLETNGIVTVDDNRVGPLYKHVFPPDLAPSLSFVGLPWKVAPFPMFEFQSKWIAGILSNRIALPSREEMMEDVKAFYSLLEASGIPKRYTHNIADYQFGYDDWLAAECGCPAFEEWRKQMYFVAIENLFARPETYKDEWEDHHLVLQAHEDFRKYTLNGVENGYVTSNDVPPSSR >ONI07511 pep chromosome:Prunus_persica_NCBIv2:G5:12260271:12264651:-1 gene:PRUPE_5G124500 transcript:ONI07511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVKRWSVTYTKHMKQKRKVYQDGFLELNTSINKVLLYDDCEKLLECRLLKKDEELSSGETLTFNAFLVDVNEADSAEGDRKPVPGFNSQAKDMKFNEKRAKPFVNTRNKPQPNLSPSQKIIREFKNRELHKYGAAQSSPETMKTSKTEWQVLYTTQLTQRAKKYHDGFLQLAVSGSYGKQIILLDESRNLLDSRFLKKDEVLASGESIGFSAHLVEIGECEGDNKPGKNLHFKENKRNVVREAGVKHEQSNGVITDKAAEKEWKVLYTTQLTQKAKKYHDGFLKLAMCGSQRRQALLFDVTKKLIDSKFLKKDEDIRSGESFTFDAHLVDIGEPEGNHEALVDLKFRGKDDCYVQETRKLGGGDDCVIVNNFEVKEWHALYTTQITQKAKKYHGGILRLDISGSYRKKVSLLSEDKTMLSSKYISLSEDIRTGCMFELPKYLVEVGRLYTSSGGEGKPHNKDYLRKASESNLSISSVEETRSSRAVHTNKPLRDANQILSILQRPMAQERIVSGHADNSTARPIASTKESQVSDTIIVNFSEARPSQPHRSVESIEQSENMDTGNLPDPMSFKAISSGSGSPVADGINMRNSDQV >ONI07510 pep chromosome:Prunus_persica_NCBIv2:G5:12259548:12264651:-1 gene:PRUPE_5G124500 transcript:ONI07510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVKRWSVTYTKHMKQKRKVYQDGFLELNTSINKVLLYDDCEKLLECRLLKKDEELSSGETLTFNAFLVDVNEADSAEGDRKPVPGFNSQAKDMKFNEKRAKPFVNTRNKPQPNLSPSQKIIREFKNRELHKYGAAQSSPETMKTSKTEWQVLYTTQLTQRAKKYHDGFLQLAVSGSYGKQIILLDESRNLLDSRFLKKDEVLASGESIGFSAHLVEIGECEGDNKPGKNLHFKENKRNVVREAGVKHEQSNGVITDKAAEKEWKVLYTTQLTQKAKKYHDGFLKLAMCGSQRRQALLFDVTKKLIDSKFLKKDEDIRSGESFTFDAHLVDIGEPEGNHEALVDLKFRGKDDCYVQETRKLGGGDDCVIVNNFEVKEWHALYTTQITQKAKKYHGGILRLDISGSYRKKVSLLSEDKTMLSSKYISLSEDIRTGCMFELPKYLVEVGRLYTSSGGEGKPHNKDYLRKASESNLSISSVEETRSSRAVHTNKPLRDANQILSILQRPMAQERIVSGHADNSTARPIASTKESQVSDTIIVNFSEARPSQPHRSVESIEQSENMDTGNLPDPMSFKAISSGSGSPVADGINMRNSDQFSPGHVKADTRQHDTAYTSDKSSLSSCVSSDEKSSSEEPTCEQIEECPSFDLGF >ONI07906 pep chromosome:Prunus_persica_NCBIv2:G5:13460970:13464937:-1 gene:PRUPE_5G146600 transcript:ONI07906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKLEEENMEIVWQTPANPPHRLDYIFRNGRRHVRPYYFEFIAHVKNRWAGKTIVDLFTDEFKGRSHDYYVSAVKCGRIRVDGEMVPVSYIVKTSEKISHFLHRHEPPVLTSDVLILQKEPDVVTVCKPASIPVHPCGQYRKNTVVGILEAEHGLAPLFPVHRLDRLVSGLLILARSASKADFFRQQIEGGMIKKQYIARVAGEFPEQEQVVDANINHNAREQRSTAEVGACGDTTPIKGKTACTKFTRLSTNGVHSLVLCEPVTGRTHQIRVHLQHAGYPIANDLLYLSKHVNDRSASILASDFCENLSTEHVDSNEDFSIDPMCTNCPDLVPKGYNVHEEALWLHCVRYSAPGWTYECPYPDWASLS >ONI07905 pep chromosome:Prunus_persica_NCBIv2:G5:13460945:13464937:-1 gene:PRUPE_5G146600 transcript:ONI07905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKLEEENMEIVWQTPANPPHRLDYIFRNGRRHVRPYYFEFIAHVKNRWAGKTIVDLFTDEFKGRSHDYYVSAVKCGRIRVDGEMVPVSYIVKTSEKISHFLHRHEPPVLTSDVLILQKEPDVVTVCKPASIPVHPCGQYRKNTVVGILEAEHGLAPLFRLLILARSASKADFFRQQIEGGMIKKQYIARVAGEFPEQEQVVDANINHNAREQRSTAEVGACGDTTPIKGKTACTKFTRLSTNGVHSLVLCEPVTGRTHQIRVHLQHAGYPIANDLLYLSKHVNDRSASILASDFCENLSTEHVDSNEDFSIDPMCTNCPDLVPKGYNVHEEALWLHCVRYSAPGWTYECPYPDWASLS >ONI09343 pep chromosome:Prunus_persica_NCBIv2:G5:17741797:17742237:1 gene:PRUPE_5G233400 transcript:ONI09343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKAWSSKACKIRLNTSNKTEAFQFRTCQTQLGLEKGKRQTDKQNCSILYGKEESFGWKKKGKRNPD >ONI08911 pep chromosome:Prunus_persica_NCBIv2:G5:16561815:16567129:-1 gene:PRUPE_5G207700 transcript:ONI08911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGVPSSAFDLGTSIPSGYGSIPAPRTAAVDFGAVQETKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTINKTCLHAPLILPFLVLCCFFSSSPSNITSISCKTKQEFTSNSYDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08913 pep chromosome:Prunus_persica_NCBIv2:G5:16561815:16567109:-1 gene:PRUPE_5G207700 transcript:ONI08913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSQLLALLLLILVQETKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTIKFFDFSKMTAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08915 pep chromosome:Prunus_persica_NCBIv2:G5:16561815:16567271:-1 gene:PRUPE_5G207700 transcript:ONI08915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSQLLALLLLILVQETKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTINKTCLHAPLILPFLVLCCFFSSSPSNITSISCKTKQEFTSNSYVSHVTTFRFFDFSKMTAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08908 pep chromosome:Prunus_persica_NCBIv2:G5:16561811:16567129:-1 gene:PRUPE_5G207700 transcript:ONI08908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSLEQVLQDAKLHRQINSLIVAHLRDNNLYQAASVVASATMTSLNDEAPPNKLLELVAKGLAVEKDEMLRGVPSSAFDLGTSIPSGYGSIPAPRTAAVDFGAVQETKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTINKTCLHAPLILPFLVLCCFFSSSPSNITSISCKTKQEFTSNSYDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08909 pep chromosome:Prunus_persica_NCBIv2:G5:16562127:16566879:-1 gene:PRUPE_5G207700 transcript:ONI08909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSLEQVLQDAKLHRQINSLIVAHLRDNNLYQAASVVASATMTSLNDEAPPNKLLELVAKGLAVEKDEMLRGVPSSAFDLGTSIPSGYGSIPAPRTAAVDFGAVQETKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTINKTCLHAPLILPFLVLCCFFSSSPSNITSISCKTKQEFTSNSYVSHVTTFRFFDFSKMTAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08917 pep chromosome:Prunus_persica_NCBIv2:G5:16561815:16567271:-1 gene:PRUPE_5G207700 transcript:ONI08917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSQLLALLLLILVQCRKQKAHQRASQSMRHAIFQSTRCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTIKFFDFSKMTAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08919 pep chromosome:Prunus_persica_NCBIv2:G5:16562127:16565944:-1 gene:PRUPE_5G207700 transcript:ONI08919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSQLLALLLLILVQCRKQKAHQRASQSMRHAIFQSTRCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTINKTCLHAPLILPFLVLCCFFSSSPSNITSISCKTKQEFTSNSYVSHVTTFRFFDFSKMTAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08912 pep chromosome:Prunus_persica_NCBIv2:G5:16562127:16566002:-1 gene:PRUPE_5G207700 transcript:ONI08912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGVPSSAFDLGTSIPSGYGSIPAPRTAAVDFGAVQETKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTINKTCLHAPLILPFLVLCCFFSSSPSNITSISCKTKQEFTSNSYVSHVTTFRFFDFSKMTAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08914 pep chromosome:Prunus_persica_NCBIv2:G5:16561815:16567092:-1 gene:PRUPE_5G207700 transcript:ONI08914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSQLLALLLLILVQETKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTIKFFDFSKMTAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08918 pep chromosome:Prunus_persica_NCBIv2:G5:16562127:16565944:-1 gene:PRUPE_5G207700 transcript:ONI08918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSQLLALLLLILVQCRKQKAHQRASQSMRHAIFQSTRCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTINKTCLHAPLILPFLVLCCFFSSSPSNITSISCKTKQEFTSNSYVSHVTTFRFFDFSKMTAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08916 pep chromosome:Prunus_persica_NCBIv2:G5:16561815:16567271:-1 gene:PRUPE_5G207700 transcript:ONI08916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSQLLALLLLILVQCRKQKAHQRASQSMRHAIFQSTRCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTIKFFDFSKMTAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08907 pep chromosome:Prunus_persica_NCBIv2:G5:16562127:16566879:-1 gene:PRUPE_5G207700 transcript:ONI08907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSLEQVLQDAKLHRQINSLIVAHLRDNNLYQAASVVASATMTSLNDEAPPNKLLELVAKGLAVEKDEMLRGVPSSAFDLGTSIPSGYGSIPAPRTAAVDFGAVQETKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTIKFFDFSKMTAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI08910 pep chromosome:Prunus_persica_NCBIv2:G5:16561815:16567271:-1 gene:PRUPE_5G207700 transcript:ONI08910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGVPSSAFDLGTSIPSGYGSIPAPRTAAVDFGAVQETKGSSKSFPKHETRHLSEHKNIARCARFSPDGKFVATGSSDTSIKLFEVAKIKQMMLPDARDARDGPVRPVIRTFYDHLQPINDLDFHPQNTVLISGSKDNTIKFFDFSKMTAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHPIPHLYDINTFQCYLSANAPEINDNGAINQVRYSATGGMYVTASKDGTIRLWDGVTANCVRSIAGAHGTAEATSANFTKDQRFVLSSGKDSTVKLWEVGTGKLVKQYLGATHTQLRCQAVFNDTEEFVLSIDEPTNEIVIWDALTAEKVAKWPSNHIGAFRWLEHSPTEAAYVTCGTDRSIRFWKESL >ONI09553 pep chromosome:Prunus_persica_NCBIv2:G5:18323159:18326260:-1 gene:PRUPE_5G244600 transcript:ONI09553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREKLMKMAGAVRTGGKGSMRRWKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPSIISHLGPDNLDNLKKLAEQFQKQAPGGGGGGGTTAQEDDDDDVPELVAGETFEAAAEEKDKEEEKQAS >ONI09551 pep chromosome:Prunus_persica_NCBIv2:G5:18323165:18326260:-1 gene:PRUPE_5G244600 transcript:ONI09551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPSIISHLDNLDNLKKLAEQFQKQAPGGGGGGGTTAQEDDDDDVPELVAGETFEAAAEEKDKEEEKQAS >ONI09552 pep chromosome:Prunus_persica_NCBIv2:G5:18323103:18326470:-1 gene:PRUPE_5G244600 transcript:ONI09552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFINPKVQASIAANTWVVSGSPQTKKLQDILPSIISHLGPDNLDNLKKLAEQFQKQAPGGGGGGGTTAQEDDDDDVPELVAGETFEAAAEEKDKEEEKQAS >ONI05355 pep chromosome:Prunus_persica_NCBIv2:G5:471449:476829:1 gene:PRUPE_5G003500 transcript:ONI05355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPKLEQLPVKTVREQADLAFRLQAVADMEMWLCMKRALNAADRYRERFEDNRAKIAKAGKVIRDADQHAEENAAKIAELSSRLAEAEKALVSAERLGLLLEVWFGAVRLGFPMCRFVCLPLVAAEVAKDAAIRFRAVEVEAAKKEAVAEYRSSDEFTVLLDKEVMDLCDDLVY >ONI05354 pep chromosome:Prunus_persica_NCBIv2:G5:471449:476829:1 gene:PRUPE_5G003500 transcript:ONI05354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPKLEQLPVKTVREQADLAFRLQAVADMEMWLCMKRALNAADRYRERFEDNRAKIAKAGKVIRDADQHAEENAAKIAELSSRLAEAEKALVSAERLGLLLEVWFGAVRLGFPMCRFVCLPLVAAEVAKDAAIRFRAVEVEAAKKEAVAEYRSSDEFTVLLDKEVMDLCDDLVY >ONI07033 pep chromosome:Prunus_persica_NCBIv2:G5:10524767:10525212:-1 gene:PRUPE_5G096100 transcript:ONI07033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFISEEYVVKRRVEKKAAAAGGNGKSSSMASESSAKRSDEERRRKARPNHDHDVMVSSGLSDGIVFSCFSA >ONI07628 pep chromosome:Prunus_persica_NCBIv2:G5:12701224:12702250:-1 gene:PRUPE_5G132000 transcript:ONI07628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLFGGVGEAEGLVQVTTSNGGIMEFYAPITVGSITKEFPNHGIFRSHDLFWKPLSPHEDLVARQNYYLLPLTSQSGHLGSAQIVREGHVRSNSIPTCSLSAPYRMSLDYQGMMKRSYTEAFSRYNNVSFWKVKLVISPEQLLEILAQEGRTQELIESVRTVAKCGNGGSASGLGFSDQWSLSSSSRNASSKKDGILLDI >ONI07069 pep chromosome:Prunus_persica_NCBIv2:G5:10676972:10678711:1 gene:PRUPE_5G098300 transcript:ONI07069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISMSKRKSKLTRKPDPKEVCNCVIVLFKFLHRIPAAFLILFLIYLWSSSTTIISGNIVHICVSSRKLNDHYCLSAGKTQPNFEIPIPIINNSSIYLQTPNQLLMRNGENKDREEEIANAKKEVEEQLRLHRSWISENKQAECGGRGVYVYDLPSKFNKDLVGQCTDMVPWMDFCKYFKNEALGEPIPKLGNGWYQTHQYSLEPIFHQRVLKHPCRIYNENEAKLFYVPFYGGLDILRWHFKNVSNDVKDALSLELIAWLEKQKPWAKNSGKDHVVVLGKVSWDFRRKDGSWGTRLLEIDQMENPIKLLIERQPWQPNDIGIPHPTYFHPHSDDDIMAWQWKIISSYRKRLVSFAGAARPGAAESIRSILIKQCNSSADNGNQCHFLDCSSGGCDQPESVIELFMESEFCLQPPGDSPTRKSLFDSLISGCIPVLFDPFTAYYQYPWHLPEDHEKYSVFVDQEEVRQVKVNVVEMLMKISKKEKDDMRRYIVYELLPGLVYGDSTAKLEKFQDAFSIIMSSLMERVTKMDSTA >ONI05940 pep chromosome:Prunus_persica_NCBIv2:G5:3522226:3523562:1 gene:PRUPE_5G030300 transcript:ONI05940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERLKTRQCTRLNLATKTEREINLRFQESKEERFANYGINLELWSVYRGFSLLRSAFSSSILDFEISFPFSLFSGSPPPELRLTSLFIQFPPSRTEF >ONI08638 pep chromosome:Prunus_persica_NCBIv2:G5:15620228:15622365:-1 gene:PRUPE_5G190500 transcript:ONI08638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQDELLGKIPKIQKSLPKISEMENSLSELGQIWKTEKSLGELGKISKMEKSLAALKKLISEKLDLLRPVSRKHGAVRPYPSLPMVENASTTVNQGEDRSLFLMVTFIRGKYTNAIYEVKFRFGGEVDDIGARVARVAKFSGSTHLGARIFDRSQLYVFSREGWDKPCVKSFGGYIFDTKTRALDHLTPSTVQFKPHGTVVSAYGTLYFLEAKTDFVQGSALFFGKYNPDKKDWVQMPSFPFSHSFRMAVTGYAVGFGVILYTLSDLHRNFDVLAFHVGRKNWKRVEIGTCTPFRGRAVIIGKTIYALHMFQVGVIIAYSLEIKEDDEGGIEYSLVQLSELNGLDIADPPSQFDGLVTDHLVHMGNQDFVHFKTGTNEECDKVQDLCITRFQIVQEGRRHMIETLHSTVLPVKINGCNWFTLTLGFTPECGDYEPKEGKSAASMKQPKQEDDTTLDENSLMHEEEAKHEVALMHHEKANQKKPKNASGIIKNKRKRKSGWTEGLHVTKKKKVGLQVDCNVST >ONI08789 pep chromosome:Prunus_persica_NCBIv2:G5:16134337:16138781:1 gene:PRUPE_5G200800 transcript:ONI08789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRLSLVLILVSLPAILAQDVGNTKKAGNVKVVVNGILAIARVDNNFICATIDWWNHEKCDYNQCPWGSASALNLNLSHPLLAKSIQAFNQLRIRIGGSLEDQLLYDVGNLKYPCHPFRKKSHGLFGFSRGCLPMSRWDELNQFFSKTRPIVTFSLNALSGRHHKSGGVWVGDWDSSNAYDFINYTVSKGYKIDSWEFGNELSGRGVGASVGAEQYGKDLIKLKHIINQLYNKSRTKPALVAPGGFYDQAWFAKLLQASGSGIVNVITQHMYNLGAGVDPKLVSRILNPGYLDLASGTFHDLAQTVKKNGPWASIWVGESGGAYNSGGRNVSDTFVNSFWYLDQLGMSAKYNTRVYCRQSLVGGNYGLLNRTSFVPNPDYYSALLWHRLMGQGVLAVNSNASADLRIYAHCARGRAGITVVLINLSNDTDFIVNVENILDFNLGAHERNISKESYFKRSLKKTVSWVGRKALDKPVYREEYHLTPKNRNLRSKTMVLNGAPLEITKDGNIPELEPARVFANSPLTISPLSIKFVVLPYFDAHYACA >ONI08786 pep chromosome:Prunus_persica_NCBIv2:G5:16134384:16138781:1 gene:PRUPE_5G200800 transcript:ONI08786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRLSLVLILVSLPAILAQDVGNTKKAGNVKVVVNGILAIARVDNNFICATIDWWNHEKCDYNQCPWGSASALNLNLSHPLLAKSIQAFNQLRIRIGGSLEDQLLYDVGNLKYPCHPFRKKSHGLFGFSRGCLPMSRWDELNQFFSKTRPIVTFSLNALSGRHHKSGGVWVGDWDSSNAYDFINYTVSKGYKIDSWEFGNELSGRGVGASVGAEQYGKDLIKLKHIINQLYNKSRTKPALVAPGGFYDQAWFAKLLQASGSGIVNVITQHMYNLGAGVDPKLVSRILNPGYLDLASGTFHDLAQTVKKNGPWASIWVGESGGAYNSGGRNVSDTFVNSFWYLDQLGMSAKYNTRVYCRQSLVGGNYGLLNRTSFVPNPDYYSALLWHRLMGQGVLAVNSNASADLRIYAHCARGRAGITVVLINLSNDTDFIVNVENILDFNLGAHERNISKESYFKRSLKKTVSWVGRKALDKPVYREEYHLTPKNRNLRSKTMVLNGAPLEITKDGNIPELEPARVFANSPLTISPLSIKFVVLPYFDAHYACA >ONI08787 pep chromosome:Prunus_persica_NCBIv2:G5:16133915:16138781:1 gene:PRUPE_5G200800 transcript:ONI08787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRLSLVLILVSLPAILAQDVGNTKKAGNVKVVVNGILAIARVDNNFICATIDWWNHEKCDYNQCPWGSASALNLNLSHPLLAKSIQAFNQLRIRIGGSLEDQLLYDVGNLKYPCHPFRKKSHGLFGFSRGCLPMSRWDELNQFFSKTRPIVTFSLNALSGRHHKSGGVWVGDWDSSNAYDFINYTVSKGYKIDSWEFGNELSGRGVGASVGAEQYGKDLIKLKHIINQLYNKSRTKPALVAPGGFYDQAWFAKLLQASGSGIVNVITQHMYNLGAGVDPKLVSRILNPGYLDLASGTFHDLAQTVKKNGPWASIWVGESGGAYNSGGRNVSDTFVNSFWYLDQLGMSAKYNTRVYCRQSLVGGNYGLLNRTSFVPNPDYYSALLWHRLMGQGVLAVNSNASADLRIYAHCARGRAGITVVLINLSNDTDFIVNVENILDFNLGAHERNISKESYFKRSLKKTVSWVGRKALDKPVYREEYHLTPKNRNLRSKTMVLNGAPLEITKDGNIPELEPARVFANSPLTISPLSIKFVVLPYFDAHYACA >ONI08788 pep chromosome:Prunus_persica_NCBIv2:G5:16133915:16138781:1 gene:PRUPE_5G200800 transcript:ONI08788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRLSLVLILVSLPAILAQDVGNTKKAGNVKVVVNGILAIARVDNNFICATIDWWNHEKCDYNQCPWGSASALNLNLSHPLLAKSIQAFNQLRIRIGGSLEDQLLYDVGNLKYPCHPFRKKSHGLFGFSRGCLPMSRWDELNQFFSKTRPIVTFSLNALSGRHHKSGGVWVGDWDSSNAYDFINYTVSKGYKIDSWEFGNELSGRGVGASVGAEQYGKDLIKLKHIINQLYNKSRTKPALVAPGGFYDQAWFAKLLQASGSGIVNVITQHMYNLGAGVDPKLVSRILNPGYLDLASGTFHDLAQTVKKNGPWASIWVGESGGAYNSGGRNVSDTFVNSFWYLDQLGMSAKYNTRVYCRQSLVGGNYGLLNRTSFVPNPDYYSALLWHRLMGQGVLAVNSNASADLRIYAHCARGRAGITVVLINLSNDTDFIVNVENILDFNLGAHERNISKESYFKRSLKKTVSWVGRKALDKPVYREEYHLTPKNRNLRSKTMVLNGAPLEITKDGNIPELEPARVFANSPLTISPLSIKFVVLPYFDAHYACA >ONI06131 pep chromosome:Prunus_persica_NCBIv2:G5:4685768:4691068:-1 gene:PRUPE_5G042500 transcript:ONI06131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIMGPTLQGGMMSLAVKSKTKDLVKCVVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNGVDFYAVSFVKNAEVVHELKDYLKGCNADIHVIVKIESADSIPNLHSIIIASDGAMIARGDLGAELPIEEVPLLQEDIIRRCHSMKKPVIVATNMLESMIDHPTPTRAEVSDIAIAVKEGADAIMLSGETAHGKHPLKAVKVMHTAALRTESSLPISTFPPIELRANKSHMGEMFAFHSTTIANSLQTPIIVFTRTGSMAVLLSHYRPCSTIFAFTNEERIKRRLVLYHGVVPIYMQFSNDSEETFSRAIKLLVAKGLLKEGQFVTLVQSGAQPIWRRESSHHIQVRKVES >ONI06128 pep chromosome:Prunus_persica_NCBIv2:G5:4685768:4693948:-1 gene:PRUPE_5G042500 transcript:ONI06128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNVPARMSLLKPERSRATDRLASSKSLCDFTAFHCRPRRKYAQKKQSFTVKSIGIFQDSSRGHFDSHNGPVGSVGPEVRSGDVPQPILLKEGQEFNFTIKRGVSTVDTVSVNYDDFVNDVDVGDIILVDGGMMSLAVKSKTKDLVKCVVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNGVDFYAVSFVKNAEVVHELKDYLKGCNADIHVIVKIESADSIPNLHSIIIASDGAMIARGDLGAELPIEEVPLLQEDIIRRCHSMKKPVIVATNMLESMIDHPTPTRAEVSDIAIAVKEGADAIMLSGETAHGKHPLKAVKVMHTAALRTESSLPISTFPPIELRANKSHMGEMFAFHSTTIANSLQTPIIVFTRTGSMAVLLSHYRPCSTIFAFTNEERIKRRLVLYHGVVPIYMQFSNDSEETFSRAIKLLVAKGLLKEGQFVTLVQSGAQPIWRRESSHHIQVRKVES >ONI06132 pep chromosome:Prunus_persica_NCBIv2:G5:4686103:4690591:-1 gene:PRUPE_5G042500 transcript:ONI06132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYHVFLLGGMMSLAVKSKTKDLVKCVVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNGVDFYAVSFVKNAEVVHELKDYLKGCNADIHVIVKIESADSIPNLHSIIIASDGAMIARGDLGAELPIEEVPLLQEDIIRRCHSMKKPVIVATNMLESMIDHPTPTRAEVSDIAIAVKEGADAIMLSGETAHGKHPLKAVKVMHTAALRTESSLPISTFPPIELRANKSHMGEMFAFHSTTIANSLQTPIIVFTRTGSMAVLLSHYRPCSTIFAFTNEERIKRRLVLYHGVVPIYMQFSNDSEETFSRAIKLLVAKGLLKEGQFVTLVQSGAQPIWRRESSHHIQVRKVES >ONI06134 pep chromosome:Prunus_persica_NCBIv2:G5:4686612:4693948:-1 gene:PRUPE_5G042500 transcript:ONI06134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNVPARMSLLKPERSRATDRLASSKSLCDFTAFHCRPRRKYAQKKQSFTVKSIGIFQDSSRGHFDSHNGPVGSVDKADSTFELQSDNDYLMDQPKLYSDGIRKTKIVCTIGPSTSSREMIWKLAETGMNVARLNMSHGDHESHKKTIDLVKEYNSQFEDKVVAIMLDTKGPEVRSGDVPQPILLKEGQEFNFTIKRGVSTVDTVSVNYDDFVNDVDVGDIILVDGGMMSLAVKSKTKDLVKCVVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNGVDFYAVSFVKNAEVVHELKDYLKGCNADIHVIVKIESADSIPNLHSIIIASDGAMIARGDLGAELPIEEVPLLQEDIIRRCHSMKKPVIVATNMLESMIDHPTPTRAEVSDIAIAVKEGADAIMLSGETAHGKHPLKAVKVMHTAALRTESSLPISTFPPIELRANKSHMGEMFAFHSTTIANSLQTPIIVFTRTGSMAVLLSHYRPCSTIFAFTNDERVCGSNIDCTRKTMSTLYMWALYIYL >ONI06133 pep chromosome:Prunus_persica_NCBIv2:G5:4685768:4693948:-1 gene:PRUPE_5G042500 transcript:ONI06133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNVPARMSLLKPERSRATDRLASSKSLCDFTAFHCRPRRKYAQKKQSFTVKSIGIFQDSSRGHFDSHNGPVGSVDKADSTFELQSDNDYLMDQPKLYSDGIRKTKIVCTIGPSTSSREMIWKLAETGMNVARLNMSHGDHESHKKTIDLVKEYNSQFEDKVVAIMLDTKGPEVRSGDVPQPILLKEGQEFNFTIKRGVSTVDTVSVNYDDFVNDVDVGDIILVDGGMMSLAVKSKTKDLVKCVVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNGVDFYAVSFVKNAEVVHELKDYLKGCNADIHVIVKIESADSIPNLHSIIIASDGAMIARGDLGAELPIEEVPLLQEDIIRRCHSMKKPVIVATNMLESMIDHPTPTRAEVSDIAIAVKEGADAIMLSGETAHGKHPLKAVKVMHTAALRTESSLPISTFPPIELRANKSHMGEMFAFHSTTIANSLQTPIIVFTRTGSMAVLLSHYRPCSTIFAFTNDERVCGSNIDCTRKTMSTLYMWALYIYLYR >ONI06129 pep chromosome:Prunus_persica_NCBIv2:G5:4685768:4693979:-1 gene:PRUPE_5G042500 transcript:ONI06129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNVPARMSLLKPERSRATDRLASSKSLCDFTAFHCRPRRKYAQKKQSFTVKSIGIFQDSSRGHFDSHNGPVGSVDKADSTFELQSDNDYLMDQPKLYSDGIRKTKIVCTIGPSTSSREMIWKLAETGMNVARLNMSHGDHESHKKTIDLVKEYNSQFEDKVVAIMLDTKGPEVRSGDVPQPILLKEGQEFNFTIKRGVSTVDTVSVNYDDFVNDVDVGDIILVDGGMMSLAVKSKTKDLVKCVVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNGVDFYAVSFVKNAEVVHELKDYLKGCNADIHVIVKIESADSIPNLHSIIIASDGAMIARGDLGAELPIEEVPLLQEDIIRRCHSMKKPVIVATNMLESMIDHPTPTRAEVSDIAIAVKEGADAIMLSGETAHGKHPLKAVKVMHTAALRTESSLPISTFPPIELRANKSHMGEMFAFHSTTIANSLQTPIIVFTRTGSMAVLLSHYRPCSTIFAFTNEERIKRRLVLYHGVVPIYMQFSNDSEETFSRAIKLLVAKGLLKEGQFVTLVQSGAQPIWRRESSHHIQVRKVES >ONI06130 pep chromosome:Prunus_persica_NCBIv2:G5:4685768:4693948:-1 gene:PRUPE_5G042500 transcript:ONI06130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNVPARMSLLKPERSRATDRLASSKSLCDFTAFHCRPRRKYAQKKQSFTVKSIGIFQDSSRGHFDSHNGPVGSVDKADSTFELQSDNDYLMDQPKLYSDGIRKTKIVCTIGPSTSSREMIWKLAETGMNVARLNMSHGDHESHKKTIDLVKEYNSQFEDKVVAIMLDTKGPEVRSGDVPQPILLKEGQEFNFTIKRGVSTVDTVSVNYDDFVNDVDVGDIILVDGGMMSLAVKSKTKDLVKCVVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNGVDFYAVSFVKNAEVVHELKDYLKGCNADIHVIVKIESADSIPNLHSIIIASDGAMIARGDLGAELPIEEVPLLQEDIIRRCHSMKKPVIVATNMLESMIDHPTPTRAEVSDIAIAVKEGADAIMLSGETAHGKHPLKAVKVMHTAALRTESSLPISTFPPIELRANKSHMGEMFAFHSTTIANSLQTPIIVFTRTGSMAVLLSHYRPCSTIFAFTNDRERIKRRLVLYHGVVPIYMQFSNDSEETFSRAIKLLVAKGLLKEGQFVTLVQSGAQPIWRRESSHHIQVRKVES >ONI07938 pep chromosome:Prunus_persica_NCBIv2:G5:13557140:13559344:1 gene:PRUPE_5G148400 transcript:ONI07938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAARTYNIATLCLPRDMCKAEKNEMATKLGFYRSRRVAMITSKNGKFSVRTSAGGTPRSVETINGKVNGVHVGEGTLFGNKNSTELIKDSDIDAPAHSSLHGNFVEGRFVYRQTFVIRSYEIGPDKTATMETLMNLLQETALNHVTSSGLAGNGFGATREMSIRKLIWVVTRIFIQVQRYSSWGDVVEIDTWVDAAGKNGMRRDWIIRDYKTQEIITRATSTWVIMNRETRRLSKIPEQVRQEVLPFYLNRVAVATEKNDSEKIDKLTDGTAGRIRSGLAPRWSDMDANQHVNNVKYIGWILESVPINVLRDYDLTSMTLEYRRECRQSNLLESMTSATASLAEDSNCKNNSINRRPDLEYTHLLRMQADKAEIVRARTEWHLKQKHKLH >ONI08799 pep chromosome:Prunus_persica_NCBIv2:G5:16175327:16177735:-1 gene:PRUPE_5G201200 transcript:ONI08799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCANPTPSSTNHAKIPSYTNPNSNFTTKSHSQMFNPSQDSTNLTYHIPYQSNTINPNSNKRWLFPPPVFPSAVAFDPALRPPGTEPNANSGSYSWTHVVFQAQTPFVEDPNAGSQNGAIQQAEPIGHEASIKFLNESLLVPTTSNSLWNSNGTNRCDVCNLSCSSKENYEAHILGKKHQKKIRMQYNPTAAASNVLNNISLPSQTSSVGGQVIFGGSGVAAGVELETKRRKVVNGGAADDSMVVCTICNVVCNSQEVYIKHLAGKRHKAQLLAFGIKIQIKSNLFSLHGVKFVKSVVTAMTPTSNT >ONI08797 pep chromosome:Prunus_persica_NCBIv2:G5:16175010:16177758:-1 gene:PRUPE_5G201200 transcript:ONI08797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCANPTPSSTNHAKIPSYTNPNSNFTTKSHSQMFNPSQDSTNLTYHIPYQSNTINPNSNKRWLFPPPVFPSAVAFDPALRPPGTEPNANSGSYSWTHVVFQAQTPFVEDPNAGSQNGAIQQAEPIGHEASIKFLNESLLVPTTSNSLWNSNGTNRCDVCNLSCSSKENYEAHILGKKHQKKIRMQYNPTAAASNVLNNISLPSQTSSVGGQVIFGGSGVAAGVELETKRRKVVNGGAADDSMVVCTICNVVCNSQEVYIKHLAGKRHKAQASLVAPNVGSYFAALQSEVTGIWNKDPNKIKLVQSAWCEVCKVSCNSNDTYIKHLVGKKHQKNLEQLEKLKNDGSASTSNVPSAATNAIIGPMENLGAKGSQPEEDLETKKRKIISGGAAAGAVRTCTVCNVVCNSQTVFNSHLAGQKHAAMVKKQAEVGVAIRASQQITAS >ONI08798 pep chromosome:Prunus_persica_NCBIv2:G5:16175596:16177670:-1 gene:PRUPE_5G201200 transcript:ONI08798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCANPTPSSTNHAKIPSYTNPNSNFTTKSHSQMFNPSQDSTNLTYHIPYQSNTINPNSNKRWLFPPPVFPSAVAFDPALRPPGTEPNANSGSYSWTHVVFQAQTPFVEDPNAGSQNGAIQQAEPIGHEASIKFLNESLLVPTTSNSLWNSNGTNRCDVCNLSCSSKENYEAHILGKKHQKKIRMQYNPTAAASNVLNNISLPSQTSSVGGQVIFGGSGVAAGVELETKRRKVVNGGAADDSMVVCTICNVVCNSQEVYIKHLAGKRHKAQASLVAPNVGSYFAALQSEVTGIWNKDPNKIKLVQSAWCEVCKVSCNSNDTYIKHLVGKKHQKNLEQLEKLKNDGSASTSNVPSAATNAIIGPMENLGAKGSQPEEDLETKKRKIISGGAAAGAVRTCTVCNVVCNSQTVFNSHLAGQKHAAMVKKQAEVGVAIRASQQITAS >ONI08796 pep chromosome:Prunus_persica_NCBIv2:G5:16173655:16177774:-1 gene:PRUPE_5G201200 transcript:ONI08796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCANPTPSSTNHAKIPSYTNPNSNFTTKSHSQMFNPSQDSTNLTYHIPYQSNTINPNSNKRWLFPPPVFPSAVAFDPALRPPGTEPNANSGSYSWTHVVFQAQTPFVEDPNAGSQNGAIQQAEPIGHEASIKFLNESLLVPTTSNSLWNSNGTNRCDVCNLSCSSKENYEAHILGKKHQKKIRMQYNPTAAASNVLNNISLPSQTSSVGGQVIFGGSGVAAGVELETKRRKVVNGGAADDSMVVCTICNVVCNSQEVYIKHLAGKRHKAQEELKVEKLKAKEEAEDLAQEMAELRYRMTGLLEEECKRRACIEQASLHRIAELESTRIEVQIRSVSVSSERTHLKRAKLMKIVCCSPASQ >ONI06995 pep chromosome:Prunus_persica_NCBIv2:G5:10380341:10381529:1 gene:PRUPE_5G093900 transcript:ONI06995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIASLQFNSKMSLLLIRKNLSSVFPILLIIITLFVATVHATRFEVRNQCHFTVWAASRPGGGKQLLPGQTWSFDVNTGTFPAQIWGRTGCSFNEAGRGKCLTGDCGGHLKCQDFGQPPYTMAEYHPQQFDLDFLDISLVDGFNLPMEFSPTGGGDCKGIRCAADINGECPLDLRAPGGCNNPCNVFNTDQYCCNSTRCGPTSYSRFFKARCPEAYTYPLDSDATFTCPAGTNYMVLFCP >ONI08480 pep chromosome:Prunus_persica_NCBIv2:G5:15137439:15140480:-1 gene:PRUPE_5G180400 transcript:ONI08480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKAAAYYEELTRRGEGAARFKQGLGFSSASTDSENPPTRGSALPYSSSSFLSKFVKASSPKKESELQKQAQIESIQNKLKRKKSDLAEEEEEKQPPRVSHRESKHSRKRSRSRSRERHSRRRSRSRERHRDRDRERERDRDRDRDRDRERRRRRSRSGSDSDGGRRRRGRSRSRSRSPRDRRKERRSRSSSPRERDLEKSKGKMGKERNGAADYSKLIQGYDKMSAAERVKAKMKFQLAETAEKDMTKGMGSGWERFEFNKDAPLDDEEVEVAEDDAALVKHIGRSFRFSAIENKREEKIKTAHDEAMFGSSDNPPSIMIDSEVEAENDIRDSKESEPTSLLSDKVLAKKQGSWRDRIRDKRN >ONI08143 pep chromosome:Prunus_persica_NCBIv2:G5:14096998:14098629:-1 gene:PRUPE_5G159300 transcript:ONI08143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYTTLAGPPELIRDRFVVANNFENEAAAAAATTTMRPPNLFPIYEILYQLLEDGDQHRRTEPLAKMINKAVERYEHDPDYHLLYDQVMDLFVEHLKSDIQILKRKWKQSDDINDDAQVSDAANSCLSRNSQDVHIIRSVLLWETIARKVFPRESYPEYQHLEEADYISKIRDRLRKEVLVPLDKAERSQCSNRSRKAGADETYLKEVKADKCKIEADALLPHKILAFVDDPNLQKVAELQWKTMVEDMKRQGKNGKQTLSNCLAVYDDNSEFHSRHGIRMDASVALTLLMSELNEEPWKGKVINFSESPQLHFIQGNNLMNKCEFVSNMHKDQNLDFQKVFDLILEVAVNGNLKPEQMINKVFVLTEYKHFEDVSNNSWKTDYEAIQSKFKEKGYGTAVPHIVFWRFESLDHESRPVMPSTEPGVTLLSGLSSNLIKLFLENGGEIGPDQFMESAISSKKFQKLVVVD >ONI08201 pep chromosome:Prunus_persica_NCBIv2:G5:14294374:14296467:-1 gene:PRUPE_5G163800 transcript:ONI08201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSFFNQMWQHLYSNLFLLPLLFLSIFILFALTRSSSSSGKNQKLKLPPSPPRLPWIGNLHQLGSFPHRSLRVLSKKYGDVMLLHFGKVPTLIVSSAEMAKDVMKTQDIVFCSRPQTTAPSILFYDGHDITFSPYGEYWKQVRRICVLELLSLKRVHQFQYARVEEVAELVSKIRKASASSNGAPINIGELLVSTSNNIICRCILGKKFDDKEDNWFGETTTELMTQLMSFSFGDFFPSLKWIDRARGYLAHLKSIWLEFDKFFDKLIDEHKAVQKEGNPRKKDIVDILLDVQKDGSLDFELTTSNVKAILQDMFVGGSDTSWTAAIWLMSELSQNPRVMKKAQEEVRRVAGKRGYVEESDIKEMKYMTCVIKENLRLHPPAPLLLPREAMSDVKLGGYDVPAKTQVFVNAYAIQRDPKVWDKPDEFMPERFEENSIGFIGQEFELIPFGAGRRVCPGLAFGVASAEYVLANILFWFDWKLPSGGSKLAETLDMSEVYGLTVYKKTPLYLIPTPYSP >ONI07860 pep chromosome:Prunus_persica_NCBIv2:G5:13261726:13267498:1 gene:PRUPE_5G143300 transcript:ONI07860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLVQSTNIPGVVAGRRLGQSKGSGNAKRTVKMCCTLRAPGLRISSFSGLRSVNALDIMAKPGQDFYSKMGVAITSRRKASRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIATGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYEIHHKLRYTDEALVSAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKERRQITKEKDEAVRSQDFEKAGELRDREKDLSAQISAVVDKGKEMSKAESEAGDVGPLVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHTRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLRVKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVTVLNGSSGSPESLPEAIPV >ONI07861 pep chromosome:Prunus_persica_NCBIv2:G5:13262134:13267498:1 gene:PRUPE_5G143300 transcript:ONI07861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLVQSTNIPGVVAGRRLGQSKGSGNAKRTVKMCCTLRAPGLRISSFSGLRSVNALDIMAKPGQDFYSKMGVAITSRRKASRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIATGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYEIHHKLRYTDEALVSAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKERRQITKEKDEAVRSQDFEKAGELRDREKDLSAQISAVVDKGKEMSKAESEAGDVGPLVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHTRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLRVKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVTVLNGSSGSPESLPEAIPV >ONI07082 pep chromosome:Prunus_persica_NCBIv2:G5:10732185:10739466:1 gene:PRUPE_5G099200 transcript:ONI07082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSKLSVCCWGSEITEPVLETHSVENEEKNELDLPAFHEYTVEQLRTATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEARAVGQLRNIRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWETQPMKWAMRLRVALYLAQALEYCTSKGRALYHDLNAYRIVFDDDGNPRLSCFGMMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDEGTELVRLASRCLQYEPRERPNPKSLVAALVPLQKDTEVASHVLMGIPHGAAALPNLSPLGEACVRKDLTAIHEILESIGYKDDEGAATELSFQMWTNQMQETLNSKKKGDVAFSHKDFRAAIECYTQFIDVGTMVSPTVFARRSLSYVMSDMPQEALNDASQAQVISPVWHIASYLQAAALFALRRDDEAQVALKEGCVLESKKNATA >ONI07084 pep chromosome:Prunus_persica_NCBIv2:G5:10731240:10739466:1 gene:PRUPE_5G099200 transcript:ONI07084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSKLSVCCWGSEITEPVLETHSVENEEKNELDLPAFHEYTVEQLRTATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEARAVGQLRNIRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWETQPMKWAMRLRVALYLAQALEYCTSKGRALYHDLNAYRIVFDDDGNPRLSCFGMMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDEGTELVRLASRCLQYEPRERPNPKSLVAALVPLQKDTEVASHVLMGIPHGAAALPNLSPLGEACVRKDLTAIHEILESIGYKDDEGAATELSFQMWTNQMQETLNSKKKGDVAFSHKDFRAAIECYTQFIDVGTMVSPTVFARRSLSYVMSDMPQEALNDASQAQVISPVWHIASYLQAAALFALRRDDEAQVALKEGCVLESKKNATA >ONI07083 pep chromosome:Prunus_persica_NCBIv2:G5:10731240:10739466:1 gene:PRUPE_5G099200 transcript:ONI07083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSKLSVCCWGSEITEPVLETHSVENEEKNELDLPAFHEYTVEQLRTATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEARAVGQLRNIRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWETQPMKWAMRLRVALYLAQALEYCTSKGRALYHDLNAYRIVFDDDGNPRLSCFGMMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDEGTELVRLASRCLQYEPRERPNPKSLVAALVPLQKDTEVASHVLMGIPHGAAALPNLSPLGEACVRKDLTAIHEILESIGYKDDEGAATELSFQMWTNQMQETLNSKKKGDVAFSHKDFRAAIECYTQFIDVGTMVSPTVFARRSLSYVMSDMPQEALNDASQAQVISPVWHIASYLQAAALFALRRDDEAQVALKEGCVLESKKNATA >ONI07085 pep chromosome:Prunus_persica_NCBIv2:G5:10731240:10739466:1 gene:PRUPE_5G099200 transcript:ONI07085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSKLSVCCWGSEITEPVLETHSVENEEKNELDLPAFHEYTVEQLRTATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEARAVGQLRNIRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWETQPMKWAMRLRVALYLAQALEYCTSKGRALYHDLNAYRIVFDDDGNPRLSCFGMMKNSRDGKSYSTNLAFTPPEYLRTGRVTAESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDEGTELVRLASRCLQYEPRERPNPKSLVAALVPLQKDTEVASHVLMGIPHGAAALPNLSPLGEACVRKDLTAIHEILESIGYKDDEGAATELSFQMWTNQMQETLNSKKKGDVAFSHKDFRAAIECYTQFIDVGTMVSPTVFARRSLSYVMSDMPQEALNDASQAQVISPVWHIASYLQAAALFALRRDDEAQVALKEGCVLESKKNATA >ONI08580 pep chromosome:Prunus_persica_NCBIv2:G5:15439722:15441048:-1 gene:PRUPE_5G186800 transcript:ONI08580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVRRSSTRGMGERSSSSTRLHQKILPSSGWTEDSNGHYLLVDLPDFKKEEVKLVVNVSAGHLTVSGQRQVNEKKSEYFEQNFTIPPNSDVDKITGKFDGEILYVTVPKVVAVVEEKIEAEPEIENQNVDETAATEPAKPENKNVEGAAAENIHLTRPKNDGQHSNKDDGASKKVSGINDRIFFSLENIRKWDEHEDGILKTAMEMLSKNKGVITAVVAFSLGVLVARQTFQ >ONI07034 pep chromosome:Prunus_persica_NCBIv2:G5:10530177:10531197:1 gene:PRUPE_5G096200 transcript:ONI07034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYFVHILHLTCKFLDHTVVRESAYPAVKKDITGGGSTERDALHAQIETEKRLALIKAWEESEKTKAENKAYRRMSTVELWEDSKKTSVEAELKKIEEKFERNKAEYAEKMKNKVTEIHKAGDERRAFIEAKQREQCPRVEETAAKFRSTGIALKKLLLGCFISQHSAVM >ONI07776 pep chromosome:Prunus_persica_NCBIv2:G5:13041762:13048375:1 gene:PRUPE_5G139000 transcript:ONI07776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAAATGWYRGRVKAVPSGDSLVIMALTANKAGPPPERTITLSSLMAPKLARRTTKDEPFAWDSREFLRKLCLGKEVAFKVDYIVQQIGREFGSVFLGDKNVAMLIVAEGWAKVKEVKQNQQKGEASPYIAELLRLQEQANTQGLGLWSKVPGAADTSIRTLPPSAIGDPSNLDAMSLLAANKGRPMEGIVEQVRDGSTVRVYLLPDFQFVQVFVAGTQAPSVGRRPIASEVVAEPETTSDKTNGDVSTEPRAPLTSAQRIVASTTSSVEIAADPFALEAKHFTETRVLHRDVRIVLEGVDKFSNLIGSVYYPDGDSAKDLALELVENGYAKYVEWSANMMEEDAKRRLKAAELEAKKSKLRIWTNYVPPVTNSKAIHDQNFTGKVVEVVSGDCVIVADDSVPFGSPLAERRVNLSSIRCPKMGNPRREEKPAPYAREAKEFLRTRLIGLQVNVQMEYSRKITADGAAVSTGPADSRVMDFGSVFLVKAEGDDAPAPASSAPGSQPAGVNVAELVVARGFGTVIRHRDFEERSSYYDALLSAESRAIAGKKGIHSAKDPPVMHITDLMQASAKKARDFFPFLQKRRKIPAVVEYVFSGHRFKLLIPKETCSIAFAFSGVRCSGRGEPYSDEAIALMRRRIMQRDVEIEVETVDRTGTFLGSLWESKSKTNVAIALVEAGLAKFQNSFGGEIPDGHLLEQAEQSAKRQKLKIWENYVEGEEVSNGSAVDNNKQKEVLKVVVTEVLGSGGKFYVQTAGDQKIASIQQQLASLSIQEAPVIGAFNPKKGDIVLAQFSADNSWNRAMIVNAPRGAVESPKDKFEVFYIDYGNQEVVPYSELRPLDPSVSSAPGLAQLCSLAYVKVPSLEEDFGQEAAEYLSEHTLNSSMEFRAMVEERDLSGGKVKGQGTGPVLVVTLVAVDAEISVNAAMLQEGLARLEKQKKRETKERKTAIENLEKFQEEARADRRGMWRYGDIQSDDEDIAPPVRKAAGKR >ONI07777 pep chromosome:Prunus_persica_NCBIv2:G5:13041871:13048164:1 gene:PRUPE_5G139000 transcript:ONI07777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAAATGWYRGRVKAVPSGDSLVIMALTANKAGPPPERTITLSSLMAPKLARRTTKDEPFAWDSREFLRKLCLGKEVAFKVDYIVQQIGREFGSVFLGDKNVAMLIVAEGWAKVKEVKQNQQKGEASPYIAELLRLQEQANTQGLGLWSKVPGAADTSIRTLPPSAIGDPSNLDAMSLLAANKGRPMEGIVEQVRDGSTVRVYLLPDFQFVQVFVAGTQAPSVGRRPIASEVVAEPETTSDKTNGDVSTEPRAPLTSAQRIVASTTSSVEIAADPFALEAKHFTETRVLHRDVRIVLEGVDKFSNLIGSVYYPDGDSAKDLALELVENGYAKYVEWSANMMEEDAKRRLKAAELEAKKSKLRIWTNYVPPVTNSKAIHDQNFTGKVVEVVSGDCVIVADDSVPFGSPLAERRVNLSSIRCPKMGNPRREEKPAPYAREAKEFLRTRLIGLQVNVQMEYSRKITADGAAVSTGPADSRVMDFGSVFLVKAEGDDAPAPASSAPGSQPAGVNVAELVVARGFGTVIRHRDFEERSSYYDALLSAESRAIAGKKGIHSAKDPPVMHITDLMQASAKKARDFFPFLQKRRKIPAVVEYVFSGHRFKLLIPKETCSIAFAFSGVRCSGRGEPYSDEAIALMRRRIMQRDVEIEVETVDRTGTFLGSLWESKSKTNVAIALVEAGLAKFQNSFGGEIPDGHLLEQAEQSAKRQKLKIWENYVEGEEVSNGSAVDNNKQKEVLKVVVTEVLGSGGKFYVQTAGDQKIASIQQQLASLSIQEAPVIGAFNPKKGDIVLAQFSADNSWNRAMIVNAPRGAVESPKDKFEVFYIDYGNQEVVPYSELRPLDPSVSSAPGLAQLCSLAYVKVPSLEEDFGQEAAEYLSEHTLNSSMEFRAMVEERDLSGGKVKGQGTGPVLVVTLVAVDAEISVNAAMLQEGLARLEKQKKRETKERKTAIENLEKFQEEARADRRGMWRYGDIQSDDEDIAPPVRKAAGKR >ONI06292 pep chromosome:Prunus_persica_NCBIv2:G5:5504773:5505358:-1 gene:PRUPE_5G051300 transcript:ONI06292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEDRLFNTVDAQVLKEGSETEIQVVANLARRCLNLNGRNRPTMREVTSELEAVQKSRKPSISAQQNSEGVDFVEDDSVRHWDVESLQEVSSSF >ONI07385 pep chromosome:Prunus_persica_NCBIv2:G5:11857137:11857747:-1 gene:PRUPE_5G116700 transcript:ONI07385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYAKLEKATKNFKEELGRGASGVVYKGVLADKRVVAVKKLADIYKGEVFWAEVSTIVKVNHMNLYVESKPLDKHLFPPNFLGWKERFKIALRIAKGLSYLHHECLEWVIHCDEDRNIRPRDLVVQMLLEYEEEPNIQM >ONI06936 pep chromosome:Prunus_persica_NCBIv2:G5:10052497:10053666:-1 gene:PRUPE_5G090000 transcript:ONI06936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMFSAQLSDSPDQPESSSFSDASVTTLPASSSDENVILASSRPKKRAGRRVFKETRHPVYRGVRRRNNNKWVCELREPNNKKSRIWLGTYPTAEMAARAHDVAALAFRGKLACINFADSAWRLPLPASMDTMDIRRAAAEAAEGFRPAEFGGLSSGSSDEKEMNLSVDMEKNSSLCLFYLDEEEMFDMPRLIDNMAQGLLLSPPQCSAGYLNWDDVETEADAKLWSFSI >ONI05853 pep chromosome:Prunus_persica_NCBIv2:G5:3037812:3042890:-1 gene:PRUPE_5G026700 transcript:ONI05853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEDDPYRDEDGEPLMDYDDFGAGRDPSPEPHQDDGLEDNDDLRDRDQSQTPVYDADPSSLKSKPRKRLIKKSHVGKLPVSSDLVDDDGEDGDEGSGKRLKKEKRHKGEKKGPKFPRRSFSDKAATDGEVKEMWDTIAGGDSEDDQEGVRTFDDDNFIDDTGVHPADRYGSDNERSPSHHPQAEEGEEDDEIKELFKMGKKRKKNEKSPAEIALLVENVMAELEVTAEEDAEFNRQGKPAINKLKKLPLLTEVLSKKQLQQEFLDHGVLTLLKNWLEPLPDGSLPNINIRAAILKILTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFNKSTRFEDMRNIDDDRVPFRRPPVKKPVNNGTGMESRDGDLDLDEFSRERKSGQSSSRQHASRPEATPMDFVVRPQSKIDPDEIRARAKQAIQDQRRMKMNRKLQQLKAPKKKQLQATKLSVEGRGMVKYL >ONI05854 pep chromosome:Prunus_persica_NCBIv2:G5:3037360:3042972:-1 gene:PRUPE_5G026700 transcript:ONI05854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEDDPYRDEDGEPLMDYDDFGAGRDPSPEPHQDDGLEDNDDLRDRDQSQTPVYDADPSSLKSKPRKRLIKKSHVGKLPVSSDLVDDDGEDGDEGSGKRLKKEKRHKGEKKGPKFPRRSFSDKAATDGEVKEMWDTIAGGDSEDDQEGVRTFDDDNFIDDTGVHPADRYGSDNERSPSHHPQAEEGEEDDEIKELFKMGKKRKKNEKSPAEIALLVENVMAELEVTAEEDAEFNRQGKPAINKLKKLPLLTEVLSKKQLQQEFLDHGVLTLLKNWLEPLPDGSLPNINIRAAILKILTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFNKSTRFEDMRNIDDDRVPFRRPPVKKPVNNGTGMESRDGDLDLDEFSRERKSGQSSSRQHASRPEATPMDFVVRPQSKIDPDEIRARAKQAIQDQRRMKMNRKLQQLKAPKKKQLQATKLSVEGRGMVKYL >ONI06240 pep chromosome:Prunus_persica_NCBIv2:G5:5205820:5207913:1 gene:PRUPE_5G049300 transcript:ONI06240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKVNKIKQRRRKDKQNNDTEGDDKAYFRWNIQMERSLADILREERQIGHKGDGGWKAVAYNTAATILSAQFDIEVSADNIRNRVKTWKRFMV >ONI05323 pep chromosome:Prunus_persica_NCBIv2:G5:290465:291133:-1 gene:PRUPE_5G001600 transcript:ONI05323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVSSVIRSSHNEELLLNSPTAKVISINGSLREYPVPVIVSQVLEAGQTASSSSSSSSSFLCNSDRLYYDNYIPVLDSEDELEADQIYFILPRSKLEHRLSATDMAALAVRASLAFQDASSSSSSYKTKEKKKDLHPRRNYKKARVSPVLINYANSDMDRDDFNEITIGDSAYKGQMSQKQQISRSKSVKKLQRYTSKRAKMAVRSFRLRLTTIDEGSVL >ONI05967 pep chromosome:Prunus_persica_NCBIv2:G5:3628181:3636416:1 gene:PRUPE_5G031600 transcript:ONI05967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPDTFSGNGTTHEAEGIMLKEMKKMKEEEEEVNAKNNSKDKLRKVSLLKLFSFADSYDYFLMAIGSVGACVHGASVPVFFIFFGKLINIIGMAYLFPKEASSKVAKYSLDFVYLSVAILFSSWTEVACWMHTGERQAAKMRMAYLRAMLNQDISLFDTEASTGEVISAITSDIIVVQDALSEKVGNFMHYISRFLAGFIIGFVRVWQISLVTLSIVPLIALAGGVYAYVTIGLIARVRKSYVKAGEIAEEVIGNVRTVQAFAAEEKAVREYKTALLNTYKYGRKAGLAKGLGLGSMHCSLFLSWSLLVWFTSIVVHKGIANGGESFTTMLNVVIAGLSLGQAAPDISAFIRAKAAAYPIFEMIERNTISRSSSKNGKKLNKIEGHIQFKDICFSYPSRPDVTIFNKLNLDIPAGKIVALVGGSGSGKSTVISLIERFYEPPAGQILLDGNNIGELDLKWLRQQIGLVNQEPALFATSIRENILYGKSDATFDEITRAAKLSEALSFINNLPERFETQVGERGIQLSGGQKQRIAIARAIVKNPSILLLDEATSALDAESEKSVQEALDRAMVGRTTVVVAHRLSTVRNADVIAVVQEGKIVETGSHEELISNPNGVYAVLVQLQETASLQRHPSLDPHLGRPLSIRYSRELSRTTTSFGASFRSDKESLGRAGADGIETVKSRHVSAGRLYSMVGPDWYYGVIGTIGALIAGAQMPLFALGVSQALVSFYMDWDTTCREIKKISLLFCGAAVLTVIVHAIEHLCFGIMGERLTLRVREKMFSAILRNEIGWFDDTNNTSSMLSSRLESDATLLRTIVVDRSTILLQNVGLVVASFIIAFILNWRITLVVLATYPLIISGHISEKLFMQGYGGNLSKAYLKANMLAGEAVSNMRTVAAFCSEEKVIDLYSRELVEPSRRSFTRGQIAGIFYGVSQFFIFSSYGLALWYGSVLMGKELASFKSVMKSFMVLIVTALAMGETLALAPDLLKGNQMAASVFEVLDHRTEVLGEIGEELMKVEGTIELRSVHFSYPSRPDVLLFRDFSLKVRSGKSMALVGQSGSGKSSVLSLILRFYDPTTGKVMIDGKDIKKLKIRSLRKHIGLVQQEPALFATSIYENILYGKDGSSEAEVIEAAKLANAHSFISALPEGYSTKVGERGVQLSGGQRQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMKNRTTVLVAHRLSTIQNADEISVIQDGKIVEQGSHSSLIENRKGAYFKLINIQQQNTQQ >ONI08600 pep chromosome:Prunus_persica_NCBIv2:G5:15498606:15499818:1 gene:PRUPE_5G188100 transcript:ONI08600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDLGYEARPLDFPWLMLPDNHHHNAGNDDEAYHNQDLKCFFSLYTHQIHCLELPEAYERTVCGSSSGWLILRHETTSAVTLLNPLNRAQIALPQLSSSPFYDQDPLPVLHKAILSSDPCRYPFDYKVLAIFGQKRRLICYKARDRTWTMIQDAGVHYEDIISMGNELLATDEVGRLVSCNLDGDWFWFPPNVEEISDPFFFKGGKVYLVGIEGELVMLIRFVQENSSFGRETYDFEVYRYDSMESIWEPISNLGSWTILLGHNQSTAIEARQFKGLIKPNCIYFTDDSLEEDSLLVGHDSGVFSMEDGKVEPLRCISHDFMYVWPRPVWYMPSFA >ONI07735 pep chromosome:Prunus_persica_NCBIv2:G5:12916784:12919060:1 gene:PRUPE_5G136500 transcript:ONI07735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVQLIYGGSNILIKFSLAEGLNPIVFVVYRHVMAMVLVGPFAYVLERKQRPSLSFSVAAKIFMLALFGTTIHLNVYYAGLAYTSPTVACALSNVIPSLTFLMAVLLGLEKLKIRTARGQAKVAGTLFCIGGSLVFTFWKGGYLFRGFVKRPLINISVAEMRHVKENWIKGALLILTSHIAWSAWLILQAVVSKVYTARLSLTTMICFFASLQSSFLALFFARNPISWRLEWNLQLLTIVYCGVVISALAYYLQTWCISYRGPVFAAMFSPLQVVIVALFSALAFAERLHFGSLIGTFLIIVGLYCVLWGKRKDNLVAEQTENGKGVLEDIKVLENDISVTNPVARERT >ONI07736 pep chromosome:Prunus_persica_NCBIv2:G5:12916784:12918439:1 gene:PRUPE_5G136500 transcript:ONI07736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVQLIYGGSNILIKFSLAEGLNPIVFVVYRHVMAMVLVGPFAYVLERKQRPSLSFSVAAKIFMLALFGTTIHLNVYYAGLAYTSPTVACALSNVIPSLTFLMAVLLGLEKLKIRTARGQAKVAGTLFCIGGSLVFTFWKGGYLFRGFVKRPLINISVAEMRHVKENWIKGALLILTSHIAWSAWLILQAVVSKVYTARLSLTTMICFFASLQSSFLALFFARNPISWRLEWNLQLLTIVYCGVVISALAYYLQTWCISYRGPVFAAMFSPLQVVIVALFSALAFAERLHFGSGGVQLDRNVSHYCGSLLCAVGKEERQSCC >ONI07637 pep chromosome:Prunus_persica_NCBIv2:G5:12732111:12740121:1 gene:PRUPE_5G132600 transcript:ONI07637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFFYSKQWWYSSWKKYVEQGTGERLNDEWYSESQQMDLLSSKIVARPGPIDNSDIVVNESEGNDLQLNRMLVEERDYVLVSQEVWEKLSDWYKGGPALPRKLISQGDVHKNLMVEVYPLCLKFIDSRDNSQTVIRLSKKASVQELYEKVCTLRGIEQQKAHIWDYFNMQKYTLLDASNQTLEQLNLQMDQEILLEVQVDGNHSSQFSMDPTGNELALVPIEPSRSSMTIAGGPTLSNGHSMDYSYNLPQGSALSSSASADTDDKCYVYNPMKKGDRGGLAGLQNLGNTCFMNSSIQCLVHTPPLVEYFLQDYSDEINTENPLGMHGELALAFGELLRKLWSSGRTTIAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKNKPYIETKDSDGRPDEEVADECWKNHRARNDSLIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTVTRSMTVTVVYGDGRGLPMPYTLTLIKDRCIKDLIAALGTACCLKSDESLMLAEVYEHRIYRYLDNLSEPLSSIKNDDRIVAYRYSKEEAAFKTRLEIIYRWQEKSTSDSLKGQRKLFGTPLVAYLGEDKLSGVDIDRAVSRILSPLKRAVKLNSIKENGLVSQGIDEASNSHNSRPMDNIELEETSSGELSFHLFLADERGSSCKPIEKYMHISSGKPIKIFLDWTNQEDEVYDASYLKDLPEVHKNGFTAKKTRQEAISLFTCMEAFLKEEPLGPDDMWYCPKCKEHRQATKKLDLWMLPEVLVFHLKRFSYSRYSKNKLDTLVTFPIHNLDLSQYVMNKDGKPHLYELYAISNHYGGLGGGHYTAYAKLIDENRWYHFDDSHVSPVNETDIKTSAAYVLFYRRVKSGQKIGEAESSGTHMES >ONI07638 pep chromosome:Prunus_persica_NCBIv2:G5:12732300:12740121:1 gene:PRUPE_5G132600 transcript:ONI07638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSSKIVARPGPIDNSDIVVNESEGNDLQLNRMLVEERDYVLVSQEVWEKLSDWYKGGPALPRKLISQGDVHKNLMVEVYPLCLKFIDSRDNSQTVIRLSKKASVQELYEKVCTLRGIEQQKAHIWDYFNMQKYTLLDASNQTLEQLNLQMDQEILLEVQVDGNHSSQFSMDPTGNELALVPIEPSRSSMTIAGGPTLSNGHSMDYSYNLPQGSALSSSASADTDDKCYVYNPMKKGDRGGLAGLQNLGNTCFMNSSIQCLVHTPPLVEYFLQDYSDEINTENPLGMHGELALAFGELLRKLWSSGRTTIAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKNKPYIETKDSDGRPDEEVADECWKNHRARNDSLIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTVTRSMTVTVVYGDGRGLPMPYTLTLIKDRCIKDLIAALGTACCLKSDESLMLAEVYEHRIYRYLDNLSEPLSSIKNDDRIVAYRYSKEEAAFKTRLEIIYRWQEKSTSDSLKGQRKLFGTPLVAYLGEDKLSGVDIDRAVSRILSPLKRAVKLNSIKENGLVSQGIDEASNSHNSRPMDNIELEETSSGELSFHLFLADERGSSCKPIEKYMHISSGKPIKIFLDWTNQEDEVYDASYLKDLPEVHKNGFTAKKTRQEAISLFTCMEAFLKEEPLGPDDMWYCPKCKEHRQATKKLDLWMLPEVLVFHLKRFSYSRYSKNKLDTLVTFPIHNLDLSQYVMNKDGKPHLYELYAISNHYGGLGGGHYTAYAKLIDENRWYHFDDSHVSPVNETDIKTSAAYVLFYRRVKSGQKIGEAESSGTHMES >ONI07636 pep chromosome:Prunus_persica_NCBIv2:G5:12731243:12740121:1 gene:PRUPE_5G132600 transcript:ONI07636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIADSGFMMENGASCLPHTPEEEKRIIDELARKSEANVKEGNLFYVVSNRWYSSWKKYVEQGTGERLNDEWYSESQQMDLLSSKIVARPGPIDNSDIVVNESEGNDLQLNRMLVEERDYVLVSQEVWEKLSDWYKGGPALPRKLISQGDVHKNLMVEVYPLCLKFIDSRDNSQTVIRLSKKASVQELYEKVCTLRGIEQQKAHIWDYFNMQKYTLLDASNQTLEQLNLQMDQEILLEVQVDGNHSSQFSMDPTGNELALVPIEPSRSSMTIAGGPTLSNGHSMDYSYNLPQGSALSSSASADTDDKCYVYNPMKKGDRGGLAGLQNLGNTCFMNSSIQCLVHTPPLVEYFLQDYSDEINTENPLGMHGELALAFGELLRKLWSSGRTTIAPRAFKGKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKNKPYIETKDSDGRPDEEVADECWKNHRARNDSLIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTVTRSMTVTVVYGDGRGLPMPYTLTLIKDRCIKDLIAALGTACCLKSDESLMLAEVYEHRIYRYLDNLSEPLSSIKNDDRIVAYRYSKEEAAFKTRLEIIYRWQEKSTSDSLKGQRKLFGTPLVAYLGEDKLSGVDIDRAVSRILSPLKRAVKLNSIKENGLVSQGIDEASNSHNSRPMDNIELEETSSGELSFHLFLADERGSSCKPIEKYMHISSGKPIKIFLDWTNQEDEVYDASYLKDLPEVHKNGFTAKKTRQEAISLFTCMEAFLKEEPLGPDDMWYCPKCKEHRQATKKLDLWMLPEVLVFHLKRFSYSRYSKNKLDTLVTFPIHNLDLSQYVMNKDGKPHLYELYAISNHYGGLGGGHYTAYAKLIDENRWYHFDDSHVSPVNETDIKTSAAYVLFYRRVKSGQKIGEAESSGTHMES >ONI09456 pep chromosome:Prunus_persica_NCBIv2:G5:18064135:18068891:-1 gene:PRUPE_5G239500 transcript:ONI09456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSSALALRLPFASPITTTTTTTTKLTYPIRSSRWSTTSARLVGCAHAAISGKGQGTFDPELRSVLELATDSELYELERILFGPSYFSPLLKSIMSRTNVDYAMIEEDLEEREEFLSTLESRFLFLAADARSTLRGWRPSYRNVLLAVRKELNIPCSSKLSTEDLEAEIFLHLLRDYSSEESGSFQGLLEFSEPSDAQHSLQLGLSQWKVQALTALKVSATELRSMFLKGGGIFTLAKIYQLLARKLSGKVFLEAANYQLKRDFVRKGGQLAAINLESRAAFLAAKQGFAGATSRYLGLRSMMALLGPVLWGTFLADVVIQMLGTDYARILRAIYAFAQIRITRTYRLPPDY >ONI09455 pep chromosome:Prunus_persica_NCBIv2:G5:18063558:18069069:-1 gene:PRUPE_5G239500 transcript:ONI09455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSSALALRLPFASPITTTTTTTTKLTYPIRSSRWSTTSARLVGCAHAAISGKGQGTFDPELRSVLELATDSELYELERILFGPSYFSPLLKSIMSRTNVDYAMIEEDLEEREEFLSTLESRFLFLAADARSTLRGWRPSYRNVLLAVRKELNIPCSSKLSTEDLEAEIFLHLLRDYSSEESGSFQGLLEFSEPSDAQHSLQLGLSQWKVQALTALKVSATELRSMFLKLARKLSGKVFLEAANYQLKRDFVRKGGQLAAINLESRAAFLAAKQGFAGATSRYLGLRSMMALLGPVLWGTFLADVVIQMLGTDYARILRAIYAFAQIRITRTYRLPPDY >ONI05633 pep chromosome:Prunus_persica_NCBIv2:G5:1726637:1732136:1 gene:PRUPE_5G015900 transcript:ONI05633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENKLVLAPMVRIGTLPFRLLAAEYGADITYGEEIIDHKLVKCDRRLNEKIGSIDFVEKGTGSVVFRTCDEEKDRVVFQMGTSDAVRALMAAQMVCKDVAAVDINMGCPKSFSVSGGMGAALLTKPDLIHDILTTLRRNMSIPVTCKIRLLKSSQDTVELARRIEKTGVSALAVHGRKVPDRPRDPAKWSEIADVVASLSIPVIANGDVFEYDDFQRIKVATGTSSVMVARGALWNASIFCPKGKLPWEDVKREYVRKAALWRGEEL >ONI05632 pep chromosome:Prunus_persica_NCBIv2:G5:1726637:1732136:1 gene:PRUPE_5G015900 transcript:ONI05632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENKLVLAPMVRIGTLPFRLLAAEYGADITYGEEIIDHKLVKCDRRLNEKIGSIDFVEKGTGSVVFRTCDEEKDRVVFQMGTSDAVRALMAAQMVCKDVAAVDINMGCPKSFSVSGGMGAALLTKPDLIHDILTTLRRNMSIPVTCKIRLLKSSQDTVELARRIEKTGVSALAVHGRKVPDRPRDPAKWSEIADVVASLSIPVIANGDVFEYDDFQRIKVATGTSSVMVARGALWNASIFCPKGKLPWEDVKREYVRKSILWDNDIKSTKHTLKEMIMHHSSLELPEGKAVTKSDSLVDLARLYGEEKSYDFFVKN >ONI05631 pep chromosome:Prunus_persica_NCBIv2:G5:1726637:1732136:1 gene:PRUPE_5G015900 transcript:ONI05631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYENKLVLAPMVRIGTLPFRLLAAEYGADITYGEEIIDHKLVKCDRRLNEKIGSIDFVEKGTGSVVFRTCDEEKDRVVFQMGTSDAVRALMAAQMVCKDVAAVDINMGCPKSFSVSGGMGAALLTKPDLIHDILTTLRRNMSIPVTCKIRLLKSSQDTVELARRIEKTGVSALAVHGRKVPDRPRDPAKWSEIADVVASLSIPVIANGDVFEYDDFQRIKVATGTSSVMVARGALWNASIFCPKGKLPWEDVKREYVRKSILWDNDIKSTKHTLKEMIMHHSSLELPEGKAVTKSDSLVDLARLYGEEKSYDFFVKN >ONI07664 pep chromosome:Prunus_persica_NCBIv2:G5:12807758:12810169:1 gene:PRUPE_5G134300 transcript:ONI07664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVCFCSLIKMEVQNSGHAHIIEVSGDVPAIETSLGVRKICGEGPCGFSDAKTSSKDAQERSASMRKLLIAVILCVIFMSVEVVGGIKSNSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAINRLVNKTGEVKGSLMFVVSAFGLVVNIAMALLLGHNHGHGHGHGHGHGHGHGDHHHSNEDHDGTHSHGITVTTHAHHHVVHSNHDHTEPLLKPGCEGEKKPEVKKTKQQNINVQGAYLHVLGDSIQSIGVMIGGGIIWYKPEWKIIDLICTLVFSLIVLATTIRMLRNILEVLMESTPREIDATKLEKGLCEMDEVVAIHELHIWAITVGKVLMACHVIVKSDANADMVLEKVIDYIKREYNISHITIQIERQ >ONI07666 pep chromosome:Prunus_persica_NCBIv2:G5:12807556:12810169:1 gene:PRUPE_5G134300 transcript:ONI07666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNSGHAHIIEVSGDVPAIETSLGVRKICGEGPCGFSDAKTSSKDAQERSASMRKLLIAVILCVIFMSVEVVGGIKSNSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAINRLVNKTGEVKGSLMFVVSAFGLVVNIAMALLLGHNHGHGHGHGHGHGHGHGDHHHSNEDHDGTHSHGITVTTHAHHHVVHSNHDHTEPLLKPGCEGEKKPEVKKTKQQNINVQGAYLHVLGDSIQSIGVMIGGGIIWYKPEWKIIDLICTLVFSLIVLATTIRMLRNILEVLMESTPREIDATKLEKGLCEMDEVVAIHELHIWAITVGKVLMACHVIVKSDANADMVLEKVIDYIKREYNISHITIQIERQ >ONI07665 pep chromosome:Prunus_persica_NCBIv2:G5:12807170:12810169:1 gene:PRUPE_5G134300 transcript:ONI07665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNSGHAHIIEVSGDVPAIETSLGVRKICGEGPCGFSDAKTSSKDAQERSASMRKLLIAVILCVIFMSVEVVGGIKSNSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAINRLVNKTGEVKGSLMFVVSAFGLVVNIAMALLLGHNHGHGHGHGHGHGHGHGDHHHSNEDHDGTHSHGITVTTHAHHHVVHSNHDHTEPLLKPGCEGEKKPEVKKTKQQNINVQGAYLHVLGDSIQSIGVMIGGGIIWYKPEWKIIDLICTLVFSLIVLATTIRMLRNILEVLMESTPREIDATKLEKGLCEMDEVVAIHELHIWAITVGKVLMACHVIVKSDANADMVLEKVIDYIKREYNISHITIQIERQ >ONI05507 pep chromosome:Prunus_persica_NCBIv2:G5:1068194:1069613:-1 gene:PRUPE_5G010500 transcript:ONI05507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARNYQKELLANEKAPATSSVNQEVDLDELMDDPELEKLHADRIAALKKEAEKREALKRKGHGEYRD >ONI05506 pep chromosome:Prunus_persica_NCBIv2:G5:1061152:1069239:-1 gene:PRUPE_5G010500 transcript:ONI05506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARNYQKELLANEKAPATSSVNQEVDLDELMDDPELEKLHADRIAALKNIGTEGDFLGEVTGTEKAICHFYHQEFYRCKIMDKHLKTLASKHVDTKFIKLDAEVCLFGKGVVVDRLVGFQDMGGKDDFSTRALEVVLIKKGIISEKKGEDDEDDGYHEGSRRMVRPSVNLDDSDSDLKNKKKNKKKRIRK >ONI05943 pep chromosome:Prunus_persica_NCBIv2:G5:3525372:3526096:1 gene:PRUPE_5G030500 transcript:ONI05943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNQVKIDGNDNNSLPLELSVHGHQLESLATILGSQPLSDKLYLFFYYIISKCSCLCLEYPQPLPKQRFMSLYYITQP >ONI09505 pep chromosome:Prunus_persica_NCBIv2:G5:18204020:18206357:1 gene:PRUPE_5G241800 transcript:ONI09505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSPVGGEGGGGVFHDPEVDGNGKANTIINNTTSSIDQNMKPIISSGGIGSGSGLVTMKKMNIVRMTLLFVGMTVACFIFYNSVFPSRFLSISLYDYTGTTYSQGNDHLLDAVLKNASMKDRTILVTTLNDAWAEPNSIFDLFLESFHIGSNTKWLLNHLVVICLDQKAYARCLALHPHCYELYTQGANFTSEASFMSSDYLQMMWRRIQFMSKILERGYNFVFTDTDIMWLRNPFPRFYPDADFQIACDFFLGDSYSIRNLPNGGFTYVKSSKRTIWFYKFWYFSRKAYPKMHDQDVLNKIKSDRLISDSGLKMRFLDTQYFGGFCQPSKDFNKVCTMHANCCVGLDNKVNDLRILLQVWRKFMALPPNAATTARTSWTVPRNCSTSFQRLGKHS >ONI09398 pep chromosome:Prunus_persica_NCBIv2:G5:17870951:17873735:-1 gene:PRUPE_5G235800 transcript:ONI09398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGQAKSDYENVRNARILENQARLTSLGLHKTITELRSIASPAKPPKTHVRDYRKKVYELTSLRRSNRLKEITATATATAAVSKNPSFRRSERLRGKSGSLTGGESEERRPANAPLVDVRKSELQLSSESAAQRCNSKGRGSVYHPVFGIHCHLCRQKKLCGEEDCKRCGNLDMDQPCIGKTDCSVCHSSRGVLCRGCLKVRYGEELEEVRENRKWMCPHCIEEKGINPYWICNSSICLNKRNMAPTGAAVYRCQEMGYKSVAHLLMDELKLADKMPSL >ONI07488 pep chromosome:Prunus_persica_NCBIv2:G5:12183820:12186249:-1 gene:PRUPE_5G123200 transcript:ONI07488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNNQSFWQFSDQLRVQGTNFANLTVNDSIWSNSYSKRPDERRNFDIKVGGDVSPLINLTPKGSDFNGFNDSWVDNLKPKGSSDSNGSNDGWNSFKPKASELNNGFNDRWNNFKPKASEFNAFNEGWKLGAAAAAGPVLGGGSQLNGGFNKGIYSKPAIYNNNNNNMVNLKPYKNKAHEEDLVHGGKVGKKNNNNSSKKKAGGDDNNKDSKGAVDKRFKTLPPAESLPRNETIGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAASFGGTNFDPTAWEDKKCPGESRFPAQVRVFTRKVCEPLEEDSFRPILHHYDGPKFRLELSVPEALSLLDIFADQNP >ONI07489 pep chromosome:Prunus_persica_NCBIv2:G5:12185098:12185937:-1 gene:PRUPE_5G123200 transcript:ONI07489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNNQSFWQFSDQLRVQGTNFANLTVNDSIWSNSYSKRPDERRNFDIKVGGDVSPLINLTPKGSDFNGFNDSWVDNLKPKGSSDSNGSNDGWNSFKPKASELNNGFNDRWNNFKPKASEFNAFNEGWKLGAAAAAGPVLGGGSQLNGGFNKGIYSKPAIYNNNNNNMVNLKPYKNKAHEEDLVHGGKVGKKNNNNSSKKKAGGDDNNKDSKGAVDKRFKTLPPAESLPRNETIGGYIFVCNNDTMQENLKRQLFGMSPLIFLSIILFFQLVLLQKRN >ONI09416 pep chromosome:Prunus_persica_NCBIv2:G5:17914850:17920240:1 gene:PRUPE_5G237000 transcript:ONI09416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAERRRSTSSGGGGDHHHQDNHDDEYDEDGESPFEVEDEVELSTTPWWWWWKRRFTFSNTDSSLTTTGSRSSAASSFFDRLVIHPDNWWYVAWTHFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQLAFLIDIVVRFFVAYRDFHSHRLVYNRNLIALRYLKSRFLLDLLGCLPWDAIYKASGKEEGVRYLLWIRLSRACRVTEFFEKLEKDIRINYLFTRIAKLFVVELYSTHTAACFFYYLATTMPPSQEGYTWIGSLKMGDYSYSHFREIDLWKRYITSLYFAVVTMATVGYGEIHAVNVREMIFIMFYVSFDMILGAYLLGNIAALIVKGSKTEKFRDKMTELIKYMNKNRLDRGISKEIKNHLRLQYDRSYTEATVLQDIPASIRSKISQKLYEPYIKEVPLFKGCSLGFIKQIATRIHEEFFLPPEVIIEQGQAVDQLYIVCHGELEKLGRDEDNETEELLERLQTYSSFGEVSFLCNTPQPYAVRVRQLCRVLRLDKQSFREILEMHFLDGRIILNNLIEGKDLNTRNKLLESDIILYIGKHEMELIMKVNCAAYDGDYYRVKRLIGAGADPNKTDYDGRSPLLVAASKGYEDIIGFLIDQGANAEISDKFGNTPLLEAIKNGHDQVASLLVKAGASLTIDDAGEFLCITVARRSLNLLKRLLANDINPNAKNYDQRTALHVAASEGLYPMAEFLLEAGSSVLSKDRWGRTPLDEARIGGNKKLIKLLEVARASQL >ONI09417 pep chromosome:Prunus_persica_NCBIv2:G5:17914374:17920240:1 gene:PRUPE_5G237000 transcript:ONI09417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAERRRSTSSGGGGDHHHQDNHDDEYDEDGESPFEVEDEVELSTTPWWWWWKRRFTFSNTDSSLTTTGSRSSAASSFFDRLVIHPDNWWYVAWTHFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQLAFLIDIVVRFFVAYRDFHSHRLVYNRNLIALRYLKSRFLLDLLGCLPWDAIYKASGKEEGVRYLLWIRLSRACRVTEFFEKLEKDIRINYLFTRIAKLFVVELYSTHTAACFFYYLATTMPPSQEGYTWIGSLKMGDYSYSHFREIDLWKRYITSLYFAVVTMATVGYGEIHAVNVREMIFIMFYVSFDMILGAYLLGNIAALIVKGSKTEKFRDKMTELIKYMNKNRLDRGISKEIKNHLRLQYDRSYTEATVLQDIPASIRSKISQKLYEPYIKEVPLFKGCSLGFIKQIATRIHEEFFLPPEVIIEQGQAVDQLYIVCHGELEKLGRDEDNETEELLERLQTYSSFGEVSFLCNTPQPYAVRVRQLCRVLRLDKQSFREILEMHFLDGRIILNNLIEGKDLNTRNKLLESDIILYIGKHEMELIMKVNCAAYDGDYYRVKRLIGAGADPNKTDYDGRSPLLVAASKGYEDIIGFLIDQGANAEISDKFGNTPLLEAIKNGHDQVASLLVKAGASLTIDDAGEFLCITVARRSLNLLKRLLANDINPNAKNYDQRTALHVAASEGLYPMAEFLLEAGSSVLSKDRWGRTPLDEARIGGNKKLIKLLEVARASQL >ONI07116 pep chromosome:Prunus_persica_NCBIv2:G5:10865858:10870724:1 gene:PRUPE_5G100700 transcript:ONI07116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQRSEQLRELYESLSAGEASPQARRPSASLSPEDLADTEWYYLVCMSFVFNVGQGLPGRTLANGQPIWLCNAHYADSKVFTRSLLAKSASIQTVVCFPFLGGVIELGVTELVMEDPDLIQHVKTSFLEVPYPIASKKTNPSVGSTRNDNDLACTVLDHDVMDAKLIPVVGCEEMNATSPNNSSNGLGLNQPADDSFMVEGMNGGASQVQSWQFMDDEFSNFVHHSMDSSDCISQTLVYPEKVPLGPKAEKASDHCLHDLKERNSTKLTSLGPQGTDLQYQSVLSALLKGSHQLILGPNFQNCHQESNFVSWKRGGFVKCRKQRGGSPQKLLKQILFEVPRMHVDCVLESPEDNSNRNGVWRPEADEIGMNHALSERRRREKLNERFCVLKSMVPSISKDDKVSILDDAIEYLKDLEKRVEELESCREPSDLEAKIKRKIQDTIERTSDNCCNTKISNGKKPLVYKRKASDIDETEPEISYVVSKHGSSDNITVNMNKKDVLIEMKFPWREGVLLEIMDATSRLQLDTHSVQSSTADGILSVTIKSRFKGSTVASAGTIQQALQRIARSC >ONI07117 pep chromosome:Prunus_persica_NCBIv2:G5:10868229:10870724:1 gene:PRUPE_5G100700 transcript:ONI07117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPDLIQHVKTSFLEVPYPIASKKTNPSVGSTRNDNDLACTVLDHDVMDAKLIPVVGCEEMNATSPNNSSNGLGLNQPADDSFMVEGMNGGASQVQSWQFMDDEFSNFVHHSMDSSDCISQTLVYPEKVPLGPKAEKASDHCLHDLKERNSTKLTSLGPQGTDLQYQSVLSALLKGSHQLILGPNFQNCHQESNFVSWKRGGFVKCRKQRGGSPQKLLKQILFEVPRMHVDCVLESPEDNSNRNGVWRPEADEIGMNHALSERRRREKLNERFCVLKSMVPSISKDDKVSILDDAIEYLKDLEKRVEELESCREPSDLEAKIKRKIQDTIERTSDNCCNTKISNGKKPLVYKRKASDIDETEPEISYVVSKHGSSDNITVNMNKKDVLIEMKFPWREGVLLEIMDATSRLQLDTHSVQSSTADGILSVTIKSRFKGSTVASAGTIQQALQRIARSC >ONI07115 pep chromosome:Prunus_persica_NCBIv2:G5:10865787:10870790:1 gene:PRUPE_5G100700 transcript:ONI07115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLQNQDRVPENLRKQLALAVRSIEWSYAIFWSISARQPGVLEWGDGYYNGDIKTRKTVQAIELNADQMGLQRSEQLRELYESLSAGEASPQARRPSASLSPEDLADTEWYYLVCMSFVFNVGQGLPGRTLANGQPIWLCNAHYADSKVFTRSLLAKSASIQTVVCFPFLGGVIELGVTELVMEDPDLIQHVKTSFLEVPYPIASKKTNPSVGSTRNDNDLACTVLDHDVMDAKLIPVVGCEEMNATSPNNSSNGLGLNQPADDSFMVEGMNGGASQVQSWQFMDDEFSNFVHHSMDSSDCISQTLVYPEKVPLGPKAEKASDHCLHDLKERNSTKLTSLGPQGTDLQYQSVLSALLKGSHQLILGPNFQNCHQESNFVSWKRGGFVKCRKQRGGSPQKLLKQILFEVPRMHVDCVLESPEDNSNRNGVWRPEADEIGMNHALSERRRREKLNERFCVLKSMVPSISKDDKVSILDDAIEYLKDLEKRVEELESCREPSDLEAKIKRKIQDTIERTSDNCCNTKISNGKKPLVYKRKASDIDETEPEISYVVSKHGSSDNITVNMNKKDVLIEMKFPWREGVLLEIMDATSRLQLDTHSVQSSTADGILSVTIKSRFKGSTVASAGTIQQALQRIARSC >ONI05658 pep chromosome:Prunus_persica_NCBIv2:G5:1867008:1869238:1 gene:PRUPE_5G017400 transcript:ONI05658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPPSSPSSNQFLRSELPFSRPHQHSFPLKNIQFLDPIQLHYSNDKQNLLKNLENSNKNLQNTKCSPESSSNSGQSTRCPPKLYLNETIP >ONI07075 pep chromosome:Prunus_persica_NCBIv2:G5:10701796:10707244:-1 gene:PRUPE_5G098800 transcript:ONI07075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREAMGLSGGSAQYYIHRGGVGGSMPGSQAGGGLHTPPGFRHMSNTVLQPQSNVRVSSVGSTFSVEPSRPNFPHHGISMNVTPGVPSGEPVKKKRGRPRKYGPDGPVSLGLSPMSATPNPRPGSTSPTPKRSRGRPPGSGRKQQLATLGDWMNTSAGLAFAPHVITIGAGEDIAAKLLLFSQQRPRALCILSGSGTASSVTLRQPASTGVSVTFEGRFQILCLSGSYLVAEDGGPRNRTGGISVSLSSPDGHVIGGAVAMLIAATPVQVVLCSFVYGGSKTKNKQVAGPNSDENSEPQHNEKLALPSNTPPTQNYNPSGAGIWPGSRQVDLRNPHTGIDLTRG >ONI09572 pep chromosome:Prunus_persica_NCBIv2:G5:18362337:18366338:-1 gene:PRUPE_5G245300 transcript:ONI09572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEIVLEEEKEEEKERMVTLGSYKGMVRLLRVKAEQEEEEEEEELDSFAATAASEEIMLLWGMQQPTLSKPNAFVSQSSLQLKLDVCGHSLAIFQSPSSLSTPGVTGAVMWDSGVILGKFLEHASDSELLLLQGKKVVELGSGCGLVGCIAALLGGQVVLTDLPDRLRLLRKNIEVNLRHEDMRGSAKVMEFIWGDDPDLELTEPPPDVVLGSDVIYSEGAVLDLLSTLRQLCGGETTIFLAGELRNDAVLEYFLECAMKDFVIGRLDQRQWHPDYCSSRVVLYVLVKK >ONI08461 pep chromosome:Prunus_persica_NCBIv2:G5:15088103:15089561:-1 gene:PRUPE_5G179200 transcript:ONI08461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECHQSYQYYSSSDLHDQEDLSYISSQPSLQSVPSLASQSHNQSKPCHHCLTTLQGQNSYTSSLTLAGNFIYTGSSDGEIRSWRCNSLHEVDSENPTLDHNMVAAGKGAVKSLAISGDKLFSAHQDHKIRVWKINTHHEQDRQKCSRLATLPTLGDRAAKLLMPNNHVQIRRHKKCTWVHHVDTVSALALSSDEQLLYSVSWDRTLKVWSTTDFKCLESVRNAHDDAINAVTSSNDGDVYTGSADKRIKVWRKDLGEKKHSLVATLEKHNSGVNALALSRDGCVLFSGACDRSILVWEKKEGEAHMEVVGALRGHTKSILCLAVVSDLVCSGSADKSIRIWRGVDRSYTCLAVLEGHNGPVKCLTAAVDDDHCSSSNMSCIIYSGSLDSDIKIWQVFVPSI >ONI08463 pep chromosome:Prunus_persica_NCBIv2:G5:15094803:15097643:1 gene:PRUPE_5G179300 transcript:ONI08463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNFAGNVEMYGVLDAIASGPIDARTSENSTMVGVGMSMEGIEQNPIVYDLMSEMAFQHNKVDAKAWIDQYSARRYGRSVPSIQDAWNILYHTLYNCTDGAYDKNRDVIVAFPDVDPSFISIPPEAFQPNENPVAGRAVLKEITDSFDQPHLWYSTSEVIHALDIFIASGDELSESSAYRYDLVDLTRQALAKYANQLFLKVIEAYQFNDAIGVARRSQKFLGLVEDMDTLLACHDGFLLGPWLESAKKLAQDEEQEKQFEWNARTQITMWFDNTKEEASLLRDYGNKYWSGLLRDYYGPRAAIYFKYLTQSLEWGSEFRLKDWRREWIKLTNDWQNSRKEFPVKSSGNALNTSRWLFDKYLGSSAENIQSRHGAATEIVI >ONI08462 pep chromosome:Prunus_persica_NCBIv2:G5:15091899:15097447:1 gene:PRUPE_5G179300 transcript:ONI08462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFPAFSPTVTIIFFSLCCALLLNLAHSSAMGIGYISRLLEIQDRERAPSYVQVAAARGVLRRLLPSHSSSFDFQIVSREQCGGASCFMIKNHPSFRRRGDPQILISGVTGVEILAGLHWYLKHWCGSHISWDKTGGAQLFSVPKAGLLSRVQDAGILVQRPVPWNYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVYLKFNISKSDLDDFFGGPAFLAWSRMGNLHGWGGPLPQSWLDQQLILQKKILVRMYELGMTPVLPAFSGNVPAALKTIYPSAKITRLGNWFSVKSDPRWTCTYLLDATDPLFVEIGRTFIEEQLKEYGRTSHIYNCDTFDENTPPDDDPEYISSLGVAIFRGMQSGDNDGVWLMQGWLFSYDPFWRPPQMKALLQSVPAGRLVVLDLFAEVKPIWITTEQFYGVPYIWKVGVGMSMEGIEQNPIVYDLMSEMAFQHNKVDAKAWIDQYSARRYGRSVPSIQDAWNILYHTLYNCTDGAYDKNRDVIVAFPDVDPSFISIPPEAFQPNENPVAGRAVLKEITDSFDQPHLWYSTSEVIHALDIFIASGDELSESSAYRYDLVDLTRQALAKYANQLFLKVIEAYQFNDAIGVARRSQKFLGLVEDMDTLLACHDGFLLGPWLESAKKLAQDEEQEKQFEWNARTQITMWFDNTKEEASLLRDYGNKYWSGLLRDYYGPRAAIYFKYLTQSLEWGSEFRLKDWRREWIKLTNDWQNSRKEFPVKSSGNALNTSRWLFDKYLGSSAENIQSRHGAATEIVI >ONI07130 pep chromosome:Prunus_persica_NCBIv2:G5:10924373:10927272:1 gene:PRUPE_5G101400 transcript:ONI07130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFPERQPIGTSAQTDKDYKEPPPAPLFEPGELTSWSFYRAGIAEFIATFLFLYITILTVMGVNRAPSKCASVGIQGIAWAFGGTIFALVYSTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQTLGAIAGAGVVKGFEKKQYELLGGGANVVNHGYTKGGGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDRAWDDHWIFWVGPFIGAALAAIYHQIVIRAIPFKSRG >ONI06277 pep chromosome:Prunus_persica_NCBIv2:G5:5376225:5378065:1 gene:PRUPE_5G050400 transcript:ONI06277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISSSARRPCFIEEDDGLASLADMEAGFSGSHHPFFSRPMCYARRSSSFRNLSGMASFSSSSSLSPRSGRFCDARFEETHQPHFLEACFLCKKPLGDNRDIFMYRGDTPFCSEECRDEQIKTDEAEEKNWNLSSSMKALRKREQRKSNNTASNTNQDYPFHTGTVAAA >ONI07485 pep chromosome:Prunus_persica_NCBIv2:G5:12177174:12179002:1 gene:PRUPE_5G122900 transcript:ONI07485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAETEQMIEKTVCGILEKSDMDEMTEFKIRKQASEVLDLDLSKPPYKALVKRVVQSFLEEQNQKEQQQQQQVEEDENPKLGDAQDQEYDDNGDLVICRLSSKRKVTLQEFRGKSLVSIREFYSKDGKELPTTKGISLTEEQWAVFKKNVPAIENAISKMESRI >ONI06390 pep chromosome:Prunus_persica_NCBIv2:G5:6266284:6273125:-1 gene:PRUPE_5G058000 transcript:ONI06390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRATLGFEESKAVFGLLRAEQRPLEEIVSDFNSFFSHGRQFIPCFSISILLQDKKMLSSTQRLIAFAILQQAYSSQKPSANPFISLLINAACDVEAEKYERALILQLLQLFGSDGSSGGKELLKQSAADYIKSFDPSVHAFPQHEQLQQQYADKVHPERYNSPLKDSSVRNVIADPDVPRGFDVNSSEFDLQPGANPKLSSGDRDETVLGLLSNLALEGLGPHWKRPIPPRLPVQDDELVWLNPVDNHELLWDDGMCVDTSRGAAVRDLIAKALKGPLAPAQQEQVLLELANDPKLVYHCGLTPRKLPELVENNPLIAVEVLTKLINSPEIAEYFTVLVNMDMSLHSMEVVNRLTTAVELPSEFIHMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNNIINVKDLFIEVQAFCIEFSRIREAAALFRLLKSLE >ONI07214 pep chromosome:Prunus_persica_NCBIv2:G5:11258482:11261245:-1 gene:PRUPE_5G106600 transcript:ONI07214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEACKSSLNFFSDQRLCYADVLSPLEVIARIQVAVLNFLRILTSPAPAISDLPLVARKSSNSRLSQGLWTQTSWIFLTNAFSARSLARPNAAKAFIRVWKVMAMCSQILGQEKKVTQRELFYKLLCDSPDYFSSQSQVNRTVQDVVALLRCSRYSLGIMASSRGLVAGRLLLQEPNQGVVDCAACGFSGHGISGDLSSIDNLIMKTDARYIIVVEKHAIFQRLVDDRVFNQIPSIIITAKGYPDIATRLLLHRMSRAFPDLPILALVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGVRGDDLELIPEQALVPLKPKDLQIAKSLISSEILQENYREELTLMVESGQRAEIEALYFHGYDYLGKFIAKKIVQANYI >ONI07494 pep chromosome:Prunus_persica_NCBIv2:G5:12234373:12235953:1 gene:PRUPE_5G123700 transcript:ONI07494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQILLDNSKDKDITEQSMSNLIYVSREKRRTSPHHFKTGALNDLLRVSATMTNAPTILTLDCDTYSNDPQTPHHALCYLPDPKFRSKLEFLQFPQRFHGINKKDVYACEHKRLYKINSFGIRAIGTKLFRNMIRVFFGGPSHLLLPEMPQLGQNNVVDKPIQSQEVLELAHHVAGCNYEKNTTWGLKIGVRYGLLEVAFSKHSPITHGTLAMGPFMGLAYAQYAFWPIWSISFTIYAFLLQLAFLNGLTIFPKINKKCSIVSNPWFLLYVYLCIGAYGQDLLDFLLAGGTFHRWWNDQRMWMIRGLSSFLFGTIEFSLKSLGIASHGFNVTSKVLDEDQSKRYEQGSIEFGVSSPLFVTLTMAAIVNLVAFAWGNVELIRGSNRRRKPVTSK >ONI08594 pep chromosome:Prunus_persica_NCBIv2:G5:15475703:15482210:-1 gene:PRUPE_5G187700 transcript:ONI08594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRILACRELRARAPQTALSWFSSLNISRSVHGLPFATVEAEEISGSQPAEVLNLVQGKWKKTARWNTILDPLNGEPFIKVSEVDETGIQPFVESLSKCPKHGLHNPFKAPERYLMFGDISAKAAHMLSLPKVFDFFTRLIQRVAPKSYQQASGEVYVTRKFLENFAGDQVRFLARSFGVPGNHLGQQSHGFRWPYGPVAIVTPFNFPLEIPVLQLMGALYMGNKPILKVDSKVSIVMEQMMRLLHYCGLPIEDVEFINSDGKTMNKLLLEANTRMTLFTGSSRVAEKLALDLKGRVKLEDAGFDWKILGPDVQEEDYVAWVCDQDAYACSGQKCSAQSMLFIHENWSKTSLLSKMKDLAERRNLEDLTIGPVLTVTTETMLEHKNKLLQISGSKLLFGGEPLKNHSIPPIYGAIEPTAIYVPLEEILKGNNFDLVTREIFGPFQVVTDYRSDQLPLVLDAVERMHAHLTAAVVSNDPLFLQEVIGNSVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDVGPLPKQWEIPPST >ONI08595 pep chromosome:Prunus_persica_NCBIv2:G5:15475785:15481837:-1 gene:PRUPE_5G187700 transcript:ONI08595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRILACRELRARAPQTALSWFSSLNISRSVHGLPFATVEAEEISGSQPAEVLNLVQGKWKKTARWNTILDPLNGEPFIKVSEVDETGIQPFVESLSKCPKHGLHNPFKAPERYLMFGDISAKAAHMLSLPKVFDFFTRLIQRVAPKSYQQASGEVYVTRKFLENFAGDQVRFLARSFGVPGNHLGQQSHGFRWPYGPVAIVTPFNFPLEIPVLQLMGALYMGNKPILKVDSKVSIVMEQMMRLLHYCGLPIEDVEFINSDGKTMNKLLLEANTRMTLFTGSSRVAEKLALDLKGRVKLEDAGFDWKILGPDVQEEDYVAWVCDQDAYACSGQKCSAQSMLFIHENWSKTSLLSKMKDLAERRNLEDLTIGPVLTVTTETMLEHKNKLLQISGSKLLFGGEPLKNHSIPPIYGAIEPTAIYVPLEEILKGNNFDLVTREIFGPFQVVTDYRSDQLPLVLDAVERMHAHLTAAVVSNDPLFLQEVIGNSVNGTTYAGLRARTTGAPQNHWSLETQGELALGPQKQ >ONI09033 pep chromosome:Prunus_persica_NCBIv2:G5:16861740:16862594:-1 gene:PRUPE_5G213300 transcript:ONI09033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHVWPLPTLCNIQLPPDLKYVQAKPITVNPPPPPLSSNRIEMEYRCHWNNRSRSQGDRNYRKPCRTSSDDHDDHDNDAKVQAIRSGGTDADAAAAADDDDMGLERAKKLLATLQNKKMIKDIHHKDHDHDHTAAAAYSTTSPQAIPSFATADQKNNNIHEIPSSSTTCPTCCLPKEPKLVFSEIRTFVEGPHFEKQESRFIKRKKKIEKIKAEEQKAREYALEVLAKLVPSHIKFTVL >ONI05453 pep chromosome:Prunus_persica_NCBIv2:G5:867890:873033:-1 gene:PRUPE_5G008300 transcript:ONI05453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCWSFKMNLFWFFLLLFLYLGVFSFGSSNNVSSRPAVVNIGAIFTFDSTIGKVAKLAIEEAVKDVNSNFSVLHGTKLFVKMRNSNCSGFDGMVQALQFMETDIVAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLSSLQFPFFVRTTRSDLYQMSAVAQIVDHYGWKEVIAIFIDDDYGRNGMSALDDKLAERRCRISYKLGIPPGPGATRGDIMDLLVNVAQLESRVIVLHVNPDSGLMILSVAHYLQMMGDGFVWIATDWLSSLLDSALPLPSETMDTLQGVLVLRQHTPDSDRKRTFFSKWNKLTGGSLGLHSYGLYAYDSVWLVAHALDAFFNQGGIISFSNDSRIKSVEKGGSLHLEAMSIFDDGPLLLKNVLQSTFLGLTGPIKFDSERSLVLPAYDIINVLGTGFRRIGYWCNYSGLSTVPPEMLYSKPPNRSSANQQLYSVIWPGETLSKPRGWVFPNNGKQLRIGVPIRVSYLEFVSQVRGTDNMFKGFCIDVFIAAVNLLPYAVPYRFIPFGDGQKNPSYNELVYSVATGVFDAAVGDIAIVTNRTKIVDFSQPYAASGLVVVAPFKRLNSSAWAFLRPFTARMWVVTAASFLVIGIVVWILEHRINDEFRGPPKKQLITILWFSISTLFFAHMQHLSSPIKGIESLKNSDEPIGYQVGSFAEHYLSEELGISKSRLIPLGSPQAYAQALQLGPKKAGGVAAVVDERPYVEVFLSSQCKFRVIGQEFTKSGWGFAFPRDSPLAVDMSTALLQLSENGDLQRIYDKWLRQSSCTLESTELESDRLHLKSFWGLFLICGIACFIALFIYFLQILNKLRHADPTPCVSTSPGNSRSRQLRRFLSLIDEKKDPSNSGSKRKKIVRSFSDNDKDDKLGRNPEKKQTEMTNRSEINSNN >ONI05454 pep chromosome:Prunus_persica_NCBIv2:G5:868130:872690:-1 gene:PRUPE_5G008300 transcript:ONI05454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCWSFKMNLFWFFLLLFLYLGVFSFGSSNNVSSRPAVVNIGAIFTFDSTIGKVAKLAIEEAVKDVNSNFSVLHGTKLFVKMRNSNCSGFDGMVQALQFMETDIVAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLSSLQFPFFVRTTRSDLYQMSAVAQIVDHYGWKEVIAIFIDDDYGRNGMSALDDKLAERRCRISYKLGIPPGPGATRGDIMDLLVNVAQLESRVIVLHVNPDSGLMILSVAHYLQMMGDGFVWIATDWLSSLLDSALPLPSETMDTLQGVLVLRQHTPDSDRKRTFFSKWNKLTGGSLGLHSYGLYAYDSVWLVAHALDAFFNQGGIISFSNDSRIKSVEKGGSLHLEAMSIFDDGPLLLKNVLQSTFLGLTGPIKFDSERSLVLPAYDIINVLGTGFRRIGYWCNYSGLSTVPPEMLYSKPPNRSSANQQLYSVIWPGETLSKPRGWVFPNNGKQLRIGVPIRVSYLEFVSQVRGTDNMFKGFCIDVFIAAVNLLPYAVPYRFIPFGDGQKNPSYNELVYSVATGVFDAAVGDIAIVTNRTKIVDFSQPYAASGLVVVAPFKRLNSSAWAFLRPFTARMWVVTAASFLVIGIVVWILEHRINDEFRGPPKKQLITILWFSISTLFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQHLSSPIKGIESLKNSDEPIGYQVGSFAEHYLSEELGISKSRLIPLGSPQAYAQALQLGPKKAGGVAAVVDERPYVEVFLSSQCKFRVIGQEFTKSGWGFAFPRDSPLAVDMSTALLQLSENGDLQRIYDKWLRQSSCTLESTELESDRLHLKSFWGLFLICGIACFIALFIYFLQILNKLRHADPTPCVSTSPGNSRSRQLRRFLSLIDEKKDPSNSGSKRKKIVRSFSDNDKDDKLGRNPEKKQTEMTNRSEINSNN >ONI05456 pep chromosome:Prunus_persica_NCBIv2:G5:867890:873033:-1 gene:PRUPE_5G008300 transcript:ONI05456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCWSFKMNLFWFFLLLFLYLGVFSFGSSNNVSSRPAVVNIGAIFTFDSTIGKVAKLAIEEAVKDVNSNFSVLHGTKLFVKMRNSNCSGFDGMVQALQFMETDIVAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLSSLQFPFFVRTTRSDLYQMSAVAQIVDHYGWKEVIAIFIDDDYGRNGMSALDDKLAERRCRISYKLGIPPGPGATRGDIMDLLVNVAQLESRVIVLHVNPDSGLMILSVAHYLQMMGDGFVWIATDWLSSLLDSALPLPSETMDTLQGVLVLRQHTPDSDRKRTFFSKWNKLTGGSLGLHSYGLYAYDSVWLVAHALDAFFNQGGIISFSNDSRIKSVEKGGSLHLEAMSIFDDGPLLLKNVLQSTFLGLTGPIKFDSERSLVLPAYDIINVLGTGFRRIGYWCNYSGLSTVPPEMLYSKPPNRSSANQQLYSVIWPGETLSKPRGWVFPNNGKQLRIGVPIRVSYLEFVSQVRGTDNMFKGFCIDVFIAAVNLLPYAVPYRFIPFGDGQKNPSYNELVYSVATGVFDAAVGDIAIVTNRTKIVDFSQPYAASGLVVVAPFKRLNSSAWAFLRPFTARLASQLCSLPIERTL >ONI05455 pep chromosome:Prunus_persica_NCBIv2:G5:868998:872690:-1 gene:PRUPE_5G008300 transcript:ONI05455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLCWSFKMNLFWFFLLLFLYLGVFSFGSSNNVSSRPAVVNIGAIFTFDSTIGKVAKLAIEEAVKDVNSNFSVLHGTKLFVKMRNSNCSGFDGMVQALQFMETDIVAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLSSLQFPFFVRTTRSDLYQMSAVAQIVDHYGWKEVIAIFIDDDYGRNGMSALDDKLAERRCRISYKLGIPPGPGATRGDIMDLLVNVAQLESRVIVLHVNPDSGLMILSVAHYLQMMGDGFVWIATDWLSSLLDSALPLPSETMDTLQGVLVLRQHTPDSDRKRTFFSKWNKLTGGSLGLHSYGLYAYDSVWLVAHALDAFFNQGGIISFSNDSRIKSVEKGGSLHLEAMSIFDDGPLLLKNVLQSTFLGLTGPIKFDSERSLVLPAYDIINVLGTGFRRIGYWCNYSGLSTVPPEMLYSKPPNRSSANQQLYSVIWPGETLSKPRGWVFPNNGKQLRIGVPIRVSYLEFVSQVRGTDNMFKGFCIDVFIAAVNLLPYAVPYRFIPFGDGQKNPSYNELVYSVATGVFDAAVGDIAIVTNRTKIVDFSQPYAASGLVVVAPFKRLNSSAWAFLRPFTARMWVVTAASFLVIGIVVWILEHRINDEFRGPPKKQLITILWFSISTLFFAHSKREHCEHPWSSSSHHMALCGFDNQLKLHCQSDINSYSAAPIFSN >ONI06335 pep chromosome:Prunus_persica_NCBIv2:G5:5810791:5813950:1 gene:PRUPE_5G054300 transcript:ONI06335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISQNIPSAFKARKTNREDTDEPSFLQGNEHNGDGKESSLDIMRTKKRKKARKDDDEKVAVEQEMEMKKLESFLFGSIYSPVKFGKEDEEEAGDTVDTGSALFFVDRSANSLAPIYEEDADFSEEENKQRKPVWVDDEEEKANINIAKVNRLRKLRKEEDEILISGSEYVLRLRAQHAKLNPGTEWSHLDSKLRNNRYSDDESSDDENGVVVARGYKDVEAVDDLLRTNEDLVVKSSGKLLPGLLEYSVLVNGNAEEPSKGPINSVQFHRNAQLLLTAGLDRRLRFFQIDGKRNTKIESIFLDDCPIRKASFLPDGSQVIIAGRRNFFYSFDLVKAKVDKIGPLVGRDEKSLEVFEVSPDSSTIAFVGNEGYILLVSSKTKELIGTLKMNGTVRSLSFANDGKQLLSSGGDGQVYHWDLRTGACFHKALDEGCINGTALCTSPNGTMFAAGSDSGIVNIYNREEFLGGKRKPIKAIENLTTKVDFLKFNNDAQILAICSSMQKNSLKLVHVPSFTVFSNWPPQKKALHYPRCLDFSPGGGIMAVGNAAGKVLLYKLHHYHHA >ONI06336 pep chromosome:Prunus_persica_NCBIv2:G5:5810864:5814360:1 gene:PRUPE_5G054300 transcript:ONI06336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISQNIPSAFKARKTNREDTDEPSFLQGNEHNGDGKESSLDIMRTKKRKKARKDDDEKVAVEQEMEMKKLESFLFGSIYSPVKFGKEDEEEAGDTVDTGSALFFVDRSANSLAPIYEEDADFSEEENKQRKPVWVDDEEEKANINIAKVNRLRKLRKEEDEILISGSEYVLRLRAQHAKLNPGTEWSHLDSKLRNNRYSDDESSDDENGVVVARGYKDVEAVDDLLRTNEDLVVKSSGKLLPGLLEYSVLVNGNAEEPSKGPINSVQFHRNAQLLLTAGLDRRLRFFQIDGKRNTKIESIFLDDCPIRKASFLPDGSQVIIAGRRNFFYSFDLVKAKVDKIGPLVGRDEKSLEVFEVSPDSSTIAFVGNEGYILLVSSKTKELIGTLKMNGTVRSLSFANDGKQLLSSGGDGQVYHWDLRTGACFHKALDEGCINGTALCTSPNGTMFAAGSDSGIVNIYNREEFLGGKRKPIKAIENLTTKVDFLKFNNDAQILAICSSMQKNSLKLVHVPSFTVFSNWPPQKKALHYPRCLDFSPGGGIMAVGNAAGKVLLYKLHHYHHA >ONI09282 pep chromosome:Prunus_persica_NCBIv2:G5:17534869:17535856:-1 gene:PRUPE_5G228800 transcript:ONI09282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGPHTFLSVENILEAVEFKYQGQGFNSQILEFQVFFNDHTSNDFNMLFKSLPQSRQYYAAGVPGSFCGRIFANASIHLVHSSYALHWLSRVPKEIVDIDSPAWNNGRIDYSNSTEEVVRAYEAQYAEDMECFLHARAQEIVHGGLMVLIIPGRPNGTPHSLTVSNAVHQLLGSCLMDLARKGVVREEKVDSFNIPIYNTSPQELEAAVEKNGCFSTEKMENLPRISALDIDNVTRRAQLIAYHIRATTEGLIKQQFGDEILDELFGLYSKKLEQQPSIFESGKAINFLLVLKRNAN >ONI08641 pep chromosome:Prunus_persica_NCBIv2:G5:15663222:15664878:-1 gene:PRUPE_5G190800 transcript:ONI08641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGWWDYEQQRHSFIMRQCEATWCISIAKALSIIWYHGGSENISTFCRRCAPSGQDILDRALTMASREDELLGKISEIEKSLAALSTQQIQLVDLKKSIWEEFHLLGASRASSHKYGRSPLPKYDAAILHTSFARLLGHPKASSTMLSNTGDQVHFFHGLVDRLNNELRGFEAVVSELWYVEEFDVLALALNGRMKMRRFQLGCSRN >ONI07833 pep chromosome:Prunus_persica_NCBIv2:G5:13177103:13181609:-1 gene:PRUPE_5G142100 transcript:ONI07833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEEAAKRLNDSETKLARLRRQDKVGSTKSSPGSGTKSAKVEPRSTSPIHLSQGSSGKAPVSSSAHTSPSVSSQSISATKEKGDKSYRTSSKQEVELQDRGTKRKFEQKEHKELIPLVRTSSSPSIIRCYASNHISSQHKRKLRSLSLCPVNDQLFVTSALDGIVNLWQVQANGSGASLLSSTDCASPKQRRWPEDIAWHPEGNSLFSVYSADSGDSQVSVLNLNRTQGKARVTFLEDKPHVKGIINSIVFPPWENTCFVTGGSDHAVVIWKETDAENVWKPRALHRNMHSSAVMGVAGMCQKQIILSAGADKRIVGFDANVGRAEFKHQIESKCMSVLPNPCDFNLFMVQAGTHEKQLRLFDIRLRQTEIHAFGWKQESSESQSALINQAWSPDGLYISSGTADPMIHIFDIRYHAHKPSQSIRAHQKRVFKAVWLHSCPLLISISSDLNIGLHKTT >ONI07832 pep chromosome:Prunus_persica_NCBIv2:G5:13177103:13181609:-1 gene:PRUPE_5G142100 transcript:ONI07832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALLKKPKTENVEVIDDDDDEQAAAAAATNKMTEENGDPDSRQEQEEALVALIEHRTREVHHLRQRVSYYKSQLEEAAKRLNDSETKLARLRRQDKVGSTKSSPGSGTKSAKVEPRSTSPIHLSQGSTRNQPHSKTELLIPAVIPKVSQPTKLAGSSGKAPVSSSAHTSPSVSSQSISATKEKGDKSYRTSSKQEVELQDRGTKRKFEQKEHKELIPLVRTSSSPSIIRCYASNHISSQHKRKLRSLSLCPVNDQLFVTSALDGIVNLWQVQANGSGASLLSSTDCASPKQRRWPEDIAWHPEGNSLFSVYSADSGDSQVSVLNLNRTQGKARVTFLEDKPHVKGIINSIVFPPWENTCFVTGGSDHAVVIWKETDAENVWKPRALHRNMHSSAVMGVAGMCQKQIILSAGADKRIVGFDANVGRAEFKHQIESKCMSVLPNPCDFNLFMVQAGTHEKQLRLFDIRLRQTEIHAFGWKQESSESQSALINQAWSPDGLYISSGTADPMIHIFDIRYHAHKPSQSIRAHQKRVFKAVWLHSCPLLISISSDLNIGLHKTT >ONI07831 pep chromosome:Prunus_persica_NCBIv2:G5:13177103:13181613:-1 gene:PRUPE_5G142100 transcript:ONI07831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALLKKPKTENVEVIDDDDDEQAAAAAATNKMTEENGDPDSRQEQEEALVALIEHRTREVHHLRQRVSYYKSQLEEAAKRLNDSETKLARLRRQDKVGSTKSSPGSGTKSAKVEPRSTSPIHLSQGSSGKAPVSSSAHTSPSVSSQSISATKEKGDKSYRTSSKQEVELQDRGTKRKFEQKEHKELIPLVRTSSSPSIIRCYASNHISSQHKRKLRSLSLCPVNDQLFVTSALDGIVNLWQVQANGSGASLLSSTDCASPKQRRWPEDIAWHPEGNSLFSVYSADSGDSQVSVLNLNRTQGKARVTFLEDKPHVKGIINSIVFPPWENTCFVTGGSDHAVVIWKETDAENVWKPRALHRNMHSSAVMGVAGMCQKQIILSAGADKRIVGFDANVGRAEFKHQIESKCMSVLPNPCDFNLFMVQAGTHEKQLRLFDIRLRQTEIHAFGWKQESSESQSALINQAWSPDGLYISSGTADPMIHIFDIRYHAHKPSQSIRAHQKRVFKAVWLHSCPLLISISSDLNIGLHKTT >ONI07835 pep chromosome:Prunus_persica_NCBIv2:G5:13177103:13180650:-1 gene:PRUPE_5G142100 transcript:ONI07835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEEAAKRLNDSETKLARLRRQDKVGSTKSSPGSGTKSAKVEPRSTSPIHLSQGSTRNQPHSKTELLIPAVIPKVSQPTKLAGSSGKAPVSSSAHTSPSVSSQSISATKEKGDKSYRTSSKQEVELQDRGTKRKFEQKEHKELIPLVRTSSSPSIIRCYASNHISSQHKRKLRSLSLCPVNDQLFVTSALDGIVNLWQVQANGSGASLLSSTDCASPKQRRWPEDIAWHPEGNSLFSVYSADSGDSQVSVLNLNRTQGKARVTFLEDKPHVKGIINSIVFPPWENTCFVTGGSDHAVVIWKETDAENVWKPRALHRNMHSSAVMGVAGMCQKQIILSAGADKRIVGFDANVGRAEFKHQIESKCMSVLPNPCDFNLFMVQAGTHEKQLRLFDIRLRQTEIHAFGWKQESSESQSALINQAWSPDGLYISSGTADPMIHIFDIRYHAHKPSQSIRAHQKRVFKAVWLHSCPLLISISSDLNIGLHKTT >ONI07836 pep chromosome:Prunus_persica_NCBIv2:G5:13177872:13180650:-1 gene:PRUPE_5G142100 transcript:ONI07836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEEAAKRLNDSETKLARLRRQDKVGSTKSSPGSGTKSAKVEPRSTSPIHLSQGSTRNQPHSKTELLIPAVIPKVSQPTKLAGSSGKAPVSSSAHTSPSVSSQSISATKEKGDKSYRTSSKQEVELQDRGTKRKFEQKEHKELIPLVRTSSSPSIIRCYASNHISSQHKRKLRSLSLCPVNDQLFVTSALDGIVNLWQVQANGSGASLLSSTDCASPKQRRWPEDIAWHPEGNSLFSVYSADSGDSQVSVLNLNRTQGKARVTFLEDKPHVKGIINSIVFPPWENTCFVTGGSDHAVVIWKETDAENVWKPRALHRNMHSSAVMGVAGMCQKQIILSAGADKRIVGFDANVGRAEFKHQIESKCMSVLPNPCDFNLFMVQAG >ONI07834 pep chromosome:Prunus_persica_NCBIv2:G5:13177547:13180575:-1 gene:PRUPE_5G142100 transcript:ONI07834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEEAAKRLNDSETKLARLRRQDKVGSTKSSPGSGTKSAKVEPRSTSPIHLSQGSTRNQPHSKTELLIPAVIPKVSQPTKLAGSSGKAPVSSSAHTSPSVSSQSISATKEKGDKSYRTSSKQEVELQDRGTKRKFEQKEHKELIPLVRTSSSPSIIRCYASNHISSQHKRKLRSLSLCPVNDQLFVTSALDGIVNLWQVQANGSGASLLSSTDCASPKQRRWPEDIAWHPEGNSLFSVYSADSGDSQVSVLNLNRTQGKARVTFLEDKPHVKGIINSIVFPPWENTCFVTGGSDHAVVIWKETDAENVWKPRALHRNMHSSAVMGVAGMCQKQIILSAGADKRIVGFDANVGRAEFKHQIESKCMSVLPNPCDFNLFMVQAGTHEKQLRLFDIRLRQTEIHAFGWKQESSESQSALINQAWSPDGLYISSGTADPMIHIFDIRYHAHKPSQSIRAHQKRVFKAVWLHSCPLLISISSDLNIGLHKTT >ONI06942 pep chromosome:Prunus_persica_NCBIv2:G5:10102898:10103434:-1 gene:PRUPE_5G090600 transcript:ONI06942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCGKHSRYHGIRCRGGKWVSEIREPRKTRRIWLGTYPTPEMAAAAYDVAALALKRGDAVLNFPSSIASYPVPASTSPEDIRSAASAAAALQWNEEANNSLKHRERQKKQEDDMRTMMNSSSLASSVGIDEEELFGMPNLLVEMAEGMLLSPPRPPDYPDSSGNSDGGESGLWSF >ONI08630 pep chromosome:Prunus_persica_NCBIv2:G5:15586268:15590582:1 gene:PRUPE_5G189900 transcript:ONI08630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIGIMDSAYFVGRNEILTWINNRLQLNLSRIEEAASGAVQCQMMDMTYPGVVPMHKVNFDAKTEYDMIQNYKVLQEVFNKLKIEKHLEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRCKGGKDRYPKGSQKFSKSLQTNNLHNPGSGDTVGLSKTSGPKQGKPYAAAGGANSSGEVQALSKEITDLKLSVDLLEKERDFYFAKLRDIEILCQTSELEELPMAVAIKKILYAADAKESPLAEAQEYLYQSMNADEKEAETEETDP >ONI08631 pep chromosome:Prunus_persica_NCBIv2:G5:15586616:15590003:1 gene:PRUPE_5G189900 transcript:ONI08631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIGIMDSAYFVGRNEILTWINNRLQLNLSRIEEAASGAVQCQMMDMTYPGVVPMHKVNFDAKTEYDMIQNYKVLQEVFNKLKIEKHLEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRCKGGKDRYPKGSQKFSKSLQTNNLHNPGSGDTVGLSKTSGPKQGKPYAAAGGANSSGEVQALSKEITDLKLSVDLLEKERDFYFAKLRDIEILCQTSELEELPVTTFK >ONI08739 pep chromosome:Prunus_persica_NCBIv2:G5:15990207:15991640:1 gene:PRUPE_5G198200 transcript:ONI08739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPASPELQELCTIVSRAIGGLDDLELSLNKFTGSLTSSLVTQVIDSCKSEAPTRRLLRFFSWCHKNLDYGLKDKDYNYGIRVFAEKKDHTAMHILLSDLVKTGRAMEAQTFGLVAQALVKLGREDEALGLFKNLSTYKCPQDGHTVTSIVNALCSRGHAKRAEGVVWHHRDKIAGIEPCIYKSLLYGWSVQENVKEERRIIKEMKSAGIMPDLFCYNTFLRSLCMKNLKCNPSGLVPEALNVMIEMKTYRIFPNSISYNILLSCLGRTRRVKESCNILETMKKTGCSPDWVSYYLVARVLYLSGRFGKGNKMVDEMLAEGLQPNCKFYYDLIGILVGNERPYYALELFERMKASSLGGYGPVYDVLIPKFCRGGDFEKGRELWDEAMAMGVTLRCSSDLLDPSITEVFKPTRNEEKLSLIDCAKAKDQEKVKKKMGKTKKTKKKMTERKVKKKMTKREVKKKIKKVKKEKKKSAAT >ONI06860 pep chromosome:Prunus_persica_NCBIv2:G5:9777563:9779097:-1 gene:PRUPE_5G086200 transcript:ONI06860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSYVPQVSKEEVIAKLKDDGDFDRLRRKIIQKLKHNDELRENIITIVKQSAALNREGAENMKPRQLSDDIYQEVGDQVMSHISDGLWGIIRSSDGMKSEITETVESVYNKLTDPKGKAEGEASTRDVPVHKEADNNGLVVAAAKEIDDTLSGNEPKAPPGFSLSHNHLINNNDELQLPLRHVKGAMEEQKESSHQLQDKLNADDNRLAPGFSTDMEHKQACDAGDEDPDVPPGFG >ONI08678 pep chromosome:Prunus_persica_NCBIv2:G5:15781121:15784825:1 gene:PRUPE_5G193500 transcript:ONI08678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKSRLPSKFLFYLITVSVFLLILSSVFLLQFSKTSFTPSSVFKLILVNGTSVYFEPLVKSRQKQLPFLSSEVSRVDAIRSSKRSELACQVSNSSKKLGSLRKMKTMACDSTQALLRVFMYELPPEFHFGLLGWKGKENQTWPNVSISSRIPPYPGGLNLQHSIEYWLTLDLLASNIPTVVRPCTVVRVHNSSEADVIFVPFFSSLSYNRHSKLHGKEKVSVNKMLQNKLVQFLKGRDEWKRKGGKDHLIVAHHPNSMLDARRKLGSAMFVLADFGRYPAEIANLEKDVIAPYRHVVLTVDSSKSASFEERPILLYFRGAIYRKDGGVIRQELYYILKDEKDVHFSFGSVQGNGINKASQGMASSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPFEEVLDYSEFCIFVHASDAVKKGYLLNLLQGIKQDKWTKMWERLKEIVHQFEYQYPSQPGDAVDMIWQAVSHKISSIQLKFHRKKRYDRSTSGIITK >ONI08702 pep chromosome:Prunus_persica_NCBIv2:G5:15851340:15853250:-1 gene:PRUPE_5G195400 transcript:ONI08702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSQSGFYISVLDEITLGSKSKGDYKFCPPFNSSQYKDSNSRAFPVLSCDFLGKPIIVSDQKGFENWKVKTPSNFSVHAQASICVSRAMKWWEKTLHPNMVEIHSAQELVDSLKNAGDRLVVVDFYSPGCGGCRALHPKICQLAELNPDAIFLKVNYEELKTMCHALNIHVLPFFRFYKGAEGRVCSFSCTNATIKKFKDAMAKHGTGRCNLGGPAKGLDESEMLKLASIGELSTTSSSPSIKEERVEDLVTEIIDMAGVWSNASHNIGLQEESSMVKA >ONI08703 pep chromosome:Prunus_persica_NCBIv2:G5:15851941:15852906:-1 gene:PRUPE_5G195400 transcript:ONI08703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSQSGFYISVLDEITLGSKSKGDYKFCPPFNSSQYKDSNSRAFPVLSCDFLGKPIIVSDQKGFENWKVKTPSNFSVHAQASICVSRAMKWWEKTLHPNMVEIHSAQELVDSLKNAGDRLVVVDFYSPGCGGCRALHPKICQLAELNPDAIFLKVNYEELKTMCHALNIHVLPFFRFYKGAEGRVCSFSCTNATVN >ONI05690 pep chromosome:Prunus_persica_NCBIv2:G5:2116428:2117853:-1 gene:PRUPE_5G019300 transcript:ONI05690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSERQRSGMASDEKLGRVTSDGLEPITSFDEMGLKDDVLRGIYQYGYEKPSAIQQRAVRSIIGGHDVIAQAQSGTGKTSMIALSVCHLVDTSYRDVQALILCPTRELAAQTEKLILGVGNFINIQVHACVGGKSVGEDIRKLEHGVHVVCGTPGRVFDMIKRRTLRTRAMKLLVLDESDEMLSRGFKDQIYDVYRYLPPELQVCLVSATLAHETLEMTKKFMTDPVRIPAQREI >ONI08221 pep chromosome:Prunus_persica_NCBIv2:G5:14372153:14373278:-1 gene:PRUPE_5G165500 transcript:ONI08221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLILSTCDCRKMSRSYEYPTKRQNGSDYYSHVQRIVRAPSVLTEVPHYGQTLFNNQASVREDYGGYQEKQRIPEAHKSVKVTERVTQSDKNGNRVVFEETVDVQADGFIEDKHKGFELCKWKTFKGR >ONI06720 pep chromosome:Prunus_persica_NCBIv2:G5:9040743:9045763:-1 gene:PRUPE_5G076300 transcript:ONI06720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPVACTFTLGPPTQSPSPHVSKPLSSFACTSHQTTPHPATSPVSTFYTLTPPFSSISTHHLSLFFISSFSSPPVSKFSPWVFFMAVVQYQSRLILLLVLFVLLVGGGRVARLDLWDSTIRLPSDKDKPEDANHKEAGTRWAVLVAGSSGYGNYRHQADVCHAYQLLKKGGLKEENIVVFMYDDIANHEINPRPGVIINHPQGQDVYAGVPKDYTGKQVTAANLYAVLLGDKKAVKGGSGKVVDSKPNDRIFLYYSDHGGPGVLGMPNMPFLYAMDFIQVLKKKHASGSYKEMVIYVEACESGSIFEGIMPSDLNIYVTTASNAQENSFGTYCPGMDPSPPPEYITCLGDLYSVAWMEDSERHNLKKETIKQQYQNVKKRTSNSNNYDVGSHVMQYGSKNITVEKLYLYQGFDPASLNFPPNNGQLEKPMEVVNQRDAELFFMWQMYKRSEHGSEKKTHILEQIKETMGHRTHLDASIEFIGTFLYGPGKGFSTLNSVRALGLPLVDDWECLKSMVRVFETQCGLLTQYGMKHMRAFANICNSGVTQSEMEEACSAACNGHDPGQLHPSNKGYSA >ONI09345 pep chromosome:Prunus_persica_NCBIv2:G5:17746898:17753106:-1 gene:PRUPE_5G233600 transcript:ONI09345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSANLWVLLGLGLAGILFMTRKLKKTIREDFGAFIERLQLLPPPQPAPPKAPHPLTGLTFAVSDVFDIEGHVTGFGHPDWERTHDAASRTAPVVSVLVEGGATCVGKTVVDELAYSISGENKHYGTPTNPAASSRTPGGSSSGAAVAVAANLVDFSLGIDTVGGVRVPAGSCGVIGFRPSHGAVSHNGIIPVSTSLDTVGWFAKDSSVLRRVGHVLLQLPYAVSRSPRQIVIADDCFQQVKIPVDRIVQVVTKSTLKLFGRQVFKHENLGDYFNSKVPSLKEFHGQKTNGEVKTSSIKLLANVVQLLQRHEFRHNHGEWINLVKPVLDSATSAQICGPLDASDEEIEICKSIKNELHAAVNSLLKDDGILVIPTLADPPSKLGGKDILSEDFRSRAFGLLSIASLSGCCQVTVPLGSHDKYPVSVSFIARHGGDRFLLDALHTMYASLQEQADIASKSKLSKNALTREQSAEIAKEKGNQAYKDKEWHKAINFYSEAIKLSGNNATYYSNRAAAYLEVGSFLQAEADCTKAINLDKKNVKAYLRRGTAREMLGYYKEAIEDFKYALVLEPTNKRASLSAERLRKLFQ >ONI07862 pep chromosome:Prunus_persica_NCBIv2:G5:13266016:13272801:-1 gene:PRUPE_5G143400 transcript:ONI07862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQSQEPAIINPLQVQDKGEDKELDPGSDEPEAPLPLTVTSRVLYMLGDIAAGPAYRITQWLELVRKRSSRYHSSGFPHSLPRFNSMPFSAGESVGDWADHLPTEQNTEVNLWERLGKAATLDIESSSFSWDRLSSLHHTEHSSSNDNSEDEMNKALEVTVNSGGVVFFALFNQPGIEDAIPKEAVAVIKISSSRMATQSERLGYEFAKCLGVRIPQARVIHNCSSEWLQMKEAAEKARDVASSEGDECGEMTCSELLEALELSRCLLLMSYVHGSPLLESSNVFESKETAEKTAAALGRILMLDLVIRNEDRLPCRQLRWRGNSANLLLADKTTFANMDRLEEAFDSSIKRYKPRVIRGLHKDRRATSVDRKLSAHNSGLVSQTSDLSDIIESPRSIKSQLSDDSILSDFPIVAIDSGVPRRPPAGKRANDQEIYPRLVELLLNSSEYSSNVLHDITLGKLGRPPLQDIDASDTRAVYERTSVVQEFRNGFRAALRDLQGFHIFLLTLHQKLENLLRIFFNIIDKISSGESDKEDLAVPESPSLASGSVNFPFSPSKDRLINENHPESDSELQRTAPRSSYSGNKESSDLCSPMSRDSWHGRFSKGSAEPLRSLRLTAKLRDFHKYAKVDAESNKELEQWNEMLKSDAIKLCQENNFNAGFFEGSDNNGVVDAYELKVRLEHILERIALISGAANTERPSPITSCLFIGGALAARSVFTLQRLGITHILCLCSNEIGQSDSQFPDLFEYKNFSICDNDDSNISGIFDEAISFIDHVEQIGGKVLVHCFEGRSRSATLVLAYLMLRKNRTLLEAWNSLKQVHRRAQPNDGFAKVLLDLDKKLHGKVSMEWQQRKPTMKVCPICGVNAGLSSSSLKLHLQKSHKKLSSGSVDSAMTMEIQKALTALKMSRGGSVSPKQRHSHSDVED >ONI08046 pep chromosome:Prunus_persica_NCBIv2:G5:13890814:13891902:1 gene:PRUPE_5G155200 transcript:ONI08046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPWNMVIDPAFDHLVIQGSRSGSSELQIMSIHYGDCLREAVRGQKLSMKFRSARKLQELCFSNGGVYISGSVSTLDGCLKRARAELSKCSIRTVCLD >ONI08196 pep chromosome:Prunus_persica_NCBIv2:G5:14268133:14271668:1 gene:PRUPE_5G163400 transcript:ONI08196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALGFPSLNTHTISCSSFQKQRTTKIPCQRERFSSISLLSSLKLRPCYRPFHFRRSATRMQADNEDYELKQMRDVAAAKKRWEALVREGKVKALTPREAGYAIQLSNKTLLDVRPSTEHKKAWVKGSTWIPIFDVGNEFDAGTLSRKFMSFTMGGWWSGVPTLSYNSQFLSKVDEKFPKDTDLIVACQKGLRSLAACEQLYNAGYRNLFWVQGGLEAAEEEDLVREGPQPFKFAGIGGVSEFLGKCIYL >ONI07104 pep chromosome:Prunus_persica_NCBIv2:G5:10824849:10827483:-1 gene:PRUPE_5G100200 transcript:ONI07104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCNKRHKNDQPSIKYRWYPVGQASSGLADFVHEKYPPFVSDKINKQRSRKPEPKSPAILSNAMLISAVGQIWDCASRPLGFFQPKAHSNHNDSGCQKEVVLADLGREGNDVAPISAKSKYLTVDLMQPSLDFLQVSEKMSVFEPYSGSYCHSSLWRFLHGGGNVSQESWKGKGLASLEVSYQLGSIYGWMSDTISSGLKCPVKVTEIENRTGQYCILEDTNNIAGGSISGDTVNIAGGSISGDSSSPVDNLTTNAYNLSLYENEKLEMNTRTSLTSDYFLRAVGSEVDGSISRTPCSNLYTDYHIDLLASCKDQFEESHHKIDDHEQLESKKKQSEIFIIQDECKTEIGALACEKPHYALAKQEHAFAGALSGIVVSVCLHPVDTIKTVVQSCRAEQKSLCYIGKSIVSDRGLTGLYRGIATNIASSAPISAVYTFTYESVKGALLPLFPKEYYSFAHCMAGGCASIATSFIFTPSERIKQQMQVGSHYNNCWNALVGIVRNGGLPSLYAGWGAVLCRNVPHSIVKFYTYESLKQFMLSPNEASVHPTTLQTLR >ONI07103 pep chromosome:Prunus_persica_NCBIv2:G5:10823589:10828570:-1 gene:PRUPE_5G100200 transcript:ONI07103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCNKRHKNDQPSIKYRWYPVGQASSGLADFVHEKYPPFVSDKINKQRSRKPEPKSPAILSNAMLISAVGQIWDCASRPLGFFQPKAHSNHNDSGCQKEVVLADLGREGNDVAPISAKSKYLTVDLMQPSLDFLQVSEKMSVFEPYSGSYCHSSLWRFLHGGGNVSQESWKGKGLASLEVSYQLGSIYGWMSDTISSGLKCPVKVTEIENRTGQYCILEDTNNIAGGSISGDTVNIAGGSISGDSSSPVDNLTTNAYNLSLYENEKLEMNTRTSLTSDYFLRAVGSEVDGSISRTPCSNLYTDYHIDLLASCKDQFEESHHKIDDHEQLESKKKQSEIFIIQDECKTEIGALACEKPHYALAKQEHAFAGALSGIVVSVCLHPVDTIKTVVQSCRAEQKSLCYIGKSIVSDRGLTGLYRGIATNIASSAPISAVYTFTYESVKGALLPLFPKEYYSFAHCMAGGCASIATSFIFTPSERIKQQMQVGSHYNNCWNALVGIVRNGGLPSLYAGWGAVLCRNVPHSIVKFYTYESLKQFMLSPNEASVHPTTLQTLVCGGLAGSTAALLTTPFDVVKTRLQTQIPGSMSQYNSVIHALQEIGKNEGLKGLYRGLTPRLVMYMSQGALFFASYEFFKSLFSLDTPQTDAPRIQYQQKTEGNPVLPSSLPAMSSPASSELRGLHS >ONI06438 pep chromosome:Prunus_persica_NCBIv2:G5:6510330:6511982:-1 gene:PRUPE_5G060400 transcript:ONI06438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQVGSTLPAHNLNLSLARRASFRNYTSPLNPLTRTPSSCSYSAAASVSSQTISRKAVSTSTEATRLHLANLDKLLQTQPPTKTQLDPQPDLENYKDQNTGSVENRGKGLLEGLSLARLWPQVKAAEEMSPRHLNRLQRLLSKTGEYSPRNNLGTRWREYHGSNNWAGLLDPLDQNLRREVVRYGEFIQAAYHSFHSNPAMSAEEAQLPRHVALPDRSYKVTKSLYATSSIGLPDWVDDVAPDLGWMTQRSSWIGYVAVCDDKREISRMGRRDIVIALRGTSTCLEWAENLRAQLIQMPSTEGGEQAQAKVECGFLSLYKTGGEHVPSLSESVVEEVKRLMEQYKGETLSITVTGHSLGAAIALLVGDELKTCAEEMPSVAVFSFGGPRVGNRGFTKRMDDKNVKVLRIVNSQDVITRVPGIFIGEGVLEEKLKSAKVGGFVDMIDRNMPLAYSHVGTELRVDTKMSPYLKPNADVACCHDLEAYLHLVDGFLASNCPFRENAKRSLVRLLQDQGSNVKKLYTSKANNLTRLKLEREGLQMASCLPSPSS >ONI05650 pep chromosome:Prunus_persica_NCBIv2:G5:1828661:1829893:1 gene:PRUPE_5G017000 transcript:ONI05650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFSYLSDTDESAVEELISQAQELCVLEQVSAINCSGFTDSELPTELECRFRKLKSFPVTKHKSTAPVSGSARRSSIEGKAEPVSDEDSEIFSPSKQNPDEKMGSDPKSVSGSVSSPLNPSEFSVRKGKKGSKVKPKHGSVSSPSNSSNSSPESAIFSPSKQNPDKKRRPKPKSKSRSISSPFDSCNSLMDSPSPPRKSGCFWCSPKTKRPSHTKSKENGASEFRVGLNFIDNDELLTDLGSFSKKEQTRKLKKALKEEEKVCKEAEKIVKWAKQASARMTVTGIEDELSDD >ONI07181 pep chromosome:Prunus_persica_NCBIv2:G5:11149474:11153855:1 gene:PRUPE_5G104800 transcript:ONI07181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLIVHQPIRALLRQGLYPEALQASTSTSPLNPFLTNQTYALFLKSGHRLDPFLASAVISQFAKLGLFYHALQFLNDTPDPDTVSYNALIAGLARSGPPGPVFDLFDRLRHVGLRPDAFTLSSLVKACDGLEENEIAHGVCLRLGLGYGGFVVSGLVENYMRNGDVRSAEKCFRECLVVDNVVWTAMVSGYVWSCEFEKGREVFVEMRGLGLELNEFSLTAVLGALFDEKEGEQVHGVGVKMGFLRGCSVHLSNAIMNMYSRCGNKQNAAKVFDEITDPDVVSWTERIGAASDGVEALELFKILHSGDLKVNEYTIINVLSAIVGLGMLNPGKQIQALCQKLGYLWVVSVGNVLISMYGKCEQIGDARSIFDDMVCRDSVSWNSLIAGYSENGLVTQALEVLRCMRDISLQPNGYTVASLLEVASNLNSPRLAMQIHSHVIKIGFMVDDRVVACLIVTYGKCNWIDESKRIFYDINKINLVLLNAMATSFVRSGCHADAINLFHISRGLKLEVDSSTFSTVLKACGAITELEQGRVIHSLALKTGFDQGSFVESAIIDVYCKCGSIGDAEKVFRHVSTNNLASWNAMVMGYAQHGFHDEVSELFNKMSKFGVKPDHITYLGVLTSCCHAGLVKEAFSYLNSMFERDELMPHIEHYASVVELLSRLGLLEEAKRTIDQMPICPDAHIWQILLSACNIHKNMDMGRVAAQKLLEFEPDNESAYILLSNLYASAGMWSAVGKVRKEMKEKIVYKEPGSSWLQVGGLLHYFLADDKSHRDSKEIHTELIRLYRQMSVTSKLENDSPNLMIYDL >ONI07182 pep chromosome:Prunus_persica_NCBIv2:G5:11149474:11154584:1 gene:PRUPE_5G104800 transcript:ONI07182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLIVHQPIRALLRQGLYPEALQASTSTSPLNPFLTNQTYALFLKSGHRLDPFLASAVISQFAKLGLFYHALQFLNDTPDPDTVSYNALIAGLARSGPPGPVFDLFDRLRHVGLRPDAFTLSSLVKACDGLEENEIAHGVCLRLGLGYGGFVVSGLVENYMRNGDVRSAEKCFRECLVVDNVVWTAMVSGYVWSCEFEKGREVFVEMRGLGLELNEFSLTAVLGALFDEKEGEQVHGVGVKMGFLRGCSVHLSNAIMNMYSRCGNKQNAAKVFDEITDPDVVSWTERIGAASDGVEALELFKILHSGDLKVNEYTIINVLSAIVGLGMLNPGKQIQALCQKLGYLWVVSVGNVLISMYGKCEQIGDARSIFDDMVCRDSVSWNSLIAGYSENGLVTQALEVLRCMRDISLQPNGYTVASLLEVASNLNSPRLAMQIHSHVIKIGFMVDDRVVACLIVTYGKCNWIDESKRIFYDINKINLVLLNAMATSFVRSGCHADAINLFHISRGLKLEVDSSTFSTVLKACGAITELEQGRVIHSLALKTGFDQGSFVESAIIDVYCKCGSIGDAEKVFRHVSTNNLASWNAMVMGYAQHGFHDEVSELFNKMSKFGVKPDHITYLGVLTSCCHAGLVKEAFSYLNSMFERDELMPHIEHYASVVELLSRLGLLEEAKRTIDQMPICPDAHIWQILLSACNIHKNMDMGRVAAQKLLEFEPDNESAYILLSNLYASAGMWSAVGKVRKEMKEKIVYKEPGSSWLQVGGLLHYFLADDKSHRDSKEIHTELIRLYRQMSVTSKLENDSPNLMIYDL >ONI07183 pep chromosome:Prunus_persica_NCBIv2:G5:11149456:11154403:1 gene:PRUPE_5G104800 transcript:ONI07183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLIVHQPIRALLRQGLYPEALQASTSTSPLNPFLTNQTYALFLKSGHRLDPFLASAVISQFAKLGLFYHALQFLNDTPDPDTVSYNALIAGLARSGPPGPVFDLFDRLRHVGLRPDAFTLSSLVKACDGLEENEIAHGVCLRLGLGYGGFVVSGLVENYMRNGDVRSAEKCFRECLVVDNVVWTAMVSGYVWSCEFEKGREVFVEMRGLGLELNEFSLTAVLGALFDEKEGEQVHGVGVKMGFLRGCSVHLSNAIMNMYSRCGNKQNAAKVFDEITDPDVVSWTERIGAASDGVEALELFKILHSGDLKVNEYTIINVLSAIVGLGMLNPGKQIQALCQKLGYLWVVSVGNVLISMYGKCEQIGDARSIFDDMVCRDSVSWNSLIAGYSENGLVTQALEVLRCMRDISLQPNGYTVASLLEVASNLNSPRLAMQIHSHVIKIGFMVDDRVVACLIVTYGKCNWIDESKRIFYDINKINLVLLNAMATSFVRSGCHADAINLFHISRGLKLEVDSSTFSTVLKACGAITELEQGRVIHSLALKTGFDQGSFVESAIIDVYCKCGSIGDAEKVFRHVSTNNLASWNAMVMGYAQHGFHDEVSELFNKMSKFGVKPDHITYLGVLTSCCHAGLVKEAFSYLNSMFERDELMPHIEHYASVVELLSRLGLLEEAKRTIDQMPICPDAHIWQILLSACNIHKNMDMGRVAAQKLLEFEPDNESAYILLSNLYASAGMWSAVGKVRKEMKEKIVYKEPGSSWLQVGGLLHYFLADDKSHRDSKEIHTELIRLYRQMSVTSKLENDSPNLMIYDL >ONI09402 pep chromosome:Prunus_persica_NCBIv2:G5:17881533:17882701:1 gene:PRUPE_5G236200 transcript:ONI09402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRNSNNNNRQREGFEEMGFPVHSQVRKIKQESEKIIDWLPGKPEMRPVLGEITMTRQISRSPLGISC >ONI07763 pep chromosome:Prunus_persica_NCBIv2:G5:13005274:13010327:1 gene:PRUPE_5G138200 transcript:ONI07763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSSSSSSVLIPSLQWRAPSHQTSFPYFPLQSVNTCISSCCCAQFHHHHDRSRSSSSQVFSIYPIRLINPSTPFSSSFFSQFKARPFPSGSTARRRSKLRIVSEVFERFTERAIQAVIFSQREARALGRHMVFTQHLLLGLIAEEEQHRHLHPTSNGFLGSGITIDQAREAVQSIWHHHGQSQTASADLVPNASPGRAASATDVPFSISTKRVLEAALEYSRARAHNFIAPEHIAIGLFTADDGSAGQVLKRLGVDVNQLLAEATSRLQVELARDGREPSGGFQKTFSKKSSAKISSENTKEESVLDQFCVDLTARASEGLIDPVIGRDTEVQRIIQILCRRSKNNPILLGESGVGKTAIVEGLAISIAQADVPAFLLTKRVMSLDIALLMAGSKERGELEARVTTLLSDIQKSGNIILFIDEVHTLIESGTVGRGNKGSGLGIANLIKPSLGRGQLQCIAATTIDEYRMHLEKDKAFVRRLQPVWINEPSQDDAVRILLGLREKYEAHHNCRYEPEAIDAAVYLAARYIADRYLPDKAIDLIDEAGSRARMEAFKRKREQQIGILSKSPDDYWQEIRTVQAMHEVQVLASELKNGTAPSVDDTKEPILDSFSSSTADNEPTVVRSDDIAAVASLWSGIPLQQLTADDRMLLVGLDEKLRKRIVGQEEAVDAISRAVKRSRVGLKDPNRPIAALLFCGPTGVGKTELTKALAACYFGSEEAMLRFDMSEYMERHSVSKLIGSPPGYVGYGEGGTLTEAIRRRPFTVVVLDEIEKAHPDIFNILLQMFEDGHLTDAQGRRVSFKNALVVMTSNVGSTIIAKGRQSSIGFVLADDEVTSYAGIKATVMEELKTYFRPELLNRIDEVVVFHPLQKAQMLEIVNLMLQEVKKRLMSLGMGLEVSQSVKDLICEQGYDRFYGARPLRRAITSIIEDPLSEALLAGGYKPGETVIIDLDATGNPFVRNGSDQSVHISNTSIQHPSCS >ONI05429 pep chromosome:Prunus_persica_NCBIv2:G5:749989:752304:-1 gene:PRUPE_5G007000 transcript:ONI05429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRCLTVNHPHHYHPRLSSSSKPSKSTSQVVDKAEQVVRVSVPISSLISRRCAILITWLPLSLISASPPSEARERRNRKVIPLEDYRTTPDGLKYYDLVEGKGPVAEKGSTVEVHFDCLYRGITAVSSRESKLLAGNRSIAQPYVFKVGAPPGKERKREFVDNANGLFSAQAAPKPPQAMYSVTEGMKVGGKRTVIVPPEAGYGLKGMNEIPPGATFELNLELLQVIPPEGK >ONI05430 pep chromosome:Prunus_persica_NCBIv2:G5:750279:752278:-1 gene:PRUPE_5G007000 transcript:ONI05430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRCLTVNHPHHYHPRLSSSSKPSKSTSQVVDKAEQVVRVSVPISSLISRRCAILITWLPLSLISASPPSEARERRNRKVIPLEDYRTTPADGLKYYDLVEGKGPVAEKGSTVEVHFDCLYRGITAVSSRESKLLAGNRSIAQPYVFKVGAPPGKERKREFVDNANGLFSAQAAPKPPQAMYSVTEGMKVGGKRTVIVPPEAGYGLKGMNEIPPGATFELNLELLQVIPPEGK >ONI06910 pep chromosome:Prunus_persica_NCBIv2:G5:9936824:9938634:1 gene:PRUPE_5G088400 transcript:ONI06910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPSNGAEKPLKFLIYGRTGWIGGLLGKLCEAQSIAYEYGSGRLESRASLEADIAAIKPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCRERGLVLVNYATGCIFEYDAGHQLGSGVGFKEEDTPNFIGSFYSKTKAMVEDLLNNFENVCTLRVRMPISSDLSNPRNFITKITRYEKVVDIPNSMTILDELLPISIEMAKRNLTGIYNFTNPGVVSHNEILEMYKEYIDPSFTWKNFTLEEQAKVIVAPRSNNELDATKLKQEFPELLSIKESLIKNVFKPNQKTAKA >ONI08818 pep chromosome:Prunus_persica_NCBIv2:G5:16299812:16300945:1 gene:PRUPE_5G202400 transcript:ONI08818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIVGVIGPSYAVELPRMTCLCRPFMTTHRIPHMTCMCMPFMTTYRIPRMTCICMPFMTTHYNPRMMCLCMPFMTTQCCPCMTSDCRPRLYSLYF >ONI09389 pep chromosome:Prunus_persica_NCBIv2:G5:17856365:17859533:-1 gene:PRUPE_5G235500 transcript:ONI09389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQSHRLSLFLFSLLVLLPIAKPDLGSDRAALLALRSAVGGRTLLWNVNQPTPCSWAGVKCENNRVTVLRLPGVALSGTIPSGIFGNLTSLRTLSLRLNALTGHLPSDLSACVTLRNLYLQGNLFSGEIPQFLYSLPDLVRLNLASNNFSGEISLGFNNLTRIRTLYLQNNKLSGVIPELNLPKLEQFNVSNNLLNGSVPKKLQSYSSSSFLGNLLCGRPLDSACPGDSGAAPNGDININDDHKKKSKLSGGAIAGIVIGSVLGFLLIVMILILLCRKKSSKKTSSVDIATVKHPEVEIPGDKLPADAENGGYGNGYSVAAAAAAAMVGNGKSEANSAGGAAGAKKLVFFGNAARVFDLEDLLRASAEVLGKGTFGTAYKAVLEVGTVVAVKRLKDVTISESEFKEKIEAVGVKDHENLVPLRAYYFSRDEKLLVYDYMPMGSLSALLHGNKGAGRTPLNWEIRSGIALGAARGIEYLHSQGQTVSHGNIKSSNILLTKSYEARVSDFGLAHLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKPPTHALLNEEGVDLPRWVQSIVKEEWTSEVFDLELLRYQNVEEEMVQLLQLAIDCSAQYPDKRPSISEVTRRIEELRRSSLREDHEQQQHPDVVHDLEDVSSR >ONI09302 pep chromosome:Prunus_persica_NCBIv2:G5:17589567:17593339:1 gene:PRUPE_5G230200 transcript:ONI09302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFLSSCSTFVHPQLQPIVAKMTLLDTLFFYVIHFVDKLGIWHRLPVFMGLAYLGIRRHLHQRYNLLHVGRINGQKYDTERLSYRTADGTCNHPHDHLVGSQGTCFGRNMPPSTSPYGLLEPHPAIVASKLLARKKFVDNGKQFNMIACSWIQFMIHDWVDHLEDTEQVEIKAPEEIATGCPLKSFKFYKTKKVPTASTSSDSDCLNARTPWWDGSVIYGNNMEGMQRVRTFKDGKLKISGDGLLEHDENGIPISGDVRNCWAGFSLLQALFAKEHNAACDMLKVHYPDLDDEKLYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRINWYGFLGKRFKDLHGHILGPILNGLVGLKKPRDHGVPYSLTEEFVSVYRMHALLPDKLILRDIESTASEDKCPPILEEVLMRDMAGKEGERRLSTIGMEQMLVSMGHQACGAITLWNYPSWMRNLIAHDVNGEDRADPVDMAALEIYRDRERGVARYNEFRRNLLMIPINKWEDLTDDKEVVEALKEVYGDDVEKLDLQVGLHAEKKIKGFAISETAFFIFLLIASRRLEADRFFTTNFNSKTYTEKGLEWVNKTETLKDVIDRHFPEMTRRWMKCSSAFSVWDWEPNPESYIPLYLRPAP >ONI08038 pep chromosome:Prunus_persica_NCBIv2:G5:13852131:13854849:1 gene:PRUPE_5G154600 transcript:ONI08038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVGTYGNTAPGTSRSGGAAAGYDVPEGVEIRGRFDEEFAKILTKEALEFVAELQREFRNRIKYALECRKEAKRRYNEGAVPGFDPATKFIRETNWVCAPVPPAVADRRVEITGPVERKMIINALNSGAKVFMADFEDALSPTWENLMRGQVNLKDAVDGSITFHDKARNRVYKLNDKNTAKLFVRPRGWHLLEAHILIDGEPATGCLVDFGLYFFHNYAGFRQTQGAGFGPFFYLPKMENSREAKIWNCVFERAEKKAGIERGSIRATVLIETLPAVFQMDEILHELRDHSVGLNCGRWDYIFSYIKTFQGHPDRLLPDRFLVGMTQHFMRNYSDLLIRTCHRRGVHAMGGMAAQIPIRDDPAANEAALDLVRKDKLREVKAGHDGTWAAHPGLIPACMEVFTNNMGSNNPNQIQSMRREDASSITEEDLLERPRGVRTLEGLRLNTRVGIQYLAAWLTGTGSVPLYNLMEDAATAEISRVQNWQWIKYGVELDGDGLGVRVGNELFGRVVEEEMQRIEREVGKEKFKKGMYKEACKLFTRQCTAQTLDDFLTLDAYNHIVMHHPRGSSRL >ONI09406 pep chromosome:Prunus_persica_NCBIv2:G5:17893778:17898671:1 gene:PRUPE_5G236500 transcript:ONI09406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQLGSANQFKETWKHTLLLSFQSLGVIYGQLSTAPLYVFGTMNAEDIKSEETVYELFSCIFWTINIISLLKYAFIVLRADDNGEGGTFALYSLLCRHAKVGLLPNDTSANEVMHYETGSPFKIKVESRARRAIEKHKSSHYLMLFLALFGSCMTIGVGVLTPALSVYSVSSGVQRSMSDMAHLFSSSPRKQEAISNAFEKYVPVPTASAILVCLFTLQHYGTHKIGFIFAPIIVIWLIFIGGGGIYNIFHWNKQIIHAVSPMYMYRFVKNIEIKSWRSLGSIVLCVAGSEAMFADLGHFRKKSIKITFVCLIYPVLVLCYAGQAAYISKNLHAADFNHLSESIPHRIRHWFIALSLLASVVGSQATITASFSIINQCLALGCFPRVKVIHTSDKIHGQVYIPDINWLLMVLSLAVTIGFHDIMRIGSATGLAVISGMLVTTCLMSLVIALYWEKNLFESVCCLIFFGSIEVMYVSACMLNFHKGAWYLVVLLALSLTVMLSWHYGTKKKLEFDLQNKVSAEWLTDISPGLGVTRVPGIGFIYTDIVTGIPAFFSHFITNLPAFHQVLIFVSFKSLPMPYVPASRRYLIGRVGPKDLKIYRCVVRYGYCDPIRDTDNFEEQIISSIGEFITMEENEFESLNSSEGRMVVVGKPPADGSALIPLNETNSDEESVSLVSNIETQLAPMVADAVESGLGSVMRKKVRFMLPAKSPKMRASVRDELQELIDARESGTAYFLGQVHLAVRDGSDVLKRLLIMTYAFCDKNCREPPVALNIPHAALVEVGMVCCI >ONI09405 pep chromosome:Prunus_persica_NCBIv2:G5:17893882:17898666:1 gene:PRUPE_5G236500 transcript:ONI09405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQLGSANQFKETWKHTLLLSFQSLGVIYGQLSTAPLYVFGTMNAEDIKSEETVYELFSCIFWTINIISLLKYAFIVLRADDNGEGGTFALYSLLCRHAKVGLLPNDTSANEVMHYETGSPFKIKVESRARRAIEKHKSSHYLMLFLALFGSCMTIGVGVLTPALSVYSVSSGVQRSMSDMAHLFSSSPRKQEAISNAFEKCEYFVIKGTLAHMSSKMESKETGETKRRNTHCTWKINTTHSEPVNHDGQSLSDVPVPTASAILVCLFTLQHYGTHKIGFIFAPIIVIWLIFIGGGGIYNIFHWNKQIIHAVSPMYMYRFVKNIEIKSWRSLGSIVLCVAGSEAMFADLGHFRKKSIKITFVCLIYPVLVLCYAGQAAYISKNLHAADFNHLSESIPHRIRHWFIALSLLASVVGSQATITASFSIINQCLALGCFPRVKVIHTSDKIHGQVYIPDINWLLMVLSLAVTIGFHDIMRIGSATGLAVISGMLVTTCLMSLVIALYWEKNLFESVCCLIFFGSIEVMYVSACMLNFHKGAWYLVVLLALSLTVMLSWHYGTKKKLEFDLQNKVSAEWLTDISPGLGVTRVPGIGFIYTDIVTGIPAFFSHFITNLPAFHQVLIFVSFKSLPMPYVPASRRYLIGRVGPKDLKIYRCVVRYGYCDPIRDTDNFEEQIISSIGEFITMEENEFESLNSSEGRMVVVGKPPADGSALIPLNETNSDEESVSLVSNIETQLAPMVADAVESGLGSVMRKKVRFMLPAKSPKMRASVRDELQELIDARESGTAYFLGQVHLAVRDGSDVLKRLLIMTYAFCDKNCREPPVALNIPHAALVEVGMVCCI >ONI09408 pep chromosome:Prunus_persica_NCBIv2:G5:17894775:17898666:1 gene:PRUPE_5G236500 transcript:ONI09408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEDIKSEETVYELFSCIFWTINIISLLKYAFIVLRADDNGEGGTFALYSLLCRHAKVGLLPNDTSANEVMHYETGSPFKIKVESRARRAIEKHKSSHYLMLFLALFGSCMTIGVGVLTPALSVYSVSSGVQRSMSDMAHLFSSSPRKQEAISNAFEKYVPVPTASAILVCLFTLQHYGTHKIGFIFAPIIVIWLIFIGGGGIYNIFHWNKQIIHAVSPMYMYRFVKNIEIKSWRSLGSIVLCVAGSEAMFADLGHFRKKSIKITFVCLIYPVLVLCYAGQAAYISKNLHAADFNHLSESIPHRIRHWFIALSLLASVVGSQATITASFSIINQCLALGCFPRVKVIHTSDKIHGQVYIPDINWLLMVLSLAVTIGFHDIMRIGSATGLAVISGMLVTTCLMSLVIALYWEKNLFESVCCLIFFGSIEVMYVSACMLNFHKGAWYLVVLLALSLTVMLSWHYGTKKKLEFDLQNKVSAEWLTDISPGLGVTRVPGIGFIYTDIVTGIPAFFSHFITNLPAFHQVLIFVSFKSLPMPYVPASRRYLIGRVGPKDLKIYRCVVRYGYCDPIRDTDNFEEQIISSIGEFITMEENEFESLNSSEGRMVVVGKPPADGSALIPLNETNSDEESVSLVSNIETQLAPMVADAVESGLGSVMRKKVRFMLPAKSPKMRASVRDELQELIDARESGTAYFLGQVHLAVRDGSDVLKRLLIMTYAFCDKNCREPPVALNIPHAALVEVGMVCCI >ONI09407 pep chromosome:Prunus_persica_NCBIv2:G5:17894099:17898666:1 gene:PRUPE_5G236500 transcript:ONI09407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQLGSANQFKETWKHTLLLSFQSLGVIYGQLSTAPLYVFGTMNAEDIKSEETVYELFSCIFWTINIISLLKYAFIVLRADDNGEGGTFALYSLLCRHAKVGLLPNDTSANEVMHYETGSPFKIKVESRARRAIEKHKSSHYLMLFLALFGSCMTIGVGVLTPALSVYSVSSGVQRSMSDMAHLFSSSPRKQEAISNAFEKYVPVPTASAILVCLFTLQHYGTHKIGFIFAPIIVIWLIFIGGGGIYNIFHWNKQIIHAVSPMYMYRFVKNIEIKSWRSLGSIVLCVAGSEAMFADLGHFRKKSIKITFVCLIYPVLVLCYAGQAAYISKNLHAADFNHLSESIPHRIRHWFIALSLLASVVGSQATITASFSIINQCLALGCFPRVKVIHTSDKIHGQVYIPDINWLLMVLSLAVTIGFHDIMRIGSATGLAVISGMLVTTCLMSLVIALYWEKNLFESVCCLIFFGSIEVMYVSACMLNFHKGAWYLVVLLALSLTVMLSWHYGTKKKLEFDLQNKVSAEWLTDISPGLGVTRVPGIGFIYTDIVTGIPAFFSHFITNLPAFHQVLIFVSFKSLPMPYVPASRRYLIGRVGPKDLKIYRCVVRYGYCDPIRDTDNFEEQIISSIGEFITMEENEFESLNSSEGRMVVVGKPPADGSALIPLNETNSDEESVSLVSNIETQLAPMVADAVESGLGSVMRKKVRFMLPAKSPKMRASVRDELQELIDARESGTAYFLGQVHLAVRDGSDVLKRLLIMTYAFCDKNCREPPVALNIPHAALVEVGMVCCI >ONI07282 pep chromosome:Prunus_persica_NCBIv2:G5:11559928:11560482:-1 gene:PRUPE_5G111400 transcript:ONI07282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFSQKSSFIILALIISPSVSATRASKFQAEKPCKRMVLYYHDKLFDGTEKDKANATSVTAANASKLSDTNFGMLVVFNDPITIDDKFHSPPVARAQGFYFYDRKDTYTAWFAYTLVFNSSEHKGTLNIMGADLMTEKTRDLSVVGGTGDFFMARGIATFQTDTLQGLYYFRLKMDIKLYECY >ONI07796 pep chromosome:Prunus_persica_NCBIv2:G5:13090748:13093798:1 gene:PRUPE_5G140300 transcript:ONI07796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGSEPGGGSSDSSGGWSVARGLVVKTLVLIGGALVLKRLTKSTTRWDHARLVSRSISGEKFSKDQAARDPDHYFNIRMVTCPAAEMVDGSKVLYFEQAFWRTPQKPFRQRFYMVKPCSKELKCDVELSSYAIRDAEEYKNFCDRSKDQRPLPEEVIGDIAEHLTTIHLRRCERGKRCLYEGSTAPGSFPNLWNGAAYCTSELSILKNNEIHAWDRGYDGDGNQVWGPKEGPYEFKPAPASSTNDMFSSLNFPIQQSLEKRIEGSFVLQE >ONI06221 pep chromosome:Prunus_persica_NCBIv2:G5:5099869:5102555:-1 gene:PRUPE_5G048100 transcript:ONI06221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQTVAKIPVVDFSNEDCLKPGTSSWLSISKSICHALEELGCFVAILPGKVSPELHNTFFGTLGELFDFPTEIKVQSSFEKPYPSGYITANAANEGLGIGYATDPEDTRRFTRHFWPEGHDSFREGADLYAKVMEELDQVVTRMVFENYGVEKYHDDHIQSIVHTYRFNQYKEFDKTGIDEGLPAHTDKTFSTTLYQNHVKGLEIYSKDNEWISVDPLPSSFIFLAGDGFQVWSNDRVRACKHRVTLRENDVRYSFGLFSYREGETHVPKELVDKDHPLQYKALHQFEYVQYFKKNYSTLGPLYSIKEYCGV >ONI05356 pep chromosome:Prunus_persica_NCBIv2:G5:479002:482740:1 gene:PRUPE_5G003600 transcript:ONI05356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEPLNIENEAVEFDMGGSGDDDATALDLEHPDDSYDIFDDDLVQSSNSTRSRDDLASGDPNLEPYEGMEFDSEQASRIFYNSYARRIGFSTRVSVYQRSRRDGSIICRQVVCSREGFRRDGGENRSKRQRTVTRVGCKAQMTVKKQSSGRWAVSKLVKEHNHELVPPDKVHCLRSHRHVSGPARSLIDTLQAAGMGPSGVMSVLIKESGGINNVGFTKVDCQNYMSSSRQRTLGSGGQFVFDYLKRMQAEDPGFFFAVQGDFENLTGNIFWADSNSRMNYDYFGDTVTFDTTYRTNRYRVPFAPFTGWNHHGQPVLFGCSLLLNESESSFVWLFQTWLAAMSTRHPVSITTDQDRIIRAAVGQVFPGTRHRFCKWNVLREAQEKLSDVYHSHPTFEAEFQRCINMTETIDEFESCWESLLQRYDIGDNEWLQSMYDARQQWVPVFLRDTFFGEMSVTQGSDNINSYFDGYINASTNIQVLIKQYEKAIATRHEKEVKADYDTMNISPILRTPSPMEKQAAKLYTRVVFMKFQEELVETLAYPATMVDDTGSETVYRVAKFGEDHKVYFVRFNVFEKKSSCSCQMFEFSGIICRHILAVFRVTNVLTLPNHYILKRWTKNAKSGVVWDEHTLGLPNDSQDSSAARYDNLRREAIKYVEEGAESVRVYNVAMDALHEAASEVTAAKKHGLGVVQSTSINCSQQLQSCTVDQDKKIKELAAELDIASQQCEAYRAKLLAILKDMEEQKLKISVNVRNVRLNLRS >ONI07302 pep chromosome:Prunus_persica_NCBIv2:G5:11608934:11612965:-1 gene:PRUPE_5G112100 transcript:ONI07302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPNVDLLRRRTITDSDQSPSGLGESGSDPEAQDFTFAFNDINFSDRILRIEIVPDSPEAKPDGVGCSTASDWERNKKRRRADIKRDSAEDILAHSEEQVLNCNIPDTVDDVAFENQDEEAAAMNESPSGVGRVDEAVHSNDSSWSMDCSTVLKVNTIHVSSPILAAKSPFFYKLFSNGMRESEQRQVTLRIHASEEAALMDLINFMYSNTLSTITPPALLDVFKVADKFEVASCMRYCSRELRKFPMTRESALLYLDLPSSVLMADAVQPLTDAAKQFLAGCYKEISKFQDEVLNLPLAGIEAVLSSDDLQVASEDGIYDFVLRWARSHYPKLEERREVLGSRLGRLIRFPHMSCRKLRKVLTCNDFDPALASKIVLESLFFKAEAPFRQRSLALGDRRFGERSYKYRPIKLVEFEQPHDQCIVFLDLKREECARLFPGGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFTVDYEFSARSKPGEDYLSKYKGNYTFTGGKAVGYRNLFGIPWTSFMADDSIYFIDSVLHLKAELTIRQ >ONI07304 pep chromosome:Prunus_persica_NCBIv2:G5:11609088:11612855:-1 gene:PRUPE_5G112100 transcript:ONI07304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSTVLKVNTIHVSSPILAAKSPFFYKLFSNGMRESEQRQVTLRIHASEEAALMDLINFMYSNTLSTITPPALLDVFKVADKFEVASCMRYCSRELRKFPMTRESALLYLDLPSSVLMADAVQPLTDAAKQFLAGCYKEISKFQDEVLNLPLAGIEAVLSSDDLQVASEDGIYDFVLRWARSHYPKLEERREVLGSRLGRLIRFPHMSCRKLRKVLTCNDFDPALASKIVLESLFFKAEAPFRQRSLALGDRRFGERSYKYRPIKLVEFEQPHDQCIVFLDLKREECARLFPGGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFTVDYEFSARSKPGEDYLSKYKGNYTFTGGKAVGYRNLFGIPWTSFMADDSIYFIDSVLHLKAELTIRQ >ONI07303 pep chromosome:Prunus_persica_NCBIv2:G5:11608998:11612855:-1 gene:PRUPE_5G112100 transcript:ONI07303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPNVDLLRRRTITDSDQSPSGLGESGSDPEAQDFTFAFNDINFSDRILRIEIVPDSPEAKPDGVGCSTASDWERNKKRRRADIKRDSAEDILAHSEEQVLNCNIPDTVDDVAFENQDEEAAAMNESPSGVGRETFVVDEAVHSNDSSWSMDCSTVLKVNTIHVSSPILAAKSPFFYKLFSNGMRESEQRQVTLRIHASEEAALMDLINFMYSNTLSTITPPALLDVFKVADKFEVASCMRYCSRELRKFPMTRESALLYLDLPSSVLMADAVQPLTDAAKQFLAGCYKEISKFQDEVLNLPLAGIEAVLSSDDLQVASEDGIYDFVLRWARSHYPKLEERREVLGSRLGRLIRFPHMSCRKLRKVLTCNDFDPALASKIVLESLFFKAEAPFRQRSLALGDRRFGERSYKYRPIKLVEFEQPHDQCIVFLDLKREECARLFPGGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFTVDYEFSARSKPGEDYLSKYKGNYTFTGGKAVGYRNLFGIPWTSFMADDSIYFIDSVLHLKAELTIRQ >ONI06687 pep chromosome:Prunus_persica_NCBIv2:G5:8871572:8878074:-1 gene:PRUPE_5G074600 transcript:ONI06687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLSNQRDSSSSSSSSSSSSLSSSFTPNRWSYDVFLSFRGEDTRNNFTGHLYTALCRRGLNTFIDDELRRGEEVAPTLFKTIQESMTSIVVFSENYASSKWCLDELVCILDCKESKNQIVWPIFHKVAPSDVRNQRGSFGEALRGHEANFRMDRVERWKAALTQAANLSGWHFTEGHESNFIHNIVEEISLRTSNRTYLKVAKYPVGLESRIRDMDELLCVGKTDVRMVGIWGIGGIGKTTIAKAVYGSIAHKFEGQCFLANVREMSSMPNGLVQLQNNLLSEILGGSTKFMVTSCHQGANVIEKRLHNKRVLLVLDDVDHRDQLDNLAGGPDWFGPGSRIIVTTRDKHLLTAHGVASTYKAKELDFHESSELFSWNSFKRDKPPNDYVNLVGRAVCYTKGLPLALTVLGSHLCGRSIEEWKDALDSYEIIPNKEIQEILKISFNGLEHFQKEVFLDIACFFKGENKDDIVDILRSCDLFPVISIRVLIDKSLLAINEHNMLTMHDLLEDMGKEIVREESPNEPGERSRLWFHKDVCEVLTKQTGTSRVRGIMINMPEKNEICLSAEAFSRMKNLRYLINLNARLIGNIDLPNELRLLNWYKYPLQSLPSNFQPEKLVALKMPSSNISRFGKGSTKLGTLKSMDFSGCEMLEEIPDFTGFPNLEKLFLRECSGLVGIHESVGYLEKLVTLTLQNCSNLTRFPTKLRLKSLKLLNMKGCRMLESFPEIEAGTMVLENINLECCENLRNLPRSIYQLKHLQELEVRGCPKLISFPMKENSENPSRVSHDSHSSLVFPKLRFLRIGDCNLSECDFLMPFNCVSTLTFLDLSGSSFVCLPKGINMFVSLEWLILRDCKKLQEIPQLSPCIKGINTGGCKSLERFSKLSSILEHNSQGSLQYSDLSNCHKLLKSLDFDVEKIASMLLSHSQTPQQHVPSDGERYEFSIILPGNDIPKWFGHRKQPADPNYCDFNIKFPPNFTGKNTRLAFSAAFRTIDYTLPYDYDDYERYGFHVRVFINGDEIFSFHEHIISPGSDHVWLQYISLSNMRHWKRYWNEEDIMYKCEVRFLPSEPISLKACGVQLVYLGHGDDVENLGVTIEEIIDKNNNPI >ONI07466 pep chromosome:Prunus_persica_NCBIv2:G5:12120778:12124133:-1 gene:PRUPE_5G121800 transcript:ONI07466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVADVALSPIRKESVNSAAGFFESHLGHFSSESIMLYLRVSGSVIPLRVLESDSIASVKLRIQTCNGFVVKKQKLVFEGRELARNDTLIKDYGITGENVLHLVLRLSDLLHITVKTICGKEFDFHVDRHRKVGYLKQRILKKGKGYDPEDQELFCNGEKLDDQRLIDDICKNNDDVIHLVVQKSAKVRAKHFDKDLELSVVAPVSDETREGVNGVANHRPEGVPVVTWESAFRNPDFLLEPIIVNPKVKVPSYVWDMFNSTFNGLQKGNQPIRSSEGTGGTYFMQDPSQEFISVFKPIDEEPNAVNNPHGLAVSPDGEGLKRGTRVGEGALREVAAYVLDHPKGGPRNLSREAIGFAGVPPTVLVRCLHKGFNYSKGYEGSLKNVKIGSLQMFMKNDGSCEDMGPSRFPVEEVHKISVFDLRMANADRHAGNILFRKGEDGQTVLIPIDHGYCLPENFEDCTFDWLYWPQACQPYSLDTIEYINSLDAEQDIALLKFYGWDIPIESARTLRISTMLLKKGVERGLTPFAIGSLMCRENINKESVIEEIVREAQDSLLPGMSEAAFLEAISEFMDLQLDKLAK >ONI08712 pep chromosome:Prunus_persica_NCBIv2:G5:15895210:15902755:1 gene:PRUPE_5G196200 transcript:ONI08712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAPPAATSSSMASTFRAYSMPLILFAAAMFFQLFVIPKSFPPSNYDILGIKMYSSIEEVREAYNNISSAWNSGERAPVTLDFIKIQYAYELLTNPLWKRNYDIFGIDEQIDVIEKVKEQYAGESFSKIELPLLDSVSSDIQDHDLTVITSKDFQTMFQGNMPALIQLCSFGSKSCDKFSDAWKKIAALLGGVANTGLLELGELQLATHLAERKPTGQPFFRNGLPSLVAFPPGCKTSNCLVRYEGELWVDSVTDWFATTILGLPRILYYSKESLGQKFLAKVSLHKVKVIFFSKTGERAAPFIRQAAKNYWTHASFAFVLWREEESTFWFNSFEVESAPAIVFLKDPGVKPVVYHGSVNNSWFLKIMEQNKQQELPQLRSTTSMELGCDPRGYSRAGHHTVTWYCAVIAGRHSPELSTMRGTMRRVEEILSNEVESRSADEEQSIAPAAGALKSKRLTFAWLDGEAQKKYCLFFLQSETSHETCGERTDMTDVPQLYIVRYKRNVTEEGDKPEQKPKSIWDAVQDQELDPASQLVAKYNGSDEIPEIMKWISQIIKDGDSRDLPHYRTRTPHLVPESSEPIWSAGVQSIPSTNTIKQSIRGILRGFYDRIRGDPRIGPILLLAALMSFGTIWLRRSQATPVSNQPSQPSQPNSKEAGRPRRDRPRRATNEHLPPSITDMEPKDSYQWSLSGSDSD >ONI05540 pep chromosome:Prunus_persica_NCBIv2:G5:1294009:1294774:1 gene:PRUPE_5G012000 transcript:ONI05540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLFSLLPLCPCIVCTELLRGAKDKRLRVKGPMRMPTKVLHITTRESPCGERTNTWDRVELRVHKMVIDLFNSPDVVKQITSITIEPSVELEVTNAYS >ONI09546 pep chromosome:Prunus_persica_NCBIv2:G5:18309701:18310613:1 gene:PRUPE_5G244200 transcript:ONI09546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEANERWETKTKPTFVHPRVLTRCRISISKQLEMAARPTLLTKSFSRWISTMESTNQSSREASKLRLKRQVVGKCDRSMLLATTTTCRQIAKDSSSASIGRSQPLIWAWSDGCCGKASRQKCPEVIFLWKSCRG >ONI06883 pep chromosome:Prunus_persica_NCBIv2:G5:9840384:9841932:1 gene:PRUPE_5G087100 transcript:ONI06883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLPSWSFSFLFTSWMLVTLSRTVVAKVVYRPSPWHLAHATFYGDETASETMGGACGYGNLFVNGYGTDTAALSSTLFNNGYACGTCYQIKCYGTSACFRNVQFTTVTATNLCPPNWSQDSNAGGWCNPPRTHFDMSKPAFMKIAQWKAGIVPVMYRRVPCIRAGGLRFSFQGNGYWLLVYVMNVAGGGDIANMWVKGSRSGWIKMSHNWGASYQAFATLGGQALSFRITSYTSKETIIAWNVAPSTWNVGLTYKANVNFH >ONI05594 pep chromosome:Prunus_persica_NCBIv2:G5:1557304:1557877:-1 gene:PRUPE_5G014400 transcript:ONI05594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTMERSLADILREERQLGHKGDGGWNAVAYNTAAAILSAQFDIEVSADNIRNRVKTWKRFYGIVSDILSQSTFSTEGQSCKRGHEGRFAVPLRNSNPPGKPKGKSSSCYCSKAIDANLASAHYFKIFFTIAPSINPVFPPTDRSHVAFLGASNPIFLLQSNSSQLCVNL >ONI06738 pep chromosome:Prunus_persica_NCBIv2:G5:9172309:9172641:1 gene:PRUPE_5G077900 transcript:ONI06738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTWAIHRDPSIWENPLEFDPERFLNSKWDYSGNDFNYFPFGFSRRICAGIAMAERMVMHSLATLVHSFDWKMPQGQKLDLSEKFGVVLKKKLPLVAIPTPRLSDPALYE >ONI08973 pep chromosome:Prunus_persica_NCBIv2:G5:16714120:16715100:-1 gene:PRUPE_5G210200 transcript:ONI08973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIHSVGGARFFAGSGGGGGDRRLRPHHHPNQQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSKPKSSSSLSPPPPPPQLNSATDQHKASSHSSSESSSLTNTTTAAAAAATATEAVSAPSSTGSASNLLSNIHRQESKFFGSQSGGFEPGAEAALLEQSTEMNGMFSEIGSFTSLITSSNDVPFGFGNIGGTDASVSPFRVNQQAQGDHQNQWAEQNQSEELKMQELSGGLMDQTGQVDLSVYHGRSNGGGGGVFGSLDWQACSGDQGLFDLPNTVDQAYWSQSQWTDQDHPTLYLP >ONI07662 pep chromosome:Prunus_persica_NCBIv2:G5:12799222:12800171:-1 gene:PRUPE_5G134100 transcript:ONI07662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCSTQHTFHPSFLLYLMGLPCVCLKMPKWRISFRTLLNLLQYFKVMVILALSHLGLLKPSEQITESATDSLEEHHHPNPNNYVLVLDRMCPSIVPVPIHVLTAYIKRRLPVVEFGQVLERYTKHAGEDTVCYICLEYIEGSHEVREQCNCDHVFHRECLDSWVNQGQLTCPLCRAMLFSPKSERTSCGGNPWTMDRDAYLDRLDFIVR >ONI05991 pep chromosome:Prunus_persica_NCBIv2:G5:3734091:3740911:-1 gene:PRUPE_5G032900 transcript:ONI05991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEKLLAQIFERKKWIVNQAKHQAYLFEQHLASKCLIDGIAPPQWLFSSSSSDPNHVLNKQELISGVPLPRAQPVIHFTDSHCPVFDKPVPTAHNGELPNGLCTELHGFDRGFGAGEEVLILPHCPVSNAGCASNGVPQDQREEDPSVTSPEDQKDARILDIYPYPALSPARGAGDEVSNLPQCLINNAHCSSDGVPQDQREEDPSVTSPEDQRGARMSDIYHDPALSPAKGAGDEVTILPQCPISNAGDSSNGVPQDQREEDPSVTSPEGQGDAKTSNIYHDPALSLARVQRSKSRQRAMAIRNSTYKSSSQVKNNVNGCAGGIIGCAISFLQSDHVDEMNLVKHPDTCDNLELSAVNGEKHSSKEYRSLEFVSRSTGSQVVRSVERIAKGASSNMSGQRIVATDTSSNISGQRINKSMSAASNKSHDAQRTQISTGRSIPIQRDLDLCAVNSIDSSDKENVADHYAGRNTCTEINCGTEEMSKPVNSQVLGHRGTQSRSAASNKHLPENLTHGLAGIRHQDVLSTMVQEVPCTQTSEFVEAASVGKTEIDPDGCIEANPIRSGSNLDGNGLRVGGEVLHLRQPADYAFVNPKQLNFDDVEESCFNGISTPDLKKGMQGRSSEKSYISLMHAEDILAEGITVNYQDNCNTPLEMSFLGDREVSVGGKELQSSLYGAPEEQLHKSGRSSNENAASSVKEISNAHKDGVANTLLESGKVQKSFLIDNPTGSQVARESLVESLSNVNAAKPTELVTEESVLDSHDVGNPTVSTDSDFTMVSKLGSFRILDAKNLAVENPCAASTDEMKGNLPQPIIQSHISPNYEMWSIGDKVDVGYTKSTECRIAEKSKGRSFSPSMDGSWPQHKRRKIEHTIVDDLSSSRDLIEKVFHTVNTDSICVNLGSVEHSPKAVLESQGLLISQEDVVKSIVSRSSHQNEDHQMIERSESSPKAHVKEGEISVGEGDRSGNAPFTFMHEELEASLLLSLMKQAAGQSQDCLMEETVAAHPTSTIVDTGSPCIEGNHVSLPLEDNLTLGNVENWTCAGRAMQEKRFDLWGPRKFSYFSVGSPRGQSLDLIGGDDTKPELEGFVLETDDEPTSIARGDINFDECNLPSTTFEHASILEQLCKSVCMQTPVACSSASYKLHKIPNLYQSVPTGLLEGGVDMRTALPMNDAVRPLKDDNSCLSEEVGQAFNGRSYSDCLPNRCGQSGWDIKKPYISPVGKLWDRTGSSTSSSGKRGSLNPELPCISEENENIDEVADTSRDGIVSEVLNSSIQRVPLADITEIPNPPASVLKAELHADRLSLDSVNTEFSLTETHKSFKLKHGIQNSIKRRYNNKENLSISRGTNDIKRTTGSLRRPKLSGKTSLRKGGPSLLKREPKRNNIVSSMTSFIPLVQQKQSAAVVTGKRDIKVKALEAAENAKRLAQKKENERKMKKEALKLERSRKEQANMRQLELQKKQKEEERKKKDADMVTKKRQREEEDRKEKERKRMRVEARRQQREHEDNLPAEKEDKEMKCQAIDGRGHESKESKDETAHKTMEEEREYDTFRNISETEPRTSRVSTSNARRESIILEEHSLVLSNFGYNAEVPSNLDKAIDNGNSAANTRPQQSYEISPYKQSDDENEEDDDAMLNSKFVPSWSSKNCLALAVSCRNGADPGAIFPPESFCSISEVLLPRKDQLN >ONI05990 pep chromosome:Prunus_persica_NCBIv2:G5:3732886:3741269:-1 gene:PRUPE_5G032900 transcript:ONI05990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEKLLAQIFERKKWIVNQAKHQAYLFEQHLASKCLIDGIAPPQWLFSSSSSDPNHVLNKQELISGVPLPRAQPVIHFTDSHCPVFDKPVPTAHNGELPNGLCTELHGFDRGFGAGEEVLILPHCPVSNAGCASNGVPQDQREEDPSVTSPEDQKDARILDIYPYPALSPARGAGDEVSNLPQCLINNAHCSSDGVPQDQREEDPSVTSPEDQRGARMSDIYHDPALSPAKGAGDEVTILPQCPISNAGDSSNGVPQDQREEDPSVTSPEGQGDAKTSNIYHDPALSLARVQRSKSRQRAMAIRNSTYKSSSQVKNNVNGCAGGIIGCAISFLQSDHVDEMNLVKHPDTCDNLELSAVNGEKHSSKEYRSLEFVSRSTGSQVVRSVERIAKGASSNMSGQRIVATDTSSNISGQRINKSMSAASNKSHDAQRTQISTGRSIPIQRDLDLCAVNSIDSSDKENVADHYAGRNTCTEINCGTEEMSKPVNSQVLGHRGTQSRSAASNKHLPENLTHGLAGIRHQDVLSTMVQEVPCTQTSEFVEAASVGKTEIDPDGCIEANPIRSGSNLDGNGLRVGGEVLHLRQPADYAFVNPKQLNFDDVEESCFNGISTPDLKKGMQGRSSEKSYISLMHAEDILAEGITVNYQDNCNTPLEMSFLGDREVSVGGKELQSSLYGAPEEQLHKSGRSSNENAASSVKEISNAHKDGVANTLLESGKVQKSFLIDNPTGSQVARESLVESLSNVNAAKPTELVTEESVLDSHDVGNPTVSTDSDFTMVSKLGSFRILDAKNLAVENPCAASTDEMKGNLPQPIIQSHISPNYEMWSIGDKVDVGYTKSTECRIAEKSKGRSFSPSMDGSWPQHKRRKIEHTIVDDLSSSRDLIEKVFHTVNTDSICVNLGSVEHSPKAVLESQGLLISQEDVVKSIVSRSSHQNEDHQMIERSESSPKAHVKEGEISVGEGDRSGNAPFTFMHEELEASLLLSLMKQAAGQSQDCLMEETVAAHPTSTIVDTGSPCIEGNHVSLPLEDNLTLGNVENWTCAGRAMQEKRFDLWGPRKFSYFSVGSPRGQSLDLIGGDDTKPELEGFVLETDDEPTSIARGDINFDECNLPSTTFEHASILEQLCKSVCMQTPVACSSASYKLHKIPNLYQSVPTGLLEGGVDMRTALPMNDAVRPLKDDNSCLSEEVGQAFNGRSYSDCLPNRCGQSGWDIKKPYISPVGKLWDRTGSSTSSSGKRGSLNPELPCISEENENIDEVADTSRDGIVSEVLNSSIQRVPLADITEIPNPPASVLKAELHADRLSLDSVNTEFSLTETHKSFKLKHGIQNSIKRRYNNKENLSISRGTNDIKRTTGSLRRPKLSGKTSLRKGGPSLLKREPKRNNIVSSMTSFIPLVQQKQSAAVVTGKRDIKVKALEAAENAKRLAQKKENERKMKKEALKLERSRKEQANMRQLELQKKQKEEERKKKDADMVTKKRQREEEDRKEKERKRMRVEARRQQREHEDNLPAEKEDKEMKCQAIDGRGHESKESKDETAHKTMEEEREYDTFRNISETEPRTSRVSTSNARRESIILEEHSLVLSNFGYNAEVPSNLDKAIDNGNSAANTRPQQSYEISPYKQSDDENEEDDDAMLNSKFVPSWSSKNCLALAVSCRNGADPGAIFPPESFCSISEVLLPRKDQLN >ONI08025 pep chromosome:Prunus_persica_NCBIv2:G5:13825741:13828326:1 gene:PRUPE_5G153900 transcript:ONI08025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFGGLELDSSPHRAFSLQSSLHHITPIKLGSSPITSGELELFPANLTHLWLKPCHLRSPISFLDFFVISDSSVVGVSFVPCASPSSSSPIKILRFVSIAIDSKRQLSNMAFRAFLNSPVGPKTTHFWGPVANWGFVAAGLADMNKPPEMISGNMTAAMCVYSALFMRFAWMVQPRNYLLLACHVSNETVQLYQLSRWARGQGYFTQKKDEPESQ >ONI09056 pep chromosome:Prunus_persica_NCBIv2:G5:16923719:16924063:-1 gene:PRUPE_5G214900 transcript:ONI09056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINKMYTPLLLICADSSCLQPFSPTAVKAWVILFCFDFHGLRFQLHQRLGAGGDQGLVENCHSAHPIFFSSNGVTVFTLDRPGLFYFISGVSGRERPEDDHQSVGTSKPTQIC >ONI09055 pep chromosome:Prunus_persica_NCBIv2:G5:16923442:16925571:-1 gene:PRUPE_5G214900 transcript:ONI09055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINKMYTPLLLICADSSCLQPFSPTAVKAWVILFCFDFHGLRFQLHQRLGAGGDQGLVENCHSAHPIFFSSNGVTVFTLDRPGLFYFISGVSGRERPEDDHQSVGTSKPTQIC >ONI08303 pep chromosome:Prunus_persica_NCBIv2:G5:14600174:14602357:1 gene:PRUPE_5G170500 transcript:ONI08303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSKVKPLRVSAFKGSAQNDKSGGRTSGSKLPKNSVKLKENEDTLTGSPQENDMPLSYASEANESIASSPVIHKLFKKWLRMLRTQSSCEVVDGILEEEPPPKEISETEHDTQNKERDGILRMVWSNFLGLNATIKIPLLIFIPGYLAVNIIYGAEVSKELTPLWVLGPLIVALYIKMLQWLCALYVFSFKQTVQVIKNLPTYYMVAYRYIAQGKLKEDIRARFWQPVLNIKNLDYKKLSRRKLKGLQELIVEKYLDFIESIWPYYCRTIRFLKRANLI >ONI08302 pep chromosome:Prunus_persica_NCBIv2:G5:14600174:14602357:1 gene:PRUPE_5G170500 transcript:ONI08302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTHQMQGSYVTYPSRPLSWSKGLKLKQYVTTHHMVGRTDNCIMLKRNICLSVGASLMRGSKVKPLRVSAFKGSAQNDKSGGRTSGSKLPKNSVKLKENEDTLTGSPQENDMPLSYASEANESIASSPVIHKLFKKWLRMLRTQSSCEVVDGILEEEPPPKEISETEHDTQNKERDGILRMVWSNFLGLNATIKIPLLIFIPGYLAVNIIYGAEVSKELTPLWVLGPLIVALYIKMLQWLCALYVFSFKQTVQVIKNLPTYYMVAYRYIAQGKLKEDIRARFWQPVLNIKNLDYKKLSRRKLKGLQELIVEKYLDFIESIWPYYCRTIRFLKRANLI >ONI06446 pep chromosome:Prunus_persica_NCBIv2:G5:6628848:6629393:-1 gene:PRUPE_5G061000 transcript:ONI06446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLSYAALLCGSLLSLALLVITFRKFRRIFSKGNNLVLVNESFYFGILSGAVWANEAWGSYWNWDPKETWAFITWTVFAIYLHIRTNKIFESINSAIVASMGFLIIWICYFGVNLLGIGLHSYGSFTLTSY >ONI06807 pep chromosome:Prunus_persica_NCBIv2:G5:9580360:9582984:-1 gene:PRUPE_5G082400 transcript:ONI06807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNSLLYLPKLPFPHKPLSPPTFRTHPKALTRPAKPLSQNGTLGFLGAGLTLTLTLTLIGPASAAELPLWGSLQLSEPSNALSLPTWAIHVSSVVEWITAMALVWQYGEKSGFESWKGLSWGSPARWSIVCMHMAFLL >ONI06806 pep chromosome:Prunus_persica_NCBIv2:G5:9580980:9582851:-1 gene:PRUPE_5G082400 transcript:ONI06806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNSLLYLPKLPFPHKPLSPPTFRTHPKALTRPAKPLSQNGTLGFLGAGLTLTLTLTLIGPASAAELPLWGSLQLSEPSNALSLPTWAIHVSSVVEWITAMALVWQYGEKSGFESWKGLSWGMVPLLGGALCACTWHFFYNSESLEVLVALQAALTVIGNATMCFAAFRIYRSSEERSKNL >ONI06808 pep chromosome:Prunus_persica_NCBIv2:G5:9580360:9582984:-1 gene:PRUPE_5G082400 transcript:ONI06808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNSLLYLPKLPFPHKPLSPPTFRTHPKALTRPAKPLSQNGTLGFLGAGLTLTLTLTLIGPASAAELPLWGSLQLSEPSNALSLPTWAIHVSSVVECNGFGVAVWGEVWV >ONI08827 pep chromosome:Prunus_persica_NCBIv2:G5:16323567:16327215:-1 gene:PRUPE_5G203300 transcript:ONI08827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRHVQENSIHVLLVSYPIQGHVAPFIKLAYLFAGRGIKVTLVITEFVHASLVAAHPELDGEQYDQVRLVAVPDGLPKEDVRNDECKLGESVFKVMPGHVENLLNKANLEGNQVTCVIADAVFGWALEIAEKMELKSALFWPSAPGVLALTLNIRRLIEAGVIDSNGTPTMKRNKVQLSSDLPPVTSADIVWSYPGNESTQKIMFQHFFAIHQNVKKSDWLLCNWFQELNPSVGDLVPNMFPLGPLLANGKPAGNFWPEDSTCLGWLNRQPVGSVVYVAFGSSSMFSQHQIDELALGLELVGRPFLWVNRSDLINGSSAKFPDGYEKRVANHGKMVHWAPQEKVLAHPAIACFLTHCGWNSTMDGISMGVPFLCWPYFADQFYNRSCICNGYKVGLCLNPDDYGIVTRHEIRRKLDGLLADEGIKANATKLKQMAEESISGGGSSANNLERFIEHMKQ >ONI06439 pep chromosome:Prunus_persica_NCBIv2:G5:6518230:6518655:1 gene:PRUPE_5G060500 transcript:ONI06439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSLLIFFVAKLLSLAFVAPEVDFVCHSRSEYEHPCIFFTSFKKRKKKKKSHKAMYFCFLSFCEIYFLSCGHDQHQGRSLKIRWCFVWWRTKVEGSVRVHQHGITIFCLCEFERT >ONI09181 pep chromosome:Prunus_persica_NCBIv2:G5:17276388:17276993:1 gene:PRUPE_5G222300 transcript:ONI09181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHADADADADSLLDPDLATEAVTVDHASLSPSNRGVEASADRTSK >ONI09218 pep chromosome:Prunus_persica_NCBIv2:G5:17394987:17395946:1 gene:PRUPE_5G224600 transcript:ONI09218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIWFIFGVVEYNWVILLYHLSITTLLIIFILQFVKNFLEVIFISAIIPSFRNNAIIFLYMLPVIGTYLSSLNLLYLGFIYLGILLPLLFERFEDDVTRLGIKIAQESFALNT >ONI06145 pep chromosome:Prunus_persica_NCBIv2:G5:4777432:4778329:-1 gene:PRUPE_5G043400 transcript:ONI06145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDEAVTRMLFESYGVKYHDDHFLLTFHDLRLIKYKVPKKLGGDVRLRSHTNKTFSTILHQNHVSGLEINTKNDEWVAFDPLPSLVVSIAASLRHKVTFWSNNRIRPCRHKVTLRENEVRYSFGALLLYKEVIRVPNELVDKDHPLLYKPLSHLEFLNARKIAGETEYAGNRGEHSSDWTGFCFKLEPI >ONI06490 pep chromosome:Prunus_persica_NCBIv2:G5:7803426:7805560:1 gene:PRUPE_5G064100 transcript:ONI06490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQVSSVFDDETEIPSVSDENKVQSWSSQYYRNEPVVVVAQEHSALDEQRGTASRIGEKPLLLPVRSLKQHVPDTGNIGSVNESSASSGSLSRSNSRSGSRRFSSKSNNKALGGEFGGLDHQELEEKLKESVVLPSPIPWRSRSGRMDVKEEIVNSPPMEEFELNRQESWGSRSQGSRSSRSNSMSSSPRLSPSPSLSSPKKASPSPSLSSESQAKNAEDLGRKKSFYKSCPPPPPPPPPMFYKSSSMRSNRDGVLYEKDLRRSFSSETRDLNRSNGEYVMGRMNSGVETMHQSYVDGLPMGKSMRTSRAGENRAGALRSDREMGEYGYVNGDVEKNFKQVEENLVEKAARKRVGFDETSFIGTENLGNESIPNMPNSAFNGFSEEEKEDFLDGVVMESEEETESEDDNFGGSLIQKDIGETPKPTPRNFVSASSSGSDGGPDVDKKADEFIAKFREQIRLQRIDSIKKSSAQISRNLSR >ONI06489 pep chromosome:Prunus_persica_NCBIv2:G5:7803429:7805560:1 gene:PRUPE_5G064100 transcript:ONI06489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSMAESETESNYSKHQKLVPEQNHENPSKFYNHFLYKVALVVVFFVILPLFPSQAPEFFNQSVLTRSWELLHLLLVGIAVSYGLFSRRNDITEKENTNSKFDNAHSYVSRFLQVSSVFDDETEIPSVSDENKVQSWSSQYYRNEPVVVVAQEHSALDEQRGTASRIGEKPLLLPVRSLKQHVPDTGNIGSVNESSASSGSLSRSNSRSGSRRFSSKSNNKALGGEFGGLDHQELEEKLKESVVLPSPIPWRSRSGRMDVKEEIVNSPPMEEFELNRQESWGSRSQGSRSSRSNSMSSSPRLSPSPSLSSPKKASPSPSLSSESQAKNAEDLGRKKSFYKSCPPPPPPPPPMFYKSSSMRSNRDGVLYEKDLRRSFSSETRDLNRSNGEYVMGRMNSGVETMHQSYVDGLPMGKSMRTSRAGENRAGALRSDREMGEYGYVNGDVEKNFKQVEENLVEKAARKRVGFDETSFIGTENLGNESIPNMPNSAFNGFSEEEKEDFLDGVVMESEEETESEDDNFGGSLIQKDIGETPKPTPRNFVSASSSGSDGGPDVDKKADEFIAKFREQIRLQRIDSIKKSSAQISRNLSR >ONI07627 pep chromosome:Prunus_persica_NCBIv2:G5:12690115:12692055:-1 gene:PRUPE_5G131900 transcript:ONI07627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVPFTISKPLPLLVCSSSFNSILSPPRSFLFSFRFFFVIMAEDHMNLSINGQSQVPPGFRFHPTEEELLHYYLRKKVAFERIDLDVIREVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGFRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDESNTHDTTVCNSMAESMAEDGWVVCRVFKKKNYQKALESPKASFSMESSSNQIHSSRNDGVLDQILMYMGRTCKLENHDQSLNNLSERFMHLPRLESPTLPTFDQDRSFKACYQAIDEMLIDTPSSTNQPSNGCDNNDPVEDHDEYPKTRLNDWATLDRLVASQLGQLNGQDQETSKHNLSCFGDPNMAFCSSPPHDHDHDHDVQLSYPYLRTSRSHHQSEVYSNENDLWNFTKSPSSPSSSDPLCHLSV >ONI07850 pep chromosome:Prunus_persica_NCBIv2:G5:13214998:13221310:1 gene:PRUPE_5G142700 transcript:ONI07850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSQASSAMEIDDLKSNSSDQIAPKFSINVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTRRAITESTVTEVRFLHVVLYTAERAWSHAMEKRQVLDGPNARQRIYLIGRLRKAVKWATLFAQLCAIKGDSRTSLEAEAYASFMKGSLLFEQDQNWDTALMNFKSARAVYEELAKYGDLESQVLCRERVEELEPSIRYCLHKVGESNLQASELLQIGEMEGPALDLFKAKLEAVMAEARSQQAASMTEFHWLGHRFPISNPKTRVSILKAQELEKDVLGSSANSLPPEKKLGIFDKIFTAYHEARSCIRNDLASAGNSENVKDDLNGLDKAVSAVLGQRTIERNQLLVNNAKSKLTKRRDDKNEKATKPEELVRLYDLLLQNTSDLSDLVSSGRDRKPEEVAFTEDCELKSLAFRAERCFFLGRSYSLAGKRVEAYALYCHARSLAETALQKFQAVNNGDQMIIEELKILYDECRSNSCIEHATGIMEELKAPENLSKKISSINLTGVDKKVEKFLLEKLDVYESAVGESNVKSAPRIEAFPPSFQSITRNPIVLDLAYNHIDFPSLQHRMRKDKSGFLSRFWG >ONI07849 pep chromosome:Prunus_persica_NCBIv2:G5:13214998:13221340:1 gene:PRUPE_5G142700 transcript:ONI07849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSQASSAMEIDDLKSNSSDQIAPKFSINVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTRRAITESTVTEVRFLHVVLYTAERAWSHAMEKRQVLDGPNARQRIYLIGRLRKAVKWATLFAQLCAIKGDSRTSLEAEAYASFMKGSLLFEQDQNWDTALMNFKSARAVYEELAKYGDLESQVLCRERVEELEPSIRYCLHKVGESNLQASELLQIGEMEGPALDLFKAKLEAVMAEARSQQAASMTEFHWLGHRFPISNPKTRVSILKAQELEKDVLGSSANSLPPEKKLGIFDKIFTAYHEARSCIRNDLASAGNSENVKDDLNGLDKAVSAVLGQRTIERNQLLVNNAKSKLTKRRDDKNEKATKPEELVRLYDLLLQNTSDLSDLVSSGRDRKPEEVAFTEDCELKSLAFRAERCFFLGRSYSLAGKRVEAYALYCHARSLAETALQKFQAVNNGDQMIIEELKILYDECRSNSCIEHATGIMEELKAPENLSKKISSINLTGVDKKVEKFLLEKLDVYESAVGESNVKSAPRIEAFPPSFQSITRNPIVLDLAYNHIDFPSLQHRMRKDKSGFLSRFWG >ONI07848 pep chromosome:Prunus_persica_NCBIv2:G5:13215946:13221310:1 gene:PRUPE_5G142700 transcript:ONI07848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNYKLCPFTCARAVTAPKARDHTQTSTSHREREITARQPPEKSIQLQYPIHHLIQGPFEMGKDSQASSAMEIDDLKSNSSDQIAPKFSINVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTRRAITESTVTEVRFLHVVLYTAERAWSHAMEKRQVLDGPNARQRIYLIGRLRKAVKWATLFAQLCAIKGDSRTSLEAEAYASFMKGSLLFEQDQNWDTALMNFKSARAVYEELAKYGDLESQVLCRERVEELEPSIRYCLHKVGESNLQASELLQIGEMEGPALDLFKAKLEAVMAEARSQQAASMTEFHWLGHRFPISNPKTRVSILKAQELEKDVLGSSANSLPPEKKLGIFDKIFTAYHEARSCIRNDLASAGNSENVKDDLNGLDKAVSAVLGQRTIERNQLLVNNAKSKLTKRRDDKNEKATKPEELVRLYDLLLQNTSDLSDLVSSGRDRKPEEVAFTEDCELKSLAFRAERCFFLGRSYSLAGKRVEAYALYCHARSLAETALQKFQAVNNGDQMIIEELKILYDECRSNSCIEHATGIMEELKAPENLSKKISSINLTGVDKKVEKFLLEKLDVYESAVGESNVKSAPRIEAFPPSFQSITRNPIVLDLAYNHIDFPSLQHRMRKDKSGFLSRFWG >ONI07851 pep chromosome:Prunus_persica_NCBIv2:G5:13216078:13221340:1 gene:PRUPE_5G142700 transcript:ONI07851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSQASSAMEIDDLKSNSSDQIAPKFSINVLQLLKSAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFTHGRGKYTRRAITESTVTEVRFLHVVLYTAERAWSHAMEKRQVLDGPNARQRIYLIGRLRKAVKWATLFAQLCAIKGDSRTSLEAEAYASFMKGSLLFEQDQNWDTALMNFKSARAVYEELAKYGDLESQVLCRERVEELEPSIRYCLHKVGESNLQASELLQIGEMEGPALDLFKAKLEAVMAEARSQQAASMTEFHWLGHRFPISNPKTRVSILKAQELEKDVLGSSANSLPPEKKLGIFDKIFTAYHEARSCIRNDLASAGNSENVKDDLNGLDKAVSAVLGQRTIERNQLLVNNAKSKLTKRRDDKNEKATKPEELVRLYDLLLQNTSDLSDLVSSGRDRKPEEVAFTEDCELKSLAFRAERCFFLGRSYSLAGKRVEAYALYCHARSLAETALQKFQAVNNGDQMIIEELKILYDECRSNSCIEHATGIMEELKAPENLSKKISSINLTGVDKKVEKFLLEKLDVYESAVGESNVKSAPRIEAFPPSFQSITRNPIVLDLAYNHIDFPSLQHRMRKDKSGFLSRFWG >ONI08239 pep chromosome:Prunus_persica_NCBIv2:G5:14411407:14413945:1 gene:PRUPE_5G166500 transcript:ONI08239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEYVPVEAKDFYKRYSARALSPEIVVIEEEIEEEIEEEIEEEIEEEIKEEIEEESRSFSTAAGGTSIDRVLKDVYVAVGKDDTDVLKWTLDNAVSPGTRVFLVHVFPPITYIPTPVGRLSKGQLTEDQVRLYINEESNKRRNLLQKYVSLCNDAKVTVETMLIDSKDTAKAILDLIPVLSIIHLVIGTKRPPHSRRVQKKLSLGEFVRKNAPDFCEVSIIHEGKKVEADQQVNGPIMPANTHYPERSFFACFPVSCKIS >ONI08238 pep chromosome:Prunus_persica_NCBIv2:G5:14411407:14413945:1 gene:PRUPE_5G166500 transcript:ONI08238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEYVPVEAKDFYKRYSARALSPEIVVVKEEIEEEIEEEIEEEIEEEIEEEIEEEIKEEIEEESRSFSTAAGGTSIDRVLKDVYVAVGKDDTDVLKWTLDNAVSPGTRVFLVHVFPPITYIPTPVGRLSKGQLTEDQVRLYINEESNKRRNLLQKYVSLCNDAKVTVETMLIDSKDTAKAILDLIPVLSIIHLVIGTKRPPHSRRVQKKLSLGEFVRKNAPDFCEVSIIHEGKKVEADQQVNGPIMPANTHYPERSFFACFPVSCKIS >ONI06365 pep chromosome:Prunus_persica_NCBIv2:G5:6046778:6047916:1 gene:PRUPE_5G056100 transcript:ONI06365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNQGQYLCLAFLFILAICSSQASSRQIYDEKTMLARHEDWMAQFGPNYFTLSLNEFADLTNEEFQEIRNGYTKRSSKSIMLTTEANHSYRGVDGTSCNTQKAASQTVSINGYEDVPKNNQNAMLQAVANQPISVAIDASGCTFQFYSNHGVTAVGYGTSSDGTKYWLVKNSWGTGWGEGGYVMMQRGIPAKEGLCGIAMEASYPTA >ONI08026 pep chromosome:Prunus_persica_NCBIv2:G5:13830444:13834156:1 gene:PRUPE_5G154000 transcript:ONI08026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETNPSRWIDPKSGYCPQTKTFHTLRPPVPLPPLSQPLSVIHFALSLLQSSAAAPTTTVFIDAASGRHVSYDNFIGQVHSLTLSLQSLAPALSKGHVAFILSPTSLHVPVLYFSLLALGVVVSPANPIGSESEIAHQVRLTRPAIAFATSATAHKLPRDELRTIILLDSPEFLSMLNGIRSSDTRPDFGIEVSQTDSMAILFSSGTTGRVKGVLLTHRNFIAQLAGVHALRRKPDPTLPDEQTVSLFMLPLFHVYGFFMLVLAVTMAETLVLRERFDFEAMLRAVERYKVSYMPVSPPLIVSLAKSELSQKYDLSSLRRIGCGGAPLGNEVVERFTEKFPNVEIVQGYGLTEGGGVTRMIGPEESKRYASVGRLAENMEAKIVDPETREALPPGRRGELWLRSPTVMKGYVGDDKATAETLHSDGWLKTGDLCFFDDEGFLYIVDRLKELIKYKAYQVPPAELEPILQSHPDIADAAVIPYPDEEAGQIPMAYVVRKPGSNINEAAVMDFVAKQVAPYKKIRRVSFINSIPKSPAGKILRRELISHALSSRSSNL >ONI08791 pep chromosome:Prunus_persica_NCBIv2:G5:16139441:16142751:-1 gene:PRUPE_5G201000 transcript:ONI08791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLKKSPLKAAKHITVNPASSGSNPFENAPVGQNASTNPFDDGQGEEKYSSYSYKTTLTERNKYKNDFRDTGGVENQSVEELENYAVYKAEETTKSVNGCLKIAEEIREDATKTLVTLHQQGEQITRSHMVAADIDQDLSRGEKLLGSLGGIFSKTWKPKKTRPISGPVITGDNAVRRGGQLEEREKLGLTSAPKGRSTPQTLPPGPVNALQKVELEKAKQDDGLSDLSDLLGELKDMAVDMGSEIERHNKALGHLYDDVDELNVRVRGANQRGRRLLGK >ONI08137 pep chromosome:Prunus_persica_NCBIv2:G5:14068922:14072401:-1 gene:PRUPE_5G158800 transcript:ONI08137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSARLLLPAAAAAAPVLYRFYKYLRAEVIRVPQNPPELSDLDLAILEEIRKLGPALLDKILKDPALLDEFRKIDPAVLHEFRKLDPAIIGKILQSCLKDNKEGEEEADRSTCSGRETAKQNENGNEETEGGGGENKNENGGEVEKKVVDEERSRSQHYPVRPGAGEGKTARKNRKNNQVSKDKMKEREGLAAEKPGQTECKDYSRSGGRKYEKACSLNPGRGEPSVAPILECNFLGLPIRPGEKDCSFYMRNASCMFGTNCRFNHPDPTAARESEPPSGYGNGRSPALQGASSSTAAPWSAPRSLNDVPLYVPMVSPHVQIGSWGNADGVWAQSELGNKDEASGWTKPAFINENQNDSWNKPSGVDDNKRASWGKADGGSTWTKQDGDPTWNKQGEGSTWNKQDGSSAWNKPAGDSYWSKQAGWSSWGKQADVTAGHESGRVGNQDNGWKRASSFGGSQSIDGVNGDQPEDFNNNRSGGNWRGGSGRGNSDRGGFRGGRGFVGRGGDREEDRGGFGRRWGFGGRGGDRGSFGGRGRSDKGGFGGRGYGGRGRGRYQSGGCSNRNESIDNNSSGWSKGADGAGEGWRRDKGGGSWNHGSKNDWQGRNSSGWSNQSSGWNQSDVTKEIGGSGSGWNQTVEAKYTAGTQDKGTGSHNEVGTSWGNNWKSSDASNGDQSSRWKQSTAAKEFKGNTDQDGGWNKGPSSNAQAGGWGNQGSGWNKGTGSGFGGGTGEQPSAAVGGQSSDWKQSSAASRGQSSSWNQSGEAQGTDEGAKPTNSWGKAAVASSWGKCSDGESSKGGW >ONI08569 pep chromosome:Prunus_persica_NCBIv2:G5:15413991:15417137:1 gene:PRUPE_5G186000 transcript:ONI08569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAHHLDIWEGYVDWRNRPAIKGCHGGMLAASFVLVVEILENLAFLANASNLVLYLSKFMHFSPSTSANIVTNFMGTAFLLALLGGFLADAYFTTYCIYLISAAIEFMGLLILTIQAQIPSLRPPTCLSVSTNSPCQEVHGGKTVMLFAGLYLVALGVGGIKGSLPPHGAQQFDETTQQGRKQRSAFFNYYVFCLSCGALVAVTFVVWIEDNKGWQWGFGISTATILISIPLFLLGSPKYRTKIPAGSPITTMFKVITAAICNACKARNPSNAVTNMAITPSYTNDPSEREEKISQTPSESLKFLNRAVTIRPVHPMLKCTVKQVEEVKIVLKILPILMSTIMLNCCLAQLSTFSVQQAATMNTQLGSFKVPPASLPVFPVLFIMILVPVYNLAIIPFARKITKTEMGITHLQRIGIGIILSVIAMAVAAVVEMKRKNVAAKYGQLDSAEPLPITFLWVALQYLFLGSADLFTLAGMMEFFFTEAPMSMRSLATALSWASLAMGYYLSSVLVSIVNDVTGAYRHTPWLFGDNLNHYHLERFYWLMCVLSGLNFLYYLLWASRYKYRSTGSDFKENQNPTTL >ONI09550 pep chromosome:Prunus_persica_NCBIv2:G5:18321186:18322777:1 gene:PRUPE_5G244500 transcript:ONI09550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKHHFADEYVANPYMNSYGTTPETDRQQQHSLSEPISLCHSPSSSDCSSSFKTNEEGDSGKGLNTKFGKKSSSFAYRIREHVKLGPKLSETVKGKLSLGARIIQKGGRRNIFKQIFGVNEGEELLKASQCYLSTTAGPIPGLLFISTQKLAFCSERSISVPCAAAATATGQVVRTPYKVQIPIRKIKRANQTENVNKPQQKYIEIVTEDDFEFWFMGFLRYEKAFRNLEKAISITNQN >ONI06109 pep chromosome:Prunus_persica_NCBIv2:G5:4515036:4516510:1 gene:PRUPE_5G041100 transcript:ONI06109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTSFCLIINTLHSNREIFLHELISNASDVTQTCWPRLGFTQRGNTTKQAAFTM >ONI09545 pep chromosome:Prunus_persica_NCBIv2:G5:18303629:18304840:1 gene:PRUPE_5G244100 transcript:ONI09545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAIEVDSEFRDIIILQGTLHLIFVVLVILNCITFIVTKKHCLIYLAVAFTISLGTYLGYFRTQMKKKFNIKGSDSSLDDCIYHLICPCCALCQVIIVAEPMLAR >ONI06104 pep chromosome:Prunus_persica_NCBIv2:G5:4480237:4481156:1 gene:PRUPE_5G040600 transcript:ONI06104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMVGFIDPATVSASSGTIADRSRLLAARLQRTDGEQIFLMPYNPGRHWILLIVRAKRETVYFLDSLPGNRVVDEEAKNIVNSAIKIYNSHIARPGRKAVIWKTLSGTPKQLSSVECGYYVMRFMRDIIMDPSLEFEKKYAKGKQEAPYPQEAIDEVQNEWAEFVCLHLE >ONI08176 pep chromosome:Prunus_persica_NCBIv2:G5:14199538:14201975:-1 gene:PRUPE_5G161700 transcript:ONI08176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSGKAHLCVLTFLSLLLLIHARLNLDPSDLQALSIIQKNLGLQISKPQTTPCNTPGVFCERRLSNNNTYVLKITRLVFQSQRLHGFLPPAIGRLSELKEIALADNSLVDQIPSQIVDCRKLEILNLRNNQFSGEVPAQLSKLVRLRILDLSSNKFSGNLSFLKLFPNLEKLSIADNFFSGKIPASIRSFRNLRIFNFAGNELLEGSVPTMKRVEISASEVPKRYILAENSSTKRHNNTSGAALAPSGSGASNAPGPSPAPKHKKHKNNKKKLGGWLLGFFAGALAGSISGFIFSLLFKLLLAVVRGGGKDSGPAIFSSLIKRKEDLAFLEKEDGLASLELIGRGGCGEVYKAELPGSNGRMIAIKKIIQPPKDAEELTADEDSKLMNKKMRQIRSEINTVGQIRHRNLLPLLAHVSRPDCHYLIYEFMKNGSLQDILNQVSEGTRELDWLTRHKIALGVAAGLEYLHMNHKPHIIHRDLKPANVLLDDDMEARIADFGLAKAVPEYHTHITTSNVAGTVGYIAPEYHQTLKFTDRCDIYSFGVLLAVLVMGRLPSDEFFQNTNEMSLVKWLKNVMTSDDPKQAIDSKLLGNGYEEQMLLVLKIACFCTLENPKERPNSKDVRCMLSQIKH >ONI05428 pep chromosome:Prunus_persica_NCBIv2:G5:745051:749517:1 gene:PRUPE_5G006900 transcript:ONI05428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMGLRLDVFLLALTILWAPALSDLVLSKVDRRIDLTSQIARITSTLKVENAGSGLVSEVLLAFPESQAKHLAHLTATPNEGKGKVKGSGISYPVQLVHAKDMPPSLTFYSVSLPKGLGKGDSFTFDVLAVFTHALKPFPEKITQADIQLLVFQDSAHYLSPYAVKVQTLSVKLPDARIESYTRIENTKNIGSEIKYGPYENLPPYSYSPIVVHFESNQPFAVAEELVREIEISHWGNIQVTEHYKLVHGGAQSKGEFSRLDYQARPHVRGASAIRRLVAKLPPRAHSVYYRDEIGNVSTSNLWSDFKKTELEIEPRYPMFGGWRTAFTIGYGLPLHEFLFESDGKRFINISFGCPINEVVIDTLIVKVVLPEGSKDISVSAPFPLKQWQEKKFSHLDLVGRPVVALEKTNAVPEHNQKFQVYYKFNSLAMLWEPLMLIFGIFFLFVTGIVYMHVDMSISKSSASYLAKLQWDEVQAAIQQIRNIITRCLVIHDKVEASLRDLSRTGDIQACKAARKAADGLLKELSKEMKPLLAFLQSSQQAAHLLPKVEELVVKVKDLEERLMVKHSTIVDCYEKKYGGREIENRVASQVQKITALRQEVDDLVEFIDEI >ONI05698 pep chromosome:Prunus_persica_NCBIv2:G5:2183309:2186596:1 gene:PRUPE_5G019800 transcript:ONI05698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGGGSGSRSRRFSAAKFLETPFPSLPVASSPENQTTPKSATFNNSSKRKRTTVTVGAAIGGPSASVAALMESPQTNSLASIPDLKHLASSRLRDLKLHIDRSHSEILKDFDSSHSRLHKRFKIQSQACQQVLDETDKEYKKMTQRITESREAMMASYAEFMADAQTTASRACKTSITEFSQSFEKAIDALRSRYGISTN >ONI08319 pep chromosome:Prunus_persica_NCBIv2:G5:14647744:14653148:1 gene:PRUPE_5G171400 transcript:ONI08319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTQLQSLTSLASLTNRTKLKFLSSSCIPLAPNTKQRSSAARVCALLSASPIVSERSKPPIASFKELIESLIDRVDLSEAEAEDSLEFLLRDANEALISAFLVLLRAKGETYGEIVGLAKGMMKHSLKIDGIDDAVDIVGTGGDGANTVNISTGAAILAAACGAKVAKQGSRSSSSACGSADVLEALGIIIDLDPEGVTRCVNEAGIGFMMAPKYHPAMNVVRPVRKKLKVKTVFNILGPLLNPARVPFAVVGVYKDELVLKMAKALQSFGMKRALVVHSEGLDEMSPLGPGHILDVTPNKIEEFSFDPLDFGIPRCTLDDLRGGGPEYNAEALKRVLSGEKGSIADAFILNAAAALFVSGRVNTLAEGVSLARETQISGKAIRTLDQWVDISNTMKKDTIVY >ONI05590 pep chromosome:Prunus_persica_NCBIv2:G5:1554378:1557760:1 gene:PRUPE_5G014300 transcript:ONI05590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPVRSGPVRRDVKPEPEPVGTGSVQFRSSLLTFLVNRFFFRFFFTGSVRCSGFPVPMPTPSNNNNQCVVCSKFNMEEGMAENQISPPHPIHRPPPSSQLPLSPTSISSSVKIILDILIRTTPQQIEPALASTGIIPTTEVVVEVLKLSYDYPFSAIKFFRWAGLAHKHSAHAWNLMVDLLGRNRLFESMWDAIRSMRQEKTLSLAAFASAFGNYCASGSFDDALMTFQVMDKYGIPNDVVAANSLLSAMCGENGCVLRALDFLETMKSKPEFGPDGDSFAILLEGLEKEGDAAKAKTTFGEMVVRVGWMPANVAAYDAFLMTLVRGEQVEEALKFLIVMKKNSCLPGEGNKFYKSISTSFAMAKGKGRQAKGKLCFHPLT >ONI05591 pep chromosome:Prunus_persica_NCBIv2:G5:1554378:1557102:1 gene:PRUPE_5G014300 transcript:ONI05591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPVRSGPVRRDVKPEPEPVGTGSVQFRSSLLTFLVNRFFFRFFFTGSVRCSGFPVPMPTPSNNNNQCVVCSKFNMEEGMAENQISPPHPIHRPPPSSQLPLSPTSISSSVKIILDILIRTTPQQIEPALASTGIIPTTEVVVEVLKLSYDYPFSAIKFFRWAGLAHKHSAHAWNLMVDLLGRNRLFESMWDAIRSMRQEKTLSLAAFASAFGNYCASGSFDDALMTFQVMDKYGIPNDVVAANSLLSAMCGENGCVLRALDFLETMKSKPEFGPDGDSFAILLEGLEKEGDAAKAKTTFGEMVVRVGWMPANVAAYDAFLMTLVRGEQVEEALKFLIVMKKNSCLPGEGNKFYKSISTSFAMAKGKGRQAKGKLCFHPLTW >ONI05593 pep chromosome:Prunus_persica_NCBIv2:G5:1553934:1557785:1 gene:PRUPE_5G014300 transcript:ONI05593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGMAENQISPPHPIHRPPPSSQLPLSPTSISSSVKIILDILIRTTPQQIEPALASTGIIPTTEVVVEVLKLSYDYPFSAIKFFRWAGLAHKHSAHAWNLMVDLLGRNRLFESMWDAIRSMRQEKTLSLAAFASAFGNYCASGSFDDALMTFQVMDKYGIPNDVVAANSLLSAMCGENGCVLRALDFLETMKSKPEFGPDGDSFAILLEGLEKEGDAAKAKTTFGEMVVRVGWMPANVAAYDAFLMTLVRGEQVEEALKFLIVMKKNSCLPDNMAAAVL >ONI05592 pep chromosome:Prunus_persica_NCBIv2:G5:1554378:1556683:1 gene:PRUPE_5G014300 transcript:ONI05592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPVRSGPVRRDVKPEPEPVGTGSVQFRSSLLTFLVNRFFFRFFFTGSVRCSGFPVPMPTPSNNNNQCVVCSKFNMEEGMAENQISPPHPIHRPPPSSQLPLSPTSISSSVKIILDILIRTTPQQIEPALASTGIIPTTEVVVEVLKLSYDYPFSAIKFFRWAGLAHKHSAHAWNLMVDLLGRNRLFESMWDAIRSMRQEKTLSLAAFASAFGNYCASGSFDDALMTFQVMDKYGIPNDVVAANSLLSAMCGENGCVLRALDFLETMKSKPEFGPDGDSFAILLEGLEKEGDAAKAKTTFGEMVVRVGWMPANVAAYDAFLMTLVRGEQVEEALKFLIVMKKNSCLPGLRFFSSALDILVKRKDSKNAILIWDVVVGNGNGLVPNLSMYNAMIGLLCDCNEVDDAFRLLDEMVFHGAFPDSFTYNVIFKCLLKNKKVGDAGKFFVEMVKNEWLPTHANFAAAITMFFEGDDPEMGVQIWNFMVENKVEPLDAAANALLLGLCKLDRLSELKRNADDMLDRRISIYESTMASLKSAYYKDGRGARDKYDGLARRWKTSLGR >ONI06299 pep chromosome:Prunus_persica_NCBIv2:G5:5620254:5621102:1 gene:PRUPE_5G051900 transcript:ONI06299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLNFSKVVSWPQTISKLSSLIELQLSKCDLPEVDLRSSMPFINSSSTSLQVLELYENLLLNTSIFYRISNLSSNLVHIGLGGNQLQGPIPDVFTNMVSLTSLQLFYNNLEASLQTLFISNHQLKGSLPQSGGQLSSLEVLELSWNSLNGVITEAHFLNLSRLQSSDISHNPSLYFNFSSDWNPLFQLDI >ONI08992 pep chromosome:Prunus_persica_NCBIv2:G5:16761968:16766773:1 gene:PRUPE_5G211000 transcript:ONI08992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSLKLREAHKATSSNGRPSFCSVLWDQQAYHVVTASSADPTIAIHDSLLPSSPPKLLQNHRDGVTALALSPNSTCLASGSEDHSVKLYKFPGGEFQTNITRFTLPIRALAFNKSGTLLAAAGDDEGIKLINTIDGSISRVLKGHKGSVTGLAFDPHSEYLASIDSTGTVIYWELQSGTTLHTLKGIAPNSGFDRSIMNVLSWSPDGNKLAVPGLRNDVVVYDRDTAEKLFSLRGDHTQPICFLAWSPNGKYIATSGLDMQVLIWDVNQKQDIDRHKFDERICCLAWKPIGNALAVIDCTGKYGVWESVTPSSMKSPTEDVPNQKLNNSNGLLLFDEEEGEELSTSGSLSDLGEDSHGESEPPSRKRLHKHSAYDNDLEEDGFDDLSLLPKVESHKKARRKHGESADNGKEGVSSKLTSVRPKMQVAFQPGATPLQPGKRRFLCYNMLGAITTIEHDGFSHIEIDFHDTSRGPRVPSMNDHFGFTMASLNENGSVFANPSKGEKNMSTLMYRPFRSWANNSEWSMRLEGEEVKVVALGTAWVAAITSLNFLRIFTDGGLQRHVLSLDGPVVTASGFMDELAIVTHASECLPSDEQMLEYRVLNITNGSQPLRGRLPLTPGSHLTWFGFSEEGQLSSYDSKGVLRVFTSQYGGSWLPLFSASKEKSDENYWVVGLNASKLFCIVCKRPDLYPQVIPKPVLTPLNFSFPLASSDLGADALENEFMLNNMHLVQVQKQIEVAGAGLDTTSLDDEAFNTEAAQDRCILKLIASCCNGDKLVRATELVKLLSLEKSVRGAIKLVTVLKLPNLAERFNNILEERLLNESKRALETTFPNSNCNASSITNDIAPSKTIFSTEQSKLPGNDIPLSSSKLSAPIFTKKAKTQEAKVGIEKTEEKQAAMASDLGKVKTTDGKNGAKVNNAGDMKKVGETSQAQSPAPSNDQEKVKKAEVTRRASNPFMKKLIK >ONI08990 pep chromosome:Prunus_persica_NCBIv2:G5:16761903:16767231:1 gene:PRUPE_5G211000 transcript:ONI08990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSLKLREAHKATSSNGRPSFCSVLWDQQAYHVVTASSADPTIAIHDSLLPSSPPKLLQNHRDGVTALALSPNSTCLASGSEDHSVKLYKFPGGEFQTNITRFTLPIRALAFNKSGTLLAAAGDDEGIKLINTIDGSISRVLKGHKGSVTGLAFDPHSEYLASIDSTGTVIYWELQSGTTLHTLKGIAPNSGFDRSIMNVLSWSPDGNKLAVPGLRNDVVVYDRDTAEKLFSLRGDHTQPICFLAWSPNGKYIATSGLDMQVLIWDVNQKQDIDRHKFDERICCLAWKPIGNALAVIDCTGKYGVWESVTPSSMKSPTEDVPNQKLNNSNGLLLFDEEEGEELSTSGSLSDLGEDSHGESEPPSRKRLHKHSAYDNDLEEDGFDDLSLLPKVESHKKARRKHGESADNGKEGVSSKLTSVRPKMQVAFQPGATPLQPGKRRFLCYNMLGAITTIEHDGFSHIEIDFHDTSRGPRVPSMNDHFGFTMASLNENGSVFANPSKGEKNMSTLMYRPFRSWANNSEWSMRLEGEEVKVVALGTAWVAAITSLNFLRIFTDGGLQRHVLSLDGPVVTASGFMDELAIVTHASECLPSDEQMLEYRVLNITNGSQPLRGRLPLTPGSHLTWFGFSEEGQLSSYDSKGVLRVFTSQYGGSWLPLFSASKEKSDENYWVVGLNASKLFCIVCKRPDLYPQVIPKPVLTPLNFSFPLASSDLGADALENEFMLNNMHLVQVQKQIEVAGAGLDTTSLDDEAFNTEAAQDRCILKLIASCCNGDKLVRATELVKLLSLEKSVRGAIKLVTVLKLPNLAERFNNILEERLLNESKRALETTFPNSNCNASSITNDIAPSKTIFSTEQSKLPGNDIPLSSSKLSAPIFTKKAKTQEAKVGIEKTEEKQAAMASDLGKVKTTDGKNGAKVNNAGDMKKVGETSQAQSPAPSNDQEKVKKAEVTRRASNPFMKKLIKNYWA >ONI08991 pep chromosome:Prunus_persica_NCBIv2:G5:16761853:16767091:1 gene:PRUPE_5G211000 transcript:ONI08991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSLKLREAHKATSSNGRPSFCSVLWDQQAYHVVTASSADPTIAIHDSLLPSSPPKLLQNHRDGVTALALSPNSTCLASGSEDHSVKLYKFPGGEFQTNITRFTLPIRALAFNKSGTLLAAAGDDEGIKLINTIDGSISRVLKGHKGSVTGLAFDPHSEYLASIDSTGTVIYWELQSGTTLHTLKGIAPNSGFDRSIMNVLSWSPDGNKLAVPGLRNDVVVYDRDTAEKLFSLRGDHTQPICFLAWSPNGKYIATSGLDMQVLIWDVNQKQDIDRHKFDERICCLAWKPIGNALAVIDCTGKYGVWESVTPSSMKSPTEDVPNQKLNNSNGLLLFDEEEGEELSTSGSLSDLGEDSHGESEPPSRKRLHKHSAYDNDLEEDGFDDLSLLPKVESHKKARRKHGESADNGKEGVSSKLTSVRPKMQVAFQPGATPLQPGKRRFLCYNMLGAITTIEHDGFSHIEIDFHDTSRGPRVPSMNDHFGFTMASLNENGSVFANPSKGEKNMSTLMYRPFRSWANNSEWSMRLEGEEVKVVALGTAWVAAITSLNFLRIFTDGGLQRHVLSLDGPVVTASGFMDELAIVTHASECLPSDEQMLEYRVLNITNGSQPLRGRLPLTPGSHLTWFGFSEEGQLSSYDSKGVLRVFTSQYGGSWLPLFSASKEKSDENYWVVGLNASKLFCIVCKRPDLYPQVIPKPVLTPLNFSFPLASSDLGADALENEFMLNNMHLVQVQKQIEVAGAGLDTTSLDDEAFNTEAAQDRCILKLIASCCNGDKLVRATELVKLLSLEKSVRGAIKLVTVLKLPNLAERFNNILEERLLNESKRALETTFPNSNCNASSITNDIAPSKTIFSTEQSKLPGNDIPLSSSKLSAPIFTKKAKTQEAKVGIEKTEEKQAAMASDLGKVKTTDGKNGAKVNNAGDMKKVGETSQAQSPAPSNDQEKVKKAEVTRRASNPFMKKLIK >ONI08993 pep chromosome:Prunus_persica_NCBIv2:G5:16761903:16767091:1 gene:PRUPE_5G211000 transcript:ONI08993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSLKLREAHKATSSNGRPSFCSVLWDQQAYHVVTASSADPTIAIHDSLLPSSPPKLLQNHRDGVTALALSPNSTCLASGSEDHSVKLYKFPGGEFQTNITRFTLPIRALAFNKSGTLLAAAGDDEGIKLINTIDGSISRVLKGHKGSVTGLAFDPHSEYLASIDSTGTVIYWELQSGTTLHTLKGIAPNSGFDRSIMNVLSWSPDGNKLAVPGLRNDVVVYDRDTAEKLFSLRGDHTQPICFLAWSPNGKYIATSGLDMQVLIWDVNQKQDIDRHKFDERICCLAWKPIGNALAVIDCTGKYGVWESVTPSSMKSPTEDVPNQKLNNSNGLLLFDEEEGEELSTSGSLSDLGEDSHGESEPPSRKRLHKHSAYDNDLEEDGFDDLSLLPKVESHKKARRKHGESADNGKEGVSSKLTSVRPKMQVAFQPGATPLQPGKRRFLCYNMLGAITTIEHDGFSHIEIDFHDTSRGPRVPSMNDHFGFTMASLNENGSVFANPSKGEKNMSTLMYRPFRSWANNSEWSMRLEGEEVKVVALGTAWVAAITSLNFLRIFTDGGLQMLEYRVLNITNGSQPLRGRLPLTPGSHLTWFGFSEEGQLSSYDSKGVLRVFTSQYGGSWLPLFSASKEKSDENYWVVGLNASKLFCIVCKRPDLYPQVIPKPVLTPLNFSFPLASSDLGADALENEFMLNNMHLVQVQKQIEVAGAGLDTTSLDDEAFNTEAAQDRCILKLIASCCNGDKLVRATELVKLLSLEKSVRGAIKLVTVLKLPNLAERFNNILEERLLNESKRALETTFPNSNCNASSITNDIAPSKTIFSTEQSKLPGNDIPLSSSKLSAPIFTKKAKTQEAKVGIEKTEEKQAAMASDLGKVKTTDGKNGAKVNNAGDMKKVGETSQAQSPAPSNDQEKVKKAEVTRRASNPFMKKLIK >ONI08994 pep chromosome:Prunus_persica_NCBIv2:G5:16761903:16767231:1 gene:PRUPE_5G211000 transcript:ONI08994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSLKLREAHKATSSNGRPSFCSVLWDQQAYHVVTASSADPTIAIHDSLLPSSPPKLLQNHRDGVTALALSPNSTCLASGSEDHSVKLYKFPGGEFQTNITRFTLPIRALAFNKSGTLLAAAGDDEGIKLINTIDGSISRVLKGHKGSVTGLAFDPHSEYLASIDSTGTVIYWELQSGTTLHTLKGIAPNSGFDRSIMNVLSWSPDGNKLAVPGLRNDVVVYDRDTAEKLFSLRGDHTQPICFLAWSPNGKYIATSGLDMQVLIWDVNQKQDIDRHKFDERICCLAWKPIGNALAVIDCTGKYGVWESVTPSSMKSPTEDVPNQKLNNSNGLLLFDEEEGEELSTSGSLSDLGEDSHGESEPPSRKRLHKHSAYDNDLEEDGFDDLSLLPKVESHKKARRKHGESADNGKEGVSSKLTSVRPKMQVAFQPGATPLQPGKRRFLCYNMLGAITTIEHDGFSHIEIDFHDTSRGPRVPSMNDHFGFTMASLNENGSVFANPSKGEKNMSTLMYRPFRSWANNSEWSMRLEGEEVKVVALGTAWVAAITSLNFLRIFTDGGLQMLEYRVLNITNGSQPLRGRLPLTPGSHLTWFGFSEEGQLSSYDSKGVLRVFTSQYGGSWLPLFSASKEKSDENYWVVGLNASKLFCIVCKRPDLYPQVIPKPVLTPLNFSFPLASSDLGADALENEFMLNNMHLVQVQKQIEVAGAGLDTTSLDDEAFNTEAAQDRCILKLIASCCNGDKLVRATELVKLLSLEKSVRGAIKLVTVLKLPNLAERFNNILEERLLNESKRALETTFPNSNCNASSITNDIAPSKTIFSTEQSKLPGNDIPLSSSKLSAPIFTKKAKTQEAKVGIEKTEEKQAAMASDLGKVKTTDGKNGAKVNNAGDMKKVGETSQAQSPAPSNDQEKVKKAEVTRRASNPFMKKLIK >ONI05800 pep chromosome:Prunus_persica_NCBIv2:G5:2761059:2764534:-1 gene:PRUPE_5G024600 transcript:ONI05800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICAVYTTTQLNPTCSFSTPASKTQTQLGFGLGFCQKQQVVFYKRSQSNSRRASVSLITCSAGGQETVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANNFDLMYEQVKAIKEGKAVDKPIYNHVSGLLDPPELIKPPKILVIEGLHPMYDQRVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPGDNEGKVLRVRLIMKEGVKFFNPVYLFDEGSTISWIPCGRKLTCSYPGIKFTYGPDTYFGNEVSVLEMDGQFDRLDELIYVESHLSNISTKFYGEVTQQMLKLSDFPGSNNGTGLFQTIVGLKIRDLFEQLIASKAKTPVEATKA >ONI08844 pep chromosome:Prunus_persica_NCBIv2:G5:16354727:16359236:-1 gene:PRUPE_5G203700 transcript:ONI08844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDKFTRKTNESLSGAHELATDAGHAQFTPLHLASALISDPDGVFRQAIANASGNAEAPRAVERVFNQALKKLPSQSPPPEEIPASTTLIKVIRRAQAAQKAKGDTHLAVDQLIIGLLEDSQIGDLLKEAGIAPARVKSEVEKLRGEGKKVDNAHGDTTFQALKTYGRDLVEEAEKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRILRGDVPSNLADVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLLKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKERYEGHHGVRILDRALVVAAQLSSRYITGRQLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLMMKYRKEKGRIDELRRLKQKREELLIALAEAERRYDLARVADLRYGAIQDVESSIAKLEGSTDENLILTETVGPDQIAEVVSRWTGIPVTRLGQNEKDRLIGLAERLHKRVVGQNQAVDAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLIVRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVLLFDEVEKAHTAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLSGLMGNCTMQDARDRVMQEVKRHFRPELLNRLDEIVVFDPLSRDQLRKVARLQMKDVAVRLAERGIALAVTDAALDYILDESYDPVYGARPIRRWLEKRVVTELSRMLVREEIDENSTVYIDAGPTGSGLVYRVEKNGGLVNAVTGQKSDVLIQLPNGPRSDAEHAVKRIKIEEMDEDHDMDE >ONI09527 pep chromosome:Prunus_persica_NCBIv2:G5:18251008:18253215:1 gene:PRUPE_5G243000 transcript:ONI09527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPRPGSRALFGDTFTLAASKSTHILPTEEETYSQLLRTCGQTSNLPHGKAIHAKLVKGSLPFSPFLQNHLLNMYAKCGDLSNGLQLFDEMPHKNVVSWSAVITGFVQHGCPKEALSLFGRMHQDGTTKPNEFTLVSALHACSLYGNLTQAYQVYAFIVRLGFQWNAFLMNAFLTVLVRQGELTEALEVFENCPNKDIVSWNAIMAGYLQCSYLEIPNFWCRMNREGVKPDGYTFSSVLTGLAALTDIKMGVQVHAQLVRCGHGAEMCVGNSLADMYIKNHKLVDGFKAFDEMPSKDVCSWTQMAAGCLQCGEPSKTLEVIAQMKKVGIKPNKFTLATALNACANLASLDDGKKFHGLRIKLETSTDVDVCVDNALLDMYAKCGCMEGAWCVFQSMKDRSVVSWTTMIMGCAQNGQAREALDIFDKMRLEEGVEPNYITFICLLYACSQGGFIHEGWKYFASMTHNHGIAPGEDHYACMVNLLGRAGLIKEAERLILNMPFKPGVLVWQTLLGACQVHGDTETGKRAAEHALDINRTDPSTYVLLSNMFAGLSNWDSAGMLRKLMESRDVKKLPGSSWIEIEKGH >ONI05563 pep chromosome:Prunus_persica_NCBIv2:G5:1390975:1391193:-1 gene:PRUPE_5G013000 transcript:ONI05563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQSHFVSWSQCMTFSLFQAVHSYSAGASSSISLPLVVLVAFCFVLLVVQASSLVSLFFPVQLPFHYRFFQ >ONI06884 pep chromosome:Prunus_persica_NCBIv2:G5:9843075:9844999:1 gene:PRUPE_5G087200 transcript:ONI06884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYYHLHPSKSSSFSHMGSLFSLTLVILSCISIALIKTEAQKIKSARLLDLLIRDYTFKAYDTHFRTGVLHTVNLPANFSGIIVDTARFRCGSLRRYGAQVKEFHLDLGVSVHPCAERVMIVTQNLGYNWSSIYYANYDLSGYQLVSPILGLLAYNAGTDVNFSNPFELGIEAAEKPIRIDFRNITRVNNELGTRPLCASFEGAGKVALAKEASPYICIAKRHGHFGLVIEKPSPVELNKSKRVSPWKVAVGSSVGSALGVFLLGLLLVAMFVRVKKRSRMEEMERRAYEEEALQVSMVGHVRAPTASGTRTTPTLEHDFNGTP >ONI07521 pep chromosome:Prunus_persica_NCBIv2:G5:12313774:12314537:1 gene:PRUPE_5G125400 transcript:ONI07521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMPCIPGVSFQLKHFRKRKPYSVPPNSVHIGINPHYMQMFSKLINLEMSLTNMNTKVNYAMSLTIC >ONI09214 pep chromosome:Prunus_persica_NCBIv2:G5:17383818:17384125:-1 gene:PRUPE_5G224300 transcript:ONI09214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASYSYIAIALYLVVVVLLGKADVSMAVTCNPTELSPCAGAITSSTPPSTICCTKIKQQKPCLCQYLNNPNLKKFVNTPNARKVARTCGTPFPKC >ONI08493 pep chromosome:Prunus_persica_NCBIv2:G5:15179381:15182707:1 gene:PRUPE_5G181300 transcript:ONI08493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAQNLKHRVFTCLTKLSDRDTHSLAASELESIARTLEPSCVPVFLSCIQSTDASDKSPVRKQCVHLITVLSESHGDSLSPHLSKMLSNITKRLRDPDSAVRSACQNAVASLSCHVTKPPFSSFLKPLTDALFTEQDPNSQIGSALCLASGIDAAPDPEPAKLARLLPRLERLMKYDGFKAKPAVLTLIGSVIGSGGASGQGALKSLVPCLVGFLSSEDWAARKAAAEALAKLAVVERDTLSEFKAGSLRTFENRKFDKVKGVREVMNQMVEVWKQIPDLSDEASPPPPSNASSKENASDGYHPAVSRNCDAPGSEVPKLKKKPILACRSTPPDSSYATTARKRSPLKSNDKKKNPGMFRKTDHKKPSDWDVEVAALSAPSSAGAFDDGLKERDEERKTNGNTRFSKPETKRALFHKSSDSKVHKFGGSRSGSRVAPCQEDTPDSTVIVSNVTEDIHKNHRECEDLSLIRSQLVQIEKQQSSLLDLVQRFMGSSQNGMRSLETRVHGLELALDEISYDLALSSGRMTKIDSRSRTCCLLPGRDFWSSKFWRKTESRYSPSRFPNSRGIAPAAAMCYRSDDNGNAETLMLENLRFRLQDQSGFIVNPLAEIQSGSRGISTS >ONI06770 pep chromosome:Prunus_persica_NCBIv2:G5:9373028:9375000:1 gene:PRUPE_5G080100 transcript:ONI06770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQNNAPKSNMAPPAPPSSKSPPPPPPPPTHFTPIQECDKEGQEDGDCTDIGVNTTTPKHHHPTPPAHHKNGRRQNTKRSDSADSVTAEEADDGSVSCNKCRPNAREKISVVPLDNNGIHNRNASSYMPSPNGILKSILSSFTRKSPRTPTAAYTTAREEQWKIAVAELSHKLVHATRKRDEAQLEASRLKYSMAELEKKLDKLEIYCHNLKSGLDECSGSNNSSPYQLGKSQINRRRSFGGFSSNDVVEKMVVENFLVSVSEARNSIRGLSRSLTMQLRHMGFGKVYEKISGLLQPYDVKLSGSKNPRSLLFYLEALLNRAFFDEFESAGFQKNSSSQILNPMERCEANYTSFNVLKGLTWDEVLIKGTKHFSEEFSGFCDRKMSEIVAMLGWNRAWPEPLLQAFFGASKSVWLVHLLGNSIHPSLPIYRVDKGVGFDPVYMEDMGVDKARKLVPSVVRIMVAPGFYVYGSVVKCKVLCRYNSSNKDLVQSPS >ONI09305 pep chromosome:Prunus_persica_NCBIv2:G5:17603408:17606451:1 gene:PRUPE_5G230500 transcript:ONI09305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVEEEVEKVKKEWDETYSKVVEQIKAIEEYGKSPRVTIAETQRDSLPRMNGLAQDGFALLNSLQFKLDLFAPQLPTDDQVQSAKNLLESWKNRSQSLRLSMRNANLQAKDNMRKAAQKERELLLGGGEESTVRRRNLQTKAGMTSAAESITESLRRTRQLMVQEVERTAGTLMTFEDSTGVLRKAESEYKGHRSLLMRTRNLLSTMQRQDVIDRVILVLGIFLFSCAVLYVGSKRVGLLALQRQVTAAIKAGMGGRAELRPGAVEDVVNHAQVYDNAVHKVEEPLERLMHDEL >ONI08309 pep chromosome:Prunus_persica_NCBIv2:G5:14615866:14620934:-1 gene:PRUPE_5G170900 transcript:ONI08309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLHTSWAVLLLWVFPLLLLKAHSDGSEVSVKFFKAPHAFSHLNSATFAFEALVGGNAASCTNCSFSCKLDDGMGSNCGTRKVSYSGLQDGNHTFEVCTNGPQGIGCALHNWTVDTVPPTASVTASRSFTDALNVSVNISFSESCSGGGGFGCLSANTCNLLVYGAGQVIPSSLSILQPNLKYSLLVGLSSSVQYGRVVLVMDKNFCTDIAGNRFVRTENSRFYVRIDRRNVFVNLRIHIPERLLQLNGETRTVQATNNYNNLKIYVYFSEPVLNSSAQILNSLNISQGSLLPASGNNTGNRRFGFVVANMSSIAIITVSLNSNLIISRQGTPVSPISPATFLFDSKRPTVKLSTTSSMRTREHNIPILIKFMKPVFGFNSSSLSLSGGRLQSFHEISRSTYVVEIEAVDDMVSVNVPENISGDVAGNKNLASNILRVTHLPLIASVISAFATALFVVTSLAAGLLSISTASLQSLWSFSRPSSLASDPARNLFRIACHIQVFALSRWLAVTLPVNYYELVRGLRWSIPYFSLPWEAGNGFPVSSPFTSSSSYMTENHGSEVFQSKQLEKEIFSKDTSLYGLPLTPTEYRTFFELDSENIKPEADYISNPYSSNSRWRNFDRTMFWLAVICGTLILLHVLVLFILKMRKKNSEKQSSYGALTFPRFEIFLVILALPCIYEASAALVRGGMPSGVIVGSSLLVITSFLLLALLFFLSVGITFGKLLQYKEVHREGLEFHWYQELVRVTLGPGKRGQWTWKGQPNSVYLIIFGPLFEDLRGPPKYMLSQISGGNPQKHGESIIASDDETEDAEAPFIQKVFGILRIYYTLLECLKRVAVGVMAGVYMDKWSSKTPSVALLCITSFQLFFLVLKKPFIKKKVQLVEIISISSEVGLFATCVVLLEKEFSAGDKTKVGIFMLFLFLVGYVAQMINEWYALYKQTLLLDSAEKSFLTGLKLASIGCLLLIIPQRLIKTLEKKFQVESKFQVTQNAEGATRDPSSSTGGYRGSGNRSLAGTDKPWLKQLRELAKSSFSKEGSGVTNDPSSSHTRPRWSGIWSAKRSGSSSTPNSSVDFKSKPTGLYKDLEAIFASK >ONI08311 pep chromosome:Prunus_persica_NCBIv2:G5:14615866:14620934:-1 gene:PRUPE_5G170900 transcript:ONI08311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLHTSWAVLLLWVFPLLLLKAHSDGSEVSVKFFKAPHAFSHLNSATFAFEALVGGNAASCTNCSFSCKLDDGMGSNCGTRKVSYSGLQDGNHTFEVCTNGPQGIGCALHNWTVDTVPPTASVTASRSFTDALNVSVNISFSESCSGGGGFGCLSANTCNLLVYGAGQVIPSSLSILQPNLKYSLLVGLSSSVQYGRVVLVMDKNFCTDIAGNRFVRTENSRFYVRIDRRNVFVNLRIHIPERLLQLNGETRTVQATNNYNNLKIYVYFSEPVLNSSAQILNSLNISQGSLLPASGNNTGNRRFGFVVANMSSIAIITVSLNSNLIISRQGTPVSPISPATFLFDSKRPTVKLSTTSSMRTREHNIPILIKFMKPVFGFNSSSLSLSGGRLQSFHEISRSTYVVEIEAVDDMVSVNVPENISGDVAGNKNLASNILRVTHYSVPLIASVISAFATALFVVTSLAAGLLSISTASLQSLWSFSRPSSLASDPARNLFRIACHIQVFALSRWLAVTLPVNYYELVRGLRWSIPYFSLPWEAGNGFPVSSPFTSSSSYMTENHGSEVFQSKQLEKEIFSKDTSLYGLPLTPTEYRTFFELDSENIKPEADYISNPYSSNSRWRNFDRTMFWLAVICGTLILLHVLVLFILKMRKKNSEKQSSYGALTFPRFEIFLVILALPCIYEASAALVRGGMPSGVIVGSSLLVITSFLLLALLFFLSVGITFGKLLQYKEVHREGLEFHWYQELVRVTLGPGKRGQWTWKGQPNSVYLIIFGPLFEDLRGPPKYMLSQISGGNPQKHGESIIASDDETEDAEAPFIQKVFGILRIYYTLLECLKRVAVGVMAGVYMDKWSSKTPSVALLCITSFQLFFLVLKKPFIKKKVQLVEIISISSEVGLFATCVVLLEKEFSAGDKTKVGIFMLFLFLVGYVAQMINEWYALYKQTLLLDSAEKSFLTGLKLASIGCLLLIIPQRLIKTLEKKFQVESKFQVTQNAEGATRDPSSSTGGYRGSGNRSLAGTDKPWLKQLRELAKSSFSKEGSGVTNDPSSSHTRPRWSGIWSAKRSGSSSTPNSSVDFKSKPTGLYKDLEAIFASK >ONI08310 pep chromosome:Prunus_persica_NCBIv2:G5:14615403:14621545:-1 gene:PRUPE_5G170900 transcript:ONI08310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLHTSWAVLLLWVFPLLLLKAHSDGSEVSVKFFKAPHAFSHLNSATFAFEALVGGNAASCTNCSFSCKLDDGMGSNCGTRKVSYSGLQDGNHTFEVCTNGPQGIGCALHNWTVDTVPPTASVTASRSFTDALNVSVNISFSESCSGGGGFGCLSANTCNLLVYGAGQVIPSSLSILQPNLKYSLLVGLSSSVQYGRVVLVMDKNFCTDIAGNRFVRTENSRFYVRIDRRNVFVNLRIHIPERLLQLNGETRTVQATNNYNNLKIYVYFSEPVLNSSAQILNSLNISQGSLLPASGNNTGNRRFGFVVANMSSIAIITVSLNSNLIISRQGTPVSPISPATFLFDSKRPTVKLSTTSSMRTREHNIPILIKFMKPVFGFNSSSLSLSGGRLQSFHEISRSTYVVEIEAVDDMVSVNVPENISGDVAGNKNLASNILRVTHYSVPLIASVISAFATALFVVTSLAAGLLSISTASLQSLWSFSRPSSLASDPARNLFRIACHIQVFALSRWLAVTLPVNYYELVRGLRWSIPYFSLPWEAGNGFPVSSPFTSSSSYMTENHGSEVFQSKQLEKEIFSKDTSLYGLPLTPTEYRTFFELDSENIKPEADYISNPYSSNRWRNFDRTMFWLAVICGTLILLHVLVLFILKMRKKNSEKQSSYGALTFPRFEIFLVILALPCIYEASAALVRGGMPSGVIVGSSLLVITSFLLLALLFFLSVGITFGKLLQYKEVHREGLEFHWYQELVRVTLGPGKRGQWTWKGQPNSVYLIIFGPLFEDLRGPPKYMLSQISGGNPQKHGESIIASDDETEDAEAPFIQKVFGILRIYYTLLECLKRVAVGVMAGVYMDKWSSKTPSVALLCITSFQLFFLVLKKPFIKKKVQLVEIISISSEVGLFATCVVLLEKEFSAGDKTKVGIFMLFLFLVGYVAQMINEWYALYKQTLLLDSAEKSFLTGLKLASIGCLLLIIPQRLIKTLEKKFQVESKFQVTQNAEGATRDPSSSTGGYRGSGNRSLAGTDKPWLKQLRELAKSSFSKEGSGVTNDPSSSHTRPRWSGIWSAKRSGSSSTPNSSVDFKSKPTGLYKDLEAIFASK >ONI08308 pep chromosome:Prunus_persica_NCBIv2:G5:14615403:14621545:-1 gene:PRUPE_5G170900 transcript:ONI08308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLHTSWAVLLLWVFPLLLLKAHSDGSEVSVKFFKAPHAFSHLNSATFAFEALVGGNAASCTNCSFSCKLDDGMGSNCGTRKVSYSGLQDGNHTFEVCTNGPQGIGCALHNWTVDTVPPTASVTASRSFTDALNVSVNISFSESCSGGGGFGCLSANTCNLLVYGAGQVIPSSLSILQPNLKYSLLVGLSSSVQYGRVVLVMDKNFCTDIAGNRFVRTENSRFYVRIDRRNVFVNLRIHIPERLLQLNGETRTVQATNNYNNLKIYVYFSEPVLNSSAQILNSLNISQGSLLPASGNNTGNRRFGFVVANMSSIAIITVSLNSNLIISRQGTPVSPISPATFLFDSKRPTVKLSTTSSMRTREHNIPILIKFMKPVFGFNSSSLSLSGGRLQSFHEISRSTYVVEIEAVDDMVSVNVPENISGDVAGNKNLASNILRVTHLPLIASVISAFATALFVVTSLAAGLLSISTASLQSLWSFSRPSSLASDPARNLFRIACHIQVFALSRWLAVTLPVNYYELVRGLRWSIPYFSLPWEAGNGFPVSSPFTSSSSYMTENHGSEVFQSKQLEKEIFSKDTSLYGLPLTPTEYRTFFELDSENIKPEADYISNPYSSNRWRNFDRTMFWLAVICGTLILLHVLVLFILKMRKKNSEKQSSYGALTFPRFEIFLVILALPCIYEASAALVRGGMPSGVIVGSSLLVITSFLLLALLFFLSVGITFGKLLQYKEVHREGLEFHWYQELVRVTLGPGKRGQWTWKGQPNSVYLIIFGPLFEDLRGPPKYMLSQISGGNPQKHGESIIASDDETEDAEAPFIQKVFGILRIYYTLLECLKRVAVGVMAGVYMDKWSSKTPSVALLCITSFQLFFLVLKKPFIKKKVQLVEIISISSEVGLFATCVVLLEKEFSAGDKTKVGIFMLFLFLVGYVAQMINEWYALYKQTLLLDSAEKSFLTGLKLASIGCLLLIIPQRLIKTLEKKFQVESKFQVTQNAEGATRDPSSSTGGYRGSGNRSLAGTDKPWLKQLRELAKSSFSKEGSGVTNDPSSSHTRPRWSGIWSAKRSGSSSTPNSSVDFKSKPTGLYKDLEAIFASK >ONI05500 pep chromosome:Prunus_persica_NCBIv2:G5:1033119:1036642:1 gene:PRUPE_5G010100 transcript:ONI05500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCAFLGINPILFQESFAGNGNGNGRVSLMARSNSSTRRRLPSNLRYPQRTKLPPDFGINHLLRNTTEPSSQTEFKEAEAEEDGEDIAWEADEIEAISSLFQGRIPQKPGKLDRQRPLPLPLPYKLRPLGLPRPKKHVKMAPICDQVYKNPNALVGLAREIRSLGVVEDVGLILNKWVPFLRKGSLSMTIRELGHMGLPDRALQTFSWAQKQPHLFPDDRLLASAVEVLARYHELKLPFNLDKFTSLASRGVIEAMARGFIKGGSLHLAWKLIFIAKNSGRKLNTSVYAKLILELGKNPDKHRLIGTLLDELGKREDLNLTQQDCTAIMKVCIRLGKFEVVESVYDWFRQSGHDPSVVMYTTLIHSRYSEKKYREALAVVWEMEASNCPFDFPAYRVAIKLFVALNDLPRAVRYISKLKEAGFSPTYDVYRDLIKMYMVSGRLAKCRDVCKEVDIAGFKLDKETMSQLLQLESEKRLSF >ONI05501 pep chromosome:Prunus_persica_NCBIv2:G5:1033169:1038031:1 gene:PRUPE_5G010100 transcript:ONI05501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCAFLGINPILFQESFAGNGNGNGRVSLMARSNSSTRRRLPSNLRYPQRTKLPPDFGINHLLRNTTEPSSQTEFKEAEAEEDGEDIAWEADEIEAISSLFQGRIPQKPGKLDRQRPLPLPLPYKLRPLGLPRPKKHVKMAPICDQVYKNPNALVGLAREIRSLGVVEDVGLILNKWVPFLRKGSLSMTIRELGHMGLPDRALQTFSWAQKQPHLFPDDRLLASAVEVLARYHELKLPFNLDKFTSLASRGVIEAMARGFIKGGSLHLAWKLIFIAKNSGRKLNTSVYAKLILELGKNPDKHRLIGTLLDELGKREDLNLTQQDCTAIMKVCIRLGKFEVVESVYDWFRQSGHDPSVVMYTTLIHSRYSEKKYREALAVVWEMEASNCPFDFPAYRVAIKLFVALNDLPRAVRYISKLKEAGFSPTYDVYRDLIKMYMVSGRLAKCRDVCKEVDIAGFKLDKETMSQLLQLESEKRLSF >ONI05502 pep chromosome:Prunus_persica_NCBIv2:G5:1033169:1038615:1 gene:PRUPE_5G010100 transcript:ONI05502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCAFLGINPILFQESFAGNGNGNGRVSLMARSNSSTRRRLPSNLRYPQRTKLPPDFGINHLLRNTTEPSSQTEFKEAEAEEDGEDIAWEADEIEAISSLFQGRIPQKPGKLDRQRPLPLPLPYKLRPLGLPRPKKHVKMAPICDQVYKNPNALVGLAREIRSLGVVEDVGLILNKWVPFLRKGSLSMTIRELGHMGLPDRALQTFSWAQKQPHLFPDDRLLASAVEVLARYHELKLPFNLDKFTSLASRGVIEAMARGFIKGGSLHLAWKLIFIAKNSGRKLNTSVYAKLILELGKNPDKHRLIGTLLDELGKREDLNLTQQDCTAIMKVCIRLGKFEVVESVYDWFRQSGHDPSVVMYTTLIHSRYSEKKYREALAVVWEMEASNCPFDFPAYRVAIKLFVALNDLPRAVRYISKLKEAGFSPTYDVYRDLIKMYMVSGRLAKCRDVCKEVDIAGFKLDKETMSQLLQLESEKRLSF >ONI06798 pep chromosome:Prunus_persica_NCBIv2:G5:9504564:9507021:-1 gene:PRUPE_5G081800 transcript:ONI06798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIQDITQGMHELKFGEMAASFPQEIIQEILIRLPVKSTVKCTSVCKAWRSMIINPSFIRTHLSPTVDDVHLLLHRVSGLEVTTFLGKTVMDKVDDEVHSVHYDNRAFDEYAKIESPIARKQELWNSHLRVVGICDGLFCLADDIYRYGYNFFIWNPAIRKLLTLPKPNVRFVTHRGYDASIGFGFDAMTNDYKVVRVVTLLDQRGTPTLAEVYSLATGKWSSLGCVSPTCLTDGRASSAFVNGFLHWPVFCKTNGGLYYFILTFDLGKEVFRKIPMPKIIQWKYDLGLRLSLSDNRKSIALFMIDNRCEDSFLEIWVMKEYGIKESWTKLITLGPQGPERLLPRALYFRKSGEVLVLLTDKSRQELISLDLVSKQFKNLGISGYQYCNVGFYKESLLLLNKSNAESY >ONI06377 pep chromosome:Prunus_persica_NCBIv2:G5:6158371:6161139:-1 gene:PRUPE_5G057000 transcript:ONI06377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVGTTKHLESSRIIAPLDVSHSDERLGQFTMENGEFFFHTKSPKFKRQIVSAVRDFPPGCGRFARLNSLRHAKDATSVRTSDSVPTESLVSRGKNVGGHRGVEKLMLSNGQGDETNLMNSNDVDSVETVESVSALEHERSDSLKNLLQLNNLRPVEEAASVGTAESLISRDTNVDGKRVENLTDHVHETDLMNGKASGTVEMIVPVTDLEHEASDLLKSMHQLSNNLRPVDEVASVGTAEYFLRRGKNGDGQEIDKLMVSTGQVDETVSMTVETVESLTALQNEVSDLLKNPYQIGVATPKEEMVTVLSDRNFCSPPDGSISVSVSFGNGLEKTAAKKCPSRRLVSAIRDFPPLCGRNACKFGQVKSCMGAEPSQSNKAKTSVKQIREDFQEEFHKNELGGNVSEVIRDKVQPKCKGHAVQEMERRDKCKPSYKPKVVWKDSREKCIEKSPQESSQPSNRVIVLALMAPSNSQFRKGRRVRRHIPDGGMSERKRKNLKC >ONI09263 pep chromosome:Prunus_persica_NCBIv2:G5:17479563:17489009:1 gene:PRUPE_5G227100 transcript:ONI09263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSALFEGYIIRTTAMSLKPTTASSPILASLRRTHNHRHRHIASVRPSFFGCTMRNSRYHTHCLARSQNWNACYYLPRHVGPVGEVTCLASSRAVEADWKQRQLRSTAVPFLYQQNLGYGRFAYQDASASEDSDYERSSSPRQSGGSTLENIDEWRWKLTMFLRNKDEQEVVSRERKDRRDFEHLSELANRMGLYSRQYSKVVVFSKVPQPNYRPDLDDKRPQREVVLPFGLHREVDAHLKAYVSQKPMKTGNLSEFSFSRSSSSVSMVNDGGPYEQEEPSIQNSDAMEKILLRKSLQLRNRQQHWQESPEGQKMLELRRSLPAYKEKDALLKAISENQVIVVSGETGCGKTTQLPQYILESEIEAARGTACSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGVKGRDTRLLFCTTGILLRRLLVDRKLRGVTHVIVDEIHERGMNEDFLLIVLKELLPRRPELRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVRAHFLENILEMTRYQLNEYNQIDDYGQEKAWKMQKQAPGFKKRKSQIASTVEEVLEAADFREYSPRTRESLSCWNPDSIGFNLIEHLLCHIVRKERPGAILVFMTGWDDINSLKDQLQSHPLLGDPSRVLLLACHGSMPSSEQRLIFDKPEDEIRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISKAASRQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLQSLCLQIKSLQLGSISEFLSKALQAPEPLSVQNAVEYLKIIGALDDNEDLTVLGRHLSMLPVEPKLGKMLILGAIFNCLDPVMTAVAGLSMRDPFLMPFDKKDLAESAKAQFSARDNSDHLALVRAYDGWKNAERVQSGYEYCWRNFLSAQTLKSIDSLRKQFFFLLKDTGLVDHHTETCNTWSHDEHLVRAVICAGLFPGICSVVNKEKSIALKTMEDGQVMLYSNSVNAGVPKIPYPWLVFNEKVKVNSVFLRDSTGVSDSVLLLFGGNISRGGLDGHLKMLGGYLEFFMNPALANTYIFLKGELGELIHNKLLNPKLDMQSHTHLLSALRLLVSEDQCEGRFVFGRKVPVPSKKATKEIKPSILSVGDKGGPNNNSKNQLQTLLVRAGHDAPTYKTKQLKNNQFCSTVIFNGLNFVGQPCNSKKQAEKDAAAEAVLWLKGERHSSSTDIDHMSMLLKKSKKTSQKRTSFDSAKWG >ONI08060 pep chromosome:Prunus_persica_NCBIv2:G5:13934320:13936415:1 gene:PRUPE_5G156200 transcript:ONI08060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAVFGGASPCFSSSLQRSAAERSCSLSYAHHKNHLRTRHHQLSAFPSKCHLFSFFPSRPHAKTLAKPRIFLPHLVASLEQVDETYIMVKPDGVQRGLVGEVISRFEKKGFKLTGLKLFQCPQDLAEEHYKDLKGKSFFPKLIDYIVSGPVVCMAWEGVGVVAAARKLIGSTNPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIALWFKEGELCQWTPAQEPWLRE >ONI08061 pep chromosome:Prunus_persica_NCBIv2:G5:13934330:13936346:1 gene:PRUPE_5G156200 transcript:ONI08061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAVFGGASPCFSSSLQRSAAERSCSLSYAHHKNHLRTRHHQLSAFPSKCHLFSFFPSRPHAKTLAKPRIFLPHLVASLEQVDETYIMVKPDGVQRGLVGEVISRFEKKGFKLTGLKLFQCPQDLAEEHYKDLKGKSFFPKLIDYIVSGPVVCMAWEGVGVVAAARKLIGSTNPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIGRLSFWYHLLFSDGYFSSPTLPTFLSHSLFALLSSLVQRR >ONI07564 pep chromosome:Prunus_persica_NCBIv2:G5:12469576:12471472:-1 gene:PRUPE_5G128000 transcript:ONI07564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFVGPRLYSCCNCRNHVALHDDVISKAFQGRNGRAFLFSHAMNITVGPKENRQLMTGLHTVADVSCCDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >ONI07565 pep chromosome:Prunus_persica_NCBIv2:G5:12469814:12470515:-1 gene:PRUPE_5G128000 transcript:ONI07565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFVGPRLYSCCNCRNHVALHDDVISKAFQGRNGRAFLFSHAMNITVGPKENRQLMTGLHTVADVSCCDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >ONI07562 pep chromosome:Prunus_persica_NCBIv2:G5:12469576:12471162:-1 gene:PRUPE_5G128000 transcript:ONI07562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFVGPRLYSCCNCRNHVALHDDVISKAFQGRNGRAFLFSHAMNITVGPKENRQLMTGLHTVADVSCCDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >ONI07567 pep chromosome:Prunus_persica_NCBIv2:G5:12469576:12471496:-1 gene:PRUPE_5G128000 transcript:ONI07567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFMMMSFLKPFRNGRAFLFSHAMNITVGPKENRQLMTGLHTVADVSCCDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >ONI07563 pep chromosome:Prunus_persica_NCBIv2:G5:12469576:12471160:-1 gene:PRUPE_5G128000 transcript:ONI07563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFVGPRLYSCCNCRNHVALHDDVISKAFQGRNGRAFLFSHAMNITVGPKENRQLMTGLHTVADVSCCDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >ONI07561 pep chromosome:Prunus_persica_NCBIv2:G5:12469576:12471495:-1 gene:PRUPE_5G128000 transcript:ONI07561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFVGPRLYSCCNCRNHVALHDDVISKAFQGRNGRAFLFSHAMNITVGPKENRQLMTGLHTVADVSCCDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >ONI07566 pep chromosome:Prunus_persica_NCBIv2:G5:12469814:12470515:-1 gene:PRUPE_5G128000 transcript:ONI07566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFVGPRLYSCCNCRNHVALHDDVISKAFQGRNGRAFLFSHAMNITVGPKENRQLMTGLHTVADVSCCDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >ONI08561 pep chromosome:Prunus_persica_NCBIv2:G5:15390959:15393671:1 gene:PRUPE_5G185400 transcript:ONI08561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISFGVWVALVLGGLPLLGLLLWWWNELWYVLPLKLRRSATNAKLPPGHMGLPFIGEVLTFLWYFKVLRRPDDFINAKRAKYGDGVGMYRTYLFGSPSIIACFPAVNKFVFQSDDTFILNWPSVDLMGRTSLVAVHGKSHARIRNYVTNVINQPDALRRIAQMVQPRIIAALESWAHKGRIKAHDETKKVTFENIGQLFVSLEPGPLLDTIDNLFDGLVKGIRAQPFNIPGSAFHHARQCRKKLEDIFMVELEKKKTQKEVVTNDLMDGLMQITDDEGNKLCDQEVLDNIVSLVVAGYASTSLASMWAIYYLAKYPNVLITLREENMAISQNKKGEFITSEDVSKMKYTNKVVEETIRMANISAFNFRSVVKEVEYKGYIIPKGWTVILWLRYSHTNPENFDDPMCFNPNRWNVGTTQPRCRDGLSSTSNTR >ONI08560 pep chromosome:Prunus_persica_NCBIv2:G5:15390959:15393671:1 gene:PRUPE_5G185400 transcript:ONI08560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISFGVWVALVLGGLPLLGLLLWWWNELWYVLPLKLRRSATNAKLPPGHMGLPFIGEVLTFLWYFKVLRRPDDFINAKRAKYGDGVGMYRTYLFGSPSIIACFPAVNKFVFQSDDTFILNWPSVDLMGRTSLVAVHGKSHARIRNYVTNVINQPDALRRIAQMVQPRIIAALESWAHKGRIKAHDETKKVTFENIGQLFVSLEPGPLLDTIDNLFDGLVKGIRAQPFNIPGSAFHHARQCRKKLEDIFMVELEKKKTQKEVVTNDLMDGLMQITDDEGNKLCDQEVLDNIVSLVVAGYASTSLASMWAIYYLAKYPNVLITLREENMAISQNKKGEFITSEDVSKMKYTNKVVEETIRMANISAFNFRSVVKEVEYKGYIIPKGWTVILWLRYSHTNPENFDDPMCFNPNRWNEPARPGTYQVFGGGPRICAGNMLVRIQLAILLHHLCTGYKWELLNPDAEMVYLPHPTPVDRVDITFNKL >ONI07870 pep chromosome:Prunus_persica_NCBIv2:G5:13295583:13297362:-1 gene:PRUPE_5G144000 transcript:ONI07870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVEKLNQILPRILRAIRIAARLGFRISKETAHSVKNLSYSILRLDKGRLLMEMNYMLAYGSAEASLRLLWKFGLLELLLPIQAAYFVSHGFRRRDKRTNMLLVIIITHLLYAYSVLDYIVKRSLLSNLDKLLSPDKPCHSSLWVAILAFHKALSEQPRDPLVVAAFSLAIHNGGDMLEAVNIARRITKLHDVCFNELSETQDLNPRALKDEVVNLATSLKRALNHMTDEQIVSVAMAGYPQAPYSDLVFIPWGLSLRVSRVFSCVTSGAERGFVPKQGSKIDYESLAVGNLPEVRHIFARVVFDTIYPLILNRDNFET >ONI06834 pep chromosome:Prunus_persica_NCBIv2:G5:9656341:9657248:1 gene:PRUPE_5G084200 transcript:ONI06834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNLYIILATLAVFAPSVLATDYVVGDDKGWTINFDYQAWAQGKSFYVGDNLVFNYPEGAHTVLKVNGTGFQQCAAPLDSAPLTSGKDVINLATPGRKWYICGVGQHCELGNQKLLITVLPSSSSSAPSPSHSSWPSATAGPGPSASAATTIVGTRFALMMLLTIGFLGMLMA >ONI06204 pep chromosome:Prunus_persica_NCBIv2:G5:5020275:5021246:-1 gene:PRUPE_5G047100 transcript:ONI06204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLDEDARPRFLFQSKAVASSATDPEPHYKNLNKPFIAFTILLSILLLGLSFFFLQSEPYQSLLIWVALSILVGPFAPASVTGGDISVGHGQILDLPEITTQVEEESKKRVSQKRSKPRRFDELGTDSTPVAETVNGPVREEKKREVSEGNGNGSMVFDEETEWVEEDVEFLKKLLLKYPVGKLRRWEVISESFRGKHKVESVIKKAKELGEKKVTDSDSYAEFLKKRKPNDKKIESESQDLGDELVVENGEVKKESNGGGVSWASTEDIALLNALKAFPKDVSMRWEKVAAAVPGKSKAACMKRVAELKKGFRSSKASTEE >ONI06205 pep chromosome:Prunus_persica_NCBIv2:G5:5018266:5021265:-1 gene:PRUPE_5G047100 transcript:ONI06205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLDEDARPRFLFQSKAVASSATDPEPHYKNLNKPFIAFTILLSILLLGLSFFFLQSEPYQSLLIWVALSILVGPFAPASVTGGDISVGHGQILDLPEITTQVEEESKKRVSQKRSKPRRFDELGTDSTPVAETVNGPVREEKKREVSEGNGNGSMVFDEETEWVEEDVEFLKKLLLKYPVGKLRRWEVISESFRGKHKVESVIKKAKELGEKKVTDSDSYAEFLKKRKPNDKKIESESQDLGDELVVENGEVKKESNGGGVSWASTEDIALLNALKAFPKDVSMRWEKVAAAVPGKSKAACMKRVAELKKGFRSSKASTEE >ONI09012 pep chromosome:Prunus_persica_NCBIv2:G5:16797455:16801980:-1 gene:PRUPE_5G211900 transcript:ONI09012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEGTPALHGYYMPAEWEPHSQCWIGWPERPDNWRDNAVPAQQVFAKVASAISKFEHVTVCASAAQWANARSQLPENIRVIEMSLNDSWFRDTGPTFVIGISASSSSTPEPKVAGIDWNFNSWGGIDDGCYRDWSHDLLVARKILAVEKLPRFPHSMILEGGSIHVDGEGTCLTTEECLLNKNRNPDLTKEQIEDQLKAYLGVRKVIWLPRGLYGDDDTNGHIDNMCCFVKPGVVLLSWTDDEKDPQYERAVEAFSVLSNTTDANGRKLEIIKLHVPGPLYMTDEEATGIFQEDCEAKPRLPGTRLAASYVNFYIANGAIIAPQFGDLKWDDEAVRVLSQAFPNHEVVRIEGAREIVLAGGNIHCITQQQPHIPQSIVNHD >ONI09011 pep chromosome:Prunus_persica_NCBIv2:G5:16797687:16801827:-1 gene:PRUPE_5G211900 transcript:ONI09011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEGTPALHGYYMPAEWEPHSQCWIGWPERPDNWRDNAVPAQQVFAKVASAISKFEHVTVCASAAQWANARSQLPENIRVIEMSLNDSWFRDTGPTFVIGISASSSSTPEPKVAGIDWNFNSWGGIDDGCYRDWSHDLLVARKILAVEKLPRFPHSMILEGGSIHVDGEGTCLTTEECLLNKNRNPDLTKEQIEDQLKAYLGVRKVIWLPRGLYGDDDTNGHIDNMCCFVKPGVVLLSWTDDEKDPQYERAVEAFSVLSNTTDANGRKLEIIKLHVPGPLYMTDEEATGIFQDCEAKPRLPGTRLAASYVNFYIANGAIIAPQFGDLKWDDEAVRVLSQAFPNHEVVRIEGAREIVLAGGNIHCITQQQPHIPQSIVNHD >ONI08420 pep chromosome:Prunus_persica_NCBIv2:G5:14998089:15001577:1 gene:PRUPE_5G177400 transcript:ONI08420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLAGTKLDLREDKHYLADHPGLVPVTTAQGEELRKQIGASFYIECSSKTQQNVKAVFDAAIRVVIKPPQKQKEKKQKARQGCLVNILCGRRLVCLK >ONI08421 pep chromosome:Prunus_persica_NCBIv2:G5:14998316:15000183:1 gene:PRUPE_5G177400 transcript:ONI08421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLAGTKLDLREDKHYLADHPGLVPVTTAQGEELRKQIGASFYIECSSKTQQVCINWYLYSHNATESLVYLKLHILRRDVHGSFQIKPTNLSIHLSN >ONI07225 pep chromosome:Prunus_persica_NCBIv2:G5:11289759:11296239:-1 gene:PRUPE_5G107000 transcript:ONI07225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTTLTPQAVVNNLVSLNVGGVGQQQLSASLVNSYRVAAVAERLAAHVKSGYRGEAMEFFNLCLSLSRGIDYAVANNEIPTIAHDLPALLKQICQRRSDKVLEAAIMVLMISVKNACKTGWFSEKETEELFSLANETGSSFWLPGDFKTGPSCCLSTVDTIMKRYYPLMNMGQILASLEVKPGYGTYVLDFHISKSTVYTPQEKIRLFVAQTDNMETSACIISPPQVNFLLNGKGVDRRTNVLMDTGPQMPSVVTGMLKFGSNLLQAVGQFNGHYIIVVAFMRITSSPDTSTLKDYTQPIVPSSDSDSDIIEGPSRISLNCPISYTRIKTPVKGRLCKHLQCFDFSNFVGINLRRPSWRCPHCNQYVCFLDICVDQNMIKVLREVGKNVAEVIISMDGSWKAVLENDDDVDRAYDKGLPKESSQQEESTRVSTALANVLDLTEDDTEMDTVSACETEDVKPLSNTNRVNQTVAAHLEDDFWSGIFFANGSLASGIRSDTQMGGVIPHTGPANLQSPVLTDAVSPALDRGTESHLTTDLVASAMHQFSSPNNFQWQQSQFASSAANNEYGRFASHRVLPRTPTAVQALPAQSQGPGLQQRPRTSWNSSTPSSASLSSQVGQSITPTANGVNAVCSDLERQQHFSRPRMNPLQVSNIASSSLQHPSQTTQNWDRQDQSFIHGQSVQQVVGLPVPSQLQSANRASPGLMDFQNAHLQQAFNNARTPQTMGQSSSSIRSSSHLSRAHIQQGNAQVGTGQTSSSLNNQQRFKAGTHLAAIMARQSPSMPVQNQTPRTRPSLPVNVGGTMQAVSGADGSVDLSSEQNWRPTGRMRGSLSGRAYSAAFHQFIIAPTQPTQAARPPPNLTSPPPVVPSQPETLIGNMSAQVPQTHNSHPQ >ONI07224 pep chromosome:Prunus_persica_NCBIv2:G5:11289368:11296361:-1 gene:PRUPE_5G107000 transcript:ONI07224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTTLTPQAVVNNLVSLNVGGVGQQQLSASLVNSYRVAAVAERLAAHVKSGYRGEAMEFFNLCLSLSRGIDYAVANNEIPTIAHDLPALLKQICQRRSDKVLEAAIMVLMISVKNACKTGWFSEKETEELFSLANETGSSFWLPGDFKTGPSCCLSTVDTIMKRYYPLMNMGQILASLEVKPGYGTYVLDFHISKSTVYTPQEKIRLFVAQTDNMETSACIISPPQVNFLLNGKGVDRRTNVLMDTGPQMPSVVTGMLKFGSNLLQAVGQFNGHYIIVVAFMRITSSPDTSTLKDYTQPIVPSSDSDSDIIEGPSRISLNCPISYTRIKTPVKGRLCKHLQVLREVGKNVAEVIISMDGSWKAVLENDDDVDRAYDKGLPKESSQQEESTRVSTALANVLDLTEDDTEMDTVSACETEDVKPLSNTNRVNQTVAAHLEDDFWSGIFFANGSLASGIRSDTQMGGVIPHTGPANLQSPVLTDAVSPALDRGTESHLTTDLVASAMHQFSSPNNFQWQQSQFASSAANNEYGRFASHRVLPRTPTAVQALPAQSQGPGLQQRPRTSWNSSTPSSASLSSQVGQSITPTANGVNAVCSDLERQQHFSRPRMNPLQVSNIASSSLQHPSQTTQNWDRQDQSFIHGQSVQQVVGLPVPSQLQSANRASPGLMDFQNAHLQQAFNNARTPQTMGQSSSSIRSSSHLSRAHIQQGNAQVGTGQTSSSLNNQQRFKAGTHLAAIMARQSPSMPVQNQTPRTRPSLPVNVGGTMQAVSGADGSVDLSSEQNWRPTGRMRGSLSGRAYSAAFHQFIIAPTQPTQAARPPPNLTSPPPVVPSQPETLIGNMSAQVPQTHNSHPQ >ONI05709 pep chromosome:Prunus_persica_NCBIv2:G5:2222331:2226868:1 gene:PRUPE_5G020200 transcript:ONI05709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSPCPRRSATSSQVPSLPKHTDISKDQLSFLNQQFRTQEDVLNKAPHLLTALHSHCSDLTSHLLDFQTTLNRRTVSWICRSFSAKTALHNLNLSLQNLSLLTSQRGSGSKKLQRVLGTELPRLSKEVLRIETIRSYLETTLQLEALVGDLEDAVLCFVNSHSGKMFSANPSDSGTKQEKFLQSIKALNDLEVLIDLLKLRPQWHHLLKSVDTRVDKSLVILRRQVFADHRALLASLGWPPKLSASQIEREKFSGLPNPLVLIQGDKRKSYSNSFLALCAVQHLQTRREKRQLNLLGQNVCKEQLWAIDELVSPIASRLEYHFSKWVDQPELIFALAYKTTRDFIVGVDDVLQPLIDRARLGSYSAKEAWVYAMVQLLSEFLEKRIFSALAERYKEKEIKSEVIESWLHLIDLTVVFDKQLQSLGSSEISLFRGESERVGSPSGSISVLMLFCKRPDWLKIWAKIELENGCKKLKTDLKHERAWLVDDKYQDELHFDTKSEHFLLLTRIDYRAPLIAESALGITLEMVERCQTMPATSARIQFVRSAAVRFLWYFFKELLLRCKRTEILPDNPDDDALVRVSGSINAAKYVESKLRQWSDDVNFLEMKVAENDTSGLGKDESTDSSFFGEEIKILAELATNWLMEIISVLLRQFETLSRAFVQKLKYDEQQLEGSTHVEVSAAMDLSISVEFIEPLDALRSHLVLLRRSLNPKDFLDLWRCVAEGLDHFISCSGIQSLDNVSSQFETDMQALFSVFQPFCVRPDAFFPCTREIIKQLKMNMGRR >ONI09434 pep chromosome:Prunus_persica_NCBIv2:G5:17978831:17981266:-1 gene:PRUPE_5G237900 transcript:ONI09434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPYKNSRTLCFFLILSFGLCLVITSAELERFEHPTKEDGSLSFLVLGDWGRRGAFNQSQVAFQMGKVGEKLDIDFVVSTGDNFYDNGLASEHDTAFEESFTKIYTAKSLQKQWYSVLGNHDYRGDAEAQLSPLLRKIDSRWICLRSFIVNAELAEIFFVDTTPLVNKYFTNTEDHTYDWRGITSRKDYIATLLKDVEFALKKSSAKWKIVVGHHAIRSVGHHGDTEELIKQLLPILQANDVDFYMNGHDHCLEHISDTESPIQFLTSGAGSKAWRGDIKGLNKEGLNFFYDGQGFMSVQLNPTDAEIAFYDVFGKVLHRSHSSKQLHPST >ONI07601 pep chromosome:Prunus_persica_NCBIv2:G5:12542375:12546387:1 gene:PRUPE_5G129700 transcript:ONI07601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASLGYSPPPLSYFRHVSHLHNYTRLAATSAFNVSSAADPMAHPSLETLGGARDLFLPALSSLTRPYNPFPVIGSNRHVETIFASFYRSTPDVRLRRECLRTTDDGAVSLDWVSSDDRLLPPDSPLLILLPGLTGGSDDSYVRHMLVRARSKGWRVVVFNSRGCGGSPVTTPQFYSASFLGDMREVVAHVGNRYPKANLYAVGWSLGANILVRYLGQESDACRLSGAVSLCNPFNLVIADEDFRKGFNIVYDKALASALSKIFKKHALLFEDMGGDFNIPLAANAKSVREFDDGLTRVSFGFKSVDDYYSNSSSSNSIKDVCIPLLCIQAGNDPIAPNRGIPREDIKDNPNCMLIVTPKGGHLGWVAGPEAPFGAPWTDPVVMDFLQHLERGKSGALSSINSESEQRRSEGLHQLEV >ONI07418 pep chromosome:Prunus_persica_NCBIv2:G5:11975885:11977300:-1 gene:PRUPE_5G119000 transcript:ONI07418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLQFLITFLLLFFFIHHNGASAPICSESTCSFNSHPWIRFPFRLQNLQPSLCGYSKSFDLSCNNQNEAILTLPSSGDFIVKTIDYTGQNIWITDLNSCFPRLFLDHGLSLEGSPFSYANDLVNFTLLNCSSFAETPYPPIPCLSNNEQYVITAVPSSDSTVPPLCSVFSTALAPLRDPRDWSIIDLYGVELAWDVPDCRFCVELGKFCGFENAKSSKLMCYDIYKYYPSNNDTARTSEIALITCLGVLGLLFTTAIFLRLMRTCGRVQQPIVELSTITNQQSPAVMKGLDDATIESYPKIQLGQSWELPKPNDNTCPICLGTYKSKETLRTIPECNHYFHANCIDEWLRRNATCPLCRNPSEGNKVIIEA >ONI05595 pep chromosome:Prunus_persica_NCBIv2:G5:1566127:1578631:1 gene:PRUPE_5G014500 transcript:ONI05595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDGGKLKSTSINGVKMYSIASQQRSLATWLDPKKRRALRKDQNYLQRMELIQDLRFETATTKIKATPDGEFVIAAGIYPPQVKVYELREFSLKFERHLDSEIIDFEVLAGDYSKLAFLCADRSVYLHAKYGKHYSVRIPRMGRDIVYDCWSCDLLCAASSPELYRINLEQGRFLSSLSTQSAALNVVSRSKLHGLVACGGEDGAVECFDLRTKSSVGRINAVAPAGDIDQEVTALEFDEKTGFLMAVGSSAGKVLIYDLRSSHPIQVKDHMYGSPILDIKWHQTLNSEAPKLITTDNHIVRIWDPDTGEGMTSIEPTAGTINDICAFPGSGLMLLALDCSQIPSYFIPDLGPAPNWCSELQKLTEELEEGGQTTIYDDFKFLTKEDLERLKLTGLIGTNLLRAYMHGFFIDYRLYKKAKALAETFDYAEYIEQRKGEKLEKERAQRITIKRKLPKVNRTLAKSILDNEDAENEIKDADSNETKKLSKKKKALGSEILKDERFGNMFENKDYQIDEFSQEYVALHPMPSKKQPSLVDEHFRPAMEDEDQNLSDSDASATSLSSEEPGHEKSKMRKKGRTPRLYEVKDERHAEAFLNRESFAKEELLPLGERVAALRDDRQVSAIPSDVKLGPGGSREISFRARSSAKYKEDEEDEEAQRGKRRGVQSLGLKQNSNGFGSRGRGGRGGRGGRGGRSGRGRGGHRGRGRSGGW >ONI05596 pep chromosome:Prunus_persica_NCBIv2:G5:1566127:1575797:1 gene:PRUPE_5G014500 transcript:ONI05596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDGGKLKSTSINGVKMYSIASQQRSLATWLDPKKRRALRKDQNYLQRMELIQDLRFETATTKIKATPDGEFVIAAGIYPPQVKVYELREFSLKFERHLDSEIIDFEVLAGDYSKLAFLCADRSVYLHAKYGKHYSVRIPRMGRDIVYDCWSCDLLCAASSPELYRINLEQGRFLSSLSTQSAALNVVSRSKLHGLVACGGEDGAVECFDLRTKSSVGRINAVAPAGDIDQEVTALEFDEKTGFLMAVGSSAGKVLIYDLRSSHPIQVKDHMYGSPILDIKWHQTLNSEAPKLITTDNHIVRIWDPDTGEGMTSIEPTAGTINDICAFPGSGLMLLALDCSQIPSYFIPDLGPAPNWCSELQKLTEELEEGGQTTIYDDFKFLTKEDLERLKLTGLIGTNLLRAYMHGFFIDYRLYKKAKALAETFDYAEYIEQRKGEKLEKERAQRITIKRKLPKVNRTLAKSILDNEDAENEIKDADSNETKKLSKKKKALGSEILKDERFGNMFENKNVQKCIG >ONI07341 pep chromosome:Prunus_persica_NCBIv2:G5:11744460:11745443:-1 gene:PRUPE_5G114200 transcript:ONI07341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIQLAVFMLAAIGCFWMGSVSAMDHIVGGSAGWTTPPNKTFFQDWAFPRTFGVGDRLVFPYRPGSGNVVVVNKEDFDRCTQKNVIYMYYNGPTIIKFTTTGDNYYYSGVGKHCEAGQKLYVKVVNQKGSSGKVFPFKLVSKDTKTAAAPDTAAAAPAKSSALDAAPAPAKSSATAIQSAGMASGLLTIFFSLFI >ONI06328 pep chromosome:Prunus_persica_NCBIv2:G5:5735391:5741224:-1 gene:PRUPE_5G053600 transcript:ONI06328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLGCSSSAEQRGGERRGVETEKDPYHGEIINKLANALMKKLTSLDPSKTLTPDHMNGVKQCLSVFYPVFRSPDHPTYAVMIQRAIAELNEEGGSTQEAISKFIREQFDCLPLAHESFLSHHLKKLSESGEILSASNNCYMLRAEDNDYVSRRERVQKKESSGLGRGRRTRNGIEHKKLAEEQVEVTEHRERRQQSQEPGVIVDQNGCSEQQNEQQDEVNGEVAMVVAKQNYKVTKEQFEVTEHREQREQSQEPEVIVDQNGCSEQQNEQHDEVRGEFAMVVVKQKYKATEEQVEVTEQQREQGKQSQELSLQEQHSKVIDDHSGPPEEQQDEVNGQKTEKRMQEVAVIVEKQHNSEEKYKATEEQVKLIEQRQHGQNCLEPNQGQDELIGHPSQTEMQEVVMIVGKQNNAKEKYKVAEEEVEMTEQRLHGQQSQELSLHEQHSRLIDGERGSPDKQHDEVNGQQSKNQMEKGTVIVEKQNNSEQKYKAVEEQVEVTEQQREHRQQSQELSLEERLNMVIDIQNGSPEKPNEHADGSPEKQNEHADEANGQQIQKQMQGNAVNFRKQSNAEEKYKVTEENIQAQRDELIEEQCQSEEQQCEVTREQLQIEGIEREVQLLEEQVEIIEKMTTPGEQIEMISERNKPQEQSELISKIVGSCNMQELLSKKQEKDAATAINSSPSLTSQKLPHHGSSFLSEENYVELLMRTRKLEEKLLENLSSIREGSNHSCQKPSTDLEELFSKHGKLAAHDSQPQMPKCLNKSINTFPDVELPQQEGIGNVERSLELLEAENIREKSRHVGKKTCLNFEAKHEKEKHSAACDPQEKPSVSLQAASCEDVPKHSPDQELERKLKNQQPELPKPQRPQGVQLLSVEGGYKAHESEPNIMDSSVDLLRASKHQKRQLCPQHKKTSESGCGVPKARKCQELENEKQPREEIQDMGMKLDHFAVKLSFQAQKKTQEPAQQRQLRPRRQRQSKSEESITTSMVESPPTRLDTLISNLQEAEKPQELKTSAAKTGPTQQQRQLRPRDKKLPESKAVLSPSQSLDEQYPLLSNSGRSQMQQPRVDDSSQLKNRHRHAELSQTIKELQRHLKLQSHSQGVSQSDPSVSMTESSPSQNPCEEPQEKMHHDELQHLKHQKHKKPPRPKENGEPIMEVLSPSADQQPQKRGRGRPPKAKLSTAATSYASLPAKCQKQKEQPEKRKQGRPRKLTAKITLARIKLTLKNQPQQQKRGRGRPRKVTEET >ONI06327 pep chromosome:Prunus_persica_NCBIv2:G5:5735110:5741456:-1 gene:PRUPE_5G053600 transcript:ONI06327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLGCSSSAGEIINKLANALMKKLTSLDPSKTLTPDHMNGVKQCLSVFYPVFRSPDHPTYAVMIQRAIAELNEEGGSTQEAISKFIREQFDCLPLAHESFLSHHLKKLSESGEILSASNNCYMLRAEDNDYVSRRERVQKKESSGLGRGRRTRNGIEHKKLAEEQVEVTEHRERRQQSQEPGVIVDQNGCSEQQNEQQDEVNGEVAMVVAKQNYKVTKEQFEVTEHREQREQSQEPEVIVDQNGCSEQQNEQHDEVRGEFAMVVVKQKYKATEEQVEVTEQQREQGKQSQELSLQEQHSKVIDDHSGPPEEQQDEVNGQKTEKRMQEVAVIVEKQHNSEEKYKATEEQVKLIEQRQHGQNCLEPNQGQDELIGHPSQTEMQEVVMIVGKQNNAKEKYKVAEEEVEMTEQRLHGQQSQELSLHEQHSRLIDGERGSPDKQHDEVNGQQSKNQMEKGTVIVEKQNNSEQKYKAVEEQVEVTEQQREHRQQSQELSLEERLNMVIDIQNGSPEKPNEHADGSPEKQNEHADEANGQQIQKQMQGNAVNFRKQSNAEEKYKVTEENIQAQRDELIEEQCQSEEQQCEVTREQLQIEGIEREVQLLEEQVEIIEKMTTPGEQIEMISERNKPQEQSELISKIVGSCNMQELLSKKQEKDAATAINSSPSLTSQKLPHHGSSFLSEENYVELLMRTRKLEEKLLENLSSIREGSNHSCQKPSTDLEELFSKHGKLAAHDSQPQMPKCLNKSINTFPDVELPQQEGIGNVERSLELLEAENIREKSRHVGKKTCLNFEAKHEKEKHSAACDPQEKPSVSLQAASCEDVPKHSPDQELERKLKNQQPELPKPQRPQGVQLLSVEGGYKAHESEPNIMDSSVDLLRASKHQKRQLCPQHKKTSESGCGVPKARKCQELENEKQPREEIQDMGMKLDHFAVKLSFQAQKKTQEPAQQRQLRPRRQRQSKSEESITTSMVESPPTRLDTLISNLQEAEKPQELKTSAAKTGPTQQQRQLRPRDKKLPESKAVLSPSQSLDEQYPLLSNSGRSQMQQPRVDDSSQLKNRHRHAELSQTIKELQRHLKLQSHSQGVSQSDPSVSMTESSPSQNPCEEPQEKMHHDELQHLKHQKHKKPPRPKENGEPIMEVLSPSADQQPQKRGRGRPPKAKLSTAATSYASLPAKCQKQKEQPEKRKQGRPRKLTAKITLARIKLTLKNQPQQQKRGRGRPRKVTEET >ONI08197 pep chromosome:Prunus_persica_NCBIv2:G5:14277386:14279507:-1 gene:PRUPE_5G163500 transcript:ONI08197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFVIALPFLIFTIFLIKRLTDTPKHKNLPPSPPSLPILGNLHQLGTYPHRSLQRLAQHYGELMVLRFGMQPVLVVSSADAAREIMKTNDIIFSNRPRSTIADKLLYEAKSVSSAPYGEYWRQMRSICVLQLLSNKRVQSFRAVREEELALLTEKVKQSSLLSLPVNLSELFASLTNDVICRVAFGKKYSGDEGARKFKKLLGEFMILLGGFYVGDFIPWLGWVSQINGLDARVEKVAKEFDEFLDCVVDEHMCTLNMKGESCGDNMSVESEDGKDLVHVLLEIQKQNKAGSSIDRDSIKGIILDIFGGGTDTSYTVLEWAMTELLRHPRVLKKLQDEMMGIANGKADITEGDLDKFPYLKAVIKETLRLYPPIPLLVPRESTQEAKIKGYDIAARTMVLINAWTIGRDPSMWDEPEEFKPERFLNSSVDFKGHDFQLIPFGAGRRGCPGSLFAMITNEIVLANLVHKFDWTLPGGARAEDISMTECTGLVIHRKAPLVAMAAPRFSENSCFPIL >ONI05433 pep chromosome:Prunus_persica_NCBIv2:G5:777580:781709:1 gene:PRUPE_5G007300 transcript:ONI05433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSPSCKPFCCSNIATDVCKPRVRNGQFSLSPFHFSLARPRSEIDGFISEVFLTAWFNGKVIATPQPLNWQSPPLTISFICYLSVRRVKILHTLATGTTCICRRIRAFRSMRLLKLKRLFEEVEGFGLWFWTEDHKEEDD >ONI07246 pep chromosome:Prunus_persica_NCBIv2:G5:11396472:11397346:1 gene:PRUPE_5G108400 transcript:ONI07246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKTNDAESLQSSDELKRQKKIKMAIYITIFVVFQIIVITTMSLTVMKVKTPKFRLGSNINFQSFESVPAAPSFDMKFTTQIRIKNSANWGSYKFNPANVTFQYQGTTVGVIDIAKGKVGWLSTIKRNVEVSLSSSAITGSNLGSELGSGVLTLNSIGRLNGKVAIMFIMKKKKATNMNCTIAFDVAAKTLKSLECK >ONI05935 pep chromosome:Prunus_persica_NCBIv2:G5:3491546:3495534:-1 gene:PRUPE_5G029900 transcript:ONI05935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQTIKVSNLSLGASERDIKEFFSFSGDIAYVEMMSDTERSQIAYVTFKDVQGAETAVLLSGATIVDMSVTITLAPDYELPPAATAANVTENKSPGGAESALRKAEDVVSGMLAKGFVLGKDAVNKAKNFDEKHQLTSTASEKVASFDKKIGLSEKISAGTSVVGDKVREVDQKFQVSEKTKSAFAAAEQTVSNAGSAIMKNRYVFTSASWVTGAFNKVAKAAGEVGQKTKEKVGMVEEEKHRKIEDDYAQVLSESPKASAPSEHDPSKPEPVKGLIL >ONI06064 pep chromosome:Prunus_persica_NCBIv2:G5:4242416:4242864:-1 gene:PRUPE_5G037900 transcript:ONI06064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRDEVLLEQDVNPIQDEGPVIHNSTSQLQSSKR >ONI05944 pep chromosome:Prunus_persica_NCBIv2:G5:3526137:3526766:1 gene:PRUPE_5G030600 transcript:ONI05944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVQCILSLFSFLFWYSKQNNCINRNGEYNQSGSSFSKKYTSQPILDKTSKPATTILLDLDRASTTYKKKEEAKAPLKH >ONI05737 pep chromosome:Prunus_persica_NCBIv2:G5:2449046:2459783:1 gene:PRUPE_5G022100 transcript:ONI05737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVKLPTGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVLIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGAPFPPNFREVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIESIIVPY >ONI05738 pep chromosome:Prunus_persica_NCBIv2:G5:2449280:2459783:1 gene:PRUPE_5G022100 transcript:ONI05738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVTFDRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVKLPTGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVLIKKPIEVSAPKYVEYLMDWIESQLDDESIFPQKLGAPFPPNFREVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIESIIVPY >ONI08395 pep chromosome:Prunus_persica_NCBIv2:G5:14906726:14908319:1 gene:PRUPE_5G175300 transcript:ONI08395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSGESSSKLDRKTVERNRRIHMKDLCFKLASLIPPQHSKPSNSKDTLSQQNQFDLASSYIKQLRERIENLKERKEKAMRSQLGIDANSTIDADNAMMVRSKLPVLELRDSGSIIEVMLISGLNKNFMLYEVISVLEEEGAEVISASFSTIGDKVFHSLHAQVKISRVGVETSTVWQRLQDLIY >ONI06448 pep chromosome:Prunus_persica_NCBIv2:G5:6699614:6700312:-1 gene:PRUPE_5G061200 transcript:ONI06448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDPYYATRILEVSELPEIAMKSQLWLDINATVTQIVLPSNLEDDEDLEDHYKLMMLEDDHMGQPTESFDYHDKIEIDLLMDDRSTSSILATISNELSKSIHVSSQDLRCVAATIVHDASRSLVGRACIKIDAIVEDVATDDEVQPSFVPASKSAIEKLERVRVETAGVCCSVCIAEIAVGSEGRGLPCSHIYHEACIVEWLEKSHFCPLCRFSLPA >ONI07612 pep chromosome:Prunus_persica_NCBIv2:G5:12607334:12609474:1 gene:PRUPE_5G130500 transcript:ONI07612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIISPCSSPKFGQENSATFHQRLQFIVQNRPEWWAYSIFWQASKDNNDDQVVLSWAGGHFKSSRDFASKRSNKMTNNYQPKFGFSSVERKNVNNREVEVLFDEEDMVDLDTSLVDHGVGDVTDSEWFYFYTVSLTQSFAAGHGNNSILDRAFCSGGFVWLAGDHELQFYECERVKEARMHGIQTLVCVATPCGVLELASLDVIKEDWGLVHLSKSLFESNNNRVSKQGSRDGNVLVSLLENEMFSGGQKDLTRQECCVKEATPVNIGGSSSDSPSDSVGNFTSANAKNIRLKKRGRSSNHGTGRESSTHVEAERQRREKLNHRFYVLRSVVPNVSKMDRSSLLADAVAYINQLKEKVEELEAKIQAQPQNPKVGHVLLWKWM >ONI07611 pep chromosome:Prunus_persica_NCBIv2:G5:12607340:12609474:1 gene:PRUPE_5G130500 transcript:ONI07611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIISPCSSPKFGQENSATFHQRLQFIVQNRPEWWAYSIFWQASKDNNDDQVVLSWAGGHFKSSRDFASKRSNKMTNNYQPKFGFSSVERKNVNNREVEVLFDEEDMVDLDTSLVDHGVGDVTDSEWFYFYTVSLTQSFAAGHGNNSILDRAFCSGGFVWLAGDHELQFYECERVKEARMHGIQTLVCVATPCGVLELASLDVIKEDWGLVHLSKSLFESNNNRVSKQGSRDGNVLVSLLENEMFSGGQKDLTRQECCVKEATPVNIGGSSSDSPSDSVGNFTSANAKNIRLKKRGRSSNHGTGRESSTHVEAERQRREKLNHRFYVLRSVVPNVSKMDRSSLLADAVAYINQLKEKVEELEAKIQAQPQNPKVGHVSNLDHHHHHNSQSTGSIVDHHSSSYNINKAGAALEVDVKILGSEAMIRVQCPDQDYPYAKLMNALKALGFQVYHASISSVKELMIQDVVARVPYGFNSEEAVKMGIIKRWYN >ONI05754 pep chromosome:Prunus_persica_NCBIv2:G5:2566506:2569265:1 gene:PRUPE_5G022900 transcript:ONI05754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGTGYLGRRIVAASLSQGHPTYVLQRPEIGLDVDKLQMLLAFKKQGAHLVEGSFSDLESLVRAIKLVDVVICPISGVHIRSHNILLQLQLVEAIKRAGNIKRFLPSEFGLDPPRMGHALEPGRVTFDDKMVVRKAIQDAKIPFTYVCGAGVAAYLAGNLSQLGTLVPPKEKVLIYGDGNAKVSIVDEDDIAEYTVKTIDDPRTLNKTLYLRPPENELNQKQLVEMWENLIGKKLEHISISEEEFLASMKGMDFAGQVGAGHFYHVFYEGALTNFEIGEEGEEASKLYPEVKYTRMNEYLKIYA >ONI05755 pep chromosome:Prunus_persica_NCBIv2:G5:2566506:2569262:1 gene:PRUPE_5G022900 transcript:ONI05755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGTGYLGRRIVAASLSQGHPTYVLQRPEIGLDVDKLQMLLAFKKQGAHLVEGSFSDLESLVRAIKLVDVVICPISGVHIRSHNILLQLQLVEAIKRAGNIKRFLPSEFGLDPPRMGHALEPGRVTFDDKMVVRKAIQDAKIPFTYVCGAGVAAYLAGNLSQLGTLVPPKEKVLIYGDGNAKVSIVDEDDIAEYTVKTIDDPRTLNKTLYLRPPENELNQKQLVEMWENLIGKKLEHISISEEEFLASMKGMDIEITK >ONI05756 pep chromosome:Prunus_persica_NCBIv2:G5:2566539:2568673:1 gene:PRUPE_5G022900 transcript:ONI05756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGTGYLGRRIVAASLSQGHPTYVLQRPEIGLDVDKLQMLLAFKKQGAHLVEGSFSDLESLVRAIKLVDVVICPISGVHIRSHNILLQLQLVEAIKRAGNIKRFLPSEFGLDPPRMGHALEPGRVTFDDKMVVRKAIQDAKIPFTYVCGAGVAAYLAGNLSQLGTLVPPKEKVLIYGDGNAKVSIVDEDDIAEYTVKTIDDPRTLNKTLYLRPPENELNQKQLVEMWENLIGKKLEHISISEEEFLASMKGTHGII >ONI05757 pep chromosome:Prunus_persica_NCBIv2:G5:2566506:2569264:1 gene:PRUPE_5G022900 transcript:ONI05757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGTGYLGRRIVAASLSQGHPTYVLQRPEIGLDVDKLQMLLAFKKQGAHLVEGSFSDLESLVRAIKLVDVVICPISGVHIRSHNILLQLQLVEAIKRAGNIKRFLPSEFGLDPPRMGHALEPGRVTFDDKMVVRKAIQDAKIPFTYVCGAGVAAYLAGNLSQLGTLVPPKEKVLIYGDGNAKVSIVDEDDIAEYTVKTIDDPRTLNKTLYLRPPENELNQKQLVEMWENLIGKKLEHISISEEEFLASMKGTHGII >ONI05926 pep chromosome:Prunus_persica_NCBIv2:G5:3452000:3455684:1 gene:PRUPE_5G029300 transcript:ONI05926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIFTSHSSLFSKSFTHQLSFPTPKSQSLSLLSFPSPNPNPKLPSLHLRSSLSDDTPTDGDRPTKITDEWGEEAAPEAEPASKVSESDPPQNEDEWGGGGDDVVEIGNGSPAKAEAEIEEKVDGKFSELKRALADTVYGTEFGFRAGSEVRAEVLELVNQLEAVNPNPAPTANPGLLDGNWVLLYTASSELLPLLAAGSTPLLKVSKIGQSIDTSSLTIVNSTTLSGPFATFSFSASASFEVRSPSRIQVEFKEGSVLPPKIKSSVDLPQEVDIFGQKINLSPVQRTLNPLQEAVASISRAISGQPPFKVSIPGERTKSWLLITYLDEDLRISRGDGGLFVLAREGSPLIVQ >ONI07875 pep chromosome:Prunus_persica_NCBIv2:G5:13318766:13322897:1 gene:PRUPE_5G144500 transcript:ONI07875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQLAFVVSLLFGLVAILIYITGVSDLYETHRLTEEDVEALQSLQTGFQKCVAANGLGLQASIGKDYCQVTMQFPGDTIPKWKDAKTGELEGLSYEFNLCEAVATWEQVRNSTTILTREFIDSLPNGWENYAWHRINKGDRLNRCKNKTLCVEKLSLVLPETPPYVPQMFGRCAVIGNSGDLLKTRFGKEIDGYDAVIRENGAPIQNYTDHVGKKSTFRLLNRGSAKALDKVVELDESRKEVLIIKTTIHDAMNQMIRELPIKNHVYLLLGASFGSAAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPIRADPNRVVQWVPDRSAVTAARIASEKLLRRIGAGYAHPLRMCSIITKQVKIKLTSFLSLRKAAIDHQKYVKSATMYPLEHSPRHGMLCTV >ONI07876 pep chromosome:Prunus_persica_NCBIv2:G5:13318766:13322897:1 gene:PRUPE_5G144500 transcript:ONI07876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQLAFVVSLLFGLVAILIYITGVSDLYETHRLTEEDVEALQSLQTGFQKCVKDAKTGELEGLSYEFNLCEAVATWEQVRNSTTILTREFIDSLPNGWENYAWHRINKGDRLNRCKNKTLCVEKLSLVLPETPPYVPQMFGRCAVIGNSGDLLKTRFGKEIDGYDAVIRENGAPIQNYTDHVGKKSTFRLLNRGSAKALDKVVELDESRKEVLIIKTTIHDAMNQMIRELPIKNHVYLLLGASFGSAAKGTGLKALEFALSICDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPIRADPNRVVQWVPDRSAVTAARIASEKLLRRIGAGYAHPLRMCSIITKQVKIKLTSFLSLRKAAIDHQKYVKSATMYPLEHSPRHGMLCTV >ONI09439 pep chromosome:Prunus_persica_NCBIv2:G5:18014196:18021043:1 gene:PRUPE_5G238400 transcript:ONI09439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLGTTAIIETRILVFHVKKRNSHRKPKQLFIEEAEVQSQSQTQFKSSSSSSASSALLLLHTRRSSLKPKHQMAAERGNDASSSLILTSGASGRINALFSLRALRSLMMLINAFFLLLLLPFRGRKRTASSSSSSSPSIIALAEKSPKDERQQRGPVVRVPTAIVPWKSSSSSPVDQEVAARRALAKLRVVQDDDENSVRQFSIFGTPRGETLFTQSWTPVSVNVRGVVILMHGLNEHSGRYSDFAKQLNSNGFKVYGMDWIGHGGSEGLHAYVPSLDYAVTDMKSFLEKVLAENPGLPCFCFGHSTGAAIILKAMLDPKVESSIEGVVLTSPAVGVQPSHPIFVVIAPIASFLLPRYQISAANKKGMPVSRDPAALVAKYSDPLVYTGSIRVRTGYEILRITSYLQQNLSKLRVPFLVLHGTADTVTDPEASQKLYNEASSTDKSIKLFDGLLHDLLFEPEREAIAKDIIDWLNQRI >ONI09442 pep chromosome:Prunus_persica_NCBIv2:G5:18014195:18021043:1 gene:PRUPE_5G238400 transcript:ONI09442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLGTTAIIETRILVFHVKKRNSHRKPKQLFIEEAEVQSQSQTQFKSSSSSSASSALLLLHTRRSSLKPKHQMAAERGNDASSSLILTSGASGRINALFSLRALRSLMMLINAFFLLLLLPFRGRKRTASSSSSSSPSIIALAEKSPKDERQQRGPVVRVPTAIVPWKSSSSSPVDQEVAARRALAKLRVVQDDDENSVRQFSIFGTPRGETLFTQSWTPVSVNVRGVVILMHGLNEHSGRYSDFAKQLNSNGFKVYGMDWIGHGGSEGLHAYVPSLDYAVTDMKSFLEKVLAENPGLPCFCFGHSTGAAIILKVIAPIASFLLPRYQISAANKKGMPVSRDPAALVAKYSDPLVYTGSIRVRTGYEILRITSYLQQNLSKLRVPFLVLHGTADTVTDPEASQKLYNEASSTDKSIKLFDGLLHDLLFEPEREAIAKDIIDWLNQRI >ONI09441 pep chromosome:Prunus_persica_NCBIv2:G5:18014195:18020567:1 gene:PRUPE_5G238400 transcript:ONI09441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLGTTAIIETRILVFHVKKRNSHRKPKQLFIEEAEVQSQSQTQFKSSSSSSASSALLLLHTRRSSLKPKHQMAAERGNDASSSLILTSGASGRINALFSLRALRSLMMLINAFFLLLLLPFRGRKRTASSSSSSSPSIIALAEKSPKDERQQRGPVVRVPTAIVPWKSSSSSPVDQEVAARRALAKLRVVQDDDENSVRQFSIFGTPRGETLFTQSWTPVSVNVRGVVILMHGLNEHSGRYSDFAKQLNSNGFKVYGMDWIGHGGSEGLHAYVPSLDYAVTDMKSFLEKVLAENPGLPCFCFGHSTGAAIILKVIAPIASFLLPRYQISAANKKGMPVSRDPAALVAKYSDPLVYTGSIRVRTGYEILRITSYLQQNLSKLRVPFLVLHGTADTVTDPEASQKLYNEASSTDKSIKLFDGLLHDLLFEPEREAIAKDIIDWLNQRI >ONI09440 pep chromosome:Prunus_persica_NCBIv2:G5:18014196:18021043:1 gene:PRUPE_5G238400 transcript:ONI09440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLGTTAIIETRILVFHVKKRNSHRKPKQLFIEEAEVQSQSQTQFKSSSSSSASSALLLLHTRRSSLKPKHQMAAERGNDASSSLILTSGASGRINALFSLRALRSLMMLINAFFLLLLLPFRGRKRTASSSSSSSPSIIALAEKSPKDERQQRGPVVRVPTAIVPWKSSSSSPVDQEVAARRALAKLRVVQDDDENSVRQFSIFGTPRGETLFTQSWTPVSVNVRGVVILMHGLNEHSGRYSDFAKQLNSNGFKVYGMDWIGHGGSEGLHAYVPSLDYAVTDMKSFLEKVLAENPGLPCFCFGHSTGAAIILKAMLDPKVESSIEGVVLTSPAVGVQPSHPIFVVIAPIASFLLPRYQISAANKKGMPVSRDPAALVAKYSDPLVYTGSIRVRTGYEILRITSYLQQNLSKLRVPFLVLHGTADTVTDPEASQKLYNEASSTDKSIKLFDGLLHDLLFEPEREAIAKDIIDWLNQRI >ONI07045 pep chromosome:Prunus_persica_NCBIv2:G5:10584501:10584653:-1 gene:PRUPE_5G097000 transcript:ONI07045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNLVQTCVTNDPLESRDSFSLSKPIQTSSNFIGFWSESLQIVEGSGSF >ONI07043 pep chromosome:Prunus_persica_NCBIv2:G5:10583358:10584671:-1 gene:PRUPE_5G097000 transcript:ONI07043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNLVQTCVTNDPLESRDSFSLSKPIQTSSNFIGFWSESLQIVEGSGSF >ONI07044 pep chromosome:Prunus_persica_NCBIv2:G5:10583359:10584670:-1 gene:PRUPE_5G097000 transcript:ONI07044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNLVQTCVTNDPLESRDSFSLSKPIQTSSNFIGFWSESLQIVEGSGSF >ONI09280 pep chromosome:Prunus_persica_NCBIv2:G5:17530257:17531701:-1 gene:PRUPE_5G228600 transcript:ONI09280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELETSKLSEAFSMNGGDGPNSYAKNSTLQRGVVDATKELLSKAIAESLDIETLLSSKTFHIADLGCSVGPNTFFSVENLLEALEFKYQNQGLNSQILEFQVFFNDHTPNDFNMLFKSLAQNRRYYAAGVPGSFYGRLFPNASIQMVHSSYATHWLSRVPKEVADRNTPAWNKGRIYYSNSTDEVVMAYEAQYAEDMEYFLHVRAQEIVHGGLMLLIIIGRPNGTPHSDSRANITFQILGSCLMDLARKGIVSEEKVDSFNVPWYCTSPQEVEAAVERNGCFSIESIENLPAVKPPDNVSKTQLFASHMRAAGEGLVKHHFGEEILDELFDLYQKKLEEQPSAVESGKSISFLVVLKRKA >ONI09531 pep chromosome:Prunus_persica_NCBIv2:G5:18260651:18264170:1 gene:PRUPE_5G243200 transcript:ONI09531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSNTRSVENGNNGGGGGGGGKNFIEHQVSKMDTLAGVAIKYGVEVADIKRMNGFATDLQMFALKTLKIPLPGRHPPSSPLSLRENNTEKSTPCLGRAILLEPFRSVGSKAPKEKVTTAMSTLQKYYGLRSPNLGDTAEGMEMAVYRSRSSECFNDEVLSPLSESHPNKSRHSSIDLLAENGAMADYTHLAEPGNGEGEKSDEKSVRRRQKAEVDNGTGTPERLLKGENSGGSSGFSSSTGNGLAMRQKSASRAVLQSDSESGWLNSIPVGLGDSIITDEMAVVRKSSSTSSLKDQESNNTASVWPTSRWSLKQDLQALSTATMARPIFDGLPKPITGRWKAALD >ONI09207 pep chromosome:Prunus_persica_NCBIv2:G5:17347950:17350950:1 gene:PRUPE_5G223800 transcript:ONI09207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAHIFLRLPILFIIFNLITKLIPSALCDDAAQYTECRNTYDCGLLKNITYPFWAANSRPQHCGREGYELTCRDNQYPVFRIEEQDFLVLNISREGYIITIARLDLWDRPCTSRLINTTLDYDRFAYVQAVRNLTLFYGCVPHNQSIANNFTCKIEGTQNDLAFYIDDSISRVNPLQNETLCLRNIRVPIMWTGVDPLLENYTMDVLEQVLKQGFRVEYNADWKLCGPCMLSNGNCGSNITTDSFLCFCGDQTYEETCPSSGGAAAAGSGILLCVIVCCIKSRKQTFMRTKSHQDLEAFIQNNGPLAVKRYKFSDIRKMTNSFRDKLGRGGYGKLRDGCLVAVKVLNASKGNGEDFINEVASISRTSHVNVVTLLGYCFEGQKKALIYEFMPNGSLEKFICKENSLETTPHLELEKLFEIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDDNFCPKISDFGLSKLCPKKESIMSMLDARGTIGYIAPEVFCRNFGGVSVKSDVYSYGMMILEVAGGRKNSDVQVSHTTDAFFPDWIYKHLEQGSNLGLPNPMTQEENELARKMILVGLWCIQTKPPDRPSMSKVIEMLEGSNEALQIPPKPVLTSPIRTPAESESSTFSLIC >ONI05338 pep chromosome:Prunus_persica_NCBIv2:G5:343847:345019:1 gene:PRUPE_5G002100 transcript:ONI05338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHEIGGLARTRNVSIEESIAIFLNILSHNLKFRVIGFDYYRSKETISRQFNGVLRAMMRISQEYLELQSCAIGVMVSTEDRPRYRNRKGDIFTNILGGSTSEARVLRDALARDNSFQYYLVDVGYANGIGFLAAYQGTRYEWTGNNWPQNYKELFNLCHSIARNVIERIINACFVLHNFIRKGQHNDHVLQDQDLGFLASVDHEISNHSTLKGNANRITSVHVTDQWTTFRETLAMQMFHDYQAQGATNS >ONI06856 pep chromosome:Prunus_persica_NCBIv2:G5:9751950:9755426:1 gene:PRUPE_5G085800 transcript:ONI06856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKCVMLQIIYLLGFSVMFYLSVAVAAETGEGKSSMQTYIVWVKRPVQNLFFVKSHEDLESWYHTFLPDTIANSNEPTKSRMVHTYRNVATGFAAKLTPEEVKAMEQKEGFVSAHPERILPLHTTHSPNFLGLQQGLGVWKGANYGEGVIIGVLDTGIGPDHPSFSDEGVPPPPAKWKGKCDFDGTLCNNKLIGARNFQSGKTTGGPPVDDEGHGTHTSSTAAGNFVKGANAFGMANGTAAGMAPYAHLAMYKICSEDGCTEGDIVAALDTAVEDGVDVLSLSLGGPSFPFYEDGIAVGAFGAIQKGIFVSCSAGNSGPSYESLSNEAPWILTVGASTIDRSIRATALLGDHGEFDGVSLFQPKDFNSTLLPLVYPGANGNPSSALCSPGSLENLEGKIVVCEGGRGRVAKGEEVKRAGGAAMILVNQAIDGYSVLADAHVLPATHVSYKAGLDIKAFINSTSTPTATILFKGTVIGDPLAPKVASFSSRGPSTTSPGILKPDIIGPGVSILAAWPVSVDNGTEGKATFNMVSGTSMSCPHLSGIAALLKSSHPDWSPAAIKSAIMTTAEVENLAGSAIVDQTLFPADIFALGAGHVNPSKANDPGLIYDIQPEDYIPYLCGLNYTDEQIQVITQQTVNCSQVGAVPEAQLNYPTFSIKTGSSETRTQYYTRTVTNVGPANSTYNLALVVPPKVGMSVNPQVLTFTEFNQKITYHVEFNAHDDAGKDGVPFAEGYLRWVSDKHSVTTRIVATFSTQ >ONI06973 pep chromosome:Prunus_persica_NCBIv2:G5:10304064:10306037:-1 gene:PRUPE_5G092800 transcript:ONI06973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGKGIRFIRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAEAVKKKRRSNKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAELVSKSKGQSKGNVPKAAAPKAPKLGGGGGKR >ONI08758 pep chromosome:Prunus_persica_NCBIv2:G5:16047444:16050585:1 gene:PRUPE_5G199300 transcript:ONI08758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIDTKPIESVQAALSLFEEKTDHRKTRSTGTDEESEKERELEGVLKDLANYKVQLEAKDAAYMQVLLKLQHHQYTADELSILLQNIEVERDRYIEESKEARTQVHQLESKVREMSDQLSDTLKVREQLMHVFSELKATQAELLSMETELADARDLELKALTQVELMETAFVMEKERAEELLKHVSELSEAVVMSKVAAIEAEKEKLVLLSEKDAEIELAAQATLQAQEQLEDVRKQIGMMEELEDQLLAKSSFIDMLQLEINQVNEQLSFSEKAASDGMNDLKQLEEELEVKERKILDQEGFIEALEMELNQLKLGLINANEVTNSLKSDVEILTEELQKAKTEIDEIKESENKAQVEIAMLESELHRGRSKVAAAEAAEARTENVKSGLYLAIQQLAIEAETAKKENRMLKQGADSLEEENHDHSILVDPHFEKHSQEDVVVSRIDELNPEAEERTDKNEAQVTISLKEYESLIKKAEQADQIPLPLEEGFSQLSLSTMEEESELECLKKELEAAMEKVAQFRNRAEQAATRADLAEKAKAGLEDQIRIWRQVKQKRRAALAALTEVSTPKQFSPPPYESPKQLSPPASEETQKICPPLGKILNMKF >ONI08759 pep chromosome:Prunus_persica_NCBIv2:G5:16048009:16050791:1 gene:PRUPE_5G199300 transcript:ONI08759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIDTKPIESVQAALSLFEEKTDHRKTRSTGTDEESEKERELEGVLKDLANYKVQLEAKDAAYMQVLLKLQHHQYTADELSILLQNIEVERDRYIEESKEARTQVHQLESKVREMSDQLSDTLKVREQLMHVFSELKATQAELLSMETELADARDLELKALTQVELMETAFVMEKERAEELLKHVSELSEAVVMSKVAAIEAEKEKLVLLSEKDAEIELAAQATLQAQEQLEDVRKQIGMMEELEDQLLAKSSFIDMLQLEINQVNEQLSFSEKAASDGMNDLKQLEEELEVKERKILDQEGFIEALEMELNQLKLGLINANEVTNSLKSDVEILTEELQKAKTEIDEIKESENKAQVEIAMLESELHRGRSKVAAAEAAEARTENVKSGLYLAIQQLAIEAETAKKENRMLKQGADSLEEENHDHSILVDPHFEKHSQEDVVVSRIDELNPEAEERTDKNEAQVTISLKEYESLIKKAEQADQIPLPLEEGFSQLSLSTMEEESELECLKKELEAAMEKVAQFRNRAEQAATRADLAEKAKAGLEDQIRIWRQVKQKRRAALAALTEVSTPKQFSPPPYESPKQLSPPASEETQKICPPLGKILNMKF >ONI06610 pep chromosome:Prunus_persica_NCBIv2:G5:8372077:8378735:1 gene:PRUPE_5G069900 transcript:ONI06610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFLIISSVIVLWVASLCKILHGSYSPLKGTFLNNLKDGGSVSKRNVLLVVAHPDDESMFFTPTINYLIMRGHNIHILCLSIGDADGKGITRKEELYQASAILKVPHQQVKVLDHPDLQDGFGKVWNHNILAMIIEEEINSYGIDLIITFDNYGVSGHCNHRDVHYGVMQLLHASSQGKIEAWELVSTNIFRKYSGPVDIWLSSLYPMQRSHEVLHCLLNEQPRKSFRAMAQHSSQWVWFRKLFVGFSSYTYVNTLRKMK >ONI07624 pep chromosome:Prunus_persica_NCBIv2:G5:12672069:12674667:1 gene:PRUPE_5G131600 transcript:ONI07624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNGFVVASEKGTAEETTECCDIGLGLMMQKTHQSFPRKKMMMMMPHHHDHHEQTLLSSGGSFGGEGCGVFEHSASGPLFCNTSNPVTSCISDIYNAVGSDFGSLVPKSLQQPYSDHSLSFSPSVGGMVNVNVRLPFTPAQREELERQTMIYRYLMSSAPVPPHLLVPIAKNPSNVAHLYPNLVRGSLELGFSSNSDPEPWRCKRTDGKKWRCSRDVAPDQKYCERHAHKSRPRSRKPVESNPNFINNNTTTTTRMRSPRSNFVKNNNSNQPTPFSTNMVSPTVPSHAQPRSMGWFMNGETPTAPTAGGSNQEWEQMMQFKLGLKSCYTKCNTDVDVSKQQNESSFNLYREYTGESQGLQTQRPSHDQYGLLLSPKLAHLEGALNSNQTQQTRHFIDAWSTTTERDSSIGEIGNRGYVSSNQKLPFSSLTLSMSRGNETNEETDNTQMGLGILGSERENVGDLKSQWMNPLSCMSSPPGGPLAEALCLGIASSTRATSSNGCISGSTSSGDGVYT >ONI07623 pep chromosome:Prunus_persica_NCBIv2:G5:12672215:12674510:1 gene:PRUPE_5G131600 transcript:ONI07623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNGFVVASEKGTAEETTECCDIGLGLMMQKTHQSFPRKKMMMMMPHHHDHHEQTLLSSGGSFGGEGCGVFEHSASGPLFCNTSNPVTSCISDIYNAVGSDFGSLVPKSLQQPYSDHSLSFSPSVGGMVNVNVRLPFTPAQREELERQTMIYRYLMSSAPVPPHLLVPIAKNPSNVAHLYPNCKSFCSSFLFIFWYFFNNVVFLCFKEHSFPLSLVIGFVFLVVRGSLELGFSSNSDPEPWRCKRTDGKKWRCSRDVAPDQKYCERHAHKSRPRSRKPVESNPNFINNNTTTTTRMRSPRSNFVKNNNSNQPTPFSTNMVSPTVPSHAQPRSMGWFMNGETPTAPTAGGSNQEWEQMMQFKLGLKSCYTKCNTDVDVSKQQNESSFNLYREYTGESQGLQTQRPSHDQYGLLLSPKLAHLEGALNSNQTQQTRHFIDAWSTTTERDSSIGEIGNRGYVSSNQKLPFSSLTLSMSRGNETNEETDNTQMGLGILGSERENVGDLKSQWMNPLSCMSSPPGGPLAEALCLGIASSTRATSSNGCISGSTSSGDGVYT >ONI08558 pep chromosome:Prunus_persica_NCBIv2:G5:15378253:15381155:-1 gene:PRUPE_5G185200 transcript:ONI08558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKKFHATTSMEKSNNEKAVTKDEPEVKHMGIKAMPFVIGNETFEKLGTIGTSSNLLVYLTTVFNMKSITATTLVNVFNGTTNFATLLGAFLCDTYFGRYKTLGFASVASFLGMLVLTLTAAVSKLHPPSCEHNALCTDATPWQLAFLFSGLGLLVVGAGGIRPCNLAFGADQFNPNTESGKRGLDSFFNWYYFTFTFAMMVSLTIIVYVQSNVSWAWGLAIPAFMMLLSCALFFMGSRIYVKVKPEGSALTSAVRVTVAALKKRRLQLPEQPWVSLFNYVPTGSINSKISHTDQFRILDKAAIITNEDKINLDGSTATPWKLCSLQEVEQVKCLLRVVPIWISTIIYYVAMVQQQTYTVFQALQSDRRLGNTGFKIPAASYIVFNMVALTIWIPIYDRLIVPALRRMTGKEGGITILQKMGFGMVLAIITMVVSAVVEEKRRSLALSHPIGIEERRGAISSMSGFWFIPQLGLIGLSEAFTVIAQVEFYYKQVPENMRSIGGSFLFVGMAMSNYFSSGLVSIVHHTSKGSSMGDWLPEDLNKGKLDYFYYMVAALEVLNFGYFIVLSRWFKYKGSDDSASEVGMENMQSQKHLV >ONI08732 pep chromosome:Prunus_persica_NCBIv2:G5:15968256:15969622:1 gene:PRUPE_5G197600 transcript:ONI08732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSEDRFEYAFFDLETTKPTQPGQECIILEFGAILVCPSTLVELDHYYTLVRPADLSSIASLPMRSNGINIDTVASSPTFQEIADEVYDILHGRIWAGHNILGFDCARIQEAFAHIGRPAPKPKGTIDSLTLLTQRFGRRAGNMKLDTLANHFGLGRQEHRSLADVRLNLEVLKYCATVLFLVIIYFRKA >ONI07178 pep chromosome:Prunus_persica_NCBIv2:G5:11131173:11135360:1 gene:PRUPE_5G104600 transcript:ONI07178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQLSEAKPRNMVSSSVGFCYSDVSSNPTIHQTQFTNQIQDFGSNPEIFNLTTGMEMIGFPKQNESNNNSAMWKAGFFGKPGNHQAGPSSSKTINESTSSDFYHHQPEFNNKQPDFSTGISETSSDHHQNLMVGPQHQDSSGAWQENRFLVDDSSLRCVFPCEGNERPSQGLSLSLSSTNPSNIGLQSFELRQTNQHQPHQQDQQDDDDEENDDHMRFISSASRDSVGLFGKPSANMQQQMNMMQDGFLGKATASSSLHPEGLFHLRNSKYLAPAQQLLNEFCSLGTKPSTDHHHPSKQKPHKNKLWEQEDNGTTSSSRNKQSNIYSLEFVELQKRKTRLLSMLEEVERRYKHYCDQMKAVVSSFETVAGAGAATVYSALAAKAMSRHFRSLKDGIVNQIQATRKAMGEKDPVAPGTTRGETPRLRILDQTLRQQRAFQQMNMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKERENNMNNMTSSDGITTDLGDSSRSGQNPLHTRPEDKKPTPDQLVRIDSECLSSIITNPEKNHDSRSSKSTLLNHHMHPQQSFGRVNESFGTMELDFSSYNHHQQHSGGTVSYANDHHHNPNQGFNGGGVSLTLGLQQHGGNGVSLAFSPASQSSLFYPRDHIEECQPVQYSLLDGEGQNTLPYRNLMGAQLLHDLAG >ONI07179 pep chromosome:Prunus_persica_NCBIv2:G5:11131132:11135369:1 gene:PRUPE_5G104600 transcript:ONI07179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQLSEAKPRNMVSSSVGFCYSDVSSNPTIHQTQFTNQIQDFGSNPEIFNLTTGMEMIGFPKQNESNNNSAMWKAGFFGKPGNHQAGPSSSKTINESTSSDFYHHQPEFNNKQPDFSTGISETSSDHHQNLMVGPQHQDSSGAWQENRFLVDDSSLRCVFPCEGNERPSQGLSLSLSSTNPSNIGLQSFELRQTNQHQPHQQDQQDDDDEENDDHMRFISSASRDSVGLFGKPSANMQQQMNMMQDGFLGKATASSSLHPEGLFHLRNSKYLAPAQQLLNEFCSLGTKPSTDHHHPSKQKPHKNKLWEQEDNGTTSSSRNKQSNIYSLEFVELQKRKTRLLSMLEEVERRYKHYCDQMKAVVSSFETVAGAGAATVYSALAAKAMSRHFRSLKDGIVNQIQATRKAMGEKDPVAPGTTRGETPRLRILDQTLRQQRAFQQMNMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKERENNMNNMTSSDGITTDLGDSSRSGQNPLHTRPEDKKPTPDQLVRIDSECLSSIITNPEKNHDSRSSKSTLLNHHMHPQQSFGRVNESFGTMELDFSSYNHHQQHSGGTVSYANDHHHNPNQGFNGGGVSLTLGLQQHGGNGVSLAFSPASQSSLFYPRDHIEECQPVQYSLLDGEGQNTLPYRNLMGAQLLHDLAG >ONI05621 pep chromosome:Prunus_persica_NCBIv2:G5:1686900:1688134:1 gene:PRUPE_5G015400 transcript:ONI05621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIWRKGERVAESTLLLVQCLSFWVPIQQKERAFCRRTTSNFYPWARQHGSPLEAHLSRVKPCVNNTEKSILYSENDIINSKLCMATHIGCCILFLSFPTYLSGNGCP >ONI07846 pep chromosome:Prunus_persica_NCBIv2:G5:13207624:13214941:1 gene:PRUPE_5G142600 transcript:ONI07846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEACFRLLLLLLLFLIAEPKYVQYNTTSRLVPGKLNVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWREQSEGTQNTVRRLVNNGQLELINGGMCMHDEAAPHYIDMIDQTTLGHQFIKEEFNMTPRIGWQIDPFGHSAVQAYLLGAEAGFDSLFFARIDYQDREKRKNEKSLEVVWRGSKSLGSSAQIFAGAFPKNYEPPTDNFYFEVNDESPIVQDDMDLFDYNVPDRVNEFVSAAISQANITRTNHIMWTMGTDFKYQYANSWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAANESWPIKSDDFFPYADKVNAYWTGYFTSRPAIKGYVRALSGYYLAARQLEFFKGMSKSRPNTDSLADALAIAQHHDAVSGTEKQHVADDYAKRLSIGYNEAEKVVAESLACMTESRSEAGCKSPSTKFQQCPLLNISYCPPSEADLSNGKSLVIVVYNSLGWKREDIIKIPVVSANVTVRDFTGKEIESQLLPLLNASVGIRNDHVRAYLGISPSVTPSYWLTFSATVPPLGFSTYIVSSATQTATSSARRTVYKSEASQNDTIEVGPGNLKLIYSGNKGKLTQYFNSRSSVKESIEQSFSYYAGDDGSVDKQADGAYIFRPNGTYPIQSEGQDHLTVLRGPLLDEVHQRINSWIYQVTRVYKEKEHAEIEFTVGPIPIGDGIGKEIVTKITTSMETNKTFYTDSNGRDFIERIRDYRKDWDLQVNQPVAGNYYPINLGIYAKDNNTEMSVLVDRSVGGSSIVDGQLELMVHRRLLHDDDRGVEEPLNETVCIQDICKGLTITGKYYLRLDPLGEGAKWRRSFGQEIYSPFLLAFTEQEGDNWTSSHVTTFSWMDPSYVLPDNVAIITLQELEDGKLLFRLAHLYEIEEDKDLSVMASVELKKVFADKKINKVAEMSLSANQERAEMEKKRLTWKVEGSSEEEDAAKVMRGGPVDPTKLVVDVAPMEIRTFIIDFYSKH >ONI07847 pep chromosome:Prunus_persica_NCBIv2:G5:13207624:13214941:1 gene:PRUPE_5G142600 transcript:ONI07847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEACFRLLLLLLLFLIAEPKYVQYNTTSRLVPGKLNVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWREQSEGTQNTVRRLVNNGQLELINGGMCMHDEAAPHYIDMIDQTTLGHQFIKEEFNMTPRIGWQIDPFGHSAVQAYLLGAEAGFDSLFFARIDYQDREKRKNEKSLEVVWRGSKSLGSSAQIFAGAFPKNYEPPTDNFYFEVNDESPIVQDDMDLFDYNVPDRVNEFVSAAISQANITRTNHIMWTMGTDFKYQYANSWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAANESWPIKSDDFFPYADKVNAYWTGYFTSRPAIKGYVRALSGYYLAARQLEFFKGMSKSRPNTDSLADALAIAQHHDAVSGTEKQHVADDYAKRLSIGYNEAEKVVAESLACMTESRSEAGCKSPSTKFQQCPLLNISYCPPSEADLSNGKSLVIVVYNSLGWKREDIIKIPVVSANVTVRDFTGKEIESQLLPLLNASVGIRNDHVRAYLGISPSVTPSYWLTFSATVPPLGFSTYIVSSATQTATSSARRTVYKSEASQNDTIEVGPGNLKLIYSGNKGKLTQYFNSRSSVKESIEQSFSYYAGDDGSVDKQADGAYIFRPNGTYPIQSEGQVTRVYKEKEHAEIEFTVGPIPIGDGIGKEIVTKITTSMETNKTFYTDSNGRDFIERIRDYRKDWDLQVNQPVAGNYYPINLGIYAKDNNTEMSVLVDRSVGGSSIVDGQLELMVHRRLLHDDDRGVEEPLNETVCIQDICKGLTITGKYYLRLDPLGEGAKWRRSFGQEIYSPFLLAFTEQEGDNWTSSHVTTFSWMDPSYVLPDNVAIITLQELEDGKLLFRLAHLYEIEEDKDLSVMASVELKKVFADKKINKVAEMSLSANQERAEMEKKRLTWKVEGSSEEEDAAKVMRGGPVDPTKLVVDVAPMEIRTFIIDFYSKH >ONI09454 pep chromosome:Prunus_persica_NCBIv2:G5:18062762:18064174:1 gene:PRUPE_5G239400 transcript:ONI09454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIEVGYHHFDTAAFYQSEQAIGRVVVQALDLIKSRDEIFITSKLWCTDASQSYSRCPQHHTQVSTKYHSLSTTITYYIFRAYQHLCICLCFVYSTFESLFRRLN >ONI08165 pep chromosome:Prunus_persica_NCBIv2:G5:14170099:14171653:-1 gene:PRUPE_5G161000 transcript:ONI08165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSEKTGPANPALLPDLDAPDNPGHEFAQFGSGCFWGAELRFQRVVGVVKTEVGYSQGHVEDPNYKLVCSGTTNHAEVVRVQFDPEVCPYTDLLSVFWARHDPTTLNRQGGDVGTQYRSGIYYYNENQARLAQKSKEAKQVELKDKKVVTEILPAKRFYRAEEYHQQYLEKGGGQGNKQSAEKGCTDPIRCYG >ONI08412 pep chromosome:Prunus_persica_NCBIv2:G5:14968199:14974066:-1 gene:PRUPE_5G176700 transcript:ONI08412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAERPTVRVSNIPQTVTAKELLSFLQSKLGTDSVFAVEIISDHKNWKSRGFGRVQFTTLEAKSEAYSLSLQNGLVFKSESLRLSETYDDIIQRPVDPKRRLNGTVLHAGFMVKGDCMSMLESWEGVRAWVMPERKRVEFWVWLRDECYKLEIAFENIMESFGCRLGGEKVNALLLKLKFGPRIFRKISGPNVAARFSTDRYHVCKDDFDFLWVRTTDFSDMKSIGYSTSFCWEIEEEFSVSDVFECFPYYKDNDVVDLILDNGEKYCSPSETVPLVKCRSDSKLPYEILFQLNALVHSQKISLAATDSDLIEFLSGLSVDTTNVLLEKLHKRKTTCYDPLSFLKMQLHVLERNHKSRPSPYKRLMEHNVMSCHRVLITPSKICCLGPELEKSNYVVKNFAAYASDFMRVTFVDEDWSKLPANAISTSIQQGIFAKPHRTGIYHRMLSILRDGIVIGEKRFEFLAFSASQLRSSSVWMFSSNDNVKAEDIREWMGCFSKIRSISKCAARMGQLFSSSTQTLVVPAQDVEIIPDVETSSDGVTYCFSDGIGKISLSFARKVAQKCGLDQTPSAFQIRYGGYKGVIAVDCRSFRKLSLRSSMLKFESKNRMLNVTKWSDAMPCYLNREIISLLSTLGVKDETFEALQEEQLRLLGKMRTERGAALNVFERLNGADSKNTLVKMLLHGYEPNAEPYLSMMLQAYYENHLSDLKSRCRIFVPKGRVLVGCLDETGNLDYGQVYVRITMTKAEQEMGDQSFFQKVDETTLVVTGKVVVTKNPCLHPGDVRVLDAVYDVVLEEKNMVDCLIFPQKGERPHPNECSGGDLDGDLFFISWDKDLVPSHTVPPMDYSARRPRIMDHTVTLEEIQKFFVDYMINDNLGAISTAHLVHADHEPDKALNPKCLQLADLHSMAVDFAKTGAPAEMSRTLKPKEFPDFMERVDKPMYISNGALGKLYRAVVGSVLQEKTNLVWSEQIAEAAYDQDLEVDGLESVLEVAKGHRDMYIEKMRTMMNYYGAVTEDEILTGNLRNRAAYLQRDNRRYGDMKDRISLSLKNLQKEAKGLFESSCPVSEHQRMASAWYHVTYHPSYFQQDMNCLSFPWIVGDILLNIKALNNPRKDI >ONI06031 pep chromosome:Prunus_persica_NCBIv2:G5:4054654:4056534:-1 gene:PRUPE_5G035700 transcript:ONI06031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRSISPKNSTSSEAKEIAEMIKTLEKQRDRAIKNLKNLEQLSVASIKDMQEIIQVKTNDQWKGNQISIDPYSVDPSSTEYNEIEKIAEEHDLKIMPYDIDYELKQNKKETISDIIDLPNKPKERPTLLQQEPLENGSTITYVPTRKGTLGIANYLCRCGVKAAAYNVAVCHGL >ONI06032 pep chromosome:Prunus_persica_NCBIv2:G5:4055978:4056478:-1 gene:PRUPE_5G035700 transcript:ONI06032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRSISPKNSTSSEAKEIAEMIKTLEKQRDRAIKNLKNLEQLSVASIKDMQEIIQVKTNDQWKGNQISIDPYSVDPSSTEYNEIEKIAEEHDLKIMPYDIDYELKQNKKSSKGDEGSSTYGSTSWP >ONI09202 pep chromosome:Prunus_persica_NCBIv2:G5:17326537:17328605:1 gene:PRUPE_5G223300 transcript:ONI09202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMTKGEAAIAWGWLLEALAGFKEVGVSLLHDLIKMAPDLPEDLETKVNERVALRCLEDLFSPGDVPAPLPSTSTSTSTHHSKVTFDLSESCDDVLQRIVNETPESDLKMGGPGLLKWDVQPFIVHKRASMPKCALSQLKDSILDGTHPYADFLIKKSGLTSTRDGGNDRLPVSDSSRRRRFNGSCSNAKNTSVEGNDANVLLSKRAMIASNSENLADEDHGGVNGSDDLRRNVKKVKLDASYVSQSVEHNTISLPQKERLDDSSERDGPIFERERCVLAEYRMRMLEESKVLEDDHDNYTCSKRSGQSTEIAIHKSQLEIPCTAEGKEDSEHCPEPTTSGVVPPDVTQHKDPEHGSHVKVAHAASADGSQQKTVADRAKDPMDCHCESSDSYGFNNEKIDVAMKKQDFLTSQCTINRAYSDTIDWTEENFCMKCNEGGQLLICSTSDCPLVYHEKCLGSEFICYKKGNFYCPFCSHSLALKEYLEAKKKAFLLRKDLDAFMCNVSEHQPAKFL >ONI05833 pep chromosome:Prunus_persica_NCBIv2:G5:2911978:2912190:-1 gene:PRUPE_5G025800 transcript:ONI05833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANRRCEVGQPICHYDNQCCLTTSWTNNNPGRRFWGCAHYGVRRGCAFFEWYDPQVCERSKIVICGLLK >ONI09502 pep chromosome:Prunus_persica_NCBIv2:G5:18188806:18195095:1 gene:PRUPE_5G241600 transcript:ONI09502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRRTELPSGGESSESHEPSGGSGRGSQRAAERTPPQQQGGGSYQGGRGLGPQGGRGGYEGGRGGSYVPQQQQYGGPQEYQGRGRGGPTQQGGRGGYGGGRGSGGRGGPPSPGGPARPQFPELHQATPVPYQAGVTPQPAYEASSSSSSQPPEPSEVVVQFEDLSIEQETAPSQAIQPAAPAPSSKSVRFPLRPGKGSTGIRCTVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFLSKEFKIILIDEDDGPGGQRREREFRVVIKFAARADLHHLGLFLQGRQADAPQEALQVLDIVLRELPTSRYCPVGRSFYAPDLGRRQSLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVTQLLNRDVTHRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPHDREQDIMRTVRHNAYHEDPYAKEFGIKISENLAQVEARILPPPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGKVNNWICINFSRNVQDSVARGFCSELAQMCYISGMAFNPEPVLPPISARPDQVEKVLKTRYHDAMTKLRVQGKELDLLVVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKTWQDPARGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHHDRNTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYT >ONI09503 pep chromosome:Prunus_persica_NCBIv2:G5:18188815:18194436:1 gene:PRUPE_5G241600 transcript:ONI09503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRRTELPSGGESSESHEPSGGSGRGSQRAAERTPPQQQGGGSYQGGRGLGPQGGRGGYEGGRGGSYGGGRGGRGVPQQQQYGGPQEYQGRGRGGPTQQGGRGGYGGGRGSGGRGGPPSPGGPARPQFPELHQATPVPYQAGVTPQPAYEASSSSSSQPPEPSEVVVQFEDLSIEQETAPSQAIQPAAPAPSSKSVRFPLRPGKGSTGIRCTVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFLSKEFKIILIDEDDGPGGQRREREFRVVIKFAARADLHHLGLFLQGRQADAPQEALQVLDIVLRELPTSRYCPVGRSFYAPDLGRRQSLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVTQLLNRDVTHRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPHDREQDIMRTVRHNAYHEDPYAKEFGIKISENLAQVEARILPPPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGKVNNWICINFSRNVQDSVARGFCSELAQMCYISGMAFNPEPVLPPISARPDQVEKVLKTRYHDAMTKLRVQGKELDLLVVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKTWQDPARGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHHDRNTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQATCLPCVFS >ONI09501 pep chromosome:Prunus_persica_NCBIv2:G5:18187573:18195440:1 gene:PRUPE_5G241600 transcript:ONI09501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRRTELPSGGESSESHEPSGGSGRGSQRAAERTPPQQQGGGSYQGGRGLGPQGGRGGYEGGRGGSYGGGRGGRGVPQQQQYGGPQEYQGRGRGGPTQQGGRGGYGGGRGSGGRGGPPSPGGPARPQFPELHQATPVPYQAGVTPQPAYEASSSSSSQPPEPSEVVVQFEDLSIEQETAPSQAIQPAAPAPSSKSVRFPLRPGKGSTGIRCTVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFLSKEFKIILIDEDDGPGGQRREREFRVVIKFAARADLHHLGLFLQGRQADAPQEALQVLDIVLRELPTSRYCPVGRSFYAPDLGRRQSLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVTQLLNRDVTHRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPHDREQDIMRTVRHNAYHEDPYAKEFGIKISENLAQVEARILPPPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGKVNNWICINFSRNVQDSVARGFCSELAQMCYISGMAFNPEPVLPPISARPDQVEKVLKTRYHDAMTKLRVQGKELDLLVVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKTWQDPARGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHHDRNTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGAPGRGGMGARSTRAPGANAAVRPLPALKENVKRVMFYC >ONI09500 pep chromosome:Prunus_persica_NCBIv2:G5:18187573:18195440:1 gene:PRUPE_5G241600 transcript:ONI09500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRRTELPSGGESSESHEPSGGSGRGSQRAAERTPPQQQGGGSYQGGRGLGPQGGRGGYEGGRGGSYGGGRGGRGVPQQQQYGGPQEYQGRGRGGPTQQGGRGGYGGGRGSGGRGGPPSPGGPARPQFPELHQATPVPYQAGVTPQPAYEASSSSSSQPPEPSEVVVQFEDLSIEQETAPSQAIQPAAPAPSSKSVRFPLRPGKGSTGIRCTVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFLSKEFKIILIDEDDGPGGQRREREFRVVIKFAARADLHHLGLFLQGRQADAPQEALQVLDIVLRELPTSRYCPVGRSFYAPDLGRRQSLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVTQLLNRDVTHRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFVIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPHDREQDIMRTVRHNAYHEDPYAKEFGIKISENLAQVEARILPPPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGKVNNWICINFSRNVQDSVARGFCSELAQMCYISGMAFNPEPVLPPISARPDQVEKVLKTRYHDAMTKLRVQGKELDLLVVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKTWQDPARGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHHDRNTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGAPGRGGMGARSTRAPGANAAVRPLPALKENVKRVMFYC >ONI06122 pep chromosome:Prunus_persica_NCBIv2:G5:4623184:4623956:-1 gene:PRUPE_5G041900 transcript:ONI06122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSFFKTRCPLPPPRFTSIHNNNYNNIITLSTSVVPVTTDLRESVRNQTDVGLRMTNHLFQTKADGQNMVYSPLSIHVLLSLTAAGTKGATQDELLSFLKSKSTAELNSLASNLVPLVFADSSPREVVDTFYKGVPKQIDFQNKAEEARTEVNSWAAKETKGLIEEVLPSRTGNSSTRLILANALYFKGVWDNEFHESKTKKYVFHLLNGRSNIEAPFMTSHDEQFISAFC >ONI05688 pep chromosome:Prunus_persica_NCBIv2:G5:2090139:2096146:1 gene:PRUPE_5G019100 transcript:ONI05688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPNNTSSPQPLQSSCSSSMSTTTSSQIPSALFRSLTKYKLRHKGKIIIKDKRAAGGAVLKALLLTLFMASSWWSQCPPFEFSSKYYHVAGDGGGCVRQTSFFGGKPVLNQGVGYSVILGFGAFFAVFTSFLVWLEKRYVGSKHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWEYGVSGPFWYASGATIQVLLFGIIAIEIKRKAPHAHTVCEIVKARWGTAAHIVFLSFCFLTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHVVLVIFVYLVYIASSELGSPSIVYNRLVELASKSRICKEPVSHHGQSCGPASGNYKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPVTESEASRGLVPPATAIALMGKGGSVLLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPDASGKKILQVSRGVILAFGCFMGMLAVILNKAGVSLGWMYLAMGVLIGSAVIPIAFMLLWRKANAIGAILGATVGCVLGIITWLSVTKIEYGHVNLDTTGRNAPMLAGNLVSILTGGAIHAICSFLSPQNYDWATTKKITVVEKEKSDLPAEEYKEEKLLRAKAWIVKWGVGFTVVIVLLWPLLTLPAGDFSLGYFTFWAVIAIAWGTIGSIAIIALPIVESWATIKSVILGMFTNDRLMEKVEEMNLRLHTIMLAIPDSEKIYLLEKEKAKRKEASEQETSFSAAGQASDLVSG >ONI08264 pep chromosome:Prunus_persica_NCBIv2:G5:14492597:14494192:-1 gene:PRUPE_5G168200 transcript:ONI08264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPLKGKKSNEERDDRRTLGLVLLRGEEVISMTVEGPPPQEESRAKAASAAALAGPGLGRAAGRGIPTAPLAQAQPGLSGPVRGVGGPAPGMMQPQISRPPVPNLSAPPMSYPAAPVIRPPGQMPGYPGQGPPQMARGPPPGVPPPQFPRPGGPPLQFQGPPQMQFGQRPMGPPPPGQMMRGPPPPPRPGMPGPPPHRPGMPPPPGGQVPVFGPPRPGMPPPPNPQNQQQNQQQQ >ONI08263 pep chromosome:Prunus_persica_NCBIv2:G5:14491920:14494194:-1 gene:PRUPE_5G168200 transcript:ONI08263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPLKGKKSNEERDDRRTLGLVLLRGEEVISMTVEGPPPQEESRAKAASAAALAGPGLGRAAGRGIPTAPLAQAQPGLSGPVRGVGGPAPGMMQPQISRPPVPNLSAPPMSYPAAPVIRPPGQMPGYPGQGPPQMARGPPPGVPPPQFPRPGGPPLQFQGPPQMQFGQRPMGPPPPGQMMRGPPPPPRPGMPGPPPHRPGMPPPPGGQVPVFGPPRPGMPPPPNPQNQQQNQQQQ >ONI08265 pep chromosome:Prunus_persica_NCBIv2:G5:14491943:14494192:-1 gene:PRUPE_5G168200 transcript:ONI08265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPLKGKKSNEERDDRRTLGLVLLRGEEVISMTVEGPPPQEESRAKAASAAALAGPGLGRAAGRGIPTAPLAQAQPGLSGPVRGVGGPAPGMMQPQISRPPVPNLSAPPMSYPAAPVIRPPGQMPGYPGQGPPQMARGPPPGVPPPQFPRPGGPPLQFQGPPQMQFGQRPMGPPPPGQMMRGPPPPPRPGMPGPPPHRPGMPPPPGGQVPVFGPPRPGMPPPPNPQNQQQNQQQQ >ONI07688 pep chromosome:Prunus_persica_NCBIv2:G5:12858832:12859026:-1 gene:PRUPE_5G135300 transcript:ONI07688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVAGLAELMEEYTFLLARVLEHLFHSAPFPRRVRFLILRSLPFVSSYPLPPPPPLIGAPAAA >ONI07554 pep chromosome:Prunus_persica_NCBIv2:G5:12441458:12445013:1 gene:PRUPE_5G127400 transcript:ONI07554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVNDKLAYFQAITGLEDPDLCVQILTAHGWDLELAISAFTTTTNHTPSPENPASGTTSTVPDGSGGGGLYSVSHRGEPSHPSGPSSNAVAAPGLAWKIITLPISVISGSLGLISGAIGLGLWAAGGVLSYSLGMIGIGSGRDGESSARLVSVSAVANEAMQFVASFERDYGTRRPNFVSEGFMDALQRSRNSFKLMFVYLHSPDHPDTPLFCERSLCSETLVAFINENFVSWGGSIRASEGFKMSNSLKASRYPFCAVVMASTNQRIVLLQQVEGPKSPEEMLAILNRVVEESAPVLVAARLDAEERRNNIRLREEQDAAYRAALEADQARENQRREEQERLEREAAEAERKRLEEEEARERAAREAAEKEAALARMRQEKALSLGAEPEKGPNVTQVVVRFPTGERKERRFVSSAKIQTLYDYVDSLGCLDVENYSLVSNFPRVVYGPEKLLLSLKEAGLHPQASLFLELNS >ONI06550 pep chromosome:Prunus_persica_NCBIv2:G5:8159681:8159980:-1 gene:PRUPE_5G067400 transcript:ONI06550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVKVNIDGSWQSNGRKVGVGVVIRNSVGEFLGGLAASRVGHSALEVEAEAAVMGLELAANLGYSDVYVESDSKTLVDGINEDIRNRAWTIRPSIEVI >ONI06987 pep chromosome:Prunus_persica_NCBIv2:G5:10334670:10337716:-1 gene:PRUPE_5G093300 transcript:ONI06987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMEQTILVTGGAGFIGSHTVVQLLEAGFRVSILDNLDNSVIEAVDRVRDLVGPKLSQKLHFHLGDLRNKDDLERLFSQTKFDAVIHFAGLKAVGESVAHPRRYYDNNLVGTINLYEIMTKYNCKKMVFSSSATVYGQPSKIPCVEDFDLVALNPYGRTKLFLEEIARDIHIADPDWQIILLRYFNPVGAHESGQIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGTAIRDYIHVMDLADGHIAALQKLFTTENLGCVAYNLGTGQGTSVLQMVAGFEKASGKVIVRFL >ONI06986 pep chromosome:Prunus_persica_NCBIv2:G5:10334631:10337874:-1 gene:PRUPE_5G093300 transcript:ONI06986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMEQTILVTGGAGFIGSHTVVQLLEAGFRVSILDNLDNSVIEAVDRVRDLVGPKLSQKLHFHLGDLRNKDDLERLFSQTKFDAVIHFAGLKAVGESVAHPRRYYDNNLVGTINLYEIMTKYNCKKMVFSSSATVYGQPSKIPCVEDFDLVALNPYGRTKLFLEEIARDIHIADPDWQIILLRYFNPVGAHESGQIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGTAIRDYIHVMDLADGHIAALQKLFTTENLGCVAYNLGTGQGTSVLQMVAGFEKASGKKIPIKLCPRRPGDATAVYASTEKAERELGWKAKYGIDDMCRDQWKWAVNNPRGYQSKY >ONI09042 pep chromosome:Prunus_persica_NCBIv2:G5:16878904:16880835:-1 gene:PRUPE_5G213900 transcript:ONI09042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHEREGKLQLWSSKLPENLIGLMLLLESGIKFLVPYLELVKQKQSYGNQSDCGIVGRSRTGQCL >ONI09040 pep chromosome:Prunus_persica_NCBIv2:G5:16878948:16880835:-1 gene:PRUPE_5G213900 transcript:ONI09040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHEREGKLQLWSSKLPENLIGLMLLLESGIKFLVPYLELVKQKQSYGNQSDCGIVGRSRTGQCL >ONI09041 pep chromosome:Prunus_persica_NCBIv2:G5:16878306:16880865:-1 gene:PRUPE_5G213900 transcript:ONI09041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHEREGKLQLWSSKLPENLIGLMLLLESGIKFLVPYLELVKQKQSYGNQSDCGIVGRSRTGQCL >ONI09039 pep chromosome:Prunus_persica_NCBIv2:G5:16878948:16881002:-1 gene:PRUPE_5G213900 transcript:ONI09039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHEREGKLQLWSSKLPENLIGLMLLLESGIKFLVPYLELVKQKQSYGNQSDCGIVGRSRTGQCL >ONI09314 pep chromosome:Prunus_persica_NCBIv2:G5:17639395:17640522:1 gene:PRUPE_5G231200 transcript:ONI09314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDQQVLLLFKFIYFTDLASFADEYRKLAIDCLKVLRVEMQLETIFHMQIARKDEEMAPFVAGVKRINIFGGICSIVANASIKVIPSIESFVGS >ONI06680 pep chromosome:Prunus_persica_NCBIv2:G5:8822738:8828491:-1 gene:PRUPE_5G074000 transcript:ONI06680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFKDEDPRIHGIKTKIRVVPNFPKPGIMFQDITTLLLDPKAFKDTIDLFVERYKGKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKPRKLPGDVIFEEYTLEYGRDRLEMHVGAVELERVGAEVVECACVIELPDLQGRVRLNGKPLYVLVEYH >ONI06679 pep chromosome:Prunus_persica_NCBIv2:G5:8822738:8828514:-1 gene:PRUPE_5G074000 transcript:ONI06679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFKDEDPRIHGIKTKIRVVPNFPKPGIEARGFIFGPPIALAIGAKFVPLRKPRKLPGDVIFEEYTLEYGRDRLEMHVGAVELGERALVVDDLIATGGTLCAAMNLLERVGAEVVECACVIELPDLQGRVRLNGKPLYVLVEYH >ONI06681 pep chromosome:Prunus_persica_NCBIv2:G5:8823247:8828141:-1 gene:PRUPE_5G074000 transcript:ONI06681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFKDEDPRIHGIKTKIRVVPNFPKPGIMFQDITTLLLDPKAFKDTIDLFVERYKGKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKPRKLPGDVIFEEYTLEYGRDRLEMHVGAVELGERALVVDDLIATGGTLCAAMNLLERVGAEVVECACVIELPDLQGRVRLNGKPLYVLVEYH >ONI09542 pep chromosome:Prunus_persica_NCBIv2:G5:18292239:18293063:-1 gene:PRUPE_5G243900 transcript:ONI09542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKTKGRQKIEMKRIEDQDDRLVTFSKRRSGVYKKASELATLCGAEVGVVVFSPSGKPFSYANSSIDSIANRFLNGHRHHHQHHDYDHDQNHDNIDDNIMNLDAIDRSRHTIVEAYRQVRIDEMNKKHNELVSELEVERERGKALQQRMKAKAKEISSSAEAAGSIRSRSSSSKSQLQGWWEAPIEELDLKGLKQLHASFQHLCNDLRPALTCLNNLNPR >ONI07419 pep chromosome:Prunus_persica_NCBIv2:G5:11978276:11979492:-1 gene:PRUPE_5G119100 transcript:ONI07419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSDSIPFLAKKLQPSTCGYEGFGLTCNPRHRWQSILITVPSSSRHFAVQEIDYLNQNVWITDPNNCFPRRFMDNDFSFDGSPFSYVHALERFTFLNCAYQAEHYMVTGVPSEWINSSKTWSSCSVISEALVPLSEPFEWDYLNGFELEWYVPNCRFCEAVPGKVCGFDNNTRSKLRCSNAINSTNGLSKTAMYGMKICIGITGLFFLVIVIMFRLGACGRVNRPIIELSAIPHPQPSATQLGESLELPKPNDNTCSICLGNYQPQETLRTIPECNHYFHATCIYEWLRRNATCPICRKSSEGNTGIEA >ONI07873 pep chromosome:Prunus_persica_NCBIv2:G5:13300946:13305696:-1 gene:PRUPE_5G144300 transcript:ONI07873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISSFGIACRPQFYLRTPQFQCINKVQLNLVAAMEALDEPEGLFKDNKTNNSANGRNDVKAPAWKKLNSKELGIITSMIDKPTRKVLNGLKRKGYEVYLVGGCVRDLILKRTPKDFDIITSAELKEVTRTFSWCEIVGKRFPICHVHIGDTVVEVSSFSISARKYGRNVSADFGKPVHCDDKDYIRWRNCLQRDFTINGLMFDPYARIVYDYMGGMEDIRNAKVQTVIPASTSFREDCARILRAIRIAARLGFRISKETAYSVKNLSYSILRLDKGRLLMEMNYMLAYGSAEASLRLLWKFGLLELLLPIQAAYFVSHGFRRRDKRTNMLLSLFSNLDKLLSPDKPCHSSLWVAILAFHKALSEQPRDPLVVAVFSLAIHNGGDMLEAVNIARRITKLHDVSFNELSETQDLNPRALKDEVVNLATSLKRALNHMTDEQIVSEAMAGYPQAPYSDLVFIPWGLSLRVSRVFSCVTSGAERGFVPKQGSKIDYESLAVGNLPEVRHIFARVVFDTIYPLSLNRDNFET >ONI09497 pep chromosome:Prunus_persica_NCBIv2:G5:18171454:18175010:1 gene:PRUPE_5G241400 transcript:ONI09497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLATARRLTRRQFVDQFTTQPLALVILVTTTHCFSTNTLTSLDSYYANLVQACIRSKSLPQAKKIHQHLLKNTTRLKDTSFLLEKVAHLYITCNQVDLASRVFDEIPQPSVILWNLLIRAYAWNGPFERAIHLYYDLLQSGVKPTKYTYPFVLKACSGLQALEAGREIHQHAKALGLASDVYVCTALIDLYAKCGGLAEAQTVFRGMLYKDVVAWNAMIAGFSLHGLYDDTIQMLVQMQKAGTSPNASTIVAVLPTVAQANALSQGKAMHGFSLRRSLSGEVVLGTGLLDMYSKCQCIAYARRIFDAIDVKNEVCWSAMIGAYVICDSMREAMALFDEMVLRKEINPTPVTLGSILRACTKLTDLSRGRRVHCYAIKSGFDLNTMVGNTILSMYAKCGIIDDAVRFFDKMNSKDTVSYSAIISGCVQNGYAKEALLIFHHMQLSGFDPDLATMVGVLPACSHLAALQHGACGHAYSIVHGFGTDTSICNVLIDMYSKCGKINRGRQVFDRMVTRDIISWNAMIVGYGIHGLGMAAISQFHHMLAAGIKPDDVTFIGLLSACSHSGLVTEGKHWFNAMSEDFNITPRMEHYICMVDLLGRAGFLAEAHVFIQKMPFEADVRVWSALLAACRVHNNIELGEEVSKKIQGKGLEGTGNLVLLSNIYSAVGRWDDAAYVRIKQKGQGLKKSPGCSWVEINGIIHGFVGGDQSHPQSAQIHEKLEELLVDMKRLGYCAENSFVLQDVEEEEKERILLYHSEKLAIAYAILSLRPGKPILVTKNLRVCGDCHAAIKVITLITKREIIVRDLTRFHHFKDGICNCADFW >ONI09498 pep chromosome:Prunus_persica_NCBIv2:G5:18171422:18175020:1 gene:PRUPE_5G241400 transcript:ONI09498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLATARRLTRRQFVDQFTTQPLALVILVTTTHCFSTNTLTSLDSYYANLVQACIRSKSLPQAKKIHQHLLKNTTRLKDTSFLLEKVAHLYITCNQVDLASRVFDEIPQPSVILWNLLIRAYAWNGPFERAIHLYYDLLQSGVKPTKYTYPFVLKACSGLQALEAGREIHQHAKALGLASDVYVCTALIDLYAKCGGLAEAQTVFRGMLYKDVVAWNAMIAGFSLHGLYDDTIQMLVQMQKAGTSPNASTIVAVLPTVAQANALSQGKAMHGFSLRRSLSGEVVLGTGLLDMYSKCQCIAYARRIFDAIDVKNEVCWSAMIGAYVICDSMREAMALFDEMVLRKEINPTPVTLGSILRACTKLTDLSRGRRVHCYAIKSGFDLNTMVGNTILSMYAKCGIIDDAVRFFDKMNSKDTVSYSAIISGCVQNGYAKEALLIFHHMQLSGFDPDLATMVGVLPACSHLAALQHGACGHAYSIVHGFGTDTSICNVLIDMYSKCGKINRGRQVFDRMVTRDIISWNAMIVGYGIHGLGMAAISQFHHMLAAGIKPDDVTFIGLLSACSHSGLVTEGKHWFNAMSEDFNITPRMEHYICMVDLLGRAGFLAEAHVFIQKMPFEADVRVWSALLAACRVHNNIELGEEVSKKIQGKGLEGTGNLVLLSNIYSAVGRWDDAAYVRIKQKGQGLKKSPGCSWVEINGIIHGFVGGDQSHPQSAQIHEKLEELLVDMKRLGYCAENSFVLQDVEEEEKERILLYHSEKLAIAYAILSLRPGKPILVTKNLRVCGDCHAAIKVITLITKREIIVRDLTRFHHFKDGICNCADFW >ONI07967 pep chromosome:Prunus_persica_NCBIv2:G5:13652222:13654960:1 gene:PRUPE_5G150400 transcript:ONI07967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNPNTTTTTTTPNPTQQQQQAQSSYPPTQSSVPAPPFHHLLQQQQQQLQMFWTYQRHDIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEAVGLGGMVGATASGVPYYYPPMGQPAGGPGGMMIGRPAVDPAAVYGVQPPSQAWQSVWQTAADDGSYGSGGSSGQGNLDGQS >ONI07332 pep chromosome:Prunus_persica_NCBIv2:G5:11700901:11704566:1 gene:PRUPE_5G113600 transcript:ONI07332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSGATSDQRAAVEVSKDKSGIDQVLLHNPRGASVQVSLHGGQVLSWRTERGEELLFISSKAIFKPPKAVRGGIPICFPQVGNGSLEQNGFARNRIWVIDNDPPPLHPNDSNGKAYIDLLLKPSEEDLKIWPHSFEFRLRVSLAAEGYLTLISRIRNINCKPFSFSFAYHPYFSISDISEVRIEGLETLDYLDNLFQKERFTEQGDALTFESEVDRAYLRSKDVIAVFDHEKKRTFAVRKEGLPDVVVWNPWEKRSKAIVDLGDEEYKQMLCVNGASIEKPITLKPGEEWTGRLELSVVPSS >ONI07333 pep chromosome:Prunus_persica_NCBIv2:G5:11701236:11704512:1 gene:PRUPE_5G113600 transcript:ONI07333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSGATSDQRAAVEVSKDKSGIDQVLLHNPRGASVQVSLHGGQVLSWRTERGEELLFISSKAIFKPPKAVRGGIPICFPQVGNGSLEQNGFARNRIWVIDNDPPPLHPNDSNGKAYIDLLLKPSEEDLKIWPHSFEFRLRVSLAAEGYLTLISRIRNINCKPFSFSFAYHPYFSISDISEVRIEGLETLDYLDNLFQKERFTEQGDALTFESEVDRAYLRSKDVIAVFDHEKKRTFAVRKEGLPDVVVWNPWEKRSKAIVDLGDEEYKQMLCVNGASIEKPITLKPGEEWTGRLELSVVPSS >ONI05404 pep chromosome:Prunus_persica_NCBIv2:G5:678526:679718:-1 gene:PRUPE_5G005800 transcript:ONI05404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVELKVEMVGIHEKRLRKCLSKLRGIEKVEVDAYSQKVIVTGCVHRNKLLKAIRRGGLKADFWSPQNELLTAYASASYGSLRFNNNFNFNLF >ONI07177 pep chromosome:Prunus_persica_NCBIv2:G5:11119191:11120987:-1 gene:PRUPE_5G104500 transcript:ONI07177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAQFCFSASLCYNSCFPRNATLSLTLCKPLKNGVFSFNTIQCCTSQREAIEHSGNGLASFPEKHLHRKSATSTKAPPPRPRRIILVRHGQSEGNVDESVYTRVSDPKIGLTEKGLEDAEQCGKRIREMIEKDKVHNWKVYFYVSPYRRGVETLKGLGRAFERSRIAGMREEPRLREQDFGNFQDREKMRVEKAIRMLYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERNPNINLVIVSHGLTLRVFLMRWYKWTVEQFERLNNFGNGGVVVMERGYGGRYSLLVHHTEEELREFGLTDEMLSDQEWQKYAKPGELNYDCPTLNSFFSHFANNCGDENENKINSS >ONI07176 pep chromosome:Prunus_persica_NCBIv2:G5:11119190:11120987:-1 gene:PRUPE_5G104500 transcript:ONI07176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAQFCFSASLCYNSCFPRNATLSLTLCKPLKNGVFSFNTIQCCTSQREAIEHSGNGLASFPEKHLHRKSATSTKAPPPRPRRIILVRHGQSEGNVDESVYTRVSDPKIGLTEKGLEDAEQCGKRIREMIEKDKVHNWKVYFYVSPYRRGVETLKGLGRAFERSRIAGMREEPRLREQDFGNFQDREKMRVEKAIRMLYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERNPNINLVIVSHGLTLRVFLMRWYKWTVEQFERLNNFGNGGVVVMERGYGGSLLVHHTEEELREFGLTDEMLSDQEWQKYAKPGELNYDCPTLNSFFSHFANNCGDENENKINSS >ONI08586 pep chromosome:Prunus_persica_NCBIv2:G5:15448628:15455433:-1 gene:PRUPE_5G187100 transcript:ONI08586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQHQQWRPRPPIPDSLCSVCFISHFPFCPPHPPFNQNPIFPFDSGHSFQRPGFDPYIGPVGTQSPFMGNPTNGFENPRPWQRNPNFEADTYGKFPYRDGLAPPPPPPYEYGGNAYIGDGDRNFKRPRVDVLGLKSEFNPKNASLTPEDERRLKLIRDHGGVNSVSGSRTVPNGDTNRYAEESKVFEGDSSKPSVNRSFPHSQTEEFSHSEVGNENYHSNNPQQFQSKDSRPVNEAQYSHVNSWQAHTGTSAPYYDHNNQLPQPYGMQRHSVSHQHGTPNTNEHGGYFHSPGLISNNAGHMPAARSISGQPPLPTSPPPPLPMDPPSKSSSSLFPVSFSSSSMMPSAYPPIHEARSLAQPYFHNKPFSHASTGFAMEESHVSHQISSKQYGEGHPFPRKQSSLDKPKVIDASHLFKQPHRLTRPDHFVIILRGLPGSGKSYLAKVLRDLEVENGGHAPRIHSMDDYFMTEVEKGEESDVSKSSSSARGKKRVLKKVMEYCYEPEMEEAYRLSMLKAFKKTLEEGVFTFIIVDDRNLRVADFAQFWAIAKSSGYEVYILEASYKDPAGCAARNVHGFTQDDIQKMAGLWEEAPSIYLQLDAKSLFHGDDLKGSDIQEVDMDTEDADNDSLLGFQETKPEKIIAPPAEDDAHNGSSKGAKSLDAEEDHPTVEVKELGRSKWSEILDEDDTEKTEGVKGNFNTPSGLIQAYRMGGKSVCWSDQKSNPLPEEENPVTHSSGESKRQNVFEDQLRAERESFKAVFDRRRQRIGGLGLEE >ONI08587 pep chromosome:Prunus_persica_NCBIv2:G5:15448896:15455250:-1 gene:PRUPE_5G187100 transcript:ONI08587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQHQQWRPRPPIPDSLCSVCFISHFPFCPPHPPFNQNPIFPFDSGHSFQRPGFDPYIGPVGTQSPFMGNPTNGFENPRPWQRNPNFEADTYGKFPYRDGLAPPPPPPYEYGGNAYIGDGDRNFKRPRVDVLGLKSEFNPKNASLTPEDERRLKLIRDHGGVNSVSGSRTVPNGDTNRYAEESKVFEGDSSKPSVNRSFPHSQTEEFSHSEVGNENYHSNNPQQFQSKDSRPVNEAQYSHVNSWQAHTGTSAPYYDHNNQLPQPYGMQRHSVSHQHGTPNTNEHGGYFHSPGLISNNAGHMPAARSISGQPPLPTSPPPPLPMDPPSKSSSSLFPVSFSSSSMMPSAYPPIHEARSLAQPYFHNKPFSHASTGFAMEESHVSHQISSKQYGEGHPFPRKQSSLDKPKVIDASHLFKQPHRLTRPDHFVIILRGLPGSGKSYLAKVLRDLEVENGGHAPRIHSMDDYFMTEVEKGEESDVSKSSSSARGKKRVLKKVMEYCYEPEMEEAYRLSMLKAFKKTLEEGVFTFIIVDDRNLRVADFAQFWAIAKSSGYEVYILEASYKDPAGCAARNVHGFTQDDIQKMAGLWEEAPSIYLQLDAKSLFHGDDLKGSDIQEVDMDTEDADNDSLLGFQETKPEKIIAPPAEDDAHNGSSKGAKSLDAEEDHPTVEVKELGRSKWSEILDEDDTEKTEGVKGNFNTPSGLIQAYRMGGKSVCWSDQVGNTGFSIAAAKKANVLSLVIGPGSGYNLKSNPLPEEENPVTHSSGESKRQNVFEDQLRAERESFKAVFDRRRQRIGGLGLEE >ONI08585 pep chromosome:Prunus_persica_NCBIv2:G5:15448628:15455433:-1 gene:PRUPE_5G187100 transcript:ONI08585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQHQQWRPRPPIPDSLCSVCFISHFPFCPPHPPFNQNPIFPFDSGHSFQRPGFDPYIGPVGTQSPFMGNPTNGFENPRPWQRNPNFEADTYGKFPYRDGLAPPPPPPYEYGGNAYIGDGDRNFKRPRVDVLGLKSEFNPKNASLTPEDERRLKLIRDHGGVNSVSGSRTVPNGDTNRYAEESKVFEGDSSKPSVNRSFPHSQTEEFSHSEVGNENYHSNNPQQFQSKDSRPVNEAQYSHVNSWQAHTGTSAPYYDHNNQLPQPYGMQRHSVSHQHGTPNTNEHGGYFHSPGLISNNAGHMPAARSISGQPPLPTSPPPPLPMDPPSKSSSSLFPVSFSSSSMMPSAYPPIHEARSLAQPYFHNKPFSHASTGFAMEESHVSHQISSKQYGEGHPFPRKQSSLDKPKVIDASHLFKQPHRLTRPDHFVIILRGLPGSGKSYLAKVLRDLEVENGGHAPRIHSMDDYFMTEVEKGEESDVSKSSSSARGKKRVLKKVMEYCYEPEMEEAYRLSMLKAFKKTLEEGVFTFIIGYEVYILEASYKDPAGCAARNVHGFTQDDIQKMAGLWEEAPSIYLQLDAKSLFHGDDLKGSDIQEVDMDTEDADNDSLLGFQETKPEKIIAPPAEDDAHNGSSKGAKSLDAEEDHPTVEVKELGRSKWSEILDEDDTEKTEGVKGNFNTPSGLIQAYRMGGKSVCWSDQVGNTGFSIAAAKKANVLSLVIGPGSGYNLKSNPLPEEENPVTHSSGESKRQNVFEDQLRAERESFKAVFDRRRQRIGGLGLEE >ONI09299 pep chromosome:Prunus_persica_NCBIv2:G5:17578639:17580995:1 gene:PRUPE_5G230000 transcript:ONI09299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTLVLRGTMRAHTDMVTAIAIPIDNSEMIVSASRDKSIILWHLTKDEKTYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLALGVSARRFVGHTKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKFTIQDQDGHGDWVSCVRFSPNTLQPTIVSASWDKTVKVWNLTNCKLRCTLEGHNGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKMLYSLGAGAIIHALCFSPNRYWLCAATEQSIKIWDLESKQIVEDLKVDLKTEAEKTEDTHAATAYKKKVIYCTSLNWSADGSTLFSGYSDGVIRVWGIGRY >ONI05939 pep chromosome:Prunus_persica_NCBIv2:G5:3514067:3517925:-1 gene:PRUPE_5G030200 transcript:ONI05939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEGGYGSLSLDYFTFSSSIADHLRAASLVISHAGSRSMFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLYYARPQTLCRTIANMNLDYLIPYPGDATPVAKLINRFLGFSDD >ONI07087 pep chromosome:Prunus_persica_NCBIv2:G5:10746326:10747621:1 gene:PRUPE_5G099300 transcript:ONI07087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCTTLGAVALPPIGRDLRPCGCCQTVNQHHAGSYMQSAFPAVVKIVIPFAPLFAVLAASLLACSSMVAVTLPADLSLMAHARTVLSGEVGVVILSLLLVHIAGFFVGYISAAVCGFREAQRRAISIEVLIK >ONI07086 pep chromosome:Prunus_persica_NCBIv2:G5:10746326:10747958:1 gene:PRUPE_5G099300 transcript:ONI07086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCTTLGAVALPPIGRDLRPCGCCQTVNQHHAGSYMQSAFPAVVKIVIPFAPLFAVLAASLLACSSMVAVTLPADLSLMAHARTVLSGEVGVVILSLLLVHIAGFFVGYISAAVCGFREAQRRAISIEVGIQNSSLGVVLATSHFTSPMVALPAALSAVIMNIMGSSLAFFWRYVDPFDSKETPTVEVK >ONI07161 pep chromosome:Prunus_persica_NCBIv2:G5:11048194:11051198:-1 gene:PRUPE_5G103600 transcript:ONI07161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCSSKGTEIGAAPTYYYYPRSSDEHVPLSSRQGVTSALSTGLLVDTNLDTSIPDTYRPPPTPIPYDVAVVGHPHTPPAAQEICGNKSEGAVLTTNSDSVHEAVGGNAQEASPKCEDLKDSDCKAQTDFELDAEKKSEVELSKSVESVALVPEEEDVCPTCLEEYDAQNPKITTKCEHHFHLACILEWMERSDTCPVCDQEMIFDPPI >ONI08717 pep chromosome:Prunus_persica_NCBIv2:G5:15932124:15934859:1 gene:PRUPE_5G196700 transcript:ONI08717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYWKKSQPSAAAADLTSDQGHALTTASLQHDLHGKLQEMEKSLGKISEIEKTQKFELQTLILELLRLLSESSGCPLQPGSHMPAITLTMASAAEPTPRQSEVMKSLAELSAQQKSIMEELRLMRTIIASPSQSQRTTPNFTEIYETPSLEPFNPFPSFPMVPPTTINQGEDRSVYLFVSFYDGQYTDAIYQVTFKHGGGVTHEPPFVEVEDEFSNGFYIQGARIFNRSELYILLQGGYNNPLLGDSSKSFGYIINTEIGSYYSSLPPNLASKPLATLVSAYDKLYYVASPACLQPITEPSFERYDPEQDIWERMPSFPFYRDDGRMEIIGYAVCYGVILFSLWDSDMNLDVVAFHESRNQWNRVTFASYAPFRGRAVVVGNTIYALHALMVEEIIAFSFRMDKGDHGGIAYSLSPLFILRGLEIACPPVPFCELNTGYLVHLGNHDFFHVKTGSPNSEAHPKVQYLCITMFQIVVEGGKHMIKTINSTVHPMDVKGRDWFFLEFCFTPECGDYEPIEGDIVTRINQPKEEETTLETTQDETFSMWEVTRSEVLARQCQRKRPRKKPSWSNRHVV >ONI08543 pep chromosome:Prunus_persica_NCBIv2:G5:15320357:15327152:1 gene:PRUPE_5G184400 transcript:ONI08543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDDSPQCLLPPSTNDTQPASSSSSSAARVRLVHTPILLLVCFHKALRAELDDLRHVTLAALESASRDRQGRDFVLQLLRRFEFLKLAFKYHCSAEDEIFFLALDGRTKNVASTYSLEHRSIDSLFDSIFNRLDALLDEGENISKQFQELVFCIGTLQAFACQHMLKEEQQVFPLILQQFSAEEQASLVWQFMCSVPLVLLEDLLPWTMSLLPPDEQEEVIHCIKEIVPDEKSLQEVVLSWLASNEQVTFGANNKAGGAQHTGESADLKKLLKSHSPKRFFEENRSSIKANSIHSEVGYNPVDGLHLWHAAIMKDLTKILEELYQLRSSSSFLSLDSIVVQLKFFADVLTFYSSALEKLFHPVLNELFNSCLYPSSEQLHNEIHVEGLQRLLYRPPENGTPLCKIVEKLCCELESFVVGINKHFAFQETKVFPIVRMNCSHEMQQQLLYVSLHILPLGLLKCMTTWFSACLSEDESRSILSSLKQGDSLITKSFASLLHEWFRIGHSGKTSVEKFRKDLQQIFNSRCTSLSKQFYDTTGSSSLSSNVQPCEGSNTRLIAPISSDKGKNSMPYSSGTNIHIYFPGTMKTSHHLPESLSGENLLGYDLHEPKPVDLIFFIHKALKKDLEYLVFGSAQLAENVAFFTDFCRRFRLIQFLYQIHSEAEDEVAFPALEAKGKLQNISHSYTMDHKLEVEHFKKISLILDEMSKLDVSASKVESNTVDQKMLQHHQLCMRLHDMCKSMCNLLTEHIHREEVELWPLFKECFSIKEQEKIVGCILGRTEAKILQDMLPWLMESLTPEEQQAMMSLWRQVTRNTMFDEWLREWWEGYDAAKVVEESNVPPSLTADPLEIVCTYLCGADDQEGSVCNKSINCSDKDSPAVNTKPFENSDVDEKPKDSDSNQCIYTDTEYVRPCAKGDKKRCQEVENVTNQINDSVQLFQASQKSKYCECLLTLGQEGLEAAIRKISRDSSLDPQKKSYMIQNLLMRRSITEMMCMKCLKIQPVGSTCSTASCSNFSMARYFCSICKIFDDERVIYHCPYCNLCRLGKGLGIDYFHCMTCNACMSRSLMKHTCREKLFMDNCPICNEDIFTSTLPVKSLPCGHLMHSTCFEAYTCTNYTCPICGKSLGDMQVYFKMLDAFLAEEKTPNEYSGQTQVILCNDCEKKGTAPFHWLYHKCSSCGSYNTRIL >ONI08542 pep chromosome:Prunus_persica_NCBIv2:G5:15320357:15327152:1 gene:PRUPE_5G184400 transcript:ONI08542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDDSPQCLLPPSTNDTQPASSSSSSAARVRLVHTPILLLVCFHKALRAELDDLRHVTLAALESASRDRQGRDFVLQLLRRFEFLKLAFKYHCSAEDEIFFLALDGRTKNVASTYSLEHRSIDSLFDSIFNRLDALLDEGENISKQFQELVFCIGTLQAFACQHMLKEEQQVFPLILQQFSAEEQASLVWQFMCSVPLVLLEDLLPWTMSLLPPDEQEEVIHCIKEIVPDEKSLQEVVLSWLASNEQVTFGANNKAGGAQHTGESADLKKLLKSHSPKRFFEENRSSIKANSIHSEVGYNPVDGLHLWHAAIMKDLTKILEELYQLRSSSSFLSLDSIVVQLKFFADVLTFYSSALEKLFHPVLNELFNSCLYPSSEQLHNEIHVEGLQRLLYRPPENGTPLCKIVEKLCCELESFVVGINKHFAFQETKVFPIVRMNCSHEMQQQLLYVSLHILPLGLLKCMTTWFSACLSEDESRSILSSLKQGDSLITKSFASLLHEWFRIGHSGKTSVEKFRKDLQQIFNSRCTSLSKQFYDTTGSSSLSSNVQPCEGSNTRLIAPISSDKGKNSMPYSSGTNIHIYFPGTMKTSHHLPESLSGENLLGYDLHEPKPVDLIFFIHKALKKDLEYLVFGSAQLAENVAFFTDFCRRFRLIQFLYQIHSEAEDEVAFPALEAKGKLQNISHSYTMDHKLEVEHFKKISLILDEMSKLDVSASKVESNTVDQKMLQHHQLCMRLHDMCKSMCNLLTEHIHREEVELWPLFKECFSIKEQEKIVGCILGRTEAKILQDMLPWLMESLTPEEQQAMMSLWRQVTRNTMFDEWLREWWEGYDAAKVVEESNVPPSLTADPLEIVCTYLCGADDQEGSVCNKSINCSDKDSPAVNTKPFENSDVDEKPKDSDSNQCIYTDTEYVRPCAKGDKKRCQEVENVTNQINDSVQLFQASQKSKYCECLLTLGQEGLEAAIRKISRDSSLDPQKKSYMIQNLLMSRWIVRQHSELRDTSNGKEFPGQHPSYQDPFGLTFGCKHYKRNCKLVAACCNQLYTCIRCHDEMADHLIDRRSITEMMCMKCLKIQPVGSTCSTASCSNFSMARYFCSICKIFDDERVIYHCPYCNLCRLGKGLGIDYFHCMTCNACMSRSLMKHTCREKLFMDNCPICNEDIFTSTLPVKSLPCGHLMHSTCFEAYTCTNYTCPICGKSLGDMQVYFKMLDAFLAEEKTPNEYSGQTQVILCNDCEKKGTAPFHWLYHKCSSCGSYNTRIL >ONI06783 pep chromosome:Prunus_persica_NCBIv2:G5:9433712:9435832:1 gene:PRUPE_5G080700 transcript:ONI06783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERGHRRRSQATRGRKVRPPNPSPSPHRRSSQTRGSPKQHHHHHHSKPIKILKRCSSEPILFCSSSGSDFGEEGFRVRDLRSEPEGVLFRPQTCTDIFASSPSLMMPFSPKKHEGYMKDAKVVLNVSVEGSPGPVRAMVKLGSTVDETIKLVVDKYSKEGRTPKLDKDEALSFELHQSYFSLQSLDKSEVIGDCGSRSFYLRKNSSNHSSNNGGSTSFTSKAVPARANSPPPAARPVFLLPNFFARKLGKIIRRTHKLWRIIICMK >ONI06784 pep chromosome:Prunus_persica_NCBIv2:G5:9433712:9435832:1 gene:PRUPE_5G080700 transcript:ONI06784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERGHRRRSQATRGRKVRPPNPSPSPHRRSSQTRGSPKQHHHHHHSKPIKILKRCSSEPILFCSSSGSDFGEEGFRVRDLRSEPEGVLFRPQTCTDIFASSPSLMMPFSPKKHEGYMKDAKVVLNVSVEGSPGPVRAMVKLGSTVDETIKLVVDKYSKEGRTPKLDKDEALSFELHQSYFSLQKASIFEKTAAITVATMEDQLLSPPKPFLREQTLLHRRHALSSYSRIFSLVNLGKSSGGRISFGES >ONI06785 pep chromosome:Prunus_persica_NCBIv2:G5:9434016:9435194:1 gene:PRUPE_5G080700 transcript:ONI06785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERGHRRRSQATRGRKVRPPNPSPSPHRRSSQTRGSPKQHHHHHHSKPIKILKRCSSEPILFCSSSGSDFGEEGFRVRDLRSEPEGVLFRPQTCTDIFASSPSLMMPFSPKKHEGYMKDAKVVLNVSVEGSPGPVRAMVKLGSTVDETIKLVVDKYSKEGRTPKLDKDEALSFELHQSYFSLQRLQFLLITSLIE >ONI06786 pep chromosome:Prunus_persica_NCBIv2:G5:9434016:9434870:1 gene:PRUPE_5G080700 transcript:ONI06786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERGHRRRSQATRGRKVRPPNPSPSPHRRSSQTRGSPKQHHHHHHSKPIKILKRCSSEPILFCSSSGSDFGEEGFRVRDLRSEPEGVLFRPQTCTDIFASSPSLMMPFSPKKHEGYMKDAKVVLNVSVEGSPGPVRAMVKLGSTVDETIKLVVDKYSKEGRTPKLDKDEALSFELHQSYFSLQSK >ONI07309 pep chromosome:Prunus_persica_NCBIv2:G5:11629836:11632206:-1 gene:PRUPE_5G112500 transcript:ONI07309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLIHLIPFLSLFPALFSSLLALSLTTCSPHHTNDNYDNSSLCQLHLILFSLRIDCMAQKKKFKIC >ONI07536 pep chromosome:Prunus_persica_NCBIv2:G5:12382043:12385826:1 gene:PRUPE_5G126500 transcript:ONI07536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLLPETAQKVLTQETLRSAAKQSQRCLTTPVRLRRAIKKYLKEQEEPHMKRKVLRLSESFSQIKDVNSQLVTATSQQLVEDPLQSVDQSQRWKIKSSYGDIGLTYRDDETIAYVASRMPAVFSACHRVLKEVRRRLPEFSPARVLDFGAGTGSAFWALREVWPHSLEKVNLVEPSQSMQRAGQKLIQGQKDLPLIHSYDSIQSLTKSIKKSDREHDLVIASYVLGEIPSLKDRITVVRQLWDLTRDVLVLIEPGTPQGSNIISQMRSHILWMEKRKCRKSKDGADETTKDLVTQKNGAFIVAPCSHDGQCPLEKAEKYCHFVQRLERTSIQRTFKRSKGGQPLRGFEDEKFSFIAVRRGQRPQEPWPLDGLKFDTLKEQQAKRSPEDLEIDLEELNASQQADLIPFEEPDPVNYDSDVMESDVADENEEEEDERGHADLGGGWGRIIYMPVRRGKQVTMDVCRSTKRDGSEGQLQRVVVTKSKNPALHQQARKSIWGDLWPF >ONI07537 pep chromosome:Prunus_persica_NCBIv2:G5:12382333:12385543:1 gene:PRUPE_5G126500 transcript:ONI07537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQFNLWGFGILEQEEPHMKRKVLRLSESFSQIKDVNSQLVTATSQQLVEDPLQSVDQSQRWKIKSSYGDIGLTYRDDETIAYVASRMPAVFSACHRVLKEVRRRLPEFSPARVLDFGAGTGSAFWALREVWPHSLEKVNLVEPSQSMQRAGQKLIQGQKDLPLIHSYDSIQSLTKSIKKSDREHDLVIASYVLGEIPSLKDRITVVRQLWDLTRDVLVLIEPGTPQGSNIISQMRSHILWMEKRKCRKSKDGADETTKDLVTQKNGAFIVAPCSHDGQCPLEKAEKYCHFVQRLERTSIQRTFKRSKGGQPLRGFEDEKFSFIAVRRGQRPQEPWPLDGLKFDTLKEQQAKRSPEDLEIDLEELNASQQADLIPFEEPDPVNYDSDVMESDVADENEEEEDERGHADLGGGWGRIIYMPVRRGKQVTMDVCRSTKRDGSEGQLQRVVVTKSKNPALHQQARKSIWGDLWPF >ONI07538 pep chromosome:Prunus_persica_NCBIv2:G5:12382828:12385826:1 gene:PRUPE_5G126500 transcript:ONI07538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVVLQVRRRLPEFSPARVLDFGAGTGSAFWALREVWPHSLEKVNLVEPSQSMQRAGQKLIQGQKDLPLIHSYDSIQSLTKSIKKSDREHDLVIASYVLGEIPSLKDRITVVRQLWDLTRDVLVLIEPGTPQGSNIISQMRSHILWMEKRKCRKSKDGADETTKDLVTQKNGAFIVAPCSHDGQCPLEKAEKYCHFVQRLERTSIQRTFKRSKGGQPLRGFEDEKFSFIAVRRGQRPQEPWPLDGLKFDTLKEQQAKRSPEDLEIDLEELNASQQADLIPFEEPDPVNYDSDVMESDVADENEEEEDERGHADLGGGWGRIIYMPVRRGKQVTMDVCRSTKRDGSEGQLQRVVVTKSKNPALHQQARKSIWGDLWPF >ONI07414 pep chromosome:Prunus_persica_NCBIv2:G5:11964010:11964911:-1 gene:PRUPE_5G118600 transcript:ONI07414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQAILLLFLLASASASAMGPKPSCPDITYQLAPCVSYLLQIEVAPPPACCDGVKYLSQYSSDKEDREAICWCIKGAASMMGLTDFPLISALPNTCGVSVKLPPISSDIDCSQ >ONI05938 pep chromosome:Prunus_persica_NCBIv2:G5:3509191:3513386:-1 gene:PRUPE_5G030100 transcript:ONI05938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNTTAEKHHTHHKYHHLSHSQPYNHHHHHHNHGSFPSKYTLIIISISSVMLLVIILIILILRRLKSTKSYNGKTYRENSIISSNTSSRFIAQTSMPTFNSSPDVKGGCLTLQGGDSGRSVLKTPAEILTAPSRYRRGGGGAVQVFSYKELEVATEKFSEANVIGQGVFGVVYRGILRDGTVAAIKMLHREGRQGERAFRLEVDLLSRLHSPYLVELLGYCADQHHRLLIFEHMPNGTLQHHLHSTNNHKPLDWGTRLRIALDCAKALEFLHEHAIPPVIHRDFKCTSILLDQNFRAKVSDFGLAKTGSEKINGQISTRVLGTTGYLAPEYASTGQLTTKSDVYSYGAVLLELLTGRVPVDTKRPPGEHVLISWALPRLTTREKILEMVDPSLRGQYSNRDLIQIAAIAAMCVQPEAEYRPLMADVVPSLIPLVKNSCYVGSSSTSRFQNQIASPRY >ONI07758 pep chromosome:Prunus_persica_NCBIv2:G5:12985372:12987201:1 gene:PRUPE_5G137900 transcript:ONI07758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTLHLASAVTRGCLYERNPRIPSFKSPAFSNSHPCRCNYNAGNGKLAMNNASFKTKPKTQRRRLLCTSQLAEYAPTTSAVYGFLLLSGGLFAYARSGSKGSILGGVSGAALMGTAYYLMQTPETKAIGDALGFGSAFLFASVFGIRLAATRKLAPAGPLLALSLSALAVFISAYLQDSH >ONI07357 pep chromosome:Prunus_persica_NCBIv2:G5:11780229:11785074:-1 gene:PRUPE_5G115000 transcript:ONI07357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVETRLTPCSNGHDDEAEAENRSISELVSALKAASRPEDFGRVEEALAAREAKLKREIEKQRHENAVLEEMHEFERLERLKAEDELKQSMVKAEAVSEEKLPEEKDEGNEVIPELQRTNNELESSISRLEKGSKKLRKRSDENMQRRRSGRLASMDSATNNNGCASSAAVEGNGGLRISGYTRVAKMQMKRKNMKKKILGRKKKGKKKGGRTQEYAQYRCNMRSFFNTMQRIKERLTKGHLKLLQQTPFWPLISAFYNGMISEDQCWKSESDIHNIISCYNSRTMSFDFGSTSASLTAEDIAEILGLPQEGEEVELKGSRGYKSDFTERYFDVRLVSKKMVDAALEEALKGKRKTDAEDVVRLILIELCITFVLCNSNHMATWIVIQYCEDLENISRYSWAKVVVDILHRALKTKASQLKSCSVPGCVVVVMLWLCEKTNLIQPIKGREGHTPGLVKWSMRELHLKLKQIDVADIQLSFKKDKENKKKKEENKKTIEEAKSNGREEWEEGENVYDDTVGSLDYELRAQKTQKEFPPAATFSKRLNNDNEDLENRFEDLWETIQNASAEVAKAQGKVNRLTEKLEIRKEKTKQLRRELKEEKKEKRKLKEDIVILNAEKKSLFESVKSVVKKLEQTLEAEREEVKNIKKEKMQLINENQELKDQLNQGTPCTSTQLRADMQMEVSPFQSYLARLEKSFITRGLDPEFEYEQGGKKKRKVEHGKK >ONI07356 pep chromosome:Prunus_persica_NCBIv2:G5:11781347:11785141:-1 gene:PRUPE_5G115000 transcript:ONI07356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVETRLTPCSNGHDDEAEAENRSISELVSALKAASRPEDFGRVEEALAAREAKLKREIEKQRHENAVLEEMHEFERLERLKAEDELKQSMVKAEAVSEEKLPEEKDEGNEVIPELQRTNNELESSISRLEKGSKKLRKRSDENMQRRRSGRLASMDSATNNNGCASSAAVEGNGGLRISGYTRVAKMQMKRKNMKKKILGRKKKGKKKGGRTQEYAQYRCNMRSFFNTMQRIKERLTKGHLKLLQQTPFWPLISAFYNGMISEDQCWKSESDIHNIISCYNSRTMSFDFGSTSASLTAEDIAEILGLPQEGEEVELKGSRGYKSDFTERYFDVRLVSKKMVDAALEEALKGKRKTDAEDVVRLILIELCITFVLCNSNHMATWIVIQYCEDLENISRYSWAKVVVDILHRALKTKASQLKSCSVPGCVVVVMLWLCEKTNLIQPIKGREGHTPGLVKWSMRELHLKLKQIDVADIQLSFKKDKENKKKKEENKKTIEEAKSNGREEWEEGENVYDDTVGSLDYELRAQKTQKEFPPAATFSKRLNNDNEDLENRFEDLWETIQNASAEVAKAQGKVNRLTEKLEIRKEKTKQLRRELKEEKKEKRKLKEDIVILNAEKKSLFESVKSVVKKLEQTLEAEREEVKNIKKEKMQLINENQELKDQLNQGTPCTSTQLRADMQMEVSPFQSYLARLEKSFITRGLDPEFEYEQGGKKKRKVEHGKK >ONI07355 pep chromosome:Prunus_persica_NCBIv2:G5:11780229:11785079:-1 gene:PRUPE_5G115000 transcript:ONI07355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVETRLTPCSNGHDDEAEAENRSISELVSALKAASRPEDFGRVEEALAAREAKLKREIEKQRHENAVLEEMHEFERLERLKAEDELKQSMVKAEAVSEEKLPEEKDEGNEVIPELQRTNNELESSISRLEKGSKKLRKRSDENMQRRRSGRLASMDSATNNNGCASSAAVEGNGGLRISGYTRVAKMQMKRKNMKKKILGRKKKGKKKGGRTQEYAQYRCNMRSFFNTMQRIKERLTKGHLKLLQQTPFWPLISAFYNGMISEDQCWKSESDIHNIISCYNSRTMSFDFGSTSASLTAEDIAEILGLPQEGEEVELKGSRGYKSDFTERYFDVRLVSKKMVDAALEEALKGKRKTDAEDVVRLILIELCITFVLCNSNHMATWIVIQYCEDLENISRYSWAKVVVDILHRALKTKASQLKSCSVPGCVVVVMLWLCEKTNLIQPIKGREGHTPGLVKWSMRELHLKLKQIDVADIQLSFKKDKENKKKKEENKKTIEEAKSNGREEWEEGENVYDDTVGSLDYELRAQKTQKEFPPAATFSKRLNNDNEDLENRFEDLWETIQNASAEVAKAQGKVNRLTEKLEIRKEKTKQLRRELKEEKKEKRKLKEDIVILNAEKKSLFESVKSVVKKLEQTLEAEREEVKNIKKEKMQLINENQELKDQLNQGTPCTSTQLRADMQMEE >ONI08505 pep chromosome:Prunus_persica_NCBIv2:G5:15215891:15226212:-1 gene:PRUPE_5G182200 transcript:ONI08505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQNTVKEALNALYHHPDDGVRLQADRWLQDFQRTLDAWQVADNLLHDATSNLETLIFCSQTLRSKVQRDFEELPSEAFRPLRDSLNNLLRKFHKGPPKVRTQISIAVAALAVHVPAEDWGGGGIVKWLQDEMNLHPEYIPGFLELLTVLPEEVFNYKIAARPERRRQFDKELTSQMEVALNILTACLSINELKEQVLEAFASWLRLKHGIPGSVLASHPLVLTALSSLNSELLSEASVNVISELIHYTAAGSSGGVTVQMPLIQVLVPKVMNLKAQLRDSSKDEEDVKAIARLFSDMGDSYVELIATGSDESMLIVQALLEVASHPEYYIASMTFNFWHSLQVNLTKRDLHISFVNESSIEAERNRRLQVFRPAYESLVSLVSFRIQYPQDYQDLSYEDLKEFKQTRYAVADVLIDAASVLGGDATLRILYMKLDEAAACCQNEKSEWRPAEAALFGIRAISSYVSAVEAEVMPKVMDRLLKLPQHPQLLQTVCLTIGAYSKWLDAAPGGPSILPSVLDILMSGMGVSEDSAAAAAVAFRQICDDCRLKLCGCLDGLFHIYHRAVNGEGSFKVSAEDSLHLVEALSKVITELPPDHAKRALEALCLPVVTPLQEVVSQGPDTLNSKPARDLTVHIDRFGYIFRYVNHAEAVADAIQRLWPIFKAIFDLRAWDVRTMESLCRACKYAVRTSGRCMGFTIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSDPSCANYLKSLIEALFMHTTHLLTSIQEFTARPDIADDCFLLASRCIRYCPQLFIPSAVFPSLVDCSMIGITVQHREASNSILTFLSDIFDLANSTEVEQYLPIRNAVIIPRGPSITRILIASLTGALPSSRLELVRYTLLSLCRAYGPPSVEWAKESVSLIPLTAVTEFERSRFLKALSDAASGVNVNAVSALVEELSEVCRRNRTVMEIVQGSLRPLELNIAPVS >ONI08885 pep chromosome:Prunus_persica_NCBIv2:G5:16469278:16472024:1 gene:PRUPE_5G206300 transcript:ONI08885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVSTATSSIAQTLKRYLKKPWEITGPCADPEYKLAVPGALEYRLECPASTQVKACVPTSNPETVYDIKYYARDQRRNRPPIRRTVLKKADVEKLMKEKKTFDVSDFPPVYLTDVVEEDCNARGGGYQK >ONI07957 pep chromosome:Prunus_persica_NCBIv2:G5:13618712:13624360:1 gene:PRUPE_5G149700 transcript:ONI07957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGGSSRRSLSLTNTSLQDKKKSSDVSNGGPESARKSFSASRSIGLTGERTVKRLRLSKALTVPENTSIQEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVISRELNLEDTLVSKVMTRNPIFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGTSTSGSNTFVETLRERLFRPSLSTIIPESSKVLTVSPTDTVLMTTKKMQEMRVSSAVVTVDNKPRGILTSKDILMRVIAQNLSPKATLVEKVMTQNPECATVDTPIVDALHTMHDGKFLHLPVVDRDGTVVAVVDVIHITHAAVATVGNTAGVSNEAANSLMQKFWDSAMALGPHEEDEDSRSEGSLKLASDGAETGRSLPFPSSNFPNTFAFKLQDKRGRMHRFTCNIQSLTDLITSIVQRMGDDIDRNNLPQILYEDEDRDKVILASDNDLVAAVEHAKQVGWKGLRLHLDYSGTRGRRRGSAGGSMDYAYADSAWSSAYSTVAAGAALVAGLGVLAYLKRSGN >ONI07191 pep chromosome:Prunus_persica_NCBIv2:G5:11181272:11182137:1 gene:PRUPE_5G105300 transcript:ONI07191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSYGGGQSSLGYLFGSDEQQSPPPPPKPITPPYGIDSLDTVTEKPPGTPNSAKKEKAAVSNNYHRAEGQNSGNFLTDRPTTKVKSVPGGDSSLGYLFGDK >ONI07105 pep chromosome:Prunus_persica_NCBIv2:G5:10835504:10836268:1 gene:PRUPE_5G100300 transcript:ONI07105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREAKAFPYDKPKAVLFHKSVKSPQRPTHITMNITRNTMPHKLLDSLQGQDPKTYCIFHSLFQVSRMEEEKPSTELTLAATSSAPQSQCPPEDIVWTTLTLGLPSSTSEKREAVDQNPAPSMKKGPWEIKKRLTTSDLGNRCKLLARSLEKHVMPCLDEEFGQRVSSREGARVIVYDCDTNSNHQLILKYYKSSDSYVFGRKWGKFVRRRDLNMGDEIGLSWDVLNSRFLFSVLQRANQQPASSSSNSRTKHK >ONI08030 pep chromosome:Prunus_persica_NCBIv2:G5:13843473:13846448:1 gene:PRUPE_5G154400 transcript:ONI08030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPNSQTTQTPLIDASQTQTNPSDDDDAKLDHSLHSLETFLRLFGFCQYSFLSFSLSWLAFLLVGVALPVVLIETSYSSSSEKYQIKNFEFQILVSETLVAAISLVCISYNLRKYGIRRFLFVDRYHGHKLQYREEYIQKINNFFRLLAVCVLPCLLLKTAREVTRVIYVHYDSWWKSVLALLALLVSWTFSTIIFLSGSALFNLVCSLQVIHFENYGKLLESDMDVSAYIEEHTRLTHYLSKISHRFRIYLIFQILVVTGSQFVALLQTTGNHRIVNLINGGDFAVASIVELVGLIICLHGATKISHRAQGLASVTSRWHAVVTCGSNDASQSRFDNSTGNLEIAYSAGSLPITYSESDLESVDYVPVPTSKQIASDKSLYQKRQAFVTYMQSNPGGLTIYGYTVDRALIGTIFFLEFSLALFVLGKTITFTTT >ONI09244 pep chromosome:Prunus_persica_NCBIv2:G5:17443831:17445975:1 gene:PRUPE_5G226300 transcript:ONI09244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSSKVFVLLFLGIQVVLVTCIQFEVGDKTYGWEVPKTEYYQQLVYNEWASKKRFNVDDTLYFGYDAFADSVLVVSKEDYEKCHSDRPIYYSNDGHTVVTLDRPGLFYFMSGVAEHCEKGQKMVVKVLEPAGVETPPADADQSENQNSSESLPQHKNNNNNTTGALAAISSTTIIFCIMTSLLGLFFF >ONI07869 pep chromosome:Prunus_persica_NCBIv2:G5:13294928:13295387:-1 gene:PRUPE_5G143900 transcript:ONI07869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWKSILWGRVVIEDGLIWRVGNGASIRIFQDRWIPKPFTFKPLLARGLDWNTTVSDLLTASGSWDLPLLEQHFSLEDQDIISSIALGSVSQQGDSKYWFFSKNGKYTVNTGYRVAVFGCEFGSLQSPTKLKC >ONI08636 pep chromosome:Prunus_persica_NCBIv2:G5:15605693:15607877:-1 gene:PRUPE_5G190300 transcript:ONI08636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSSFAKTSKIKKSLRKIWAMEKSLQELGENEKYLAALLAQKIQLIDLKKLISKQLRLLRPSRADSRKHGLPMVENASTTVNQGDDRSLFLMVTFIRGKYTNAIYEVKFKFGGEVDDMGAQVARVAKFSGSTHLGARLFDRSQLYVFSEEGWDKPCVDSFGGYIFDTKTRALDRLTPSTVQFKQHGTVVSAYGTLYFLETETEFVQGSGLFFGKCNPNKKDWVQMPSFPFSYKFTMAVTGYAVGYGVILYTLSDLHGNFDVVAFHVGRKNWKQVEIGTCTPFQGRAVVVGETIYALNRFKEDEIIAYSLRRKVVDDGDIAYSLVRQFKLNGLDIADPPLQFDGLVTDYLVHLGNQDFVHVKTGTNEECDEVQHLCITTFQIVQGMIETLHSTVLRVKIDVRNWFRLTLSFTPECGDYEPEESKSAASMKQPKQEDDTTLDENSLMHEEEAKHEVAFMHHEKANQTKPKNASGIIKNKRKRKSGWKEGLHVTKKKKVGLQVVENNL >ONI06971 pep chromosome:Prunus_persica_NCBIv2:G5:10291332:10295382:1 gene:PRUPE_5G092600 transcript:ONI06971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKWFRSILGLKKPDPTHQTSTSSPKPPTKDKRRWSFVKSYREKNHHRHQNDTPPLPSDSSNDAVVDPNKHAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCPSNPAAHVSTALIGVREEEWAALKIQAAFRGCLARRALRALKGLVRLQALVRGHIARKNNAARLQRLQAILRAQARARTGRSQISELSHSRTKSSQFHQPGPATPEKFEHPIRSRSTKLEQFSTLRRNISRSYGTTDDEKNDRVLEIDTGKPYIATKRRNLFHSTHLALASDQYSHSFTTSKDSTSHQTLPSPSSCEVQSLTPLKFSREVEEDSFCTANSSPQFYSTSSRGGNSKRSPFTPTRSDGSKSYLSGYSDYPSYMACTESSKAKLRSLSAPKQRPQYERSGSAKRYSIHGFGESKSSAQRASALHANFASKAYPGSGRLDKLGMPVGYRY >ONI06306 pep chromosome:Prunus_persica_NCBIv2:G5:5661093:5668906:1 gene:PRUPE_5G052400 transcript:ONI06306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNAPVARRTRLSEEKYWRKIWEKQGGKRKLRDGSDKKEKSRKGSKEWDCVSLDDSEENEEASVSPSVGGKDKKKSGKRKLHDGPNMKEKSRKGSKEWDCIYVDDSEENEEVSVSPSTKGKNKKKGGKRKSAEGSKKQRSGYDDSDDSVAASVEILSESSFGKQDNDSVGFDHVESAGSNGKNSKSGAVDIENLCPVDVEDSDGEVVYLGEEKVGGFGCSSTERSDASEDTASDGSDESWKSSSSEDAISDASDESEDESFEQEKSESSVFSEESNSCSGEGHDDEREVIKTSGKPRKGTSVEGDVEVKKGKDIDASEITKGSGKAGKGRSVEVGVRRKRRVGLDMSVDADDDIDSNKDDPIHSAQEFNSVAKRNRSRVSGRSEKKRMVNQRLCVDEDNEDSSSGHDNDNEDVDDANCSGPRTRCHKKKTGTDDDDRRNYESDKTYGGPSKWNGVHGVCKKNKRDIENLTNRMSMRAKKDCNAFKILVDSIYEKGEGTLEGSVSFGDEGPKDERNPPESEMTTLPLKFTFPWEQSNVPKKSECDPEEKELWDDLEFALRASEIESPDSNVVENQDSLPIANEVETVASLCRRGVHQLILDEEIGLLCKFCSYIDQEIKYILPDFLDCPYGRFDKRGSETDNRSIFDELQSHVSDSDRHSGCNSHPHVEGTVWDLIPGVKSSMYPHQREGFEFIWNHIAGGIHLDKLKKPSSVGGNGCIISHAPGTGKTRLTIVFLQTYMELFPDCRPLLIAPRSMLLTWEEEFKKWKFDITFHNLNNLELSGEENQTAVNLVMQAQGRRSVNKENRRMLKLYSWKKNRSILGITYRLFEQLSGAQKTGSVDEMGKILLEFPGLVVFDEGHTPRNDQSHMWKALSEIKTKSRILLSGTPFQNNFQELFNTICIVRPTFAASIDSTKFNKDLPKKRGRKSNGEKSKWTFVASSSGKVADDKEKHATEVKARIAPFVHVYKGSVLQDSLPGLRNSIVVLHPTPLQVKFHKRIQGVKELFRYENLEALICIHPSLLLKDKEDAFSADRGRLEELKLNPDAGVKAKFVMELIRLSDAMKERVLVFSQYLDPLILIRDLLKSLFQWTEGEEVLYMDGKCDMKQRQSSMKVFNDPSSNAKVLLASTKACSEGISLVGASRVVLLDVAWNPSVERQAISRAYRLGQKKVVFVYHLLMDGANEEDKYSRQVDKSRLSELVFSDSDKKALEKEIRATVSEDKILEEMAQHEKLKHIFKSIALLHEDIYFEQLGQSTFG >ONI08056 pep chromosome:Prunus_persica_NCBIv2:G5:13923161:13925285:1 gene:PRUPE_5G155900 transcript:ONI08056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFKSLGFSGASLAKMLNKHPLVLESDAQTVFELFRSHGFSEKDIKTLTMRLPKLFIYDAKKTIKPKIEFLKSLGLSELYIAKLLSNEPYVLARSLENHIIPSVQALVRFLGSDVSASKAIKGCHRMLEYNFEKVLDPNVSIFRSHGVPESLIVKMFLINPRTLLLKGSRVSEIIGDVKELGFDPNKMLFALAVCTMARMSKACWEQKLETYRSLGLSKDEIYSAFRKQPMCMINSVKKIRKLMPFFMNKLNLKPSMISKNPDILLFSLEKRIIPRCSVLQLLLSQGFIKEDVKFPHVLKMTNKNFRRRILSKYEAVVPDIVKAYKGKIEFQGFSSSFNV >ONI08057 pep chromosome:Prunus_persica_NCBIv2:G5:13923161:13925292:1 gene:PRUPE_5G155900 transcript:ONI08057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFKSLGFSGASLAKMLNKHPLVLESDAQTVFELFRSHGFSEKDIKTLTMRLPKLFIYDAKKTIKPKIEFLKSLGLSELYIAKLLSNEPYVLARSLENHIIPSVQALVRFLGSDVSASKAIKGCHRMLEYNFEKVLDPNVSIFRSHGVPESLIVKMFLINPRTLLLKGSRVSEIIGDVKELGFDPNKMLFALAVCTMARMSKACWEQKLETYRSLGLSKDEIYSAFRKQPMCMINSVKKIRVH >ONI08748 pep chromosome:Prunus_persica_NCBIv2:G5:16005836:16012713:-1 gene:PRUPE_5G198700 transcript:ONI08748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEAEPSSSGFGTDVPGDVDDYISANEGEPSLPWDIFSHVFDLVKNGNQAFRENRFEEAINCYSRANNVKPCDPVILGNRCAAYIRISKFLRHIPASASEYRALNGLDPTTHAELERYDMARDVILSGLQVDPFSNPLRECFQNLERIQANFTGRRSHRKAERSDDFDCTLCLKLLYEPVTTPCGHSFCRSCLFQAMDRGNKCPLCRTVLFISPRTCAISVTLNDIIQKNFPEEFAERKLENDTMTNFGVDLMPLFVMDVVLPFQKFPLNIFEPRYRLMVRRIMEGNRRMGMVIIDSSTGSIADFACEVEITECEPFPDGRLYLEIESRRRFRIIRSWDQDGYRVAEIEWVHDIYPSEGSRERIDLQELTNNAAEYARLWIGRGKRAARQDRRRLERLLGMEAMMPSLQDPECFSFWLASLSNRRPNERLDLLRLRDTRERIQRGLIYLRAEEQGCQVQ >ONI08749 pep chromosome:Prunus_persica_NCBIv2:G5:16005812:16013163:-1 gene:PRUPE_5G198700 transcript:ONI08749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEAEPSSSGFGTDVPGDVDDYISANEGEPSLPWDIFSHVFDLVKNGNQAFRENRFEEAINCYSRANNVKPCDPVILGNRCAAYIRISKFLRHIPASASEYRALNGLDPTTHAELALKDVEKLMNLRSNSEKAFILKTEALVLLERYDMARDVILSGLQVDPFSNPLRECFQNLERIQANFTGRRSHRKAERSDDFDCTLCLKLLYEPVTTPCGHSFCRSCLFQAMDRGNKCPLCRTVLFISPRTCAISVTLNDIIQKNFPEEFAERKLENDTMTNFGVDLMPLFVMDVVLPFQKFPLNIFEPRYRLMVRRIMEGNRRMGMVIIDSSTGSIADFACEVEITECEPFPDGRLYLEIESRRRFRIIRSWDQDGYRVAEIEWVHDIYPSEGSRERIDLQELTNNAAEYARLWIGRGKRAARQDRRRLERLLGMEAMMPSLQDPECFSFWLASLSNRRPNERLDLLRLRDTRERIQRGLIYLRAEEQGCQVQ >ONI08513 pep chromosome:Prunus_persica_NCBIv2:G5:15248376:15249225:1 gene:PRUPE_5G182900 transcript:ONI08513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSSPSLLLFCLTVSLLLLLLPLPSHCADPDPLQDLCVADLSASISANNFPCKPLSEVTSDDFVFDGLRKQANFTDAFGVTVTTGNVLSFPGLNTLGIAMNRVDIEPGGINPPHSHPRASEIGIVIEGKILAGIVTTENVYYSKVLTAGQVFVIPRGLVHFQLNVGRKKALTFTAFNSHLPGSTVLPLNLFAAAPSIPVEVLTKTFLVDEDAINNIKSKFVF >ONI05306 pep chromosome:Prunus_persica_NCBIv2:G5:233907:236449:-1 gene:PRUPE_5G000800 transcript:ONI05306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSVSLGLSASPVRVVKCSLVRAPSTTVNDPNSMAAAGPKWAQKTITLPPQRRGCHLITPKIVKEIGQELSDFNCGLAHLFLQHTSASLTINENYDSDVRDDTETFLNRIVPEGTSAPWKHTLEGPDDMPAHIKSSMFGCTLTVPITNGKLNMGSWQGIWLCEHRDYPTARKVVVTLNGI >ONI06164 pep chromosome:Prunus_persica_NCBIv2:G5:4889887:4890627:1 gene:PRUPE_5G044800 transcript:ONI06164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACSRKRKRMSHSGGAMKLMMVMMAMALLTTSHGIGYHINTSVVTGGYSASLCNGLTYTACRIAHSELDFDLEFILDLEFSLRILKVKGDSSNPTTIIKTTRKGCVLQPSW >ONI06914 pep chromosome:Prunus_persica_NCBIv2:G5:9952578:9953729:-1 gene:PRUPE_5G088800 transcript:ONI06914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYLEDQDDDGGTSDLSTSTGDPEDNNKNNGNRVVSTQPNFDETTAFQQLKEEPIDSDPPPQAHSIGMVPVAMQMPMSVAVPVSNPTRRASTKDRHTKVEGRGRRIRIPATCAARIFQLTRELGHKSDGETVRWLLEHAEQAIIEATGTGTVPAIAVSVGGTLKIPTTASTSADDNSSPSSTTKKRKRPSNSEFVDLKNDAVSQSSGLAPVGPAAPQGLVPVWAVGGAGLMVPANAFWMGPVGSGAGPSGPQPQIWALSPTVTPVFNVAGATRPISSFVANNGGGVEVRAPSPALSNSAASTSTVGPRAAKRSSTTMAPSVSSSSNNSNGSGSGASKAQMLRDFSLEIYDKQELQFMGRPVGPPTTHQHQTQ >ONI09267 pep chromosome:Prunus_persica_NCBIv2:G5:17497597:17497912:-1 gene:PRUPE_5G227500 transcript:ONI09267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRCKIDDMGGRDGPKLRRLGFMARRAYEPSSSPLVFASRGSARNLHEIFESVASKKFVHANRRRRP >ONI08052 pep chromosome:Prunus_persica_NCBIv2:G5:13913089:13916594:-1 gene:PRUPE_5G155700 transcript:ONI08052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAFKSTTKRTPIGASKAPAEDSASSNRKSSHRRSRSLSCFSRGLPERTPTAEGFDDNPAPKGRFVNTVRGSGFPEISLDDLAIELFDSSGDRGRSVARGSEATPTSSASQRRGRSVSRHGSRVGGGGDVRGSTSNNSGGGRVISESKGNSRPRRSVSVARYQISDYESDLDHIQNRSTAKSKNLSSANNQTPLSRKSTDSNYRQGLRRSLSQKDFKCHDGYSSQSSVVTDDEGRDAYSNKNGVEKTIRAVYSEKKANGKTKPTVSADGDLRSNSKDVLQAVSSIRMNYSSKLEQSEKRKQDLLAEIVLEEQHSRELSKIVKELLPEPKNIVGADKPLRTRRRSNDRGRVSKRLTEEAERYIEDFISNVEDTDISSIDGERSDTSSSIGGITKTETFQNPAMSTPLPVAMDGVVLPWLQWETSNDATPIGCKNKTEPPEIPSILPWDNTAQGVISAQEQSNQSASSRGSWSPGIIDALSINIMEDAGSKTGGSGSYECQSRLSGSTGSCFDMEEYLHLKKNDDLLLERLKQQQRISSGGLLLCNRMLF >ONI08053 pep chromosome:Prunus_persica_NCBIv2:G5:13913268:13916265:-1 gene:PRUPE_5G155700 transcript:ONI08053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAFKSTTKRTPIGASKAPAEDSASSNRKSSHRRSRSLSCFSRGLPERTPTAEGFDDNPAPKGRFVNTVRGSGFPEISLDDLAIELFDSSGDRGRSVARGSEATPTSSASQRRGRSVSRHGSRVGGGGDVRGSTSNNSGGGRVISESKGNSRPRRSVSVARYQISDYESDLDHIQNRSTAKSKNLSSANNQTPLSRKSTDSNYRQGLRRSLSQKDFKCHDGYSSQSSVVTDDEGRDAYSNKNGVEKTIRAVYSEKKADHPVGNDVKSGFYEAMRKELRHAVEEIRTELELANGKTKPTVSADGDLRSNSKDVLQAVSSIRMNYSSKLEQSEKRKQDLLAEIVLEEQHSRELSKIVKELLPEPKNIVGADKPLRTRRRSNDRGRVSKRLTEEAERYIEDFISNVEDTDISSIDGERSDTSSSIGGITKTETFQNPAMSTPLPVAMDGVVLPWLQWETSNDATPIGCKNKTEPPEIPSILPWDNTAQGVISAQEQSNQSASSRGSWSPGIIDALSINIMEDAGSKTGGSGSYECQSRLSGSTGSCFDMEEYLHLKKNDDLLLERLKQQQRISSGGLLLCNRMLF >ONI08438 pep chromosome:Prunus_persica_NCBIv2:G5:15038777:15040684:1 gene:PRUPE_5G178100 transcript:ONI08438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAAATACKFGGRQINPTLFAIPLYLQLKLHHNQPQTFTSAAPIHQPGFKSAIRAISGAAADPITPPKKGDDQEPTPQNWKIKMLYDGDCPLCMREVDMLRERNKLYGTIKFVDISSDDYSPEENQGLDYKTVMGNIHAILSDGTVVTDVEAFRKLYEQVGLGWVYAVTKYEPIATIAGAIYGVWAKYRLQITGRPPLEEVLELRKKKGEVCKDGNACKLPE >ONI09543 pep chromosome:Prunus_persica_NCBIv2:G5:18293784:18298494:-1 gene:PRUPE_5G244000 transcript:ONI09543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQQQHQLEQDDQLEYQRDHHASEAGLVQGLRKDDLELEALDPKKTTTYEEEEEKENLGVEEELSVERIFEQQIVPSWKEQLTVRAFFISFVLSVLFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRTWTKFLDRCGLLKQPFTRQENTVIQTCVVASSSIAFSGGFGNYLFGMSARIAQQSTDNSTSDIKDPGLLWFIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVKELGKFFSFSFLWGFFQWFYTAGSDCGFASFPTLGLKAYQNKFFFDFSATYVGVGMICPYIVNISVLLGGILSWGLMWPLIENRSGDWYSNELSPKSMNGLQGYKVLSRTLIALQSQLRGKDVNNILPIEDQVSPAVTSALSYDDQRRTQLFLKDQIPAWFAVGGYVSIAAVSIATVPHIFPQLKWYYILVIYLFAPSLAFCNAYGCGLTDWSLASTYGKVAIFMIGAWAGSAHGGVLAGLAACGVMMNIVSTASDLTQDFKTGYLTLASPRSMFVSQVIGTAMGCVISPCVFWLFYKAFNDLGTPRSAYPAPFAVVYRNMAILGVEGFKSLPKHCLLLCTVFFGAAILTNVIKDCIGKKRARFVPLPVAMAVPFYLGSYFAIDMCIGSLIVFLWERVNKAKADAFVPAVASGLICGDGVWTLPASILALAGVKPPICMRFLSRAENDRVTTFLTGKA >ONI09544 pep chromosome:Prunus_persica_NCBIv2:G5:18294027:18298393:-1 gene:PRUPE_5G244000 transcript:ONI09544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQQQHQLEQDDQLEYQRDHHASEAGLVQGLRKDDLELEALDPKKTTTYEEEEEKENLGVEEELSVERIFEQQIVPSWKEQLTVRAFFISFVLSVLFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRTWTKFLDRCGLLKQPFTRQENTVIQTCVVASSSIAFSGGFGNYLFGMSARIAQQSTDNSTSDIKDPGLLWFIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVKELGKFFSFSFLWGFFQWFYTAGSDCGFASFPTLGLKAYQNKFFFDFSATYVGVGMICPYIVNISVLLGGILSWGLMWPLIENRSGDWYSNELSPKSMNGLQGYKIFIAIAMILGDGLYNFLKVLSRTLIALQSQLRGKDVNNILPIEDQVSPAVTSALSYDDQRRTQLFLKDQIPAWFAVGGYVSIAAVSIATVPHIFPQLKWYYILVIYLFAPSLAFCNAYGCGLTDWSLASTYGKVAIFMIGAWAGSAHGGVLAGLAACGVMMNIVSTASDLTQDFKTGYLTLASPRSMFVSQVIGTAMGCVISPCVFWLFYKAFNDLGTPRSAYPAPFAVVYRNMAILGVEGFKSLPKHCLLLCTVFFGAAILTNVIKDCIGKKRARFVPLPVAMAVPFYLGSYFAIDMCIGSLIVFLWERVNKAKADAFVPAVASGLICGDGVWTLPASILALAGVKPPICMRFLSRAENDRVTTFLTGKA >ONI06209 pep chromosome:Prunus_persica_NCBIv2:G5:5027971:5030921:-1 gene:PRUPE_5G047400 transcript:ONI06209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVICLEEPYAEFRVQHELQVKVQLRVSNPACRVGKAVATGGRVATGDDAGVDVDANAMACGRALFELCRWIPTTTSPACETWPDKICSNSSTGANHTSLHMGCISLEWALLKKNSNPGNSWIGIWPQV >ONI09248 pep chromosome:Prunus_persica_NCBIv2:G5:17459855:17465655:-1 gene:PRUPE_5G226600 transcript:ONI09248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGLSNRNNGKRLGITEPISLGGPTEYDVIKTRELEKYLQDARLYESQEEAVSREEVLGRLDQIVKIWVKTISRTKGLNEQLVHEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELQRMLSEMPEVTELHPVPDAHVPVMKFKFSGVSIDLLYAKLSLWVIPENADEQTVRSLNGCRVTDQILRLVPSIQNFRTTLRCMRLWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLQVWDPRRNPKDKYHLMPIITPAYPSMNSSYNVSSSTLRIMLEEFQRGNEICEAMEANKADWDTLFESYDFFEAYKNYLQIDISAENADDFRKWKGWVESRLRQLTLKIERHTYGMLQCHPHPGDFSDKSRPFHSSYFMGLQRKQGVPVTEGEQFDIRATVEEFKQSVNLYTLLERGMEIRVSHVKRRNIPNFVFPGEVRPLRLSKVTWGSRRGSELKVSGDSQPDKLCEGKTDLDGSDGGQKRKRVDDNVETNSRYAKSLHLSSGEVHAASPPISNISSCSTKCESMDANKKVDDSIADSLEKIENPADIPYQNGQIEVSSRCKPPNDSLPAAANTSSSKEAEKMALGKNMAGPYVSHQALPELDELEDDSEHGHQVKDFSRNMKSSQMEPSEESVSVSAPVNSSNGAGPSTDSYNGGLEELEPAELMVPSSNGTPPEPVAQKKSIIRLNFTSLAKASGKSS >ONI09250 pep chromosome:Prunus_persica_NCBIv2:G5:17459971:17465047:-1 gene:PRUPE_5G226600 transcript:ONI09250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGLSNRNNGKRLGITEPISLGGPTEYDVIKTRELEKYLQDARLYESQEEAVSREEVLGRLDQIVKIWVKTISRTKGLNEQLVHEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELQRMLSEMPEVTELHPVPDAHVPVMKFKFSGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPSIQNFRTTLRCMRLWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLQVWDPRRNPKDKYHLMPIITPAYPSMNSSYNVSSSTLRIMLEEFQRGNEICECLQAMEANKADWDTLFESYDFFEAYKNYLQIDISAENADDFRKWKGWVESRLRQLTLKIERHTYGMLQCHPHPGDFSDKSRPFHSSYFMGLQRKQGVPVTEGEQFDIRATVEEFKQSVNLYTLLERGMEIRVSHVKRRNIPNFVFPGEVRPLRLSKVTWGSRRGSELKVSGDSQPDKLCEGKTDLDGSDGGQKRKRVDDNVETNSRYAKSLHLSSGEVHAASPPISNISSCSTKCESMDANKKVDDSIADSLEKIENPADIPYQNGQIEVSSRCKPPNDSLPAAANTSSSKEAEKMALGKNMAGPYVSHQALPELDELEDDSEHGHQVKDFSRNMKSSQMEPSEESVSVSAPVNSSNGAGPSTDSYNGGLEELEPAELMVPSSNGTPPEPVAQKKSIIRLNFTSLAKASGKSS >ONI09249 pep chromosome:Prunus_persica_NCBIv2:G5:17459971:17465047:-1 gene:PRUPE_5G226600 transcript:ONI09249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGLSNRNNGKRLGITEPISLGGPTEYDVIKTRELEKYLQDARLYESQEEAVSREEVLGRLDQIVKIWVKTISRTKGLNEQLVHEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELQRMLSEMPEVTELHPVPDAHVPVMKFKFSGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPSIQNFRTTLRCMRLWAKRRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLQVWDPRRNPKDKYHLMPIITPAYPSMNSSYNVSSSTLRIMLEEFQRGNEICEAMEANKADWDTLFESYDFFEAYKNYLQIDISAENADDFRKWKGWVESRLRQLTLKIERHTYGMLQCHPHPGDFSDKSRPFHSSYFMGLQRKQGVPVTEGEQFDIRATVEEFKQSVNLYTLLERGMEIRVSHVKRRNIPNFVFPGEVRPLRLSKVTWGSRRGSELKVSGDSQPDKLCEGKTDLDGSDGGQKRKRVDDNVETNSRYAKSLHLSSGEVHAASPPISNISSCSTKCESMDANKKVDDSIADSLEKIENPADIPYQNGQIEVSSRCKPPNDSLPAAANTSSSKEAEKMALGKNMAGPYVSHQALPELDELEDDSEHGHQVKDFSRNMKSSQMEPSEESVSVSAPVNSSNGAGPSTDSYNGGLEELEPAELMVPSSNGTPPEPVAQKKSIIRLNFTSLAKASGKSS >ONI08067 pep chromosome:Prunus_persica_NCBIv2:G5:13949297:13950081:1 gene:PRUPE_5G156600 transcript:ONI08067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEIFSLLQSSSIFKPTLVFIIFFIFLFKWFSSTPVSTNPSPSPPNLPIIGNLHQLGLYPHRSLQALSQPHGPLMLLHFGSVPALVVSSAEAAREILKTHDLTFSDRPKSTIFEASLQLQRRLHGAVW >ONI07778 pep chromosome:Prunus_persica_NCBIv2:G5:13052153:13054939:-1 gene:PRUPE_5G139100 transcript:ONI07778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVRAGVVPVLFLLVACFSFQQLFASDDTVFYDSFDETFEGRWTVSSKDDYQGVWTHSKSEGHEDYGLLVSEKAKKYAIVRELEKPVSLKDGTVVLQFETRLQNGLECGGAYLKYLRPQEAGWEPKIFDNESPYSIMFGPDKCGLTNKVHFIFKHKNPKTGEYVEHHLTTPPSVPSDKLSHVYTAILKPDNELRILVDGEEKRKANFLSADDFMPPLIPTKTIPDPEDKKPEDWDERAKIPDPNAVKPEDWDEDAPLEIVDEEAEKPEGWLDDEPEEIDDPDATKPEDWDDEEDGEFEAPKIENPKCVTAPGCGEWKRPMKRNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPSYFEADQPDFEPVAAVGIEIWTMQDGILFDNILITKDEKVAETYRETTWKPKFEVEKEKQKDEEDVQGSEGFLSSFQKKVFDLLYTVADIPFLSAHKSKIIDLIEKAEQQPNLTVGIIVSIAVIIFTVFIKLLFGGKKPAIVEKKTTGTADASSSEEKEEEKEKEGTSSENEKETAAAPRRRTVRREN >ONI07779 pep chromosome:Prunus_persica_NCBIv2:G5:13052301:13054921:-1 gene:PRUPE_5G139100 transcript:ONI07779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVRAGVVPVLFLLVACFSFQQLFASDDTVFYDSFDETFEGRWTVSSKDDYQGVWTHSKSEGHEDYGLLVSEKAKKYAIVRELEKPVSLKDGTVVLQFETRLQNGLECGGAYLKYLRPQEAGWEPKIFDNESPYSIMFGPDKCGLTNKVHFIFKHKNPKTGEYVEHHLTTPPSVPSDKLSHVYTAILKPDNELRILVDGEEKRKANFLSADDFMPPLIPTKTIPDPEDKKPEDWDERAKIPDPNAVKPEDWDEDAPLEIVDEEAEKPEGWLDDEPEEIDDPDATKPEDWDDEEDGEFEAPKIENPKCVTAPGCGEWKRPMKRNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPSYFEADQPDFEPVAAVGIEIWTMQDGILFDNILITKDEKVAETYRETTWKPKFEVEKEKQKDEEDVQGSEGFLSSFQVQLSAISVLFGFMAFI >ONI08611 pep chromosome:Prunus_persica_NCBIv2:G5:15534392:15535255:-1 gene:PRUPE_5G188900 transcript:ONI08611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGAMAAWMQFVAYKWLKMHRGCLEVAVSGSPNVTSNEGLGNVASKKLLACSRGIGITFTKERCEKLALNTFIFPSHSLIKKLGLFHVSQ >ONI08610 pep chromosome:Prunus_persica_NCBIv2:G5:15534189:15536072:-1 gene:PRUPE_5G188900 transcript:ONI08610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGAMAAWMQFVAYKWLKMHRGCLEVAVSGSPNVTSNEGLGNVASKKLLACSRGIGITFTKERCEKLALNTFIFPSHSLIKKLGLFHVSQ >ONI08612 pep chromosome:Prunus_persica_NCBIv2:G5:15534312:15536072:-1 gene:PRUPE_5G188900 transcript:ONI08612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWMQFVAYKWLKMHRGCLEVAVSGSPNVTSNEGLGNVASKKLLACSRGIGITFTKERCEKLALNTFIFPSHSLIKKLGLFHVSQ >ONI05352 pep chromosome:Prunus_persica_NCBIv2:G5:453806:456413:-1 gene:PRUPE_5G003300 transcript:ONI05352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRIILSIMLLSMVTPYFCVKEQLKNYSEFQQFMIVVVTCSVWILKREAYLYNKPEKEGFLAAMGAIWSSDTSPDSLSSDSTIPTDSHEADSPPVSSNPNLSHSDSKTPEDQTPKHTVDHQLDTKTLEEEKHQEDEGALEKEEEQEEGECGFCLFMKAGGCRESFIAWEKCAAESEMNEEDVAEKCFEVTAALKKCMQAHPDHYAPISRLEKAAEEETVKDSLEEEKALKSSEQNATLIHSNQHGNPSEKRDS >ONI09317 pep chromosome:Prunus_persica_NCBIv2:G5:17650362:17652085:-1 gene:PRUPE_5G231500 transcript:ONI09317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIWDRKREGCNSNTPQLNKTVELASSKQTHPLCRDENEMNKIHAGLRNTYMHLHTPDHPPYSQMIFKAINSLNYEEGGANKAAISANIKSEFHDLAWAHESLLSHHLGKLLERGELVTSPITGDYQLPKPMLLAHEDLDPSKHARSLGRRGRPPKPKRGNKENMTKRESLSMCEQRKKQGINKVSVEEDGNPKKRRGRPPKKLISDNHPLGSF >ONI09069 pep chromosome:Prunus_persica_NCBIv2:G5:16957487:16959457:-1 gene:PRUPE_5G215900 transcript:ONI09069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSLATLSRRLCRSLHSNPMNVLSMRFCTTTTTDLSSSSSDSSDSDESNPLNSEPNSPQDNVPPPQPPPEDSNRKNFFNDRPLENGLDVGIYKAILVGLVGQSPLQKKLKSGATVTMFSVGTGGIRNNRRPFNNEDPSEYANRCAIQWHRVCIYPDNLGMIAMKNALPGSRLYLEGNLETKIFTDPISGLVRRVREIAVRRNGRLVFLGKGDGAEQPPKWGLKSVGYY >ONI06379 pep chromosome:Prunus_persica_NCBIv2:G5:6207968:6209560:-1 gene:PRUPE_5G057200 transcript:ONI06379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSTPLNDLNMNLSIALPSTQINASPNHVFPNSSYLHGTASTFTEAMPNRVILLGGMVASRLTPTDHRHIAQRFDRVAVEESHALTMHNTALATKVGMWLLAKAQECAVLIEEVASLKAQVAESQRMLDYANRQIGALKKRNADYAELVQVLQEKANRDS >ONI05344 pep chromosome:Prunus_persica_NCBIv2:G5:401489:403076:1 gene:PRUPE_5G002600 transcript:ONI05344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYGSALMIEMDAIRTVLFACQHGGFSQVVVESNSQVSIKMVKGERVVAVEVDSILFDIQTAIREFQK >ONI08898 pep chromosome:Prunus_persica_NCBIv2:G5:16520774:16523606:1 gene:PRUPE_5G207000 transcript:ONI08898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLFSLFFFFLPLHLKIPSQSRTLIANNNQLLIKLSLSLGKLTLDTKKTKQRLSYLWDALKIEWKLWKERVGKETGLGWNSSKGTVDASDEWWNNKIQINAEYAKLRKKGINPEMEEKLDRMFMNTTATGEHAWAPSCGILPSETEEATMGDVIPLEGSDDSDEIIQAIKNATKKGKRRAPEQLNKKQQDKKGRKVGGAEKLAGQIDRLVGVVESRSTATSLMMKMQLGSSIPEVMEVVSSLPGCEPTSTLWMFATRLFLNQEKREMFSTMKTPNVKLAWLTYEFNNQ >ONI06173 pep chromosome:Prunus_persica_NCBIv2:G5:4954339:4955809:-1 gene:PRUPE_5G045300 transcript:ONI06173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEIWLFGRKKLIQNPAKASLSMYARQRMLMMSGFTDRHGSRIGMLHIFHIPTPL >ONI08849 pep chromosome:Prunus_persica_NCBIv2:G5:16366718:16370757:1 gene:PRUPE_5G203900 transcript:ONI08849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLAFAALLLLAMAGQSSANWCVCKEGLGDAVWQKTLDYACGAGADCNPIHSNGGCYNPNTVKAHCNYAVNSYFQKKGQAQGSCDFAGTANPVTSDPSITGCVYPSSASTAGTTTTPVTNTPGTPSTGTGTGTGTGTGTGTGTGTGTGTNTGTGTSTGTGTGTTTPTTSTTPTTGTTTPTTTTPYTATPGVLGGIGTGVGPSGAGINSDDSGVIRLVDASLFSSILTLFVSGLMLWWG >ONI08850 pep chromosome:Prunus_persica_NCBIv2:G5:16366718:16376724:1 gene:PRUPE_5G203900 transcript:ONI08850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLAFAALLLLAMAGQSSANWCVCKEGLGDAVWQKTLDYACGAGADCNPIHSNGGCYNPNTVKAHCNYAVNSYFQKKGQAQGSCDFAGTANPVTSDPSITGCVYPSSASTAGTTTTPVTNTPGTPSTGTGTGTGTGTGTGTGTGTGTGTNTGTGTSTGTGTGTTTPTTSTTPTTGTTTPTTTTPYTATPGVLGGIGTGVGPSGAGINSDDSGVIRLVDASLFSSILTLFVSGLMLWWG >ONI07050 pep chromosome:Prunus_persica_NCBIv2:G5:10613630:10617085:1 gene:PRUPE_5G097400 transcript:ONI07050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDATAPAPAPAPAPVPVPAQDQNPTPNANAAPISGWSNIVKKQAEPKPQTSESNATTQVLVESCKSSKGIAIAVVDANAIIQGGESLSHRADKLVSVPEVMDEVRDPVSRHRLAFVPFQVQTMEPSPEALNKVIKFARATGDLQTLSDVDLKLIALTYTLEAQIHGTENLRDCPPPVHTVNVRRLPEKDLPGWGNNVPNLEEWEALENAAEDNVNPSSRILPLKNISLNVMDSDSRSVDGSAVEVKSDAHSENQEDGLGIERRPRRNFPKKKEINIEGKKMVSDGIDASQGQFDDNAGDWMPAVSRSTHRRFLRRKARRESNEALSEKDAQQDAEENASGDILEEARGQDQSLPVDSKEACPENGISEASEMTKAKNGDEGLSSILKQTRLEEDELRTLQEGKELNDVEANDPKAEEATTDNNVNLDVEGGEVEMINEELDHLEISSQTNESVDTSNLNDDHSEQSWMLRSLSESSVACITSDFAMQNVILQMGLRLLAPGGMQIRQLHRWILKCHACNTVTGEIGKIFCPKCGNGGTLRKVAVTVGENGIVLAARRPRIILRGTRFSLPLPQGGRDAITKNLVLREDQLPQKFLHPKTKKKANKEGDDVFTTNDFIFCHHTDKKAPLQPPIRKALAVFSGRRNPNDNHYSPKHK >ONI05855 pep chromosome:Prunus_persica_NCBIv2:G5:3038577:3038860:1 gene:PRUPE_5G026800 transcript:ONI05855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIYGVGFKRHLPKRLLPTRTPAPHPLMNLMTIMLMFAPHIPTSNNSNTTYFFSELDTLRLIATSTV >ONI06372 pep chromosome:Prunus_persica_NCBIv2:G5:6129613:6130540:1 gene:PRUPE_5G056800 transcript:ONI06372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSSTTVLAFAFCFVSLLSFAYSNTTDDKIYLTGLVYCDNCQLKSMTEISKMIPGARVRLECREGGNIKSRREGETNPLGMYVFVLEKSKEPLDDCQVTVLHSPDPECKISNEVDPNNKSKTAPVATRKLNLLEGDSVVFIGPSHRVSYPLGLVVEKARPECKQFAQARKHFQN >ONI08599 pep chromosome:Prunus_persica_NCBIv2:G5:15495360:15497923:1 gene:PRUPE_5G188000 transcript:ONI08599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >ONI08598 pep chromosome:Prunus_persica_NCBIv2:G5:15495220:15497927:1 gene:PRUPE_5G188000 transcript:ONI08598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >ONI06609 pep chromosome:Prunus_persica_NCBIv2:G5:8369961:8373702:-1 gene:PRUPE_5G069800 transcript:ONI06609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQHQLLLAQQQQLLYQQLQALQQQEGFIAYQSNQSFCFRFQHPKQQQYHQQQQGYYQSNYANVYQQQQQQLLQQQQPYPPQPIQLSQSRLVQAPGIPVLPGLDPTEAVLSRLAQFSASRMGAVAERPLHQPVGQPPYSSGGERGGEPFKGHQGNFGYNHLRPSGAGPSFIGRPHGCGSGGPKHFSQHGFPSTSSHPESSVAPATLPPEKSESASALKTEVPNKTKVRNNQSPQVAWCEICKAACNSLEILENHKNGKRHKKNMKKMEKMKNAIRSGDELQGQQNRTSNSNLEVSHLTQCAQDGEQNKPTENLPTEAVNHVKSAETEQQNNIPGQQHGPKRKKKHKITSETETKKIVIPLICDICNVKCDRQGIFDHHLSGKKHVANFKRFKSSQAICGPVGLQALYPPNPIAQTIFHPQEGKYMPPHVYQEVPVETSSSP >ONI07279 pep chromosome:Prunus_persica_NCBIv2:G5:11538502:11539141:-1 gene:PRUPE_5G111100 transcript:ONI07279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNKGLVSALFLFFLLNATIASPTPKPHIKPRPPPHHPCRHLTFYFHDIIFNGKNSKNATAAIVGSPPWGNRTILAGQSHFGNIVVFDDPITLDNNLHSAPVGRAQGFYIYDRKDIFTAWLGFSFVFNSTQHRGSINFAGADPLMNKTRDVSVIGGTGDFFMTRGVATLETDAFEGEVYFRLRVDIKLYECW >ONI08248 pep chromosome:Prunus_persica_NCBIv2:G5:14436825:14437654:1 gene:PRUPE_5G167000 transcript:ONI08248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLPNMFSLTKANYALVCCLGFMSAVSFDASIVFHPRLAAGIFQAGRLLITIGLVVQTENATTHISMWSFNLNESKHVSVSHKSGGYWVFKDWREHQYSCQESAYFIYKLCCSSTFNVFQCSICRLYISQ >ONI08596 pep chromosome:Prunus_persica_NCBIv2:G5:15486902:15490710:1 gene:PRUPE_5G187800 transcript:ONI08596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALGKSNPHGGSEKEEKRLVLESSSLADHDDQEAAGSKEDTLLKVGNGGSCQENNEMKSSSPTQKDLSSSKQISTTSNTKVEPDHSMASTSSSRKEQDYQLESARAEMGEVREENQRLKKYLDRITDEYQTLQMQLYDIQEKANKSKDVTIASPNNIYNHQDDESEMVSLSLGSFSSRPKKDEKNKNRSTSSQGKENESDRESLSLGLDCKYEAPKSSATTTEVPLSNSSPASSLEEVPKEEAGQTWPPKKVLKTARSAEDEVAQQNPVKKARVSVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTIAPSCPVRKQVQRCAEDMSILITTYEGTHNHPLPMSATAMASTTSAAASMLLSGSSSSARSGLNPSVGAATTAAHDLHGYNFYLSDNSKSRFFIPNSSLSSSLPTITLDLTSNPPPPSSSSNPLSHFNKFSSSSNSSHQLYPPTSLNFGSNSESNTNMSWSNGFLSYGAQLPPHNINNKNQIGSLSSHGIRQQQQPLQNNIYQNYMHKNNLNPTPPPHPSQGASPHQFQPDPIAAATKAITADPSFQSALAAALSSIIGSNSNVGTGGTTGMLGNNNNQAGGGDNINMAQKFKWGDQFAGSTTTSSSPYLQTQIGNNSTIGCASSYLNKTTSANSQPGSLMFLPPSLPFASAPKSASTSPGDTRDHKSL >ONI06909 pep chromosome:Prunus_persica_NCBIv2:G5:9927632:9934363:1 gene:PRUPE_5G088300 transcript:ONI06909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPVYTESLSFGGSEHGQGQQVLPFQAANGSLKVSLLHGNLAIWVMKAKNLPNMDMLHKTLGDVFLRLPSRLSSKSEGKTSDKITSDPYVTISVAGAVVGRTFVISNSENPVWEQHFDVPVAHYASEVHFVVKDSDVVGSQIIGAVGIPVERLVSGMKVEGTFPILNASGKICKPGATLTLSIQYTPIENLTFYNQGVGSDPDHQGVPGTYFPLRRGGRVTLYQDAHVHDGCLPNLELDGRVQYEQGNCWQDMFDAISQARRLIYITGWSVYHKVRLVRDKDETTDYMLGDLLKTKSQEGVRVLLLVWDDPTSRSILGYKTEGVMQTYDEETRRFFKHSSVQVVLCPRSAVKGSWVKKQEAGTIYTHHQKTVLVDADAGHSKRKIIAFVGGLDLCMGRYDTPEHSIFRSMKTVHKDDCRNPTFSERAVGCPRQPWHDLHSKIDGPAAYDVLTNFEERWLKASKPHGLQKLKTPHDDALLRIERIPEIIGIADVPCLSGDDLEAWDVQVFRSIDSNSVKGFPKDSKYAASMNLVCGKHVLIDMSIHAAYVKAIRAAQHFIYIENQYFLGSSYNWNLYNDLGANNLIPMEIALKIVNKIKANERFCVYIVIPMWPEGVPTSTPIQRILFWQHKTMQMMYEMIFKALKEVGLDNKYCPQDYLNFFCLGNRETLSGEDDSAAKANKKEANTPQALTRKYRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPQHTWASKRSSPHGQIYGYRMSLWAEHIGSLEECFKQPESIECMRRVRSLSEQNWGQYVADEITEMKGHLLKYPVEVDQTGKVKALPGCETFPDVGGNILGAFTAIQENLTI >ONI06908 pep chromosome:Prunus_persica_NCBIv2:G5:9927632:9934363:1 gene:PRUPE_5G088300 transcript:ONI06908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPVYTESLSFGGSEHGQGQQVLPFQAANGSLKVSLLHGNLAIWVMKAKNLPNMDMLHKTLGDVFLRLPSRLSSKSEGKTSDKITSDPYVTISVAGAVVGRTFVISNSENPVWEQHFDVPVAHYASEVHFVVKDSDVVGSQIIGAVGIPVERLVSGMKVEGTFPILNASGKICKPGATLTLSIQYTPIENLTFYNQGVGSDPDHQGVPGTYFPLRRGGRVTLYQDAHVHDGCLPNLELDGRVQYEQGNCWQDMFDAISQARRLIYITGWSVYHKVRLVRDKDETTDYMLGDLLKTKSQEGVRVLLLVWDDPTSRSILGYKTEGVMQTYDEETRRFFKHSSVQVVLCPRSAVKGSWVKKQEAGTIYTHHQKTVLVDADAGHSKRKIIAFVGGLDLCMGRYDTPEHSIFRSMKTVHKDDCRNPTFSERAVGCPRQPWHDLHSKIDGPAAYDVLTNFEERWLKASKPHGLQKLKTPHDDALLRIERIPEIIGIADVPCLSGDDLEAWDVQVFRSIDSNSVKGFPKDSKYAASMNLVCGKHVLIDMSIHAAYVKAIRAAQHFIYIENQYFLGSSYNWNLYNDLGANNLIPMEIALKIVNKIKANERFCVYIVIPMWPEGVPTSTPIQRILFWQHKTMQMMYEMIFKALKEVGLDNKYCPQDYLNFFCLGNRETLSGEDDSAAKANKKEANTPQALTRKYRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPQHTWASKRSSPHGQIYGYRMSLWAEHIGSLEECFKQPESIECMRRVRSLSEQNWGQYVADEITEMKGHLLKYPVEVDQTGKVKALPGCETFPDVGGNILGAFTAIQENLTI >ONI06074 pep chromosome:Prunus_persica_NCBIv2:G5:4332075:4333577:1 gene:PRUPE_5G038600 transcript:ONI06074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKQKRETLKEDEPWLVEKSFLVAGREWVRGRILGQGGFGSVYLASVKKPKLGREGFPAIMAVKSALMAKSFELVSERSLLGEFRGCPFVIDCYGEDFTAGIGYNLVYNVFLEYADGGTIGDLIKQSGGSGLCELQVRKYTESILKGIQYIHERGFVHCDLKPENILLVTSGSGFVPKIGDLGLAKRAAGERSCRGTAMYLSPETVLDSIQEKPSDIWALGCVVLKMLTGRHPWDAKAGLKLHDLKPLIASGVPKVPGGLSKDARDFLKNCFARNPSQRLTAAKLLEHPFVTKVDEIAQVKAEPIKEVSSVSSSSNCSLDYGSFIPLGSWSSENAEEMEQQILPLAILYPRDSRPVIPNTGCQKPSAFAIMGAA >ONI06403 pep chromosome:Prunus_persica_NCBIv2:G5:6318212:6321163:-1 gene:PRUPE_5G058500 transcript:ONI06403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFTSFFDSQTSSRNRWNFESLKNFRQISPVVQNHLKLVYLTLCCTLIASAAGAYLHILWNIGGIVTLLGCVGCMIWVLATPHYEEKKRVSLLMAAGLLEGATLGPLIDLAIKINPSVLISAFGGTALAFGCFSAAAMLAKRREYLYLGGLVSSGLSMLLWLQFASSIFGGSAAMYKFELYFGLLIFVGYMVVDTQEMIEKAHNGDLDYVKHAMTLFSDFIAVFVRVLIIMLKNSIEKNEKKKKRRD >ONI07226 pep chromosome:Prunus_persica_NCBIv2:G5:11306023:11310514:-1 gene:PRUPE_5G107100 transcript:ONI07226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESVVDDLIRRLVSAKNGRTTKQVQLTEAEIRQLCSASKEIFLSQPNLLELEAPIKICGDVHGQFSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLSYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRIWKTFTDCFNCLPVAALIDEKILCMHGGLSPDLKNLDQIRNIARPVDVPDQGLLCDLLWADPDKDVEGWGENDRGVSYTFGADKVAEFLQKHDLDLICRAHQVVEDGYEFFGKRKLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKASEKKGKLGVGNNMLRPGTPPHKGKG >ONI06619 pep chromosome:Prunus_persica_NCBIv2:G5:8474980:8476076:-1 gene:PRUPE_5G070500 transcript:ONI06619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRYEILAGASLQAITTHGQPYDYLQYVLQWQKTKCLKVGKRCTPYEKFTTHGLWPTNLSKILTCKSASKFSSTMMKKSWPNLEQRVAQGKDNDMWFWAMEYEKHGICAKFSSQNTYLSKACDLWEENKIKEIFEHHKIFPTNATSYKDVLLMNAIEMETRSSPLLLCHRVNGDYLLWEVVLCYDDTAKKRMNCSDQSARQTNCGTDIYYK >ONI06620 pep chromosome:Prunus_persica_NCBIv2:G5:8475212:8476038:-1 gene:PRUPE_5G070500 transcript:ONI06620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRYEILAGASLQAITTHGQPYDYLQYVLQWQKTKCLKVGKRCTPYEKFTTHGLWPTNLSKILTCKSASKFSSTMLQNNASLLSKMKKSWPNLEQRVAQGKDNDMWFWAMEYEKHGICAKFSSQNTYLSKACDLWEENKIKEIFEHHKIFPTNATSYKDVLLMNAIEMETRSSPLLLCHRVNGDYLLWEVVLCYDDTAKKRMNCSDQSARQTNCGTDIYYK >ONI07382 pep chromosome:Prunus_persica_NCBIv2:G5:11848886:11851208:1 gene:PRUPE_5G116400 transcript:ONI07382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSFLFGGPIIKWARTQIAFTEKRQRATMEKNKISRVCVTGGAGFIGCSLVKKLLEQGHTVHATLRNLEDASKVGLLKSLPNADTKLLLFQADIYDPNEFQPAIQGCEFVFHVATPMQHNNQSSQYKDTAEAAVAGVRIIAESCIRSQTVKRLIYTASILAASPRREDGVGFKPCLDESCWTSLDVSPTYSTEFSMGYIISKTLAERAVLSYNDIDNGKLEVVTLPCGLVGGETLLSYLSGSVGVVISQLTGDSFGYNSLKLMQEVNGSVPLVHIEDVCQAHIFCMEQPSMRGRFCCAVSSLNIKEIASYFQENYPEHKIAKEFIEGPEEGSKCDFSKLMKMGFEYKYGVKNILDDSVESGRRLSRSSHSQLI >ONI08845 pep chromosome:Prunus_persica_NCBIv2:G5:16359620:16365106:-1 gene:PRUPE_5G203800 transcript:ONI08845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKRHQMMQNLFGDQSEEEEEDEGEGALEPEGEGEVEGQGEVEVESDAEQRDVNPDPGESEGEREQSSQEVEIGDQREESDGKYTDTDEKEELTSRRRNVIESGSERSEENHYPDNEDDEVNQARSPRSPDEEKDHTHIAHSAVRNVFGDSDEEEPTDYAVQNDIEHEPNRSPLEEEGSYGKSPRLEDVVADEDARYDSEEDNIEAKPREKPVGPPLELEIPLRPPPAHPEKMNMIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSKKRIRLENNIVRWRRVRNPDGTTSCESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQAHLYLRHEKGILQSQGRVLKKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCVTDIDPEREKEEKERAESQTIRANLILNRKREKVSRKYTPTVDRRRQLSPGFLEDALDEDDEPDYYESRHSRRHIDEDLEMEARAEKRILNAKKGTKDIPRKSSLPTAKSSRRSMDFSDSERGESEYETDGEEDEISPPRKRAEDPEPEYEDEEEEEEEEEHEEAEVNEASDEEEAEEPKQKARESGHSHKRKEIESDEDSPPRKAAPHRRMAVVYDSDED >ONI08846 pep chromosome:Prunus_persica_NCBIv2:G5:16360124:16364777:-1 gene:PRUPE_5G203800 transcript:ONI08846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKRHQMMQNLFGDQSEEEEEDEGEGALEPEGEGEVEGQGEVEVESDAEQRDVNPDPGESEGEREQSSQEVEIGDQREESDGKYTDTDEKEELTSRRRNVIESGSERSEENHYPDNEDDEVNQARSPRSPDEEKDHTHIAHSAVRNVFGDSDEEEPTDYAVQNDIEHEPNRSPLEEEGSYGKSPRLEDVVADEDARYDSEEDNIEAKPREKPVGPPLELEIPLRPPPAHPEKMNMIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSKKRIRLENNIVRWRRVRNPDGTTSCESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQAHLYLRHEKGILQSQGRVLKKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCVTDIDPEREKEEKERAESQTIRANLILNRKREKVSRKYTPTVDRRRQLSPGFLEDALDEDDEPDYYESRHSRRHIDEDLEMEARAEKRILNAKKGTKDIPRKSSLPTAKSSRRSMDFSDSERGESEYETDGEEDEISPPRKRAEDPEPEYEDEEEEEEEEEHEEAEVNEASDEEEAEEPKQKARESGHSHKRKEIESDEDSPPRKAAPHRRMAVVYDSDED >ONI08848 pep chromosome:Prunus_persica_NCBIv2:G5:16360124:16364777:-1 gene:PRUPE_5G203800 transcript:ONI08848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKRHQMMQNLFGDQSEEEEEVDSEHESNPQPNYPSDEGEGALEPEGEGEVEGQGEVEVESDAEQRDVNPDPGESEGEREQSSQEVEIGDQREESDGKYTDTDEKEELTSRRRNVIESGSERSEENHYPDNEDDEVNQARSPRSPDEEKDHTHIAHSAVRNVFGDSDEEEPTDYAVQNDIEHEPNRSPLEEEGSYGKSPRLEDVVADEDARYDSEEDNIEAKPREKPVGPPLELEIPLRPPPAHPEKMNMIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSKKRIRLENNIVRWRRVRNPDGTTSCESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQAHLYLRHEKGILQSQGRVLKKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCVTDIDPEREKEEKERAESQTIRANLILNRKREKVSRKYTPTVDRRRQLSPGFLEDALDEDDEPDYYESRHSRRHIDEDLEMEARAEKRILNAKKGTKDIPRKSSLPTAKSSRRSMDFSDSERGESEYETDGEEDEISPPRKRAEDPEPEYEDEEEEEEEEEHEEAEVNEASDEEEAEEPKQKARESGHSHKRKEIESDEDSPPRKAAPHRRMAVVYDSDED >ONI08847 pep chromosome:Prunus_persica_NCBIv2:G5:16359620:16365106:-1 gene:PRUPE_5G203800 transcript:ONI08847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKRHQMMQNLFGDQSEEEEEVDSEHESNPQPNYPSDEGEGALEPEGEGEVEGQGEVEVESDAEQRDVNPDPGESEGEREQSSQEVEIGDQREESDGKYTDTDEKEELTSRRRNVIESGSERSEENHYPDNEDDEVNQARSPRSPDEEKDHTHIAHSAVRNVFGDSDEEEPTDYAVQNDIEHEPNRSPLEEEGSYGKSPRLEDVVADEDARYDSEEDNIEAKPREKPVGPPLELEIPLRPPPAHPEKMNMIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSKKRIRLENNIVRWRRVRNPDGTTSCESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQAHLYLRHEKGILQSQGRVLKKMRFMPSSLSSNSHRLLTALVDSRHKKVYKVKNCVTDIDPEREKEEKERAESQTIRANLILNRKREKVSRKYTPTVDRRRQLSPGFLEDALDEDDEPDYYESRHSRRHIDEDLEMEARAEKRILNAKKGTKDIPRKSSLPTAKSSRRSMDFSDSERGESEYETDGEEDEISPPRKRAEDPEPEYEDEEEEEEEEEHEEAEVNEASDEEEAEEPKQKARESGHSHKRKEIESDEDSPPRKAAPHRRMAVVYDSDED >ONI07512 pep chromosome:Prunus_persica_NCBIv2:G5:12264718:12266330:1 gene:PRUPE_5G124600 transcript:ONI07512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALFNFNSFLTVVLLVICTCTFLKMQFPAVLEQKTGFRGFFWKAARIGERLSPWVAAGCFTMGISILFF >ONI09466 pep chromosome:Prunus_persica_NCBIv2:G5:18100144:18102311:-1 gene:PRUPE_5G240000 transcript:ONI09466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRGHWRPAEDEKLRELVERYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRNPFTEEEEERLLASHRIHGNRWAIIARLFPGRTDNAVKNHWHVIMARRCRERSRLHAKLIRTSSTTSTAVPQSSLTASNINIDIPKSFSKQDHLQINCDITRNLDAYNYFGKFYPPFTHNPPPPPFPREFYYPNTCVTMHQDHKDQPIEFYDFLQVNTESNGSEVIDNARKDEEEVDQEAVGQQISKAAGAPFIEFLSVNGSS >ONI08182 pep chromosome:Prunus_persica_NCBIv2:G5:14225058:14227897:-1 gene:PRUPE_5G162300 transcript:ONI08182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATSNLFKTLSIADSCLVSLPYLSSTKTANSFLSIPSKPIKLHLTYTHASHFSPPLSLKNKTQFSSIVSFVAQTSDWAQQEEDSTLTIDQDEGAESGEEGVFAEREEEANVEPPEEAKVFVGNLPYDVDSQKLAELFERAGVVEIAEVIYNRDTEQSRGFGFVTMSTVEEADKAVELFSRYDLNGRLLTVNRAAPRGTRQERTPRSFEPSFRIYVGNLPWNVDNGQLEQVFSEHGSVVEARVVFDRETGRSRGFGFVTMASENEMNDAIAALDGQSLDGRAIRVNVAEERQRRSPY >ONI08190 pep chromosome:Prunus_persica_NCBIv2:G5:14242211:14242914:-1 gene:PRUPE_5G162900 transcript:ONI08190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSELAYQRLRNEGVFDEEPEQNRAVIFKKTSGGLSKIRKLTTSRRRPRVRVLGLRRFLRRRARLFTRIKVSWSKALKRLKNGQAHMNDLFGGNYLFMQANPLPFKCGERPYNLGHGGGLHGSLPSRYSVGRMV >ONI06711 pep chromosome:Prunus_persica_NCBIv2:G5:8964128:8968485:1 gene:PRUPE_5G075700 transcript:ONI06711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFLAVFLVHICLFASFSSAEDPNIYHEFEVSYITASPLGVPQQVIAINGNFPGPTINVTTNNNVIVNVRNKLDENLLITWAGIQQRRSSWQDGVPGTNCPIPPKWNWTYNFQVKDQIGSFFYFPSLNLQRASGGFGGFIINNRAIIPIPFATPDGDITILIGDWYTRNHTALRKALDAGKDLGIPDGVLINGKGPYQYNSTLVPDGIDYATFEVKPGKTYRLRVHNVGVSATLNFRIQNHNLLLAESEGSYTVQQNYTSLDIHVGQSFSFLVTMDQNASSDYYVVASARFVNETHWKKVTGVAILHYTNSKGKAAGPLPEAPNDEFDKTYSMNQARSIRWNVSASGARPNPQGSFRYGSINVTDVYVLKNKPPVVINGRRRTTLSGISFVNPSTPIRLADWKKLKGVYKLDFPTRPLTGAPRLETSVINGTFRGFIEIILQNNDTKMQSYHVDGYAFFVVGMDYGDWTENSRGTYNKWDGIARSTVQVYPGAWTAILISLDNVGVWNIRAENLDSWYLGQETYIRVVNPEATNKTELPAPDNALFCGALSKLQKPEDISSATSIIINESKLFFTLLMIICTLIPIFY >ONI07811 pep chromosome:Prunus_persica_NCBIv2:G5:13134230:13134433:1 gene:PRUPE_5G141100 transcript:ONI07811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRRSGGQKSTVDPIKIASHLTVISDLHKSTHSVETGAQVNSQPISFWLRPKLPATYSVISLSLNE >ONI08779 pep chromosome:Prunus_persica_NCBIv2:G5:16112376:16121945:-1 gene:PRUPE_5G200400 transcript:ONI08779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGEAVDDEGSGWFEVKKKNRSSSKFSLQSWVGGFSGKNASNHSSSQTSSSENSGNSCGKRRSQLPKVRENYVVHSRGIDSIPVPNENKMGAPYINTGVIRQDTRCPKSPPFIKNSDGGTRDVEKIPAKDNSEVVHKIKWGDLEDEGLALPHANLVGTRIKFGAIGDDNLVASSEHGIVHNFASCANSQENTLVAESVDARIVSHQMFSVTAKDQLREDNCKEVNIISSQNAEEPILNGKKVDLDNNVSHCKDIHTEHIEEVVDDHLSARTLAGEEAGVVGKLQAPVILSEVGDPEIAEVSGKIGGSSEVHIAKDKGLVPTESDPEILGVSTFTASVEDHGDQQCGIIHDMSNSQNVSALGDDTGESKERFRQRLWCFLFENLNRDVDELYLLCELECDLEQMKEAILVLEEAASDFRDLSTRVEDFEKIKRSSSQLIDGVPVTLKSDHRRPHALSWEVRRMTTSAHKAEILSSSLEAFKKIQQERASMCAANDAKLLSPQYLNLRSGDKLNKPSAINDEKGNAKDSIKKSRKQSGGSDLGEADLNGGKWSTESSSKTNLVQTERAPKNSSTSVVNASRLPPRDNSVAGKTKSKQSGSEAERLLPKKEKLIIDGVVEKFPRLTDQSKKQIPLVEKDKGKRNSAPWKSMDAWKEKRNWEDVLSSPFRVSSRVSRSPGMRRKSADRARMLHDKLMSPEKKKKTALDLKREAEEKHARALRIKSELDNERAQKLHRNSEKVYRASEFHAVRNMKLREGIYARHQRSESRHEAFLAQVVKRAGDESSKVNEVRFITSLNEENKKLSLRQKLHDSELRRAEKLQVIRTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRRKEEAQVRREEERKASSAAREARAMEQLRRKEERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRNLNKEGQGRSSINSGDDYQSSSFSGLGGSTLVASNVTAQHSMKRRIKRIRQRLMALKYEFPEPPVGAENASIGYRTALGTARAKIGRWLQELQRLRQARKEGAASIGLIIAEMIKYLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVVLSVPANRSYFLAQNLLPPIIPMLSAALESYIKIAVSLNLSGNGNSLSSKTSAENFESISEVLDGYLWTVTTIVSHISSDEQQLQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSINLLVVLTSRSEMNCSIDWKYVPIETVVGNGSEEAKFPGGDSTEDLPLTQSLGDSRPPLSVQNGGTVVHLPDVPEDGPLDESCIINKSTEAVSTGKDSEKEQSNSLVEARNDNTIKTDLPDETQKFPSEDTLEPFASQKDGKHLVDNGAVQKNEIIVSLEQPVAFLLTAVSETGLVSLPSLLTSVLLQANNRLSSEQTSDVLPSNFEDVATGVLKVLNNLALLDIKFMQRTLARPDLKMEFFHLMSFLLSHCTSKWKVANDQVGFLLLESLLLLGHFALFHLGNQAVLRWGKSPTIIHKVCDLPFVFFSDPELMPVLAGTLVAACYGCEQNKGVVQQEISTDMLLSLLRSCRNILPAVRSNSNLDTFPADDVPLRSGRNNTKSTKVILGKGGGSGNSMRIGKMRSHRESKVTKSYEETALKHNLPVSETSSMMLHCRFPISFIDRAEDFFSSGTP >ONI08781 pep chromosome:Prunus_persica_NCBIv2:G5:16112695:16121410:-1 gene:PRUPE_5G200400 transcript:ONI08781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHGSQCCVQVRRMTTSAHKAEILSSSLEAFKKIQQERASMCAANDAKLLSPQYLNLRSGDKLNKPSAINDEKGNAKDSIKKSRKQSGGSDLGEADLNGGKWSTESSSKTNLVQTERAPKNSSTSVVNASRLPPRDNSVAGKTKSKQSGSEAERLLPKKEKLIIDGVVEKFPRLTDQSKKQIPLVEKDKGKRNSAPWKSMDAWKEKRNWEDVLSSPFRVSSRVSRSPGMRRKSADRARMLHDKLMSPEKKKKTALDLKREAEEKHARALRIKSELDNERAQKLHRNSEKVYRASEFHAVRNMKLREGIYARHQRSESRHEAFLAQVVKRAGDESSKVNEVRFITSLNEENKKLSLRQKLHDSELRRAEKLQVIRTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRRKEEAQVRREEERKASSAAREARAMEQLRRKEERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRNLNKEGQGRSSINSGDDYQSSSFSGLGGSTLVASNVTAQHSMKRRIKRIRQRLMALKYEFPEPPVGAENASIGYRTALGTARAKIGRWLQELQRLRQARKEGAASIGLIIAEMIKYLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVVLSVPANRSYFLAQNLLPPIIPMLSAALESYIKIAVSLNLSGNGNSLSSKTSAENFESISEVLDGYLWTVTTIVSHISSDEQQLQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSINLLVVLTSRSEMNCSIDWKYVPIETVVGNGSEEAKFPGGDSTEDLPLTQSLGDSRPPLSVQNGGTVVHLPDVPEDGPLDESCIINKSTEAVSTGKDSEKEQSNSLVEARNDNTIKTDLPDETQKFPSEDTLEPFASQKDGKHLVDNGAVQKNEIIVSLEQPVAFLLTAVSETGLVSLPSLLTSVLLQANNRLSSEQTSDVLPSNFEDVATGVLKVLNNLALLDIKFMQRTLARPDLKMEFFHLMSFLLSHCTSKWKVANDQVGFLLLESLLLLGHFALFHLGNQAVLRWGKSPTIIHKVCDLPFVFFSDPELMPVLAGTLVAACYGCEQNKGVVQQEISTDMLLSLLRSCRNILPAVRSNSNLDTFPADDVPLRSGRNNTKSTKVILGKGGGSGNSMRIGKMRSHRESKVTKSYEETALKHNLPVSETSSMMLHCRFPISFIDRAEDFFSSGTP >ONI08780 pep chromosome:Prunus_persica_NCBIv2:G5:16113210:16121410:-1 gene:PRUPE_5G200400 transcript:ONI08780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGEAVDDEGSGWFEVKKVFFLKNRSSSKFSLQSWVGGFSGKNASNHSSSQTSSSENSGNSCGKRRSQLPKVRENYVVHSRGIDSIPVPNENKMGAPYINTGVIRQDTRCPKSPPFIKNSDGGTRDVEKIPAKDNSEVVHKIKWGDLEDEGLALPHANLVGTRIKFGAIGDDNLVASSEHGIVHNFASCANSQENTLVAESVDARIVSHQMFSVTAKDQLREDNCKEVNIISSQNAEEPILNGKKVDLDNNVSHCKDIHTEHIEEVVDDHLSARTLAGEEAGVVGKLQAPVILSEVGDPEIAEVSGKIGGSSEVHIAKDKGLVPTESDPEILGVSTFTASVEDHGDQQCGIIHDMSNSQNVSALGDDTGESKERFRQRLWCFLFENLNRDVDELYLLCELECDLEQMKEAILVLEEAASDFRDLSTRVEDFEKIKRSSSQLIDGVPVTLKSDHRRPHALSWEVRRMTTSAHKAEILSSSLEAFKKIQQERASMCAANDAKLLSPQYLNLRSGDKLNKPSAINDEKGNAKDSIKKSRKQSGGSDLGEADLNGGKWSTESSSKTNLVQTERAPKNSSTSVVNASRLPPRDNSVAGKTKSKQSGSEAERLLPKKEKLIIDGVVEKFPRLTDQSKKQIPLVEKDKGKRNSAPWKSMDAWKEKRNWEDVLSSPFRVSSRVSRSPGMRRKSADRARMLHDKLMSPEKKKKTALDLKREAEEKHARALRIKSELDNERAQKLHRNSEKVYRASEFHAVRNMKLREGIYARHQRSESRHEAFLAQVVKRAGDESSKVNEVRFITSLNEENKKLSLRQKLHDSELRRAEKLQVIRTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRRKEEAQVRREEERKASSAAREARAMEQLRRKEERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRNLNKEGQGRSSINSGDDYQSSSFSGLGGSTLVASNVTAQHSMKRRIKRIRQRLMALKYEFPEPPVGAENASIGYRTALGTARAKIGRWLQELQRLRQARKEGAASIGLIIAEMIKYLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVVLSVPANRSYFLAQNLLPPIIPMLSAALESYIKIAVSLNLSGNGNSLSSKTSAENFESISEVLDGYLWTVTTIVSHISSDEQQLQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSINLLVVLTSRSEMNCSIDWKYVPIETVVGNGSEEAKFPGGDSTEDLPLTQSLGDSRPPLSVQNGGTVVHLPDVPEDGPLDESCIINKSTEAVSTGKDSEKEQSNSLVEARNDNTIKTDLPDETQKFPSEDTLEPFASQKDGKHLVDNGAVQKNEIIVSLEQPVAFLLTAVSETGLVSLPSLLTSVLLQANNRLSSEQTSDVLPSNFEDVATGVLKVLNNLALLDIKFMQRTLARPDLKMEFFHLMSFLLSHCTSKWKVANDQVGFLLLESLLLLGHFALFHLGNQAVLRWGKSPTIIHKVCDLPFVFFSDPELMPVLAGTLVAACYGCEQNKGVVQQEISTDMLLSLLRSCRNILPAVRSNSNLDTFPADDVPLRSGRNNTKSTKVILGKGGGSGNSMRIGKMRSHRESKVTKSYEETALKHNLPVSETSSMMLHCRFPISFIDRAEDFFSSGTP >ONI08508 pep chromosome:Prunus_persica_NCBIv2:G5:15232070:15234848:1 gene:PRUPE_5G182400 transcript:ONI08508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSFTRYVEQELGKLPHFVIYALLEWTLIILLFIDGFLAFAANEFAKFFELRIPCWLCTRIDHILVHRDQDFYYNDSICEAHRKDVSYLAYCHNHKKLSDIRKMCEACLLSFATEKESDCDTYKSLVGILHKDLECFVEDDYHQIQLSVPAARTWEEAAGQVENSGSIVQVSCSCCGVPLKMSSTSSAYPKGKNGSALAHAPAPSPRAPLRNDENRGLELPHIRYTELKLMSDHESELPEDEYGSHPPNRDSQFKEDPKASAVPSLTEGDDLTDDANRTPVFGKGNRFFGIPLTDSATNSPRVAMRISRKSPLEKGSDFVLESADGSTPNDADCDSILHRLKRQVRLDRKSLMALYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDQEALEATYDLLAKREEELRIIEAEVEAYREKYGLLKEFGYEAGEEVKGLNNGEHNGETTPNDQVMSSKDENVEGALAESLKPLKEEKTYLLGRMKKLDKRSNLSANGFHSLQGSDGLNHPDDEIGNGNKAALTRQLSLLSHLSERVKALESDTGFLEYAAKTLEKHSEGTQLLTEITQNLQKLRHLVMMPPEKNSGLP >ONI08380 pep chromosome:Prunus_persica_NCBIv2:G5:14858998:14863060:-1 gene:PRUPE_5G174500 transcript:ONI08380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIVEEVVYESNGNSMPNKENPALNGFPKGTMSQQSPGSTGPDRPVDGVVDTSIEQLYENVCDMQSSDQSPSRRSFRSDGEESRIDSELHHLVGGEMREVEIMEEEVLQKPAYDSRSDSSFKKEASSLDRKPVKMDKSASTKSTSSGNSKKVAHLQVDTETSSKPVLKGRSPDKPPIYGVSDKNPQKQHAGPTSVKKRRNASSGGSKLQIGTEDVAESGLNNPDLGPFLLKQARDLISSGDNPQKALELALRAAKSFELSSNGKPCLELVMCLHVTSAIYCSLGQYSKAIPVLERSIEISAIEEDQNHALAKFAGHMQLGDTYAMLGQLENSIMHYTSGLEIQRQVLGETDVRVGETCRYLAEAHVQALQFDEAQRLCQMSLDSHKENGSPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQEVEVASVDCSIGDTYLSLSRYDEATFAYQKALTVFKTTKGENHPSVGSVFIRLADLYNRTGKVRESKSYCENALRIYEKPMPGVPPEEMASGLTDVSAIYESMNDLEQAVKLLQKALKIYNDAPGQQSTIAGIEAQMGVMYYMLGNYSESYDSFKSAISKLRATGEKKTAFFGIVLNQMGLACVQRYSINEAQEFFEEARTVLEHECGRYHPDTLGVYSNLAGTYDATGRLEDAIEILEYVVEMREEKLGTANPDVDDEKRRLAELLKEAGRVRSRKARSLENLLDANSHSISNDGVKV >ONI08379 pep chromosome:Prunus_persica_NCBIv2:G5:14858998:14862410:-1 gene:PRUPE_5G174500 transcript:ONI08379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIVEEVVYESNGNSMPNKENPALNGFPKGTMSQQSPGSTGPDRPVDGVVDTSIEQLYENVCDMQSSDQSPSRRSFRSDGEESRIDSELHHLVGGEMREVEIMEEEVLQKPAYDSRSDSSFKKEASSLDRKPVKMDKSASTKSTSSGNSKKVAHLQVDTETSSKPVLKGRSPDKPPIYGVSDKNPQKQHAGPTSVKKRRNASSGGSKLQIGTEDVAESGLNNPDLGPFLLKQARDLISSGDNPQKALELALRAAKSFELSSNGKPCLELVMCLHVTSAIYCSLGQYSKAIPVLERSIEISAIEEDQNHALAKFAGHMQLGDTYAMLGQLENSIMHYTSGLEIQRQVLGETDVRVGETCRYLAEAHVQALQFDEAQRLCQMSLDSHKENGSPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQEVEVASVDCSIGDTYLSLSRYDEATFAYQKALTVFKTTKGENHPSVGSVFIRLADLYNRTGKVRESKSYCENALRIYEKPMPGVPPEEMASGLTDVSAIYESMNDLEQAVKLLQKALKIYNDAPGQQSTIAGIEAQMGVMYYMLGNYSESYDSFKSAISKLRATGEKKTAFFGIVLNQMGLACVQRYSINEAQEFFEEARTVLEHECGRYHPDTLGVYSNLAGTYDATGRLEDAIEILEYVVEMREEKLGTANPDVDDEKRRLAELLKEAGRVRSRKARSLENLLDANSHSISNDGVKV >ONI05293 pep chromosome:Prunus_persica_NCBIv2:G5:79821:87474:1 gene:PRUPE_5G000100 transcript:ONI05293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVAVGQPLTLWWRTSRAKGSFPHCKRDSIRLRVRPARSVLVEAEARPAPTLRVRDIDDGSVKVQIYQGGDRTDDLQAEARAMARASNASVYSPQLLVGKYGSRPVKVIRRTLKILIALGSFGLKLLVDQRNGVLNQNKRLRAAELRRIFTRLGPTFVKLGQGLSTRPDVCPPEYLEELSELQDALPTFPDTEAFSCIERELELPLDSVFSSISPSPIAAASLGQVYKAQLKYSGQVVAVKVQRPGIEEAIGLDFYLIRGLGIFINKYVDVITTDVVALIDEFARRVYQELNYVQEGRNARRFKKLYADKEEVLVPDIFWDYTSGKVLTMEWVDGVKLNEQDAIERQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSPDVDVSPIVPALRDFFDDALNSTVSELNFKTLVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLVQGKKDRDFSAKDALQPVLKLLLGSDGEELRTLVIKEAVRVTEAFIWGTVIDTYNSMPNFMRALVVNGNATGPLVMSIAEQESMIELRDQVFRIWGLLRSSESFDPALLQPILQVLQQPEARNLGGRVIGGITQRLAARLLQQVLRVPTAASASTL >ONI06796 pep chromosome:Prunus_persica_NCBIv2:G5:9492516:9496287:-1 gene:PRUPE_5G081600 transcript:ONI06796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTACLDEYEKLVFRMNTPRVVIDNAVCSTATLVKVDSARRHGILLEAVQVLTDLNLSIKKAYISSDGRWFMDVFHVTDQNGDKLTDESVIHYIEQSLGTIHFGTSNCSNGLTALELTGTDRLGLLSEVFAVLADLQCNVVESKVWTHNGRIASLIYVKDCDSGCPIEDSQKIDRIEARLRNVLKGDNDIRSAKTSVSMAVTHTERRLHQMMFADRDYDRKPILQHSTDSPVITVQNWAERGYSIVNIQCKDRAKLLFDVVCTLTDMDYVVFHATIKTAGDRGHMEFYIRHTDGTPISSEPERQRVIQCLQAAIQRRASEGVRLELCTEDRPGLLAYVTRTFRENGLNVTRAEISTTKDNALNVFYVTDAMGNPADPNTIESVRQKIGLSDLKVKELPLIYHAKGEREEQAVGVGGAVLLSLGSLVRRNLYNLGLIRSHS >ONI06124 pep chromosome:Prunus_persica_NCBIv2:G5:4650411:4652385:-1 gene:PRUPE_5G042100 transcript:ONI06124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPAQLASHLAPSSATSTFQYLQQGQATDFLPMFSQQPTPFTKLGGDAQSLSNLRHVRVENELLSDGIICKHKTKDYVFHLLNGRSIIEAPFMTIYKRQFISAFDGFKVLKLPYKQAYDEKRRFCTCLFLPDEKDGLPALVERVCSEPGFLDRHIPYFKDEVVWGILEQLGLKLPFHLTEMVEAPPGQDPFVSKMLHKAVIEVNEEGTEVAAVTVTNFVADHPFLFLIREEMTGAVLFIGQLLNPLQG >ONI06693 pep chromosome:Prunus_persica_NCBIv2:G5:8904055:8907232:1 gene:PRUPE_5G074900 transcript:ONI06693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLALNPLASRQVAVIGAGAGGLVAARELWREGHKVVVFERGEQVGGTWVYTPKVESDPLGLHPDRTTVHSSMYQSLRTNLPRESMGFRDYPFVAKEEDEKRDPRRFPGHREVLRYLKDFASEFGISEIVRFETEVMVVDLVEGGKWKVKSKSKGGDGVHDEIYDAVVVCNGHYTEPRIAEIPGINTWKGKQFHSHNYRNPEPFRDQVVILIGGAASSADISRELAGVAKEVHIASRSVADEAIGKQPGYDNMWLHSMIKSAHDDGSVAFQDGSVVIADIILHCTGYKYHFPFLETNGIVTVDDNRVGPLYKHVFPPALAPSLSFVGLPWKVVPFPQFELQSKWIAGLLSNRIALPSKEEMMEDIKAFYSLLEASGLPKRYTHNLGDCQFEYNDWLAALCGCPVSEEWRKKMYLEVSKNRHARPETYRDEWEDDHLILQAHEDFKKYTLNGVTKK >ONI07185 pep chromosome:Prunus_persica_NCBIv2:G5:11155220:11156042:1 gene:PRUPE_5G104900 transcript:ONI07185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLNFSGKTPSQRMCLKPMTGPAISSMAIKRTFQFEQNKRDALQHCIYVKRKAENKQLNDHRLFPVMAAAQRSSGIYPSSPSPSDTIKKFYKCINEKNLKQLGDYISEGCYIEECSFNTPLQGKKEVMNFFEQLITGMGQNVKFCIQHVCEGDELIGAANWHMEWKDKQIPYTRGCSFFEFSKKGEKIVLKYRKTNVFLWLLILLKMNNA >ONI07184 pep chromosome:Prunus_persica_NCBIv2:G5:11154998:11156590:1 gene:PRUPE_5G104900 transcript:ONI07184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLNFSGKTPSQRMCLKPMTGPAISSMAIKRTFQFEQNKRDALQHCIYVKRKAENKQLNDHRLFPVMAAAQRSSGIYPSSPSPSDTIKKFYKCINEKNLKQLGDYISEGCYIEECSFNTPLQGKKEVMNFFEQLITGMGQNVKFCIQHVCEGDELIGAANWHMEWKDKQIPYTRGCSFFEFSKKGEKIVLKKAQIVIESPIKPGTVVLSLLKTMTTLFDGFPKTTEWFLKSPHIVFQWLWKIYTILFAPLVDSYLRLWNLAARLLSYAYYIVLYIAKFFFK >ONI07186 pep chromosome:Prunus_persica_NCBIv2:G5:11154998:11156323:1 gene:PRUPE_5G104900 transcript:ONI07186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLNFSGKTPSQRMCLKPMTGPAISSMAIKRTFQFEQNKRDALQHCIYVKRKAENKQLNDHRLFPVMAAAQRSSGIYPSSPSPSDTIKKFYKCINEKNLKQLGDYISEGCYIEECSFNTPLQGKKEVMNFFEQLITGMGQNVKFCIQHVCEGDELIGAANWHMEWKDKQIPYTRGCSFFEFSKKGEKIVLKYRKTNVFLWLLILLKMNNA >ONI07845 pep chromosome:Prunus_persica_NCBIv2:G5:13205979:13206862:-1 gene:PRUPE_5G142500 transcript:ONI07845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASLSSPLHFHNPHPRFSPKPSTTLRPTTTATKPLFAIPPPLTAVADVDSATIAAIGGGSVAALAAALSLADPERRRRLQAEEVGGGDKEVVKDYFNKSGFERWKKIYGETDDVNRVQRDIRLGHSKTVENVMKMLTDEGKLEGVTVCDAGCGTGCLAIPLAKEGAVVTAADISAAMVAEAEKQVSFYEVKRLLLWLWVLEGNLKFDGIGPIGNVGFGGNGICLSGQESSFS >ONI07844 pep chromosome:Prunus_persica_NCBIv2:G5:13205361:13206965:-1 gene:PRUPE_5G142500 transcript:ONI07844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASLSSPLHFHNPHPRFSPKPSTTLRPTTTATKPLFAIPPPLTAVADVDSATIAAIGGGSVAALAAALSLADPERRRRLQAEEVGGGDKEVVKDYFNKSGFERWKKIYGETDDVNRVQRDIRLGHSKTVENVMKMLTDEGKLEGVTVCDAGCGTGCLAIPLAKEGAVVTAADISAAMVAEAEKQAREQLQLSNRGFSGGPVMPKFEVKDLESLDGKYDTVVCLDVLIHYPQSKADAMIAHLASLAENRLLISFAPKTLYYDLLKRIGELFPGPSKATRAYLHAEADVERALKKVGWRIRKRGLTTTQFYFSRLVEAVPAK >ONI06324 pep chromosome:Prunus_persica_NCBIv2:G5:5703730:5705749:-1 gene:PRUPE_5G053300 transcript:ONI06324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTSDELKSRSVTVKNRRRAYLNKLIFDGHYFSEDAMREREPYLHHEYVGKFQDPIGRSMARPGERWSDTLLRRAEEAILVAKIRGEQQRLGVSESDWVGGERNQQEEQEEEEEEEEEEEDEDEDEEEVKKANRGAQSAEVLMDHPDAPNGAQTGDRLDAPNGAQTITDECSEQATVSAEELQDQMDQFTHIMQQKFLLGEDNEYLDYSKIDNDETLDDHWQREANHDAEEKYFAED >ONI06323 pep chromosome:Prunus_persica_NCBIv2:G5:5703730:5706429:-1 gene:PRUPE_5G053300 transcript:ONI06323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKAVRSEAMESISERLSSMEGLYFPRALHSNTVNPSQRKAILLDLLSRDVTVFLERYGSKLTFDELQEFDVLKDDYEINWHVNHLRSLMSPTSDELKSRSVTVKNRRRAYLNKLIFDGHYFSEDAMREREPYLHHEYVGKFQDPIGRSMARPGERWSDTLLRRAEEAILVAKIRGEQQRLGVSESDWVGGERNQQEEQEEEEEEEEEEEDEDEDEEEVKKANRGAQSAEVLMDHPDAPNGAQTGDRLDAPNGAQTITDECSEQATVSAEELQDQMDQFTHIMQQKFLLGEDNEYLDYSKIDNDETLDDHWQREANHDAEEKYFAED >ONI06359 pep chromosome:Prunus_persica_NCBIv2:G5:5959930:5962297:1 gene:PRUPE_5G055500 transcript:ONI06359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLRGKDMTQDSTFEFERKRNRPERYDRNLTANTLKAIKKIDKVRVDREARHHEKRMKGKKAKEHREAAKELEQSISLVKAPSVLQQDPSFNLPIKVKVSQQQPDKSLAMMEE >ONI06358 pep chromosome:Prunus_persica_NCBIv2:G5:5959930:5962299:1 gene:PRUPE_5G055500 transcript:ONI06358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLRGKDMTQDSTFEFERKRNRPERYDRNLTANTLKAIKKIDKVRVDREARHHEKRMKGKKAKEHREAAKELEQSISLVKAPSVLQQDPSFNLPIKVKVSQQQPDKSLAMMEE >ONI06357 pep chromosome:Prunus_persica_NCBIv2:G5:5959930:5962297:1 gene:PRUPE_5G055500 transcript:ONI06357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLRGKDMTQDSTFEFERKRNRPERYDRNLTANTLKAIKKIDKVRVDREARHHEKRMKGKKAKEHREAAKELEQSISLVKAPSVLQQDPSFNLPIKVKVSQQQPDKSLAMMEE >ONI06614 pep chromosome:Prunus_persica_NCBIv2:G5:8420151:8420745:-1 gene:PRUPE_5G070200 transcript:ONI06614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFTNLQNWIMKRGPQCIYILHIPGTWPMRDCANTSPKHQVQRHSTLPPPCKAGNTNQLISTTYLLCTMIYSMVNSCLKINLQRNSAHVFIHHITHPKSSQVMWDYTNNDKTTNSELKLTS >ONI09581 pep chromosome:Prunus_persica_NCBIv2:G5:18405127:18407251:1 gene:PRUPE_5G246000 transcript:ONI09581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVASVSSEIDEYYPEEREALLKLRDSLSSNSDLHGNWTGPPCINNSSRWAGIACSNWHVVHIVLQGIQLAGSLPPCAFLHNITFLSKLSLRNNTLSGPVPNLTNLVYLEYVVLSYNRFWGSIPLEYIELPNLRVLELQSNYLDGHIPPFDQPTLRAFNVSYNHLEGPIPQTAVLQKFPKSSYDHNSNLCGSPLETSCPPPPPVVIAPSPSPLSPNIIPPKKKNNLQEWSFALIVAAAALVLFLVIFVSLCYSRKVHRKQAAKEEQQTGDGTSGWAQTKMPHLESLGDPEKKVELDFFDKEMPAAFDLDDLLRASAQVLGKGKLGTTYKVTLESGPVVVVKRLKNMNELSKKEFTQQMQLLGNMRHENLVQILSFYYSKEEKLVISKFESSGTLFELLHENRGVGRVPLDWVTRLSIIKDIAKGLTFLHQSLPSHKVPHANLKSSNVLVIQRNSQTYHLKLTDFGFLPLVPSRKYNEDLAIGKSPEFAQGKKLSQKSDVYCFGIILLEVITGRIPGEISPGNDETMDDLSDWVRMVVNNDWSTDILDVEILAAKEDHDEMLKLTKIALDCTDLTPEKRPKMIQVLTRIEEID >ONI08546 pep chromosome:Prunus_persica_NCBIv2:G5:15329619:15337179:-1 gene:PRUPE_5G184600 transcript:ONI08546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKKRNAAPRPKQSPAAVKPLAGEGDAVVSVPADTTLALVEADSNDALSIKIESSPPIESDGSSYSAAKLECERALTALRRGNHTKALRLMKESCQRYENSAHSALIHRVQGTVGVKVAAIIDDPNAKQRHLRNAIDSARRAVELSPNSIEFSHFYANLLYEAANDGKEYEEVVTECERALAIEKPVDPAKESLQEESQQKISTTEARIGHVHNELRQLIQKSNIASISTWMKNLGNGEEKFRLIPIRRVTEDPMEVRLVQTRRPNEIKKATKTPEERRKEIEVRVAAARLLQQKSEVPQLGNDGEKSDRGLDSSSGSSQRGSERRKFGNLRKNGSSAERKDWVRSYWKSMSIDMKKELLRIRVSDLKAKFSSSKDGLANEVLSEALAFAESNRSWKFWVCCRCNEKFVDSESHMHHVVQEHMGNLMPKMQSVLPQNVDNEWIEMLLNCSWKPLDVSAAVGMLRDQRKCKDPEVVEDFYSGIHTKDCDECFKDAWDSSPEKEVLGDSPSDCTIEGNNQEKIANVEFGECEDNGLIAYSSIANGWPISDDSERTKLLERIHASFEVLIRHKYLAASHLNRVIQFTMDELQASGSQLLNHGVEQTPMCICFLGANQLRKILKFLQDLSHACGLGRYSEKSSSPMDDVNNTNQGVEIKERIVLNGDASCLLLDECLLSSECTCGAGHHTVTDAASAAVGNGNWVLPDSDALLSWIFAGPTSGEQLTSWVRTKEEKTQQGMEILQMLEKEFYHLQSLCERKCEHLSYEEALQAVEDLCVEEGKKRENVSDFSHRSFESVLRKRREELLERENDVMFLSSRIELDAISNVLKESEHLNINQFGYEETYGGVTSQLCDLESGEDDDWRAKDYVHQVDTCVEVAIQRQKEQLYVELSTIDARIMRNVTGMQQLEIKLEPVSAHDYRSILLPLVKSYLRAHLEDLAERDATEKSDAAREAFLAELALDSKKAVRGGNDSLRHTQEKTKDKKKNKECRKAKDSKVNGVSDEYMHHDETSELSFPVASDGDLLDSEIIVSVNGNDLKQLEEESKRRIELEAEERKLEETLEYQRQIEKEAKQKHLAEQSKKSTQMHAEKVAEGTHDVKLAPCANEDVHERFKLSMQCTFQEQLAQKTGFPNNVEGIPVKMANGSPVPVKSSIVGAQMISGAHQAKVNQGLPNGGILEEDGYFPSDRRTGRKNRRQRSSTKVPDGKSQALSTEKENVDVGRSTVEGHLREQSRSHDSLLADSNNGTNELRQQRAEEDDEERFQADLKKAVRQSLDTFQEHQKLPIVSNSRMLKRISTEVDGGAVLHNDITNENASETDIFGTGLKNEVGEYNCFLNVIIQSLWHIRLFRDEFLRRSTSEHVHVGDPCVVCALYEIFTALSNASADMRREAVAPTSLRIALSNLYPESNFFQEAQMNDASEVLVVIFECLHRAFTPGSSVSDAESVESSCPGSWDCSNNACIVHSIFGMDIFERMNCYNCGLESRHLKYTSFFHNINASALRTMKVMCAESSYDELLNLVEMNHQLACDPEAGGCGKLNYIHHILSTPPHVFTTVLGWQKTCESADDITATLAALNTEIDISVLYRGLDPKSTHNLVSVVCYYGQHYHCFAYSHDRECWIMYDDKTVKVIGGWADVLTMCEKGHLQPQVLFFEAVN >ONI08545 pep chromosome:Prunus_persica_NCBIv2:G5:15328869:15337518:-1 gene:PRUPE_5G184600 transcript:ONI08545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKKRNAAPRPKQSPAAVKPLAGEGDAVVSVPADTTLALVEADSNDALSIKIESSPPIESDGSSYSAAKLECERALTALRRGNHTKALRLMKESCQRYENSAHSALIHRVQGTVGVKVAAIIDDPNAKQRHLRNAIDSARRAVELSPNSIEFSHFYANLLYEAANDGKEYEEVVTECERALAIEKPVDPAKESLQEESQQKISTTEARIGHVHNELRQLIQKSNIASISTWMKNLGNGEEKFRLIPIRRVTEDPMEVRLVQTRRPNEIKKATKTPEERRKEIEVRVAAARLLQQKSEVPQLGNDGEKSDRGLDSSSGSSQRGSERRKFGNLRKNGSSAERKDWVRSYWKSMSIDMKKELLRIRVSDLKAKFSSSKDGLANEVLSEALAFAESNRSWKFWVCCRCNEKFVDSESHMHHVVQEHMGNLMPKMQSVLPQNVDNEWIEMLLNCSWKPLDVSAAVGMLRDQRKCKDPEVVEDFYSGIHTKDCDECFKDAWDSSPEKEVLGDSPSDCTIEGNNQEKIANVEFGECEDNGLIAYSSIANGWPISDDSERTKLLERIHASFEVLIRHKYLAASHLNRVIQFTMDELQASGSQLLNHGVEQTPMCICFLGANQLRKILKFLQDLSHACGLGRYSEKSSSPMDDVNNTNQGVEIKERIVLNGDASCLLLDECLLSSECTCGAGHHTVTDAASAAVGNGNWVLPDSDALLSWIFAGPTSGEQLTSWVRTKEEKTQQGMEILQMLEKEFYHLQSLCERKCEHLSYEEALQAVEDLCVEEGKKRENVSDFSHRSFESVLRKRREELLERENDVMFLSSRIELDAISNVLKESEHLNINQFGYEETYGGVTSQLCDLESGEDDDWRAKDYVHQVDTCVEVAIQRQKEQLYVELSTIDARIMRNVTGMQQLEIKLEPVSAHDYRSILLPLVKSYLRAHLEDLAERDATEKSDAAREAFLAELALDSKKAVRGGNDSLRHTQEKTKDKKKNKECRKAKDSKVNGVSDEYMHHDETSELSFPVASDGDLLDSEIIVSVNGNDLKQLEEESKRRIELEAEERKLEETLEYQRQIEKEAKQKHLAEQSKKSTQMHAEKVAEGTHDVKLAPCANEDVHERFKLSMQEQLAQKTGFPNNVEGIPVKMANGSPVPVKSSIVGAQMISGAHQAKVNQGLPNGGILEEDGYFPSDRRTGRKNRRQRSSTKVPDGKSQALSTEKENVDVGRSTVEGHLREQSRSHDSLLADSNNGTNELRQQRAEEDDEERFQADLKKAVRQSLDTFQEHQKLPIVSNSRMLKRISTEVDGGAVLHNDITNENASETDIFGTGLKNEVGEYNCFLNVIIQSLWHIRLFRDEFLRRSTSEHVHVGDPCVVCALYEIFTALSNASADMRREAVAPTSLRIALSNLYPESNFFQEAQMNDASEVLVVIFECLHRAFTPGSSVSDAESVESSCPGSWDCSNNACIVHSIFGMDIFERMNCYNCGLESRHLKYTSFFHNINASALRTMKVMCAESSYDELLNLVEMNHQLACDPEAGGCGKLNYIHHILSTPPHVFTTVLGWQKTCESADDITATLAALNTEIDISVLYRGLDPKSTHNLVSVVCYYGQHYHCFAYSHDRECWIMYDDKTVKVIGGWADVLTMCEKGHLQPQVLFFEAVN >ONI08147 pep chromosome:Prunus_persica_NCBIv2:G5:14106326:14108138:-1 gene:PRUPE_5G159600 transcript:ONI08147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWEAMNKDYDKGKRRKRARMAKKAAPAKKPEKAVKVSTVMDTKNRLSSKFKYEALDNLNEELGYAPAFEEGTKSNCGNLGDMQLSNEVAEARQVQDDDSYVANDKYNGYNYDDECNYDEECDFEELT >ONI08146 pep chromosome:Prunus_persica_NCBIv2:G5:14106326:14108565:-1 gene:PRUPE_5G159600 transcript:ONI08146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLKSQRIIQPHHQTVVGYLNSKQAALFKRMVWEAMNKDYDKGKRRKRARMAKKAAPAKKPEKAVKVSTVMDTKNRLSSKFKYEALDNLNEELGYAPAFEEGTKSNCGNLGDMQLSNEVAEARQVQDDDSYVANDKYNGYNYDDECNYDEECDFEELT >ONI07134 pep chromosome:Prunus_persica_NCBIv2:G5:10934921:10936827:-1 gene:PRUPE_5G101800 transcript:ONI07134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIMSLNMVMQGAATTCFVALHPQLKEVGGEYFVDCNIAKPSSQAKDADLATRLWDFSLSLTNAK >ONI07072 pep chromosome:Prunus_persica_NCBIv2:G5:10695889:10697662:1 gene:PRUPE_5G098600 transcript:ONI07072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPTEIPKTFYDFTVKDAKGNDADLSVYKGKVLLVINVASKCGLTNSNYTELNELYQKYKDQGLEILAFPCNQFGAQEPGSNEEIEDFVCTRFKSEFPIFDKIEVNGDNTAPVYKFLKAGKWGFFGDDIQWNFTKFLVDKDGKVSDRYYPTTPPLSIERDIKKLLGVA >ONI07073 pep chromosome:Prunus_persica_NCBIv2:G5:10695920:10697447:1 gene:PRUPE_5G098600 transcript:ONI07073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPTEIPKTFYDFTVKDAKGNDADLSVYKGKVLLVINVASKCGLTNSNYTELNELYQKYKDQGLEILAFPCNQFGAQEPGSNEEIEDFVCTRFKSEFPIFDKIEVNGDNTAPVYKFLKAGKWGFFGDDIQWNFTKFLVDKDGKVSDRYYPTTPPLSIEVKTLMSVLWNFDYAMLPLLLIVVDHRFIGRFVKFANLLTLYDFRSVT >ONI06714 pep chromosome:Prunus_persica_NCBIv2:G5:8998768:9000942:1 gene:PRUPE_5G075900 transcript:ONI06714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVISFSSGMPAIADLNKFEADTPGEFGIGSAAQFGSADLRKAVHVNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFAGADLSDTLMDRMMQCLLDQFSPEAILGVPSLKVLTSVTPSWTSPRSRLFASMQVGRTQQQG >ONI06713 pep chromosome:Prunus_persica_NCBIv2:G5:8998728:9000990:1 gene:PRUPE_5G075900 transcript:ONI06713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTFISSLSIKSLTTSSPNPKAPPIRLQSLSNKPFSLVCQLDPERHHFHDCSSKQCKTVNEDSKKWRSIVSAAMAAAVISFSSGMPAIADLNKFEADTPGEFGIGSAAQFGSADLRKAVHVNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFAGADLSDTLMDRMVLNDANLKDAVLVRSVLTRSDLGGALIEGADFSDAVLDLPQKQALCKYASGTNPTTGVSTRASLGCGNSRRNAYGTPSSPLLSAPPQRLLDRDGFCDQGTGLCDVK >ONI08862 pep chromosome:Prunus_persica_NCBIv2:G5:16402672:16407338:-1 gene:PRUPE_5G204700 transcript:ONI08862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQSSTSSDTTQKHFFVMREFLWGAIAGAFGEGMMHPVDTVKTRIQSQAMLTASKNPKSILQMVRAVWVADGLRGFYRGIAPGVTGSLATGATYFGVIESTKKWIEESHPSLGGHWAHFIAGAVGDTLGSFVYVPCEVMKQRMQVQGTLTSWSSVMMKDNISMKPSLQMYGYYTGMFQAGCSILKEQGLKGLYAGYWSTLARDVPFAGLMVVFYEGLKDLTEYGKQNYIRNPNFRINSSVEGLILGGLAGGFSAYLTTPLDVVKTRLQIQGSTLRYNGWLDAIRSIWMVEGAHGMFRGSIPRITWYIPASALTFMAVEFLRDHFNEGLSNPNSQDVASMLVEKKGSPLQGAA >ONI06790 pep chromosome:Prunus_persica_NCBIv2:G5:9458865:9459671:1 gene:PRUPE_5G081100 transcript:ONI06790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNATKGLFVSCDIPMAQFIINYNNTLPPSQQFIIHVLDSTHLFVQPHAAEMIRSAISEFRDQNSYEKPT >ONI05468 pep chromosome:Prunus_persica_NCBIv2:G5:936120:940593:-1 gene:PRUPE_5G009100 transcript:ONI05468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESWHGNYDTGSQSDDSYKFERLHIEPIYDAFFCPLTKQVMRDPVTLENGQTFEREAIEKWFRECRESGRKLVCPLTLKELKTADLKPSIALRNTIEEWNARNEAAQLDMARKSLNPSSSESEVLLALKYVQQICQKSRSNKHVARNAGLIPMIVDMLKSGSRKVRCKALETLKTVVEEDSDNKEILADGDTVRSIVKFLSHEQSKEREEAVSLLYELSKSEALCEKIGSINGAILMLVGMTTSKSDNILTVENAEKTLENLEKFENNVRQMAENGRLQPLLTQIREGPPETKLSMSNFLGELVLDNDIKVLVAKSVGSSLINILRSGNMQSREAALKALNQISSCEASAKVLIEAGILPSLVKDLFAVGTNQLPMRLKEVAATILANVVNSDYDFDSILVGPDHQTLVSEDIVHNLLHLISNTGPAIESKLLQVLVGLTLSHSTVISVVAAIKSSGAIISLVQFIEAPQKELRVASIKLLQNLSPHVGQELADALRGTVGQLGSLIKVISENISITDEQAAAIGLLAELPERDLGLARQMLDDGAFKLVYSRVVKIRQGVSKGGRFVTPFLEGLVRVLARVTLVLADEQDAIALCRELNLAELFIELLQTNGLDNVQMSSATALENLSQESKNLTRLPELPTPGFCASIFPCFSQQPAINGLCRLHRGTCSLKESFCLLEGQAVDKLVALLDHTNEKVVEAALAALSTLLDDGVDIEQGVMLLCEAEGVKPILDVLLEKRTENLRRRAVWVVERLLRSDEIAYEVSGDPNVSTALVDAFQHADYRTRQIAERALKHVDRLPNFSGVFPNVG >ONI05467 pep chromosome:Prunus_persica_NCBIv2:G5:936084:940714:-1 gene:PRUPE_5G009100 transcript:ONI05467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESWHGNYDTGSQSDDSYKFERLHIEPIYDAFFCPLTKQVMRDPVTLENGQTFEREAIEKWFRECRESGRKLVCPLTLKELKTADLKPSIALRNTIEEWNARNEAAQLDMARKSLNPSSSESEVLLALKYVQQICQKSRSNKHVARNAGLIPMIVDMLKSGSRKVRCKALETLKTVVEEDSDNKEILADGDTVRSIVKFLSHEQSKEREEAVSLLYELSKSEALCEKIGSINGAILMLVGMTTSKSDNILTVENAEKTLENLEKFENNVRQMAENGRLQPLLTQIREGPPETKLSMSNFLGELVLDNDIKVLVAKSVGSSLINILRSGNMQSREAALKALNQISSCEASAKVLIEAGILPSLVKDLFAVGTNQLPMRLKEVAATILANVVNSDYDFDSILVGPDHQTLVSEDIVHNLLHLISNTGPAIESKLLQVLVGLTLSHSTVISVVAAIKSSGAIISLVQFIEAPQKELRVASIKLLQNLSPHVGQELADALRGTVGQLGSLIKVISENISITDEQAAAIGLLAELPERDLGLARQMLDDGAFKLVYSRVVKIRQGVSKGGRFVTPFLEGLVRVLARVTLVLADEQDAIALCRELNLAELFIELLQTNGLDNVQMSSATALENLSQESKNLTRLPELPTPGFCASIFPCFSQQPAINGLCRLHRGTCSLKESFCLLEGQAVDKLVALLDHTNEKVVEAALAALSTLLDDGVDIEQGVMLLCEAEGVKPILDVLLEKRTENLRRRAVWVVERLLRSDEIAYEVSGDPNVSTALVDAFQHADYRTRQIAERALKHVDRLPNFSGVFPNVG >ONI07859 pep chromosome:Prunus_persica_NCBIv2:G5:13252770:13256391:-1 gene:PRUPE_5G143200 transcript:ONI07859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRLNGAVWMGDREDRDSASWNRTTAATTNNSNCGVVESKDELGSLSTFKSMLDVDDDWYLPNNSIQSHSDVRDIAFSPSFADPESLLLNPVDSSSSCSPSSSVFNNLDPNQVHYYLPQNSTLSSLLNVVPNNPLEHGFDLGCDIGFLDTQASSGSSLMNRGGGVLTGFSGLSSNNPMNAQDLCSNLQFSTTRLPQLLENSSNFSGFRGFEDTSANALFPNRPKLLRPLDSVPDVGAPPTLFQKRVQKNLADNGGNLGVLGSEGGLALNHMGEENERKRKLSGGDDFEDVSIDGSGLNYDSDDFTENTKMDDGAKNGGNSSNANSTVTGGGDHKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINNLHNELESIPPGSSLTPTGSTFHPLTPTPATLPSRIKEELCPSSLPSPNGQPARVEVRLREGRAVNIHMFCGRRPGLLLSTMRTLDSLGLDIQQAVISCFNGFAMDVFRAEQCKEGQDVHPDQIKAVLLESVGFHGLI >ONI07318 pep chromosome:Prunus_persica_NCBIv2:G5:11670626:11672583:1 gene:PRUPE_5G113000 transcript:ONI07318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGQDVHASDNRTKSLMFVQITCLPSHTSESSFQLNRLKRDVIIMQPSQQNWMNTKQQINGKRIQKAPIEVPSVSSHVKKSEASQRNQRKRDEHSSTNKLKTRIRTTTR >ONI09216 pep chromosome:Prunus_persica_NCBIv2:G5:17387741:17390331:-1 gene:PRUPE_5G224400 transcript:ONI09216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGISLHLLPHSLLLCSAAGSTQSPKRARPISRISPRMALATPITFGFNNLLETFTVNVQRAENRPLNVPLIAPFTIATSRLDKVENVAIRVELSNGCVGWGETPILPFVTAEDQHTAMVKAREVCDFLLRSPAKTLGSLLGEIGGLLPGYEFASVRAGVEMALIDAVSRSIGVPLWRLFGGASNTITTDITIPIVSPGEAATLASKYREQGFRTLKLKVGKNLISDIEVLLAIRAVHADCDFILDANEGYTSEEAIQVLDKLYEVGVSPVLFEQPVHRDDWEGLGYVSRIARDKYGVSVAADESCRSLVDVKKIVAENLADVVNIKLAKVGVVGALEIIEVAKSSGLTLMIGGMVETRLAMGFAGHLAAGLGCFKFVDLDTPLLLSEDPVREGYEVSGAVYTFKNARGNGGFLHWKNIA >ONI09215 pep chromosome:Prunus_persica_NCBIv2:G5:17387309:17390748:-1 gene:PRUPE_5G224400 transcript:ONI09215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGISLHLLPHSLLLCSAAGSTQSPKRARPISRISPRMALATPITFGFNNLLETFTVNVQRAENRPLNVPLIAPFTIATSRLDKVENVAIRVELSNGCVGWGETPILPFVTAEDQHTAMVKAREVCDFLLRSPAKTLGSLLGEIGGLLPGYEFASVRAGVEMALIDAVSRSIGVPLWRLFGGASNTITTDITIPIVSPGEAATLASKYREQGFRTLKLKVGKNLISDIEVLLAIRAVHADCDFILDANEGYTSEEAIQVLDKLYEVGVSPVLFEQPVHRDDWEGLGYVSRIARDKYGVSVAADESCRSLVDVKKIVAENLADVVNIKLAKVGVVGALEIIEVAKSSGLTLMIGGMVETRLAMGFAGHLAAGLGCFKFVDLDTPLLLSEDPVREGYEVSGAVYTFKNARGNGGFLHWKNIA >ONI08964 pep chromosome:Prunus_persica_NCBIv2:G5:16703690:16706264:-1 gene:PRUPE_5G210000 transcript:ONI08964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEAQNFLESQDNSSNFGQDLKSWLSGDANSSPTQSSLAHSSTTTTHNSNVDRVLFKDLVEIVPLVQSLIDRKASSSFTRRGSVTYTKTPSRESLSKKVTEQKGRNPAQSIPIRKKRDHGDKDPSTNSSNNPDSDIFSNFSSRTSAEQEELDALRVQVDDLQRKVLEKEELLKSSEISKDQMKAIQAKLDEMKHQVSEKDALIKAAKLQLSDAKIKLADKQAALEKLQWEAMTSNRKVEKLQEELDSLQGQISSFMFLFEGLMKADAIVYGEDYDISPCTVDHLPHIDDLDETHMRKMEEARKVYITAVAAAKEKQDEESIAAAASARLQLQSLVL >ONI08965 pep chromosome:Prunus_persica_NCBIv2:G5:16703336:16706467:-1 gene:PRUPE_5G210000 transcript:ONI08965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEAQNFLESQDNSSNFGQDLKSWLSGDANSSPTQSSLAHSSTTTTHNSNVDRVLFKDLVEIVPLVQSLIDRKASSSFTRRGSVTYTKTPSRESLSKKVTEQKGRNPAQSIPIRKKRDHGDKDPSTNSSNNPDSDIFSNFSSRTSAEQEELDALRVQVDDLQRKVLEKEELLKSSEISKDQMKAIQAKLDEMKHQVSEKDALIKAAKLQLSDAKESGEASGGARLLARTDFIVYVPI >ONI06826 pep chromosome:Prunus_persica_NCBIv2:G5:9632319:9634165:1 gene:PRUPE_5G083600 transcript:ONI06826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVATSVLSKHLRYAWAWSTLTLDFDPEETLIDFIYHSRTLQNKKSHRYVNWVNRVVEQHSGPNIKLFRACFDLDRRFTSSIDKWIEFALKKRVQVLVLDFVKEYGVNKDSYMFPRKLLGLEEGFASRHCVGLKSLQNECDDLKRVEISDTNLVSFIHTGTAIDLRLSNVPSLVEEYNKDYTFPILPNLKHLELTVEGDYKLSLSQLSSFMKASPYLQRLALKLRFWENPFNEGRKIKKAVKWPHHYIKVVEVLGYCDRTNALEHVTFLMENVVALEKLVIVPYWSWDCHHSGSESGKKERKKEAKARRHANMHLKNRVPSTVEFVCLK >ONI07416 pep chromosome:Prunus_persica_NCBIv2:G5:11968096:11969305:-1 gene:PRUPE_5G118800 transcript:ONI07416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLQILIIFLLSAGACAESSCSSSYISSIPIKFPFQLKTLEPSRCGYEGFDVSCNKSNHSQTLLTLPSSGDFIVQGIYYLRQRLVIADPANCLPRRFLEHDFSLIGSPFSFIHGLENYTFLNCSYQVETSHESISCLSNELYKVIGVPSKSINFSAPSLCSVISTALVPLSGSFETDGWDLLNYYFELAWDVPDCRFCEQSGNVCGFKDGKSSELKCSSIYSSKKGLSGIAKYAFMAFTGIMAIIFILTLVLQLRDSDRAHQPMTELSTVTDRQPLVIRIGLDDATIESYPTTQLGESWELPKPNDSTCPICLSTYKPKETLRTISECNHYFHASCVDEWLRVSATCPLCRNPSEGNKISHLATEA >ONI08247 pep chromosome:Prunus_persica_NCBIv2:G5:14434202:14436508:1 gene:PRUPE_5G166900 transcript:ONI08247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPENDEKSLHQELSLIILLADRVIKSAQEAECSKLDCADLAKQVDRLSQMLRSTVRIAATTQSLYERPVRRIVADVAKNLDRALTLVRKCKHSGVLRQVFSITTTADFRKVSNLLESSIGDMKWLLSVFESDGANLSLPPIASNDPILSWVWSYIATIQMGQLRDRVDAANSLASLARDNDRNKKIIVDEGGLTPLLKLLKEGSSPDAQVAAANALFHIATDLERVRIIIDLMGISVVVSVLGDSPMRVQTSVVKLVSEMAGLDRVAQEEFGRENVTRPLVSLLSMDTVLDDPKVQTGKPSIHNLVQINKELAVKGSNANSRSSSFSSHYHSDGSSRGAHYRKERDREAESPEVKLELKVGCAEALWKLCKECLLNSRKVTETKGLICLAKIIETEVGELQLNCLMTVMEIAAVAESNPDLRRAAFKPTSPAAKAVLDQLLRVIQEESSQELQIPAIKAIGSLARTFPARETRIVGPLVARLGNGDVDVATEAAIALGKFVSTDNFNCVEHSKTIIEFDGVPSLMRLLRTTDRINEQGHVNCLVLLCYLALHVGNSKALEQARALNTLEGGARSVVAQYPDLRDLFAKSMHNLTLYQAGAHPHRQTYMP >ONI08068 pep chromosome:Prunus_persica_NCBIv2:G5:13952706:13955300:-1 gene:PRUPE_5G156700 transcript:ONI08068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQEGPQQPHLVLAHKLFLLSHPDVQDIEKVQLREEVFASVKADDMAPLYETLVSESVLPLDQSVLDSMRAKIQDELKKLDEKIADAEENLGESEVREAHLAKSLFFIRIGDKEKALEQLKVTESKTVAVGQKMDLVFYTLQLGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAADLFLDSISTFTTYEIFPYDIFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPYLTEFLNSLYECQYKSFFAAFAGLTEQIKLDRYLHPHFRYYMREIRTVVYSQFLESYKSVTIEAMAKAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNSLYQATIKQGDFLLNRIQKLSRVIDL >ONI06927 pep chromosome:Prunus_persica_NCBIv2:G5:10014835:10017090:-1 gene:PRUPE_5G089400 transcript:ONI06927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIQFNLSVQLVSPPNRNVSAKQTKLFIDVRFVPVLSIAICFPHVRFLQDNSKLDKCHHHFPRMLVVLSLITAISIYPRCKTVALKICFQIVKYMVRRPLKRLFIDISFYFSKSSPPRLTCE >ONI07265 pep chromosome:Prunus_persica_NCBIv2:G5:11490720:11494441:-1 gene:PRUPE_5G110300 transcript:ONI07265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTCSHSLIRRPFLQSPAPKTRVSTRPGALYPISRSFRIFESHSRPSSTRWTRISCFRQENSSSETPKADYIGHLVPEEVVKSEFDDSKAADAVFRAIGSRWSVPWTAETIMQVMLLWVVAFWFIGSWMVPFAAHLAGFSRESLTFRGQALFSLVTDVTEGLAGIVILYRCLSRFRPLPPDWFKFSLKGSWQLDVALGCLMFPLVNRLSQFNLNLLPLLPSTPVTISSVEQSILARDPVAMALYAVVVSVCAPVWEEIVFRGFLLPSLTKYMPVWSAILVSSVVFALAHFNVQRMLPLIFLGVVMGVIFARSRNLLPSMLLHSLWNGFVFLDLMK >ONI07266 pep chromosome:Prunus_persica_NCBIv2:G5:11491321:11493821:-1 gene:PRUPE_5G110300 transcript:ONI07266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTCSHSLIRRPFLQSPAPKTRVSTRPGALYPISRSFRIFESHSRPSSTRWTRISCFRQENSSSETPKADYIGHLVPEEVVKSEFDDSKVVKKDWGFTLREAADAVFRAIGSRWSVPWTAETIMQVMLLWVVAFWFIGSWMVPFAAHLAGFSRESLTFRGQALFSLVTDVTEGLAGIVILYRCLSRFRPLPPDWFKFSLKGSWQLDVALGCLMFPLVNRLSQFNLNLLPLLPSTPVTISSVEQSILARDPVAMALYAVVVSVCAPVWEEIVFRGFLLPSLTKYMPVWSAILVSSVVFALAHFNVQRMLPLIFLGVVMGVIFARSRNLLPSMLLHSLWNGFVFLDLMK >ONI08273 pep chromosome:Prunus_persica_NCBIv2:G5:14510847:14513772:-1 gene:PRUPE_5G168700 transcript:ONI08273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQLMAKCRTRQEENEEIGKEASEGKMQELSMKLALQKSLNAELKSQFEGR >ONI06658 pep chromosome:Prunus_persica_NCBIv2:G5:8760421:8761486:1 gene:PRUPE_5G073500 transcript:ONI06658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKRSSTLALFLSINLLFCVLANGCYTCSRQPHQPITAPKTNPIPSVATKSCPRDALKLGVCANLLKGAVGAIIGNPPDTPCCALLEGLLDLEVAMCLCTAIKANILGINLNIPIALSLVIDGCAKTFPPGFQCA >ONI09266 pep chromosome:Prunus_persica_NCBIv2:G5:17495444:17497427:-1 gene:PRUPE_5G227400 transcript:ONI09266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEACEIEEDCDRPRSPRPWLGRVKRSIFRSIHCIASTSSKIFRPFVKLENAKRPLTTGMVATEKAWDLEMIMMIKKAAWLNDNFFFVWFLVCARQFLFSSVIKFQVI >ONI05905 pep chromosome:Prunus_persica_NCBIv2:G5:3294434:3300516:-1 gene:PRUPE_5G028300 transcript:ONI05905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTTISTITCSGFSFSQNPINTSQLSSLQKLCKPKWSCISFSLTDLYFPSPRLLFGNGRHLKTSYSPKVSSQSLSTIPVPSKNYEFLDGSSEVELRLQLGGQNIQSSRDIFVDANGTSLTIKVQHSGSLITHMETNHLFDKIKPAETIWYIDDDELVINLKKQDPELKWPDIMESWESLTLGSMQLLKGASIYIVGDSTEINQKVAQELAVGLGYTPLSTKELLETFAKQSIDSWLLAEGSDSVAEAESAILQSLSSHVRAVVATLGGQQGAARRAGKWRHLYAGFTVWLSQTEATDEDSAKEEARSHIKDGILAYSNADVVVKLQGWDTDHTKSVAEGCLSALKQLILSDKKLPGFLPGHLILTLVCKMGSCSGCLITSSLSLTQLKLL >ONI05907 pep chromosome:Prunus_persica_NCBIv2:G5:3297797:3300422:-1 gene:PRUPE_5G028300 transcript:ONI05907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTTISTITCSGFSFSQNPINTSQLSSLQKLCKPKWSCISFSLTDLYFPSPRLLFGNGRHLKTSYSPKVSSQSLSTIPVPSKNYEFLDGSSEVELRLQLGGQNIQSSRDIFVDANGTSLTIKVQHSGSLITHMETNHLFDKIKPAETIWYIDDDELVINLKKQDPELKWPDIMESWESLTLGSMQLLKGASIYIVGDSTEINQKVAQELAVGLGYTPLSTKELLETFAKQSIDSWLLAEGSDSVAEAESAILQSLIMFEQ >ONI05903 pep chromosome:Prunus_persica_NCBIv2:G5:3293714:3300422:-1 gene:PRUPE_5G028300 transcript:ONI05903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTTISTITCSGFSFSQNPINTSQLSSLQKLCKPKWSCISFSLTDLYFPSPRLLFGNGRHLKTSYSPKVSSQSLSTIPVPSKNYEFLDGSSEVELRLQLGGQNIQSSRDIFVDANGTSLTIKVQHSGSLITHMETNHLFDKIKPAETIWYIDDDELVINLKKQDPELKWPDIMESWESLTLGSMQLLKGASIYIVGDSTEINQKVAQELAVGLGYTPLSTKELLETFAKQSIDSWLLAEGSDSVAEAESAILQSLSSHVRAVVATLGGQQGAARRAGKWRHLYAGFTVWLSQTEATDEDSAKEEARSHIKDGILAYSNADVVVKLQGWDTDHTKSVAEGCLSALKQLILSDKKLPGKKSLYIRLGCRGDWPNIKPPGWDPSAGDDVSPSGF >ONI05904 pep chromosome:Prunus_persica_NCBIv2:G5:3293714:3299670:-1 gene:PRUPE_5G028300 transcript:ONI05904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNHLFDKIKPAETIWYIDDDELVINLKKQDPELKWPDIMESWESLTLGSMQLLKGASIYIVGDSTEINQKVAQELAVGLGYTPLSTKELLETFAKQSIDSWLLAEGSDSVAEAESAILQSLSSHVRAVVATLGGQQGAARRAGKWRHLYAGFTVWLSQTEATDEDSAKEEARSHIKDGILAYSNADVVVKLQGWDTDHTKSVAEGCLSALKQLILSDKKLPGKKSLYIRLGCRGDWPNIKPPGWDPSAGDDVSPSGF >ONI05902 pep chromosome:Prunus_persica_NCBIv2:G5:3293366:3300516:-1 gene:PRUPE_5G028300 transcript:ONI05902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTTISTITCSGFSFSQNPINTSQLSSLQKLCKPKWSCISFSLTDLYFPSPRLLFGNGRHLKTSYSPKVSSQSLSTIPVPSKNYEFLDGSSEVELRLQLGGQNIQSSRDIFVDANGTSLTIKVQHSGSLITHMETNHLFDKIKPAETIWYIDDDELVINLKKQDPELKWPDIMESWESLTLGSMQLLKGASIYIVGDSTEINQKVAQELAVGLGHVRAVVATLGGQQGAARRAGKWRHLYAGFTVWLSQTEATDEDSAKEEARSHIKDGILAYSNADVVVKLQGWDTDHTKSVAEGCLSALKQLILSDKKLPGKKSLYIRLGCRGDWPNIKPPGWDPSAGDDVSPSGF >ONI05906 pep chromosome:Prunus_persica_NCBIv2:G5:3294692:3300516:-1 gene:PRUPE_5G028300 transcript:ONI05906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTTISTITCSGFSFSQNPINTSQLSSLQKLCKPKWSCISFSLTDLYFPSPRLLFGNGRHLKTSYSPKVSSQSLSTIPVPSKNYEFLDGSSEVELRLQLGGQNIQSSRDIFVDANGTSLTIKVQHSGSLITHMETNHLFDKIKPAETIWYIDDDELVINLKKQDPELKWPDIMESWESLTLGSMQLLKGASIYIVGDSTEINQKVAQELAVGLGYTPLSTKELLETFAKQSIDSWLLAEGSDSVAEAESAILQSLIMFEQ >ONI06163 pep chromosome:Prunus_persica_NCBIv2:G5:4884625:4886641:1 gene:PRUPE_5G044700 transcript:ONI06163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRETLPKDDKSVKCHRNKNKKAKSDCKDLTNKNCYDHDNNHSGGAMKLMMMMMAMALLTTSHGIGIHINTSVVTGGYSASRCNGLTDTACRIAHSELDFDLEFMLDSEFSIRLLQTNDAHLSLESLVATKAVSCNRVGNTDSCHDKGNKIPIPDNCKKEPTLKGCYKHPP >ONI05954 pep chromosome:Prunus_persica_NCBIv2:G5:3561766:3568319:-1 gene:PRUPE_5G031300 transcript:ONI05954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECSTGALVPAVKPEPKPSSIEASADPGSNDVDRPTHEKGLMEVDKDLLCPICMQLVKDAFLTACGHSFCYMCIITHLRNKSDCPCCAQFLSTNQLFPNFLLDKLLKKASARQISKSASPVEHFRQALQQGCEVSIKELDTLLTLLAEKKRKMEQEEAERNMQILLDFLNCLRKQKVEELNEVQTHLQFIKEDIGAVERRRMDLYRARDRYSVKLRMLGGDDSISGARKSWPSSIDNNTSSLSGRAGMSSWNLQPKKLDGWGLQKKDALSASDSQYMTQSGLAVARKKRVHSQFDELQECYLQKRRQMANQPYTQQERDKNVMQREGYTTGLADFQSVLTTLTRYSRMRVIAELRHGDLFHPANIVSSIEFDRDYELFATAGVSRCIKVFDFSSVVNDPADVHCPVVEMPTRSKLSCLSWNKFTKNHIASTDYEGIVTVWDVNTRQSVMEYEEHEKRAWSVDFSCTEPTRLVSGSDDCKVKVWCTRQETSVLDIDMKANICCVKYNPGSSNCIAVGSADHHIHYYDLRNPSQPLHVFTGHKKAVSYVKFLSNYELASASTDSTLRLWNVKENIPVRTFKGHTNEKNFVGLTVNGEYIACGSETNEVFVYHKEISKPVTWHRFGSPDLDDTDDDAGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAA >ONI05955 pep chromosome:Prunus_persica_NCBIv2:G5:3561766:3568319:-1 gene:PRUPE_5G031300 transcript:ONI05955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECSTGALVPAVKPEPKPSSIEASADPGSNDVDRPTHEKGLMEVDKDLLCPICMQLVKDAFLTACGHSFCYMCIITHLRNKSDCPCCAQFLSTNQLFPNFLLDKLLKKASARQISKSASPVEHFRQALQQGCEVSIKELDTLLTLLAEKKRKMEQEEAERNMQILLDFLNCLRKQKVEELNEVQTHLQFIKEDIGAVERRRMDLYRARDRYSVKLRMLGGDDSISGARKSWPSSIDNNTSSLSGRAGMSSWNLQPKKLDGWGLQKKDALSASDSQYMTQSGLAVARKKRVHSQFDELQECYLQKRRQMANQPYTQQERDKNVMQREGYTTGLADFQSVLTTLTRYSRMRVIAELRHGDLFHPANIVSSIEFDRDYELFATAGVSRCIKVFDFSSVVNDPADVHCPVVEMPTRSKLSCLSWNKFTKNHIASTDYEGIVTVWDVNTRQSVMEYEEHEKRAWSVDFSCTEPTRLVSGSDDCKVKVWCTRQETSVLDIDMKANICCVKYNPGSSNCIAVGSADHHIHYYDLRNPSQPLHVFTGHKKAVSYVKFLSNYELASASTDSTLRLWNVKENIPVRTFKGHTNEKNFVGLTVNGEYIACGSETNEVFVYHKEISKPVTWHRFGSPDLDDTDDDAGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAA >ONI05956 pep chromosome:Prunus_persica_NCBIv2:G5:3561342:3569544:-1 gene:PRUPE_5G031300 transcript:ONI05956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECSTGALVPAVKPEPKPSSIEASADPGSNDVDRPTHEKGLMEVDKDLLCPICMQLVKDAFLTACGHSFCYMCIITHLRNKSDCPCCAQFLSTNQLFPNFLLDKLLKKASARQISKSASPVEHFRQALQQGCEVSIKELDTLLTLLAEKKRKMEQEEAERNMQILLDFLNCLRKQKVEELNEVQTHLQFIKEDIGAVERRRMDLYRARDRYSVKLRMLGGDDSISGARKSWPSSIDNNTSSLSGRAGMSSWNLQPKKLDGWGLQKKDALSASDSQYMTQSGLAVARKKRVHSQFDELQECYLQKRRQMANQPYTQQERDKNVMQREGYTTGLADFQSVLTTLTRYSRMRVIAELRHGDLFHPANIVSSIEFDRDYELFATAGVSRCIKVFDFSSVVNDPADVHCPVVEMPTRSKLSCLSWNKFTKNHIASTDYEGIVTVWDVNTRQSVMEYEEHEKRAWSVDFSCTEPTRLVSGSDDCKVKVWCTRQETSVLDIDMKANICCVKYNPGSSNCIAVGSADHHIHYYDLRNPSQPLHVFTGHKKAVSYVKFLSNYELASASTDSTLRLWNVKENIPVRTFKGHTNEKNFVGLTVNGEYIACGSETNEVFVYHKEISKPVTWHRFGSPDLDDTDDDAGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAA >ONI05953 pep chromosome:Prunus_persica_NCBIv2:G5:3561489:3569524:-1 gene:PRUPE_5G031300 transcript:ONI05953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECSTGALVPAVKPEPKPSSIEASADPGSNDVDRPTHEKGLMEVDKDLLCPICMQLVKDAFLTACGHSFCYMCIITHLRNKSDCPCCAQFLSTNQLFPNFLLDKLLKKASARQISKSASPVEHFRQALQQGCEVSIKELDTLLTLLAEKKRKMEQEEAERNMQILLDFLNCLRKQKVEELNEVQTHLQFIKEDIGAVERRRMDLYRARDRYSVKLRMLGGDDSISGARKSWPSSIDNNTSSLSGRAGMSSWNLQPKKLDGWGLQKKDALSASDSQYMTQSGLAVARKKRVHSQFDELQECYLQKRRQMANQPYTQQERDKNVMQREGYTTGLADFQSVLTTLTRYSRMRVIAELRHGDLFHPANIVSSIEFDRDYELFATAGVSRCIKVFDFSSVVNDPADVHCPVVEMPTRSKLSCLSWNKFTKNHIASTDYEGIVTVWDVNTRQSVMEYEEHEKRAWSVDFSCTEPTRLVSGSDDCKVKVWCTRQETSVLDIDMKANICCVKYNPGSSNCIAVGSADHHIHYYDLRNPSQPLHVFTGHKKAVSYVKFLSNYELASASTDSTLRLWNVKENIPVRTFKGHTNEKNFVGLTVNGEYIACGSETNEVFVYHKEISKPVTWHRFGSPDLDDTDDDAGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAA >ONI06625 pep chromosome:Prunus_persica_NCBIv2:G5:8571822:8572972:1 gene:PRUPE_5G070900 transcript:ONI06625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLDGGWGVRVGMASVSDVGFVYSSTSLRPFLSFPLSNSSLLFSSPFLSFHFLSFPLFYFSLSIYTGDLSVIWLFASLAGKDVDSETKVKSIEADLKILKLQERAMSLAPSFHPSYSTVGGSSVKIFFQSLHLRD >ONI09194 pep chromosome:Prunus_persica_NCBIv2:G5:17299305:17304486:1 gene:PRUPE_5G222900 transcript:ONI09194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSCSDRINIAASAQKLDVDNRIPLRIYFRIANNILKQADIFRQERNIIDLYIMLLRFSSLVSETIPCHRDYRASVQREKVFLKKKLFNALNELEELKPAVKQKNDEFNRRNENQNNGWGRNHQIAAVIQSPLKKQTLSSYDLTKAGQFSYQTPRAQQVSYSRPVEDQFRKLSVSIPLPREETLSRHSILGPNGLHGQWQPPRSDIGVRYPSILDLTPIEIPRLGQSIEEEHTIIKDTSNSEPEKSTLDPILTQNTDDGPMLPTEELHSLISFESTETPDHTKIIRQPSPPPVLAEVQDLIPAVSPQVPEVECGLETLSSDDLLRAESPLQLHISTTMMEHFMKLAKSNTDKNLETCGVLAGSLKNRKFFVTALIIPKQESTSDSCQTTNEEEIFEVQDKQSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPEAVAIVMAPKGGTRTHGIFRLTTPGGMSVIRQCQQRGFHPHDQPPDGGPIYKTCADVYMNPNLKFDVIDLR >ONI07774 pep chromosome:Prunus_persica_NCBIv2:G5:13034199:13035697:-1 gene:PRUPE_5G138800 transcript:ONI07774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKIFNWSSYGKFSNESHQLATQKLEAELQNWRACIAEYVSSQMSYIEALHGWLHKFVTPETESYTGAWFSLRPSKVNMILSLEICHNWLVCLDKLPDKDVTCAMKSFGKDVQALMVQQGKEHQQKRKVDGLARKYPRKVLAFEIMKSILQLKFFEKEMKLHAQKGLTKMENELRGDGETSYQHEGHKILQ >ONI09472 pep chromosome:Prunus_persica_NCBIv2:G5:18121478:18125678:-1 gene:PRUPE_5G240500 transcript:ONI09472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSGNKVRKLEFLMADAVAKGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRTSKVLVDQDPGLTGNLLVERLVGAHVELISKEEYAKFGSGDLTNLLKERLLKEGRRPYVIPVGGSNSLGTWGYIEAIREIEEQLQSGTDKVKFDDIVVACGSGGTIAGLGLGSWLSSLKAKVRAFSVCDDPDYFYDYVQGLLDGLEAGVDSRDIVNVQNARGLGYAINTSEELKFVAEIAASTGVVLDPVYSGKAAYGMLKDMAENTKKWEGRKILFIHTGGLLGLFDKVEQMAPSLGNWRRMDVQESVPPSDGIGKMF >ONI09474 pep chromosome:Prunus_persica_NCBIv2:G5:18121613:18125560:-1 gene:PRUPE_5G240500 transcript:ONI09474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCNRSFPIPPINKVTAVITQHNVHHHKRLDILRLFQSHQTRTMEVEANTNSSHMRAVGLDFLTKKAYTPPSWASHINPIPSHIFSLGHLPTPIHKWNLPNLPTNTEVWLKRDDLSGMQLSGNKVRKLEFLMADAVAKGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRTSKVLVDQDPGLTGNLLVERLVGAHVELISKEEYAKFGSGDLTNLLKERLLKEGRRPYVIPVGGSNSLGTWGYIEAIREIEEQLQSGTDKVKFDDIVVACGSGGTIAGLGLGSWLSSLKAKVCPCLFCL >ONI09473 pep chromosome:Prunus_persica_NCBIv2:G5:18121613:18125560:-1 gene:PRUPE_5G240500 transcript:ONI09473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCNRSFPIPPINKVTAVITQHNVHHHKRLDILRLFQSHQTRTMEVEANTNSSHMRAVGLDFLTKKAYTPPSWASHINPIPSHIFSLGHLPTPIHKWNLPNLPTNTEVWLKRDDLSGMQLSGNKVRKLEFLMADAVAKGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRTSKVLVDQDPGLTGNLLVERLVGAHVELISKEEYAKFGSGDLTNLLKERLLKEGRRPYVIPVGGSNSLGTWGYIEAIREIEEQLQSGTDKVKFDDIVVACGSGGTIAGLGLGSWLSSLKAKVRAFSVCDDPDYFYDYVQGLLDGLEAGVDSRDIVNVQNVRVWAMQ >ONI09471 pep chromosome:Prunus_persica_NCBIv2:G5:18121475:18125678:-1 gene:PRUPE_5G240500 transcript:ONI09471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCNRSFPIPPINKVTAVITQHNVHHHKRLDILRLFQSHQTRTMEVEANTNSSHMRAVGLDFLTKKAYTPPSWASHINPIPSHIFSLGHLPTPIHKWNLPNLPTNTEVWLKRDDLSGMQLSGNKVRKLEFLMADAVAKGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRTSKVLVDQDPGLTGNLLVERLVGAHVELISKEEYAKFGSGDLTNLLKERLLKEGRRPYVIPVGGSNSLGTWGYIEAIREIEEQLQSGTDKVKFDDIVVACGSGGTIAGLGLGSWLSSLKAKVRAFSVCDDPDYFYDYVQGLLDGLEAGVDSRDIVNVQNARGLGYAINTSEELKFVAEIAASTGVVLDPVYSGKAAYGMLKDMAENTKKWEGRKILFIHTGGLLGLFDKVEQMAPSLGNWRRMDVQESVPPSDGIGKMF >ONI08746 pep chromosome:Prunus_persica_NCBIv2:G5:16000710:16001961:1 gene:PRUPE_5G198500 transcript:ONI08746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEHFAPEGFEFKQIPKTHLKECSMVAIRDSPLSSYNDCSVFPPINHENLHLPSQPNQQHSDPSPSSPSLSSSSSSSLSSFSPSDSDESGPVSPLSSGTQVRKPGQGTTWIGIRVEVVRSKLFAMASSFGFDKVWCFASGAGMAAGVFILLSLYLRARQRRYRNHLKLIVKEKDEKINKLLHQIAQMNEVLVARHRVLASKLAN >ONI05689 pep chromosome:Prunus_persica_NCBIv2:G5:2095972:2105358:-1 gene:PRUPE_5G019200 transcript:ONI05689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSRFFYKRPPDGLLEFVERVYVFDSCFSTEVLPEEMYQIYLDEIITELHEEFPDSSFLAFNFREGEKRSQFAEILCEYDVTVMDYPRQYEGCPILPLSLIQHFLRVCESWLLLDKQQNVILLHCERGGWPLLAFLLASFLIFRKLHSGERKTLEIVHREAPKGFLQLLSPLNPLPSQLRYLQYVARRNIAPEWPPPERALSLDCVILRAIPNFDTHKGCTPIIRIFGRNLLSKGGLSTQMIFSMPRKKTLRNYRQEDCDVIKIDIQCLVQGDVVLECVHVDLDPEREIMMFRIMFNTAFIRSNILMLNSDNLDILWDSKERYPKGFRAEVLFGEFESISPPKAPTTILNGEEKGGLPLEAFSRVQELFNGVEWVDSNDDAALWLLKQLSVLSDAKEISRLQNKVNLYSSPADSEEENNASSTADSADEAFDTVSKASADSTKALMLDTFDSMPVSFENDGPPEANLASESPDQELIGPVLHSLHQQSLDTSNGSPPCSSPQSLPLPPLSMEPLCPVLHPPPPPPPPPPPPPTPPPAPSQHRLLFLQLNKLQPPPPPPPSISNFWPWTTASSTSSTIQSSYGSGPTPPPPPPPMSGSLGNNTRPSPPPPPPLGPGRPTPPPPPPAPMPPSAPPPPSSHGATPPPPPHGAKGSNAPPPPPPAIGRGRASSGLTSLGNGRGIGATNAPKKNSLKPLHWVKVTRAMQGSLWADSQQQENLSRAPDIDMTELQNLFSAASASDGNGSRGGGRRGSTITKPEKVQLVDLRRAYNCEIMLSKVKIPLPDMINAVLALDSSVLDIDQVENLIKFCPSKEEMETLKNYTGDKEMLGKCEQFFVELMKVPRVESKLRVFAFKITFSSQVNDLRLHLNTINGAAREVKESAKLRQIMQTILTLGNALNQGTARGSAIGFKLDSLLKLSDTRARNNKMTLMHYLCKLIAEKMPELLDFNKDLVHLEAASKIQLKALAEEMQAVSKGLEKVEQELAASENDGAISAGFQKVLKHFLDTAEAEVRSLISLYSEVGRNADSLSQYFGEDPARCPFEQVTQVLFVFVKMFNKSREENERLADVEKRKLEKEAMKERTVTNSSARKDGVK >ONI06788 pep chromosome:Prunus_persica_NCBIv2:G5:9440469:9443563:1 gene:PRUPE_5G080900 transcript:ONI06788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYVWLISFLFLIALLALVVFQLMCLADLEFDYINPYDSSNRINRVILPEYIAEGVLGAFFLLTGHWCMSLFCGPYLYYNVKLYMRKQHLVDVTEIFNMLHKEKKIRLFKLFYLVFLLFLSIFWMILTALEDHE >ONI06186 pep chromosome:Prunus_persica_NCBIv2:G5:4993486:4998570:1 gene:PRUPE_5G046300 transcript:ONI06186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDQRLLFLSSSSHFPPPQGVKLSYGTSGFRADASILQSTVYRVGILAALRALKTQSVIGLMITASHNEVSDNGVKVADPSGGMLSQDWEPFADTLANARHPQHLVELIAEFVQKENITLDGAKSVEILLARDTRPSGEFLLEAAKQGIGSIIGAVALDMGILTTPQLHWMVRARNGGVKASETDYFKQLSSSFRCLVDLSPSGTHANNVDDKLVVDGANGVGGEKLEILKTMLNGLAIEVRNSGKEGGVLNEGVGADYVQKEKVVPFSFGSQDIGLRCASLDGDADRLVYFVVPSTSSNKIELVDGDKILSLFAIFIKEQLSILNKEIDVKAKNGYQCHLGIVQTAYANGASTDYLKQLGLEVTFTPTGVKYLHEKAAGYDIGIYFEANGHGTILFSEQFLCWLKARTTELSAIAKGSEQHKAALRLLAVSELINQAVGDALSGVLLVEAILKHMGWSIQRWNELYQDLPSRQLKVKVVDRSAVVTANAETVAVTPPGIQEAINAETAKHLRGRCFIRPSGTEDVIRVYAEASSQDAADNLAHSVAKLVDQFLGFGSS >ONI06185 pep chromosome:Prunus_persica_NCBIv2:G5:4993828:4997133:1 gene:PRUPE_5G046300 transcript:ONI06185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDQRLLFLSSSSHFPPPQGVKLSYGTSGFRADASILQSTVYRVGILAALRALKTQSVIGLMITASHNEVSDNGVKVADPSGGMLSQDWEPFADTLANARHPQHLVELIAEFVQKENITLDGAKSVEILLARDTRPSGEFLLEAAKQGIGSIIGAVALDMGILTTPQLHWMVRARNGGVKASETDYFKQLSSSFRCLVDLSPSGTHANNVDDKLVVDGANGVGGEKLEILKTMLNGLAIEVRNSGKEGGVLNEGVGADYVQKEKVVPFSFGSQDIGLRCASLDGDADRLVYFVVPSTSSNKIELVDGDKILSLFAIFIKEQLSILNKEIDVKAKNGYQCHLGIVQTAYANGASTDYLKQLGLEVTFTPTGVKYLHEKAAGYDIGIYFEANGHGTILFSEQFLCWLKARTTELSAIAKGSEQHKAALRLLAVSELINQAVGDALSGVLLVEAILKHMGWSIQRWNELYQDLPSRQLKAIVDRSAVVTANAETVAVTPPGIQEAINAETAKHLRGRCFIRPSGTEDVIRVYAEASSQDAADNLAHSVAKLVDQFLGFGSS >ONI07348 pep chromosome:Prunus_persica_NCBIv2:G5:11766060:11773892:-1 gene:PRUPE_5G114800 transcript:ONI07348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLVAEEVTSSDPAEGAQLQRKENEFSLKPENNTLECQEMRIPGEDNYSSSSRQEFLEMFDSHSVDRNMRHVNGLEHQYNSLGFMEDAGFTVEELTVRNCNNPNLAILDTSNNQGKMQARQNSWQHLYQLASGSGSGSSRVSTAFRDNGQVMPNGLENGRSTSFPEFLTQKAFSDNHYEVVEELTNTGNRGVSGNTYTGIRTKILSKSGFSEFFVKNTLKGKGVICKGPYHASCHVEPRNLNIANVVDGSMSASLGGGSMAASDPILSLDANIFMPSSNGENVGPRPCGSDHDGISLREWLKTERPKANKVECMNIFRQIVDLVDHFHSQGVALHGLRPFFFQLLPSNQVKYVGLLVQKEMSASIMDEDISHSENSSIRKRLVEQEFSSVSLSAKKQKISQNTRLQWPQFPTTSYAKRETMNTSCINITGLQNRSDAFDERNPDPKHGTRIKSSSPHMRNAAQQLTSISDHLEEKWYISPEELSEGSCTALSNIYNLGVLLFELLAHFDSNSALAAAMSNLRHRILPPNFLSENAKEAGFCLWLLHPDPSSRPTTREILQSEVVNGLQEVCVEELSSSVDQEDAELELLLHFLTSMKEKKQKAATKLMETIRFLEADVEEVERRHCSRKPLIDRCLYNESLNVRKNTLVLEEDSRSEGLSPISSVPSSNDSRLMRNIDQLESAYFSMRSRIQYPETDSTIRTDKDLLRNRKNWCVATKDEEKETATDRLGAIFDGLCRYAHYSKFEVRGILRNGDFNSSSNVICSLSFDRDEDYFAAAGISKKIKIFEFNAFFNDSVDIHYPAIEMSNKSKISCVCWNNYIKNYLASTDYDGIVKLWDASTGQEFSQYNEHERRAWSVDFSQVYPTKLASGSDDGSVKLWSINEKKCLGTIKNIANANVCCVQFSAHSTHLLSFGSADFRTYCYDLRNTKIPWCVLAGHEKAVSYVKFLDSETLVSASTDNTLKLWDLNKSSVNGPSTNACSLTLGGHTNEKNFVGLSVSDGYIACGSETNEVYAYYRSLPMPITSHKFGSIDRISGTETDDDNGQFVSSVCWRGKSDMVVAANSSGCIKVLQII >ONI07349 pep chromosome:Prunus_persica_NCBIv2:G5:11766051:11773892:-1 gene:PRUPE_5G114800 transcript:ONI07349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLVAEEVTSSDPAEGAQLQRKENEFSLKPENNTLECQEMRIPGEDNYSSSSRQEFLEMFDSHSVDRNMRHVNGLEHQYNSLGFMEDAGFTVEELTVRNCNNPNLAILDTSNNQGKMQARQNSWQHLYQLASGSGSGSSRVSTAFRDNGQVMPNGLENGRSTSFPEFLTQKAFSDNHYEVVEELTNTGNRGVSGNTYTGIRTKILSKSGFSEFFVKNTLKGKGVICKGPYHASCHVEPRNLNIANVVDGSMSASLGGGSMAASDPILSLDANIFMPSSNGENVGPRPCGSDHDGISLREWLKTERPKANKVECMNIFRQIVDLVDHFHSQGVALHGLRPFFFQLLPSNQVKYVGLLVQKEMSASIMDEDISHSENSSIRKRLVEQEFSSVSLSAKKQKISQNTRLQWPQFPTTSYAKRETMNTSCINITGLQNRSDAFDERNPDPKHGTRIKSSSPHMRNAAQQLTSISDHLEEKWYISPEELSEGSCTALSNIYNLGVLLFELLAHFDSNSALAAAMSNLRHRILPPNFLSENAKEAGFCLWLLHPDPSSRPTTREILQSEVVNGLQEVCVEELSSSVDQEDAELELLLHFLTSMKEKKQKAATKLMETIRFLEADVEEVERRHCSRKPLIDRCLYNESLNVRKNTLVLEEDSRSEGLSPISSVPSSNDSRLMRNIDQLESAYFSMRSRIQYPETDSTIRTDKDLLRNRKNWCVATKDEEKETATDRLGAIFDGLCRYAHYSKFEVRGILRNGDFNSSSNVICSLSFDRDEDYFAAAGISKKIKIFEFNAFFNDSVDIHYPAIEMSNKSKISCVCWNNYIKNYLASTDYDGIVKLWDASTGQEFSQYNEHERRAWSVDFSQVYPTKLASGSDDGSVKLWSINEKKCLGTIKNIANANVCCVQFSAHSTHLLSFGSADFRTYCYDLRNTKIPWCVLAGHEKAVSYVKFLDSETLVSASTDNTLKLWDLNKSSVNGPSTNACSLTLGGHTNEKVCPFQMVT >ONI06515 pep chromosome:Prunus_persica_NCBIv2:G5:8026847:8032134:1 gene:PRUPE_5G065700 transcript:ONI06515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFFSTPFQPYVYQSQQDAVVPFQILGGEAQLVQIMLKPEEKVIAKPGSMCFMSGSIEMENVFVPENEGGMWQWLFGKTVSSITFRNAGQGDGFVGIGAPTLARVLPIDLAMFGGEILCQPDAFLCSVSDVKVSNTIDQRARNVIPGPEGFLRQKLSGRGLAFILGGGSVVQKNLEVGEVLSVDVSCIAAVTGTVNIQIKFNGTMRRALFGSDNLVTAVLTGPGIVFIQSLPFHRFSQRIARAVTSPNMRENPKFYVQIAIFFFLAYVVIVSSLILTDV >ONI05347 pep chromosome:Prunus_persica_NCBIv2:G5:408872:410006:-1 gene:PRUPE_5G002800 transcript:ONI05347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCLSGGSGVMCLSETYLKKKINDLSSPSSSSLCLRSDNNNKNRMIKCGTKSGGVQGKFKGTQMREKQLTEMIEKKVMEAKQVCEGDPIADECKVAWDEVEEVSQAKADLRLKLETNKDPLESFCQDNPETDECRIYED >ONI09070 pep chromosome:Prunus_persica_NCBIv2:G5:16960577:16963287:-1 gene:PRUPE_5G216000 transcript:ONI09070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLVTLIIKSLNDEKKGVVAEKFIASNFKDQRSLVRTRLARDNARFHRRHASSSAQFHKHSGNDLNYTAVLEEGGEYVTVLKVGSPSQNVQLLVDIGTPLTWWQCQPNCKYCYSTPHHIFDTSTSSTYTETDCAEGFCDVTQLFILGCIRRDDPKCHYRVQYVDGSVSQGVMARDVVATSEGVTLHNSFSFGCGRSNQGVTMFNADATGILGFVPGGYSFPSQLQVNTFSFCLPTYNTTKSGSLYLNYYPSAFQYSSRSMLIIRTGHYYLDFSGVEINQEAIPIPPENWQCDREGVGGVIIDTGSTITRFPKKAYDIFKDYFLRNAQGLPLSQPEEQLDTCFNVAGVGEWEGFVPKVRFLFKWPSGRPLEFETGQLLMSVGNNKYCLAFGAGGPTTIIGSWQLQGSLVGFDLPNHLVGFLRASC >ONI07020 pep chromosome:Prunus_persica_NCBIv2:G5:10495897:10497754:1 gene:PRUPE_5G095500 transcript:ONI07020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTITFGSKRIAVVTGGNKGIGFEISRQLGSNGVGVILTARDEKRGTEAVEKLKASGFSDVVFHQLDVTDQTSIASLADFLQTQFGKLDILVNNAGVIGSLFLTDDKEKLAIRPEDLIGPNGVKNEYVKQTYETAGDCFKTNYYGIKQLTKALIPLLQKSDSARVVNVSSALGQLRVIPNEEAKKELGDSDSLTEEKVDNLVEGFLEDVKHNLIEAKSWPINQSAYIVSKAALNAYTRVLATKYPSIAINAVSPGFTATDMNNYTGILTAEEAAKGPVKAALLPDIRVSGCYFEQTELSTFE >ONI05783 pep chromosome:Prunus_persica_NCBIv2:G5:2674429:2678182:1 gene:PRUPE_5G023900 transcript:ONI05783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLERSSWCFLCYFCFPVFCVIVFIFLVLWICGFCVFSFLCFLLQLGFSRLLFLFMVTCCFAFVLAWPF >ONI08820 pep chromosome:Prunus_persica_NCBIv2:G5:16303893:16305036:-1 gene:PRUPE_5G202600 transcript:ONI08820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYELVNNRSDKVEDTNFEAATQPIFNSLPSIASYLEFEHNLSSQSPQLQTPIDWPLDGKLTLNWVQSLMSVFDWASRNLEPTQLPNVFLVEVFDSLALFNSKILHKKANCVTINNLALESTVVVVGDLHGQLHDLFFLLHDAGFPSENRFFVFNGDYVDRGAWGLESFLILLAWKVLMPKRVYLLRGNHESKYCTSVYGFEKEVLTKYNDRGKHVYCKCLGCFEGPNVIPGDVLWSDPSISPGLSPNIERGIGLLWGPDCTEDFLKNCQLKLIIRSHEGPDARENRPGLGGMDEGYTIDHIVESGKLITLFSAPDYP >ONI09171 pep chromosome:Prunus_persica_NCBIv2:G5:17242546:17244798:1 gene:PRUPE_5G221600 transcript:ONI09171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSLPPGFRFHPTDEELITYYLCCKVSDVSFTSKAVAVVDLNKCEPWDLPGKASMGEKEWYFFNLRDRKYPTGLRTNRATEAGYWKTTGKDKDIHRAGVLVGMKKTLVFYKGRAPRGEKSNWVMHEYRLENKHPFKSSKEEWVVCRVFQKSISLKKPQQTTTSSQQQQQTSIESPCEETNSIVNEFGDIELPNLNSNNIANSSSGLISTMSSTQSCYNNNINDHSSDVNMSLNMNWGAAREAAASALPTLTWPWPSNLLTPSNLSVNSLLLRALQLRSSNTGAATLDPSYSYNNNNININMEQGMPRPMSQFGSGTSADMNSNFQASSSTRDMMEAVPPQQHPAQPFNLDSIW >ONI08459 pep chromosome:Prunus_persica_NCBIv2:G5:15084129:15086018:1 gene:PRUPE_5G179000 transcript:ONI08459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSRCLVPFSLGTFIKHLPILKRTTFCPYRIRPKTITTTRILCSSYFETLDSHQKEQIHLYVDTLLEWNQRMNLTAVKEVNEVMERHIEDSLAIIPPIRNSYFSHCSPSSSNNLSLVDVGSGAGLPGLVLAIACPGWEVTLMESMNKRCLFLEHVVSHIGLSNVQVVRGRAENLGQVLCFREKFDVAVARAVAEMRVLAEYCLPLVCVGGLFVAAKGHDPEVEVRNAEKAIQILGASVLQLCPVESHSPYGQRTAIVCLKTSPTPRKYPRDPGTPEKTPL >ONI09036 pep chromosome:Prunus_persica_NCBIv2:G5:16868733:16871440:1 gene:PRUPE_5G213600 transcript:ONI09036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEMAIRASATPRPSLPPSPSPSLSATTKCSLKPKFRPTSVSLPTSTISLCALFTSPFEAQAFSLSKDQIVSSLTEVEQTIDQVQQAGSTVFEGTERVLEAILNAVKPGIDVALPIAKQAGEQALKIASPTISEVSKKAQEAIQSSGFDTQPVLGAAKTVADAAGQTTKVIEEAKPIASSTIQTISSADPIVIAGTAGALFLTYLLLPPIWSALSFSLRGYKGSLTPAQTLDLISTKNYLLIDIRPEKDKDKAGIPRLPSSAKSKMIAIPLEELPNKLRGLVRNAKKVEAEIAALKISFLKKVNKGSNIVILDSYSDSGKIVARALTSLGFKKCWTVADGFSGSKGWLQSRLGADSYNLSFAEVLSPSRVIPAAVRRFGTSSSTAAQSGRKLLPGSD >ONI08256 pep chromosome:Prunus_persica_NCBIv2:G5:14467456:14468103:-1 gene:PRUPE_5G167600 transcript:ONI08256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALQTFNIRWCGCLTALSDEILNLVRLRHLKMFKSMFQDVHGMKLPAGIGSLKNLLSLTAIHAGGGIAEELGNLIQLRRLGVMDVAEENISELFVSITKMPALPSLSIEAKHAFNKGNLILLDSFSPPPFLQKLRLEGVLEKLPTSFGSSERLTNLRLGNSHMSENSKLVLHLLPNLKNLTPWNAYDAKRMGKEFCSAGGFPKLEVLSFASCS >ONI08246 pep chromosome:Prunus_persica_NCBIv2:G5:14431021:14433316:1 gene:PRUPE_5G166800 transcript:ONI08246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRGIEDMELVMVDACTADGPERRLAKPLIFCRTQSECPMENGYARPVEGLYILLVVDMQNMAVIEFEDRKLVPLPPADPLRNFTPGETRGGIDRRDVTPLQILQPQGPSFRVNGYFVTWQKWNFRIRFTPREGLVLYSVAYVDGSGGRRPVAHRLSFVEMVAQYGDPNDPHYRKNAFDAGEDGLGSWDSVEAPGLEIRRLAQVHSSRRLTVSFMRTVASYEYGFFWHFYQDGKIEAEAKLTGILSLGALQPGEVQKYGTVIAPGLYAPIHQHFFVARMDMSVDCKPGLAYNQRLLRTEMQAMRDCNPSTSRHWIVTGELTGYMLVPGSNCLPFAGSEAKFLRRAAFLKHNLWVTPYACHEMFPRGEFPEQNPCVGEGLATWVKQDRPLEETDIVLWYAFGKTHVARLEDWPVLPVERIGFMLMPHGFFDCSPAVDVPPSPCESLNAKPTQSGLLRKP >ONI09183 pep chromosome:Prunus_persica_NCBIv2:G5:17282008:17284782:1 gene:PRUPE_5G222500 transcript:ONI09183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSRKKIHKDNNAEPTEFEESVAQAVIELESNSDLKSDLKDLYINSAVQVDVSGNRKAVVIHIPYRLRKAYRKIHVRLVRELEKKFSGKDVILIATRRILRPPKKGSAAQRPRTRTLTAVHEAMLEDVVAPAEIVGKRTRYRLDGSKIMKVFLDPKERNNTEYKLESFSAVYRKLSGKDVVFEYPITDA >ONI09184 pep chromosome:Prunus_persica_NCBIv2:G5:17282008:17284782:1 gene:PRUPE_5G222500 transcript:ONI09184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSRKKIHKDNNAEPTEFEESVAQAVIELESNSDLKSDLKDLYINSAVQVDVSGNRKAVVIHIPYRLRKAYRKIHVRLVRELEKKFSGKDVILIATRRILRPPKKGSAAQRPRTRTLTAVHEAMLEDVVAPAEIVGKRTRYRLDGSKIMKVFLDPKERNNTEYKLESFSAVYRKLSGKDVVFEYPITDA >ONI09548 pep chromosome:Prunus_persica_NCBIv2:G5:18312589:18315963:-1 gene:PRUPE_5G244300 transcript:ONI09548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQQQHQLEQDDQLEYQRDHHASAAGLVQGLRKDDLELEALDPKKMTKHEEEEEKENLGLEEELSVERIFEQQTVPSWKEQLTVRAFFISLVLSVLFSLIVMKLNLTTGIIPSLNVSAGLLGFFFVRTWTKFLDRCGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSARIAQQSADSSTSDIKEPGLLWFIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVKELGKFFSFSFLWGFFQWFYTAGSDCGFASFPTLGLKAYQNQFFFDFSATYVGVGMICPYIVNISVLLGGILSWGLMWPLIENRSGDWYSKELSPKSMNGLQGYKVFIAIAMILGDGLYNFLKVLSRTLIALQSQLRAKDVNNILPIEDQVSPAVTSALSYDDQRRTQLFLKDQIPAWFAVGGYVAIAAVSIATVPHIFPQLKWYYILVIYLFAPSLAFCNAYGCGLTDWSLASTYGKVAIFMIGAWAGSAHGGVLAGLAACGVMMNIVSTASDLTQDFKTGYLTLASPRSMFVSQVIGTAMGCVISPCVFWLFYKAFTDLGTPKSAYPAPLAVVYRNMAILGVEGFKSLPKHCLLLCTVFFGAAILTNVIKDCIGKKRARFVPLPMAMAIPFYLGSYFAIDMCIGSLIVFLWERVNKAKADAFVPAVASGLICGDGVWTLPASILSLAGVKPPICMRFLSRAENDRVTTFLTGKA >ONI09547 pep chromosome:Prunus_persica_NCBIv2:G5:18311654:18316158:-1 gene:PRUPE_5G244300 transcript:ONI09547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQQQHQLEQDDQLEYQRDHHASAAGLVQGLRKDDLELEALDPKKMTKHEEEEEKENLGLEEELSVERIFEQQTVPSWKEQLTVRAFFISLVLSVLFSLIVMKLNLTTGIIPSLNVSAGLLGFFFVRTWTKFLDRCGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSARIAQQSADSSTSDIKEPGLLWFIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVKELGKFFSFSFLWGFFQWFYTAGSDCGFASFPTLGLKAYQNQFFFDFSATYVGVGMICPYIVNISVLLGGILSWGLMWPLIENRSGDWYSKELSPKSMNGLQGYKVFIAIAMILGDGLYNFLKVLSRTLIALQSQLRAKDVNNILPIEDQVSPAVTSALSYDDQRRTQLFLKDQIPAWFAVGGYVAIAAVSIATVPHIFPQLKWYYILVIYLFAPSLAFCNAYGCGLTDWSLASTYGKVAIFMIGAWAGSAHGGVLAGLAACGVMMNIVSTASDLTQDFKTGYLTLASPRSMFVSQVIGTAMGCVISPCVFWLFYKAFTDLGTPKSAYPAPLAVVYRNMAILGVEGFKSLPKHCLLLCTVFFGAAILTNVIKDCIGKKRARFVPLPMAMAIPFYLGSYFAIDMCIGSLIVFLWERVNKAKADAFVPAVASGLICGDGVWTLPASILSLAGVKPPICMRFLSRAENDRVTTFLTGSSSVKV >ONI06012 pep chromosome:Prunus_persica_NCBIv2:G5:3868805:3871655:-1 gene:PRUPE_5G034000 transcript:ONI06012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFEAALSVSSLYSSSFSSYSSKFFASPKPSTSLKLHISNSIPPISRNFLYSSPPCILNNPTRKPFFELMCSAVQEVTAEEEAVEEKAEETQTQNLKRKLYVVNLPWSLTVVDIKNLFGECGTVTDVEIIKQPNGKSRGFAFVTMASGEEAQAVIEKFHSHEVLDRTIRVEFAKQFKKPSPPPPNPQIVETRYKLYVSNLGWKVRSSHLRDFVSENFKVPVSARVVFSGPSGKSGGYGFLSFATKEEAESAISSLNGKVGDSIGVGPISSRLVVSLFEILTWFQSRLIDWAQVIVSLLSSWDEHMLGSIY >ONI06011 pep chromosome:Prunus_persica_NCBIv2:G5:3867914:3871655:-1 gene:PRUPE_5G034000 transcript:ONI06011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFEAALSVSSLYSSSFSSYSSKFFASPKPSTSLKLHISNSIPPISRNFLYSSPPCILNNPTRKPFFELMCSAVQEVTAEEEAVEEKAEETQTQNLKRKLYVVNLPWSLTVVDIKNLFGECGTVTDVEIIKQPNGKSRGFAFVTMASGEEAQAVIEKFHSHEVLDRTIRVEFAKQFKKPSPPPPNPQIVETRYKLYVSNLGWKVRSSHLRDFVSENFKVPVSARVVFSGPSGKSGGYGFLSFATKEEAESAISSLNGKELMGRPLNLKFSEKNDRNSGSQKDEEEKFEGQPEEL >ONI08998 pep chromosome:Prunus_persica_NCBIv2:G5:16771867:16774802:-1 gene:PRUPE_5G211300 transcript:ONI08998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPSPSSPPASPSSASSSDNSATEPISHSPPNPQVDAGVNNGVLNVDEKKPDISAYFDDLHSANHIEKFKKYEADYSRWLTAKYFSKKNLYGGNIFDEDMTIQDEIIKSSRWPCTRSYADPVQGFEEQSNSCSTTTIAETPSNISNGKHLAKKNS >ONI08997 pep chromosome:Prunus_persica_NCBIv2:G5:16771659:16775106:-1 gene:PRUPE_5G211300 transcript:ONI08997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPSPSSPPASPSSASSSDNSATEPISHSPPNPQVDAGVNNGVLNVDEKKPDISAYFDANHIEKFKKYEADYSRWLTAKYFSKKNLYGGNIFDEDMTIQDEIIKSSRWPCTRSYADPVQGFEEQSNSCSTTTIAETPSNISNGKHLAKKNS >ONI08855 pep chromosome:Prunus_persica_NCBIv2:G5:16387147:16388986:-1 gene:PRUPE_5G204300 transcript:ONI08855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQAPKQDEQVQEKCLEKTPFPCRISKRSLKARLCSSLNPFKIICKHMTHMNKNNVRNAKLKLNALDRDLEFKFKETISK >ONI07012 pep chromosome:Prunus_persica_NCBIv2:G5:10477860:10478359:1 gene:PRUPE_5G095000 transcript:ONI07012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHHIQVSILNSQFSLSQPSLDYISQPSVLLFLSIIFPQLEPSRSFSLLSALASLLLSLSLLTPSTRTLSIITLRSPSLSQPRFSLSQPSLDY >ONI09491 pep chromosome:Prunus_persica_NCBIv2:G5:18161388:18164969:-1 gene:PRUPE_5G241100 transcript:ONI09491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSVVLRSAPNLWASADTSSRHKQQKTSESQVGCCISITGNSSCSLTSCGWRKQLLPCLSSSPRHKRRTFLPLASLSSSSPSYSPNTSTKLYVSGLSFRTTEESLRNAFQNFGQLVEVNLVMDRIANRPRGFAFLRYATEEESQKAIEGMHGKFLDGRVIFVEVAKPRSELRQTPKQNPRQY >ONI09492 pep chromosome:Prunus_persica_NCBIv2:G5:18162588:18164945:-1 gene:PRUPE_5G241100 transcript:ONI09492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSVVLRSAPNLWASADTSSRHKQQKTSESQVGCCISITGNSSCSLTSCGWRKQLLPCLSSSPRHKRRTFLPLASLSSSSPSYSPNTSTKLYVSGLSFRTTEESLRNAFQNFGQLVEVNLVMDRIANRPRGFAFLRYATEEESQKAIEGMHGKHSSTVFKAEVLSRTIGLQTNEATISVFGSIAYNQLMHNDISSFIEHYQDINYC >ONI09493 pep chromosome:Prunus_persica_NCBIv2:G5:18163540:18164945:-1 gene:PRUPE_5G241100 transcript:ONI09493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSVVLRSAPNLWASADTSSRHKQQKTSESQVGCCISITGNSSCSLTSCGWRKQLLPCLSSSPRHKRRTFLPLASLSSSSPSYSPNTSTKLYVSGLSFRTTEESLRNAFQNFGQLVEVNLVMDRIANRPRGFAFLRYATEEESQKAIEGMHGKVCAGQEASLSFLSFENKMKN >ONI09451 pep chromosome:Prunus_persica_NCBIv2:G5:18046939:18050395:-1 gene:PRUPE_5G239100 transcript:ONI09451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNNSSSSVPSSEPFSCLENGNTNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCDKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNMDRVRSESQALQPAACLSRTASSPSPSCSDNNFSRAPPNWPPPSNLVLPHPNPTEYTKLILTSSTSPPAHPDHLQPTLVSNKALYNLDLQLATTSNNIPINEVSSVSSKREENHSTQLQLSIGSCDFGGGELHQNKNVEHSNFMITNRNYSPRDKEMRERESSSTTTNHHEHDDDQKPKPSAGISISAASSRLKEEAREQLRLAMAEKAYAEEARRQAEHQIELAEKEFANAKRIRQQAQAELDKAQALKEQAIKKVNSTILQITCHACKQQFIQADHPTTRSRATPTPTHEAINHSLVLSYMSSAITSEGDPLDNNNIRPHHAKPDN >ONI07407 pep chromosome:Prunus_persica_NCBIv2:G5:11944863:11948512:1 gene:PRUPE_5G118200 transcript:ONI07407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQLVELCLQAASVSRDSIEKWRRQRRTLERLPSQLADSLLRRLISRRILFPSLLELFKHSVEELDLRGESSVDAEWIAYIGAFRYLRSLNLSDCRRLTTSALWPIAGLERLRELDLSRCSKVTDAGIRHLLTITTLEKLSISETGVSANGVMLLSSLRNLSVLDLGGLPVTDLALSSLQVLTKLQYLDLWGSKITDKGAAFLQMFPKLSFLNLAWTNVTKLPNLSSLECLNMSNCTINTLLEGDNNNKAPLTKLIVSGATFGDEFEAFHYIETTFLTFLDVSNSSLHRFYFLSHINALEHLDLSRSMIGDDSLELIACIGVNLKYLNLSHTRVSSAGVKTLTGHVPNLEFLSVSHTSVDDVAISYMSMMPSVKVIDLSSTNIKGVIHQVGPESDPVLSLSALQNLQHLGRLNLVDTQVTEAALYPLENFQELRELSLKSVSLTDISFNYTSSMPKLTNLRIHDGVLTNSGLNSYKPPSTLRMMDLRGCWLLTEDAILSFCKTHPHIEVRHELVHIYPSKQIVSNSASSSRSTLKASQAKQKQEVPVLPCFLDQRLKYSREELLALQYSSVSRAPPHDRDVVLPNTQSD >ONI07410 pep chromosome:Prunus_persica_NCBIv2:G5:11946570:11948146:1 gene:PRUPE_5G118200 transcript:ONI07410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCNDFPQVLTKLQYLDLWGSKITDKGAAFLQMFPKLSFLNLAWTNVTKLPNLSSLECLNMSNCTINTLLEGDNNNKAPLTKLIVSGATFGDEFEAFHYIETTFLTFLDVSNSSLHRFYFLSHINALEHLDLSRSMIGDDSLELIACIGVNLKYLNLSHTRVSSAGVKTLTGHVPNLEFLSVSHTSVDDVAISYMSMMPSVKVIDLSSTNIKGVIHQVGPESDPVLSLSALQNLQHLGRLNLVDTQVTEAALYPLENFQELRELSLKSVSLTDISFNYTSSMPKLTNLRIHDGVLTNSGLNSYKPPSTLRMMDLRGCWLLTEDAILSFCKTHPHIEVRHELVHIYPSKQIVSNSASSSRSTLKASQAKQKQEVPVLPCFLDQRLKYSREELLALQYSSVSRAPPHDRDVVLPNTQSD >ONI07408 pep chromosome:Prunus_persica_NCBIv2:G5:11944863:11948508:1 gene:PRUPE_5G118200 transcript:ONI07408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQLVELCLQAASVSRDSIEKWRRQRRTLERLPSQLADSLLRRLISRRILFPSLLELFKHSVEELDLRGESSVDAEWIAYIGAFRYLRSLNLSDCRRLTTSALWPIAGLERLRELDLSRCSKVTDAGIRHLLTITTLEKLSISETGVSANGVMLLSSLRNLSVLDLGGLPVTDLALSSLQVLTKLQYLDLWGSKITDKGAAFLQMFPKLSFLNLAWTNVTKLPNLSSLECLNMSNCTINTLLEGDNNNKAPLTKLIVSGATFGDEFEAFHYIETTFLTFLDVSNSSLHRFYFLSHINALEHLDLSRSMIGDDSLELIACIGVNLKYLNLSHTRVSSAGVKTLTGHVPNLEFLSVSHTSVDDVAISYMSMMPSVKVIDLSSTNIKGVIHQVGPESDPVLSLSALQNLQHLGRLNLVDTQVTEAALYPLENFQELRELSLKSVSLTDISFNYTSSMPKLTNLRIHDGVLTNSGLNSYKPPSTLRMMDLRGCWLLTEDAILSFCKTHPHIEVRHELVHIYPSKQIVSNSASSSRSTLKASQAKQKQEVPVLPCFLDQRLKYSREELLALQYSSVSRAPPHDRDVVLPNTQSD >ONI06326 pep chromosome:Prunus_persica_NCBIv2:G5:5730114:5734290:-1 gene:PRUPE_5G053500 transcript:ONI06326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSCLCQSPLSPKIDCGKKIVRPWSSDGRKQRFTFSLGSNSTELVGQQLVTVGAHLHKHWSFVGGSRVTVRPELERSIPLRKSSGVYASWFSTSQIASTAFTLGTTAVLPFYTLMVLAPKAELTKISMESSIPYIVLGILYAYLLYLSWTPETLQLIFASKYWLPELPGMVRMFSNEMTLASAWIHLLAVDLFAARQVFHDGQENEIETRHSVSLCLFFCPIGIVTHVITKALTKSAGSSSTRNMH >ONI09115 pep chromosome:Prunus_persica_NCBIv2:G5:17110009:17112018:-1 gene:PRUPE_5G219000 transcript:ONI09115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPTGLVILGPPEINKPTPTPQPEPAFGDSMSGSNRARPPMGLTENGSATFLTSSNPCLDMIFHVVPDSPASYLNKQLPLAWAHDSLTTLKLICNLRGVRGAGKSDKESFYTAAFWLHKNHPKTLACNLASFAEFGYFKDMREILYRLLEGQDVRKNQKDQWSMRKRRWGGSKRRPVVRRVARRRRPSYKIGKSKTKAVVTPAISSRKSVPKEVRVMKGMERVKLEKETASSARKEKKIAMAKKAIARYQRDPDYRFLHQRVSDLFAECLKSDVEKFKSDDKHKKMTLAAKWCPSLDSSFDRATLLCEAIARKVFPRESYQEYEGMEEAHYAYRVRDRLRKEVLVPLRKELQLPEVYMGANQWGAIPYNRVASVAMKLYKSKFLEHDNERFSKYLEDVKAGKSTIAADQNDDDKEDQVALLQWKKMVDDMLKEGKLKNSLAVCDVSDSMAGTPMEVSLALGLLVSELTEEPWKGKVITFSGNARLHLIEGDDLSSKCEFVRRMDWGMNTDFQKVFDLLPQVAVNGNLKPEHMIKRIFVFSDMEFDEASSNSWETDYEVIQRKYKEKGYKNAVPQIVFWNLRHSMSTPLPSTEPGVALLSGFSKNLMTIFLDGDGDIRPDLTMEAAISGEKYQKLVVID >ONI09132 pep chromosome:Prunus_persica_NCBIv2:G5:17166465:17170749:-1 gene:PRUPE_5G220200 transcript:ONI09132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLGSFKYNFQEKRERLLSTQKGYSELGFVHIEEQEPYGSPRCCTFRSVSDRIVSWCRTVQNVSNRAIRMGQSDPRKIVFAAKMGLALMIISLLIFLKEPFKQLSRYSVWAILTVVVVFEFSIGATLSKGFNRGLGTFSAGGLALGMAHLCGLAGEWEEAVIFASIFIIGFLATYAKLYPTMKPYEYGFRVFLLTYCFIMVSGYRTREFVHTAVSRFLLIALGAGVGLGVNICIFPIWAGEDLHKLVVKNFMGVAKSLEGCVNGYLNCVEYERVPSKILTYQASDDPLYSGYRSAVESTSQEDALMGFAIWEPPHGRYRMLKYPWKNYVKVGGALRHCAFTVMALHGCVLSEIQAPAERRQVFRRELQRVGFEGAKVLRELGNKLKKMEKLGSVDILNEVHEAAEELQKKIDQKSYLLVNSESWEIGSRPKEVGDPQDLLNLDDNENTFREYKSLSEAVLDLRSFPVPQSWDGQMPAMPTGVSPIPTDVNRSNPPVGFSSGSMFMKQVSWPAGLKFEVNEPPVAEESNTYENASQLSLATFTSLLIEFVARLQNLVDSFEELSEKARFKEPVESPEVLEPPRRFWTRLLNCLKS >ONI09237 pep chromosome:Prunus_persica_NCBIv2:G5:17431349:17433541:1 gene:PRUPE_5G225800 transcript:ONI09237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELATQKHVQFILSVEKKEDCLESLAMEHLRMNWAHWGLTTLDLLGKLHTVDADEVVSWASSGFGGNIGHDPHILYTLSAVQVLALFDNIEVLDVDRVANYVAMLQNKDGSFNGNMWGEKAVNYIVSCKNHDDGFGCTSGGESHATQRISDRPYDAVDVYHTYFGVAGLSLLE >ONI07213 pep chromosome:Prunus_persica_NCBIv2:G5:11255576:11259156:1 gene:PRUPE_5G106500 transcript:ONI07213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEPPLCYVGVARKSAAFRLMKQMGWEEGEGLGKDKQGIKGYVRVKNKQDTTGVGVEKPNNWAFDTTQFDSILKRLKVQSAEPSDEVAGKNTTQVESDTELPTDVKEPVVKFTRPQGRYKKRERGKLVNAYSAKDIEGILVRRAESPEINFDLGGQVESEKASEIQVICPPEGNACKELPPNWWGHKYGFIPGGLLGAELKRRKSEKSQSNERTMFYEDDQVNLYNLVQDKSTTGKQGLGIKDRKKKIAGCYFEGKKTSFNDSDDEDSADLGSPVKQKGDDSLKMGSANEPKVKLKNLCKQLLRQAPGESLKLKTLKALIDEHSLSVFSNFSSKKDALAYLREKLEGSNKFMVEVKKVSLASRRG >ONI07000 pep chromosome:Prunus_persica_NCBIv2:G5:10443430:10444432:1 gene:PRUPE_5G094400 transcript:ONI07000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLKNFSVFSIICITLCFPISTNAAHFDIRNNCGFKVWAAAVPGGGRQLNSGESWPLDVSNITTGGRIWARTGCNFDGAGRGVCQTGDCGGVLQCQGHGLPPNTLAEYALNQFNNLDFFDISLVDGFNVPMDFSPTDNGCTGGIQCTADINGQCPNELKAQGGCNNPCTVFKTDQYCCNNSGSCGPTDFSRFFKD >ONI08745 pep chromosome:Prunus_persica_NCBIv2:G5:15995369:15996815:-1 gene:PRUPE_5G198400 transcript:ONI08745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFGGNPFFSCRNCQNPLALRDDLVSKKFVTKSGAGYMFSHAMNIIVGQKEDRKLMTGVFSIADIFCSNCGEVLGWKYVRAYEISERYKEGKFIIERAKIAKEY >ONI08743 pep chromosome:Prunus_persica_NCBIv2:G5:15995627:15996338:-1 gene:PRUPE_5G198400 transcript:ONI08743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFGGNPFFSCRNCQNPLALRDDLVSKKFVTKSGAGYMFSHAMNIIVGQKEDRKLMTGVFSIADIFCSNCGEVLGWKYVRAYEISERYKEGKFIIERAKIAKEY >ONI08742 pep chromosome:Prunus_persica_NCBIv2:G5:15995389:15996815:-1 gene:PRUPE_5G198400 transcript:ONI08742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFGGNPFFSCRNCQNPLALRDDLVSKKFVTKSGAGYMFSHAMNIIVGQKEDRKLMTGVFSIADIFCSNCGEVLGWKYVRAYEISERYKEGKFIIERAKIAKEY >ONI08744 pep chromosome:Prunus_persica_NCBIv2:G5:15995627:15996338:-1 gene:PRUPE_5G198400 transcript:ONI08744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFGGNPFFSCRNCQNPLALRDDLVSKKFVTKSGAGYMFSHAMNIIVGQKEDRKLMTGVFSIADIFCSNCGEVLGWKYVRAYEISERYKEGKFIIERAKIAKEY >ONI08741 pep chromosome:Prunus_persica_NCBIv2:G5:15995370:15996438:-1 gene:PRUPE_5G198400 transcript:ONI08741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFGGNPFFSCRNCQNPLALRDDLVSKKFVTKSGAGYMFSHAMNIIVGQKEDRKLMTGVFSIADIFCSNCGEVLGWKYVRAYEISERYKEGKFIIERAKIAKEY >ONI05661 pep chromosome:Prunus_persica_NCBIv2:G5:1878754:1885142:1 gene:PRUPE_5G017600 transcript:ONI05661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGKQKIEAQKRNAEKNQKQKGSQFEARAVALKITCPICKVQLANPKQLGDHYASKHPREKPPAESE >ONI05660 pep chromosome:Prunus_persica_NCBIv2:G5:1878754:1885142:1 gene:PRUPE_5G017600 transcript:ONI05660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGKQKIEAQKRNAEKNQKQKGSQFEARAVALKITCPICKVQLANPKQLGDHYASKHPREKPPAESE >ONI07573 pep chromosome:Prunus_persica_NCBIv2:G5:12479374:12484983:1 gene:PRUPE_5G128200 transcript:ONI07573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSDGEASSIATIRNRSQQLEARMESQRTTQLELLSYLQTQVVPAIVPTIDLSLKVLSAFNGRPFTPTPSLPDPKPNPNKLIEPIEPTRPLTPEPQRSRLPSPEPKPTNPITEIPKLSPNRANPEPTDLPEPENFSPMDEMGNPLSVVRAMVAVCLLERVPFSRVDSSAILRKLEGDQNATSEEKAALRELGGESGAILAVEMALRSMAEENGGVELEEFVVSGKSRVMVLGIDRTRLMKELPESKQFQSQDSNLVDGNGNLNQNQSQQQVVTNGVDGNGGVFGMGGPGSRPMQDMWMGPNDTHMAGLPPMFPGSGPPGSLMGPRGAPSPRVMGMMGMSRGMSGVPPMHRAGSLGPNATMDSPNSMSHKPRSEEEEMKDLEALLNKKTFKELQKSKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTSLTKEDCRRQSNSLLACEKVHFRRIIAPHTDVNLGDCSFLDTCRHMKTCKYVHYELDPTPDVSHMMMGAPALNPHKPLKPQRAEYCSEVELGQPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRIEELIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGEPLVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWMSLGNQLSGVRLVDEGLRARFKAAYPDVEVQPASPPRPSAMEVDSNAAQMRNPFSVTEPKSTATQFAEPAVPDAPFAASEVKPTPVDIDMVG >ONI08740 pep chromosome:Prunus_persica_NCBIv2:G5:15993634:15995238:1 gene:PRUPE_5G198300 transcript:ONI08740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDSSFTAQKRSAGGLPTSTTGTSANGRAGRAYGSLPRGRQIQKTFNNIKITILCGFVTILVLRGTIGVGNLGSSEADAVNQNIIEETNRILAEIRSDSDPNDPDELTQTEINPNITYTLGPKIANWDKERNAWLQSNPEFPSLVNGKPRVLLVTGSPPKPCDNPIGDHYLLKVIKNKIDYCRLHGIEIVYNLAHLDKELAGYWAKLPLIRKLMLSHPEVEWIWWMDSDALFTDMVFELPLFKYNSHNLVIHGYPDLLFEQKSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGPIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKDQWMEKVYVENSYYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMERAFNFADNQVLKLYGFRHRGLLSPKIKRIRNETATPLESVDQFDIRRHPVHGDSGSHS >ONI08205 pep chromosome:Prunus_persica_NCBIv2:G5:14316697:14318106:1 gene:PRUPE_5G164200 transcript:ONI08205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHDIVFSNLIKTTAEDILYSECKDISSAPNGDYWRQVRKLGVLALLSHKRVKTFQFVREEEVAILVSKICKARLSEAYVLVVNSNNLVVRCVLGRKFEDKNGKSKFGKLARRMMEQLSAFSLGDYFPYLGWIDLLTGLILRLKATFGALDSLLDQVVEEHKAVEIESHQHQSLKKDFVDILLQFQKYGMDGFELTQENLKAILMDLVVGGTDTTSTLSEWVMAELVRNPSVMKKAREEVRRVAGKKLKINMNDINQMEYLKCVIKETLRLHPPAPLLIPRQSSASVKIRACPGLTFGIVTVEFVIANLLYWFDWKLPCEGAMGRDLDMSEVNGLTVHKKIPLHLVPIPYSLIHHSRDC >ONI09219 pep chromosome:Prunus_persica_NCBIv2:G5:17396758:17397255:1 gene:PRUPE_5G224700 transcript:ONI09219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVWREIGSVDGGVATANWLVFWAALVSLCLVSAIVFSCADGVSSKEKNTAGDTKLYGGGCAAECGAACGA >ONI07467 pep chromosome:Prunus_persica_NCBIv2:G5:12127770:12130382:-1 gene:PRUPE_5G121900 transcript:ONI07467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSLQPVQFSTPKHMLLCRPGSTGYPIRCGIAKPSAEPAPVGQETKYSEKVYIMERRNNESKASVTSDQVLMRMASRREDEIHDKLSKIQKASTSRPNPTVVAGRPTGEHASMTVNPGKARSMFLVVSFCEGRYKEAIYEVKFKRRCTGEVFDDDMGREAAPLLEPLAKFSNMYFLQSASISSKIYLLQQDTHDESSWPSPARSLGGYVFDTETRAVIPSIPPTIATKPMANVVSANGKLYYLAFPHWSRYPDDPCFERYDSNLEVWEPMPPFPSYAVCYGVILFSLCQHVQLEFQSPCFS >ONI05751 pep chromosome:Prunus_persica_NCBIv2:G5:2517101:2521725:-1 gene:PRUPE_5G022700 transcript:ONI05751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSEVPFSVVPSLPSRKVAAVTCNCLPPKTYGGNFSTRKVAAAFSVSRNLKFLANSASRDDNDATASVEFDDVSIPTDYSELLEQARKATDLALKDNKQLMEIEFPTSGLESVPGDGEGGIEMTESMQLIREFCNRLINPEKATRTRIFFPEASEVKFARESVFEGASFKLDYLTKPSFFEDFGFVEKVKMKDRVKPEDELFLAAYPYFNVNEMLVVEELYKEAVENTSRNLIIFNGELDRIRSGYYPPFFYPKLAALSQTLFPVMETVYYIHNFKGRNGGTLFRCYPGPWKVFRKVRNRYVCLHQQEAMPSLKEVALDILPSA >ONI05816 pep chromosome:Prunus_persica_NCBIv2:G5:2844642:2847509:-1 gene:PRUPE_5G025400 transcript:ONI05816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAVKFLLEKVAPLFENDLQLLKGVREEILYLRGELERMTAFLRIADAFEENDAEVKVWIKQVRDIAHDSEDVLDEFTLLQAHDHGEGLYGSIHRFSCCIKNTKARYRVASELQGINSRIRKISEVHKRLRHKFNMAEQGSGSSTAGHMWEDHRGDALLLEKTDIVGIDEPIKQLVGWLLTGGSGREVVSVAGMGGLGKTTLVKQVYDAAEVKKHFKVHAWITVTQSFKLGELLKDMLQQLHKAIRRPLPQGTNNMNNNQLKTLIKDFLQKRRYLIVLDDVWHLHGWDSVKYALPNNICGSRIILTTRNADIASTTSVESGGKVYNMEPLPQLESWELLCKKTFQGSSCPPYLEEIGNCILRKCEGLPLAIVAVSGVLATKDKRRIDEWDMVGHSLGAEIEGNDKLKDLKKVLSLSFNDLPYYLKSCFLYLSIFPEDHLIEHMRLVRLWMAEGFIEAKEGKTLEDVAEDYLNELLNRSMIQAAETTSDGRVKNFRVHDLFREIITSKIRDQNFATIAKDQNMPWPDKIRRLSMHNSLPYVQKNRCASQLRSLFMFRLAEKPLLQTLFPGGFRLLNVLDLQSAPLSVFPIEVVNLFFLKYLSLKDTRVKTIPSFIGKLQNLETLDLKHSLVTELPAEILKLKHLRHLLVYRYEFVPYGDFHSKYGFKVLAKIGALTSLQKLCFIKANQDGGAILKELGKLVQLRRLGIVQMRKEDGKVLCSSIEKLSKLCALSITSVEEDEIIDLQHLSSPPLLLQRLYLQGRLDALPHWIPSLHSLVRLYLKWSRLKDDPLLFLQYLPNLVHLELSQVFEGDTLCFGAGGFKKLKHLGINEFDALRCIQVEMGAMPCVEKLSIQRCKSLEKVPSGIEHLNKLKVLEFFEMPEKLIKTLRPQEEGNDYWKVAHIPEVYFTYWRECGWEVYPLEGLNEGENFLQTSSVMKNHELETRWK >ONI06997 pep chromosome:Prunus_persica_NCBIv2:G5:10392777:10393301:-1 gene:PRUPE_5G094100 transcript:ONI06997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMENNCMEDGEDLVRSSIRKQLIPVIGMEFETEDLAFKFYNAYAYNISFSIRRSSCHKFKSGQLRDRLFVCFAEGKREIDKRVSNVKYHRAEIRCGCLARMKISCHLNDKYCVIEFVSEHNHMTTSPSKTHLFRSHRKSTTCCCE >ONI07504 pep chromosome:Prunus_persica_NCBIv2:G5:12251495:12254035:-1 gene:PRUPE_5G124200 transcript:ONI07504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSLISTTPSNFQFCPKAISQIASLPQTISFTHFNRTRPGLRSGLTAKVQSLEFSGSFFEGGFQSEDDPPSPPGSGLTAVEEKEEPPCPPGLRQYETMAVLRPDMSEDERLTLTQKYEELLIAGGGMYVEVFNRGVIPLAYGIQKKNKAGESNTYLDGIYLLFTYFTKPESLEVLEANLKADDNVIRSMSFKIRKRKY >ONI08059 pep chromosome:Prunus_persica_NCBIv2:G5:13931708:13933297:1 gene:PRUPE_5G156100 transcript:ONI08059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRNFMPEEYMINHERTNKMSAFDLISHSAMSCYSNPYMPLLEEGNASALTFPYLDESGNHKRLKRTISIAESMSSHNSLYGGGSNNSCVTNGSISRSGSTNSLNTLPRLHFRDHIWTYTQRYLAAEAVEEAAAAMINAEGNGAEEDGTADGMRLVQLLIACAEAVACRDKSHASALLSELRANALVFGSSFQRVASCFVQGLANRLALVQPLGAVGFIGSPMNAKDFALDKKEEALRLVYEICPHIQFGHFVANSSILEAFEGESYVHVVDLGMTLGLPHGDQWRGLIESLATRAGQPPSRLRITGVGLYGDRMQIIGDELEAYADRLGINLEFSVVESNLENLRPEDIKLLDGEVLVVNSILQLHCVVKESRGALNSVLQMVHELSPKILVLVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDAMLPKYDTRRAKMEQFYFAEEIKNIISCEGPARVERHERVDQWRRRMSRAGFQAAPIKMLVNAKQWLGKINVCEGYTILEEKGCLVLGWKSKPIVAASCWKC >ONI08279 pep chromosome:Prunus_persica_NCBIv2:G5:14527727:14529333:-1 gene:PRUPE_5G169200 transcript:ONI08279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSIDTLSRESLDGEYEGDNPFHYCAPLCESSEERHGYSHCVFEGNSKVEETAPGVATGMILSLHETLQNCKDTLATCQVCICCSTFSSDIEI >ONI06097 pep chromosome:Prunus_persica_NCBIv2:G5:4408833:4415051:1 gene:PRUPE_5G040000 transcript:ONI06097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTVREKHIRTNRRPRSAKPDFDYCCHIDRASISKSILESGLKPFNHHLGSNNDSTQNPKSSPSPNSNFDESGWGYCTEEQLEEILLKNLEFLYNEAISKLVALGYDEDAALKAILRNGHCYGGMDVLTNILHNSLAYLNSNCGSSNGKSDEAEPVFADLRQLEEYSLAGMVCMLQQVRPHLSKGDAMWCLLMSDLHVGRASTMEIPVLPGGGIENSSSISTSVESGSNGNHSVGVMAPALCRFHGGWGFGNGGSSEFPVHGFFPYGAELTLQRDIECPKRFNLSPSMKSLLKRNVAIFAAGFRANSKQLNAQPQGCLSNLASGDTPVALESEVPVQQSEKARNSKNQEVVNSVMSKFRDLNLDENLEFVGEDQKNEVIVNLLHQVEELEKQVKDRKEWAHQKAMQAATKLSHDLAELKMLRLEREETQRLKKGKQTLEDSTMKRLSEMENALRKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVLTCLEVAKREKKCLKRMLAWEKQKIKLQEEIAEEKEKISELQQHLARMKHDQKEAEVKWGQELKAKEEALAQVEEERRAKEAAEASNKRKLEALRLKIEIDFQRHKDDLQRLEQELSRLKISAQSTELLHPSNALPKAISEGAKPQGETIAKLLRELDNLENSSEKEVSCDRECIICMKDEVSVVFLPCAHQVLCANCNDDYGKKGKVTCPCCRAPIEHRIRVFGASS >ONI06096 pep chromosome:Prunus_persica_NCBIv2:G5:4408833:4415051:1 gene:PRUPE_5G040000 transcript:ONI06096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTVREKHIRTNRRPRSAKPDFDYCCHIDRASISKSILESGLKPFNHHLGSNNDSTQNPKSSPSPNSNFDESGWGYCTEEQLEEILLKNLEFLYNEAISKLVALGYDEDAALKAILRNGHCYGGMDVLTNILHNSLAYLNSNCGSSNGKSDEAEPVFADLRQLEEYSLAGMVCMLQQVRPHLSKGDAMWCLLMSDLHVGRASTMEIPVLPGGGIENSSSISTSVESGSNGNHSVGVMAPALCRFHGGWGFGNGGSSEFPVHGFFPYGAELTLQRDIECPKRFNLSPSMKSLLKRNVAIFAAGFRANSKQLNAQPQGCLSNLASGDTPVALESEVPVQQSEKARNSKNQEVVNSVMSKFRDLNLDENLEFVGEDQKNEVIVNLLHQVEELEKQVKDRKEWAHQKAMQAATKLSHDLAELKMLRLEREETQRLKKGKQTLEDSTMKRLSEMENALRKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVLTCLEVAKREKKCLKRMLAWEKQKIKLQEEIAEEKEKISELQQHLARMKHDQKEAEVKWGQELKAKEEALAQVEEERRAKEAAEASNKRKLEALRLKIEIDFQRHKDDLQRLEQELSRLKISAQSTELLHPSNALPKAISEGAKPQGETIAKLLRELDNLENSSEKEVSCDRECIICMKDEVSVVFLPCAHQVLCANCNDDYGKKGKVTCPCCRAPIEHRIRVFGASS >ONI05644 pep chromosome:Prunus_persica_NCBIv2:G5:1789061:1790025:1 gene:PRUPE_5G016400 transcript:ONI05644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRLVGTGLPGNFLDLSIFAFVFTFPHLSLPYLCICLSLFLFCFYFRLELSLQAEITGTHVQIDQNKMG >ONI06918 pep chromosome:Prunus_persica_NCBIv2:G5:9955902:9960493:-1 gene:PRUPE_5G088900 transcript:ONI06918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVGILAADIYFPPTCIQQEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVTSLLEKYGIDPKQIGRLEVGSETVIDKSKSIKTFLMTVFEKHGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIALLIGPDAPIAFESKIRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLKALDSCYKELCKKYEKLEGKQFSLADAEYFVFHSPYNKLVQKSFARLVFNDSVRNASSIDEAAKEKLAPFASLPGDESYQSRDLEKVSQQVAKPLYDAKVQPTTLVPKQVGNMYTASLYAAFVSLLHNKHSSLVSALNFLSKRLDFFT >ONI06916 pep chromosome:Prunus_persica_NCBIv2:G5:9955897:9960814:-1 gene:PRUPE_5G088900 transcript:ONI06916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVGILAADIYFPPTCIQQEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVTSLLEKYGIDPKQIGRLEVGSETVIDKSKSIKTFLMTVFEKHGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIALLIGPDAPIAFESKIRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLKALDSCYKELCKKYEKLEGKQFSLADAEYFVFHSPYNKLVQKSFARLVFNDSVRNASSIDEAAKEKLAPFASLPGDESYQSRDLEKVSQQVAKPLYDAKVQPTTLVPKQVGNMYTASLYAAFVSLLHNKHSSLDGKRVILFSYGSGSTATMFSLRLNAGQHPFSLENIAAVLNVGEKLKSRHEFPPEKFVEVMKIMEHRYGGKDFVTNQDISLLLPGTYYLTEVDSKYRRFYAKKEGGSTAAVTSNENGVVANGH >ONI06915 pep chromosome:Prunus_persica_NCBIv2:G5:9955688:9960493:-1 gene:PRUPE_5G088900 transcript:ONI06915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVGILAADIYFPPTCIQQEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVTSLLEKYGIDPKQIGRLEVGSETVIDKSKSIKTFLMTVFEKHGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIALLIGPDAPIAFESKIRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLKALDSCYKELCKKYEKLEGKQFSLADAEYFVFHSPYNKLVQKSFARLVFNDSVRNASSIDEAAKEKLAPFFVR >ONI06917 pep chromosome:Prunus_persica_NCBIv2:G5:9956656:9960475:-1 gene:PRUPE_5G088900 transcript:ONI06917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVGILAADIYFPPTCIQQEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVTSLLEKYGIDPKQIGRLEVGSETVIDKSKSIKTFLMTVFEKHGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIALLIGPDAPIAFESKIRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLKALDSCYKELCKKYEKLEGKQFSLADAEYFVFHSPYNKLVQKSFARLVFNDSVRNASSIDEAAKEKLAPFASLPGDESYQSRDLEKVSQQVAKPLYDAKVQPTTLVPKQVGNMYTASLYAAFVSLLHNKHSSLDGKRVILFSYGSGSTATMFSLRLNAGQHPFSLENIAAVLNVGEKLKSRHEVLVSSSLFLKHSFMTYHSETNKLVCSQINACLLKS >ONI08456 pep chromosome:Prunus_persica_NCBIv2:G5:15060993:15061973:1 gene:PRUPE_5G178700 transcript:ONI08456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVLLLLLFITIPFSESKLSMEYYKKTCPDFESIVRDTVSLKQMANPTTAAGTLRLFFHDCMVEGCDASILISSNHINIAERDADINQSLSGDALEVVALAKTALELTCPGIVSCSDILAIATRDLVTMVGGPFYNVRLGRKDGKVSQASRVEANLIRSNRTMEDIINYFAVKGFTIEEMVALSGGHTIGFSHCKEFADRIFNYNRTTPTDPEMYPNFAEGLKKTCTNYQTNTAMSAFNDVITPGKFDNMYFLNLKRGLGLLSSDHALVKDPRTRPFVDLYAADQDAFFKAFAHAMEKLAHQGVKTGRKGEVRHRCDAFNFIQA >ONI08731 pep chromosome:Prunus_persica_NCBIv2:G5:15963558:15966502:1 gene:PRUPE_5G197500 transcript:ONI08731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSEDRFEIAFFDVETTVPTRTGQGFTILEFGSILVCPRKLVELESYSTLVRPADLSSISSLSVRCNGITRDAVVSSPTFQEIADTVYDILHGRIWAGHNILRFDCARIREAFAHIGRPAPEPKGTIDSLALLTQRFGRRAGNMKMATLATYFGLGQQTHRSLDDVRMNLEVLKYCATVLFLESSLPDIFTENSWVSPNATTRSRSDGKSSAEKRGQMNMNVSSLTMHEDAQVLSFTNQRTGEKENHPIASLRTQNAEVSILVEPITAQPDPFDMAPLSIEVQKELNQPDTTMLEVPVQETPNSSSPAAASESCNSSVGFLQPDEVFIPSICASLAPLYRGSQRIKLLHKDVTLQLCCRRMKLRFGINTKFFDHAGRPRLNIVADASPNLCEVLDACDGIAQKLSVDSGSNSEWRPVVIRKEGFYNYPTVRLHIQTAVWGDVAIYATEIYKKEPSGTEERLVFTKFDASELSTLFKQGRFMDAFFSLDPYDYQQSAGIRLVAKKLIIHSN >ONI08730 pep chromosome:Prunus_persica_NCBIv2:G5:15963558:15966502:1 gene:PRUPE_5G197500 transcript:ONI08730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSEDRFEIAFFDVETTVPTRTGQGFTILEFGSILVCPRKLVELESYSTLVRPADLSSISSLSVRCNGITRDAVVSSPTFQEIADTVYDILHGRIWAGHNILRFDCARIREAFAHIGRPAPEPKGTIDSLALLTQRFGRRAGNMKMATLATYFGLGQQTHRSLDDVRMNLEVLKYCATVLFLESSLPDIFTENSWVSPNATTRSRSDGKSSAEKRGQMNMNVSSLTMHEDAQVLSFTNQRTGEKENHPIASLRTQNAEVSILVEPITAQPDPFDMAPLSIEVQKELNQPDTTMLEVPVQETPNSSSPAAASESCNSSVGFLQPDEVFIPSICASLAPLYRGSQRIKLLHKDVTLQLCCRRMKLRFGINTKFFDHAGRPRLNIVADASPNLCEVLDACDGIAQKLSVDSGSNSEWRPVVIRKEGFYNYPTVRLHIQTAVWGDVAIYATEIYKKEPSGTEERLVFTKFDASELSTLFKQGRFMDAFFSLDPYDYQQSAGIRLVAKKLIIHSN >ONI06509 pep chromosome:Prunus_persica_NCBIv2:G5:7963398:7964781:1 gene:PRUPE_5G065500 transcript:ONI06509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKNDGSTKRIMNKGAWTAEEDRKLAEYIEIHGAKRWKTVASIAGLNRCGKSCRLRWLNYLRPNIKRGNISDDEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKINHKEKTLQNSRAQETATPSQKDSGTEGDEEEGGGKESENNSDVNFDVNEFFDFSAEGSYGLEWVNKFLELDEDTMNYREKVSNIM >ONI06857 pep chromosome:Prunus_persica_NCBIv2:G5:9761962:9763127:1 gene:PRUPE_5G085900 transcript:ONI06857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLPLHVVQKIHCIFAGFNHTEADSCIWQLTSNGEFSVKTAYLSLFTKETNYTWNWDIIWKLQVPPKIKTFLWLLIHAPNSNCPCCNGSMESLDHLFRRCSHAAKMWNSIGIPNQVAHSFSMDFKDWLFTNIKASFSCMQGIPWSSLFLAALWFCWKWRCKKVFYLNFSPPPWPHIPIIHFSREWLVANRSRNSKLPKHVLKLHWSPPCAGWFKINVDGSCMGELGAISAGGIIRTDAGVWVKGFVTKLGCGSILEAELWGVFRGLLLTWNEGIRRIQMECDSLTAVSLINGETGTNHPLSSIIHCCKDLLLRDWECTIYHIYREQNSAADHMAHLGQNSSLGFHVIDLPPPSIVCLLANDSSRGTTARLVPV >ONI06831 pep chromosome:Prunus_persica_NCBIv2:G5:9648862:9651237:-1 gene:PRUPE_5G083900 transcript:ONI06831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGIAPSSGAKQYPGKLTARVVITCIVAATGGLIFGYDLGVSGGVTSMDSFLKQFFPAVYQKESSIKPSDDQYCKFDSQTLTLFTSSLYLAALVACVFASTITRVCGRRLTMILGGVLFLAGALVNAFANAVWMLYVGRLFLGFGIGCANQSVPIYVSETAPSKYRGALNMMFQLSITIGILAAGVLNYFFAKIKGGGGWRLSLGGAAVPAIIIIVGALFLPDTPNSLVERGKHEEAKAQLLKLRGVPNVDEEFNDLVAASEASKLVKHPWVSLLSRKYRPQLVFAIGIPAFQQLTGMNVITFYAPVLFKTMGFGSSASLMSAVITNLVNALATFVSILTVDKVGRRKLFLQGGCQMLLMQVGVGIAMAVKFGVSGNPGKLTLGFAVPLVVLICVYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQAINVSVNMIFTFAIAQVFTAMLCHLKFGLFFFFSVCVVVMSIFIYKLLPETKGVPIEEMHTVWENHPYWRKYVIKEEGIAMGKGKGKSKGGQSA >ONI08540 pep chromosome:Prunus_persica_NCBIv2:G5:15311863:15317724:-1 gene:PRUPE_5G184300 transcript:ONI08540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMERLSSKWTATAASIWIQSAAGSSYAFSIYSSALKSSQGYDQSTLDTVSVFKDIGANAGILSGILYSAVALNRRRIGGPWVVHAAGAIQCFLGYFFLWAAVTGLIERPPVAAVCLFLFLAAHAQTFYNTANVVTGVHNFSEYGGTIVGIMKGFLGLSGAILFQIYWTFLYKKPASFLLMLALLPTINTLLLMWFVRIHKKYEGNEKKHLNSFSLIALIVAAYLMGFIILENLLTLCLAIRVVAFVVLILLLASPLCIAIRARQGESNRVSPTLLAEGDQLIDGESNWRDTEKSHIQDPSGYRHLPSNNTDRELDSNDRSLQSGENFNLVQAMCTMDFWILFIAMACGMGSGLATINNLNQIGGSLGYRTFEKSTLISLWSIWNFLGRFGAGYVSDHFLHLRGWARPLFIVITLTGMSIGHVVIASGMPCALYTGSLLVGVFYGSQWSLMPTITSEIFGVLHMGTIFNAITIGAPVGSYIFSVRVIGHIYDKEASTAWNTCTGAHCFRLSFLIMACATFLGSLTALALFFRTISFYSQDIDGTSNT >ONI08541 pep chromosome:Prunus_persica_NCBIv2:G5:15312383:15314727:-1 gene:PRUPE_5G184300 transcript:ONI08541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRPSTPFQCSRTLEPTVGVLSGLLYSCSATWRVLWLVLLAGAAQCFAGYFLMWTAVAGVIPRPPVPVMCLFMFVAAHAMSFFNTSNAVTGVRNFPYYKGTIVGIMKGFLGLSGAILFQIYWTFLYKKPASFLLMLALLPTINTLLLMWFVRIHKKYEGNEKKHLNSFSLIALIVAAYLMGFIILENLLTLCLAIRVVAFVVLILLLASPLCIAIRARQGESNRVSPTLLAEGDQLIDGESNWRDTEKSHIQDPSGYRHLPSNNTDRELDSNDRSLQSGENFNLVQAMCTMDFWILFIAMACGMGSGLATINNLNQIGGSLGYRTFEKSTLISLWSIWNFLGRFGAGYVSDHFLHLRGWARPLFIVITLTGMSIGHVVIASGMPCALYTGSLLVGVFYGSQWSLMPTITSEIFGVLHMGTIFNAITIGAPVGSYIFSVRVIGHIYDKEASTAWNTCTGAHCFRLSFLIMACATFLGSLTALALFFRTISFYSQDIDGTSNT >ONI06288 pep chromosome:Prunus_persica_NCBIv2:G5:5487453:5489377:-1 gene:PRUPE_5G051100 transcript:ONI06288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEKSFTLVQTVVTATVFSAVSGWYGFMFGRESARKELGSLIDDLRRQNPNSDPPPPPHA >ONI06290 pep chromosome:Prunus_persica_NCBIv2:G5:5486742:5489371:-1 gene:PRUPE_5G051100 transcript:ONI06290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEKSFTLVQTVVTATVFSAVSGWYGFMFGRESARKELGSLIDDLRRQNPNSDPPPPPHA >ONI06289 pep chromosome:Prunus_persica_NCBIv2:G5:5484131:5489371:-1 gene:PRUPE_5G051100 transcript:ONI06289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEKSFTLVQTVVTATVFSAVSGWYGFMFGRESARKELGSLIDDLRRQNPNSDPPPPPHA >ONI08011 pep chromosome:Prunus_persica_NCBIv2:G5:13791790:13794785:1 gene:PRUPE_5G153100 transcript:ONI08011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVIRAVLPSYSETEVSGSTKERGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCDVVCFTADVGQGLKELEGLEKKAKASGASQLVVKDLKEEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFFALNPKLNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDGNLWHLSHEGDILEDPENEPKKDMFMLTVDPEDAPNEPEYVDIGIISGIPVSVNGKNLSPASLLDHLNQIGGRHGIGRVDMVENRLVGMKSRGVYETPGGTILFAAARELESLTLDRETMQVKDSLALKYAELVYAGRWFDPLRESMDSFMEEISKTTTGSVTLKLYKGSITVTSRKSPYSLYRQDISSFESGDVYDQADAAGFIRLYGLPIRVRAMLDS >ONI08010 pep chromosome:Prunus_persica_NCBIv2:G5:13790543:13794785:1 gene:PRUPE_5G153100 transcript:ONI08010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKSISAFSSSNLAFHGPKRESFPYHGKVCCPGKLSSFQELGARKGVLQGNAFAVSSGNGSVTRAHDKQVIRAVLPSYSETEVSGSTKERGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCDVVCFTADVGQGLKELEGLEKKAKASGASQLVVKDLKEEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFFALNPKLNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDGNLWHLSHEGDILEDPENEPKKDMFMLTVDPEDAPNEPEYVDIGIISGIPVSVNGKNLSPASLLDHLNQIGGRHGIGRVDMVENRLVGMKSRGVYETPGGTILFAAARELESLTLDRETMQVKDSLALKYAELVYAGRWFDPLRESMDSFMEEISKTTTGSVTLKLYKGSITVTSRKSPYSLYRQDISSFESGDVYDQADAAGFIRLYGLPIRVRAMLDS >ONI08485 pep chromosome:Prunus_persica_NCBIv2:G5:15153308:15155140:1 gene:PRUPE_5G180800 transcript:ONI08485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGMHDRQLVKVQAHPPTILKNKKQKSWSLRVHLAQFHSKFQNPQSKTTYTTKPKRINIQRKWKEKTLQQQGKKNYNIPMANKNIR >ONI06444 pep chromosome:Prunus_persica_NCBIv2:G5:6583054:6585087:1 gene:PRUPE_5G060800 transcript:ONI06444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPLLALCQYVETKLKPANEIIAIHMLEEIFGTEHDTLLLCEDVLQFASVVEIGSTVIAVYMRYLFDYLEIANMVNLVGLVDLGQVSSQSGMVSHRSKYLSDCLKNADGDQFYLVPYNPGGHWVLTIVRLVKETVYYMDSLPNRSVDEDMRNIVNTSINMYNSHTDKQSSRKSPIWKNLQGTPRQPTNVECGYYMMRFMRDIIHDAGLGFEKKFDNEKELVVYTQEHIDKVRLEWAKFVNKQLQNNI >ONI06773 pep chromosome:Prunus_persica_NCBIv2:G5:9384222:9390295:1 gene:PRUPE_5G080200 transcript:ONI06773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSDMSGRAPLYRKAFVFFSSPISKELVGHIKKDATVLTRIAALREMNLEYFAIDSQAFVTNNERALEELYGDEEDSRKGVACLNVMAARVATVFASLREFPFVRYRAAKSLDATTMTTFRDLIPTKLAAGIWDCLMKYKKTIPNFPETETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMDGNKYVHEVPSKTGGPPEKKEVLLEDHDPIWLELRHAHIADASERLHEKMTNFITKNKAAQIHHGARDARELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKVNRIIRELGLRELGQLEQDLVFGDAGMKDVIKFLNTKDDTTGENKLRLLMILAAIYPEKFEGEKGHNLMKLAKLPPDDMNAVNNMRLLGGSSDTKKSSIATFSLKFDMHKKKRGGRKERPSEGETWQLSRFYPIIEELVENLSKGELSKEDYPCLNDPSPTFHGTSHGAAIHQPPVAHSMRSRRAPTWARPKNSDDGYSSDSVLRHASSDFKKMGQRIFVVIVGGATRSELRVCHKLTTKLRREVVLGSSCLDDPAPFITKLKMMTANELSLDDLQI >ONI06772 pep chromosome:Prunus_persica_NCBIv2:G5:9382592:9390295:1 gene:PRUPE_5G080200 transcript:ONI06772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLTVKIMSYACKMADITDEGVSLVEDIYRRRQPLPSMDAIYFFQPTKENVIMFLSDMSGRAPLYRKAFVFFSSPISKELVGHIKKDATVLTRIAALREMNLEYFAIDSQAFVTNNERALEELYGDEEDSRKGVACLNVMAARVATVFASLREFPFVRYRAAKSLDATTMTTFRDLIPTKLAAGIWDCLMKYKKTIPNFPETETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMDGNKYVHEVPSKTGGPPEKKEVLLEDHDPIWLELRHAHIADASERLHEKMTNFITKNKAAQIHHGARDARELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKVNRIIRELGLRELGQLEQDLVFGDAGMKDVIKFLNTKDDTTGENKLRLLMILAAIYPEKFEGEKGHNLMKLAKLPPDDMNAVNNMRLLGGSSDTKKSSIATFSLKFDMHKKKRGGRKERPSEGETWQLSRFYPIIEELVENLSKGELSKEDYPCLNDPSPTFHGTSHGAAIHQPPVAHSMRSRRAPTWARPKNSDDGYSSDSVLRHASSDFKKMGQRIFVVIVGGATRSELRVCHKLTTKLRREVVLGSSCLDDPAPFITKLKMMTANELSLDDLQI >ONI06771 pep chromosome:Prunus_persica_NCBIv2:G5:9381145:9390295:1 gene:PRUPE_5G080200 transcript:ONI06771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSDSDSSSYGGDYKNFKQISRDRLLIEMLRSCKTGDSKATWKVLIMDKLTVKIMSYACKMADITDEGVSLVEDIYRRRQPLPSMDAIYFFQPTKENVIMFLSDMSGRAPLYRKAFVFFSSPISKELVGHIKKDATVLTRIAALREMNLEYFAIDSQAFVTNNERALEELYGDEEDSRKGVACLNVMAARVATVFASLREFPFVRYRAAKSLDATTMTTFRDLIPTKLAAGIWDCLMKYKKTIPNFPETETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMDGNKYVHEVPSKTGGPPEKKEVLLEDHDPIWLELRHAHIADASERLHEKMTNFITKNKAAQIHHGARDARELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKVNRIIRELGLRELGQLEQDLVFGDAGMKDVIKFLNTKDDTTGENKLRLLMILAAIYPEKFEGEKGHNLMKLAKLPPDDMNAVNNMRLLGGSSDTKKSSIATFSLKFDMHKKKRGGRKERPSEGETWQLSRFYPIIEELVENLSKGELSKEDYPCLNDPSPTFHGTSHGAAIHQPPVAHSMRSRRAPTWARPKNSDDGYSSDSVLRHASSDFKKMGQRIFVVIVGGATRSELRVCHKLTTKLRREVVLGSSCLDDPAPFITKLKMMTANELSLDDLQI >ONI07127 pep chromosome:Prunus_persica_NCBIv2:G5:10915288:10921444:1 gene:PRUPE_5G101300 transcript:ONI07127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQRLCGLVFVSALILLVSEPSSVTAGDIVHDDDSAPKKPGCENNFVLVKVQTWVDGVEANEFVGVGARFGTTIESKEKKAQQTRLILSNPRDCCNKPKNKLAGDVIMVDRGHCKFTTKANIAQEANASAVLIVNNQKELYKMVCEPDETALDIHIPAVMLPQDAGATLEKMLMNNSLVSVQLYSPQRPVVDIAEVFLWLMAVGTILCASYWSAWSAREASIEQDKLLKDASDEIPSAKAPLGASVVDISTTSAVLFVIIASCFLVILYKLMSGWFVELLVVLFCIGGVEGLQTCLVALLSRWFKRTGESYIKVPILGAVSYLTLAVSPFCIAFAVLWAVFRNISFAWIGQDILGIALIITVLQIVRIPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGFSIIGFGDILLPGLLVAFSLRYDWLANKALRAGYFLWAMMAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGKKRGDLQILWCRGEPERPCSHIELEQSQELDETKKSKTACSCMIFVVLAFYNH >ONI07129 pep chromosome:Prunus_persica_NCBIv2:G5:10915625:10921444:1 gene:PRUPE_5G101300 transcript:ONI07129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQRLCGLVFVSALILLVSEPSSVTAGDIVHDDDSAPKKPGCENNFVLVKVQTWVDGVEANEFVGVGARFGTTIESKEKKAQQTRLILSNPRDCCNKPKNKLAGDVIMVDRGHCKFTTKANIAQEANASAVLIVNNQKELYKMVCEPDETALDIHIPAVMLPQDAGATLEKMLMNNSLVSVQLYSPQRPVVDIAEVFLWLMAVGTILCASYWSAWSAREASIEQDKLLKDASDEIPSAKAPLGASVVDISTTSAVLFVIIASCFLVILYKLMSGWFVELLVVLFCIGGVEGLQTCLVALLSRWFKRTGESYIKVPILGAVSYLTLAVSPFCIAFAVLWAVFRNISFAWIGQDILGIALIITVLQIVRIPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGFSIIGFGDILLPGLLVAFSLRYDWLANKALRAGYFLWAMMAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGKKRGDLQILWCRGEPERPCSHIELEQSQELDETK >ONI07128 pep chromosome:Prunus_persica_NCBIv2:G5:10915819:10921165:1 gene:PRUPE_5G101300 transcript:ONI07128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQRLCGLVFVSALILLVSEPSSVTAGDIVHDDDSAPKKPGCENNFVLVKVQTWVDGVEANEFVGVGARFGTTIESKEKKAQQTRLILSNPRDCCNKPKNKLAGDVIMVDRGHCKFTTKANIAQEANASAVLIVNNQKELYKMVCEPDETALDIHIPAVMLPQDAGATLEKMLMNNSLVSVQLYSPQRPVVDIAEVFLWLMAVGTILCASYWSAWSAREASIEQDKLLKDASDEIPSAKAPLGASVVDISTTSAVLFVIIASCFLVILYKLMSGWFVELLVVLFCIGGVEGLQTCLVALLSRWFKRTGESYIKVPILGAVSYLTLAVSPFCIAFAVLWAVFRNISFAWIGQDILGIALIITVLQIVRIPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGFSIIGFGDILLPGLLVAFSLRYDWLANKALRAGYFLWAMMAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGKKRGDLQILWCRGEPERPCSHIELEQSQELDETK >ONI08656 pep chromosome:Prunus_persica_NCBIv2:G5:15719233:15724729:-1 gene:PRUPE_5G192000 transcript:ONI08656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGNSGDNRSRSSMSVFIVAGLCCFFYILGAWQRSGFGKGDSIALEITKQTDCSILSNLNYETHHVGIDDSEGVVNEFKPCSDHYIDYTPCQDQMRAMTFPREDMNYRERHCPPDEEKLHCLIPAPKGYVTPFRWPQSRDYVPYANAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPHGADAYISELAAVIPMDSGMVRTALDTGCGVASWGAYLFKKNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWRNNYVAWQRPKEELEEEQRKIEEMAKLLNWEKKHEKGEIAIWRKQTSFDYGRDRNPQPTLCESTNADDVWYKKMELCITPYPETSDPNEDAGGAWKPFPERLNALPFRISSGSIPGVSAETYQEDNRSWKKHVNAYKRTNKLLDTGRYRNIMDMNAGLGSFAAALDSPKLWVMNVMPTIAEKDTLGVIFERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCDAEDILLEMDRILRPEGAVIFRDHMDVLVKVSKIVRGMRWNTKMVDHEDGPLVPEKVLFAVKRYWVAGEDNSTSSE >ONI08658 pep chromosome:Prunus_persica_NCBIv2:G5:15719629:15724727:-1 gene:PRUPE_5G192000 transcript:ONI08658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWRNNYVAWQRPKEELEEEQRKIEEMAKLLNWEKKHEKGEIAIWRKQTSFDYGRDRNPQPTLCESTNADDVWYKKMELCITPYPETSDPNEDAGGAWKPFPERLNALPFRISSGSIPGVSAETYQEDNRSWKKHVNAYKRTNKLLDTGRYRNIMDMNAGLGSFAAALDSPKLWVMNVMPTIAEKDTLGVIFERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCDAEDILLEMDRILRPEGAVIFRDHMDVLVKVSKIVRGMRWNTKMVDHEDGPLVPEKVLFAVKRYWVAGEDNSTSSE >ONI08657 pep chromosome:Prunus_persica_NCBIv2:G5:15719590:15724735:-1 gene:PRUPE_5G192000 transcript:ONI08657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGNSGDNRSRSSMSVFIVAGLCCFFYILGAWQRSGFGKGDSIALEITKQTDCSILSNLNYETHHVGIDDSEGVVNEFKPCSDHYIDYTPCQDQMRAMTFPREDMNYRERHCPPDEEKLHCLIPAPKGYVTPFRWPQSRDYVPYANAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPHGADAYISELAAVIPMDSGMVRTALDTGCGVASWGAYLFKKNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWRNNYVAWQRPKEELEEEQRKIEEMAKLLNWEKKHEKGEIAIWRKQTSFDYGRDRNPQPTLCESTNADDVWYKKMELCITPYPETSDPNEDAGGAWKPFPERLNALPFRISSGSIPGVSAETYQEDNRSWKKHVNAYKRTNKLLDTGRYRNIMDMNAGLGSFAAALDSPKLWVMNVMPTIAEKDTLGVIFERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCDAEDILLEMDRILRPEGAVIFRDHMDVLVKVSKIVRGMRWNTKMVDHEDGPLVPEKVLFAVKRYWVAGEDNSTSSE >ONI08646 pep chromosome:Prunus_persica_NCBIv2:G5:15689574:15691401:1 gene:PRUPE_5G191300 transcript:ONI08646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQVPFSTTDLVLLPISTILIFLACKLHNRLRFKLPPGPYPWPIIGNLHNLGAVRSRCFFELSQVYGPIVSVWLGSSLHIVVSNPELAKQVLKDNDEQLAHRHRTWSSSRITKNGKDLIWADYGPHYIKLRKICMLELLSPKNLETFRHVREDEVRAMIESIFKDCSKSQDYRKCLVVRKYLRSVAFNIITMLLFGKRFENEVGELNQQGLEIVAILANERKLGAYLPIFEENWWLRWVLWFQSTAISRHLARKEKFTQAIKEEHMLESKSNGVGGVKQHFLGALLGDQEKYELTEDSVEGLLWDMITAGTDTIAISAEWALAQLIKNPRVQRKSQEELDRVIGLDRTMTESDISSLPYLKCVAKEALRLHPPTPLMLPHKANARVKIGGYDIPKGTTVHVNVWAIGRDPKAWPNPVDFRPERFIEEDIDAKRHNFQLLPFGAGRRVCPAAQLGTNLVTYMLGQLLHSFCWTLPEGIQPKDIDTSEGPGLVSYMQTPLQGVPNLRLPPHLYKQSQD >ONI06594 pep chromosome:Prunus_persica_NCBIv2:G5:8326888:8332066:-1 gene:PRUPE_5G069200 transcript:ONI06594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEEGPPNRELYALLHISPEASDEEIRKAYRQWAQVYHPDKYQSPNMKDTATENFQRICQAYEILSDENKRKIYDIYGMEGLTSGLELGTKLNKAEELTEELERLRKLKEQEKVLAHFRPSGTILANMSLPHLLHGHGIMRGMAMTSSVQSQISKHNTLSIGGNLAVNGNSGGGAATIVFGHRLSSVSSIEFIGSAGLRSLIGVQTSRNISSHLTATMGLSMSLRDGSLNLSNTWVRQLSETASGNIQLALGSDSAVSVGWRKKNQKLSAAGELKCGIDSFAASAHYNHRFSSKSHGRIVGKIGSNALEVEVGGGRKLSNFSTVRMLYSIGIQISLSNALMQIKLGKKTCTTQICKQLAKVFKWRKPNSHMNFFVNLFLCSFCNKI >ONI06593 pep chromosome:Prunus_persica_NCBIv2:G5:8324070:8332066:-1 gene:PRUPE_5G069200 transcript:ONI06593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTSSVQSQISKHNTLSIGGNLAVNGNSGGGAATIVFGHRLSSVSSIEFIGSAGLRSLIGVQTSRNISSHLTATMGLSMSLRDGSLNLSNTWVRQLSETASGNIQLALGSDSAVSVGWRKKNQKLSAAGELKCGIDSFAASAHYNHRFSSKSHGRIVGKIGSNALEVEVGGGRKLSNFSTVRMLYSIGIQGIFWKFELHRGGQKLIIPILLSRHLDPMFAAGAFIIPTSVYFVLKKFVLKPYYLKREKQKALENKQKTSAQVLETRAAADKAQKLLQNVANRKTNKQLEIGGLVITKALYGNLKALKKRDEPVESNDSPVIDVKLPLNFLVSDSGQLQLHEGVKKSGIMGFCDPCPGEPKQLYVEYTYGDGRYEVTVDDYDKLVIPQEEHRI >ONI06592 pep chromosome:Prunus_persica_NCBIv2:G5:8324299:8331887:-1 gene:PRUPE_5G069200 transcript:ONI06592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEEGPPNRELYALLHISPEASDEEIRKAYRQWAQVYHPDKYQSPNMKDTATENFQRICQAYEILSDENKRKIYDIYGMEGLTSGLELGTKLNKAEELTEELERLRKLKEQEKVLAHFRPSGTILANMSLPHLLHGHGIMRGMAMTSSVQSQISKHNTLSIGGNLAVNGNSGGGAATIVFGHRLSSVSSIEFIGSAGLRSLIGVQTSRNISSHLTATMGLSMSLRDGSLNLSNTWVRQLSETASGNIQLALGSDSAVSVGWRKKNQKLSAAGELKCGIDSFAASAHYNHRFSSKSHGRIVGKIGSNALEVEVGGGRKLSNFSTVRMLYSIGIQGIFWKFELHRGGQKLIIPILLSRHLDPMFAAGAFIIPTSVYFVLKKFVLKPYYLKREKQKALENKQKTSAQVLETRAAADKAQKLLQNVANRKTNKQLEIGGLVITKALYGNLKALKKRDEPVESNDSPVIDVKLPLNFLVSDSGQLQLHEGVKKSGIMGFCDPCPGEPKQLYVEYTYGDGRYEVTVDDYDKLVIPQEEHRI >ONI05619 pep chromosome:Prunus_persica_NCBIv2:G5:1682302:1686857:1 gene:PRUPE_5G015300 transcript:ONI05619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADARLGQWLFLLACAVTLLKAAGVPVEITYVHSAIAKGAVCLDGSPPAYHHDKGFGSGINNWLVHIEGGGWCNNVTNCLGRKNTRLGSSKQMLKAIAFSGILSNRKMFNPDFYNWNRIKVRYCDGASFTGDVAAVNPATGLYFRGARIFRAVIEDLLAKGMGNAQNAILSGCSAGGLTSILHCDNFHALLPAGTNVKCVSDAGYFINVKDVSGAQHIEELFSQVVETHGSARNLPPSCTSKLRPGLCFFPQYMASQIQTRIFFVNAAYDSWQIKNILAPGVADPHGTWHECKLDIKNCSPTQLKAMQDFRVQFLSAVGGMTGCPLKGMFIDSCYAHCQTEMQETWLMADSPVLNKTTIAQAVGDWFYGRTPFQKIDCPYPCNPSCHNRVFDPNQHLLEQ >ONI05620 pep chromosome:Prunus_persica_NCBIv2:G5:1682417:1686857:1 gene:PRUPE_5G015300 transcript:ONI05620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADARLGQWLFLLACAVTLLKAAGVPVEITYVHSAIAKGAVCLDGSPPAYHHDKGFGSGINNWLVHIEGGGWCNNVTNCLGRKNTRLGSSKQMLKAIAFSGILSNRKMFNPDFYNWNRIKVRYCDGASFTGDVAAVNPATGLYFRGARIFRAVIEDLLAKGMGNAQNAILSGCSAGGLTSILHCDNFHALLPAGTNVKCVSDAGYFINVKDVSGAQHIEELFSQVVETHGSARNLPPSCTSKLRPGLCFFPQYMASQIQTRIFFVNAAYDSWQIKNILAPGVADPHGTWHECKLDIKNCSPTQLKAMQDFRVQFLSAVGGMTGCPLKGMFIDSCYAHCQTEMQETWLMADSPVLNKTTIAQAVGDWFYGRTPFQKIDCPYPCNPSCHNRVFDPNQHLLEQ >ONI05933 pep chromosome:Prunus_persica_NCBIv2:G5:3466559:3468523:-1 gene:PRUPE_5G029700 transcript:ONI05933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWEITLGTAYFLGLRRTYRLALKIQRRLISPKHPKIRQFAHRRTRAVFDVAIKVHKSIQERDIEVGRNLGNRILRWLDRMKPEAQIRGPLEKPPTSASMMNKVNSSHLKTPGSTQITRNQESDRHLFSTMTNMWSKPLPPITMMMRSRKPSGMINQYRHLCMNVPQPLRSNYSAGAFGGVIRKDIMQWMLQK >ONI06107 pep chromosome:Prunus_persica_NCBIv2:G5:4493763:4496345:-1 gene:PRUPE_5G040900 transcript:ONI06107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGVSSLSSCFRPVHRTNHHHPDHNDVVFAASEPLDETLGHSFCYVRSSARFLSPTQSDRFISPSNSLRFSPSHEPGARTRPGLHETGFKAISGASVSANSSTPRTVLQLDNIYDDATDSVLGGFGGGVRGSIVNGFESTSSFSALPLQPVPRGGERDPSGPMERAGFFLSGPIERGALSGPLDPNPNAHGPDGQVHFSAPLGGLYVKRRRKKGISGIRKALYRNFSEKKQRPWVVPVLNFVGRKEGPPPPGDEAEPKSETNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAFYNELQGLFWEVDEDDQAPGTEEANNTNPPNVATESEVVVDVKIDGNDSNSNPLAEADRTKRVTFQSEGRRRRLWELLAEAEAEDGLDLSGSERFAFSVDDALTVSNEGSGSAVSRRWLLLSKLKQGLSKHKEGQGHGRKLFPWRFGLEDKEEVEVENTVEERSAPTGRRRKEGPVDHELVLSALSRALQVTENAYLEMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNLGDSRAIVAHYEPEEGGSSMESRGHKDNGLSAEDITESSSAVANEAPTQAMKLTALQLSTDHSTSIEECTFLNALTVVTAVYASEVSFFILRKCHIGLMLHMNPTHAEVNGELCFY >ONI07888 pep chromosome:Prunus_persica_NCBIv2:G5:13352857:13356258:1 gene:PRUPE_5G145200 transcript:ONI07888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFARVQKELQECSRDVERSGIKVSPKGDGSLARLIGTIPGPTGTPYEGGTFQIDIALPDAYPFEPPKMKFTTKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPQPDDPQDAVVAQQYLKDYQTFVGTARYWTETFAKKSSLGIEEKVQKLVEMGFPEGQARTTLEAVGGDENLALEKLCSG >ONI06532 pep chromosome:Prunus_persica_NCBIv2:G5:8129573:8130280:-1 gene:PRUPE_5G066700 transcript:ONI06532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDMTDEQVEELKQAFNIFDKDGNGTITTDELSNVLTSLGQTPSEEELEEFLQQMGGDNGRVRFDQYLVFMEKLMYPNGASE >ONI07574 pep chromosome:Prunus_persica_NCBIv2:G5:12485313:12486571:-1 gene:PRUPE_5G128300 transcript:ONI07574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLRSPRFQLLLVLQVLMLIQSKVLCYQYKVGDLDAWGIPTSTNPQVYTKWSKTHEFKMGDSLLFLYPPSQDSVVQVTAQAYTSCNLKDPILYMNNGNSLFNFTSLGDFYFTSGEPGRCQNNQKLHISFGNGSAYSPSYGPSALPDSAPSYPTVFGTIPAPPSSAPSQSFPVLMVAVIGFVVCSLLSGNL >ONI08609 pep chromosome:Prunus_persica_NCBIv2:G5:15532093:15533620:1 gene:PRUPE_5G188800 transcript:ONI08609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEGLLLAYNTMPKISIMFWCFLLLPLVFYCSEANQKVGIYELKKGDFSVKLTNYGATVLSVVLPDKNGKLDDVVLAFESLKDYSNDSVYFGAIVGRVANRIGSAQFSLNGVHYKLVANEGKNTLHGGLKGFSDVVWTVKSYIEDSHITFTYNSLDGEQGFPGDLVVSVTYMLVETNKLAIKMEAKALNKATPVNLAHHTYWNLRGHNSGDILSHHLQLFGSNITPVNDQLIPTGEIAPVKGTPYDFLEPQEIGSKINELPDGYDINYVLDPSSYKHLRKAVVLRDSVSGRKLELWTNMPGLQFYTSNKLDNVKGKDGFVYEKHAAVCLETQGFPDAVNHPNFPSQIVKPGETYLHVMVYRFTAA >ONI08608 pep chromosome:Prunus_persica_NCBIv2:G5:15531187:15533766:1 gene:PRUPE_5G188800 transcript:ONI08608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNSDHEQKVEDMVMNLSPNANRVYLLAGTHKFELPKDEVGIYELKKGDFSVKLTNYGATVLSVVLPDKNGKLDDVVLAFESLKDYSNDSVYFGAIVGRVANRIGSAQFSLNGVHYKLVANEGKNTLHGGLKGFSDVVWTVKSYIEDSHITFTYNSLDGEQGFPGDLVVSVTYMLVETNKLAIKMEAKALNKATPVNLAHHTYWNLRGHNSGDILSHHLQLFGSNITPVNDQLIPTGEIAPVKGTPYDFLEPQEIGSKINELPDGYDINYVLDPSSYKHLRKAVVLRDSVSGRKLELWTNMPGLQFYTSNKLDNVKGKDGFVYEKHAAVCLETQGFPDAVNHPNFPSQIVKPGETYLHVMVYRFTAA >ONI05351 pep chromosome:Prunus_persica_NCBIv2:G5:450967:452809:1 gene:PRUPE_5G003200 transcript:ONI05351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEAVNAKAYPLADAQLTITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMACDTEPIEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >ONI09054 pep chromosome:Prunus_persica_NCBIv2:G5:16921867:16923150:1 gene:PRUPE_5G214800 transcript:ONI09054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKISDFELACIFQGTQNLANTQSQKVVGTLVRLYVSGVCLPWAGYFLKNLMNIALGSCF >ONI08320 pep chromosome:Prunus_persica_NCBIv2:G5:14652579:14654509:-1 gene:PRUPE_5G171500 transcript:ONI08320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTTMLILSFLYLLFSALIPSNSGNATCRSYCGNLTIDYPFALHSGCGHPGFRELLYCINDVLMFHISSGSYRVLDIDYAYQALTLHDPHMSTCDNIVLGAKGNGFSVEQWRTPYMNPTADNVFMLIGCSAQSPLFQGFPGKHLPCRNVSGMSCEEYYGCPAWDLLGGHRKVGSMFGSGPPECCAVPFEAIKAINLTRLQCEGYSSAYSLAPLRLDGANGWSYGIRVKYSVQENDEFCRACEATGGTCGYGTDGIRQLCMCGKLNSTSNCDSVKSSSTRTYSVVDSYTLIGSLVCLLAWITKNHYPSPYVMHLII >ONI08254 pep chromosome:Prunus_persica_NCBIv2:G5:14461800:14462342:1 gene:PRUPE_5G167400 transcript:ONI08254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLEKFVLAVVAVYLLVGVSSATFTLLPHGGEKSLSHIDCRDGMRKIIGRKGGSFGCQSDIVSKNTVPIRSLRIIVTTSPPSPTKNLRKSQSATAPPPLII >ONI08514 pep chromosome:Prunus_persica_NCBIv2:G5:15249768:15255582:1 gene:PRUPE_5G183000 transcript:ONI08514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILFAQIQADLRSNDALRQSGALLQALQQSAAGRDISVIAKSAVEEIVASPASAVCKKLAFDLIRSTRLTADLWDTVCVGILTDLDFPDPDVSAAAVSILAAIPSYRLSKLITDAQKEINSCFDSPSDNLRFSITETLGCILARDDLVTLCENNVNLLDKVSSWWSRIGGNMLDASDAVSKVAFESVGRLFQEFDSKRMSRLAGDKLVDSENSLAIRSNWVSSMVDFVWKKRSALMARSLVLPVESFRATVFPIVYAVKAMASGSVEVIRKLSKSSKGSNGTVADSNAERLVGVSDVVTHLVPFLASSLDPALIFEVGIDLLYLADVPGGKPEWASQSIIAILTLWDRQEFASARESIVRAVVTNLHLLDLHMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLAGTDIASLFEDARIKDDLNSVTSKTLFREELVASLVESCFQLSLPLPEQKNSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKRVKDGASQDQILNETRLQNLQRELVKDLREVNTPRICARLIWAISEHIDLEGLDPLLADDPEDPLNIIVSNIHKVLFNIDSSADSTNRLLDVQAVLLCAQRLGSRNPRAGQLLTKELEEFRNGSTADSVNKHQCRLILQKIKYVSSHPESRWAGVSEARGDYPFSHHKLTVQFYEVAAAQDRKLEGLVHKAILELWRPDPSELTLLLTKGVDSTLIKVPPSAITLTGSSDPCYLEAYHLADASDGRISLHLKVLNLTELELNRVDIRVGLSGALYFMDGSPQAVRQLRNLVSQDPVLCSVTVGVSHFERCSLWVQVLYYPFYGSAAIDYEGDYTEEDPQIMRQKRSLRPELGEPVILRCQPYKIPLTELLMPHKISPVEFFRLWPSLPAIVEYTGTYTYEGSGFKATAAQQYGASPFLSGLKSLSSKPFHRVCSHVIRTVAGFQLCFAAKTWYGGFLGLMIFGASEVSRNVDLGDETTTMICKFVVRASDASITKEIGSDLQGWLDDLTDGGVEYMPEDEVKVAAVERLRISMERIALLKAAQPKRKIPKSDDDDDDEDEEESDEEDEDKIKKKKEKKKDGEEDGKPKGPTTLSKLTAEEAEHRALQTSVLQEWHMLCKDRGTKVN >ONI06332 pep chromosome:Prunus_persica_NCBIv2:G5:5784353:5785448:-1 gene:PRUPE_5G054000 transcript:ONI06332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPASDAFISPEINSFGQSFRDYNAESERQKGVEEFYRLNHINQTYDFVKKMREEYSKLNRVEMSIWECCELLNEVVDDSDPDLDEPQIEHLLQTAEAVRKDYPNEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTHPLGCAFDEAIVHHKYFKENPDHNNPSYNSKYGIYSHGCGLENVMMSWGHDDYMYLVAKENGSTLPQAGLFIIRYHSFYPLHKSGAYEHLMNKEDEENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYLSLIDKYFPEKLRW >ONI07330 pep chromosome:Prunus_persica_NCBIv2:G5:11691406:11694929:1 gene:PRUPE_5G113400 transcript:ONI07330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGLLTVMNDDHLLYREQQKQLSTLEMQLAAARQEGFVPKSLSKNEVAHSKKKLLAVIGIFTTFGRKKNRDAIRKAWMPSGAALKKLADEKGIVVRFVIGRSPNRGDSLDRQIDRENEQTNDFIVLDGQVEASEERPKKMKSFYIHAVENWDAEFYVKVNDDVYVNIDVLGATLTAYLNKPRVYIGCMKSGEVFSELTHKWYEPDWWKFGDAKSYFTHASGELYAISRALAQFISINRSILRTYAHDDVSAGSWFIGLDVKHIDERKFCCSSWLAGAICTAV >ONI07329 pep chromosome:Prunus_persica_NCBIv2:G5:11691415:11694929:1 gene:PRUPE_5G113400 transcript:ONI07329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRASQNRLSGSAFHSRISALLLAMLSTMAAIYVAGRLWQDAADRVYLIQELDKRSGQGESAISVDDTLKVIACREQQKQLSTLEMQLAAARQEGFVPKSLSKNEVAHSKKKLLAVIGIFTTFGRKKNRDAIRKAWMPSGAALKKLADEKGIVVRFVIGRSPNRGDSLDRQIDRENEQTNDFIVLDGQVEASEERPKKMKSFYIHAVENWDAEFYVKVNDDVYVNIDVLGATLTAYLNKPRVYIGCMKSGEVFSELTHKWYEPDWWKFGDAKSYFTHASGELYAISRALAQFISINRSILRTYAHDDVSAGSWFIGLDVKHIDERKFCCSSWLAGAICTAV >ONI05851 pep chromosome:Prunus_persica_NCBIv2:G5:2991934:3016638:-1 gene:PRUPE_5G026500 transcript:ONI05851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQGSLLLNLSLSPTPSSKVKRFQFSRCASFTYSPLSLPNSCLSAPQSSRNFGFGPRTSLVYCTLHPDNANANLNQESDLVDSHLSSEVKDSSLKEFSGENSSVSNVGKPETDEFGGEKLEFEVKSKFGKVGLEKGDEKSEKEVEAEGKSGNLVGQKSGTGIPLVVFLMGLWATAKGRFEKVLASNWFSWWPFWRQEKRLELLIAEADANPKDPVKQSALLAELNKHSPESVIKRFEQRDHSVDSKGVAEYLRALVVTDAIAEYLPDEESGKPSSLPSLLQELKQRALGNMDEPFLNPGINEKQPLHVVMVEPKVSNKSRFAQELISTILFTVAVGLVWFMGAAALQKYIGSLGGIGTSGVGSSSSYAPKELNKEVIPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPLGDDVDVKAIARGTPGFNGADLANLVNIAAIKAAVEGAEKLTATQLEFAKDRIVMGTERKTMFISEDSKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSNDETSISKRQLLARLDVCMGGRVAEEIIFGQDHITTGASSDLHTASELAHYMVSSCGMSDTIGPVHIKDRPSPEMQSRIDAEVVKLLREAYDRVKALLKKHEKALHALANALLEYETLSAEDIKRILLPYREGRLPEEQEDQQEGDLVLV >ONI07486 pep chromosome:Prunus_persica_NCBIv2:G5:12179362:12179964:-1 gene:PRUPE_5G123000 transcript:ONI07486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSSLKGTSGECPKSIRVLTDSGVVLQFKGPKLASEILTDFPGHGIFQQGKASLPLPEQELLVSGQYFLLPLREEDQLVCENGVIEKLEAAAAEAEPVKKSFGAGSDFVENLANGSSALEVLPSGGDGVWRVKLVIDTKQLEEILSEQGITEALIETMRMAATATAGMPSTPRQTKRGAWTWKPIFSNLFKLPADHGI >ONI05736 pep chromosome:Prunus_persica_NCBIv2:G5:2415272:2435086:-1 gene:PRUPE_5G022000 transcript:ONI05736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSILVGMEVPVIGSDSLKWIDVPVPSSSSSSSGPSDASAFASADAPCVPPVTDDCASSIAIGDPPTYLIWKIHKNLPHALELLELSASKDFSKVGLRITFPDALSPLLLLCKNEIDVGSRSHPYLLYALTVSGVAYLLRLGPISTYVSHSGFRLDELVEFNVNAYGPVTSAAAMPSGCLVLGRGDGSLACLQLGSLDPSAPGFVRELRDDSGIGRLWGFMSRGRMVGAVQDLVISVIHGKPLVFVLHSDGMLRVWDLSCHSRLFSNNMNSPTLAGATLVRLWIGQVDNDSTLIPLAILYRHTSDISSETIYAYSLRCNFGDRFILMLEPSAINIPLEEVGCVDVKLTSDKICILKNNGLVLHNLLTNVNTEDACSYALQEDFVADQLFQSSEHSSDDLLLITHSVFSSSKEHIVQVVSSIFLRRLLLPGVYHSIALRSTLLDYNRHWSDSDFQSLTADMLKKEILSVIEHEGVNDNSTSIFCCWKNFCSRYFQNWCKSNAPCGLLVDSSTGTFGLVRKNSVSFFRCLEDIERLNDGICDDHGDLVGFGLDLFDDAPEFEVLIEMLRCVVNVSQQLGKTASAIFYESLLSTPSVISSEEITRRLMKILETGYSSTVSMLHISDLGPDVAWEKSLADHKNLRKFSIDMLLSLHALHKKSGTWSRILNTIESPQKVMFESALDILLFLSYLVSISGQIHMLHDDISKIQLDLIPMIQEFISEWLLIHFFATTPSEPAAIEDFSSQLSLLQIDSSMGKRSWNEKLGKCEFTLAFIFLLNIRSSSRDQNRLSSRSIPDMHDIIISMRDFASWIIWGHAGDCFTFLSRATDLALILLRHGQYDAVEHLLTIVEAHLQKEKTSHSIQDTEGGWCILHHLLGCCFLSQAHRGLHGVLKDRKINEAVRCFFRASSGKGSSEALRSLPQEVGLPDLGFTGSISAAAWRLHYYQWVMQIFEQYNISEGACQFALAALEQVEEAFKEKDEFHGQDSINESASTIKGRLWANVFKFTLDLNRFYDAYCAIISNPDKESKYICLRRLIIVLYERGAIKILCGGQLPFIGLTEKVEQELARKADCSDVLAKPNLYKLLYAFEMHRHNWRRAASYMYLYSVRLRTETALKDYQNTSLALKEILNGLSAAINALYLVHPAYAWIDPLPERSYLHNEQYPSKKAKITIDEQTASNDVHPQTGKSYIDIEKIENEFVLTSAEYLLSLAHVKPTSSGTQKTPLEVVDLLIQTNLYDMAFTILLRFLKGSELKRGLERAFSAMSLKCCCPHRVDSSWVGDDPRAHGLLLTSLKDEVVVHGSPDMGSTNQQSKGISQWGTLELYLENYKVFHARLPQVVAETLLRTDPQIELPLWLVKMFKDGRRERTWTMTGQESNPALLFQLYVDYGRYREATNLLLEYVGLFASMRPADIINRKRPFAVWFPYTAVQRLWCQLEEMISLGHMVDQCNKLKNLLHGALLSHLELVKVDSEDVLSAFS >ONI06016 pep chromosome:Prunus_persica_NCBIv2:G5:3916897:3918528:-1 gene:PRUPE_5G034300 transcript:ONI06016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDEEDNRWPPWLKPLLKESFFVQCKQHADSHKSECNMYCLDCMNGPLCSLCLSYHKDHRAIQIRRSSYHDVIRVNEIQKHLDINGVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKVNNTMSQSLILFSFCTVWLL >ONI06015 pep chromosome:Prunus_persica_NCBIv2:G5:3916037:3918528:-1 gene:PRUPE_5G034300 transcript:ONI06015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDEEDNRWPPWLKPLLKESFFVQCKQHADSHKSECNMYCLDCMNGPLCSLCLSYHKDHRAIQIRRSSYHDVIRVNEIQKHLDINGVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSNNPQKMKHSKAMGSSDSEDSYSSSSHGRSKSSNKVQSFTPSTPPPTSANYRTAKRRKGIPHRAPMGGLIIEY >ONI06839 pep chromosome:Prunus_persica_NCBIv2:G5:9691633:9692776:1 gene:PRUPE_5G084400 transcript:ONI06839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQLFIIFAILAISAPSILATNYVVGDGKGWTINFDYQAWARGKMFYVGDNLVFNYPKGVHNVFKVNGTGFQQCSAPLDSVPLTSGHDVISLATSGRKWYICGVAQHCAMGGQKLLITVFPSSFAPSPSPTWESSNPSWSAPAPSPTWGKEAKGKPSSLAPAPSPTWGYTG >ONI07240 pep chromosome:Prunus_persica_NCBIv2:G5:11366721:11367579:1 gene:PRUPE_5G107800 transcript:ONI07240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAESLHSADELKRQKKIKLAIYITIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVESFVSDSAAPSFDTKFTTQIKIKNSANWGSYKFNAANITFLHQGATLAVIDIAKGKAGWLSTIKRNAEVSLNSSGITGSNFGTELSSGVLTLNSVGRLNGKVAIMFIMKKKKAANMNCTIAFDVAAKTLKSLECK >ONI08435 pep chromosome:Prunus_persica_NCBIv2:G5:15028018:15029854:1 gene:PRUPE_5G177800 transcript:ONI08435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDAATLVIQHPSGKEEKFYWSVSASEIMKTNPGHYVALLISTTLCPSNPNNNGDKKDKNLNQKDNNNNNNSTSVRLTRIKLLRPTDTLVLGQVYRLITTQEVMKGLWAKKQAKVKRSHSNQVEAEQPQERVREKPVLTAARRSEASELEKDNQVAKHERGHRPRTAATSSTHQSASTARSRTWQPSLHSISEATG >ONI05637 pep chromosome:Prunus_persica_NCBIv2:G5:1735869:1742096:1 gene:PRUPE_5G016000 transcript:ONI05637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAPSPKFPKFVPVEESTIHSISKPDGIRFSLVSYNILAQVYVKSSLFPHSPSPCLRWKARSQAILSVLKNLGADFLCLQEVDEYNSFYKGNMESNGYYSSYIQRSGQKRDGCGIFYKHDMAELLLEEKIEYNDLVDSILDGNGHGDDKPNNKEAVENKDDGPKIVMDFSTVLLIIDGYNLVSVFKLKNPSNHVVIVANTHLYWDPDWADVKLAQAKYLLSRLAQFKTLVSQRFDCSPSLILSGDFNSTPGDKVYQYLISGNSSSAPSIDSVDLPIPLCSAYATTRGEPPFTNYTPGFTGTLDYIFFSPSDCIRPVSFLELPEPGSSDLDGGLPNFSHPSDHLPIGVEFEISR >ONI05634 pep chromosome:Prunus_persica_NCBIv2:G5:1735387:1742333:1 gene:PRUPE_5G016000 transcript:ONI05634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAFPVVSRLPIPSPTSTQTTSVLSRMSSTAPSPKFPKFVPVEESTIHSISKPDGIRFSLVSYNILAQVYVKSSLFPHSPSPCLRWKARSQAILSVLKNLGADFLCLQEVDEYNSFYKGNMESNGYYSSYIQRSGQKRDGCGIFYKHDMAELLLEEKIEYNDLVDSILDGNGHGDDKPNNKEAVENKDDGPKIVMDFSTVLLIIDGYNLVSVFKLKNPSNHVVIVANTHLYWDPDWADVKLAQAKYLLSRLAQFKTLVSQRFDCSPSLILSGDFNSTPGDKVYQYLISGNSSSAPSIDSVDLPIPLCSAYATTRGEPPFTNYTPGFTGTLDYIFFSPSDCIRPVSFLELPEPGSSDLDGGLPNFSHPSDHLPIGVEFEISR >ONI05640 pep chromosome:Prunus_persica_NCBIv2:G5:1738920:1742333:1 gene:PRUPE_5G016000 transcript:ONI05640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFSPIISCAKGGGELWTIYFLLSHCIRVKIVWGGCTMLGDSSSFSSLFSERHTAFGVERRLRWYEIVRAELLLEEKIEYNDLVDSILDGNGHGDDKPNNKEAVENKDDGPKIGSTLQSALDQGDPDDPRVRLKRDCVGIMAVFKLKNPSNHVVIVANTHLYWDPDWADVKLAQAKYLLSRLAQFKTLVSQRFDCSPSLILSGDFNSTPGDKVYQYLISGNSSSAPSIDSVDLPIPLCSAYATTRGEPPFTNYTPGFTGTLDYIFFSPSDCIRPVSFLELPEPGSSDLDGGLPNFSHPSDHLPIGVEFEISR >ONI05639 pep chromosome:Prunus_persica_NCBIv2:G5:1737341:1742333:1 gene:PRUPE_5G016000 transcript:ONI05639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKARSQAILSVLKNLGADFLCLQEVDEYNSFYKGNMESNGYYSSYIQRSGQKRDGCGIFYKHDMAELLLEEKIEYNDLVDSILDGNGHGDDKPNNKEAVENKDDGPKIGSTLQSALDQGDPDDPRVRLKRDCVGIMAVFKLKNPSNHVVIVANTHLYWDPDWADVKLAQAKYLLSRLAQFKTLVSQRFDCSPSLILSGDFNSTPGDKVYQYLISGNSSSAPSIDSVDLPIPLCSAYATTRGEPPFTNYTPGFTGTLDYIFFSPSDCIRPVSFLELPEPGSSDLDGGLPNFSHPSDHLPIGVEFEISR >ONI05638 pep chromosome:Prunus_persica_NCBIv2:G5:1737288:1742333:1 gene:PRUPE_5G016000 transcript:ONI05638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKARSQAILSVLKNLGADFLCLQEVDEYNSFYKGNMESNGYYSSYIQRSGQKRDGCGIFYKHDMAELLLEEKIEYNDLVDSILDGNGHGDDKPNNKEAVENKDDGPKIVMDFSTVLLIIDGYNLVSVFKLKNPSNHVVIVANTHLYWDPDWADVKLAQAKYLLSRLAQFKTLVSQRFDCSPSLILSGDFNSTPGDKVYQYLISGNSSSAPSIDSVDLPIPLCSAYATTRGEPPFTNYTPGFTGTLDYIFFSPSDCIRPVSFLELPEPGSSDLDGGLPNFSHPSDHLPIGVEFEISR >ONI05636 pep chromosome:Prunus_persica_NCBIv2:G5:1735387:1742333:1 gene:PRUPE_5G016000 transcript:ONI05636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAFPVVSRLPIPSPTSTQTTSVLSRMSSTAPSPKFPKFVPVEESTIHSISKPDGIRFSLVSYNILAQVYVKSSLFPHSPSPCLRWKARSQAILSVLKNLGADFLCLQEVDEYNSFYKGNMESNGYYSSYIQRSGQKRDGCGIFYKHDMAELLLEEKIEYNDLVDSILDGNGHGDDKPNNKEAVENKDDGPKIVFKLKNPSNHVVIVANTHLYWDPDWADVKLAQAKYLLSRLAQFKTLVSQRFDCSPSLILSGDFNSTPGDKVYQYLISGNSSSAPSIDSVDLPIPLCSAYATTRGEPPFTNYTPGFTGTLDYIFFSPSDCIRPVSFLELPEPGSSDLDGGLPNFSHPSDHLPIGVEFEISR >ONI05635 pep chromosome:Prunus_persica_NCBIv2:G5:1735387:1742333:1 gene:PRUPE_5G016000 transcript:ONI05635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAFPVVSRLPIPSPTSTQTTSVLSRMSSTAPSPKFPKFVPVEESTIHSISKPDGIRFSLVSYNILAQVYVKSSLFPHSPSPCLRWKARSQAILSVLKNLGADFLCLQEVDEYNSFYKGNMESNGYYSSYIQRSGQKRDGCGIFYKHDMAELLLEEKIEYNDLVDSILDGNGHGDDKPNNKEAVENKDDGPKIGSTLQSALDQGDPDDPRVRLKRDCVGIMAVFKLKNPSNHVVIVANTHLYWDPDWADVKLAQAKYLLSRLAQFKTLVSQRFDCSPSLILSGDFNSTPGDKVYQYLISGNSSSAPSIDSVDLPIPLCSAYATTRGEPPFTNYTPGFTGTLDYIFFSPSDCIRPVSFLELPEPGSSDLDGGLPNFSHPSDHLPIGVEFEISR >ONI06549 pep chromosome:Prunus_persica_NCBIv2:G5:8155474:8157539:1 gene:PRUPE_5G067300 transcript:ONI06549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPSALKRKQPDSSSPSESLTEHEKIVYSVIRSKQDMGIWTRDMKKEANLPDNLVGKSIKSLQAKNLIKEVVNVQSKGRKHYMAAEFEPSKELTGGDWYSNGTLDKYYISCVKDGFAKIIYQLKVATLEGISDEVKKSGIFKTSFTKQQIEEILRVLVLDKRVTEVKSTGMGEFGSFPVGKVCYKSTSKGGSKREPKVGAMASIPCGVCPRISQCTPDGIISPRTCVYFTKWLDF >ONI09307 pep chromosome:Prunus_persica_NCBIv2:G5:17606473:17610000:-1 gene:PRUPE_5G230600 transcript:ONI09307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLTEAYACVPSTERGRGILISGDPKSDRLLYTNGRSVIIMNLQNPLDVAVYAEHAYPATVARFSPNGEWIASADVSGTVRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDGMRIVASGEGKGKSLVRAFMWDSGSTVGDFDGHSKRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFKFKLSHRDHSNFVNCVRFSPDGSKFITVSSDKSGIIYDAKTAEKIGGFSSEDGHKGSIYALSWSPDGKQVLTVSADKSAKVWEISEDNNGKVKRTLPPPGSGGVDDMLVGCLWQNDHLVTVSLGGTISIFSASDLDKAPLLISGHMKNITSLAVLNSVPKVILSSSYDGLIVKWIQGVGYGGKLRRKENSQIKCLAAVEEEIVSCGFDNKVWRVPVHSDQCGDAEPIDIGSQPKDISLALQSPELALVSTDTGVVMLRGTKVVSTINLGFTVTACTIAPDGSEAIVGGQDGKLHMYSITGDTLKEEAVLEKHRGSISVIRYSPDVSMFASGDLNREAVIWDRVSREVKLKNMLYHTARINCLAWSPDSSMVATGSLDTCVIIYEVDKPASSRITIKNAHLGGVYGLAFTDEHTVVSSGEDAFVRAWRLTPQ >ONI09306 pep chromosome:Prunus_persica_NCBIv2:G5:17605845:17610000:-1 gene:PRUPE_5G230600 transcript:ONI09306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLTEAYACVPSTERGRGILISGDPKSDRLLYTNGRSVIIMNLQNPLDVAVYAEHAYPATVARFSPNGEWIASADVSGTVRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDGMRIVASGEGKGKSLVRAFMWDSGSTVGDFDGHSKRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFKFKLSHRDHSNFVNCVRFSPDGSKFITVSSDKSGIIYDAKTAEKIGGFSSEDGHKGSIYALSWSPDGKQVLTVSADKSAKVWEISEDNNGKVKRTLPPPGSGGVDDMLVGCLWQNDHLVTVSLGGTISIFSASDLDKAPLLISGHMKNITSLAVLNSVPKVILSSSYDGLIVKWIQGVGYGGKLRRKENSQIKCLAAVEEEIVSCGFDNKVWRVPVHSDQCGDAEPIDIGSQPKDISLALQSPELALVSTDTGVVMLRGTKVVSTINLGFTVTACTIAPDGSEAIVGGQDGKLHMYSITGDTLKEEAVLEKHRGSISVIRYSPDVSMFASGDLNREAVIWDRVSREVKLKNMLYHTARINCLAWSPDSSMVATGSLDTCVIIYEVDKPASSRITIKNAHLGGVYGLAFTDEHTVVSSGEDAFVRAWRLTPQ >ONI09308 pep chromosome:Prunus_persica_NCBIv2:G5:17605879:17610011:-1 gene:PRUPE_5G230600 transcript:ONI09308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLTEAYACVPSTERGRGILISGDPKSDRLLYTNGRSVIIMNLQNPLDVAVYAEHAYPATVARFSPNGEWIASADVSGTVRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDGMRIVASGEGKGKSLVRAFMWDSGSTVGDFDGHSKRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFKFKLSHRDHSNFVNCVRFSPDGSKFITVSSDKSGIIYDAKTAEKIGGFSSEDGHKGSIYALSWSPDGKQVLTVSADKSAKVWEISEDNNGKVKRTLPPPGSGGVDDMLVGCLWQNDHLVTVSLGGTISIFSASDLDKAPLLISGHMKNITSLAVLNSVPKVILSSSYDGLIVKWIQGVGYGGKLRRKENSQIKCLAAVEEEIVSCGFDNKVWRVPVHSDQCGDAEPIDIGSQPKDISLALQSPELALVSTDTGVVMLRGTKVVSTINLGFTVTACTIAPDGSEAIVGGQDGKLHMYSITGDTLKEEAVLEKHRGSISVIRYSPDVSMFASGDLNREAVIWDRVSREVKLKNMLYHTARINCLAWSPDSSMVATGSLDTCVIIYEVDKPASSRITIKNAHLGGVYGLAFTDEHTVVSSGEDAFVRAWRLTPQ >ONI06139 pep chromosome:Prunus_persica_NCBIv2:G5:4720633:4723672:-1 gene:PRUPE_5G042900 transcript:ONI06139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNTGEIVSHAGSEAMTKGVGQLKKSLFASIYKPPIDDGRPRSMVIKKAHNMIPPHIVAEAISTLHGDGVELRFSGPITPTEREYVEQYVLAKYPQYAALVEGEQFDLSSICIIEESTETVPDDKRKSPRGSLKSPRESATQFAGSNLPDLDRTQLEPSRLLDILTKKSSFPGSFISIPEIQAQNKVLKHCGLTDDEYLVLFTPTYRDAMVLVAESYPFFKGNYYLTILGEQDCIKEFAGYKESKVILAPETWLDLRIKGSQLSQYFRKKSKLKVKGLFSYPVFANGVHHSLHWVSEAHRSSWHVLLDATELVFGKDHLNLRLHRPDLVLCSIDNSHGQTSKITCLLVRKKSFDTSMP >ONI06140 pep chromosome:Prunus_persica_NCBIv2:G5:4720890:4721813:-1 gene:PRUPE_5G042900 transcript:ONI06140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPHIVAEAISTLHGDGVELRFSGPITPTEREYVEQYVLAKYPQYAALVEGEQFDLSSICIIEESTETVPDDKRKSPRGSLKSPRESATQFAGSNLPDLDRTQLEPSRLLDILTKKSSFPGSFISIPEIQAQNKVLKHCGLTDDEYLVLFTPTYRDAMVLVAESYPFFKGNYYLTILGEQDCIKEFAGYKESKVILAPETWLDLRIKGSQLSQYFRKKSKLKVKGLFSYPVFANGVHHSLHWVSEAHRSSWHVLLDATELVFGKDHLNLRLHRPDLVLCSIDNSHGQTSKITCLLVRKKSFDTSMP >ONI06138 pep chromosome:Prunus_persica_NCBIv2:G5:4720633:4722249:-1 gene:PRUPE_5G042900 transcript:ONI06138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNTGEIVSHAGSEAMTKGVGQLKKSLFASIYKPPIDDGRPRSMVIKKAHNMIPPHIVAEAISTLHGDGVELRFSGPITPTEREYVEQYVLAKYPQYAALVEGEQFDLSSICIIEESTETVPDDKRKSPRGSLKSPRESATQFAGSNLPDLDRTQLEPSRLLDILTKKSSFPGSFISIPEIQAQNKVLKHCGLTDDEYLVLFTPTYRDAMVLVAESYPFFKGNYYLTILGEQDCIKEFAGYKESKVILAPETWLDLRIKGSQLSQYFRKKSKLKVKGLFSYPVFANGVHHSLHWVSEAHRSSWHVLLDATELVFGKDHLNLRLHRPDLVLCSIDNSHGQTSKITCLLVRKKSFDTSMP >ONI07872 pep chromosome:Prunus_persica_NCBIv2:G5:13298636:13301655:1 gene:PRUPE_5G144200 transcript:ONI07872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPLNNTNSRHSLLPSFLYSSSSSMVASGYASSAPSSRVVIAAPREKVEMYSAEFYAACGVAGMLATGLTHLAVTPIDVVKCNMQIDPIKYKSVTSGFGVLLKEQGIRGLFKGWAPTLIGYSAQGAGKFGLYEFFKKYYTDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLADGLPKIIKSEGALGLYKGLVPLWGRQVPYTMMKFASFENTIELMYKHVIPTPKEQCSKSLQLGVSFASGYIAGVFCAVVSHPADNLVSFLNNAKGATVGDAVKKLGLLGLFTRGLPLRIFMIGTLTGGQWVLYDAFKVLVGLPTTGGPAPTATELAKA >ONI06211 pep chromosome:Prunus_persica_NCBIv2:G5:5038287:5041683:1 gene:PRUPE_5G047600 transcript:ONI06211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSGENSVDSKSSLSGLAPLEAVLFDIDGTLCDSDPIHFYAFREMLPEIGFNGGVPITAEYYIENFAGKHNDDVARILFPDDFERGLKFTEDKEAMFRRLATEQLKPVNGIYKVKKWVEDRGLKRAAVTNAPRPNAELMLTILGLTDFFQALIIGNECKHAKPHPEPYLKALEILNVSKDHTFVFEDSVSGIKAGVAAGMPVIGLTTGNPEHILIEEKPTFLIKDYNDSKLWAALEELDKKSGSSSATSGA >ONI06212 pep chromosome:Prunus_persica_NCBIv2:G5:5038287:5041683:1 gene:PRUPE_5G047600 transcript:ONI06212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSGENSVDSKSSLSGLAPLEAVLFDIDGTLCDSDPIHFYAFREMLPEIGFNGGVPITAEYYIENFAGKHNDDVARILFPDDFERGLKFTEDKEAMFRRLATEQLKPVNGIYKVKKWVEDRGLKRAAVTNAPRPNAELMLTILGLTDFFQALIIGNECKHAKPHPEPYLKALEILNVSKDHTFVFEDSVSGIKAGVAAGMPVIGLTTGNPEHILIEEKPTFLIKDYNDSKLWAALEELDKKSGSSSATSGA >ONI08179 pep chromosome:Prunus_persica_NCBIv2:G5:14210280:14215582:-1 gene:PRUPE_5G162000 transcript:ONI08179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGKEETRLVVEEKPATASGEQHSIQQTPIQHWRKALVPFLKSVIEYPDQPKSFLQEWWNTIFVVSCVLAVLLDPLFFYIPIVEEDRKCLKLDKRLKAISFALRSLTDLFYIADLVLRILARPKARTTKAEEAVPLKRSKSMSMNVLAKAKRILQSYILIDILAILPVPQVVLSIFSKMRGSRSSKTMKFLNFLIVLQYVPRVLLIYRLCYERKKAAKKPGIWVKSFFNFFLYILASHVIGALWYFFAIQRETVCWQYACRSEKGCEPNTFSCECDDPSPRNITVLYDLCPIKPSNATFFDFGIFKHAIQSGVLLSTDFPEKFLYCFWWGLLNLSSLGQNLQTSTYAWENLFAVFISIIGLLLFLYLIGNLQTYMQLSTTTSEKLRRKMKMKGLEVELWLSENGLPKTMKARIMEHVQRLLEQNKNGHVEILYALPPEYLTYVTRCICLPILRKVPKLKEIEGLDELKEICEHFKPVIYAKDSFIIREGEPLEMILLITQGTVRTYTTSSGGKNHPSKPKCIEKGDFYGEELITWASKFPPSTELPISYENVRSKTRVEAFALTADYLKNHVILRYFRWQFTKRIDLENLTDSQMLQLKQLFAVITLQTAFRRSRKRRSIEPPQG >ONI07337 pep chromosome:Prunus_persica_NCBIv2:G5:11718874:11722796:1 gene:PRUPE_5G113900 transcript:ONI07337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREKLCRNSSFSACSVGDFGKGRVREMAGRCILYWIFLLGLVFLQGNADPVEDKQALLDFVNNLPHSRSLNWNESSPVCDHWTGVTCSEDKSYVIAVRLPGIGFTGQIPPYTLSRLSRLQILSLRSNVISGQFPSDFFNLKNLSFLYLQFNNFSGPLPGDFSVWKNLTIVNLSNNHFNGSIPYSLSNLTQLSGLNLANNSLSGEIPDLESSKLQQLNLSNNNLNGSVPKSLQRFPRSVFVGNNISFASFPPSLPPVLPPAPKPYPKSKNGGKLGETALLGIIVAGAVLGIVAFAFLILVFCSRRKQEDGLSGKLHKGEMSPEKVISRSQDANNKLVFFEGCHYAFDLEDLLRASAEVLGKGTFGTAYKAILEDATVVVVKRLKDVNVGKRDFEQHMEIAGNIRHENVVELKAYYYSKDEKLMVYDYYNQGSVSALLHGRRGEDRVPLDWDTRLKIAIGAAKGIAHIHTENGGKLVHGNVKASNIFVNSQQYGCVSDVGLATIMSSLAPPISRAAGYRAPEVTDTRKAGQAADVYSFGVVLLELLTGKSPIHTTAGDEIVHLVRWVHSVVREEWTAEVFDIELMRYLNIEEEMVEMLQIAMSCVVRMPDQRPKMLDVVKMIESVRRNDNENRPSSGNRSESSTPPPVVGTEHPTSQ >ONI07336 pep chromosome:Prunus_persica_NCBIv2:G5:11718592:11722795:1 gene:PRUPE_5G113900 transcript:ONI07336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREKLCRNSSFSACSVGDFGKGRVREMAGRCILYWIFLLGLVFLQGNADPVEDKQALLDFVNNLPHSRSLNWNESSPVCDHWTGVTCSEDKSYVIAVRLPGIGFTGQIPPYTLSRLSRLQILSLRSNVISGQFPSDFFNLKNLSFLYLQFNNFSGPLPGDFSVWKNLTIVNLSNNHFNGSIPYSLSNLTQLSGLNLANNSLSGEIPDLESSKLQQLNLSNNNLNGSVPKSLQRFPRSVFVGNNISFASFPPSLPPVLPPAPKPYPKSKNGGKLGETALLGIIVAGAVLGIVAFAFLILVFCSRRKQEDGLSGKLHKGEMSPEKVISRSQDANNKLVFFEGCHYAFDLEDLLRASAEVLGKGTFGTAYKAILEDATVVVVKRLKDVNVGKRDFEQHMEIAGNIRHENVVELKAYYYSKDEKLMVYDYYNQGSVSALLHGRRGEDRVPLDWDTRLKIAIGAAKGIAHIHTENGGKLVHGNVKASNIFVNSQQYGCVSDVGLATIMSSLAPPISRAAGYRAPEVTDTRKAGQAADVYSFGVVLLELLTGKSPIHTTAGDEIVHLVRWVHSVVREEWTAEVFDIELMRYLNIEEEMVEMLQIAMSCVVRMPDQRPKMLDVVKMIESVRRNDNENRPSSGNRSESSTPPPVVGTEHPTSQ >ONI06228 pep chromosome:Prunus_persica_NCBIv2:G5:5174856:5177853:-1 gene:PRUPE_5G048700 transcript:ONI06228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMETYLHMGSLMDDYQEMERFGMEKDYEDSQWIGGEFYYRKRKDKRIQTKDDVLYGIFSADSDDDEDNEGSRKRRKDQKVDLTKPVNFVSTGTVLPNQEMDTNLKQQNDDLGASGVGTSGLGFGAATGSGLGFNNLNSGLGLNNSNLDPTGGEEEDEENDNNFLPTAFGKKIKEGAERRQKEREKLKLLKQTTSQSRSRRDSEESQFGLGGARGGDGDGGLGAFEKHTKGIGMKMLKNMGYKGGGLGKNEQGILAPVEAKLRPKNMGMGFNDYKETEIKRSSLQELEAEKPNKPLSTASATNTTKKRLSWKKAVANRANKDQYVSAKELLAKKQEESTEVFVHKVVDMRGPQVRVLTNLENLNAEEKAREEDVPMPELQHNLRLILDLAELDIQKIDKDLRNERDTAISLNQEKERLATEVARQKQHLDSLEDIMSVLDRLGEENVMGTLTLESLAKGFGDLQKRYADDYKICNLSCIACSFALPLFIRMFQGWDPLRNPSHGLNVVSSWKHLLHGEGEREQYLDIFDNTMSPYTQLVSEVVVPAVRIAGINTWQAKDPEPMLRFLEYWEKLIPSSVLHAILDMVVFPKLKDAVDLWEPHRDTVPIHVWVHPWLPLLGHKLEELYHTIRFKLSNVLGAWDPSDASAYAILSPWKKVFDSASWEQLMHRFIVPKLQLVLQDFQVNPANQRLDQFNWVMSWASAIPIHLMVDMMEKFFFTKWLQVLYHWLCSKPNFEEVLNWYKGWKELIPEELHANESIRYQLNCGLDMMNRAVEGMEVIQPGLKENISYLRVLEQRQFEAQQKAAAAQANLGGTAHMDGIGNEMSLKDVIEAHAQQHGLLFRPKPGRMHNGHQIYGFGNVSIIVDSLNQKVYAQTEESWSLVSLERLLDMHNSSLTRRR >ONI06723 pep chromosome:Prunus_persica_NCBIv2:G5:9083634:9087833:-1 gene:PRUPE_5G076600 transcript:ONI06723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESVRVYSFSFNGSQTRPAIPLSPARFLGLRPRPSSSLTSSSLSHFFGNVRLSSSNSSKLSILRQQSRRNLSVVAMAADDGKRAVPLEDYRNIGIMAHIDAGKTTTTERILFYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWDKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADRYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVLQIPVGAEDNFKGVIDLVKMRAILWSGEELGAKFVYEDIPSDLLELAQEYRSQMIETIVELDDEAMEGYLEGVEPDEETIKKLIRKGTISISFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDVPPMKGTDADNPEIIIERAASDDEPFAGLAFKIMSDPFVGSLTFVRIYAGKLAAGSYVLNANKGKKERIGRLLEMHANSREDVKVALAGDIVALAGLKDTITGETLSDPEHPIVLERMDFPDPVIKVAIEPKTKADVDKMGAGLVKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISRVAETRYVHKKQSGGQGQFADITVRFEPMEPGNGYEFKSEIKGGAVPREYIPGVMKGLEECMSNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGIKKAAPKMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFNDKPGEPACCQGGRSCSLILFPCVIRDH >ONI06724 pep chromosome:Prunus_persica_NCBIv2:G5:9083864:9087625:-1 gene:PRUPE_5G076600 transcript:ONI06724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESVRVYSFSFNGSQTRPAIPLSPARFLGLRPRPSSSLTSSSLSHFFGNVRLSSSNSSKLSILRQQSRRNLSVVAMAADDGKRAVPLEDYRNIGIMAHIDAGKTTTTERILFYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWDKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADRYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVLQIPVGAEDNFKGVIDLVKMRAILWSGEELGAKFVYEDIPSDLLELAQEYRSQMIETIVELDDEAMEGYLEGVEPDEETIKKLIRKGTISISFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDVPPMKGTDADNPEIIIERAASDDEPFAGLAFKIMSDPFVGSLTFVRIYAGKLAAGSYVLNANKGKKERIGRLLEMHANSREDVKVALAGDIVALAGLKDTITGETLSDPEHPIVLERMDFPDPVIKVAIEPKTKADVDKMGAGLVKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISRVAETRYVHKKQSGGQGQFADITVRFEPMEPGNGYEFKSEIKGGAVPREYIPGVMKGLEECMSNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGIKKAAPKMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFNDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLAAKEEEVAA >ONI09259 pep chromosome:Prunus_persica_NCBIv2:G5:17471400:17474284:1 gene:PRUPE_5G226800 transcript:ONI09259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCSYIWQALKVQFSYFLVSSFWVRCAHFAIYDGHGGRLAAEYAQKHLHTNVLSAGLPRELLDVKTAKKAILDGFRKTDESVLQESAAGGWQDGATAVCVWILGKTVFVANVGDAKAVVARSSPAEGLKNGSDEACHLKAIVLTREHKAIYAQERARIQKAGGNVSSNGRLQGRLEVSRAFGDRHFKKVGVVATPDIHSFDLTEREHFIILGCDGLWGVIGPSDAVAFVQKLLKEGLPVSTISRRLVKEAVRERRCKDNCTVIIIMFRHK >ONI09258 pep chromosome:Prunus_persica_NCBIv2:G5:17470766:17474751:1 gene:PRUPE_5G226800 transcript:ONI09258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETETSNSVPKNENPKEVEPAPKQKQKRESNSEDSISAAKKLKTEQEQDPGVDLGEGNKDSGVNEPNSGGREMSFKIEADAAEDKGLRHAMEDAWVVLLDASLDFPGKLRCAHFAIYDGHGGRLAAEYAQKHLHTNVLSAGLPRFRKTDESVLQESAAGGWQDGATAVCVWILGKTVFVANVGDAKAVVARSSPAEGLKNGSDEACHLKAIVLTREHKAIYAQERARIQKAGGNVSSNGRLQGRLEVSRAFGDRHFKKVGVVATPDIHSFDLTEREHFIILGCDGLWGVIGPSDAVAFVQKLLKEGLPVSTISRRLVKEAVRERRCKDNCTVIIIMFRHK >ONI09260 pep chromosome:Prunus_persica_NCBIv2:G5:17470767:17474751:1 gene:PRUPE_5G226800 transcript:ONI09260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSIYIQMCFQPDYHLDVKTAKKAILDGFRKTDESVLQESAAGGWQDGATAVCVWILGKTVFVANVGDAKAVVARSSPAEGLKNGSDEACHLKAIVLTREHKAIYAQERARIQKAGGNVSSNGRLQGRLEVSRAFGDRHFKKVGVVATPDIHSFDLTEREHFIILGCDGLWGVIGPSDAVAFVQKLLKEGLPVSTISRRLVKEAVRERRCKDNCTVIIIMFRHK >ONI09257 pep chromosome:Prunus_persica_NCBIv2:G5:17470766:17474751:1 gene:PRUPE_5G226800 transcript:ONI09257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETETSNSVPKNENPKEVEPAPKQKQKRESNSEDSISAAKKLKTEQEQDPGVDLGEGNKDSGVNEPNSGGREMSFKIEADAAEDKGLRHAMEDAWVVLLDASLDFPGKLRCAHFAIYDGHGGRLAAEYAQKHLHTNVLSAGLPRELLDVKTAKKAILDGFRKTDESVLQESAAGGWQDGATAVCVWILGKTVFVANVGDAKAVVARSSPAEGLKNGSDEACHLKAIVLTREHKAIYAQERARIQKAGGNVSSNGRLQGRLEVSRAFGDRHFKKVGVVATPDIHSFDLTEREHFIILGCDGLWGVIGPSDAVAFVQKLLKEGLPVSTISRRLVKEAVRERRCKDNCTVIIIMFRHK >ONI06341 pep chromosome:Prunus_persica_NCBIv2:G5:5830703:5834590:1 gene:PRUPE_5G054500 transcript:ONI06341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERYEAMKDLGSGNFGVARLVRDKKTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIVRFKEVLLTPSHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSATPRLKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIERIMSVQYSIPDYVRVSAGCKQLLSRIFVANPSKRITLPEIKQHPWFLKNLPKELIEAEKTNYAAVERDQLQRIEEINRIIDEARTPGQGSKAGGLAVAGPSDSDDVEADLDSEVDVSGDFAVPP >ONI09213 pep chromosome:Prunus_persica_NCBIv2:G5:17378119:17382882:1 gene:PRUPE_5G224200 transcript:ONI09213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKTPGTPASKIDRTPVSTPTSKIDRTPVSTPGGPRAKEEKIVVTVRLRPLSKREQLAKDQVAWECIDDTTIVYKPPPQERSAQPAPFTFDKVFGPSCVTETVYEEGVKNVALSSLMGINATIFAYGQTSSGKTYTMRGITEKAVIDIYNHIMNTPERDFTIKISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETASNDQHLRHLISICEAQRQVGETALNDNSSRSHQIIRLTIESTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHFEQSRNTLFFATRAKEVTNNARVNMVVSDKQLVKHLQKEVARLEAELRTPDPSTEKDLKIQQMEMEMEELRRQRDLAQSQVDELRQKLKEDQQGSNPLELPHPSVKKCLSYTGVLSTKLDTKEIGRGDRARNTMLRQSMRQSSAAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIANLQAEIREMRAVRSEPKEVEVGTVVATNKSVSANLKEEITRLHSQGSTIANLEEQLESVQKSIDKLVMSLPSNYEQYNSESTPKSKKEPKKKKLQPLASSNVPNRQNFIRSPCSPLSTSRQIAESEIENRAPENDDVLSGETQPESEKGTPTKNEECGDVSSKENTPGGYRRSSSVNMKKMQKMFQNAAEENVRNIRTYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYDIENDENTCEPEEPMVSWQITFKEQRQQIIELWDLCFVSIIHRTQFYLLFKGDPADQIYVEVELRRLTWLQHHLAELGSASPAHVGDEPTVSLSSSIRALKREREFLAKRLTSRLTAEERDALYMKWDVPLEGKQRKMQFVNKLWTDPHDAKHIQESAEIVAKLVGFCESGNMSKEMFELNFVLPSDKRSWIMGWNPISNLLNL >ONI06852 pep chromosome:Prunus_persica_NCBIv2:G5:9741605:9743524:1 gene:PRUPE_5G085400 transcript:ONI06852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEKGMALQVIYLVGLTFMFYLSLAVAQEEQNNLQTYIVWVEKPVSQNSFAQSHEDLESWYHSFLPETSENSNQLIKKRMVHTYRNVAIGFAAKLTPEEVKAMEKKEGFVSAHPERILPLQTTHSPDFLGLHQGLGLWEQTNYGEGVIIGVLDTGVAPYHPSFSDQGVSPPPAKWKGKCDFNATLCNKKLIGAKDFMGSSKGKPTGAPFDQDGHGTHTSSTAAGNFVEGASAFGVANGTAVGMAPYAHLAIYRVCGLDCAEADILAAMDAAVEDGVDVLSLSLGGPSIPFYGDVIAVGAFGATQKGIFVSCAAGNSGPYHASTTDRILNLTSTPSSTILFNGATSDPLAPKVATFSSRGPNTASPGILKPDIIGPGVDILAAWPVSVDNATKSNATFNIISGTSMSTPHLSGIAALLKSSHPDWSPAAIKSAIMTSANVLNLAGLPIVDQRLNLADVYAIGAGHVNPTKANDPGLIYDTQPMDYIPYLCGLNYTEKEIQIITQQKVNCSQVGVIPEAQLNYPSFSIKIGSNESQSQYYTRTVRNVGPASSTYNLDLLVPHKMGMSVNPGVLTFTKVNQKITFHVEFIAEDGAGKDGVAFGQGYLRWVSDKHNVTSPIAVIFDSK >ONI07470 pep chromosome:Prunus_persica_NCBIv2:G5:12136598:12138422:-1 gene:PRUPE_5G122100 transcript:ONI07470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVWMKQRRQCANADVLAAQTCFKITDIKTLYELFRKLSNSIVDDGLISKEEFQLGLFRNSKKKSLFADRIFYMFDSKHDGVIEFEEFIRCLNVFHPEAPQAEKAAFAFQLYDIWQTGFIEREEVKEMVLALLKESDLILCDDIVEAIIDKTFEEADLNGDGKIDLEEWRELVDRNPSLLKNMTVPYLK >ONI07469 pep chromosome:Prunus_persica_NCBIv2:G5:12135993:12138422:-1 gene:PRUPE_5G122100 transcript:ONI07469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVWMKQRRQCANADVLAAQTCFKITDIKTLYELFRKLSNSIVDDGLISKEEFQLGLFRNSKKKSLFADRIFYMFDSKHDGVIEFEEFIRCLNVFHPEAPQAEKAAFAFQLYDIWQTGFIEREEVKEMVLALLKESDLILCDDIVEAIIDKTFEEADLNGDGKIDLEEWRELVDRNPSLLKNMTVPYLKDITSAFPSFVLRRDIEDETSNYL >ONI05345 pep chromosome:Prunus_persica_NCBIv2:G5:404740:408295:-1 gene:PRUPE_5G002700 transcript:ONI05345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQPRASPCSVSSFIHHPHSLALKSPTNLTFTKPRSSVCKASWQELVGVVVFSAIPFTAVKAIANSAVGESLQRRLEERKKVAVENSSRFRGLAEKARKQSLWYGEERPRWLGPIPYDYPAYLTGELPGDYGFDVVGLSRDPVAFQKYFNFEILHARWAMLAALGALIPEILDLLGAFHFVEPVWWRVGYSKLKGDTLDYLGIPGLHVAGSQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDVNYPGGELFDPLNLSRDPVAFEELKVKEIKNGRLAMVAWLGFYSQAALTGKGPVQNLLEHISDPLHNNVLSVLKFM >ONI05346 pep chromosome:Prunus_persica_NCBIv2:G5:404568:408672:-1 gene:PRUPE_5G002700 transcript:ONI05346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQPRASPCSVSSFIHHPHSLALKSPTNLTFTKPRSSVCKASWQELVGVVVFSAIPFTAVKAIANSAVGESLQRRLEERKKVAVENSSRFRGLAEKARKQSLWYGEERPRWLGPIPYDYPAYLTGELPGDYGFDVVGLSRDPVAFQKYFNFEILHARWAMLAALGALIPEILDLLGAFHFVEPVWWRVGYSKLKGDTLDYLGIPGLHVAGSQGVIVIAICQALLMEESFLIP >ONI05497 pep chromosome:Prunus_persica_NCBIv2:G5:1004443:1004739:1 gene:PRUPE_5G009900 transcript:ONI05497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNILRCREVEKNMLLNQKQKPSLFDVARSCYLLKELGFIVYVDIEYTLILCITRKKLHQAKTMQIVLSWRFWLIGGSGQLYTCSNENVQLNTKGKR >ONI08727 pep chromosome:Prunus_persica_NCBIv2:G5:15953327:15954643:-1 gene:PRUPE_5G197200 transcript:ONI08727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLKRFPLAKLCTPFHLPKTQLHTHLKPPKANQTLKRYLKSNCTIKAFLLFRDILRKSPSTIDSYTLLFVLKACSQKSLSLEGKQLHALLLKYGFQSIVYLQTSLMNMYSAAGNVVDAHLVFDEIPCKNIICWTTLVSAYVDDQQPNKALQLFRQMQMHSVEPDQVTLTVALSACADLGALEMGEWINAYVRRKYEFDTDLSLSNALVNMYAKCGDIGTARRLFDSLQEKDVMTWTSMIVGHALHGQAEEALKLFGQMKEASNNTTKNKKNGSYGSSSIVPNDVTFIGVLMACSHAGLVEEGKWHFKSMCQEYGLKPREAHFGCMVDLLCRAGLLQEAYDFISKTEVPSNAVVWRTLLGACSLHGDAELGSQVRQKLLELGPSYVGDDVALSNIYAAKGMWEKKVIVRDQIKQRRSPGCSSIEVGRSIAEAKKISSS >ONI05432 pep chromosome:Prunus_persica_NCBIv2:G5:764591:770037:-1 gene:PRUPE_5G007200 transcript:ONI05432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRHGWELPAHTFQVVAITVFFLLTVAYYAFFAPFLGKDIYEYVAIGVYSILALAVFILYVRCTAIDPADPGILVEADKTLSYKANNDTDTPGNVSFNNGGKLDRQGSSWCSKLGGFFCCCFVREDCRKDEDLLQQQEQSGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFVSLMAASLVWLIVECGVGIAVFVRCFVDKNGMKSEITERLGVGFSQPPFATVVALCTAISFLAIFPLGELFFFHIILIRKGITTYEYVVAMRTQSEHPGPSVDGGDQQSLPSSPTSSAVTAISGRSSHGMNLNYKAAWCTPPRIFMDHQDEIIPHLEPGRLPSTVDPDTIQQPDKAKKLPQRPVRISAWKLSKLDSNEATKAGAKARASSSVLRPISSRHQPYEADYLSSSNVSGRSSPISTDQGFTNRNARAGTSKLSPKSSYPPSRASREDVETGRGTLSNFSSPHVSSFTPSPLEQKASNIDQFNPVYQSSTDQSPRSAKQSEGNENVGQIPMKRGNLSVAEKVGSSVFWDQEAGRFVSSSRSAGSSSQISGAELTYTGQSIFFGGPVMNEQPTRGTRNIIRSLASGMDRGSTTYQQGRSQRGGQLPVFLPSDSQQNQFSSRLI >ONI08639 pep chromosome:Prunus_persica_NCBIv2:G5:15642838:15645321:-1 gene:PRUPE_5G190600 transcript:ONI08639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVDFTSSQDHALTMASRQDEILGKISKIQKSLPKISEMENSLSELGQIWEMEKSLGELGKISEMEKSLAALKKLISEELGLLRPFSRKHGAVRPYPSLPMVENASTTVNQGEDRSLFLMVALTGGKYRTALYEVKFKFGGEVEDMGGGGPLEPVATFGPSKYLGARIFDRSQLYVFSEEGWDKPCVRSFGGYIFDTKTRALDRLTPSTVQFKQHGTVVSAYGTLYFLEAKTEFVEGSALYFGKYNHDKKDWVQMPRFPFSHTFTMGVIGYAVGFGIILFILSDLHGNFDVVAFHVGRQNWKRVEIGTCTPFRGRAVIVGKTIYALHMFQTEAIIAYSLEMKEDDDGDIAYSLVQLCKLNGLEIADPPLQFDELVTDYLVHLGNQDFVHVKTASNEECDDVQHLCITTFQIVQEGRTHMIETLHSTVLAVKIEDYTWFLLTFGFTPECGDYEPVEGKSAASVKQPKQEDETTLDENSLMDEKEVKHEIAFMQHEKASQKNHKDANGIIENKRQKENAIDFIEQPKQFEIV >ONI05384 pep chromosome:Prunus_persica_NCBIv2:G5:563912:574673:1 gene:PRUPE_5G004500 transcript:ONI05384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEKLGQEKDQLGQPPQPETNDQVMRDAIQDKPATHEGNVGDDVKVNEALLSDVPIENKAAGSNATVKNQLKSVDKHVGVGELKNGSNDTEMAEADDTGDGTPSQQAAFMKEVESFYKENTLEFKAPKFYGEPLNCLKLWRAVTRLGGYDVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKRQTGELRLPVGPVTQSMTVEKEASGHQTPGSGRARRDAAARAMQGWHAQRLVGYGEVAEPIIKDKNLSSTSKREKNLKSIGSIKHRAPTNLEHATANIEADKQVVTTVVDLGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQVDNPWGITPFKKVVSLPGKIDPLQTSAVVSLHGRLFVRVPFEQ >ONI05382 pep chromosome:Prunus_persica_NCBIv2:G5:563911:574674:1 gene:PRUPE_5G004500 transcript:ONI05382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEKLGQEKDQLGQPPQPETNDQVMRDAIQDKPATHEGNVGDDVKVNEALLSDVPIENKAAGSNATVKNQLKSVDKHVGVGELKNGSNDTEMAEADDTGDGTPSQQAAFMKEVESFYKENTLEFKAPKFYGEPLNCLKLWRAVTRLGGYDVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKRQTGELRLPVGPVTQSMTVEKEASGHQTPGSGRARRDAAARAMQGWHAQRLVGYGEVAEPIIKDKNLSSTSKREKNLKSIGSIKHRAPTNLEHATANIEADKQVVTTVVDLGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQVDNPWGITPFKKVVSLPGKIDPLQTSAVVSLHGRLFVRVPFEQ >ONI05383 pep chromosome:Prunus_persica_NCBIv2:G5:563895:574742:1 gene:PRUPE_5G004500 transcript:ONI05383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEKLGQEKDQLGQPPQPETNDQVMRDAIQDKPATHEGNVGDDVKVNEALLSDVPIENKAAGSNATVKNQLKSVDKHVGVGELKNGSNDTEMAEADDTGDGTPSQQAAFMKEVESFYKENTLEFKAPKFYGEPLNCLKLWRAVTRLGGYDVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKRQTGELRLPVGPVTQSMTVEKEASGHQTPGSGRARRDAAARAMQGWHAQRLVGYGEVAEPIIKDKNLSSTSKREKNLKSIGSIKHRAPTNLEHATANIEADKQVVTTVVDLGPPADWVKINVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQVDNPWGITPFKKVVSLPGKIDPLQTSAVVSLHGRLFVRVPFEQ >ONI08189 pep chromosome:Prunus_persica_NCBIv2:G5:14238661:14241447:-1 gene:PRUPE_5G162800 transcript:ONI08189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLGIRPDNYTYPFVLNSCAALNDLCAGIEVHGRVVKMGFGFCVPVSNALIDMYGKCGKLVRARMVFDEMSERDVVSYNALLGAHARGGVDMEDASVLFEGMLGKNVISWNAMIVGYVNSGGLVSARAVFDRMPERNVVSWTTMLVGYTKSGLIDQARGLFDEMPERSLICWTALISGYSQNGRPSEALSLFWRMEKAPVKPDAFTMTAVISALAQLGRADLANWIGSYVDREGIEQNEQVLTALVDMHAKCGNMEEACGIFEKIPRKDVFSYSALITGLASHGHGVKALEIFQRMLAENIEPDGITFVGVFTACCHAGLVEDGMKYWESMVKDYNIEPDADHYTCVVDMLGRAGKLNEAHNLVKRMPMGPQPGALGALLAACRTYGNVEIAESVAEKLFVLEPGNTGNYMLLSSIYASTEHWDEARKVREAMNERSATKLPGCSWVEISKGHATQMKNQM >ONI09342 pep chromosome:Prunus_persica_NCBIv2:G5:17741078:17742403:-1 gene:PRUPE_5G233300 transcript:ONI09342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSPKTPAKGGSKSLMENLLGLLRIRVKRGVNLAVRDVRSSDPYVVIKMGKQKLKTRVIKKDVNPEWNEDLTLSVTDPSIPIKLTVYDHDTFSKDDKMGDGEFGIVPYIEALKMNLEGVASGTTVMRIQPSRANCLAEESCILWKDGKVVQDMCLRLRNVECGEVEIQLQWIDLPGSKGLS >ONI09340 pep chromosome:Prunus_persica_NCBIv2:G5:17740068:17742504:-1 gene:PRUPE_5G233300 transcript:ONI09340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSPKTPAKGGSKSLMENLLGLLRIRVKRGVNLAVRDVRSSDPYVVIKMGKQKLKTRVIKKDVNPEWNEDLTLSVTDPSIPIKLTVYDHDTFSKDDKMGDGEFGIVPYIEALKMNLEGVASGTTVMRIQPSRANCLAEESCILWKDGKVVQDMCLRLRNVECGEVEIQLQWIDLPGSKGLS >ONI09341 pep chromosome:Prunus_persica_NCBIv2:G5:17740068:17742834:-1 gene:PRUPE_5G233300 transcript:ONI09341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSPKTPAKGGSKSLMENLLGLLRIRVKRGVNLAVRDVRSSDPYVVIKMGKQKLKTRVIKKDVNPEWNEDLTLSVTDPSIPIKLTVYDHDTFSKDDKMGDGEFGIVPYIEALKMNLEGVASGTTVMRIQPSRANCLAEESCILWKDGKVVQDMCLRLRNVECGEVEIQLQWIDLPGSKGLS >ONI08096 pep chromosome:Prunus_persica_NCBIv2:G5:13995282:13998044:1 gene:PRUPE_5G157700 transcript:ONI08096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYYEQLAASPYNDSLKVLEADIQHANMLAASIPRRKSGTVLQMKLVYNHLAPIFLFLLQWIDCSSSCLLSSYLNLFHIIVYKVRTDGRSNISSCGRKATVSEFYNVILPSLQRLHGDSLEMDITQEEALEMAVRKRYEDKIKLSDVDLERENECGICLEPCTKMVLPNCCHAMCINCYRDWNTRSESCPFCRGSIKRVNSGDLWVLTCGSDVVDTQTVLKEDMLRFYLFINSLPKDLPDALFLMYYEYLI >ONI08097 pep chromosome:Prunus_persica_NCBIv2:G5:13995282:13998044:1 gene:PRUPE_5G157700 transcript:ONI08097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLRFLRLIFSMPICSSIPRRKSGTVLQMKLVYNHLAPIFLFLLQWIDCSSSCLLSSYLNLFHIIVYKVRTDGRSNISSCGRKATVSEFYNVILPSLQRLHGDSLEMDITQEEALEMAVRKRYEDKIKLSDVDLERENECGICLEPCTKMVLPNCCHAMCINCYRDWNTRSESCPFCRGSIKRVNSGDLWVLTCGSDVVDTQTVLKEDMLRFYLFINSLPKDLPDALFLMYYEYLI >ONI08098 pep chromosome:Prunus_persica_NCBIv2:G5:13996899:13997809:1 gene:PRUPE_5G157700 transcript:ONI08098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQISLHVEGKLPSANSTVYVILPSLQRLHGDSLEMDITQEEALEMAVRKRYEDKIKLSDVDLERENECGICLEPCTKMVLPNCCHAMCINCYRDWNTRSESCPFCRGSIKRVNSGDLWVLTCGSDVVDTQTVLKEDMLRFYLFINSLPKDLPDALFLMYYEYLI >ONI06491 pep chromosome:Prunus_persica_NCBIv2:G5:7814368:7817857:1 gene:PRUPE_5G064200 transcript:ONI06491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIFSSSTLLALFSASEIQIESNHSGTPSVKTGQTQKPCFLIPHHTCYLVTEGEVIHHSPLEKAPASPRLIIHGRQLLKHCSQLRLFFLRETLLIQNQNRSRKLGQSEIVTSTIDNLGGVAIRFGIIMWKIDLESWDKVLLVWLYLCDG >ONI06753 pep chromosome:Prunus_persica_NCBIv2:G5:9326686:9332659:1 gene:PRUPE_5G079200 transcript:ONI06753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVYSAPGDYIYFKSQVPLHKIPIGTKQWRYYDFGPKAVPPLICLPGTAGTADVCYKQIMSLSMKGYRVISVDIPRVWNHHEWVQAFEKFLDAIDVHHIHIYGTSLGGFLAQLFAQHRPRRVRSLILSNTFLDTRSFSAAMPWAPIVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSREDLASRLTLTADAASVGPLLLTDSFITIMDTNDYSAIPQQLKDEVSERYPGARRAQLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEARPDLVPGIPKGGSGGSSSEENEKKDPEDPAKDEDPANDDRGSSDNPLAESQLPHAPESSQSHSLDDQLVSNAIVCHFSNVDMMVSLHLGFLKNQHTIPTETVMRLCAEIIALQFLSFYVGSLYISLNCNRRVGQVV >ONI07081 pep chromosome:Prunus_persica_NCBIv2:G5:10728344:10729826:1 gene:PRUPE_5G099100 transcript:ONI07081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNYNKPKKPFSSSSSKLCTILFFVVLFTIPALFLFHTYTTSTSICTTFPTHPKLWSGDLRTALFSWNRLPFLHHQDHPRLKIAVFSKKWPLGTVPGGMERHAITLHKTLALLGHQVHVFTSPQELIKHHPPSPSSPFIHFHEGEPGIWRYNMAWEQFLEQNQREKFDVVHSESVALPHWLARGLPNLAVSWHGIALESLHSYIFQDLARNPSTEPMSPSFNKSIQGSVPKVLNEIRFFQNYAHHVATSDSCGEMLRDVYQIPNKRVHVIVNGVNEAEFGQNSKVGHEFRSRIGIPQNSSNLVFGVVGRLVKDKGHPILYEAFSKLIAKYPSNVYLIVAGSGPWEQRYKDLKSDRILVLGSMSLSKLHAFYNAIDIFVNPTLRPQGIDLTLTEAMMSGKPIMASRFPSIKGTIVVEDEYGFMFSPNVESLLEALELAVNEGPKRAAQRGKACLEYANSMFTATKMALAYERLFLCIKNETFCTYP >ONI08949 pep chromosome:Prunus_persica_NCBIv2:G5:16649145:16650100:-1 gene:PRUPE_5G209200 transcript:ONI08949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACIIDGTKRCGAVSGLTTVVNPISLARLVMEKTPHIYLAFDGAEAFAREQGVETVDSSHFITPENVERLKQAKEANRVQIDYTQPLHKDEKKETPEADGDSKLGTVGCVAVDSLGNLASATSTGGLVNKMVGRIGDTPIIGAGTYANSLCAVSATGKGEAIIRGTVARDVAAVMEFKYVSLKEAAAYVVEEGTPKGNVGLVAVSATGEVTMPFNTNGMFRACATEDGYSEIGIWPSVQN >ONI08950 pep chromosome:Prunus_persica_NCBIv2:G5:16649145:16650478:-1 gene:PRUPE_5G209200 transcript:ONI08950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACIIDGTKRCGAVSGLTTVVNPISLARLVMEKTPHIYLAFDGAEAFAREQGVETVDSSHFITPENVERLKQAKEANRVQIDYTQPLHKDEKKETPEADGDSKLGTVGCVAVDSLGNLASATSTGGLVNKMVGRIGDTPIIGAGTYANSLCAVSATGKGEAIIRGTVARDVAAVMEFKYVSLKEAAAYVVEEGTPKGNVGLVAVSATGEVTMPFNTNGMFRACATEDGYSEIGIWPSVQN >ONI08948 pep chromosome:Prunus_persica_NCBIv2:G5:16648949:16650738:-1 gene:PRUPE_5G209200 transcript:ONI08948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAIALHGGAGDIPLSLPPSSSQSQHPALDVVELVVRELENIPHFNAGKGSVLTTQGTVEMEACIIDGTKRCGAVSGLTTVVNPISLARLVMEKTPHIYLAFDGAEAFAREQGVETVDSSHFITPENVERLKQAKEANRVQIDYTQPLHKDEKKETPEADGDSKLGTVGCVAVDSLGNLASATSTGGLVNKMVGRIGDTPIIGAGTYANSLCAVSATGKGEAIIRGTVARDVAAVMEFKYVSLKEAAAYVVEEGTPKGNVGLVAVSATGEVTMPFNTNGMFRACATEDGYSEIGIWPSVQN >ONI06214 pep chromosome:Prunus_persica_NCBIv2:G5:5051042:5056727:-1 gene:PRUPE_5G047800 transcript:ONI06214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMEGLIGLVNRIQRACTVLGDYGGDSALPTLWESLPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEQGMQEYAEFLHMEKKRFTDFSVIRKEIQDETDRITGRSKQISHVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSFIEKPNCIILAITPANQDIATSDAIRIARQVDPAGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQVDINKNVDMIAARRREREFFSSSPDYGNLASKMGSEYLAKLLSKHLESVIKARIPGIASLINKSIGELEAELDYLGRPVAIDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIFAVFDHQLPVALKRLPFDRHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIDSSLNYFRGPSEASVDAVHFILKEIVRRSIAETQELKRFPTLQAELAAAANEALERFREDSKKTTLRLVDMESSYLTVDFFRKLPQQVEKVGGNPSAASDDRYAEGHFHRIGSNVSSYVGMVSETLKNSIPKAVVHCQVREAKRSLLNDFYIQLGKKEGRQLAQLLGENPEVMERRQQCAKRLELYKSARDEIDSVSWS >ONI08592 pep chromosome:Prunus_persica_NCBIv2:G5:15473519:15475007:-1 gene:PRUPE_5G187600 transcript:ONI08592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLEVITKASAISQTQHAPSDYPIVLDPDSIFAKLTPKLDDPNPMSVAIPMSGWQISQTDSEIIELGKKFFTKLKKKLKNPNNFAKAEFLATLNPFLESIREKIGISIGVDSSNDGYTQILMKKVGSFMGKDVAGLVLDACVGLEIWELVGSLIANGLLPNSCYQNLVPKVVAKRRSDLLSLCVKHASDLGSSELLLILKYFLDPPKDGYTSTVDVRKEWESQALSAAEKATDKTLSGKKLGIAKEAAVLLMVAYDGFSSAELCLHYLLASKNLDEVMLSAAISKLSGREMKSLLRYLGKWLKKYERFPQVGPCHKASTALGLKACDWVPKLEDVAKCIGVLLDENYSALVLHLEFHDELRSINEVVASLTLEARFCCSVASAADTLKAEV >ONI08593 pep chromosome:Prunus_persica_NCBIv2:G5:15473446:15475017:-1 gene:PRUPE_5G187600 transcript:ONI08593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLEVITKASAISQTQHAPSDYPIVLDPDSIFAKLTPKLDDPNPMSVAIPMSGWQISQTDSEIIELGKKFFTKLKKKLKNPNNFAKAEFLATLNPFLESIREKIGISIGVDSSNDGYTQILMKKVGSFMGKDVAGLVLDACVGLEIWELVGSLIANGLLPNSCYQNLVPKVVAKRRSDLLSLCVKHASDLGSSELLLILKYFLDPPKDGYTSTVDVRKEWESQALSAAEKATDKTLSGKKLGIAKEAAVLLMVAYDGFSSAELCLHYLLASKNLDEVMLSAAISKLSGREMKSLLRYLGKWLKKYERFPQVGPCHKASTALGLKACDWVPKLEDVAKCIGVLLDENYSALVLHLEFHDELRSINEVVASLTLEARFCCSVASAADTLKAEV >ONI08023 pep chromosome:Prunus_persica_NCBIv2:G5:13815763:13819547:-1 gene:PRUPE_5G153700 transcript:ONI08023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQEPNCEGSSVVSDLINFLNASPTAFHAVDEAKKRLQNAGYEPVSEREDWKLEAGKKYFFTRNYSTIVAFAIGKKYVAGNGFHIVGAHTDSPCLKLKPVSKVVKGGYLEVGVQTYGGGLWHTWFDRDLTIAGRVIVREEKDGSVSYSHKLVRIEEPIMRIPTLAIHLDRDVRDAFKVNTQSHLLPVLATSIKAELNKVVAENGQADSDAQTHGKKSNERNTSNNSKHHSLLLQLLADQLGCEPEHISDFELQACDTQPSVVAGATKEFIFSGRLDNLCMSFCSLKALIESSESNLEDETGVRLVALFDHEEVGSDSAQGAGSPAMLNTLSRITNSFTADSKLLEKAIQRSFLVSADMAHALHPNYMDKHEDNHQPKLHGGLVIKHNANQRYATNAVTSLIFREIARNHNLPVQDFAVRNDMACGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCAVDDVQYSYEHFKAFFQEFSHLDEKIKVDA >ONI08854 pep chromosome:Prunus_persica_NCBIv2:G5:16380366:16386218:1 gene:PRUPE_5G204200 transcript:ONI08854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPEETHMVGQCDVCGGITDENLIATCIKCNISTEHSYCMRILPMEFRDGWVCESCEVISPKADEEEGIMASCSDLVHHDVMHPAGPSRVLDDSGWQAHSRRQKPVETGKVKFIANEEVIRLSSAVRSQRSTFSSKPRQLNFMAPIPQRTPFGSRILTPKFPMSAIKANSSIMPSRTVNPSRCGGSVKMQSIAKIIQQTSPIFKDSKVIGETKTSVASGNEHIGEKQLTDVLVGETSYKKMESKTGKEPLTISTMRQSSPISSPDSEERDLVNIFDSLNLKPSNLPARDAPWKGGFILDAATPGEFIGGFQARPPCKVHPKAYAFSQKMPLILNANWLPRSSIWTTIFQDDDPVLEDVALYIFPDENIERSRENHARLINRMENQDSMMRICFDGKGVELLIFTSASLQLDSRVADFLWGIFRCIKKDVAHNKVHEGLPSTVDQENVDDNKTLDMEVDMVGGKMVGRVDIVVPRDSKVIWNTKSSSEMKTTCGLQKNLGKDEGKIPPETLEEEESAGPPGFS >ONI08853 pep chromosome:Prunus_persica_NCBIv2:G5:16380366:16386218:1 gene:PRUPE_5G204200 transcript:ONI08853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPEETHMVNVGQCDVCGGITDENLIATCIKCNISTEHSYCMRILPMEFRDGWVCESCEVISPKADEEEGIMASCSDLVHHDVMHPAGPSRVLDDSGWQAHSRRQKPVETGKVKFIANEEVIRLSSAVRSQRSTFSSKPRQLNFMAPIPQRTPFGSRILTPKFPMSAIKANSSIMPSRTVNPSRCGGSVKMQSIAKIIQQTSPIFKDSKVIGETKTSVASGNEHIGEKQLTDVLVGETSYKKMESKTGKEPLTISTMRQSSPISSPDSEERDLVNIFDSLNLKPSNLPARDAPWKGGFILDAATPGEFIGGFQARPPCKVHPKAYAFSQKMPLILNANWLPRSSIWTTIFQDDDPVLEDVALYIFPDENIERSRENHARLINRMENQDSMMRICFDGKGVELLIFTSASLQLDSRVADFLWGIFRCIKKDVAHNKVHEGLPSTVDQENVDDNKTLDMEVDMVGGKMVGRVDIVVPRDSKVIWNTKSSSEMKTTCGLQKNLGKDEGKIPPETLEEEESAGPPGFS >ONI06820 pep chromosome:Prunus_persica_NCBIv2:G5:9608769:9610319:1 gene:PRUPE_5G083200 transcript:ONI06820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALIPRRSDAPEYSVFVKVENRGFPDHESLSIPHSQVSIAWKFIRSHRCSSDTGTDTKPIIDVNTWYESNEKYPFELFTSNNTCNIISNMLSRRDIPFPLDRLRWRKRYIGNTEPLEDVEAMTRKIVLVVKAMASQAASHSGLGRLDILVTVEKEIILPQTQYQLMQLEDRVEDLRQGLASLRQGYVDDFRRLQGLQDLELRLLTERNQLFRRAAAALLTEEAAVRESLDEAAVLLRPKPASKASVEALEKLVFQGGGGECVFCLEKMVSGDQVTRLPCSHVFHGDCVVEWLKLGHTCPVCRFKLPTD >ONI07465 pep chromosome:Prunus_persica_NCBIv2:G5:12118198:12118831:-1 gene:PRUPE_5G121700 transcript:ONI07465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRTQRPKPPPSGRTNLASCIVATIFLIFVVIVILIVYFTVFKPKDPKISVSAVQLPSFSIQNSTVNFTFAQYVGVRNPNRAAFSHFDSSLQLLYSGNQVGFMFIPAGKIEAGQTQFMAATFAVQSFPVNDPNRAVGLVQNPLGPTFGDGYSGLSGAGGPTMEIESRLQMAGRIRVMHFFTHHVEAKSGCRVVISVNDGSVLGFHC >ONI07979 pep chromosome:Prunus_persica_NCBIv2:G5:13690349:13694856:1 gene:PRUPE_5G151100 transcript:ONI07979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELKEMLNDLESLKRSLTDPSHHAPIDQLQLRVERLTSLASSGPVRRSKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIRDFSVAIVGVGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQSGMTKTDAAVQTLSDINPDVVLESYTLNITTVQGFDTFMSSLKDKSFRPNKEGSGVDLVLSCVDNYEARMAVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKYLLNFGNVSPYLGYNSLKDFFPTMEMKPNPQCSNFACLERQKEFLLVKPARDAALKAKMEAEASSSVTECPLHLDNEWEISVVDDSEPDRIEAKSADALPEGLVRELPNADEFQKSPTEAADATIDDLEDLRKQLEALNS >ONI07978 pep chromosome:Prunus_persica_NCBIv2:G5:13690349:13694856:1 gene:PRUPE_5G151100 transcript:ONI07978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELKEMLNDLESLKRSLTDPSHHAPIDQLQLRVERLTSLASSGPVRRSKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIRDFSVAIVGVGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQSGMTKTDAAVQTLSDINPDVVLESYTLNITTVQGFDTFMSSLKDKSFRPNKEGSGVDLVLSCVDNYEARMAVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKYLLNFGNVSPYLGYNSLKDFFPTMEMKPNPQCSNFACLERQKEFLLVKPARDAALKAKMEAEASSSVTECPLHLDNEWEISVVDDSEPDRIEAKSADALPEGLVRELPNADEFQKSPTEAADATIDDLEDLRKQLEALNS >ONI08635 pep chromosome:Prunus_persica_NCBIv2:G5:15598409:15600795:-1 gene:PRUPE_5G190200 transcript:ONI08635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVDEIIAYFLKRKVNDDCDIAYSLVQLYKLKGLEIADPPLQFGEFVTDYLVHLGNQDLVHVKTGANEECDEVQHLCITTFQIVQEGTRHMIETLQSIVLPVDIEAGNWFILTFGFTPECEDYEPVERESATNMKQEDEITLDDSSFMWEEEAKQEITARQPEKASIQ >ONI09044 pep chromosome:Prunus_persica_NCBIv2:G5:16882765:16883858:1 gene:PRUPE_5G214100 transcript:ONI09044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAVDQLNQLREIFARFDMDSDGSLTILELAALLRSLGLKPSGDQIHVLLANMDSNGNGSVEFDELVSAILPDMNEEILGNQEQLLEVFRSFDRDGNGYITAAELAGSMAKMGQPLTYKELTEIIKEADTDGDGVISFNEFATIMAKSASSFLGLAPS >ONI06474 pep chromosome:Prunus_persica_NCBIv2:G5:7439323:7441722:-1 gene:PRUPE_5G062900 transcript:ONI06474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHFEDDTAKNGKYQEEKKNEGNQDEEKNEEKKEEEKEEEKKDEEKKEEKQDEKEKEDEEKHDDQTLHFTIDKEVFGLERDTFVLPEDITQFAGMEEIGATVVAVYMRYLHDLLKQANMCSMVGFIDPATVSANSGTIADRSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPENRVVDEEAKNIVNSAIKIYNSHIARSGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLAFEKKYAKGKQEAPYPQEAIDEVRNEWAEFVCLHLE >ONI05508 pep chromosome:Prunus_persica_NCBIv2:G5:1075238:1076328:-1 gene:PRUPE_5G010600 transcript:ONI05508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTNELLHLLVDAINIGLRDANGSLSKQNVERVILPRLNAKIKFPETYNHCLSCMKWFKKQYNKMSTLMCNISGFEWDLIAKTFIASDEVWKDYLKSHPSYSILWEKRVVDYEDLKIVVEGGIAPGNGSIALGPPSHYSPLGSKVLTEKSSRNKRSRSEYEGSINSVESNNQAKFLDNLSIGIHTISPNFERISNLMEKRERERERERERERERERERERERERERDREQRSNIWDAIKEIPNFDENTRHMAGDLLNTKAKKDLFLKMSPEERSSWILFNSG >ONI07367 pep chromosome:Prunus_persica_NCBIv2:G5:11812224:11812916:1 gene:PRUPE_5G115500 transcript:ONI07367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKKEEKEEEEEAASAAKIWDCGSPLYDSYELASVGHILERHTMAFPFFCRDSGGEDRMTKIKTKGSDLWKRKESNGGQKRKKLRTGFHSFLGTLLFCRKRDVYRSEK >ONI09291 pep chromosome:Prunus_persica_NCBIv2:G5:17550108:17550372:1 gene:PRUPE_5G229300 transcript:ONI09291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNICIEKVRFRLFSPLISLIWRDLLQAQQFANPSTIVQFSLITPIKQGKPCLPVLPFSIKIKHRVTIA >ONI08521 pep chromosome:Prunus_persica_NCBIv2:G5:15264712:15266320:-1 gene:PRUPE_5G183300 transcript:ONI08521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQTTQRRILAAPEPKASMDPTLKKHTKPSISQHLSNNLDSSMPSKPSSISSNSSRVNTSHRVRTSQRPNSQQTLPALTDTRLQAKAMSVSAIMGSSKSSIKSNPQPKSVGPNIEKQSKTTKELACKDKSQKSSKDVVKKKFEEVVVKKKKEAQKGLVGFKEEHEFDNRRLSVSLNSSGGRRRSLSGSQIHAVECARKTHDSLEKFTSKTLALTLKKEFDGVYGPAWHCIVGTSFGSFVTHSVSGFLYFSMDQKMYILLFKTTVQRAD >ONI08522 pep chromosome:Prunus_persica_NCBIv2:G5:15264837:15266209:-1 gene:PRUPE_5G183300 transcript:ONI08522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQTTQRRILAAPEPKASMDPTLKKHTKPSISQHLSNNLDSSMPSKPSSISSNSSRVNTSHRVRTSQRPNSQQTLPALTDTRLQAKAMSVSAIMGSSKSSIKSNPQPKSVGPNIEKQSKTTKELACKDKSQKSSKDVVKKKFEEVVVKKKKEAQKGLVGFKEEHEFDNRRLSVSLNSSGGRRRSLSGSQVQLADVLSSYGVKIVSADMPPFMQIHAVECARKTHDSLEKFTSKTLALTLKKEFDGVYGPAWHCIVGTSFGSFVTHSVSGFLYFSMDQKMYILLFKTTVQRAD >ONI07503 pep chromosome:Prunus_persica_NCBIv2:G5:12246253:12248538:-1 gene:PRUPE_5G124100 transcript:ONI07503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPQTPASSTNSHLYPQALQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRASTLSSSPPILPRSYQDQSAATTYHVSSDCPVGLKGELKEKLQTILFDEEQRKKDAQCCVCLGDFEMEEELLQVISCRHVFHVDCIHHWLHNNTTCPLCRCSVIPISTKLDSPALQALPSVSSPGQHNPSIIVSNHSPGIILLDRPHQQQHQLVSNVTSNVTTPVEGSSSEGRGAILRDSGMSSGHYTNEDLREPVVVCIQTHDLS >ONI08287 pep chromosome:Prunus_persica_NCBIv2:G5:14547668:14549931:1 gene:PRUPE_5G169500 transcript:ONI08287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETLRYLAGIAGPSGYGSKSTAEQVSQDCCSSVPSHLTAIITGATSGIGAETARVLAKRGVRIVIPARDLGKAAEVKDEIQRESPKAEVIILEIDLSSLASVNRFCSEFLALRLPLNILINNGGVFSQALEYSEDKIEMTFATNYLGHHFLTETLLDKMVETAAQTGIRGRIINVSSVIHSWVKRDGFCFNQLLNPKNYNGTRAYAQSKLANILHVKELARHLKARNARVSVNAVHPGIVKTGIIRAHKGFITDSVFFIASKLLKSTSQGASTTCYVALSPEVEGVSGKYFADCNESDCSNLANDESEARKLWKQTRLLIHRLLYQPTA >ONI05857 pep chromosome:Prunus_persica_NCBIv2:G5:3081669:3091382:1 gene:PRUPE_5G027000 transcript:ONI05857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEMDKPAKEKEKESKTTPASTQEQSATTSAGTVNPDWSGFQAYSPIPPHGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHSGLYAHPSMPPGSYPFSPFAMPSPNGIVEASGNTPGSMEADGKPSEVKEKLPIKRSKGSLGSLNMITGKNNEPGKTSGASANGVHSKSAESASEGTSEGSDANSQSDSQLKSGGRQDSLEGDASQNGSSAHGSQNGAPNTHSMLNQTMAIMPITAAGAPGAVPGPATNLNIGMDYWGAPPSSGVPAMRGKVPTTPVTGGLVTAGSRDSVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAELLKEENATLRSEVSRIRSEYEQLLSENASLKEKLGEIPGHEDPRSARSEQKLSNDTKQIAQTEVHGGQ >ONI06762 pep chromosome:Prunus_persica_NCBIv2:G5:9355315:9356787:1 gene:PRUPE_5G079600 transcript:ONI06762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIWSPENATKAYIDTVRSCEVFHESGVAELISAMAAGWNAEFIVETWSQGGVTATSIGLEIASRHTCGRHVCVVPDEQSRSEFVAAMKEAGMAPEVLVGEPEEVMAGLVGIDFLVVDSKRKDFARVLRQARLSPRGAVLVCKNANSRSASSFRWRSVVDCGSRRVVRSVFLPVGKGLDMAHVSSSGGNLGSSKGENRWVKHFDQQSGEEHVIRK >ONI06876 pep chromosome:Prunus_persica_NCBIv2:G5:9791834:9792840:1 gene:PRUPE_5G086600 transcript:ONI06876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYIPSNTLQFDQALQQADELFQISCLPYTEHTTQENQALPPTPSQDGRDLTCRPKSGQKRRASISSSSITFGEIEDEQNPKGNKKIMPRDIERQRRQEMATLYASLRSQLPLEYLKGKRSISDHVHETVNYIKHLQSNIQELHNKRDGLKRSCDTSCSSSTSTTTKSKRRR >ONI07319 pep chromosome:Prunus_persica_NCBIv2:G5:11674732:11674950:1 gene:PRUPE_5G113100 transcript:ONI07319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVGAENFGSFNKYNLISMLKSRTPFQLSSVKEEGVPTRKHYDTQVNKNVIARELELNCTLFSPPYGLLG >ONI09281 pep chromosome:Prunus_persica_NCBIv2:G5:17532440:17534115:-1 gene:PRUPE_5G228700 transcript:ONI09281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAEETSKFCEAYPMKGGDGPNSYANNSTYQRGVVDAAKELLSKAIAEKLDIDILLSSNSFQIADLGCSVGPNTFFAVENIVEAAKFKFQSQGQDSQVPEFQVFFNDHTPNDFNMLFKSLPQNRRYYAVGVPGSFYGRIFPNASIHFVHSSYAIQWLSSVPKVVVDKTSPAWNKGRIHYLNSPEEVARAYEAQYTEDMECFLHARAQEIVYGGLMVLTVPGRPNGSSHSHSSINMSFQLLGSALMDLARKGGVSEEKVDSFNIPIYCMSPQELEAAVERNGSFSIESMENLPHVSVDDTVSKSQLLAAHMRAGMEGLVKQKFGEEILDELFDLFRKKLEEAPFFIETGKTISFLCVLRRKGNGLEHAV >ONI07154 pep chromosome:Prunus_persica_NCBIv2:G5:10996791:11001373:-1 gene:PRUPE_5G103100 transcript:ONI07154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVLPCKSSAVVRTSLFGLISSAPLQCTRRVSLLGNSSKSLSRYRRFLTSEVKCRRNYRFPCTSFPTDKKGSRRLICSVATESLPEQVEESKMGAPKEIFLKDYKLPDYYFDSVDLNFSLGAEKTIVSSKIAVFPRVEGSSSPLVLDGQDLKLLSVRINSKELKDEDYRLDSRHLTLTSVPSGTFTLEILTETYPEKNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTCRIEADKSLYPVLLSNGNLIEQGDVEGNKHFALWEDPFKKPCYLFALVAGQLESRDDTFVTRSGRKVALRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSRLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRPVKRISDVSRLRNYQFPQDAGPMAHPVRPHSYIKMDNFYTGKFSFSNNLFIVKVAAFFWLS >ONI05719 pep chromosome:Prunus_persica_NCBIv2:G5:2268311:2270199:-1 gene:PRUPE_5G021000 transcript:ONI05719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLFKGMNGDASECPSAEDMQRCPFLRNINKPTCFSFTPISLPIPVHGAKGPIFEDAPNFDMAFKLFHGKDGVVPLSGRSYSHLDILEPKPEPQFNPLAAKAATISLSAFGPGGPFSFGSFSDKWKKNNSDSSSKKETPTQNGDSSKHEALGNEWLKTGNCPIAKSYRAMSHVLPLVATALQPPLSMKLRCPPAVVAARAALARTALVKNLRPQPLPAKMLVIAALGMAVNIPLGVWREHTLKFSLSWFVAVHAAVPFIAMLRKSVVMPKTAMALTISASILGQVIGSRAERVRLKAVAEKERVAAQTTIESTVAGYNPSQVDGRTGGHCAAEGMIFYPRKSTGPTSSSNVCF >ONI08708 pep chromosome:Prunus_persica_NCBIv2:G5:15875347:15875856:1 gene:PRUPE_5G195900 transcript:ONI08708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPKPSHSHLASNPFSFFFKFQTEIRKEANTSSLNHGNIAKISQLTFYLYYRFQPFQIAACMPFFFLLFLIRLHLQGNTTSILLTSLRSESWNTRKLIPCCYLPKPKPGKITVTKTK >ONI06462 pep chromosome:Prunus_persica_NCBIv2:G5:6753152:6754696:1 gene:PRUPE_5G061900 transcript:ONI06462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTHQTLRSLTLAMVWYLMDHGLLLCMAWVYSFLNSYMVLKRVCFTTSIYCLFLRFNSYDVIETLCFTLLIPFILLLRSYIILGFNTLELF >ONI08515 pep chromosome:Prunus_persica_NCBIv2:G5:15256594:15257787:1 gene:PRUPE_5G183100 transcript:ONI08515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMITSSSLVFSLLFCLMVSMLLLPLPSNGADPDPLQDFCVADLNASTSVNGFPCKPVSKTTSDDFFFDGLSKEGNTSNPFGANLTAGNVLAFPGLNTLGISMNRVDFAPGGINPPHSHPRASESGVVIEGKLLVGFVTTNNVFYSKVLSAGQMFVIPRGLVHFQLNVGEGKALAFTAFNSQLPGAVVLPLTLFASMPSIPDQVLTKALQVDKDVINTIRSKFGF >ONI07170 pep chromosome:Prunus_persica_NCBIv2:G5:11067921:11073524:-1 gene:PRUPE_5G103900 transcript:ONI07170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQENSNRPIFEITSHTLSKLLTALNECTEWGQVFILDALSKYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCITVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAHVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNLLDPSLLDELLANIATLSSVYHKPPEAFVTRVKTTTQKTEDEDYGSETGNSESPAHIADSAASPPTSSGAPYAAARQAAPAPASPAHAAAAPVPDLLGDLIGMENSAIVPVDQPASPAGPPLPVVLPASTGQGLQISAQLTRREGQIFYSLLFENNTQSPLDGFMIQFNKNTFGLAAAGPLQVPQVQPGTSAGTLLPMVTFQNMSQGPPSSLLQVAVKNNQQPVWYFNDKISLHVFFTEDGRMERANFLEVKSSCTFCFLSTSV >ONI07169 pep chromosome:Prunus_persica_NCBIv2:G5:11066829:11073745:-1 gene:PRUPE_5G103900 transcript:ONI07169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQENSNRPIFEITSHTLSKLLTALNECTEWGQVFILDALSKYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCITVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAHVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNLLDPSLLDELLANIATLSSVYHKPPEAFVTRVKTTTQKTEDEDYGSETGNSESPAHIADSAASPPTSSGAPYAAARQAAPAPASPAHAAAAPVPDLLGDLIGMENSAIVPVDQPASPAGPPLPVVLPASTGQGLQISAQLTRREGQIFYSLLFENNTQSPLDGFMIQFNKNTFGLAAAGPLQVPQVQPGTSAGTLLPMVTFQNMSQGPPSSLLQVAVKNNQQPVWYFNDKISLHVFFTEDGRMERANFLETWRSLPDSNEITRDFPGIVVSNVEATLDRLAASNMFFIAKRKHANQDVFYFSVKIPRGIPFLIELTTVVNNPGVKIAIKTPSPETAPLFFEAMETLLKD >ONI06113 pep chromosome:Prunus_persica_NCBIv2:G5:4540040:4543377:-1 gene:PRUPE_5G041400 transcript:ONI06113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGFWSLVFTASIGGFGALVLILRRANEWYFVSRLGERQKTLPPGDMGWPFLGNTLSFLKALKSDDPDSFISNYVKRYGSTGIYKAYLFGKPTIIVTAPETCRQVLMDSLQFKTGWPKSTSDLMGRKSFMSLSEGEHKRLRRLTAAPISGHKALSMYHEYIKDAIVSSLDELAKAERPIEFLTEIRKITFKIIMFIFLSCETGPMMETMEKEYAILNHGLRAMAINLPGFAFHKALKARKKMAMIIQDVVDGRRARKGNNLSQERTDLMDLLMEVEDENGKTLDDEEIIDIILMYLNAGYESTAHATLWATLFLNEHPEYYQKAKAEQVEILKRESSPEEGLNFKGTKQMEYLSKVINETLRVVNVSLYGYREAATDANVSGYTIPKGWKVMMWYRGVHLNPEYYPDPKEFNPSRWNENKGKAGTFIPFGIGSRLCPGSDLTKLEISIFLHYFLLHYELERLNPACGVRYLPHASPKDNCLAKIKKLPSSSL >ONI08728 pep chromosome:Prunus_persica_NCBIv2:G5:15958926:15960778:-1 gene:PRUPE_5G197300 transcript:ONI08728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLRALSFSSSPSSSSSSSFSSSSSSSSLSNFTSTILKCQTPTQALELFNIVSKQMGPRKNLQLYAAITHVLVNAQRYVKSRCLIKDLIHDLQKFCNPSLACHLVFDALNCLESSRFSPDVFGVLIIGLSEMGLVEEALWVYRNIGVLPAMQACHALLDGLGKTGQLKLMWELYNEMSSRGLSPSLVTYGVLIGVCCREGDNLKARKLFDEMGERGIKPTVVIYTSIIRGLCNEGRMVEAESIFKMMGEAGVLPNLWTYSTLMNGYCKMANIKQALILYGKMLGDGLQPNVVICSILIDALCKLGQLRAARSVFVYMVKFGIVPNISLYNALIDGHCKVQQLSQAMDLKSEMEKFGILPDVVTYNILIKGLCTVGGVGEAHHLLQKMEKEGLIANSAIYNSLIDGYCKEHNIGKALEVCSQMIQIGVEPDVITFNPLIDAYCKMGNMEAAMDLYSLVSKSLVPDIVTYTTLIDGHFKVGNVKEAHRLHQEMLEAGLTPNAFTVSCLIDGLCKNGRTSGAIKLFLEKTKAGPSLYSPNHITYTCRHMCNLS >ONI07264 pep chromosome:Prunus_persica_NCBIv2:G5:11481333:11487434:-1 gene:PRUPE_5G110200 transcript:ONI07264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKGILLSALGVGMGVGVGLGLASGQTMSKWAGNGTLSNALTPDTVEQELLRQIVDGRDSKVTFDQFPYYLSEQTRVLITSAAYVHLKRAEVSKYTRNLSPASRAILLSGPAELYQQQLAKALAHYFQAKLLLLDVTNFSLKIQSKYGSSNKASSFKRSTSEVTLERLSGLFGSFSIFPQREEPTGTLRRQNSGVDIRSSMVEGSSNPSKLRRNASASGNISNLASQSTPANSAPLRRTSSWSFDEKLLIQSLYRVLVFVSNTCPVVLYLRDVDKLLSRSQRIYNLFQKMLKKLSGAVLILGSRIVDLGEDKREVDERLTALFPYNIEIRPPENESHLVSWNTQLEEDMKMIQVQDNKNHIMEVLSSNDLDCDDLGSICIADTIDLSNYIEEIVVSAVSYHLMNNKDPEYRNGKLVISSNSLSHGLNIFQEGKYSGKDTLKLEAKAETLKEAGIEGAVGVNLETKTESAAPENKSGAETSTSAAKTDADNPIPISRASAELDNEFEKRIRPEVIPANEIGVTFADIGALDEIKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGSGKTMLAKAIAREAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRFGEHEAMRKIKNEFMTHWDGLLSNQGDRILVLAATNRPFDLDEAIIRRFERRILVGLPTVENREMILRTLLSKEKVEARLDFKELATMTEGFSGSDLKNLCTTAAYRPVRELIQAEREKDLEKKQRAAGGQNPQGVPSINQAQSSEGQSPEAVPATKEHKEERVITLRPLNMEDFKQAKSQVAASFASEGAMMNELKQWNDQYGEGGSRKREQLTYFL >ONI05443 pep chromosome:Prunus_persica_NCBIv2:G5:824276:828971:-1 gene:PRUPE_5G007700 transcript:ONI05443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAGERSLKETPTWAVAIACAVFILISIIIEQAIHALGKWFQKRNKKAMLEALEKIKAELMLLGFISLLLTVGTRYLPKICIPEKYGNTMLPCELEGNGGGKDDDGKNKGGGGGDGDDNDRRRKLLEFAETMIWRRVLAAPSGGDSAACSEGKVPLISPSGMHQLHIFIFVLAVFHVMYSVLTMALAHAKMKKWKAWESETSSLEYQFTNDPSRFRFTRQTSFVKRHSGFSTMPGIRWIVAFFRQFFGSVTKVDYLTMRHGFINAHFAPNSKFDFHKYIKRSMEDDFKVVVGISIPLWIFAVVFLVLNVHKWHAFTWLSLAPLVILLLVGTKLELIIMEMAQEMHDRTTVVKGAPVVEPSNKFFWFNRPEWILLLIHFTLFQNAFQMAYFLWTSSEFGFTSCFHENLPLILTRVFLGVALQILCSYITFPLYALITQMGSHMKKAIFEEQTAKALKNWQKAAKQRKKLRKAGDASSSGFVSGENTPSQGSSPLHLLHNQKHRSNQSDLESVLNSPRSVSYQSDTDLSEREGSAHDGNGIRSEDQPAALRREGSHSVDFSFVKP >ONI08148 pep chromosome:Prunus_persica_NCBIv2:G5:14119853:14122486:1 gene:PRUPE_5G159700 transcript:ONI08148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAVCYSLATLSSTLPLLSHKKTTSIANLKSHGRNLQSSLVGAKLAISKTTRPLSSRRLGTSSSEVTCSTSASPLPSALLFDCDGVLVDTEKDGHRVSYNETFKEKELGVTWDVDLYAELLKIGGGKERMTAYFNKTGWPENAPKSEEERKAFVASLHKRKTELFAALIEKRLLPLRPGVVKLIDQALAEGVKVAVCSTSVEKAVSAIVTFLLGPERAEKIKIFAGDVVARKKPDPAIYVLAANTLGVDPSSCVVIEDSGIGLAAAKAAGMKCIVTKSGYTANQEFPTADAVFDFIGDPPEEQFDLAFCGSLLKQ >ONI08871 pep chromosome:Prunus_persica_NCBIv2:G5:16434058:16435706:1 gene:PRUPE_5G205400 transcript:ONI08871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNPRPTTILESLGEELIRIITPVSICMLLVVILVTILNADSSSSETVTSIATIAYTEATSDSSWDKFVGAVLNSLVFVAVVTVVTFVLVLLFYLRCTEFLKIYMGFSSFVVLGFMGGEIALFLIQDFNVPIDSITFLLVLFNFTVVGVLAVFMSKMAILVTQAYLVVIGMLVAYWFTLLPEWTTWVLLVAMALYDLAAVLLPVGPLRLLVELAISRDEEIPALVYEARPVNSHDSSGGVRQRRVWRDRRNEDSNNGPHSNENINSVLADNVNSRSNSNVNANDTSHSNSNPGEFGSGHNDSSLVRAEEGRIPDRDQELSAPLLERITNVEQLGQEDAVASESLVLEGIGLGSSGSIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIIGGLGITLMLLALYQKALPALPVSIMLGVVFYILTRLLLEVFVVQCSLNLIMF >ONI08203 pep chromosome:Prunus_persica_NCBIv2:G5:14309976:14312075:1 gene:PRUPE_5G164000 transcript:ONI08203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSLLNQTWQQLQSNLFLLPIVFLSLYILLSLVRSSGKPLNLPPSPPKLPIIGNLHQLGSFPHRSLRALSKKYGPLMFLNLGNVPALVVSSADMAREVMKTHDTVFSSRPQTTAPGILFYEGHDVAFAPYGDYWRKVRKICVLELLSLKRVQQFQYARVEEVAEMVAKIRKACGAESPINLSDTLISTSNNIVCRSILGKKFDDADDSWFGDTAKDLMVKVMSFSFGDFFPALRWLDHVRGYIAGLKAIFSRFDRFYDQLIDEHKTANGEGKANKKDFVDILLQVQNDGSLDFDFTKEDLKALLQDMFVGGSDTSSTSMVWLVAELVRNPRVMKKVQEEVRRVVGEKGKVEESDFNQMKYMKCVIKESFRLHPPAPLLIPREATADVKLGGYDIPAKTRVFVSAFAIQRDPKIWDKPEEFIPERFEDSSIDFKGQDFELLPFGAGRRGCPGIAFGIVSAEQVLANILYWFDWKVPETSGNALPEALDMSEVYGLTVRKKAPLNLVPVPYFP >ONI08208 pep chromosome:Prunus_persica_NCBIv2:G5:14327625:14329336:-1 gene:PRUPE_5G164500 transcript:ONI08208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGILLASLLLLSCLAETSHGVLLSSLKQTLIVTASHKEGQVYKTGIDKLTVSWGLNQSFPAGSDSTYKTIKVKFCYAPVSQVDRGWRKTVDSLNKDKTCQFKVVARPYSSSNQSFEWTIERDVPSATYFLRAYAYDAADVEVAYGQTTDAQKETNLFVVQAITGRHASLDIASVCFSVFSVLSLAGFFIAEKRKAKSSHEK >ONI05729 pep chromosome:Prunus_persica_NCBIv2:G5:2379935:2383856:1 gene:PRUPE_5G021600 transcript:ONI05729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHQKSLTLITIITILITTTSIANIARAQNSSSCKLTCGSGKSAKSVQYPFGFSEGCVIPLNCSDKNEIKLGEFTVQNVTRSSIFVHLPAQCNRRYQSIAPLFGPNFGPSWDNSLLFQNCTSPPPGCQIPAEFVQKRFNLSSCDSITCMSQAPNGSDIMRFEDLKWTRCNYLFGSFAVQSGRDSLLSLEFETLQLGWWVHTGLCECHLNATYTTVKLGEGKPPGCRCSCNAGFHGDGFKRGSGCQTVSQPGTATPEYHSKDDNEGFWFHVSMVLGFILGFWAVCGTLVLKNSWRYAYFNIFDNMKEKVALKIALKVARWQGRL >ONI07171 pep chromosome:Prunus_persica_NCBIv2:G5:11074751:11081530:1 gene:PRUPE_5G104000 transcript:ONI07171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGDKGRPSKKLKFSAKEITHLDDEDAYFGGEDIDEDVRDGEGKKRDFTKLELKPDHINRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHGSTANYGKVKLVLKKNRYLVESPFPEVLKTLLKDEVISKSRIISEGSRGSDGFTISKTMGEIGAGPSDLLNEAELAAAAEEKETHAFEVDPTQVENVKERCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIRKSCLCLATNAVSVDQWAFQFKLWSTIRDEQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAQMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAQYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALVEYAMKLRKPMIYGATSHVERTKILEAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLQDRMAGGKEEYNAFFYSLVSMDTQEMYYSTKRQQFLIDQGYSFKVITGLPPPDSGPELNYHSLDDQLALLTKVLSAGDEAVGLEQLEEDADDIALHKARRFGGSMSAMSGANGMVYMEYSTGRHKLGKGQMKSKPKDPAKRHHLFKRRFG >ONI07441 pep chromosome:Prunus_persica_NCBIv2:G5:12063589:12065597:-1 gene:PRUPE_5G120900 transcript:ONI07441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMILLLEPKKSLVNAGRNDATTIVVPNFCTTNSNVLLPPYHDIPKSICSTLDWHGYSISFHQDKDAVVTVILMGIHENNWISVGVSKDGMMVSSSAVVGWMNNDGKSGTVKQYFLSGRMTSEEVIPEKGDLKFTNVTPTIVLKDKIIYLGFQLQFPQRLNRQPFLFACGFGKPGENNILPKHKYRSALAVDFSRGAIYLGFVDSTSMKLTHGILAITGWGIIIPFGVPIARHFRQYEPLWYYLHSSVQFVGFFVGLAAVAVGRTLYDLIHADFNSHRVLGFAILALSVLQICQFIVRPSSTSKAQDYWNKIHHWVGRLVIVLAAVNIFLGLYKGNGARGLMITFLCFFFTFLFISIYLEIRLLLQKKREPNTKLTEPPLFQVPPRNS >ONI06507 pep chromosome:Prunus_persica_NCBIv2:G5:7935050:7936520:1 gene:PRUPE_5G065300 transcript:ONI06507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQFNSIDSEAQTTSSSYSNSTTSSSAESEAQKVSKRSNQQVLDHDQKGTNDDDDDDDDDDQISQKKAKINGSNGTQHHHPVYRGVRMRQWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALTIKGRSAVLNFPELAQELPRPASASAKDIQAAAAKAAALNYPISCSSSSSSSREAEAETEAELSQHRQAVHDTQDSSTSPSTNNDDMFFDLPDLLLDFGHHRDHGFCFSVPWLEEPNNFLWMEN >ONI09539 pep chromosome:Prunus_persica_NCBIv2:G5:18284899:18290514:1 gene:PRUPE_5G243800 transcript:ONI09539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSSSKKKRKDEEDPNPEVTQRKRLKALAFCNNLLSEVPAKTHEPLTPSNTVVKHHGKDILKKSQRKNRFLFSFPGLLAPIGGGKIGELKDLGTKNPVLYLDFPQGRMKLFGTIVFPKNRYLTMQFPRGGKSVMCEDYFDNMIIFSNAWWIGTQAENPEEAQLDFPKELTEGQHAEYDFKGGAGSTSANKQSDCKNETTSVEHSPNVKVEDNVSDYGNKDLMKATPVRHSARTAGKRFNFGNASSGDDSFESDTYLSEGEDGKIGGLDSSSGKHASGKTENLSFGDVDIDNEDSVKGAQIPKQNQESSVSEAKSKKQSHSTFAVTTSVEDSHRNHSSLIQATISTLFKKVEKKKVDSIMQSQVQLPSSKVHETPKNPKKPASPKVSGQKSRQTDSKKKVNQDGRPKEKAKTIEEKDSGGKSLAKKKQDEDTDLSDEDWAA >ONI09541 pep chromosome:Prunus_persica_NCBIv2:G5:18286088:18290514:1 gene:PRUPE_5G243800 transcript:ONI09541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSYCFIQLPPRIFFMFFLQSVIIFSNAWWIGTQAENPEEAQLDFPKELTEGQHAEYDFKGGAGSTSANKQSDCKNETTSVEHSPNVKVEDNVSDYGNKDLMKATPVRHSARTAGKRFNFGNASSGDDSFESDTYLSEGEDGKIGGLDSSSGKHASGKTENLSFGDVDIDNEDSVKGAQIPKQNQESSVSEAKSKKQSHSTFAVTTSVEDSHRNHSSLIQATISTLFKKVEKKKVDSIMQSQVQLPSSKVHETPKNPKKPASPKVSGQKSRQTDSKKKVNQDGRPKEKAKTIEEKDSGGKSLAKKKQDEDTDLSDEDWAA >ONI09540 pep chromosome:Prunus_persica_NCBIv2:G5:18286088:18290514:1 gene:PRUPE_5G243800 transcript:ONI09540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSYCFIQLPPRIFFMFFLQSVIIFSNAWWIGTQAENPEEAQLDFPKELTEGQHAEYDFKGGAGSTSANKQSDCKNETTSVEHSPNVKVEDNVSDYGNKDLMKATPVRHSARTAGKRFNFGNASSGDDSFESDTYLSEGEDGKIGGLDSSSGKHASGKTENLSFGDVDIDNEDSVKGAQIPKQNQESSVSEAKSKKQSHSTFAVTTSVEDSHRNHSSLIQATISTLFKKVEKKKVDSIMQSQVQLPSSKVHETPKNPKKPASPKVSGQKSRQTDSKKKVNQDGRPKEKAKTIEEKDSGGKSLAKKKQDEVEEDDIEEFSSSSRDTDLSDEDWAA >ONI09538 pep chromosome:Prunus_persica_NCBIv2:G5:18284899:18290514:1 gene:PRUPE_5G243800 transcript:ONI09538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSSSKKKRKDEEDPNPEVTQRKRLKALAFCNNLLSEVPAKTHEPLTPSNTVVKHHGKDILKKSQRKNRFLFSFPGLLAPIGGGKIGELKDLGTKNPVLYLDFPQGRMKLFGTIVFPKNRYLTMQFPRGGKSVMCEDYFDNMIIFSNAWWIGTQAENPEEAQLDFPKELTEGQHAEYDFKGGAGSTSANKQSDCKNETTSVEHSPNVKVEDNVSDYGNKDLMKATPVRHSARTAGKRFNFGNASSGDDSFESDTYLSEGEDGKIGGLDSSSGKHASGKTENLSFGDVDIDNEDSVKGAQIPKQNQESSVSEAKSKKQSHSTFAVTTSVEDSHRNHSSLIQATISTLFKKVEKKKVDSIMQSQVQLPSSKVHETPKNPKKPASPKVSGQKSRQTDSKKKVNQDGRPKEKAKTIEEKDSGGKSLAKKKQDEVEEDDIEEFSSSSRDTDLSDEDWAA >ONI07239 pep chromosome:Prunus_persica_NCBIv2:G5:11357593:11358545:1 gene:PRUPE_5G107700 transcript:ONI07239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKTNDAESLQSSDELKRQKKIKMAIYITIFVVFQIIVITTMSLTVMKVKTPKFRLGSNINFQSFESVPATPSFDMKFTTQIRIKNSANWGSYKFNAANVTFQYQGATVGVIDIAKGKVGWLSTIKRDVEVSLSSSAITFSNLGSELSSGVLTLNSVGRLNGKVAIMFIMKKKKATNMNCTIAFDVAAKTLKSLECK >ONI09499 pep chromosome:Prunus_persica_NCBIv2:G5:18177163:18185805:1 gene:PRUPE_5G241500 transcript:ONI09499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKRTQNPSGGESSEPQEGSGGSDQAFQRTSPSPSPSPSPQQHNEGPRGGSYQGGRAWAPQGPQGPQGPQGPQGGQRGYGGGGGGRGRGMPQQQQYSYVGTSAQAHEYRGRGRGGQGGGGPTQAGGGRGGHGGGGRGRGGGGPSYVGGPARPSVPDLHQATPAPYVAGVTPQPTGPTAYAPYTPYEPQSSELVQPFQQISTQQEGAPAPAPAPIHAIQPIPASSKSVRFPLRPGRGTTGIKCIVKANHFFAELPDKDLHQYDVTITPDIASRRLNRAVMKRLVDLYRESHLGNRLPAYDGRKSLYTAGPLPFSSKEFKIALMDDDDGSGGQRREREFKVVIKFAARADLHHLELFLQGRQAEAPQEALQVLDIVLRELPTASYYPVGRSFYSPDLGRRQSLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVNELLNRDISSRPLSDADRVKIKKALRGIKVEVTHRGNMRRKYRISGLTSQATRELTFPLDERGTMKSVVEYFHETYGFIIKHTQLPCLQVGNQQRSNYLPMEVCKIVEGQRYSRRLNERQITALLKVTCQRPHERELDIMQTVRQNAYHADPYAQEFGIKISENLTLVEARILPAPRLKYHDTGREKDCLPRVGQWNMMNKKMVNGGTVNNWMCINFSWNVQDAAARRFCHELAQMCNISGMAFNPEPVLPPISARPDQVERALKTRYHEAMNKLQPQGQELELLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKTNKQQYLANVTLKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKTWQDPARGTMSGGMIKELLISFRRSTGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPDYQPPVTFVVVQKRHHTRLFANNHSDPKAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFSADGLQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYLEPQTSEGGSVTSGANAGRGYTAGRGTRAPNANAAVRPLPALKENVKRVMFYC >ONI07535 pep chromosome:Prunus_persica_NCBIv2:G5:12376691:12379822:1 gene:PRUPE_5G126400 transcript:ONI07535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETVKYLLGSAGASGYGSRSTAEQVTESSPDLRHITAIITGATSGIGAETARVLAKRGARLVLPARSLKAAEDAKARIVSEFPDSEIIIMALDLSSLTSVRNFVSEFESLQLPLNLLINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNKMIGPAAATGVQGRIVNVSSAIHGWFSGDTIRYLGEISRSGSDYDETRAYALSKLANVLHTKELARRLKEMDANVTVNCVHPGIVRTRLTREREGLATDLAFLMASKLLKTIPQAAATTCYAATHPRLVDVSGKYFADCNETLPSKLGSNLSEAARLWSASEIMVSKSSTAIFDPSSQLEY >ONI07534 pep chromosome:Prunus_persica_NCBIv2:G5:12376691:12379822:1 gene:PRUPE_5G126400 transcript:ONI07534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETVKYLLGSAGASGYGSRSTAEQVTESSPDLRHITAIITGATSGIGAETARVLAKRGARLVLPARSLKAAEDAKARIVSEFPDSEIIIMALDLSSLTSVRNFVSEFESLQLPLNLLINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNKMIGPAAATGVQGRIVNVSSAIHGWFSGDTIRYLGEISRSGSDYDETRAYALSKLANVLHTKELARRLKEMDANVTVNCVHPGIVRTRLTREREGLATDLAFLMASKLLKTIPQAAATTCYAATHPRLVDVSGKYFADCNETLPSKLGSNLSEAARLWSASEIMVSKSSTAIFDPSSQLEY >ONI06348 pep chromosome:Prunus_persica_NCBIv2:G5:5870569:5872682:1 gene:PRUPE_5G055100 transcript:ONI06348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPQPKPRKFPPPCWTNDEAQGLISIYKDTWSALGRSNLRASDWETVAAALASRCPLVSPPKTAIQCRHKMEKLRKRYRNEKQRGSTHPGRFLSTWDLFRPMFDLECEAPALFGSDPDRETRVGVQFGRGFRVRKDEIVRYLAESDQNLSDPDEETWKGAGFRGGFRVNVGVRGKGGENSSFSAGFDRYVSRGNDEARGFPVKSSGDRNLGPSEFGSKSYGKTCNEKFSPKRNLKRDCSYGAGTKSGFYKKFRGGLDSDGVGKEVEDPIGQMASSVEFMGEVFLKMEKKKMEMARDIVKMRMEMEMRQNELIMESQKQVLAACVDALLESKKKKKMKVVSSDS >ONI07852 pep chromosome:Prunus_persica_NCBIv2:G5:13222320:13229955:1 gene:PRUPE_5G142800 transcript:ONI07852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFAKLDDSPMFRQQIQGLEEGAESLRGRCNKFFKGCRKYTEWLGEAYDEEIAFATALETFGGGHNDPIFSALGGHVMTKFTIALREIATYKEVFRSQIEHMLNDKLLHLVHVDLHDVKEDRKRFDKASLVYDQAREKFLSLRKSTRMDIAASIEEELHNARSSFEQARFNLVTALSDIEARKRFEFLESVSGVMDAHLRYFKQGYELLHTMEPYIHQVLAYAQKTRESCNQEQLSLNERIQEYKRQIDRQSNQSLSGVQSSPNGDGVQPFSRKSHKVIEEVMQSAAKGKIQTIRQGYLSKRSSNLRGDWKRRYFILDSRGMLYYYRKPWNRPSHGGQSSPHKHSSSENGSGLLSRWLSSHYHGGVHDEKTVARHTVNLLTSTIKVDADQTDLRFCFRIISPMKIYTLQAENALDQMDWIEKITGVIASLLSFQTPERRLSTSPTGSDDQISGSESNLLECAFDADQTIQEYSPKISAYNYLRTSKSLQSHKYKMKSEKPIDILRRVCGNDKCADCGAPEPDWASLNLGILICIECSGVHRNLGVHVSKVRSLTLDVKVWEPSVLTLFQSLGNTFVNSVWEETLYSKSTLQADTMPIGFPKADRNEPFLMIKPCYDDLISIKERFIHAKYEEKLFVRRTKNNQKFHSMEQQQLCKSVRANDKKAVYRHIISSEADVNAICGQALDDISEDKPSSSNSNSLNKSEVQPLEHIPKGSSLLHLACQSADIGMVELLLQYGANVNTSDLKGQTPLHCSVIRGNTSIAKMLLMRGADPQAIDREGKTPSDLVSESASNDNGMLALLTNSGR >ONI08219 pep chromosome:Prunus_persica_NCBIv2:G5:14365983:14369744:-1 gene:PRUPE_5G165300 transcript:ONI08219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSPMEISLQNPQDTVIPDQNGFIAVDSAPSPSLPQDKVLVSVEVSLKPSSTARIDDVRSAVERMLEKRSLSYTDGPVPVPPDDQFLTENVQRICICDTDEWVQNHEILLFWQVKPVVHVFQLSEEGACEDVSGDGQPSTFNEWILPAKEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVNPFLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQLDKLKSAPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELIRTGILSNFEDCESLMLPNYGSLKEKLNMPEVQDARTLHMCKQLLETAEACEGLSGRSLRKLPFLGHAALASPYGCDPSKFLSTMIDTARRERSELPD >ONI06880 pep chromosome:Prunus_persica_NCBIv2:G5:9824764:9827174:1 gene:PRUPE_5G086800 transcript:ONI06880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRGSLSRVVIPCTFFGFLAPTFLLSSLNKLSCLGSWYSLMIVAMVLFLYLELTSGGGLKVQLFRA >ONI05992 pep chromosome:Prunus_persica_NCBIv2:G5:3747288:3752309:1 gene:PRUPE_5G033000 transcript:ONI05992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGRETNVVQVITGNSVGGDIWSADQAVWATEDEYRVWNNGDALADTMSNSNYDQRQSQSRSGSEPPNKKSRNSQDATSSNRSKAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHSIEELRRPPPNWQEIVAAHEEEKGVSSEPREEYQIPIVSTGYGVETQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGAANGANNKPSNWKTRICNKWELTGYCPFGSKCHFAHGVAELHRYGGGLVEGDVRESSSAPPDNKQGGVPSKTPADTVVVSVPLVPHSDVYHLGVPSQRSSIVIQRPGQRAHQKWKGPDKISRIYGDWIDEIE >ONI05993 pep chromosome:Prunus_persica_NCBIv2:G5:3747286:3752315:1 gene:PRUPE_5G033000 transcript:ONI05993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGRETNVVQVITGNSVGGDIWSADQAVWATEDEYRVWNNGDALADTMSNSNYDQRQSQSRSGSEPPNKKSRNSQDATSSNRSKAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHSIEELRRPPPNWQEIVAAHEEEKGVSSEPREEYQIPIVSTGYGVETQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGAANGANNKPSNWKTRICNKWELTGYCPFGSKCHFAHGVAELHRYGGGLVEGDVRESSSAPPDNKQGGVPSKTPADTVVVSVPLVPHSDVYHLGVPSQRSSIVIQRPGQRAHQKWKGPDKISRIYGDWIDEIE >ONI08843 pep chromosome:Prunus_persica_NCBIv2:G5:16348784:16351714:-1 gene:PRUPE_5G203600 transcript:ONI08843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILIFITVVFAALLYRLLFSGNRHSLPLPPGPKPWPIVGNLPHLGPVPHHSLAALARQYGPLMHLRLGFVDVVVAASASVASQFLKTHDTNFSSRPPNSGAKHLAYNYHDLVFAPYGPRWRMLRKISSVHLFSGKALDDLRHVRQEEVAVLAHGLAGAGSKPVNLAQLLNVCTVNALGRVMVGKRLFGDGSGSGDEKADEFKEMVVEMMVLAGVFNIGDFIPALEWLDLQGVAAKMKKLHKRFDAFLTAIVEEHKKSSGGKHGDMLTTLLSLKEDADGEGAKLTDTEIKALLLNMFTAGTDTSSSTVEWAIAELLRHPKILAQVQQELDQVVGRDQLVTELDLPNLTYLQAVIKETFRLHPSTPLSLPRMASESCEINSFHIPKGATLLVNVWAISRDPEQWKEPLEFRPERFLPGGEKPHVDVRGNDFEVIPFGAGRRICAGMSLGLRMVHLMAATLVHAFDWTLADGLTPEKLNMDEAYGLTLQRAAPLMVHPRTRLAPHAYEASSS >ONI08135 pep chromosome:Prunus_persica_NCBIv2:G5:14060294:14061308:-1 gene:PRUPE_5G158600 transcript:ONI08135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPPPYVMNYSVTETNAYEQHPQQKQVEQLPERPGQPACIYFSRTGDCKFISNCKYHHPKNQTALFPSCALSEKGHPLRLG >ONI08041 pep chromosome:Prunus_persica_NCBIv2:G5:13878192:13881519:1 gene:PRUPE_5G154900 transcript:ONI08041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIAFNAVGASGRLSRQLSHPRSSYPLLGRIRLHRVAPTRFSRSSSSSSGSAGIRAQVAAVEQSSAAVAQNVEAPVVIVTGASRGIGKAVALALGKSGCKVLVNYARSSKEAELVSKEIEASGGQALTFGGDVSNEEDVAAMIKTVVDAWGTVDVLVNNAGITRDGLLMRMKTSQWQEVIDLNLTGVFLCTQAAAKVMMKQRKGRIINIASVVGLVGNVGQANYSAAKAGVIGFTKSVAKEYSSRSINVNAVAPGFIASDMTAKLGEDIEKKILGTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >ONI08042 pep chromosome:Prunus_persica_NCBIv2:G5:13878192:13881519:1 gene:PRUPE_5G154900 transcript:ONI08042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIAFNAVGASGRLSRQLSHPRSSYPLLGRIRLHRVAPTRFSRSSSSSSGSGIRAQVAAVEQSSAAVAQNVEAPVVIVTGASRGIGKAVALALGKSGCKVLVNYARSSKEAELVSKEIEASGGQALTFGGDVSNEEDVAAMIKTVVDAWGTVDVLVNNAGITRDGLLMRMKTSQWQEVIDLNLTGVFLCTQAAAKVMMKQRKGRIINIASVVGLVGNVGQANYSAAKAGVIGFTKSVAKEYSSRSINVNAVAPGFIASDMTAKLGEDIEKKILGTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >ONI08043 pep chromosome:Prunus_persica_NCBIv2:G5:13878192:13881519:1 gene:PRUPE_5G154900 transcript:ONI08043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIAFNAVGASGRLSRQLSHPRSSYPLLGRIRLHRVAPTRFSRSSSSSSGSGIRAQVAAVEQSSAAVAQNVEAPVVIVTGASRGIGKAVALALGKSGCKVLVNYARSSKEAELVSKEIEASGGQALTFGGDVSNEEDVAAMIKTVVDAWGTVDVLVNNAGITRDGLLMRMKTSQWQEVIDLNLTGVFLCTQAAAKVMMKQRKVNAVAPGFIASDMTAKLGEDIEKKILGTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >ONI07393 pep chromosome:Prunus_persica_NCBIv2:G5:11878463:11879458:1 gene:PRUPE_5G117100 transcript:ONI07393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GINKGLHIYDIFNFARHNVKADSKIDKYVKTKEKRNPTDHLIAYIRDLTKQPSTTKRAQEHLATKKPKPFFNLLCELTKMASTQRHNKPAAKEGSLGHKVSEIAGFLKGGHHGEHNSSSSHTTSTQYVLATPATNGQHGLNQHHATGTKKRGERKNNKTGGSRNLLQKIKDGISGHSSSSSSSSSDSENEGYQKKN >ONI05386 pep chromosome:Prunus_persica_NCBIv2:G5:592821:593869:1 gene:PRUPE_5G004700 transcript:ONI05386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPIATSLSPDAATCRAVKVEEPSFLDYKEGLRMNWPFKYLSSDILAHNPQTSWHIILKHLGLLNTYPHLSLSFSLSPHPISISPLLAPIRFFLFSTFSTNFLFMNCPRYLEPWFLCKI >ONI08344 pep chromosome:Prunus_persica_NCBIv2:G5:14721132:14723159:1 gene:PRUPE_5G172700 transcript:ONI08344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAAKRLLQGGRLGSHEAQSPAVLSLTRFYHERVVDHYDNPRNVGSFDKHDPTVGTGLVGAPACGDVMKLQIKVDDSTGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNT >ONI08343 pep chromosome:Prunus_persica_NCBIv2:G5:14721020:14723164:1 gene:PRUPE_5G172700 transcript:ONI08343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAAKRLLQGGRLGSHEAQSPAVLSLTRFYHERVVDHYDNPRNVGSFDKHDPTVGTGLVGAPACGDVMKLQIKVDDSTGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYQAKQTKSNGSTEATPVEKAANA >ONI09293 pep chromosome:Prunus_persica_NCBIv2:G5:17558076:17562518:-1 gene:PRUPE_5G229500 transcript:ONI09293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQSGFPLLLQQYKALLKKNLLLSWRSKRATFIQLFSSFFFVFLIFFIQRGLKAQEAKSTDYKTLTNPQPLVSPPIPPCEYKYSIQKPCFDFAWSGNGSARIQTIVNAIMANNPDRPIPSSKVKSFGTKDEVDAWLYSNPMHCSGALHFVERNATVISYGIQTNSTPVKARGQYEDPTFKFQIPLQIAAEREIARSLIGVPNFSWVLSLKEFAHPAREAFAELTDVVPVIFFAASMFGFVFQMSSLITEKELKLRQAMTMMGLYDTAYWFSWLTWEGIITLLSSLFTVLFGMMFRFDFFLNNSFAILFLVFFLFQLNMIGFAFMLSTFIRKSSTSSTVGFFIFIVGFITQIVTVTDFPYSTNFKSKRTVRIVWSLFPPNLLAKALQMLAEATSTPKDIGISWSTRTKCGPNDDHDCMTINDIYLWLVATFFLWFILAIYLDNIIPNVSGVRKSVFYFLNPGYWTGKGANKLEEGGICSCMGSVPPQEHFTPDDEDVLAEENIVKQQTKEGTVDPNIAVQIRGLVKTYPGTTTIGCCKCRRTSPYHALKGLWVNFAKDQLFCLLGPNGAGKTTAINCLTGNTPVTGGDALIYGNSARSSVGMANIRKIIGFCPQFDILWDALTGQEHLHLFASIKGLPSASVKSVAKKSLAEVRLTEAAKMRAGSYSGGMKRRLSFAIALIGDPKLLILDEPTTGMDPITRRHVWDVIEDAKKGRAIVLTTHSMEEADILGDKIGIMAKGRLRCIGTSIRLKSRFGTGFIANVSFGGSMNGQNPHQDAVKQFFKYHLDVLPREENRAFLTFVIPHDRERLLTRFFAELQDREREYGIVDIHLGLTTLEEVFLNIAWQAELEAATAEGRLVTLTLTCGASVKIPVGARFVRIPGTECAEYPSGVMVQVFWEQDESGALCISGHSPETPIPPNVEVTPSRMDSFGRSGHSQPVHGILIHPGQLSKTNSRSYL >ONI05622 pep chromosome:Prunus_persica_NCBIv2:G5:1688367:1694882:1 gene:PRUPE_5G015500 transcript:ONI05622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHARLGQWLSLLVCLLILLKAESHPVGITLVENAVAKGAVCLDGSAPAYHFDKGFGAGINNWLVHVEGGGWCNSVETCLDRKNTYRGSSNKMEKSMSFSGVLGNKQKFNPDFYNWNRIKVRYCDGSSFTGDVEAVNPATNLHFRGARVFRAIIDDLLAKGMKNAQSALLSGCSAGGLASILNCDNFRSLLPVGTKVKCLADAGYFINAKTVSGSQQIQAFYSEVVALHGSAKNLPASCTSKLSPGLCFFPQNVVPVTRTPIFLVNAAYDSWQIKNILAPGIADPKGAWKKCKLDIKNCSPSQLQTMQEYRLQFLGALSHASSSTTHGLFIDSCYAHCQIGTQETWLAADSPVLSKTTIGKAVGDWYTDRTPFQKIDCAYPCNPTCKNRVFDSDEQQD >ONI05623 pep chromosome:Prunus_persica_NCBIv2:G5:1688922:1694882:1 gene:PRUPE_5G015500 transcript:ONI05623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHARLGQWLSLLVCLLILLKAESHPVGITLVENAVAKGAVCLDGSAPAYHFDKGFGAGINNWLVHVEGGGWCNSVETCLDRKNTYRGSSNKMEKSMSFSGVLGNKQKFNPDFYNWNRIKVRYCDGSSFTGDVEAVNPATNLHFRGARVFRAIIDDLLAKGMKNAQSALLSGCSAGGLASILNCDNFRSLLPVGTKVKCLADAGYFINAKTVSGSQQIQAFYSEVVALHGSAKNLPASCTSKLSPGLCFFPQNVVPVTRTPIFLVNAAYDSWQIKNILAPGIADPKGAWKKCKLDIKNCSPSQLQTMQEYRLQFLGALSHASSSTTHGLFIDSCYAHCQIGTQETWLAADSPVLSKTTIGKAVGDWYTDRTPFQKIDCAYPCNPTCKNRVFDSDEQQD >ONI09595 pep chromosome:Prunus_persica_NCBIv2:G5:18412280:18415117:1 gene:PRUPE_5G246300 transcript:ONI09595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMVRGVGKGLRIDIPEFHGSLQLEEFLDWLNSVEEVLEFKDVHENIKVSLIATRFRGCASAWWQQFKATRLREGKEKIETWEKLRKHMRSTFLPPNYSKLVYQQLQNLRQGNHTVGEYTTEFYELVARSDLAETDEQLESRYIGGMRVQFQDTLNLFDPFSVAKAQQRALQLEKHMSRKANSGGAWSGNSPNNRGGGSNSAPFRASTPLVQNPKSFVSDPLGKAQTVGPKRTAFRCFKCGETGHCMAECKKSDRVGKGLFIEHDENQLQEYHDFEHGPVYDNEPNDVVEEYMTEDDGPLLMVRKTCFTPRETEGSDGWLRNNVFQSICTIGGKVCKLVIDPGSCENIISKEAIRKLGLETQPHPHPYKLSWLQKDKVWCNVVPMDAGHILLGRPWEFDRAVVHDGRKNTYSFMLKNIKVTLLPTKEHPKEHKELRRQVEELLENGHIRQSISPCAVPALLTPTKDGTWRMCVHSRAINKITQADLKSGYPQIRVREGDEWKTTFKTCEGLYEWLIMPFGLSNAPSTFMRSLKHWSSYLAHNEFILFTDHHLKLPSHLCTADVFNVKHHFPYHGDSSDEEDLNSGTNSSQPRDDDAAHITHNFLF >ONI05303 pep chromosome:Prunus_persica_NCBIv2:G5:190505:192453:-1 gene:PRUPE_5G000500 transcript:ONI05303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFYVILQFLYRLLNYASDSWVYIRSVKVFSSSRINIRIRCSQILYWPIFLQDNGMRPPSSVKYAEKAALCKHSMWSSLAVDVLLGNLFGLALLCHAESACIWVLKFANDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMISLTAIQIWSTIWIFLGFHFLYFIRGLAISGIIFGVTLPAALITDLIALATLHVSTLHWLISILYSTQIRDKLSCNIQALAALWRLFRRSYL >ONI06478 pep chromosome:Prunus_persica_NCBIv2:G5:7715398:7718388:1 gene:PRUPE_5G063200 transcript:ONI06478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQFIEWDQGWDYIQKGITKLKRIVQGLSEPQFNSEEYMRLYTTIYNMCIQKHPHNYSRQLYDKYRETFEEYITSTVLPSVIEKHGECMLQEFVKCWENHKIMIRWLSRFFSFLDDYYIAQNHASHPGLNEVGLNCFRNMVYQKVNANVRFSVLVLIGKEREGEQIDRALLKNVIDIFVEIGMGHMDAYENDFEGYMLIDTRDYYSHKASIWIWEDTYTNYMLKAEECLRRERDRVSHYLHPSSEKKLVENVKHWLVVVNVTQLIEKKHSESGCSAWLTVDNVEELSRKFIANVILEQEVPAQGSTLVQQAEDAAMQE >ONI06477 pep chromosome:Prunus_persica_NCBIv2:G5:7715480:7718195:1 gene:PRUPE_5G063200 transcript:ONI06477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQFIEWDQGWDYIQKGITKLKRIVQGLSEPQFNSEEYMRLYTTIYNMCIQKHPHNYSRQLYDKYRETFEEYITSTVLPSVIEKHGECMLQEFVKCWENHKIMIRWLSRFFSFLDDYYIAQNHASHPGLNEVGLNCFRNMVYQKVNANVRFSVLVLIGKEREGEQIDRALLKNVIDIFVEIGMGHMDAYENDFEGYMLIDTRDYYSHKASIWIWEDTYTNYMLKAEECLRRERDRVSHYLHPSSEKKLVENVKHWLVVVNVTQLIEKKHSESGCSAWLTVDNVEELSRKFIANVILEQEVPAQGSTLVQQAEDAAMQE >ONI07344 pep chromosome:Prunus_persica_NCBIv2:G5:11753458:11754406:-1 gene:PRUPE_5G114500 transcript:ONI07344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGTGLSNHAFAMPRLGGHDQTSKNNTTCQFQMPLHYPRYQKRDYETMPEWKLDCLLKQYGLPVIGDVNQKRKFAMGAFLWPSQYE >ONI08439 pep chromosome:Prunus_persica_NCBIv2:G5:15040595:15043124:-1 gene:PRUPE_5G178200 transcript:ONI08439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQKGHVIVLTYPAQGHINPLLQFAKRLASKGLKATLATTHYTVKSIHETSVGVEPISDGYDESGFKQAPSVEAYLDSFKTVGSRTLSELILKFSASGSPVNCIVYDSLLSWAIDVAKKFNIYGAVFFTNSASVCSMYWHIIHGHQAFPVKQETEPLLMPGLPPLALSDLPSFLSQPAPHSPYLALILEQFSSLEENDWVFCNSFEELESELVKEMLGLWPLVMIGPMVPSSYFDQEIDGDRDYGANLWKPTTDQCMKWLEKKPPESVIYISFGSMADIAAEQVEEIAWGLKASNQNFLWVVKEPKTKLPDEFLSSIGETGLVVTWCNQLEVLAHQAVGCFITHCGWNSTLEGLSLGVPMVAMPQWSDQPTNAKFVEELWGVGVKVKKNEEGIVSREELEMCIREVMLGERSGQIKKNSLKWREAAKKAVSIGGTSDDNINEFILKLLSG >ONI05714 pep chromosome:Prunus_persica_NCBIv2:G5:2242743:2246846:-1 gene:PRUPE_5G020700 transcript:ONI05714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISPQPNKKEVDMSSIEDGELMTISPQPNKKRRLEVDVVEWGHSTRQEVENANLNEVSVQLCFELTGSPGSRSPYDGWSGEVESTLQGGRSGLESGSHVVSSPPQPAALSKRKRFYVGGARPRHGPKIGVVHNDKGF >ONI08166 pep chromosome:Prunus_persica_NCBIv2:G5:14173903:14176696:-1 gene:PRUPE_5G161100 transcript:ONI08166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNPTVAINISDDLYGLSGTKSDPRDLPEPDVHIVTCDALRIPAHSSILASVSPVLENIIDRPRKHRSSERVIPITGVPNDAVLAFLRFLYSSRCTEEHMEKYGIHLLALSHVYLVPQLKHRCIKELGQRLTIENVVDVLQLAKMCDAADLYLKCLKLVANHFKAVENTEGWKFLQDHDPWLELDILQFIDETASRKKKTRKLREEQRLYLQLSEAMECLEHICKEGCTSVGPYDMEPGHNRGPCSKFSTCQGLQLLIQHFATCKRRVNGGCLRCKRMWQLLRLHSSMCDQPDSCRVPLCRQFKLKMQQEKKKDDARWKLLVRKVVSARTISSLSLPKRKREEELGETRCSHGIRTFRL >ONI05952 pep chromosome:Prunus_persica_NCBIv2:G5:3557354:3560745:-1 gene:PRUPE_5G031200 transcript:ONI05952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQWKVLAEQWKKQAEKWSSQALEHIQAEKWSSQALEYIHQVPPEQIYAAIAILIFTSFLLILVRLFKRPKANTILLSGLSGSGKTLLFYQLRDGSSHQGTVTSMEPNEGTFVLHSEKSKNGKVNPVHLVDVPGHSRLRAKLDDFLPQAAGIVFVVDALEFLPNCRAASEYLYDILTKASVVRKKIPVLILCNKTDKVTAHSKEFIRKQLEKEIDKLRASRSAISAADIANDFTLGVPGEPFSFHQCQNKVTVAEASGLTGDVSQVEQFIRDHVKS >ONI05951 pep chromosome:Prunus_persica_NCBIv2:G5:3557552:3560745:-1 gene:PRUPE_5G031200 transcript:ONI05951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQWKVLAEQWKKQAEKWSSQALEHIQAEKWSSQALEYIHQVPPEQIYAAIAILIFTSFLLILVRLFKRPKANTILLSGLSGSGKTLLFYQLRDGSSHQGTVTSMEPNEGTFVLHSEKSKNGKVNPVHLVDVPGHSRLRAKLDDFLPQAAGIVFVVDALEFLPNCRAASEYLYDILTKASVVRKKIPVLILCNKTDKVTAHSKEFIRKQLEKEIDKLRASRSAISAADIANDFTLGVPGEPFSFHQCQNKVTVAEASGLTGDVSQVEQFIRDHVKS >ONI05528 pep chromosome:Prunus_persica_NCBIv2:G5:1202678:1207531:-1 gene:PRUPE_5G011400 transcript:ONI05528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKHRPSSAFDSPYWTTNAGAPVWNNDSSLTVGPRGPVLLEDYHLVEKLATFDRERIPERVVHARGASAKGFFEVTHDISQLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDAMKFPDAIRAFKPNPKSHIQETWRILDFFSHLPESLHTFAFFYDDLGVPQDYRHMEGSSVHAYTLISKAGKVHYVKFHWKPTCGVKCLLEDEAIKVGGANHSHATKDLYDSIAAGNYPEWKLYIQTMDPDHEDRFDFDPLDLTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFNPAHVVPGIYYSDDKMLQTRIFAYSDTQRHRLGPNYLQLPVNAPKCPHHNNHHEGFMNFMHRDEEVNYFPSRHDPVRHAERYPIPSNILSGKREKCVIEKENNFKQPGERYRSWAPDRQERFIRRWVDALSDPRVTHEIRSIWISYWSQADKSLGQKLLSRLNVRPSI >ONI05529 pep chromosome:Prunus_persica_NCBIv2:G5:1203463:1207441:-1 gene:PRUPE_5G011400 transcript:ONI05529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKHRPSSAFDSPYWTTNAGAPVWNNDSSLTVGPRGPVLLEDYHLVEKLATFDRERIPERVVHARGASAKGFFEVTHDISQLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDAMKFPDAIRAFKPNPKSHIQETWRILDFFSHLPESLHTFAFFYDDLGVPQDYRHMEGSSVHAYTLISKAGKVHYVKFHWKPTCGVKCLLEDEAIKVGGANHSHATKDLYDSIAAGNYPEWKLYIQTMDPDHEDRFDFDPLDLTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFNPAHVVPGIYYSDDKMLQTRIFAYSDTQRHRLGPNYLQLPVNAPKCPHHNNHHEGFMNFMHRDEEVNYFPSRHDPVRHAERYPIPSNILSGKREKVMRH >ONI05527 pep chromosome:Prunus_persica_NCBIv2:G5:1202678:1207531:-1 gene:PRUPE_5G011400 transcript:ONI05527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKHRPSSAFDSPYWTTNAGAPVWNNDSSLTVGPRGPVLLEDYHLVEKLATFDRERIPERVVHARGASAKGFFEVTHDISQLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDAMKFPDAIRAFKPNPKSHIQETWRILDFFSHLPESLHTFAFFYDDLGVPQDYRHMEGSSVHAYTLISKAGKVHYVKFHWKPTCGVKCLLEDEAIKVGGANHSHATKDLYDSIAAGNYPEWKLYIQTMDPDHEDRFDFDPLDLTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFNPAHVVPGIYYSDDKMLQTRIFAYSDTQRHRLGPNYLQLPVNAPKCPHHNNHHEGFMNFMHRDEEVNYFPSRHDPVRHAERYPIPSNILSGKREKCVIEKENNFKQPGERYRSWAPDRQERFIRRWVDALSDPRVTHEIRSIWISYWSQVISGSKAFIPSQCEAKHLRVMPSQMFEFLSVLY >ONI06989 pep chromosome:Prunus_persica_NCBIv2:G5:10338382:10340886:-1 gene:PRUPE_5G093400 transcript:ONI06989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSAKCWTEEEDNLLGELVRKFNRSNWKEIAACLPGRTDVQCLQRWQKVLNPEIVKGPWTKEEDNCIIKQVESHGAKRWSVIAKFLPGRMGKQCRERWYNHLCPAINRNAWTEEEEWVLTYYHQLFGNKWAEIARFLPGRTDNAIKNHWNCTLKRKLDSYSPNGCDVDMHPDVWNGELRSGLVEINPAGQISDRLASLNQRTGLEISDSACSTELTLGCSYRHELCSEWKPVKVQKCRSSDRGASSLTNLITSGSSKHSPLSASSSVFVGASASTRSSPLESPSCYSTPQSRPQNVNVNLSSGSSPGSRLKNLALSFKNTPSIIRKRSSRRPDASSAAVKSLGRCLEREFDAENDSGVTKCGQLFSAHATSSDVNVGV >ONI06988 pep chromosome:Prunus_persica_NCBIv2:G5:10338382:10342007:-1 gene:PRUPE_5G093400 transcript:ONI06988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVKKEQLQEVVVDPYEKIGFLLQALYETEGCFNSPASKPSSATRRATGPMRRSAKCWTEEEDNLLGELVRKFNRSNWKEIAACLPGRTDVQCLQRWQKVLNPEIVKGPWTKEEDNCIIKQVESHGAKRWSVIAKFLPGRMGKQCRERWYNHLCPAINRNAWTEEEEWVLTYYHQLFGNKWAEIARFLPGRTDNAIKNHWNCTLKRKLDSYSPNGCDVDMHPDVWNGELRSGLVEINPAGQISDRLASLNQRTGLEISDSACSTELTLGCSYRHELCSEWKPVKVQKCRSSDRGASSLTNLITSGSSKHSPLSASSSVFVGASASTRSSPLESPSCYSTPQSRPQNVNVNLSSGSSPGSRLKNLALSFKNTPSIIRKRSSRRPDASSAAVKSLGRCLEREFDAENDSGVTKCGQLFSAHATSSDVNVGV >ONI09204 pep chromosome:Prunus_persica_NCBIv2:G5:17331364:17334903:1 gene:PRUPE_5G223500 transcript:ONI09204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKPQQQQQQQQPPSSIPKHFLNVQIHLHKIISNFLIFAFGLAIGVSISFYLKDFPFQLYATQAKYSRSSNTPLLFSSAPPPLPPPPLPTLNIQINKTKTSAAPPPPPIGLKEYTKPPNIMHDMDDAELLWRASLVPRRRGLPFKRTPKVAFMFLTRGPLALAPFWEMFFKGHEGLYSIYVHANPDFNDTMPQNSVFYGRRVPSKNVTWGEPNMVQAERRLLANALLDFSNQRFVLLSESCIPLFNFKVIYDYLMGSTQTFVEVYDLPGPVGRDRYRPKMSPQITLGQWRKGSQWFEVDRVIAVEVVSDQKYFPLFTKHCKPSCYSDEHYLPTYVNIKFRKKNSNRTLTWVDWSRGGPHPSKFMRTDVTVEFLEKLRHGTKCEYNGKTTDVCHLFARKFLPNTLDRLLRFGPKLMKFN >ONI08693 pep chromosome:Prunus_persica_NCBIv2:G5:15813138:15815006:-1 gene:PRUPE_5G194500 transcript:ONI08693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTLLHLLVIFLGFSQLIICLNAVPVTRIGSLQVHQIAGNNELVTAENNFYEQTITERMDVELHDYPGSGANNRHTPKPQFGRCVDC >ONI07260 pep chromosome:Prunus_persica_NCBIv2:G5:11460919:11462377:1 gene:PRUPE_5G109800 transcript:ONI07260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFPNFNKACILQQMAPNAMAEQESQVWPLAPSRLHRRSDEENPTFRAIRRERSNKCFVYVFAAIVLQSIFILVFALVVLRVKSPGFNLSSVSVKSLKHTTSPTSSLNATLVTELAIKNKNFGEYKFEGSSASLWYGGFKVGEAKIGKGRVKARGTRRVSLSIDVRSNRLPQEAKNGFEGEMNSGYLKISSYAKLTGKVNLMKIMKKRKTIDTNCTMVVVLKSRTVKDLFCR >ONI08529 pep chromosome:Prunus_persica_NCBIv2:G5:15282535:15285281:1 gene:PRUPE_5G183700 transcript:ONI08529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIFCRDCNMLTEVVFDHSAGDTICSECGLVLESRSVDETSEWRSFADESNGNDPNRVGGPVNPLLADGGLSTVISGPSNGASSNALPSSVGRWQCRASKPDRHLLNIFSAIAIMADRLGLVTTIKDRANEIYKKVEDQRPLRGRNQDAVVAACLYIACRQENKPRTVKEICSAVSGASKKEIGRAKEFIWKHLEVEIGQEIGTIHAGDYLRRFCSNLGMKNLEVKAAQETVQKSEDLVIRRSPISVAAAVIYIITQLSDDKRTFKDISLATRVAEGTIKNSYKDLYPHLPRLIPNWFAKEEDVKNLCN >ONI09339 pep chromosome:Prunus_persica_NCBIv2:G5:17736845:17737216:1 gene:PRUPE_5G233200 transcript:ONI09339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLKLKLINERVTSPRSDEKLSREEREREHALKLMMRTMSHGHGGLGFTKNESKRGTNIDVLLSQLSLKSKTPNTTLILHALQCPFSVF >ONI06729 pep chromosome:Prunus_persica_NCBIv2:G5:9096487:9100707:-1 gene:PRUPE_5G077000 transcript:ONI06729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSIGIATTSSPLLTRPTPRSSRPTRISCIGWDPEGVLGPPQTGHLARIEFKRRLERDADAREAFERQVIEEKERRRALRESRAAPDTAEELIEYFLNTEAREIEFEISRLRQRLDKEFFSHLQYELGQLRFAVSKTQDIEDRLIELEALQKALQEGTEAYDKMQTDLIKAKQSLTKVLTSKDVKATLLDMVERNELNRSFLTLLDENIANAHKGNQKQAADFMEKLRGAVLKYMTV >ONI06286 pep chromosome:Prunus_persica_NCBIv2:G5:5450904:5451107:-1 gene:PRUPE_5G050900 transcript:ONI06286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAYFLEKQKHDNTRMEVFVRLAKLEAANADLAKSLATVQWNLEEEVNLFCSSSTPFEAATVQKYL >ONI09443 pep chromosome:Prunus_persica_NCBIv2:G5:18023574:18026409:1 gene:PRUPE_5G238500 transcript:ONI09443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNSYRTIPMQAKQVIWCRLMGSNNFIADINPDSMEMETMMESRPSDNINISKKNSTAPQNIYHQIFAGSWNVGGVSPPEVLDIQEWLRPNHINPPANIYVLGFQEIVPLNAANIVVRSENRKICEKWNSLIRAALNDPDPKNNITQDFRCIISKQMVGILLSVWVRSDLCQYIRQLSVSCVGCGLMGCLGNKGSVSVRFWLHETSFCFVCSHLASGGKEGDQRRRNANAAEILSRTTFPPGPFPNFTTKILDHDRVIWLGDLNYRIYLPDATTQYLVEKQKWNLLLEYDQLKVELMEGHVFEGWHEGVINFAPSYKYYKNSELYFGCDEKRKHNKRRAPAWCDRIIWFGKGLKQNQYDRGELKLSDHRPVRAIFMAEIKVLRDPTGFQSFLSDRIICLPNHFEECFNDKYSCKWRSNFHY >ONI09415 pep chromosome:Prunus_persica_NCBIv2:G5:17911322:17912829:-1 gene:PRUPE_5G236900 transcript:ONI09415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRERRQLNLRLPLPEPSECRPRFALPLPPTAAATTALTNNSSSAAISAADLDTLQVLGHGNGGTVYKVRHKRTSTTYALKLVYGDSDPTVRRQIFREMEILRRTDSPYVVRCHAIFEKPSGDIGIVMEYMDSGTLETLLKAHGTFSEPKLAHVARQVLNGLNYLHTHKIIHRDIKPTNLLVNSNMEVKIADFGVSKIMCRTLDACNSYVGTCAYMSPERFDPDTYGGNYNGYASDIWSLGLTLMELYMGHFPFLPPGQRPDWATLMCAICFGEPPSLPDGVSEEFRSFMECCLQKESGKRWTAAQLLTHPFVCKDPSVSVS >ONI07578 pep chromosome:Prunus_persica_NCBIv2:G5:12493542:12495852:-1 gene:PRUPE_5G128600 transcript:ONI07578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLVGRGFKHTLPFVFPSHHHHLRLHLSRSLMATATSAKRVGTHNGSFHCDEALGCFMIRLTDKFSNAEIVRTRDPQVLEGLDAVLDVGGVYDPSRDRYDHHQKGFEEVFGHGFKTKLSSAGLVYKHFGKEIIAKELQVDEGHLDVHRLFLAVYKSFMEAIDAVDNGINQYDTEQPPRYVNNTHLSSRVGKLNLDWIDPDQSPEKENEAFRRAMELAGSEFLSSVRFHAKSWLPARSIVMECLLARWSVDPSGEIMVLTRFCPWKLHLFELEEEMKIDPPIKYVLYQDDRSKHWRVQAVAVAPDRFESRKPLALQWRGLRDEELSKEAAIPGCVFVHMSGFIGGNQTYEGALAMAKASLKL >ONI07067 pep chromosome:Prunus_persica_NCBIv2:G5:10672934:10676384:1 gene:PRUPE_5G098200 transcript:ONI07067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLGVDVVSAHNLLPKDGQGSSSAFVELYFDGQRFRSTLKEKDLNPVWNESFYFNISDPSNLHYLTLEAYVYNNVKATYSRSFLGKISLTGNSFVPYSDAVVLHYPLEKRGIFSRVRGELGLKVYVTDDPSIRSSTPIPAVESLANSDHEQAQGDSNPIMNSFRKEKVEMRHTFHHLPHPGHDQQHQHHASAAPDSHYVPKYEADQMKSEQPQPARLVHMHSASSSQPVDFALKETSPYLGGGRVVGGRVIHGDKTASTYDLVERMYFLYVRVVKARELPAMDVTGSLDPFVEVRIGNYRGITKHFEKQQNPVWNQVFAFSKDRMQASVLEVVIKDKDLIKDDFVGLVRFDINEVPLRVPPDSPLAPEWYRLEDKKGEKIKSELMLAVWIGTQADEAFSDAWHSDAATPADSTPAASTVIRSKVYHAPRLWYVRVNVIEAQDLFAAEKNRFPDAYVKVQLGNQVLKTKTLQARNLNPLWNEDLLFVASEPFEDHLVISVEDRVGPGKDEIIGRVILPLNSVDRRADDRMIHSRWFNLEKPVVVDIDQLKKEKFSSRLHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPSIGVLELGILNAVGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTLVDNLSPKYNEQYTWEVFDPATVLTVGVFDNSQLGDKDSHGKDLKIGKVRIRISTLETGRIYTHSYPLLVLHPTGVKKMGELHLAIRFSCTSFVNMLYVYSKPLLPKMHYVRPFNVIQLDMLRHQAVNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMTVFSGVFAVGKWFTDICMWKNPITTVLVHVLFLMLVCFPELILPTAFLYMFLIGIWNFRYRPRYPPHMNTKISQAELVHPDELDEEFDTFPTSRNPELVRMRYDRLRSVAGRIQTVVGDIATQGERFQALLSWRDPRASALFVTLCLIAALVMYVTPFQVVAALVGFFMMRHPRFRHRLPSAPINFFRRLPSRTDSML >ONI07068 pep chromosome:Prunus_persica_NCBIv2:G5:10671485:10676439:1 gene:PRUPE_5G098200 transcript:ONI07068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLGVDVVSAHNLLPKDGQGSSSAFVELYFDGQRFRSTLKEKDLNPVWNESFYFNISDPSNLHYLTLEAYVYNNVKATYSRSFLGKISLTGNSFVPYSDAVVLHYPLEKRGIFSRVRGELGLKVYVTDDPSIRSSTPIPAVESLANSDHEQAQGDSNPIMNSFRKEKVEMRHTFHHLPHPGHDQQHQHHASAAPDSHYVPKYEADQMKSEQPQPARLVHMHSASSSQPVDFALKETSPYLGGGRVVGGRVIHGDKTASTYDLVERMYFLYVRVVKARELPAMDVTGSLDPFVEVRIGNYRGITKHFEKQQNPVWNQVFAFSKDRMQASVLEVVIKDKDLIKDDFVGLVRFDINEVPLRVPPDSPLAPEWYRLEDKKGEKIKSELMLAVWIGTQADEAFSDAWHSDAATPADSTPAASTVIRSKVYHAPRLWYVRVNVIEAQDLFAAEKNRFPDAYVKVQLGNQVLKTKTLQARNLNPLWNEDLLFVASEPFEDHLVISVEDRVGPGKDEIIGRVILPLNSVDRRADDRMIHSRWFNLEKPVVVDIDQLKKEKFSSRLHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPSIGVLELGILNAVGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTLVDNLSPKYNEQYTWEVFDPATVLTVGVFDNSQLGDKDSHGKDLKIGKVRIRISTLETGRIYTHSYPLLVLHPTGVKKMGELHLAIRFSCTSFVNMLYVYSKPLLPKMHYVRPFNVIQLDMLRHQAVNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMTVFSGVFAVGKWFTDICMWKNPITTVLVHVLFLMLVCFPELILPTAFLYMFLIGIWNFRYRPRYPPHMNTKISQAELVHPDELDEEFDTFPTSRNPELVRMRYDRLRSVAGRIQTVVGDIATQGERFQALLSWRDPRASALFVTLCLIAALVMYVTPFQVVAALVGFFMMRHPRFRHRLPSAPINFFRRLPSRTDSML >ONI06649 pep chromosome:Prunus_persica_NCBIv2:G5:8725210:8729839:-1 gene:PRUPE_5G072600 transcript:ONI06649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSLAELERFQTQILERISKFELASSASLPQHSSTPIPTSTQNDAIGATEARLSAILRANGVKDFAFKRVPADYYDWSLDDRRDVLGAASVHHLCKSIVLVNTQAQSSVVDCSDRNNSKYYVVVVQYTARFNAEAVKNFLYSLNEGKIAKKKFNLRLAPEETSVELTGFEHNGVTCVGMKTGIPVILDEAIQKLSPDFFWLGGGEIDLKLGIRTSEFINFVKPFIVSCSST >ONI07972 pep chromosome:Prunus_persica_NCBIv2:G5:13662723:13663691:1 gene:PRUPE_5G150600 transcript:ONI07972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEVPHCRSPVTPQVRFSSPVSLKAQLDQPYQHKHCRGSKNMNFSRKKLIPFLVLILSIISVLRLLRIAITTSSPSPPFPASTALPPTALGDACSPLSTCSKFPSEKKVSANVTGLREKEYRLLSNLIRQKAPCNLLIFGIDPQYVSLSSINAGGTTIFLEDDHNKISTLKTNSNTTRVYQVDYQIPAKEAYKLLKHARENPDCAPSSKLQLSKCQLALKNLPQEVYELKWDVVVVDGPSGSTPDEPGRMAAIYTASMMARNGNITDVVVHDVDRMIEKWFSWEFLCDENLVSSKGRLWNFRITGQSNSTNFCPAKTITIE >ONI07261 pep chromosome:Prunus_persica_NCBIv2:G5:11464413:11465649:1 gene:PRUPE_5G109900 transcript:ONI07261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKEQGKPLAPANSYHLRSDEEEVFVSSHIKLCQRKYVMCCGCVSALFLIIAVTAIVLGFTVFHVKGPRIKMNDVTIQQLEVANGALRSDTNVTLLADVSIKNPNVASFKYGNTTTRVYYSGTEVGQGRTPAGVAKARRTMRMNVTVDIVPGEISAVPGFIKEVASGKLTVSTYTRIEGKVKILMVNKNVVVELNCSMTYNFASKGIEGEDCKRRVSL >ONI07119 pep chromosome:Prunus_persica_NCBIv2:G5:10876561:10880599:-1 gene:PRUPE_5G100900 transcript:ONI07119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLATFALLFNGDIAVQGWGRLSKDEDLELDTLLNLINKLPIKSFRTGYGNIADCIDIYKQLAFDHPLLKNHTIQEPTLPTRISAHEKATREDLIMAKNMKSLGLNYPITKTHRSISNKIDIKGHHVNLNLYPYGSRLYTFWTADGYQKTGCSNILCPGFVQVSSDIPLGLKLPTSIYRGKQYDMLLSLHQDRHTKNWWLIFEDAYVGYWPREIFTSLAHGASLMSWEGEIYSKIKVVTDRSQDYVDPEDDDLKMYIDKPYCYNGRQTENGDIVDCIDIYKQLAFDHPLSSRIIQFRNHNHGERGRVNIWNPSVPPTSEFRNDDTHHLAYGYQKTGCFNLLCPGFIQVSSGEVYIQPTDLSPAMGSGHFPQEGYEKSAYITQIIVVINESAGYGDPPVDPCRLKISAAKPYCYNVIQHVGKAPLRII >ONI07669 pep chromosome:Prunus_persica_NCBIv2:G5:12813092:12814875:-1 gene:PRUPE_5G134500 transcript:ONI07669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATKLLLTDLMSGVNHVPSNYVRPISDRPNLSDVQISDASIPLIDLKDLHGHNHSNIIKQIGLACQTDGFFQVKNHGVPEEMIKDMLSIAREFFKLPESERLKMYSDDPSKTTRLSTSFNVRTEKLSNWRDFLRLHCYPLEDYVQEWPNNPPSFREQVGEYCTTVRGLVLRLLGAISESLGLEKNYIVEALGKQGQHMALNYYPPCPEPELTYGLPGHTDCNLITILLQDDVAGLQVLRNGKWVAVNPIPNTFIVNIGDMMQVISNDKYKSVLHRAVVNCNSERISIPTFYCPSPDAVIGPAKDLISHDQPAMYRNFTYAEYFEKFWNRGLATECCLDLFKPN >ONI07791 pep chromosome:Prunus_persica_NCBIv2:G5:13079182:13082129:-1 gene:PRUPE_5G139900 transcript:ONI07791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLYPIDSESLQLYHQTQTRLLLWLSDTTSSFHFCERKKMERCFQGWVVLLAMLVLAISMPCSHAIRVTKTKTRSGVYVSPKFVLAPGSVADKYYYDIPFPKGHIGLKSFNAEVIDEEGNPIPLQETYLHHWVVARYYARTGFVEPEETGFQELKKSDFTFVRNTGMCQNNVLGQYFGLGSETRNTSTHVPDPYGIEVGNPAEVPAGYEERWMLNVHAIDTRGAENGLGCTECRCDLYNVSTDSRGQPLRPGYKGGLYCCYDGVQCRVKQGFNGAKRSLYLRYTVKWVDWSDAVVPVKIYIFDVTDRMNHSAGPSIVHDCRVEYNVEESCSAASTASDRCLDNQWAMVTMPTGGYVVYGVAHQHTGGLGSTLYGEDGRILCSSIPIYGKGKEAGNEAGYIVGMSTCYPQPGSVKINDGETLSVLSNYSSTQTHTGVMGLFYILVADTLPKSSLLSLDAPL >ONI06991 pep chromosome:Prunus_persica_NCBIv2:G5:10359232:10359909:1 gene:PRUPE_5G093500 transcript:ONI06991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILKNLPAFCILCATLFFASTNAARFDIRNNCPFTVWAAAVPGGGRQLNRGQSWALDVRAGTQGARIWARTGCSFDGAGRGRCQTGDCGGVLQCQAYGQPPNTLAEYALNQYNNLDFFDISLVDGFNVPMDFSPTSNGTDKYCCNSGSCGPTDLSRFFKDRCPSAYSYPKDDPTSTFTCPGGTNYRVVFCP >ONI06990 pep chromosome:Prunus_persica_NCBIv2:G5:10358296:10359909:1 gene:PRUPE_5G093500 transcript:ONI06990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYMDWCKANARFDIRNNCPFTVWAAAVPGGGRQLNRGQSWALDVRAGTQGARIWARTGCSFDGAGRGRCQTGDCGGVLQCQAYGQPPNTLAEYALNQYNNLDFFDISLVDGFNVPMDFSPTSNGCTKGIRCTADINGQCPNQLRAQGGCNNPCTVFRTDKYCCNSGSCGPTDLSRFFKDRCPSAYSYPKDDPTSTFTCPGGTNYRVVFCP >ONI05746 pep chromosome:Prunus_persica_NCBIv2:G5:2496308:2514076:1 gene:PRUPE_5G022400 transcript:ONI05746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKVVYEGWMVRYGRRKLGRSFIHMRYFVLESRLLAYYKRKPQDNQLKLVVYGEKKEVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKYIRITMAAFNIQEALIWKEKIEYVIDQHQGSQVANGNKYISFEYKSGMDNGRTASSSDHESQFSAQEDEDDAHPNLSRRTTIGNGPPESIFDWTRELDSDLSNQSNNNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFELVMSMDGTRFEWDCSFQYGSLVEEVDGHTAVLYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHIESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYAPSFQQHCLLQVLNSVAGLREWFSQTDERNAPPRIPVMVNMSSASVSSRKGQKLHDSSINSANRNSVMMDDYSDEDEEFQIAEAEQEAYQNGLENDMKKTAVEEEPADQMDLSCFSGNLRHDDHDKARDCWKVSDGSNFRVRSKHFCYDKTKIPAGKHLMDLVAVDWFKDTKRMDHVARRQGCAAQVASEKGLFSIIFNLQVPGSTHYSMVFYFVTKELVPGSLLQRFVDGDDEFRTSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEIDVDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANTTDELPERLIGAVRVSHIDLKSAIVPKLEPDPS >ONI05747 pep chromosome:Prunus_persica_NCBIv2:G5:2496308:2514072:1 gene:PRUPE_5G022400 transcript:ONI05747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKVVYEGWMVRYGRRKLGRSFIHMRYFVLESRLLAYYKRKPQDNQVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKYIRITMAAFNIQEALIWKEKIEYVIDQHQGSQVANGNKYISFEYKSGMDNGRTASSSDHESQFSAQEDEDDAHPNLSRRTTIGNGPPESIFDWTRELDSDLSNQSNNNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFELVMSMDGTRFEWDCSFQYGSLVEEVDGHTAVLYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHIESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYAPSFQQHCLLQVLNSVAGLREWFSQTDERNAPPRIPVMVNMSSASVSSRKGQKLHDSSINSANRNSVMMDDYSDEDEEFQIAEAEQEAYQNGLENDMKKTAVEEEPADQMDLSCFSGNLRHDDHDKARDCWKVSDGSNFRVRSKHFCYDKTKIPAGKHLMDLVAVDWFKDTKRMDHVARRQGCAAQVASEKGLFSIIFNLQVPGSTHYSMVFYFVTKELVPGSLLQRFVDGDDEFRTSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEIDVDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANTTDELPERLIGAVRVSHIDLKSAIVPKLEPDPS >ONI05749 pep chromosome:Prunus_persica_NCBIv2:G5:2497072:2514074:1 gene:PRUPE_5G022400 transcript:ONI05749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVLSVYNKKEKYIRITMAAFNIQEALIWKEKIEYVIDQHQGSQVANGNKYISFEYKSGMDNGRTASSSDHESQFSAQEDEDDAHPNLSRRTTIGNGPPESIFDWTRELDSDLSNQSNNNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFELVMSMDGTRFEWDCSFQYGSLVEEVDGHTAVLYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHIESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYAPSFQQHCLLQVLNSVAGLREWFSQTDERNAPPRIPVMVNMSSASVSSRKGQKLHDSSINSANRNSVMMDDYSDEDEEFQIAEAEQEAYQNGLENDMKKTAVEEEPADQMDLSCFSGNLRHDDHDKARDCWKVSDGSNFRVRSKHFCYDKTKIPAGKHLMDLVAVDWFKDTKRMDHVARRQGCAAQVASEKGLFSIIFNLQVPGSTHYSMVFYFVTKELVPGSLLQRFVDGDDEFRTSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEIDVDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANTTDELPERLIGAVRVSHIDLKSAIVPKLEPDPS >ONI05446 pep chromosome:Prunus_persica_NCBIv2:G5:851472:854802:1 gene:PRUPE_5G007900 transcript:ONI05446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIANSLALTTNPHVQLSSGSPLKPSQQYLGSVAPTNLSLNSKHIGKAQLSTSKRSFTVQAGYSDGGRSNSSSIFISGFVLGGLIVGTLGAVYAPQISKALAGADRKDLMRKLPKFIYDEDKALEKTRKVLAEKIAQLNSAIDDVSGQLRSEDEPNEVPVSSDEVEAVI >ONI06626 pep chromosome:Prunus_persica_NCBIv2:G5:8610600:8615055:1 gene:PRUPE_5G071000 transcript:ONI06626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKEDRDIVISKGGGDGDGDGDVASSSGKPKILHPPIESHWHLPPQDKHRPSSSMSNLGLKTMIKFRDTFKKLGGSSSSISSNKSLKTVLGGVRDPKDEKVVECFRELLFLEDQLPPQHNDYHTLLRFLRMRDFDFTKAKDAFLSYLKWREDYMVDAIPKEFKFEEYEAVKKCYPHGYHGVDRYGRPLYIERIGMVDLNKLLQVTTLERFTKYHVSEQEKTLNWRYPACSLAAKRHVASTTSILDVHGVGLANFSKPARYLFMEIQKIDSNYYPETLNRLFIVNAGSGFKMLWKAVKAFLDARTLAKIQVLGYNYQSNLLDVVEQSNLPTFLGGGCTCSDYGGCLLSDKGPWNNPDITEMLQAISADNNGVNNYEENGHLDSDEELLRNIKIKALEAEFREANKKIQALEAALEEAKIVLKELGQQVEELRSTPFEG >ONI08674 pep chromosome:Prunus_persica_NCBIv2:G5:15753762:15756219:1 gene:PRUPE_5G193100 transcript:ONI08674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAAFYTSSQPSRALLCRTRRPNFSQTACFQKTLTIRASTTLDYSKLTVGEKSSAPSKSNSWQWKFKENSINIYYEEHEKEKEGPSKNVLMIPTISDVSTVEEWRSVASNLVQQDGNVNWRATIVDWPGLGYSDRPKMDYTADLMEKFLVDFINAPDGPISGSENDFVVFGGGHAATLTIRAVKRGAVKPKAIAAIAPTWAGPLPIVFGRDSSMETRYGLLRGTLRAPAVGWMMYNMLVSNEKSIESQYKSHVYSNPDNVTPGIIESRYALTKQKGARYVPAAFLTGLLDPVQTREEFVGLFAEMGSQKIPVLVLSTEGSPKRSKAEMEALREAEGVSKFVEVPGALLPQEEYPAMVAEELYKFLQAMVAEELYKFLQENF >ONI07340 pep chromosome:Prunus_persica_NCBIv2:G5:11736748:11738695:1 gene:PRUPE_5G114100 transcript:ONI07340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPAVKYTQHRSHTKMVANSAAEFESVRPRVVRIWVTDGDATDSSSDDEPPESSFCGRRRVKRFINEITIESCSRETDAVWRSRTTRTGRKRASPKSGGPASGRTLKAVAAAPAGKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDNAAIQLRGPDALTNFAAPPAKSLPDVKPVISSCYNSGDESHNNLCSPTSVLRCPSPSNEEADSPSFKEEVPDIAEFRNVRDDSCVSENFSEFSEYTSFESFIPDDIFDFQTPDFLEETSLRDVGILKEDFGDLGNFGNIFVDSVRDFGFGSSAWCLDDHFQDIGDLFSSDPLVAL >ONI06944 pep chromosome:Prunus_persica_NCBIv2:G5:10118570:10119124:-1 gene:PRUPE_5G090800 transcript:ONI06944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSGKHPTYRGIRCRGGKWVSEIREPRKTKRIWLGTFPTAEMAAAAYDVAALALKGADVVLNFPGFIGSYPVPASTSAQDIRSAAAAAAATLRKNDDSRESPGQRQTDDKDDMTVSNSISLASSSVGDFMDEEELFGMPNLLMDMAEGMLVSPPRMNDSPPSDYDDSPGNSDGGESLLWSY >ONI07609 pep chromosome:Prunus_persica_NCBIv2:G5:12591804:12593842:1 gene:PRUPE_5G130300 transcript:ONI07609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIIPSSSPPQLCQENSVSFQQRLQFIVQNRPEWWVYSIFWQASKDSNDQVALSWAGGHCKSPRDLASKRSNKMVNNKHFVERKKVINNREAESLFHEEMDLDMRLVDHVVGDVTDSEWFYFYSISLTQSFVAGHATNNILGRSFCSGGFVWLAGDHELQFYECERVKKARMHGIQSLVCIATPCGVLELASPDVIKEDLGLVHLSKSLFLSDNNRVSKQDSRDVNALVPPLESGMFSGGQKDLTKQGDTKEAAPINIGGSSSDSADNFTSDNTESTRMKTRGRSSSNHAPQLLNHVEAERQRREKLNHRFYALRSVVPNVSKMDRSSLLADAVAYINQLKAKTEELEDKIQSQPQNPKRGNVSNADHHHYSQSTSPIVDFHHSNNNNTNRAAAALEVDVKILGSEAVIRVQCPDQEYPYAKLMNALKSLRLQVCHASISNVKDLMIQNVVVRVPYGFISDEAMRMGIIKKWYN >ONI07345 pep chromosome:Prunus_persica_NCBIv2:G5:11755403:11759771:-1 gene:PRUPE_5G114600 transcript:ONI07345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYFVAALLTVLTSSQGILTTLSQSNGKYKYDYATVPFLAEVFKLAVSSLLLWRECRISPSVRMTTDWKSVRLYPIPSIIYLIHNNVQFATLVYVDTSTYQIMGNLKIVTTGILFRLFLRRKLSNLQWIAIVLLAVGTTTSQVKGCGEASCDSMFSAPIQGYMLGILSACMSALAGVYTEFLMKKNNDSLYWQNVQLYTFGVIFNIARLLFDDFRGGFENGPWWQRLFNGYNLTTWLVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVYLFTFKPTLQLFLGIIICMMSLHMYFAPPNMLIDLPLPVKATTESLKEVSVERKSDS >ONI07647 pep chromosome:Prunus_persica_NCBIv2:G5:12760267:12761871:1 gene:PRUPE_5G133200 transcript:ONI07647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSSFDAFFYAEFLGQTVKASFPTQQQQKSTGTVLNNKASVADNLNGAKQEGNREASISMPQQAQPVKKKQSQKAPPRFALELDGLNCFETIVYH >ONI07932 pep chromosome:Prunus_persica_NCBIv2:G5:13542960:13544053:-1 gene:PRUPE_5G147900 transcript:ONI07932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLRQKKKLTDGLMLKPFDAHSKTNEQTIKEMSTLAMRYITAVQEEYEEEDEPEKLAIAKVGRKDAKKHLEQLVSNLMSSNIGQTFGAMLDTVVF >ONI07943 pep chromosome:Prunus_persica_NCBIv2:G5:13576493:13578196:1 gene:PRUPE_5G148700 transcript:ONI07943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNQILFLALPKSSESASPNLSSNPSNISQVFPRFHFDSAGLMKKEAKIYQHCGTSYGSVDKMKPGKKEGGKETKKHKYAFQTRSQVDILDDGYRWRKYGQKTVKNSKFPRCTHQGCIVKKQVQRLSKDEEIVVTTYEGIHSHPTDETSAENFDQILRHLQTYNA >ONI07942 pep chromosome:Prunus_persica_NCBIv2:G5:13576493:13578196:1 gene:PRUPE_5G148700 transcript:ONI07942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNQILFLALPKSSESASPNLSSNPSNISQVFPRFHFDSAGLMKKEAKIYQHCGTSYGSVDKMKPGKKEGGKETKKHKYAFQTRSQVDILDDGYRWRKYGQKTVKNSKFPRSYYRCTHQGCIVKKQVQRLSKDEEIVVTTYEGIHSHPTDETSAENFDQILRHLQTYNA >ONI06495 pep chromosome:Prunus_persica_NCBIv2:G5:7866732:7868384:-1 gene:PRUPE_5G064500 transcript:ONI06495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPVKPPLHNFPLAFLKWGAKNNSTTNNNHRYRRPVSAEPASEPDSESERTHYNNSRVGSSRASRHRYSLIPCAGDKRRRSEERESDQEEGEEADKAEVVHKPWNLRPRRAPATTSFSKGGANGEPHELESPNPNQSELQQPKSMRLRGLAAEGQNVEKKENRKFWIALSKEEIEEDIFVMTGSRPARRPKKRPKNVQKQLDITFPGLWLVGVTADAYKVADSPSKR >ONI06494 pep chromosome:Prunus_persica_NCBIv2:G5:7863998:7868356:-1 gene:PRUPE_5G064500 transcript:ONI06494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPVKPPLHNFPLAFLKWGAKNNSTTNNNHRYRRPVSAEPASEPDSESERTHYNNSRVGSSRASRHRYSLIPCAGDKRRRSEERESDQEEGEEADKAEVVHKPWNLRPRRAPATTSFSKGGANGEPHELESPNPNQSELQQPKSMRLRGLAAEGQNVEKKENRKFWIALSKEEIEEDIFVMTGSRPARRPKKRPKNVQKQLDITFPGLWLVGVTADAYKVADSPSKR >ONI09346 pep chromosome:Prunus_persica_NCBIv2:G5:17753357:17757304:-1 gene:PRUPE_5G233700 transcript:ONI09346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASTLASFNVLSRSLATVHGGAVATSASPSHSLLQVALYGTSSTTGPHVRRRWFDSFSGGPAGKLAIGVAGTLASVAVASSLTQEVYAKEPPPAELVPKDVVLYQYEACPFCNKVKGNFSYTEKFTVKYAGAAAMYFVSKKLKKKYNITDERASLYEAAETWVNALNGRDFLGGSKPNMADLAVFGVLRPIRYLRSGKDMVEHTRIGEWYSRMERAVGESARIKA >ONI09347 pep chromosome:Prunus_persica_NCBIv2:G5:17753905:17757137:-1 gene:PRUPE_5G233700 transcript:ONI09347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASTLASFNVLSRSLATVHGGAVATSASPSHSLLQVALYGTSSTTGPHVRRRWFDSFSGGPAGKLAIGVAGTLASVAVASSLTQEVYAKEPPPAELVPKDVVLYQYEACPFCNKVKAIIDKLNDKIVPERVAAPSSNDDEEKKWRQWVDNHLVHMLSPNIYRNTSEALESFDYITSNGNFSYTEKFTVKYAGAAAMYFVSKKLKKKYNITDERASLYEAAETWVNALNGRDFLGGSKPNMADLAVFGVLRPIRYLRSGKDMVEHTRIGEWYSRMERAVGESARIKA >ONI09348 pep chromosome:Prunus_persica_NCBIv2:G5:17753905:17757137:-1 gene:PRUPE_5G233700 transcript:ONI09348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASTLASFNVLSRSLATVHGGAVATSASPSHSLLQVALYGTSSTTGPHVRRRWFDSFSGGPAGKLAIGVAGTLASVAVASSLTQEVYAKEPPPAELVPKDVVLYQYEACPFCNKVKAFLDYHDIPYKIVEVNPLSKKEIKWSDYKKVPILMVDGEQLVDSSAIIDKLNDKIVPERVAAPSSNDDEEKKWRQWVDNHLVHMLSPNIYRNTSEALESFDYITSNGNFSYTEKFTVKYAGAAAMYFVSKKLKKKYNITDERASLYEAAETWVNALNGRDFLGGSKPNMADLAVFGVLRPIRYLRSGKDMVEHTRIGEWYSRMERAVGESARIKA >ONI06850 pep chromosome:Prunus_persica_NCBIv2:G5:9726784:9729120:1 gene:PRUPE_5G085200 transcript:ONI06850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKGASLMQVIYLVGLVFMFCLSLTVADYEEMALESVVAEKNDGNNDMQTYIVWVQKPASQKFSAESHEDLESWYQSFLPETDANSNQLTKQRMVYAYHNVATGFAARLTPEEVKTMETIEGFVSARPEKILPLQTTHSPDFLGLHQGFGLWEATNYGEGVIIGLLDTGITPDHPSFSDEGMPPPPAKWKGKCEFNGTLCNNKLIGARNFKGVGKDQPPPGPPFDIDGHGTHTSSTAAGRFVEGASVFGQANGTAAGMAPKAHLAVYKVCSGFGCAEADILAALDVAVDDGVDVLSLSLGGPSLPFYKDVIAIGAFAAIQKGIFFSCAGGNFGPFNRSLSNEAPWILTVGASTTDRILKSEAQIGDDKNNHFDGKSLSQPQDFDSTVSLPLPLVYAGSVGNQPSAFCKKGTLENVEGKIVLCETGGGVTNIAKGEEVKRAGGAAMILMNQETDGFSTLAESHALPTTHVSYAAGLQIKSYISSTSSPTATILFNGTVIGDALAPRVAFFSSRGPNKASPGILKPDIIGPGVDILAAWPVSVDNATQSKATFNIISGTSMACPHLSGIAALVKSSHPDWSPAAIKSAIMTTADVLNLAGLPIVDQDQRPANVFAIGAGHVNPSKANDPGLIYDTQPEDYIPYLCGLNYTDKEIQIITQQKVNCSQVGVISEAQLNYPSFSIKIGSNESQSQYYTRTVRNVGPASTTYNLDLLVPHKMGMSVNPGVLTFTEVNQEITFHVEFIAQDGAGKDGVAFGQGYLRWVSDKHNVTSPIAVVFD >ONI07901 pep chromosome:Prunus_persica_NCBIv2:G5:13437064:13438596:1 gene:PRUPE_5G146200 transcript:ONI07901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSMNNPELLQFINQEKERAMVNEMVGKLTNVCWDKCITGTPGSKFSSSESACLANCARRYLDMSMIIMKRFQNMQ >ONI07248 pep chromosome:Prunus_persica_NCBIv2:G5:11415986:11416794:1 gene:PRUPE_5G108600 transcript:ONI07248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERTQQAYPSAPANHGYQRSDAESLENADELKRKKKIKMAIYIGIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVQSFESVPATPSFDTKFTTQIKIKNSANWGSYKFNAANVTFQYQGETVAVINIAKGKAGWLSTIKRNAEVSLNSSGITGSNLGSELSSGVLTLNSVGRLNGKVAIMFIMKKKKATNMNCTIAFDVAAKTLKSLQCK >ONI06993 pep chromosome:Prunus_persica_NCBIv2:G5:10365773:10366503:1 gene:PRUPE_5G093700 transcript:ONI06993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILRNLLVFCILCATLFFASTNAAHFDIRNNCPFTVWAAATPGGGRQLNRGENWGIDVAAGTKGGRIWARTGCTFDGAGRGRCQTGDCGGILQCQAYGQPPNTLAEYALNQFSNLDFIDISLVDGFNVPMEFGSTSSGCTRDIRCTADINGQCPGQLRAPGGCNNPCTVFKTNQYCCNSGRCEPTDLSRFFKMRCPDAYTLLQKSKKTTVNHRRVFGFSMVVESTVIFSLINHDAK >ONI08825 pep chromosome:Prunus_persica_NCBIv2:G5:16319641:16321684:-1 gene:PRUPE_5G203100 transcript:ONI08825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METARTVKDVSPHVFVKAYAAHLKRSGKIELPDWTDIVKTGTFKELAPYDPDWYYIRSASMARKIYLRGGLGVGAFRRIYGGSRRNGSRPPHFCKSSGAVARHILQQLQKMNIIDLDSKGGRKITSNGQRDLDQVAGRIAVAI >ONI07107 pep chromosome:Prunus_persica_NCBIv2:G5:10836571:10846190:-1 gene:PRUPE_5G100400 transcript:ONI07107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQSESHKDENGGSKSHKDENGGSKSHKDDKGGFEIINGTRGENAELVESFGSTIEGNQILSLVEWLNFIVPYLRLPLDASEEELRACLIDGTVLCRILNKLCPGSVELGGSSDPGFANITRFLAAVDELGFPRFELLDLEQGSMVPVLHCLSALKASFDFGFWGENTKNQTKTENYLLEVESLKGIDRSRGDVSTCGQQSTQNREDTGGNIIDSTSQVTDPSAALVHHIGQQLKQGTLVDLSSAKILESIKSTSLDNASTRSLFNVGNRILDDSIDRNNGDVPNRVAYILRKVMQVIEQRFANQAVNLRIQNNIYKAREEKFLLKIKVLETLASGTTEENEVVLKLLQSMKIEKFDIEEKKKLEEQDAVRLKEEKDRRDKEISTLKQELEMAKSRHESHSLKLEANAKEAKLQLERRLKELECVLTDSNKNQKELEASLESESWRWKEKEHTYQSFLTNQFEALKELNAALESTRHEILMTKTSYSAEFNYLGVKLKGLTDAAEKYHVVLDENRKLYNEVQDLKGNIRVYCRIRPFLPGQSQKQTTVEYVGENGDIVVANPSKQGKDSRRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPSVSSTDDWGVNYRALNDLFQISQSRESSIAYEVGVQMVEIYNEQVRDLLSSESPQKRLGIWNTTLPNGLAVPDASMHPVNSTADVLKLMNIGLMNRAVGATALNERSSRSHSVLTVHVRGVDLKTDTALRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPEVQSFSETISTLKFAERVSGVELGAARSNREGRYVRELMEQVASFRDTIAKKDEEIERLLKANSNGVHRGMNSLRYGSSSPRRHSIGTPRQSQRMPGGKGSGLEHSDKHSESSSQQSTDDSRHHREDSPQSKHGGETKHSEASSQQSIDNFRDNKEHSPRSKHGGEAKHSEASSEQSIDDFRHHKDRSAQPKHYEASSEQSIDDFRHHNEHSAQPKHGGEASQNFTEDFELLGFGEEDSGERLSDISDGDLSMGTETEGSMASLVEFTLFPEVTKPTESTKAGNTKVQKTQAENTRGEKTPSQRTVRFAPSKLPKPSPRLVETKATRTSLIKSSSKVLSSPWKSTDGSSSSVKPGKRWH >ONI07106 pep chromosome:Prunus_persica_NCBIv2:G5:10836608:10846078:-1 gene:PRUPE_5G100400 transcript:ONI07106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQSESHKDENGGSKSHKDENGGSKSHKDDKGGFEIINGTRGENAELVESFGSTIEGNQILSLVEWLNFIVPYLRLPLDASEEELRACLIDGTVLCRILNKLCPGSVELGGSSDPGFANITRFLAAVDELGFPRFELLDLEQGSMVPVLHCLSALKASFDFGFWGENTKNQTKTENYLLEVESLKGIDRSRGDVSTCGQQSTQNREDTGGNIIDSTSQVTDPSAALVHHIGQQLKQGTLVDLSSAKILESIKSTSLDNASTRSLFNVGNRILDDSIDRNNGDVPNRVAYILRKVMQVIEQRFANQAVNLRIQNNIYKAREEKFLLKIKVLETLASGTTEENEVVLKLLQSMKIEKFDIEEKKKLEEQDAVRLKEEKDRRDKEISTLKQELEMAKSRHESHSLKLEANAKEAKLQLERRLKELECVLTDSNKNQKELEASLESESWRWKEKEHTYQSFLTNQFEALKELNAALESTRHEILMTKTSYSAEFNYLGVKLKGLTDAAEKYHVVLDENRKLYNEVQDLKGNIRVYCRIRPFLPGQSQKQTTVEYVGENGDIVVANPSKQGKDSRRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPSVSSTDDWGVNYRALNDLFQISQSRESSIAYEVGVQMVEIYNEQVRDLLSSESPQKRLGIWNTTLPNGLAVPDASMHPVNSTADVLKLMNIGLMNRAVGATALNERSSRSHSVLTVHVRGVDLKTDTALRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPEVQSFSETISTLKFAERVSGVELGAARSNREGRYVRELMEQVASFRDTIAKKDEEIERLLKANSNGVHRGMNSLRYGSSSPRRHSIGTPRQSQRMPGGKGSGLEHSDKHSESSSQQSTDDSRHHREDSPQSKHGGETKHSEASSQQSIDNFRDNKEHSPRSKHGGEAKHSEASSEQSIDDFRHHKDRSAQPKHYEASSEQSIDDFRHHNEHSAQPKHGGEASQNFTEDFELLGFGEEDSGERLSDISDGDLSMGTETEGSMASLVEFTLFPEVTKPTESTKAGNTKVQKTQAENTRGEKTPSQRTVRFAPSKLPKPSPRLVETKATRTSLIKSSSKVLSSPWKSTDGSSSSVKPGKRWH >ONI07947 pep chromosome:Prunus_persica_NCBIv2:G5:13588728:13591533:1 gene:PRUPE_5G149000 transcript:ONI07947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNLKAPSWDLTELEQETFSNLGTVDGSSSFGDHRTIKGNFSVDLKLGQLGDSGNNELVDMLKEAGGPKTTSSPSGPSKRSRAAYSGSQTVSCLVDGCNSDLSVCRDYHRRHKVCELHSKTPQVTINGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLSRSGSFLSSYQGTQLLPFSSSLVYPSTTVVNPTWAGVVKAEADTGLHNQHLQLPLIDKQNMFLGSSTSTSSTYKGGKQFSLFQSSPLHNQTSHHGASVCQPLLNTISFSETGGGAKSKMFCDRLTTQIHDSDCALSLLSSPQTQQTSEIGLRHMVQQPNSISLMQQRLGPGGLHGNNSIEPMDSVLVSNGSEANVHCPGMFHLGSDGSLGNNQQHQHQHQAPQTLPFHWQ >ONI07948 pep chromosome:Prunus_persica_NCBIv2:G5:13587845:13591533:1 gene:PRUPE_5G149000 transcript:ONI07948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNLKAPSWDLTELEQETFSNLGTVDGSSSFGDHRTIKGNFSVDLKLGQLGDSGNNELVDMLKEAGGPKTTSSPSGPSKRSRAAYSGSQTVSCLVDGCNSDLSVCRDYHRRHKVCELHSKTPQVTINGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLSRSGSFLSSYQGTQLLPFSSSLVYPSTTVVNPTWAGVVKAEADTGLHNQHLQLPLIDKQNMFLGSSTSTSSTYKGGKQFSLFQSSPLHNQTSHHGASVCQPLLNTISFSETGGGAKSKMFCDRLTTQIHDSDCALSLLSSPQTQQTSEIGLRHMVQQPNSISLMQQRLGPGGLHGNNSIEPMDSVLVSNGSEANVHCPGMFHLGSDGSLGNNQQHQHQHQAPQTLPFHWQ >ONI07949 pep chromosome:Prunus_persica_NCBIv2:G5:13587873:13591533:1 gene:PRUPE_5G149000 transcript:ONI07949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNLKAPSWDLTELEQETFSNLGTVDGSSSFGDHRTIKGNFSVDLKLGQLGDSGNNELVDMLKEAGGPKTTSSPSGPSKRSRAAYSGSQTVSCLVDGCNSDLSVCRDYHRRHKVCELHSKTPQVTINGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPEPLSRSGSFLSSYQGTQLLPFSSSLVYPSTTVVNPTWAGVVKAEADTGLHNQHLQLPLIDKQNMFLGSSTSTSSTYKGGKQFSLFQSSPLHNQTSHHGASVCQPLLNTISFSETGGGAKSKMFCDRLTTQIHDSDCALSLLSSPQTQQTSEIGLRHMVQQPNSISLMQQRLGPGGLHGNNSIEPMDSVLVSNGSEANVHCPGMFHLGSDGSLGNNQQHQHQHQAPQTLPFHWQ >ONI05426 pep chromosome:Prunus_persica_NCBIv2:G5:742196:744841:-1 gene:PRUPE_5G006800 transcript:ONI05426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSLRYGGDAKALKIHAKEKLPLDSKTHLQIHGELDTRLGVPTFGRAVVRRFYPDFSASLGVGLQYDRREKLRYTVRAKKSFPVTTDGLLSFNVKGRCDLDKEFKERSSTGAAEFSWSILNFQRDQDVRLKLGYDLLDKVPYMQIRENNWTLNADGNGKWNVSILHVSQILISICDPKAINLLS >ONI05427 pep chromosome:Prunus_persica_NCBIv2:G5:743388:744736:-1 gene:PRUPE_5G006800 transcript:ONI05427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSLRYGGDAKALKIHAKEKLPLDSKTHLQIHGELDTRLGVPTFGRAVVRRFYPDFSASLGVGLQYDRREKLRYTVRAKKSFPVTTDGLLSFNVKGRCDLDKEFKERSSTGAAEFSWSILNFQRDQDVRLKLGYDLLDKVPYMQIRENNWTLNADGNGKWNVRYDL >ONI06651 pep chromosome:Prunus_persica_NCBIv2:G5:8740555:8741387:1 gene:PRUPE_5G072800 transcript:ONI06651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALASTALLLSLNLLFFTLVTSTNVACPPPQKKGHKHQPKHASPALPNPNPSKPATCPKDTLKLGACADLLNGLVHLVVGPPKFPCCSLIEGLVDLDAAVCLCTAIKANVLGIHLNIPVSLSLLLNYCGKQVPSGYECA >ONI08937 pep chromosome:Prunus_persica_NCBIv2:G5:16633169:16635401:1 gene:PRUPE_5G208600 transcript:ONI08937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSSSGGRLILCIYMYVQPASFATKTNIVNKMSRKYARLCGGRPTLCLPIHSLSLRSKHTSRRCSGSIKTDHNSTEFLNNISSSKDDFSADSLKRGSSEVGNKIMVVVDPSLEAKGALEWALSHTVQTEDTIVLVHVAKPSKQGAESDGKINLRAFELLHSMKNVCQRRRPEVEVEVALLEGKEKGPIIVEEAKRQRVSLLVLGQKRKRSMWWQLIKRWTRTRSSGEVTVEYCIQNAACMTIAVRRKSKKLGGYLITTKLHKNFWLLA >ONI06635 pep chromosome:Prunus_persica_NCBIv2:G5:8661878:8664142:1 gene:PRUPE_5G071600 transcript:ONI06635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCDMNGLLHEEKFSTPMPWIGMYVAAASFACLIAMAADIILGFRHHKLWFPCKFFSINATSLTLIGVAMKLSVDLNTPMPNRHDQLAKLSSSVLICTAMGNSMPSLGAMENEEMFMNVIALGILVITLIVNICIQLATGAIFVFWKEHASIMFIMLVLLLMLIFSALSVPTTKSYLEKGYKKRYQLSHEECKNASFRREVYRLKETLTKLWVMAHTSSPQFVMGRSVTCTASGAFCLFGAMILAEAMLRTYLMPWSIKFCTGESDYKLTTALILFTQTIAVGVGTIAPAFRWFMAINFKCPIRGNMSCKKEFEIERYWIQGLMDLQKCPLNFRIQNRHCRKLAHQARNKLLDLCIAMQKGIVLLSKVIRFISIFFVSRLFLLHDIFKQWKIKKFEFDTGPELQQNQRQDLSDYVLYLQGEDALVHFMMNTNCDATNHWIQKGKKEEPKYLIKLLENSTASQGFKGVAEFDSDQVPSLDCEEPPNCWALPVVTLTSIAVALPNISSGSMKNLIHGVNEGLTYMSLIEKQLDSKGDLANIRKAADIVWLKVDLYHTWLDVDLGKVSLQGKSPKETLEGLSETAKSIFEESKKKQISKKNCLRDSPSKWSIKELAAHSMYRVCQTLLLNCEDSSRNETDERLFEALVVMISDIMGACITNLPQSVAIRCLNSKIEEREESVRDAVYILGKTEKIFSIVDKGIPSSLDQHQMENIDEWRLLHKPKIPLVACTSFLISESDKASSAGTSDHFYLTMD >ONI08260 pep chromosome:Prunus_persica_NCBIv2:G5:14483350:14484182:1 gene:PRUPE_5G167900 transcript:ONI08260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQHPRPQEHQGYQTQHQYDQQQQHQGFQYDDQQQPKGFLPQNGPSATHIVAMLTLVPIGGTLLFLSGLTLAGTILGLAVSTPLFVIFSPILVPAALVIGLSVVGILTSGAFGITALSSFSWLARFLSRSRLPQKMGQKVQETTGYLGLKVQETAGYLGQKMQETGGQVGHLLQETGGQVGQKTRETGQNVDKAQDAGRDQEGGRTKEGGRGREGVTVTVEP >ONI05666 pep chromosome:Prunus_persica_NCBIv2:G5:1951681:1955331:1 gene:PRUPE_5G018100 transcript:ONI05666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPSALLFQVNRLKAELITPAKPTPHETKLLSNIDDQESLRFQVSVIMSYKNNPSMKGNDAVEVIREALSRALVYYYPLAGRLREGPNRKLMVECNGEGVLFIEANADVTLAQLGDTIAPPSPFLEEFLYNVPGSDGILGCPLLLIQVTRLSCGGFILALRLNHTMCDAAGLLQFLNAVGEMAQGAQAPSTTPVWERELLGARDPPRITCAHHEYEEGIDAQGSFPSTNKPNMVQRSFYFGSKEIKAIRNHIPPQLSTCTTFDLITACLWKCRTLALRMNPKQVVRLSCLVSARGKRHNVRLPLGYYGNAVAFPAAVSEAKDVCTNPLGYALELVMKAKATVNEEYMRSVADLMEIRGRLPKYPLTGNFIVSDTSRAGFGEVSFGWGKPVFGGPAKALDLISFYVQHKCNNEEGVLVPICLPLSDMERFQQELERMTVETVQTMEDIYDTKSAKIVSRM >ONI06334 pep chromosome:Prunus_persica_NCBIv2:G5:5809138:5809581:-1 gene:PRUPE_5G054200 transcript:ONI06334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSRSILVLVAMVVLTLDQTSLQVSALLGGTVYVRLTNRLEPSNATVTVHCKSGDDDLGDHLVALGETYEFSFKNNIWDSTLFFCNFDWVSASLGKSVSGTFDIYKARRDQERCLHDCNWLILETGLYSYTLRTKIWENLYSWPQ >ONI06270 pep chromosome:Prunus_persica_NCBIv2:G5:5296435:5305273:-1 gene:PRUPE_5G050100 transcript:ONI06270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVEQDPEFITLSRQFKATATELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLCMLDSVDLKVRLSKATELVDRHLQSIHVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVVALERKMQSSGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWQKASEEYELDLRVAKERLDSDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGVKRVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRVQPIPPPLLDRMEVIELPGYTPEEKLKIAMHHLIPRVLDQHGLTSEFLKIPEAMVKLVIQGYTREAGVRNLERNLAALARAAAVRVAEQEPAVSPIKDVHSLASPLLENRLADGAEVEMEVIPMGVNNHEISSTFKIASPLTVDEDMLEKVLGPPRFDDKEAAERVATPGVSVGLVWTSVGGEVQFVEATAMGGKGELHLTGQLGDVIKESAQIALTWVRARARDLLLATADETNLLEGRDVHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKRVRADTAMTGEMTLRGLVLPVGGIKDKVLAAHRCGIKRVILPERNLKDLIEVPSAVLSGLEIIVAKRMEDVLEQAFDGGCPWRQHSKL >ONI06269 pep chromosome:Prunus_persica_NCBIv2:G5:5295915:5307605:-1 gene:PRUPE_5G050100 transcript:ONI06269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVELPGRLGILPFKNKVLLPGAIIRIRCTSPSSVKLVEQELWQREEKGLIGILPVRDAAEAASVGPVLSQGVGSESGERGSRVQVGTSDSHRLDGKNQQEVIHWHTRGVAARALHLSRGVEKPSGRVTYVVVLEGLCRFSVQELSTRGTYYTARISPLEMTKAEMEQVEQDPEFITLSRQFKATATELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLCMLDSVDLKVRLSKATELVDRHLQSIHVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVVALERKMQSSGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWQKASEEYELDLRVAKERLDSDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGVKRVAVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRVQPIPPPLLDRMEVIELPGYTPEEKLKIAMHHLIPRVLDQHGLTSEFLKIPEAMVKLVIQGYTREAGVRNLERNLAALARAAAVRVAEQEPAVSPIKDVHSLASPLLENRLADGAEVEMEVIPMGVNNHEISSTFKIASPLTVDEDMLEKVLGPPRFDDKEAAERVATPGVSVGLVWTSVGGEVQFVEATAMGGKGELHLTGQLGDVIKESAQIALTWVRARARDLLLATADETNLLEGRDVHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKRVRADTAMTGEMTLRGLVLPVGGIKDKVLAAHRCGIKRVILPERNLKDLIEVPSAVLSGLEIIVAKRMEDVLEQAFDGGCPWRQHSKL >ONI09485 pep chromosome:Prunus_persica_NCBIv2:G5:18132225:18133519:-1 gene:PRUPE_5G240700 transcript:ONI09485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGVHFQLSIVATLALATFLVSASDPSPLQDFCVAINNTESAVFVNGKFCKDPKFVTANDFFFSGLRIPGNTSNPVGSFVTPANVEQIAGLNTLGISLARIDFAPNGLNPPHTHPRGTEFLIVLEGTLYVGFVTSNGDNNRLFTKVLNKGDVFVFPVGLIHFQLNVGHVNALAVAGLSSQNPGVITIANAVFGSNPPINPDVLAKAFQIDDKEVEYLQKQFWYNNN >ONI06125 pep chromosome:Prunus_persica_NCBIv2:G5:4660735:4661271:-1 gene:PRUPE_5G042200 transcript:ONI06125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLFLAEAKGQNMVFSPLSIHVVLSMIAASTKGPTQDELLSSLKSMSTGELNSLASNLISFVFADGSPSGSPCLSFANGVWVDKSLPLKPSFKEVVDTFYKAAQKHVDFQNKAEEARAEVNSWAEKETKGVIRKVLPPQAVDSSTRVIFANALLWEHIFSSPIRARHVEKKIISLIN >ONI06388 pep chromosome:Prunus_persica_NCBIv2:G5:6256677:6262069:1 gene:PRUPE_5G057800 transcript:ONI06388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATTASSFALHTRMLASPTTRRALSSSRTQTTSSPTLPRASLSTNFLSPFAGTSISSEFSGHKLRPSSLNPASFRGSKPKRGVVTMVIPFQRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDETKPIYLYVNSTGTTKGGEKLGYETEAFAIYDVMRYVKPPIFTLCVGNAWGEAALLLAAGSKGNRSALPSSTIMMKQPIARFQGQATDIELARREVRNVKDELVKLFSKHLGKSTEQIEADIRRPKYFSPSEAVEYGIIDKVLYNERTTEDRGVVSDLKKAQLIP >ONI05924 pep chromosome:Prunus_persica_NCBIv2:G5:3425034:3428375:1 gene:PRUPE_5G029100 transcript:ONI05924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAKSARDCYVADPLDGDLDQKYFVEMMILDGCFLLELFRKALLYEPQHENDPIFNVSCMRTYLCHDLLLLENQLPWSVLECLYNLTANSLSQRGASLTSLVLNFFKQSVVDNLMLNPSFELPCQILHILDLIRAVIVSGFKETASVEEQHKKKGLNLTQRIPNATSLSEAGVRFEKSQNKEEGKGECIMNMEFKNGVFTIPPLGINERTEPLFRNLIAFEQCHHSRLHKITSYAVLMDNLIDSREDVDFLCDKGILANWLNPDDAAQFFNKLYDDTIVMRYYYSGLSDNVNNYYKTKWHKFMETLRHDYFSTPWTIISFIAAFILLILTLVQTLYTIQWP >ONI05923 pep chromosome:Prunus_persica_NCBIv2:G5:3425034:3428375:1 gene:PRUPE_5G029100 transcript:ONI05923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDQGKCSKFDGESRSIIIYDASTVEELKKNIEAKLLTHSPLLSSCCIFRVPEVIRRQKEQAYEPNIVSIGPFHHGNKKFQLFEGVKRWYLQCLLSLCKDVSLESLIKVIMELAKSARDCYVADPLDGDLDQKYFVEMMILDGCFLLELFRKALLYEPQHENDPIFNVSCMRTYLCHDLLLLENQLPWSVLECLYNLTANSLSQRGASLTSLVLNFFKQSVVDNLMLNPSFELPCQILHILDLIRAVIVSGFKETASVEEQHKKKGLNLTQRIPNATSLSEAGVRFEKSQNKEEGKGECIMNMEFKNGVFTIPPLGINERTEPLFRNLIAFEQCHHSRLHKITSYAVLMDNLIDSREDVDFLCDKGILANWLNPDDAAQFFNKLYDDTIVMRYYYSGLSDNVNNYYKTKWHKFMETLRHDYFSTPWTIISFIAAFILLILTLVQTLYTIQWP >ONI09320 pep chromosome:Prunus_persica_NCBIv2:G5:17663318:17671336:1 gene:PRUPE_5G231800 transcript:ONI09320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLLKKLHIMSNQSEDAQGSASSRGNKSTDKSSPPETERLLHSRSHHNSEHKTFSGLSGWLNSVSNRHSPSPPSSSNVARAAERMEPPDAASRSGLDVVSDTARRDSGSSTSRDADIAEEYQIQLALELSAREDPEAVQIEAVKQISLGSCAPDNTPAEVIAYRYWNYNALSYDDKILDGFYDLYGILTESTSERMPSLVDLQGTPVSDSVTWEAVLVNRAADANLLKLEQIALEMAVKSSSDPLVFVNKNLVRKLALLVADYMGGPVADPDNMLRAWQSLSYNLKATIGSMVLPLGSLTIGLARHRALLFKALADSVSIPCRLVKGQQYTGSNDVAMNFVKIDDGREYIVDLMADPGTLIPSDAAGSHIEYDESYFSASPLSRDIDSSHVASSSSGVGSSFEEHSDFGTLDKKSRLRNFASSARDSEEREEPNSRANPPRPTERGEESKIPSDEFRYPSNSEKALVQELPGRPNYPFAHARSPSWTEGVSFPAARRMKVKDVSQYMIVAAKENPHLAQKLHDVLLESGVVAPPNLFREIYPEQLDVSTVETKPRPEDMGENKERFETQKIKGQDDKSPAHFLPPLPQHRVHFKASPSCQLEHLKPVEGLGVNLPLDTREVTGQSEVSPSKYTKNVPVAAAAAAAAAVVASSMVVAAAKSSTDSNLELPVAAAATATAAAVVATTAAVSKQYDQGIRSDGDAEGSGYEPRGSGDRHDAFGVNLEGERTSDRSAGNDSTKSDITIDDVADCEIPWEDITLGERIGLGSYGEVYHGDWHGTEVAVKRFLDQDFLGESLDEFRSEVRIMKRLRHPNVVLFMGAITRAPNLSIVTEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSYGVILWELSTMQQPWGGMNPMQVVGAVGFQHRRLDIPDDIDPAIADLIRKCWQTDPKLRPSFAEIMATLKPLQKPVSSSQVHRPSSGREKVQPS >ONI09321 pep chromosome:Prunus_persica_NCBIv2:G5:17664012:17670914:1 gene:PRUPE_5G231800 transcript:ONI09321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLLKKLHIMSNQSEDAQGSASSRGNKSTDKSSPPETERLLHSRSHHNSEHKTFSGLSGWLNSVSNRHSPSPPSSSNVARAAERMEPPDAASRSGLDVVSDTARRDSGSSTSRDADIAEEYQIQLALELSAREDPEAVQIEAVKQISLGSCAPDNTPAEVIAYRYWNYNALSYDDKILDGFYDLYGILTESTSERMPSLVDLQGTPVSDSVTWEAVLVNRAADANLLKLEQIALEMAVKSSSDPLVFVNKNLVRKLALLVADYMGGPVADPDNMLRAWQSLSYNLKATIGSMVLPLGSLTIGLARHRALLFKALADSVSIPCRLVKGQQYTGSNDVAMNFVKIDDGREYIVDLMADPGTLIPSDAAGSHIEYDESYFSASPLSRDIDSSHVASSSSGVGSSFEEHSDFGTLDKKSRLRNFASSARDSEEREEPNSRANPPRPTERGEESKIPSDEFRYPSNSEKALVQELPGRPNYPFAHARSPSWTEGVSFPAARRMKVKDVSQYMIVAAKENPHLAQKLHDVLLESGVVAPPNLFREIYPEQLDVSTVETKPRPEDMGENKERFETQKIKGQDDKSPAHFLPPLPQHRVHFKASPSCQLEHLKPVEGLGVNLPLDTREVTGQSEVSPSKYTKNVPVAAAAAAAAAVVASSMVVAAAKSSTDSNLELPVAAAATATAAAVVATTAAVSKQYDQGIRSDGDAEGSGYEPRGSGDRHDAFGVNLEGERTSDRSAGNDSTKSDITIDDVADCEIPWEDITLGERIGLGSYGEVYHGDWHGTEVAVKRFLDQDFLGESLDEFRSEVRIMKRLRHPNVVLFMGAITRAPNLSIVTEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKYSYIVPPT >ONI07212 pep chromosome:Prunus_persica_NCBIv2:G5:11251386:11254650:-1 gene:PRUPE_5G106400 transcript:ONI07212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLFGDWPSYDPHNFSQLRPADPSTPSKMTPATYHPTHSRTLPPPDQVITTETKNILLRHMYQNDEEKLRQKRAASEHLLPEHGSKQLRASVSDNAKQA >ONI07308 pep chromosome:Prunus_persica_NCBIv2:G5:11628277:11629640:1 gene:PRUPE_5G112400 transcript:ONI07308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSPVRPFCYALDYRWYALDLAVLAVGVIERTMTGERKSFHQVAFDHLKDLQNHLEAINDIPRKIMMATLLPMDDLSLNLAHCASPWSYSESHYTCASEKTDITREEGNKSVVSFTGKLLDILHHCLPSTVSL >ONI06049 pep chromosome:Prunus_persica_NCBIv2:G5:4162147:4162647:1 gene:PRUPE_5G036700 transcript:ONI06049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELSCSFLRLHASRLLASLVGLLAFLLGLHASYLYCSPKSLSFHSTQCFPFVVLQSVPTFLHVSYLIHCKN >ONI06746 pep chromosome:Prunus_persica_NCBIv2:G5:9281526:9283934:-1 gene:PRUPE_5G078700 transcript:ONI06746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRIGAGQGEDTLAVWDRPIENKDIVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILRTPPNVETDLPVCVAAASA >ONI07981 pep chromosome:Prunus_persica_NCBIv2:G5:13699581:13701867:1 gene:PRUPE_5G151300 transcript:ONI07981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSAEQTLAIVAAATDGEEAEQRVSDNDNTSEQSQEWETMARAWLCSFPEAKAVSMDEVEAWIDSNFDFIPEGIKSMPRPDLCQRLISIQNCMRLPNQEEKEENQGDIPHARFQRTDQWRPVYIWLESLDKDEVVKSKDISDWLTENPDIQEQLCSRHSRYHLMHYIKKCHMKILKRREKKKVLNTSCFSSISLEIFKRLSEDADASSIWTTSGVQQPDTPAPLKVHKDVLMKQPAQLPSPLSVCNSLINVPKDSDVYLTKRKEALQKYEILAELEKLLAPTFLKREDGNK >ONI07982 pep chromosome:Prunus_persica_NCBIv2:G5:13699581:13701867:1 gene:PRUPE_5G151300 transcript:ONI07982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSAEQTLAIVAAATDGEEAEQRVSDNDNTSEQSQEWETMARAWLCSFPEAKAVSMDEVEAWIDSNFDFIPEGIKSMPRPDLCQRLISIQNCMRLPNQEEKEENQGDIPHARFQRTDQWRPVYIWLESLDKDEVVKSKDISDWLTENPDIQEQLCSRHSRYHLMHYIKKCHMKILKRREKKKGVQQPDTPAPLKVHKDVLMKQPAQLPSPLSVCNSLINVPKDSDVYLTKRKEALQKYEILAELEKLLAPTFLKREDGNK >ONI06875 pep chromosome:Prunus_persica_NCBIv2:G5:9786431:9787325:-1 gene:PRUPE_5G086500 transcript:ONI06875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSLLRPRHRLRQDYTTATYKPNLPLMQRDSSSSSSSDSNNEKSEWPKSMQPRFSGFLGGRWVYGSKI >ONI07842 pep chromosome:Prunus_persica_NCBIv2:G5:13199644:13201722:-1 gene:PRUPE_5G142300 transcript:ONI07842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREEEDSVSELLRDRFRVSTISIAEAEAKRNDMEISGPVMTCIADLAFKFTEQLAKDLELFAQHAGRKTANMEDVILSAHRNEHLAALLRSFSNDLKAREPQSERKRKKSSKKEDQATTSVVHIPDS >ONI09368 pep chromosome:Prunus_persica_NCBIv2:G5:17803533:17807193:1 gene:PRUPE_5G234700 transcript:ONI09368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPHGNPTSCSLVCERGPISFVGISALPSIQLRARAFDGLVRGRGITHSLYRKETSLFCEKGSNSINRITTIPRSSSSDSNGTEDDSSDQAKKKPFGYSRKDVLLIGLGVTGIGIGLKSGLEFAGVDPLQAGNVVQLVLVLGLTIGWISTYIFRVSNKEMTYAQQIRDYENKVMEKRLEGLTEAELEALLEQVEEEKRRLGEQVK >ONI09367 pep chromosome:Prunus_persica_NCBIv2:G5:17803533:17807192:1 gene:PRUPE_5G234700 transcript:ONI09367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPHGNPTSCSLVCERGPISFVGISALPSIQLRARAFDGLVRGRGITHSLYRKETSLFCEKGSNSINRITTIPRSSSSDSNGTEDDSSDQAKKKPFGYSRKDVLLIGLGVTGIGIGLKSGLEFAGVDPLQAGNVVQLVLVLGLTIGWISTYIFRVSNKEMTYAQQIRDYENKVMEKRLEGLTEAELEALLEQVEEEKRRLGEQVK >ONI09369 pep chromosome:Prunus_persica_NCBIv2:G5:17804839:17807195:1 gene:PRUPE_5G234700 transcript:ONI09369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICILTIQLVLKKKKKKLENQLKWSSMVIVDCMPVLLRWSCMIYGSGIHSTDKSRRGITHSLYRKETSLFCEKGSNSINRITTIPRSSSSDSNGTEDDSSDQAKKKPFGYSRKDVLLIGLGVTGIGIGLKSGLEFAGVDPLQAGNVVQLVLVLGLTIGWISTYIFRVSNKEMTYAQQIRDYENKVMEKRLEGLTEAELEALLEQVEEEKRRLGEQVK >ONI08070 pep chromosome:Prunus_persica_NCBIv2:G5:13956561:13958992:1 gene:PRUPE_5G156800 transcript:ONI08070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKPNKNKGPAIIDLDLDSSDLDSPISEFPCRFHRGERLKRKGKREEMKELRPPKDAKNAVSRKKEKLDSQAFECKEKLGSEAFDRYFQNLWKNLSEDKRTSFAYLDCMWFSLYLQPSSRDKVLTWIKKKHIFSKKYVIVPIVCWGHWNLLIFCHFGESEQSETHKPCMLLLDSLENADPRRYEPDIRKFVLDIYEAEGRSETKDFIYRIPFLVPKVPQQRNDVECGNFVLYYINLFIEGAPENFSIEGGYPYFMKKNWFTPEGLECFCQQLYSSSE >ONI08069 pep chromosome:Prunus_persica_NCBIv2:G5:13956377:13959347:1 gene:PRUPE_5G156800 transcript:ONI08069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKPNKNKGPAIIDLDLDSSDLDSPISELFRYEVSKHRSCWRHVFAYLIVQKKKLALKDIELIKKRYPCLLEFPCRFHRGERLKRKGKREEMKELRPPKDAKNAVSRKKEKLDSQAFECKEKLGSEAFDRYFQNLWKNLSEDKRTSFAYLDCMWFSLYLQPSSRDKVLTWIKKKHIFSKKYVIVPIVCWGHWNLLIFCHFGESEQSETHKPCMLLLDSLENADPRRYEPDIRKFVLDIYEAEGRSETKDFIYRIPFLVPKVPQQRNDVECGNFVLYYINLFIEGAPENFSIEGGYPYFMKKNWFTPEGLECFCQQLYSSSE >ONI09363 pep chromosome:Prunus_persica_NCBIv2:G5:17778792:17791526:-1 gene:PRUPE_5G234300 transcript:ONI09363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHGVVGFVGLDDLSLDLASSLIRSGYKVQAFETYEPLINEFLKLGGIRCGSPKEAGKDVAALIVLISQEDQVSDVTFGLQKDTVVMFRSTILPSYTQNLETYFTDDSETAYLVDVYATKGVSDGLNGKIMIASSGSSDAILKARPVLSAMCEKLYVFEGDVGAGRKIRMVKELLEGIHLVASLEAISLGTKAGIHPWIIYDIISNAAGNSWIFKNHIPQLLRGAAKDDFNTLVQKLRIILDLAKSLTFPLPLLAVAHQQLLLGSSHYNTDDEDAALIKVWEKKLGVRISDAANAETYIPEQLASHIVAKSYTINRVGFIGLGAMGFGMATHLLNSNFSVLGYDVYKPTLTRFASAGGLIGSSPAEVCKDVDVLVIMVTNEAQAESALYGDFGAISALPSGASIILSSTVSPGFVSRLYQRLQNEGKNLKLVDAPVSGGVVRASMGTLTIMASGSDEALKSTGSVLSALSEKLYVIKGGCGAGSGVKMVNQLLAGVHIASGAEAMAFGARLGLNTRILFDFITNSEGSSWMFENRVPHMLDNDYTPHSALDIFVKDLGIVSHECSVRKVPLHISTIAHQLFLSGSAAGWGRQDDAGVVKVYETLTGVKVEGKLPVLKKDFILKSLPGEWPVDPIGEIQRLNLESSKTLVVLDDDPTGTQTVHDIEVLTEWTVESLKEQFRKKPKCFFILTNSRSLSSDKATALIKDICRNLHAATKSIENADYTVVLRGDSTLRGHFPEEADAAVSVLGEMDAWIICPFFLQGGRYTIGDIHYVADSDQLIPAADTGFAKDAAFGYKSSNLREWVEEKTAGRIPASSVTSVSIQLLRKGGPDAVCERLCSLQKGSTCIVNAASDRDMAVFAAGMIKAELRGKRFLCRTAASFVSARIGIIPKAPIFPKDLGINKERNGGLIVVGSYVPKTTKQVEELKLQCNQILRSIEVSVAKVAMSSTEEREEEISRAAEMADIFLTARKDTLIMTSRELITGKTPSESLEINFKVSSALVEIVRRISTKPRYILAKGGITSSDLATKALEAKCAKIVGQALAGVPLWQLGPESRHLGVPYIVFPGNVGDNSALAELVKSWARPVRLSSTKELLLNAEKGGYAVGAFNVYNLEGVEAVVAAAEEEQSPAILQIHPGALKQGGIPLVACCISAAEQASVPITVHFDHGTSKQDLVEALELGFDSVMVDGSHLSFTENVSYTKFVAFFAHSKGVLVEAELGRLSGTEDDLTVEDYEARLTDVKQAQEFIDETGIDALAVCIGNVHGKYPASGPNLRLDLLKDLYALSSKKGVLLVLHGASGLPKELIKECIEHGVRKFNVNTEVRKAYMDSLSNSKKDLVHVMASAKEAMKAVIAEKMHLFGSAGKA >ONI08072 pep chromosome:Prunus_persica_NCBIv2:G5:13960426:13966911:-1 gene:PRUPE_5G157000 transcript:ONI08072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGPKERSEVEAKVLDKVGEVISVVKKAKHVNQVICALHSLAILLFPLDASLLSGAIDERCRELVLGAKAPSADERSEWWQVFYGGAAFSTFARVLLIDIASDWLACFPFSARQHVYDVFFVNGLAAEVVQTLVPCLHQSGRDDLDVKAVHSNTERLLILCLLENNGVLQMAREFSSSSHSEDYINENLKPAVSRVAQIVASIPDKAQLRAPTSLSSHFFFKQVTIQLLSLAEERNMNLLEEGACLKSDMNGTLLFVGEIFSRVCRRGSVDVLLSEIIPRVLSHVRSLLSLNIDPLVSDVFESYPSSQFWLNMIQAMKDSYAVERISEQLLHQLATERLSDVEAYWILWLLFHRVSKYQISVRAMFADKFLLWKVFPVRCLRWILQFAVLECPPESNSLAKGHNTRNFLDTLQHLVAVWSNKEFVQSAPTEQQIYVSAAVGLSLEKMSKEELDETKDVMHSILKGVSCRLESPNNLIRKMASSVALAFSKVIDPKNPLYLDDSYTGDTIDWEFGLSTPEKGTPEQGIDKTEISTTSVLEKGFTHKGNDEIASNGKSKNKKITESKLVDPDEIIDPVTLNYESASDEDDNDDASENSDVSSDSSLQPYDLADDDTDLKRKFSQLVDVVGALRKSDDADGVENALAVAEKLVRASPDELKHVASDLVRTLVQVRCSDLAVEGEEDSAEDKRQRALVALLVTCPLESLGTLNKLLYSPNVDVSQRIMILDVMTEAAQELAHTKIIKPQQARALIATTSETQAWFLPSDIGPPGSGPWKEISESRSLLNWTNRYERELPSKPGQIKRGKTRQWSLRSANKQEAQLEWSHNKFPVNAAAFMLPAMQGFDKKRQGVDLLDRDFIVLGKLIYMLGVCMKCAAMHPEASALAAPLLDMLKSREVCLHKEAYVRKAVLFAASCVLLSLHPSYVATSLVEGNVEISNGLEWVRTWALQVAESDNDRECYTMAMACLQLHAEMALQASRALDSPEATSISKNVGLPSSLSKGTIIIPQSSVKYQ >ONI09273 pep chromosome:Prunus_persica_NCBIv2:G5:17517958:17518980:1 gene:PRUPE_5G228100 transcript:ONI09273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVKVIGASLSLFCCKIEWALKHKGIAYEYIEEDLRNKSPLLLQYNPVHKKIPVLVHGEKPIAESLIILEYIDETWTENPMLPEDPFEKAMARFWARYVDEKCVISAWTASRTKGHEQEKALESAQESLQLLNKLIEGNKFFGGETMGFLDFVVGSLPNWLKFLEEFQGIKLFDTKELPFLHEWAQRFTEIPIIKESIPKIEDLLNYSRAQHKAK >ONI07558 pep chromosome:Prunus_persica_NCBIv2:G5:12457331:12459277:1 gene:PRUPE_5G127700 transcript:ONI07558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKTKSVTMHEDSFASIREDDFEQNSPIIDSGGAEDESYLKAKICFASFADKHLIMEEDDSSTSSTVDDLEQNSLPSGNGVQRTVLGAVFGMLFGAIIQVKDKTKMFKHIIGYLNSTLDSLKPLIEEITEYNKVLHLPKEELENFTIQMEMEVELIHKCSKVHKWANYKKYEYINKLLRLDVSLQGLLSILRVQLARDVRESLVFVSNTEAVIKQIEESGTVQLQNDPTEIEDSCDVLEPSQPEVGLSVQGTKNVKETLDSAAKIEVGVKQIEGSGDVQGQTDMGIGEPTLLTLEAPDAENEMTEYVPSTVTVSLDVLLNELKRKLLKDEALALVLTGPRGCCKTTFAKINCQDKEVKDIFKKSIFFIRV >ONI06937 pep chromosome:Prunus_persica_NCBIv2:G5:10057200:10058124:-1 gene:PRUPE_5G090100 transcript:ONI06937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQLSDPVDQPESSSLSDASVTTQGPSWSDGDVILASSLPKKRAGRRVFKETRHPVYRGVRRRNNDKWVCEMREPNKKKSRIWLGTYPTAEMAARAHDVAALAFRGKLACINFADSAWRLPLPASMDTMDIRRAAAEAAERFRPAEFGGMCSGSSDEKERMVVQVEEEDKKGSVNLERSLSLSCWDEEEAFDMPRLLHDMAEGLLLSPPQPLGSDMNLDDMGTDADIKLWSFSI >ONI09521 pep chromosome:Prunus_persica_NCBIv2:G5:18228221:18232170:-1 gene:PRUPE_5G242400 transcript:ONI09521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSHPKEEVKKKKKKEGLGWIEWARGWLYLVYEMLFQRITASHLQNPMPLPPVNDLTCIVTGSTSGIGREIARQLAEAGAHVVMAVRNTKAANELIQKWQNEWTGMGLPPNIEVMELDLLSLDSVVRFAEAWNARLGPLHVLINNAGIFSIGEPQKLSKDGYEEHLQVNHLAPALLSVLLLPSLIRGSPSRIINLNSVMHYVGFVDTEDMNVVSGKRKYTSLVGYSSSKLAQIMFSSVLHKRLPAESGITVACVSPGIVQTNVARDLPKIVQAAYHLIPYFIFSAQEGSRSALFAATDPQVPEYCELLKADDWPVCAFISQDCRPTNPSEEAHNVETSHEVWEKTFEMIGLPSDAVERLIEGEEVACRYGGHKG >ONI07975 pep chromosome:Prunus_persica_NCBIv2:G5:13674323:13677311:1 gene:PRUPE_5G150900 transcript:ONI07975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRELALVSTATVFGALASALACRFLLSNPKKQSTKLDSSENGPVPRKYSSQSPFDPSKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSENGVILGIGYNGFPRGCSDDKLPWAKKSRTGDPLETKYPYVCHAEVNAILNTNHASPAGQRLYVTMFPCNECAKIIIQSGVSEVIYFVEKQLNNPDVAYIASHKLLSLAGVKVRKHQPRMNQILINFEEP >ONI07976 pep chromosome:Prunus_persica_NCBIv2:G5:13674323:13677311:1 gene:PRUPE_5G150900 transcript:ONI07976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRELALVSTATVFGALASALACRFLLSNPKKQSTKLDSSENGPVPRKYSSQSPFDPSKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSENGVILGIGYNGFPRGCSDDKLPWAKKSRTGDPLETKYPYVCHAEVNAILNTNHASPAGQRLYVTMFPCNECAKIIIQSGVSEVIYFVEKQLNNPDVAYIASHKLLSLAGVKVCLSMYTCCVKNWSGNINHA >ONI09060 pep chromosome:Prunus_persica_NCBIv2:G5:16933165:16936948:-1 gene:PRUPE_5G215200 transcript:ONI09060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSDSDFDDRIRFFRTKAPSALNPVFASQTRKKAAFTEESNLFVDSECNGCIQMTELDFYLDLILSRYSYGHYTMLNGATIMDGALLLNVANESCPQPQTSEHLAAVEIMCLQHIIILQNKVDLIQENVAINQHEGTIADNAPVVPISAQLKYNIDVVCEYIVKKIPFPERNFISPPNMIVIRSFDVNKPGFEVNEIRGCLENEPIYRGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEMMFPRKLSKGARVIAVRNDLAKLQLTSPVCTSRGIAFSRRVEKHCRLIGPYAWSKAIGTVVAGGDLVASVGDPSRPEQTKKTSLWKKFHRHMYMAANICRTSLDEHYLCRQKFF >ONI07041 pep chromosome:Prunus_persica_NCBIv2:G5:10577975:10582935:-1 gene:PRUPE_5G096900 transcript:ONI07041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESPEQAPAADGIQSLSISEPAQSSSSNPTIQMSLEEKYQMLRSLADECIQEDELRNLLAHKPEPIAYDGFEPSGRMHIAQGVMKTINVNKMTSAGCRVKIWIADWFAMLNNKMGGDLKKIETVGRYMIEIWRASGMNLENGKVEFLWSSKEINARSDEYWPRVMDIAQKYTVQRITRCCPAMGRNVEEDLTAAQILYPCMQCGDIFFLQADICQMGMDQRKVNVLAREYCDEIKKKDKPIILSHHMLPGLQQGQEKMSKSDLSSSVFMEDEAAEVNLKIKKAYCPPNVVEGNPCMEYVKYLILPWFNEFIVERSEKNGGNQSFKSFEELAADYESGELHPADLKSALSKALNKILEPVRAHFKNDETAKQLLQSVKKYRVTR >ONI07042 pep chromosome:Prunus_persica_NCBIv2:G5:10577975:10581251:-1 gene:PRUPE_5G096900 transcript:ONI07042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEEKYQMLRSLADECIQEDELRNLLAHKPEPIAYDGFEPSGRMHIAQGVMKTINVNKMTSAGCRVKIWIADWFAMLNNKMGGDLKKIETVGRYMIEIWRASGMNLENGKVEFLWSSKEINARSDEYWPRVMDIAQKYTVQRITRCCPAMGRNVEEDLTAAQILYPCMQCGDIFFLQADICQMGMDQRKVNVLAREYCDEIKKKDKPIILSHHMLPGLQQGQEKMSKSDLSSSVFMEDEAAEVNLKIKKAYCPPNVVEGNPCMEYVKYLILPWFNEFIVERSEKNGGNQSFKSFEELAADYESGELHPADLKSALSKALNKILEPVRAHFKNDETAKQLLQSVKKYRVTR >ONI09034 pep chromosome:Prunus_persica_NCBIv2:G5:16863975:16865267:-1 gene:PRUPE_5G213400 transcript:ONI09034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDIPHKDHDHDHTEAAAACSTTSPQATALQIASSVTASASATAYQNNNNIDQSRSSSTTSPLTEIRTLVYGPDTNCNCSEKQESRFIKRKKKIEKIKAEEQKTVADAAGQTTKVIGEGKPIASPTIQTISSADPIVIAGTAGALFLTYLLLPPIWSALSFSLRVYKGTCFYYETELLE >ONI05579 pep chromosome:Prunus_persica_NCBIv2:G5:1461612:1464294:-1 gene:PRUPE_5G013600 transcript:ONI05579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHQYELVLILFVVACLGLVSIISCIAAEIKKTKEEELRVIGRLCHLPESQAFGFGVAALICLFAAQMVGNLIVCTYFCSRERKKKSGSDFSISSKAKTPTIWMALACISWTSFVMAVTLLSAATSMSRDQPYGQGWLDGQCYLVKQGIYIGSGILVLIAIGSTLGLIIIITTMRQTQVDEGSKAKEQSKLNTKS >ONI07088 pep chromosome:Prunus_persica_NCBIv2:G5:10749850:10752947:1 gene:PRUPE_5G099400 transcript:ONI07088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKEQIACEDHLLDPFVENFVLEIKVHFGDGVSRGGRQTLEWRASIAKHFIEEWEWQLSILPRLLSLSERQRQWKEALTVLRPAPSKLLNLCMQRAKYDSGEGVHRFSLSAEDKAEAQPKGRSGITII >ONI08581 pep chromosome:Prunus_persica_NCBIv2:G5:15441814:15444202:1 gene:PRUPE_5G186900 transcript:ONI08581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEAEKLNVVILCVFVIAITIPTTVRANIADFDEHWQQRAAEAKKAAHEAYHDDPIAVTEHFNKQVLDTFDYANNTRRNLNQKYKGPCMATNPIDRCWRCDPNWEKNRKRLADCALGFGRKATGGKLGPIYVVTDNTDADLVNPKPGTLRHAVIQNGPLWIIFARDMRIKLTEELLVASDKTIDARGANVHILDGAQISLQFVKNVIITNLHIHNNKPGNGGMIRDSINHFGQRTRSDGDGISMFGATNVWIDHVSLSNCADGLIDAIQGSTAITISNCHLTNHNDVMLFGSSDSNSQDQVMQITLAFNHFGKGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSQHPTIISQGNRFIAPSNQATKEVTHRNNAQEGEWRSWNWRSENDLMMNGAFFVQSGSPIRNLPKADMIQAKPGSFVTRLTRFAGPLKCVKNKPC >ONI08814 pep chromosome:Prunus_persica_NCBIv2:G5:16284204:16285809:-1 gene:PRUPE_5G202000 transcript:ONI08814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIGGELGSEEMGSSYEVARNAKDVSLQELRDRLAEFAQVRGWDQYHSPRNLLLALVGEVGELSEIFQWKGEVARGLPNWTCDEKEHLEEELSDVLLYLIQLADVCGLDLGQAALSKIVKNARKYPVTNQTPNSTN >ONI06825 pep chromosome:Prunus_persica_NCBIv2:G5:9622904:9626221:-1 gene:PRUPE_5G083500 transcript:ONI06825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTRLLSSEPDPDTNRSKPTGGGGVTTAMRVIVPLQGVVQGRGGLVLGSVIPCALFYFLQLYLKRHRSNPNPPTPPPSPDSEPAGQLVELPVLPRSLSRTLLSPRCPGGPVYVSARANSVLKGGQSPYEVGLRKVSEDPYDELGNPDGVIQLGLDENKLSLDLVRDWLVENAEDAILGGWELGISGIACYQPFDGLIELKVAVAGFMSQVMKNSVSFNPSQIVLTAGATAAIEILSFCLADSGNAFLVPAPYYPGLDKDVKWRTGVEIITVPCRSADKFNLSVTALDRAFNQAKKRGLKVRGIIISNPSNPVGTLFSRESLYNLLDFAREKNIHIISNELFAGSTHGSEEFVSMAEIVELEDLDQNRVHIVYGLSKDLSLPGFRAGVIYSFNKNVLAAAKRLTRFSSISAPSQQLLISMLSDTKFVQKFIDANRERLRGMHLKFLTGLKQLGIECTKSNGGFNCWADMSGLIRSYNEKGELELWDRLLNVAKVNVTPGSSCHCIEPGWFRFCLSTLTEKDIPVVMDRIRKISETCKLQS >ONI06106 pep chromosome:Prunus_persica_NCBIv2:G5:4489088:4492139:-1 gene:PRUPE_5G040800 transcript:ONI06106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNEYIGTTPYISCLPSLRHHRLCPSDQFLILSSDGLYQYFSNQEVVSHVENFMEKFPDGDPAQHLIEELLFRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >ONI08396 pep chromosome:Prunus_persica_NCBIv2:G5:14913340:14917376:1 gene:PRUPE_5G175400 transcript:ONI08396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESSDGFVRADQIDLKSLDEQLERHLNRVLTLEKSKIMRDPETNSNSINLSTSNSTTSTSAMTLSIPKRHRQDWEIDPAKLIIKSVIARGTFGTVHRGMYDGQDVAVKLLDWGEEGHRTEAEISSLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSELQIQTENGQIGMPSNICCVVVEYLPGGALKSYLIKNRRKKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRVVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDTSKGGGMIPQDQSQGCFCFRKMRGP >ONI09410 pep chromosome:Prunus_persica_NCBIv2:G5:17903516:17905317:1 gene:PRUPE_5G236700 transcript:ONI09410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALSSSTTYKEKEKQENVEDHEILKNRISTHPLYGLLVEAHLDCLKVGGISGDLDLEGDVSRDMKQLDNKYKASENRQSDLDHFMEAYCLALGKLKEAMEEPQQTSMAFISNMHLQLKELTGSHQPEPATSLSGESYN >ONI06945 pep chromosome:Prunus_persica_NCBIv2:G5:10124114:10126399:1 gene:PRUPE_5G090900 transcript:ONI06945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGIAPSSGGKQYPGKLTARVLITCIVAATGGLIFGYDLGVSGGVTSMDSFLKQFFPAVYQKESSIKPSDDQYCKFDSQTLTLFTSSLYLAALVACVFASTITRVCGRRLTMILGGVLFLAGALVNAFANAVWMLYVGRLLLGFGIGCANQSVPIYVSETAPSKYRGALNMMFQLSITIGILAAGVLNYFFAEIKGGGGWRLSLGGAAVPAIIIIVGALFLPDTPNSLVERGKHEEAKAQLLKLRGVPNVDEEFNDLVAASEVSKLVKHPWVTLLSRKYRPQLVFAIGIPAFQQLTGMNVITFYAPVLFKTMGFGSSASLMSAVITNLVNALATFVSILTVDKVGRRKLFLQGGCQMLLMQVAVGIAMAVKFGVSGNPGKLTLGFAVPLVLLICVYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQAINVSVNMIFTFAIAQVFTAMLCHMKFGLFFFFSVCVVVMSIFIYKLLPETKGVPIEEMHTVWENHPFWRKYVVKDEAIAMGKGKGGQSA >ONI08304 pep chromosome:Prunus_persica_NCBIv2:G5:14602464:14606635:1 gene:PRUPE_5G170600 transcript:ONI08304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFGSSFSCLATSLVSHCQARRGSQLSLSQPPYGSQKHDAQQLRYAKGKSCIFIQRVQKSSNRIPKSQSVLFAVSEDQSQYSEIKPDAAEQENHRPDSEDIFPASGSYFHFDGTDGKPGLISFYNRPYKREEEVSTNNPERNQNNLLWFIGPAVLLASFIFPSLYLRKILSTVFEDSLLTDFLILFFTEAIFYSGVAVFLLLIDHLRRPTEPELIVHSNRTLTPQLGQRISSVAALVLSLIIPMVTMGYVWPWTGPAASATLAPYLVGIVVQFAFEQCARYKKSPSWPVIPVIFQVYRLHQLNRAAQLVTALSFTVRGAETTSHNLAINSSLGTLLNVLQCLGVICIWSLSSFLMRFFSSTTVAAQ >ONI06767 pep chromosome:Prunus_persica_NCBIv2:G5:9364825:9365794:1 gene:PRUPE_5G079900 transcript:ONI06767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNDRQIHAPLQTPASIAELQLDQLGCNITFQFNHKLTESILYIIKEQNKSALLPPQAKYTFSSGHL >ONI06298 pep chromosome:Prunus_persica_NCBIv2:G5:5611915:5613221:1 gene:PRUPE_5G051800 transcript:ONI06298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSDDINECKGSNECRGDVCENLVGGYSCYSNINGRRCLGSSVGLLLLLIGACWVHKIVKKRKTIARKKIFFKRNGGLLLEQQLSSGEVNVDKIKLFNSKELEKATNNFSIDRILGQGGSGGLRNFLAEVQN >ONI06975 pep chromosome:Prunus_persica_NCBIv2:G5:10306631:10311087:1 gene:PRUPE_5G092900 transcript:ONI06975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNRSVDDIVDTTPLLSNSGGSSDESNSGRHRIVRPQSLRDAARFLRQASSRRLMREPSMLVRETAAEQLEERQSDWAYSKPVVVLDIIWNFAFVVVAATVLVLSRYELPNMPLRLWIMGYALQCVVHMVCVCVEFRRRQCRQRSRVLGVNSTEEGVGSVGNLSSGSREEDLSSQYVSLADHMNEEGTSSVAKHLESANTMFSFIWWIIGFYWVSAGGQALAHTSPQLYWLCIIFLGFDVFFVVFCVALACIIGIAVCCCLPCIIALLYAVADQDGASKEDVEQLSKFKFRKVGNEKVAGDTQGGGVMTECGTDSPIEHVLSQDDAECCICLSSYDDGVELRQLPCGHHFHCSCIDKWLYINATCPLCKYNILKSTSHDQVEV >ONI06974 pep chromosome:Prunus_persica_NCBIv2:G5:10307280:10310934:1 gene:PRUPE_5G092900 transcript:ONI06974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNRSVDDIVDTTPLLSNSGGSSDESNSGRHRIVRPQSLRDAARFLRQASSRRLMREPSMLVRETAAEQLEERQSDWAYSKPVVVLDIIWNFAFVVVAATVLVLSRYELPNMPLRLWIMGYALQCVVHMVCVCVEFRRRQCRQRSRVLGVNSTEEGVGSVGNLSSGSREEDLSSQYVSLADHMNEEGTSSVAKHLESANTMFSFIWWIIGFYWVSAGGQALAHTSPQLYWLCIIFLGFDVFFVVFCVALACIIGIAVCCCLPCIIALLYAVADQQDGASKEDVEQLSKFKFRKVGNEKVAGDTQGGGVMTECGTDSPIEHVLSQDDAECCICLSSYDDGVELRQLPCGHHFHCSCIDKWLYINATCPLCKYNILKSTSHDQVEV >ONI06976 pep chromosome:Prunus_persica_NCBIv2:G5:10306631:10311087:1 gene:PRUPE_5G092900 transcript:ONI06976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNRSVDDIVDTTPLLSNSGGSSDESNSGRHRIVRPQSLRDAARFLRQASSRRLMREPSMLVRETAAEQLEERQSDWAYSKPVVVLDIIWNFAFVVVAATVLVLSRYELPNMPLRLWIMGYALQCVVHMVCVCVEFRRRQCRQRSRVLGVNSTEEGVGSVGNLSSGSREEDLSSQYVSLADHMNEEGTSVAKHLESANTMFSFIWWIIGFYWVSAGGQALAHTSPQLYWLCIIFLGFDVFFVVFCVALACIIGIAVCCCLPCIIALLYAVADQDGASKEDVEQLSKFKFRKVGNEKVAGDTQGGGVMTECGTDSPIEHVLSQDDAECCICLSSYDDGVELRQLPCGHHFHCSCIDKWLYINATCPLCKYNILKSTSHDQVEV >ONI07592 pep chromosome:Prunus_persica_NCBIv2:G5:12519300:12524151:-1 gene:PRUPE_5G129200 transcript:ONI07592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAPEEPQSQNGLEPDRTTIPKPDSESEPVAQPEAKPEPVPEPEAKPEPVPEPEAKPELQPEPEAVVTDGADPKVDEVVEAAIQSNNQASAHPELKKDEGSRTFTMRELLGGLKNDQSNDVANDSSSPYSYSEESPQEHSEQNNAAMELINSVTGADDDGRSRQRVLTFAAKRYASAIERNPDDYDALYNWALVLQESADNVSLDSTSPSKDALLEEACKKYDEATHLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWKQATKNYEKAVLLNWNSPQALNNWGLALQELSAIVPAREKQTIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGSGNPKEVSPNELYSQSAIYIAAAHALKPNYNVYSSALRLVRSMLPLPYLKVGYLTAPPVGKSIAPHSDWKRSQFVLNNEGLQQQVNKGEQKQSISGRSGEAAIKVDVPDIVSVSSCGDLTLPPGAGLCIDTIHGPVYLVADSWEFLDGWLDAIRLVYTIYARGKSEVLAGITTG >ONI07591 pep chromosome:Prunus_persica_NCBIv2:G5:12519223:12524279:-1 gene:PRUPE_5G129200 transcript:ONI07591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAPEEPQSQNGLEPDRTTIPKPDSESEPVAQPEAKPEPVPEPEAKPEPVPEPEAKPELQPEPEAVVTDGADPKVDEVVEAAIQSNNQASAHPELKKDEGSRTFTMRELLGGLKNDQSNDVANDSSSPYSYSEESPQEHSEQNNAAMELINSVTGADDDGRSRQRVLTFAAKRYASAIERNPDDYDALYNWALVLQESADNVSLDSTSPSKDALLEEACKKYDEATHLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWKQATKNYEKAVLLNWNSPQALNNWGLALQELSAIVPAREKQTIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGSGNPKEVSPNELYSQSAIYIAAAHALKPNYNVYSSALRLVRSMLPLPYLKVGYLTAPPVGKSIAPHSDWKRSQFVLNNEGLQQVNKGEQKQSISGRSGEAAIKVDVPDIVSVSSCGDLTLPPGAGLCIDTIHGPVYLVADSWEFLDGWLDAIRLVYTIYARGKSEVLAGITTG >ONI07036 pep chromosome:Prunus_persica_NCBIv2:G5:10552759:10553863:-1 gene:PRUPE_5G096400 transcript:ONI07036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIHPLIILNSSHEAPCSSTASSSLCSNKYMTSKRETFTIWMKSLVMQGNGCTAFDESGEIVYRIDNYDNKHSDEVYLMDLRGKLLFTVCEKKMCGFLSWEGYKSNTIVGANRPMFRVRKSCRAILGNNECFYKVSMGSETSCSYKLEALGGKSSAFRITDSNGGFVAEAKRKQSSSGVVLGDDVLSLVVEAHVDHSLIMALVTVYGLIRHQL >ONI06595 pep chromosome:Prunus_persica_NCBIv2:G5:8339252:8339883:1 gene:PRUPE_5G069300 transcript:ONI06595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHTAIVATTLKLKALSTSHSTLITPLLTGLICPFVLKLSFSFSLVHRTYSDFIHQSRLFFFQLNEIAAADNFDDDLQQQQPAATRFLQRALRLLQQAVTHQRNSQASELDEQSIHDLSLVAL >ONI06949 pep chromosome:Prunus_persica_NCBIv2:G5:10179272:10181454:-1 gene:PRUPE_5G091300 transcript:ONI06949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMKSKMNKMFSPATKFSVDGKGGPKVEDLEIRPGGMLVQKRNAEMNPSSVSIPPIKVRVKYGSSYHEIRISPQASFGELKKMLAEPTKLHHLDQKLIFKNKERDSKEYLDVARVKDGSKLVLEEDIVSRERRCVELLRNANAEKSSKSLAEINLEVDKLAGQVTALEATASTAGKVAETDVDNLTELLMMKLIKLDGIVAEGDLKLQRRLQVKRVQKYIGILDMLKYQSSKSSSNGAKIQLQQQENPIGHMPRDSKPVQKQRAPSKLEKSVRTIPAQQQPQKHSESVVVTTKWETFD >ONI08398 pep chromosome:Prunus_persica_NCBIv2:G5:14925721:14926767:1 gene:PRUPE_5G175600 transcript:ONI08398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEIIISVILLFVGIAVLIVIHVCIVRITFNSGNQSVDIVPRSSVGIKRMTNEELNMLPCFDYMAGEKGTSLVDCAVCLENFKAGERCRQLPNCRHNFHAQCIDTWLLKTPVCPVCRTCAKTPKIDVSLGEESSVAGDYRIELT >ONI06449 pep chromosome:Prunus_persica_NCBIv2:G5:6716246:6719529:1 gene:PRUPE_5G061300 transcript:ONI06449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAALKAVCRSPRHYKPRTSAFPESQQWLYIARRGLILDQAPSHSVSLHRLSDSDSGIVEVSLERPEVRNAIGKDMLRGLQNTFEAISKDSSANVLMIRSKVPKVFCAGADLKERKMMSSSEVRDFVNTLRATFSFLEVLCIPTIAVIEGAALGGGLEMALSCDLRICGEEAVMGLPETGLAIIPGAGGTQRLPRIVGKAFAKELIFTGRKIGGREAMSIGLVNYCVPAGEAHLKALEIARNINEKGPIAVRMAKKAIDEGLVVHKTSALALEEECYEQTLNTNDRLEALAAFAEKRKPRYTGD >ONI06450 pep chromosome:Prunus_persica_NCBIv2:G5:6716513:6719345:1 gene:PRUPE_5G061300 transcript:ONI06450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAALKAVCRSPRHYKPRTSAFPESQQWLYIARRGLILDQAPSHSVSLHRLSDSDSGIVEVSLERPEVRNAIGKDMLRGLQNTFEAISKDSSANVLMIRSKVPKVFCAGADLKERKMMSSSEVRDFVNTLRATFSFLEVLCIPTIAVIEGAALGGGLEMALSCDLRICGEEAVMGLPETGLAIIPGAGGTQRLPRIVGKAFAKELIFTGRKIGGREAMSIGLVNYCVPAGEAHLKALEIARNINEKF >ONI09523 pep chromosome:Prunus_persica_NCBIv2:G5:18235859:18238619:-1 gene:PRUPE_5G242600 transcript:ONI09523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDVLKRARLETAIWAAKLLLLCVGTVSTLVFFKAAIIPYLLNLTVSIVPQLWTSFKSCFLSPLYIYIILNFIIITIAASSTFQNQKQHQQPSSSSSSSSSSVGFSSFISDNAAKTADNIDSGSNYINSPDNNNSSIQSESLSQQQQQQPKIQSHSKDTIQSLSKEDVTALLFSPSSHGHPNNEMMSWHDVHIVQADDGKQEAAAEDDDDDDQGFDPSPEMPSGDLLTKSVEMPRLEVEVEVGEDINTLDTTWKAIMEGQGKAIGKHLKKSDTWDTPPRLTQLALTRTKFKGGADHQLQLHHSNLDGDDGDNDDDVHGEDDAVTWARRELKKSDTFSDRVSLRREKSMTQEELNQRAEAFIKKFNNDMRLQRLESDQRRFREIVNGGL >ONI08963 pep chromosome:Prunus_persica_NCBIv2:G5:16701345:16703047:1 gene:PRUPE_5G209900 transcript:ONI08963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPWTMTRLVRWRVKDWASCFLACRFSLDDKAADRCCPATPQLPIRNMAFDMQDDSRCNETNTKKMSRHKKCSRERRLSHSSQQVKGTCPVESRSSNNSRCRPHFSDEEFIVFCFKEDGAFDVVKNGKPEAASSIDCTSRNSPRPVNRKDEEEEEENYLGTEPHPGSIAEDCRMVSVESSDSNQSDGSTGSFAFPVLGLEWTGSPVQMPKSEALELRKHKARCIGFQFQCCRF >ONI07245 pep chromosome:Prunus_persica_NCBIv2:G5:11393991:11394902:1 gene:PRUPE_5G108300 transcript:ONI07245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAESLHSADELKRQKKIKLAIYITIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVESFVSDSAAPSFDTKFTTQIKIKNSANWGSYKFNAANITFLHQGATLAVIDIAKGKAGWLSTIKRNAEVSLNSSGITGSNFGTELSSGVLTLNSVGRLNGKVAIMFIMKKKKAANMNCTIAFDVAAKTLKSLECK >ONI08105 pep chromosome:Prunus_persica_NCBIv2:G5:14038273:14040122:1 gene:PRUPE_5G158200 transcript:ONI08105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDKGRPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTSAAQVKMVTPRKTDGSLRRNGTGYNTVNEHYPKYPPSKKRWFCCG >ONI08354 pep chromosome:Prunus_persica_NCBIv2:G5:14774244:14776201:-1 gene:PRUPE_5G173400 transcript:ONI08354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPEAETHPELVNNNNNNPCLDLFYNFGEEDVHDPAQMEASCNYVKQVLPLAWSHNSLTALKLVCNLLDGKFNLSAFYTAAFWLHHNHPKTLLCNLGSIFRSFGAFSMSMNIVYHILQEGQEIYKTTPRRGYNRYQLLHKAVKRYKHDRDYQLLHDQVSDILAEIFKSDMEKLKFKEAEEEQNKNNKLKQLSESDNDDRITSAAVNFHYALSNFSRPNPDYNITLLLESIARKCFPPEEYHKLELGGGHGKGKRAKAKYADRVMVKRLKDEVFPSLKKAYFAQGYPPDEASAVETYLEKVKASCCCSGSASKISSSSSLLADALLPHEITGYVHHWNFGRAAELQWKSMVEDVYSKQGKFKNWLAVCDVHPKFMDDEVSLEVSIALGLLLSELSEEPWKGKVIQFSREPQLHSIRGGDDFRYKYEFVRRMTCGVDLDFEKLFDLILQVAVNENLKPDQMIKKVLVLSHADFDRASAAETSWKIDYQAIQSKYKEKGYGDVVPHMVFWTLSKYNPEKPVAPRTQPGVSILNGFSNNLLKHFLNNEGEIGPDYLMELAISDERYQALTVVD >ONI06849 pep chromosome:Prunus_persica_NCBIv2:G5:9723154:9725385:1 gene:PRUPE_5G085100 transcript:ONI06849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRSLGLVQEEQNSLQTYIVWVEKPVSRNIFSQSHEGLESWYQSFLPETTVNSNEQKSSRIVHAYRNVGTGFAAKLTPEEVKAMEKKQGFVSARPERILPLQTTHTPDFLGLHQGYGLWKQTNYGEGVIIGVLDTGIGAGHPSFSDEGVPPPPARWKGKCEFNATLCNNKLIGAKNFVGSGKGNTTDSPPVDNHGHGTHTSSTAAGNFVAGASVFGEANGTAVGMAPYAHLAMYKVCAGGGCADGDILAALDAAVEDGVDVLSLSLGGESLPFYEDVIAIGAFAAIQKGIFFSCAAGNSGPSYNSLSNEAPWILTVGASTTDRILKSKAQIGDDKNNHFDGKSLSQPEDFDSTVSLPLVYAGSVGNQPSASCKAGSLENVEGKIVLCETGGGVTNIAKGEEVKRAGGAAMILMNQETDGFSTLAESHVLPSTHVSYAAGLQIKSYISSTSSPTAKILFNGTVIGDALAPKVASFSSRGPSSASPGILKPDIIGPGVSILAAWPVAVDQTQSKATFNIISGTSMSTPHLSGIAALLKSSHPDWSPAAIKSAIMTTANVLNLEGKPIVDQTLEPADIFATGAGHVNPSKANDPGLVYDTQPKDYIPYLCGLNYTDQQIQLITKQAVICSQVEAIPEAQLNYPSFSIKIRSNETQSQYYTRTVRNVGPASSTYNLDLLVPHKMGMSVNPQVLTFTEVNQELTFHVEFIAEDGAGKDGVPISQGYLRWFSDKYNVTSPIAVVFEST >ONI05514 pep chromosome:Prunus_persica_NCBIv2:G5:1125832:1129508:1 gene:PRUPE_5G011000 transcript:ONI05514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSVVGTGSVPQIQNRSGLAPFTPLHISLFSPTRRLLEVGAGRTYTPSAIDDRTSFCLSKPKFDNASHTVSKLVTSQRNIVCSALPESSTSTVAADTKEVKTAQKAAPAKPKVAAKAPVKPLPQMMEEDVIPQLKAILETQDELSDIELSFQENKLEGFFLKKDIRYSFWAFFPSGVLTGPKGFSLSSYGQGASTVEPFLIDEKKITAKHIVFWVEKRLAAQGIIPVWKD >ONI05512 pep chromosome:Prunus_persica_NCBIv2:G5:1125832:1129508:1 gene:PRUPE_5G011000 transcript:ONI05512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSVVGTGSVPQIQNRSGLAPFTPLHISLFSPTRRLLEVGAGRTYTPSAIDDRTSFCLSKPKFDNASQHTVSKLVTSQRNIVCSALPESSTSTVAADTKEVKTAQKAAPAKPKVAAKAPVKPLPQMMEEDVIPQLKAILETQDELSDIELSFQENKLEGFFLKKDIRYSFWAFFPSGVLTGPKGFSLSSYGQGASTVEPFLIDEKKITAKHIVFWVEKRLAAQGIIPVWKD >ONI05513 pep chromosome:Prunus_persica_NCBIv2:G5:1125747:1129584:1 gene:PRUPE_5G011000 transcript:ONI05513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSVVGTGSVPQIQNRSGLAPFTPLHISLFSPTRRLLEVGAGRTYTPSAIDDRTSFCLSKPKFDNASHTVSKLVTSQRNIVCSALPESSTSTVAADTKEVKTAQKAAPAKPKVAAKAPVKPLPQMMEEDVIPQLKAILETQDELSDIELSFQENKLEGFFLKKDIRYSFWAFFPSGVLTGPKGFSLSSYGQGASTVEPFLIDEKKITAKHIVFWVEKRLAAQGIIPVWKD >ONI05758 pep chromosome:Prunus_persica_NCBIv2:G5:2586178:2588826:1 gene:PRUPE_5G023000 transcript:ONI05758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGTGYLGRRIVSASLSQGHPTYVLQRPEIGLDIDKVQILLAFKKQGAHLVEGSFSDLESLVRAVKLVDVVICPMSGVHIRSHNILLQLQLVEAIKKAGNIKRFLPSEFGFDPARMGHALEPGRVTFDDKMVVRKAIQDAKIPSTYVCGAGFAGYLAVNLSQMGTLVPPKEKVLIYGDGNAKVSIVDEDDIAAYTIKTIDDPRTLNKTLYLRPPENELSQKQLVEMWENLIGKKLEHISISEEDFLASMKGMDYAGQVGAGHFYHMFYEGALTNFEIGEEGEEASKLYPEVKYTRMNEYIKIYA >ONI07328 pep chromosome:Prunus_persica_NCBIv2:G5:11687109:11689689:-1 gene:PRUPE_5G113300 transcript:ONI07328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDADVSKQIQQMVRFIRQEAEEKANEISVSSEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVDVRKKIEYSMQLNASRIKVLQAQDDVVNSMKEAASKELLNVSQDHHGYKKLLKDLIIQSLLRLKEPAVLLRCRKEDLHLVEAVSESAAQEYAAKANVHTPEIIVDTTVFLPPAPTHFNPHISSCSGGVVLASRDGKIVCENTLDARLDVVFRKKLPEIRRKLFGQIAV >ONI07327 pep chromosome:Prunus_persica_NCBIv2:G5:11687109:11689689:-1 gene:PRUPE_5G113300 transcript:ONI07327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDADVSKQIQQMVRFIRQEAEEKANEISVSSEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVDVRKKIEYSMQLNASRIKVLQAQDDVVNSMKEAASKELLNVSQDHHGYKKLLKDLIIQSLLRLKEPAVLLRCRKEDLHLVEAVSESAAQEYAAKANVHTPEIIVDTTVFLPPAPTHFNPHISSCSGGVVLASRDGKIVCENTLDARLDVVFRKKLPEIRRKLFGQIAV >ONI07267 pep chromosome:Prunus_persica_NCBIv2:G5:11494756:11501311:-1 gene:PRUPE_5G110400 transcript:ONI07267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWRGQSRQNALLVYRSFGLVFGALSIAPLYVYKCAFSGGLLHYQTEDAVFGVFSVIFWTITLISLFKYAFIMLSADDNGQGGLIALYALLCRNAKFCLLPNHQAADEEISTYRYPGRSNTNRPTSPLKGFIERHKSAQTCLLLLVLFGASMVICVGILTPAISVFASVEGLKFQAKDLHNSVVVLIACILLVALFVLQHRGIHKLAFIFTPIVILWVLLVAAVGIYNIIKWNPRVYQALSPYYVYIFFKRTGKDGWISLGGILLCITGTESMFADLGHFRATPIRVAFSCVIYPCLILQYMGQAAFLSKNLSALSMSFYASIPVPLLWPVLVVAPLAAIVASQPVISSTFSIVKQLHAIRCFPRVKVVHTKRRIPGQIYIPEINWSLMILSLGVTIGFRDTNCIGNAYGIACLAVTIITTWLTSLGISLVWHKSFMFALLFSVLFGSVEIIYLSSSCMRILKGGWFPPVLSAVFLVVMYVWQYGTRKKYLYDLHNKVSMRHILTLGPSLGIVRVPGIGLIYTELATGVPATFTHFLTNLPAFYQVVVFVCIKTVPVPYVPQKDRYLVGRIGPKSYGMYRCMVRNGYKDVFKNGDDFEIDVVMSIAEFIQMEAEGCGTPEGAVDRRMAVVKTSGKFGTALFMSQTSGPGESSSSSSSTIVSSSKSNTLQNLQATYEQEAPKLNYWRRARFGLMDAKCKDTRVKEQLQELVEAKHAGVAYVIGAAYLKAKWNSSFIKKCTIDVAYSFLRKNCRSPAVALNIPHICLIKVGMNYIV >ONI08022 pep chromosome:Prunus_persica_NCBIv2:G5:13812513:13815596:1 gene:PRUPE_5G153600 transcript:ONI08022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAVSAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAEKLDEMLKKQGAKVDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKTPGVDDVTGEPLIQRKDDTAAVLRSRLEAFHKQTEPVIGYYSQKGIVANLQAEKPPKEVTVEVQKALSS >ONI08021 pep chromosome:Prunus_persica_NCBIv2:G5:13812186:13815596:1 gene:PRUPE_5G153600 transcript:ONI08021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISAAANLEDVPSVDLMTELLRRMKCSTKPDKRLILIGPPGSGKGTQSPIIKDDYCLCHLATGDMLRAAVSAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAEKLDEMLKKQGAKVDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKTPGVDDVTGEPLIQRKDDTAAVLRSRLEAFHKQTEPVIGYYSQKGIVANLQAEKPPKEVTVEVQKALSS >ONI07199 pep chromosome:Prunus_persica_NCBIv2:G5:11206965:11209513:-1 gene:PRUPE_5G105700 transcript:ONI07199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPSTTSSTTISPSSSADHLQHMIPQMGNYMINSNQLDFANQDLPTPKPQAHQETLFSSTCPLFMFDTSPNMEAAPENYSSRAELFQDMVSAAGLSSSEPWNLSQHQVQAFPPPAMSFSTTSATSATMDTNYLPPLIENMDNNMLPNIDHQVHHQSCNINLDEEGDHQMALECLMQRQELNEWVVSQQQCSNYLFWDTVLVGEEEHNNIAQPAASSNAGANTLLSSFPSSL >ONI06307 pep chromosome:Prunus_persica_NCBIv2:G5:5669562:5671014:1 gene:PRUPE_5G052500 transcript:ONI06307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLGNGFDVISVGKRKLVRSVSTELNKDHIEILELAQAQGFVIVDEVERRLSWTTGCAIDAFKTLLDEGLAMIDDGHKDGIRRYWFPCVSSISTPGGADT >ONI07048 pep chromosome:Prunus_persica_NCBIv2:G5:10607551:10613004:1 gene:PRUPE_5G097300 transcript:ONI07048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGSRLVRPFQFQGTSSDWLPSSITKSPAIAAATNTILFLGLFIISAAILFSYWIQISNFQIGNSANETILISNKYQEPFKVIEFPLNCSIGSNINQTQTCPTSYPTTFGNLDDLEPSSSPICPDYFRFIHQDLMPWKATGITRDMVERAKETAHFRLVIVKGKAYVEKYKKSIQTRDVFTIWGILQLLRRYPGRLPDLELMFDCDDKPVIRSRDFRGPNSTQVPPLFRYCGDRWTKDIVFPDWSFWGWAEINIKPWEGLLKDLKKGNDRRKWMEREPYAYWKGNPFVAESRKDLLKCNVSDSQDWNARLFIQDWILESQQGFKQSDVASQCTHRYKIYIEGYAWSVSEKYILACDSVTLIVKPQYYDFFTRSLQPVHHYWPIRHDDKCKSIKFAVDWGNNHKQKANAASGMAVHDDCKLKFLELKAKRNYRFILFKIEQQQIVIDKLGEPNESYDDFTSSFPADECRYAVFDFDFTTEENCQKSKIFFIAWSPDSSKVRMKMVYASSKDRFKRELDGISFELQATDPSEMSLDIVKGRVF >ONI07049 pep chromosome:Prunus_persica_NCBIv2:G5:10607239:10610777:1 gene:PRUPE_5G097300 transcript:ONI07049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGSRLVRPFQFQGTSSDWLPSSITKSPAIAAATNTILFLGLFIISAAILFSYWIQISNFQIGNSANETILISNKYQEPFKVIEFPLNCSIGSNINQTQTCPTSYPTTFGNLDDLEPSSSPICPDYFRFIHQDLMPWKATGITRDMVERAKETAHFRLVIVKGKAYVEKYKKSIQTRDVFTIWGILQLLRRYPGRLPDLELMFDCDDKPVIRSRDFRGPNSTQVPPLFRYCGDRWTKDIVFPDWSFWGWAEINIKPWEGLLKDLKKGNDRRKWMEREPYAYWKGNPFVAESRKDLLKCNVSDSQDWNARLFIQDWILESQQGFKQSDVASQCTHRYKIYIEGYAWSVSEKYILACDSVTLIVKPQYYDFFTRSLQPVHHYWPIRHDDKCKSIKFAVDWGNNHKQKAQAIGKAASDFIQQELKMDFVYDYMFHLLNEYAKLLRFEPKIPEGATHLCSESMACPAAESEKKFMTESLVKSPSVTSPCTMPPAFKPQALGNLYRRNINLNKQVQKWEDKYWENLSKQE >ONI06331 pep chromosome:Prunus_persica_NCBIv2:G5:5772382:5778975:1 gene:PRUPE_5G053900 transcript:ONI06331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKMEGDFQFGSNSNSLDLLSEVAVVVKNWEEEDVEKAMSMAVRSRCNPLQGFGFDGYLVPDRATIKITSRVRVSSSSSSSSALDMLLQVTSNELMELEKANTFSCICIPKKKRTSLRRGRTMLTSFPCSSHDSIPLHQIPRKKRSNLRRTKRAFLPDFSHDSTVQVIKKAMQELERVLAEKGTVDFTLFPLNDICIPKKKAAKVNAMELCSFRLNSPSCSSTSCISQDC >ONI09276 pep chromosome:Prunus_persica_NCBIv2:G5:17525442:17527231:-1 gene:PRUPE_5G228400 transcript:ONI09276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVAYSMNGGHGLYSYSKNSTFQRKAIDAGKELIKEAISEKLDIKSFSSSNTFRVTDLGCAVGPNTFHAVQNIVDAVEQKYQSQGHNSQLPEFQVFFSDHISNDFNALFQSLPPDRRYYATGVPGSFYSRLFPKAFLHFAYSSYALQCLSKVPEEVVDMNSPAWNKGRIHYSKSADQVVKAYTTQYAKDMECFLNARAQEIVCGGLMAFVVPGRPNGIPHTEVFVNTAKELFGSCLMDMAKKGIISEEKVDCFNIPQYIASLEEVEAIVKANGYFSVEMMENLTQEKPPPKVFAIGVRSGMEGMIRKHFGDEIMDELFDSFGKKLEESSSVLESKKSVSLFVLLKRRATE >ONI06926 pep chromosome:Prunus_persica_NCBIv2:G5:10003602:10014795:-1 gene:PRUPE_5G089300 transcript:ONI06926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNELYEEEGVPSGLITGIHLNVSTQEDIENISVKQIDAVTEVTDPKLGLPNPSFQCSTCGAEKLKCCEGHFGDIKFPCTILHPYYLSEVTQILNKICPGCKSIRQNLRAKGAGSVIGHRKLKGCKYCIGSSINYPRMKFKVSTRELFKRSAIIAEANGILPADYWDFIPKDAQQDESCMKPNKRVLSHAQVHYLLKDVDTKFIEKIVPKTNSLSMGSFLVTPNCHRVTEVMHSFSNGQRLMFDERTRAYRKLVDFKGVANELGSRVLDCLKISKLNSEKPSKDFISAQQKNIKDDPSKTSGLRWIKDVVLGKRSDHCFRTVVVGDPNIKLSEIGIPCQIAERLQISENLNRWNIEKLDASCNLRLMVKGEMHVRRKGSLVWVRQTDELQMGDTIYRPLSDGDIVLINRPPSIHQHSLIALSVKILPITSVVSINPLCCSPFRGDFDGDCLHGYIPQSNDARVELRELVALDKQLINGQSGRNLLSLSQDSLTAAHLIMEDGTLLNLLEMQQLEMFCWDVLPSPAVIKAPSLNSHAWTGKQVFSMLLPSDFDYDFASDGVYIKNGELLSSEGSSWLRDTGGNLFQSLVNHFQGQVLDFLFAAQEVLCEWLSMRGLSVSLADLYLASDSGSRNNLMEEILCGLQEAEQACIFKQLLVDNCQDFLMGCAEENRSPLTFDAEYFCHEKQKSAALSQVVHDAFKHIFRDIQSLAHKYAGKDNSLLTMLKAGSKGNLQKLVQHSMCLGLQHSLIPLSFRIPNQLSCAAWNNQKACSLIQKVEGTYECVESYIPSAVVKSSFLTGLNPLECFVHSVTSRDSSFSGNADLPGTLTRKLMFLMRDLYTAYDGTVRNAYGNQLVQFSYDIDMDKSDSTSTSHAKSTTACDGIGGQPVGSLSACALSEAAYSALDQPVSLLETSPLLNLKNILECGSKKSSAKQTLSLFLSKKLGRRRHGFEYGALEVKNHLVGLTFSDIVSTVMIIFSSQSNSQKRFSPWVTHFHICKEIVRKRRLKVHSVVDSLYARCNSARPELKIVLRSLLITSKGCSAAQTCNGDDDTFCITVTMPENSKNSSEQLDIARDLVIPFLLGTVVKGFLEVKKVDILWNDHPRESKKIHGSSGELYLRVSMSGKTGRRRLWSMLMDNCLQIMDLIDWSRSHPDNVHDYCIAYGIDAGWKYFLNNLETAANDIGKDILPQHLILVADCLSATGEFVGLNAKGIAQQKGHTSVSSPFVQACFSSPGACFIKAAKGGVVDELHGSVEALAWGNTPPSGTGRQFDILYSKEGLNLSKPLNPYDLLGSQISSSRENAFETSKAWNNTLDKCDVTSIGLEKSESVFKSVIRKYLTINDIQKWTQTLKRILRKYDINESLTEGDKSILKMALHFHPHKVDKMGSGVQDIKVGQHPKHENARCFMLVRIDRTEEDFSYHKCVLGALEIVAPERAKSYRSKWMNHLPE >ONI06141 pep chromosome:Prunus_persica_NCBIv2:G5:4728910:4731135:1 gene:PRUPE_5G043000 transcript:ONI06141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVVDPLREFSKDSIRLVKRCHKPDRKEFTKVALRTAIGFVVMGFVGFFVKLIFIPINNIIVGSV >ONI06938 pep chromosome:Prunus_persica_NCBIv2:G5:10059123:10059821:-1 gene:PRUPE_5G090200 transcript:ONI06938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIYSQVSDLASLENPDTSSFWNASVTARRASLSVEEVILASSCPKRRAGRRVFKETRHPVYRGVRRRNNDKWVCELRDPNNKKARIWLGTYPKAEMAARAHDVAALAFRGKLACLNFADSAWRLPVPASNDAAEIRRVANEAAEAFRKAEDGGVDEKESKGVVNEEKGCVEMEGSSNLFYLDEEEIFEMPRLLDDMAEGLMLCPPQCLDGYMDWNDVGTDDDLKLWSFTI >ONI06279 pep chromosome:Prunus_persica_NCBIv2:G5:5389330:5389665:-1 gene:PRUPE_5G050600 transcript:ONI06279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQRLIQTVRTRDGSATGPSRWTCPGHEEQPKGYLFNRTPLSPGQTRKWEDWELPCYVTSFLTIVILGVGLNSKPDLSIETKHFRCIRGQVPFSAFNWGCWNVCRTVGQA >ONI06367 pep chromosome:Prunus_persica_NCBIv2:G5:6095186:6095923:1 gene:PRUPE_5G056300 transcript:ONI06367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWSRLQHKRQIPKAALVKKGMVIGHPQFHLCLLITFALASSSLSVVCGAQEHHHHNNIISHGALSDTEALYIKQRQLLYYGDEFGDRDELVTVDPSLVFENQRIRNAYMALQAWKQAILSDPLNLTGNWVGSNVCNCIQFNQL >ONI06537 pep chromosome:Prunus_persica_NCBIv2:G5:8142786:8144529:-1 gene:PRUPE_5G067000 transcript:ONI06537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRRLIEVEPPSPLRYVIGAAIMMIGVVLPVGYMMFRNKRVPSSSSYSKQTNKVLI >ONI08178 pep chromosome:Prunus_persica_NCBIv2:G5:14205775:14206650:-1 gene:PRUPE_5G161900 transcript:ONI08178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKGLEVELWLSENRLPNTMKTRIMENVHRKLEENKNVHVEILFVLPPDYLSYVKRCLCLATLRKVPKLKDIDGLEELKGICDHFKPVIYAKDSFIIREGEALDMILLVTQGTVRSYTTSNGVKKDPTTPKHIKQGDFYGEELISWASKFPPSTELPISDKNVRSITRVEAFALTAEDLKNHVLLRYFWWQFTKGIDLNNLTDSQMLQLKELAVINPQRAFRGRKRAEKPAALPMKTRSMSVVPILSER >ONI08271 pep chromosome:Prunus_persica_NCBIv2:G5:14505335:14508230:-1 gene:PRUPE_5G168500 transcript:ONI08271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSEFMDKQIMELSRSHSDDLSQLSYPRDLRDDEDKDDDSVSSFHFRPTRHVVSQQSRVSTFENCSSMDHIDLAKSGCKYAGAFDDSALISVIGQKMSHHFDNMLHAVEGLSARLSQLETRLRRLENSVDDLKDSTEINHGRNDGKLRQLENILRQVEGGMQDLKDKQEISEAKLQLATLQMLKGHQQLENQRSNVQTISTQGVLSSAPQQSHQPHSTPVACLQLSSFPPNVPPTQPHQNLPPAPATQVHTHSPQNQIPSVQQTDSNYLPPVLNPKPAPQMYYMPQVQQSQPPPTAPYQYYPPAPHLSSTSQLQRLPELHPPLSAVDPQAQSSLVHNPGEIPYMLSQRYPPSMHKPSNATGMALPTQQQYMGSSQYTHDQSTRNHCSDLFAGHMQPVQHSTYDDFYSHEGSPSHNSGSKTKHSQILPASLDRSGGSSISRLPTAQVLPYAIPMASSMDKESSSGVTGNRIPIDNVIDEVVTMGFRRDIVRATVKKMTENGQSVDINVVLDKLMNNGEVQPKSGGFGQ >ONI05745 pep chromosome:Prunus_persica_NCBIv2:G5:2475400:2476035:1 gene:PRUPE_5G022300 transcript:ONI05745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGRTPWPCVSAPVSAMYLIGFSVFDEVSEIPSSLSKQGRDFVTKCLMRDPMERWSAVELLKHGFLLEAPNYLLNPTTVLDHGLWDEEFDLDLEDIWEPTHQMTNWPCDDEDTWVTMRSNNIEQPDTTLHWNEYEANDIYDDEPQPTNTNAKTTCGGGLGLDTSEAARFSSRERKKSKAWTASKYT >ONI08955 pep chromosome:Prunus_persica_NCBIv2:G5:16684938:16686584:-1 gene:PRUPE_5G209700 transcript:ONI08955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAIALHGGAGDIPLSLPPERRLPREAGLRHCLHIGVEALKAKTPALDVVELVVRELENIPHFNAGKGSVLTTQGTVEMEACIMDGTKRCGAVSGLTTVVNPISLARLVMEKTPHIYLAFDGAEAFAREQGVETVDSSHFITPENVERLKQAKEANRVQIDYTQPLHKDEKKETPEADGDSKLGTVGCVAVDSLGNLASATSTGGLVNKMVGRIGDTPIIGAGTYANSLCAVSATGKGEAIIRGTVARDVAAVMEFKYVSLKEAAAYVVEEGTPKGNVGLVAVSATGEVTMPFNTNGMFRACATEDGYSEIGIWPSVQN >ONI08819 pep chromosome:Prunus_persica_NCBIv2:G5:16301085:16302361:1 gene:PRUPE_5G202500 transcript:ONI08819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQPIFNSLPSIASYLEFEHNSSSQSPQLQTPIDWPLDGKLTLNWVQSLMSVFDWASRNLEPTQLPNVFLVEVFDSLALFNSKILHKEANCVTINNLAFESTVVVVGDLHGQLHDLFFLLHDVGFSSENRFFVFNGDYVDRGAWGLESFLILLAWKVLMPKRVYLLRGNHESKYCTSVYGFEKEVLTKYNDRGKHVYCKCLGCFEGLFRHMPAISKKSKGKKSRRAFNHEPILHPPWEGPNVIPGDVLWSDPSISPGLSPNIERGIGLLWGPDCTEDFLKNCQLKLIIRSHEGPDARENRPGLGGMDEGYTIDHIVESGKLITLFSAPDYPQFQSTEERYKNKGAYIILEPPNFDDYVFHSFEAITSRPKADPFYNFEEMIDSDEELDLASMVQS >ONI05858 pep chromosome:Prunus_persica_NCBIv2:G5:3092369:3095364:1 gene:PRUPE_5G027100 transcript:ONI05858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHRAEANAVSGQPLLKKKPKRNLYAIGCAILASMTSILLGYDIGVMSGASIYIQEDLKITDVEVEILIGILNLYSLIGSAAAGRTSDWIGRRYTIVFAGAIFFTGALLMGFATNFAFLMVGRFVAGIGVGYALMIAPVYNAEVSPASSRGALTSFPEVESDAVFSSTCFKRIFMYKLQEPCRELWSTGSTPPSLTELLMNSDPFVLCQLLALLKGSAALINPSGERAGFFGTELSLSFSQCSTKGISRSNDKSKRRSNSKSTEKKKNK >ONI09161 pep chromosome:Prunus_persica_NCBIv2:G5:17218866:17226866:1 gene:PRUPE_5G221200 transcript:ONI09161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSSYSKTENGGVTSSSFHAGTDSSEQVVNGNGETEGKRRTYLVEWLNSLLPTLGLPKNASDEDLRSCLIDGTILCRILNRLKPGFVDEGGKSDQDSVPSSENVARFLAAMDVLGVPKFDMSDLEKGSMKTVTDCLLTLKAQFMPNVMGDGFSITSPTTKSDNQSTRGLLSPLSVEERRKVLSESKFQRALRSPVMSEPSAALMHHVGHKFHEVFQMKQGCYADLPAAKISEMMKPNSLDNAPTQSLLSVVNGILDESVERKSGEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKAREEKYQSRVKVLEALASGTSEESQLVMNHHLQQIKNERTRLEAKKKIDDEDVIRLLKEKDQSNLEISGLKQELDIAKKTYDLRCLQMETEAKGARAELERLLEESTNKVKELEANSESKFQLSKAEIEEKVKGLEGLLEESKNKVKKLEANSESKYQLSKAELEGRVKELERLLEESKNKVKELEANSESKYQLCKAALEGRIKELEGFLAESRNKVNELETNSESKYQFSKAELEERIKELERLLADSRNEVKQLVANAKSKCKSWNKKEHACYSFMDFQLGSLKELRLSSESIKHEILKAGQSYTVEFNQLGVRLQALADASENYHALLGENRKLFNEIQDLKGNIRVYCRIRPFLPGQREKRTSVEHVGENGELVVADRSKPGKEGHRLFKFNKVFGSDATQAEVYSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPNSSTKENWGVNYRALNDLFDISQRRKSSITYEIGVQMVEIYNEQVRDLLSGDGTQKKLGIMTHSQPNGLAVPDASMHPVKSTSDVIQLMGLGLKNRVVSATALNERSSRSHSVVTVHVRGMDLKTGSALIGNLHLVDLAGSERVDRSEVIGDRLKEAQHINKSLSALGDVIFALSQKSSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPETSSYSESLSTLKFAERVAGVELGAARSNKEGRDVRELMEQVASLKDTIAKKDGEIERLLKNDVHGEKRGTGSFSHKHSEAELQKSMHDIKHQNDFLRQSKVAGGDIETDGSADSMNYPESAKSDNSERPKRLSRIPRPSLKPGQTSTSLASGSKSSPKVPPGLRKSNSSSSLKPSSRRWQ >ONI09162 pep chromosome:Prunus_persica_NCBIv2:G5:17218866:17226770:1 gene:PRUPE_5G221200 transcript:ONI09162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSSYSKTENGGVTSSSFHAGTDSSEQVVNGNGETEGKRRTYLVEWLNSLLPTLGLPKNASDEDLRSCLIDGTILCRILNRLKPGFVDEGGKSDQDSVPSSENVARFLAAMDVLGVPKFDMSDLEKGSMKTVTDCLLTLKAQFMPNVMGDGFSITSPTTKSDNQSTRGLLSPLSVEERRKVLSESKFQRALRSPVMSEPSAALMHHVGHKFHEVFQMKQGCYADLPAAKISEMMKPNSLDNAPTQSLLSVVNGILDESVERKSGEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKAREEKYQSRVKVLEALASGTSEESQLVMNHHLQQIKNERTRLEAKKKIDDEDVIRLLKEKDQSNLEISGLKQELDIAKKTYDLRCLQMETEAKGARAELERLLEESTNKVKELEANSESKFQLSKAEIEEKVKGLEGLLEESKNKVKKLEANSESKYQLSKAELEGRVKELERLLEESKNKVKELEANSESKYQLCKAALEGRIKELEGFLAESRNKVNELETNSESKYQFSKAELEERIKELERLLADSRNEVKQLVANAKSKCKSWNKKEHACYSFMDFQLGSLKELRLSSESIKHEILKAGQSYTVEFNQLGVRLQALADASENYHALLGENRKLFNEIQDLKGNIRVYCRIRPFLPGQREKRTSVEHVGENGELVVADRSKPGKEGHRLFKFNKVFGSDATQAEVYSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPNSSTKENWGVNYRALNDLFDISQRRKSSITYEIGVQMVEIYNEQVRDLLSGDGTQKKLGIMTHSQPNGLAVPDASMHPVKSTSDVIQLMGLGLKNRVVSATALNERSSRSHSVVTVHVRGMDLKTGSALIGNLHLVDLAGSERVDRSEVIGDRLKEAQHINKSLSALGDVIFALSQKSSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPETSSYSESLSTLKFAERVAGVELGAARSNKEGRDVRELMEQVASLKDTIAKKDGEIERLLKNDVHGEKRGTGSFRN >ONI09159 pep chromosome:Prunus_persica_NCBIv2:G5:17219243:17226530:1 gene:PRUPE_5G221200 transcript:ONI09159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSSYSKTENGGVTSSSFHAGTDSSEQVVNGNGETEGKRRTYLVEWLNSLLPTLGLPKNASDEDLRSCLIDGTILCRILNRLKPGFVDEGGKSDQDSVPSSENVARFLAAMDVLGVPKFDMSDLEKGSMKTVTDCLLTLKAQFMPNVMGDGFSITSPTTKSDNQSTRGLLSPLSVEERRKVLSESKFQRALRSPVMSEPSAALMHHVGHKFHEVFQMKQGCYADLPAAKISEMMKPNSLDNAPTQSLLSVVNGILDESVERKSGEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKAREEKYQSRVKVLEALASGTSEESQLVMNHHLQQIKNERTRLEAKKKIDDEDVIRLLKEKDQSNLEISGLKQELDIAKKTYDLRCLQMETEAKGARAELERLLEESTNKVKELEANSESKFQLSKAEIEEKVKGLEGLLEESKNKVKKLEANSESKYQLSKAELEGRVKELERLLEESKNKVKELEANSESKYQLCKAALEGRIKELEGFLAESRNKVNELETNSESKYQFSKAELEERIKELERLLADSRNEVKQLVANAKSKCKSWNKKEHACYSFMDFQLGSLKELRLSSESIKHEILKAGQSYTVEFNQLGVRLQALADASENYHALLGENRKLFNEIQDLKGNIRVYCRIRPFLPGQREKRTSVEHVGENGELVVADRSKPGKEGHRLFKFNKVFGSDATQAEVYSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPNSSTKENWGVNYRALNDLFDISQRRKSSITYEIGVQMVEIYNEQVRDLLSGDGTQKKYPSVPDASMHPVKSTSDVIQLMGLGLKNRVVSATALNERSSRSHSVVTVHVRGMDLKTGSALIGNLHLVDLAGSERVDRSEVIGDRLKEAQHINKSLSALGDVIFALSQKSSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPETSSYSESLSTLKFAERVAGVELGAARSNKEGRDVRELMEQVASLKDTIAKKDGEIERLLKNDVHGEKRGTGSFSHKHSEAELQKSMHDIKHQNDFLRQSKVAGGDIGQHKHADAEMLAFGDADSEEKLSDMSDGGLSAGTETDGSADSMNYPESAKSDNSERPKRLSRIPRPSLKPGQTSTSLASGSKSSPKVPPGLRKSNSSSSLKPSSRRWQ >ONI09160 pep chromosome:Prunus_persica_NCBIv2:G5:17218866:17226866:1 gene:PRUPE_5G221200 transcript:ONI09160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSSYSKTENGGVTSSSFHAGTDSSEQVVNGNGETEGKRRTYLVEWLNSLLPTLGLPKNASDEDLRSCLIDGTILCRILNRLKPGFVDEGGKSDQDSVPSSENVARFLAAMDVLGVPKFDMSDLEKGSMKTVTDCLLTLKAQFMPNVMGDGFSITSPTTKSDNQSTRGLLSPLSVEERRKVLSESKFQRALRSPVMSEPSAALMHHVGHKFHEVFQMKQGCYADLPAAKISEMMKPNSLDNAPTQSLLSVVNGILDESVERKSGEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKAREEKYQSRVKVLEALASGTSEESQLVMNHHLQQIKNERTRLEAKKKIDDEDVIRLLKEKDQSNLEISGLKQELDIAKKTYDLRCLQMETEAKGARAELERLLEESTNKVKELEANSESKFQLSKAEIEEKVKGLEGLLEESKNKVKKLEANSESKYQLSKAELEGRVKELERLLEESKNKVKELEANSESKYQLCKAALEGRIKELEGFLAESRNKVNELETNSESKYQFSKAELEERIKELERLLADSRNEVKQLVANAKSKCKSWNKKEHACYSFMDFQLGSLKELRLSSESIKHEILKAGQSYTVEFNQLGVRLQALADASENYHALLGENRKLFNEIQDLKGNIRVYCRIRPFLPGQREKRTSVEHVGENGELVVADRSKPGKEGHRLFKFNKVFGSDATQAEVYSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPNSSTKENWGVNYRALNDLFDISQRRKSSITYEIGVQMVEIYNEQVRDLLSGDGTQKKLGIMTHSQPNGLAVPDASMHPVKSTSDVIQLMGLGLKNRVVSATALNERSSRSHSVVTVHVRGMDLKTGSALIGNLHLVDLAGSERVDRSEVIGDRLKEAQHINKSLSALGDVIFALSQKSSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPETSSYSESLSTLKFAERVAGVELGAARSNKEGRDVRELMEQVASLKDTIAKKDGEIERLLKNDVHGEKRGTGSFSHKHSEAELQKSMHDIKHQNDFLRQSKVAGGDIGQHKHADAEMLAFGDADSEEKLSDMSDGGLSAGTETDGSADSMNYPESAKSDNSERPKRLSRIPRPSLKPGQTSTSLASGSKSSPKVPPGLRKSNSSSSLKPSSRRWQ >ONI05641 pep chromosome:Prunus_persica_NCBIv2:G5:1756764:1758316:1 gene:PRUPE_5G016100 transcript:ONI05641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAHFEVNFTTKFIVKPTNPMVDHPHILSLSNLDLLSGRFPVSYFYFYPNKPHDLHAATDFSSIIESLNTSLASTLNSYYPFAGRIVPNQHTNEPEIMCDNSGVLVVEAHANIPLKELNFYDLDQSLKSNKLASISPDFPFQIQVTLYTCGGVSITFTFDHALGDATSFGNFLLSWSEIARKKPVSCVPDHRRNLHLRARCPPSYHSSLDQSFVKCTMEEIMNIPTAKTLIKRLYYIEDSSIKHLQSLASRNGKRRTKIEAVSAYIWKTMVTAIDTKSHGMCKMGWLVDGRSRMHKKENQINPMSNYIGNVLSLAFGEASVGDLKQGSISDVASIVGEAISKVTNEEHFLDLIDWIECHRPGLMLSKVVLGQGGPALVLSSGRKFPVAELDFGFGSPVLGTVCSTIERIGVAYMNQRSSAKGDGSWTVSSILWPELAAALESDSIFQPITTTHLQL >ONI07737 pep chromosome:Prunus_persica_NCBIv2:G5:12918725:12919766:-1 gene:PRUPE_5G136600 transcript:ONI07737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGTHVNSCRDCEYCNDRFNGVDADGTITKGGYSSHIFVHEWYCFNIPENYPLASAAPLLCAGITVYASMVCHEMNQHGHMAVKFGKAFGLNVTIFSTSISKEEEALGQLGADNFVVSSDRNQMKALVKSVDFIIEIASGDHPFDPYMELLKLFYISNCMKTISGSVTGGTKDIQEMIDFCASYGIHPMIEIIPIQSANEAIERLLKSVVIYRFVIDIENYLK >ONI07123 pep chromosome:Prunus_persica_NCBIv2:G5:10892903:10897469:1 gene:PRUPE_5G101100 transcript:ONI07123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTFLSIPHFLSPQPNFLSLKPNFTSLRPSIPSLSARLIRPTHAIGPDGKYYPDPADDDPPEAPEDSGHGVSKFQQIQRQASRHRKLQEEDFKKHQDTFLNAIADVEDPPENSSSVTNENSGDDLFGDIDHAIALKRKEFVKQGLLKPNPKKEIVAVDELDPEEVVDLEEIDELQGLRVVSEDLDEDGPEKFDSKVSDLDGKDGNLRLNSEFDLDFDSYGKTRARIVEPKFKMSLAELLDESKVVPVSVYGDLEVEITGIQHDSRVVNSGDLFVCCVGSKTDGHLYLSEAIKRGAVAVVASKEIYMEENLGCKALVIVEDTNADLPALAASFYRYPSKNMAVIGITGTNGKTTTAYLIKGLYEAMGLRTGMLSTVAYYVHGDNKLESPNTTPDAVLVQNLMAKMLHNGAEAVVMEASSHGLALARCDEVDFDIAVFTNLTRDHLDFHKTEEEYRDAKAKLFSRMVDPERHRKVVNIDDPNATFFIAQGNPDIPVVTFAMENKNADVHPLKFELSLFETQVLVSTPQGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVRGIEEVDAVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDSVRELGARRIISVIGCPGESDRGKRPMMTKIATDKSDVTILTSDNPKNEDPLDILDDMLAGVGWTMQDYLKHGENDYYPPLPNGHRLFLHDIRRVAVRCAVAMGEEGDMVVVAGKGHEAYQIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >ONI05328 pep chromosome:Prunus_persica_NCBIv2:G5:312954:318463:-1 gene:PRUPE_5G001900 transcript:ONI05328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYGLCSISTNKAMNLLSNCVKIQNSVSQGAQPNQTHMNSRLNGSARLMLVSDLDYTMVDHDEPENLSLLRFNALWEANYRHDSLLVFSTGRSPITYKPLRNEKPLLTPDITIMSVGTEIMYGYGEAMVPDDGWKQHLNHKWDRDIVVEETNKFPQLTPQEEGEQRPHKVSFYVEKVVASEIMNVLSQRLEKRGLDVKIIYSSGIALDILPKGAGKGQALAYLLKKFKLEGKLPYNILVCGDSGNDAELFSLPEVYGVMVSNAKEELLQWYAENAHRNSNILHATERCAAGIIQAIGNFHLGPNVSPRDFKDFQKCKVKNFSPAHEVVKFYLFYERWRRAEVEKSEQYFQNLKSVFHPLAIFVHPLGVELPIHQCMDAMAKSYGDKQGKQFWTWVDRLSSVQIGSDTWLVKFDKWELNDDERQCSLTTVLMSSKSEVPDAYTWLHMHQTWFDGVELKEPERWVF >ONI05331 pep chromosome:Prunus_persica_NCBIv2:G5:314470:318463:-1 gene:PRUPE_5G001900 transcript:ONI05331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYGLCSISTNKAMNLLSNCVKIQNSVSQGAQPNQTHMNSRLNGSARLMLVSDLDYTMVDHDEPENLSLLRFNALWEANYRHDSLLVFSTGRSPITYKPLRNEKPLLTPDITIMSVGTEIMYGYGEAMVPDDGWKQHLNHKWDRDIVVEETNKFPQLTPQEEGEQRPHKVSFYVEKVVASEIMNVLSQRLEKRGLDVKIIYSSGIALDILPKGAGKGQALAYLLKKFKLEGKLPYNILVCGDSGNDAELFSLPEVYGVMVSNAKEELLQWYAENAHRNSNILHATERCAAGIIQAIGNFHLGPNVSPRDFKDFQKCKVKNFSPAHEVVKFYLFYERWRRAEVEKSEQYFQNLKSVFHPLAIFVHPLGVELPIHQCMDAMAKSYGDKQGKQFWTWVDRLSSVQIGSDTWLVKFDKWELNDKKWRLVNR >ONI05330 pep chromosome:Prunus_persica_NCBIv2:G5:313040:318463:-1 gene:PRUPE_5G001900 transcript:ONI05330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYGLCSISTNKAMNLLSNCVKIQNSVSQGAQPNQTHMNSRLNGSARLMLVSDLDYTMVDHDEPENLSLLRFNALWEANYRHDSLLVFSTGRSPITYKPLRNEKPLLTPDITIMSVGTEIMYGYGEAMVPDDGWKQHLNHKWDRDIVVEETNKFPQLTPQEEGEQRPHKVSFYVEKVVASEIMNVLSQRLEKRGLDVKIIYSSGIALDILPKGAGKGQALAYLLKKFKLEGKLPYNILVCGDSGNDAELFSLPEVYGVMVSNAKEELLQWYAENAHRNSNILHATERCAAGIIQAIGNFHLGPNVSPRDFKDFQKCKVKNFSPAHEVVKFYLFYERWRRAEVEKSEQYFQNLKSVFHPLAIFVHPLGVELPIHQCMDAMAKSYGDKQGKQFWTWVDRLSSVQIGSDTWLVKFDKWELNDDERQCSLTTVLMSSKSEVPDAYTWLHMHQTWFDGVELKEPERWVF >ONI05329 pep chromosome:Prunus_persica_NCBIv2:G5:313040:318463:-1 gene:PRUPE_5G001900 transcript:ONI05329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYGLCSISTNKAMNLLSNCVKIQNSVSQGAQPNQTHMNSRLNGSARLMLVSDLDYTMVDHDEPENLSLLRFNALWEANYRHDSLLVFSTGRSPITYKPLRNEKPLLTPDITIMSVGTEIMYGYGEAMVPDDGWKQHLNHKWDRDIVVEETNKFPQLTPQEEGEQRPHKVSFYVEKVVASEIMNVLSQRLEKRGLDVKIIYSSGIALDILPKGAGKGQALAYLLKKFKLEGKLPYNILVCGDSGNDAELFSLPEVYGVMVSNAKEELLQWYAENAHRNSNILHATERCAAGIIQAIGNFHLGPNVSPRDFKDFQKCKVKNFSPAHEVVKFYLFYERWRRAEVEKSEQYFQNLKSVFHPLAIFVHPLGVELPIHQCMDAMAKSYGDKQGKQFWTWVDRLSSVQIGSDTWLVKFDKWELNDDERQCSLTTVLMSSKSEVPDAYTWLHMHQTWFDGVELKEPERWVF >ONI05327 pep chromosome:Prunus_persica_NCBIv2:G5:311315:318463:-1 gene:PRUPE_5G001900 transcript:ONI05327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYGLCSISTNKAMNLLSNCVKIQNSVSQGAQPNQTHMNSRLNGSARLMLVSDLDYTMVDHDEPENLSLLRFNALWEANYRHDSLLVFSTGRSPITYKPLRNEKPLLTPDITIMSVGTEIMYGYGEAMVPDDGWKQHLNHKWDRDIVVEETNKFPQLTPQEEGEQRPHKVSFYVEKVVASEIMNVLSQRLEKRGLDVKIIYSSGIALDILPKGAGKGQALAYLLKKFKLEGKLPYNILVCGDSGNDAELFSLPEVYGVMVSNAKEELLQWYAENAHRNSNILHATERCAAGIIQAIGNFHLGPNVSPRDFKDFQKCKVKNFSPAHEVVKFYLFYERWRRAEVEKSEQYFQNLKSVFHPLAIFVHPLGVELPIHQCMDAMAKSYGDKQGKQFWTWVDRLSSVQIGSDTWLVKFDKWELNDDERQCSLTTVLMSSKSEVPDAYTWLHMHQTWFDGVELKEPERWVF >ONI05332 pep chromosome:Prunus_persica_NCBIv2:G5:315242:318463:-1 gene:PRUPE_5G001900 transcript:ONI05332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYGLCSISTNKAMNLLSNCVKIQNSVSQGAQPNQTHMNSRLNGSARLMLVSDLDYTMVDHDEPENLSLLRFNALWEANYRHDSLLVFSTGRSPITYKPLRNEKPLLTPDITIMSVGTEIMYGYGEAMVPDDGWKQHLNHKWDRDIVVEETNKFPQLTPQEEGEQRPHKVSFYVEKVVASEIMNVLSQRLEKRGLDVKIIYSSGIALDILPKGAGKGQALAYLLKKFKLEGKLPYNILVCGDSGNDAELFSLPEVYGVMVSNAKEELLQWYAENAHRNSNILHATERCAAGIIQAIGNFHLGPNVSPRDFKDFQKCKVKNFSPAHEVVKFYLFYERWRRAEVEKSEQYFQNLKSVFGVLVTCN >ONI06161 pep chromosome:Prunus_persica_NCBIv2:G5:4875648:4877523:1 gene:PRUPE_5G044500 transcript:ONI06161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTIIAILLVFLTFLCSLIHTILQPIIEDHDFSFKIAPSLSDPTLLIFQEPQQHHVQQDPQSSSSTSTTQFGAAASRSLQFSCPNGSSPRGRNPRLVLILGRLRGLESMVRWPRLRARRLRRLTKWRRKRKRVKKRFFILSAQQKEGRSCYHFLYSQCSTKLLYYFSTVFNKPIGAGMQITGGKHLI >ONI06020 pep chromosome:Prunus_persica_NCBIv2:G5:3963543:3964122:1 gene:PRUPE_5G034600 transcript:ONI06020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLKDDAKPHLIFTYGTLKQGFHNHKLTQDLINHDDAVLLGPYITRHSYPLVCGPYGIPYLINLPGSGHQVKGELYSVSTRGLARLDELEGTSIGHYERLPVDVMNADDDIVSVEAQAYYAHRSFGPAMWERIGKAGLSEFTEKEAKGYVKRQDRPCQGRAILEDVRHFVSGM >ONI06019 pep chromosome:Prunus_persica_NCBIv2:G5:3963544:3964151:1 gene:PRUPE_5G034600 transcript:ONI06019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLKDDAKPHLIFTYGTLKQGFHNHKLTQDLINHDDAVLLGPYITRHSYPLVCGPYGIPYLINLPGSGHQVKGELYSVSTRGLARLDELEGTSIGHYERLPVDVMNADDDIVSVEAQAYYAHRSFGPAMWERIGKAGLSEFTEKEAKGYVKRQDRPCQGRAILEDVRHFVSGM >ONI07919 pep chromosome:Prunus_persica_NCBIv2:G5:13508896:13509615:1 gene:PRUPE_5G147100 transcript:ONI07919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKGKWSKNLIAKAWRRCSLQRESSNKGFKLSSLTKSKSWDCSFGNRKKSKGQVAPNGCFSVYVGPQRQRFAVKTEFANHPLFKMLLEDAETEYGYNWEGPILLPCDVDLFVKVLAEMECTEKDVGTPNCGFVNYGSLILRSPARHLSSSINNGYGGAYRLLSPSRMLKINQF >ONI09097 pep chromosome:Prunus_persica_NCBIv2:G5:17045671:17048847:-1 gene:PRUPE_5G217500 transcript:ONI09097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEGANSNTLIIGSTGFIGRFIAEASLDSGHPTYLLMRPASPGLSKTTHTIDSLQDRGAIILNDFLEKVLKEHKIEVVISVVGGERILDQLILLEAIKSAGTVKRFLPSEFGHDIDKADPVEPGLSMYNEKRRVRRAIEAAGIPYTYICCNSIAGWPYHDNIHPADVLPPLDRFHIYGDGTVKAYFVAGSDIGKFTIKTIDDVRTINKSVHFRPPSNLFSINQLASLWEQCIGRKLPRVTISEDDLLAAAEEMRIPQSIVASFTHDIFIKGCQVNYEIEKPNDIEVCSLYPDTPFLTVDECFQEFAKRAAVDVSKSK >ONI07519 pep chromosome:Prunus_persica_NCBIv2:G5:12311807:12316211:-1 gene:PRUPE_5G125200 transcript:ONI07519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLVSVKTPPDSAPLRISVPDPQPQPQRSEPAPISKTPSPHHKKPPSPSPSRSKPSPTRSKKPQPASPDPILADASLDNPDLGPFLLKLARDTIASGEGPNKALDYAVRASKSFERCAVEGEPSLDLAMSLHVLAAIYCSLGRFEEAVPVLDRAIRVPEVQRGSDHALAAFSGHMQLGDTYSMLGQVDRSIECYEEGLKIQIEALGDTDPRVGETCRYLAEAHVQAMQFERAEELCKKTLEIHRAHSEPASLEEAADRRLMALICEAKGDYESALEHLVLASMAMIANGQENEVAAIDVSIGNIYMSLCRFDEAIFSYQKALTVLKSSKGDNHPSVASVFVRLADLYHRTGKIRESKSYCENSLRIYVKPVPGTTAEEISGGLTEISAIYESMDEPEEALKLLQKAMKLLEDKPGQQSTIAGIEARMGVMFCMLGRYEEARSSFESAVVKLRASGEKKSPFFGVVLNQMGLACVQLFKIDEAAELFEEARGILEQECGPCHQDTLGIYSNLAATYDAMGRVEDAIEILEYVLKLREEKLGIANPDFEDEKRRLTELLKEAGRTRDRKAKSLENLIDPNSKKTKKEGTKRWGGLGFRL >ONI07132 pep chromosome:Prunus_persica_NCBIv2:G5:10928693:10932227:1 gene:PRUPE_5G101600 transcript:ONI07132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIFGWKGQSGFSARSTAEEVTQGIDGTGLTAIVTGASSGLGLETTRVLALRRVHVIMAVRNTEAGRDVRTAILKEIPTANINVMELDLSSMASVRKFASEYNSSGLPLNILINNAGVMATPFMLSQDNIELQFATNHLGHFLLTNLLLETMKKTTRESKKEGRIVNLSSEAHRFAYSEGIRFDKINDESGYSSIYAYGQSKLANILHANELTKRLKEEGVAITANSLHPGSIATNLLRYHSYINVIASTLGRLMLKNVQQGAATECYVALHPQVKGVSGEYFMDSNKANPTSQAKDPELAKKLWDFSLSLTDPK >ONI07751 pep chromosome:Prunus_persica_NCBIv2:G5:12956159:12961027:1 gene:PRUPE_5G137400 transcript:ONI07751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDYTGGSPKHHHLESKKKRLTWIFGVSALCVVCYMLGAWQTTSSPVNRSELYQRVGCDETSQNQGGNNVSSSPSATSASSSSLHLDFESHHQVEINKSDAVQKFPACDMAYSEYTPCQDTQRGRKFDRKMLKYRERHCPTKEEQLLCLIPAPPKYKTPFKWPQSRDFAWYDNIPHRELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGAGAYIDDIDALIPLSKGNIRTAIDTGCGVASWGAYLLRNDILAMSFAPRDTHAAQVQFALERGVPAMIGVLASKRLPYPARAFDMAHCSRCLIPWQNYDGLYLIEVDRVLRPGGYWILSGPPIHWKKHWRGWERTQEDLKQEQDSIEAVAKRLCWKKVIEKNDLAIWQKPINHIECIKSRRVYKTPHICKSDNPDMAWERDMENCITPLPETSNPDDVAGGALEKWPDRAFAVPPRISSGSIPGVTAEILRADDQLWKERVEHYKRIIPISKGRYRNVMDMNAYLGGFAAAISKYPVWVMNTVPANSNQDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHAGGVFSIYQDRCDITYILLEMDRILRPEGTVVFRDTVELLVKIKGITDGMKWKSQIMDHESGPFNPEKILVAVKTYWTGEAKKES >ONI07750 pep chromosome:Prunus_persica_NCBIv2:G5:12956159:12961027:1 gene:PRUPE_5G137400 transcript:ONI07750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDYTGGSPKHHHLESKKKRLTWIFGVSALCVVCYMLGAWQTTSSPVNRSELYQRVGCDETSQNQGGNNVSSSPSATSASSSSLHLDFESHHQVEINKSDAVQKFPACDMAYSEYTPCQDTQRGRKFDRKMLKYRERHCPTKEEQLLCLIPAPPKYKTPFKWPQSRDFAWYDNIPHRELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGAGAYIDDIDALIPLSKGNIRTAIDTGCGVASWGAYLLRNDILAMSFAPRDTHAAQVQFALERGVPAMIGVLASKRLPYPARAFDMAHCSRCLIPWQNYDGLYLIEVDRVLRPGGYWILSGPPIHWKKHWRGWERTQEDLKQEQDSIEAVAKRLCWKKVIEKNDLAIWQKPINHIECIKSRRVYKTPHICKSDNPDMAWERDMENCITPLPETSNPDDVAGGALEKWPDRAFAVPPRISSGSIPGVTAEILRADDQLWKERVEHYKRIIPISKGRYRNVMDMNAYLGGFAAAISKYPVWVMNTVPANSNQDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHAGGVFSIYQDRCDITYILLEMDRILRPEGTVVFRDTVELLVKIKGITDGMKWKSQIMDHESGPFNPEKILVAVKTYWTGEAKKES >ONI07749 pep chromosome:Prunus_persica_NCBIv2:G5:12957478:12960280:1 gene:PRUPE_5G137400 transcript:ONI07749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDYTGGSPKHHHLESKKKRLTWIFGVSALCVVCYMLGAWQTTSSPVNRSELYQRVGCDETSQNQGGNNVSSSPSATSASSSSLHLDFESHHQVEINKSDAVQKFPACDMAYSEYTPCQDTQRGRKFDRKMLKYRERHCPTKEEQLLCLIPAPPKYKTPFKWPQSRDFAWYDNIPHRELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGAGAYIDDIDALIPLSKGNIRTAIDTGCGVASWGAYLLRNDILAMSFAPRDTHAAQVQFALERGVPAMIGVLASKRLPYPARAFDMAHCSRCLIPWQNYDGLYLIEVDRVLRPGGYWILSGPPIHWKKHWRGWERTQEDLKQEQDSIEAVAKRLCWKKVIEKNDLAIWQKPINHIECIKSRRVYKTPHICKSDNPDMAWERDMENCITPLPETSNPDDVAGGALEKWPDRAFAVPPRISSGSIPGVTAEILRADDQLWKERVEHYKRIIPISKGRYRNVMDMNAYLGGFAAAISKYPVWVMNTVPANSNQDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHAGGVFSIYQDRCDITYILLEMDRILRPEGTVVFRDTVELLVKIKGITDGMKWKSQIMDHESGPFNPEKILVAVKTYWTGEAKKES >ONI07769 pep chromosome:Prunus_persica_NCBIv2:G5:13027145:13030583:-1 gene:PRUPE_5G138600 transcript:ONI07769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVPDHQVAGHQAGDGKLGPLIDDSGHFYKPLQNDGRGSHELAFYTSFSTDKRIPDDIRKFFPVFYGTRHLEASDGSGLYPHLALEDTVSSRINPSVLDAKIGSRTWYPQAAEDYIIKCLKKDRETTSLELGFRVSGLRVYGNKETGFWKPDKKCVLNFSIEDTRLALRKFVSSNPSTDSDIKPDCSFASTVYGGPAGILAQLLELKSWFEKQTIFHFYSCSVLMVYEKESILQGRNSGAEIKLVDFAHVIEGKGVIDHNFLGGLCSLIKLISDIVTSPSA >ONI08484 pep chromosome:Prunus_persica_NCBIv2:G5:15151810:15153073:1 gene:PRUPE_5G180700 transcript:ONI08484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALATIRFLEKFPNADSGTFFCATFGSPLVGNRIISHALRRENWSKDLINSVMRYDIVPRILLAPLSSIQQQFEKILPFFDPKWSNFKSEIPGTAPEALHLYTNVMRNASALTSHDASQLMGSTNLLLETVKHFIKLSPYKPFGTYVFCTGNGKLVVLKNPEAVLQTLFYSCQLSSETERAALAHKCLDMQNVVEVDKLEELCLGSDGYLDDLGLVLEDLFVLIVFLFCVPKLRIREAKTSKPGKDWSKMEEMTKLEDHRALCEHNRGYYDAFKISNDTRDSDPNVYGMKLFKMLRKYELPDEFEAIKKWIQLGTRYPHLVGPLDIANYYRHSRGELTRRYMKKGGRPKLYKYTQRWLEHYQKLQIGTCGESCFWAEVEELLKQTQSAEAI >ONI06152 pep chromosome:Prunus_persica_NCBIv2:G5:4836076:4838073:-1 gene:PRUPE_5G044000 transcript:ONI06152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMRRMFLIVLTMVFHGTGTSVEDDGPLFLDYYKEKCPLAEEIVRRSVAIAVAKDPRMAASLLRLHFHDCFVMGCDASVLLDSYGGIVSEKQAGPNLDSLRGFEVVDEIKYHLEEACPTTVSCADILALAARDAVALRGGPSWNVWLGRRDSLEASFSGANQFIPAPNSSLETLIANFKQQGLDVGDLVALSGSHTMGRARCLSFRQRVYDVNFRGKYELYDKYKRYTTFRRMLRSICPKSGRDDELAPLDFQTPARFDNHYYLNILQGKGLLGSDNVLVTQDHDGEILKQVWAYASDQKLFFASFVKSVIKMGNINTLTGNQGEIRKHCRFVNA >ONI07168 pep chromosome:Prunus_persica_NCBIv2:G5:11059187:11061911:-1 gene:PRUPE_5G103800 transcript:ONI07168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYPRERRSASPHSSPSPVRGRRSRSLSRSRRSRSRSQSVDASNPGNNLYVTGLSTRVTSSDLEKFFNKEGKVLECHLVTDPRTRESRGFGFVTMETVEDAERCVKYLNRSVLEGRLVTVEKAKRKRGRTPTPGRYQGLRDKRDAGRDHDRDRRRSRSYSPRRLPDRDPYSRDRRGRSRSPYSRRVDEHSDSYRRRRERSLSGGRNPR >ONI07167 pep chromosome:Prunus_persica_NCBIv2:G5:11058849:11062780:-1 gene:PRUPE_5G103800 transcript:ONI07167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYPRERRSASPHSSPSPVRGRRSRSLSRSRRSRSRSQSVDASNPGNNLYVTGLSTRVTSSDLEKFFNKEGKVLECHLVTDPRTRESRGFGFVTMETVEDAERCVKYLNRSVLEGRLVTVEKAKRKRGRTPTPGRYQGLRDKRGRDHDRDRRRSRSYSPRRLPDRDPYSRDRRGRSRSPYSRRVDEHSDSYRRRRERSLSGGRNPR >ONI09205 pep chromosome:Prunus_persica_NCBIv2:G5:17341415:17344750:1 gene:PRUPE_5G223600 transcript:ONI09205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRFFNDAESDQDQPNEKRKRPRPSFASVIGEVVLVNSMQSLFSSLEPLLRRVVSEEVDHCLRRCSLRSMTRSPSMRIQALEPSSVELVFAKKLSLPIFTASKILDEDNNPIQIQIVDKTNNTNGAQMVPIASDLPNPIKVEIVVLDGDFPTGGRDDWTTEEFENNILRERTGKRPLLTGDVNVTVRDGLTTIGEIEFTDNSSWIRSRKFRLGARVAPGTSAYQGSRIREAITDAFVVKDHRGELYKKHHPPMLEDEVWRLEKIGKDGAFHKKLAKENIHTVQDFLKLFVVDRSKLRKILGVGMSEKMWEVTVKHANTCVMGNKMFIYRGVHFALFLNPICQVLRAMVNGQVFSIRDLSNINRTYIENLVREAYANWNSLEMVETALLTQGDQVVEQYPNPHAEAMSRSAFDQNGHLGLAAADHHHSKSVNVGYLLSSNSASSNFECSTGSDNWNINSPYIFNPFVESSIIKCSISDSSSDGDLL >ONI06726 pep chromosome:Prunus_persica_NCBIv2:G5:9088505:9091035:1 gene:PRUPE_5G076700 transcript:ONI06726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSVALAASASFVPFNSRAKHLARLFPGFSRRCGETNISLSASSQQKGFRFAVASSASGTNGNVAEIPEAETAPQLYSWPDKKRPRVCILGGGFGGLYTALRLESLEWPDDRKPQVLLVDQSERFVFKPMLYELLSGEVDAWEIAPRFSDLLANTSVLFFQDKAKLLYPSDHLEVNGPTQSSCGGSVLLESGLLVEYDWLVLALGAEAKLDVVPGAIEFALPFSTLEDARKVDHKLRTLERRNFRKESAIRVAVVGCGYSGVELAATVSERLQDRGTVKAINVETTICPNAPPGNREAAIKVLSSRKVELLLGYVVRCIRRDVDLEASEKPTKSIGVAQHDSEKYILELQPAQRGLQSQTVEADIVLWTVGNKSLLPKLEPRDRPYDLPLNARGQAETDETLRVNGHPRIFAVGDSCALRESSGRLLPSTAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMITLGRNDAAISPSFIEGLTLEGPIGHTARKLAYLIRLPTDEHRLKVGISWLTKSAIDSVASIQSTLTKVLSDS >ONI06725 pep chromosome:Prunus_persica_NCBIv2:G5:9088504:9090885:1 gene:PRUPE_5G076700 transcript:ONI06725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSVALAASASFVPFNSRAKHLARLFPGFSRRCGETNISLSASSQQKGFRFAVASSASGTNGNVAEIPEAETAPQLYSWPDKKRPRVCILGGGFGGLYTALRLESLEWPDDRKPQVLLVDQSERFVFKPMLYELLSGEVDAWEIAPRFSDLLANTSVLFFQDKAKLLYPSDHLEVNGPTQSSCGGSVLLESGLLVEYDWLVLALGAEAKLDVVPGAIEFALPFSTLEDARKVDHKLRTLERRNFRKESAIRVAVVGCGYSGVELAATVSERLQDRGTVKAINVETTICPNAPPGNREAAIKVLSSRKVELLLGYVVRCIRRDVDLEASEKPTKSIGVAQHDSEKYILELQPAQRGLQSQTVEADIVLWTVGNKSLLPKLEPRDRPYDLPLNARGQAETDETLRVNGHPRIFAVGDSCALRESSGRLLPSTAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMITLGRNDAAISPSFIEGLTLEGPIGHTARKLAYLIRLPTDEHRLKVGISWLTKSAIDSVASIQSTLTKVLSDS >ONI07013 pep chromosome:Prunus_persica_NCBIv2:G5:10482491:10485302:1 gene:PRUPE_5G095100 transcript:ONI07013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQSQCSVLSPIRLTNPHRSLPIISRRAPFNACRMNGAVVISTWLGRKKNRGVTVSATEEQSSSLNVQKKRKVVDHICLLKAKEDLSDEEEKDMLDFLYTTQYQMRGILSVSLGRILNENIDKYTHAVYMRFQRKEDIGKFYENPFYLRVLNEHVLPYCHGLTNVDYESEVEDDIIPIFRKGEEFNFGVEFVLLLSLVDNASGPVEEALVSLEGLIMGFPSIIVQSTQGLNFNPSSKEFTHGVVIRFRSLDAFEIFVGSSEYKEIWKSKFDQITRKTLSLHFSVDPVGSEIM >ONI07014 pep chromosome:Prunus_persica_NCBIv2:G5:10482491:10484519:1 gene:PRUPE_5G095100 transcript:ONI07014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQSQCSVLSPIRLTNPHRSLPIISRRAPFNACRMNGAVVISTWLGRKKNRGVTVSATEEQSSSLNVQKKRKVVDHICLLKAKEDLSDEEEKDMLDFLYTTQYQMRGILSVSLGRILNENIDKYTHAVYMRFQRKEDIGKFYENPFYLRVLNEHVLPYCHGLTNVDYESEVEDDIIPIFRKGEEFNFGVEFVLLLSLVDNASGPVEEALVSLEGLIMGFPSIIVQSTQGLNFNPSSKEFTHGVVIRFRSREFLFALCRFTYMLPLFC >ONI08903 pep chromosome:Prunus_persica_NCBIv2:G5:16538594:16542688:-1 gene:PRUPE_5G207300 transcript:ONI08903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLRLKLASNLPKKLSNSTTTTTSSIFHFQPHKTLSLTKPPQTRNYFFSSFTTPPLHHHLHSWRSQHTLTQKINGFLFNPLLKRQFCMGFSNTLLRVSSKSLSDFRLGFLRAQFPKQSFRFNPNFTSPRSPWRSWFRRVSDGDVVLGLIIANVAVFILWRIADPSFMAKNFTISLDNVTSGRLHTLITSAFSHMDVGHIISNMIGLYFFGTNIGRVFGPEFLLKLYLAGAVGGSVCFLVHKAYMAASSKGRQPWNMDPSKIPGLGASGAVNAIMLLDIFLFPKSTLYLEFIIPVPAILLGIFLIGKDVLGIIEGDSHISGAAHLGGAAVAAIAWARLRKGRF >ONI08688 pep chromosome:Prunus_persica_NCBIv2:G5:15803504:15804997:1 gene:PRUPE_5G194100 transcript:ONI08688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKISISPTSSSLYSFGDSCLDLFFHVKPLRHPLEDNTIQQQADYNHFALNYLKQQLPVAWSHSPPITLKLIFNNHPKTLLFNLPSLTSQDAVERLHHDPDYKLLHDRVMDLLAEGLKSDIDLLKQQHKLELKPDEDGDELDADLMVPGAAAFVINEISDHLSGAILLHESIARRLFPPESDQSEEWERLRKALVPLTNYYNHRIMYLNPTQEVSVVKKCLEEVKAAAEGGSNLRGGNGIIKLDALLPNEIIKYVEDEDFGEAAELQWKAVLGKFKNCLAVCNITTDCMAIPVIELAVCFGLVLSELITDPAWKGKVISFGHLPDQPLLHLIQGDDLKSMHEFMMRTCFRESNEGVDLRKVFDLILEVVVNENLKAEQIIKKAFVFTDFKGFFSCTSTMPHILLWNVCDWKFPHSKEHHPGVTMVSGFSDNLIKSFLDNGGEIGPQALMEASIADKEYQTFSVVD >ONI09420 pep chromosome:Prunus_persica_NCBIv2:G5:17950707:17952289:1 gene:PRUPE_5G237300 transcript:ONI09420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILTYVVAAEAALAFLLTLPAPKLLKNQFVSLVSLILQPALFIVPFAGFQLLDIYWKNEHRLSCTSEICTAAERDRYEKSIYKAQRNVILCASACLLYCNLQMLGAGVLFAYANITRTFRIWKKLRRGARRSSFSQIGFCLGVETTEFINFFFIINGKPM >ONI09421 pep chromosome:Prunus_persica_NCBIv2:G5:17950510:17952502:1 gene:PRUPE_5G237300 transcript:ONI09421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILTYVVAAEAALAFLLTLPAPKLLKNQFVSLVSLILQPALFIVPFAGFQLLDIYWKNEHRLSCTSEICTAAERDRYEKSIYKAQRNVILCASACLLYWCLVRICKYYKDIQNLEEVEKRCKEE >ONI09453 pep chromosome:Prunus_persica_NCBIv2:G5:18060468:18062272:1 gene:PRUPE_5G239300 transcript:ONI09453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDLEMYFVECVDLAKSFIQLYIKEDDVLFKVLLHLLCVPCCAEQDEKEKIALEDWNGNLLFRVSDLFNPVLLFHLFLSELSYDHQVLLDYLISKNHVV >ONI07359 pep chromosome:Prunus_persica_NCBIv2:G5:11793431:11797881:1 gene:PRUPE_5G115200 transcript:ONI07359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHRWRELAKSFGNHVDPEKTEQLKRTKSEIENNVTRIFKLIKNEEQGKKEDSRKDSKNESELVGLIENFYQQYQSLYALYDHLVGESGRIVRGKKERKGFALSPSSSDSEYYSSEDVEGNNAKLENEHQKLADSIKHEANTENSGVADLKQKLVSRNEEKEAINSEYMEALRKIRQADNMDKDLKNEMDERVRELSALVEAHEAHGNESSARVKELEGQLTVFKIELESLCCQKRDLEAWKEGKAAEAKQLGEKNIGLHARVFELEIGLKGKEDEISDLQKKLKENEDSSASKVSDLMAQASNLQVEVDSLRAQKGELEQKMVSKKNESLAQVKGLRDQINGVQRELKSLRQQKTESEAQLDKKNKEISKHLLQIENLKEELNRKDTVEMKMMDERQCLLERMKELEMQMDSRRSQKKILEKQIKNRNQETNKLRQENEGLLSKIFELERTLNERGDEFYALRRECEDGKNESSTQFTDLTTQVSNLKQELDSLQTKKSHLQIEKESRQYFERLGEMENQNNKLTGKIARIERENRKYLDRLTEMENQNNNLTVKISEQQRILKEQEDTIRKFNKDHKQAKIWFPSSKLNLQVAERKMEELAEKYRINIEDNVRLLYQRIRVAEQIHNENKEGYKKMKDCYETEIRGLKEKLATYEDPARKMKEISETAKSTFQNGLDLVVLKFEEGHKNFLNQISKMSNDLESAKTWVTGTAGEIKRLKHNVECLVRQLNEKEEQEGVLRDKVCELEASASKEAEEKLNMTKGLSQLEEQVGNLERKLKDKDEDLLILGEEKREAIRHLCVLIDHHRSRYDDLKKVVSKRSAAARSRAVT >ONI07360 pep chromosome:Prunus_persica_NCBIv2:G5:11793431:11797881:1 gene:PRUPE_5G115200 transcript:ONI07360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHRWRELAKSFGNHVDPEKTEQLKRTKSEIENNVTRIFKLIKNEEQGKKEDSRKDSKNESELVGLIENFYQQYQSLYALYDHLVGESGRIVRGKKERKGFALSPSSSDSEYYSSEDVEGNNAKLENEHQKLADSIKHEANTENSGVADLKQKLVSRNEEKEAINSEYMEALRKIRQADNMDKDLKNEMDERVRELSALVEAHEAHGNESSARVKELEGQLTVFKIELESLCCQKRDLEAWKEGKAAEAKQLGEKNIGLHARVFELEIGLKGKEDEISDLQKKLKENEDSSASKVSDLMAQASNLQVEVDSLRAQKGELEQKMVSKKNESLAQVKGLRDQINGVQRELKSLRQQKTESEAQLDKKNKEISKHLLQIENLKEELNRKDTVEMKMMDERQCLLERMKELEMQMDSRRSQKKILEKQIKNRNQETNKLRQENEGLLSKIFELERTLNERGDEFYALRRECEDGKNESSTQFTDLTTQVSNLKQELDSLQTKKSHLQIEKESRQYFERLGEMENQNNKLTGKIARIERENRKYLDRLTEMENQNNNLTVKISEQQRILKEQEDTIRKFNKDHKQAKIWFPSSKLNLQVAERKMEELAEKYRINIEDNVRLLYQRIRVAEQIHNENKEGYKKMKDCYETEIRGLKEKLATYEDPARKMKEISETAKSTFQNGLDLVVLKFEEGHKNFLNQISKMSNDLESAKTWVTGTAGEIKRLKHNVECLVRQLNEKEEQEGVLRDKVCELEASASKEAEEKLNMTKGLSQLEEQVGNLERKLKDKDEDLLILGEEKREAIRHLCVLIDHHRSRYDDLKKVVSKRSAAARSRAVT >ONI05460 pep chromosome:Prunus_persica_NCBIv2:G5:918688:921688:-1 gene:PRUPE_5G008700 transcript:ONI05460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSMVVRLVLIFTILSVASSIDDKCAACNAVAEELKLGLSNEKPRNHLDMRHRLDSTGQRRGKVIDYRVSELRVVELLDGICEKMQDYTFHKIDSNRKEWIKVDNWDNLTISKQEAKAYSKDLSTYCGRLLEETEDELAELIKKGSVRGGDVSKVLCQDLSAHCSRTSALHQGNGYGNESDGEL >ONI09297 pep chromosome:Prunus_persica_NCBIv2:G5:17571308:17573657:-1 gene:PRUPE_5G229800 transcript:ONI09297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSNSDILMLEAPPPQGPAWPGPLTNAETIDALPYIDDDYANPDVKREVDRMVEEELRRSAKKPADFLKDLPPLPKPNFQNHPMLAREYDRVRASRPPAVIDFSRDKVETPPLNKMNDETAWRLALQKAQCSLQHQVVRLENLELIDKYGPDAWIQNNNRLQLVFSRMQKLAQEQFQKIETVNRERKYHQQNTAYELNALSAQWKELCQKNIEIHAACVKLESHLDELRREAAEKGWNLEANAENGSLLNSE >ONI09195 pep chromosome:Prunus_persica_NCBIv2:G5:17307419:17309804:1 gene:PRUPE_5G223000 transcript:ONI09195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSEASPPRLSFSLDLGQADVLPVGHNQPRRDTSLLDLNCDFEFSISGSFRHESSSADELFSHGVILPMQPRERIDEAPKRIANSEARHSASLPPLPSPPSNENQKKESVKEVVTDMNPDHLELHKPQSKSFWGFNRSSSLNQDNKKSLLCSLPLLSRSNSTGSAPNPNPKKTTFKQSSSQKQSSNISMSKSSSYSSSSSSSSNPYATLPRPPSKKGYNGSSYYGNGVRISPVLNVPSPYISKGTAKLFCLGSFLHPGGKDKKAKK >ONI08195 pep chromosome:Prunus_persica_NCBIv2:G5:14264089:14266166:-1 gene:PRUPE_5G163300 transcript:ONI08195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQSSLVILFVFLLPFILLLLIKQRKKSSASQERRLPPGPRRLPLIGNLHKLSNGLPHHVLEHLALQYGPLMFLKLGSISTLVVSSAHMAREIFKTHDLVFSGRPVLYVAKKLSYGCVNLSFAPYGEYWREMRKIVILELLSEKRVRMFQSVRDEEVTLMLDSIAHSKGLINLTQLTLFLANKVLCRSAFGKKYDDGGGIGKSRIHGLLEETGALLGGFFMSDFLPWLSWLNKFNGLEKKVEKCFKGLDNFYDRVIEEHLDPRRPEPEQEDLVDVLLRVQRDASQAIPLSNDQIKGVLTDMFIAGTDTSSATLVWTMAELIRNPLVLRKAQDEVRGVLKGKAKVEESDLSELMYLKLVLKESFRLHPAVPLLLPRETLKSCTIEGYEIPTTTMVFIHAKMIGKDPECWENPNEFWPERFLDSSIDYKGNHFELLPFGAGRRGCPGISFSVKLIELALANLLYRFDWELPHGVRREDLDMEDAAGLAVSKKVPLFLAAKPVYPSLTSYVAQ >ONI09559 pep chromosome:Prunus_persica_NCBIv2:G5:18339726:18345573:1 gene:PRUPE_5G244800 transcript:ONI09559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDTVGNRRRPANIGEVSVYVPGLRIPKPVDFSQSLGDHLSKSLVERISALRTRIVVMAGQEGPTITRTRRKTATQHGGSTLADLQQALEDYLPVLLGLMKDGSQLQHKVQFVWVNQEDDAEETAMSNAWYEVLSILHLMAMLSFLQANLLLLPRTSADGYQPKVTEESRRASIDIFIKAAGYLDCAVRHVHPQLPTELKRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLLNGWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNTEKFHGMAVAALQAADEYFKESKKACDAFHAAPPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPPVDPSWNMEHMNKGQTGSNQLRNDRR >ONI09558 pep chromosome:Prunus_persica_NCBIv2:G5:18338269:18345811:1 gene:PRUPE_5G244800 transcript:ONI09558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDTVGNRRRPANIGEVSVYVPGLRIPKPVDFSQSLGDHLSKSLVERISALRTRIVVMAGQEGPTITRTRRKTATQHGGSTLADLQQALEDYLPVLLGLMKDGSQLQHKVQFVWVNQEDDAEETAMSNAWYEVLSILHLMAMLSFLQANLLLLPRTSADGYQPKVTEESRRASIDIFIKAAGYLDCAVRHVHPQLPTELKRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLLNGWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNTEKFHGMAVAALQAADEYFKESKKACDAFHAAPPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPPVDPSWNMEHMNKGQTGSNQLRNDRR >ONI09557 pep chromosome:Prunus_persica_NCBIv2:G5:18339224:18345559:1 gene:PRUPE_5G244800 transcript:ONI09557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLVSTPKDTVGNRRRPANIGEVSVYVPGLRIPKPVDFSQSLGDHLSKSLVERISALRTRIVVMAGQEGPTITRTRRKTATQHGGSTLADLQQALEDYLPVLLGLMKDGSQLQHKVQFVWVNQEDDAEETAMSNAWYEVLSILHLMAMLSFLQANLLLLPRTSADGYQPKVTEESRRASIDIFIKAAGYLDCAVRHVHPQLPTELKRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLLNGWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNTEKFHGMAVAALQAADEYFKESKKACDAFHAAPPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPPVDPSWNMEHMNKGQTGSNQLRNDRR >ONI08591 pep chromosome:Prunus_persica_NCBIv2:G5:15469698:15473185:1 gene:PRUPE_5G187500 transcript:ONI08591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSRSRDSEQVTQKPNSDSDPASKKARGLTIEGYPVEGLSIGGHETCIIFPTLNLSFDIGRCPQRAISQDFLFISHAHMDHIGGLPMYVATRGLYSMKPPTIIVPTCIKEVVEQLFEVHRKMDQSELKHNLIGLDVGEEVYLRKDLKVKAFKTYHVIPSQGYVVYSIKQKLKQEYVGLSGNEIKNLKSSGVEITNTSTEPQVAFTGDTMSDFITDSSNFDVLKAKILVVESTFLDDSVKVEHAREYGHMHLSEIISHAEKFENKAILLVHFSARYTVKEIEQAVSVLPSPLAGRVFALTEGI >ONI07032 pep chromosome:Prunus_persica_NCBIv2:G5:10523596:10524073:1 gene:PRUPE_5G096000 transcript:ONI07032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPGRYSGFMMHGGISGRTGPHSLPLARIKKIMKKSGEDVKMISGEAPIVFSKACELFIEELTRRSWMTTLEGKRRTLHKDDVASAVVGTDIFDFLVSLVSDSCHSEDITPADKEALGGS >ONI07415 pep chromosome:Prunus_persica_NCBIv2:G5:11966237:11967506:-1 gene:PRUPE_5G118700 transcript:ONI07415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLAIFTISLCCCCFFFFFFPHKVASATNCVESTCTPAGARVRFPFWLRNLQPSSCGYQGFDLSCNNQTILTLPSSGDFFVKTISYKDQIVWLSDPDNCLPKRFLDDDVSLQGTPFLFAQDLETYTFLNCSASQATESSAIPCLSSDSYDVIIVASGWSTSLSTANHASSSSLCSVISTALVPPSLVEGYLSSSLQLAWSVPDCSSCEANGIICELDNATSSQTICPHSKSGPSGLSSAAKNGIMIGVGIPALFCVIGLSFYFHNRMRAPDQLRQPVSADVPNRQPPVLAISGLDGPTIQSYPTTELGETWELPYPNDNTCPICLAEYQAKETLRAMPECNHYFHASCIDEWLKMNATCPLCRNPPEASKIISPVIET >ONI07649 pep chromosome:Prunus_persica_NCBIv2:G5:12769623:12773625:-1 gene:PRUPE_5G133400 transcript:ONI07649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRPHKVGNGVSARWVSLFCIASFFLGVLVVNRLWGVPDPEKIDEEASSLEKRQLRALHLNVNCEKKEAADRAGDILFQVSQTHDVIMALDKTISSLEVQLAAARASKADKGEGSPVVTKTGSEPLKERQKVFFVMGIMTAFSSRKRRESIRETWMPQGDELKKLETEKGIIMRFVIGHSATPGGVLDRAIDAEDEKHKDFLRLNHIEGYHELSSKTQIYFAAAVAKWDADFYIKVDDDVHINLGMVGSTLARHRSKPRTYIGCMKSGPVLANKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVHRHILHRYANEDVSLGSWFIGLDVEHIDERSLCCGTPPECEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGDEAIWHTSF >ONI07650 pep chromosome:Prunus_persica_NCBIv2:G5:12769620:12773484:-1 gene:PRUPE_5G133400 transcript:ONI07650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSRPHKVGNGVSARWVSLFCIASFFLGVLVVNRLWGVPDPEKIDEEASSLEKRQLRALHLNVNCEKKEAADRAGDILFQVSQTHDVIMALDKTISSLEVQLAAARASKADKGEGSPVVTKTGSEPLKERQKVFFVMGIMTAFSSRKRRESIRETWMPQGDELKKLETEKGIIMRFVIGHSATPGGVLDRAIDAEDEKHKDFLRLNHIEGYHELSSKTQIYFAAAVAKWDADFYIKVDDDVHINLGMVGSTLARHRSKPRTYIGCMKSGPVLANKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVHRHILHRYANEDVSLGSWFIGLDVEHIDERSLCCGTPPECEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGDEAIWHTSF >ONI06919 pep chromosome:Prunus_persica_NCBIv2:G5:9970826:9972727:-1 gene:PRUPE_5G089000 transcript:ONI06919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPCIREASETCLHDCCPAPNFLGNHGSSTSNPSSTPNKSTETVVTGFRYAFTIATASSLCPDTQFTNHESLPSLQESYSYFIQAYPQFSQTDQADHIRAHEYYHLTLSNHVCLDYIGHGLFSYSQQQTQHYYPTPTIASTSSSPPPPPPQLLHSPEPLFFDISYKSVNLHTQVVYGGQESEVEFEMRKRIMSYMNISECDYAMVFTANQSSAFKLLADSYPFQQNPSLLTVYDYKCEAVDVMTESSKKKGGRVMSAEFSWPNMRIQSRKLRKRIGNMKKTRKKPGLFVFPLQSRMTGARYSYMWMSIAQENGWHVLLDACSLGPKDMDTLGLSLFQPDFLICSFFKVFGENPSGFGCLFVKKSSASVLKDSTFASSIGIVSLVPASKPSEYSEDSISMDIETDKKQSKLENSKSHEIEEVTIKQKAPSLSEIMKLDRDHHFESSQPKSAEIECRGLDHADSLGLVLISRRARYLINWLVNALMSLQHPHSQYGHRLVRIYGPKIKVERGPSLAFNVFDWKGEKIDPLIVQKLADRNNISLSNGILNHIWFSDKHEEERETKLETCASDRLVNKRKDGCHSGISVVTAALGFLTNFEDIYRLWAFVSRFLDADFVEKERWRYMALNQRTVEI >ONI07553 pep chromosome:Prunus_persica_NCBIv2:G5:12438522:12441216:1 gene:PRUPE_5G127300 transcript:ONI07553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPIITSEKPSTTPLLDPPSRIVCHVCQKQFSQYTCPRCNSRYCSLHCYKSHNLRCTESFMRENVEGELGQLGPDDETKQKMLDILKRFHSEEEADAMDDDEAGSTLSEETIQKVLSGGQVSFDDLSAEEKKLFQKAVVSGELSKMIKPWDPWWLKPSARAITFSKEGTQLIKPLANEEESMSTEDDLESNQASEIPPGPDTPLPPVSKLSSTKPSPTLAVHLVDIIYSYCFTLRLYNGDWLSDATGSAMVLLSVSSVLGQGGQPETVLEALSYCLEQTCSPAFRQMGGLQFGLGLIDDVITLLTLGTPALLCLLSDLQRMVQAGERELKSEKPRKSRRAEIRSKLKVAERKIYFIMCWVHEQPGEAWSSLAAIVKAEKGSALNYEAAASRPGKVDKKAEPRSKVLIQEIK >ONI09298 pep chromosome:Prunus_persica_NCBIv2:G5:17573849:17577627:-1 gene:PRUPE_5G229900 transcript:ONI09298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRGFWRRHRRKLYVTAGVLGSGYLLYKLYNAHRQRLADLDTELEHERDRNEELIKAQMQAHFENIQRIADTTTLPHAMQYLNSRIAEELDLSHLTDRLMQAKGQSTSAEKLELWDRLKILSFTRMVLSLWAMTILSLYIRVQVNILGRHLYIDTARGLGSSLLIEDADLIHRDDQQKFLASSDYLARYGMPALISNIQAAATEVLKGKQLRDSFNATVLHATVMQILDKFMSTGDPHHWVNYLMPEDARALELATAFSNDSTLLPDVTKFEQLMVETRAVISSAEFGSVADIALRAVVDTVIVDMGAQPGGVQLAKVLARVARMGPTVLEEPSKFIQIILNVPEVERFFTLIYSSMQIS >ONI06144 pep chromosome:Prunus_persica_NCBIv2:G5:4760094:4761980:-1 gene:PRUPE_5G043300 transcript:ONI06144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELDQVVTRMVFENYGIEKYHDDHTQSTVSNLRFTKYKDKTNTTILHQNHVKGFDPLPSSCLFLAGDGFQVWSNGRISSCKHRATLKENEVRYSLGLFSFQEGLINKDHPIQYKPVHFLEYVQYYQANYSTIGTDYSVEDYCGV >ONI09243 pep chromosome:Prunus_persica_NCBIv2:G5:17441669:17442702:1 gene:PRUPE_5G226200 transcript:ONI09243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFHPSFHVVHIDRLFILSHAIISLRSRRSEPEKIKDHTKSTPSSEFSRSTTSTWLIWDIHLTSLV >ONI06344 pep chromosome:Prunus_persica_NCBIv2:G5:5850757:5855250:1 gene:PRUPE_5G054800 transcript:ONI06344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQNDPEATHCSPLVLLLVGLASCALVYAALCVVLKPNEASSFSELESLELVEEGGGVGVEGESGACCRGTENLELWGAAVKWGSEFKFNSSEQCCKACKAMCTGTDGPCLCDSWVFCGNKEACGSKFGECWLKKQKDTLAPERQEVGETVSWTSGLIFGRGEGIVGLETKYGTLHIKLLPDCAPHSVAYILELLALRHCAGCQFYRAERRGSSWDSEGNHIKNAPFGPPFALVQGTLDAQGVIFKKIPTEVCPTIRRGSVAWIGSGPEFFISLANHEEWKKEYTVFASVLPEDMNIAEKIAQLPTTADVWNSINVSVLEKPVPLFLRRMKTSHGDLTANMKSN >ONI06508 pep chromosome:Prunus_persica_NCBIv2:G5:7948874:7952629:1 gene:PRUPE_5G065400 transcript:ONI06508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHSYVVDTHSKSQELACTILASSAPHQISSTCASIESFLHSLSPDQTRHFFSLTFPTLICKLFGFDDAASSSPPPPSQHQQASPSSPSSSSNGWIDTVLASNDVDLAKRLFALLAPSSLLFNYISAVDRLSLVKYVFPIERLPEWVRFMLSSENYSPVLSDLDPIFKNRVKEDPTKPNLCQVQLNVFEYYMFWFAYYPVCRGNSENCDSGSIKRNKRFKFENWVSSISGFSGTRRGVEVKIECNLYVRLLYAYLRAFVGVTDLNQHLPYRSSLLHYASGYDSSVVAQAELFVNALVNFWLVDNDFSPLPVNQCKSFGVSFPFRSVLGETPPTPGLGEVVKLLVKYLNLGLVVLRDGNENVEPCGSPRWRVSGSYDTLKSRDVMAVSPCIGSWHLLIQRPLYRFILRTFLFCPVGASTKNISEVFSVWITYMEPWAVSLDDFSELDAVVDGSSRNGRKEGSQHAVCGYTPSWQGYVLANYLYYSSLVMHFIGFAHKFLHTNPEMIVQMVLKVLTILTSSKELMDLIKMVDTAFHSKQAGSGKSMLNSLYRFVAPIREQLLDWEDGLSESDADGSFLHENWNKDLQLFSDGEDGGQQLLQLFILRAEAELQAISGDNGAENLQCIDSLKAQVGCLFGGHIVKALSFPPEAKQPTQHRDEIFKPRRVGNHTLGDIKYKGDWMKRPISDDEVAWLAKVLVLFSDWLNECLGLNRTGSSQADPTWSYVEVSSDVLGNVYGPADTIKAVFGAVGSWLLMLGVAVVRLMRKHGVRVNLRMLASKKVVMVLLLSAVYSILKKAFGHLHRV >ONI07603 pep chromosome:Prunus_persica_NCBIv2:G5:12556703:12558878:-1 gene:PRUPE_5G129900 transcript:ONI07603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQIDHSLWVLALFSKCQALSSTATLFIFLFLAAVYLVAAVFYWASTPGGTAWGRHHWKTRTTLRKLVQNHKNQFSSTTPIPGPRGFPIIGSMSLMTNLAHHKLTKAAELFQAKRLMAFSLGETRFVVTCNHNVAKEILNSPVFASRPVKESAYGLMFNRAIGFAPYGNYWRALRRICAAHLFSPKQISASEHQRFEIADQMVSMISARAENSFCVRDILRRALLNNMMCSVFGRKYELGSSNEETEVLRRLVDEGYDLLGKLNWSDHLPWLAGLDLQSVRSRCSKLVPEVNRFVGRIIQEHRAQTGRKNHDFVDVLLSLDGPDKLSESDMISVLWEMIFRGADTVAVLIEWILARMVQHHDVQSKVHEELDRVVGSRPLVESDIPSMVYLPAVVKEVLRMHPPGPLLSWARLSIRDTVVDGYHVPAGTTAMVNMWAITRDPEVWEDPLEFKPERFVLSKDADVQFSVFGSDLRLAPFGSGTRGCPGKALGLTTVTFWVGSLLHDFKWAKSHPNDPVDLSEVLRLSCEMKHPLTVKVNRKN >ONI08191 pep chromosome:Prunus_persica_NCBIv2:G5:14243631:14245233:-1 gene:PRUPE_5G163000 transcript:ONI08191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMALTHDNTVVIFDQTEAGPSVYRLRRRHNGNRCTESHDDLADSSCYAHSVEYDISSNKVRPLRLYTDTWCSSGSFLSNGTLLQTGGYGSGTRRIRYFKPCGDGQCDWRQSERSLADDRWYASNQLLPEHDRIIVVGGRRTFTYEFVPKMSSNEGSHDLSFLHQTYDRSEGGNNLYPFLHLSSDGNLFIFANRDSILFNYRSNIVIKTFPRIPGDGSRNYPGSGSSVILPLDHTNNFERMEVMVCGGAASGAHRAAGQNRFLKGLSSCGRMVITGNRHKWNMENMPGSRLLSDMLILPTGNVLIINGAKRGSGGWNNARNASLQPYLYNPNHKLGKRFSVLKSTKIARMYHSSAILLPDGRVLVGGGNPHECYTFSNVAYPTELRLQAFAPHYMGRQYHTYRPINLTINYGHGDLSGVRYGVDFNVSFWLGRKPSKVVEFNAYAPPFTTHSISMNQRLLKLRCKKVVRAEGGLVHAVLEAPPSPNVAPSGYYMLTVVNGGIPSISQWVRFLHQ >ONI08895 pep chromosome:Prunus_persica_NCBIv2:G5:16506390:16508377:1 gene:PRUPE_5G206800 transcript:ONI08895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGESSLGYLFGGGEEIKKPAPKKVDAPQNQAPVPSTEPPSQKPTAPTTPAEVNKQTPAGVPGNTSNNYFRADGQNCGNFLTDRPSTKVHAAPGGGSSLDYLFGGGSN >ONI08896 pep chromosome:Prunus_persica_NCBIv2:G5:16506390:16508377:1 gene:PRUPE_5G206800 transcript:ONI08896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGESSLGYLFGGGEEIKKPAPKKVDAPQNQAPVPSTEPPSQKPTAPTTPAEVNKQTPAGVPGNTSNNYFRADGQNCGNFLTDRPSTKVHAAPGGGSSLDYLFGGGSN >ONI05606 pep chromosome:Prunus_persica_NCBIv2:G5:1635682:1638335:1 gene:PRUPE_5G014900 transcript:ONI05606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLHGLPLSTCTGRVLTIFHEKSLDFELVPVNLPAGEHKQPAFLAKNPFGQIPVLEDGDLTLFESRAITAYVAEKFKDTGADLIRHNNLKEAALVKVWTEAESQNFNPAISAIVFQHLVAPIYGMTPDQAVIDANLEKLAKVLDVYEAKLSSTKYLAGDFYSLADLNHLPYTHYFMKTPWASLVNERSHVKAWWEDISSRPAFKKVAEAMTMGQK >ONI09322 pep chromosome:Prunus_persica_NCBIv2:G5:17671337:17672849:1 gene:PRUPE_5G231900 transcript:ONI09322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSGSSPFLSHSLTRPSHFSSSSSSSQTPPPVPPQNLPSQPHSPTPIQQLSTASSEQPKTPVSVKAEQQKAASSSSTTKSTKTKADSTDWIASTLTRRFGIGAGLAWAAFLTVGVVSEQIKTRLEVSQQESNTRNVEKEEEVVLPNGIRYYELRVGGGASPRAGDLVVIELKGKVEGSGQVFVDTFEREKKPLALVVGSRQPYSKGVCEGIEYVLRSMKAGGKRRVLVPPSLGFGENGADLGPGLQIPSFATLDYIIEVDRVSIAPA >ONI09533 pep chromosome:Prunus_persica_NCBIv2:G5:18265775:18270230:-1 gene:PRUPE_5G243400 transcript:ONI09533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKPEPVNGTATAKTGPSPSPATSMSGLKPDLLNNLSFKTLKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTEKGFYDFWNWFDSESWYPLGRIIGGTLYPGLMVTAALIYWTLRFLSFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDTGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCTYIIGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVRHLLSDTKMFQAFLRITVTCAVGIGAVALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATIKNLTQLVRAKNKVPQTGSTKGGSSTKGSSKGSVDQSQPFQRNGATVLLFGAFYLLSKYATHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELTTEYGKPPGYDRARGVEIGNKDIKLEHLEEAFTTSNWIVRIYKVKPPNNRW >ONI05797 pep chromosome:Prunus_persica_NCBIv2:G5:2740267:2749731:1 gene:PRUPE_5G024400 transcript:ONI05797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSDIGVSALINILSAIAFLLAFAFLRIQPVNDRVYFPKWYINGSRSSPRGSGNFVGKFVNLNFKTYLTFLNWMPQAMKMSESEIINHAGLDSAVFLRIYILGLKIFVPMAVLALLILIPVNVSSGTLFFLRKELVLSDIDKLSISNVRPKSIRFFFHIGLEYLFTLWTCYMLYKEYDYVASMRLQFLASQRRRAEQFTVVVRSIPHVSGRSVSDTVDHFFQKNHPNNYLCHQAVYNANKFAKLARKRDRLQNWLDYNLLKFERHPDKKPTTKKGFLGLWGESVDSIEYYKQQIKQFDKIMTLEQQKILKDPKSILPVAFVSFDSRWGAAVCAQTQQSKNPTLWLTNWAPEPRDVYWRNLAIPFVSLSIRKLVITLSVFALVFFYMIPIAFVQSLANLEGLERVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPTVLMIMSKIEGHIALSILERKTAAKYYYFMLVNVFLGSIVTGTAFQQLHAFLHQSPTQIPRTIGVSIPTKATFFITYIMVDGWAAVAGEILRLKPLVIFHLKNMFLVKTERDRVKAMDPGSVDFPETLPSLQLYFLLGIVYAVVTPILLPFILVFFALAYLVYRHQIINVYNQHYESAAAFWPQVHSRIIASLVISQLLLMGLLSTKKAANSTPFLVVLPILTLSFHKYCKYRFEPAFREYPLEEAMAKDAMERTAEPDLNLKSYLADAYLHPIFRSFEEQELVEVRIDKHQTHAATPITSELSSPSPPHYVHQTPPSPPQYAHYQSSPPQYVYNSNSPPSYEYHSTYPPHYAYYNEEP >ONI05798 pep chromosome:Prunus_persica_NCBIv2:G5:2740846:2749731:1 gene:PRUPE_5G024400 transcript:ONI05798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLALLILIPVNVSSGTLFFLRKELVLSDIDKLSISNVRPKSIRFFFHIGLEYLFTLWTCYMLYKEYDYVASMRLQFLASQRRRAEQFTVVVRSIPHVSGRSVSDTVDHFFQKNHPNNYLCHQAVYNANKFAKLARKRDRLQNWLDYNLLKFERHPDKKPTTKKGFLGLWGESVDSIEYYKQQIKQFDKIMTLEQQKILKDPKSILPVAFVSFDSRWGAAVCAQTQQSKNPTLWLTNWAPEPRDVYWRNLAIPFVSLSIRKLVITLSVFALVFFYMIPIAFVQSLANLEGLERVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPTVLMIMSKIEGHIALSILERKTAAKYYYFMLVNVFLGSIVTGTAFQQLHAFLHQSPTQIPRTIGVSIPTKATFFITYIMVDGWAAVAGEILRLKPLVIFHLKNMFLVKTERDRVKAMDPGSVDFPETLPSLQLYFLLGIVYAVVTPILLPFILVFFALAYLVYRHQIINVYNQHYESAAAFWPQVHSRIIASLVISQLLLMGLLSTKKAANSTPFLVVLPILTLSFHKYCKYRFEPAFREYPLEEAMAKDAMERTAEPDLNLKSYLADAYLHPIFRSFEEQELVEVRIDKHQTHAATPITSELSSPSPPHYVHQTPPSPPQYAHYQSSPPQYVYNSNSPPSYEYHSTYPPHYAYYNEEP >ONI08206 pep chromosome:Prunus_persica_NCBIv2:G5:14320427:14324593:1 gene:PRUPE_5G164300 transcript:ONI08206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSATTMALRVSSSSSSSSSSSFFSISPNHKPNSLPFKFSTFRPPQPTLLKSLKCIQTPPSNSNPLKQPLKNPRSASPLSCSALTLSSSQTTELVPCKLQTLISEFQALSEPIDRVKRLLHYATLLPPFNDSDRVDSNRVMGCTAQVWLEAKMDKEGKMRFSADSDSEITKGFCSCLVSVLDGASPDEVLMVKTDDLSSLNVGLPGAQRSRVNTWHNVLVSMQKKTKALVAEQQGRPPFEPFPSLVITADGIHAKGSFAEAQARYLFPDESKVEELVNVLKEKKIGIVAHFYMDPEVQGILTAAQKHWPHIHISDSLVMADSAVNMAKAGCEFITVLGVDFMSENVRAILDQAGFEKVGVYRMSNERIGCSLADAASSPSYMSYLEAASRSPNSLHVVYINTSLETKAYAHELVPTITCTSSNVVQTILQAFVQVPDANIWYGPDSYMGANIRELLQQMTKMTDEEIAEIHPEHNRDSIRSLLPRLHYFQDGTCIVHHLFGNEVVDRIKEMYCDAYLTAHFEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQRIQEALDRNVNEHLQFVLGTESGMVTSIVAAVRGLLGSARSGGAEINVEIVFPVSSESVTTSSNASPGLNSVKVGDVILPVIPGVASGEGCSINGGCASCPYMKMNSLSSLLKVCHHLPDETNFALSAYEAGRFKLQTPNGKSVADVGCEPILHMRHFQASKKLPEKLISHILHPSGNGSSSVLN >ONI06371 pep chromosome:Prunus_persica_NCBIv2:G5:6104847:6105743:1 gene:PRUPE_5G056700 transcript:ONI06371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRTAHNKFMSIKSQMLMSQNYFILSLNEFADLTNEEFREIRDGYMKQSSKSIMSNSTKATCQDHGCEGDLMDDGFQFIQRNKRLTTEVNHSHQGVDGTTVANEPISVAIDASGCTFQFYSSGVYTGTCGINLDHGAGLVVMGLNTGWGGILGARCGESGYVTMQRGIPSKEGLCGIAIEPSYPTA >ONI06939 pep chromosome:Prunus_persica_NCBIv2:G5:10065814:10066356:-1 gene:PRUPE_5G090300 transcript:ONI06939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSGKQSRYHGIRSRGGKWVSEIREPRKTRRIWLGTFPTAEMAAAAYDAAALALKRADAVLNFPSSMDSYPVPASMSPQDIRSAAAAAAAATALQRNEDADNSLKVTERQKKYEYDMTMMSSTSLASSVGMDEEELFGMPNLLANMAEGMLLSPPRPSDYPDSSGNSDGAESRLWSY >ONI09242 pep chromosome:Prunus_persica_NCBIv2:G5:17438859:17439473:1 gene:PRUPE_5G226100 transcript:ONI09242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFHPYSDAAHIDRLFILGLAITSLHSGRSKPEKIKEHSKPTPCSDFSRRTTSTSTWLIWDVHLTGLI >ONI06346 pep chromosome:Prunus_persica_NCBIv2:G5:5860218:5865830:1 gene:PRUPE_5G054900 transcript:ONI06346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFHEHIITELLDDPQNGSGLVIVSSGLCLPKLISTLLLLHTPSQGTLLILSPHQPSFKSQLLHHFHPNPIPEISADLPSHHRHSLYTSSNVFFITPRILIVDLLTKKLPTSQIAGLIIPTVHSLTETSTEAFIVRIFRSLNKTAFVRAFSDKPHSMVSGFAKAERIMKCLYIRKMHLWPRFHVDVSQELERDAPEVVDIRVPMTKHMVGIQKAIIEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDTLRVSESFRSVWIFAESSYKIFEYAKKRVYRFVTSGGVMLSDKGKSSKGKKRKLKEDDDKEQVGGTSSTSTSSGVVLDEVLEEAPKWKVLREVLEEIEEERQQAFPRGEHLVEEDDDDSGIVLVACKDERSCMQLEDFITNGPHKVMRDEWENYLLGKGELRDLHTRFKKKPKKPKGFGILDGVVHAPTPQNAEASSINKQENDALLAAAAEIRKRAKKDDAVGDDPQLPVSGRGRGKGKVVPLKPPQNAEASSGNKQEHDVLLASASEIRKQAKKDEAVGDDPPLRGKGRGKGRGKVRDKKGPANVKSSGNNDDNNDKKEAILDKLVISDSENEGQADQLNQVVTADSSEATCQGDTVDQGVLRKHTGEVDSTVSRNAKPLPPVHFCALESGQPILDILRPSIIIVYHPDMSFVREIEVHKAENPSKKLKVFFLFYEESSEVQKFEASIRRENAAFESLIRQKSLMMIPVDQDGNCLGLNSSLEPQTLSSQNSVTRKAGGRKEVEKEMQVIVDMREFMSSLPNVLHQKGMHIIPVTLEVGDYILSPLICVERKSIQDLFMSFTSGRLYHQVETMVRYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPNSIISKLSLLALHFPRLRIIWSRSLHATAEIFATLKANQDEPDETKAMRVGVPSEDGIVEDDVRAENYNTSAMEFLRRLPGVTDSNYRAIMDGCKSLAELALMPVERLAELMGGQKAARTLRDFLDAKYPTLL >ONI06345 pep chromosome:Prunus_persica_NCBIv2:G5:5860318:5865745:1 gene:PRUPE_5G054900 transcript:ONI06345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFHEHIITELLDDPQNGSGLVIVSSGLCLPKLISTLLLLHTPSQGTLLILSPHQPSFKSQLLHHFHPNPIPEISADLPSHHRHSLYTSSNVFFITPRILIVDLLTKKLPTSQIAGLIIPTVHSLTETSTEAFIVRIFRSLNKTAFVRAFSDKPHSMVSGFAKAERIMKCLYIRKMHLWPRFHVDVSQELERDAPEVVDIRVPMTKHMVGIQKAIIEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDTLRVSESFRSVWIFAESSYKIFEYAKKRVYRFVTSGGVMLSDKGKSSKGKKRKLKEDDDKEQAVGGTSSTSTSSGVVLDEVLEEAPKWKVLREVLEEIEEERQQAFPRGEHLVEEDDDDSGIVLVACKDERSCMQLEDFITNGPHKVMRDEWENYLLGKGELRDLHTRFKKKPKKPKGFGILDGVVHAPTPQNAEASSINKQENDALLAAAAEIRKRAKKDDAVGDDPQLPVSGRGRGKGKVVPLKPPQNAEASSGNKQEHDVLLASASEIRKQAKKDEAVGDDPPLRGKGRGKGRGKVRDKKGPANVKSSGNNDDNNDKKEAILDKLVISDSENEGQADQLNQVVTADSSEATCQGDTVDQGVLRKHTGEVDSTVSRNAKPLPPVHFCALESGQPILDILRPSIIIVYHPDMSFVREIEVHKAENPSKKLKVFFLFYEESSEVQKFEASIRRENAAFESLIRQKSLMMIPVDQDGNCLGLNSSLEPQTLSSQNSVTRKAGGRKEVEKEMQVIVDMREFMSSLPNVLHQKGMHIIPVTLEVGDYILSPLICVERKSIQDLFMSFTSGRLYHQVETMVRYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPNSIISKLSLLALHFPRLRIIWSRSLHATAEIFATLKANQDEPDETKAMRVGVPSEDGIVEDDVRAENYNTSAMEFLRRLPGVTDSNYRAIMDGCKSLAELALMPVERLAELMGGQKAARTLRDFLDAKYPTLL >ONI08370 pep chromosome:Prunus_persica_NCBIv2:G5:14833501:14838131:-1 gene:PRUPE_5G174000 transcript:ONI08370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLLSPAEIKAISFLDSTKSTHLPKLPGGFALRRKDCKTVVGRRIQCSAAAQTPPPAWPGRAVLETSRRTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRIVALAAGSNVTLLVDQVKRFKPQLVAVRNESLVNELNEALADLEEKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLARKHNVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGSFRDWPVEKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDNIDIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTMSWPERIYCSELTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFISEKISYLDIFKIVELTCAKHRAELVASPSLEEIIHYDLWARDYAANLPSASSSIPVFA >ONI08161 pep chromosome:Prunus_persica_NCBIv2:G5:14161342:14164475:1 gene:PRUPE_5G160700 transcript:ONI08161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEEIAREAIKHALKALRKRHLLEEGAHAPAFIALARPIAHQGSEWKEKAESLELELQQCYKAQSRLSEQLVVEVAESRASKASLQEKEAAVADLQKELTEKRDECSQLTVDLEEKIKALELVVSENKEIRAQLEEMSIRAKNAEAESKVLIDRWMLEKMKDAERLNEANALYEDMIERLRASGLEKLARQQVDGVVRQSEEGAEYFVESTIPSACKSRIHAHEGGCASILFEYNSGKLITGGQDGSIKMWDTNTGALNHTLHGCIGSVLDITITHDNRSIIAASSSNKLYAWDVSSGRVRHTLTGHMDKVCAVDVSNSSRHVVSAAYDRTIKVWDLQKGYCTNTIIFPKNCNALCFSMDGQTICSGHVDGNLRLWDIEKGKLLSEVAAHSNAVTSISLSRNGNVVLTSGRDNVHNLFDMRSLEVCGTLRATGNRVASNWSRSCISPDDNYVAAGSADGSVYIWSISKADIVSTLKEHTASVLCCSWSGHGKPLASADKNGIVCTWA >ONI08162 pep chromosome:Prunus_persica_NCBIv2:G5:14161359:14164404:1 gene:PRUPE_5G160700 transcript:ONI08162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQLCLCRSQEEIAREAIKHALKALRKRHLLEEGAHAPAFIALARPIAHQGSEWKEKAESLELELQQCYKAQSRLSEQLVVEVAESRASKASLQEKEAAVADLQKELTEKRDECSQLTVDLEEKIKALELVVSENKEIRAQLEEMSIRAKNAEAESKVLIDRWMLEKMKDAERLNEANALYEDMIERLRASGLEKLARQQVDGVVRQSEEGAEYFVESTIPSACKSRIHAHEGGCASILFEYNSGKLITGGQDGSIKMWDTNTGALNHTLHGCIGSVLDITITHDNRSIIAASSSNKLYAWDVSSGRVRHTLTGHMDKVCAVDVSNSSRHVVSAAYDRTIKVWDLQKGYCTNTIIFPKNCNALCFSMDGQTICSGHVDGNLRLWDIEKGKLLSEVAAHSNAVTSISLSRNGNVVLTSGRDNVHNLFDMRSLEVCGTLRATGNRVASNWSRSCISPDDNYVAAGSADGSVYIWSISKADIVSTLKEHTASVLCCSWSGHGKPLASADKNGIVCTWA >ONI05349 pep chromosome:Prunus_persica_NCBIv2:G5:434425:435739:1 gene:PRUPE_5G003000 transcript:ONI05349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEGDVGFLDENDIIGEIDWDFLFDGSNTLEDVLELENPVVTATGSSSPSPSSTVEDAPSDSSPDWIGEIETILMKDDDVNGNQVVPDSVEPTNAEYYEKFLADVLVDSPSTDAGSNASADSEKEKLDRSPLNDDDDDDDDAADADADDPISKKRRRQLRNKDAAVRSRERKKIYVRDLEMKSKYLEGECRRLGRLLQCCYAENHALRLGLQMNNAYGHGHGVLATKQESAVLLLELLLLGSLLRCLDIMCLVALPLILMAGLRNPLNNVAANKDLENVDLRPRGAASKMFQHSVLLCFSKSRRCKASRTKMKHSRFPCLLGSYVSFALPLLMLAT >ONI08296 pep chromosome:Prunus_persica_NCBIv2:G5:14582944:14585134:1 gene:PRUPE_5G170200 transcript:ONI08296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRICNPLRRLPFLSNCSYQIKSSQVRRFSVRSCRLDPNESHKVHDHAENEKLCVFLIEKALSALPEGTEDILGIFDLRGFGTENADLSFITFLFDVFYYYYPKRLGQVLFVEAPFIFKPIWQLAKPLLKSYASLVRFCSVETVRKEYFTDATVPANFRD >ONI05932 pep chromosome:Prunus_persica_NCBIv2:G5:3464212:3465922:-1 gene:PRUPE_5G029600 transcript:ONI05932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELADKAVGFLLSYISLSIFTYYTFWVIILPFVNSDHFIHRYFLPQEYAILIPVFAGMVLLCFLCIFIGYVMLKSKKKKA >ONI07066 pep chromosome:Prunus_persica_NCBIv2:G5:10666795:10670509:-1 gene:PRUPE_5G098100 transcript:ONI07066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKVYVDRMSQPSRAILIFLKANGIEFEEVKIDIAKRQHKSPEFKKINPMGQVPAISDGRFNLFESHAILTYLACAFPGVADSWYPSDLFARAKINSVLDWHHSNLRRGSATFVLHTVLAPVFGLPSNPQAAAEAETLLSSSLSTIESIWLKGNGKFLLGGFRPSIADLSLVCEIMQLELLEEKDRSRILDPHTKVLQWIENTKNATRPHFYEVHDVLFRAKTRFQEQRLKRASNKTDSSDRSKLPSKM >ONI07672 pep chromosome:Prunus_persica_NCBIv2:G5:12828131:12829956:-1 gene:PRUPE_5G134800 transcript:ONI07672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSSPSSGSSATQFNPDAFKDQLKNQLAQAYAEEFLETLRSKCFDKCITKPGSSLGGSESSCISRCMDRYIEATGIISKALFSASPQ >ONI06025 pep chromosome:Prunus_persica_NCBIv2:G5:3990674:3993184:-1 gene:PRUPE_5G035100 transcript:ONI06025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFFKRGGKKKKIIMRQSVVSWNNRALATYRMPNGSSESMNITKHVLIVMDGMKEFTTEPLEWALENVINAGSTVTLLGVMPWLNIPLSSKTWLDVWPVGLEELSFVKEKNEWKSDLKYLKLQKVVDLCNKYGVFPQKKVIMGYPSRLLVVEQITTLHATWVVFDRHQKNDRKFYAGKLPCNMVMMNEEGEVDMIKGRPMIDSDSAVGESPASLLPTPQLMICDHLKGILKKRAQDLHQDPEDQDRKDVP >ONI05491 pep chromosome:Prunus_persica_NCBIv2:G5:973835:986555:1 gene:PRUPE_5G009400 transcript:ONI05491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATPAKNPNRTPKGSKNGYQIGGLQVEFPYHPYGAQLAFMSRVISTLDRAHRDGHCHALLESPTGTGKSLSLLCSTLAWQQNYKSKHKYANLSHSKPDPKAMTDPTAHGGGFVPEEEPASVQLSEKPKPSQFVANNKDQKKQTTPTIYYASRTHSQISQVIREYRKTSYRVPMAVLASRRHYCTNAQVHGKENIDEECKHLLKNREVGCLEFKNVQKVKGHASLKKGGCHEAHDIEDLVKVGKVVKGCPYYAARSLSDDAELVFCPYNYIINPVIRGAMEVDIKGSIVVLDEAHNIEDVARDAGSVDIEEDILHKLQMELEQLCPVDVLVYQPLYEMIQGLISWIERKKNKLEKREFQHFVSCWTGDKALRELQEANVSQQYFPILLECATKAFKAATDTESDTPHLSGMAVVTLEGLVSSLTYFFSRKGCHMVDYQLALQRYVKREAGSSGDWTHTLSLWCLNPAVVFKDIADLSLSVILTSGTLSPMNSFSSELGLQFGTTLEAPHVWPAVISTGPGTLSLNASYKTADEYAFQDALGKSLEEIFKIVPGGCLVFFPSYKLMGKLCKRWRGTGQWSQLNEKKNLFVEPRGGDQEEFDSVLRGYYDSVRQGNKPDFRRKKSANKTSIKNHFAGVQCAGKNNTDGAAFLAVCRGKVSEGIDFTDDNARAVIIVGIPFPNINDIQVALKKKYNDTHKSSKSLLSGNEWYCHQAFRAVNQAAGRCIRHRFDYGAVILLDERYREERNTAYVSKWLKKSIRRYENFDKSMEELKSFFSDVKERVSNNMVNVSQNFENKDENIHSMGQSEGFTKMKEKKLKKFDTCEQPENSEMKHDALLKSQDALEVQKFVRINEDADLSKEIIDLECHLQKDSRYPKPSLFTAFSDADPDISIVQETPNMDGIISTYSPGYLNKDENSGSTSSTIEASSDFTDHLSFQSASLTNSSKASPKAQCGFAATPEKNVNLSIQSSMLEIDSSLSLSVNSHTQKRRKSVYSPLANFVYGQCDASDSRSPDSTSSVRSMATIDTNRQIEFSVETNCSGHKSNVPHVLTTSNLVASSMSVGCVMDKRLQISCLLCKTPLGLPENHLYLSCSITLSSKFHLESLHKEVLKSHTGNTSIGMPVIITDISSVDQRLCNRTSEGAPRQGIWCEEDGCVFSTIFCPFCISHSNCLGVQVMAANASNVHLLNKMLFYLDQLHIQNLEASSDKASEEKDILPVVDPSLDKASILNSFDKFSYSPIEQNTGGWRTTKSKLKLPKRRLLPEAEG >ONI05489 pep chromosome:Prunus_persica_NCBIv2:G5:973835:986555:1 gene:PRUPE_5G009400 transcript:ONI05489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATPAKNPNRTPKGSKNGYQIGGLQVEFPYHPYGAQLAFMSRVISTLDRAHRDGHCHALLESPTGTGKSLSLLCSTLAWQQNYKSKHKYANLSHSKPDPKAMTDPTAHGGGFVPEEEPASVQLSEKPKPSQFVANNKDQKKQTTPTIYYASRTHSQISQVIREYRKTSYRVPMAVLASRRHYCTNAQVHGKENIDEECKHLLKNREVGCLEFKNVQKVKGHASLKKGGCHEAHDIEDLVKVGKVVKGCPYYAARSLSDDAELVFCPYNYIINPVIRGAMEVDIKGSIVVLDEAHNIEDVARDAGSVDIEEDILHKLQMELEQLCPVDVLVYQPLYEMIQGLISWIERKKNKLEKREFQHFVSCWTGDKALRELQEANVSQQYFPILLECATKAFKAATDTESDTPHLSGMAVVTLEGLVSSLTYFFSRKGCHMVDYQLALQRYVKREAGSSGDWTHTLSLWCLNPAVVFKDIADLSLSVILTSGTLSPMNSFSSELGLQFGTTLEAPHVIDVESQVWPAVISTGPGTLSLNASYKTADEYAFQDALGKSLEEIFKIVPGGCLVFFPSYKLMGKLCKRWRGTGQWSQLNEKKNLFVEPRGGDQEEFDSVLRGYYDSVRQGNKPDFRRKKSANKTSIKNHFAGVQCAGKNNTDGAAFLAVCRGKVSEGIDFTDDNARAVIIVGIPFPNINDIQVALKKKYNDTHKSSKSLLSGNEWYCHQAFRAVNQAAGRCIRHRFDYGAVILLDERYREERNTAYVSKWLKKSIRRYENFDKSMEELKSFFSDVKERVSNNMVNVSQNFENKDENIHSMGQSEGFTKMKEKKLKKFDTCEQPENSEMKHDALLKSQDALEVQKFVRINEDADLSKEIIDLECHLQKDSRYPKPSLFTAFSDADPDISIVQETPNMDGIISTYSPGYLNKDENSGSTSSTIEASSDFTDHLSFQSASLTNSSKASPKAQCGFAATPEKNVNLSIQSSMLEIDSSLSLSVNSHTQKRRKSVYSPLANFVYGQCDASDSRSPDSTSSVRSMATIDTNRQIEFSVETNCSGHKSNVPHVLTTSNLVASSMSVGCVMDKRLQISCLLCKTPLGLPENHLYLSCSITLSSKFHLESLHKEVLKSHTGNTSIGMPVIITDISSVDQRLCNRTSEGAPRQGIWCEEDGCVFSTIFCPFCISHSNCLGVQVMAANASNVHLLNKMLFYLDQLHIQNLEASSDKASEEKDILPVVDPSLDKASILNSFDKFSYSPIEQNTGGWRTTKSKLKLPKRRLLPEAEG >ONI05490 pep chromosome:Prunus_persica_NCBIv2:G5:973835:986555:1 gene:PRUPE_5G009400 transcript:ONI05490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATPAKNPNRTPKGSKNGYQIGGLQVEFPYHPYGAQLAFMSRVISTLDRAHRDGHCHALLESPTGTGKSLSLLCSTLAWQQNYKSKHKYANLSHSKPDPKAMTDPTAHGGGFVPEEEPASVQLSEKPKPSQFVANNKDQKKQTTPTIYYASRTHSQISQVIREYRKTSYRVPMAVLASRRHYCTNAQVHGKENIDEECKHLLKNREVGCLEFKNVQKVKGHASLKKGGCHEAHDIEDLVKVGKVVKGCPYYAARSLSDDAELVFCPYNYIINPVIRGAMEVDIKGSIVVLDEAHNIEDVARDAGSVDIEEDILHKLQMELEQLCPVDVLVYQPLYEMIQGLISWIERKKNKLEKREFQHFVSCWTGDKALRELQEANVSQQYFPILLECATKAFKAATDTESDTPHLSGMAVVTLEGLVSSLTYFFSRKGCHMVDYQLALQRYVKREAGSSGDWTHTLSLWCLNPAVVFKDIADLSLSVILTSGTLSPMNSFSSELGLQFGTTLEAPHVIDVESQVWPAVISTGPGTLSLNASYKTADEYAFQDALGKSLEEIFKIVPGGCLVFFPSYKLMGKLCKRWRGTGQWSQLNEKKNLFVEPRGGDQEEFDSVLRGYYDSVRQGNKPDFRRKKSANKTSIKNHFAGVQCAGKNNTDGAAFLAVCRGKVSEGIDFTDDNARAVIIVGIPFPNINDIQVALKKKYNDTHKSSKSLLSGNEWYCHQAFRAVNQAAGRCIRHRFDYGAVILLDERYREERNTAYVSKWLKKSIRRYENFDKSMEELKSFFSDVKERVSNNMVNVSQNFENKDENIHSMGQSEGFTKMKEKKLKKFDTCEQPENSEMKHDALLKSQDALEVQKFVRINEDADLSKEIIDLECHLQKDSRYPKPSLFTAFSDADPDISIVQETPNMDGIISTYSPGYLNKDENSGSTSSTIEASSDFTDHLSFQSASLTNSSKASPKAQCGFAATPEKNVNLSIQSSMLEIDSSLSLSVNSHTQKRRKSVYSPLANFVYGQCDASDSRSPDSTSSVRSMATIDTNRQIEFSVETNCSGHKSNVPHVLTTSNLVASSMSVGCVMDKRLQISCLLCKTPLGLPENHLYLSCSITLSSKFHLESLHKEVLKSHTGNTSIGMPVIITDISSVDQRLCNRTSEGAPRQGIWCEEDGCVFSTIFCPFCISHSNCLGVQVMAANASNVHLLNKMLFYLDQLHIQNLEASSDKASEEKLKLPKRRLLPEAEG >ONI06581 pep chromosome:Prunus_persica_NCBIv2:G5:8271119:8271358:-1 gene:PRUPE_5G068500 transcript:ONI06581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLIRVRKTVGVCCCCNNRLPLNDRIRSCAIKLKTRFFTFLFIYLFILQEILKDKFELRTSNRRITILNHFSYKLFIK >ONI07629 pep chromosome:Prunus_persica_NCBIv2:G5:12702890:12703283:-1 gene:PRUPE_5G132100 transcript:ONI07629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLPLCRHKNKSQAPRVVTKNESFLHIVKNQDKILEHKRPMILEDISKDMDGASCGKRVRLIITKQELQELVSKKISVDEMLLRIQREAGISGGNNCGTRWKPVLETILE >ONI09375 pep chromosome:Prunus_persica_NCBIv2:G5:17808056:17813867:1 gene:PRUPE_5G234800 transcript:ONI09375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTGDRYLEKLVHFVEQQAGSLIDGSLVLKLNPAGFHYVNSRLEALLELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPTPLSFWPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCACNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCVKLKHLDLGFNHLRTISSISEVTCHILKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELEYLAGLPALQSLWLEGNPLCCARWYRSHVFSYLTNPEKLKLDDKEISTREFWKRQLIIASRQKRPASFGFYSPAKCDPEGESSINRRRKKVSRLASIVNEEESTHLCSDQESVSCDNEIQSREEIVMSDDEAEIVDLMTRVERMKKERSVLWLREFKEWLDHASGNIADSSRYSGDTLHVERENYMKSKASWTQLGEKSRYVSDYVQASGDESSTNVLESDRSFLDVTTGSHARHFDQTGSMGNAGGVSPVGIDSRYLKEDVKVYSHEGTSTVSAQTKSSDAHSFTTQRSYRMVENLSMSALSVIDDISESYSLSAFPGSPPHYQEDILHRRHNLEEEILQLSAESYSVASSDSNTSCSEDDNSESKQSAPEDHHLLNENWLNKNSEEHPYSDCFKYYGRKHEVPHVRENDKHSVGKCVDQTSSMQEFSNLDHSLQSSINDVHAAAHDVENAHCINEEGDLLGRRKGRQKTKRRVVTLLDDENMIRQAEPSPKLNGNLDNHVAQVEIKQEKQHFYGGDFHEIIDEKQMLENRNLGNHEISKQCMWCCCILELDSLQREREVAVLLSSENKLYVLHIGVAGDESGTILNLQGCHKVEDIREVVVGIGLHVVRVYVEGSAYLFKTRSIDKSRQLLSILKVIDSFAPNDEFCLRSLEQVQVELFEKHICGGSKVSIFQYSMVQFWCSYNEGC >ONI09374 pep chromosome:Prunus_persica_NCBIv2:G5:17808055:17815140:1 gene:PRUPE_5G234800 transcript:ONI09374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTGDRYLEKLVHFVEQQAGSLIDGSLVLKLNPAGFHYVNSRLEALLELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPTPLSFWPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCACNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCVKLKHLDLGFNHLRTISSISEVTCHILKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELEYLAGLPALQSLWLEGNPLCCARWYRSHVFSYLTNPEKLKLDDKEISTREFWKRQLIIASRQKRPASFGFYSPAKCDPEGESSINRRRKKVSRLASIVNEEESTHLCSDQESVSCDNEIQSREEIVMSDDEAEIVDLMTRVERMKKERSVLWLREFKEWLDHASGNIADSSRYSGDTLHVERENYMKSKASWTQLGEKSRYVSDYVQASGDESSTNVLESDRSFLDVTTGSHARHFDQTGSMGNAGGVSPVGIDSRYLKEDVKVYSHEGTSTVSAQTKSSDAHSFTTQRSYRMVENLSMSALSVIDDISESYSLSAFPGSPPHYQEDILHRRHNLEEEILQLSAESYSVASSDSNTSCSEDDNSESKQSAPEDHHLLNENWLNKNSEEHPYSDCFKYYGRKHEVPHVRENDKHSVGKCVDQTSSMQEFSNLDHSLQSSINDVHAAAHDVENAHCINEEGDLLGRRKGRQKTKRRVVTLLDDENMIRQAEPSPKLNGNLDNHVAQVEIKQEKQHFYGGDFHEIIDEKQMLENRSNIPLIDYANGSSGAECLSSGIDDFIESYFNTNVADLGNHEISKQCMWCCCILELDSLQREREVAVLLSSENKLYVLHIGVAGDESGTILNLQGCHKVEDIREVVVGIGLHVVRVYVEGSAYLFKTRSIDKSRQLLSILKVIDSFAPNDEFCLRSLEQVQVELFEKHICGGSKVSIFQYSMVQFWCSYNEGC >ONI09377 pep chromosome:Prunus_persica_NCBIv2:G5:17808056:17813867:1 gene:PRUPE_5G234800 transcript:ONI09377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTGDRYLEKLVHFVEQQAGSLIDGSLVLKLNPAGFHYVNSRLEALLELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPTPLSFWPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCACNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCVKLKHLDLGFNHLRTISSISEVTCHILKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELEYLAGLPALQSLWLEGNPLCCARWYRSHVFSYLTNPEKLKLDDKEISTREFWKRQLIIASRQKRPASFGFYSPAKCDPEGESSINRRRKKVSRLASIVNEEESTHLCSDQESVSCDNEIQSREEIVMSDDEAEIVDLMTRVERMKKERSVLWLREFKEWLDHASGNIADSSRYSGDTLHVERENYMKSKASWTQLGEKSRYVSDYVQASGDESSTNVLESDRSFLDVTTGSHARHFDQTGSMGNAGGVSPVGIDSRYLKEDVKVYSHEGTSTVSAQTKSSDAHSFTTQRSYRMVENLSMSALSVIDDISESYSLSAFPGSPPHYQEDILHRRHNLEEEILQLSAESYSVASSDSNTSCSEDDNSESKQSAPEDHHLLNENWLNKNSEEHPYSDCFKYYGRKHEVPHVRENDKHSVGKCVDQTSSMQEFSNLDHSLQSSINDVHAAAHDVENAHCINEEGDLLGRRKGRQKTKRRVVTLLDDENMIRQAEPSPKLNGNLDNHVAQVEIKQEKQHFYGGDFHEIIDEKQMLENRSNIPLIDYANGSSGAECLSSGIDDFIESYFNTNVADLGNHEISKQCMWCCCILELDSLQREREVAVLLSSENKLYVLHIGVAGDESGTILNLQGCHKVEDIREVVVGIGLHVVRVYVEGSAYLFKTRSIDKSRQLLSILKVIDSFAPNDEFCLRRFRLSCLRNTYVEVQK >ONI09370 pep chromosome:Prunus_persica_NCBIv2:G5:17808056:17815140:1 gene:PRUPE_5G234800 transcript:ONI09370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTGDRYLEKLVHFVEQQAGSLIDGSLVLKLNPAGFHYVNSRLEALLELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPTPLSFWPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCACNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCVKLKHLDLGFNHLRTISSISEVTCHILKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELEYLAGLPALQSLWLEGNPLCCARWYRSHVFSYLTNPEKLKLDDKEISTREFWKRQLIIASRQKRPASFGFYSPAKCDPEGESSINRRRKKVSRLASIVNEEESTHLCSDQESVSCDNEIQSREEIVMSDDEAEIVDLMTRVERMKKERSVLWLREFKEWLDHASGNIADSSRYSGDTLHVERENYMKSKASWTQLGEKSRYVSDYVQASGDESSTNVLESDRSFLDVTTGSHARHFDQTGSMGNAGGVSPVGIDSRYLKEDVKVYSHEGTSTVSAQTKSSDAHSFTTQRSYRMVENLSMSALSVIDDISESYSLSAFPGSPPHYQEDILHRRHNLEEEILQLSAESYSVASSDSNTSCSEDDNSESKQSAPEDHHLLNENWLNKNSEEHPYSDCFKYYGRKHEVPHVRENDKHSVGKCVDQTSSMQEFSNLDHSLQSSINDVHAAAHDVENAHCINEEGDLLGRRKGRQKTKRRVVTLLDDENMIRQAEPSPKLNGNLDNHVAQVEIKQEKQHFYGGDFHEIIDEKQMLENRSNIPLIDYANGSSGAECLSSGIDDFIESYFNTNVADLGNHEISKQCMWCCCILELDSLQREREVAVLLSSENKLYVLHIGVAGDESGTILNLQGCHKVEDIREVVVGIGLHVVRVYVEGSAYLFKTRSIDKSRQLLSILKVIDSFAPNDEFCLRSLEQVQVELFEKHICGGSKVSIFQYSMVQFWCSYNEGESWFSRSLFVAGEHVFVCFEDLMQFRSLSVAASLPPYFSLDLCCSIADISELVVDVRESRRVTLAVECAMSEFCPSGSAKIDSLETSVNEKKIAPGSMTWKLQWFSDESPFKFVALLKAIHAGMSVSPLLARSTSRKKL >ONI09371 pep chromosome:Prunus_persica_NCBIv2:G5:17807947:17813882:1 gene:PRUPE_5G234800 transcript:ONI09371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTGDRYLEKLVHFVEQQAGSLIDGSLVLKLNPAGFHYVNSRLEALLELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPTPLSFWPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCACNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCVKLKHLDLGFNHLRTISSISEVTCHILKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELEYLAGLPALQSLWLEGNPLCCARWYRSHVFSYLTNPEKLKLDDKEISTREFWKRQLIIASRQKRPASFGFYSPAKCDPEGESSINRRRKKVSRLASIVNEEESTHLCSDQESVSCDNEIQSREEIVMSDDEAEIVDLMTRVERMKKERSVLWLREFKEWLDHASGNIADSSRYSGDTLHVERENYMKSKASWTQLGEKSRYVSDYVQASGDESSTNVLESDRSFLDVTTGSHARHFDQTGSMGNAGGVSPVGIDSRYLKEDVKVYSHEGTSTVSAQTKSSDAHSFTTQRSYRMVENLSMSALSVIDDISESYSLSAFPGSPPHYQEDILHRRHNLEEEILQLSAESYSVASSDSNTSCSEDDNSESKQSAPEDHHLLNENWLNKNSEEHPYSDCFKYYGRKHEVPHVRENDKHSVGKCVDQTSSMQEFSNLDHSLQSSINDVHAAAHDVENAHCINEEGDLLGRRKGRQKTKRRVVTLLDDENMIRQAEPSPKLNGNLDNHVAQVEIKQEKQHFYGGDFHEIIDEKQMLENRSNIPLIDYANGSSGAECLSSGIDDFIESYFNTNVADLGNHEISKQCMWCCCILELDSLQREREVAVLLSSENKLYVLHIGVAGDESGTILNLQGCHKVEDIREVVVGIGLHVVRVYVEGSAYLFKTRSIDKSRQLLSILKVIDSFAPNDEFCLRSLEQVQVELFEKHICGGSKVSIFQYSMVQFWCSYNEGESWFSRSLFVAGEHVFVCFEDLMQFRSLSVAASLPPYFSLDLCCSIADISELVVDVRESRRVTLAVECAMSEFCPSGSAKIDSLETSVNEKKIAPGSMTWKLQWFSDESPFKFVALLKAIHAGMSVSPLLVRCIS >ONI09376 pep chromosome:Prunus_persica_NCBIv2:G5:17808056:17813850:1 gene:PRUPE_5G234800 transcript:ONI09376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTGDRYLEKLVHFVEQQAGSLIDGSLVLKLNPAGFHYVNSRLEALLELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPTPLSFWPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCACNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCVKLKHLDLGFNHLRTISSISEVTCHILKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELEYLAGLPALQSLWLEGNPLCCARWYRSHVFSYLTNPEKLKLDDKEISTREFWKRQLIIASRQKRPASFGFYSPAKCDPEGESSINRRRKKVSRLASIVNEEESTHLCSDQESVSCDNEIQSREEIVMSDDEAEIVDLMTRVERMKKERSVLWLREFKEWLDHASGNIADSSRYSGDTLHVERENYMKSKASWTQLGEKSRYVSDYVQASGDESSTNVLESDRSFLDVTTGSHARHFDQTGSMGNAGGVSPVGIDSRYLKEDVKVYSHEGTSTVSAQTKSSDAHSFTTQRSYRMVENLSMSALSVIDDISESYSLSAFPGSPPHYQEDILHRRHNLEEEILQLSAESYSVASSDSNTSCSEDDNSESKQSAPEDHHLLNENWLNKNSEEHPYSDCFKYYGRKHEVPHVRENDKHSVGKCVDQTSSMQEFSNLDHSLQSSINDVHAAAHDVENAHCINEEGDLLGRRKGRQKTKRRVVTLLDDENMIRQAEPSPKLNGNLDNHVAQVEIKQEKQHFYGGDFHEIIDEKQMLENRSNIPLIDYANGSSGAECLSSGIDDFIESYFNTNVADLGNHEISKQCMWCCCILELDSLQREREVAVLLSSENKLYVLHIGVAGDESGTILNLQGCHKVEDIREVVVGIGLHVVRVYVEGSAYLFKTRSIDKSRQLLSILKVIDSFAPNDEFCLRRFRLSCLRNTYVEVQK >ONI09373 pep chromosome:Prunus_persica_NCBIv2:G5:17808055:17813882:1 gene:PRUPE_5G234800 transcript:ONI09373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTGDRYLEKLVHFVEQQAGSLIDGSLVLKLNPAGFHYVNSRLEALLELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPTPLSFWPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCACNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCVKLKHLDLGFNHLRTISSISEVTCHILKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELEYLAGLPALQSLWLEGNPLCCARWYRSHVFSYLTNPEKLKLDDKEISTREFWKRQLIIASRQKRPASFGFYSPAKCDPEGESSINRRRKKVSRLASIVNEEESTHLCSDQESVSCDNEIQSREEIVMSDDEAEIVDLMTRVERMKKERSVLWLREFKEWLDHASGNIADSSRYSGDTLHVERENYMKSKASWTQLGEKSRYVSDYVQASGDESSTNVLESDRSFLDVTTGSHARHFDQTGSMGNAGGVSPVGIDSRYLKEDVKVYSHEGTSTVSAQTKSSDAHSFTTQRSYRMVENLSMSALSVIDDISESYSLSAFPGSPPHYQEDILHRRHNLEEEILQLSAESYSVASSDSNTSCSEDDNSESKQSAPEDHHLLNENWLNKNSEEHPYSDCFKYYGRKHEVPHVRENDKHSVGKCVDQTSSMQEFSNLDHSLQSSINDVHAAAHDVENAHCINEEGDLLGRRKGRQKTKRRVVTLLDDENMIRQAEPSPKLNGNLDNHVAQVEIKQEKQHFYGGDFHEIIDEKQMLENRSNIPLIDYANGSSGAECLSSGIDDFIESYFNTNVADLGNHEISKQCMWCCCILELDSLQREREVAVLLSSENKLYVLHIGVAGDESGTILNLQGCHKVEDIREVVVGIGLHVVRVYVEGSAYLFKTRSIDKSRQLLSILKVIDSFAPNDEFCLRSLEQVQVELFEKHICGGSKVSIFQYSMVQFWCSYNEGC >ONI09372 pep chromosome:Prunus_persica_NCBIv2:G5:17808056:17813869:1 gene:PRUPE_5G234800 transcript:ONI09372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTGDRYLEKLVHFVEQQAGSLIDGSLVLKLNPAGFHYVNSRLEALLELESLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPPPARDPTPLSFWPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCACNGLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCVKLKHLDLGFNHLRTISSISEVTCHILKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELEYLAGLPALQSLWLEGNPLCCARWYRSHVFSYLTNPEKLKLDDKEISTREFWKRQLIIASRQKRPASFGFYSPAKCDPEGESSINRRRKKVSRLASIVNEEESTHLCSDQESVSCDNEIQSREEIVMSDDEAEIVDLMTRVERMKKERSVLWLREFKEWLDHASGNIADSSRYSGDTLHVERENYMKSKASWTQLGEKSRYVSDYVQASGDESSTNVLESDRSFLDVTTGSHARHFDQTGSMGNAGGVSPVGIDSRYLKEDVKVYSHEGTSTVSAQTKSSDAHSFTTQRSYRMVENLSMSALSVIDDISESYSLSAFPGSPPHYQEDILHRRHNLEEEILQLSAESYSVASSDSNTSCSEDDNSESKQSAPEDHHLLNENWLNKNSEEHPYSDCFKYYGRKHEVPHVRENDKHSVGKCVDQTSSMQEFSNLDHSLQSSINDVHAAAHDVENAHCINEEGDLLGRRKGRQKTKRRVVTLLDDENMIRQAEPSPKLNGNLDNHVAQVEIKQEKQHFYGGDFHEIIDEKQMLENRNLGNHEISKQCMWCCCILELDSLQREREVAVLLSSENKLYVLHIGVAGDESGTILNLQGCHKVEDIREVVVGIGLHVVRVYVEGSAYLFKTRSIDKSRQLLSILKVIDSFAPNDEFCLRSLEQVQVELFEKHICGGSKVSIFQYSMVQFWCSYNEGESWFSRSLFVAGEHVFVCFEDLMQFRSLSVAASLPPYFSLDLCCSIADISELVVDVRESRRVTLAVECAMSEFCPSGSAKIDSLETSVNEKKIAPGSMTWKLQWFSDESPFKFVALLKAIHAGMSVSPLLVRCIS >ONI06972 pep chromosome:Prunus_persica_NCBIv2:G5:10296409:10299435:-1 gene:PRUPE_5G092700 transcript:ONI06972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLERAGTEKPRANSSYNPNQYYVGTQEHQWTSWLIPMFVVANIIVFIVAMFVNNCPRNNTGSDGKCVAKFLGRLSFQPLRENPLFGPSSSTLEKLGALKWSKVVHGHQGWRLVTCMWLHAGVIHLLANMLSLIFIGIRLEQQFGFVRVGIIYLLSGLGGSILSALFIQSNISVGASGALFGLLGAMLSELLTNWSIYTNKAAALLTLIVIIAINLAVGILPHVDNFAHIGGFLAGFLLGFILLLRPQFGWMESRNLPADTRVKSKHKPYQYILLLVASALLIAGLTTGLVLLFKGENLNKHCSWCHYLSCVPTSRWKCGN >ONI05322 pep chromosome:Prunus_persica_NCBIv2:G5:283040:284249:-1 gene:PRUPE_5G001500 transcript:ONI05322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNEMSVEIVEEILSQRLANVFVKVGIESLLLFVPFVCKFWYRASQNPVCWESLVFPDSEEEATNDPWSLDDYAANLPSTYANRIEGQSFNYFTRRFVREFEIDYNSFSIRSFIKMVINHSCSNTKFLKIPEICSYYVNVIEKILERDSQI >ONI07708 pep chromosome:Prunus_persica_NCBIv2:G5:12869500:12872729:-1 gene:PRUPE_5G135600 transcript:ONI07708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKYSIIVPTYNERLNIALLVYLIFKHLRDVDFEIIIVDDGSPDGTQQVVEQLQQLYGEDRILLRARAKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETGASIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYRKSVLEDIISSCVSKGYVFQMEMIVRASRKGYHIEEVPISFVDRVYGISKLGGSEIVEYLKGLAYLLVTTQLFHFTKLFMNCRKKLPELSMVGIL >ONI06030 pep chromosome:Prunus_persica_NCBIv2:G5:4051716:4054003:1 gene:PRUPE_5G035600 transcript:ONI06030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKFKLRNSFSLKPSRCLLLFHPSPLLSPPPFIATLVFSLSLSNTLFSPLFYCYFICSGKPKQMKHMWAEDTTLASRSTREGICFKFCYIYEDI >ONI07040 pep chromosome:Prunus_persica_NCBIv2:G5:10563355:10564425:-1 gene:PRUPE_5G096800 transcript:ONI07040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVCPQASQVLSIPPYMSGSSKRETYTIWMKSLVCHTNGCTIYNSKGDVVYRVDNYDKKCSNEVHLMDLQGKVLYTIRKKKLQAFERWDGYRLSAPSKVEKEKPWFQVTRYSRMFMGSIACQITVDCDKYWIVRLAGKSTVRFRIVDVNGDIVAEAKQKVSSSGVVLGSDVLTLEVVPHMEHALIMAIIAVYGLLCRKM >ONI05946 pep chromosome:Prunus_persica_NCBIv2:G5:3531652:3535623:-1 gene:PRUPE_5G030700 transcript:ONI05946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQRPLRLPNSLFVGLVLVFFSGIGFCIHSSAVLSEVGSCDPNYDDSDSKISAFCSFKKYIMQSYYEKYENLLDSDFQDFIGRELPFGMCEVLPHDLSLVLKLSILHRNLIGHGSHRHLTSSIRVNIKPESISELPFHHCEVIIIERLPSGVFADPFELQHLLQRGVYSNIAVFGDTNLESPSFLSNRSAVEVHMDIGHNLFLRHAEEFNINVEIPLHARYPPLNENGYWEVKFGVPDLFMRCSVEGKSHDESCLYKVSEITGSKLTYGTVVWKIPSGMKVHAGIVSIFTFVAALFSAILIAATSIFYSDINSSKHLKES >ONI05945 pep chromosome:Prunus_persica_NCBIv2:G5:3531750:3535895:-1 gene:PRUPE_5G030700 transcript:ONI05945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWSLLAPLSILFELLAIFVMETQRPLRLPNSLFVGLVLVFFSGIGFCIHSSAVLSEVGSCDPNYDDSDSKISAFCSFKKYIMQSYYEKYENLLDSDFQDFIGRELPFGMCEVLPHDLSLVLKLSILHRNLIGHGSHRHLTSSIRVNIKPESISELPFHHCEVIIIERLPSGVFADPFELQHLLQRGVYSNIAVFGDTNLESPSFLSNRSAVEVHMDIGHNLFLRHAEEFNINVEIPLHARYPPLNENGYWEVKFGVPDLFMRCSVEGKSHDESCLYKVSEITGSKLTYGTVVWKIPSGMKVHAGIVSIFTFVAALFSAILIAATSIFYSDINSSKHLKES >ONI05645 pep chromosome:Prunus_persica_NCBIv2:G5:1796076:1798022:1 gene:PRUPE_5G016500 transcript:ONI05645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIIKPKKPISSSQSNLCTTLFFIVLFTIPALFLLHTPTTTHCTTFSTRPSPWSGDLRTAEFAWNRLSFPLDRPRPVSLKIAVFSRKWPIGTVPGGMERHAHTLHTALSLLGHQVHVFTSPVLPQELKLETQQGSTSSSSLHIHFHEGEPGRWRYNKAWEQFLEENQREEFDVVHSESVALPHWLARNLPNLAVSWHGIALESLHSGIFQDLTRKPTEPMSPDFNRSIQGVVPKVLNEIRFFHNYAHHVAISDSCGEMLRDVYQIPNKRVHVIVNGVNEAGFSEDHKLGQKFRSLIGISKNASLVFGVAGRLVKDKGHPILYEAFSKLIEKYPNVYLVVAGSGPWEQRYKDLGPQVLVLGSMSPSKLHAFYNGIDIFVNPTLRPQGLDLTLMEAMMSGKPVMASRFPSIKGTIVVDNEFGFMFSPNVESLLEALELGVKEGSKRAAQRGKACREYANSMFTARKMALAYERLFLCIKNETFCTYP >ONI08207 pep chromosome:Prunus_persica_NCBIv2:G5:14325026:14327541:1 gene:PRUPE_5G164400 transcript:ONI08207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSTNGLAGAQGTQPLFSFGVISDVQYADIPDGRSFLGVPRYYRHSIVVLQRAVKRWNDQKRHNFVINFGDIVDGFCPKDQSLDAVRRVVDKFEDFNGPVYHMIGNHCLYNLPRTKLLPLLKIPSVDGRAYYDFSPTPEFRFVVLDGYDISAIGWPQEHPNTIEALKFLEERNPNTDKNSPAGLVGLERRFLMFNGAVGKEQLEWLDDILKEATKLKHKVVICCHLPLDPGSSAKEALLWNYDEVMSVVHQYNCVKVCLAGHDHKGGHSVDSHGIHHRVLEAALECPPGTDAYGYIDVYDDKLELTGTDRMKSTDMLYNPQADLQDNWH >ONI06386 pep chromosome:Prunus_persica_NCBIv2:G5:6247362:6248279:-1 gene:PRUPE_5G057600 transcript:ONI06386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSSFVRFLIMVMFIASILLMHPSQIHADLKVSKFGRKTRPSPPPPPAGNFRKQQSPPPPPMVLTLWLIYCLGKLTHGYHGT >ONI06210 pep chromosome:Prunus_persica_NCBIv2:G5:5034881:5037673:1 gene:PRUPE_5G047500 transcript:ONI06210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYSGENSVESKSSLSGIAPLEAVLFDIDGTLCDSDPIHCHAFREMLQEIGFSGGVPITEEYYIENIGGRHNDDIAKILFPDDFQRGLKFTDDKEVMFRKLAPGQLKPVKGLHKLRKWIEDRGLKRAAVSNAPRPNAELMISILGLSDFFHAVILGSDCEHVKPHPEPYLKALETLNASKDHTFVFEDSASGIKAGVAAGMPVVGLTTGNPAHLLMEAKPTFLIKDYEDPKLWAALEELDKMGGTAISTSGA >ONI08413 pep chromosome:Prunus_persica_NCBIv2:G5:14974290:14975613:-1 gene:PRUPE_5G176800 transcript:ONI08413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRILCGLNQKDGNLVYPPPSSFSVPSVSVNNGQELSPSSSSSSSSSSSSSLSNISPVLLLVIVILAVIFFISGLVHLLVRFLIKRSSSSIFQSNRYPETSGSRAIQRQLQQLFRLHDAGLDQTAIDSLPVFYCKDIIGSKEPFDCAVCLCEFSDQDKLRLLPNCGHAFHIDCIDTWLLSNSTCPLCRGTLLSSGFFLENPVFNFDDAREMSNRFVSEGENMCSSGQKHLTMEEAAGEKRVFSVRLGKFRSLNEGVESGGRVGETSSCNLDARRCYSMGTYQYVVGDSNLQVALSHDCSGDSDAKLVKEGVHNGNFTVNGNLEGKKISGRHKGESFSVSKIWLWSSKNRFPGSSNAHTGVPPFRLSSPIR >ONI06187 pep chromosome:Prunus_persica_NCBIv2:G5:4997496:4999385:-1 gene:PRUPE_5G046400 transcript:ONI06187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAARSVWNVFKRSSQGVDSVSHGHHHRQEQWRGIRVKVLNGNLESALTFMQRKMQSSGIERMIKHEQTHHIKNSEKRVLARKNLERRIKSQDLARKLKVILSKKVRGL >ONI07493 pep chromosome:Prunus_persica_NCBIv2:G5:12232626:12233233:1 gene:PRUPE_5G123600 transcript:ONI07493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNFPALDVFICNADPDKEPPMNVVNTALSVMAYDYPTDKVSVYVSDECGSALTLFAFVESSKFARHWLLFVERTR >ONI09027 pep chromosome:Prunus_persica_NCBIv2:G5:16834776:16837961:-1 gene:PRUPE_5G212800 transcript:ONI09027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATQPTTNLLQNPFLSSKPQTRPQLSSAVPCPRSRRSSSVQCSVAVAPSAAAKASKEYRLKSVKARQIIDSRGNPTVEVDLITDDLYRSAVPSGASTGIYEALELRDGDKAVYGGKGVLNAVKNINEILGPKLVGIDVRNQNDVDAVMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKGVPLYKHIQQVSGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHILKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLIDAIEKAGYTGKIKIGMDVAASEFLTKEGNYDLNFKRQPNDGAHVLSPPNLGELYKEFIKDFPIVSIEDPFDQDDWSSWASLQSSVDIQLVGDDLLVTNPKKIAEAIQRKACNGLLLKVNQIGTITESIQAALDAKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >ONI05968 pep chromosome:Prunus_persica_NCBIv2:G5:3644650:3646266:1 gene:PRUPE_5G031700 transcript:ONI05968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPSCDEQSGLKKGPWTTEEDEKLMDYIARHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEDEERVIINLHSVVGNKWSKIATHLPGRTDNEIKNYWNTHLRKKLLHMGIDPNTHKPRTDLNQLLNLSQLLRAANVGQMNMSSWDNALSLRLQAGAAQLAKMQLLQHLCMQVVNSSSSAAAAPPPPLPNIDMMSTFGYQNLNQFGGQANSLEGFEGHNNSNNNNNNNIPTFDNNMSNYYEDMLQTKNPFPALVSASPGTSCNTNTNQMENSMSGDPAADCFSTHSPTTIFEAWEKLMDDETSESYWKDILESIS >ONI09304 pep chromosome:Prunus_persica_NCBIv2:G5:17598236:17601345:-1 gene:PRUPE_5G230400 transcript:ONI09304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPLLLLSLLLVIQCIAHATEHNEAPVLDPESLNLDTGGLSRASFPKGFVFGTATSAYQVEGMAHKEGRGPSIWDEFIKIPGIVANNGTGEVAVDQYHRYKQDVDLMANLNFDAYRFSISWSRIFPDGTGKVNWKGVAYYNRLINYLIKRGITPYANLYHYDLPLALEKKYLGLLNDEVVKDFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDNGFFAPARCSKAYGNCTAGNSSTEPYIAAHHLILSHGAAVQRYREKYQKVQKGRIGILLDFVWYEPLTRSKADNYAAQRARDFHVGWFIHPIVYGEYPRTIQEIVGDRLPKFTKEEVKMVKGSMDFVGINQYTAYYMYDPHQSKPKVLGYQQDWNAGFAYKKNGVPIGPRAYSSWLYQVPWGLYKALTYIKEHYGNPPVILSENGMDNPGNWTRAEVLHDTTRIKFYKSYMIQLKKAVDEGANVLGYFAWSLLDNFEWRLGYTSRFGIVYVDFKTLERYPKMSAYWFKKLLTKTKH >ONI09163 pep chromosome:Prunus_persica_NCBIv2:G5:17228642:17230573:1 gene:PRUPE_5G221300 transcript:ONI09163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLIFFFSNWNNKNPTDRLFVVSCLIAVLVGILTVPYSAFQWRRNINLSWMKAIARSKKNPKARHKVPIAPHTWVLESVSCGKNLNSCVCFKSRSPSQTLGPMTASDTAHLSCSSSAHKDCKCVSMMGYEHVMHQWTVRRNEVTDQPDETSFCSYCEDPCSGSFLGASPIWCCLWCQRLVHADCHSSMSNETGDICDLGPFRSQTSAGGFLSSITQGANEIASSVRATIRSQSNKSKHGNETSVDTGNSGSTGDMSTESTADTHQVVNGSHEIEENRNGTVNVDLQHQDGDVDRKLDSKPSFKRSSSSNKKDESQVLGMKQKYELIDLSPDARPLLVFINKRSGAQRGNLLRQGLNILLNLVQIFELSSTQGPEVGLFLFRKVPHFRFLVCGGDGIVGWVLIEKQNFVSPPPVAILPARKGIHLARVFSWGGGLGSVERQGGLCTVLHHIEHVAVTILDRWKVAIVNQHYIHQARIKQLQSAKFMNNCP >ONI07668 pep chromosome:Prunus_persica_NCBIv2:G5:12810586:12811825:-1 gene:PRUPE_5G134400 transcript:ONI07668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKQVTKMATNEEEEIHSQYAMQLASASLVPMVLKAAIELGVFDILHRAGPGALLSATQIASLLPSNNNPGADLVLDRMLRLLSAYSVLACSVTSHQTDGEALRLYGLSPVSKYFIKNQDGVSLAPLLYLCNDKVTIDSWFHLKDAVLEGGLPFSQAYGMNVVEYVGKDERFRGVFKDSMKEFNPIFMKKILETYKGFVGLKTLVDVGGGDGTILNMIISMYPAIKGFNYDLPSVVEKSPSHPGIEHIAGDMFVRIPKGDAVFMKVSGCYMAGMTNIA >ONI07667 pep chromosome:Prunus_persica_NCBIv2:G5:12810170:12811913:-1 gene:PRUPE_5G134400 transcript:ONI07667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKQVTKMATNEEEEIHSQYAMQLASASLVPMVLKAAIELGVFDILHRAGPGALLSATQIASLLPSNNNPGADLVLDRMLRLLSAYSVLACSVTSHQTDGEALRLYGLSPVSKYFIKNQDGVSLAPLLYLCNDKVTIDSWFHLKDAVLEGGLPFSQAYGMNVVEYVGKDERFRGVFKDSMKEFNPIFMKKILETYKGFVGLKTLVDVGGGDGTILNMIISMYPAIKGFNYDLPSVVEKSPSHPGIEHIAGDMFVRIPKGDAVFMKWMLHGWDDKHCLMILKNCYEALPDHGKVILVDMVVPEAPETSLSASSLFQFDVYLMNTNAMGKERTEKELESLAKEAGFSNIRVACSAFTFSVVELFKNV >ONI09088 pep chromosome:Prunus_persica_NCBIv2:G5:17017483:17022918:1 gene:PRUPE_5G217100 transcript:ONI09088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVLSFLHPLGLLYFKFQLSTFNFHQDKKGLQCNLFGLGLALLCFTQLARRLQPFPSSYIYISSLHLRISYQPSDLVILLQDLVIFNYVYLRPHSYKL >ONI09089 pep chromosome:Prunus_persica_NCBIv2:G5:17017495:17023681:1 gene:PRUPE_5G217100 transcript:ONI09089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVLSFLHPLGLLYFKFQLSTFNFHQDKKGLQCNLFGLGLALLCFTQLARRLQPFPSSYIYISSLHLRISYQPSDLVILLQDLVIFNYVYLRPHSYKL >ONI06381 pep chromosome:Prunus_persica_NCBIv2:G5:6236090:6243374:-1 gene:PRUPE_5G057400 transcript:ONI06381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVEALQNLESSRTIASLNGSHSDVRLGRLPMENGECSFHSQSPKLKRRIISAVRDFPPGCGRFAQINNLRPDKEATSVVESVPTESLIRGDKNGDGHGVDKMMLSNGHEDETDLNRKDIDTVETIESVTALEHEISDSPKNLHQLNNLRSVEEAASVGTAEALISRGKNGDGHGIEKLMVSTGQVDETVLMNGKAAGTLDTVESLTALEHEVSDLLKNPNQLGVASPNEDMVAVLPDINVCSPPVSNGNGVDKIAVKKYPPRRRVSAVRDFPLLCGRNVSLEERNFGQERSAVGDKPSSSNTPKTSVKQIGEDVQDDEFHKSDLEVNVSKVIGEVQPNCKENPVQEMEKQDECKVNSKMNVISKDTKKKCIEPSQESNGCQGVGDVGYSEEKVGKEMVVYHEKEIPSEKCLDECKVNSKMKVVPKDTRKECIEPSQENNGCQGPGDVGHSEELVGKEIVVYHAKESPSEKCLDISNFHNQLHEEDFESSELTSDRVMVMGLMAASNCPWRKGKEVCKRKTEGGMSRSKRKKPDFKCQLERSKTASRKIVDSDIGGKSKKKVHPIARKNAYQGSNQLVIWDTENSLESDQKEDLHKTPRSRCSDVCPPPFGLSSLTSKVHDNDRTVTRNKVRETLRLFQALCRKFLQEEEGKSKEGGSSRRRIDYAAAKILKDNGKYVNIGKQILGPVPGVEVGDEFHYRVELTIVGLHRQSQGGIDYVKHGGKVLATSIVASGGYADDLDNSDSLIYTGQGGNVMNTDKEPEDQKLERGNLALKNSLHEKNPVRVIRGSESSDGKSKTYVYDGLYLVAKCWQDVGSHGKLVFKFQLARIRDQPELPLKEVKKSKKSRVRVGRCSDDISLGKESIPICAVNTIDDEKPPPFVYITNMIYPDWCRPIPPKGCSCTVACSDSEKCSCAVNNGGEIPYNFNGAIVEVKPLVYECGPSCKCPPSCYNRVSQRGIKFPLEIFKTESRGWGVRSLNSIPSGSFICEYIGELLEDKEAEERTGNDEYLFDIGNNYNDSSLWDGLSTLMPDAQSSSYEVVGDGGFTIDAAQYGNVGRFVNHSCSPNLYAQNVLYDHDDTRIPHIMFFAAENIPPLQELTYHYNYMIDQVRDSDGNIKKKSCYCGSPECTGRLY >ONI06789 pep chromosome:Prunus_persica_NCBIv2:G5:9447479:9448131:1 gene:PRUPE_5G081000 transcript:ONI06789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAPEVLNGIGISLVMVGAANSDSARISFFGSTKGELAQKLDRGQDAVGRRRLTQVPPEPREMVAYYTAHSV >ONI09578 pep chromosome:Prunus_persica_NCBIv2:G5:18391537:18391869:1 gene:PRUPE_5G245800 transcript:ONI09578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYYIPKDPYDITYVKAKHMRPRPNTSNPNMPLGSLSKVSSSYGTQGRSQDCLAGWFLTLLQKSQ >ONI06712 pep chromosome:Prunus_persica_NCBIv2:G5:8982627:8985763:1 gene:PRUPE_5G075800 transcript:ONI06712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSASTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLLPSPNQFHHLGHSFFSSPNNSHNLLEEIPNPAPNFLMNQTSVNDFAVRPRGGADELPRPPAITRPPEKRQRVPSAYNRFIKDEIQRIKSVNPDISHREAFSAAAKNWAHFPHIHFGLMPDQTVKKTNVRQQEGEDVLMKDGFFASANNVRVSPY >ONI09447 pep chromosome:Prunus_persica_NCBIv2:G5:18029633:18036881:1 gene:PRUPE_5G238700 transcript:ONI09447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHAKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPQVKVVLRADVSGGTYDKVAVISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPPVDSVLAGIRAVTGPMGCLLIVKNYTGDCLNFGLAAEQAKSEGYKVETVIVGDDCALPPPRGIAGRRGLAGTILIHKIAGAAAAAGLSLADVAAEASRASEMVGTMGVALSVCTLPGRVTPDRVGPGKMELGLGIHGEPGAAVADLQPVDVIVSHVLKQILSPETNYVPITRGSRVVLMVNGLGATPVMELMIASGKAVPKLQLEHGLAVDRVYTGSFMTSLDMAGFSISIMKADQAILQRLDAATKAPHWPVGVDGNHPPAKIPVPLPPSRSTKSDESLGRPAQLNAQGQILEVAIEAAAHAVKKLRDNLNEWDSKVGDGDCGSTMYRGAAAVLEDMQNYPLNDATETVNEIASSVRRVMGGTSGILYTIFCKAAYAQLKASTQGVVTSKNWAEALEASIAAVSKYGGASAGYRTLLDALIPASAVLQERLKAGDDPATAFLLSSEAALAGAESTKHMQAQAGRSSYISGEKLASVPDPGAMAAASWYRAAALAVKYKYQTSS >ONI07422 pep chromosome:Prunus_persica_NCBIv2:G5:11987318:11987683:-1 gene:PRUPE_5G119400 transcript:ONI07422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPITKIIAVVIISMVSGAAMGADPQSPYCDIILDHFTPCLPYILQGEVNPAKVCCDGVLGLTQYTNAKGGQQDICDCVKAAAILMGAPVDNFSKISSLPKTCGLSVTLPPISSGTDCSQ >ONI06741 pep chromosome:Prunus_persica_NCBIv2:G5:9192742:9198475:1 gene:PRUPE_5G078200 transcript:ONI06741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWFKTSTTNDESWLLLYTLSAIFASTWCAWLCMKKSRNKIPPLPPGPLGMPLLGNLLSLDPELHSYFTGLAHTYGPIFKLRLGGMTCVVINSPSSAREVLKDRDVTFANRDVPVAAQIAFYGGADVLWSPHGPEWRMLRKVCVLKMLGGTALDSFQSIRQNQVRKTVGYLSGRAGSPVNVGEQIFLTSLNVISNMICGSCIAAEDGEERAGLGAEFRKVVSEITGLIGRPNVSDFFPGLGRFDLQGIKKQMEGQVRRFDGIFEQMIDQRLLKMKEEGAKESQDFLTSLLKLKEEGGDSKTPLTMTHIKALLMDMMLGGTDTSSNTAEFAFAETMNNPALMGKAKQELDDVVGKGNIVQETHISKLPYLQAVMKETLRLHPVGPLLAPHCPSETCTVGGYTIPNGSRVLVNVWAIHRDPSNWEDPLDFDPDRFLHGKWDYSGRDFNYLPFGSGRRICPGTAMAERMVVYTLATLLHSFDWKLPQGEELDLSEKFGIVMKKQIPLVLIPTPRLSDPALYE >ONI07076 pep chromosome:Prunus_persica_NCBIv2:G5:10708522:10711146:-1 gene:PRUPE_5G098900 transcript:ONI07076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYVKPLSLFQDLVRGNALERGRLLGLDVGDKYVGVAVSDIHNKIASPLSVLLRKKSTLDMMADDFQSLISELSLEGFIVGYPFDRQRRSPDAVQVKLFIDDLSATRKLEGLKYTYWDEGFTSKNVELLLRPLNLHPVTSKTIIDKFAAVGILQGYLDYVNRKQKSVTAQNFGDQPLMCGSFLCELNMNLCAPVCLTFFIFFFNFGGTNCGNTHALFEGTIYSPHAFIVSLPSFKPFS >ONI06236 pep chromosome:Prunus_persica_NCBIv2:G5:5187878:5189596:1 gene:PRUPE_5G049000 transcript:ONI06236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASQVDPHNEMRARDVNKVARGEQAPRPAHEYGSINSAPPKPKPKDPAAAEIKVEDNPPARHCYASYVQYYKCIKEEDKVPKCERFEKNYRLLCPVEWIERWDEEQKLGVFAGPI >ONI08187 pep chromosome:Prunus_persica_NCBIv2:G5:14236645:14238030:1 gene:PRUPE_5G162600 transcript:ONI08187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGKKEAWSTEQGQIKGDQDHEFSLPSAHTIGHDSWQQVGFMLATSFNCGYILSFSNLMLVPLGWTWEVQRFIRYRDLMGYLSGRKMCYFTWVLQFVTLLLGNMGFILLGGRALKEINSEFSHSPLRLQWFIAITGVTFFIFAFFIPTISAMRGWLEASTIVIFAYIVTLLVVVVKDGKTNKQKDYAIHGNKVDRLFNAFDAISAIIVSSNSG >ONI06890 pep chromosome:Prunus_persica_NCBIv2:G5:9862429:9867768:-1 gene:PRUPE_5G087500 transcript:ONI06890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENAASRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSTRGKLYEFSSSSISNTLDRYQKRVQDQGLGSKAVQVDMEHGKDDTCSMAKKIDFIEASKQKLLGNCLESCSIEELQQTENQLERSLSKIRARKTQLLREQIEKLKEEEKNLFEQNAKLREKCGMQPLGPPSKIKDGENRAVCQPQTPDMEDVETELVIGPPERRSGQNL >ONI06889 pep chromosome:Prunus_persica_NCBIv2:G5:9862466:9867731:-1 gene:PRUPE_5G087500 transcript:ONI06889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENAASRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSTRGKLYEFSSSSISNTLDRYQKRVQDQGLGSKAVQVDMEHGKDDTCSMAKKIDFIEASKQKLLGNCLESCSIEELQQTENQLERSLSKIRARKTQLLREQIEKLKEEEKNLFEQNAKLREKCGMQPLGPPSKIKDGENRAVCQPQTPDMEDVETELVIGPPERRSGQNL >ONI07464 pep chromosome:Prunus_persica_NCBIv2:G5:12115314:12116835:1 gene:PRUPE_5G121600 transcript:ONI07464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERECFDLSGPLHLTSIDWQNTQHQRSVAACLVQGVYVVERDRQEEREGPQALAPPWWEFFHFKLLRKLVDDVGFSIFGAIYEFKPPPSLCNSPLEGIPCFVIAFRGTLTKNDSVSRDLELDVEVVRNGLHRTSRFEIAMQAVRNMVAAVGDSNVWLAGHSLGSAMAMLAGKTMASNGIFLKSFLFNPPFVSAPIERIKDKRVKHGIRIAGSVITAGLTLAMKAKQQPQQRSHSEENPFTTLSAWFPGLFVNPADDICSEYIGYFEHRKKMQDIGAGAIERLATQNSLGGLLMHAMGKQPAPEPPLHLIPSAKLTVNLTPSRDLKEAHGIHQWWRDDLQLQSEVHKYR >ONI08778 pep chromosome:Prunus_persica_NCBIv2:G5:16102344:16114162:1 gene:PRUPE_5G200300 transcript:ONI08778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITEEQRKRAEANRLAALEKRKALSESSNLQQQHNPWSLFKCRKLSPDLTSNVTHCISDPRPELSRVNLIINTQLLTRFRVRLEICSPDSFSATPVAVQGFAFPGHEECLRRLSDILAYVMPSHYTQNHGGGKACVYKLSDYNAVLKCLRSNKGIDTEEIPWGTLNVVERLSHSFISGKWIPCRPEHLSDDKVDELIGKLPKNLLDALLPFQLDGVRFGLQRGGRCLIADEMGLGKTLQAIAIACCFMSEGSILVVCPAILRYTWAEELERWLPFCLPADFHLVFGHENNPANLKRWPRVVVISYTMLHRLHKSMLDREWALLIVDESHHVRCTKKASEPREIKAVLDIAAKVKRIVLLSGTPSLSRPYDIFHQIDMLWPGLLGKDKYDFAKTYCDVKYIQGSQGRTFQDFSKGTRLEELNMLLKQTVMIRRLKEHVLVQLPPKRRQIIRVVLKKSDMISAKAAVKVGKGVTKDVSSEHLDEPNDGGGCCRSGQLSFQELGIAKLAGFRDWLSIHPLTAESDGVANLDSDSSSHKMLIFAHHHKVLDGVQEFICEKGIGFVRIDGNTLATDRQSAVRSFQLSTEVKIAIIGITAGGVGLDFSSAKHVVFLELPQSPSLMLQAEDRAHRRGQTNAVNIYIFCGKDTVDESHWQSLNKSLHRVSSTTNGKYDAIQEIAVEDVSFFETSGRSDTCEDHILQRTEGDEVSAEPIKLPDFGCLAKDMKPYEAYELLVTNILHRSAQHSDPDGSSSQTDSCLVKADVVSDLEKSTEDIDGLEKEHQINCQPTKLNDGEPVQPLEAEESSTLDALRFEVSQYTGRIHLYSCISGEDSRPRPMFENFRPEELEALNSPAANSIKETAFNSLKDNPAYLQAILAFIEEWKKLRPIEQKRLLGKPLQLPLTVELCYLCEGINHDIRGLLKGGSKRRSTPFYEISQPLPSNAVWKKVHLRSGYGKKEKEYAQAYTLTDEPLCKLCQTPCKGHNAKTPEYFEDLFCNLGCYEEYRIRTSNRSLRQELFQLEHGVCANCQLDCHKLVEHLRPLSLAKRRQYIEKVAPRVARLKKPLDRLVKDPTEGNAWHADHLVPVYRGGGECKLENMRTLCVACHSDVTKQQCAERHSTRIKAKKKLKAIMTNLKHKGTETILQGQEGNMEIQESVYEDELLVKVPGSAYSLANHLDATITSGHLDEPSSNGNKISTPTVQNHPPGGA >ONI08339 pep chromosome:Prunus_persica_NCBIv2:G5:14689977:14690531:1 gene:PRUPE_5G172300 transcript:ONI08339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEVSLEVSIALGLLLSELSEEPWKGKVIQFSDEPQLHSIQGGDDLRYKYEFVRRMNCGVDLDFEKLFDLILQVAVNENLKPDQMIKKVLVLSHRDFDSANAAETSWEKGYGDVVPHYNPEKPVAPRTQPGLSILNGFSNNLLKLFLDNEGEIGPDQLMELAISDERYQAFTIVD >ONI08701 pep chromosome:Prunus_persica_NCBIv2:G5:15844408:15849240:1 gene:PRUPE_5G195300 transcript:ONI08701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNISFSFLLVFGNVGDEMLMMISRLSLLQKERGGGGRGRRSRASHGGLPCTISQNMIHLVW >ONI05341 pep chromosome:Prunus_persica_NCBIv2:G5:388507:394285:-1 gene:PRUPE_5G002400 transcript:ONI05341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEVDVHRDACESEVSKGEVLNVNVNVNVNVNENENENGNGSVNGNGISKDDADSSYVFVTGGDAIISEDPVESSDLNGGRVQKNGSLHMEVKVGESVSSQEDSPQLHSPNKAADGEMSIEVAESIPQNSLTNCDIQSDEDPKLVKEVPVEDASRDLGPESKHDSIPTPLDKQVVSDPVSKVIVDGLPACPAHDSTPGNPAEQNVSSENGQALPAPVICENASFQVENKLAAEVGNGTVPDQSTEDGLLIVHAQEGISEPVVTVDLVDAPDENGSCENAESCEKMPTENGESFSTVADNDTTGNPDVENEVSLPTEDVSTCTVDDGMAGTDAVNLNEKSSESPSPCLLEHSKSEIEAEGGPGIEDTLSSFPVNDAISEPNSKLEIEPEIVPIGDVTLSTCPESDAISQPNLKSEVEPETAPIVDDTPSSCPANPAISEPKSKSEVDFESVPIVDDTLSSCPANTAISEPKSKSEVDFESVPIVDEALSSCPANDAIPEPNSKSEVEFESAPIVSDTLSSCPANDVISEPKTSQDGCEEKISNDAVDVDSGLSNLEVECAASPPLSVAENNSNEASLPAKPDADDKPGSEVHSTSALRSRDVPEDDGTTSESRILNDSSKESGRPLNCNLDDVQIDSDVKPTCEVVESTDGIHRSEASTSSQEVSTTDDLEGQNKGAEVEKRPFYFLIRVPRYDDENLKEQIKQAQLHVEEKTKSRDTFRSKIQMERATCKEYFDNFEAARSEERAARDLFKAKRHEMDTVQLMINKVKNAMSVEDMDSKIRNMEHTMQHETLPLKEEKQYIREIKQMKQLREQLSSSLGKQDEVQQALDQKDHIEERSKVLRKEMDLLRNNLLKAETVTQAAKKKFNEENNMLNELLSQFRAADDIRQEAYAHLQSLRKQQYDKNKYFWRYKDDAKVANNLALSGDREQLQHFCINQVETIMELWNKNDDFRKEYVRCNNRSTLRRLRTSDGRSLGPDEEPPIIPDIVRATKDNLATVVSTPEQAKRVAPVESEKPDDKSAKKVGQPKIEIAKIKKPVKPALSEISPATASGRNEIEDEKVEEPKLTKEEEEVARKAEELRKEEAAARLREQRRLEEKAKAKEAQERKKRIAEKAQARAAIRAQKEAEEKEKEREKRVKKKERKKATTTKATNGISEGESAPEPSSETPTETPEQSETKEKPITVTKRSQKSSQFTKQTKVKSIPLPLRNRSKRRMQPWMWVLLTVLVVLALFFLGNGGSSHFKSLLDKFF >ONI05342 pep chromosome:Prunus_persica_NCBIv2:G5:388704:394243:-1 gene:PRUPE_5G002400 transcript:ONI05342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEVDVHRDACESEVSKGEVLNVNVNVNVNVNENENENGNGSVNGNGISKDDADSSYVFVTGGDAIISEDPVESSDLNGGRVQKNGSLHMEVKVGESVSSQEDSPQLHSPNKAADGEMSIEVAESIPQNSLTNCDIQSDEDPKLVKEVPVEDASRDLGPESKHDSIPTPLDKQVVSDPVSKVIVDGLPACPAHDSTPGNPAEQNVSSENGQALPAPVICENASFQVENKLAAEVGNGTVPDQSTEDGLLIVHAQEGISEPVVTVDLVDAPDENGSCENAESCEKMPTENGESFSTVADNDTTGNPDVENEVSLPTEDVSTCTVDDGMAGTDAVNLNEKSSESPSPCLLEHSKSEIEAEGGPGIEDTLSSFPVNDAISEPNSKLEIEPEIVPIGDVTLSTCPESDAISQPNLKSEVEPETAPIVDDTPSSCPANPAISEPKSKSEVDFESVPIVDDTLSSCPANTAISEPKSKSEVDFESVPIVDEALSSCPANDAIPEPNSKSEVEFESAPIVSDTLSSCPANDVISEPKTSQDGCEEKISNDAVDVDSGLSNLEVECAASPPLSVAENNSNEASLPAKPDADDKPGSEVHSTSALRSRDVPEDDGTTSESRILNDSSKESGRPLNCNLDDVQIDSDVKPTCEVVESTDGIHRSEASTSSQEVSTTDDLEGQNKGAEVEKRPFYFLIRVPRYDDENLKEQIKQAQLHVEEKTKSRDTFRSKIQMERATCKEYFDNFEAARSEERAARDLFKAKRHEMDTVQLMINKVKNAMSVEDMDSKIRNMEHTMQHETLPLKEEKQYIREIKQMKQLREQLSSSLGKQDEVQQALDQKDHIEERSKVLRKEMDLLRNNLLKAETVTQAAKKKFNEENNMLNELLSQFRAADDIRQEAYAHLQSLRKQQYDKNKYFWRYKDDAKVANNLALSGDREQLQHFCINQVETIMELWNKNDDFRKEYVRCNNRSTLRRLRTSDGRSLGPDEEPPIIPDIVRATKDNLATVVSTPEQAKRVAPVESEKPDDKSAKKVGQPKIEIAKIKKPVKPALSEISPATASGRNEIEDEKVEEPKLTKEEEEVARKAEELRKEEAAARLREQRRLEEKAKAKEAQERKKRIAEKAQARAAIRAQKEAEEKEKEREKRVKKKERKKATTTKATNGISEGESAPEPSSETPTETPEQSETKEKPITVTKRSQKSSQFTKQTKVKSIPLPLRNRSKRRMQPWMWVLLTVLVVLALFFLGNGGSSHFKSLLDKFF >ONI08597 pep chromosome:Prunus_persica_NCBIv2:G5:15491508:15494199:1 gene:PRUPE_5G187900 transcript:ONI08597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >ONI08897 pep chromosome:Prunus_persica_NCBIv2:G5:16514122:16517796:-1 gene:PRUPE_5G206900 transcript:ONI08897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRASALARLRALIALKGTLDLDAYSPPHELLQILPPKSTIRGSDCGPQIGPNNPKFILGMQALLDLIFAVEGSVSEAAKFLGLSTGALSRFILSNDCLRLALNELSPYF >ONI08544 pep chromosome:Prunus_persica_NCBIv2:G5:15326615:15328494:-1 gene:PRUPE_5G184500 transcript:ONI08544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPILLPTISSFTFFFFFLLLLILVQLIATLLLLLKRSSNCFLGFSFSRLPLWFQSLFSPNMTLKGATNSACAACKYQRRKCTPECPLAPFFPADQPKMFQNAHKLFGVSNIVKILKNLEPEMKFEAMRSIIYQANIRDKFPVYGCWEVIWQLQYQLLLAEEELQAVQAQLAMYRQHHHHQHQISSVPEYVTSQLELGMAPPNNSLPLFNHNPQPYNNNNNNAMPALPVTQHHHQQQSYSNSSDAAYSSVYIDSKDNNAANPLWVSNPCTTNNNSNNDNSETIQSQLGVLQPLSLQPDVVQDYDELHPFFDTIDDRQSYIDSKEAYESSSEESFKDTTQSMEYAENALKNAASCFSLTSVN >ONI06022 pep chromosome:Prunus_persica_NCBIv2:G5:3971332:3973079:1 gene:PRUPE_5G034800 transcript:ONI06022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKCRGQTFYWNTSNSLLHVLQPPCAQSTFSHSYIYLHLSCILLHCCGFNCITSMTIQNTHSFVFFVLLGIGICSAARTLLHYEEPVHVPAVGYGAGHGGGGGGGGGGSGGGSGYGGGGAAGYGGVGGYGGGGVQKWWGLWT >ONI07952 pep chromosome:Prunus_persica_NCBIv2:G5:13597222:13601030:1 gene:PRUPE_5G149200 transcript:ONI07952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEASSSSSTIRNKNDMKRPQTTAAGLLSPINDDLLHNILSRLPALSFASAACVSKSWNQICSRILSRPKLASALSLHPSPKAAVKEVIEKISKKLGSSVPFIISTSSGIIGRDALTHEFKEVKWGDVCGDGSDEDCSIPAKDVNYGILLTVGFVPGLKVDAIPLLRSTKEPREVLLDKFIMDIKDYTASVSGCTFPVAIMMFGDGLINMKPIIDALDYSMPMETVIVGDERGRFLYRSGNESRNVCGSAKYFSDAVALIFARDKDKPSGIGDIQFQIALSKGVSTVGSRHKAVSVKENHCEHSTWLTARREGHPETLDGQQLLNDINDELEDYADSSDLYIGVIKRRNISMGSEKPRMITSLEFHGVVGGDDEYLYVSGVGIKTADYFHFYRSDPESALSSCNNVSLSLKKLKSDEDSKHRRHISEVFGGFMFACCGRGESFFGRVNVDGSPFVENFPGVPLAGIFCGGEIGRGPSRLTGEAHKDSDARCNMHVYSTIYLVLSYTPLPLEH >ONI07951 pep chromosome:Prunus_persica_NCBIv2:G5:13597055:13601259:1 gene:PRUPE_5G149200 transcript:ONI07951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEASSSSSTIRNKNDMKRPQTTAAGLLSPINDDLLHNILSRLPALSFASAACVSKSWNQICSRILSRPKLASALSLHPSPKAAVKEVIEKVLAEPIRPHFVVANIGSGFRLYDIFRLISKKLGSSVPFIISTSSGIIGRDALTHEFKEVKWGDVCGDGSDEDCSIPAKDVNYGILLTVGFVPGLKVDAIPLLRSTKEPREVLLDKFIMDIKDYTASVSGCTFPVAIMMFGDGLINMKPIIDALDYSMPMETVIVGDERGRFLYRSGNESRNVCGSAKYFSDAVALIFARDKDKPSGIGDIQFQIALSKGVSTVGSRHKAVSVKENHCEHSTWLTARREGHPETLDGQQLLNDINDELEDYADSSDLYIGVIKRRNISMGSEKPRMITSLEFHGVVGGDDEYLYVSGVGIKTADYFHFYRSDPESALSSCNNVSLSLKKLKSDEDSKHRRHISEVFGGFMFACCGRGESFFGRVNVDGSPFVENFPGVPLAGIFCGGEIGRGPSRLTGEAHKDSDARCNMHVYSTIYLVLSYTPLPLEH >ONI08603 pep chromosome:Prunus_persica_NCBIv2:G5:15509053:15515197:-1 gene:PRUPE_5G188400 transcript:ONI08603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVSGFPLLIQQFTALFKKNLLLAWRNKWGTCVQLFSSFFFIFLIFCIQKALEARSASSTDYKSIEDPAPLVSPPIPPCEEKFFVKQPCYDFVWSGDGSSKIRNIVTAIMTNNPGRPIPETKVKSFRTSADVDEWLSNNPMTCPGALHFSEREATVISYGIQTNSTAVAKRKQYEERTFKFQIPLQIAAEREIVRSLIGVPNFSWDVSFKEFAHPARELYSAIKQVGPTFFLATAMFGFVFQMSSLIVEKELKLREAMTMMGLYDTAYWLSWLTWEGIMTLLSSLFIVLFGMMFMFDFFLHNNILVLYLVFFLFQLNMVGFAFMLSAFVSKASSSTTVGFTIFILGFLSQLVTTAGFPYGSGFSKTSRFLWSLFPPNLLAKALKILADATSTPQDRGISLSGITECGPNEFDCVITLSDIYKWLMATFVIWFVLAIYLDNIVPNASGVKKPVYYFLTPGYWIGIGGNKVKEGDNYSSAPPLDGSTPDDVDVLEEENVVKQQARDGAVLPNIAVQIRGLVRTYPGTRKGGCCCCGKKTAPYHAVKGLWVNFAKDQLFCLLGPNGAGKTTTISCLTGLAPVTGGDALIYGHSARSSVGMANIRKIIGVCPQFDILWSALTGQEHLQLFATIKGLPQSSIESVAKKSLAEVKLDEAARMRAGSYSGGMKRRLSVAIALIGDPKLVILDEPTTGMDPITRRHVWDIIEDAKKGRAIVLTTHSMEEADILSDRIGIMAKGKLRCIGTSIRLKSRFGSGFIANVSFSGSTNSVNTPHHEAVKLFFERHLGVLPKEENSAFLTFVIPHDKEGLLTRFFAELQDRQHEFNIADIQLGLTTLEEVFLNIARQAELEAAITEGRLVTLTLTSGASFDIPVGTKYTVIPGTESPQYPRGFMVEVYWDQDESGKLCISGHAPETPVPPTGGPTNLHFPDPNHKKAS >ONI07379 pep chromosome:Prunus_persica_NCBIv2:G5:11835705:11837388:1 gene:PRUPE_5G116100 transcript:ONI07379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDTHGQQQNLIKPPHVAIVPTPGIGHLTPLVELAKLLLFHHNFTFTFIIPNDGLHLAPQKKLLEALDPQAISYIFLPPVSFDDLPDDVMVETKIALTLTRSLSALRISLIELTESTRLVALVVDLFGADTFDVANELHVPPYIFFPSSALVLSLVLHFPHLHETTTCEYRDLPEPIQLPGCVPLHGRDFMDPVQDRSNEAYKVMVRMCKKYRSAAGIMVNSFVDLEPGAFKAFKEQGQGLPPVYPVGPVIKISSADGFEGNECLRWLDKQPNESVLFVSFGSGGTFSQEQMTELALGLELSGQRFIWVVKSPNETAKNANYFNVQGSEDPSGFLPHGFLERTKEVGLVVPSWAPQVQVLSHQSTGGFLTHCGWNSTLESIVHGVPLIAWPLYAEQRMNRALLVDGLKVALGVKLNDKGIVESQDIAKYVRGLIEGDEGKLLRNKMKGCKEAAKLALSQEGSSTKSLAEVAQIWKGLKI >ONI06967 pep chromosome:Prunus_persica_NCBIv2:G5:10273121:10280803:-1 gene:PRUPE_5G092400 transcript:ONI06967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQTPKLGHKTLLFLLCFIGFLTPHDVVAVQILSKSKLEKCEKTSDSGNLNCTKKIVLNMAIPSGTSGGEASIVAEIVEVEENSSQKMQNFRIPPVLTVNKSAAYALYELTYIRDVAFKPEEYYVKTRKCERDADAKVVKICERLRDENDHIIEHTEPICCPCGPQRRVPSSCGNVFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSVGFSVLVQVKTGSKVSEVLVGPENRTAISNDNFVRVNLIGDFVGYTNIPSFEDFYLVIPRQGGPGQPQNLGRNLSMWMLLERVRFSLDGVECNKIGVSYEAFNGQPNFCSSPFWSCLHNQLWNFWEADQNRIERNQLPLYGVEGRFERINQHPNAGTHSFSIGVTEVINTNLLIELSADDVEYVYQRSPGKILSINVPMFEALTQFGTATITTTNTGEVEASYSLTFDCSSDVTLMEEQFLIMKPKETTTRAFKLYPATDQAAKYVCAAILKDSDYKEVDRAECQFSTTSTVLDNGSQGNPFNPPKTGTTGFFDSVESIWNNLWNILVDFVTGKTCSGCATVASTSKGTF >ONI06966 pep chromosome:Prunus_persica_NCBIv2:G5:10273411:10280722:-1 gene:PRUPE_5G092400 transcript:ONI06966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQTPKLGHKTLLFLLCFIGFLTPHDVVAVQILSKSKLEKCEKTSDSGNLNCTKKIVLNMAIPSGTSGGEASIVAEIVEVEENSSQKMQNFRIPPVLTVNKSAAYALYELTYIRDVAFKPEEYYVKTRKCERDADAKVVKICERLRDENDHIIEHTEPICCPCGPQRRVPSSCGNVFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSVGFSVLVQVKTGSKVSEVLVGPENRTAISNDNFVRVNLIGDFVGYTNIPSFEDFYLVIPRQGGPGQPQNLGRNLSMWMLLERVRFSLDGVECNKIGVSYEAFNGQPNFCSSPFWSCLHNQLWNFWEADQNRIERNQLPLYGVEGRFERINQHPNAGTHSFSIGVTEVINTNLLIELSADDVEYVYQRSPGKILSINVPMFEALTQFGTATITTTNTGEVEASYSLTFDCSSDVTLMEEQFLIMKPKETTTRAFKLYPATDQAAKYVCAAILKDSDYKEVDRAECQFSTTSTVLDNGSQGNPFNPPKTGTTGFFDSVESIWNNLWNILVDFVTGKTCRRKCPRFFDFRCHIQYICMSWIVMFGLLLAIFPTVVVLLWLLHQKGLFDPLYDWWEDHFWDNNQHTKDIRKHRSDVEHLHTHVHKHHGHEARNHKHGSHHKRRNIHNDHRRNQFERGSDYYYHLHHVHKDKPKHGRRKHSSIMQKVDENTGYHSHKNERRLTKDYS >ONI06029 pep chromosome:Prunus_persica_NCBIv2:G5:4047061:4049197:1 gene:PRUPE_5G035500 transcript:ONI06029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAVTLQMCFNFTRVLKVMYPPAATPKIPTSTTLVARHPQLLTRRLMSSNSNLDMAEADKISTSPISFSSWYSFGDPCLDLFFHVKSRDQDHIGNDDEDDDIKQERQKQTSHYNHHRLNYLKQLLPVAWSHNPLTTLKLIFNLHSGISSKGRDYPEAFHTAVFWLHQNHPKTLLCNLPAFAGWKWGFNDLLDILYGLLEQGQDPTAGERLHRDPDYKLLHDQIMDLLAERWKSDVEKFKQHKLELKSFQDWDQLDEADHLLVSGTAVVCSLPDHHTSSILLRESIARRLFPPESDQSEEWERLTDALEPFLNYYMHRASLWNHTLERSEVKKCLEEVKAAAAAGGNLGGGIIKPDALLPNEIIKYAEDEDFGEAANLQWKAMVEDMYLKQQQKKGEEGLGKFTNCLAVCNITEDTAKLQRELGVSLGLLVSELNEDPAWKGKVISFGDLPDGQPQPQPLLHSIQGDDLKSKCEFMMRTCRMKSNESVDYRKVCDLILEVVENENLKAEQMIKKVFVLTDFTGFFSCTSKTLHEANQSKLEELEASGYDARPLPHILLWNISDWKYPHSEEHHPGVTLMSGVNDNLIKSFMDNGGEIGPRQVMEAAIADKEFQTFSVVD >ONI08416 pep chromosome:Prunus_persica_NCBIv2:G5:14985870:14988844:-1 gene:PRUPE_5G177100 transcript:ONI08416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVEPTLEVFYEEKKRVKNPWVPVGALLTAGVLTAGLISFRQGNSQLGQKLMRARVVAQGGTVALMVGSAYYYGENPWKKSS >ONI07998 pep chromosome:Prunus_persica_NCBIv2:G5:13750299:13759707:1 gene:PRUPE_5G152500 transcript:ONI07998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNSKRSSSSEDKPPSPKRHKVENGGASEKVTPEVDNSKELCTPPPAAADPGECGLGDVPAAGDGVTSGKTDAATQAVSVTPPIAEGSTPVVEKPRSAFSSWSFYQKQSPSFETSTPWCKLLSQSGQNLNIPISTMNFTIGANRQCNFTLKDQTISGFLCKIRRTQREGGAVAVLESTGSKGSVQVNGTNVKKGNSCMLNPGDEVVFGSLGNHAYIFQLLLTEAAVKSSEVQSGIGKFLHMERRAGDPSAVAGASILASLSLRPEPSRWKPAAQTTSKVHPGADVPAQSVVQDGNEVELDGLESSSTPNRPADKAEDIGAIDKNLTLDSNHDSGIEAGNVLEERNEWARDSQSASTSGMSLRCAVFKDGIHAGILDGKSIDVSFDNFPYYLSENTKNVLIAASFIHLKHKEHVKYTSELTTVNPRILLSGPAGSEIYQEMLAKALAQYFGAKLLIFDSHSFLGGLSSKEAELLKDGFNAEKLCSLTKQSPTPTDVAKNTDASASETEAPSSSNAPSNGLESQPKMEIDTIPSSSGTSKNFLFKIGDRVKFIGSSSGALYTAASSSRGPASGTRGEVVLLFEDNPLSKVGIRFDKPIPDGVDLGGLCKGNGFFCNVSDLRLENNGVEDLDKLLINTLFEAVLSESRSSPFILFMKDAEKSLVGNSDSFSTFRARLDKLPDNVVVIGSHTHTDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHERGKEVPKATKLLTKLFPNKVTIHMPQDEALLVSWKQQLDRDAETLKMKGNLNLLRTVLGRCGIECEGLETLCIKDQTLTNESSEKVVGWALSHHLMQNPEADPQEKVVLSGESIQYGLEILQAIQNETKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEAERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPSIDFDAIASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKEHAVAVAEGKPAPALSGSADIRSLNMDDFKDAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >ONI07999 pep chromosome:Prunus_persica_NCBIv2:G5:13750291:13759707:1 gene:PRUPE_5G152500 transcript:ONI07999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNSKRSSSSEDKPPSPKRHKVENGGASEKVTPEVDNSKELCTPPPAAADPGECGLGDVPAAGDGVTSGKTDAATQAVSVTPPIAEGSTPVVEKPRSAFSSWSFYQKQSPSFETSTPWCKLLSQSGQNLNIPISTMNFTIGANRQCNFTLKDQTISGFLCKIRRTQREGGAVAVLESTGSKGSVQVNGTNVKKGNSCMLNPGDEVVFGSLGNHAYIFQLLLTEAAVKSSEVQSGIGKFLHMERRAGDPSAVAGASILASLSLRPEPSRWKPAAQTTSKVHPGADVPAQSVVQDGNEVELDGLESSSTPNRPADKAEDIGAIDKNLTLDSNHDSGIEVLEERNEWARDSQSASTSGMSLRCAVFKDGIHAGILDGKSIDVSFDNFPYYLSENTKNVLIAASFIHLKHKEHVKYTSELTTVNPRILLSGPAGSEIYQEMLAKALAQYFGAKLLIFDSHSFLGGLSSKEAELLKDGFNAEKLCSLTKQSPTPTDVAKNTDASASETEAPSSSNAPSNGLESQPKMEIDTIPSSSGTSKNFLFKIGDRVKFIGSSSGALYTAASSSRGPASGTRGEVVLLFEDNPLSKVGIRFDKPIPDGVDLGGLCKGNGFFCNVSDLRLENNGVEDLDKLLINTLFEAVLSESRSSPFILFMKDAEKSLVGNSDSFSTFRARLDKLPDNVVVIGSHTHTDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHERGKEVPKATKLLTKLFPNKVTIHMPQDEALLVSWKQQLDRDAETLKMKGNLNLLRTVLGRCGIECEGLETLCIKDQTLTNESSEKVVGWALSHHLMQNPEADPQEKVVLSGESIQYGLEILQAIQNETKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEAERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPSIDFDAIASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKEHAVAVAEGKPAPALSGSADIRSLNMDDFKDAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >ONI08694 pep chromosome:Prunus_persica_NCBIv2:G5:15818149:15821130:1 gene:PRUPE_5G194600 transcript:ONI08694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETKDPAIKLFGKKIPLPADIEDLGFTQPEEKEVDVESEEEDEEDEEEEEAETEQDPPSRKSPESMDQDDGPPNSEDPTNMGILSDSNVNPMPPSMDEESPKSNTAKTENTEATNSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKACQRYWTAGGTMRNVPVGAGRRKNKNSASHYRHITISEALQAAQIDAPNGAHHPALKSSGRVLSFGVDAPICDSMASVLNLADKKILNGTQNGFHKSEERVIPTPCKGRENGDDSSRASSATVSKPQLQNVNGFSSQIPCLPGVPWPYPWNSAVPPPAFCPPGFPLSFCPAPYWNCGVPGTWNVPWFGPQPASPNQKSPSSGSNSPTLGKHSRDGDILKPESLEKEEPSKQKSGRVLVPKTLRIDDPSEAAKSSIWATLGIKNDSISGGGLFKAFQSKSDQKKNVSETSPALRANPAALSRSLNFQESS >ONI08756 pep chromosome:Prunus_persica_NCBIv2:G5:16041319:16044208:-1 gene:PRUPE_5G199100 transcript:ONI08756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPSSSSPHRSRGGGDNGTSSKGKGEDRPRFFDSKAKSNCWAKADVVPGRHPERWRKDAAGNVVCKRFCNCQGCLCFEYDHIVPFSKGGESTEDNCQILQTRVNRFKSDKDQIDKTQLKGYSCDVKFTDKELDIIEMAVYGDVIRPGNQCRCRTVAEMLGQYKSKDKTAACKLP >ONI09129 pep chromosome:Prunus_persica_NCBIv2:G5:17150615:17157448:-1 gene:PRUPE_5G220000 transcript:ONI09129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKMHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKTVSPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPNLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGIQTFRREHDRFWILSSHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYYEFSTQRDTQVIPIRRPGSTTLNQSPRTLSYNPSENAVLICSDLDGGSYELYLIPKDSISRGDSMQDAKRGVGGSAVFMARNRFAVLDKSNNQVLIKNLKNEVVKRSVFPFAVDAIFYAGTGNLLCRAEDRVSIFDLQQRIVLGELQTPFIKYVVWSNDMESVALLSKHAIIIASKRLVHQCTLHETIRVKSGGWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTIFCLDRDGKNRAIVIDATEYIFKLSLFKKRYDHVMSMIRSSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASATAIDEKDYWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLVTGNMEKLSKMLKIAEVKNDVMGQFHNALYLGNVQERIKILENVGHLPLAYITASVHGLHDVAERLSAELGENVPTLPQGKVPTLLMPPTPVMCGGDWPLLRVMRGIFEGGLDNIGRGAADEEDEAADGDWGEELDMVDVDGLQNGDVTAVLEDEEVAEGNEEGGGWDLEDLELPPEADTPRASVNSNSSVFVAPTIGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFAPLRPMFLDLHTGSHSYLRAFSSTPVISLAVERGWNESATPNVRGPPALVFNFSQLEEKLKAGYKATTAGKLTEALRLFLGILHTIPLIVVDSRREVDEVKELIIIVREYVLGLQMELKRREIKDNPVREQELAAYFTHCNLQMPHVRLALVNAARICFKAKNFATAANFARRLLETNPTIEIQAKTARQVLQGAERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCSSRFVPTQEGLLCTVCDLAVVGADASGLLCSPTQVR >ONI09130 pep chromosome:Prunus_persica_NCBIv2:G5:17151973:17157316:-1 gene:PRUPE_5G220000 transcript:ONI09130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKMHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKTVSPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPNLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGIQTFRREHDRFWILSSHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYYEFSTQRDTQVIPIRRPGSTTLNQSPRTLSYNPSENAVLICSDLDGGSYELYLIPKDSISRGDSMQDAKRGVGGSAVFMARNRFAVLDKSNNQVLIKNLKNEVVKRSVFPFAVDAIFYAGTGNLLCRAEDRVSIFDLQQRIVLGELQTPFIKYVVWSNDMESVALLSKHAIIIASKRLVHQCTLHETIRVKSGGWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTIFCLDRDGKNRAIVIDATEYIFKLSLFKKRYDHVMSMIRSSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASATAIDEKDYWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLVTGNMEKLSKMLKIAEVKNDVMGQFHNALYLGNVQERIKILENVGHLPLAYITASVHGLHDVAERLSAELGENVPTLPQGKVPTLLMPPTPVMCGGDWPLLRVMRGIFEGGLDNIGRGAADEEDEAADGDWGEELDMVDVDGLQNGDVTAVLEDEEVAEGNEEGGGWDLEDLELPPEADTPRASVNSNSSVFVAPTIGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFAPLRPMFLDLHTGSHSYLRAFSSTPVISLAVERGWNESATPNVRGPPALVFNFSQLEEKLKAGYKATTAGKLTEALRLFLGILHTIPLIVVDSRREVDEVKELIIIVREYVLGLQMELKRREIKDNPVREQELAAYFTHCNLQMPHVRLALVNAARICFKAKNFATAANFARRLLETNPTIEIQAKTARQVLQGAERNMTDASQLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCSSRFVPTQEGLLCTVCDLAVVGADASGLLCSPTQVR >ONI08824 pep chromosome:Prunus_persica_NCBIv2:G5:16313644:16318939:-1 gene:PRUPE_5G203000 transcript:ONI08824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGDIDIFFSNWNNKNPTDRLFIVSCLIAALVGILTIAYSAFQWRRNINLSWMKAIARSKKNPKARHKVPIAPHSWVLESVSRGKNLNCCVCLKSMSPSQTLGPMTASDSFSHRCSICGAASHLSCSSSAHKDCKCVSMMGYEHVMHQWAVRWNEVTDQPDETSFCSFCEEPCSGSFLGGSPIWCCLWCQRLVHVDCHSSLSNETGDICDLGPFRRLILSPLHVKELNQTSPGGFLSSLTQGANEIASSVRATIRSQSKKSKHGNETSVDTGNSGSTGDMSTESTADTHQAVNGSREIEENRNGNLNVDLQHQDGDVDRKLDSKPSFKRSSSSNKKDESQVLGMKQKYELIDLSPDARPLLVFINKKSGAQRGNSLRQRLNILLNPVQVFELSSTQGPEAGLYLFRKVLHFRVLVCGGDGTVGWVLNAIEKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTVLHHIEHAAVTILDRWKVAIVNQQGKQLQSPKFMNNYLGIGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGAKGIMDRTFVDFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFDPQSMHDKILEVVSISGTWHLGKLQVGLSRARRLAQGQSIKIHLFAALPVQVDGEPWFQQPCTLAISHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNHVISAVQKRALLQEMALRLT >ONI08785 pep chromosome:Prunus_persica_NCBIv2:G5:16130605:16131624:1 gene:PRUPE_5G200700 transcript:ONI08785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTALQACCDGWGLTVTLGMPKVKPEGTAHYGIFLTGRTLKGSLFGGWKPKSDLPSLVDMYTTKEIQVDEYITHNLPFEDVNKAFNLMREGKCLLCVIHMAK >ONI08867 pep chromosome:Prunus_persica_NCBIv2:G5:16421647:16424786:1 gene:PRUPE_5G205100 transcript:ONI08867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVGVSRVTPVRTHLRQKHLPFVPTSSLKFTKSLYKNRTRVGMNQHPKSPNPIAASIQPLEASVLSRFDNTQPSKEVLDLWRNADAVCFDVDSTVCLDEGIDELAEYCGAGKAVAEWTARAMSGSVPFEEALAARLSLFNPSLSQVQDFLEERPARLSPGIDELVKKLKANNTDVYLVSGGFRQMIKPVASILGIAPENVFANQLLFGTSGEFLGFDKNEPTSRSGGKATAVQQIRKAHNYKELIMIGDGATDLEARQPGGADLFICYAGVQLREAVAAKANWLVFNFEDLISSLD >ONI06475 pep chromosome:Prunus_persica_NCBIv2:G5:7709542:7711829:1 gene:PRUPE_5G063000 transcript:ONI06475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSFIEWDEGWNFIQRRMNKLNRVIEGLSETPFDAEDFMMLYTTIYNMCTQPPPHDYCQQLYDKYKETIEQHITLKRLPSLTEKHEEFMLQEFVKSWERHKVRVRRMSHCFFFLARHFIPSRSLTNLEEVGLNCFRELNLRARVAAFSLIDREREGERIDRGLLKNVMGIIVEIGTEKMDAYKEDIEAHLLKSSGEYYSRKALSWIAEESYMDYMVKAELCLRRERNIVSHYLHSSSEKKLVEKVEHELFEPLANAFKQKVSLEVKALVQQAKDDASSNQDFNGAYGMQEHVLVRNLLELHTKYLAFFSDCLIKNYIFHRALRDSFDLFWNGPVAGSLGAELLAAFCDTLLKNDGNEKLSDEAAEDTLEKVAKLVSYMSSKDLFIEFYKKRLIPRLLFDRSSNLEREKSFVTNLKQKLGGTFLTSRIDKMVEDMTWTQDNKTSFLEYLHSNPNVNPGLDFTVTVLTTGLWPSYKPFNLNLPAEMKTCVEAFEGFYETKTKCRKLNWINSLGTCYINSNFESKTIELVVSSCQAALLLLFSDADRLSYSEIFTQLNLDHDDLVQTLYSLSYGKYKILLKEPNTKNISPNDSFEFNSKFTGRMKRIKIPHLPLYETKEVIKEVEKDRRYAIDAAITRIMKKQKVLDLQQLFVECVEKLGHIFKPDIKTLKQRIENLIDRDYLERDKENHNIFKYLV >ONI06098 pep chromosome:Prunus_persica_NCBIv2:G5:4412350:4413597:1 gene:PRUPE_5G040100 transcript:ONI06098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMYMVFSNRSKVQFSFAVAILCSRINMANCNNHQVNIDIDEVGTFAMLFILLFIGSVATKLGLTSIFLEVFALLLGKVFLTF >ONI08877 pep chromosome:Prunus_persica_NCBIv2:G5:16447900:16451006:-1 gene:PRUPE_5G205800 transcript:ONI08877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHLTGFAPFVFLLLLVGCSASGRPFYPLPSKLADGDRQPLQTSRPYNIAHRGSNGEIPEETAAAYMRAIEEGADFIETDILSSKDGVLICFHDVTLDKTTDVAEHKEFSNRKRTYEVQGVNTTGFFTVDFTLEELKSLRVKQRYPFRDQQYNGKYTIITFEEFIAIALDAPRVVGIYPEIKNPVLINQHVKWADGKRFEDRFVETLKKYGYKGSYMSKDWLKQPAFIQSFAPTSLIYVSNLTDLPKIFLIDDVTVPTQDTNQSYWEITSDAYLDFIKDYVVGIGPWKDTVVPVVNNYLQTPTDLVSRAHAHDLQVHPYTYRNENSFLHFNFHQDPYAEYDYWINEIGVDGLFTDFTGSLHNYQEWSSSKEGDEKNASNLLHKIASMVSSYGKA >ONI08876 pep chromosome:Prunus_persica_NCBIv2:G5:16447993:16450670:-1 gene:PRUPE_5G205800 transcript:ONI08876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHLTGFAPFVFLLLLVGCSASGRPFYPLPSKLADGDRQPLQTSRPYNIAHRGSNGEIPEETAAAYMRAIEEGADFIETDILSSKDGVLICFHDVTLDKTTDVAEHKEFSNRKRTYEVQGVNTTGFFTVDFTLEELKSLRVKQRYPFRDQQYNGKYTIITFEEFIAIALDAPRVVGIYPEIKNPVLINQHVKWADGKRFEDRFVETLKKYGYKGSYMSKDWLKQPAFIQSFAPTSLIYVSNLTDLPKIFLIDDVTVPTQDTNQSYWEITSDAYLDFIKDYVVGIGPWKDTVVPVVNNYLQTPTDLVSRAHAHDLQVHPYTYRNENSFLHFNFHQDPYAEYDYWINEIGVDGLFTDFTGSLHNYQEWSSSKEGDEKNASNLLHKIASMVSSYGKA >ONI08875 pep chromosome:Prunus_persica_NCBIv2:G5:16447918:16451721:-1 gene:PRUPE_5G205800 transcript:ONI08875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHCFAPFVFLLLLVGCSASGRPFYPLPSKLADGDRQPLQTSRPYNIAHRGSNGEIPEETAAAYMRAIEEGADFIETDILSSKDGVLICFHDVTLDKTTDVAEHKEFSNRKRTYEVQGVNTTGFFTVDFTLEELKSLRVKQRYPFRDQQYNGKYTIITFEEFIAIALDAPRVVGIYPEIKNPVLINQHVKWADGKRFEDRFVETLKKYGYKGSYMSKDWLKQPAFIQSFAPTSLIYVSNLTDLPKIFLIDDVTVPTQDTNQSYWEITSDAYLDFIKDYVVGIGPWKDTVVPVVNNYLQTPTDLVSRAHAHDLQVHPYTYRNENSFLHFNFHQDPYAEYDYWINEIGVDGLFTDFTGSLHNYQEWSSSKEGDEKNASNLLHKIASMVSSYGKA >ONI08816 pep chromosome:Prunus_persica_NCBIv2:G5:16292493:16294262:1 gene:PRUPE_5G202200 transcript:ONI08816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSKRPQIKTCGIASSSYPCSLNLVRTTTSSYLVFQWQPDAKSVNTNTFLIICSACKFSFTATSRIISQASGKLTATLTANLKFWHVCIINLRLDLRSLPCSSSSS >ONI06006 pep chromosome:Prunus_persica_NCBIv2:G5:3859016:3860173:-1 gene:PRUPE_5G033800 transcript:ONI06006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLTGSFLPNTRKLSWPNTPSHIFRVAPSLLSVQVLRGQGLTLTIPACRSTKPLEENAKLKLAQFCFLRPFAFGNFSSFVCSSV >ONI07762 pep chromosome:Prunus_persica_NCBIv2:G5:12997968:13003258:1 gene:PRUPE_5G138100 transcript:ONI07762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLSEHSHGHTNNNKGDGVAISENRLFHRKRPRSALGSTILVEVKHLDVPLTSPSTGSPVNSIRLHPDRPYTIGRSTNRCHFVFADRRVGKQHCQIIFDSLNRKLYIVDGTLISGPQFRPEECCSVVKASLNGVFVNGIRVRADVAVELSDGDQVSLACSTENSCCNPIRIGFVVDEIVFEDQLVQDFSDSQGSVSVCASGCKRVFASRVDGSSFSPIARANFLSRECRRILLSDDPISYVRKCGTYSFSNGSITSNVIGSPGFDVDRLPVKGKKSFLCHTSDIDCNMESKMQSTSVMHHCKRVLVSGPNGDLAHLRVHGDPLHPQDNEVPVPCDSSETDVADRSPEASSFNFMSRDYPHSFDGVVKNKNWSSNVGSPPGKRFYLNRLAFMGHNSSSHHSVISLPELLYPVQSISQLFIATFTSDILWFLSSCEIPSHLPVTVACHNTERCWSSSPDKRTSSPYPKFPNLIIVHPPFPEAIAFGKDRERHGIACHHPKLLVLKRDDSIRVIITSANLVATQWNEVTNTIWWQDFPHRSAPDFSSLFTQFHNGETNQDTKTDFASQLAGFMASLLTDVPSQAQWIAELAKYDFGGATGHLIASVPGVHSYKTPYILESRHFGRADHGAPGSFGAKFLGSVEASVVGLSYLFHNAKDSNGAKLKKLASFLRKSCEKAKALSIVLTRNRNVPADANAVNILVPDSNNFYEGDCVQLGFLPRNVAKWVSPLWDIGLFSFSGYVCPKEALAAALGGNNKKVQLILHVSQGPKFEDISKIMQSQHVIALSSLIAAIQRCTGLWRLQEILGQYKWPESLDSDFVYGASSIGSINAKFVAAFSAAAGKRSSEFESEESDPEWGCWSASQESKSPSIRILFPTIDRVKNACNGIFPSKRILCFSEKTWQRLRTLDILHDAIPYPYDRVGHPMHIKVARRRFHSRTDASSFGWVYCGSHNFSAAAWGRPINSPFGLNMNGLGNANSSLGQMLHICNYELGIIFTFPQTETDGSAQKKSTNLDDIFLPYVVPAPKYGPGDRPATRKAMREALAELTEQERERLIEAATTEEIMEENPEPDEDEVVEATDYVAEEKEEEKAYAEKLWSQVDSSQSC >ONI06143 pep chromosome:Prunus_persica_NCBIv2:G5:4755636:4759836:1 gene:PRUPE_5G043200 transcript:ONI06143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPLIMPTSSSSSSSMASSFSSLFSRCSVSHKTLLPNDSGSRIYAPNSVKCDMAEPIKYGNGKPIIPIVNERTLPKFLESARLEKSVNRSSPRIKLFSGTANPALSQEIAWYMGLELGKINIKRFADGEVYVQLGESVRGCDVFLLQPTCPPVNENFMELQIVIDACRRASAKNITAVIPYFGYSRADRKTQGRESIAAKLAANLITVAGADRVLACDIHSGQSIGYFDIPVDHVYCEHVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNIAEVMNLIGDVKGKVAVMVDDMIDTAGTISKGAALLHQEGAREVYACCTHAVFSPPAIERLSNGLFQEVIVTNTIPVAEKNYFPQLTVLSVANLLGETIWRVHDDTSVSSIFQ >ONI08173 pep chromosome:Prunus_persica_NCBIv2:G5:14191742:14192604:1 gene:PRUPE_5G161400 transcript:ONI08173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTWNNGDILRCHVSPRMVVAAHLLATILFSADDTTVVSTNHGRGSWMRGPVAAVELFDGGVGSTFKKQREGLCYMQLAERVMK >ONI08054 pep chromosome:Prunus_persica_NCBIv2:G5:13917808:13921361:-1 gene:PRUPE_5G155800 transcript:ONI08054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQRDDIELVAINDPFITGDYMVYMFNYDTVHGRWKHNDVKLRDANTLLFGDKPVSIFAVRNPDEIPWSGSGAEYVVESTGVFTDKEKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLNIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRLAKSATYDEVKAAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALNDNFHKLVSWYDNEWGYSSRVVDLISYIASVDHA >ONI08055 pep chromosome:Prunus_persica_NCBIv2:G5:13918614:13921191:-1 gene:PRUPE_5G155800 transcript:ONI08055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQRDDIELVAINDPFITGDYMVYMFNYDTVHGRWKHNDVKLRDANTLLFGDKPVSIFAVRNPDEIPWSGSGAEYVVESTGVFTDKEKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLNIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRLAKSATYDEVKAAIKEESEGKLKGILGYTEDDVVSTDFVGDSRYMGI >ONI05532 pep chromosome:Prunus_persica_NCBIv2:G5:1215545:1223448:-1 gene:PRUPE_5G011600 transcript:ONI05532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRNAFDNAKPVFVTIYATVIIGIIFSSLYVISAIYSGKSAADSTTSWLSHVGSPPVEQAPNVSEPAIVQAVPTPSLEPRSMSTRPIWEAPLHTKKMPALKKFRLSKELVQERVKDNVIIVTFGNYAFMDFILTWVKHLTDLGLSNLLIGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVILIDSILPYGYELLMCDTDMVWLKDPLPYLARYPEADVLTSSDQVVPTVTDDRLDIWQQVGAAYNIGIFHWRPTDAAKRLAKEWKDMLLADEKIWDQNGFNDLVRKQLGPPVDGESGLVYAFDGNLKLGVLPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREGMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNVKSHFSLINYQVMPPLWCRLDRLWFPHPGVLEGSITRQPFICPLDHVFEVNVLLKELPEEIFGPQINIREYSFFDNPLMPKQVKESWLEVQLCQEGTRDCVASNTTSPSGVLRFPKRSDEETFKTIFSSFKDVKVIQFSSMQDAFPGFTDKAREEKFRNRVKRYVGIWCCVAEHTPGHIYYDMYWDEKPGWKPIPPQTPEDDHPPP >ONI05535 pep chromosome:Prunus_persica_NCBIv2:G5:1218285:1223448:-1 gene:PRUPE_5G011600 transcript:ONI05535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRNAFDNAKPVFVTIYATVIIGIIFSSLYVISAIYSGKSAADSTTSWLSHVGSPPVEQAPNVSEPAIVQAVPTPSLEPRSMSTRPIWEAPLHTKKMPALKKFRLSKELVQERVKDNVIIVTFGNYAFMDFILTWVKHLTDLGLSNLLIGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVILIDSILPYGYELLMCDTDMVWLKDPLPYLARYPEADVLTSSDQVVPTVTDDRLDIWQQVGAAYNIGIFHWRPTDAAKRLAKEWKDMLLADEKIWDQNGFNDLVRKQLGPPVDGESGLVYAFDGNLKLGVLPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREGMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNVKSHFSLINYQIKQIRMALAISSLLNRTLVMPPLWCRLDRLWFPHPGVLEGSITRQPFICPLDHVFERLTSIGTSFY >ONI05534 pep chromosome:Prunus_persica_NCBIv2:G5:1215925:1223174:-1 gene:PRUPE_5G011600 transcript:ONI05534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRNAFDNAKPVFVTIYATVIIGIIFSSLYVISAIYSGKSAADSTTSWLSHVGSPPVEQAPNVSEPAIVQAVPTPSLEPRSMSTRPIWEAPLHTKKMPALKKFRLSKELVQERVKDNVIIVTFGNYAFMDFILTWVKHLTDLGLSNLLIGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVILIDSILPYGYELLMCDTDMVWLKDPLPYLARYPEADVLTSSDQVVPTVTDDRLDIWQQAVGAAYNIGIFHWRPTDAAKRLAKEWKDMLLADEKIWDQNGFNDLVRKQLGPPVDGESGLVYAFDGNLKLGVLPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREGMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNVKSHFSLINYQIKQIRMALAISSLLNRTLVMPPLWCRLDRLWFPHPGVLEGSITRQPFICPLDHVFEVNVLLKELPEEIFGPQINIREYSFFDNPLMPKQVKESWLEVQLCQEGTRDCVASNTTSPSGVLRFPKRSDEETFKTIFSSFKDVKVIQFSSMQDAFPGFTDKAREEKFRNRVKRYVGIWCCVAEHTPGHIYYDMYWDEKPGWKPIPPQTPEDDHPPP >ONI05533 pep chromosome:Prunus_persica_NCBIv2:G5:1215545:1223491:-1 gene:PRUPE_5G011600 transcript:ONI05533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRNAFDNAKPVFVTIYATVIIGIIFSSLYVISAIYSGKSAADSTTSWLSHVGSPPVEQAPNVSEPAIVQAVPTPSLEPRSMSTRPIWEAPLHTKKMPALKKFRLSKELVQERVKDNVIIVTFGNYAFMDFILTWVKHLTDLGLSNLLIGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVILIDSILPYGYELLMCDTDMVWLKDPLPYLARYPEADVLTSSDQVVPTVTDDRLDIWQQVGAAYNIGIFHWRPTDAAKRLAKEWKDMLLADEKIWDQNGFNDLVRKQLGPPVDGESGLVYAFDGNLKLGVLPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREGMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNVKSHFSLINYQIKQIRMALAISSLLNRTLVMPPLWCRLDRLWFPHPGVLEGSITRQPFICPLDHVFEVNVLLKELPEEIFGPQINIREYSFFDNPLMPKQVKESWLEVQLCQEGTRDCVASNTTSPSGVLRFPKRSDEETFKTIFSSFKDVKVIQFSSMQDAFPGFTDKAREEKFRNRVKRYVGIWCCVAEHTPGHIYYDMYWDEKPGWKPIPPQTPEDDHPPP >ONI05531 pep chromosome:Prunus_persica_NCBIv2:G5:1215545:1223492:-1 gene:PRUPE_5G011600 transcript:ONI05531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRNAFDNAKPVFVTIYATVIIGIIFSSLYVISAIYSGKSAADSTTSWLSHVGSPPVEQAPNVSEPAIVQAVPTPSLEPRSMSTRPIWEAPLHTKKMPALKKFRLSKELVQERVKDNVIIVTFGNYAFMDFILTWVKHLTDLGLSNLLIGAMDTKLLEALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVILIDSILPYGYELLMCDTDMVWLKDPLPYLARYPEADVLTSSDQVVPTVTDDRLDIWQQDAAKRLAKEWKDMLLADEKIWDQNGFNDLVRKQLGPPVDGESGLVYAFDGNLKLGVLPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREGMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNVKSHFSLINYQIKQIRMALAISSLLNRTLVMPPLWCRLDRLWFPHPGVLEGSITRQPFICPLDHVFEVNVLLKELPEEIFGPQINIREYSFFDNPLMPKQVKESWLEVQLCQEGTRDCVASNTTSPSGVLRFPKRSDEETFKTIFSSFKDVKVIQFSSMQDAFPGFTDKAREEKFRNRVKRYVGIWCCVAEHTPGHIYYDMYWDEKPGWKPIPPQTPEDDHPPP >ONI05504 pep chromosome:Prunus_persica_NCBIv2:G5:1048278:1048932:1 gene:PRUPE_5G010300 transcript:ONI05504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQNCRLELRLGVLWSLSKVFVVLLVEGIGLCIQFLASSAMSNDILKSAGGFGPPEIVIKRHLTSLALSRRSTKVRVERPLTSLVHILCKDGLPYPHHA >ONI08506 pep chromosome:Prunus_persica_NCBIv2:G5:15227394:15231366:1 gene:PRUPE_5G182300 transcript:ONI08506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSEPENPSPNIYIPPEWSEAADCIAYDSVTSPPPIAIVCGAKNCGKSTFSRYLLNILLQRYKKVGYLDTDVGQPEFSPPGFLSLTVVDEVTPDLTIPHLKTPERCLFFGDVSSKRNPTTYLNYIFALYDYYQKEYCLFDKSASPAKVGLPLVVNTPGWVKGVGYDILVDMLKYMAPTHVVKINISAESKNLPCGAFWLDEDHDGMLNLIEINSARQDSFNRSVLVQKDARLLRDVRIMAYFRQCFSSNLNITTIKELAHALASHPPYEVPISSIKIRHLHCQVPGSEIFYSLNATIVGLAVSSERTEDLPWCVGLGPGVHITLYVCECFVCKLSSSTVAWVSNDLVCSH >ONI08507 pep chromosome:Prunus_persica_NCBIv2:G5:15227394:15231366:1 gene:PRUPE_5G182300 transcript:ONI08507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSEPENPSPNIYIPPEWSEAADCIAYDSVTSPPPIAIVCGAKNCGKSTFSRYLLNILLQRYKKVGYLDTDVGQPEFSPPGFLSLTVVDEVTPDLTIPHLKTPERCLFFGDVSSKRNPTTYLNYIFALYDYYQKEYCLFDKSASPAKVGLPLVVNTPGWVKGVGYDILVDMLKYMAPTHVVKINISAESKNLPCGAFWLDEDHDGMLNLIEINSARQDSFNRSVLVQKDARLLRDVRIMAYFRQCFSSNLNITTIKELAHALASHPPYEVPISSIKIRHLHCQVPGSEIFYSLNATIVGLAVSSERTEDLPWCVGLGIVRGIDTFKGLVYVITPVPRGTLEKVNLLLQGFIQIPTGLLQVQGCISPYMSANVLSAS >ONI08605 pep chromosome:Prunus_persica_NCBIv2:G5:15515536:15521405:1 gene:PRUPE_5G188500 transcript:ONI08605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTSHGPASFWTQADALLRKNLTFQKRNIKQNIRLVSFPILLCLLLVLVQTLVNHELDKPENRCGCICIDTDGDGKCEKVCALKYSTLEQGASCPIPDPPQWPPLLQVPAPNHRAVISSVIPYTDLPNESCKRTGSCPVTMLFTGKNQTLGEVLAGNMFRSNFTLNSSDTLDNLASSVSGSESMPENSNFLDPAFYSDLPIYNVQSQCSQNPISSVPINISSIQMQQEVRCVQGLHLWRNSSSEVNSELYKGYKKGNSERKINEILAAYDFSNSNGNNFNVSIWYNSTFKNDTGSGPIALLRLPRLVNLASNAYVEFLQGSGTDMLFEFVKEMPKPESKLRLDFSSLLGTLFFTWVILQLFPVVLTSLVYEKQQKLRIMMKMHGLGDGPYWMISYTYFLTVSSIYMLCFVIFGSLIGLKFFTMNEYSIQFIFYFIYINLQISLAFLVAAMFSDVKTSTVIGYIFVFGSGLLGGFLFQFFVQDTSFPRGWIIVLELYPGFSLYRGLYEFAQYAFNGNYMGTDGMRWGDLSDSNNGMREVFIIMVVEWFLVLLFAYYVDQAVSSGTGKGTFFCLQRFRKKKLSSFKMRSLRRHGSKVSIEMEKPDVGQEREKVEKLLLDSDTTHAVICDNLKKVYSGRDGNPEKFAVRGLSLALSRGECFGMLGPNGAGKTSFINMMIGLTKSTSGTAYVQGLDIQTQMDEIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSALIQAVEESLKSVNLFYGGVADKQAGKYSGGMKRRLSVAISLIGDPKVCLRALLKLLWLYL >ONI08604 pep chromosome:Prunus_persica_NCBIv2:G5:15515351:15522443:1 gene:PRUPE_5G188500 transcript:ONI08604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTSHGPASFWTQADALLRKNLTFQKRNIKQNIRLVSFPILLCLLLVLVQTLVNHELDKPENRCGCICIDTDGDGKCEKVCALKYSTLEQGASCPIPDPPQWPPLLQVPAPNHRAVISSVIPYTDLPNESCKRTGSCPVTMLFTGKNQTLGEVLAGNMFRSNFTLNSSDTLDNLASSVSGSESMPENSNFLDPAFYSDLPIYNVQSQCSQNPISSVPINISSIQMQQEVRCVQGLHLWRNSSSEVNSELYKGYKKGNSERKINEILAAYDFSNSNGNNFNVSIWYNSTFKNDTGSGPIALLRLPRLVNLASNAYVEFLQGSGTDMLFEFVKEMPKPESKLRLDFSSLLGTLFFTWVILQLFPVVLTSLVYEKQQKLRIMMKMHGLGDGPYWMISYTYFLTVSSIYMLCFVIFGSLIGLKFFTMNEYSIQFIFYFIYINLQISLAFLVAAMFSDVKTSTVIGYIFVFGSGLLGGFLFQFFVQDTSFPRGWIIVLELYPGFSLYRGLYEFAQYAFNGNYMGTDGMRWGDLSDSNNGMREVFIIMVVEWFLVLLFAYYVDQAVSSGTGKGTFFCLQRFRKKKLSSFKMRSLRRHGSKVSIEMEKPDVGQEREKVEKLLLDSDTTHAVICDNLKKVYSGRDGNPEKFAVRGLSLALSRGECFGMLGPNGAGKTSFINMMIGLTKSTSGTAYVQGLDIQTQMDEIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSALIQAVEESLKSVNLFYGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKRAKQDRAIILTTHSMEEAEVLCDRLGVFVDGSLQCIGNPKELKARYGGSYVFTMTTSSNHEEEVENLVRRLSPNANKIYYLSGTQKFELPKQEVRIADVFESVENAKHRFTVFAWGLADTTLEDVFIKVALEAQASNVLT >ONI06558 pep chromosome:Prunus_persica_NCBIv2:G5:8198253:8199789:-1 gene:PRUPE_5G067900 transcript:ONI06558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEYWDVLGDPYYATRILEISELPETAVKSQLWLDIYATVTQIVLPSNLEDDEDLEDHYKVMMLEDDHMGQPTESFDYHDKLEIDLLTEDRSKSSILATISKELSKSIHVSSQDLRCVAATIVHDASRSLVGRVCTSGSRGLRVHMEACIKIDAIVEDVAADDEVQPAFVPASKSAIEKLERVRVETAGVCCSVCIAEIAVGSEGRGLPCSHIYHEACIVEWLEKSHFCPLCRFSLPA >ONI09127 pep chromosome:Prunus_persica_NCBIv2:G5:17145402:17146848:1 gene:PRUPE_5G219800 transcript:ONI09127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASAIAIILLFLTFLWSLIQRPRYQKLPPGPWALPVIGNLHMLGNLPHRSLRDLAKKYGPIMSMRLGTKTTIVVSSSEAAELFLKTHDTIFASRPKVQSSDYLSYGTKGMGFSEYGPYWRHIRKLCTLQLLCPSKIEAFAPLRREEVGLLVESLKKAEAEGQVVDLSEKVGELIESITYRMVLGSKNDDTFDVKGIIEEIMLLTGAVKIGDYLPFLSPFDFQLISNSIYH >ONI06628 pep chromosome:Prunus_persica_NCBIv2:G5:8622466:8623789:1 gene:PRUPE_5G071200 transcript:ONI06628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSILLRRASAPTLFSKLSSPIRSASVSPLVSRSFNSNAQVTSYDQDDRRVPVDRSTTDRSPFRRRDLGPTFFSDVFDPFSPTRSLSQVLNMMDQITDNPFFAGSRRGWDVKENEEALFLRMDMPGLDKEDVKISVEQNTLVVKGEDKDSEDEEGGGRRFSSRLDLPPNLYKLDSIRAEMKNGVLKLAIPKVKEDERKDVFEVKVE >ONI06486 pep chromosome:Prunus_persica_NCBIv2:G5:7766168:7773057:1 gene:PRUPE_5G063800 transcript:ONI06486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTSPSIDFIFILAFIISIPSSLSVPFIVLHGIGDQCSNRGVKQFTEELRNFAATEGYCIEVGDGTWDSWFLPLEEQTRIVCDKVKEMEELRQGYNIVGLSQGNLIGRGVVEFCIDGPPVKNFISLGGPHAGTASVPLCGSGIFCIIANQLLKSEIYSDYIQAHLAPSGYLKLPNAMADYLEKCKFLPKLNNERPDGKNSTLKERFSSLENLVLIMFEHDTVLIPKETSWFGYYQDDTFKSILSPRQTNLYKEDWIGLRALDEAGRVKYISVPGGHLGISTSDMMKHVVPYLNSLASTEIGKKEGNDPRMSGVQHGMPLLDEKASSELVLEGSSSYRWPLPVKTLFSELLGLTEDESLP >ONI06485 pep chromosome:Prunus_persica_NCBIv2:G5:7766201:7773057:1 gene:PRUPE_5G063800 transcript:ONI06485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTSPSIDFIFILAFIISIPSSLSVPFIVLHGIGDQCSNRGVKQFTEELRNFAATEGYCIEVGDGTWDSWFLPLEEQTRIVCDKVKEMEELRQGYNIVGLSQGNLIGRGVVEFCIDGPPVKNFISLGGPHAGTASVPLCGSGIFCIIANQLLKSEIYSDYIQAHLAPSGYLKLPNAMADYLEKCKFLPKLNNERPDGKNSTLKERFSSLENLVLIMFEHDTVLIPKETSWFGYYQDDTFKSILSPRQTNLYKEDWIGLRALDEAGRVKYISVPGGHLGISTSDMMKHVVPYLNSLASTEIGKKEGNDPRMSGVQHGMPLLDEKASSELVLEGSSSYRWPLPVKTLFSELLGLTEDESLP >ONI07548 pep chromosome:Prunus_persica_NCBIv2:G5:12408050:12411155:-1 gene:PRUPE_5G126900 transcript:ONI07548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTAVRTTDLNRNTEWFTYPGVWTTYILTVFFSWLVVLCLFSCSPGMAWTIVHLCHFLVTYHFFHWKKGTPFADDQGIYNALTWWEQIDNGNQLTRNRKFLTVVPVVLYLIASHTTDYQNPNLIFNTLAVFVLVVAKFPNMHKVRIFGINADH >ONI08468 pep chromosome:Prunus_persica_NCBIv2:G5:15111445:15115267:1 gene:PRUPE_5G179700 transcript:ONI08468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQIPNRQLFIDGEWREPVLKKRIPIINPATEETIGDIPAATAEDVEIAVEAAQKALARNKGRDWSSASGAIRAKYLRAIASKIKERKSELAKLEAIDSGKPLDETTSDIDEVAGCFEYYAGLAEGLDAKQKAPISLPAENFKSHVLQEPIGVVGLITAWNYPLLMAAWKVAPALAAGCAAILKPSELASITCLELAEVCIKVGLPPGVLNILTGLGQEAGAPLASHPHVDKVAFTGSTITGSKIMAAAAQLVKPVSLELGGKSPIVVFEDVDIEKAVEWTCFGIFLTNGQICSATSRLIVHETIAAEFMDRLLKWCKNIKVSDPMDEGCRLGPVVSKGQYEKILKFISTAKSEGAKVAFGGGRPEHLKKGFFIEPTIITDITASMQIWREEVFGPVLCVKTFGSEEEALELANDTYYGLGAAVMSKDSERCERFSKSLQAGIVWINCSQPSFIQAPWGGIKRSGFGRELGEWGLHLYLSVKQVTRYVSDQPWGWFKSPSKP >ONI06394 pep chromosome:Prunus_persica_NCBIv2:G5:6293749:6300060:-1 gene:PRUPE_5G058300 transcript:ONI06394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCYHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGTLANYTPLHARAEPDDYEDHRVSRVKSISINKNKEIKLVKRKQNPDSVMVGGVAADYAHGFRKVTDEDTSNRSSSGSAVSNSESCAQFGSADASDLTGPAQSMVWDSMVPSRKRTCIGRPKPSPVERLTKDLYTILHEQQSSYFSGSSEEDLLFECETPMVSVEIGHGSVLMRHPSSITREEESEASSLSVDNKQCHINEAYSHPATLHVHNNKGVIMTSTVTGKMNNLAGQGMQQEPLKRDKSQYDNFQILGNHNSPLCHVDLNDILNFEEFTRQLTNEEQQQLLKHLPPVDVVKFPYSLKSMFDSPQFRENSTSFQQLLAEGVFDISFLGAKTEDCKTLKRLVLSNSSKSKWVERYHLLKKCKTSPGKSVISGPNTLASSNFRHVKRLRDSETQSFPG >ONI06393 pep chromosome:Prunus_persica_NCBIv2:G5:6293699:6300132:-1 gene:PRUPE_5G058300 transcript:ONI06393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCYHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGTLANYTPLHARAEPDDYEDHRVSRVKSISINKNKEIKLVKRKQNPDSVMVGGVAADYAHGFRKVTDEDTSNRSSSGSAVSNSESCAQFGSADASDLTGPAQSMVWDSMVPSRKRTCIGRPKPSPVERLTKDLYTILHEQQSSYFSGSSEEDLLFECETPMVSVEIGHGSVLMRHPSSITREEESEASSLSVDNKQCHINEAYSHPATLHVHNNKGVIMTSTVTGKMNNLAGQGMQQEPLKRDKSQYDNFQILGNHNSPLCHVDLNDILNFEEFTRQLTNEEQQQLLKHLPPVDVVKFPYSLKSMFDSPQFRENSTSFQQLLAEGVFDISFLGAKTEDCKTLKRLVLSNSSKSKWVERYHLLKKCKTSPGKSVISGPNTLASSNFRHVKRLRDSETQSFPDVKMMMKSPKRIIVKGSNENKDLMDYDGSCFSPRSLFALPADGSSFLMESMNFVDESSDQDLLLHLPSNGSFAQAELLHPAMSFGAQQASTSSSSIYPHVLHP >ONI06395 pep chromosome:Prunus_persica_NCBIv2:G5:6294609:6300060:-1 gene:PRUPE_5G058300 transcript:ONI06395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCYHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGTLANYTPLHARAEPDDYEDHRVSRVKSISINKNKEIKLVKRKQNPDSVMVGGVAADYAHGFRKVTDEDTSNRSSSGSAVSNSESCAQFGSADASDLTGPAQSMVWDSMVPSRKRTCIGRPKPSPVERLTKDLYTILHEQQSSYFSGSSEEDLLFECETPMVSVEIGHGSVLMRHPSSITREEESEASSLSVDNKQCHINEAYSHPATLHVHNNKGVIMTSTVTGKMNNLAGQGMQQEPLKRDKSQYDNFQILGNHNSPLCHVDLNDILNFEEFTRQLTNEEQQQLLKHLPPVDVVKFPYSLKSMFDSPQFRENSTSFQQLLAEGVFDISFLGAKTEDCKTLKRLVLSNSSKSKWVERYHLLKV >ONI08704 pep chromosome:Prunus_persica_NCBIv2:G5:15855055:15856656:-1 gene:PRUPE_5G195500 transcript:ONI08704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIMGFTIISPHIHSSSLQTAKLDMTHTSSCTRLLRQSSSSELFSGAKQLVVGKNSKRASLCKTNAFPDWPLMAVLVEHIEGQRDLITHKSIVHLSDESIKNVYTFYIMFTCWGCLFFGSMKDPYYDSETYRKDGGDGTGHWIYEKQADIEESARAELWREELIEEIEQKVGSLRELEEAGKEEELVK >ONI08210 pep chromosome:Prunus_persica_NCBIv2:G5:14339485:14341365:-1 gene:PRUPE_5G164700 transcript:ONI08210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDHIGIPACFSSSEKLSDDASAVTRSGHSVYMSVYRAKIADQCRLITITWCKNLLLHGLSVSLEGPDGENQQTCKVELKPWYFWRKQGSKRFVVDGKAVDIFWDLKSAKFNGGTEPKSEYYVAVVCDEEVVLLLGDLKKDAYRKTGYRPALIDPTLVSKKEHIFGKKKFSTKAKFYERGRLHEIAIECKNRAGSISNGNSLNGVEPELEIRVDGHLVVHVKHLQWKFRGNESIHINKLRIEVYWDVHDWLFSPGLRHALFIFKPVLPSSTSLSPLSRSSSSSPPFSSLSSTPLSSQTSLGSIEGLNASGSSEFCLFLYAWKVE >ONI06208 pep chromosome:Prunus_persica_NCBIv2:G5:5024474:5026009:-1 gene:PRUPE_5G047300 transcript:ONI06208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSLWSQLSLFIVLLVVQTPGEAATCSDCFVHSRASYYPNSEAQGTDIGACGFGSFGATINGGDVSAASDLYRNGVGCGACYQVRCTNSLYCSDKGATVVITDQGSGHNTDFILSKRAFGRMAQTTDAAASLLSLGVVDIEYRRVSCSYPGKNITIKIDENSNYPHYLAFVIYYQQGKNDITAVQLCETQNFACKLLDRSYGAVWTTTSPPSGPLQIRMLFSDEDGDENWVVPENNIPQDWKVGQTYDSGVQVN >ONI06207 pep chromosome:Prunus_persica_NCBIv2:G5:5024094:5026144:-1 gene:PRUPE_5G047300 transcript:ONI06207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSLWSQLSLFIVLLVVQTPGEAATCSDCFVHSRASYYPNSEAQGTDSACGFGSFGATINGGDVSAASDLYRNGVGCGACYQVRCTNSLYCSDKGATVVITDQGSGHNTDFILSKRAFGRMAQTTDAAASLLSLGVVDIEYRRVSCSYPGKNITIKIDENSNYPHYLAFVIYYQQGKNDITAVQLCETQNFACKLLDRSYGAVWTTTSPPSGPLQIRMLFSDEDGDENWVVPENNIPQDWKVGQTYDSGVQVN >ONI06719 pep chromosome:Prunus_persica_NCBIv2:G5:9038680:9039809:1 gene:PRUPE_5G076200 transcript:ONI06719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNRGFRLRHKLVRIFKWIIRPRKDPNRCQRLEPPTQNYNPISKFFTLARFLRRGAKQLCSANSGYIRIREAPVETKVAKPVSVPKGHLAVYVGESGDDTQRYLVPVMYFNHPLFGDLLKEAEKVYGFNHPGRIVIPCEVSEFEKVQMKIAAGDQCPRRRRKSRR >ONI06433 pep chromosome:Prunus_persica_NCBIv2:G5:6472202:6474664:-1 gene:PRUPE_5G060100 transcript:ONI06433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLRNTRKPPTLVLKIFPHSTHQNSALSSFTIQTPYANQNRETVPVNFPSLPQQETHLVSTSNMALKSTPTQFCNESKPFDREFGNIIKSNKLITSYIRAGDLDSARRVFEKMTVRTTVTWNSILSGYAKMPGKMKEACEVFEKCPEPDSCSYNIMLACYLHNFDVDAALEFFRKMPVKDTASWNTMLSVFAQNGKMREAHELFLVMPEKNSVSWSAMISGYVKCGDLDMAVELFEVAPVKSVVAWTAMVTGYMKFGKIELAEKLFRETPMKNLVTWNTMISGYVENCQAEEGLKLFRSMIGYGVRPNPSSLCSVLLGCSNLSALQMGRQVHQLIYKFQLYKDTTAATCLVSMYCKCGNLGDAWKLFIEMARKDVVTWNAMIAGYAQHGAGLKALNLFDRMRKEGAKPDWITFVAVLMACNHAGLVDLGVRYFDSMARDYGVAAKPDHYTCMVDLLGRAGRLVEAANLIKEMPFEPHSAIFGTLLGACRIHKNLELAEFAAKKLLDLDPTSAAGYVQLANVYAATNRWDHVAKVRRSMKKNGVVKTPGYSWIEVKSVVHEFRSGDRAHLELASIHEKLFELDQKMKLAGYVPDLNFALHDVGEEQKQQLLLWHSEKLAIAFGLIKMPLGTPIRIFKNLRVCGDCHHATKYISAIEKREIIVRDTTRFHHFKGGVCSCGDYW >ONI07314 pep chromosome:Prunus_persica_NCBIv2:G5:11639942:11645901:-1 gene:PRUPE_5G112700 transcript:ONI07314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVFWAVFCNNSECSTKAGNACSSGLLGIINPDSCINHILIIAADILLLFILLCIFICKISSNKIKDPSQSQNFSTVSIISVIFNAGLALAYFGFGIWTIIEKVNTCQTVLPLHGWLVLLIQGFTWLLLAFTISLKKPHNPHIVITKVCSVIAFLIAVVLCSSSLWETIVDETVSFKIALNIFYFPGSILFLFSAFQGSNYSKGEPATHDDAFYTPLLGADSDNIGDLSSNNNVTPFAKAGLFSRMSFWWLNPLMKTGKQKTLEDVDIPLLRQADHARTWYLLFMEQLNKGKEGGSSDTPSILSIIFYCQRREILISGLFALIKTLAVTSSPLFLMAFIKIVEGNAAFKYEGYALTLALFIVKILESLSERQWYFKTRVMGLQVRSLMSAAIYRKQLRLANSAKMAHSPGEIVNYVTVDAYRIGEFPYWFHQMWTTSLQLCLSLLIVYFSVGLATVAALTVLILSVVASSPLAKLRHKYQTKLMVAQNRRLKAIAEALSNMKILKLYSWETNFKNVIEGLRAEELKLIFQALSLRGCHLTLFWSSPTLVSTVTFWTCYFLGFTLTASNVFTFLATLRNVQEPIRIISDVFGAFIEAKVSLSRIVNFLDAPELENRQTTKESSGEEVEHSIFLRSSEISWNTSGTKATLRNINLLVKPGEKVAICGEVGSGKSTLLAAILGEVPRVNGIVQVYGKIAYVAQSAWIQTGNIQENILFGSVMDRVRYQETLEKCSLVKDLEMLPYRDLTQIGERGVNLSGGQRQRIQLARALYQNADVYLLDDPFSAVDAHTATSLFNEYVMGALSEKTVLLVTHQVDFLPAFNEILMMSSGKILRAAPYKELLASSQEFQDLVNAHNDTAGCGKQKEPTRKQNSSTQEIEKVKTEVQQTESSGDQLIKQEERETGDTGLKPYIQYLKHSTGFLYFSLTSFFHLIFIVGQLVQSYWLASKLQVLSRVKLFAVYSWITCIMSFSLVLRFFFIVELGCGASKSIFDTLLNSLFRAPMLFYDSTPVGRILSRVSTDMNIVDLEVAFKLGIYVGGTMITYSIFVVLVSVTWPIVFLIIPTIYVTVLLQKYYFASAKELMRMNGTTKSALASYLAESIAGALTIRAFGEQDRFFSKYLDFIDANASADFNRFSASEWLIERLEWLCAIVLSASALAITLIQFDASSSGFIGMALSYGLSLNVFLVISVQFQCMLENAMISVERVEQYMHIPSEAPEVIEENRPAYNWPTVGKVEIHDLQVRYRPNAPLVLRGINCIIEGGYKIGIVGRTGSGKTTLISVLFRLVEPTEGRVIVDDYDICKIGLHDLRSRLGIIPQDPTLFSGSVRFNLDPLSEHTDQEIWEVLEKCQLREAIEEKEEGLDSLVVQDGTNWSMGQRQLFCLGRALLKRSRILVLDEATASMDNATDSVLQKTIRTEFADCTVITVAHRIPTVMDCTKVLAISDGKHCIISKSSCTKNCVVSFIWFCS >ONI07312 pep chromosome:Prunus_persica_NCBIv2:G5:11639799:11645901:-1 gene:PRUPE_5G112700 transcript:ONI07312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVFWAVFCNNSECSTKAGNACSSGLLGIINPDSCINHILIIAADILLLFILLCIFICKISSNKIKDPSQSQNFSTVSIISVIFNAGLALAYFGFGIWTIIEKVNTCQTVLPLHGWLVLLIQGFTWLLLAFTISLKKPHNPHIVITKVCSVIAFLIAVVLCSSSLWETIVDETVSFKIALNIFYFPGSILFLFSAFQGSNYSKGEPATHDDAFYTPLLGADSDNIGDLSSNNNVTPFAKAGLFSRMSFWWLNPLMKTGKQKTLEDVDIPLLRQADHARTWYLLFMEQLNKGKEGGSSDTPSILSIIFYCQRREILISGLFALIKTLAVTSSPLFLMAFIKIVEGNAAFKYEGYALTLALFIVKILESLSERQWYFKTRVMGLQVRSLMSAAIYRKQLRLANSAKMAHSPGEIVNYVTVDAYRIGEFPYWFHQMWTTSLQLCLSLLIVYFSVGLATVAALTVLILSVVASSPLAKLRHKYQTKLMVAQNRRLKAIAEALSNMKILKLYSWETNFKNVIEGLRAEELKLIFQALSLRGCHLTLFWSSPTLVSTVTFWTCYFLGFTLTASNVFTFLATLRNVQEPIRIISDVFGAFIEAKVSLSRIVNFLDAPELENRQTTKESSGEEVEHSIFLRSSEISWNTSGTKATLRNINLLVKPGEKVAICGEVGSGKSTLLAAILGEVPRVNGIVQVYGKIAYVAQSAWIQTGNIQENILFGSVMDRVRYQETLEKCSLVKDLEMLPYRDLTQIGERGVNLSGGQRQRIQLARALYQNADVYLLDDPFSAVDAHTATSLFNEYVMGALSEKTVLLVTHQVDFLPAFNEILMMSSGKILRAAPYKELLASSQEFQDLVNAHNDTAGCGKQKEPTRKQNSSTQEIEKVKTEVQQTESSGDQLIKQEERETGDTGLKPYIQYLKHSTGFLYFSLTSFFHLIFIVGQLVQSYWLASKLQVLSRVKLFAVYSWITCIMSFSLVLRFFFIVELGCGASKSIFDTLLNSLFRAPMLFYDSTPVGRILSRVSTDMNIVDLEVAFKLGIYVGGTMITYSIFVVLVSVTWPIVFLIIPTIYVTVLLQKYYFASAKELMRMNGTTKSALASYLAESIAGALTIRAFGEQDRFFSKYLDFIDANASADFNRFSASEWLIERLEWLCAIVLSASALAITLIQFDASSSGFIGMALSYGLSLNVFLVISVQFQCMLENAMISVERVEQYMHIPSEAPEVIEENRPAYNWPTVGKVEIHDLQVRYRPNAPLVLRGINCIIEGGYKIGIVGRTGSGKTTLISVLFRLVEPTEGRVIVDDYDICKIGLHDLRSRLGIIPQDPTLFSGSVRFNLDPLSEHTDQEIWEVLEKCQLREAIEEKEEGLDSLVVQDGTNWSMGQRQLFCLGRALLKRSRILVLDEATASMDNATDSVLQKTIRTEFADCTVITVAHRIPTVMDCTKVLAISDGKLVEYDEPMKLMNNEGSLFGQLVKEYWSRAANASIPSDD >ONI07313 pep chromosome:Prunus_persica_NCBIv2:G5:11638574:11647369:-1 gene:PRUPE_5G112700 transcript:ONI07313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFWWLNPLMKTGKQKTLEDVDIPLLRQADHARTWYLLFMEQLNKGKEGGSSDTPSILSIIFYCQRREILISGLFALIKTLAVTSSPLFLMAFIKIVEGNAAFKYEGYALTLALFIVKILESLSERQWYFKTRVMGLQVRSLMSAAIYRKQLRLANSAKMAHSPGEIVNYVTVDAYRIGEFPYWFHQMWTTSLQLCLSLLIVYFSVGLATVAALTVLILSVVASSPLAKLRHKYQTKLMVAQNRRLKAIAEALSNMKILKLYSWETNFKNVIEGLRAEELKLIFQALSLRGCHLTLFWSSPTLVSTVTFWTCYFLGFTLTASNVFTFLATLRNVQEPIRIISDVFGAFIEAKVSLSRIVNFLDAPELENRQTTKESSGEEVEHSIFLRSSEISWNTSGTKATLRNINLLVKPGEKVAICGEVGSGKSTLLAAILGEVPRVNGIVQVYGKIAYVAQSAWIQTGNIQENILFGSVMDRVRYQETLEKCSLVKDLEMLPYRDLTQIGERGVNLSGGQRQRIQLARALYQNADVYLLDDPFSAVDAHTATSLFNEYVMGALSEKTVLLVTHQVDFLPAFNEILMMSSGKILRAAPYKELLASSQEFQDLVNAHNDTAGCGKQKEPTRKQNSSTQEIEKVKTEVQQTESSGDQLIKQEERETGDTGLKPYIQYLKHSTGFLYFSLTSFFHLIFIVGQLVQSYWLASKLQVLSRVKLFAVYSWITCIMSFSLVLRFFFIVELGCGASKSIFDTLLNSLFRAPMLFYDSTPVGRILSRVSTDMNIVDLEVAFKLGIYVGGTMITYSIFVVLVSVTWPIVFLIIPTIYVTVLLQKYYFASAKELMRMNGTTKSALASYLAESIAGALTIRAFGEQDRFFSKYLDFIDANASADFNRFSASEWLIERLEWLCAIVLSASALAITLIQFDASSSGFIGMALSYGLSLNVFLVISVQFQCMLENAMISVERVEQYMHIPSEAPEVIEENRPAYNWPTVGKVEIHDLQVRYRPNAPLVLRGINCIIEGGYKIGIVGRTGSGKTTLISVLFRLVEPTEGRVIVDDYDICKIGLHDLRSRLGIIPQDPTLFSGSVRFNLDPLSEHTDQEIWEVLEKCQLREAIEEKEEGLDSLVVQDGTNWSMGQRQLFCLGRALLKRSRILVLDEATASMDNATDSVLQKTIRTEFADCTVITVAHRIPTVMDCTKVLAISDGKLVEYDEPMKLMNNEGSLFGQLVKEYWSRAANASIPSDD >ONI07311 pep chromosome:Prunus_persica_NCBIv2:G5:11638574:11647369:-1 gene:PRUPE_5G112700 transcript:ONI07311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVFWAVFCNNSECSTKAGNACSSGLLGIINPDSCINHILIIAADILLLFILLCIFICKISSNKIKDPSQSQNFSTVSIISVIFNAGLALAYFGFGIWTIIEKGSNYSKGEPATHDDAFYTPLLGADSDNIGDLSSNNNVTPFAKAGLFSRMSFWWLNPLMKTGKQKTLEDVDIPLLRQADHARTWYLLFMEQLNKGKEGGSSDTPSILSIIFYCQRREILISGLFALIKTLAVTSSPLFLMAFIKIVEGNAAFKYEGYALTLALFIVKILESLSERQWYFKTRVMGLQVRSLMSAAIYRKQLRLANSAKMAHSPGEIVNYVTVDAYRIGEFPYWFHQMWTTSLQLCLSLLIVYFSVGLATVAALTVLILSVVASSPLAKLRHKYQTKLMVAQNRRLKAIAEALSNMKILKLYSWETNFKNVIEGLRAEELKLIFQALSLRGCHLTLFWSSPTLVSTVTFWTCYFLGFTLTASNVFTFLATLRNVQEPIRIISDVFGAFIEAKVSLSRIVNFLDAPELENRQTTKESSGEEVEHSIFLRSSEISWNTSGTKATLRNINLLVKPGEKVAICGEVGSGKSTLLAAILGEVPRVNGIVQVYGKIAYVAQSAWIQTGNIQENILFGSVMDRVRYQETLEKCSLVKDLEMLPYRDLTQIGERGVNLSGGQRQRIQLARALYQNADVYLLDDPFSAVDAHTATSLFNEYVMGALSEKTVLLVTHQVDFLPAFNEILMMSSGKILRAAPYKELLASSQEFQDLVNAHNDTAGCGKQKEPTRKQNSSTQEIEKVKTEVQQTESSGDQLIKQEERETGDTGLKPYIQYLKHSTGFLYFSLTSFFHLIFIVGQLVQSYWLASKLQVLSRVKLFAVYSWITCIMSFSLVLRFFFIVELGCGASKSIFDTLLNSLFRAPMLFYDSTPVGRILSRVSTDMNIVDLEVAFKLGIYVGGTMITYSIFVVLVSVTWPIVFLIIPTIYVTVLLQKYYFASAKELMRMNGTTKSALASYLAESIAGALTIRAFGEQDRFFSKYLDFIDANASADFNRFSASEWLIERLEWLCAIVLSASALAITLIQFDASSSGFIGMALSYGLSLNVFLVISVQFQCMLENAMISVERVEQYMHIPSEAPEVIEENRPAYNWPTVGKVEIHDLQVRYRPNAPLVLRGINCIIEGGYKIGIVGRTGSGKTTLISVLFRLVEPTEGRVIVDDYDICKIGLHDLRSRLGIIPQDPTLFSGSVRFNLDPLSEHTDQEIWEVLEKCQLREAIEEKEEGLDSLVVQDGTNWSMGQRQLFCLGRALLKRSRILVLDEATASMDNATDSVLQKTIRTEFADCTVITVAHRIPTVMDCTKVLAISDGKLVEYDEPMKLMNNEGSLFGQLVKEYWSRAANASIPSDD >ONI08857 pep chromosome:Prunus_persica_NCBIv2:G5:16390127:16395833:1 gene:PRUPE_5G204500 transcript:ONI08857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVADGVDSQSKIQQRRMRRVGLLYDERMCKHSTPDGGQHPENPDRIKVIWNKLQAAAIPQRCVILGAKEAEDKHILSVHSKNHVNLIKNISSKQFDSRRNRVASKFNSIYFNEGSSEAAYLAAGSAIEVTERVAKGELDSAVAIIRPPGHHAEQDEAMGFCLYNNVAIAARFLLDEKPELGINKILIVDWDVHHGNGTQKMFWKDPRVLFFSVHRHEFGSFYPATDDGFYTMIGEGPGAGYNINVPWENGRCGDADYFAVWDHILVPVAKEFNPDLIIVSAGFDAAAGDPLGGCRVTPYGYAVMLKKLMDFAHGKIVLALEGGYNLESVANSVLACVEVLLEDDPIHGSSEAYPFESTWSVIQAVRQKLSSFWPSLADELPDKLTNKKAPPAAYILTSSSDSEEEDYEAPNAISKHLEEVLQDVIEPLSKLKINESIQDHAASNSNTWRSELSKVDVWYATFGSNLWLKRFLCYVEGGQVEGMKKPYLGSGDKTPPKEIMWKTFPHRLFFGREFTHTWGPGGVAFLNPKSNIQDKTYMCLYRITLEQFNDVLVQENVASFATSSPLFDLAALNSVTSEEPHSLQVHTELKRCWYGNVVYLGKESNIPILTMTCTQSDMEGFKSGELAVCAPAKNYANSIVRGLVEGKQLSQEAAMAYIQEASTKPL >ONI08858 pep chromosome:Prunus_persica_NCBIv2:G5:16391257:16395833:1 gene:PRUPE_5G204500 transcript:ONI08858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLSVTERVAKGELDSAVAIIRPPGHHAEQDEAMGFCLYNNVAIAARFLLDEKPELGINKILIVDWDVHHGNGTQKMFWKDPRVLFFSVHRHEFGSFYPATDDGFYTMIGEGPGAGYNINVPWENGRCGDADYFAVWDHILVPVAKEFNPDLIIVSAGFDAAAGDPLGGCRVTPYGYAVMLKKLMDFAHGKIVLALEGGYNLESVANSVLACVEVLLEDDPIHGSSEAYPFESTWSVIQAVRQKLSSFWPSLADELPDKLTNKKAPPAAYILTSSSDSEEEDYEAPNAISKHLEEVLQDVIEPLSKLKINESIQDHAASNSNTWRSELSKVDVWYATFGSNLWLKRFLCYVEGGQVEGMKKPYLGSGDKTPPKEIMWKTFPHRLFFGREFTHTWGPGGVAFLNPKSNIQDKTYMCLYRITLEQFNDVLVQENVASFATSSPLFDLAALNSVTSEEPHSLQVHTELKRCWYGNVVYLGKESNIPILTMTCTQSDMEGFKSGELAVCAPAKNYANSIVRGLVEGKQLSQEAAMAYIQEASTKPL >ONI08986 pep chromosome:Prunus_persica_NCBIv2:G5:16750272:16753808:-1 gene:PRUPE_5G210700 transcript:ONI08986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSPNKRLKTLKPEIQSGKDPIQDDPQEIVSSYSSQSCAICYSNDEKAVRGEIDCCDHYFCFYCIMEWSKTESRCPLCRRRFTTIRRHRKAGVFARQRTFKVPLRDQVYHSHDHVLCDVCHLATDESLLLLCEMCDSAAHTYCVGLGHTLPDDDWFCQDCISTIENHVSSDSDDEEFIPTAVPSDLPSERGSNSHTTVVNRYMMRIPQTVIPVVPDGCTSITVEVTTPARTLPSVSNRPTDSVPSTLPNVSSRPNDSVASTLPSVADRPTESVANTLPSVAGRPTESVARTRPTESIARTLDRHRNVHTRVQALRENWNSFQSGLLSFPSNSSTSGYSCSQKHNNDVVFHERSGETQTSSSTSCQQLENRERCGSHDNDIDKAWKMMDIARSVQHAHEKCSSAVQFSELPAGRSSDSIKRTHHSCFGISGQAQSSSSTGCQRPTNQVDCGSDDIDKALKNVHIKTSSAVQLPKLLSREASASKEAMNVNSSVDILKSRQLGDWDRRRTEMEAYKCNSREKETIRHQSLKLEKERQFRGRAQEGVESSEGLSISSSPGLFESQFSGNLNRSTLLQRLANQASKNITDEQSGPACSSFYAKPELIASSSSKLDVQKRNIGGAENCVESMVRKYDDAKSEIKSLVKINLKLLSKDKRLEVDAFKEIAWRSTHAILAACGLEHPKSGMPSFPSLVCCHTEQFRQQHKSNLMPKSCRDCFYGFVKDVVSSIMAGSAKLS >ONI08987 pep chromosome:Prunus_persica_NCBIv2:G5:16750621:16752837:-1 gene:PRUPE_5G210700 transcript:ONI08987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSAAHTYCVGLGHTLPDDDWFCQDCISTIENHVSSDSDDEEFIPTAVPSDLPSERGSNSHTTVVNRYMMRIPQTVIPVVPDGCTSITVEVTTPARTLPSVSNRPTDSVPSTLPNVSSRPNDSVASTLPSVADRPTESVANTLPSVAGRPTESVARTRPTESIARTLDRHRNVHTRVQALRENWNSFQSGLLSFPSNSSTSGYSCSQKHNNDVVFHERSGETQTSSSTSCQQLENRERCGSHDNDIDKAWKMMDIARSVQHAHEKCSSAVQFSELPAGRSSDSIKRTHHSCFGISGQAQSSSSTGCQRPTNQVDCGSDDIDKALKNVHIKTSSAVQLPKLLSREASASKEAMNVNSSVDILKSRQLGDWDRRRTEMEAYKCNSREKETIRHQSLKLEKERQFRGRAQEGVESSEGLSISSSPGLFESQFSGNLNRSTLLQRLANQASKNITDEQSGPACSSFYAKPELIASSSSKLDVQKRNIGGAENCVESMVRKYDDAKSEIKSLVKINLKLLSKDKRLEVDAFKEIAWRSTHAILAACGLEHPKSGMPSFPSLVCCHTEQFRQQHKSNLMPKSCRDCFYGFVKDVVSSIMAGSAKLS >ONI06536 pep chromosome:Prunus_persica_NCBIv2:G5:8137895:8139326:-1 gene:PRUPE_5G066900 transcript:ONI06536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHELSDDQVEKLKQAFNNFDKDGNGTVTTDELSNVMTSIGQNPSEEELEEFIQQMGGDDGRVRFDQFLKFMAKFMNAQ >ONI08615 pep chromosome:Prunus_persica_NCBIv2:G5:15539755:15542403:-1 gene:PRUPE_5G189200 transcript:ONI08615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKTLCPDLHIARGEYDEETRYPETKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSASGAFSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTTAAHSAH >ONI06213 pep chromosome:Prunus_persica_NCBIv2:G5:5045135:5047815:-1 gene:PRUPE_5G047700 transcript:ONI06213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPALLGLIRLICMLVNIFGKAGRSLVSAAAMEFVHANGPFHNKTKVIFVLGSTGCGKTKLSIDLATRYNGEIINSDKIQVYKGLDIVTNKATKPEQRGILHHLLGSIQDPEADFTVQDFCLQVPKALDEITKRNRVPIIAGGSNTYIEALVEDPTLRFQDKYDCCFIWLDVSLPVLYNRVSERVDEMVDAGLVDELREMFVPGADYERGIRRAIGAPEMHAYFMAEMDHSADEAGKEFLFKDGIQKTKDNTLKLAESQVQKIERLGTKWDIHRIDVTAVHESRGKKAVVAWENLVLKPSFSIVSEFLEMDG >ONI08483 pep chromosome:Prunus_persica_NCBIv2:G5:15147577:15150285:1 gene:PRUPE_5G180600 transcript:ONI08483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSNGTVLAETIAATSAATLVIAGILFFLYRFLAAHRLLKGKTNGSFRREEVVMNREEFRQCGANVKGLIVDENGVDVIYFRKLEAGQLQTNLPRVMFNPSYEDEEEEKRVDTKGGRPELSKPSDLTNPDKLAKPYSLRPPRPLLRTTSTLILEKQAPQSLPPPPPQPAIDKSPPPPPPPPPSLRMPPPPILAKRNPLPPAPPPKVGGLGLSLKPPPAPKGKPSNKRRAESSTGESSKTTGVGQTKLKPLHWDKVMAAVDHSMVWDQINDGSFRFDDELMENLFGYTTIKNQSSERNNHLTASNKSNSAPTSQVFILEPRKSQNTAIVLRSLAISSKEIVNALLDGQGLGADTLEKLTKISPTQEEEAKILQFHGNPSKLAVAEAFLFHILKAVPSAFTRFDAMLFRANYDPEVLHLKKSLQTLEMGCKELRARGLFLKLLEAILKAGNRMNAGTVRGNAQGFNLSALLKLSDVKSTDGKTTLLHFVVEQVAQSEGRSCVINQNCSIGRNTSQIISPILDNVTAEDRRKEYLIQGLSLLEGLSTELSNVKKAATIEYESFIHMSSTLSNHVAEIKKLLTQSGNAERGGFAREMKGFLEECEEELKVVREEQARVMELVKRTTEYYQAGALKDKGAPPLQLFVIMKDFLDMVSLVGTEISRKLQTKKSSTMAVGSSSPPLSPSTITLNKLQNFHSHFISDMSSISSSESEDGF >ONI05348 pep chromosome:Prunus_persica_NCBIv2:G5:426639:430557:1 gene:PRUPE_5G002900 transcript:ONI05348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADIGTEIEKVEMLDPETEFLASKRETGNEWELFKENVRPLKRGRKIAILNDALKAHNDNDIKKSLFDQRRRLIQAIDEYKGEDPLHPWLECIKWVQEAFPPGGDCSGLVVMYEQCVRTFWHSDRYKDDLRYLKVWLEYAENCADAQVIYSFLDANEIGKTHSVFYISYALVMESKNKMKAANEIFNLGISRNARPIEKLKDAYRKFIARSMSRPKASEEDSMEKRLPIRSFGTVLSRGENRMQTLDSSDLSKKDSKPKGDRVLAPININIYKDSNSADIGSHHHPDVSKPDVNSWHSLGPRAERNKENNAIPTKWRSYKIPQRPGSRTGGAGASSACIEVFVDEECEETHRKNVESAKSSALQLRQEEERALKRETDLLREDPLRNFPSNSLPR >ONI05343 pep chromosome:Prunus_persica_NCBIv2:G5:399659:400756:1 gene:PRUPE_5G002500 transcript:ONI05343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLHGKLRDRKQHTLFKAPKKQKRKGKFLKHEQALVPCGHHRNDLKSLFRLKTYLFDDGHRHTQLAP >ONI08157 pep chromosome:Prunus_persica_NCBIv2:G5:14142222:14143409:1 gene:PRUPE_5G160300 transcript:ONI08157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNQPSRSNLKRKVISEESDSDSDSGKPTAEKRVRFPKGKKARLGDEAVNIRRAEDDDEIGIGLADPRSAAKEREKRRSQRNHDRFGEDGIGEDVSKAEVSYEGLDSENLMEDGIPIEPFNLDKEREEGYFDADGNFVEYAPNEKNKVKDAWLDSVEAGETKLYARKTEDEDGDGDGDGEDLPLSDGDDIGKIKRRIADVLEDGETVLQALRRLKGGSSSARNKREKMPAETKRVFDQLTEDAVTLMDKYGEYNVNHEKREIFEREAQGYESLARARSQGAALGTNNGAESAGDEYDMFGEDDEDATAQASNSDGVGVGPSQLENDYVLDESSGYYYSSSLGYYYDPSTGLYCSAASGLWYSFNEETGAYDEITHHGQAAAAAAAAADAETGAN >ONI06415 pep chromosome:Prunus_persica_NCBIv2:G5:6374219:6375864:1 gene:PRUPE_5G059100 transcript:ONI06415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRAMLTLAMLLALVALSMAQGPASAPKYFNPSKPKPPPTAASPTTPIASPPTYMAAPPPSTTASPPPSTVGATPPTTSATSPTTATPPSMVATPPTATPPSMVATPPTAAAPPMEASGPTSPGPTSSGPSNTPPAPAPGSSSTPSIAQPPAPVPSSPDSGAFVHGISMALVAFLSGVALVLA >ONI08602 pep chromosome:Prunus_persica_NCBIv2:G5:15503996:15508971:1 gene:PRUPE_5G188300 transcript:ONI08602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPAKWIKTVLFGKKSSKANIPKGREKFSNEKEVVVASRAAGAEFSSDLPLAFHQNTNTLEDNIGLELENKEAPNVLGDGGPGSQSTEIQGSTPQDAVYDPERIRQEQAATKAQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAVATLCSMVGIVKFQALIRGRRVRQSDTGLEVQKRCILPLVNEGKLVGPVGDTSTQMAKLSANVFIFKLLALSPPVMPLSLQCEPGDPNSVSNWLERWSATHFWKPVPQPRKVPDSKSQRKHPEAQTGRVKRSNRRVPSANVESVSVQATSEFEKPKRNLRKVSTHTTDPVQENPQVELEKVKRNLRKVHSPIVENSVQTEGEAESLKQSLEKASSTSGPDVLEGYTNNSVEKLKKEPTWILSNQPDTETIPEPSATKEVFNLSSGDQAVEDLKPLTESTGKDINAPSAEAAVESKILTESNGNDENISSANGVLSQKEDLTTNDNQKSSRKSSTPAKQERSENGLQSSPTVPSYMAATESAKAKLRAQGSPRFGQDGTEKINSTRRHSLPSSTNSKISSQSPRTQRLVQAVGKGGNKSERTQPTSREGNGKATQAEWRR >ONI07920 pep chromosome:Prunus_persica_NCBIv2:G5:13516214:13517452:-1 gene:PRUPE_5G147200 transcript:ONI07920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSKRVQDRSKLKRVHDLEIVTEKWKIASKVLFLMEILKKEPEMIIPVRSLDQYRSQISLPKPHRVSDFIRKSPKLFELYKDHRRVLWCGMTKKAEDLVEEEDRILEEHQDKAAEYVTRFLMMSVDKRLALDKIVHFRRDFALPVDFRTGWVHKYPQHFKLVKDEEGLEYLELVNWNPAWAITELEKKTMGITEASEDHTPGLLSISFPLKFPPNYKKAYKYRGAIDHFQKRSYLSPYADARGLKAGSKEFDKRAVAIMHELLSFTVEKRLVTDHLTHFRHELVMPQKLMRLLLKHFGIFYVSERGKRFSVFLKEAYEGSELIEKSPLVLWKEKVQSLIGYRGKKKIENFSDMSDMEDNGFDESQSENEDIDLQSEQEETEGDMEDDSLGDNSEMDIDEVCSAYEDNTKRC >ONI08261 pep chromosome:Prunus_persica_NCBIv2:G5:14485094:14488107:1 gene:PRUPE_5G168000 transcript:ONI08261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMACLNQKQHLLFLVLFTTIFSTHVLSDSVGISSTRLYPFACSDSDQIQSCNSYLYHISKGHQIEEIATFYSVNSSSIKPIVHGTQHKQDYLVSVPCTCKDIKGTKTYLYDTSYLVKQGDTLENVVSEFYSGQAMIVGAEEKPFAGVGNMTTILLVCGCVERSSQEVVTYTVQDHDTLIGIEQLLSAYESEIQNLNINLTQTPNFIDVGWVLFVPMELNGLQPKRQGKRLSLPTIIGVVSAVGFLFVATFIIFLLIRYRKGRNREEDQKSVVNPSAKKGFSLKQQFFKRQMEETFENERPVIYSMEQIEMATSNFDETRKIGEGGYGSVYFGILGELEVAIKKMRSSRTKEFFAELKVLCKIHHNNVVELLGYASGSDHLCLVYEFLQNGSLNDHLHDPLLKGNQPLSWTARAQIALDTARGIEYIHDHTKARYVHRDIKTSNILLDQGLRAKVADFGLARLVERSSEEDMVATRVVGTPGYIPPESVRELQMTSKTDVYAFGVVVAELITGQRAIVRDNREPKRMKSLSSVLYAVFQEKDPEAALEAKVDGNMKGSYPIEEVYKMAEIARRCSSEDPVDRPEMRDIVQTLSQILVCSIEWEASLGGKSQVFSGLIMSGR >ONI08763 pep chromosome:Prunus_persica_NCBIv2:G5:16070335:16071862:1 gene:PRUPE_5G199600 transcript:ONI08763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPTVIRLDLFFNVKKPQKEKVEDDDNEQKQASLDSHYSLNLLPQLLEVAWSHDPLTTLKLIFNLNSCHFHTGKWYTDAFYTAAFWLHKNHPKTLVCNIDSFSTPLGNLWELIDILYRLLGEHAVMDLFLKRLESDVDKIMQHKLQLKSSDYLTDEEDEFKEDEDDEDSTFDPHRFVTEAAACLVTKHPTASHTARSVLLCESIAKRLFTPKSDQSYELEEWEKFRKLVLAPLRNYWYRQGMFDHRWSWVFEKQKLWHLQTAVNSDRQRSYEVEKYLEEVKVAAARGGGGIGIIKPDALVLGEIIKYVCYADFREVVELQWKAMGLGNFKNCLAVCCLTDILGNGRAWAMSLGLVVSELSEEPVWKGKVITFGDSQYELLLHSIQGDDLKSKAKFMMRTNKDFSIANFPEACDLILEVAVNENLKPEQMVKKVFVFTDFSCRGIDWKPSYEAVFLDNGGEIGAHQLMEAAIADKEYQALSVVD >ONI05914 pep chromosome:Prunus_persica_NCBIv2:G5:3349341:3350900:1 gene:PRUPE_5G028500 transcript:ONI05914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFRIGADDCGSNESNVSTRTSIVTAGASSPSKPSSPGESRRSGKGFLQHIISSETACKYSLGQRSICKSNWMSILMHASCNLFASPLSPQFLSCHRRI >ONI08904 pep chromosome:Prunus_persica_NCBIv2:G5:16542876:16544243:1 gene:PRUPE_5G207400 transcript:ONI08904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRISTRTTVPRFTEIFTAKRNPTFSNQSSQSFSTNPIKPRFSQQSQYRKQISLATLLQRYGFPSSLLHNFLSKNHFLLNSNIQELEKSLVILLSFKIPQNSLVSLICECPGVLDFQFLKKWEMGLSNFVLLSSSPLMIKGVLEQSKRFQIDPDGFFKSVEVLRGLGFIDGTVSKVLEGFPGVILMNGKEIQRRLEFLAGIGIPRDGVDRVLRSFPGFIGFGVEDRLKPLLYEFKDFGFSVDVISREIIKEPRILSMELGEFSQCLEFLRTLKCRVPIKEKIFSEGEFRAGFEVKLRVDCLCRYGLIRREAFEVLWKEPRSIIYKVGEIERKIEFLIRRMKFNSRCLVEVPEYLGVNFEKQIIPRYNVIEYLRSKGGLGYEVGLKGLVKPSRLRFYNLYVKPYPDCAKMFGRFSGDVKVQSRHPVGLWKLFKPQRYPESKEDVKNTKLFMESLG >ONI09468 pep chromosome:Prunus_persica_NCBIv2:G5:18111255:18113941:-1 gene:PRUPE_5G240200 transcript:ONI09468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQYLKTSIASMRGLYFINLFSFKNHSLSLCCSNANILGYVRSPIESISHVRTYLLSASSSYHLVPFLGTPCLNLVKLSQPLNALAAFRVSVRHFCSEIVTVDQSFDCDGRSGIEDESLESDVDKFCEAIMDNSNAYCNMEKALDQVGLPLTTPLVVGVLQRLRFEEKLAFRFFMWAGRRENYVHESLAYNDMIDILSCTRYKVKQFRIVCDLLDYMKRHNKSKVPVEVLLTILKQYTEKHLTYLQKFAKKKRIRVKTQPEINALNLLLDALCKCSLVQDAQDMLQRVKKKVKPDANTYNILFFGWCRVRNPTRGMKLLEEMIQVGHAPDNFTYTTAIDAFCKAGMVSEAAELFEFMRTQGSTISSPTAKTYAIMIVALVQNDRMEECFKLLGHMINSGCLPDVSTYKELLEGMCLAGKVEEAYKFLQEMGNKGLFGCNKVEDVCCLLEDVVNKGMKLPYSKFDSFLMQLSVIGDLQSIHRLSGHMRQFYNPSMARRVALNQKRRSMSLRGS >ONI06021 pep chromosome:Prunus_persica_NCBIv2:G5:3964776:3966300:-1 gene:PRUPE_5G034700 transcript:ONI06021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSSGEQSVRSVAAAGGNSSISKAFCRCGEGWKCVITRTEGPDAGKAFFNCGDNCTCVIYADGTVTNDVVPQEVDKVGASEAYCECGEGWKCVISKVEGPDAGKGFSECSNGCTCVTDA >ONI08492 pep chromosome:Prunus_persica_NCBIv2:G5:15172207:15174544:1 gene:PRUPE_5G181200 transcript:ONI08492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYDNWERLVRATLMIEQLRSSGQGHERVPSGIAGAVPPSLGKTTNIDAILQAADAIYAEDPNQKFAKRDGGQIDRNRDIEHPWDFYQRYKRRHKVDDIQRQEQRWRESGTFSADFGDYLEMKKMIATLRALVEVMEALSKYADPNGVGRLIMEEVRGAISAIRYTEQFPRLPAAIEISGQRDADMFDLLECVFGFQKDNVTNQRENVLLTVANAQSQLGVPVEADPVSEHVIIVTWATDYPSCIHVNEHVIICYTCYVSIYLNLNFE >ONI05442 pep chromosome:Prunus_persica_NCBIv2:G5:819389:824009:1 gene:PRUPE_5G007600 transcript:ONI05442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLGLAVGPAAPAATTPNTNLLLLSSNKQIQTNFSSSSFLTSCSVRNAHLLHSSCRTIKAMAAEDTFTVTTHDSKSPASGNGLALISLSDKKDLAFLGNGLQDLGYKIVSTGGTASALESAGLSVTKVEQITSFPEMLDGRVKTLHPNVHGGILARRDQKHHMEALSKHGIGTFDVVVVNLYPFYEKVTSTGGIEFEDGVENIDIGGPAMIRAAAKNHKDVLVVVDSEDYPALLEYLTGDKVDQQFRRKLAWKAFQHVASYDSAVSEWLWKQTTEDKFPPSLTVPILLKSPLRYGENPHQKAAFYVDKSLSEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFRNPTCVVVKHTNPCGVASRDDILEAYTLAVKADPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPKYTKKGLEILRGKSKTLRILEARKNEKGKLSLRQVGGGWLAQDSDDLTPQDIQFKVVTQKAPQESELGDAEFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRRESLRIALKKAGEEVKGAALASDAFFPFAWKDAVEEACESGVSVIAEPGGSIRDGDAIDCCNKYGVSLLFTNVRHFRH >ONI06266 pep chromosome:Prunus_persica_NCBIv2:G5:5272891:5274949:1 gene:PRUPE_5G049800 transcript:ONI06266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFRQASSLLGRPACPSRFRALCTEVPAANPNADSAFIESWKKVIPIMDPPKTPSTYMEPRPPTPSSLPSKLTVNFVLPYSSELSGKEVDMVMVPATTGQMGVLPGHVATIAELKPGILSVHEGSEVTKYFVSSGFAFIHANSVADIVAVEAVPIDQIDASLVQKGLAEFTQKLSSATTDLEKAEAQIGVDVHSALNSALTG >ONI08553 pep chromosome:Prunus_persica_NCBIv2:G5:15353824:15357182:1 gene:PRUPE_5G184900 transcript:ONI08553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNILKVNRNATDDDLKKAYKRLAMIWHPDKNPAGKRPEAEAKFKQISEAYDVLSDPAKRQIYDLYGEEALKSGQFPPPSSSSSSRSGAGPHYYTSHVHHHQRHPNASTFRFNPRDAEDIYAEIFGSDGGGGGVGSGGGRGYRDGFFRTSNGGGPEFAGPSGSGPGPGRKANAVENLLPCSLEELYKGVKKKMKISRNIYDAVGAGKVRTVEEILTIEIRPGWKKGTKITFPEKGNQEPNVIPADLIFVVDEKPHALYKRDGNDLVVNQEITLLEALTGKTLDLTTLDGRNLMIPLTDIIKPGGEMVVPNEGMPISKEPGKKGNLRIKFDVKYPSRLTTEQKSDLKRVLGGVSL >ONI07616 pep chromosome:Prunus_persica_NCBIv2:G5:12644569:12646556:1 gene:PRUPE_5G130900 transcript:ONI07616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKISQCFLLWFMCSTVLFLNALQPCFAFTSFVFGDSLVDAGNNDYIFTLSKADSPPYGIDFKPSGGHPTGRFTNGRTISDIVGQAFGAKSFPPPYLAPNAQANSILGGINYASGASGILDETGVLFIGRVPLREQVNNFEHSRSYMVKVMGEKNTMEFLKKAIFSVTIGSNDFLNYFQPTIPVFGNGKVSPNLFQDFMVSNLTIHLKRLHLLGARKFIVVGVGPLGCIPFIRAIKLLPSGQCSAVVNELIQGYNTKLNGVLDQLNQELGPEAIFVYANSFDIFMKIIGNYHQYGFEDANEPCCGGYFPPFICFKSSGTNRSTALCADRSKYVFWDAYHPTEAANMIIAKGLLDGDESVSYPINIRELYKYNS >ONI08218 pep chromosome:Prunus_persica_NCBIv2:G5:14363766:14365982:1 gene:PRUPE_5G165200 transcript:ONI08218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNLDATQFGLTRKGLNPGNPLIDIIGFFCGVVSVKKYSSHESSKGSVAQNPWLRQRARVDQVLRHNSADLFSGHKDSMKQFWITLRQMKEQGFYIEVQTYSAIAERLKKGKMDNDVVAFKHFFERMMKDNATDEVAKKVADVVSGSEWSAGIEKELGELKITLSDNFVVRVLKELRICPSKALSFFHWVGQSSGYEHNTITYNAVARILAQADSIGEFWSVIEEMKGAGHELDLDTYIKITRQFQKSKMMEDAVKLYELMMDGPYKPSAQDCSMLLRSISANDKPDLDMVFRVAKKFESAGNTLSKAVYDGIHRSLTSAGSFDEAEKITKVMRNAGYEPDNITYSQLVFGLCKAKRLEEACKVLDEMEANGCVPDIMTWTILIQGHCAANEVDTALVCFAKMIEKGCDADADLLDVLINGFLKQRKIEGAYKLLIEMVNMTRLRPWQATYKNLIENLLGVRKLDEAFALLHLMKKQSYPPYPDPFVQYLSKFGSVEDAAEFFKALSVKEYPSSAAYVHVFKSFFKEGRDSEAKELLYKCPYHIRKLGEISKLFGSTEGKQTAA >ONI08687 pep chromosome:Prunus_persica_NCBIv2:G5:15800774:15803091:1 gene:PRUPE_5G194000 transcript:ONI08687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTAVSHHLSSLSSSQSHHPNVPVCFTTNISHTQTPKQSLLDLIKSCTRRSHLLQIHAHIVRTSLVLEPTICLQFLSLVGLSPLKSISYSRRFFDQIAKPTAFQYNTMVRAYSISDSPEEGFSMYRDLLRRGLRADALASSFVIKSCIRVSSLLGGIQVHARILRGGHESDSRLLTTLMDLYSICGKCDEACKLFDEMPKRDVVAWNVLISCCLHNNRTRDAVSLFDIMRSETHRCEPDEVTCLLMLQACSNLNALEFGERVHKYIEEHGYDGASNLCNSLIAMYSRCGCLDKAYEVFKGMKDKNVVSWSAMISGLAVNGYGREAIEAFGEMQKMGVLPDDQTFTGVLCACSHCGLVDEGMVFFDRMSKDFGVVPNIHHYGCMVDLLGRAGRLDQAYQLILSMDIKPDSTIWRTLLGGCRIHGHDALAESVIGHLIELKAQEAGDYVLLMNIYSSAGNWEKLTEVRKFMKEKAIQTTPGCSTIELKGVAHEFVVDDVSHPRKDEIYNMLDEINSQLKIAGYVADVSSELHNLGTEEKGHALSYHSEKLAIAFGVLATPPGTPIRVAKNLRICVDCHNFAMVLSGVYNREVIIRDRTRFHHFREGRCSCNGYW >ONI09316 pep chromosome:Prunus_persica_NCBIv2:G5:17644947:17649861:-1 gene:PRUPE_5G231400 transcript:ONI09316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGATLVEGVRRWFQRRSSSTSTSTTINTNPNNNSKQPSNSNYSRNNFVNDHNDAHVSVSDLSAQSSTSHQREQKQGQEYQLQFEVEDDFDISGLKLISVPKRANFRAPPMDSQKKGNLETEFFTEYGEASRYQVQEVIGKGSYGVVGSAIDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSTESIARIRNEKARRYLSSMRKKQPVPFTHKFPNADPLALRLVEQLLAFDPKDRPTAEEALADPYFHGLANVDREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGGDQTSFMYPSGVDRFKRQFAHLEEHYGKGERGTPPLQRQHASLPRERVCAPKDENSGQNNDVERTAASVASTLESPPGSQQPDVSVNADGQNGPSKTNYNARSLLKSASISASKCIGVRPKKDSEEEAIAEVNDEAVDGLSQKVEALRA >ONI09318 pep chromosome:Prunus_persica_NCBIv2:G5:17653057:17656257:1 gene:PRUPE_5G231600 transcript:ONI09318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPPYPTPHPYPPPIATTATAIVSAAPAITPLATEDQNNIATTHVAANPTPPPNPTSNHPPYAEMIYAAIAALKEKDGSSKRAIAKYIERAYSGLPTTHSALLTHHLKRLKSNGLLVMVKKSYKLPRSDASLPPPNASAAAAAATTALPSAGPSRGRGRPPKTKPILDQPSLQEPIPQQPTFQQSIPILQQPNLQQPIHILQQPNLQQSIPIPQQPSLQEPVPVPQQPTIQQHPHFQAFPEPTIQQNSQPMLVALGLVDEPAASVKRRPGRPRKVVGVGIGQAGGGPVSAKRGRGRPPGPRLPKKRPGRPPKPKSVSAVLGPNGLVKRGRGRPSKAEPKSVFFPYATNVPIMGAFEQNNVPNVVGPQQSLPRPRGRPKKKDAVAAVRVGGLVPGKRGRPPGLPGMERPKRSTGRPVGRPKKNALVTTTEAPDSQAVANGEFKRKLEYFQFKVGQAVGAIKPYLNNESEVSAIAAIQELEGLAAMDISAPFTFEAPQPPVLQS >ONI06686 pep chromosome:Prunus_persica_NCBIv2:G5:8864594:8866133:-1 gene:PRUPE_5G074500 transcript:ONI06686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAPYPLVFCFLLFAFSAKLRSVAADAAPSPVLDITGNKLQTGVDYYILPVIRGRGGGLTLASTSNKTSCPLDVVQEQNEVSNGLPLKFSPVNVTKGVVRVSTDLNIKFSATTICVQSTVWKLGKFDEQTGQWFVTSGGVEGNPGRQTTSNWFKIEKFGDDYKLVFCPTVCNFCKVICGDVGIFFQDGKRRLALSDVPFRAMFKK >ONI07625 pep chromosome:Prunus_persica_NCBIv2:G5:12675538:12678057:-1 gene:PRUPE_5G131700 transcript:ONI07625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSGTSWLTIVKRAFRSPTKDNDQQKISRRRDEHEPEEEEKKREKRRWLFRKPTIQVQQCEAKTVTINATTTPVNPVLVAEQRRAIAVAAATAAAAEAAVATAQAAVKVVRLTRPNNFAKEQYAATLIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKLTLKCMQALLRVQDQVRNERSRLSHDGGRKSMFAETDLPLWESRYLHDSRDRKSMSREKSRVGDDWDEGVEAMLQSRREAALKREKALAYAFSHQIWKPRRNPSAGDEAELEERTKWLDRWMATKQFEHSSRASIDKRDTIKTVEIDTNSRPHSYSYSAPNVRISTSHSQLQKQPAPYPIASPSPLHKSHYINHLSPATPSPSKPKYLKVRSASPRCLKEEKYCCSSAAHTPNLGSNSYCFRGSMCRSAIPNYMAATESAKAKARSLSAPRTRPSTPERERGGGSAKKRLLYPVPAEALQHNSVGIGCSSFSHNLRSPSFKSVQNNGYYGRENLSSYTDSLGGEISPCSTTDLRWLK >ONI08482 pep chromosome:Prunus_persica_NCBIv2:G5:15144011:15147417:1 gene:PRUPE_5G180500 transcript:ONI08482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASEMFRPNLPESFELSADSQMGSGELHVLAVDDSHVDRKVIERLLKISSCKVTAVDSGTRALQYLGLDGEKSSVGFDAMKVNLIITDYSMPGMTGYELLKKIKESSAFREVPVVIMSSENILTRIDRCLEEGAEEYILKPVKLSDVNRLKHFMMGGGERRESAEKKIHKRTFQVDYNASSPPSPLPQLSPSFACSLASSQLPSSSPSLPSTRFSSKRPRLHKTD >ONI08481 pep chromosome:Prunus_persica_NCBIv2:G5:15143941:15147064:1 gene:PRUPE_5G180500 transcript:ONI08481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASEMFRPNLPESFELSADSQMGSGELHVLAVDDSHVDRKVIERLLKISSCKVTAVDSGTRALQYLGLDGEKSSVGFDAMKVNLIITDYSMPGMTGYELLKKIKESSAFREVPVVIMSSENILTRIDRCLEEGAEEYILKPVKLSDVNRLKHFMMGGGERRESAEKKIHKRTFQVDYNASSPPSPLPQLSPSFACSLASSQLPSSSPSLPSTRFSSKRPRLHKTD >ONI08863 pep chromosome:Prunus_persica_NCBIv2:G5:16407556:16412695:1 gene:PRUPE_5G204800 transcript:ONI08863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALRREGRRFAPLISPHPMAAIRSSIVPAEEQAPLGVRSISTQIVRNRMKSVKNIQKITKAMKMVAASKLRAIQAKAENSRGLWQPFTALLGDTPSVQVKKDVIVTISSDKGLCGGINSTSVKISKALNKLNSGPDKETKYVILGEKAKAQLIRDSKKDIVLVLTELQKNPLNYTQVSVLADDILKNVEFDALRIIFNKFQSVVAFLPTVSTVLSPEIVEREAESGGKLGELDSYEIEGGETKSEILQNLAEFQFSCVLFNAVLENACSEQGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >ONI07425 pep chromosome:Prunus_persica_NCBIv2:G5:11995279:11997359:-1 gene:PRUPE_5G119700 transcript:ONI07425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEIFNISILFLFSLFLPHRAATPCNGSTCSIDGPVARFPFQIRNNQVSSNCGYPGFDLSCNNQSQTIITFPSSGDFIVQSISYLAQILYINGTDNCMPKRFLHRDLSFEDTPFSIYLGFEGTKNYTFFNCSSDQEIYWPSNIISCISTEYYKVLALPTNWSQPRLKLANCTVISTTLVPSSFEWQEINQGFMLTWDVPDCGYCEERDQACGLTKGKSSTIQCLHTDKVSSVLSKEAKFGIMMGVGIPGLLFFVWLVLHFCNRTVQGQNPQPITELSTLTSQQPSTVVTGLYASTIESYPKTQLGESWELPKPNDNSCPICLSEYKSKETLRTIPECNHYFHANCVDEWLRMKATCPLCRNPQEK >ONI08417 pep chromosome:Prunus_persica_NCBIv2:G5:14989213:14992503:1 gene:PRUPE_5G177200 transcript:ONI08417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKSSLVELVPFAAMVMVEIMDVGLTTLSKAAMSRGMSHFVFVVYSNALATLILLPSSFIIHRNKRPPLTFSVLCKFFLLSLAGITVMQNCVFTGVSYSSPTLASALSNLVPAFTFFLAVVFRMEKFDLRNSRSQIKIMGTLVSISGALIVTLYKGPAVGFLPSQPAIDSSPPNPTMLTSMNNWVTGGLFLTTASLSLAIWNTAQAAILKGYPSEMTVVSFYCFFGTIQSAILTLIVEKNPNVWALRLDMELISIVYSAIFGSVITFSTLTWCIQKKGPVYVAMFKPLGIAIAALMGAIFLGDTLHIGSVIGALVIVVGFYGVIWAQSKEEKSLDQKRDEKEQGETHEVVDRLQSSSQRTPLLEAYTQEV >ONI05495 pep chromosome:Prunus_persica_NCBIv2:G5:999082:999812:1 gene:PRUPE_5G009700 transcript:ONI05495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDDEEPLMSGVKHALQREWQNIVHSDDVRTLLCTQKLWQRNSWSLFTMMFWIQAQEIPLGQVTRSNAKPIASRITKELVVEDPAQAGTRGFLRMRVEINMGKPLPMDFWQSTLGPILIQRPSKILL >ONI06743 pep chromosome:Prunus_persica_NCBIv2:G5:9209584:9213910:1 gene:PRUPE_5G078400 transcript:ONI06743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQKKPVKMLKAMWSPFWDVVASIEKDEDFIFLTLLALLFTLFWFLLPWKKSRKPTPPMPPGPCGLPLLGYLPFLGPNLHHDFTKLAKTYGPIYKLKLGRKLCFVITSPTLVKQVVRDHDTIFSNRDSTVAAQVASYGGIDIAFGTYGPDWRRLRKVFVSHMLSNANIDACYALRKQEVRKSLNYIYGKAGSAVDLGEVAFMTSINTLKRMIWGGTLQEEKGATDIGVEFRKPNVSDFFPVLARFDIQGIRRQQQKAISVTEKIFTSAIEIQISMSPAENEGLEQKHGRKDLLQFLLEHNKDSATPLTMQELLALLTDVMVGGTDTTTTTVEWVMTELMQNPDELKEVQKELTEIVGLNILVEEFHLSKLHYLDAVIKETFRLHPALPLLLPRLPTQSTTIGGYNIPKGSSVFLNIWANQRDPSVWNSPLEFRPKRFLNDQSKFDYKGNRFEYLPFGSGRRICAGLPLAERTLIYVLASFLHSFEWRLPYGTNLDLSERFGVVTRKMTPLVAIPTPRLSKLEVYA >ONI07401 pep chromosome:Prunus_persica_NCBIv2:G5:11899547:11904050:-1 gene:PRUPE_5G117600 transcript:ONI07401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLWFSLSIFLCLSYFSVAEVVTCSGIVPMRYRNDKISITDFGGVGDGVTLNTKAFREAIYRIEHLKRRGGTLLYIPPGVYLTESFNLTSHMTLYLAKGAVIKATQDTRNWPLIAPLPSYGRGRELPGGRYSSFIHGDGIHDVVITGENGTIDGQGGVWWNMWRQRTLQFTRPNLIEFMNSKNIIISNVIFQNSPFWNIHPVYCSNVVVRYVTILAPYDSPNTDGVDPDSSSNVCIEDSYISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRVRGSSPFAGIAIGSETSGGVENVLAEHINLYNMGVGIHIKTNIGRGGFIRNITVSDVYMEEVRRGIKIAGDVGDHPDDKYNQNALPVVKGITLKDIWGVQVQQAGVILGLKNSPFTGICLSNINLHGMTGSRSPPWKCSDISGAAHLVSPLQCSELSGAQQASTCSNYS >ONI07400 pep chromosome:Prunus_persica_NCBIv2:G5:11899709:11903539:-1 gene:PRUPE_5G117600 transcript:ONI07400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLWFSLSIFLCLSYFSVAEVVTCSGIVPMRYRNDKISITDFGGVGDGVTLNTKAFREAIYRIEHLKRRGGTLLYIPPGVYLTESFNLTSHMTLYLAKGAVIKATQDTRNWPLIAPLPSYGRGRELPGGRYSSFIHGDGIHDVVITGENGTIDGQGGVWWNMWRQRTLQFTRPNLIEFMNSKNIIISNVIFQNSPFWNIHPVYCSNVVVRYVTILAPYDSPNTDGVDPDSSSNVCIEDSYISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRVRGSSPFAGIAIGSETSGGVENVLAEHINLYNMGVGIHIKTNIGRGGFIRNITVSDVYMEEVRRGIKIAGDVGDHPDDKYNQNALPVVKGITLKDIWGVQVQQAGVILGLKNSPFTGICLSNINLHGMTGSRSPPWKCSDISGAAHLVSPLQCSELSGAQQASTCSNYS >ONI06556 pep chromosome:Prunus_persica_NCBIv2:G5:8191607:8198223:1 gene:PRUPE_5G067800 transcript:ONI06556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFMCNIDEEKEESEEQAGGKGENGDSWAPSAVHAQHRRSKSASDRNLNVPRSRVSAKKDQNEMQVSSPSTSASRGQSPWHDNPVYINKNMPSNHRASLEKDIEQLQLRLQQEKSMRNMLERAMGRASSTLSPGHRHFSVQTKELISEIELLEEEVANREQRVLSLYRNIFEQCISRPPSEQNSVVASPAHMKNGSRKHPSIISSAFCSSKKFPFRHLRALVAIDDSGKRTLKTRHTTQSSDKSDIDFAKTCSNLAKVRERVPALEKTSMLQTLKDHLHQCPSKLSEEMVRCMAVVYCWLCNAASVNIEKNKSPLLSRSSTNVIQPRHGVGDAPDWSGKSMVEITWISTDKSKLPHASFAINNYRILVEQLERVNVTLMELNAQVAFWINTYNALVMHAHLAYGIPHSSLRKLALFHKAAYNIGGQVISANAIEQSIFGFQTPRIGRWLETLLSTAWRKKFGEDRQLRNSKLGLPVSEPLVCFALCTGAFSDPALKVYTASNVRDELEEAKKEFIKANVVVKKSRKVFLPKILERFAREASFGSDDLLKWVTENADKKLNDSIHKCIDLKSSKKASQIIEWLPYNSRFRYVFSKELSEKPWWL >ONI06557 pep chromosome:Prunus_persica_NCBIv2:G5:8192785:8198223:1 gene:PRUPE_5G067800 transcript:ONI06557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNHRASLEKDIEQLQLRLQQEKSMRNMLERAMGRASSTLSPGHRHFSVQTKELISEIELLEEEVANREQRVLSLYRNIFEQCISRPPSEQNSVVASPAHMKNGSRKHPSIISSAFCSSKKFPFRHLRALVAIDDSGKRTLKTRHTTQSSDKSDIDFAKTCSNLAKVRERVPALEKTSMLQTLKDHLHQCPSKLSEEMVRCMAVVYCWLCNAASVNIEKNKSPLLSRSSTNVIQPRHGVGDAPDWSGKSMVEITWISTDKSKLPHASFAINNYRILVEQLERVNVTLMELNAQVAFWINTYNALVMHAHLAYGIPHSSLRKLALFHKAAYNIGGQVISANAIEQSIFGFQTPRIGRWLETLLSTAWRKKFGEDRQLRNSKLGLPVSEPLVCFALCTGAFSDPALKVYTASNVRDELEEAKKEFIKANVVVKKSRKVFLPKILERFAREASFGSDDLLKWVTENADKKLNDSIHKCIDLKSSKKASQIIEWLPYNSRFRYVFSKELSEKPWWL >ONI08773 pep chromosome:Prunus_persica_NCBIv2:G5:16094878:16097586:-1 gene:PRUPE_5G200100 transcript:ONI08773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNHPNTSRLVPLSNYDVRELKLENGQLAMHGLGGLLPTSQAKHTWGRAGDTLESVVHQATHHKREPNLIHNGQTPANISSMLASSGRTWTDEGGQVPLAEGWMRKRTRSDSDYHGNNFSGSTTSIHEEHADPSTCASPSPSASAKLCRDNQKIMTTWASFESLPSLKSTKSPDEDSASHGGLENQDDGQETTKDGESGRSRSTRPKRAAAVHNQSERKRRDRINQKMKALQRLVPNASKTDKASMLDEVIKYLEQLQAQVQMMSSVRNMPHMNMNMNMMMPLGMQQQQQQQLHQMSFLAHMGMGGNVGPLGLGMGMGIGIIDMSNNMARMAAHAHHQSIRLPIPHPTPVMAAAPTFIPPFMVPPLMPRHPPSQAKPDPACTNASDPLPDPYGALLAQQSMNMDLFNRMAALYHQQVNHTTAATSSPSQSNHVQGN >ONI07249 pep chromosome:Prunus_persica_NCBIv2:G5:11417916:11419088:1 gene:PRUPE_5G108700 transcript:ONI07249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNQQFAAANGYSRNDAESATLQSEEELKRQKRIKMAIYISIFVVFQIIVITTMSLTVMKVKTPKFRLGSDINVQSFKSVPATPSFDMKFTTQIRIKNSANWGSYKFNTANVTFQYQGATVGVIDIAKGKVGWLSTIKRNAEVSLSSSGLTGSNLESELSSGVLTLNSVGRLNGKVAIMFIMKKKKATNMNCTIAFDVAAKTLKSLECK >ONI09511 pep chromosome:Prunus_persica_NCBIv2:G5:18212923:18222057:1 gene:PRUPE_5G242100 transcript:ONI09511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPREKAKSETRDWINNVVGELESQIDSFEAEIEGLSFRKGKGRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSEVDELYNTLPLDKVESLEDLVTIVPPGLVKGAPVLGLKTSLAVSASPMPAAATSTTQQSTSVQEPVEDTVSQDSNVDNIPRTPPPKSSALASSPASTPVGGLASPLSVSVSSHNLPGPPSVSAVPGSIAVRGVTENAGASNSSSPVSLSASVKEEELASFPGRRPSPSLSDGGLVRGVGRGGLSAQSPSSIPLSSSNVAPSNSTLSAAPSVSDVTKRNILGADERIGSSSVVQPLVSPISNRLILPQAAKASDGSIPVDSGNAGEAAAIPGRAFSPSMVSSMQWRPGSSFQNQNEAGLFRGRTEIAPDQREKFLQRLQQVQQGHSTILGMPPLAGGNHKQFSGQQQNPLLQQNSSVSSQAGLGVGVQAPGLGTVAPTTLQQQLNSIHQQSNQQALMSSGPKEADVGHPKVEDQQQQQSTPDDSTADSTPVSGLVKNLINEDDLKASYAIDSLAGVSGSSTEPAQVPRDIDLSPGQPLQPNQPSGSLGVIGRRSVSDLGAIGDNLSGSTPNSGGTHDQLYNLQMLEAAYYKLPQPKDSERARSYTPRHPAITPPSYPQAQAPIVNNPAFWERLGLEPYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDLQHGWCQRIKTEFTFEYNYLEDELIV >ONI09510 pep chromosome:Prunus_persica_NCBIv2:G5:18212923:18222057:1 gene:PRUPE_5G242100 transcript:ONI09510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPREKAKSETRDWINNVVGELESQIDSFEAEIEGLSFRKGKGRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSEVDELYNTLPLDKVESLEDLVTIVPPGLVKGAPVLGLKTSLAVSASPMPAAATSTTQQSTSVQEPVEDTVSQDSNVDNIPRTPPPKSSALASSPASTPVGGLASPLSVSVSSHNLPGPPSVSAVPGSIAVRGVTENAGASNSSSPVSLSASVKEEELASFPGRRPSPSLSDGGLVRGVGRGGLSAQSPSSIPLSSSNVAPSNSTLSAAPSVSDVTKRNILGADERIGSSSVVQPLVSPISNRLILPQAAKASDGSIPVDSGNAGEAAAIPGRAFSPSMVSSMQWRPGSSFQNQNEAGLFRGRTEIAPDQREKFLQRLQQVQQGHSTILGMPPLAGGNHKQFSGQQQNPLLQQFNSQNSSVSSQAGLGVGVQAPGLGTVAPTTLQQQLNSIHQQSNQQALMSSGPKEADVGHPKVEDQQQQQSTPDDSTADSTPVSGLVKNLINEDDLKASYAIDSLAGVSGSSTEPAQVPRDIDLSPGQPLQPNQPSGSLGVIGRRSVSDLGAIGDNLSGSTPNSGGTHDQLYNLQMLEAAYYKLPQPKDSERARSYTPRHPAITPPSYPQAQAPIVNNPAFWERLGLEPYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDLQHGWCQRIKTEFTFEYNYLEDELIV >ONI09512 pep chromosome:Prunus_persica_NCBIv2:G5:18212923:18222057:1 gene:PRUPE_5G242100 transcript:ONI09512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPREKAKSETRDWINNVVGELESQIDSFEAEIEGLSFRKGKGRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSEVDELYNTLPLDKVESLEDLVTIVPPGLVKAAATSTTQQSTSVQEPVEDTVSQDSNVDNIPRTPPPKSSALASSPASTPVGGLASPLSVSVSSHNLPGPPSVSAVPGSIAVRGVTENAGASNSSSPVSLSASVKEEELASFPGRRPSPSLSDGGLVRGVGRGGLSAQSPSSIPLSSSNVAPSNSTLSAAPSVSDVTKRNILGADERIGSSSVVQPLVSPISNRLILPQAAKASDGSIPVDSGNAGEAAAIPGRAFSPSMVSSMQWRPGSSFQNQNEAGLFRGRTEIAPDQREKFLQRLQQVQQGHSTILGMPPLAGGNHKQFSGQQQNPLLQQFNSQNSSVSSQAGLGVGVQAPGLGTVAPTTLQQQLNSIHQQSNQQALMSSGPKEADVGHPKVEDQQQQQSTPDDSTADSTPVSGLVKNLINEDDLKASYAIDSLAGVSGSSTEPAQVPRDIDLSPGQPLQPNQPSGSLGVIGRRSVSDLGAIGDNLSGSTPNSGGTHDQLYNLQMLEAAYYKLPQPKDSERARSYTPRHPAITPPSYPQAQAPIVNNPAFWERLGLEPYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDLQHGWCQRIKTEFTFEYNYLEDELIV >ONI07508 pep chromosome:Prunus_persica_NCBIv2:G5:12257693:12260295:1 gene:PRUPE_5G124400 transcript:ONI07508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDQLIFPEHPGGKMQGVISSSSVSVPKKASHHDDELFMQQRVLFSENLNELKNMRKQLYSAAEYFEMSYSKEDQKQLVMEALKDYVLKAVVNTVDHLGSMAYKVNGFLDENVNQVSETGLRLSCIEQRLRTCQDFVTQGGVFQNSFALKFPKHHRRYILPDKYVLIMDTVGQSALRQSAEEDLYEISTATTREIAPTIVSEEPSASHSAQPSRGAFQFTRISSKHEKRTVSPHRFPLPRCGSLVKRSSTMNSSSTVKQRYVSEPRTRRTISLPLHAERDTTKDSDQHSGKNKRLFKALLSMRKSRKDATLYKYLDEN >ONI07509 pep chromosome:Prunus_persica_NCBIv2:G5:12257829:12260256:1 gene:PRUPE_5G124400 transcript:ONI07509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVISSSSVSVPKKASHHDDELFMQQRVLFSENLNELKNMRKQLYSAAEYFEMSYSKEDQKQLVMEALKDYVLKAVVNTVDHLGSMAYKVNGFLDENVNQVSETGLRLSCIEQRLRTCQDFVTQGGVFQNSFALKFPKHHRRYILPDKYVLIMDTVGQSALRQSAEEDLYEISTATTREIAPTIVSEEPSASHSAQPSRGAFQFTRISSKHEKRTVSPHRFPLPRCGSLVKRSSTMNSSSTVKQRYVSEPRTRRTISLPLHAERDTTKDSDQHSGKNKRLFKALLSMRKSRKDATLYKYLDEN >ONI09300 pep chromosome:Prunus_persica_NCBIv2:G5:17581425:17587637:-1 gene:PRUPE_5G230100 transcript:ONI09300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLLRGTPPPLRLAMSPLTGSEEDQFSGSDREEEEEDDDEDFDEDMEAIRRACMLTGKNPDDLNPNNNNHGGDDPRHASGSESESDSDEGDDDIELLRKIRSRFSNISNFCEPLSLKPLSSLPPAVSDDEEDDYQTLLSVQKRFAAYQNDTCKPVKETCNEIAHESSQGTEDACMAIHPLSDNAEGQPSASIELQQSDSCRPSTLPAKYSTFPKSAQVFMDAIKKNRSSQKFLRSKLVQIEAKIEENRKLKERVKILKDFQVSCKKRTGEALSQKKDPRVQLILVNRPRKFRDLKAHDKKISAMFYGPEENSHVANYRMTLTKFLHSLDRKKWSKAEKKALEKGIRQQFQEMVLQFSVHESSCSERPYGDSNHIDDILASIKDLEITPEKIREFLPKVNWEQLASMYIVGRSGAECEARWLNWEDPLINHKSWTAKEDKNLLHLVQEKGIDNWFNVAVLLGTNRTPFQCLARYQRSLNASILKREWTKDEDARLRSAVEALGEGDWQSVASALEGRTGSQCSNRWKKSLHPTRKREGRWTPEEDKRLKVAQMLFGPKNWNKTAQFVPGRTQAQCRDRYVNSLEPSLKWGEWTEEEDSRLREAIAEYGYCWSKVAACVPRRTDNMCWRRWKVLFPDDVCLLKEEKRIRKVALMCNFVDRETERPALGPNDFHPPIVTSTKTLTYSRKQKGKLSKVSKKIRSRRQKNGQSCSKVSEIDSFDKVETCDGHDASNTKNKVRKQRRIRHKGTHCEQDTHKEPREMSCSDQIVGTSDGDDTTLACFLRNKSKKRKLGPVPSINGKKKRKLVASDSAVQECPANSIEEGSEVHPEMNKVCDGNQIVSFRKDDEFIVHCDDRISERMPTCSVQSSHLNGNTMASDKEPDGLKLVSGWKAGLQEPVRKVVAIDPESDGDTDDVVLASWRKKKLKKRHPQTAKSGPHACPPSGVTTRSEPLPKRVDQSNDRSQVPFVAVGNEPGAVDIGKQHGVDHCETVREIVNIGAEGDHEAGDTTLACFLRNKSKNRQRQSRRGDNQKPR >ONI09301 pep chromosome:Prunus_persica_NCBIv2:G5:17582009:17587637:-1 gene:PRUPE_5G230100 transcript:ONI09301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLLRGTPPPLRLAMSPLTGSEEDQFSGSDREEEEEDDDEDFDEDMEAIRRACMLTGKNPDDLNPNNNNHGGDDPRHASGSESESDSDEGDDDIELLRKIRSRFSNISNFCEPLSLKPLSSLPPAVSDDEEDDYQTLLSVQKRFAAYQNDTCKPVKETCNEIAHESSQGTEDACMAIHPLSDNAEGQPSASIELQQSDSCRPSTLPAKYSTFPKSAQVFMDAIKKNRSSQKFLRSKLVQIEAKIEENRKLKERVKILKDFQVSCKKRTGEALSQKKDPRVQLILVNRPRKFRDLKAHDKKISAMFYGPEENSHVANYRMTLTKFLHSLDRKKWSKAEKKALEKGIRQQFQEMVLQFSVHESSCSERPYGDSNHIDDILASIKDLEITPEKIREFLPKVNWEQLASMYIVGRSGAECEARWLNWEDPLINHKSWTAKEDKNLLHLVQEKGIDNWFNVAVLLGTNRTPFQCLARYQRSLNASILKREWTKDEDARLRSAVEALGEGDWQSVASALEGRTGSQCSNRWKKSLHPTRKREGRWTPEEDKRLKVAQMLFGPKNWNKTAQFVPGRTQAQCRDRYVNSLEPSLKWGEWTEEEDSRLREAIAEYGYCWSKVAACVPRRTDNMCWRYGVLIMSNCFIHLFCCLHLFFLTFILSRRWKVLFPDDVCLLKEEKRIRKVALMCNFVDRETERPALGPNDFHPPIVTSTKTLTYSRKQKGKLSKVSKKIRSRRQKNGQSCSKVSEIDSFDKVETCDGHDASNTKNKVRKQRRIRHKGTHCEQDTHKEPREMSCSDQIVGTSDGDDTTLACFLRNKSKKRKLGPVPSINGKKKRKLVASDSAVQECPANSIEEGSEVHPEMNKVCDGNQIVSFRKDDEFIVHCDDRISERMPTCSVQSSHLNGNTMASDKEPDGLKLVSGWKAGLQEPVRKVVAIDPESDGDTDDVVLASWRKKKLKKRHPQTAKSGPHACPPSGVTTRSEPLPKRVDQSNDRSQVPFVAVGNEPGAVDIGKQHGVDHCETVREIVNIGAEGDHEAGDTTLACFLRNKSKNRQRQSRRGDNQKPR >ONI05975 pep chromosome:Prunus_persica_NCBIv2:G5:3707153:3709129:-1 gene:PRUPE_5G032400 transcript:ONI05975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVMIRTGSISAQSPAVPGSPRVSLSRNDSLSSVFSGPRSTVGSPRISLHLDVNHRRDKMIRRARSESDILRSENDFAAKSPAFPAEKQRFPGGDRGVNALSTVDEGEQKKLGDYYQEMLKSNPDDPLLLRNYGKFLHEVEKDVAGAEECYCRAILASPGDGDLLSLYAKLIWETHRDEERAKSYFDQAVSASPDDCMVLGAFASFMWEAEEDEEENEEINPNVQVSPAPALVHAF >ONI08351 pep chromosome:Prunus_persica_NCBIv2:G5:14742368:14744693:-1 gene:PRUPE_5G173100 transcript:ONI08351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNSLQVCMDSSDWLQGTMHEDSPMDSSSPLSGDMLTCSRPLIERRLRPQHDQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVASKKPNEPHNPLMNQNAGSSSSSVSHNPTDLHLSFPDQMQFSHLNGLMLGSNNNPSFMDSRYNGMLESNNNNNPRPIDFMESKLEAIVGNARNYDFMGNGADLGMMGGLANNMGGESSFHHGLCSPFGMSSFDGQNGNFMDTCQRLMLPYDHQASHDQDHHQNGMDVKPNTKLLSLEWQDQGCSDHGKESFGYLNGLGSWTNVMNNYGPSTTNPLV >ONI07898 pep chromosome:Prunus_persica_NCBIv2:G5:13429043:13430654:-1 gene:PRUPE_5G146000 transcript:ONI07898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQNSKLTPEGEAVPPRIRSLLQRKFEEIRGRTKAKKLKASHTFSKKQLLKDGEQEEVDISVPHSLQSSPEFENLPSPKTPQTLELLPRLPELDRRAKQSDEDQIRRDGAEFSVEDDKEAKGVNVYIGGVFAMGETTEGEEEEEDEVNDAGMQRNSKIHLCPASPSFRVYCMEPLEIENDDSKDDITDEDFKHKKSPSAESADSSVDSVQDTKTKQKGRRRRRIKNALRPNTVKNLLNVKGCYYAGCTGGHDRTTYVAAKKSAAT >ONI07899 pep chromosome:Prunus_persica_NCBIv2:G5:13429043:13430843:-1 gene:PRUPE_5G146000 transcript:ONI07899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQNSKLTPEGEAVPPRIRSLLQRKFEEIRGRTKAKKLKASHTFSKKQLLKDGEQEEVDISVPHSLQSSPEFENLPSPKTPQTLELLPRLPELDRRAKQSDEDQIRRDGAEFSVEDDKEAKGVNVYIGGVFAMGETTEGEEEEEDEVNDAGMQRNSKIHLCPASPSFRVYCMEPLEIENDDSKDDITDEDFKHKKSPSAESADSSVDSVRSMIETNDVQDTKTKQKGRRRRRIKNALRPNTVKNLLNVKGCYYAGCTGGHDRTTYVAAKKSAAT >ONI07281 pep chromosome:Prunus_persica_NCBIv2:G5:11554343:11554955:-1 gene:PRUPE_5G111300 transcript:ONI07281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKTSVLLFFFMFLALCSAFPRNKNKYKPCKHLVLFFHDIIYNGKNAANATAAIVAAPQGANLTILAPQFHFGNIAVFDDPITLDNNLHSKPVGRAQGMYIYDTKNTFTSWLGFSFALNSTDYQGSINFIGVDPILIKTRDISVVGGTGDFFMHRGIATINTDAYEGEVYFRLKVDIKFYECW >ONI07613 pep chromosome:Prunus_persica_NCBIv2:G5:12620363:12622662:1 gene:PRUPE_5G130600 transcript:ONI07613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEILSSSSPTNFCQENSSTFQKRLQFIFRNRPEWWLYSIFWQASNDSNDQVSLSWAGGDFRINRDLATKRSNKVVNNYNPKFGFNNVERRKVVNKDVEDLFHEDLVDLAMVDHGDVTDSEWFYFYSVSLTQTFVAGHASNNVLGRAFCSGGFVWLAGAHELQFYECERVKEARMHGIQTLVCIATPCGVLELASLDVIKEDCGLVHLSKSLFGSDNNKKVSQEGSCEGNALVHLLENGMFSGAQKEMTRQGCTKEAAHINICDSSPDSPSDSVGNFTSENTANTRSKKKKRSSSTNGASRASQLLNHVEAERQRREKLNHRFYVLRSVVPNVSKMDRSSLLADAASYINQLKAKVEELEAKVQEQPKNPKVSNASSIDHQSSQSTSSIVNHPHSSYNNRSVAPLEVDVKILGSEAMIRVQCPDQDYPYARLMNALKALGLQFTMQAYQV >ONI05629 pep chromosome:Prunus_persica_NCBIv2:G5:1718563:1725983:1 gene:PRUPE_5G015800 transcript:ONI05629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGSKATASAFSSSTSSFGGNGRRRRSKSKFSRVLKSSCLGTTSRSHDSDNDDQVCDHQNKETRNNAPCATLNDTEPDHAKVECFRKVNVEQPDEIPCISSNVQLNEWGQASITDTASRAGSSSAQAAAPSLNPSSRFLSRFSFIPGNVSFRLSRATSLGSSNPLSPTSLTIPNNEDELHLHSRPARSFVNRDESQEASDLPQSQMNRSATHFYEETSDNIGLNTPGCGFSVNLQDNQTNSSRHDVGRNANGTIMGVGVNLCSPRNHNETGSNRTRPSERRPGAREPVERNVRFSRTLSVGRLRDRVLRRSSLSDLTFSSLQQEGEVRDTNQGDDTRTMAPEGNAVNFENGSGYPPSNMSSSLFSIQDYEVETSRPREARYHDLLEHRSNFLERRRRIRSQVRALQRLGSRFENVSGHERSCILSGQQRTGRCTCRTSSRDARPNDDNSARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSVSSIGSVPAPTEVVESLPVKLFSKLQKHQYEEAAQCYICLVEYEEGDIMRVLPCHHEFHKTCIDKWLKEIHRVCPLCRRDICRSDVLPAEN >ONI05630 pep chromosome:Prunus_persica_NCBIv2:G5:1718563:1725975:1 gene:PRUPE_5G015800 transcript:ONI05630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGSKATASAFSSSTSSFGGNGRRRRSKSKFSRVLKSSCLGTTSRSHDSDNDDQVCDHQNKETRNNAPCATLNDTEPDHAKVECFRKVNVEQPDEIPCISSNVQLNEWGQASITDTASRAGSSSAQAAAPSLNPSSRFLSRFSFIPGNVSFRLSRATSLGSSNPLSPTSLTIPNNEDELHLHSRPARSFVNRDESQEASDLPQSQMNRSATHFYEETSDNIGLNTPGCGFSVNLQDNQTNSSRHDVGRNANGTIMGVGVNLCSPRNHNETGSNRTRPSERRPGAREPVERNVRFSRTLSVGRLRDRVLRRSSLSDLTFSSLQQEGEVRDTNQGDDTRTMAPEGNAVNFENGSGYPPSNMSSSLFSIQDYEVETSRPREARYHDLLEHRSNFLERRRRIRSQVRALQRLGSRFENVSGHERSCILSGQQRTGRCTCRTSSRDARPNDDNSARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSVSSIGSVPAPTEVVESLPVKLFSKLQKHQYEEAAQCYICLVEYEEGDIMRVLPCHHEFHKTCIDKWLKEIHRVCPLCRRDICRSDVLPAEN >ONI05628 pep chromosome:Prunus_persica_NCBIv2:G5:1718563:1725975:1 gene:PRUPE_5G015800 transcript:ONI05628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGSKATASAFSSSTSSFGGNGRRRRSKSKFSRVLKSSCLGTTSRSHDSDNDDQVCDHQNKETRNNAPCATLNDTEPDHAKVECFRKVNVEQPDEIPCISSNVQLNEWGQASITDTASRAGSSSAQAAAPSLNPSSRFLSRFSFIPGNVSFRLSRATSLGSSNPLSPTSLTIPNNEDELHLHSRPARSFVNRDESQEASDLPQSQMNRSATHFYEETSDNIGLNTPGCGFSVNLQDNQTNSSRHDVGRNANGTIMGVGVNLCSPRNHNETGSNRTRPSERRPGAREPVERNVRFSRTLSVGRLRDRVLRRSSLSDLTFSSLQQEGEVRDTNQGDDTRTMAPEGNAVNFENGSGYPPSNMSSSLFSIQDYEVETSRPREARYHDLLEHRSNFLERRRRIRSQVRALQRLGSRFENVSGHERSCILSGQQRTGRCTCRTSSRDARPNDDNSARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSVSSIGSVPAPTEVVESLPVKLFSKLQKHQYEEAAQCYICLVEYEEGDIMRVLPCHHEFHKTCIDKWLKEIHRVCPLCRRDICRSDVLPAEN >ONI08419 pep chromosome:Prunus_persica_NCBIv2:G5:14992408:14995811:-1 gene:PRUPE_5G177300 transcript:ONI08419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLTLQFYYSLHRFFPHFSLSLSLSLSLRVNPTINTHAFLRHTQKAQKERNPLNSKLKPSMGKRGRKPKTPIPETLDSPTTTVASPPPPPITTAATGLDDAVFSVSNVEIIEQPQASHPHQEGRRRGRPKKLRKLTDNPEIMTPSRRVPRAVDNGEHKGSVGPAVAVSDPCAAWEGVARAVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVDDDEFWDGVSPVEFGDLPALQDSVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGAYTGFPILGVEWQKMENPDLRMSMGMKPDQKGVRIRRIDPTAPESQVLKPSDIILSFDGVNIANDGTVPFRHGERIGFSYLISQKYTGDNSVVKVLRNSEILSFDIKLASHKRLIPAHNKGRPPSYYIIAGFVFTAVSVPYLRSEYGKDYEFEAPVKLLDKMLHSLPQSPDEQLVVVSQVLSLSLSLSLSLSLSLSQLFLSWKGCVDPVQTFSRQFGMFLSGSCG >ONI08418 pep chromosome:Prunus_persica_NCBIv2:G5:14991563:14995845:-1 gene:PRUPE_5G177300 transcript:ONI08418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLTLQFYYSLHRFFPHFSLSLSLSLSLRVNPTINTHAFLRHTQKAQKERNPLNSKLKPSMGKRGRKPKTPIPETLDSPTTTVASPPPPPITTAATGLDDAVFSVSNVEIIEQPQASHPHQEGRRRGRPKKLRKLTDNPEIMTPSRRVPRAVDNGEHKGSVGPAVAVSDPCAAWEGVARAVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVDDDEFWDGVSPVEFGDLPALQDSVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGNCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGAYTGFPILGVEWQKMENPDLRMSMGMKPDQKGVRIRRIDPTAPESQVLKPSDIILSFDGVNIANDGTVPFRHGERIGFSYLISQKYTGDNSVVKVLRNSEILSFDIKLASHKRLIPAHNKGRPPSYYIIAGFVFTAVSVPYLRSEYGKDYEFEAPVKLLDKMLHSLPQSPDEQLVVVSQVLVADINIGYKYC >ONI08374 pep chromosome:Prunus_persica_NCBIv2:G5:14846794:14848535:-1 gene:PRUPE_5G174200 transcript:ONI08374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGNEYKKGLWTVEEDRILMDYIRMHGKGKWNRVNKVTGLRRCGKSCRLRWMNYLSPSVKRGDFSEEEDDLIIRLHNLLGNRWSLIAGRVPGRTDNQVKNHWNTHLSKKLGVNSKKGKAKAKTCLGSKQMKGSFCTASESNSEQQPQPNSNSNIGDHEAASAAGFDDKLMMIKDNIGSKSGVGFSGMWEAMMNNNNYDSSFWFYNDDLNLYSPYYVLDPSLDDHHYPLQF >ONI08953 pep chromosome:Prunus_persica_NCBIv2:G5:16682047:16683126:-1 gene:PRUPE_5G209500 transcript:ONI08953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSDLFDGQVEENKNFHENFGEMLSTLPKGLGAGGCYYQYQGFWYSSVWLLGTIWAQRSFRARDTDILLAASPKSGTTWMKALMFAIVNRKRYPHLPSSSLASHPLLTKSPHNCVPYLEVRDEEHMIGGNPIAYLDSLPPSSPRLLSTHIPYTSLPKSILSDSGGRIVYIARNPKDVFVSYWSFCQKFKSKTDPSKGQPLAAISMEEAFELFCKGVSITGPFWDHVLDYWKASLERPDQVVFMKYEDMKMDTMQHVKRLAEFMGHPFSLEEERQGVVQEIINLCSFQNLSNLEVNKSGAYRVHIASQTGQTPLLVNNSAFFRRGEIGDSKNHLTVEMLEHLDKITEEKLGSFGLKL >ONI06075 pep chromosome:Prunus_persica_NCBIv2:G5:4334061:4334586:-1 gene:PRUPE_5G038700 transcript:ONI06075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADVAYHFRDSMLSCRVKLCKFVNNDNKSFLQTAHQITSYLNLLRVVFCLYKLSALGRLVSGLTVAYAGLCLFCLYILAENSQCMFISISEENE >ONI08262 pep chromosome:Prunus_persica_NCBIv2:G5:14488559:14491805:1 gene:PRUPE_5G168100 transcript:ONI08262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASALFLLDIKGRVLIWRDYRGDVSAAQAERFFTKFIEKEVDPYSQDPVVYDNGVSYMFIQHNNVYLMIASRQNCNAASLLLFLHRVIDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGFPQFTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVEKHSRSRIEITVKARSQYKERSTATNVEIHLPVPADATNPNVRTSMGSAAYAPESDALVWKIKSFPGNKEYMLRAEFRLPSITSEEAVPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYHALPWVRYITMAGEYELRLM >ONI06033 pep chromosome:Prunus_persica_NCBIv2:G5:4058106:4061829:1 gene:PRUPE_5G035800 transcript:ONI06033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTACAAIESSKLMQEKHREIPSYSRVCLWIKNLVCLKLWRRFFFCSLGLYCYSLWFIALVLI >ONI08387 pep chromosome:Prunus_persica_NCBIv2:G5:14873739:14875879:-1 gene:PRUPE_5G174700 transcript:ONI08387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQSQTQPQQQNQNQKSKPNMNKSYSMNSDDSPLRFHSPIRSDAGDPPETPPYESPENSPERRVDNSKAIMAVDKFTQYSPLRPQKPPENAKAPSPVVVYNRSMREDMAPSVSKVGPVVGANGGEDVVNGVIGGGRSRGITSTRPKRGDMVKTAALGFRVSEVVLCLISFSVMAADKTQGWSGDSFDRYKEYRYCLAVNVIAFVYAAFQAYNLSYHLVTRKYVIRHHLRRHFDFFMDQVLAYLLISASSSAATRVDDWQSNWGKDEFTEMATASVSMAFLAFVAFALSSLISGYNLCTHDSA >ONI05720 pep chromosome:Prunus_persica_NCBIv2:G5:2311783:2315480:1 gene:PRUPE_5G021100 transcript:ONI05720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDGKPGPQGTRVFPMAILFVVLCGFSFYLGGIFCSERNRIEPSDVKDVTKAVRSSKESLVAPLQIKTVSFAECSSDYQDYTPCTDPRRWKKYGVHRLTFMERHCPPVFERKECLVPPPDGYKLPIRWPNSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFLFPGGGTMFPRGVSAYVYLMQDLIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEIHRILRPGGFWVLSGPPVNYENRWRGWNTTIEEQKSDYDKLQELLTSLCFKLYNKKDDIAVWKKLSDDSCYNKLNEPDVYPPKCDDSLEPDSGWYTPLRSCVVVPDPKLKKSALKSIPRWPERLHVAPDRISDVHGGSASAFKHDDSKWRVRLKHYKKLLPAIGTDKIRNVMDMNTVYGGFAAGMIDYPLWVMNVVSSYAANTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPNGYAIVRESSYFVDAVATIAKGMRWGCRKEDTEYGIGKEKVLICQKKLWYSSNRTSR >ONI05721 pep chromosome:Prunus_persica_NCBIv2:G5:2311783:2314456:1 gene:PRUPE_5G021100 transcript:ONI05721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDGKPGPQGTRVFPMAILFVVLCGFSFYLGGIFCSERNRIEPSDVKDVTKAVRSSKESLVAPLQIKTVSFAECSSDYQDYTPCTDPRRWKKYGVHRLTFMERHCPPVFERKECLVPPPDGYKLPIRWPNSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFLFPGGGTMFPRGVSAYVYLMQDLIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEIHRILRPGGFWVLSGPPVNYENRWRGWNTTIEEQKSDYDKLQELLTSLCFKLYNKKDDIAVWKKLSDDSCYNKLNEPDVYPPKCDDSLEPDSGWYTPLRSCVVVPDPKLKKSALKSIPRWPERLHVAPDRISDVHGGSASAFKHDDSKWRVRLKHYKKLLPAIGTDKIRNVMDMNTVYGGFAAGMIDYPLWVMNVVSSYAANTLPVVYDRGLIGTYHDW >ONI06755 pep chromosome:Prunus_persica_NCBIv2:G5:9343962:9348127:1 gene:PRUPE_5G079400 transcript:ONI06755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIQFLVSLFILLAFSLPPHVRGNAELEALMKLKSSLDPEDRLLKSWTKEGDPCSGLFEGVACNEHRKVANISLQGKGLYGEVSPAVAELKCLSGLYLHYNNLSGEIPKEISYLTELNDLYLNVNNLSGGIPSEIGDMTSLQVLQLCCNQLTGNIPTQMGFLKRLSVLALQHNKLTGQIPASLGDLGMLKRLDLSFNKFFGTIPAKLASVPSLEVLDIQSNSLSGVAPLDLKRLKERFQCKNNPHLCGVGFSTLRACTAFDMDKVNVDGLPLGPNITDSPPKANPKPADFKAPCSQTHCTKSTKLPQAAVVAGVISLSVTLAAAVFLALIRYRRHKQKVSNTSDPSDGRLSTDQAKDFYRKTASPLVSLEYSNGWDPLADGRNGIAFSQEYLSKYWFNMEDVESATQYFSEVNLLGRSKFSSVYKGVLRDGSLVAVRIINVTSCKSEEAEFVKGLDLLFSLRHENVVKLKGFCCSRGRGECFLIYDFIPMGNLSQYLDVEDERNQVLEWSNRVSIVNGIAKGIGYLHSSEADKPAIIHQNISVEKVLIDHHFNPYISDPGLPKLLADDVVFSTLKISAAMGYLAPEYITTGRFTEKSDVYAFGVIVLQVLSGKLQLSSSMRLAAEACRYEEFIDTNLKGKFLESEAAALAKIALVCTHELPDHRPTMQEVILELSNLRASS >ONI09045 pep chromosome:Prunus_persica_NCBIv2:G5:16884205:16890752:-1 gene:PRUPE_5G214200 transcript:ONI09045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRRKKQHFGRIHAFSCGKASFNGEHSRIGGPGFSRVVYCNDPDCLEATAHSYEGNYVRTTKYRLATFLPKALFEQFRRVANIYFLICAILSFTPLSPYSAVSNVVPLVVVIGVTMGKEAVEDWRRKRQDIEMNNRKVRVHHGDGVFEYTKWRDLKVGDIVKVEKDEYFPADLILLSSSYDEALCYVETTNLDGETNLKLKQALEATSNLHEDSSFDNFKGVIRCEDPNANLYSFVGSLEIEEQPYPLTPQQLLLRDSKLRNTDFVYGVVIFTGHDTKVMQNSTAPPSKRSKVERRMDKIIYFLFFLLVLMSFVGATVFGVTTRKDLENGRMIRWYLRPDDTTVYYDPTRAPVAAILQFLTAIMLYSYLIPISLYVSIEIVKVLQCTFINQDLHMYYEETDQPALARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSIAGTAFGRGVTEVERALAGRKGSSKSSLAEEVTEEESHVEDLTEAKSLIKGFNFRDERIMNGHWVNEPRADIIQKFLQLLAICHTAIPDIDEETGRVSYEAESPDEAAFVIAARELGFEFYKRTQTSISVHELDPIYGRQVERAYKLLSILEFSSSRKRMSVIIRTEEGKILLLCKGADSVMFERLAKNGSEFEEKTKEHINEYADAGLRTLVLAYRELDEEEYVEFNKEFTEAKNLVSSDREEIVEQVSEKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIVISSETPEVKALEKVDDKSMVAKALKESVVHQINEGKALLTSPDENSEALALIIDGNSLAYALEKDVKDLFIELAISCASVICCRSSPKQKALVTRLVKERNGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDVAIAQFCFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTIFFFEIYASFSGQTAYNDWYLSLYNVFFTSLPVIALGVFDQDVSAKFCLKFPLLYQEGAQNVLFSWLRILGWAMNGVVTATIIFFFCLVAMGSQAFRKGGQVIGFEIFGATMYSCVVWVVNCQMALSINYFTYIQHLFIWGGIVFWYIFQLAYGALDPDISTTAYKVFIEACAPAPFYWLLTLFVLVSSLLPYFTYAAIQMRFFPMYHQMIQWIRTDGQSDDPEFCHMVRQRSIRPTTVGYTARIEATSKRFEEKLEGL >ONI06795 pep chromosome:Prunus_persica_NCBIv2:G5:9472759:9478136:-1 gene:PRUPE_5G081500 transcript:ONI06795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREGVNSSGVTVVASDAPSDYHVAPRSENTTHNAGSTPPAPVAPPPAAALPAAASLPMKKKRGRPRKYGPDGSVTMALSPKPISSSAPPPVIDFSAEKRGKVKPTSSVSKTKYEVENLGEWVACSVGANFTPHIITVNSGEDVMMKIISFSQQGPRAICVLSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPNETGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLSGNQHEQKPKKQKHDYISNATPTMAVPISSVDPKPNFSSSTSFRGDNWSSLPSDPKTKTDINVSLPGGVI >ONI09053 pep chromosome:Prunus_persica_NCBIv2:G5:16915915:16920359:1 gene:PRUPE_5G214700 transcript:ONI09053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAIPYASPDDETKKKKRKRNRGKKQSESADTEQAEPQNPIPAKQEEEEEIEKEEKGEVEKQAKDEEKKTKKRKSSKGEEAEAKIPSEKKVKSDGASGIMSTQSFSSLNLSENTFKAIQEMNFQYMTQIQARAIPPLLIGQDVLGAARTGSGKTLAFLIPAVELLYNTHFAPRNGTGVVVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGSARRGEAERIVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLMIDEADRLLEANFEEEMKQIIKYLPKDRQTALFSATQTKKVEDLVKLSFRKDQKPIYVDVDEGRSKVTNEGLQQGYCIVPSAKRFLLLYSFLTKNLSKKVMVFFSSCNSVKFHSELLKYVNVDCFDIHGKQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWILQFDPPDEPKEYIHRVGRTARGEGGKGKALLFLIPEELQFIRYLKAAKVPVKEHVFNEKQLKNVQSQLEKMVEGNYYLRKSAKEAYTSYLLSYNSHSMKDIFNVHRLDLQAVAASFCFSNPPKVNLNLDSSASKFRKKMRKVEGSRNGFSESNPYGRQKGGDDKRQFVRH >ONI06315 pep chromosome:Prunus_persica_NCBIv2:G5:5687266:5689076:1 gene:PRUPE_5G052800 transcript:ONI06315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >ONI06965 pep chromosome:Prunus_persica_NCBIv2:G5:10267852:10270623:1 gene:PRUPE_5G092300 transcript:ONI06965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALCMAALAIISITHWVYRWRNPKCHGKLPPGSMGLPLLGETLQFFTANTSSDIPPFIKKRMEKYGPIFRTNLVGRPIIISTDPDLNYFVFQQEGNLFQSWYPDTFTEIFGKQNVSSLHGVMYKYLKNMVLHLFGPESLKKMIPDVEQAALSRLQQWSCQDITELKDATASMIFDLTAKKLISYDSSKSSENLRDNFVAFIQGLISFPLDIPGTAYHKCLQGRNRAIGMLKDMLRERRGKSQDQPNDFFDYVIEELEKEGTILTEEISLDLMFVLLFASFETTSIAMTLAIKFLSDHPLVLKQLTEEHEMILKQRKNADSGLTWKEYKSMTFTFQFINETVRLGNIAPCIFRKALKEINFKGYTIPAGWGVMVSNTTVHLNPEIYDDPVAFNPWRWEGTETNSASKDFMAFGGGQRLCVGADFAKVEMAVFLHCLVTKYRWEAIRGGNIVRTPGLQFPEGFHIRILEKDNRKQPIIPDP >ONI09380 pep chromosome:Prunus_persica_NCBIv2:G5:17824728:17827605:1 gene:PRUPE_5G235100 transcript:ONI09380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGKTNTKRSLESLLDMDKSVATKISVPLRPSKMIPGHGLEFNNLSYSVTKKLKKDGVWIKKEAYLLNDISGQAVRGEIMAIMGPSGAGKSTFLDALAGRIAQGSLEGSVRIDGRTVTTSYMKMVSSYVMQDDQLFPMLTVFETFMFAAEIRLPPSISRDEKRSRVYELLEQLGLQRECRERKTKVVNCIDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKEIARGGSIVLMTIHQPSYRIQMLLDRMTILARGRLIYLGSPHGLSSHLSGFGRPVPDGENGLEYLLDVIKEYDESTVGLDPLVMYQRDGIKPDLVARTPVAKTPKTPTPHRKTPASKHAIKLRSQAFSLGNRRPSGAGESGQFDNEDEDENFDNSLERKSVHQTPMHLQSSGVYNPRLASHFYKDFSVWIYHGVKGTPRRPPSWTPARTPGRTPGKTPLSGIRSQVSSQYPTPQFNPPPPRHGSTKTPVVFSSSTEDSYAPYYEEFEIEEEELDEPDHGPKFANPWLREVAVLSWRTALNVIRTPELFLSREIVLAVMALILSSLFKNLSHDSFKDINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRESSHNAYRASSYVISSLIVYLPFFAIQGFTFAAITKYMLHLNSNLFKFWIILYASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRQQIPVYWRWVHYISAIKYPFEAMLTNEFKGIRCYHGVPSDLTPGPLGELKISSLHSTSQKVEKNCVIIGQDVISSMDITIDNIWYDIAILLAWGVLYRFLFYVVLRFYSKNERK >ONI09366 pep chromosome:Prunus_persica_NCBIv2:G5:17802263:17802796:-1 gene:PRUPE_5G234600 transcript:ONI09366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAAASCAPSLVISSSGVVKVLFLDGRLEVYTRAVKAAELMLENPGQFVCESSSLKVGNRVHGLTADEELERRQFYFLLPMDLLYSVLTHEELSSLTYKASEALKHRSFNSFSKIFPVLGEFCMFPSEAKRLDKNIGDVISPSSHSEPMLVLVERYSKQRSWKPALETIAETPSTH >ONI06473 pep chromosome:Prunus_persica_NCBIv2:G5:6881846:6882617:-1 gene:PRUPE_5G062800 transcript:ONI06473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFIDPATVSANSGTIADRSRLVAARLQRTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLTVNRLVDEEAKNIVNSAIKIYNSHIARSGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLAFEKKVRRNYLHTFYVVFCIINDGHVKLPSLNRYTTSVMKNIVVCD >ONI09064 pep chromosome:Prunus_persica_NCBIv2:G5:16946047:16947149:-1 gene:PRUPE_5G215500 transcript:ONI09064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSKVVLFFFLLSTFQVLFVTCTKFEVGGKNGWEVPKSKNDQQMYNQWASDNRFKVDDTLHFNYTKDSVLVVTKDEYEKCHSAHPIFFSNHGVTVFTLDRPGLFYFISGVSGHCERGQKMIIKVLEPASPPQSADQNEQKNDAAVAMATISSATLMSCIMSFVGVLFF >ONI09404 pep chromosome:Prunus_persica_NCBIv2:G5:17887738:17892128:1 gene:PRUPE_5G236400 transcript:ONI09404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVPNERRDRSRFPAQPPQPAQSARREWVPRGSNPTTAAVNPPPSFNSNIPNGNVGQPNYSSAPSESRQQHRGNNASRGHMGRPMNHGRERGRSENQEEVRLKDSNLPQLVQEIQDKLTKGTVECMICYDMVRRSAPVWSCSSCYSIFHLNCIKKWARAPTSIDMSAGKNQGFNWRCPGCQYVQLTSSKEIRYVCFCGKRTDPPSDLYLTPHSCGEPCGKQLERDVPGRGVSEDDLCPHVCVLQCHPGPCPPCKAFAPPRLCPCGKKVITTRCSDRTSVLTCGQHCNKLLDCLRHHCERTCHVGPCDPCQVLVDASCFCKKKVEVVLCGDMTVKGEVKAEDGVFSCSSTCGKKLTCGNHACGEVCHPGPCGECNLMPTKIKTCHCGKTSLQGERQSCLDPVPTCSQTCGKSLPCEMHQCQEVCHTGDCPPCLVKVSQKCRCGSTSRTVECFKTTMEIDKFTCDKPCGRKKNCGRHRCSERCCPLSNSNNVLSGDWDPHFCSMPCGKKLRCGQHSCESLCHSGHCPPCLDTIFADLTCACGRTSIPPPLPCGTPPPSCQLPCSVPQPCGHSSSHSCHFGECPPCSVPVAKECIGGHVVLRNIPCGSRDIKCNKLCGKTRQCGMHACGRTCHPPPCDTSSSVEPGTKTSCGQTCGAPRRDCRHTCTALCHPYAPCPDNRCDFPVTITCSCGRITANVPCDSGGSNASFKADTVYEASIIQRLPAPLQPIESTTKKIPLGQRKFMCDDECAKLERKRVLADAFDIASPNLDALHFGENSAVSELLSDLFRRDAKWVLSVEERCKYLVLGKSRGPTSGLRVHVFCPMLKEKRDVVRMIAERWKLAVQSAGWEPKRFIVVHVTPKSKTPARVIGVKGTTTVNAPQPPAFDHLVDMDPRLVVSFPDLPRDADISALVLRFGGECELVWLNDKNALAVFNDPARAATAMRRLDNGTLYHGAINVLSNGSASVASSGSNAWVGLGTAKEGVSTALRGNPWKKAVIREPGWREDSWGDEEWAGGSADVQASVWKKEAPITASLNRWSVLDSDVALGSSSVSPSIEDSGKQSLGGLNPALESNASGSTSGGQQHGGNIADTSEVVDDWEKAYE >ONI06115 pep chromosome:Prunus_persica_NCBIv2:G5:4553639:4553872:-1 gene:PRUPE_5G041600 transcript:ONI06115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRGACKEVSDELARELLIAISHPVPDQVLDLSFVPRDRVLVANGDGDEKFVSELMTISNVPSPDIKNLKQASICN >ONI09334 pep chromosome:Prunus_persica_NCBIv2:G5:17711702:17713196:1 gene:PRUPE_5G232900 transcript:ONI09334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAIIPTRTWFGVLMSALGVSMLECSGSPPNVGDLLNFLSALFFGIHMLRTEHISRSTKKENFLAILGYEVCVVALLSTIWVFIGGWLDGARYSNQSSWIWTWTELWDWIVAFPWIPALYTGAFSTGLCLWIEIAAMRDVSATETAIIYGMEPVWGAGFAWFLLGERWGTLGWIGAALALGGSLVVQIFGSSPPQEFRVAEDGNEKGDLRRLPTEHKLQNGLSTSPIVVRSKKDVSEF >ONI06470 pep chromosome:Prunus_persica_NCBIv2:G5:6808930:6810631:1 gene:PRUPE_5G062500 transcript:ONI06470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIARRWRLLSGQTEWEGLLYPLDIDLRRYIIHYGERASAIADAFIDEPKSKNSGLPRYAKRNLFSKVGLESYSPYKYVVKTYLYAATKLVPGKSIWLGYVAVTTDEGKRVLGRRDILISWRGTKLEKEFDIDRETTLVPASDILGKDNVNEPKVHSGWLNYYTSCRNQALAAVKELLKKYKGEEISITVTGHSMGAAIGILNATDIAYNGYNKLSDKPGKASLVTAIVFACPGLGDSGFNKVFSSLKNLHVLRVANTNDLAPILSAEGKYVHVGKELRFNSLMSPYLKALSDYDDWIGIVEMFHDLEVYLHGVAGTQGENGFKLEVDRDIALVNKLLDAVKDEYRIVVKWWIEKNKSMVQQDDGSWVLMDHEKDDDA >ONI06193 pep chromosome:Prunus_persica_NCBIv2:G5:5003930:5005773:1 gene:PRUPE_5G046700 transcript:ONI06193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQGIKGPSYRLIHGNTQEISNMQKEAMARPRNLSHDVFALVQPHLHSWTKIYGKTFLQWDGPQPVLVIVDPELCKEILNNKHRAYPKSNPVAFVMKLLGNGLVMSQRIHAMIASADTMLERWENHEAKEIEVFEEFRLLTSEVISRTAFGSSYLEGKDIFEMLLKISSLTFKTALKPKFPGLSRFVKTNDEIEMEKLEKRIRETIIEIVKKRERKVMPGEEGSLRSDFLGLLLKAYLDTNDNQRISLDDLVEECKTFYLAGHESTATFLAWTEARKEVLQLFGKQTPNPKGIAKLKTMSMIMYESLRLYPPVVLVIRRRVEREVKLGRLILPANLELAIPIVAFHYDSELWGQDVQLFKPERFAEGVVKATDENIAAFLPFGMGPRICVGLNFAITEAKIALSMILQRHAFTLSPAYTHSPSQFLTVRPQHEVQVMLHSL >ONI06874 pep chromosome:Prunus_persica_NCBIv2:G5:9785973:9786188:1 gene:PRUPE_5G086400 transcript:ONI06874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFIYNFSFLFGPLSLGRPGLNFLDSGQPLMGFFIFQTRVPSRVEPGYPWAKYARSLLYFHLSKCLVFLF >ONI06830 pep chromosome:Prunus_persica_NCBIv2:G5:9641211:9643906:-1 gene:PRUPE_5G083800 transcript:ONI06830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRPPSPSFPNSHFIDIHEVLPEEDEEEEEEAEQGSISRENPFSRTIKINRELKRSDGSFNRQVSLETGFSVLKKESKAKFEKRVNLPRSGRSFGGFDSSSRLGMEGRKGDFSIFKTKSSTLSKQNSVLPSKKERDQMESQRTTDGNGGGLDESVNHSVPAGRYFAALRGPELDQVKDDEDILLPKDEKWPFLLRFPIGCFGICLGLSSQAVLWHALATSPATKFLNISPVINVALWLLAVPVLLCVSFTYILKCIFYFEAVKREYFHPVRVNFFFAPWVVCMFLTLGVPPAIALEKLQPFIWCTFMAPYFLLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAAKVGWDEAAKFLWAVGFAHYLVLFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWETIYGEFDGVSRTCYFIALFLYVSLVVRINFFTGFRFSVAWWSYTFPMTTFSVATIKYAEQVPSVGSKGLAVTLSLMSSAMVSVLFMCTLLHAFVWHTLFPNDLAIAITKRRLGKEKKPFKRAYDIKRWTKQALTKHNLITKDFDGGKEEG >ONI08924 pep chromosome:Prunus_persica_NCBIv2:G5:16582772:16585136:-1 gene:PRUPE_5G208100 transcript:ONI08924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIISKCISSAFLVSVMFLTIVSHAVAYDSFDPNGRINIKWDVLSWTPDGYVAAVTIINNQMYRHIKSPGWTLGWTWAKKEVIWSMVGAQATAQGDCSKFKANIPHSCKKTPTVVDLLPGVPMNQRFSDCCKSGVMASWGQDPSAAVSAFQLSVGHSGTSNKTVTPPKNFYLLGPGPGYTCSAATIVPPSVSFSPDGRRTTQAMMTWTLDCTYSQLLVSENPTCCVSLSSFYNPMITPCPSCACGCKDVNNNCINDPKDSRVLNKKALAKEDASMLQCTDHRCPIRVHWHVKANYREYWRVKITITNFNYLMNYTQWTLVAQHPNFNKLANVSSFVYKPLIHYGSINDTGMFYGIQNHSDLLMEVGPEGYVQTELLLGKDMKAFALDQGWAFPFKLYFNGDECKMPLPDIYPTLPNSAYANSISSSTLATTLLLSALLVFLMS >ONI07358 pep chromosome:Prunus_persica_NCBIv2:G5:11787915:11792357:1 gene:PRUPE_5G115100 transcript:ONI07358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKDADPTLGFLTRKDTEVKLPRPTRVKNKTPAPVQITAEQILREARERQEAEIRPPKQKITDPTELADYRLRKRKEFEDLIRRVRWNVNVWIKYAQWEESQKDFKRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEIIGNVAGARQIYERWMNWMPDQQGWLSFIKFELRYNEVERARAIFERFVQCHPKVGAWIRYAKFEMKNGEVVRARNVYERSVEILADDEEAEQLFVAFAEFEERCKETDRARSIYKFALDHIPKGRAEDLYKKFVGFEKQYGDRQGIEDAIVGKRRFQYEDEVKKNPLNYDSWFDYIRLEESAGNKDRIREVYERAIANVPPAPEKRYWQRYIYLWINYALYEELDAGDMERTRDVYRECLKLIPHRKFSFAKIWLLAAQFEIRQLNLKGARKILGTAIGQAPKDKIFKKYIEIELNLGNFDRCRKLYEKYLEWSPENCYAWTKYAELEKSLCETERTRALFELAIAQPALDMPELLWKAYIDFELSEGEFERTRELYERLLDRTKHLKVWISYAKFEASAIVEDGVDSDAAEDQAQDYPREQKEQCVQRARRVFEKALNYYRTSAPELKEERGMLLDEWLNMEASFGEVGDVSLVQSKLPKKLKKRRSIITEDGPAGYEEYIDYMFPEEAQTSNLKILEAAYMWKKRKVSSDDDED >ONI06793 pep chromosome:Prunus_persica_NCBIv2:G5:9466355:9470487:1 gene:PRUPE_5G081300 transcript:ONI06793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLVLALHSAGGKKATSTLPRKKEKEKRTSVAGSSGSRLSLFHLPLSQAPNFLSLFAKMAVSFHPTTSTPSFQPQARLAPPLSCATKHYSGLKLQSLGTFGAKNPNLTVEFYGKVNKSLQSRTRNQRPSRARIGMMPIGTPKVPYRTPGEGTWQWVDLWNALYRERVIFIGQNIDEEFSNQILATMLYLDTIDSSKRLYMYINGPGGDSLNSPVGTHCVGYAYNLAAFLLAAGEKGNRFAMPLSRIALQSPAGAARGQADDIQNEANELLRIKDYLYNELAKNTGQPVEKINKDLGRMKRFNAQEALEYGLIDRVVRPPRIKADAPPKDAGTGLG >ONI06792 pep chromosome:Prunus_persica_NCBIv2:G5:9466355:9470487:1 gene:PRUPE_5G081300 transcript:ONI06792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLVLALHSAGGKKATSTLPRKKEKEKRTSVAGSSGSRLSLFHLPLSQAPNFLSLFAKMAVSFHPTTSTPSFQPQARLAPPLSCATKHYSGLKLQSLGTFGAKNPNLTVEFYGKVNKSLQSRTRNQRPSRARIGMMPIGTPKVPYRTPGEGTWQWVDLWNALYRERVIFIGQNIDEEFSNQILATMLYLDTIDSSKRLYMYINGPGGDLTPSMAIYDTMQSLNSPVGTHCVGYAYNLAAFLLAAGEKGNRFAMPLSRIALQSPAGAARGQADDIQNEANELLRIKDYLYNELAKNTGQPVEKINKDLGRMKRFNAQEALEYGLIDRVVRPPRIKADAPPKDAGTGLG >ONI08510 pep chromosome:Prunus_persica_NCBIv2:G5:15241710:15242633:1 gene:PRUPE_5G182600 transcript:ONI08510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSSSFPFLCLTISVLFLLPLPSSYSADPDPLQDLCVADLSASTPADYNFPCKPISEITSDDFFFDGLTKPGNKTKVFGVSVSAGNVLTFPGLNTLGISLNRVGFAPRGIVPPHSHPRASEVGVVMEGKILTGFVTTKNVFYSKVLTAGEVFVIPRGLVHFQLNVGEGEALEFTAFNSHLPGSAAVPLNLFSATPSISDHVLTKTFLVGEDIIDNIRSKFRF >ONI06355 pep chromosome:Prunus_persica_NCBIv2:G5:5929789:5954684:1 gene:PRUPE_5G055400 transcript:ONI06355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRETGSMAALIDSLSTSFNQVPQAAVPAVLDCILSSSGLSPSSLFDSLLDTFPKLSEDLKIHDGMLDSNHCNYLASLVSALCHLLKKFGSNHDALQSFIWRSFLPLMKIAHIFDRKMLNQVTESFICVVIETNTWVVVEATLVPYFLRVVASSMGMLQNEVPDIFQWVVGSIDLVTHLEMSEKHSPSQSGSLSLQISCHILTLILDAALHSMKTAASSESMIPNGCFDAQNVAGKLTWGLCSLTERLLLQSLEHRSCATGFLLPIIFKAFSSYCSFEISIHGQTLILSRNSFFNKIWKCCGLLFSLGTVERRDAYSVLSLYLSFCSCTEECGDADTSDKVEEFDIRAQKEFWDEIKKGLVDKESLVRKQSLHMFKTALRINEAGQSSPVSEEMILPEIHSMPHGMTKREQWADKEAKSLGVGKICSQVGSCLNSQKWEAFVLLYEMLEEFGTHLVEAVWNSQVSLLLQYSTTHRNITTSVTGAVHQSHIETPGEIFNWLAILWERGFRHDNPQVRCLIMQSFLGIDWKNYGTHTKSVPVSFVLGPLMEGINDPVHHKEFGVKGIYSSMTIEGAARFLHQYTSCLNAGMCVAFLINLASTAKVQSFGRAGLVCLAECISSTACQVRADDNESEAQWSEDAIPGMIQVGNSPRNDKVVLLDALRFVIESSKQHFNPNYRLRVCEKVLDSAASVVCACDVPLDILMHFISTFPWEFTGFGGSLRVKLQEWLMGCGKKHCSANCFSTEMKLLKSIHDFPNRFTSRHMVDDSITFDDEDLDAWEFEAKRWARVLFLACKEEYQLIPILMFIQNHGHELCQENNKLDQITVKFLILTLSLVLELQMMQERVAEYSSKVRGKSEFHVLESIDQFGHADALNLCQKFTNVFIFIMEELVSFANLSCSIFSYTDTTKMADAILPGSVRGKLGGPSQRRLSSSTTTPVLQAIMSIKALATISSWCAQFKSHGSLDLAYNFLWEFYWKTVSSPACNSETGAEICLAAYEALAPALTALASVFSPQALGLVKKYDSLLLSSIGKPLLDSLVLSFLQNINNLLAVGVFVRTRRAVLMNWKWMCLESLLSIPSYAFKNGLHLEDNSFFFSGAALRWIFTDLLESLENAGEGSVLPMLRSVRLVLGLFAEGKSGLLVSLCDGVDAQMMWQLVQSSWILHVSCNKRKVAPIAALLSSVLHSSLFSDESMHITDGAPGPLKWFVEKILEEGTKSPRTIRLSALHLTGLCLSYPRIIKYYVKELKLLSLHGSVAFDEDFEGELADNHDTRTEVSLLAKGPDTELTKEFINTELYARASVAVLFSKLADLSDLVGSPNENEDCHAALESGKIFLLELLDSAVNDKDLAKELYKKYSAIHRRKVRAWQMICILSRFVCQDIVSEVAHCLHLSLYRNNLPAVRQYLETFAINMYLKFPPLVGEQLVPVLRNYEMRPQALSSYVFIAANVILHASQAVQFKHLNELLPPIVPLLTSHHHSLRGFAQLLVYQVLCKFFPPLDSKASETMTLEKRCFEDLKSYLEKNSDCMRLRASMGGYLDAYSPNSSATPAGIFVNRVEELEFECVPMSFMEQVLNFLNDAREELRSSMAKDAVTIKNESLRSDEDENCTKILSNANEGKLHTQLPKDISLDFQKKITLSKHEKQDKAVNSFLGDQETYKQLVEIEKDDKLLAQVLQSRSLAAEGERASRQHLILVASLLDRIPNLAGLARTCEVFKASSLVVADSNIVHDKQFQLISVTAEKWVPMIEVPVDSLKVFLERKKREGFSILGLEQTANSIPLDQHIFPKKTVLVLGREKEGIPVDIIHVLDACLEIPQLGVVRSLNVHVSGAIALWEYTRQQRSQ >ONI06356 pep chromosome:Prunus_persica_NCBIv2:G5:5929789:5954684:1 gene:PRUPE_5G055400 transcript:ONI06356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRETGSMAALIDSLSTSFNQVPQAAVPAVLDCILSSSGLSPSSLFDSLLDTFPKLSEDLKIHDGMLDSNHCNYLASLVSALCHLLKKFGSNHDALQSFIWRSFLPLMKIAHIFDRKMLNQVTESFICVVIETNTWVVVEATLVPYFLRVVASSMGMLQNEVPDIFQWVVGSIDLVTHLEMSEKHSPSQSGSLSLQISCHILTLILDAALHSMKTAASSESMIPNGCFDAQNVAGKLTWGLCSLTERLLLQSLEHRSCATGFLLPIIFKAFSSYCSFEISIHGQTLILSRNSFFNKIWKCCGLLFSLGTVERRDAYSVLSLYLSFCSCTEECGDADTSDKVEEFDIRAQKEFWDEIKKGLVDKESLVRKQSLHMFKTALRINEAGQSSPVSEEMILPEIHSMPHGMTKREQWADKEAKSLGVGKICSQVGSCLNSQKWEAFVLLYEMLEEFGTHLVEAVWNSQVSLLLQYSTTHRNITTSVTGAVHQSHIETPGEIFNWLAILWERGFRHDNPQVRCLIMQSFLGIDWKNYGTHTKSVPVSFVLGPLMEGINDPVHHKEFGVKGIYSSMTIEGAARFLHQYTSCLNAGMCVAFLINLASTAKVQSFGRAGLVCLAECISSTACQVRADDNESEAQWSEDAIPGMIQVGNSPRNDKVVLLDALRFVIESSKQHFNPNYRLRVCEKVLDSAASVVCACDVPLDILMHFISTFPWEFTGFGGSLRVKLQEWLMGCGKKHCSANCFSTEMKLLKSIHDFPNRFTSRHMVDDSITFDDEDLDAWEFEAKRWARVLFLACKEEYQLIPILMFIQNHGHELCQENNKLDQITVKFLILTLSLVLELQMMQERVAEYSSKVRGKSEFHVLESIDQFGHADALNLCQKFTNVFIFIMEELVSFANLSCSIFSYTDTTKMADAILPGSVRGKLGGPSQRRLSSSTTTPVLQAIMSIKALATISSWCAQFKSHGSLDLAYNFLWEFYWKTVSSPACNSETGAEICLAAYEALAPALTALASVFSPQALGLVKKYDSLLLSSIGKPLLDSLVLSFLQNINNLLAVGVFVRTRRAVLMNWKWMCLESLLSIPSYAFKNGLHLEDNSFFFSGAALRWIFTDLLESLENAGEGSVLPMLRSVRLVLGLFAEGKSGLLVSLCDGVDAQMMWQLVQSSWILHVSCNKRKVAPIAALLSSVLHSSLFSDESMHITDGAPGPLKWFVEKILEEGTKSPRTIRLSALHLTGLCLSYPRIIKYYVKELKLLSLHGSVAFDEDFEGELADNHDTRTEVSLLAKGPDTELTKVNDKDLAKELYKKYSAIHRRKVRAWQMICILSRFVCQDIVSEVAHCLHLSLYRNNLPAVRQYLETFAINMYLKFPPLVGEQLVPVLRNYEMRPQALSSYVFIAANVILHASQAVQFKHLNELLPPIVPLLTSHHHSLRGFAQLLVYQVLCKFFPPLDSKASETMTLEKRCFEDLKSYLEKNSDCMRLRASMGGYLDAYSPNSSATPAGIFVNRVEELEFECVPMSFMEQVLNFLNDAREELRSSMAKDAVTIKNESLRSDEDENCTKILSNANEGKLHTQLPKDISLDFQKKITLSKHEKQDKAVNSFLGDQETYKQLVEIEKDDKLLAQVLQSRSLAAEGERASRQHLILVASLLDRIPNLAGLARTCEVFKASSLVVADSNIVHDKQFQLISVTAEKWVPMIEVPVDSLKVFLERKKREGFSILGLEQTANSIPLDQHIFPKKTVLVLGREKEGIPVDIIHVLDACLEIPQLGVVRSLNVHVSGAIALWEYTRQQRSQ >ONI07954 pep chromosome:Prunus_persica_NCBIv2:G5:13608604:13610474:-1 gene:PRUPE_5G149400 transcript:ONI07954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVAIIILSPSGKIYQFASHDINRTIAMYRNQVGLPESNNSSFRRDRTMEFRRNNENEELRRSIANLEMRLKNLAGEELDILGMQELKQLERQLKTGVERIRSQIGRVISENISSLKRKHKAMQEENSRLQKRFHELHHYADHASSSILGPNAYMQFFPSEF >ONI05733 pep chromosome:Prunus_persica_NCBIv2:G5:2407659:2413641:1 gene:PRUPE_5G021900 transcript:ONI05733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCIACTKQTDDGGEEGGARGSGTPSTKEAVKSLTAQIKDMALKFSGAYKQCKPCTGSSNYKKGTPRPYPDFDTASEGIPYPYAASSSSTPAWDFTSNHQLGARSDSRFTRAYGGGDRTPGGASYAQSCDVVLDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALHTPPRSEDEQRDSSYSRMGSAMESPMAPSNKDWTPRNQYKPSGAGSRGYFPSDSFDHGGGGHHYNAGGSSAYGAGGHKGEASSFDASRTTTSSRDEASISISNASDVESEWVEEDEPGVYITIRQLADGTRELRRVRFSRERFGEMNAKSWWEENRERIQAQYL >ONI05735 pep chromosome:Prunus_persica_NCBIv2:G5:2411421:2413641:1 gene:PRUPE_5G021900 transcript:ONI05735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFSGAYKQCKPCTGSSNYKKGTPRPYPDFDTASEGIPYPYAASSSSTPAWDFTSNHQLGARSDSRFTRAYGGGDRTPGGASYAQSCDVVLDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALHTPPRSEDEQRDSSYSRMGSAMESPMAPSNKDWTPRNQYKPSGAGSRGYFPSDSFDHGGGGHHYNAGGSSAYGAGGHKGEASSFDASRTTTSSRDEASISISNASDVESEWVEEDEPGVYITIRQLADGTRELRRVRFSRERFGEMNAKSWWEENRERIQAQYL >ONI05734 pep chromosome:Prunus_persica_NCBIv2:G5:2407659:2413641:1 gene:PRUPE_5G021900 transcript:ONI05734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCIACTKQTDDGGEEGGARGSGTPSTKEAVKSLTAQIKDMALKFSGAYKQCKPCTGSSNYKKGTPRPYPDFDTASEGIPYPYAASSSSTPAWDFTSNHQLGARSDSRFTRAYGGGDRTPGGASYAQSCDVVLDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALHTPPRSEDEQRDSSYSRMGSAMESPMAPSNKDWTPRNQYKPSGAGSRGYFPSDSFDHGGGGHHYNAGGSSAYGAGGHKGEASSFDASRTTTSSRDEASISISNASDVESEWVEEDEPGVYITIRQLADGTRELRRVRFSRERFGEMNAKSWWEENRERIQAQYL >ONI05732 pep chromosome:Prunus_persica_NCBIv2:G5:2408827:2413641:1 gene:PRUPE_5G021900 transcript:ONI05732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCIACTKQTDDGGEEGGARGSGTPSTKEAVKSLTAQIKDMALKFSGAYKQCKPCTGSSNYKKGTPRPYPDFDTASEGIPYPYAASSSSTPAWDFTSNHQLGARSDSRFTRAYGGGDRTPGGASYAQSCDVVLDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALHTPPRSEDEQRDSSYSRMGSAMESPMAPSNKDWTPRNQYKPSGAGSRGYFPSDSFDHGGGGHHYNAGGSSAYGAGGHKGEASSFDASRTTTSSRDEASISISNASDVESEWVEEDEPGVYITIRQLADGTRELRRVRFSRERFGEMNAKSWWEENRERIQAQYL >ONI06389 pep chromosome:Prunus_persica_NCBIv2:G5:6263459:6265439:1 gene:PRUPE_5G057900 transcript:ONI06389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLKHKICFLCIMVLLPALCTSQDTFTSSRATYYGSPDCYGTPTGACGYGEYGRTVNDGQVAAVARLYRNGTGCGACYQVRCREPQHCSSDGVNAVVTDYGEGDRTDFIFSRRAYAKLASNPAAAERLFAYGVVEIEYRRIPCRFSGRANLEFKVHEQSRYPDYLAIVIQYVAGQNDIISVELWQEDCKQWRAMRRAYGAVFDTPNPPSGSLNLRFLVSGSAGVKFVQANQVIPGDWKAGVSYETDIQLN >ONI05718 pep chromosome:Prunus_persica_NCBIv2:G5:2264205:2267956:1 gene:PRUPE_5G020900 transcript:ONI05718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKLTEGAIMKICTGEYHDETWKPILQVLDVRMVNTARSGAQPGPDNERYRVLISDGSHHQQGMLGTQKNTLVQQGLLQKGSIVCLKQFTCTQVQNRLIIIIIELDLVLDKCDLIGEPVAGPKYLNAQSSGALPGNAQSIGGSAHSGGAVHQTVTKATLEQPRVNQSFGSAYSGGSDPGRHATMSTTPNHPKPEPGFGFPGSAPLSGSYSNQNTGFRSPRPEVPQPTLNAYARPPQPTYQQPSPTYPNRGPVAKNEAPPRIMPIAALNPYQGRWTIKARVTAKGELRHYSNPRGDGKVFSFDVLDSHGGEIRATCFNAVADQFYNQIEVGKIYLISKGSLKAAQKAFNNLNNDHEITLDHASIIQPCFEDDDSIPKQNFHFCRISDVEGLNNNSIVDVIGVVSSINPPASILRKNGVETQKRSLQLKDMSGRSVEVTLWGNLCNAEGQRLQSMCDSGAFPVLAVKGAKVNDFNGKAVGTIPSSQLFIEPNIEEAREMRSWFDKEGRNTSCIPISRETAGVGRTDIRKTISQIKDERLGTSEKPDWITVSGTVSFIKVDSFCYSACPLMNGDRQCSKKVTNNGDGKWRCDRCDQSMEECDYRYLLQLQIQDHTGTTWVTAFQEGGEEIMGIPAKDLYFLKYEEQDDEKFVEITRKVLFTKFNFKLKIKEEIYGDEQRVKSTVLKAEKVNFSSEARVHLELMNKLKMETISSSASEGDNVMPSAGMNATGVGNTASRQPTLDVNVGYNNNAGREFGGPESQGVTYRNQFSSARSPSTGSVGSYLSCNSCGDAGHSSMNCPSVMNGPGQSSGAGYNNRVSSRPGVGNTSGDCYKCHQPGHWARDCPGLNTTPAYGGSGGNPVRYGGVANQRVGGF >ONI07427 pep chromosome:Prunus_persica_NCBIv2:G5:12002716:12004894:-1 gene:PRUPE_5G119900 transcript:ONI07427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEIFTLLFFLFFFLPHTPARATTCPRSKCTAGSPSVRFPFRISNLQPLHCGYPGFDLSCNNESQTILTLPSSGDFIVQTISYKDQIVLINDPDNCLPKRFLDHDITLKDSPFNYAHGLETYMFLNCSAAAAAAATWTFAPISCLSNENYKVIAAPTSLLSPPGNVSGQCVVISTALIPSLFDPLQGVQLGWLEPECISCEAAGHYCGFDSASSQIKCLDPSDFFSSGLSRVAKFGIMIIMGIPGLLSIIGIAVCVCDSIGAGSRSHQPIAELSTVSNREPHMLRMGLDGQTIESYPKTQLGESLELPEPKDNTCPICLGEYKAKAILRTIPGCSHYFHASCIDEWLRLNATCPICRNQSNKQALQKPPPAVVQHAPLVPGGLLVRFPFQLKNNQVPSNCGYPGFDISCESWELPVPNDNICSICLREYQPKEVLRTIPECNHYFHANCIDEWLRRNATCPLCRNLQERYINKK >ONI07484 pep chromosome:Prunus_persica_NCBIv2:G5:12173114:12174803:1 gene:PRUPE_5G122800 transcript:ONI07484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIALLSVQAPRPISLSQFPSTNPKTWLHGSATLKTNPSKSAILLCAASVVEGQGPASPPPDDSLAAQSESATEPTKELPLSGCKSCGREEVEKGCNGEGRIQGGIATIPGFGWWPIKAYRPCPGFLASGGRYRRQGQSLDEVAFGRGGRGD >ONI07437 pep chromosome:Prunus_persica_NCBIv2:G5:12033344:12038843:1 gene:PRUPE_5G120600 transcript:ONI07437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNSRQKWTQKQSKHSSNDAALDHSDSEEEIEIVDEVKTKKSRNGKEESSVRVSKDSLPGDKRRPRERGNDVEYSAEVEHIASKRRRDSERKDGKEKVPEVRRGTEDTEMLLKHEVRNPEPKKELEKHIRRSRDGSVEKGKYKDYVRDDNEDSAEVEYIASKRRKDIKRIRKDVKEKVPEVGQAMDDTERYLKDEVRNPKLKEELEKQIRRNRDGSVDKAKYKDYVRDDNDSRRSSTGKHAKDRRYMDDENYKDKYGEDADRSYKVKHGEDGDRSYKVKHGEDGDRSYKVEYGEDGDRSYRVKHGEDAERSYKDKYGEDGDRIYKVKYGEDGDRSYKYGEDGDRSYKDKDGEDVGRSYKVKHEEYGDRSYKDKDGEDADRSYKVKHGEDGGDRSYKDTYGEDGDRSYKVKYGKDGGRSYKDGYGEDADKDRRHRDSKPKEDVDWKKTQWEDRHKDEHALIDHNGDRSGTKYTRDKSNAGGIRYKKSRFDSSNYDDGQNTRYSDDRGKRDDDKEDQIYSRCLSTREHADAEKKITGRAMVKSVDDKEDSHKCCVDADFSASHKRQMSFPSSYSHVAKDQCRHSREAELMSGDSEPQERVRHSLLHFKEVADVCGVLKQSSLSKPTKRLIDKDDSHLGDLSAARCLNPDACASAVHLVDDSKFTTLGIKGSFDSKEFGKRSGVTKHRDLSGTEGKGNLQPPSETPPTGELSREDIDGFSSLSSVKADQLPSNSWSNFPPPRHRYKRNNSSIVGRMYGNARNGAPVNARSAVPNRPSPVMNSFTHFRHGPPPVGLHPVMHPFSALPMYGVRPVMDIRSLFPHHIHDADRFLRQDHSFGWPNPIDGSQPPPFYAWDEINSVARTNSLAYGRLDWSHNREPIDGQRSETRTDMWKGPHDGVNLELPSVLRAKEPAVRTQEDEIWLGASSLQVQNQENQCDDLVDKSQKIEIGQNGDALAKEPPKPSNSIAEQLPELSKISKDDDDRLWLVYLSKLDISAELTHPELYNQCLKLMDAEQSQNVDEDSLKLLYLEEVVKTQAELSKLASSASLFVAINDCVFQRAMSFYKQQRAIPLPSLFNKQKASFCSAKNMDVVPNCALEKQTEPISSSNGSKPEKLLPTCSAPHKKVYESVSVVGLDASNGCINDNCPTIDHASQSAPGSPGHLSRASDSGGGEHSAGGADDWILVDTRCDHIPFSKVPDEGVMGHSIGYESVNVSRIHGAFESTH >ONI07438 pep chromosome:Prunus_persica_NCBIv2:G5:12033344:12038843:1 gene:PRUPE_5G120600 transcript:ONI07438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNSRQKWTQKQSKHSSNDAALDHSDSEEEIEIVDEVKTKKSRNGKEESSVRVSKDSLPGDKRRPRERGNDVEYSAEVEHIASKRRRDSERKDGKEKVPEVRRGTEDTEMLLKHEVRNPEPKKELEKHIRRSRDGSVEKGKYKDYVRDDNEDSAEVEYIASKRRKDIKRIRKDVKEKVPEVGQAMDDTERYLKDEVRNPKLKEELEKQIRRNRDGSVDKAKYKDYVRDDNDSRRSSTGKHAKDRRYMDDENYKDKYGEDADRSYKVKHGEDGDRSYKVKHGEDGDRSYKVEYGEDGDRSYRVKHGEDAERSYKDKYGEDGDRIYKVKYGEDGDRSYKYGEDGDRSYKDKDGEDVGRSYKDKDGEDADRSYKVKHGEDGGDRSYKDTYGEDGDRSYKVKYGKDGGRSYKDGYGEDADKDRRHRDSKPKEDVDWKKTQWEDRHKDEHALIDHNGDRSGTKYTRDKSNAGGIRYKKSRFDSSNYDDGQNTRYSDDRGKRDDDKEDQIYSRCLSTREHADAEKKITGRAMVKSVDDKEDSHKCCVDADFSASHKRQMSFPSSYSHVAKDQCRHSREAELMSGDSEPQERVRHSLLHFKEVADVCGVLKQSSLSKPTKRLIDKDDSHLGDLSAARCLNPDACASAVHLVDDSKFTTLGIKGSFDSKEFGKRSGVTKHRDLSGTEGKGNLQPPSETPPTGELSREDIDGFSSLSSVKADQLPSNSWSNFPPPRHRYKRNNSSIVGRMYGNARNGAPVNARSAVPNRPSPVMNSFTHFRHGPPPVGLHPVMHPFSALPMYGVRPVMDIRSLFPHHIHDADRFLRQDHSFGWPNPIDGSQPPPFYAWDEINSVARTNSLAYGRLDWSHNREPIDGQRSETRTDMWKGPHDGVNLELPSVLRAKEPAVRTQEDEIWLGASSLQVQNQENQCDDLVDKSQKIEIGQNGDALAKEPPKPSNSIAEQLPELSKISKDDDDRLWLVYLSKLDISAELTHPELYNQCLKLMDAEQSQNVDEDSLKLLYLEEVVKTQAELSKLASSASLFVAINDCVFQRAMSFYKQQRAIPLPSLFNKQKASFCSAKNMDVVPNCALEKQTEPISSSNGSKPEKLLPTCSAPHKKVYESVSVVGLDASNGCINDNCPTIDHASQSAPGSPGHLSRASDSGGGEHSAGGADDWILVDTRCDHIPFSKVPDEGVMGHSIGYESVNVSRIHGAFESTH >ONI06805 pep chromosome:Prunus_persica_NCBIv2:G5:9562568:9569987:-1 gene:PRUPE_5G082300 transcript:ONI06805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGGYNYRDGTQRSSHKVDRPLSLNSNPKSSVKSKALPASGPRRNSTGSIGGGGAGPATSKNDTGVPGRVRVAVRLRPRNAEEMVADADFADCVELQPELKRLKLRKNNWDTDTYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDILADVSLESDSLSVSYLQLYMETIQDLIDPANDNISIVEDPKTGDVSVPGASLVEIRDHQSFVELLRLGEAHRFATNTKLNTESSRSHAILMVNVKKSVKGRDSALPSQNGNNSHMVKSLKPPVVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKLIAEHERQQKAFEDEIERITIEAQNQISEVERNYADGLEKERVKYQKDYMESIKKLEEQLVMNQKKHGSEKITNGPEDDGSDVTTIKESCRVHVEEIDDLKKLLQKETLLRKAAEEEVQNLKIQLTQWKRSEAAGNSEILKLRKMLEDEEHQKEKLEGEIATLHSQLLQLSFEANETSQRLDRNEPGKVGGLESLMTQVRHPQLKDSGNEEKTSIAKLFEQVGLQKILSLLEAEDNDVRIHAVKVVANLAAEDGKIRE >ONI06804 pep chromosome:Prunus_persica_NCBIv2:G5:9559917:9569987:-1 gene:PRUPE_5G082300 transcript:ONI06804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGGYNYRDGTQRSSHKVDRPLSLNSNPKSSVKSKALPASGPRRNSTGSIGGGGAGPATSKNDTGVPGRVRVAVRLRPRNAEEMVADADFADCVELQPELKRLKLRKNNWDTDTYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDILADVSLESDSLSVSYLQLYMETIQDLIDPANDNISIVEDPKTGDVSVPGASLVEIRDHQSFVELLRLGEAHRFATNTKLNTESSRSHAILMVNVKKSVKGRDSALPSQNGNNSHMVKSLKPPVVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKLIAEHERQQKAFEDEIERITIEAQNQISEVERNYADGLEKERVKYQKDYMESIKKLEEQLVMNQKKHGSEKITNGPEDDGSDVTTIKESCRVHVEEIDDLKKLLQKETLLRKAAEEEVQNLKIQLTQWKRSEAAGNSEILKLRKMLEDEEHQKEKLEGEIATLHSQLLQLSFEANETSQRLDRNEPGKVGGLESLMTQVRHPQLKDSGNEEKTSIAKLFEQVGLQKILSLLEAEDNDVRIHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLKSSEDETIHRVAAGAIANLAMNETNQELIMTQGGISLLSMTAANGEDPQTLRMVAGAIANLCGNDKLQSKLRGEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRASTQGTKTGRSLLIEDGALSWIVQNANNDASPIRRHIELALCHLAQHEVNAKDMISTGALWELVRISRDCSREDIRTLAYRTLTSSPTFQAELRRLRIDN >ONI05409 pep chromosome:Prunus_persica_NCBIv2:G5:696032:698833:1 gene:PRUPE_5G006100 transcript:ONI05409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVLYALDTARTQWYHFKAIIIAGMGLFSDAYDLFCLPPILRLLGRIYYQKSTDNFDNNDNNFVIHRHVDSALLGVAFLGTAIGQLVFGRLGDRIGRRRMYGLSLMVMILSALGCGFSICTSPSCVLVSLGFFRFLLGVGIGGDYPLSATIMSEFANKRTRGSFIAAVFSMQGFGILASSIVTMVVCGIFHGASHPKPKEKTPSEADLAWRLILMLGAIPAAMTYYWRMMMPETARYTALVELNVQQAAKDMEKVLDVSLSQIAEDESLTLPQDPSSYPLFSKQFLRLHGRDLFGCSASWFLVDIVFYSSNLFQSHVYGKYLGKKYKSNVYEDAIHVALFQAILAICSTIPGYWLTVYFIDRIGRRKIQMMGFLVMALVYFAIGIPYRTYWQDNINGGFMVLYGLTFLFANFGPNTTTFIVPAELFPARFRSTCHGISGAAGKVGAIIGAVGFGSASHGQTEDHKAVQILLVILGGVCLLGLAVTYFFTPETKGRSLEENEE >ONI06493 pep chromosome:Prunus_persica_NCBIv2:G5:7828534:7829371:1 gene:PRUPE_5G064400 transcript:ONI06493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMPPLQPPSLPNQIPTTRTHTQNNPTKPKIQVDLGTNLNSPPPKLHLLYNPIKLSPQGEQQARRGRD >ONI09534 pep chromosome:Prunus_persica_NCBIv2:G5:18270597:18273341:1 gene:PRUPE_5G243500 transcript:ONI09534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHIVLERNMQLHPRHFGRNLRENLVAKLMKDVEGTCSGQHGFVVAITGIENIGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPYYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPATA >ONI06179 pep chromosome:Prunus_persica_NCBIv2:G5:4968367:4969724:-1 gene:PRUPE_5G045900 transcript:ONI06179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYNFKKMTVVPNGKDFIDIILSRTPRQTPTLYSTRVMQFPVSVLSICVKLDDIHPLYGNLHVLDNKDHYKLALGQIITARNLIGKISKDYVKLLKYSDSLYRCKCLKVAALGRMCMVIKRIGPSLAYLEQLRQHMVRLPSIDPNTRTILICGYPNVEKSSFINKITRADVDVQPYACTTKSLFVGHTYYKYLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQALEDISEEDKKLVMKAEAMKTVIGQGGEATNDEGILLTMSTLTGEGVIAVKNATCERLLNQRVEFKMKSKKINDCLNRFYVAMPNPCDNKERAQCIPQAVLEAKAKQAAEKDLENENGGAGVYSASLKKNYILANDECKEDLMPEILDGHNVYGIVFQ >ONI05847 pep chromosome:Prunus_persica_NCBIv2:G5:2972157:2974363:1 gene:PRUPE_5G026200 transcript:ONI05847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAPVLNQTHLFLPSKTPLGCPETSSRSKEQESLSLLKRCRNMEELKQVHAHILKLGHFCDSFCAGNLVATSALSAWGSMDHACSIFQQINEPGTFVCNTMIKGHVKAMNWDKALLLYCEMLETGVEPDNFTYPVLLKACAWLLAIEEGMQIHGHILKLGLENDVFVQNSLISMYGKCGELERSCTVFEQMDQKSVASWSAIIAAHANLGMWCECLMLFGDMRREGWRAEESTLVSVLSACTHLGALDLGRCSHGSLLRNISALNVIVQTSLIDMYVKCGCLEKGLCLFQKMNKKNQLSYTVMISGLAVHGHGRKALELFSAMLQEGLTPDAVAHLGVLSACTHAGLVDEGLRCFNRMKGEHKIQPTVQHYGCLVDLMGRAGMLKEALQLITSMPVRPNDVIWRSLLSACRVHKNLEIGEIAAHMLFQLNSQNPSDYVVLSNMYAQAQRWDNMARTRTEMASKGLTQTPGISLVEVKRRVYKFVSQSHHQCDGVYKMVHQMEWQLRFEGYSADTSQVLLDVDEEEKRERLKYHSQKLAIAFALIHTSQGSPIRIVRNLRMCSDCHTYTKFVSMIYEREITVRDRNRFHHFKDGNCSCRDYW >ONI06422 pep chromosome:Prunus_persica_NCBIv2:G5:6389821:6391525:-1 gene:PRUPE_5G059300 transcript:ONI06422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSKSELIPEIHMESVQTVTPLRITEPRQARQVLTADPIGSGIFRHCLHILLYHAKASQDDARWFHVGWLKESLARALLEQPIFAGRFREGDELMEIVSNDSGIRLLETRIPASLSEFLDFRASRGRKDAEAELVFWKDIDEQNPQYSPLVYVQVTNFQCGGCSIGISCSLLLADILLKENFIKKWADIHNNMLSKDDLPAAPMYYFPNTKKCTDSSPTSIFSSNTSKKSSQTTIFTIPGEHVNSENDYALQFCVEEAERKFGTKMTPKWSLFLKTKSCNSIKVENFPKDGLIKPKSGLQSQIAAAIWDDFGANDIEFRKGNKPALVSYWIGFVSGGLVMAMPSADQKGCPDEVKVIVTVPYQDEF >ONI06818 pep chromosome:Prunus_persica_NCBIv2:G5:9603601:9605818:-1 gene:PRUPE_5G083000 transcript:ONI06818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKLPKKSTGSFFCLFHIPIITQWLVFNPKNQKHFPGTCLSAAILCDNTYNFTVKSPSVSWYLKKGTGVDSGSSRLKHVVASELSVRHLYEIAKVKQSEPYCQY >ONI05308 pep chromosome:Prunus_persica_NCBIv2:G5:245089:247466:1 gene:PRUPE_5G001000 transcript:ONI05308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWMVLVVVVVVVFILIEVQGQQSYVDNKQLDCYNHYNTTDGYVCNGVESSCLSYLTFRSIPPYYYSPTSIAYLLGSEPTRIAAANNISDVQPISADTLILVPVNCSCSSSYYQHNASYVLKSGDTYLIVANNTYQGLTTCQALMAQNPFPAINLTVGLTLQVPLRCACPTANQTAAGVKFLLSYLVASGDDPPTIAQRFGLDLPTLLQANRLSSNDIIYPFTQLLLPLTSKPTPAQLQQRSSPPPPPPPSAAPPPNSNNNSKINKPIFVGVGVGAACLLLLVITVFLLLHRRRRQHYQSAAATESPNSKPQVASGPGLEPGKIADYLPTSPLPSSDTISSRGLGYAVESLTLYELEQLQRATQFFSEANRIQGSVFRGSFEGDDAAIKVVIGDVSQSGDEINLLKRINHSNIIRLSGFCVHQGHTYLVYEYAPSGSLSHCLHSPTTLSWKQRVQIAHDVADALNYLHNFTHPPCIHNNLKTSNILLDASLRAKLSNFGLARPLLVSHGHNHNQDQLQLTRHVVGTHGYMPPEYIQNGVITPKLDVFAFGVVLLELLSGREAAAAATPAPSSNNGGSGDDQELLLSASIRGVLEGDHVRDKLEGFMDPSLKREYPLDLAFSMAQLAKSCVATQINSRPTMAEALITLSKILSSTLDWDPSDADELQHSTTSLSLGR >ONI07256 pep chromosome:Prunus_persica_NCBIv2:G5:11446951:11447544:-1 gene:PRUPE_5G109400 transcript:ONI07256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKTSDAESLQSSDELKRQKKIKMAIYISIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVDSFVSDSAAPSFDTKFTTQIKIKNSANWGSYKFNAANITFQHQGATLAVIDIAKGKAGWLSTIKRTAEVSLKSSAINGTNFGSELSSGVLTLNSVGRLNGKVAIMFIMKKKKAANMNCTIAFDVAAKTLKSLQCK >ONI08322 pep chromosome:Prunus_persica_NCBIv2:G5:14657854:14661765:-1 gene:PRUPE_5G171700 transcript:ONI08322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSEALNSLKLTDPTQLLTPSPALSQTARTALQNLFSSLRPFTPKSPFDQLLTDGFDAEQIWQQIDLQSQPLISSLRRDLKRFEKNPDEIKALKVLVQGQEKVLEAENGGLEKEIDGFDEELDELDEDDEEEEEEEEDEEEGEENESEDEEEGEDSEGEGEGNNGIEDGFFKIKDLKKSLEDSEAREYGLMKTDKKKKKKKKKKKGSDDDEDEEDEEEDEDEEEDELLGDLDIGDDEDEEDADKFARYEDFYGGKKEKPSKRKPKLVDGSKDLGINNEDEDEDEVEEEEEEDDEDEQKDEQNQTTLSTHETKLQKLRSEIDQMEKANLEPKNWTMRGEVTAERRPKNSALEVDLDFEHNVRPPPVITEEVTASIEDIIQKRIEQGRFDDVQKAPTLPSKAPREIKELDENKSKKGLAEVYEDEYVQKTNVASGPLSFTDKQKEEASKLFKKLCLKLDALSHFHFTPKPVIEDMTVQANIPALAMEEIAPVAVSDAAMLAPEEVFSGKGDIKEEAELTQAERKRRRANKKRKYKAREAKRTTKKPRDS >ONI08323 pep chromosome:Prunus_persica_NCBIv2:G5:14658324:14661677:-1 gene:PRUPE_5G171700 transcript:ONI08323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSEALNSLKLTDPTQLLTPSPALSQTARTALQNLFSSLRPFTPKSPFDQLLTDGFDAEQIWQQIDLQSQPLISSLRRDLKRFEKNPDEIKALKVLVQGQEKVLEAENGGLEKEIDGFDEELDELDEDDEEEEEEEEDEEEGEENESEDEEEGEDSEGEGEGNNGIEDGFFKIKDLKKSLEDSEAREYGLMKTDKKKKKKKKKKKGSDDDEDEEDEEEDEDEEEDELLGDLDIGDDEDEEDADKFARYEDFYGGKKEKPSKRKPKLVDGSKDLGINNEDEDEDEVEEEEEEDDEDEQKDEQNQTTLSTHETKLQKLRSEIDQMEKANLEPKNWTMRGEVTAERRPKNSALEVDLDFEHNVRPPPVITEEVTASIEDIIQKRIEQGRFDDVQKAPTLPSKAPREIKELDENKSKKGLAEVYEDEYVQKTNVASGPLSFTDKQKEEASKLFKKLCLKLDALSHFHFTPKPVIEDMTVQANIPALAMEEIAPVAVSDAAMLAPEEVFSGKGDIKEEAELTQAERKRRRANKKRKYKAREAKRTTKKPRDS >ONI06627 pep chromosome:Prunus_persica_NCBIv2:G5:8617392:8621209:1 gene:PRUPE_5G071100 transcript:ONI06627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIEPLELKFPFELKKQISCSLLLSNKTDNYVAFKVKTTNPKKYCVRPNTGIVSPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQSVKTNDGAAPKDITPEVFNKEAGNVVEECKLRVVYVSPPQPPSPVPEGSEEGSSPRGSVMENGNVNGAEFSNAAKAFSEQLEPQERSAEARSLILKLTEEKNKANQQSNRLRQELELLKRQGSKSRGGVSILFVIIVGLIGLLLGYLMKKS >ONI07237 pep chromosome:Prunus_persica_NCBIv2:G5:11349942:11350813:1 gene:PRUPE_5G107500 transcript:ONI07237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAESLHSADELKRQKKIKLAIYITIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVESFVSDSAAPSFDTKFTTQIKIKNSANWGSYKFNAANITFLHQGATLAVIDIAKGKAGWLSTIKRNAEVSLNSNAITGSNFGTELSSGVLTLNSVGRLNGKVAIMFIMKKKKAANMNCTIAFDVTAKTLKSLECK >ONI06832 pep chromosome:Prunus_persica_NCBIv2:G5:9652138:9652770:1 gene:PRUPE_5G084000 transcript:ONI06832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQLFIILSILAIFVPSTLATDYVVGDDKGWTINFDYQAWAQGKLFYVGDNLVFNYPKGAHTVLKVNGTGFQQCAAPLDSVPLTSGKDVINLATPGRKWYICGVGQHCEVGNQKLVITVLPSSSSSAPSSSPSSWPSATAGPGPSTSSATTSVGTRFALMMVTIAFAMLMA >ONI05647 pep chromosome:Prunus_persica_NCBIv2:G5:1803376:1804677:-1 gene:PRUPE_5G016700 transcript:ONI05647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRSSSSLVSNPVYDQCSDQGSRNKSEPLIPGLPDDIAELCLHYLPSPIPTKLWYVQSLLRGTEPSQTQRQAGTFIEHLVCT >ONI07615 pep chromosome:Prunus_persica_NCBIv2:G5:12638845:12642142:-1 gene:PRUPE_5G130800 transcript:ONI07615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGKAKSALALVGRVINEAVSFIVFSVLDLVDFLLCFLYRVADFFIEAEWKPCYCSSSKEAITSSGKILVSEQGESKIVCLSSTKLQLEEISDTLYTRPSLLSEVSKLTMKETKKGTVRSTFTVNSTIVEMLQGKIGGQQCHPIPRWSDCDCKFCTSWTSNSKETLYVRTEGPKDYKAQENVLFIHGFISSSAFWTETLFPNFSSAAKSSFRLFAIDLLGFGRSPKPTDSMYTLREHLEMIERSVLEPNKVKSFHIVAHSLGCILALALAVKHPLSIKSLTLLAPPYYPIPKGEQATQYVMRRVAPRRVWPVIAFGASIACWYEHISRTICLLICKNHRIVEFLTKLVTRNRIRTFLYEGFFCHTHNAAWHTLHNIICGTAGKMDKYLDVVRDHLKCDVNVFHGKDDELIPVECSYNVQSKIPRARVKVIEKKDHITIVIGRQKAFARELEKIWRNSSKSG >ONI05877 pep chromosome:Prunus_persica_NCBIv2:G5:3232500:3237515:1 gene:PRUPE_5G027700 transcript:ONI05877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESPVNFSGGSPRARVHHVTQTSNDLDSDIGGVEFATYTVHIPSTPDNQPMGMSMEWSTSQRVEDQYASSSLFTGGYNCITRAHLKEKVIESVTSHPQMTGAKGSNCAVPGCDAKVVTDERGVDIVPCECDYKICLDCYRDAIRTGDHICPGCKEPYKELDVSEYAGNNGQHLQVPFTSKMERRLSLMKSTAVMGRQSSEFDHTKWLFETKGSYGYGNAIWPKVDVDGSQEGIGGDPKVFHDKQWRPLTRKLNISAAILSPYRILILVRMVVLGLFLQWRIRNPNEDAVWLWAMSVVCEIWFAFSWLLDQLPKLCPINRITNLDVLKEKFESPNPSNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANLWVPFCRKHAIEPRNPESYFNLKRDPYKNKVRPDFVKDRRRLKREYDEFKVRINGLPDSIRRRSDAYNARHEMKAMKLGREIGNDEAVEKIKIPKATWMADGTHWPGTWTVSVPEHSRGDHASIMQVMLKPPSDEPLRGTSMDSSSLDLSEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYVYNSQALREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRAFDGLQGPVYVGTGCLFRRTALYGFDPPRVKKCRNGCCCCSGFSARRRTRKSSSSVASAPEVASQDCQSIEVGEFGDDEEINLALVPKKFGNSSFLVDSIRVAEFQGRPIADHPSMKHGRPPGALTLPRELLDASTVAEAISVISCWYEDKTEWGQRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWGTGSVEIFFSRNNALLASSRMKILQRIAYLSVGMYPFTSFFLIVYCFLPALSLFSGQFIVQSLNITFLAYLLGITITLILLAVLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDADDEFVDLYIFKWTSLMIPPITIMMTNLIAIAVATCRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLMAITISLLWVAISPPSGTNQIGGSFQFP >ONI08801 pep chromosome:Prunus_persica_NCBIv2:G5:16208314:16210887:-1 gene:PRUPE_5G201400 transcript:ONI08801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEDQQFKHLCKFCSKSFPCGRSLGGHMRSHLTNNSAETDEKLIIGKVKLPSANNVNSDFETGPHHQPGYGLRENPKKTWRLADSSEDTSLLPDKFCRECGKGFQSWKALFGHMKCHSEKERVVNSINSFDEEEEEDSWTCANQKLVMDSQSDSETTTPNRRRRSRRRRTRYMGTTTSSSNFSIANAATSSVSEIEQEQEEVALCLMMLKRDAGHWGGLKFVAHDSSDNNSVFSEAPSSGRTNRVNADIKGKVSVCSGIEILKLKKKLNKKTLELGNLDSDFSKHGSRMKKELLSAVGFSKNDKLDKKMELAQPQLGSNNKCNSSKRKFQESYDFESRSEQSLKTLSTTRGDVLDSEAVCKSSQKRSKFECTTCNKVFRSYQALGGHRASHKKIKGCFASKVESSENTIETDLSPEPTSDSKLSKSCNNENPIEHDVKAEISTENKGHACPICLKVFPSGQALGGHKRSHLIGGSAENKNSQTIVIQKPAAPEVRDFLDLNLPAPVEEESSSSHAAAFKPWWVGSSHKHEALVGLISN >ONI07797 pep chromosome:Prunus_persica_NCBIv2:G5:13093609:13094589:-1 gene:PRUPE_5G140400 transcript:ONI07797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLQAPSTRSHLAPPSPLAAPKGNVGLRRPWDRFALKSSFFSSSHSLLLLSPKQQQKSLASSAAPKFSMRVASKQAYICRDCGYIYNDRTPFEKLPDKYFCPVCGAPKRRFRVYQPPVTKDANSKDVRKQRKAELQREEAIGKALPIAIVVGVVALVGLYFYINIGFQG >ONI07799 pep chromosome:Prunus_persica_NCBIv2:G5:13093613:13094589:-1 gene:PRUPE_5G140400 transcript:ONI07799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLQAPSTRSHLAPPSPLAAPKGNVGLRRPWDRFALKSSFFSSSHSLLLLSPKQQQKSLASSAAPKFSMRVASKQAYICRDCGYIYNDRTPFEKLPDKYFCPVCGAPKRRFRVYQPPVTKDANSKDVRKQRKAELQREEAIGKALPIAIVVGVVALVGLYFYINIGFQG >ONI07798 pep chromosome:Prunus_persica_NCBIv2:G5:13093493:13094723:-1 gene:PRUPE_5G140400 transcript:ONI07798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLQAPSTRSHLAPPSPLAAPKGNVGLRRPWDRFALKSSFFSSSHSLLLLSPKQQQKSLASSAAPKFSMRVASKQAYICRDCGYIYNDRTPFEKLPDKYFCPVCGAPKRRFRVYQPPVTKDANSKDVRKQRKAELQREEAIGKALPIAIVVGVVALVGLYFYINIGFQG >ONI07037 pep chromosome:Prunus_persica_NCBIv2:G5:10555443:10556275:-1 gene:PRUPE_5G096500 transcript:ONI07037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVHPLIIPSTTDEASSATGFASCSNNKYITSKRETFTIWMKSLVMQGSGCTAFDENGEIVYRIDNYDNKHSSEVYLMDLQGKLLFTLCEMKMCVFPSWKGYKSSGVNNNKPWFQVRKSCRSIFGKKGFSYKVTMRSDSSCYRLESLSGKSSEFRVTDSNGGVVAEAKRKQSRSGVVLGDDVFTLVVEPHVDHSFIMALVTIYGLIRHQI >ONI05856 pep chromosome:Prunus_persica_NCBIv2:G5:3070541:3074307:-1 gene:PRUPE_5G026900 transcript:ONI05856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYGLDFDLPSSQDVGSKAFGRRHEDAQARVSPGQMLLNADAAGNLTNFVYDHDIARKELVNFIIRAELPFKFVDSHDFKGLIQRVFCPQYKGISASTYNASNNDSTASILPSTLLLDSVYEKLFHVRCCCHILNLIVQDGLKVLSPSIDKIIDIVRSMNSSNKRHEIWVKCCSDFHKGKKNIDIDVPHRWNSTYKLLHVAIKYKAPLHRYVQKVNESRFCNLQVPSEEDWTIAQLVSGFLQIFYSSTKIFSEFPMVFCLATIMDYRFKLFAIAEWLNMIGIDQLTIDTKILALKTLLFQLFDIYKKNVIPEVVDDNQFSLLGLWKNNKSSYPIVSLMARDLLTVPASIVASESCFSAGGRVVSEKRASLSPSTIEALICLKDWALADSRKQDAAVDEQQAEELMNIRASRPDWMADSEVEIVNESENETIQS >ONI05410 pep chromosome:Prunus_persica_NCBIv2:G5:707797:710859:1 gene:PRUPE_5G006200 transcript:ONI05410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMEDAVMSELSGEDINEQGLPPGFRFHPTDEELITFYLASKVYNGSFCGVDIAEVDLNRCEPWELPDMAKMGDREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDRQVYSASTGALLGMKKTLVFYKGRAPRGHKTKWVMHEYRLHGHLSSYGGHACKDEWVICRINHKTGDKKIPLQLDQVEVEAASSNYNCLPPLLESPTAAAKPTFLQQGQCPHQSHNPMQMQSPHPLPPFLFRHQENDLKSLINPVVSQPHLFSSFPINALQTQSSFSPTTTNSNTALLTNDKNPSPLQSLLFKSLFSSHDQDCNNTIPKQCKTEPNYFSHFQTPANNNNNNCDLNSLNLMEKNHHHLHQPNTPYHQYSNHPNDPLLFDCLDYSVLGFPDAAGTATTVHEHDTCPSTAFNRAAFQTMLDLPPIKVTGESWPLDYIMDAK >ONI08643 pep chromosome:Prunus_persica_NCBIv2:G5:15675805:15676053:-1 gene:PRUPE_5G191000 transcript:ONI08643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTATQFDGFMPMWNQADCIMKKAKQIKSTDDVEGLELLRWEDQKEIRNYVQSGGPPDTITINYFFFLFLLLLSAVDKGMQR >ONI08274 pep chromosome:Prunus_persica_NCBIv2:G5:14512868:14517022:-1 gene:PRUPE_5G168800 transcript:ONI08274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPNNKKRRCGNMAAGVAFKYYSCSRYISSPEWASLPLLPVFLVLDNLFEPIDHVSFAAVCKQWRSLAKDYNQATQRWRHNNLLPMLLIPNEYECQGGGGGKNSLLLTKTNHRKALYSIAEGKIYNNIGLEVPFKKRSCGSSHGWFATIESVTDQGPIIALRDPFRNPASPILLPLLDVTLIPKKRDKYFHEFNVRKVIFSADPALNPENYVVVALLRKDNHFVGIYDEFAFIRMKRGRSQKRWTWIKPPHPVTDVISYQTQVHLLGHQGEIWSLDVSPYTSRIRRLKLLTHRDAGFHRYKATRYLVESTKGDLMHIERVCKQTQGAYKNVMTESFRVYKVVFDDEDGSVLQHVEVKSIGDDAFFIGDNHSVSVLASNFHGCQSNSIYYTHDFSSSSFVYGDHIQYDTLYDDMPCRDMGIFNLESGTITQHFSLDPYSDLQGYIPPAVWVVPQFNGLR >ONI07973 pep chromosome:Prunus_persica_NCBIv2:G5:13664068:13667085:-1 gene:PRUPE_5G150700 transcript:ONI07973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKNKKKRYEFEYCVVCKLNHNQGPSHKYIPGHTKSLSTFLSRFQDKLSDVRFFLQNPTPFRPELASRNRLWCVFCDTDIDELDSSFACSNAINHLASAEHLKNLKHFLWKYGGGMDRLDTFRISEDDLAMWEKKCKSLKNEAVPCGEKSRGPTFGPSNDIHNELKYGIIDTFENNSNSSFSNGVMPLLFHTNEHQVSHSGPPQVTNVGCFPQDVATSSLHGETCSGIYSINSKGLTVSSSTQHSFISNGRKCSADGYFSNERMSEVYQHERMTKGLSSSPGFQNLTQISSTCSKEASGNVHSGAPPPWLEADEEIQFTVPPKPASGTRISLSNKSRKSKKLNPKRVGAAWAERRKREMEMEKRGEIVKSDCDANWLPNFGRVWQSGSRKESRKEFELEKQKLPKVESEFEMPIKIQPYVSKRMRTNESE >ONI08628 pep chromosome:Prunus_persica_NCBIv2:G5:15581665:15582560:-1 gene:PRUPE_5G189700 transcript:ONI08628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESINIFKGYGKVNPDQLEDQTQTSNPKSPKPQKPIFSILTISALICLTLVIGLLLAALIHVSNSESPSLSNSNSAESAIKTICNVTRYPNSCFTSISSLNTSPKPDPETIFKLSLEISVAELSNVSSLLNTMNSDPATRDCVDQLEDALSRLNDSVSAMGQKALTVAKVNDIQTWISSAVTDQETCLDGLEEMGSTAVDKVKSKMKRSMECTSNSLAIVANFKAILDKFHIPLH >ONI06920 pep chromosome:Prunus_persica_NCBIv2:G5:9979585:9983812:-1 gene:PRUPE_5G089100 transcript:ONI06920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGWWKLFGAALVGILFFHGFCGAETAPNFSFMHNATTAPDISYYDYIIVGGGTAGCPLAATLSQNYSVLLLERGGTPYGNPNITNVSAFGRALSDLSPTSPSQRFISEDGVINARARVLGGGSCLNAGFYSRAATDYAREAGWDTRLVNESYQWVERLVAFQPPIQAWQSAVRAGLVQAGVVPYNGFTYDHIYGTKVGGTIFDLEGHRHTAADLLQYANPTGLTVLLHATVHKILFRTKGKSRPLAHGVVFRDASGTKHRAYLKSGPTNEIIVSSGALGSPQLLMLSGVGPAEHLRAHNITVVVDQPLVGQGMSDNPMNAIFVPSPIPVEVSLIQVVGITHFGSYIEAASGENFAGSSATRDFGMFSPKIGQLSTVPPKQRTPEALAKAVEYMNSLEAPAFRGGFILEKVMGPISTGHLELRTRNPNDNPSVTFNYFKDPQDLERCIQGLETIEKIIESKPFSKFRYDYLSLAALLNITANSPINLLPKHANVSRSLDQFCKDTVMTIWHYHGGCQVGRVVDYQYKVLGVDALRVIDGSTFIYSPGTNPQATVMMLGRYMGKRILDERLASDGN >ONI05692 pep chromosome:Prunus_persica_NCBIv2:G5:2124121:2128259:1 gene:PRUPE_5G019400 transcript:ONI05692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRNRDKFHVLYGYTQSQKRRVYCPKAKKVPFPNSQTVTHQKATRFPNSHSSKTQKLSFFPLFPLSAQKTKATKNLPLRVTNKHSHKPKSDSLPKQNPKFPFPSLCTFLSVPVMAQNRPKQQEVEGDEQDDLKKLMSSYLGLSLGIFLALAPTKFQELQNQVSELSARLWQAEEQVRQMRSRRKEDSKANARVVEIFASHRNAWQAEEKRLLNQIDAAHQEIAHLHGRIVELEKTQDESSARIQDLEREVGERDDMIGFMASRGGEAEEDDHFGLLQSQQQHQVKDDVNVYDNAIAFGFDSHLVADASKLWQDVQYESLEPLYHMKHFVPRESPWKLDGESTRVSSKLKLLEQELLNLENIGNSDLSKVPSLMRKQAKRYQALAGKIDDLCRRMQDSDPSEPTLSPEFRTQRQTEFLLESFRLQQRASETAQKLMAVQTEIGKSYYGGEVGNQAKLTTRRSLDSIRNNFKDIQRNLEIWLARIIGDLEGILARDGASRVREYYISRYPFVQ >ONI05691 pep chromosome:Prunus_persica_NCBIv2:G5:2124121:2128259:1 gene:PRUPE_5G019400 transcript:ONI05691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRNRDKFHVLYGYTQSQKRRVYCPKAKKVPFPNSQTVTHQKATRFPNSHSSKTQKLSFFPLFPLSAQKTKATKNLPLRVTNKHSHKPKSDSLPKQNPKFPFPSLCTFLSVPVMAQNRPKQQEVEGDEQDDLKKLMSSYLGLSLGIFLALAPTKFQELQNQVSELSARLWQAEEQVRQMRSRRKEDSKANARVVEIFASHRNAWQAEEKRLLNQIDAAHQEIAHLHGRIVELEKTQDESSARIQDLEREVGERDDMIGFMASRGGEAEEDDHFGLLQSQQQHQVKDDVNVYDNAIAFGFDSHLVADASKLWQDVQYESLEPLYHMKHFVPRRESPWKLDGESTRVSSKLKLLEQELLNLENIGNSDLSKVPSLMRKQAKRYQALAGKIDDLCRRMQDSDPSEPTLSPEFRTQRQTEFLLESFRLQQRASETAQKLMAVQTEIGKSYYGGEVGNQAKLTTRRSLDSIRNNFKDIQRNLEIWLARIIGDLEGILARDGASRVREYYISRYPFVQ >ONI07480 pep chromosome:Prunus_persica_NCBIv2:G5:12157075:12162980:1 gene:PRUPE_5G122500 transcript:ONI07480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMDILNSPRLHIPKPHTHFKSPNHSKRFNLIRKIQPQPPFPHRTLTFLCQSYSGPSSRSGDTSKAPQDDFVTRVLKENPSQIEPRYLVGDKFYTSKEKESLGKNSNVGFIELWAKRLKFSKAEPKKERTEGQNYSEVRDESVYLKDILREYKGKLYVPEQIFGTELPEEEEFERSLGELPTMSFEDFQKALKSDKVKLLTLKEVTGTSYGFTDFIVDLKEIPGQKSLHRTKWAMRLDEGEAQALLEEYTGPRYVIEGHATSLVGKLPRYPHPVASSISSRMMVELGMVTAVMAAAAVVVGGFLASAVFAVTSFVFVSTVYVAWPIAKPFIRLFLGLIFGILERVWDNLVDFFSDGGIFSKFSDFYTFGGVSSSIEMLKPITIVLLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPELFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKESSDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIKIRPPAAKGRLDILKIHASKVKMSESVDLSSYAQNLPGWTGAKLAQLVQEAALVAVRKGHESIRQSDLDDAVDRLTVGPKRVGIELGHQGQCRRSTTEVGVAITSHLLRQYENAEVECCDRISIIPRGQTLSQVVFHRLDDESYMFERRPQLLHRLQVLLGGRAAEEVIYGRDTSRASVDYLADASWLARKILTIWNLENPMVIHGEPPPWRKKVQFVGPRLDFEGSLYHDYDLIEPPVNFNLDDEVAKRTEELIHNMYDKTLSLLKRHHAALLKTVKVLLERKEISGEEIDFILNKYPPQTPLKLLFEEENPGSLKFIKQEQEQERELEYALLTQSKGETL >ONI08696 pep chromosome:Prunus_persica_NCBIv2:G5:15829978:15831079:1 gene:PRUPE_5G194800 transcript:ONI08696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTVSEAFGCQAISVVLILLIILNLLLILHLLSSFWYVLVPLFLCVLCDVLQIIVCSESSKGKTIQKRSCIAKKQEVNCLHENENENDVDDKKQLCTGELGQMMEKLGTLFDADGGEVVEGRLGSKEIADLFEEEPSLEEVKEAFHVFDENKDGFIDAGEINKVLCALGFVGASEVECKRMIKAFDHNGDGQIDFNEFVQLMEKSFC >ONI06516 pep chromosome:Prunus_persica_NCBIv2:G5:8052113:8052608:1 gene:PRUPE_5G065800 transcript:ONI06516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHMHTNRSPVTLSINDAIKLSLLSSLYEQHDRDTNQRSLSYMKIISLDKAFVTMKNMILLPGIYLTQS >ONI06637 pep chromosome:Prunus_persica_NCBIv2:G5:8671004:8671183:-1 gene:PRUPE_5G071800 transcript:ONI06637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMGSCFSFILGSVFGIYVAQNYNIPNIQKLSTKGLLIAKHIEETYWKLKKRDDENQN >ONI05624 pep chromosome:Prunus_persica_NCBIv2:G5:1699569:1703123:1 gene:PRUPE_5G015600 transcript:ONI05624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSTRRTLQFSSSSAKTLLCRTPSSPFASKASNLIELTAVKPTSAPRLAAQKLKLSRFPVELAGVQSLIPLHSATASALFTSLLSLHNNSWGCLSEDGAMPKRKH >ONI07280 pep chromosome:Prunus_persica_NCBIv2:G5:11545020:11548482:1 gene:PRUPE_5G111200 transcript:ONI07280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSFNVVEKVLERLASHAYHEIRLALDVKDDLIKLQETLSTIAKVVLDAEEKQRKDPLLADWLGKLKDVCYDMDDVLDQFEFRKLRMQVLNSRSNVKGKVRNFFSRPNSFMLSYKIGQRIKDIRERLGEIAAAKAQFNLYERAADWQGMHIERETHSFVHAPDVIGRDKDKEEMIMHLLNDNRTGHGEENVSVISINGLGGLGKTTLAKLVYNDNRVVGNFELRIWVCVSDDFDNKRLLREIVTAATSQKCVDESIEQMQIKLRHALTCKKLLLVLDDVWDKGPMGITMKKWIDLKSLLNVVANGSKIIVTTRNESVALLMGHAHMHLLKGLPHSDCMTIFIKVAFTKREQGDYPKLIKIGEDIVRKCGGVPLALYTLGGLLYSNKDERYWSHVRDSDIWKLEQGSDDILPALKLSYDALPIYLKPCFAFCSLYPKDYVFRSAELIPLLMAEGFIQSSKGNGNQELEDIGLDYIRQLCSRYFFQIEEDDFLFLRFRMHDLVHDLAISMARVEYSSLNFRPSDSSKMVRHVSISQKDLSKENEENPKFLLRLEKLRTILIPDLDSEYVPIKVGINSQSFLKKCISRINYLRVLDLSNLTLKVLPCSIGNLSHLRYLDVSYNQHINKLPDSICKLHHLQSLLLINCGKLKELPKDMGNLISLRYLALTIKKTHLPEAIGRLTSLRTLCVSACKNLKSLGKEMRSLTNLRMLVIVSCQNLESLSSCNMTTLETLVIYSCPKLNLMGSEEGIRGLQSFWIVGSNLTALPHWLQESANTLKTLQLGFCTYLQSLPEWFQNFPSLQKLKITNCSHLFALPEGMDRLTALRELEISNCPLVRRCRYEGEDWSKIAHVPKIILNGHRRLIRSHG >ONI09109 pep chromosome:Prunus_persica_NCBIv2:G5:17093177:17094704:-1 gene:PRUPE_5G218500 transcript:ONI09109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSFQYFLFLIFLSIYLYQHFFISPKKYKATGFTVYPIVGTLPSFLKNRHRFLDWTTEILTTCPTNTAVFRRPGKIGGIITANPSNVEHMLKTNFDNYPKGDRFISLIEDFLGHGIFNADGELWKVQRKTASYAFNTKSLRNFVMENVKTEIQSRLIPCLAKAAETGCRLDLQDVLERFAFDNVCKLAFNVDPVCLGGGDGERASGSDEFMKAFDDAATLISGRFLYAFAFVFKIKKFFNVGSERRLKESIATVHNFADSIIRSRMEIEELGDHQDLLSRFIGITEDNNSPEFLRDIIISIILAGRDTTSSALTWFFWLLSSRPRVQLAILKELATIRIRNGKSIGDTYNFDELRDMHYLHAAISEAMRLYPPVPVDTKACLNDDVMPDGTILRKGWFVTYHAYAMGRMEGIWGKNCREYSPERWLKDDDGTCQVESAFRFPIFHAGPRMCLGKDLAYIQMKSIAASVMERFEIDVPNKNTCPEHLLSLTLRIKGGLPVRVLSRNA >ONI06855 pep chromosome:Prunus_persica_NCBIv2:G5:9748427:9750840:1 gene:PRUPE_5G085700 transcript:ONI06855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKFLAFQIIYLLGLSFMLYLSIAVANEEAVLEPLAETNEEQNNMQTYIVWVKKPVQKYFFSKSHEDLESWYHTFLPTTIASSNQLKKPRMVHAYRNVATGFAAKLTPEEVKAMENKEGFLSAHPEQILPLHTTHSPNFLGLHQGLGVWKGSNYGEGVIIGVLDTGISPDHPSFSDEGVAPPPAKWKGKCDFNGTVCNNKLIGARNFQGGQTTGGPPVDDEGHGTHTSSTAAGNFVKGANVFGMANGTASGMAPYAHLAIYKVCSEEGCAEGDILAALDTAVEDGVDVLSLSLGGASVPFYADGIAIGAFGAIQKGIFVSCSAGNSGPFYASLANEAPWILTVGASTIDRSIKATALLGNGAEYDGESLFQPKDFSSKLLPLVYAGANGKQSSAFCDAGSLGNVEGAIVLCERGGGVARIDKGAEVKRAGGAAMILVNAETDGDSTLADPHVLPATHVGYVAGVKIKAYLNSTSSPAATILFKGTVIGDGLAPKITSFSSRGPSIASTGILKPDIIGPGVSILAAWPVSVDNGTEDETLKPADIFATGAGHVNPSKANDPGLIYDTKPEDYIPYLCGLNYTDEQIQVITQQRVNCSQVEAIPEAQLNYPSFSIIVGSSEDSKSQYYTRTVKNVGPANSTYNLDLFVPRNMGMSVNPEVLKFTEVNQEITFEAEFFAEDSAGKDGVPFAQGYLRWVSDQHSVTSPISVIFASK >ONI08695 pep chromosome:Prunus_persica_NCBIv2:G5:15826440:15829570:-1 gene:PRUPE_5G194700 transcript:ONI08695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSKEPKRRSRPSSPLRDRTAAPSSGFERSSSPKSRASRLTSPVSLLNRDLEELQELATSSNTNPRIFPYNVKQQCWEKAEKIRGRDPDRWRRDSLGNTVFRKLVGCPGCLCHDYDHIVPYSKGGQSTLDNCQVLQATVNRSKGNRTEFSRAELIQKSSYCRVSGRDMDLIELSAYGNVRHAPNSGGCRIQ >ONI06815 pep chromosome:Prunus_persica_NCBIv2:G5:9590231:9591752:1 gene:PRUPE_5G082700 transcript:ONI06815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSADDIHKLEESRDKILSLRDSGVRYCTVLRLLLNLLGLYTGDTDDGTLMEEALRESLNQEMFRPMPATRASVEALDKFVFDGGVLNGSSSDQHCVICLERMLSGDQVTCLPCSHMFHANCIEQWLRYGHICPLCRFKLPTDS >ONI09104 pep chromosome:Prunus_persica_NCBIv2:G5:17072230:17075365:-1 gene:PRUPE_5G218000 transcript:ONI09104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGAILCHISTLKDMLDQVNEEIESNIQITREIESEIVKCSEIENALAAKESDLTKTLYVSHFELTGLLAVTKDLRNSVEIMEKELCRLRMKRDETLNRIHDQRERFIAMCLEFQKDMDKANDNELCILLSEKEFLENEIHILEEKNNALKNSMLAFVEGILEDLISSNSALQVEIQSGSQENDKLLKDIHNMKSSLLSTIAIEDIRGARSWTVL >ONI07632 pep chromosome:Prunus_persica_NCBIv2:G5:12711193:12715427:-1 gene:PRUPE_5G132400 transcript:ONI07632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENAGVELGKSVGSASANRNPEAASQDGGAAAAAAASNDQDQSKHNAAPLRHRLDQSLYVMVTPPHNGNGNINGISSNNNGSNQKVGQLGTFDHVAKIAGQQLNHHHQHQQQRSNGGDFQMSNGDHHDVDRDMRELRELFSKLNPMAEEFVPPSLANGHGLNAGFVNMALMMQNGNRNGQVNGFGAGRRRNNQGKRRINSRTSLAQREDRIRRTVYVSDIDQQVTEEQLAALFVTCGQVVDCRICGDPNSVLRFAFIEFTDEDGARAALSLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRTEDEREMCARTIYCTNIDKKVAQADVKLFFESVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRSPRLPIH >ONI06178 pep chromosome:Prunus_persica_NCBIv2:G5:4966880:4967328:-1 gene:PRUPE_5G045800 transcript:ONI06178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQEFNLTFFSTTSAPRNITRITRSSSIVASSSILLNECQIV >ONI05934 pep chromosome:Prunus_persica_NCBIv2:G5:3469442:3470992:1 gene:PRUPE_5G029800 transcript:ONI05934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQSLGGSPGSKLHQAHGGAKEQALLLDDAPNRSNKDLLVFSTSTSSSISADDDHKASKPHRLSSPPPTAPHKSIHVIPVLTLLCFLILFLFSHSPSQSDLAQFNGFTKLPGKKRADATDNQIGNLSRFIDIRKSDVLAIRSLRNLQDTQGTRKLPPRSRSHRKIADF >ONI07897 pep chromosome:Prunus_persica_NCBIv2:G5:13422786:13428395:1 gene:PRUPE_5G145900 transcript:ONI07897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKEHVEEIRKKKFSIGAEAINPLTEDLHQAVKNLSAELYAKDIHFLMELIQNAEDNEYAEVVDPSLEFLITSRDITGTGAPATLLVFNNEKGFSHKNIESICSVGRSTKKGNRKRGYIGEKGINGYQIRFSEDPCVHCNLGYMVPEWVDTNPNLSDIKQLYGSASALPTTTLILPLKPDKVQAVQQQLSIIHPEVLLFLSKIKRLSVREDNEDPSLSTVCAIEIVSETDFVTRKNIDAQSYTLHLSAEESGKVFENECGYYMWKQKFPVKQECRVERRMEVDEWVITLAFPIGERLRRGMNTSSGIYAFLPTEMVTNFPFIIQADFLLASSRETILLDNKWNKGILDCVPTAFVNAFISLVRSIVDAPVSSLPHMFKFLPVQSSSYEELNVVRESIKAKIVEESIVPSEPHKEQKFFYKPREVGRLMPAFWNILRKAEDQGVSLINLSSHGRYVLSYSFDKVEYDHILSFLGVEPVDNEWYAKCIQGTSNLVTGVSDVVYLELLLFIADNWGSKFCRSSIKNISLIKCVGNGIKSLCSISAIQNSRSKVCLSINSCHVSWLIDWNCEFISVASFLFMPKITQEAIWSCSRKETLVKWLSEQVKVCSVSLYEYAVDLFDKSLNERKLVIAIAHFLYQSLCKSYISDREVDKLCRIMPLVDKYGSIIKYRARVIVPANGSKWAGLTDSNLWRKEGYVELREDYLDSGRFAGNITPQKKLLEFLKVQAGALDVPYISAPSDGISALSAQLTKQNTFLLLDWIHHLMYQGVRIPQKFLTCIKDGGWLKVTLNGSSGVRPPSQSFLLKSSWGNILQDGSVFVDIPLIDQSYYGERINSYKDELKKIGVRFEYAEACEYMGKHLMSLASSSTLTRDNVLSVLRFIKFLRDKYLSPDDFICSIKEGQWLKTSLGFRSPVGSVLSDKEWEIASKVSDIPFIDKAFYGGEICKFKNELELLGVVVSISKSYQLIIDNLKSPSRLTSLPAEAVLLMLECMQLSSSSEKLVRALKGIKCLKTTVGYKSPNECLLPQVEWGCILKVFSGLPLIDHNFYGKGIHSYRNELKKTGVVVDFDEAAKVFARYFRQYASSASITKENVEAFLSCYRKLEGTPFKFPADLKSCICKEKWLRTRLGDYRSPRECILFCSDWESISPICLLPFIDDSDTCYGKNIHEYKQELKSLGVVVEFKDGVKFVPSCLYLPQNPSSISQENALALLDCIHILLEEKDYSFPDVFTKKVSQAWLKAHDGYEPPSKCLLFDSEFGKYLKQTDGPFIDEEFYGSKITTYRKELSEIGVIVEVDKGCPLLASQLALRDELSTFVRVYSYLSEFKWKPDSKADKRIWFPKGNQNGEWVNPEECVIYDKDELFGLQLTVLEKYFEHNLLVFFSRAYGVKSCPSIEDYCRLWKVWENFEGGLLHDQCCKFWGYVSKHWNSKTEKTLAEALVKVPVNSGSAGILLCNKQDVFIADDLQLQYLFEQSSHQVFVWYPQPSLASLPRTKLLEIYREIGVRTISESVQKEELFLANDVELQLIPTEKLIGKALLRLILGFLACPPIKMEAEKRQKAVQGLANVAVVETSEPITVSYDLPLSSGKILNVRGSRKVRWDREDSKIFTEKMDRSGGYKSIIEFATYFSEAISEFVLWEIPDHIHALSELIKLAFVLDLDEEAVTFLMKSKNLQIFVEDEEFLNSAYRSE >ONI07524 pep chromosome:Prunus_persica_NCBIv2:G5:12324746:12329130:-1 gene:PRUPE_5G125700 transcript:ONI07524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASPSAPSFSASFCRTRTETPSTFTRIPSIFTHRAKPRPLTRRLALSARFNKSTSSDAGGSVSPDNGEPENGEPDDSELQYELFHGLSPQRCRKGSPVFVTLPLDTVGPLGLLRRPRAMVQSLKALAAAGVEGVVMEVWWGLVERDQPMLYNWEGYLEIVALARRCGLKVRAVLAFHQCGTGPEDPHWIPLPLWVLDEIDKDPDLAYSDRFGRRNMEYVSLGCDMLPVLLGRSPLQAYADFMRNFRDTFRPFLGVVITRIQVGMGPAGELRYPSCPTQKLTWTWRSRELGEFQCYDKYMLASLNACARAIGMPEWGNGGPIGAGNLMQNLEQTDFFKSHHGSWTTPYGNFFLEWYSGMLLLHGERICREAETIFRGTEASTSVKIAGIHWHYRTQSHPSEITAGYYNTRLRDGYLPIARMLAKYGFTLCCSCFELQDLEEQRMNPVGSPEGLLRQLLSAARVCDIPLEGETSTTSLDHQSFQQVVRMSKFYSYGLEKPSFSFNFVRMDKKMFEYHNWIRFNRFVRQMSDANAFRAKLEVDAMPDTRRSPTSISDVAKFGMGSTYC >ONI07917 pep chromosome:Prunus_persica_NCBIv2:G5:13477636:13483282:-1 gene:PRUPE_5G147000 transcript:ONI07917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVKQELLETSNVPHPTGKPNGVTSKVVPPPGWVIDLSTSSSSSSSSGESDSDDSDDVGGVSGGLAKKRKRDGMGVVLPVGFLSPLPPEGVAPMLPAPDAAVTRVENTGVVSRPRCKQFWKAGDYEGAPCGNWESTAGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEVCSGATYVNLDMVENKKDQSRMLLIEDNGGGMDPDKMRHCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSRCNGKDGKSATQSIGLLSYTFLKSTGKEDIVVPMLDYERRQGAWSKMLRSSLSDWNKNVETIVQWSPFSNEEDLHHQFYMMKNHGTRIIIYNLWEDDEGQLELDFDADPHDIQIRGVNRAEKNIQMAKEFPNSRFFLTYRHSLRSYASILYLRLPHNFRIILRGKDVEHHNIVNDMMMSQQVTYRPQPGADGIPKESNMVAVVTIGFVKDAKHHIDVQGFNVYHKNRLIKPFWRLWNAAGSDGRGVIGLLEANFVEPAHDKQGFERTTVLSRLEGKLITMQKNYWNNYCHKIGYAPRRNKKLINGSADKVTSDYFPETSPSKTNGATKSGSKRPLSVPDKLRSHAFQKVDLKSSKRFSKQAYHGHATVHISDEGTHRVQTPTKSGEGSSSSSEPSPPSTAMSDKQENDSSHKGFATKKDSGKDGQGVTRLSSCTEDIQSQQDCWPCGGTNLPTSRSKSKGNNVNGDCSVLEGDLGVVEQLRKENCELKESHRQLFPSI >ONI07918 pep chromosome:Prunus_persica_NCBIv2:G5:13477842:13483282:-1 gene:PRUPE_5G147000 transcript:ONI07918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVKQELLETSNVPHPTGKPNGVTSKVVPPPGWVIDLSTSSSSSSSSGESDSDDSDDVGGVSGGLAKKRKRDGMGVVLPVGFLSPLPPEGVAPMLPAPDAAVTRVENTGVVSRPRCKQFWKAGDYEGAPCGNWESTAGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEVCSGATYVNLDMVENKKDQSRMLLIEDNGGGMDPDKMRHCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSRCNGKDGKSATQSIGLLSYTFLKSTGKEDIVVPMLDYERRQGAWSKMLRSSLSDWNKNVETIVQWSPFSNEEDLHHQFYMMKNHGTRIIIYNLWEDDEGQLELDFDADPHDIQIRGVNRAEKNIQMAKEFPNSRFFLTYRHSLRSYASILYLRLPHNFRIILRGKDVEHHNIVNDMMMSQQVTYRPQPGADGIPKESNMVAVVTIGFVKDAKHHIDVQGFNVYHKNRLIKPFWRLWNAAGSDGRGVIGLLEANFVEPAHDKQGFERTTVLSRLEGKLITMQKNYWNNYCHKIGYAPRRNKKLINGSADKVTSDYFPETSPSKTNGATKSGSKRPLSVPDKLRSHAFQKVDLKSSKRFSKQAYHGHATVHISDEGTHRVQTPTKSGEGSSSSSEPSPPSTAMSDKQENDSSHKGFATKKDSGKDGQGVTRLSSCTEDIQSQQDCWPCGGTNLPTSRSKSKVTSSHFSSTYCFALDGYISDDRLLLMDFVQLAGE >ONI07916 pep chromosome:Prunus_persica_NCBIv2:G5:13476772:13483475:-1 gene:PRUPE_5G147000 transcript:ONI07916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVKQELLETSNVPHPTGKPNGVTSKVVPPPGWVIDLSTSSSSSSSSGESDSDDSDDVGGVSGGLAKKRKRDGMGVVLPVGFLSPLPPEGVAPMLPAPDAAVTRVENTGVVSRPRCKQFWKAGDYEGAPCGNWESTAGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEVCSGATYVNLDMVENKKDQSRMLLIEDNGGGMDPDKMRHCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSRCNGKDGKSATQSIGLLSYTFLKSTGKEDIVVPMLDYERRQGAWSKMLRSSLSDWNKNVETIVQWSPFSNEEDLHHQFYMMKNHGTRIIIYNLWEDDEGQLELDFDADPHDIQIRGVNRAEKNIQMAKEFPNSRFFLTYRHSLRSYASILYLRLPHNFRIILRGKDVEHHNIVNDMMMSQQVTYRPQPGADGIPKESNMVAVVTIGFVKDAKHHIDVQGFNVYHKNRLIKPFWRLWNAAGSDGRGVIGLLEANFVEPAHDKQGFERTTVLSRLEGKLITMQKNYWNNYCHKIGYAPRRNKKLINGSADKVTSDYFPETSPSKTNGATKSGSKRPLSVPDKLRSHAFQKVDLKSSKRFSKQAYHGHATVHISDEGTHRVQTPTKSGEGSSSSSEPSPPSTAMSDKQENDSSHKGFATKKDSGKDGQGVTRLSSCTEDIQSQQDCWPCGGTNLPTSRSKSKGNNVNGDCSVLEGDLGVVEQLRKENCELKERLEKKDGAASADLLQDLQCERDRCKSLETQLQAAQQKIVEMNKEQDTLIGIFSEERERRDNEEANLRKKLQDASNTIEELLDKVRALEVMKSANFKSNR >ONI08175 pep chromosome:Prunus_persica_NCBIv2:G5:14197151:14198141:1 gene:PRUPE_5G161600 transcript:ONI08175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENTFEPDLVHAIFKLVWSSRALERQMIEGTDALDCEVGAGTSKKNRPTSANGNAVKLSCELLRNFVIEAVQRAATIAEAEGVSKIEATHLERVLPQLLLDF >ONI07420 pep chromosome:Prunus_persica_NCBIv2:G5:11981148:11982977:-1 gene:PRUPE_5G119200 transcript:ONI07420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPITKIIAVVIISMVSGAATGADPQSPYCNIILDHLNPCLPYILQGEVKPAKVCCDGVLGLTQYTNAKGGQQNICDCVKAAAILMGAPVDNFSKISALPKSCGLSVTLPPISSGTDCSQVK >ONI06135 pep chromosome:Prunus_persica_NCBIv2:G5:4704001:4705187:1 gene:PRUPE_5G042600 transcript:ONI06135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDKKNFDVCSLLILYLANSKSEAMSEEPPSPSPSPSPAATSILSEENPANAYSSDEEKERRFTNFKKSFNFVKNFMKGPNKLYIVGLNLFANVDEVEMEAFHYRVPIMDNLPLVISSLKGVHKILVEALHAITFNLQQPSMMSVQQLIDCNSSNYGCWGLNTKQNYPYWGIEGTCDVIKEKGDALNIDTYAIVTTRIEDKLAYTLYEQPMVVSVNAHNSKFEKYKGGIFHEECIIKNSWGEGGFMKLLKNDGTLGGHCGIALDASYPALYEDYVE >ONI06702 pep chromosome:Prunus_persica_NCBIv2:G5:8920681:8922051:-1 gene:PRUPE_5G075200 transcript:ONI06702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELIFHRRMLEYPCLTADPQAADAIYVPYYAGIDALHYLYGPDYNSSSEQGLNLFEFLTTDSPESWNRKMGHDHFMVLARPAWDFSQPLGNDPPLWGTSLLELPQFYNVTALTVEARAWPWQEHAVPYPTSFHPPNLSLLESWVQRARRSRRTTLMMFAGGGGVGRNPTIRRSIRNECENNTMNKNVKNVNNVGMYGSGVGGFSKLCDVVDCSNGICEHDPIRFMRPMLQASFCLQPPGDTPTRRSTFDSIVAGCIPVFFEESSARAQYRWHLPEDQFGEFSVFIAKEDVVFKETRILDVLRSIPRGKVRRMRERVLEMIPRIMYRKHGSSVGLRAKKDAFDIAIEGVLQKIKSRGQVFAQ >ONI06904 pep chromosome:Prunus_persica_NCBIv2:G5:9907216:9914510:1 gene:PRUPE_5G088000 transcript:ONI06904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSHNPLSLSLNEQKNLEGGDQTSIRAMASSSTEEQGQEQQQPQPQPQQQQQQQQQPVKECVHKTKLIQFLGRSAPIVLQNDNGPCPLLAICNVLSLRNSLNLSPDTTEVSQEKLLSLIAERLIDSNSNIDNKDAGYVENQQQNIADAIDLLPQLATGIDVNIKFRRIGDFEFTPECAIFDLLDIPLYHGWIVDPQDDDTANAIGSKSYNALMGELVALETWNMESECKNTPEEDCVDFAAATTATLGVPSPCLSKTRSFDESPLSASDEPKARKGDLEEEAELIMALKMSEGELTTTVGDPLGATTDNAPPSVGSDGSTRPENVMCVDSVDKSEKHTAEYNNIHLSDLSVPEDCNASRNESINLISFDTTPGQTACSSPLETNKAENIDQPTYLKSQEHLSNDLVAKSTTNESVQIESGISFFPGRDTESLDENHTDGSRGDEKFESQAKLTTDAHGSLDKQNGRNMAQASCLSAPNVGLDSSSGRVQQTDALDTLTSSGGEPIYEGEERILDSVTTVLQDKEPVYEGEVVLANQADKSPLDARSKGEITPQQGELIRNFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKFNGELYLLATDQGYINQPDLVWEKLNEVNGDTLFMTGNFKEFKVENHANDTWDENNAVTTTAF >ONI06902 pep chromosome:Prunus_persica_NCBIv2:G5:9907216:9914510:1 gene:PRUPE_5G088000 transcript:ONI06902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSHNPLSLSLNEQKNLEGGDQTSIRAMASSSTEEQGQEQQQPQPQPQQQQQQQQQPVKECVHKTKLIQFLGRSAPIVLQNDNGPCPLLAICNVLSLRNSLNLSPDTTEVSQEKLLSLIAERLIDSNSNIDNKDAGYVENQQQNIADAIDLLPQLATGIDVNIKFRRIGDFEFTPECAIFDLLDIPLYHGWIVDPQDDDTANAIGSKSYNALMGELVALETWNMESECKNTPEEDCVDFAAATTATLGVPSPCLSKTRSFDESPLSASDEPKARKGDLEEEAELIMALKMSEGELTTTVGDPLGATTDNAPPSVGSDGSTRPENVMCVDSVDKSEKHTAEYNNIHLSDLSVPEDCNASRNESINLISFDTTPGQTACSSPLETNKAENIDQPTYLKSQEHLSNDLVAKSTTNESVQIESGISFFPGRDTESLDENHTDGSRGDEKFESQAKLTTDAHGSLDKQNGRNMAQASCLSAPNVGLDSSSGRVQQTDALDTLTSSGGEPIYEGEERILDSVTTVLQDKEPVYEGEVVLANQADKSPLDARSKGEITPQQGELIRNFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKFNGELYLLATDQGYINQPDLVWEKLNEVNGDTLFMTGNFKEFKVENHANDTWDENNAVTTTADYLASIDSASQAGFDMNSDLQLAIALQQQEFDQQPQRQNSQQPSISGNSRMVTGPQVTRSNPRTPTSSSARPEAKSKEKCTLM >ONI06903 pep chromosome:Prunus_persica_NCBIv2:G5:9907216:9913569:1 gene:PRUPE_5G088000 transcript:ONI06903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSHNPLSLSLNEQKNLEGGDQTSIRAMASSSTEEQGQEQQQPQPQPQQQQQQQQQPVKECVHKTKLIQFLGRSAPIVLQNDNGPCPLLAICNVLSLRNSLNLSPDTTEVSQEKLLSLIAERLIDSNSNIDNKDAGYVENQQQNIADAIDLLPQLATGIDVNIKFRRIGDFEFTPECAIFDLLDIPLYHGWIVDPQDDDTANAIGSKSYNALMGELVALETWNMESECKNTPEEDCVDFAAATTATLGVPSPCLSKTRSFDESPLSASDEPKARKGDLEEEAELIMALKMSEGELTTTVGDPLGATTDNAPPSVGSDGSTRPENVMCVDSVDKSEKHTAEYNNIHLSDLSVPEDCNASRNESINLISFDTTPGQTACSSPLETNKAENIDQPTYLKSQEHLSNDLVAKSTTNESVQIESGISFFPGRDTESLDENHTDGSRGDEKFESQAKLTTDAHGSLDKQNGRNMAQASCLSAPNVGLDSSSGRVQQTDALDTLTSSGGEPIYEGEERILDSVTTVLQDKEPVYEGEVVLANQADKSPLDARSKGEITPQQGELIRNFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKFNGELYLLATDQGYINQPDLVWEKLNEVNGDTLFMTGNFKEFKVENHANDTWDENNAVTTTADYLASIDSASQAGFDMNSDLQLAIALQQQEFDQQPQRQNSQQPSISGNSRMVTGPQVLFFCLIISVTPQLFADYMLGFCS >ONI07238 pep chromosome:Prunus_persica_NCBIv2:G5:11355010:11355591:1 gene:PRUPE_5G107600 transcript:ONI07238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAESLHSADELKRQKKIKLAIYITIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVESFVSDSAAPSFDTKFTTQIKIKNSANWGSYKFNAANITFLHQGATLAVIDIAKGKAGWLSTIKRNAEVSLNSSGITGSNFGTELSSGVLTLNSVGRLNGKVAIMFIMKKKKAANMNCTIAFDVAAKTLKSLECK >ONI05565 pep chromosome:Prunus_persica_NCBIv2:G5:1402329:1404004:1 gene:PRUPE_5G013100 transcript:ONI05565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSILYSMLCMLAFVVFFLFLIHSLISKLFFTPSSPKLPLPPGSLGWPYVGETFQLYSQNPNVFFASKQKRFGSIFKTHILGCPCVMISSPEAAKFVLVTRSHLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLRAFMPEAIRSIVPDIESIAKDSLQSWEGRLVNTFQEMKTFTFNVALLSIFGKDEILYREDLKRCYYILEKGYNSMPINLPGTLFHKSMKARKELAQILAKIISTRRQRKQVEDHKDLLGSFMGDKEGLTDQQIADNVIGVIFAARDTTASVLTWIVKYLGENPSVLQAVTEEQEAIMRTKEEEEGDDEGNQKALSWSDTKKMPMTSRVIQETLRVASILSFTFREAVEDVEYEGQLKKLK >ONI05564 pep chromosome:Prunus_persica_NCBIv2:G5:1402010:1405454:1 gene:PRUPE_5G013100 transcript:ONI05564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSILYSMLCMLAFVVFFLFLIHSLISKLFFTPSSPKLPLPPGSLGWPYVGETFQLYSQNPNVFFASKQKRFGSIFKTHILGCPCVMISSPEAAKFVLVTRSHLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLRAFMPEAIRSIVPDIESIAKDSLQSWEGRLVNTFQEMKTFTFNVALLSIFGKDEILYREDLKRCYYILEKGYNSMPINLPGTLFHKSMKARKELAQILAKIISTRRQRKQVEDHKDLLGSFMGDKEGLTDQQIADNVIGVIFAARDTTASVLTWIVKYLGENPSVLQAVTEEQEAIMRTKEEEEGDDEGNQKALSWSDTKKMPMTSRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSPEIFPEPEKFDPSRFEVAPKPNTYMPFGSGTHSCPGNELAKLEILVFLHHLTTKYRWSMVGAQNGIQYGPFALPQNGLPIRLSPKT >ONI05670 pep chromosome:Prunus_persica_NCBIv2:G5:1998002:1999723:-1 gene:PRUPE_5G018500 transcript:ONI05670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSRSPTKRPRLSQNDVVGHGHQPLLPGLPDDIAQVCLSLVHPSVLFSVCTSWRRLIYSSSFPPFLSLYALLTSSSSPNSIQFYSFDPLSSNWHALPATPPDPPLRLFLRHPSFISRNLPIQSVSVSGNLVLVAATTSNLLPALPRPLVFDPVAKKWAFGPPLATPRRWCSAGALGGAVYVASGIGSHFSIDVARSVEKWDLTKNKKHPNDHLGWEWEKARGLRDGRFSRDAIEAVGWRGKLCMVNLKGDAVKEGLMYDVEKDTWQDMPEGMIGGWKGPVAAMDEEVMYVVDEAKGALRRYNPEKDVWVEILESERLIGADQIAGGGGRVCVVCRGKILVVDVTAAPPSFRDVKTPLGLEAVAIHILPRMSHAV >ONI09605 pep chromosome:Prunus_persica_NCBIv2:G5:18439569:18448784:1 gene:PRUPE_5G246400 transcript:ONI09605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSCLLWLSVGFVAGVVAILAAEVLVVYVVVNRLQHKTKQHQEKEAIQKSQEIESKPDLHPRQALEFASIKEGAVWVLDPEKVPKNWQEKALREQKRKKEFFEVSPVKKYAKIKNQLLILTESDGSQTAIQLKGCTIEAVSATSLPSRKWAKRFPIKLDSKSTIIYKGSKVFYIYLETSWEKESWCKALRLASSNDKEKLDWFAKLHEEFRCYLTLLNAGYPSLMKHSGGFSSAEPVDRENRIDGSSSKVRMFLKKITKKYSKVGPDNKLSWTSSLGREERKISEKNRTCQDSISFSSLVDASPPVKRAKSFTEGNLAIPPSSTLTHSGSQSHISVISDADSDEKFSTDEATLCWNLLISRLFFDAKSSVEMKKTIKAQIQRTLSNMRTPSYIGEVICTDINTGNLPPYIHGMKVLPTDMNDVWALEVDIGYYGGAVLNVETRLEVRELDFQKGSEDSSPESGSVRDVSTELLEEFEYFGKQLNLAEGTADVLEHKEECDPKPDGSKSSKSNMSSSNYGSRWKSLLNSIAKQVSQVPLSLEIRIASLRGTLRLHIKPPPSDRLWFAFTSMPDIDFSLDSSVGDHKITSGRIALFLISRLKTAIRETLVLPNFESVCIPWMLAEKDDWLPRTVAPFIWLNQECVNDPTTVCEVPICQPTEGKYKTEANKGTSSDHSQPKDKKLKKAESIGQPIGESSDALVFSASSNDPSAGSTDATIQELRTPLLGNGEPQDTFKHKLGETPESQSPSPSRSTILLDKENHTIEEDESRRKRMGRKARMLDLGKKMGEKLEEKRRHIEEKSRNIVEKMRGP >ONI09604 pep chromosome:Prunus_persica_NCBIv2:G5:18439569:18448784:1 gene:PRUPE_5G246400 transcript:ONI09604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSCLLWLSVGFVAGVVAILAAEVLVVYVVVNRLQHKTKQHQEKEAIQKSQEIESKPDLHPRQALEFASIKEGAVWVLDPEKVPKNWQEKALREQKRKKEFFEVSPVKKYAKIKNQLLILTESDGSQTAIQLKGCTIEAVSATSLPSRKWAKRFPIKLDSKSTIIYKGSKVFYIYLETSWEKESWCKALRLASSNDKEKLDWFAKLHEEFRCYLTLLNAGYPSLMKHSGGFSSAEPVDRENRIDGSSSKVRMFLKKITKKYSKVGPDNKLSWTSSLGREERKISEKNRTCQDSISFSSLVDASPPVKRAKSFTEGNLAIPPSSTLTHSGSQSHISVISDADSDEKFSTDEATLCWNLLISRLFFDAKSSVEMKKTIKAQIQRTLSNMRTPSYIGEVICTDINTGNLPPYIHGMKVLPTDMNDVWALEVDIGYYGGAVLNVETRLEVRELDFQKGSEDSSPESGSVRDVSTELLEEFEYFGKQLNLAEGTADVLEHKEECDPKPDGSKSSKSNMSSSNYGSRWKSLLNSIAKQVSQVPLSLEIRIASLRGTLRLHIKPPPSDRLWFAFTSMPDIDFSLDSSVGDHKITSGRIALFLISRLKTAIRETLVLPNFESVCIPWMLAEKDDWLPRTVAPFIWLNQECVNDPTTVCEVPICQPTEGKYKTEANKGTSSDHSQPKDKKLKKAESIGQPIGESSDALVFSASSNDPSAGSTDATIQELRTPLLGNGEPQDTFKHKLGETPESQSPSPSRSTILLDKENHTIEEDESRRKRMGRKARMLDLGKKMGEKLEEKRRHIEEKSRNIVEKMRGP >ONI07599 pep chromosome:Prunus_persica_NCBIv2:G5:12536069:12537115:1 gene:PRUPE_5G129500 transcript:ONI07599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNFILTVAGVSAVVLLLRSDVKQSATIFRRNVKHIRKWLEEESANAPKITKELESKASPKEIPKEDKH >ONI08629 pep chromosome:Prunus_persica_NCBIv2:G5:15582756:15584524:-1 gene:PRUPE_5G189800 transcript:ONI08629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARMLLTLDEKNPRRIFEGEALLRRMNRYGLLEEGQNKLDYVLALTVENFLERRLQTIVFKTGMAKSIHHARVLIRQRHIRVGRQVVNISSFLVRCDSEKHIDFSLTSPLGAGRPGRVKRRNMKAAAKKAAGGDADEEDED >ONI09283 pep chromosome:Prunus_persica_NCBIv2:G5:17536851:17538984:-1 gene:PRUPE_5G228900 transcript:ONI09283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEEASKFSEEANAYPMTGGDGPNSYAKNSTLQRGAGDAAKELLNEAIAEKLDIDILSSSNTFHIADLGCSVGPNTFFAVENIIEAVKFKFQNQGLNSQIPEFQVFFNDHTQNDFNMLFRSLSQNRQYYAAGVPGSFYGRLFPDASIHFFHSSYALQWLSRVPKEVVDKNSPAWNKGRIHYSNSTDEVVRAYEAQHAEDMECFLNARAQETADGGVTVLLIPGRPNGTPHSHPVGNVSFQLIGSCLMDMARKGVVSEEKVDAFNIPVYAMSPQELEAAVEGNGRFSLEKMETLPHVSTHGTVSVTQLVVSHLRAALEGRITQQFGEEIVDELFELYLKKLDEQPSILASGTAIIFLVVLKRKAN >ONI08355 pep chromosome:Prunus_persica_NCBIv2:G5:14777284:14777942:-1 gene:PRUPE_5G173500 transcript:ONI08355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHVISISDRMGLELCSEQKNCVHGQWSSACWGEAH >ONI08996 pep chromosome:Prunus_persica_NCBIv2:G5:16770692:16771654:1 gene:PRUPE_5G211200 transcript:ONI08996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNESKGPHYLTSHSIPTQSHPNPVHNLDSISLCSTRFFPLSHIHDFSPNTSSLSNETAFDEAGEQSINYERRLKRMISNRESARRSRMRKKKQIEELQYQVDQLHATNRQLSEKLIQLLEGNQQILQENAQLKERVSSLQILLADLITPLRNVGDVITTTTNGNRLRAEDSSTP >ONI09212 pep chromosome:Prunus_persica_NCBIv2:G5:17372521:17377299:1 gene:PRUPE_5G224100 transcript:ONI09212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSGLQFGNVRGEDRFYIPVKARKRYNNQQKQARKAKNDANENPKELPKSKVVVSEKRSPKEPPNTLAKPSSESSVKPSSNLDRLLESTTPSVPAQYFSKTTMRGWRTCDVEFQPYFTLNDLWESFREWSAYGAGVPLVLNKSDSVIQYYVPYLSGIQLYGESSVKSNAKSRQVGEDSHVDYDLDSSSDASSDYEVEKHKKTAKEQQALHRLNMDVPIRMGGLSIHDEHPLLQEGFSSDDGEAGNSCGVLLFEFLEQDAPYGREPLADKISDLACQYPGLKTLRSCDLLPGSWMSVAWYPIYRIPMGPTLKDLEACFLTYHSLSTPTAVSGSMQAPVMVYPTEMDGVPKISLPVFGMAAYKLKRTTWTQNGVMECQLANSLMQAAGNWLTLLRVNHPDFQFFASHGMYCR >ONI07892 pep chromosome:Prunus_persica_NCBIv2:G5:13368420:13375260:1 gene:PRUPE_5G145400 transcript:ONI07892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPREHIEEIRMKKFSIGGELNPLSEDLHHAVEHLSAELYSKDVHFLMELIQNAEDNQYSEGVDPSLEFVLTSRDITGTGAPATLLVFNNEKGFSPTNIESICGIGRSTKKGNRNQGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFNEEPCMHCDLGYIVPEWVEESPTLSDITEIYGSGSALPTTTLILPLKPDKVKPVKQQLSSMHPEVLLFLAKIKRLSVREDNEDPRLNTVTAISISSETDFVTRKNIDADSYTLHLSAEENGNELETECSYYMWKQKFPVKQECRDEKRMEVDEWVITLAFPYGERLNRGTSSPGVYAFLPTEMFTNLPFIIQADFLLASSRENILLDKKWNQGILNCVPSAFINAFLSLVKTIEDAPVSSLPPFFRFLPVQDSRYDELNVVRESIKAQLVEKDIVPCEPHKEQKFFHKPCEVGRLLPDFWNILIEAREVGVSLPNLSSHGKYVLCHSFDQEEYDHILSFLGVEPVDDEWYAKCIQSSNLVVGVSEDVYLELLLFIADNWWPKFLCTNIKNIPLIKYVDLDEDVSLCSLSSMQTGKKNVCLSRHSCHVSWLIDWNREFISAASLLFMPKRTQEAIQLCSNKDKLVKWLAEEMKVAAVNVHEYAVCLYKSNGIERKPAIAYAHFLYHSFCKSYISDLEIVDLCGKMPLVDNYGDVIRQRRGVIVPANESKWAGFTDSNLWKEDGFVQLGEDYMNPGRFAGQITEQKQLLEFLKDHAGASDVPYISAPNACLPALSATLSIEKVFLLLDWIRHLRYQRVHIPEKFLKCIKEGSWLKVTLNGFSVCRPPSESFVLTPSRGNILQNGSVFVDIPLVDKNYYGERIDGYKEELKTIGVMFEFGEACEFIGKHLMSLAASSTLPRGNVLSILHFIKLLRDKCLPPDDFIRSIRKGQWLKTESHGYRSPDGSVLFDQEWILASKISDIPFIDREVYGEEILDFKTELELLGVVVSFNKNYQLVADHLKSPSCLTSLAPEAVLLMLQIMHISNSSNKIVEALRGKKCLKTNNGYKSPSECLLFHPEWGCLLQVFSGVPLIDHNLYGDIIFSFRDELRKIGVVVDFEEAAKVFAHHFRQASITKENVEAFLSCYRKLEGTPFKFPADLKSCICKEKWLRTRLGDYRSPRECILFCSDWESLSPICRLPFIDDSDTCYGKNIHEYKQELKSLGVVVEFKDGVKFVPSCLYLPQNPRSISRENALALLDCIHILLEEKDYSFPDVFTKKVSQPWLKAHDGYEPPSKCLLFDSEFDKYLKQTDGPFIDEEFYGSKITTYRKELSEIGVIVEVDKGCPLLASQLALHDELSTFVRVYSYLSEFKWEPNSKADKRIWIQKGNQNGQWVNPEECVLYDKDELFGLQLTVLENYFDHNLLGFFSSAYKVKPRPSIDDYCKLWKVWESSETGLSHDQCCKFWGYVSKSRSLKTEKALPEASVKVPENKSKTEKALSEALVKVPVTSGSDEILLLNNQACLTCHGLHCLKCIGKLVFELFLNLYRRKNCP >ONI07891 pep chromosome:Prunus_persica_NCBIv2:G5:13368420:13375260:1 gene:PRUPE_5G145400 transcript:ONI07891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPREHIEEIRMKKFSIGGELNPLSEDLHHAVEHLSAELYSKDVHFLMELIQNAEDNQYSEGVDPSLEFVLTSRDITGTGAPATLLVFNNEKGFSPTNIESICGIGRSTKKGNRNQGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFNEEPCMHCDLGYIVPEWVEESPTLSDITEIYGSGSALPTTTLILPLKPDKVKPVKQQLSSMHPEVLLFLAKIKRLSVREDNEDPRLNTVTAISISSETDFVTRKNIDADSYTLHLSAEENGNELETECSYYMWKQKFPVKQECRDEKRMEVDEWVITLAFPYGERLNRGTSSPGVYAFLPTEMFTNLPFIIQADFLLASSRENILLDKKWNQGILNCVPSAFINAFLSLVKTIEDAPVSSLPPFFRFLPVQDSRYDELNVVRESIKAQLVEKDIVPCEPHKEQKFFHKPCEVGRLLPDFWNILIEAREVGVSLPNLSSHGKYVLCHSFDQEEYDHILSFLGVEPVDDEWYAKCIQSSNLVVGVSEDVYLELLLFIADNWWPKFLCTNIKNIPLIKYVDLDEDVSLCSLSSMQTGKKNVCLSRHSCHVSWLIDWNREFISAASLLFMPKRTQEAIQLCSNKDKLVKWLAEEMKVAAVNVHEYAVCLYKSNGIERKPAIAYAHFLYHSFCKSYISDLEIVDLCGKMPLVDNYGDVIRQRRGVIVPANESKWAGFTDSNLWKEDGFVQLGEDYMNPGRFAGQITEQKQLLEFLKDHAGASDVPYISAPNACLPALSATLSIEKVFLLLDWIRHLRYQRVHIPEKFLKCIKEGSWLKVTLNGFSVCRPPSESFVLTPSRGNILQNGSVFVDIPLVDKNYYGERIDGYKEELKTIGVMFEFGEACEFIGKHLMSLAASSTLPRGNVLSILHFIKKGQWLKTESHGYRSPDGSVLFDQEWILASKISDIPFIDREVYGEEILDFKTELELLGVVVSFNKNYQLVADHLKSPSCLTSLAPEAVLLMLQIMHISNSSNKIVEALRGKKCLKTNNGYKSPSECLLFHPEWGCLLQVFSGVPLIDHNLYGDIIFSFRDELRKIGVVVDFEEAAKVFAHHFRQASITKENVEAFLSCYRKLEGTPFKFPADLKSCICKEKWLRTRLGDYRSPRECILFCSDWESLSPICRLPFIDDSDTCYGKNIHEYKQELKSLGVVVEFKDGVKFVPSCLYLPQNPRSISRENALALLDCIHILLEEKDYSFPDVFTKKVSQPWLKAHDGYEPPSKCLLFDSEFDKYLKQTDGPFIDEEFYGSKITTYRKELSEIGVIVEVDKGCPLLASQLALHDELSTFVRVYSYLSEFKWEPNSKADKRIWIQKGNQNGQWVNPEECVLYDKDELFGLQLTVLENYFDHNLLGFFSSAYKVKPRPSIDDYCKLWKVWESSETGLSHDQCCKFWGYVSKSRSLKTEKALPEASVKVPENKSKTEKALSEALVKVPVTSGSDEILLLNKCDVFLPDDLQLKDLFEKSSTHPLFVWYPQPSLPDLPRTTLLEMYRKIGVRAISESVQKEELSVENGVDEQVIPTEKLIGKELLKLILGFLACPPNEMEAGKRQKAVQGLLNLAVVETTEPITVNYNLPLSSGETLNVRASRKIRWDREMSKFFTQKIDRSGGHKSIIEFATYFSQVISEGVLWEHTDHIPALSELIKLAFVLEFNEEAVDFLMKSKNLQIFIEDEEFLNSTFPSPT >ONI07890 pep chromosome:Prunus_persica_NCBIv2:G5:13368420:13375260:1 gene:PRUPE_5G145400 transcript:ONI07890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPREHIEEIRMKKFSIGGELNPLSEDLHHAVEHLSAELYSKDVHFLMELIQNAEDNQYSEGVDPSLEFVLTSRDITGTGAPATLLVFNNEKGFSPTNIESICGIGRSTKKGNRNQGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFNEEPCMHCDLGYIVPEWVEESPTLSDITEIYGSGSALPTTTLILPLKPDKVKPVKQQLSSMHPEVLLFLAKIKRLSVREDNEDPRLNTVTAISISSETDFVTRKNIDADSYTLHLSAEENGNELETECSYYMWKQKFPVKQECRDEKRMEVDEWVITLAFPYGERLNRGTSSPGVYAFLPTEMFTNLPFIIQADFLLASSRENILLDKKWNQGILNCVPSAFINAFLSLVKTIEDAPVSSLPPFFRFLPVQDSRYDELNVVRESIKAQLVEKDIVPCEPHKEQKFFHKPCEVGRLLPDFWNILIEAREVGVSLPNLSSHGKYVLCHSFDQEEYDHILSFLGVEPVDDEWYAKCIQSSNLVVGVSEDVYLELLLFIADNWWPKFLCTNIKNIPLIKYVDLDEDVSLCSLSSMQTGKKNVCLSRHSCHVSWLIDWNREFISAASLLFMPKRTQEAIQLCSNKDKLVKWLAEEMKVAAVNVHEYAVCLYKSNGIERKPAIAYAHFLYHSFCKSYISDLEIVDLCGKMPLVDNYGDVIRQRRGVIVPANESKWAGFTDSNLWKEDGFVQLGEDYMNPGRFAGQITEQKQLLEFLKDHAGASDVPYISAPNACLPALSATLSIEKVFLLLDWIRHLRYQRVHIPEKFLKCIKEGSWLKVTLNGFSVCRPPSESFVLTPSRGNILQNGSVFVDIPLVDKNYYGERIDGYKEELKTIGVMFEFGEACEFIGKHLMSLAASSTLPRGNVLSILHFIKLLRDKCLPPDDFIRSIRKGQWLKTESHGYRSPDGSVLFDQEWILASKISDIPFIDREVYGEEILDFKTELELLGVVVSFNKNYQLVADHLKSPSCLTSLAPEAVLLMLQIMHISNSSNKIVEALRGKKCLKTNNGYKSPSECLLFHPEWGCLLQVFSGVPLIDHNLYGDIIFSFRDELRKIGVVVDFEEAAKVFAHHFRQASITKENVEAFLSCYRKLEGTPFKFPADLKSCICKEKWLRTRLGDYRSPRECILFCSDWESLSPICRLPFIDDSDTCYGKNIHEYKQELKSLGVVVEFKDGVKFVPSCLYLPQNPRSISRENALALLDCIHILLEEKDYSFPDVFTKKVSQPWLKAHDGYEPPSKCLLFDSEFDKYLKQTDGPFIDEEFYGSKITTYRKELSEIGVIVEVDKGCPLLASQLALHDELSTFVRVYSYLSEFKWEPNSKADKRIWIQKGNQNGQWVNPEECVLYDKDELFGLQLTVLENYFDHNLLGFFSSAYKVKPRPSIDDYCKLWKVWESSETGLSHDQCCKFWGYVSKSRSLKTEKALPEASVKVPENKSKTEKALSEALVKVPVTSGSDEILLLNKCDVFLPDDLQLKDLFEKSSTHPLFVWYPQPSLPDLPRTTLLEMYRKIGVRAISESVQKEELSVENGVDEQVIPTEKLIGKELLKLILGFLACPPNEMEAGKRQKAVQGLLNLAVVETTEPITVNYNLPLSSGETLNVRASRKIRWDREMSKFFTQKIDRSGGHKSIIEFATYFSQVISEGVLWEHTDHIPALSELIKLAFVLEFNEEAVDFLMKSKNLQIFIEDEEFLNSTFPSPT >ONI07244 pep chromosome:Prunus_persica_NCBIv2:G5:11387643:11388496:1 gene:PRUPE_5G108200 transcript:ONI07244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKTNDAESLQSSDELKRQKKIKMAIYITIFVVFQIIVITAMSLTVMKVKTPKFRLGGNINVQSFESVPKTPSFDMKFTTQIRIKNSANWGSYKFNSANVTFQYQGATVGVIDIAKGKVGWLSTIKRNVEVSLSSSAITGSNLGSELSSGVLTLNSVGRLNGKVAIMFIMKKKKATNMNCTIAFDVAAKMLKSLECK >ONI06940 pep chromosome:Prunus_persica_NCBIv2:G5:10079683:10080225:-1 gene:PRUPE_5G090400 transcript:ONI06940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPSRKQSRYHGIRSRGGKWVSEIREPRKTRRIWLGTFPTAEMAAAAYDVAALALKRGDAVLNFPSSMDSYPVPASTSPQDIRSAAAAAAAAAALQGNEEPDNSLKLIEKKTKYEYDMMMMSSTSLASSVGMDEEELFGMPNLLANMAEGMLVSPPRPSDYPDSSGNSDGLDSRLWSY >ONI06013 pep chromosome:Prunus_persica_NCBIv2:G5:3878285:3880222:1 gene:PRUPE_5G034100 transcript:ONI06013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNNVIGAINFVAMLLSIPIIGAGIWLATEPDNSCVKILQWPVIILGILFLVVGIAGFVGAFWRIPWLLISYLIAMLVLIVLLAALVVFIYMVTIRGSGHLEPSRSYLEYHLDDYSGWLRRRVRSPWKWDRIRSCLSSTNMCGELNQSYRMAQDFFNAHITPLQSGCCKPPTQCGYTFVNPTYWISPINNAADMDCLNWNNDQTQLCFNCDSCKAGLLANIKKEWRRTDIILLITLVALISVYLIGCCAFRNAKTEDLFRKYKQGYT >ONI06149 pep chromosome:Prunus_persica_NCBIv2:G5:4829564:4829911:-1 gene:PRUPE_5G043800 transcript:ONI06149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSVSACLASLLISLMWVAEARIPGVYSGGAWEAAHATFYGGSDASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCSACFEI >ONI07580 pep chromosome:Prunus_persica_NCBIv2:G5:12497917:12500294:-1 gene:PRUPE_5G128800 transcript:ONI07580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIHFSSTIHRLKPPPPHPSQLCNLKPSFLSKSNKSPNTRKKIGTRYRTCRAEFSNDAPFAAAIGACMLSSLVLPVTTPEDDGGGGSPMDSTDARFAVMGIVSFIPYFNWLSWIFAFLDTGKRRYAVYALVYLVPYLRSNLSLSPEESWLPIASIVLCIIHVQLEASIKNGDLQGFQLFSEAAKHTSFTSRKKDLTGHEGTSEEGRKRENKNLPSSEEIGRWGVPKNPLQDHEHSNEDWDDDERSKH >ONI07581 pep chromosome:Prunus_persica_NCBIv2:G5:12498956:12500192:-1 gene:PRUPE_5G128800 transcript:ONI07581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIHFSSTIHRLKPPPPHPSQLCNLKPSFLSKSNKSPNTRKKIGTRYRTCRAEFSNDAPFAAAIGACMLSSLVLPVTTPEDDGGGGSPMDSTDARFAVMGIVSFIPYFNWLSWIFAFLDTGKRRYAVYALVYLVPYLRSNLSLSPEESWLPIASIVLCIIHVQLEASIKNGDLQGFQLFSEAAKHTSFTSRKKDLTGHEGTSEEVLTT >ONI08424 pep chromosome:Prunus_persica_NCBIv2:G5:15010947:15013340:-1 gene:PRUPE_5G177600 transcript:ONI08424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVSRYFRRTLFSAAKSETSAAAASARTTGHNPLEEFFEADRSQDEEKPVVYGRSWKASELRLKSWDDLNKLWFVLLKEKNMLMTQRQMLQAQNLRFPNPERLPKVRKSMCRIKHVLTERAIEEPDPRRCAEMKKMINAL >ONI06943 pep chromosome:Prunus_persica_NCBIv2:G5:10105430:10105987:-1 gene:PRUPE_5G090700 transcript:ONI06943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCGNHTRYHGIRCRGGKWVSEIREPRKTRRIWLGTYPTPEMAASAYDVAALALKGGDAVLNFPSSIALYPVPASRSPEDIRSAAAAAAAAAAAVSAALQSNEEANNSLELKESPKKQEDNMTTMMNSTSLASSVGMDEEELFGMPNLLAEMAEGMLLSPPRPPDYPESGNSDGGESGLWSF >ONI06657 pep chromosome:Prunus_persica_NCBIv2:G5:8758007:8758369:-1 gene:PRUPE_5G073400 transcript:ONI06657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRPALALFLAVNLLFFSLVNANNPSPAPNRVACPRDALKLGAAVCLCTSINARILGINLNIPVALSLLLSACGDKLPDGFQCSS >ONI07674 pep chromosome:Prunus_persica_NCBIv2:G5:12844804:12846324:1 gene:PRUPE_5G135000 transcript:ONI07674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHVAMWPAFVCFLISPTQHSMSPKAKWTRHPSGSAHIQRVFHQRCPKEKSNGSDQLGFHFLFRYYNLITDCTHSCRNQKSDIKREEEEEVTKKLVGFCYGFQNFGVKDRALTATVQRQPIP >ONI09570 pep chromosome:Prunus_persica_NCBIv2:G5:18354858:18355715:-1 gene:PRUPE_5G245100 transcript:ONI09570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHQRVHPAGDVEAPPTTVSAPLAVPQPQGHRHGSSITTTTEKEKFDKDQKEEIDQQEHHPLQIQARSSLPVNIHAKKPPTNLKRSTSTCSCTCWSRCMYCTIGILVFVFIIIGATAGLLYLIFHPKLPNYSVDSLKISDLRLNLDMTLYAKFDVKITANNPNKKIGIHYEQGGRLSVWYTNMKLCQGTLPKFYQGHQNKTLLNVALTGQTQYGNTLMNALQQQQQTGRIPLDLKVDAPVAIQLGRLKLRKVRILGECLLVVDSLTANNLISIKANNCRFRLKL >ONI07622 pep chromosome:Prunus_persica_NCBIv2:G5:12668485:12670120:1 gene:PRUPE_5G131500 transcript:ONI07622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMMMRTSSATSSPETSRNETINSIEPITTSTCPSWKLYENPFYNSHLRHQNQPQQQQQQCQSSSSNKQQVHHCLHLPISARKLAATFWDLTFFKPVMESEMDYTRAQIIELKAELEYERKARKKLESSNKRLAKELGEERRGREAIERVCEELAREISFGKSEISRMKKEIEEERKMLRMAEVLREERVQMKLAEARILFEEKLLELEGCKQMQSTENSHFKIKDKSEDVNAASFSGKSASNDKNIGVDCSRDSMSLVVLGEKSSAFSGDHNNDYVSSVSATTSRSVLLGEKAACSDNSGGFSSMAIQKRASPEPENPHIKRGIKGFVEFPRVVRAIGSKSRHWGTKLECQKAQLRILLKQKSPIRSNSFIIS >ONI08369 pep chromosome:Prunus_persica_NCBIv2:G5:14832670:14833212:1 gene:PRUPE_5G173900 transcript:ONI08369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILWQSYVQEKRSGGGNGCRHYWKFQLQLKTINEDWREREHRTKLSITPQGTFSFFRGRHFVGSHDVNQASLYSV >ONI08367 pep chromosome:Prunus_persica_NCBIv2:G5:14827131:14829898:1 gene:PRUPE_5G173800 transcript:ONI08367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAASGPLISLSPSFNTYSSSDRLAQIAARVVSELTFQDHQLTHDEPDWESLYATHHLEEEQEQVTQQQQQQHRQPQNDGVHEEAEQEEEEFEFAFVGRDAHTSPISADEIFSNGQIRPVYPLFDQGLLKEHNDVVSPKNVNGDADAVNKKNSEAVTTPKQRRLPLRMLMIEEERETASCSSSEADDLENLPPGTYCVWTPPKTKSSSAGSSKRWKFRDLLYRSSSDGKETFMFLAPSSTKRADNKNNNKDDVVSKKRVGGGGVSQNEERFVVRSKAAEEGDKRRSFLPYRQDFVGFFSNVNGLSRNLHPF >ONI08368 pep chromosome:Prunus_persica_NCBIv2:G5:14827131:14829898:1 gene:PRUPE_5G173800 transcript:ONI08368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAASGPLISLSPSFNTYSSSDRLAQIAARVVSELTFQDHQLTHDEPDWESLYATHHLEEEQEQVTQQQQQQHRQPQNDGVHEEAEQEEEEFEFAFVGRDAHTSPISADEIFSNGQIRPVYPLFDQGLLKEHNDVVSPKNVNGDADAVNKKNSEAVTTPKQRRLPLRMLMIEEERETASCSSSEADDLENLPPGTYCVWTPPKTKSSSAGSSKRWKFRDLLYRSSSDGKETFMFLAPSSTKRADNKNNNKDDVVSKKRVGGGGVSQNEERFVVRSKAAEEGDKRRSFLPYRQDFVGFFSNVNGLSRNLHPF >ONI09526 pep chromosome:Prunus_persica_NCBIv2:G5:18248819:18250430:-1 gene:PRUPE_5G242900 transcript:ONI09526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNAGAEPQRIYEDFEPYCKWNKPDTVEIHLPAGFRKEHLKVQTNNVGILTIHGERPLNLMNTWSRFHKEIKLADKNCDPNEVRAKLAGGVLTVTMPQKVSNVHISNPPPKNTTPSTQKEKQVVPADHLQQDKTTIKDQISDYNNNNNNGCKGQRTSSCGTSTALLSRSKLVGKDVALKLGVAVAVAVVVVAFGFGAYVVKYYKHGHPYSYSY >ONI07523 pep chromosome:Prunus_persica_NCBIv2:G5:12320296:12321291:-1 gene:PRUPE_5G125600 transcript:ONI07523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNIKTCLLLLLLFLDTSSGSRVKGFRHVIYPANPPREVIGDMEMRELIAAHAMLDYEKPIPNPRHEKGRPGGGN >ONI08577 pep chromosome:Prunus_persica_NCBIv2:G5:15429431:15431534:-1 gene:PRUPE_5G186500 transcript:ONI08577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTPGASFNIGKEARDLLYKDFAYQPPVRFDYRSLNWNSDVSCKVKLIAPGLSTLFSFTIPDYGRVELQYENPFAGIAGGMGLIRNSSNTYDPVANFSGVVGIGGSSLFTIGADLAVDIATGAFDNFNAGLSFNSAFATVSLSLDKLDTLKASWYHMVNPLTNTALGAELKHSFSTNDTALAVGARHAFLPSTLAKARVSTHGSVGVVIQQGFWQKIFMSISGEVDFVGMNKSPKIGLSLAVRL >ONI08649 pep chromosome:Prunus_persica_NCBIv2:G5:15707710:15708763:-1 gene:PRUPE_5G191600 transcript:ONI08649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENKVTLYGMWISPYVKRVEFALRLKGIPYEYVEEDLRNKSPSLLKLNPVRQEVPVLVHNGKAIVESLVILEYIDETWKTGPQLLPEDPYKRSQVRFWASYLQQVFESMVSVLKSSGEAQEKAIKEVTEKLNLLEEGLKGFFPNGFLNSFDKENVGLLEVVIFSHFGSYEAQEEALGVKFITLEKTPLIYSSVTALTEIPAVKAERNSHEKVVAFLKFVRRNALRSSAE >ONI07028 pep chromosome:Prunus_persica_NCBIv2:G5:10515183:10517237:-1 gene:PRUPE_5G095800 transcript:ONI07028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSGTQQKCKACDKTVHFIDQLSADGVTYHRPCFKCSHCNGQLSMSSYSSMEGVLYCKPHFEQLFRESGSFSKKLTCGKSQTELGRTPSKLSSMFSGTVDKCAVCTKTVYPLEKVTMEGEFYHKSCFRCNHGGCFLSPSNCAALDGILYCKHHFAQLFKEKGSYNHLTKTASVKKNGAPMPEMKPGEADSEKAQEVAEDPKQEAEGEAEAAQDSAPQGQS >ONI07029 pep chromosome:Prunus_persica_NCBIv2:G5:10515207:10517237:-1 gene:PRUPE_5G095800 transcript:ONI07029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSGTQQKCKACDKTVHFIDQLSADGVTYHRPCFKCSHCNGQLSMSSYSSMEGVLYCKPHFEQLFRESGSFSKKLTCGKSQTELGRTPSKLSSMFSGTVDKCAVCTKTVYPLEKVTMEGEFYHKSCFRCNHGGCFLSPSNCAALDGILYCKHHFAQLFKEKGSYNHLTKTASVKKNGAPMPEMKPGEADSEKAQEVAEDPKQEAEGEAEAAQDSAPQGQS >ONI09107 pep chromosome:Prunus_persica_NCBIv2:G5:17087419:17088468:-1 gene:PRUPE_5G218300 transcript:ONI09107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFSTTLLLNSQNFCAFSFCAHWVWQLIPSTCPGDVFSGGGSRGSAEFCFGFVCYLGLGLGLSPFGL >ONI08081 pep chromosome:Prunus_persica_NCBIv2:G5:13988430:13990042:1 gene:PRUPE_5G157500 transcript:ONI08081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGFFTRIGKSWSQLEKGLNDGVSKSKVGKYYKLEARKSCFTKELRAGLATFLTMAYIITVNATIIADSGGMCSIADCSAPANQTATADCMLKPNEGYQSCLSKTKSDLLVATIVSAMIGSIAMGVLANLPLGLAPGMGPNAYLAYNLVGFHGTGNMSYQTALAVVLVEGCVFLAISAFGIREKLARLIPQPVRLACAAGIGLFIAFVGLQIHQGVGLVGPDPSTLVTITACANTNPQTGECLGGKMHSPKFWLGSAGFLITCYGLIKEIKGSMIYGIVFVTLISWFRGTHVTVFPNTPVGDTSYNYFKKVVDFHKIQSTAGAVSFSNFNRSEVWVALATLLYVDVLATTGTLYTMAEMGGFVNDEGGFEGEYLAYLVDAGSTIVGSALGVSPIATYVESSAGLREGGRTGLTAVTIGLCFFVSLFFIPLLSSVPPWAIGPSLVMVGVMMMKVVKDINWGNMKEAVPAFITMLLMPLTYSIANGIIGGIGLYIALNLYDYLVVLLKWLAKMRRMVVKEHNQVSATAAVDSANEII >ONI06659 pep chromosome:Prunus_persica_NCBIv2:G5:8781048:8784920:1 gene:PRUPE_5G073600 transcript:ONI06659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCTLPETQEKLTLPDAWDFKGRPAERSKTGGWTAAAMILGGEACERLTTLGIAVNLVTYLTGTMHLGNATSANTVTNFLGTSFMLCLLGGFVADTFLGRYLTIAIFATFQAMGVTILTISTTIPSLRPRKCTSDTGTPCIPASGKQLMVLYIALYLTALGTGGLKSSVSGFGSDQFDESDKQERRQMTNFFNWFFFFISIGSLAAVTVLVYIQDNLGRQWGYGICVCAIVLGLIVFLSGTRRYRFKKLVGSPLTQISGVCVAAWRKRNMELPSDMSFLYNVDDIDDGLKKKKKQKLPHSKQFRFLDKAAIKEPKTTSGTAMIINKWSLSTLTDVEEVKLIIRMLPIWATTIMFWTVYAQMTTFSVSQATSMDRHIGKSFQIPPASLTAFFVGSILLTVPVYDRLIVPMARKALENPQGLTPLQRMGVGLVFSIFAMVAAALTEVKRLNIARSHGLTDNPTAEIPLSVFWLVPQFFFVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSLLVTIVHKTTGHNKPWLADNLNQGKLYDFYWLLALLSALNLVIYLFCANWYVYKDKRLAEEGIELEEPEICAHA >ONI06660 pep chromosome:Prunus_persica_NCBIv2:G5:8781336:8784920:1 gene:PRUPE_5G073600 transcript:ONI06660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGNATSANTVTNFLGTSFMLCLLGGFVADTFLGRYLTIAIFATFQAMGVTILTISTTIPSLRPRKCTSDTGTPCIPASGKQLMVLYIALYLTALGTGGLKSSVSGFGSDQFDESDKQERRQMTNFFNWFFFFISIGSLAAVTVLVYIQDNLGRQWGYGICVCAIVLGLIVFLSGTRRYRFKKLVGSPLTQISGVCVAAWRKRNMELPSDMSFLYNVDDIDDGLKKKKKQKLPHSKQFRFLDKAAIKEPKTTSGTAMIINKWSLSTLTDVEEVKLIIRMLPIWATTIMFWTVYAQMTTFSVSQATSMDRHIGKSFQIPPASLTAFFVGSILLTVPVYDRLIVPMARKALENPQGLTPLQRMGVGLVFSIFAMVAAALTEVKRLNIARSHGLTDNPTAEIPLSVFWLVPQFFFVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSLLVTIVHKTTGHNKPWLADNLNQGKLYDFYWLLALLSALNLVIYLFCANWYVYKDKRLAEEGIELEEPEICAHA >ONI09490 pep chromosome:Prunus_persica_NCBIv2:G5:18156285:18162524:1 gene:PRUPE_5G241000 transcript:ONI09490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEDYSEATGSNASVAAADCSLKGDAQSKPVIELKELSSFGNDHTPKIRKPYTITKQREKWTEEEHHKFLEALKLYGRGWRQIEEHVGTKTAVQIRSHAQKFFSKVSKESSGPSEGSITPIEIPPPRPKRKPVHPYPRKSVDSLIGSPERSPSPHFSVSGKGQESPTSVLSALGSDVLGSAALDQPSRSATPTSCTTDMRSTILSHVEKENDYMTSNSSTEEVKESVPSVQLSADSTPEKFACMKHGSGSNHIVSTEGDAGSPRASSSIKLFGRTVLVSDSERQSPPGSVTKDSKAKQENCQRENDKLAQTLRLDELDTRLSLGGIGEHQKQSPNSQKVNPDAPPPWWFMHNGLIPFFQVRSCNQNSVQIHANSCADETMKEMETSLSHDGSVSAVEILAEKHLEAADALREETRSLPCSSRKGFAPYKRCLADRNMNSSGEMRDRQRARVCS >ONI05970 pep chromosome:Prunus_persica_NCBIv2:G5:3653837:3659420:-1 gene:PRUPE_5G031800 transcript:ONI05970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRFGIGYALAPKKQQSFIQESLVGLARSRGIDLVRIDTERSLADQGPFDCVMHKLYGNDWKRQLEEFRVKNPNAVIIDSPEEIERLHNRISMLQVVSELKIEHESDTFGIPKQIVIYDKETLFDRQAWEGLKFPVIAKPLVADGSAKSHKMALVFNHDGLDNLKPPIVLQEFVNHGGVIFKVYVVGEHVKCVKRKSLPDVSEEEKLGSLDGLLSFSQISNLANNERTDDKYYKMMQLDDTEMPPQSFITDIARGLRQGLRLNLFNFDVIRDARFGNRYLIIDINYFPGYAKMPGYETLLTDFFCDIMQKKEKDGAENTGLDSYEVLSCDDEVRKIVSSDGDDGGDLNVEEENSIKV >ONI09319 pep chromosome:Prunus_persica_NCBIv2:G5:17656298:17657917:1 gene:PRUPE_5G231700 transcript:ONI09319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLAVWKNTDAIIMNHDGPFVLGCCRRRVANLKNSHKHGNWHGIGFIFTFTRLELEMEFASCTLRYLVCLYCIILVN >ONI07902 pep chromosome:Prunus_persica_NCBIv2:G5:13438622:13442193:-1 gene:PRUPE_5G146300 transcript:ONI07902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEPHHRLRASPAGAADAAGLVRTYKTWKGSNRFLLGGRLIFGPDVRSLPLTVFLIVVPVAVFCGLVARKLIDHLGISVIVAVCVLTLLALVLLLLTSVRDPGIVPRNVHPPEPEDYDASIEGGSNETQQSRFPRMKEVVINGIIVKIKYCDTCMLYRPPRCSHCSICNNCVQRFDHHCPWVGQCIGLRNYRFFFMFVFSATLLCVYVQGFCWVYVVRIMHSEDISIWKALIKTPASIALIIYSFIAFWFVGGLTMFHSYLISTNQSTYENFRYRYDGRDNPFNRGTIENFMEIFCTKIPPSRNNFREKIPKDPPVLPTPIDANFVNPVMGKAMSDIEMGRKPLWNEASAELGDYSNDDGVNKDPRSSEADASQDLSGTLPTESTERHVASHPRRSSWGRRSGSLDISPEVLAMAAGVGDSRRVTDGINGNFRTQTEQSQISS >ONI07709 pep chromosome:Prunus_persica_NCBIv2:G5:12873744:12874574:1 gene:PRUPE_5G135700 transcript:ONI07709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNESVKNCSHDAGKHKQLDREIRDMVSVITNRVTDLHKSGSVNQEDDDETGVRIITLAGNNNGASLRSELDHENNNKPAGLHGGGPAFGEQPDGLSTYVNSNFQSVNNSLMMGGSYSTNDPGVRVDITDVVEPHGYHIKPEKRGWKGKKKEKEKERENIHSDQHHEHDDE >ONI08738 pep chromosome:Prunus_persica_NCBIv2:G5:15986488:15989945:-1 gene:PRUPE_5G198100 transcript:ONI08738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSKKCTAATAVDGEGKKQRIAEVKAGLDDADTLLRKMDLEARSLQPSVKAALLAKLREYKTDFNNLKSQVKRITSPVANHAARDELLEPGRAETLTTSNDQRGRLLMTTERLNQSTDRVKESRRTMLETEELGVSILQDLHQQRQSLLHAHNTIHGVDGNISKSKRVLTEMTSRMNRNKWIVGSIIGVLALAIMLILYLKLTR >ONI07974 pep chromosome:Prunus_persica_NCBIv2:G5:13670896:13672491:-1 gene:PRUPE_5G150800 transcript:ONI07974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVIGRSKWQGQRANHGQPHTFTWRTSRPSDSSIRYHRSSKAAVQDALLEGPNSLDLQLSISVRPIRAASSSDHCVVSKPVCDFDVLKPKTAGCVEALKWQAAEQIRLAAMEKAYAERVRELTRREMELAQSEFTRARHMWERAREEVEKAERMKEKAMLQMDSTCMEITCQSCRQRFRP >ONI05664 pep chromosome:Prunus_persica_NCBIv2:G5:1916351:1917731:1 gene:PRUPE_5G017900 transcript:ONI05664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLCPLLFPVNRFEPELITPAKPTPLETKLLSDIDNQVGIRFHYSVIMTYKNNPSMKGNDAVMVIKEALSRALVFYYPLAGRLREGPNRKLMVDCNGEGVEANADVTLEQLGDTILPPCPPLVLFLFNPLGSDGILDCPLLSCYFRYWLCITFFILGLVATTR >ONI09227 pep chromosome:Prunus_persica_NCBIv2:G5:17415629:17418489:-1 gene:PRUPE_5G225300 transcript:ONI09227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATTFSNLSLSPKLPTFLLPNTPSTKPRTLKLKITFCLSLKQSNDQTLTTQNAAVDNLRVVFGAGGTGGHIYPAVAIADELKNTKPTTQILFLGSPNSMESTAIPSAGYDFDTVPTTKLHRPLLSPKNIFLPYNLIRSIIHSYSKLRDFEPHIVFGTGGYVSFAIGLAAKLKGAKLVIQEQNAVPGIANWVLSILADAVFVAFDSTIDCFPRGKTKCVVCGNPVRLSLKKQVSKAVARGRFFPSSCEIGELEKAKVLLVLGGSLGANAINIAVLNLYYQMLLENENLFIIWQTGVEAHNEMESLVKNHPHLLLTPFMHSMDTAYAAADLVVSRAGAMTCYEILATGKPSILIPSPNVAEGHQFKNASLMADLAGASVITEDELDSTTLGSAIEEILGDESKMAELSERALKTANSSASVEIAQHILSLVNLSTSKEK >ONI09228 pep chromosome:Prunus_persica_NCBIv2:G5:17414898:17418883:-1 gene:PRUPE_5G225300 transcript:ONI09228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATTFSNLSLSPKLPTFLLPNTPSTKPRTLKLKITFCLSLKQSNDQTLTTQNAAVDNLRVVFGAGGTGGHIYPAVAIADELKNTKPTTQILFLGSPNSMESTAIPSAGYDFDTVPTTKLHRPLLSPKNIFLPYNLIRSIIHSYSKLRDFEPHIVFGTGGYVSFAIGLAAKLKGAKLVIQEQNAVPGIANWVLSILADAVFVAFDSTIDCFPRGKTKCVVCGNPVRLSLKKQVSKAVARGRFFPSSCEIGELEKAKVLLVLGGSLGANAINIAVLNLYYQMLLENENLFIIWQTGVEAHNEMESLVKNHPHLLLTPFMHSMDTAYAAADLVVSRAGAMTCYEILATGKPSILT >ONI09535 pep chromosome:Prunus_persica_NCBIv2:G5:18274841:18279824:1 gene:PRUPE_5G243600 transcript:ONI09535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSHAFPSIPSSCCNCRISNLKEDHKVCVWGFSREICDNEIGVSRVNRKKDNNNLTGRWTVNAVDTHIVETAPPRTTVEIPITCYQLIGVPDQAEKDEVVKSVMDLKSAEIEEGYTMDAVASRQGLLMDVRDKLLFEPEYAGNIKEKIPPKSSLRIPWAWLPGALCLLQEVGEVKLVQDIGRVAVQHPDAKPYVHDLLLSMALAECATAKIGFEKNKVSQGFEALARAQSLLRSKKSLGKIALLSQIEESLEELAPACTLELLGMPHSPENAERRRGAIAALRELVRQGLGVETSCRVQDWPCFLSQAFNRLMASEIVDLLPWDDLAITRKNKKSLESQNQRVIIDFNCLYMVLIAHIALGFSSKQKELIDKAKTICECLTASEGTDLKLEENFCLFLLGQGNEAMVVEKLQKLELNSNSAARNPISGKEVKHTCGANQTLEMWLKEAVLAVFPDSRDCPPSLANFFGGERRTPLSKKSKVAPQNLPILSHRPISTTLVSERRDFDESLSHMNSSQHLGTAVKQLAPTDLQSPLILGKTGSGNSASASSVQLKRNLGMHHDKVWNGWVAKGVLVGRITFVAVLGCIVFASLRLTGMKGNKMRNGYKWGPSKPNMHTSSISWTTDSSVDSSLVPAYIKGNGLAGRLKKFLVTFMKQVRTCSDAENPQISYLSSSTSVFRRLMSIEEAEDLVKQWQAIKAEALGPSHEIDSLCEILDQSMLVQWQALADAAKARSCYWRFVLLQLSVLRAEILSDEVGGEIAEIEAVLEEAAELVNESEQKNPSYYSTYKIWYVLRRQADGSWRFCEGKVQTPS >ONI09221 pep chromosome:Prunus_persica_NCBIv2:G5:17399260:17402452:-1 gene:PRUPE_5G224900 transcript:ONI09221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRALLNNVSLYARNRLLSLPTCNPNPSSSLVPLAASTRSLLRLFSSEDDSSAGSSNPSADSRVIPTNKKDAPVEVQDINNKELKVRIEKYFKGDEEALPAILEAILQRKLAEKHEETDDELIDELQMKPLDNVKDQEFESDFEEMHDTDEEIDNLYNARDIVMNRMVKDEYYNMDENKWNDIVEDAIHHGIMKDTKECEAILEDMLSWDKLLPDDMKRKVEEKFNDLGDMCERGELEPEEAYKLFKEFEDEIVMEYVQKMEADGPPKFDETAVPDEKKDLDDPPGEGPILRWQTRVVFAPGGDAWHPKNRKVKLSVTVKELGLSKHQFRRLRELVGKRYNPGKDELTITSERFEHREENRKDCLRTLLSLIEEAGKANKLAEDARVSYVKERLRANPAFMERLRNKTMGLQGKSTVPA >ONI08647 pep chromosome:Prunus_persica_NCBIv2:G5:15692674:15697621:-1 gene:PRUPE_5G191400 transcript:ONI08647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADKGKKLKLSEKAEDDNSDHIDEKLVLSIEKLQEVQDELEKINEEASDKVLEVEQKYNEIRKPVYDKRNDIIKSIPDFWLTAFLSHPALGELLTEDDQKIFKHLTSLEVEDFKDVKSGYAITFHFSPNPYFEDAKLTKTFTFLDEVTKVSATSIKWKEGMGIPNGVNHDKKGNKRPQAEESFFSWFSDSQQKDILDDEIHDEIAEVIKEDLWPNPLTYFNHEADDEDFDDEEADEEGKNEDDDSEEDDDDQEDDEDGDEDDGK >ONI07218 pep chromosome:Prunus_persica_NCBIv2:G5:11276699:11279310:-1 gene:PRUPE_5G106800 transcript:ONI07218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHGLVFVWVLVLWCVLVNMDGLALAMEDETEGLSSSLAANCNYGDALGKALLFFEGQRSGKLPTGQRVTWRGDSACTDGTPENVNLVGGYYDAGDNVKFGWPMAFSVSLLSWAAVEYRQQISSTNQLPYLRKAIRWGTNFILKAHTSPTTFYTQVGDGNADHQCWERPEDMDTPRTLYKITSSSPGSEPAAEAAAALASASIVFKVANSKYSATLLSHSKSLFDLADQHRASYQGYCPFYCSYSGYQDELLWAAAWLYKASGDIKYLNYVLTNQGWSQAVNEFSWDNKFAGAQTLLAKEFHRGKTNLAKFKTDAESFVCALMPGSSSVQIRTTPGMHAHLISQ >ONI07217 pep chromosome:Prunus_persica_NCBIv2:G5:11275783:11279277:-1 gene:PRUPE_5G106800 transcript:ONI07217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHGLVFVWVLVLWCVLVNMDGLALAMEDETEGLSSSLAANCNYGDALGKALLFFEGQRSGKLPTGQRVTWRGDSACTDGTPENVNLVGGYYDAGDNVKFGWPMAFSVSLLSWAAVEYRQQISSTNQLPYLRKAIRWGTNFILKAHTSPTTFYTQVGDGNADHQCWERPEDMDTPRTLYKITSSSPGSEPAAEAAAALASASIVFKVANSKYSATLLSHSKSLFDLADQHRASYQGYCPFYCSYSGYQDELLWAAAWLYKASGDIKYLNYVLTNQGWSQAVNEFSWDNKFAGAQTLLAKEFHRGKTNLAKFKTDAESFVCALMPGSSSVQIRTTPVQCGSAFFSASQIRAFAKTQVDYILGKNPKNMSYMVGFGAKYPTHLHHRGSSIPSMKVHPTKVDCDGGYSSYYSSPNPNPNTHVGAVVGGPDVNDQFGDARSDYSHSEPTTYMNAAFVGAVAALVGQGNAVCL >ONI07003 pep chromosome:Prunus_persica_NCBIv2:G5:10457865:10460320:1 gene:PRUPE_5G094700 transcript:ONI07003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGTLEVTLVGAKDLKNMDTMGMMDPYVVFTYKDQEKKSQVANGQGSEPDWNETFLFTIAGAEDELRLKLYDEDSGSTDDSVGELTIPLDAIVTDSGCEGRMPATPYDVMRNDKVRGEITIGLFFNPEPGSGDRDYGSGDRDRDYGSGDRDCDEEEEDE >ONI06992 pep chromosome:Prunus_persica_NCBIv2:G5:10361502:10362185:1 gene:PRUPE_5G093600 transcript:ONI06992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFIKHYLPITFFLAIPLYSILSHAASFNIRNNCPYTVWAAAVPGGGRQLNRGQTWTLNVKPGTKMARIWARTNCAFDNSGRGRCQTGDCGGFLQCQAYGSPPNTLAEYALNQFGNKDFFDISLVDGFNVPMEFSPTSNGCTRGIKCAQDIKTQCPNELKAPGGCHNPCTVYKTDKYCCNSGRCGSTNLSKFFKDRCRDAYSYPKDDLTSTFTCPGGTNYKVVFCP >ONI08253 pep chromosome:Prunus_persica_NCBIv2:G5:14459898:14467427:1 gene:PRUPE_5G167300 transcript:ONI08253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQNPHQIRPLISYPIQNFPSSFQPSSPTLHFSTKTPPKPIYFHPLIPKSQPAHHPAPKTRTQDDGIPAEDVKILAKFKSRYNYIRVLEVSRRADHPFAGSRLLLLDNPGNIHSISFIFKSLTSTYFDVFATLPPILPPGPVGILGFGAGSAARSILELYPEVGVHGWELDPSVIAVGREYFGLSKLERQYPGRLIIHVGDAFKASTRDGFSGILVDLFRKGSLVPELQDPNTWEMLRKCLRKGGRIMVNVGGSCVEAEDSTRDGKVVMEDTLKAMHRVFGNKLFVLGLGNRQEDSSLALTGDLPDLEAWKKMLHLMFFHFHLLHLDLSKVYLSLSRTKQLHALILKTHLSHDPFYATKIVRFYAVNGDLHSACKLFDESPSQSVYLWNSIIRAHAQAHKFDEAFSLFTKMLRTEIKPDNFTYACIIRACSESFDLEGLKLVHCGVTVSGLGLDSICSSALVTAYSKLGLVDKASRVFYGTPQQDLVLWNSIISGYGNCGRWDKGLQLFSEMRSMEMMPDGYTIVGLLSGLSDSSLITIGQGLHGLCLKCNLDSNAHVSSVLVSMYSRCMCMNSAHRVFSGLFQPDLVTWSALITGYSQSGDYGKALFFFKNLNMEGKKADSILIASVLAAGAQIANVGPGCEIHAYVLRHGLESHVMISSALIAMYSKCGFLGMGTRVFEIMPEKNIISYNSLILGLGLHGLASEAFRMFDEILRNGLKPDEYTFTALLGACCHAGLVKDGREIFRRMKDEFCIQPRTEHYVHMVKLLGMEGGLEEAYNLILSLPEPVDCGIWGALLLCCDVCGNSELAEIVAQRLFESSSEKGAYRVMLSNIYAGDERWDDAKKLRDHITEGKLRKITGLSWIKGNILCRTQTTVQVEEVQAR >ONI06931 pep chromosome:Prunus_persica_NCBIv2:G5:10028513:10035501:-1 gene:PRUPE_5G089600 transcript:ONI06931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSCDANRAEPSQEQSIDTPVLNAHRAASSRQHVRALNTQFASWVQSQLKNHPDELWEDGLRDYLAHASNIMEKFSDVVNWLKVNAAKGENLAGAPTAEKKMVPESTNKDKYFQPKPLFAPVGTTANFATLWSSGVFSNSQSSTGVFSNNHSSTGELPTGQSSAGVSSNSQSSSGVLSSQISPVFSGVQSSGIFSNTQSSGVFSNSQSSAAFSKTPSFGLFSNSQSSGSSSNSQSSATFSSTPSFGLFSNSQSSGLSSNSQPPSLFGIQSSVPTKHDASDEADVENDLEQPSSPSVKKSEEKGVVLVHEVKCKLYVKSSDPADKDAWKDKGTGQLSIKCKEGVSKGTKESKPTIIVRNDVGKVQLNALLYSGIKTNLQKNSIVAIFHTMVMEMMVVLWRVPS >ONI06930 pep chromosome:Prunus_persica_NCBIv2:G5:10028154:10035558:-1 gene:PRUPE_5G089600 transcript:ONI06930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTKGTKRFAVSDSNPDSAFRNKRIMAGSSCDANRAEPSQEQSIDTPVLNAHRAASSRQHVRALNTQFASWVQSQLKNHPDELWEDGLRDYLAHASNIMEKFSDVVNWLKVNAAKGENLAGAPTAEKKMVPESTNKDKYFQPKPLFAPVGTTANFASSGLYSDSQSSAGVFSNNHCSTGEYPFRQRSEGVSSNSQSSSGVFSSQSSPALWSSGVFSNSQSSTGVFSNNHSSTGELPTGQSSAGVSSNSQSSSGVLSSQISPVFSGVQSSGIFSNTQSSGVFSNSQSSAAFSKTPSFGLFSNSQSSGSSSNSQSSATFSSTPSFGLFSNSQSSGLSSNSQPPSLFGIQSSVPTKHDASDEADVENDLEQPSSPSVKKSEEKGVVLVHEVKCKLYVKSSDPADKDAWKDKGTGQLSIKCKEGVSKGTKESKPTIIVRNDVGKVQLNALLYSGIKTNLQKNSIVAIFHTMGEGDGNDGSAVARTFLIRLKTEEDRNKLATAIQEYAPAS >ONI06492 pep chromosome:Prunus_persica_NCBIv2:G5:7821535:7824168:-1 gene:PRUPE_5G064300 transcript:ONI06492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVERDQDLGLSLSLSFPQTHNHHNNNNNNSSSTTSTLQLNLMPSLAPTSASSPSGFLPQKPSWNEALISSDRNSNSETFRVGPRSFLRGIDVNRLPSTGDCEDEAGVSSPNSTVSSVSGKRSEREANGEDLDIETRGISDEEDGETSRKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAVPPNSSSSTVEPRPHPHPHPQMGSVQTRPVPINPWASATPIPHRPLPFEAFHTRT >ONI09035 pep chromosome:Prunus_persica_NCBIv2:G5:16866711:16868508:1 gene:PRUPE_5G213500 transcript:ONI09035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCMQLVKSANYKATVRDPGTLGVLTMTENKFVFRPNASTAKRALDVDFRQITGLKNTKEGGDRSPWLHLSEKDKTYIFEFASFRDLHLCREFVANAVAKRGEAAKPTSSDEQISRAEIMHRMKLLQENSELQKLHMQYVMRGVLTEAEFWEARKKFGDVDSRPKPKQRAGFRSSMIMDTKPMTDGRTNKVTFSLTADIKYQIFALKPAVHQAFLALVPSKTTEKDFWTKYFRAEYLQSTGNAAAVEAEAADDEELAMLLEEDEVLAREARRKLRRVDPTLDMEADQGDDYTHLPDHGIIFFRDVVYKRRRTLSQDLNRQGAVVLQGRSIVEVDLEDTSSVAEAFNIMRSREEEADKSGVQERFGRIARTTEMEDVQEPHHLPVAQLLCMKDPRDYFDTQQANNNAVKTEEAYGSLRKSISKIKSIGLGNSTVAPEIALAVFNGLPAPPTPCQC >ONI06241 pep chromosome:Prunus_persica_NCBIv2:G5:5208014:5208545:1 gene:PRUPE_5G049400 transcript:ONI06241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPESDPNNIVDLESDTQGFESCQVDDVSPSSSCSKRRNQKPSEIPPPKKRGTTNVLVASVAKWLHLWNTLSILLHKSLIQQKYIMK >ONI06999 pep chromosome:Prunus_persica_NCBIv2:G5:10437541:10437909:1 gene:PRUPE_5G094300 transcript:ONI06999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSPTDNGCTRGIQCTADINGQCPNELKAQGGCNNPCTVFKTDQYCCNNSGSCGPTDFSRFFKVRCPDAYSYPEDDQTSTFTCPTGTNYRVVFCPNDPTCLVSILDQMYHVAC >ONI08762 pep chromosome:Prunus_persica_NCBIv2:G5:16058333:16063587:-1 gene:PRUPE_5G199500 transcript:ONI08762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISKKQHLSRPAAPTQLPTVSTGRLSPTQTQSVSPTVSTGRLSLTQTQSASPTQPPTVSTGRLSPTQAQSSSGTLSPPPHPPMRASSRHRHPNRTRHATSSSADDMIDSSSAENGSGRLPTIRDTEQRPRRKGVRGACKAVKTTRIVRTSNHRIKVIFHPKYDEPVDLKISSLLSHDIGAIIRSNCPMNHGYWEDVPEDQKKDLADQISVNFDIDLDMVGLRGYIDLVMARRFRDFKHELHKHFQLFSSPEEALANPPLEIIERDAVHEWEYLCDHFQSQDFLRASNANKANRSKKKMEHRAGSLSFSHLVMQCAEEGSQFAEIDAFEKAYAGKNKQWTNETAKAKHDEMVAKKNEYLELAKEYPEGTSPDEMSIADQDAGHNIVIDVLGKKPGRQKRRLGFGLARGSSSSLASSTRLQQLEDQLVAERTAREATQNYLQKIVQQISSVVPGFIPPPADFLVTPETQHVDDEDRDHSSLG >ONI07070 pep chromosome:Prunus_persica_NCBIv2:G5:10689187:10691521:1 gene:PRUPE_5G098400 transcript:ONI07070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPSTRFLLRRNLSVTALSASLLSPKQFSANSKQTLLRFSQVSLSSPSIKVAFSSPVWCTLRSNSTMASQSGSGSKSIHDFTVKDAKGKDVDLSIYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKNQGLEILAFPCNQFGAQEPGSNDEIVEFACTRFKAEYPIFDKVDVNGDNAAPIYKFLKSSKGGLFGDGIKWNFSKFLVDKEGNVVDRYAPTTTPLSIEKDVKKLLGVA >ONI08229 pep chromosome:Prunus_persica_NCBIv2:G5:14387372:14393317:-1 gene:PRUPE_5G166000 transcript:ONI08229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYVYVMEAQDLRVKESYVKLQVRRHKSKTRILRNTTNPVWNEEFVFKVHDMNEEELLVSVFHHDDESGLFNGDDLVGRVRIPVCSVAAETNCTLPPAWYSLESPKTGKFINKDCGRILLTLSLHEKGHDHLLCAQSNISIEEPKELDSPFMSSHGVFGSKSPRAKIPGGKQLMKAIIRRVDKLLHKNDEALKTDDSSEFSSSVSDYEDCVEEHHTICSFEESLELMQSRVSEQQMPENLQGGILIDQAYFVSQHDLNASLFTPNSQFRRDLAELQRTTDVHEGPWTWTSGEIPCLTRFVTYTKAASKLVKAVKATEEQTYMTADGKEFAVLATVSTPDVPYGNSFKVELLYKIMPRPELPSGEESSRLVVSWGVNFLQNTFMRGMIEGGVKQGLKESFDQFSSLLAQNFKTLDSTDMSDKDHILASTQAGHQSDWELARKYFWNLTLVTTTFMVLYVLVHILLCEPSKLQGLEFNGLDLPDSVGELITCGILVLQLERIYNMVLHFVQARLQKGGDNGVKAQGDGWVLTVALIEGNYLASLDSSGFSDPYVVFTCNGKTRTSSVKLQTSDPQWNEILEFDAMEEPPSVLDIEVFDFDGPFDQAMSLGHAEINFLKHSATELADMWVSLEGKLAQSSQSKLHLRIFLDNNKGVETIREYMTKMEKEVGKKLNLRSPHRNSTFQKLFGLPPEEFLISDFTCSLKRKMPLQGRLYLSARIVGFYANLFGHKTKFFFLWEDIEDIQELPPSLSSVGSPLLVIVLKKERGLDARHGAKCQDEEGRLRFHFQSFVSFNSVIRTIMGLWRTRTLSPDQKAQICEEHNDQDDRSTMLEDTESVLNLEDAKMSKVYTAELPINTKSLMEMFEGGTLEHKIMEKSGCLNYATIAWEPTKKLDVFERHLSYRFNRQVSIFGGEVTCRQQKTPIEDGEGWVVDEVMALHGVPFEDHFRVQFRYQIENSTLAHNACKCNVHVRVTWLKSTKFQDRITHNIIEKFAHRLKEIFEFVERESLGTKTRGHFPLTHT >ONI08466 pep chromosome:Prunus_persica_NCBIv2:G5:15105653:15106328:1 gene:PRUPE_5G179500 transcript:ONI08466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLACHDGFLLGTWLESAKKLAQDEEQEKQFEWNARTQITMWFDNTKEEASLLRDYGNKYWSGLLQNYYGPRAAIYFKYLTQSLEEGSEFRLKDWRREWIKLTNDWQNSRKAFPVKSSGNALSTSRWLFDKYLGSSADNI >ONI08409 pep chromosome:Prunus_persica_NCBIv2:G5:14961100:14964327:1 gene:PRUPE_5G176400 transcript:ONI08409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRSCISLLELASGEMLNFPQTPRALTKVMTLQGVIPDAKNSDGVNNEGVNVPPSEICEKKIIVANFLPLHAQKDTKSGKWCFSFDEDAILFPLKDGFSSGTVVIFVGSLKVDIEASEQEEVSQKLLEEFNCVPTFLPSELQKKYYHGFCKQYLWPLFHYMLPMCPDHSNRFDRQLWQAYVSANKIYADKVMEVINPENDYVWVHDYHLMILPTFLRRRFTRVKLGFFLHSPFPSSEIYRTLPVRDEILRALLNVDLIGFHTFDYARHFLSCCSRMLGLEYESKRGYIGLEYFGRTVYIKILPVGIHMGRLESALNHPSSSVKVKEIQEQFRGKKIILGVDDMDIFKGISMKLLAMEQLLQQHPEFRGKVVLVQIVNPARSTGKDVQEAKKETYSTTRRINQVFGFPGYEPVVLIDRSVPFHEKTAYYSLAECCIVNAVRDGMNLVPYKYIICRQGTPNMDKAVGFASDSPRTSTLVVSEFIGCSPSLSGAIRVNPWNIEDVADALNVAITMPALEKQLRHEKHYRYVSSHDVAYWSRSFMQDLERACKDHYRKRCWGIGFGLNFRILSLSPSFRKLSIDHILSAYKRTNRRAIFLDYDGTIVPESSIVKTPSPEVISILKNLCSDPKNTVFIVSGRGQNSLSEWFAQCENLGIAAEHGYFIRWSSTSSWETSSSAIDFEWKQIAEPVMKLYTEATDGSYIETKESALVWHHLDADPDFGSCQAMEMLDHLENVLANEPVVVKRGQHIVEVKPQGVTKGLVAQKVLSMMISKGNAPDFVLCIGDDRSDEDMFESISSTSYNPSQPVAPEIFACTVGQKPSKARYYLDDTVDVITLLKGLAADSSLKPRCNWEIQAPFENIM >ONI07614 pep chromosome:Prunus_persica_NCBIv2:G5:12631561:12633739:1 gene:PRUPE_5G130700 transcript:ONI07614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIMSSCSPNFGQENSATLQQRLQFIVQNRPEWWVYSIFWQASKDSNGQVALSWAGGHFRSSRDLASKRSNKLVHNYQPKSGFISTERKKVVNREVEALFNEDMDLDGGDVTDSEWFYFYTVSLTQSFGACHGTGNILGRAFCSGGFVWLAGDHELQFYECERVKEARMHGIQTLVCIQTSCGVLELASLDVIKEDWGLVELSKSLFGSENSRVSKPQSSREGHVLVPLLESGMFSAGPQKEWTAQGGTKERFALNIGGSSSDSGPSDSVGNFTTENAENNGRLKKRGRSSNHGTDRESPINHVEAERQRREKLNHRFYALRSVVPNVSKMDKASLLSDAVVYINKLKAKVEELEAKIQQPPQKPKLGILSNLEHQSNQSASSIVDHHRHHHHHQARPRPASSYTNSEVAGAIEVDVKIVGSEAMIRVQSPDQNYPYARLMNALKDLELQVYHASISSVKEMMLQDVVARVPHGFTSEEAMRTAIIKRWYN >ONI08989 pep chromosome:Prunus_persica_NCBIv2:G5:16759235:16759817:-1 gene:PRUPE_5G210900 transcript:ONI08989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLRLITLVPFFILLHVTHGALSKVLVSDEIGRPIPRRSPVNVEKEIYDGVPAAMDEVECTRIIGGRKMSMPKRISGMINEEGFNNIGRERRFIRSTSSRRPRMKFKIEGFIPLNADYHVPKPHPPKNN >ONI06329 pep chromosome:Prunus_persica_NCBIv2:G5:5748167:5750532:1 gene:PRUPE_5G053700 transcript:ONI06329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGIGKKCKQHDAKRRNLHAATKRRMIAQQTTRCQKKKPTCSNQTENDCTANNT >ONI07577 pep chromosome:Prunus_persica_NCBIv2:G5:12491669:12493298:-1 gene:PRUPE_5G128500 transcript:ONI07577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTYPTTTTTSTIRATKPTLSLRKSTKLISFPLSPLKTLNLTATSTSPIKSTSSSDHTSTATTTITTTTTTSISPSPQTLKSRLQNGETLYGLFLLSFSPTLAEIAGLSGYDFVVVDMEHGHGSISQALPCLHALAAAQTPAILRLPEASAAWAKKALDLGPQGIMFPMIESSKDAKKAVSYCRFPPAGVRGSAHTVVRASSYGIDEGYLSNYEDQLLIMCQVESEEGVKHAGDIAAVDGVDCIQMGPLDLSASIGYLWDPANKKVRKMMETAEMAVLGSDPKQGGAYLAGFAMPHDAPRDLWTRGYHMVSGAVDVGLFRSAAVEDVKRFKMNLIDGSDDEQEHEKDADEKYWSE >ONI05452 pep chromosome:Prunus_persica_NCBIv2:G5:864847:867175:1 gene:PRUPE_5G008200 transcript:ONI05452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTLPLLLLVIVSSFQNAVVSGVGINYGTLGNNLPSPKRVAQLLQATLIDKVKIYDTNPEILQAFSNTGIDLIVAVENSHVSNISTDVAAADEWFATRVLPFIPATSITAIAVGNEYLTTTTAATKSDKDPQVDPTALVQAMQNLHAVLIARGLERKIKVTTPHSMAVLASSFPPSSSTFATNLVPTMTSIAAFLADTGAPFMVNAYPYFAYRDNPDMVNLQYALLGNSTSNGVRDPKGYTYTNMLDAQIDAVRAAIDALGLGHRTVEIMVSESGWPSKGDTGDIAATPENAKTYNTRLIERAQSNKGTPMKPNDKVEVFVFALFNENKKEGGATERNFGIFNGDGSKVYEVDLSCKFCSNDGNGNGGGFGFGEKVSGVIRGPSVWCVAKPHADEKVLQAVLDFCCGGGGVDCREIYENGDCFEPQKLLAHASYAMNAYYQMHGRNYWNCDFKGTGLVTFSDPTYGRCRYPQQ >ONI06555 pep chromosome:Prunus_persica_NCBIv2:G5:8189691:8190861:-1 gene:PRUPE_5G067700 transcript:ONI06555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAWRLLFSLFCIQILAASVVARNIVSVRYDEEGKNLAFGKGGGFGGEGGGFGGGVGSGLGSGLGGGIGKSGRVRGGGAGGGFGKGRRILKGGGGGGGGGGRGGGRAGGGKHGEFHGGVHGGARGRVHSNAGGGLGKVEKGLGGIREGFFGFQLPTGL >ONI06961 pep chromosome:Prunus_persica_NCBIv2:G5:10246789:10252108:1 gene:PRUPE_5G091900 transcript:ONI06961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKEEQSTKRKKQKQGRFWTWVAASVLFRLILIYFPKNLNLSSRPEVSTPLTSLRRLAEGYWLKQSSMSPYAGSMYHGSPLLLSLLGPLTVKRIEGQPSHLLCSLVFVVADILNAMVIRATGQTLQVAYRQSLKSLDLDTISESMEVLSSGDIAALVYLWNPFTIVACVGLSTSPIENLAIILALYGACKRMVPLAAFGWVMATHLSLYPMILIIPIILLLGYGPDTPRKLLLMRRCGKVEDNSSSDSCQQQEKVINQSDLPKVFAWGPPMLFLLWAIMWSVYVLLLCGISVKQYGGLWEMFERMYGFILTVQDLSPNIGVLWYFFAEVFDFFRNFFLIVFHMNILFMIFPLAIRLKHRPCFLAFVYITISSMLKSYPSVGDSALYFGLLGWFVNELSEMQFSFCLFSVYVGVSLLSPVMHNLWIWRGTGNANFYFATAITYACLQMVLVVESVSAMLNHDRKLKKLTNAKLQNGKS >ONI07175 pep chromosome:Prunus_persica_NCBIv2:G5:11114256:11117743:1 gene:PRUPE_5G104400 transcript:ONI07175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATTTTSTTTHLKSQTNPTKPKRRRCRQTHLSSSPAPPPNPTRKLDPPTIVSPESSWCCFALKDSKPFSVQPRPQQPINPNHKSDPVQACPSGPSPSSSPSSFRIRFAPGSRSPVMDFSPALTNGHSGQNDSFNSSFTRFNSMLTAGLLNPMSPPPQPDKTTRSSPTLFEMMANEPEMAQPKPQIHQNGVGSGSGRKTHVGLVQDKQALMMQRVSDLLLGSRSPGNQFNDPSSSDIKLTLSAKDGFSVSMNVHRQILVAHSRFFAVKLSDRWVKQQRTTSSSSPYNVEIADCDDVEVYIEALRLMYCKDLRKRLMKEDVPKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLMFELRLEGVGAGEVLKRVSVEVANGTEEGNDNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLRENSSHNDLRKESLYSACDGCLQLLRHHFSRAAESDLQDVGQIARQADNLHWILDILIDRQIAEDFLKTWASQTELSEAHSKVAAVYRYEVSRVTARLFVGLGKGQLLVSKDVRFLLLRTWLVPFYDDFAWMRRASKGLDRHSIEDGLSNTILTLPLAWQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWRRNGEQERSRPLRITTATIENS >ONI09018 pep chromosome:Prunus_persica_NCBIv2:G5:16824963:16825529:1 gene:PRUPE_5G212400 transcript:ONI09018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKDGQSPIRVTTGKNSYTWTKNKFVRPCYVKLSVVCILHCREIHEANARHDATGRLMMFDPETKQVRVLLRGLSLAFGTASMDGSFVLVSEYAGKRIQKSWLTGPKANTSEIILDFGHHPVDINRSVSGDFWVAVNRETRLINHRGKLIVPNALLIDSDGAVLDEIILLDQYGNLSITQVEEVAGAL >ONI05392 pep chromosome:Prunus_persica_NCBIv2:G5:622814:628227:1 gene:PRUPE_5G005300 transcript:ONI05392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQSSGSSSSSGPSGFQFLNSPFGDTTYTKVFVGGLAWETHSDTMRRYFEQFGEILEAVVISDKNTGRSKGYGFVTFREPEAAARACADPTPIIDGRRANCNLASLRRPRPPMHYGRPRPAAPYIGSMQATRGAYIGGYGYQQPVPYNYQQGLMYPNYGYATYGPEYTYSQGVYNPYAGQQYLQMYGVPGTVNAALYPYGQLGQTIPGGQGYPTVHGYAMPAQQIVQFGGPSVNAVTTTPVPPVQAPYPTGMVASVAAQPHFIVSAPQYMQGSGSEQTAG >ONI05393 pep chromosome:Prunus_persica_NCBIv2:G5:623293:625687:1 gene:PRUPE_5G005300 transcript:ONI05393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQSSGSSSSSGPSGFQFLNSPFGDTTYTKVFVGGLAWETHSDTMRRYFEQFGEILEAVVISDKNTGRSKGYGFVTFREPEAAARACADPTPIIDGRRANCNLASLRRPRPPMHYGMVSQSVGWITIQKFPLCSFPFLAQLKLHCVG >ONI07843 pep chromosome:Prunus_persica_NCBIv2:G5:13203538:13205274:1 gene:PRUPE_5G142400 transcript:ONI07843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSIKHEGASSTTSDQVDRQQVPNGSSDDRAKRHPRWTRHETFVLIEGKKVVENAVQKGRSRSSSALGSDHFEPKWDLVSSYCKQRGVSREPVQCRKRWSNLLVDFKKIRNWESQIKGAAESFWVMRNDVRKERKLPGFFDREVYNILDGNAVTATAACPLALFIPVQTDAQSGDGAEAAAAEEEEEEEPGKAANGLQQDMAEDGLCSDSEESEQEETESKKENLMQDSPATTVTTPMPSSGTIKEKRGLDSNACGEHISQEKRKQRRLAIDGCENNYSDQLIRVLERNNALLNAQLEARNINCHLDREQRKEQSNSLLAAISKVTDALVRIADKL >ONI07462 pep chromosome:Prunus_persica_NCBIv2:G5:12091989:12093867:1 gene:PRUPE_5G121400 transcript:ONI07462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEKQSFDRSGPSHLTSIDWQNPQHQRSVAACLVQGVYVVERDRQEEREGPQALAPPWWEFFHSKLLRKLVDDDGSSIFGAIYEFNSPVEGKPRFVIAFRGTLLKKSTILRDLDLNVQVLRNQLHRTSCFEIAMQAVWNMVDAAGDSNVWLAGHSLGSAMAMLAGKTMASNGISLKSFLFNPPFPSAPIERMIEDKRVKHGLRIAGSVSTAVLYIATKAKQQPQERSHSEENPFTTLSAWFPSLFVNPADDICSEYIGYFEHRKKMQDIGAEAIERIATQHSIVDLLMRATGNQPAPEPILHLIPSANLTVNLTPSRGFKEAHGIHQWWRDDLQLQSEVHEYR >ONI07461 pep chromosome:Prunus_persica_NCBIv2:G5:12092208:12093867:1 gene:PRUPE_5G121400 transcript:ONI07461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEKQSFDRSGPSHLTSIDWQNPQHQRSVAACLVQGVYVVERDRQEEREGPQALAPPWWEFFHSKLLRKLVDDDGSSIFGAIYEFNSPVEGKPRFVIAFRGTLLKKSTILRDLDLNVQVLRNQLHRTSCFEIAMQAVWNMVDAAGDSNVWLAGHSLGSAMAMLAGKTMASNGISLKSFLFNPPFPSAPIERMIEDKRVKHGLRIAGSVSTAVLYIATKAKQQPQERSHSEENPFTTLSAWFPSLFVNPADDICSEYIGYFEHRKKMQDIGAEAIERIATQHSIVDLLMRATGNQPAPEPILHLIPSANLTVNLTPSRGFKEAHGIHQWWRDDLQLQSEVHEYR >ONI08523 pep chromosome:Prunus_persica_NCBIv2:G5:15266584:15268847:-1 gene:PRUPE_5G183400 transcript:ONI08523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISLYRGNLHRVPDVPRRWLMPTPKISLKDFKSLLTRRSIALSRVRSTLPATSSNPNPNPSFVSEQLNEAPKMNTLTHPKIKFEAPENVVADCEAGPSGVDKDEKQSDGADFTVKPVDGSDASPAFKPDLPEKGSDAVDVGVNSPAVKVEKVDEEGKPNSEAHNKEDVLDEKQKRKREVEEKLQVLNQRKHTIVQVLKQMLNAEEELKRRSGMQGMVVRPSVSLQVDVTNDSGSMTRHAAARLGSEANLEMEGCEGDEHSNHNFHSRHIFRMNSTSPSSESPLRRPTHIQHNVVSHPSRAILGATGSSPSRFAPAGHQGNPATLPTLSVSGTNYMASSPSPAASGGTSAFRDARLPSPWN >ONI06730 pep chromosome:Prunus_persica_NCBIv2:G5:9109495:9111518:1 gene:PRUPE_5G077100 transcript:ONI06730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKMFMLAMAMAVVVLSMTSCFGQSNETPGCAQDLLPCADYLKNTKPPPTTCCTAIKQTVATQLACLCNLFFTPGLLELYGGNTTSGLRIATACGETMDVNKCKAAIGAPTPQSPPATPGAPKADDGGSSKIAWAGFSALLLFWASVMFC >ONI06300 pep chromosome:Prunus_persica_NCBIv2:G5:5624639:5625714:1 gene:PRUPE_5G052000 transcript:ONI06300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSSLVELNLSMNQIHGKLPNLSSENSSSAIIDLTSNHLSGNNLSGKFPRSLGYLMNIISLRLHDNSFSGEFPYLETCTELVGVDLGAKKLSGKILAWIDQSLSSMELNSHNLSRNNLWGELTENFGQLKMLESLDLSRNQLSGRIPSSFSSLNFLSVLDLSYNNLSGRTPLSAQLQSFNSSQYMGNLGLCGPPVTQKCPGD >ONI06685 pep chromosome:Prunus_persica_NCBIv2:G5:8860374:8861503:-1 gene:PRUPE_5G074400 transcript:ONI06685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRLALCFLLLAFSAKPWSVAADAAPSPVLDITGKKLRTGTDYYILPVIRGRGGGLTLASTSNTTSCPLDVVQEQHEVSNGLPLTFSPVNPKKGVVRVSTDHNIKFSAATICVQSTMWKLDKFDEQTGQWFVTSGGVEGNPGRQTTSNWFKIEKYDEDYKLVFCPTVCNFCKVICRDVGIFIQDGKRRLALTDVPFKVMFKKA >ONI07966 pep chromosome:Prunus_persica_NCBIv2:G5:13646027:13650247:1 gene:PRUPE_5G150300 transcript:ONI07966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEALKAKEVAELKAGQIDFPGAKRFALKAQNLYPELDGISQFLATLDVYISAEKRANGEIDWYKVLGVEPLADEDTIRKQYRKLALILHPDKNKSVGADGAFKIVKEAWSLLSNKAQRILYDQKLNFNYMHDRAPDGNSSVATNQNGFHNFSNYNHLNARMRSATHTKPFRTPYPQKPTFWTVCNSCKMHFEYLRAFLNHNLYCHNCHRRFLAYETPPPPINENGSSTSWNSYIQQQNSSLRNKTKNSYAPGRTQTATTNVKLEGISGVDTSKKTFQAGIFVKSNVESVQLPASSAGQAAGDVQPAFGKLKRGHEEAFPHKEAHNRKNLAFKKADAALATGFPGVVSSSVPNKDRTKKKRHLNRQEMATQVGVETGGVAIKSVFGPNKGIAVTERSDVAGKYRINCTRELSQQQMRSMLMEVAKKEIHKKLNVWNEAATPKTSFIPKTADINEVKKKEKEEAALHGVETDVTGCRVFMDAKNVTHGKRPSLANSGVDSDVEEHNTVSMTVPDPDFHDFDKDRTEKSFGSNQVWAVYDEDDGMPRYYAMVHSVISLKPFRLRISWLNSKSNSELAPLNWIACGFPKTSGELRIGKHEVYRHLPSFSHKVRWTKGTRGAVRIYPAKGDVWALYRNWSPDWNEHTPDEVIHKYDMVQVLEDYNEERGVSIVPLDKVAGFKTVFRQHLHRSKTRTIPREEMFRFSHQVPSVLLTGHEGPNAPKDCLELDPAATPLELLQVKSEAYKDEMEEIAETCNGEDPLGWLKSTKEEALVENGKITIETGLVDDPKKKDVADMMKREEESRGAKLLVYSRRRFRKGKMEAAK >ONI09046 pep chromosome:Prunus_persica_NCBIv2:G5:16890753:16899617:-1 gene:PRUPE_5G214300 transcript:ONI09046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDEDDFVFYGTPIEREEEIISRKKKAVAEASGNLRTLVPWKQEVRDEEGRRRFHGAFSGGFSAGYYNTVGSKEGWTPQSFVSSRKNRAEVKQQNILNFLDEDEKEELEGQSLGTSLQFDTFGFTAAELARKQAEKEQQKRPSAIPGPVPDELVLPSTDSIGVKLLLKMGWRHGRSIRDSHTNKSYDARREARKAFLAFSSSDAKKQTADPESVPGELESYIDLPASDDVQSSESTPVYVLYPKQDLHGLGFDPYKHAPEFREKKRSRLSDNKGIGYRSALSMDNNLFGFKSGKVAPGFGIGALEELDAEDEDVYASGYDFEETYVEDIDEPSRSIMEDKQKSVRKEPGVLSGFRLALNSDYQHERFDPPVVPKDFVPHHKFPGPLETGYKLGDPGPPEVPPPEDNNLKLLIDGVATLVARCGKLFEDLSREKNQSNPLFSFLVGGNGHDYYARKLWEEQQKRGDHTKQKLDVKLSPHMQKMTAESRGQILGERPLERSAKDSSSSATSADAIQLQYNLSDTFTKPALHGEMLEAAKPFKEDPAKQQRFERFLKEKYQGGLRSTESGGASHMSEAARARERMDFEAAAEAIQKGKWSKDSKLSTSQFMEYLSSGGMQFTSGGLAQAKDTQTENSITKEVHLKRKEYQWRPSPILCKRFDLIDPYMGKPPPAPRMKSKMETLIFTSDSGKDTKAEEIVIAKGVSFPVAQSDAQGLSKDVADKESEVEVEAENVERPVDLYKAIFSDDSDDEEDTSIHNEVGHPEKKGEAANTTLNRLIAGDFLESLGKELGLEVPPELSSSMNKVGNSVPPKGTATVNSVDSDILRVDNVPSSNHEILHSQEIARDGPRGNIEPVNGNSARSNSKYTETGSFGNQFDKIILEKATQEDRKAKTPSRRHRNLSSSPSSEDERSKKRSGRHRHRHSDSDSDSSSDHRDRHRSRSKGRRKGSSREKSSSSSRKHSKHHKHRSRDSPEKERSETKRDKHKRRG >ONI05724 pep chromosome:Prunus_persica_NCBIv2:G5:2337057:2342732:1 gene:PRUPE_5G021400 transcript:ONI05724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSVGNGGLAPPFTVAQWAEMEHQALIFKYLKAGLPVPPDLLGPIRTSFNLISSNFLRHHPTLGYTSYCGKKIDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMNRGRYRSRKLVESQTTSQSSSTVTSDSVIGSSSHSGSFQNMPLHSMVNSGGLCFGSNVSQLKMEPIPYGIGNSGNRGRNGAEEHNFMSEPSGSVRCLGMDSTVDSPWHLMVPRVSLKSESKNCSLLLNNSSQLETLQHTEPLTVDAAITKQQQHQYVGGQFGFPGSLKHEQNSLQPFFDEWPKSRDLGFHLSDHRSTNTLTATQLSMSNAMAPSKFFARSADSPTDAYT >ONI07522 pep chromosome:Prunus_persica_NCBIv2:G5:12317651:12318522:-1 gene:PRUPE_5G125500 transcript:ONI07522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQVKIFIILFLLFDLPISGRADNFKDGMELTYSSGHEVNIIEMMKGRKLLLALDAMLDYQEPGANPGHEPRKGGGRGP >ONI08698 pep chromosome:Prunus_persica_NCBIv2:G5:15833394:15836719:1 gene:PRUPE_5G195000 transcript:ONI08698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQTRNFGLPFMNLIRLKGIPILQQLHLEEQLLRTSSDNWCIMNDGTNDPTIVMGISGKPAELLEIDLVLRDQIPVIRRFTGGGTVTVDHNTVFVTFICNADAVAGLQPYPRPIMSWSSLLYSRVFEGRGDFQLRENDYVFGNRKFGGNAQSITKTRWIHHTSFLWDYEVRNMAYLRLPKRIPEYRLARDHLEFICCLKDYIPRSVFLDKTVDALRTQFSVRSEQLDAFEATSNTKFVPSTRLLTRQELEEAAFGSHAETTLSQSLSLMGRVKLKIKKLESSGNRQVTFSKRRNGILKKAKELSILCDVDIVLLMFSPTGRPTLLQGERSTVEEIISKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNVQEFMSSRYVIVFIRFVISF >ONI06057 pep chromosome:Prunus_persica_NCBIv2:G5:4210113:4212451:-1 gene:PRUPE_5G037500 transcript:ONI06057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLSTLLLVALLSLSAAAATRTKLQPTNNVDGGICKSLVETQGYACEEHKVTTADGYVLGLQRIPAGRSGNKTAAKLPVLLQHGLLMDASAWLLNEPDKALAFILADKGFDVWLANARGTESSRGHTSLSPNDPAYWDWSWDELTAYDLPAAFQYVNNQTGQKLHYVGHSLGTLTALAAFSQEKLLNLLRSAALLSPIAYLGQISPFGRTAADIFLAQVNRLLDFICTRPGIDCSNFLSVTTGPNCCLSSSSIDALFKHTPQTTSTKNALHLFQMVRKGTIEMYDYGLPITNMQHYRQPTPPVYNMANIPKDVPLFLSYGGRDLLSNVFDVNLLLDNLKDHDKDKLVVQFREDYAHMDFIMAMNANQVVYDPLLSFFRLH >ONI05560 pep chromosome:Prunus_persica_NCBIv2:G5:1369725:1371026:-1 gene:PRUPE_5G012800 transcript:ONI05560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNHCIYSIPKQRPYAGINTETKYIYLPFPFGVIDGGGCWESGHRRMGMMRESWSRFVLALRFCEWVGEESWRSWTLEASAGRKRDQASD >ONI06734 pep chromosome:Prunus_persica_NCBIv2:G5:9126002:9128031:1 gene:PRUPE_5G077500 transcript:ONI06734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTEAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLRMGIDPVTHEPLHKEDQLGCSKETNSSSSSHDHKNNLPDHQSTNDHSMVVNSAGSEDMNSSSSPPENSSSGDESTLLDSICNDESLMKNLWVDETPLIDALWSNNGQVVADHGGNEINIENGMGLQSWEDNCAWLLDCQDFGVHDFGMDYCFNDVELNGLSTLEMGDKLSTTIN >ONI06404 pep chromosome:Prunus_persica_NCBIv2:G5:6322970:6329023:-1 gene:PRUPE_5G058600 transcript:ONI06404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMATRPTDSALVATAISDSEKAWHLLALLLSLGRPTHLSELASRCTLFPASPPLVQYLCSVPGSPLALSESLCVTPSLASFEAFVESVSCGGAGDALRSYLGKRKRFVVDCGFGPLAKRRLIVDFGNVNEEIGAPKSVGYSAPKIHCRMTDYISRNINMLDEMRPNIIVQTNVNKTIMACQADAEPANPISMSDALVLYKGANLHELDCTGTNFLEKVERSNISAEVDVNIIGRCKVTNLRIVEDERIVGGEDEQLLVGPSSPNGEIGNLFQPYTALSDMLPESDSRLKNANCKDTMPSNKNQTTRHESSTICPATEFSVPRKQLLKPSAKIAIHKDKMAPRLQSHYLEHDQAVATPKENQHQKIDQKPSSMAQKSKQNYDDKHINERKRRHNSISPKDQPEKKELPIFESYIVDEEEGSGGYGTVYRAQSKNDGTKVAIKCPHANAHKHHVNNELRMLERFGGKNFVIKYEGCITNGNSNCFVLQHVEHDRPEVLKKEIDLFHLQWYGYCLFKALASLHKQGIVHRDVKPGNFLFSCKASKGYLIDFNLATDLHQKYGTSGKSKVGYDVNSLDEKLTNAKSIPRTTVRMSTVKSSIKANGETKKGLKPTFDPKDLKKKAFSQVKAYNDSGSWSVMKSQGADGSGITSAKDVTSARTPPAERLREPLPCQGRKELISLVQEARQSPNHEASGVSAPMRKRVPAPPGNEDGKLFYITPMPLHSTGNGIGGAGLIRNRGGGKLKKEGPCAGTKGFRAPEVLLRSPHQGPKVDIWSAGVTLLYLIIGRTPFFGDPEQNIKDIAKLRGSEELWEVAKLHDRESSFPVDLYSTESLPSIKLQDWCRRSTKRPDFFKEIPRPLFDLVDKCLTVNPRVRISAEEALRHEFFAPCHEVLRKQRLQRQVL >ONI06405 pep chromosome:Prunus_persica_NCBIv2:G5:6322970:6328034:-1 gene:PRUPE_5G058600 transcript:ONI06405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYISRNINMLDEMRPNIIVQTNVNKTIMACQADAEPANPISMSDALVLYKGANLHELDCTGTNFLEKVERSNISAEVDVNIIGRCKVTNLRIVEDERIVGGEDEQLLVGPSSPNGEIGNLFQPYTALSDMLPESDSRLKNANCKDTMPSNKNQTTRHESSTICPATEFSVPRKQLLKPSAKIAIHKDKMAPRLQSHYLEHDQAVATPKENQHQKIDQKPSSMAQKSKQNYDDKHINERKRRHNSISPKDQPEKKELPIFESYIVDEEEGSGGYGTVYRAQSKNDGTKVAIKCPHANAHKHHVNNELRMLERFGGKNFVIKYEGCITNGNSNCFVLQHVEHDRPEVLKKEIDLFHLQWYGYCLFKALASLHKQGIVHRDVKPGNFLFSCKASKGYLIDFNLATDLHQKYGTSGKSKVGYDVNSLDEKLTNAKSIPRTTVRMSTVKSSIKANGETKKGLKPTFDPKDLKKKAFSQVKAYNDSGSWSVMKSQGADGSGITSAKDVTSARTPPAERLREPLPCQGRKELISLVQEARQSPNHEASGVSAPMRKRVPAPPGNEDGKLFYITPMPLHSTGNGIGGAGLIRNRGGGKLKKEGPCAGTKGFRAPEVLLRSPHQGPKVDIWSAGVTLLYLIIGRTPFFGDPEQNIKDIAKLRGSEELWEVAKLHDRESSFPVDLYSTESLPSIKLQDWCRRSTKRPDFFKEIPRPLFDLVDKCLTVNPRVRISAEEALRHEFFAPCHEVLRKQRLQRQVL >ONI09217 pep chromosome:Prunus_persica_NCBIv2:G5:17391561:17393114:1 gene:PRUPE_5G224500 transcript:ONI09217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVYSSDSDDNIGASHGAKLFGRERPIHHVLGGGKVADVLLWRNRNVSAALLIGMTVIWFLFEVVEYNFVTLVCHLSITTLLVIFIWRTAAELFRLTPPTIPDIILHESTFKELASTVHKRCNHFLSKLLDSACGRDLPFFFLAIISLYILSVIGTYFSFLNLVYLGFLALETLPFVYERFEEDVDRLAGKVSREIKRSYRKFDSQFLNKIPRGPVKEKTR >ONI06583 pep chromosome:Prunus_persica_NCBIv2:G5:8279244:8281459:-1 gene:PRUPE_5G068700 transcript:ONI06583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAASQCIFCQIASKSTSTTLLHTDDKVVAFQDIRPAAVRHYLVIPVDHIPTVKDLQRKPEDYSLVNHMLEVGKMLVQRDAPQCHQYRWKCMKYIAMGPFGFLEAEKLLGKIKPLPQVISKV >ONI06584 pep chromosome:Prunus_persica_NCBIv2:G5:8279470:8281275:-1 gene:PRUPE_5G068700 transcript:ONI06584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAASQCIFCQIASKSTSTTLLHTDDKVVAFQDIRPAAVRHYLVIPVDHIPTVKDLQRKPEDYSLVNHMLEVGKMLVQRDAPQCHQYRFGFHQPPFNSVNHLHLHCFALPYTPRWKCMKYIAMGPFGFLEAEKLLGKIKPLPQVISKV >ONI06586 pep chromosome:Prunus_persica_NCBIv2:G5:8279244:8281459:-1 gene:PRUPE_5G068700 transcript:ONI06586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVGKMLVQRDAPQCHQYRFGFHQPPFNSVNHLHLHCFALPYTPRWKCMKYIAMGPFGFLEAEKLLGKIKPLPQVISKV >ONI06585 pep chromosome:Prunus_persica_NCBIv2:G5:8279470:8280885:-1 gene:PRUPE_5G068700 transcript:ONI06585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILCRHYLVIPVDHIPTVKDLQRKPEDYSLVNHMLEVGKMLVQRDAPQCHQYRFGFHQPPFNSVNHLHLHCFALPYTPRWKCMKYIAMGPFGFLEAEKLLGKIKPLPQVISKV >ONI09418 pep chromosome:Prunus_persica_NCBIv2:G5:17927579:17928393:1 gene:PRUPE_5G237100 transcript:ONI09418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCSWVGPPGSAMRAFSNSFPDFGFKRFPIFSWWNLYMPSVYGPGGFTTSGLKSVHDHSVLFGCFSSAPATIHELSWLAVIQYLSL >ONI05604 pep chromosome:Prunus_persica_NCBIv2:G5:1626245:1627758:-1 gene:PRUPE_5G014700 transcript:ONI05604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKFFHGATQRTTHPLVWFAAVICTVIAIAVIIAGIALFAGYMIIHPRVPFITVTSAHLDNFQNSVAGLLDTEITIIVKAENDNTKAHASFSDTSFTLSFQGLNIARLVALPFDVKKNSSVDFHYLVQSSSIPLSPEQMDQIDISLKRDKISFNLKGNLRARWRVGPIGSVKFWGHLNCHLRFHPSNGSYIHSPCSSRAK >ONI08150 pep chromosome:Prunus_persica_NCBIv2:G5:14126584:14127490:-1 gene:PRUPE_5G159900 transcript:ONI08150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLGTTMAMLHHRCFAIKSSKNMSTYKPTMKPISLLILKDIPKSFIPFKSPINSKCSTLITSTIVSTLISCGPAFSAQQMAEIAEGDNRALALLLPIIPAILWVLYNILGPALNQINRMRSEKSK >ONI09381 pep chromosome:Prunus_persica_NCBIv2:G5:17827500:17831697:-1 gene:PRUPE_5G235200 transcript:ONI09381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKVAELREFVSKCKSNPSLIHTPSLAFFKSYLQSLGARIPPIPKMDKVDVDMSDTKDHFDAKRPDPSRDNDEDIVESDIELDATDVVEPDNDPPQKMGNPSVEVTEEMQDAAQIEKSKALDAISEGKLDEAIDHITEAIMLNPTSAILKATRASVFVKLNKPNAAIRDANAALEINPDSAKGYKIRGMAKAMLGHWEEAASDLHVASKLDYDEEIGLVLKKVGPNVRRIEEHRRKYERLHKEREIKSAERERKRQAEARERDALSALKDGEVIGIHSAKELETKLNAASRTLRLAILYFTATWCGPCRFISPLYTTLAGQYPKAVFLKVDIDEARDVAANWNISSVPAFFFVRNGKEVDKMVGADKTALEGKIAQHAGSI >ONI07411 pep chromosome:Prunus_persica_NCBIv2:G5:11949028:11955762:-1 gene:PRUPE_5G118400 transcript:ONI07411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKYKYLIEVEKAKEAKDGKPSQGPVYRSLFAKDGFPPPIPGLDSCWDVFRLSVEKNPGNPMLGRRETVNGKAGKYVWLTYKEVYAMVVKIGNSIRSCGVGEGDKCGIYGVNCPEWIMSMEACNAHGLYCVPLYDTLGAGAVEFIICHAEVSIAFTEEKKIPELLKTFPNAAKHLKTLVSFGKVTPEQKETLEKYGVALYSWNEFLQLGDNKDFDLPVKKKSDICTIMYTSGTTGDPKGVLISNDSIITLLAGVKRLLESVNEQLNEKDVYLSFLPLAHIFDRVIEELFIWTGASIGFWRGDVKLLTEDIGELKPTIFCAVPRVLDRIYAGLTQRIATGGFVKKTMFNFAYSYKYHNMQKGHAHGDASPIADKIVFSKVKQGLGGRVRLILSGAAPLSTHVEAFLRVVACAHVLQGYGLTETCAGTFVSLPNELSMLGTVGPPVPNVDVCLESVPEMGYDALSDTPRGEICVKGNTLFSGYYKREDLTKEVMIDGWFHTGDVGEWQPDGSLKIIDRKKNIFKLSQGEYVAVENLENIYGLVSDIDAIWVYGNSFESFLIAVVNPNKQAIESWAEQNGVSGDFNSLCEHTRVKEYILGELNKIAKEKKLKGFEVIKAVHLDPEPFDMERDLITPTYKKKRPQLLKYYKEIIDNMYKSAK >ONI07412 pep chromosome:Prunus_persica_NCBIv2:G5:11949041:11955866:-1 gene:PRUPE_5G118400 transcript:ONI07412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKYKYLIEVEKAKEAKDGKPSQGPVYRSLFAKDGFPPPIPGLDSCWDVFRLSVEKNPGNPMLGRRETVNGKAGKYVWLTYKEVYAMVVKIGNSIRSCGVGEGDKCGIYGVNCPEWIMSMEACNAHGLYCVPLYDTLGAGAVEFIICHAEVSIAFTEEKKIPELLKTFPNAAKHLKTLVSFGKVTPEQKETLEKYGVALYSWNEFLQLGDNKDFDLPVKKKSDICTIMYTSGTTGDPKGVLISNDSIITLLAGVKRLLESVNEQLNEKDVYLSFLPLAHIFDRVIEELFIWTGASIGFWRGDVKLLTEDIGELKPTIFCAVPRVLDRIYAGLTQRIATGGFVKKTMFNFAYSYKYHNMQKGHAHGDASPIADKIVFSKVKQGLGGRVRLILSGAAPLSTHVEAFLRVVACAHVLQGYGLTETCAGTFVSLPNELSMLGTVGPPVPNVDVCLESVPEMGYDALSDTPRGEICVKGNTLFSGYYKREDLTKEVMIDGWFHTGDVGEWQPDGSLKIIDRKKNIFKLSQGEYVAVENLENIYGLVSDIDAIWVYGNSFESFLIAVVNPNKQAIESWAEQNGVSGDFNSLCEHTRVKEYILGELNKIAKEKKLKGFEVIKAVHLDPEPFDMERDLITPTYKKKRPQLLKYYKEIIDNMYKSAK >ONI08552 pep chromosome:Prunus_persica_NCBIv2:G5:15348366:15352834:1 gene:PRUPE_5G184800 transcript:ONI08552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNVCVRADVVDDKSSNNRNKNKKKTGERRPNPFSEDPIRSPAPIRVLKDVIPLGHRTRIGDKYILGRELGRGEFGITYLCTDRETKQALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNIVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYSERAAANVARTVAEVVRMCHANGVMHRDLKPENFLFSNKKEHSPLKAIDFGLSVFFKPGERFMEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAESEQGVALAILRGVIDFKREPWPQISESAKSLVRQMLEPDPRKRLTAQQVLEHPWLHNAKKAPNVPLGDIVRPRLKQFSVMNRFKKKALRVIAEHLSVKEVEVIRDMFTLMDTDKDGKVTYEELKAGLQKVGSQLAEPEVKMLMEVADVDGNGVLDYGEFAAVTIHLQRMENDEHLRRAFVFFDKDGSGYIELGELREGLLDESGEIDNEVLNDIMREVDTDKDGRISYEEFVAMMKTGTDWRKASRQYSRERFKSLSLNLMKDGSLQLHDGLTGQAIAV >ONI09271 pep chromosome:Prunus_persica_NCBIv2:G5:17511400:17516001:-1 gene:PRUPE_5G227900 transcript:ONI09271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDLSKKVADRYLKREVLGEGTYGVVYKAIDTQTGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYLQMTLKGLAFCHKKWVLHRDMKPNNLLIGPNGQLKLADFGLARVFGSPDRRFTHQVFARWYRAPELLFGTKQYGSGVDVWAAACIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPTPSQWPDMVFLPDYVEYQYVPAPPLRSLFPVASDDALDLLAKMFTYDPKVRISVQQALEHRYFTSAPLPTYPDKLPRPAPKRESVNSKVSDFNPNDGPTVLSPPRKSRRVMPDREGFEGNAYQGDRIDDRVGETRQAAGENTSRNEPVPMSVDFSIFGAKPPNRPTITSADRTHLKRKLDLEFQQPE >ONI05921 pep chromosome:Prunus_persica_NCBIv2:G5:3413071:3413791:-1 gene:PRUPE_5G028900 transcript:ONI05921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLCVCLAIFHTYHDLLVSKTKSKPKKLD >ONI06196 pep chromosome:Prunus_persica_NCBIv2:G5:5008077:5009363:-1 gene:PRUPE_5G046900 transcript:ONI06196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCMQLVKRANYKATVRDPGALGVLTMTENKFVFSPNVHMSTAAKPALDVEFKQITCLKNTKEGGDRPPWLRLSEKDKTYIFEFASFRDLHVCREFAANALAKRGEAAIPPCSSDEQICTAEIMHRMKLLQESSELHKLHMQYVMSGVLTEAEFWAARNQFGDVDSRPKPKQRVGFRNSMIMDTKPMTDGRTNKVTFSLTAEIKYQIFALKPAVQQAFLALVPSKTTEKNFWTKYFRAEYLRSTGNAAAAEAEAADDEELAMFLKEDEVLAREARRKLRRVDPTLDMEADQGDDYTHLPDHGIFFRDEVYSRRSTLSQDLNRQGAVVLQGRSIVEVDESGVQGGFGRIARTTEMEDLQEPHHLHVAQLLCMKDPGDYFDTQQANNAVKTEEAYGSLRQSISKIKSIGLGNSTVAAEIALTIFNATSF >ONI06219 pep chromosome:Prunus_persica_NCBIv2:G5:5069791:5074288:-1 gene:PRUPE_5G048000 transcript:ONI06219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQELELQSRVENPRPDSARETNLHSSPNGQKLPNYRWWLRVIIYILFLLTGQSAATLLGRLYYDKGGNSKWMATFVQSAGFPILLPLLYFFPSSTKLTTNPVHSTTSSAAKIPKLSTLTLLYLAFGLLLTGDNMMYSYGLLYLPVSTYSLLCATQLAFNAFFSFFLNSQKFTPFILNSLVLVTMSASLLAINADSENNTNNIPKGKYVTGFLCTLGASATYSLYLSLVQLSFQKVIKSETFSTVLNMQIYPSFFATCGCVVGLFASGEWKGLESEMKEYKQGRVSYIMTLLWTAVTWQISSVGLLGLIFEVSSLFSNVISTLALPLVPILAVIFFHDKMDGVKVMAMLLAIWGFLSYIYQNYRDVSESKAKEIMTIKFQGLP >ONI06218 pep chromosome:Prunus_persica_NCBIv2:G5:5069294:5074543:-1 gene:PRUPE_5G048000 transcript:ONI06218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQELELQSRVENPRPDSARETNLHSSPNGQKLPNYRWWLRVIIYILFLLTGQSAATLLGRLYYDKGGNSKWMATFVQSAGFPILLPLLYFFPSSTKLTTNPVHSTTSSAAKIPKLSTLTLLYLAFGLLLTGDNMMYSYGLLYLPVSTYSLLCATQLAFNAFFSFFLNSQKFTPFILNSLVLVTMSASLLAINADSENNTNNIPKGKYVTGFLCTLGASATYSLYLSLVQLSFQKVIKSETFSTVLNMQIYPSFFATCGCVVGLFASGEWKGLESEMKEYKQGRVSYIMTLLWTAVTWQISSVGLLGLIFEVSSLFSNVISTLALPLVPILAVIFFHDKMDGVKVMAMLLAIWGFLSYIYQNYRDVSESKAKETPGT >ONI06220 pep chromosome:Prunus_persica_NCBIv2:G5:5072553:5074543:-1 gene:PRUPE_5G048000 transcript:ONI06220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQELELQSRVENPRPDSARETNLHSSPNGQKLPNYRWWLRVIIYILFLLTGQSAATLLGRLYYDKGGNSKWMATFVQSAGFPILLPLLYFFPSSTKLTTNPVHSTTSSAAKIPKLSTLTLLYLAFGLLLTGDNMMYSYGLLYLPVSTYSLLCATQLAFNAFFSFFLNSQKFTPFILNSLVLVTMSASLLAINADSENNTNNIPKGKYVTGFLCTLGASATYSLYLSLVQLSFQKVIKSETFSTVLNMQIYPSFFATCGCVVGLFASGEWKGLESEMKEYKQGRVSYIMTLLWTAVTWQISSVGLLGLIFEVSSLFSNVISTLALPLVPILAVIFFHDKMDGVKVMAMLLAIWGFLSYIYQNYRDVSESKAKESDTGA >ONI06378 pep chromosome:Prunus_persica_NCBIv2:G5:6161810:6164118:-1 gene:PRUPE_5G057100 transcript:ONI06378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISMALFSPTTHIPTLSPSSSYSKPNLIHKPYLSLRPKNPLFTARASAENGAGALASAATAVKSEAEPKVPEPVEPVPVKTESSAGANGSAAAPAEEVKVVGLFEDSRWVNGTWDLKQFEKSGKTDWDAVIDAEARRRKWLQDNPESSSNENPVFFDTSIVPWWAWIKRYHLPEAELLNGRAAMVGFFMAYLVDSLTGVGLVDQMGNFFCKTLLFVAVSGVLLIRKNEDVENLKKLLDETTFYDKQWQATWQDETPASSPKNK >ONI07802 pep chromosome:Prunus_persica_NCBIv2:G5:13111446:13114046:1 gene:PRUPE_5G140700 transcript:ONI07802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAFSASLFKWDLRGMVVPPSRAQLEAAVTPQAAAAAAAYAAVRPQRELGGLEDLFQAYGVRYYTAAKIAELGFTVNTLLDMRDGELDDMMSSLSQIFRWDLLVGERYGIKAAVRAERRRLDDEDSRRRHTFSGDTTTNALDALSQEGLSEEPVQQEKEMVGSGGGAAWEVVAAAAGEKRKKQRRTRKGQYRNFNGIRRGHNNNDHNEGVDNGDDNDLDDMNGHGNGGGGGLLSERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCRDFLIQVQNIAKERGEKCPTKVTNQVFRFAKKAGASYINKPKMRHYVHCYALHCLDEEASNALRRVFKERGENVGAWRHACYKPLVAIAAGQGWDIDAIFNSHPRLSIWYVPTKVRQLCHTERNNATASSSASGGDGGGRDHLPY >ONI05834 pep chromosome:Prunus_persica_NCBIv2:G5:2920373:2927312:1 gene:PRUPE_5G025900 transcript:ONI05834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLESLRNAHPELSDWYNSLADLYQKKLWHQLTLKLEQFVALAVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYPEKEAAINYLEGVIEKLQATREQRIEEPILYIKMQIAIFKLEQEDQKECKKLLEDGKSTLDSMTDIDPSVYASYYWVSSQYHKFRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVRYQELCRVHNAALRAQPALVENEKKLLEKINILCLMEIIFSRPSEDRTIPLSIIAERTKLSVEDVEHLLVKSLSVHLIEGIIDQVEETVHVSWVQPRVLGIPQIKSLRDRLDGWLDKVHTALLSIEAETPDLVAS >ONI06819 pep chromosome:Prunus_persica_NCBIv2:G5:9604115:9605015:1 gene:PRUPE_5G083100 transcript:ONI06819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEIKARALKKEWDLLIKDYNVCVAFLLVLSNSAEEAAVREPLDQAAVLLRPKPASKASVEALEKLVFDGEVDYQCVVCMEEIVRGDQVTCLPCSHVFHGNCVVKWLKLSHTCPVCRFKLPTE >ONI06294 pep chromosome:Prunus_persica_NCBIv2:G5:5531554:5533367:-1 gene:PRUPE_5G051500 transcript:ONI06294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEDEKPSRNIDECKGSNECGDDVCENVAGGYSCYSNINGRIRICTHFASNLHLRNTNTTCHFIHTPGQASETIILGLGSGVGLLFLLIGAWWVHKIVKKRKTIARKKMFFKRNGGLLLEQQLLSGEVNVDKIKLFNSKELEKATNNFSVDRILGQGGQGTVYKGMLADGRIVAVKKSKMVDKSKLLEFINEVVILSQINHRNVVQIMGCCLETEIEEFPLTWDMRLQIATEVAGALSYLHGAASFPIYHRDIKSATLLQKVKKTTRKQRRFK >ONI06802 pep chromosome:Prunus_persica_NCBIv2:G5:9516283:9517806:-1 gene:PRUPE_5G082100 transcript:ONI06802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSNLEEDPRERASLPPSSLPLTQKWPANFFKVMLLELKIQRGIALPLVAMNLTWFAKIAITTAFLGRLGELQLAGGTLGFTFANVTGFSVLNGLCGAMEPICGQASGAKNIKLLHKTLLMTTFFLLLATLPISFLWLNVDKILIHFGQQEDISTVAKSYLLYLLPDLVITSFLCPLKAYLNSQSITLPSMFCSALALALHIPINILLAKSKGLEGIAMSVWITDLMVGLLLALYVCRIEINAKEGRWKEGGWLDQGVGDWIRLLKLCGPCCLTTCLEWWCYEILVLLTGRLANAKQAVGVLAIVLNFDYLLYSVMLSLATCASTRVSNELGANRAGPAYQSAFVSVAVSVVTGCMGGLVMLAARGSWGSLFSHDKGIVGGVKKMMLLMSLVEVVNFPLAVCGGIVRGTARPWLAMYANLGGFYLLALPLGVVLAFKVALGLSGLLIGFLIGMVACLILVLMFVVRINWDEEACKAQTLASVACQAPELNKDGNHKSTERDDVEV >ONI06206 pep chromosome:Prunus_persica_NCBIv2:G5:5021413:5024093:1 gene:PRUPE_5G047200 transcript:ONI06206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASFCRPLCFTFSETLVKLRCFDLYCRCYETQIAFSRTHNAKLTSDDSQLLHCLSQNLFGEARKLLEKLPARNSHGRVVHWTSLLTKYSRSGCVDEARMLFEIMPERNIVTYNAMLSGYVQSGRLNEGWRFFEEMPERNVVSWTSVLCGLADAGRIDEARGLFDAMPERNVVSWNSMIAGLIRNGDLDGARRVFEQMPMKNVVSWNAMISGYAEHCRMEEARVLFDEMVDRNVITWTSMISGYCRSGNVDEGYSLFQKMPERNVVSWTAMIGGFAWNGFYKEALSLFLKLKGNCDTKPNGETFISLVYACAGIDVPNLGKQLHAQLIVNGWEYDDYDGRLSKSLIHMYSAFGIMNSAYFIFIKNSSNCTAQSCNSMINGYIQIGQLARAQHFFDNLPIRDKISWTSMITGYFSVGQVSKACYLFQIMPDRDAVAWTAMISGHIQNELFAEATDFFSEMRSEGVSPLNSTYSVLLGAAGAMAYLDPGRQFHGLLIKTQYESDLFINNSLISMYAKCGEIDDAYRAFSNMSLRDLISWNSMIMGFSDHGHANETLKIYECMVKSGTRPNSVTFLGILSACSHAGLVHRGWEFFNAMSNIYAIQPALEHYVCMVNLLGRAGKVKEAEEFVSRLPFKSDHAVLGALLGVCGFGETNAEVARYAAKQLLELDPLNAPAHVVLCNIYAANGQHIEEKLLRREMGLKGVRKVPGCSWIVLQGRVNVFLSGDKLLSQVDEMLSLVFGTIGQNHKMGRNM >ONI06854 pep chromosome:Prunus_persica_NCBIv2:G5:9745365:9746098:1 gene:PRUPE_5G085600 transcript:ONI06854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTQSNATFNIMSGTSMSCPHLSGIAGLLKSSHESHPDWSPAAIKSAIMTSANVLNLAGMPIVDQMLNRADVLQLVQAMLTLRKKITQEDYIPYLCGSNYTDKQIQIITQKTVNCSQVGVIPEAQLNYPSFSITTGSNETESQYYTRTVRNIGPASSTYNLDLLVPHKMGMSVKPEVLTFTEVNQEITYHVEFIAEDGAGKDGVAFGQGYLRWVSDKHNVTSPISVIFDNHSILI >ONI09173 pep chromosome:Prunus_persica_NCBIv2:G5:17248461:17253598:-1 gene:PRUPE_5G221800 transcript:ONI09173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTAKISEMPLRSSLPLTSHSSSSLNFLFSASKPSFRLLKPFSSLKATEHSGNPNAKPSHKSKPPSAPWLNTWPPRNSPAELPCQKVNEKVNESHGRDQAVKANTTRYFDKNKGQSAIERIVLRLRNLGLGSDDEEEDDGLGLDGQDSMQPAESGEEKLGDLLQREWVRPDYVLAEQKSNDEVALPWEKEDEISEEEEVKGLRKRRVKAPSLAELTIEDEELKRLRRMGMVLRERISVPKAGITQAVLEKIHDTWRKEELVRLKFHEVLALDMKTAHEIVERRTGGLVLWRSGSVMVVYRGSNYKGPSKSQTVDREGGALFIPDVSSAETSATRSGNDATSGPDNNEKAVKIPAHLPNMTEEEAEFNSLLDDLGPRFVEWWGTGVLPVDADLLPKTIPGYKTPFRLLPTGMRSRLTNAEMTNLRKLAKSLPCHFALGRNRNHQGLASAIIKLWEKSSVAKIAVKRGIQNTNNKLMAEELKTLTGGVLLLRNKYYIVFYRGKDFLPTSVAAALAERQELTKQVQDVEEKMRIKAIDAASSGAEEGQALAGTLAEFYEAQARWGREISAEEREKMIEEDSKAKNARLVKRIEHKLGVAQAKKLRAEKLLSKIESSMLPAGPDYDQETVTDEERVMFRRVGLRMKAYLPLGIRGVFDGVVENMHLHWKHRELVKLISKQKTLAFVEDTARLLEFESGGILVAIERVPKGYALIYYRGKNYQRPITLRPRNLLTKAKALKRSVAIQRHEALSQHISELEKTIEQMSSEIGVSEDIADESTWSSRDPDQIHGASEFVQSEDEASRMGSDGSDDDEGFDWEDED >ONI06026 pep chromosome:Prunus_persica_NCBIv2:G5:3994628:4000339:-1 gene:PRUPE_5G035200 transcript:ONI06026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAETLDGSSPFSQKEAVDDHALVSIAENANGSSPSSPLKALDDMASDSSLPNPTGSSPSVEEGQEDEPSPPSPLKIVGNDLSNYESDPHSESSGLSRWSSSAPSWSSRWSSNSTKESVISSDSQFSICETKPSMVGAGLANLGNTCFMNAILQCFTHTVPLVEGLHSCSHSMPCDRGSEGFCVLCALHDHVDLSVASSGRVISPWKLVDNLNHFSSFFQRYQQEDAHEFLQCFLDKLEKSCLDSLEKESPSAQDHNLVERVFGGRLLSKLRCCNCGHCSDTYEPLIDLSLEIEDAETLQRALESFTKIETINDLETKFTCENCKEEVSVEKQLVVDQAPPVAAFHLKRFKTDGSHVEKIEKHVEFPLELDLKPYTSGSDNNVELKYELYAIVEHVGFSSTSGHYFCFIRSSPDTWHRLDDSKVTRVQEEFVLSQEAYILFYARQGTPWFSSIVESLKPCLDPAMTNTSPKSVLENVESVSILSPSVNNVDCCVANEPGDAHERITLSLPRARCEEVEVIDTRDAADGCSKETKDSAAVIDASTPGRASNSFDGKSHNNENICSTSSLGGNRCHKRSPKVYLHPMTPPRSPSPDLPSFESPEPIYCLPRDHSKSVDNVACKRSLNNIIRSDHLKSADNALCKRPSNNDVDDSKRIEAFRYLSKKSLTRDRGSQLLAAMVGPQSEGSSNKRRKRVGSSPCKKVSPPGGSHKSMRPVAAALR >ONI07533 pep chromosome:Prunus_persica_NCBIv2:G5:12366803:12370700:1 gene:PRUPE_5G126300 transcript:ONI07533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSLFPSHSHSSFSLFSSLLLSLLLPTMTFTTKASSACTSEPGPVKPTIPLVAFFDRLQETALATFGKTKFDPKFYVDLSLKFELSKTQTAFDQLPKSANGSASVKDLKEFIAEYFEDAGEDMVLAEPEDFVPEPEGFLPKVKNPEVRAWALEVHLLWKNLSRKVSDGVHKRPEFHTLLPLPQQCVIPGSRFREVYYWDSYWVIRGLLASKMYDTAKAIVSNLIYLIEEYGYVLNGARAYYTNRSQPPLLSAMVFEVYKRTGDVEFARKSLPALIKEHEFWNSGIHKVTVQDSQAQKHTLSRYYAMWNKPRPESSTIDKEFASNISNVYEKQHFYREVASAAESGWDFSTRWMRNHSDFTTLATTSILPVDLNAFILRMEHDIALLAKVTGDHNIAERFLKASEARHEAIKTVFWNAEKGQWLDYWLGNSTCNAEAQTWEACNQNQNVFASNFVPLWIEPFFSDASLVEKVTRSLQSSGLLCDAGIATSLTKSGEQWDFPNGWAPIQHMIVEGLARSGLKEAKLVAEDIAVRWIRTNYVAYKKTGTMHEKYDVEKCGAFGGGGEYIPQTGFGWSNGVVLAFLEEFGWPQDRRINC >ONI08823 pep chromosome:Prunus_persica_NCBIv2:G5:16313034:16313429:1 gene:PRUPE_5G202900 transcript:ONI08823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITATHDRPPHTLSPIWRLSPRHNGSTLYDSYELRAVTHQLNKAIQASKASSPHYKYCFNSPFCTQGLSRIYKESVKTPKRILCQQQLSCAAATTDKKPSTRPPGAASMGFVTRAWRKVKQGFLKNKPRND >ONI09136 pep chromosome:Prunus_persica_NCBIv2:G5:17179325:17182017:-1 gene:PRUPE_5G220600 transcript:ONI09136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSINHNRKRPRYDSDHPETNHTQPESKLVRVNSSHSVTCSPESGSTVFDSTNSEVNSDESLTPQPVRVDSDELVMESEEVKLIQDDLLNILDDSDTVTDRDPAIQDLDSVIKSFEEEIQVPAFPVSETTSSPGGSSQPELGYLLEASDDELGLPPTNGGSEDGKLEAADFTSSGSEAVGLDGMLGFENDIIPNYDSFELGIGGDCNLNNNYNGGAEYVALGGLFDYSDGGVSDVSWRNESLSAL >ONI06955 pep chromosome:Prunus_persica_NCBIv2:G5:10215227:10220296:1 gene:PRUPE_5G091500 transcript:ONI06955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKRKQYQWHFGAAPQPALKNHHSLLNGGEQEPLTSSQRHNKPRIDVKKEASLNKHAIQQLLQSQDSEELQRNKLQIQELFHYNMSQNQDQPKILHPSLQLKGDDKEKQQQPMRHVVTQQEVVHQASVMQLPDEGVCSRRLMQYIYHLRNRPADNNLSYWRKFVAEYYAPSAKKRWCLSSYDEVGRDALGILPHLTMVPWQCNICGCKSRRGFEAYFEVLPRLNEITFGSGVIDELLFLDLPREIRFPSGVMMLEYGRAVQESVYQQLHVVHEGQLRIVFSHDLKILSWEFCVCSHEVFFRRTAVAPQVVQLVHAVQDYKCSIDDRGSDGVLFQDVQANCNRILAAGGQLAKTVDQQLVDDLGFSKRYTRCLQIAEIVYTMKDLMILCQDNVTGPIESLESYCRGAAMTKLQKQEIKGKEQLESARDPPKDNNKLMAASCGFRSNTNESSPMSHKGLSTSAELAASLLRGSHHKLMGQSNLTSIVSRASQEPHIQDTSSEPFQGPRTSNPGLIKSSVENGLSSLDSSMKQYAIQKLVQEMINNNSRSANKHDREEPIWGSGKGSVIELPSGVWGCPTAAAAQGNVFNSIAGRTSSSKAAFNGNSSEVHTNNCFINGEPNLSGKLCLPESIVNISHGYHDHNSIYGNGNDVGYGWKV >ONI06956 pep chromosome:Prunus_persica_NCBIv2:G5:10215651:10220266:1 gene:PRUPE_5G091500 transcript:ONI06956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKRKQYQWHFGAAPQPALKNHHSLLNGGEQEPLTSSQRHNKPRIDVKKEASLNKHAIQQLLQSQDSEELQRNKLQIQELFHYNMSQNQDQPKILHPSLQLKGDDKEKQQQPMRHVVTQQEVVHQASVMQLPDEGVCSRRLMQYIYHLRNRPAVPWQCNICGCKSRRGFEAYFEVLPRLNEITFGSGVIDELLFLDLPREIRFPSGVMMLEYGRAVQESVYQQLHVVHEGQLRIVFSHDLKILSWEFCVCSHEVFFRRTAVAPQVVQLVHAVQDYKCSIDDRGSDGVLFQDVQANCNRILAAGGQLAKTVDQQLVDDLGFSKRYTRCLQIAEIVYTMKDLMILCQDNVTGPIESLESYCRGAAMTKLQKQEIKGKEQLESARDPPKDNNKLMAASCGFRSNTNESSPMSHKGLSTSAELAASLLRGSHHKLMGQSNLTSIVSRASQEPHIQDTSSEPFQGPRTSNPGLIKSSVENGLSSLDSSMKQYAIQKLVQEMINNNSRSANKHDREEPIWGSGKGSVIELPSGVWGCPTAAAAQGNVFNSIAGRTSSSKAAFNGNSSEVHTNNCFINGEPNLSGKLCLPESIVNISHGYHDHNSIYGNGNDVGYGWKV >ONI06954 pep chromosome:Prunus_persica_NCBIv2:G5:10215651:10220266:1 gene:PRUPE_5G091500 transcript:ONI06954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKRKQYQWHFGAAPQPALKNHHSLLNGGEQEPLTSSQRHNKPRIDVKKEASLNKHAIQQLLQSQDSEELQRNKLQIQELFHYNMSQNQDQPKILHPSLQLKGDDKEKQQQPMRHVVTQQEVVHQASVMQLPDEGVCSRRLMQYIYHLRNRPADNNLSYWRKFVAEYYAPSAKKRWCLSSYDEVGRDALGILPHLTMVPWQCNICGCKSRRGFEAYFEVLPRLNEITFGSGVIDELLFLDLPREIRFPSGVMMLEYGRAVQESVYQQLHVVHEGQLRIVFSHDLKILSWEFCVCSHEVFFRRTAVAPQVVQLVHAVQDYKCSIDDRGSDGVLFQDVQANCNRILAAGGQLAKTVDQQLVDDLGFSKRYTRCLQIAEIVYTMKDLMILCQDNVTGPIESLESYCRGAAMTKLQKQEIKGKEQLESARDPPKDNNKLMAASCGFRSNTNESSPMSHKGLSTSAELAASLLRGSHHKLMGQSNLTSIVSRASQEPHIQDTSSEPFQGPRTSNPGLIKSSVENGLSSLDSSMKQYAIQKLVQEMINNNSRSANKHDREEPIWGSGKGSVIELPSGVWGCPTAAAAQGNVFNSIAGRTSSSKAAFNGNSSEVHTNNCFINGEPNLSGKLCLPESIVNISHGYHDHNSIYGNGNDVGYGWKV >ONI07200 pep chromosome:Prunus_persica_NCBIv2:G5:11215447:11216869:-1 gene:PRUPE_5G105800 transcript:ONI07200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHYCCKQRKVKKGLWSPEEDEKLIRYITTYGHCCWRKVPEQAGLQRCGKSCRLRWLNYLRPDIKRGGFTPEEEKLIIRLHGVVGNRWAHIAKCLPGRTDNEIKNYWNSWIKKKLEKPSSPPTTSPPTIDHHQQHNYQMVCNFPNHQDFVNQNFMTKPRDQTLYPSPFPPFMFDAASADDIQANNINAQAAEHFPIGVSNSRNGTWILDQPQHHHQVQALPSSTSPFTNINMDAHFLPALVGPTIGNNNMVPIEVESCNNIDEEGKISMECLQSSTQELNALVRPRQAQHCSSSSFHFWDSVEAPSSSNMSSANILSSFPSYL >ONI05580 pep chromosome:Prunus_persica_NCBIv2:G5:1477157:1479408:-1 gene:PRUPE_5G013700 transcript:ONI05580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKEKFGSSEAAAGSSMEVIGMGPRDEDGSSSSSSSSSSNSFRTAETLLRLLPIGPCVAALVVMLHDSQTTDFGSLSYSHIGAFRYLVNANGICAGYSLLTAIIAAKPRSPTMSQAWAFFFLDHVLTYLILGAGAVSMEVLYLAYKGNSDVTWSAACGSFGGFCHKATASVAITFVVVACYALISLISSYKLFSKYDAPHPVANPIKGIPTATFHA >ONI07520 pep chromosome:Prunus_persica_NCBIv2:G5:12312364:12312813:1 gene:PRUPE_5G125300 transcript:ONI07520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAVKKPHSRPVTRYDKSLENGLQSTSANFGGYAGANTQFLTINTLATRVIHIFPFLKKMSNKGTAHIEQNNSFCMECSRK >ONI08338 pep chromosome:Prunus_persica_NCBIv2:G5:14688837:14689896:1 gene:PRUPE_5G172200 transcript:ONI08338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPEAETHPDQLVNNNNNPCLDLFYNVGEEDVHDPAQMEASCNYVKQVLPLAWSHNPLTALKLVCNLLDGKFNLSAFYTAAFWLHHNHPKTLLCNLASIVRPFRAFSNSMNIVYHILREGQDIYKTTRRRGYNRYQLLHKAVKRYKHDRDYQLLHDQVSDILAEMFKSDMEKLKFKEAAEEQNKNNKLKQLSESDNDDRITMAACDIHSAVSTFADYNPDYSITSLLENIARKFFPPEEYHKLELGGGHGKGKRAKAKDADRVMVKRLKDEVLPSLKKAYFAQDYPPDEASAVETYLEKVKASCCCSGSAGKISSSSSLLADALLPHEITGYVHHWNLGRAAELQ >ONI08164 pep chromosome:Prunus_persica_NCBIv2:G5:14168072:14170049:1 gene:PRUPE_5G160900 transcript:ONI08164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFIWVALALSLALVVGVAQSFDFHEKDLASEDSLWDLYERWRSHHTVSRSIDEKHKRFNVFKENVKHVHNTNKGDKPYKLKLNKFADMTNHEFRSVYAGSKVKHHRMLQGEQRGDGGFRYENVDSVPPSVDWRKKGAVTPVKDQGQCGSCWAFSTVVAVEGINQIKTNKLVSLSEQELVDCDTEQNQGCNGGLMELAFEFIKRKGGLTTETNYPYRAEDSTCNVAKENAPVVSIDGHESVPANNEDALLKAAANQPISVAIDAGGSDFQFYSEGVFTGQCGTELDHGVAVVGYGATLDGTKYWIVKNSWGPEWGEKGYIRMERGVSAKEGLCGIAVEASYPVKNSSTNPKGSLTSYLKDEL >ONI05900 pep chromosome:Prunus_persica_NCBIv2:G5:3277329:3282793:-1 gene:PRUPE_5G028100 transcript:ONI05900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQPRKKKLPSTLQNKSLLRLKKVRRLKGDADDHSNDTLKTTAEAGETSNISEVKENHHVDSHVPEPYSQVAQDVQNDYSYSQGAADYNQLLNQYYEVEEKRQKLLEQLHQFGGWNYQYSGEVSGSIVQGDTAYSSQQHAVPTCQPSNPAVVCSCCPYLGQCLMAPCISFPASLPGGSCAGRTCTNACLATSPGQSFSLEDDRIVKTAMGTAERALSSMKMKISGDSNISEDKKDEKREGEMAESTSSETDLTLVLNAWYSAGFFTGKYLVEQSVAKRRHT >ONI05899 pep chromosome:Prunus_persica_NCBIv2:G5:3277810:3282654:-1 gene:PRUPE_5G028100 transcript:ONI05899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQEGTLWDDSALINAFDDAMSSYKKIHGKKMNEASTKEEKVAINTAEQVITEIEESEEAKRPGDADDHSNDTLKTTAEAGETSNISEVKENHHVDSHVPEPYSQVAQDVQNDYSYSQGAADYNQLLNQYYEVEEKRQKLLEQLHQFGGWNYQYSGEVSGSIVQGDTAYSSQQHAVPTCQPSNPAVVCSCCPYLGQCLMAPCISFPASLPGGSCAGRTCTNACLATSPGQSFSLEDDRIVKTAMGTAERALSSMKMKISGDSNISEDKKDEKREGEMAESTSSETDLTLVLNAWYSAGFFTGKYLVEQSVAKRRHT >ONI07241 pep chromosome:Prunus_persica_NCBIv2:G5:11367794:11368951:1 gene:PRUPE_5G107900 transcript:ONI07241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDKFPILVPKKMHNMKCYAYIAAFAVFHTLIILAFGLFAVPTEAPTVRVRSVAIRSLDYCASDEPFFNITLVVEAAMRNKNFGHFWFDPSTANVTYGGQDLVRSSACIDSSGALAHQWIAKTLHHFLDFHLLVPMEPAFFDLLESFGLENVLLMFGCLDSRV >ONI07816 pep chromosome:Prunus_persica_NCBIv2:G5:13150979:13151962:1 gene:PRUPE_5G141500 transcript:ONI07816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLYYPFNIKFELISTISKLISRPPVSHLHLQPQFSVFVIPPQFLFNLIILSFVGGLSWATDDDALERAFSLLIINDREIGRSRDFSFVTFRAGPWSEQLGPSPGAHN >ONI07380 pep chromosome:Prunus_persica_NCBIv2:G5:11838969:11840888:1 gene:PRUPE_5G116200 transcript:ONI07380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDTQQKLNPPHVAIVPTPGLGHLIPLVELAKRFVVQHNFTVTFIIPNDGSSMTPQKQVLKALNPQSISSTFLPPVNFDDLPEDAQIEARIVLTMTRSLSALRDSVKVLAESTRLVALVVDVFGADAFDVANECHVAPYMFWSTNAMALSFGFYLPWLDETTSCAYRDMPKPVRFPGCVPLHGRDFLDPVHDRSNQGYKSTLQICKKFKLASGIMVNSFTDLEPEIFKALKEARTSQGFPPVFPIGPVIKLDSAGVSDGDHKCLGWLDRQPRGSVLFVAFGSGGTHSLEQMKELALGLEMSGQRFLWVVRSPNETAKNGTYFSSSSTAQQKDPLGFLPDGFLERTKEVGLVVPSWAPQVHVLSHESTGGFLTHCGWNSTLESIVNGVPMIAWPLYAEQYINAVLLADDIKIAWRVEMNDKGIVEGQDIARYARGLIEGDEGRLLRNKMKELKEAAKVALSQGGSSTESLAEVAEIWKGHN >ONI09117 pep chromosome:Prunus_persica_NCBIv2:G5:17119196:17120976:-1 gene:PRUPE_5G219200 transcript:ONI09117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENNSPTYLSSRNPCLDFFFHVVPDTPPSYLDQQLPLAWAHDSLTTLKLICNLRGVRGFGKSDKEGFYTAAFWLHRNHPKTLACNLASFAQFGCFRDLPEILYRVLQGQDVREKEKAEWQRIKGSGKRGRIMRTDGTRRDKSKSKAKRVKEESREVRINKSLERDKLEKEKISDLFAECLKSDMECLKRNNYITLAAKWCPSIYSSFDRATLLCESIARKVFPRELCPEYEGIEDEHYAYRVRDRLKKEVLVPLRKAMELPEVYMTTKQWGSIPYNKVASVAMKLYKEKFLEHDKERFSKYSEDVKAGKSKIAAGALLPHEIIAQLNIGFYDHYSFKSEPNENGDVDVQVAELQWKRMVDDMLKQGNMNNCLAVCDVSASMGGTPMEVSVALGLLVSELSEEPWEGKVITFSQNPQLHLIKGDDLSSKCKFVRRMEWGMNTDFPTVNGNLKPEHMIKRTFVFSDMEFDQASSNSWETDYERKFKEKGYENAVPQIVFWNLRHSSSTPVSKTQPGVALLSGFSKNLMKMFFKHDGEICPELFMEIAISGEECQSLTVVD >ONI08920 pep chromosome:Prunus_persica_NCBIv2:G5:16567965:16571794:1 gene:PRUPE_5G207800 transcript:ONI08920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRCLSDTGSIVKLSSFAILLVFLLSCFSFTSTEAYDALDPTGNITIKWDVISWTPDGYVAVVTMFNFQQYRHIQTPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSRYKGNVPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVMNSWIQDPANAISSFQISVGAAGTTNKTVRLPKNFTLKAPGPGYSCGIAKIVKPTRFLTADKRRWTQAMMTWNVTCTYSQFLAQKTPTCCVSLSSFYNETIVSCPTCACGCQNNATDPGSCVEPNNPYLASAVSGPGKSTNAPLVQCTSHMCPVRVHWHVKINYKEYWRVKVTITNFNYRMNYTLWNLVVQHPNFDNLTKIFSFNYKSLTPYAGLNDTAMLWGVKFYNDLLTQAGPLGNVQSELLFRKDASTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNSSPKQVISILHPAITIFVSLLFLLAYA >ONI08757 pep chromosome:Prunus_persica_NCBIv2:G5:16044493:16046843:-1 gene:PRUPE_5G199200 transcript:ONI08757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALTKVGSFWISKKAKEEISNITEDLSSLSNTVEEKAKWIFNKLKGKPQKPLPDLLREYYLPPGLFPQNITCYEFDESKGKLIVYLPSPCEVSFKDSSVVRYNIRVKGTLSRGKLSGIEGMKTKVLVWVKVTSVSVEGYKSDKVWFTTGVKKSRPKDAYEMPRDAIKVEDF >ONI09174 pep chromosome:Prunus_persica_NCBIv2:G5:17253983:17259526:-1 gene:PRUPE_5G221900 transcript:ONI09174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVRGLSVEDAVEFFNYVMEEKPFLPFLIPLVLFAWGIERWVFSFSNWVPLAVAVWATIQYGNYQRRILVEDLNKKWKRVILNTSPITPLEHCEWLNRLLIETWPDYMNPKLSIRFSSIVEKRLKHRKSRLIERVELQEFSLGSSPPSLGLHGTRWSTSGDQRIMRLGFDWDTNDMSILLLAKLAKPFMGTARIVINSLHIKGDLLLMPVLNGKAILYTFLSVPEVRIGVAFGSGGSQSLPATELPGVSSWLVKLFSDTLVKTMVEPRRRCHTMPAVNLKKKAVGGIIYVTVISASKLSRNGLRGSPSRRQFDKSSEEQFVDKDLQTFVEVELEELTRKTRVSLGSNPNWNSKFNMVLHEETGNLRFHLYECTPNNVKYDYLASCEIKIKYCEDDSTIFWAIGPDSGVIAKHAEFCGKEVELVVPFEGVNSGELTVKLVLKEWQFSDGSHVDNSLVSSRRSLFGSSNFLPRTGRKVNITVLEGKDLVSKDRSGKCDPYVKLQYGKSLQRTSTAHALSPVWNQKFEFDEIGDGEYLMIKCYNEDTFGDDSIGSARVNLEGLVEGSIRDVWIPLEKVNSGELRLQIEAVRVEGSEGSRAAGSNNGWVELVLIEAKDLIAADLRGTSDPYVRVQYGNLKKRTKVMYKTLNPHWNQTLEFPDDGSPLLLHVKDHNALLPTSSIGDCVVEYQRLPPNQMSDKWIPLQGVKRGEIHVQVTRRVPELEKRSSLDSEPSINKAHKISSEMKQMMMKFQSLIEDGNLEGLSTAMSELEALEDTQEEYMVQLETEQTLLLNKIKELGQEIFNSSPSLSRRSSGI >ONI08905 pep chromosome:Prunus_persica_NCBIv2:G5:16549832:16552465:1 gene:PRUPE_5G207500 transcript:ONI08905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEPNSLFIPPTGFSSVLSLELFLCLFLFVSVFSFWLSPGGLAWALSKSRAQHPSKTAIPGPSGLPVLGLVSVFTGSLTHRVLAKLAETSKAKPLMAFSVGFTRFVISSHPDTAKELLNSSAFADRPIKESAYELLFHKAMGFAPFGEYWRNLRRISATHLFSPKRIASFGLFRENIGHKMVEEMKALMERAGEIEVRKVLHFGSLNNVMMSVFGRSYEFGEGCENDGEAHELEELVSEGYELLGIFNWSDHFPLLGLLDLQGVRKRCKKLVAKVNVFVGKIIEEHRVKRVVGADHESGDFVDVLLDLEKVNRLSDSDMIAVLWEMIFRGTDTVAILLEWILARMVLHPDIQAKAQSEIDTVVGTGNRSVSDSDLPNLPYLHAIVKETLRMHPPGPLLSWARLAIHDTHLGHHFIPAGTTAMVNMFAITHDEKIWSDPNEFKPERFIMNEDVPIMGSDLRLAPFGSGRRTCPGKAMGLATVELWLAQLLQSFKWVSSDDQSAGVDLSETLKLSLEMKHSLVCKAVARVVI >ONI08944 pep chromosome:Prunus_persica_NCBIv2:G5:16638479:16639902:1 gene:PRUPE_5G208800 transcript:ONI08944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQPKLNHCLNGIGFLSGFGGGFQALCFRPHLDSHSTDTCRRRMELLLFWRSEDRYGGGLGGCNQIGSETLLNQLKK >ONI06224 pep chromosome:Prunus_persica_NCBIv2:G5:5131212:5136149:-1 gene:PRUPE_5G048300 transcript:ONI06224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSILESIGVEIIGVMSPVSICMLLVVLLVYALSPSNPFSAAASAPPIRTAANLVYLESPSDSASQKLEGALLNALVFVVLIAIVTFLLVLLYYYNFTNFLKNYMRFSAFFVLGTMGGSIFLSIIQHFSIPIDSITCFLLLFNFTVVGVLSVFSGGIPIFLRQAYMVCLGIIVAAWFTKLPEWTTWVLLVALAVYDLVAVLAPGGPLKLLVELASSRDEELPALVYEARPTVPRNTQRRGSGLELLVAGVSDSGSVELQAVTRDNVNRNENESRGNSEYSAVEFGSFGSEGHESNRDEGERLLSEENARDRQSLSSDSLGYSTVIVQSDRATQFSSGASEIINEEMSPLVEMTGLGNEREQLRRDGSEMFSKGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCHRALPALPISITLGVVFYFLTRLLMEPFVVGTATNLVMF >ONI08817 pep chromosome:Prunus_persica_NCBIv2:G5:16295397:16299811:1 gene:PRUPE_5G202300 transcript:ONI08817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDFPSNPFREPNGVIEPLHIEVIGEIVDLPKECPLMVSWSHLLHKRLNANTVPNFGAYMEILGNATDENFIQQPYQRLSHTLLGEGYERYAPQLAKACSIALCINYHTVVPHKPNNYARQFGFENINLDSIPELPDVVVEVRPNHLARGLMGRWGPVRPIEKKPSSARYGL >ONI05458 pep chromosome:Prunus_persica_NCBIv2:G5:904742:911741:1 gene:PRUPE_5G008500 transcript:ONI05458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLTNLDLGSMNRGDNFKKKKLNHPPSSFVKSLWLTAIILKIILFFNLPNRSRCCGREIARLFRRHHGFRYCEIQIGERYYPLGNIEHAAAKNLSGVFETKWKEDTEKKPNAICPPLRRVHLRLHLRNWVYTLKEDDELEGQDEEAFVNRDWKEFSNCLRFPSVMCIRVEYLFRIATYNAWSHIQFCNLNLFIYSDVMLNSRVLFIPFDIIWCFIILMQKISLSLVIMGNNTEFQSSVVWLSENLTLVDARVNLVECNIRVLGGLVSGHLLATDSTDRLGEGTQESAAYFGRGFGETLSTCI >ONI09108 pep chromosome:Prunus_persica_NCBIv2:G5:17088777:17091892:-1 gene:PRUPE_5G218400 transcript:ONI09108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCDASSWLCGRHVSVTTAAPTRSATGPSSFFLCSKPTVFCCTDASKDSSSTCSDTARPLYNPLKRRQSSHAPDVVRHWIQTDNQQPLSSQDRFTVASYNILGDRNAFAHRDMYRNVPSHYLKWDRRKRVICDELVQWNPDIICLQEVDKYFELSEILAKVGYLGSYKRRTGDTVDGCAIFWKADNFQLLEEHSIEFKGYGLRDNVAQLSVFEMQKAESRRFVIGNIHVLYNPSRGEVKLGQIRFLISRAQILSERWGNAPIVLCGDFNSTPQSAIYKFLSTSELNIMLYDRRELSGQRDCHPAQVLGVKQEISSPLTLIDGLLKHCWTDEEVRVATGDAESNLVVHPLKLNSSYATVRGTTRTRGSNGEPLATSYHSKFLGTVDYLWYSDGLVPTGVIDTVPVDILQRIGSLPCKKVGSDHLALVSEFAFTLDTNGDNKTAASSI >ONI08027 pep chromosome:Prunus_persica_NCBIv2:G5:13835747:13839220:1 gene:PRUPE_5G154100 transcript:ONI08027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETNPSRWIDPKSGYCPQTKTFHTLRPPVPLPPLSQPLSITHFALSLLQSSAVAPTTTVLIDAASDHHVSYENFIGQVHSLTLSLRSLAPALSKGHVAFILSPTSLHVPVLYFSLLALGVVVSPANPIGSDSEIAYQVRLTRPAIAFATSATAHKLPKDELRTIILLDSPEFLSMLNRVGSSDTRPDFGIEVSQTDSAAILFSSGTTGRVKGVILTHRNFIGLLAGLHTLRREPDLTLPEEQVVSLFTLPLFHVFGFFMLVRAVAMGETLVLMERFDFEAMLRAVERYKVSYMPVSPPLIVALVKSELAQKYDLSSLRLLGCGGAPLGKEVAERFTERFPNVELVQGYGLTETGAAATRMIDSEESKRYASVGRLAENMEAQIVDPETGEALPPGRRGELWLRGPTVMKGYVGDDKATAETLDSAGWLKTGDLCFFDDEGFLYIVDRLKELIKYKAYQVPPAELEPILQSHPDIADAAVIPYPDEEAGQIPMAYVVRKAGSNITEAAVMDFVAKQVAPYKKIRRVSFINSIPKSPAGKILRRELVSHALSSRSSKL >ONI05723 pep chromosome:Prunus_persica_NCBIv2:G5:2329733:2334508:1 gene:PRUPE_5G021300 transcript:ONI05723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNKERAALCSTGRMERYKLIKEVGDGTFGSVWRAINKQTGEVVAIKKMKKRYYSWEECVSLREVKSLRRMNHLNIVKLKEVIRESDILYFVFEYMEYNLYQLIKDKEKLFPEADIRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDVIKIADFGLAREIDSQPPYTEYVSTRWYRAPEVLLQSYLYSSKVDMWAMGAIMAELFTLRPLFPGVSEADEIYKICSVIGSPTKDSWADGLHLAREINYQFPQFAGVHLSTLIPSASDSAISLMTSLCSWDPSKRPTAAEALQHPFFQSCYYVPPSLRSRSTVARTPPFAGARGASEQQCARKLSGTLSNSKISSNFPSPKLHASMGTGVQRKLDMVNQDAKKNDKYLKSSAKQQKYRPPGKSSPTTVNKGRITHGVSDTSEKLANMTIGYRKQTVGQQMRPPPMKAGVQWIGESGNLYLRPVQEIQPGRTYSRKVAG >ONI05461 pep chromosome:Prunus_persica_NCBIv2:G5:924409:926173:-1 gene:PRUPE_5G008800 transcript:ONI05461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADSKSYIVGGRFPLQPPNLEVFACEPNPDDPEGLCKSNLPALSGPKLDPFVFTIEGKIYVLATEYRGGPVEEKSLALFEVFNPISGSWKVLPNPPFIFGHRGFPNIFANDWHVWGHKILISN >ONI08579 pep chromosome:Prunus_persica_NCBIv2:G5:15437497:15438991:-1 gene:PRUPE_5G186700 transcript:ONI08579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKYYLRDLNRRDIYVSGKQPQTWHAGEIKIDPACSFLNRLCLATPIYTINQWVHHKLDHHLFNGLILLLQLRFHRFGISGSLMADVRGSTRWSTSTIGLRRKVVPFSEWMEDSKAHYLLLQLPNFKKGEVKVEVNDSAGHVTISGGRQVNKENESGSSEEEEEEEDEVESFDEEESESDQSSEDEMYFVEIKNEFEYFEEKFSIPPNSDANMITAKLEGETLYIIIPKVGATENDTQYTNVQGLFLRPENDGHYSNILLGNGHVLFSLENIRKWDEHEDGVLRMAMEMLSKKKRMILTAATATAVVAFSLGMLVSHQTFQSRGGE >ONI06652 pep chromosome:Prunus_persica_NCBIv2:G5:8742680:8743051:1 gene:PRUPE_5G072900 transcript:ONI06652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPGHYQPTPNPASPLPHPSSSSFFFSSTSPPSFPTPISPSDAYAHLLPLEGGDWGVGMVSDLGCDGLGKEESGLFVGGGCEVVWVVCRR >ONI06580 pep chromosome:Prunus_persica_NCBIv2:G5:8262350:8266063:1 gene:PRUPE_5G068400 transcript:ONI06580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEMLRMKREVIEDVAIIGGLVGVQFVYAGNSVLLSYFMSLGLDPLTIVIFSTLATFIILSPIAAFFERHTWPSKVSLKLMIQLVLIAFGGVTVFQTLFLKGIKLTSPAMATAMPNLAPGFIFIIACTVRLERVKISCLYSKVKILGTLLCVLGAITMSIMQSTTTPAEREAQFQAHAPDVVFDKQKIIGCLYLLSAVFVLSSNIVLQATTLRDFPAPMSLCAITSLIGVFITAAIQFVQDRKIETGWPLVSAKDLVGFSLLAGTVSGVCVSFNGWAMKKRGPVLVSMFSPIGTVCSVVLSLVTLGQSISVGSFAGMCLMFTGLYFFLWAKGKEVYLDVVDLESEFDAEKPLLS >ONI08177 pep chromosome:Prunus_persica_NCBIv2:G5:14203422:14204684:1 gene:PRUPE_5G161800 transcript:ONI08177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLDLPLCASAQGLKALNKGSGNHLLELIIWQVTHTSIVQGDARCTMCCRGVSNGGWGISNGG >ONI06237 pep chromosome:Prunus_persica_NCBIv2:G5:5190935:5197066:-1 gene:PRUPE_5G049100 transcript:ONI06237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFMAAPNANANSTSNPNPNNSFEVAQPPNDSISSLSFSPKSNILVATSWDNQVRCWEIQQSGTNLVSQPQAAISHDHPVLCSTWKEDGTTVFSGGCDKQVKMWPLGGQPVTVAVHDAPIKEIAWIPEMSLLVTGSLDKTMKYWDVRQPNPVHTQQLPERCYALSVRYPLMVVGTADRNLIVFNLQNPQTEFKRILSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDEKQNDKNFTFKCHREGTRIYSVNSLNFHPVHHTLTTAGSDGSFTFWDKDSKQRLKEMSRCNQPIPCSTFNNDGSIFAYAVCYDWGKGAEKHNPDTAKNYIFLHVPQEAEVKRKPRVNTGGNR >ONI09075 pep chromosome:Prunus_persica_NCBIv2:G5:16975115:16977696:1 gene:PRUPE_5G216400 transcript:ONI09075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKISFLAVASIAAYAVSHKNSNYTRKQLDSAAIKPPENDGSNFQQQQNEGEMEDEEEAAEIFRSKQMNSLSEIELLVKELQQRKVRLEKKLLELCVLKEEQSYMVHLQRHMEDKSAEIGMLNVTIASLQAERKVLQEDAKQCVLAKKQLEMAKKMIAEMQKKMDSNESSIMKGRLLVMEEQVSSFPKDEISVRDAIAEKKLKAVKNVELEVLKMKRRNKELELEKRELAVKLVSAEARISTLSSMTESETVAKVEEEISRLRHTNAELSRQFERLQKNRFEMVQERVYQRWLYTCLRFETQIHNSSSHKSHEKNEPLISSNPSYYSPSSHTSSTSLSDEIETTTIDSSSSSSQRSINKKSGFIHRIKRWGRSNDESDAISLSDRSSGGNSLSKKGLVRRLSTSMVPVKKTSILRNKGDSGTSSSSPSSKTPTSSSRGRRRVSFSDSVRSTTDQYSMPKPFDHQRVLMNSTQNYNKIEFEGETQEEDPSSPEVSVPATNPTLTKDDTNISEIADTEVGLNKKTMPLPCVDFVAEKENKVDTNNVVGFVAALFLLLFILVARLTYYTAS >ONI05589 pep chromosome:Prunus_persica_NCBIv2:G5:1549849:1550706:1 gene:PRUPE_5G014200 transcript:ONI05589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKGDGGWKSVAYNTAAAILFAQYNIEVSADNIKNCVKTWKRFYAVVSDILSQSGFNWDATKKMITIDEEISHEDARTFRYKVIANWDDIVDLCGKDRATREGAETCARAVEFMTPDSEPNNFVDLGVDTQGFENSHIDDVSPNSSCPKKRNQPSYDIRPPKKRGTPNISADSVAKMASSFKQIFNATTQKLDPLEVYNEVNAIPELSPDEQLKACTWFIENEKQFLMLKTLPVERKKGMVLMA >ONI06899 pep chromosome:Prunus_persica_NCBIv2:G5:9881452:9882752:1 gene:PRUPE_5G087700 transcript:ONI06899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFCLLVGGWMESKNWGKGPLSFYNFLAVVFWIQRLFFFYFLLLPISSMYGCVKDITKFHFTFGLTKLTSVTLFFPFSTSCCSESKTS >ONI06588 pep chromosome:Prunus_persica_NCBIv2:G5:8305629:8306383:1 gene:PRUPE_5G068900 transcript:ONI06588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIADVIATHLLLICGTKHMARNWFSLFRLWFTFVSLDNVSGLFNCTHSYGTHGYIFFYTCIANFIHGCILISTYVINHNQSTFFKGFRERGASEFRTS >ONI06080 pep chromosome:Prunus_persica_NCBIv2:G5:4367490:4370752:1 gene:PRUPE_5G039100 transcript:ONI06080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRKMAGMLGFTKDDGHEVKDNGEEDEDDNQAQNRANFQETGLPRKGFSVPVQVAVDRNLPGPVLVPCRSGDGGVQGLRWHAKRLRIDEDGDVADEFLDEVFPQMSVSTENSRALARFEVKYSTKPAKVRTQVLSPDGKIQQRVEYRGRSQWI >ONI06114 pep chromosome:Prunus_persica_NCBIv2:G5:4545169:4547358:-1 gene:PRUPE_5G041500 transcript:ONI06114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGFWSLVLTASIGGFGAVLLILKRANEWYFVSRLGEKQNTLPPGDMGWPFIGNTLSFLKALKSDDPDSFISNCVKRYGSTGIYKAYLFGKPTIIATAPETCRQVLMDSLQFKTGWPKATAELMGRKSFVTLPEEEHKRLRRLTAAPISGHKALSMYHEYIKHVTVSSLDELAKADRPIEFLSEIRKITFKIIMFIFLSCETGPMMETMEKEYAILNHGLRAMAINLPGFAFHKALKARKKMAKIIQDVVDERRARKVNNLSRERTDLMDLLMEAEDENGKTLDNEEIIDIILMYLNAGHESSAHATLWAILFLHEHPEYYKKAKAEQMEILKRASSPEEGLDFKETKQMEYLSKVIDETLRVVNISLYSYREATTDANVAGYTIPKGWKVMMWYRSVHLNPEYYPDPKEFNPSRWNENKGKAGTFIPFGIGSRLCPGSDLTKLEIYVFLHYFLLYYE >ONI09338 pep chromosome:Prunus_persica_NCBIv2:G5:17732160:17735277:-1 gene:PRUPE_5G233100 transcript:ONI09338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSDFYHVMTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISSNDPYNMNTRFIAADTLQKLIVLAVLAVWTKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAAGRNSNFGSSDVYGLSASRGPTPRPSNYEEDGTGVVSATGNKPRFYHGGQQGNNTTAHYPAPNPGMFSPTASKNVAAANNNSNAINAKRPNGQAQQKPEDGGKDLHMFVWSSSASPVSDVFGSNEYGTAHDQKEVKLAVSPGKVEGRRENQEEYLERDDFSFGNRDQMNMNHEQAEKAAGDGKGKVMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRWHIQMPAIIAKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNSIAAFTMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTG >ONI09337 pep chromosome:Prunus_persica_NCBIv2:G5:17731768:17735797:-1 gene:PRUPE_5G233100 transcript:ONI09337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSDFYHVMTAVVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISSNDPYNMNTRFIAADTLQKLIVLAVLAVWTKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAAGRNSNFGSSDVYGLSASRGPTPRPSNYEEDGTGVVSATGNKPRFYHGGQQGNNTTAHYPAPNPGMFSPTASKNVAAANNNSNAINAKRPNGQAQQKPEDGGKDLHMFVWSSSASPVSDVFGSNEYGTAHDQKEVKLAVSPGKVEGRRENQEEYLERDDFSFGNRDQMNMNHEQAEKAAGDGKGKVMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRWHIQMPAIIAKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNSIAAFTMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >ONI07373 pep chromosome:Prunus_persica_NCBIv2:G5:11824273:11827215:1 gene:PRUPE_5G115800 transcript:ONI07373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTAVAEVPTRKARTNRRALKDKNPSGNEANIQAGKVSEPDPSPIQAVSQLDLAKENHESLSQPRASPKKSKSKAAASKKQSKETQSSFEKDLQEMQEKLQEMRLEKEKTEELLKEKDEILKLKEEELETKGREQDKLQMELKKLQKLKEFKPTMAFPIVQSLNDKKKKGCPEKKRPAPPYVLWCKDQWNEIKKENPEAEFKEISNILGAKWKNVTAEEKKPYEERYQAEKEAYLQVTAKEKRESEAMHLLEEEHKQKTAMELLEQYLQFKQEAEKDNKKTKKEKDPLKPKQPMSAFFLFTNERRAALVAESKTVPEIAKITGEEWKNMTEKQKRPYEEMAKKNKEKYMQEMEVYKQTKEEEASSLKREEEELMKLQKHEALQLLKKKEKTENIIKKTKEDQKKKKEEKKNADDPNKPKKPASSYILFSKEARKSLLEERPGTNSSTITALISVKWKELSEEERKPWNDKAAEAMEAYKKELEEYNKGVAASAAATSLC >ONI07405 pep chromosome:Prunus_persica_NCBIv2:G5:11933995:11938611:1 gene:PRUPE_5G118000 transcript:ONI07405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFVRLISMAPLLLLLCFPLALAGHDYGQALSKSILFFEAQRSGFLPHNQRVTWRANSGLYDGKASGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGKQMAASGELSHAMEAVKWGTDYFIKAHPEPYVLYGEVGDGNTDHYCWQRPEDMTTDRRAYKISPSNPGSDLAGETAAAMAAASIVFRHSNPAYASELLRHAHQLFDFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLFQATNNQYYLNYLGNNGDSMGGTGWGMTEFGWDVKYPGVQTLVAKFLMQGKAGRNTAVFEKYQQKAEYFMCSCIGKGSRNAQKTPGGLIFRQRWNNMQFVTSASFLATVYSDYLTSAGKTLSCAAGNVAPSELLSFAKSQVDYILGDNPRATSYMVGYGNNFPQQVHHRASSIVSYKKDSSFVSCRGGYATWFSRKASDPNLLTGAIVGGPDAYDNFADQRDNYEQTEPATYNNAPLLGILARLNAGHGGYNQLLPVVTTQPKVAPLPKITPVAPASSSSPIAIQQKLTTSWVSKGVTYYRYSTVVTNKSAKTLTNLKLSVSKLYGPIWGLTKAGDSYVFPSWINSLSAGKSLEFVYIHSASPAVVAVSSYSLA >ONI08000 pep chromosome:Prunus_persica_NCBIv2:G5:13760117:13766117:1 gene:PRUPE_5G152600 transcript:ONI08000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPIPAPHRTATDFFSDPLDSHPLWFKPALFLSPEFDSESYISDLRTFVPFDTLRSELQSYLASLNHELIDLINRDYADFVNLSTKLVDVDSAVVRMRAPLVELREKIEQFRGSVQNSLVALTNGLKQRSEANEAREVLELLLDTFHVVSKVEKLIQELPSVPADWLNGDVNLAEKNFITNGTSLQHVENGTNLRDTQSMLLERIASEMNRLKFYIAHAQNLPFIENMEKRIQSASLLLDASLGHCFVDGLEHRDANAIYNCLRAYAAIDNTRSAEELFRTTIVTPLIQNIIPHRTSLAATRPSGDDLENDYEQIKLCIDNNCKFLLEISFEENSGLHVFDFLANSILKEVLSAIKKGKPGAFSPGRPTEFLKNYKSSLDFLAHLEGYCPSRSAVCKFRAEAVYIEFMKQWNFGVYFSLRFQEIAGALDSVLVAASLVPVDNVHSDQGNSPDLTLKQSVTLLECLESCWREDVAVLSCADKFLRLSLQLLSRYSSWLSSGLAARKKGSTDSIPGREWAISAVPDDFIYIIHDIDFLYKRVCGDFLEHVLKLLSSCPADVLDLVKPSILQGGNLLNDLVPLVINTIVEALVKKSNEGVEQLKGITATYRMTNKPRPVRHSPYVAAVVRPLKAFWEGERATKYLTSDTKHEVLLSAATEITGHYYETADNVISMARRTASSLQRIRQGAQRRGGASSDVSDQNVSDTDKISMQIFLDIQEYGRNLAALGVDVANIETFRSLWQSVAPAERQSEISF >ONI06035 pep chromosome:Prunus_persica_NCBIv2:G5:4074112:4074856:1 gene:PRUPE_5G036000 transcript:ONI06035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAGYVARRMDRATWLKEWAELCSSLAGEVRLDYVQLGFQSRSWAARACKIDRHGRIKGMTVESWVLGDTAGHRWKNRSLRDSWAWPEELRDLENCGRVRNGCVAAGFEVVELWPRIGARVEGILRLEFVGILQVRLKGLEVKV >ONI09309 pep chromosome:Prunus_persica_NCBIv2:G5:17611627:17614269:1 gene:PRUPE_5G230700 transcript:ONI09309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPCCEKTEITKGAWSKQEDQKLIDYIQKHGEGCWNSLPKAAGLRRCGKSCRLRWINYLRPDLKRGSFGEDEEDLIIRLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHIRKKLVKMGTTTTTTSTALDQKKPPHHDNKVPLLLQPDARNPILFRPPARTTDQKRAPNHNDEVDVSDSMSINGGNQLQTGVIMSNKSCCLPDLNLDLTLSLQLAVGERQQSDI >ONI07463 pep chromosome:Prunus_persica_NCBIv2:G5:12103747:12108188:1 gene:PRUPE_5G121500 transcript:ONI07463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERESFDLSGPLHLTSIDCKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVGSIGYYWADIENMVRSYIEKPNCIILAISPANQDLATSDAIRISREVDPAGERTLGVLTKIDLMDKGTDAVEILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFFTTPEYKHLAPRMGSEHLAKMLSKHLETVIKSKILGIQPLISKTVAELEAELSRLGKPISADAGGKLRPGGDKIYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYHGLIESSVITIRGPAEAAVDAVHAILKDLVHKFVNETPELKQYPALRVEVTNAASDSLERMREESKKATLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGITILADVNMCIVYCQVREAKRSFLDHFYTDLGKLETKQLSSLLNKDPAIMERRSALTKRLELYRSAQAEIDSVAWAK >ONI08029 pep chromosome:Prunus_persica_NCBIv2:G5:13841555:13843132:1 gene:PRUPE_5G154300 transcript:ONI08029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLFVDRYHGHKLQYRDEYIQQINVSLAIPIAALFNFFPFHFMIVSFMFVPDSSNTLLAEYILISFPFLIHVLNVSGEVVLGDNEVSVQMQIRCEFTY >ONI08729 pep chromosome:Prunus_persica_NCBIv2:G5:15960862:15963047:1 gene:PRUPE_5G197400 transcript:ONI08729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMEAIPANNPATSRALQQYLFSLLQSCNSIRKLTQIHTQILVHGFNQKSYILVRLLSFYVSSGCLHHALKIFENVENPSTTLWNQIIRGHSRSETPRKSVQLYNRLVGVGAEPDGFTYLYVLSACARSGLVREGKQVHARVLANGFGSNVFVQTSLVNLYAICGGSGCGVEYARRLFDDMGERSVVSWNSLLAGYIRCRNVDGARQVFDEMPERNIVSWTTMIAGCAQNGRCKQALSLFGQMRRSNVELDQVALVAALSACAEIGDLKLGRWIHWYIEERLWMKSEPRLVTLYNALIHMYASCGLIEEAYKLFNQMPRRSTVSWTSIIVGFAKQGHGEEALHIFQLMLSSGVDDVRPDEITFVGVLCACSHAGLVDEGRRIFKFMMQTCGLTPRIEHYGCMVDLLSRAGFLEEAHVLVETMPMNPNEAVWGALLGGCRLHKNAELASHVAKTLTVALDPDQAAGYLVLLSNVYASTKRWLDVASVRQKMVKMGVRKPPGRSWVQINGVVHDFVAGDRTHKHATVIYEMLGKITRPALQEGSKPDISDMLSHVAE >ONI08936 pep chromosome:Prunus_persica_NCBIv2:G5:16629361:16632684:1 gene:PRUPE_5G208500 transcript:ONI08936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAQEISVLCDAEVALIVFSTKGKLFEYSTDSCMERILERYERYSYSEKQLLANDHESTGSWTLEHAKLKARVEVLQRNCSHFMGEDLQSLSLKELQNLEQQLDSALKHIRSRKNQVMYESISELQKKDKALQEQNNLLAKKVKEKEKALAPQAESWEQQVQNQGLDCSSTLLPEALQSLNFGSGSNYQGIRNDGSGGDHEDENETPTANRPNTLLPPWMLRHLNE >ONI07598 pep chromosome:Prunus_persica_NCBIv2:G5:12533445:12534250:1 gene:PRUPE_5G129400 transcript:ONI07598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVEDFEVHHEKDSMDFYVLLLEPHSLGRAYQPSSSSPAAPQFLIKFDYHINHVYYDIGSSYDIGTRRNTTLVHQHQEKHEKIVELEKPVLRKCGKSYEFLSKVLSTIGIKRGSYDNGGLHDEIMSGIIKWGAKFPPPKLSKESPELWGLYVYIGKDHDMYRCDECLMARVTRKSMASKGMVSIKKVVKNVSIPRYRLY >ONI07253 pep chromosome:Prunus_persica_NCBIv2:G5:11431581:11432216:-1 gene:PRUPE_5G109100 transcript:ONI07253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKTNPAYPLAPSNGYTRSDGESQLSADELKRKKKIKLAIYITIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVESFVSDSAAPSFDTKFTTQIKIKNSANWGSYKFNAANITFQHQGATLAVIDIAKGKAGWLSTIKRNAEVSLKSSAINGSNFGSELRSGVLTLNSVGRLNGKVAIMFIMKKKKAANMNCTIAFDVAAKNVKSLHCK >ONI07160 pep chromosome:Prunus_persica_NCBIv2:G5:11040125:11044017:-1 gene:PRUPE_5G103500 transcript:ONI07160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWSSLTPGSVLDCVETNDAYQKNGSCIGSDIDVRDGVECDEDDDEVRLRCTFDQVLSVFVKEIGDRGVVRPIPAVIDDRQPVDLFKLFCLVRDRGGYDWVSKNSLWSFVAKELGLDGGATASVKLIYFKYLNELEKWFRESCKSRSSGNGQSGLYGEFQLSSELEREFRDLLLDGPEQKGKGDGPVQFESDENGKIEFNLSDTKDAYGMHAGADQCKDDDEEKVCNDDQNGVLISLDSLNKKENDRKRKRESLSGMLNWVVQIAKQPNDPSIGVIPGPTNWREHKGDECWFQVIRAREALLLRRNVDSKTEESLLQKKLKTHPLLYEDNVVAGHQSSERLRCSERFPNSVKSRSCPCCSSCSVPQSNLISPRKKELDNNSKEQAPEEVDLLATNTMVCPSVDAPHEKHVSVGTLFQADVPEWTGVASESDIKWLGTRVWPLQCEEDSSLHEADLTGKGRPDLCGCQLPGSVVCIRFHIAEARMKLKRELGSLFYRWRFDRMGEEVSLQWTAEEEKRFKDLVKSNSPSFWNRASRWFRKKTRENLVSYYFNVFLVQSRSYQNRVTPKNIDSDDDETEFGSFSNGFRHDAVEVSANFEACSQNQQCTDLD >ONI07661 pep chromosome:Prunus_persica_NCBIv2:G5:12798606:12799148:1 gene:PRUPE_5G134000 transcript:ONI07661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONI07513 pep chromosome:Prunus_persica_NCBIv2:G5:12266722:12267219:-1 gene:PRUPE_5G124700 transcript:ONI07513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISISIVLLFVSSALLFNVGFGRHNPPYVTQFLHDRAAHAHPKTVSHAAHPKAVSRLWFYFGQPLTPPPPQVPALPTWKPPTQEDYEKCWSSLTDIDRLVGDLMSAFFTGDYSRIGPDCCAAIDGIDQNCFGLMLDQFNNRYYVPAVRQSCADQHSPPKAPSKA >ONI06901 pep chromosome:Prunus_persica_NCBIv2:G5:9891943:9895312:-1 gene:PRUPE_5G087900 transcript:ONI06901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIISSCSSPNFGPENPAIFQQRLQFLVQNRPECWVYSIFWRASKDSNGQVALSWGAGDFQIDRDLATKTTNKVTNNYQPKFGLTKKMTSREAEEALFNEDMVDLEMRLVDHGDVTGSEWFYFYSVSLTQSFAAGHATNNILGRAFCSGGFVWLAGVHELQFYECERVKEARMHGIQTLVCIATSCGVLELASVDVIKEDRGLVHLSMSLFGSNNNRVSQQGSRDGDVLVPSLENGKFLGVQKELTRQECGRKEVAPINIGGLSPESPSDSIGNFTSENIAKPRSKKRGRSSTNGASRESALLNHVEAERQRREKLNHRFYVLRSVVPNVSKMDKSSLLADAVSYINQLKAKVEELEAKIQEQPPTPKTGSVSHLDHHLSQSSSSIGDSHHHHHHHHSSYNSRTVVPVEMDVKIMGSEAIIRVQCPDQDYPYARLMNALKGLGLQVYHASISSVKELMIQDVVARVPYGFSSDQEAMRTAIMKRWHS >ONI06429 pep chromosome:Prunus_persica_NCBIv2:G5:6436796:6438168:-1 gene:PRUPE_5G059800 transcript:ONI06429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSASASRVSEDLVVTSSSTSPPPSTFKPTTDDSSDQHLHLPTYDPLSHVAKRERRRIRSAENAIHIIPLLLVLCAIILWLFSNPVIHLFLE >ONI07269 pep chromosome:Prunus_persica_NCBIv2:G5:11510591:11514651:1 gene:PRUPE_5G110600 transcript:ONI07269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKKGSNIEISINIPSSMAKVKQLDQAGKKSSNGGGGGFSLKALVSKVSEFAKEDSNRVKFSLKVGLAVLLVSLLILFRAPYEVFGTNIIWSILTVAIMFEYTVGATFNRGFNRALGSLLAGILAIGVAQIALSSGHVAEPIIIGISIFIIGTITSFMKLWPSLVPYEYGFRVVLFTFCLIVVSGYRISMGNPMRTAMDRLYSIAIGGFVAVLVNVLVCPIWAGEQLHKELVNSFDSVAASLEECVGKYLEDDGSEHPEFSKTVMDEFPDEPAYRKCRSTLNSSAKLEALAVSAKWEPPHGRFRHFFYPWSEYVKVAAVLRYCAYEVMALHGVLHSEIQAPYNLRITFQSEIREATSQAAELVRSLGQDISSMKRSARNSLLKKVHSSTERLQRAIDVHSYLLTSTCEPQDTTNFSKPQPRLSQTLTNTFSDLPNQLTDLDSSNSLEMNLSQQLNQSTPQQTESNYHELMRKQSRRLHYSWPPLQVDAFEEEGSAGDFLPRMKALESTAALSLATFTSLLIEFVARLDHLVEAVDELSKLAKF >ONI06466 pep chromosome:Prunus_persica_NCBIv2:G5:6791898:6795518:1 gene:PRUPE_5G062300 transcript:ONI06466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRLFESKAMSASETVQMGRMYSNRFSGFRHIPVGAKFSPQFCSCRGILLKASYSSHPSSSKINFPSSNAIGSVGDKLAGETCSFQILGTNGTGTRLNLFPREITVLDAFDDEYGGVIVDPERLPANPNAFGYILHSSLSHWSMEGKKGIWLKLPVERAELVPVAIKEGFEYHHAERGYVMLTYWIPEGPCMLPANASHQVGIGGFVINENNEVLVVQEKHYAPACAGFWKIPTGFILESEEIFTGAVREVKEETGIDTEFVEVIAFRHVHNVAFEKSDLFFICMLRPLSTEITVDDLEIEAAKWMPLDEFVEQPLIQEDSMFKKIISICIARLGKRYCGLSSHQVVSKFDGRLSSLYYNVVDAQDFNCSSC >ONI05326 pep chromosome:Prunus_persica_NCBIv2:G5:302119:304588:-1 gene:PRUPE_5G001800 transcript:ONI05326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEGPPSSVPVGPVAKLFGSCLERKPLVLTLLALTFVMLIWNLPPYYQSLLSTTTRSSSSATALTANASLRAVSSSSINPAVTEQKLSTPKPNDPNKRVFQSYGNAAALFVQMGAYRGGPTTFAVVGLASKPIHVYSRPWFKCEWISSNGSSTRALAYKMLPDWGYGRVYTVVVVNCTFPVNPNHDNSGGKLRINAYYGENPRKMEKFTALEEAPGAYNESKYRPPYQYEYLYCGSSLYGDLSAARLREWMAYHAWFFGEKSHFVFHDAGGVSDEARAVLDPWVRAGRVTMQDIRAQAEFDGYYYNQFLVVNDCLHRYRYAANWTFYFDVDEYIYLPHGNTLESVLSDFSDYTQFTIEQNPMSSGLCLNDPTQDYSRQWGFEKLLFRDSRTGLRRDRKYAIQAKNAFSTGVHMSENVTGKTLHDTETKIRYYHYHNSITVQGEICRELVPMSAKKNVTWFNKLPYVYDDNMKKLASTIKQFELKTIGNVQEQQLPQPQPLPAHMNSINTPRTTHT >ONI06005 pep chromosome:Prunus_persica_NCBIv2:G5:3851545:3854799:-1 gene:PRUPE_5G033700 transcript:ONI06005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVNTTHDGREGRELTFEELQNTIGGSGKDFGSMNMDELLKSIWTAKETQIMAPSGGGSNMLQRQQTLGEMTLEEFLVKAGVVREEAQLAPKPANNGAGFFFFFFFFQIQLDIDLGPKVFDVFSVIAVAHGASSSGLQVTAAVHTWLPPKTRTKAVLSIAPRLELGLVVR >ONI05973 pep chromosome:Prunus_persica_NCBIv2:G5:3690180:3693123:1 gene:PRUPE_5G032200 transcript:ONI05973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKREDNGDVKVVGGVGSISKFPLSFWEMAVASTVLLGFVVGLLVVYLTMPASDYSFLKLPRSLQELQILRVHLEDYTSDYTAQVLLGYCMVYIFMQTFMIPGTVFMSLLAGSLFGVFKGVALVVFNATAGASSCYFLSKLIGRPIVFSLWPDKLQFFQNQVAKRRDGLLNYMLFLRLTPTLPNTFINLASPIVDVPYHIFFLATIIGLIPAAYVTVRAGLALGELRSLGDLYDFNSIATLFFIGVVSVTPTLVSKSKS >ONI07552 pep chromosome:Prunus_persica_NCBIv2:G5:12435604:12437957:1 gene:PRUPE_5G127200 transcript:ONI07552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEKEVASGMEWRITMADGSSEALAPDAGFAKIAWLALKGLIGGLVLKVWKFLKKAWDLGHNEPRKVIHCLKVGMALTVVSLFYYMRPLYEGVGGNAMWAVMTVVVVFENNVGATLCKSINRICGTFLAGFLAIGIHWIANRSGEEFEPFITGISVFLLASAATFSRFIPSVKIRFDYGIMIFILTFSLVSVSGYRVDKLLDMAGQRITTIIIGTSLCIIITMVICPIWAGEELYMLITRNMDKLAGSLDGCVAEYFNDSGGFADSDKESDKKVLGYKCVLSSKGTEEAMANFARWEPAHGRFNFRHPWKQYLKIGASMRDCAYCIEALNGCVNSENEAPEFTKKHISNIAIKVSSDSSMVIKELAKTMKTMKKSSTIDFLVGEMNNAVLELQEDLKSLPAIFIPQPLQEAECPENKSTEQDSKKAVPLMEIIPLVTLASLLIEIVSRIEGMVSAVEELAGLSEFKSVNDRKTNQNQTTNKVVPDHQGALQRV >ONI07687 pep chromosome:Prunus_persica_NCBIv2:G5:12852614:12854510:-1 gene:PRUPE_5G135200 transcript:ONI07687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLKRTFGSIISSIAYFSYLDYPDHHYRSNSHFKKIKYNMPKSRPLSLQTVELKVRMCCTGCERVVKNAIFKLRGIDSVDVDLPMEKVTVIGYVDRNKILKAVRRAGKRAEFWPYPNPPLYFTSSGDYFKDTINEFKESYNYYRHGYNVGDKHGTIPVTQRGDDKVSNMFNDDNVHACCLM >ONI08882 pep chromosome:Prunus_persica_NCBIv2:G5:16461135:16462700:-1 gene:PRUPE_5G206000 transcript:ONI08882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFPISLDKAKYFLQSQYHDEEKWALNMKLLRAAGLFAGSILLMRSYGDLMAI >ONI06716 pep chromosome:Prunus_persica_NCBIv2:G5:9002495:9005831:-1 gene:PRUPE_5G076000 transcript:ONI06716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSLRLPSLSSLFLPSVSRRSPAVLPRHHHRILRTPVFAASFSAQTTVSETDDRVDTQKSNRVQDQAVTPRSKDFNAWYLDVIEQAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELAVVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMVNQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATPEEAEKEAIQMIDVYTKFAYEQTAIPVIAGRKSKVETFAGAVKTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFTDESGLRQHVWQTSWAVSTRFVGGIIMTHGDDTGLMLPPKIAPIQVVIIPIWKKDDDRAVVLNAASSVKEALHAAGIKVKLDDSDQRTPGWKFNFWEMKVCGYP >ONI06715 pep chromosome:Prunus_persica_NCBIv2:G5:9001524:9005831:-1 gene:PRUPE_5G076000 transcript:ONI06715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSLRLPSLSSLFLPSVSRRSPAVLPRHHHRILRTPVFAASFSAQTTVSETDDRVDTQKSNRVQDQAVTPRSKDFNAWYLDVIEQAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELAVVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMVNQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATPEEAEKEAIQMIDVYTKFAYEQTAIPVIAGRKSKVETFAGAVKTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFTDESGLRQHVWQTSWAVSTRFVGGIIMTHGDDTGLMLPPKIAPIQVVIIPIWKKDDDRAVVLNAASSVKEALHAAGIKVKLDDSDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSQSVVVSRRDVPGKQGKVFGISMEPSVLEAYIKDKLDEIQSSLLEKAESFRDSNIVDVSSYDELKVAISQGKWARGPWSAGDKEELRVKEETGATIRCFPFEQPLGIKSCFMTGNPAEEVAIFAKSY >ONI06148 pep chromosome:Prunus_persica_NCBIv2:G5:4829039:4829482:-1 gene:PRUPE_5G043700 transcript:ONI06148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLGNGDFDRSNNDVVQGSSSFKSPNPVGGEGASSVQTLFNWFSGSLHGGATTQSPNQHFHQPQGGSLQAQNYGGPGAAMNQGPASGSGGGGGAPAQARPRVRARRGQATDPHNIAERLRRKRIAERMKALQELVPNANKNQVCS >ONI06747 pep chromosome:Prunus_persica_NCBIv2:G5:9285253:9287381:1 gene:PRUPE_5G078800 transcript:ONI06747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPWWDASNIEKDGHLMMTRAIFLTISAMAVALFWFMWNWKSSKNPTPPLPSGPRGLPFLGSLPFLGPNLHREFTNLASVYGPIYKLQLGSKLCFVLSSPSLVKQVVRDQDTLFANHDPIIAAQIISYGGTDIAFGSYGPDWRRLRKVFVSHVMSKGNLDACYALRKEEVLKSIDHIYGKSDSPIDFGQVAFFTAVNTIMRMLWGGTLEAEKGTDLGAELRNVVAEMVELLGKGNISDFFPWLAKFDVQGIARRAKQVLSVTEKILNSAIEKQMSEAAEQDGGLSLKHERKGFLQFLLELNEHGDDAESLTLQQIKALLTDIMAGGTDTTATMMEWVMAELMQQPDDLKKVQEELKEVVGLKNLVEESHIPKLHYLDAVIKETSRLHPALPLLAPHCPSQSTTIGGFKIPKGSSVFLNVWAIHRDPNVWDNPLEFRPKRFLNDPPTNNIHYNGNKLEYLPFGSGRRMCPGIPLAERMMIYVLASFLHSFEWRLPNDAKLDLQDKFGIVTKKMTPLVVIPTPRLSKLELYT >ONI08139 pep chromosome:Prunus_persica_NCBIv2:G5:14074501:14077315:-1 gene:PRUPE_5G159000 transcript:ONI08139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAARLLFPVSVSVLYVLYKYLRSGRVTELSEDIAVPLNPDNDDVVSRQPSHVDPAILEEIRKFDPAVLDEFRKFLDEFRKFDPAALDEKQKFDPAALDVIRKLDPAIIDLIHKLCVKEKVEGKEEAERNCSGRENEEGNGNENGNETQSEESGGGGGENKNENGGEVEKRVVNEEKSRRHHYPVRPEAGDCSFHLKTGTCKFGSNCKFNHPRGRKTNKDYSKSGGCKYEKACSFNPRRGEHSVAPILECNFLGLPIRPGEKDCSFYMRNASCMFGTNCRFNHPDPTAARESDPPSGYGNGGPASLQGALSSPCSAPRSLNDAPLYVPMVIPPSQGIPSQNTEWNGYQKEACLHIHPYVMNHSVTGTNAYEQHPQQKQVEELPERPGQPVCIYFSRTGDCIQMRF >ONI08813 pep chromosome:Prunus_persica_NCBIv2:G5:16283178:16284155:-1 gene:PRUPE_5G201900 transcript:ONI08813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVLFRETSISHAARSILLCESIAKRLFTPKSDQLYELEEWEKFRKLVLAPLRNYWYRQGMFDHRWSWVFEKQKLWHLQTAVNSDRQRSYEVEKYLEEVKVAAARGGGGIGIIKPDALVLGEIIKYVCYADFGEVVSVEGNGGGHKTAAKAGAWAMSLGLVVSELSEEPVWKGKVITFGDSQYELLLHSIQGDDLKSKAKFMMRTNKDFSIANFPEACDLILEVAVNENLKPEQMVKKVFVFTDFSCRGIDWKSSYEAVFLDNGGEIGPHQLMEAAIADKEYQALSVVD >ONI06582 pep chromosome:Prunus_persica_NCBIv2:G5:8272251:8273751:1 gene:PRUPE_5G068600 transcript:ONI06582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHKCKLCARTFANGRALGGHMKAHLATLPLPPKTQQLTESASSSSSSSGEEPEPEQEQKLQEEEEEEKGLVYGLRENPKRSFRLADPEFSFAVDAGSVIQDRESETESKNPTRRRSKRNRRSFVVVTENQRQQQQQQSQKLELQKKPMLTNSTQPSLAESMAEPEPLSSVSDTSPEEDVAMCLMMLSRDVWMRRTNDQQQADQSDQDQEHGKQAVEKLEGIKLKKVRGKNRCEKCSKLFRSYQAMCGHKKICFRNEDEAINNAGGEKLFECPFCCKIFGSGQALGGHKRSHLSGSSRSMAAGKTEVRESFIDLNLPAPQEEDDFSVLSDA >ONI09403 pep chromosome:Prunus_persica_NCBIv2:G5:17883462:17886296:-1 gene:PRUPE_5G236300 transcript:ONI09403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLTDLLNLNLSDYTEKIIAEYIWIGGSGIDIRSKARTLPAPLSDPSKLPKWNYDGSSTGQAPGKDSEVILYPQAIFRDPFRRGNNILVICDTYTPNGEPIPTNKRANAAKIFSHPDVVAEEPWFGLEQEYTLLQKDVKWPIGWPLGGYPGPQGPYYCGAGADKAFGRDVVDSHYKACLYAGIDISGINGEVMPGQWEFQVGPTVGISAGDQLWAARYILERITEIAGVVLSFDPKPIEGDWNGAGAHTNYSTKSMRSDGGYEVIKKAIEKLGLRHKEHIAAYGDGNERRLTGRHETADIQRFIWGVANRGASIRVGRDTEKDGKGYFEDRRPASNMDPYVVTSMIAETTVLWKP >ONI08822 pep chromosome:Prunus_persica_NCBIv2:G5:16308379:16310777:-1 gene:PRUPE_5G202800 transcript:ONI08822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPAIEVAVVDISAPRIAAWNSDQLPIYEPGLDEIVKQCRGKNLFFSTAVEKHVSEADIIFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGIKYQILSNPEFLAEGTAIEDLFSPDRVLIGGRETPDGQKATQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANISEVAHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLTEVANYWKQVIKVNDYQKNRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCRGLLGDKAQLSIYDLQVTEDQIRRDLSMKKFDWDHPIHLQPMSLAAVKEVSVVWDAYEATKGAHGICILTEWDEFKTLDYKKIFDNMQKPAFLFDGRNVVDVEKLREIGFIVYSIGKPLDAWLKDMPAMA >ONI08174 pep chromosome:Prunus_persica_NCBIv2:G5:14196352:14196995:-1 gene:PRUPE_5G161500 transcript:ONI08174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLHKLNKNTPSLRTVTSLKTHLFPKPTPSVISHHSHLGHPKPECSENSVPHLGPSSFHNVETSNSFPIFPNFPFGYCMNPMSSTGFEQLGAFEAVEVESDDARTIWADSVKKKRKKKMNKHKYKKLRKRLRRKSRA >ONI09379 pep chromosome:Prunus_persica_NCBIv2:G5:17819584:17821906:-1 gene:PRUPE_5G235000 transcript:ONI09379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKIAPHKPNHSIEPTKPKLSLLVPLSTLCLISLSHKATNHQQQQPSSKKTRTKTMASISKRFFSFLFVIIFSLLGFVGFSQGRKLSGLSDQEGTGGGSGASMQCVQKLIPCQPFLKAPEKAPAACCSPLKQMVSDDTKCLCSVFNNPGMLSNLNITQDDALKLPKACGANADISICEKDASSSTSPASNNSSSSSSSKSAAYAPSHFGGSGFAAFFMALIITFTAF >ONI05526 pep chromosome:Prunus_persica_NCBIv2:G5:1188397:1194704:-1 gene:PRUPE_5G011300 transcript:ONI05526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKYRPSSAFDSPFWTTNSGAPVWNNNSSLTVGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISQLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQEPWRILDFFSHHPESLHMFTFLFDDLGVPQDYRHMEGSGVNTYTLINKAGKVQYVKFHWKPTCGVKCLLEDEAIKVGGANHSHATKDLYDSIAAGNYPEWKLYIQTIDPNHEDRFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGVYYSDDKLLQTRIFSYSDTQRHRLGPNYLQLPVNAPKCSHHNNHHEGFMNFMHRDEEVNYFPSRYDPVRHAERFPIPPNILSGKREKCIIEKENNFKQPGERYRSWAPDRQERFIHRWVDALSDPRVTHEIRSVWISYWSQADKSLGQKLASRLNVRPSI >ONI07787 pep chromosome:Prunus_persica_NCBIv2:G5:13074996:13075277:1 gene:PRUPE_5G139800 transcript:ONI07787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQSMAAFQGQLLYLSSEKPIWKELNKLQFVGFALFSSLSFVCSSEFLFALYITNLPCNTGKQLIKRIVDTHEHISNYLRYLLKTISHTEVST >ONI06461 pep chromosome:Prunus_persica_NCBIv2:G5:6745843:6747365:-1 gene:PRUPE_5G061800 transcript:ONI06461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGQSGTDADITLLDSIRRHLLGETDLRVGNSMANSISGPIFSRSSSFSSLYPCLTENWGDLPLKEDDSEDMVLYGVLRDAVNVGWVPSLGSGSTDTRSLNFSVKLEPEVLTPVSTVPEKKPAPVQPARPVQQPSQAGPAVVPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPDPVRVTSKRSSPEPSSSSESGSPKRRKKVAVLNPAQAGLEMGAGIGQEQVGGQVVVSCTRGEQLLVS >ONI06648 pep chromosome:Prunus_persica_NCBIv2:G5:8722019:8725271:1 gene:PRUPE_5G072500 transcript:ONI06648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIVLLFFLCLSIHACNSRLLGLVDKQSGSKSYHSVEDVAKVLSETLKSSMMPTISVEYQAQQINAETFTHESIPTALLRKQGHANGPASGYDIIALSSQVELKKLIEMQRQARTLLGSATHNMEEDKDSKEDEAIEVVGVMDYAQPHRKPPIHNRKS >ONI06647 pep chromosome:Prunus_persica_NCBIv2:G5:8722019:8725271:1 gene:PRUPE_5G072500 transcript:ONI06647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIVLLFFLCLSIHACNSRLLGLVDKQSGSKSYHSVEDVAKVLSETLKSSMMPTISVEYQAQQINAETFTHESIPTALLRKQGHANGPASGYDIIALSSQVELKKLIEMQGLKRQARTLLGSATHNMEEDKDSKEDEAIEVVGVMDYAQPHRKPPIHNRKS >ONI06192 pep chromosome:Prunus_persica_NCBIv2:G5:5002529:5003028:1 gene:PRUPE_5G046600 transcript:ONI06192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAYFIQAPLYTFLRSMWKIVSRLPSSRAKKFWCTLMHVPYITINPNLPAGARDRFKSVEASGIEGYSIFY >ONI08784 pep chromosome:Prunus_persica_NCBIv2:G5:16127765:16128848:-1 gene:PRUPE_5G200600 transcript:ONI08784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGMSYFLLYWGLIYDELFQRERKKKIHYFLCRSLRGLKICLNFGGGIFVKRDLLDQLRLELIRMPGGLWRPYVPVI >ONI06911 pep chromosome:Prunus_persica_NCBIv2:G5:9938920:9942111:-1 gene:PRUPE_5G088500 transcript:ONI06911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERPKGDFSVASTHHSMLETVLGSTSSAKDSLIYSYGKSFNGFAARLSDEEVAKLSAKNKKTWVAEMEGVVSVLPNHKLKLHTTRSWDFMGFSKGTLPAPIEGKVIIGVLDTGIWPESDSFNDDDFGPPPSKWKGKCTGANFTCNNKLIGARYYNSEDNYDTTDIKSPRDSEGHGSHTASTAAGREVPASYFGLAAGTARGGVPNARIAVYKVCWASGCASADILAAFDDAIADGVDIISTSLGAPFPFEYLEDPIAIGSFHAMKYGILTSSSAGNSGPFPATVSNYAPWILTVAASTIDRRFVAKAVLGNGEIYSISSCIIPSHLIPYNDLMQGLSVNNFDLNGKSYPLIWGGDAANFSAGANSAISSQCFHGAMNSYKVKGKIVFCERIGDGAGILSADGVGAIMADSLFTDFAFSFPLSATVITTEDGQRVLDYIRSTENPVATILVGETDKDVMAPYIISFSSRGPNPITPDILKPDLTAPGVDILAAWSPVAPPSVDFEDTRSVEYNIISGTSMSCPHASGAAAYVKAAHPKWSAAAIKSALMTTAHVLDPKKHDELEFAYGSGHINPLKAVKPGLVFDASEADYVHFLCKQGYNTTTLKLIIGDNSSSCGRTKPGRAWDLNYPSFSLAVEDGQKIHAVFTRTVTNVGSPNSTYYINAYTPYSRVSVTPSTLSFSAVGEKKSFTVKVSGPPISQQPILSGAVLLTDGVHVVRSPLVIYTILPGAAYPSNSILEKRPSFKGSSLYHGNGILGRN >ONI07146 pep chromosome:Prunus_persica_NCBIv2:G5:10964963:10965729:1 gene:PRUPE_5G102400 transcript:ONI07146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEIDLSALLDLTLGLPGARVNPCPEPDQLSTALTLCDPTWNYDTHQRKAEEYLDPAPLRFALPNPEHEKMSGTNDINKELKKKKRNQAVPDTVSLQPRDEGPWKIRKKLTASDTGNCSRLLMPKKSVIDHVMCYLDDKFAKRVMSGEGIGVLVVDCDTNTGHYLNFKLWGSAEFYILNGDWRQEFVHRRGLKEKDRIGLYWDTSKSMFMFSVLERAIQSQFPA >ONI07242 pep chromosome:Prunus_persica_NCBIv2:G5:11378465:11379194:1 gene:PRUPE_5G108000 transcript:ONI07242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKTNDAESLQSSDELKRQKKIKMAIYITIFVVFQIIVITTMSLTVMKVKTPKFRLGSNINFQSFESVPATPSFDMKFTTQIRIKNSANWGSYKFNAANVTFQYQGATVGVIDIAKGKVGWLSTIKRDVEVSLSSSAITFSNLGSELSSGVLTLNSVGRLNGKVAIMFIMKKKKATNMNCTIAFDVAAKTLKSLECK >ONI06073 pep chromosome:Prunus_persica_NCBIv2:G5:4327089:4330256:-1 gene:PRUPE_5G038500 transcript:ONI06073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPFTSFTAPNPPTTSLCGKLGLCHFPATRKRRTALFDGASKVSVPAVRGSGEQRSREGIDGRDGESRGGGFTSPAMEVTTFNSSFGEAEFPVWEKIGAVVRLSYGIGIYGAMAVVGRFICSVTGIDSMGGFQVSLDAIVQGLGYAAPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFYGMSPLQFILIVAASSVGEELFYRAAVQGSLTDIFLRGTDLVTDARGMASLTGFFPPFVPFAQAFAAVITAALTGSLYYVAASPKDPDYVVAPVLPSRSGREEMKKLFAAWYQRRQMKKIYSPLLEGHLALYLGFEWMQTNNILAPMITHGIYSAVVLGHGLLKIHDHRRRLRQRIEHLKVDGKK >ONI07812 pep chromosome:Prunus_persica_NCBIv2:G5:13135047:13137176:-1 gene:PRUPE_5G141200 transcript:ONI07812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISALAQVIGNTDQTPLQQVQGNPLITSQSSPAESRSQPALQDRGNVRRQQYRGVRQRKWGKWAAEIRDPNKAVRLWLGTYDTAEAAALAYDEAALGFKGSKAKLNFPERVQESSEFGNLTINTQQQHNLNNPENVERVQVADPVVPNPPHQPIYCAPYVQGGSNSVDYNVHQVLPSHENYAPQILPTTSSTSSSMPSQQEELRRKQVGSSRSRSDLPNKYRKDFEGSHSR >ONI05583 pep chromosome:Prunus_persica_NCBIv2:G5:1518146:1518427:-1 gene:PRUPE_5G014000 transcript:ONI05583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTTIMVSFFMVALLLGQNSDLVAASRPLHLHTHPPAIHIGSLNKPIPPSIGRFTINRYKMTESSSGADAFRPTSPGHSPGVGHQDPPGALL >ONI08614 pep chromosome:Prunus_persica_NCBIv2:G5:15537857:15539434:-1 gene:PRUPE_5G189100 transcript:ONI08614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVHNLPIASKLVSSFALFALPATNFTAHLIADRVDGLDTYTWNTIIRGYLAGNRPKEALLVYSHVRRKGLEVDSYTLQFAIKACRLMPIVLEGKQLHNHILKLGFVSEIIIQTALLNMYGVFGELRYMQQVFDETPQRDLIMWNSIVAAYARHNFPYKALAVASAMASDGLRLNGLSVVSLLSACSSLQALRQGKAVHGYAIRGHLLDNHDVFVYNALVSMYSKCVFLSNASRVFQMMPIRNVVSWTSMINGFSENNYLKEALALFEEMVAKNIRPDEVTIFGVISMSSKLQSFKLGEWIDHYIERNGFRTGSIAMSNALMDMHAKRGNIKKACQIFDGMPEKSLVSWTTIIQGLAMHGLGRLALAQFSQMQNEGFKPDGIVFLNILSACSHAGMVDEGRKCFNSMINDFHMKPWMEHYGCMVDLLCRAGLVSEAFEFVQNMPDKPDTIIWRMLLGACQAQGEASLASQIMNHLHDIGPKNSGDYGLLSNLYAAVAEWDNVKEIRKEMKEKGVVKQDPGSSSIEL >ONI08642 pep chromosome:Prunus_persica_NCBIv2:G5:15666077:15669152:-1 gene:PRUPE_5G190900 transcript:ONI08642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSKTVAFLWLFSALLFYSLFQMALRISDSSHNYTPASDSMVSNKERRSALYDRMARDLDQHGPLFLQHGHTSQSLSLSDIFTIKDGSVAPILKAANPPVRANVLHLSTQYSVPISEALKPILDPYFDKVIWFQNSSLYHFSMFHASHHISPVPATQDEIEAEAAAVRAVTQELCPLKIVLDRVVLTSTGVLLGCWQVISGSDPITIRAKLRTALPRAPEKQLYDAAILHTSFARLLGHPKASSTMLSNTGDQVHFFHGLVDRLNNELRGFEAVVSELWYVEEFDVLALALNGRMKMRRFQLGCSRN >ONI08047 pep chromosome:Prunus_persica_NCBIv2:G5:13892017:13893525:1 gene:PRUPE_5G155300 transcript:ONI08047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVKVVNPVCSKISNMIRTENDCAVPVVKSEPVDPVITLPISCSFSCQELPMGLPIRMTYRGRPKTDRRVVYLRDPLMRLWPVLYIERNYFKTLASGWEAFSKANNIQPGDGCVIGIENGVERICPIQIIRK >ONI06347 pep chromosome:Prunus_persica_NCBIv2:G5:5866472:5870033:1 gene:PRUPE_5G055000 transcript:ONI06347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTTATGSANLTTPNPFLSRTTITPPPRNPLKLLNLPKKPNPATIVASSISSSSSSSSSSTLSSATGHLLGPQEWALDSWKSKQAHQLPVYPDPDELQTVLTTLETFPPIVFAGEARRLETWLGKAAVGEAFLLQGGDCAESFKEFNANNIRDTFRVFLQMAITLIYGAQMPVIKVGRMAGQFAKPRSEPFEVKDGVKLPSYRGDNINGDAFDEKSRTPDPQRLLRAYLQSVGTLNLLRAFATGGYAAMQRVADWKLDFVEHSEQGNRYKELAQRVDEAIGFLNAAGATADNPIMNTVEFWVSHECLHLQYEQALTREDSTTGHYYDCSAHMLWVGERTRQLDGAHVEFLRGVSNPLGIKVSDKMDPRELVELCEILNPHNKPGRLTIITRMGADNMRIKLPHLIRAVRQAGLIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAELRAFFDVHEQEGSYPGGVHLEMTGQNVTECIGGSKTVTANDLTSRYHTHCDPRLNASQSLELAFLIADRLRKDRLRSKNEFQINGSSAA >ONI05496 pep chromosome:Prunus_persica_NCBIv2:G5:1001216:1013844:-1 gene:PRUPE_5G009800 transcript:ONI05496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNISLILMGVGGVGRQLLQHIVSCRSLHAKQGIHLRVVGVSDSKSLVVASDAFTMELGDTFLMEVCRVKSDGASLSTISGYDESKVFTNSESTKKIIDVAAFLGKSTGLALVDCSASSETIPVLTQAVDLDCCIVMANKKPLTSTMEDYDKLISYPRRIRHESTVGAGLPVIASLNRILSSGDPVHRIIGSLSGTLGYVMSEVEDGKPLSQVVQSAKNLGYTEPDPRDDLSGMDVARKALILARLLGRRINLDDIKIESLYPEEMAPSVMSIEDFLGTGILSLDKDIQERVSKASLNGNVLRYVCVIDASRCQVGIQELPKDSPLGRLRGSDNVLEIYTRCYNDQPLVIQGAGAGNDTTAAGVLADIIDIQDLFP >ONI07278 pep chromosome:Prunus_persica_NCBIv2:G5:11536547:11537960:-1 gene:PRUPE_5G111000 transcript:ONI07278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKVTKPGKRVRAHKPCKKLVFYFHDIIYNGKNAKNATSAIVGAPAWGNRTILAGKSYFGNMVVLDDPITLDNNLHSTPVGCAQGFYLYDKKDIFTAWLGFSFVFNSSKHKGSINFAGADPLMNKTRDISVIGSTGNFFMARGIATLMTDAFKGEVYFRLRAEINLYECW >ONI08066 pep chromosome:Prunus_persica_NCBIv2:G5:13945190:13948687:1 gene:PRUPE_5G156500 transcript:ONI08066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLASLRSSSPKMFQVLIAICVMAALACQSVDAQVLANVTAGAFTLQVNLSSSTFLEELNISKSDFPSDFIFGVGTAAAQTEGSPNEGGRGQSEDILLIKDLGVNSYRFSISWTRILPNGSLSGGINQEGVDHYNSLIDELVKNGITPFVTILHFDLPQAVEEKYGGYLNRSFVDDFRDYSELCFKLFGDRVKHWFTFNEPRIIASYGYELGIAPPGRCSLPKDICVFKSPVPGKCFMPVGPCNLGGNSSTEPYIVAHNLILAHATVAKLYREKYQVFFVIRGGHEFKCYTEPHFDQITNNFFFFLLSLFFREVETILLKLGLNVIILQAKQKGEVGIVLVTPYYVPYSKSQEDQDAANRLFDFYLGWFMDPFVFGEYPKSMRELVKERLPEFTEEEKVMVKGSLDFLAMNYYASSYAKNKPPSPNEVLRYTLDAAAEIIGVTDGHGNWPEGLEKLMNYIKDKYNNPKVYIAENGIAGVRNDALDLNVQLNDASRIIYVVRHLYRLNKAIKNGVNVKGYFHWALMDDFEWGMGFRSRFGLYYVDFNRNYTRIPKNSVLWFHNFLNGTKLKLKLKSK >ONI07670 pep chromosome:Prunus_persica_NCBIv2:G5:12821540:12822857:1 gene:PRUPE_5G134600 transcript:ONI07670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACWMGLLALYETQDRRYDVLQIMAFVLWRIWKCQNEVVFNGVAVQAGDAVTILLKQVAEFNARHVTCPSLGSIKLNCDGAWAAKNKPKGGGAEAVREALIRCLELGYDKVVVESNSLSLINMLNQEASKDVEIEGILFDVICLTQQFHKVEFLHAPRNCNQAAHLVAAHAFCFGGRHSWNIVCPE >ONI06267 pep chromosome:Prunus_persica_NCBIv2:G5:5284607:5290337:1 gene:PRUPE_5G049900 transcript:ONI06267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNIDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLDKERRGDYLGETIQVVPHITDAIKTWVESISLIPVDGKEGPADVCVIELGGTVGDIESRPFIEALRQLSFSVGQENFCLIHVSLIPVLGVVGEQKTKPTQHSVRDLRGLGLTPHLLACRSAQPLLENTKEKLSQLCHVAAGNILNIHDVPNIWHIPLLLRNQNAHHSILQQLNLLSIAMPPDLRDWTKMAETYDNLTNSVRIAMVGKYVAFTDSYLSVVKALLHACVACSLKPSIDWIAASDLEDDSATLTPEAHAAAWETLQNAACILVPGGFGDRGVKGMILAAKYARENNVPYLGICLGMQISVIEFARSVLSLERADSTEFDEHTPNPVVVFMPEGSRTHMGRTMRLGCRRTLFQTPDCITSKLYHNSEYVDERHRHRYEVNPDVIGILEEAGLKFVGKDDSGRRMEILELPSHPFYVGVQFHPEFKSRPGKPSALFLGLILAATGQLETYLDQHPNTS >ONI07074 pep chromosome:Prunus_persica_NCBIv2:G5:10698191:10701125:1 gene:PRUPE_5G098700 transcript:ONI07074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSPKIRKLKKRHCCLMLLSATHFPHSCVLSVPFATFFTCASSSTSDLGPVYRMPSQANEFPSTKIYEFTVKDAKGKDVDLSVYRGKVLLIVNVASECGLTDENYRELTKLYQQYKDQGLEILAFPCNQFAAQEPGSNQQIEEFVCTRFKSGFQIFDKIEVNGDNAAPLYKFLRLGKWGFFDDDIQWNFAKFLVDKEGKVVNRYYPTTLPFSLEHDIKKLLAESHEC >ONI09100 pep chromosome:Prunus_persica_NCBIv2:G5:17062368:17064457:1 gene:PRUPE_5G217700 transcript:ONI09100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASVWNKEEDKAFENAIAMHWIDEESEEQWEKIAELVPSKSMEELKQHYQMLVDDVSAIEAGHTPLPNYAAAEEATSSSKDTAARASSGASASDKRLNCGHGGGFSALAHDTSGHGGKGGSRSDQERKKGIPWTEDEHRLFLLGLEKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNNGDVSSHQPPITGQQTNTYAPSAAAAGATTIGVGPQSVKHRAHQPHMAGLGMYGAPMGHPVSAPPGHHMGSAVGTPVMLPPGHHPHTHPPYVVPVAYPMAHPTMHQ >ONI07645 pep chromosome:Prunus_persica_NCBIv2:G5:12755099:12755933:1 gene:PRUPE_5G133000 transcript:ONI07645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFGGFLGGICADYFDDEFGLPLPNYKANSTKPKDAENSEAEKGINEIKNKYKNVGSSSSSSKHKALAEEPQSDALSFAPSFDGLFCFETLVSKFIKPT >ONI06305 pep chromosome:Prunus_persica_NCBIv2:G5:5649253:5658182:-1 gene:PRUPE_5G052300 transcript:ONI06305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSAISEELAEIDGQITDIFRALSNGFQKLEKIKDSNRQSRQLEELTDKMRDCKRLIKEFDREVKNIERVNDPDTSRMLNEKKQTMIKELNSYVALKKQYASNLENKKIDLFDGPAEEYGEQNVLLASSMTNQQLIDNGNQMMDETDQAIERSKKVVHETINVGTETAAALKAQTEQMSRIVNELDSIHFSIKKATKLVKEIGCN >ONI06304 pep chromosome:Prunus_persica_NCBIv2:G5:5650393:5657694:-1 gene:PRUPE_5G052300 transcript:ONI06304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLSAISEELAEIDGQITDIFRALSNGFQKLEKIKDSNRQSRQLEELTDKMRDCKRLIKEFDREVKNIERVNDPDTSRMLNEKKQTMIKELNSYVALKKQYASNLENKKIDLFDGPAEEYGEQNVLLASSMTNQQLIDNGNQMMDETDQAIERSKKVVHETINVGTETAAALKAQTEQMSRIVNELDSIHFSIKKATKLVKEIGRQVATDRCIMGLLVLVVIGVIAIIIVKLVHPNNKDIRDIPGLAPPAMTRKLLWNPN >ONI06227 pep chromosome:Prunus_persica_NCBIv2:G5:5172883:5173482:-1 gene:PRUPE_5G048600 transcript:ONI06227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHISFFLNCCCLFFLVIPHSKFSTIAATAVDIIDQTCKKCTDEFDVISYKVCATSLQAVPVSHVTNLQGLALIAMELALQNATNTLSTIEKFSSNKSFDPFALVCLKDCLQLYSDAITTLRDAVGAFLREDYDTANIWVSAVMEAPTTCEEGFKEKEGEVSPLKNENYNLFQLCDIALCISHMLKYLGSTFLTGCSAT >ONI09180 pep chromosome:Prunus_persica_NCBIv2:G5:17274006:17275566:-1 gene:PRUPE_5G222200 transcript:ONI09180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFTADMQSIAPSLPFLDIAPNTNMEPINQYTDQFNPTVLDFYSSLNFQTCMPFSNDNYFSSQGPEFQGNLVQNFPNFFDHDNKSNQNDEAPAVQHLVGAGVGNGFQESKKRRAMDDVSEGSSGISTPPVSETGVKRKNSSGRGKRLKKSNEKEDEKPKDVVHVRARRGQATDSHSLAERVRRGKINERLRCLQNIVPGCSKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTAASSFYDFNSETDDMETMQSAKVYEAAELERMKREGYGGYGSFHSTWPLNT >ONI05545 pep chromosome:Prunus_persica_NCBIv2:G5:1338070:1338581:-1 gene:PRUPE_5G012400 transcript:ONI05545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTSASVEWIFLATYLVLEILSAACDQASSPRRPHFALFGMLLAIAAVFISIGELIYRGKRERVVLRRRGMLWWFYHPPPPRHTPFGTLPDIYGQVAGISQCICSIVQYVYCLRHADSPFKASLLPAIFLICLVGSRLSNNRMNASFLV >ONI07586 pep chromosome:Prunus_persica_NCBIv2:G5:12509672:12511944:-1 gene:PRUPE_5G129000 transcript:ONI07586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSTDYHGLQPRKDRLKIRAFFLRFSGLNTRAPLPESLTLSFLPRINENELEIDGSKIRPDTPAFLTLYRVVNAKVKDGEVIFGSRERVEAGDGIRFEVYLREEKVLKGTFRKDEGQEWKLECKCALESESVATEIAEAQVCVAVEGHVTMIERVRMVVKKTKKHRNCGFKRLEEIPEEGDVDYESDGCCCKCGSDGGDSEVGGEVAREDMELEVDMEGVRWAVDVGIWVMCLGVGYFVSKASAKTLRRRRLF >ONI06853 pep chromosome:Prunus_persica_NCBIv2:G5:9744226:9745313:1 gene:PRUPE_5G085500 transcript:ONI06853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSLAVAQEEKNNLQTYIVWVQRPVSQNSFAQSHEDLESWYQLFLPETSANSNQLMTQRLVHTYYNVAIGFAAKLTPEEVKEMGKKEGFVSAHPERILPLLTIHSPDFLGLGLWEQTNHGEGVIIGVLDNGVAPYHPSFSDEGVSPHLAKRKGKCDFNETFSAAGNFVEGASAFGVANGTAVGMAPYAHLAIYRVCGLDCAEGDVLDAMDAAVHDGVDMLSLSLGWPSIPFYEDVIAGIFVICSAGNSGHEHGTLSNEAPWILTVGASTTDRILNLTSTPTATNLFNGSTSDPLAPKVATFSSRGPKPWNS >ONI07935 pep chromosome:Prunus_persica_NCBIv2:G5:13551528:13552550:-1 gene:PRUPE_5G148200 transcript:ONI07935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIKILKFKAMHKHNKRHHQPFNTLFLYTLTALTCSLFCFSPLWLPSLTSSMKAFVFVSVPKISSLFLSSKFVFILGNLIVVALVGESKIFSTVSSASNIVDQYESMKRCQTLQSSSTHHQKEPKNLSTYVEEKVLLKRTCGDEEAIEGKGWDEESEEVVVKVKEDIDEDEDEDDDDDDDQKLNQRADDFIARVTERRLFELELDNCFCNSEK >ONI06615 pep chromosome:Prunus_persica_NCBIv2:G5:8430773:8431525:1 gene:PRUPE_5G070300 transcript:ONI06615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQAQAPPEPEQEQVAGRKGRGTIEKGQQRHHMASMTSHKNPVLRSRSIGRLGGPRRSGSAAASPPPVKFPISVRRVELVGCLRKLQRWCLTSVFDEYQRFAAAKARVADTNDDSGDSRKYAVGHA >ONI07556 pep chromosome:Prunus_persica_NCBIv2:G5:12445650:12448934:1 gene:PRUPE_5G127500 transcript:ONI07556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWRKIRLGNTCGYKAFDEVEGIEVAWCQVSIEDVLQSPEQLERLYSEVHLLKSLKHQNIVKFCNSWVDDKNKTINMITELFTSGSLRQYRKKHKNVDMKAIKNWARHILRGLHYLHSHNPPIIHRDLKCDNVFVNGNNGEIKIGDLGLAIVMQQPTAHSVIGTPEFMAPELYDEEYNELVDIYSFGMCMLEMVTCEYPYSECKNPAQIYRKVTSGIKPASLSKVENPQVKQFIEKCLVPASIRLTATELLKDPFLATDNLKERSCDLSQLPNPVPKLVNLPQPEARPMDLDTNDQKFSIGSCRKSVNETSNSSVLEFWRFTENNEFRLRAEQNTDTTVSLTLRIADTCGRVRNIHFNFYLDSDTAMSIAGEMVEQLDLSHEDVSVIAELIDKLIMKLVPGWKPSSESSSCGTNSSCGDHPAHQNILSPLAYAEDQDNQATMISDTSACSAQYGVPTASCNVKVSESAKYSSDECCTGSDGNGSSPDCMVQARLKEKSYEAGSGDSVVMNDACPDMSSICSLSELSLVDKDRYDELKGELVAIDVQYHQCLLELLRMREEEIQNAKKRWIEKKKIAVN >ONI07555 pep chromosome:Prunus_persica_NCBIv2:G5:12445650:12448934:1 gene:PRUPE_5G127500 transcript:ONI07555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCSGLVGGKSDCDDGGVVEKDPTGKYLRYDEVLGRGAFKTVYKAFDEVEGIEVAWCQVSIEDVLQSPEQLERLYSEVHLLKSLKHQNIVKFCNSWVDDKNKTINMITELFTSGSLRQYRKKHKNVDMKAIKNWARHILRGLHYLHSHNPPIIHRDLKCDNVFVNGNNGEIKIGDLGLAIVMQQPTAHSVIGTPEFMAPELYDEEYNELVDIYSFGMCMLEMVTCEYPYSECKNPAQIYRKVTSGIKPASLSKVENPQVKQFIEKCLVPASIRLTATELLKDPFLATDNLKERSCDLSQLPNPVPKLVNLPQPEARPMDLDTNDQKFSIGSCRKSVNETSNSSVLEFWRFTENNEFRLRAEQNTDTTVSLTLRIADTCGRVRNIHFNFYLDSDTAMSIAGEMVEQLDLSHEDVSVIAELIDKLIMKLVPGWKPSSESSSCGTNSSCGDHPAHQNILSPLAYAEDQDNQATMISDTSACSAQYGVPTASCNVKVSESAKYSSDECCTGSDGNGSSPDCMVQARLKEKSYEAGSGDSVVMNDACPDMSSICSLSELSLVDKDRYDELKGELVAIDVQYHQCLLELLRMREEEIQNAKKRWIEKKKIAVN >ONI06483 pep chromosome:Prunus_persica_NCBIv2:G5:7760179:7763424:-1 gene:PRUPE_5G063700 transcript:ONI06483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAGICRELIEFDQGWDYIQSMLTKIKRILEGLPEPQFSSVEYMNVYTTIYNMCTQKPPHNYSQQLYDKYKEALEEYVTTTVLPSVIEKHDEFMLRELVRRWANYRVMIRWLSNCFNFLDRFFIPRRSLLSLNDVGLTCFRDLVYREINVNARVTIIGLVDKERAGEQIDRALVKNVIDVFVGIGMGQMNTYEEDFEKHMLRHAGEYYTRKASSWLLEDFCFDHLVKAEECLRRERARVSHYMHSSSEQKLVEKVQQELFEPLANVFKQKVSAEVKTLVQQAEYAANNQASDRASGMLEQVLVTKMIELHDKYMAHFEDFLIKNHIFHKALREAFDGFCNKSVSGNSSAQLLAAFCDDILKKGGSQKLSDEAIEGMLEKVVNLLAYISDKDLFAEFYRKKLSHRLLFDQSANKEHETSILTKMKQQFGGQFTSKMEGMVTDLTLARDNQTGFEEYLHSNPNVNPGMDLTVTVLTTGFWPSYKSCDLSLPAEMVKCVEVFKGFYETKTKCRKIKWIYSLGTCNIIGRFEPKEIELVVSTYQAAILLLFNTADKLSYSEILGKLNLTHDAAVRILHSLSCAKYKIFIKEPNAKTISPNDNFEFNSKFTDRMRRIKIPLPPVDERKEVIQDVDRFRRYAIDAAIVRIMKSRKVLGHQQLVMECVEQLRHKFKPDMRAIKKQIEDLIVRDYLERDKENHNMFKYLA >ONI06484 pep chromosome:Prunus_persica_NCBIv2:G5:7760179:7763328:-1 gene:PRUPE_5G063700 transcript:ONI06484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAGICRELIEFDQGWDYIQSMLTKIKRILEGLPEPQFSSVEYMNVYTTIYNMCTQKPPHNYSQQLYDKYKEALEEYVTTTVLPSVIEKHDEFMLRELVRRWANYRVMIRWLSNCFNFLDRFFIPRRSLLSLNDVGLTCFRDLVYREINVNARVTIIGLVDKERAGEQIDRALVKNVIDVFVGIGMGQMNTYEEDFEKHMLRHAGEYYTRKASSWLLEDFCFDHLVKAEECLRRERARVSHYMHSSSEQKLVEKVQQELFEPLANVFKQKVSAEVKTLVQQAEYAANNQASDRASGMLEQVLVTKMIELHDKYMAHFEDFLIKNHIFHKALREAFDGFCNKSVSGNSSAQLLAAFCDDILKKGGSQKLSDEAIEGMLEKVVNLLAYISDKDLFAEFYRKKLSHRLLFDQSANKEHETSILTKMKQQFGGQFTSKMEGMVTDLTLARDNQTGFEEYLHSNPNVNPGMDLTVTVLTTGFWPSYKSCDLSLPAEMVKCVEVFKGFYETKTKCRKIKWIYSLGTCNIIGRFEPKEIELVVSTYQAAILLLFNTADKLSYSEILGKLNLTHDAAVRILHSLSCAKYKIFIKEPNAKTISPNDNFEFNSKFTDRMRRIKIPLPPVDERKEVIQDVDRFRRYAIDAAIVRIMKSRKVLGHQQLVMECVEQLRHKFKPDMRAIKKQIEDLIVRDYLERDKENHNMFKYLA >ONI07752 pep chromosome:Prunus_persica_NCBIv2:G5:12961796:12966323:1 gene:PRUPE_5G137500 transcript:ONI07752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAKRNSSSSSSNSDEDIQAQVAKAVEGVKELQESAASFISKTSTDEQALRQRSQSLDSSIRLLRSQLYSLLSSKALDPKLAEKLEEDLQRATCVLADGDAASFLPGKAQGGRFLRMFLGPITVRSSSKDIQLKVKEEYNAYRDRTALLFLLFPATLLILRSWLWDGCLPTFPVQAYQAWLLFLYTGLALRENILRVNGSDIRPWWIYHHYCAMFMALVSLTWEIKGQPDCSQKQRGVQLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQGFEAYVGLQLLKTALVGVASEWQVSFCGVLLVLMAVGNFVNTVQTLMVKSRFKAKMKRTKSKQDL >ONI06531 pep chromosome:Prunus_persica_NCBIv2:G5:8111671:8112810:-1 gene:PRUPE_5G066600 transcript:ONI06531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTRVKAQSRALVIIFGLLCLLLQSEESHHGTEYVVGDDKGWDLYPEVSNWGKDKHFKAGDVLVFKYSNPLFSVAAVDAKGYQSCSAKGHLKKLYNSGDDHVVLKKGQNYFICNVIDYCGYGMRIAVHAE >ONI08648 pep chromosome:Prunus_persica_NCBIv2:G5:15703088:15704309:-1 gene:PRUPE_5G191500 transcript:ONI08648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENKVTLYGMWSSPYVKRVELALRLKGIPYEYVEEDLRNKSQLLLKLNPIHQKVPVLVHNGKTIVESLVILEYIDETWKTGPQLLPEDPYKRSQVRFWASYLQQVFESMVSVLKTSGQAQEKAIKEVTEKLKLLEEGLKGFFPNGFPNSFDIENVGLLEVVICSHFGANEAQEEALGVKVITPEKTPLIYSSITALTEIPAVKAASIPHEKVVAFLKFFREKALKSSAE >ONI09295 pep chromosome:Prunus_persica_NCBIv2:G5:17564212:17568713:1 gene:PRUPE_5G229600 transcript:ONI09295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKASNAYLQFLQGPGTKMLFEFVKEMPKPVTNVVSIPDLSSLIGPLFFTWIILLLFPVVLKSLVYEKQQKLRIMMKMHGLGDGPYWMISYAYFLAVSLIYMLLFMAVGSIIGLKFFTINDYSIQFVFYFIYMNLQIAAAFLVASMFSNVKACTAIGYILVFGTGLLAENLFRSFIEDASVSRRGIILLQLYPGFSLYRGLYELAQYPLQEKRVGMGWDDLNDNQNGMREVFIIMVIEWFVVLFVAYCIDQVVSSGSGKSPLFFLQRIRKKKLASLRRPSLQRQGSKVSVQMEKSDVSHEIEMVERLVLEQNTSHAIVCDNLKKVYPGRDGNPEKFAVKGLSLALPPGECFGMLGPNGAGKTSFISMMTGLTKPTSGTAYVHGMDIRTQMNDIYTSMGFCPQHDLLWETLTGREHLLFYGRLKNLKGRALMQAVEESLKSVKLFDGGIADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPSSRNHLWNVIKTAKQDRAIILTTHSMEEAEVLCDRLGIFVDGRMQCIGNPKELKGRHGGLYVFTMTTSWEHEEKVERIVQKLSPNANKTYRLSGTQKFELPKNEVRIADVFQAVEFAKSRFPVFAWGVVDTTLEDVFVKVAAAAAASSSYDI >ONI09294 pep chromosome:Prunus_persica_NCBIv2:G5:17564212:17568713:1 gene:PRUPE_5G229600 transcript:ONI09294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTTKKLPISKSPPRFRPAPDPPEPYQPTPNPPPVPFQPTLNPPPVRVQPIPNPPLARYPPPPSARKRSPPPPRPRNPPPQQASSPTQAPNPGLTPKTQPNKEYEQEVRCVEALTLWRNNSSDINNELYKGYPKGNPERKVNEILAAYDFLNSNENSFNAILWYNSSLRRSVGSDLQRIGRSVNLASNAYLQFLQGPGTKMLFEFVKEMPKPVTNVVSIPDLSSLIGPLFFTWIILLLFPVVLKSLVYEKQQKLRIMMKMHGLGDGPYWMISYAYFLAVSLIYMLLFMAVGSIIGLKFFTINDYSIQFVFYFIYMNLQIAAAFLVASMFSNVKACTAIGYILVFGTGLLAENLFRSFIEDASVSRRGIILLQLYPGFSLYRGLYELAQYPLQEKRVGMGWDDLNDNQNGMREVFIIMVIEWFVVLFVAYCIDQVVSSGSGKSPLFFLQRIRKKKLASLRRPSLQRQGSKVSVQMEKSDVSHEIEMVERLVLEQNTSHAIVCDNLKKVYPGRDGNPEKFAVKGLSLALPPGECFGMLGPNGAGKTSFISMMTGLTKPTSGTAYVHGMDIRTQMNDIYTSMGFCPQHDLLWETLTGREHLLFYGRLKNLKGRALMQAVEESLKSVKLFDGGIADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPSSRNHLWNVIKTAKQDRAIILTTHSMEEAEVLCDRLGIFVDGRMQCIGNPKELKGRHGGLYVFTMTTSWEHEEKVERIVQKLSPNANKTYRLSGTQKFELPKNEVRIADVFQAVEFAKSRFPVFAWGVVDTTLEDVFVKVAAAAAASSSYDI >ONI07492 pep chromosome:Prunus_persica_NCBIv2:G5:12211820:12215670:1 gene:PRUPE_5G123500 transcript:ONI07492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTTHNDLPLHTHKRSRRTTTNRVFAVVHSCAILALLYRHALSLLHSTSLTSFFITLTFLISDSILAFMYSTAQSPRMTPIYRKEFPENLKRVVKESDFPALDMIICTADPFKEPPMNVVNTALSVMAYDYPTDKVSVYVSDDGGSALTLFAFMEAARFASHWLPFCRENSIVERCPEAYFETDHSRLPEADKIKIMYQGMKVMVNNVIERGKVGDEYITGERERLAFRKWTDGFTRQDHPTIIQVLLDNSEDRDIADNSMPNLIYVSREKSRTSHHNFKAGALNALLRVSATMTNAPIVLTLDCDMFSNDPQTPHRALCYFLDPKIQSELGYVQFPQRFRGIDKSDMYASENRRLFEINPQGMDGVLGPNYVGTGCFFSRRVFFGGPSKFLPPENPQLGPNNVVDKPIQSLEVLELAHHVAACNYEKNSNWGSKIGVRYGSLVEDYFTGYHLQCEGWKSIFCNPDKPAFSGDSPINLIDVLNQTKRWAIGLLEVCFSRYSPITFGIKSMGPRMGLAYCYGFWAIWSIPITAYAFLPQLALLNGLTIFPKVSEPWFLLYVFVFIGAYFEDLLDFHSIGVTFQKWWSDQRMWLIKGLSSFLFGSIEFFFKSFGIATLGFNVTSKVLDEDQSKRYEQGSMEFGVPSPLFVPLTTAAVVNLAAFAWGHVEVFRGSNGLEGLLMQMFIAGFGIANCMPIYEGIIRSDKGKIPTKITLVSTFIAFALYAAAYVTLRN >ONI07315 pep chromosome:Prunus_persica_NCBIv2:G5:11648266:11654370:-1 gene:PRUPE_5G112800 transcript:ONI07315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEGLWSLLLCNNSECSTGFLALTNPDSCINNTLVIAPPESTFSPVSIISATFNAALALAYFSFGVWTINKKVNTDQTIIPLHGWLVFLCQGFTWLLLAFTIGLKKANPPHISITKVCSILAFLIAVFLCSSAIWQAIVNEAISVKIFLNICYFPGSILLLFSAFQDTKGDPQTHDDAFYTPLQGAESDIKDDISSNGNVTPFEKAGLFSTMTFWWLNPLMKKGKQKILEDEDIPLLRQADRARTWYLKFMEQLNKRKEGSSSDTPSILSIIFYCQRREFFISGLYALIKILTTTSSPLFLMAFIKIVEGKAAFKYEGYALTLALFIVKTLESLSERQWYFKTRMIGLQVRSLVSAAIYQKQLRLSNSVKMAHSPGEMVNYVTVDAYRIGEFPYWFHQMWTTSLQLCLSLLIVYFSVGLAIVSALIVLILSVLASSPLAKLQHEYQTKFMVAQNRRLKAISEALSNMKILKLYSWETNFKNVIEGLRADELKLISQVLSQKGYHLAVFWSSPILVSAVTFWTCYLLGFELSASNVFTFLATLRNVQEPIRLISDVFGAFIEGKVSLSRIVYFLDAPELEHRQTRKESIGVEFEHSILIRSSEISWDTSAKKATLRNINLVVKPGEKLAICGEVGSGKSTLLAAILGEVPRINGIVQVHGKIAYVSQSAWIQTGTIQENILFGSVMDHVRYQETLEKCSLLKDLEMLPFHDLTQIGERGVNLSGGQKQRIQLARALYQNADVYLLDDPFSAVDAHTATSLFNEYIIGALSEKTVLLVTHQVDFLPSFNSILLMSAGKILKAAPYKELLTSCQEFQDLVNAHNDTAGCERQVEYASKRKHKSSIEEIEKVKTEVPQKESSGDQLIKKEEKETGDTGFRLYIQYLKQSKGFLHFFSSIFFHVIFLVGQLIQSYWLAAKLQDYSVSRVKLFALTCGASKSIFDTLLNSLFRAPMLFYDSTPVGRVSTDMNIIDLEVAFKLGISVGSTLMTYSTLLVLVSITWPIVFLIIPTIYVTVLLQNYYFASAKELMRMNGTTKSALASHIAESIAGALTIRAFGEEDRFFSKNLDLIDANASADFSRFSANEWLIKRLEFLCAIVLSASALAISLIHFDASSSGFIGMTLSYGLSLNVFLAVSVQFQCMLENSMISVERIEQYMHIPSEAPEVIDENRPADNWPTAGKMEIHDLKVRYRPNAPLVLRGINCIIDGGYKIGIVGRTGSGKTTLISVLFRLVEPTEGRIIVDDYDICKIGLHDLRSCFGIIPQDPTLFNGSVRFNLDPLSEHTDYEIWEVLEKCQLRDAIQEKEEGPDFFVVQDGTNWSMGQRQLFCLGRALLKRSRILVLDEATASMDNATDYILQQTIRTEFADCTVITVAHRIPTVMDCTKVLAISDGKLVEYDEPMKLMNNEGSLFGQLVKEYWSRSANASVSSDD >ONI07384 pep chromosome:Prunus_persica_NCBIv2:G5:11855674:11856963:1 gene:PRUPE_5G116600 transcript:ONI07384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIYDADDASKVGLLKSLPNADSKLLLFQADIYDPNEFQPAIQGCEFVFHVATPKQHVNQSSQYKDTVEAAVAGVRTIAESCISSQTVKRLIYTASMLAVSPRRDDGVGFKPCLDESCWTPLDVSFTYATDFSMGYIISKTLAERAVLSYNDIDNGKLEVVTLPCGLVGGETLLSYLATSVGVLISQLTGDSFGYNGLKLMQEVNGSVPLVHIEDVCQAHIFCMEQPSMRGRFCCAVASPNINEIASYFQENYSEHKIAKEFTESIERLEEGSKCDFSKLMKMGFEYRYSMKNILDDSATSGRRLTRSSFSQLI >ONI06464 pep chromosome:Prunus_persica_NCBIv2:G5:6776760:6776972:-1 gene:PRUPE_5G062100 transcript:ONI06464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNNTSKSQKDEAHPKLSQIRGRDKGRPYRHRSVKSRRTLRMGKNPLNLKLSPSLSLLARFFFFFFFWV >ONI09264 pep chromosome:Prunus_persica_NCBIv2:G5:17489242:17490975:-1 gene:PRUPE_5G227200 transcript:ONI09264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHDSVLARSFAHHQQKRLRYGAFACCLLLPLYFCTVLRPSLNLLVFSKVVTKNLEPICNVLEPRTNFCEINRDVRVDGKSSTVSIVSSQMDVLAGNSPWTIRPYARKEDKRPMNHTRAWSVKPVIGDQEIPRCSRNHSVPAILFSNGGYTGNHFHEFTDIVVPLYITSRKYDGEVQFLISDLRTWWVAKFQAILKGLSNYEFLDIDKEAVHCFPSITVGLKRHPKDLTIDPSKHSYSMKDFREFLRNTYSLKRTNAIRIRDGQRKKPRLLIISRWRTRCFTNLRQITKMARTLGYKVTVEEADRNMSRIAQVVNSCDVLMGVHGAGLTNILFLPENSIFIQILPIGGFEWIATNYFGVPSRGMNLNYLEYKVSKEESTLKNDPHTTPIRGWEGFKSTFLNAQNIKLNVNRFRPTLLKALELLHY >ONI05662 pep chromosome:Prunus_persica_NCBIv2:G5:1889790:1891650:-1 gene:PRUPE_5G017700 transcript:ONI05662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGAGGDEEEGMAMGMGSGGTGMMKKMMTSPAGGGSGQWAPEETRELIAIRGELEIRNSNLAKRSKALWEAVSSKMAERGFNRTPEQCKCKWKNLLIRYKGKETSDPESGRECPFFEELQAVFTERANNMQRLLLESETGSARSKKMGKRKLRPGRSSDEFSEDPDEEDEDDKKRKGERVIMPKATNAASGTSSSSIQDMLKAFFQQQQRMEMEWREMMERRAQERRMFEQEWRQKMEKVERERLMVEQAWREREEQRRIREESRAERRDALLTTLLNKLINQTNF >ONI07732 pep chromosome:Prunus_persica_NCBIv2:G5:12902410:12903348:-1 gene:PRUPE_5G136200 transcript:ONI07732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRAQNDGVRGRRKSSTRGHHRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDDAARALRGANARTNFELPQSTNESGHANGGAGVAENVEPFSFEAVCGNGAEADGLLGALRAKLLDGKGCVVPQPQGNNGGAKMEPFPIGPRGVVGFVNPNPPPSSSGSAKEDPIVLDINGHDHRWGHHQAQPSHTASNQSMAWSNESPALAYDQVPNWSTWSTQTGSFTEQCPMELPSQITSLARDGKVTALSTQQLSQIDGSAILGSSASGAWPSDQQQFLHCDNNWAAGGANASWDPLFYASSVLG >ONI07514 pep chromosome:Prunus_persica_NCBIv2:G5:12277528:12278144:-1 gene:PRUPE_5G124800 transcript:ONI07514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISIPIVLLFVCSALLFNVALGRHNPNVDHEAHPKEVSRLWFYLGPPLTPPPPPAPVAQLPPQVPALPTWKPPTQEDYEKCWSSLTDIDRLVVDLISALLTGDYSRIGPDCCAAIDGIDQNCFGVMLDQFNNRYYVPALRQSCADQSSPPKAPSKA >ONI07818 pep chromosome:Prunus_persica_NCBIv2:G5:13157696:13158433:1 gene:PRUPE_5G141700 transcript:ONI07818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKDDSFGSSYLPSLDETDDLKITKPDVVRFALIIIFVCGMIFSDITEIPTVPKFSVDNASLTQFNYTKTNHSLSYNLALNITITNSKKYIGLEYSDIQVTAYYGKTRFAFVTLVNETASFHQNPNTTVSFENVAVQGQKLVLFAESNFNKYSLGTAAGVYSVDLLIAFKETFLSGNAICNLKLPLGFNGTAAPGFQATKCWRRRYY >ONI08697 pep chromosome:Prunus_persica_NCBIv2:G5:15832316:15833239:1 gene:PRUPE_5G194900 transcript:ONI08697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSYKNRNTWTLCNYGGAIKRWTSWTDSNHGRRFEVCENNRKNRGKGHYWEWVDEEICPRGKEVLPGLLRRMRAMELELNQIEEDNEGLKDKLRVIEQENKELTATVGRLGRQRMKMDEKIMVYRHIGKLMFGGKVWSVCGMGSWSHGWNVDD >ONI06998 pep chromosome:Prunus_persica_NCBIv2:G5:10404526:10405456:1 gene:PRUPE_5G094200 transcript:ONI06998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLKKFSVFSIICITLYFPTSTNAARFDIRNNCAFTVWAAASPGGGRPLNSGESWPLDVNSGTAGARIWARTGCSFDGAGRGSCQTGDCGGVLQCQGYGQPPNTLAEYALNQYNNLDFFDISLVDGFNVPMDFSPTDNGCTRGIQCTADINGQCPNELKAQGGCNNPCTVFKTDQYCCNSGSCGPTDFSRFFKDRCPDAYSYPKDDQTSTFTCPTGTNYRVVFCP >ONI09043 pep chromosome:Prunus_persica_NCBIv2:G5:16881586:16882693:1 gene:PRUPE_5G214000 transcript:ONI09043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSQTLPDQQQQQQPAGQSLSSNYPQAVPLSSSSSSSTSGSGSIGPFFAVISVLTVLAFLSCVLGRKLSRDQTVLRPLESINHGHRTSCAGWLKRKCRQLQCMVSHHDLEVGPNLKVIVDPKVKQGEEVPPPQP >ONI06882 pep chromosome:Prunus_persica_NCBIv2:G5:9831382:9833712:-1 gene:PRUPE_5G087000 transcript:ONI06882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRNRDDKMGGPGPQNQAQTRGPTGRPLPPPPHSRGPPPPPPPRLEPVDREKTCPLLLRVFTKIGSHHNNEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEIAPEARRRNAKLSFAFVYPDRRGHFVVKTVGMTHSYGNGRNPDENKALNDFNFQIGDYLDVAVL >ONI07559 pep chromosome:Prunus_persica_NCBIv2:G5:12464144:12465383:1 gene:PRUPE_5G127800 transcript:ONI07559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRTVFNEKFRPLLKNLKFTLDTLLPRISQQMGDENNVKLGLFKDELESLGRQMKEGTRLLVKLSKPISLWNCCIWYNWCNYTELSYMDQLVPLNKSLTILLETLKLLQEARDVKECSLSDDEQDEWERRLLDLLRVQTAGNVQEFSASNEEETKVHGQTVGELFWVMFDTVVEVKHKNNMFKCPLQHIKLTLDFLRPYIGIAELNLPNEDLEFVRIQIEKGVELVRKCNKQARCKKYKYTNKLFELNQYFQGLLDILMMRQARKVRKCHETETVIKRIEESGVVQNQTQIECTKLDQDFQRVLNILRAWLARNVRKQFGDVREGLVSLIKRIEERVVC >ONI06024 pep chromosome:Prunus_persica_NCBIv2:G5:3981186:3982117:1 gene:PRUPE_5G035000 transcript:ONI06024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSLYREKGREEKLCLCELGKVYMEEFSFTLAAVIVVIVVIFISSSLLLLSSLFDISFCSLLSFGASIGSVC >ONI07671 pep chromosome:Prunus_persica_NCBIv2:G5:12823116:12824906:-1 gene:PRUPE_5G134700 transcript:ONI07671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATKLLLTDLMSGVNHVPSNYVRPISDRPNLSDVQISDASIPLIDLKDLHGHNHSNIIKQIGLACQTDGFFQVKNHGVPEEMIKDMLSIAREFFKLPESERLKMYSDDPSKTTRLSTSFNVRTEKLSNWRDFLRLHCYPLEDYVQEWPNNPPSFREQVGEYCTTVRGLVLRLLGAISESLGLEKNYIVEALGKQGQHMALNYYPPCPEPELTYGLPGHTDCNLITILLQDDVAGLQVLRNGKWVAVNPIPNTFIVNIGDMMQVISNDKYKSVLHRAVVNCKSERISIPTFYCPSPDAVIGPAKDLISHDQPAMYRNFTYAEYFEKFWNRGLATECCLDLFKPN >ONI06028 pep chromosome:Prunus_persica_NCBIv2:G5:4029257:4031957:1 gene:PRUPE_5G035400 transcript:ONI06028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYRIPPTMNLWTDDNASLMEAFMSSSDLTSFWAAPSAQPTPQPAHPQAQPQSSASTSDYPKAAAVAPSQPSITPFNQETLMQRLQALIEGARESWTYAIFWQSSYDYSGGTVLGWGEGFYKDERDKGKAKAKTTTSAADQEYRKKVLRELNSLISGADTSADDAVVDQEVTDTEWFFLVSMTQSFVPGGGLPGQAFFHSTPVWVAGDRLAASPCERARQGQLFGLQTMVCVPTANGVVELGSTELIYQSSDLTNKVRVLFNFNNLEVGSWPMGGGGADQGENDPSSLWINDPSSTTIEVKDPVNMAPVTSAPTSTSTQPVSKPIQFESHQPSSSSLSENPSAIQLQQSQQQQQVQQQTQSFFTRELNFSDYGYDGSSGKNSNSNSHSLKPESGEILSFGESKRSSYSANGKLFSGHSQIAAAEDNNSKKKRSPTSRGSNDEGILSFSSGVILPSSGVVKSGGGGAADSDHSDLEASVVRETDSSRVVDPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELKAKLQTTESDKEDLQKQLESMNQDLGCKDSSSLSDDLKMSKHQASSKLIDLDIDVKIIGWDAMIRIQCCKKNHPAARLMASLKELDLDVHHASISVVNDLMIQQATVKMGSRIYTQDQLRLALLSKIGDSR >ONI06623 pep chromosome:Prunus_persica_NCBIv2:G5:8509074:8512347:1 gene:PRUPE_5G070700 transcript:ONI06623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFVLPNDRRCFNKTGVSELRRNCSSQLHILVFLSPTESTRKEAVLWTNCRGVKLISEFTEPRKNSLGNLPWQESWPNTFISHHPAIGSDHNSLVLETTMYHKNHRRQFKFEAYWANEVEAKQIIEKGWEKQVHGSWIHKWKAKLQLCTTLLKKWSREKFSNNKKRMEALHVKLNEKQLRIKGDDGHWHVGEPAVRRVFEEHFKKLFTSEAQSINGDILDCVDSVISQTTNDNLLQAITMEEIKEAAMQMGGLKAPGPDGYQGIFFHKYWDTIYDEVRGITEDFFLKNQSLGALNITNLVLIPKIPNPEGVSHFRLISLCNFSFKIVSKVMANRLKVFLPQIISPAQNAFAYDRVEWHFLESVMIKMGFYVRWVNLVMNLVHTVPFSLVLNGVQGNTFTPTRGIRQGDPLSPYLFLIVSEVLSLMIKKACEANHLQGLKFGRSGPTLSHLLFADDALFFIRANTQNCRNMRLLLDGYCRASGQQINFGKSSLFFSPNTPASIRTQLGAILGMTMVDDPGKYLGLPTMWGRSKKEALQFVKEKLLRKLSRWKQSLLSQAGREVLIKAIVQAVPNYPMRVFLFPKTFCLMRPLLSTEEAFAIQRIPIGSSSEVDCLVWPDEKNGKYSVKSGYHNIHTHHHRSSVRRPSSSMSKDQRAWKLIWHADVTPKICHFFWRALNGHVAVSSVLYKKKLRNSPLCPIFNDHEETIEHMLLLCPWVEPVWFEGLTYRVDRQQISSLHTWRREAECQIAYTCWNIWKTRNMVVFDNFTPQPQQTLKAIFD >ONI08399 pep chromosome:Prunus_persica_NCBIv2:G5:14927061:14928248:-1 gene:PRUPE_5G175700 transcript:ONI08399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPKPVTEVAKMDLFEDDDEFEEFDMNEEWEDKEEGKEVAQQWEDDWDDDDVNDDFSLQLRRELENNTEKSSA >ONI08675 pep chromosome:Prunus_persica_NCBIv2:G5:15764216:15766597:1 gene:PRUPE_5G193200 transcript:ONI08675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKVGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLRKLQTGLDGHDHRNSQDGFSGNSHDQPISKGQWERRLQTDIHMAKQALCEALSLDKPPTHDLHLQDLKPSINLGYNNNDQPNTNTCSRPHQASTYASNTENIAKLLESWMKNSPKGPSSAQNHNPTNSETNLHQINSFKNKKNTAAGCSMSTSSEGAQSATTTPEQAFDSLFSFNSSTSDVSQSMSVDENNANFTAETSCLFQDESKPNLEGQVPLTLLEKWLFDDAAPHAHEDLIDMSLENTSVLF >ONI08707 pep chromosome:Prunus_persica_NCBIv2:G5:15862364:15872924:-1 gene:PRUPE_5G195800 transcript:ONI08707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVEYEHKFNELSRFAPELVATEEDICRRFEEGLWWEIQAVVTANTYPNMRALAQVAERVARKFSGSAGRHRRDTSGFGGPSQGLSKRGGSSSSSASGGWSGGRGSSSSSRRSDSRSAWTQYSRQQSTASTARTPSRQIGLMCYNCGQIGHFAKDCPGYTQGGGQSQSSSLTCYFCGQVGHTKRSCLIILQSDAVVQGTEA >ONI07047 pep chromosome:Prunus_persica_NCBIv2:G5:10603626:10604278:1 gene:PRUPE_5G097200 transcript:ONI07047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKCNEKSINQRESLTHERIRLNRIFLLFRWLFVFREKEEVCPLVETLTFPGMVPVPKKDSSCFTA >ONI06608 pep chromosome:Prunus_persica_NCBIv2:G5:8363567:8367699:-1 gene:PRUPE_5G069700 transcript:ONI06608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSDDLDELLDSALDDFQNLNLNKPSLQRSKESTENKQEPPSLPAGVQGLGMGLPEIRTKKKGKQKVSEESHVTEALDKLREQTREAVKGLESVTSTKPGGEDLGKDVEDWVKQFEELAGSQDMESIVETMMQQLLSKDILHEPMKEIGERYPKWLKEHEASLSKEEHERYFHQYELIKDLNEVYEKDSGNFTRIFELMQKMQECGQPPNDIVQELAPDFDLASLGQLSPEMLESQTNCCIM >ONI06607 pep chromosome:Prunus_persica_NCBIv2:G5:8363297:8367883:-1 gene:PRUPE_5G069700 transcript:ONI06607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSDDLDELLDSALDDFQNLNLNKPSLQSKESTENKQEPPSLPAGVQGLGMGLPEIRTKKKGKQKVSEESHVTEALDKLREQTREAVKGLESVTSTKPGGEDLGKDVEDWVKQFEELAGSQDMESIVETMMQQLLSKDILHEPMKEIGERYPKWLKEHEASLSKEEHERYFHQYELIKDLNEVYEKDSGNFTRIFELMQKMQECGQPPNDIVQELAPDFDLASLGQLSPEMLESQTNCCIM >ONI06842 pep chromosome:Prunus_persica_NCBIv2:G5:9705651:9707682:-1 gene:PRUPE_5G084700 transcript:ONI06842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLSSFLYLILTWTLIQTILYSISRSQKSSRPKLPPGPKPFPLIGNLLQLGDKPHKSLAELAKKHGPLMSLKLGKVTAIVVSSAAMAKEVLQTHDQFLSNRTIPDSIRAYDHHIVGLPWIPVSTLWRNLRKICNTELFANKMLDSNQNLRRNKVQELIGQVHRSSQADEAVDIGRAAFTTTLNLLSNTIFSIDLANPSSEMAREFQEMVCNIMMEAGKPNLGDYFPVLRKIDLHGRRQRMTGYFGKILDLLDNIVNQRLKLRELPGSTTKDDLLDTLLNITEENSEVINKKEIYHLLLVLFVAGSDTTSSTFQWAMAELLHSPEVLSKAQLELEQVIGKGNPVEESDIARLPYLQAIVKETFRLHPAVPLLLPRKAGADVEIEGFTVPKGAQVLVNTWAIGRDPSLWDEPSSFKPERFLGSEIDVKGRNFELIPFGAGRRVCPGLPLAMRMLHLMLGSLIHSFDWKLEDGVTPENMNMDDKFGITLEMAQSLRAVPVSL >ONI06833 pep chromosome:Prunus_persica_NCBIv2:G5:9654339:9654973:1 gene:PRUPE_5G084100 transcript:ONI06833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNLYSILVILAIFAPSILATDYVVGDNKGWTINFDYQAWAQGKLFYVGDNLVFNYPKGAHTVLKVNGTGFQQCAAPLDSVPLTSGNDVINLATPGRKWYICGVGQHCELGNQKLVITVLPSSSSSAPSSSPSSWPSATAGPGPSTSAATTVGTRFALIMLLTIGFLWMLMA >ONI06817 pep chromosome:Prunus_persica_NCBIv2:G5:9597728:9601673:-1 gene:PRUPE_5G082900 transcript:ONI06817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALTVLSIAHNSFSRPIPKELGNLKELTMLSFGSNNFSGTLPPELGNLVNLGLFYMDSCGLGGEIPSTFAKLIKMKILYASDNPFSGKIPSFIGNWRKLISLDLCFNNLTGELLPSSLFNMSSLTYFNIYTPATPGKKNRTGLIGCIAVPVGVVILLLLFAVSYVRRKTSEKDDDEDLLGLGLRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQISVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGSHRILVYEYLENKSLDQALFGRIFCSLKYTLKLHPKNTKISDFGWAKLYDDNKTHMSTRVAGTIRLCYLVPEYAMRGRLTEKADVFGFGVIALEILSGRPNSDNNLDPEKIYLLEWSLGLVDPRLTEFDENDATSLIKAALLCTQASPMMRPSMSRVVAILSGDIEASTVMSKPSYLADWDFKDVTTTSSFLVDDDTSSTESNVLLNHQPEGSTTGASPRIDPAPSQVNVTGSMLTGFIGEGM >ONI06175 pep chromosome:Prunus_persica_NCBIv2:G5:4959395:4961008:-1 gene:PRUPE_5G045500 transcript:ONI06175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSQSKPSSAPPPPSPRSKTEALDVPLHEIGFEIEEVTPKKVSGHLHVTQKCCQPFKVLHGGVSALIAESLASIGAHLASGFQRVAGIHLSINHLKRAELGDRIFAEATPVNLGKTIQVWEVRLWKINPSNSDIKSIVSSSRVTLLCNMPVPEHAKDAGDAVKKYAKL >ONI08108 pep chromosome:Prunus_persica_NCBIv2:G5:14044480:14050634:-1 gene:PRUPE_5G158400 transcript:ONI08108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAAAVRVLLPVSASVIYVIYRYLRSGRQIESSPNTSNNDVVPPQNSGNSDVVPYPELSDRDRTILAEILKDNHVLLDKIRALEPAFLDGLRKFKPAVLREMVFDPAELDPVIVDASRKLSLKGGKEEGEENENGNLNETKSEERDEERSRSRHYPVRPGARDCRCYLKTGTCKFGPNCKFNHPRITTKNNQNSFRSGGRHPNLYTTPNPGNDDVLPPNSDIPSILGNDDVFPQNPGDPDRENGNRNETQSEERDKERSRIHHYPVRFEDGDCWSYLKTGTCNFGPSCKFNHPHRRKNRKNNQNYFRSGGCKPGNAFSFNPRRGEPSVAPVQKLNFMDLPIRPGEKECPYYVKNGSCKSGTNCTFNHPDPTAIGESGPPSGYIDGGPASVQGASSATAWDSLCVPMIPPSQGIPSQNTEWNGSQGENICTQYSSYGICNSGPACKFDHPSLSTFLL >ONI08109 pep chromosome:Prunus_persica_NCBIv2:G5:14045636:14050087:-1 gene:PRUPE_5G158400 transcript:ONI08109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAAAVRVLLPVSASVIYVIYRYLRSGRQIESSPNTSNNDVVPPQNSGNSDVVPYPELSDRDRTILAEILKDNHVLLDKIRALEPAFLDGLRKFKPAVLREMVFDPAELDPVIVDASRKLSLKGGKEEGEENENGNLNETKSEERDEERSRSRHYPVRPGARDCRCYLKTGTCKFGPNCKFNHPRITTKNNQNSFRSGGRHPNLYTTPNPGNDDVLPPNSDIPSILGNDDVFPQNPGDPDRENGNRNETQSEERDKERSRIHHYPVRFEDGDCWSYLKTGTCNFGPSCKFNHPHRRKNRKNNQNYFRSGGCKPGNAFSFNPRRGEPSVAPVQKLNFMDLPIRPGEKECPYYVKNGSCKSGTNCTFNHPDPTAIGESGPPSGYIDGGPASVQGASSATAWDSLCVPMIPPSQGIPSQNTEWNGSQDPEYLPERSIPAPPPYVMNKAVTETNIYEQNPQQKQVEEFPERPGQPICIYFLRKGDCKHRSNCKYHHPKNQTAVSPLCALSDKGLPLRPGENICTQYSSYGICNSGPACKFDHPSLSTFLL >ONI07657 pep chromosome:Prunus_persica_NCBIv2:G5:12788296:12791745:1 gene:PRUPE_5G133700 transcript:ONI07657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RERYNGFPLGETESNKALLFVAASLLLVFNGQNTLIAPVDAESRLHIVYIGEKQHEDPELVTGSHHEMLASVVGSKEAAIDSIVYSYKHGFSGFAAKLTESEAKEIAELPGVAHVMPNSFHTLQNTRSWDYLGLSSHSPTNLMHDTKMGDGISQNTGRCESGEHFNATTDCNKKLLGAKWFIDGFLADNEQPFNTTEFPEFLSPRDAMGHGTHTATTAAGSFVASASYKGLGLGVVRGGAPRAHLAVYKPCWYAPIAVCAAADMLKAFNEAIYDGVDVLSLSMGNYLPKFAEVDKRDAISTGSFHAVAKGISVVCAADNTGPYAQTVRNVASWILTGQAMFVGKEVGFTGLVYPEGPELFPTTAGVCESLSLNNTPVAGNVVLCFTTVPGPAQAISAVSAVRSKGGVRVIIARHPSNLLAPFSNDFPCIAVDHELGTQILLYIRSTISPVVKLIPSKTLVGKPVSTKVAYFSAKGPNSIAPAILKPDIAAPSVSILAASTLFDPVMDGGFAFHSGTSMATPHIAGIVALLKALHPWKTDPFGEPIFAEGTLQKLADPFDYRGGLVSLNKAADPGLIYDMGTDDYINYLCAVGYNISAISQLVGQATACPIITPSILDVNLPSITIPNLRKSITLTRSVTNVGPVNSIYKAQIDPPPGIKVSVKPDTLVFNSTVKTNSFMVAVSTTYQVNTAYFFGSLTWSDGVHDVTSPISVRTQIIQSYTDDN >ONI08677 pep chromosome:Prunus_persica_NCBIv2:G5:15779200:15780311:1 gene:PRUPE_5G193400 transcript:ONI08677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKLVCFLLLALLGISMVATQVMAKQETQYHLDSGRYGPGSLKSYQCPSQCTRRCSQTQYHKPCMFFCQKCCAKCLCVPPGYYGNKAVCPCYNNWKTQQGGPKCP >ONI09329 pep chromosome:Prunus_persica_NCBIv2:G5:17689553:17691628:-1 gene:PRUPE_5G232400 transcript:ONI09329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTNISSTKTQITPKTITIPLVFLFTHPIQPSHHHQQPQHHQQDGDQDQTSIDNRSYWTKKIHSLCTAHRNVDQALHLLDRLRLLGYRPDSLNLSSILHALCDSNRFAEAHHRFAHSIASDCVPDERTCNVIVARLLDSRTPHTTLRLLHRLSHVKPEFVPSLINYNRLMDQLCLLLRPWEAHRVFFDMLSKGHCPNAVSYTTLINGYCLIGELGDAQKVFDEMGEKGVAPNSLTYSVMIRGVLRKRDVGRAKEWMGKLWEIMKGEDDTTVKSAAFASLIDSMCREGYFQEVFGIAEDMPQGKSVNEDFAYGQMIDSLCKAGRHHGASRIVYIMRNAGFAPKLTSYNSILHGLSKEGGCMRAYQLLEEGIKFGYFPSEYTYKVLVEGLCQESDPHKAREVLHYMLSKEGVDRTRMYNMYLRALCLMNNTTELLNGLVSMLQTQCQPDVITLNIVVNGLCKMGRIEDASKVLNDMMTGKFCAPDVVTFTTMISGLLNVGRTEEALGLLHHVMPEKGFSPNVVTYNAVLRGLFKHKQAREAMELFNLMVSDGVAADSTTYTIIIDGLCDSDQIEEAKRFWDEVIWPSKIHDNFVYAAIIKGICHSGKFDEACHFLYELVDAGVSPNIYSYNIVIDAACKLGLKKEAYEVVKEMRRNGLAPDSVTWRILDKLHGNARKQFCAEDSTLQFINGP >ONI08883 pep chromosome:Prunus_persica_NCBIv2:G5:16462701:16463801:1 gene:PRUPE_5G206100 transcript:ONI08883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKAFCSSVRGAPLGGRRENYASSFSPLLIQSMATQKPIPSVNKTASSKKNSTKPPVKLLTRVEQLKLLTKAEKAGLLSAAEKSGLSLSSIEKLGLLSKAEEFGVLSAATDPGTPGALFTLSLVLLLLGPFVVYLVPEDYPLEIGVQAFVALLSVVGGSAAFAASNFVSNLQKSS >ONI05657 pep chromosome:Prunus_persica_NCBIv2:G5:1857902:1872431:-1 gene:PRUPE_5G017300 transcript:ONI05657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFLEKWSGPWLLYILLLYIITNECRAISRDGEALLSFRTAVVSSDGFLIQWRPEDPDPCNWKGVKCDKKTKRVIYLSLAGHKLSGSVSPDLGKLDQLKILALHNNNFYGTIPSDLGNCTELQGIYLQGNYLSGLIPNELGKLLDLKYLDISSNSLSGNIPVSLGKLDKLVTFNVSNNFLVGPIPSDGMLTTLPQSSFDGNRDLCGKQISTICKDDPRESPPNSQSPNSDQNQGGKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKHDRKGLAMDVSGGASIVMFHGDLPYSSKDIIKKLETLNEEHIIGCGGFGTVYKLAMDDGNLFALKRIVKMNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEALHERSEQLDWDARLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEETHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGWLNILVTENRQRDIVDPQCEGVQAESLDALLSVAIQCVSSTSEDRPSMHRVVQVLESEVMTPCPSDFYDSSSD >ONI05566 pep chromosome:Prunus_persica_NCBIv2:G5:1411848:1415095:-1 gene:PRUPE_5G013200 transcript:ONI05566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISQELYPSQDDLLYEEELLRNPFSLKVWWRYLIARSESPFKKRFIIYERALKALPGSYKLWSAYLHERLELVRNLPITHFQYETLNNTFERALVTMHKMPKIWIRYLQTLTEQKLFTRTRRTFDRALCALPVTQHDGIWDPYLEFVSRKGIPIETSLRLYRRYLKYDPTHIEKFIEFLINSSLWQEAAERLASVLNDDQFYSIKGKTKHRLWLELCDLLAKHAKEVSGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRNLHEKARDIFEEGMTTVVTVRDFSVIFDSYVGFEDSMLIHKMETADLSDEEEEEENGVEEDGNEEEVDLRLDVNLSVAELEKEMLNGFWLHDDKDVDLRLARLEHLMDRSPILANSVLLRQNPHNVEQWHQRVKLFEGNPTKQILTYTEAVRTVDPMKAVGKPHTLWVAFAKLYENHKDIANARVIFDKAVQVNYKTVDYLASLWCEWAEMELRHKNFKGALELMRLATAEPSVEVKRRVAADGNQPVQMKLQKSLRMWTFYVDLEESLGKLESTRAVYERIMDLKIATPQIIINYALLLEKHKYFEDAFKVYEKGTKIFKYPHVKDIWVTYLSKFVKRYGKKELERARQLFEDAVQAAPADAKKPLYLQFANLEEDYGLAKRAMKIYDEATKAVPNHDKLSMYEMYIARAAEIFGIPKTREIYEQAIKSGLPDKDVKTMCLKYTELEKSLGEIDRARGVYIFASQFSDPRSDVDFWNKWHEFEVQHGNEDTFREMLQIKRSVSASYSQTHFILPEYMMQKDQRLNIDEAKHKLKQAGVPEDEMAALERQLAPVAKDTTTKDSNRKVGFVSAGVESQMDKEIKVTAIHEEIKLLEESDSEDDEMVEIALKEVPSAVFGELANKRKEAEKDEGGDVAAATKDGDTHLGALERIKRLKRGG >ONI06912 pep chromosome:Prunus_persica_NCBIv2:G5:9945573:9949329:-1 gene:PRUPE_5G088600 transcript:ONI06912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRPQGGFSVASTHHSMLQRALGSTLSAKESLIYSYRKSFNGFAARLSDEEVAKLSEMEGVVSVIPNHKLKLHTTRSWDFMGFSKGQLGVSIEGEVIIGVIDTGIWPESDSFNDKDFGPPPTKWKGVCQGPNFTCNNKLIGARFYNSEGEYDSSEFHSPRDSIGHGSHTASTAAGREVAASYFGLANGTARGGVPGARIAVYKVCWLSDCATADILAAFDDAIADGVDIISTSLGSDVPIQYLKDPIAIGSFHAMKNGILTSSSAGNSGPYPATVSNYAPWILTVAASTIDRRFTAKAVLGNGQVYSGFSINNFDLNGKSYPLIWGGDAANISKGASSEFAGDCVPNTLSSDKIKGKIVYCDSFSDGSDIRRAGGVGTIMVDFPTDVAFNYPLPSTQITIEDGQKILNYIRSTENPIATILVSDPEHDKDVMAPSIASFSSRGPNPLTPDILKPDLTAPGVDILAAWSPVAPPSETFYEDTRSVKYNIISGTSMSCPHVSGAAAYLKAAHPSWSAAAIKSALMTTATVLDSKKHADLEFAYGSGQINPLKAVKPGLIFDISEADYINFLCKQGYNSTTLRIITGDKNSSCGSTKPGKAWDLNYPSFSLQLEDGQEIKAEFTRTVTNVGSPNSTYTIASFSPLSTITVSVSPSTLSFSSVGEKKSFTVKVTGPKISQQPIISGSIVLSDGVHQVRAPLVVYTFLPGSIRISSSAPQNKKRFKGSSLYYRNGMLRHT >ONI08509 pep chromosome:Prunus_persica_NCBIv2:G5:15235097:15238459:-1 gene:PRUPE_5G182500 transcript:ONI08509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHADLDRQIEHLMQCKPLPEPEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFHDLVELFRIGGSAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQVEPDATRRTPDYFL >ONI06004 pep chromosome:Prunus_persica_NCBIv2:G5:3849513:3851544:1 gene:PRUPE_5G033600 transcript:ONI06004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKSKVEEEEEYNSFNEFTINKLFLSNLIHTELEEMQSNPSADFKCLALESNPQDWQFAVRGPNGTEFEGGIYHGQIKIPEEYPHKPPIITLLSENGRFKTQTEIDYFSNFLWRPRQKVRDTLLRLIELLPTYPDGALGSVEYDKEERRVLAIKSRLAAPIYGTDERQKLINEIHKYMLSKTPPVPSNGGGDQNDRDRRKQGIVTQKRKRTPDKILFYKNTINADNCKRVGFFDFEKKLRSP >ONI08660 pep chromosome:Prunus_persica_NCBIv2:G5:15727499:15730566:-1 gene:PRUPE_5G192200 transcript:ONI08660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGEWLEKALVDLYQKMETGLGLDKDMISGLVSYCELADPQDAKEYLDNIIGQEVGKSVIEEYLQKRGRADLCSTPAFPTSNLHAYVKPPSNEGSASGTKKPLKTPKVARGASDQAASSNQVKQDSTKTSESRTTSNANQGNSKKKKAGKVVSLAEAAKGSIVFNQGKPCSCQARRHRLVSNCLSCGKIVCEQEGEGPCNFCGALVLKEGSTYAGLEESFAPTSDAEAAAEAYAKRLVEYDRDSAARTTVIDDQSDYYEIEGNSWLSKEEKELLIKKQEEIEEAERAKRSKVVVSFDLVGRKVLVNEDETSELELEGRILRPRDEREVNRIKPNPTLKVQPLFVDPGRSKKSIQGRQANKGPTNGLCLEITGRVQHVNNELKQFMMDDQLETASNGKFSEGTTVSGGMLDDRGECFLDYH >ONI09438 pep chromosome:Prunus_persica_NCBIv2:G5:18009446:18012998:-1 gene:PRUPE_5G238300 transcript:ONI09438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKRGSAKGKRKGDSNVQQSRVGRDAFFNFMKKQRHEMGKEANAKLDRKVQEEIANKWRNLNPQEKATYGSALDLSGGQVEGSSGQVNVSVNEKGFTSRCSPDRFHQTVEKLSNQKRLAINEIGFGKVASLCCTRLRRELCQFLIERFNPDTSSIQLHGNVIGISAVEFGRVMGLKNTGEVVELEWLVEDEKVKELVNSFGGNGKRVLVRDLAEQLEKCENADEDFKVRFVMFALGTVLCPTSSPSVTGNYLTFLTIPGKIETKNWADHGFNFLCEGIRSFKAKKVSYVNGSLLFLQLLYFDSILHGGVYVDKSLDPIVSWDNKSVWKMIKWVRKQGGFDSPTVRVVSKHSPTNEVSRVNLERIVQEVAVSLAPIIQAEVKRSVEGLAITLGPIIQAEVQRSMLELTDKVMSQVSSFNKDARQHLHPGHEDVNQTKDSPLKERDQGGESVVKKKGEEASKLKEKGVVDVNNTWMLLPDGQSFSEPELKIGVEKRKFTKPARGDETRIKTRRTAERRPGVLCREPWVDPSTAKGKVVHSTTSKMKIGPFKLKPGDLEDSDLELFSYIFRSNNLSSGEIIIQIENKHHVTRGEFMCLRPEEWINDGVLNAHVYYLEEKGSRNWYFPTYMAEQVHNTSDGQLFELAVKLRRENTNRFTVRLKKCEKMFIPVFDRIGSHWYLIVVLPSDKKVEIWDSLPGPKYNAGRYQQAERIMKVLDHIYNEEIVNYFEKGWQFAKFNIVLTDKARRQVNGCDCGVFVMNWLQDIECRSHGSNKFQHASERVRIALSLLKNPRNRRLKEVRESARRVVDEQLDKLVEQKDPFIPHHPIARKPITRSQAK >ONI07990 pep chromosome:Prunus_persica_NCBIv2:G5:13725203:13729934:1 gene:PRUPE_5G151900 transcript:ONI07990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTTSIGGGGEDRVLATAQQIVKSLNTPKEVREDMLLIFSSFDNRLSNITDLINGEDSKAENDRFEAAEKVIFRWESNSEAHRNSVPWEESPDESGEYLSAVDEILTLMEGLSVRSDNELVDRAENALQIAMTRLEDEFRHILIRNTVPLDSERLYGSIRRVSLSFASNDGEIDEEFESFGEEDRDAGRFHERGGSLGDTDVDLIHPDAVVELKEIAERMIRSGYEKECIQVYSSVRRDALDECLVILGVEKLSIEEVQKIEWKSLDEKMKKWIQAVKIGVRVLLTGERRLCDQIFEGTDETREICFNETAKGCIMQLLNFGQAVAIGRRSPEKLFRILDMYDAMADVLPDLQQMVTDEYVVIEARGVLDELGDAAKGTFAEFENAVQSEASKKPMLSGEIHPLTRYVMNYVRLLVDYSHTLNSLLDTGEEELQRLQGLPNDDLGIESMSPIGHRLLLLISNLESNLEEKSRVYDDGAMQCVFLMNNILYIVQKVKDSEIRKLLGDQWVRKRRGQVRQYATGYLRAAWSKALSCLKDEGIGGSTSNASKMALKERFKNFNANFEEIYRIQTAWKVPDAQLREELRISISEKVIPAYRSFMGRFGSQLESGRHAGKYIKYTADDLEGYVLDLFEGTPGVLHHLRRKST >ONI06172 pep chromosome:Prunus_persica_NCBIv2:G5:4950848:4952532:-1 gene:PRUPE_5G045200 transcript:ONI06172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSQSKPSSAPPPPSPRSKTEALDVSLHEIGFEIEEVTPNKVSGHLHVTQRCCQPFKVLHGGVSALIAESLASIGAHLASGFQRVAGIHLSINHLKRAELGDHIFAEATPVNLGKTIQVWEVRLWKINPSNSDIKSLVSSSRVTLLCNMPVPEHAKDAGDAIKKYAKL >ONI05710 pep chromosome:Prunus_persica_NCBIv2:G5:2228402:2230400:-1 gene:PRUPE_5G020300 transcript:ONI05710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLVTIKHIYITHPTHVFPSKDFINLPSSSFKTHQLGDGYQSEYERIRIRNCPALPSLAFCTQVEEGCRAHVGLEMASPSKLQEVYFSIEMHGMGEIEEPEVLF >ONI06781 pep chromosome:Prunus_persica_NCBIv2:G5:9414989:9419808:-1 gene:PRUPE_5G080500 transcript:ONI06781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYQTLEEAASALGRNLTFAETLWFNYSASKSDYVLYLHNILFLFVIFSVVPLPLVFAEVLSWAGLDRYKIQPKVRLPFSDMLKCYKDVMRMFILIVGPLQLVSYPSVQIIGIRTGLPLPSGWEMLCQLLVYFLVEDYTNYWIHRFLHNKWGYEKIHRVHHEYTAPIGFAAPYAHWAEILILGIPSFLGPAMVPGHMVTFWSWIALRQVEAIETHSGYDFPWTPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDFIYGTDKGFRYQKKILKKLKEESNAVQNGGSYNNSTVDLKSD >ONI05942 pep chromosome:Prunus_persica_NCBIv2:G5:3523744:3529974:-1 gene:PRUPE_5G030400 transcript:ONI05942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPTRGGVRGGRDQFSWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLHWYTKDKKTQNAEMEAAKEEIKRIKDEEEQAMREALGLAPKRASRGQGNRLDKHEFSELVKRGSTAEDLGEGHAEAARVHGLGFSRAPCPWDESSSLPSTVKDVPPEMGNMAVPDSPPKEKNKEELDDESSRKKRRREERKREKHERHEKREKHGKQHSSDERRKHKKHKEKRRHDSDSE >ONI05941 pep chromosome:Prunus_persica_NCBIv2:G5:3523678:3530094:-1 gene:PRUPE_5G030400 transcript:ONI05941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPTRGGVRGGRDQFSWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLHWYTKDKKTQNAEMEAAKEEIKRIKDEEEQAMREALGLAPKRASRGQGNRLDKHEFSELVKRGSTAEDLGEGHAEAARVHGLGFSRAPCPWDESSSLPSTVKDVPPEMGNMAVPDSPPKEKNKEELDDESSRKKRRREERKREKHERHEKREKHGKQHSSDERRKHKKHKEKRRHDSDSE >ONI08257 pep chromosome:Prunus_persica_NCBIv2:G5:14469694:14471549:-1 gene:PRUPE_5G167700 transcript:ONI08257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNAIEGEMEETENSQENEEGETSASSSPLPLQLCYRDLPDYLQNCLMYCCILPDGISKGKLIRLLVAQGLIQEKAGHVMEDVAEENIFELISQGMLQLKEQQSSVRTRLQVPSPVRKFCVQQMEEGDVATFRAFVCSDITEQLAHLNNHQPTSLFLLRKNLSQDDGNWLKFNGANSLRVLDLERTKIKRLPDEVGDLISLIYLGLNHTDIEELPEGLGRLKALQTFDIRWCGHLTALPDQILNLVRLRHLKMYKNWFQNVQGVKLPPGIGGSFANLLTLTGVHAGGGIAEELGNLIQLRRLGVMDVAEENISELLASITKMSGLLSLSLESIHTFNEGKLVLLDSFSPPPFLQKLRLEGVLEKLPSWFGSLERLTNLRLGFSHMPENQFSVLQLLPNLKNLSLWHAYDGKQIGKEFCSAGGFAKLEELTIASRVLEEWTELEEGALPSLKYLYVRNCLRLRMLPEGLQFLTTLQRLDLLPLLHDHAERLKPDGGEENYKISHIPMIRLMTTSMVEELVKAKGE >ONI07631 pep chromosome:Prunus_persica_NCBIv2:G5:12707244:12710907:1 gene:PRUPE_5G132300 transcript:ONI07631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHQNSANGWSKPSDQRTTGKVITCKAAVVWGPGQPFVMEQVQVDPPQKLEVRIKILFTSICHTDLSAWQGENEAQRAFPRILGHEASGIVESVGEGVKDMKEGDRVIPIFNGECGDCKCCKSEKTNICHNFGVNPFKKVMNNDGTSRFSTKDGKPIYHFLNTSTFSEYTVLESACVVKIDPEAPLKKMTMLSCGVSTGVGAAWNTANVQAASTVAIFGLGSVGLAVAEGARARGASKIIGVDINPDKFIKGQAMGVTDFINPDEPGKPLHERIREITDGGVDYSFECIGNLDVLREAFLSTHEGWGFTVVLGIHPTPKMLPLHPMELFHGRGIIGSVFGGFKGKSQLPHFAQECMHGVVDLDKFITHELPFEKINDAFQLLIEGKSLRCVLQL >ONI08220 pep chromosome:Prunus_persica_NCBIv2:G5:14370857:14371935:1 gene:PRUPE_5G165400 transcript:ONI08220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLQPEDDRELEILKAVAQAWYSHSSGSRPTSEFDAHRRNFTGRLPSRFKLEAMRKSTSASNQASGYAAWDFGQSLWDAYEIVAVSKRLETGLFVDENPFNKLDSPTRVIRRRRESKHSLRSLFDKMSSRRFNEADVPPDNDT >ONI09116 pep chromosome:Prunus_persica_NCBIv2:G5:17115634:17118062:-1 gene:PRUPE_5G219100 transcript:ONI09116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSLLLGPPEFRKPEPIAAATQTQSTDPFVDLMVANYNDSAKAPIIAPPMGFTENRSATFLSSGNPCVDFFFHVVPSTPASYFNQQLPLAWAHDDLTTLKLICNLRGVRGTGKSDKEGFYTAAFWLHKHHPKTLACNVASLAEFGYFKDLPEILYRLLQGEDVRKTQKAEWSMRKGGACRIGRRGRRGLNHLRPPYRGGVAPCGGSVSPSPPYYGGGFAPPAPYGGGPYGLRPPFGGGLRSSSSAVKGRRSSNRKGDVQSREARIKRAMERAQLEKEKASSLRREKKSSMAQKALGRYQRDPDFRFLYERVSDLFAECLKSDIENFNSNQYKKITLAAKWCPSIDSSFDRATLLCESIARKVFPRESYPEYEGVEDAHYAYRVRDRLRKDVLVPLRKVLELPEVYIGANQWGSIPYNRVASVAMKFYKEKFLKHDEERFKKYLEDVKAGKSTIAAGALLPHEIIESLNHGDGGQVAELQWKRMVDDMQKQGKMNNCLAVCDVSGSMNGTPMEVSVALGLLVSELSEEPWKGKVITFSARPELHLIQGGDLMSKCEFVRTMEWGGNTNFQKVFDLLLQVAVKGRLKPEHMIKRIFVFSDMEFDQASTNRWETDYQTIQRKYNKKGYGNAIPQIVFWNLRHSLSTPVPSTQPGVALLSGYSKNLMKLFLDNDGEVRPDSVMEQALSGEEYQKLLVLD >ONI07619 pep chromosome:Prunus_persica_NCBIv2:G5:12657086:12660269:1 gene:PRUPE_5G131200 transcript:ONI07619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSLQPVQFSTPKHMLLCRPGSTGYPIRCGIAEPSGEPAPMGQKTKYNDGFFEKGFMTLFARKMKKFAAPVKARTETKEKAWWEYDYESFVDVSKRVMQGRSRVQQQQVVREVLLSMLPPGAPAQFRKLFPPTKWAAEFNAALTVPFFHWLVGPSEVVEVEINGVKQRSGVHIKKCRYLENSGCVGMCVNMCKFPTQDFFTNEFGLPLTMIPNFEDMSCEMVYGQVPPPFEEDPVSKQPCFTDICSIATPSSSVCPKLQA >ONI07983 pep chromosome:Prunus_persica_NCBIv2:G5:13702349:13706042:1 gene:PRUPE_5G151400 transcript:ONI07983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSMLNIGVYSFWGQIMSVPKPQMEEGFSFSSLKVVLLLVFLVQFTVADSQHKPAGDGMQPLSKIQIHRAVYELHENASVKAYPVLLGTKGEDSQWVTVEVASPKPSEDDWLAVFSPANFNSSTCPSTYDRQKAPNICSAPIKYRFANDSNVDYVKTGEASLKFQLINQRADFSFALFSGGLFSPKLLAVSNAVSFVNPKAPLYPRLAQGKYWDEMTVTWTSGYNIIEAVPFVEWGLKGEAQIQSPAGTLTFHRESMCAPPARTVGWRDPGFFHTSFLKNLWPNSLYTYKLGHRLSNGSNIWSKSYHFKSSPYPGQDSLQHVVIFGDMGKAERDGSNEYNAYQPGSLNTTDQLIKDLDNIDIVFHIGDITYANGYISQWDQFTSQVEPIASVVPYMIASGNHERDVPGTGSFYDGNDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFHFCIADSEHDWREGSEQYKFIEKCLASADRQKQPWLIFVAHRVLGYTSSSWRDGSFGEPMGRESLQKLWQKYKVDIAFFGHVHNYERTCPIYQNQCVNTEKSHYSGTFNGTIHVVVGGGGSHLTDFGPVQTTWSLFRDSDFGFVKLTAFNHSSLLLEYKKSSDGNVYDSFTISRDYRDVLACVHDGCEPTTLAT >ONI09311 pep chromosome:Prunus_persica_NCBIv2:G5:17623536:17627346:-1 gene:PRUPE_5G230900 transcript:ONI09311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVDPLVVGRVIGDVVDMFVPTVNMSVYFGSKHVTNGCDIKPSMAINPPKITVSGQPGELYTLVMTDPDAPSPSEPSMREWVHWIVADIPGGTSPVRGKEILAYVGPRPPVGIHRYILVLFQQKGPMGLVEQPSSRAHFNTRFFAAQLDLGLPVSTVYFNSQKEPANRRR >ONI09331 pep chromosome:Prunus_persica_NCBIv2:G5:17700766:17704458:-1 gene:PRUPE_5G232600 transcript:ONI09331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMMGYNESGHADFNMPDSFNGRKLRPLIPRPLVPSANNATSSVIAATPPCLNRIHGVSHDFFTQYHHLAAMAEQNKRSEFINTPQVVVSSRWNPTPEQLRALEELYRRGTRTPSAEQIQHITAQLRKYGKIEGKNVFYWFQNHKARERQKRRRQMESSVPSAQPDHEISLSSTDNQKKESLGASRTGFEVEQTKNWAPSTNCSATLAEESVSIQRAAKAAVAAAECRTDGWIQFDEEELQQRRNFVERNATWQMMQLSCPPPPSPLPPQYPHPPTHLIINTPACSTANSNSTNNLTSSRASRAATVRTMDQRLIKGHDLSILLTPYSSISEESGFINFNYPNHNTSVEQDHGCGESQTLQLFPLRSGHANANANLEKDHAELSANFSRAQPYPFFEFLPLKN >ONI05663 pep chromosome:Prunus_persica_NCBIv2:G5:1901095:1903298:1 gene:PRUPE_5G017800 transcript:ONI05663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPSALLFQVNRLKPELITPAKPTPHETKLLSNIDDQESLRFQVPVIMSYKNNPSMKGNDAVEVIREALSRALVYYYPLAGRLREGPNRKLMVECNGEGVLFIEANADVTLAQLGDTIAPPSPFLEEFLYNVPGSDGILGCPLLLIQVTRLSCGGFILALRLNHTMFDAAGLLQFLNAVGEMAQGAQAPSTTPVWERELLGARDQPRITCVHHEYEEGIDAQGSFPSTNKPNMVQRSFYFGSKEIKAIRNHIPPQLSTCTTFDLITACLWKCRTLALRMNPKQVVRLSCLVSARGKRHNVRLPLGYYGNAVAFPAAVSEAKAVCTNPLGYALELVMKAKATVNEEYMRSVADLMEIRGRLPKYPLTGNFIVSDTSRAGFGEVSFGWGKPVFGGPAKALDLISFYVQHKCNNEEGVLVPICLPLSDMERFQQELERMTVETVQTMEDIYDTKSAKIVSRM >ONI07487 pep chromosome:Prunus_persica_NCBIv2:G5:12181058:12183633:1 gene:PRUPE_5G123100 transcript:ONI07487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASSKRVEAAVDYKPAPASYAVFDINAIQEPWLVFDNTTLQEQQQSHEKPAHVPAQILDKLKSLETEAEAAPHTWDEVSKALEDLKPKTDPKSKPEPSPTPSPTQDEQTSSRKPRKSLSFHTLDELDKKLAPKPADLRKSESMRSLWKLNTESTRSESRVDLEATQATQSAAGYIKPVKENIFIMRDRLEREKEGKLAVYDRLMKSRRDPLSEYPERCPPGGSDSVVVYTTSLRGVRRTFEDCQKVKGVLEGHRVVYDERDVALHGEFLGELRGLLGEESNNSDGGVGVPRVFVKGRYLGGAEEVVELNESGVFGRMVKLAGVERGVGWRACEGCGGARFVPCMECGGSCKVVVGDKRERCPKCNENGLVQCVACWSLT >ONI07210 pep chromosome:Prunus_persica_NCBIv2:G5:11240965:11244068:-1 gene:PRUPE_5G106200 transcript:ONI07210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTVSNQQQLLSKMATGNGHGENSPYFDGWKAYDSNPFHPTKNPNGVIQMGLAENQLCFDLIEEWVLKNPEASICTAAGVNEFKDIAIFQDYHGLPEFRNAVANFMGKTRGNQVTFDPDRIVMSGGATGAHEMIAFCLADPGDAFLVPVPYYPGFDRDLGWRTGVQLIPVACESSNSFKVTRAALEAAYEKAQKDNIRVKGLLITNPSNPLGTVLDRETLRSLVAFINEKKIHLVCDEIYAATVFSQPSFISIAEIIEEDIECDRNLVHIVYSLSKDMGFPGFRVGIVYSYNDAVVNCARKMSSFGLVSTQTQHLIASMLSDNDFVDRFIAQSAKRLKARHMRLTMGLAQVGTSCLKSNGGLFVWMDLHKLLKEQTFEAEMALWHTIIHEVKLNVSPGSSFHCPEPGWFRVCFANMDDKTMEIALTRIRTFVLQDNEAVAPRKNNRFWQSNLRLSFQSRRMEDIMMSPCIMSPQSPLVRAMT >ONI07051 pep chromosome:Prunus_persica_NCBIv2:G5:10617652:10622601:-1 gene:PRUPE_5G097500 transcript:ONI07051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFASFQLLCLVSGVLFVACESFASKEVWALTTFKEAIYEDPHLVLSNWNSLDGDPCGWTGITCSLSRDHVLKINISGSSIRGFLVPDLGQLSFLQELILHGNKLLGIIPKDLGLLKYLRILDLGMNELTGPIPPELGNLTSVVKINLQSNGLSGRLPPELGNLAYLEELHLDRNKLRGTLPANSYTKLPSNLHGMYASNSNLTGLCRSSQLKVADFSFNFFVGNIPKCLEYLPRSSFQGNCLQKEDPKQRPVELCAGAPPSKGHPGVNPKNKPAKDVPKHQETSKPAWLLALEIVTGTMVCSLFLVAVFTALQRCNSKSSIIIPWKKSASEKDHIAVYIDSEMLKDVVRFNRQELEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEEHWTGYLELYFQREVTDLARLNHENAAKLLGYCSESTPFTRMLVFEYASNGTLYEHLHYGEGCQLSWTRRMKIVIGIARGLKYFHTELEPPFTISELNSSAVYLTEDFLPKLVDFESWKTILARSEKNSGSISSQGAICVLPNSMEARHLDVKGNVYAFGILLLEIISGRPPYCKDKGCLIEWAKDYLELPDVMPYVVDPELKHFSYDDLKVLCDVVNLCTHPEPTKRPSMQEICTMLESRIDTSVSIELKASSLAWAELALSS >ONI07933 pep chromosome:Prunus_persica_NCBIv2:G5:13544426:13547561:-1 gene:PRUPE_5G148000 transcript:ONI07933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIHKVLNVAVPLLTFTGLLFFLPLFLIYKFISFMRRSKSIVNMAGKVVLITGASSGIGEHLAYEYAKRGARLALAARREDRLRAVADKARGLGSPDAIVLPADVSILEHCNRLVNETVNHFGQLDHLVNNAGVLQAGSFEDWTQGQFSKLVSIMDINFWGSVYCTHFSLPHLRKSKGKIVVISSCASWFSAPKFGFYNASKAALTCFFETLRAELGSDIGITIVSPGVIESEMIGSSQFLSQVRSNLMPSKSTEGCTKAIVDSACRGDMYLTEPAWVKFGLWLRVFCPELLEKLFQLMATKKNPAGTSIKDD >ONI06791 pep chromosome:Prunus_persica_NCBIv2:G5:9461412:9465661:1 gene:PRUPE_5G081200 transcript:ONI06791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLVLALHSAGGVILRELALNFLSLFARKWQSRFTRPLLLQAFSPKLDSLLLSGSLPRGDIPMAQFIINYNNTLPPSQQFIIHVLDSTHLFVQPHAAEMIRSAISEFRDQNSYEKPT >ONI07305 pep chromosome:Prunus_persica_NCBIv2:G5:11616394:11617590:1 gene:PRUPE_5G112200 transcript:ONI07305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINCLCFFFTFLLSSCLCCLCNSTSDSLDGFARDLAFKALSERRAHTGVLYKAILPANLSGMEVSVVRLRSRRLWNRGAANFSCFQIPSRTMPMPHVKRLALVHQNLGNWSSHYYTLPGYSLVSSVVGFMVYDASNTSYNSTTKLSLKTMGKPILVQFPNVTLDQGTISKAKCASFATNGTISLTEMRHPGVCYATEQGHFSIVLRLKRKRSLKFLWVVGFVLASPMIVLVGYVGMASLKRLKAKKIQVMEKQAEEDLVLENRWVLGSKMPSAAVTRTLPALENGSPCHGSSDHLSFYGLAKSNNY >ONI07035 pep chromosome:Prunus_persica_NCBIv2:G5:10545077:10550636:1 gene:PRUPE_5G096300 transcript:ONI07035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSARWRSDKNKIKAVFKLQFHATQVPKLGVDTLTVSVIPGDVGKATVKLEKATVRDGSCRWENPAHETVKFVHEPKTGKIKECLYNFVVSTGSSKASVLGDVSVDFADYAEATKTSCVSLPLKNSNSNAVLHVTIQRLQENVDQREEEGCEDATVKSQDRSLKNHLSNHDADERVLIDERINRTTQNADFNRRASIGSDITLSSSDSGSGLDTPREHGLRNINIGHDPSSFPSSLSHASVQHKPAVYTPTTTYDEHQRSQWAWSAGSEHGVSTDGSTKSSHDTLPRERPSDDEIEKLKAELVVLARQADMSELELQTLRKQIVKESKRGQDLSKEVISLKEERDAFKAECEKLKAFQKKRMDDAQIKNRFQLEGGDLRALVDEIRQELSYEKDLTFNLRLQLQKTQESNSELILAVRDLEEILEQKNSEIADISNRPESTEDAAGLKATISKGGTSEDEEQMELEDLVKEHSNARETHLLAKQIADLYSEIEIYRRDKDELEIQMEQLALDYEILKQENHDISYKLEQSQLQEQLKMQYECSSPSASMNELESQVEDLETELKKQAEDFSNSLATIKELESHIKSLEDELEKQAQVFEADLEAVTCAKVEQEQRAIRAEEALRKTRSKNANTAERLQEEFRRLSVQMASTFDANEKVALKAMTEANELCVQKCQLEEMLQKTTEELQEVRNDYEARLQKISDQIDEKTEQIEQMLVEIENKSKQLEHQQKQEEEVKGHFSQVILHLQSEIDRLKTENNSLSEQAEENKNLRADLEQMKKSIEETEMLIQSGDAERIELVSTIAMLKEEAEKSLEKLNRMRELKEEKEAIVGLLQSELEELKAQCNDLKHSISEDEVEKEKLRKQVFQLKADLRKKEDAFTTIEKKLKDSNGRALVSDGIKSTHRNNKSLPVPKGSKEVAGLRERIKLLEGQIKLREAALETSTASFLEKEKDLQNIIEELESRVEEINQNSSVMKVGKDITGITSNEEERSGSEYLGHSALLPKENGNDMSCIKSADEMSSEQEPRLANVDHRNGYHDDLLTELASIKERNTSMESELKEMQERYSEISLKFAEVEGERQQLVMTVRNLKNLKRS >ONI05541 pep chromosome:Prunus_persica_NCBIv2:G5:1308463:1311433:1 gene:PRUPE_5G012100 transcript:ONI05541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLLSNMTTLTSETTNPPKSQLSSLYNIWSQISPNYQFFMLLCLGFRHSKQIVSPDS >ONI08479 pep chromosome:Prunus_persica_NCBIv2:G5:15135308:15137080:-1 gene:PRUPE_5G180300 transcript:ONI08479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNRWLRPEVYPLFAATGVAVGICGLSLFRHITINPEVRVSKENRAAGILENFDEGEKYKEHFLRKFVRNKSPEIMPSVNGFFTDPSRN >ONI06100 pep chromosome:Prunus_persica_NCBIv2:G5:4422702:4429435:1 gene:PRUPE_5G040300 transcript:ONI06100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCFSNHTVCYLWKRRSSPCSLFGWNIGKRKQDELPQTNKYHETDLPFSPSLLGKTFLSGRELKCCYKATIDGFSAANFHNCCDFKGPCAIIGYTNKSFKFGAFNPEGYRSTDDYYDTFDAFLFYWTDNEIADPIVLPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQAKSRLGLSYAKREDGKESLFGDESRATLEEVEVYCSPQIASLY >ONI07986 pep chromosome:Prunus_persica_NCBIv2:G5:13717603:13721073:-1 gene:PRUPE_5G151700 transcript:ONI07986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHDLRRAYKRPAISDQQRRRELSLKRQEQGRHDAQLQARRLASTLLSLPPEHEQPSEPEPEPEPELELGSSSKESDSDFEFDLRNASKLRGTEGRKWFARQLMLPEWMIDVPHRLPHDWYVFARPSGKRCFVVSSDGTTISRQRNGTVLHRFPSALPNGARTRDGSGSAQSYSILDCIFHEMDQTYYVIDMVCWRGYSLYDCTAEFRFFWLNSKLAETGACEPPSHYHKYRFSLVPVHTCDQSGLHAAYAGGVPYVKDGLLFYNKHAHYQPGNTPLALVWKDENCSQYVIDTDNKGQVPSHQQVVLELQDDGKVTTSDDPPVVFSCLDLDFIQKSGLHSGNLLRFAIGDGGLSVVDGKLEKADLHYLGKSNRARAFADSYSKVMFQNMVRGSPLKIDDLVASINTPDDEGNRPDVDMVG >ONI08655 pep chromosome:Prunus_persica_NCBIv2:G5:15717913:15719061:-1 gene:PRUPE_5G191900 transcript:ONI08655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKANNSAHTRDASFSSYISGAEGNFVLKLAGSVQTPPPAMTLSRKTEEGEISVFGAERYFNMMLEDEGPRIVDYNTSKHGHNKKENRTGQHYKQPNSRPGTPSTCSEASWNSQSALLPSLRNSSHNKKKKVNGKIKSFSIFSCNGCSDKKAIYIHEINAGHGGHHGKDARKQSVRIDQNPVKQSQPRFKERDELHYSSFQTSHKEEHFAFTNLNSSAQKSAAKSELGEKKTKEEEEPRKSLEVFGSHMMKGDIVAINLERRLSMLSWDAIPKAQSLSNASVTGPVINEDVESDASSDLFEIENLPGSGQTPFTSHTSDGMSVASAARYDSSETSMEWSVVTAGDEKKLAANGGTPDPTTTGTAGVAQRLEPSMEKGKATV >ONI07002 pep chromosome:Prunus_persica_NCBIv2:G5:10454961:10456649:1 gene:PRUPE_5G094600 transcript:ONI07002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGTLEVNLVNAKGLKNTEIFGKMDPYVVITCKKQQKKSTVATAMGSNPEWNESFVFGISDDVNELHVMIMDKDSGSKDDFVGELTIPLKTLFVEEKLPPMKYNVLRNKKYHGEIKLGLTFTPAVHNDRDLDFVGGWKESSSSYG >ONI09571 pep chromosome:Prunus_persica_NCBIv2:G5:18356823:18361935:-1 gene:PRUPE_5G245200 transcript:ONI09571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSLPCVKQPDVITCKAAVAWGAGKPLVIEEVNVNPPQAMEIRIKVVCTSLCRSDITAWESQAIFPRIFGHEATGIVESVGQGVTEFTEGDHVLTVFIGECGKCRQCTSGKSNICEVLGLERRGVMHCDQRTRFSINGEPIYHYCAVSSFSEYTVVHSGCAVKISSVVPLEKVCLLSCGVAAGLGAAWNVADISNGSSVVIFGLGTVGLSVAQGAKLRGASQIIGVDTNPEKGENAKAFGITAFINPHDSKDPIQQVIKNLTGGGADYSFECIGDTGMVTTALQACCDGWGLTVTLGVPKVKPEVTAHYGIFLTGRTLKGSLFGGWKPKSHLPSLVDMYTKKEIQVDEYITHNLPFEDVNKAFNLMREGKCLRCVIHMAK >ONI07435 pep chromosome:Prunus_persica_NCBIv2:G5:12029325:12031718:1 gene:PRUPE_5G120400 transcript:ONI07435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAQAMKRIPRIKFPQRHPKPSGSESRIQAEPLAGDASHTFFSGSKASTTTTLGGKASLQPERTPVSKEEIEAILLGGCI >ONI05974 pep chromosome:Prunus_persica_NCBIv2:G5:3693826:3697387:-1 gene:PRUPE_5G032300 transcript:ONI05974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKPKEPESVAVSAQPDIFKTLFGDATSDDAVPSIFSESNPFRRTNQEPGLGFVAPSVEAAENVNHGDSENSGDDGEVKKRKRRKEKKAPGLVNEEASETPLEGKKVKKKKLQETPNLENPNLGYELNGVSKKGNGEASNLGERPNMGVETKGGDGMSAVKKMDGKKRKRDELEREYEAKKYGVKESEDVGDKDVGAKVVGEKRKMVDNPADMLVSNEGFDDESKLLRTIFVGNLPLKVKKKVLIKEFSKFGEVESVRIRSVPILETKKPRKGAILTNQIHDKADSVNGYIVFRTEESAQASLSHNMAVVEGHHIRVDRACPPRKKLKGESAAVYDHTRTVFVGNLPFDVKDEEVYQLFCGINNMESNVEAIRIIRDPNYGIGKGIAYVLFRTREAANLVVKKRNLKLGDRELRLSHAKPDSTPSKRKNPSSGSKANSPAKKLAVGSRTPDFNKVGSKATKSYQGMRAGKPGVQKKFHSKSSRQDTFESRSQSGVKPKERKDKRPSVAARKAKASFEGGAAKQTGIKRKMDSRTPESSQQKKKFKKYR >ONI09312 pep chromosome:Prunus_persica_NCBIv2:G5:17629017:17631139:-1 gene:PRUPE_5G231000 transcript:ONI09312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPANPPLFTIFCFLCTLFPAFPGAPIPDPAPSPVQSSFLPTRTPYPPAATIPAFPEQSDIAGCPLHLPNELFHGIKSACSATKGDSLGKLQHSRCCPVLAAWLYDAYSATALGGGGRVGPAAAGNSNTTYDPPLLPDDSETCVQDLGEALKTRGIELIKPNETCDVVYCYCGIRLHPLSCPEAFSVNQRGKLVGDASVKRLERNCLSSSGFPGLGGCSKCLKSLHLLNKKKTFNSSKLEDRTTKMHNKDCQLMGLTWLLAKNRTAYIHTVTSVFRAIMVSADGSDPQSCTLNSDGMPLAVDSSEISDNSSSSILAASISLCVMSLSFLFVQLTLRSNQS >ONI06103 pep chromosome:Prunus_persica_NCBIv2:G5:4464130:4464462:1 gene:PRUPE_5G040500 transcript:ONI06103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNWVSLVHTGLVKAPLFFDQNVNKLLKQVCSKAYVNLPLYAHGNLSLSIYNKYSIYDAAKCTRDLSSQNCMKCLDVAIEKRLSQSHGRRGGQVYYGSCYIIFELYSF >ONI06037 pep chromosome:Prunus_persica_NCBIv2:G5:4092943:4093943:-1 gene:PRUPE_5G036200 transcript:ONI06037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQFMNCLCWAVQNECFFKWPQHPGCTLLPCGPMYVWLLVLGFCPRVAFMKVHFSDFDVVVTGKKELLEFTAQPFLLL >ONI07728 pep chromosome:Prunus_persica_NCBIv2:G5:12879535:12885633:1 gene:PRUPE_5G135900 transcript:ONI07728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSVDILEQSYRSPFMSQSVSRQTRNTSNDPSAPQIFGSTTPFGPQTGSPIFGVTSNGVSAAAQSSTPFPSSMTFGASFSTDFGNTMSTFGASSTPAFSSSSLPSIVGFWLFVPFGSTCTPAFGSASMPAFGATSIPAFGGTSPFGSTTQPSHPAFGSSIFGSSTPFGGSSQPSFGATSSAGLRSTTSPPFRSTETATGVSSSPGIGPALGFYISITPASGSSSSTFGTSSNPTSAASSTPGFTCGSTEAATGVSSFPLVGSGHGFYVSSTPASCSSCSLFRTSSNPTSAASNTPGFTCGCAAATGVSSFPSVGFRHGLYVSSTAASGSSSSTFGTSSNPTSAVSITPGFGSTTQPSHPTFRSSIFGSSTPFGGSSQPPFGATSSAALRSTTSALFRSTEAATGVSSSPLIGPALGFYISITPASGLSSSTFGTSSNPTSAASSTPGFTCGSIEAATGVSSFPSVGSGHGFYVSSTPASCSSCSFFRTSSNPTSAASNTPGFTCGSTEAATGISSFPLVRSGHGFYVSSTPASGSSRSPFCTSSNPTSAVSNTPGFGSLSTPSFTFSFLPSFWKSTSTFPSSQFGPSCPSGAQSSPFGAQSKTFGNTAFEISASGAQLWGSRVPPYTTTTEPDDSVGLETKGDLQSISAMPIYGDKTHEELRWQDYQLGDRGGHVPAGGSCFPLSTSNILNPAPTFAQTSSSLFNPSTPSNIFAPQLPSFSFTGSRTSSIPFTSSTFPLSTSPNLFTTSSSSPSVFRPASSPSHFSSSDPSTLAFPAPAPRTDSTSNSGLFNSIFGVQSTTCGNTACGISASGGQFGGSRVAPYTKTAGPDGGSLSGLYQSICGMRIYGDKSHEELRWQDYQLGDRGGRALVGGNCFGLSTTQSNVLNPTPAFIQTSTSTVPNIFAPQIPAFTPTPFGASFTPLPSASSFPVPGQISSPPLFSSSVTPTSVSAFPAPAPTTSPFNSGPINPISSIAQTGGTAGLTTTSSGGLFTIPSGHTHISHEERFPLEEKRRLNIMIARSNRKKNGQKSPCSSVLSWP >ONI07729 pep chromosome:Prunus_persica_NCBIv2:G5:12879535:12885633:1 gene:PRUPE_5G135900 transcript:ONI07729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSVDSFWLFVPFGSTCTPAFGSASMPAFGATSIPAFGGTSPFGSTTQPSHPAFGSSIFGSSTPFGGSSQPSFGATSSAGLRSTTSPPFRSTETATGVSSSPGIGPALGFYISITPASGSSSSTFGTSSNPTSAASSTPGFTCGSTEAATGVSSFPLVGSGHGFYVSSTPASCSSCSLFRTSSNPTSAASNTPGFTCGCAAATGVSSFPSVGFRHGLYVSSTAASGSSSSTFGTSSNPTSAVSITPGFGSTTQPSHPTFRSSIFGSSTPFGGSSQPPFGATSSAALRSTTSALFRSTEAATGVSSSPLIGPALGFYISITPASGLSSSTFGTSSNPTSAASSTPGFTCGSIEAATGVSSFPSVGSGHGFYVSSTPASCSSCSFFRTSSNPTSAASNTPGFTCGSTEAATGISSFPLVRSGHGFYVSSTPASGSSRSPFCTSSNPTSAVSNTPGFGSLSTPSFTFSFLPSFWKSTSTFPSSQFGPSCPSGAQSSPFGAQSKTFGNTAFEISASGAQLWGSRVPPYTTTTEPDDSVGLETKGDLQSISAMPIYGDKTHEELRWQDYQLGDRGGHVPAGGSCFPLSTSNILNPAPTFAQTSSSLFNPSTPSNIFAPQLPSFSFTGSRTSSIPFTSSTFPLSTSPNLFTTSSSSPSVFRPASSPSHFSSSDPSTLAFPAPAPRTDSTSNSGLFNSIFGVQSTTCGNTACGISASGGQFGGSRVAPYTKTAGPDGGSLSGLYQSICGMRIYGDKSHEELRWQDYQLGDRGGRALVGGNCFGLSTTQSNVLNPTPAFIQTSTSTVPNIFAPQIPAFTPTPFGASFTPLPSASSFPVPGQISSPPLFSSSVTPTSVSAFPAPAPTTSPFNSGPINPISSIAQTGGTAGLTTTSSGGLFTIPSGHTHISHEERFPLEEKRRLNIMIARSNRKKNGQKSPCSSVLSWP >ONI06368 pep chromosome:Prunus_persica_NCBIv2:G5:6097113:6098006:1 gene:PRUPE_5G056400 transcript:ONI06368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNSSTTVLAFAFCFLSLLRLAYSNTTDDKIYLTGLVYCDNCQLKSMTEISKMIPGARVRLECREGGNIKSRREGETNPLGMYVFVLEKSKEPLDDCQVTVLHSPDPECKISHEVDPNNKSKTAPVATRKLNLLEGDSVVFIGPSHRVSYPLGLVVEKARPECEQFAKARKHFQN >ONI08679 pep chromosome:Prunus_persica_NCBIv2:G5:15784888:15785744:-1 gene:PRUPE_5G193600 transcript:ONI08679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLAYRSAVLFVCIGFLALQPEKVSGLRSMDLALRWDKGHMSFLKSLHLVKAVAVEDLQAKLSLAPAPSMTFDPNQSNKRKVRKGSDPIHNRC >ONI06147 pep chromosome:Prunus_persica_NCBIv2:G5:4809631:4811372:-1 gene:PRUPE_5G043600 transcript:ONI06147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSQTVAGIPVVDFSNADCLKPGTSSWLSARKDVCRALEELGCFMAILPSKVPPELQKTFFDAFGELFNFPVDTPEKPFRAGYITSSSRQKGLGIVNGTNPKETQEFTHHFWPDGNDQFRESADLYARVMAEIDHAVTRMVFENYGVEKYHDDHFQSTSHTLRFLKYEEPKKFEVDVGLRSHTDKNFSTILHQNHVNGLEINTKNDEWVVFDPLPSSVIFIAGDGFQVWSNDRIRPCRHRVILRENEARYSSELLSVNKGMIRVPDELVDKEHPLRYKPLNHLEFLMAYKDVGETEYAAHAYCAI >ONI09007 pep chromosome:Prunus_persica_NCBIv2:G5:16790790:16793552:1 gene:PRUPE_5G211700 transcript:ONI09007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGLFGISGWVNLRLNWEEESYPVPKDFLVLPLFVLLFPSLRLFLDIYVFEGLARRLMLGKQHAMLNVETRHQRKKINKFKESAFKCVYFFSAELLALSVTYNEPWFSKTKYFWVGPGNQVWPDQKTKLKLKALYMYSAGFYIYSSFALVFWETRRSDFLVSMAHHIATTVLLVSSYIFKFSRVGSIILALHEGCDVFLEIAKLSKYCGYELMAGFAFVSFVLSWTVLRLIYFPFWIIWSTSYEALLTLDKEKHLVDGSIYYYLFNTLLLCLLICHIYWWMLMVRMLMKQIRARGKIDDDVRSDSEGEDEHND >ONI07904 pep chromosome:Prunus_persica_NCBIv2:G5:13456636:13458837:1 gene:PRUPE_5G146500 transcript:ONI07904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQHPLTLSFGLLGNIISFLVFLAPVPTFYTIYKRKTAEGFQALPYVIALLSSMLYIYYALLKEEFKEDATFLITINSFGCVVETLYISLFLFYAPKKARISTLTLVFLLNLFGFGLMMLLTHFLATGEMRLKIVGWICLVFSLSVFVAPLGVLRRVIRTKSVEFMPFPLSFFLTLGAVTWFFYGLLIKDYNIAFPNILGFLFGIAQMVLYIVYKNTKKVLEEQPKVQELSEHIIDVVKISSLVCPELNPVVLQPTLDITNDMIEAVQNIIVMAEKTEEAKEAMDIDASTKV >ONI07479 pep chromosome:Prunus_persica_NCBIv2:G5:12152242:12154843:-1 gene:PRUPE_5G122400 transcript:ONI07479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVGVVECLTTCDGIYEGDSNHSRRRHHHHHHPFLASAMSHNKALSPATSVHELLQCPVCTNFMFPPIHQCLNGHTLCSVCKLRVNNRCPTCREELGDIRCLALEKVAESLELPCKYCSLGCMEIFPYDNKLKHEAQCNFRPYNCPYPGSECSVVGDIPFLVGHLREDHKVDMHIGSTFNHLYVKSNPREVDNAARMLMVFNCYSQYFCLHFEAFLLGMAPVYIAFLRFMGDAVEARKFGYRLEVGAYGRKLIWEGTPRSIRDSDQKVRDSHDGLIIQRNMALFFSGGDRKQLKLRVAGRIWKENST >ONI08340 pep chromosome:Prunus_persica_NCBIv2:G5:14691784:14693582:-1 gene:PRUPE_5G172400 transcript:ONI08340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLYNMKDEFPFEASSSSSKGNYNYLQDFHHLDHQFQVNGSSSNPMFGVQNPCFDPYNDTTYTYEASTTDVKFYECKSLNAETNMGHGQVLDNFQSGSGSLNFPTHTSNPLDHLMGSSHRSATNFLPLDYQDIKPMNFVVPDEVSCINNTDQNGYYKKFGMNGKSRVSTLTRGSTYKGRKKSNVVKGQWTIEEDRLLIQLVEQYGVRKWSHIAQMLPGRIGKQCRERWHNHLRPDIKKDTWSEEEDKILIQAHAEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQYSKRKCRSKYPRGSLLQEYIKSLNLDSTGSSTGRQRKNKSGNNSATSPNNMAPSSTESHTQQTQNNVGFSCANDRLVPNYDFNEDPDFCFDDNMFQEGCSIDSLLDDIPCAPVLGENNDDFDGKIMAQASVVADEEKDFEMEMQVDVDVGPVMESSEVKKELDLVEMISQVN >ONI08437 pep chromosome:Prunus_persica_NCBIv2:G5:15036411:15038642:-1 gene:PRUPE_5G178000 transcript:ONI08437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLRNSITSHFQSHSQRAQNDSLSQSRRQFHVEPGPREKALLAEDPSLKRFKSHKKGVARIKRLGDVLTVVVVASCCYEIYVRAVMREEARKQAGASGASA >ONI09401 pep chromosome:Prunus_persica_NCBIv2:G5:17878399:17879086:1 gene:PRUPE_5G236100 transcript:ONI09401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSHYVYEPNGLECSARSPALIRVGSSQPRVVKRIGPAQYSTSTAYLSKAGLTSKYHEVSPHPNGGINPLSGLGLPLTAKCFQRVSNPCH >ONI07817 pep chromosome:Prunus_persica_NCBIv2:G5:13154826:13156709:1 gene:PRUPE_5G141600 transcript:ONI07817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAIEGMNDQNFDDRNITVNKAQSCGSGGGGNGGCNCGDLVNNLGTIARVAPRNLWKPWLLVLILLQIRSLLPPSTMMMRSMCGSHSDSMFMRYFLLPLRLYLVKL >ONI05750 pep chromosome:Prunus_persica_NCBIv2:G5:2514828:2517032:-1 gene:PRUPE_5G022600 transcript:ONI05750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEIWIVPFFGQGHLFPLMELCKQLASRNFKAVFVISSNLSSSVPSSLRQFPLVQIVEIPDEIPPTSSSGSSPLPQPSSGPPRPHHDHHNQMGVGLEKLLTTRSDNPDSATPVCAVLDVMVGWNAEIFKKFGIPTVAFFTSGACSAAMEYAMWKAQPLDIKSGETRLLPGLPEEMALTLSDLKQHSREPPPPIHGGSPPPGAGAGFPPPGPPPSGHSDGPPLSLGGNFPPHGLPPPLGPGADFPPPFGGPGQQRRGPPKPGGRPPWVDEADRSIALMINTCDDLECPFIEYLAKQIGKPVWGVGPLLPEQYWKSDGSILRDGKLRTSNRRSNISEDEVIDWLDSKSKGSVLYVSFGSEVGPTVEEFSILAEALEASNRPFIWVVQSGSGRPPGPPHAGSKAEEGYFPHGLEERVGKRGLIIHGWAPQLLILSHPSTGGFLSHCGWNSTVEAIGRGVPFLAWPIRGDQHHDAKLVVSFLKVGYQISDEISEKIKKDDIVKGIEMLMDDEDMKQRAVRLSAKFEHGFPTSSVAALDAFRDFVARKAA >ONI08747 pep chromosome:Prunus_persica_NCBIv2:G5:16002320:16004950:-1 gene:PRUPE_5G198600 transcript:ONI08747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPFSSLPFLFLLLCVLTFSLTSFVSAKGNDSSPLTATFSPPDNFLIDCGSSQQTKLNDGRTFKSDRDTSSLLSTNEDVQASVDSITPNASSNIASSSQPLYRTARIFSEKSTYTFYINKPGQHWIRLYFYPLPHQTYNLTSAVFTVNTDKYVLLHDFSVTDSTTLVFKEYILNVTENRISLHFSPKKKSCAFVNAIEVVSAPDTLFNNSATSVSPVSDFNGLSNYAFQVRYRLNVGGPLLSPANDTLSRTWEPDNAYNAFPQGTQNVSVAPKAIKYPQSGATVLIAPNLVYASAQHMKDSATSQQNFNLTWKLNVEEDFSYLIRMHFSDVVSKALNTLYFNVYVNGMSAVSNLDLSSLTGALSTAYYKDFVLNATSISSENNTIRVQVGPGSTQSGSQDALLNGLEILKMSNIADSLDGLFGVDGSYKGPTGISTMKIVAGVGLGMGLTAMLLVVVVIVRWQRRPQGWEKRNSFSSWLLPLHSSQSSLFSSKSSSRRSGVFGSRKSKSGHSTYFSSTNCYGRSFTFSQLQNATQNFDEKAVIGVGGFGKVYLGVLADGTKLAIKRGNPNSEQGINEFRTEMDMLSKLRHRHLVSLIGFCDENAEMILVYEYMANGPLRDHLYGSNQPPLSWKQRLEVCIGAARGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVSDFGLSKAAPTLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPVINPALPREQVSLAEWAMQWHRKGMIEKIIDPYIASSVDSGSLRKFVEAAEKCLAEYGVDRPTMGDVLWNLEYASQLQEAASQIDPPEDKTSSLISLEKPSENDSREGSAVGSAVGVSDDSEVTIGSPAFAQKGNIQGR >ONI07879 pep chromosome:Prunus_persica_NCBIv2:G5:13326677:13330617:-1 gene:PRUPE_5G144700 transcript:ONI07879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIPYLTALSTYFSYGLLFAFGQLRDFFRKIIDWWQSGDLQGYAPICLGLEDFYIRRLYNRIQDCFGRPISSAPDAWFDVVERYSNDNNKTLKRTTKISRCLNLGSYNYLGFAASDEYCTPRAIESLKKFSPSTCSSRVDGGTTTLHKELEECVANFVGKPDAMVTGMGYVTNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNTPSHLEKVLREQISEGQPRTHRPWKKIIIIVEGIYSMEGELCQLPEIVAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKEVIQYLKYTCPAHLYATSVSPPAAQQIISAIKVILGEDGTSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASHTKEDLLRALEVISRVGDLVGIKYFPAEPSKQETNAIKLE >ONI06054 pep chromosome:Prunus_persica_NCBIv2:G5:4185952:4187004:-1 gene:PRUPE_5G037200 transcript:ONI06054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGTPPQKTNLALDTGSTLTWVQCEGCKSCFKLSMPNFNIRRSTTSRPMLMITHFVFLQTLALGQNCVYGLFYYDRSSTYGPFGFDTFTFLSERRQLAIPNVAFGWGLFNKVNFNDYFHGHDNPIGGILGLGRGHPTGILQQLNQITLRRFSYCLPPQFEAQSLLHFGEGAQIGGPSVGSTPILGGPDDYYFTKLDAISLNGQRLQIDPSLFMAGGKGMAVDSGSPYSHIVAEAFKAFEHHNEFCMTILSMDQSDGLNILGAAQQVNYRFLFDVAALRLSFSPENC >ONI08467 pep chromosome:Prunus_persica_NCBIv2:G5:15110249:15110916:1 gene:PRUPE_5G179600 transcript:ONI08467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDKANNYEASWADQWDYNSNSSYPVVVKGGGTTAKCKQKVGEGLVKTKAVASTGAKKVKEGASAGFQWIKQKCQKK >ONI07896 pep chromosome:Prunus_persica_NCBIv2:G5:13410787:13413945:1 gene:PRUPE_5G145800 transcript:ONI07896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPREHIEEIRKKKFSIGADALNPLTEDLHQAIKTLSAELYAKDVHFLMELIQNAEDNEYAEGVDPSLEFVITSRDITATGAPATLLVFNNEKGFSPENIESICSIGRSTKKGNRNRGYIGEKGIGFKSVFLITAHPYVFSNGYQLRFSEEPCVHCNLGYVVPEWVDTNPNFSDIKQLYGSASASALPTTTLILPLKADKVQPVKQQLSSIHRELLLFLSKIKKLSVREDNGDPSLDTVSAIEIASETSFVTRKNIDAQSYTVHLSAEESSNAVENECSYYMWKQKFPVRQECRVEKRMEVDEWVITLAFPIGEHFHRGTSSPGVYAFLPTEMVTNFPFIIQADFLLASSRETILLDNIWNKGILDCVPTAFVNAFISLVRSVEDAPVSSLHRIFKFLPVQSSSYEALNVIRESIKAKLVEENVVQENF >ONI09135 pep chromosome:Prunus_persica_NCBIv2:G5:17177198:17179112:-1 gene:PRUPE_5G220500 transcript:ONI09135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTIANSGATGGARLLYSSSTSLSSQRHFLFPLSPRRTLHVVSAKRFTSRTGRSDGRNKRGTTTTRDQEQDPLRLERTAEIENVGGGFAVDNVDDGYFLPKLPGDEPDFWEGEKWDFLGFFVEYLWAFGIGFALIACFVAATSYNEGATDFKETPVYKDSFQSREFLEEPEATNPDVFESNPTEVAPSLE >ONI08213 pep chromosome:Prunus_persica_NCBIv2:G5:14347011:14351250:1 gene:PRUPE_5G164900 transcript:ONI08213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDLSSDQDTDDADAEFVEVDPTGRYGRYKEILGKGAFKKVYRAFDELEGIEVAWNQVKVADLLRNSEDLERLYSEVHLLKTLKHKNIIKFYNSWVDAKHENINFITEIFTSGTLRQYRRKHKHVDLRALKKWSRQILEGLWYLHNHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYVECTNAAQIFKKVTSGIKPASLAKVTDPGVKAFIEKCITKVSDRLSAKELLMHPFLQSDQDRECSSLRPETHYSEGSSDQIDIGTKDMDPSPETNKDFTVQGQRKDDTIFLKLRIADSTGHFRNIHFPFDIGADTAIAVASEMVQELDLTDQDVTTIAEIIDAEIQSHIPDWTSSEISGDNIDGEVSTPYSPVSESKDDPSALVHEAILSSNLALERLPSGRKYWSDSPKEGSGNSPGKHGFSNLHSPADSVIAGGTEDNENSTGSHREEDDPNGRDASDSPNGGTEDDEKSPGSLREEDDPTCAGASDSPNGGGHGAATDLHVINGTHPFESEEVKIIAEKLENLLVEQKKELDELKRKHELAISDVLKELPPVFCQKVLDICKIKIPDYKMLYEADC >ONI08212 pep chromosome:Prunus_persica_NCBIv2:G5:14346969:14351255:1 gene:PRUPE_5G164900 transcript:ONI08212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDLSSDQDTDDADAEFVEVDPTGRYGRYKEILGKGAFKKVYRAFDELEGIEVAWNQVKVADLLRNSEDLERLYSEVHLLKTLKHKNIIKFYNSWVDAKHENINFITEIFTSGTLRQYRRKHKHVDLRALKKWSRQILEGLWYLHNHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYVECTNAAQIFKKVTSGIKPASLAKVTDPGVKAFIEKCITKVSDRLSAKELLMHPFLQSDQDRECSSLRPETHYSDAEGSSDQIDIGTKDMDPSPETNKDFTVQGQRKDDTIFLKLRIADSTGHFRNIHFPFDIGADTAIAVASEMVQELDLTDQDVTTIAEIIDAEIQSHIPDWTSSEISGDNIDGEVSTPYSPVSESKDDPSALVHEAILSSNLALERLPSGRKYWSDSPKEGSGNSPGKHGFSNLHSPADSVIAGGTEDNENSTGSHREEDDPNGRDASDSPNGGTEDDEKSPGSLREEDDPTCAGASDSPNGGGHGAATDLHVINGTHPFESEEVKIIAEKLENLLVEQKKELDELKRKHELAISDVLKELPPVFCQKVLDICKIKIPDYKMLYEADC >ONI08733 pep chromosome:Prunus_persica_NCBIv2:G5:15969803:15970695:1 gene:PRUPE_5G197700 transcript:ONI08733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSQESSLPEMLTENDPITSLSTQNAGLHPLHMGPLSNEMQEELNQPDAAVGFLEPDEVSIPSIYASLVPWYRGSQRIKLLHDLQLCCRRMKIRFGININSEYFDNAGRLKLNIMADASPNLCKVLDACDDIASKLSEDSGSNSEWRPVVIRQYDYPAVRLHIPASGDIRIYATEIYKKEPCGTEQRLVFTKFDPSELSTLFKQGSFMDAFFSLDPYDYQQKAGIRLVAKKLVIHSN >ONI09310 pep chromosome:Prunus_persica_NCBIv2:G5:17616595:17618165:1 gene:PRUPE_5G230800 transcript:ONI09310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPCCEKKKTNKGAWSKQEDEKLSQYIQKHGEGSWRSIPLAAGLHRCGKSCRLRWVNYLRPDLKRGNFGEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNTHLKRKLIQMGVDPNNHRIGHNFGHTKSFGSRINTNDQPSVNSHSDDPLLDSTSEPESNTSCSALPDLNLDLTIGLPISLGY >ONI07131 pep chromosome:Prunus_persica_NCBIv2:G5:10926162:10926475:1 gene:PRUPE_5G101500 transcript:ONI07131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLACCFCLFVSLVWVPLRWKKFCCAQRVSHMRVRPTGVFGGSNMHVNVKVGTELYLPGHSSLFKAKCYPPFTSKTP >ONI06731 pep chromosome:Prunus_persica_NCBIv2:G5:9112231:9112807:1 gene:PRUPE_5G077200 transcript:ONI06731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLLEDDNQVKNLIELTKILKYPYGRKILFGIFRARGGKKRYRLLISMTKTMNSFPLEEIINISLFLSVIKYTHRNLIQRTNLGISLRSGHMKHK >ONI08163 pep chromosome:Prunus_persica_NCBIv2:G5:14164696:14166158:1 gene:PRUPE_5G160800 transcript:ONI08163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVATYFAMTLGAFVFWQSMDKVHVWIALHQDEKQERLERELEIKRVREELLQQAKQRETQA >ONI06078 pep chromosome:Prunus_persica_NCBIv2:G5:4357832:4365517:1 gene:PRUPE_5G038900 transcript:ONI06078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPTDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRIEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTNFQVTSGRAKYNAAIDCLVWKIRKFPGQTEPTLSAEVELISTMTEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >ONI06360 pep chromosome:Prunus_persica_NCBIv2:G5:5962503:5964505:-1 gene:PRUPE_5G055600 transcript:ONI06360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKMGTCCKEKGVLVSVYVEKPKRRTFSNHRHHHHHHHHNNHHHIHHTIKREVVHYRRGADGRGYISRRAELLQYSQHLRQSARSAPAASTALNPRPNHTNSQQSHAQIVAVRRKPNKISKAPICFGNWKIPGMFGFFTSSQAKKDRKTRTKRTGSTTSNKIKAVIKSLKVQKQRGVFSKMFSSTKRK >ONI09028 pep chromosome:Prunus_persica_NCBIv2:G5:16840580:16841853:1 gene:PRUPE_5G212900 transcript:ONI09028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVSNTVIGILNSLFLLLGLASIGASIYFHVHGGTNCQKVLQNPLLILGIFFFVVSLLGLLGSCCRLNSLLYIYLAVIFLVIVGLIGFTVFAIFVTNKGIGQAVSGRGYKEYKIGDFSHWLQHYVVNGKNWGPIKSCLIDAQVCRSLGNDVHQTQSDFYKKNLSPIQSGCCKPPSYCGYEFKNATFWVVPKSGPEVEDSDCKTWSNEQKVLCYDCQSCKGGVLANIRKEWRRLALFNTCLLVLVTLVYCVGCCASKNNRFSTYNVYNKYRGRGGP >ONI09118 pep chromosome:Prunus_persica_NCBIv2:G5:17123254:17124535:-1 gene:PRUPE_5G219300 transcript:ONI09118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPNNNSDPKQAPQAAAYGGYQPQAAPAAAAYGGYQQQAAPPHAAAAYGYQQGTPQWTTGLCGCFEDPSNCLMTCCCPCVTFGQNAEIIDKGTTSCAFAGLIYHALSYVGCSCLFSFPYRSKLRGLYSLPEDPCVDCCVHCLLPTCAICQEYRELKNRGQDPSIGWIANAQKMNQGQAGNPAPPYITPGMSR >ONI08899 pep chromosome:Prunus_persica_NCBIv2:G5:16527620:16534874:-1 gene:PRUPE_5G207100 transcript:ONI08899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWERVQLQPKQAHQQQQQQQQELLCEVSGPGKRWGHTCNAIKEGRLLYVFGGYGKDNCQTNQVHVFDTATHTWSKPVIKGTPPTPRDSHSCTAVGDNLFVFGGTDGMNPLKDLHILDTSSHTWISPSLRGDGPEAREGHSAALVGKRLFIFGGCGKSSNNSDEVYYNDLYILNTETFVWKHATTSGNPPSPRDSHTCSSWKNKIFVIGGEDGHDYYLSDVHILDADTLMWRELNTSGQLLPPRAGHSTVAFGKNLFVFGGFTDAQNLYNDLHMLDVDTGVWTKVITAGDGPSARFSVAGDCLDPVKGGVLVFIGGCNKSLEALDDMYYLYTGLTRESERRLEKLSLRKQLKLKCQEQNLTSFHDKPLVNVETGADMRQPMTVPFYAQPCRQNIPLNQSQLLLGKKTFQAKVTEKLFDGYTIETVIDGKPLRGILFSNKPDIHNITTPNSSRKRAASDAGDLMSNGDYNSKSKTSRSVRQETVDHAQADNVHGKESISHEQTEAAAASVSKNQAYSDASHLHKVSGKPDQSVTPLNLGDNQTNDASNFNTEIVGEDRSHRTTDAPNFDSEVMKENLSAIENTTVSSSRNQDGRRLTEGHSEATSV >ONI07310 pep chromosome:Prunus_persica_NCBIv2:G5:11636050:11636571:1 gene:PRUPE_5G112600 transcript:ONI07310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLISLAFIFLIQVSLLPASQCRVYLPMDASLIDKTCKQTPNYDVCVSTLNSDPRSTGADVKGLGLIMVDAVKDKATGALNKADELLKQSPGDQALLSCVKTYNEVLQNDVPQGSQAFTQGDSKSAEQGMNDIATEADSCESGFSSSSPLVDNNKALLDVAAVAAAIARTLP >ONI06330 pep chromosome:Prunus_persica_NCBIv2:G5:5758781:5762344:1 gene:PRUPE_5G053800 transcript:ONI06330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFEEDLLGKVVSTEEDAYNLYNSYATRTGFTVRRGQKRYNTKKVLRQFSYFCSKEGFRLDSDPSKVSMANKLETRTGCEARIRFAFQDDNGMWKVSHFVSKHNHELAMPEERQFLRSNRKVSEAHLDCYNVVNKEKMVMIEAVQVDQENRMTNFFWRDGRSRIDYDCFGDVVVFDTTYRTNRYNMICAPFVGVNHHWKNVLFGCAFLLDEKTDSFIWLFETFLESMGGRKPKTIFTDQCQAMANGIEKVFPGVCHRLCSWHISQNAARNLGSYYGNPEFNHMFNKCLQGYCETKLEFQSTWDDLLAKFNLTGNLWLKTLYSLCAKWCPVFSQHIFTAKTKSSQRSKSTNNVFHQMSTKTMSLTQFVHHYDKQAEKMHSRELEESFCCNQGLLSRISKSSGPLNHAATIYTRKIFKLFEKEFVDSLGVMMHEVGSDGTIHSFELNEEGHKRVYIVQLNSLNCSISCSCEMFESMGLLCRHTLTKYAKKGFEASEHGELLQTKGKASVTLRRNTLMRTTYDVLTKASETENTTRIALQKLREIAGLIEKEMIKSKGEDYFSI >ONI06235 pep chromosome:Prunus_persica_NCBIv2:G5:5183213:5183993:-1 gene:PRUPE_5G048900 transcript:ONI06235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFSSFSKIIITFLVLFHSTIMGSNDLIQLSCKKASQGDPNLSYKFCVSSLEANAKGHSLDLQELVVISLNLTIANATNINSTISKLLKDKAFDNFAKERLRGCSELYADAIPTLQEALCAFQSKDFAKANIEVSSAMDASSTCEDGFKEKKGEVSPLRKENDVFFQLNAISLAFINTLASI >ONI09435 pep chromosome:Prunus_persica_NCBIv2:G5:17983065:17984950:1 gene:PRUPE_5G238000 transcript:ONI09435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSDEEDASELKIGDDFLKAKCLMNSEVAILLEHRCDQMKHMSGDSTTQLPQVLEKSLQYVKRFSRFTNQGSVKQVREVLSRYQLAEFELAVIGNLCPENVEEAMSLVPSLKTKGRGHDEEAIERLLNDLLMIKKFE >ONI08292 pep chromosome:Prunus_persica_NCBIv2:G5:14569113:14572769:1 gene:PRUPE_5G169900 transcript:ONI08292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKIGGQIEKVNGKELSYDEFVERYMEKNHPVVLTGLMDDWKACRDWVTDNGQPNLQFFATHFGKSKVQVADCGTREFTDQKRLEMTVAEFVEQWLGDPMQEHGNASSHSAMSKMSLYLKDWHFVKEYPEFEAYTTPVFFCDDWLNIYLDNYHMHNDPNIYSENNEISCSDYRFVYMGAKGTWTPFHADVFRSYSWSANVCGKKRWLLLSPSQSHLVFDRNMKSCVYNIFDEVNETKISGFAETIWLECTQEQNEIIFVPSGWYHQVHNLEGTISINHNWFNAYNLRWVWDLLLRDYNEAKEYIEDIKDVCDDFEGLCQRNLAANTGMNFNDFLIFLARLSLANYIQLHYLSRKNGNHTWNLSPIAQHLTFNLASIQKIALNIKSVEDLTGSHGFHLDFRETLKDPEFFKLCTILGKTYGMVHMQLNWNSATKNAWIDDMKDLDVIGTCSSQVCNPEDLIKFIELAVAEFMGVRIAEDDSRLK >ONI09193 pep chromosome:Prunus_persica_NCBIv2:G5:17292529:17294285:-1 gene:PRUPE_5G222800 transcript:ONI09193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYEYLPNKSLDYFIFDKQKSGTLDWTTRFRIIMGVARGLRYLHEEAPERIIHRDIKASNILLDEKLHPKISDFGLARLFPGEDTHVNTFRISGTHGYMAPEYAMHGYLSVKTDVFSYGVLVLEIVSGRKNHDGRLEREKADLLSYTWKLYQGGKALDLVDPTLARCNPDEAAMCIQLGLLCCQASVADRPDMNSIHLMFSSDSFTLPRPGKPGLQGRRGRWTTTSTSAFTNTNASSGYTGVTKASAGSSFVEEYSRNSISHSSIDEGR >ONI06062 pep chromosome:Prunus_persica_NCBIv2:G5:4220549:4222746:1 gene:PRUPE_5G037700 transcript:ONI06062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQEKERIEKERKIREGENRKAVTQSEIRKTRGQKNWDKLFDQCTKITHPSTMRHIYTSSTKIVRYQKYPI >ONI09425 pep chromosome:Prunus_persica_NCBIv2:G5:17963156:17965916:-1 gene:PRUPE_5G237600 transcript:ONI09425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRYAMVCSSNQNRSMEAHSLLKRQGFDVSSYGTGAHVKLPGPSLREPNVYDFGTPYKQMFDDLRRKDPELYKRNGILPMLKRNSTVKLAPQRWQDNAADGSFDVVFTFEEKVFDMVLEDLNNRDHVLKKCALIINLEVKDNHEEAAVGARLALDLCQEIEAAESWEDSIDDIVNGFEKQQRRKLLYSISFY >ONI09560 pep chromosome:Prunus_persica_NCBIv2:G5:18346126:18350186:-1 gene:PRUPE_5G244900 transcript:ONI09560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDSIEQVVAARQERLRALKAAQELLNTPDEDSSLVDSNADEDNETSEETMKFRNYVPHDKKLQEGKLVPPVLPKFEDPVAAVPSPSEKKEDPFVNIAPKKPNWELRRDVQKKLDKLERRTQKAMCKLMEEQEKQKQLDEDGRNGAED >ONI08572 pep chromosome:Prunus_persica_NCBIv2:G5:15422856:15423687:-1 gene:PRUPE_5G186300 transcript:ONI08572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTATSSATCNIHGNLSHTSTFLSSKPHYHSISGRPCNSASKSRPLTIVSMAPQKKVNKLDGGWQKKWYGAGIFYEGAEEVEVDVFKKLEKQKVLSKVEKAGLLTKAEELGLTLTSIEKLGLLSKAEELGLLSLLEKAAGFSPSALASAALPILVAAVAAIVLIPDDSGALVAVQAVVAGTLGAGAAGLLVGSVVLDGLQEAD >ONI06591 pep chromosome:Prunus_persica_NCBIv2:G5:8315494:8322618:-1 gene:PRUPE_5G069100 transcript:ONI06591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEEGPPDRELYALLHISPDASDEEIKKAYRQWAQIYHPDKCQAPHMKDTATENFQRVSRAYEILLDENKRKIYDIYGMEGLTSGLELGKKLNKAEELKEELERLRKLKEELERLRKLKEQEVSAHFRPSGTMWADMSLPDLLHGHGIMRGMAMTSSVQSHISKHNILSIGGNLKISSVSSIEFIGSAGLWPLIGVQTSRNLSSHLTATMGLSMSLRDGSLNLSNTWVRRLSESTSGNIQLALGSDSALSVGWRKKNEKLSAAGQLKCGIDSFAASAHYKHRFSSKSRGCIAGKVGSNALELEVGVGRKLSNLSSVRMLYSIGIQGISWKFKLRRGGQKLVIPILLSGDLDPVFAAAAVIIPTSLYFVLKKFVLKPYYLKREKQKALENMEKTSTPVLEARAAADKAQKLLQNVANRKQNRQLEIGGLVITKAWYGNLKALKKRDELVESNDSPVIDVKLPLNFLVSDSGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTYGDGRYEVTVDDYDKLLIPQEEHRI >ONI08211 pep chromosome:Prunus_persica_NCBIv2:G5:14342350:14345434:1 gene:PRUPE_5G164800 transcript:ONI08211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLSASIRLVQKQRIDSSKIAHFRSIGAYPLTSGSGFSSLASDGLASAKIFESSLGFASSKGSLFQSLSKFPYRSSASFTTRGFQTETSTSSSKSMLFKSPRPGLLPLETVSPPFPSRCITTGVNPVESATQDSTMSGSDVAPRIKFKRLDKTAKHIMQILDKEALEEVRVQREIPEIKPGYIVQLRVEVPDNKRRVSILKGTVIARRNAGLNTTIRIRRLMSGVGVESLLPLYSPNIKEIKVLDKKRVRRAKLYYIRDKMNALKK >ONI07746 pep chromosome:Prunus_persica_NCBIv2:G5:12939248:12940949:-1 gene:PRUPE_5G137200 transcript:ONI07746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPPPRRSLELSAASQVAEFGFVHLSPSLSSPPPTRQTTCVSHTCRWWPYSGSKEFEANAAMVIIILLSALICALGLNAAIRCFLRGGGSDDNNSPRDNDDSSHLPQTQQELVQAQQKSNVAGVSCLEGAPELFYSAEMKATLAGAEAECAICLSEFVEGEGIRVLGRCKHGFHTHCIQEWLSSHSSCPTCRRTCLPQSPPPSPPQPTTDNSSQRIPAPESGP >ONI08277 pep chromosome:Prunus_persica_NCBIv2:G5:14522287:14525017:-1 gene:PRUPE_5G169000 transcript:ONI08277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLPTIVSMTMIISEAIFLELTISGGVLLEMLFEEFGGRVELAKAYYKALTDSMKKHFNGNGVIASMQHCNDFMYLGCVGDDFWSKTTGVADGTYWLQGCHAVHCAYSSLWMGNIIHPCAEFHAASRAISGGPIYISDSVGKHNFKLLKSLVLPDGSVLRCQHYALPTRDCLFEDPVHDGKTMLKIWNLNKYTGALGLFNCQGGGWCPKSRRNISAPECSKPLTCLSGPKDIEWNNGKSPISIKGMNIFAVYMHQQKKLKLLKLSEKVEISLQPFDFKLLTVSPVRVLPKKFIQFAPIGLVNMLNTGGAIQSLEFEDEENSSNLVRIGVKGCGEMSVFASERPSACKIDGEEVKFDFVDKMVTVQVPWPNPSTSTVVDFLL >ONI07673 pep chromosome:Prunus_persica_NCBIv2:G5:12837398:12839759:1 gene:PRUPE_5G134900 transcript:ONI07673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPRDRDNNEEDDGSRREENPIAIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGLGELGKDRLFIDWRRRPDAPDPIHHNHHHPHQLHPHHHHYQPNFAIAHHQQQQQQHFSWNHHQRSVPWSPLLMRPPPGGGLVPRDHLQHLSQQINYSMNMHHPYRNTGTSSTAGGGSGGSSYGYGNVVSSSGPIYYLRSASSTAPHEYEGSGLGLQQQLQQQQQQQQQQQVQLLGRVHEPNMVLESVPVVHGKAAAKRLRLFGVNMDCPISESDHECDILSSSSSQYHHATMASPSHQLSPSSQPPLQLRLFDGTPLHSTTTTTTSASTTTDFLSIRGKHQASSPFSMSLDFDV >ONI09509 pep chromosome:Prunus_persica_NCBIv2:G5:18210763:18212893:-1 gene:PRUPE_5G242000 transcript:ONI09509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCFPAPAVISGAGSSTMTQIVLGGRRKKDPFELPVCSSTSTCFALLIHKEHNQQSQIQRQRQRRRECVWLSERRKWKGGRSVGVALQVQQQQCTYESREDKEWVVVNFYHFVFIKDAEAEVAKHLSFLEGRDIRGRIYVNQQGINAQYSGPLRDALAYVEWLRGDERFSDILVQISAALNGHAFPKLKLRYKPSLVQLEGGISHLPLLDPSMRATPLTPSEWRKRLEAVNTTTEASNKDLKTNQILLDVRNGYEWDIGHFNGARRPDVDCFRSTSFGLFQPEVIAADPLENVDKEKTDILMYCTGGIRCDVYSTILR >ONI09507 pep chromosome:Prunus_persica_NCBIv2:G5:18208721:18213296:-1 gene:PRUPE_5G242000 transcript:ONI09507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCFPAPAVISGAGSSTMTQIVLGGRRKKDPFELPVCSSTSTCFALLIHKEHNQQSQIQRQRQRRRECVWLSERRKWKGGRSVGVALQVQQQQCTYESREDKEWVVVNFYHFVFIKDAEAEVAKHLSFLEGRDIRGRIYVNQQGINAQYSGPLRDALAYVEWLRGDERFSDILVQISAALNGHAFPKLKLRYKPSLVQLEGGISHLPLLDPSMRATPLTPSEWRKRLEAVNTTTEASNKDLKTNQILLDVRNGYEWDIGHFNGARRPDVDCFRSTSFGLFQPEVIAADPLENVDKEKTDILMYCTGGIRCDVYSTILRQQGFQNLYTLKGGVSHYLENEGPVQWVGNLFVFDSRLSLPPPAYKPEAITKPNRHEVSKNNTFAQCYICSLQVCELRHRNCANLDCNLLYLCCMNCVKDLRGCCCLECTGAPRLRPVLPGFQRYKKWHTYRDTISQSKLTT >ONI09508 pep chromosome:Prunus_persica_NCBIv2:G5:18210613:18212893:-1 gene:PRUPE_5G242000 transcript:ONI09508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCFPAPAVISGAGSSTMTQIVLGGRRKKDPFELPVCSSTSTCFALLIHKEHNQQSQIQRQRQRRRECVWLSERRKWKGGRSVGVALQVQQQQCTYESREDKEWVVVNFYHFVFIKDAEAEVAKHLSFLEGRDIRGRIYVNQQGINAQYSGPLRDALAYVEWLRGDERFSDILVQISAALNGHAFPKLKLRYKPSLVQLEGGISHLPLLDPSMRATPLTPSEWRKRLEAVNTTTEASNKDLKTNQILLDVRNGYEWDIGHFNGARRPDVDCFRSTSFGLFQPEVIAADPLENVDKEKTDILMYCTGGIRCDVYSTILSEYRLHYNS >ONI07263 pep chromosome:Prunus_persica_NCBIv2:G5:11478089:11480180:1 gene:PRUPE_5G110100 transcript:ONI07263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHTEEVKAAESLMDKIADKIHGHDSPVSPAVESLHEKAVSSGHAASLKAKAYSLFGREKPVHNVFGGGKAADVFLWRDKKGSASVLIAITIIWVFFELLGYHLLTLMAHCLILVLAMLFLWSNASTFINKSPPRIPQVKIPEKPVLQIASAITIEINRAFVILREIASGKDLKQFLSVIAGLWVLSILGKWYNFLTLVYIVVVLLFTLPVFYEKYDDQVDAFAEKAMIEIKKQYAVFDAKVLSKVPKGQMKDKKT >ONI06050 pep chromosome:Prunus_persica_NCBIv2:G5:4163933:4164568:-1 gene:PRUPE_5G036800 transcript:ONI06050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGEGSAAEQDAEETTQHHLRIPAGLTQDEFDDLKRIVAEFHKYPVGPGKCSSLLAQRVYAPPDKVWSVVRRFDKPQTYKHFIRSCTVKEGFKMTVGCTRDVNVISGLPAATSTERLDFLDDDRHVTGFSITGGEHRLKNYRSVTTVHGFERDGRIWTVVLESYVVDVPEGNSEEDTRLFADTVVKLNLQKLASVTEGLAGDGDAKPQVM >ONI06023 pep chromosome:Prunus_persica_NCBIv2:G5:3977606:3979453:1 gene:PRUPE_5G034900 transcript:ONI06023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQNTHSFVFFMLLGIGICSAARTILHYKEPAHAPVVSYGAGHVVGGGGGSEYRFGGAASYGGVWGYGGVGGAGSDEDYGAIGEHGAPSYGGGSGGGKGGGVAYGGAGGHGGGGGGGSGGVDGGGGTERHGSGSGEGGGASYGVGGEHGVSGDGRGNSGGGGSGYAGKHGSGYRGGEGGGAGGSHGASGKHDKGYGGGGGHGAGAGGGYAAGGASGGGAEGASGGGAGGGGGGHGASGKHGAGAIGGNEHGGGADSKHGAGGKHGASAIGGGEHGGGADGKHGDGGKHGASAIGGDEHGGGVDGKHGASGKHGASAIGGGEHDDGASGKHGAGGKHGKGYGGGGGHGGSAREGYAAGGASGGGVGGGASGGYAGGAGGGGGSGGGSSYGGAHGGAYGGRGGGEGGGHGASSKHGEGYGGGSGHGGGAGGGGGHGASTIGGNKHGGGADGKLGGGADGKLGAGAIGGGENGGVAGGGGGHGGGAVGSYATGGASGGGVGGGASGGYAGGVAGGVFGGGSGYEGAYGAAYWGQGGGESGGYAP >ONI08486 pep chromosome:Prunus_persica_NCBIv2:G5:15155820:15158668:1 gene:PRUPE_5G180900 transcript:ONI08486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVQRLGEMLKISEENLKTSCSLSLKAQNFPDEQFLVEKSPASSHAFFSFPGSWSVDGWYSGDKAFGEKVINLELFPSMRSIGNYDKDDKPEDQEFATGFVNQAFLHRLEHVLKTSQLVNQVEAALNEQKSIIFTGHSTGGAIAALATIWFLQNYPNANNGAFCVTFGSPLVGNHIISHALRREKWSQCFIHFVMRYDIVPRILLAPLTPIQQQFEKVLPFFNPTSPNFKSEVLGASPEALHFYANVIRNASSLTSHVAFQLMGNTNLLLDTVRHFTKLSPYKPFGTYVFCTGNGKLVVLKNPEAVLQTLSYSCLLSSETEHAAAIAHKCLNEHFGYEKEFLGDESSLDMQNVVDFDKLELLLGSDGYLDDLGLGVRARLSLCAAKKFEEKKQENEKSVASKVEAFKDVMKKLEDYRDFCKENGGYYQAFKIQGEKKDFDANVNRLVLAGIWDEIIEMLKRYALPDEFEGTYKWIELGTKFRDLVEPLDIANYYRHAKGRRYMDKGGRPKRYKYTQRWLEHHLKLQPGACGKSSCFWAEEEESLKQ >ONI06160 pep chromosome:Prunus_persica_NCBIv2:G5:4872646:4873306:1 gene:PRUPE_5G044400 transcript:ONI06160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSTRRRKKNRSDSGTALSAMKVMMVMTLLTTTSHCININGSIVSRGGYFSSSRCNGLTGTACRIAYSELDFDLEFMLGSEFSIRLLADGGSYHPTDTSRERGSSASCNRFGKDDPCHGRENKNIPPGCKDLTDRSCNRHN >ONI06803 pep chromosome:Prunus_persica_NCBIv2:G5:9555987:9557995:1 gene:PRUPE_5G082200 transcript:ONI06803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHSLPPPFHTRDFHLQHHPQFHHQQQQNSEDEQTGSSGLNNKGQKRERDIDNDSGGNGGDLGKELNVTISGGDGSEMTRRPRGRPAGSKNKPKPPIIITRDSANALRTHVMEVADGCDIVESVATFARRRQRGVCIMSGTGTVTNVTIRQPASPGSIVTLHGRFEILSLAGSFLPPPAPPAATGLTIYLAGGGGQVVGGSVVGTLIASGPVVIMAASFSNAAYERLPLEEDEGQLPMQGGGGGSLGSPSGVGHQQQQQHQQQQQQQQLLAEAANTNAPLFHGLPPNLLNSMQLPAEAAYWATGRPPY >ONI08394 pep chromosome:Prunus_persica_NCBIv2:G5:14902801:14903894:1 gene:PRUPE_5G175200 transcript:ONI08394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCRGESSSKLDRKTVERNRRIHMKGLCFKLASLVPPQHSKASNSKDTLSQQKQLDLASSYIKQLRERIENLKERKEKAMRSQLGIDANSTIDADNAMMVRSRLPVLELRDSGSIIEVMLISGLNKNFMLFEVISVLEEEGAEVVSASFSTVGDKIFHSLHAQVKISRVGVETSTVWQRLQYLIY >ONI09275 pep chromosome:Prunus_persica_NCBIv2:G5:17521932:17524960:1 gene:PRUPE_5G228300 transcript:ONI09275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKLLSTLIRTSLRRTVATTKTAVPRSPLTRSTGYLLSRVAHYSTSSAVAPSAPPKPATKSVSGKITDEFTGKGAIGKVCQVIGAVVDVRFDDGLPPILTALEVLDNSIRLVLEVAQHLGENMVAVGRATLGRIMNVIGEPIDERGEIKTDHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMMESGVIKLGDQQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQDYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESITSFQGVLDGKYDDLSEQSFYMVGGIEEVIAKAEKIAKDSAA >ONI05994 pep chromosome:Prunus_persica_NCBIv2:G5:3752778:3754086:1 gene:PRUPE_5G033100 transcript:ONI05994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGMSASTTPDVAYPPSSQHVASPPITVVLTVLLLVFFFVGFFCIYFCKCFMDHILTSFQRSPSGDLVGTAVVPKEGLDVSLIESFPTFVYASVKDYRIEKYGLECAICLVEFEDDSMLRLLTACCHVFHQECIDLWLESHKTCPFCRGNLESIPSNLAEKSPVLAHENVAMQEIHEGNEPVLLDPNAIHIDIKGEQGQDQHHQGTSTSDSWPPNKNNNNNNMVAEDEQSSRTNHDHQNVENETERFSRSHSTGHSIVIRPRAEEDRYTLRLPEHVKIKLLRGHHNWTGSCTTFGEFSRQTHNAAGGHFGEVSGSAAGGDINRL >ONI09573 pep chromosome:Prunus_persica_NCBIv2:G5:18369345:18371071:-1 gene:PRUPE_5G245400 transcript:ONI09573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFVVNFPCCALWKSKKLGFIKPSTLPKQMSPSSSTKVRLGNNDGVNAFFFNPTEEPILREALKEPVAFMGGMFAGLLRLDLNEDPLKDWVSRTVEASGITEEEIDASGLEPEEEVPLQIEIE >ONI08714 pep chromosome:Prunus_persica_NCBIv2:G5:15907081:15910260:1 gene:PRUPE_5G196400 transcript:ONI08714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKEQCMMKQVTKHEILKGYFMSSASPNPKFFVPQFAFSIAKALSSGIMGDPQKSQPSAAADLTSSQDDLQGKLQDMEKSLGNVIEKTQKFELQTSILEPLRASSGIPVQPGSPRPTMSSAAAELTTAQYRALTKLLEVLSAQLSAQLSAQLSAQLDSQKSIMEELRLIHASNAINFQSERKKTPNFTVPDREPFNPYPSFSMVEDASTVNQGEDRSVYLLMSYYDGRHTDSIYQVTFKHGGVTHEPPVVELEEEFYDGFYVQGARISNRSKVYILLQNGYNNPFEGYSRVPSGYSIEPKTWSYHSSLPPNITSKPLATLVSAYDKLYYIASPVCLPPIKEPSFERYDPTQNRWERMPSFPFYHDDGTRMEIIGYAVCYGVILFSLWDSDLNSNVVAFHERINQWSQVTFASYAPFRGRAVVVGDTIYAVHALMVEQIIAFSFKMDKGEHGHITYYLSPLFILCGLEIACPPVPLCELKTGYLVHLGNHDFFMS >ONI09326 pep chromosome:Prunus_persica_NCBIv2:G5:17676446:17680865:-1 gene:PRUPE_5G232100 transcript:ONI09326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWISSKLRAAETILQQIDQQAAESLRKNEKPLADDLNVDTPTKTGGSVPLKDQLKKKTIENSDYRGKLTSDPSFNILNNTSNNNDDDKKKNNNNIIINRDKEIVGTQKPKPTLTDGDWTQLLSAPNQATTSTTSRGIGFPGVRGLRKDGRRQGSASSTSSLSVLEVKKNQKTGSNNVLKSGRRTSVGEGSKSNGKVSDGEESGVSFSDSARRSPTVELKSDVKILEGRGLDYRDMGFITSAETKDKGNEENGGHFDSKELSLEGSLQSVKKNDGGSNKKIGGENVGDRLRSTDRGNHESSEASRSSTSEDLKRSFTSVSDGSSESDSGSSSDSESEREKEERRKKREKILAEKAAAKAVEAIKERENLVARLEGEKQSLEKILEEEVKQQAQEASKLQMTMMETMEAADLEKQKHNNTRMEVFARLAKLEAANADLAKSLATVQWNLEVEVNGVAELRQQVELKEVNHEELRRKISDAHQAKISLKKVAAPKGVELEREILEAEYAFVTDKVGRLQDKAQKLEANIEMTRKEIEEPTEVEIELKRRLAQMTDHLIHKQAQVEALSSEKATLLFRIEAVSRLLDESKSMTEISGSSSRDIESGRPLFEDRIRSGRKHLGSALQQLDSIFFAGAVFLRRNWTAKLWALIYFVCLHFWVIYILVSHSPASNEIKSGAAISLENINDTAGV >ONI09131 pep chromosome:Prunus_persica_NCBIv2:G5:17158387:17165446:-1 gene:PRUPE_5G220100 transcript:ONI09131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSDNNDDDDEVLLAMAEELGVFIPYVGGVEYANILLPPLETLCTVEETCVRDKAVDSLCRIGAQMREKDLVEYFIPLVKRLAAGEWFTARVSSCGLFHMAYPSAPETLKTELRTTYSQLCQDDMPMVRRSAATNLGKFAATVEAAHLKADILSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVTHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEATRSDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPELATQQILPFVKELSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGSLCMQWLNDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVLGSEITCSKLLPVVINASKDRVPNIKFNVAKVLQSVIPIVDQSVVEKMIRPCLVELSEDPDVDVRSFASQALQSIEQVMMSS >ONI06094 pep chromosome:Prunus_persica_NCBIv2:G5:4402023:4403544:1 gene:PRUPE_5G039800 transcript:ONI06094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALSKLVNLNNPDLVLFVGEALVGNDVVDQLSKFDQLLDEMIDNGFPLTTEPNIMREMIALPNIVNKMLSVVTGNSSNISDTLPEVSCYY >ONI08353 pep chromosome:Prunus_persica_NCBIv2:G5:14758089:14760031:-1 gene:PRUPE_5G173300 transcript:ONI08353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPEAETHPDQLVNNNNNPCLDLFYNVGEEDVHDPAQMEASCNYVKQVLPLAWSHNPITALKLVCNLLDGKFNLSAFYTAAFWLHHNHPKTLLCNLASIVRSFEAFSNSMNIVYHILREGQDIYKTTRRRGYNRYQLLHKAVKRYKHDRDYQLLHDQVSDILAEMFKSDMEKLKFKEAAEEQNKNNKLKQLSESDNDDRITMAACDIHSAVSTFADYNPDYSITLLLENIARKFFPPEEYHKLELGGGHGKGKRAKAKDADRVMVKRLKDEVLPSLKKAYFAQDYPPDEASAVETYLEKVKASCCCSGSAGKISSSSSLLADALLPHEITGYVHHWNLGRAAELQWKSMVEDVYSKQGKFKNWLAVCDVHLKFMDDEVSLEVSIALGLLLSELSEEPWKGKVIQFSDEPQLHSIQGGDDLRYKYEFVRRMNCSVDLDFEKLFDLILQVAVNENLKPDQMIKKVLVLSHRDFDSANAAETSWEIDYQAIQSKYKEKGYGDVVPHVVFWTLSEYNPEKPVAPRTQPGLSILNGFSNNLLKLFLDNEGEIGPDHLMELAISDERYQAFTIVD >ONI06333 pep chromosome:Prunus_persica_NCBIv2:G5:5793268:5794439:-1 gene:PRUPE_5G054100 transcript:ONI06333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPASDAFISPEINSFGQSFRDYNAESERQKGVEEFYRLNHINQTYDFVKKMREEYSKLNRVEMSIWECCELLNEVVDDSDPDLDEPQIEHLLQTAEAVRKDYPNEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTHPLGCAFDEAIVHHKYFKENPDHNNPSYNSKYGIYSHGCGLENVMMSWGHDDYMYLVAKENGSTLPQAGLFIIRYHSFYPLHKSGAYEHLMNKEDEENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYLSLIDKYFPEKLRW >ONI08946 pep chromosome:Prunus_persica_NCBIv2:G5:16646073:16647140:-1 gene:PRUPE_5G209000 transcript:ONI08946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSDLFDGQVEENKNFHENFGEMLSTLPKGLGAGGCYYQYQGFWYSSVWLLGTIWAQRSFRARDTDILLAASPKSEVRDEEHMIGGNPIAYLDSLPPSSPRLLSTHIPYTSLPKSILSDSGGRIVYIARNPKDVFVSYWSFCQKFKSKTDPSKGQPLATISMEEAFELFCKGVSITGPFWDHVLDYWKASLERPDRVVFMKYEDMKMDTMQHVKRLAEFMGHPFSLEEERQGVVQEIINLCSFQNLSNLEVNKSGAYREVGDSKNHLTVEMLEHLDKITEEKLGSFGLKL >ONI07118 pep chromosome:Prunus_persica_NCBIv2:G5:10872403:10875547:-1 gene:PRUPE_5G100800 transcript:ONI07118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKAVKSELVLILDYGSQYTHLITRRIRSLSVFSLCISGTSSLKSITDLNPKVVILSGGPHSVHTPDSPSFPAGFVEWAEANGVFVLGVCYGLQLIVQRLGGEVRVGEKQEYGRMEIEVEINSGLFGSKKVGDKQVVWMSHGDEVAKLPDAFEVVGRSQQGSVAAIESPIRRFYGLQYHPEVTHSPEGMETLRYFLFDICKVSAGWSMENVLDEEIKVIKSTVGTEDHVICALSGGVDSTVAATLVHKAIGDRLHCIFVDNGLLRYKERERVMETFERDLHLPVTCVDATDQFLSELKGVVDPEKKRKIIGKEFISIFDAFAHDLEKKLGRKPSYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRILDVPQGFLKRHPFPGPGLAVRVLGDVTTGNALDVLRQVDEIFIQSIKDAGLYDEIWQAFAVFLPVRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYYFEHKFLDDVSRKICNSVRGVNRVVQDITSKPPSTIEWE >ONI09262 pep chromosome:Prunus_persica_NCBIv2:G5:17477322:17479270:-1 gene:PRUPE_5G227000 transcript:ONI09262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAVISQPRSSSKTESYVDNKRKEDIRQANILAARAVADAVRTSLGPKGMDKMISTANGEVIITNDGATILNKMEVLQPAAKMLVELSKSQDSAAGDGTTTVVVIAGALLKQCHILLSHGVHPTVISDSLHKAAIRAVDVLTAMAVPVELTDRDSLVKSASTSLNSKVVSQYSTLLAPLAVDAVLSVVDPAKPEVVDLRDIRIVKKLGGTVDDTETVKGLVFDKKASHAAGGPTRMENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANIEHFRAEKLGHADLVEEVSLGDGKIVKITGIQNMGRTTTVLVRGSNQLVLDEAERSLHDALCVVRCLVSKRFLIAGGGAPEIELSRQLGAWAKVLQGMEGYCVKSFAEALEVVPYTLAENAGLNPIAIVTELRNRHAQGEINTGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >ONI08528 pep chromosome:Prunus_persica_NCBIv2:G5:15277717:15281816:1 gene:PRUPE_5G183600 transcript:ONI08528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAKLDRFGTFFSLTRQRSVQILIAIGLLYLLLVTVEIPFVLRTGFSIISQDPLSRPSRLHSKEAVEEKDAPSRPLEQVSQNSYQPTQSRPSESNIVSGLVFDPKTFDSQLYKPAKVAWEVGRKFWEELQAGKVRIEAKKVENRSESCRHSISLSGSEFSAQGRVMVLPCGLTLGSHITLVGRPKAAHQEAQPNIALVNDGESVMVSQFKVELLGLKTVEGEEPPRLLHFNPRLKGDWSGKPVIELNTCYRMQWGSALRCEGWKSKADDETVDGQVKCEKWIRDDDSRSVESKATWWLSRLVGRTKKVPVDWPYSFTEEKLFVLTLSAGLEGYHINVDGRHITSFPYHSGFSLEDATGLSLSGDVDLHSVFAASLPSSHPSFAPQKHLEMSTRWRAPPLSEGGVELFIGILSAGNHFAERMAVRKSWMQHSFIQSSKVVARFFVALHAKGEVNIELKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVRTMSAKYIMKCDDDTFVRVDAVIKEAHKVPEGRSLYVGNINYYHKPLRYGKWAVTYEEWPEEDYPPYANGPGYILSYDIAKFIVSEFERRKLRLFKMEDVSMGMWVEKFNTSRPVEYMHSLKFCQFGCIEDYYTAHYQSPRQMICMWDKLKRLGRPQCCSMR >ONI06176 pep chromosome:Prunus_persica_NCBIv2:G5:4961882:4963002:1 gene:PRUPE_5G045600 transcript:ONI06176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKYGQDVVSWTWMISGPAKCGFVEEAILKFMSMDVERNYSTLVIVMSACFSLGAFKFGKVVHGYCLRNLRGRNIILDNAGLDFYLRCGSLVSASVFFDWGTEFRPVDSSLCKYVADLMVNGNVGNALLNMYDKCGEVRMAISVFKGLDSSSHTGLVDQGLIFFNAMKDVYGIVPKTQHYACWVDMYGRAGFFEEAEGFIKEMPTKADGSVWGALLNACRIHGNDKLFERIRDGLLKSRGFSTGTYALLSNMYANHDRWDDANKVRDEMRWMRLKKLPGCSHRG >ONI07243 pep chromosome:Prunus_persica_NCBIv2:G5:11384980:11385810:1 gene:PRUPE_5G108100 transcript:ONI07243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAESLHSADELKRQKKIKLAIYITIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVESFVSDSAAPSFDTKFTTQIKIKNSANWGSYKFNAANITFLHQGATLAVIDIAKGKAGWLSTIKRNAEVSLNSSGITGSNFGTELSSGVLTLNSVGRLNGKVAIMFIMKKKKAANMNCTIAFDVAAKTLKSLECK >ONI07871 pep chromosome:Prunus_persica_NCBIv2:G5:13297452:13298395:-1 gene:PRUPE_5G144100 transcript:ONI07871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EQLQWLLFQLLLFAGSSPFKTSQPRLLVTRTSLSLQLVSNEWAKTGFSSLRTSRLQICCAAKPETVQKVVEIVRKQLALPPEYEVTPDSKFASLGADSLDTVEIVIGLEEEFDISVEEESSQNISTVQEAADLIEKLVEKKAAA >ONI08252 pep chromosome:Prunus_persica_NCBIv2:G5:14456213:14459649:1 gene:PRUPE_5G167200 transcript:ONI08252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESGASLPSGPDAKKRRVTYFYEPTVGDYYYGQGHPMKPHRIRMAHNLIVHYGLHRRMEINRPFPAGPNDIRRFHSDDYVDFLASVSPETLAESSYSRHLKRFNVGEDCPVFDGLFGFCQASAGGSIGAAVKLNRQDADIALNWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHKRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDVGAGTGKNYALNVPLNDGMDDESFRGLFRPILQKVMEMYQPDAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYYEYFGPDYTLHIPPSNMENLNSPKEMEAIRNTLLDQLSRIPHTPSVPFQTTPPTTQVPEEAEENMEERPKPRIWDGADYDSDPEEDKHWTKFSNPVGQHAVKTEMRDDADGMEDEKPNHPPCC >ONI06501 pep chromosome:Prunus_persica_NCBIv2:G5:7907236:7908456:1 gene:PRUPE_5G064800 transcript:ONI06501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANILFFNPIQKTKQNKTKQNQTTLGFRFLLSQISYICTYHPSLTITPRPLSSPLPLSFVFPIISPP >ONI06502 pep chromosome:Prunus_persica_NCBIv2:G5:7907406:7908456:1 gene:PRUPE_5G064800 transcript:ONI06502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANILFFNPIQKTKQNKTKQNQTTLGFRFLLSQISYICTYHPSLTITPRPLSSPLPLSFVFPIISPP >ONI06740 pep chromosome:Prunus_persica_NCBIv2:G5:9176998:9180120:1 gene:PRUPE_5G078100 transcript:ONI06740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFQTSTRNDESWLLLYTFSAIFATIWCAWLCMKKSSNKIPPLPPGPLGMPLLGNLLSLSPELNSYFAGLAHTYGPIFKLRLGAMTCVVINSPSSAREVLKDRDVTFANRDVPVAARIAFYGGADVVWSPHGPEWRMLRKVCVLKMLGGAALDSFQSIRQNQVRKTVGYLYGRAGSPVNVGEQIFLTSLNVISNMICGGCIAAEDGKERAGLGAEFRKVVSDMMELVSRPNVSDFFPGLGRFDLQGIKKQMEGLVRRFDRIFEQMIDQRLKMEEDGAKESQDFLTFLLKLKEEGGDSKTPLTMTHIKALLMDMMLGGTDTSSSTAEFAFAETMNNPAVMGKAKQELDDVVGKGNIVQETHISKLPYLQAVMKETLRLHPVAPLLVPHCPSETCTVGGYTIPKGSRVLVNAWAIHRDPSNWEDPLDFDPDRFLHGKWDYSGSDFNYLPFGSGRRICAGTAMAERMVVYTLATLLHSFDWKLPQGEKLDLSEKFGIAMKKKIPLVLIPTPRLLDPALYE >ONI05380 pep chromosome:Prunus_persica_NCBIv2:G5:549450:554169:1 gene:PRUPE_5G004300 transcript:ONI05380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLQLFITALIPALKILFTTLLGSYLALDRVNILGEDARKHLNTVVFYVFSPALVSSNLARTITDKSIVQLWFMPVNILITFIVGSILGWILLQLTRPPAYLRGIVVGCCAGGNLGFMLLIIVPAVCKEKGSPFGAPDVCHTYALAYASLSMAIGAMYLWSYVYNIVRISAKKGTQNAHQSPERSSTPNQVSCTEPPLSSKESEVVDDNAADQYALPCTAIPEENAKMTSLGKVIKQRIMTVFGKLNLKTIFSPSTTGAMVGFVIGLIPQIRKLLIGDGAPLRVIQDTASFLGDAAIPSLTLIIGGNLLTGSRGPGIQKSLIVGIIVVRYVVLPLAGILVVKGALKFGLVHYDPLYLFVLLLQFSLPPAMNIGIMAQLFGAGEKECSVIMLWAYLFASVTLTFWSAFFMWLVARM >ONI09238 pep chromosome:Prunus_persica_NCBIv2:G5:17435119:17435586:1 gene:PRUPE_5G225900 transcript:ONI09238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDMRVSSFILVAFVLLATNLVQQFSLQANAAMFADKRLQKVERRHILPSPPPPPHPNRITRYFRPRVSGEAVAPISPSPAAT >ONI09201 pep chromosome:Prunus_persica_NCBIv2:G5:17322245:17325713:1 gene:PRUPE_5G223200 transcript:ONI09201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSLMENLFQRTLEDLIKGLRLQLIGESAFLSKAIDEIRREVKSTDSDTKANAIHKLTYLSSLHFYDMSFAAFHVVELLSSTRFSHKKIAYHAASHSFTDDTPVLVLITNQLRKDLTSTNELEVSLALECLSRIATVDLARDLTPEIFTLLASSKVFVKKKAIGVLLRVFDKYPDAVRVCFKRLVENLESSESQVVSVAVGVFCELALREPRSYLPLAPEFYKILVDSRNNWILIKVLKIFAKLVPLEPRLANRVVEPVCEHIRRTGAKSLLFECIRTVVTSLSDYESAVKLVVVKIREMLVDDDPNLKYLALQALSVVAPKHLWAVLENKEVVIKSLSDVDPNIKLESLCLVMAMVSESNVAEICRVLVNYALKSDPEFCNEILGSILSTCGSNVYEIIIDFDWYVSLLGEMSRIPHCQKGEEIEKQLIDIGMRVKDIRPELVRVSRDLLIDPALLGNPFLHRILSAAAWLSGIYVEFSINPFELMEALLQPRTTLLPPFIRAVYVQSAFKVVIFCLNAYLLQRGNAASSSYIDKLVPDVPGLVSECDEPESSDLASCDSPVHCKQDEGFNPRVLNQSFEGLLPEHCGEETATRGQVSASSSLKDGFTHESIINLLNRVELALAPLTGSYDVEILERARNILCFIELIKRKMPDCLVQKEESLGREEAPASQIIRLMHNAFSNDLGPVSVSAQERVPVPDGLVLAKNLEDLETIFSDVQLPSSNSVSLGSPQYEDRAGFSLPILQSKEEPGPSNESTSLLADHRKQHGLYYLPSAKNEDEYPPANDLKLQADTNDGDEDLVKLTEQFLVSKKKPNHAKPRPVVVKLDGDQVHIAANPDRKEDLLSGTVRDVLLGSDTNHTSSQSKVSTKSSTQRKGKDKLNVDSVTESKENLGDIEKHDQGNPSSRKSKHHSHGKGRRHKSPGKKGDEREENGQKVKQKSSHSHSKHKARQRAEVPLNVVALTPGIPDFLL >ONI06735 pep chromosome:Prunus_persica_NCBIv2:G5:9143604:9146576:1 gene:PRUPE_5G077600 transcript:ONI06735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPSYYSTLLSWQSQANNTNINQTWLLFAVSAVAVVFWYAWLYKKSKNTTLPLPPGPMGLPLVGNLLSLDPELHTYFAGLAQAHGPIFKLRLGNTLGVVVTSASSAREILKDHDVTFANRDVPAAGRAAAYGGNDIVWTPYGPEWRMLRKVCVLKMLSNTTLDSVYELRRKQLRETVGYFYSRVGSPVNVGEQMFLNVLNVITNMLWGGTVEGDERAGLGAEFREVVSEMTELLGKPNVSDFYPGLARFDLQGVEKQMSRLARRFDGIFEKIIDQRMRIDKEGPTESKDFLTFLLKLKDEGGDSKTPFTITHLKALLMDMVVGGSDTSSNTVEFALSEIMNKPEVMKKAQQELEAVVGKHNIVEESHIHKLPYLQAVMKETLRLHPALPLLVPHCPSETCTVGGYTIPKGSRIFFNVWAIHRDPSIWENPLEFDPERFLNSKWDYSGNDFNYFPFGSGRRICAGIAMAERMVMHSLSTLVHSFDWKVPQGQKLDLSEKFGIVLKKKMPLVAIPTPRLSDPALYQ >ONI06737 pep chromosome:Prunus_persica_NCBIv2:G5:9151549:9155338:1 gene:PRUPE_5G077800 transcript:ONI06737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWFKTSTRNDESWLLLYTLSAIFASIWCAWLCMKKSRNKIPPLLPGPLGMPLLGNLLSLDPELHSYFAGLAHTYGPIFKLRLGSLTCVVINSPSAAREVLKDRDVTFANRDVPVAARMAFYGGADIVWTPHGPEWRMLRKVSILKMLGGAALDSFQSIRQNQVRKTVGYLYGQAESPVNVGEQIFLTSLNVISNMICSGCIAAEDGEERAGLGAEFRKVVSEITGLMGRPNVSDFFPGLGRFDLQGIKKQMEGQVRRFDGIFEQMIDQRLLKMKEEGAKESQDFLTSLLKLKEEGGDSKTPLTMTHIKALLMDMMLGGTDTTSNTAEFAFAETMTKPAVMGKAKRELDDVVGKDSIVQETHISKLPYLQAVMKETLRLHPVAPLLAPHCPSETCTVGGYTIPKGSRVLVNAWAIHRDPSNWEDPLDFDPDRFLHGKWDYSGRDFNYLPFGSGRRICVGTGMAERMVVYTLATLLHSFDWKLPQGEELDLSEKFGIVMKKKIPLVLIPTPRLSDPGLYE >ONI07931 pep chromosome:Prunus_persica_NCBIv2:G5:13541301:13544521:1 gene:PRUPE_5G147800 transcript:ONI07931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIINKVLNIIIPPISLTFFLFLLPLYLFYKFLLSAVRSVFSEDVAGKVVLITGASSGIGEHMAYEYARRGACLALVARRENLLQSVASRAMSIGSPDILVIPADVSKVENCRRFVDVAVNHFGRLDHLVNNAGITPDTNFWGSVYGTYFAIPHLKRSRGKIVGIASSAGWLRVPRLSLYGATKSAVISFYETLRVEIGREVGITIVTPGLVESEMTKGKFLSKEGQLVLDQQLRDVEVSIMPILPVKEAAKSIVDSACRGENYLTVPAWVWHSFYWKVFFPELLDWSNRMLLMSNGSGGERDTINVINGFHTNGGTTLRHFNGVDDVDSVLVGKLSEQQPHHLHRNASSCYQISYN >ONI05901 pep chromosome:Prunus_persica_NCBIv2:G5:3278257:3279030:1 gene:PRUPE_5G028200 transcript:ONI05901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIWAISWAAPAQNQTPPVPLFPASLPSLLHFIPQPPRKREPICFFLIRSHPAHEQVFNVFLVCMLFFKYFSTVLLLFFKFSCHLTLSNPDGADPEFYIRVKNGT >ONI08554 pep chromosome:Prunus_persica_NCBIv2:G5:15366286:15372641:1 gene:PRUPE_5G185000 transcript:ONI08554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPEVLDAVLKETVDLENIPIEEVFENLRCSKEGLSSEAAEERLTIFGHNKLEEKQESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQEAGVLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKSPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGMDPDTVVLMAARASRVENQDAIDTAIVGMLADPKEARAGVQELHFLPFNPTDKRTALTYLDRDGKMHRVSKGAPEQILNLAHNKSDIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESAGGPWQFVGLMPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIVALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGSYLAIMTVIFFWAAYKTDFFPRVFGVSTLEKTANDDFRKLASAIYLQVSIISQALIFVTRSRSWSFVERPGLLLVVAFVIAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNLVFYFPLDIIKFMIRYALSGKAWDLLIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >ONI06017 pep chromosome:Prunus_persica_NCBIv2:G5:3926542:3927925:1 gene:PRUPE_5G034400 transcript:ONI06017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENDQPPHFSYQVEAGSQTKRHTQIQKRKNTIEEASRAMTEGNFPAEKEYVGTWKDSREGNEEQNSSGRVV >ONI06095 pep chromosome:Prunus_persica_NCBIv2:G5:4405897:4408622:1 gene:PRUPE_5G039900 transcript:ONI06095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKRKQEDPPPSSASVETVEEIMKLYKSLPPRPSIEEVEAAQSVLKTVNSEEEKKLEEISKQEKPENVPEELFSVLQEVKKTMVLFQSQDQRKEAVHVVEMEGLFQTFDDLIQTASGLVSGDTQVQKHVNLEDPVEKIGRETVISDESLTMKKEDKESERDGFKGVVRSASTLSSGAVDSEKMSLMKVAAVIENTAKSGAVVLDLKGKLEDKVEWLPVSLGKLSEVTELDFSENRIMALPPTMGGLKALTKLDIHSNQLINLPESFGELSNLTDLDLHANLLRSLPASFGNLINLITLDLSLNQFTHLPDVIGKLASLKILNAETNELEELPYTIGSCTSLVELHLDFNQLRALPEAIGKLESLEVLTLHYNRIKGLPTTVGNLNNLKELDVSFNEIESIPENLCFAVSLKILILANNFADLRALPRSIGNLEMLEELDISDDQIRTLPHSFRLLSKLRVFRADETPLEVPPKEVIKMGAQAVVQYMADYVAKRENATFQPLKKKKKKGLWFWFCSKLCSICRNSTKNKPVLV >ONI07331 pep chromosome:Prunus_persica_NCBIv2:G5:11695897:11699931:1 gene:PRUPE_5G113500 transcript:ONI07331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDVKKKLKGLDGTAVPVGYDSLKDTGHPVLEASKSLEQNIDGLTDGSDGNTKEDNHHTQRNNGSGSMRFADNDVKVHTQVRPTTNGEVNENSTNASGTGSPAGFLGVSLRSPYEKIGISTAFVAPSAGAGLPFDAMVLDERQVKREKRKQANRESARRSRMRKQAEYEELVRTFESLNTEKMALKSKLEELKGDSEKLRLENATLMEKLKHAQVVPKGGIASVEIEVDLDLPTGTENILSNLGSVSRNAQLDCEAHESSMSETKLHAVAAR >ONI06480 pep chromosome:Prunus_persica_NCBIv2:G5:7730913:7733071:1 gene:PRUPE_5G063400 transcript:ONI06480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFTFFILFFTSIFLETQASDKNCATMCTHGTPHIQFPFHVRGQPEHGGDPIYELDCNNATKTTTIHFPSYGDLVVKSISYDMKRLDLLDPKSCVHEVFLNLNLSLTPFQYYYVVKEHSYLNCSVRLSPSFSEIPCLSGSDYHVYTVPPSLAVPNSCRVVKTVPIPFGYSPYLSDNSFGLGLTWGSVGDRQQETECFTMAHVKVVCIAIFIFAAAALRKLKIYQSKKLQHLIEGKDQVQVEKLLGDYKPLKLETCCNSDLKQGNSQGETVLDIRIMKQHKMQAM >ONI07247 pep chromosome:Prunus_persica_NCBIv2:G5:11406009:11406946:1 gene:PRUPE_5G108500 transcript:ONI07247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKTNDAESLQSSDELKRQKKIKMAIYITIFVVFQIIVITTMSLTVMKVKTPKFRLGSNINVQSFESVPATPSFDMKFTTQIRIKNSANWGSYKFNAANVTFQYQGATVGVINIAKGKAGWLSTIKRNVEVSLNSSEITGSNLGSELSRGVLTLNSVGRLNGKVAIMFIMKKKKATNMNCTIAFDVAAKTLKSLQCK >ONI07813 pep chromosome:Prunus_persica_NCBIv2:G5:13140434:13143299:-1 gene:PRUPE_5G141300 transcript:ONI07813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMVSALAQVIGITDQTPVVQVPAEPLVNPLPSAMELHDDQPPQLQAAQNQGNVRRRHYRGVRQRPWGKWAAEIRDPNKAARVWLGTFETAEAAALAYDAAALRFKGSKAKLNFPERVQGGDELGYLTTTGPQDLAAGGSRRTELAHHNQPLSDHQYQLQANPNNVNFTQPDQYAQYFRGGNYENLSYDVLPSTFYERERSFFSQTLSATTTTSSSSSSVAFVPSQQEDQDQHVQQQQPLSFSMAQQPFGSSSSSSSDPYKNKKDFGQHP >ONI06362 pep chromosome:Prunus_persica_NCBIv2:G5:6010031:6010992:1 gene:PRUPE_5G055800 transcript:ONI06362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKSSTTVLAFAFCFLSLLSFAYSNTTDDKIYLTGLVYCDNCQLKSMTEISKMIPGARVRLECREGENIKSRREGETNPLGMYVFVLEKSKEPLDDCQVTVLHSPDPECKISHEVDPNNKSKTAPVATRKLNLLEGDSVVFIGPSHRVSYPLGLVVEKARPECEQFDKARKHFQN >ONI07153 pep chromosome:Prunus_persica_NCBIv2:G5:10992615:10996767:-1 gene:PRUPE_5G103000 transcript:ONI07153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIILLLGLHQLLLAVTVYEKGAEVVRMYKTLLGSQGFRNGMDLYFKRHDGQAVTCEDFFAAMRDANNADFANFLLWYSQAGTPVVKVASSYNAEARTFSLKFSQEVPPTPGQPIKEPMFIPVAVGLLDSTGKEVPLSSVHHDGTLQSVANNGQPVYTTVLRVTKKEEEFVFSDVSERPIPSLIRGYSAPIRLETDLTDSDLFLLLAYDSDEFNRWEAGQVLARKLMLNLVADFQQNKPLVLNPKFVHGLRSILSDLSLDKEFVAKAITLPGEGEIMDMMEVADPDAVHAVRTFIRKQLAHELKAELLSTVENNRSTEEYVFDHPNLARRALKNIALAYLASLEDSRCTELVLNEYRSATNMTDQFAALAAIAQNPGKTRDDILADFYSKWQEDYLVVNKWFALQAMSDVPGNVENVRNLLSHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEIVMQLDKINPQVASRMVSAFSRFRRYDETRQNLAKVTISGEDTVYQWTVRKRV >ONI07152 pep chromosome:Prunus_persica_NCBIv2:G5:10992615:10996767:-1 gene:PRUPE_5G103000 transcript:ONI07152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIILLLGLHQLLLAVTVYEKGAEVVRMYKTLLGSQGFRNGMDLYFKRHDGQAVTCEDFFAAMRDANNADFANFLLWYSQAGTPVVKVASSYNAEARTFSLKFSQEVPPTPGQPIKEPMFIPVAVGLLDSTGKEVPLSSVHHDGTLQSVANNGQPVYTTVLRVTKKEEEFVFSDVSERPIPSLIRGYSAPIRLETDLTDSDLFLLLAYDSDEFNRWEAGQVLARKLMLNLVADFQQNKPLVLNPKFVHGLRSILSDLSLDKEFVAKAITLPGEGEIMDMMEVADPDAVHAVRTFIRKQLAHELKAELLSTVENNRSTEEYVFDHPNLARRALKNIALAYLASLEDSRCTELVLNEYRSATNMTDQFAALAAIAQNPGKTRDDILADFYSKWQEDYLVVNKWFALQAMSDVPGNVENVRNLLSHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEIVMQLDKINPQVASRMVSAFSRFRRYDETRQNLAKAQLEKILSTNGLSENVFEIASKSLAT >ONI07903 pep chromosome:Prunus_persica_NCBIv2:G5:13449100:13451508:1 gene:PRUPE_5G146400 transcript:ONI07903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSAHSPLVIAFGILGNVVSFVVFLAPAPTFWRIFKKKSTEGFQSVPYVFALFSAMIWIYYAFLKSDEFLLITINAFGCIIETIYISMYITYAPKQARVFALRLLLLVNFGGFCLILLLSHFLAQGPTRVEVLGWVCVAFSVSVFAAPLSIMRVVIRTKSVEFMPFSLSFFLTLSAVMWLFYGLLLKDLYVACPNILGFTFGVAQMILYAIYRNKKTVLVEDQKLPEHKGDVVKQIQILSTTPEVEIQVQAVAVSSHVNTENENCEQTKDQYVHPQTCNTEKILGPSMPSQMVTCEV >ONI06611 pep chromosome:Prunus_persica_NCBIv2:G5:8412840:8415144:-1 gene:PRUPE_5G070000 transcript:ONI06611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYGTVTSLRNPRSLFTCQGSRTLKSDHMFTNIVPERLPALILTSSSLDLQKIQPIKPGQVTRVRLPSILEELLIWSAILDAVKLRIYEGTAGRIIEGSLLSMGGGVMRAAAKVAGIGGVVPGGMLRGAPVPSSSGQSIRKASIPVAATLTAENVGGVDVSPIEKTALDAMDDFVDCFKEAREATTKLKDALDKIYLSSPKSTEFGGQSATDKVSGLSQIMNPEPEKVESQRTNPVAHQTDGSRGHHHQRPQRQHLMAVAES >ONI08040 pep chromosome:Prunus_persica_NCBIv2:G5:13870596:13877158:1 gene:PRUPE_5G154800 transcript:ONI08040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVPIDFTGQKESRKCLLSQMMGKSRKYSKGHLSGFVPDYRHAVETIAESEGFGSSGRVDTEMTASEDSCAPKRKCISLNVDGYDGFGVPMQVLPLSRMSRSERKDLESRLKLELEQVRILQKKISTVSSNVAVLSPSSDIRSCSDGKKRPPLDSYQRSLEFSAPQAKKRAPPGRNGARTKKSMSGPVEPTKPVAPATTSNSMLLKQCEQLLGRLMSHQFGWVFNTPVDVVKLNIPDYFTVIKHPMDLGTVKSKITSGIYSCPLGFAADVRLTFSNALTYNPPGNDFHFMAETISKYFEQRWKGIEKKLPVTTDVQSLPSRVALRVETVTAAPMPPSKKKKSTPNDTSVKPEALKRMLTDEEKAKLTMELEALMDAEVPLNIVNFLQEHSHSEGQTNDNEIEVDLEAFSDDTLFALRKLLDDHLLEKQKRQAKAEPCEMEILNESGFSNSSMQPCKGNDPGDEEVDIVGGNDAPISSFPPVEIEKDPAHRNSKCSSSSSSSSESGSSSSDSDSGSSSESESDDAKVPASFGGGKENVGTGANSDQKRNDIGDSEIGNDSINGVAPLDQDSGSKPISVEEGGHREGESAPSERQVSPDKLYRAALLRNRFADTILKAREKALEKGEKLDPEKLRIEREELEKRQKEEKARLQAEAKAAEEARKKAEAEAAAEAKRQRELEREAARQALQMMEKTVEIENSQFMEDLEMFRAVDDEHVPNFTEETSPEHSQNELARLGSFKLQGSSNPLEQLGLFMKADDDIEEEEIEPPQSAPESEPEPEPELEPKPEPEAANDAIEANDAVEANDFEEGEID >ONI07764 pep chromosome:Prunus_persica_NCBIv2:G5:13011986:13018675:1 gene:PRUPE_5G138300 transcript:ONI07764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNEVGGVHYHSDTEILGSERMVNYSGPLSGPLNKRSGNKKTAKFNIAEPAGSAVKPGISTSDEEPYVEVILDVREDSVAVHSVKPAADDHEDHVEMNLLGKGLEKNQSPKGSSVVRTASARIKQVSQELKRLASFSKQAVAAPHPRRRFDRTQSAAAPALKGLKFISKTDGNAGWPAVEKKFNQLTASSNGLLPRSLFGECIGMNKESKEFAGKLFDALARKLDIKEDSITKEQLNEFWAQISEQGFDSRLQTFFDMVDKDADGRITIEEVKEIISFSASANKLSNIQNQADEYAALIMEELDPDNLGHIMIDSLETLLLQGPEETAVRGKDSRNLSKMLSQKLKPTREHPLRIWCSNIKYFLQDNWKRVWVVTLWVGIMAGLFAYKYVQYRNKAAYKVMGHCVCMAKGAAETLKFNMALILLPVCRNTITLLRNKTKLGIVVPFDDNLNFHKVIAIGIGIGVGIHGISHLACDFPRIIHASSDKYELMKPFFGDDQPSNYWHFLKSLEGVSGIVMVVLMAIAFTLAAPCFRKGQLKLPEPLKKLTEPLKKLTGFNAFWYSHHLFIIVYVLLIIHGVYLYLTKEWYKKTTWMYLAVPLTLYAGERLVRALRSSIKPVKILKVALYPGNVLALHLSKPQGFRYHSGQYMFVKCAAVSPFEWHPFSITSAPGDNHLSVHIRIVGDWTRQLKTVFSQVCQPPPSGKSGLLRADHMQGDDNLIFPKVLIDGPYGAPAQDYKNYEVVLLVGLGIGATPMISILKDVVNNIKAMEEEGEDMGALENGAPSRISKVGSGSSQLGLHNFKTKRAYFYWVTREQGSFDWFKGAMNEVAELDHNRVIEFHNYCTSVYEEGDARSALIHMLQSLSHAKNGVDIVSGTRVKSHFAKPNWREVYKRIALDHANATVGVFYCGLPALANELRQLSHDFSHKTTTQFDFHKENF >ONI07394 pep chromosome:Prunus_persica_NCBIv2:G5:11878968:11883712:-1 gene:PRUPE_5G117200 transcript:ONI07394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPSKRRRKDQSKDDANDMSATSSSTLEKLSPVVVFAHGAGAPSSSDWMIRWKDMLGKALHAVEVVTFDYPYISGGKRRAPPKAEKLVDFHADVVGKAVAKYPGHPLILAGKSMGSRVSCMVACKEGIRASAILCLGYPLKGINGAVRDEILLQLSVPIMLVQGSKDALCPLEKLEVTRKKMKCPSGLHVIDGGDHSFKIGKKHLQTTGLTQDEAEDLALQALASFLSGSLGESLHFHCRCCCCCCCCYGQKFHP >ONI07395 pep chromosome:Prunus_persica_NCBIv2:G5:11879610:11883712:-1 gene:PRUPE_5G117200 transcript:ONI07395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPSKRRRKDQSKDDANDMSATSSSTLEKLSPVVVFAHGAGAPSSSDWMIRWKDMLGKALHAVEVVTFDYPYISGGKRRAPPKAEKLVDFHADVVGKAVAKYPGHPLILAGKSMGSRVSCMVACKEGIRASAILCLGYPLKGINGAVRDEILLQLSVPIMLVQGSKDALCPLEKLEVTRKKMKCPSGLHVIDGGDHSFKIGKKHLQTTGLTQDEAEDLALQALASFLSGSLGERCDFLFEWPDLVRNLATLGTYTNQ >ONI07396 pep chromosome:Prunus_persica_NCBIv2:G5:11880198:11883712:-1 gene:PRUPE_5G117200 transcript:ONI07396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPSKRRRKDQSKDDANDMSATSSSTLEKLSPVVVFAHGAGAPSSSDWMIRWKDMLGKALHAVEVVTFDYPYISGGKRRAPPKAEKLVDFHADVVGKAVAKYPGHPLILAGKSMGSRVSCMVACKEGIRASAILCLGYPLKGINGAVRDEILLQLSVPIMLVQGSKDALCPLEKLEVTRKKMKCPSGLHVIDGGDHSFKIGKKHLQTTGLTQDEAEDLALQALASFLSGSLGER >ONI05753 pep chromosome:Prunus_persica_NCBIv2:G5:2547358:2549133:1 gene:PRUPE_5G022800 transcript:ONI05753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKVLVVGGTGYMGRRIVKASLAQGHPTYVLQRPEIGLDIDKLQMLLAFKKQGAYLVEGSFSDFQSLVDAVKLVDVVICTMSGVHFRSHNILLQLKLVKAIKEAGNIKRFFPSEFGLDPARYFAGNLSQMGTLLPPREKVLIYGDGNSKVTIVDEDDLATYTIKTIDDPRTLNKTLYFRPPENVLTQKQLVDMWENLIGKKLEHITISQQDFLASMKGMDYAGQVGVGHFYHIFYEGALTNFEIGNEGEEASKLYPEVKYTRMNEYLKIYA >ONI05752 pep chromosome:Prunus_persica_NCBIv2:G5:2547358:2549133:1 gene:PRUPE_5G022800 transcript:ONI05752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKVLVVGGTGYMGRRIVKASLAQGHPTYVLQRPEIGLDIDKLQMLLAFKKQGAYLVEGSFSDFQSLVDAVKLVDVVICTMSGVHFRSHNILLQLKLVKAIKEAGNIKRFFPSEFGLDPARMGHALEPGRVTFDEKMVVRKAIQDAKIPFTYVCGAGFAGYFAGNLSQMGTLLPPREKVLIYGDGNSKVTIVDEDDLATYTIKTIDDPRTLNKTLYFRPPENVLTQKQLVDMWENLIGKKLEHITISQQDFLASMKGMDYAGQVGVGHFYHIFYEGALTNFEIGNEGEEASKLYPEVKYTRMNEYLKIYA >ONI08393 pep chromosome:Prunus_persica_NCBIv2:G5:14889989:14892496:1 gene:PRUPE_5G175100 transcript:ONI08393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVKAKVWPKKGIRTQKQKLFSTTLICHSSLSLSLSLSLCVSNSTNMNIFLLFLLSSLSPFKVTSAHTGTNPFTPKASLNRYWDNHISNNLPKPNFLFSKASPLDRVNSAILTQLAAHNSLPAHFASFCSLANLYCSFDSPSAQTHQQDLVARHFKDANFAAYSNKNFANYGSSQLGDGDSFKNYSDGLNSPYDSFKKYSKDANSHSEKFTFYAHEANVANASFTSYGADSAGGSGQFTSYDDQVNVPNLRFAAYDSDANNHRLSFIGYSHDTNSGSETFVSYGKTGNANPTEFSSYAEDANIIGSGFTGYGESGTGQNDSFKGYGQSANNPHNNFKSYGGGGTSGIDGFSNYRSGANVGDDSFQSYARNSNSGKVSFSNYGKSFNPGNDSFKEYGTGSKGRTSVGFKSYALGRSFKEYAKNGVAFAEYSSLSKEGTEATKTESSGSLVNKWVEPGKFFRESMLKQGNVVVMPDIRDKMPERSFLPRGILSKLPFSTPGMSELREIFHARDNSAMEHVLTNALGECERAPSPGETKRCVGSIEDMIDFATSVLGHNVVVRTTENVWGSKQKVMIGMISGINGGNVTKSVSCHQTLYPYLLYYCHSVPKVRVYEADIVDVESKSKINHGVAICHIDTSSWSPEHGAFVVLGSSPGKIEVCHWIFENDMAWAIAD >ONI07268 pep chromosome:Prunus_persica_NCBIv2:G5:11501794:11507019:-1 gene:PRUPE_5G110500 transcript:ONI07268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNCSSGALPSAQDSTASDPRHDRPSNGGVRVLPPNAPAPPKPQPHPPTTTAASASPPIGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHKHNKQQFACKSIATRKLINHDDVEDVRREVQIMHHLTGHRNIVELKGAYEDKHSVNLVMELCAGGELFDRIIAKGHYSERAAANLCRQIVTVVHYCHSMGVMHRDLKPENFLLLSKDEDSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRRRYGAEADIWSAGVILYILLSGVPPFWGENEQGIFDAILRGHIDFSSDPWPSISSSAKDLVKKMLRADTKERLAAVEVLNHPWMREDGDASDKPLDIAVLSRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITFEELKAGLPKLGTKLSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRMEREDHLYKAFEYFDKDKSGYITVEELEQALKKYNMGDEKTIKEIIVEVDTDLDGRINYDEFAAMMRKGDPELVTNRRRK >ONI07620 pep chromosome:Prunus_persica_NCBIv2:G5:12660396:12663252:1 gene:PRUPE_5G131300 transcript:ONI07620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAATFSLVTQVLGLTLCLLSLCCSAFTPQDYSDALEKSILFFEGQRSGKLPANQRATWRANSGLSDGSSYHVDLVGGYYDAGDNVKFGLPMAFTTTLLAWSVIEFGDSMHNQIENAKDAIRWSTDYLLKAATSTPGALYVQVADPNADHQCWERPEDMDTPRNVYKVSTQNPGSDVAAETAAALAAASIVFKDSDPSYSGKLLHTAMKVFDFADRYRGSYSDSIGSVVCPFYCSYSGYHDELLWGASWIHRASQNSSYLAYIKSNGHILGADDDGFSFSWDDKRPGTKVLLSKNFLEKNNEEFQLYKAHSDNYICSLLPGTSNFQAQYTPGGLLYKASESNLQYVTSTTLLLLTYAKYLRTNGGVATCGSSKVTAETLISEAKKQVDYILGNNPAKISYMVGFGKKYPLHIHHRGSSLPSVHEHPERISCNNGFQYLNSGSPNPNVLVGAIVGGPDSKDSFSDDRNNYQQSEPATYINAPIVGALAFFSANTNPN >ONI06287 pep chromosome:Prunus_persica_NCBIv2:G5:5482712:5486083:1 gene:PRUPE_5G051000 transcript:ONI06287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTLRSSTSFINLNDHKSLKASDESSGTICYAQIKPTCRLRAKSSMQETQLLQEKTLMTAGTDGRREMLHALPNIAHSSNGSKVPVYVMLPLDTVSHGGHLNKPRAMNASLMALKNAGVEGVMVDAWWGLVEKDGPSKYNWEGYAELVQMVQKHGLKIQVVMSFHQCGGNVGDSCSIPLPPWVLEEVSMNPDLVYTDKSGRRNPEYISLGCDSLPVLGGRTPIQVYTDYMRSFHDRFRDYLGNVIVEIQVGMGPCGELRYPAYPESNGTWRFPGIGEFQCYDKYMSASLEASAEALGKRDWGRSGPHDAGQYNQFPEDTGFFKRDGTWNTEYGQFFLEWYSGKLLRHGDRILTAAKGVFQGSGAKLSGKIAGIHWHYGSRSHAAELTAGYYNTRHRDGYLPTARMFSKNGVVLNFTCMEMKDREQPAHANCSPEGLVRQVKMATKSAGIDLAGENALERYDTGAFEQVLATSRSDSGNALSAFTYLRMNKRLFEADNWRNMVEFVRGMGDGGRNRSLSECDSTGSNLFVRFIKEKNVKKTKETVLV >ONI07397 pep chromosome:Prunus_persica_NCBIv2:G5:11887469:11890583:-1 gene:PRUPE_5G117300 transcript:ONI07397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTKIESYFVFMNYDPQYERLRANRTKRGTNELDLYLSRKHDQLLARTLEPGSYKKTLSLVIVDGFAVEITDDQASVLRSAKEVRLVEKNQELA >ONI09274 pep chromosome:Prunus_persica_NCBIv2:G5:17518981:17520664:-1 gene:PRUPE_5G228200 transcript:ONI09274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTAVSYLMALNSSQASLRLRPSSFPLQIPPHAKATSRNLILKFPRARTRATLDGKEQSATTTPLLVQEPQPNREVEESVKVLKNAAKTRRVVAEEVLSALSVIEKAKLDPSGFLDTLGGSKSPGRTWMLIFTAEKELKGGRYFPITAVQRFDAAGKRIENGVYFGPIGCLTFEGRFSWKKRILAFIFECIRIKVGPLKALEISLGQKDDREPSTKDPFFIWFYIDEELAVGRGKSGGTAFWCRCRRVIS >ONI06051 pep chromosome:Prunus_persica_NCBIv2:G5:4168703:4171201:-1 gene:PRUPE_5G036900 transcript:ONI06051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRIQSHNSSMPLVSRLDHLDFIMKYLERKQKFAKLGSNGSSGAKLKGQCLPMDLALKETYFKGSLLDRVAALEHRLFQLCLEMDSSSSSSCTSTQASGNTYWSHGSKGESSCSFPTFTSNPYQGHNKRISQVHATRLEIEEQPDGEHPDQKPPTTCSAQTQLIKKKKKNQTSKDENPCPNGKKKTSSNWPYLKLLGC >ONI07378 pep chromosome:Prunus_persica_NCBIv2:G5:11832309:11834000:1 gene:PRUPE_5G116000 transcript:ONI07378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGAYTSSGKEHLSSNPRRRSQQEFDSHPAIPMNQKKSQEHKAHQYKVMKVGEAYNASGKYHLLPSNPRRRSLQEFDSRPTPNQKKDQQHDENQSKALVLNKSRPVWDCGSSLYDSVELDSFKRQIDSAISCRTMSMPHLSDRRVLPPAPPPSRPAITASNKKPSSNKLSRSIQKLLRSVFKPNKSSNSNNKNNNSGVVFRVPDHPQANIKDGFFVVYDKTGALTTIPEVAPHEFNFGGLSPEIGALVGKTTSERFTATSTSNIGISCA >ONI05813 pep chromosome:Prunus_persica_NCBIv2:G5:2825088:2828394:1 gene:PRUPE_5G025200 transcript:ONI05813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVVSFLLDRLSSVIEEEVRLLSGTRAEMEDIVEELERIKAFLRVADAKEDSDPQLKVWVKQVRDVAYQIEDALDIFRLSHSCYHRPGCHASLHELSCIINKLKARRRIATDIQGIKSKVRSLSEGHQNYKLDVDPGSSKVQKHHYSQGDALLLEEADLVAIGEPKRQLIKLLMQEGGDARRQAVAVVGMGGLGKTTLAKQVYKDARVKKNFKVHAWITVSQSFKIKKLLRHIVEKIFKVIRKPVPEEVDRMDTNQLRERIKKLLQHSKYLIVLDDLWHIDVWDVINHALPNNKGSRVMITTRNASVASASCMNNHSMVYHKEPLSPEDSWTLLCRKTFQEESCPPNLEDICRCILSKCGGLPLAIVAIGAVLAMKDKKNIEDWAAVCGSIGAEIEENDQLDNMKRLLYLSFSDLPYHLKSCFLYLSIFPDLYKFEYMRLIRLWIAEGFVIEKEGKTPEEVAESYLKELLDRSLIEAAEIATDGRVKSCRIHDLLREIVVLKSREQNFAAIQKAQGTMWPEKVRRLSIFNTLQNVQQKRTPSKLRSLLIFGVEDSLTEFSIPKLFPRGLPLLTVLDLEGAPLETFPKEVVNLLLLRYLSLRGTKVKQIPSSIKKLQNLETFDLKHSHVVELPAEILNLKRLRHLLVYRYEVESYARFNSRYGVKVPAGICGLQSLQKLCFVEANQDNGALVAELGRMNQLRKLGIFKLRQEDGVTLCSSIEKMRNLRSLSVSSVEKDKIIDLTHISCPPQFLQRLYLTGRLENLPHWISSLPNVVRLFLKWSRLKEDPLVHLQGLPNLVHLELLQVYEGDCLHFKAGGFPSLKLLGIDKLDELKLVSMDKGAMPCLEKLIIQRCRLLKKVSGIEHLQDLKLLEFFDMPNELIKRFRPDGGEDHWKVAHIPEVYSSYWNVGGWDVYSLEITDGESTSQQGTTSAMRRLEPNILWKA >ONI07994 pep chromosome:Prunus_persica_NCBIv2:G5:13740114:13742121:1 gene:PRUPE_5G152200 transcript:ONI07994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFITLLLLWSLLLFLLYFLKTIRKSHTKLPKSPLINSHGPPSYPIIGCLISFYKNQHNLLDWYTSLLSNSPSQTIVVHRIGARRTIVTANPSNVEHVLKTNFTNFPKGKPLTEFLGDLLGCGIFNVDGDLWSTQRKLASHEFSTKSLREFVVKTLEEEVEHRLIPLLEEAAECKSVLDLQEILRRFAFDTVCKVSLGSDPFCLDLTRPLPPLVEAFDCASEISARRATAPLYLMWKVKRALNIGSENKLKEAVKLVHGSVHEIIQNKKKILQKDEGCESHDMLSRLLLAGHGEEVVRDMVISFILAGRDTTSAAMTWLFWLLSKHPSINHQIVNEVENVLGQNTSTEKLGFEDLQKMRFLRACLCESMRLYPPVPWDSKHAEVDTVLPDGTWVGKGDRVTYFPYGMGRMEELWGKDRFEFNPNRWFDIEPGCDDGGVLKSISPYKFPVFQAGPRVCLGKEMAFIQMKYVIASVLRRFEIKQVCEKDHPVFVPLLTAHMAGGLKVLVRKRN >ONI08342 pep chromosome:Prunus_persica_NCBIv2:G5:14698112:14704598:-1 gene:PRUPE_5G172600 transcript:ONI08342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPFRGIKNDLKGRLLCYKQDWTGGLRAGIRILAPTTYIFFASAIPVISFGEQLERNTNGALTAVQTLASTSLCGVIHSIFGGQPLLILGVAEPTVLMYTFMFNFAKDRKDLGQELFLAWTGWVCVWTALLLFLLAVLGACSIINRFTRIAGELFGLLIAMLFMQQAIRGLVEEFSVPKRENPNKIALQPAWRFGNGMFALVLSFGLLLTALRSRKARSWRYGAGWLRGFIADYGVPFMVVVWTAVSYIPVNDVPRGIPRRLFSPNPWSPGAYSNWTVVKEMMNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLNKPASYHYDLLLLGFLVILCGLLGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLALTARNSIRKNSSLSQLYQSMQEAYNQMQTPLVYQRPPALGLKELKESTVQLASSAGYIDAPVDETVFDVDKDIDDLLPIEVKEQRLSNLLQALMVGGCVAAMPVLKKIPTSVLWGYFAFMAIESLPGNQFWERILFLFTAPSRRYKVLEKYHGTFLETVPFKTIATFTLFQTAYLLMCFGLTWIPIAGVLFPLLIMLLVPVRQYLLPKFFKRAHLQDLDAAEYEEAPTIAFNMSFEDQDLQSRITNIDGGEILDEIITRSRGEIRHTQSPKASSLTPTSLEGIKPAHSPRTSQRVYSPHLSELRGERSPRQGLERTQTPSPGSSILGQTTPGSSS >ONI08737 pep chromosome:Prunus_persica_NCBIv2:G5:15983319:15987344:1 gene:PRUPE_5G198000 transcript:ONI08737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKELNLNRDCEAGDSGGGGGGADGFIDRSRVRILLCDNNEYSSEEVFTLLVKCSYQVISVRSPRQVIDALNAEGPDIDLILAEVDLPMNKGMKMLKYITRDRELRRIPVIMMSAKDEVSTVVKCLKLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNILNHVDPVISDPSGSNTNSTTLFSDDTDDKSANPEIGMSAHREDKSAAFVELPPKNISEFRPDVPGISDRQTGKFLSGPKKSELKIGESSAFFTYVKSSKLTINSQVVAHVEDITAEHLRMEEKHQACGLQVVDNPQVHGNGEAWESFSQGDDLPSSNTAYPFICLGRVNQVMMSSSAHVYQKNLHDMQNHATTAIMPQYNHLPHCPPHVNGMASFPYYPVSICLQPGQMPNTHSWPSFGNSSSTEVNLNKVDRREAALIKFRQKRKERCFDKKIRYVNRKRLAERRPRVRGQFVRKLNGVNVDLNGEPASVDDDDEEDEKDDEELVSRDSSPEDGAS >ONI07095 pep chromosome:Prunus_persica_NCBIv2:G5:10794276:10796611:-1 gene:PRUPE_5G099800 transcript:ONI07095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESITSTSLLGHRPLYTGAFIKDVSNKRRSSDNCRFPMAEILGRRITMAPPLPKLRVDRSKNSSIKALAMELAKETYSFKEDRIPKKWDYPTDTSVDRKPGLWPPENKADNPSLHNPLLRQERMGSGWLVAIFEWEGVLIEDNTDLEKQAWLALSQEEGKSPPPAFMLRRIEGMKNEQAISEVLCWSRDPAQMRRMATRKEDIYQALQGGIYRLRAGSREFVNFLMHYNIPMALVSTRPRKTLEAAIGNIGIEGYFNVMVAAEDVQRGKPDPEMFEYAAQLLKFIPERCIVFGNSNQTVEAAHDARMKCVAVASKHPVYELAAADLVVRRLDELSVVDLKNLAVIESTEFGSGEPELEMEEEDDPSPSTRVTFDDNFR >ONI07262 pep chromosome:Prunus_persica_NCBIv2:G5:11468742:11470990:-1 gene:PRUPE_5G110000 transcript:ONI07262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSLLKYWRGGGVVANPVPNSTDTASSANMRAPSTSTTTTTILTAVAQHRDETDDDDSDGDDGPFFDLEFAVPDEDEAQPQTHKPSGQSMDETDVEHQEDDDDDSSEEDDEESDDGVDGEREFNFTVSSGSSHDRTDDPTLTLSPSDDLFFKGKLVPIEPSSIEFNPSEEPNSKPQFAVSLLKSATKFRVFMLGLKKSKSNANGSEKTEPAVGSVEPPQKTQEKQQQKQQEPQQQKQKQQGKLFTVKFKVEEVPIVSLFTRDNSSRISTTNKSQSQTQTQTQQKPISAAEESASEEKRFSKEVMQKYLKMVKPLYVRVSKRYGEKLRLSGQLSLSGSTAPAPVAGCEKSQSGTEASEPPATASIAKSCHKQGNFPAGLRVVCKHLGKSRSASSAVAAAPSGAVVSQRRDDSLLQQQDGIQSAILHCKRSFNASRDSDSTLLSRSVSDPSNEKSVELSRKSSDHGKGVGL >ONI06369 pep chromosome:Prunus_persica_NCBIv2:G5:6098834:6099976:1 gene:PRUPE_5G056500 transcript:ONI06369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNRGQYLYVDFLFILAICSSQASSRQIYDEKTILSLNEFADLTNEEFREIRNGYMKRSSKLIMSNSTKATMDWREKGAVTPIKDQGKCGCCWVFSAAAATEGVNQLKTGNLISLSEQELVDCDTTGQDHGCEGERLTTEANCPYQGVNGTSCNTQKAASQTVSINGYENVPKNNKNAMLQAVANQPISVAIDTSGCTFQFYSSGVFTGTCGINLDHGILGARWAESGYVTMQMGIPAKEGLCGIAIEASYPTA >ONI09504 pep chromosome:Prunus_persica_NCBIv2:G5:18195911:18200676:1 gene:PRUPE_5G241700 transcript:ONI09504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPALKRSDTIAETMPDALRQSRYHMKKCFARFVAMGKRLMKMQHVMEELEKSIEDKHERSKVLEGLLGYIISSTQEAAVVPPYVAFAVRPNPGFWEFVKVNADDLAVDGITASEYLKFKEMIFDDNWANDENALEIDFGGIDFATPRMKLPSSIGNGLNFILKLISSRLATANSSDYAKPLLDYLSQLHYHGENLMINETLNTVAKLQTALIQAEVVVSTLPKDTPFPNFEHRLKELGFEKGWGDTAERVGETMKMLSEVLQAPDSAKLECFFSRLPNTFNIVIFSPHGYFGQSDVLGLPDTGGQVVYILDQVRALEEELLLRIKQQGLAVKPQILVVTRLIPDARGTKCNQELEAIINTKHSHILRVPFRTHRGILRQWLSRFDIYPYLETFAQDATAKILERMECKPDLIIGNYSDGNLVASLTAGKLGITQGTIAHALEKTKYEDSDAKWKEFDPKYHFSCQFTADIISMNSADFVITSTFQEIAGGKDRPGQYESHTAFTMPGLYRVVSGIDVFDPKFNIAAPGADQSVYFPCSEKQRRFTKFHPAIEELLYNKAENDEHIGYLADKKKPIIFSMARLDTVKNLSGLVEWFGKNKRLRSLVNLVIVGGFFDPSKSKDREEIAEIKKVHALVQEYRLTGQFRWIAAQTDRYRNGELYRCIADTRGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPNNGDESSNKIADFFEKCKTDGEYWNKMSAAGLQRIYECYTWKIYANKVLNMGSTYGFWRQLRKEQKLANQTYIHMFYSLLFRNLARNVGVPSDGFEQPTAKAITAAGQPTPVAPPTSPIPQLITPTPRERDEGLEEKQKQQQLGEPRSPTARCILNCCCVIIGFLILVYYKIRNMYNYN >ONI08270 pep chromosome:Prunus_persica_NCBIv2:G5:14504125:14505005:-1 gene:PRUPE_5G168400 transcript:ONI08270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSLSISVYELTTRGLVGKAMNSRKRRHGCLDKKFGSEMREFSLLFGRRRKFMDGGGGSMVVGRR >ONI05408 pep chromosome:Prunus_persica_NCBIv2:G5:691146:695176:1 gene:PRUPE_5G006000 transcript:ONI05408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVENVADLPPLDPAVVLIGTAKGGNVGPPSGLVDIGVSEAAYLFRVALPGIRKNECRVKCDIQRDGKVHIEGIMTGVGLLRNSSTVYHSRVQQLCPPGEFSISFRLPGPVDPRLFSPYFRHDGILEVVVMKGRAPLP >ONI06063 pep chromosome:Prunus_persica_NCBIv2:G5:4226089:4226753:1 gene:PRUPE_5G037800 transcript:ONI06063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNIFYMQVCRRTPHTGTYYYAITKFAVNHVQLIYAAGWKDIKMQVSRGQINACLHFLRRKTIVAKPSSQRISIYRAYSVAVRYRSGTKKSNDSVPEERTVPDRRRRCYKTVPDRREQTSNQGHMRESATADEPTFDSWSLEDIGF >ONI09525 pep chromosome:Prunus_persica_NCBIv2:G5:18248412:18249171:-1 gene:PRUPE_5G242800 transcript:ONI09525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGGAKVLQLSRASRMRTKLQTALEASVLEIEDVSHQHAGHAAMRANANNKDGETHFNLKVVSPKFEGQSLVKRHRMVYDALADELQSGLHALSIVAKTPQEIGPK >ONI09464 pep chromosome:Prunus_persica_NCBIv2:G5:18089770:18096887:1 gene:PRUPE_5G239800 transcript:ONI09464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKDEQPSESEAAAAAKTSLFPVLPVSQQITSVPHWLSNTSFTTQLSVINDAVISHFKPDPLPSPPPPQEHEEEEVPSQAKPYEMLESSSGSDRSDERDRTTKKKKHKKRKNKRRRERSVERGRGAFADYGSRKSSVRAWADSETKPSKDYFLDSHGDRDNLVFGCLYRMDVARYKPFAEVSGSDFQGLYRWNQTGSTLDRDADVDALDGKLKSAGRYWSAKYMALERHKNLKRARILVPRDLPVTVSGDFIPLTDSQSSNEGVDGDDSLSRTSVVEESWEDEVLRKTREFNKLTREQPHDEKVWLAFAEFQDRVADMQPQKGARLQTLEKKISILEKAAELNPDNEDLLLSLLKAYQSRDSSDVLISRWERILIQHSGSYKLWREFLRVFQGEFSRFKVSDMRKMYAHAIQALSAACRKHFRQVCQTEDRPPDLATVQLELGLVDIFISFCRFEWQAGYQELATALFQAEIEFSLFCPSLLLTEQSKQILFEHFWNSDGARVGEEGALGWSTWLEKEEENRQRVIREETAHDNEGGWTGWSEPLTKNKENSLKTEKESESNVVVEECQEEFEEEDVKKEEDTEALLKMLGIDVDVGTSGEIKDTSTWIKWSEEELSRDCVQWMPVHARAAISHNVGTPDGEADEHLSRVIMFEDVNEYLFSLSSSEARLSLVLQFIDFFGGKTSPWISTNSSTWAEKVLSFEALPDYILQTLRRVHNFLSKTQGSSSNFSLESLLGTSNDIYRRTDLMKFLRNATLLCLSVFPRNFVLEDAALVAEELSVMNSNPSSCSVTPCRDLAKFLLKSDRQDVLLCGVYARREAFHGNIDHARRVFDMALSSIEGLPLELRSNASLLYFWYAETELGNNNGSGCESSFRAMHILFCLGSGVTYSPYKSQPSNLQLLRARQGFKERIRTVQMAWVRGVIDDQSVALICSAALFEELTSGWAAGIEVLDQAFSMVLPERKSRSYQLEFMFNFYMKMLWRHRGESSLSNCWESILQGLQIFPFSPELLNDLIEVGHLYTTPNKLRWVFDDCCQKKPSVVVWLFALSFEMSKGGSQHRIRGLFERALASDRFHNSVVLWRCYIAYEMKVACNPSAARRNFFRAIHACPWSKKLWLDGFLKLNSTLSAKELSDLQEVMRDKELNLRTDIYEILLQDELVL >ONI07579 pep chromosome:Prunus_persica_NCBIv2:G5:12496458:12498921:1 gene:PRUPE_5G128700 transcript:ONI07579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTINLTWVSSTIPISLLPRELITASKTCSTIFHRASSPSTSRPLIVKCTRSTSGESGSLKDALSGIVGEQVEELLKREENRDLLDGLEKASQRVEMAKRELAEIEKQELEAKRVRDYINQLESRASEIAECQKEILEAKAMVEEAERALSQDGDQLGDGYGFSETGNGEIDKDKERWQSIKAASISALVGTVAGLPFSFTQVSSSSELILPLAITFVSCALFGVTFRYAVRRDLDDVHLKTGAPAAFGVVKGLATLDGGQPLQLNAGSFLLHAFHGALYVSESLFVFLSAAIALDYCFKARLLSPFPVKNPVSGSNSR >ONI06159 pep chromosome:Prunus_persica_NCBIv2:G5:4870252:4870879:1 gene:PRUPE_5G044300 transcript:ONI06159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCSSAALLAMVALTLLTTTHGINNNSSGGRDTWCDGLSDPSCLIANSDLDGMEFLMDSEISRRILAGHPSVTRPALDPSKAASCDRRGFPPCHPGSNRNPPAPNCDQTRYNRECYRN >ONI08889 pep chromosome:Prunus_persica_NCBIv2:G5:16483080:16489275:-1 gene:PRUPE_5G206500 transcript:ONI08889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLADDNPDLQKQIGCMNGIFQIFDRHHVLTGRRISHHRRPPPGNSHFRNGGLEREYNNAYHRQTVAEMNLNKSINEKQRISTESSRASFSSTCSSLSSVDYNKTAQPGTSSFDRIIFPETPPRDPVTQSSTSPKLGRQSFDLRDVVKDSMHREVRGLSVKTATKEEAAGRAVKHRDSPRPLQLSKSVEGSNGVGINGKQNVPADLKESLRVLAKLREAPWYDDDARDHPRSSYESKDGSWHTISKDAPRFSYDGRERNRLSLDSRDTSKSTPKLKELPRLSLDSREGSMRSYHSDSKTHHPSKGFQNSGNSNDRDPNLPQSSGTHNRPPSVVAKLMGLETLPDSALTSDSHLIKTCPVKDFDPFSKSLKTNNLQRPMKISNTTRNSMKDPTSPRWKNPDLVMRPISSSRFPIEPAPWRMQDGSRGSQKPSSKPVKVQVRTPDSFPSVYSEIEKRLKDLEFKQSGKDLRALKQILEAMQAKGLLETKKEEQASNFGTQKDNESKYTSSSQNSRSVNQRNTSNHVISSTTRGSASSRTFESPIVIMKPAKLVEKSGIPTSSLISIDGLSDAQTLQRGGIIDNKRGSTSSRTVKDQYPKNSRKDSAVSSTDKKATGRNIRSTQSVPKEITVTNSVKSSGSVSPRLQQKKLELGKPSRPPTPPSDSKKSRRQSSRQLTESGSPGGKLRSKSSNLQQSDDQLSEISNESRTLSFQGDDLDMEITSNVRATEINDSQSPSLKAAKYLASSSMQQISTPRLEEDGSVAELATVAPEHPSPVSVLDVSAYRDDAPSPVKQMPNAHQGESAEDSNHGEGEEQWNPADKLDSMGAGLSSEINRKKLKNIENLVQKLRRLNSNHDEARTDYIASLCENTNPDHRYISEILLASGLLLRDLGSSLTTFQLHPSGHPINPELFYVLEQTKASSLLAKEECIPEKVTHANQGREKFHRKLIFDAVNEILVDKLDLVGIPPEPWLKPNKLAKKTLNAQKLLKELSCEIEQLQTNKLECSSEDEDDGLKSILCEDVMHRSESWTVFHGDLSGVVLDVERLIFKDLVDEIVVGEAASLRAKPARRRRQLFAK >ONI07880 pep chromosome:Prunus_persica_NCBIv2:G5:13335368:13337655:1 gene:PRUPE_5G144800 transcript:ONI07880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPETDPMAVSSQLLSSLVEQIPFIQNFKGKWALIRAKLSELQAQLTDFADFPTYTSHPLSLHLLLSVSQTLADAVSLSQKCQTPNLSAGKLRTQSDVDSILARLHRHVTDAEILIKSGVLLDPAVSSVSSSASKRETVRAECRNLVTRLQIGSGESRNSAMESLLGILQEDDKNVMIAVAQGIVPVLVRLLDSSSFETKENAVFAISIISMVESSKHVLIAEGLSLLNHLMRVLDSGSGFGKEKACLALQALSFSKENARAIGSGGGVSSLLDICQAGTPGSQASAAGVLRNLAGFSENQENFVEENGVGVLLALASSGTALAQENAIGCLCHLLSGSESLKLLVVKEGGIECLRNFWDSCWNNNTRGLEVAVELLRHLASCSPIAEVLVSNGFVARLVGVLSCGILGVRIAAAKAAYELGFCSKTRKEMGECGCIAPLIKMLDGKAVEEKEAAAKALSTLILYAGNRKLFKKHEGGIVSSVQLLDPSIQNLDKKYPVALLASLAHSKKCRKQMVAAGACLHLQKLVDMEVEGSKKLLESLGRGKIWGVFSRS >ONI08645 pep chromosome:Prunus_persica_NCBIv2:G5:15682787:15684031:-1 gene:PRUPE_5G191200 transcript:ONI08645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDQDHQLHHHHHHHYQQQQHHHRPNFPFQLLEKKELDQEAASCSNSTSPYPSLAITTVDPATAITTTTTTSTLQASAEPSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYYSPNFSVHQNQRRSLFQGIGLSSSDSSSTLLNFQTNSMHASMLQAKQELRDTVSLDLSETASGEGSMGGRKRRPPEQDLNQMGGGGGGGGGGYLLQSSTGAVPASHHHSQIPANFWMVANSNNQVMSGDPIWTFPASVNNSGLYRGTMPGGLHFMNFPAPMTLLPSQQQLGGSGGGGGGNDGDNMSDGQLNMLAGLNPYRHMSSTGVSESQQASGSHSHHGGDDRHDSTSHHS >ONI06034 pep chromosome:Prunus_persica_NCBIv2:G5:4064075:4066335:1 gene:PRUPE_5G035900 transcript:ONI06034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAVTLQMCFNFTRVLKVMAPPAATPKIPTSTTLVARHPQLLTRRLMSSNSNLDMAEADKISTSPISFSSWYSFGDPCLDLFFHVKSRDQDHIGNDDEDDDIKQERQKQTSHYNHHRLNYLKQLLPVAWSHNPLTTLKLIFNLHSGISSKGRDYPEAFHTAVFWLHQNHPKTLLCNLPAFAGWKWGFNDLLDILYGLLEQGQDATAGERLHRDPDYKLLHDQIMDLLAERWKSDVEKFKQHKLELKSFQDWDQLDEADHLLVSGTAVVCSLPDHHTSSILLRESIARRLFPPESDQSEEWERLTDALEPFLNYYMHRASLWNHTLERSEVKKCLEEVKAAAAAGGNLGGGIIKPDALLPNEIIKYAEDEDFGEAANLQWKAMVEDMYLKQQQKKGEEGLGKFTNCLAVCNITEDTAKLQRELGVSLGLLVSELNEDPAWKGKVISFGDLPDGQPQPQPLLHSIQGDDLKSKCEFMMRTCRMKSNESVDYRKVCDLILEVVENENLKAEQMIKKVFVLTDFTGFFSCTSKTLHEANQSKLEELEASGYDARPLPHILLWNISDWKYPHSEEHHPGVTLMSGVNDNLIKSFMDNGGEIGPRQVMEAAIADKEFQTFSVVD >ONI08347 pep chromosome:Prunus_persica_NCBIv2:G5:14734689:14738932:1 gene:PRUPE_5G172900 transcript:ONI08347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPRTPETLEAQNPSGKTLEEGSGLLEWSENCTGLESFSDILGGSGERVNVTGNDVGLGTVEVAAQVLEAEKGTLDGIKGGDLELGVDSMSGVLNSCVKGVGAEEVVLVNGESDGDNEAQMGSKQLQPDKEKDVQMGSVEPQSDGSLEGLGGDESKKDGDQKMAEDDGMNEDKALGPNGIDTASKKIEVSGEGISLFVDFSGPPPGFNENDLHVTSFPGYESKENLEEFGDDEQENSIDYQDYDFAVGDIIWVKTKTQTWWPGKIYDPVDASKYGASDEQGGCQLVGYFGMSHVAWCHPYQLKPFYEYFEQMSGQNKARIFLGAVEKALEEFGRRVKLNMTCMCVLKENRLSVGGAASDEGVPMLERKSGELGEFTITHFNSAEFLAHLKNLAQVVSSVGMLDFTVTRNQLSAFYRSIGHSQLPMHLLQETNYARDVSHYSLMAASNADIQVGHEDTELGEVFLKSTPLTTLQKRSKVKVLHQETNEGNAVLVNCFDGDNGADKGFISGLKSRKRKMKRDSEVEHESEAFDVGNGDGANEGMIEKGMESRERKKSRYLSYPYINWEQKGLLAEMDDGKVLKATHEGVAANTDAGQSSGLPSNFKCSGEKFWRKWYRRLTGVSSISGNSNLKDASSANLLSEVYSAAVDCLYPNENKTFDSVGWFFSRFRISAFHDESICETYGNSMAGQDEDKDAKPCLLGSGQNEAKCEPKKKKKANLKHPEGKDSASLPCLDQITTTAKKEKKRGRANLGKLKTKSLSGLSDVNISISADNFLGQDSLDISPLMPCGKPKQKKSKMEERASPVCLQTKQTTGIPDLNGNNLVPSLLVDDRQAIGHAASEGKVELEKGLGNETASEPSKPNIIAGFVDTNGNNVKPGTLVVDLRISPQALSCLDSKQVTGLLSAESRPVQKKRKRKEKAEAKHPADGIPDLNGNSAECNSLGKEFQESNGLAPPIKPERKKRRRKGEATTIQRKLDMNHGQAQITEKALGTALLLTFSPGVPMPSKDDLISTFCRFGPLKESETQLLKDPGSAQVVFMENADAGEAFRSLEKNNPFGANLDSYKLFHLPSVSRVLETGWSLPTSLSSPTMPGKAPRLDFIRQNLQMMTSMLETSGDNLSPEMRANLECEIKSLLQKVSSMTGSSSS >ONI08686 pep chromosome:Prunus_persica_NCBIv2:G5:15797961:15799087:1 gene:PRUPE_5G193900 transcript:ONI08686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYGPFDWQCEWTLPQVQDSFLDAAVPFMDQSCLNLDPLYSSLDIQPIRSAFQGDEIVLGNGDGVCNDLFCELMEPSNNQQKQIHQPPLLMCKNEENGSAANELEEETKVISKRSHLSTRLRSSNSTSLNSSKMLSRETISNYFYMPITQAAKELNVGLTLLKKRCRELGIRRWPHRKLASLQTLIRNIQELGKEGEESEEKLRNAIQLLERERKLLEEVPDMQLEDNTKRLRQACFKANYKKRKIMGMNNMDQSQSSFICSNNEIHEYASIMDDGYEEDEEIKSLLSDSFSSSNSNNICLF >ONI06638 pep chromosome:Prunus_persica_NCBIv2:G5:8683111:8685970:1 gene:PRUPE_5G071900 transcript:ONI06638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCDVDGNLNQENFSAPMPWIGIYVAAASLACLIAMAADVVHGFRHWKLWFPSKFFSINATSLTLIGVAVKLSVDLNTAMPSRQDQLAKLSSSVLICTVMGNSMPSLGSMENKEIMMNIIAFGILVITLIVNICIQLATGAIFVFFKEHAFIMFIMLILLIMMNFSALTIPVSKRYLEHKYNKRYQLALKEGINEIDKRVASKLKEHLMKHWMMAHTSSPQFVMGRSATCTASGAICLLSTMILAEAMLRTYLMPWSFTFCSGESDYKWSTTLVLATQTIAVAVGTIGPASRWFIAINFRCAKRGNATYKGEFIVEKYWTQRLRELKEYPLSLIRIKNRHCRKLAHETRNKFLDLCIGMQTGNVIMSKAIRLISIFLVSRILLCCDFCKQWKKFKFNTVFNDSGPESQPNQKLDLSCYVLHLEGEDALVEHMMRSNCDATDHWFQRGKKREPKYIVKLLEKSTFSQGFKGVLDFDSEKVPCLDLEEPPNSWALPVVTLTSIALALPNISSCSIKELICGVHEGIMYINFIENFLDSKEDVTNIRKTADMVWLGVDLYHTWLDVDLRKLSLHGKSSKEILEGLAETAKFIFEESKKKQMITNVCLRETPSKWPVKELAANSMYRISETLLLNYEGSLNRTGERLFEALTVMISDILAACLTNIKQVIKRKCLNSTIEEREESVRHAVYILGKTENILNILDQRIPPSMDPHQISSIDEWRLLHKMESPLAFPSSSPSEGDTASSVSSDFYVIIE >ONI06994 pep chromosome:Prunus_persica_NCBIv2:G5:10376653:10378375:1 gene:PRUPE_5G093800 transcript:ONI06994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRRSLSTSLLTSLIKPDRTLSASEYSLLSIKRDASLASTRCRRKVRFSGKSEDQISDSYPQDDKTSLFTCPGGTNYKVVFCP >ONI08048 pep chromosome:Prunus_persica_NCBIv2:G5:13893575:13894923:-1 gene:PRUPE_5G155400 transcript:ONI08048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGFFTRIGKSWSQLEKGLNDGVSKSKVAGIGLFIAFVGLQIHQGVGLVGPDPSTLVTIAACANTNPQTVGDTNYNYFKKVVDFHKIQSTAGAISLSNFNRFEVWVDLATLLYVDDVLATTGALYSMAEMGGFVNDAGGFEDPSLVMVGAMMMKEAVPAFVTMLLMPLTYSIANAIIGGIGLYLSLNLYDYLVVRLKWLAKMRRMVVKEHNQVSATAAVVSANEII >ONI05815 pep chromosome:Prunus_persica_NCBIv2:G5:2840144:2844024:1 gene:PRUPE_5G025300 transcript:ONI05815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAVTFLLNKISPFFENRVQLLRGVREELVYLKGELERMKAFLRDADVMEESDDELKVWVKQVRDVAHDAEDLLDEFAVLQAHNNHGYELYFPFNRLSSTVKNLKAQYRVAWQLRSINTQIQHIFAAYKRLLPKLNAAKGSMFTNSGDTWHDRRGDALLLDNTDVVGIDKPKQKLVSWLVKGGSGREVVSVTGMGGIGKTTLVKKVYDDVKVKKHFKPHAWITVSQSFQAEDLLKDIIHKLFYAIRRPVPEGVDDKNSNELKAIIKNFLQKRKYLIVLDDVWHTNEWETVKYVLPTGNFGSRVMVTTRKADVAFTSCSESKCKVYHLKPLPADKSWNLFTRKAFQGKPCPPYLYEKCKCILKKCEGLPLAIVAISGVLATKDTRRIDEWDFICHSLGAEIHGNDKLEDLKKVLSLSFNDLPYYLKACFLYLSIFPEGYLIQRMRLIRLWIAEGFVEAIQGKTLEEVAEDYLKELLNRNLILVGNTTSDGRVKTYRIHDLLREIIISKSRDQNFAAIVKEQSAIWPDRVRRLSIHNSLQTVQAKSDMLSFKSGGFKKLKVLGLDKFDNLRCVKVEEGAMPCLEKLTIQRCKSMKRVPSGVKHLSKLKLLEFFEMPSELILKLRPNGGEDYGEVKHVPDVYSACWRDGGWDVYSIESFKEIKNATLQAGTVRRCHELRPLWKV >ONI05814 pep chromosome:Prunus_persica_NCBIv2:G5:2840144:2844024:1 gene:PRUPE_5G025300 transcript:ONI05814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAVTFLLNKISPFFENRVQLLRGVREELVYLKGELERMKAFLRDADVMEESDDELKVWVKQVRDVAHDAEDLLDEFAVLQAHNNHGYELYFPFNRLSSTVKNLKAQYRVAWQLRSINTQIQHIFAAYKRLLPKLNAAKGSMFTNSGDTWHDRRGDALLLDNTDVVGIDKPKQKLVSWLVKGGSGREVVSVTGMGGIGKTTLVKKVYDDVKVKKHFKPHAWITVSQSFQAEDLLKDIIHKLFYAIRRPVPEGVDDKNSNELKAIIKNFLQKRKYLIVLDDVWHTNEWETVKYVLPTGNFGSRVMVTTRKADVAFTSCSESKCKVYHLKPLPADKSWNLFTRKAFQGKPCPPYLYEKCKCILKKCEGLPLAIVAISGVLATKDTRRIDEWDFICHSLGAEIHGNDKLEDLKKVLSLSFNDLPYYLKACFLYLSIFPEGYLIQRMRLIRLWIAEGFVEAIQGKTLEEVAEDYLKELLNRNLILVGNTTSDGRVKTYRIHDLLREIIISKSRDQNFAAIVKEQSAIWPDRVRRLSIHNSLQTVQAKRSVPQLRSLFLFGVVARPSIQKYFPSGLRLLKVLDLEAAPLKMFPREILDLFYLSYLSLRKTQVKFIPRGIGNLQNLLTLDLKKTNVTELPLEILKLEKLCHLLVYRLKIESYAHFYSKSGFKALSSLGDLQSLQKLCFIEANDHGCGMTMRELGKLKNLRRLGIMKLRKQDGLALCLSLEHLTKLRAFSVKSTRENEILDLQHLSSPPQFLERLYLTGRLEELPNWIPSLNSLVKLFLKWSWLKDDPLVCLQGLPNLVHLELLHACDSDMLSFKSGGFKKLKVLGLDKFDNLRCVKVEEGAMPCLEKLTIQRCKSMKRVPSGVKHLSKLKLLEFFEMPSELILKLRPNGGEDYGEVKHVPDVYSACWRDGGWDVYSIESFKEIKNATLQAGTVRRCHELRPLWKV >ONI05947 pep chromosome:Prunus_persica_NCBIv2:G5:3534261:3535000:1 gene:PRUPE_5G030800 transcript:ONI05947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFHVTMMISVKQSLEIGFKLGIKRLLSCLKYEHTTKTRCGSSFISSSFVLYISFWSSEFKFIMAPNL >ONI07258 pep chromosome:Prunus_persica_NCBIv2:G5:11451483:11458041:1 gene:PRUPE_5G109600 transcript:ONI07258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEINFLEIVSFSRRDYLQTPNASKAKVSSTKPNQLQKQRTFVFQLFYRKRKGNMAWKTSDAESLRSSDEQKRKKKIKLAIYITIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVESFVSDSAAPSFDTKFTTQIKIKNSANWGSYKFNAANITFQHQGATLAVIDIAKGKAGWLSTIKRNAEVSLNSNAITGSNFESELRSGVLTLNSVGRLNGKVAIMFIMKKKKAANMNCTIALDKIKLAIYITIFVVFQIIVITTMSLTVMKVKTPRFRLGNINVESFVSDSAAPSFDTKFTTQIKIKNSANWGSYKFNAANITFQHQGATLAVIDIAKGKAGWLSTIKRNAEVSLNSNAITGSNFESELRSGVLTLNSVGRLNGKVAIMFIMKKKKAANMNCTIAFDVAAKNVKSLHCK >ONI06174 pep chromosome:Prunus_persica_NCBIv2:G5:4955071:4956509:-1 gene:PRUPE_5G045400 transcript:ONI06174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPQGTGANIDELASVIPISDGSVRTALDTGCGVASWGAYLLKRNVLAMSFTPRDNHEAQLQFALERGVPAVIGVLGSIRLPYPSRAFDIAVFNSMDCK >ONI09220 pep chromosome:Prunus_persica_NCBIv2:G5:17398157:17398477:-1 gene:PRUPE_5G224800 transcript:ONI09220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YISSQGRKSKWCEKATEFLCSACLLCISCPLAVVWCCIKVPCKVGWHAAQHARHCACGSEKRVYASYSSFSDIDLDILPGKAHSTCSTTLKAPWQHKKSAANKTLN >ONI08952 pep chromosome:Prunus_persica_NCBIv2:G5:16678595:16680394:1 gene:PRUPE_5G209400 transcript:ONI08952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQERNTGLDQVAHESLNFTEEILSARSFSRQLAEQMTLAKAYVIIAKEHNNLHLAWELSSKIRNCQLLLSKAAMRGEPVTLEEAEPIIKSLSYLIFRAEDAHYDIATTIMTMKSHIQALEERANAATVQSTVFGQLAAEALPKSLHCLNVKLTADWLRKPSLQELADEKRNSPKLMDNNLYHFCIFSDNALATSVVVNSTVSNADHPKQLVFHIVTNGINYGTMQAWFLNVEEFSWFNASYAPIVKQLLSADSQAYYIGGYQDNVAPKLRSPKYQSLLSHLRFYIPEIYPQLEKVVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNTIISSKFDPQACGWAFANVTARYHYWQEQNADGTLWRVGTLPPGLLTFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVVHFNGFMKPWLKLAIERYRPLWERYVNRSHPYLQDCVTS >ONI09419 pep chromosome:Prunus_persica_NCBIv2:G5:17944812:17945732:-1 gene:PRUPE_5G237200 transcript:ONI09419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPKFIALKSFTSGKYLVYKDQATEKMQDILQCSGEDVQSKYARFKVEKDVNLPSLVHIKSTYNDKYWITASQDSSWIVAGADEKQPNKTLWSCTLFKPEVVKPPGPYTEGIYKFHHEKMGNLLKPKSGNEFENALIAEPGGPTHEHFFIVEKLPG >ONI06278 pep chromosome:Prunus_persica_NCBIv2:G5:5379728:5383056:1 gene:PRUPE_5G050500 transcript:ONI06278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATHKFVCQDDLVNPLSSMGMQVACILVISHFFHILLKALGQPGPIAQILAGLVLGPSGLSNITEIKEFFFQASAAEYYEMFGFFCRILFMFLFGLEMDFAYVRRNLRVVCIVAYGGAFLASLFGLTASFFIYKHLLAENAKLNASFVFCTMLLVSYTSSPVVNRLAAELRFATSDIGRLATSSAMVVELTCLLVFNLIIAFTRLTALRDGFFILLGLVPMLFAFKYLAVWLNKRNRKQKYLRNPEVFLILSILIAGSMLIEMAMFNSVIACFVAGLAFPKEGKTARTLLHKLTYSVHNFVLPVYFGYIGFQFDASHLKSLTNILIIAILVLLSLGSKISGTLAVCHFLKIPLNEGVFLGFVLNLKGHADLLFVGSASKALITWNPAAYNLLLITVVINTVISGPVVALLIRREEKLFTHTHTSLGFEPENPDQNELRLLACVYGPRHISAILSVIATIRGTQTASIMPYMAHLIELNQKRRTNVSYHELEAEEMSDEEDYGGNDVLEIHAAVDAFTAETQILINLNKAVSTLSNLHEEVCNAAEDLRATIILLPFHKHQRIDGKMESGKDAVRTTNQKILRHAPSSVGMIVEKGLAGALGFSQLFTVDIMQHVATLFFGGPDDREAIAWSTRIANHPRVNLTVVRFLATESSNTRNMKVENETGGNSDIEVYMALSSLETGNDIDNAFLNDFYNGYVASGKVGYVEKQVNNGAETVAALRDIGDLYSLFIVGRGGRGHSPLTTELSDWEECPELGTVGDLLASSDFNINGSILVVQQHRNSKKELVDD >ONI08288 pep chromosome:Prunus_persica_NCBIv2:G5:14549940:14552868:-1 gene:PRUPE_5G169600 transcript:ONI08288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQPEESVRTKMESLRMACEKEIPILQQNMDTFTASFQGDLASIRATVQETLRYQGKVGEAKAKLREAEDELFKALAVKTRKEAKRMALMDAIAARKARIEEIKRTVQDRRDTRDEYAAILSQQSTGVKFTFKNICSKNPNQEFSFTVRHANDTYTLLDCDPHLNEIKELIRELNRTNGLFKFVRDMRLKFQEAAAQGLTTVLPQDSSSISGSAPAFSVSTERSESVAKIGELQIQYGEDNSQFKKPNHGKGSKYALLSPRSASSVRRSPRFKVKK >ONI08289 pep chromosome:Prunus_persica_NCBIv2:G5:14550615:14552830:-1 gene:PRUPE_5G169600 transcript:ONI08289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQPEESVRTKMESLRMACEKEIPILQQNMDTFTASFQGDLASIRATVQETLRYQGKVGEAKAKLREAEDELFKALAVKTRKEAKRMALMDAIAARKARIEEIKRTVQDRRDTRDEYAAILSQQSTESEEEFNQDSKDEIQEAISWYNRILGFHIEGGHGVKFTFKNICSKNPNQEFSFTVRHANDTYTLLDCDPHLNEIKELIRELNRTNGLFKFVRDMRLKFQEAAAQGLTTVLPQDSSSISGSAPAFSVSTERSESVAKIGELQIQYGEDNSQFKKPNHGKGSKYALLSPRSASSVRRSPRFKVKK >ONI05988 pep chromosome:Prunus_persica_NCBIv2:G5:3725602:3726746:-1 gene:PRUPE_5G032700 transcript:ONI05988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPVRSGPVRRDVKPEPEPVGTGSANKDGGATAIIRELGKLVQLRRLGIVLGKEDLKVLCSSIEKLSKPCALSITSVEEDGIIDLQHLSSSPLLLQRLYLQGRLETLPHCIPSLHSIVMLCLKWSRLKDDPLVFLQYLPNLVHRIRNNTDITKANNSQELQLMGILNTKLRLAIFLNWQPLDTRLSIQMPSYQQTVG >ONI08478 pep chromosome:Prunus_persica_NCBIv2:G5:15132155:15135271:1 gene:PRUPE_5G180200 transcript:ONI08478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKENYRIELRTAIRQLSDRCLYAASKWAAEQLVGIEQDPAKFTPANTRFQRGSSSIRRRFRTNEITSTPAAGVSYVSTPVMEEDDIVDGDFYLLAKSYFDCREYRRAAHALRDQNGKKSVFLRCYALYLAGEKRKEEEMIELEGPLGKSDVVNGELVSLERELSTLRKNGTIDPFGLYLYGLVLKDKGSKSLARTVLVESVNNYPWNWSAWSELQSLCTTVDILTTLNLNNHWMKDFFLGSAYQELRKHNESLAKYEYLQGNFAFSNYIQAQIAKAQYSLREFEQVEAIFEDLLRNDPYRVEDMDMYSNVLYAKECFSALSYLAHRVFITDKYRPESCCIIGNYYSLKGQHEKSVVYFRRALKLNRNYLSAWTLMGHEYVEMKNTPAAVEAYRHAVDINPCDYRAWYGLGQAYEMMSMPFYALHYFKKSVFLQQNDSRLWIAMAKCYETDQLHMIEEAIKCYQRAVNCNDREAIALHQLAKLHFELERSDEAAYYYKKDLERMEAEDREGPNMVETLLFLAQYYRDQKRFEEAEVYCTRLLDYTGPEKETAKSLLRGMRMEQSGGPSMDVEHFPP >ONI07342 pep chromosome:Prunus_persica_NCBIv2:G5:11747916:11750216:1 gene:PRUPE_5G114300 transcript:ONI07342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGRGKAVCGQEALDLLNCVTQSPFDQEKCVRLLNSLRECVLNKKVKKFSLADQEQKDAKPIDKKA >ONI09065 pep chromosome:Prunus_persica_NCBIv2:G5:16947756:16950042:-1 gene:PRUPE_5G215600 transcript:ONI09065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYFSFPFIVWVFTVQDSSFLFCFSFFCVPVFFSDKLVTSQPEQSQAIYSLFLLAMKNFSTIATQYIYVLFFLHCCCCTMFVLVLVQAADPSSSEAPMHKAEQQALYSAVQAFVGSWWNGSDLYPDPCGWTPIQGVYCDLYNDFWYVSVINIGPLYDNSLRCTTNIAEFSHHLFNLKHLKVLSFFSCFLSPSQNPITISTSNWEKLANSLESLEFRSNPGLIGTVPNTFGYLRNLQSLVLLENGLGGNLPEEIGTLVNLRRLVLAGNQFSGKIPESFGGLSKLLILDASRNKLSGSLPFTFGSLTSLLKLDLSNNMLEGKLPREIGRLKNLTLLDLGSNKISGGLAQSLEELVSVKEMVISNNPMGGGLKSIEWQNLQSLEILDLSNTCLTGNIHKSMAEMKRLRFLGLNSNNLSGRVSPRLAALPCIGALYLYGNNFTGELEFSESFYRRMGRRFGAWNNPKLCYRAEVNSTGHVPYGVKSCKQETTISGNVLSNAKFSEANWDHSIQFVASLGFSSCNLDGILWVIIVQGMLFILFL >ONI07517 pep chromosome:Prunus_persica_NCBIv2:G5:12299019:12303759:1 gene:PRUPE_5G125000 transcript:ONI07517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPEEESQTQAIPPKSKNIVDDREAALMEFDLDLDAFWPLDPIHFPSNPTSPPLFSSTDQPCSPLWGFPDGDADNDDKLAGQVDQALSDRPQFSSCIPDPEREIPRENEDRRILTSPFLGLEPVENPNAYCLIKEKITQALRQLKELTDQHVLAQVWAPVKNGGRYVLTTSGQPFVLDPHTNGLHQYRMASLMYMFSVDGESDGMLGLPGRVFQQKLPEWTPNVQYYSIKEYPRLGHAQHYNVQGTLALPVFEPSGRSCVGVLELIMTSPKINYASEVDKVCKALEAVSLKSSEILDHTSMQIQICNEGRQTALTEILEILTVVCETHKLPLAQTWVPCMHRNVLAYGGGLKKSCTSFDGSCMARVCMSTTDAAFYIVDAPMWHFRDACVEHHLQKGQGVAGRAFLSRNACFCRDITQFCKTDYPLVHYARMFKLTSCFAICLQSTHTGNDDYILEFFLPPSITDSYEQQTLLGSLLAIIKNHFQSLKVASGIILEEEGLVEMVQASTNKGLDSRLECIRISRSSETPPGPALPNGEEMVQLDSSKPQLMVDFDPANDGSNAVNEGGENNISCPENKDIKKKSEKKRGKAEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRHHGISRWPSRKIKKVNHSLSKLQRVIESVQGAEGAFGLTSLTASPRSGAVGSISRPYILNGSNQQSSPSSKPSELLGEKKDSPTPTTPGKEGQAWIDNQLLDGGILSQEELLLEHGRFLLDDGKGSNTSKTGSSSREASAGTPTSHGSCQGSPANGNALVNNPFVPSIHDQCIKVNGFPESAVRPPKEPNLLVACSIPDAVLMTDPEEPCRGMLIEDAGSSKDLKNLCPQVDAMVDEQVPEAACTNPPCSDSALNPSNTMPQIIARQEMKSVTIKATYKEDIIRFRISMSSGIVELKDEVAKRLKLEMGTFDIKYMDDDLEWVLVACDADLQECMEICRSLGRKMIRLSVHDIMPNFGSSCGSM >ONI08497 pep chromosome:Prunus_persica_NCBIv2:G5:15192289:15193484:1 gene:PRUPE_5G181700 transcript:ONI08497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYDIPTYSSGIFAVMKCKSTLERKELVLKQVKVAKLAIIEAIVNAVFSAVQTGTVPPELVARAASASLASEMAQEELDWVTEYEQELRVNVSQFEILPVVTAEDMQILLYLKRMQNWAGSVYIDRSSEENKGVILRMAAGAGINVYEGPLNLGPFGAP >ONI07174 pep chromosome:Prunus_persica_NCBIv2:G5:11103629:11104167:-1 gene:PRUPE_5G104300 transcript:ONI07174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGYTELLLPKLFIHTLSLLGLIRKLISTFFSLLGLQDFIQPDNAWADPPAPFPEFHSASAVLIREILPVVKFSDLVDPPESCAVCLYEFESEDEIRRLTNCRHIFHKGCVDRWVGYDQKTCPLCRTPFISDDMQGDFNERLWAASGIPDFYDHFYPYTDNGLDL >ONI06947 pep chromosome:Prunus_persica_NCBIv2:G5:10161578:10163817:1 gene:PRUPE_5G091100 transcript:ONI06947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGIAPSSGRKQYPGKLTARVLITCIVAATGGLIFGYDLGVSGGVTSMDSFLKQFFPAVYQKESSIKPSDDQYCKFDSQTLTLFTSSLYLAALVACIFASTITRVCGRRLTMILGGVLFLAGALVNAFANAVWMLYVGRLLLGFGIGCANQSVPIYVSETAPSKYRGALNMMFQLSITIGILAAGVLNYFFAEIKGGGGWRLSLGGAAVPAIIIIVGALFLPDTPNSLVERGRHEEAKAQLLKLRGVPNVDEEFNDLVAASEASKLVKHPWVSLLSRKYRPQLVFAIGIPAFQQLTGMNVITFYAPVLFKTMGFGSKASLMSAVITNLVNALATFVSILTVDKVGRRKLFLQGGCQMLLMQVAVGIAMAVKFGVSGNPGKLTLGFAVPLVLLICVYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQAINVSVNMIFTFAIAQVFTAMLCHMKFGLFFFFSVCVVVMSIFIYKLLPETKGVPIEEMHTVWENHPYWRKYVVKDEGIAMGKGKGGQSA >ONI07547 pep chromosome:Prunus_persica_NCBIv2:G5:12404195:12406427:1 gene:PRUPE_5G126800 transcript:ONI07547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAQWPQGIVVKPIEEIVTNTCPKPAAAAAVNNLERKARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSSSSAAANSSTTTSSTSSASKRLPDLIQPQNPKINQGQDLNLTFPATNQDFRNIISDHLVHHHQQHHQLPNNNNMENSNKQNHINISASSPSSTTTTTTSHLSALELLTGLTSRGLNSFMPMPVPDPNNNNNNNTVYSTTSGFPMQDFKPTLNFSLDGLGSGYGSLQGVQESSGRLLFPFEDLKQVSSTGGGIEQNKEHGDSTGYWTGMLGGGSW >ONI06742 pep chromosome:Prunus_persica_NCBIv2:G5:9204660:9208195:1 gene:PRUPE_5G078300 transcript:ONI06742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWFKTSTTNDESWLLLYTLSAIFASIWCAWLCMKKSRNKISPLPPGPLGMPLLGNLLSLDPELNSYFACLAHTYGPIFKLHLGAMTCVVLNSPSSAREVLKDSDVTFANRDVPVAARIAFYGGADVLWSPHGPEWRMLRKVCVLKMLGGAALDSFQSIRQNQVRKMVGYLYGRAGSPVNVGEQIFLTSLNVISNMICGGCIAAVDGEERAGLGAEFRKVVSEMTGLIGRPNVSDFFPGLGRFDLQGIKKQMEGLVRRFDGIFEQMIDQRLRMEEEGAKESQDFLTFLLKLKEEGGDSKTPLTMTHIKALLMDMMLGGTETTADTVEFAFAETMNKLAVMGKAKQELDDVVGKGNIVQETHISKLPYLQAVMKETLRLHPVAPLLIPHCPSETCTVGGYTIPKGSRVLVNAWAIHRDPSNWEDPLDFDPDRFLHGKWDYSGRDFNYLPFGSGRRICVGTGMAERMVVYTLATLLHSFDWKLPQGEELDLSEKFGIVMKKKIPLVLIPTPRLSDPALYE >ONI06517 pep chromosome:Prunus_persica_NCBIv2:G5:8060713:8062305:-1 gene:PRUPE_5G065900 transcript:ONI06517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYCFLKPLGEGSFGFVWKASHKVTGEIVAIKQLKNEVSRLTSWEEFLQMAEVESLSQLKNHPNIVNLKQAFVGFDGTASLVFEFMEGNLLQFMQLHKKRFNEAEIRNVCHQILLGLDHMHKNGWCHRDLKPENLLVKQGVVKIGDLGSAKKIQPGIPFKDYVTTRWYRAPEVLLGSRFYDSKVDMWAVGVILAEMFNLRPLLPGKNKEEQLFWICSVLGSPTMKSWPEGQILAGQVEYQFPQFSGFGLSFCIPSASESAIQLITSLLSWDPAKRPTAAEALKHPFFVGSHRIPRAIPHAIPERHHHIFPDIGGISTNVLKTRM >ONI05340 pep chromosome:Prunus_persica_NCBIv2:G5:377713:384289:-1 gene:PRUPE_5G002300 transcript:ONI05340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVGGQVAAPIFIHQTLSGRFCDVPAMARKRDLPYQGSNYQHPHSQQPRFTTAGNNWNPNVWDWDNVRFVAKPLDAEMLHLGSSRTEQGKKEEASGAVKNTAEDEDDESLQLNLAGGLTSVEEPMPRPNKRVRSGSPGNGSYPMCQVDNCKEDLSNAKDYHRRHKVCEIHSKATKAPVAKQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLTLPGDGDTKSIGNLDIVNLLAAIARPQGKNDVRNINCSSVLDREQLLQILSKINSLPLPADLAAKLPNLGSLNRKAVELLALDLQNKLNGRTSASTVDLLTVLSATLAASSPEALAMLSQKSSQSSDSEKTKLTCSDQAAGPNLHKIPTQEFNSAGGERSSTSYQSPMEDSDCQVQETRVNLPLQLFSSSPENDSPPKLASSRKYFSSDSSNPTEDRSPSSSPPVVQTLFPMKSMAETVKSEKLSISKEVNANPDSSRTRGCNMPFDLFRGSNRGADASSIQSFPHQAGYTSSGSDHSPSSLNSDPQDRTGRILFKLFDKDPSHLPGSLRAQIYNWLSNSPSEMESYIRPGCVVLSVYVSMSSAAWEQFEGNLGQRVSSLVQSSDSDFWRSGRFLVHTGRQLASHKDGKIRICKAWRSCSSPELISVSPLAVVGGQETSLVLRGRNLTNLGTRIHCTYLGGYTSKEATGSTYHGTMYDEINLGSFQVHDASPGVLGRCFIEVENGFKGNGFPVIIADATICRELRLLESVFDAEAKACDVISEDENRDYGRPTSREEVLHFLNELGWLFQRKRICSMLQEPRCSLSRFKFLLTFTVEKDCCVLVKTLLDILFERNLDGDGLSGESLGMLSDIQLLNRAVKRRCRKMVDLLVNYSVISSDKRYIFPPNLAGPGGMTPLHLAACMSNTDDMIDALTNDPQEIGLNCWNSLLDANGQSPYAYSLMRNNYSYNKLVARKLADRRNSQVTVTIGNEIEQPQMTMELEHRTSTRFRQGSGSCAKCAMAASKYRRRVPGAQGLLQRPFIHSMLAIAAVCVCVCLFLRGSPDIGLVAPFKWENLDFGTI >ONI08222 pep chromosome:Prunus_persica_NCBIv2:G5:14373623:14375722:1 gene:PRUPE_5G165600 transcript:ONI08222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTAFPISPAKPLTAITTIPQFPHNPKTLILQQCKTTRDLNQVHAHLIKTRLLLNPTITENLLESAAILLPNAMDYALSIFHNLDEPDTLVYNIMIRSLTYKLSPLEAFLLFKKMQESSAEPDEFTLSSILKACSKLRALREGEQIHAHIVKCGFKSNGFVENTLIHMYATCGELEVARRVFDGLPERARMAWNSMLAGYMKNKCWDEVVKLFHEMLKLGVGFDEVTLTSVLTACGRLANLELGEWIGDYIEANRLKGNIALVTSLVDMYAKCGQVETARRFFDRMDRRDVVAWSAMISGYSQANRCREALDLFHDMQKANVDPNEVTMVSVLYSCAVLGALKTGKWVEFYIKKEKLKLTVNLGTALIDFYAKCGCIDSSIEVFNRMPSTNVFSWTALIQGLASNGQGKGALEYFQLMQEKNIKPNNVTFIAVLSACSHAGLVNEGRNLFTSMIKDFGIEPRIEHYGSMVDILGRAGLIEEAYQFIKNMPIQPNAVVWRTLLASCRAHKNVEIGEESLKHIISLETPHSGDYILLSNIYASVDRREDAIRVRDQMREKGIEKAPGCSLIELDGVIYEFFAEDKACPHLEEVYNATHDMMKRIKEAGYVPYTTDARLDAEEDEKEASVSHHSEKLAIAFGLIRTLPGTTLRISKNLRVCTDCHNATKMISKVFNRQIVVRDWNRFHHFKEGSCSCNDYW >ONI06126 pep chromosome:Prunus_persica_NCBIv2:G5:4680411:4685290:1 gene:PRUPE_5G042300 transcript:ONI06126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLEVSKQGKFKEDEDACTLDGTVDMHGQPAIRAKSGRWVAGIIILVNQGLATLAFFGVGVNLVLYLTRVLQQDNATAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQLIFVTGLISLSLSSQLFLLKPEGCGSQEDPCGTHSKLHVWLFYLSIYLIALGNGGYQPNIATFGADQFDEEDNKEGHSKVAFFSYFYLAMNLGSLFSNTILGYFEDEGVWAIGFWASTASAFAALVLFLGGTARYRHFKPNGNPLSRFCQVLVAAMKKCRAEMPQGGDDLYDEAKKESSNSNRKILYTHGFKFLDRAAYISSRDLDHQKQGICSPWRLCPISQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGAAMKTTVSNFKIPPASMSSFDILSVAVFIFFYRRILDPVVARIRKSDAKGLTELQRMGIGLVIAVLAMLSAGIVECYRLKYADKECPHCEGSSSLSIFWQIPQYACIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYFSSFLVSVVMKISTEDDMPGWIPGNLNKGHLDRFYFLLAVLTSIDLIVYIASAKWYKSIKFEGRFEANDDEQGNFKV >ONI05731 pep chromosome:Prunus_persica_NCBIv2:G5:2400543:2402414:-1 gene:PRUPE_5G021800 transcript:ONI05731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHSKGRFKACTEDRISGLPNEILCHILSFLGTDVAVKTSLLSHRWKNVWTKVPTITLWDFDYRNSFYRDRFGEFVDHVLLSRGSSDIHTFQLHCSEFEDDSRFDAWICPAVERNVVELEFSVLCYLCEESGMDWEDWLYEFPRSLYMCKTLVVLKLRLLSVITITPTLDCFPSLKVLHLTICHTDTDSMGKPISCCPVLEDLIIVGSLQEFSYLEFNISALKLNSLLIYLEPFGRDKYNIFVNINAPNVENLESWMKFWQPIL >ONI05304 pep chromosome:Prunus_persica_NCBIv2:G5:202891:203448:1 gene:PRUPE_5G000600 transcript:ONI05304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITPKSSSSALGRLCRKLSPRKTKAGHHDVEGPIENPTTCSSISSNSDLQMWKVFDFFDENGDGKISPAELQTCVRSVGGELSEEEAEAAVEASDLDGDGLLGFEEFQKLMEATNEDNNNQQLRDAFGMYEMEGSGCITPASLKRMLSRLGDSRSIDDCKAMIRAFDLNGDGALSFNEFTIMMR >ONI09154 pep chromosome:Prunus_persica_NCBIv2:G5:17208791:17211134:1 gene:PRUPE_5G221000 transcript:ONI09154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEKKAANAVGGNTARACDSCLIKRARWFCAADDAFLCQRCDGSVHSANQLASRHERVKLQAASSKSNQSTLLAADQSPPAWHKGFTRKARTPRHNNKPPFMAKDDKEKVSLNPPLPFVPEIGCEEANYMDDDEENEDLEQLLYRVPIYDPFAAELCNMSSHEVGNSTSAINLDDEVTEMGRGNHHEVGDDDEENNNNNYGGNELDNLPGFLPSDMELAEFAADVENLIGGGLDDEDSGDIKGVLGLLDDSKLEGNGGVDVCMKNVDINEDRKAVKVEEEAEGMEFNPSMLDWNSDYELRLSSSPLPMGGEEEKVVFLMGARSSDEVALMDNKQMMMKRKICLRLDYEAIITAWANQGSPWTTGTRPELNPDDGWLDCMGMRGSDQIHQPHGHGESARCVGGRGDDRGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTSSSNFVGSSSSNSSKTAAAAFPYLIHQ >ONI07297 pep chromosome:Prunus_persica_NCBIv2:G5:11590069:11594668:1 gene:PRUPE_5G111800 transcript:ONI07297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGESGEGGAEKEENLGEKLRRRRGKSRSGPSTPVVSSWRLCPFGHNTIIKDPHLTSNTTTSSVSARKLAAALWEFQNYHYLPLSKMHRAPHNNGGPAAPPPRLRHLQLHHHLSKDKGLDLSDFLADNSPSSPDQPESASSLRRHIAASLMQHHRTIERNKLNNHILQPVSPASYGSSMEVAPYDPAVTPSSSLDFKGRIGGSHYNLKASTELLKVLNRIWSLEEQHTSNVALIKALKAELDHARVKIKELVRVRQADRHELDDLMKQIGEDKLVRKSKEQDRIHAAVQSVRDELEDERKLRKRSESLHRKLARELSELKSSLSNSVKELEGERKSRKLLEDLCDEFAKGIKEYEQEVHFLKQKSDKDWTGRSDRDRLILHVSESWMDERMQMQLEEAECGFSGKNSIVDKLSLEIETFLRAKHMSTLKNTENLLPRDRKNSLESAPLNEAVSAPQDVGGEDDSLGSDSNCFELNKPTNSDFKLHGDEAVDNHIDEKLKLEPSKKKPERVRSRTPSSLQVKFEEQMAWAMSCNENDKSQMVNKEQGKTEEGKPTEISIPQKSEHCEATEDETYRKGNKQDESQVSNSNHMVENRIRSQLLMSDVGHVHSENNYNEASCSNTGWRNQPSPGRQWMARLASPVRDMPESSSKLPLRTRENTLKAKLLEARSRGQRPRSKASKNTS >ONI07136 pep chromosome:Prunus_persica_NCBIv2:G5:10947448:10950170:1 gene:PRUPE_5G102000 transcript:ONI07136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFSRKGPSGFSPSSTAEEVTEGIDGTGLTAIVTGASSGIGAETSRVLALRGVHVVMAIRNMDAGAKVKEAILEEISNAKIDVMELDLSLLASVRKFAADYNSSGLPLNILINNAGVGASPFKLSQDSIELLFATNHLGHFLLTNLLLETMKSTSRESNIEGRIVNVSSLLHPYGYREGIRFNKINDESGYNRYYNYAQSKLANILHANELTRRLKEEGVEITANSLHPGAIGTNITRHDSFLQCIFSVLGIFFSKTIQQGAATTCFAALHPQVKGVGGVYFSDCNIAKPSSKAKDADLATRLWDFSLSLTDAKQ >ONI08156 pep chromosome:Prunus_persica_NCBIv2:G5:14137855:14141905:-1 gene:PRUPE_5G160200 transcript:ONI08156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISQHLTLPPPFPFLHNHSPNSPFSKPCLFVFSKNLRLFSLHAASSSSTPTPTSHSLTPIFLPFLQDGEEKPEDLQELEEEEEEEEEEEDPDDPILRFFKSRSSTQDSQREGKLSLQKNRRSAWRLADDTQLVDESETDSGIEGVLEQQKEQARALNFNSRALSEEIVEEILQKARTLPQNLTLGEVLGGFEGRVGEKESVKVLELMGKEGLLMGCLYFYEWMGLQETSIVTPRACSVLFPMLGRAGMGDKLMILFRNLPAKKEFRDVHVYNAAISGLMCSKRYDDAWEVYEAMEANNTLPDHVTCSIMITVMRKVGRSAKDSWQFFERMNRKGVKWSQEVLGALIKSFCDEGLKSEALIIQVEMEKKGVSSNAIVYNTLMDAFCNSNQVEEAEGLFAEMKSRGIKPTAATFNILMSAYSRKMQTEIVEKLLVEMQDMGLEPNVKSYTCLISAYGRQKKMSDMAADAFLRMKKAGISPTSHSYTALIHAFSVSGWHEKAYIAFENMQKEGLKPSIETYTALLDAFRRAGDAQMLMKIWKLMIKEKIEGTKVTFNTLLDGFAKQGHYTEARDVISEFGNIGLQPTVMTYNMLMNAYARGGQHSKLPQLLKEMAALNLKPDSVTYSTMIYAYVRVRDFKRAFFYHKQMVKSGQMPDARSYEKLRAILDVKAARKNKKDRSAILGIINSKMGLLKIKKKGKKDEFWKNKKKRYVRTDNSQ >ONI08448 pep chromosome:Prunus_persica_NCBIv2:G5:15050808:15052165:-1 gene:PRUPE_5G178400 transcript:ONI08448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRVVLLLGVFLFCLTEVSSDIKIEEQLPHLAQLVVRGGSRRLMQDIDCGGLCKQRCSVHSRPKLCSRACGTCCVRCKCVPPGTSGNRELCGKCYTDMTTHGNKTKCP >ONI05987 pep chromosome:Prunus_persica_NCBIv2:G5:3720410:3723723:1 gene:PRUPE_5G032600 transcript:ONI05987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAPEQAPPADGIQSLSISESPPSSSSSPTIQMSLEEKYQMVRSVAEECIQDDELRNLLAHKPEPICYDGFEPSGRMHIAQGVMKTINVNKMTSAGCRIETVGRYMIEIWKAAGMNLDNGKVEFVWSSEEINARSHEYWPRVMDIARKYTVNRMTRCGQIMGRNEPGDINASQILYPCMQCADIFFLQADICQMGMDQRKVNVLAREYCDDIKKKNKPIILSHHMLPGLKQGQEKMSKSDVSSSIFMEDEEAEVNLKIKKAYCPPNVVEGNPCMEYVRYLILPWFNEFIVERFEKNGGNK >ONI08014 pep chromosome:Prunus_persica_NCBIv2:G5:13796776:13797065:1 gene:PRUPE_5G153300 transcript:ONI08014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLIWQGWQLQSADSPIPSCELGHVVHAKAWAACLLDRRVQREAGFTEQRKPPAHGSGRITGRCSTNPNCLMG >ONI05927 pep chromosome:Prunus_persica_NCBIv2:G5:3457086:3459212:-1 gene:PRUPE_5G029400 transcript:ONI05927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPKEITNKKLQGKVAIVTGGASGIGEGTARKFALHGVRAVVIADVQDEKGQNVAASIGSDRSIYIHCDVTDEDQVKSLIESTVRIYGRLDIMFSNAGVGSAGEQTVLELDLAMYDRVMAVNARGMAASVKHAARAMVEGRVRGSIVCTASVTASVGSAKFADYTMSKHAVLGLMRSASVQLSAYGIRVNCVSPGSVTTPLLRSSFKAGAVAEEEDVGRLVESSLRLKIGKTISVENIADGVVFLASDDSEFVTGHNLVVDGGFISRLT >ONI07977 pep chromosome:Prunus_persica_NCBIv2:G5:13679922:13681699:-1 gene:PRUPE_5G151000 transcript:ONI07977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTLHRKKLKSSRRTTAKSDFFDGLPDDLVVFILCKLSSSASSPSDLINILITCKRLNRLGLHSVVLSKAGPKAFAIRAKNWSDSAHRFLKQCVSAGNIEACYTLGMIRFYSLQNRGSGASLMAKAAMRSHAPALYSLAVVQFNGSGGSKKEKDLRAGVALCARAASLGHVDALRELGHCLQDGYGVKQNVAEGRRLLVQANARELASVLRSATWRSHHYQHLYACLTGLVSCPLLSDFGCNVPAPELHPANRFLKEWFGSGRAISGQGMRLCSHVGCGRPETRPHEFRRCSVCGTVNYCSRGCQALDWKLRHKVKCKPIERWLGVDGELGGLVEGGDGENVAVG >ONI08158 pep chromosome:Prunus_persica_NCBIv2:G5:14143724:14148476:-1 gene:PRUPE_5G160400 transcript:ONI08158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVYENTSVRVLCSKLVLYKNEPGLQWLIGSPFFPPLTVVSTLRCIHTDASSPDYHRESEELRTLLFKGFEVIGALIFANSDAEKNAGEAIAAARRLRKLFCGEREKMDSQQVIGAVVDLNGGDIKFFVSKSKSSKSFECVNVLYEDDPEKYIWERGCLLRCELPLKLPIYYPVNKPSDLEKIFRRATEAVVAKFKDPKIAYMVEALRKTSAEVPQPVILRGVDLDFDTDLSNVKLLDERTQNSDADSLSCSHFCLESKKGSPVYSAVHADRIQVSVLLNRSVNSEKSTAPVAEYFPALEEARVLVVDYKLEVLCYAVKGLPLRHAVSKLIIPGLVDQFNLVGNTVLPNLLAQHPQLHTYHFSPPGVLHPITVVYELNYGETEMKQVEVRKSLHLRLGLPFDRPLLRIANAVDFFARDGVRSDPTRKGSTLLKDVHLGIPSSGVSGGSVSMVQGSYEYYHYLQEAFNDSGWGCAYRSLQTIISWFRIQHYTSVEVPSHREIQQTLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGAELPEKCRELALHFDNQGTPIMIGGGVLAYTLLGIDYNEASGDCAFLILDPHYTGNDEHKKIVNGGWCGWKKAVDSKGKSFFLHDKFYNLLLPQRPDMV >ONI07958 pep chromosome:Prunus_persica_NCBIv2:G5:13625893:13628587:1 gene:PRUPE_5G149800 transcript:ONI07958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSKTRPLLWPPSFSSIFLLFLTLSWTSQQTHLCLAQQQQQPIKTIVVLVMENRSFDHILGWMKKAINPAINGVTGKECNPVSTKNADPKSICFSDDAEFVDPDPGHSFEAVEQQVFGSSSLPSMTGFVEQALSMSPNLSETVMKGFRPESVPVYAALVREFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLASGYPQKTIFDSVHENDMNFGVYFQNIPTTMFYRNMRKLKYISKFHQYDLKFKKDARKGKLPSLTVIEPAYFDLKGMPANDDHPSHDVANGQKLIKEVYETLRASPQWNETLLVITYDEHGGFFDHVKTPYVNVPNPDGNSGPAPYFFKFDRLGVRVPTIMVSPWIKKGTVMRGPQGPTPNSEFEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEQVVGQLTTPRTDCPETLPDVVPLRSTEANEDAGLSEFQGEVVQLAGVLNGDLLEQLP >ONI08884 pep chromosome:Prunus_persica_NCBIv2:G5:16463802:16468515:-1 gene:PRUPE_5G206200 transcript:ONI08884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEASPASAPSAQLVGNAFVEQYYHILHESPQLVHRFYQDSSSLSRTDVNGNMATVTTMQAISQKIQSLNYGDYTAEIKTADSQESYEKGVIVLVTGCLTGKDNVGRKFAQTFFLAPQEKGYYVLNDVFRYIEDNESLQTNNVLVNGINESAPEAILTAEPEPTHAPDHLVVDRATSFEEEDLNNGPEVCDPSDNDEGSVIEEEIVEPPAHSSQNQGLADVDPTPDPAPETQEDVPKKSYASIVMKSNAASSPVRVPTRTVRTISANTDHQSLGFAQPAPAPVASAPSGDAAPESSNAHEEEGHSIHVRNLPYDATVEQLEKEFKKFGPIKRDGIQVRSSKQGFCFGFVEFETLSSMQSALEASPITIGDRPAVIEEKRTTTRVSSGGRGRFSSGRAGFRSDTFRGRGNYGGGRSYGRNEFRNQGEFSGRARGAGRNGEGYQRVNLNGRGGGRQGAANRSSVPA >ONI08400 pep chromosome:Prunus_persica_NCBIv2:G5:14928926:14931268:-1 gene:PRUPE_5G175800 transcript:ONI08400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPHQFYVQNQSSSVLSHTIASMAQKFDGCDRRILTFPAVRPCESISLATLLSSLIPLSRNICNFQSKHFATQRRNSREIIRQVSILLMFFEEIRDCNSVLSHSVILCLSELHLSFQKILFLLEDCTRDGARIWMLTKCEFLASHVRMIIRALATALDVLPLSLIDVDFEIKELVELVLRQSRKAEFEPDPDDKLASKRLLAILSQFEKGIEPDLNGVKRVLDYLQIKSWSECNMEIKFLEEEIAFSESSNCDETEVPFLSSLVGFMSYSRGVIFEALDDQNSANRVDARCSTETHFSCLNPEDFRCPISLELMLDPVTVSTGQTYDRSSIQKWLKAGNKLCPKTGERLTSTELVPNSILRKVIKKFYANNGISLSKSERQSRDISRTAAPGSPAAAEAMKFLSRFLSSRLAFGTNQQKNKAAYEIRLLAKSNIFNRSCLIKAGSVPPLLKLLCSTDASTQENAIAALLKLSKYASGKKLIMARGGLPSVLAVLEHGLSLEARQSAAATIFYLSSVKEYRKVIGETAEAIPALVELIKEGTTCGKKNAVVAIFGLLLLPENHQKVLEAGTVPLLVERLASSENTEQLINDTLAVLAMLAENVEGALAILHTTALHLITAILQTSSSQTAKEYCASTLLSLCINGGVEVVAVLAKDSSLMPRLFSLLTDGTAHASKKARSLIKIMHNFHETSSLRWMSSAAPRAQCIQER >ONI09016 pep chromosome:Prunus_persica_NCBIv2:G5:16813743:16822788:1 gene:PRUPE_5G212200 transcript:ONI09016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVSVGRDRLYAALGRTYTQEEFEEVCFSFGIELDDVTTEKAIIRKEKHLDAEVEEDEEVIYKIEVPANRYDLLCLEGLAQALRVFSREEEIPTYKLVNISKESMIKMHVKPETSSIRPHVVCAVLRGVTFTEASYNSFIDLQDKLHQNICRRRTLVAIGTHDLDTLQAPFTYEALPPSSINFVPLKQVKSFRADELIEFYRSDLKLKKFVHIIENSPVFPVLYDHNRIVLSLPPIINGAHSAINLKTKNVFIECTATDLTKAKIVLNTIVTAFSAYCERKFEIEPVEVIYSDGKSYVYPDLSIYNMDVSLSYVNGTIGVSLEAEEVTDLLNRMQLRAEQSVSSDITVFVPPTRSDILHPCDVMEDVAIAYGYNNIPKRSPASLKPLALNELTDHIKLEIAMTGFTEVLTFILGSWRENFAMLNRKDDKSKAVVVGNPRSSDFELVRTSLMPGVLKTVGHNKDHPKPIKIFEVGDVALLDERKDVGARNQRQLAALFCGASSGFELIHGLVDRVMEAIGAAFVPIGDHTGYFIQPSDEPEFLPGRQASIIYKGKHIGTFGIVHPEVLNNFDIPDPCSFVELNVESLL >ONI07863 pep chromosome:Prunus_persica_NCBIv2:G5:13273690:13277092:-1 gene:PRUPE_5G143500 transcript:ONI07863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSRTWKTMAMAAVVVLQLWFSMEVESSSSSSHHPDHNITRLPGQPHVGFQHFSGYITVDDQKHKALFYYFVEAEINPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPDGEVLVRNEYSWNREANMLYLETPVGVGFSYSKSSSSYVSVDDEATARDNLVFLQRWFNKFPQYKHRDLFLTGESYAGHYIPQLANLMVEINRKEKLFSIKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNMFTSVCNYSRYVSEYYRDSVSPSCSKVMSQVSRETSKFVDKYDVTLDVCISSVLSQSKVISPNQMTERIDVCVEDKIVNYLNRKDVQKALHARLVGVRRWDVCSNILDYQVLNLEIPTISLVGSLVKAGIPVLVYSGDQDSVIPLTGSRTLVYRLARELGLNTTVPYRVWFEGKQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLRLFKSFLEGRPLPEVF >ONI06137 pep chromosome:Prunus_persica_NCBIv2:G5:4716443:4719263:1 gene:PRUPE_5G042800 transcript:ONI06137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCWLIWKKSCEVGLFLAKFSKNKIKTRFRELGTLRKMASSTAGGSSRGNTAKALVSDQISQAILSTSNLLHLMQQSSPSQAQLIRLPKNLLVKTSTIKHTGQLLEQMPRVVSSLDAHMESGLQSVPHLQTVIQLLENMESCQLSSLSQAKVLPEEHEVENQPPKGG >ONI06268 pep chromosome:Prunus_persica_NCBIv2:G5:5291186:5294420:-1 gene:PRUPE_5G050000 transcript:ONI06268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDDERTPVKAVAKVTDTEKKKKKHRAKDDDLDKDFMIKPQSSTPSMDTSQWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPLAEYIRYGVINLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSAVPDVAKVARALEALTGAVFQRPPLISAVKRQLRIRTIYESKLLEFDADKHLVVFWISCEAGTYVRTLCVHLGLLLGVGGHMQELRRVRSGIMGEKDNMVTMHDVMDAQWVYDNHRDESYLRRIIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIAEGKLDKHGKPTESTPQEWTRNVVLPTGGDSIVAGTAAALATEPAPAEKETTLVEEKKEKKKKDKHKDEEESKESRKRKLEERAESPVPVPAKKSKGEEVDKSEKKKKKKKDKENGVLEASDVEKSEKKVKKEQKDKVEAGSPETEKSEKKKKKKNKEAEENGAATPAGADDLKGDGEADKSEKKKKKKKKDKADAEED >ONI07149 pep chromosome:Prunus_persica_NCBIv2:G5:10975048:10976266:1 gene:PRUPE_5G102700 transcript:ONI07149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGVGIYMLVRRMFLSLVSYTLIPPGSLDVLALKLAPSMCWNLPAALFNDPADLLYVASIFPAKG >ONI09365 pep chromosome:Prunus_persica_NCBIv2:G5:17800215:17801314:1 gene:PRUPE_5G234500 transcript:ONI09365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPRNDLLPPPSSPTNSSISSSDLDTESTGSFFHDRSTTLGTLMGVSFPAITFRAPSQQRRDPQANSNNNNNMASTSGSGSRKTKKPKKKNAAASSAVGVVAERRRRWWRLCRDDCTKPASLGEFLEVERRFGDNAFYNTAAELEGVMVGQPRNGRLLFADGRVLPPSNVDDRIATSSTAGVLSRFPVSITAICSGGVA >ONI06503 pep chromosome:Prunus_persica_NCBIv2:G5:7908850:7911533:1 gene:PRUPE_5G064900 transcript:ONI06503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSHYAGSSSGPHPNNPGSPGDTTNDHAGAADGYASEDFVPGSSSSRERKKGTPWTEDEHRMFLLGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQTNVSRRKRRSSLFDIVADDSVEAPMEPLDFLPGNHLQAESQSNNPLPAPPALDEECESMDSTNSDGEPLPPQPDSSQSFYPMIYPAYFSPFPVPFPLWPGYSTEPTKQDKHEVLKPTAVHSKSPINVDELVGMSKLSLGEPIGHSGPSSLTLKLVEGSSRQSAFHANPGSGSSGMNSGGSPIHAV >ONI08405 pep chromosome:Prunus_persica_NCBIv2:G5:14948595:14949998:1 gene:PRUPE_5G176200 transcript:ONI08405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYFLHQLLSLLTPIYLLNFAPYLFVVFSSGVACWRGSILREISRHICETDIMIIDVYTRFLPEVAVGYEDPRVQVHISNGS >ONI08711 pep chromosome:Prunus_persica_NCBIv2:G5:15892316:15894063:1 gene:PRUPE_5G196100 transcript:ONI08711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTCFAAASGIKRGPWTPEEDRKLLDFIQLHGHGSWSSLPQKAGLKRCGKSCRLRWRNYLRPDIKRGNFSLHEDQTIIQLHALLGNRWSAIAAHLPKRTDNEIKNYWNTHLKKRLAKIGFDPVTHKPKTAILGSANGDPKKLSKESELHKSSAHQLLHDQTASLTHDHLHHQFAPLVPQCLDILRASAWESLISMSTKSSSSDADAPTSHINGGQNHVSFGYHHDVHEHGVNIISNFEGPTSDHQFSSMTECDDSRAMSSMTTGSLGLNELIGQYCGNECSELFEALQQYMGFEVEVGDAWTSLEQLL >ONI08710 pep chromosome:Prunus_persica_NCBIv2:G5:15892316:15894063:1 gene:PRUPE_5G196100 transcript:ONI08710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTCFAAASGIKRGPWTPEEDRKLLDFIQLHGHGSWSSLPQKAGLKRCGKSCRLRWRNYLRPDIKRGNFSLHEDQTIIQLHALLGNRWSAIAAHLPKRTDNEIKNYWNTHLKKRLAKIGFDPVTHKPKTAILGSANGDPKKLSNLSHIAQWESARLQAEARLSKESELHKSSAHQLLHDQTASLTHDHLHHQFAPLVPQCLDILRASAWESLISMSTKSSSSDADAPTSHINGGQNHVSFGYHHDVHEHGVNIISNFEGPTSDHQFSSMTECDDSRAMSSMTTGSLGLNELIGQYCGNECSELFEALQQYMGFEVEVGDAWTSLEQLL >ONI09112 pep chromosome:Prunus_persica_NCBIv2:G5:17101973:17104165:1 gene:PRUPE_5G218800 transcript:ONI09112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTAFLYLAILSAFTLTSLFPSTHSRSLSEETTTLLDVSASLTQAHDVLSFNPQTLKPLDRQETQAQAHTLTPLNSSFSLQLLPRDALHNSQHKDYESLVQSRLGRDSARVNSLHTKLQLVVQNIKKSDLEPMHTEIRPEDLSTPVVSGVSQGSGEYFTRIGVGTPAKSLYMVLDTGSDINWLQCEPCSDCYQQTDPVFNPTGSSTYRPVTCDSAQCHSLHVSACRADKCLYQVSYGDGSYTVGDFVTETVSFGNSGAIHNVGLGCGHDNEGLFVGAAGLLGLGGGALSLPSQFKATSFSYCLVNRDSSTSSTLEFNSAPPSDSVTAPLLKDSRVETFYYVGLKGFSVGGQPVSVPPSVFEVDESGNGGIIVDSGTAITRLQTEAYNSLRDAFKRLTRDLPSASGFALFDTCYDLSSRSRVQVPTVSFLFADGKSLSLPAKNYLIPVDSAGTFCFAFAPTSSSPSIIGNVQQQGTRVSYDLANNRVGFSPNKC >ONI06070 pep chromosome:Prunus_persica_NCBIv2:G5:4274141:4274857:1 gene:PRUPE_5G038200 transcript:ONI06070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGLLSLTELQKLSLSQQNQSQLLNPSLPPKAWMWNPKPAQEPEDDSWEVRAFAEDTGNVMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQSHPPINPASASSASTSSFIIPTQEFVNANGGLCLVYQLPSPSSINGASFNTATTLMSSSSHGVEQSPSTLLSISPNIPPHDYLASVVPPGTNSQYCHSSKSADDPEPSTPETENGNFEELDLELRLGHGRPTVPS >ONI08530 pep chromosome:Prunus_persica_NCBIv2:G5:15286926:15289010:1 gene:PRUPE_5G183800 transcript:ONI08530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPLPSSTMTQMWATMGSTLASFMFVWAIIRQYCPYELRRFFEKYSHRIMGYFYPYIKISIHEFTGDRLKRSEAYSAVEAYLSNNTSKSAKRLKAEMVKDSSNLVLSMDEYERVTDDFQGAKVWWVLSKSVSPGRSMSMSYYPEQEKRFYKLTFHKKYRDIITESYLDHVVREGKEIRVRNRQRKLYTNSPGYKWPSYKQTMWSHIVFEHPATFETMALEPDKKREIIEDLVTFSKSKDFYARIGKAWKRGYLLFGPPGTGKSTMIAAMANLLGYDVYDLELTAVKDNTELRKLLIETTSKSIIVIEDIDCSLDLTGQRKKKADKSSLEDETKSSKERIKEPKEEGHGSSKVTLSGLLNFIDGLWSACGGERLVVFTTNYVEKLDPALIRRGRMDKHIELSFCSFDGFKVLAKNYLKLETHQMFDTIQRLMGEVKMTPADVAENLMPKSPQDDPDRCLSNFIQALEEAKEEAAKKTAEEIKEIGAAEEKDDDDVQTQENKDVEIQSDLVGNANASL >ONI09126 pep chromosome:Prunus_persica_NCBIv2:G5:17141701:17144772:1 gene:PRUPE_5G219700 transcript:ONI09126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRANAPSLNAQKARMSPTIIAILLVFLTFLCSLIHTIITASSSRPKLPPGPRALPIIGNLHMLGNLPHRSLQHLAKKYGPIMSMRLGNTPAIVVSSPTAAELFLKTHDTIFASRPKVQASEYMSYGTKGMAFTEYGPYWRHIRKLCTLQLLCPSKIEGFAPLRREEVGLFIQSLKKAAAAGEMVDLSEKVGGLVEDITYRMVLGSKNDDMFDLKGTIEEALFLSGAFNIGDYMPFLSPLDLQGLAKRMKRISKTIDQLFEKIIGEHEQVSKSGQVQDHSHKDFVDVLLSLIHQPLNPNDEQVYMMERTNVKAILLDMISGAFDTSATAIVWTLAELLRHPRVMKHLQGEIQSVIGTDRMVEESDLPKLGYLSMVVKESLRLHPVAPFLIPHESMEDITVEGFDVPKKSRIFINTWAIGRDPKVWSENVEEFYPERFIDGNIDLRGHDFQLLPFGSGRRGCPAMQLGLITVRLALANLVHCFNWELPSGLKPEDLDMTEKFGLSLSKAKHLFAMPTYRLCNQLS >ONI05722 pep chromosome:Prunus_persica_NCBIv2:G5:2328243:2329370:1 gene:PRUPE_5G021200 transcript:ONI05722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGFQVVPGLDLKSFDIFLKTWSRCASGQIVTSTGSFLSRKCLTGDFLSLEIFFWFPLLIALSGQLLLNDQYGRRDASYI >ONI06056 pep chromosome:Prunus_persica_NCBIv2:G5:4194548:4196230:1 gene:PRUPE_5G037300 transcript:ONI06056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSKRKTENKGRKLLKMIFYIKLVYGTGNSKLKTVCVFLLLFILWKWEKLIYRENKKRMEKEERDGERGRERERGCAPVLEVLRVVELSMRERERFKEKKNNNDKKNKEDRVQRKGRRRRRGGMRGGGVGVGATKDSKRSHFSHTKSLNISLN >ONI07431 pep chromosome:Prunus_persica_NCBIv2:G5:12018892:12020039:1 gene:PRUPE_5G120200 transcript:ONI07431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVETCTERQQGEDQMQQQKHEQEERQNEIRFVKESNLSKDGNVRVKIVLTKEELEWLMLQLKDRGGKSLEDVLEEIQRSRAKVEEGWKPSLESIMECPEVNEMDR >ONI05799 pep chromosome:Prunus_persica_NCBIv2:G5:2754090:2760249:1 gene:PRUPE_5G024500 transcript:ONI05799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSATPCTPTSLLNHHNSLFYFPSCLPSLSVFQRSHPKNSPVAFNGVRLQHKQANVQNTVPGVLNSRFLQIGSEESSSSRHVLVKSTGAESAGGSTVSQSVLGILHLIVSVGIILAIDNFLKQAFVAASIKFPSALFGMFCIFSILIILDSTVPAVATSLMNFFEPALLFIQRWLPLFYVPSLVVLPLSVKSIPAASGIKICYIIVGGWLASLAVAGYTAIAIRRMVKTEMTDAEPMGKPSPFSAVEVWSWSGILLISFVSALLYPTALGTSARTCLPFLLASTVLGYIVGSGLPSDVKKVFHPIICCALSADLAALAFGYFSKSGLDSVLGSYLTKVSSNPGAGDVLMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSVILSTIFSLYSTALVGRLVGLEPGLTVSILPRCITVALALSIVSLFEGTNPSLTAAVVVVTGLVGANFVQATLDKLQFRDPIVRGIATASSAHGLGTAALSAKEPEALPFCAIAYALNGIFGSLLCSIPAVRQSLLAVVG >ONI08180 pep chromosome:Prunus_persica_NCBIv2:G5:14217402:14220840:-1 gene:PRUPE_5G162100 transcript:ONI08180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQLVAPKLKNVNMRDKRTSPQSDDSALLRQIRETHSPGRSHSVDVNQILQVIEEIFHRATHSTAVVLAGTRELADTVEDRTTLPSVDVLFHGLSYLIQKIYCEISCQCSGGGDVHATTMELLRTLSNYSWEAKVVLTLAAFAVYHGEFWLVAQLCTTDPLAKSVAILKQLSDMVEHAASVKPQIEAIDNLITAVTNVTKSIVVCSEMVKLQSHYISEDTPPLSIALAHIPAAAYWVIRGILASASHIAILAGSRHEYIASTTEVWELSSLAHKLKNIHDHLTSELENCRQYIVAKRYDEDYETLRRLFQGLHLDNLKNLRALISHKDDAQPLQIGTTRYSLEVLRRRHVLLLITDLSLSNEEIVILDHIYKQQQNRAEVEYGIVWLPVVDATTWDEAKRFRFEELKSKMPWYAVHDPQIIEPPVIKFIRNDWHFDKKMIIVSLDPQGRVSSLNAVHMLWVWGNVAFPFTDEKEQVLWNAESWRLQLVANGIDPIILDWIEKGKYICLYGGDDLEWIRKFTVRAKVVAGLAGISLELLYVGRSTATRERIRKVNKVIETENLSRFWPDYTSNWFFWSRMDSMRCSKAKHHRTVENDEILKEVMTLLSYDGSDQGWVMVWRGSNETARANGQLTLHTLDEFEAWKNKAAESGFVPTLSDELKRRHKPQHCTRLIIPGFGPDIPDRVECAECGSEMEKFFMFRCCTD >ONI08415 pep chromosome:Prunus_persica_NCBIv2:G5:14981201:14984988:-1 gene:PRUPE_5G177000 transcript:ONI08415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDVMKLMMSDYNVETINDGLNEFNVEFHGPKESLYEGGVWKIRVELPDAYPYKSPSIGFINKIFHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLLYPNPSDPLNGDAASLMMKDRKLYDQKVKEYCERYAKKEHITNSTPDEESGDENISDEESDSSDDDIAGHADP >ONI06463 pep chromosome:Prunus_persica_NCBIv2:G5:6758952:6760485:1 gene:PRUPE_5G062000 transcript:ONI06463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSDMAGLDQVSALERIKNHLLGEFSPAAKSSVTELSDSASSFPQNQWSPEANSDLSRSQSDSLCSKSSSTFDSSIEISDYLNSNEIFEFEFNSIDFLDFEAKPQVIDLITPKSADLRSQNSFEFESKPQIIDDFFEIEHKPQILNRTPPKPAKPTRKPALTVSLPNKTEWIHFASSDPAAKAPVQKSSSNEEKKKHYRGVRQRPWGKYAAEIRDPNRRGSRVWLGTFDTAIEAAKAYDRAAFKLRGSKAILNFPLEAGKAEPVAAVERKRRREEDREEVKAVPVPVKKERSTEAPEAVGCIRDMPLTPSSWTAFWDSESYEDVKGIFSVPPLSPLSPHPAMGYPQLMVV >ONI09105 pep chromosome:Prunus_persica_NCBIv2:G5:17075212:17081828:1 gene:PRUPE_5G218100 transcript:ONI09105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRAQVNKPHKTRFASKSSRNLHKTSDKSRIGKSEHNVAKGARAARVQRNKMLREQKRAALLKEKRASSGSASAPRVIVLFGLSADVNLNSLAEDLLSLLSPQGYDATLPTVASVEYKLRTTVLKAPHGDLLSCLEMAKVADLIAFVASASSSCEGSTSDYIDSFGSHCLSVFRSLGLPSTAVLIRDLPTDMKRRHDSKKTCTSSLASEFPEDCKFYPADTKDELHKFMWLFKEQRLSVPHWRSQRPYLISQKVDMVADDFNSGNCTLLLTGYLRARSLSVNQLVHVSGVGDFQLSKMEILKDPFPLNARKEQDFMDSDEVCDVEVLRSLVPDPMRQELLLVENVPDPLAGEQTWPTEAEMAEAEKSQKQKKKKKRTLPRGTSEYQAAWIVDGTDEEGSSTDEDEEADGMVLDEGDIGYPGQEGTKYSDLSDDQASLSFGNSDGETDVDSVMMEGENLTKEQLANEIQKIKQAHADDEEFPDEVDTPLDIPARKRFAKYRGLKSFRTSTWDPKESLPLEYARIFAFDNFNRTQKNVLAKALDMEQQNRDDCATASSYARLYIKDVPTSVASKLCNQAKTMPVTACGLLQHESKMSVLHFSVKKHDSYSAPIKAKDELIFHVGFRQFIARPIYSTDNMNSDKHKMERFLHAGRFSMASIYAPISFPPLPLIALRSSEGAGTAPAVAAVGTLKSIDPDRIILKKITLTGYPQRVSKLKASVRYMFHNPEDVKWFKPVEVWTKCGRRGRIKEPLGTHGALKCIFNGVLQQHDTVCMSLYKRSYPKWPDHRFPILDA >ONI07984 pep chromosome:Prunus_persica_NCBIv2:G5:13708441:13712546:1 gene:PRUPE_5G151500 transcript:ONI07984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFSFLSLKAALILVFIVHFTVAHNVKRHGGAGDWVQPLSKIQIHKTIDATHENASVRAYPVLLGTTGKEYEWVTVNVVSPNPSSDDWLGVFSPAKFNASTCPYTEDYYEPYLCSAPIKYKYANYSNANYNKTGKTILKFRLINQRADFAFALFSGGLSSPKLVAISNAIVFANPKAPLYPRLALAKSWDEMTVTWTSGYDISEAVPFVEWGLKGEAQVRSPAGTLTFPRGSMCAEPARTVGWRDPGFFHTSFLKNLWPNSKYTYKLGHRLYNGSYIWSKSYAFTAPPYPGQNSLQRIIVFGDMGKAERDGSNEYADYQPGSLNTTDSLIKDLDNFDIVFHIGDMPYANGYLSQWDQFTSQVEPIASAVPYMVASGNHERDTPNTGGFYDTNDSGGECGVPAETMFFVPADNRAKFWYQADYGMFHFCIADTEHDWREGSEQYQFIEKCLAAADRNKQPWLIFAGHRVLGYSSNSWYGQEGSFEEPMGRDDMQKLWQRYKVDIAFYGHVHNYERICPIYQNQCVNSELSHYSGTVNGTIHVVVGGGGSHLSEFSTLKTVWSVYQDLDFGYTKLTAFNHSYLLFEYMKSSDGKVYDSFTISRDYRDVLACVHDGCEPTTLAT >ONI08988 pep chromosome:Prunus_persica_NCBIv2:G5:16754892:16757258:1 gene:PRUPE_5G210800 transcript:ONI08988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSTTTPNHATHVLVFPYPAQGHMIPLLDLTHQLANRGLTITILVTPKNLPFLQPLLSAHNHPNNSIQTLVLPLPSHPSLPAGFENVKDLPVHSFLAMMCALGQLHHSLLRWFTSHPNPPVAIISDMFLGWAHRLATQLGIQRLVFSPSGALALSVIDSLWHRLLKRDDPNDQNQVFLFPEIPNSPKYPWWQLSTVYRSYVEGDPDSEFIKDGFDANRASWGLVVNSFTELERVYLEHLKNELGHDRVWAVGPLLPPDNNDLSGPKQRGGSSSVSVDRIKSWLDACVEDHKVVYVCFGTQAVLTNRQMEALASGLEKSGVRFVWSVKGPTKGHAEGDYGAVPHGFDDRVAGRGLVIRGWAPQVFILRHRAVGSFLTHCGWNSVLEAVVAGVPMLAWPMGADQFSNATLLVDQLKVAVRVCEGAGSVPASDELARVVAESVCEKRVERERAVELRGAALEAIKEGGSSVKELDSLVDRLAALEIPAGSKNLQSMRNGN >ONI07621 pep chromosome:Prunus_persica_NCBIv2:G5:12663966:12665772:1 gene:PRUPE_5G131400 transcript:ONI07621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAPRPFPILLLSLLTTFFSICSAEIRSSSVRSDWRPIIPFDEFGFTHKGRLELNVSKIALSDQPNPNPDLSKVGFFLCTRDSWIHVFQQLEEGDVRCALDSNLVKRVYTFDSLKGGHEFSTVFSETDADQYTLLFANCLQQVKVSMDVKSAMYNLEGKENRRDYLSAGKTILPRVYFFFSLVYAALAGLWIFVLYKKRLTVFGIHFFMLAVIILKTFNLLCEAEDKSYIKRTGSAHGWDVLFYIFSFLKGVMLFTLIVLIGTGWSFLKPFLQDKEKKVLMIVIPLQVIANIAQVVIDETGPFGHDWVTWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYRYLWTSVVAAELATLAFYVFTGYKFKPEAHNPYFVIDDEEEEAAAEQLKLEDEFEL >ONI06479 pep chromosome:Prunus_persica_NCBIv2:G5:7728357:7729679:1 gene:PRUPE_5G063300 transcript:ONI06479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTIGECHQGWNSIQEGIMNLKRRIAEGIPENQVSAAVNVDIYTTIYNMCIQKPPHDCAQQLYDKYQKTFEEHITSTVLPFLKAKHDEFLLRDFVKSWEDHKVMLRWMSRAFAYLDCFFIRQRRLPCLKEAAIICYRNLVYREVNANVREAAIRLIDEEREGGEIDRALLKNVTDIFVEIGVGQMDAYENDFEGYMLNDTRDYYSRRASRWMLEDSYTSYTLKAEACLRRERDIVSHYLHPISEQKLVAIVEHELLVFYKTQLTEKKHSDSGSSAFPGDDNVEYLSRKLAANGIL >ONI05711 pep chromosome:Prunus_persica_NCBIv2:G5:2231493:2237130:-1 gene:PRUPE_5G020400 transcript:ONI05711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQEVSEKGNMAYLILRCSLALVLPIVAIFALSLLVGFVAIFVANSSIPSPISVSSQCRILSSSVDLKSSKVCELGLFNYKAKHVFYPFEGRRFRCRYDYYWASIFKVEYKDQSSGQTQLALAEAPNEALPLDCRPNFGAAWLTKDKFKVNETYDCWYTYGISKVSLYHDGFFSCQAKDPSTFEMIRRYFILATKILHSWFVAQERAGFWRWETVAGVIAGFSTSLISISFIRLLQQMKSRLPQLFAARVLPLYMIRFRRTCFLVAYISFMSWLVIQYGKRLGLLEIITLLKK >ONI08455 pep chromosome:Prunus_persica_NCBIv2:G5:15058712:15059967:1 gene:PRUPE_5G178600 transcript:ONI08455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPILLLLLFFSIPFSESKLSEDYYKQTCPDFQKIVRETVTIKQAGQPVTAAGTLRLFFHDCMVEGCDASVFIASNHVNKAERDADINQSLSGDAFEVVVRAKTALELTCPGIVSCADILAEATRDLVTMVGGPFYPVKLGRKDGQVSLASKVDANLPKTNQTMDEIIKLFADKGFTIEEMVALTGGHTIGFSHCKEFTDRLFHYSPTTPTDPVMNPRFAEGLKKTCANYTTNPAMSAFNDVITPGKFDNIYYQNLKRGLGLLSSDHALVKDPRTMPLVELYSKNQEAFFKAFGHAMEKLGHHEIKTGQQGEVRRRCDAFNTIQA >ONI09333 pep chromosome:Prunus_persica_NCBIv2:G5:17710532:17711623:1 gene:PRUPE_5G232800 transcript:ONI09333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPSSTICRTTTDSASKISCFYSNTSLLLFSSTISSKRQRFITCSLDPISTSSTTSSSSSGCSWSSTSQKGNITNKKSLEIQRTKDGTFSVVHNDDRKPSDQKKIKKSNKDETKKSGPRKRRPLWQKVFFASKKMRSIILLNFITIVYASDIPVIKEVEAIMDPAAFSAVRFVMSAIPFLPFVFQSRDDVQTRNAGMELGFWISLG >ONI08672 pep chromosome:Prunus_persica_NCBIv2:G5:15748807:15750888:-1 gene:PRUPE_5G192900 transcript:ONI08672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRTRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKSS >ONI09222 pep chromosome:Prunus_persica_NCBIv2:G5:17403165:17404537:-1 gene:PRUPE_5G225000 transcript:ONI09222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLQLRNLCLYARTRLLSPSCYNPGSQLAPLAASTRPRLRFYSTESSSHNQEEDVGNEELKMQIDKYFRGDEEAIPSIFEAILKRKLTGKHEEDDKKLMEEIPGKRQEEPLSDIDDEQETKSDFDEGSDSDR >ONI05389 pep chromosome:Prunus_persica_NCBIv2:G5:609148:611053:1 gene:PRUPE_5G005000 transcript:ONI05389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKEKVCVTGAGGFAASWVVNLLLSKDYVVHGTVRQPGVSKYAHLNKLEKASENLKLFKADLLDYDSLRSAVEGCSGVFHVASPAVLSVTDPDQELLEPAVKGTLNVLKACVEAKVKRVVVVSSIAAIVMNPDWPKGQVKDETCWSVPEYIKTTKKWYYLSKTEAESQALEFGKRNELEVVTVCPSVVLGPILQSTLNLSSSLLVATVKGELESLEYNYWTLVDVRDLAESLLLVYNKSEAGERYICTSHEIGVEEVVEKYLRPAYPNYNYPNNLTHTEEEKEHLSSEKLQRLGWTFRPVDETLIDSIESYRKAGIVD >ONI05503 pep chromosome:Prunus_persica_NCBIv2:G5:1043417:1047651:1 gene:PRUPE_5G010200 transcript:ONI05503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMNQQPIKKKKGRPSLLDLQKRALLQQQQNPDFLNRHRRQSTRRNPTPPPSDSLAGDDDDDERAKKKHKPLLGFSPNSAPTLLPSNSGSFGSDSNADGEDPQAALKISTVHHGSNHMSQEVLKATDSTSIHGSQVESGPTTPLPDKKLLVFILDRLQKKDTRGVFSEPVDPEELPDYHEIIENPMDFGTVRMKLDQGAYSNLEQFEKDAFLICSNAMQYNAPDTIYFRQARSIQELAKKDFDNLRQATDDCEPKPKLARRGRPPGKSMKKSIDGSPLDRVGPQTISETTLASGGENPSLSNAYNLRRPASHNIRSSDVLNRAPNGSFSGETSWLSGWENEFPTSVLRSVQKYGMKQFTVDENRRDTYAQGLASGNEPPMFTGLEGEWKQLVAVGVHFEHGYARSLARFAANLGPVAWKVASKKIGSVLPSGLKFGPGWIGENESSTLQQFSICERGEEKVQCYPASDDHTDRLLPQSTSGSNPVVTHRFSQQSRDNMESRRELSFHNELASLNSGVCGIRPVPPFLVRQSSANHLGKPCSTNTSVPSQMLGMVSDGNTIIHQMPIKDSSSNEAKQSEVSSRSKSNNVLGGEASWHGPSIYNKQVSYTFPSDLNVKLGAPGSPGSVVQIGLQQQPDLALQL >ONI07398 pep chromosome:Prunus_persica_NCBIv2:G5:11893417:11895496:-1 gene:PRUPE_5G117400 transcript:ONI07398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRALTAKPRKSPKNEDQDPQQGYTTSSFNDYEDCIVGFMQDLPLVRCGHDRKCLGCGEGLSHLTLRGVLRGSVGVIGESRLGMTEKVVLLGGRVCAVKRFRKVSCGSRDFGKRIEHLAKVSEKSEYLVPVIAYLYAKRIKFVLSDYYPMGSLADLLAGARQHGHTALDWNQRLTIVVHIARAIAFIHEQYPSYDKKMQMNVHGSIRVCHVMVNIDFSACLSDYGFTQLAEPVEIPNMWQMMKSPCWQQTTPYCAELSQKSDIHNFGVILLDVLAGPKGLRMTEGTKEEKEGLISECGSEFFEFAVKEGKERRQVSGVLDIALACTSAKPEARPSIKEIYCSLLEIL >ONI05761 pep chromosome:Prunus_persica_NCBIv2:G5:2614383:2616479:1 gene:PRUPE_5G023300 transcript:ONI05761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKESLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKRYEEKEEEKRDEEKEEEKEKEDEEKHDDRRLFIHKTVVIEVGAYSKMEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKKANMCSMVGFIDPATVSANSGTITARSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKVRRNYLHTFHVVFCIINDGRVKLTSLNGYTTSVMKNIVVCD >ONI08947 pep chromosome:Prunus_persica_NCBIv2:G5:16647502:16648764:-1 gene:PRUPE_5G209100 transcript:ONI08947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLALSAYQEQEEKKDVLQNCEEIISTLPKDRGWGTEHYYQYEGFWYPPIFLEGVIWAQANFRARDDDIFLATFPKCGTTWVKALMFAIQNRENYDFLSHPLLTKSPQDCVPYIELLAHQDNPIDYLDAIASPRFLATHIPHRSLPRSILNSGTKIVSVARNPKDVLISYWVFSQKIRSSINKLAPLPMEEGFELFCKGVALSGPFWDNVLGYWEASLENPEKVLFLKFEDIKIDTDCSVKRLAEFMGLPFSSKEEQEGVVREIIKLCSFDNLSNLEVNKSGTFSNADGKFSNAVFFRRGQTGDSKNHLTPEMLDRLDKITEQKLGASGLKF >ONI08995 pep chromosome:Prunus_persica_NCBIv2:G5:16767721:16769464:1 gene:PRUPE_5G211100 transcript:ONI08995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAEVTFLHLHDPDDEPHQTLTLDPLPNWAHHDFDLYSSDLEFPPSDRSLRAHILTVHEDEDEDEDDLFSQYQSTIHVIRNNDVSEPSSISNPGLLEDRENQVNFVMDLFQQRVEQSQVTVRSVSVSEALNDDFSFGVIEGNCDCDCDVGIGGLDLDLSLGLGSGLDSRHCLDGDGIDDPDEDDFFVGRRVSGSESGEATSNLSRAEPFENCVRLVGFGSDSDEEDENGVIGIDLNSVDEHSAYHLPDDCDDDTSIPLCWDSLLLEDHRENNEDFEWEEVDSGGEEREVFSMFIDPDHTESGSVSVSVSTIFAPEEEVSVERIEPLESLEWEVLLNANNWETNPDAEPFNGGDHDDYIYTAEYDLLFGQFSENENASTGRPPAANAVVENLPSVVLTQEDVDNSNALCAVCKDDMNIGEQAKQLPCAHRYHGDCIVPWLRIRNTCPVCRHELPTDDAAYEGRRTQTHSVEGIRTQAHPLGVDYDEVFF >ONI08588 pep chromosome:Prunus_persica_NCBIv2:G5:15456905:15458940:-1 gene:PRUPE_5G187200 transcript:ONI08588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIQTNKAVVPGQVCLTRARIVPNPNQPAGRGCLRNLVHVAGKGKGKGKLLVAALTPTPTPIQSIQRRRRSCPLMASHPNAEIIAINKSCPLIDHKINICPLIPSSSSSSSSSSSSSSSGDPCLDLFSNSLRAFTAVTNPCLKYLEQVLPLAWSHDPLTTLKLIFNDERKYFSYRKFDTAIYWLHQNHPKTLLRNLHSIANLPKVRRLDILVQILHVIVVENRGGRLYDNSVASLHLHPERYDRDPDYRLLHDRAVDIFVEHFRSEIDKVKQQKLKLMPSDYFTNSDTEEEEEEEEEDDDDYYDDDDDGEYYYPNLFVSAAVCCTNNDEDFDALTEVIFLRESIARRLCPPESDQSEEWERLKKQFLVPLTNYYVRRSRRRYSRRGLSGVEGPSLVKKYLEEVKVAAGGGIGTIKPNALLPNEIIRYVQDKDVGEVAKLHWKAMVEDIKQQQIQEGDGLGKFKNWLVVGQLPECFAIGLEILLSEVSEEPWKGKMIKYTSDGKCQLDLIQGHDLESKFKFTSRYLKSDEGCYRHIINAASNTRQLEKLFDLIVEIGVNENLKAEQMIKKVIVFADLPPLFSRSFEYAIQSKYEDKGYGDDAVPHILFLHSGQHSWICRRHPGFTLLGGFSTHLYKSFMDNGGELDLHHLMEAVISDKEYQTLTVVD >ONI08676 pep chromosome:Prunus_persica_NCBIv2:G5:15775140:15776073:1 gene:PRUPE_5G193300 transcript:ONI08676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRQVVVKRDSSGRSSSTSSSMVRTVRYGECQKNHAANLGGYAVDGCREFMASGEEGTTEALTCAACGCHRNFHRREVETEVVCEYSPPNTYR >ONI06162 pep chromosome:Prunus_persica_NCBIv2:G5:4883041:4883552:1 gene:PRUPE_5G044600 transcript:ONI06162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRASWCPPRLGFIKVNIDGAWADAASSAGFGVILRDSTGAFCGGTAGPNSCESALMSEAEAALSGLKLAAQFGHHRISWHLIPKAWTILPIIKDIRKLAASFVSSEWCWAPRSQNMAAHEAAKLGRGLVEARCWLNRAPLALMHVLNSDGLPGPP >ONI06423 pep chromosome:Prunus_persica_NCBIv2:G5:6395136:6398085:-1 gene:PRUPE_5G059400 transcript:ONI06423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIVESLALSPTYLRNKLEQGVDVIIANKYPQSSPSSNMLGLSSHTDHSFITILLENMSGLEIMDFTDDNAWKSVPATDGALKVLVGNHLEVLSNELYNSNSYSILNGRHERVPSPLMSRASVGSFLSLAMEDMVEPATELVDEDHPERYSASSLDEYMKFLSFKEEKSYIESLKI >ONI09450 pep chromosome:Prunus_persica_NCBIv2:G5:18041295:18042349:-1 gene:PRUPE_5G239000 transcript:ONI09450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDHHDQNTNEVYRECLRNHAASLGSYATDGCGEFTLDDASPGGLQCAACGCHRNFHRRVTYAATSSQAAGGSGRSSGHHHHHHNRVIMSSSSRGRDPSDNTIATQDQLMDYNAGGGGSPDSGDRMSGKKRFRTKFTAEQKEKMLAFAEKLGWKLQRKDLEDEIERFCRSIGVSRQVFKVWMHNHKNLSSSSTSASTGNASSLTTQ >ONI09270 pep chromosome:Prunus_persica_NCBIv2:G5:17508533:17511367:1 gene:PRUPE_5G227800 transcript:ONI09270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFVYTIAENVMNGLASYAYQEMCLAWGVTDELNKLKDTFSSIKLVILDAEEKQRKELHLSQWLGKLKDVCYDVDDMLNDFAFKELQMQMLILRGRGSIKEQVRIFFSGWNPVVFNFKMGPRIKDIKERLDVIAIEKSQFHLVERAGDWQSQSVHIKRETHSFVQAYDVIGREDDKKQIIIHLFNLTLKEKSRDVQENVSVISITGLGGIGKTTLAKLVYNDHRVVKHFDMRMWVCVSDHFDNKRLVREIVASATNQNCGDQESFDRVQKKLQSALKDKQFLLVLDDVWDKGQIGVTTAKWIDLKALLNVGANGSKVIVTTRSESVASIMDSVCVHQLRGLPHESCMSLFIKRAFGRKGEEQRHPHLMEIAHGIAEKCEGVPLAVTTMGSLLCLKREKHFWSEVRDNDIWRLPQGNDDILPALKLSYDALPSYLKPCFAICSLFPKDYVFRSTDLVSLWMAQGFIQSSKGNQELEEIGLDYIRQLCSRSLFQIDEDSIDFIVFKMHALVHDLAMSVSEEECSSVNFRPTSDTCKRVRHVSMSEDDLPMKGVRVPEFLRQLKKVWTILFPVPGHVGTSSKSVLKACILRFKYLRVLDLSGLTFEVLPSSIGKLSRLRYLDLSKNPFIKKLPGSICNLLNLQTLLLSNCEKLRELPRDIGNLINLRTLVLTTNQKVLAGGIERLTSLRFLQVHNCSYLESLGQGIQCLTNLRMLVISNCENLKSLPPDMKCLTALKTLGISDCEKLDLMTSGGGIRGLRSVSISKASRLEALPHWLQDSANTLQSLRVKNCEDLKELPEWLQNFKLLQQLVIEYCPQLLALPQGMYHLGALRLLKIDGCSKLSERCKSNEGADWPKIAHVSKITLDGEIIASKDD >ONI07250 pep chromosome:Prunus_persica_NCBIv2:G5:11419917:11420909:1 gene:PRUPE_5G108800 transcript:ONI07250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKYNHREPVHGHPRRDEESTALQSEELKRQKRIKMYKYIVIFIVVQLIVLPVFGLTVMKVKTPKFRLGNIKVQNLSSVPSTPSFEASFATQIRVKNTNWGPYKFDAGTVTFMYKGVTVGQVVVPKSKAKMRSTKKIDVTVSLNSYGLPSSSNLGTELKSGVLTLSSKGKLTGKVVLMLMMKKRKSATMDCTMTFDLSTKTLKTLQCK >ONI09071 pep chromosome:Prunus_persica_NCBIv2:G5:16964519:16966860:1 gene:PRUPE_5G216100 transcript:ONI09071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRRIVVVVEDVEAARTALKWALDNLVRYGDLITLLHVFSTSRSRNKNKTRLLRLKGFQLALSFKDICNTFPNTKVEMIVTEGDQDGKKIAAVVTEIGASTLVLGLHDHSFLYRLAMAHGSSSIANKLNCRILGIKQPPPSSTPLRTRTSGTTTPVLDSCSTNNMDFSQIDIAGLHVPAVPPPKVPYRICPNPYAIIWKSRKSRRK >ONI07089 pep chromosome:Prunus_persica_NCBIv2:G5:10772699:10775320:-1 gene:PRUPE_5G099500 transcript:ONI07089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQAGQCGNQIGGKFWEVMCDEHGIDPTGKYNGNSHLQLERVNVYYNEANGGRYVPRAVLMDLEPGTMDSLRTGPYGQIFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQYYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAVFRGRMSTKEVDEQMINIQNKNSSFFVEWIPNNVKSSVCDIPPTGLAMSSTFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVAQDEGDEYEEEEVEN >ONI08925 pep chromosome:Prunus_persica_NCBIv2:G5:16606205:16608512:1 gene:PRUPE_5G208200 transcript:ONI08925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSKVAPTPLLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKDINALEQHIKNLLTPSTPFFFNTLYDPYREGADFVRGYPFSLREGIPTAVSHGLWLNIPDYDAPTQLVKPLERNTRFVDAVLTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSATLPKDCTTVQSCYIELSKQVKAKLGKVDDYFLKLADAMVTWVEAWDELNPSGEKSVEQNGVVAK >ONI09378 pep chromosome:Prunus_persica_NCBIv2:G5:17816263:17819492:-1 gene:PRUPE_5G234900 transcript:ONI09378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTSIAKTITKLYCSGSPSSFSKHLVPLPPPSLSLSPSFFSSSPAVSSVPESQSTLSSQATERERSRWSKWLLFLPGAVSFGLGTWQIFRRQEKIKMLDYRQKRLEMEPVNFNNVSLSSEELDHLEFRRVICKGYFDEERSIYVGPRSRSISGVTENGYYVITPLVPVSDKPERVQPPILVNRGWVPRSWKEKSSEVHEDGEQPSNVAPSSVQENERRSWWRFWMKKSKVVEDQQTPAFAPVEIVGVVRGSEKPSIFVPPNDPKSSQWFYVDVPAIARTCGLPEDTVYIEDINENVNPSNPYPVPKDVGALIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLRPKKSRR >ONI05549 pep chromosome:Prunus_persica_NCBIv2:G5:1343648:1344175:1 gene:PRUPE_5G012600 transcript:ONI05549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQIPQGKGGDLKEKNQRKYGQVARLVSLSPGRRLHSPRPPALFANPFAESQSEHKSRSPLSHHSHSPIPRFLTSSTIDHSKRKR >ONI08872 pep chromosome:Prunus_persica_NCBIv2:G5:16436138:16438096:-1 gene:PRUPE_5G205500 transcript:ONI08872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYKSSSTPTHEGEQHLIAAARHIMQALRSSSFLTDDLRKTLSDLESHLSAIAAITESKTQEFSEFEKRLKSAEEKVFSWESNDQIMIWDSGPVEASNYLKSIDEIQKLIQNFTSLSVDEYGKHKELFYRADSVLQLAMSRLEEELIHILVRYEQHLEPEYMSFRLSGADIVYDESFVSADEESIEEASQRNRYTNESDDYYVVDLVQPLAIPHLKSIAKAMFASNYGYEFCHSFINTRKYALDEYLVVLGVQIFSIEDVLKMEWTSLNLEIKKWSWIMKIIIRVYLASEKWLCEQILAEFGSVSSFCFLDISKATMACLLNFGEAIAMGSRRPEKLFSLLDMYEVLADLLLDIDALFMEETGSFIRIEFHELMKSLGNLSKTTFLEFGNAIATSNTSSNPFSGGGIHPLTRYVMNYIKTLTEYGRTLNFLIKDQCIEDSNPALELEDRQGIEDLFSSTFYPTAHHLLSITSTLKSSLDSRSKLYKDAALQHVFLMNNIHYMVQKVKSSKLMFFFGDEWIREHIAKVQQHATSYERATWSSVLSLLRDDNQRSHSFSKASFRERCRAFSIAFEEVYKSQTGWSIPDLELREGLQISTAQNVIQAYRTFVGRISGDISDKDVKYTVDDLEKYLFHLFEGSPRSLHHAFIRRR >ONI08512 pep chromosome:Prunus_persica_NCBIv2:G5:15246383:15247009:1 gene:PRUPE_5G182800 transcript:ONI08512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLLLLLLPLPSHCADPDPLLDLCVANLTASTSSSYFPCKLPSEVTADDFVFDGLSKQGNVTNLFGVAVTTGNVLSFPGLNTLGLSMNRVDFGPGGINPPHSHPRASEIGIVIEGTILSGIVTTKNVYYGKVATAGQVFAVPRGLVHFELNLGQTKALFFTAFNSHLPGSTVLPLSLFAAAPSIPMEVLTKTYLVDEDAINAMKSKFVF >ONI07147 pep chromosome:Prunus_persica_NCBIv2:G5:10967179:10968370:1 gene:PRUPE_5G102500 transcript:ONI07147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDYETSKMLALTLSLLNTNPELDQVSTALTLCDPTWNYDTNQSKAEELDPATHNVPTFPRNPNHEKISGTNDTNKELVKKKRKLVVLGPRPSPKPRRPWPIRKILKASDLGNSSRLLVPKDSATNHFLRYLDDKFVQRVESDEGLGVTVQDYDTGTRHQLTFKFWSSAKSYILNGEWRGMFVQRRGLKEKDEIGLYWDASRAMFMFSLLQRA >ONI05302 pep chromosome:Prunus_persica_NCBIv2:G5:168803:172817:1 gene:PRUPE_5G000400 transcript:ONI05302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNRFPQQERRKRWGGCWGAFSCFDSHKGGKRIVPASRIPEGNASATQPNGPQAVGLTNQATSLAPSLLAPPSSPASFTNSALPSTAQSPSCSLLLSANSPGGPSSTMYATGPYANETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFARFLSSSVDIKTTDKTNYIAANDLQATYSLYPGSPASSLRSPISRASNDCSSSFPERDFPRQWDPSVSPQNGTYPRSGSARLFGYDTTGASAASQDSNFFCPATFAQFYLDNPPFPHAGGRLSVSKDSDVYSTGGNGSQNRHNRSPKQDVEELEAYRASFGFSADEIITTTQYVEISDVMDDSFTMTPFTSHKLPTEEHIEPKSVTEGLKAQKTKTILQSQDTTKSESDLDEGGSSDLPISCNGYEDHKSWRQPGDVSRSSTPGPGVRVLADEEDIFSKMGSSKLSRKYQLGLSSSDAEIDYRRGRSLRERKGEFAWHD >ONI07150 pep chromosome:Prunus_persica_NCBIv2:G5:10982152:10984656:-1 gene:PRUPE_5G102800 transcript:ONI07150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSAESALPRNGFKKIKSESNIVTIDVGGQLFQTTKQTLNLAGPDSLFSRISESASAQLAPPFIDRDPELFSILLSLLRTGNLPSKAKALDLQDLIFESQFYGIETFLMNSLSNPSHFDAFNLEKSLILPLNGRDSPSAIATTPFGSVHVAHGSKITSFDWSLRKKSTILTQFTAVDSMLAISPRLAAVGATDFSGLQILDLENGFVKETLNWENVTKTGSTVQGIGSSPEFLFASFESSRRNSNSIMVYDLNSLSPVNEIGHYEIYGADINSAIPATKLNWVSGYGLLMASGSHSGPSGVLGNIKFWDTRSGNVVGEIKENVDCFSDVTVSDNLSAIFKVGVNSGGMFFADLRNISAENPWVPLGERKKVVNGKKEGSGCKIESHGSQVFSSKGGNIELWSEVVVGSKKSSKNGREDDRQYHAGGKGDARGTTVVDACSAFSSLAFFFLGCATVSLRGTRT >ONI08498 pep chromosome:Prunus_persica_NCBIv2:G5:15196004:15199549:-1 gene:PRUPE_5G181800 transcript:ONI08498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESELKLTALKKAYAEIILNTAKEAAARIVVSERKALLFQRELFSTKEEAFRMLLRLKQMLDSKASEAKMMSSSQQKKIDELEAQLQEAEDIVKDLREELSEAQTQLEKATKNQPQPLARESLDSDTAAPGLMISQLSSHIDAVATYEKNSILNGTYEGSRCYSTNDALKDRYCVPNPDFASLVMRSKEPELYRNGCTQRIRAFERNLLDENLSLSGLVDDAKNATFIRGDDEGKGMCNTPKPKVGNTHGVEKNQEQLKVMQLDGSHIQAPAFKSFQNKRKRAARYRRSKAPSCRYLPHQFKEMHQDLSCTITSASSVNNNVLTDNASKKSEDEIRKVTESVLTPKLLSDTTEMSTRSGCSNVTDNDAGFVRPCSVQKAMDNDKMIIEESELTRQESLSAEKLEILACKTDVEKDNRPLNKSDMRASDLDGGTASQPADNKFLKYTFCRKRKKETLSSPERLSLLDNDTLERKTGEEQNVSLEPQKSSLLTESSRESRRLAQVARQLISLSEKKWWK >ONI06177 pep chromosome:Prunus_persica_NCBIv2:G5:4964052:4966069:-1 gene:PRUPE_5G045700 transcript:ONI06177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKMTVVPNGKDFIDIILSRTQRQTPTVVHKGCAISLLRSFYMRKVKYTQQNFHEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKISKDYVKLLKYGDSLYRCKCLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLDGIAEEDKKLVTEMKAEAMKTVIGQGGEATNDEEVLLTMSTLTEEGVITVKNSACERLLNQRVELKMKSKKINVCLNRFHVAMPKPRDNKERPPCIPPKQAAEKEKRNTEKDLENDNGGAGVYSASLKKNYILANHEWKEDVMPEILDGHNIYDFVDPDILHRLAELEREEGYRQADEGDDNFETEGLELTPEEQKTLSEIRKKKSLLIQQHRIKKSTAESRPTVPRKFDKDREFTTKRMGRQLSKLGLDPTKAINRARSRSVSTRGRKRERSLDRDDTDGGDAMDVDTPNKKQRMLSRSRSRSRSRPTTEVVPGEGFKDSAQKAKALQKANKSNKMRNKNARRGEADRVIPTLKPKHLFSGKRSIGKTQRR >ONI07159 pep chromosome:Prunus_persica_NCBIv2:G5:11021061:11024740:-1 gene:PRUPE_5G103400 transcript:ONI07159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVDFNGPKESPYQGGVWRIRVELPDAYPYKSPSVGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRSAYEQRVKEYCEKYAKPEDIGGAPEEKSSDDEDLSEDEYDSSDDAVAGQADP >ONI06447 pep chromosome:Prunus_persica_NCBIv2:G5:6688364:6694201:1 gene:PRUPE_5G061100 transcript:ONI06447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFMCNIAEEKEKFEEQAGGMRENVDSGARSAVHAQHRRSKSASDRNLNVPRSRVSAKKDQNETQVSSPSTSASRGQSPWHDNLIKQLQLHLQQEKSMRHMLKGAMGRASSTRSPGHRHFSVQTKELISEIEVLEEEVANLEQHHCISRPPSEQNSGVASPAHMKNGSRKHPSIISSAFCSSKKILVEQLERVNVPLMELHAQIAFWINTYNALVMHAYLAYGPPHSSLRKLALFHKAAYNIGGQWLETLLSTAWRKKFGEDRQLRNSKLGLLVSEPLVCFALCTGAFSDPALKVYTALNVRDELEEAKEEFIKANVVILERFAREASFGSDDLLKWVSENADKKLNDSIHKCIDSKSSKKASQIIEWVPYNSRFQYVFSKELSERPWWLLFIPNAGRC >ONI06782 pep chromosome:Prunus_persica_NCBIv2:G5:9430429:9432614:1 gene:PRUPE_5G080600 transcript:ONI06782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNGPNLVFLLNKSITLNQLKQIHAPIITNGLNELQSLLLRQVLHSNFTYSRTIAQYVHQILHNLQNPDDFSWGCAIRFFSLHFQYKTALSLYVQIKRLGLCPTSFAVSSALRACARIVHKVGGISIHAQVHKYGFCGCVYVQTALVDLYSKLGDMETARKVFDGMTEKNVVSWNSILSGYLKAGNMEEAQVVFDEIPNKDVVSWNSMVSGYARIGNMDKAFFLFQQMPERSSASWNAMISGFADCGRMESARSIFDSMPLRNKVSWIAMISGYSKCGNVESARQVFDQMSEKDVLSFNAMIACYAQNSQPKDALELFNQILKREVNIQPNEMTLASVISASSQLGDLKFGLWIETYMSKDGIELDDHLATALLDLYTKCGDIERAYKLFHGLKKRDVVAYSAMILGCGINGKAVDATKMFEEMVSAQICPNSVTYTGLLTAYNHAGLVEEGYRCFNSMNDHGVVPSGDHYGIMVDLLGRAGQFDKALELIRSMPMQPQAGVWGALLLACSLHNNVELGEIAARNCFKLEPDKSGYYALLANIYASTERWDDARRLRKVMEKKGFTKIPGCSWMQSI >ONI08627 pep chromosome:Prunus_persica_NCBIv2:G5:15579911:15581069:1 gene:PRUPE_5G189600 transcript:ONI08627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSSSRASKLADDEIKELVLKLKPLLPQLDHRRNASVSASSILEETCSYIRRLNKEVDDLSQRLSQLLDSADITDVDEQLIRRLFQR >ONI09037 pep chromosome:Prunus_persica_NCBIv2:G5:16871824:16874762:1 gene:PRUPE_5G213700 transcript:ONI09037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKAPVSEGVEQKVKDQDNLWNLPNDILDQILSLLPLKEAVATSVLSSKWRYVWTSCLVLDFDFEKNMKPLTHCSHYQDQDFEDKECWRYVNWVDSVVKMHTGPTIEKFRVCFPLDLSFTPFIDRWVQFALKKWVQDLVLDFSAQSHKLLHLGNGFSKASGFSKFRALKNLSLNSIGVTRGDIGFVLSTCPCLEKLKVSNCRNLTSVTIVGSHFALKLKSLAIECWHSMDSIEILDANIVSFSYSGNPLKLVLSNVPLLVVVSISERDNILTDDYRGLIFNQLSGCLSQLHTLGLDLNGMVDMEVFSVQTLPNIKHLELEVLGEDILILEQINCFMKACSYLEKLVLKMQFIFPEVNLKEASNTCSHHHLEVVEIVGYRGRQSAVKILMLLMETAVSLKKVVINPVRQLIRGESVDESDEVVEEEEARRHALHELRREVPAAIIFGCL >ONI08715 pep chromosome:Prunus_persica_NCBIv2:G5:15915727:15918862:1 gene:PRUPE_5G196500 transcript:ONI08715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKEQCMMKQVTKHEILKGYFMSFGNFPHITHPTRHNETFLISHPQPCIIKLPSTAASPNPKFFVPQFAFSIAKALSSGIMGDPQKSQPSAAAADLTSSQDDPQWKLQDMEESLGNVIEKTQKFELQTSILEPLRASSGIPVQPGSPRPTMSSAASELTTSQYRAFTKLLEVLSAQLSAQLSAQLSAQLSAQLDSQKSILEELRLIRASNAINFQSERKKTPNFTVPDREPFNPYPSFSMVEDASTVNQEEDRSVYLLMSYYDSRYTDSIYQVTFKHGGVTHEPPVVELDQEFYDGFYIQGARISNRSQLYILLQNGYNDPLKQVPSGYSIDTKKWSYHSSLPPNITSKPLATLVSAYDKLYYIASPVCLPPIQEPSFERYDPAQNLWERMPSFPFYHDDGIRMEIIGYAVCYGVILFSLWDSDLNPNVVAFHESINQWSQVTSASYAPFRGRAVVIGDTIYAVHALMVEQIIAFSFKMDKGEHGCITYSLSPLFTLRGLEIVCPPVPFCELKTGYLVHLGNHDFFHVMTGSPNSEPRPEVQYLCITTFQIVVGEGERPMIKTIQSTIHPVNIKGRDWFFLEFCFTPECGDHEPIEGDIMTSMNQPKEEEITLNEHEPTQDENVLTWEVARSEFLARRCQRKRPRKKPSWSNRHVV >ONI05659 pep chromosome:Prunus_persica_NCBIv2:G5:1873239:1874789:-1 gene:PRUPE_5G017500 transcript:ONI05659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWFLLLAFVCLYISFTALLKLLSSKRLPPSPPTLPILGNIYWLLKSSSNFANVEPVLHHLRSNYGPIVTLHIGSRPAIFITTHEAAHRALVRNGTVFAGRPAALETTRIFFSNQCTVSSAPYGPIWRLLRQNLMSIMHTSRLETYSHGRRWAYNLLKDKILDETESGNKAIHVVHNFQFVMFGLLSYMCFGVKFDGKTIKDIESVQQALLLNFVRFNKLNFMPTISKIVFRKLWKELLQIRRNQVGVILSIIKARHEWLMSKKINKEFEDEECILSYVDSLMDLQLPDGGGRKLTDDEKVSLCSEFLLGGTDTSTTTLQWVMANLVKNQDIQKKLLDEINSVVKPKQDIAEDDLIKMPYLKAVVLETLRRHPPSHFILPRAVLKDIQMDGYDIPKDAMVNFTVAEMSRDPNVWERPMEFRPGRFIQTGQQEVKFDIKGVRGIQMMPFGAGRRICPAINVSLLHVEYFVANLVRDFEWKLGDQNEVDLTEKQAFTMVMKYPLFTHISPRINSHVP >ONI06440 pep chromosome:Prunus_persica_NCBIv2:G5:6525308:6527252:-1 gene:PRUPE_5G060600 transcript:ONI06440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQIEDQYVESLSSMGHKLSVKNFIWSTEEWPEINHDDFADADDIPVISLQGVLDGRKNPDYDKVCQVMVRACEKWGFFKLVDHGVALETIESFIGSLNGLFDLPMEQKLKGVRSASLPLGYYATNPDYGKNLPWAEILQLLQSPQQVVGFATKVFGDQHQPFSNAMIGYLNALDNLGMTILEMLAHGLGLPDEFFKKNFEEKEATMIRVNRYPPCPLPEKCLGLGSHSDPHTLTILLQDDVGGLQVRMSDKKWIGIRPVQNSFIINIGDTLEAWTNGRLRSVVHRAVVNKEKNRLSAAYFMSPSNSALIECPPELMDPKTNPKKYQPFTWGGFKKELLVQKRVVGKTALERYLISK >ONI07866 pep chromosome:Prunus_persica_NCBIv2:G5:13288963:13290259:-1 gene:PRUPE_5G143700 transcript:ONI07866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASAASLCRFQPFQNQPTKTSGLVTRTSSSLQLVSNGWAKSGFSSLRTSRLQICCSAKHETVQKVVEIVRKQLALPPESEVTPDSKFASLGADSLDTVEIVMGLEEEFGISVEEESSQNISTVQEAADLIEKLVEKKAAA >ONI06072 pep chromosome:Prunus_persica_NCBIv2:G5:4313445:4321409:-1 gene:PRUPE_5G038400 transcript:ONI06072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTIFDANAGEGLGQPTPDSSEKVNISLVSLLKMLNHDRAGVPLEVMGLLLGSFPDEYTTHVVDVVAMPQRGTGVSVEAIDHVFQTDMLDMRKQTQRHEMVVGWYHSHPGFGCWLYGVDMTTQQGFEALNRRAVAVVVDPIQSVKGKVVIDAFRLINPNTALIHGLNRNYYSLAVNFRMNGVEEKMLLNLHKKKWTDGLTMRQFDAHSKTSEQTLQKMSNLAIKYNNALQEDGDAQPEKLAIANVGRADAKKHLEEHVYNMMSSNIAQTTLGTVLDTVAF >ONI09549 pep chromosome:Prunus_persica_NCBIv2:G5:18317341:18319524:-1 gene:PRUPE_5G244400 transcript:ONI09549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVYYICGDCGMEIPLKPNDVIQCRECGYRILYKKRTRRIVQYEAR >ONI06639 pep chromosome:Prunus_persica_NCBIv2:G5:8686026:8686734:-1 gene:PRUPE_5G072000 transcript:ONI06639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMGSSFSFILGSVFGIYVAQNYNVPNIQKLSTTGLLIAKHIEETYRKPKKRDDENQNQ >ONI07894 pep chromosome:Prunus_persica_NCBIv2:G5:13378962:13386044:1 gene:PRUPE_5G145600 transcript:ONI07894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRREHIEEIRMTKFSIGGELNPLTEDLHQAVKNLSAELYAKDVHFLMELIQNAEDNEYSEGVDPSLEFVITSRDITGTGAPATLLVFNNEKGFSPKNIESICSIGRSTKKGNRKRGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFSEEPCMHCNLGYIVPEWVEENPTLSDIRQIYGSGSALPTTTLILPLKPDKVKPVKQQLSKMHPEVLLFLAKVKRLSVREVNEDPRLNTVTAIAISSETDFETRKNIDADSYTLHLSAEENGNELETECSYYMWKQKFPVKQDCRDEKRMEVDEWVITLAFPYGERLNRGTSSPGIYAFLPTEMITNLPFIIQADFLLASSRENILLDKKWNQGILNCVPSAFINAFLSLVRTVEDAPVSSLPPFFRFLPVQSSHYYELNVVRESIKARLVEEDIVPCEPHKGQKFFHKPREVGRLLPAFWNILRKAREVGVSLPNLSSHGKYILCHSFDKKEYDHILSFLGVEPVDDEWYAKCIQSSNLVVGVPEDVYLELLLFIADNWGPKFHCTTIKYIPLIKFVDLYERASLCSLSSMRTGEKKVRLSHHFWEVSWLIDWNREFISVASLLFMPKRTQEAIQSCPNKDKLVKWLAEEMKVDTLNVHEYAVCLYNSLGNERKPAVAYAHFLYHSFHKGHISYLEVVDLCGKMPLVNNYGYVIRQKTGVIVPANESKWAGLTDSNLWTEEGYVELGEEYMNPGCFAGKVTEPKQLLEFLKVPTGASDVPYISAPNACIPTVSATLTKQNAFLLLEWIRHLRYQRVHIPEKFLKCIKEGSWLKVTLNGFSASRPPSQSFVLTPSWGNILQNGSAFVDIPLVDQSYYGERIDGYKEELKTIGVMFEFGEACEFIGKHLMSLAASSTLTRGNVLSILQFIKLLRDKCLPPDDFIRSIRKGQWLKTKSHGYRSPVGSVLFDQEWRIASKISDIPFIDQELYGEEIFRFKTELELLGVVVSFKRNYHLIIDHLKSPARLTALPPEAVLLMLQIMLISNSSDKIVEALKGAKCLKTNNGYKSPRECLLFHPEWGCLLQVLSGLPLIDHNFYGSRIFNYRDELRKIGAVVDFEEAAKVFARHFRQASIITKENVSSFLSCYRKLKGTEFRFPADLKSCIREEKWLRTRPGVYRSPRQCILYSPNWDSISPICPLLPFIDDSNNWYGKNIHEYKEELKSLGVVVEFKDGVQFVPSGLQLPKNLSCISRGNALALLECIRILLQEKDYSFPDAFMKEVSQAWLKTGAGYRLPTQCLLFDSKFGEYLKQTDGPFIDVEFYGCKIATYRQELSAIGVIVEAAEGCPLIASQLYLHDEFSTFVRVYNYLSEFKWEPDSEADRWIWIPKGDQNGDWVNPDDCVVYDKDDLFGSQLTVLKNYFEHNLLVFFSRAYRVKSRPSIDDYCELWKAWETSETGLSQDQCCKFWRYVSKNWNAKTEKALPEALLKIPVNSGSDEIVLLNKCDVFLPDDLQLKDLFEQSSPDPVFVWYPQPSLPDLPRTTLLEMYRKIGVRTISESVQKEELSLENSVDQQVIPTEKLIGKVLLRLILGFLACPPIEMEAGTRRKAVQGLLSLTVVETTEPITVNYNLPLSSGETLNVRASRKIRWDREMSKFFTQKIDRSGGHKSIVEFATYFSEVISDGVLWEHTDHIPALSELIKLAFVLEFNEEAVDFLMKSKNLQIFIEDEEFLNSAFPSST >ONI07483 pep chromosome:Prunus_persica_NCBIv2:G5:12169406:12172164:1 gene:PRUPE_5G122700 transcript:ONI07483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIAFSLSSPQTFFVALIFTFLSFFLALLAVRSLTFKPKSGGSATDLSTSNKNEKLRACCACNCNDVVSGSDSEPLRAPHLNGGTGPDVTEKTATAITERQTGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDGITPVNGWKAYYAATRAIVNVNTEFFNFIRERSLAEMSRLWLNADYVKCVHASGELFSGYNAVMQSWRLAFDWEQGVNFQIRDVRARVLTDMAWVTMKTYFEIDTKPFIVTNVFEFHNGRWYLVHHHSSDMNGEVEQPIVQGQ >ONI07617 pep chromosome:Prunus_persica_NCBIv2:G5:12649147:12650630:1 gene:PRUPE_5G131000 transcript:ONI07617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIDQYVSIGTSFFALFNDAWFGSICAESSSSLRMHLIPCSVALIVLLSSTTVSCCFSKFAVELRRSGTRWELFPPQRILSSGASSSLYNNIVFKPLRATSVV >ONI06002 pep chromosome:Prunus_persica_NCBIv2:G5:3789352:3792840:-1 gene:PRUPE_5G033400 transcript:ONI06002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLKNLRQTDEESVELMSDDEIYDSVLSKVVGPPRSSYIRGLGAGPKTITFKAGQCSHATIEEAKRRQMRQLKGLMMQRNNVCF >ONI06736 pep chromosome:Prunus_persica_NCBIv2:G5:9146749:9150765:1 gene:PRUPE_5G077700 transcript:ONI06736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSYYNTFFSWQFQAHYTNIDQTWLAFAISVVTFLRLGNTLVVVVTSPSLAREFLKDHDGGNDIAWSPYGPQWRMLRKVCVLKMLSNTTVDSVYELRRKQLRETVGYFYSRVGLAVNVGDCIGDDGVLGKQNVLDFYPGLARFDLQGVEKHMARLARRFDGIFEKVIDQRLRMEKEGAKKSIDVLTFLLKMKDEGGDSKTPFTMTHVKALLMDMVVGGSDTSSNTVEFALAEIMHKPEVMKKAQQELEAVVGKQNIVEESHIHKLPYLQAVMKETLRLHPALPLLVPHCPSETCTVGGYTIPKGSRIFVNVWAIHRDPSIWENPLEFDPKRFLNSKWDHSGNDFNYFPFVSGRRICAGIAMAERMVMHSLATLVHSFDWTLPQGQKLDLSEKFGIVLKKKMPLVAIPTPRLSDPALYE >ONI08160 pep chromosome:Prunus_persica_NCBIv2:G5:14157971:14161024:1 gene:PRUPE_5G160600 transcript:ONI08160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPNPPSYKVVKDEATGLLLMDPLPHRENVDVLKFPTRRGNEIVAVYIRHPMATSTLLYSHGNATDIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEHNTYADIEAAYKCLEERYGAKQEDIILYGQSVGSGPTVDLAARLPRLRAIVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGKQLWVLCQEKYEPLWLKGGSHCNLELYPEYLRHLNKFISTVEKSASRRISSRKSTDHRKSTDRIELPRRSVDFFEAPRKSTDRREKSRKSTDRPEKLKFSEYKFNNVDKVDKFRISADQIERSRRSVEYHHEKSRRSIDHQLEKARKSVDWLDRIRAG >ONI08487 pep chromosome:Prunus_persica_NCBIv2:G5:15158894:15162405:1 gene:PRUPE_5G181000 transcript:ONI08487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGRLGEMLNLSEELIKKSCYLSLKAQNFPDELLLVEKPPASSHAFFCFPGSWSVDSWFSGEKAFGETVITPALFPCMKSIGNHNETAKPGDLEFAIAFVNQAFLQKFEHVLQTSQLVNQVQDAINERKSIIFTGHSTGGAIAALATIWFLQKYPKTNAATFFCVTFGSPLVGNHIISHALRRENWSQYFIHFVMRYDIVPRILLAPLSSIHQGLQKILPFFDLKSPYFRSQILGTSLEAWHLYTNVMRDASALTTHVASQLMGSTNLVLQTVKNFIKLSPYKPFGTYVFCTGNGKLVVLKNPEAVLQTLFYSCQLSGETEWAAIAHNCLNEHFSYEKEFLGGECSLDMQDVVALDKLEELCLGSDRYLDDLGLSAEARLCLRAAGESEKQKGENQKKVNGKREEMKKALENLEEYRALCEHNVGYFDAFKIQKDRRDFEANVSRIVLTGIWDEIIEMLKKYELPDEFEAIKEWIQLGTRFRRLVEPIDIANYYRHSKDEDTGPYMKKGRPKRYKYPQRWLEHEQKLPAGSCGESWFWAEVEELHKLTGDGTAIYRERERVLKLQREVGNWIREGLVGKDVLLKSSTFYSWWQPLPPPLKSEIISGLMDDQGSMQE >ONI06749 pep chromosome:Prunus_persica_NCBIv2:G5:9320157:9322145:1 gene:PRUPE_5G079000 transcript:ONI06749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLKPISHLDNIPSTPGKFKLEKSQPYIHRLRFHTSLSKLTLWSSFFLVFILFYYVLSSPSPSLPSRRSLNDSWGGPSWEKRVTRSAQRRSSHGLTVLVTGAAGFVGTHVSIALKRRGDGVLGLDNFNHYYEPRLKRDRQKLLERNGVFVVEGDINDSSLLKKLFDVVAFSHIMHLAAQAGVRYAMQNPGSYVHSNIAGFVNILEVCKSVNPQPAIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILKGKTITIFEASDHGSVARDFTYIDDVVKGCVAALDTAKKSTGSGGKKKGPAQLRIFNLGNTSPVPVSELVNILEKLLKVKAKRKVLPMPRNGDVKFTHANISLAHKELRYKPGTDLETGLKKFVRWYLSYYPGSGKKSSW >ONI06081 pep chromosome:Prunus_persica_NCBIv2:G5:4369367:4369882:1 gene:PRUPE_5G039200 transcript:ONI06081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYWLNFIVFLCFRLTMLNEMPNAQIVLQSLCIIPDSRRSYTVFYSRKCILQVLVPFGNRFMWVVVCFISL >ONI06342 pep chromosome:Prunus_persica_NCBIv2:G5:5839439:5840690:1 gene:PRUPE_5G054600 transcript:ONI06342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRRPSWSSPAFGLLLVLVVVLGMGEVIKMGGAAPSAAQCHEERALAVTACMPVVFGKLPTPECCERARVSHTECICPVVTPKVAATIHDINRAVRLIEGCGRRVPRHFKCGSITTP >ONI06934 pep chromosome:Prunus_persica_NCBIv2:G5:10042928:10046847:-1 gene:PRUPE_5G089800 transcript:ONI06934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKSFTTQQKPVLLKDYLMDDLSSCSSNGFKSFPRRHCCTTNVRFLLDVDLKSSCQPSIRKINQQTPKLNRSRSRASAACDAVIKAVKSLPFHSVKHPSPSLRKGVLLIPRSLSRRLRRKSFWRKQSKEEKQAEKKDNGRLRLFREFLQEKSAPSDQTTATTAITGSRLSTSTRSSESKTDSHGNSNCNSWGESEFTLGSESSSGNDVVQGERTSSVKNKVSERVGEDSVSIERPTTTTCSPQNAKEWLNIKEEKEQFSPVSVLDCPFEDEDDQTSSPFSCSLARMQGTQQKLTQKIRRFEGLAQLEPVDLEKRMDEIMSDSEVEDEAPTSPLVGANYNHGAQIVNSTQEKAGELVQLIKTSSAPSNKLVTLKVEKLVLDLYRDRMVENSDENVVMKEVMKVAEDWINGQSQELLLGWEVENCRKVYVNDMDKYCGNWRELEEEKQEVGLELEVEVWNSLMKELLLDILI >ONI08842 pep chromosome:Prunus_persica_NCBIv2:G5:16344464:16347071:1 gene:PRUPE_5G203500 transcript:ONI08842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHISLSPSTELAEIAISVTPFRTPSSPRSPSPSRRSVHRAHIVQSTELASLTKIYSLPLKMNQAQALI >ONI05679 pep chromosome:Prunus_persica_NCBIv2:G5:2061002:2065319:1 gene:PRUPE_5G018800 transcript:ONI05679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFREWYSWHFPELGKSVTDNYLYAKVAKSIEDKSRLSEDRLPDLTDIVGHEDKAKEIIEAAKASMGQDFSLADFDIVQQFAERLAGLSEYRKGIYDFPGIKMNDIAPNLASLIGVVVGARLISHACSLTNLALFYPSDPWRRESSLQGIKNQGKHTQI >ONI07001 pep chromosome:Prunus_persica_NCBIv2:G5:10452269:10454723:-1 gene:PRUPE_5G094500 transcript:ONI07001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCIFPLIQTNKEKVQQMPSTQILLISFMHCIFSLLDQVSTLSTSTNKFPRKLLLHINNCKPSTGSRFIMLLFCQQSSMHHQQQTLHCLHQLLLTTWMQGKRGKKKARDRTQRFLRDVYCLYFLNEQLSYTQELYTTA >ONI08401 pep chromosome:Prunus_persica_NCBIv2:G5:14933106:14936975:-1 gene:PRUPE_5G175900 transcript:ONI08401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRLSCLLSRSLSASSAAAAASSSSSGSASLLLSQGRRVNRFSTAAATEELIIPPVQISHTQHLINGQFVDAASGKTFPTYDPRTGEVIAHVAEGDAEDINRAVAAARKAFDEGPWPKMSAYERSRILLRFADLVEKHSEELAALETWNNGKPYEQALHAEIPMLARLFHYYAGWADKIHGLTVPADGKYHIQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLTALYVAKLFQEAGLPPGVLNVVSGDGPTAGAPLASHMDVDKVAFTGSTDTGKIILELAARSNLKPVTLELGGKSPFIICEDADIDHAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFIEKAKARAVKRVVGDPFKKGVEQGPQIDNEQFEKVLRYIRAGIDSDATLECGGGRLGSKGYFIEPTVFSNVKDDMLIAKDEIFGPVQSILKFKDLDEVVRRANATRYGLAAGVFTRNIDTANYFTRALRAGTVWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLNNYLQVKAVVTPLKNPAWL >ONI08457 pep chromosome:Prunus_persica_NCBIv2:G5:15066429:15071496:-1 gene:PRUPE_5G178800 transcript:ONI08457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSGKRKQAEVEQKPKSATKSAKTSNGLKETSKDPYPNHPRPTAEECLFVRDDLLAFHGFPKEFAEYRKQRLISRDADGTGISEPSDLKESVLDGLVRTLLSQNTTEVNSQKAFACLKSAFPTWEDVLAADSICIEDAIRCGGLARTKASCIKNLLRCLLEKKEKLCLEYLRDLSVDEIKAELSHYKGIGPKTVACVLMFQLQQDDFPVDTHVFEIAKAMSWVPVEADRNKTYLHLNQRIPNELKFDLNCLLFTHGKLCRKCIKKGGNQQGKESHDNSCPLLRYRK >ONI06225 pep chromosome:Prunus_persica_NCBIv2:G5:5143337:5149835:-1 gene:PRUPE_5G048400 transcript:ONI06225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLASGNETMHVAHAQRSPRVIASHLSERFKFSKTFFSKRNFLNERHAHSGTHWSISHSPLVLNSRRTMVEFLLTSRAERQAHNDQVFLKTSNTFSSCYRSLPIFNLPFARGLHNHHWHMTEDARARVRIPELKDTSKSPTKKSILDRKLRGLLFVPYLTPHSHHDTWKSPLAQPRGRIQHHASRKDATDRRLLESKPSISPFFSLSISVYLIWEKMAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTIRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFLNTSKWIDEVRTERGSDVIIVLVGNKTDLVDKRQVSVEEGEAKGRDLNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSTGGSASQSQAQSGCSC >ONI06799 pep chromosome:Prunus_persica_NCBIv2:G5:9507893:9510565:-1 gene:PRUPE_5G081900 transcript:ONI06799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKLERVLRVFSSSLFFSNAAQRTTSLRQLHFFGSAQQDQKFYLNVQDMDDIQEDITKGMQELKFEAMALSFPQEIIHEILLRLPVKSLIKCTSVCKAWRSMIINKRFTRAHLSQINNMNDRHLFLVHRVSGRESSTSGHRAIVSKVTEEVYSLHYDNQAFDEYAKIEFPIAPKQEVLNEHLRVVGTCDGLVCLADDMFCYCYNFFIWNPAIRKLVTLPGPAITFRTHGGYDASIGFGFDANTNDYKVVRVVTLQHEGVGPTLAEVYSLATGSWSSVFSVTPSCQLSRASQAFVNGALHWPALRRTDYGSNYFILTFEVGSKLFREIRVPKILIKYNYHLGLWLSISGDGKSIALFTMDNRCEDSFLEIWMMKEYGMEESWTKLITLGPQGPERLLPRASCFRKNGEALLILSGARVAFSMGSILSNQYTFYGHRAKSNHELVSLDLVSKTIKNLGISGEGYQYCNVDSYKESLVLSDQTDAVSY >ONI08709 pep chromosome:Prunus_persica_NCBIv2:G5:15882585:15884747:1 gene:PRUPE_5G196000 transcript:ONI08709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVPAGMVEQYEQIDLPPGFRFHPTDEELISHYLHKKVIDISFSCKAIGEVDLNKSEPWDLPGKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIYKGKSLVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFSVHNLPKTAKNEWVICRVFEKNSGGKKTHISGFVRYGALGNEMDPSGLPPLMDSSPYSSKTKLASESSYVPCFSNPDPTDVQRNQGIVDYMNNPLFGVSSNTSNFFPRAPFSTSFYSAQSAPVAANFQFPGSVLMQDQSILRALLENNGSNMRQSFKTEREMVSVSQETGLTSEMNTEISSVMSNLEMGRRPFGDQEAPAAAAGAVDLDNFWNY >ONI07495 pep chromosome:Prunus_persica_NCBIv2:G5:12237242:12241512:1 gene:PRUPE_5G123800 transcript:ONI07495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTTHNDLPLHTHKRSRRTTANRVFAVVHSCAILALLYRHALSLLHSTSLTSFLITLTFLISDSILAFMYSTAQSSRMTPIYRKEFPKNLKRVVKESDFPALDVFICTADPFKEPPMNVVNTALSVMAYDYPTDKVSVYVSDDGGSALTLFAFMEAAKFASHWLPFCRENNIVERCPEAYFETDHSRLPEADKIKIMYQGMKVMVNNVIERGKVGDEYITGERERLAFRKWTDGFTRQDHPTIVQVLLDNSKDRDIAENSMPNLIYISREKSMTSHHNFKAGALNALLRVSAAMTNAPIVLTLDCDMFSNDPQTPHRALCYFLDPKIQSELGYVQFPQRFRGIDKSDMYASENRHLFEINPQGMDGVLGPNYVGTGCFFSRRVFFGGPSKFLPPEDPQLGPNNVVDKPIQSLEVLELAHHVAACNYEKNSNWGSKIGVRYGSLVEDYFTGYRLQCEGWKAIFCNPDKPAFYGDSPINLIDVLNQTKRWAIGLLEVACSKYSPITFGIKSMGPLMGLAYCQYAFWAIWSIPITTYTFLPQLALLNGLTIFPKVSEPWFLLYVFVFIGAYGEDLLDFYSTGVTFQKWWSDQRIWLIRGLSSFLFGSIEFFFKSFGIATLGFNVTSKVLDEDQSKRYEQGSMEFGVPSPLFVPLTTAAIVNLAAFAWGHLEIFRGSNGLEGLLMQMFIAGFGIANCIPIYEGIIRSDKGKIPTKITLVSTFIAFALHAVAYVTLRN >ONI09175 pep chromosome:Prunus_persica_NCBIv2:G5:17263929:17264977:1 gene:PRUPE_5G222000 transcript:ONI09175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHATNKRDVNVIINNNNNNNNGLYPPPSLKINKDSHFIKKSPSSSSSSPSSSASSSTSSLVNAVAGSACARPPPQQQQRHPVIIYTHSPKIIHTHPRDFMALVQKLTGLSRFDEDAQVAPPQQQQQPQRTTKAERGEGLLEEEMMSGKGANVVMRNEDNDSSSVITAEENCSSTNIINSNINLGVSVGVGGGGDGHVQMNSCFVPPNPYIGGIPVFTPNAADFFSSNPINHLFTI >ONI09103 pep chromosome:Prunus_persica_NCBIv2:G5:17070927:17072229:-1 gene:PRUPE_5G217900 transcript:ONI09103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKSSDDTVEASKRRFSCKGSCGFLKEGRGRLYIFRRCIVMLLCWHD >ONI06414 pep chromosome:Prunus_persica_NCBIv2:G5:6366402:6370602:1 gene:PRUPE_5G059000 transcript:ONI06414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSSDTRREIPPGILLIRSIRGKDWSLSTYRYVILLVTFIAYACYHASRKPSSIVKSVLYPDPNKGTGLGLDPLFGRVFVKEEFGGNGNYRLIEKGWAPFNGTDGTSKLGEIDLAFLACYSLGMYAAGHLGDTLDLRLFLTAGMIGSGIFVALFGMGYFWNVHVFGFYLGMQMVAGLFQATGWPSVVAVIGNWFGKGKRGLIMGIWNAHTSIGNISGSLLAASVLDYGWGWCFIVPGAFIAVGGVMVFFFLAPYPEDIGFSFPQGSPSDLEANPKDEEANVRKETTEEDETQTINRAGSVSRTSVGLLEACLIPGVIPFALCLFFSKLVAYTFLYWLPFYLSQTEIGGEYVSVKSAGNLSTLFDVGGIVGGILAGYISDKLNARATTAASFMCAAIPAMLLYRRYGSISHNINIALMMVAGLFINGPYALITTAVSADLGTHSSLRGDSRALATVTAIIDGTGSVGAAFGPLLTGFLSTKGWDAVFVMLMICALIAALLLSRLVMAEIAEKTCKQVPVSGGRQSSRAPASQPLLSDRR >ONI09496 pep chromosome:Prunus_persica_NCBIv2:G5:18167430:18170519:-1 gene:PRUPE_5G241300 transcript:ONI09496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVTCQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKDSCSDDTSSSSSSSSPCAAIQDSFALCRVFKKNGICSEMEEQQGQYSASLIQERSSQGTTGSTTNFNDCETMSPDIPMTASSCLEEDDKDDSWMQFITDDPWMHQCSTNPAMPAEEHLSHVPFTN >ONI08790 pep chromosome:Prunus_persica_NCBIv2:G5:16138903:16139438:1 gene:PRUPE_5G200900 transcript:ONI08790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTPRKVEVLWLFRSLLRTARQFCDYNVREYTKRRTIDAFRHNKSLSEPSHLSSVISDGKAQLDLAKRQALVYKLYAPKMTSIMDLKPTI >ONI06071 pep chromosome:Prunus_persica_NCBIv2:G5:4303169:4309049:1 gene:PRUPE_5G038300 transcript:ONI06071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTDLKKILGDLNKESFISLLSKLIGESKYLQNNPPELIPQEDRAVKHVLDSLLPLSTTTGGGPLVINHVTYFPGRGNVIVEYPGTVPGKTLSFVGCHMDVVTANPNDWEFDPFSLSIDGDKLRGRGTTDCLGHVALVAELMRRLAETKPKLKSTVVAVFIANEENSAISGVGVDALVKDGLLSKLREGPLFWIDTADKQPCVGTGGMIPWKLHVTGKLFHSGLAHKAINPLELAMEALKEIQLRFYRDFPPHPKEEVYGFATPSTMKPTQWSYPGGGINQIPAECTISGDVRLTPFYNVKDVMEKLQEYVDDINENIGKLDSRGPVSKYVLPDENLRGSLTLSFDETSSGVACDLNSRGFHVLCKATEEVVGHVKPYSITGSLPLIRELQDEGFDVQTSGYGLMATYHAKNEYCLFSDMHQGYQVFVSIISQLED >ONI09261 pep chromosome:Prunus_persica_NCBIv2:G5:17475249:17476721:1 gene:PRUPE_5G226900 transcript:ONI09261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYKLIALLVLQLCFAGFHIVSRVALNMGLSKVVYPVYRNLIALLSLGPFAYFFAYLLAFNKKWNGLYNLHCGIRITANQGFFLLGLYYASPTFASAMQNSVPAITFLMALAVRLEHINITRKDGLAKVIETIASLAEEMSSSTDMQNWKWGCIYLLVHCVAWARWMVFQYPAKLTLTSFTCFFGLIQFLVIAVFVETEFENWKIQSGEELFTILYAGIVASGIGPLQTLVAVMATLVLGDQLYCGGIIGALLIMLGLYSVLWGKNEENRVVNQGEVLKKPLLEADIKEDHGIALYRHRHAYA >ONI07038 pep chromosome:Prunus_persica_NCBIv2:G5:10557089:10558099:-1 gene:PRUPE_5G096600 transcript:ONI07038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVHPLIIPNSTNSDDASSSCTIHTRMTSTGETFTVWMKLLVMQGNGCTAFDGNGEVVYRIDNYDNKHSNEVYLMDLRGKLLFTVCEKKMCGFPTWKGCKNNGANKTFFQVRKSWRSVLGKKGFSYKVTMGSDSSFYRLEGLSGKSLEFRITDGNGGVVAETKRKQSSSGVVLGDDVFTLVVEPHVDHSFIMALVTVYGLIRHQI >ONI06391 pep chromosome:Prunus_persica_NCBIv2:G5:6284413:6291009:1 gene:PRUPE_5G058100 transcript:ONI06391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSSQTPDILGDRQYGQDVRTQNVMACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLVNCAKTSMSSKLIGGDSDFFANMVVDAVQAVKMTNARGEVKYPIKGINILKAHGKSARDSYLLTGYALNTGRAAQGMPLRVAPARIACLDFNLQKTKMQMGVQVLVSDPRELEKIRQREADMTKERIEKLLKAGANVILTTKGIDDMALKYFVEAGAIAVRRVQKEDMRHVAKATGATMVSTFADMEGEETFDSSLLGSADEVVEERISDDDVILIKGTKNNSAVSLILRGANDYMLDEMERALHDALSIVKRTLESNSVVAGGGAVESALSVYLECFATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDSTDLVAKLRANHHIAQTKAPTRAQTKADQKDYSSMGLDLLKGEVRDNLKAGVIEPAMSKVKIIQFATEAAITILRIDDMIRLVKDESQNED >ONH89458 pep supercontig:Prunus_persica_NCBIv2:scaffold_191:781:3492:-1 gene:PRUPE_I002400 transcript:ONH89458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGVFLFLLLALWLAMSAGTWGQDAKETLNLAAGTAQHKTHETFKAAKENTDSWVDRTFDKIAEGLGFGQENAKEKAKNMGDKAGDAASVATERLRMSTFGSCNYLLLPYSFTDEVINKSISSSNKYLISCFWVLLYVFSTYASLISQMAADTDSVHDNFDPSIRMPTDTIDNAKETVTRAMGSGMDRAANAYDEANNTMNRATGAASDKAYDAMEYGKDRTANAYDGAKEGVNMASNKDYDAKETVRGAMGSGMDRAGFMLKEQGLSVHSATSKPPHINWQGT >ONH89482 pep supercontig:Prunus_persica_NCBIv2:scaffold_61:4001:6696:-1 gene:PRUPE_I005500 transcript:ONH89482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVASSSTSISGRTFSPSTPSSDLKAVTFSQRRSSVKPVNAQSKRNESIVPLAATIVAPEAVEKVEAEDITQLAKGLENASPLEIMDKALEKFGNDIAIAFRIPYLVAVVECGNCMKDMRISLSIKSVDPVFEGLDGGIGSLVKWNPVANVEGRDIWDFLRAMNVPVNSLHSKGYISIGCEPCTRSVLPGQHEREGRWWWEDAKAKECGLHKGNIKQEEGNQSNGNGAAHSNGTATETDIFTSQNLVTLSRTGIENLARLENRHEPWIVVLYAPWCQFCQAMEGSYIELADKLAGSGVKVGKFRADGEQKEFAQNELQLGSFPTILFFPKHSSRPIKYPSEKRDIDSLMAFINALR >ONH89470 pep supercontig:Prunus_persica_NCBIv2:scaffold_112:92:2761:-1 gene:PRUPE_I000100 transcript:ONH89470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPQNLVNQTLPNSDGSQSSGRLVQGAPQQRNEKLNASSNNERDFEVVLESMHSAISLSKTEILDSVLNDFSEGYFSLSYENRRKLLELLAKEYDLNRTQVRDLIKQYLGLELPGGSDNAPSTGIEEEACLSAFYRIERNLRHALKPAYEVLFERLNTHPGGLKFLSILRADILSILAEENIVSLRALDSYLKEKLSTWLSPATLELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGLGRRCFGYLHPAIPGEPLIFIEVALMKNVAQTVQEVLWDDPPSPECEATSALFYSISSTQPGLQGINLGRFLIKRVITLVKREMTNISTFATLSPIPGYMQWLLSKLASQSKLSEGEDIQHSPADTSGSTFWENILEPEEERALMDASVEFTSGKNSMEVLFNLLTSPNHEWTSSDKLLSALKPPLMRLCARYLLQEKKRGKALDSVANFHLQNGAVRHLTQVCRTVG >ONH89471 pep supercontig:Prunus_persica_NCBIv2:scaffold_112:10:3081:-1 gene:PRUPE_I000100 transcript:ONH89471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANQVDDWCKVLHNNETKSSMPRATMRVVLESMHSAISLSKTEILDSVLNDFSEGYFSLSYENRRKLLELLAKEYDLNRTQVRDLIKQYLGLELPGGSDNAPSTGIEEEACLSAFYRIERNLRHALKPAYEVLFERLNTHPGGLKFLSILRADILSILAEENIVSLRALDSYLKEKLSTWLSPATLELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGLGRRCFGYLHPAIPGEPLIFIEVALMKNVAQTVQEVLWDDPPSPECEATSALFYSISSTQPGLQGINLGRFLIKRVITLVKREMTNISTFATLSPIPGYMQWLLSKLASQSKLSEGEDIQHSPADTSGSTFWENILEPEEERALMDASVEFTSGKNSMEVLFNLLTSPNHEWTSSDKLLSALKPPLMRLCARYLLQEKKRGKALDSVANFHLQNGAVRHLTQVCRTVG >ONH89469 pep supercontig:Prunus_persica_NCBIv2:scaffold_112:10:3081:-1 gene:PRUPE_I000100 transcript:ONH89469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKGLAILMRTRMRPNDARDLARSPLANLVNQTLPNSDGSQSSGRLVQGAPQQRNEKLNASSNNERDFEVVLESMHSAISLSKTEILDSVLNDFSEGYFSLSYENRRKLLELLAKEYDLNRTQVRDLIKQYLGLELPGGSDNAPSTGIEEEACLSAFYRIERNLRHALKPAYEVLFERLNTHPGGLKFLSILRADILSILAEENIVSLRALDSYLKEKLSTWLSPATLELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGLGRRCFGYLHPAIPGEPLIFIEVALMKNVAQTVQEVLWDDPPSPECEATSALFYSISSTQPGLQGINLGRFLIKRVITLVKREMTNISTFATLSPIPGYMQWLLSKLASQSKLSEGEDIQHSPADTSGSTFWENILEPEEERALMDASVEFTSGKNSMEVLFNLLTSPNHEWTSSDKLLSALKPPLMRLCARYLLQEKKRGKALDSVANFHLQNGAVRHLTQVCRTVG >ONH89472 pep supercontig:Prunus_persica_NCBIv2:scaffold_112:92:2580:-1 gene:PRUPE_I000100 transcript:ONH89472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFRDFEVVLESMHSAISLSKTEILDSVLNDFSEGYFSLSYENRRKLLELLAKEYDLNRTQVRDLIKQYLGLELPGGSDNAPSTGIEEEACLSAFYRIERNLRHALKPAYEVLFERLNTHPGGLKFLSILRADILSILAEENIVSLRALDSYLKEKLSTWLSPATLELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGLGRRCFGYLHPAIPGEPLIFIEVALMKNVAQTVQEVLWDDPPSPECEATSALFYSISSTQPGLQGINLGRFLIKRVITLVKREMTNISTFATLSPIPGYMQWLLSKLASQSKLSEGEDIQHSPADTSGSTFWENILEPEEERALMDASVEFTSGKNSMEVLFNLLTSPNHEWTSSDKLLSALKPPLMRLCARYLLQEKKRGKALDSVANFHLQNGAVRHLTQVCRTVG >ONI25417 pep chromosome:Prunus_persica_NCBIv2:G2:28953917:28957829:-1 gene:PRUPE_2G301900 transcript:ONI25417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVDRLFECFKCGISPPQSALRERKRSKSNLKRRSKTQEVSSPGSAAEQTQKYASEIQLSAEKSGSGTVKANNFNRAKQFSPVVFYGSPNGVPPKKPSRSLLRLLREIRVDLATQKRLSSRKEVWATFPRQDEAMQFAKGNGNVHVFSYQDHYSGQRRFLVSTYDDFWRRYNNMNPKFRHHYEVIQEGLPCHLYFDLEFNKRDNAGRNGDEMVDVLISVIFEALLEKYSIQGNQEWILELDSSTEAKFSRHLIIRIEKTAFKDNSHVGAFVTEICSRISSAKERDGRFGNFFVRKDSKSSNSASQLFVDTAVYTRNRCFRLALSSKAGKNSVLLPTGRFKAKDMQSEEEMFMASLICNLDVDCEKLLVCKTDLDCIKTLHFDTEVNRNFGKCYSWPQEFALNGGTTDASATYFLGKSPFPALDAFIESVATVGNVSGKIRSWYWFSEFGHMVYSMSRNRYCERIGRQHKSNHVIYVADLRRAAYYQKCHDPDCRGYRSPSRPIPLDIIPEMVHEYRNDHECVPYGDENMTSSCITDSWWLEAIRVADDVENKKIDISNMFLPQIGKH >ONI25416 pep chromosome:Prunus_persica_NCBIv2:G2:28953545:28958150:-1 gene:PRUPE_2G301900 transcript:ONI25416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVDRLFECFKCGISPPQSALRERKRSKSNLKRRSKTQEVSSPGSAAEQTQKYASEIQLSAEKSGSGTVKANNFNRAKQFSPVVFYGSPNGVPPKKPSRSLLRLLREIRVDLATQKRLSSRKEVWATFPRQDEAMQFAKGNGNVHVFSYQDHYSGQRRFLVSTYDDFWRRYNNMNPKFRHHYEVIQEGLPCHLYFDLEFNKRDNAGRNGDEMVDVLISVIFEALLEKYSIQGNQEWILELDSSTEAKFSRHLIIRIEKTAFKDNSHVGAFVTEICSRISSAKERDGRFGNFFVRKDSKSSNSASQLFVDTAVYTRNRCFRLALSSKAGKNSVLLPTGRFKAKDMQSEEEMFMASLICNLDVDCEKLLVCKTDLDCIKTLHFDTEVNRNFGKCYSWPQEFALNGGTTDASATYFLGKSPFPALDAFIESVATVGNVSGKIRSWYWFSEFGHMVYSMSRNRYCERIGRQHKSNHVIYVADLRRAAYYQKCHDPDCRGYRSPSRPIPLDIIPEMVHEYRNDHECVPYGDENMTSSCITDSWWLEAIRVADDVENKKIDISNMENIDLEDDNWWMAVEKTASQAELAHFN >ONI25547 pep chromosome:Prunus_persica_NCBIv2:G2:29289289:29291182:1 gene:PRUPE_2G308900 transcript:ONI25547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSLYFHSLIPKVSNFHSDFDVSLIPIFSQMDSVQLQVPKIEINPHGFDSLQYYQDPFHENVSLEEHLPLDNLFEFNSIPLEWNQNQHPHMGFQGFEDLGSWDFMLDNTDFTSPCDYVVVDEKPVITMVDYFDYSNNPTSDLDEASRMADWGSNGIYNKKSSAALELDEIQKYFDLPITQAAKKLNVGLTVLKRRCRELNIMRWPHRKIKSLKALIENVKGLGLTDELKMLEEQKRLLEQLPDMELTERAKKLRQASFKANYKMKRSLTTARP >ONI25548 pep chromosome:Prunus_persica_NCBIv2:G2:29289289:29290762:1 gene:PRUPE_2G308900 transcript:ONI25548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSLYFHSLIPKVSNFHSDFDVSLIPIFSQMDSVQLQVPKIEINPHGFDSLQYYQDPFHENVSLEEHLPLDNLFEFNSIPLEWNQNQHPHMGFQGFEDLGSWDFMLDNTDFTSPCDYVVVDEKPVITMVDYFDYSNNPTSDLDEASRMADWGSNGIYNKKSSAALELDEIQKYFDLPITQAAKKLNVGLTVLKRRCRELNIMRWPHRKIKSLKALIENVKEDSV >ONI21052 pep chromosome:Prunus_persica_NCBIv2:G2:5556343:5568700:1 gene:PRUPE_2G048200 transcript:ONI21052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVDWRTQFPADSRERVVNKIMNTLRKRFPSSDSEKCISEIKNIALKFEEKIYMVARNQKEYVMKIMSRLEAMVPSSSKSNPSYSGLNTSNPGGNQGQSIAVQSRDQSQAREQHSLPNVPNTIASGGFSSNLASARSTVSVPARVPLPNSATENSNSQKSVGNLSGQGAPINVSANNVRQEHGRQHSPHIGTQQNHEQLNHHLGIQVKQENITPSCIAQQKQLQQYQQQKLLKPAQKQTSQQSVMQTPAIRPSEAHVAPLSDLRQDQMSSFEQTTRSVIKNHPHTASRQIHQSQETPDIHQNVSSRPDNLAMQSPKQSHLMGNPGLQQQQTRLPGQPNNVQQWFQVQKMNLSSTHHEQLAERNNISVLQQSSQLLGIQCPSSGMRQSNFKMQEETQHTASALLPNQGQRSQPQSLERQLNSQSQPDKMRQLPNPTEQDQQQTYQTSGTVTSTSENRISFVDNWQEDTYRKVESLKSKFLTPLSDMLRKVTYTIQQFNSVSQQTERVSIERYKALKNRLTEIILHLNVPKSKITPSYKENLGSMERQILLILHTYGRAKPVPSLQHEQLPSDVHSMRQMVQLHSQTPQVHTHQDERKLPLQSVNLQCSATSNATNFVNSSRSPAEHPTLKHDMVHLRKNLTEQYSGQANSLTAVRQVASGSSKIPTGGSQKPKVQTLSQIPIHETQSNLNALDSSCSTLKDMHLKLPKEEPAVQTQNPKQELRRHMIMEQLVQQQKIVHQHHEPVKLQSGASFPHAVPKILGSSCQEISQYSSQIDKKNLLIPLTKARTTLYPANSPSIKISSLVPLAASSRTGDSEKPISDTSSLSNAGSTGDPQVNGAQSPSPFVISTPGMSASPLLEEYTNGIQCNTSTIISDELTVSEQPIQRLTKVVNLMSSKTISAAVLDIGSIMCTTDRILGPETDGRSIGSFGKDFVEMTNSHLLKRYLTWQDNTFPTRKLKRCRSTVPMHVVDSLPEFNDKEKFDLDSTAISYIRRPRIEVNQTLLEEITVINHQLIDTVLDISDEDTPPTVTSAPIKVGEGTIVRCSFIAVAISPSLKSEQLSIQPLRLLVPANYPLCSPIFLDKLRVDISNELEDLSAKVKSKLNISLRSLTEPLSLGEIARTWDVCARAAISEYAQQFGGGSFSSKCGMWEDCLSAA >ONI21053 pep chromosome:Prunus_persica_NCBIv2:G2:5558229:5568700:1 gene:PRUPE_2G048200 transcript:ONI21053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVDWRTQFPADSRERVVNKIMNTLRKRFPSSDSEKCISEIKNIALKFEEKIYMVARNQKEYVMKIMSRLEAMVPSSSKSNPSYSGLNTSNPGGNQGQSIAVQSRDQSQAREQHSLPNVPNTIASGGFSSNLASARSTVSVPARVPLPNSATENSNSQKSVGNLSGQGAPINVSANNVRQEHGRQHSPHIGTQQNHEQLNHHLGIQVKQENITPSCIAQQKQLQQYQQQKLLKPAQKQTSQQSVMQTPAIRPSEAHVAPLSDLRQDQMSSFEQTTRSVIKNHPHTASRQIHQSQETPDIHQNVSSRPDNLAMQSPKQSHLMGNPGLQQQQTRLPGQPNNVQQWFQVQKMNLSSTHHEQLAERNNISVLQQSSQLLGIQCPSSGMRQSNFKMQEETQHTASALLPNQGQRSQPQSLERQLNSQSQPDKMRQLPNPTEQDQQQTYQTSGTVTSTSENRISFVDNWQEDTYRKVESLKSKFLTPLSDMLRKVTYTIQQFNSVSQQTERVSIERYKALKNRLTEIILHLNVPKSKITPSYKENLGSMERQILLILHTYGRAKPVPSLQHEQLPSDVHSMRQMVQLHSQTPQVHTHQDERKLPLQSVNLQCSATSNATNFVNSSRSPAEHPTLKHDMVHLRKNLTEQYSGQANSLTAVRQVASGSSKIPTGGSQKPKVQTLSQIPIHETQSNLNALDSSCSTLKDMHLKLPKEEPAVQTQNPKQELRRHMIMEQLVQQQKIVHQHHEPVKLQSGASFPHAVPKILGSSCQEISQYSSQIDKKNLLIPLTKARTTLYPANSPSIKISSLVPLAASSRTGDSEKPISDTSSLSNAGSTGDPQVNGAQSPSPFVISTPGMSASPLLEEYTNGIQCNTSTIISDELTVSEQPIQRLTKVVNLMSSKTISAAVLDIGSIMCTTDRILGPETDGRSIGSFGKDFVEMTNSHLLKRYLTWQDNTFPTRKLKRCRSTVPMHVVDSLPEFNDKEKFDLDSTAISYIRRPRIEVNQTLLEEITVINHQLIDTVLDISDEDTPPTVTSAPIKVGEGTIVRCSFIAVAISPSLKSEQLSIQPLRLLVPANYPLCSPIFLDKLRVDISNELEDLSAKVKSKLNISLRSLTEPLSLGEIARTWDVCARAAISEYAQQFGGGSFSSKCGMWEDCLSAA >ONI24092 pep chromosome:Prunus_persica_NCBIv2:G2:25072866:25078318:1 gene:PRUPE_2G223500 transcript:ONI24092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDDVAEVVEKSEEIAAAPARNRDSNSEATVENSAVKDEDGSSRNWWVFPSREESHDPEEPILQKWKKIFVASCLLAILLDPLFLYVPMMKDDIKCLQSDKNLKIAALLLRSVTDLFYIFDIIFQIYTSDYFSGLINEYRGKQYSDYSKINFCREHIVPKIAKIIGESCNILIDILAILPLPQVAILIFFPEMRDLRSFNTIRMVIMNLFILLQYVPRVFRIYLSCKELKRTPKKQNGETAKWVKCVLNLLMYVIASHVLGAIWYFFAIQRMGICWHNACRKVNGCNTTTFGCHDHHTFRNMTFLNYLCPVRPMNTTLFDFGIYITVLQSGITGSTNYFEKLSNCFWWGLQNLSSFGSNLEPSINGWENLFAAFISIIGLLLFLYLIGNLQAYLQFDTTRIETHRHKRRVEEKMKEKGQKIELWLFCIPERLKEDMKLLIMEKVRQELEEDRDADLNYILSTLPMDIQSSIRSGIPITRLTQVPIFKDMDEKVLKAICRYLKPRRYAKNGTITQEGGPLEMMLYIVNGFVNIEERDGSNNLQRGAREVYGEELLRWPLSNSFPHVLPSATLSATAGSDVVALALTATDLDSVVSEFGRQYFFGMITCKMFSVKEVEKATDTYHVSRFIRRQQTITSRGVSTRVYKGVLADKTEVEVTRYTVNCSYDDYPYLVKLVAVASRTNHKNLVRLLGCCLEGQTIALVSEYIPNACTLFQRIHGEEGEEGKPSSPLSLELRMKIASETAGALAYLRSLTTTPILPSFVSTTSILLDDNCTAKLCSFGLRFVPNDSRYSLSSIRQGCFDPESKTLIERLDVYGIGVVLAELLTDPNAISSSGDRDLTKLVNSFLEDRLKEILDRKIILKEGDFRTAKKVAHLATRCLRYEKEEMPSMKEVVAELEGILRILRAEQGGEASFS >ONI24093 pep chromosome:Prunus_persica_NCBIv2:G2:25073242:25078331:1 gene:PRUPE_2G223500 transcript:ONI24093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDDVAEVVEKSEEIAAAPARNRDSNSEATVENSAVKDEDGSSRNWWVFPSREESHDPEEPILQKWKKIFVASCLLAILLDPLFLYVPMMKDDIKCLQSDKNLKIAALLLRSVTDLFYIFDIIFQIYTSDYFSGLINEYRGKQYSDYSKINFCREHIVPKIAKIIGESCNILIDILAILPLPQVAILIFFPEMRDLRSFNTIRMVIMNLFILLQYVPRVFRIYLSCKELKRTPKKQNGETAKWVKCVLNLLMYVIASHVLGAIWYFFAIQRMGICWHNACRKVNGCNTTTFGCHDHHTFRNMTFLNYLCPVRPMNTTLFDFGIYITVLQSGITGSTNYFEKLSNCFWWGLQNLSSFGSNLEPSINGWENLFAAFISIIGLLLFLYLIGNLQAYLQFDTTRIETHRHKRRVEEKMKEKGQKIELWLFCIPERLKEDMKLLIMEKVRQELEEDRDADLNYILSTLPMDIQSSIRSGIPITRLTQVPIFKDMDEKVLKAICRYLKPRRYAKNGTITQEGGPLEMMLYIVNGFVNIEERDGSNNLQRGAREVYGEELLRWPLSNSFPHVLPSATLSATAGSDVVALALTATDLDSVVSEFGRQYFFGMITCKMFSVKEVEKATDTYHVSRFIRRQQTITSRGVSTRVYKGVLADKTEVEVTRYTVNCSYDDYPYLVKLVAVASRTNHKNLVRLLGCCLEGQTIALVSEYIPNACTLFQRIHGEEGEEGKPSSPLSLELRMKIASETAGALAYLRSLTTTPILPSFVSTTSILLDDNCTAKLCSFGLRFVPNDSRYSLSSIRQGCFDPESKTLIERLDVYGIGVVLAELLTDPNAISSSGDRDLTKLVNSFLEDRLKEILDRKIILKEGDFRTAKKVAHLATRCLRYEKEEMPSMKEVVAELEGILRILRAEQGGEASFS >ONI24091 pep chromosome:Prunus_persica_NCBIv2:G2:25072866:25078318:1 gene:PRUPE_2G223500 transcript:ONI24091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDDVAEVVEKSEEIAAAPARNRDSNSEATVENSAVKDEDGSSRNWWVFPSREESHDPEEPILQKWKKIFVASCLLAILLDPLFLYVPMMKDDIKCLQSDKNLKIAALLLRSVTDLFYIFDIIFQIYTSDYFSGLINEYRGKQYSDYSKINFCREHIVPKIAKIIGESCNILIDILAILPLPQVAILIFFPEMRDLRSFNTIRMVIMNLFILLQYVPRVFRIYLSCKELKRTPKKQNGETAKWVKCVLNLLMYVIASHVLGAIWYFFAIQRMGICWHNACRKVNGCNTTTFGCHDHHTFRNMTFLNYLCPVRPMNTTLFDFGIYITVLQSGITGSTNYFEKLSNCFWWGLQNLSSFGSNLEPSINGWENLFAAFISIIGLLLFLYLIGNLQAYLQFDTTRIETHRHKRRVEEKMKEKGQKIELWLFCIPERLKEDMKLLIMEKVRQELEEDRDADLNYILSTLPMDIQSSIRSGIPITRLTQVPIFKDMDEKVLKAICRYLKPRRYAKNGTITQEGGPLEMMLYIVNGFVNIEERDGSNNLQRGAREVYGEELLRWPLSNSFPHVLPSATLSATAGSDVVALALTATDLDSVVSEFGRQYFFGMITCKMFSVKEVEKATDTYHVSRFIRRQQTITSRGVSTRVYKGVLADKTEVEVTRYTVNCSYDDYPYLVKLVAVASRTNHKNLVRLLGCCLEGQTIALVSEYIPNACTLFQRIHGEEGEEGKPSSPLSLELRMKIASETAGALAYLRSLTTTPILPSFVSTTSILLDDNCTAKLCSFGLRFVPNDSRYSLSSIRQGCFDPESKTLIERLDVYGIGVVLAELLTDPNAISSSGDRDLTKLVNSFLEDRLKEILDRKIILKEGDFRTAKKVAHLATRCLRYEKEEMPSMKEVVAELEGILRILRAEQGGEASFS >ONI24094 pep chromosome:Prunus_persica_NCBIv2:G2:25075050:25078318:1 gene:PRUPE_2G223500 transcript:ONI24094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIIASHVLGAIWYFFAIQRMGICWHNACRKVNGCNTTTFGCHDHHTFRNMTFLNYLCPVRPMNTTLFDFGIYITVLQSGITGSTNYFEKLSNCFWWGLQNLSSFGSNLEPSINGWENLFAAFISIIGLLLFLYLIGNLQAYLQFDTTRIETHRHKRRVEEKMKEKGQKIELWLFCIPERLKEDMKLLIMEKVRQELEEDRDADLNYILSTLPMDIQSSIRSGIPITRLTQVPIFKDMDEKVLKAICRYLKPRRYAKNGTITQEGGPLEMMLYIVNGFVNIEERDGSNNLQRGAREVYGEELLRWPLSNSFPHVLPSATLSATAGSDVVALALTATDLDSVVSEFGRQYFFGMITCKMFSVKEVEKATDTYHVSRFIRRQQTITSRGVSTRVYKGVLADKTEVEVTRYTVNCSYDDYPYLVKLVAVASRTNHKNLVRLLGCCLEGQTIALVSEYIPNACTLFQRIHGEEGEEGKPSSPLSLELRMKIASETAGALAYLRSLTTTPILPSFVSTTSILLDDNCTAKLCSFGLRFVPNDSRYSLSSIRQGCFDPESKTLIERLDVYGIGVVLAELLTDPNAISSSGDRDLTKLVNSFLEDRLKEILDRKIILKEGDFRTAKKVAHLATRCLRYEKEEMPSMKEVVAELEGILRILRAEQGGEASFS >ONI24095 pep chromosome:Prunus_persica_NCBIv2:G2:25075050:25078318:1 gene:PRUPE_2G223500 transcript:ONI24095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKGQKIELWLFCIPERLKEDMKLLIMEKVRQELEEDRDADLNYILSTLPMDIQSSIRSGIPITRLTQVPIFKDMDEKVLKAICRYLKPRRYAKNGTITQEGGPLEMMLYIVNGFVNIEERDGSNNLQRGAREVYGEELLRWPLSNSFPHVLPSATLSATAGSDVVALALTATDLDSVVSEFGRQYFFGMITCKMFSVKEVEKATDTYHVSRFIRRQQTITSRGVSTRVYKGVLADKTEVEVTRYTVNCSYDDYPYLVKLVAVASRTNHKNLVRLLGCCLEGQTIALVSEYIPNACTLFQRIHGEEGEEGKPSSPLSLELRMKIASETAGALAYLRSLTTTPILPSFVSTTSILLDDNCTAKLCSFGLRFVPNDSRYSLSSIRQGCFDPESKTLIERLDVYGIGVVLAELLTDPNAISSSGDRDLTKLVNSFLEDRLKEILDRKIILKEGDFRTAKKVAHLATRCLRYEKEEMPSMKEVVAELEGILRILRAEQGGEASFS >ONI20518 pep chromosome:Prunus_persica_NCBIv2:G2:2005141:2015644:-1 gene:PRUPE_2G020300 transcript:ONI20518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNFKTQLLILILVLVPIVALSEPEAVEALLRRLDSKRSPASVQEAAAKAVLKRLLPTHVDSFDFKIFSKEACGGQSCFLLNNNNLSSRQGPEIQIKGTTAVEIASGLHWYLKYWCGAHVSWDKTGGVQVVSIPKPGSLPRVRDEGLKIQRPIPWNYYQNVVTSSYSFVWWDWERWQKEIDWMALQGINLPLAFTGQESIWQKVFMDFNISKEDLNDFFGGPAFLAWARMGNLHAWGGPLSQNWLDQQLVLQKQILTRMLELGMTPVLPSFSGNVPAALKKIYPSANITRLGDWNTVNGDPRWCCTYLLDPSDTLFVEIGTAFIRRQVEEYGDVTDIYNCDTFNENSPPTNDPAYISSLGAAVYKAMSKGDKDAVWLMQGWLFYSDSSFWKPPQMKALLHSVPFGKMIVLDLFADVKPIWRTSSQFYGTPYIWCLLHNFGGNIEMYGILDAVSSGPVDARTSENSTMVGVGMCMEGIEHNPVIYELTSEMAFRSEKVQVQDWLKTYSRRRYGKTVHQVEAAWEILHHTIYNCTDGIADHNTDFIVKFPDWDPSSNPISNITKQNQMQMLLALDRKRRVLLQETSAHLPQAHLWYSTQEVVNALRLFLDGGNDLSGSLTYRYDLVDLTRQVLSKLANQVYVDAVTAYQGRDVKAYSLHSRNFVQLIKDIDVLLASDDNFLLGTWLESAKKLAANPTERSMSGMQEHR >ONI20517 pep chromosome:Prunus_persica_NCBIv2:G2:2005552:2012823:-1 gene:PRUPE_2G020300 transcript:ONI20517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQGINLPLAFTGQESIWQKVFMDFNISKEDLNDFFGGPAFLAWARMGNLHAWGGPLSQNWLDQQLVLQKQILTRMLELGMTPVLPSFSGNVPAALKKIYPSANITRLGDWNTVNGDPRWCCTYLLDPSDTLFVEIGTAFIRRQVEEYGDVTDIYNCDTFNENSPPTNDPAYISSLGAAVYKAMSKGDKDAVWLMQGWLFYSDSSFWKPPQMKALLHSVPFGKMIVLDLFADVKPIWRTSSQFYGTPYIWCLLHNFGGNIEMYGILDAVSSGPVDARTSENSTMVGVGMCMEGIEHNPVIYELTSEMAFRSEKVQVQDWLKTYSRRRYGKTVHQVEAAWEILHHTIYNCTDGIADHNTDFIVKFPDWDPSSNPISNITKQNQMQMLLALDRKRRVLLQETSAHLPQAHLWYSTQEVVNALRLFLDGGNDLSGSLTYRYDLVDLTRQVLSKLANQVYVDAVTAYQGRDVKAYSLHSRNFVQLIKDIDVLLASDDNFLLGTWLESAKKLAANPTERRQYEWNARTQVTMWFDNTKTNQSKLHDYANKFWSGLLGSYYLPRASTYFSYLSKSLRDNKDFEVEEWRKEWISLSNGWQAGTELYPVKAKGDALAISRALYKKYFS >ONI20519 pep chromosome:Prunus_persica_NCBIv2:G2:2005141:2015644:-1 gene:PRUPE_2G020300 transcript:ONI20519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQGINLPLAFTGQESIWQKVFMDFNISKEDLNDFFGGPAFLAWARMGNLHAWGGPLSQNWLDQQLVLQKQILTRMLELGMTPVLPSFSGNVPAALKKIYPSANITRLGDWNTVNGDPRWCCTYLLDPSDTLFVEIGTAFIRRQVEEYGDVTDIYNCDTFNENSPPTNDPAYISSLGAAVYKAMSKGDKDAVWLMQGWLFYSDSSFWKPPQMKALLHSVPFGKMIVLDLFADVKPIWRTSSQFYGTPYIWCLLHNFGGNIEMYGILDAVSSGPVDARTSENSTMVGVGMCMEGIEHNPVIYELTSEMAFRSEKVQVQDWLKTYSRRRYGKTVHQVEAAWEILHHTIYNCTDGIADHNTDFIVKFPDWDPSSNPISNITKQNQMQMLLALDRKRRVLLQETSAHLPQAHLWYSTQEVVNALRLFLDGGNDLSGSLTYRYDLVDLTRQVLSKLANQVYVDAVTAYQGRDVKAYSLHSRNFVQLIKDIDVLLASDDNFLLGTWLESAKKLAANPTERSMSGMQEHR >ONI20516 pep chromosome:Prunus_persica_NCBIv2:G2:2005552:2015375:-1 gene:PRUPE_2G020300 transcript:ONI20516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNFKTQLLILILVLVPIVALSEPEAVEALLRRLDSKRSPASVQEAAAKAVLKRLLPTHVDSFDFKIFSKEACGGQSCFLLNNNNLSSRQGPEIQIKGTTAVEIASGLHWYLKYWCGAHVSWDKTGGVQVVSIPKPGSLPRVRDEGLKIQRPIPWNYYQNVVTSSYSFVWWDWERWQKEIDWMALQGINLPLAFTGQESIWQKVFMDFNISKEDLNDFFGGPAFLAWARMGNLHAWGGPLSQNWLDQQLVLQKQILTRMLELGMTPVLPSFSGNVPAALKKIYPSANITRLGDWNTVNGDPRWCCTYLLDPSDTLFVEIGTAFIRRQVEEYGDVTDIYNCDTFNENSPPTNDPAYISSLGAAVYKAMSKGDKDAVWLMQGWLFYSDSSFWKPPQMKALLHSVPFGKMIVLDLFADVKPIWRTSSQFYGTPYIWCLLHNFGGNIEMYGILDAVSSGPVDARTSENSTMVGVGMCMEGIEHNPVIYELTSEMAFRSEKVQVQDWLKTYSRRRYGKTVHQVEAAWEILHHTIYNCTDGIADHNTDFIVKFPDWDPSSNPISNITKQNQMQMLLALDRKRRVLLQETSAHLPQAHLWYSTQEVVNALRLFLDGGNDLSGSLTYRYDLVDLTRQVLSKLANQVYVDAVTAYQGRDVKAYSLHSRNFVQLIKDIDVLLASDDNFLLGTWLESAKKLAANPTERRQYEWNARTQVTMWFDNTKTNQSKLHDYANKFWSGLLGSYYLPRASTYFSYLSKSLRDNKDFEVEEWRKEWISLSNGWQAGTELYPVKAKGDALAISRALYKKYFS >ONI25690 pep chromosome:Prunus_persica_NCBIv2:G2:29605308:29608708:1 gene:PRUPE_2G315000 transcript:ONI25690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAYWRYSDARQPPPSAMNSVVGKRPRSDYDAHGGHDLPSYYSHEDDRGSLRGIRDTDSINASYDRYLRSAQMPSYSGGQSARTLSGGLPGRSVDDPRMMGMGGVDPGAPVKDRVLGMGSGRPEAPLPPGATSTLFVEGLPPNCTRREVAHIFRPFVGYKEVRLVSKESRHPGGDPLVLCFVDFVSPAHAATAMDALQGYKFDEHDRDSVNLRLQFARYPGARSGGGHRGKR >ONI25692 pep chromosome:Prunus_persica_NCBIv2:G2:29605308:29608708:1 gene:PRUPE_2G315000 transcript:ONI25692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMVATTCRVIIPMKMIEVLSVELEILTPLMPHMIATLMFQQMPSYSGGQSARTLSGGLPGRSVDDPRMMGMGGVDPGAPVKDRVLGMGSGRPEAPLPPGATSTLFVEGLPPNCTRREVAHIFRPFVGYKEVRLVSKESRHPGGDPLVLCFVDFVSPAHAATAMDALQGYKFDEHDRDSVNLRLQFARYPGARSGGGHRGKR >ONI25691 pep chromosome:Prunus_persica_NCBIv2:G2:29605308:29607304:1 gene:PRUPE_2G315000 transcript:ONI25691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAYWRYSDARQPPPSAMNSVVGKRPRSDYDAHGGHDLPSYYSHEDDRGSLRGIRDTDSINASYDRYLRSAQMPSYSGGQSARTLSGGLPGRSVDDPRMMGMGGVDPGAPVKDRVLGMGSGRPEAPLPPGATSTLFVEGLPPNCTRREVAHIFRPFVGYKEVRLVSKESRHPGGDPLVLCFVDFVSPAHAATAMDALQGKLLTTSFNLLQS >ONI25905 pep chromosome:Prunus_persica_NCBIv2:G2:30084754:30090108:1 gene:PRUPE_2G325800 transcript:ONI25905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCEKVESKGRTTYNEVADELVAEFADPSNGVTSPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEELKTERLGLRNRIEKKASYLQELEEQYVGLQNLIQRNEQLCHSGDALSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQADDGTQNLTADGGEGCSMSGMYQPQIPLPSMLNTPVRPPTSPPIPGILKARVKHEHHGQ >ONI25904 pep chromosome:Prunus_persica_NCBIv2:G2:30086059:30090108:1 gene:PRUPE_2G325800 transcript:ONI25904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSQQPSRERADVDDDMAGQSVSMSGSVGSPSSRSEQTMATPAGDTNFLRLNHPDVHGDDAGSQGAVGKKKKRGQRAVAGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPSNGVTSPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEELKTERLGLRNRIEKKASYLQELEEQYVGLQNLIQRNEQLCHSGDALSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQADDGTQNLTADGGEGCSMSGMYQPQIPLPSMLNTPVRPPTSPPIPGILKARVKHEHHGQ >ONI25903 pep chromosome:Prunus_persica_NCBIv2:G2:30086059:30090108:1 gene:PRUPE_2G325800 transcript:ONI25903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSQQPSRERADVDDDMAGQSVSMSGSVGSPSSRSEQTMATPAGDTNFLRLNHPDVHGDDAGSQGAVGSKKKKRGQRAVAGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPSNGVTSPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEELKTERLGLRNRIEKKASYLQELEEQYVGLQNLIQRNEQLCHSGDALSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQADDGTQNLTADGGEGCSMSGMYQPQIPLPSMLNTPVRPPTSPPIPGILKARVKHEHHGQ >ONI20179 pep chromosome:Prunus_persica_NCBIv2:G2:239573:243040:1 gene:PRUPE_2G001400 transcript:ONI20179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKGAFALGGEVRRLQIIYFLSHRMGRVDHPHLIRVHHLTRNGVYLRDVKRWLSDLRGKDMPEAFAWSYKRRYKTGYVWQDLLDDDLITPISDNEYVLKGSEIIYSAFDSPPKSYAEKGSCLFTEQPVKDEVQLPTLSKQEAFHLHKHSKNDIDIQTKVSSEISDESPHVGSKRSTLANEFDAMKPQADENHSADTLNPNSNYQQSNESENSSLYSKFLLKKKKNKYKDKEMAPSSFSSSPSSWHSSSHQSPAAHGSKSNSKGMFRNLITCGAVDTNDAVLVMLNRANKTTSSDKSTRWSSQDIIKADPICKADHKRRSGSAGVFGTCWNSQGQPQQPQKHTGTATRKSYDGEKGSNKQQKEPEFSGQKLASAAYRPVGGPHCSQCRKQFKPEKLHSHMKSCRGKKALNKTAAASVDKIPQMGSTTSTSEQSSAAYFLDQLNMHSTD >ONI20180 pep chromosome:Prunus_persica_NCBIv2:G2:239573:243040:1 gene:PRUPE_2G001400 transcript:ONI20180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKGAFALGGEVRRLQIIYFLSHRMGRVDHPHLIRVHHLTRNGVYLRDVKRWLSDLRGKDMPEAFAWSYKRRYKTGYVWQDLLDDDLITPISDNEYVLKGSEIIYSAFDSPPKSYAEKGSCLFTEQPVKDEVQLPTLSKQEAFHLHKHSKNDIDIQTKVSSEISDESPHVGSKRSTLANEFDAMKPQADENHSADTLNPNSNYQQSNESENSSLYSKFLLKKKKNKYKDKEMAPSSFSSSPSSWHSSSHQSPAAHGSKSNSKGMFRNLITCGAVDTNDAVLVMLNRANKTTSSDKSTRWSSQDIIKADPICKADHKRRSGSAGVFGTCWNSQGQPQQPQKHTGTATRKSYDGEKGSNKQQKEPEFSGQKLASAAYRPVGGPHCSQCRKQFKPEKLHSHMKSCRGKKALNKTAAASVDKIPQMGSTTSTSEQSSAAYFLDQLNMHSTD >ONI20771 pep chromosome:Prunus_persica_NCBIv2:G2:3534531:3539696:1 gene:PRUPE_2G032700 transcript:ONI20771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSTQRDNSGPNKVSGSSSCLYDLLCSDAPTWRCPSDRRTQSGPQERLEILLHQSANRSCADCGSLDPKWVSLSFGVFICIKCSGVHRSLGVHVSKVVSVKLDEWTDEEVDILASMGGNVAVNKKYEACLPENVKKPKPDSSIEERFYFIRRKYELLDFLNPNEQVSCPYPPSHKKSPSSNSTNNSTQDKKQFEKQPTKHRIGQAFRNSWGRKDSEHKTAKKGNSMPMAGMVEFVGLVKVNVVRGTNLVVRDVMTSDPYVILALGHQSVKTRVIKNNLNPVWNESLMLSIPEHIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVSAAIAYEKSTINEPVQLGKWVASKENTLVKDGVINLVDGKVRQQITLRLQNVERGVLEIELECVPLNQ >ONI20774 pep chromosome:Prunus_persica_NCBIv2:G2:3534326:3539696:1 gene:PRUPE_2G032700 transcript:ONI20774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSTQRDNSGPNKVSGSSSCLYDLLCSDAPTWRCPSDRRTQSGPQERLEILLHQSANRSCADCGSLDPKWVSLSFGVFICIKCSGVHRSLGVHVSKVVSVKLDEWTDEEVDILASMGGNVAVNKKYEACLPENVKKPKPDSSIEERFYFIRRKYELLDFLNPNEQVSCPYPPSHKKSPSSNSTNNSTQDKKQFEKQPTKHRIGQAFRNSWGRKDSEHKTAKKGNSMPMAGMVEFVGLVKVNVVRGTNLVVRDVMTSDPYVILALGHQSVKTRVIKNNLNPVWNESLMLSIPEHIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVSAAIAYEKSTINEPVQLGKWVASKENTLVKDGVINLVDGKVRQQITLRLQNVERGVLEIELECVPLNQ >ONI20770 pep chromosome:Prunus_persica_NCBIv2:G2:3534181:3539704:1 gene:PRUPE_2G032700 transcript:ONI20770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSTQRDNSGPNKVSGSSSCLYDLLCSDAPTWRCPSDRRTQSGPQERLEILLHQSANRSCADCGSLDPKWVSLSFGVFICIKCSGVHRSLGVHVSKVVSVKLDEWTDEEVDILASMGGNVAVNKKYEACLPENVKKPKPDSSIEERFYFIRRKYELLDFLNPNEQVSCPYPPSHKKSPSSNSTNNSTQDKKQFEKQPTKHRIGQAFRNSWGRKDSEHKTAKKGNSMPMAGMVEFVGLVKVNVVRGTNLVVRDVMTSDPYVILALGHQSVKTRVIKNNLNPVWNESLMLSIPEHIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVSAAIAYEKSTINEPVQLGKWVASKENTLVKDGVINLVDGKVRQQITLRLQNVERGVLEIELECVPLNQ >ONI20775 pep chromosome:Prunus_persica_NCBIv2:G2:3534181:3539704:1 gene:PRUPE_2G032700 transcript:ONI20775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSTQRDNSGPNKVSGSSSCLYDLLCSDAPTWRCPSDRRTQSGPQERLEILLHQSANRSCADCGSLDPKWVSLSFGVFICIKCSGVHRSLGVHVSKVVSVKLDEWTDEEVDILASMGGNVAVNKKYEACLPENVKKPKPDSSIEERFYFIRRKYELLDFLNPNEQVSCPYPPSHKKSPSSNSTNNSTQDKKQFEKQPTKHRIGQAFRNSWGRKDSEHKTAKKGNSMPMSVKTRVIKNNLNPVWNESLMLSIPEHIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVSAAIAYEKSTINEPVQLGKWVASKENTLVKDGVINLVDGKVRQQITLRLQNVERGVLEIELECVPLNQ >ONI20776 pep chromosome:Prunus_persica_NCBIv2:G2:3534227:3539696:1 gene:PRUPE_2G032700 transcript:ONI20776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSTQRDNSGPNKVSGSSSCLYDLLCSDAPTWRCPSDRRTQSGPQERLEILLHQSANRSCADCGSLDPKWVSLSFGVFICIKCSGVHRSLGVHVSKVVSVKLDEWTDEEVDILASMGGNVAVNKKYEACLPENVKKPKPDSSIEERFYFIRRKYELLDFLNPNEQVSCPYPPSHKKSPSSNSTNNSTQDKKQFEKQPTKHRIGQAFRNSWGRKDSEHKTAKKGNSMPMSVKTRVIKNNLNPVWNESLMLSIPEHIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVSAAIAYEKSTINEPVQLGKWVASKENTLVKDGVINLVDGKVRQQITLRLQNVERGVLEIELECVPLNQ >ONI20773 pep chromosome:Prunus_persica_NCBIv2:G2:3534326:3539696:1 gene:PRUPE_2G032700 transcript:ONI20773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSTQRDNSGPNKVSGSSSCLYDLLCSDAPTWRCPSDRRTQSGPQERLEILLHQSANRSCADCGSLDPKWVSLSFGVFICIKCSGVHRSLGVHVSKVVSVKLDEWTDEEVDILASMGGNVAVNKKYEACLPENVKKPKPDSSIEERFYFIRRKYELLDFLNPNEQVSCPYPPSHKKSPSSNSTNNSTQDKKQFEKQPTKHRIGQAFRNSWGRKDSEHKTAKKGNSMPMAGMVEFVGLVKVNVVRGTNLVVRDVMTSDPYVILALGHQSVKTRVIKNNLNPVWNESLMLSIPEHIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVSAAIAYEKSTINEPVQLGKWVASKENTLVKDGVINLVDGKVRQQITLRLQNVERGVLEIELECVPLNQ >ONI20772 pep chromosome:Prunus_persica_NCBIv2:G2:3534123:3539696:1 gene:PRUPE_2G032700 transcript:ONI20772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSTQRDNSGPNKVSGSSSCLYDLLCSDAPTWRCPSDRRTQSGPQERLEILLHQSANRSCADCGSLDPKWVSLSFGVFICIKCSGVHRSLGVHVSKVVSVKLDEWTDEEVDILASMGGNVAVNKKYEACLPENVKKPKPDSSIEERFYFIRRKYELLDFLNPNEQVSCPYPPSHKKSPSSNSTNNSTQDKKQFEKQPTKHRIGQAFRNSWGRKDSEHKTAKKGNSMPMAGMVEFVGLVKVNVVRGTNLVVRDVMTSDPYVILALGHQSVKTRVIKNNLNPVWNESLMLSIPEHIPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVSAAIAYEKSTINEPVQLGKWVASKENTLVKDGVINLVDGKVRQQITLRLQNVERGVLEIELECVPLNQ >ONI20601 pep chromosome:Prunus_persica_NCBIv2:G2:2420010:2422077:-1 gene:PRUPE_2G024400 transcript:ONI20601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKGKLFKGQQKKKTIPPSRHGKITQTRKGKRVIKPSKISSDMDADREITKFINQCNEVKAATAANKEGGQLSIVKTPQDSGSNAKQQ >ONI21667 pep chromosome:Prunus_persica_NCBIv2:G2:12158904:12166630:1 gene:PRUPE_2G079500 transcript:ONI21667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITRLQQLQLLHHPPLTLRRSILFHKPKQLTRSRPRSFSTSPILCSNNSQNTPESSASTVGANASIVGDLLDYLNESWTHFHATAEAKRQLIAAGFHLLNENDEWDLKPGGRYFFTRNMSCLVAFSVGEKYTVGNGFHVIAAHTDSPCLKLKPRSASSKSGYLMINVQTYGSGLWHTWFDRDLSVAGRVILRGSNGSFMHKLVKVKRPLLRIPTLAIHLDRTVNKDGFKPNVETQLIPLLASKLEEASVETKEKSTTTSSKAAHHPLLMQALSDELNSNIDDIVSIELNVCDTQPSCLGGGNDEFIFSGRLDNLASSYCALRALIDSCKSPGDLSSEQAIRMVALFDNEEVGSGSIQGAGAPTMFQAMRRIISCLADKYVGENAFERAIRKSFLVSADMAHGVHPNFMDKHEEHHRPEMQKGLVIKHNANQRYATSGVTSFLFKEIGKIHNLPTQEFVVRNDMGCGSTIGPILASGAGIRTVDCGIPQLSMHSVREICGKEDIDIAYKHFKAFYQAFSSIDKKLNVDS >ONI25164 pep chromosome:Prunus_persica_NCBIv2:G2:28221243:28223252:1 gene:PRUPE_2G285400 transcript:ONI25164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTCQLQFALAILDPITCTVPPFISFCSYRLTLLTSTSSPPPTKSLSLSLSLSLSLSLSPMEPPSKQSHDPLLLNTIEPDIPSTLLSNKQLHSAFLELQRLLVLVLVASIEALLILQNKEPIFHFIYLFCLIIFFILNHCFSNSGQVSLVDFSCLTPPSSCRVPFSTFLGNASKIESFDAQSLAFMAKVLTSSGQGQETYLPPALHHIPPKSHHQESIKEVHMVLFPIMDDLLAKTKHSAQDIDILIVNCSGFCPSPLCSILINKYSMRSDIKSYNLSGMGCSASALAIDLAQNLLQVHNNSDAIVLSTEILSTGWYAGHEQPKLILNCLFRIGSAAIWLTNKKQDIKSSKYQLLWTLRTQKAFDDRAYLSTIREEDSNGKLGVTIKRDMLEWLGRSSVGT >ONI25868 pep chromosome:Prunus_persica_NCBIv2:G2:30017010:30020443:1 gene:PRUPE_2G324400 transcript:ONI25868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPSNENSQPLMNSTSDADNQHETIVPDGLNNAYKESKHEVAEEEVRGILAVIASTGKFWHDWDKLKSMLSFQLKQVLSEYPEGKMADEQQIASLGETYPELVKRLDEALNSFTEGPPFTLQRLCEILLDAQTTYPNLSKLAFALEKNLLVTTMLTVSTDPYPQPMVQNSAEPKQATEEPKLHSDSVQNGVESMVGDRDEVMAEVEQADIDDDMTIAIEAFEDIVGSSETNSVQTNNS >ONI25869 pep chromosome:Prunus_persica_NCBIv2:G2:30016960:30020479:1 gene:PRUPE_2G324400 transcript:ONI25869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPSNENSQPLMNSTSDADNQHETIVPDGLNNAYKESKHEVAEEEVRGILAVIASTGKFWHDWDKLKSMLSFQLKQVLSEYPEGKMADEQQIASLGETYPELVKRLDEALNSFTEGPPFTLQRLCEILLDAQTTYPNLSKLAFALEKNLLVTTMLTVSTDPYPQPMVQNSAEPKQATEEPKLHSDSVQNGVESMVGDRDEVMAEVEQADIDDDMTIAIEAFEDIVGSSETNSVQTNNS >ONI26003 pep chromosome:Prunus_persica_NCBIv2:G2:30235010:30239972:-1 gene:PRUPE_2G329200 transcript:ONI26003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTCATGGGGGGGGGGGAAGMTGMRSPFTVSQWQELEHQALIFKYMMAGMPVPPDLVLPIQKSFESISQRFFHHPTMGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMTQSSSTVTSLTVTASSSGTGSFQNLPLNAFGNTQGTSSGTNQSHYQIDSIPFGIPNKDYRYLQGLKPEIGEHSFLSDASGSNRGLQMDSPLDSTWPLMPSRVGSFPASKSDS >ONI26005 pep chromosome:Prunus_persica_NCBIv2:G2:30236217:30239972:-1 gene:PRUPE_2G329200 transcript:ONI26005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTCATGGGGGGGGGGGAAGMTGMRSPFTVSQWQELEHQALIFKYMMAGMPVPPDLVLPIQKSFESISQRFFHHPTMGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMTQSSSTVTSLTVTASSSGTGSFQNLPLNAFGNTQGTSSGTNQSHYQIDSIPFGIPNKDYRYWGSCR >ONI26004 pep chromosome:Prunus_persica_NCBIv2:G2:30235421:30239606:-1 gene:PRUPE_2G329200 transcript:ONI26004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTCATGGGGGGGGGGGAAGMTGMRSPFTVSQWQELEHQALIFKYMMAGMPVPPDLVLPIQKSFESISQRFFHHPTMGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMTQSSSTVTSLTVTASSSGTGSFQNLPLNAFGNTQGTSSGTNQSHYQIDSIPFGIPNKDYRYLQGLKPEIGEHSFLSDASGSNRGLQMDSPLDSTWPLMPSRVGSFPASKSGDGSILHHDYPQHSFFNNAFPSESVKQEGQSLRPFFDEWPKGRDSWSGLEDERSNQTSFSTTQLSISIPMTSSDISATSSRSPHDS >ONI26022 pep chromosome:Prunus_persica_NCBIv2:G2:30322252:30325015:1 gene:PRUPE_2G330100 transcript:ONI26022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSSEEGTDKIRKVVGFGYWVQGFRCFPWMAVNFFLKDGLRVDSSTLQLLQNSANLPMVAKPLYGVVSDAVYIRGQHRIPYIAFGAFLQAVSWLAIAILSESGISIGTMTLYLLLSNLGASIAEVANDAIVAEAGKQSKSSKNSQPSSSGELQSFFWMASAMGGVLGFLLAGLSIDRFSPQKMFLFYGLLLTVQFFLSIFVQESSLDLPKRTANVGIQEKFTELLVALREPEIAYSITWFAASYAIIPALTGTMFFYQTQYLNIDSSVLGISKVFGQVAMLLWSVIYNRHLKSVTPRKLISAIQVTMAVFMVSDVLFVNRIYRNYMGVPDNFYVVMFSGLSEVLFFIKILPFSILIAKLCPRGCEGSLMAFVMSAIAVAFIVSGYLGVVLASYVGVTGNDFSGFPLALLIQALLTLLPLYWSSCIPDDVKSKTSRKKK >ONI24236 pep chromosome:Prunus_persica_NCBIv2:G2:25529843:25535227:-1 gene:PRUPE_2G231100 transcript:ONI24236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVDWMPSGAKIAAVYDRKSENECPSIVFFERNGLERSLFSINEETNATIEFLKWNCSSDLLAAIVRCDNYDCVKVWYFSNNHWYLKSEVRYPRQDGVRFVWNPTKPLQLLCWTLGGQITSYDFIWNSAVMDDSTALVIDDSKILVTPLSLYLMPPPMYIFSLKFPSAVRDLAYHSKNSKNCLAASLSDGCLCVVELPATDSWEELEGKEFSVEASVSESPFGSLLHLIWLDPHKILAVSHYGFSHSKYVSQTSSSEDGAGFYLQEIELICSEDHVPGSVTCSGWHAKVSSQNSLEEMIIAIAPNPARKGSAFVQFDGGKVSEYVPKLGITRGVPKHNWSFSSTCPSMSVVLVGNSGSLEPLLFGLEDSCRLHVSGKIICNNCSSFSFYSNLDDQVTTHLILATKQDCLFIADITDILHRELEIKFENPIQAGSKKREDNRNFITIWERGAKIIGVLHGDEAAVILQTTRGNIECIYPRKLVLASICNALVQRRFRDALLMVRRHRIDFNVIVDYCGLQVFLQSASEFVKQVNNLNYITEFVCAIKNENIIETLYKSFISLPFPKEAKDVQSQDSKGFDSNNKISSVLLAIRRALEEQLPQVPARELCILTTLARNEPPALDEALERIKDIREMELSGSNDQKRMSYPSAEEALKHLLWLSDSESVYEAALGLYDLNLAAMVALNSQRDPKEFLPFLQELECMPVTLMRYNIDLKLHRFEKALKHIVSAGDTCYADSMNLMKKNPRLFPLGLQLIADPAKKRQVLEAWGDHLSDEKCFEDAAATYLCCSSLEKALKSYRACGNWSKVLTVAGILKLGEDEIMQLAHELCEELQALGKPSEAAKIALDYCGDVNNGMNLLISARDWEEALRIALMHNRQDLISDVKNASLECASLLVGEYEEGVEKVGKYLARYLALRQRRLLLAAKLQSEERSMNDLDDDTASEASSNFSGMSAYTTGTRDSSVTSTRSSAASKARDARRQRKRGKIRAGSPGEELALADHLKGMSLTTGAMYELKSLLHSLVMLGEVETARKLQKAGENLQLSHMAAVRLTEDTISSDSIDEHTQTLDHYAQIIRSEVQNSEAFFWRCNVFVSP >ONI24235 pep chromosome:Prunus_persica_NCBIv2:G2:25529843:25535245:-1 gene:PRUPE_2G231100 transcript:ONI24235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVDWMPSGAKIAAVYDRKSENECPSIVFFERNGLERSLFSINEETNATIEFLKWNCSSDLLAAIVRCDNYDCVKVWYFSNNHWYLKSEVRYPRQDGVRFVWNPTKPLQLLCWTLGGQITSYDFIWNSAVMDDSTALVIDDSKILVTPLSLYLMPPPMYIFSLKFPSAVRDLAYHSKNSKNCLAASLSDGCLCVVELPATDSWEELEGKEFSVEASVSESPFGSLLHLIWLDPHKILAVSHYGFSHSKYVSQTSSSEDGAGFYLQEIELICSEDHVPGSVTCSGWHAKVSSQNSLEEMIIAIAPNPARKGSAFVQFDGGKVSEYVPKLGITRGVPKHNWSFSSTCPSMSVVLVGNSGSLEPLLFGLEDSCRLHVSGKIICNNCSSFSFYSNLDDQVTTHLILATKQDCLFIADITDILHRELEIKFENPIQAGSKKREDNRNFITIWERGAKIIGVLHGDEAAVILQTTRGNIECIYPRKLVLASICNALVQRRFRDALLMVRRHRIDFNVIVDYCGLQVFLQSASEFVKQVNNLNYITEFVCAIKNENIIETLYKSFISLPFPKEAKDVQSQDSKGFDSNNKISSVLLAIRRALEEQLPQVPARELCILTTLARNEPPALDEALERIKDIREMELSGSNDQKRMSYPSAEEALKHLLWLSDSESVYEAALGLYDLNLAAMVALNSQRDPKEFLPFLQELECMPVTLMRYNIDLKLHRFEKALKHIVSAGDTCYADSMNLMKKNPRLFPLGLQLIADPAKKRQVLEAWGDHLSDEKCFEDAAATYLCCSSLEKALKSYRACGNWSKVLTVAGILKLGEDEIMQLAHELCEELQALGKPSEAAKIALDYCGDVNNGMNLLISARDWEEALRIALMHNRQDLISDVKNASLECASLLVGEYEEGVEKVGKYLARYLALRQRRLLLAAKLQSEERSMNDLDDDTASEASSNFSGMSAYTTGTRDSSVTSTRSSAASKARDARRQRKRGKIRAGSPGEELALADHLKGMSLTTGAMYELKSLLHSLVMLGEVETARKLQKAGENLQLSHMAAVRLTEDTISSDSIDEHTQTLDHYAQIIRSEVQNSEAFFWRCNVFVSP >ONI24234 pep chromosome:Prunus_persica_NCBIv2:G2:25529843:25535246:-1 gene:PRUPE_2G231100 transcript:ONI24234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLYSEVSLNLQLQSKEELILFSAFDIEQNRLFFASSSNNIYATHLSSIQHERAWSRTSICAQVHQIELEPEDFITSFGYLMEKEALLVGTSNGLLLLHSVDDNDTQIVGGVDGGVKCIAPSPDGDLLAITTGSGQILVMTQDWDLLYETALEDLPEDVNHVCETQFESSISWRGDGKYFVTLSEVLDSTSLHKRLKIWERHSGALHAVSESKSMGSVVDWMPSGAKIAAVYDRKSENECPSIVFFERNGLERSLFSINEETNATIEFLKWNCSSDLLAAIVRCDNYDCVKVWYFSNNHWYLKSEVRYPRQDGVRFVWNPTKPLQLLCWTLGGQITSYDFIWNSAVMDDSTALVIDDSKILVTPLSLYLMPPPMYIFSLKFPSAVRDLAYHSKNSKNCLAASLSDGCLCVVELPATDSWEELEGKEFSVEASVSESPFGSLLHLIWLDPHKILAVSHYGFSHSKYVSQTSSSEDGAGFYLQEIELICSEDHVPGSVTCSGWHAKVSSQNSLEEMIIAIAPNPARKGSAFVQFDGGKVSEYVPKLGITRGVPKHNWSFSSTCPSMSVVLVGNSGSLEPLLFGLEDSCRLHVSGKIICNNCSSFSFYSNLDDQVTTHLILATKQDCLFIADITDILHRELEIKFENPIQAGSKKREDNRNFITIWERGAKIIGVLHGDEAAVILQTTRGNIECIYPRKLVLASICNALVQRRFRDALLMVRRHRIDFNVIVDYCGLQVFLQSASEFVKQVNNLNYITEFVCAIKNENIIETLYKSFISLPFPKEAKDVQSQDSKGFDSNNKISSVLLAIRRALEEQLPQVPARELCILTTLARNEPPALDEALERIKDIREMELSGSNDQKRMSYPSAEEALKHLLWLSDSESVYEAALGLYDLNLAAMVALNSQRDPKEFLPFLQELECMPVTLMRYNIDLKLHRFEKALKHIVSAGDTCYADSMNLMKKNPRLFPLGLQLIADPAKKRQVLEAWGDHLSDEKCFEDAAATYLCCSSLEKALKSYRACGNWSKVLTVAGILKLGEDEIMQLAHELCEELQALGKPSEAAKIALDYCGDVNNGMNLLISARDWEEALRIALMHNRQDLISDVKNASLECASLLVGEYEEGVEKVGKYLARYLALRQRRLLLAAKLQSEERSMNDLDDDTASEASSNFSGMSAYTTGTRDSSVTSTRSSAASKARDARRQRKRGKIRAGSPGEELALADHLKGMSLTTGAMYELKSLLHSLVMLGEVETARKLQKAGENLQLSHMAAVRLTEDTISSDSIDEHTQTLDHYAQIIRSEVQNSEAFFWRCNVFVSP >ONI24233 pep chromosome:Prunus_persica_NCBIv2:G2:25529843:25535221:-1 gene:PRUPE_2G231100 transcript:ONI24233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLKLYSEVSLNLQLQSKEELILFSAFDIEQNRLFFASSSNNIYATHLSSIQHERAWSRTSICAQVHQIELEPEDFITSFGYLMEKEALLVGTSNGLLLLHSVDDNDTQIVGGVDGGVKCIAPSPDGDLLAITTGSGQILVMTQDWDLLYETALEDLPEDVNHVCETQFESSISWRGDGKYFVTLSEVLDSTSLHKRLKIWERHSGALHAVSESKSMGSVVDWMPSGAKIAAVYDRKSENECPSIVFFERNGLERSLFSINEETNATIEFLKWNCSSDLLAAIVRCDNYDCVKVWYFSNNHWYLKSEVRYPRQDGVRFVWNPTKPLQLLCWTLGGQITSYDFIWNSAVMDDSTALVIDDSKILVTPLSLYLMPPPMYIFSLKFPSAVRDLAYHSKNSKNCLAASLSDGCLCVVELPATDSWEELEGKEFSVEASVSESPFGSLLHLIWLDPHKILAVSHYGFSHSKYVSQTSSSEDGAGFYLQEIELICSEDHVPGSVTCSGWHAKVSSQNSLEEMIIAIAPNPARKGSAFVQFDGGKVSEYVPKLGITRGVPKHNWSFSSTCPSMSVVLVGNSGSLEPLLFGLEDSCRLHVSGKIICNNCSSFSFYSNLDDQVTTHLILATKQDCLFIADITDILHRELEIKFENPIQAGSKKREDNRNFITIWERGAKIIGVLHGDEAAVILQTTRGNIECIYPRKLVLASICNALVQRRFRDALLMVRRHRIDFNVIVDYCGLQVFLQSASEFVKQVNNLNYITEFVCAIKNENIIETLYKSFISLPFPKEAKDVQSQDSKGFDSNNKISSVLLAIRRALEEQLPQVPARELCILTTLARNEPPALDEALERIKDIREMELSGSNDQKRMSYPSAEEALKHLLWLSDSESVYEAALGLYDLNLAAMVALNSQRDPKEFLPFLQELECMPVTLMRYNIDLKLHRFEKALKHIVSAGDTCYADSMNLMKKNPRLFPLGLQLIADPAKKRQVLEAWGDHLSDEKCFEDAAATYLCCSSLEKALKSYRACGNWSKVLTVAGILKLGEDEIMQLAHELCEELQALGKPSEAAKIALDYCGDVNNGMNLLISARDWEEALRIALMHNRQDLISDVKNASLECASLLVGEYEEGVEKVGKYLARYLALRQRRLLLAAKLQSEERSMNDLDDDTASEASSNFSGMSAYTTGTRDSSVTSTRSSAASKARDARRQRKRGKIRAGSPGEELALADHLKGMSLTTGAMYELKSLLHSLVMLGEVETARKLQKAGENLQLSHMAAVRLTEDTISSDSIDEHTQTLDHYAQIIRSEVQNSEAFFWRCNVFVSP >ONI22025 pep chromosome:Prunus_persica_NCBIv2:G2:15800185:15803765:1 gene:PRUPE_2G102200 transcript:ONI22025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKEVRRATMRPESSVYTRPVGVSSKTVCAYWIAGRCTKKFCRFLHTSAPSTLANPHISNLKAIQKGNAYNATQKSNVVQKSPEKVCKFWVVGNCVEGDRCPYLHTWFRGAGFSMLGKLQGHKKAVTGIALPETSDKLYSAGTDGTVRVWDCRTGQCGSVINLGGEAGSLVSEGPWLFVGVPNVVKAWNIRTNAEFNLNGPVGQVHAMVVANEMLFAGAQDGVILVWKGCSESNPFQLAGTLKGHTQAVVCLTVGRSRLYSGSVDQTIRVWDLDSLQCIVTLNAHSDVVMSLICWDQFLLSCSLDCTIKVWVATEGGNLEVTYTHNEEHGVLALSGMPDAEAKPVLFCSCDDTSVRLYELPSFSDRGKLFGKREVRALQIGPRGLFFTGDATGLLSVWKWLDPVLKELSS >ONI22024 pep chromosome:Prunus_persica_NCBIv2:G2:15800185:15803767:1 gene:PRUPE_2G102200 transcript:ONI22024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKEVRRATMRPESSVYTRPVGVSSKTVCAYWIAGRCTKKFCRFLHTSAPSTLANPHISNLKAIQKGNAYNATQKSNVVQKSPEKVCKFWVVGNCVEGDRCPYLHTWFRGAGFSMLGKLQGHKKAVTGIALPETSDKLYSAGTDGTVRVWDCRTGQCGSVINLGGEAGSLVSEGPWLFVGVPNVVKAWNIRTNAEFNLNGPVGQVHAMVVANEMLFAGAQDGVILVWKGCSESNPFQLAGTLKGHTQAVVCLTVGRSRLYSGSVDQTIRVWDLDSLQCIVTLNAHSDVVMSLICWDQFLLSCSLDCTIKVWVATEGGNLEVTYTHNEEHGVLALSGMPDAEAKPVLFCSCDDTSVRLYELPSFSDRGKLFGKREVRALQIGPRGLFFTGDATGLLSVWKWLDPVLKELSS >ONI25510 pep chromosome:Prunus_persica_NCBIv2:G2:29223387:29225237:1 gene:PRUPE_2G307700 transcript:ONI25510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTTAHNNSKVVAHNKANTNEQENRQTLNNKQLTIASVIRKKSTEEFSCVTALLNCLLYTCYALPVVSYRWENFLLVTINGFRGLLEFSFIFIYFWFSTSKGKMKVAVIMIPVIIVFCITAIIPAFVFHDHHHRTVFVGSVALVPSVAMYAAPLVVVDCGILQLVLYCT >ONI24949 pep chromosome:Prunus_persica_NCBIv2:G2:27508118:27510148:-1 gene:PRUPE_2G271200 transcript:ONI24949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLATISTTSPLISTAITTPKLSLSKPFLSLSKLQNKLTSPFPQTHRPISFNKPQMNLLNKLGFGPKTSDPTSDSSSIPLGPDDDVPAPGQQFAQFGAGCFWGVELAFQRIPGVTKTEVGYTQGFLHNPTYEDVCTGTTNHSEVVRVQYDPKECNFETLLDLFWSRHDPTTLNRQGNDVGTQYRSGIYFYTPEQEKVAQESLEQHQKKMNRKIVTEILPAKKFYRAEEYHQQYLAKGGRFGFKQSTEKGCNDPIRCYG >ONI23580 pep chromosome:Prunus_persica_NCBIv2:G2:23359463:23363312:-1 gene:PRUPE_2G196200 transcript:ONI23580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAEVEERVDLDEDNYMEEMDDDVEEQIDEDGVDGVDGGSDENVEENAEEAHEDPTTKASEKDQSPEPDESHNAGEFVDDEEKPSASVNEEEKEKHAQLLALPPYGSEVFIGGLPKDTLEEDLRDLCDEIGEIIEIRLMQDRETGESKGYAFIGFKTKEVAQKAIEELHNKAFKGKTLRCSLSETKHRLFIGNVPKIWTEDEFRKVIEEVGPGVEHIELIKDPQNPSRNRGFAFVLYYNNACADYSRQKMSNSNFRLDGNSPTVTWADPKSTSDHSAAAQVKALYVKNIPENTSTEKLKELFQRHGEVTKVVMPPGKGGQGKRDFGFVHFAERSSALKAVKDTEKYEIDGQPLEVVLAKPQTEKKSDGAYPYNAGPHANHLSHPGYGGGFAGNPYGSVGGGYSVPAGFQQSAAWCTNPNSSS >ONI23577 pep chromosome:Prunus_persica_NCBIv2:G2:23359366:23363312:-1 gene:PRUPE_2G196200 transcript:ONI23577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAEVEERVDLDEDNYMEEMDDDVEEQIDEDGVDGVDGGSDENVEENAEEAHEDPTTKASEKDQSPEPDESHNAGEFVDDEEKPSASVNEEEKEKHAQLLALPPYGSEVFIGGLPKDTLEEDLRDLCDEIGEIIEIRLMQDRETGESKGYAFIGFKTKEVAQKAIEELHNKAFKGKTLRCSLSETKHRLFIGNVPKIWTEDEFRKVIEEVGPGVEHIELIKDPQNPSRNRGFAFVLYYNNACADYSRQKMSNSNFRLDGNSPTVTWADPKSTSDHSAAAQVKALYVKNIPENTSTEKLKELFQRHGEVTKVVMPPGKGGQGKRDFGFVHFAERSSALKAVKDTEKYEIDGQPLEVVLAKPQTEKKSDGAYPYNAGPHANHLSHPGYGGGFAGNPYGSVGGGYSVPAGFQQPMIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGAQIPTPRPRRVDRSNGPSGQPGRGGGGSDESNRGRRYRPY >ONI23579 pep chromosome:Prunus_persica_NCBIv2:G2:23358773:23363851:-1 gene:PRUPE_2G196200 transcript:ONI23579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAEVEERVDLDEDNYMEEMDDDVEEQIDEDGVDGVDGGSDENVEENAEEAHEDPTTKASEKDQSPEPDESHNAGEFVDDEEKPSASVNEEEKEKHAQLLALPPYGSEVFIGGLPKDTLEEDLRDLCDEIGEIIEIRLMQDRETGESKGYAFIGFKTKEVAQKAIEELHNKAFKGKTLRCSLSETKHRLFIGNVPKIWTEDEFRKVIEEVGPGVEHIELIKDPQNPSRNRGFAFVLYYNNACADYSRQKMSNSNFRLDGNSPTVTWADPKSTSDHSAAAQVKALYVKNIPENTSTEKLKELFQRHGEVTKVVMPPGKGGQGKRDFGFVHFAERSSALKAVKDTEKYEIDGQPLEVVLAKPQTEKKSDGAYPYNAGPHANHLSHPGYGGGFAGNPYGSVGGGYSVPAGFQQPMIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGAQIPTPRPRRVDRSNGPSGQPGRGGGGSDESNRGRRYRPY >ONI23576 pep chromosome:Prunus_persica_NCBIv2:G2:23358773:23364507:-1 gene:PRUPE_2G196200 transcript:ONI23576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAEVEERVDLDEDNYMEEMDDDVEEQIDEDGVDGVDGGSDENVEENAEEAHEDPTTKASEKDQSPEPDESHNAGEFVDDEEKPSASVNEEEKEKHAQLLALPPYGSEVFIGGLPKDTLEEDLRDLCDEIGEIIEIRLMQDRETGESKGYAFIGFKTKEVAQKAIEELHNKAFKGKTLRCSLSETKHRLFIGNVPKIWTEDEFRKVIEEVGPGVEHIELIKDPQNPSRNRGFAFVLYYNNACADYSRQKMSNSNFRLDGNSPTVTWADPKSTSDHSAAAQVKALYVKNIPENTSTEKLKELFQRHGEVTKVVMPPGKGGQGKRDFGFVHFAERSSALKAVKDTEKYEIDGQPLEVVLAKPQTEKKSDGAYPYNAGPHANHLSHPGYGGGFAGNPYGSVGGGYSVPAGFQQPGAQIPTPRPRRVDRSNGPSGQPGRGGGGSDESNRGRRYRPY >ONI23575 pep chromosome:Prunus_persica_NCBIv2:G2:23358773:23364507:-1 gene:PRUPE_2G196200 transcript:ONI23575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAEVEERVDLDEDNYMEEMDDDVEEQIDEDGVDGVDGGSDENVEENAEEAHEDPTTKASEKDQSPEPDESHNAGEFVDDEEKPSASVNEEEKEKHAQLLALPPYGSEVFIGGLPKDTLEEDLRDLCDEIGEIIEIRLMQDRETGESKGYAFIGFKTKEVAQKAIEELHNKAFKGKTLRCSLSETKHRLFIGNVPKIWTEDEFRKVIEEVGPGVEHIELIKDPQNPSRNRGFAFVLYYNNACADYSRQKMSNSNFRLDGNSPTVTWADPKSTSDHSAAAQVKALYVKNIPENTSTEKLKELFQRHGEVTKVVMPPGKGGQGKRDFGFVHFAERSSALKAVKDTEKYEIDGQPLEVVLAKPQTEKKSDGAYPYNAGPHANHLSHPGYGGGFAGNPYGSVGGGYSVPAGFQQPGAQIPTPRPRRVDRSNGPSGQPGRGGGGSDESNRGRRYRPY >ONI23578 pep chromosome:Prunus_persica_NCBIv2:G2:23359366:23363312:-1 gene:PRUPE_2G196200 transcript:ONI23578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAEVEERVDLDEDNYMEEMDDDVEEQIDEDGVDGVDGGSDENVEENAEEAHEDPTTKASEKDQSPEPDESHNAGEFVDDEEKPSASVNEEEKEKHAQLLALPPYGSEVFIGGLPKDTLEEDLRDLCDEIGEIIEIRLMQDRETGESKGYAFIGFKTKEVAQKAIEELHNKAFKGKTLRCSLSETKHRLFIGNVPKIWTEDEFRKVIEEVGPGVEHIELIKDPQNPSRNRGFAFVLYYNNACADYSRQKMSNSNFRLDGNSPTVTWADPKSTSDHSAAAQVKALYVKNIPENTSTEKLKELFQRHGEVTKVVMPPGKGGQGKRDFGFVHFAERSSALKAVKDTEKYEIDGQPLEVVLAKPQTEKKSDGAYPYNAGPHANHLSHPGYGGGFAGNPYGSVGGGYSVPAGFQQPMIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGAQIPTPRPRRVDRSNGPSGQPGRGGGGSDESNRGRRYRPY >ONI23581 pep chromosome:Prunus_persica_NCBIv2:G2:23359463:23363312:-1 gene:PRUPE_2G196200 transcript:ONI23581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAEVEERVDLDEDNYMEEMDDDVEEQIDEDGVDGVDGGSDENVEENAEEAHEDPTTKASEKDQSPEPDESHNAGEFVDDEEKPSASVNEEEKEKHAQLLALPPYGSEVFIGGLPKDTLEEDLRDLCDEIGEIIEIRLMQDRETGESKGYAFIGFKTKEVAQKAIEELHNKAFKGKTLRCSLSETKHRLFIGNVPKIWTEDEFRKVIEEVGPGVEHIELIKDPQNPSRNRGFAFVLYYNNACADYSRQKMSNSNFRLDGNSPTVTWADPKSTSDHSAAAQVKALYVKNIPENTSTEKLKELFQRHGEVTKVVMPPGKGGQGKRDFGFVHFAERSSALKAVKDTEKYEIDGQPLEVVLAKPQTEKKSDGAYPYNAGPHANHLSHPGYGGGFAGNPYGSVGGGYSVPAGFQQSAAWCTNPNSSS >ONI20356 pep chromosome:Prunus_persica_NCBIv2:G2:975155:978566:-1 gene:PRUPE_2G011000 transcript:ONI20356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFNFAEGIIDRLASSAFREMGLIWGVKDELLNLQETVDQIKAVLLDAEQKQATHAVKLWLQSLEDVVYEADDVLDEFYAEARWRQMMPGNNQVLKQVCIFFSSSNQLAFRLKMGHKIKSIKERLNVIASRKNFHLEVSREDTPFRRVTHSFAPTETIIGRNEDKNAIKQLLFDTISEENVSIISIVGFGGLGKTALAQLLFNDLEVQTYFELKMWICVSNVFELEILVKKIIQSATNDIAKSVEIDQLQKELRKIIDRKRYLLVLDDVWNDNREKWFGLQNLLMGGGKGSKILITTRSEKVAKITDTSKPYNLRGLSEEQSWFLFKKMAFQDGKEPTSSTIKALGEEITRKCKGVPLAIRTIGRMLYTRDPETEWSAFKNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLSPPDYKIPVENLIRLWVAQGFVKSSDPNECLEDVGYDYYKELAWRSFFQEEEKDEFGTIKSCKMHDLMNELAVKVAGEGSTIIDRNKTDFEAKRLLHVSFDFDVDSSEWKIPTSLLESNKLRTFLFLSQKEWGMPFRKSFCATIASNFKSLRMLSLNELRVTKLPKCLRKMIHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNYCQFLVELPRDIKKLINLRHLILANCGILAWIPRGLGELTHLRTLNTFVLSENKSMLRDSAGLSELEKLNNLRGELEIKNLRCEQNMVSELNYDCALLKEKRHLDSLALSWMHIERENNDAGESDVIIKSMEALQPHSSLKELTLKYYMGARFASWFHSLTNIVNLTLSHCDRCQHLPPLDHLPFLKSLDLNGLRNLEHISAEDKVKDFAGDVMMMSAASPSTTFFPSLESLSLRDCPNLKGWWRNETASTSVSSFPCLSTLSIRECPNLTFMPLYPNLDQLWLGRSSWKVLPSSFVLSKLKSLKIQGVDDMPEEGIGNLTLLEKLEIEDCPNLVSLPDQGMGRLISLQELRIRYCPKLGSLPDQGMGRLISLQRLHILNCPELASLPDQGMGRLISLQDLEIWDCPKLGSLPEGMGNLKSLQLLWIWDCPNLASLPEGLRCLLSLKSLVIGRCPILKQRCQKETGEDWSKIAHIPDIELI >ONI20353 pep chromosome:Prunus_persica_NCBIv2:G2:975155:978566:-1 gene:PRUPE_2G011000 transcript:ONI20353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFNFAEGIIDRLASSAFREMGLIWGVKDELLNLQETVDQIKAVLLDAEQKQATHAVKLWLQSLEDVVYEADDVLDEFYAEARWRQMMPGNNQVLKQVCIFFSSSNQLAFRLKMGHKIKSIKERLNVIASRKNFHLEVSREDTPFRRVTHSFAPTETIIGRNEDKNAIKQLLFDTISEENVSIISIVGFGGLGKTALAQLLFNDLEVQTYFELKMWICVSNVFELEILVKKIIQSATNDIAKSVEIDQLQKELRKIIDRKRYLLVLDDVWNDNREKWFGLQNLLMGGGKGSKILITTRSEKVAKITDTSKPYNLRGLSEEQSWFLFKKMAFQDGKEPTSSTIKALGEEITRKCKGVPLAIRTIGRMLYTRDPETEWSAFKNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLSPPDYKIPVENLIRLWVAQGFVKSSDPNECLEDVGYDYYKELAWRSFFQEEEKDEFGTIKSCKMHDLMNELAVKVAGEGSTIIDRNKTDFEAKRLLHVSFDFDVDSSEWKIPTSLLESNKLRTFLFLSQKEWGMPFRKSFCATIASNFKSLRMLSLNELRVTKLPKCLRKMIHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNYCQFLVELPRDIKKLINLRHLILANCGILAWIPRGLGELTHLRTLNTFVLSENKSMLRDSAGLSELEKLNNLRGELEIKNLRCEQNMVSELNYDCALLKEKRHLDSLALSWMHIERENNDAGESDVIIKSMEALQPHSSLKELTLKYYMGARFASWFHSLTNIVNLTLSHCDRCQHLPPLDHLPFLKSLDLNGLRNLEHISAEDKVKDFAGDVMMMSAASPSTTFFPSLESLSLRDCPNLKGWWRNETASTSVSSFPCLSTLSIRECPNLTFMPLYPNLDQLWLGRSSWKVLPSSFVLSKLKSLKIQGVDDMPEEGIGNLTLLEKLEIEDCPNLVSLPDQGMGRLISLQELRIRYCPKLGSLPDQGMGRLISLQRLHILNCPELASLPDQGMGRLISLQDLEIWDCPKLGSLPEGMGNLKSLQLLWIWDCPNLASLPEGLRCLLSLKSLVIGRCPILKQRCQKETGEDWSKIAHIPDIELI >ONI20351 pep chromosome:Prunus_persica_NCBIv2:G2:974215:978566:-1 gene:PRUPE_2G011000 transcript:ONI20351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFNFAEGIIDRLASSAFREMGLIWGVKDELLNLQETVDQIKAVLLDAEQKQATHAVKLWLQSLEDVVYEADDVLDEFYAEARWRQMMPGNNQVLKQVCIFFSSSNQLAFRLKMGHKIKSIKERLNVIASRKNFHLEVSREDTPFRRVTHSFAPTETIIGRNEDKNAIKQLLFDTISEENVSIISIVGFGGLGKTALAQLLFNDLEVQTYFELKMWICVSNVFELEILVKKIIQSATNDIAKSVEIDQLQKELRKIIDRKRYLLVLDDVWNDNREKWFGLQNLLMGGGKGSKILITTRSEKVAKITDTSKPYNLRGLSEEQSWFLFKKMAFQDGKEPTSSTIKALGEEITRKCKGVPLAIRTIGRMLYTRDPETEWSAFKNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLSPPDYKIPVENLIRLWVAQGFVKSSDPNECLEDVGYDYYKELAWRSFFQEEEKDEFGTIKSCKMHDLMNELAVKVAGEGSTIIDRNKTDFEAKRLLHVSFDFDVDSSEWKIPTSLLESNKLRTFLFLSQKEWGMPFRKSFCATIASNFKSLRMLSLNELRVTKLPKCLRKMIHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNYCQFLVELPRDIKKLINLRHLILANCGILAWIPRGLGELTHLRTLNTFVLSENKSMLRDSAGLSELEKLNNLRGELEIKNLRCEQNMVSELNYDCALLKEKRHLDSLALSWMHIERENNDAGESDVIIKSMEALQPHSSLKELTLKYYMGARFASWFHSLTNIVNLTLSHCDRCQHLPPLDHLPFLKSLDLNGLRNLEHISAEDKVKDFAGDVMMMSAASPSTTFFPSLESLSLRDCPNLKGWWRNETASTSVSSFPCLSTLSIRECPNLTFMPLYPNLDQLWLGRSSWKVLPSSFVLSKLKSLKIQGVDDMPEEGIGNLTLLEKLEIEDCPNLVSLPDQGMGRLISLQELRIRYCPKLGSLPDQGMGRLISLQRLHILNCPELASLPDQGMGRLISLQDLEIWDCPKLGSLPEGMGNLKSLQLLWIWDCPNLASLPEGLRCLLSLKSLVIGRCPILKQRCQKETGEDWSKIAHIPDIELI >ONI20355 pep chromosome:Prunus_persica_NCBIv2:G2:975155:978566:-1 gene:PRUPE_2G011000 transcript:ONI20355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFNFAEGIIDRLASSAFREMGLIWGVKDELLNLQETVDQIKAVLLDAEQKQATHAVKLWLQSLEDVVYEADDVLDEFYAEARWRQMMPGNNQVLKQVCIFFSSSNQLAFRLKMGHKIKSIKERLNVIASRKNFHLEVSREDTPFRRVTHSFAPTETIIGRNEDKNAIKQLLFDTISEENVSIISIVGFGGLGKTALAQLLFNDLEVQTYFELKMWICVSNVFELEILVKKIIQSATNDIAKSVEIDQLQKELRKIIDRKRYLLVLDDVWNDNREKWFGLQNLLMGGGKGSKILITTRSEKVAKITDTSKPYNLRGLSEEQSWFLFKKMAFQDGKEPTSSTIKALGEEITRKCKGVPLAIRTIGRMLYTRDPETEWSAFKNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLSPPDYKIPVENLIRLWVAQGFVKSSDPNECLEDVGYDYYKELAWRSFFQEEEKDEFGTIKSCKMHDLMNELAVKVAGEGSTIIDRNKTDFEAKRLLHVSFDFDVDSSEWKIPTSLLESNKLRTFLFLSQKEWGMPFRKSFCATIASNFKSLRMLSLNELRVTKLPKCLRKMIHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNYCQFLVELPRDIKKLINLRHLILANCGILAWIPRGLGELTHLRTLNTFVLSENKSMLRDSAGLSELEKLNNLRGELEIKNLRCEQNMVSELNYDCALLKEKRHLDSLALSWMHIERENNDAGESDVIIKSMEALQPHSSLKELTLKYYMGARFASWFHSLTNIVNLTLSHCDRCQHLPPLDHLPFLKSLDLNGLRNLEHISAEDKVKDFAGDVMMMSAASPSTTFFPSLESLSLRDCPNLKGWWRNETASTSVSSFPCLSTLSIRECPNLTFMPLYPNLDQLWLGRSSWKVLPSSFVLSKLKSLKIQGVDDMPEEGIGNLTLLEKLEIEDCPNLVSLPDQGMGRLISLQELRIRYCPKLGSLPDQGMGRLISLQRLHILNCPELASLPDQGMGRLISLQDLEIWDCPKLGSLPEGMGNLKSLQLLWIWDCPNLASLPEGLRCLLSLKSLVIGRCPILKQRCQKETGEDWSKIAHIPDIELI >ONI20354 pep chromosome:Prunus_persica_NCBIv2:G2:973842:978566:-1 gene:PRUPE_2G011000 transcript:ONI20354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFNFAEGIIDRLASSAFREMGLIWGVKDELLNLQETVDQIKAVLLDAEQKQATHAVKLWLQSLEDVVYEADDVLDEFYAEARWRQMMPGNNQVLKQVCIFFSSSNQLAFRLKMGHKIKSIKERLNVIASRKNFHLEVSREDTPFRRVTHSFAPTETIIGRNEDKNAIKQLLFDTISEENVSIISIVGFGGLGKTALAQLLFNDLEVQTYFELKMWICVSNVFELEILVKKIIQSATNDIAKSVEIDQLQKELRKIIDRKRYLLVLDDVWNDNREKWFGLQNLLMGGGKGSKILITTRSEKVAKITDTSKPYNLRGLSEEQSWFLFKKMAFQDGKEPTSSTIKALGEEITRKCKGVPLAIRTIGRMLYTRDPETEWSAFKNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLSPPDYKIPVENLIRLWVAQGFVKSSDPNECLEDVGYDYYKELAWRSFFQEEEKDEFGTIKSCKMHDLMNELAVKVAGEGSTIIDRNKTDFEAKRLLHVSFDFDVDSSEWKIPTSLLESNKLRTFLFLSQKEWGMPFRKSFCATIASNFKSLRMLSLNELRVTKLPKCLRKMIHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNYCQFLVELPRDIKKLINLRHLILANCGILAWIPRGLGELTHLRTLNTFVLSENKSMLRDSAGLSELEKLNNLRGELEIKNLRCEQNMVSELNYDCALLKEKRHLDSLALSWMHIERENNDAGESDVIIKSMEALQPHSSLKELTLKYYMGARFASWFHSLTNIVNLTLSHCDRCQHLPPLDHLPFLKSLDLNGLRNLEHISAEDKVKDFAGDVMMMSAASPSTTFFPSLESLSLRDCPNLKGWWRNETASTSVSSFPCLSTLSIRECPNLTFMPLYPNLDQLWLGRSSWKVLPSSFVLSKLKSLKIQGVDDMPEEGIGNLTLLEKLEIEDCPNLVSLPDQGMGRLISLQELRIRYCPKLGSLPDQGMGRLISLQRLHILNCPELASLPDQGMGRLISLQDLEIWDCPKLGSLPEGMGNLKSLQLLWIWDCPNLASLPEGLRCLLSLKSLVIGRCPILKQRCQKETGEDWSKIAHIPDIELI >ONI20352 pep chromosome:Prunus_persica_NCBIv2:G2:973181:978788:-1 gene:PRUPE_2G011000 transcript:ONI20352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFNFAEGIIDRLASSAFREMGLIWGVKDELLNLQETVDQIKAVLLDAEQKQATHAVKLWLQSLEDVVYEADDVLDEFYAEARWRQMMPGNNQVLKQVCIFFSSSNQLAFRLKMGHKIKSIKERLNVIASRKNFHLEVSREDTPFRRVTHSFAPTETIIGRNEDKNAIKQLLFDTISEENVSIISIVGFGGLGKTALAQLLFNDLEVQTYFELKMWICVSNVFELEILVKKIIQSATNDIAKSVEIDQLQKELRKIIDRKRYLLVLDDVWNDNREKWFGLQNLLMGGGKGSKILITTRSEKVAKITDTSKPYNLRGLSEEQSWFLFKKMAFQDGKEPTSSTIKALGEEITRKCKGVPLAIRTIGRMLYTRDPETEWSAFKNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLSPPDYKIPVENLIRLWVAQGFVKSSDPNECLEDVGYDYYKELAWRSFFQEEEKDEFGTIKSCKMHDLMNELAVKVAGEGSTIIDRNKTDFEAKRLLHVSFDFDVDSSEWKIPTSLLESNKLRTFLFLSQKEWGMPFRKSFCATIASNFKSLRMLSLNELRVTKLPKCLRKMIHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNYCQFLVELPRDIKKLINLRHLILANCGILAWIPRGLGELTHLRTLNTFVLSENKSMLRDSAGLSELEKLNNLRGELEIKNLRCEQNMVSELNYDCALLKEKRHLDSLALSWMHIERENNDAGESDVIIKSMEALQPHSSLKELTLKYYMGARFASWFHSLTNIVNLTLSHCDRCQHLPPLDHLPFLKSLDLNGLRNLEHISAEDKVKDFAGDVMMMSAASPSTTFFPSLESLSLRDCPNLKGWWRNETASTSVSSFPCLSTLSIRECPNLTFMPLYPNLDQLWLGRSSWKVLPSSFVLSKLKSLKIQGVDDMPEEGIGNLTLLEKLEIEDCPNLVSLPDQGMGRLISLQELRIRYCPKLGSLPDQGMGRLISLQRLHILNCPELASLPDQGMGRLISLQDLEIWDCPKLGSLPEGMGNLKSLQLLWIWDCPNLASLPEGLRCLLSLKSLVIGRCPILKQRCQKETGEDWSKIAHIPDIELI >ONI23455 pep chromosome:Prunus_persica_NCBIv2:G2:22914798:22924775:-1 gene:PRUPE_2G189600 transcript:ONI23455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVSFKVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23446 pep chromosome:Prunus_persica_NCBIv2:G2:22918144:22924687:-1 gene:PRUPE_2G189600 transcript:ONI23446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23452 pep chromosome:Prunus_persica_NCBIv2:G2:22915320:22924775:-1 gene:PRUPE_2G189600 transcript:ONI23452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23443 pep chromosome:Prunus_persica_NCBIv2:G2:22913637:22924723:-1 gene:PRUPE_2G189600 transcript:ONI23443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23437 pep chromosome:Prunus_persica_NCBIv2:G2:22913632:22924775:-1 gene:PRUPE_2G189600 transcript:ONI23437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVSFKVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLDTSNPLKIEEEE >ONI23453 pep chromosome:Prunus_persica_NCBIv2:G2:22918144:22924687:-1 gene:PRUPE_2G189600 transcript:ONI23453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23445 pep chromosome:Prunus_persica_NCBIv2:G2:22913606:22924788:-1 gene:PRUPE_2G189600 transcript:ONI23445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23442 pep chromosome:Prunus_persica_NCBIv2:G2:22913511:22924822:-1 gene:PRUPE_2G189600 transcript:ONI23442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23447 pep chromosome:Prunus_persica_NCBIv2:G2:22913419:22924828:-1 gene:PRUPE_2G189600 transcript:ONI23447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23450 pep chromosome:Prunus_persica_NCBIv2:G2:22913536:22924813:-1 gene:PRUPE_2G189600 transcript:ONI23450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23451 pep chromosome:Prunus_persica_NCBIv2:G2:22913640:22924826:-1 gene:PRUPE_2G189600 transcript:ONI23451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23454 pep chromosome:Prunus_persica_NCBIv2:G2:22918144:22924687:-1 gene:PRUPE_2G189600 transcript:ONI23454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVSFKVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23456 pep chromosome:Prunus_persica_NCBIv2:G2:22914670:22924775:-1 gene:PRUPE_2G189600 transcript:ONI23456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVMLVSLFLFNR >ONI23440 pep chromosome:Prunus_persica_NCBIv2:G2:22913638:22924822:-1 gene:PRUPE_2G189600 transcript:ONI23440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23441 pep chromosome:Prunus_persica_NCBIv2:G2:22915701:22924776:-1 gene:PRUPE_2G189600 transcript:ONI23441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23457 pep chromosome:Prunus_persica_NCBIv2:G2:22918215:22924687:-1 gene:PRUPE_2G189600 transcript:ONI23457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVMLVSLFLFNR >ONI23439 pep chromosome:Prunus_persica_NCBIv2:G2:22913419:22924783:-1 gene:PRUPE_2G189600 transcript:ONI23439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLEWRMRLYIYVSLCLHFFDVFTRCRMELHVRDYVNEGVGFAHIVGPLPNSLSFWGLVVAQHTSSQTIVWLCCVPIEVNLEGVAALWRLH >ONI23458 pep chromosome:Prunus_persica_NCBIv2:G2:22918215:22924687:-1 gene:PRUPE_2G189600 transcript:ONI23458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVMLVSLFLFNR >ONI23436 pep chromosome:Prunus_persica_NCBIv2:G2:22913416:22924723:-1 gene:PRUPE_2G189600 transcript:ONI23436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLDTSNPLKIEEEE >ONI23438 pep chromosome:Prunus_persica_NCBIv2:G2:22913492:22924829:-1 gene:PRUPE_2G189600 transcript:ONI23438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLEWRMRLYIYVSLCLHFFDVFTRCRMELHVRDYVNEGVGFAHIVGPLPNSLSFWGLVVAQHTSSQTIVWLCCVPIEVNLEGVAALWRLH >ONI23444 pep chromosome:Prunus_persica_NCBIv2:G2:22918144:22924687:-1 gene:PRUPE_2G189600 transcript:ONI23444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23449 pep chromosome:Prunus_persica_NCBIv2:G2:22913643:22924812:-1 gene:PRUPE_2G189600 transcript:ONI23449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI23448 pep chromosome:Prunus_persica_NCBIv2:G2:22918144:22924687:-1 gene:PRUPE_2G189600 transcript:ONI23448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKADDRRCKRNDGQKWRCRETASSGKSYCEKHLAQLKSKTKNKNIQRERSNGDSDADNPKKSSEKHLAQLKNKTRNKKIQRERSDGDSGSDNPVKNCKLKEEKPNKKTEQRVSLMCHQCQRNDKSGVVHCSNCKIKRFCFECIERWYPGKTREEIAKSCPFCCCNCNCKDCLRQFIKKPCNIKVEPSVKLQRLKYLLYEALPVLRHIHTEQSFELEIEAKIRGVQLSEMDITRTKIDQSERMYCDNCYTSIVDFHRSCPNVHCSYDLCLTCCQELRKGYQPGGSEAETSHQQSVERAQKQVTKSEDNTNLKRKRHGWESQITLAADDSKTDVTLSFPNWRANPDGSIPCPPKECGGCGKVKLELRRKCKANWVTKLLKSAEDVTSDFKKQDVDMSQRCSWCQPNDSEEDNNLQSEVRQAAFRKNSDDNFLYCPSAVDIADDEIEHFQRHWMNGEPVIVRNVLDKTSGLSWEPMVMWRAFRETGAKVKFKEETRSVKAIDCWDWCEVEINIHQFFTGYLEGRVHKSGWPEMLKLKDWPSSTLFEERLPRHCAEFIAALPYSDYTDPKDSGIGCLNLATKLPVDSLKPDMGPKTYIAYGFSEELGRGDSVTKLHCDMSDAVNVLTHTTRVKIAPWQQKKIEGLQSKHEAEDLCELYNERDDDNGRVRGKSLKKTHKLQILSADSGECTKNENIVESDHLMPEQEQLSDSVDLGGIVGHEETEYVSESPDTPSLDHQRSERMQSTLPHTNEVEAEQEHVQCSTDIMIGRLGGKDASGFCFSGNNAVDDIKKSNVRQTKDSLESNDGLDAAHGGAVWDIFRNQDVPKLIKYLEKHKKEFRHINNHPVDSVVHPIHDQTLYLNERHKKQLKEEFNVEPWTFMQYLGEAVFIPAGCPHQVRNTQSCIKVALDFVSPESLEECLRLTEEFRLLPKNHRAKEDKLEVKKMTLYAVSSALREAESLMSKLE >ONI21464 pep chromosome:Prunus_persica_NCBIv2:G2:9552492:9564900:-1 gene:PRUPE_2G067600 transcript:ONI21464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELRIASGEQPARYRGLNLDGDFVCSECGSWMLATCRQADHIVDPRLVCLLMLDLLGTRRAASRRVRPSFSLRPVVQSQGMLDFVDREERSVWSVEVMEGMLEAAPRPGLSRWCIYCGLGEVGGVGVVGEVGVVGVVGEYLHKELAGKKFLIVLDDVWGTCSYGLWMKLQSPFLDGAAGSKIIVSTQDAEVSKMMGAGTLVHNLEPMSNDYLSSMHSRMLKEIYHQISSYSKEKIVARCSGLPLAGRTLGGLLLRKEMNEWEEILNNKLWSLSNERDILPVLRLSYHYLPSHLKRCFAYCSILPNDYEFCEQQLILLWMAEGLIQPQPEHNKQMEDLGTYYFQELLSRLEDKQNSDHVQLGCFTKARHASYISGKYDVVKRFEAFSEVKHLRTFLPLLGDYPSNYLSRKVTFDLLPKLQYLRVLSLNGYLLTELPNSIGKLKYLRYLDLSHTWIACLLESTTSLYDLQTLILEGCRYLEPLPLNLRNLVNLRHLNNSHVDSLKAMPPQLGRLTNLQSLPNFVVGKGSDQSGIREIGSLFHLQGTLRLLRLENVIDAEDAWRANLKFKERLDELVLEWSDNAQETQLGVLDRLEPHRMLKILGIKGYAGLKFSQWIGDRLFSTMVRVNLNKCENYKILPPLGQLPSLKTLSIRGMIAVESVGPEFYGESSLPFPVLEELQLANMHNWKKWLPFAQDQVFPCLKLFSIRNCTQLEGKVPENLDSLETLGIFKCEELVISISNYKQIGRLCMDGCKAVVKASGVEFELLESLQLSNISQVRFQTRELTEGLRKVANFKIGGCEELTSSLKNEDRVLQHLISLDRLVIEGNSSLLQKQGKEAKELLQLQILTCKLKYLELNKCASISKVPEGLHHLTALQELQIVGCSSLVSFPDVGLPPSLEVIRIDGAQLFPKVLKGLHISGCKELQLITSDGFAHDNINYCLERISIILCPNLKSLPEGLCHLTNLQTLEIYDCGSLVSIPSLSGEGLPSLITTATSSLRQIKIENYNKLEMLPDMRNLNCLQELNIDYGEGVRLGSEISSHGSGLKTGKHLVFILQQIKPCHPCTIIDECDKPSNTRRSSDRRSGRCIGYRLSRNLDRNLSLSRGRSRSRNRDRGLNQRQIWGWGHHRSGGRGRLRSRGRGRHRHSWGRGRGRQKRILILDRVRELWVDGEDPYMVSFPPEGDTEMDMEMLLPESLTHLSIGGFPNMKKLSSKGFQLLTSLQYLRLQNCPKLASIPLEGLALSLSQFHISGCPKIFWVTSGSQLLVFGRYCHKIFHIPHIEIYPTLPRFILIYCLQLTVLNDVEEKQLTCRKDLKFQKIRFGLEPRRRGDFQRRRQRRPEKVFNLANHIARGESHEHNLTRITVIRAALKAWRCREVHSCIVKPITLDDDLTTLEVDSDHRGLENLDRQIVDDQKVGSCPISYMVQLEFVYVTILECFGQYLISLDLELVGMAFLSFECGISYLRKIENRVDDVVLRYIFRRVLFYVQAIS >ONI21673 pep chromosome:Prunus_persica_NCBIv2:G2:12231761:12236032:1 gene:PRUPE_2G080000 transcript:ONI21673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVSPSATFIVSTKFSLKPRKTQSQTRTTNLRFPISPTHKNPFTFSSVSHSSCSCFSVKTCAASTSTTATPSTSLPLSSTSSDKRHWVVLMEAPPQGVNSKQEVIEYYVKTLQSVLGNEKDAQMCIYDASWDTHFGFCCDIEEETSHKLACLPGVLSVKPDPDYSSAKKDYSPPNVQSGFMSYPQSGSTFLFPLSNTKRWLVRMDKPSIGVVTKAQMVDYYAQILTKVLGNEKDAQMCIYHVSWQSNFGFCCELDEECAREVAGVPGVLSVEPDKNWESENKDYGGNNLQSSMDLSTSGEASETTPTKTKKLFVTGLSFYTSEKTLRAAFEGFGELVEVKIIMDKISKRSKGYAFIEYTTEEAASAALREMNGKIINGWMIVVDVAKTSPPRYSRGQSRPATR >ONI20167 pep chromosome:Prunus_persica_NCBIv2:G2:134557:144183:-1 gene:PRUPE_2G000500 transcript:ONI20167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCAAPAEDINRYKLGDPRTFHYLNQSSCYEVANVDDAREYLETRNAMDVVGISQEEQDAIFRVVSAILHLGNIDFIKGEEVDSSKLKDDKSFYHLQTAAELLMCDKKALEDSLCKRVIVTPDGNITKPLDPDSATLSRDALAKTVYSRLFDWIVDKINSSIGQDPNSSSLIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKDEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKGHKRFKKPKLARTDFTVNHYAGDVTYEADHFLDKNKDYVVAEHQALLNASTCSFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNTVLKPGIFENVNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGLLAPDILDGSDEKSACIAICDRMGLKGYQIGKSKVFLRAGQMAELDARRTKVLADSARCIQRQIRTHLTRKEFIAVRRAAIHMQKLWRGQLARKMYEQIRKEAASIRIQKHLRAHTARKSYIKFQAVSIVIQTGLRAMAARNDHRNRKRTKAANIIQTNWRRFEAVSEYKHQRKATLTLQCLWRAKVARKELRKLRMAARETGALKAAKDMLEKRVEELTWRLDFEKHLRIDLEETKGKEIANLQNTLHEMQTQIDEAHAAIIHEKEAAKLAIEQAPPVIKEVPVVDNTKLEILKNHNEELEGELTELKKEIEGFEENYSQVEKESKARHKEAEEAQLKATQLQETIERLELNLSSLESENQVLRQQALVASANEDFSEEMRILKRKIADLESENKFLRNKTLVMEQKATPERFQPQVKPFENGNGKREELRTKEPDPVVSHLSRQRSLTDRQQESVDVLVKCLVEDRQFDKNRPVTACIVYRALLQWRTFEAEKTNVFDRIIHKIRSIIETQNTVSDLAYWLSTTSTLLFLLQSTLKAASASNTASYRNRTPATIFGRMAHGFRSSSMSTGVSSGYSGTMGKPNEQLKVEAKYPALLFKQHLTAFVEKIYGTIRDSLKKEISPFLNLCIQAPRSARGRSIRGSSKTIHSNIRARQQASNMHWQSTVNKLDQTLGILSVNNVSPMIARKIFSQVFSFINVQLFNSLLLRRECCSFSNGEFLKAGLLELENWCLKVTDQFAGSSLEELQHIRQAVGFLVLHQKTQKSVDEITNELCSILSIPQIYRIGTMFWDDKYGAQGLSAEVIGKMRVLMAEDSINMPNNSFLLDVDSSIPFSIEEMCRSFDDISLSDMNPPAILRQRSDFHFLLQQTD >ONI20166 pep chromosome:Prunus_persica_NCBIv2:G2:134174:144805:-1 gene:PRUPE_2G000500 transcript:ONI20166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCAAPAEDINRYKLGDPRTFHYLNQSSCYEVANVDDAREYLETRNAMDVVGISQEEQDAIFRVVSAILHLGNIDFIKGEEVDSSKLKDDKSFYHLQTAAELLMCDKKALEDSLCKRVIVTPDGNITKPLDPDSATLSRDALAKTVYSRLFDWIVDKINSSIGQDPNSSSLIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKDEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKGHKRFKKPKLARTDFTVNHYAGDVTYEADHFLDKNKDYVVAEHQALLNASTCSFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNTVLKPGIFENVNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGLLAPDILDGSDEKSACIAICDRMGLKGYQIGKSKVFLRAGQMAELDARRTKVLADSARCIQRQIRTHLTRKEFIAVRRAAIHMQKLWRGQLARKMYEQIRKEAASIRIQKHLRAHTARKSYIKFQAVSIVIQTGLRAMAARNDHRNRKRTKAANIIQTNWRRFEAVSEYKHQRKATLTLQCLWRAKVARKELRKLRMAARETGALKAAKDMLEKRVEELTWRLDFEKHLRGELTELKKEIEGFEENYSQVEKESKARHKEAEEAQLKATQLQETIERLELNLSSLESENQVLRQQALVASANEDFSEEMRILKRKIADLESENKFLRNKTLVMEQKATPERFQPQVKPFENGNGKREELRTKEPDPVVSHLSRQRSLTDRQQESVDVLVKCLVEDRQFDKNRPVTACIVYRALLQWRTFEAEKTNVFDRIIHKIRSIIETQNTVSDLAYWLSTTSTLLFLLQSTLKAASASNTASYRNRTPATIFGRMAHGFRSSSMSTGVSSGYSGTMGKPNEQLKVEAKYPALLFKQHLTAFVEKIYGTIRDSLKKEISPFLNLCIQAPRSARGRSIRGSSKTIHSNIRARQQASNMHWQSTVNKLDQTLGILSVNNVSPMIARKIFSQVFSFINVQLFNSLLLRRECCSFSNGEFLKAGLLELENWCLKVTDQFAGSSLEELQHIRQAVGFLVLHQKTQKSVDEITNELCSILSIPQIYRIGTMFWDDKYGAQGLSAEVIGKMRVLMAEDSINMPNNSFLLDVDSSIPFSIEEMCRSFDDISLSDMNPPAILRQRSDFHFLLQQTD >ONI20165 pep chromosome:Prunus_persica_NCBIv2:G2:134174:145272:-1 gene:PRUPE_2G000500 transcript:ONI20165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLAYLHEPGVLHNLACRFALNEIYTYTGNILIAVNPFQRLPHLYEIHMMEQYKGTAFGELSPHLFAVADTCYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDNNWKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPAEDINRYKLGDPRTFHYLNQSSCYEVANVDDAREYLETRNAMDVVGISQEEQDAIFRVVSAILHLGNIDFIKGEEVDSSKLKDDKSFYHLQTAAELLMCDKKALEDSLCKRVIVTPDGNITKPLDPDSATLSRDALAKTVYSRLFDWIVDKINSSIGQDPNSSSLIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKDEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKGHKRFKKPKLARTDFTVNHYAGDVTYEADHFLDKNKDYVVAEHQALLNASTCSFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNTVLKPGIFENVNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGLLAPDILDGSDEKSACIAICDRMGLKGYQIGKSKVFLRAGQMAELDARRTKVLADSARCIQRQIRTHLTRKEFIAVRRAAIHMQKLWRGQLARKMYEQIRKEAASIRIQKHLRAHTARKSYIKFQAVSIVIQTGLRAMAARNDHRNRKRTKAANIIQTNWRRFEAVSEYKHQRKATLTLQCLWRAKVARKELRKLRMAARETGALKAAKDMLEKRVEELTWRLDFEKHLRIDLEETKGKEIANLQNTLHEMQTQIDEAHAAIIHEKEAAKLAIEQAPPVIKEVPVVDNTKLEILKNHNEELEGELTELKKEIEGFEENYSQVEKESKARHKEAEEAQLKATQLQETIERLELNLSSLESENQVLRQQALVASANEDFSEEMRILKRKIADLESENKFLRNKTLVMEQKATPERFQPQVKEPDPVVSHLSRQRSLTDRQQESVDVLVKCLVEDRQFDKNRPVTACIVYRALLQWRTFEAEKTNVFDRIIHKIRSIIETQNTVSDLAYWLSTTSTLLFLLQSTLKAASASNTASYRNRTPATIFGRMAHGFRSSSMSTGVSSGYSGTMGKPNEQLKVEAKYPALLFKQHLTAFVEKIYGTIRDSLKKEISPFLNLCIQAPRSARGRSIRGSSKTIHSNIRARQQASNMHWQSTVNKLDQTLGILSVNNVSPMIARKIFSQVFSFINVQLFNSLLLRRECCSFSNGEFLKAGLLELENWCLKVTDQFAGSSLEELQHIRQAVGFLVLHQKTQKSVDEITNELCSILSIPQIYRIGTMFWDDKYGAQGLSAEVIGKMRVLMAEDSINMPNNSFLLDVDSSIPFSIEEMCRSFDDISLSDMNPPAILRQRSDFHFLLQQTD >ONI20163 pep chromosome:Prunus_persica_NCBIv2:G2:134174:145387:-1 gene:PRUPE_2G000500 transcript:ONI20163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRFALNEIYTYTGNILIAVNPFQRLPHLYEIHMMEQYKGTAFGELSPHLFAVADTCYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDNNWKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPAEDINRYKLGDPRTFHYLNQSSCYEVANVDDAREYLETRNAMDVVGISQEEQDAIFRVVSAILHLGNIDFIKGEEVDSSKLKDDKSFYHLQTAAELLMCDKKALEDSLCKRVIVTPDGNITKPLDPDSATLSRDALAKTVYSRLFDWIVDKINSSIGQDPNSSSLIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKDEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKGHKRFKKPKLARTDFTVNHYAGDVTYEADHFLDKNKDYVVAEHQALLNASTCSFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNTVLKPGIFENVNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGLLAPDILDGSDEKSACIAICDRMGLKGYQIGKSKVFLRAGQMAELDARRTKVLADSARCIQRQIRTHLTRKEFIAVRRAAIHMQKLWRGQLARKMYEQIRKEAASIRIQKHLRAHTARKSYIKFQAVSIVIQTGLRAMAARNDHRNRKRTKAANIIQTNWRRFEAVSEYKHQRKATLTLQCLWRAKVARKELRKLRMAARETGALKAAKDMLEKRVEELTWRLDFEKHLRIDLEETKGKEIANLQNTLHEMQTQIDEAHAAIIHEKEAAKLAIEQAPPVIKEVPVVDNTKLEILKNHNEELEGELTELKKEIEGFEENYSQVEKESKARHKEAEEAQLKATQLQETIERLELNLSSLESENQVLRQQALVASANEDFSEEMRILKRKIADLESENKFLRNKTLVMEQKATPERFQPQVKPFENGNGKREELRTKEPDPVVSHLSRQRSLTDRQQESVDVLVKCLVEDRQFDKNRPVTACIVYRALLQWRTFEAEKTNVFDRIIHKIRSIIETQNTVSDLAYWLSTTSTLLFLLQSTLKAASASNTASYRNRTPATIFGRMAHGFRSSSMSTGVSSGYSGTMGKPNEQLKVEAKYPALLFKQHLTAFVEKIYGTIRDSLKKEISPFLNLCIQAPRSARGRSIRGSSKTIHSNIRARQQASNMHWQSTVNKLDQTLGILSVNNVSPMIARKIFSQVFSFINVQLFNSLLLRRECCSFSNGEFLKAGLLELENWCLKVTDQFAGSSLEELQHIRQAVGFLVLHQKTQKSVDEITNELCSILSIPQIYRIGTMFWDDKYGAQGLSAEVIGKMRVLMAEDSINMPNNSFLLDVDSSIPFSIEEMCRSFDDISLSDMNPPAILRQRSDFHFLLQQTD >ONI20164 pep chromosome:Prunus_persica_NCBIv2:G2:134174:145336:-1 gene:PRUPE_2G000500 transcript:ONI20164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRFALNEIYTYTGNILIAVNPFQRLPHLYEIHMMEQYKGTAFGELSPHLFAVADTCYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDNNWKISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPAEDINRYKLGDPRTFHYLNQSSCYEVANVDDAREYLETRNAMDVVGISQEEQDAIFRVVSAILHLGNIDFIKGEEVDSSKLKDDKSFYHLQTAAELLMCDKKALEDSLCKRVIVTPDGNITKPLDPDSATLSRDALAKTVYSRLFDWIVDKINSSIGQDPNSSSLIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTKDEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKGHKRFKKPKLARTDFTVNHYAGDVTYEADHFLDKNKDYVVAEHQALLNASTCSFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNTVLKPGIFENVNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGLLAPDILDGSDEKSACIAICDRMGLKGYQFPTNVVLQIGKSKVFLRAGQMAELDARRTKVLADSARCIQRQIRTHLTRKEFIAVRRAAIHMQKLWRGQLARKMYEQIRKEAASIRIQKHLRAHTARKSYIKFQAVSIVIQTGLRAMAARNDHRNRKRTKAANIIQTNWRRFEAVSEYKHQRKATLTLQCLWRAKVARKELRKLRMAARETGALKAAKDMLEKRVEELTWRLDFEKHLRIDLEETKGKEIANLQNTLHEMQTQIDEAHAAIIHEKEAAKLAIEQAPPVIKEVPVVDNTKLEILKNHNEELEGELTELKKEIEGFEENYSQVEKESKARHKEAEEAQLKATQLQETIERLELNLSSLESENQVLRQQALVASANEDFSEEMRILKRKIADLESENKFLRNKTLVMEQKATPERFQPQVKPFENGNGKREELRTKEPDPVVSHLSRQRSLTDRQQESVDVLVKCLVEDRQFDKNRPVTACIVYRALLQWRTFEAEKTNVFDRIIHKIRSIIETQNTVSDLAYWLSTTSTLLFLLQSTLKAASASNTASYRNRTPATIFGRMAHGFRSSSMSTGVSSGYSGTMGKPNEQLKVEAKYPALLFKQHLTAFVEKIYGTIRDSLKKEISPFLNLCIQAPRSARGRSIRGSSKTIHSNIRARQQASNMHWQSTVNKLDQTLGILSVNNVSPMIARKIFSQVFSFINVQLFNSLLLRRECCSFSNGEFLKAGLLELENWCLKVTDQFAGSSLEELQHIRQAVGFLVLHQKTQKSVDEITNELCSILSIPQIYRIGTMFWDDKYGAQGLSAEVIGKMRVLMAEDSINMPNNSFLLDVDSSIPFSIEEMCRSFDDISLSDMNPPAILRQRSDFHFLLQQTD >ONI24593 pep chromosome:Prunus_persica_NCBIv2:G2:26433723:26436415:-1 gene:PRUPE_2G248800 transcript:ONI24593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLISSVRVNVTSGEREERMMMTGLHTVADIFCVGCGSIVGWKYETAHEKSQKYKEGKSVLERVKMSGPDGSNYWVSHEAQVGSSDADDV >ONI24591 pep chromosome:Prunus_persica_NCBIv2:G2:26433623:26436868:-1 gene:PRUPE_2G248800 transcript:ONI24591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVQSLEGKIYSCKHCRTHLALCQDIVSKSFHSRHGKAYLFSKVVNVTSGEREERMMMTGLHTVADIFCVGCGSIVGWKYETAHEKSQKYKEGKSVLERVKMSGPDGSNYWVSHEAQVGSSDADDV >ONI24592 pep chromosome:Prunus_persica_NCBIv2:G2:26433634:26436530:-1 gene:PRUPE_2G248800 transcript:ONI24592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFVQSLEGKIYSCKHCRTHLALCQDIVSKSFHSRHGKAYLFSKVVNVTSGEREERMMMTGLHTVADIFCVGCGSIVGWKYETAHEKSQKYKEGKSVLERVKMSGPDGSNYWVSHEAQVGSSDADDV >ONI21824 pep chromosome:Prunus_persica_NCBIv2:G2:14455612:14459601:1 gene:PRUPE_2G091500 transcript:ONI21824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSLAPGFRFHPTDEELVWYYLKRKVSGKNFRFDPISVIDIYKTEPWDLPGKSKLKTGDLEWYFFSFLDKKYGNSSRTNRATEKGYWKTTGKDRPVLHNSRNVGMKKTLVFHSGRAPKGARTNWVMHEYRLSNEELEKSGIPQKDPYVLCRIFQKSGTGPKNGEQYGAPIAEEEWDDDDVTCVPGELAADNVVVVSGGPYVETNDVSNGAYVEAFDNDQNFDTGIPSECPPLNFYYGETSNYVEHSGEFVDDGTTAVIGTGETTEYHEDQKFFDLPVDYEMGGKTVKDEYLTSENCDDLKFFDVPEHYEADAKLVKDECFIEPSNDTNPADVNYPLNEPYFNTTENPPVGDGLFLETNDLSNPVESTAGFDMLDEYLTYFDADDDISQYIDFDSCGMMGVENSVPDQSPVDQKLGNGETEQLPMGVEHLAQAEDTNDASSSKQKPEFKLESDVNYPFIKKASHMMLGNIPAPPAFASEFPAKDAILRLNSGAASSSSVHLTAGMIRIRDITSSDNRMDWSFGKDGVVNLVFSVQLSQNDGNSGNLVPMDGSLSGKTGCVVMRGWFLFMFFWVLFLSMSFKIGSYVYTR >ONI23860 pep chromosome:Prunus_persica_NCBIv2:G2:24449699:24455992:1 gene:PRUPE_2G212800 transcript:ONI23860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISQNDGTMEGWLYIIGSNRLGLQYSRKRYFILKDNCLRSFKAKPSSDSEEPVRSAVIDSCIRVTDNGKASIHRKGFFIFTLYNTSNHNDQLKLGASSPEEAAKWMRSLKDAALKECPDTANGFVACSKSRWPSFIRGGSRRAESKISVDWTLGSLTHTESMTSDVIAPSPWKIFGCQNGLRLFKEAKDWDSRGRHWDDHPAIMAIGLVDGTSEAIFRTLLSLGPSRSEWDFCFYRGSVVEHLDGHTDIVHQKLYSDWLPWGMQRRDLLVRRYWRREDDGTYVILYHSVSHKKCPPKRGYVRACLKSGGYVITPVNQGKQSLVRHMLAIDWKFWKLYLRPSSARSITIRMLERVAALRELFGAKTGNCSSEFSSGDLTRDIRLSQSGKNNIKTEVQHPGEVRKIEDGLLVEDEVEKPEGRASLKGLHDAADEFFDVPEPTEYDQFENEWPADLSMEQHSTNIQHPKLSSAAVLVKKLHELRVQKKGYMDLQEVSREENISCSYGATLQKDPSCALPCSWGSADPSSFLIRGPSYLQDRQKIKAKNTLMQLVGVDWLRSDKREDDLGSRYGGIVQKYAERGGSEFFFIVNIQVPGTTMYTLAFYYMLKTPLEENPLLHNFVNGDDSYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEVHYFRGKNYLELGIDVGSSTVARGVVNLVLGYLNNLVIEMAFLIQANTEEELPEVLLGTCRINHLDASKSVLVKP >ONI23861 pep chromosome:Prunus_persica_NCBIv2:G2:24449699:24455992:1 gene:PRUPE_2G212800 transcript:ONI23861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISQNDGTMEGWLYIIGSNRLGLQYSRKRYFILKDNCLRSFKAKPSSDSEEPVRSAVIDSCIRVTDNGKASIHRKGFFIFTLYNTSNHNDQLKLGASSPEEAAKWMRSLKDAALKECPDTANGFVACSKSRWPSFIRGGSRRAESKISVDWTLGSLTHTESMTSDVIAPSPWKIFGCQNGLRLFKEAKDWDSRGRHWDDHPAIMAIGLVDGTSEAIFRTLLSLGPSRSEWDFCFYRGSVVEHLDGHTDIVHQKLYSDWLPWGMQRRDLLVRRYWRREDDGTYVILYHSVSHKKCPPKRGYVRACLKSGGYVITPVNQGKQSLVRHMLAIDWKFWKLYLRPSSARSITIPLRELFGAKTGNCSSEFSSGDLTRDIRLSQSGKNNIKTEVQHPGEVRKIEDGLLVEDEVEKPEGRASLKGLHDAADEFFDVPEPTEYDQFENEWPADLSMEQHSTNIQHPKLSSAAVLVKKLHELRVQKKGYMDLQEVSREENISCSYGATLQKDPSCALPCSWGSADPSSFLIRGPSYLQDRQKIKAKNTLMQLVGVDWLRSDKREDDLGSRYGGIVQKYAERGGSEFFFIVNIQVPGTTMYTLAFYYMLKTPLEENPLLHNFVNGDDSYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEVHYFRGKNYLELGIDVGSSTVARGVVNLVLGYLNNLVIEMAFLIQANTEEELPEVLLGTCRINHLDASKSVLVKP >ONI23862 pep chromosome:Prunus_persica_NCBIv2:G2:24451478:24455992:1 gene:PRUPE_2G212800 transcript:ONI23862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDVIAPSPWKIFGCQNGLRLFKEAKDWDSRGRHWDDHPAIMAIGLVDGTSEAIFRTLLSLGPSRSEWDFCFYRGSVVEHLDGHTDIVHQKLYSDWLPWGMQRRDLLVRRYWRREDDGTYVILYHSVSHKKCPPKRGYVRACLKSGGYVITPVNQGKQSLVRHMLAIDWKFWKLYLRPSSARSITIRMLERVAALRELFGAKTGNCSSEFSSGDLTRDIRLSQSGKNNIKTEVQHPGEVRKIEDGLLVEDEVEKPEGRASLKGLHDAADEFFDVPEPTEYDQFENEWPADLSMEQHSTNIQHPKLSSAAVLVKKLHELRVQKKGYMDLQEVSREENISCSYGATLQKDPSCALPCSWGSADPSSFLIRGPSYLQDRQKIKAKNTLMQLVGVDWLRSDKREDDLGSRYGGIVQKYAERGGSEFFFIVNIQVPGTTMYTLAFYYMLKTPLEENPLLHNFVNGDDSYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEVHYFRGKNYLELGIDVGSSTVARGVVNLVLGYLNNLVIEMAFLIQANTEEELPEVLLGTCRINHLDASKSVLVKP >ONI25988 pep chromosome:Prunus_persica_NCBIv2:G2:30220276:30228125:-1 gene:PRUPE_2G329000 transcript:ONI25988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCRKERAVDELYTATRIVMKPEITPVLRGSHRMQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLKDNILGRCDI >ONI25975 pep chromosome:Prunus_persica_NCBIv2:G2:30218667:30228125:-1 gene:PRUPE_2G329000 transcript:ONI25975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25997 pep chromosome:Prunus_persica_NCBIv2:G2:30220343:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLKDNILGRCDI >ONI25974 pep chromosome:Prunus_persica_NCBIv2:G2:30218646:30228126:-1 gene:PRUPE_2G329000 transcript:ONI25974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25973 pep chromosome:Prunus_persica_NCBIv2:G2:30219085:30227333:-1 gene:PRUPE_2G329000 transcript:ONI25973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25968 pep chromosome:Prunus_persica_NCBIv2:G2:30219085:30227577:-1 gene:PRUPE_2G329000 transcript:ONI25968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPVLRGSHRMQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25987 pep chromosome:Prunus_persica_NCBIv2:G2:30218668:30228125:-1 gene:PRUPE_2G329000 transcript:ONI25987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCRKERAVDELYTATRIVMKPEITPVLRGSHRMQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLKDNILGRCDI >ONI25964 pep chromosome:Prunus_persica_NCBIv2:G2:30218663:30228125:-1 gene:PRUPE_2G329000 transcript:ONI25964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCRKERAVDELYTATRIVMKPEITPVLRGSHRMQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25967 pep chromosome:Prunus_persica_NCBIv2:G2:30219085:30227577:-1 gene:PRUPE_2G329000 transcript:ONI25967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPVLRGSHRMQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25965 pep chromosome:Prunus_persica_NCBIv2:G2:30218668:30228125:-1 gene:PRUPE_2G329000 transcript:ONI25965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCRKERAVDELYTATRIVMKPEITPVLRGSHRMQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25994 pep chromosome:Prunus_persica_NCBIv2:G2:30220343:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLKDNILGRCDI >ONI25993 pep chromosome:Prunus_persica_NCBIv2:G2:30220343:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLKDNILGRCDI >ONI25982 pep chromosome:Prunus_persica_NCBIv2:G2:30219085:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25983 pep chromosome:Prunus_persica_NCBIv2:G2:30219085:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25981 pep chromosome:Prunus_persica_NCBIv2:G2:30218668:30228125:-1 gene:PRUPE_2G329000 transcript:ONI25981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25996 pep chromosome:Prunus_persica_NCBIv2:G2:30220343:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLKDNILGRCDI >ONI25995 pep chromosome:Prunus_persica_NCBIv2:G2:30220343:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLKDNILGRCDI >ONI25966 pep chromosome:Prunus_persica_NCBIv2:G2:30218646:30228126:-1 gene:PRUPE_2G329000 transcript:ONI25966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCRKERAVDELYTATRIVMKPEITPVLRGSHRMQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25980 pep chromosome:Prunus_persica_NCBIv2:G2:30218668:30227510:-1 gene:PRUPE_2G329000 transcript:ONI25980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25992 pep chromosome:Prunus_persica_NCBIv2:G2:30220343:30227333:-1 gene:PRUPE_2G329000 transcript:ONI25992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLKDNILGRCDI >ONI25976 pep chromosome:Prunus_persica_NCBIv2:G2:30218668:30228125:-1 gene:PRUPE_2G329000 transcript:ONI25976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25979 pep chromosome:Prunus_persica_NCBIv2:G2:30219085:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25985 pep chromosome:Prunus_persica_NCBIv2:G2:30219085:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25990 pep chromosome:Prunus_persica_NCBIv2:G2:30220343:30227333:-1 gene:PRUPE_2G329000 transcript:ONI25990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLKDNILGRCDI >ONI25986 pep chromosome:Prunus_persica_NCBIv2:G2:30218841:30227782:-1 gene:PRUPE_2G329000 transcript:ONI25986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCRKERAVDELYTATRIVMKPEITPVLRGSHRMQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDEEMR >ONI25971 pep chromosome:Prunus_persica_NCBIv2:G2:30218668:30228125:-1 gene:PRUPE_2G329000 transcript:ONI25971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25991 pep chromosome:Prunus_persica_NCBIv2:G2:30220339:30228125:-1 gene:PRUPE_2G329000 transcript:ONI25991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLKDNILGRCDI >ONI25984 pep chromosome:Prunus_persica_NCBIv2:G2:30219085:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25998 pep chromosome:Prunus_persica_NCBIv2:G2:30220480:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLMALLMSQNLTPQ >ONI25970 pep chromosome:Prunus_persica_NCBIv2:G2:30218667:30228126:-1 gene:PRUPE_2G329000 transcript:ONI25970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25978 pep chromosome:Prunus_persica_NCBIv2:G2:30219085:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25977 pep chromosome:Prunus_persica_NCBIv2:G2:30218668:30228125:-1 gene:PRUPE_2G329000 transcript:ONI25977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25999 pep chromosome:Prunus_persica_NCBIv2:G2:30220480:30226406:-1 gene:PRUPE_2G329000 transcript:ONI25999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLMALLMSQNLTPQ >ONI25972 pep chromosome:Prunus_persica_NCBIv2:G2:30218668:30228125:-1 gene:PRUPE_2G329000 transcript:ONI25972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQGSDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25969 pep chromosome:Prunus_persica_NCBIv2:G2:30218667:30228126:-1 gene:PRUPE_2G329000 transcript:ONI25969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLQQEICLRGSGLGTEIKSSIPITGSNSSNKGEKRQVHWVTSGDREGAEYLKIRPISNQEVAIAGSVGEETIPDRKKRVGLAGGVEEVILDGVNIESVECKQDKELKRDYGYKEIEAALVRDLTAGVNSFQSSKRKHPHIALSETAASAATNQEMSWNEVNSTQIDGEGDSKKPKIGSSGLYGCSTSRGTNAVDDGFVPHNDMGPCSMVEKRCVEACEEKVIPEDLGTTERYFFPVDSRHVQHFHTVENSVPWKGSSSGDEDKSRDGFPSLELALGAETKPQNKGILPFFVGLADEKNNQDKPLDAVVDEKDDDASASLSLSLSFPFPDKEQPVKPVSKSEQLLPERHHVNTSLLLFGRLPDK >ONI25989 pep chromosome:Prunus_persica_NCBIv2:G2:30220343:30227333:-1 gene:PRUPE_2G329000 transcript:ONI25989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPADETDHDIKKNMVSSQSIKEFGKHSMSRKVHMRGESGACNLCSAPCSSCVHFNRALMGSKADEFSDETCRVNAASQYSINVGDTSASFKSKACDSLQHTTSETSNLLSVNSSHDSLSENAESKAPIRSSDTSDAVEGFEMLTNTFEDSKVVEVNDDNISCISRVNDANLAVNHHNRNVERKNLSCSFASVGSVDPEEVEKAHKSVLSEMVKAADAGDSATKGKLPECSGNMDSSLIKESPSDIVARQKFDSNKGLGASTKICPKKEVETNGNGQDLNDEALKCLDHGEQDVKSNELVAVAEKQPLQSASGDDSDESDIVEHDVKVCDICGDAGREDMLAMCSRCSDGAEHIYCMRKMLRRVPKGQWLCEECKFAEEADNQKQDMEGKKMDKAILSTQFSNKRLAENIEVAPAAKRQALEIRVGSPRPSSPKRMGALSRESSFKSIDKERLRSTYQSSQSINDISETARSPSSGIRLQTTKGTLLKSNSFNTLTSKPRVKTVDDVPQKQKGSKEHSSLDMKERVARMMGKSVSFKSANSGRSNVSESKVKMLSSKFSHVQDLKGLKQAKERSTIERKNLSKLDRPLASFPAASPIVSTPKIDPASRGETSLLSSVSNNRESKVVLPDGKLSTVTKSIGNLTRKGVEPQNASVGGSSTNGICNSASEQKSNQVSSKDEPLSSYSGIVEKPCSNVDETLEDAFPQSVEMTSQADKTRESSARCRPTVAASPKCKDIGHTAEFCRVGISQTSGTDASTPISSREDMPRGNRLKDAIHAALLRKPEIYRKKRVFDPSDELSTSNVDLSYEVASQEQSLISNKLNNITCSEGSHDGQTVLGTSTSDSYKNTTVNNLKQHTVQPIDSVFPSKVTDSVSVVPSLGKSTVKDLHSHASVAMYVLAKTTAIPEYEYIWQGSFEVQRGGNYLDLCGGVQAHLSTCASPRVLEVVNKFQFKVPLSEVPRLSVWPSHFHQSGAKEDNIALYFFAKDLESYERDYKILLDAMIKNDLALKGNFDGVELLIFPSNQLPERSQRWNMLFFLWGVFRTTRVHRLDFTKETCVPSLSNSLDKYGTLSENLCIPKHIDEFSASDKCRDVASAANSLLHMGPTVSKDHVSKDTYPEEVRSGSKVSLVVQDSRLDSNTTKNAGLSEGVPCTAPLKDNILGRCDI >ONI20675 pep chromosome:Prunus_persica_NCBIv2:G2:2987915:2990779:1 gene:PRUPE_2G028700 transcript:ONI20675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDGSPVTSSPLQFFPWLSPGMGSPYPTWLRELKSEERGLYLIQLLYSCANHVASGSIENANIWLDHISQLASPDGDTMQRIAAYFNEALADRMLKAWPGLYKALNSTKITSVSEEILVKRLFCDLCPFLKVAYVVTNQAIVEAMEGEKMVHIIDLHSCEPAQWIYLIQTLNARPEGPPHLRITGIHEQKEVLDQMFHRLTEEAGNLNIPFQFNPIVSKLENLDIESLRVKTGEALAVCSVLQLHSLLAADDDLRRKSPLASKNLQKVLHMNKLTLGEWLEKDPISAYNLSPDSALSPLSGGSPKMGSFLTSLWGLSPKLMVITEQESNHNGHTLMDRIMEALNFYGALFDCLESTVPRSPMERQKVEKMLFGEEIKNIIACEGTERTERHEKLEKWILRLELAGFGRVPLSYHGMLQARRQLQGYEGFKIKEENGCLVICWHDRPLFSISAWRFRRYE >ONI20673 pep chromosome:Prunus_persica_NCBIv2:G2:2987997:2990761:1 gene:PRUPE_2G028700 transcript:ONI20673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDGSPVTSSPLQFFPWLSPGMGSPYPTWLRELKSEERGLYLIQLLYSCANHVASGSIENANIWLDHISQLASPDGDTMQRIAAYFNEALADRMLKAWPGLYKALNSTKITSVSEEILVKRLFCDLCPFLKVAYVVTNQAIVEAMEGEKMVHIIDLHSCEPAQWIYLIQTLNARPEGPPHLRITGIHEQKEVLDQMFHRLTEEAGNLNIPFQFNPIVSKLENLDIESLRVKTGEALAVCSVLQLHSLLAADDDLRRKSPLASKNLQKVLHMNKLTLGEWLEKDPISAYNLSPDSALSPLSGGSPKMGSFLTSLWGLSPKLMVITEQESNHNGHTLMDRIMEALNFYGALFDCLESTVPRSPMERQKVEKMLFGEEIKNIIACEGTERTERHEKLEKWILRLELAGFGRVPLSYHGMLQARRQLQGYEGFKIKEENGCLVICWHDRPLFSISAWRFRRYE >ONI20670 pep chromosome:Prunus_persica_NCBIv2:G2:2987915:2990780:1 gene:PRUPE_2G028700 transcript:ONI20670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDGSPVTSSPLQFFPWLSPGMGSPYPTWLRELKSEERGLYLIQLLYSCANHVASGSIENANIWLDHISQLASPDGDTMQRIAAYFNEALADRMLKAWPGLYKALNSTKITSVSEEILVKRLFCDLCPFLKVAYVVTNQAIVEAMEGEKMVHIIDLHSCEPAQWIYLIQTLNARPEGPPHLRITGIHEQKEVLDQMFHRLTEEAGNLNIPFQFNPIVSKLENLDIESLRVKTGEALAVCSVLQLHSLLAADDDLRRKSPLASKNLQKVLHMNKLTLGEWLEKDPISAYNLSPDSALSPLSGGSPKMGSFLTSLWGLSPKLMVITEQESNHNGHTLMDRIMEALNFYGALFDCLESTVPRSPMERQKVEKMLFGEEIKNIIACEGTERTERHEKLEKWILRLELAGFGRVPLSYHGMLQARRQLQGYEGFKIKEENGCLVICWHDRPLFSISAWRFRRYE >ONI20678 pep chromosome:Prunus_persica_NCBIv2:G2:2987989:2990734:1 gene:PRUPE_2G028700 transcript:ONI20678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLQTGCLKLGLVAYVVTNQAIVEAMEGEKMVHIIDLHSCEPAQWIYLIQTLNARPEGPPHLRITGIHEQKEVLDQMFHRLTEEAGNLNIPFQFNPIVSKLENLDIESLRVKTGEALAVCSVLQLHSLLAADDDLRRKSPLASKNLQKVLHMNKLTLGEWLEKDPISAYNLSPDSALSPLSGGSPKMGSFLTSLWGLSPKLMVITEQESNHNGHTLMDRIMEALNFYGALFDCLESTVPRSPMERQKVEKMLFGEEIKNIIACEGTERTERHEKLEKWILRLELAGFGRVPLSYHGMLQARRQLQGYEGFKIKEENGCLVICWHDRPLFSISAWRFRRYE >ONI20671 pep chromosome:Prunus_persica_NCBIv2:G2:2987997:2990786:1 gene:PRUPE_2G028700 transcript:ONI20671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDGSPVTSSPLQFFPWLSPGMGSPYPTWLRELKSEERGLYLIQLLYSCANHVASGSIENANIWLDHISQLASPDGDTMQRIAAYFNEALADRMLKAWPGLYKALNSTKITSVSEEILVKRLFCDLCPFLKVAYVVTNQAIVEAMEGEKMVHIIDLHSCEPAQWIYLIQTLNARPEGPPHLRITGIHEQKEVLDQMFHRLTEEAGNLNIPFQFNPIVSKLENLDIESLRVKTGEALAVCSVLQLHSLLAADDDLRRKSPLASKNLQKVLHMNKLTLGEWLEKDPISAYNLSPDSALSPLSGGSPKMGSFLTSLWGLSPKLMVITEQESNHNGHTLMDRIMEALNFYGALFDCLESTVPRSPMERQKVEKMLFGEEIKNIIACEGTERTERHEKLEKWILRLELAGFGRVPLSYHGMLQARRQLQGYEGFKIKEENGCLVICWHDRPLFSISAWRFRRYE >ONI20679 pep chromosome:Prunus_persica_NCBIv2:G2:2987989:2990734:1 gene:PRUPE_2G028700 transcript:ONI20679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLQTGCLKLGLVAYVVTNQAIVEAMEGEKMVHIIDLHSCEPAQWIYLIQTLNARPEGPPHLRITGIHEQKEVLDQMFHRLTEEAGNLNIPFQFNPIVSKLENLDIESLRVKTGEALAVCSVLQLHSLLAADDDLRRKSPLASKNLQKVLHMNKLTLGEWLEKDPISAYNLSPDSALSPLSGGSPKMGSFLTSLWGLSPKLMVITEQESNHNGHTLMDRIMEALNFYGALFDCLESTVPRSPMERQKVEKMLFGEEIKNIIACEGTERTERHEKLEKWILRLELAGFGRVPLSYHGMLQARRQLQGYEGFKIKEENGCLVICWHDRPLFSISAWRFRRYE >ONI20674 pep chromosome:Prunus_persica_NCBIv2:G2:2987989:2990780:1 gene:PRUPE_2G028700 transcript:ONI20674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDGSPVTSSPLQFFPWLSPGMGSPYPTWLRELKSEERGLYLIQLLYSCANHVASGSIENANIWLDHISQLASPDGDTMQRIAAYFNEALADRMLKAWPGLYKALNSTKITSVSEEILVKRLFCDLCPFLKVAYVVTNQAIVEAMEGEKMVHIIDLHSCEPAQWIYLIQTLNARPEGPPHLRITGIHEQKEVLDQMFHRLTEEAGNLNIPFQFNPIVSKLENLDIESLRVKTGEALAVCSVLQLHSLLAADDDLRRKSPLASKNLQKVLHMNKLTLGEWLEKDPISAYNLSPDSALSPLSGGSPKMGSFLTSLWGLSPKLMVITEQESNHNGHTLMDRIMEALNFYGALFDCLESTVPRSPMERQKVEKMLFGEEIKNIIACEGTERTERHEKLEKWILRLELAGFGRVPLSYHGMLQARRQLQGYEGFKIKEENGCLVICWHDRPLFSISAWRFRRYE >ONI20672 pep chromosome:Prunus_persica_NCBIv2:G2:2987967:2990786:1 gene:PRUPE_2G028700 transcript:ONI20672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDGSPVTSSPLQFFPWLSPGMGSPYPTWLRELKSEERGLYLIQLLYSCANHVASGSIENANIWLDHISQLASPDGDTMQRIAAYFNEALADRMLKAWPGLYKALNSTKITSVSEEILVKRLFCDLCPFLKVAYVVTNQAIVEAMEGEKMVHIIDLHSCEPAQWIYLIQTLNARPEGPPHLRITGIHEQKEVLDQMFHRLTEEAGNLNIPFQFNPIVSKLENLDIESLRVKTGEALAVCSVLQLHSLLAADDDLRRKSPLASKNLQKVLHMNKLTLGEWLEKDPISAYNLSPDSALSPLSGGSPKMGSFLTSLWGLSPKLMVITEQESNHNGHTLMDRIMEALNFYGALFDCLESTVPRSPMERQKVEKMLFGEEIKNIIACEGTERTERHEKLEKWILRLELAGFGRVPLSYHGMLQARRQLQGYEGFKIKEENGCLVICWHDRPLFSISAWRFRRYE >ONI20676 pep chromosome:Prunus_persica_NCBIv2:G2:2987997:2990512:1 gene:PRUPE_2G028700 transcript:ONI20676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDGSPVTSSPLQFFPWLSPGMGSPYPTWLRELKSEERGLYLIQLLYSCANHVASGSIENANIWLDHISQLASPDGDTMQRIAAYFNEALADRMLKAWPGLYKALNSTKITSVSEEILVKRLFCDLCPFLKVAYVVTNQAIVEAMEGEKMVHIIDLHSCEPAQWIYLIQTLNARPEGPPHLRITGIHEQKEVLDQMFHRLTEEAGNLNIPFQFNPIVSKLENLDIESLRVKTGEALAVCSVLQLHSLLAADDDLRRKSPLASKNLQKVLHMNKLTLGEWLEKDPISAYNLSPDSALSPLSGGSPKMGSFLTSLWGLSPKLMVITEQESNHNGHTLMDRIMEALNFYGALFDCLESTVPRSPMERQKVEKMLFGEEIKNIIACEGTERTERHEKLEKWILRLELAGFGRVPLSYHGMLQARRQLQGYEGFKIKEENGCLVICWHDRPLFSISAWRFRRYE >ONI20677 pep chromosome:Prunus_persica_NCBIv2:G2:2987997:2990780:1 gene:PRUPE_2G028700 transcript:ONI20677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRIAAYFNEALADRMLKAWPGLYKALNSTKITSVSEEILVKRLFCDLCPFLKVAYVVTNQAIVEAMEGEKMVHIIDLHSCEPAQWIYLIQTLNARPEGPPHLRITGIHEQKEVLDQMFHRLTEEAGNLNIPFQFNPIVSKLENLDIESLRVKTGEALAVCSVLQLHSLLAADDDLRRKSPLASKNLQKVLHMNKLTLGEWLEKDPISAYNLSPDSALSPLSGGSPKMGSFLTSLWGLSPKLMVITEQESNHNGHTLMDRIMEALNFYGALFDCLESTVPRSPMERQKVEKMLFGEEIKNIIACEGTERTERHEKLEKWILRLELAGFGRVPLSYHGMLQARRQLQGYEGFKIKEENGCLVICWHDRPLFSISAWRFRRYE >ONI21883 pep chromosome:Prunus_persica_NCBIv2:G2:15129746:15130090:-1 gene:PRUPE_2G096200 transcript:ONI21883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFGLTLTGKTIPLEVESYDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVESSDTIDNVFCVFVWLCPGVF >ONI23950 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24707156:-1 gene:PRUPE_2G216700 transcript:ONI23950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23955 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23934 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24707170:-1 gene:PRUPE_2G216700 transcript:ONI23934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23942 pep chromosome:Prunus_persica_NCBIv2:G2:24698171:24707180:-1 gene:PRUPE_2G216700 transcript:ONI23942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23941 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23951 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24707184:-1 gene:PRUPE_2G216700 transcript:ONI23951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23970 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24702448:-1 gene:PRUPE_2G216700 transcript:ONI23970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNLKIVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23933 pep chromosome:Prunus_persica_NCBIv2:G2:24698162:24707156:-1 gene:PRUPE_2G216700 transcript:ONI23933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEIFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23956 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23958 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23972 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24702434:-1 gene:PRUPE_2G216700 transcript:ONI23972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNLKIVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23938 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24707170:-1 gene:PRUPE_2G216700 transcript:ONI23938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23963 pep chromosome:Prunus_persica_NCBIv2:G2:24698158:24707202:-1 gene:PRUPE_2G216700 transcript:ONI23963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23948 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24707156:-1 gene:PRUPE_2G216700 transcript:ONI23948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23960 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24707197:-1 gene:PRUPE_2G216700 transcript:ONI23960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23971 pep chromosome:Prunus_persica_NCBIv2:G2:24698162:24702448:-1 gene:PRUPE_2G216700 transcript:ONI23971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNLKIVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23931 pep chromosome:Prunus_persica_NCBIv2:G2:24698162:24707156:-1 gene:PRUPE_2G216700 transcript:ONI23931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSASNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23935 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23968 pep chromosome:Prunus_persica_NCBIv2:G2:24698040:24707202:-1 gene:PRUPE_2G216700 transcript:ONI23968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23944 pep chromosome:Prunus_persica_NCBIv2:G2:24698162:24707180:-1 gene:PRUPE_2G216700 transcript:ONI23944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23947 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24707180:-1 gene:PRUPE_2G216700 transcript:ONI23947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23939 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23966 pep chromosome:Prunus_persica_NCBIv2:G2:24698162:24706800:-1 gene:PRUPE_2G216700 transcript:ONI23966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23949 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23930 pep chromosome:Prunus_persica_NCBIv2:G2:24698174:24707156:-1 gene:PRUPE_2G216700 transcript:ONI23930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSASNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23932 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24707156:-1 gene:PRUPE_2G216700 transcript:ONI23932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEIFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23969 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23967 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23946 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23965 pep chromosome:Prunus_persica_NCBIv2:G2:24698166:24707156:-1 gene:PRUPE_2G216700 transcript:ONI23965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23952 pep chromosome:Prunus_persica_NCBIv2:G2:24698169:24707183:-1 gene:PRUPE_2G216700 transcript:ONI23952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23953 pep chromosome:Prunus_persica_NCBIv2:G2:24698162:24707183:-1 gene:PRUPE_2G216700 transcript:ONI23953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23936 pep chromosome:Prunus_persica_NCBIv2:G2:24698162:24707179:-1 gene:PRUPE_2G216700 transcript:ONI23936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23945 pep chromosome:Prunus_persica_NCBIv2:G2:24698171:24707180:-1 gene:PRUPE_2G216700 transcript:ONI23945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23937 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24707179:-1 gene:PRUPE_2G216700 transcript:ONI23937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23957 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23943 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24707180:-1 gene:PRUPE_2G216700 transcript:ONI23943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23959 pep chromosome:Prunus_persica_NCBIv2:G2:24698163:24707197:-1 gene:PRUPE_2G216700 transcript:ONI23959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23940 pep chromosome:Prunus_persica_NCBIv2:G2:24699412:24706665:-1 gene:PRUPE_2G216700 transcript:ONI23940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23962 pep chromosome:Prunus_persica_NCBIv2:G2:24698178:24707156:-1 gene:PRUPE_2G216700 transcript:ONI23962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23961 pep chromosome:Prunus_persica_NCBIv2:G2:24698162:24707197:-1 gene:PRUPE_2G216700 transcript:ONI23961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23954 pep chromosome:Prunus_persica_NCBIv2:G2:24698162:24707156:-1 gene:PRUPE_2G216700 transcript:ONI23954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI23964 pep chromosome:Prunus_persica_NCBIv2:G2:24698166:24706800:-1 gene:PRUPE_2G216700 transcript:ONI23964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAHCKGKLASFRIKELKDVLTQLGLPKQGRKQDLVERILALVSGEETSNTRHLSKEKFIEKKEVAEIINEAYRKMQIVPSTDSASKEQSGSDTCSVKPKKEVKNFSDTNAKICCPCGSSLSTEAMIQCVDPRCQVQQHIHCVIIPEKTTDCNLPVRPLFFCEMCRLKRADPFWVNEVDLLSPVKLVASNIPIDGANPTQNVEKTFQLSRANKDLLQDNEYDVQAWCMLLNDSVPFRMQWPQFADLQVNGMSVRTVNRPEHQLLGANGRDDGALITLCLRYGINKISLSGCDKRAFCFGVRLVKRRTVQQVLNLIPKEEDGELFEDALARVCRCIGGGPAKAPEDSDSDLEVISDSVSVNLRCPMSGCRMKVAGRFKPCVHMGCFDLDTFVELNQRSRKWQCPVCLKNYSLEDIIIDRYFNRITMMMLKCGEDITEINVKPDGSWSAKTKGEFSDLAQWHLPDGSLCAGMNLETSRQFKLESCTNEHSGFMHNPCGVTEVSGHQHGPLEEEFEVCSQNVITMSSSATGSGRDDEGMNQNCNVSANDDNEINSASRNFDPTFAIMNGGSAQAGNADIIILSDSEEEDVHLVSPGTVYNTLPVGGSGCSLSVPPGFSGSYAQDPALKVCASSSLGLFNETGNDIGMSQYPYPSGTEADPGFQLFGTDSDISDAFIDLEQTEVARSAPTNGNTLVLEEILNPSRQVLNSSGSHANADLDNSLVDDPLAFVSEDGSLQNFLPTQPSGLLEQSDSGQHPPDSNGINTEDWMSLRLGSIGEIVPNDIEACTESARTNELRLRNQCGSDKVALVKGLNNGARSKRENSRKFSDGPFSFPRQPRSVRQRVCLSIESNSE >ONI20660 pep chromosome:Prunus_persica_NCBIv2:G2:2913032:2916841:-1 gene:PRUPE_2G028200 transcript:ONI20660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMTRLKRSNQLTQIPPKLNFSVIHALKISSQFLPSSETNIEVDRITRIINNHPYPDQPLLPTLLQHIPPHALSTSFVENVLGYLFAAHSNGLKALEFFNYSLHHSQLCPSSDAFEKTLHILARMRYFDKAWDLMEKISSMHPSLLTLKSMSIMLSKIAKFQSYEDVLEAFGKMENDIFVGRKFGTEEFNVLLRAFCTERQMKEARSVFLKMHFRFSPNTKTMNILLLGFKESGDITAVELFYHELVKRGFEPNIITYNIRIDAYCKKGCFADGLRLFEGMERENLSPTLETITTLIHGAGVARNPIKAQQLFDEIRLRNLLPDTGVYNALMSSLIRSRDVKSAVALMDEMEVKHIEHDNMTYHTMFSCLMRTSDIDGVSGLYHKMVDRSFVPKTRTVVMLMKYFCVNQQLDLGLHLWRYLVEKGCCPHGHALDLLLTGLCSRSRVIEAFECSKQMLERGRDMSEPALRILESFLVRAGEMDKLRKLKQMIQRLHTLLPPLRGHTFADPASKNTEVHKPGPQGKVS >ONI20662 pep chromosome:Prunus_persica_NCBIv2:G2:2914991:2916574:-1 gene:PRUPE_2G028200 transcript:ONI20662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMTRLKRSNQLTQIPPKLNFSVIHALKISSQFLPSSETNIEVDRITRIINNHPYPDQPLLPTLLQHIPPHALSTSFVENVLGYLFAAHSNGLKALEFFNYSLHHSQLCPSSDAFEKTLHILARMRYFDKAWDLMEKISSMHPSLLTLKSMSIMLSKIAKFQSYEDVLEAFGKMENDIFVGRKFGTEEFNVLLRAFCTERQMKEARSVFLKMHFRFSPNTKTMNILLLGFKESGDITAVELFYHELVKRGFEPNIITYNIRIDAYCKKGCFADGLRLFEGMERENLSPTLETITTLIHGAGVARNPIKAQQLFDEIRLRNLLPDTGVYNALMSSLIRSRDVKSAVALMDEMEVKHIEHDNMTYHTMFSCLMRTSDIDGVSGLYHKMVDRSFVPKTRTVVMLMKYFCVNQQLDLGLHLWRYLVEKGCCPHGHALDLLLTGLCSRSRVIEAFECSKQMLERGRDMSEPALRILESFLVRAGEMDKLRKLKQMIQRLHTLLPPLRGHTFADPASKNTEVHKPGPQGKVS >ONI20661 pep chromosome:Prunus_persica_NCBIv2:G2:2913032:2917295:-1 gene:PRUPE_2G028200 transcript:ONI20661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMTRLKRSNQLTQIPPKLNFSVIHALKISSQFLPSSETNIEVDRITRIINNHPYPDQPLLPTLLQHIPPHALSTSFVENVLGYLFAAHSNGLKALEFFNYSLHHSQLCPSSDAFEKTLHILARMRYFDKAWDLMEKISSMHPSLLTLKSMSIMLSKIAKFQSYEDVLEAFGKMENDIFVGRKFGTEEFNVLLRAFCTERQMKEARSVFLKMHFRFSPNTKTMNILLLGFKESGDITAVELFYHELVKRGFEPNIITYNIRIDAYCKKGCFADGLRLFEGMERENLSPTLETITTLIHGAGVARNPIKAQQLFDEIRLRNLLPDTGVYNALMSSLIRSRDVKSAVALMDEMEVKHIEHDNMTYHTMFSCLMRTSDIDGVSGLYHKMVDRSFVPKTRTVVMLMKYFCVNQQLDLGLHLWRYLVEKGCCPHGHALDLLLTGLCSRSRVIEAFECSKQMLERGRDMSEPALRILESFLVRAGEMDKLRKLKQMIQRLHTLLPPLRGHTFADPASKNTEVHKPGPQGKVS >ONI20659 pep chromosome:Prunus_persica_NCBIv2:G2:2912997:2917351:-1 gene:PRUPE_2G028200 transcript:ONI20659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMTRLKRSNQLTQIPPKLNFSVIHALKISSQFLPSSETNIEVDRITRIINNHPYPDQPLLPTLLQHIPPHALSTSFVENVLGYLFAAHSNGLKALEFFNYSLHHSQLCPSSDAFEKTLHILARMRYFDKAWDLMEKISSMHPSLLTLKSMSIMLSKIAKFQSYEDVLEAFGKMENDIFVGRKFGTEEFNVLLRAFCTERQMKEARSVFLKMHFRFSPNTKTMNILLLGFKESGDITAVELFYHELVKRGFEPNIITYNIRIDAYCKKGCFADGLRLFEGMERENLSPTLETITTLIHGAGVARNPIKAQQLFDEIRLRNLLPDTGVYNALMSSLIRSRDVKSAVALMDEMEVKHIEHDNMTYHTMFSCLMRTSDIDGVSGLYHKMVDRSFVPKTRTVVMLMKYFCVNQQLDLGLHLWRYLVEKGCCPHGHALDLLLTGLCSRSRVIEAFECSKQMLERGRDMSEPALRILESFLVRAGEMDKLRKLKQMIQRLHTLLPPLRGHTFADPASKNTEVGIPCTCEHKEVQQPIDMRKVVHVVSYCSRPLPHGTKCGKFTVPGSC >ONI21845 pep chromosome:Prunus_persica_NCBIv2:G2:14732592:14735252:1 gene:PRUPE_2G093200 transcript:ONI21845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLLDQSTCRLCVLVIASVFLLGFSALFLYIPYGLVCITGTSITKSHRSPESPDKRVPSACGNINNIISPFRIANDTNQSNCTNWDYYYYNLYCDNNLTVLTINRGRYYVQAINYDNFTIRVVDPGIRNNDFSSIPRYSLSIYNLTSHLRLASSTTPITFFKCAKAVNSSAMSTYNYVKQGTITASDMEDGCRIEWTTLMSKSFLYETDRNFSYHDIHNALGYGFELQFRFLKTSYKLGAFFPIRSIFGFPFLAALLIYKQRRRHLSMYSNIEDFLQSDNNLSPIRYSYLDIKKMTSRFNEKLGEGAVKMLEKPKANGQDFISEVATIGRIHHFNMVQLVGYCVEGSKRALICNFMPNGSLDKYIYCKEGSNPLSCMKMYEISLGVAQGIEYLHQGCDMQILHFDIKPHNILLDENFIPKISDFGLAKLYPVGNTIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASRRKNLNASIENSSQIYFPRWVSDQFCMGKEFEMDDVTEEEKKIIKKMIITALWCIQLKPSDRPSMNKVIEMLEREVECLQLPTKLLLYPQQEMPRDNLHEITCNTLSAT >ONI21853 pep chromosome:Prunus_persica_NCBIv2:G2:14858165:14859477:1 gene:PRUPE_2G093800 transcript:ONI21853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVIGAAFLVLLLVELSFAARSSKAINGGRGSGGGGGGGGGEGGGGGSASGSGSGYGSGHGYGSGTGYGSEGGGGGGEGGGGGGGGGYGANGGSGSGYGSGSGSGYGSGGGKGGGGGSGGGKGGGGGGGGGSGSGSGSGYGSGYGSGSGYGSGGGKGGGGGGGGGGGGGGGGGGSGHGGGRGSGYGSGYGSGYGGGGGEDDSP >ONI25939 pep chromosome:Prunus_persica_NCBIv2:G2:30179946:30182836:1 gene:PRUPE_2G328000 transcript:ONI25939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCFNVSMSQRLNASMSQCLSASAHNISIICHRLTDSPLLVLPTTIFFFAGRVIDYSYGLNLALAGKGVIVKDQAFLNLNSSQLQHKGATIAESLSGLPLLVRGHVPGGGPSEISKPHFTKLLKQVTNHISSISNIFVHDGAIGSSPKCDVKVRLISDNPSAMLSLSHMLWETPTRAVSQDSCPLTVYVATSISPGIGESIGLGSKENDGFIAADIERSSLILCGKAFSDSNTTKEALAALSWPVIFARGGLPLSARLLVSGDSVVLLFAPKRTFKSCRDLLVPSDAGVILYSNGLGFLFQTGGSNLFKLPASVFLASSDSSGVIPSVSKLSPGQAAYHFLAGYQNGKFIPAYNKGTWSIDPLELAKAFMSKLKDDQISSYLFNVNGGENNVNGKDFAKVVQSTLSENIPPFQAKNTHSKIISTKNHLNPISCDHSIELLKF >ONI25942 pep chromosome:Prunus_persica_NCBIv2:G2:30179452:30183375:1 gene:PRUPE_2G328000 transcript:ONI25942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRLNVSTSQCLNVSMSQCLSSQYFYHLSQTHRQSTFGVIVKDQAFLNLNSSQLQHKGATIAESLSGLPLLVRGHVPGGGPSEISKPHFTKLLKQVTNHISSISNIFVHDGAIGSSPKCDVKVRLISDNPSAMLSLSHMLWETPTRAVSQDSCPLTVYVATSISPGIGESIGLGSKENDGFIAADIERSSLILCGKAFSDSNTTKEALAALSWPVIFARGGLPLSARLLVSGDSVVLLFAPKRTFKSCRDLLVPSDAGVILYSNGLGFLFQTGGSNLFKLPASVFLASSDSSGVIPSVSKLSPGQAAYHFLAGYQNGKFIPAYNKGTWSIDPLELAKAFMSKLKDDQISSYLFNVNGGENNVNGKDFAKVVQSTLSENIPPFQAKNTHSKIISTKNHLNPISCDHSIELLKF >ONI25935 pep chromosome:Prunus_persica_NCBIv2:G2:30179506:30183363:1 gene:PRUPE_2G328000 transcript:ONI25935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFKPFFDESSFARSRPTSSNPFFFSSQRKFAASASVSQDEGRVIDYSYGLNLALAGKGVIVKDQAFLNLNSSQLQHKGATIAESLSGLPLLVRGHVPGGGPSEISKPHFTKLLKQVTNHISSISNIFVHDGAIGSSPKCDVKVRLISDNPSAMLSLSHMLWETPTRAVSQDSCPLTVYVATSISPGIGESIGLGSKENDGFIAADIERSSLILCGKAFSDSNTTKEALAALSWPVIFARGGLPLSARLLVSGDSVVLLFAPKRTFKSCRDLLVPSDAGVILYSNGLGFLFQTGGSNLFKLPASVFLASSDSSGVIPSVSKLSPGQAAYHFLAGYQNGKFIPAYNKGTWSIDPLELAKAFMSKLKDDQISSYLFNVNGGENNVNGKDFAKVVQSTLSENIPPFQAKNTHSKIISTKNHLNPISCDHSIELLKF >ONI25937 pep chromosome:Prunus_persica_NCBIv2:G2:30179506:30182205:1 gene:PRUPE_2G328000 transcript:ONI25937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFKPFFDESSFARSRPTSSNPFFFSSQRKFAASASVSQDEGRVIDYSYGLNLALAGKGVIVKDQAFLNLNSSQLQHKGATIAESLSGLPLLVRGHVPGGGPSEISKPHFTKLLKQVTNHISSISNIFVHDGAIGSSPKCDVKVRLISDNPSAMLSLSHMLWETPTRAVSQDSCPLTVYVATSISPGIGESIGLGSKENDGFIAADIERSSLILCGKAFSDSNTTKEALAALSWPVIFARGGLPLSARLLVSGDSVVLLFAPKRTFKSCRDLLVPSDAGVILYSNGLGFLFQTGGSNLFKLPASVFLASSDSSGVIPSVSKLSPGQAAYHFLAGYQNGKFIPAYNKGTWSIDPLELAKAFMSKLKDDQISSYLFNVNGGENNVNGKRNWDEFNSLLDRS >ONI25940 pep chromosome:Prunus_persica_NCBIv2:G2:30179410:30183401:1 gene:PRUPE_2G328000 transcript:ONI25940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRLNVSTSQCLNVSMSQCLSSQYFYHLSQTHRQSTFGVIVKDQAFLNLNSSQLQHKGATIAESLSGLPLLVRGHVPGGGPSEISKPHFTKLLKQVTNHISSISNIFVHDGAIGSSPKCDVKVRLISDNPSAMLSLSHMLWETPTRAVSQDSCPLTVYVATSISPGIGESIGLGSKENDGFIAADIERSSLILCGKAFSDSNTTKEALAALSWPVIFARGGLPLSARLLVSGDSVVLLFAPKRTFKSCRDLLVPSDAGVILYSNGLGFLFQTGGSNLFKLPASVFLASSDSSGVIPSVSKLSPGQAAYHFLAGYQNGKFIPAYNKGTWSIDPLELAKAFMSKLKDDQISSYLFNVNGGENNVNGKDFAKVVQSTLSENIPPFQAKSGGDLEEKYKSFLLSKFPALPEEFSF >ONI25934 pep chromosome:Prunus_persica_NCBIv2:G2:30179462:30183401:1 gene:PRUPE_2G328000 transcript:ONI25934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFKPFFDESSFARSRPTSSNPFFFSSQRKFAASASVSQDEGRVIDYSYGLNLALAGKGVIVKDQAFLNLNSSQLQHKGATIAESLSGLPLLVRGHVPGGGPSEISKPHFTKLLKQVTNHISSISNIFVHDGAIGSSPKCDVKVRLISDNPSAMLSLSHMLWETPTRAVSQDSCPLTVYVATSISPGIGESIGLGSKENDGFIAADIERSSLILCGKAFSDSNTTKEALAALSWPVIFARGGLPLSARLLVSGDSVVLLFAPKRTFKSCRDLLVPSDAGVILYSNGLGFLFQTGGSNLFKLPASVFLASSDSSGVIPSVSKLSPGQAAYHFLAGYQNGKFIPAYNKGTWSIDPLELAKAFMSKLKDDQISSYLFNVNGGENNVNGKDFAKVVQSTLSENIPPFQAKSGGDLEEKYKSFLLSKFPALPEEFSF >ONI25938 pep chromosome:Prunus_persica_NCBIv2:G2:30179946:30183078:1 gene:PRUPE_2G328000 transcript:ONI25938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCFNVSMSQRLNASMSQCLSASAHNISIICHRLTDSPLLVLPTTIFFFAGRVIDYSYGLNLALAGKGVIVKDQAFLNLNSSQLQHKGATIAESLSGLPLLVRGHVPGGGPSEISKPHFTKLLKQVTNHISSISNIFVHDGAIGSSPKCDVKVRLISDNPSAMLSLSHMLWETPTRAVSQDSCPLTVYVATSISPGIGESIGLGSKENDGFIAADIERSSLILCGKAFSDSNTTKEALAALSWPVIFARGGLPLSARLLVSGDSVVLLFAPKRTFKSCRDLLVPSDAGVILYSNGLGFLFQTGGSNLFKLPASVFLASSDSSGVIPSVSKLSPGQAAYHFLAGYQNGKFIPAYNKGTWSIDPLELAKAFMSKLKDDQISSYLFNVNGGENNVNGKDFAKVVQSTLSENIPPFQAKSGGDLEEKYKSFLLSKFPALPEEFSF >ONI25936 pep chromosome:Prunus_persica_NCBIv2:G2:30179506:30182562:1 gene:PRUPE_2G328000 transcript:ONI25936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFKPFFDESSFARSRPTSSNPFFFSSQRKFAASASVSQDEGRVIDYSYGLNLALAGKGVIVKDQAFLNLNSSQLQHKGATIAESLSGLPLLVRGHVPGGGPSEISKPHFTKLLKQVTNHISSISNIFVHDGAIGSSPKCDVKVRLISDNPSAMLSLSHMLWETPTRAVSQDSCPLTVYVATSISPGIGESIGLGSKENDGFIAADIERSSLILCGKAFSDSNTTKEALAALSWPVIFARGGLPLSARLLVSGDSVVLLFAPKRTFKSCRDLLVPSDAGVILYSNGLGFLFQTGGSNLFKLPASVFLASSDSSGVIPSVSKLSPGQAAYHFLAGYQNGKFIPAYNKGTWSIDPLELAKAFMSKLKDDQISSYLFNVNGGENNVNGKDFAKVVQSTLSENIPPFQAKSNLVTLPLLCYRNKITFNLSP >ONI25941 pep chromosome:Prunus_persica_NCBIv2:G2:30179506:30183318:1 gene:PRUPE_2G328000 transcript:ONI25941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRLNVSTSQCLNVSMSQCLSSQYFYHLSQTHRQSTFGVIVKDQAFLNLNSSQLQHKGATIAESLSGLPLLVRGHVPGGGPSEISKPHFTKLLKQVTNHISSISNIFVHDGAIGSSPKCDVKVRLISDNPSAMLSLSHMLWETPTRAVSQDSCPLTVYVATSISPGIGESIGLGSKENDGFIAADIERSSLILCGKAFSDSNTTKEALAALSWPVIFARGGLPLSARLLVSGDSVVLLFAPKRTFKSCRDLLVPSDAGVILYSNGLGFLFQTGGSNLFKLPASVFLASSDSSGVIPSVSKLSPGQAAYHFLAGYQNGKFIPAYNKGTWSIDPLELAKAFMSKLKDDQISSYLFNVNGGENNVNGGGDLEEKYKSFLLSKFPALPEEFSF >ONI23790 pep chromosome:Prunus_persica_NCBIv2:G2:24161952:24164591:1 gene:PRUPE_2G208300 transcript:ONI23790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPALAFSLNNSPNSRLLLAPSVWVNSLVVPRISTVSSSSSSAKKTHHSKLKHSPKASSEGVPSELIEDSKFVPLNADDLIYGPPALLFMGFEVEEAGKIQQFLKELDAEFLKVIYCTEDMITRSLWEAMNTSQPNLEALKIVKGLPRICFLSGLSGEEMMAFIDSFPEAGLGLPVFAALVPNSADKLLEELIEEIMEDHEMLTAKEKEKA >ONI23791 pep chromosome:Prunus_persica_NCBIv2:G2:24161994:24164097:1 gene:PRUPE_2G208300 transcript:ONI23791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPALAFSLNNSPNSRLLLAPSVWVNSLVVPRISTVSSSSSSAKKTHHSKLKHSPKASSEGVPSELIEDSKFVPLNADDLIYGPPALLFMGFEVEEAGKIQQFLKELDAEFLKVIYCTEDMITRSLWEAMNTSQPNLEALKIVKGLPRICFLSGLSGEEMMAFIDSFPEAGTLKFLYRSNATLCWSHFLSHSFHD >ONI24414 pep chromosome:Prunus_persica_NCBIv2:G2:25964414:25967005:1 gene:PRUPE_2G239300 transcript:ONI24414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNVSLCSKLPPKPPLSSPNPHPFRQHLSLSGYPAPQVVKNHLEASRNVVLSVGDSVCKASLIALLSASLFVANPALAFKGGGPYGSEVTRGQDLSGKDFSGKTLIKQDFKTSILRQANFRGAKLLGASFFDADLTGADLSDADLRGVDFSLANVTKANLSNALLEGALATGNTSFKGSNITDFTDVPLREDQREYLCRIADGVNPTTGNPTRETLLCN >ONI24413 pep chromosome:Prunus_persica_NCBIv2:G2:25964322:25967380:1 gene:PRUPE_2G239300 transcript:ONI24413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNVSLCSKLPPKPPLSSPNPHPFRQHLSLSGYPAPQVVKNHLEASRNVVLSVGDSVCKASLIALLSASLFVANPALAFKGGGPYGSEVTRGQDLSGKDFSGKTLIKQDFKTSILRQANFRGAKLLGASFFDADLTGADLSDADLRGVDFSLANVTKANLSNALLEGALATGNTSFKGSNITGADFTDVPLREDQREYLCRIADGVNPTTGNPTRETLLCN >ONI25573 pep chromosome:Prunus_persica_NCBIv2:G2:29353724:29359257:1 gene:PRUPE_2G310000 transcript:ONI25573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRSKPSRDTVSSTVSQFRYFVFNYLHAGRVVNSHYAHRTKNEDLFMNTPYHFTSFKPVALGGNFVEKGSQIFDRPRVAQKTSKNSDRNWGRKLCSSRCNSVLSSQGDPPEVWSGDGIVVRAGPSSNLVRGSGGGGGPSPGSGGGFESNSKDECWGGSSLGNNFPTPKEICKGLDKFVIGQEQAKKVLSVAVYNHYKRIYHESLQKWSAGDSGNSKVDAMDDDRVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQERYVGEDVESILYKLLTVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTGGVTDAAVTSSLLETVESSDLIRYGLIPEFVGRFPILVSLSALTENQLVQVLTEPKNALGKQYKKMFRMNGVKLHFTESALRLIARKAISKNTGARGLRAILENILMDAMYEIPDVRAGDDIIDAVVIDEEAVGSEAQGSGAKILYGKGALDHYLSQNKAKEVETATTEGSSDGEPEVETELSSVVASM >ONI24026 pep chromosome:Prunus_persica_NCBIv2:G2:24839103:24840513:1 gene:PRUPE_2G219600 transcript:ONI24026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSNNVINGQFGDTTLTKVFVGGLAWETPKEALREHFDKYGEILEAVIISDKLTGRSKGYGFVTFKEAEAAKKACEDATPVINGRRANCNLASLGARRPRSASTTTPPPPPPPQRGSNGGGARSMSPAPANHVQWYYPAPAGTPATPFHHQHHQPVPFYGYSPTYIAADISYNHKLGYTGGAYMNGHYSPQVYPGQPMVGPNTLMPMYPLYHYHHQSHTMGLPAHIFPPTTSGHVAAVPTIMSKPASIAPNTGRHIRDTNFLWSTCSHANICST >ONI24025 pep chromosome:Prunus_persica_NCBIv2:G2:24839236:24841040:1 gene:PRUPE_2G219600 transcript:ONI24025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSNNVINGQFGDTTLTKVFVGGLAWETPKEALREHFDKYGEILEAVIISDKLTGRSKGYGFVTFKEAEAAKKACEDATPVINGRRANCNLASLGARRPRSASTTTPPPPPPPQRGSNGGGARSMSPAPANHVQWYYPAPAGTPATPFHHQHHQPVPFYGYSPTYIAADISYNHKLGYTGGAYMNGHYSPQVYPGQPMVGPNTLMPMYPLYHYHHQSHTMGLPAHIFPPTTSGHVAAVPTIMSKPASIAPNTVCLAVE >ONI24024 pep chromosome:Prunus_persica_NCBIv2:G2:24838899:24841743:1 gene:PRUPE_2G219600 transcript:ONI24024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSNNVINGQFGDTTLTKVFVGGLAWETPKEALREHFDKYGEILEAVIISDKLTGRSKGYGFVTFKEAEAAKKACEDATPVINGRRANCNLASLGARRPRSASTTTPPPPPPPQRGSNGGGARSMSPAPANHVQWYYPAPAGTPATPFHHQHHQPVPFYGYSPTYIAADISYNHKLGYTGGAYMNGHYSPQVYPGQPMVGPNTLMPMYPLYHYHHQSHTMGLPAHIFPPTTSGHVAAVPTIMSKPASIAPNTGTVGTEEESFKKVG >ONI21451 pep chromosome:Prunus_persica_NCBIv2:G2:9206584:9207785:-1 gene:PRUPE_2G066400 transcript:ONI21451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYIFPPPPSLFITAMTVISFTSSSSLGLSELRGKHLHYSKFWNSGSKTSAAKKIKLSSRTGMLIAYNPAFLAGVASFVLYPQENIRILLLCSALTIHFFKRIFEVLFVHNYSGGMNFDVVLAISLSYFLSTATVTYAQYLAQGFPEPSVDLKYLGCLLFLIGISGNFYHHYLLSKIRSKGDKDYKIPKGGLFGLVICPHYLFEIVGFVGISLISQTLYAFSFTIGSALYLIGRSCATRRWYLSKFDHFPKNVKALIPFVL >ONI21452 pep chromosome:Prunus_persica_NCBIv2:G2:9206342:9210198:-1 gene:PRUPE_2G066400 transcript:ONI21452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVISFTSSSSLGLSELRGKHLHYSKFWNSGSKTSAAKKIKLSSRTGMLIAYNPAFLAGVASFVLYPQENIRILLLCSALTIHFFKRIFEVLFVHNYSGGMNFDVVLAISLSYFLSTATVTYAQYLAQGFPEPSVDLKYLGCLLFLIGISGNFYHHYLLSKIRSKGDKDYKIPKGGLFGLVICPHYLFEIVGFVGISLISQTLYAFSFTIGSALYLIGRSCATRRWYLSKFDHFPKNVKALIPFVL >ONI21984 pep chromosome:Prunus_persica_NCBIv2:G2:15591170:15594755:1 gene:PRUPE_2G100000 transcript:ONI21984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHSSCSSSLGSPVLLVLLLLLLSPTVLAKSRRPISDMETRQKKNQCYADIESGLWGWQCKASLIAKENCAVRCLSSTCYELVYESDPLEEGEKDLVRGQEFKYCMHKLSLGESLEGIKGSFNY >ONI21985 pep chromosome:Prunus_persica_NCBIv2:G2:15591238:15594726:1 gene:PRUPE_2G100000 transcript:ONI21985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHSSCSSSLGSPVLLVLLLLLLSPTVLAKSRRPISDMETRQKKNQCYADIESGLWGWQCKASLIAKENCAVRCLSSTCYELVYESDPLEEGEKDLVRGQEFKYCMHKLSLGESLEGIKGSFNY >ONI24391 pep chromosome:Prunus_persica_NCBIv2:G2:25881076:25884776:-1 gene:PRUPE_2G238100 transcript:ONI24391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRADIESGFPEFIPERRAMRVHASRSVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHVPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVPAASSMSEEEINALPVHKYKVMGLQTGASSIQQASSSVPSEKKQETANTVGSTKASEDELTCSVCLEQVNVGELIRSLPCMHQFHASCIDPWLRQQGTCPVCKFRAGSVAWHENSQGGMDPSYMV >ONI24393 pep chromosome:Prunus_persica_NCBIv2:G2:25881340:25883893:-1 gene:PRUPE_2G238100 transcript:ONI24393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRADIESGFPEFIPERRAMRVHASRSVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHVPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVPAASSMSEEEINALPVHKYKVMGLQTGASSIQQASSSVPSEQKKQETANTVGSTKASEDELTCSVCLEQVNVGELIRSLPCMHQFHASCIDPWLRQQGTCPVCKFRAGSVAWHENSQGGMDPSYMV >ONI24392 pep chromosome:Prunus_persica_NCBIv2:G2:25880564:25884122:-1 gene:PRUPE_2G238100 transcript:ONI24392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRADIESGFPEFIPERRAMRVHASRSVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHVPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVPAASSMSEEEINALPVHKYKVMGLQTGASSIQQASSSVPSEKKQETANTVGSTKASEDELTCSVCLEQVNVGELIRSLPCMHQFHASCIDPWLRQQGTCPVCKFRAGSVAWHENSQGGMDPSYMV >ONI24395 pep chromosome:Prunus_persica_NCBIv2:G2:25881145:25883901:-1 gene:PRUPE_2G238100 transcript:ONI24395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHVPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVPAASSMSEEEINALPVHKYKVMGLQTGASSIQQASSSVPSEKKQETANTVGSTKASEDELTCSVCLEQVNVGELIRSLPCMHQFHASCIDPWLRQQGTCPVCKFRAGSVAWHENSQGGMDPSYMV >ONI24394 pep chromosome:Prunus_persica_NCBIv2:G2:25881340:25883893:-1 gene:PRUPE_2G238100 transcript:ONI24394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGTRADIESGFPEFIPERRAMRVHASRSVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHVPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVPAASSMSEEEINALPVHKYKVMGLQTGASSIQQASSSVPSEQKKQETANTVGSTKASEDELTCSVCLEQVNVGELIRSLPCMHQFHASCIDPWLRQQGTCPVCKFRAGSVAWHENSQGGMDPSYMV >ONI25252 pep chromosome:Prunus_persica_NCBIv2:G2:28513303:28517936:1 gene:PRUPE_2G291800 transcript:ONI25252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACRYGHWEVVQTLLLFRCNVTRADYLTGRTALHFAAVNGHVRCIRLVVADFVPSASYESLNTQIDSDGSDGVNVMSKNEQSALSKFVNKAADCGITALHMAALNGYFDCVQLLLDLHAKVSAVTFHYGTSMDLIGAGSSPLHYAACGGNLKCCQILLARGASKTTLNCNGWLPADVARMWGRHWLEPLLAPNSDSTIPSFALSNYLSLPLMSILNIARDCGFKSVTSSTDDTDICAVCLERACSVAAEGCGHELCVRCALYLCSASNIPSEMAGPPGSIPCPFCRHGIISFVKLPGSPAKENKLQMSLGLCTPCILHPRDPDRLSPACAPEIRKNRVASVSSDMLCPVTCSPFPSVTIPLCTCNDGPCPPFESREAGTQDELPRRPQATSVDQDKMEGPRLEKTSCSSMFWSRRSCSREHQCNAEINA >ONI25248 pep chromosome:Prunus_persica_NCBIv2:G2:28513303:28517936:1 gene:PRUPE_2G291800 transcript:ONI25248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLIEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVSLLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLTGRTALHFAAVNGHVRCIRLVVADFVPSASYESLNTQIDSDGSDGVNVMSKNEQSALSKFVNKAADCGITALHMAALNGYFDCVQLLLDLHAKVSAVTFHYGTSMDLIGAGSSPLHYAACGGNLKCCQILLARGASKTTLNCNGWLPADVARMWGRHWLEPLLAPNSDSTIPSFALSNYLSLPLMSILNIARDCGFKSVTSSTDDTDICAVCLERACSVAAEGCGHELCVRCALYLCSASNIPSEMAGPPGSIPCPFCRHGIISFVKLPGSPAKENKLQMSLGLCTPCILHPRDPDRLSPACAPEIRKNRVASVSSDMLCPVTCSPFPSVTIPLCTCNDGPCPPFESREAGTQDELPRRPQATSVDQDKMEGPRLEKTSCSSMFWSRRSCSREHQCNAEINA >ONI25250 pep chromosome:Prunus_persica_NCBIv2:G2:28513509:28517936:1 gene:PRUPE_2G291800 transcript:ONI25250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLIEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVSLLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLTGRTALHFAAVNGHIDSDGSDGVNVMSKNEQSALSKFVNKAADCGITALHMAALNGYFDCVQLLLDLHAKVSAVTFHYGTSMDLIGAGSSPLHYAACGGNLKCCQILLARGASKTTLNCNGWLPADVARMWGRHWLEPLLAPNSDSTIPSFALSNYLSLPLMSILNIARDCGFKSVTSSTDDTDICAVCLERACSVAAEGCGHELCVRCALYLCSASNIPSEMAGPPGSIPCPFCRHGIISFVKLPGSPAKENKLQMSLGLCTPCILHPRDPDRLSPACAPEIRKNRVASVSSDMLCPVTCSPFPSVTIPLCTCNDGPCPPFESREAGTQDELPRRPQATSVDQDKMEGPRLEKTSCSSMFWSRRSCSREHQCNAEINA >ONI25251 pep chromosome:Prunus_persica_NCBIv2:G2:28513303:28517936:1 gene:PRUPE_2G291800 transcript:ONI25251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLIEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVSLLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLTGRTALHFAAVNGHIDSDGSDGVNVMSKNEQSALSKFVNKAADCGITALHMAALNGYFDCVQLLLDLHAKVSAVTFHYGTSMDLIGAGSSPLHYAACGGNLKCCQILLARGASKTTLNCNGWLPADVARMWGRHWLEPLLAPNSDSTIPSFALSNYLSLPLMSILNIARDCGFKSVTSSTDDTDICAVCLERACSVAAEGCGHELCVRCALYLCSASNIPSEMAGPPGSIPCPFCRHGIISFVKLPGSPAKENKLQMSLGLCTPCILHPRDPDRLSPACAPEIRKNRVASVSSDMLCPVTCSPFPSVTIPLCTCNDGPCPPFESREAGTQDELPRRPQATSVDQDKMEGPRLEKTSCSSMFWSRRSCSREHQCNAEINA >ONI25249 pep chromosome:Prunus_persica_NCBIv2:G2:28513303:28517936:1 gene:PRUPE_2G291800 transcript:ONI25249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLIEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVSLLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLTGRTALHFAAVNGHVRCIRLVVADFVPSASYESLNTQIDSDGSDGVNVMSKNEQSALSKFVNKAADCGITALHMAALNGYFDCVQLLLDLHAKVSAVTFHYGTSMDLIGAGSSPLHYAACGGNLKCCQILLARGASKTTLNCNGWLPADVARMWGRHWLEPLLAPNSDSTIPSFALSNYLSLPLMSILNIARDCGFKSVTSSTDDTDICAVCLERACSVAAEGCGHELCVRCALYLCSASNIPSEMAGPPGSIPCPFCRHGIISFVKLPGSPAKENKLQMSLGLCTPCILHPRDPDRLSPACAPEIRKNRVASVSSDMLCPVTCSPFPSVTIPLCTCNDGPCPPFESREAGTQDELPRRPQATSVDQDKMEGPRLEKTSCSSMFWSRRSCSREHQCNAEINA >ONI21611 pep chromosome:Prunus_persica_NCBIv2:G2:11502263:11505293:1 gene:PRUPE_2G075500 transcript:ONI21611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGCCNLNRWEHPTAELSPTKRKRRVLLQSIFYGVFFTSKLSSSCLVGLCITMERGVQRWVVDISGWDPSPQDFSFALSVLPSVEQPSVTRFVQMEDRKRALVSRLLQYALVHEVLAIPYDEIIIKRTLEGKPYLECGDVCTDFPNFNFNASHHGDYVAIASEPLCLVGVDIVSIVIPQKETVIEFIQNFSSYFSSFEWDSIVNAGTSDDILIEFYRYWCLKEAYVKAIGSGVAYGLDRVEFHHSCWTNISVNVEGKAMKEWRFWLLELGKGHLVSIARGHPRSATESYMRTLKQAEFDEEEYHAALHLPNVRFVSRTVEQLIPVSHKDRVHRTSIS >ONI21612 pep chromosome:Prunus_persica_NCBIv2:G2:11502263:11505386:1 gene:PRUPE_2G075500 transcript:ONI21612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRKRALVSRLLQYALVHEVLAIPYDEIIIKRTLEGKPYLECGDVCTDFPNFNFNASHHGDYVAIASEPLCLVGVDIVSIVIPQKETVIEFIQNFSSYFSSFEWDSIVNAGTSDDILIEFYRYWCLKEAYVKAIGSGVAYGLDRVEFHHSCWTNISVNVEGKAMKEWRFWLLELGKGHLVSIARGHPRSATESYMRTLKQAEFDEEEYHAALHLPNVRFVSRTVEQLIPVSHKDRVHRTSIS >ONI22560 pep chromosome:Prunus_persica_NCBIv2:G2:19440682:19441658:1 gene:PRUPE_2G136800 transcript:ONI22560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVDITFGSRYKHHDSMPLLVSHRHSKASHYIPSGVCLACDLQPTDSLEREREREREREREMLWISLCLLCPLRHLQIYLWFHEFSIKLDQQQDHATV >ONI21284 pep chromosome:Prunus_persica_NCBIv2:G2:7148638:7150979:1 gene:PRUPE_2G057700 transcript:ONI21284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVKTIGRELAMGSQGSFGHFKEFLDLVKSIGKARSKAEKERILLLEIETLKRCLSEPEIPKCKMKEYIIRLIYVEMLNHNGSFAYIHAFKMTQDLLLKCTGYLTILLFLSDDHDLIILIVNTIQKDLKSDNYLVISAALNAKRLCDNDRGVMGATVCPLFDPITIDVNSYKDLVVSFVSILKQVAERKLPNTYDYHQFRFYLLSMKLSLLMWEVFASPCVERAEQFPPSNQWFIQTMNKVFEHAGDLVNVKVAHNLMKLIAEGFGEDDDSADNQEAYSNDESVKVSLKLSLEE >ONI20520 pep chromosome:Prunus_persica_NCBIv2:G2:2029712:2033785:1 gene:PRUPE_2G020400 transcript:ONI20520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSAPDADHNSANLDHGDFKFNAELDGLAIPPLDPQFFSSDDGMATVPSDTFMSDLGFGFGSDDNCDFELTFDDLDNLYLPSEADDFLIPDGLDPGGTALNSGSPESGSSAISISGDDKGGSDVSRFLNCPSSNESSENSNGPENSGGPENSGGAVSSQGSGISEAVNSTWHSGNSGNSVSSNAISDADDEKVKMEDEITKNCLVKRKKVSDEGNVESRSAKYRRSDNNNASVDANANGNDEEEKRKARLMRNRESAQLSRQRKKHYVEELEDKVRAMHSTIADLNTRISYVMAENATLKQQLCSGSGAMCPPPPHAGMHPHPPMPPMAYPWMPYSPYVVKPQGSQGLLVPIPRLKSQQPVAAPKSKKSETKKTEGKTKKVASISFLGLLFFILLFGGLVPMVNVYFGGVTDRGPGGSAYVSDRFYDKSRVRVLTVHSNLNGSEENIGSGISGGKFDISNKIHHERGHMRKEKECGQKEQGSQPIPVCDEFVRLGNTSGEPLVASLYVPRNDRLVKIDGNLIIHSVLASEKAMASHGHSEKKNSRETGLAVSKDLVSALAIPEAGGNRGRVSPLYRNSAGPHKALTAGSTDVSKDHKKSTAADGKLQQWFREGLAGPMLSSGMCTEVFQFDVSAASPSGGIIPASSVSNVSEHRQNTTELNRGRNRRILRGLAIPLAGSNHNVTEENVTRNPPNNSLPSNRSVSSSMVVSVLVDPREAGDIDVDGMIKPKSLSRIFVVVLLDSVKYVTYSCVLPRSGPHLVTT >ONI25834 pep chromosome:Prunus_persica_NCBIv2:G2:29920168:29923036:1 gene:PRUPE_2G322500 transcript:ONI25834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPFKSYMKTKVSWVFISKKGFLFVFLREKRNVVNKWLDSNFVFFFFFSLLLSCNLKLSTNFLVSYWIHPQNSQAISDLPISRCDAQISMEDKVSCLIALQTRTTFYEIEHGLMALLRDCLESERGNTTSIVSGYIDHFQSIPSEDVGWGCGWRNIQMLSSHLLMQRHEAREVLFGGWGFVPDIPSLQRWLEIAWEKGFDELGSDHFANNIYGSKKWIGTTECAALFRSFGLRARIVDFGPKELESFYPLLPGSSLGKEVKRIHNGGKRKAIQVCGPMDRYLLARNHDVSQASSSGDEKSGCSSIPLGDSLGSKSNENLGNKFTRNSKGHQVLIDWIWNYFSDKNFTKSGNRQVVVSDKTPLYFQHDGHSRTVVGIQVKHQHNGMQQHNLLILDPGHRTADLERSLKQKVGWQKFIKRGVHTLKKPQYQLCYIDTGIANREEVELLKTIESVFLEF >ONI25833 pep chromosome:Prunus_persica_NCBIv2:G2:29920162:29923043:1 gene:PRUPE_2G322500 transcript:ONI25833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSCPFCHLEVPSSELEWHANSHFEVEDEDEQLAARDLEFAQQLALAPSSPPSSSMNSQAISDLPISRCDAQISMEDKVSCLIALQTRTTFYEIEHGLMALLRDCLESERGNTTSIVSGYIDHFQSIPSEDVGWGCGWRNIQMLSSHLLMQRHEAREVLFGGWGFVPDIPSLQRWLEIAWEKGFDELGSDHFANNIYGSKKWIGTTECAALFRSFGLRARIVDFGPKELESFYPLLPGSSLGKEVKRIHNGGKRKAIQVCGPMDRYLLARNHDVSQASSSGDEKSGCSSIPLGDSLGSKSNENLGNKFTRNSKGHQVLIDWIWNYFSDKNFTKSGNRQVVVSDKTEQQIWKDH >ONI25835 pep chromosome:Prunus_persica_NCBIv2:G2:29920168:29923090:1 gene:PRUPE_2G322500 transcript:ONI25835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPFKSYMKTKVSWVFISKKGFLFVFLREKRNVVNKWLDSNFVFFFFFSLLLSCNLKLSTNFLVSYWIHPQNSQAISDLPISRCDAQISMEDKVSCLIALQTRTTFYEIEHGLMALLRDCLESERGNTTSIVSGYIDHFQSIPSEDVGWGCGWRNIQMLSSHLLMQRHEAREVLFGGWGFVPDIPSLQRWLEIAWEKGFDELGSDHFANNIYGSKKWIGTTECAALFRSFGLRARIVDFGPKELESFYPLLPGSSLGKEVKRIHNGGKRKAIQVCGPMDRYLLARNHDVSQASSSGDEKSGCSSIPLGDSLGSKSNENLGNKFTRNSKGHQVLIDWIWNYFSDKNFTKSGNRQVVVSDKTPLYFQHDGHSRTVVGIQVKHQHNGMQQHNLLILDPGHRTADLERSLKQKVGWQKFIKRGVHTLKKPQYQLCYIDTGIANREEVELLKTIESVFLEF >ONI25832 pep chromosome:Prunus_persica_NCBIv2:G2:29920126:29923190:1 gene:PRUPE_2G322500 transcript:ONI25832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSCPFCHLEVPSSELEWHANSHFEVEDEDEQLAARDLEFAQQLALAPSSPPSSSMNSQAISDLPISRCDAQISMEDKVSCLIALQTRTTFYEIEHGLMALLRDCLESERGNTTSIVSGYIDHFQSIPSEDVGWGCGWRNIQMLSSHLLMQRHEAREVLFGGWGFVPDIPSLQRWLEIAWEKGFDELGSDHFANNIYGSKKWIGTTECAALFRSFGLRARIVDFGPKELESFYPLLPGSSLGKEVKRIHNGGKRKAIQVCGPMDRYLLARNHDVSQASSSGDEKSGCSSIPLGDSLGSKSNENLGNKFTRNSKGHQVLIDWIWNYFSDKNFTKSGNRQVVVSDKTPLYFQHDGHSRTVVGIQVKHQHNGMQQHNLLILDPGHRTADLERSLKQKVGWQKFIKRGVHTLKKPQYQLCYIDTGIANREEVELLKTIESVFLEF >ONI20333 pep chromosome:Prunus_persica_NCBIv2:G2:923343:924755:1 gene:PRUPE_2G009800 transcript:ONI20333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKMRLFLISVTIFCAIISSVNSCSPADLAALKAIKTSLTDSHLGLFNSWVGTDCCVNWYGVSCDPETKRVVDINLRGESEDPILTKSGQSGFMSGSISPEICKLDRLTTLIVADWKGITGEIPKCLTSLSNLRVLDLIGNKISGDIPADIGNLKMLTVLNLADNQISGKIPASIVSMSGLMHLDLSNNQISGEMPADFGKLKMLSRALLNRNQLTGSVPVSIGNMNRLADLDLSRNRISGSVPDCLGKMQVLSTLNLDGNLISGQLPSTLLSNRGLGILNLSRNSIGGNIPDVFHGNSYFMALDLSYNNLKGPIPGSLSAAKYIGHLDLSHNHLCGTIPVGNPFDHLEASSFANNDCLCGNPLRTC >ONI24797 pep chromosome:Prunus_persica_NCBIv2:G2:27110622:27120692:1 gene:PRUPE_2G262700 transcript:ONI24797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLCTLCFLEQVLQRFLEYYSTFDWDNYCISINGPVAICSLPEIIVAPQIEADELLLSEEFVRSSRDVFSDPIRADEATVHEFPTKHLNIVDPLKDNNNLGRSVSKGNFYRIKSALSLGAQNLREILKLPGESMGAELEKFFVTTIDRNGRGERPDVNAPVCAFGIGRSEESDLWGEYDSYYSSMLYGQAFHRSTHHSAPPSGVCNKTTWNALAWSAQLNWNEFCERATKVYARLPLRHPSASHLSAATIGVGITRKSQGTGTFIPDVTQNYSRYVRPRMRRGRIRESSRHDAMMKSPQNSGQVEASTETHTSENDSRFNLSPEEFPLLPGTESGSPEVHQSGQLILASPPAKEPSHKLGSSGHSPSLSGMPSPVASQQANTHVSYALYIMKKQQELSEVDEETIRMQQFKLDDDEDFPPLNL >ONI24795 pep chromosome:Prunus_persica_NCBIv2:G2:27110626:27120692:1 gene:PRUPE_2G262700 transcript:ONI24795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEERTQEILWTIQPNVGSEWRRRGLISYIQRLIKGHFGTEVFSFGSVPLKTYLPDGDIDLTAICHQNAEEELAIAVCGVLESQPKDSLFQFKDVRYVRAQVKVVKFTVNNIAADISFNQMAGLCTLCFLEQVLQRFLEYYSTFDWDNYCISINGPVAICSLPEIIVAPQIEADELLLSEEFVRSSRDVFSDPIRADEATVHEFPTKHLNIVDPLKDNNNLGRSVSKGNFYRIKSALSLGAQNLREILKLPGESMGAELEKFFVTTIDRNGRGERPDVNAPVCAFGIGRSEESDLWGEYDSYYSSMLYGQAFHRSTHHSAPPSGVCNKTTWNALAWSAQLNWNEFCERATKVYARLPLRHPSASHLSAATIGVGITRKSQGTGTFIPDVTQNYSRYVRPRMRRGRIRESSRHDAMMKSPQNSGQVEASTETHTSENDSRFNLSPEEFPLLPGTESGSPEVHQSGQLILASPPAKEPSHKLGSSGHSPSLSGMPSPVASQQANTHVSYALYIMKKQQELSEVDEETIRMQQFKLDDDEDFPPLNL >ONI24794 pep chromosome:Prunus_persica_NCBIv2:G2:27114931:27120016:1 gene:PRUPE_2G262700 transcript:ONI24794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEERTQEILWTIQPNVGSEWRRRGLISYIQRLIKGHFGTEVFSFGSVPLKTYLPDGDIDLTAICHQNAEEELAIAVCGVLESQPKDSLFQFKDVRYVRAQVKVVKFTVNNIAADISFNQMAGLCTLCFLEQVDQQIGKDHLFKKSIILIKAWCYYESRILGSHYGLISTYALETLVLFVINLFHASLRGPLEVLQRFLEYYSTFDWDNYCISINGPVAICSLPEIIVAPQIEADELLLSEEFVRSSRDVFSDPIRADEATVHEFPTKHLNIVDPLKDNNNLGRSVSKGNFYRIKSALSLGAQNLREILKLPGESMGAELEKFFVTTIDRNGRGERPDVNAPVCAFGIGRSEESDLWGEYDSYYSSMLYGQAFHRSTHHSAPPSGVCNKTTWNALAWSAQLNWNEFCERATKVYARLPLRHPSASHLSAATIGVGITRKSQGTGTFIPDVTQNYSRYVRPRMRRGRIRESSRHDAMMKSPQNSGQVEASTETHTSENDSRFNLSPEEFPLLPGTESGSPEVHQSGQLILASPPAKEPSHKLGSSGHSPSLSGMPSPVASQQANTHVSYALYIMKKQQELSEVDEETIRMQQFKLDDDEDFPPLNL >ONI24796 pep chromosome:Prunus_persica_NCBIv2:G2:27110622:27120612:1 gene:PRUPE_2G262700 transcript:ONI24796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLCTLCFLEQVDQQIGKDHLFKKSIILIKAWCYYESRILGSHYGLISTYALETLVLFVINLFHASLRGPLEVLQRFLEYYSTFDWDNYCISINGPVAICSLPEIIVAPQIEADELLLSEEFVRSSRDVFSDPIRADEATVHEFPTKHLNIVDPLKDNNNLGRSVSKGNFYRIKSALSLGAQNLREILKLPGESMGAELEKFFVTTIDRNGRGERPDVNAPVCAFGIGRSEESDLWGEYDSYYSSMLYGQAFHRSTHHSAPPSGVCNKTTWNALAWSAQLNWNEFCERATKVYARLPLRHPSASHLSAATIGVGITRKSQGTGTFIPDVTQNYSRYVRPRMRRGRIRESSRHDAMMKSPQNSGQVEASTETHTSENDSRFNLSPEEFPLLPGTESGSPEVHQSGQLILASPPAKEPSHKLGSSGHSPSLSGMPSPVASQQANTHVSYALYIMKKQQELSEVDEETIRMQQFKLDDDEDFPPLNL >ONI24793 pep chromosome:Prunus_persica_NCBIv2:G2:27110626:27120609:1 gene:PRUPE_2G262700 transcript:ONI24793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEERTQEILWTIQPNVGSEWRRRGLISYIQRLIKGHFGTEVFSFGSVPLKTYLPDGDIDLTAICHQNAEEELAIAVCGVLESQPKDSLFQFKDVRYVRAQVKVVKFTVNNIAADISFNQMAGLCTLCFLEQVDQQIGKDHLFKKSIILIKAWCYYESRILGSHYGLISTYALETLVLFVINLFHASLRGPLEVLQRFLEYYSTFDWDNYCISINGPVAICSLPEIIVAPQIEADELLLSEEFVRSSRDVFSDPIRADEATVHEFPTKHLNIVDPLKDNNNLGRSVSKGNFYRIKSALSLGAQNLREILKLPGESMGAELEKFFVTTIDRNGRGERPDVNAPVCAFGIGRSEESDLWGEYDSYYSSMLYGQAFHRSTHHSAPPSGVCNKTTWNALAWSAQLNWNEFCERATKVYARLPLRHPSASHLSAATIGVGITRKSQGTGTFIPDVTQNYSRYVRPRMRRGRIRESSRHDAMMKSPQNSGQVEASTETHTSENDSRFNLSPEEFPLLPGTESGSPEVHQSGQLILASPPAKEPSHKLGSSGHSPSLSGMPSPVASQQANTHVSYALYIMKKQQELSEVDEETIRMQQFKLDDDEDFPPLNLWH >ONI20415 pep chromosome:Prunus_persica_NCBIv2:G2:1253393:1257141:-1 gene:PRUPE_2G014100 transcript:ONI20415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFNIAEGIIGRLGSLVLQEIALPWGVKDELRKLKEKVAQLQAVLLDAEQKQAKENEVKVWLESVEDAVYEADDVLDEFYIEARWRQMVPGNNKVSKQVCIFFSSSNQLVFGLKMGHKIKDLNKRLHEISSNRTFGQLERNREDVEFVRRERVSHSFVPEGKIIGRDVEKGEIIQLLLDLDPISTKNTENVSTISIVGFGGMGKTALAQFVFNDEKVQKHFEPKMWTCVSNSFQLDTLVQKILKTDMFDMDQLQNELRKKIDGKRYLLVLDDVWNDNREKWLALKDLLMGGGKGSKILITTRSEKVAKITDTSQPYNLRGLSEEQSWYLFKKMAFQEGKEPESSTIKAIGEGIARKCQGVPLAIRTIGRMLYTRDPETEWLAFKNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLFPPDYEIPVVKLIKLWVAQGFVKSSNPNECLEDVGYEYYNELVWRSFFQEEEKDEFGIIKSCRMHDLMNELAVKVAGEGSTIIDRNKTDFDAKRLLHVSFNFNELLVKVAGEGSTIIDRNKTDFDAKRLLDVSFNFNVASSEWKIPTSLLESNKLRTFLFLRKGWGMSFHKSFCAIIASNFKSLRMLSLNELGVTKLPKCLRKMKHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNSCLLLVELPRDIKKLINLRHLILANCDNLAWIPRGLGELTRLRTLNNFVLSENKSMLRDSAGLSELGKLKNLRGELEIKNLRCEQNIMSELNYDCAVLKEKRHLYSLTLYWKRENNDAEESDVIIKSMEALQPHSSLKELGVHGYPGARLASWFHSLTNIVNLTLFDCYRFQHLPPLDHLPFLKCLDLHGLRNLEHISAEDKVKGFAGDVMMMSAASPSTTFFPSLESLHLIDCPNLKGWWRNETASASASSFPCLSFLSIYRCPNLTSMPLYPNLDELWLHKCSWKVLPSSFVSSYKLKSLVIRGVEDIEYVPEEGIGNLTLLEELEIEHCPNLVSLPDQGMGRLISLQRLRISNCPNLASLPEGLRCLVSLKRLIIESCPILKQRCQKETGEDWSKIAHIPELFIGAFF >ONI20184 pep chromosome:Prunus_persica_NCBIv2:G2:254500:260377:-1 gene:PRUPE_2G001700 transcript:ONI20184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTEEDDSFTKPLGRCTVTYYGVGHMLNDITASCWFTYLLLYLTDIGLSPRDAATVMLSGQIADGFATIFAGELIDRFGHFKLWHGAGSVLVAISFSSVFGGCMPCKIFGTSSSTLRTVGYSMFAAIFNVGWAATQVSHMSMLNCITLNSTSRVVLASCRNAFNMVANLSLYAVAFIVFGVTIASTHADIENQYRWIAYLSIFIGCCFVGIFHLGTKEPRLKVSLQGNNRSRISWTYWFKRVLYYQVALVYVLTRLVVNVSQAYLAFYVIDDLRMAQSAKALVPAIIYISSFLVSIILQEISWTGQCLKACYSAGAIVWIFCGAGILFLPGSMSALMYIISVSIGIANALMMVTGVSMQSVLIDRDLNGCAFVCGSLSFMDKISCGLALFVLQSYQSTRVIQENHSNDVYFSVTRFGLGFVPAFCSLISVIVTYTMKLQPAPCKPLMEPLLV >ONI20185 pep chromosome:Prunus_persica_NCBIv2:G2:254500:260377:-1 gene:PRUPE_2G001700 transcript:ONI20185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTEEDDSFTKPLGRCTVTYYGVGHMLNDITASCWFTYLLLYLTDIGLSPRDAATVMLSGQIADGFATIFAGELIDRFGHFKLWHGAGSVLVAISFSSVFGGCMPCKIFGTSSSTLRTVGYSMFAAIFNVGWAATQVSHMSMLNCITLNSTSRVVLASCRNAFNMVANLSLYAVAFIVFGVTIASTHADIENQYRWIAYLSIFIGCCFVGIFHLGTKEPRLKVSLQGNNRSRISWTYWFKRVLYYQVALVYVLTRLVVNVSQVLVSTFAVLISRWLSLVQAYLAFYVIDDLRMAQSAKALVPAIIYISSFLVSIILQEISWTGQCLKACYSAGAIVWIFCGAGILFLPGSMSALMYIISVSIGIANALMMVTGVSMQSVLIDRDLNGCAFVCGSLSFMDKISCGLALFVLQSYQSTRVIQENHSNDVYFSVTRFGLGFVPAFCSLISVIVTYTMKLQPAPCKPLMEPLLV >ONI20186 pep chromosome:Prunus_persica_NCBIv2:G2:254326:261608:-1 gene:PRUPE_2G001700 transcript:ONI20186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGQIADGFATIFAGELIDRFGHFKLWHGAGSVLVAISFSSVFGGCMPCKIFGTSSSTLRTVGYSMFAAIFNVGWAATQVSHMSMLNCITLNSTSRVVLASCRNAFNMVANLSLYAVAFIVFGVTIASTHADIENQYRWIAYLSIFIGCCFVGIFHLGTKEPRLKVSLQGNNRSRISWTYWFKRVLYYQVALVYVLTRLVVNVSQAYLAFYVIDDLRMAQSAKALVPAIIYISSFLVSIILQEISWTGQCLKACYSAGAIVWIFCGAGILFLPGSMSALMYIISVSIGIANALMMVTGVSMQSVLIDRDLNGCAFVCGSLSFMDKISCGLALFVLQSYQSTRVIQENHSNDVYFSVTRFGLGFVPAFCSLISVIVTYTMKLQPAPCKPLMEPLLV >ONI20187 pep chromosome:Prunus_persica_NCBIv2:G2:254326:261608:-1 gene:PRUPE_2G001700 transcript:ONI20187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQPYLLANWSMLNCITLNSTSRVVLASCRNAFNMVANLSLYAVAFIVFGVTIASTHADIENQYRWIAYLSIFIGCCFVGIFHLGTKEPRLKVSLQGNNRSRISWTYWFKRVLYYQVALVYVLTRLVVNVSQAYLAFYVIDDLRMAQSAKALVPAIIYISSFLVSIILQEISWTGQCLKACYSAGAIVWIFCGAGILFLPGSMSALMYIISVSIGIANALMMVTGVSMQSVLIDRDLNGCAFVCGSLSFMDKISCGLALFVLQSYQSTRVIQENHSNDVYFSVTRFGLGFVPAFCSLISVIVTYTMKLQPAPCKPLMEPLLV >ONI20183 pep chromosome:Prunus_persica_NCBIv2:G2:254326:260955:-1 gene:PRUPE_2G001700 transcript:ONI20183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTEEDDSFTKPLGRCTVTYYGVGHMLNDITASCWFTYLLLYLTDIGLSPRDAATVMLSGQIADGFATIFAGELIDRFGHFKLWHGAGSVLVAISFSSVFGGCMPCKIFGTSSSTLRTVGYSMFAAIFNVGWAATQVSHMSMLNCITLNSTSRVVLASCRNAFNMVANLSLYAVAFIVFGVTIASTHADIENQYRWIAYLSIFIGCCFVGIFHLGTKEPRLKVSLQGNNRSRISWTYWFKRVLYYQVALVYVLTRLVVNVSQAYLAFYVIDDLRMAQSAKALVPAIIYISSFLVSIILQEISWTGQCLKACYSAGAIVWIFCGAGILFLPGSMSALMYIISVSIGIANALMMVTGVSMQSVLIDRDLNGCAFVCGSLSFMDKISCGLALFVLQSYQSTRVIQENHSNDVYFSVTRFGLGFVPAFCSLISVIVTYTMKLQPAPCKPLMEPLLV >ONI21626 pep chromosome:Prunus_persica_NCBIv2:G2:11704794:11705277:1 gene:PRUPE_2G076500 transcript:ONI21626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPLEHDYIGLTETSSMERSSEKISSSWSAITVSEYSSSKLIFNNISDPFGFAVKRKSDGQVLFNSSSDPKDPYGELVFKDQYLEISTVFKDPTSAQLVEQQD >ONI25373 pep chromosome:Prunus_persica_NCBIv2:G2:28812802:28814546:-1 gene:PRUPE_2G298700 transcript:ONI25373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRISARIRKFISSTEPGPTRPTTSMGVDVTEEYANAFRTESYLDFWTRVVALSNGDLTKSNKRMPMESTTAARLPSYRLFAEHLLDPDQPMVARILTMAPDHPTLLSDYFTQTADASILCGLLLKDIDRTRVKYRSIKTSLQSLNDRSTPETLTRLTKLTSALSLIRIQAIQAGCSKLLKRLESTRNKARAKLRLANKLRAGSAIFLVALTASLSVIVVTHALALVVAMPAFIAASLDLASARRLARMAAQLDAATKGTYILNRDLETVSRLVARLNDEIEHMHGMVTFWVGRGEDWSQASGEVGRQLKKNDCSFRQQLDELEEHLYLCFMTINRARNLVVKEILDPGHTHSTQ >ONI25374 pep chromosome:Prunus_persica_NCBIv2:G2:28812802:28814569:-1 gene:PRUPE_2G298700 transcript:ONI25374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRISARIRKFISSTEPGPTRPTTSMGVDVTEEYANAFRTESYLDFWTRVVALSNGDLTKSNKRMPMESTTAARLPSYRLFAEHLLDPDQPMVARILTMAPDHPTLLSDYFTQTADASILCGLLLKDIDRTRVKYRSIKTSLQSLNDRSTPETLTRLTKLTSALSLIRIQAIQAGCSKLLKRLESTRNKARAKLRLANKLRAGSAIFLVALTASLSVIVVTHALALVVAMPAFIAASLDLASARRLARMAAQLDAATKGTYILNRDLETVSRLVARLNDEIEHMHGMVTFWVGRGEDWSQASGEVGRQLKKNDCSFRQQLDELEEHLYLCFMTINRARNLVVKEILDPGHTHSTQ >ONI25376 pep chromosome:Prunus_persica_NCBIv2:G2:28813115:28814107:-1 gene:PRUPE_2G298700 transcript:ONI25376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDVTEEYANAFRTESYLDFWTRVVALSNGDLTKSNKRMPMESTTAARLPSYRLFAEHLLDPDQPMVARILTMAPDHPTLLSDYFTQTADASILCGLLLKDIDRTRVKYRSIKTSLQSLNDRSTPETLTRLTKLTSALSLIRIQAIQAGCSKLLKRLESTRNKARAKLRLANKLRAGSAIFLVALTASLSVIVVTHALALVVAMPAFIAASLDLASARRLARMAAQLDAATKGTYILNRDLETVSRLVARLNDEIEHMHGMVTFWVGRGEDWSQASGEVGRQLKKNDCSFRQQLDELEEHLYLCFMTINRARNLVVKEILDPGHTHSTQ >ONI25375 pep chromosome:Prunus_persica_NCBIv2:G2:28812802:28814528:-1 gene:PRUPE_2G298700 transcript:ONI25375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRISARIRKFISSTEPGPTRPTTSMGVDVTEEYANAFRTESYLDFWTRVVALSNGDLTKSNKRMPMESTTAARLPSYRLFAEHLLDPDQPMVARILTMAPDHPTLLSDYFTQTADASILCGLLLKDIDRTRVKYRSIKTSLQSLNDRSTPETLTRLTKLTSALSLIRIQAIQAGCSKLLKRLESTRNKARAKLRLANKLRAGSAIFLVALTASLSVIVVTHALALVVAMPAFIAASLDLASARRLARMAAQLDAATKGTYILNRDLETVSRLVARLNDEIEHMHGMVTFWVGRGEDWSQASGEVGRQLKKNDCSFRQQLDELEEHLYLCFMTINRARNLVVKEILDPGHTHSTQ >ONI21980 pep chromosome:Prunus_persica_NCBIv2:G2:15534762:15536685:-1 gene:PRUPE_2G099600 transcript:ONI21980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVHLDLSDNQIEGANPNSFARLCNLQTLWLQTNHLSGQLSKFVQLLPRCAQNSLEDLSLSENVLAGSLNNLTSFSSLKALHLDANQLSGKIPESIGQMSQLEDIDFSINSLKGVVSETHFSKLSELKYLDLSFNSLVLNFHSDWVPPFQLSDINLASCKVGPLFPKWLQTQNDSSQLDISNAGISDVLPSWFWRNFHRAYVINLSQNLIRGIFSNLVVKFTDLALDPNYPLTRAQASHLDLSNNNISGSLCPDMKLTYVNLSSNSFFGELPDCWSDLDTLVMLDLSNNSFSGKLPMTIGSLFQMQTLKLRSNRFVGELPSSLKNCASLEVIDLGDNKLSGPIPTCMPSQLCHLIHIQIMDFSMNNISGSIPKCLNNLTTLAQKGNSILSSRHPYFRFTHRPDYQKKYINYEDDASFIWKGIMRNYKSTLGLVKRIDLSSNRLTGEIPSEIIHLVELSSLNLSRNRLTSQITPEIEKLQSLDSLDLSRNQIDGRIPTSLARIDRLSFLDLSYNNLCGKIPTDTQLQSFDPLDYAENPQLCGPPLKKMCADQNEPTDLSNEEDKDEFITQGFYISMEIGFVAGLWGLCGTLIFNRTWRYTYFKFLNDLND >ONI22364 pep chromosome:Prunus_persica_NCBIv2:G2:18046542:18048730:-1 gene:PRUPE_2G124300 transcript:ONI22364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEETLESGGSGGRGGSRAHLWWAGASAAQLGWAVVSSRRGCAGNSTTMPFKAFAVASLYVGSIATAGVAGLQASGIRKVEDLVELGANIRTGLGVHRRTRDE >ONI22365 pep chromosome:Prunus_persica_NCBIv2:G2:18047601:18048669:-1 gene:PRUPE_2G124300 transcript:ONI22365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEETLESGGSGGRGGSRAHLWWAGASAAQLGWAVVSSRRGCAGNSTTMPFKAFAVASLYVGSIATAGVAGLQASGIRKIFSWRRERSDLVQLIS >ONI22366 pep chromosome:Prunus_persica_NCBIv2:G2:18047601:18048669:-1 gene:PRUPE_2G124300 transcript:ONI22366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEETLESGGSGGRGGSRAHLWWAGASAAQLGWAVVSSRRGCAGNSTTMPFKAFAVASLYVGSIATAGVAGLQASGIRKIFSWRRERSDLVQLIS >ONI22367 pep chromosome:Prunus_persica_NCBIv2:G2:18047264:18048730:-1 gene:PRUPE_2G124300 transcript:ONI22367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEETLESGGSGGRGGSRAHLWWAGASAAQLGWAVVSSRRGCAGNSTTMPFKAFAVASLYVGSIATAGVAGLQASGIRKIFSWRRERSDLVQLIS >ONI20701 pep chromosome:Prunus_persica_NCBIv2:G2:3180564:3186562:-1 gene:PRUPE_2G029800 transcript:ONI20701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQPSWLSSLNSISSTTKPTLFPSTNLNKPHPLKPFKLSFSLNPPNSESSQPNSPNSPETTPEAQPGPTDPVKLALENAKAYKKSVQMNKKLKIEKNPVKDGDGIAGNGESGPDGAGGGKKEVPAAVKIAMEKAKEYKKSKGIVGGDINAGESDKISGLEESNGGNLGNEIVDKKGKLSVSSIDFVGLGFADKKEGRGLPAGLVPIADYFPEGNSPDVEIIVGDARNFDAVARKPEQTQGDNSDLYKPKVSSWGVFPRPNDISKTFGGGRVIQPGEVLETAEEKAAKEARTRQLVAAYKSKMGMNIDPKLRSECEKALKDGDTLMDVGELKEALIYYEQVMDKLPFKSELHGLAALQWSICQDSLSRSQEAQVMYEKLQSHPTAKVSKKARQFVFSFQAMEMMKLTGSSPWKNTGFQNYFEAFIENKSDYVLKEAESEVGTLSQTLPYIIFLVSPIFVVLLIALQKRI >ONI20700 pep chromosome:Prunus_persica_NCBIv2:G2:3180374:3186816:-1 gene:PRUPE_2G029800 transcript:ONI20700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQPSWLSSLNSISSTTKPTLFPSTNLNKPHPLKPFKLSFSLNPPNSESSQPNSPNSPETTPEAQPGPTDPVKLALENAKAYKKSVQMNKKLKIEKNPVKDGDGIAGNGESGPDGAGGGKKEVPAAVKIAMEKAKEYKKSKGIVGGDINAGESDKISGLEESNGGNLGNEIVDKKGKLSVSSIDFVGLGFADKKEGRGLPAGLVPIADYFPEGNSPDVEIIVGDARNFDAVARKPEQTQGDNSDLYKPKVSSWGVFPRPNDISKTFGGGRVIQPGEVLETAEEKAAKEARTRQLVAAYKSKMGMNIDPKLRSECEKALKDGDTLMDVGELKEALIYYEQVMDKLPFKEAQVMYEKLQSHPTAKVSKKARQFVFSFQAMEMMKLTGSSPWKNTGFQNYFEAFIENKSDYVLKEAESEVGTLSQTLPYIIFLVSPIFVVLLIALQKRI >ONI20702 pep chromosome:Prunus_persica_NCBIv2:G2:3180374:3186815:-1 gene:PRUPE_2G029800 transcript:ONI20702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQPSWLSSLNSISSTTKPTLFPSTNLNKPHPLKPFKLSFSLNPPNSESSQPNSPNSPETTPEAQPGPTDPVKLALENAKAYKKSVQMNKKLKIEKNPVKDGDGIAGNGESGPDGAGGGKKEVPAAVKIAMEKAKEYKKSKGIVGGDINAGESDKISGLEESNGGNLGNEIVDKKGKLSVSSIDFVGLGFADKKEGRGLPAGLVPIADYFPEGNSPDVEIIVGDARNFDAVARKPEQTQGDNSDLYKPKVSSWGVFPRPNDISKTFGGGRVIQPGEVLETAEEKAAKEARTRQLVAAYKSKMGMNIDPKLRSECEKALKDGDTLMDVGELKEALIYYEQVMDKLPFKVAGSSSHVREASIPPNC >ONI24640 pep chromosome:Prunus_persica_NCBIv2:G2:26598506:26600804:-1 gene:PRUPE_2G251800 transcript:ONI24640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRTLDNCGHGSFSNNPKLNSVMGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKVYKTLDKATQMLRFTLPFPMLAYPFYLWSRSPGKSGSHFDPNSDLFVPNQKKFVIRYQLCSS >ONI21447 pep chromosome:Prunus_persica_NCBIv2:G2:9109305:9111075:-1 gene:PRUPE_2G066100 transcript:ONI21447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVISFTSLANAGFSELRGKHMQYSKFWNFNSSQNSAEKKQQIPQLSGRTGMLILYAPAFLAALSCLLFFPHHSTRHLLLSSALTLHFLKRLLEVQFVHSYSGGMSIDTAIPISLSYFLSTATMIYGQNLTQGLPEPPIDLKEPGILLFLIGISGNFYHHYILSKMRSKGDKEYKIPKGGLFELVICPHYFFEIMGFVGVSCISQTLYAFSFAMGTALYLTGRSCATRKWYLSKFKEFPQTVKALIPYVL >ONI21446 pep chromosome:Prunus_persica_NCBIv2:G2:9109305:9110954:-1 gene:PRUPE_2G066100 transcript:ONI21446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTLIFPPPPSIFMAAMSVISFTSLANAGFSELRGKHMQYSKFWNFNSSQNSAEKKQQIPQLSGRTGMLILYAPAFLAALSCLLFFPHHSTRHLLLSSALTLHFLKRLLEVQFVHSYSGGMSIDTAIPISLSYFLSTATMIYGQNLTQGLPEPPIDLKEPGILLFLIGISGNFYHHYILSKMRSKGDKEYKIPKGGLFELVICPHYFFEIMGFVGVSCISQTLYAFSFAMGTALYLTGRSCATRKWYLSKFKEFPQTVKALIPYVL >ONI24578 pep chromosome:Prunus_persica_NCBIv2:G2:26409226:26409657:-1 gene:PRUPE_2G248200 transcript:ONI24578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATGQTEKPNDYDGVEFWLNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRGSSPRGVIPVASCLTVKGAEDVLNKQYAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSNK >ONI24584 pep chromosome:Prunus_persica_NCBIv2:G2:26407462:26409923:-1 gene:PRUPE_2G248200 transcript:ONI24584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATGQTEKPNDYDGVEFWLNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRGSSPRGVIPVASCLTVKGAEDVLNKQYAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSNK >ONI24576 pep chromosome:Prunus_persica_NCBIv2:G2:26409226:26409657:-1 gene:PRUPE_2G248200 transcript:ONI24576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATGQTEKPNDYDGVEFWLNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRGSSPRGVIPVASCLTVKGAEDVLNKQYAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSNK >ONI24582 pep chromosome:Prunus_persica_NCBIv2:G2:26409226:26409657:-1 gene:PRUPE_2G248200 transcript:ONI24582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATGQTEKPNDYDGVEFWLNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRGSSPRGVIPVASCLTVKGAEDVLNKQYAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSNK >ONI24579 pep chromosome:Prunus_persica_NCBIv2:G2:26407172:26409923:-1 gene:PRUPE_2G248200 transcript:ONI24579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATGQTEKPNDYDGVEFWLNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRGSSPRGVIPVASCLTVKGAEDVLNKQYAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSNK >ONI24580 pep chromosome:Prunus_persica_NCBIv2:G2:26407440:26409923:-1 gene:PRUPE_2G248200 transcript:ONI24580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATGQTEKPNDYDGVEFWLNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRGSSPRGVIPVASCLTVKGAEDVLNKQYAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSNK >ONI24583 pep chromosome:Prunus_persica_NCBIv2:G2:26407462:26409923:-1 gene:PRUPE_2G248200 transcript:ONI24583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATGQTEKPNDYDGVEFWLNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRGSSPRGVIPVASCLTVKGAEDVLNKQYAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSNK >ONI24577 pep chromosome:Prunus_persica_NCBIv2:G2:26409226:26409657:-1 gene:PRUPE_2G248200 transcript:ONI24577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATGQTEKPNDYDGVEFWLNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRGSSPRGVIPVASCLTVKGAEDVLNKQYAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSNK >ONI24581 pep chromosome:Prunus_persica_NCBIv2:G2:26407462:26409923:-1 gene:PRUPE_2G248200 transcript:ONI24581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATGQTEKPNDYDGVEFWLNPERTGWLTKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRGSSPRGVIPVASCLTVKGAEDVLNKQYAFELSTRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSNK >ONI24404 pep chromosome:Prunus_persica_NCBIv2:G2:25930904:25933211:-1 gene:PRUPE_2G238800 transcript:ONI24404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVSTIGAVNRAPLSLNGSSSSASVPSSTFLGSSLKKVNSRFTNSKVSSGSFRIVAEVDEDKQTDKDKWRGLAYDTSDDQQDITRGKGMVDSLFQAPQDAGTHFAVMSSYEYISTGLRQYNLDNNMDGFYIAPAFMDKLVVHITKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGISPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCALFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFRSDNVAKEDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWITGVGVDGVGKRLVNSKEGPPTFEQPKMTIEKLLEYGNMLVQEQENVKRVQLADKYLSEAALGDANSDAIDSGTFYG >ONI24403 pep chromosome:Prunus_persica_NCBIv2:G2:25930335:25933376:-1 gene:PRUPE_2G238800 transcript:ONI24403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVSTIGAVNRAPLSLNGSSSSASVPSSTFLGSSLKKVNSRFTNSKVSSGSFRIVAEVDEDKQTDKDKWRGLAYDTSDDQQDITRGKGMVDSLFQAPQDAGTHFAVMSSYEYISTGLRQYNLDNNMDGFYIAPAFMDKLVVHITKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGISPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCALFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFRSDNVAKEDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWITGVGVDGVGKRLVNSKEGPPTFEQPKMTIEKLLEYGNMLVQEQENVKRVQLADKYLSEAALGDANSDAIDSGTFYGKAAQQVNVPVPEGCTDRTAENFDPTARSDDGSCLYTF >ONI24405 pep chromosome:Prunus_persica_NCBIv2:G2:25930517:25933223:-1 gene:PRUPE_2G238800 transcript:ONI24405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVSTIGAVNRAPLSLNGSSSSASVPSSTFLGSSLKKVNSRFTNSKVSSGSFRIVAEVDEDKQTDKDKWRGLAYDTSDDQQDITRGKGMVDSLFQAPQDAGTHFAVMSSYEYISTGLRQYNLDNNMDGFYIAPAFMDKLVVHITKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGISPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCALFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFRSDNVAKEDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWITGVGVDGVGKRLVNSKEGPPTFEQPKMTIEKLLEYGNMLVQEQENVKRVQLADKYLSEAALGDANSDAIDSGTFYG >ONI23534 pep chromosome:Prunus_persica_NCBIv2:G2:23184633:23191462:1 gene:PRUPE_2G193300 transcript:ONI23534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPVPAWSWPVEQCLKEYHVKLDKGLSTYEAEKRRERYGWNELSKEKGKPLWRLVLEQFDDTLVKILLVAAFISFVLAFLGGGESGESGFEAYVEPFVIVLILILNAIVGVWQESNAEKALEALKQMQSESGKVLRDGYLVPDLPARELVPGDIVELRVGDKVPADMRVAVLKTSTLRVEQSSLTGEAMPVLKSTGPIFMDDCDLQAKENMVFSGTTVVNGSCLCVVVSTGMNTEIGKIQKQIHEASLEEDDTPLKKKLDEFGSRFTTAIGFVCLIVWVMNYKNFLSWDLVDGWPTNVRFSFERCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTEFFTLGGKTTASRTIRVEGTTYDPKDGGIVDWTCYNMDANMQAIAEICAICNDAGIYFDGQLFRATGLPTEAALKVLVEKMGVPDIKARNKIRDTQLAASYLIDTTTVKLGCCEWWTKRSKRVATLEFDRVRKSMSVIVREPTGRNRLLVKGAVESLLERTLHVQLADGSLVPIDEPCKQSLLLRLLDMSSKGLRCLGFAYKEELGEFSDYHSESHPAHKKLLDPACYSSIESDLVFVGIVGLRDPPRDEVGKAIEDCREAGIRVMVITGDNKSTAEAICQEIKLFSKEEDLKGRSFTGKEFMVLPQPQQMEILAKPGGKVFSRAEPRHKQEIVRMLKEIGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRAIYTNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADMHIMKKPPRKSDDALMSPWVLFRYLVIGSYVGIATVGIFILWYTQASFMGINLVSDGHTLVELSQLRNWGECPSWSNFTVAPFTVRGGRTISFSDPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDISLVKMPPWRNPWLLVAMSVSFGLHCLILYIPFLADVFGVVPLSLNEWLLVILISVPVILIDEVLKLVGRRRRWRAKKEKTA >ONI23535 pep chromosome:Prunus_persica_NCBIv2:G2:23184633:23191462:1 gene:PRUPE_2G193300 transcript:ONI23535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPVPAWSWPVEQCLKEYHVKLDKGLSTYEAEKRRERYGWNELSKEKGKPLWRLVLEQFDDTLVKILLVAAFISFVLAFLGGGESGESGFEAYVEPFVIVLILILNAIVGVWQESNAEKALEALKQMQSESGKVLRDGYLVPDLPARELVPGDIVELRVGDKVPADMRVAVLKTSTLRVEQSSLTGEAMPVLKSTGPIFMDDCDLQAKENMVFSGTTVVNGSCLCVVVSTGMNTEIGKIQKQIHEASLEEDDTPLKKKLDEFGSRFTTAIGFVCLIVWVMNYKNFLSWDLVDGWPTNVRFSFERCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTEFFTLGGKTTASRTIRVEGTTYDPKDGGIVDWTCYNMDANMQAIAEICAICNDAGIYFDGQLFRATGLPTEAALKVLVEKMGVPDIKARNKIRDTQLAASYLIDTTTVKLGCCEWWTKRSKRVATLEFDRVRKSMSVIVREPTGRNRLLVKGAVESLLERTLHVQLADGSLVPIDEPCKQSLLLRLLDMSSKGLRCLGFAYKEELGEFSDYHSESHPAHKKLLDPACYSSIESDLVFVGIVGLRDPPRDEVGKAIEDCREAGIRVMVITGDNKSTAEAICQEIKLFSKEEDLKGRSFTGKEFMVLPQPQQMEILAKPGGKVFSRAEPRHKQEIVRMLKEIGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRAIYTNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADMHIMKKPPRKSDDALMSPWVLFRYLVIGSYVGIATVGIFILWYTQASFMGINLVSDGHTLVELSQLRNWGECPSWSNFTVAPFTVRGGRTISFSDPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDISLVKMPPWRNPWLLVAMSVSFGLHCLILYIPFLADVFGVVPLSLNEWLLVILISVPVILIDEVLKLVGRRRRWRAKKEKTA >ONI23532 pep chromosome:Prunus_persica_NCBIv2:G2:23184633:23191462:1 gene:PRUPE_2G193300 transcript:ONI23532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPVPAWSWPVEQCLKEYHVKLDKGLSTYEAEKRRERYGWNELSKEKGKPLWRLVLEQFDDTLVKILLVAAFISFVLAFLGGGESGESGFEAYVEPFVIVLILILNAIVGVWQESNAEKALEALKQMQSESGKVLRDGYLVPDLPARELVPGDIVELRVGDKVPADMRVAVLKTSTLRVEQSSLTGEAMPVLKSTGPIFMDDCDLQAKENMVFSGTTVVNGSCLCVVVSTGMNTEIGKIQKQIHEASLEEDDTPLKKKLDEFGSRFTTAIGFVCLIVWVMNYKNFLSWDLVDGWPTNVRFSFERCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTEFFTLGGKTTASRTIRVEGTTYDPKDGGIVDWTCYNMDANMQAIAEICAICNDAGIYFDGQLFRATGLPTEAALKVLVEKMGVPDIKARNKIRDTQLAASYLIDTTTVKLGCCEWWTKRSKRVATLEFDRVRKSMSVIVREPTGRNRLLVKGAVESLLERTLHVQLADGSLVPIDEPCKQSLLLRLLDMSSKGLRCLGFAYKEELGEFSDYHSESHPAHKKLLDPACYSSIESDLVFVGIVGLRDPPRDEVGKAIEDCREAGIRVMVITGDNKSTAEAICQEIKLFSKEEDLKGRSFTGKEFMVLPQPQQMEILAKPGGKVFSRAEPRHKQEIVRMLKEIGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRAIYTNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADMHIMKKPPRKSDDALMSPWVLFRYLVIGSYVGIATVGIFILWYTQASFMGINLVSDGHTLVELSQLRNWGECPSWSNFTVAPFTVRGGRTISFSDPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDISLVKMPPWRNPWLLVAMSVSFGLHCLILYIPFLADVFGVVPLSLNEWLLVILISVPVILIDEVLKLVGRRRRWRAKKEKTA >ONI23533 pep chromosome:Prunus_persica_NCBIv2:G2:23184633:23191462:1 gene:PRUPE_2G193300 transcript:ONI23533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPVPAWSWPVEQCLKEYHVKLDKGLSTYEAEKRRERYGWNELSKEKGKPLWRLVLEQFDDTLVKILLVAAFISFVLAFLGGGESGESGFEAYVEPFVIVLILILNAIVGVWQESNAEKALEALKQMQSESGKVLRDGYLVPDLPARELVPGDIVELRVGDKVPADMRVAVLKTSTLRVEQSSLTGEAMPVLKSTGPIFMDDCDLQAKENMVFSGTTVVNGSCLCVVVSTGMNTEIGKIQKQIHEASLEEDDTPLKKKLDEFGSRFTTAIGFVCLIVWVMNYKNFLSWDLVDGWPTNVRFSFERCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTEFFTLGGKTTASRTIRVEGTTYDPKDGGIVDWTCYNMDANMQAIAEICAICNDAGIYFDGQLFRATGLPTEAALKVLVEKMGVPDIKARNKIRDTQLAASYLIDTTTVKLGCCEWWTKRSKRVATLEFDRVRKSMSVIVREPTGRNRLLVKGAVESLLERTLHVQLADGSLVPIDEPCKQSLLLRLLDMSSKGLRCLGFAYKEELGEFSDYHSESHPAHKKLLDPACYSSIESDLVFVGIVGLRDPPRDEVGKAIEDCREAGIRVMVITGDNKSTAEAICQEIKLFSKEEDLKGRSFTGKEFMVLPQPQQMEILAKPGGKVFSRAEPRHKQEIVRMLKEIGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRAIYTNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADMHIMKKPPRKSDDALMSPWVLFRYLVIGSYVGIATVGIFILWYTQASFMGINLVSDGHTLVELSQLRNWGECPSWSNFTVAPFTVRGGRTISFSDPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDISLVKMPPWRNPWLLVAMSVSFGLHCLILYIPFLADVFGVVPLSLNEWLLVILISVPVILIDEVLKLVGRRRRWRAKKEKTA >ONI23531 pep chromosome:Prunus_persica_NCBIv2:G2:23184633:23191462:1 gene:PRUPE_2G193300 transcript:ONI23531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPVPAWSWPVEQCLKEYHVKLDKGLSTYEAEKRRERYGWNELSKEKGKPLWRLVLEQFDDTLVKILLVAAFISFVLAFLGGGESGESGFEAYVEPFVIVLILILNAIVGVWQESNAEKALEALKQMQSESGKVLRDGYLVPDLPARELVPGDIVELRVGDKVPADMRVAVLKTSTLRVEQSSLTGEAMPVLKSTGPIFMDDCDLQAKENMVFSGTTVVNGSCLCVVVSTGMNTEIGKIQKQIHEASLEEDDTPLKKKLDEFGSRFTTAIGFVCLIVWVMNYKNFLSWDLVDGWPTNVRFSFERCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTEFFTLGGKTTASRTIRVEGTTYDPKDGGIVDWTCYNMDANMQAIAEICAICNDAGIYFDGQLFRATGLPTEAALKVLVEKMGVPDIKARNKIRDTQLAASYLIDTTTVKLGCCEWWTKRSKRVATLEFDRVRKSMSVIVREPTGRNRLLVKGAVESLLERTLHVQLADGSLVPIDEPCKQSLLLRLLDMSSKGLRCLGFAYKEELGEFSDYHSESHPAHKKLLDPACYSSIESDLVFVGIVGLRDPPRDEVGKAIEDCREAGIRVMVITGDNKSTAEAICQEIKLFSKEEDLKGRSFTGKEFMVLPQPQQMEILAKPGGKVFSRAEPRHKQEIVRMLKEIGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRAIYTNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADMHIMKKPPRKSDDALMSPWVLFRYLVIGSYVGIATVGIFILWYTQASFMGINLVSDGHTLVELSQLRNWGECPSWSNFTVAPFTVRGGRTISFSDPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDISLVKMPPWRNPWLLVAMSVSFGLHCLILYIPFLADVFGVVPLSLNEWLLVILISVPVILIDEVLKLVGRRRRWRAKKEKTA >ONI25256 pep chromosome:Prunus_persica_NCBIv2:G2:28533659:28535374:-1 gene:PRUPE_2G292200 transcript:ONI25256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFFITYKNLLLQLSLSLTLTLLLTFLKIPIFFLRGLHTYIHPDNVQSGVRAAIRRPDASDSASGLPSKSNSELRKRSKSKDKPEFDENNAQIFRLKLDEAHLQTRLYFNEYCNAFTFSFVALSCLLLQLLYLKSESNNSEFLVNGIFVPVLLGFAGVSKLLMLLGKVSYEKSASRRSEKQLSVLCGVVGLVLGYMVCFTFSPSVLDFNFDSIDGSGRIFVAVLMGCFSGFLFMPAVKSARCFWLGTDQIRSDLVMLSCDWFGRMVLYANYMMIVFTVLLWINPLAGMLVNKNINGKVANAEMLIGNVGFSPSDFAKFRLCCLLLSSFLQIVALRPNIQMYLNEALLSWYQRLHASRVPDLDFSRAKVFLHNHYLCLVVVQFLAPPIVLLLFLGLSQIDGISSENYKYICSLAPCSAFVKEVALFMAWWVMFVWAIYSSATILLYRRCVLYMS >ONI21326 pep chromosome:Prunus_persica_NCBIv2:G2:7399789:7402390:1 gene:PRUPE_2G059400 transcript:ONI21326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTESSSNLLSSSSSTSGWKYDVFLSCRRNGSCRKFKDHLYAALNKRGIFTIGDDDEWERGREEAILKAVEESRYVILVLSQNYASSTWCLDALAKAVECTKSMGQTILPIFYDVEPYEVLRQKGSFAEAFSKHEQVFKDNMGKVYRWRAALDTVGNLNGWNLQDGYESKAIQTIVEWIFNELNEAISSVSKDLVGIDSRVKEVLSYLESETWPDDARIIGISGMKGIGKTTIARVVFDSIRARFEACSFLADIREVTDKQGPTHLQKQLLSDLLKRSVNIWNVEMGINVLRERLQNKKVLIVLDDVDQLEQLAALCDRSCFGLGSRIIITSRDERLLSTFGVDKVYKVEPLTDEEAFKLFSSKAFKKDVVGEEFLELSKNVVEFANGLPLALKGLGSFLFGRSIKEWSSALARLKENPAENLLMYMK >ONI22814 pep chromosome:Prunus_persica_NCBIv2:G2:20730653:20734344:-1 gene:PRUPE_2G152800 transcript:ONI22814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGSAECYSFPYALCISALKDLETLVEVVAQQYFGDEKKWNFIAAMEAIKVLVRFALFRNSGYKMLLHGGETPNDEKHLAASIPQRNGFTKPGGQLGPGYLRNNNGQDAWNLEGRALSALSRFGENARMVSEPVWLRRVQHQHAIMEPPMVKRPTLSTILSKKGLHGAFYLIGEALFITRPLIYVLFIRKYGVRSWIPWFLSLAVDFTGMGILSRITSSRVGTEQQFHLSDSEKNEVKRRKMLWALYLMRDPFFSKYTRQKLESTEKVLEPVPIVGFLTAKLVELIVGAQTRYTYMSGS >ONI22811 pep chromosome:Prunus_persica_NCBIv2:G2:20730653:20734344:-1 gene:PRUPE_2G152800 transcript:ONI22811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYKKWVRENKDYVHSLESLANGFTWLLPERFSESEIGPEAVTALFGVITAINEHIIETAPPPMNVGSAECYSFPYALCISALKDLETLVEVVAQQYFGDEKKWNFIAAMEAIKVLVRFALFRNSGYKMLLHGGETPNDEKHLAASIPQRNGFTKPGGQLGPGYLRNNNGQDAWNLEGRALSALSRFGENARMVSEPVWLRRVQHQHAIMEPPMVKRPTLSTILSKKGLHGAFYLIGEALFITRPLIYVLFIRKYGVRSWIPWFLSLAVDFTGMGILSRITSSRVGTEQQFHLSDSEKNEVKRRKMLWALYLMRDPFFSKYTRQKLESTEKVLEPVPIVGFLTAKLVELIVGAQTRYTYMSGS >ONI22813 pep chromosome:Prunus_persica_NCBIv2:G2:20730653:20732934:-1 gene:PRUPE_2G152800 transcript:ONI22813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAVTALFGVITAINEHIIETAPPPMNVGSAECYSFPYALCISALKDLETLVEVVAQQYFGDEKKWNFIAAMEAIKVLVRFALFRNSGYKMLLHGGETPNDEKHLAASIPQRNGFTKPGGQLGPGYLRNNNGQDAWNLEGRALSALSRFGENARMVSEPVWLRRVQHQHAIMEPPTPVVKRPTLSTILSKKGLHGAFYLIGEALFITRPLIYVLFIRKYGVRSWIPWFLSLAVDFTGMGILSRITSSRVGTEQQFHLSDSEKNEVKRRKMLWALYLMRDPFFSKYTRQKLESTEKVLEPVPIVGFLTAKLVELIVGAQTRYTYMSGS >ONI22812 pep chromosome:Prunus_persica_NCBIv2:G2:20730653:20734345:-1 gene:PRUPE_2G152800 transcript:ONI22812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYKKWVRENKDYVHSLESLANGFTWLLPERFSESEIGPEAVTALFGVITAINEHIIETAPPPMNVGSAECYSFPYALCISALKDLETLVEVVAQQYFGDEKKWNFIAAMEAIKVLVRFALFRNSGYKMLLHGGETPNDEKHLAASIPQRNGFTKPGGQLGPGYLRNNNGQDAWNLEGRALSALSRFGENARMVSEPVWLRRVQHQHAIMEPPTPVVKRPTLSTILSKKGLHGAFYLIGEALFITRPLIYVLFIRKYGVRSWIPWFLSLAVDFTGMGILSRITSSRVGTEQQFHLSDSEKNEVKRRKMLWALYLMRDPFFSKYTRQKLESTEKVLEPVPIVGFLTAKLVELIVGAQTRYTYMSGS >ONI22815 pep chromosome:Prunus_persica_NCBIv2:G2:20730897:20732648:-1 gene:PRUPE_2G152800 transcript:ONI22815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGSAECYSFPYALCISALKDLETLVEVVAQQYFGDEKKWNFIAAMEAIKVLVRFALFRNSGYKMLLHGGETPNDEKHLAASIPQRNGFTKPGGQLGPGYLRNNNGQDAWNLEGRALSALSRFGENARMVSEPVWLRRVQHQHAIMEPPTPVVKRPTLSTILSKKGLHGAFYLIGEALFITRPLIYVLFIRKYGVRSWIPWFLSLAVDFTGMGILSRITSSRVGTEQQFHLSDSEKNEVKRRKMLWALYLMRDPFFSKYTRQKLESTEKVLEPVPIVGFLTAKLVELIVGAQTRYTYMSGS >ONI22320 pep chromosome:Prunus_persica_NCBIv2:G2:17845332:17847719:-1 gene:PRUPE_2G121200 transcript:ONI22320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSTPIGDKWYSLRRGNLKATHWQEVADAVAHRCPAASPPKTAVQCRHKMEKLRKRYRTEISRAKSMPLSRFTSSWVHFKRMDAMEKGPAAAKRENSESPGEEDENDENEEEDDQDQELYEELRYGSNMKSMSKLYRNGVGVGGSGGSSGGGFRIRIPTGVSIAQPGTKVYPKMDPKFGLNSNSGSGSGPGYGSAKVMRECGNSVRPGLGKREREGRERERDPVAEMVSAIKVLGDGFVRMEQMKMEMAREIETMRMEMEMKRTEMILDSQQRIVEAFAKAVSEKKKKAKRMPSPEA >ONI22321 pep chromosome:Prunus_persica_NCBIv2:G2:17845671:17847719:-1 gene:PRUPE_2G121200 transcript:ONI22321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSTPIGDKWYSLRRGNLKATHWQEVADAVAHRCPAASPPKTAVQCRHKMEKLRKRYRTEISRAKSMPLSRFTSSWVHFKRMDAMEKGPAAAKRENSESPGEEDENDENEEEDDQDQELYEELRYGSNMKSMSKLYRNGVGVGGSGGSSGGGFRIRIPTGVSIAQPGTKVYPKMDPKFGLNSNSGSGSGPGYGSAKVMRECGNSVRPGLGKREREGRERERDPVAEMVSAIKVLGDGFVRMEQMKMEMAREIETMRMEMEMKRTEMILDSQQRIVEAFAKAVSEKKKKAKRMPSPEA >ONI22101 pep chromosome:Prunus_persica_NCBIv2:G2:16465677:16471059:1 gene:PRUPE_2G107000 transcript:ONI22101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRARARVKMMVRLGVMVIVRLMVLLMTMRIESATSLPRATTKSKPFAEKGSARRGWPETDNTWEPLDNLHSIADVIEAFEESLRAGKHRKRKRKSGTPHSQPKKRQQRSTDPIYNVTDVEISMVDKVLSSAALNCPSLVDLQPPQQSVGVAFEGENDGHVNNTETTKKVDAENWCSNASQEIGERREENEYDPKLSELRATISTNIVNSDKLSVHFQEAKASEVNGLSKVDCAEPVQSNRNTGAKRRKSGSVKRFKQETQLSELGATPNATSRVSVRYGGRVNQSGAENLDYAGENSSRRSKIDESKSAVRITKIIKPIGYSTSVSNDVQDVSVTFKAMRSDGTEVVVDNKFLKVNHPLLLIDFYEQHLRYNSTL >ONI22100 pep chromosome:Prunus_persica_NCBIv2:G2:16465677:16471059:1 gene:PRUPE_2G107000 transcript:ONI22100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKGGGRRKSWEAMPLDVVLQDTSNNPNAPFASLLQLQANAAALEQPQEQQQELQEPQALEEADDGEGEGEGEDDGEVGGDGDREADGVVDDDENRERNKLAEGYYEIEAIRRKRVRKGELQYLIKWRGWPETDNTWEPLDNLHSIADVIEAFEESLRAGKHRKRKRKSGTPHSQPKKRQQRSTDPIYNVTDVEISMVDKVLSSAALNCPSLVDLQPPQQSVGVAFEGENDGHVNNTETTKKVDAENWCSNASQEIGERREENEYDPKLSELRATISTNIVNSDKLSVHFQEAKASEVNGLSKVDCAEPVQSNRNTGAKRRKSGSVKRFKQETQLSELGATPNATSRVSVRYGGRVNQSGAENLDYAGENSSRRSKIDESKSAVRITKIIKPIGYSTSVSNDVQDVSVTFKAMRSDGTEVVVDNKFLKVNHPLLLIDFYEQHLRYNSTL >ONI24307 pep chromosome:Prunus_persica_NCBIv2:G2:25639192:25641672:1 gene:PRUPE_2G233200 transcript:ONI24307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMKSLSSVGLGLSVVFGCLFLALIAELYYLLWWKKRFTNREIENDYSSPQKELFYTFCWRRNSSLCHTARGPRELCASLRMTETLVHDPNSQLHIHTSNNLLLKQLEEDELDAELMRLQNAAGPPRHLFTIVEETKEDLESEDGKSRGDRSQKGSRSKSLSDLLVTVETPYLTPLGSPTLFTPPLTPAVDSHNQHGFNPLFESKTDAEFNRLRSSPPPKFKFLQVAEEKLRIKLKEEAEKGVHKIDGQVPEKGNKAPPISRFLKDEEDGSFITIIVDKNKERELTHHHLQLPQYHSSTSQVLPLASSPSAFRSPINKKPTLH >ONI22680 pep chromosome:Prunus_persica_NCBIv2:G2:20141119:20143258:1 gene:PRUPE_2G144300 transcript:ONI22680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAAAGPKGKKKGVTFTIDCGKPVEDKIMDIASLEKFLQERIKVGGKAGALGDAVSVTREKSKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >ONI23762 pep chromosome:Prunus_persica_NCBIv2:G2:24080341:24082672:-1 gene:PRUPE_2G206600 transcript:ONI23762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGAGGGGGWGNTKALTLQVLNGRWFMMFASLLIMSAAGATYMFGIYSGDIKTVLGYDQTTLNLLSFFKDLGANVGVLSGLINEVTPPWVVLSIGAVLNFFGYFMIWMAVTKKIARPQVWHMCLYICIGANSQSFANTGSLVTCVKNFPESRGAVLGILKGYVGLSGAIITQFYYAFYYKDTKALILLIGWLPAAISFAFLRTIRIMKVTRRPNELKVFYNMLYISLGLAGFLMIMIIVEKSVTFNQSEYGASAAMVIFLLVLPLAVVIIEEFKIWEGKKVELNGGSDLKIVTEKPQPEVTSDDLSRPRETLATTTTEAEPPTASCWKTMFRPPDRGEDYTILQALFSIDMLILFMACICGVGGTLTAIDNLGQIGTSFGYPKRSASTFVSLVSIWNYLGRVMSGFGSEILLKRYKFPRPLMLTLTLLLSCVGHLLIAFNVPNGLYVASIIIGFCFGAQWPLLFAIISELFGLKYYSTLYNFGSSASPVGLYLLNVKLTGYLYDKEAKKQLAALGLERKAGEELNCAGGECFKLAFIVIAAATFFGTLVSLILVIRTRKFYKSDIYKKFREAAQAAETEMAMADQNGAKPIRN >ONI22319 pep chromosome:Prunus_persica_NCBIv2:G2:17841528:17845026:1 gene:PRUPE_2G121100 transcript:ONI22319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQSDSELGRFALLALFLMGAIFCCMVYLFLSIVSNPNSITVDSVSELGENGREVALGSEEEDEEDGECCGGIEHLELWGDAVRWGSQFKVNSSEECCVACKAMCGDQGGPCLCDSWVFCGNRVACGLRFGECWLKKQKDTLEPDRKDSGDLVMWTSGFIFGERELFPDCAPHSVAYILEMLQVPHYAGCHFYRAEDRGSFWDSLGNHVENAPFGPPFALIQGTLEAHGIIFKNIPTEVSHAVRRGSVAWIDSGPEFFISLANHFEWKKVYTVFGSVLPEDMEIAEKIAQLPSKQEVWSSINVSVLERPVDLWVRRIKSNS >ONI22318 pep chromosome:Prunus_persica_NCBIv2:G2:17841528:17845026:1 gene:PRUPE_2G121100 transcript:ONI22318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQSDSELGRFALLALFLMGAIFCCMVYLFLSIVSNPNSITVDSVSELGENGREVALGSEEEDEEDGECCGGIEHLELWGDAVRWGSQFKVNSSEECCVACKAMCGDQGGPCLCDSWVFCGNRVACGLRFGECWLKKQKDTLEPDRKDSGDLVMWTSGFIFGEREGIVGLETEYGVLRIKLFPDCAPHSVAYILEMLQVPHYAGCHFYRAEDRGSFWDSLGNHVENAPFGPPFALIQGTLEAHGIIFKNIPTEVSHAVRRGSVAWIDSGPEFFISLANHFEWKKVYTVFGSVLPEDMEIAEKIAQLPSKQEVWSSINVSVLERPVDLWVRRIKSNS >ONI22317 pep chromosome:Prunus_persica_NCBIv2:G2:17841528:17845026:1 gene:PRUPE_2G121100 transcript:ONI22317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQSDSELGRFALLALFLMGAIFCCMVYLFLSIVSNPNSITVDSVSELGENGREVALGSEEEDEEDGECCGGIEHLELWGDAVRWGSQFKVNSSEECCVACKAMCGDQGGPCLCDSWVFCGNRVACGLRFGECWLKKQKDTLEPDRKDSGDLVMWTSGFIFGEREGIVGLETEYGVLRIKLFPDCAPHSVAYILEMLQVPHYAGCHFYRAEDRGSFWDSLGNHVENAPFGPPFALIQGTLEAHGIIFKNIPTEVSHAVRRGSVAWIDSGPEFFISLANHFEWKKVYTVFGSVLPEDMEIAEKIAQLPSKQEVWSSINVSVLERPVDLWVRRIKSNS >ONI20597 pep chromosome:Prunus_persica_NCBIv2:G2:2399702:2400551:-1 gene:PRUPE_2G024100 transcript:ONI20597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTHLRGGLNMMFQLATTLGIFIANMVNYGTQKLEPWGWRLSLGLAIVPAIVMTVGGIFLHETPNSLIERGSKEEGRKLLERIKGIENVNAEFQDMLDASEFASAIKHPFRNILERRNRPQLVMAIFMPTFQILTGINSILFYAPVLFQSMGFGGNAALYSFALTGAVLFLSTLISTAIVDKLGRRVLLISDGIIMIICQFGENQELSKGYSVLVVAVICLFVVAFRWSWGPL >ONI24697 pep chromosome:Prunus_persica_NCBIv2:G2:26804778:26807195:-1 gene:PRUPE_2G256000 transcript:ONI24697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDWESLPEELLDLVFKRLVLHLDSLRFAAVCKSWYWVSKNKQINSVPMLLIPDRQKNTWNFCDVTNDKVLKMQLKWVKRRFCGSSKGWLIVCDENFVVTLINPSFRVKGRKKKKNSIIRLPPLNPPGKHHREACAKDCEYFVYKAAISADPISNANDCIVVVIYGAWCELAFIRLGDNKWTYFDRSCSIFEDVAQIGDKSYVLTAITRQLFIFEYTTQRILNINLVAAPLCETDIYIKAYLVGSNEEELLLVHRYETYTHHGRVTNEFRVFKLDDDKYAWIEKNDLGDFAFFVGDNSSISVVASKIQGCESNCIYFYDDFDLTLGYCSFTDFGVYNVKSQRILKPAHVMTLMKKLKQSPIWFLPSVYL >ONI25668 pep chromosome:Prunus_persica_NCBIv2:G2:29545356:29549075:1 gene:PRUPE_2G313700 transcript:ONI25668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSPRLQRFLLTKYHGDSLKTTANKSSLVNIKVRSRSCSAIAIDAPGSSLTDVAGIRWGSTSLQGAREEMEDGVVVRSDGLDGFSFAAVFDGHAGFNSVKFLRDELYKECCAALQGGLLLRGNDFKTIREALQETFEKVDAKLLNWLERNGEEDESGSTATVMFVENDTLVISHVGDSCVVQSCSGKAEVLTHPHRPYGSNKVSLQEIKRIREAGGWIVNGRICGDIAVSRAFGDMRFKTKKNEMLKKGVEERRWTEKFASRIQFSGDLVTASPDIFQVTFGKDSEFVLLASDGLWDYINSSDAVAFVRNQLRQHGDVQLACDALAQAALDQRSQDNISIVIADLGRTDWQGLPFQQQNFAYELGQAFATIGIVSLGIWMSTSLL >ONI21370 pep chromosome:Prunus_persica_NCBIv2:G2:7811780:7817337:1 gene:PRUPE_2G061600 transcript:ONI21370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQAHLSKDSKVEVCSNEDGYKGAWFPAIILDPQPSDRSPKKKRRSLGNSSKALVQYESLVSDDDPNKPLTELVDVRSIRPVPPPDNPDEPLEPADVVDASYRDAWWVGVVMRFEDDKYTVGFKNPPDLLELRRSELRPHWDLQEGIWVGAQKERMAGSIFSPGTAVEVNLNREHLFCAWFPAIYLGELGVNSFLLQYKSSNNYDVKVVVGVKQIRPQPPKLAERDFRLLEKVDAFCDMGWWVGVIKKVLTGNKYMVGFKFTEEVKQYSQSELRPRMYWTDGRWVTMINRKRVTMINGNWVPYFKKTKQQQVGGLDNRTIVSDKRKVKKGSEIENADEEDVEDEYGLDNIESSGIRSDSELTGGSHAGTSCLLSIEEVERNEDGVSSEIKGNGKLREYLVEHSKDPATGEKHNGTGVVAQVELTKTQAVNDNGSEDAMVEAETEATAIDIEKIIEGLSNPAGFSSKQNEVRGSQVETGVTGSEEGMKGSKTVDSAMDYSTKKVQVAVTRISATACAHDQPLSLCIDELHSVKAIECSSNPARTANQQNEVRGTQVEQQDSPHLPFVRSSPFWESIESMEIFKRFPQKPHFHPLVKCKAVCREGSALGNMITFASLVEKTSKLQVGDPRDLFDSNLEALVDLEMLGFDVKAVRHRLKELLEMKVKLGQLQNQSKEVEIQITESTLDRTRNNETISRIDKMMKHLQEKRAMLMSIDVAKGFEISKLQSEANAVTEGIQNIHRDFEKLTTAAW >ONI21372 pep chromosome:Prunus_persica_NCBIv2:G2:7812740:7817337:1 gene:PRUPE_2G061600 transcript:ONI21372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSIFSPGTAVEVNLNREHLFCAWFPAIYLGELGVNSFLLQYKSSNNYDVKVVVGVKQIRPQPPKLAERDFRLLEKVDAFCDMGWWVGVIKKVLTGNKYMVGFKFTEEVKQYSQSELRPRMYWTDGRWVTMINRKRVTMINGNWVPYFKKTKQQQVGGLDNRTIVSDKRKVKKGSEIENADEEDVEDEYGLDNIESSGIRSDSELTGGSHAGTSCLLSIEEVERNEDGVSSEIKGNGKLREYLVEHSKDPATGEKHNGTGVVAQVELTKTQAVNDNGSEDAMVEAETEATAIDIEKIIEGLSNPAGFSSKQNEVRGSQVETGVTGSEEGMKGSKTVDSAMDYSTKKVQVAVTRISATACAHDQPLSLCIDELHSVKAIECSSNPARTANQQNEVRGTQVEQQDSPHLPFVRSSPFWESIESMEIFKRFPQKPHFHPLVKCKAVCREGSALGNMITFASLVEKTSKLQVGDPRDLFDSNLEALVDLEMLGFDVKAVRHRLKELLEMKVKLGQLQNQSKEVEIQITESTLDRTRNNETISRIDKMMKHLQEKRAMLMSIDVAKGFEISKLQSEANAVTEGIQNIHRDFEKLTTAAW >ONI21371 pep chromosome:Prunus_persica_NCBIv2:G2:7811780:7817337:1 gene:PRUPE_2G061600 transcript:ONI21371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQAHLSKDSKVEVCSNEDGYKGAWFPAIILDPQPSDRSPKKKRRSLGNSSKALVQYESLVSDDDPNKPLTELVDVRSIRPVPPPDNPDEPLEPADVVDASYRDAWWVGVVMRFEDDKYTVGFKNPPDLLELRRSELRPHWDLQEGIWVGAQKERMAGSIFSPGTAVEVNLNREHLFCAWFPAIYLGELGVNSFLLQYKSSNNYDVKVVVGVKQIRPQPPKLAERDFRLLEKVDAFCDMGWWVGVIKKVLTGNKYMVGFKFTEEVKQYSQSELRPRMYWTDGRWVTMINRKRVTMINGNWVPYFKKTKQQQVGGLDNRTIVSDKRKVKKGSEIENADEEDVEDEYGLDNIESSGIRSDSELTGGSHAGTSCLLSIEEVERNEDGVSSEIKGNGKLREYLVEHSKDPATGEKHNGTGVVAQVELTKTQAVNDNGNPAGFSSKQNEVRGSQVETGVTGSEEGMKGSKTVDSAMDYSTKKVQVAVTRISATACAHDQPLSLCIDELHSVKAIECSSNPARTANQQNEVRGTQVEQQDSPHLPFVRSSPFWESIESMEIFKRFPQKPHFHPLVKCKAVCREGSALGNMITFASLVEKTSKLQVGDPRDLFDSNLEALVDLEMLGFDVKAVRHRLKELLEMKVKLGQLQNQSKEVEIQITESTLDRTRNNETISRIDKMMKHLQEKRAMLMSIDVAKGFEISKLQSEANAVTEGIQNIHRDFEKLTTAAW >ONI24812 pep chromosome:Prunus_persica_NCBIv2:G2:27143869:27148199:1 gene:PRUPE_2G263500 transcript:ONI24812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLAYDCLANPLGAVRSTFEKAIASGSDPASFDGRDWGAVDLFRHFLFEDDGLTQVPILNSATIRWVQPNTLVRFRGMIQDMLGNEFYVGAYKDGSVWRTNKFTDVPQFPMDTSPDMRLWERRMLYCIPVPGQNSWTESPSEAVMYRSMNSATQQREKRHRLDVEATDNMDCNVSDEGVECSPAKKLKEDGHLQSQESITEGTSSSMIMGPDVNRDSLPCLIKIYDCPESDLKLNEVFEFVGVFTFDPEFKVDKDECDDFTNGFSEDVLVHFPPNKVPRLHCFIHRKLAVHDFLPHSPTVEPKPNLVKEIREALLGHLTAILGNDGVAAHFMLLHLLSTVYSRVETVAVGKLSLNLTCFSKESVPVFGTPLRLAMKNLLPFTQCISLTVDYLNTASLAPRKDYETNRLITGALQLAEGSHLIFDETHLEAGTLNSVGVENARVLKTLMELQKVEYDFKFYKLDMAADIKMLILSEGKSNILPADIVLPFHPSSVGSSEVAAEALEAWRWYLATLRSLPHSIEPEMQKVIENDLVAARQEDRKLGTQDFSRLLTMGRLMSMSFGETSLSLEHWQMVKELERLRKERLK >ONI24813 pep chromosome:Prunus_persica_NCBIv2:G2:27143869:27148199:1 gene:PRUPE_2G263500 transcript:ONI24813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLAYDCLANPLGAVRSTFEKAIASGSDPASFDGRDWGAVDLFRHFLFEDDGLTQVPILNSATIRWVQPNTLVRFRGMIQDMLGNEFYVGAYKDGSVWRTNKFTDVPQFPMDTSPDMRLWERRMLYCIPVPGQNSWTESPSEAVMYRSMNSATQQREKRHRLDVEATDNMDCNVSDEGVECSPAKKLKEDGHLQSQESITEGTSSSMIMGPDVNRDSLPCLIKIYDCPESDLKLNEVFEFVGVFTFDPEFKVDKDECDDFTNGFSEDVLVHFPPNKPKPNLVKEIREALLGHLTAILGNDGVAAHFMLLHLLSTVYSRVETVAVGKLSLNLTCFSKESVPVFGTPLRLAMKNLLPFTQCISLTVDYLNTASLAPRKDYETNRLITGALQLAEGSHLIFDETHLEAGTLNSVGVENARVLKTLMELQKVEYDFKFYKLDMAADIKMLILSEGKSNILPADIVLPFHPSSVGSSEVAAEALEAWRWYLATLRSLPHSIEPEMQKVIENDLVAARQEDRKLGTQDFSRLLTMGRLMSMSFGETSLSLEHWQMVKELERLRKERLK >ONI24771 pep chromosome:Prunus_persica_NCBIv2:G2:27075471:27079415:1 gene:PRUPE_2G261800 transcript:ONI24771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGNSCFGSVFIAPFENDVFLKKIKKSPCNCFMVSNDSFKLNKVSCGIEKNPTSSRSSNSKNTIEEYNTAMKRMMRNPYEYHHDLGMNYTLITDDLIVGSQPQKPEDIDHLKEEENVAYILNLQQDKDVEYWGIDLQSIIKRCKELGIRHMRRPAKDFDPDSLRNCLPKAVSSLEWAISEGKGKVYVHCTAGLGRAPAVAIAYMYWFLGMNLNAAYDALTSKRPCGPNKRAIRGATYDLTKNDPWKESFENLPEYAFEDIADWERNLIRDHIYSLRGT >ONI24772 pep chromosome:Prunus_persica_NCBIv2:G2:27075471:27079415:1 gene:PRUPE_2G261800 transcript:ONI24772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGNSCFGSVFIAPFENDVFLKKIKKSPCNCFMVSNDSFKLNKVSCGIEKNPTSSRSSNSKNTIEEYNTAMKRMMRNPYEYHHDLGMNYTLITDDLIVGSQPQKPEDIDHLKEEENVAYILNLQQDKDVEYWGIDLQSIIKRCKELGIRHMRRPLNAAYDALTSKRPCGPNKRAIRGATYDLTKNDPWKESFENLPEYAFEDIADWERNLIRDHIYSLRGT >ONI20311 pep chromosome:Prunus_persica_NCBIv2:G2:761087:762307:1 gene:PRUPE_2G008600 transcript:ONI20311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFLAMLLCLLLASTPKAHASVFDVTSATYGAKPGSDVSTALTKAWSDACASPSASKVVVPSGTYKLKEATFKGPCKAPIEMQVQGTLQAPADAGQLTRPDTWVGFQYIDMLTLSGGGTFDGQGALSWNQNDCHKNKNCKPIPVNLRFEFLTNSKVQDITSLNSKFFHMHVFRCNHTTFQQLTITAPDESRNTDGIHIGASTAINITHSKIGTGDDCISIGDDSHEITVTDVTCGPGHGISIGSLGKYKEEKDVTGIIVKNCTLTNTENGVRIKTFPDSPSPSTASGIHYEDIIMVNVSNPILIDQLYCPYTQCEQKPPSKVKINNVSFKNIKGSSFTPLAVKLVCTTGKPCENVELTDIDLTYGGDKGPLTSMCSNVKPTISGVIKALGCATSSLAPLPLSKK >ONI25443 pep chromosome:Prunus_persica_NCBIv2:G2:29024581:29025531:1 gene:PRUPE_2G303700 transcript:ONI25443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFSHGNSSILQVLDRGEKIELLVDKTDNLRSQAQDFRTQGTKMKRKMWFQNMKIKLITCGDHHSHRLCDIFVNLPWF >ONI22709 pep chromosome:Prunus_persica_NCBIv2:G2:20256616:20262330:-1 gene:PRUPE_2G146200 transcript:ONI22709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKEGMSSGVRVGGVEAPSAYHGAPNAENPTRVAGMPVADVSAMNVGVDGARVVRAEAPSAYHGAPKTEDPTQVAGMPPAKVAETNVGDDGATVKRKRGRPRKYGPGGTVTMAMSQMPGSPPASGTGGNLSSGVRGRGRGRGRGRGSGRGRGRGRGRGWSDGSNAKQHEAPAMESGGDWSVVVTPSTDYTPHVITAEPGEDLTFKIISVAEQGPRAIWIISANGAVSNVRLRRPDTDEGSITLEGVFEIAHLSGSFMFYDNRGTMSGGLSVSLSGPNGSCIGGAVCGSLIAAGHVQFRTWQARCVTKGGDEPKLG >ONI22708 pep chromosome:Prunus_persica_NCBIv2:G2:20256616:20262297:-1 gene:PRUPE_2G146200 transcript:ONI22708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKEGMSSGVRVGGVEAPSAYHGAPNAENPTRVAGMPVADVSAMNVGVDGARVVRAEAPSAYHGAPKTEDPTQVAGMPPAKVAETNVGDDGATVKRKRGRPRKYGPGGTVTMAMSQMPGSPPASGTGGNLSSGVRGRGRGRGRGRGSGRGRGRGRGRGWSDGSNAKQHEAPAMESGGDWSVVVTPSTDYTPHVITAEPGEDLTFKIISVAEQGPRAIWIISANGAVSNVRLRRPDTDEGSITLEGVFEIAHLSGSFMFYDNRGTMSGGLSVSLSGPNGSCIGGAVCGSLIAAGHVQVVVGSFAPGKPDVLPKEVTNQNSGEPQPNLGSSPPGQSENRAPAS >ONI22710 pep chromosome:Prunus_persica_NCBIv2:G2:20257630:20261454:-1 gene:PRUPE_2G146200 transcript:ONI22710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKEGMSSGVRVGGVEAPSAYHGAPNAENPTRVAGMPVADVSAMNVGVDGARVVRAEAPSAYHGAPKTEDPTQVAGMPPAKVAETNVGDDGATVKRKRGRPRKYGPGGTVTMAMSQMPGSPPASGTGGNLSSGVRGRGRGRGRGRGSGRGRGRGRGRGWSDGSNAKQHEAPAMESGGDWSVVVTPSTDYTPHVITAEPGEDLTFKIISVAEQGPRAIWIISANGAVSNVRLRRPDTDEGSITLEVCHSFHFKTYIVGGVCECVFCIFA >ONI22707 pep chromosome:Prunus_persica_NCBIv2:G2:20256820:20261454:-1 gene:PRUPE_2G146200 transcript:ONI22707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKEGMSSGVRVGGVEAPSAYHGAPNAENPTRVAGMPVADVSAMNVGVDGARVVRAEAPSAYHGAPKTEDPTQVAGMPPAKVAETNVGDDGATVKRKRGRPRKYGPGGTVTMAMSQMPGSPPASGTGGNLSSGVRGRGRGRGRGRGSGRGRGRGRGRGWSDGSNAKQHEAPAMESGGDWSVVVTPSTDYTPHVITAEPGEDLTFKIISVAEQGPRAIWIISANGAVSNVRLRRPDTDEGSITLEGVFEIAHLSGSFMFYDNRGTMSGGLSVSLSGPNGSCIGGAVCGSLIAAGHVQVVVGSFAPGKPDVLPKEVTNQNSGEPQPNLGSSPPGQSENRAPAS >ONI23325 pep chromosome:Prunus_persica_NCBIv2:G2:22500295:22502243:-1 gene:PRUPE_2G182400 transcript:ONI23325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASSMATTAVFMPRLPAKPTRCSALPYLPPRLSSTTSSFPLKAFPESRRLSLQIRASSSEETSTSVDTGELLTDLKEKWDAVENKSTVILYGGGAIVAVWLSSIIVGAVNSVPLVRDFF >ONI23323 pep chromosome:Prunus_persica_NCBIv2:G2:22499528:22502344:-1 gene:PRUPE_2G182400 transcript:ONI23323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASSMATTAVFMPRLPAKPTRCSALPYLPPRLSSTTSSFPLKAFPESRRLSLQIRASSSEETSTSVDTGELLTDLKEKWDAVENKSTVILYGGGAIVAVWLSSIIVGAVNSVPLLPKVLELVGLGYTGWFVYRYLLFKSSRKELATDIEALKKKIAGTE >ONI23324 pep chromosome:Prunus_persica_NCBIv2:G2:22499600:22502341:-1 gene:PRUPE_2G182400 transcript:ONI23324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASSMATTAVFMPRLPAKPTRCSALPYLPPRLSSTTSSFPLKAFPESRRLSLQIRASSSEETSTSVDTGELLTDLKEKWDAVENKSTVILYGGGAIVAVWLSSIIVGAVNSVPLLPKVLELVGLGYTGWFVYRYLLFKATEKN >ONI23322 pep chromosome:Prunus_persica_NCBIv2:G2:22499600:22502341:-1 gene:PRUPE_2G182400 transcript:ONI23322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASSMATTAVFMPRLPAKPTRCSALPYLPPRLSSTTSSFPLKAFPESRRLSLQIRASSSEETSTSVDTGELLTDLKEKWDAVENKSTVILYGGGAIVAVWLSSIIVGAVNSVPLLPKVLELVGLGYTGWFVYRYLLFKSSRKELATDIEALKKKIAGTE >ONI23765 pep chromosome:Prunus_persica_NCBIv2:G2:24088229:24089095:-1 gene:PRUPE_2G206800 transcript:ONI23765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERDTNRPRGFGFITFGDRRAMEDAIREMHGRELGDRIISVNKAQPKMGGGGEDLDHGYRGGYSTGGRRNYGGGDRPVGQDECFKCGRPGHWARDCPSAGGGRGGGSFSSHSRFGAGGRGDRFGGDRDRYVDDRYDGGRYGERDRFDSREDKYGSRDRYVSDRYPAGDRFASDRYGGSDRYPQNGYGKDRGYDRDARGGSDRYGSGGPARDEGGNYRSRAGPYDRPSRGGRPSSFDRY >ONI23767 pep chromosome:Prunus_persica_NCBIv2:G2:24087963:24091638:-1 gene:PRUPE_2G206800 transcript:ONI23767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERDTNRPRGFGFITFGDRRAMEDAIREMHGRELGDRIISVNKAQPKMGGGGEDLDHGYRGGYSTGGRRNYGGGDRPVGQDECFKCGRPGHWARDCPSAGGGRGGGSFSSHSRFGAGGRGDRFGGDRDRYVDDRYDGGRYGERDRFDSREDKYGSRDRYVSDRYPAGDRFASDRYGGSDRYPQNGYGKDRGYDRDARGGSDRYGSGGPARDEGGNYRSRAGPYDRPSRGGRPSSFDRY >ONI23766 pep chromosome:Prunus_persica_NCBIv2:G2:24088229:24089095:-1 gene:PRUPE_2G206800 transcript:ONI23766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERDTNRPRGFGFITFGDRRAMEDAIREMHGRELGDRIISVNKAQPKMGGGGEDLDHGYRGGYSTGGRRNYGGGDRPVGQDECFKCGRPGHWARDCPSAGGGRGGGSFSSHSRFGAGGRGDRFGGDRDRYVDDRYDGGRYGERDRFDSREDKYGSRDRYVSDRYPAGDRFASDRYGGSDRYPQNGYGKDRGYDRDARGGSDRYGSGGPARDEGGNYRSRAGPYDRPSRGGRPSSFDRY >ONI23764 pep chromosome:Prunus_persica_NCBIv2:G2:24087963:24092793:-1 gene:PRUPE_2G206800 transcript:ONI23764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEDFRIFVGGLSWDVTERQLESAFQRFGKVHEAQIMMERDTNRPRGFGFITFGDRRAMEDAIREMHGRELGDRIISVNKAQPKMGGGGEDLDHGYRGGYSTGGRRNYGGGDRPVGQDECFKCGRPGHWARDCPSAGGGRGGGSFSSHSRFGAGGRGDRFGGDRDRYVDDRYDGGRYGERDRFDSREDKYGSRDRYVSDRYPAGDRFASDRYGGSDRYPQNGYGKDRGYDRDARGGSDRYGSGGPARDEGGNYRSRAGPYDRPSRGGRPSSFDRY >ONI23154 pep chromosome:Prunus_persica_NCBIv2:G2:21912676:21913641:1 gene:PRUPE_2G172700 transcript:ONI23154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSTSIEVEQNLCVIYLSYVLKSLCCRELLHYELVYNYSGSKHITESLKRCGISESSTYVLATYFNSSSDEIEQKAVEKL >ONI25365 pep chromosome:Prunus_persica_NCBIv2:G2:28785331:28789537:-1 gene:PRUPE_2G298200 transcript:ONI25365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSVKVVLGSIAFAVFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFRVLTPDQAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGKLLLWKTQGAKDLLCRICLISAISSALFTNDTSCVVLTEFVLKVAKQHNLPPHPFLLALASSANIGSAATPIGNPQNLVIAVQSKIPFGKFVIGILPAMLVGIFVNALILLCMFWRLLSVHKDEEDPAGEVVEEEDVSSHRFSPATLSHSASLNSQEWNSRLETADVKSSPNFSRNIDNVETLRNRLPSSENEINNVHSGALDSARNSIASKEEIVDVSSHKREETILSERSASNNTLRDACPTESLEGKENLTTRWKRLLWKSGVYLVTIGMLIALLMGLNMSWCAITAALALVVLDFTDARPSLEKVSYSLLIFFCGMFITVEGFNKTGIPSALWDFMEPHAHIDRATGIAVLALTILVLSNLASNVPTVLLLGARVAASAAAISAAEEKKAWLLLAWVSTVAGNLSLLGSAANLIVCEQARQSPYLAYTLSFWSHLKFGVPSTLLVTAIGLTLIR >ONI25363 pep chromosome:Prunus_persica_NCBIv2:G2:28785331:28788084:-1 gene:PRUPE_2G298200 transcript:ONI25363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSVKVVLGSIAFAVFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFRVLTPDQAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGKLLLWKTQGAKDLLCRICLISAISSALFTNDTSCVVLTEFVLKVAKQHNLPPHPFLLALASSANIGSAATPIGNPQNLVIAVQSKIPFGKFVIGILPAMLVGIFVNALILLCMFWRLLSVHKDEEDPAGEVVEEEDVSSHRFSPATLSHSASLNSQEWNSRLETADVKSSPNFSRNIDNVETLRNRLPSSENEINNVHSGALDSARNSIASKEEIVDVSSHKREETILSERSASNNTLRDACPTESLEGKENLTTRWKRLLWKSGVYLVTIGMLIALLMGLNMSWCAITAALALVVLDFTDARPSLEKVSYSLLIFFCGMFITVEGFNKTGIPSALWDFMEPHAHIDRATGIAVLALTILVLSNLASNVPTVLLLGARVAASAAAISAAEEKKAWLLLAWVSTVAGNLSLLGSAANLIVCEQARQSPYLAYTLSFWSHLKFGVPSTLLVTAIGLTLIR >ONI25364 pep chromosome:Prunus_persica_NCBIv2:G2:28785372:28788526:-1 gene:PRUPE_2G298200 transcript:ONI25364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSVKVVLGSIAFAVFWVLAVFPAVPFLPIGRTAGSLLGAMLMVIFRVLTPDQAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGKLLLWKTQGAKDLLCRICLISAISSALFTNDTSCVVLTEFVLKVAKQHNLPPHPFLLALASSANIGSAATPIGNPQNLVIAVQSKIPFGKFVIGILPAMLVGIFVNALILLCMFWRLLSVHKDEEDPAGEVVEEEDVSSHRFSPATLSHSASLNSQEWNSRLETADVKSSPNFSRNIDNVETLRNRLPSSENEINNVHSGALDSARNSIASKEEIVDVSSHKREETILSERSASNNTLRDACPTESLEGKENLTTRWKRLLWKSGVYLVTIGMLIALLMGLNMSWCAITAALALVVLDFTDARPSLEKVSYSLLIFFCGMFITVEGFNKTGIPSALWDFMEPHAHIDRATGIAVLALTILVLSNLASNVPTVLLLGARVAASAAAISAAEEKKAWLLLAWVSTVAGNLSLLGSAANLIVCEQARQSPYLAYTLSFWSHLKFGVPSTLLVTAIGLTLIR >ONI21852 pep chromosome:Prunus_persica_NCBIv2:G2:14844208:14847521:1 gene:PRUPE_2G093700 transcript:ONI21852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCRLCVLVIVSVLLFGPAAFFSFFLFVPFSRISIPSITKSHGKCIPSACGNIHNIISPFRLANYPNQSKCTNWNYHYLFCHNNLTVLTVDWGNYSVQAINYDNFTIRVVDPGIRNNDFSSIPRYSLSIYNLTYSHLRLTSSTTPITFFKCAKAENSSVMRTYNYVKQGNITASDMEDGCRIEWTTLMSKSFLYGKDRNFSYHDIHNALGYGFELQFGVPRFSYISDIDLLLTFGAFFPIRSIFGFPFLAALLIYKQRRMHLSMYSNIEDFLQSDNNLSPIRYSYSDIKKMTSRFNEKLGEGGYGTVFKGKLRSGRFVAVKMLEKPKANGQDFISEVATIGRIHHFNMVQLVGYCVEGSKRALIYNFMPNGSLDKYIYCKEGSNPLSCRKMYEISLGVAQGIEYLHRGCDMQILHFDIKPHNILLDENFIPKISDFGLAKLYPVGNTIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASRRKNLNASIEHSSQIYFPRWVSDQFCMGKEFEMDDATEEEKKIIKKMIITALWCIQLKPSDRPSMNKVIEMLEGEVECLQLPPKLLLYPQQEMPRDNLHGNSNPMCSNTEITCNTLSAT >ONI21851 pep chromosome:Prunus_persica_NCBIv2:G2:14844208:14847521:1 gene:PRUPE_2G093700 transcript:ONI21851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCRLCVLVIVSVLLFGPAAFFSFFLFVPFSRISIPSITKSHGKCIPSACGNIHNIISPFRLANYPNQSKCTNWNYHYLFCHNNLTVLTVDWGNYSVQAINYDNFTIRVVDPGIRNNDFSSIPRYSLSIYNLTYSHLRLTSSTTPITFFKCAKAENSSVMRTYNYVKQGNITASDMEDGCRIEWTTLMSKSFLYGKDRNFSYHDIHNALGYGFELQFGVPRFSYISDIDLLLTFGIELLLSYGAFFPIRSIFGFPFLAALLIYKQRRMHLSMYSNIEDFLQSDNNLSPIRYSYSDIKKMTSRFNEKLGEGGYGTVFKGKLRSGRFVAVKMLEKPKANGQDFISEVATIGRIHHFNMVQLVGYCVEGSKRALIYNFMPNGSLDKYIYCKEGSNPLSCRKMYEISLGVAQGIEYLHRGCDMQILHFDIKPHNILLDENFIPKISDFGLAKLYPVGNTIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASRRKNLNASIEHSSQIYFPRWVSDQFCMGKEFEMDDATEEEKKIIKKMIITALWCIQLKPSDRPSMNKVIEMLEGEVECLQLPPKLLLYPQQEMPRDNLHGNSNPMCSNTEITCNTLSAT >ONI22792 pep chromosome:Prunus_persica_NCBIv2:G2:20634944:20636714:-1 gene:PRUPE_2G151500 transcript:ONI22792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFSLNFIKGRGIIKLEFLRETNQRQPVLLIGGKRVGIVGLGNIGLEVAKRLEAFGCNILYNSRKKKPFVSYPFFPDVCELSADSDVLVICCGLNAQTHHMINKKVLLALGREGVIVNVGRGAIIDEKEMVQCLVRGEIGGAVLDVFENEPHVPKELFALDNVVLSPHHAGLTPECFTALRELVVGNLEAFFSNKPLLSQVENN >ONI20492 pep chromosome:Prunus_persica_NCBIv2:G2:1858674:1863779:1 gene:PRUPE_2G019000 transcript:ONI20492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDNDFTFCQVGSPGNQDGLETKKLTSDIENIAIKDGLSNGTNSNQNRGFLWQSGLPLGATSEKQETVGSLSVGVIDASSTNQKSELPKQPSSGGAGKSVKPVPRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISINEVKQHQKGDSMWTVLKGRVYNLSPYMRFHPGGVDMLKKAVGKDCTSLFNKYHAWVNAEFLLEKCLVGTLDDGEGQHFNH >ONI20493 pep chromosome:Prunus_persica_NCBIv2:G2:1857946:1863779:1 gene:PRUPE_2G019000 transcript:ONI20493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDNDFTFCQVGSPGNQDGLETKKLTSDIENIAIKDGLSNGTNSNQNRGFLWQSGLPLGATSEKQETVGSLSVGVIDASSTNQKSELPKQPSSGGAGKSVKPVPRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISINEVKQHQKGDSMWTVLKGRVYNLSPYMRFHPGGVDMLKKAVGKDCTSLFNKYHAWVNAEFLLEKCLVGTLDDGEGQHFNH >ONI25456 pep chromosome:Prunus_persica_NCBIv2:G2:29063650:29067476:-1 gene:PRUPE_2G304400 transcript:ONI25456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQPLSIESFSYSWLVNLKPSLESLDNSLRTSLDASDESSFIEMDPTMSPSKRFFMNSQQDFKFDFPAVSQSPLTTLVHADELISNGYLLPLSVDSLKNMEAYDHEASKSTGANFPASASHSARDVDPTDNSRDSSNSLRRCRRLSRRILEKYLNFLRPLYKKIRGDHHHHHHHKANPKAGFSADKRSHSVKNCRVHSSDTSQSPRISVAYSADDWRRSCDSESSIYEAVLHCKRSIGN >ONI25454 pep chromosome:Prunus_persica_NCBIv2:G2:29063554:29065555:-1 gene:PRUPE_2G304400 transcript:ONI25454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQPLSIESFSYSWLVNLKPSLESLDNSLRTSLDASDESSFIEMDPTMSPSKRFFMNSQQDFKFDFPAVSQSPLTTLVHADELISNGYLLPLSVDSLKNMEAYDHEASKSTGANFPASASHSARDVDPTDNSRDSSNSLRRCRRLSRRILEKYLNFLRPLYKKIRGDHHHHHHHKANPKAGFSADKRSHSVKNCRVHSSDTSQSPRISVAYSADDWRRSCDSESSIYEAVLHCKRSIGN >ONI25455 pep chromosome:Prunus_persica_NCBIv2:G2:29063575:29066247:-1 gene:PRUPE_2G304400 transcript:ONI25455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQPLSIESFSYSWLVNLKPSLESLDNSLRTSLDASDESSFIEMDPTMSPSKRFFMNSQQDFKFDFPAVSQSPLTTLVHADELISNGYLLPLSVDSLKNMEAYDHEASKSTGANFPASASHSARDVDPTDNSRDSSNSLRRCRRLSRRILEKYLNFLRPLYKKIRGDHHHHHHHKANPKAGFSADKRSHSVKNCRVHSSDTSQSPRISVAYSADDWRRSCDSESSIYEAVLHCKRSIGN >ONI25453 pep chromosome:Prunus_persica_NCBIv2:G2:29063687:29067476:-1 gene:PRUPE_2G304400 transcript:ONI25453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKTTSRASGTRTPRAFSPSNPPNSRVRDCLKTRWIRLFTQFSIPARPQFNNKVEAMEASQPLSIESFSYSWLVNLKPSLESLDNSLRTSLDASDESSFIEMDPTMSPSKRFFMNSQQDFKFDFPAVSQSPLTTLVHADELISNGYLLPLSVDSLKNMEAYDHEASKSTGANFPASASHSARDVDPTDNSRDSSNSLRRCRRLSRRILEKYLNFLRPLYKKIRGDHHHHHHHKANPKAGFSADKRSHSVKNCRVHSSDTSQSPRISVAYSADDWRRSCDSESSIYEAVLHCKRSIGN >ONI21282 pep chromosome:Prunus_persica_NCBIv2:G2:7073505:7076878:-1 gene:PRUPE_2G057600 transcript:ONI21282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHNIGLWLNNYYIFFSFLVRTTITYLSMLCSFAWLDLFKFGSTGRYETTFINNIVDGILSQVLSRTYWNVAKHPVGIHSRVQDVKKLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAHKFEGSCFLSNVRENSMSDGDLIKLQEILLHKILGGEWKIHSVDEGIGVIKERLSHKKILLILDDVNQLKQLDNLAGVGWFGEGSRVITTTQDSGLLKCHGIDLIYEVQKLYRNQALELFSFCAFGTNKPPKDYLELAQRALEYAQGVPLALTILGSHLRNKDKDRWQDILNSYEGEPYTGIQKILQKSYDALENSMQQFFLDIACFFKGKKKDYVLQIVSNSKNKVSRDCIEVLIQKAMITIDYGTIQMHDLLEKLGKDIVHKESPNDPGKRSRLWFYEDVEQVLTESTGTRNIKGIIVKLPEPAEITLNPECFCNMVNLEIFINRNASLCGHINYLPNALRLIDWDRCQLQSLPPNFQGNRLVEFNMPRSHIRQLDGFNFKHLSKLTSMNLRGCQFLEKIPDLSGIPNIKYLNLRECTLLFEVDGSVGFLDKLVELDLGGCFNLTRFGTRLRLKSLKKLYLNNCKRLESFPEIEVEMESLQILDMQESGIRELPPSIAYLTGLQKLNLGGCFNLTRFATLGLKSLELLDLCGCKRLESFPEIEVEMESLRILYISGSGVRELPSSIAYLTGLSHLFAAYCENLTITFNSQVSSSNSELQLLPNLFQFSLTGCNLSKIDLLLHLDCWSTLTELDLSGNNFVNLPRCFSKFVNLRTLDLSYCKSLLEIPEQVLPPGIESVSLYNCTSLEKIPKLAWVLLDNCTSLEKIPELPRKDDNMYLSLINCVRLRGYDITENIFLNQVSVSSSRSHFDIRLPGDEVPKWFSCRKGASIVRPYLVTGPGTKKYNSGCEVIFEIPPNLKWETLRLVLCVVTQADVKILLNGKLVNEKHIASWESHVQLTSIPLLDKYAYEFEEPLTKQGNTCQVIFDLFVKVPTPVKIPCGVHLLGHQVADVSETAVVDHGPT >ONI21280 pep chromosome:Prunus_persica_NCBIv2:G2:7072506:7080533:-1 gene:PRUPE_2G057600 transcript:ONI21280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKSLFRCIGSWATQPQLPSDLPPMTTQPVSSSSSFSYDVFLSFRGGDTRFNFTDHLHKALVRKGIWTFIDRELVRGEEISPALVKAIEESRISLIVFSEKYASSRWCLDELVKILQCKQSKQQVVLPIFYKVDPSHVRNQKSKFGDAFEELIKRKFKNDKEKVLIWREALTEAANLSGHTFKDGEYETTFINNIVDGILSQVLSRTYWNVAKHPVGIHSRVQDVKKLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAHKFEGSCFLSNVRENSMSDGDLIKLQEILLHKILGGEWKIHSVDEGIGVIKERLSHKKILLILDDVNQLKQLDNLAGVGWFGEGSRVITTTQDSGLLKCHGIDLIYEVQKLYRNQALELFSFCAFGTNKPPKDYLELAQRALEYAQGVPLALTILGSHLRNKDKDRWQDILNSYEGEPYTGIQKILQKSYDALENSMQQFFLDIACFFKGKKKDYVLQIVSNSKNKVSRDCIEVLIQKAMITIDYGTIQMHDLLEKLGKDIVHKESPNDPGKRSRLWFYEDVEQVLTESTGTRNIKGIIVKLPEPAEITLNPECFCNMVNLEIFINRNASLCGHINYLPNALRLIDWDRCQLQSLPPNFQGNRLVEFNMPRSHIRQLDGFNFKHLSKLTSMNLRGCQFLEKIPDLSGIPNIKYLNLRECTLLFEVDGSVGFLDKLVELDLGGCFNLTRFGTRLRLKSLKKLYLNNCKRLESFPEIEVEMESLQILDMQESGIRELPPSIAYLTGLQKLNLGGCFNLTRFATLGLKSLELLDLCGCKRLESFPEIEVEMESLRILYISGSGVRELPSSIAYLTGLSHLFAAYCENLTITFNSQVSSSNSELQLLPNLFQFSLTGCNLSKIDLLLHLDCWSTLTELDLSGNNFVNLPRCFSKFVNLRTLDLSYCKSLLEIPEQVLPPGIESVSLYNCTSLEKIPKLAWVLLDNCTSLEKIPELPRKDDNMYLSLINCVRLRGYDITENIFLNQVSVSSSRSHFDIRLPGDEVPKWFSCRKGASIVRPYLVTGPGTKKYNSGCEVIFEIPPNLKWETLRLVLCVVTQADVKILLNGKLVNEKHIASWESHVQLTSIPLLDKYAYEFEEPLTKQGNTCQVIFDLFVKVPTPVKIPCGVHLLGHQVADVSETAVVDHGPT >ONI21279 pep chromosome:Prunus_persica_NCBIv2:G2:7072550:7080854:-1 gene:PRUPE_2G057600 transcript:ONI21279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKSLFRCIGSWATQPQLPSDLPPMTTQPVSSSSSFSYDVFLSFRGGDTRFNFTDHLHKALVRKGIWTFIDRELVRGEEISPALVKAIEESRISLIVFSEKYASSRWCLDELVKILQCKQSKQQVVLPIFYKVDPSHVRNQKSKFGDAFEELIKRKFKNDKEKVLIWREALTEAANLSGHTFKDGEYETTFINNIVDGILSQVLSRTYWNVAKHPVGIHSRVQDVKKLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAHKFEGSCFLSNVRENSMSDGDLIKLQEILLHKILGGEWKIHSVDEGIGVIKERLSHKKILLILDDVNQLKQLDNLAGVGWFGEGSRVITTTQDSGLLKCHGIDLIYEVQKLYRNQALELFSFCAFGTNKPPKDYLELAQRALEYAQGVPLALTILGSHLRNKDKDRWQDILNSYEGEPYTGIQKILQKSYDALENSMQQFFLDIACFFKGKKKDYVLQIVSNSKNKVSRDCIEVLIQKAMITIDYGTIQMHDLLEKLGKDIVHKESPNDPGKRSRLWFYEDVEQVLTESTGTRNIKGIIVKLPEPAEITLNPECFCNMVNLEIFINRNASLCGHINYLPNALRLIDWDRCQLQSLPPNFQGNRLVEFNMPRSHIRQLDGFNFKHLSKLTSMNLRGCQFLEKIPDLSGIPNIKYLNLRECTLLFEVDGSVGFLDKLVELDLGGCFNLTRFGTRLRLKSLKKLYLNNCKRLESFPEIEVEMESLQILDMQESGIRELPPSIAYLTGLQKLNLGGCFNLTRFATLGLKSLELLDLCGCKRLESFPEIEVEMESLRILYISGSGVRELPSSIAYLTGLSHLFAAYCENLTITFNSQVSSSNSELQLLPNLFQFSLTGCNLSKIDLLLHLDCWSTLTELDLSGNNFVNLPRCFSKFVNLRTLDLSYCKSLLEIPEQVLPPGIESVSLYNCTSLEKIPKLAWVLLDNCTSLEKIPELPRKDDNMYLSLINCVRLRGYDITENIFLNQVSVSSSRSHFDIRLPGDEVPKWFSCRKGASIVRPYLVTGPGTKKYNSGCEVIFEIPPNLKWETLRLVLCVVTQADVKILLNGKLVNEKHIASWESHVQLTSIPLLDKYAYEFEEPLTKQGNTCQVIFDLFVKVPTPVKIPCGVHLLGHQVADVSETAVVDHGPT >ONI21283 pep chromosome:Prunus_persica_NCBIv2:G2:7073505:7076878:-1 gene:PRUPE_2G057600 transcript:ONI21283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHNIGLWLNNYYIFFSFLVRTTITYLSMLCSFAWLDLFKFGSTGRYETTFINNIVDGILSQVLSRTYWNVAKHPVGIHSRVQDVKKLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAHKFEGSCFLSNVRENSMSDGDLIKLQEILLHKILGGEWKIHSVDEGIGVIKERLSHKKILLILDDVNQLKQLDNLAGVGWFGEGSRVITTTQDSGLLKCHGIDLIYEVQKLYRNQALELFSFCAFGTNKPPKDYLELAQRALEYAQGVPLALTILGSHLRNKDKDRWQDILNSYEGEPYTGIQKILQKSYDALENSMQQFFLDIACFFKGKKKDYVLQIVSNSKNKVSRDCIEVLIQKAMITIDYGTIQMHDLLEKLGKDIVHKESPNDPGKRSRLWFYEDVEQVLTESTGTRNIKGIIVKLPEPAEITLNPECFCNMVNLEIFINRNASLCGHINYLPNALRLIDWDRCQLQSLPPNFQGNRLVEFNMPRSHIRQLDGFNFKHLSKLTSMNLRGCQFLEKIPDLSGIPNIKYLNLRECTLLFEVDGSVGFLDKLVELDLGGCFNLTRFGTRLRLKSLKKLYLNNCKRLESFPEIEVEMESLQILDMQESGIRELPPSIAYLTGLQKLNLGGCFNLTRFATLGLKSLELLDLCGCKRLESFPEIEVEMESLRILYISGSGVRELPSSIAYLTGLSHLFAAYCENLTITFNSQVSSSNSELQLLPNLFQFSLTGCNLSKIDLLLHLDCWSTLTELDLSGNNFVNLPRCFSKFVNLRTLDLSYCKSLLEIPEQVLPPGIESVSLYNCTSLEKIPKLAWVLLDNCTSLEKIPELPRKDDNMYLSLINCVRLRGYDITENIFLNQVSVSSSRSHFDIRLPGDEVPKWFSCRKGASIVRPYLVTGPGTKKYNSGCEVIFEIPPNLKWETLRLVLCVVTQADVKILLNGKLVNEKHIASWESHVQLTSIPLLDKYAYEFEEPLTKQGNTCQVIFDLFVKVPTPVKIPCGVHLLGHQVADVSETAVVDHGPT >ONI21281 pep chromosome:Prunus_persica_NCBIv2:G2:7072506:7080854:-1 gene:PRUPE_2G057600 transcript:ONI21281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKSLFRCIGSWATQPQLPSDLPPMTTQPVSSSSSFSYDVFLSFRGGDTRFNFTDHLHKALVRKGIWTFIDRELVRGEEISPALVKAIEESRISLIVFSEKYASSRWCLDELVKILQCKQSKQQVVLPIFYKVDPSHVRNQKSKFGDAFEELIKRKFKNDKEKVLIWREALTEAANLSGHTFKDGEYETTFINNIVDGILSQVLSRTYWNVAKHPVGIHSRVQDVKKLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAHKFEGSCFLSNVRENSMSDGDLIKLQEILLHKILGGEWKIHSVDEGIGVIKERLSHKKILLILDDVNQLKQLDNLAGVGWFGEGSRVITTTQDSGLLKCHGIDLIYEVQKLYRNQALELFSFCAFGTNKPPKDYLELAQRALEYAQGVPLALTILGSHLRNKDKDRWQDILNSYEGEPYTGIQKILQKSYDALENSMQQFFLDIACFFKGKKKDYVLQIVSNSKNKVSRDCIEVLIQKAMITIDYGTIQMHDLLEKLGKDIVHKESPNDPGKRSRLWFYEDVEQVLTESTGTRNIKGIIVKLPEPAEITLNPECFCNMVNLEIFINRNASLCGHINYLPNALRLIDWDRCQLQSLPPNFQGNRLVEFNMPRSHIRQLDGFNFKHLSKLTSMNLRGCQFLEKIPDLSGIPNIKYLNLRECTLLFEVDGSVGFLDKLVELDLGGCFNLTRFGTRLRLKSLKKLYLNNCKRLESFPEIEVEMESLQILDMQESGIRELPPSIAYLTGLQKLNLGGCFNLTRFATLGLKSLELLDLCGCKRLESFPEIEVEMESLRILYISGSGVRELPSSIAYLTGLSHLFAAYCENLTITFNSQVSSSNSELQLLPNLFQFSLTGCNLSKIDLLLHLDCWSTLTELDLSGNNFVNLPRCFSKFVNLRTLDLSYCKSLLEIPEQVLPPGIESVSLYNCTSLEKIPKLAWVLLDNCTSLEKIPELPRKDDNMYLSLINCVRLRGYDITENIFLNQVSVSSSRSHFDIRLPGDEVPKWFSCRKGASIVRPYLVTGPGTKKYNSGCEVIFEIPPNLKWETLRLVLCVVTQADVKILLNGKLVNEKHIASWESHVQLTSIPLLDKYAYEFEEPLTKQGNTCQVIFDLFVKVPTPVKIPCGVHLLGHQVADVSETAVVDHGPT >ONI21362 pep chromosome:Prunus_persica_NCBIv2:G2:7764556:7769729:1 gene:PRUPE_2G061300 transcript:ONI21362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNCLQAAELTTSGRPVLLPTEIECSLLSAVDLECEDLPNFPHLKSGLLILTTHRLLWLPESTAASSASAIPLAAITHIFSAKKSIKSMFASPRLRFQLSVSPDGRVSDSGSGSRSVVVTVVIRGKGDLDAFLNKLWDSWRGRAWEIVHEQAGSDVASGSGSSSGMYTREGAVRMVGVSGILRKEQEMWESTDKSLQDAFQDLNALMLADFVRLPLERSGGMMNLIDIYCLFNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVMVIQNKSHSDEEVFGRIKTLVTKPDALRTGISASDAAITLGIAPGMAKEHLLTAESKGLLCRDISPDGFRFYVNLFPEIDPNDMFSVKDYGIYSTWLRAACASG >ONI21363 pep chromosome:Prunus_persica_NCBIv2:G2:7764556:7769729:1 gene:PRUPE_2G061300 transcript:ONI21363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNCLQAAELTTSGRPVLLPTEIECSLLSAVDLECEDLPNFPHLKSGLLILTTHRLLWLPESTAASSASAIPLAAITHIFSAKKSIKSMFASPRLRFQLSVSPDGRVSDSGSGSRSVVVTVVIRGKGDLDAFLNKLWDSWRGRAWEIVHEQAGSDVASGSGSSSGMYTREGAVRMVGVSGILRKEQEMWESTDKSLQDAFQDLNALMVFGRIKTLVTKPDALRTGISASDAAITLGIAPGMAKEHLLTAESKGLLCRDISPDGFRFYVNLFPEIDPNDMFSVKDYGIYSTWLRAACASG >ONI21361 pep chromosome:Prunus_persica_NCBIv2:G2:7764556:7769729:1 gene:PRUPE_2G061300 transcript:ONI21361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNCLQAAELTTSGRPVLLPTEIECSLLSAVDLECEDLPNFPHLKSGLLILTTHRLLWLPESTAASSASAIPLAAITHIFSAKKSIKSMFASPRLRFQLSVSPDGRVSDSGSGSRSVVVTVVIRGKGDLDAFLNKLWDSWRGRAWEIVHEQAGSDVASGSGSSSGMYTREGAVRMVGVSGILRKEQEMWESTDKSLQDAFQDLNALMSKAKEMVMLAEKMRQKLLSGSTSQPSAENDEELGSKQEMQDWLLSVGIISPVTKESAGAMYHQQLSRQLADFVRLPLERSGGMMNLIDIYCLFNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVMVIQNKSHSDEEVFGRIKTLVTKPDALRTGISASDAAITLGIAPGMAKEHLLTAESKGLLCRDISPDGFRFYVNLFPEIDPNDMFSVKDYGIYSTWLRAACASG >ONI25474 pep chromosome:Prunus_persica_NCBIv2:G2:29115256:29117142:1 gene:PRUPE_2G305500 transcript:ONI25474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTISVSQFIIFSSMPSSIFPRYPTPYPSLLPKITPYPLTHSSRKLSVPVFSKPSEAEEELSAPEDEWLKRLPDKKKPLYSHSLPCIEAWLRNLGFYQSKEDRAVWLVEKPEWQAQLSLDVTDLYVRYLKTGPGNLEKDVERRFSYALSREDIENAVLGGP >ONI22950 pep chromosome:Prunus_persica_NCBIv2:G2:21216067:21223432:1 gene:PRUPE_2G160000 transcript:ONI22950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNAARFAASSLTRSTRRFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYARFMESYRSLEKNTKGQPEGVVALAKWRILNRLHDRNETLYYRVLIDNIQDFAPVIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAHQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDRLYLGLRQRRLEGEEYISIVDEFMEAVHTRWPKAIVQFEDFQMKWAFETLQRYRKRFCTFNDDIQGTAGVALAGLLGAVRAQGRPLADFVKQKIVVVGAGSAGLGVLNMAVQAVARMAGNGEAAAKYHFFLIDKDGLVTKERKNLDPMAAPFAKEPGAIDGLMEGASLVEVVKKVKPHVLLGLSGVGGVFSQEVLQAMRESDSAKPAIFAMSNPTMNAECTAEDAFKHAGENIVFGSGSPFDNVVLGNGKVGHVNQANNMYLFPGIGLGALLAGARLISDGMLQAASECLASYITDEDIRKGILYPSIHSIRHLTVEVGAAVLRAAVAEELAEGHCEVGPRELMNMSKEETLEYVTRNMWFPVYSPLVHEK >ONI22948 pep chromosome:Prunus_persica_NCBIv2:G2:21215802:21223432:1 gene:PRUPE_2G160000 transcript:ONI22948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNAARFAASSLTRSTRRFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYARFMESYRSLEKNTKGQPEGVVALAKWRILNRLHDRNETLYYRVLIDNIQDFAPVIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAHQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDRLYLGLRQRRLEGEEYISIVDEFMEAVHTRWPKAIVQFEDFQMKWAFETLQRYRKRFCTFNDDIQGTAGVALAGLLGAVRAQGRPLADFVKQKIVVVGAGSAGLGVLNMAVQAVARMAGNGEAAAKYHFFLIDKDGLVTKERKNLDPMAAPFAKEPGAIDGLMEGASLVEVVKKVKPHVLLGLSGVGGVFSQEVLQAMRESDSAKPAIFAMSNPTMNAECTAEDAFKHAGENIVFGSGSPFDNVVLGNGKVGHVNQANNMYLFPGIGLGALLAGARLISDGMLQAASECLASYITDEDIRKGILYPSIHSIRHLTVEVGAAVLRAAVAEELAEGHCEVGPRELMNMSKEETLEYVTRNMWFPVYSPLVHEK >ONI22949 pep chromosome:Prunus_persica_NCBIv2:G2:21215802:21223432:1 gene:PRUPE_2G160000 transcript:ONI22949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNAARFAASSLTRSTRRFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYARFMESYRSLEKNTKGQPEGVVALAKWRILNRLHDRNETLYYRVLIDNIQDFAPVIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAHQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDRLYLGLRQRRLEGEEYISIVDEFMEAVHTRWPKAIVQFEDFQMKWAFETLQRYRKRFCTFNDDIQGTAGVALAGLLGAVRAQGRPLADFVKQKIVVVGAGSAGLGVLNMAVQAVARMAGNGEAAAKYHFFLIDKDGLVTKERKNLDPMAAPFAKEPGAIDGLMEGASLVEVVKKVKPHVLLGLSGVGGVFSQEVLQAMRESDSAKPAIFAMSNPTMNAECTAEDAFKHAGENIVFGSGSPFDNVVLGNGKVGHVNQANNMYLFPGIGLGALLAGARLISDGMLQAASECLASYITDEDIRKGILYPSIHSIRHLTVEVGAAVLRAAVAEELAEGHCEVGPRELMNMSKEETLEYVTRNMWFPVYSPLVHEK >ONI24044 pep chromosome:Prunus_persica_NCBIv2:G2:24907744:24910390:1 gene:PRUPE_2G220800 transcript:ONI24044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDKVIEKEEGLPPALLGSCNDRAKQLHASPSGRLLTALVCEYLDWAQLNHTLKVYQPECNLQKDSWKAELKDFSSKNGYDLNRNGDSGPLLLDVLEGFLKFENLSQARGTGRRLATSETESLSNLDSRNMRRPSSSSVAGGLPPLGRPGASSQSSDRRGGSSMSSYRKDDYNWRYDSDETSSAMENLQLDRKARNLTTSWRHAGDGISEDEGRSDQM >ONI24043 pep chromosome:Prunus_persica_NCBIv2:G2:24907714:24910411:1 gene:PRUPE_2G220800 transcript:ONI24043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDKVIEKEEGLPPALLGSCNDRAKQLHASPSGRLLTALVCEYLDWAQLNHTLKVYQPECNLQKDSWKAELKDFSSKNGYDLNRNGDSGPLLLDVLEGFLKFENLSQARGTGRRLATSETESLSNLDSRNMRRPSSSSVAGGLPPLGRPGASSQSSDRRGGSSMSSYRKDDYNWRYDSDESPEDVIRASSAMENLQLDRKARNLTTSWRHAGDGISEDEGRSDQM >ONI24160 pep chromosome:Prunus_persica_NCBIv2:G2:25333313:25338176:-1 gene:PRUPE_2G227500 transcript:ONI24160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPVTPDCDEERSLLQESSNVEGSWRLNFDGFQVSSEHKEKRPPRGLHDCLGVLGPEDNVAEYYQQQVEMLEGFTEMDALAERGFIPGMSKEEQEKLANSETFAIRISNVANMVLFAAKVYASLRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESIRTLASDLALYTIRTWSLTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPADMPLQVAHDIGETLQEKLELLPEIERAFVHLDYEFSHKPEHAQSHS >ONI24163 pep chromosome:Prunus_persica_NCBIv2:G2:25334859:25338012:-1 gene:PRUPE_2G227500 transcript:ONI24163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPVTPDCDEERSLLQESSNVEGSWRLNFDGFQVSSEHKEKRPPRGLHDCLGVLGPEDNVAEYYQQQVEMLEGFTEMDALAERGFIPGMSKEEQEKLANSETFAIRISNVANMVLFAAKVYASLRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESIRTLASDEDGFSLTKDQERWVVGIMLSVTLVKLLLMLYCRTFKNEIVKAYAQDHFFDVITNIIGLVAVLLAKYFDDWMDPVGAVIGAKSCIFMKGLE >ONI24162 pep chromosome:Prunus_persica_NCBIv2:G2:25333313:25338176:-1 gene:PRUPE_2G227500 transcript:ONI24162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFAAKVYASLRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESIRTLASDEDGFSLTKDQERWVVGIMLSVTLVKLLLMLYCRTFKNEIVKAYAQDHFFDVITNIIGLVAVLLAKYFDDWMDPVGAVILALYTIRTWSLTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPADMPLQVAHDIGETLQEKLELLPEIERAFVHLDYEFSHKPEHAQSHS >ONI24164 pep chromosome:Prunus_persica_NCBIv2:G2:25334505:25338176:-1 gene:PRUPE_2G227500 transcript:ONI24164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPVTPDCDEERSLLQESSNVEGSWRLNFDGFQVSSEHKEKRPPRGLHDCLGVLGPEDNVAEYYQQQVEMLEGFTEMDALAERGFIPGMSKEEQEKLANSETFAIRISNVANMVLFAAKVYASLRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESIRTLASDEDGFSLTKDQERWVVGIMLSVTLVKLLLMLYCRTFKNEIVKAYAQDHFFDVITNIIGLVAVLLAKYFDDWMDPVGAVIGAKSCIFMKGLE >ONI24161 pep chromosome:Prunus_persica_NCBIv2:G2:25334004:25338012:-1 gene:PRUPE_2G227500 transcript:ONI24161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPVTPDCDEERSLLQESSNVEGSWRLNFDGFQVSSEHKEKRPPRGLHDCLGVLGPEDNVAEYYQQQVEMLEGFTEMDALAERGFIPGMSKEEQEKLANSETFAIRISNVANMVLFAAKVYASLRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESIRTLASDEDGFSLTKDQERWVVGIMLSVTLVKLLLMLYCRTFKNEIVKAYAQDHFFDVITNIIGLVAVLLAKYFDDWMDPVGAVILALYTIRTWSLTVLENVNSLVGKSAAPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPADMPLQVAHDIGETLQEKLELLPEIERAFVHLDYEFSHKPEHAQSHS >ONI25396 pep chromosome:Prunus_persica_NCBIv2:G2:28889133:28892729:1 gene:PRUPE_2G300300 transcript:ONI25396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVVLHIYDVTNSGSDKTNSTILQINKIFKDGIGLGGIFHSAIQVYGEDEWSFGFCEQGSGVFSCPSGKNPMYTYRECITLGTTNCSIFKVNQILRELSREWPGYSYDLLSKNCNHFCDEFSERLGVPKLPGWVNRFAHAGDAAMEVAGNTAIRLRQAKTEIVSASKVAYRFLAGVTNNAIAAPESPGNSTRGTPRFQAAWFKNLITTGAKPSSSTEIENKEEDVLRHHQQSDAESPPRQKSYTWRTT >ONI21521 pep chromosome:Prunus_persica_NCBIv2:G2:10839353:10848700:1 gene:PRUPE_2G071400 transcript:ONI21521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLSTPHYLNTTTPFSALIHNQKHHSSFSSSSSATTSLSFSLKPTPPPLPNSENPTSSSPPSNQIRRPKTLKTTPSPSKPTSKIPSNPFRNLINPTHAPTPPIKSTTNTNYHPFTDKLWLTSKLSPPPPPPPPQKENPEENREPIKDNAPQKNSDLPKIKFQQEGKIFVGNLPNWVKKNEVFDFFRQFGPIKNVILIKGHDSTERNAGFGFVIYGGSTAAKSAMKAVEFDGVEFHGRVLTVKLDDGRRLKEKIEERTRWIEGNDGVEYRSNWHKERDSSRKELRKIMDTEPENWQAIVGFFERIKKPSRGEYGLMVKYYARRGDMYRARETFESMRARGIEPTSHVYTSLIHAYAVGRDMEEALSCVRKMKEEGVEMSLVTYGIMVGGFAKVGNAEAADHWFKEAKERHTTLNSIIYGNIIYAYCQTCNMNRAEALVRDMEEAGIDAPIDIYHTMMDGYTMIGNEDKCLVVFERLKECGFTPSVISYGCLINLYIKIGKVSKALEISKLMESAGIKHNVKTYSMLINGFLKLKDWANAFAVVEDLVKDGLKPDIVLYNNIITAFCGMGNMNRAVRTVKEMQRERHRPTSRTFMPIIHGFARAGEMRRALEIFDMMRMSGCIPTVHTFNALVLGLVEKRQMEKAVEILDEMTLAGISPDEHTYTTIMHGYASLGDTGKAFEYFTKLRNEGLELDVYTYEALLKACCKAGRMQSALAVTKEMSAQKIPRNTFVYNILIDGWARRGDVWEAADLMQQMKKDGVRPDIHTYTSFINACCKAGDMQRAAKTIEEMEAFGVKPNVKTYTTLIHGWARASLPEKAFRCFKEMKSAGLKPDKAVYHCLMTSLLSRATVAEAYIYSGLLSICKEMIESGLIFDMGTAVHWSRCLRKIERTGGELTEALQKTFPPDWSSSHTLDVSSDVDSDDELDIISNDDDMEFANRIDDGNIMSRMV >ONI21523 pep chromosome:Prunus_persica_NCBIv2:G2:10839353:10848700:1 gene:PRUPE_2G071400 transcript:ONI21523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLSTPHYLNTTTPFSALIHNQKHHSSFSSSSSATTSLSFSLKPTPPPLPNSENPTSSSPPSNQIRRPKTLKTTPSPSKPTSKIPSNPFRNLINPTHAPTPPIKSTTNTNYHPFTDKLWLTSKLSPPPPPPPPQKENPEENREPIKDNAPQKNSDLPKIKFQQEGKIFVGNLPNWVKKNEVFDFFRQFGPIKNVILIKGHDSTERNAGFGFVIYGGSTAAKSAMKAVEFDGVEFHGRVLTVKLDDGRRLKEKIEERTRWIEGNDGVEYRSNWHKERDSSRKELRKIMDTEPENWQAIVGFFERIKKPSRGEYGLMVKYYARRGDMYRARETFESMRARGIEPTSHVYTSLIHAYAVGRDMEEALSCVRKMKEEGVEMSLVTYGIMVGGFAKVGNADQTCNMNRAEALVRDMEEAGIDAPIDIYHTMMDGYTMIGNEDKCLVVFERLKECGFTPSVISYGCLINLYIKIGKVSKALEISKLMESAGIKHNVKTYSMLINGFLKLKDWANAFAVVEDLVKDGLKPDIVLYNNIITAFCGMGNMNRAVRTVKEMQRERHRPTSRTFMPIIHGFARAGEMRRALEIFDMMRMSGCIPTVHTFNALVLGLVEKRQMEKAVEILDEMTLAGISPDEHTYTTIMHGYASLGDTGKAFEYFTKLRNEGLELDVYTYEALLKACCKAGRMQSALAVTKEMSAQKIPRNTFVYNILIDGWARRGDVWEAADLMQQMKKDGVRPDIHTYTSFINACCKAGDMQRAAKTIEEMEAFGVKPNVKTYTTLIHGWARASLPEKAFRCFKEMKSAGLKPDKAVYHCLMTSLLSRATVAEAYIYSGLLSICKEMIESGLIFDMGTAVHWSRCLRKIERTGGELTEALQKTFPPDWSSSHTLDVSSDVDSDDELDIISNDDDMEFANRIDDGNIMSRMV >ONI21522 pep chromosome:Prunus_persica_NCBIv2:G2:10839353:10848700:1 gene:PRUPE_2G071400 transcript:ONI21522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLSTPHYLNTTTPFSALIHNQKHHSSFSSSSSATTSLSFSLKPTPPPLPNSENPTSSSPPSNQIRRPKTLKTTPSPSKPTSKIPSNPFRNLINPTHAPTPPIKSTTNTNYHPFTDKLWLTSKLSPPPPPPPPQKENPEENREPIKDNAPQKNSDLPKIKFQQEGKIFVGNLPNWVKKNEVFDFFRQFGPIKNVILIKGHDSTERNAGFGFVIYGGSTAAKSAMKAVEFDGVEFHGRVLTVKLDDGRRLKEKIEERTRWIEGNDGVEYRSNWHKERDSSRKELRKIMDTEPENWQAIVGFFERIKKPSRGEYGLMVKYYARRGDMYRARETFESMRARGIEPTSHVYTSLIHAYAVGRDMEEALSCVRKMKEEGVEMSLVTYGIMVGGFAKVGNAEAADHWFKEAKERHTTLNSIIYGNIIYAYCQTCNMNRAEALVRDMEEAGIDAPIDIYHTMMDGYTMIGNEDKCLVVFERLKIGKVSKALEISKLMESAGIKHNVKTYSMLINGFLKLKDWANAFAVVEDLVKDGLKPDIVLYNNIITAFCGMGNMNRAVRTVKEMQRERHRPTSRTFMPIIHGFARAGEMRRALEIFDMMRMSGCIPTVHTFNALVLGLVEKRQMEKAVEILDEMTLAGISPDEHTYTTIMHGYASLGDTGKAFEYFTKLRNEGLELDVYTYEALLKACCKAGRMQSALAVTKEMSAQKIPRNTFVYNILIDGWARRGDVWEAADLMQQMKKDGVRPDIHTYTSFINACCKAGDMQRAAKTIEEMEAFGVKPNVKTYTTLIHGWARASLPEKAFRCFKEMKSAGLKPDKAVYHCLMTSLLSRATVAEAYIYSGLLSICKEMIESGLIFDMGTAVHWSRCLRKIERTGGELTEALQKTFPPDWSSSHTLDVSSDVDSDDELDIISNDDDMEFANRIDDGNIMSRMV >ONI22827 pep chromosome:Prunus_persica_NCBIv2:G2:20763597:20766407:-1 gene:PRUPE_2G153400 transcript:ONI22827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVVRRHTTRPERSVYARPAGGPSKTVCAFWAAGRCTREFCRFLHADAPPSSMNPQISKKWSLLWTKDGTATGKGNACNATQKSNAPQKSNAPQKINAPQKSNAAHKSNPTQKNVCKFWVNGNCVKGDRCLYLHNWFRGEGFSMLAKLQGHTKAITGIALPERTNKLYSTSKDGTARVWDCHTGECGSVIDLGGEAGSLISEGPWVFAGVPNLVKVWNTETNSEFNLDGPVGQVHAMVVGDAMLFAGTQNGDICVWKGSTETNPPFQPAAILKGHTGAVVCLTVGRNRLYSGSVDHTIKVWDLYTLQGVLTLNGHSGAVMSLLCWDQFLLSCSLDDTIKVWAATEGGGLEVTYTHNEEHGVLDLAGMTDPESKPILLSSCNDNSVRIYELPSFAERGRLFAKQEVRTVEVGPGGLFFSGDATGLLSVWKWMDPPAAVKVESS >ONI22826 pep chromosome:Prunus_persica_NCBIv2:G2:20763581:20766431:-1 gene:PRUPE_2G153400 transcript:ONI22826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVVRRHTTRPERSVYARPAGGPSKTVCAFWAAGRCTREFCRFLHADAPPSSMNPQISKKWSLLWTKDGTATGKGNACNATQKSNAPQKSNAPQKINAPQKSNAAHKSNPTQKNVCKFWVNGNCVKGDRCLYLHNWFRGEGFSMLAKLQGHTKAITGIALPERTNKLYSTSKDGTARVWDCHTGECGSVIDLGGEAGSLISEGPWVFAGVPNLVKVWNTETNSEFNLDGPVGQVHAMVVGDAMLFAGTQNGDICVWKGSTETNPPFQPAAILKGHTGAVVCLTVGRNRLYSGSVDHTIKVWDLYTLQGVLTLNGHSGAVMSLLCWDQFLLSCSLDDTIKVWAATEGGGLEVTYTHNEEHGVLDLAGMTDPESKPILLSSCNDNSVRIYELPSFAERGRLFAKQEVRTVEVGPGGLFFSGDATGLLSVWKWMDPPAAVKVESS >ONI25760 pep chromosome:Prunus_persica_NCBIv2:G2:29790301:29795447:1 gene:PRUPE_2G318900 transcript:ONI25760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVLSSEDMEAKLKAEGEAKKDRVETEKKDGGECDSSVGTMRWDKLLPTMNMRVLLVEADDSTRHIIAALLRKCSYRVAAVPDGLKAWEILKARPHNIDLILTEIDLPSISGFALLSLIMDHEICKNIPVIMMSSQDSISTVYKCMMRGVSDYLVKPIRKNELTNLWQHVWRRQSLTRNCPQDESVGQQKNEATSENDAASNHSSGCGASVQRNKENMEKGTDSQSSCTKPDFETESAPVDMQEFSQQIQGQNFLGDLRMQKDEANINFGEKLVMHKNAARGPTVDAYEDMDTAISLGEGVSPESQRRDANMASEACDNNDLLVNSSKDATDFFGVFNNYPNRNQRNSSSSNRPRTFDSAPHLDLSLRRSDSSGFENQTTEKRHTLGHSNASAFSRYINRPMQPQSTTLAGVCDEQKAHETKFEKQASNTNTDCDTPGTTSSIPRSIITLATGQSNQSEIGTSCHEQRLFPLPVPVKGIRFNNLGNGYGTALPPMFCTQSSPSPMPSSATQQESSFLMNTFYPANLQKDKSEQVYGTLYQHAKAPMEQTLHNQDQRFDEDRGHISSTTDQSASSSFCNGTAGHLNTMGYGSACGSNSNVDQGAIFRTAPESKNEDTFFTHSGNSHRSIQREAALNKFRLKRKDRCYDKKVRYESRKKLAEQRPRIKGQFVRQVNTDPSPAEVDVNTYDS >ONI24911 pep chromosome:Prunus_persica_NCBIv2:G2:27393159:27397921:-1 gene:PRUPE_2G269000 transcript:ONI24911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSEAHLSKDSKVEVCSNEEGYRGAWFPAIILDPQPSDLSAKKKRKSLGNSSKALVQYETLVFDDDPNKPLTEFVDVCSIRPVPPPDNPDQPFEPADVVDSFYQEAWWVGVVMRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWIRARKERIEETIFSHGTAVEINLNEDNLLYAWFPAIYLGELGVNSFLLQYKSSNNRDVKVVVNGHQIRPHPPKSGKRDFNLMEMVDAFYDMGWWVGEITQILTEEKYMVRSKFTKQVKECSPSELRPHVEWTERGWITKINGTWVDCYKEAHFSVDYEVQSKRACESFRSSEVAKALESSGATKDNNEEKTACSRISWKNQLEHLSPCIDKSPYGKTKKKLKINQKPNEDATILCLSKNPEDFLSFTPSFSRRTPAKTSKREAPVRKGAKTEQQQVGEPDNQEIISYKHKVKKGSEIENADEEDVEDEYGLDNIESSGIRSDSELTGGSHAGTSCLLPVEEVEWNEDGVSSEIKGKGKQPEFLVEHPKDPATDHTNDIFELPVTTMWDLTGEKLNGTGVVAQVELTKTQVVNDNDARVEAEAEDTAMDIEKIIEGLSEQLRKLSKYFFGFGLFD >ONI24907 pep chromosome:Prunus_persica_NCBIv2:G2:27391655:27398025:-1 gene:PRUPE_2G269000 transcript:ONI24907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSEAHLSKDSKVEVCSNEEGYRGAWFPAIILDPQPSDLSAKKKRKSLGNSSKALVQYETLVFDDDPNKPLTEFVDVCSIRPVPPPDNPDQPFEPADVVDSFYQEAWWVGVVMRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWIRARKERIEETIFSHGTAVEINLNEDNLLYAWFPAIYLGELGVNSFLLQYKSSNNRDVKVVVNGHQIRPHPPKSGKRDFNLMEMVDAFYDMGWWVGEITQILTEEKYMVRSKFTKQVKECSPSELRPHVEWTERGWITKINGTWVDCYKEAHFSVDYEVQSKRACESFRSSEVAKALESSGATKDNNEEKTACSRISWKNQLEHLSPCIDKSPYGKTKKKLKINQKPNEDATILCLSKNPEDFLSFTPSFSRRTPAKTSKREAPVRKGAKTEQQQVGEPDNQEIISYKHKVKKGSEIENADEEDVEDEYGLDNIESSGIRSDSELTGGSHAGTSCLLPVEEVEWNEDGVSSEIKGKGKQPEFLVEHPKDPATGEKLNGTGVVAQVELTKTQVVNDNGNPAGFSSKQNEVRGRQVETGVTGSEETMRETETLDSAMDYLTKEVQVTVTGVSATASAHDQPLSLYVDEVHSIKAKECSSNPAGTAKQQNEVEQQDSPHSPFVRSSPFWKSIESMEVFKRFPQRPHFLPLMKCKAVCREGSALGNMITFASLVEKTSKLQVGDPRELFDSNLEALVDLEMLGFDVTAVRHRLKELIEMKVKLGQLENQSKEVDIQITECTFDRTRNNETISQIDKEIKDLKEKRGTLMSIDVAKGSEISKLQSEANAITEGIQSIHRDFEKLAAAAW >ONI24910 pep chromosome:Prunus_persica_NCBIv2:G2:27391655:27398023:-1 gene:PRUPE_2G269000 transcript:ONI24910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSEAHLSKDSKVEVCSNEEGYRGAWFPAIILDPQPSDLSAKKKRKSLGNSSKALVQYETLVFDDDPNKPLTEFVDVCSIRPVPPPDNPDQPFEPADVVDSFYQEAWWVGVVMRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWIRARKERIEETIFSHGTAVEINLNEDNLLYAWFPAIYLGELGVNSFLLQYKSSNNRDVKVVVNGHQIRPHPPKSGKRDFNLMEMVDAFYDMGWWVGEITQILTEEKYMVRSKFTKQVKECSPSELRPHVEWTERGWITKINGTWVDCYKEAHFSVDYEVQSKRACESFRSSEVAKALESSGATKDNNEEKTACSRISWKNQLEHLSPCIDKSPYGKTKKKLKINQKPNEDATILCLSKNPEDFLSFTPSFSRRTPAKTSKREAPVRKGAKTEQQQVGEPDNQEIISYKHKVKKGSEIENADEEDVEDEYGLDNIESSGIRSDSELTGGSHAGTSCLLPVEEVEWNEDGVSSEIKGKGKQPEFLVEHPKDPATGEKLNGTGVVAQVELTKTQVVNDNDARVEAEAEDTAMDIEKIIEGLSEQLRKLSKYFFGFGLFD >ONI24909 pep chromosome:Prunus_persica_NCBIv2:G2:27393027:27397921:-1 gene:PRUPE_2G269000 transcript:ONI24909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSEAHLSKDSKVEVCSNEEGYRGAWFPAIILDPQPSDLSAKKKRKSLGNSSKALVQYETLVFDDDPNKPLTEFVDVCSIRPVPPPDNPDQPFEPADVVDSFYQEAWWVGVVMRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWIRARKERIEETIFSHGTAVEINLNEDNLLYAWFPAIYLGELGVNSFLLQYKSSNNRDVKVVVNGHQIRPHPPKSGKRDFNLMEMVDAFYDMGWWVGEITQILTEEKYMVRSKFTKQVKECSPSELRPHVEWTERGWITKINGTWVDCYKEAHFSVDYEVQSKRACESFRSSEVAKALESSGATKDNNEEKTACSRISWKNQLEHLSPCIDKSPYGKTKKKLKINQKPNEDATILCLSKNPEDFLSFTPSFSRRTPAKTSKREAPVRKGAKTEQQQVGEPDNQEIISYKHKVKKGSEIENADEEDVEDEYGLDNIESSGIRSDSELTGGSHAGTSCLLPVEEVEWNEDGVSSEIKGKGKQPEFLVEHPKDPATDHTNDIFELPVTTMWDLTGEKLNGTGVVAQVELTKTQVVNDNGNPAGFSSKQNEVRGRQVETGVTGDTDQQDSQTLSLF >ONI24912 pep chromosome:Prunus_persica_NCBIv2:G2:27393068:27398025:-1 gene:PRUPE_2G269000 transcript:ONI24912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSEAHLSKDSKVEVCSNEEGYRGAWFPAIILDPQPSDLSAKKKRKSLGNSSKALVQYETLVFDDDPNKPLTEFVDVCSIRPVPPPDNPDQPFEPADVVDSFYQEAWWVGVVMRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWIRARKERIEETIFSHGTAVEINLNEDNLLYAWFPAIYLGELGVNSFLLQYKSSNNRDVKVVVNGHQIRPHPPKSGKRDFNLMEMVDAFYDMGWWVGEITQILTEEKYMVRSKFTKQVKECSPSELRPHVEWTERGWITKINGTWVDCYKEAHFSVDYEVQSKRACESFRSSEVAKALESSGATKDNNEEKTACSRISWKNQLEHLSPCIDKSPYGKTKKKLKINQKPNEDATILCLSKNPEDFLSFTPSFSRRTPAKTSKREAPVRKGAVSDLSKQMLLVYIFSYC >ONI24908 pep chromosome:Prunus_persica_NCBIv2:G2:27391653:27397987:-1 gene:PRUPE_2G269000 transcript:ONI24908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSEAHLSKDSKVEVCSNEEGYRGAWFPAIILDPQPSDLSAKKKRKSLGNSSKALVQYETLVFDDDPNKPLTEFVDVCSIRPVPPPDNPDQPFEPADVVDSFYQEAWWVGVVMRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWIRARKERIEETIFSHGTAVEINLNEDNLLYAWFPAIYLGELGVNSFLLQYKSSNNRDVKVVVNGHQIRPHPPKSGKRDFNLMEMVDAFYDMGWWVGEITQILTEEKYMVRSKFTKQVKECSPSELRPHVEWTERGWITKINGTWVDCYKEAHFSVDYEVQSKRACESFRSSEVAKALESSGATKDNNEEKTACSRISWKNQLEHLSPCIDKSPYGKTKKKLKINQKPNEDATILCLSKNPEDFLSFTPSFSRRTPAKTSKREAPVRKGAKTEQQQVGEPDNQEIISYKHKVKKGSEIENADEEDVEDEYGLDNIESSGIRSDSELTGGSHAGTSCLLPVEEVEWNEDGVSSEIKGKGKQPEFLVEHPKDPATDHTNDIFELPVTTMWDLTGEKLNGTGVVAQVELTKTQVVNDNGFEDARVEAEAEDTAMDIEKIIEGLSNPAGFSSKQNEVRGRQVETGVTGSEETMRETETLDSAMDYLTKEVQVTVTGVSATASAHDQPLSLYVDEVHSIKAKECSSNPAGTAKQQNEVEQQDSPHSPFVRSSPFWKSIESMEVFKRFPQRPHFLPLMKCKAVCREGSALGNMITFASLVEKTSKLQVGDPRELFDSNLEALVDLEMLGFDVTAVRHRLKELIEMKVKLGQLENQSKEVDIQITECTFDRTRNNETISQIDKEIKDLKEKRGTLMSIDVAKGSEISKLQSEANAITEGIQSIHRDFEKLAAAAW >ONI25369 pep chromosome:Prunus_persica_NCBIv2:G2:28798952:28804721:-1 gene:PRUPE_2G298500 transcript:ONI25369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPERSRSHVKREVEDSSNLKSDRAGEDEEWEGSDKRKHRSSRSRKSGNGEDTGAQDSSGRRRNYGDRSESRKRSGGSSNADSDEDDYDSRKESRSKQMKKKQEESSLEKLSSWYQDGELENKQDGGDKLGGRGPIRGEENDRRKMSSRLTQHENSQSKSKSKEERSHDGELEKALERDSRHSEKKESSREKTHGSSEQVRNSRRRWDESDGGRKAEESHHERSDSRSNKPSDPKYESSKEKSVSVRNEPSESKIKGLDSNSDRGTKSNNREERKADGEKSKGKSRPETLEEDNRASPASREDRSGREKTEKHRQQKTPIGRDVAESRERSLNADEESNVGTKEKGAREVGSTTRSRTPERSGRRYQDSEYFEMDYDRNFNLKRKELEKDGYRDDRPKGRDDSWSDRNRDREGSKENWKRRQPSSNEKDSKNGDIIYDHGREWELPRHGRERADNERPHGRSGNRKDGSRGEAVKTSSNFGISNENYDVIEIQTKPIDYGRAESASNFARRTEVGQQSDGKSAPSDEEWAYMQDDRTRRSDMHGSGPPREDSKERYTDDITSLRDQNSWREDFDSHGGKGRGQKGSMPGRGAGGQSSGGGSQPPYGNSEPGPFNRNAPQGVKGGRVGRGGRGRLTGRDSQQVGIPLPIMGSPFGPLGMPPPGPMQPLTPSMSPAPGPPMNPGVFIPPFPPPVWPGARGVDMNMLAVPPGLSSVSPGSSGPRFPPNMGTPTNAAMFFNQSGHGRGVPPSISGPGFNAAGPMGRGTLGDKNTGGWVPHKSSGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVAKAASHPMYYKCDLKEFELSPEFFGTKFDVILVDPPWEEYVHRAPGVADHTEYWTFEEIMNLKIEAIADTPSFIFLWVGDGMGLEQGRQCLKKWGFRRCEDICWVKTNKTNATPGLRHDAHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFALGRRRLELFGEDHNIRSGWLTAGKGLSSSNFNAEAYLRNFADKDGKVWQGGGGRNPPPEAPHLVVTTPDIEALRPKSPMKNQQQLQQQNSASISLTTANSSNRRPAGNSPQNPTALCINQEASSSNPSTPAPWASQLEGFKGREGNNLPSDDKVFDMYGYSGQANGDFTDFESHRHMNLL >ONI25370 pep chromosome:Prunus_persica_NCBIv2:G2:28798952:28805521:-1 gene:PRUPE_2G298500 transcript:ONI25370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPERSRSHVKREVEDSSNLKSDRAGEDEEWEGSDKRKHRSSRSRKSGNGEDTGAQDSSGRRRNYGDRSESRKRSGGSSNADSDEDDYDSRKESRSKQMKKKQEESSLEKLSSWYQDGELENKQDGGDKLGGRGPIRGEENDRRKMSSRLTQHENSQSKSKSKEERSHDGELEKALERDSRHSEKKESSREKTHGSSEQVRNSRRRWDESDGGRKAEESHHERSDSRSNKPSDPKYESSKEKSVSVRNEPSESKIKGLDSNSDRGTKSNNREERKADGEKSKGKSRPETLEEDNRASPASREDRSGREKTEKHRQQKTPIGRDVAESRERSLNADEESNVGTKEKGAREVGSTTRSRTPERSGRRYQDSEYFEMDYDRNFNLKRKELEKDGYRDDRPKGRDDSWSDRNRDREGSKENWKRRQPSSNEKDSKNGDIIYDHGREWELPRHGRERADNERPHGRSGNRKDGSRGEAVKTSSNFGISNENYDVIEIQTKPIDYGRAESASNFARRTEVGQQSDGKSAPSDEEWAYMQDDRTRRSDMHGSGPPREDSKERYTDDITSLRDQNSWREDFDSHGGKGRGQKGSMPGRGAGGQSSGGGSQPPYGNSEPGPFNRNAPQGVKGGRVGRGGRGRLTGRDSQQVGIPLPIMGSPFGPLGMPPPGPMQPLTPSMSPAPGPPMNPGVFIPPFPPPVWPGARGVDMNMLAVPPGLSSVSPGSSGPRFPPNMGTPTNAAMFFNQSGHGRGVPPSISGPGFNAAGPMGRGTLGDKNTGGWVPHKSSGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVAKAASHPMYYKCDLKEFELSPEFFGTKFDVILVDPPWEEYVHRAPGVADHTEYWTFEEIMNLKIEAIADTPSFIFLWVGDGMGLEQGRQCLKKWGFRRCEDICWVKTNKTNATPGLRHDAHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFALGRRRLELFGEDHNIRSGWLTAGKGLSSSNFNAEAYLRNFADKDGKVWQGGGGRNPPPEAPHLVVTTPDIEALRPKSPMKNQQQLQQQNSASISLTTANSSNRRPAGNSPQNPTALCINQEASSSNPSTPAPWASQLEGFKGREGNNLPSDDKVFDMYGYSGQANGDFTDFESHRHMNLL >ONI20706 pep chromosome:Prunus_persica_NCBIv2:G2:3203678:3212599:1 gene:PRUPE_2G030100 transcript:ONI20706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCFNPDLIKKWKKMIRKEAKEAAKRGEPFDPSTTLEVQFLRNLIEEFLEILDSKVVPPDRSINEDDQLVEANRLEHVDDACVLYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVAKCHLSALYRHEKGKLFAQLVDLLQFYEGFEINDHDGTQLTDDEVLQSHYDRVQSFQLLAFKKVPKLRELALANIGSIDKRNDLSKKLSVLPPEELKDLVCSKLKVVSKDDPWSQRVDFLIEVMVSFFEKQQSQKEKINALPLYPNELIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLSYINNEGETAFRGWSRMAVPIKQFRISEVKQPNIGEVKPAAVTAEVTFSVSSYKAQIRSEWNALKEHDVLFLLSIRPSFEPLSAEEDGRASVPQRLGLQYVRGCEIIEIRDEEGTLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYHMDVSNIAAKGSEDVYGTFNILMRRKPKENNFKAILESIRDLMNEYCIVPDWLHNIFLGYGNPSAAQWTNMPGLLATVDFKDTFLDAEHLKECFPDDQVSFISPDGTENLNPSPPFRIRLPKTIKSSTNALPGNKKSTDSISDGPVKNSDIEKEKIVVEAYTPPDPGPYPQDQPKKNSVRFTPTQVGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACVDNKDKPSFVKDRFPFKEFFSNTPKPVFTGESFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRDLGDLPYVKEDAIFHRANSGFSYEYQLVDVPDYHDRGESAPSPWFYQNEGEAEYVVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCAPYDFIGPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDHLALNLNEISPNTERHVEDTGPMHLVSSVDEMIGIYQQLYEVKFHQYMAYSGRVAPSIDAFEEKTTQENLISGQHHMDTDIPVTSDGAPEDNTQHGSNLEEDTKMDALANGQNLESSLENHSNGGTDVEAGGGDRNVPPESNSDETNMEE >ONI20707 pep chromosome:Prunus_persica_NCBIv2:G2:3205153:3212599:1 gene:PRUPE_2G030100 transcript:ONI20707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRAFNLKAIFCYILFYSLVITSILSSFDCRYLRPLVADVAVVAKCHLSALYRHEKGKLFAQLVDLLQFYEGFEINDHDGTQLTDDEVLQSHYDRVQSFQLLAFKKVPKLRELALANIGSIDKRNDLSKKLSVLPPEELKDLVCSKLKVVSKDDPWSQRVDFLIEVMVSFFEKQQSQKEKINALPLYPNELIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLSYINNEGETAFRGWSRMAVPIKQFRISEVKQPNIGEVKPAAVTAEVTFSVSSYKAQIRSEWNALKEHDVLFLLSIRPSFEPLSAEEDGRASVPQRLGLQYVRGCEIIEIRDEEGTLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYHMDVSNIAAKGSEDVYGTFNILMRRKPKENNFKAILESIRDLMNEYCIVPDWLHNIFLGYGNPSAAQWTNMPGLLATVDFKDTFLDAEHLKECFPDDQVSFISPDGTENLNPSPPFRIRLPKTIKSSTNALPGNKKSTDSISDGPVKNSDIEKEKIVVEAYTPPDPGPYPQDQPKKNSVRFTPTQVGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACVDNKDKPSFVKDRFPFKEFFSNTPKPVFTGESFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRDLGDLPYVKEDAIFHRANSGFSYEYQLVDVPDYHDRGESAPSPWFYQNEGEAEYVVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCAPYDFIGPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDHLALNLNEISPNTERHVEDTGPMHLVSSVDEMIGIYQQLYEVKFHQYMAYSGRVAPSIDAFEEKTTQENLISGQHHMDTDIPVTSDGAPEDNTQHGSNLEEDTKMDALANGQNLESSLENHSNGGTDVEAGGGDRNVPPESNSDETNMEE >ONI20705 pep chromosome:Prunus_persica_NCBIv2:G2:3200395:3212599:1 gene:PRUPE_2G030100 transcript:ONI20705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVYGTGAYDFKRHHVAEYPVEQPHQPGDKPVEAKPGSALPSSITLSEIQRDRLTMIAAANWSKTGDTSHPKQPLDPELVKEIYQTELSVKEGQRKTVPLQRVMILEVSQYLENYLWPNFDPETATFEHVMSMILMVNEKFRENVAAWVCFYDRKDVFKGFLERVLRLKSGRELSIAEKTNYLVFMINAFQSLEDEIVSDTVLTLASLESWHSLSYGRFQMELCFNPDLIKKWKKMIRKEAKEAAKRGEPFDPSTTLEVQFLRNLIEEFLEILDSKVVPPDRSINEDDQLVEANRLEHVDDACVLYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVAKCHLSALYRHEKGKLFAQLVDLLQFYEGFEINDHDGTQLTDDEVLQSHYDRVQSFQLLAFKKVPKLRELALANIGSIDKRNDLSKKLSVLPPEELKDLVCSKLKVVSKDDPWSQRVDFLIEVMVSFFEKQQSQKEKINALPLYPNELIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLSYINNEGETAFRGWSRMAVPIKQFRISEVKQPNIGEVKPAAVTAEVTFSVSSYKAQIRSEWNALKEHDVLFLLSIRPSFEPLSAEEDGRASVPQRLGLQYVRGCEIIEIRDEEGTLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYHMDVSNIAAKGSEDVYGTFNILMRRKPKENNFKAILESIRDLMNEYCIVPDWLHNIFLGYGNPSAAQWTNMPGLLATVDFKDTFLDAEHLKECFPDDQVSFISPDGTENLNPSPPFRIRLPKTIKSSTNALPGNKKSTDSISDGPVKNSDIEKEKIVVEAYTPPDPGPYPQDQPKKNSVRFTPTQVGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACVDNKDKPSFVKDRFPFKEFFSNTPKPVFTGESFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRDLGDLPYVKEDAIFHRANSGFSYEYQLVDVPDYHDRGESAPSPWFYQNEGEAEYVVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCAPYDFIGPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDHLALNLNEISPNTERHVEDTGPMHLVSSVDEMIGIYQQLYEVKFHQYMAYSGRVAPSIDAFEEKTTQENLISGQHHMDTDIPVTSDGAPEDNTQHGSNLEEDTKMDALANGQNLESSLENHSNGGTDVEAGGGDRNVPPESNSDETNMEE >ONI20708 pep chromosome:Prunus_persica_NCBIv2:G2:3205928:3212599:1 gene:PRUPE_2G030100 transcript:ONI20708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFFEKQQSQKEKINALPLYPNELIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLSYINNEGETAFRGWSRMAVPIKQFRISEVKQPNIGEVKPAAVTAEVTFSVSSYKAQIRSEWNALKEHDVLFLLSIRPSFEPLSAEEDGRASVPQRLGLQYVRGCEIIEIRDEEGTLMNDFTGRIKRDEWKPPKGELRTVTVALDTAQYHMDVSNIAAKGSEDVYGTFNILMRRKPKENNFKAILESIRDLMNEYCIVPDWLHNIFLGYGNPSAAQWTNMPGLLATVDFKDTFLDAEHLKECFPDDQVSFISPDGTENLNPSPPFRIRLPKTIKSSTNALPGNKKSTDSISDGPVKNSDIEKEKIVVEAYTPPDPGPYPQDQPKKNSVRFTPTQVGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACVDNKDKPSFVKDRFPFKEFFSNTPKPVFTGESFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRDLGDLPYVKEDAIFHRANSGFSYEYQLVDVPDYHDRGESAPSPWFYQNEGEAEYVVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCAPYDFIGPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDHLALNLNEISPNTERHVEDTGPMHLVSSVDEMIGIYQQLYEVKFHQYMAYSGRVAPSIDAFEEKTTQENLISGQHHMDTDIPVTSDGAPEDNTQHGSNLEEDTKMDALANGQNLESSLENHSNGGTDVEAGGGDRNVPPESNSDETNMEE >ONI22154 pep chromosome:Prunus_persica_NCBIv2:G2:16906271:16910737:1 gene:PRUPE_2G110700 transcript:ONI22154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDEEEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPPGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLEDAENDARHYDDDRAEVGGFGCANGKVQIIQQINHDGEVNRARYMPQNPFIIATKTVNAEVFVFDYSKHPSKPPLDGACSPDLRLRGHSTEGYGLSWSKFKQGHLLSGSDDAQICLWDINATPKNKTLEAMQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRTPSVTKPVQSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKINTALHTFDCHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDLPEEPAKP >ONI21713 pep chromosome:Prunus_persica_NCBIv2:G2:13239168:13241560:1 gene:PRUPE_2G083400 transcript:ONI21713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTTVLKVGMSCQGCVGAVKRVLGKLEGVESYDIDFDAQKVTVKSNLPPETVLQTVSKTGKKTAYWEAEAPAEPEAKPAEAVAAA >ONI24486 pep chromosome:Prunus_persica_NCBIv2:G2:26158162:26158626:-1 gene:PRUPE_2G242800 transcript:ONI24486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTMKFMCLVAFVVILGINQFDGAYGAGECGKSSPDREAWKLAPCAAAAQDENAAVSDKCCSQVKRIGQNPSCLCAVMLSNTAKSAGIKPEIALTIPKRCNIVDRPVGFKCGAYTLP >ONI25394 pep chromosome:Prunus_persica_NCBIv2:G2:28881007:28883442:-1 gene:PRUPE_2G300100 transcript:ONI25394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNGSGDENSESKIYIGNLDLRITEAALIKMFSPFGKIVTEDFLWHTRGRKRGEPRGFAFIQYSSKEEAKLAKEKMHGRLACGRPLVVRVSSEKYAVEAAENSSKGAGEATKTSLSGSSSGQTSRSSKIAAIKNKLKALEEEGFSAKKQKQTDTSLQ >ONI25393 pep chromosome:Prunus_persica_NCBIv2:G2:28881007:28883293:-1 gene:PRUPE_2G300100 transcript:ONI25393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNGSGDENSESKIYIGNLDLRITEAALIKMFSPFGKIVTEDFLWHTRGRKRGEPRGFAFIQYSSKEEAKLAKEKMHGRLACGRPLVVRVSSEKYAVEAAENSSKGAGEATKTSLSGSSSGQTSRSSKIAAIKNKLKALEEEGFSAKKQKQTDTSLQ >ONI22706 pep chromosome:Prunus_persica_NCBIv2:G2:20254084:20255001:1 gene:PRUPE_2G146100 transcript:ONI22706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLLSPFPCDFGYEILSSPLQVSIYLFTKKLFTVDIIFTAIIIFILIITIRAIISITLVTITIITTPIFVIRRFFFCIVFRLIPLKIFSGWPETP >ONI23096 pep chromosome:Prunus_persica_NCBIv2:G2:21725020:21729054:-1 gene:PRUPE_2G170000 transcript:ONI23096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLSAQVLNSLRPRTPSACSSTTMASLWYGTQTPIASVKVSPGFWCSAEFNSCRLSVLGSDRSRNFSVVRDSAERSEGGVAMETVGDNQVEDSPGKLEERDFTGTPYVPVYVMFPLGVINMNCELVEPEVLLNQLKVLKSVGVDGVMVDCWWGIVEAHNPQGYNWSGYKRLFQIVRDLNLKLQVVMSFHECGGNVGDDVHIPLPHWVTEIGQKNPDIYFTDKEGKRNNECLTWGIDKVRVLRGRTAVEVYFDYMRSFRVEFDEFFEGGIISEIEVGLGPCGELRYPSYPENHGWKYPGIGEFQCYDRYLMKNLKEAAEARGHSFWARAPDNTGSYNSQPHETGFFRDGGDYDSYYGRFFLNWYSRFLVDHGDRVLALANLAFEGTCIAAKVSGIHWWYKTASHPAELTAGFYNPCNRDGYAPIAAMLKKHEAALNFTCVEMRTLDQHEGFPEALADPEGLVWQVLNAAWDANIPVASENALTCHDREGYNKILANAKPQNDPDGRHLSAFTYLRLSPVLLEGHNFLEFERFVKKMHGEAAQES >ONI23164 pep chromosome:Prunus_persica_NCBIv2:G2:21970339:21973688:-1 gene:PRUPE_2G173200 transcript:ONI23164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METADLHHAPPAFIGSSDGDYPPIRSFADAKVICYLETTKLWRIAGPIAFNILCNYGINSFTNIFAGHIGNVELSAVAISLSVIANFSFGFLLGMASALETLCGQAFGAGQVDMLGVYMQRSWLILFATCFALLPLYVYSAPVLKLLGQEDDIADLAGKFSIQTIPQMFSLAVNFPTQKFLQAQSRVQVLAWIGFAALIIHIGILYLFIKVFGWGTSGAAVAYDISAWGMAIAQVVYIVYWCNNGWKGLSWLAFKELWSFAKLSIASAVMLCLEIWYFMTIIVLTGHLDNPVIAVGSLSICMNVNGWEGMLFIGINAAISVRVSNELGSAHPRAAKYSVIIIILESLLIGLFFAALILAAKDHFAIIFTDSKEMQQAVSRLAFLLSVTMLLNSVQPVISGVAVGGGWQAMVAYINLFCYYVIGLPLGFLLGYKTSLRVEGIWIGMIFGTLLQTIILLCIVYKTNWNKEVKQALERMRQWTGEELVDPRKWSGQENGCQTQTL >ONI23430 pep chromosome:Prunus_persica_NCBIv2:G2:22897102:22900566:-1 gene:PRUPE_2G189200 transcript:ONI23430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDGIESVTSLDMMDEDEIHGRPHHFVSVPKPHNNSINIPGTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKLKHEAVCNFRPYNCPYAGSDCSAVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMGPVYMAFLRFMGDETEARNYTYSLEVGGNGRKLIWEGNPRSIRDSHKKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGTCIPLCS >ONI23429 pep chromosome:Prunus_persica_NCBIv2:G2:22896732:22900566:-1 gene:PRUPE_2G189200 transcript:ONI23429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDGIESVTSLDMMDEDEIHGRPHHFVSVPKPHNNSINIPGTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKLKHEAVCNFRPYNCPYAGSDCSAVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMGPVYMAFLRFMGDETEARNYTYSLEVGGNGRKLIWEGNPRSIRDSHKKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGAKVCEVPRIICGLN >ONI25584 pep chromosome:Prunus_persica_NCBIv2:G2:29379218:29382432:1 gene:PRUPE_2G310500 transcript:ONI25584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIASVALSPVYEESLNFPCNFTGQYGPFSGDSILIYLSVGGSVVPMCVTESDSIASVKLRIQASKGFFVKKQKLVFEGRELARNNSCVRDYGVTDGNLLHLVLRLSDLQAITVKTVCGKEFEFHVERSRNVGYVKQQIAKKGKGFVDPKDQELTCDGEELEDQRLINDICKSNDAMIHLLVRKSAKVRAKPIEKDFVVSIEALNLNGEKTALVRDFTECQVVERSPLQREFLLEPLIVNSKIELSLGIKRLIYSTSDGLEGGNEPVQSSEGSGGAYFMQDSSGLKYVSVFKPIDEEPMAANNPRGLPLSLDGEGLKKGTRVEEGALREVAAYILDHPRSGPRTFYHEEKGFAGVPPTVMVKCLHRGFNHPEGYEYASKNVKIGSLQMFMKNNGSCEDMGPRAFPVHEVHKISVLDIRLANADRHAGNILVSREGEEGQIVLIPIDHGYCLPENFEDCTFDWLYWPQAHQPYSSDTLEYIKSLDAEQDIELLKFHGWDLPPESARTLRISTMLLKKGAERGLTPFAIGSIMCRETLKKESVIEQIIQEAQEEELPGTSEDICWIVASTA >ONI25585 pep chromosome:Prunus_persica_NCBIv2:G2:29379218:29382432:1 gene:PRUPE_2G310500 transcript:ONI25585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIASVALSPVYEESLNFPCNFTGQYGPFSGDSILIYLSVGGSVVPMCVTESDSIASVKLRIQASKGFFVKKQKLVFEGRELARNNSCVRDYGVTDGNLLHLVLRLSDLQAITVKTVCGKEFEFHVERSRNVGYVKQQIAKKGKGFVDPKDQELTCDGEELEDQRLINDICKSNDAMIHLLVRKSAKVRAKPIEKDFVVSIEALNLNGEKTALVRDFTECQVVERSPLQREFLLEPLIVNSKIELSLGIKRLIYSTSDGLEGGNEPVQSSEGSGGAYFMQDSSGLKYVSVFKPIDEEPMAANNPRGLPLSLDGEGLKKGTRVEEGALREVAAYILDHPRSGPRTFYHEEKGFAGVPPTVMVKCLHRGFNHPEGYEYASKNVKIGSLQMFMKNNGSCEDMGPRAFPVHEVHKISVLDIRLANADRHAGNILVSREGEEGQIVLIPIDHGYCLPENFEDCTFDWLYWPQAHQPYSSDTLEYIKSLDAEQDIELLKFHGWDLPPESARTLRISTMLLKKGAERGLTPFAIGSIMCRETLKKESVIEQIIQEAQEEELPGTSEGAFLESVSSIMDRRLDELI >ONI24268 pep chromosome:Prunus_persica_NCBIv2:G2:25572631:25574810:-1 gene:PRUPE_2G231800 transcript:ONI24268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNRSQSPERGKRFRSSERTSYRDAPYPRERRSHRQDYLCNKCKRPGHFARDCSNMTVCNNCGLPGHLAAECNSITMCWNCKEPGHLASQCSNDPVCHMCGKIGHLARDCANPSLPAHDARLCNNCYKPGHIAVDCTNEKACNNCRKPGHLACNCPNEPVCHTCNIAGHIARQCAKSSRAPDIGGPFRDIMCRNCGLPGHAIRECVSIVICNNCGGRGHQAYECPSSALICGRRGIRKY >ONI24272 pep chromosome:Prunus_persica_NCBIv2:G2:25572930:25574062:-1 gene:PRUPE_2G231800 transcript:ONI24272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNRSQSPERGKRFRSSERTSYRDAPYPRERRSHRQDYLCNKCKRPGHFARDCSNMTVCNNCGLPGHLAAECNSITMCWNCKEPGHLASQCSNDPVCHMCGKIGHLARDCANPSLPAHDARLCNNCYKPGHIAVDCTNEKACNNCRKPGHLACNCPNEPVCHTCNIAGHIARQCAKSSRAPDIGGPFRDIMCRNCGLPGHAIRECVSIVICNNCGGRGHQAYECPSSALICGRRGIRKY >ONI24271 pep chromosome:Prunus_persica_NCBIv2:G2:25572690:25574843:-1 gene:PRUPE_2G231800 transcript:ONI24271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNRSQSPERGKRFRSSERTSYRDAPYPRERRSHRQDYLCNKCKRPGHFARDCSNMTVCNNCGLPGHLAAECNSITMCWNCKEPGHLASQCSNDPVCHMCGKIGHLARDCANPSLPAHDARLCNNCYKPGHIAVDCTNEKACNNCRKPGHLACNCPNEPVCHTCNIAGHIARQCAKSSRAPDIGGPFRDIMCRNCGLPGHAIRECVSIVICNNCGGRGHQAYECPSSALICGRRGIRKY >ONI24274 pep chromosome:Prunus_persica_NCBIv2:G2:25572680:25574880:-1 gene:PRUPE_2G231800 transcript:ONI24274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCNNCGLPGHLAAECNSITMCWNCKEPGHLASQCSNDPVCHMCGKIGHLARDCANPSLPAHDARLCNNCYKPGHIAVDCTNEKACNNCRKPGHLACNCPNEPVCHTCNIAGHIARQCAKSSRAPDIGGPFRDIMCRNCGLPGHAIRECVSIVICNNCGGRGHQAYECPSSALICGRRGIRKY >ONI24269 pep chromosome:Prunus_persica_NCBIv2:G2:25572930:25574062:-1 gene:PRUPE_2G231800 transcript:ONI24269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNRSQSPERGKRFRSSERTSYRDAPYPRERRSHRQDYLCNKCKRPGHFARDCSNMTVCNNCGLPGHLAAECNSITMCWNCKEPGHLASQCSNDPVCHMCGKIGHLARDCANPSLPAHDARLCNNCYKPGHIAVDCTNEKACNNCRKPGHLACNCPNEPVCHTCNIAGHIARQCAKSSRAPDIGGPFRDIMCRNCGLPGHAIRECVSIVICNNCGGRGHQAYECPSSALICGRRGIRKY >ONI24275 pep chromosome:Prunus_persica_NCBIv2:G2:25572690:25574810:-1 gene:PRUPE_2G231800 transcript:ONI24275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCNNCGLPGHLAAECNSITMCWNCKEPGHLASQCSNDPVCHMCGKIGHLARDCANPSLPAHDARLCNNCYKPGHIAVDCTNEKACNNCRKPGHLACNCPNEPVCHTCNIAGHIARQCAKSSRAPDIGGPFRDIMCRNCGLPGHAIRECVSIVICNNCGGRGHQAYECPSSALICGRRGIRKY >ONI24273 pep chromosome:Prunus_persica_NCBIv2:G2:25572930:25573654:-1 gene:PRUPE_2G231800 transcript:ONI24273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCNNCGLPGHLAAECNSITMCWNCKEPGHLASQCSNDPVCHMCGKIGHLARDCANPSLPAHDARLCNNCYKPGHIAVDCTNEKACNNCRKPGHLACNCPNEPVCHTCNIAGHIARQCAKSSRAPDIGGPFRDIMCRNCGLPGHAIRECVSIVICNNCGGRGHQAYECPSSALICGRRGIRKY >ONI24270 pep chromosome:Prunus_persica_NCBIv2:G2:25572680:25574906:-1 gene:PRUPE_2G231800 transcript:ONI24270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNRSQSPERGKRFRSSERTSYRDAPYPRERRSHRQDYLCNKCKRPGHFARDCSNMTVCNNCGLPGHLAAECNSITMCWNCKEPGHLASQCSNDPVCHMCGKIGHLARDCANPSLPAHDARLCNNCYKPGHIAVDCTNEKACNNCRKPGHLACNCPNEPVCHTCNIAGHIARQCAKSSRAPDIGGPFRDIMCRNCGLPGHAIRECVSIVICNNCGGRGHQAYECPSSALICGRRGIRKY >ONI24372 pep chromosome:Prunus_persica_NCBIv2:G2:25805620:25806479:1 gene:PRUPE_2G236700 transcript:ONI24372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIGSFLFLIIKFQAFLEHGLPSTQEKLLALMNKAALNVGGIVLNALAIEHFILRHPSESKHGPAYEKEMLLRHAYGLGYPEPNVTFALCRGSWSSPALRVYTPDDIVNELERAKVEYLEASVGVTSKKKILVPKLLQWHMLDFADGMESLLEWIYSQLPRSASLKRLIMQCLNGETKSPINKMVEVQPHESEFRYLLPL >ONI24371 pep chromosome:Prunus_persica_NCBIv2:G2:25805476:25806622:1 gene:PRUPE_2G236700 transcript:ONI24371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFLEHGLPSTQEKLLALMNKAALNVGGIVLNALAIEHFILRHPSESKHGPAYEKEMLLRHAYGLGYPEPNVTFALCRGSWSSPALRVYTPDDIVNELERAKVEYLEASVGVTSKKKILVPKLLQWHMLDFADGMESLLEWIYSQLPRSASLKRLIMQCLNGETKSPINKMVEVQPHESEFRYLLPL >ONI23635 pep chromosome:Prunus_persica_NCBIv2:G2:23717987:23719169:-1 gene:PRUPE_2G200100 transcript:ONI23635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLERKSHPKINHAEPEDEITKEEEDESFCYAIQLVGSSVLSMSLQSAIELGVFDIIAREGPGAKLSSSEIAAKIGTKNPEAPMMVDRILRLLTSHSVLNCSAVAANGGSDFQRVYSLGPVSKYFVNEEEGGSLGPVLTLIQDKVFMESWSQLKDAVVEGGIPFNRVHGTHAFEYPGLDPRFNQAFNTAMFNLTTIVIKKLLHIYKGLEDKNVTQLVNLITSRWYQFQLAPCRKSCPFLSW >ONI23636 pep chromosome:Prunus_persica_NCBIv2:G2:23716843:23720040:-1 gene:PRUPE_2G200100 transcript:ONI23636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLERKSHPKINHAEPEDEITKEEEDESFCYAIQLVGSSVLSMSLQSAIELGVFDIIAREGPGAKLSSSEIAAKIGTKNPEAPMMVDRILRLLTSHSVLNCSAVAANGGSDFQRVYSLGPVSKYFVNEEEGGSLGPVLTLIQDKVFMESWSQLKDAVVEGGIPFNRVHGTHAFEYPGLDPRFNQAFNTAMFNLTTIVIKKLLHIYKGLEDKNVTQLVNLITSRWYQFQLAPCRKSCPFLSW >ONI20562 pep chromosome:Prunus_persica_NCBIv2:G2:2192616:2197139:-1 gene:PRUPE_2G022500 transcript:ONI20562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAYLVAFLQVLVDKLARREVFKYFGLIKGVDQKLQKWTATLSAIGAVLNEAEERQLITESKPLKLWLGDLRDLAYDVEDVLDKYATKTLKRETEHYTARRVWNSVPNGVFNYKMNSEIQKITQRLQEISQQKDQLISLNIITGTTSSTTARQNLPPSSSQPDGPVIGRDEDKRRVVEFVSKQERGAVNFDVVAIVGMAGVGKTTLAAQVFNEIVATDQFKPTAWVCVSDDFNLERVTKQILESVTSRHYPTEDFNQVQQYLHTELAGKKFLIVLDDVWGTCSYGLWMKLQSPFRDGAAGSKIIVTTRDAEVSKMMGAGTLVHNLELMKNDVCFEVFEQHAFRNVNRDIPPNFELLKEKIVSRCRGLPLAARTLGGLLLRKEMNEWKEILNNKLWSLSNEHDILPVLRLSYHYLPSHLKRCFAYCSILPNDYEFSEHQLILLWMAEGLIQPQPEHNKQMEDLGTDYFQELLSRSLFQKSSKNNSKYVMHDLIVGLAQWAAGDICVRLEDKQNSDHVQLGCFTKARHASYISGKYDVVKRFEAFSEMKHLRTFLPLSVDHPSNYLSRKVTFDLLPKLQYLRVLSFNGYQVTELPNSIGKLKHLRYLDLSHTEIECLPESTTTLYNLQTLILEGCRYLEALPINLRNLLNLRHLYYSFEYAMPPQLGRLTNLQSLSNFVVGKGSDQSGIREIRSLFHLRGTLRLSRLENVIDAEDAQRADLKCKERVDELVLEWSSATQETQLGVLNGLEPHRMLKKLIIKGYAGLEFSTWIGDRLFSTMVHVRLDKCKNCQILPPLGQLPLLKELYITGMAAVRSVGPEFYGEGSLPFPVLETLEFEDMQHWKKWVPFVGDRGIDVFPCLKFLSIRNCPQLEGKVPENLDSLARLTIIKCEELVISISNYKQIGRLWIDGCKAVLKTSGVEFELLKSLQLSNIKEVRFQTGEFTKGLRKVVKLTIGGCEELTSSLENEDRVLQHLISLHRLVISGNSSLLEKLGKEAEELLQLQILTCKLKYLQLNYCASLSKVPEGLHHLTALQDLQIIGCSSLVSFPDVGFPPSVEVIRIEECDSLLYFAKYQIPPNLRRIKIMRCKSLKSFVEEEVGSSSSTSSHISLRYLDIRECESLTSLSLRAQLFPKALKSLHISHCGELQLITFDGFSHDNTNYCLEYISISFCQNLKSIPEGLCHLTNLQTLQIYDCGSLVSIPSLSGEGLLSPTTTAASSLRRIIIKNCNKLEMLPDMGNLNCLQELNIDYGEGLNFTSFPSNLTSLVIGGIKNCKPMWELLHRLTSLTMLWVDGEDPYVVSFPPEGDTDMDMEMLLPESLTHLTIGCFPNLKKLSSKGFQFLTSLQCLQLWNCPKLASIPVAPTLSLSQFWISGCPKIFWVITESQRSCVFGPYWHKISHIPYLEIYPTLPRWFFFIYNCFKRDSPMLDDNDLSNKWHLQTCDQNLKAEHLKNI >ONI20561 pep chromosome:Prunus_persica_NCBIv2:G2:2192054:2197783:-1 gene:PRUPE_2G022500 transcript:ONI20561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAYLVAFLQVLVDKLARREVFKYFGLIKGVDQKLQKWTATLSAIGAVLNEAEERQLITESKPLKLWLGDLRDLAYDVEDVLDKYATKTLKRETEHYTARRVWNSVPNGVFNYKMNSEIQKITQRLQEISQQKDQLISLNIITGTTSSTTARQNLPPSSSQPDGPVIGRDEDKRRVVEFVSKQERGAVNFDVVAIVGMAGVGKTTLAAQVFNEIVATDQFKPTAWVCVSDDFNLERVTKQILESVTSRHYPTEDFNQVQQYLHTELAGKKFLIVLDDVWGTCSYGLWMKLQSPFRDGAAGSKIIVTTRDAEVSKMMGAGTLVHNLELMKNDVCFEVFEQHAFRNVNRDIPPNFELLKEKIVSRCRGLPLAARTLGGLLLRKEMNEWKEILNNKLWSLSNEHDILPVLRLSYHYLPSHLKRCFAYCSILPNDYEFSEHQLILLWMAEGLIQPQPEHNKQMEDLGTDYFQELLSRSLFQKSSKNNSKYVMHDLIVGLAQWAAGDICVRLEDKQNSDHVQLGCFTKARHASYISGKYDVVKRFEAFSEMKHLRTFLPLSVDHPSNYLSRKVTFDLLPKLQYLRVLSFNGYQVTELPNSIGKLKHLRYLDLSHTEIECLPESTTTLYNLQTLILEGCRYLEALPINLRNLLNLRHLYYSFEYAMPPQLGRLTNLQSLSNFVVGKGSDQSGIREIRSLFHLRGTLRLSRLENVIDAEDAQRADLKCKERVDELVLEWSSATQETQLGVLNGLEPHRMLKKLIIKGYAGLEFSTWIGDRLFSTMVHVRLDKCKNCQILPPLGQLPLLKELYITGMAAVRSVGPEFYGEGSLPFPVLETLEFEDMQHWKKWVPFVGDRGIDVFPCLKFLSIRNCPQLEGKVPENLDSLARLTIIKCEELVISISNYKQIGRLWIDGCKAVLKTSGVEFELLKSLQLSNIKEVRFQTGEFTKGLRKVVKLTIGGCEELTSSLENEDRVLQHLISLHRLVISGNSSLLEKLGKEAEELLQLQILTCKLKYLQLNYCASLSKVPEGLHHLTALQDLQIIGCSSLVSFPDVGFPPSVEVIRIEECDSLLYFAKYQIPPNLRRIKIMRCKSLKSFVEEEVGSSSSTSSHISLRYLDIRECESLTSLSLRAQLFPKALKSLHISHCGELQLITFDGFSHDNTNYCLEYISISFCQNLKSIPEGLCHLTNLQTLQIYDCGSLVSIPSLSGEGLLSPTTTAASSLRRIIIKNCNKLEMLPDMGNLNCLQELNIDYGEGLNFTSFPSNLTSLVIGGIKNCKPMWELLHRLTSLTMLWVDGEDPYVVSFPPEGDTDMDMEMLLPESLTHLTIGCFPNLKKLSSKGFQFLTSLQCLQLWNCPKLASIPVAPTLSLSQFWISGCPKIFWVITESQRSCVFGPYWHKISHIPYLEIYPTLPRWFFFIYNCFKRDSPMLDDNDLSNKWTRSGSIMVRKWIFPV >ONI25079 pep chromosome:Prunus_persica_NCBIv2:G2:27916981:27917741:-1 gene:PRUPE_2G279000 transcript:ONI25079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVKLWRCPPTERLGIELLCGRLMMQSPRSVLNIPSMAITNSASVSLKILIDTKSHKVLFAEASKEVVDFLFSFLSLHVATITRLLLN >ONI25080 pep chromosome:Prunus_persica_NCBIv2:G2:27916981:27917654:-1 gene:PRUPE_2G279000 transcript:ONI25080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVKLWRCPPTERLGIGNDRFVYVELLCGRLMMQSPRSVLNIPSMAITNSASVSLKILIDTKSHKVLFAEASKEVVDFLFSFLSLHVATITRLLLN >ONI21098 pep chromosome:Prunus_persica_NCBIv2:G2:5723000:5734079:1 gene:PRUPE_2G049800 transcript:ONI21098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGAWFITGITVCVCLCIILQERYSNSERYHHRALCRVLLACKKEMDPGRYGLQQGWDNNSALEGYAAVHEPNFRVGGSYDERRFIDDRYSRDDVYPRNAFHRDVLDRDNYPPPPHAVGVWPHSRRRSYEEEIPVDRDRRHEKQYIDSYHEMDNFRDHEMDNFRDHEIDTFQEFDKFRDSYRSVDSYRDPGFDRIARLGGRDRDDYAYDDFDYRSRATHQNREESRERDYDYGRHSYDSDYDRGSRRDSNWRRRGSRESRESRDREREKKCLSREREQSPHRRHERSRSRSISRGHEDRPRSRSPRGRSHGRSHREDSYDDGRHERTDRRRDRDEKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILTEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDKIGDDGLVVDGRKLFFEYSKPTGGAGGSFGQENAVKSGHNNRKSITVPSDWMCISCEYVNFARRTSCFQCNKARTEDAPAADISLSNQMTSAKKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVGDATKALEATNGTTLERNGQILRVAYAKSILGPGSSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDNQSTGGEQNGGEMAVQQDGLAPQSGFVWDEASGYYYDAASGFYYDPNTGLYYDGNNGIWYSYDQQTLQYIPCTDQNDNKTSVNQSELSKASDASSNKKVVISAPATTSTPFEKAASLPDAVQAAAEKKEKEKAKEIKLASKSSILANKKKMSNVLTMWKQRSHEGQATRVALDENQPSISADDRPVSSVQSTKSKFKIDVTTTKENTTPSSRVSTTVSAAETAGLESPVMPRPVSNSIGGTLMGVIRGSGRGVVKSDTSFSGSSGGVSIPSTSAACMVSASTMADIPTVLTPFRTDASALGSYTPPVAAGSGKRRFSELPVAPASAPKEPHTAYRDRAAERRSLYGSSLSFGDDSSDLGFGESNRDSASRKGSFDSMPFPPGVGGGRAVGDANIDSYEVITAEKAIDESNVGNKMLRNMGWHEGLGLGRDGSGMVEPVQAQSVERRAGLGSQQKKLDPTLEVQAGDSYKTLIHKKALARFREMS >ONI21102 pep chromosome:Prunus_persica_NCBIv2:G2:5722242:5734079:1 gene:PRUPE_2G049800 transcript:ONI21102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFRDHEMDNFRDHEIDTFQEFDKFRDSYRSVDSYRDPGFDRIARLGGRDRDDYAYDDFDYRSRATHQNREESRERDYDYGRHSYDSDYDRGSRRDSNWRRRGSRESRESRDREREKKCLSREREQSPHRRHERSRSRSISRGHEDRPRSRSPRGRSHGRSHREDSYDDGRHERTDRRRDRDEKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILTEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDKIGDDGLVVDGRKLFFEYSSKPTGGAGGSFGQENAVKSGHNNRKSITVPSDWMCISCEYVNFARRTSCFQCNKARTEDAPAADISLSNQMTSAKKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVGDATKALEATNGTTLERNGQILRVAYAKSILGPGSSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDNQSTGGEQNGGEMAVQQDGLAPQSGFVWDEASGYYYDAASGFYYDPNTGLYYDGNNGIWYSYDQQTLQYIPCTDQNDNKTSVNQSELSKASDASSNKKVVISAPATTSTPFEKAASLPDAVQAAAEKKEKEKAKEIKLASKSSILANKKKMSNVLTMWKQRSHEGQATRVALDENQPSISADDRPVSSVQSTKSKFKIDVTTTKENTTPSSRVSTTVSAAETAGLESPVMPRPVSNSIGGTLMGVIRGSGRGVVKSDTSFSGSSGGVSIPSTSAACMVSASTMADIPTVLTPFRTDASALGSYTPPVAAGSGKRRFSELPVAPASAPKEPHTAYRDRAAERRSLYGSSLSFGDDSSDLGFGESNRDSASRKGSFDSMPFPPGVGGGRAVGDANIDSYEVITAEKAIDESNVGNKMLRNMGWHEGLGLGRDGSGMVEPVQAQSVERRAGLGSQQKKLDPTLEVQAGDSYKTLIHKKALARFREMS >ONI21101 pep chromosome:Prunus_persica_NCBIv2:G2:5722189:5734079:1 gene:PRUPE_2G049800 transcript:ONI21101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRYGLQQGWDNNSALEGYAAVHEPNFRVGGSYDERRFIDDRYSRDDVYPRNAFHRDVLDRDNYPPPPHAVGVWPHSRRRSYEEEIPVDRDRRHEKQYIDSYHEMDNFRDHEMDNFRDHEIDTFQEFDKFRDSYRSVDSYRDPGFDRIARLGGRDRDDYAYDDFDYRSRATHQNREESRERDYDYGRHSYDSDYDRGSRRDSNWRRRGSRESRESRDREREKKCLSREREQSPHRRHERSRSRSISRGHEDRPRSRSPRGRSHGRSHREDSYDDGRHERTDRRRDRDEKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILTEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDKIGDDGLVVDGRKLFFEYSSKPTGGAGGSFGQENAVKSGHNNRKSITVPSDWMCISCEYVNFARRTSCFQCNKARTEDAPAADISLSNQMTSAKKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVGDATKALEATNGTTLERNGQILRVAYAKSILGPGSSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDNQSTGGEQNGGEMAVQQDGLAPQSGFVWDEASGYYYDAASGFYYDPNTGLYYDGNNGIWYSYDQQTLQYIPCTDQNDNKTSVNQSELSKASDASSNKKVVISAPATTSTPFEKAASLPDAVQAAAEKKEKEKAKEIKLASKSSILANKKKMSNVLTMWKQRSHEGQATRVALDENQPSISADDRPVSSVQSTKSKFKIDVTTTKENTTPSSRVSTTVSAAETAGLESPVMPRPVSNSIGGTLMGVIRGSGRGVVKSDTSFSGSSGGVSIPSTSAACMVSASTMADIPTVLTPFRTDASALGSYTPPVAAGSGKRRFSELPVAPASAPKEPHTAYRDRAAERRSLYGSSLSFGDDSSDLGFGESRFGKRWKWNGRTSAGSVGGKESRTWESTEEARSYSGGAGWR >ONI21100 pep chromosome:Prunus_persica_NCBIv2:G2:5722196:5734112:1 gene:PRUPE_2G049800 transcript:ONI21100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRYGLQQGWDNNSALEGYAAVHEPNFRVGGSYDERRFIDDRYSRDDVYPRNAFHRDVLDRDNYPPPPHAVGVWPHSRRRSYEEEIPVDRDRRHEKQYIDSYHEMDNFRDHEMDNFRDHEIDTFQEFDKFRDSYRSVDSYRDPGFDRIARLGGRDRDDYAYDDFDYRSRATHQNREESRERDYDYGRHSYDSDYDRGSRRDSNWRRRGSRESRESRDREREKKCLSREREQSPHRRHERSRSRSISRGHEDRPRSRSPRGRSHGRSHREDSYDDGRHERTDRRRDRDEKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILTEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDKIGDDGLVVDGRKLFFEYSKPTGGAGGSFGQENAVKSGHNNRKSITVPSDWMCISCEYVNFARRTSCFQCNKARTEDAPAADISLSNQMTSAKKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVGDATKALEATNGTTLERNGQILRVAYAKSILGPGSSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDNQSTGGEQNGGEMAVQQDGLAPQSGFVWDEASGYYYDAASGFYYDPNTGLYYDGNNGIWYSYDQQTLQYIPCTDQNDNKTSVNQSELSKASDASSNKKVVISAPATTSTPFEKAASLPDAVQAAAEKKEKEKAKEIKLASKSSILANKKKMSNVLTMWKQRSHEGQATRVALDENQPSISADDRPVSSVQSTKSKFKIDVTTTKENTTPSSRVSTTVSAAETAGLESPVMPRPVSNSIGGTLMGVIRGSGRGVVKSDTSFSGSSGGVSIPSTSAACMVSASTMADIPTVLTPFRTDASALGSYTPPVAAGSGKRRFSELPVAPASAPKEPHTAYRDRAAERRSLYGSSLSFGDDSSDLGFGESNRDSASRKGSFDSMPFPPGVGGGRAVGDANIDSYEVITAEKAIDESNVGNKMLRNMGWHEGLGLGRDGSGMVEPVQAQSVERRAGLGSQQKKLDPTLEVQAGDSYKTLIHKKALARFREMS >ONI21105 pep chromosome:Prunus_persica_NCBIv2:G2:5722244:5734079:1 gene:PRUPE_2G049800 transcript:ONI21105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFRDHEMDNFRDHEIDTFQEFDKFRDSYRSVDSYRDPGFDRIARLGGRDRDDYAYDDFDYRSRATHQNREESRERDYDYGRHSYDSDYDRGSRRDSNWRRRGSRESRESRDREREKKCLSREREQSPHRRHERSRSRSISRGHEDRPRSRSPRGRSHGRSHREDSYDDGRHERTDRRRDRDEKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILTEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDKIGDDGLVVDGRKLFFEYSKPTGGAGGSFGQENAVKSGHNNRKSITVPSDWMCISCEYVNFARRTSCFQCNKARTEDAPAADISLSNQMTSAKKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVGDATKALEATNGTTLERNGQILRVAYAKSILGPGSSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDNQSTGGEQNGGEMAVQQDGLAPQSGFVWDEASGYYYDAASGFYYDPNTGLYYDGNNGIWYSYDQQTLQYIPCTDQNDNKTSVNQSELSKASDASSNKKVVISAPATTSTPFEKAASLPDAVQAAAEKKEKEKAKEIKLASKSSILANKKKMSNVLTMWKQRSHEGQATRVALDENQPSISADDRPVSSVQSTKSKFKIDVTTTKENTTPSSRVSTTVSAAETAGLESPVMPRPVSNSIGGTLMGVIRGSGRGVVKSDTSFSGSSGGVSIPSTSAACMVSASTMADIPTVLTPFRTDASALGSYTPPVAAGSGKRRFSELPVAPASAPKEPHTAYRDRAAERRSLYGSSLSFGDDSSDLGFGESNRDSASRKGSFDSMPFPPGVGGGRAVGDANIDSYEVITAEKAIDESNVGNKMLRNMGWHEGLGLGRDGSGMVEPVQAQSVERRAGLGSQQKKLDPTLEVQAGDSYKTLIHKKALARFREMS >ONI21106 pep chromosome:Prunus_persica_NCBIv2:G2:5722244:5734079:1 gene:PRUPE_2G049800 transcript:ONI21106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFRDHEMDNFRDHEIDTFQEFDKFRDSYRSVDSYRDPGFDRIARLGGRDRDDYAYDDFDYRSRATHQNREESRERDYDYGRHSYDSDYDRGSRRDSNWRRRGSRESRESRDREREKKCLSREREQSPHRRHERSRSRSISRGHEDRPRSRSPRGRSHGRSHREDSYDDGRHERTDRRRDRDEKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILTEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDKIGDDGLVVDGRKLFFEYSSKPTGGAGGSFGQENAVKSGHNNRKSITVPSDWMCISCEYVNFARRTSCFQCNKARTEDAPAADISLSNQMTSAKKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVGDATKALEATNGTTLERNGQILRVAYAKSILGPGSSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDNQSTGGEQNGGEMAVQQDGLAPQSGFVWDEASGYYYDAASGFYYDPNTGLYYDGNNGIWYSYDQQTLQYIPCTDQNDNKTSVNQSELSKASDASSNKKVVISAPATTSTPFEKAASLPDAVQAAAEKKEKEKAKEIKLASKSSILANKKKMSNVLTMWKQRSHEGQATRVALDENQPSISADDRPVSSVQSTKSKFKIDVTTTKENTTPSSRVSTTVSAAETAGLESPVMPRPVSNSIGGTLMGVIRGSGRGVVKSDTSFSGSSGGVSIPSTSAACMVSASTMADIPTVLTPFRTDASALGSYTPPVAAGSGKRRFSELPVAPASAPKEPHTAYRDRAAERRSLYGSSLSFGDDSSDLGFGESRFGKRWKWNGRTSAGSVGGKESRTWESTEEARSYSGGAGWR >ONI21103 pep chromosome:Prunus_persica_NCBIv2:G2:5722244:5734079:1 gene:PRUPE_2G049800 transcript:ONI21103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFRDHEMDNFRDHEIDTFQEFDKFRDSYRSVDSYRDPGFDRIARLGGRDRDDYAYDDFDYRSRATHQNREESRERDYDYGRHSYDSDYDRGSRRDSNWRRRGSRESRESRDREREKKCLSREREQSPHRRHERSRSRSISRGHEDRPRSRSPRGRSHGRSHREDSYDDGRHERTDRRRDRDEKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILTEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDKIGDDGLVVDGRKLFFEYSSKPTGGAGGSFGQENAVKSGHNNRKSITVPSDWMCISCEYVNFARRTSCFQCNKARTEDAPAADISLSNQMTSAKKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVGDATKALEATNGTTLERNGQILRVAYAKSILGPGSSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDNQSTGGEQNGGEMAVQQDGLAPQSGFVWDEASGYYYDAASGFYYDPNTGLYYDGNNGIWYSYDQQTLQYIPCTDQNDNKTSVNQSELSKASDASSNKKVVISAPATTSTPFEKAASLPDAVQAAAEKKEKEKAKEIKLASKSSILANKKKMSNVLTMWKQRSHEGQATRVALDENQPSISADDRPVSSVQSTKSKFKIDVTTTKENTTPSSRVSTTVSAAETAGLESPVMPRPVSNSIGGTLMGVIRGSGRGVVKSDTSFSGSSGGVSIPSTSAACMVSASTMADIPTVLTPFRTDASALGSYTPPVAAGSGKRRFSELPVAPASAPKEPHTAYRDRAAERRSLYGSSLSFGDDSSDLGFGESNRDSASRKGSFDSMPFPPGVGGGRAVGDANIDSYEVITAEKAIDESNVGNKMLRNMGWHEGLGLGRDGSGMVEPVQAQSVERRAGLGSQQKKLDPTLEVQAGDSYKTLIHKKALARFREMS >ONI21104 pep chromosome:Prunus_persica_NCBIv2:G2:5722242:5734079:1 gene:PRUPE_2G049800 transcript:ONI21104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFRDHEMDNFRDHEIDTFQEFDKFRDSYRSVDSYRDPGFDRIARLGGRDRDDYAYDDFDYRSRATHQNREESRERDYDYGRHSYDSDYDRGSRRDSNWRRRGSRESRESRDREREKKCLSREREQSPHRRHERSRSRSISRGHEDRPRSRSPRGRSHGRSHREDSYDDGRHERTDRRRDRDEKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILTEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDKIGDDGLVVDGRKLFFEYSKPTGGAGGSFGQENAVKSGHNNRKSITVPSDWMCISCEYVNFARRTSCFQCNKARTEDAPAADISLSNQMTSAKKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVGDATKALEATNGTTLERNGQILRVAYAKSILGPGSSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDNQSTGGEQNGGEMAVQQDGLAPQSGFVWDEASGYYYDAASGFYYDPNTGLYYDGNNGIWYSYDQQTLQYIPCTDQNDNKTSVNQSELSKASDASSNKKVVISAPATTSTPFEKAASLPDAVQAAAEKKEKEKAKEIKLASKSSILANKKKMSNVLTMWKQRSHEGQATRVALDENQPSISADDRPVSSVQSTKSKFKIDVTTTKENTTPSSRVSTTVSAAETAGLESPVMPRPVSNSIGGTLMGVIRGSGRGVVKSDTSFSGSSGGVSIPSTSAACMVSASTMADIPTVLTPFRTDASALGSYTPPVAAGSGKRRFSELPVAPASAPKEPHTAYRDRAAERRSLYGSSLSFGDDSSDLGFGESNRDSASRKGSFDSMPFPPGVGGGRAVGDANIDSYEVITAEKAIDESNVGNKMLRNMGWHEGLGLGRDGSGMVEPVQAQSVERRAGLGSQQKKLDPTLEVQAGDSYKTLIHKKALARFREMS >ONI21097 pep chromosome:Prunus_persica_NCBIv2:G2:5723000:5734079:1 gene:PRUPE_2G049800 transcript:ONI21097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGAWFITGITVCVCLCIILQERYSNSERYHHRALCRVLLACKKEMDPGRYGLQQGWDNNSALEGYAAVHEPNFRVGGSYDERRFIDDRYSRDDVYPRNAFHRDVLDRDNYPPPPHAVGVWPHSRRRSYEEEIPVDRDRRHEKQYIDSYHEMDNFRDHEMDNFRDHEIDTFQEFDKFRDSYRSVDSYRDPGFDRIARLGGRDRDDYAYDDFDYRSRATHQNREESRERDYDYGRHSYDSDYDRGSRRDSNWRRRGSRESRESRDREREKKCLSREREQSPHRRHERSRSRSISRGHEDRPRSRSPRGRSHGRSHREDSYDDGRHERTDRRRDRDEKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILTEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDKIGDDGLVVDGRKLFFEYSSKPTGGAGGSFGQENAVKSGHNNRKSITVPSDWMCISCEYVNFARRTSCFQCNKARTEDAPAADISLSNQMTSAKKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVGDATKALEATNGTTLERNGQILRVAYAKSILGPGSSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDNQSTGGEQNGGEMAVQQDGLAPQSGFVWDEASGYYYDAASGFYYDPNTGLYYDGNNGIWYSYDQQTLQYIPCTDQNDNKTSVNQSELSKASDASSNKKVVISAPATTSTPFEKAASLPDAVQAAAEKKEKEKAKEIKLASKSSILANKKKMSNVLTMWKQRSHEGQATRVALDENQPSISADDRPVSSVQSTKSKFKIDVTTTKENTTPSSRVSTTVSAAETAGLESPVMPRPVSNSIGGTLMGVIRGSGRGVVKSDTSFSGSSGGVSIPSTSAACMVSASTMADIPTVLTPFRTDASALGSYTPPVAAGSGKRRFSELPVAPASAPKEPHTAYRDRAAERRSLYGSSLSFGDDSSDLGFGESNRDSASRKGSFDSMPFPPGVGGGRAVGDANIDSYEVITAEKAIDESNVGNKMLRNMGWHEGLGLGRDGSGMVEPVQAQSVERRAGLGSQQKKLDPTLEVQAGDSYKTLIHKKALARFREMS >ONI21107 pep chromosome:Prunus_persica_NCBIv2:G2:5722244:5734079:1 gene:PRUPE_2G049800 transcript:ONI21107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFRDHEMDNFRDHEIDTFQEFDKFRDSYRSVDSYRDPGFDRIARLGGRDRDDYAYDDFDYRSRATHQNREESRERDYDYGRHSYDSDYDRGSRRDSNWRRRGSRESRESRDREREKKCLSREREQSPHRRHERSRSRSISRGHEDRPRSRSPRGRSHGRSHREDSYDDGRHERTDRRRDRDEKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILTEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDKIGDDGLVVDGRKLFFEYSKPTGGAGGSFGQENAVKSGHNNRKSITVPSDWMCISCEYVNFARRTSCFQCNKARTEDAPAADISLSNQMTSAKKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVGDATKALEATNGTTLERNGQILRVAYAKSILGPGSSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDNQSTGGEQNGGEMAVQQDGLAPQSGFVWDEASGYYYDAASGFYYDPNTGLYYDGNNGIWYSYDQQTLQYIPCTDQNDNKTSVNQSELSKASDASSNKKVVISAPATTSTPFEKAASLPDAVQAAAEKKEKEKAKEIKLASKSSILANKKKMSNVLTMWKQRSHEGQATRVALDENQPSISADDRPVSSVQSTKSKFKIDVTTTKENTTPSSRVSTTVSAAETAGLESPVMPRPVSNSIGGTLMGVIRGSGRGVVKSDTSFSGSSGGVSIPSTSAACMVSASTMADIPTVLTPFRTDASALGSYTPPVAAGSGKRRFSELPVAPASAPKEPHTAYRDRAAERRSLYGSSLSFGDDSSDLGFGESRFGKRWKWNGRTSAGSVGGKESRTWESTEEARSYSGGAGWR >ONI21099 pep chromosome:Prunus_persica_NCBIv2:G2:5722182:5734112:1 gene:PRUPE_2G049800 transcript:ONI21099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRYGLQQGWDNNSALEGYAAVHEPNFRVGGSYDERRFIDDRYSRDDVYPRNAFHRDVLDRDNYPPPPHAVGVWPHSRRRSYEEEIPVDRDRRHEKQYIDSYHEMDNFRDHEMDNFRDHEIDTFQEFDKFRDSYRSVDSYRDPGFDRIARLGGRDRDDYAYDDFDYRSRATHQNREESRERDYDYGRHSYDSDYDRGSRRDSNWRRRGSRESRESRDREREKKCLSREREQSPHRRHERSRSRSISRGHEDRPRSRSPRGRSHGRSHREDSYDDGRHERTDRRRDRDEKRQREHYSVAPSATIVVKGLSQKTTEEDLYQILTEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDKIGDDGLVVDGRKLFFEYSSKPTGGAGGSFGQENAVKSGHNNRKSITVPSDWMCISCEYVNFARRTSCFQCNKARTEDAPAADISLSNQMTSAKKGSEAGPTHVLVVRGLDENADEEMLRYEFSKHAAIKDLRLVRDKFTHVSRGFAFVHFHSVGDATKALEATNGTTLERNGQILRVAYAKSILGPGSSQSSSLAAAAIEAATFAQQYDSVGWAPKEYNPDDNQSTGGEQNGGEMAVQQDGLAPQSGFVWDEASGYYYDAASGFYYDPNTGLYYDGNNGIWYSYDQQTLQYIPCTDQNDNKTSVNQSELSKASDASSNKKVVISAPATTSTPFEKAASLPDAVQAAAEKKEKEKAKEIKLASKSSILANKKKMSNVLTMWKQRSHEGQATRVALDENQPSISADDRPVSSVQSTKSKFKIDVTTTKENTTPSSRVSTTVSAAETAGLESPVMPRPVSNSIGGTLMGVIRGSGRGVVKSDTSFSGSSGGVSIPSTSAACMVSASTMADIPTVLTPFRTDASALGSYTPPVAAGSGKRRFSELPVAPASAPKEPHTAYRDRAAERRSLYGSSLSFGDDSSDLGFGESNRDSASRKGSFDSMPFPPGVGGGRAVGDANIDSYEVITAEKAIDESNVGNKMLRNMGWHEGLGLGRDGSGMVEPVQAQSVERRAGLGSQQKKLDPTLEVQAGDSYKTLIHKKALARFREMS >ONI23421 pep chromosome:Prunus_persica_NCBIv2:G2:22870693:22873569:1 gene:PRUPE_2G188600 transcript:ONI23421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVTKHAFSSFKLGPSQTQQPLHTTTTHFSFFRFSHFKKLRKSTSSISCSHIPPSNPRRPKSIWDSHNFPLNPIWFLVPVLQSIRVLASSRTQKWVSFLQAYRGTECVVHEKNNDFLHNSGIGVALLSVTSNAKVKISPFVAQLAANPTFVSGLFAWFMAQSTKVLLNFFVERKWDFRILYASGGMPSSHSALCTALTTSVALCHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNIVVEYLFEGHPISQKKLKELLGHTPSQVIAGALLGIVVACVCCQGSLVAT >ONI23420 pep chromosome:Prunus_persica_NCBIv2:G2:22870693:22873515:1 gene:PRUPE_2G188600 transcript:ONI23420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVVTKHAFSSFKLGPSQTQQPLHTTTTHFSFFRFSHFKKLRKSTSSISCSHIPPSNPRRPKSIWDSHNFPLNPIWFLVPVLQSIRVLASSRTQKWVSFLQAYRGTECVVHEKNNDFLHNSGIGVALLSVTSNAKVKISPFVAQLAANPTFVSGLFAWFMAQSTKVLLNFFVERKWDFRILYASGGMPSSHSALCTALTTSVALCHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNIVVEYLFEGHPISQKKLKELLGHTPSQVIAGALLGIVVACVCCQGSLVAT >ONI22585 pep chromosome:Prunus_persica_NCBIv2:G2:19486601:19487649:1 gene:PRUPE_2G137600 transcript:ONI22585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASSNEIRAPLKPPMRFMLPHEIFHGTKPAHEWYEHMDNHDYDDDDDDDDDEEEEEEEVGYCYFHNDYCYHNDGDGDGDGDGDEDYDDGYEEDDEDDEDDDDSVFSLLVQDEIDQHEFESFTSDHYYTLSLDGHDQDPTVHQDDDQDPTLCLDLDYDEGYLTVPLSPPRSVLYFDCNMCMKVAREPVVTSCGHLYCWPCLYSWLNIYSAQRECLVCKSRVFDSLITPIYNCRDINSGFKVPPRPKGLRLS >ONI21292 pep chromosome:Prunus_persica_NCBIv2:G2:7240338:7242234:-1 gene:PRUPE_2G058300 transcript:ONI21292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFKAYGKVNELEQSRLDARRKTRRRVVIISLSSIVLVCVVVAAVVGTTIHKNNSGGKPGSSLSTSVKAVCDVTLYQDSCYSSLGALVNSTNAKPEDLFKLAIRVAINELSKAASRFSGDGILNGTSHDTLSKAALENCQELLSLALDHLNDSLSDDKSLFDVFDDLKTWLSSSGTYQQTCIDGFDDAKAELKDKIVSYLKNSTELTSNSLAIVSWISKVVSSVKLRRLLTHPATTATASYSEGVPEWLHPRDRKLLESSDLRKKADIVVASDGSGKYKTIHAALKAVPDKSKKRTVIYVKKGVYFENARVEKTKWNVLVVGDGSTATIVSAGRNVIDGTPTFSSATFAVFGKGFIARDIGFRNTAGAAKHQAVALMSTADQSVFYRCHIDAFQDTLYAHSNRQFYRECNIYGTVDFIFGNSAVVLQNCNIMPKRPMAGQQNTITAQGKIDPNQNTGISIQNCSISPYGDLSSVQTYLGRPWKNYSTTVYMQTFMGSLINPSGWLPWVGNTAPDTIFYSEFQNFGPGSSTRNRVKWKGLRTINSKTAGKFTVHSLLQGNKWISDASVTYKSNL >ONI25100 pep chromosome:Prunus_persica_NCBIv2:G2:27976785:27978251:1 gene:PRUPE_2G280700 transcript:ONI25100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQIHSPSSFTSKPYHHLPHTPLLHFSVRTQFTVKLQTPSEPSKPKAETTQASPKKPTSAGLGFGSSPSSPTTQNVTSVPNKKKRVIRRSPVEKPLLYSEEDEAKAKEMGTNESAFVLAWLGLGGVILAQGLLLAASVTNALTINKRPIPLAQIFHSDCFGPKRNEASCQKNGTSSL >ONI25098 pep chromosome:Prunus_persica_NCBIv2:G2:27976651:27980293:1 gene:PRUPE_2G280700 transcript:ONI25098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQIHSPSSFTSKPYHHLPHTPLLHFSVRTQFTVKLQTPSEPSKPKAETTQASPKKPTSAGLGFGSSPSSPTTQNVTSVPNKKKRVIRRSPVEKPLLYSEEDEAKAKEMGTNESAFVLAWLGLGGVILAQGLLLAASGFLPEEWDKFFVKYLYPSFTPTVGLFVAGSVAYGVLKYLQNEELKGGEEEGLQSLF >ONI25099 pep chromosome:Prunus_persica_NCBIv2:G2:27976651:27980293:1 gene:PRUPE_2G280700 transcript:ONI25099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQIHSPSSFTSKPYHHLPHTPLLHFSVRTQFTVKLQTPSEPSKPKAETTQASPKKPTSAGLGFGSSPSSPTTQNVTSVPNKKKRVIRRSPVEKPLLYSEEDEAKAKEMGTNESAFVLAWLGLGGVILAQGLLLAASGFLPEEWDKFFVKYLYPSFTPTVGLFVAGSVAYGVLKYLQNEELKGKK >ONI24208 pep chromosome:Prunus_persica_NCBIv2:G2:25475164:25477743:-1 gene:PRUPE_2G230000 transcript:ONI24208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24196 pep chromosome:Prunus_persica_NCBIv2:G2:25475164:25477743:-1 gene:PRUPE_2G230000 transcript:ONI24196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEAAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24201 pep chromosome:Prunus_persica_NCBIv2:G2:25475164:25477743:-1 gene:PRUPE_2G230000 transcript:ONI24201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKTDTASVLQEASIYIMLLQEQIQQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24198 pep chromosome:Prunus_persica_NCBIv2:G2:25474923:25478483:-1 gene:PRUPE_2G230000 transcript:ONI24198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKTDTASVLQEASIYIMLLQEQIQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24203 pep chromosome:Prunus_persica_NCBIv2:G2:25474923:25478495:-1 gene:PRUPE_2G230000 transcript:ONI24203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24215 pep chromosome:Prunus_persica_NCBIv2:G2:25475164:25477529:-1 gene:PRUPE_2G230000 transcript:ONI24215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24214 pep chromosome:Prunus_persica_NCBIv2:G2:25475164:25477529:-1 gene:PRUPE_2G230000 transcript:ONI24214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24195 pep chromosome:Prunus_persica_NCBIv2:G2:25474923:25478417:-1 gene:PRUPE_2G230000 transcript:ONI24195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEAAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24207 pep chromosome:Prunus_persica_NCBIv2:G2:25474923:25478527:-1 gene:PRUPE_2G230000 transcript:ONI24207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24206 pep chromosome:Prunus_persica_NCBIv2:G2:25474923:25478526:-1 gene:PRUPE_2G230000 transcript:ONI24206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24213 pep chromosome:Prunus_persica_NCBIv2:G2:25475164:25477529:-1 gene:PRUPE_2G230000 transcript:ONI24213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24205 pep chromosome:Prunus_persica_NCBIv2:G2:25474923:25478495:-1 gene:PRUPE_2G230000 transcript:ONI24205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24194 pep chromosome:Prunus_persica_NCBIv2:G2:25474923:25478417:-1 gene:PRUPE_2G230000 transcript:ONI24194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEAAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24200 pep chromosome:Prunus_persica_NCBIv2:G2:25475164:25477743:-1 gene:PRUPE_2G230000 transcript:ONI24200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKTDTASVLQEASIYIMLLQEQIQQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24197 pep chromosome:Prunus_persica_NCBIv2:G2:25475164:25477743:-1 gene:PRUPE_2G230000 transcript:ONI24197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEAAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24209 pep chromosome:Prunus_persica_NCBIv2:G2:25475164:25477743:-1 gene:PRUPE_2G230000 transcript:ONI24209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24202 pep chromosome:Prunus_persica_NCBIv2:G2:25474923:25478527:-1 gene:PRUPE_2G230000 transcript:ONI24202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24211 pep chromosome:Prunus_persica_NCBIv2:G2:25475164:25477529:-1 gene:PRUPE_2G230000 transcript:ONI24211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKTDTASVLQEASIYIMLLQEQIQQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24212 pep chromosome:Prunus_persica_NCBIv2:G2:25474923:25478495:-1 gene:PRUPE_2G230000 transcript:ONI24212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24199 pep chromosome:Prunus_persica_NCBIv2:G2:25474923:25478483:-1 gene:PRUPE_2G230000 transcript:ONI24199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKTDTASVLQEASIYIMLLQEQIQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24210 pep chromosome:Prunus_persica_NCBIv2:G2:25474923:25478483:-1 gene:PRUPE_2G230000 transcript:ONI24210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKTDTASVLQEASIYIMLLQEQIQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI24204 pep chromosome:Prunus_persica_NCBIv2:G2:25475164:25477743:-1 gene:PRUPE_2G230000 transcript:ONI24204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLPSVPFHLYNFGNNIEVQMSNWDAQVNMNRRLGFYMGSKAFESDNQNSRAGDDFSLPLPLPLQSSSLLAYQPAYPSGAFRYHDGVSQQPVLLDKKISAPQFEADFQAIQNARKRPIEVDDLGGLVGDKTALNEWNQNKRSKVTNSQQQWHQQFQETSMQQQNNKLHAPVRRSQKLSDKITVLQKLVSPYGKTDTASVLQEASIYIMLLQEQIQQNLLRMFSSSYKNAAVLHTQECGSRQVLDLRSKGLCLVPVSVTQKVTMEEGVDHAATSRKIVIANNLY >ONI23482 pep chromosome:Prunus_persica_NCBIv2:G2:23008036:23021202:-1 gene:PRUPE_2G190800 transcript:ONI23482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPVPKNAVLVQSTKVEAMRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI23478 pep chromosome:Prunus_persica_NCBIv2:G2:23006794:23021648:-1 gene:PRUPE_2G190800 transcript:ONI23478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVDLPSAVDPTHHLPLQFLPSDPTPPAPTRSDPPGCTLDWLPDFLDLSWVAYGASSLLVISHFPSPLSDAETVIGPIFRQIFELSGDPSSAVEAVSWSPSTPSIGELAAAAENCVWVFSHDSASSKGSFCWSQNAVLVQSTKVEAMRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI23487 pep chromosome:Prunus_persica_NCBIv2:G2:23008036:23020698:-1 gene:PRUPE_2G190800 transcript:ONI23487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI23483 pep chromosome:Prunus_persica_NCBIv2:G2:23006794:23021648:-1 gene:PRUPE_2G190800 transcript:ONI23483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPVPKNAVLVQSTKVEAMRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI23488 pep chromosome:Prunus_persica_NCBIv2:G2:23008036:23020698:-1 gene:PRUPE_2G190800 transcript:ONI23488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI23485 pep chromosome:Prunus_persica_NCBIv2:G2:23008036:23020698:-1 gene:PRUPE_2G190800 transcript:ONI23485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI23490 pep chromosome:Prunus_persica_NCBIv2:G2:23008263:23021566:-1 gene:PRUPE_2G190800 transcript:ONI23490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVDLPSAVDPTHHLPLQFLPSDPTPPAPTRSDPPGCTLDWLPDFLDLSWVAYGASSLLVISHFPSPLSDAETVIGPIFRQIFELSGDPSSAVEAVSWSPSTPSIGELAAAAENCVWVFSHDSASSKGSFCWSQNAVLVQSTKVEAMRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQVGPLFMQ >ONI23489 pep chromosome:Prunus_persica_NCBIv2:G2:23006794:23021118:-1 gene:PRUPE_2G190800 transcript:ONI23489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI23486 pep chromosome:Prunus_persica_NCBIv2:G2:23008036:23020698:-1 gene:PRUPE_2G190800 transcript:ONI23486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI23480 pep chromosome:Prunus_persica_NCBIv2:G2:23008036:23021566:-1 gene:PRUPE_2G190800 transcript:ONI23480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVDLPSAVDPTHHLPLQFLPSDPTPPAPTRSDPPGCTLDWLPDFLDLSWVAYGASSLLVISHFPSPLSDAETVIGPIFRQIFELSGDPSSAVEAVSWSPSTPSIGELAAAAENCVWVFSHDSASSKGSFCWSQNAVLVQSTKVEAMRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI23479 pep chromosome:Prunus_persica_NCBIv2:G2:23006794:23021648:-1 gene:PRUPE_2G190800 transcript:ONI23479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVDLPSAVDPTHHLPLQFLPSDPTPPAPTRSDPPGCTLDWLPDFLDLSWVAYGASSLLVISHFPSPLSDAETVIGPIFRQIFELSGDPSSAVEAVSWSPSTPSIGELAAAAENCVWVFSHDSASSKGSFCWSQNAVLVQSTKVEAMRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI23481 pep chromosome:Prunus_persica_NCBIv2:G2:23008036:23021202:-1 gene:PRUPE_2G190800 transcript:ONI23481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPVPKNAVLVQSTKVEAMRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI23484 pep chromosome:Prunus_persica_NCBIv2:G2:23008036:23020698:-1 gene:PRUPE_2G190800 transcript:ONI23484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTGSGDGIIAGGIDVVLWKRNGRSWEIAWKFKADMPQSMVSATWSVDGPFATAAYQTKGLLTNKASKCVLVCQRVGKSGFLTSELHHPHPISMIQWRPLTGSFNRDAKHPPRQVLLTCSADGTARLWCEVDDGRGRKVGKDINDHKTMRCSFSVAAVIEINQALNGILGTDIYLMWATEIGGVHKTSEGAKQIFFGKGYEQDQPGNCEWLIGSGPGMLVNFWAIHCLDDVSPIRFPRVTLWKTQKLQGLKGGLSNYKDGIPLNKVVISRNCLSGPPTLCSFVQLLPGNSLVWSQLYTQTSNNAEDISLNKSGTGNILSCSAGGLLNLDGHAGRILQVAVHPYSCEVELAVSLDSCGLLLFWFFSTISNCILGRPTLIPTWELCGKLVTQGSCSKYTSLRWAPSIVNEAVVLLMGHAGGVDCFVVKFHHNEEESIECHYLCTIPFTGHGPYENGPTSIFSIPLPSTCHKTLKSNKFMLLGVWMNGFQALSWEITLHSFDLSRSYCECQFDAGSAPEGSMWRFETTFANERYCLNVKPCSSKIPDPHTHDDVSSFAVVCPGRLIRIEKSLASTIDRCCPPYILATGCSDGSLKLWRSNMDKPSTPQIPWELVGMLVAHQGPISSICLSDCGRKIATICKELPSNTISTLCIWDPVLLADAGTFMLEDTLSFGQDLVALNWLYCGNGQLLLGACTQNQLQVYSQQRCGGQTLLNSGKLLKKDIWVCIASTRTFPPIYDFFWGPRATAIFVHNSYFCVNSQWLFPINKKHLANADPNCPDYLGRMEEDIDSTVFIDCGLDQFKKILLGDSRRDCKSGIPLEIDLKKDYLSSSLFLARAQLKCGSATKLGLWNMHEVIEKLNGSLPVYHPEALFMNIYSGNWKRAYIALRHLNEFLSSNSSPERKYSPAKCSICVPQIPLSSFFDARISVYSNDRGFQWSGDASLVTSSSQFQRNLDQFTYSLDSYASSNQLNSSSTKTELSDFVEPFEKLYKSAAISDMEKIQILSIIDLLIEMTNSHSGSAYESLDEPGRRFWVALRFQQLHSFRKHGRLASVEELVVDSKLIGWAYHSDCQENLFGSFLPNDPSWQEMRNLGIGFWFTNTAQLRSRMEKLARLQYLKRKDPKDCALLYIALNRIQVLSGLFKISKDEKDKPLVGFLSRDFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVNICAKNLGDEQLALVICRLVEGRGGPLERHLITKFMLPFAIEKDDYWLASLLEWELGNYSLSLIHMLGFQINSATEKYILSSNGVAFSDPNVGLYCLMLATNNCMRNAVGERNIAILGRWAILTTATALNRCGLPLEALEYLSSLPTIRGDTDERGMSDLGHSENLHAILNPSPINSFNWLSSYVACDLEFQGKLDLTLQYLSKLVREHPSWVDIAFGSSEASTCVKGYENHEYVKVLESFQQKLYTAVHLLEQKFSVVPFHLISLILIWLQDHGLWFVGFDILHGYTSQHQELDKTQTVDRFLSYALMHKPLLKATRETSLLFSRVIGACGITCSILKSHYIENNVSGDSRSMRLDSLGYYFQGLTLSLQSLRAALRFAFFSSTEDLTMKPLAVIDLIEYYVQLAYAWHRKNSKVLLLLVQPLMITFTNGHTPYEVDMMTLKKLLPQIQEVVAQNVSSDNVSLQVSQDRNITHSIPEDERWQIIGACLWQHISRLMKHKLNLLSYKLDDGCFSGIPDRKHFSRLPSFANLQSDSNSVNELIELVSLSLLKLLKPTLAHVASYYVKQLASLLQHKMDYGLHVRTLVWLEESNQCQTRALNQHLNQDIVKLDTIDERHESDMLWVTCADPKMISESFAEEKINWSHSFDRKPSKGWSNICRGITTVDETEEIPNHEVSLNSSSASTEAGSPAKSIFRGGHSFLGAWQKDTTLTKEVTHFLNPKEIYKRNGELLEALCLNSIDQGQAALASNRKGILFFNWKDDVSFGDHSDYIWSEADWPLNGWAGSESTPTPTCVSPGVGLGSKKGAHLGLGGATVGVGSLTRPGRDLTGGGAFGIPGYAGIGASGLGWETQEDFEELVDPPATVENANMRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKTTATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIGPTESSLCFNSHASDVAYVTSSGSIIAVAGFSSNNVNVVIWDTLAPPTTSRASILCHEGGARSLSVFDNDIGSGSISPLIVTGGKGGDVGLHDFRYIATGRSKRHRHSDKGEQVMKTSSNIDVHPGNGTKLGEQNQNGMLWYIPKAHSGSVTKISIIPNTSLFLTGSKDGDVKLWDAKRAKLVYHWPKLHERHTFLQPSTRGFGGVVQAAVTDIKVVSHGFLSCGGDGTVKLVQLKDHQHQT >ONI24945 pep chromosome:Prunus_persica_NCBIv2:G2:27490067:27492659:-1 gene:PRUPE_2G270800 transcript:ONI24945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSGRLLAVSFPVNRYFPYNLQSSSSRFSYASPKSISRWRSMTSEPESSSFAPSIDSDPTDTNPAGFCIIEGPETVQDFAKMELQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKSAELGMLSEDQENELPSFPSFIPFLPPLSSDNLKQYYVVCYSIITAFILFGGLLAPTLELKLGIGGTSYKDFIESVHLPLQLSQVDPIVASFSGGAVGVISALMIVEINNVKQQEQKRCKYCVGTGYLACARCSSTGTLVLTEPISAVDGDQPLSLPKAERCSNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >ONI23016 pep chromosome:Prunus_persica_NCBIv2:G2:21409447:21411686:1 gene:PRUPE_2G164300 transcript:ONI23016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLLMGIDPQTHKPVDSNCLTSTAPASLATRHMAQWESARLEAEARLSRESSLFSQPPEKSEPDYFLRLWNSEVGEAFRNLTTVDKSACPSPMSQASSSTKCGSVSAVTTEVGNTLTGSSTMAGDQNDDMDDKICQTNTEDVGHNICQTNTEDVGYKICQTNTEDLEYKSCQTNTEDMGYKIFQSNTEDVEYKPWRLNTKDVMAGSDSSCSNDLEDSSDTALQLLLNFPINNDMSFLEDNVDDYATTPARWTPNSFICPL >ONI23015 pep chromosome:Prunus_persica_NCBIv2:G2:21408437:21411686:1 gene:PRUPE_2G164300 transcript:ONI23015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDNRGLKRGPWSAEEDEALLNYINKNNGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTKDEEKLIMQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLLMGIDPQTHKPVDSNCLTSTAPASLATRHMAQWESARLEAEARLSRESSLFSQPPEKSEPDYFLRLWNSEVGEAFRNLTTVDKSACPSPMSQASSSTKCGSVSAVTTEVGNTLTGSSTMAGDQNDDMDDKICQTNTEDVGHNICQTNTEDVGYKICQTNTEDLEYKSCQTNTEDMGYKIFQSNTEDVEYKPWRLNTKDVMAGSDSSCSNDLEDSSDTALQLLLNFPINNDMSFLEDNVDDYATTPARWTPNSFICPL >ONI24352 pep chromosome:Prunus_persica_NCBIv2:G2:25764645:25768133:-1 gene:PRUPE_2G235900 transcript:ONI24352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSDPNVSIFSGEEVEFMAEDEMVEIVPNMRMEPLNMLCGDFGPFYPQMATQVPMWLAIALKKRGKCTIRPPEWMSVENLTQILEAERESQAAFQVLPFHYVEISSLLFDYASGDIPDLYMVRSLINDIRDARFLKVESSLESFEDARSSAVKVKNLSAMEVNVVRPFVGRALQSFYKHGSPDLVPNPEAMSARRPQATDNIQRRPLRKR >ONI24353 pep chromosome:Prunus_persica_NCBIv2:G2:25765395:25767688:-1 gene:PRUPE_2G235900 transcript:ONI24353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDEMVEIVPNMRMEPLNMLCGDFGPFYPQMATQVPMWLAIALKKRGKCTIRPPEWMSVENLTQILEAERESQAAFQVLPFHYVEISSLLFDYASGDIPDLYMVRSLINDIRDARFLKVESSLESFEDARSSAVKVKNLSAMEVNVVRPFVGRALQSFYKHGSPDLVPNPEAMSARRPQATDNIQRRPLRKR >ONI25623 pep chromosome:Prunus_persica_NCBIv2:G2:29478257:29480459:-1 gene:PRUPE_2G312100 transcript:ONI25623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMPSSSSANHDKQHVLVKKINYTTQEIEIHYQIGCPPGQIFYLNLSSSPFEFEDFNYTLFSCPSSVPYISSGTSYDCLTKLSPCLGNINNQTNYYAMLGGYCSISRMPLESCTKVLDYTSVPDLFTIKQANILKLLWFIPDCRRCEDLGKACRFKDEFYSTQKNHTETQCYVLKGPKRPRATLRILGICALSVVAIVTGIVICYVYSSTKTEKESQLRIERFLDDYRALKPSRYSYADIKRMTNKFKDKLGQGDYGTVFKGKLSSELLVAVKILSNSNEKAGEDFINEVGTMGRIHHVNVVRLVGFCADGFIRALVYDFLPNGSLQNFISSSAAAADHMSRNDNSNFLGWDKLQDIALGIAKGIEYLHQGCDQQILQFDIKPHNVLLDQNFTPKVCDFGLAKLCSKDQSAISMTTARGTMGYIAPEVFSGNFGNVSHKSDVYSFGTLLLEIVGGRKNFKVAEDNESSTSQVYFPKWIYKLLEQDQEDLRIHIEDEGDVKIARKLAIVGLWCIQWYPLDRPSMKIVVQMLERQDDKLIMPPNPFASTSN >ONI24587 pep chromosome:Prunus_persica_NCBIv2:G2:26419070:26422195:1 gene:PRUPE_2G248500 transcript:ONI24587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTQPEDDSVPTTGSTRPFDDDGYLGYDPRLPSVRFDSFVATNFADSESVKDSATDSPLFHGSAVEDAYAAQQATEGLSPPSIYPESNGQGFDGGFGESNDPILPPPSDMLPEEGFALREWRRQNAIELEEKEKREKELLNQIIEEANEFKIDFYQKRKITCENNKAANREREKLFLASQEKFHAEVDKNYWKAVADLIPNEVPAIEKKRGKKDQEKKPSIIVVQGPKPGKPTELSRMRQILLKLKHNTPPHLKPTPPAPAPANDAKPSSSSAPPKAAAVAAAPEAVVAAA >ONI22986 pep chromosome:Prunus_persica_NCBIv2:G2:21310934:21315160:1 gene:PRUPE_2G162500 transcript:ONI22986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAQMQEEDENATFFVAVHVGAGYHAPSNEKALRSAIKRACLAAASLLRKGSGRCIDAVTAAIQVLEDDPSTNAGRGSNLTEDGHVECDASIMDGSSGVFGAVGAIPGVRNPIQVAALLAKEQMMGSSLLGRIPPIFLVGEGARIWAKSKGIALTSSTPAADEWLVTERAKAQWKKYKAMVDDAKGKTENSAFGLSCCPQETAGMSELEAQPCDSLKSNGAEDDCVMDTVGVICVDAEGQIASGASSGGIALKVGGRVGLAAMHGSGCWASSKGLFGAPCIVGCCVSGAGEYLMKGFAARECCVSLSLSQAGPASACMKVLRSVVQESTHNCTDRSAGILLVQADDPTTLSGSSSKLKAVEIAAAYSSLSFGIGYFGSSMERPKVSILRSTKLQSKTGVDHFEARIDLTGGKLL >ONI22989 pep chromosome:Prunus_persica_NCBIv2:G2:21310934:21314293:1 gene:PRUPE_2G162500 transcript:ONI22989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCQYYGWKFWSFWSCWSNSRPGVRNPIQVAALLAKEQMMGSSLLGRIPPIFLVGEGARIWAKSKGIALTSSTPAADEWLVTERAKAQWKKYKAMVDDAKGKTENSAFGLSCCPQETAGMSELEAQPCDSLKSNGAEDDCVMDTVGVICVDAEGQIASGASSGGIALKVGGRVGLAAMHGSGCWASSKGLFGAPCIVGCCVSGAGEYLMKGFAARECCVSLSLYVCPITI >ONI22990 pep chromosome:Prunus_persica_NCBIv2:G2:21312254:21314049:1 gene:PRUPE_2G162500 transcript:ONI22990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCQYYGWKFWSFWSCWSNSRPGVRNPIQVAALLAKEQMMGSSLLGRIPPIFLVGEGARIWAKSKGIALTSSTPAADEWLVTERAKAQWKKYKAMVDDAKGKTENSAFGLSCCPQETAGMSELEAQPCDSLKSNGAEDDCVMDTVGVICVDAEGQIASGASSGGIALKVGGRVGLAAMHGSGCWASSKGLFGAPCIVGCCVSGAGEYLMKGFAARECCVSLSLYVCPITI >ONI22991 pep chromosome:Prunus_persica_NCBIv2:G2:21310948:21315160:1 gene:PRUPE_2G162500 transcript:ONI22991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSGVFGAVGAIPGVRNPIQVAALLAKEQMMGSSLLGRIPPIFLVGEGARIWAKSKGIALTSSTPAADEWLVTERAKAQWKKYKAMVDDAKGKTENSAFGLSCCPQETAGMSELEAQPCDSLKSNGAEDDCVMDTVGVICVDAEGQIASGASSGGIALKVGGRVGLAAMHGSGCWASSKGLFGAPCIVGCCVSGAGEYLMKGFAARECCVSLSLSQAGPASACMKVLRSVVQESTHNCTDRSAGILLVQADDPTTLSGSSSKLKAVEIAAAYSSLSFGIGYFGSSMERPKVSILRSTKLQSKTGVDHFEARIDLTGGKLL >ONI22988 pep chromosome:Prunus_persica_NCBIv2:G2:21310934:21315160:1 gene:PRUPE_2G162500 transcript:ONI22988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCQYYGWKFWSFWSCWSNSRPGVRNPIQVAALLAKEQMMGSSLLGRIPPIFLVGEGARIWAKSKGIALTSSTPAADEWLVTERAKAQWKKYKAMVDDAKGKTENSAFGLSCCPQETAGMSELEAQPCDSLKSNGAEDDCVMDTVGVICVDAEGQIASGASSGGIALKVGGRVGLAAMHGSGCWASSKGLFGAPCIVGCCVSGAGEYLMKGFAARECCVSLSLSQAGPASACMKVLRSVVQESTHNCTDRSAGILLVQADDPTTLSGSSSKLKAVEIAAAYSSLSFGIGYFGSSMERPKVSILRSTKLQSKTGVDHFEARIDLTGGKLL >ONI22987 pep chromosome:Prunus_persica_NCBIv2:G2:21310934:21315160:1 gene:PRUPE_2G162500 transcript:ONI22987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCQYYGWKFWSFWSCWSNSRPGVRNPIQVAALLAKEQMMGSSLLGRIPPIFLVGEGARIWAKSKGIALTSSTPAADEWLVTERAKAQWKKYKAMVDDAKGKTENSAFGLSCCPQETAGMSELEAQPCDSLKSNGAEDDCVMDTVGVICVDAEGQIASGASSGGIALKVGGRVGLAAMHGSGCWASSKGLFGAPCIVGCCVSGAGEYLMKGFAARECCVSLSLSQAGPASACMKVLRSVVQESTHNCTDRSAGILLVQADDPTTLSGSSSKLKAVEIAAAYSSLSFGIGYFGSSMERPKVSILRSTKLQSKTGVDHFEARIDLTGGKLL >ONI24333 pep chromosome:Prunus_persica_NCBIv2:G2:25696838:25697214:-1 gene:PRUPE_2G234500 transcript:ONI24333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQLLGFCSLGVLVPFDAHVSYCFASEDKCVPLTHVYSVSCSS >ONI24331 pep chromosome:Prunus_persica_NCBIv2:G2:25695992:25697769:-1 gene:PRUPE_2G234500 transcript:ONI24331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQLLGFCSLGVLVPFDAHVSYCFASEDKPHAKI >ONI24335 pep chromosome:Prunus_persica_NCBIv2:G2:25696838:25697214:-1 gene:PRUPE_2G234500 transcript:ONI24335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQLLGFCSLGVLVPFDAHVSYCFASEDKCVPLTHVYSVSCSS >ONI24330 pep chromosome:Prunus_persica_NCBIv2:G2:25695989:25697816:-1 gene:PRUPE_2G234500 transcript:ONI24330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQLLGFCSLGVLVPFDAHVSYCFASEDKPHAKI >ONI24337 pep chromosome:Prunus_persica_NCBIv2:G2:25696870:25697214:-1 gene:PRUPE_2G234500 transcript:ONI24337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQVRIDLFIQFDYIWNGMDISGLCCASCCIDSFWGSVHLVFWCPLMLMYPTALRVKISVFL >ONI24336 pep chromosome:Prunus_persica_NCBIv2:G2:25695992:25697738:-1 gene:PRUPE_2G234500 transcript:ONI24336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQLLGFCSLGVLVPFDAHVSYCFASEDKCVPLTHVYSVSCSS >ONI24332 pep chromosome:Prunus_persica_NCBIv2:G2:25695981:25697768:-1 gene:PRUPE_2G234500 transcript:ONI24332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQLLGFCSLGVLVPFDAHVSYCFASEDKPHAKI >ONI24329 pep chromosome:Prunus_persica_NCBIv2:G2:25695992:25697770:-1 gene:PRUPE_2G234500 transcript:ONI24329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQVRIDLFIQFDYIWNGMDISGLCCASCCIDSFWGSVHLVFWCPLMLMYPTALRVKISLMPKFSWFRLFKMGC >ONI24326 pep chromosome:Prunus_persica_NCBIv2:G2:25695992:25697734:-1 gene:PRUPE_2G234500 transcript:ONI24326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQVRIDLFIQFDYIWNGMDISGLCCASCCIDSFWGSVHLVFWCPLMLMYPTALRVKISLMPKFSWFRLFKMGC >ONI24334 pep chromosome:Prunus_persica_NCBIv2:G2:25696838:25697214:-1 gene:PRUPE_2G234500 transcript:ONI24334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQLLGFCSLGVLVPFDAHVSYCFASEDKCVPLTHVYSVSCSS >ONI24325 pep chromosome:Prunus_persica_NCBIv2:G2:25695992:25697770:-1 gene:PRUPE_2G234500 transcript:ONI24325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQVRIDLFIQFDYIWNGMDISGLCCASCCIDSFWGSVHLVFWCPLMLMYPTALRVKISLMPKFSWFRLFKMGC >ONI24327 pep chromosome:Prunus_persica_NCBIv2:G2:25695991:25697769:-1 gene:PRUPE_2G234500 transcript:ONI24327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQVRIDLFIQFDYIWNGMDISGLCCASCCIDSFWGSVHLVFWCPLMLMYPTALRVKISLMPKFSWFRLFKMGC >ONI24328 pep chromosome:Prunus_persica_NCBIv2:G2:25695992:25697775:-1 gene:PRUPE_2G234500 transcript:ONI24328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQVRIDLFIQFDYIWNGMDISGLCCASCCIDSFWGSVHLVFWCPLMLMYPTALRVKISLMPKFSWFRLFKMGC >ONI24338 pep chromosome:Prunus_persica_NCBIv2:G2:25696870:25697214:-1 gene:PRUPE_2G234500 transcript:ONI24338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIWQMFKPLHFQAFPSVVGWVGSYGGNGMSNIDHFIQDFEINLCLSLSSHVLQVRIDLFIQFDYIWNGMDISGLCCASCCIDSFWGSVHLVFWCPLMLMYPTALRVKISVFL >ONI21926 pep chromosome:Prunus_persica_NCBIv2:G2:15456973:15472818:-1 gene:PRUPE_2G098900 transcript:ONI21926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21944 pep chromosome:Prunus_persica_NCBIv2:G2:15456994:15472637:-1 gene:PRUPE_2G098900 transcript:ONI21944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMQELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21957 pep chromosome:Prunus_persica_NCBIv2:G2:15457318:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21964 pep chromosome:Prunus_persica_NCBIv2:G2:15457514:15472588:-1 gene:PRUPE_2G098900 transcript:ONI21964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKNMWITVKLRRRSTEVFFVFVKEAWKCFETNNHFLIFSNLSRLSSNSGAKWGFHITFFRTLRNECKRKCKGHFYYTHTTHGLAV >ONI21951 pep chromosome:Prunus_persica_NCBIv2:G2:15456973:15472637:-1 gene:PRUPE_2G098900 transcript:ONI21951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21956 pep chromosome:Prunus_persica_NCBIv2:G2:15457318:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21939 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKWSSLYQELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21958 pep chromosome:Prunus_persica_NCBIv2:G2:15456981:15472638:-1 gene:PRUPE_2G098900 transcript:ONI21958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMQELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21935 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKWSSLYQELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21937 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKWSSLYQELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21930 pep chromosome:Prunus_persica_NCBIv2:G2:15456973:15472818:-1 gene:PRUPE_2G098900 transcript:ONI21930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21950 pep chromosome:Prunus_persica_NCBIv2:G2:15457059:15472588:-1 gene:PRUPE_2G098900 transcript:ONI21950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21928 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21952 pep chromosome:Prunus_persica_NCBIv2:G2:15457318:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21942 pep chromosome:Prunus_persica_NCBIv2:G2:15456994:15472637:-1 gene:PRUPE_2G098900 transcript:ONI21942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMQELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21925 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21953 pep chromosome:Prunus_persica_NCBIv2:G2:15457318:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21963 pep chromosome:Prunus_persica_NCBIv2:G2:15457579:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKNMWITVKLRRRSTEVFFVFVKEAWKCFETNNHFLIFSNLSRLSSNSGAKWGFHITFFRTLRNECKRKCKGHFYYTHTTHGLAV >ONI21941 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMQELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21923 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21943 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMQELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21959 pep chromosome:Prunus_persica_NCBIv2:G2:15457318:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMQELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21961 pep chromosome:Prunus_persica_NCBIv2:G2:15457318:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMQELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21931 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21929 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21955 pep chromosome:Prunus_persica_NCBIv2:G2:15456973:15472637:-1 gene:PRUPE_2G098900 transcript:ONI21955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21938 pep chromosome:Prunus_persica_NCBIv2:G2:15456986:15472588:-1 gene:PRUPE_2G098900 transcript:ONI21938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKWSSLYQELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21948 pep chromosome:Prunus_persica_NCBIv2:G2:15457318:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21962 pep chromosome:Prunus_persica_NCBIv2:G2:15457514:15472588:-1 gene:PRUPE_2G098900 transcript:ONI21962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKNMWITVKLRRRSTEVFFVFVKEAWKCFETNNHFLIFSNLSRLSSNSGAKWGFHITFFRTLRNECKRKCKGHFYYTHTTHGLAV >ONI21945 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMQELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21936 pep chromosome:Prunus_persica_NCBIv2:G2:15456986:15472588:-1 gene:PRUPE_2G098900 transcript:ONI21936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKWSSLYQELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21924 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21933 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21946 pep chromosome:Prunus_persica_NCBIv2:G2:15456980:15472588:-1 gene:PRUPE_2G098900 transcript:ONI21946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21927 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21947 pep chromosome:Prunus_persica_NCBIv2:G2:15457059:15472588:-1 gene:PRUPE_2G098900 transcript:ONI21947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21965 pep chromosome:Prunus_persica_NCBIv2:G2:15457579:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKNMWITVKLRRRSTEVFFVFVKEAWKCFETNNHFLIFSNLSRLSSNSGAKWGFHITFFRTLRNECKRKCKGHFYYTHTTHGLAV >ONI21932 pep chromosome:Prunus_persica_NCBIv2:G2:15457296:15471425:-1 gene:PRUPE_2G098900 transcript:ONI21932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21949 pep chromosome:Prunus_persica_NCBIv2:G2:15457059:15472588:-1 gene:PRUPE_2G098900 transcript:ONI21949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQEGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21960 pep chromosome:Prunus_persica_NCBIv2:G2:15456981:15472638:-1 gene:PRUPE_2G098900 transcript:ONI21960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMQELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21940 pep chromosome:Prunus_persica_NCBIv2:G2:15457059:15472588:-1 gene:PRUPE_2G098900 transcript:ONI21940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMQELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21954 pep chromosome:Prunus_persica_NCBIv2:G2:15457059:15472588:-1 gene:PRUPE_2G098900 transcript:ONI21954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHQDKIRQLTSGVENDAESESHGKDSRGPGILNGQFPHILEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGTG >ONI21934 pep chromosome:Prunus_persica_NCBIv2:G2:15456986:15472588:-1 gene:PRUPE_2G098900 transcript:ONI21934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKWSSLYQELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI21922 pep chromosome:Prunus_persica_NCBIv2:G2:15456981:15472818:-1 gene:PRUPE_2G098900 transcript:ONI21922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRASQQLKDGRMQNQSNDVLNRRSSEAQLAPKNLKDDSVHFKDRESMELYSRARAQEEEIQFLRGQITVACVKELRLLNEKYALERKFADLRMVIDEKQNEATTSALNELARRKGDLEENLKLTHDLKAADDERYIFMSSMLGLLAEYGILPHAINASAISSSLKRLHDQLQWKIRTSHEQQGFDHYTDRQHLEPTDKLPKYVAHIADMKMLINNEVPHEFASNTEREMAGFTNKDDANARAGRMTNGTLFSPHTTHDEIASSAPQGPTIENFQIIGDAVPGGRLLGCGYPIRGTSLCMFQWVRHLQDGTREFIEGATNPEYIVTADDVDKIIAVDCIPMDDQGRQGELVRLFANDQNKIRCDPEMQMEIDEHISRGQATFSVQLLMDSSENWEPAALILRRSSYQIKINSTEAVVIAEKFSKELSIKVPCGLSTQFVLTCSDGSSHPCTTSNVRTRDTLVLTMRILQGKALDDKRKGRV >ONI23974 pep chromosome:Prunus_persica_NCBIv2:G2:24711654:24715562:-1 gene:PRUPE_2G216800 transcript:ONI23974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMEEPILDAIYGGAVNFTDNGAEAESFKHRVDEIFVKVDKLEQRVNEIEQSSKKQPNACKSSSTVKDKDKHIPSMKKQQQDAACREAASAKRMQELMRHFGTILRQVIDKPMDFSTIKNQMEAKDGTGYKNVREICADVRLVFKNAMKYNDERSDVHVMAKTLMAKFEEKWLQLLPKVTEEEKRLEEEEAEAQLKMQLAEEAARAKMARDLSNELYEVDMHLEELREMVVQKCRKMSTEEKRKLGVALTRLSPEDLSKALDIVAQNNPGFQATADEVDLDIDAQTESTLWRLKFFVKDAIEVQGKSSASMGGNTNTNTNNHNSGNKTNNNLTNATNKRKREISDAIVKTAKKKNKKPSP >ONI23973 pep chromosome:Prunus_persica_NCBIv2:G2:24711674:24715562:-1 gene:PRUPE_2G216800 transcript:ONI23973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMEEPILDAIYGGAVNFTDNGAEAESFKHRVDEIFVKVDKLEQRVNEIEQSSKKQPNACKSSSTVKDKDKHIPSMKKQQQDAACREAASAKRMQELMRHFGTILRQEKRLEEEEAEAQLKMQLAEEAARAKMARDLSNELYEVDMHLEELREMVVQKCRKMSTEEKRKLGVALTRLSPEDLSKALDIVAQNNPGFQATADEVDLDIDAQTESTLWRLKFFVKDAIEVQGKSSASMGGNTNTNTNNHNSGNKTNNNLTNATNKRKREISDAIVKTAKKKNKKPSP >ONI23975 pep chromosome:Prunus_persica_NCBIv2:G2:24712071:24715290:-1 gene:PRUPE_2G216800 transcript:ONI23975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMEEPILDAIYGGAVNFTDNGAEAESFKHRVDEIFVKVDKLEQRVNEIEQSSKKQPNACKSSSTVKDKDKHIPSMKKQQQDAACREAASAKRMQELMRHFGTILRQITQHKWAWPFLQPVDVEGLGLHDYYKVIDKPMDFSTIKNQMEAKDGTGYKNVREICADVRLVFKNAMKYNDERSDVHVMAKTLMAKFEEKWLQLLPKVTEEEKRLEEEEAEAQLKMQLAEEAARAKMARDLSNELYEVDMHLEELREMVVQKCRKMSTEEKRKLGVALTRLSPEDLSKALDIVAQNNPGFQATADEVDLDIDAQTESTLWRLKFFVKDAIEVQGKSSASMGGNTNTNTNNHNSGNKTNNNLTNATNKRKREISDAIVKTAKKKNKKPSP >ONI24006 pep chromosome:Prunus_persica_NCBIv2:G2:24782701:24788253:-1 gene:PRUPE_2G218300 transcript:ONI24006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRERPKRGTLPPAQENIEKLEKVVEEGNYYGAQQMYKSISARYVSAQRYSEALDLLQSGACNQLKHGQVTCGAELAALFVDTLVKGRFPYDDEILARVRKIYKEFPRIPVPQQLGDLDDMQQLSETLGAAKTCVEGCSSFLKAALKWSVEFGSPRVGAPEIHVMLANYIYFESPEVDMVRVSHHFVRGNNPKEFASTLVNFMGKCYPGEDDLAIARAILMYLSLGNLRDANNLMDEIKKQVESKQLDFPQSDLIQFINFLLQTLLRDALPLFNMLRAKYKSSLDREPTFHELLDEIAEKFYGVRRRNPLQGMGMFGEIFKMMGGE >ONI20175 pep chromosome:Prunus_persica_NCBIv2:G2:205630:207828:1 gene:PRUPE_2G001100 transcript:ONI20175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTACVGIRWPLFEHFGPILGGFEVWVYMPSSRTASPKNELLGISQGKSCTQLVSLRRTNLRLTITQCVLAGLCRH >ONI20176 pep chromosome:Prunus_persica_NCBIv2:G2:206186:207565:1 gene:PRUPE_2G001100 transcript:ONI20176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMTACVGIRWPLFEHFGPILGGFEVWVYMPSSRTASPKNELLGISQGKSCTQLVSLRRTNLRLTITQCVLAGLCRH >ONI20478 pep chromosome:Prunus_persica_NCBIv2:G2:1661829:1663753:-1 gene:PRUPE_2G017800 transcript:ONI20478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFSVAHGVHAVRLGNEASISQTIKVKPGSLYALTFGASRTCAQEEVLRVSVPPQAGDLPLQTLYSSNGGDTYAWGFRATSNVVKVTFHNPGVQEDPACGPLLDAIAIKELFPALPTRDNLVRNPGFEEAPHRLFNSSHGVLLPPKQLDVTSPLPGWIIESLKAVKFIDSQHFNVPFGKGAVELVAGRESAIAQVLRTVPNKIYDLSFVVGDARNGCHGSMMVEAFAGKDTLKVPFTSQGKGGFKAASLKFKAASPRTRITFYSSFYHTRVDDYGALCGPILDQVRVYPVA >ONI20477 pep chromosome:Prunus_persica_NCBIv2:G2:1661829:1664214:-1 gene:PRUPE_2G017800 transcript:ONI20477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLFLLLAFSFMFTNPAYAAVQVPLDGLLNNGNFEEPPKPTNLKKTVLIGKYALPKWEINGFVEYISGGPQPGGMYFSVAHGVHAVRLGNEASISQTIKVKPGSLYALTFGASRTCAQEEVLRVSVPPQAGDLPLQTLYSSNGGDTYAWGFRATSNVVKVTFHNPGVQEDPACGPLLDAIAIKELFPALPTRDNLVRNPGFEEAPHRLFNSSHGVLLPPKQLDVTSPLPGWIIESLKAVKFIDSQHFNVPFGKGAVELVAGRESAIAQVLRTVPNKIYDLSFVVGDARNGCHGSMMVEAFAGKDTLKVPFTSQGKGGFKAASLKFKAASPRTRITFYSSFYHTRVDDYGALCGPILDQVRVYPVA >ONI25867 pep chromosome:Prunus_persica_NCBIv2:G2:30007912:30016651:1 gene:PRUPE_2G324300 transcript:ONI25867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLIELKKLIEANPLFRDKLAFPAFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFMDHSCTPTANGSRPPPTNSPLVGPIPKAGAFPPIGAHGPFQPVVSPSPGWMSSTNPSLPHPAVAAAPPGLVQPSSAAAFLKHPRTPTGVTGMDYQSADSEHLMKRIRTGQADEVSFSGVMHNSNVYSQDDLPKAVVRTLSQGSNVMSMDFHPQQQTILLVGTNVGDISLWEVGSRERLVHKPFKVWDMQTASTPLQTALVNDAAISVNRCVWGPDGLMLGVAFSKHIVQIYTYNPTGELRQHFEIDAHVGGVNDIAFAHPNKQLCIVTCGDDKVIKVWDAAGGRRQYTFEGHEAPVYSVCPHYKENIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGLWCTMMSYSADGTRLFSCGTSKEGESHLVEWNESEGAIKRTYSGFRKRSLDVVQFDTTRNRFLAAGDEFQIKFWDMDNTNVLTAVDADGGLPASPRLRFNKEGSLLAVTTNDSGIKILANNDGLRLIRMLEGRAMEKNRGTSEPINSKPLIVNALGPIVNVPNAVPPALERPDRIQPAVSISNLGTMENSRLVDVKPRISEDIDKIKSWKISDIADPSQMKALRLPDSTTAGKIVRLMYTNNGLALLALTSNAVHKLWKWQRNERNPSGKATAYVTPQLWQPPNGTLMTNDVNDNKPAEESTACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFVSPPPAATFLAFHPQDNNIIAIGMEDSTILIYNVRVDEVKTKLKGHQNRITGLAFSQSLNVLVSSGADTQLCVWSIDGWEKKKTRFIQAPAGRQSPLVGETKVQFHNDHTHLLVAHESQLAVYDCKLDCLRSWSPKDALAAPISSAIYSCDGLLVYATFCDGAVGVFDADTLRLRCRVAPTAYIPSFSLSGNPTYPLVIAAHPSEPNQIAVGMTDGSVHVVEPSDVELKWGGAPSQDNGPSNSSNPSPSGQASELPSR >ONI21238 pep chromosome:Prunus_persica_NCBIv2:G2:6460427:6466614:1 gene:PRUPE_2G054700 transcript:ONI21238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTISKSFHTSCASLATCKILEYFTSSKSLAVCLQRREKMALIGEALISASVQVLCDRITSSEFVDLFRQKKLDEPLLMNLKTTLLTLFVVLNDAEEKQLVNPAVREWLNELKHAVFDAEDLLDEIDTEALRRKLKGEDQTHKLTNKVWNLLPSSRNHFYQSMNVKIQELLQRLENFVQQKIALGLGEVARRKVSHRTPTTSLVHEPCVYGRDEVQENLSKVLLSDDASKDDVSVLTIVGMGGVGKTTLARMLYNNNKVKGHFTLQAWACVSEDYNAFRITKTILESVTSKPCNTTDLNLLQVELREQLRGKKFLFVLDDLWNENYGDWERLQTPFNSGARGSKVIITTRNKNVASLMKNVPIQFLEPLSHEDCWLLLAKHAFGNVNCSEHPSLEEIGMKIARKCKGLPLAAQTLGGLLRCNIDSEEWNRILNSNIWYLPHGTTDILPALWLSYHYLPAQLKRCFVYCSVFPKDYEFEKEDVVQLWMAEGLVTQVDSGMIMESMARKYFDELLSRSLFQKSRELSFTMHDLIHDLAMFISKGFCLRLEGVESREVKRARHLSYARGEFDVASKFEPLYGAKCLRTFLPTSLKQNEYYEEFYVSKKVLQHLLPSLRCLRVLSLSRYQNVTELPDSIGNLIHLRYLDLSHTAIERLPGVLCNLYNLQTLLLSNCSSLLELPADIRKLINLQKLTLASCSSLTKLPAGMEELINLHHLDVSGTKIEEMPVQMGRLKSLRQLSAFVVGRSAGSSIGELREFPQLQGKLAIFKLQNVDDARDALQANLKDKKDLKELELAWGAEDADDSQKEKDVLDKLHPCMNIETLTIRFYGGTNFPNWLGDSSFSNLQVMHLSDCSYCWSLPPVGRLPYLKELYIERMKSVKMIGVEFYGRNGASLIQPFQSLEKLKFMEMAEWEEWVPSASGGEYGPDFPRLLELILTNCPKLSRSLPCHLPCLKKLTVCGCEVLHDEGANTTTTSGLNYRSLEELEIEGGCQKGLLSLLVEIGNFVDIQCLPNRNCLQRLSLWNCPTLSSFPKDGLPTTLTTLYIGNCKRLEFLPDEMLAKLTSLESLWIGDSCDSLRNFRVSIFPKLKKLDIRGSENLESLSFIEEGVNENLSHLRELFIYDCPNLMCFQCQGGWPTPNLNDFTVAKCKNFKSLPEGIHTLTALRLLQVDDLPNLESFAEGGLPPNIRDLCTRSCERLRAPVVKYWGLEGLVSLKSVIIGGSILETLLKEHLLPTTLRTLIISGCDSILVLPGEGEGLRHLTSLQLLQIDACENLQFLPGEGLQHLTSLQELYITSCHSIQFLPEEGLPLSLSLLSIRNCSTLEKRYQNKTGNDWIKISHIPCIRVNGQVIII >ONI22832 pep chromosome:Prunus_persica_NCBIv2:G2:20771510:20779815:1 gene:PRUPE_2G153600 transcript:ONI22832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEEAKLERFLQWLQVNGAELRGCKINYSDSSKGFGIFSSNEVSDGVLLVVPLDLAITPMRVLQDPLLGPECRAMFEEGDVDDRFLMILFLTVERVRKNSSWKPYLDMLPTTFGNPLWFTDDELLELKGTTLYRATELQKKSLRSLYDGKLKTLVEKLLTLDADLERDVCFEDFLWANSLFWTRALNIPLPHSYVFPQIQENKNDIASDGKNSGVSTTHICMEELVNGMDEKGCQVEGVDIQVNGVTSTSKQKETVWVEGLVPGIDFCNHDLKAAATWEVDDTGSTTGIPFSMYLLSAVQPLQIQGEISISYGNKGNEELLYLYGFVLDGNPDDYLMVHYPMEAIQSVPFSDPKSQLLEAQKAEMRCLLPRSLLDHGFFPVDISNKEGDDKCKLDHGCSYSWSGQRKMPTYLHRLVFPENFLTALRTIAMQEDELFQVSSLLEELVRSGGGRQPSDSEVRAAVWEACGDSGALQLLVDLLNVRLTDLLESSGTEDSDTNLLKNAHIVESANQHTDENSLSQETNGSGSTQQYKLMSRNVWASIVYRRGQKQLTRLFLKEAEHALELALSEGN >ONI22833 pep chromosome:Prunus_persica_NCBIv2:G2:20772877:20779815:1 gene:PRUPE_2G153600 transcript:ONI22833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLQDPLLGPECRAMFEEGDVDDRFLMILFLTVERVRKNSSWKPYLDMLPTTFGNPLWFTDDELLELKGTTLYRATELQKKSLRSLYDGKLKTLVEKLLTLDADLERDVCFEDFLWANSLFWTRALNIPLPHSYVFPQIQENKNDIASDGKNSGVSTTHICMEELVNGMDEKGCQVEGVDIQVNGVTSTSKQKETVWVEGLVPGIDFCNHDLKAAATWEVDDTGSTTGIPFSMYLLSAVQPLQIQGEISISYGNKGNEELLYLYGFVLDGNPDDYLMVHYPMEAIQSVPFSDPKSQLLEAQKAEMRCLLPRSLLDHGFFPVDISNKEGDDKCKLDHGCSYSWSGQRKMPTYLHRLVFPENFLTALRTIAMQEDELFQVSSLLEELVRSGGGRQPSDSEVRAAVWEACGDSGALQLLVDLLNVRLTDLLESSGTEDSDTNLLKNAHIVESANQHTDENSLSQETNGSGSTQQYKLMSRNVWASIVYRRGQKQLTRLFLKEAEHALELALSEGN >ONI22831 pep chromosome:Prunus_persica_NCBIv2:G2:20771510:20779923:1 gene:PRUPE_2G153600 transcript:ONI22831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEEAKLERFLQWLQVNGAELRGCKINYSDSSKGFGIFSSNEVSDGVLLVVPLDLAITPMRVLQDPLLGPECRAMFEEGDVDDRFLMILFLTVERVRKNSSWKPYLDMLPTTFGNPLWFTDDELLELKGTTLYRATELQKKSLRSLYDGKLKTLVEKLLTLDADLERDVCFEDFLWANSLFWTRALNIPLPHSYVFPQIQENKNDIASDGKNSGVSTTHICMEELVNGMDEKGCQVEGVDIQVNGVTSTSKQKETVWVEGLVPGIDFCNHDLKAAATWEVDDTGSTTGIPFSMYLLSAVQPLQIQGEISISYGNKGNEELLYLYGFVLDGNPDDYLMVHYPMEAIQSVPFSDPKSQLLEAQKAEMRCLLPRSLLDHGFFPVDISNKEGDDKCKLDHGCSYSWSGQRKMPTYLHRLVFPENFLTALRTIAMQEDELFQVSSLLEELVRSGGGRQPSDSEVRAAVWEACGDSGALQLLVDLLNVRLTDLLESSGTEDSDTNLLKNAHIVESANQHTDENSLSQETNGSGSTQQYKLMSRNVWASIVYRRGQKQLTRLFLKEAEHALELALSEGN >ONI24012 pep chromosome:Prunus_persica_NCBIv2:G2:24803137:24803610:-1 gene:PRUPE_2G218900 transcript:ONI24012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGLSKLGTALTVVFAAILAALVAQLFFLLWRRRNSVDVDVDEVFKWQQALYDVSLSVLFTIEEEEERRAEKEVKTATTVLLDVAVMVMSVEVDDRTTPFSTPCASLPYYTPSPSPDRETCSV >ONI23190 pep chromosome:Prunus_persica_NCBIv2:G2:22018701:22019856:1 gene:PRUPE_2G174000 transcript:ONI23190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNCFQVCFCFATSVASTRFLIQDEFNIQTAPCDNCIIVISLSLSLYVFVYSSFSVCTSSDILFTSTWPSFVGIYALPQPTCMYMLLDCLHNWKWGALRYFQCVELYSRHGLLLHKLELDKRDGKLPQPVMAVPPMQQMSRMDQPIPYAGYHHQRPVYSPPPTGYPGHAGYPNQHAGYPPAGYPPAGYPPAGYPNQPAGYMPPPAYGQPVYPPGPPPPVRPPESPHGIYSADSGVFPPAVPPPPPPGYIPESPSAPPGPPRDKTFSS >ONI23189 pep chromosome:Prunus_persica_NCBIv2:G2:22017305:22020156:1 gene:PRUPE_2G174000 transcript:ONI23189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQDQVGKMQTRQGYRNLWHADLMGTMTTDTPYCCFAAFCGPCVSYLLRKRALYNDMSRYRCCAGYMPCSGRCGERHCPELCLGTEVCFCFATSVASTRFLIQDEFNIQTAPCDNCIIGFMLCLNQLACICSLIACITGSGELSDISSVLSCIADTVFCSVCACMQTQHKLELDKRDGKLPQPVMAVPPMQQMSRMDQPIPYAGYHHQRPVYSPPPTGYPGHAGYPNQHAGYPPAGYPPAGYPPAGYPNQPAGYMPPPAYGQPVYPPGPPPPVRPPESPHGIYSADSGVFPPAVPPPPPPGYIPESPSAPPGPPRDKTFSS >ONI21392 pep chromosome:Prunus_persica_NCBIv2:G2:8328657:8330665:-1 gene:PRUPE_2G062900 transcript:ONI21392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRIIGENAAIVELVHFYGTIDNLGMTFNDLWYHPLLQSSNERYFFPLDAWLHLQYERRLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYYMGISMDSLGLRSLNLLPRFTNRKL >ONI21389 pep chromosome:Prunus_persica_NCBIv2:G2:8328335:8330665:-1 gene:PRUPE_2G062900 transcript:ONI21389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRIIGENAAIVELVHFYGTIDNLGMTFNDLWYHPLLQSSNERYFFPLDAWLHLQYERRLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYYMGISMDSLGLRRSM >ONI21393 pep chromosome:Prunus_persica_NCBIv2:G2:8328636:8331408:-1 gene:PRUPE_2G062900 transcript:ONI21393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRIIGENAAIVELVHFYGTIDNLGMTFNDLWYHPLLQSSNERYFFPLDAWLHLQYERRLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYYMGISMDSLGLRSLNLLPRFTNRKL >ONI21388 pep chromosome:Prunus_persica_NCBIv2:G2:8327863:8331408:-1 gene:PRUPE_2G062900 transcript:ONI21388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRIIGENAAIVELVHFYGTIDNLGLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYYMGISMDSLGLRRSM >ONI21390 pep chromosome:Prunus_persica_NCBIv2:G2:8327863:8330793:-1 gene:PRUPE_2G062900 transcript:ONI21390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRIIGENAAIVELVHFYGTIDNLGMTFNDLWYHPLLQSSNERYFFPLDAWLHLQYERRLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYYMGISMDSLGLRRSM >ONI21391 pep chromosome:Prunus_persica_NCBIv2:G2:8328335:8330665:-1 gene:PRUPE_2G062900 transcript:ONI21391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRIIGENAAIVELVHFYGTIDNLGMTFNDLWYHPLLQSSNERYFFPLDAWLHLQYERRLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYYMGISMDSLGLRRSM >ONI21387 pep chromosome:Prunus_persica_NCBIv2:G2:8327863:8331408:-1 gene:PRUPE_2G062900 transcript:ONI21387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRIIGENAAIVELVHFYGTIDNLGLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYYMGISMDSLGLRRSM >ONI24517 pep chromosome:Prunus_persica_NCBIv2:G2:26242291:26244504:1 gene:PRUPE_2G244800 transcript:ONI24517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKERQGERIRLYVGGTVLGYKRSKSNQYPGTSLIQINGVNTKEEVAWYAGKRLAYIYKAKVKKNGSHYRCIWGKVSRPHGNSGIVRAKFTSNLPPKSMGARVRVFMYPSNI >ONI21296 pep chromosome:Prunus_persica_NCBIv2:G2:7308861:7313979:-1 gene:PRUPE_2G058600 transcript:ONI21296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFHYNEPEEKKKKKHASRLPRRVAANLQSRKISSGSEVSRSGAPCSASLRLATPCNASSRLPRAKRATRETADRLGRFPAASLRRARRRARRHAFENTAQELSDTSPDMQYKDTQVSVPPRASDPGWAHGIMVNGGRQKIKCKYCHKVMLGGGISRLKQHLAGERGNVAPCEEVPEEVKVQIQQHLGFKVLEKLKKQKGLSNSKDSAPYLQYREGGENEDGMRTQKTVCTRGQRRRREKEAMECISNQMKKHKKRSFPAATTIVAQPLHQSFASQEIIAQADLAVARFMYESGIPFTAANSHFYQQMADAIAAAGPGYKMPSYHALRGKLLNKSVQDAEEYEEELRKSWDVTGCSVMVDRWVDKTGNSVINFFVYCPKGTLFLKSADASDISECPDALLNLFDCVVQEVGHKRIVNFVTDASASCKAARKLLMEKYKTFFCSTCGGYGIELMLEEIGKMNEVKEVLAKAKKITQFIYNSAWMLNLVRRKTGGRDIVQLATTRFASTFLTLQNMVALKHRLEKIFASAAWMHSTFSKQKAGLELAEIIADQLFWSLCDQILKVTKPLLSVFQLMDCEEKPSIGYVYDAMEKAKKSIIVSFDNKESDYVPYLEIIDHIWQEELHSPLHAAAYYLNPSIFYNPSFSTNKVIQKGLLDCIETLEPNLTAQVVITSNINFYEEAVGDFGRPVALRCRESLAPATWWSLYAADYPDLQRLAVRILSQTCSIIRYKRSRTMFERMCSKKKNRLEQQRFNHLAFVHYNLHLQHRRSEASKAIYTRGTLDPICLEAIDANMGDWVEDLGAIGSDGLSWMDVTVPGEWKFLNHRVENMDDCNDSTDDRGSDDGRGVDTNDDM >ONI21295 pep chromosome:Prunus_persica_NCBIv2:G2:7305985:7313979:-1 gene:PRUPE_2G058600 transcript:ONI21295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFHYNEPEEKKKKKHASRLPRRVAANLQSRKISSGSEVSRSGAPCSASLRLATPCNASSRLPRAKRATRETADRLGRFPAASLRRARRRARRHAFENTAQELSDTSPDMQYKDTQVSVPPRASDPGWAHGIMVNGGRQKIKCKYCHKVMLGGGISRLKQHLAGERGNVAPCEEVPEEVKVQIQQHLGFKVLEKLKKQKGLSNSKDSAPYLQYREGGENEDGMRTQKTVCTRGQRRRREKEAMECISNQMKKHKKRSFPAATTIVAQPLHQSFASQEIIAQADLAVARFMYESGIPFTAANSHFYQQMADAIAAAGPGYKMPSYHALRGKLLNKSVQDAEEYEEELRKSWDVTGCSVMVDRWVDKTGNSVINFFVYCPKGTLFLKSADASDISECPDALLNLFDCVVQEVGHKRIVNFVTDASASCKAARKLLMEKYKTFFCSTCGGYGIELMLEEIGKMNEVKEVLAKAKKITQFIYNSAWMLNLVRRKTGGRDIVQLATTRFASTFLTLQNMVALKHRLEKIFASAAWMHSTFSKQKAGLELAEIIADQLFWSLCDQILKVTKPLLSVFQLMDCEEKPSIGYVYDAMEKAKKSIIVSFDNKESDYVPYLEIIDHIWQEELHSPLHAAAYYLNPSIFYNPSFSTNKVIQKGLLDCIETLEPNLTAQVVITSNINFYEEAVGDFGRPVALRCRESLAPATWWSLYAADYPDLQRLAVRILSQTCSIIRYKRSRTMFERMCSKKKNRLEQQRFNHLAFVHYNLHLQHRRSEASKAIYTRGTLDPICLEAIDANMGDWVEDLGAIGSDGLSWMDVTVPEVSIYFQLFGHWSSIAYSIYVQRKKEINFQNLVKKLIAVVDDGRSYNGRREERTTSWRELSLCMAALNSSKYNVNRNDLQPLSSVAFADSTEQ >ONI25064 pep chromosome:Prunus_persica_NCBIv2:G2:27876432:27877646:-1 gene:PRUPE_2G278100 transcript:ONI25064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFSHVPPGFRFHPTDEELVDYYLRKKVSSRRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQQEEGWVVCRVFKKKIASMRIKMSEHESPCWYDDQVSFMPDLDSPNQNSNSNINMAPYHHLPYPCKKELDHLPAFQVPHEHFFQLPLLGSPKLLQSSATGVSSSNSMAAHAYSIDINHACTFQPSDQDQNFHGAVYGNNSNDDHQQAVDQLTDWRMLDKFVASQLSQDDASNKGNSYS >ONI25065 pep chromosome:Prunus_persica_NCBIv2:G2:27876432:27877646:-1 gene:PRUPE_2G278100 transcript:ONI25065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFSHVPPGFRFHPTDEELVDYYLRKKVSSRRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQQEEGWVVCRVFKKKIASMRIKMSEHESPCWYDDQVSFMPDLDSPNQNSNSNINMAPYHHLPYPCKKELDHLPAFQVPHEHFFQLPLLGSPKLLQSSATGVSSSNSMAAHAYSIDINHACTFQPSDQDQNFHGAVYGNNSNDDHQQAVDQLTDWRMLDKFVASQLSQDDASNKGNSYS >ONI25062 pep chromosome:Prunus_persica_NCBIv2:G2:27875877:27879693:-1 gene:PRUPE_2G278100 transcript:ONI25062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFSHVPPGFRFHPTDEELVDYYLRKKVSSRRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWVVCRVFKKKIASMRIKMSEHESPCWYDDQVSFMPDLDSPNQNSNSNINMAPYHHLPYPCKKELDHLPAFQVPHEHFFQLPLLGSPKLLQSSATGVSSSNSMAAHAYSIDINHACTFQPSDQDQNFHGAVYGNNSNDDHQQAVDQLTDWRMLDKFVASQLSQDDASNKGNSYS >ONI25063 pep chromosome:Prunus_persica_NCBIv2:G2:27875877:27879693:-1 gene:PRUPE_2G278100 transcript:ONI25063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFSHVPPGFRFHPTDEELVDYYLRKKVSSRRIDLDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWVVCRVFKKKIASMRIKMSEHESPCWYDDQVSFMPDLDSPNQNSNSNINMAPYHHLPYPCKKELDHLPAFQVPHEHFFQLPLLGSPKLLQSSATGVSSSNSMAAHAYSIDINHACTFQPSDQDQNFHGAVYGNNSNDDHQQAVDQLTDWRMLDKFVASQLSQDDASNKGNSYS >ONI21996 pep chromosome:Prunus_persica_NCBIv2:G2:15681186:15686791:1 gene:PRUPE_2G100800 transcript:ONI21996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQKSNTDHVVVTIDQSNPKLKQSPQADPNTAISQSRTKTFRRLNFSKPRSRFEEIKQPLPSRTILESEELQPFNPYENNNSSTDDDDDEDWYENEEDDEEEDGKHGKHGKKRKRKINKRAVIEWTLFLIIMTCLVCSLTLDFLINKLKWGLEIWKWCLMVMVIFCGRLVSGWVVGFLVFLIERNFMLREKVLYFVFGLRRSFQNCAWLGLVLAAWMIMFPDVHKHSKVLKKVFRALIAVLIGATIWLLKILFVKVLASSFHVSTFFDRMKESVFHHYILEALSGPPLDEDEREELPRRPFQASKSLPARLRDKSQAMSRSNRQGYESRRIDMKKLRRLSMTRRATAWSVKKLVNYVRSSGLSTISRTIDDFGNAESEITSEWDARNSAQRIFKNVAKPGAKYIEEEDLLRFLRRDAIHTTFPLFEGAIETGRITKSSFRNWVVHAYIERKALAHSLNDTKTAVHQLHKLASGIVIVIISVVSLLVMGLATTKVIFVVTSQLLLVGFMFQNMCKTVFESIIFVFVMHPFDVGDRCVVEGVQMIVEEMNILSTVFLRYDNEKIYYPNSVLLTKPISNFRRSPDMADTVDFTIDVSTPVDDVSALKKSIQSYIESKSKYWNPKHSVIVKEIENVDKMKMTLCVQHTMNHQNYGEKSARRSELVFELKKIFQNLGIEYHLLPQEVNLTQLNASNGRLTIPS >ONI23688 pep chromosome:Prunus_persica_NCBIv2:G2:23866055:23867821:-1 gene:PRUPE_2G202400 transcript:ONI23688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTTTEEWLLPLLRGFRFHPPEEEMVNLLRKKMEGQGSQTMPEIDYEPWNLPDNESISLFLRFLKQMMQGKASQACHIIPQIDVCKYEPSDLAELLFPDSPYQPRMWFSFSRPHYKSINSLRYNRATKKGFWKITGKPREIKSQQLSKSVTCKKRTLTFHEGRVSKSTKTDWVMQEYYLTQTEPGSIPNQLSDFVLCRMKNKSAHYESNNKKLKDDSICDESADPGIGGYMASNSEDDQAPANNMILEADRHLADKELEHDLPGSGNHDAGEPGGCVSSDCDDMVQELSAQPGEYLDLPFPPPEPPEPGNASVPSPIGNNDSSLPNKNNITTNYDSKPVSNTASNFKNQTKDERTSEVYSQPEEDPESFLQLKLDDYTWLSRILQPEQGNLLHANNSIGCNELQSPYPETAALFPQSS >ONI23690 pep chromosome:Prunus_persica_NCBIv2:G2:23866055:23867821:-1 gene:PRUPE_2G202400 transcript:ONI23690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTTTEEWLLPLLRGFRFHPPEEEMVNLLRKKMEGQGSQTMPEIDYEPWNLPDNESISLFLRFLKQMMQGKASQACHIIPQIDVCKYEPSDLAELLFPDSPYQPRMWFSFSRPHYKSINSLRYNRATKKGFWKITGKPREIKSQQLSKSVTCKKRTLTFHEGRVSKSTKTDWVMQEYYLTQTEPGSIPNQLSDFVLCRMKNKSAHYESNNKKLKDDSICDESADPGIGGYMASNSEDDQAPANNMILEADRHLADKELEHDLPGSGNHDAGEPGGCVSSDCDDMVQELSAQPGEYLDLPFPPPEPPEPGNASVPSPIGNNDSSLPNKNNITTNYDSKPVSNTASNFKNQTKDERTSEVYSQPEEDPESFLQLKLDDYTWLSRILQPEQGNLLHANNSIGCNELQSPYPETAALFPQSS >ONI23686 pep chromosome:Prunus_persica_NCBIv2:G2:23864665:23868088:-1 gene:PRUPE_2G202400 transcript:ONI23686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVQEETLVGKLRTFGMKHKSKTAVTVLWLVVAGGMRSTTTEEWLLPLLRGFRFHPPEEEMVNLLRKKMEGQGSQTMPEIDYEPWNLPDNESISLFLRFLKQMMQGKASQACHIIPQIDVCKYEPSDLAELLFPDSPYQPRMWFSFSRPHYKSINSLRYNRATKKGFWKITGKPREIKSQQLSKSVTCKKRTLTFHEGRVSKSTKTDWVMQEYYLTQTEPGSIPNQLSDFVLCRMKNKSAHYESNNKKLKDDSICDESADPGIGGYMASNSEDDQAPANNMILEADRHLADKELEHDLPGSGNHDAGEPGGCVSSDCDDMVQELSAQPGEYLDLPFPPPEPPEPGNASVPSPIGNNDSSLPNKNNITTNYDSKPVSNTASNFKNQTKDERTSEVYSQPEEDPESFLQLKLDDYTWLSRILQPEQGNLLHANNSIGCNELQSPYPETAALFPQSS >ONI23687 pep chromosome:Prunus_persica_NCBIv2:G2:23864674:23868088:-1 gene:PRUPE_2G202400 transcript:ONI23687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTTTEEWLLPLLRGFRFHPPEEEMVNLLRKKMEGQGSQTMPEIDYEPWNLPDNESISLFLRFLKQMMQGKASQACHIIPQIDVCKYEPSDLAELLFPDSPYQPRMWFSFSRPHYKSINSLRYNRATKKGFWKITGKPREIKSQQLSKSVTCKKRTLTFHEGRVSKSTKTDWVMQEYYLTQTEPGSIPNQLSDFVLCRMKNKSAHYESNNKKLKDDSICDESADPGIGGYMASNSEDDQAPANNMILEADRHLADKELEHDLPGSGNHDAGEPGGCVSSDCDDMVQELSAQPGEYLDLPFPPPEPPEPGNASVPSPIGNNDSSLPNKNNITTNYDSKPVSNTASNFKNQTKDERTSEVYSQPEEDPESFLQLKLDDYTWLSRILQPEQGNLLHANNSIGCNELQSPYPETAALFPQSS >ONI23689 pep chromosome:Prunus_persica_NCBIv2:G2:23864674:23867869:-1 gene:PRUPE_2G202400 transcript:ONI23689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTTTEEWLLPLLRGFRFHPPEEEMVNLLRKKMEGQGSQTMPEIDYEPWNLPDNESISLFLRFLKQMMQGKASQACHIIPQIDVCKYEPSDLAELLFPDSPYQPRMWFSFSRPHYKSINSLRYNRATKKGFWKITGKPREIKSQQLSKSVTCKKRTLTFHEGRVSKSTKTDWVMQEYYLTQTEPGSIPNQLSDFVLCRMKNKSAHYESNNKKLKDDSICDESADPGIGGYMASNSEDDQAPANNMILEADRHLADKELEHDLPGSGNHDAGEPGGCVSSDCDDMVQELSAQPGEYLDLPFPPPEPPEPGNASVPSPIGNNDSSLPNKNNITTNYDSKPVSNTASNFKNQTKDERTSEVYSQPEEDPESFLQLKLDDYTWLSRILQPEQGNLLHANNSIGCNELQSPYPETAALFPQSS >ONI23685 pep chromosome:Prunus_persica_NCBIv2:G2:23864645:23868096:-1 gene:PRUPE_2G202400 transcript:ONI23685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVQEETLVGKLRTFGMKHKSKTAVTVLWLVVAGGMRSTTTEEWLLPLLRGFRFHPPEEEMVNLLRKKMEGQGSQTMPEIDYEPWNLPDNESISLFLRFLKQMMQGKASQACHIIPQIDVCKYEPSDLAELLFPDSPYQPRMWFSFSRPHYKSINSLRYNRATKKGFWKITGKPREIKSQQLSKSVTCKKRTLTFHEGRVSKSTKTDWVMQEYYLTQTEPGSIPNQLSDFVLCRMKNKSAHYESNNKKLKDDSICDESADPGIGGYMASNSEDDQAPANNMILEADRHLADKELEHDLPGSGNHDAGEPGGCVSSDCDDMVQELSAQPGEYLDLPFPPPEPPEPGNASVPSPIGNNDSSLPNKNNITTNYDSKPVSNTASNFKNQTKDERTSEVYSQPEEDPESFLQLKLDDYTWLSRILQPEQGNLLHANNSIGCNELQSPYPETAALFPQSS >ONI21849 pep chromosome:Prunus_persica_NCBIv2:G2:14820030:14821543:1 gene:PRUPE_2G093500 transcript:ONI21849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVIISPQSPRNSPKNLQQAIVPHVGTIMASDMEDGCRIEWTTLMSKSFLYVKDKNFSYHDIHSALGYGFELQFRIPIISSGAYFPIRSIFGVPFLAARLIYKRRKMQERKRSMGWNSKQEDGIHMLVRCHPLRRSSNSMHII >ONI21606 pep chromosome:Prunus_persica_NCBIv2:G2:11476075:11476753:1 gene:PRUPE_2G075300 transcript:ONI21606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAINIQSLIIIPSGRPHHYHNHYGSEAIIVLLLLGAKLEGCLCKISLRIESISFVLLGVWGPTPTSSLRPTALILSLCRLCL >ONI22298 pep chromosome:Prunus_persica_NCBIv2:G2:17761614:17767213:-1 gene:PRUPE_2G119900 transcript:ONI22298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSFVLELLKDCVIPELKFLGGVSDQVKLAQTQLQLMQCFLKDADSRQGESEAIRIWVANIRDVAYDLDDAIETFVLKVASKRNASLLKRFTGIFIKRVHLHQIGSDIVKITTRISQLNSSLQSYNLHQTRESRGDTFFQRQKERRIAYPHIIEPHVVGLTGGTEILATHLIKKNGPRVVSIWGMGGLGKTTLAKQVYHHGKVKCHFDCFAWVCISQQCQGREVLEEILTKLISPTNEQREEIAKLKKDQIAERLWITQRERKCLVVLDDIWSRDAWRSLEAGFPMNEETESRILLTTRNKEVASYADKNGFLFEPQPLNDDESWELFKKIAMFGTEDIDQEIYEQKKELGTEMLQHCKGLPLAITVLAGLLARKETIDEWDMVHKNVYEYIRRGRDLGSDYKDEGYEGVSWLLELSYDNLPYYLKLCFLYLAHFPEDCEIPVSTLTKLWMAEGFISSAAVEVMEDVSYMCLSELVGRCMVQVGKHGSSKKIKTCHLHDLMRDLCILKAKEGNFLHIINYSASVEIKQTPNGRVRRLVIHLNEPFEAYCLGRDENYGYVRSLLYFVPIDPYYYCYWNSKALRSLLRDFTLLRVLKLENTSTGEKLPGEIGNLVHLRFLSVRDSAIQAVPSSIANLVCLQTLDLRIRVGYVKIPNPNVFCKMEKLRHIYLPKYQGPREKHLLFATEAVNLNTVVNIGIQASSDLDDFVKLTNLRKLGVMIFDGGEKKEKGTNIIFKHLHSLSVDSIFVAVPWNIILSSPNIYKLRLRGKIRELPEDLLCLRNLTKLTLSGFGNLKDDHIKVLEKLPSLRMLFASVGIFQASLVCSEGGFPFLEFLSLYSLLEFKEWKVEKGAMPSLCRLHIEYCPDLEAVPDGLQYITTLKELTIKRMLSEFCSRLGEGGEDFYKIQHVQSVIITNISPNRPG >ONI24035 pep chromosome:Prunus_persica_NCBIv2:G2:24872911:24883350:-1 gene:PRUPE_2G220200 transcript:ONI24035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHEEESRAGGEPHGKQDDEEAAARREEIKKSIEAKMALRQSNLNPERPDTGFLRTLDSSIKRNTAVIKKLKQINEEQREGLMDDLRGVNLSKFVSEAVTAICDAKLRSSDIQAAVQICSLLHQRYKDFSPSLLQGLLKIFFPGKSGDDLDVDKNLRAMKKRSTLKLLLELFFVGVIEDGGIFVNIIKDLTSGEHLKDRDTTQTNLTLLASFARQGRMFINLPLSGPEIHEEFFKGLNITTEHKKFFRKAFQTYYDAAAELLQSEHTSLRQMEHENSKILNAKGELSDENVSSYEKLRKSYEQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEDASSPAAGKDSSVLEAIWDDEDTRAFYECLPDLRAFVPAVLLGEAEKSNDQSAKTQEQPTEPTLESDQSQQTAEDAGEASADVGALQEGKSIEKGKDKEEKDKEKIKDPDKEKGDRKGENEKEKLKSIEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRAVFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLAMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKIAPAGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVTKVRPPLHQYIRKLLFSDLDKSTIEHVLRQLRKLPWGECEPYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDQFAVSVVDEVLEEIRLGLELNEYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVFGHGIQEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLMHFQRYILSKGVLPLDVEFDIQDLFAELRPNMTRYSSIDEVNAALVELEEHDRTVSTDKANNEKHSDTEKPSRRTTSNKKSVNGTEENGVRHGDHGDSDSDSGSGTIDPDGHDEEELDEENHGDGSDSEEEDDDGGGPASDEDDEVHVRQKVAELDPQEEANFELDLKAVMQESMEQRRLELRGRPALNMTIPMNVFEGSIKDHHGRGVGGESGDEALDEVSGGSKEVQVKVLVKRGNKQQTKQMYIPRDCSLIQSTKQKEAAELEEKQDIKRLVLEYNDREEEELNGLGNQTLNYMQSGGNRVAGRGSNWEGTSGRGGGTRHRYHGYSGGGVYYSRKK >ONI24033 pep chromosome:Prunus_persica_NCBIv2:G2:24872301:24884392:-1 gene:PRUPE_2G220200 transcript:ONI24033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHEEESRAGGEPHGKQDDEEAAARREEIKKSIEAKMALRQSNLNPERPDTGFLRTLDSSIKRNTAVIKKLKQINEEQREGLMDDLRGVNLSKFVSEAVTAICDAKLRSSDIQAAVQICSLLHQRYKDFSPSLLQGLLKIFFPGKSGDDLDVDKNLRAMKKRSTLKLLLELFFVGVIEDGGIFVNIIKDLTSGEHLKDRDTTQTNLTLLASFARQGRMFINLPLSGPEIHEEFFKGLNITTEHKKFFRKAFQTYYDAAAELLQSEHTSLRQMEHENSKILNAKGELSDENVSSYEKLRKSYEQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEDASSPAAGKDSSVLEAIWDDEDTRAFYECLPDLRAFVPAVLLGEAEKSNDQSAKTQEQPTEPTLESDQSQQTAEDAGEASADVGALQEGKSIEKGKDKEEKDKEKIKDPDKEKGDRKGENEKEKLKSIEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRAVFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLAMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKIAPAGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVTKVRPPLHQYIRKLLFSDLDKSTIEHVLRQLRKLPWGECEPYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDQFAVSVVDEVLEEIRLGLELNEYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVFGHGIQEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLMHFQRYILSKGVLPLDVEFDIQDLFAELRPNMTRYSSIDEVNAALVELEEHDRTVSTDKANNEKHSDTEKPSRRTTSNKKSVNGTEENGVRHGDHGDSDSDSGSGTIDPDGHDEEELDEENHGDGSDSEEEDDDGGGPASDEDDEVHVRQKVAELDPQEEANFELDLKAVMQESMEQRRLELRGRPALNMTIPMNVFEGSIKDHHGRGVGGESGDEALDEVSGGSKEVQVKVLVKRGNKQQTKQMYIPRDCSLIQSTKQKEAAELEEKQDIKRLVLEYNDREEEELNGLGNQTLNYMQSGGNRVAGRGSNWEGTSGRGGGTRHRYHGYSGGGVYYSRKK >ONI24036 pep chromosome:Prunus_persica_NCBIv2:G2:24872313:24883409:-1 gene:PRUPE_2G220200 transcript:ONI24036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHEEESRAGGEPHGKQDDEEAAARREEIKKSIEAKMALRQSNLNPERPDTGFLRTLDSSIKRNTAVIKKLKQINEEQREGLMDDLRGVNLSKFVSEAVTAICDAKLRSSDIQAAVQICSLLHQRYKDFSPSLLQGLLKIFFPGKSGDDLDVDKNLRAMKKRSTLKLLLELFFVGVIEDGGIFVNIIKDLTSGEHLKDRDTTQTNLTLLASFARQGRMFINLPLSGPEIHEEFFKGLNITTEHKKFFRKAFQTYYDAAAELLQSEHTSLRQMEHENSKILNAKGELSDENVSSYEKLRKSYEQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEDASSPAAGKDSSVLEAIWDDEDTRAFYECLPDLRAFVPAVLLGEAEKSNDQSAKTQEQPTEPTLESDQSQQTAEDAGEASADVGALQEGKSIEKGKDKEEKDKEKIKDPDKEKGDRKGENEKEKLKSIEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRAVFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLAMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKIAPAGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVTKVRPPLHQYIRKLLFSDLDKSTIEHVLRQLRKLPWGECEPYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDQFAVSVVDEVLEEIRLGLELNEYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVFGHGIQEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLMHFQRYILSKGVLPLDVEFDIQDLFAELRPNMTRYSSIDEVNAALVELEEHDRTVSTDKANNEKHSDTEKPSRRTTSNKKSVNGTEENGVRHGDHGDSDSDSGSGTIDPDGHDEEELDEENHGDGSDSEEEDDDGGGPASDEDDEVHVRQKVAELDPQEEANFELDLKAVMQESMEQRRLELRGRPALNMTIPMNVFEGSIKDHHGRGVGGESGDEALDEVSGGSKEVQVKVLVKRGNKQQTKQMYIPRDCSLIQSTKQKEAAELEEKQDIKRLVLEYNDREEEELNGLGNQTLNYMQSGGNRVAGRGSNWEGTSGRGGGTRHRYHGYSGGGVYYSRKK >ONI24034 pep chromosome:Prunus_persica_NCBIv2:G2:24872318:24884159:-1 gene:PRUPE_2G220200 transcript:ONI24034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHEEESRAGGEPHGKQDDEEAAARREEIKKSIEAKMALRQSNLNPERPDTGFLRTLDSSIKRNTAVIKKLKQINEEQREGLMDDLRGVNLSKFVSEAVTAICDAKLRSSDIQAAVQICSLLHQRYKDFSPSLLQGLLKIFFPGKSGDDLDVDKNLRAMKKRSTLKLLLELFFVGVIEDGGIFVNIIKDLTSGEHLKDRDTTQTNLTLLASFARQGRMFINLPLSGPEIHEEFFKGLNITTEHKKFFRKAFQTYYDAAAELLQSEHTSLRQMEHENSKILNAKGELSDENVSSYEKLRKSYEQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEDASSPAAGKDSSVLEAIWDDEDTRAFYECLPDLRAFVPAVLLGEAEKSNDQSAKTQEQPTEPTLESDQSQQTAEDAGEASADVGALQEGKSIEKGKDKEEKDKEKIKDPDKEKGDRKGENEKEKLKSIEGTNLDALLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRAVFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLAMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKIAPAGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVTKVRPPLHQYIRKLLFSDLDKSTIEHVLRQLRKLPWGECEPYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDQFAVSVVDEVLEEIRLGLELNEYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVFGHGIQEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLMHFQRYILSKGVLPLDVEFDIQDLFAELRPNMTRYSSIDEVNAALVELEEHDRTVSTDKANNEKHSDTEKPSRRTTSNKKSVNGTEENGVRHGDHGDSDSDSGSGTIDPDGHDEEELDEENHGDGSDSEEEDDDGGGPASDEDDEVHVRQKVAELDPQEEANFELDLKAVMQESMEQRRLELRGRPALNMTIPMNVFEGSIKDHHGRGVGGESGDEALDEVSGGSKEVQVKVLVKRGNKQQTKQMYIPRDCSLIQSTKQKEAAELEEKQDIKRLVLEYNDREEEELNGLGNQTLNYMQSGGNRVAGRGSNWEGTSGRGGGTRHRYHGYSGGGVYYSRKK >ONI25008 pep chromosome:Prunus_persica_NCBIv2:G2:27669972:27673755:-1 gene:PRUPE_2G274500 transcript:ONI25008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLPIRLRFQNHRSAQLLCASYHFIPNSRHFSQIKCLPFTLRTRKSVVRALATESASPPAAKMVKAIRVHELGGPEVLKWEDVEVGEPKEGEVRVKNKAIGINFIDVYFRKGVYKAATVPYTPGVEACGVVTAVGPGLTGRQVGDLVAYAGQPMGSYAEEQILPANRVVPVPPSIDPTVAASLLLKGMTAQFLLRSCFKVEPGHTVLVHAAAGGVGSLLCQWANSLGATVIGTVSTKEKAAQAKEDGCHHVIIYKEEDFVARVKEITSDNGVEVVYDSVGKDTFEGSLACLKTRGYMVSFGQSSGAPDPVPLSAIAVKSLFLTRPSLFHYAVTRDELLGMAGEVFGNVQSGVLRVRVNHTYPLSQAVQAHEDLENRKTSGSVVLIP >ONI23877 pep chromosome:Prunus_persica_NCBIv2:G2:24505986:24508126:1 gene:PRUPE_2G213400 transcript:ONI23877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNATCPSVHPVEAPPPQTHGEPQNPMPEGRMNDIPGMPGTRGGLTLRLNQFVFALVAGCVMASTHATSRYTTLRYFVNAVSWQCLWSLSLAFVDLYAILVKRRLRNPKVVSVFAVGDGISSTITFAAASAAAGISVLDSNDFHSCVRDRCTRYQASVTMAFLSWFAVSSSFVLNFWTLASKSSH >ONI25190 pep chromosome:Prunus_persica_NCBIv2:G2:28307790:28308278:1 gene:PRUPE_2G287300 transcript:ONI25190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGTCNCNCNYNGYNSDIRTRTKQDQRIIQIILKKERKKESKNLQITKGWREGNGFPPLSSMSFLCHCCRGCQARTCHPPHFFTAPNLPRHPHPPGPIASHHVNHPCDPSPLSDPFLHAPYGAVLARN >ONI20893 pep chromosome:Prunus_persica_NCBIv2:G2:4156634:4160555:1 gene:PRUPE_2G038700 transcript:ONI20893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAFFNNVGSEQRSEGDGSEHSSFRSGVGGGMFEYFGWVYHLGLNKLGHEYCHLRFLFIRGKYVEMYKRDPHENPGIRPIRRGAVGPTLMVVELGRRKVQHGGKGGDIYVLRFYNRLDETKKGEIACATAGEAQKWMEAFDQAKQQAEYELARGASARNKLNMEEEIDLEGHRPRVRHYAHGLKRLIKIGQGPETLLRQSSSLGPNSSEGYFQTDFGDAVEAYEWKCVRTVNGVRIFQDVANTESGKGVIVKAVGVIEATADTAFEVILNTARHQRYEWDTLTGDLELIDSYDGYFDVVYGTFDPMYLSRWHSKKDFLFSRQWFRGQDGTYTILQFPAIHKKKPKRSGYHRTKINPSSWEIRNLNASMGSNTPRCLVTQMVEIPSKGWWRWKKNHFSNFEKSVPYALLCQVAGLKDYIGANPALKFKSAASVIKSKVPDGPVSNAEYEAEAVQDEFYDAISAGSSSSDEESESDELDQKDAKVKLKNVAWAISSLALKRASIANANKELDPKAAPITIDTKQFHGSFCKGNDEADTNCWTSPSGVGFMIRGKTYLEDNSKVKGGDPLLKLIAVDWFKVDKSIDRFALHPRCLIQSEAGKKLPFVLIFNLQVPAKPNYSLVLYYAADRPPNPNSLFAKFVDGSDMFRDARLKLIPSIAEGYWMVKRAVGTKACLLGKAVSCKYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTNIVVDLAILIEAKEEAELPEYILGTVRLNRVKLESAVHLEV >ONI20891 pep chromosome:Prunus_persica_NCBIv2:G2:4157325:4160551:1 gene:PRUPE_2G038700 transcript:ONI20891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLVSRKIEMDAAFFNNVGSEQRSEGDGSEHSSFRSGVGGGMFEYFGWVYHLGLNKLGHEYCHLRFLFIRGKYVEMYKRDPHENPGIRPIRRGAVGPTLMVVELGRRKVQHGGKGGDIYVLRFYNRLDETKKGEIACATAGEAQKWMEAFDQAKQQAEYELARGASARNKLNMEEEIDLEGHRPRVRHYAHGLKRLIKIGQGPETLLRQSSSLGPNSSEGYFQTDFGDAVEAYEWKCVRTVNGVRIFQDVANTESGKGVIVKAVGVIEATADTAFEVILNTARHQRYEWDTLTGDLELIDSYDGYFDVVYGTFDPMYLSRWHSKKDFLFSRQWFRGQDGTYTILQFPAIHKKKPKRSGYHRTKINPSSWEIRNLNASMGSNTPRCLVTQMVEIPSKGWWRWKKNHFSNFEKSVPYALLCQVAGLKDYIGANPALKFKSAASVIKSKVPDGPVSNAEYEAEAVQDEFYDAISAGSSSSDEESESDELDQKDAKVKLKNVAWAISSLALKRASIANANKELDPKAAPITIDTKQFHGSFCKGNDEADTNCWTSPSGVGFMIRGKTYLEDNSKVKGGDPLLKLIAVDWFKVDKSIDRFALHPRCLIQSEAGKKLPFVLIFNLQVPAKPNYSLVLYYAADRPPNPNSLFAKFVDGSDMFRDARLKLIPSIAEGYWMVKRAVGTKACLLGKAVSCKYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTNIVVDLAILIEAKEEAELPEYILGTVRLNRVKLESAVHLEV >ONI20892 pep chromosome:Prunus_persica_NCBIv2:G2:4157325:4160551:1 gene:PRUPE_2G038700 transcript:ONI20892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAFFNNVGSEQRSEGDGSEHSSFRSGVGGGMFEYFGWVYHLGLNKLGHEYCHLRFLFIRGKYVEMYKRDPHENPGIRPIRRGAVGPTLMVVELGRRKVQHGGKGGDIYVLRFYNRLDETKKGEIACATAGEAQKWMEAFDQAKQQAEYELARGASARNKLNMEEEIDLEGHRPRVRHYAHGLKRLIKIGQGPETLLRQSSSLGPNSSEGYFQTDFGDAVEAYEWKCVRTVNGVRIFQDVANTESGKGVIVKAVGVIEATADTAFEVILNTARHQRYEWDTLTGDLELIDSYDGYFDVVYGTFDPMYLSRWHSKKDFLFSRQWFRGQDGTYTILQFPAIHKKKPKRSGYHRTKINPSSWEIRNLNASMGSNTPRCLVTQMVEIPSKGWWRWKKNHFSNFEKSVPYALLCQVAGLKDYIGANPALKFKSAASVIKSKVPDGPVSNAEYEAEAVQDEFYDAISAGSSSSDEESESDELDQKDAKVKLKNVAWAISSLALKRASIANANKELDPKAAPITIDTKQFHGSFCKGNDEADTNCWTSPSGVGFMIRGKTYLEDNSKVKGGDPLLKLIAVDWFKVDKSIDRFALHPRCLIQSEAGKKLPFVLIFNLQVPAKPNYSLVLYYAADRPPNPNSLFAKFVDGSDMFRDARLKLIPSIAEGYWMVKRAVGTKACLLGKAVSCKYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTNIVVDLAILIEAKEEAELPEYILGTVRLNRVKLESAVHLEV >ONI20890 pep chromosome:Prunus_persica_NCBIv2:G2:4156862:4160551:1 gene:PRUPE_2G038700 transcript:ONI20890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLVSRKIEMDAAFFNNVGSEQRSEGDGSEHSSFRSGVGGGMFEYFGWVYHLGLNKLGHEYCHLRFLFIRGKYVEMYKRDPHENPGIRPIRRGAVGPTLMVVELGRRKVQHGGKGGDIYVLRFYNRLDETKKGEIACATAGEAQKWMEAFDQAKQQAEYELARGASARNKLNMEEEIDLEGHRPRVRHYAHGLKRLIKIGQGPETLLRQSSSLGPNSSEGYFQTDFGDAVEAYEWKCVRTVNGVRIFQDVANTESGKGVIVKAVGVIEATADTAFEVILNTARHQRYEWDTLTGDLELIDSYDGYFDVVYGTFDPMYLSRWHSKKDFLFSRQWFRGQDGTYTILQFPAIHKKKPKRSGYHRTKINPSSWEIRNLNASMGSNTPRCLVTQMVEIPSKGWWRWKKNHFSNFEKSVPYALLCQVAGLKDYIGANPALKFKSAASVIKSKVPDGPVSNAEYEAEAVQDEFYDAISAGSSSSDEESESDELDQKDAKVKLKNVAWAISSLALKRASIANANKELDPKAAPITIDTKQFHGSFCKGNDEADTNCWTSPSGVGFMIRGKTYLEDNSKVKGGDPLLKLIAVDWFKVDKSIDRFALHPRCLIQSEAGKKLPFVLIFNLQVPAKPNYSLVLYYAADRPPNPNSLFAKFVDGSDMFRDARLKLIPSIAEGYWMVKRAVGTKACLLGKAVSCKYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTNIVVDLAILIEAKEEAELPEYILGTVRLNRVKLESAVHLEV >ONI22383 pep chromosome:Prunus_persica_NCBIv2:G2:18220706:18222611:-1 gene:PRUPE_2G125500 transcript:ONI22383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNSVSSQPETISEPDPKPDSMASTVPSKSLVHQAQDHGLPLPQLKWAMSNNTTTTKSNENNKTSTKCSGNNNPSLQLSSNTKLGQFDRVAKQPEPKSSNVEKEADPIPATEVIDGPETQKPKSTAEDKKSKICIRIRSKEKAAVVPEPEPEPEPENEKESSVAAALAALEDEETIQKTWNLRPRRPVPKANGRAGALKTGAPLVQQNKTEAAGGSSKAGGKGAQKKDNKLKISVSLTKEEIEEDIFIMTGARPSRRPKKRAKNVQKQLDHLFPGLWLNSVSTNSYQVPETPLKD >ONI22384 pep chromosome:Prunus_persica_NCBIv2:G2:18221260:18222454:-1 gene:PRUPE_2G125500 transcript:ONI22384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNSVSSQPETISEPDPKPDSMASTVPSKSLVHQAQDHGLPLPQLKWAMSNNTTTTKSNENNKTSTKCSGNNNPSLQLSSNTKLGQFDRVAKQPEPKSSNVEKEADPIPATEVIDGPETQKPKSTAEDKKSKICIRIRSKEKAAVVPEPEPEPEPENEKESSVAAALAALEDEETIQKTWNLRPRRPVPKANGRAGALKTGAPLVQQNKTEAAGGSSKAGGKGAQKKDNKLKISVSLTKEEIEEDIFIMTGARPSRRPKKRAKNVQKQLDHLFPGLWLNSVSTNSYQVPETPLKRI >ONI22386 pep chromosome:Prunus_persica_NCBIv2:G2:18221552:18222454:-1 gene:PRUPE_2G125500 transcript:ONI22386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNSVSSQPETISEPDPKPDSMASTVPSKSLVHQAQDHGLPLPQLKWAMSNNTTTTKSNENNKTSTKCSGNNNPSLQLSSNTKLGQFDRVAKQPEPKSSNVEKEADPIPATEVIDGPETQKPKSTAEDKKSKICIRIRSKEKAAVVPEPEPEPEPENEKESSVAAALAALEDEETIQKTWNLRPRRPVPKANGRAGALKTGAPLVQQNKTEAAGGSSKAGGKGAQKKDNKLKISVSLTKEEIEEDIFIMTGARPSRRPKKRAKNVQKQLDVCIVEFNLSFFIYFLFIFYSWGWLILKRF >ONI22385 pep chromosome:Prunus_persica_NCBIv2:G2:18221156:18222593:-1 gene:PRUPE_2G125500 transcript:ONI22385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNSVSSQPETISEPDPKPDSMASTVPSKSLVHQAQDHGLPLPQLKWAMSNNTTTTKSNENNKTSTKCSGNNNPSLQLSSNTKLGQFDRVAKQPEPKSSNVEKEADPIPATEVIDGPETQKPKSTAEDKKSKICIRIRSKEKAAVVPEPEPEPEPENEKESSVAAALAALEDEETIQKTWNLRPRRPVPKANGRAGALKTGAPLVQQNKTEAAGGSSKAGGKGAQKKDNKLKISVSLTKEEIEEDIFIMTGARPSRRPKKRAKNVQKQLDHLFPGLWLNSVSTNSYQVPETPLKRI >ONI22382 pep chromosome:Prunus_persica_NCBIv2:G2:18221156:18222646:-1 gene:PRUPE_2G125500 transcript:ONI22382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNSVSSQPETISEPDPKPDSMASTVPSKSLVHQAQDHGLPLPQLKWAMSNNTTTTKSNENNKTSTKCSGNNNPSLQLSSNTKLGQFDRVAKQPEPKSSNVEKEADPIPATEVIDGPETQKPKSTAEDKKSKICIRIRSKEKAAVVPEPEPEPEPENEKESSVAAALAALEDEETIQKTWNLRPRRPVPKANGRAGALKTGAPLVQQNKTEAAGGSSKAGGKGAQKKDNKLKISVSLTKEEIEEDIFIMTGARPSRRPKKRAKNVQKQLDHLFPGLWLNSVSTNSYQVPETPLKD >ONI25436 pep chromosome:Prunus_persica_NCBIv2:G2:29017612:29019622:1 gene:PRUPE_2G303500 transcript:ONI25436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAPTPTPESLVDKIYGHHASASSSDSDDDKKKSKHNVVKPKVEDDKPSTHDSVKSKIFRLFGREKPVHKVLGGGKPADVLLWRNKKISASVLGGATVLWVFFELLEYHLITLVCHLLILSLAVFFLWSNASTFINKSPPQIPKVQLSERTVLDLASALRIELNRAFHILRDIASGRDLKTFLGVIAILWILSVVGKWFNFLTLFYITFVLLHTLPVIYEKYDVQIDAFSEKALIEFKKQYAVFDAKVLSKIPKGPLKEKKKD >ONI24546 pep chromosome:Prunus_persica_NCBIv2:G2:26286682:26288923:1 gene:PRUPE_2G245900 transcript:ONI24546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLYPASYMADSNWFIQDSQSSEWTKEENKKFESALAMFDEKTPDRWLKVASMIPGKTVIDVIKQYQELEEDVSEIESGRFPIPGYLTSSFTLDLGDDRNFDANRKRPSAARGSDQERKKGIPWTEEEHRRFLMGLLKYGKGDWRNISRNFVISKTPTQVASHAQKYFMRQHSGGKDKRRPSIHDITTVNLTSTTPSENNRPPLDQSPPEQKSTESPKALLDWNACDDGGAMVFGSTHGSLFESSPYDVAAEGIKLQLQKLYSSANFAAHAKPQNSMYLMQTSRHQIHG >ONI24547 pep chromosome:Prunus_persica_NCBIv2:G2:26286623:26289042:1 gene:PRUPE_2G245900 transcript:ONI24547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLYPASYMADSNWFIQDSQSSEWTKEENKKFESALAMFDEKTPDRWLKVASMIPGKTVIDVIKQYQELEEDVSEIESGRFPIPGYLTSSFTLDLGDDRNFDANRKRPSAARGSDQERKKGIPWTEEEHRRFLMGLLKYGKGDWRNISRNFVISKTPTQVASHAQKYFMRQHSGGKDKRRPSIHDITTVNLTSTTPSENNRPPLDQSPPEQKSTESPKALLDWNACDDGGAMVFGSTHGSLFESSPYDVAAEGIKLQLQKLYSSANFAAHAKPQNSMYLMQTSRHQIHG >ONI20508 pep chromosome:Prunus_persica_NCBIv2:G2:1963252:1968349:1 gene:PRUPE_2G019900 transcript:ONI20508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHLLHPTNLRFSTSTSLTSATYSITLCGEHAHKRNPTIHCLHLSKRSSNPSLKAKKELSRLLRTDAAVRNIEKKANSNKYNNLWPKAVLEALDEAIANNLWESALKIFGLLRKQHWYEPRCQTYTKLLMMLGKCRQPEQASLLFELMLSDGLTPTVDVYTALVSVYGKSGLLDKAFSTVDDMKSVSDCKPDVYTYSILINSCTKFNRPDLIEEVLAEMSYLGIGCNTVIYNTLIDGYGKAEMFELMEDSLTDMIESGSCLPDVFTLNSFLGAYGKCGQIEKMEKWYDEFQLMGIRPDPKTFNILIKSYGKATMYEKMGSVMEFMKKRFFSPTVVTYNIVIEVFGKAGNVEKMGEYFRKMKYQGMKPNSITYCSLVSAYSKAGHMSKVDSILRQVENSDVILDTPFFNCIISAYGRAGDVRKVSELFLAMKEKKCLPDHITFATMIQAYNARGMTEAAEDLQKRMITNTENSGTRLIGC >ONI20511 pep chromosome:Prunus_persica_NCBIv2:G2:1963314:1970488:1 gene:PRUPE_2G019900 transcript:ONI20511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHLLHPTNLRFSTSTSLTSATYSITLCGEHAHKRNPTIHCLHLSKRSSNPSLKAKKELSRLLRTDAAVRNIEKKANSNKYNNLWPKAVLEALDEAIANNLWESALKIFGLLRKQHWYEPRCQTYTKLLMMLGKCRQPEQASLLFELMLSDGLTPTVDVYTALVSVYGKSGLLDKAFSTVDDMKSVSDCKPDVYTYSILINSCTKFNRPDLIEEVLAEMSYLGIGCNTVIYNTLIDGYGKAEMFELMEDSLTDMIESGSCLPDVFTLNSFLGAYGKCGQIEKMEKWYDEFQLMGIRPDPKTFNILIKSYGKATMYEKMGSVMEFMKKRFFSPTVVTYNIVIEVFGKAGNVEKMGEYFRKMKYQGMKPNSITYCSLVSAYSKAGHMSKVDSILRQVENSDVILDTPFFNCIISAYGRAGDVRKVSELFLAMKEKKCLPDHITFATMIQAYNARGMTEAAEDLQKRMITNTENSGTRLIGC >ONI20509 pep chromosome:Prunus_persica_NCBIv2:G2:1963314:1968188:1 gene:PRUPE_2G019900 transcript:ONI20509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHLLHPTNLRFSTSTSLTSATYSITLCGEHAHKRNPTIHCLHLSKRSSNPSLKAKKELSRLLRTDAAVRNIEKKANSNKYNNLWPKAVLEALDEAIANNLWESALKIFGLLRKQHWYEPRCQTYTKLLMMLGKCRQPEQASLLFELMLSDGLTPTVDVYTALVSVYGKSGLLDKAFSTVDDMKSVSDCKPDVYTYSILINSCTKFNRPDLIEEVLAEMSYLGIGCNTVIYNTLIDGYGKAEMFELMEDSLTDMIESGSCLPDVFTLNSFLGAYGKCGQIEKMEKWYDEFQLMGIRPDPKTFNILIKSYGKATMYEKMGSVMEFMKKRFFSPTVVTYNIVIEVFGKAGNVEKMGEYFRKMKYQGMKPNSITYCSLVSAYSKAGHMSKVDSILRQVENSDVILDTPFFNCIISAYGRAGDVRKVSELFLAMKEKKCLPDHITFATMIQAYNARGMTEAAEDLQKRMITNTENSGTRLIGC >ONI20512 pep chromosome:Prunus_persica_NCBIv2:G2:1963261:1968310:1 gene:PRUPE_2G019900 transcript:ONI20512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHLLHPTNLRFSTSTSLTSATYSITLCGEHAHKRNPTIHCLHLSKRSSNPSLKAKKELSRLLRTDAAVRNIEKKANSNKYNNLWPKAVLEALDEAIANNLWESALKIFGLLRKQHWYEPRCQTYTKLLMMLGKCRQPEQASLLFELMLSDGLTPTVDVYTALVSVYGKSGLLDKAFSTVDDMKSVSDCKPDVYTYSILINSCTKFNRPDLIEEVLAEMSYLGIGCNTVIYNTLIDGYGKAEMFELMEDSLTDMIESGSCLPDVFTLNSFLGAYGKCGQIEKMEKWYDEFQLMGIRPDPKTFNILIKSYGKATMYEKMGSVMEFMKKRFFSPTVVTYNIVIEVFGKAGNVEKMGEYFRKMKYQGMKPNSITYCSLVSAYSKAGHMSKVDSILRQVENSDVILDTPFFNCIISAYGRAGDVRKPTMHEA >ONI20507 pep chromosome:Prunus_persica_NCBIv2:G2:1963314:1970560:1 gene:PRUPE_2G019900 transcript:ONI20507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHLLHPTNLRFSTSTSLTSATYSITLCGEHAHKRNPTIHCLHLSKRSSNPSLKAKKELSRLLRTDAAVRNIEKKANSNKYNNLWPKAVLEALDEAIANNLWESALKIFGLLRKQHWYEPRCQTYTKLLMMLGKCRQPEQASLLFELMLSDGLTPTVDVYTALVSVYGKSGLLDKAFSTVDDMKSVSDCKPDVYTYSILINSCTKFNRPDLIEEVLAEMSYLGIGCNTVIYNTLIDGYGKAEMFELMEDSLTDMIESGSCLPDVFTLNSFLGAYGKCGQIEKMEKWYDEFQLMGIRPDPKTFNILIKSYGKATMYEKMGSVMEFMKKRFFSPTVVTYNIVIEVFGKAGNVEKMGEYFRKMKYQGMKPNSITYCSLVSAYSKAGHMSKVDSILRQVENSDVILDTPFFNCIISAYGRAGDVRKVSELFLAMKEKKCLPDHITFATMIQAYNARGMTEAAEDLQKRMITNTENSGTRLIGC >ONI20510 pep chromosome:Prunus_persica_NCBIv2:G2:1963253:1970599:1 gene:PRUPE_2G019900 transcript:ONI20510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHLLHPTNLRFSTSTSLTSATYSITLCGEHAHKRNPTIHCLHLSKRSSNPSLKAKKELSRLLRTDAAVRNIEKKANSNKYNNLWPKAVLEALDEAIANNLWESALKIFGLLRKQHWYEPRCQTYTKLLMMLGKCRQPEQASLLFELMLSDGLTPTVDVYTALVSVYGKSGLLDKAFSTVDDMKSVSDCKPDVYTYSILINSCTKFNRPDLIEEVLAEMSYLGIGCNTVIYNTLIDGYGKAEMFELMEDSLTDMIESGSCLPDVFTLNSFLGAYGKCGQIEKMEKWYDEFQLMGIRPDPKTFNILIKSYGKATMYEKMGSVMEFMKKRFFSPTVVTYNIVIEVFGKAGNVEKMGEYFRKMKYQGMKPNSITYCSLVSAYSKAGHMSKVDSILRQVENSDVILDTPFFNCIISAYGRAGDVRKVSELFLAMKEKKCLPDHITFATMIQAYNARGMTEAAEDLQKRMITNTENSGTRLIGC >ONI25568 pep chromosome:Prunus_persica_NCBIv2:G2:29342406:29343829:1 gene:PRUPE_2G309800 transcript:ONI25568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAAKSVAKTIGGYQYPWREKLAKHSSELSKGVWGYWHLGAWKPLGISARHRAKIRREVLLAGQDWPYDPARKEMRTKRKGHKVDRIAAEKRENTAKLMVKMPQMLLDYKKRVWEKRMKEEEKNKS >ONI25570 pep chromosome:Prunus_persica_NCBIv2:G2:29341437:29343829:1 gene:PRUPE_2G309800 transcript:ONI25570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAAKSVAKTIGGYQYPWREKLAKHSSELSKGVWGYWHLGAWKPLGISARHRAKIRREVLLAGQDWPYDPARKEMRTKRKGHKVDRIAAEKRENTAKLMVKMPQMLLDYKKRVWEKRMKEEEKNKS >ONI25569 pep chromosome:Prunus_persica_NCBIv2:G2:29341437:29343829:1 gene:PRUPE_2G309800 transcript:ONI25569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAAKSVAKTIGGYQYPWREKLAKHSSELSKGVWGYWHLGAWKPLGISARHRAKIRREVLLAGQDWPYDPARKEMRTKRKGHKVDRIAAEKRENTAKLMVKMPQMLLDYKKRVWEKRMKEEEKNKS >ONI21532 pep chromosome:Prunus_persica_NCBIv2:G2:10900234:10905427:1 gene:PRUPE_2G072100 transcript:ONI21532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLISMAAAAESAGEKKRVVVIGGGVAGSVVAKSLQFCADVVLIDEKEYFEIPWGSLRAMVEPSFAERSVINHSDYLPNVRIVASTAANITEREVLTTDGHLLVYEYLVIATGHKEAVPKTRAERLSHYEAEFVKINSAKSVLIVGGGPTGVELAGEIATDFPEKKVILVHRGSRLLEFIGLKASQKALDWLISKKVEVILDETINLNTISDPIIQTPSGEIITADCHFVCTGKPTGSSWLRGTIVQNNLDMQGRLMVDENLRVRGHKNIFAVGDITNIKEIKQGYLAQRHAEVTAKNLKLLLMGGNESKMATYKPGLDIALVSLGRNEGVAQFPLLTISGCIPGMIKSGDLYVGKTRKHLGLKP >ONI21533 pep chromosome:Prunus_persica_NCBIv2:G2:10901996:10905427:1 gene:PRUPE_2G072100 transcript:ONI21533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPSFAERSVINHSDYLPNVRIVASTAANITEREVLTTDGHLLVYEYLVIATGHKEAVPKTRAERLSHYEAEFVKINSAKSVLIVGGGPTGVELAGEIATDFPEKKVILVHRGSRLLEFIGLKASQKALDWLISKKVEVILDETINLNTISDPIIQTPSGEIITADCHFVCTGKPTGSSWLRGTIVQNNLDMQGRLMVDENLRVRGHKNIFAVGDITNIKEIKQGYLAQRHAEVTAKNLKLLLMGGNESKMATYKPGLDIALVSLGRNEGVAQFPLLTISGCIPGMIKSGDLYVGKTRKHLGLKP >ONI22774 pep chromosome:Prunus_persica_NCBIv2:G2:20540511:20544324:1 gene:PRUPE_2G150300 transcript:ONI22774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGNHSELPQVLVLLPPGCFTLLESNYSHKFKFLKAWDSPLPQDQFLATHAGSVQALLSSANGPTITANILQMLPSLKVIVTTSVGIDHLDLAECRSRGVAIASTPKIFTEDVADMAVGLLLDVMRKISAGDRYVRDGLWATRGDYALGSKIGGKRVGIVGLGNIGLEVAKRLEAFGCNILYNSRTEKPFVSYPFYSDICELAANSDALVICCALTAETHHLINKKVLLALGRDGVIVNIGRGAIIDEKEMVRCLVIGEIGGAGLDVFEDEPEVPEELFALDNVVLSPHYATYTPECFMALCELVAGNFEAFFSNKPLLSPAVDN >ONI22495 pep chromosome:Prunus_persica_NCBIv2:G2:19104456:19105692:1 gene:PRUPE_2G133000 transcript:ONI22495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATALVILLLAAPAVYGVQHTVGDTAGWESNVDYVTWAASKTFTVGDTLLFTYGASHSVDQVNQAGYSSCSSSNAIGTHSDGNTSIPLSQAGPVYFICPTPGHCASGMKVTVTVVAAGSPPTTSPTTPSPPTSTPSPPTSTPSPPTTPASNNGSSPPPPPPSGAAALSMNMLGVPLALATLVAFMG >ONI22496 pep chromosome:Prunus_persica_NCBIv2:G2:19104442:19105771:1 gene:PRUPE_2G133000 transcript:ONI22496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATALVILLLAAPAVYGVQHTVGDTAGWESNVDYVTWAASKTFTVGDTLLFTYGASHSVDQVNQAGYSSCSSSNAIGTHSDGNTSIPLSQAGPVYFICPTPGHCASGMKVTVTVVAAGSPPTTSPTTPSPPTSTPSPPTSTPSPPTTPASNNGSSPPPPPPSGAAALSMNMLGVPLALATLVAFMG >ONI22494 pep chromosome:Prunus_persica_NCBIv2:G2:19104442:19105771:1 gene:PRUPE_2G133000 transcript:ONI22494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATALVILLLAAPAVYGVQHTVGDTAGWESNVDYVTWAASKTFTVGDTLLFTYGASHSVDQVNQAGYSSCSSSNAIGTHSDGNTSIPLSQAGPVYFICPTPGHCASGMKVTVTVVAAGSPPTTSPTTPSPPTSTPSPPTSTPSPPTTPASNNGSSPPPPPPSGAAALSMNMLGVPLALATLVAFMG >ONI25722 pep chromosome:Prunus_persica_NCBIv2:G2:29683990:29686373:-1 gene:PRUPE_2G316500 transcript:ONI25722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLTLKAAAYFSPSISSSSETYLPFSPSKLLKLPPFWPWEKVKVGPLSVSPMGFGTWAWGNQLLWGYQESMDNELQQTFSLAVDNGINLFDTADSYGTGRLNGKSEKLLGKFIREYQGQKRLQKDIVIATKFAAYPWRLTPGQFLNACNASIDRMQIEQIGIGQLHWSTANYAPLQEKALWDGLVAMHDKGLVRAVGVSNYGPKQLVKIYDYLKTRGVPLCTAQVQFSLLSVGEDQLEIKNICDSLGIRLIAYSPLGLGMLTGKYTPSNLPRGPRAFLFRQILPGLDPLFSSLEEIAQKRSKTIPQVAINWCICKGTIPIPGVKTVKQAEENLGALGWRLSSQELLQLEYAARESPQKMIQNIFQTR >ONI25719 pep chromosome:Prunus_persica_NCBIv2:G2:29683304:29686374:-1 gene:PRUPE_2G316500 transcript:ONI25719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLTLKAAAYFSPSISSSSETYLPFSPSKLLKLPPFWPWEKVKVGPLSVSPMGFGTWAWGNQLLWGYQESMDNELQQTFSLAVDNGINLFDTADSYGTGRLNGKSEKLLGKFIREYQGQKRLQKDIVIATKFAAYPWRLTPGQFLNACNASIDRMQIEQIGIGQLHWSTANYAPLQEKALWDGLVAMHDKGLVRAVGVSNYGPKQLVKIYDYLKTRGVPLCTAQVQFSLLSVGEDQLEIKNICDSLGIRLIAYSPLGLGMLTGKYTPSNLPRGPRAFLFRQILPGLDPLFSSLEEIAQKRSKTIPQVAINWCICKGTIPIPGVKTVKQAEENLGALGWRLSSQELLQLEYAARESPQKMIQNIFQTR >ONI25721 pep chromosome:Prunus_persica_NCBIv2:G2:29683304:29685581:-1 gene:PRUPE_2G316500 transcript:ONI25721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDSCVNPLNIIGQKRLQKDIVIATKFAAYPWRLTPGQFLNACNASIDRMQIEQIGIGQLHWSTANYAPLQEKALWDGLVAMHDKGLVRAVGVSNYGPKQLVKIYDYLKTRGVPLCTAQVQFSLLSVGEDQLEIKNICDSLGIRLIAYSPLGLGMLTGKYTPSNLPRGPRAFLFRQILPGLDPLFSSLEEIAQKRSKTIPQVAINWCICKGTIPIPGVKTVKQAEENLGALGWRLSSQELLQLEYAARESPQKMIQNIFQTR >ONI25723 pep chromosome:Prunus_persica_NCBIv2:G2:29684044:29686010:-1 gene:PRUPE_2G316500 transcript:ONI25723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGTWAWGNQLLWGYQESMDNELQQTFSLAVDNGINLFDTADSYGTGRLNGKSEKLLGKFIREYQGQKRLQKDIVIATKFAAYPWRLTPGQFLNACNASIDRMQIEQIGIGQLHWSTANYAPLQEKALWDGLVAMHDKGLVRAVGVSNYGPKQLVKIYDYLKTRGVPLCTAQVQFSLLSVGEDQLEIKNICDSLGIRLIAYSPLGLGMLTGKYTPSNLPRGPRAFLFRQILPGLDPLFSSLEEIAQKRSKTIPQVAINWCICKGTIPIPGVKTVKQAEENLGALGWRLSSQELLQLEYAARESPQKMIQNIFQTR >ONI25720 pep chromosome:Prunus_persica_NCBIv2:G2:29683304:29686373:-1 gene:PRUPE_2G316500 transcript:ONI25720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGTWAWGNQLLWGYQESMDNELQQTFSLAVDNGINLFDTADSYGTGRLNGKSEKLLGKFIREYQGQKRLQKDIVIATKFAAYPWRLTPGQFLNACNASIDRMQIEQIGIGQLHWSTANYAPLQEKALWDGLVAMHDKGLVRAVGVSNYGPKQLVKIYDYLKTRGVPLCTAQVQFSLLSVGEDQLEIKNICDSLGIRLIAYSPLGLGMLTGKYTPSNLPRGPRAFLFRQILPGLDPLFSSLEEIAQKRSKTIPQVAINWCICKGTIPIPGVKTVKQAEENLGALGWRLSSQELLQLEYAARESPQKMIQNIFQTR >ONI25664 pep chromosome:Prunus_persica_NCBIv2:G2:29534524:29536094:-1 gene:PRUPE_2G313400 transcript:ONI25664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRNGRNVIRQIIKDTNIHSSDSDRMINPLLYACQGVRYRKLEVILTTSVEKLGKAGQTVKVAPGHFRNHLMPKLLAVPNIDKYAYLIKQQRKNCLLQEEEEEEEVKVVTVSEEAKQREYQKAAKILDNARLVLRRSINLEKFRTRATKEDAIELKSPVTTEDLVAEVWLCSSWFLALSL >ONI25663 pep chromosome:Prunus_persica_NCBIv2:G2:29534012:29536121:-1 gene:PRUPE_2G313400 transcript:ONI25663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRNGRNVIRQIIKDTNIHSSDSDRMINPLLYACQGVRYRKLEVILTTSVEKLGKAGQTVKVAPGHFRNHLMPKLLAVPNIDKYAYLIKQQRKNCLLQEEEEEEEVKVVTVSEEAKQREYQKAAKILDNARLVLRRSINLEKFRTRATKEDAIELKSPVTTEDLVAEVARQLCVHILPENVHLPSPLLTVGEYEVPLRLPKSIPLPAGKIQWTLNVKIRTA >ONI25662 pep chromosome:Prunus_persica_NCBIv2:G2:29534077:29536094:-1 gene:PRUPE_2G313400 transcript:ONI25662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRNGRNVIRQIIKDTNIHSSDSDRMINPLLYACQGVRYRKLEVILTTSVEKLGKAGQTVKVAPGHFRNHLMPKLLAVPNIDKYAYLIKQQRKNCLLQEEEEEEEVKVVTVSEEAKQREYQKAAKILDNARLVLRRSINLEKFRTRATKEDAIELKSPVTTEDLVAEVARQLCVHILPENVHLPSPLLTVGEYEVPLRLPKSIPLPAGKIQWTLNVKIRTA >ONI21825 pep chromosome:Prunus_persica_NCBIv2:G2:14460005:14465593:-1 gene:PRUPE_2G091600 transcript:ONI21825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYEISNHPFPTHTASSLSHHLPPSLLLPPNQSLSKQTISSPIFVHSLSLSLSLSLNLSVSVTMAVAELSTPLYTKTRLVHSSQLSFSSTHLRGHRRVAFRPLPQAKNARISCSVAPNQVQAPAAPPQTVDPKNKAECFGVFCLTYDLKAEEETKSWKKLINIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSLQALEGVAMELEDSLFPLLREVSIGIDPYEVFQDAGWALLIGAKPRGPGMERAGLLDLNGQIFVEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGLPVKEVIKDKKWLEEEFTESIQKRGGVLIQKWGRSSAASTAVSIADAIKSLITPTPEGDWFSSGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDIQFDDYLLKRIKKTEAELLAEKRCVGHLTGQGIPVCDLPEDTMLPGEM >ONI21892 pep chromosome:Prunus_persica_NCBIv2:G2:15214434:15220364:1 gene:PRUPE_2G096900 transcript:ONI21892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPAGSGCGGVSGGSVVGGCGGGAVGGCTNGTSNNSCCNVSLKCRCRWRCLMSSGFVFFLGCFVLFGSVATLYVWFAFTPYYARTALSSSSMLGCQEDNEGSWSVGVFFGDSPFSLKPIEAMNVWRDKTAAWPVANPVVTCASVSDAGFPSNFVADPFLYVQGDIFYLFYETKNSITMQGDIGVSKSTDKGATWQQLGIALDEEWHLSYPYVFNYHGQIYMMPESSMKGELRLYRALNFPMQWTLEKVIMKKPLVDSFIINYNGAYWLFGSDHSGFGTRKNGQLEIWYSSSPLGPWKPHKKNPVYNVDKSFGARNGGRPFFYNGNLYRFGQDCAETYGRRVRTFKVEVLTKDEYKEVEVSLGLIEPSKGRNAWNGARHHHLDVQQLNTGEWIGVMDGDRVPSGDSVRRFILGSASVAIVAVLVILLGVLLGAVKCLIPLNWCTYNSGKRSDAFLAWERSHLFSSKVRRFCSRLNREVSFFRGRIKPNTCAGRLVLAILLACGVAAMCTGVKYIYGGSGAEEAYPLKGHYSEFTLLTMTYDARLWNLKMYVKHYSRCSSVREIIVVWNKGIPPKVSDFDSTVPVRIRVEKQNSLNNRFKMDSLIKTRAVLELDDDIMMTCNDIERGFRIWRQHPDRIVGFYPRLIDGSPLKYRGEKFARTHKGYNMILTGAAFLDSQVAFERYWGEEARQAREVVDKYFNCEDVLMNYLYANASSSKTVEYVRPAWAIDTSKLSGAAISRNTRVHYHIRSNCLLKFSEMYGSLTGRKWEFDGRKDGWDV >ONI22724 pep chromosome:Prunus_persica_NCBIv2:G2:20338937:20347318:-1 gene:PRUPE_2G147100 transcript:ONI22724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNGVAQRGAVKLDRPVSFRTSSFKSRLPPSQSPGNGSAVRRSSPALFGSAGSKDDHAVPGRVRVAVRLRPRNAEEMVADADFADCVELQPELKRLKLRKNNWDLDTYEFDDVLTEYASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSDRGIMVRSMEDILADISPETDSISVSYLQLYMETLQDLLDPTNDNIPIVEDPRTGDVSVPGATIVEIKDQHSFLELLRCGEAHRIAANTKLNTESSRSHAILMVQVKRSVLGREDDVSSENGDPSHLTKPFKPLVRKSKLVVVDLAGSERIQKSGSEGHMLEEAKCINLSLSALGKCINSLAENSAHVPFRDSKLTRLLKDSFGGSARTSLIVTIGPSPRHRGETASTILFGQRAMKVENMLKIKEEFDYKSLSRKLEIQVDKLIAENERQHKAFEDEVERINLESQKRISEVERNFADALEKERLKCQMDYMEAVKELEEKLVSNQKPNHCLVDGKWNGEGPGLSVSKEATELQELLQNEIDLRKMAEEELKNLKGQLGLYTQSEAGGDAEILKLHKLLEEEAQQKKKLEEEIIILRSQLLQSNFEAEQMRRCLDRDGSGNGFTGLDSSMPPVRNSYPKDIGNGQKAPVSTLFEQVGLQKILSLLESEDANVRIHAVKVVANLAAEAEANQKRIVEAGGLTSLLMLLRSFEDETVRRVAAGAIANLAMNEANQELIMAQGGISLLATTAADADDAQTLRMVAGAIANLCGNDKLQTKLRSEGGIKALLGIVRCGHPDVLSQVARGIANFAKCESRACTQGIKSGRSLLIQDGALPWIVQNANDEAAPIRRHIELALCHLAQHEVNAKDMISGGALWELVRISRDCSREDIRSLAHRTLNSSPTFRAEMRRLRIDY >ONI22723 pep chromosome:Prunus_persica_NCBIv2:G2:20338938:20347318:-1 gene:PRUPE_2G147100 transcript:ONI22723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNGVAQRGAVKLDRPVSFRTSSFKSRLPPSQSPGNGSAVRRSSPALFGSAGSKDDHAVPGRVRVAVRLRPRNAEEMVADADFADCVELQPELKRLKLRKNNWDLDTYEFDDVLTEYASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSDRGIMVRSMEDILADISPETDSISVSYLQLYMETLQDLLDPTNDNIPIVEDPRTGDVSVPGATIVEIKDQHSFLELLRCGEAHRIAANTKLNTESSRSHAILMVQVKRSVLGREDDVSSENGDPSHLTKPFKPLVRKSKLVVVDLAGSERIQKSGSEGHMLEEAKCINLSLSALGKCINSLAENSAHVPFRDSKLTRLLKDSFGGSARTSLIVTIGPSPRHRGETASTILFGQRAMKVENMLKIKEEFDYKSLSRKLEIQVDKLIAENERQHKAFEDEVERINLESQKRISEVERNFADALEKERLKCQMDYMEAVKELEEKLVSNQKPNHCLVDGKWNGEGPGLSVSKEATELQELLQNEIDLRKMAEEELKNLKGQLGLYTQSEAGGDAEILKLHKLLEEEAQQKKKLEEEIIILRSQLLQSNFEAEQMRRCLDRDGSGNGFTGLDSSMPPVRNSYPKDIGNGQKAPVSTLFEQVGLQKILSLLESEDANVRIHAVKVVANLAAEEANQKRIVEAGGLTSLLMLLRSFEDETVRRVAAGAIANLAMNAEANQELIMAQGGISLLATTAADADDAQTLRMVAGAIANLCGNDKLQTKLRSEGGIKALLGIVRCGHPDVLSQVARGIANFAKCESRACTQGIKSGRSLLIQDGALPWIVQNANDEAAPIRRHIELALCHLAQHEVNAKDMISGGALWELVRISRDCSREDIRSLAHRTLNSSPTFRAEMRRLRIDY >ONI22725 pep chromosome:Prunus_persica_NCBIv2:G2:20339876:20347318:-1 gene:PRUPE_2G147100 transcript:ONI22725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNGVAQRGAVKLDRPVSFRTSSFKSRLPPSQSPGNGSAVRRSSPALFGSAGSKDDHAVPGRVRVAVRLRPRNAEEMVADADFADCVELQPELKRLKLRKNNWDLDTYEFDDVLTEYASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSDRGIMVRSMEDILADISPETDSISVSYLQLYMETLQDLLDPTNDNIPIVEDPRTGDVSVPGATIVEIKDQHSFLELLRCGEAHRIAANTKLNTESSRSHAILMVQVKRSVLGREDDVSSENGDPSHLTKPFKPLVRKSKLVVVDLAGSERIQKSGSEGHMLEEAKCINLSLSALGKCINSLAENSAHVPFRDSKLTRLLKDSFGGSARTSLIVTIGPSPRHRGETASTILFGQRAMKVENMLKIKEEFDYKSLSRKLEIQVDKLIAENERQHKAFEDEVERINLESQKRISEVERNFADALEKERLKCQMDYMEAVKELEEKLVSNQKPNHCLVDGKWNGEGPGLSVSKEATELQELLQNEIDLRKMAEEELKNLKGQLGLYTQSEAGGDAEILKLHKLLEEEAQQKKKLEEEIIILRSQLLQSNFEAEQMRRCLDRDGSGNGFTGLDSSMPPVRNSYPKDIGNGQKAPVSTLFEQVGLQKILSLLESEDANVRIHAVKVVANLAAEEANQKRIVEAGGLTSLLMLLRSFEDETVRRVAAGAIANLAMNEANQELIMAQGGISLLATTAADADDAQTLRMVAGAIANLCGNDKLQTKLRSEGGIKALLGIVRCGHPDVLSQVARGIANFAKCESRACTQAKSTN >ONI22722 pep chromosome:Prunus_persica_NCBIv2:G2:20338932:20347350:-1 gene:PRUPE_2G147100 transcript:ONI22722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNGVAQRGAVKLDRPVSFRTSSFKSRLPPSQSPGNGSAVRRSSPALFGSAGSKDDHAVPGRVRVAVRLRPRNAEEMVADADFADCVELQPELKRLKLRKNNWDLDTYEFDDVLTEYASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSDRGIMVRSMEDILADISPETDSISVSYLQLYMETLQDLLDPTNDNIPIVEDPRTGDVSVPGATIVEIKDQHSFLELLRCGEAHRIAANTKLNTESSRSHAILMVQVKRSVLGREDDVSSENGDPSHLTKPFKPLVRKSKLVVVDLAGSERIQKSGSEGHMLEEAKCINLSLSALGKCINSLAENSAHVPFRDSKLTRLLKDSFGGSARTSLIVTIGPSPRHRGETASTILFGQRAMKVENMLKIKEEFDYKSLSRKLEIQVDKLIAENERQHKAFEDEVERINLESQKRISEVERNFADALEKERLKCQMDYMEAVKELEEKLVSNQKPNHCLVDGKWNGEGPGLSVSKEATELQELLQNEIDLRKMAEEELKNLKGQLGLYTQSEAGGDAEILKLHKLLEEEAQQKKKLEEEIIILRSQLLQSNFEAEQMRRCLDRDGSGNGFTGLDSSMPPVRNSYPKDIGNGQKAPVSTLFEQVGLQKILSLLESEDANVRIHAVKVVANLAAEEANQKRIVEAGGLTSLLMLLRSFEDETVRRVAAGAIANLAMNEANQELIMAQGGISLLATTAADADDAQTLRMVAGAIANLCGNDKLQTKLRSEGGIKALLGIVRCGHPDVLSQVARGIANFAKCESRACTQGIKSGRSLLIQDGALPWIVQNANDEAAPIRRHIELALCHLAQHEVNAKDMISGGALWELVRISRDCSREDIRSLAHRTLNSSPTFRAEMRRLRIDY >ONI23240 pep chromosome:Prunus_persica_NCBIv2:G2:22216810:22221006:-1 gene:PRUPE_2G177000 transcript:ONI23240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCNAFSKTICSLCYKDFDPIVEDLQVISICGHVFHELCLQERFGYFSSKKKYSCPVCKQSCKPNDVARLYFQWGDSSLTQSPVIEREEDSEALRREVRRLEAMALGLGSALERKEKEVKGLNQELYLSKEQVKKEVELKNEALKQQTSMQQLLHMKSKEFDKLTLECLRLQERNRALDKELAAVELVSDLDLDEKDVLKIAALGNGADNKDTIDVLRTSLVMSNRNYKELMAKYNLLEQKEARHSKKLEKARGKINKLKTKVQELETAVEVKNTEVLRALKASKKTRGGGFIQHGVNPSDRKKETSVLGLSNLVEALGSITGTCADTAKTPAADIADVVIIDDDEQVQPMMNIRNESPIPQQLPRPGDACFSGGLLGPDGTNRYLGKWCKRKHKNRTAIAQDPSCTS >ONI23247 pep chromosome:Prunus_persica_NCBIv2:G2:22216667:22220743:-1 gene:PRUPE_2G177000 transcript:ONI23247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLGSALERKEKEVKGLNQELYLSKEQVKKEVELKNEALKQQTSMQQLLHMKSKEFDKLTLECLRLQERNRALDKELAAVELVSDLDLDEKDVLKIAALGNGADNKDTIDVLRTSLVMSNRNYKELMAKYNLLEQKEARHSKKLEKARGKINKLKTKVQELETAVEVKNTEVLRALKASKKTRGGGFIQHGVNQAASDRKKETSVLGLSNLVEALGSITGTCADTAKTPAADIADVVIIDDDEQVQPMMNIRNESPIPQQLPRPGDACFSGGLLGPDGTNRYLGKWCKRKHKNRTAIAQDPSCTS >ONI23241 pep chromosome:Prunus_persica_NCBIv2:G2:22215515:22221006:-1 gene:PRUPE_2G177000 transcript:ONI23241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCNAFSKTICSLCYKDFDPIVEDLQVISICGHVFHELCLQERFGYFSSKKKYSCPVCKQSCKPNDVARLYFQWGDSSLTQSPVIEREEDSEALRREVRRLEAMALGLGSALERKEKEVKGLNQELYLSKEQVKKEVELKNEALKQQTSMQQLLHMKSKEFDKLTLECLRLQERNRALDKELAAVELVSDLDLDEKDVLKIAALGNGADNKDTIDVLRTSLVMSNRNYKELMAKYNLLEQKEARHSKKLEKARGKINKLKTKVQELETAVEVKNTEVLRALKASKKTRGGGFIQHGVNQAASDRKKETSVLGLSNLVEALGSITGTCADTAKTPAADIADVVIIDDDEQVQPMMNIRNESPIPQQLPRPGDACFSGGLLGPDGTNRYLGKWCKRKHKNRTAIAQDPSCTS >ONI23239 pep chromosome:Prunus_persica_NCBIv2:G2:22216393:22221006:-1 gene:PRUPE_2G177000 transcript:ONI23239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCNAFSKTICSLCYKDFDPIVEDLQVISICGHVFHELCLQERFGYFSSKKKYSCPVCKQSCKPNDVARLYFQWGDSSLTQSPVIEREEDSEALRREVRRLEAMALGLGSALERKEKEVKGLNQELYLSKEQVKKEVELKNEALKQQTSMQQLLHMKSKEFDKLTLECLRLQERNRALDKELAAVELVSDLDLDEKDVLKIAALGNGADNKDTIDVLRTSLVMSNRNYKELMAKYNLLEQKEARHSKKLEKARGKINKLKTKVQELETAVEVKNTEVLRALKASKKTRGGGFIQHGVNPSDRKKETSVLGLSNLVEALGSITGTCADTAKTPAADIADVVIIDDDEQVQPMMNIRNESPIPQQLPRPGDACFSGGLLGPDGTNRYLGKWCKRKHKNRTAIAQDPSCTS >ONI23244 pep chromosome:Prunus_persica_NCBIv2:G2:22216161:22221313:-1 gene:PRUPE_2G177000 transcript:ONI23244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCNAFSKTICSLCYKDFDPIVEDLQVISICGHVFHELCLQERFGYFSSKKKYSCPVCKQSCKPNDVARLYFQWGDSSLTQSPVIEREEDSEALRREVRRLEAMALGLGSALERKEKEVKGLNQELYLSKEQVKKEVELKNEALKQQTSMQQLLHMKSKEFDKLTLECLRLQERNRALDKELAAVELVSDLDLDEKDVLKIAALGNGADNKDTIDVLRTSLVMSNRNYKELMAKYNLLEQKEARHSKKLEKARGKINKLKTKVQELETAVEVKNTEVLRALKASKKTRGGGFIQHGVNQAASDRKKETSVLGLSNLVEALGSITGTCADTAKTPAADIADVVIIDDDEQVQPMMNIRNESPIPQQLPRPGDACFSGGLLGPDGTNRYLGKWCKRKHKNRTAIAQDPSCTS >ONI23242 pep chromosome:Prunus_persica_NCBIv2:G2:22216393:22221313:-1 gene:PRUPE_2G177000 transcript:ONI23242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCNAFSKTICSLCYKDFDPIVEDLQVISICGHVFHELCLQERFGYFSSKKKYSCPVCKQSCKPNDVARLYFQWGDSSLTQSPVIEREEDSEALRREVRRLEAMALGLGSALERKEKEVKGLNQELYLSKEQVKKEVELKNEALKQQTSMQQLLHMKSKEFDKLTLECLRLQERNRALDKELAAVELVSDLDLDEKDVLKIAALGNGADNKDTIDVLRTSLVMSNRNYKELMAKYNLLEQKEARHSKKLEKARGKINKLKTKVQELETAVEVKNTEVLRALKASKKTRGGGFIQHGVNQAASDRKKETSVLGLSNLVEALGSITGTCADTAKTPAADIADVVIIDDDEQVQPMMNIRNESPIPQQLPRPGDACFSGGLLGPDGTNRYLGKWCKRKHKNRTAIAQDPSCTS >ONI23246 pep chromosome:Prunus_persica_NCBIv2:G2:22218177:22220919:-1 gene:PRUPE_2G177000 transcript:ONI23246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCNAFSKTICSLCYKDFDPIVEDLQVISICGHVFHELCLQERFGYFSSKKKYSCPVCKQSCKPNDVARLYFQWGDSSLTQSPVIEREEDSEALRREVRRLEAMALGLGSALERKEKEVKGLNQELYLSKEQVKKEVELKNEALKQQTSMQQLLHMKSKEFDKLTLECLRLQERNRALDKELAAVELVSDLDLDEKDVLKIAALGNGADNKDTIDVLRTSLVMSNRNYKELMAKYNLLEQKEARHSKKLEKARGKINKLKTKVQELETAVEVKNTEVLRALKASKKTRGGGFIQHGVNQAASDRKKETSVLGLSNLVEALGSITGTCADTAKTPAADIADVVIIDDDEQVQPMMNIRNESPIPQQLPRPGDACFSGGLLGPDGTNRYLGKWCKRKHKNRTAIAQDPSCTS >ONI23243 pep chromosome:Prunus_persica_NCBIv2:G2:22215516:22221199:-1 gene:PRUPE_2G177000 transcript:ONI23243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCNAFSKTICSLCYKDFDPIVEDLQVISICGHVFHELCLQERFGYFSSKKKYSCPVCKQSCKPNDVARLYFQWGDSSLTQSPVIEREEDSEALRREVRRLEAMALGLGSALERKEKEVKGLNQELYLSKEQVKKEVELKNEALKQQTSMQQLLHMKSKEFDKLTLECLRLQERNRALDKELAAVELVSDLDLDEKDVLKIAALGNGADNKDTIDVLRTSLVMSNRNYKELMAKYNLLEQKEARHSKKLEKARGKINKLKTKVQELETAVEVKNTEVLRALKASKKTRGGGFIQHGVNQAASDRKKETSVLGLSNLVEALGSITGTCADTAKTPAADIADVVIIDDDEQVQPMMNIRNESPIPQQLPRPGDACFSGGLLGPDGTNRYLGKWCKRKHKNRTAIAQDPSCTS >ONI23245 pep chromosome:Prunus_persica_NCBIv2:G2:22216685:22221006:-1 gene:PRUPE_2G177000 transcript:ONI23245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCNAFSKTICSLCYKDFDPIVEDLQVISICGHVFHELCLQERFGYFSSKKKYSCPVCKQSCKPNDVARLYFQWGDSSLTQSPVIEREEDSEALRREVRRLEAMALGLGSALERKEKEVKGLNQELYLSKEQVKKEVELKNEALKQQTSMQQLLHMKSKEFDKLTLECLRLQERNRALDKELAAVELVSDLDLDEKDVLKIAALGNGADNKDTIDVLRTSLVMSNRNYKELMAKYNLLEQKEARHSKKLEKARGKINKLKTKVQELETAVEVKNTEVLRALKASKKTRGGGFIQHGVNQAASDRKKETSVLGLSNLVEALGSITGTCADTAKTPAADIADVVIIDDDEQVQPMMNIRNESPIPQQLPRPGDACFSGGLLGPDGTNRYLGKWCKRKHKNRTAIAQDPSCTS >ONI23817 pep chromosome:Prunus_persica_NCBIv2:G2:24283188:24283574:1 gene:PRUPE_2G210000 transcript:ONI23817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTLLFAQLSRGESCYFPCVFCTTINQKINFLSPPPLENHAIIKPFHAVVDKYRFVVSLSIGGKENWDLILGFRLSRDFTIWLVG >ONI24650 pep chromosome:Prunus_persica_NCBIv2:G2:26648362:26649790:1 gene:PRUPE_2G252700 transcript:ONI24650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVINSDEDRVKGSWSPQEDSTLIKLVAQHGPRNWSLISTGIPGRSGKSCRLRWCNQLSPTVQHKSFTPQEDNIIVRAHALHGNKWATIARLLPGRTDNAIKNHWNSTLRRRRQLAELSSASSDSNSVAQIRYEPGMKRQCLRASPEPDSFKADVGGDGVVETSLTLLPPGEKEENEVILKSEDHDDEGKCAVEMEETCLLTIMQRMIAQEVRNYVDGLRAEAGPTSFGLQSAGLQNDP >ONI22352 pep chromosome:Prunus_persica_NCBIv2:G2:17988876:17990187:-1 gene:PRUPE_2G123600 transcript:ONI22352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNEIRTPIESPIMPSISPLEFFPPQCDWDGNMIMPSISPLEFSPPKSDWNETMDDDYYYYEEEGDDDDDGGGGVVSSLLDQFDQLFMKTKPSNNSCTTHVHEHERDTPKSDDYTVSLDGLNPTLHQDQDLHPPRSASYFECNMCMKMAREPVVTTCGHLYCRPCLYTYSSQKEECLVCKSKVFNSLITPIYNCRDLNSGFSVPPRPSSRAQQAISRIKQWQNKDFAVEEGHQMVGELIYHWQVLAEEHLVPRSVYHSGLVSAQEFKQAADKWETMHTSIEHLQKSRSQCAAHHLELQGLRNQAQEVEAQIAKLQTDLKTIRNKEKEVHLQLQTNLKKSWELQKQITTTAQPGLEKSHVIILKGSTLRADMDAKFKFLKVILTSLVL >ONI23280 pep chromosome:Prunus_persica_NCBIv2:G2:22322079:22325441:1 gene:PRUPE_2G179600 transcript:ONI23280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEQSGSFNPAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLDQQTLDVSTMDLTVTCLNQKLLTCKTYMDKEGSRQQQLLSFIPRHHKHYILPNSVNKKVHFSPHVQTDTRQHPYQARAHLQSSSAAASSKTLSWHLASETKSTLKGTPQAMTSAGDTKISVNTSGIFHLLENEGSNPTKSSATHLQLPSGVPASGGAMQTLGAGHREAVDGQRPMTAFRSFDNPNRRQIVRVPVRSKSVLSAFFAKQKTMKLKTGSVS >ONI23281 pep chromosome:Prunus_persica_NCBIv2:G2:22322289:22325169:1 gene:PRUPE_2G179600 transcript:ONI23281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEQSGSFNPAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLDQQTLDVSTMDLTVTCLNQKLLTCKTYMDKEGSRQQQLLSFIPRHHKHYILPNSVNKKVHFSPHVQTDTRQHPYQARAHLQSSSAAASSKTLSWHLASETKSTLKGTPQAMTSAGDTKISVNTSGIFHLLENEGSNPTKSSATHLQLPSGVPASGSGGWSETNDGVQVI >ONI24444 pep chromosome:Prunus_persica_NCBIv2:G2:26033405:26036385:-1 gene:PRUPE_2G240600 transcript:ONI24444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVFGSPGKVSGLALRIGQCSFAAASIGVMVSAHGFFNSTAFCYLIASMGLQVLWSLGLACLDLHALRSKRSLQNPVLVSLFVVGDWVTAILSLAAACSSAGVTVLYSRDLNYCGPPAQLPCSRFQIAVAFAFISWFLLAVSSIVMFWLLGAV >ONI21979 pep chromosome:Prunus_persica_NCBIv2:G2:15526928:15527461:-1 gene:PRUPE_2G099500 transcript:ONI21979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKITLKSDDGEIFEVEEAVAMQSQTIKHMMEDDCADGAIPLPNVTSNILAKVIEYCRKHSEEAADGENKEDILKNFTDGENKEDILKKATDGKNKEDILNDWDAEFAKLDQVTLFHLMMTANYLDIKGLLDLIANTVAGMIMGKTPEEIRETFNIKNDFTSEEEKKIREENPWAFE >ONI21245 pep chromosome:Prunus_persica_NCBIv2:G2:6542068:6547629:1 gene:PRUPE_2G055200 transcript:ONI21245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMANQQGHSFSSSSSSSVTQDPWTYDVFVSFRGKDTRTNFTDHLYKALSDKGIYTFIDRELIGGEKISPALLEAIEESRISLIVFSENYASSRWCLDELVEILRCKSSTNQIVWPIFYKVDPSHVRNQTNSFGDAFADMNCRFKDNTEKVLRWRSALREAASLKGYTCKAGESEATFINHIVEEIVVLVLNRTYLNVAKYPVGIHSCVRAVEMLLCAGGNGRRIVGIWGTSGIGKTTIAKAVYNAIAHKFEGCCFLADVRENSMPHGGLIQLQETLLQEILGGNKLKIVSADKGISIIQKLLRQKRILLILDDVNQLEQLDNLAGVGWFGEGSRVIITTQDSGLLKCYGIELIYEVHKLYDNQALELFSLNAFGRNEPPNDYLELAKRAIAYAQGLPLALTLLGSHLRNKDIHRWQAILDGYEGEPYTGIQKILRKSYDALGNSVQQVFLDMACFFKGEDKDYVMQILSSKQKASQDCIEVLVEKAMITIQYNRILMHDLLEKLGKDIVHEECPIEPGKRSRLWFHEDVYHVLTENSGTRKIKGIMVKFPKPDEIPLNAESFFGMVNLEIFINCNAVLSGYVEYLPNELRFIDWGRCQLQLLPSNFHARHLVVFNMPCSDIRQLEGFKKFPKLTSINLSGCQFLEKIVDLSGIPNLKYLNLSECKRLVEVDGSVGFLDKLVELDLRECFQLTRFGTRLRLKSLERLYLCDCKRLESFPEIEDKMESLIILDMEGSGIRELPSSIAYLTGLEVLKADYCENLSNASLHHIYGLQRLGELSVKGCRKLLTFGNEVNFEVSSSYTELQLLSNSSNFSDDNSLSLALPRLRFFFLGGCNLSESDFLPPLDCWSTLEELDLSGNNFVSLPECISKFVNLLSLRLCGCKRLREIPEVLPPKLTSVTLNSCTSLETFPKLSPGLQHLYLTNCFKLCGCDITENILLNQVSSQSSTIEIIVPGTEVPKWFSCCKEATVFEDPFSDNKGEYVAECEVCFEIPPNLEWETSRLALCAVFDLMTYHGCQFCAKILINGKQVNEIWIWAEYGIKLEETHVWLNCSPLLDPNKNMVEGPMRLQQGNMCQVLFYYHGAGPMTRCGVHVLGHQVGDVSETGIMVVDDDIHCHYQDELVSLSLTSTSGVGKRPRGSDTIAVDNHGPNVVVMDHDHEEQYLTLFSEPADHPKRRHIDIDEEQNLTY >ONI21247 pep chromosome:Prunus_persica_NCBIv2:G2:6542686:6546692:1 gene:PRUPE_2G055200 transcript:ONI21247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMANQQGHSFSSSSSSSVTQDPWTYDVFVSFRGKDTRTNFTDHLYKALSDKGIYTFIDRELIGGEKISPALLEAIEESRISLIVFSENYASSRWCLDELVEILRCKSSTNQIVWPIFYKVDPSHVRNQTNSFGDAFADMNCRFKDNTEKVLRWRSALREAASLKGYTCKAGESEATFINHIVEEIVVLVLNRTYLNVAKYPVGIHSCVRAVEMLLCAGGNGRRIVGIWGTSGIGKTTIAKAVYNAIAHKFEGCCFLADVRENSMPHGGLIQLQETLLQEILGGNKLKIVSADKGISIIQKLLRQKRILLILDDVNQLEQLDNLAGVGWFGEGSRVIITTQDSGLLKCYGIELIYEVHKLYDNQALELFSLNAFGRNEPPNDYLELAKRAIAYAQGLPLALTLLGSHLRNKDIHRWQAILDGYEGEPYTGIQKILRKSYDALGNSVQQVFLDMACFFKGEDKDYVMQILSSKQKASQDCIEVLVEKAMITIQYNRILMHDLLEKLGKDIVHEECPIEPGKRSRLWFHEDVYHVLTENSGTRKIKGIMVKFPKPDEIPLNAESFFGMVNLEIFINCNAVLSGYVEYLPNELRFIDWGRCQLQLLPSNFHARHLVVFNMPCSDIRQLEGFKKFPKLTSINLSGCQFLEKIVDLSGIPNLKYLNLSECKRLVEVDGSVGFLDKLVELDLRECFQLTRFGTRLRLKSLERLYLCDCKRLESFPEIEDKMESLIILDMEGSGIRELPSSIAYLTGLEVLKADYCENLSNASLHHIYGLQRLGELSVKGCRKLLTFGNEVNFEVSSSYTELQLLSNSSNFSDDNSLSLALPRLRFFFLGGCNLSESDFLPPLDCWSTLEELDLSGNNFVSLPECISKFVNLLSLRLCGCKRLREIPEVLPPKLTSVTLNSCTSLETFPKLSPGLQHLYLTNCFKLCGCDITENILLNQVSLSLSLFLSLSLSLYIYIYIHVLSQWLL >ONI21246 pep chromosome:Prunus_persica_NCBIv2:G2:6542068:6547629:1 gene:PRUPE_2G055200 transcript:ONI21246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMANQQGHSFSSSSSSSVTQDPWTYDVFVSFRGKDTRTNFTDHLYKALSDKGIYTFIDRELIGGEKISPALLEAIEESRISLIVFSENYASSRWCLDELVEILRCKSSTNQIVWPIFYKVDPSHVRNQTNSFGDAFADMNCRFKDNTEKVLRWRSALREAASLKGYTCKAGESEATFINHIVEEIVVLVLNRTYLNVAKYPVGIHSCVRAVEMLLCAGGNGRRIVGIWGTSGIGKTTIAKAVYNAIAHKFEGCCFLADVRENSMPHGGLIQLQETLLQEILGGNKLKIVSADKGISIIQKLLRQKRILLILDDVNQLEQLDNLAGVGWFGEGSRVIITTQDSGLLKCYGIELIYEVHKLYDNQALELFSLNAFGRNEPPNDYLELAKRAIAYAQGLPLALTLLGSHLRNKDIHRWQAILDGYEGEPYTGIQKILRKSYDALGNSVQQVFLDMACFFKGEDKDYVMQILSSKQKASQDCIEVLVEKAMITIQYNRILMHDLLEKLGKDIVHEECPIEPGKRSRLWFHEDVYHVLTENSGTRKIKGIMVKFPKPDEIPLNAESFFGMVNLEIFINCNAVLSGYVEYLPNELRFIDWGRCQLQLLPSNFHARHLVVFNMPCSDIRQLEGFKKFPKLTSINLSGCQFLEKIVDLSGIPNLKYLNLSECKRLVEVDGSVGFLDKLVELDLRECFQLTRFGTRLRLKSLERLYLCDCKRLESFPEIEDKMESLIILDMEGSGIRELPSSIAYLTGLEVLKADYCENLSNASLHHIYGLQRLGELSVKGCRKLLTFGNEVNFEVSSSYTELQLLSNSSNFSDDNSLSLALPRLRFFFLGGCNLSESDFLPPLDCWSTLEELDLSGNNFVSLPECISKFVNLLSLRLCGCKRLREIPEVLPPKLTSVTLNSCTSLETFPKLSPGLQHLYLTNCFKLCGCDITENILLNQVSSQSSTIEIIVPGTEVPKWFSCCKEATVFEDPFSDNKGEYVAECEVCFEIPPNLEWETSRLALCAVFDLMTYHGCQFCAKILINGKQVNEIWIWAEYGIKLEETHVWLNCSPLLDPNKNMVEGPMRLQQGNMCQVLFYYHGAGPMTRCGVHVLGHQVGDVSETGIMVVDDDIHCHYQDELVSLSLTSTSGVGKRPRGSDTIAVDNHGPNVVVMDHDHEEQYLTLFSEPADHPKRRHIDIDEEQSM >ONI21997 pep chromosome:Prunus_persica_NCBIv2:G2:15687990:15692624:1 gene:PRUPE_2G100900 transcript:ONI21997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYDQGKGVEEAIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYMMLRKKQAFLDNYRKFPMFAENDLSEFDESREILESLVEEYKACESPDYIKWGMEDPDHVLTGEGNAAGTVDPKLAV >ONI21998 pep chromosome:Prunus_persica_NCBIv2:G2:15687992:15692577:1 gene:PRUPE_2G100900 transcript:ONI21998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYDQGKGVEEAIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYMMLRKKQAFLDNYRKFPMFAENDLSEFDESREILESLVEEYKACESPDYIKWGMEDPDHVLTGEGNAAGTVDPKLAV >ONI23648 pep chromosome:Prunus_persica_NCBIv2:G2:23737215:23743585:1 gene:PRUPE_2G200400 transcript:ONI23648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWKQLSVSNLPLHINTTRPYHELEKEAHNKGVLAGQSIDIDIPPPRPKRKPSNPYPRKSCSAAPTWATSHVAAKDGKLLSSTSSSHCKQVLDLEKEPLDERPTKEENPRNGKENQDENCSEVLTMLLEDHCSSVSSANKNSIPTQVALRNACTFREFVPSPKEVISQDVTNDSYVTTELNGNQNLKKNDAKKIVQDNGTSGASESENSNAFHKKLVQGEKADDLNCALPTDGMQGTQTYPRNVPVHVLDGSLGACNQITPADMSFADTAFHPMGKVHGQPNLFANPTASTTTEHESNTSRSSVHQSFPAFHPPFTPLHHGQKDYQSFLHMSSTFSSLIVSSLLQNPAAHAAASFAATFWPYANAENTEDSPACPPGGFPSRQMNSPPSMAAIAAATVAAASAWWASHGLLPLCAPVQTAFSCPPVSMTGVPSMDTGEAPAANIERGENSLQIPSLQDQQVDPEHLEAVEAQHPASKSPSMSSSHSDNGGAEPNTVLKAAADEKVVASTDEVNDSNNAKSRKQVDRSSCGSNTPSSSEVETDALEKQEKGKEELKEPDLNHPASDSTYRRSRSIINISDPWKEVSEEGRMAFQALFSREVLPQSFSPPPKDKEHQTTTEGKENAEEKDEDASLLDLNKKTWVPFSCHLGVEKNVSPVGDNDAEGLLTIGLSQGKLKARRTGFKPYKRCSVEANENRAANAISHCEEKGPKRLRLEGEAQT >ONI23647 pep chromosome:Prunus_persica_NCBIv2:G2:23735468:23743585:1 gene:PRUPE_2G200400 transcript:ONI23647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQSSGEDLVIKARKPYTITKQRERWTEEEHNRFLDALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAHNKGVLAGQSIDIDIPPPRPKRKPSNPYPRKSCSAAPTWATSHVAAKDGKLLSSTSSSHCKQVLDLEKEPLDERPTKEENPRNGKENQDENCSEVLTMLLEDHCSSVSSANKNSIPTQVALRNACTFREFVPSPKEVISQDVTNDSYVTTELNGNQNLKKNDAKKIVQDNGTSGASESENSNAFHKKLVQGEKADDLNCALPTDGMQGTQTYPRNVPVHVLDGSLGACNQITPADMSFADTAFHPMGKVHGQPNLFANPTASTTTEHESNTSRSSVHQSFPAFHPPFTPLHHGQKDYQSFLHMSSTFSSLIVSSLLQNPAAHAAASFAATFWPYANAENTEDSPACPPGGFPSRQMNSPPSMAAIAAATVAAASAWWASHGLLPLCAPVQTAFSCPPVSMTGVPSMDTGEAPAANIERGENSLQIPSLQDQQVDPEHLEAVEAQHPASKSPSMSSSHSDNGGAEPNTVLKAAADEKVVASTDEVNDSNNAKSRKQVDRSSCGSNTPSSSEVETDALEKQEKGKEELKEPDLNHPASDSTYRRSRSIINISDPWKEVSEEGRMAFQALFSREVLPQSFSPPPKDKEHQTTTEGKENAEEKDEDASLLDLNKKTWVPFSCHLGVEKNVSPVGDNDAEGLLTIGLSQGKLKARRTGFKPYKRCSVEANENRAANAISHCEEKGPKRLRLEGEAQT >ONI21585 pep chromosome:Prunus_persica_NCBIv2:G2:11226468:11233033:1 gene:PRUPE_2G074100 transcript:ONI21585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGDKEDTEYDKKRQELYEHYHPLEFSPTIPIEEKAKLMEEWWTKTHGLLIEGGLTYDGIRQSVADSTIAFREGVVELFEFLEERDIPILIFSAGLADIIEEVLRQKIHRLFKNVKIVSNRMVFDNNGHLVSFQGKTIHSLNKNEHALDMAAPLHDRLGDNIDAPTYENASVKTRRNVLLLGDHIGDLGMSDGLNYENRISAGFLNDNVENSLDSYRKAFDVVYLNDAPMWGVVKLVSQLCPSEGH >ONI21583 pep chromosome:Prunus_persica_NCBIv2:G2:11226468:11229310:1 gene:PRUPE_2G074100 transcript:ONI21583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRLHSILLGTTIRTHRSIPPHLICRAWFCSGRFRNQTHMESHASCEAVVGDSELLVKKMATICNAGPAKLQVIADFDATLTKYQVNGCRGQSSHGLVQQEDTEYDKKRQELYEHYHPLEFSPTIPIEEKAKLMEEWWTKTHGLLIEGGLTYDGIRQSVADSTIAFREGVVELFEFLEERDIPILIFSAGLADIIEEVLRQKIHRLFKNVKIVSNRMVFDNNGHLVSFQGKTIHSLNKNEHALDMAAPLHDRLGDNIDAPTYENASVKTRRNVLLLGDHIGDLGMSDGLNYENRISAGFLTRVNLSFKQLLQ >ONI21584 pep chromosome:Prunus_persica_NCBIv2:G2:11226468:11233033:1 gene:PRUPE_2G074100 transcript:ONI21584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGDKEDTEYDKKRQELYEHYHPLEFSPTIPIEEKAKLMEEWWTKTHGLLIEGGLTYDGIRQSVADSTIAFREGVVELFEFLEERDIPILIFSAGLADIIEEVLRQKIHRLFKNVKIVSNRMVFDNNGHLVSFQGKTIHSLNKNEHALDMAAPLHDRLGDNIDAPTYENASVKTRRNVLLLGDHIGDLGMSDGLNYENRISAGFLNDNVENSLDSYRKAFDVVYLVFD >ONI21581 pep chromosome:Prunus_persica_NCBIv2:G2:11226468:11233033:1 gene:PRUPE_2G074100 transcript:ONI21581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRLHSILLGTTIRTHRSIPPHLICRAWFCSGRFRNQTHMESHASCEAVVGDSELLVKKMATICNAGPAKLQVIADFDATLTKYQVNGCRGQSSHGLVQQEDTEYDKKRQELYEHYHPLEFSPTIPIEEKAKLMEEWWTKTHGLLIEGGLTYDGIRQSVADSTIAFREGVVELFEFLEERDIPILIFSAGLADIIEEVLRQKIHRLFKNVKIVSNRMVFDNNGHLVSFQGKTIHSLNKNEHALDMAAPLHDRLGDNIDAPTYENASVKTRRNVLLLGDHIGDLGMSDGLNYENRISAGFLNDNVENSLDSYRKAFDVVYLNDAPMWGVVKLVSQLCPSEGH >ONI21580 pep chromosome:Prunus_persica_NCBIv2:G2:11226468:11233033:1 gene:PRUPE_2G074100 transcript:ONI21580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRLHSILLGTTIRTHRSIPPHLICRAWFCSGRFRNQTHMESHASCEAVVGDSELLVKKMATICNAGPAKLQVIADFDATLTKYQVNGCRGQSSHGLVQQEDTEYDKKRQELYEHYHPLEFSPTIPIEEKAKLMEEWWTKTHGLLIEGGLTYDGIRQSVADSTIAFREGVVELFEFLEERDIPILIFSAGLADIIEEVLRQKIHRLFKNVKIVSNRMVFDNNGHLVSFQGKTIHSLNKNEHALDMAAPLHDRLGDNIDAPTYENASVKTRRNVLLLGDHIGDLGMSDGLNYENRISAGFLNDNVENSLDSYRKAFDVVYLVFD >ONI21582 pep chromosome:Prunus_persica_NCBIv2:G2:11226468:11231663:1 gene:PRUPE_2G074100 transcript:ONI21582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRLHSILLGTTIRTHRSIPPHLICRAWFCSGRFRNQTHMESHASCEAVVGDSELLVKKMATICNAGPAKLQVIADFDATLTKYQVNGCRGQSSHGLVQQEDTEYDKKRQELYEHYHPLEFSPTIPIEEKAKLMEEWWTKTHGLLIEGGLTYDGIRQSVADSTIAFREGVVELFEFLEERDIPILIFSAGLADIIEEVLRQKIHRLFKNVKIVSNRMVFDNNGHLVSFQGKTIHSLNKNEHALDMAAPLHDRLGDNIDAPTYENASVKTRRNVLLLGDHIGDLGMSDGLNYENRISAGFLNDNVENSLDSYRKAFDVVYLVS >ONI25810 pep chromosome:Prunus_persica_NCBIv2:G2:29883923:29885685:1 gene:PRUPE_2G321500 transcript:ONI25810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQFAKSPIPLILLILFPIFILCSFPTVRSEHESTIIRLPSDSQSADRCGSTPSSPLSSSSSPWSRPMCPVNCFRPDPVCGVDGVTYWCGCQEAQCAGVKVAKLGFCEVGNGGSAPLSAQALLLVHIVWLIVLGFSVLFGLF >ONI23572 pep chromosome:Prunus_persica_NCBIv2:G2:23340327:23344159:1 gene:PRUPE_2G195900 transcript:ONI23572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMSAAAKSPRMRTRVGKYELGKTLGEGTFAKVKFAKNMETGKCVAIKIVDREQVLKHKMVEHIKREISTMKLIKHPNVTQMFEVMASKTRIYIVLEFIDGGELFDEIAKNGRLKEDDARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSCGRLKISDFGLSTFEQQVREDGLLHTACGTPNYVAPEVLNNKGYEGTSSDIWSCGVILFVLMAGYLPFDEPNLIGLYRKICKAEFLCPSWFSSGAKKLIQRILDPNPVTRITIPEILENEWFKKDYKPAQFQEEEDVNLDDVDAVFDNSKEKFVTERKEKPVSMNAFELISRSKSFNLENLFEKQTGHVKRETRFTSQRPANEIMNKIEEAAKPLGFNVHKKNYKMKLQGDKHGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKNFSSGLQDIVWKTEETTEGSR >ONI23571 pep chromosome:Prunus_persica_NCBIv2:G2:23340327:23344917:1 gene:PRUPE_2G195900 transcript:ONI23571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMSAAAKSPRMRTRVGKYELGKTLGEGTFAKVKFAKNMETGKCVAIKIVDREQVLKHKMVEHIKREISTMKLIKHPNVTQMFEVMASKTRIYIVLEFIDGGELFDEIAKNGRLKEDDARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSCGRLKISDFGLSTFEQQVREDGLLHTACGTPNYVAPEVLNNKGYEGTSSDIWSCGVILFVLMAGYLPFDEPNLIGLYRKICKAEFLCPSWFSSGAKKLIQRILDPNPVTRITIPEILENEWFKKDYKPAQFQEEEDVNLDDVDAVFDNSKEKFVTERKEKPVSMNAFELISRSKSFNLENLFEKQTGHVKRETRFTSQRPANEIMNKIEEAAKPLGFNVHKKNYKMKLQGDKHGRKGHLSVATEVFEVAPSVHMVELRKTGGDTLEFHKFYKNFSSGLQDIVWKTEETTEGSRK >ONI24140 pep chromosome:Prunus_persica_NCBIv2:G2:25275488:25277401:-1 gene:PRUPE_2G226200 transcript:ONI24140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSETPKSGAATLAPRKVTPSRFFFQSTKTNSSSSHSLSSSCTASTPSRSFSLLMMEENIAIAESIITKWDPNSSSYTKITFLFQHSRKEAKEFLKSVNYLRSAMHVLVGGSSASKLVLAQNLMQTAMKRLEKEFYQILSANRDHLDPESVSSRSSGSAKFYNADEAGSEDELEIVGESITEVERASAFAMSDLKSIADCMISSGYGIECVKIYKIIRKSIVDGGLFRLGIQQFKSPQVHKMDLEGLESILRNWMDAVKIAVKALFRGEKYLCDHVFSASENIKESCFYEITKEGATTLFRFPELIVKNKKAPERIFWQLEIYEAVSDLWPEIESIFDSESTQAIKLQALSSWLKLSDSVRTILSDFESTIQKDSSKILVFGGGIHPLTQSVMNYVSSLADYYGVLSDILADHPPPGNSSFQEFKSVVSDDCSTPEVSVHLAWLILVLLCKLDIKAEIYKDVSLSYLFLANNLHFIVEKVNNTPNLKLLLGKDWVSEHMKKVKLYASNYETTAWTKVLSSLPERSADISPEMAKECFRKFNTAFEEAYRKQTSWIVEDGKLRNDLKVSISQKLVPTYQEFYDTYMVMLSGEKNMELLARVSPNDLGNYLSDLFHGTSASVSSTTSSSLVPSQRCFLI >ONI23423 pep chromosome:Prunus_persica_NCBIv2:G2:22877338:22877625:1 gene:PRUPE_2G188800 transcript:ONI23423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTAIKINGNNKCSIVVKIQPLTPPLRISQAATRVFSLSLLVHLSCCLSKLQTLAAIRQILIEHPSLLQFLVRIEIFVDNFLLFFFFGFLAMVL >ONI24076 pep chromosome:Prunus_persica_NCBIv2:G2:25007303:25012247:1 gene:PRUPE_2G222500 transcript:ONI24076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEVNVASIADDPNSKTITENSAPKYVQKWNFMFITSCVFAVSLDPLFLYVPILNYDMKCLRLDNNLKITALVSRSVTDLFYIANIVFQVYRYKICSNLIKLFLPGSWSSKLAELRKIWQSYIIVDILAILPLPQVIILIFFSKMRASRSLRKLMNFLVMVQYVPRVLRIYLSCKKSKKPFKGHTALWVKGLLNFFLYILASHVLGAFWYFFAVQRMTSCWQHVCQYENGCGPSTFNCHDHHTLRNITVLDNLCPINSSNTTLFDFGIYLSVLQSGILWSTNYPLKFSNSFCWGLRNLSSLASNLEPSSNTWEILFVACISIIGLLLFVYLIGNLQTYVLLDTERLESHRRKMRLERKMKAKGRDVELWLSKNGTPLRRKPDIMEKVRLELEENWDIDVDQEILSILPRELQDYIETCKPLSRLKNVEVPPFRNMDEQVLIEISKHLKPRSYTNNQIIIKEDQPLEMMLFVVDGRVIIKKKDCSSKFQRRAGHLYGEKLLVWPSWTSFHEGTRPLATESVQAIDDVEALVLLASDMVSIRSRFRSDFDKLKMSLSQSQQTDSHWELLTCDKVTMLQQVPKLRDMDKQVLKAMSEHLQSNVYGHNVNIVQEYRPLNMMFFVTRGKVINDETGRAGNIFGEELVEWVLDKSFPEILPLSTCTAKVDSNDAEFLVLTAEVLKSLASDNFVSHFSKIASPLDLFTFVRLTRLKKVEIFREMDKQVLEAISERLYTMTYPFANTPIIPEKKQLKMMFFVVRGVVNIKSDSTIEGPKTCQMGGFYGEELAHWVTTWVSHSSFPAKLPLPTGSAVSGPHGTVEILALSADDLKSVLSKFRSNFTSESTLLTYSQSESLTRDPLTIVKNVERLKTMDEKVLKEICEHLRPRKYEDEDIIQLNKPMEMMFFIVKGVVAVTNEYWKHYRNEAERSNHSGDDLIEYWARSKYTVFFAELPLSPFSFRAIGEVEVLVLMANDLAKVQPRRSAPIIDCSIIQVY >ONI24077 pep chromosome:Prunus_persica_NCBIv2:G2:25007303:25012247:1 gene:PRUPE_2G222500 transcript:ONI24077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEVNVASIADDPNSKTITENSAPKYVQKWNFMFITSCVFAVSLDPLFLYVPILNYDMKCLRLDNNLKITALVSRSVTDLFYIANIVFQVYRYKICSNLIKLFLPGSWSSKLAELRKIWQSYIIVDILAILPLPQVIILIFFSKMRASRSLRKLMNFLVMVQYVPRVLRIYLSCKKSKKPFKGHTALWVKGLLNFFLYILASHVLGAFWYFFAVQRMTSCWQHSGILWSTNYPLKFSNSFCWGLRNLSSLASNLEPSSNTWEILFVACISIIGLLLFVYLIGNLQTYVLLDTERLESHRRKMRLERKMKAKGRDVELWLSKNGTPLRRKPDIMEKVRLELEENWDIDVDQEILSILPRELQDYIETCKPLSRLKNVEVPPFRNMDEQVLIEISKHLKPRSYTNNQIIIKEDQPLEMMLFVVDGRVIIKKKDCSSKFQRRAGHLYGEKLLVWPSWTSFHEGTRPLATESVQAIDDVEALVLLASDMVSIRSRFRSDFDKLKMSLSQSQQTDSHWELLTCDKVTMLQQVPKLRDMDKQVLKAMSEHLQSNVYGHNVNIVQEYRPLNMMFFVTRGKVINDETGRAGNIFGEELVEWVLDKSFPEILPLSTCTAKVDSNDAEFLVLTAEVLKSLASDNFVSHFSKIASPLDLFTFVRLTRLKKVEIFREMDKQVLEAISERLYTMTYPFANTPIIPEKKQLKMMFFVVRGVVNIKSDSTIEGPKTCQMGGFYGEELAHWVTTWVSHSSFPAKLPLPTGSAVSGPHGTVEILALSADDLKSVLSKFRSNFTSESTLLTYSQSESLTRDPLTIVKNVERLKTMDEKVLKEICEHLRPRKYEDEDIIQLNKPMEMMFFIVKGVVAVTNEYWKHYRNEAERSNHSGDDLIEYWARSKYTVFFAELPLSPFSFRAIGEVEVLVLMANDLAKVQPRRSAPIIDCSIIQVY >ONI24078 pep chromosome:Prunus_persica_NCBIv2:G2:25007303:25012247:1 gene:PRUPE_2G222500 transcript:ONI24078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEVNVASIADDPNSKTITENSAPKYVQKWNFMFITSCVFAVSLDPLFLYVPILNYDMKCLRYKICSNLIKLFLPGSWSSKLAELRKIWQSYIIVDILAILPLPQVIILIFFSKMRASRSLRKLMNFLVMVQYVPRVLRIYLSCKKSKKPFKGHTALWVKGLLNFFLYILASHVLGAFWYFFAVQRMTSCWQHVCQYENGCGPSTFNCHDHHTLRNITVLDNLCPINSSNTTLFDFGIYLSVLQSGILWSTNYPLKFSNSFCWGLRNLSSLASNLEPSSNTWEILFVACISIIGLLLFVYLIGNLQTYVLLDTERLESHRRKMRLERKMKAKGRDVELWLSKNGTPLRRKPDIMEKVRLELEENWDIDVDQEILSILPRELQDYIETCKPLSRLKNVEVPPFRNMDEQVLIEISKHLKPRSYTNNQIIIKEDQPLEMMLFVVDGRVIIKKKDCSSKFQRRAGHLYGEKLLVWPSWTSFHEGTRPLATESVQAIDDVEALVLLASDMVSIRSRFRSDFDKLKMSLSQSQQTDSHWELLTCDKVTMLQQVPKLRDMDKQVLKAMSEHLQSNVYGHNVNIVQEYRPLNMMFFVTRGKVINDETGRAGNIFGEELVEWVLDKSFPEILPLSTCTAKVDSNDAEFLVLTAEVLKSLASDNFVSHFSKIASPLDLFTFVRLTRLKKVEIFREMDKQVLEAISERLYTMTYPFANTPIIPEKKQLKMMFFVVRGVVNIKSDSTIEGPKTCQMGGFYGEELAHWVTTWVSHSSFPAKLPLPTGSAVSGPHGTVEILALSADDLKSVLSKFRSNFTSESTLLTYSQSESLTRDPLTIVKNVERLKTMDEKVLKEICEHLRPRKYEDEDIIQLNKPMEMMFFIVKGVVAVTNEYWKHYRNEAERSNHSGDDLIEYWARSKYTVFFAELPLSPFSFRAIGEVEVLVLMANDLAKVQPRRSAPIIDCSIIQVY >ONI25196 pep chromosome:Prunus_persica_NCBIv2:G2:28326575:28330374:-1 gene:PRUPE_2G287800 transcript:ONI25196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDMKLYSRMLFIYLILHGDGRRCLSNALLQLHTRRSWDFLGLPPSHVKHRKEGDEIIGMMDSASIAAVCWAMGCSIADILAACDDAIADGVDIISASFGMSAAYTFTDGPVAIGSFHALKKEILTITAAGNYGPLRASISNVSPTIDRKFVTQLALGNGKTFMTSKYCNAGDLDSQKIKGKIVLCNYRSNGAGILHTDGVGVIMPFQSVDDPALSFRIATTLISPEEIPKVLDYIKTSKGPNLMVPDILKPDLIAPGVNILAAWSPVGRASVYSEDTRSVKYYVDYGTSMSCPHVTGAAAIVKAAYPRWSTTAIKSALMTTAYVVDPKKHENEREFAYGSGLLNPTKAVDPGLVFDASEKDYVDFLCKQSYNTTTARKITRDKSVCRGIKPARAWDLNYPSFSLAVGDGHEIKGNFHRTVTNVGKPNSTYNVSIVMPDSIKVNVEPSVLSFTDIGEKNSFCVDVNGQHITQVPIILGSITWKNGKHVVKSPLVIYNVLPSVFLTNPLYHTQRLSTHQTHISPGERSSFQPH >ONI24569 pep chromosome:Prunus_persica_NCBIv2:G2:26373807:26376992:1 gene:PRUPE_2G247700 transcript:ONI24569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTYRDRTSEFRSLSETLQKIGGITAVQQPQNVSSPSKPPGPSSSGSEFNKKASRIGLGIQETSQKISRLTQLAKRSSMFDDPIMEIQELTALVKNDITALNVALTDLQTIQRMEIADGSYSQDKVVHSTAVCDDLKSKLMTATKQLQDVLTTRTENIKAHENRKQIFSTNASRDNPFRQPAKSVSEPAPWSTTTSAPGNLQPSPLPSNEVQAGSQLRRRLAVDNPPSQQMEMSMLQQVVPRQENYTQSRAVALHNVESTISELSGIFTHLATMVAQQGELAIRIDDNMEESLANVEGAHNALLRNLNRISSNRWLIIKIFAILVFFLIVFIFFVA >ONI22153 pep chromosome:Prunus_persica_NCBIv2:G2:16898917:16904789:1 gene:PRUPE_2G110600 transcript:ONI22153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLELGKIKIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTITKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPQLTVLSVANLLGETIWRVHDDCSGVLEPFSTLGID >ONI22152 pep chromosome:Prunus_persica_NCBIv2:G2:16898146:16904203:1 gene:PRUPE_2G110600 transcript:ONI22152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLHPASPFSLASRESLRTRTFLPNRSCSRIAAPNGVRCNVGDPLKYANGKPSTPLLKTEEMAPNFLATETHLVNATTKNDTRLRIFSGTANPALSQEIACNMGLELGKIKIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTITKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFK >ONI22151 pep chromosome:Prunus_persica_NCBIv2:G2:16897928:16904789:1 gene:PRUPE_2G110600 transcript:ONI22151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLHPASPFSLASRESLRTRTFLPNRSCSRIAAPNGVRCNVGDPLKYANGKPSTPLLKTEEMAPNFLATETHLVNATTKNDTRLRIFSGTANPALSQEIACNMGLELGKIKIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTITKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPQLTVLSVANLLGETIWRVHDDCSGVLEPFSTLGID >ONI23287 pep chromosome:Prunus_persica_NCBIv2:G2:22353129:22353716:1 gene:PRUPE_2G180000 transcript:ONI23287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEIRYQWRSIVLHEHDSFIYVTFNILLINLAQQVPAPAPASTSTSASTHAILAFIVPILLTFVQIKFQGASSPFETHHTTTMVAIASLLAFSLAVGAKLRFPTHSPTYSSFAVGFSGLLSVASLLSLLLPDSWHHVPYMVFFMYLMSECFAGVRMIGRLAYQQAVHGFLCVLFYLFEHHRWVTRARQLPLTFV >ONI25003 pep chromosome:Prunus_persica_NCBIv2:G2:27654096:27655913:-1 gene:PRUPE_2G274000 transcript:ONI25003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSATSPRWQEKASGFFSTSGVKLKEAGQSAGTFVEEVTKDAKVNVTDMAGRVGSMFKSRWALLQQPSTRHAVQERLITAAATTGTLFRKGLSETKDKVSVGKIKVEEVAKKTAQKSKTILSDIERWQKGVASTDVFGVPIEVTVQRQQSSRPIPHILVKCADYLILSGLNTPYLFKGEGDKKVIQQLVSLYNQDSNASLPEGINPIDVAALIKCYLATLPEPLTTFELYNEIKGARSSIHAMRNMLRRLPTVNYTTLEFITALLLRVSQKSVLNKAGNTHTHTLSLSLSLL >ONI24998 pep chromosome:Prunus_persica_NCBIv2:G2:27653080:27655913:-1 gene:PRUPE_2G274000 transcript:ONI24998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSATSPRWQEKASGFFSTSGVKLKEAGQSAGTFVEEVTKDAKVNVTDMAGRVGSMFKSRWALLQQPSTRHAVQERLITAAATTGTLFRKGLSETKDKVSVGKIKVEEVAKKTAQKSKTILSDIERWQKGVASTDVFGVPIEVTVQRQQSSRPIPHILVKCADYLILSGLNTPYLFKGEGDKKVIQQLVSLYNQDSNASLPEGINPIDVAALIKCYLATLPEPLTTFELYNEIKGARSSIHAMRNMLRRLPTVNYTTLEFITALLLRVSQKSVLNKMDTQSLAMEMAPVLIWQKGRTPDLYRKYWTEPSKGPSKKNLDPEPTYSAWDMLSDEDDAVDDSIPLDDGVPIDFGAIEVVQCLMGHHNAIFTDANETIWR >ONI25001 pep chromosome:Prunus_persica_NCBIv2:G2:27652538:27656895:-1 gene:PRUPE_2G274000 transcript:ONI25001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSRWALLQQPSTRHAVQERLITAAATTGTLFRKGLSETKDKVSVGKIKVEEVAKKTAQKSKTILSDIERWQKGVASTDVFGVPIEVTVQRQQSSRPIPHILVKCADYLILSGLNTPYLFKGEGDKKVIQQLVSLYNQDSNASLPEGINPIDVAALIKCYLATLPEPLTTFELYNEIKGARSSIHAMRNMLRRLPTMDTQSLAMEMAPVLIWQKGRTPDLYRKYWTEPSKGPSKKNLDPEPTYSAWDMLSDEDDAVDDSIPLDDGVPIDFGAIEVVQCLMGHHNAIFTDANETIWR >ONI25000 pep chromosome:Prunus_persica_NCBIv2:G2:27653080:27655682:-1 gene:PRUPE_2G274000 transcript:ONI25000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVGSMFKSRWALLQQPSTRHAVQERLITAAATTGTLFRKGLSETKDKVSVGKIKVEEVAKKTAQKSKTILSDIERWQKGVASTDVFGVPIEVTVQRQQSSRPIPHILVKCADYLILSGLNTPYLFKGEGDKKVIQQLVSLYNQDSNASLPEGINPIDVAALIKCYLATLPEPLTTFELYNEIKGARSSIHAMRNMLRRLPTVNYTTLEFITALLLRVSQKSVLNKMDTQSLAMEMAPVLIWQKGRTPDLYRKYWTEPSKGPSKKNLDPEPTYSAWDMLSDEDDAVDDSIPLDDGVPIDFGAIEVVQCLMGHHNAIFTDANETIWR >ONI24999 pep chromosome:Prunus_persica_NCBIv2:G2:27653080:27655682:-1 gene:PRUPE_2G274000 transcript:ONI24999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVGSMFKSRWALLQQPSTRHAVQERLITAAATTGTLFRKGLSETKDKVSVGKIKVEEVAKKTAQKSKTILSDIERWQKGVASTDVFGVPIEVTVQRQQSSRPIPHILVKCADYLILSGLNTPYLFKGEGDKKVIQQLVSLYNQDSNASLPEGINPIDVAALIKCYLATLPEPLTTFELYNEIKGARSSIHAMRNMLRRLPTMDTQSLAMEMAPVLIWQKGRTPDLYRKYWTEPSKGPSKKNLDPEPTYSAWDMLSDEDDAVDDSIPLDDGVPIDFGAIEVVQCLMGHHNAIFTDANETIWR >ONI24997 pep chromosome:Prunus_persica_NCBIv2:G2:27652538:27656895:-1 gene:PRUPE_2G274000 transcript:ONI24997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSATSPRWQEKASGFFSTSGVKLKEAGQSAGTFVEEVTKDAKVNVTDMAGRVGSMFKSRWALLQQPSTRHAVQERLITAAATTGTLFRKGLSETKDKVSVGKIKVEEVAKKTAQKSKTILSDIERWQKGVASTDVFGVPIEVTVQRQQSSRPIPHILVKCADYLILSGLNTPYLFKGEGDKKVIQQLVSLYNQDSNASLPEGINPIDVAALIKCYLATLPEPLTTFELYNEIKGARSSIHAMRNMLRRLPTMDTQSLAMEMAPVLIWQKGRTPDLYRKYWTEPSKGPSKKNLDPEPTYSAWDMLSDEDDAVDDSIPLDDGVPIDFGAIEVVQCLMGHHNAIFTDANETIWR >ONI25002 pep chromosome:Prunus_persica_NCBIv2:G2:27652538:27656896:-1 gene:PRUPE_2G274000 transcript:ONI25002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSRWALLQQPSTRHAVQERLITAAATTGTLFRKGLSETKDKVSVGKIKVEEVAKKTAQKSKTILSDIERWQKGVASTDVFGVPIEVTVQRQQSSRPIPHILVKCADYLILSGLNTPYLFKGEGDKKVIQQLVSLYNQDSNASLPEGINPIDVAALIKCYLATLPEPLTTFELYNEIKGARSSIHAMRNMLRRLPTVNYTTLEFITALLLRVSQKSVLNKMDTQSLAMEMAPVLIWQKGRTPDLYRKYWTEPSKGPSKKNLDPEPTYSAWDMLSDEDDAVDDSIPLDDGVPIDFGAIEVVQCLMGHHNAIFTDANETIWR >ONI20577 pep chromosome:Prunus_persica_NCBIv2:G2:2252608:2257379:1 gene:PRUPE_2G023100 transcript:ONI20577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENANSRQVTFSKRRAGLLKKAQELAILCDAEVAVIIFSNTGKLFEFSSAGMKRTLSRYNKCLDSSEDAAEECKAEKQDSKELDVLKDEFAKLQKKQVRLLGKDLTGLSLKELQQLEQQLNEGLLSVKERKEQLLVEQLEQSRVQEQRAILENETLRRQVEELRCLFPQTDRAVPSYLEYYPVEKEKSLVHHGVTSPDLVSNFAFENGDSDITLHLGVD >ONI20576 pep chromosome:Prunus_persica_NCBIv2:G2:2252608:2258167:1 gene:PRUPE_2G023100 transcript:ONI20576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENANSRQVTFSKRRAGLLKKAQELAILCDAEVAVIIFSNTGKLFEFSSAGMKRTLSRYNKCLDSSEDAAEECKAEKQDSKELDVLKDEFAKLQKKQVRLLGKDLTGLSLKELQQLEQQLNEGLLSVKERKEQLLVEQLEQSRVQEQRAILENETLRRQVEELRCLFPQTDRAVPSYLEYYPVEKEKSLVHHGVTSPDLVSNFAFENGDSDITLHLGLPSDVYGKRKAPEREAHSNDSGSQLAL >ONI20452 pep chromosome:Prunus_persica_NCBIv2:G2:1559087:1567666:-1 gene:PRUPE_2G016600 transcript:ONI20452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICDCPLGFPRTLATDNAKTLFLQDVLRVHDFLIDPTGIRARDEGKTVQVAVPRVAPPPPPPQPVLPSIVGDVAVVVDDESAAAASAQAKRAALQRKAAADMVAAEDFVRRFESGYLSDTSRGVVREEQAQSNVNVMCRICFCGENEGSERARRMLPCKTCGKKYHRNCIKVWSQHRDLFHWSSWTCPLCRICEVCRRTGDPNKLMFCKRCDGAYHCYCQHPSHKNVSPGPYVCPKHTQCHSCGSKVPGNGLSVRWFLGYTCCDACGRLFAKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDERYQQYQLDGNLQYKCATCRGECYQVKNNEDAVKELWRRKDAADKDLIYSLRAAAGLPTQEEIFSISPYSEDEENGPQILKNELGRQLKLSVKGLVDKSPKKTKDSGKKSLNKVSAKKKEQQDFLIGTTEVNQSFGGHDDSQSFGSSLGYDKNDEMQSYKNAEPDVYFSPVTGMGHTKEICSVNEPGVLKHKFVDEVMVSDEDRSSKAVRIKGKSHGLDSGEDTAKHAGKSKPVKGKKLVINFGARKINITKSPRSDVSTGQREQDVVTSNGSEDVSQQKASRMVDRHDGSANIGDAKDRSDYSGHLKGSKVAGREGNFIKLGKVRSGASDSIPKVARGDKVDGYEDVPPEPVHGSSGKSIEGGTTAVVPVGEVPTMRNERVYSRKQSQSRSNIRSESNDDPAQTPVSHSPAKDTKPLLKFKLKKPNLENQSSSYQEEEKSYVKGQRSKRKRPSPFMEKTSFSENDDKTQSVQDNLMDEIMDANWILKKLGRDAIGKRVEVQQLSDNSWHKGVVTDIIDGTSTLSVTQDDGKVKSLELGKQGVRFVSQKQKRSRT >ONI20451 pep chromosome:Prunus_persica_NCBIv2:G2:1559500:1567408:-1 gene:PRUPE_2G016600 transcript:ONI20451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICDCPLGFPRTLATDNAKTLFLQDVLRVHDFLIDPTGIRARDEGKTVQVAVPRVAPPPPPPQPVLPSIVGDVAVVVDDESAAAASAQAKRAALQRKAAADMVAAEDFVRRFESGYLSDTSRGVVREEQAQSNVNVMCRICFCGENEGSERARRMLPCKTCGKKYHRNCIKVWSQHRDLFHWSSWTCPLCRICEVCRRTGDPNKLMFCKRCDGAYHCYCQHPSHKNVSPGPYVCPKHTQCHSCGSKVPGNGLSVRWFLGYTCCDACGRLFAKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDERYQQYQLDGNLQYKCATCRGECYQVKNNEDAVKELWRRKDAADKDLIYSLRAAAGLPTQEEIFSISPYSEDEENGPQILKNELGRQLKLSVKGLVDKSPKKTKDSGKKSLNKVSAKKKEQQDFLIGTTEVNQSFGGHDDSQSFGSSLGYDKNDEMQSYKNAEPDVYFSPVTGMGHTKEICSVNEPGVLKHKFVDEVMVSDEDRSSKAVRIKGKSHGLDSGEDTAKHAGKSKPVKGKKLVINFGARKINITKSPRSDVSTGQREQDVVTSNGSEDVSQQKASRMVDRHDGSANIGDAKDRSDYSGHLKGSKVAGREGNFIKLGKVRSGASDSIPKVARGDKVDGYEDVPPEPVHGSSGKSIEGGTTAVVPVGEVPTMRNERVYSRKQSQSRSNIRSESNDDPAQTPVSHSPAKDTKPLLKFKLKKPNLENQSSSYQEEEKSYVKGQRSKRKRPSPFMEKTSFSENDDKTQSVQDNLMDEIMDANWILKKLGRDAIGKRVEVQQLSDNSWHKGVVTDIIDGTSTLSVTQDDGKVKSLELGKQGVRFVSQKQKRSRT >ONI20453 pep chromosome:Prunus_persica_NCBIv2:G2:1559087:1567489:-1 gene:PRUPE_2G016600 transcript:ONI20453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICDCPLGFPRTLATDNAKTLFLQDVLRVHDFLIDPTGIRARDEGKTVQVAVPRVAPPPPPPQPVLPSIVGDVAVVVDDESAAAASAQAKRAALQRKAAADMVAAEDFVRRFESGYLSDTSRGVVREEQAQSNVNVMCRICFCGENEGSERARRMLPCKTCGKKYHRNCIKVWSQHRDLFHWSSWTCPLCRICEVCRRTGDPNKLMFCKRCDGAYHCYCQHPSHKNVSPGPYVCPKHTQCHSCGSKVPGNGLSVRWFLGYTCCDACGRLFAKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDERYQQYQLDGNLQYKCATCRGECYQVKNNEDAVKELWRRKDAADKDLIYSLRAAAGLPTQEEIFSISPYSEDEENGPQILKNELGRQLKLSVKGLVDKSPKKTKDSGKKSLNKVSAKKKEQQDFLIGTTEVNQSFGGHDDSQSFGSSLGYDKNDEMQSYKNAEPDVYFSPVTGMGHTKEICSVNEPGVLKHKFVDEVMVSDEDRSSKAVRIKGKSHGLDSGEDTAKHAGKSKPVKGKKLVINFGARKINITKSPRSDVSTGQREQDVVTSNGSEDVSQQKASRMVDRHDGSANIGDAKDRSDYSGHLKGSKVAGREGNFIKLGKVRSGASDSIPKVARGDKVDGYEDVPPEPVHGSSGKSIEGGTTAVVPVGEVPTMRNERVYSRKQSQSRSNIRSESNDDPAQTPVSHSPAKDTKPLLKFKLKKPNLENQSSSYQEEEKSYVKGQRSKRKRPSPFMEKTSFSENDDKTQSVQDNLMDEIMDANWILKKLGRDAIGKRVEVQQLSDNSWHKGVVTDIIDGTSTLSVTQDDGKVKSLELGKQGVRFVSQKQKRSRT >ONI20455 pep chromosome:Prunus_persica_NCBIv2:G2:1560719:1567408:-1 gene:PRUPE_2G016600 transcript:ONI20455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICDCPLGFPRTLATDNAKTLFLQDVLRVHDFLIDPTGIRARDEGKTVQVAVPRVAPPPPPPQPVLPSIVGDVAVVVDDESAAAASAQAKRAALQRKAAADMVAAEDFVRRFESGYLSDTSRGVVREEQAQSNVNVMCRICFCGENEGSERARRMLPCKTCGKKYHRNCIKVWSQHRDLFHWSSWTCPLCRICEVCRRTGDPNKLMFCKRCDGAYHCYCQHPSHKNVSPGPYVCPKHTQCHSCGSKVPGNGLSVRWFLGYTCCDACGRLFAKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDERYQQYQLDGNLQYKCATCRGECYQVKNNEDAVKELWRRKDAADKDLIYSLRAAAGLPTQEEIFSISPYSEDEENGPQILKNELGRQLKLSVKGLVDKSPKKTKDSGKKSLNKVSAKKKEQQDFLIGTTEVNQSFGGHDDSQSFGSSLGYDKNDEMQSYKNAEPDVYFSPVTGMGHTKEICSVNEPGVLKHKFVDEVMVSDEDRSSKAVRIKGKSHGLDSGEDTAKHAGKSKPVKGKKLVINFGARKINITKSPRSDVSTGQREQDVVTSNGSEDVSQQKASRMVDRHDGSANIGDAKEAIILAI >ONI20456 pep chromosome:Prunus_persica_NCBIv2:G2:1560719:1567408:-1 gene:PRUPE_2G016600 transcript:ONI20456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICDCPLGFPRTLATDNAKTLFLQDVLRVHDFLIDPTGIRARDEGKTVQVAVPRVAPPPPPPQPVLPSIVGDVAVVVDDESAAAASAQAKRAALQRKAAADMVAAEDFVRRFESGYLSDTSRGVVREEQAQSNVNVMCRICFCGENEGSERARRMLPCKTCGKKYHRNCIKVWSQHRDLFHWSSWTCPLCRICEVCRRTGDPNKLMFCKRCDGAYHCYCQHPSHKNVSPGPYVCPKHTQCHSCGSKVPGNGLSVRWFLGYTCCDACGRLFAKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDERYQQYQLDGNLQYKCATCRGECYQVKNNEDAVKELWRRKDAADKDLIYSLRAAAGLPTQEEIFSISPYSEDEENGPQILKNELGRQLKLSVKGLVDKSPKKTKDSGKKSLNKVSAKKKEQQDFLIGTTEVNQSFGGHDDSQSFGSSLGYDKNDEMQSYKNAEPDVYFSPVTGMGHTKEICSVNEPGVLKHKFVDEVMVSDEDRSSKAVRIKGKSHGLDSGEDTAKHAGKSKPVKGKKLVINFGARKINITKSPRSDVSTGQREQDVVTSNGSEDVSQQKASRMVDRHDGSANIGDAKEAIILAI >ONI20457 pep chromosome:Prunus_persica_NCBIv2:G2:1559087:1567489:-1 gene:PRUPE_2G016600 transcript:ONI20457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVACPITCRRICDCPLGFPRTLATDNAKTLFLQDVLRVHDFLIDPTGIRARDEGKTVQVAVPRVAPPPPPPQPVLPSIVGDVAVVVDDESAAAASAQAKRAALQRKAAADMVAAEDFVRRFESGYLSDTSRGVVREEQAQSNVNVMCRICFCGENEGSERARRMLPCKTCGKKYHRNCIKVWSQHRDLFHWSSWTCPLCRICEVCRRTGDPNKLMFCKRCDGAYHCYCQHPSHKNVSPGPYVCPKHTQCHSCGSKVPGNGLSVRWFLGYTCCDACGRLFAKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDERYQQYQLDGNLQYKCATCRGECYQVKNNEDAVKELWRRKDAADKDLIYSLRAAAGLPTQEEIFSISPYSEDEENGPQILKNELGRQLKLSVKGLVDKSPKKTKDSGKKSLNKVSAKKKEQQDFLIGTTEVNQSFGGHDDSQSFGSSLGYDKNDEMQSYKNAEPDVYFSPVTGMGHTKEICSVNEPGVLKHKFVDEVMVSDEDRSSKAVRIKGKSHGLDSGEDTAKHAGKSKPVKGKKLVINFGARKINITKSPRSDVSTGQREQDVVTSNGSEDVSQQKASRMVDRHDGSANIGDAKEAIILAI >ONI20454 pep chromosome:Prunus_persica_NCBIv2:G2:1559500:1566906:-1 gene:PRUPE_2G016600 transcript:ONI20454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAEDFVRRFESGYLSDTSRGVVREEQAQSNVNVMCRICFCGENEGSERARRMLPCKTCGKKYHRNCIKVWSQHRDLFHWSSWTCPLCRICEVCRRTGDPNKLMFCKRCDGAYHCYCQHPSHKNVSPGPYVCPKHTQCHSCGSKVPGNGLSVRWFLGYTCCDACGRLFAKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDERYQQYQLDGNLQYKCATCRGECYQVKNNEDAVKELWRRKDAADKDLIYSLRAAAGLPTQEEIFSISPYSEDEENGPQILKNELGRQLKLSVKGLVDKSPKKTKDSGKKSLNKVSAKKKEQQDFLIGTTEVNQSFGGHDDSQSFGSSLGYDKNDEMQSYKNAEPDVYFSPVTGMGHTKEICSVNEPGVLKHKFVDEVMVSDEDRSSKAVRIKGKSHGLDSGEDTAKHAGKSKPVKGKKLVINFGARKINITKSPRSDVSTGQREQDVVTSNGSEDVSQQKASRMVDRHDGSANIGDAKDRSDYSGHLKGSKVAGREGNFIKLGKVRSGASDSIPKVARGDKVDGYEDVPPEPVHGSSGKSIEGGTTAVVPVGEVPTMRNERVYSRKQSQSRSNIRSESNDDPAQTPVSHSPAKDTKPLLKFKLKKPNLENQSSSYQEEEKSYVKGQRSKRKRPSPFMEKTSFSENDDKTQSVQDNLMDEIMDANWILKKLGRDAIGKRVEVQQLSDNSWHKGVVTDIIDGTSTLSVTQDDGKVKSLELGKQGVRFVSQKQKRSRT >ONI25359 pep chromosome:Prunus_persica_NCBIv2:G2:28772921:28775680:1 gene:PRUPE_2G298000 transcript:ONI25359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFFRRKGPSGFSSSSTAEEVTQGIDASGLTAIVTGASSGIGTETTRVLALRGAHVIMGVRNMAAGKDVKEAIVKEIPTAKIDAIELDLSSLSSVRKFASEFNSSGRPLNILINNAGIMATPFMLSKDNIELQFATNHVGHFLLTNLLLDTMKKTAHKSSKEGRIVNVSSEAHRYPYREGIRFDKINDPSGYSSFAAYGQSKLANILHANELAKRLKEDGADITANSLHPGAIVTNLFRHNSTINGKPFTSGLFICSVELCLKVFSRVQQQHATWHCIHK >ONI25360 pep chromosome:Prunus_persica_NCBIv2:G2:28772630:28775980:1 gene:PRUPE_2G298000 transcript:ONI25360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRNMAAGKDVKEAIVKEIPTAKIDAIELDLSSLSSVRKFASEFNSSGRPLNILINNAGIMATPFMLSKDNIELQFATNHVGHFLLTNLLLDTMKKTAHKSSKEGRIVNVSSEAHRYPYREGIRFDKINDPSGYSSFAAYGQSKLANILHANELAKRLKEDGADITANSLHPGAIVTNLFRHNSTINGLVHLLGRAVLKSVQQGAATTCYVALHPQVKGVTGEYFSDSNLAKPRPQGQDLDLAKKLWDFSMDLVK >ONI25357 pep chromosome:Prunus_persica_NCBIv2:G2:28767224:28775802:1 gene:PRUPE_2G298000 transcript:ONI25357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFFRRKGPSGFSSSSTAEEVTQGIDASGLTAIVTGASSGIGTETTRVLALRGAHVIMGVRNMAAGKDVKEAIVKEIPTAKIDAIELDLSSLSSVRKFASEFNSSGRPLNILINNAGIMATPFMLSKDNIELQFATNHVGHFLLTNLLLDTMKKTAHKSSKEGRIVNVSSEAHRYPYREGIRFDKINDPSGYSSFAAYGQSKLANILHANELAKRLKEDGADITANSLHPGAIVTNLFRHNSTINGLVHLLGRAVLKSVQQGAATTCYVALHPQVKGVTGEYFSDSNLAKPRPQGQDLDLAKKLWDFSMDLVK >ONI25356 pep chromosome:Prunus_persica_NCBIv2:G2:28767224:28775802:1 gene:PRUPE_2G298000 transcript:ONI25356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFFRRKGPSGFSSSSTAEEVTQGIDASGLTAIVTGASSGIGTETTRVLALRGAHVIMGVRNMAAGKDVKEAIVKEIPTAKIDAIELDLSSLSSVRKFASEFNSSGRPLNILINNAGIMATPFMLSKDNIELQFATNHVGHFLLTNLLLDTMKKTAHKSSKEGRIVNVSSEAHRYPYREGIRFDKINDPSGYSSFAAYGQSKLANILHANELAKRLKEDGADITANSLHPGAIVTNLFRHNSTINGLVHLLGRAVLKSVQQGAATTCYVALHPQVKGVTGEYFSDSNLAKPRPQGQDLDLAKKLWDFSMDLVK >ONI25358 pep chromosome:Prunus_persica_NCBIv2:G2:28767227:28775802:1 gene:PRUPE_2G298000 transcript:ONI25358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFFRRKGPSGFSSSSTAEEVTQGIDASGLTAIVTGASSGIGTETTRVLALRGAHVIMGVRNMAAGKDVKEAIVKEIPTAKIDAIELDLSSLSSVRKFASEFNSSGRPLNILINNAGIMATPFMLSKDNIELQFATNHVAHKSSKEGRIVNVSSEAHRYPYREGIRFDKINDPSGYSSFAAYGQSKLANILHANELAKRLKEDGADITANSLHPGAIVTNLFRHNSTINGLVHLLGRAVLKSVQQGAATTCYVALHPQVKGVTGEYFSDSNLAKPRPQGQDLDLAKKLWDFSMDLVK >ONI24323 pep chromosome:Prunus_persica_NCBIv2:G2:25687531:25689579:1 gene:PRUPE_2G234300 transcript:ONI24323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGACHAIGIDLGTTYSCVAAWQHDHIEVIVNDQGNRTTPSYVAFTNTERLIGDAAYNLVIRNPTNTIFEAKRLIGRRFSDECVQNDMKHWPFKVVEGPAEKPVFVITHKGVEKQFAAEEISSMVLVKMREIAEAYLGLTVKNVVITVPAYFNDSQRQATKNAGAAAGLNVMPYGLDRKSGWYSKRNVMIFDFGGGTLDVSLLTIADSVFEVRATSGDTHLGGGDFDNRMVNYCVEQFKRKHKVDISGNPRALARLRNACEKAKRRLSFMSEIDIDIDCLNQGIDFCLTISRAKFEQLNMDFFEKCMQPVEKCLEDANMDISSIHDVVLAGGSSRIPKVQQLLQEVFNGKELCKGINPDEAIAYGAAVEAAVLSGGNLTGKLQDVTLLDVTPVSLGVATSLSTGERDIMTVVIPRNTRIPVMKKVVLVTSQDNQSSVPFRVYEGESTTVKNNNFLGEFYLNDIPPAPQGVPKFETCFDIDENGILSVSAKDMSTGQKKGITFNSDRRNCEGIETDLK >ONI21195 pep chromosome:Prunus_persica_NCBIv2:G2:6073300:6073811:1 gene:PRUPE_2G052400 transcript:ONI21195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPITKLPDVSKIDTFNELSLNAGKYECNKICRHTIVCTLSNELFYIYCSYKTAKEIWENLNKKYVIEDASTQKYAIGNFLQFQMVESKDVSLQIHEYHKLVNKLKNEDVDLPETLVCGSLIEKLPELWKECRTT >ONI21693 pep chromosome:Prunus_persica_NCBIv2:G2:12702086:12702858:1 gene:PRUPE_2G081600 transcript:ONI21693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISTRRGNCIQINKVTGMLARTIVGITIHDCSWIIMKRAEEKATIMVAIEMNKVERPIIIFVGKDLRKSSSA >ONI24460 pep chromosome:Prunus_persica_NCBIv2:G2:26076799:26080739:1 gene:PRUPE_2G241500 transcript:ONI24460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTERKSRFMKWLSKLFKSGPSRGNAAGSNRHPQLIGDESMIWGALPRSLDDRSRPQKEKEELDHAIALSLGEDLKRRSGYRWQAEKDEELARSLQDSSNPTLYPPYAPAPASYYPYGQTQRICGGCKHDIRFGNYLGCMGTYFHPQCFYCRSCGRPIVENEFSLSGRDPYHKSCFKELAHPKCEVCHQFIPTNRAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWDARYVSLGDGRSLCFECMESAIMDTGDCQPLYHAIRDYYEGMNMRLDQQIPMLLVERQALNEAIVGEKSGLHHMPETRGLCLSEEQTVTSILKRPTFGRHQLVGIRTQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPGFKNMPSSSTSTSAASSSSSSSSSKKGGKSKVEHKLGEFFMHQIANDSSPAYGGGFRSANAAVNKYGLRRTLDHIRLTGNFPL >ONI24462 pep chromosome:Prunus_persica_NCBIv2:G2:26076799:26080739:1 gene:PRUPE_2G241500 transcript:ONI24462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLSKLFKSGPSRGNAAGSNRHPQLIGDESMIWGALPRSLDDRSRPQKEKEELDHAIALSLGEDLKRRSGYRWQAEKDEELARSLQDSSNPTLYPPYAPAPASYYPYGQTQRICGGCKHDIRFGNYLGCMGTYFHPQCFYCRSCGRPIVENEFSLSGRDPYHKSCFKELAHPKCEVCHQFIPTNRAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWDARYVSLGDGRSLCFECMESAIMDTGDCQPLYHAIRDYYEGMNMRLDQQIPMLLVERQALNEAIVGEKSGLHHMPETRGLCLSEEQTVTSILKRPTFGRHQLVGIRTQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPGFKNMPSSSTSTSAASSSSSSSSSKKGGKSKVEHKLGEFFMHQIANDSSPAYGGGFRSANAAVNKYGLRRTLDHIRLTGNFPL >ONI24458 pep chromosome:Prunus_persica_NCBIv2:G2:26076799:26080782:1 gene:PRUPE_2G241500 transcript:ONI24458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTGVNQLSHPCIYERKSRFMKWLSKLFKSGPSRGNAAGSNRHPQLIGDESMIWGALPRSLDDRSRPQKEKEELDHAIALSLGEDLKRRSGYRWQAEKDEELARSLQDSSNPTLYPPYAPAPASYYPYGQTQRICGGCKHDIRFGNYLGCMGTYFHPQCFYCRSCGRPIVENEFSLSGRDPYHKSCFKELAHPKCEVCHQFIPTNRAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWDARYVSLGDGRSLCFECMESAIMDTGDCQPLYHAIRDYYEGMNMRLDQQIPMLLVERQALNEAIVGEKSGLHHMPETRGLCLSEEQTVTSILKRPTFGRHQLVGIRTQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPGFKNMPSSSTSTSAASSSSSSSSSKKGGKSKVEHKLGEFFMHQIANDSSPAYGGGFRSANAAVNKYGLRRTLDHIRLTGNFPL >ONI24459 pep chromosome:Prunus_persica_NCBIv2:G2:26076799:26080739:1 gene:PRUPE_2G241500 transcript:ONI24459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTGEFVSSYAERKSRFMKWLSKLFKSGPSRGNAAGSNRHPQLIGDESMIWGALPRSLDDRSRPQKEKEELDHAIALSLGEDLKRRSGYRWQAEKDEELARSLQDSSNPTLYPPYAPAPASYYPYGQTQRICGGCKHDIRFGNYLGCMGTYFHPQCFYCRSCGRPIVENEFSLSGRDPYHKSCFKELAHPKCEVCHQFIPTNRAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWDARYVSLGDGRSLCFECMESAIMDTGDCQPLYHAIRDYYEGMNMRLDQQIPMLLVERQALNEAIVGEKSGLHHMPETRGLCLSEEQTVTSILKRPTFGRHQLVGIRTQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPGFKNMPSSSTSTSAASSSSSSSSSKKGGKSKVEHKLGEFFMHQIANDSSPAYGGGFRSANAAVNKYGLRRTLDHIRLTGNFPL >ONI24457 pep chromosome:Prunus_persica_NCBIv2:G2:26076799:26080739:1 gene:PRUPE_2G241500 transcript:ONI24457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTGVNQLSHPCIYGEFVSSYAERKSRFMKWLSKLFKSGPSRGNAAGSNRHPQLIGDESMIWGALPRSLDDRSRPQKEKEELDHAIALSLGEDLKRRSGYRWQAEKDEELARSLQDSSNPTLYPPYAPAPASYYPYGQTQRICGGCKHDIRFGNYLGCMGTYFHPQCFYCRSCGRPIVENEFSLSGRDPYHKSCFKELAHPKCEVCHQFIPTNRAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWDARYVSLGDGRSLCFECMESAIMDTGDCQPLYHAIRDYYEGMNMRLDQQIPMLLVERQALNEAIVGEKSGLHHMPETRGLCLSEEQTVTSILKRPTFGRHQLVGIRTQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPGFKNMPSSSTSTSAASSSSSSSSSKKGGKSKVEHKLGEFFMHQIANDSSPAYGGGFRSANAAVNKYGLRRTLDHIRLTGNFPL >ONI24461 pep chromosome:Prunus_persica_NCBIv2:G2:26076799:26080739:1 gene:PRUPE_2G241500 transcript:ONI24461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLSKLFKSGPSRGNAAGSNRHPQLIGDESMIWGALPRSLDDRSRPQKEKEELDHAIALSLGEDLKRRSGYRWQAEKDEELARSLQDSSNPTLYPPYAPAPASYYPYGQTQRICGGCKHDIRFGNYLGCMGTYFHPQCFYCRSCGRPIVENEFSLSGRDPYHKSCFKELAHPKCEVCHQFIPTNRAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWDARYVSLGDGRSLCFECMESAIMDTGDCQPLYHAIRDYYEGMNMRLDQQIPMLLVERQALNEAIVGEKSGLHHMPETRGLCLSEEQTVTSILKRPTFGRHQLVGIRTQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVMPGFKNMPSSSTSTSAASSSSSSSSSKKGGKSKVEHKLGEFFMHQIANDSSPAYGGGFRSANAAVNKYGLRRTLDHIRLTGNFPL >ONI22000 pep chromosome:Prunus_persica_NCBIv2:G2:15696468:15702065:1 gene:PRUPE_2G101100 transcript:ONI22000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVGAKCANNDFLDNLANRVGKFFLLMKLDSHEKMNLLFQDITFSTIYFSFPGDKIEASSYFLGLTSDGEDLIKLKETERWPAFLRRRLRQIPVVPPQKTPNSVTRSRYGATRLQLQSSMLFHRLGLSIRRMGTCFSVQQSRKQQHLNKNKLIWLKQA >ONI22525 pep chromosome:Prunus_persica_NCBIv2:G2:19249956:19253722:1 gene:PRUPE_2G134800 transcript:ONI22525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETMQSLIELETEAEHLLLARHQLVENDRVRNGNREALTSLRKIARTTKTSVPSPFESIMKEIAGPESRPLVKEVCPTCGNHDSNERTWMMFPGTDVFARIPFHAAHTVLESEQEQLDFDSRKLQSIVKEKSLLISEKGVLADKVSPGVMKSLVTLTDKPK >ONI22527 pep chromosome:Prunus_persica_NCBIv2:G2:19250927:19253726:1 gene:PRUPE_2G134800 transcript:ONI22527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETMQSLIELETEAEHLLLARHQLVENDRVRNGNREALTSLRKIARTTKTSVPSPFESIMKEIAGPESRPLVKEVCPTCGNHDSNERTWMMFPGTDVFARIPFHAAHTVLESEQEQLDFDSRKLQSIVKEKSLLISEKGVLADKVSPGVMKSLVTLTDKPK >ONI22526 pep chromosome:Prunus_persica_NCBIv2:G2:19249882:19253725:1 gene:PRUPE_2G134800 transcript:ONI22526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETMQSLIELETEAEHLLLARHQLVENDRVRNGNREALTSLRKIARTTKTSVPSPFESIMKEIAGPESRPLVKEVCPTCGNHDSNERTWMMFPGTDVFARIPFHAAHTVLESEQEQLDFDSRKLQSIVKEKSLLISEKGVLADKVSPGVMKSLVTLTDKPK >ONI25178 pep chromosome:Prunus_persica_NCBIv2:G2:28260073:28264615:1 gene:PRUPE_2G286400 transcript:ONI25178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNKGDTSKKQPQQQQTQQQQQISPSPNDPLEDQLLPTEITRPRHHQPQPPPAAAPFNIPAPLFVPSGAATSSSSPFDQQHFEAAVNPKRPRYTTGQWKLLPSPSSQTKQTQIPILAKESTPSPNPKSTQLPQPHVTPTGAASSSDTASSPPAHSPLPSLSATSGQDTNKTEGDLQNPVHNHQFRKGKYVSPVWKPNEMLWLARGWRIQYQGGPAGGSSDHGSGSSSRTEQIPTETGTPHTRSKTRADKDREVAEFLQKHGVNRDAKTAGTKWDNMLGEFRKVYEWERGAEREQVGKSYFRLSPYERKLHRLPASFDEEVFEELSQFMGSRMRTPQSRLGSVGDDSRSAFVVTRSLTQPPSFKEDEFPPSGSKKQLMIMSGGGEPFYHGGRGTLLGFHHHDQSSLDFTAGLSSSSASKELRRIGKIRMTWEELVSLWAEEGEHHRGRVRLQGSSFLNADELTFFDDSMVACTMEAFEDGPLRGFSVDRFVSGQVVKVFGRRKPSSSTSGFNEKVQLSLPESASRSIPSTEFQDPTEYYVGCLGAPPPSLPSLPELQWYLQEPPPEELRFPLRKDVYRDLPQGKEIFFTTSTELLDCRAITYDILSPIIRTNPSLSASTATSRDSFIPIWDDCINRLVSKFCSLEMVFIRRPNASSPTEPLQDQWPNVTGFVRSFCLWRGEETDQLRDSHDMNPSSSIVEKILWTYMDLPYIFGYYAIGYVVTFCALSRSQDRINRTDLATIDLSSPSERLKALLPCYRIAGLLPLLADRCFNNTNCNKLLPHSDFERLDLGNGRNIVEMTPTTVTRFFSNVRKWTAVKEIYDFLDHRIPHAEYIHRSLERDLGLVFKPRGCKCKPTNCEQLVEALKYVTKALVALHDLSFMHRDISWEKVMRRTERENEWFVCGFEEAVGAPQIYPRRAVAAGESAARVRHAPEMERGLHGVKVDVWGVGYLVRNCGLTGVPKMLRELQNRCLDQNPEQRPTAADCYHHLLQLQSSLQSATAGCVLGR >ONI23279 pep chromosome:Prunus_persica_NCBIv2:G2:22316690:22318890:-1 gene:PRUPE_2G179500 transcript:ONI23279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILIPSPEISRVLCHPNPSLKRFKILTNNEKFGVKSKATVRVCSRVKDCTVKMTELDQNVRLYGQFSAPVKRGSKPSKEEEEKQNYYVNMGYAIRTVREEFPELFYREPSFDIYRDDITFKDPINTFMGIENYKSIFWALRFHGKMFFKALWVDVISVWQPMDNVIMVRWTVHGIPRGPWDSRGRFDGTSEYKLDKKGKIFEHRVDNIALNSPPKFQVLAVADIIQSLGCPSTPRPTYFETSSSKRTW >ONI24219 pep chromosome:Prunus_persica_NCBIv2:G2:25486198:25488777:1 gene:PRUPE_2G230200 transcript:ONI24219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADHDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASVSVNKILVGNKADMDESKRAVPASKGQALADEYGIKFLETSAKTNMNVEEVFFSIGRDIKQRLAESDARGTEPQTLRINEQDKAAGGQAAQKSSCCG >ONI24221 pep chromosome:Prunus_persica_NCBIv2:G2:25485951:25488777:1 gene:PRUPE_2G230200 transcript:ONI24221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADHDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASVSVNKILVGNKADMDESKRAVPASKGQALADEYGIKFLETSAKTNMNVEEVFFSIGRDIKQRLAESDARGTEPQTLRINEQDKAAGGQAAQKSSCCG >ONI24220 pep chromosome:Prunus_persica_NCBIv2:G2:25485951:25488777:1 gene:PRUPE_2G230200 transcript:ONI24220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADHDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASVSVNKILVGNKADMDESKRAVPASKGQALADEYGIKFLETSAKTNMNVEEVFFSIGRDIKQRLAESDARGTEPQTLRINEQDKAAGGQAAQKSSCCG >ONI25327 pep chromosome:Prunus_persica_NCBIv2:G2:28711204:28712093:1 gene:PRUPE_2G296400 transcript:ONI25327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIVKYPQVQNKLFAEIKGVVAETEEEVEEEVLHKLPYLKAVILEGLRRHPPGHFLLPHAVTQDVVLGGRLVPKNGIVNFMVADLGWDPEVWEDPMAFKPERFLSGGGKCGGVEEAFDLTGSREIKMMPFGVGRRICPASGLAMLHLQYFVANLVWKFEWRAVDGDDVDLSEKPLVTVVMKNPLQAHLSSRVK >ONI23179 pep chromosome:Prunus_persica_NCBIv2:G2:21984845:21991175:1 gene:PRUPE_2G173500 transcript:ONI23179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKCRSSSILAVHFKQPLPFPLTHRPVSFQTNTNAPNHADPFHQTSSSFSPSRLGAFTQVPESTHFNDPHSAHSFCSNALKVSAKMGFLREGKQLHGHVVKLGLYNVQSLQIQILNVYVKCKDFNNAQRLFGEMRKRNVVAWNTLISGLVNCWGNYESKLYLGFSYFRRMLLEAVGPDDITFNGLFRVCVDLNDVEIGRQLHCFVVKLGFGSNCFVGSALVDLYAKYGLVEDARCAFDFVLYRDLVLWNVMVYCYASNSLAKGAFGVFNLMRLEGVKGDEFTFSSLLSSCRTLGSCKPGKQIHGIIIREAFDSDVLVSSALVDMYAKNDDIGDAWKAFDAMSIRNVISWTTIIVGYGLHGKEKEAIGLLREMFREHLCPDELTLASIVSSCGNVSSASELMQVHAYMVKFGFHFFSSIANSLITAYSKCGSISSASKCFNLVVEPDLVTWTSLICAYAFHGLAEEATAVFEKMLAYDIMPDQIAFLAVLSACSHGGLIQKGIHYFKLMSNDYQIFPDSEHYTCLIDLLGRAGLLDEAFMALTSMPIEPDPSALGAFMGACKVHGNIELAKWAAEKLFALEPNKPVNYTLMSNIYSSQGHWGNVSRVRKMMRHSCDYKAPGCTEIPLVGGLLIGGGICTFVPGDESHPQAPEVYAMLGQNPSYGRRRPSSDSRSTPDRFPYSPSPSSPYSVASTERKSGSGRGVVAVAARSVAGVFVSCFTPPETKSSVSFADSAEFRAPSVFSDASGAGSERRRSSSRGVYANSNNSKHEREPGNVKFTMEEINKATKNFSPSFKIGQGGFGIVYKGRLEDGTFVAIKRAKKSVYDKHLGVEFQSEIRMLAQVEHLNLVKFYGYVEDEDEKVVVVEYVPNGTLREHLDCMRGDILDLAARLDIAIDVAHAVTYLHMYTDHPIIHRDIKSSNILLTENFRAKVADFGFARLAADSDSGATHVSTQVKGTAGYLDPEYLRTYQLTDKSDVYSFGVLMVELVTGRRPIEPKREIKERVTAKWAMKKFTDGDALSILDPRLEQTAANNLAIEKILELALQCLAPRRQSRPSMRRCAEILWNIRKDYREVAPPIFRSFSSRSQMSA >ONI23399 pep chromosome:Prunus_persica_NCBIv2:G2:22771598:22772360:-1 gene:PRUPE_2G186800 transcript:ONI23399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGLEGNPIAQTMKNYSRILAAISVPVMMSFPKALFCYWLTSNLFSLTYGLGECFLQFTLQALFCFLSSAIEQDTTAESGPSLPTVPSKVADRRISRTSIFKQRLKKSGKSNQGKK >ONI23398 pep chromosome:Prunus_persica_NCBIv2:G2:22771598:22773022:-1 gene:PRUPE_2G186800 transcript:ONI23398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRNMAEKVPSFQSGGALWFTDLTTPDSLLILPVLTSLTFLITVECNMQEGLEGNPIAQTMKNYSRILAAISVPVMMSFPKALFCYWLTSNLFSLTYGLGECFLQFTLQALFCFLSSAIEQDTTAESGPSLPTVPSKVADRRISRTSIFKQRLKKSGKSNQGKK >ONI23397 pep chromosome:Prunus_persica_NCBIv2:G2:22771546:22773022:-1 gene:PRUPE_2G186800 transcript:ONI23397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRNMAEKVPSFQSGGALWFTDLTTPDSLLILPVLTSLTFLITVECNMQEGLEGNPIAQTMKNYSRILAAISVPVMMSFPKALFCFLSSAIEQDTTAESGPSLPTVPSKVADRRISRTSIFKQRLKKSGKSNQGKK >ONI22255 pep chromosome:Prunus_persica_NCBIv2:G2:17509880:17513847:-1 gene:PRUPE_2G117200 transcript:ONI22255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSIVLEGLSKLIIQELKSLVRVGGKVLSAQTQLQIMQAYLKDADARQGRNEVIRIWVASVRDAAYDLDDIIETFVLKVASKRKASVLTRFTGLFINGVNLHRIGSDIEKITTEISLLSSIMQSFKLDQTRESGGDTFFQRQKERRIAYPHIVDPHVVGLARGTEELATLLIEKNGPRVVSIWGMGGLGKTTLAKQVYHHGDIKRHFDCFAWVCISQQCQAREVLKEILTKLISPTNEQRQEIADLGKDQIAEWLWNTQRERRCLVVIDDIWTRDAWRSLEAGFPMNEETESRILLTTRNKEVASCADKNGFLFEPRSLNDDESWELFEKIAMFGEDTNSKIYEQKKELGTKMLQHCKGLPLAITVLAGLLARKETIDEWDMVHKNVYEYIRRGIDLGPDYKDEGYEGVSWLLELSYDNLPYYLKLCFLYLAHFPEDCEIPVSTLTKLWMAEGFISSAAVEVMEDVSYMCLSELVGRCMVQVGKHGSSKKIKTCHLHDLMRDLCILKAKEGNFLRTINYSASLEIKQTPNGRVRRLAIHLDKMFEAYCLGRDENYGYVRSLLYFVQVDPYYYCYWNSKELRSLLRDFTLLRVLKFEEMNVSKSKLPGEIGNLVHLRFLSVKNSLIEAVPSSIAKLVCLQTLDLRSRYLRMKIPNQNLFSKMEKLRHIYLPSRYSGREKRLLFAIEAVNLHTVVNIGIQASSDLDDFVKLTNLRKLGVMIFDGGEKKEKGTNIIFKHLHSLSVDSRLSGLLMPRNINIVLSCPNIYKLKLLGEIAELPEELLCLTNLTKLTLSGFGNLKDDHIKVLEKLPSLRMLFASFGKFPAHLVCSEGGFPFLEFLSLDFVEEFKEWKVEKGAMRSLCRLHIEHCLDLEAVPDGLQYITTLKELTIKGMRSEFCSRLGEGGKDFYKIQHVQSVIITNISPNRPG >ONI22256 pep chromosome:Prunus_persica_NCBIv2:G2:17509705:17513813:-1 gene:PRUPE_2G117200 transcript:ONI22256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSIVLEGLSKLIIQELKSLVRVGGKVLSAQTQLQIMQAYLKDADARQGRNEVIRIWVASVRDAAYDLDDIIETFVLKVASKRKASVLTRFTGLFINGVNLHRIGSDIEKITTEISLLSSIMQSFKLDQTRESGGDTFFQRQKERRIAYPHIVDPHVVGLARGTEELATLLIEKNGPRVVSIWGMGGLGKTTLAKQVYHHGDIKRHFDCFAWVCISQQCQAREVLKEILTKLISPTNEQRQEIADLGKDQIAEWLWNTQRERRCLVVIDDIWTRDAWRSLEAGFPMNEETESRILLTTRNKEVASCADKNGFLFEPRSLNDDESWELFEKIAMFGEDTNSKIYEQKKELGTKMLQHCKGLPLAITVLAGLLARKETIDEWDMVHKNVYEYIRRGIDLGPDYKDEGYEGVSWLLELSYDNLPYYLKLCFLYLAHFPEDCEIPVSTLTKLWMAEGFISSAAVEVMEDVSYMCLSELVGRCMVQVGKHGSSKKIKTCHLHDLMRDLCILKAKEGNFLRTINYSASLEIKQTPNGRVRRLAIHLDKMFEAYCLGRDENYGYVRSLLYFVQVDPYYYCYWNSKELRSLLRDFTLLRVLKFEEMNVSKSKLPGEIGNLVHLRFLSVKNSLIEAVPSSIAKLVCLQTLDLRSRYLRMKIPNQNLFSKMEKLRHIYLPSRYSGREKRLLFAIEAVNLHTVVNIGIQASSDLDDFVKLTNLRKLGVMIFDGGEKKEKGTNIIFKHLHSLSVDSRLSGLLMPRNINIVLSCPNIYKLKLLGEIAELPEELLCLTNLTKLTLSGFGNLKDDHIKVLEKLPSLRMLFASFGKFPAHLVCSEGGFPFLEFLSLDFVEEFKEWKVEKGAMRSLCRLHIEHCLDLEAVPDGLQYITTLKELTIKGMRSEFCSRLGEGGKDFYKIQHVQSVIITNISPNRPG >ONI22253 pep chromosome:Prunus_persica_NCBIv2:G2:17509645:17513859:-1 gene:PRUPE_2G117200 transcript:ONI22253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSIVLEGLSKLIIQELKSLVRVGGKVLSAQTQLQIMQAYLKDADARQGRNEVIRIWVASVRDAAYDLDDIIETFVLKVASKRKASVLTRFTGLFINGVNLHRIGSDIEKITTEISLLSSIMQSFKLDQTRESGGDTFFQRQKERRIAYPHIVDPHVVGLARGTEELATLLIEKNGPRVVSIWGMGGLGKTTLAKQVYHHGDIKRHFDCFAWVCISQQCQAREVLKEILTKLISPTNEQRQEIADLGKDQIAEWLWNTQRERRCLVVIDDIWTRDAWRSLEAGFPMNEETESRILLTTRNKEVASCADKNGFLFEPRSLNDDESWELFEKIAMFGEDTNSKIYEQKKELGTKMLQHCKGLPLAITVLAGLLARKETIDEWDMVHKNVYEYIRRGIDLGPDYKDEGYEGVSWLLELSYDNLPYYLKLCFLYLAHFPEDCEIPVSTLTKLWMAEGFISSAAVEVMEDVSYMCLSELVGRCMVQVGKHGSSKKIKTCHLHDLMRDLCILKAKEGNFLRTINYSASLEIKQTPNGRVRRLAIHLDKMFEAYCLGRDENYGYVRSLLYFVQVDPYYYCYWNSKELRSLLRDFTLLRVLKFEEMNVSKSKLPGEIGNLVHLRFLSVKNSLIEAVPSSIAKLVCLQTLDLRSRYLRMKIPNQNLFSKMEKLRHIYLPSRYSGREKRLLFAIEAVNLHTVVNIGIQASSDLDDFVKLTNLRKLGVMIFDGGEKKEKGTNIIFKHLHSLSVDSRLSGLLMPRNINIVLSCPNIYKLKLLGEIAELPEELLCLTNLTKLTLSGFGNLKDDHIKVLEKLPSLRMLFASFGKFPAHLVCSEGGFPFLEFLSLDFVEEFKEWKVEKGAMRSLCRLHIEHCLDLEAVPDGLQYITTLKELTIKGMRSEFCSRLGEGGKDFYKIQHVQSVIITNISPNRPG >ONI22254 pep chromosome:Prunus_persica_NCBIv2:G2:17509880:17513758:-1 gene:PRUPE_2G117200 transcript:ONI22254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSIVLEGLSKLIIQELKSLVRVGGKVLSAQTQLQIMQAYLKDADARQGRNEVIRIWVASVRDAAYDLDDIIETFVLKVASKRKASVLTRFTGLFINGVNLHRIGSDIEKITTEISLLSSIMQSFKLDQTRESGGDTFFQRQKERRIAYPHIVDPHVVGLARGTEELATLLIEKNGPRVVSIWGMGGLGKTTLAKQVYHHGDIKRHFDCFAWVCISQQCQAREVLKEILTKLISPTNEQRQEIADLGKDQIAEWLWNTQRERRCLVVIDDIWTRDAWRSLEAGFPMNEETESRILLTTRNKEVASCADKNGFLFEPRSLNDDESWELFEKIAMFGEDTNSKIYEQKKELGTKMLQHCKGLPLAITVLAGLLARKETIDEWDMVHKNVYEYIRRGIDLGPDYKDEGYEGVSWLLELSYDNLPYYLKLCFLYLAHFPEDCEIPVSTLTKLWMAEGFISSAAVEVMEDVSYMCLSELVGRCMVQVGKHGSSKKIKTCHLHDLMRDLCILKAKEGNFLRTINYSASLEIKQTPNGRVRRLAIHLDKMFEAYCLGRDENYGYVRSLLYFVQVDPYYYCYWNSKELRSLLRDFTLLRVLKFEEMNVSKSKLPGEIGNLVHLRFLSVKNSLIEAVPSSIAKLVCLQTLDLRSRYLRMKIPNQNLFSKMEKLRHIYLPSRYSGREKRLLFAIEAVNLHTVVNIGIQASSDLDDFVKLTNLRKLGVMIFDGGEKKEKGTNIIFKHLHSLSVDSRLSGLLMPRNINIVLSCPNIYKLKLLGEIAELPEELLCLTNLTKLTLSGFGNLKDDHIKVLEKLPSLRMLFASFGKFPAHLVCSEGGFPFLEFLSLDFVEEFKEWKVEKGAMRSLCRLHIEHCLDLEAVPDGLQYITTLKELTIKGMRSEFCSRLGEGGKDFYKIQHVQSVIITNISPNRPG >ONI25158 pep chromosome:Prunus_persica_NCBIv2:G2:28206798:28207111:1 gene:PRUPE_2G285100 transcript:ONI25158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVMDFLSTPIAISLTVILALLVIRSHTRRLGTENKKRYHPVVTTFLNVLINFSRLHDYMTELACKHKTYSPLSTPKLTPRQSQRTVMQRTNGHLSCANM >ONI20711 pep chromosome:Prunus_persica_NCBIv2:G2:3215884:3229286:-1 gene:PRUPE_2G030300 transcript:ONI20711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETSPELPILRGYELRLLRCTLQSPASDPSPHPQPSDHAHPTHHLHPLINDLLTSIESGHYLQALTSPDVKRVVFKLAESDSFGDSAECADRVYSELLDRVESFISKECEEEENDSGKDKAYRVIVVLCIAVAALFGFAQCNLTGPLEGLPKCPLPLEVPQCDEWENWARNQLMAAGSDLLGKLSNIQYIVYAKMLAMKMKDLLFDRSVPCTYGIRSISWWLIRITLLHQRVLDDRSSSLFNLLQVFTSETLNHFGTLEKVTTYWGNNLRNGEGSSLVSMIYLEAGIMEYTYARVDSCRLHFESAEAAAGLQLSVTGVLGFRTVHQVEPKAQMVLLANPTSSNSSGSCFAESPGSQTNNSSIGNLHPSETYEASDILMTPKLLGNDSNSGILSEGIRGGTAAVPLSAVHQAVILAKCLLIEKGTRHDEMQRWEMAPYIEAINSQQSSYFIIRYFCDILRIRWESTRSHTKERALMMMEKLVQGIYDPSPGVAERILFCYGVQIPTIPALRKEYGELLVGCGLIGEAVKTFEDLELWDNLIFCYRLLQKKAAAVELIKTRLSETPNDPRLWCSLGDVTNDDACFEKALEVSNDRSARAKRSLARSAYNRGDYEKSKTLWESAMALNSLYPDGWFALGAAALKARDTEKALDAFTRAVQLDPENGEAWNNIACLHMIKKKSKESFIAFREALKFKRNSWQLWENYSHVAVDVGNVGQGLEAARMVLDITNNKRIDAELLERIVAEVEIRASHTTPDMTDEDNCSTEVGKSRETEHLVEFLGKVLQQIVRSGNGADIWGLYARWHKMKGDLTMCSEALLKQVRSYQGSDLWKDRDRFKKFAQSSLELCKVYMEISASTGSRRELLTAEMHLKNTIKQAVSFSDMEELQDLKACLHQVKSKLESDSLCV >ONI20713 pep chromosome:Prunus_persica_NCBIv2:G2:3215932:3229219:-1 gene:PRUPE_2G030300 transcript:ONI20713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETSPELPILRGYELRLLRCTLQSPASDPSPHPQPSDHAHPTHHLHPLINDLLTSIESGHYLQALTSPDVKRVVFKLAESDSFGDSAECADRVYSELLDRVESFISKECEEEENDSGKDKAYRVIVVLCIAVAALFGFAQCNLTGPLEGLPKCPLPLEVPQCDEWENWARNQLMAAGSDLLGKLSNIQYIVYAKMLAMKMKDLLFDRSVPCTYGIRSISWWLIRITLLHQRVLDDRSSSLFNLLQVFTSETLNHFGTLEKVTTYWGNNLRNGEGSSLVSMIYLEAGIMEYTYARVDSCRLHFESAEAAAGLQLSVTGVLGFRTVHQVEPKAQMVLLANPTSSNSSGSCFAESPGSQTNNSSIGNLHPSETYEASDILMTPKLLGNDSNSGILSEGIRGGTAAVPLSAVHQAVILAKCLLIEKGTRHDEMQRWEMAPYIEAINSQQSSYFIIRYFCDILRIRWESTRSHTKERALMMMEKLVQGIYDPSPGVAERILFCYGVQIPTIPALRKEYGELLVGCGLIGEAVKTFEDLELWDNLIFCYRLLQKKAAAVELIKTRLSETPNDPRLWCSLGDVTNDDACFEKALEVSNDRSARAKRSLARSAYNRGDYEKSKTLWESAMALNSLYPDGWFALGAAALKARDTEKALDAFTRAVQLDPENGEAWNNIACLHMIKKKSKESFIAFREALKFKRNSWQLWENYSHVAVDVGNVGQGLEAARMVLDITNNKRIDAELLERIVAEVEIRASHTTPDMTDEDNCSTEVGKSRETEHLVEFLGKVLQQIVRSGNGADIWGLYARWHKMKGDLTMCSEALLKQVRSYQGSDLWKDRDRFKKFAQSSLELCKVYMEISASTGSRRELLTAEMHLKNTIKQAVSFSDMEELQDLKACLHQVKSKLESDSLCV >ONI20712 pep chromosome:Prunus_persica_NCBIv2:G2:3216530:3229196:-1 gene:PRUPE_2G030300 transcript:ONI20712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETSPELPILRGYELRLLRCTLQSPASDPSPHPQPSDHAHPTHHLHPLINDLLTSIESGHYLQALTSPDVKRVVFKLAESDSFGDSAECADRVYSELLDRVESFISKECEEEENDSGKDKAYRVIVVLCIAVAALFGFAQCNLTGPLEGLPKCPLPLEVPQCDEWENWARNQLMAAGSDLLGKLSNIQYIVYAKMLAMKMKDLLFDRSVPCTYGIRSISWWLIRITLLHQRVLDDRSSSLFNLLQVFTSETLNHFGTLEKVTTYWGNNLRNGEGSSLVSMIYLEAGIMEYTYARVDSCRLHFESAEAAAGLQLSVTGVLGFRTVHQVEPKAQMVLLANPTSSNSSGSCFAESPGSQTNNSSIGNLHPSETYEASDILMTPKLLGNDSNSGILSEGIRGGTAAVPLSAVHQAVILAKCLLIEKGTRHDEMQRWEMAPYIEAINSQQSSYFIIRYFCDILRIRWESTRSHTKERALMMMEKLVQGIYDPSPGVAERILFCYGVQIPTIPALRKEYGELLVGCGLIGEAVKTFEDLELWDNLIFCYRLLQKKAAAVELIKTRLSETPNDPRLWCSLGDVTNDDACFEKALEVSNDRSARAKRSLARSAYNRGDYEKSKTLWESAMALNSLYPDGWFALGAAALKARDTEKALDAFTRAVQLDPENGEAWNNIACLHMIKKKSKESFIAFREALKFKRNSWQLWENYSHVAVDVGNVGQGLEAARMVLDITNNKRIDAELLERIVAEVEIRASHTTPDMTDEDNCSTEVGKSRETEHLVEFLGKVLQQIVRSGNGADIWGLYARWHKMKGDLTMCSEALLKQVRSYQGSDLWKDRDRFKKFAQSSLELCKVYMEISASTGSRRELLTAEMHLKNTIKQAVSFSDMEELQDLKACLHQVKSKLESDSLCV >ONI20714 pep chromosome:Prunus_persica_NCBIv2:G2:3215934:3229217:-1 gene:PRUPE_2G030300 transcript:ONI20714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMKMKDLLFDRSVPCTYGIRSISWWLIRITLLHQRVLDDRSSSLFNLLQVFTSETLNHFGTLEKVTTYWGNNLRNGEGSSLVSMIYLEAGIMEYTYARVDSCRLHFESAEAAAGLQLSVTGVLGFRTVHQVEPKAQMVLLANPTSSNSSGSCFAESPGSQTNNSSIGNLHPSETYEASDILMTPKLLGNDSNSGILSEGIRGGTAAVPLSAVHQAVILAKCLLIEKGTRHDEMQRWEMAPYIEAINSQQSSYFIIRYFCDILRIRWESTRSHTKERALMMMEKLVQGIYDPSPGVAERILFCYGVQIPTIPALRKEYGELLVGCGLIGEAVKTFEDLELWDNLIFCYRLLQKKAAAVELIKTRLSETPNDPRLWCSLGDVTNDDACFEKALEVSNDRSARAKRSLARSAYNRGDYEKSKTLWESAMALNSLYPDGWFALGAAALKARDTEKALDAFTRAVQLDPENGEAWNNIACLHMIKKKSKESFIAFREALKFKRNSWQLWENYSHVAVDVGNVGQGLEAARMVLDITNNKRIDAELLERIVAEVEIRASHTTPDMTDEDNCSTEVGKSRETEHLVEFLGKVLQQIVRSGNGADIWGLYARWHKMKGDLTMCSEALLKQVRSYQGSDLWKDRDRFKKFAQSSLELCKVYMEISASTGSRRELLTAEMHLKNTIKQAVSFSDMEELQDLKACLHQVKSKLESDSLCV >ONI20710 pep chromosome:Prunus_persica_NCBIv2:G2:3215922:3229196:-1 gene:PRUPE_2G030300 transcript:ONI20710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETSPELPILRGYELRLLRCTLQSPASDPSPHPQPSDHAHPTHHLHPLINDLLTSIESGHYLQALTSPDVKRVVFKLAESDSFGDSAECADRVYSELLDRVESFISKECEEEENDSGKDKAYRVIVVLCIAVAALFGFAQCNLTGPLEGLPKCPLPLEVPQCDEWENWARNQLMAAGSDLLGKLSNIQYIVYAKMLAMKMKDLLFDRSVPCTYGIRSISWWLIRITLLHQRVLDDRSSSLFNLLQVFTSETLNHFGTLEKVTTYWGNNLRNGEGSSLVSMIYLEAGIMEYTYARVDSCRLHFESAEAAAGLQLSVTGVLGFRTVHQVEPKAQMVLLANPTSSNSSGSCFAESPGSQTNNSSIGNLHPSETYEASDILMTPKLLGNDSNSGILSEGIRGGTAAVPLSAVHQAVILAKCLLIEKGTRHDEMQRWEMAPYIEAINSQQSSYFIIRYFCDILRIRWESTRSHTKERALMMMEKLVQGIYDPSPGVAERILFCYGVQIPTIPALRKEYGELLVGCGLIGEAVKTFEDLELWDNLIFCYRLLQKKAAAVELIKTRLSETPNDPRLWCSLGDVTNDDACFEKALEVSNDRSARAKRSLARSAYNRGDYEKSKTLWESAMALNSLYPDGWFALGAAALKGLEAARMVLDITNNKRIDAELLERIVAEVEIRASHTTPDMTDEDNCSTEVGKSRETEHLVEFLGKVLQQIVRSGNGADIWGLYARWHKMKGDLTMCSEALLKQVRSYQGSDLWKDRDRFKKFAQSSLELCKVYMEISASTGSRRELLTAEMHLKNTIKQAVSFSDMEELQDLKACLHQVKSKLESDSLCV >ONI24084 pep chromosome:Prunus_persica_NCBIv2:G2:25038938:25040037:1 gene:PRUPE_2G222800 transcript:ONI24084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAHGDLENAEARTDYPNSNQTTENSSTRDVEVKNSKNAARLTKIFITSCVLGVFLDPLFLYIPLLNHDLKCLRLDNTIKIIALVSRLFTDLFYVGRIILQVCRFENCSPFMNRILPESCSSKLITSFIKCFRELLPEVTEVHEKEYLIPSITKEILESSIIVDILAILPLPQV >ONI20761 pep chromosome:Prunus_persica_NCBIv2:G2:3484230:3493537:-1 gene:PRUPE_2G032300 transcript:ONI20761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVHSLVNDFLIKLKKRNIEGSQATAKLTAELLRSVISSQRVPYTNQAGALIDAVKAVGEQLIAANPIELAVGNIVRRVLHIIREEDLSLTTAAMAGLNMSTVSDDEDDGEHDNHPVLSAAVVAAAARSTLRPPSLQTLLEDMPDAAAVPHTSSSGGDSEEKTKSADKSSRSRKLKHDVIEAVNELIQDIGTCHEQIAEQAVEHIHQNEVILTLGSSKTVLEFLCAANEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDFGSGTASPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVQRRPAIGS >ONI20760 pep chromosome:Prunus_persica_NCBIv2:G2:3485389:3492781:-1 gene:PRUPE_2G032300 transcript:ONI20760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVHSLVNDFLIKLKKRNIEGSQATAKLTAELLRSVISSQRVPYTNQAGALIDAVKAVGEQLIAANPIELAVGNIVRRVLHIIREEDLSLTTAAMAGLNMSTVSDDEDDGEHDNHPVLSAAVVAAAARSTLRPPSLQTLLEDMPDAAAVPHTSSSGGDSEEKTKSADKSSRSRKLKHDVIEAVNELIQDIGTCHEQIAEQAVEHIHQNEVILTLGSSKTVLEFLCAANEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDFGSGTASPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVQRRPAIGS >ONI20762 pep chromosome:Prunus_persica_NCBIv2:G2:3484230:3493692:-1 gene:PRUPE_2G032300 transcript:ONI20762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVHSLVNDFLIKLKKRNIEGSQATAKLTAELLRSVISSQRVPYTNQAGALIDAVKAVGEQLIAANPIELAVGNIVRRVLHIIREEDLSLTTAAMAGLNMSTVSDDEDDGEHDNHPVLSAAVVAAAARSTLRPPSLQTLLEDMPDAAAVPHTSSSGGDSEEKTKSADKSSRSRKLKHDVIEAVNELIQDIGTCHEQIAEQAVEHIHQNEVILTLGSSKTVLEFLCAANEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQRHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCMDFGSGTASPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVQRRPAIGS >ONI20251 pep chromosome:Prunus_persica_NCBIv2:G2:474413:478270:-1 gene:PRUPE_2G004800 transcript:ONI20251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFMHKEPTGLEGRSVDIGNLKIHVKNAIAEGGFSCVYLARDAVHVSKQYALKHIICNDEEMLELVMKEISVMKLLRGHPNVVTLHAHTFLDMGRTKEALLVMEFCDKSLVNVLESRGAGFFDEKQALAIFRDVCNAVFAMHSQSPPIAHRDLKAENLLLGSDGLWKLCDFGSISTNHKRFERPEEMGIEEDNIRKHTTPAYRAPEMWDLFRRELINEKVDIWALGCLLFRICYFKSAFDGESKLQILNGNYRIPELPKYSSFITDLIRDMLQSSPDDRPDITQVWFRVNEQLPVGLQKSLPDRQPEMNSAEKHEGILRSANKSPAGPRRSPPPPPTSVGESARNSTQFSNTSKAGGIGGQLGAFWSTQHADNSLVEENTIPKFDDEPAGHYTAKLDGIRLEIHPIPKNSAPAKEENAQDHATRRNSHGKSHKPEDGPSKDFEISFFPKDTDHGTERPKASKSQSATGLQDEAFNSFVAEFDTKRLSMGTSNNKSGKEEALEAEVERLKEQLKQANLEKGEITSKFEKLSAICRSQRQELQELKQTLAARTPSPNKDASRNQTSPGILPSANLLQREKIEGSFWEQGKSDRSTPSPEPNPWQPFVEEPKSQQPSSTDNTMKSVRTRNGHQNKQSTKVNTGFDSWGFGAESFTAVPTGSTQISRPMGEGNNSQHFGEAKVMESKPASQPAGWAGF >ONI20250 pep chromosome:Prunus_persica_NCBIv2:G2:474413:478743:-1 gene:PRUPE_2G004800 transcript:ONI20250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFMHKEPTGLEGRSVDIGNLKIHVKNAIAEGGFSCVYLARDAVHVSKQYALKHIICNDEEMLELVMKEISVMKLLRGHPNVVTLHAHTFLDMGRTKEALLVMEFCDKSLVNVLESRGAGFFDEKQALAIFRDVCNAVFAMHSQSPPIAHRDLKAENLLLGSDGLWKLCDFGSISTNHKRFERPEEMGIEEDNIRKHTTPAYRAPEMWDLFRRELINEKVDIWALGCLLFRICYFKSAFDGESKLQILNGNYRIPELPKYSSFITDLIRDMLQSSPDDRPDITQVWFRVNEQLPVGLQKSLPDRQPEMNSAEKHEGILRSANKSPAGPRRSPPPPPTSVGESARNSTQFSNTSKAGGIGGQLGAFWSTQHADNSLVEENTIPKFDDEPAGHYTAKLDGIRLEIHPIPKNSAPAKEENAQDHATRRNSHGKSHKPEDGPSKDFEISFFPKDTDHGTERPKASKSQSATGLQDEAFNSFVAEFDTKRLSMGTSNNKSGKEEALEAEVERLKEQLKQANLEKGEITSKFEKLSAICRSQRQELQELKQTLAARTPSPNKDASRNQTSPGILPSANLLREKIEGSFWEQGKSDRSTPSPEPNPWQPFVEEPKSQQPSSTDNTMKSVRTRNGHQNKQSTKVNTGFDSWGFGAESFTAVPTGSTQISRPMGEGNNSQHFGEAKVMESKPASQPAGWAGF >ONI20253 pep chromosome:Prunus_persica_NCBIv2:G2:474704:478009:-1 gene:PRUPE_2G004800 transcript:ONI20253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFMHKEPTGLEGRSVDIGNLKIHVKNAIAEGGFSCVYLARDAVHVSKQYALKHIICNDEEMLELVMKEISVMKLLRGHPNVVTLHAHTFLDMGRTKEALLVMEFCDKSLVNVLESRGAGFFDEKQALAIFRDVCNAVFAMHSQSPPIAHRDLKAENLLLGSDGLWKLCDFGSISTNHKRFERPEEMGIEEDNIRKHTTPAYRAPEMWDLFRRELINEKVDIWALGCLLFRICYFKSAFDGESKLQILNGNYRIPELPKYSSFITDLIRDMLQSSPDDRPDITQVWFRVNEQLPVGLQKSLPDRQPEMNSAEKHEAGILRSANKSPAGPRRSPPPPPTSVGESARNSTQFSNTSKAGGIGGQLGAFWSTQHADNSLVEENTIPKFDDEPAGHYTAKLDGIRLEIHPIPKNSAPAKEENAQDHATRRNSHGKSHKPEDGPSKDFEISFFPKDTDHGTERPKASKSQSATGLQDEAFNSFVAEFDTKRLSMGTSNNKSGKEEALEAEVERLKEQLKQANLEKGEITSKFEKLSAICRSQRQELQELKQTLAARTPSPNKDASRNQTSPGILPSANLLQREKIEGSFWEQGKSDRSTPSPEPNPWQPFVEEPKSQQPSSTDNTMKSVRTRNGHQNKQSTKVNTGFDSWGFGAESFTAVPTGSTQISRPMGEGNNSQHFGEAKVMESKPASQPAGWAGF >ONI20254 pep chromosome:Prunus_persica_NCBIv2:G2:474704:478009:-1 gene:PRUPE_2G004800 transcript:ONI20254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFMHKEPTGLEGRSVDIGNLKIHVKNAIAEGGFSCVYLARDAVHVSKQYALKHIICNDEEMLELVMKEISVMKLLRGHPNVVTLHAHTFLDMGRTKEALLVMEFCDKSLVNVLESRGAGFFDEKQALAIFRDVCNAVFAMHSQSPPIAHRDLKAENLLLGSDGLWKLCDFGSISTNHKRFERPEEMGIEEDNIRKHTTPAYRAPEMWDLFRRELINEKVDIWALGCLLFRICYFKSAFDGESKLQILNGNYRIPELPKYSSFITDLIRDMLQSSPDDRPDITQVWFRVNEQLPVGLQKSLPDRQPEMNSAEKHEAGILRSANKSPAGPRRSPPPPPTSVGESARNSTQFSNTSKAGGIGGQLGAFWSTQHADNSLVEENTIPKFDDEPAGHYTAKLDGIRLEIHPIPKNSAPAKEENAQDHATRRNSHGKSHKPEDGPSKDFEISFFPKDTDHGTERPKASKSQSATGLQDEAFNSFVAEFDTKRLSMGTSNNKSGKEEALEAEVERLKEQLKQANLEKGEITSKFEKLSAICRSQRQELQELKQTLAARTPSPNKDASRNQTSPGILPSANLLQREKIEGSFWEQGKSDRSTPSPEPNPWQPFVEEPKSQQPSSTDNTMKSVRTRNGHQNKQSTKVNTGFDSWGFGAESFTAVPTGSTQISRPMGEGNNSQHFGEAKVMESKPASQPAGWAGF >ONI20252 pep chromosome:Prunus_persica_NCBIv2:G2:474348:478763:-1 gene:PRUPE_2G004800 transcript:ONI20252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFMHKEPTGLEGRSVDIGNLKIHVKNAIAEGGFSCVYLARDAVHVSKQYALKHIICNDEEMLELVMKEISVMKLLRGHPNVVTLHAHTFLDMGRTKEALLVMEFCDKSLVNVLESRGAGFFDEKQALAIFRDVCNAVFAMHSQSPPIAHRDLKAENLLLGSDGLWKLCDFGSISTNHKRFERPEEMGIEEDNIRKHTTPAYRAPEMWDLFRRELINEKVDIWALGCLLFRICYFKSAFDGESKLQILNGNYRIPELPKYSSFITDLIRDMLQSSPDDRPDITQVWFRVNEQLPVGLQKSLPDRQPEMNSAEKHEGILRSANKSPAGPRRSPPPPPTSVGESARNSTQFSNTSKAGGIGGQLGAFWSTQHADNSLVEENTIPKFDDEPAGHYTAKLDGIRLEIHPIPKNSAPAKEENAQDHATRRNSHGKSHKPEDGPSKDFEISFFPKDTDHGTERPKASKSQSATGLQDEAFNSFVAEFDTKRLSMGTSNNKSGKEEALEAEVERLKEQLKQANLEKGEITSKFEKLSAICRSQRQELQELKQTLAARTPSPNKDASRNQTSPGILPSANLLQREKIEGSFWEQGKSDRSTPSPEPNPWQPFVEEPKSQQPSSTDNTMKSVRTRNGHQNKQSTKVNTGFDSWGFGAESFTAVPTGSTQISRPMGEGNNSQHFGEAKVMESKPASQPAGWAGF >ONI22309 pep chromosome:Prunus_persica_NCBIv2:G2:17809622:17814835:1 gene:PRUPE_2G120600 transcript:ONI22309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRMVKRGSAAAGTKRTTRVTRGTPKAQSQAQAEAPDDVPVVEAKEDLKVEEVKGRPIVAENPVVEEKPTVIDQPVLSEIEDDANPELNGLKKQDKVKESMDDYEKDERLELEDNEAEYEPEEDGGVDYDEKEMEQEDVQEVEDEGDEEPLENLGEEEGDMAEEELEDPNEELEGEEYEEHAGEEHEHEHEHEHAETVDEEEEHQEVVKERRKRKEFEVFVGGLDKDASETDLKKAFAIVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKHPVINGKQCGVTPSQDSDTLFLGNISKTWTKDALREKLKHYGVDNVEDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKRLQKRDIVFGVDRPAKVSFADSFIDPGDEIMAQVKTVFVDGLPASWDEDHVQDLLKKYGEVEKIELARNMPSAKRKDFGFVTFDTHDAAVTCAKSINNAELGEGDNKAKVRARLSRPLQRGKGKHVGRGDYRPSRAVGRVVRGSWGRPTPRSLPPLRGLRGVGSRIPPASVKRPVGLRERRPAMSPYPARGRPLPPPARSYDRRPPVPAYPKSSFKREYGRRDEVPPPRSRVATDYGSRAVPERRQSYRDDYTPRGPGYSDPPRSTSRTGGRRAYVDEGYGQRYERHPPPSHPPPSYREGRARDYDSISGSKRPYSALDDVPPRYADAGIRQSRARLDYEYASGSQYGDAYSDRMGRSSLGYGSSRTSQDSHGLYSSRQGMGYGGGSYGGNDVGGMYSSSYGGDYMSRGSDVGGSSYSSMYSGRGVGGSSYMGSGGSGSYY >ONI22310 pep chromosome:Prunus_persica_NCBIv2:G2:17809282:17816128:1 gene:PRUPE_2G120600 transcript:ONI22310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRMVKRGSAAAGTKRTTRVTRGTPKAQSQAQAEAPDDVPVVEAKEDLKVEEVKGRPIVAENPVVEEKPTVIDQPVLSEIEDDANPELNGLKKQDKVKESMDDYEKDERLELEDNEAEYEPEEDGGVDYDEKEMEQEDVQEVEDEGDEEPLENLGEEEGDMAEEELEDPNEELEGEEYEEHAGEEHEHEHEHEHAETVDEEEEHQEVVKERRKRKEFEVFVGGLDKDASETDLKKAFAIVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKHPVINGKQCGVTPSQDSDTLFLGNISKTWTKDALREKLKHYGVDNVEDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKRLQKRDIVFGVDRPAKVSFADSFIDPGDEIMAQVKTVFVDGLPASWDEDHVQDLLKKYGEVEKIELARNMPSAKRKDFGFVTFDTHDAAVTCAKSINNAELGEGDNKAKVRARLSRPLQRGKGKHVGRGDYRPSRAVGRVVRGSWGRPTPRSLPPLRGLRGVGSRIPPASVKRPVGLRERRPAMSPYPARGRPLPPPARSYDRRPPVPAYPKSSFKREYGRRDEVPPPRSRVATDYGSRAVPERRQSYRDDYTPRGPGYSDPPRSTSRTGGRRAYVDEGYGQRYERHPPPSHPPPSYREGRARDYDSISGSKRPYSALDDVPPRYADAGIRQSRARLDYEYASGSQYGDAYSDRMGRSSLGYGSSRTSQDSHGLYSSRQGMGYGGGSYGGNDVGGMYSSSYGGDYMSRGSDVGGSSYSSMYSGRGVGGSSYMGSGGSGSYY >ONI22307 pep chromosome:Prunus_persica_NCBIv2:G2:17809281:17816128:1 gene:PRUPE_2G120600 transcript:ONI22307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRMVKRGSAAAGTKRTTRVTRGTPKAQSQAQAEAPDDVPVVEAKEDLKVEEVKGRPIVAENPVVEEKPTVIDQPVLSEIEDDANPELNGLKKQDKVKESMDDYEKDERLELEDNEAEYEPEEDGGVDYDEKEMEQEDVQEVEDEGDEEPLENLGEEEGDMAEEELEDPNEELEGEEYEEHAGEEHEHEHEHEHAETVDEEEEHQEVVKERRKRKEFEVFVGGLDKDASETDLKKAFAIVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKHPVINGKQCGVTPSQDSDTLFLGNISKTWTKDALREKLKHYGVDNVEDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKRLQKRDIVFGVDRPAKVSFADSFIDPGDEIMAQVKTVFVDGLPASWDEDHVQDLLKKYGEVEKIELARNMPSAKRKDFGFVTFDTHDAAVTCAKSINNAELGEGDNKAKVRARLSRPLQRGKGKHVGRGDYRPSRAVGRVVRGSWGRPTPRSLPPLRGLRGVGSRIPPASVKRPVGLRERRPAMSPYPARGRPLPPPARSYDRRPPVPAYPKSSFKREYGRRDEVPPPRSRVATDYGSRAVPERRQSYRDDYTPRGPGYSDPPRSTSRTGGRRAYVDEGYGQRYERHPPPSHPPPSYREGRARDYDSISGSKRPYSALDDVPPRYADAGIRQSRARLDYEYASGSQYGDAYSDRMGRSSLGYGSSRTSQDSHGLYSSRQGMGYGGGSYGGNDVGGMYSSSYGGDYMLEVALTHQCTLAVVWVGAVIWVQAVLARTTEVAKSLLFFPLEIYVFRRHGFSSFALKGHG >ONI22308 pep chromosome:Prunus_persica_NCBIv2:G2:17809622:17814201:1 gene:PRUPE_2G120600 transcript:ONI22308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRMVKRGSAAAGTKRTTRVTRGTPKAQSQAQAEAPDDVPVVEAKEDLKVEEVKGRPIVAENPVVEEKPTVIDQPVLSEIEDDANPELNGLKKQDKVKESMDDYEKDERLELEDNEAEYEPEEDGGVDYDEKEMEQEDVQEVEDEGDEEPLENLGEEEGDMAEEELEDPNEELEGEEYEEHAGEEHEHEHEHEHAETVDEEEEHQEVVKERRKRKEFEVFVGGLDKDASETDLKKAFAIVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKHPVINGKQCGVTPSQDSDTLFLGNISKTWTKDALREKLKHYGVDNVEDLTLVEDTNNVGMNRGFAFLEFSSRSDAMDAFKRLQKRDIVFGVDRPAKVSFADSFIDPGDEIMAQVKTVFVDGLPASWDEDHVQDLLKKYGEVEKIELARNMPSAKRKDFGFVTFDTHDAAVTCAKSINNAELGEGDNKAKVRARLSRPLQRGKGKHVGRGDYRPSRAVGRVVRGSWGRPTPRSLPPLRGLRGVGSRIPPASVKRPVGLRERRPAMSPYPARGRPLPPPARSYDRRPPVPAYPKSSFKREYGRRDEVPPPRSRVATDYGSRAVPERRQSYRDDYTPRGPGYSDPPRSTSRTGGRRAYVDEGYGQRYERHPPPSHPPPSYREGRARDYDSISGSKRPYSALDDVPPRYADAGIRQSRARLDYEYASGSQYGDAYSDRMGRSSLGYGSSRTSQDSHGLYSSRQGMGYGGGSYGGNDVGGMYSSSYGGDYMSRGSDVGGSSYSSMYSGRGVGGSSYMGSGGSGSYY >ONI25490 pep chromosome:Prunus_persica_NCBIv2:G2:29158681:29161436:1 gene:PRUPE_2G306300 transcript:ONI25490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >ONI25489 pep chromosome:Prunus_persica_NCBIv2:G2:29157186:29161436:1 gene:PRUPE_2G306300 transcript:ONI25489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >ONI25488 pep chromosome:Prunus_persica_NCBIv2:G2:29157186:29161436:1 gene:PRUPE_2G306300 transcript:ONI25488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILDIGNRVSQMASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >ONI24553 pep chromosome:Prunus_persica_NCBIv2:G2:26320717:26321950:1 gene:PRUPE_2G246500 transcript:ONI24553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMASAHDHSNMKNGGHRSKALMRNTRIPAGKSLLLQRDLDHQVVLSVTIYHADGVDDPSSSTICASDRIYRALVWVEPGTEYRTPFVRALPDPRWDGKCEIPLRTSLLDWGHLNVEVMRGSNDTEPGTSNGIASVSRARIPLPKKMDQKKEGRFGLVRNEGPGLVKAEGHIILSMKVKER >ONI21863 pep chromosome:Prunus_persica_NCBIv2:G2:14913236:14914324:-1 gene:PRUPE_2G094600 transcript:ONI21863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFSGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGV >ONI24645 pep chromosome:Prunus_persica_NCBIv2:G2:26622888:26625180:1 gene:PRUPE_2G252200 transcript:ONI24645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCALVAPNSPIFSPSKIPSIFFRSSSLSSPSSCSSPRVLHGPPPTPSRSSSSTAPLESRFQRHVISGCKTEPSGLALKRKRPMMIDIPVAPLSFKVESPDGAERVDVVEVEEDGYSVYCKRGRRGPMEDRYSAVVDLRDDSRQAFFGVFDGHGGAKAAEFAAKNMDRNIKDRLTCGSEEKIMEAVKDGYLTTDVDFLKENVGSGACCVTALMQKGNLVVSNAGDCRAVMSRGGVAEALTSDHHPSRVDERARIETTGGYVDCCRGVWRIQGSLAVSRAIGDRQLKQWVIAEPETTILRIDPECEFLVLASDGLWDKVTNQEAVDVVRPLCVGTDKPEMFSACKKLVDLSIGRGSMDDTSVMIIQLGRFIS >ONI21340 pep chromosome:Prunus_persica_NCBIv2:G2:7629749:7634984:-1 gene:PRUPE_2G060400 transcript:ONI21340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSAPPAPILHPQEKYDVFLSFRGADTRYTITSHLHAALRGKKIKTYIDDKLERGDEIAPALVEAIHKSKLSVIIFSKNYASSTWCLDELVHILGCRERDGQFVIPIFYDIESSHVRKQLGSYADAFAKHEQRWKDSVDKVLMWRYALEKAANLSGFDNSNKTRTEAYLVETVVEDILTKLNRKSSSDLKGLVAIESQIEQIESSLCIDSPEVCFVGIWGIGGIGKTTLAGAVYNRLSSKFKASCFLANVREESEKHGLNHLRNKLLRVLLEDENLTIDTPSIGSTFVGERLCRTKVLIVLDDVNEMSQLELLAGDHVGFGPGSRIIITTRNRRLLKKKVDDDKIYKVKGLHCDEALQLFHLHAFKNNSPRTDYAELSKMVVDYAEGIPLALKIFGSSFLHCKSKEEWENELKKLKNFPSKRIQNVLRLSYDGLEKNEKEIFLDIACFYKGMNVDFVKRMLDIRGFFVVGIGVLIDTSLISISTSYCLEMHDLVQEIGWEIVREQCIEPGKRDRLFIAEDVCHVLKNNTATAMVQAISFNTSNIRELHLNHAAFKKMYNLRLLEIYDSSYGQKYCKLYLSQGLQTLPESLRYLYWDGYPLKSLPSKFSPENLVELKMPRSLVKQLWEEDLIYLGNLKLIDLSFCKHLTELPDLSQSRKMEHINLYGCTSLVRIPSCLQYLGNLTFLDLGCCSNLKYLQEMPGNIELLNLESTAIEELPSSVWSNKKLSFLNIQRCKYLKNLPSSSCKLKCFGFRLGGCSSLGKISELPRNISVLDLTWTAIEVVPSSIECLFGLTTINLNDCKRLVSLPTSIFKLKSLKSLDLNGCSNFECFPDILEPTEHLELLNLSKTAVKQLPMEIENLIGLQTLNLRRCKDLEFVPDSIYDLNCLKTLSFYGCLKLKSLPPFSIGLCSLEELNLGYCNILQVPDPLVCLTSLRSLNLSGTRIQSLPASIKQASQLRYLWLTNCKRLPSLPELPVLRHLEAHGCTSLKNAWRNMMGEAQLRIMQMATASSNPTDDVYFEMKFQIGSAIKTRDLQ >ONI21337 pep chromosome:Prunus_persica_NCBIv2:G2:7630175:7634702:-1 gene:PRUPE_2G060400 transcript:ONI21337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSAPPAPILHPQEKYDVFLSFRGADTRYTITSHLHAALRGKKIKTYIDDKLERGDEIAPALVEAIHKSKLSVIIFSKNYASSTWCLDELVHILGCRERDGQFVIPIFYDIESSHVRKQLGSYADAFAKHEQRWKDSVDKVLMWRYALEKAANLSGFDNSNKTRTEAYLVETVVEDILTKLNRKSSSDLKGLVAIESQIEQIESSLCIDSPEVCFVGIWGIGGIGKTTLAGAVYNRLSSKFKASCFLANVREESEKHGLNHLRNKLLRVLLEDENLTIDTPSIGSTFVGERLCRTKVDDDKIYKVKGLHCDEALQLFHLHAFKNNSPRTDYAELSKMVVDYAEGIPLALKIFGSSFLHCKSKEEWENELKKLKNFPSKRIQNVLRLSYDGLEKNEKEIFLDIACFYKGMNVDFVKRMLDIRGFFVVGIGVLIDTSLISISTSYCLEMHDLVQEIGWEIVREQCIEPGKRDRLFIAEDVCHVLKNNTATAMVQAISFNTSNIRELHLNHAAFKKMYNLRLLEIYDSSYGQKYCKLYLSQGLQTLPESLRYLYWDGYPLKSLPSKFSPENLVELKMPRSLVKQLWEEDLIYLGNLKLIDLSFCKHLTELPDLSQSRKMEHINLYGCTSLVRIPSCLQYLGNLTFLDLGCCSNLKYLQEMPGNIELLNLESTAIEELPSSVWSNKKLSFLNIQRCKYLKNLPSSSCKLKCFGFRLGGCSSLGKISELPRNISVLDLTWTAIEVVPSSIECLFGLTTINLNDCKRLVSLPTSIFKLKSLKSLDLNGCSNFECFPDILEPTEHLELLNLSKTAVKQLPMEIENLIGLQTLNLRRCKDLEFVPDSIYDLNCLKTLSFYGCLKLKSLPPFSIGLCSLEELNLGYCNILQVPDPLVCLTSLRSLNLSGTRIQSLPASIKQASQLRYLWLTNCKRLPSLPELPVLRHLEAHGCTSLKNAWRNMMGEAQLRIMQMATASSNPTDDVYFGYGPAIPSVSIVCPGNEIPNWFSYQNEGSSINITLPPNWFRTDLLGLALSLVVEFNNYNVKRAGFACTANFKSSNGEGHEISCHLHRLYKGISSSGRNNFNSDYVFAWYTASMLVAAARYSSGTGFDNVTEASIDFFLMDLNGFPLKDYKVQVKKCGLWLLYAEDAENLMSC >ONI21338 pep chromosome:Prunus_persica_NCBIv2:G2:7630175:7634702:-1 gene:PRUPE_2G060400 transcript:ONI21338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSAPPAPILHPQEKYDVFLSFRGADTRYTITSHLHAALRGKKIKTYIDDKLERGDEIAPALVEAIHKSKLSVIIFSKNYASSTWCLDELVHILGCRERDGQFVIPIFYDIESSHVRKQLGSYADAFAKHEQRWKDSVDKVLMWRYALEKAANLSGFDNSNKTRTEAYLVETVVEDILTKLNRKSSSDLKGLVAIESQIEQIESSLCIDSPEVCFVGIWGIGGIGKTTLAGAVYNRLSSKFKASCFLANVREESEKHGLNHLRNKLLRVLLEDENLTIDTPSIGSTFVGERLCRTKVLIVLDDVNEMSQLELLAGDHVGFGPGSRIIITTRNRRLLKKKVDDDKIYKVKGLHCDEALQLFHLHAFKNNSPRTDYAELSKMVVDYAEGIPLALKIFGSSFLHCKSKEEWENELKKLKNFPSKRIQNVLRLSYDGLEKNEKEIFLDIACFYKGMNVDFVKRMLDIRGFFVVGIGVLIDTSLISISTSYCLEMHDLVQEIGWEIVREQCIEPGKRDRLFIAEDVCHVLKNNTATAMVQAISFNTSNIRELHLNHAAFKKMYNLRLLEIYDSSYGQKYCKLYLSQGLQTLPESLRYLYWDGYPLKSLPSKFSPENLVELKMPRSLVKQLWEEDLIYLGNLKLIDLSFCKHLTELPDLSQSRKMEHINLYGCTSLVRIPSCLQYLGNLTFLDLGCCSNLKYLQEMPGNIELLNLESTAIEELPSSVWSNKKLSFLNIQRCKYLKNLPSSSCKLKCFGFRLGGCSSLGKISELPRNISVLDLTWTAIEVVPSSIECLFGLTTINLNDCKRLVSLPTSIFKLKSLKSLDLNGCSNFECFPDILEPTEHLELLNLSKTAVKQLPMEIENLIGLQTLNLRRCKDLEFVPDSIYDLNCLKTLSFYGCLKLKSLPPFSIGLCSLEELNLGYCNILQVPDPLVCLTSLRSLNLSGTRIQSLPASIKQASQLRYLWLTNCKRLPSLPELPVLRHLEAHGCTSLKNAWRNMMGEAQLRIMQMATASSNPTDDVYFGYGPAIPSVSIVCPGNEIPNWFSYQNEGSSINITLPPNWFRTDLLGLALSLVVEFNNYNVKRAGFACTANFKSSNGEGHEISCHLHRLYKGISSSGRNNFNSDYVFAWYTASMLVAAARYSSGTGFDNVTEASIDFFLMDLNGFPLKDYKVQVKKCGLWLLYAEDAENLMSC >ONI21336 pep chromosome:Prunus_persica_NCBIv2:G2:7629749:7634984:-1 gene:PRUPE_2G060400 transcript:ONI21336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSAPPAPILHPQEKYDVFLSFRGADTRYTITSHLHAALRGKKIKTYIDDKLERGDEIAPALVEAIHKSKLSVIIFSKNYASSTWCLDELVHILGCRERDGQFVIPIFYDIESSHVRKQLGSYADAFAKHEQRWKDSVDKVLMWRYALEKAANLSGFDNSNKTRTEAYLVETVVEDILTKLNRKSSSDLKGLVAIESQIEQIESSLCIDSPEVCFVGIWGIGGIGKTTLAGAVYNRLSSKFKASCFLANVREESEKHGLNHLRNKLLRVLLEDENLTIDTPSIGSTFVGERLCRTKVDDDKIYKVKGLHCDEALQLFHLHAFKNNSPRTDYAELSKMVVDYAEGIPLALKIFGSSFLHCKSKEEWENELKKLKNFPSKRIQNVLRLSYDGLEKNEKEIFLDIACFYKGMNVDFVKRMLDIRGFFVVGIGVLIDTSLISISTSYCLEMHDLVQEIGWEIVREQCIEPGKRDRLFIAEDVCHVLKNNTATAMVQAISFNTSNIRELHLNHAAFKKMYNLRLLEIYDSSYGQKYCKLYLSQGLQTLPESLRYLYWDGYPLKSLPSKFSPENLVELKMPRSLVKQLWEEDLIYLGNLKLIDLSFCKHLTELPDLSQSRKMEHINLYGCTSLVRIPSCLQYLGNLTFLDLGCCSNLKYLQEMPGNIELLNLESTAIEELPSSVWSNKKLSFLNIQRCKYLKNLPSSSCKLKCFGFRLGGCSSLVKQLPMEIENLIGLQTLNLRRCKDLEFVPDSIYDLNCLKTLSFYGCLKLKSLPPFSIGLCSLEELNLGYCNILQVPDPLVCLTSLRSLNLSGTRIQSLPASIKQASQLRYLWLTNCKRLPSLPELPVLRHLEAHGCTSLKNAWRNMMGEAQLRIMQMATASSNPTDDVYFGYGPAIPSVSIVCPGNEIPNWFSYQNEGSSINITLPPNWFRTDLLGLALSLVVEFNNYNVKRAGFACTANFKSSNGEGHEISCHLHRLYKGISSSGRNNFNSDYVFAWYTASMLVAAARYSSGTGFDNVTEASIDFFLMDLNGFPLKDYKVQVKKCGLWLLYAEDAENLMSC >ONI21341 pep chromosome:Prunus_persica_NCBIv2:G2:7630718:7634702:-1 gene:PRUPE_2G060400 transcript:ONI21341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSAPPAPILHPQEKYDVFLSFRGADTRYTITSHLHAALRGKKIKTYIDDKLERGDEIAPALVEAIHKSKLSVIIFSKNYASSTWCLDELVHILGCRERDGQFVIPIFYDIESSHVRKQLGSYADAFAKHEQRWKDSVDKVLMWRYALEKAANLSGFDNSNKTRTEAYLVETVVEDILTKLNRKSSSDLKGLVAIESQIEQIESSLCIDSPEVCFVGIWGIGGIGKTTLAGAVYNRLSSKFKASCFLANVREESEKHGLNHLRNKLLRVLLEDENLTIDTPSIGSTFVGERLCRTKVLIVLDDVNEMSQLELLAGDHVGFGPGSRIIITTRNRRLLKKKVDDDKIYKVKGLHCDEALQLFHLHAFKNNSPRTDYAELSKMVVDYAEGIPLALKIFGSSFLHCKSKEEWENELKKLKNFPSKRIQNVLRLSYDGLEKNEKEIFLDIACFYKGMNVDFVKRMLDIRGFFVVGIGVLIDTSLISISTSYCLEMHDLVQEIGWEIVREQCIEPGKRDRLFIAEDVCHVLKNNTATAMVQAISFNTSNIRELHLNHAAFKKMYNLRLLEIYDSSYGQKYCKLYLSQGLQTLPESLRYLYWDGYPLKSLPSKFSPENLVELKMPRSLVKQLWEEDLIYLGNLKLIDLSFCKHLTELPDLSQSRKMEHINLYGCTSLVRIPSCLQYLGNLTFLDLGCCSNLKYLQEMPGNIELLNLESTAIEELPSSVWSNKKLSFLNIQRCKYLKNLPSSSCKLKCFGFRLGGCSSLGKISELPRNISVLDLTWTAIEVVPSSIECLFGLTTINLNDCKRLVSLPTSIFKLKSLKSLDLNGCSNFECFPDILEPTEHLELLNLSKTAVKQLPMEIENLIGLQTLNLRRCKDLEFVPDSIYDLNCLKTLSFYGCLKLKSLPPFSIGLCSLEELNLGYCNILQVPDPLVCLTSLRSLNLSGTRIQSLPASIKQASQLRYLWLTNCKRLPSLPELPVLRHLEAHGCTSLKNAWRNMMGEAQLRIMQMATASSNPTDDVYFVCLSVSLSLSLSLTRSLSLSLSLSVSLSLSLSLSLSSSLQ >ONI21339 pep chromosome:Prunus_persica_NCBIv2:G2:7630175:7633081:-1 gene:PRUPE_2G060400 transcript:ONI21339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDYAEGIPLALKIFGSSFLHCKSKEEWENELKKLKNFPSKRIQNVLRLSYDGLEKNEKEIFLDIACFYKGMNVDFVKRMLDIRGFFVVGIGVLIDTSLISISTSYCLEMHDLVQEIGWEIVREQCIEPGKRDRLFIAEDVCHVLKNNTATAMVQAISFNTSNIRELHLNHAAFKKMYNLRLLEIYDSSYGQKYCKLYLSQGLQTLPESLRYLYWDGYPLKSLPSKFSPENLVELKMPRSLVKQLWEEDLIYLGNLKLIDLSFCKHLTELPDLSQSRKMEHINLYGCTSLVRIPSCLQYLGNLTFLDLGCCSNLKYLQEMPGNIELLNLESTAIEELPSSVWSNKKLSFLNIQRCKYLKNLPSSSCKLKCFGFRLGGCSSLGKISELPRNISVLDLTWTAIEVVPSSIECLFGLTTINLNDCKRLVSLPTSIFKLKSLKSLDLNGCSNFECFPDILEPTEHLELLNLSKTAVKQLPMEIENLIGLQTLNLRRCKDLEFVPDSIYDLNCLKTLSFYGCLKLKSLPPFSIGLCSLEELNLGYCNILQVPDPLVCLTSLRSLNLSGTRIQSLPASIKQASQLRYLWLTNCKRLPSLPELPVLRHLEAHGCTSLKNAWRNMMGEAQLRIMQMATASSNPTDDVYFGYGPAIPSVSIVCPGNEIPNWFSYQNEGSSINITLPPNWFRTDLLGLALSLVVEFNNYNVKRAGFACTANFKSSNGEGHEISCHLHRLYKGISSSGRNNFNSDYVFAWYTASMLVAAARYSSGTGFDNVTEASIDFFLMDLNGFPLKDYKVQVKKCGLWLLYAEDAENLMSC >ONI23676 pep chromosome:Prunus_persica_NCBIv2:G2:23824119:23826779:-1 gene:PRUPE_2G201800 transcript:ONI23676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISRTTMEEGDSVPVVLPGFRFYPTEEVLVGYYLKKKIEGKASNFSHIIPEIDVCKHEPCDVPAFFEEPDFPDHEMEWFFFSQPDFKYTNSTRCNRATDQGFYKITGKVREIKARRSKAVIGKKRTLTFYEGRAPKAKKTNWIMHEYYLTNTELAQLGPNPNQQKDFVLCRLKNKSANYKKVKADSGGCIASNSEDDQAAATDMISEPLEHLASQEVGDVLNGNGDHDECTESPNGTGLIDNNDISSCDDDEIDTWIFYDFDNQAACDLFQEYCAEPGENLDSLLPPPQPPQPPLPPLPQDCCSSTQQSPLYTNQGNVPYVYDGDCNRQQSPIGDRNSYLTHKNNMSMNNQIEPVSNITYGVQNRATGESNSEVNNNSTNDFKEPVSNITCNFNNGAPNERISKACSQPKENLESCFDPFQLQDFMLLSPMNLELGDFEHDNKLYWHAMSCNL >ONI25610 pep chromosome:Prunus_persica_NCBIv2:G2:29437141:29442225:1 gene:PRUPE_2G311400 transcript:ONI25610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCGVGLSPSFESFEVVCVGGNLSARRIRPSLMRAIGKSSDWKRRPCLGVRCSSLKLDGNAQTDISVPVEEESGHVIKFKMSDFKVLDRVSVGLGGRAGEVVFEAVVKDTDSPLYNTQVVLRRLTSVRAQRRGKRAIEVLKKLARRRLMYHSYSMQVHGYISSAISSGRSSFTLVHGYHGSFSLRHWLQQSDWLPTLEATLALDKESVRRVGDDTVGGSAVSRQLRMIRILMRDLLIGVNYLHSHGLAHTELRLENVHISPVDRHIKVGILGNAADFYDDSPNCGTADNNMDRRQMMIAFDMRCLGFMMAKMVLRELMDPLIFTKFKLFLTKGNDPSCLREFLLQILHRNSSSGNAGLQILDRNWGAGWNLLSLLLATEPSKRVSCLDALTHPFLCGPRWRVVPSIDIIRWGLGSTALRISEEYIYGQPQRSRLSHFIELMEMLNPNSRPKNWLELLPGKWRLLYCTGRHIGLTFRQPPEQVLIGHVHLTVSRVSKLNTSLLFASDIGFTVMIGQDWPHDKAGVDGKLQVNSLFRLMAGRRLYLKEEEKNTEKLSNPSNTRDAFAQKLSGRKWKKALPFKESPSSLPVAKLVSSGIDEVTMNLGDPLSKSINSATNVVREVRTQVPPEMFDLSKLVCGTYVDTRLLVIRGVNGSALLFTRSCFDESCK >ONI25611 pep chromosome:Prunus_persica_NCBIv2:G2:29436938:29442225:1 gene:PRUPE_2G311400 transcript:ONI25611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCGVGLSPSFESFEVVCVGGNLSARRIRPSLMRAIGKSSDWKRRPCLGVRCSSLKLDGNAQTDISVPVEEESGHVIKFKMSDFKVLDRVSVGLGGRAGEVVFEAVVKDTDSPLYNTQVVLRRLTSVRAQRRGKRAIEVLKKLARRRLMYHSYSMQVHGYISSAISSGRSSFTLVHGYHGSFSLRHWLQQSDWLPTLEATLALDKESVRRVGDDTVGGSAVSRQLRMIRILMRDLLIGVNYLHSHGLAHTELRLENVHISPVDRHIKVGILGNAADFYDDSPNCGTADNNMDRRQMMIAFDMRCLGFMMAKMVLRELMDPLIFTKFKLFLTKGNDPSCLREFLLQILHRNSSSGNAGLQILDRNWGAGWNLLSLLLATEPSKRVSCLDALTHPFLCGPRWRVVPSIDIIRWGLGSTALRISEEYIYGQPQRSRLSHFIELMEMLNPNSRPKNWLELLPGKWRLLYCTGRHIGLTFRQPPEQVLIGHVHLTVSRVSKLNTSLLFASDIGFTVMIGQDWPHDKAGVDGKLQVNSLFRLMAGRRLYLKEEEKNTEKLSNPSNTRDAFAQKLSGRKWKKALPFKESPSSLPVAKLVSSGIDEVTMNLGDPLSKSINSATNVVREVRTQVPPEMFDLSKLVCGTYVDTRLLVIRGVNGSALLFTRSCFDESCK >ONI21839 pep chromosome:Prunus_persica_NCBIv2:G2:14661256:14663896:1 gene:PRUPE_2G092800 transcript:ONI21839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCRLCVLVIASVLLLGLGGLFAYLLFGTLVSGTGSHGKCIPSACGNIHYITTPFRLAKDPNCKNWDGNYHLFCHNNLTVLEVDSGNYSVQAINYDNFTIRVVDPGIRNNDFSSIPRYSLSIYNLTSHLRLSSSTTPITFFKCAKAVNSSVMRTYNYVKQGNITASDMEDACRIEWTTLMSKSFLYEKDKNFSYHDIQSALGYGFELQFRFRRTSYKLAIYWLLTLVGIFFGSYFQIRCIFGVPFLAAFLIYKRRKMHLSMYSNIEDFLQSDNNLNPIRYSYSDIKKMTSRFNEKLGEGGYGTVFKGKLRSGRFVAVKMLEKPKANGQDFISEVATIGRIHHFNMVQLVGYCVEGSKRALIYNFMPNGSLDKYIYCKEGSNPLSCMKMYEISLGVAQGIEYLHRGCDVQILHFDIKPHNILLDENFIPKISDFGLAKLYPVGNTIVSLTAARGTMGYMAPELFYKNIGAVSYKADVYSFGMLLMEMASRRKNLNASTEHSSQIYFPRWVSDQFCMGKEFELDDATEEEKKIIKKMIITSLWCIQLKPSDRPSMNKVIEMLEGEVECLQLPPKLLLYPQQEMPRDNLHGNSNPMCSNTELTCSTLSAR >ONI24537 pep chromosome:Prunus_persica_NCBIv2:G2:26269153:26270662:-1 gene:PRUPE_2G245400 transcript:ONI24537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEQQYSAHSLSSRGSGRRQSSYVMDSGFYMSSFASTIFIGALVTVGVILITLLIALTVMLQSCQSRSHGVIEIEKPSYDYNYCQIISLHVELNKVEADHFPSICRVVALQYIKEGQYARDLNSTVWMIQNYFSTLKRDGVDVVLMDIDDVLSSSPQYIKLLVDRDDQYGSSDCVEEAKQLKHVYILRLYMKLHASGWPLILLSRKPETQLNSSIEYLISAGFRAWSSLIMRSEDDLQMDSCDYFSKQRAAMQRKGLRIIGTISSHMDALTGTSLGERIFKLPNPVYYSF >ONI24534 pep chromosome:Prunus_persica_NCBIv2:G2:26268870:26271632:-1 gene:PRUPE_2G245400 transcript:ONI24534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEQQYSAHSLSSRGSGRRQSSYVMDSGFYMSSFASTIFIGALVTVGVILITLLIALTVMLQSCQSRSHGVIEIEKPSYDYNYCQIISLHVELNKVEADHFPSICRVVALQYIKEGQYARDLNSTVWMIQNYFSTLKRDGVDVVLMDIDDVLSSSPQYIKLLVDRDDQYGSSDCVEEAKQLKHVYILRLYMKLHASGWPLILLSRKPETQLNSSIEYLISAGFRAWSSLIMRSEDDLQMDSCDYFSKQRAAMQRKGLRIIGTISSHMDALTGTSLGERIFKLPNPVYYSF >ONI24540 pep chromosome:Prunus_persica_NCBIv2:G2:26268874:26271622:-1 gene:PRUPE_2G245400 transcript:ONI24540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEQQYSAHSLSSRGSGRRQSSYVMDSGFYMSSFASTIFIGALVTVGVILITLLIALTVMLQSCQSRSHGVIEIEKPSYDYNYCQIISLHVELNKVEADHFPSICRVVALQYIKEGQYARDLNSTVWMIQNYFSTLKRDGVDVVLMDIDDVLSSSPQYIKLLVDRDDQYGSSDCVEEAKQLKHVYILRLYMKLHASGWPLILLSRKPETQLNSSIEYLISAGFRAWSSLIMRR >ONI24536 pep chromosome:Prunus_persica_NCBIv2:G2:26269153:26270662:-1 gene:PRUPE_2G245400 transcript:ONI24536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEQQYSAHSLSSRGSGRRQSSYVMDSGFYMSSFASTIFIGALVTVGVILITLLIALTVMLQSCQSRSHGVIEIEKPSYDYNYCQIISLHVELNKVEADHFPSICRVVALQYIKEGQYARDLNSTVWMIQNYFSTLKRDGVDVVLMDIDDVLSSSPQYIKLLVDRDDQYGSSDCVEEAKQLKHVYILRLYMKLHASGWPLILLSRKPETQLNSSIEYLISAGFRAWSSLIMRSEDDLQMDSCDYFSKQRAAMQRKGLRIIGTISSHMDALTGTSLGERIFKLPNPVYYSF >ONI24533 pep chromosome:Prunus_persica_NCBIv2:G2:26268887:26271622:-1 gene:PRUPE_2G245400 transcript:ONI24533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEQQYSAHSLSSRGSGRRQSSYSCQSRSHGVIEIEKPSYDYNYCQIISLHVELNKVEADHFPSICRVVALQYIKEGQYARDLNSTVWMIQNYFSTLKRDGVDVVLMDIDDVLSSSPQYIKLLVDRDDQYGSSDCVEEAKQLKHVYILRLYMKLHASGWPLILLSRKPETQLNSSIEYLISAGFRAWSSLIMRSEDDLQMDSCDYFSKQRAAMQRKGLRIIGTISSHMDALTGTSLGERIFKLPNPVYYSF >ONI24535 pep chromosome:Prunus_persica_NCBIv2:G2:26268887:26270813:-1 gene:PRUPE_2G245400 transcript:ONI24535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEQQYSAHSLSSRGSGRRQSSYVMDSGFYMSSFASTIFIGALVTVGVILITLLIALTVMLQSCQSRSHGVIEIEKPSYDYNYCQIISLHVELNKVEADHFPSICRVVALQYIKEGQYARDLNSTVWMIQNYFSTLKRDGVDVVLMDIDDVLSSSPQYIKLLVDRDDQYGSSDCVEEAKQLKHVYILRLYMKLHASGWPLILLSRKPETQLNSSIEYLISAGFRAWSSLIMRSEDDLQMDSCDYFSKQRAAMQRKGLRIIGTISSHMDALTGTSLGERIFKLPNPVYYSF >ONI24539 pep chromosome:Prunus_persica_NCBIv2:G2:26269153:26270246:-1 gene:PRUPE_2G245400 transcript:ONI24539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGFYMSSFASTIFIGALVTVGVILITLLIALTVMLQSCQSRSHGVIEIEKPSYDYNYCQIISLHVELNKVEADHFPSICRVVALQYIKEGQYARDLNSTVWMIQNYFSTLKRDGVDVVLMDIDDVLSSSPQYIKLLVDRDDQYGSSDCVEEAKQLKHVYILRLYMKLHASGWPLILLSRKPETQLNSSIEYLISAGFRAWSSLIMRSEDDLQMDSCDYFSKQRAAMQRKGLRIIGTISSHMDALTGTSLGERIFKLPNPVYYSF >ONI24538 pep chromosome:Prunus_persica_NCBIv2:G2:26268870:26271845:-1 gene:PRUPE_2G245400 transcript:ONI24538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYGHQMEQQYSAHSLSSRGSGRRQSSYVMDSGFYMSSFASTIFIGALVTVGVILITLLIALTVMLQSCQSRSHGVIEIEKPSYDYNYCQIISLHVELNKVEADHFPSICRVVALQYIKEGQYARDLNSTVWMIQNYFSTLKRDGVDVVLMDIDDVLSSSPQYIKLLVDRDDQYGSSDCVEEAKQLKHVYILRLYMKLHASGWPLILLSRKPETQLNSSIEYLISAGFRAWSSLIMRSEDDLQMDSCDYFSKQRAAMQRKGLRIIGTISSHMDALTGTSLGERIFKLPNPVYYSF >ONI20276 pep chromosome:Prunus_persica_NCBIv2:G2:598485:598817:-1 gene:PRUPE_2G006000 transcript:ONI20276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGHLFLKPPIGDQKRVSEDSKASIDQQNGNNSRSPSMSSKNGATPLQPKKERNGRGGNEFTIAKNTINGSTSDRVGIFGFGNTHTYLKSHGKEKQVESSSEEDEEAVN >ONI24692 pep chromosome:Prunus_persica_NCBIv2:G2:26787775:26790164:1 gene:PRUPE_2G255500 transcript:ONI24692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVIILRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPSGFMDVISIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLESNKITDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLANVFTIGKGTKPWVSLPKGKGIKLTIIEEAKKRQAAQQASTA >ONI22834 pep chromosome:Prunus_persica_NCBIv2:G2:20779290:20781786:-1 gene:PRUPE_2G153700 transcript:ONI22834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAYSRLVEGEEIIIGAEETSNNINGGYVSKKSERPKEPWKGEYAKSILYAGLDAIVTCFSLISSISASRISSVDVLVLGFANLVADGISMGFGDFMSSSSEKAVAAKERAVTEWDVANHSGPEELVELLRRYQALGMDINDATTVVSIFAKYNNILVHEKMMAHGMLPPDEAEKPWKNGLVTFAAFLVFGSAPLLSFIILIPFTNDDSVKFVGACILSALALALLGAAKAKIAGQNYAFSVAVTLFNGAIAAAAAYALGWALKNIAGLEN >ONI22835 pep chromosome:Prunus_persica_NCBIv2:G2:20780068:20780993:-1 gene:PRUPE_2G153700 transcript:ONI22835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNPKEGYRKQNPVDVLVLGFANLVADGISMGFGDFMSSSSEKAVAAKERAVTEWDVANHSGPEELVELLRRYQALGMDINDATTVVSIFAKYNNILVHEKMMAHGMLPPDEAEKPWKNGLVTFAAFLVFGSAPLLSFIILIPFTNDDSVKFVGACILSALALALLGAAKAKIAGQNYAFSVAVTLFNGAIAAAAAYALGWALKNIAGLEN >ONI23597 pep chromosome:Prunus_persica_NCBIv2:G2:23450287:23459808:1 gene:PRUPE_2G197500 transcript:ONI23597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKLTQFEPQLAMELDLDSFLNSHLSLSDEDDDDNLNSVPHRTIDEILNDSDSSASSSPPSTIHRLASDPKPPHPPTDAVSVSSAKSDESSQVRPRPNLYTRVKSGELSDDPVGKVSKPSPWLLGGMRTNAKPGAALAAAAAASRSMPTPHAAAIKSKRSAGSGIFQKVLESTELDDKSEVGSNSNNDTNVGSSEVTESNSNEGEVDFGDELLRKGRAWERERELEETSQGIEVSAGNAPEEVKNVSFDENLTNLDANDVEDNEFNNNVEVVEECQPEIQDIDENSPGSKHSDSEEERLGDGGGGGNDNDGEGGGGDDDNNNDRDSNDDGELGSSITQLVEERIGQLESRRISKKAEKKLQKPLEIAEELEKKQASTALHWEEGAAAQPMRLEGVRRGSTTLGYFNVDANNPITRTLSAPALRRDHGSPQVLAVHSNYIAIGMARGAILVIPSKYSAHNADIMDAKMLILGLQGERSYAAVTSICFNQQGDLLLAGYADGHITVWDVQRSSVAKVITGEHTAPVVHTLFLGQDSQVTRQFKAVTGDSKGLVLLHSFSVVPLLNRFSIKTQCLLDGQRTGTVLSASPLLFDEFSGGASQSAQGNGTVTGSSIGGMMGGVVGGDASWKLFNEGSSLVEEGVVVFVTHQTALVVRLTPNLEVYAQLSKPEGVREGAMPSTAWKCTTQSRRLPANTENMPAEVVERVSLLAIAWDRKVQVAKLVKSELKVYGKWSLESAAIGVAWLDDQMLVVLMMTGQLCLFAKDGTVIHQTSFSVDGFGGDDLIAYHTHFVNIFGNPEKAYHNCVAVRGASVYVLGPMHLIVSRLLPWKERIQVLRSAGDWMGALNMAMTIYDGQAHGVVDLPRTLVAVQEAIMSYLVELLLSYVEEVFSYISVALGNQIGIMDQVDDLNSKSSSVHSEIKEQYTRVGGVAVEFCVHIKRTDILFDEIFSKFVAVQQRDTFLELLEPYILKDMLGSLPPEIMQALVEHYSRKGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYSALVYLFNKGLDDFRSPLEELLVVLQNSKKEGATALGYRMLVYLKYCFSGLAFPPGQGTIPAPRLPSLRTELLQFLLEGSDAPNSRAGGGEYLNLYLLLELDTEATLDVLRCAFIEDEISKPDVSSHDSADANMELPDGNNSMAQSQNSMVQNTVDTLIHIVSKGISQTDGSPSNDETASTVEWPSKKDIGDLFEFIAYYVACGRANVSKHVLSQILEYLTSDNNFPSWVSGDTITSKKREKQVLGLLEVVPETDWDSSYVLQLCEKARFYQVCGLIHNSRHQYLAALDCYMKDVDEPIHAFSFINKTLLQLTDNESAAFRSEVISRIPELFDLNREGTFVLVIDHFTSEEGSHILSELRSHPKSLFLYLKTVIEVHLSGTLDFSSLRKDDLVRVKDQSKAVEAYLERICDFPKLLRNNPVNVTDDMIELYLELLCQYERNSVLKFLETFDSYRVEHCLRLCQKYGITDAASFLLERVGDVGSALLLTLSTLNEKFIKLDTAVGSLVSSGSARTEHFSNALKLEEVSDINSILHACIGLCQRNTHRLNPDESEALWFRLLDSFCEPLTDSLNAGRVSKGDDLKTVVAESLESEEDEVAFIIEWRISKLHKGAHILRKVFSRFIKEIVEGMIGYVRLPTIMSKLLSDNGSQEFGDFKFTILGMLSTYGFERRILDTAKSLIEDDTFYTMSILKKGASHGYAPRSQICCICDCLLDKNSSSYIRIFNCGHATHLQCEVLENGTSSSSSSSGCPVCMPKKKSQRSRNKSVLPEKSLVKGFSSRTQQIHGTTVHPHESNASENTYGLHQISRFEMLTNLQRDRGLVEIENMPQLRLAPPAVYHEKVQKGTVLSPAESSSDLATIGKQSKTKQLRELKVKGSSLRFPLKSNIFGKEKTSKR >ONI25555 pep chromosome:Prunus_persica_NCBIv2:G2:29313238:29316479:-1 gene:PRUPE_2G309500 transcript:ONI25555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSSWFSSVKKVFKSSSKDSPDKKELTGEKWQQHDAAEVVSFEHFPAESSPDITNDECTTVSSTPLNSDKDRNHAIAVAVATAAAAEAAVVAAQAAAKVVRLAGYGRHSKEERAATLIQSHYRGYLARRARRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLQLTKGKLHNKADQDQYYDEDDDDEQERRAFMEEAEEQKLMSPAKKYEMQSRNGTTRHQSFKENASKKHDAEVKRERALAYAYSYQKQQQLLQPTHDGTEFGLQPNQSDKAQWGWNWLERWMSSQPNQARPSGPRDTSFGTNITTTTTTDNMSEKTVEMDTVAASSSPHINMGLLNQDMIDSNPYSTRQHRRQSSNNVPSYMAPTQSAKAKARGLGLGKQRCPPTPQWNPSTKRGSVIGSGCDSSSSGGGTATFVIARSPSPKHNGPRGQARGGVAFGPDSPLGEDWGLPLAVHGWRHDYD >ONI25556 pep chromosome:Prunus_persica_NCBIv2:G2:29313455:29315818:-1 gene:PRUPE_2G309500 transcript:ONI25556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSSWFSSVKKVFKSSSKDSPDKKKELTGEKWQQHDAAEVVSFEHFPAESSPDITNDECTTVSSTPLNSDKDRNHAIAVAVATAAAAEAAVVAAQAAAKVVRLAGYGRHSKEERAATLIQSHYRGYLARRARRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLQLTKGKLHNKADQDQYYDEDDDDEQERRAFMEEAEEQKLMSPAKKYEMQSRNGTTRHQSFKENASKKHDAEVKRERALAYAYSYQKQQQLLQPTHDGTEFGLQPNQSDKAQWGWNWLERWMSSQPNQARPSGPRDTSFGTNITTTTTTDNMSEKTVEMDTVAASSSPHINMGLLNQDMIDSNPYSTRQHRRQSSNNVPSYMAPTQSAKAKARGLGLGKQRCPPTPQWNPSTKRGSVIGSGCDSSSSGGGTATFVIARSPSPKHNGPRGQARGGVAFGPDSPLGEDWGLPLAVHGWRHDYD >ONI23010 pep chromosome:Prunus_persica_NCBIv2:G2:21396860:21403027:1 gene:PRUPE_2G164100 transcript:ONI23010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEIQEVDGIRNCVIKLRENSQKRRDKVYIGCGAGFGGDRPFAALKLLQRVKELNYIVLECLAERTLAERYQVMVSGGDGYDSRISDWMRLLLPLAVERGTCIITNMGAMDPHGAQEKVIEIASSLGLSVSVAVAHEISVANTGSGSSHEKSYIMEGGISTYLGAAPIVECLEKYQPNVIITSRVADAALFLAPMIYELGWNWDSLEQLAQGSLAGHLLECGCQLTGGYFMHPGDKSRNMSFSQLLDLSLPYAEISSDGKVFVAKAEGTGGVLNFSTCAEQLLYEVGDPGAYITPDVIIDIRDVSFYPISSCKVLCAGAKPSAVSVPDKLLRLVPKDYGWKGWGEISYGGYECVKRAKAAEFLVRSWMEEVIPGVSSHVVSYIIGLDSLKATSLSDNASSRMVSDIRLRMDGLFKLKEHAVHFVREFTALYTNGPAGGGGIRSSVNMYSGGQQ >ONI23011 pep chromosome:Prunus_persica_NCBIv2:G2:21396860:21403027:1 gene:PRUPE_2G164100 transcript:ONI23011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGDGYDSRISDWMRLLLPLAVERGTCIITNMGAMDPHGAQEKVIEIASSLGLSVSVAVAHEISVANTGSGSSHEKSYIMEGGISTYLGAAPIVECLEKYQPNVIITSRVADAALFLAPMIYELGWNWDSLEQLAQGSLAGHLLECGCQLTGGYFMHPGDKSRNMSFSQLLDLSLPYAEISSDGKVFVAKAEGTGGVLNFSTCAEQLLYEVGDPGAYITPDVIIDIRDVSFYPISSCKVLCAGAKPSAVSVPDKLLRLVPKDYGWKGWGEISYGGYECVKRAKAAEFLVRSWMEEVIPGVSSHVVSYIIGLDSLKATSLSDNASSRMVSDIRLRMDGLFKLKEHAVHFVREFTALYTNGPAGGGGISTGHKKEIILEKYLVKREHVLWRTAVKHTTALTSNICLPHESGLSMTQANEVKSSTNSDSPFIGSAFSPAPSGHKIPLYDVAHVRAGDKGNDLNFSMIPHFPPDIVRLKSIITPQWVKKVVSALLNSSPFPDMDAINERDKWVNENVKVEIYEVKGIRSLNVVVRDILDGGVNCSRRIDRHGKTISDLILCQQVLLPPWLGRSNTLIA >ONI23008 pep chromosome:Prunus_persica_NCBIv2:G2:21397261:21403027:1 gene:PRUPE_2G164100 transcript:ONI23008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEIQEVDGIRNCVIKLRENSQKRRDKVYIGCGAGFGGDRPFAALKLLQRVKELNYIVLECLAERTLAERYQVMVSGGDGYDSRISDWMRLLLPLAVERGTCIITNMGAMDPHGAQEKVIEIASSLGLSVSVAVAHEISVANTGSGSSHEKSYIMEGGISTYLGAAPIVECLEKYQPNVIITSRVADAALFLAPMIYELGWNWDSLEQLAQGSLAGHLLECGCQLTGGYFMHPGDKSRNMSFSQLLDLSLPYAEISSDGKVFVAKAEGTGGVLNFSTCAEQLLYEVGDPGAYITPDVIIDIRDVSFYPISSCKVLCAGAKPSAVSVPDKLLRLVPKDYGWKGWGEISYGGYECVKRAKAAEFLVRSWMEEVIPGVSSHVVSYIIGLDSLKATSLSDNASSRMVSDIRLRMDGLFKLKEHAVHFVREFTALYTNGPAGGGGISTGHKKEIILEKYLVKREHVLWRTAVKHTTALTSNICLPHESGLSMTQANEVKSSTNSDSPFIGSAFSPAPSGHKIPLYDVAHVRAGDKGNDLNFSMIPHFPPDIVRLKSIITPQWVKKVVSALLNSSPFPDMDAINERDKWVNENVKVEIYEVKGIRSLNVVVRDILDGGVNCSRRIDRHGKTISDLILCQQVLLPPWLGRSNTLIA >ONI23009 pep chromosome:Prunus_persica_NCBIv2:G2:21396860:21403027:1 gene:PRUPE_2G164100 transcript:ONI23009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEIQEVDGIRNCVIKLRENSQKRRDKVYIGCGAGFGGDRPFAALKLLQRVKELNYIVLECLAERTLAERYQVMVSGGDGYDSRISDWMRLLLPLAVERGTCIITNMGAMDPHGAQEKVIEIASSLGLSVSVAVAHEISVANTGSGSSHEKSYIMEGGISTYLGAAPIVECLEKYQPNVIITSRVADAALFLAPMIYELGWNWDSLEQLAQGSLAGHLLECGCQLTGGYFMHPGDKSRNMSFSQLLDLSLPYAEISSDGKVFVAKAEGTGGVLNFSTCAEQLLYEVGDPGAYITPDVIIDIRDVSFYPISSCKVLCAGAKPSAVSVPDKLLRLVPKDYGWKGWGEISYGGYECVKRAKAAEFLVRSWMEEVIPGVSSHVVSYIIGLDSLKATSLSDNASSRMVSDIRLRMDGLFKLKEHAVHFVREFTALYTNGPAGGGGISTGHKKEIILEKYLVKREHVLWRTAVKHTTALTSNICLPHESGLSMTQANEVKSSTNSDSPFIGSAFSPAPSGHKIPLYDVAHVRAGDKGNDLNFSMIPHFPPDIVRLKSIITPQWVKKVVSALLNSSPFPDMDAINERDKWVNENVKVEIYEVKGIRSLNVVVRDILDGGVNCSRRIDRHGKTISDLILCQQVLLPPWLGRSNTLIA >ONI23182 pep chromosome:Prunus_persica_NCBIv2:G2:21991766:21999160:-1 gene:PRUPE_2G173600 transcript:ONI23182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAMISDVHRATGDIAKVPKSTLPLICFRDGSPPLPDVNSSLMDSVLVPHSNGSFKGIEALQSSEQCYPMQKNFTVKLTNEQISPGVWYFGLFNGIGPTRTQSKMINRAPAYSFSANITVEGCTTLTMWGPDCNQTINPLSCALSDSYSPADNSSEAGFYNQTIEYVISCKNNFDTSCHRDGEPKFYSLDVVGVSQELKIVAIDVWLNETSSNKTKNASGINLICFARHGAIPSETVNDYSSNINKSPIVIHFPKVGRWYITILPVNLSKELGGSSDTDMKVCYSMESKLLECPVGKAGANCTREMYNLQTALRKGSGYFESYYLPVSEKVSPDSANFPLDSLLTNSSLHGEPDETWTYFILDIPRGAAGGNIHIRLASDAKINYEVYARFGGLPSLTSWDYYFANKTSSSVGSMFFNLYNSSENKVDFYILYIREGTWGFGLRHLNITSGVSKFQTTMSISLERCPRRCSSHGRCDTSLDVSGLTTYSYCSCDRDHGGFDCSIELVSHHGHVWQSIFLIASNAAAALPAFWALRQKALAEWIIFTSSGIASGIYHACDVGTWCPLGFGVLQFMDFWLSFMAVVSTFVYLGTLDEGLKRAVHTAVAILTALMAYTKATRPANIILVMAIGTVALLIGWLVELSTKCRSFSFSIRFSLNMHERMQAVRGWLKNLFKTIVRRFRWGFVLAGVTALAMAVISWILESSESYWVWHSIWHITIYTSSFFFLCSKASTASTVDTENQTLPNGAYELTRQDSFPRGQ >ONI23181 pep chromosome:Prunus_persica_NCBIv2:G2:21992145:21999621:-1 gene:PRUPE_2G173600 transcript:ONI23181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSILCTSSYLNLRLFVCFICFISRCCSYGELGPYNSFTVSSFSYPPTTVGPSGFRYIRVELPPWFSSMSVAMISDVHRATGDIAKVPKSTLPLICFRDGSPPLPDVNSSLMDSVLVPHSNGSFKGIEALQSSEQCYPMQKNFTVKLTNEQISPGVWYFGLFNGIGPTRTQSKMINRAPAYSFSANITVEGCTTLTMWGPDCNQTINPLSCALSDSYSPADNSSEAGFYNQTIEYVISCKNNFDTSCHRDGEPKFYSLDVVGVSQELKIVAIDVWLNETSSNKTKNASGINLICFARHGAIPSETVNDYSSNINKSPIVIHFPKVGRWYITILPVNLSKELGGSSDTDMKVCYSMESKLLECPVGKAGANCTREMYNLQTALRKGSGYFESYYLPVSEKVSPDSANFPLDSLLTNSSLHGEPDETWTYFILDIPRGAAGGNIHIRLASDAKINYEVYARFGGLPSLTSWDYYFANKTSSSVGSMFFNLYNSSENKVDFYILYIREGTWGFGLRHLNITSGVSKFQTTMSISLERCPRRCSSHGRCDTSLDVSGLTTYSYCSCDRDHGGFDCSIELVSHHGHVWQSIFLIASNAAAALPAFWALRQKALAEWIIFTSSGIASGIYHACDVGTWCPLGFGVLQFMDFWLSFMAVVSTFVYLGTLDEGLKRAVHTAVAILTALMAYTKATRPANIILVMAIGTVALLIGWLVELSTKCRSFSFSIRFSLNMHERMQAVRGWLKNLFKTIVRRFRWGFVLAGVTALAMAVISWILESSESYWVWHSIWHITIYTSSFFFLCSKASTASTVDTENQTLPNGAYELTRQDSFPRGQ >ONI23184 pep chromosome:Prunus_persica_NCBIv2:G2:21993000:21999621:-1 gene:PRUPE_2G173600 transcript:ONI23184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSILCTSSYLNLRLFVCFICFISRCCSYGELGPYNSFTVSSFSYPPTTVGPSGFRYIRVELPPWFSSMSVAMISDVHRATGDIAKVPKSTLPLICFRDGSPPLPDVNSSLMDSVLVPHSNGSFKGIEALQSSEQCYPMQKNFTVKLTNEQISPGVWYFGLFNGIGPTRTQSKMINRAPAYSFSANITVEGCTTLTMWGPDCNQTINPLSCALSDSYSPADNSSEAGFYNQTIEYVISCKNNFDTSCHRDGEPKFYSLDVVGVSQELKIVAIDVWLNETSSNKTKNASGINLICFARHGAIPSETVNDYSSNINKSPIVIHFPKVGRWYITILPVNLSKELGGSSDTDMKVCYSMESKLLECPVGKAGANCTREMYNLQTALRKGSGYFESYYLPVSEKVSPDSANFPLDSLLTNSSLHGEPDETWTYFILDIPRGAAGGNIHIRLASDAKINYEVYARFGGLPSLTSWDYYFANKTSSSVGSMFFNLYNSSENKVDFYILYIREGTWGFGLRHLNITSGVSKFQTTMSISLERCPRRCSSHGRCDTSLDVSGLTTYSYCSCDRDHGGFDCSIELVSHHGHVWQSIFLIASNAAAALPAFWALRQKALAEWIIFTSSGIASGIYHACDVGTWCPLGFGVLQFMDFWLSFMAVVSTFVYLGTLDEGLKRAVHTAVAILTALMAYTKATRPANIILVMAIGTVALLIGWLVELSTKCRSFSFSIRFSLNMHERWVS >ONI23183 pep chromosome:Prunus_persica_NCBIv2:G2:21991766:21999844:-1 gene:PRUPE_2G173600 transcript:ONI23183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSILCTSSYLNLRLFVCFICFISRCCSYGELGPYNSFTVSSFSYPPTTVGPSGFRYIRVELPPWFSSMSVAMISDVHRATGDIAKVPKSTLPLICFRDGSPPLPDVNSSLMDSVLVPHSNGSFKGIEALQSSEQCYPMQKNFTVKLTNEQISPGVWYFGLFNGIGPTRTQSKMINRAPAYSFSANITVEGCTTLTMWGPDCNQTINPLSCALSDSYSPADNSSEAGFYNQTIEYVISCKNNFDTSCHRDGEPKFYSLDVVGVSQELKIVAIDVWLNETSSNKTKNASGINLICFARHGAIPSETVNDYSSNINKSPIVIHFPKVGRWYITILPVNLSKELGGSSDTDMKVCYSMESKLLECPVGKAGANCTREMYNLQTALRKGSGYFESYYLPVSEKVSPDSANFPLDSLLTNSSLHGEPDETWTYFILDIPRGAAGGNIHIRLASDAKINYEVYARFGGLPSLTSWDYYFANKTSSSVGSMFFNLYNSSENKVDFYILYIREGTWGFGLRHLNITSGVSKFQTTMSISLERCPRRCSSHGRCDTSLDVSGLTTYSYCSCDRDHGGFDCSIELVSHHGHVWQSIFLIASNAAAALPAFWALRQKALAEWIIFTSSGIASGIYHACDVGTWCPLGFGVLQFMDFWLSFMAVVSTFVYLGTLDEGLKRAVHTAVAILTALMAYTKATRPANIILVMAIGTVALLIGWLVELSTK >ONI23180 pep chromosome:Prunus_persica_NCBIv2:G2:21992145:21999621:-1 gene:PRUPE_2G173600 transcript:ONI23180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSILCTSSYLNLRLFVCFICFISRCCSYGELGPYNSFTVSSFSYPPTTVGPSGFRYIRVELPPWFSSMSVAMISDVHRATGDIAKVPKSTLPLICFRDGSPPLPDVNSSLMDSVLVPHSNGSFKGIEALQSSEQCYPMQKNFTVKLTNEQISPGVWYFGLFNGIGPTRTQSKMINRAPAYSFSANITVEGCTTLTMWGPDCNQTINPLSCALSDSYSPADNSSEAGFYNQTIEYVISCKNNFDTSCHRDGEPKFYSLDVVGVSQELKIVAIDVWLNETSSNKTKNASGINLICFARHGAIPSETVNDYSSNINKSPIVIHFPKVGRWYITILPVNLSKELGGSSDTDMKVCYSMESKLLECPVGKAGANCTREMYNLQTALRKGSGYFESYYLPVSEKVSPDSANFPLDSLLTNSSLHGEPDETWTYFILDIPRGAAGGNIHIRLASDAKINYEVYARFGGLPSLTSWDYYFANKTSSSVGSMFFNLYNSSENKVDFYILYIREGTWGFGLRHLNITSGVSKFQTTMSISLERCPRRCSSHGRCDTSLDVSGLTTYSYCSCDRDHGGFDCSIELVSHHGHVWQSIFLIASNAAAALPAFWALRQKALAEWIIFTSSGIASGIYHACDVGTWCPLGFGVLQFMDFWLSFMAVVSTFVYLGTLDEGLKRAVHTAVAILTALMAYTKATRPANIILVMAIGTVALLIGWLVELSTKCRMQAVRGWLKNLFKTIVRRFRWGFVLAGVTALAMAVISWILESSESYWVWHSIWHITIYTSSFFFLCSKASTASTVDTENQTLPNGAYELTRQDSFPRGQ >ONI22530 pep chromosome:Prunus_persica_NCBIv2:G2:19267582:19271212:-1 gene:PRUPE_2G135000 transcript:ONI22530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGGNMNMLGHDHNNNSHISSSSRGQNGNNNTYLTNWDIWGGATSTNNNSFYSPAAVTVAATTGASSSATCSEASAGGHAFIMGHPLQQQQSSFYGGGARSHYHPDPHLMCLKLGKRHYFEDHARAHAHALDDRHVAGLPVGVMSKRGRGGAAQQLYGSGATLALKVVPRCQVEGCHVPLMNAKEYHRRHKVCEMHSKASKVTVLGQEQRFCQQCSRFHVVSEFDESKRSCRRRLAGHNERRRKSSHDSLSRTSSQEKGRFGYLSTPTGRALSLLSSRNTGFDSWVSPSDLSSRSSAALRELIAENRAAVLARQLINSSEDRNWDSSSHNSHATEDLFCDHGQSWSNSVEPQQHQMFSDHNYQHGWDRFHEGSGAHLTLDLRQAPSPAYGFLPERGKTKAEEDQECDLWNSFHGASVV >ONI23312 pep chromosome:Prunus_persica_NCBIv2:G2:22462519:22465897:1 gene:PRUPE_2G181900 transcript:ONI23312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGETSWFSHSLDDMAREIGEFDSFSELSDEGNKEATAVSVDLILPDDLLERILAYLPVASIFRARCVCKRWYEIVSSKRFLWNFSRVLSQKPWYFMFTSSNEPTGYAYDPILRKWYGIELPCIETSNWFIASSCGLVCFMDNDSRTELYVCNPITKTRRKLRDPPGLRFSDYSALAVSVNRKSHGYTISIVKSKQVTGNFFQWDVSVHIYDSETMMWVTTLTEVLTGWRGGDESVICDGVLYFLIYSTGGGSPENRHGLITYNLSSCSPPGLLIRSFIPVPCPLTCGRLMNLKEKLVMVGGIGKQDRPDIIKGIGIWVLNGKAWQEIARMPHKFFQGFGEFDDVFASSGTDDLIYIQSYGAPALLVFDMSQRHWRWSQKCPVTKRFPLQLFTGFCFEPRLEISP >ONI23311 pep chromosome:Prunus_persica_NCBIv2:G2:22462598:22465844:1 gene:PRUPE_2G181900 transcript:ONI23311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREVFFFLITSPSGFFCLLFLIMEGETSWFSHSLDDMAREIGEFDSFSELSDEGNKEATAVSVDLILPDDLLERILAYLPVASIFRARCVCKRWYEIVSSKRFLWNFSRVLSQKPWYFMFTSSNEPTGYAYDPILRKWYGIELPCIETSNWFIASSCGLVCFMDNDSRTELYVCNPITKTRRKLRDPPGLRFSDYSALAVSVNRKSHGYTISIVKSKQVTGNFFQWDVSVHIYDSETMMWVTTLTEVLTGWRGGDESVICDGVLYFLIYSTGGGSPENRHGLITYNLSSCSPPGLLIRSFIPVPCPLTCGRLMNLKEKLVMVGGIGKQDRPDIIKGIGIWVLNGKAWQEIARMPHKFFQGFGEFDDVFASSGTDDLIYIQSYGAPALLVFDMSQRHWRWSQKCPVTKRFPLQLFTGFCFEPRLEISP >ONI21844 pep chromosome:Prunus_persica_NCBIv2:G2:14717406:14719686:1 gene:PRUPE_2G093100 transcript:ONI21844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRMSLGMFESSVKVIVLTEFDSPCMYGVNWAARAVWKKYGEWRVERLAARAINYDNFTIRVVDPSIRRTTPLISPPSPVIRFPFTTYLRLADIRSIFPNKIYIWGSISGCSSNLQTAKNAMYSNIEDFLQSDNNLIPIRYSYSDTKKMISRFNEKLGEGGYGSVFKGNLRSGRFVAVKMLEKPKANGQDFISEVATIGRIYDFNMVQLVGYCVEGSKRALIYNFMSNGSLDKYIYCKEGSNSLSCRKMYEISLGVAQGIEYLHRGLRDRISTLRFLLEWLLDSNFLFPKL >ONI22315 pep chromosome:Prunus_persica_NCBIv2:G2:17832432:17837030:1 gene:PRUPE_2G121000 transcript:ONI22315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRAADPQTSSVSSTFGYCRYHVFLSFRGQDTRKTFTDHLYTALVNAGFRTFRDYDEVERGEGIKPELQKAIKHSRTSVIVFSKDYASSRWCLDELVMILERKRKTSDDHVVLPVFYDVYPSHVKKQTGSLAKAFAGHQKTQPLPKVKAWREALAEVADLAGMVLQNQAHGYESKFIQKIVKVIGDKLSRTPLSVAPNLVGMHSQVERINFWLQRRSTDVGILVIYGMSGIGKTTIAKTVYNSNFRIFEGSSFLENIKEVSQQPNGLVQIQTLLLSDILNGRKMKISNVSEGLIKIADAIISTRVLLVLDDVDHTDQLDAVFQMKDQFYPGSKIIITTRRARLLKAHQVTEVYAVETLTKEESLELFSWHAFGQDHPIEDYIEYSEKLVNHCGGLPLALKVLGSSLLGESVCLWKSALAKLEVIPNGEIINKLRVSYDSLQDDHDQKLFLHIACFFIGMDKDYIAKILDGCDFYTIVGIQNLIDRCLVIIDGWDKVRMHDLIRGMGREIVRLESKEPWKRSRVWHHKDSFKILTEKNDTETIEGLVLDMHMCPTINSNEKVLETNAFSRMQELKLLHLSHVKLRGCYAKFCSGLRWLCWLEFPLDSIPVDFPLGSIIVLEMQYSGLRQVFKGTKYLPSLKILDLSHSHSLTETIEFSYCPNLEKLVLVDCTSLIYVHGSIGNLERLIYLNMKDCKKIRLLPKNICMLKSLETFIISGCSNLKELSIEMLRNMVSLKVLETDGILISELWLERSLSILCSLPCSLVELSLWGCNLSNDAFPMDFSNMSSLQRLNLGNNPICSLPNCIKGLARLDKLSFSMCTSLKSLLGLPKVKNLDIVDCISLEKITFQSRHVETATSFNRNSLVEWQYKFKLLDSVDVERIDIFGLCNFLESMAPILQKDDPIPVQGLYECRIFSTFFGGNEVPGQFSHKSRGSSISFTVPLLDNHRTRGLIFFVVYSNAGYDSPIIQHNCLPHIRVKNKSKGLRGAYEPSHYGIPGEGEDMIWLSHWSLEDDQLQGGDEVVVSVIMKSGLLVKELGIRLVQVQQEENHNMMSISTDSSYDPISFSMILGDSDEEEEVFSRFVCLPDEEEEQQDDITVTTTTGSNNSGVLHGWKVLVTAACFFLTLSLITRSSLSGRKKGPSTSPG >ONI22316 pep chromosome:Prunus_persica_NCBIv2:G2:17833351:17836766:1 gene:PRUPE_2G121000 transcript:ONI22316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACLNSLSFLLQFFRYESKFIQKIVKVIGDKLSRTPLSVAPNLVGMHSQVERINFWLQRRSTDVGILVIYGMSGIGKTTIAKTVYNSNFRIFEGSSFLENIKEVSQQPNGLVQIQTLLLSDILNGRKMKISNVSEGLIKIADAIISTRVLLVLDDVDHTDQLDAVFQMKDQFYPGSKIIITTRRARLLKAHQVTEVYAVETLTKEESLELFSWHAFGQDHPIEDYIEYSEKLVNHCGGLPLALKVLGSSLLGESVCLWKSALAKLEVIPNGEIINKLRVSYDSLQDDHDQKLFLHIACFFIGMDKDYIAKILDGCDFYTIVGIQNLIDRCLVIIDGWDKVRMHDLIRGMGREIVRLESKEPWKRSRVWHHKDSFKILTEKNDTETIEGLVLDMHMCPTINSNEKVLETNAFSRMQELKLLHLSHVKLRGCYAKFCSGLRWLCWLEFPLDSIPVDFPLGSIIVLEMQYSGLRQVFKGTKYLPSLKILDLSHSHSLTETIEFSYCPNLEKLVLVDCTSLIYVHGSIGNLERLIYLNMKDCKKIRLLPKNICMLKSLETFIISGCSNLKELSIEMLRNMVSLKVLETDGILISELWLERSLSILCSLPCSLVELSLWGCNLSNDAFPMDFSNMSSLQRLNLGNNPICSLPNCIKGLARLDKLSFSMCTSLKSLLGLPKVKNLDIVDCISLEKITFQSRHVETATSFNRNSLVEWQYKFKLLDSVDVERIDIFGLCNFLESMAPILQKDDPIPVQGLYECRIFSTFFGGNEVPGQFSHKSRGSSISFTVPLLDNHRTRGLIFFVVYSNAGYDSPIIQHNCLPHIRVKNKSKGLRGAYEPSHYGIPGEGEDMIWLSHWSLEDDQLQGGDEVVVSVIMKSGLLVKELGIRLVQVQQEENHNMMSISTDSSYDPISFSMILGDSDEEEEVFSRFVCLPDEEEEQQDDITVTTTTGSNNSGVLHGWKVLVTAACFFLTLSLITRSSLSGRKKGPSTSPG >ONI25532 pep chromosome:Prunus_persica_NCBIv2:G2:29262816:29266938:1 gene:PRUPE_2G308500 transcript:ONI25532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNEVINTLLDRARIEPGFTSLVHSSSMGYPIMQQPPIPSNRHHQISNMGTTSSCHVVNGIPAQGHFHHMPLNCGNESLADAPSIIPASGIKTEMLSSPVSVASNGQFPFTPSEISGLGVDTSVLDSAFTSHLANLERLGIGPDGGTRWPKETLRSSGQSTWNFGLFDSTAEWPNLQDLGALGNYSGSPFLPPESDVVLDSPEQNDMVEEFFADAGSGQGSQSE >ONI25526 pep chromosome:Prunus_persica_NCBIv2:G2:29262394:29266941:1 gene:PRUPE_2G308500 transcript:ONI25526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVQDPQKSTEKQFSKKISNDPQSDQQNSITEVPSNKSRKITREDIEVVQNLIERCLQLYMNRNEVINTLLDRARIEPGFTSLVLQKLEEENAEFFKAYYIRLKLKNQIVLYNHLLEQQYHLMKDQVPPEVPLPRMQNGMHYMPVHSSSMGYPIMQQPPIPSNRHHQISNMGTTSSCHVVNGIPAQGHFHHMPLNCGNESLADAPSIIPASGIKTEMLSSPVSVASNGQFPFTPSEISGLGVDTSVLDSAFTSHLANLERLGIGPDGGTRWPKETLRSSGQSTWNFGLFDSTAEWPNLQDLGALGNYSGSPFLPPESDVVLDSPEQNDMVEEFFADAGSGQGSQSE >ONI25524 pep chromosome:Prunus_persica_NCBIv2:G2:29262321:29267021:1 gene:PRUPE_2G308500 transcript:ONI25524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVQDPQKSTEKQFSKKISNDPQSDQQNSITEVPSNKSRKITREDIEVVQNLIERCLQLYMNRNEVINTLLDRARIEPGFTSLVLQKLEEENAEFFKAYYIRLKLKNQIVLYNHLLEQQYHLMKDQVPPEVPLPRMQNGMHYMPVHSSSMGYPIMQQPPIPSNRHHQISNMGTTSSCHVVNGIPAQGHFHHMPLNCGNESLADAPSIIPASGIKTEMLSSPVSVASNGQFPFTPSEISGLGVDTSVLDSAFTSHLANLERLGIGPDGGTRWPKETLRSSGQSTWNFGLFDSTAEWPNLQDLGALGNYSGSPFLPPESDVVLDSPEQNDMVEEFFADAGSGQGSQSE >ONI25525 pep chromosome:Prunus_persica_NCBIv2:G2:29262321:29267021:1 gene:PRUPE_2G308500 transcript:ONI25525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVQDPQKSTEKQFSKKISNDPQSDQQNSITEVPSNKSRKITREDIEVVQNLIERCLQLYMNRNEVINTLLDRARIEPGFTSLVLQKLEEENAEFFKAYYIRLKLKNQIVLYNHLLEQQYHLMKDQVPPEVPLPRMQNGMHYMPVHSSSMGYPIMQQPPIPSNRHHQISNMGTTSSCHVVNGIPAQGHFHHMPLNCGNESLADAPSIIPASGIKTEMLSSPVSVASNGQFPFTPSEISGLGVDTSVLDSAFTSHLANLERLGIGPDGGTRWPKETLRSSGQSTWNFGLFDSTAEWPNLQDLGALGNYSGSPFLPPESDVVLDSPEQNDMVEEFFADAGSGQGSQSE >ONI25529 pep chromosome:Prunus_persica_NCBIv2:G2:29262622:29266938:1 gene:PRUPE_2G308500 transcript:ONI25529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNEVINTLLDRARIEPGFTSLVLQKLEEENAEFFKAYYIRLKLKNQIVLYNHLLEQQYHLMKDQVPPEVPLPRMQNGMHYMPVHSSSMGYPIMQQPPIPSNRHHQISNMGTTSSCHVVNGIPAQGHFHHMPLNCGNESLADAPSIIPASGIKTEMLSSPVSVASNGQFPFTPSEISGLGVDTSVLDSAFTSHLANLERLGIGPDGGTRWPKETLRSSGQSTWNFGLFDSTAEWPNLQDLGALGNYSGSPFLPPESDVVLDSPEQNDMVEEFFADAGSGQGSQSE >ONI25527 pep chromosome:Prunus_persica_NCBIv2:G2:29262394:29266938:1 gene:PRUPE_2G308500 transcript:ONI25527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVQDPQKSTEKQFSKKISNDPQSDQQNSITEVPSNKSRKITREDIEVVQNLIERCLQLYMNRNEVINTLLDRARIEPGFTSLVLQKLEEENAEFFKAYYIRLKLKNQIVLYNHLLEQQYHLMKDQVPPEVPLPRMQNGMHYMPVHSSSMGYPIMQQPPIPSNRHHQISNMGTTSSCHVVNGIPAQGHFHHMPLNCGNESLADAPSIIPASGIKTEMLSSPVSVASNGQFPFTPSEISGLGVDTSVLDSAFTSHLANLERLGIGPDGGTRWPKETLRSSGQSTWNFGLFDSTAEWPNLQDLGALGNYSGSPFLPPESDVVLDSPEQNDMVEEFFADAGSGQGSQSE >ONI25533 pep chromosome:Prunus_persica_NCBIv2:G2:29264638:29266938:1 gene:PRUPE_2G308500 transcript:ONI25533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVLQKLEEENAEFFKAYYIRLKLKNQIVLYNHLLEQQYHLMKDQVPPEVPLPRMQNGMHYMPVHSSSMGYPIMQQPPIPSNRHHQISNMGTTSSCHVVNGIPAQGHFHHMPLNCGNESLADAPSIIPASGIKTEMLSSPVSVASNGQFPFTPSEISGLGVDTSVLDSAFTSHLANLERLGIGPDGGTRWPKETLRSSGQSTWNFGLFDSTAEWPNLQDLGALGNYSGSPFLPPESDVVLDSPEQNDMVEEFFADAGSGQGSQSE >ONI25530 pep chromosome:Prunus_persica_NCBIv2:G2:29262816:29266938:1 gene:PRUPE_2G308500 transcript:ONI25530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNEVINTLLDRARIEPGFTSLVLQKLEEENAEFFKAYYIRLKLKNQIVLYNHLLEQQYHLMKDQVPPEVPLPRMQNGMHYMPVHSSSMGYPIMQQPPIPSNRHHQISNMGTTSSCHVVNGIPAQGHFHHMPLNCGNESLADAPSIIPASGIKTEMLSSPVSVASNGQFPFTPSEISGLGVDTSVLDSAFTSHLANLERLGIGPDGGTRWPKETLRSSGQSTWNFGLFDSTAEWPNLQDLGALGNYSGSPFLPPESDVVLDSPEQNDMVEEFFADAGSGQGSQSE >ONI25528 pep chromosome:Prunus_persica_NCBIv2:G2:29262394:29266941:1 gene:PRUPE_2G308500 transcript:ONI25528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVQDPQKSTEKQFSKKISNDPQSDQQNSITEVPSNKSRKITREDIEVVQNLIERCLQLYMNRNEVINTLLDRARIEPGFTSLVHSSSMGYPIMQQPPIPSNRHHQISNMGTTSSCHVVNGIPAQGHFHHMPLNCGNESLADAPSIIPASGIKTEMLSSPVSVASNGQFPFTPSEISGLGVDTSVLDSAFTSHLANLERLGIGPDGGTRWPKETLRSSGQSTWNFGLFDSTAEWPNLQDLGALGNYSGSPFLPPESDVVLDSPEQNDMVEEFFADAGSGQGSQSE >ONI25534 pep chromosome:Prunus_persica_NCBIv2:G2:29264766:29266938:1 gene:PRUPE_2G308500 transcript:ONI25534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQVPPEVPLPRMQNGMHYMPVHSSSMGYPIMQQPPIPSNRHHQISNMGTTSSCHVVNGIPAQGHFHHMPLNCGNESLADAPSIIPASGIKTEMLSSPVSVASNGQFPFTPSEISGLGVDTSVLDSAFTSHLANLERLGIGPDGGTRWPKETLRSSGQSTWNFGLFDSTAEWPNLQDLGALGNYSGSPFLPPESDVVLDSPEQNDMVEEFFADAGSGQGSQSE >ONI25531 pep chromosome:Prunus_persica_NCBIv2:G2:29262394:29266938:1 gene:PRUPE_2G308500 transcript:ONI25531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNEVINTLLDRARIEPGFTSLVHSSSMGYPIMQQPPIPSNRHHQISNMGTTSSCHVVNGIPAQGHFHHMPLNCGNESLADAPSIIPASGIKTEMLSSPVSVASNGQFPFTPSEISGLGVDTSVLDSAFTSHLANLERLGIGPDGGTRWPKETLRSSGQSTWNFGLFDSTAEWPNLQDLGALGNYSGSPFLPPESDVVLDSPEQNDMVEEFFADAGSGQGSQSE >ONI22963 pep chromosome:Prunus_persica_NCBIv2:G2:21253935:21256098:1 gene:PRUPE_2G160800 transcript:ONI22963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGIGLETVRQLASNGFTVVLTARDEKRGLEAVEKLKESGLSRQVVFHQLDVANPATVASLAEFIKTQFGKLDILERGEVDWSKLKIETYELAEECLQINYYGAKKTAEALIPLLQLSDSPRIVNVSSSLGKLKNIPSDWAKGVFTDADNLTEEGVVEVLTELLKAFKEGSLESKGWPSSLSAYIVSKAALNAYTRILAKKYPDIRINSVCPGFVKTDLNSNAGVLPVEEGGARVVSIALLPNDGPSGSFFVQYEVSDL >ONI22962 pep chromosome:Prunus_persica_NCBIv2:G2:21253884:21256148:1 gene:PRUPE_2G160800 transcript:ONI22962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGIGLETVRQLASNGFTVVLTARDEKRGLEAVEKLKESGLSRQVVFHQLDVANPATVASLAEFIKTQFGKLDILVNNAGISGRQVDGDALKAVVDSGAMERGEVDWSKLKIETYELAEECLQINYYGAKKTAEALIPLLQLSDSPRIVNVSSSLGKLKNIPSDWAKGVFTDADNLTEEGVVEVLTELLKAFKEGSLESKGWPSSLSAYIVSKAALNAYTRILAKKYPDIRINSVCPGFVKTDLNSNAGVLPVEEGGARVVSIALLPNDGPSGSFFVQYEVSDL >ONI21672 pep chromosome:Prunus_persica_NCBIv2:G2:12206857:12212576:1 gene:PRUPE_2G079900 transcript:ONI21672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWMESVLIEVRPLFHLLFPLCVHWIAEEMTVSVLVDVTAAALCPSQSTCSQAIYINGVQQTVVGLFKMVVLPLLGQLADERGRKPLLLLTVSTTIFPFVLLAWNQSKEFVYAYYVIRTVSYILSQGSIFCIAVAYVADVVNENKRAAVFSWITGLFSASHVLGNVLARFLPEKYIFAVSIALLIFCPVYMQMFLTETINKRAPKSDQGLSCLTKIVTIIRKRYASMRDAATIVVSSPTLRSISLVSFFYDLGMSGISSVLLYYLKAAFGFDKNQFSEILMMVGIGSIFSQILVLPLANPLVGEKVILSSALLASIAYALLYGLAWAPWVPYLSASFGVIYILVKPSTFAIISRATCSTNQGKVQGFIAGVQSISSLLSPVAMSPLTSWFLSSNAPFNCKGFSIICASICMMVAFCIACKLKLVERSSEDIETPLLTDS >ONI22483 pep chromosome:Prunus_persica_NCBIv2:G2:19056330:19072054:1 gene:PRUPE_2G132400 transcript:ONI22483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILALPLVISFLKPHTSRLFLLRPGKPNPTHLCNPLGYCRRFTKTSVSAISTSAAPQHDSSTNPISVPQKASVLTFQQAIQRLQEYWASVGCAIMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSVRPDDSRYGENPNRLQKHTQFQVILKPDPGNSQDLFIRSLSALGIDVCAHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLHLAPVSVEITYGLERILMLLQGVDHFKKIQYADGITYGELFLENEKEMSAYYLENAGVHHVQKHFDLFEEEARSLLSSGLAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTRESLGYPLGVVSETVSLVCPEELVEAAVKKVHDDSRLFVLEIGTEELPPQDVVDASQQLKDLMVQLLAKQRLSHGDVQAFGTPRRLVVSVENLCTKQMENEVEVRGPPVSKSFDDHGNPTKAAEGFCRRYSAQLNSLYRKSDGKTEYVYARVIESARFALEVLSEDLPNAIAKISFPKSMRWNSQVMFSRPIRWILALHGDVVVPFTFAEVLSGNLSYGLRNTSSATVVVESAESYAGAMRNAGINIEMEERKKTVLEGSIALARSVNGQVFIQEGLLNEVVNLVEAPVPVLGEFKRSFLELPSDLLTMVMQKHQKYIAVRDENGSLLPYFIAVANGAIDETVVKKGNEAVLRARYEDAKFFYEMDTRKQFSEFRSQLKGILFHEKLGTMLDKVLRVQNMVNKLSLALGMDDNTNKTVQSAASLAMADLATAVVTEFTSLSGVMARHYALRDGYSEQVAEALFEITLPRFSGDILPKTDAGIVLSIADRLDSLVGLFSAGCQPSSANDPFGLRRISYGLVQVLVEQDKHLDLRQALELAADVQPLKVDPSTVNDAHQFVTRRLEQYLVDKGISSEVVRSVLAERANLPCLAARSACKMEALSKSSLFPKVVEAYSRPTRIVRGKDVDPHIEVDEAAFETDEEKALWSSFLSVRNKICHGIEIDEFVEISSQLLQPLEDFFNHVFVMVEEERVRKNRLALLKKISDLPSGIADLSILPGF >ONI22484 pep chromosome:Prunus_persica_NCBIv2:G2:19057217:19071691:1 gene:PRUPE_2G132400 transcript:ONI22484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKYAYVEPSVRPDDSRYGENPNRLQKHTQFQVILKPDPGNSQDLFIRSLSALGIDVCAHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLHLAPVSVEITYGLERILMLLQGVDHFKKIQYADGITYGELFLENEKEMSAYYLENAGVHHVQKHFDLFEEEARSLLSSGLAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTRESLGYPLGVVSETVSLVCPEELVEAAVKKVHDDSRLFVLEIGTEELPPQDVVDASQQLKDLMVQLLAKQRLSHGDVQAFGTPRRLVVSVENLCTKQMENEVEVRGPPVSKSFDDHGNPTKAAEGFCRRYSAQLNSLYRKSDGKTEYVYARVIESARFALEVLSEDLPNAIAKISFPKSMRWNSQVMFSRPIRWILALHGDVVVPFTFAEVLSGNLSYGLRNTSSATVVVESAESYAGAMRNAGINIEMEERKKTVLEGSIALARSVNGQVFIQEGLLNEVVNLVEAPVPVLGEFKRSFLELPSDLLTMVMQKHQKYIAVRDENGSLLPYFIAVANGAIDETVVKKGNEAVLRARYEDAKFFYEMDTRKQFSEFRSQLKGILFHEKLGTMLDKVLRVQNMVNKLSLALGMDDNTNKTVQSAASLAMADLATAVVTEFTSLSGVMARHYALRDGYSEQVAEALFEITLPRFSGDILPKTDAGIVLSIADRLDSLVGLFSAGCQPSSANDPFGLRRISYGLVQVLVEQDKHLDLRQALELAADVQPLKVDPSTVNDAHQFVTRRLEQYLVDKGISSEVVRSVLAERANLPCLAARSACKMEALSKSSLFPKVVEAYSRPTRIVRGKDVDPHIEVDEAAFETDEEKALWSSFLSVRNKICHGIEIDEFVEISSQLLQPLEDFFNHVFVMVEEERVRKNRLALLKKISDLPSGIADLSILPGF >ONI22485 pep chromosome:Prunus_persica_NCBIv2:G2:19056331:19072054:1 gene:PRUPE_2G132400 transcript:ONI22485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMEITQFTYFQQAGSLHLAPVSVEITYGLERILMLLQGVDHFKKIQYADGITYGELFLENEKEMSAYYLENAGVHHVQKHFDLFEEEARSLLSSGLAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTRESLGYPLGVVSETVSLVCPEELVEAAVKKVHDDSRLFVLEIGTEELPPQDVVDASQQLKDLMVQLLAKQRLSHGDVQAFGTPRRLVVSVENLCTKQMENEVEVRGPPVSKSFDDHGNPTKAAEGFCRRYSAQLNSLYRKSDGKTEYVYARVIESARFALEVLSEDLPNAIAKISFPKSMRWNSQVMFSRPIRWILALHGDVVVPFTFAEVLSGNLSYGLRNTSSATVVVESAESYAGAMRNAGINIEMEERKKTVLEGSIALARSVNGQVFIQEGLLNEVVNLVEAPVPVLGEFKRSFLELPSDLLTMVMQKHQKYIAVRDENGSLLPYFIAVANGAIDETVVKKGNEAVLRARYEDAKFFYEMDTRKQFSEFRSQLKGILFHEKLGTMLDKVLRVQNMVNKLSLALGMDDNTNKTVQSAASLAMADLATAVVTEFTSLSGVMARHYALRDGYSEQVAEALFEITLPRFSGDILPKTDAGIVLSIADRLDSLVGLFSAGCQPSSANDPFGLRRISYGLVQVLVEQDKHLDLRQALELAADVQPLKVDPSTVNDAHQFVTRRLEQYLVDKGISSEVVRSVLAERANLPCLAARSACKMEALSKSSLFPKVVEAYSRPTRIVRGKDVDPHIEVDEAAFETDEEKALWSSFLSVRNKICHGIEIDEFVEISSQLLQPLEDFFNHVFVMVEEERVRKNRLALLKKISDLPSGIADLSILPGF >ONI25853 pep chromosome:Prunus_persica_NCBIv2:G2:29968098:29970471:1 gene:PRUPE_2G323600 transcript:ONI25853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVLLVCCAVGLLGLLSAATGFGAEVTRIKVRFVSVTQCEYPRSPALGLGVTAAVALMLAQIILNVSTGCICCKRSPQPSNSNWTVALFCFVVSWFTFVIAFLLLLTGATLNDRHGVESMYFGNYYCYVVKPGVFGGGAGLSLASVVLGIVYYVTLNSVKDSNSPWGTSAPPNPGAIAMGQPQFPPPSTTQEPVFVHEDTYMRRQFT >ONI25852 pep chromosome:Prunus_persica_NCBIv2:G2:29967914:29970588:1 gene:PRUPE_2G323600 transcript:ONI25852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVLLVCCAVGLLGLLSAATGFGAEVTRIKGSQVRFVSVTQCEYPRSPALGLGVTAAVALMLAQIILNVSTGCICCKRSPQPSNSNWTVALFCFVVSWFTFVIAFLLLLTGATLNDRHGVESMYFGNYYCYVVKPGVFGGGAGLSLASVVLGIVYYVTLNSVKDSNSPWGTSAPPNPGAIAMGQPQFPPPSTTQEPVFVHEDTYMRRQFT >ONI21374 pep chromosome:Prunus_persica_NCBIv2:G2:7904716:7911183:1 gene:PRUPE_2G061800 transcript:ONI21374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKRPLLIPSPRTPNTQELPTLPVFSDFVNPTSGHSGSFSGMDSKNPAENSLNIEPAFNSSSQRSISSIHSRASGTNSVREVSFGDVGSKPVRYGSRGADSEAFSMSQKEMNEEDVRNIYIDDLGKTHERFEFSGNSIRTAKYSIITFLPRNLFEQFHRVAYIYFLVIAVLNQLPQLAVFGRGVSILPLAFVLLVTAVKDAYEDYRRHRSDRIENNRLASVLVNNQFQLKKWKDIRVGEIIKIEAGEAIPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETLSRLPEKEKITGLIKCENPNRNIYGFHGFMEIDGKRLSLGPSNIVLRGCELKNTRWVLGVAVYAGRETKVMLNSSGAPSKRSRLETRMNLEIIILSGFLVALCTVVSLCAAVWLRRHNDKLDDILFYRKKDYSEGKVDNYKYYGWGLEIVFTFLMSVIVFQVMIPISLYISMELVRVGQAYFMIRDTQMYDEASNARFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYNDATANSGKDQVGYSVQVDGKILRPKMKVKADPQLLQLLRSGVDTNEGKHVHEFFLALAACNTIVPLVMDTLDPNVKLVDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDIQGERQRFNVLGLHEFDSDRKRMSVILGCPDKTFKVFVKGADTTMFSVIDRRLNLDIIRATEAHIHAYSSLGLRTLVVGMRELSASEFKQWHSSFEAASTALIGRAALLRKVAGNIENNLIILGASGIEDKLQQGVPEAIESLRTAGIQVWVLTGDKQETAISIGYSSKLLTRKMTQIIINSSSKDSCRRSLEDAVLMSKKLTMFSGDTHTARGSSGDGVTPVALIIDGTSLVYILDSELEEKLFDLASNCSVVLCCRVAPLQKAGIIALVKNRTADMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLILFWYVLFTSFTLTTAITEWSSMLFSIIYTAVPTIVVGILDKDLSRRTLLTYPQLYGAGQRQECYNSKLFWLTMVDTLWQSLAVFFIPLFAYWGSTIDTSSIGDLWTLSVVILVNLHLAMDVIRWTWITHAAIWGSIIATWICVIVIDALPSLVGYWAVFEVAKTASFWLCLLAITIAAIAPRFVVKFLYQYYRPCDVQIAREAERFGNQSALSPVQIEMNAILDPPRR >ONI24774 pep chromosome:Prunus_persica_NCBIv2:G2:27079522:27084071:-1 gene:PRUPE_2G261900 transcript:ONI24774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRIDAILSTFVVVHPHETSALLHSSSCFFFILCAYFVVLPLRDEGAISLGLSSLPGLFVGSLVLTSIAAPVATLIFSLPNVSKGKALVLMHRFFSVSLVVFFFLWHFSSAGAQQEVKGLVTMSSNSVNGQNVDVNQATTAYSIGWENLGWFYVSVRIGLFLWIALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMARLGPFLLLFSALLMEFAAQSSKGITLDVSHVHVPEELSPIREADTDRKNEPDGQTIRNRGNSPKSPTLVVKPQIWAILDGFWFILASSYLLYVSLFLWLNAVISSFFYFQKVNVIAMTVTSSLGRRKLLAQINSFIAVFILAGQLTITGRFLTVVGVTTAICATPFVAFLNLVAIAVWPTWVAVAVSETLRKFVTYVVTRPGRELLFTVVSQDEKYKAKVCLLWIVIAFHLGCRQAELAKLRTRSTS >ONI24773 pep chromosome:Prunus_persica_NCBIv2:G2:27079522:27084071:-1 gene:PRUPE_2G261900 transcript:ONI24773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRIDAILSTFVVVHPHETSALLHSSSCFFFILCAYFVVLPLRDEGAISLGLSSLPGLFVGSLVLTSIAAPVATLIFSLPNVSKGKALVLMHRFFSVSLVVFFFLWHFSSAGAQQEGLVTMSSNSVNGQNVDVNQATTAYSIGWENLGWFYVSVRIGLFLWIALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMARLGPFLLLFSALLMEFAAQSSKGITLDVSHVHVPEELSPIREADTDRKNEPDGQTIRNRGNSPKSPTLVVKPQIWAILDGFWFILASSYLLYVSLFLWLNAVISSFFYFQKVNVIAMTVTSSLGRRKLLAQINSFIAVFILAGQLTITGRFLTVVGVTTAICATPFVAFLNLVAIAVWPTWVAVAVSETLRKFVTYVVTRPGRELLFTVVSQDEKYKAKVCIDVFVQRLGDATAAGMYKLLFSTVDGRASTVSLYGLPVCLLWIVIAFHLGCRQAELAKLRTRSTS >ONI24777 pep chromosome:Prunus_persica_NCBIv2:G2:27079749:27083499:-1 gene:PRUPE_2G261900 transcript:ONI24777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKARFFSVSLVVFFFLWHFSSAGAQQEVKGLVTMSSNSVNGQNVDVNQATTAYSIGWENLGWFYVSVRIGLFLWIALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMARLGPFLLLFSALLMEFAAQSSKGITLDVSHVHVPEELSPIREADTDRKNEPDGQTIRNRGNSPKSPTLVVKPQIWAILDGFWFILASSYLLYVSLFLWLNAVISSFFYFQKVNVIAMTVTSSLGRRKLLAQINSFIAVFILAGQLTITGRFLTVVGVTTAICATPFVAFLNLVAIAVWPTWVAVAVSETLRKFVTYVVTRPGRELLFTVVSQDEKYKAKVCIDVFVQRLGDATAAGMYKLLFSTVDGRASTVSLYGLPVCLLWIVIAFHLGCRQAELAKLRTRSTS >ONI24775 pep chromosome:Prunus_persica_NCBIv2:G2:27079749:27083872:-1 gene:PRUPE_2G261900 transcript:ONI24775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRIDAILSTFVVVHPHETSALLHSSSCFFFILCAYFVVLPLRDEGAISLGLSSLPGLFVGSLVLTSIAAPVATLIFSLPNVSKGKALVLMHRFFSVSLVVFFFLWHFSSAGAQQEVKGLVTMSSNSVNGQNVDVNQATTAYSIGWENLGWFYVSVRIGLFLWIALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMARLGPFLLLFSALLMEFAAQSSKGITLDVSHVHVPEELSPIREADTDRKNEPDGQTIRNRGNSPKSPTLVVKPQIWAILDGFWFILASSYLLYVSLFLWLNAVISSFFYFQKVNVIAMTVTSSLGRRKLLAQINSFIAVFILAGQLTITGRFLTVVGVTTAICATPFVAFLNLVAIAVWPTWVAVAVSETLRKFVTYVVTRPGRELLFTVVSQDEKYKAKVCIDVFVQRLGDATAAGMYKLLFSTVDGRASTVSLYGLPVCLLWIVIAFHLGCRQAELAKLRTRSTS >ONI24776 pep chromosome:Prunus_persica_NCBIv2:G2:27079522:27084071:-1 gene:PRUPE_2G261900 transcript:ONI24776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKARFFSVSLVVFFFLWHFSSAGAQQEVKGLVTMSSNSVNGQNVDVNQATTAYSIGWENLGWFYVSVRIGLFLWIALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMARLGPFLLLFSALLMEFAAQSSKGITLDVSHVHVPEELSPIREADTDRKNEPDGQTIRNRGNSPKSPTLVVKPQIWAILDGFWFILASSYLLYVSLFLWLNAVISSFFYFQKVNVIAMTVTSSLGRRKLLAQINSFIAVFILAGQLTITGRFLTVVGVTTAICATPFVAFLNLVAIAVWPTWVAVAVSETLRKFVTYVVTRPGRELLFTVVSQDEKYKAKVCLLWIVIAFHLGCRQAELAKLRTRSTS >ONI23641 pep chromosome:Prunus_persica_NCBIv2:G2:23727771:23731774:-1 gene:PRUPE_2G200300 transcript:ONI23641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSSREDLAIKARKPYTITKQRERWTHEEHNKFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAHVNGVPIGQSIGIDIPPPRPKRKPSNPYPRKSSSAASTCATLHVGAKVGKLLSSASSSRYKQVVDLEKEPLHERPFGEEKANNAENQVALGNACTLRDATNESHVTIKLKGNQNLKKIDAKMIVGDNGTSGAPKSGNTNNAFHKELVQVPSMDTDQAPPAKRERGESSLQIPSLQDQQLDSKHTEAVEAQHSNIAKANTDDKKDVASTGEVHDSNPAKSRKQVDRSSYGSNTPSDSKDETAAIEKNEKGKEEQKEPNTSHPAAESSHRRSRCVSNVYDSWKEVSEEGRLAFHALFSRDVLPQSFSLTQDLKIKGHQNDGMEDSKKS >ONI23644 pep chromosome:Prunus_persica_NCBIv2:G2:23727771:23731768:-1 gene:PRUPE_2G200300 transcript:ONI23644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSSREDLAIKARKPYTITKQRERWTHEEHNKFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAHVNGVPIGQSIGIDIPPPRPKRKPSNPYPRKSSSAASTCATLHVGAKVGKLLSSASSSRYKQVVDLEKEPLHERPFGEEKANNAENQVALGNACTLRDATNESHVTIKLKGNQNLKKIDAKMIVGDNGTSGAPKSGNTNNAFHKELVQGEKFSSLIVSSLLQNPAAHAAASSTAAFWPYANAKNREDPPSMAAIAAATVTAATAWLAVHRLLPCQIDFSCSPISMTPPVPSMDTDQAPPAKRERGESSLQIPSLQDQQLDSKHTEAVEAQHSNIAKANTDDKKDVASTGEVHDSNPAKSRKQVDRSSYGSNTPSDSKDETAAIEKNEKGKEEQKEPNTSHPAAESSHRRSRCVSNVYDSWKEVSEEGRLAFHALFSRDVLPQSFSLTQDLKIKGHQNDGMEDSKKS >ONI23646 pep chromosome:Prunus_persica_NCBIv2:G2:23728299:23731699:-1 gene:PRUPE_2G200300 transcript:ONI23646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSSREDLAIKARKPYTITKQRERWTHEEHNKFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAHVNGVPIGQSIGIDIPPPRPKRKPSNPYPRKSSSAASTCATLHVGAKVGKLLSSASSSRYKQVVDLEKEPLHERPFGEEKANNAENQVANCTEVFTLLQDDHCSVSSANNNSIPSQVALGNACTLRDATNESHVTIKLKGNQNLKKIDAKMIVGDNGTSGAPKSGNTNNAFHKELVQGEKFSSLIVSSLLQNPAAHAAASSTAAFWPYANAKNREDPPSMAAIAAATVTAATAWLAVHRLLPCQIDFSCSPISMTPPVPSMDTDQAPPAKRERGESSLQIPSLQDQQLDSKHTEAVEAQHSNIAKANTDDKKDVASTGEVHDSNPAKSRKQVDRSSYGSNTPSDSKDETAAIEKNEKGKEEQKEPNTSHPAAESSHRRSRCVSNVYDSWKEVSEEGRLAFHALFSRDVLPQSFSLTQDLKIKGHQNDGMEDSKKS >ONI23640 pep chromosome:Prunus_persica_NCBIv2:G2:23727771:23731759:-1 gene:PRUPE_2G200300 transcript:ONI23640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSSREDLAIKARKPYTITKQRERWTHEEHNKFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAHVNGVPIGQSIGIDIPPPRPKRKPSNPYPRKSSSAASTCATLHVGAKVGKLLSSASSSRYKQVVDLEKEPLHERPFGEEKANNAENQVALGNACTLRDATNESHVTIKLKGNQNLKKIDAKMIVGDNGTSGAPKSGNTNNAFHKELVQVPSMDTDQAPPAKRERGESSLQIPSLQDQQLDSKHTEAVEAQHSNIAKANTDDKKDVASTGEVHDSNPAKSRKQVDRSSYGSNTPSDSKDETAAIEKNEKGKEEQKEPNTSHPAAESSHRRSRCVSNVYDSWKEVSEEDLKIKGHQNDGMEDSKKS >ONI23643 pep chromosome:Prunus_persica_NCBIv2:G2:23727771:23731699:-1 gene:PRUPE_2G200300 transcript:ONI23643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSSREDLAIKARKPYTITKQRERWTHEEHNKFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAHVNGVPIGQSIGIDIPPPRPKRKPSNPYPRKSSSAASTCATLHVGAKVGKLLSSASSSRYKQVVDLEKEPLHERPFGEEKANNAENQVALGNACTLRDATNESHVTIKLKGNQNLKKIDAKMIVGDNGTSGAPKSGNTNNAFHKELVQGEKFSSLIVSSLLQNPAAHAAASSTAAFWPYANAKNREDPPSMAAIAAATVTAATAWLAVHRLLPCQIDFSCSPISMTPPVPSMDTDQAPPAKRERGESSLQIPSLQDQQLDSKHTEAVEAQHSNIAKANTDDKKDVASTGEVHDSNPAKSRKQVDRSSYGSNTPSDSKDETAAIEKNEKGKEEQKEPNTSHPAAESSHRRSRCVSNVYDSWKEVSEEDLKIKGHQNDGMEDSKKS >ONI23645 pep chromosome:Prunus_persica_NCBIv2:G2:23728274:23731699:-1 gene:PRUPE_2G200300 transcript:ONI23645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSSREDLAIKARKPYTITKQRERWTHEEHNKFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAHVNGVPIGQSIGIDIPPPRPKRKPSNPYPRKSSSAASTCATLHVGAKVGKLLSSASSSRYKQVVDLEKEPLHERPFGEEKANNAENQVALGNACTLRDATNESHVTIKLKGNQNLKKIDAKMIVGDNGTSGAPKSGNTNNAFHKELVQGEKFSSLIVSSLLQNPAAHAAASSTAAFWPYANAKNREDPPSMAAIAAATVTAATAWLAVHRLLPCQIDFSCSPISMTPPVPSMDTDQAPPAKRERGESSLQIPSLQDQQLDSKHTEAVEAQHSNIAKANTDDKKDVASTGEVHDSNPAKSRKQQVDRSSYGSNTPSDSKDETAAIEKNEKGKEEQKEPNTSHPAAESSHRRSRCVSNVYDSWKEVSEEGRLAFHALFSRDVLPQSFSLTQDLKIKGHQNDGMEDSKKS >ONI23642 pep chromosome:Prunus_persica_NCBIv2:G2:23727989:23731774:-1 gene:PRUPE_2G200300 transcript:ONI23642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSSREDLAIKARKPYTITKQRERWTHEEHNKFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAHVNGVPIGQSIGIDIPPPRPKRKPSNPYPRKSSSAASTCATLHVGAKVGKLLSSASSSRYKQVVDLEKEPLHERPFGEEKANNAENQVALGNACTLRDATNESHVTIKLKGNQNLKKIDAKMIVGDNGTSGAPKSGNTNNAFHKELVQVPSMDTDQAPPAKRERGESSLQIPSLQDQQLDSKHTEAVEAQHSNIAKANTDDKKDVASTGEVHDSNPAKSRKQQVDRSSYGSNTPSDSKDETAAIEKNEKGKEEQKEPNTSHPAAESSHRRSRCVSNVYDSWKEVSEEGRLAFHALFSRDVLPQSFSLTQDLKIKGHQNDGMEDSKKS >ONI24882 pep chromosome:Prunus_persica_NCBIv2:G2:27328847:27336975:-1 gene:PRUPE_2G267500 transcript:ONI24882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSGERRRSARILELEAKKTQNMGKATCDAARDVVTEDSDLTQRRKKVKSTPVQDLVANTVEYQLKKEADNSSNEDHCTNDVPLSSGTVLPERRKLELLLGILKRRDSHNLFAEAVNPEEVKDYYDIIKEPMDFGTISAKLNGQSYRTLEEFEHDVFLVWNNAMHFNLSTTTYYRQACAIRDLAERLFDALKNDPENFESSHSMTRLRASKRAKTEVNIQNSSHRSTNGMASKGCRGERSPEHSEVDQHETYRPLNTLPTANESIKSTVYCSSKQLVQTKQNSIGYQESLMQFVGPTAQMVAEHNSTKGAEDLNPHNATSNSQCRAPCQILNVASSQMVGPTAQMVAKHNLGKGAEDLNPRNVTSNFQHRALGQMLNMASPQMVGPTAQMVAEKNSDLIWQQFKNITDLQTEDLNPDNATSNFQCRALGQIPNVASINSYPTNPLSGSSSSMNSLFGDGKGKNVLIADVHASDLRGEIEKIHGPWPIWSAARLPQFVSGLSQSPALGPSYLGGGAHQFQPVAGRGSTHYGINVEQASQGLNLFRPTELGPQQPFFNKLFEQQSQNTSTSGTSRSAIELSDIDEWLSVGNRRDGSSNTRAPPASSQEQAATTGTDNFPWTLRL >ONI20873 pep chromosome:Prunus_persica_NCBIv2:G2:4111351:4114862:-1 gene:PRUPE_2G038200 transcript:ONI20873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASVTASSLIRVAALSGSLRKSSYNRGLIRSAIEISNTSINGLQIEYIDISPLPLLNTDLEGEGSFPPAIEAFRQKILEADCILFASPEYNYSMSAPLKNAVDWASRPPNVWADKAAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPEFFLNAFQPPAKFDSDGNLIDEEAKERLKEVLLSLQALSLKLRRKQ >ONI23123 pep chromosome:Prunus_persica_NCBIv2:G2:21805537:21812029:1 gene:PRUPE_2G170900 transcript:ONI23123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSLHRRFPAVRAEKLHIEMDCIEKGIVELISQHGIRKLVMGAAADKYHSRKMMDLKSKKAIYVRQQAPVSCHIQFICKGHLIYTREGNSDGVDTDVPLLQPSPNSDPEQSPHHFRSRSAVTLGQNNRAKLTNPAQDLYRRVRSANMEKYGGSITEATSSDGTEGLSTPSRFEAGGSPDDWDRVSRRSVSGYSSCSSALGDLALVQYDRIEGSENGSTESHALSHFKELNHSSPPSVLDGNIDDSLYDHLEQAMAEAENAKREAFREGIRRGKAEKDAIDAIRRAKASELLYNEELRQRKEIEEALAREREELEKMKKQRDEVMEELRAALDHKSLLESQIAESDQMAVNLEQKIISAVELLQNYKKERDELHVERDNALREAEELRRKQGEASSSHLPQFFTEFSFTEIEEATRNFDPSLKIGEGGYGSIFKGSLRHTQVAIKLLHAHSMQGPSEFQQEVDVLSKLRHSNLVTLIGACPESWTLIYEYLSNGSLEDRLSCKDNTPPLSWQTRIRIATELCSVLIFLHSSKPHGIVHGDLKPANILLDDNFVSKLSDFGISRLLSRGEGSSNNTTLYCRTDPKGTFAYIDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDSGKLETLLDPLAGDWPFVQAEQLACLAMRCCEMSRKRRADLVSDVWRVLDPMRVSCGCSSSFRLGTEEHFQPPSYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHDTSPMTNLKLEHKNLVPNHALRSAIQEWLQQH >ONI23125 pep chromosome:Prunus_persica_NCBIv2:G2:21806882:21812029:1 gene:PRUPE_2G170900 transcript:ONI23125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIICGQTLKKSREVLGGWFPASSLKDEEVRAYREIERQNMNKILEDYFRICRQMGVRAEKLHIEMDCIEKGIVELISQHGIRKLVMGAAADKYHSRKMMDLKSKKAIYVRQQAPVSCHIQFICKGHLIYTREGNSDGVDTDVPLLQPSPNSDPEQSPHHFRSRSAVTLGQNNRAKLTNPAQDLYRRVRSANMEKYGGSITEATSSDGTEGLSTPSRFEAGGSPDDWDRVSRRSVSGYSSCSSALGDLALVQYDRIEGSENGSTESHALSHFKELNHSSPPSVLDGNIDDSLYDHLEQAMAEAENAKREAFREGIRRGKAEKDAIDAIRRAKASELLYNEELRQRKEIEEALAREREELEKMKKQRDEVMEELRAALDHKSLLESQIAESDQMAVNLEQKIISAVELLQNYKKERDELHVERDNALREAEELRRKQGEASSSHLPQFFTEFSFTEIEEATRNFDPSLKIGEGGYGSIFKGSLRHTQVAIKLLHAHSMQGPSEFQQEVDVLSKLRHSNLVTLIGACPESWTLIYEYLSNGSLEDRLSCKDNTPPLSWQTRIRIATELCSVLIFLHSSKPHGIVHGDLKPANILLDDNFVSKLSDFGISRLLSRGEGSSNNTTLYCRTDPKGTFAYIDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDSGKLETLLDPLAGDWPFVQAEQLACLAMRCCEMSRKRRADLVSDVWRVLDPMRVSCGCSSSFRLGTEEHFQPPSYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHDTSPMTNLKLEHKNLVPNHALRSAIQEWLQQH >ONI23124 pep chromosome:Prunus_persica_NCBIv2:G2:21806838:21812029:1 gene:PRUPE_2G170900 transcript:ONI23124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWFPASSLKDEEVRAYREIERQNMNKILEDYFRICRQMGVRAEKLHIEMDCIEKGIVELISQHGIRKLVMGAAADKYHSRKMMDLKSKKAIYVRQQAPVSCHIQFICKGHLIYTREGNSDGVDTDVPLLQPSPNSDPEQSPHHFRSRSAVTLGQNNRAKLTNPAQDLYRRVRSANMEKYGGSITEATSSDGTEGLSTPSRFEAGGSPDDWDRVSRRSVSGYSSCSSALGDLALVQYDRIEGSENGSTESHALSHFKELNHSSPPSVLDGNIDDSLYDHLEQAMAEAENAKREAFREGIRRGKAEKDAIDAIRRAKASELLYNEELRQRKEIEEALAREREELEKMKKQRDEVMEELRAALDHKSLLESQIAESDQMAVNLEQKIISAVELLQNYKKERDELHVERDNALREAEELRRKQGEASSSHLPQFFTEFSFTEIEEATRNFDPSLKIGEGGYGSIFKGSLRHTQVAIKLLHAHSMQGPSEFQQEVDVLSKLRHSNLVTLIGACPESWTLIYEYLSNGSLEDRLSCKDNTPPLSWQTRIRIATELCSVLIFLHSSKPHGIVHGDLKPANILLDDNFVSKLSDFGISRLLSRGEGSSNNTTLYCRTDPKGTFAYIDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDSGKLETLLDPLAGDWPFVQAEQLACLAMRCCEMSRKRRADLVSDVWRVLDPMRVSCGCSSSFRLGTEEHFQPPSYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHDTSPMTNLKLEHKNLVPNHALRSAIQEWLQQH >ONI23122 pep chromosome:Prunus_persica_NCBIv2:G2:21805537:21812029:1 gene:PRUPE_2G170900 transcript:ONI23122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGEIVEEPVARMIEDMIYVAVAKDVKDSKSTLVWAVHNSGGKKICLAHVHQPSQKIPCMGGWFPASSLKDEEVRAYREIERQNMNKILEDYFRICRQMGVRAEKLHIEMDCIEKGIVELISQHGIRKLVMGAAADKYHSRKMMDLKSKKAIYVRQQAPVSCHIQFICKGHLIYTREGNSDGVDTDVPLLQPSPNSDPEQSPHHFRSRSAVTLGQNNRAKLTNPAQDLYRRVRSANMEKYGGSITEATSSDGTEGLSTPSRFEAGGSPDDWDRVSRRSVSGYSSCSSALGDLALVQYDRIEGSENGSTESHALSHFKELNHSSPPSVLDGNIDDSLYDHLEQAMAEAENAKREAFREGIRRGKAEKDAIDAIRRAKASELLYNEELRQRKEIEEALAREREELEKMKKQRDEVMEELRAALDHKSLLESQIAESDQMAVNLEQKIISAVELLQNYKKERDELHVERDNALREAEELRRKQGEASSSHLPQFFTEFSFTEIEEATRNFDPSLKIGEGGYGSIFKGSLRHTQVAIKLLHAHSMQGPSEFQQEVDVLSKLRHSNLVTLIGACPESWTLIYEYLSNGSLEDRLSCKDNTPPLSWQTRIRIATELCSVLIFLHSSKPHGIVHGDLKPANILLDDNFVSKLSDFGISRLLSRGEGSSNNTTLYCRTDPKGTFAYIDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDSGKLETLLDPLAGDWPFVQAEQLACLAMRCCEMSRKRRADLVSDVWRVLDPMRVSCGCSSSFRLGTEEHFQPPSYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHDTSPMTNLKLEHKNLVPNHALRSAIQEWLQQH >ONI24105 pep chromosome:Prunus_persica_NCBIv2:G2:25145278:25149410:1 gene:PRUPE_2G224000 transcript:ONI24105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPKVESFLQNWKKIFVASCLFAVLLDPLFLYIPMMKDDIKCMMSDRNLKIAALLSRSLTDLLYIFDIIFQIYTSDKKFFVPTIIKTMWGSYNILIDILSILPLPQVAILIIFSKMSDLRSLTAIRMVIMNLFALLQYVPRVLRIYLSCKEIKMTPKKDTGVTAKWVKGLLHFFMYILSCHVLGAIYYFFAIQCMATCWHNACRKANGCDTSTFGCYDHHTDRNITFLNDLCPISPVNTTLFDSGIYITVLQSGIMGSTNYFQKFSNCFWWGLRNLSSLGSNLQPSVDGWENLFAAFTSIIGLLLLLYLIGNLQTYMQLGTQRTEAHRHRMNMEQKMKEKDKETDLWLSANCIPKGLHEDMKLKIMEKVQQEVEENRNADLDYILSILPLDLQSYIKDCTPMARLNQVPMLRNMDEDVLRRICPYLERREFDDNSIIIEKGEPLDMMLFIVDGLVSIETRDGSSSSNNNLQQRPRRAGKVCGEELLLWPLSVSYPDNVTLATESAKAIGHVEALVLTARDLRRALTFYQIKKDYLVGMMRRTFSAKELEMATDNYHPNRIIRRKGNYASFYKGVLPDDDKTVVAVKKYTTIYHQYAAIEAAVASQTNHINVVRFLGACVEPQPQALVFEYIPNGTLFEHIHREAAAGSFEGSSSSPTALLSLDLRLKIASETAGALAYLHSLTPPIIHLFLSTKHILLDDHYTAKLSAIGQWQITFRESDYLNQGYFDPNFELYCAAEKGDVYSFGVVLAELLTSQKPASPYRGEEPPLATCLLSSMEEGRLNQILDGKIIFNEATSETAKKVADLAKRCLRSKREERPSMEQVAVELEGLRKFMAEYQRGEPSFSNSPTSLQP >ONI25082 pep chromosome:Prunus_persica_NCBIv2:G2:27922196:27923039:-1 gene:PRUPE_2G279200 transcript:ONI25082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCLGNLYQSAESLSVNSYLPLNLKDTLLKPKTTISAANILQLPLPTNNDSNLSKLFYICVRCSNRISESYEIPCPQCRSCNISTPVSYVSPSAPTGATSSNIKAGYVKGGVIYMIMDNLEVKPMTTESSVAVLQKFNVKGIDALQGLRLVKASLESNTALTNVFLGKKPHKLFNFGPLATLSFWGFILVCGLGFLFYYFGSCKLWSIDSIGFIHYISRKLICHRNNHSALSLIQNK >ONI21218 pep chromosome:Prunus_persica_NCBIv2:G2:6206236:6212185:-1 gene:PRUPE_2G053500 transcript:ONI21218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGKKTEKKVNNSDNILLVKEKETQQKPLEKYKLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQVIEFVTRNVGTYTPQLAGNSVYVDFAFLKTWER >ONI21211 pep chromosome:Prunus_persica_NCBIv2:G2:6204681:6212209:-1 gene:PRUPE_2G053500 transcript:ONI21211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQVIEFVTRNVGTYTPQLAGNSVYVDFAFLKIIGMPLLKKIITEPWMILEKVSGNLNTTRRIYSKHLKNDTRRLQSSESMDCFIEV >ONI21209 pep chromosome:Prunus_persica_NCBIv2:G2:6204496:6212186:-1 gene:PRUPE_2G053500 transcript:ONI21209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQVIEFVTRNVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSSVKALCIRWYPRVPLGGTGLPNLTQFKLLVRLL >ONI21220 pep chromosome:Prunus_persica_NCBIv2:G2:6206257:6212186:-1 gene:PRUPE_2G053500 transcript:ONI21220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGKKTEKKVNNSDNILLVKEKETQQKPLEKYKLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQD >ONI21213 pep chromosome:Prunus_persica_NCBIv2:G2:6204996:6212003:-1 gene:PRUPE_2G053500 transcript:ONI21213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQVIEFVTRNVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSSVKALCIRWYPRDNRNAPSKENNHRAMDDIRESIRELKYYKENIFKAFKK >ONI21212 pep chromosome:Prunus_persica_NCBIv2:G2:6204657:6212221:-1 gene:PRUPE_2G053500 transcript:ONI21212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGKKTEKKVNNSDNILLVKEKETQQKPLEKYKLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQVIEFVTRNVGTYTPQLAGNSVYVDFAFLKIIGMPLLKKIITEPWMILEKVSGNLNTTRRIYSKHLKNDTRRLQSSESMDCFIEV >ONI21214 pep chromosome:Prunus_persica_NCBIv2:G2:6204499:6212185:-1 gene:PRUPE_2G053500 transcript:ONI21214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQVIEFVTRNVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSSVKALCIRWYPRDNRNAPSKENNHRAMDDIRESIRELKYYKENIFKAFKK >ONI21217 pep chromosome:Prunus_persica_NCBIv2:G2:6206236:6212186:-1 gene:PRUPE_2G053500 transcript:ONI21217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQVIEFVTRNVGTYTPQLAGNSVYVDFAFLKTWER >ONI21219 pep chromosome:Prunus_persica_NCBIv2:G2:6207375:6212210:-1 gene:PRUPE_2G053500 transcript:ONI21219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGKKTEKKVNNSDNILLVKEKETQQKPLEKYKLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQD >ONI21216 pep chromosome:Prunus_persica_NCBIv2:G2:6204996:6212003:-1 gene:PRUPE_2G053500 transcript:ONI21216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGKKTEKKVNNSDNILLVKEKETQQKPLEKYKLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQVIEFVTRNVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSSVKALCIRWYPRDNRNAPSKENNHRAMDDIRESIRELKYYKENIFKAFKK >ONI21215 pep chromosome:Prunus_persica_NCBIv2:G2:6204996:6212003:-1 gene:PRUPE_2G053500 transcript:ONI21215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGKKTEKKVNNSDNILLVKEKETQQKPLEKYKLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQVIEFVTRNVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSSVKALCIRWYPRDNRNAPSKENNHRAMDDIRESIRELKYYKENIFKAFKK >ONI21210 pep chromosome:Prunus_persica_NCBIv2:G2:6204496:6212237:-1 gene:PRUPE_2G053500 transcript:ONI21210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGKKTEKKVNNSDNILLVKEKETQQKPLEKYKLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCQSHHEASGLTKKVLESTISEREAEKQVIEFVTRNVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSSVKALCIRWYPRVPLGGTGLPNLTQFKLLVRLL >ONI20306 pep chromosome:Prunus_persica_NCBIv2:G2:746715:755594:1 gene:PRUPE_2G008200 transcript:ONI20306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTVVDLSLYLGSKGEVKELCGEVSRSLRETGALLVKDPRYTAEDNDRFLNMMERYFDRPPEFKRLQERPQLHYQVGVTPEGVEVPRSLVDEEMQEKLKELPKEFQPSIPKGADRKWRYMWRVGPRPSETRFQELNAEPVVPEGFPEWKDTMDSWGYKMISAIEAVAEMAAIGFGLPKDAFTSLMKQGPHLLAPTGSDLRRYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKVEVKVPVGCLLIHAGKQIEWLTAGDCIAGMHEVVVTNRTVDAIKLAKEQHRLLWRVSSTLFAHIASDAVLKPLGRFAESSLASKYPAIYAGEFVEQELAVINLKGNRGEL >ONI20307 pep chromosome:Prunus_persica_NCBIv2:G2:746715:755594:1 gene:PRUPE_2G008200 transcript:ONI20307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKLKELPKEFQPSIPKGADRKWRYMWRVGPRPSETRFQELNAEPVVPEGFPEWKDTMDSWGYKMISAIEAVAEMAAIGFGLPKDAFTSLMKQGPHLLAPTGSDLRRYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKVEVKVPVGCLLIHAGKQIEWLTAGDCIAGMHEVVVTNRTVDAIKLAKEQHRLLWRVSSTLFAHIASDAVLKPLGRFAESSLASKYPAIYAGEFVEQELAVINLKGNRGEL >ONI21418 pep chromosome:Prunus_persica_NCBIv2:G2:8756168:8757229:-1 gene:PRUPE_2G064700 transcript:ONI21418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNAFAKLLDKYCLEGHNFPTWYRNLKIFMTIEKIVYVLEQASDIEPPAEDASQEMNEELQKQHEHMMYAADMISHLQELYRKGTRNRRFSAVCELLKTKMVKGALVHQHRLKMIGLTEQLESLNSPPDRNLATNIFLESLSDSFSHFVMNYNMGKIEHPLSELLNMYLQERKTDGTIAVFEKGSTSSAKPNNKGKDKFNVSKKKKRNPKLKPKGGVKKKQEEGKEVMLLHRLRTSSKLIEMKIARIRNRQESLYLFMDIFRTYELI >ONI22983 pep chromosome:Prunus_persica_NCBIv2:G2:21304062:21306430:1 gene:PRUPE_2G162300 transcript:ONI22983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGVGFGTVKQLASNGVTVVLAARDEKRGLEAVEKLKEFGLSDLVVFHQLDVADSISVASFADFVKTQYGKLDILVNNAAINGSTVTPEAFKSAASGSKKAEEIDWSAISTTPNYELAEECLKTNYYGTKSVTAALLPLLQLSNSPRIVNVSSGVSKLTNFPNAWAKEVLSDAESLTEERIDAVVSGFLEDFKQGSLDSKSWPPIFPPYSVSKAAVNAYTRILANRYPSFCINAVSPGFVKTDLSFNTGILTIDEGAESLVRLALLPSGGPTGHYFSRKEVTPF >ONI22984 pep chromosome:Prunus_persica_NCBIv2:G2:21304137:21305535:1 gene:PRUPE_2G162300 transcript:ONI22984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGVGFGTVKQLASNGVTVVLAARDEKRGLEAVEKLKEFGLSDLVVFHQLDVADSISVASFADFVKTQYGKLDILVNNAAINGSTVTPEAFKSAASGSKKAEEIDWSAISTTPNYELAEECLKTNYYGTKSVTAALLPLLQLSNSPRIVNVSSGVSKLTV >ONI21527 pep chromosome:Prunus_persica_NCBIv2:G2:10871281:10875198:-1 gene:PRUPE_2G071800 transcript:ONI21527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQQDKEEYMDQKREAGCKGACEFISRKHLSDDIMPHILNLYGSRATASDFEIYSPNASFEDPLMCARGLKQIKSAFYSLPKIFSESRIVEYDITENMISPGNHEILIDNKQHYKFMGKDVDVISLIKLHLLEGKVVRHEDWWDKKPLWNRETVKIPLIGRVVEMTRRGSMLATHVLMGFGKDPSTGIK >ONI21301 pep chromosome:Prunus_persica_NCBIv2:G2:7322832:7327214:1 gene:PRUPE_2G058800 transcript:ONI21301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKNSRMADACRAMKPFGISEGQTKAAVKELLKVYANSWEFIIDENYRLLLDFVLEQKPEEEKGVRSKKKEAPSYDKTEVVELPTKRYCTRQQKKQALSPLEHSTSCLEKSPLKRARQSNEDNLFSDSEESEDSQPLIRRSRRRHQEREVLDSGNNFDPLCNRNTQLEESLNLIGEDSSSDFDEDGLKLLEVESVNTDNKDNVFDLLHFVAASSTPKSDLEMSMICHSSPQSDFCPPHSDEALEMVEETHVKSCRVEECFVETGTDSIFDESTAQDVLASKYDHPSFQNELILCKNLIKVIPHIPKHIAFGCYDGLQCLIGFARKDIENIFGETAKRLKVIQGRRSSKLCKVEAAHSYHSSLGVIKSFFYIDDITRGEERVKVSLENGRNAEDLPIFFYIPNNLVYKNACVKFSLARISHEGCCSHCFGDCLTSPVPCLCAAETGGQFAYTPGGFVTEKFLEECISLKREPKQDHYLYCRNCPLQRSKNKKSSMPCKGHLLQKFIKECWSKCWCNKKCGNRIVQQSITVKLQVFLTPEGKGWGLRTLEDLPAGAFVCEYVGEILTNTELHERNVGSPGNKNTCYQVLLDAGWGSKGVLKDEEVLCLDANVYGNVARFINHRCSDATLVEIPVEVETPDHHYYHIALFTTRKVDAMEELTWVSGGFASI >ONI21300 pep chromosome:Prunus_persica_NCBIv2:G2:7322423:7327887:1 gene:PRUPE_2G058800 transcript:ONI21300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKNSRMADACRAMKPFGISEGQTKAAVKELLKVYANSWEFIIDENYRLLLDFVLEQKPEEEKGVRSKKKEAPSYDKTEVVELPTKRYCTRQQKKQALSPLEHSTSCLEKSPLKRARQSNEDNLFSDSEESEDSQPLIRRSRRRHQEREVLDSGNNFDPLCNRNTQLEESLNLIGEDSSSDFDEDGLKLLEVESVNTDNKDNVFDLLHFVAASSTPKSDLEMSMICHSSPQSDFCPPHSDEALEMVEETHVKSCRVEECFVETGTDSIFDESTAQDVLASKYDHPSFQNELILCKNLIKVIPHIPKHIAFGCYDGLQCLIGFARKDIENIFGETAKRLKVIQGRRSSKLCKVEAAHSYHSSLGVIKSFFYIDDITRGEERVKVSLENGRNAEDLPIFFYIPNNLVYKNACVKFSLARISHEGCCSHCFGDCLTSPVPCLCAAETGGQFAYTPGGFVTEKFLEECISLKREPKQDHYLYCRNCPLQRSKNKKSSMPCKGHLLQKFIKECWSKCWCNKKCGNRIVQQSITVKLQVFLTPEGKGWGLRTLEDLPAGAFVCEYVGEILTNTELHERNVGSPGNKNTCYQVLLDAGWGSKGVLKDEEVLCLDANVYGNVARFINHRCSDATLVEIPVEVETPDHHYYHIALFTTRKVDAMEELTWDYGIDFNDRDHDSGRPMKPFHCLCGSPFCRDRSDMKCDVRLLTYVRRRRRV >ONI21302 pep chromosome:Prunus_persica_NCBIv2:G2:7323295:7327887:1 gene:PRUPE_2G058800 transcript:ONI21302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYESKGVRSKKKEAPSYDKTEVVELPTKRYCTRQQKKQALSPLEHSTSCLEKSPLKRARQSNEDNLFSDSEESEDSQPLIRRSRRRHQEREVLDSGNNFDPLCNRNTQLEESLNLIGEDSSSDFDEDGLKLLEVESVNTDNKDNVFDLLHFVAASSTPKSDLEMSMICHSSPQSDFCPPHSDEALEMVEETHVKSCRVEECFVETGTDSIFDESTAQDVLASKYDHPSFQNELILCKNLIKVIPHIPKHIAFGCYDGLQCLIGFARKDIENIFGETAKRLKVIQGRRSSKLCKVEAAHSYHSSLGVIKSFFYIDDITRGEERVKVSLENGRNAEDLPIFFYIPNNLVYKNACVKFSLARISHEGCCSHCFGDCLTSPVPCLCAAETGGQFAYTPGGFVTEKFLEECISLKREPKQDHYLYCRNCPLQRSKNKKSSMPCKGHLLQKFIKECWSKCWCNKKCGNRIVQQSITVKLQVFLTPEGKGWGLRTLEDLPAGAFVCEYVGEILTNTELHERNVGSPGNKNTCYQVLLDAGWGSKGVLKDEEVLCLDANVYGNVARFINHRCSDATLVEIPVEVETPDHHYYHIALFTTRKVDAMEELTWDYGIDFNDRDHDSGRPMKPFHCLCGSPFCRDRSDMKCDVRLLTYVRRRRRV >ONI21299 pep chromosome:Prunus_persica_NCBIv2:G2:7322423:7327887:1 gene:PRUPE_2G058800 transcript:ONI21299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKNSRMADACRAMKPFGISEGQTKAAVKELLKVYANSWEFIIDENYRLLLDFVLEQKPEEEKGVRSKKKEAPSYDKTEVVELPTKRYCTRQQKKQALSPLEHSTSCLEKSPLKRARQSNEDNLFSDSEESEDSQPLIRRSRRRHQEREVLDSGNNFDPLCNRNTQLEESLNLIGEDSSSDFDEDGLKLLEVESVNTDNKDNVFDLLHFVAASSTPKSDLEMSMICHSSPQSDFCPPHSDEALEMVEETHVKSCRVEECFVETGTDSIFDESTAQDVLASKYDHPSFQNELILCKNLIKVIPHIPKHIAFGCYDGLQCLIGFARKDIENIFGETAKRLKVIQGRRSSKLCKVEAAHSYHSSLGVIKSFFYIDDITRGEERVKVSLENGRNAEDLPIFFYIPNNLVYKNACVKFSLARISHEGCCSHCFGDCLTSPVPCLCAAETGGQFAYTPGGFVTEKFLEECISLKREPKQDHYLYCRNCPLQRSKNKKSSMPCKGHLLQKFIKECWSKCWCNKKCGNRIVQQSITVKLQVFLTPEGKGWGLRTLEDLPAGAFVCEYVGEILTNTELHERNVGSPGNKNTCYQVLLDAGWGSKGVLKDEEVLCLDANVYGNVARFINHRCSDATLVEIPVEVETPDHHYYHIALFTTRKVDAMEELTWDYGIDFNDRDHDSGRPMKPFHCLCGSPFCRDRSDMKCDVRLLTYVRRRRRV >ONI21298 pep chromosome:Prunus_persica_NCBIv2:G2:7322313:7327887:1 gene:PRUPE_2G058800 transcript:ONI21298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKNSRMADACRAMKPFGISEGQTKAAVKELLKVYANSWEFIIDENYRLLLDFVLEQKPEEEKGVRSKKKEAPSYDKTEVVELPTKRYCTRQQKKQALSPLEHSTSCLEKSPLKRARQSNEDNLFSDSEESEDSQPLIRRSRRRHQEREVLDSGNNFDPLCNRNTQLEESLNLIGEDSSSDFDEDGLKLLEVESVNTDNKDNVFDLLHFVAASSTPKSDLEMSMICHSSPQSDFCPPHSDEALEMVEETHVKSCRVEECFVETGTDSIFDESTAQDVLASKYDHPSFQNELILCKNLIKVIPHIPKHIAFGCYDGLQCLIGFARKDIENIFGETAKRLKVIQGRRSSKLCKVEAAHSYHSSLGVIKSFFYIDDITRGEERVKVSLENGRNAEDLPIFFYIPNNLVYKNACVKFSLARISHEGCCSHCFGDCLTSPVPCLCAAETGGQFAYTPGGFVTEKFLEECISLKREPKQDHYLYCRNCPLQRSKNKKSSMPCKGHLLQKFIKECWSKCWCNKKCGNRIVQQSITVKLQVFLTPEGKGWGLRTLEDLPAGAFVCEYVGEILTNTELHERNVGSPGNKNTCYQVLLDAGWGSKGVLKDEEVLCLDANVYGNVARFINHRCSDATLVEIPVEVETPDHHYYHIALFTTRKVDAMEELTWDYGIDFNDRDHDSGRPMKPFHCLCGSPFCRDRSDMKCDVRLLTYVRRRRRV >ONI21890 pep chromosome:Prunus_persica_NCBIv2:G2:15197817:15199706:1 gene:PRUPE_2G096700 transcript:ONI21890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLSFTPSTPSLYLPTFSNVRHHHRLSSTTLLISYPHPLPRVQVLYRAPHAPRRPSLSASALRAAADGLLQDAGATAFVLAGAYGLVLCFDNLTQRNLLQQSLSRKLVHILSGLLFMLSWPIFSTSTAARYFATVVPLVNCLRLLLHGLSIVTNEGLVKSVTREGNPKELLRGPLYYVLILILCALAFWRESPVGVVSLAMMCGGDGNFSSFSNQIHMSLTHYSIKPVLYNDVSISREELTCNIHYPSLAMHLSSHII >ONI21889 pep chromosome:Prunus_persica_NCBIv2:G2:15197713:15200642:1 gene:PRUPE_2G096700 transcript:ONI21889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLSFTPSTPSLYLPTFSNVRHHHRLSSTTLLISYPHPLPRVQVLYRAPHAPRRPSLSASALRAAADGLLQDAGATAFVLAGAYGLVLCFDNLTQRNLLQQSLSRKLVHILSGLLFMLSWPIFSTSTAARYFATVVPLVNCLRLLLHGLSIVTNEGLVKSVTREGNPKELLRGPLYYVLILILCALAFWRESPVGVVSLAMMCGGDGVADIMGRKFGSIKIPYNQKKSWAGSISMFLFGFVISIGMLYYYSFLGYFQLNWVETVEKVALISLVATIVESLPITDVLDDNISVPLVSMAAAYLSFSL >ONI23300 pep chromosome:Prunus_persica_NCBIv2:G2:22417055:22418600:1 gene:PRUPE_2G181100 transcript:ONI23300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPIAPSICHNLADTLLKQLRSHPFALYPEMNHARAPEPLASAFGPKSKASDPIDREPEPLAPAFGPKSKTGDPVDRSAKTTRYYMVLLTAGDKALSPESIRVMDVTDPIPKGCMVKARAFCSGVAFNFNFSAADKFDFMKLCVISSDDDFGGDEGRTIIDLDRVSNSEMADPNIIHSLASSAAMKAFVSSAKEINLAEFVSRVGDYVATKFGEEKKSEYLKMLTSSGLGSLDSVEIWHMLTLQDRVMEAVMESFQVGLFMKMPITIDMPMPSTASMTHPTPWALGWNVGCSSAKGLKPRPCIPDLNQVAVDLLEVEEDTDKSSVGEVEGRNAKKRKAEEAAGEEAQADEVPKTAD >ONI21162 pep chromosome:Prunus_persica_NCBIv2:G2:5901948:5913597:1 gene:PRUPE_2G051000 transcript:ONI21162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSRVKALCIRWYPRVPLGGTGLPNLTQFKLLVRLL >ONI21166 pep chromosome:Prunus_persica_NCBIv2:G2:5901948:5913597:1 gene:PRUPE_2G051000 transcript:ONI21166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSRVKALCIRWYPRDNKNAPSKENNHRAMDDIRESIRELKYYKENIFKAFKK >ONI21165 pep chromosome:Prunus_persica_NCBIv2:G2:5901948:5913597:1 gene:PRUPE_2G051000 transcript:ONI21165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGKKTEKKVNNSDNILLVKEKESQQKPLEKYKLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSRVKALCIRWYPRDNKNAPSKENNHRAMDDIRESIRELKYYKENIFKAFKK >ONI21170 pep chromosome:Prunus_persica_NCBIv2:G2:5901948:5913597:1 gene:PRUPE_2G051000 transcript:ONI21170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSRVKALCIRWYPRDNKNAPSKENNHRAMDDIRESIRELKYYKENIFKAFKK >ONI21167 pep chromosome:Prunus_persica_NCBIv2:G2:5901948:5913597:1 gene:PRUPE_2G051000 transcript:ONI21167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSRVKALCIRWYPRDNKNAPSKENNHRAMDDIRESIRELKYYKENIFKAFKK >ONI21168 pep chromosome:Prunus_persica_NCBIv2:G2:5905912:5911387:1 gene:PRUPE_2G051000 transcript:ONI21168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGKKTEKKVNNSDNILLVKEKESQQKPLEKYKLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKTWER >ONI21159 pep chromosome:Prunus_persica_NCBIv2:G2:5900796:5913485:1 gene:PRUPE_2G051000 transcript:ONI21159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLFPEKKKKTKVMRPKCKIPKVWSIIPNPINRIAFARNTRHLSLGIVVQMVRAPPCQGGSCGFEPRTLTLSSTNPNLNPNPNSSNPLVPACSNGPAVCLLKVAGDSTGRAFMGSIFSLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSRVKALCIRWYPRDNKNAPSKENNHRAMDDIRESIRELKYYKENIFKAFKK >ONI21164 pep chromosome:Prunus_persica_NCBIv2:G2:5901948:5913597:1 gene:PRUPE_2G051000 transcript:ONI21164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGKKTEKKVNNSDNILLVKEKESQQKPLEKYKLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSRVKALCIRWYPRDNKNAPSKENNHRAMDDIRESIRELKYYKENIFKAFKK >ONI21161 pep chromosome:Prunus_persica_NCBIv2:G2:5901948:5913597:1 gene:PRUPE_2G051000 transcript:ONI21161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGKKTEKKVNNSDNILLVKEKESQQKPLEKYKLPLVWIDLEMTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSRVKALCIRWYPRVPLGGTGLPNLTQFKLLVRLL >ONI21163 pep chromosome:Prunus_persica_NCBIv2:G2:5901948:5913597:1 gene:PRUPE_2G051000 transcript:ONI21163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLANAFSVLELDAEDDDQAREASSVSKNKDDTTKSSGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKIIRMPLLKKIITEPWMILEKVSGNLNTTRRIYSKHLKNDTRRLQSSESMDCFIEV >ONI21171 pep chromosome:Prunus_persica_NCBIv2:G2:5908704:5913485:1 gene:PRUPE_2G051000 transcript:ONI21171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTLQGFFILPFSSLRDWKWVVFIKSVPVIIFLVEGYHYLPQGSTHSLNQIHAGSLFDSFVFGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKKYMPDLASLFSHVIVDVSRVKALCIRWYPRDNKNAPSKENNHRAMDDIRESIRELKYYKENIFKAFKK >ONI21169 pep chromosome:Prunus_persica_NCBIv2:G2:5901948:5913597:1 gene:PRUPE_2G051000 transcript:ONI21169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLNVEVDRILEIACIITDGKLTKSVEGPDLVIHQTKECLDRMGEWCRSHHKASGLTKKMLESTISEREAEKQVIEFVTRIVGTYTPQLAGNSVYVDFAFLKIIRMPLLKKIITEPWMILEKVSGNLNTTRRIYSKHLKNDTRRLQSSESMDCFIEV >ONI22071 pep chromosome:Prunus_persica_NCBIv2:G2:16184850:16200289:1 gene:PRUPE_2G105000 transcript:ONI22071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEFEARVKPLEYKVKAMSRESPSQKAGHVLDADLRSHWSTATNTKEWILLELNEPCLLSHIRIYNKSVLEWEISVGLRYKPETFVKVRPRCEAPRRDMIYPMNYTPCRYVRISCLRGNPIAIFFIQLIGVSVTGLEPEFQPVVNHLLPSIISHKQDAHDLHLQLLKDMTSRLLVFLPQLEADLNSFLDAAEPNLRFLAMLAGPFYPILNLGNERTAAKSSGNISDSEVSKHSQLSSALTVSSNFEPRRSRGTSPFVLSTSSSIVFRADAIFVLLRKAYKDSDLGIVCRMAARVLHKLIEPVAHEGSTPPGEVTYGDEAVKSEITNPAPLVDYSNLFGEEFQLPGDHWDSSYLNILDIGAVEEGILHVLYACASQPQLCSKLADRTSDFWSALPLVQALLPALRPSVSRPSDIVDDSFSQWKQPIVQEALSQIVATSCSPLYRPLLHACAGYLSSYSPSHAKAACVLIDLCCGVLAPWLSQVIAKVDLAVELLEDLLGVIQGARHSLPRARAALKYIVLALSGHMDDMLGKYKEVKHRILFLVEMLEPFLDPAVGRLKGIIAFGDLSSAHPEKQEENCVIALNVIRTAVQKPAVLPSLESEWRRGSVAPSVLLSILEPHMQLPPEIDLRTSPVPRPLEPESLSGLSHSSASHHGVASKSNSQDEFDGKIDVSETAVKIDISEDASLLFAPPELHNIVLTSISSCPNENSSVSNHGDSGSEPKHLVGKHFPHRFQIDLKLDAGFSAEYFNLQADYFQLITYQDCELRASEFRRLALDLHSQNEITIESHDAAIDALLLAAECYVNPFFMMSFRGNPKLMKEINVSGIRTPQNHEIGARMVSGKSKNDLETISLLERKRDKIVLQILLEAAELDREYREKVSDGGLSPYYTVGFDEQVIRLSPLDVQSADAITLVRQNQALLCCFLIQRLRREQHSMHEILMQCMIFLLNSATKLYCAPEHVIDIALGSAEYLNGMLTSLYYQFKENNLQLEPETIHGIQRRWILLQRLVISSSGGDEETGFAINKNGFRYGNLIPPSAWMQRISTFSRCTSPLVRFLGWMAVSRNARQYMKDQLLLASDLPQLTSLLSTFADELSVVDNVVSRKYEESGGEIVSASIKGFEVADQQHQDQSFRVIYPDLFKFFPNMKKQFEAFGETILEAVGLQLRSLPSSMVPDILCWFSDLCSWPFLHTEQLSAGNSSDHLKGYVSKNAKAIILYTLEAIVTEHMEAMVPEIPRVVQVLACLCRASYCDVSFLDSVLSLLKPIISYSLCKVSDEERSLVDDSCVNFESLCFDELFTNIRQGANQDNSTEKVYNRGLTIFILASVFPDLSAQRRREMLQSLVFWADFTAFEPTSSFHNYLCAFQSVMESCKLLLVQTLQFFGAIPLELPTEGQNESGLESHSWFLSDVYRSSSQDKASEKLEGNNVGADIVNKKVYHLFPEEIEEFSKHLEVLIGKLYSTTELCWNLHHQLSKKMTITSTECFMYSRFLASIAQRVNDAQENDAEISFPSTSVDQFPDHWRTGLEVISETILTLQENRCWEVASVVLDCVLAVPPKFGLNSVIGSICSAIKSSSCNAPKIAWRLQSDKWLLILLTKGVHSLKECEVPLANLFCTMLGHPEPEQRSIALKLLGKLVGQDLSGGTALQSSMFYKNLVSPGFVTSVPESIISHLVSSTWNLVVVLASSDASLLVRTRAMTLLVDCIPFAERRLLQSFLAAADSVLGLGELARPNCEGQLLRLSLALIAGACLYCPDEDISLIPQNVWKNIETLASSKPDGRSGDVEKRACQVLCRLKSEGDEAKEVLASLTSAKSYFDIFSNKIDQEVMELEEAELEWDILQKEHALHESPTKDGHQILSLSSPVEDDARLKQIKDCIHSLEKSKLHEDIVARRQKKLLMRRARQKSFEEVALREAELLQELDRERAAEVEKDIERQRLLELERAKTRELRQNLEMEKERQAQRELQRELEQAEAGVRPSRRDFSSTYSSRPRERYRERENGRAGSEGSTRSSSGNLQLETSTTSSSMGTMPTVVLSGSRQFSGQPTILQSRDRLDDGGSGYEENLDGSKDSGDTGSVGDPDSVSAFDGQPGGFGSGQRHGSRGSKSRQVVERRERDGRREGKWERKHL >ONI22070 pep chromosome:Prunus_persica_NCBIv2:G2:16184850:16200289:1 gene:PRUPE_2G105000 transcript:ONI22070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEFEARVKPLEYKVKAMSRESPSQKAGHVLDADLRSHWSTATNTKEWILLELNEPCLLSHIRIYNKSVLEWEISVGLRYKPETFVKVRPRCEAPRRDMIYPMNYTPCRYVRISCLRGNPIAIFFIQLIGVSVTGLEPEFQPVVNHLLPSIISHKQDAHDLHLQLLKDMTSRLLVFLPQLEADLNSFLDAAEPNLRFLAMLAGPFYPILNLGNERTAAKSSGNISDSEVSKHSQLSSALTVSSNFEPRRSRGTSPFVLSTSSSIVFRADAIFVLLRKAYKDSDLGIVCRMAARVLHKLIEPVAHEGSTPPGEVTYGDEAVKSEITNPAPLVDYSNLFGEEFQLPGDHWDSSYLNILDIGAVEEGILHVLYACASQPQLCSKLADRTSDFWSALPLVQALLPALRPSVSRPSDIVDDSFSQWKQPIVQEALSQIVATSCSPLYRPLLHACAGYLSSYSPSHAKAACVLIDLCCGVLAPWLSQVIAKVDLAVELLEDLLGVIQGARHSLPRARAALKYIVLALSGHMDDMLGKYKEVKHRILFLVEMLEPFLDPAVGRLKGIIAFGDLSSAHPEKQEENCVIALNVIRTAVQKPAVLPSLESEWRRGSVAPSVLLSILEPHMQLPPEIDLRTSPVPRPLEPESLSGLSHSSASHHGVASKSNSQDEFDGKIDVSETAVKIDISEDASLLFAPPELHNIVLTSISSCPNENSSVSNHGDSGSEPKHLVGKHFPHRFQIDLKLDAGFSAEYFNLQADYFQLITYQDCELRASEFRRLALDLHSQNEITIESHDAAIDALLLAAECYVNPFFMMSFRGNPKLMKEINVSGIRTPQNHEIGARMVSGKSKNDLETISLLERKRDKIVLQILLEAAELDREYREKVSDGGLSPYYTVGFDEQVIRLSPLDVQSADAITLVRQNQALLCCFLIQRLRREQHSMHEILMQCMIFLLNSATKLYCAPEHVIDIALGSAEYLNGMLTSLYYQFKENNLQLEPETIHGIQRRWILLQRLVISSSGGDEETGFAINKNGFRYGNLIPPSAWMQRISTFSRCTSPLVRFLGWMAVSRNARQYMKDQLLLASDLPQLTSLLSTFADELSVVDNVVSRKYEESGGEIVSASIKGFEVADQQHQDQSFRVIYPDLFKFFPNMKKQFEAFGETILEAVGLQLRSLPSSMVPDILCWFSDLCSWPFLHTEQLSAGNSSDHLKGYVSKNAKAIILYTLEAIVTEHMEAMVPEIPRVVQVLACLCRASYCDVSFLDSVLSLLKPIISYSLCKVSDEERSLVDDSCVNFESLCFDELFTNIRQGANQDNSTEKVYNRGLTIFILASVFPDLSAQRRREMLQSLVFWADFTAFEPTSSFHNYLCAFQSVMESCKLLLVQTLQFFGAIPLELPTEGQNESGLESHSWFLSDVYRSSSQDKASEKLEGNNVGADIVNKKVYHLFPEEIEEFSKHLEVLIGKLYSTTELCWNLHHQLSKKMTITSTECFMYSRFLASIAQRVNDAQENDAEISFPSTSVDQFPDHWRTGLEVISETILTLQENRCWEVASVVLDCVLAVPPKFGLNSVIGSICSAIKSSSCNAPKIAWRLQSDKWLLILLTKGVHSLKECEVPLANLFCTMLGHPEPEQRSIALKLLGKLVGQDLSGGTALQSSMFYKNLVSPGFVTSVPESIISHLVSSTWNLVVVLASSDASLLVRTRAMTLLVDCIPFAERRLLQSFLAAADSVLGLGELARPNCEGQLLRLSLALIAGACLYCPDEDISLIPQNVWKNIETLASSKPDGRSGDVEKRACQVLCRLKSEGDEAKEVLREVLTSTSSKQSDPDFESTRESVLQVLASLTSAKSYFDIFSNKIDQEVMELEEAELEWDILQKEHALHESPTKDGHQILSLSSPVEDDARLKQIKDCIHSLEKSKLHEDIVARRQKKLLMRRARQKSFEEVALREAELLQELDRERAAEVEKDIERQRLLELERAKTRELRQNLEMEKERQAQRELQRELEQAEAGVRPSRRDFSSTYSSRPRERYRERENGRAGSEGSTRSSSGNLQLETSTTSSSMGTMPTVVLSGSRQFSGQPTILQSRDRLDDGGSGYEENLDGSKDSGDTGSVGDPDSVSAFDGQPGGFGSGQRHGSRGSKSRQVVERRERDGRREGKWERKHL >ONI23521 pep chromosome:Prunus_persica_NCBIv2:G2:23167969:23171996:1 gene:PRUPE_2G192800 transcript:ONI23521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGVGLVPPSSNGMLSKVKPPNEEADYVERDPSNRYVRYNEILGKGAFKTVYKAFDEVDGIEVAWNQVKIDTVLRSPEDLEKLYSEVHLLKSLNHENIIKFYNSWVDNHKNTINMITELFTSGSLRQYRKKYKNVDTKAIRNWARQILRGLVFLHSHNPPIIHRDLKCDNIFINGNHGEVKIGDLGLATVMQQPTARSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMVTFEYPYSECKSPAQIFKKVTSGIKPASLGKVNDLQIKEFIEKCLVQASERLSAKELLKDPFLQVDNPMEPIRDPLQLPNQSLKVINLPKSGPLSMDIDTDYKQLSISTCAGSNNGSPQVLEFQRTNKNNQFRLSGMKNDDDSVSLTLRIAYACGKVRNIHFFFYLATDTAVSVTSEMVEQLKLTDHDVAFIAEFIDYLIMKLLPGWKPSPDYSSSGPVSPYGGQPSMSSLWGSALSGVPASSGVEQDGSCCDNPETVNCNGGITSYPSFADFADEHSKVSVESAVLVDDASTKNDKVAESVDCSNTDGSCMCSFFYDIKFQSNYASNAEECSLVNGITDKLVFPLLEIEAPKVMSLTSSCSSLSLADNDLDAELKSELDTIESQYQRWFEELSRMREKALEVTRKRWIEKKKVPVH >ONI24479 pep chromosome:Prunus_persica_NCBIv2:G2:26130046:26133359:1 gene:PRUPE_2G242200 transcript:ONI24479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKPKLDIPTTTTLPLHVDMLQNVVGSSNIAQEPLLLHSYKRSFNGFAAKLTEEEAQKMAGMAGVVSVFPNEKQKLHTTRSWNFIGFHENVKRSTVESDIIVGVIDTGVWPESASFSDAGFGPPPKKWKGTCQGSSNFTCNNKIIGARYYRISEPFVKGEIKSPRDSEGHGTHTASTAAGNLVSKASLFGLGLGTARGGVPAARIAVYKACWSTGCSFADTLAAFDDAIADGVDIISASLGPTSPDDYFRTPVTIGAFHALRKGILTSTAAGNDGPALKTITVFAPWCLSVAATTIDREFVTKVQLGNQKIYEGIVTNTFDLKGKFYPLIYAGDAPNRTAGYGGSISKTCKPGTLDHNLVKGKIVLCDGTTGYGAYFAGAVGVILQSRPVADVLDPLPMPASCLGLDSGNSIYYYITSTRNPTATIFKSTEDIDTLSPYVPSFSSRGPNPVSPNILKPDIAAPGASILASWPPIAPVSDYPGDDRVASYNVISGTSMACPHATGIAAYVKSFHPNWTPAAIQSALITTAKPLSPDLNPEAEFAYGAGQIDPVRAPYPGLVYDATELDYIEFLCTQGYSTKLLQSITGHKSCCSSKTNYGALSDNLNYPSFALSSSNPNSISGVFNRTATNVGSPRSTYKAKVIGATKGLEIKVNPSILSFSSLGQKLSFQVTVKGSIHHKSRVSTSLVWDDGTFQVRSPIVVYSIY >ONI24478 pep chromosome:Prunus_persica_NCBIv2:G2:26129474:26133359:1 gene:PRUPE_2G242200 transcript:ONI24478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPHLFQVVRFLGFVVRPPIMDLVPWSRKGFFHVVWFFLLGLTCTLLVDVTHSDAHPDTRKVYIVYMGDKPKLDIPTTTTLPLHVDMLQNVVGSSNIAQEPLLLHSYKRSFNGFAAKLTEEEAQKMAGMAGVVSVFPNEKQKLHTTRSWNFIGFHENVKRSTVESDIIVGVIDTGVWPESASFSDAGFGPPPKKWKGTCQGSSNFTCNNKIIGARYYRISEPFVKGEIKSPRDSEGHGTHTASTAAGNLVSKASLFGLGLGTARGGVPAARIAVYKACWSTGCSFADTLAAFDDAIADGVDIISASLGPTSPDDYFRTPVTIGAFHALRKGILTSTAAGNDGPALKTITVFAPWCLSVAATTIDREFVTKVQLGNQKIYEGIVTNTFDLKGKFYPLIYAGDAPNRTAGYGGSISKTCKPGTLDHNLVKGKIVLCDGTTGYGAYFAGAVGVILQSRPVADVLDPLPMPASCLGLDSGNSIYYYITSTRNPTATIFKSTEDIDTLSPYVPSFSSRGPNPVSPNILKPDIAAPGASILASWPPIAPVSDYPGDDRVASYNVISGTSMACPHATGIAAYVKSFHPNWTPAAIQSALITTAKPLSPDLNPEAEFAYGAGQIDPVRAPYPGLVYDATELDYIEFLCTQGYSTKLLQSITGHKSCCSSKTNYGALSDNLNYPSFALSSSNPNSISGVFNRTATNVGSPRSTYKAKVIGATKGLEIKVNPSILSFSSLGQKLSFQVTVKGSIHHKSRVSTSLVWDDGTFQVRSPIVVYSIY >ONI25807 pep chromosome:Prunus_persica_NCBIv2:G2:29874711:29878118:1 gene:PRUPE_2G321200 transcript:ONI25807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFKLNSLNSLRALRHLHHSQSAGVRALTTCSSLILKQSNPLLSPPSSSPFASSHNLLAVRNYRSSRDLRNYEITPPTNFGIRIVPEKKAFVIERFGKYVRTLDSGIHFLIPFVDRIAYVHSLKEEVINVPDQSAITKDNVALMIDGVLYIRIMDPMLASYGVDNPINAAIQLAQTTMRIAIGKMTLDKTFEERESLNDEIVTGLNKAATAWGLECLRYEIKDITPPRAVREAMEMQAEAERKKRAQILESEGERQANINIADGKKSAIILAAEGEAQSILAKANATSRGITLVSKTLKESGGAEAAALKIAEQYVEAFGNIAKQGTTVLLPSASNNPAGMISQALTIYKSLVNDPSTIATIGGTKGDTSSEGSERGIRLIEETVDEHNDAKEPGFSLQNRKKGA >ONI25735 pep chromosome:Prunus_persica_NCBIv2:G2:29709309:29715508:1 gene:PRUPE_2G317300 transcript:ONI25735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAAARGSSMPMPPPPTRKEWRAVSDHHSARNVGDEELERSKLGQSDERTIYEQGREPVDVDFCSITIDGTLDQDLLQQQIDDVSRQREELQHMEIELKAQMIATSEIIELQNNFDAQIKDHANAAAKLQEQLHEREQTIHDLERKMEEKDRELHAIKLDNEVAWAKEDLLREQNKELANFREHDHSEAERAQHIQQIHDLQEHIQEKDRQLIELREQHRLAQETILYKDEQLREAQAWITRVQEMDALQSTTIQAELRERTEQYNQLWLGCQRQFAEMERLHMHSIQQLQLELADARERSGTYTDESRIAQSNSKDASQFGQNNGNQLDMNTSSGNTGAIPNGNSDDVQSFPSTGNASTQIDHVAGVPISPSSLLGMPSYLPPGQVTALHPFLMHQQGVPHSMPPQVPQSHVGHFHSIPAMSSHQQWQNQQAPSEGLQISTQNELPSSQNDQSIIRSDVKYNYETSVNGQSLHQDYLDVQINQGAESDPVISSSSGEAQVLQSIDRGFLVSSQPEQSLQQISSQFHNSLRLDSLEQNSETKASEQNVQTLTGHGLEGQVLTTEQPISTTNLSKPDTSIPSVNLMETTINNAAGAVLPELFASTGHKNAPAVGKTSETALLDERSLLACMVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVASHRELFVIEGDYIQLREGAQEMIAATAAAAKVAAAALASCPYSSSLPSVAVTPVAQTHRSRKISSLDSQNVVISTANATDNHLQSVKQNHQLNGVSFGVPGGLSNVKILSKSKECWELNGPETKSSQSSVLLNGGNGAILDRSSASSTQSSGLTNGRLSSNLVGKQHGRMSNAAAFTSRR >ONI25734 pep chromosome:Prunus_persica_NCBIv2:G2:29709301:29715542:1 gene:PRUPE_2G317300 transcript:ONI25734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAAARGSSMPMPPPPTRKEWRAVSDHHSARNVGDEELERSKLGQSDERTIYEQGREPVDVDFCSITIDGTLDQDLLQQQIDDVSRQREELQHMEIELKAQMIATSEIIELQNNFDAQIKDHANAAAKLQEQLHEREQTIHDLERKMEEKDRELHAIKLDNEVAWAKEDLLREQNKELANFRREHDHSEAERAQHIQQIHDLQEHIQEKDRQLIELREQHRLAQETILYKDEQLREAQAWITRVQEMDALQSTTIQAELRERTEQYNQLWLGCQRQFAEMERLHMHSIQQLQLELADARERSGTYTDESRIAQSNSKDASQFGQNNGNQLDMNTSSGNTGAIPNGNSDDVQSFPSTGNASTQIDHVAGVPISPSSLLGMPSYLPPGQVTALHPFLMHQQGVPHSMPPQVPQSHVGHFHSIPAMSSHQQWQNQQAPSEGLQISTQNELPSSQNDQSIIRSDVKYNYETSVNGQSLHQDYLDVQINQGAESDPVISSSSGEAQVLQSIDRGFLVSSQPEQSLQQISSQFHNSLRLDSLEQNSETKASEQNVQTLTGHGLEGQVLTTEQPISTTNLSKPDTSIPSVNLMETTINNAAGAVLPELFASTGHKNAPAVGKTSETALLDERSLLACMVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVASHRELFVIEGDYIQLREGAQEMIAATAAAAKVAAAALASCPYSSSLPSVAVTPVAQTHRSRKISSLDSQNVVISTANATDNHLQSVKQNHQLNGVSFGVPGGLSNVKILSKSKECWELNGPETKSSQSSVLLNGGNGAILDRSSASSTQSSGLTNGRLSSNLVGKQHGRMSNAAAFTSRR >ONI25733 pep chromosome:Prunus_persica_NCBIv2:G2:29709344:29715508:1 gene:PRUPE_2G317300 transcript:ONI25733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAAARGSSMPMPPPPTRKEWRAVSDHHSARNVGDEELERSKLGQSDERTIYEVQQGREPVDVDFCSITIDGTLDQDLLQQQIDDVSRQREELQHMEIELKAQMIATSEIIELQNNFDAQIKDHANAAAKLQEQLHEREQTIHDLERKMEEKDRELHAIKLDNEVAWAKEDLLREQNKELANFREHDHSEAERAQHIQQIHDLQEHIQEKDRQLIELREQHRLAQETILYKDEQLREAQAWITRVQEMDALQSTTIQAELRERTEQYNQLWLGCQRQFAEMERLHMHSIQQLQLELADARERSGTYTDESRIAQSNSKDASQFGQNNGNQLDMNTSSGNTGAIPNGNSDDVQSFPSTGNASTQIDHVAGVPISPSSLLGMPSYLPPGQVTALHPFLMHQQGVPHSMPPQVPQSHVGHFHSIPAMSSHQQWQNQQAPSEGLQISTQNELPSSQNDQSIIRSDVKYNYETSVNGQSLHQDYLDVQINQGAESDPVISSSSGEAQVLQSIDRGFLVSSQPEQSLQQISSQFHNSLRLDSLEQNSETKASEQNVQTLTGHGLEGQVLTTEQPISTTNLSKPDTSIPSVNLMETTINNAAGAVLPELFASTGHKNAPAVGKTSETALLDERSLLACMVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVASHRELFVIEGDYIQLREGAQEMIAATAAAAKVAAAALASCPYSSSLPSVAVTPVAQTHRSRKISSLDSQNVVISTANATDNHLQSVKQNHQLNGVSFGVPGGLSNVKILSKSKECWELNGPETKSSQSSVLLNGGNGAILDRSSASSTQSSGLTNGRLSSNLVGKQHGRMSNAAAFTSRR >ONI25732 pep chromosome:Prunus_persica_NCBIv2:G2:29709118:29715592:1 gene:PRUPE_2G317300 transcript:ONI25732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAAARGSSMPMPPPPTRKEWRAVSDHHSARNVGDEELERSKLGQSDERTIYEVQQGREPVDVDFCSITIDGTLDQDLLQQQIDDVSRQREELQHMEIELKAQMIATSEIIELQNNFDAQIKDHANAAAKLQEQLHEREQTIHDLERKMEEKDRELHAIKLDNEVAWAKEDLLREQNKELANFRREHDHSEAERAQHIQQIHDLQEHIQEKDRQLIELREQHRLAQETILYKDEQLREAQAWITRVQEMDALQSTTIQAELRERTEQYNQLWLGCQRQFAEMERLHMHSIQQLQLELADARERSGTYTDESRIAQSNSKDASQFGQNNGNQLDMNTSSGNTGAIPNGNSDDVQSFPSTGNASTQIDHVAGVPISPSSLLGMPSYLPPGQVTALHPFLMHQQGVPHSMPPQVPQSHVGHFHSIPAMSSHQQWQNQQAPSEGLQISTQNELPSSQNDQSIIRSDVKYNYETSVNGQSLHQDYLDVQINQGAESDPVISSSSGEAQVLQSIDRGFLVSSQPEQSLQQISSQFHNSLRLDSLEQNSETKASEQNVQTLTGHGLEGQVLTTEQPISTTNLSKPDTSIPSVNLMETTINNAAGAVLPELFASTGHKNAPAVGKTSETALLDERSLLACMVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVASHRELFVIEGDYIQLREGAQEMIAATAAAAKVAAAALASCPYSSSLPSVAVTPVAQTHRSRKISSLDSQNVVISTANATDNHLQSVKQNHQLNGVSFGVPGGLSNVKILSKSKECWELNGPETKSSQSSVLLNGGNGAILDRSSASSTQSSGLTNGRLSSNLVGKQHGRMSNAAAFTSRR >ONI25736 pep chromosome:Prunus_persica_NCBIv2:G2:29710145:29715530:1 gene:PRUPE_2G317300 transcript:ONI25736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNWMRRNVQLGALQSNLELERSKLGQSDERTIYEVQQGREPVDVDFCSITIDGTLDQDLLQQQIDDVSRQREELQHMEIELKAQMIATSEIIELQNNFDAQIKDHANAAAKLQEQLHEREQTIHDLERKMEEKDRELHAIKLDNEVAWAKEDLLREQNKELANFRREHDHSEAERAQHIQQIHDLQEHIQEKDRQLIELREQHRLAQETILYKDEQLREAQAWITRVQEMDALQSTTIQAELRERTEQYNQLWLGCQRQFAEMERLHMHSIQQLQLELADARERSGTYTDESRIAQSNSKDASQFGQNNGNQLDMNTSSGNTGAIPNGNSDDVQSFPSTGNASTQIDHVAGVPISPSSLLGMPSYLPPGQVTALHPFLMHQQGVPHSMPPQVPQSHVGHFHSIPAMSSHQQWQNQQAPSEGLQISTQNELPSSQNDQSIIRSDVKYNYETSVNGQSLHQDYLDVQINQGAESDPVISSSSGEAQVLQSIDRGFLVSSQPEQSLQQISSQFHNSLRLDSLEQNSETKASEQNVQTLTGHGLEGQVLTTEQPISTTNLSKPDTSIPSVNLMETTINNAAGAVLPELFASTGHKNAPAVGKTSETALLDERSLLACMVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYKRKYGKLDDFVASHRELFVIEGDYIQLREGAQEMIAATAAAAKVAAAALASCPYSSSLPSVAVTPVAQTHRSRKISSLDSQNVVISTANATDNHLQSVKQNHQLNGVSFGVPGGLSNVKILSKSKECWELNGPETKSSQSSVLLNGGNGAILDRSSASSTQSSGLTNGRLSSNLVGKQHGRMSNAAAFTSRR >ONI21314 pep chromosome:Prunus_persica_NCBIv2:G2:7330455:7333883:-1 gene:PRUPE_2G058900 transcript:ONI21314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKVCKPTVSISFASQFVPLC >ONI21304 pep chromosome:Prunus_persica_NCBIv2:G2:7328098:7334989:-1 gene:PRUPE_2G058900 transcript:ONI21304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKLQDTKTTSGETEVKFQVSRDTLGSMLKSMAYIREQL >ONI21313 pep chromosome:Prunus_persica_NCBIv2:G2:7330455:7333883:-1 gene:PRUPE_2G058900 transcript:ONI21313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKVCKPTVSISFASQFVPLC >ONI21317 pep chromosome:Prunus_persica_NCBIv2:G2:7330455:7333883:-1 gene:PRUPE_2G058900 transcript:ONI21317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKVCKPTVSISFASQFVPLC >ONI21315 pep chromosome:Prunus_persica_NCBIv2:G2:7330455:7333883:-1 gene:PRUPE_2G058900 transcript:ONI21315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKVCKPTVSISFASQFVPLC >ONI21316 pep chromosome:Prunus_persica_NCBIv2:G2:7330455:7333883:-1 gene:PRUPE_2G058900 transcript:ONI21316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKVCKPTVSISFASQFVPLC >ONI21308 pep chromosome:Prunus_persica_NCBIv2:G2:7328076:7335141:-1 gene:PRUPE_2G058900 transcript:ONI21308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKLQDTKTTSGETEVKFQVSRDTLGSMLKSMAYIREQL >ONI21310 pep chromosome:Prunus_persica_NCBIv2:G2:7328098:7334988:-1 gene:PRUPE_2G058900 transcript:ONI21310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKLNDDVLMNQQCLQSVALCISFKIQRQLLEKRRSSFKCPEIH >ONI21305 pep chromosome:Prunus_persica_NCBIv2:G2:7328076:7334994:-1 gene:PRUPE_2G058900 transcript:ONI21305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKLQDTKTTSGETEVKFQVSRDTLGSMLKSMAYIREQL >ONI21309 pep chromosome:Prunus_persica_NCBIv2:G2:7328748:7333883:-1 gene:PRUPE_2G058900 transcript:ONI21309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKLNDDVLMNQQCLQSVALCISFKIQRQLLEKRRSSFKCPEIH >ONI21318 pep chromosome:Prunus_persica_NCBIv2:G2:7330382:7334989:-1 gene:PRUPE_2G058900 transcript:ONI21318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKVCKPTVSISFASQFVPLC >ONI21303 pep chromosome:Prunus_persica_NCBIv2:G2:7328095:7334994:-1 gene:PRUPE_2G058900 transcript:ONI21303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKLQDTKTTSGETEVKFQVSRDTLGSMLKSMAYIREQL >ONI21311 pep chromosome:Prunus_persica_NCBIv2:G2:7328748:7333883:-1 gene:PRUPE_2G058900 transcript:ONI21311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKLNDDVLMNQQCLQSVALCISFKIQRQLLEKRRSSFKCPEIH >ONI21312 pep chromosome:Prunus_persica_NCBIv2:G2:7328748:7333883:-1 gene:PRUPE_2G058900 transcript:ONI21312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKLNDDVLMNQQCLQSVALCISFKIQRQLLEKRRSSFKCPEIH >ONI21306 pep chromosome:Prunus_persica_NCBIv2:G2:7328097:7334988:-1 gene:PRUPE_2G058900 transcript:ONI21306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKLQDTKTTSGETEVKFQVSRDTLGSMLKSMAYIREQL >ONI21307 pep chromosome:Prunus_persica_NCBIv2:G2:7328098:7334994:-1 gene:PRUPE_2G058900 transcript:ONI21307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEVVVDVEGENMEHHMEENTEPGEKQNVNQNFTGREISIQDDGNTKPHVGMEFESEEAAKTLYDAYSRHVGFSTHVGQFSRTKPDGPIVTWDFACSREVFKRKNVESCNAMLRIERKGANSWVATKFVEDHNHSMVSPSKVHYLRPRRHFAGATKNAAETLDATTDVYFATEGNHVSYEPNRGGRSVSPVEPSHPARNLGPVNYIRPSSRKRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWTDARSRTAYNYFGDAVIFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNDKLPVSITTDQDRAIQVAVAHVFPQTRHCICKWHILREGQERLAHTYLAHPSLYGELYSCINFSETIEDFESSWASLLERYDLLRNDWLQAVYNARKQWAPVYFRGTFFAAIFSNQGVSSFFDGYVNQQTSIPLFFKQYERALELSLEKEIEADYDTMCTTPVLKTPSPMEQQAANLYTKKVFAKFQEELVETFVYTANKIEGDGLVSKYRVAKYEHDDKAYIVTLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPPHYILKRWTRNGKSGVGLDEQSSENQGIETLNMRFNNLCREAIKYAEEGAIAVETYNAAMSALREGGKKISVVKKNVAKVTPPSSQPSGNIQEDNMKKSPLPLGEMAPSLWPWQEALPHRFNLNDGGVPVADLNQPSMAPVSIHPDGAHPDNTVVLTCFKSMAWIIENKNSTSAGKVAVINLKLQDYGKNPAGETEVQFRLTRVTLEPMLRSMAYISQQLSAPANRVAVINLKLQDTKTTSGETEVKFQVSRDTLGSMLKSMAYIREQL >ONI24874 pep chromosome:Prunus_persica_NCBIv2:G2:27311520:27313858:1 gene:PRUPE_2G266900 transcript:ONI24874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFIYMCRQCLDPIICRCVLLGSFITNVAFIDLSARVRKWCPVQSAVAWWWVFNYLSLIYWLLCECQKATQVVSAGILINLHGGICFIGNACQKRNG >ONI25689 pep chromosome:Prunus_persica_NCBIv2:G2:29601364:29603497:-1 gene:PRUPE_2G314900 transcript:ONI25689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVGPRLYSCCNCRNHVSLHDDIISKAFQGRHGRAFLFSHAMNVVVGTKEDRHLLTGLHTVADIHCGDCREVLGWKYERAYEASQKYKEGKFIFEKSKIVKEDW >ONI25688 pep chromosome:Prunus_persica_NCBIv2:G2:29601364:29603232:-1 gene:PRUPE_2G314900 transcript:ONI25688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVGPRLYSCCNCRNHVSLHDDIISKAFQGRHGRAFLFSHAMNVVVGTKEDRHLLTGLHTVADIHCGDCREVLGWKYERAYEASQKYKEGKFIFEKSKIVKEDW >ONI25958 pep chromosome:Prunus_persica_NCBIv2:G2:30213607:30216358:-1 gene:PRUPE_2G328900 transcript:ONI25958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICFAPLSASFSGGGCQLKAREMWWSSSSRAESAKLTTQSPRKNIQLRTNRNFAIRAEYSDDSRGGGADFLAGFVLGGAVFGTLAYVFAPQIRRSLLNEDEYGFRKARRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGNNVPTVPIKSDPEVEATM >ONI25960 pep chromosome:Prunus_persica_NCBIv2:G2:30214578:30216233:-1 gene:PRUPE_2G328900 transcript:ONI25960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICFAPLSASFSGGGCQLKAREMWWSSSSRAESAKLTTQSPRKNIQLRTNRNFAIRAEYSSDDSRGGGADFLAGFVLGGAVFGTLAYVFAPQIRRSLLNEDEYGFRKARRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGNNVPTVPIKSDPEVEATM >ONI25961 pep chromosome:Prunus_persica_NCBIv2:G2:30214578:30216233:-1 gene:PRUPE_2G328900 transcript:ONI25961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICFAPLSASFSGGGCQLKAREMWWSSSSRAESAKLTTQSPRKNIQLRTNRNFAIRAEYSSDDSRGGGADFLAGFVLGGAVFGTLAYVFAPQIRRSLLNEDEYGFRKARRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGNNVPTVPIKSDPEVEATM >ONI25963 pep chromosome:Prunus_persica_NCBIv2:G2:30214578:30215925:-1 gene:PRUPE_2G328900 transcript:ONI25963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWSSSSRAESAKLTTQSPRKNIQLRTNRNFAIRAEYSDDSRGGGADFLAGFVLGGAVFGTLAYVFAPQIRRSLLNEDEYGFRKARRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGNNVPTVPIKSDPEVEATM >ONI25957 pep chromosome:Prunus_persica_NCBIv2:G2:30213606:30216358:-1 gene:PRUPE_2G328900 transcript:ONI25957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICFAPLSASFSGGGCQLKAREMWWSSSSRAESAKLTTQSPRKNIQLRTNRNFAIRAEYSDDSRGGGADFLAGFVLGGAVFGTLAYVFAPQIRRSLLNEDEYGFRKARRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGNNVPTVPIKSDPEVEATM >ONI25955 pep chromosome:Prunus_persica_NCBIv2:G2:30213549:30216413:-1 gene:PRUPE_2G328900 transcript:ONI25955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICFAPLSASFSGGGCQLKAREMWWSSSSRAESAKLTTQSPRKNIQLRTNRNFAIRAEYSDDSRGGGADFLAGFVLGGAVFGTLAYVFAPQIRRSLLNEDEYGFRKARRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGNNVPTVPIKSDPEVEATILEESSS >ONI25956 pep chromosome:Prunus_persica_NCBIv2:G2:30213896:30216233:-1 gene:PRUPE_2G328900 transcript:ONI25956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICFAPLSASFSGGGCQLKAREMWWSSSSRAESAKLTTQSPRKNIQLRTNRNFAIRAEYSSDDSRGGGADFLAGFVLGGAVFGTLAYVFAPQIRRSLLNEDEYGFRKARRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGNNVPTVPIKSDPEVEATILEESSS >ONI25962 pep chromosome:Prunus_persica_NCBIv2:G2:30213607:30216358:-1 gene:PRUPE_2G328900 transcript:ONI25962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWSSSSRAESAKLTTQSPRKNIQLRTNRNFAIRAEYSDDSRGGGADFLAGFVLGGAVFGTLAYVFAPQIRRSLLNEDEYGFRKARRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGNNVPTVPIKSDPEVEATM >ONI25959 pep chromosome:Prunus_persica_NCBIv2:G2:30214578:30216301:-1 gene:PRUPE_2G328900 transcript:ONI25959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICFAPLSASFSGGGCQLKAREMWWSSSSRAESAKLTTQSPRKNIQLRTNRNFAIRAEYSDDSRGGGADFLAGFVLGGAVFGTLAYVFAPQIRRSLLNEDEYGFRKARRPIYYDEGLEQKTRQTLNAKISQLNSAIDNVSSRLRGGNNVPTVPIKSDPEVEATM >ONI20936 pep chromosome:Prunus_persica_NCBIv2:G2:4584810:4602989:-1 gene:PRUPE_2G042100 transcript:ONI20936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKNAVELLQRYRRDRRILLDFILAGSLIKKVIMPPGAVTLDDVDLDQVSVDYVLNCAKKGGMLELSEAIRDYHDHTGLPQMNSTGSAGEFFLVTNPEFSGSPPKRAPPPVPDFVPPPVLTPPPGVLSSIPDLDSSPVASSVSKSESFNYTQAQELTVDDIEDFEDDDIDEADSLRISRRIRNDATDLSLGLPSFKTGITEDDLRETAYEVLLACAGAAGGLIVPSKEKKKDKRSKLMRKLGRSRNENPLSQSQRAPGLVGLLETMRVQMEISEAMDIRTRQGLLNALAGKVGKRMDALLVPLELLCCISRTEFSDKKAYIRWQKRQLNMLEEGLLNFPAVGFGESGRKASEFRILLAKIEESEFLPPSTGELQRTECLRSLREIATPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDMLDEGKLTEEVEEILELVKSTWRVLGITETMHYTCYAWVLFRQHVITSEQGVLKHAIEQLKKIPLKEQRGPQERLHLKSLHCRVEGDQGHQDLSFLQSFLLPIQKWADKQLGDYHLHFSEVPVMMENIVAVAMIAQRLLLEEPEAAMMQYTSNTDRDQIESYILSSIKNAFTRILQSVEKSDSKHEHPLALLAEETKKLLKKDTTMFMPILSQRHPQATSVSASLLHRLYGNKLKPFLGVAEHLTEDVISVFPAADNLEQYIMELITSNSGEETADIYCRKLAPYQIGSISGTLVMRWVNSQLGRILGWVERAVQQERWDPISPQQRHGSSIVEVFRIVEETVDQFFDLKVPMRPTELSGLFRGVDNAFQVFANHVIDKLATKEDLIPPVPILTRYKKEVGIKAFVKKELFDPRLPDERRSTEISVRTTPTLCVQLNTLYYAISQLNKLEDSMWERWTRKKPSQKFTKKSLDEKSKSFTQKDTFDGSRKDINAAIDQICEFTGTKIIFWDLREPFINNLYKPSVSLSRFEAVYEPLDTELSQLCAIIVEPLRDRIVTSLLQATLDGLLRVVLDGGPSRIFSLGDAKLLEEDLEVLKEFFISGGDGLPRGVVENQVARVRDVIKLHSYETRELIEDLKSSSGLGVQGCRSKLGADSKTLVRILCHRADSEASLFLKKQYKIPKSTA >ONI20937 pep chromosome:Prunus_persica_NCBIv2:G2:4589229:4602989:-1 gene:PRUPE_2G042100 transcript:ONI20937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKNAVELLQRYRRDRRILLDFILAGSLIKKVIMPPGAVTLDDVDLDQVSVDYVLNCAKKGGMLELSEAIRDYHDHTGLPQMNSTGSAGEFFLVTNPEFSGSPPKRAPPPVPDFVPPPVLTPPPGVLSSIPDLDSSPVASSVSKSESFNYTQAQELTVDDIEDFEDDDIDEADSLRISRRIRNDATDLSLGLPSFKTGITEDDLRETAYEVLLACAGAAGGLIVPSKEKKKDKRSKLMRKLGRSRNENPLSQSQRAPGLVGLLETMRVQMEISEAMDIRTRQGLLNALAGKVGKRMDALLVPLELLCCISRTEFSDKKAYIRWQKRQLNMLEEGLLNFPAVGFGESGRKASEFRILLAKIEESEFLPPSTGELQRTECLRSLREIATPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDMLDEGKLTEEVEEILELVKSTWRVLGITETMHYTCYAWVLFRQHVITSEQGVLKHAIEQLKKIPLKEQRGPQERLHLKSLHCRVEGDQGHQDLSFLQSFLLPIQKWADKQLGDYHLHFSEVPVMMENIVAVAMIAQRLLLEEPEAAMMQYTSNTDRDQIESYILSSIKNAFTRILQSVEKSDSKHEHPLALLAEETKKLLKKDTTMFMPILSQRHPQATSVSASLLHRLYGNKLKPFLGVAEHLTEDVISVFPAADNLEQYIMELITSNSGEETADIYCRKLAPYQIGSISGTLVMRWVNSQLGRILGWVERAVQQERWDPISPQQRHGSSIVEVFRIVEETVDQFFDLKVPMRPTELSGLFRGVDNAFQVFANHVIDKLAPSCTW >ONI20934 pep chromosome:Prunus_persica_NCBIv2:G2:4584701:4602989:-1 gene:PRUPE_2G042100 transcript:ONI20934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKNAVELLQRYRRDRRILLDFILAGSLIKKVIMPPGAVTLDDVDLDQVSVDYVLNCAKKGGMLELSEAIRDYHDHTGLPQMNSTGSAGEFFLVTNPEFSGSPPKRAPPPVPDFVPPPVLTPPPGVLSSIPDLDSSPVASSVSKSESFNYTQAQELTVDDIEDFEDDDIDEADSLRISRRIRNDATDLSLGLPSFKTGITEDDLRETAYEVLLACAGAAGGLIVPSKEKKKDKRSKLMRKLGRSRNENPLSQSQRAPGLVGLLETMRVQMEISEAMDIRTRQGLLNALAGKVGKRMDALLVPLELLCCISRTEFSDKKAYIRWQKRQLNMLEEGLLNFPAVGFGESGRKASEFRILLAKIEESEFLPPSTGELQRTECLRSLREIATPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDMLDEGKLTEEVEEILELVKSTWRVLGITETMHYTCYAWVLFRQHVITSEQGVLKHAIEQLKKIPLKEQRGPQERLHLKSLHCRVEGDQGHQDLSFLQSFLLPIQKWADKQLGDYHLHFSEVPVMMENIVAVAMIAQRLLLEEPEAAMMQYTSNTDRDQIESYILSSIKNAFTRILQSVEKSDSKHEHPLALLAEETKKLLKKDTTMFMPILSQRHPQATSVSASLLHRLYGNKLKPFLGVAEHLTEDVISVFPAADNLEQYIMELITSNSGEETADIYCRKLAPYQIGSISGTLVMRWVNSQLGRILGWVERAVQQERWDPISPQQRHGSSIVEVFRIVEETVDQFFDLKVPMRPTELSGLFRGVDNAFQVFANHVIDKLATKEDLIPPVPILTRYKKEVGIKAFVKKELFDPRLPDERRSTEISVRTTPTLCVQLNTLYYAISQLNKLEDSMWERWTRKKPSQKFTKKSLDEKSKSFTQKDTFDGSRKDINAAIDQICEFTGTKIIFWDLREPFINNLYKPSVSLSRFEAVYEPLDTELSQLCAIIVEPLRDRIVTSLLQATLDGLLRVVLDGGPSRIFSLGDAKLLEEDLEVLKEFFISGGDGLPRGVVENQVARVRDVIKLHSYETRELIEDLKSSSGLGVQGCRSKLGADSKTLVRILCHRADSEASLFLKKQYKIPKSTA >ONI20935 pep chromosome:Prunus_persica_NCBIv2:G2:4585045:4602530:-1 gene:PRUPE_2G042100 transcript:ONI20935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKNAVELLQRYRRDRRILLDFILAGSLIKKVIMPPGAVTLDDVDLDQVSVDYVLNCAKKGGMLELSEAIRDYHDHTGLPQMNSTGSAGEFFLVTNPEFSGSPPKRAPPPVPDFVPPPVLTPPPGVLSSIPDLDSSPVASSVSKSESFNYTQAQELTVDDIEDFEDDDIDEADSLRISRRIRNDATDLSLGLPSFKTGITEDDLRETAYEVLLACAGAAGGLIVPSKEKKKDKRSKLMRKLGRSRNENPLSQSQRAPGLVGLLETMRVQMEISEAMDIRTRQGLLNALAGKVGKRMDALLVPLELLCCISRTEFSDKKAYIRWQKRQLNMLEEGLLNFPAVGFGESGRKASEFRILLAKIEESEFLPPSTGELQRTECLRSLREIATPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDMLDEGKLTEEVEEILELVKSTWRVLGITETMHYTCYAWVLFRQHVITSEQGVLKHAIEQLKKIPLKEQRGPQERLHLKSLHCRVEGDQGHQDLSFLQSFLLPIQKWADKQLGDYHLHFSEVPVMMENIVAVAMIAQRLLLEEPEAAMMQYTSNTDRDQIESYILSSIKNAFTRILQSVEKSDSKHEHPLALLAEETKKLLKKDTTMFMPILSQRHPQATSVSASLLHRLYGNKLKPFLGVAEHLTEDVISVFPAADNLEQYIMELITSNSGEETADIYCRKLAPYQIGSISGTLVMRWVNSQLGRILGWVERAVQQERWDPISPQQRHGSSIVEVFRIVEETVDQFFDLKVPMRPTELSGLFRGVDNAFQVFANHVIDKLATKEDLIPPVPILTRYKKEVGIKAFVKKELFDPRLPDERRSTEISVRTTPTLCVQLNTLYYAISQLNKLEDSMWERWTRKKPSQKFTKKSLDEKSKSFTQKDTFDGSRKDINAAIDQICEFTGTKIIFWDLREPFINNLYKPSVSLSRFEAVYEPLDTELSQLCAIIVEPLRDRIVTSLLQATLDGLLRVVLDGGPSRIFSLGDAKLLEEDLEVLKEFFISGGDGLPRGVVENQVARVRDVIKLHSYETRELIEDLKSSSGLGVQGCRSKLGADSKTLVRILCHRADSEASLFLKKQYKIPKSTA >ONI20938 pep chromosome:Prunus_persica_NCBIv2:G2:4589019:4602989:-1 gene:PRUPE_2G042100 transcript:ONI20938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKNAVELLQRYRRDRRILLDFILAGSLIKKVIMPPGAVTLDDVDLDQVSVDYVLNCAKKGGMLELSEAIRDYHDHTGLPQMNSTGSAGEFFLVTNPEFSGSPPKRAPPPVPDFVPPPVLTPPPGVLSSIPDLDSSPVASSVSKSESFNYTQAQELTVDDIEDFEDDDIDEADSLRISRRIRNDATDLSLGLPSFKTGITEDDLRETAYEVLLACAGAAGGLIVPSKEKKKDKRSKLMRKLGRSRNENPLSQSQRAPGLVGLLETMRVQMEISEAMDIRTRQGLLNALAGKVGKRMDALLVPLELLCCISRTEFSDKKAYIRWQKRQLNMLEEGLLNFPAVGFGESGRKASEFRILLAKIEESEFLPPSTGELQRTECLRSLREIATPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDMLDEGKLTEEVEEILELVKSTWRVLGITETMHYTCYAWVLFRQHVITSEQGVLKHAIEQLKKIPLKEQRGPQERLHLKSLHCRVEGDQGHQDLSFLQSFLLPIQKWADKQLGDYHLHFSEVPVMMENIVAVAMIAQRLLLEEPEAAMMQYTSNTDRDQIESYILSSIKNAFTRILQSVEKSDSKHEHPLALLAEETKKLLKKDTTMFMPILSQRHPQATSVSASLLHRLYGNKLKPFLGVAEHLTEDVISVFPAADNLEQYIMELITSNSGEETADIYCRKLAPYQIGSISGTLVMRWVNSQLGRILGWVERAVQQERWDPISPQQRHGSSIVEVFRIVEETVDQFFDLKVPMRPTELSGLFRGVDNAFQVFANHVIDKLAPSCTW >ONI23815 pep chromosome:Prunus_persica_NCBIv2:G2:24277078:24285105:1 gene:PRUPE_2G209900 transcript:ONI23815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSVSDRSFYIESEDEEDEEKEFNKSIEDGGNDSDSSESYTENQQQNIPSSYNTQWPQSYRQSIDLYSSVPSPSIGFLGTPSLSRLGSSFLSSSLTRRHTPETLPFLTKPSVPIVADEQQQQQQRRSSHSLLPPIHSRRSSIRKDDKPSRVSHEHHPISRHSSFTQAVINGINVLCGVGILSTPYAIKEGGWLGLSILLIFAVLSFYTGLLLRRCLDSQPGLETYPDIGQAAFGTGGRIAISIILYVELYACCIEYIILESDNLSSLFPNAHLSLGGYMLDSRILFAILTTLAVLPTVWLRDLSVLSYISAGGVIASIVVVLCLFWVGLVDGVGFENKGTPLNLSTLPVAMGLYGYCYSGHAVFPNIYTSLAKPNQYPAILLTCFVICTILYGGVAVMGYTMFGESTASQFTLNMPHDLVASKIALWTTVVNPFTKYALTISPVAMSLEELVPSNKSHIYAILIRTALVVSTLVVGLCIPFFGLVMSFIGSLFTMLVTLILPCACYLSILRGRVTRLQATLCIIIIIVGVVSSSFGTYSAVSKIVQSLIH >ONI23813 pep chromosome:Prunus_persica_NCBIv2:G2:24277078:24285105:1 gene:PRUPE_2G209900 transcript:ONI23813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSVSDRSFYIESEDEEDEEKEFNKSIEDGGNDSDSSESYTENQQQNIPSSYNTQWPQSYRQSIDLYSSVPSPSIGFLGTPSLSRLGSSFLSSSLTRRHTPETLPFLTKPSVPIVADEQQQQQQRRSSHSLLPPIHSRRSSIRKDDKPSRVSHEHHPISRHSSFTQAVINGINVLCGVGILSTPYAIKEGGWLGLSILLIFAVLSFYTGLLLRRCLDSQPGLETYPDIGQAAFGTGGRIAISIILYVELYACCIEYIILESDNLSSLFPNAHLSLGGYMLDSRILFAILTTLAVLPTVWLRDLSVLSYISAGGVIASIVVVLCLFWVGLVDGVGFENKGTPLNLSTLPVAMGLYGYCYSGHAVFPNIYTSLAKPNQYPAILLTCFVICTILYGGVAVMGYTMFGESTASQFTLNMPHDLVASKIALWTTVVNPFTKYALTISPVAMSLEELVPSNKSHIYAILIRTALVVSTLVVGLCIPFFGLVMSFIGSLFTMLVTLILPCACYLSILRGRVTRLQATLCIIIIIVGVVSSSFGTYSAVSKIVQSLIH >ONI23814 pep chromosome:Prunus_persica_NCBIv2:G2:24276602:24285105:1 gene:PRUPE_2G209900 transcript:ONI23814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSVSDRSFYIESEDEEDEEKEFNKSIEDGGNDSDSSESYTENQQQNIPSSYNTQWPQSYRQSIDLYSSVPSPSIGFLGTPSLSRLGSSFLSSSLTRRHTPETLPFLTKPSVPIVADEQQQQQQRRSSHSLLPPIHSRRSSIRKDDKPSRVSHEHHPISRHSSFTQAVINGINVLCGVGILSTPYAIKEGGWLGLSILLIFAVLSFYTGLLLRRCLDSQPGLETYPDIGQAAFGTGGRIAISIILYVELYACCIEYIILESDNLSSLFPNAHLSLGGYMLDSRILFAILTTLAVLPTVWLRDLSVLSYISAGGVIASIVVVLCLFWVGLVDGVGFENKGTPLNLSTLPVAMGLYGYCYSGHAVFPNIYTSLAKPNQYPAILLTCFVICTILYGGVAVMGYTMFGESTASQFTLNMPHDLVASKIALWTTVVNPFTKYALTISPVAMSLEELVPSNKSHIYAILIRTALVVSTLVVGLCIPFFGLVMSFIGSLFTMLVTLILPCACYLSILRGRVTRLQATLCIIIIIVGVVSSSFGTYSAVSKIVQSLIH >ONI23816 pep chromosome:Prunus_persica_NCBIv2:G2:24277742:24285106:1 gene:PRUPE_2G209900 transcript:ONI23816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSVSDRSFYIESEDEEDEEKEFNKSIEDGGNDSDSSESYTENQQQNIPSSYNTQWPQSYRQSIDLYSSVPSPSIGFLGTPSLSRLGSSFLSSSLTRRHTPETLPFLTKPSVPIVADEQQQQQQRRSSHSLLPPIHSRRSSIRKDDKPSRVSHEHHPISRHSSFTQAVINGINVLCGVGILSTPYAIKEGGWLGLSILLIFAVLSFYTGLLLRRCLDSQPGLETYPDIGQAAFGTGGRIAISIILYVELYACCIEYIILESDNLSSLFPNAHLSLGGYMLDSRILFAILTTLAVLPTVWLRDLSVLSYISAGGVIASIVVVLCLFWVGLVDGVGFENKGTPLNLSTLPVAMGLYGYCYSGHAVFPNIYTSLAKPNQYPAILLTCFVICTILYGGVAVMGYTMFGESTASQFTLNMPHDLVASKIALWTTVVNPFTKYALTISPVAMSLEELVPSNKSHIYAILIRTALVVSTLVVGLCIPFFGLVMSFIGSLFTMLVTLILPCACYLSILRGRVTRLQATLCIIIIIVGVVSSSFGTYSAVSKIVQSLIH >ONI22001 pep chromosome:Prunus_persica_NCBIv2:G2:15698813:15699022:-1 gene:PRUPE_2G101200 transcript:ONI22001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSTEPCKPKPSRLMGRTQTPKLKQPTSLFVSKRRTQFRKFYTNTIQVLFNSIDCNKMKYNFLVFILT >ONI25796 pep chromosome:Prunus_persica_NCBIv2:G2:29858860:29863196:-1 gene:PRUPE_2G320700 transcript:ONI25796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMKSRVSRIGSYAIASSKRDGHHQQPCITCTTFNILAPIYKRLNHDDPNCRESDYRAYWLARNQRILDCLLAERSSIICLQEFWVGNEELVNIYEKRLGDAGYVNFQLARTNNRGDGLLTAVHKDYFRVVNYQEILFNDCGDRVAQLLHVELATPISQFRNNDIRQDILIVNTHLLFPHDSSLCIVRLHQVYRILQYVESYQKENKLNPMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDTAHHYTDADAHKWVSHRNHRGNICGVDFIWLLNPNSYRKLLKTSWSEAVFGMFKYLLRKASLTEDDAFAFLKADNPGDYITSSGFCEALRQLNMTGHCHGLSLEETKDLWVQADIDGNGILDYAEFQQRIWNPTGSEQRDESGNDCDSGSKGNQEQTIGFSVKNAVLFPPEVEKGTWPEDYSLSDHARLTVVFSPIRMPCSQMIP >ONI25798 pep chromosome:Prunus_persica_NCBIv2:G2:29858898:29863387:-1 gene:PRUPE_2G320700 transcript:ONI25798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMKSRVSRIGSYAIASSKRDGHHQQPCITCTTFNILAPIYKRLNHDDPNCRESDYRAYWLARNQRILDCLLAERSSIICLQEFWVGNEELVNIYEKRLGDAGYVNFQLARTNNRGDGLLTAVHKDYFRVVNYQEILFNDCGDRVAQLLHVELATPISQFRNNDIRQDILIVNTHLLFPHDSSLCIVRLHQVYRILQYVESYQKENKLNPMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDTAHHYTDADAHKWVSHRNHRGNICGVDFIWLLNPNSYRKLLKTSWSEAVFGMFKYLLRKASLTEDDAFAFLKADNPGDYITSSGFCEALRQLNMTGHCHGLSLEETKDLWVQADIDGNGILDYAEFQQRIWNPTGSEQRDESGNDCDSGSKGNQEQTIGFSVKNAVLFPPEVEKGTWPEDYSLSDHARLTVVFSPIRMPCSQMIP >ONI25795 pep chromosome:Prunus_persica_NCBIv2:G2:29858850:29863255:-1 gene:PRUPE_2G320700 transcript:ONI25795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMKSRVSRIGSYAIASSKRDGHHQQPCITCTTFNILAPIYKRLNHDDPNCRESDYRAYWLARNQRILDCLLAERSSIICLQEFWVGNEELVNIYEKRLGDAGYVNFQLARTNNRGDGLLTAVHKDYFRVVNYQEILFNDCGDRVAQLLHVELATPISQFRNNDIRQDILIVNTHLLFPHDSSLCIVRLHQVYRILQYVESYQKENKLNPMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDTAHHYTDADAHKWVSHRNHRGNICGVDFIWLLNPNSYRKLLKTSWSEAVFGMFKYLLRKASLTEDDAFAFLKADNPGDYITSSGFCEALRQLNMTGHCHGLSLEETKDLWVQADIDGNGILDYAEFQQRIWNPTGSEQRDESGNDCDSGSKGNQEQTIGFSVKNAVLFPPEVEKGTWPEDYSLSDHARLTVVFSPIRMPCSQMIP >ONI25797 pep chromosome:Prunus_persica_NCBIv2:G2:29858934:29863107:-1 gene:PRUPE_2G320700 transcript:ONI25797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMKSRVSRIGSYAIASSKRDGHHQQPCITCTTFNILAPIYKRLNHDDPNCRESDYRAYWLARNQRILDCLLAERSSIICLQEFWVGNEELVNIYEKRLGDAGYVNFQLARTNNRGDGLLTAVHKDYFRVVNYQEILFNDCGDRVAQLLHVELATPISQFRNNDIRQDILIVNTHLLFPHDSSLCIVRLHQVYRILQYVESYQKENKLNPMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDTAHHYTDADAHKWVSHRNHRGNICGVDFIWLLNPNSYRKLLKTSWSEAVFGMFKYLLRKASLTEDDAFAFLKADNPGDYITSSGFCEALRQLNMTGHCHGLSLEETKDLWVQADIDGNGILDYAEFQQRIWNPTGSEQRDESGNDCDSGSKGNQEQTIGFSVKNAVLFPPEVEKGTWPEDYSLSDHARLTVVFSPIRMPCSQMIP >ONI22202 pep chromosome:Prunus_persica_NCBIv2:G2:17197875:17203516:-1 gene:PRUPE_2G114000 transcript:ONI22202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVVDAAAKKEANGSLADLNPTVVFVLGGPGSGKGTQCANIVQHFGYTHLSAGDLLRAEIKSGSENGTMISNMIKEGKIVPSEVTIKLLQRAMLEGGNDKFLIDGFPRNEENRAAFEDVTKIEPSFVLFFDCSEEEMERRLLGRNQGREDDNIETIRKRFKVFLESSLPVIEYYNSKGKVRKIDAGRPVEEVYESVKAIFAPKNEKAD >ONI22205 pep chromosome:Prunus_persica_NCBIv2:G2:17197875:17201907:-1 gene:PRUPE_2G114000 transcript:ONI22205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIANLGWTLYSHEIVYISSCNNTDRTMISNMIKEGKIVPSEVTIKLLQRAMLEGGNDKFLIDGFPRNEENRAAFEDVTKIEPSFVLFFDCSEEEMERRLLGRNQGREDDNIETIRKRFKVFLESSLPVIEYYNSKGKVRKIDAGRPVEEVYESVKAIFAPKNEKAD >ONI22206 pep chromosome:Prunus_persica_NCBIv2:G2:17199163:17203349:-1 gene:PRUPE_2G114000 transcript:ONI22206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVVDAAAKKEANGSLADLNPTVVFVLGGPGSGKGTQCANIVQHFGYTHLSAGDLLRAEIKSGSENGTMISNMIKEGKIVPSEVTIKLLQRAMLEGGNDKFLIDGFPRNEENRAAFEDVTKIEPSFVLFFDCSEEEMERRLLGRNQGREDDNIETIRKRFKVFLESSLPVIEYYNSKGKVRKIDAGRPVEEVYESVKAIFAPKNEKVMGHCHALMKCLILFWM >ONI22204 pep chromosome:Prunus_persica_NCBIv2:G2:17197875:17203347:-1 gene:PRUPE_2G114000 transcript:ONI22204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIANLGWTLYSHEIVYISSCNNTDRTMISNMIKEGKIVPSEVTIKLLQRAMLEGGNDKFLIDGFPRNEENRAAFEDVTKIEPSFVLFFDCSEEEMERRLLGRNQGREDDNIETIRKRFKVFLESSLPVIEYYNSKGKVRKIDAGRPVEEVYESVKAIFAPKNEKAD >ONI22203 pep chromosome:Prunus_persica_NCBIv2:G2:17197875:17203516:-1 gene:PRUPE_2G114000 transcript:ONI22203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVVDAAAKKEANGSLADLNPTVVFVLGGPGSGKGTQCANIVQHFGYTHLSAGDLLRAEIKSGSENGTMISNMIKEGKIVPSEVTIKLLQRAMLEGGNDKFLIDGFPRNEENRAAFEDVTKIEPSFVLFFDCSEEEMERRLLGRNQGREDDNIETIRKRFKVFLESSLPVIEYYNSKGKVRKIDAGRPVEEVYESVKAIFAPKNEKAD >ONI22201 pep chromosome:Prunus_persica_NCBIv2:G2:17198854:17202095:-1 gene:PRUPE_2G114000 transcript:ONI22201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVVDAAAKKEANGSLADLNPTVVFVLGGPGSGKGTQCANIVQHFGYTHLSAGDLLRAEIKSGSENGTMISNMIKEGKIVPSEVTIKLLQRAMLEGGNDKFLIDGFPRNEENRAAFEDVTKIEPSFVLFFDCSEEEMERRLLGRNQGREDDNIETIRKRFKVFLESSLPVIEYYNSKGKVRKIDAGRPVEEVYESVKAIFAPKNEKLTKCPFSLSLMLTFSVCRLINCCKCVQDFRSYVY >ONI22985 pep chromosome:Prunus_persica_NCBIv2:G2:21306949:21310389:1 gene:PRUPE_2G162400 transcript:ONI22985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRKPGVIALFDVDGTLTAPRKAVTPEMLGFIRELRKAVTVGIVGGSDLSKITEQLGRTVIDDYDYVFSENGLVAHKDRTLIGTQSLKTFLGEEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFERFDKVQNIRPKMVSILREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEKFQEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPEDTIKQCKALFLSP >ONI24039 pep chromosome:Prunus_persica_NCBIv2:G2:24893725:24898506:-1 gene:PRUPE_2G220500 transcript:ONI24039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKASKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQNLKQSVQPKVDPTKAKKKKEEEKAKEKELNDLFKVAVSQPKVPAGVDPKSILCEFFKVGQCTKGFKCKFSHDLNVQRKGEKIDIYSDKRDDETMEDWDQETLEKVVESKKNEYNQNKPTEIVCKHFLEAVEKKQYGWFWACPNGGKECHYRHALPPGYILKSQMKSLLDEESEKIAIEDEIENQRAKVTTCTPMTTDLFMQWRKKKTEEKEAGLAVQRAERAKNDRMSGRELFLADASVFVDDAEAYEKYQRQEPEAAEEKVKDNSAAAGPSASTSVAGSEEVPIDDDDDDDDDELDLDELNELEASLSRTSIQIREPGIEAS >ONI24040 pep chromosome:Prunus_persica_NCBIv2:G2:24893920:24897899:-1 gene:PRUPE_2G220500 transcript:ONI24040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSRLLLVSQKCQLVCSVDPKSILCEFFKVGQCTKGFKCKFSHDLNVQRKGEKIDIYSDKRDDETMEDWDQETLEKVVESKKNEYNQNKPTEIVCKHFLEAVEKKQYGWFWACPNGGKECHYRHALPPGYILKSQMKSLLDEESEKIAIEDEIENQRAKVTTCTPMTTDLFMQWRKKKTEEKEAGLAVQRAERAKNDRMSGRELFLADASVFVDDAEAYEKYQRQEPEAAEEKVKDNSAAAGPSASTSVAGSEEVPIDDDDDDDDDELDLDELNELEASLSRTSIQIREPGIEAS >ONI24705 pep chromosome:Prunus_persica_NCBIv2:G2:26856121:26863122:-1 gene:PRUPE_2G256800 transcript:ONI24705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGYLTVIVGRRRNSGTNWLIKMKRKRHLYRSTHPFDAYPFEALCCGSWHPVELLGIRSGTMTINFADNHSCVIQNKGPFPNIRVRSRQANSYDCTCFLRPGVDVCVLSTPENTENSEEKIRAPVMVDARINSIKRVPHESHCSCRFYVNFYVNQGPLGSERATLNKDAKRVGIHDIFVFQTLDRDSCANEHYRWEFSADCPTLPRTKLLLGKFLSDISWLLVTSVLKQVSFDVRSVQRKVVYQIVGGDDDSTLSKSDNYLHAVNFRVDDGLLVPIVVEFVPADATGNDPTEGGPSSSSDLLGLRRSKRQNVRPERFLGCDAPAEIEIGYIRSRPYKVDHSDDDDMHIPLSQLFGKHARRSEEHTEAEQKVHYKKLKSSEDLHASKSEDDLASESEDSLECKSKIKSRKVKSDVAKRKKHQAQLAIVPLPDKRDPFALGRSHLNANSPEKSTKEGEEFPAKYYYHYSSKAKRKKNSDLDDMDFQMKWDGKVSTSRASRVYNNRHNSIRSKREGLSGRTYPKRSLSAGAYKELINTFLKDMDCSNKQEPNIMDQWKEFKAGKNPEQQNETEMPEDEDEEEMSETEMLWKEMELALASAYLLDGDEGSQGSTSGGTAQKSGAGCRHEFRLNEEIGMVCLICGFVSIEIGDVSAPFVQNTGWAADDRKINEEQTDDKRAEYEEFNFFHTRTSPDEPEPLSEENDNVWALIPELRRKLLFHQKKAFEFLWKNVAGSLEPALMEHKAKKIGGCVISHSPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWKIPIPVYLIHGRRTYRVFKKKTVTFTGGPKPTDDVLHVLDCLEKIQKWHAQPSVLVMGYTSFLTLMREDSKFVHRKFMAQVLRESPGIVVLDEGHNPRSTKSRLRKGLMKVETDLRILLSGTLFQNNFCEYFNTLCLARPKFVNEVLRQLDPKYRRKKKGKEKARHLMEARARKLFLDQIAKKIDSNEGEDQRIQGLNMLRNITNGFIDVYEGGNSDTLPGLQIYTLLMNTTDIQQEILDKLQDIMSKYHGYPLELELLITLGSIHPWLIKTAACADKFFTTEQLEDLEQYKHDLHKGSKVKFVLSLIYRVVRKEKVLIFCHNIAPVRLFLELFEMVFGWQRGREVLVLTGDLELFERGKVMDKFEEAGGASRVLLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLATGTLEEDKYGRTTWKEWVSSMIFSEAFVEDPSRWQAEKIEDDILREMVAEDKSKSFHMIMKNEKASTVVRGKD >ONI24706 pep chromosome:Prunus_persica_NCBIv2:G2:26855458:26863869:-1 gene:PRUPE_2G256800 transcript:ONI24706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRHLYRSTHPFDAYPFEALCCGSWHPVELLGIRSGTMTINFADNHSCVIQNKGPFPNIRVRSRQANSYDCTCFLRPGVDVCVLSTPENTENSEEKIRAPVMVDARINSIKRVPHESHCSCRFYVNFYVNQGPLGSERATLNKDAKRVGIHDIFVFQTLDRDSCANEHYRWEFSADCPTLPRTKLLLGKFLSDISWLLVTSVLKQVSFDVRSVQRKVVYQIVGGDDDSTLSKSDNYLHAVNFRVDDGLLVPIVVEFVPADATGNDPTEGGPSSSSDLLGLRRSKRQNVRPERFLGCDAPAEIEIGYIRSRPYKVDHSDDDDMHIPLSQLFGKHARRSEEHTEAEQKVHYKKLKSSEDLHASKSEDDLASESEDSLECKSKIKSRKVKSDVAKRKKHQAQLAIVPLPDKRDPFALGRSHLNANSPEKSTKEGEEFPAKYYYHYSSKAKRKKNSDLDDMDFQMKWDGKVSTSRASRVYNNRHNSIRSKREGLSGRTYPKRSLSAGAYKELINTFLKDMDCSNKQEPNIMDQWKEFKAGKNPEQQNETEMPEDEDEEEMSETEMLWKEMELALASAYLLDGDEGSQGSTSGGTAQKSGAGCRHEFRLNEEIGMVCLICGFVSIEIGDVSAPFVQNTGWAADDRKINEEQTDDKRAEYEEFNFFHTRTSPDEPEPLSEENDNVWALIPELRRKLLFHQKKAFEFLWKNVAGSLEPALMEHKAKKIGGCVISHSPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWKIPIPVYLIHGRRTYRVFKKKTVTFTGGPKPTDDVLHVLDCLEKIQKWHAQPSVLVMGYTSFLTLMREDSKFVHRKFMAQVLRESPGIVVLDEGHNPRSTKSRLRKGLMKVETDLRILLSGTLFQNNFCEYFNTLCLARPKFVNEVLRQLDPKYRRKKKGKEKARHLMEARARKLFLDQIAKKIDSNEGEDQRIQGLNMLRNITNGFIDVYEGGNSDTLPGLQIYTLLMNTTDIQQEILDKLQDIMSKYHGYPLELELLITLGSIHPWLIKTAACADKFFTTEQLEDLEQYKHDLHKGSKVKFVLSLIYRVVRKEKVLIFCHNIAPVRLFLELFEMVFGWQRGREVLVLTGDLELFERGKVMDKFEEAGGASRVLLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLATGTLEEDKYGRTTWKEWVSSMIFSEAFVEDPSRWQAEKIEDDILREMVAEDKSKSFHMIMKNEKASTVVRGKD >ONI24708 pep chromosome:Prunus_persica_NCBIv2:G2:26856121:26863869:-1 gene:PRUPE_2G256800 transcript:ONI24708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRHLYRSTHPFDAYPFEALCCGSWHPVELLGIRSGTMTINFADNHSCVIQNKGPFPNIRVRSRQANSYDCTCFLRPGVDVCVLSTPENTENSEEKIRAPVMVDARINSIKRVPHESHCSCRFYVNFYVNQGPLGSERATLNKDAKRVGIHDIFVFQTLDRDSCANEHYRWEFSADCPTLPRTKLLLGKFLSDISWLLVTSVLKQVSFDVRSVQRKVVYQIVGGDDDSTLSKSDNYLHAVNFRVDDGLLVPIVVEFVPADATGNDPTEGGPSSSSDLLGLRRSKRQNVRPERFLGCDAPAEIEIGYIRSRPYKVDHSDDDDMHIPLSQLFGKHARRSEEHTEAEQKVHYKKLKSSEDLHASKSEDDLASESEDSLECKSKIKSRKVKSDVAKRKKHQAQLAIVPLPDKRDPFALGRSHLNANSPEKSTKEGEEFPAKYYYHYSSKAKRKKNSDLDDMDFQMKWDGKVSTSRASRVYNNRHNSIRSKREGLSGRTYPKRSLSAGAYKELINTFLKDMDCSNKQEPNIMDQWKEFKAGKNPEQQNETEMPEDEDEEEMSETEMLWKEMELALASAYLLDGDEGSQGSTSGGTAQKSGAGCRHEFRLNEEIGMVCLICGFVSIEIGDVSAPFVQNTGWAADDRKINEEQTDDKRAEYEEFNFFHTRTSPDEPEPLSEENDNVWALIPELRRKLLFHQKKAFEFLWKNVAGSLEPALMEHKAKKIGGCVISHSPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWKIPIPVYLIHGRRTYRVFKKKTVTFTGGPKPTDDVLHVLDCLEKIQKWHAQPSVLVMGYTSFLTLMREDSKFVHRKFMAQVLRESPGIVVLDEGHNPRSTKSRLRKGLMKVETDLRILLSGTLFQNNFCEYFNTLCLARPKFVNEVLRQLDPKYRRKKKGKEKARHLMEARARKLFLDQIAKKIDSNEGEDQRIQGLNMLRNITNGFIDVYEGGNSDTLPGLQIYTLLMNTTDIQQEILDKLQDIMSKYHGYPLELELLITLGSIHPWLIKTAACADKFFTTEQLEDLEQYKHDLHKGSKVKFVLSLIYRVVRKEKVLIFCHNIAPVRLFLELFEMVFGWQRGREVLVLTGDLELFERGKVMDKFEEAGGASRVLLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLATGTLEEDKYGRTTWKEWVSSMIFSEAFVEDPSRWQAEKIEDDILREMVAEDKSKSFHMIMKNEKASTVVRGKD >ONI24707 pep chromosome:Prunus_persica_NCBIv2:G2:26856121:26862305:-1 gene:PRUPE_2G256800 transcript:ONI24707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRHLYRSTHPFDAYPFEALCCGSWHPVELLGIRSGTMTINFADNHSCVIQNKGPFPNIRVRSRQANSYDCTCFLRPGVDVCVLSTPENTENSEEKIRAPVMVDARINSIKRVPHESHCSCRFYVNFYVNQGPLGSERATLNKDAKRVGIHDIFVFQTLDRDSCANEHYRWEFSADCPTLPRTKLLLGKFLSDISWLLVTSVLKQVSFDVRSVQRKVVYQIVGGDDDSTLSKSDNYLHAVNFRVDDGLLVPIVVEFVPADATGNDPTEGGPSSSSDLLGLRRSKRQNVRPERFLGCDAPAEIEIGYIRSRPYKVDHSDDDDMHIPLSQLFGKHARRSEEHTEAEQKVHYKKLKSSEDLHASKSEDDLASESEDSLECKSKIKSRKVKSDVAKRKKHQAQLAIVPLPDKRDPFALGRSHLNANSPEKSTKEGEEFPAKYYYHYSSKAKRKKNSDLDDMDFQMKWDGKVSTSRASRVYNNRHNSIRSKREGLSGRTYPKRSLSAGAYKELINTFLKDMDCSNKQEPNIMDQWKEFKAGKNPEQQNETEMPEDEDEEEMSETEMLWKEMELALASAYLLDGDEGSQGSTSGGTAQKSGAGCRHEFRLNEEIGMVCLICGFVSIEIGDVSAPFVQNTGWAADDRKINEEQTDDKRAEYEEFNFFHTRTSPDEPEPLSEENDNVWALIPELRRKLLFHQKKAFEFLWKNVAGSLEPALMEHKAKKIGGCVISHSPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWKIPIPVYLIHGRRTYRVFKKKTVTFTGGPKPTDDVLHVLDCLEKIQKWHAQPSVLVMGYTSFLTLMREDSKFVHRKFMAQVLRESPGIVVLDEGHNPRSTKSRLRKGLMKVETDLRILLSGTLFQNNFCEYFNTLCLARPKFVNEVLRQLDPKYRRKKKGKEKARHLMEARARKLFLDQIAKKIDSNEGEDQRIQGLNMLRNITNGFIDVYEGGNSDTLPGLQIYTLLMNTTDIQQEILDKLQDIMSKYHGYPLELELLITLGSIHPWLIKTAACADKFFTTEQLEDLEQYKHDLHKGSKVKFVLSLIYRVVRKEKVLIFCHNIAPVRLFLELFEMVFGWQRGREVLVLTGDLELFERGKVMDKFEEAGGASRVLLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLATGTLEEDKYGRTTWKEWVSSMIFSEAFVEDPSRWQAEKIEDDILREMVAEDKSKSFHMIMKNEKASTVVRGKD >ONI20530 pep chromosome:Prunus_persica_NCBIv2:G2:2081768:2082115:1 gene:PRUPE_2G021200 transcript:ONI20530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCWNCSVRICTLVIRSFSLASMIVTLLLASGSYLKHLLFMWLLSTAMCFTIILAMITYLYVVLVIPESIMNPVVHSIYHYRLRFLYFYYFLLLLVAAFYDIQFVVWLVKKLKSI >ONI20849 pep chromosome:Prunus_persica_NCBIv2:G2:3995340:3999355:1 gene:PRUPE_2G037200 transcript:ONI20849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPLLPAVNGGDVRDYEPVTSWKDARSVTWRETQKLWKIGGPIAFTIICNFGTNSVSTMFVGHLGNLQLSAVSISLSVISTFSFGFLLGMGSALETLCGQAFGAGRVNMLGVYMQRSWIILFTSCVILTPLYVFSAPILKLLGQDEEVADLAGTFTIYVIPQLFSLAITFPSQKFLQAQSKVAVLAWIGFLALIIHIGWLFLFIYVLDWGIYGAALAFDITGWELAICQVIYIIGWCNEGWSGFSWLAFKDIWAFVRLSLESAIMLCLEIWYMMSIIILTGHLGNAVISLDSLSICMNLNGWEAMLFIGINAAVSVRVSNELGLGRPRAAKYAVCVTVLESLLIGIVCMIVILITKDYFSVIFTSDAELQQAVAKLAFLLGITMVLNSVQPVISGVAIGGGWQGLVAYINLGCYYIFGLPLGFLLGYKANWGVKGIWGGMICGTALQTLLLLIVLYRTNWNKEVEQSSERMKKWGGQNVEYEKIADNHI >ONI21108 pep chromosome:Prunus_persica_NCBIv2:G2:5737554:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21142 pep chromosome:Prunus_persica_NCBIv2:G2:5743654:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKAI >ONI21131 pep chromosome:Prunus_persica_NCBIv2:G2:5739649:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21132 pep chromosome:Prunus_persica_NCBIv2:G2:5739649:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21140 pep chromosome:Prunus_persica_NCBIv2:G2:5743654:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKAI >ONI21112 pep chromosome:Prunus_persica_NCBIv2:G2:5739649:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21114 pep chromosome:Prunus_persica_NCBIv2:G2:5739649:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21128 pep chromosome:Prunus_persica_NCBIv2:G2:5739089:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21126 pep chromosome:Prunus_persica_NCBIv2:G2:5738609:5747153:-1 gene:PRUPE_2G049900 transcript:ONI21126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21111 pep chromosome:Prunus_persica_NCBIv2:G2:5739068:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21110 pep chromosome:Prunus_persica_NCBIv2:G2:5739068:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21116 pep chromosome:Prunus_persica_NCBIv2:G2:5739089:5747150:-1 gene:PRUPE_2G049900 transcript:ONI21116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21123 pep chromosome:Prunus_persica_NCBIv2:G2:5739068:5747142:-1 gene:PRUPE_2G049900 transcript:ONI21123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21141 pep chromosome:Prunus_persica_NCBIv2:G2:5743654:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKAI >ONI21138 pep chromosome:Prunus_persica_NCBIv2:G2:5739649:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21113 pep chromosome:Prunus_persica_NCBIv2:G2:5739649:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21143 pep chromosome:Prunus_persica_NCBIv2:G2:5743654:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKAI >ONI21117 pep chromosome:Prunus_persica_NCBIv2:G2:5737554:5747149:-1 gene:PRUPE_2G049900 transcript:ONI21117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21136 pep chromosome:Prunus_persica_NCBIv2:G2:5739649:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21122 pep chromosome:Prunus_persica_NCBIv2:G2:5739068:5747152:-1 gene:PRUPE_2G049900 transcript:ONI21122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21133 pep chromosome:Prunus_persica_NCBIv2:G2:5737554:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21135 pep chromosome:Prunus_persica_NCBIv2:G2:5739044:5747157:-1 gene:PRUPE_2G049900 transcript:ONI21135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21119 pep chromosome:Prunus_persica_NCBIv2:G2:5737554:5747153:-1 gene:PRUPE_2G049900 transcript:ONI21119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21129 pep chromosome:Prunus_persica_NCBIv2:G2:5738874:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21127 pep chromosome:Prunus_persica_NCBIv2:G2:5739044:5747142:-1 gene:PRUPE_2G049900 transcript:ONI21127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21121 pep chromosome:Prunus_persica_NCBIv2:G2:5737554:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21134 pep chromosome:Prunus_persica_NCBIv2:G2:5739649:5746831:-1 gene:PRUPE_2G049900 transcript:ONI21134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21137 pep chromosome:Prunus_persica_NCBIv2:G2:5739044:5747152:-1 gene:PRUPE_2G049900 transcript:ONI21137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21115 pep chromosome:Prunus_persica_NCBIv2:G2:5739053:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21109 pep chromosome:Prunus_persica_NCBIv2:G2:5737554:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21139 pep chromosome:Prunus_persica_NCBIv2:G2:5737554:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21118 pep chromosome:Prunus_persica_NCBIv2:G2:5737554:5747150:-1 gene:PRUPE_2G049900 transcript:ONI21118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21125 pep chromosome:Prunus_persica_NCBIv2:G2:5739074:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21130 pep chromosome:Prunus_persica_NCBIv2:G2:5737554:5747152:-1 gene:PRUPE_2G049900 transcript:ONI21130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21120 pep chromosome:Prunus_persica_NCBIv2:G2:5737554:5747148:-1 gene:PRUPE_2G049900 transcript:ONI21120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI21124 pep chromosome:Prunus_persica_NCBIv2:G2:5738874:5747150:-1 gene:PRUPE_2G049900 transcript:ONI21124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHNIAARIFDRQISTVAPGTSVHHTRRFYENLVPNCTIYEVECPDHLYRKITEDGQYLICFSRNHQDLIVYRPTWLSYSCKEECDTNDLPPKAKRFESFFSQLYCVSLASSNELICKDFFLYMESNQFGLFATSTTQNHDAPVGGAVQGVPSIEKITFHLLRLEDGVVLDQKCFHNDFINLAHNMGVFLYDDLLAIVSIRYQTIHILQIRDSGNLVDIRAIGTFCREDDELFLNSSTQSMLSHEKGKLHQLPENPVENGLHQSEPSSDYCFLSGIKQRLLSFIFRGIWNEEPDQALRVQSLKKKFYFHFQDYVDLVIWKVQFLDRHHLLIKFGSVDGGVSRNADQHPAFFAVYNMETTEIAAFYQNTADELYLLFEQFCDHFHATSRNSLYLNFISSHSNNMHAREQLRSAKNKASSSLQLISATDRHRQSTDHPIKFILRRPPNTLKFKIKTGPEAGSTDSRTKKISSFIFHPFLPLALSIQQNLSLQPSVVNIHFRK >ONI25130 pep chromosome:Prunus_persica_NCBIv2:G2:28096872:28098306:1 gene:PRUPE_2G282800 transcript:ONI25130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDRMRLALNIHSNPITYKHIYIYIHACNISQSNHANLEIISHFDSDKCGLDASIMRPSTGRTFILDKWKKLCMLAFGGIYHHPPLRIRWMMASKPIICKLIKMHPLKTYKLL >ONI22155 pep chromosome:Prunus_persica_NCBIv2:G2:16911442:16912157:1 gene:PRUPE_2G110800 transcript:ONI22155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKISTQKHQQNLISSYRKAYIYHYHNSQLEISTRNKLNHLYLQSLIILVLALASDPDIISDFIVPPNFNGMIDGSLFTFTGFRGIFDQAPKTFKGSKASLVEIPALNGQSVSYAVLQFPPNTLFPPHTRPDATGLLFLVDGSLELQTGDLFIFPKGLVHYQYNSDPQLPATAIAAFGSASARAVTVPPAVFTTGIDDAILAKSFNTDVGTTEKIKAGLTSS >ONI24315 pep chromosome:Prunus_persica_NCBIv2:G2:25658297:25660239:1 gene:PRUPE_2G233600 transcript:ONI24315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSVPVYMDPPNWQQQQTNHQQQGGCNDQNNHQLPPPPPQEAGCNSEGGGSGSSSIRPGSMSDRARLAKMPQPETALKCPRCESINTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRSKGSGGSSRSKSPAAAGNSSSSTVNSSNSCSTSDNIIGHLAHPPPHQLPFLPSMHHLGDYGSGDMIGLNNFGGMNQPADVEFQQHQFGSDRLTVRPNLGINMINTEHWRSSLLQHQVQQFPNFLANLEPPTSSHGMYQFEGGNVHQNVGLLPMSKPLDSVGPGVAATQMANVKMEDNNHQALNLSRNFLGSLGNDHHQYWGNNGAGGNAWTDLSGFTTSSSTSHLL >ONI24314 pep chromosome:Prunus_persica_NCBIv2:G2:25658297:25660239:1 gene:PRUPE_2G233600 transcript:ONI24314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSVPVYMDPPNWQQQQTNHQQQGGCNDQNNHQLPPPPPQEAGCNSEGGGSGSSSIRPGSMSDRARLAKMPQPETALKCPRCESINTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRSKGSGGSSRSKSPAAAGNSSSSTVNSSNSCSTSDNIIGHLAHPPPHQLPFLPSMHHLGDYGSGDMIGLNNFGGMNQPADVEFQQHQFGSDRLTVRPNLGINMINTEHWRSSLLQHQVQQFPNFLANLEPPTSSHGMYQFEGGNVHQNVGLLPMSKPLDSVGPGVAATQMANVKMEDNNHQALNLSRNFLGSLGNDHHQYWGSTKGK >ONI25763 pep chromosome:Prunus_persica_NCBIv2:G2:29803501:29804217:-1 gene:PRUPE_2G319200 transcript:ONI25763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIHYHFSFKPDQYHKNNNHTIDDHAHQLVQNNLAAAAAPSPWLVVPLPVQCIAEFVKNRLPVVQYRDFIRIKTGQPEADEPSNNNMRIVCMNSMEGSQVCLDFWIDEGQLTCPLCRSELVPNSTPKTKDHKEMGDFEEDDPWRAESMVYLFDRPEN >ONI24185 pep chromosome:Prunus_persica_NCBIv2:G2:25448547:25449570:1 gene:PRUPE_2G229300 transcript:ONI24185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVDGENHDEGLERNYQRRPQTRRRSRVEAIEWTLEHHKQFVDTMNRLYLGDLTVRTPRRAILIVELMNNEALRLIPRSTLLHHIGLFQRFQSNQKANAHSVLTRDFERLNINKEEKQDLSFSWTPVYHEDLVEAVIQLGGLGKATAKGILKLLENHRGLTVEAVSYHLFRCSFNF >ONI24186 pep chromosome:Prunus_persica_NCBIv2:G2:25448547:25449490:1 gene:PRUPE_2G229300 transcript:ONI24186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVDGENHDEGLERNYQRRPQTRRRSRVEAIEWTLEHHKQFVDTMNRLYLGDLTVRTPRRAILIVELMNNEALRLIPRSTLLHHIGLFQRFQSNQKANAHSVLTRDFERLNINKEEKQDLSFSWTPVYHEDLVEAVIQLGGLGKATAKGILKLLENHRGLTVEAVSYHLFRLKDLQKMTQTFQEKIH >ONI25273 pep chromosome:Prunus_persica_NCBIv2:G2:28550102:28551897:-1 gene:PRUPE_2G292800 transcript:ONI25273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDHKIRRDSYYIDPVSEKLFRSMKDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLASEVDTESGCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25268 pep chromosome:Prunus_persica_NCBIv2:G2:28550102:28552959:-1 gene:PRUPE_2G292800 transcript:ONI25268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQKSEDWLPTGWTVEVKVRNNGKRDKYYYDTTSGHKFNSKAEVSRYLNTSQISDEVKQKVEETLKRSANDVVVEKTIAEGLPQGWIKEIRMTKKDHKIRRDSYYIDPVSEKLFRSMKDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQTEVDTESGCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25277 pep chromosome:Prunus_persica_NCBIv2:G2:28550102:28551897:-1 gene:PRUPE_2G292800 transcript:ONI25277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDHKIRRDSYYIDPVSEKLFRSMKDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQTEVDTESGCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25275 pep chromosome:Prunus_persica_NCBIv2:G2:28549690:28552779:-1 gene:PRUPE_2G292800 transcript:ONI25275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDHKIRRDSYYIDPVSEKLFRSMKDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQSCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25267 pep chromosome:Prunus_persica_NCBIv2:G2:28549690:28553247:-1 gene:PRUPE_2G292800 transcript:ONI25267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQKSEDWLPTGWTVEVKVRNNGKRDKYYYDTTSGHKFNSKAEVSRYLNTSQISDEVKQKVEETLKRSANDVVVEKTIAEGLPQGWIKEIRMTKKDHKIRRDSYYIDPVSEKLFRSMKDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQSCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25265 pep chromosome:Prunus_persica_NCBIv2:G2:28549690:28553247:-1 gene:PRUPE_2G292800 transcript:ONI25265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQKSEDWLPTGWTVEVKVRNNGKRDKYYYDTTSGHKFNSKAEVSRYLNTSQISDEVKQKVEETLKRSANDVVVEKTIAEGLPQGWIKEIRMTKKDHKIRRDSDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQTEVDTESGCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25266 pep chromosome:Prunus_persica_NCBIv2:G2:28549690:28553248:-1 gene:PRUPE_2G292800 transcript:ONI25266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQKSEDWLPTGWTVEVKVRNNGKRDKYYYDTTSGHKFNSKAEVSRYLNTSQISDEVKQKVEETLKRSANDVVVEKTIAEGLPQGWIKEIRMTKKDHKIRRDSYYIDPVSEKLFRSMKDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLASEVDTESGCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25272 pep chromosome:Prunus_persica_NCBIv2:G2:28550102:28551897:-1 gene:PRUPE_2G292800 transcript:ONI25272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDHKIRRDSDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQTEVDTESGCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25278 pep chromosome:Prunus_persica_NCBIv2:G2:28550102:28551897:-1 gene:PRUPE_2G292800 transcript:ONI25278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDHKIRRDSYYIDPVSEKLFRSMKDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQTEVDTESGCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25276 pep chromosome:Prunus_persica_NCBIv2:G2:28550102:28551897:-1 gene:PRUPE_2G292800 transcript:ONI25276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDHKIRRDSYYIDPVSEKLFRSMKDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQTEVDTESGCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25269 pep chromosome:Prunus_persica_NCBIv2:G2:28549690:28552779:-1 gene:PRUPE_2G292800 transcript:ONI25269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLHYMYYYDTTSGHKFNSKAEVSRYLNTSQISDEVKQKVEETLKRSANDVVVEKTIAEGLPQGWIKEIRMTKKDHKIRRDSYYIDPVSEKLFRSMKDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQSCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25271 pep chromosome:Prunus_persica_NCBIv2:G2:28550102:28551897:-1 gene:PRUPE_2G292800 transcript:ONI25271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDHKIRRDSDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQTEVDTESGCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25270 pep chromosome:Prunus_persica_NCBIv2:G2:28550102:28552728:-1 gene:PRUPE_2G292800 transcript:ONI25270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLHYMYYYDTTSGHKFNSKAEVSRYLNTSQISDEVKQKVEETLKRSANDVVVEKTIAEGLPQGWIKEIRMTKKDHKIRRDSYYIDPVSEKLFRSMKDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQTEVDTESGCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI25274 pep chromosome:Prunus_persica_NCBIv2:G2:28549690:28553247:-1 gene:PRUPE_2G292800 transcript:ONI25274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDHKIRRDSYYIDPVSEKLFRSMKDVNRYLENEEPRRLILEPSHGIDKELEDEKASSSHLNEIMKDGQILNLACKGESPTFPAQTSDQSCLNPTEAKGSEQKEQDGNSYKSEFISVPAGVGLADKQCLESGLFKHESKKTQRSLGKSKKKKELNLPRRASKRLAGVEVDPVPELKTSTRARRVTIKQSGEGVHSTTEGSSSGNSTGSVFRQPGYPEVEPEKHVQNVETMNSGDEKQMCASVFPEEHAGKLETTDKAEGKPGLQPDLPLGDFLTDPCIAFAIETLTGIAFDNSRSNSEQSSGDLATPKDRSGNKEEDNKRSDVVLAPGNLFIPEQHGGKVGKDDKAEQKSGSPVELPFGGLWPDPCIEFAIKTLTGAIPLDYDPHIEEYFQQQLSSSRTQGNSDLTLKNVGLDNFCQTDVLCQQFSEPAHTRNASLHSSGGSGTNQ >ONI24451 pep chromosome:Prunus_persica_NCBIv2:G2:26056714:26062276:1 gene:PRUPE_2G241100 transcript:ONI24451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGDGSSKPTPYEEALDALSSLITKRSRADTSNNGDCFELLSDYLKILELDEPISQLKIIHVAGTKGKGSTCTFTESILRHCGFRTGLFTSPHLIDVRERFRLDGVDISEEKFLAYFWWCFERLKEKASDGIPMPSYFRFLALLAFKIFAAEEVDVAILEVGLGGKYDATNVVKAPVVCGVSSLGYDHMEILGNTLGAIAGEKAGIFKPGVPALTVPQPDEAMCVLEEKASELNVPLQLVRPLDANLLNGFKLGLEGEHQYVNAGLAIALSSTWLQRTGHLEVPYPEHTTSLPEQFIKGLTATVSLQGRAQIVPDNNESPEDLVFYLDGAHSPESMEICARWFSLSIKGDSQEKILSCQPLDASRSSHDLVQRKPDGKSRKNSTQILLFNCMSVRDPQLLLPNLMKTCASHGVYFKKALFVPNTSVYNKVGSHSLPATDSQVDLSWQFALQRVWENLMLGDKGGDEKSTGAVFEELTDDTEMAVRSCENSAVFPSLPSAIKWLRDSVRKSQSVRFQVLVTGSLHLIGDVLKLIKK >ONI24450 pep chromosome:Prunus_persica_NCBIv2:G2:26056714:26062276:1 gene:PRUPE_2G241100 transcript:ONI24450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGDGSSKPTPYEEALDALSSLITKRSRADTSNNGDCFELLSDYLKILELDEPISQLKIIHVAGTKGKGSTCTFTESILRHCGFRTGLFTSPHLIDVRERFRLDGVDISEEKFLAYFWWCFERLKEKASDGIPMPSYFRFLALLAFKIFAAEEVDVAILEVGLGGKYDATNVVKAPVVCGVSSLGYDHMEILGNTLGAIAGEKAGIFKPGVPALTVPQPDEAMCVLEEKASELNVPLQLVRPLDANLLNGFKLGLEGEHQYVNAGLAIALSSTWLQRTGHLEVPYPEHTTSLPEQFIKGLTATVSLQGRAQIVPDNNESPEDLVFYLDGAHSPESMEICARWFSLSIKGDSQEKILSCQPLDASRSSHDLVQRKPDGKSRKNSTQILLFNCMSVRDPQLLLPNLMKTCASHGVYFKKALFVPNTSVYNKVGSHSLPATDSQVDLSWQFALQRVWENLMLGDKAGGDEKSTGAVFEELTDDTEMAVRSCENSAVFPSLPSAIKWLRDSVRKSQSVRFQVLVTGSLHLIGDVLKLIKK >ONI24853 pep chromosome:Prunus_persica_NCBIv2:G2:27244375:27248669:1 gene:PRUPE_2G265700 transcript:ONI24853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMVSAVRGGRLPSLHHHHRRQMILSSSSSSSITGSWGSLRPWKQLNCLSNSKGFTLLARYSQAQDLFSSRFQDSIQDLPKLVEDIVQTSISTGPRGALRMAQGIQAFIGVGGEWLADISKSENSSAGLPTQMQLGLLSPLYLRRLFERMGATYIKLGQFVASAPTLFPSEYVEEFQNCFDRTPAVPFVEIQAILRQELGKPIESVFEYVDPTPLASASIAQVHGARLRGSQEDVVIKILKPGIEDMLVADLNFVYIVARILEFLSPDISRASLVGIVKDIRESMLEEVDFYKEAANIESFRRYLEAMGLTRQATAPKVYHQCSTKRVLTMERLYGVPLTDLDTISSFVSSPETSLITALNVWFGSLLGCESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLGSIATEEYESMASALVEMGATDTNIDSKAFARDLEKMFSSIKDLDTEVVIATAREPATNATAVSANLVVDERQMNALFLDVIRVSESYGLKFPREFALLLKQLLYFDRYTRLLAPNLNMLQDQRISIASNRRSNYRDNFR >ONI23372 pep chromosome:Prunus_persica_NCBIv2:G2:22635793:22638724:-1 gene:PRUPE_2G185100 transcript:ONI23372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKNMEWEQKTLTSELTQGKELAKQLMNYLHPSASQEKRDFLISKILFSYEKALSLLKTDVGSDGESNHIPNTMLESPTSFGNGSPMSEISDQDCKNKNVFKKRKTMPRWTEEVKVFSGTGLDGSLDDGYSWRKYGQKDILGATYPRGYYRCTHRGTQGCLATKQVQKADADPSTMVVTYRGEHTCSQVLQLARSSALSLAKQASTGNQNATREAEKPEASQEMSFGFGAGLRVKTEDLDTREDDIFPSFSFPSTPIEPENVGDHIFCATLMDGYSPTFASPAATFEPDYLQAVSPCQMSSFGLGLDYVQTSESGLSEIISAPTSVTNSPIGDFGFSLDDLDFHHFENSESFAYES >ONI22796 pep chromosome:Prunus_persica_NCBIv2:G2:20675232:20680068:1 gene:PRUPE_2G151900 transcript:ONI22796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSKKISFPLPLDHWLLLLLLLHLILVGLVCVQGNDQKKFYIVYLGDHTVNEDSEAAQTHMDILSSVKESYVEAEESMVYSYTKSFNAFAAKLSSDEAERLSGMDEVLSVLPNRYHKLHTTKSWDFIGLPLKARRNLKLERDIIVGLFDTGITPESKSFKGDGFGPPPAKWKGTCGHFANFSGCNNKIIGAKYFKLDGNPDPTDILSPIDVDGHGTHTSSTLAGNQVPNASLFGLAMGTARGAVPSARVAMYKVCWASSGCTDMDILAAYDAAIHDGVDVISFSISGGVANYVRDSVAIGAFHAMKKGIITVASAGNDGPSLGSVVNHAPWFVTVAASGIDRQFISKVHLGNGKDVSGIGVNTFNPKKNLYPLVSGVDVARNSQTKESARFCVQDSLDPNKVKGKLVLCKLENWGADSVVKGIGGVGTIIEDDQLLDAAQIFMAPGTMVNDTIAEIIDEYIHSTRSPSAVISKSQEAKKRAPFIASFSSRGPNPGSERILKPDIAAPGVDILASYTLRKSLTGLEGDTQYSEFTLMSGTSMSCPHVAGVVAYVKSFHPHWSPAALRSAILTTAKPMSQRGNSDAELSYGVGQVNPTKAVNPGLVYDMDGTLSYIQFLCHEGYKGSSLAPLVGSKSVNCSSLLPGQGYDALNYPTMQLSLKSSKQPTVGVFRRTVTNVGPAKSTYNATIRAPKGVEIIVKPMSLSFSTTLEKRTFQVVVKANPMPSMRMISGSLGWKSSRYTVRSPIVIHSP >ONI23831 pep chromosome:Prunus_persica_NCBIv2:G2:24347149:24348306:-1 gene:PRUPE_2G210800 transcript:ONI23831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTWGRKNKKGRLVIEPESPIEARSSSSVPTEDRPHSANSHTNFSSTSSTSSASASSFKSHIKSSLPENPLIYNKSDIHDALLSRRPGSSRRRLLFGKDVVIFQRESGLPLSYSDSDSELYHRLAQISKSHHTSLIKLLGASLSGPYVYLVYEYVQGANLADCLRNPNNPEFTVLSTWLSRMQVAADVADGLNYMHHSSGDNSVHNHIKSSNIIVSEQKNLQLRAKICHFATAALCCETQSLSATVEGTRVYMAPEAQLTHKCDVYAFGVVILELISGEEPLMEGNGGGGGGGCRRVSVIETARKAVSSCGGVRRWVDRRLKDSFPMEVAEQMVKVALQCVKEDPDSRPDMGRVAGWVANLFLESESWDTEMGRPIDMTISLAPR >ONI23905 pep chromosome:Prunus_persica_NCBIv2:G2:24621786:24626833:-1 gene:PRUPE_2G215500 transcript:ONI23905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHSPFAFLCILLLFFGACFASIQDQVRDRITNLPGQPNVGFAQYSGYVTVNKKAGRALFYWLIESPKNRGPESRPLVLWLNGGPGCSSVAYGAAEEIGPFRIRPDGKTLYLNPYTWNNLANLLFLESPAGVGFSYSNTTTDLYTTGDQRTAEDAYAFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSHLVYERNKGIQNPVINFKGFLVGNAVTDDYHDYVGAFQYWWTHGLISDSTYRMLRVTCDFGSAQHPSVECMRALKMAEMEQGNIDPYSIFTRPCNSTESLKHNLRGHYPWMSRAYDPCTERYSEVYFNHPEVQRAFHANVTGISYPWQTCSDIVGTYWADSPLSMLPIYRELIAAGLRIWVYSGDTDAVVPVTATRYSIDALKLPTITNWSPWYDNGKVGGWNQIYKGLTFVTVTGAGHEVPLHRPRQAFIVFKSFLEGKPMAS >ONI23906 pep chromosome:Prunus_persica_NCBIv2:G2:24622665:24625879:-1 gene:PRUPE_2G215500 transcript:ONI23906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHSPFAFLCILLLFFGACFASIQDQVRDRITNLPGQPNVGFAQYSGYVTVNKKAGRALFYWLIESPKNRGPESRPLVLWLNGGPGCSSVAYGAAEEIGPFRIRPDGKTLYLNPYTWNNLANLLFLESPAGVGFSYSNTTTDLYTTGDQRTAEDAYAFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSHLVYERNKGIQNPVINFKGFLVGNAVTDDYHDYVGAFQYWWTHGLISDSTYRMLRVTCDFGSAQHPSVECMRALKMAEMEQGNIDPYSIFTRPCNSTESLKHNLRGHYPWMSRAYDPCTERYSEVYFNHPEVQRAFHANVTGISYPWQTCSDIVGTYWADSPLSMLPIYRELIAAGLRIWVYS >ONI22690 pep chromosome:Prunus_persica_NCBIv2:G2:20175979:20182447:1 gene:PRUPE_2G144900 transcript:ONI22690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSDMSGREPLYRKAFVFFSSPIPKELVNHIKSDTSVLPRIGALREMNFEYFPVDSQAFTTDQERAMEELFGNFGNARRFDACLNIMATRIATVFASLKELPFVRYRSAKALDESTEPTPSDLVATKLAATVWDIISKYKSSIPNFPQKETCDLLILDRSVDQIAPVIHEWTYDAMCHDLLDMDGNKYKQEVPSKTGGDPEKKEVLLEDNDPVWLELRHTHIADASERLHDKFTNFASKNKAAQLQQSAREGNELSTRDLQKMVQALPQYTEQVEKISLHVEIAGKINKIIKETGLRGLGQLEQDLVFGDAGAKEVINYLRTNQDTTPENKLRLLMIYASVYPEKFEGDKATKLMQLAKLSSEDMKVVNNMRLLGGSSESKKTSSSFSLKFNAAKTKQAARKDRVGEEETWQLSRFYPMIEELIENLNKGELLKNEYSCINEPIPAPQGGSLRGSRSSSAQMSQPTTAPHSMRSRRTANWGRARHSDDGYSSDSALRGACTDFKKMGQRIFVFMIGGATRSELRVCHKLTTKLRREVILGTTSIIESPQYITKLKLLSEKELALDGLKI >ONI22689 pep chromosome:Prunus_persica_NCBIv2:G2:20174534:20182447:1 gene:PRUPE_2G144900 transcript:ONI22689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSDSDSSSHGGATEYKIFRQVSRDRLLHEMLGSTRTEKSKSWKVLIMDKITVKVMSHSCKMADITDQEISLVEDLFRRREPLPSMDVIYFIQPTKENIVMFLSDMSGREPLYRKAFVFFSSPIPKELVNHIKSDTSVLPRIGALREMNFEYFPVDSQAFTTDQERAMEELFGNFGNARRFDACLNIMATRIATVFASLKELPFVRYRSAKALDESTEPTPSDLVATKLAATVWDIISKYKSSIPNFPQKETCDLLILDRSVDQIAPVIHEWTYDAMCHDLLDMDGNKYKQEVPSKTGGDPEKKEVLLEDNDPVWLELRHTHIADASERLHDKFTNFASKNKAAQLQQSAREGNELSTRDLQKMVQALPQYTEQVEKISLHVEIAGKINKIIKETGLRGLGQLEQDLVFGDAGAKEVINYLRTNQDTTPENKLRLLMIYASVYPEKFEGDKATKLMQLAKLSSEDMKVVNNMRLLGGSSESKKTSSSFSLKFNAAKTKQAARKDRVGEEETWQLSRFYPMIEELIENLNKGELLKNEYSCINEPIPAPQGGSLRGSRSSSAQMSQPTTAPHSMRSRRTANWGRARHSDDGYSSDSALRGACTDFKKMGQRIFVFMIGGATRSELRVCHKLTTKLRREVILGTTSIIESPQYITKLKLLSEKELALDGLKI >ONI26015 pep chromosome:Prunus_persica_NCBIv2:G2:30293196:30297772:1 gene:PRUPE_2G329700 transcript:ONI26015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAAVIGLSTGKRLLNSSFYYSDITEKLFHLNDHHGFLHCHFSSTKNVVTARKPSNCSSRFPSSNRPQQSIRALKEHVQTASAPSSATQQWFQELNDLEEESSDLEYSVEALLLLQKSMLEKQWNLSFEKKVLRDSTSKTTDKKIPVTCSGVSARQRRMTSTKRKTFNKSTCVMHPSTNKPLRAIVSPELLQNHVKGYVKGVLSEDLLTHTEVVRLSKKIKVGLSVEEHKSRLKERLGCEPSDEQLATSLRISRAQLQSKLIECKLAREKLAMSNVRLVMSIAQRYDNMGAEMADLIQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSRALVENSRTLRLPTHLHERLGLIRNAKIRLEEKGITPSIDRIAESLNMSKKKVRNATEAISKVFSLDRDAFPSLNGLPGETHHSYIADNRLENIPWHGVDAWALKEEVSKLINMMLGEREKEIIQLYYGLENECLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKREMEAMLLKH >ONI26016 pep chromosome:Prunus_persica_NCBIv2:G2:30292770:30297663:1 gene:PRUPE_2G329700 transcript:ONI26016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAAVIGLSTGKRLLNSSFYYSDITEKLFHLNDHHGFLHCHFSSTKNVVTARKPSNCSSRFPSSNRPQQSIRALKEHVQTASAPSSATQQWFQELNDLEEESSDLEYSVEALLLLQKSMLEKQWNLSFEKKVLRDSTSKTTDKKIPVTCSGVSARQRRMTSTKRKTFNKSTCVMHPSTNKPLRAIVSPELLQNHVKGYVKGVLSEDLLTHTEVVRLSKKIKVGLSVEEHKSRLKERLGCEPSDEQLATSLRISRAQLQSKLIECKLAREKLAMSNVRLVMSIAQRYDNMGAEMADLIQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSRALVENSRTLRLPTHLHERLGLIRNAKIRLEEKGITPSIDRIAESLNMSKKKVRNATEAISKVFSLDRDAFPSLNGLPGETHHSYIADNRLENIPWHGVDAWALKEEVSKLINMMLGEREKEIIQLYYGLENECLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKREMEAMLLKH >ONI25831 pep chromosome:Prunus_persica_NCBIv2:G2:29915904:29919743:1 gene:PRUPE_2G322400 transcript:ONI25831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQNNFQLESGKLEQIVSQFLLKSLHIVLDSRIPSLHPHDRSGDLSSVPQVRKSDKWFNLILGDRPAALENLNFWHRNVMDPMIIDIILVRGGPSSSSVDNLYVNSVEGTSVETIIERWVVRYETPRVVAPQTGDTSASYKKTYKKSIILLRTLYSYMRLLPAYRIFRQLSTSSQTYNFDIIYKVSSLRDPFSRAEEELMEEYSFAPVEAHPGCLSLSVTYRSTLSDFNLEPAAPMPPRIITDYVGSPATDPLRSFPSSEKGVCATSFPRGGIPPPYTVPLQRPHSWTSGICRPPPFIHNKPLVGSPPAYRAPPMSHDVGSPPIDTFANRVQNYRPLGGHQRNMSYDEYQLSPPFSPSPSPSPPTYLSSGNLNPMQTRIRSGTAPVSIPLPMGSRSPRYLSPNLSDPSRNSLPPFSPRSIRNDASSQESPSVIRAFRKLEASRAGEMHPGTSNHMVGQKVMKDSKDDSGRFSGLLSSSGSPRVGFSRSSSRLSFQDDLDGFEFSCPFDVDDVDTSDSQVSQNLDGRKASEYTSQSLPIGRKSHDAAVGVLVQMLRTAPPLRQDSSCYSSQSVKPEHEGGVATASGFFMPRKTSDALEELRSYKEMKDLLLSKSGMRVLAKEEA >ONI25830 pep chromosome:Prunus_persica_NCBIv2:G2:29916289:29919743:1 gene:PRUPE_2G322400 transcript:ONI25830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQNNFQLESGKLEQIVSQFLLKSLHIVLDSRIPSLHPHDRSGDLSSVPQVRKSDKWFNLILGDRPAALENLNFWHRNVMDPMIIDIILVRGGPSSSSVDNLYVNSVEGTSVETIIERWVVRYETPRVVAPQTGDTSASYKKTYKKSIILLRTLYSYMRLLPAYRIFRQLSTSSQTYNFDIIYKVSSLRDPFSRAEEELMEEYSFAPVEAHPGCLSLSVTYRSTLSDFNLEPAAPMPPRIITDYVGSPATDPLRSFPSSEKGVCATSFPRGGIPPPYTVPLQRPHSWTSGICRPPPFIHNKPLVGSPPAYRAPPMSHDVGSPPIDTFANRVQNYRPLGGHQRNMSYDEYQLSPPFSPSPSPSPPTYLSSGNLNPMQTRIRSGTAPVSIPLPMGSRSPRYLSPNLSDPSRNSLPPFSPRSIRNDASSQESPSVIRAFRKLEASRAGEMHPGTSNHMVGQKVMKDSKDDSGRFSGLLSSSGSPRVGFSRSSSRLSFQDDLDGFEFSCPFDVDDVDTSDSQVSQNLDGRKASEYTSQSLPIGRKSHDAAVGVLVQMLRTAPPLRQDSSCYSSQSVKPEHEGGVATASGFFMPRKTSDALEELRSYKEMKDLLLSKSGMRVLAKEEA >ONI21809 pep chromosome:Prunus_persica_NCBIv2:G2:14280276:14284252:1 gene:PRUPE_2G090300 transcript:ONI21809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVAPNLMEVSATATASVHKENGVVANPPKELDAGALFVLKSQGSWLHCGYHLTTSIAAPALLSLPFALTLLGWVGGLICLALAGLVTFYSYNLLSLVLEHQEKLGHRQLRFRDMARDILGPGWGKYFVGPLQFWICYGAVIACTLLGGQSLKFIYLLSNPDGKMKLYQFITMFGGVTLLLAQMPSFHSLRHINLVSLILCLAFSACVTAGSIYIGHSNKAPVRDYTVKGSAKDRSFGIFNAISIIATTYASGIIPEIQATLAPPVKGKMFKGLCVCYSVIVTTYFSVAISGYWAFGNQAMGTVLSNFMGDEKPLLPTWFLLMTNVFTLSQVSAVTVVYLQPTNEVFEKKFADPKMPQFSIRNVVPRLILRSLSVVVATIFAAMLPFFGDIMALFGAFGCIPLDFILPMIFYNVTFKPSKQSLIFWVNTLIAGVSFLLVGVGAVASVRQIVLDAKTYRLFANM >ONI20504 pep chromosome:Prunus_persica_NCBIv2:G2:1924805:1929887:1 gene:PRUPE_2G019600 transcript:ONI20504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKVQGKALKGQSQKVQQAVLEKFRTGGYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRRFSAWGELEGSMMEELISFSHMNNLTVAETTLIAKYFYPHEITWKPSVIAFPHFQTYPSRVYKGEICLKKCLGVDTAEQHDNNGEDFLNPDDSEVSPTGTLETEEKHNELNFPDVVTVDASGNVLVIVVPVFPWKDLSHSMSTSASIIKLDYLKQNSCHARTSDEDHTELTTEAGPSGDLKSTPITCMKNEVSFKSRCCVLDSKASLFLADEDNNFFRDGELSPRLTNLIRSGVVPESPIQNSGLSNNTDEYLEPEPVSPAQLHTGILLKCSSPGKSKKVNMRGNACGRNVSVSPVDNEIQTPLHNKGETASIRGCTSTSPIIDRAQTVLADLTNNSCGKDWHLSSGDKSESVKQARKFKRLRKVGDHWKSKKKSVDDVRVFIEEEAEKRNRHRLRRHLHPIDIQLPVQEVMDEIGGEVYDQEHRKSALLEETTLPISISLRYFYA >ONI20589 pep chromosome:Prunus_persica_NCBIv2:G2:2274247:2279248:1 gene:PRUPE_2G023500 transcript:ONI20589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGEIFLGAFLQVLLDKLAPREILNYFGLVKGVDKKLNKWSDNLSAIVAVLNDAEEKQLIEHRVKLWLDELRDLAYDVDDVLDKFATKILKRQIEGRDQASTSKKVQSLFPKLKLNFDMNSEIKKITERLQEISERKDKFGLKGTGTSSKAWSRPPTSGVLGGLTIVGREGDKAKILDMLSRDEHNNVNFHVVAIVGMAGLGKTTLAQFAFNNNSDVMKEFEPRVWVSVSDDFDIVRVTKAILESVTSQPVKVEEFSKMQHDLNEQLRGKKFLIVLDDIWNKGDLYDLWTRLQSPFSVGAQGSKIIVTTRDLKVAKIMGATEVHNLESMSDDNCLEIFEQHAFANINNDRPPNFELIQKKIAEKCSGLPLAARTLGGLLRQNEINEWEEILNNKLWNLSGKSDILPVLKLSYHYLPSNLKRCFAYCSIFPNDYEFGEKQLILLWMAEGLIQQPPEANRQMEDLGHDYFQELLCRSLFQKASENSSRYVMHDLVTDLAKWAAGNTCFRLEDKKGDSLQSVCFRHSSFIIGEFDGVQKFEAYREVKRLRTFLPLSLSDTRWSRQYLARTVIFDLLPQMQYLRVLSLNGYQITELPDSIGNLKYLRYLDFSHTNITSLPESTTTLFNLQTLILEGCRYLKALPMNLRNLVNLRHLNNSDVGSLKAMPPQLGRLTNLQSLPNFVVGKGSDESGIREIGSLSHLRGTLSLSRLENVIDAEDARKADLKSKERVDELVLEWSDNTQETQLGVLDRLEPHRKLEKLIIRGYAGLEFSTWIGDRLFSTIYAQLEEWLPFAQDQVFPCLKLLSIRNCPQLEGKVPENLDSLATLQIIKCEELVISISNYKQIGRLWIDGCKAVVETSGVEFELLNSLGLSNISEVRFQTGEFTKGLRKVANLRIGGCEELTSSLKNEDRVLQHLISLDCLVIEGNSSLLEKLGKEAEELLQLQILTCKLKYLLLYKCASLSKVPEGLHHLTALQDLQIVGCSSLVSFPDVGLPPSLEVIRIEECDSLLYFAKYQIPPNLRRIEITRCKSLKSLVEKDEDSSSSSSSSHISLEHLEILGCESLTLLSLRAQLFPRALKSLRISYCPNLKSLPEGLCYLTNLQTLETYRCGSLVSIPSLSGEGLPSPTTTAASSLKEIYIENCNKLEMLPDMCNLNCLQELNIDYGEGLNFTSFPPNLTSLTISGFKNCKPLWELLHRLTSLTGLSINGEDPSVVSFPPNSYREMEMEMLLPESLTHLSIGGFPNLKKLSSKGFQSLTSLRSLRLHNSPKLASIPVEGLPISLRELKIIRCPLLKDKCQPGSKGRYLPKISHIPRIGIWD >ONI20587 pep chromosome:Prunus_persica_NCBIv2:G2:2274247:2279248:1 gene:PRUPE_2G023500 transcript:ONI20587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGEIFLGAFLQVLLDKLAPREILNYFGLVKGVDKKLNKWSDNLSAIVAVLNDAEEKQLIEHRVKLWLDELRDLAYDVDDVLDKFATKILKRQIEGRDQASTSKKVQSLFPKLKLNFDMNSEIKKITERLQEISERKDKFGLKGTGTSSKAWSRPPTSGVLGGLTIVGREGDKAKILDMLSRDEHNNVNFHVVAIVGMAGLGKTTLAQFAFNNNSDVMKEFEPRVWVSVSDDFDIVRVTKAILESVTSQPVKVEEFSKMQHDLNEQLRGKKFLIVLDDIWNKGDLYDLWTRLQSPFSVGAQGSKIIVTTRDLKVAKIMGATEVHNLESMSDDNCLEIFEQHAFANINNDRPPNFELIQKKIAEKCSGLPLAARTLGGLLRQNEINEWEEILNNKLWNLSGKSDILPVLKLSYHYLPSNLKRCFAYCSIFPNDYEFGEKQLILLWMAEGLIQQPPEANRQMEDLGHDYFQELLCRSLFQKASENSSRYVMHDLVTDLAKWAAGNTCFRLEDKKGDSLQSVCFRHSSFIIGEFDGVQKFEAYREVKRLRTFLPLSLSDTRWSRQYLARTVIFDLLPQMQYLRVLSLNGYQITELPDSIGNLKYLRYLDFSHTNITSLPESTTTLFNLQTLILEGCRYLKALPMNLRNLVNLRHLNNSDVGSLKAMPPQLGRLTNLQSLPNFVVGKGSDESGIREIGSLSHLRGTLSLSRLENVIDAEDARKADLKSKERVDELVLEWSDNTQETQLGVLDRLEPHRKLEKLIIRGYAGLEFSTWIGDRLFSTIYAQLEEWLPFAQDQVFPCLKLLSIRNCPQLEGKVPENLDSLATLQIIKCEELVISISNYKQIGRLWIDGCKAVVETSGVEFELLNSLGLSNISEVRFQTGEFTKGLRKVANLRIGGCEELTSSLKNEDRVLQHLISLDCLVIEGNSSLLEKLGKEAEELLQLQILTCKLKYLLLYKCASLSKVPEGLHHLTALQDLQIVGCSSLVSFPDVGLPPSLEVIRIEECDSLLYFAKYQIPPNLRRIEITRCKSLKSLVEKDEDSSSSSSSSHISLEHLEILGCESLTLLSLRAQLFPRALKSLRISYCPNLKSLPEGLCYLTNLQTLETYRCGSLVSIPSLSGEGLPSPTTTAASSLKEIYIENCNKLEMLPDMCNLNCLQELNIDYGEGLNFTSFPPNLTSLTISGFKNCKPLWELLHRLTSLTGLSINGEDPSVVSFPPNSYREMEMEMLLPESLTHLSIGGFPNLKKLSSKGFQSLTSLRSLRLHNSPKLASIPVEGLPISLRELKIIRCPLLKDKCQPGSKGRYLPKISHIPRIGIWD >ONI20590 pep chromosome:Prunus_persica_NCBIv2:G2:2273733:2279248:1 gene:PRUPE_2G023500 transcript:ONI20590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGEIFLGAFLQVLLDKLAPREILNYFGLVKGVDKKLNKWSDNLSAIVAVLNDAEEKQLIEHRVKLWLDELRDLAYDVDDVLDKFATKILKRQIEGRDQASTSKKVQSLFPKLKLNFDMNSEIKKITERLQEISERKDKFGLKGTGTSSKAWSRPPTSGVLGGLTIVGREGDKAKILDMLSRDEHNNVNFHVVAIVGMAGLGKTTLAQFAFNNNSDVMKEFEPRVWVSVSDDFDIVRVTKAILESVTSQPVKVEEFSKMQHDLNEQLRGKKFLIVLDDIWNKGDLYDLWTRLQSPFSVGAQGSKIIVTTRDLKVAKIMGATEVHNLESMSDDNCLEIFEQHAFANINNDRPPNFELIQKKIAEKCSGLPLAARTLGGLLRQNEINEWEEILNNKLWNLSGKSDILPVLKLSYHYLPSNLKRCFAYCSIFPNDYEFGEKQLILLWMAEGLIQQPPEANRQMEDLGHDYFQELLCRSLFQKASENSSRYVMHDLVTDLAKWAAGNTCFRLEDKKGDSLQSVCFRHSSFIIGEFDGVQKFEAYREVKRLRTFLPLSLSDTRWSRQYLARTVIFDLLPQMQYLRVLSLNGYQITELPDSIGNLKYLRYLDFSHTNITSLPESTTTLFNLQTLILEGCRYLKALPMNLRNLVNLRHLNNSDVGSLKAMPPQLGRLTNLQSLPNFVVGKGSDESGIREIGSLSHLRGTLSLSRLENVIDAEDARKADLKSKERVDELVLEWSDNTQETQLGVLDRLEPHRKLEKLIIRGYAGLEFSTWIGDRLFSTIYAQLEEWLPFAQDQVFPCLKLLSIRNCPQLEGKVPENLDSLATLQIIKCEELVISISNYKQIGRLWIDGCKAVVETSGVEFELLNSLGLSNISEVRFQTGEFTKGLRKVANLRIGGCEELTSSLKNEDRVLQHLISLDCLVIEGNSSLLEKLGKEAEELLQLQILTCKLKYLLLYKCASLSKVPEGLHHLTALQDLQIVGCSSLVSFPDVGLPPSLEVIRIEECDSLLYFAKYQIPPNLRRIEITRCKSLKSLVEKDEDSSSSSSSSHISLEHLEILGCESLTLLSLRAQLFPRALKSLRISYCPNLKSLPEGLCYLTNLQTLETYRCGSLVSIPSLSGEGLPSPTTTAASSLKEIYIENCNKLEMLPDMCNLNCLQELNIDYGEGLNFTSFPPNLTSLTISGFKNCKPLWELLHRLTSLTGLSINGEDPSVVSFPPNSYREMEMEMLLPESLTHLSIGGFPNLKKLSSKGFQSLTSLRSLRLHNSPKLASIPVEGLPISLRELKIIRCPLLKDKCQPGSKGRYLPKISHIPRIGIWD >ONI20585 pep chromosome:Prunus_persica_NCBIv2:G2:2274652:2279248:1 gene:PRUPE_2G023500 transcript:ONI20585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGEIFLGAFLQVLLDKLAPREILNYFGLVKGVDKKLNKWSDNLSAIVAVLNDAEEKQLIEHRVKLWLDELRDLAYDVDDVLDKFATKILKRQIEGRDQASTSKKVQSLFPKLKLNFDMNSEIKKITERLQEISERKDKFGLKGTGTSSKAWSRPPTSGVLGGLTIVGREGDKAKILDMLSRDEHNNVNFHVVAIVGMAGLGKTTLAQFAFNNNSDVMKEFEPRVWVSVSDDFDIVRVTKAILESVTSQPVKVEEFSKMQHDLNEQLRGKKFLIVLDDIWNKGDLYDLWTRLQSPFSVGAQGSKIIVTTRDLKVAKIMGATEVHNLESMSDDNCLEIFEQHAFANINNDRPPNFELIQKKIAEKCSGLPLAARTLGGLLRQNEINEWEEILNNKLWNLSGKSDILPVLKLSYHYLPSNLKRCFAYCSIFPNDYEFGEKQLILLWMAEGLIQQPPEANRQMEDLGHDYFQELLCRSLFQKASENSSRYVMHDLVTDLAKWAAGNTCFRLEDKKGDSLQSVCFRHSSFIIGEFDGVQKFEAYREVKRLRTFLPLSLSDTRWSRQYLARTVIFDLLPQMQYLRVLSLNGYQITELPDSIGNLKYLRYLDFSHTNITSLPESTTTLFNLQTLILEGCRYLKALPMNLRNLVNLRHLNNSDVGSLKAMPPQLGRLTNLQSLPNFVVGKGSDESGIREIGSLSHLRGTLSLSRLENVIDAEDARKADLKSKERVDELVLEWSDNTQETQLGVLDRLEPHRKLEKLIIRGYAGLEFSTWIGDRLFSTIYAQLEEWLPFAQDQVFPCLKLLSIRNCPQLEGKVPENLDSLATLQIIKCEELVISISNYKQIGRLWIDGCKAVVETSGVEFELLNSLGLSNISEVRFQTGEFTKGLRKVANLRIGGCEELTSSLKNEDRVLQHLISLDCLVIEGNSSLLEKLGKEAEELLQLQILTCKLKYLLLYKCASLSKVPEGLHHLTALQDLQIVGCSSLVSFPDVGLPPSLEVIRIEECDSLLYFAKYQIPPNLRRIEITRCKSLKSLVEKDEDSSSSSSSSHISLEHLEILGCESLTLLSLRAQLFPRALKSLRISYCPNLKSLPEGLCYLTNLQTLETYRCGSLVSIPSLSGEGLPSPTTTAASSLKEIYIENCNKLEMLPDMCNLNCLQELNIDYGEGLNFTSFPPNLTSLTISGFKNCKPLWELLHRLTSLTGLSINGEDPSVVSFPPNSYREMEMEMLLPESLTHLSIGGFPNLKKLSSKGFQSLTSLRSLRLHNSPKLASIPVEGLPISLRELKIIRCPLLKDKCQPGSKGRYLPKISHIPRIGIWD >ONI20586 pep chromosome:Prunus_persica_NCBIv2:G2:2273679:2279248:1 gene:PRUPE_2G023500 transcript:ONI20586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGEIFLGAFLQVLLDKLAPREILNYFGLVKGVDKKLNKWSDNLSAIVAVLNDAEEKQLIEHRVKLWLDELRDLAYDVDDVLDKFATKILKRQIEGRDQASTSKKVQSLFPKLKLNFDMNSEIKKITERLQEISERKDKFGLKGTGTSSKAWSRPPTSGVLGGLTIVGREGDKAKILDMLSRDEHNNVNFHVVAIVGMAGLGKTTLAQFAFNNNSDVMKEFEPRVWVSVSDDFDIVRVTKAILESVTSQPVKVEEFSKMQHDLNEQLRGKKFLIVLDDIWNKGDLYDLWTRLQSPFSVGAQGSKIIVTTRDLKVAKIMGATEVHNLESMSDDNCLEIFEQHAFANINNDRPPNFELIQKKIAEKCSGLPLAARTLGGLLRQNEINEWEEILNNKLWNLSGKSDILPVLKLSYHYLPSNLKRCFAYCSIFPNDYEFGEKQLILLWMAEGLIQQPPEANRQMEDLGHDYFQELLCRSLFQKASENSSRYVMHDLVTDLAKWAAGNTCFRLEDKKGDSLQSVCFRHSSFIIGEFDGVQKFEAYREVKRLRTFLPLSLSDTRWSRQYLARTVIFDLLPQMQYLRVLSLNGYQITELPDSIGNLKYLRYLDFSHTNITSLPESTTTLFNLQTLILEGCRYLKALPMNLRNLVNLRHLNNSDVGSLKAMPPQLGRLTNLQSLPNFVVGKGSDESGIREIGSLSHLRGTLSLSRLENVIDAEDARKADLKSKERVDELVLEWSDNTQETQLGVLDRLEPHRKLEKLIIRGYAGLEFSTWIGDRLFSTIYAQLEEWLPFAQDQVFPCLKLLSIRNCPQLEGKVPENLDSLATLQIIKCEELVISISNYKQIGRLWIDGCKAVVETSGVEFELLNSLGLSNISEVRFQTGEFTKGLRKVANLRIGGCEELTSSLKNEDRVLQHLISLDCLVIEGNSSLLEKLGKEAEELLQLQILTCKLKYLLLYKCASLSKVPEGLHHLTALQDLQIVGCSSLVSFPDVGLPPSLEVIRIEECDSLLYFAKYQIPPNLRRIEITRCKSLKSLVEKDEDSSSSSSSSHISLEHLEILGCESLTLLSLRAQLFPRALKSLRISYCPNLKSLPEGLCYLTNLQTLETYRCGSLVSIPSLSGEGLPSPTTTAASSLKEIYIENCNKLEMLPDMCNLNCLQELNIDYGEGLNFTSFPPNLTSLTISGFKNCKPLWELLHRLTSLTGLSINGEDPSVVSFPPNSYREMEMEMLLPESLTHLSIGGFPNLKKLSSKGFQSLTSLRSLRLHNSPKLASIPVEGLPISLRELKIIRCPLLKDKCQPGSKGRYLPKISHIPRIGIWD >ONI20588 pep chromosome:Prunus_persica_NCBIv2:G2:2274652:2279248:1 gene:PRUPE_2G023500 transcript:ONI20588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGEIFLGAFLQVLLDKLAPREILNYFGLVKGVDKKLNKWSDNLSAIVAVLNDAEEKQLIEHRVKLWLDELRDLAYDVDDVLDKFATKILKRQIEGRDQASTSKKVQSLFPKLKLNFDMNSEIKKITERLQEISERKDKFGLKGTGTSSKAWSRPPTSGVLGGLTIVGREGDKAKILDMLSRDEHNNVNFHVVAIVGMAGLGKTTLAQFAFNNNSDVMKEFEPRVWVSVSDDFDIVRVTKAILESVTSQPVKVEEFSKMQHDLNEQLRGKKFLIVLDDIWNKGDLYDLWTRLQSPFSVGAQGSKIIVTTRDLKVAKIMGATEVHNLESMSDDNCLEIFEQHAFANINNDRPPNFELIQKKIAEKCSGLPLAARTLGGLLRQNEINEWEEILNNKLWNLSGKSDILPVLKLSYHYLPSNLKRCFAYCSIFPNDYEFGEKQLILLWMAEGLIQQPPEANRQMEDLGHDYFQELLCRSLFQKASENSSRYVMHDLVTDLAKWAAGNTCFRLEDKKGDSLQSVCFRHSSFIIGEFDGVQKFEAYREVKRLRTFLPLSLSDTRWSRQYLARTVIFDLLPQMQYLRVLSLNGYQITELPDSIGNLKYLRYLDFSHTNITSLPESTTTLFNLQTLILEGCRYLKALPMNLRNLVNLRHLNNSDVGSLKAMPPQLGRLTNLQSLPNFVVGKGSDESGIREIGSLSHLRGTLSLSRLENVIDAEDARKADLKSKERVDELVLEWSDNTQETQLGVLDRLEPHRKLEKLIIRGYAGLEFSTWIGDRLFSTIYAQLEEWLPFAQDQVFPCLKLLSIRNCPQLEGKVPENLDSLATLQIIKCEELVISISNYKQIGRLWIDGCKAVVETSGVEFELLNSLGLSNISEVRFQTGEFTKGLRKVANLRIGGCEELTSSLKNEDRVLQHLISLDCLVIEGNSSLLEKLGKEAEELLQLQILTCKLKYLLLYKCASLSKVPEGLHHLTALQDLQIVGCSSLVSFPDVGLPPSLEVIRIEECDSLLYFAKYQIPPNLRRIEITRCKSLKSLVEKDEDSSSSSSSSHISLEHLEILGCESLTLLSLRAQLFPRALKSLRISYCPNLKSLPEGLCYLTNLQTLETYRCGSLVSIPSLSGEGLPSPTTTAASSLKEIYIENCNKLEMLPDMCNLNCLQELNIDYGEGLNFTSFPPNLTSLTISGFKNCKPLWELLHRLTSLTGLSINGEDPSVVSFPPNSYREMEMEMLLPESLTHLSIGGFPNLKKLSSKGFQSLTSLRSLRLHNSPKLASIPVEGLPISLRELKIIRCPLLKDKCQPGSKGRYLPKISHIPRIGIWD >ONI25261 pep chromosome:Prunus_persica_NCBIv2:G2:28542718:28544342:-1 gene:PRUPE_2G292500 transcript:ONI25261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRGRVRWDEANLGEIEANKPVRQKITEPKTPYHPMMTDDEDGSLSPIRGSFNDCVGDAVHAEAIRTALTDVASSSRKNTPRSTGWTSSEDEADAMEQDDEDSETDKNGRSFREHRRAHYDEFQKVKELRRKGSFLDDEDEDEDVAMGKEKRSGSSLTAGVKEIDIDEYATASSTKKTSGPPANGA >ONI25259 pep chromosome:Prunus_persica_NCBIv2:G2:28542657:28544411:-1 gene:PRUPE_2G292500 transcript:ONI25259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRVRWDEANLGEIEANKPVRQKITEPKTPYHPMMTDDEDGSLSPIRGSFNDCVGDAVHAEAIRTALTDVASSSRKNTPRSTGWTSSEDEADAMEQDDEDKNGRSFREHRRAHYDEFQKVKELRRKGSFLDDEDEDEDVAMGKEKRSGSSLTAGVKEIDIDEYATASSTKKTSGPPANGA >ONI25260 pep chromosome:Prunus_persica_NCBIv2:G2:28542718:28544342:-1 gene:PRUPE_2G292500 transcript:ONI25260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRVRWDEANLGEIEANKPVRQKITEPKTPYHPMMTDDEDGSLSPIRGSFNDCVGDAVHAEAIRTALTDVASSSRKNTPRSTGWTSSEDEADAMEQDDEDSETDKNGRSFREHRRAHYDEFQKVKELRRKGSFLDDEDEDEDVAMGKEKRSGSSLTAGVKEIDIDEYATASSTKKTSGPPANGA >ONI25773 pep chromosome:Prunus_persica_NCBIv2:G2:29829156:29830763:1 gene:PRUPE_2G319800 transcript:ONI25773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGHFAVSNLSVSIDAGPRFRFEKGACRLMMWDRASQSAIVQRRGQHSGKFEKIQILGNQKRARATERMGESENNMKAVKLSSPSGQKKKKRVAPRTSSSSLEEDGSPVRHILCVKNKVDVKQFEEVDDCFILDFDPFEPIQLSKLSVSDNFAGHNAPESPDVAVVAEKGQVACRDYPHSRHLCLKFPFETTPHESYCELCYCYVCDSAAPCVLWKLAHCHASEHIGDWKSRRYLRKQQAAAKK >ONI25772 pep chromosome:Prunus_persica_NCBIv2:G2:29829156:29830763:1 gene:PRUPE_2G319800 transcript:ONI25772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGHFAVSNLSVSIDAGPRFRFEKGACRLMMWDRASQSAIVQRRGQHSGKFEKIQILGNQKRARATERMGESENNMKAVKLSSPSGQKKKKRVAPRTSSSSLEEDGSPVRHILCVKNKVDVKQFEEVDDCFILDFDPFEPIQLSKLSVSDNFAGHNAPESPDVAVVAEKGQVVCFPHDPFDVSLCSFLFNQFSFSVALFGCCGNRNPILPVACRDYPHSRHLCLKFPFETTPHESYCELCYCYVCDSAAPCVLWKLAHCHASEHIGDWKSRRYLRKQQAAAKK >ONI21698 pep chromosome:Prunus_persica_NCBIv2:G2:12851933:12855154:-1 gene:PRUPE_2G082000 transcript:ONI21698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCELIKHSRSKDYVRKAIFRAIEKGMFEFTDSVLQARPDLVWIQNQMGRDPFQFAIECRQEKIYSLIYRLNKRKRTLIGTFGDNYGNCSLHMTGMLSPLAKLDNISGAALQMQRELQWFKEVETIVLPRTKDSTNNDGMTPRKLFTKNHKELVKEGERWMKGTASSCTVVGALIITIMFAAAFTIPGGNNGETGFPIFLHKKLFMAFIVSDAISLFSSTTSVLMFLGILTSRYAEDDFLKSLPTKMIIGLSTLFFSIAAMMVAFSSALFIMVHEQSWIVIPMIFLASIPITLFIWMQFPLLVEMYISTYGGGIFDRKVKSRA >ONI23724 pep chromosome:Prunus_persica_NCBIv2:G2:23967624:23969962:1 gene:PRUPE_2G204400 transcript:ONI23724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHKIGNFYPLYLSLQDFHSLKPLLNQKNAAAATQQMLDLFDILGIANFGIAGNANNSLSIGDVTFPQHFSHTGIWDWLTSLSNGFPVIVIRGLSDLAGGQSGHKSIDTFGSLAAINACKVVVQFIKQLHLDTREPGFPRSIYP >ONI23726 pep chromosome:Prunus_persica_NCBIv2:G2:23968714:23969007:1 gene:PRUPE_2G204400 transcript:ONI23726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHKIGNFYPLYLSLQDFHSLKPLLNQKNAAAATQQMLDLFDILGIANFGIAGNANNSLSIGDVTFPQHFSHTGIWDWLVKYILNLFSSFYVLVPF >ONI23725 pep chromosome:Prunus_persica_NCBIv2:G2:23967624:23969962:1 gene:PRUPE_2G204400 transcript:ONI23725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHKIGNFYPLYLSLQDFHSLKPLLNQKNAAAATQQMLDLFDILGIANFGIAGNANNSLSIGDVTFPQHFSHTGIWDWLEGQHYSAAVLGKYYSAVASGCCQLEGI >ONI21089 pep chromosome:Prunus_persica_NCBIv2:G2:5715119:5721970:-1 gene:PRUPE_2G049700 transcript:ONI21089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLALTAVSIPLLHKPGCYEFSYLSNSWVSLTKLSHVSLNQKNQFQRFSLVNGNDNVNGYGLSDAESFSTKSQGAIGNSDSGEGVPVTSNEILKKLRRYGISGLLSYGLLNTAYYLTTFLLVWFYVAPAPGRMGYVPAVQRFLKIMAMVWAGSQVTKLVRAGGRPQQRRWW >ONI21092 pep chromosome:Prunus_persica_NCBIv2:G2:5716578:5721970:-1 gene:PRUPE_2G049700 transcript:ONI21092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLALTAVSIPLLHKPGCYEFSYLSNSWVSLTKLSHVSLNQKNQFQRFSLVNGNDNVNGYGLSDAESFSTKSQGAIGNSDSGEGVPVTSNEILKKLRRYGISGLLSYGLLNTAYYLTTFLLVLCCSSTWEDGLRPSCSEISQNNGHGVGRKPGHQACTSWALALAPLVDRGLSWFSVKFHFESQGKAFTAIVGICFGLALVLFFVVTLLWA >ONI21093 pep chromosome:Prunus_persica_NCBIv2:G2:5716876:5721893:-1 gene:PRUPE_2G049700 transcript:ONI21093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLALTAVSIPLLHKPGCYEFSYLSNSWVSLTKLSHVSLNQKNQFQRFSLVNGNDNVNGYGLSDAESFSTKSQGAIGNSDSGEGVPVTSNEILKKLRRYGISGLLSYGLLNTAYYLTTFLLVWFYVAPAPGRMGYVPAVQRFLKIMAMVWAGSQVTKLVRAGGALALAPLVDRGLSWFSVKFHFESQGKAFTAIVGICFGLALVLFFVVTLLWA >ONI21096 pep chromosome:Prunus_persica_NCBIv2:G2:5716579:5721970:-1 gene:PRUPE_2G049700 transcript:ONI21096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLALTAVSIPLLHKPGCYEFSYLSNSWVSLTKLSHVSLNQKNQFQRFSLVNGNDNVNGYGLSDAESFSTKSQGAIGNSDSGEGVPVTSNEILKKLRRYGISGLLSYGLLNTAYYLTTFLLVWFYVAPAPGRMGYVPAVQRFLKIMAMVWAGSQVTKLVRAGPLLLLLW >ONI21091 pep chromosome:Prunus_persica_NCBIv2:G2:5716876:5721893:-1 gene:PRUPE_2G049700 transcript:ONI21091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLALTAVSIPLLHKPGYGLSDAESFSTKSQGAIGNSDSGEGVPVTSNEILKKLRRYGISGLLSYGLLNTAYYLTTFLLVWFYVAPAPGRMGYVPAVQRFLKIMAMVWAGSQVTKLVRAGGALALAPLVDRGLSWFSVKFHFESQGKAFTAIVGICFGLALVLFFVVTLLWA >ONI21090 pep chromosome:Prunus_persica_NCBIv2:G2:5716579:5721970:-1 gene:PRUPE_2G049700 transcript:ONI21090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLALTAVSIPLLHKPGYGLSDAESFSTKSQGAIGNSDSGEGVPVTSNEILKKLRRYGISGLLSYGLLNTAYYLTTFLLVLCCSSTWEDGLRPSCSEISQNNGHGVGRKPGHQACTSWALALAPLVDRGLSWFSVKFHFESQGKAFTAIVGICFGLALVLFFVVTLLWA >ONI21094 pep chromosome:Prunus_persica_NCBIv2:G2:5717041:5721893:-1 gene:PRUPE_2G049700 transcript:ONI21094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLALTAVSIPLLHKPGCYEFSYLSNSWVSLTKLSHVSLNQKNQFQRFSLVNGNDNVNGYGLSDAESFSTKSQGAIGNSDSGEGVPVTSNEILKKLRRYGISGLLSYGLLNTAYYLTTFLLVLCCSSTWEDGLRPSCSEISQNNGHGVGRKPGHQACTSWWGPCSCSFGRQGTVMVQCQVPF >ONI21095 pep chromosome:Prunus_persica_NCBIv2:G2:5716584:5721970:-1 gene:PRUPE_2G049700 transcript:ONI21095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLALTAVSIPLLHKPGYGLSDAESFSTKSQGAIGNSDSGEGVPVTSNEILKKLRRYGISGLLSYGLLNTAYYLTTFLLVWFYVAPAPGRMGYVPAVQRFLKIMAMVWAGSQVTKLVRAGPLLLLLW >ONI20428 pep chromosome:Prunus_persica_NCBIv2:G2:1330039:1334833:-1 gene:PRUPE_2G014900 transcript:ONI20428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVDPGSKLLKAGPAIPDQAPSMIIPNQMKRMLDDGSINDNSSSEDTIVDPVVRGLIRDWDAMEDLLHHVLYTGLGWEMGNEGQILFTDPLCTPKAVREQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVQHIASRRFEIGGMDLTKLLAEELGKSNPLVNIKMSDIEKIKEQYSCCAEDELAYEKTKNACQTEQHTLPDGQVITIGREKYTVGEALFQPSILGLEAHGIVEQLVRCISTVSSDNHRQLLENTVLCGGTASMTGFEERFQKEAGLCSSAVRPALIKPPEYMPENLSMYSAWVGGAILAKVVFPQNQHVTKADYDETGPSVVHRKCF >ONI20427 pep chromosome:Prunus_persica_NCBIv2:G2:1328728:1335045:-1 gene:PRUPE_2G014900 transcript:ONI20427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVDPGSKLLKAGPAIPDQAPSMIIPNQMKRMLDDGSINDNSSSEDTIVDPVVRGLIRDWDAMEDLLHHVLYTGLGWEMGNEGQILFTDPLCTPKAVREQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVQHIASRRFEIGGMDLTKLLAEELGKSNPLVNIKMSDIEKIKEQYSCCAEDELAYEKTKNACQTEQHTLPDGQVITIGREKYTVGEALFQPSILGLEAHGIVEQLVRCISTVSSDNHRQLLENTVLCGGTASMTGFEERFQKEAGLCSSAVRPALIKPPEYMPENLSMYSAWVGGAILAKVVFPQNQHVTKADYDETGPSVVHRKCF >ONI20425 pep chromosome:Prunus_persica_NCBIv2:G2:1329554:1335045:-1 gene:PRUPE_2G014900 transcript:ONI20425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVDPGSKLLKAGPAIPDQAPSMIIPNQMKRMLDDGSINDNSSSEDTIVDPVVRGLIRDWDAMEDLLHHVLYTGLGWEMGNEGQILFTDPLCTPKAVREQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVQHIASRRFEIGGMDLTKLLAEELGKSNPLVNIKMSDIEKIKEQYSCCAEDELAYEKTKNACQTEQHTLPDGQVITIGREKYTVGEALFQPSILGLEAHGIVEQLVRCISTVSSDNHRQLLENTVLCGGTASMTGFEERFQKEAGLCSSAVRPALIKPPEYMPENLSMYSAWVGGAILAKVVFPQNQHVTKADYDETGPSVVHRKCF >ONI20429 pep chromosome:Prunus_persica_NCBIv2:G2:1328728:1334991:-1 gene:PRUPE_2G014900 transcript:ONI20429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVDPGSKLLKAGPAIPDQAPSMIIPNQMKRMLDDGSINDNSSSEDTIVDPVVRGLIRDWDAMEDLLHHVLYTGLGWEMGNEGQILFTDPLCTPKAVREQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVQHIASRRFEIGGMDLTKLLAEELGKSNPLVNIKMSDIEKIKEQYSCCAEDELAYEKTKNACQTEQHTLPDGQVITIGREKYTVGEALFQPSILGLEAHGIVEQLVRCISTVSSDNHRQLLENTVLCGGTASMTGFEERFQKEAGLCSSAVRPALIKPPEYMPENLSMYSAWVGGAILAKVVFPQNQHVTKADYDETGPSVVHRKCF >ONI20426 pep chromosome:Prunus_persica_NCBIv2:G2:1328728:1334991:-1 gene:PRUPE_2G014900 transcript:ONI20426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVDPGSKLLKAGPAIPDQAPSMIIPNQMKRMLDDGSINDNSSSEDTIVDPVVRGLIRDWDAMEDLLHHVLYTGLGWEMGNEGQILFTDPLCTPKAVREQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVIEGAVQHIASRRFEIGGMDLTKLLAEELGKSNPLVNIKMSDIEKIKEQYSCCAEDELAYEKTKNACQTEQHTLPDGQVITIGREKYTVGEALFQPSILGLEAHGIVEQLVRCISTVSSDNHRQLLENTVLCGGTASMTGFEERFQKEAGLCSSAVRPALIKPPEYMPENLSMYSAWVGGAILAKVVFPQNQHVTKADYDETGPSVVHRKCF >ONI25207 pep chromosome:Prunus_persica_NCBIv2:G2:28363764:28365855:1 gene:PRUPE_2G288800 transcript:ONI25207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGMSSQGGALEAHVEQENMAAWLVGVNTLRIQPFKLPTVGPNDVRVKIKAVGICGSDVHYLKTMKCADFVVQEPMVIGHECAGIVDEVGSLVKNLVPGDRVALEPGISCWRCEQCKGGRYNLCPDMKFFATPPVHGSLANQIVHPADLCFKLPENVSLEEGAMCEPLSVGVHACRRANIGPETNVLVIGAGPIGLVSVLSARAFGAARIVIVDVDDERLSIAKSLGADDAVKVSTNPQDLEDEVSKISKAMKGGVDVSFDCVGFNKTMSTALSATRPGGKVCLVGMGHGVMTVPLTPAAAREVDVVGIFRYKNTWPLCLEFLRTGKIDVKPLITHRFGFSQKEIEEAFETSARGGNAIKVMFNL >ONI25206 pep chromosome:Prunus_persica_NCBIv2:G2:28363713:28365855:1 gene:PRUPE_2G288800 transcript:ONI25206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGMSSQGGALEAHVEQENMAAWLVGVNTLRIQPFKLPTVGPNDVRVKIKAVGICGSDVHYLKTMKCADFVVQEPMVIGHECAGIVDEVGSLVKNLVPGDRVALEPGISCWRCEQCKGGRYNLCPDMKFFATPPVHGSLANQIVHPADLCFKLPENVSLEEGAMCEPLSVGVHACRRANIGPETNVLVIGAGPIGLVSVLSARAFGAARIVIVDVDDERLSIAKSLGADDAVKVSTNPQDLEDEVSKISKAMKGGVDVSFDCVGFNKTMSTALSATRPGGKVCLVGMGHGVMTVPLTPAAAREVDVVGIFRYKNTWPLCLEFLRTGKIDVKPLITHRFGFSQKEIEEAFETSARGGNAIKVMFNL >ONI22257 pep chromosome:Prunus_persica_NCBIv2:G2:17518119:17521246:-1 gene:PRUPE_2G117300 transcript:ONI22257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVVSIVVPGLSNPIIQELKFLDGVGDKIEIGQTQLQIMQGYLKDADARQGRNEAIRIWVASVRDAAYDLEDVIETYVLKVAFKRKPNIGSDIEKITTKISQLSSIMPSLNLHQTRESGGDTYFQRQQERRIAYPHIVDSHVVGLAPGTEILATHLIKEKGPRVVSIWGMPGLGKTTLAKQVYHHGEVKRQFDCFAWVCVSQQCQGREVLKEILTKLISPTNEQRQKIEDLGKDQIAEWLWNTQRERKCLVVLDDIWTSDAWSSLQAGFPMNEQTGSRILLTTRNKEVTSYADKNGFLFEPQSLNDDESWELFEKIAMFETKDHKIYEHKNELGTEMLQHCKGLPLAITVLAGILARKDTVDEWNTVHKNVYAYIRRGIDLGPNYKVSYDNLPYYLKLCFLYLAHFPEDYEIPVSTLTKLWMAEGFISSALVEVMEDVSYMCLSELVGRCMVQVGKHGMSKKIKTCHLHDLMRDLCMLKAKEENFLHIINYSAAVEIKQTSNGRVRRLAIKTIEAYCPGRDENYGHNSKALRSLLRDFTLLRVLKFEGMNVSKFKLPNEIGNLVHLRFLSVKNGHIQAVPSSIANLVCLQTLDLRNHCWEIKIPNRNVFSKMEKLRHIYLPFRPSGGEKRLLFATEAVNLHTVVNIYIQASSDLYDFVKLTNIRKLGVICGGEEKEKGTNIIFKHLHSLSVDSRFKGLPIPWNIVLSCPKICKLRLHGEITELPEDLLCLRNLTKLTLSGFGNLKDDHIKVLEKLPSLRMLFASVGIFQASLVCSEGGFPFLEFLSLYSLLEFKEWKVEKGAMPSLCRLHIEYCPDLEAVPDGLQYITTLKELTIKRMLSEFCSRLGEGGEDFYKIQHVQSVIITNISPNRPG >ONI20966 pep chromosome:Prunus_persica_NCBIv2:G2:4758208:4765694:1 gene:PRUPE_2G043200 transcript:ONI20966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALIGEAFISASIQVLCDRITSREFVDLFRQKKLDQHLLMKLKVTLLTLNAVLNDAEEKQIENPAVREWLDELKHAVFDAEDLLDEINYEALRCKLEGEGQINNLTNKVWKFLSTSHNHFYQSMNVKIQELLQRLEDFVQLKTALGLREDVGRKVSQKTPTTSLVHEPCVYGRDEVKENLSKLLLSDDASKDDVSVITIVGMGGVGKTTLARMLYNDHKVEEHFTLKAWACVSEDYDAIRVTKTLLESVTLKPCKTTDLNLLQVQLREQLKGSKFLFVLDDIWNEKYTDWKCLQTPFTSGARGSKVIVTTRNKNVASSMQNVPIQSLEPLSHEDCWLLLAKHAFGNENSSAHPNLEEIGKQIARKCKGLPLAAQTLGGVLRCKLDFEAWNRVLNSSIWKLPYEKSDILPALGLSYHYLPAKLKRCFLYCSIFPKDYEFNIEDVAFLWMGEGLIHQAEHGKSLEEVAIDHFDELLSRSLFQPSGKSSFTMHDLIIDLAMFMSKGFSYRLEVRESHEIERVRHLSYAREEFDVAHKFDPLKGAKCLRTFLPTSLNPYEKCYLSKQVLQVLLPSLRCLRVLSLSHYKNVTVLPDSIENLIHLRYLDLSYTALERLPDVLCGLYNLQTLLLSHCSSLVELPTNMRKLINLQKLMLTGCKSLTKLPVDMRKLINLHHLDVSGTKIVEMPVQMGRLKSLRTLAAFVVGKSTGTSIGELRELPQLRGKLAILKLQNVVDARDALQGNLKDKKDLKELELEWSDEDADDSLKEKDVLDKLQPCVNLEKLTIRSYGGTQFPNWLGDSSFSNIQVLRLKDCSYCWLMPPIGRLPALKKLIIKRMKLVKTIGVEFYGRNEGSPIQPFQSLEKLQFGEMAEWEEWVPSGSGGEYGPDFPRLQELFLKDCPKLRGSLPLACHLPCLKKLWVSGCGVLHDQRATTTSTSSLKMDSYKSLEKLLIHETGLLSFPEIMLPNHNRLQHLSLCDCPNLLSFPEDGLPTTLTSLEIVNCRRLEFLPHEMMAKLTSLDNLQIYYSCESMRSFPLGFFPKLTSLYIWECENLEFLSVEEGVVENLSHLRTLYITGCPKLVCFPQGELPAPNLNDFTVRECENLQSLPERIHTLTGLRHLGISGLPNLESFAEDGGLPPNLRVFRLENCERLRPSSVGEYWGLQGLVSLEEISIGGRGSGDILETLLMEQLLPTTLRTLRIWGLSSMKSLDGKGLGHLTSLQSLHISGCDSIQFLPEEGLPPSLSFLRISQCSALEKRYQNKAGEDWAKISHIPCIRINDDVTI >ONI23068 pep chromosome:Prunus_persica_NCBIv2:G2:21621995:21622780:1 gene:PRUPE_2G168100 transcript:ONI23068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQPVNPIKPTTNISLSSKYSTPNTFPTLPSKPKTLKFKVLCCSPSPTPNPPSSSSSSSSPIQAVISILQIIPDWADSIKERGFRQNRTLYDHEKWVHHRSSYRHLRHFLTSLSSRVILSLIPPVIAFTLVAVVIASYNSAVSLNWLPGFFPVLRSSTLPYQLTAPALALLLVFRTEASYSRFEEGRKAWTKVIAGANDFARQIISAVENSGDAQLKKALLQYIVAFPVALKVCEFQFFDVNCSNFSMPSYICKFIRFAD >ONI23066 pep chromosome:Prunus_persica_NCBIv2:G2:21621865:21624662:1 gene:PRUPE_2G168100 transcript:ONI23066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQPVNPIKPTTNISLSSKYSTPNTFPTLPSKPKTLKFKVLCCSPSPTPNPPSSSSSSSSPIQAVISILQIIPDWADSIKERGFRQNRTLYDHEKWVHHRSSYRHLRHFLTSLSSRVILSLIPPVIAFTLVAVVIASYNSAVSLNWLPGFFPVLRSSTLPYQLTAPALALLLVFRTEASYSRFEEGRKAWTKVIAGANDFARQIISAVENSGDAQLKKALLQYIVAFPVALKCHVVYGSDIRQDLQNLLELDDLLVVLNSKHRPSCIIEFISQSLRLLNLEDSRRIMLQSKISCFHEGIGVCEQLIGTPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEEPFPMLPLDDLCNSVQTNVSEALAREKLIQARLAAKGKIQPEQQFQNGQPKS >ONI23067 pep chromosome:Prunus_persica_NCBIv2:G2:21621865:21624662:1 gene:PRUPE_2G168100 transcript:ONI23067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQPVNPIKPTTNISLSSKYSTPNTFPTLPSKPKTLKFKVLCCSPSPTPNPPSSSSSSSSPIQAVISILQIIPDWADSIKERGFRQNRTLYDHEKWVHHRSSYRHLRHFLTSLSSRVILSLIPPVIAFTLVAVVIASYNSAVSLNWLPGFFPVLRSSTLPYQLTAPALALLLVFRTEASYSRFEEGRKAWTKVIAGANDFARQIISAVENSGDAQLKKALLQYIVAFPVALKCHVVYGSDIRQDLQNLLELDDLLVVLNSKHRPSCIIEFISQSLRLLNLEDSRRIMLVGVLIEEPFPMLPLDDLCNSVQTNVSEALAREKLIQARLAAKGKIQPEQQFQNGQPKS >ONI20172 pep chromosome:Prunus_persica_NCBIv2:G2:197771:199481:1 gene:PRUPE_2G000800 transcript:ONI20172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCAALSNSARGVFNKSKASPSPIETTFKLQAPLPSWPPGDGFDLGGLQVSQISSFSKVWATQE >ONI22267 pep chromosome:Prunus_persica_NCBIv2:G2:17595314:17605953:-1 gene:PRUPE_2G118000 transcript:ONI22267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGKTTLARQVYHHNKVRRHFDSFAWVCVSQQYEGRAVLEEVLIKLTAATKEQREEIERKKRDEIAELLYTLLENMCCLVVLDDVWNTGTWNSIKAGFPVNKRTKSCILLTTRNKEVALHVDENGFLHESRPLNANESWKLFENIAIFGRDDTVAEIYAKKEELGKKMLQHCGGLPLAVIVLAELLARKRSVDEWYKVYKNVDVYIRRGTNLEPEYKNQGYKGASWVLALSYDHLPYRLKLCFLYLGHFPEDYEIPVKRLTQLWMAEGLISSTSIDMIEDVSYGCLTELVERCMVQVGKYGSTKKIKTCRLHDLMRDLCLSKGNEENFFDIVNFASTASKAAPIGKVRRRAIYLDEKVDYLAPTRHERDGQLRSLLYFGSLIWKKKMIEKMFNDFKLLRVLKFEEMRFEVKLPSNIGDLVHLRFLSLKNSEMNQLPSSVASLVCLQTLDVRCKDKVVVKIPNVFSKMVQLRHLYMPYEHSVSEKLSLASLGSLQTLVHISNQDCDFKELVQLKNLRKLSVHVRSRNFEILEEISKAAIFTINRVQSLCVVSTSTDILKSIVYRCRHVSKLKVKGPMGNLPEDLPTYPNLTKLTLCGTCLEDTQIRILEKLPKLQTLCLGDGAFEAGSENLFCSSKGFPSLEVLYLNGLSELSHWWVSEEALPSLCRLYIENWITLVGVPDGLQYVSTLKEITIKLMPDTFCSRLQEGGEDFYKIKHVPSVLFESIVAEQWDEAVRKRMLLAEKRRVALYVQKAALQFIDAGVHT >ONI22264 pep chromosome:Prunus_persica_NCBIv2:G2:17592509:17605903:-1 gene:PRUPE_2G118000 transcript:ONI22264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGKTTLARQVYHHNKVRRHFDSFAWVCVSQQYEGRAVLEEVLIKLTAATKEQREEIERKKRDEIAELLYTLLENMCCLVVLDDVWNTGTWNSIKAGFPVNKRTKSCILLTTRNKEVALHVDENGFLHESRPLNANESWKLFENIAIFGRDDTVAEIYAKKEELGKKMLQHCGGLPLAVIVLAELLARKRSVDEWYKVYKNVDVYIRRGTNLEPEYKNQGYKGASWVLALSYDHLPYRLKLCFLYLGHFPEDYEIPVKRLTQLWMAEGLISSTSIDMIEDVSYGCLTELVERCMVQVGKYGSTKKIKTCRLHDLMRDLCLSKGNEENFFDIVNFASTASKAAPIGKVRRRAIYLDEKVDYLAPTRHERDGQLRSLLYFGSLIWKKKMIEKMFNDFKLLRVLKFEEMRFEVKLPSNIGDLVHLRFLSLKNSEMNQLPSSVASLVCLQTLDVRCKDKVVVKIPNVFSKMVQLRHLYMPYEHSVSEKLSLASLGSLQTLVHISNQDCDFKELVQLKNLRKLSVHVRSRNFEILEEISKAAIFTINRVQSLCVVSTSTDILKSIVYRCRHVSKLKVKGPMGNLPEDLPTYPNLTKLTLCGTCLEDTQIRILEKLPKLQTLCLGDGAFEAGSENLFCSSKGFPSLEVLYLNGLSELSHWWVSEEALPSLCRLYIENWITLVGVPDGLQYVSTLKEITIKLMPDTFCSRLQEGGEDFYKIKHVPSVLFESIVAEQWDEAKRMLLAEKRRVALYVQKAALQFIDAGVHT >ONI22269 pep chromosome:Prunus_persica_NCBIv2:G2:17591535:17605949:-1 gene:PRUPE_2G118000 transcript:ONI22269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGKTTLARQVYHHNKVRRHFDSFAWVCVSQQYEGRAVLEEVLIKLTAATKEQREEIERKKRDEIAELLYTLLENMCCLVVLDDVWNTGTWNSIKAGFPVNKRTKSCILLTTRNKEVALHVDENGFLHESRPLNANESWKLFENIAIFGRDDTVAEIYAKKEELGKKMLQHCGGLPLAVIVLAELLARKRSVDEWYKVYKNVDVYIRRGTNLEPEYKNQGYKGASWVLALSYDHLPYRLKLCFLYLGHFPEDYEIPVKRLTQLWMAEGLISSTSIDMIEDVSYGCLTELVERCMVQVGKYGSTKKIKTCRLHDLMRDLCLSKGNEENFFDIVNFASTASKAAPIGKVRRRAIYLDEKVDYLAPTRHERDGQLRSLLYFGSLIWKKKMIEKMFNDFKLLRVLKFEEMRFEVKLPSNIGDLVHLRFLSLKNSEMNQLPSSVASLVCLQTLDVRCKDKVVVKIPNVFSKMVQLRHLYMPYEHSVSEKLSLASLGSLQTLVHISNQDCDFKELVQLKNLRKLSVHVRSRNFEILEEISKAAIFTINRVQSLCVVSTSTDILKSIVYRCRHVSKLKVKGPMGNLPEDLPTYPNLTKLTLCGTCLEDTQIRILEKLPKLQTLCLGDGAFEAGSENLFCSSKGFPSLEVLYLNGLSELSHWWVSEEALPSLCRLYIENWITLVGVPDGLQYVSTLKEITIKLMPDTFCSRLQEGGEDFYKIKHVPSVLFESIVAEQWDEAVRKRMLLAEKRRVALYVQKAALQFIDAGVHT >ONI22270 pep chromosome:Prunus_persica_NCBIv2:G2:17591535:17598506:-1 gene:PRUPE_2G118000 transcript:ONI22270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHCGGLPLAVIVLAELLARKRSVDEWYKVYKNVDVYIRRGTNLEPEYKNQGYKGASWVLALSYDHLPYRLKLCFLYLGHFPEDYEIPVKRLTQLWMAEGLISSTSIDMIEDVSYGCLTELVERCMVQVGKYGSTKKIKTCRLHDLMRDLCLSKGNEENFFDIVNFASTASKAAPIGKVRRRAIYLDEKVDYLAPTRHERDGQLRSLLYFGSLIWKKKMIEKMFNDFKLLRVLKFEEMRFEVKLPSNIGDLVHLRFLSLKNSEMNQLPSSVASLVCLQTLDVRCKDKVVVKIPNVFSKMVQLRHLYMPYEHSVSEKLSLASLGSLQTLVHISNQDCDFKELVQLKNLRKLSVHVRSRNFEILEEISKAAIFTINRVQSLCVVSTSTDILKSIVYRCRHVSKLKVKGPMGNLPEDLPTYPNLTKLTLCGTCLEDTQIRILEKLPKLQTLCLGDGAFEAGSENLFCSSKGFPSLEVLYLNGLSELSHWWVSEEALPSLCRLYIENWITLVGVPDGLQYVSTLKEITIKLMPDTFCSRLQEGGEDFYKIKHVPSVLFESIVAEQWDEAVRKRMLLAEKRRVALYVQKAALQFIDAGVHT >ONI22266 pep chromosome:Prunus_persica_NCBIv2:G2:17596428:17605184:-1 gene:PRUPE_2G118000 transcript:ONI22266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGKTTLARQVYHHNKVRRHFDSFAWVCVSQQYEGRAVLEEVLIKLTAATKEQREEIERKKRDEIAELLYTLLENMCCLVVLDDVWNTGTWNSIKAGFPVNKRTKSCILLTTRNKEVALHVDENGFLHESRPLNANESWKLFENIAIFGRDDTVAEIYAKKEELGKKMLQHCGGLPLAVIVLAELLARKRSVDEWYKVYKNVDVYIRRGTNLEPEYKNQGYKGASWVLALSYDHLPYRLKLCFLYLGHFPEDYEIPVKRLTQLWMAEGLISSTSIDMIEDVSYGCLTELVERCMVQVGKYGSTKKIKTCRLHDLMRDLCLSKGNEENFFDIVNFASTASKAAPIGKVRRRAIYLDEKVDYLAPTRHERDGQLRSLLYFGSLIWKKKMIEKMFNDFKLLRVLKFEEMRFEVKLPSNIGDLVHLRFLSLKNSEMNQLPSSVASLVCLQTLDVRCKDKVVVKIPNVFSKMVQLRHLYMPYEHSVSEKLSLASLGSLQTLVHISNQDCDFKELVQLKNLRKLSVHVRSRNFEILEEISKAAIFTINRVQSLCVVSTSTDILKSIVYRCRHVSKLKVKGPMGNLPEDLPTYPNLTKLTLCGTCLEDTQIRILEKLPKLQTLCLGDGAFEAGSENLFCSSKGFPSLEVLYLNGLSELSHWWVSEEALPSLCRLYIENWITLVGVPDGLQYVSTLKEITIKLMPDTFCSRLQEGGEDFYKIKHVPSVLFESIVAEQWDEAVRKRMLLAEKRRVALYVQKAALQFIDAGVHT >ONI22265 pep chromosome:Prunus_persica_NCBIv2:G2:17595541:17605940:-1 gene:PRUPE_2G118000 transcript:ONI22265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGKTTLARQVYHHNKVRRHFDSFAWVCVSQQYEGRAVLEEVLIKLTAATKEQREEIERKKRDEIAELLYTLLENMCCLVVLDDVWNTGTWNSIKAGFPVNKRTKSCILLTTRNKEVALHVDENGFLHESRPLNANESWKLFENIAIFGRDDTVAEIYAKKEELGKKMLQHCGGLPLAVIVLAELLARKRSVDEWYKVYKNVDVYIRRGTNLEPEYKNQGYKGASWVLALSYDHLPYRLKLCFLYLGHFPEDYEIPVKRLTQLWMAEGLISSTSIDMIEDVSYGCLTELVERCMVQVGKYGSTKKIKTCRLHDLMRDLCLSKGNEENFFDIVNFASTASKAAPIGKVRRRAIYLDEKVDYLAPTRHERDGQLRSLLYFGSLIWKKKMIEKMFNDFKLLRVLKFEEMRFEVKLPSNIGDLVHLRFLSLKNSEMNQLPSSVASLVCLQTLDVRCKDKVVVKIPNVFSKMVQLRHLYMPYEHSVSEKLSLASLGSLQTLVHISNQDCDFKELVQLKNLRKLSVHVRSRNFEILEEISKAAIFTINRVQSLCVVSTSTDILKSIVYRCRHVSKLKVKGPMGNLPEDLPTYPNLTKLTLCGTCLEDTQIRILEKLPKLQTLCLGDGAFEAGSENLFCSSKGFPSLEVLYLNGLSELSHWWVSEEALPSLCRLYIENWITLVGVPDGLQYVSTLKEITIKLMPDTFCSRLQEGGEDFYKIKHVPSVLFESIVAEQWDEAVRKRMLLAEKRRVALYVQKAALQFIDAGVHT >ONI22268 pep chromosome:Prunus_persica_NCBIv2:G2:17591535:17605976:-1 gene:PRUPE_2G118000 transcript:ONI22268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGKTTLARQVYHHNKVRRHFDSFAWVCVSQQYEGRAVLEEVLIKLTAATKEQREEIERKKRDEIAELLYTLLENMCCLVVLDDVWNTGTWNSIKAGFPVNKRTKSCILLTTRNKEVALHVDENGFLHESRPLNANESWKLFENIAIFGRDDTVAEIYAKKEELGKKMLQHCGGLPLAVIVLAELLARKRSVDEWYKVYKNVDVYIRRGTNLEPEYKNQGYKGASWVLALSYDHLPYRLKLCFLYLGHFPEDYEIPVKRLTQLWMAEGLISSTSIDMIEDVSYGCLTELVERCMVQVGKYGSTKKIKTCRLHDLMRDLCLSKGNEENFFDIVNFASTASKAAPIGKVRRRAIYLDEKVDYLAPTRHERDGQLRSLLYFGSLIWKKKMIEKMFNDFKLLRVLKFEEMRFEVKLPSNIGDLVHLRFLSLKNSEMNQLPSSVASLVCLQTLDVRCKDKVVVKIPNVFSKMVQLRHLYMPYEHSVSEKLSLASLGSLQTLVHISNQDCDFKELVQLKNLRKLSVHVRSRNFEILEEISKAAIFTINRVQSLCVVSTSTDILKSIVYRCRHVSKLKVKGPMGNLPEDLPTYPNLTKLTLCGTCLEDTQIRILEKLPKLQTLCLGDGAFEAGSENLFCSSKGFPSLEVLYLNGLSELSHWWVSEEALPSLCRLYIENWITLVGVPDGLQYVSTLKEITIKLMPDTFCSRLQEGGEDFYKIKHVPSVLFESIVAEQWDEAVRKRMLLAEKRRVALYVQKAALQFIDAGVHT >ONI23207 pep chromosome:Prunus_persica_NCBIv2:G2:22098246:22100671:1 gene:PRUPE_2G175100 transcript:ONI23207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEGMQQLEGSAVSYLEQISLMSLLQVDNGTIDGQGEFWWQQFHKKKLKYTRPYLIEIMFSTDIQISNLTLLDSPSWNVHPVYSSNILVQGITIIAPITSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIAFGRPTKQLVIRRLTCISPYSATIALGSEMSGGIQDVRAEDIVAIHTESGIRIKTAVGRGGYVKDIYVRRMTMHTMKWVFKMNGDYGSHADDKYDKNAIPEIKGINYRDMVADNVTIAARLEGIADHPFTGICISNVTIGLAAKAKKQPWTCTNIEGITSGVTPRSCDLLPDQGHDKATACEFPADNLPIDLVELKQCTYRMSYL >ONI23206 pep chromosome:Prunus_persica_NCBIv2:G2:22097997:22100792:1 gene:PRUPE_2G175100 transcript:ONI23206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLGRRTQVIKLFLVLVVVGLLKGAESRKTMKFESLEYTAISCRAHSASLTEFGGVGDGKTSNTKAFQAAISQLSQYASEGGAQLFVPAGKWLTGSFNLISHFTLYLHKDAVLLASQDMNEWPVLKPLPSYGRGRDAAAGRFSSLIFGTNLTDVIVTGDNGTIDGQGEFWWQQFHKKKLKYTRPYLIEIMFSTDIQISNLTLLDSPSWNVHPVYSSNILVQGITIIAPITSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIAFGRPTKQLVIRRLTCISPYSATIALGSEMSGGIQDVRAEDIVAIHTESGIRIKTAVGRGGYVKDIYVRRMTMHTMKWVFKMNGDYGSHADDKYDKNAIPEIKGINYRDMVADNVTIAARLEGIADHPFTGICISNVTIGLAAKAKKQPWTCTNIEGITSGVTPRSCDLLPDQGHDKATACEFPADNLPIDLVELKQCTYRMSYL >ONI23042 pep chromosome:Prunus_persica_NCBIv2:G2:21546250:21551931:1 gene:PRUPE_2G166500 transcript:ONI23042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENPADGDVIKEEGKAASNGHSAVEDSQNNPQDTSRSKEDGTKTVSYYKLFSFADSLDYLLMSVGTISAIGNGASVALMTIIFGDLINSFGQTGNNKEVVDAVSKVALKLVYLAVGAAAASFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGTFIQLIATFVGGFVIAFVKGWLLTLVMLSCIPLVVLSGAVMSILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAIANYNNSLIKAYNSGVQEGLASGFGIGSAMLIMMCSYALAIWFGGKMILEKGYTGGEVINVVSAVLTGSASLGQASPCLSVFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIHSGATAALVGESGSGKSTVVSLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESESIVQEALDRIMINRTTVVVAHRLNTVRNADTIAVIHRGTIVEKGPHSELIMDPEGAYSQLIRLQEMSSVSEQTAVNDHERLSSVDSRRHSSQRFSNLRSISRGSSGSGNSNRHSFSITYGVPTAVDSLETASVGRDIPASASSRGPPEVSLRRLAYLNKPEILVLLLGTIAAAVNGAILPIFSILLSSVIKTFYKPPPQLRKDSKFWALIFIVLGVVAFIAVPARQYFFAVAGCNLIKRVRSMCYEKVVYMEVSWFDDPEHSSGAIGARLSTDAASLRGMVGDALGLLVENSATAIVGLCIAFVANWQLALIILVLLPLLGLTGYVQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGTGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAIGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPIFQDLCLTIHHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGFEIQKLQLKWLRQQMGMVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTIVGERGIKLSGGQKQRVAIARAVIKAPKILLLDEATSALDAESEQVVQDALDRIMVDRTTIVVAHRLSTIKCADVIAVVKNGVIAEKGKHETLIGIKDGIYASLVALHASASS >ONI23043 pep chromosome:Prunus_persica_NCBIv2:G2:21546250:21551931:1 gene:PRUPE_2G166500 transcript:ONI23043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENPADGDVIKEEGKAASNGHSAVEDSQNNPQDTSRSKEDGTKTVSYYKLFSFADSLDYLLMSVGTISAIGNGASVALMTIIFGDLINSFGQTGNNKEVVDAVSKVALKLVYLAVGAAAASFLQMSCWMVTGERQAARIRSLYLKTILRQDVGFFDKEINTGEIVGRMSGDTVLIQEAMGEKVGTFIQLIATFVGGFVIAFVKGWLLTLVMLSCIPLVVLSGAVMSILISKMASSGQTAYSVAATVVEQTIGSIRTVASFTGEKQAIANYNNSLIKAYNSGVQEGLASGFGIGSAMLIMMCSYALAIWFGGKMILEKGYTGGEVINVVSAVLTGSASLGQASPCLSVFAAGQAAAYKMFETIDRKPEIDASDTNGQQLHDIRGDIELRDVYFSYPARPDEQIFDGFSLSIHSGATAALVGESGSGKSTVVSLIERFYDPLAGEVLIDGINLKEFQLKWIRQKIGLVSQEPVLFTCSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESESIVQEALDRIMINRTTVVVAHRLNTVRNADTIAVIHRGTIVEKGPHSELIMDPEGAYSQLIRLQEMSSVSEQTAVNDHERLSSVDSRRHSSQRFSNLRSISRGSSGSGNSNRHSFSITYGVPTAVDSLETASVGRDIPASASSRGPPEVSLRRLAYLNKPEILVLLLGTIAAAVNGAILPIFSILLSSVIKTFYKPPPQLRKDSKFWALIFIVLGVVAFIAVPARQYFFAVAGCNLIKRVRSMCYEKVVYMEVSWFDDPEHSSGAIGARLSTDAASLRGMVGDALGLTGYVQVKFLKGFSADAKKMYEDASQVANDAVGSIRTIASFCAEEKVIELYQKKCEGPIKTGIRRGLISGTGFGLSFFFLFSVYACSFYAGARLVAAGKTTFSDVFRVFFALAMTAIGVSQSGSLAPNLGKVKSSAASIFAILDRKSKIDSSDESGTTIENVKGEIELRHVSFKYPTRPDVPIFQDLCLTIHHGKTVALVGESGSGKSTVVSLLQRFYDPDSGHITLDGFEIQKLQLKWLRQQMGMVSQEPVLFNDTIRANIAYGKEGNATEAEIIAAAELANAHKFISSLQQGYDTIVGERGIKLSGGQKQRVAIARAVIKAPKILLLDEATSALDAESEQVVQDALDRIMVDRTTIVVAHRLSTIKCADVIAVVKNGVIAEKGKHETLIGIKDGIYASLVALHASASS >ONI23406 pep chromosome:Prunus_persica_NCBIv2:G2:22798437:22801215:1 gene:PRUPE_2G187300 transcript:ONI23406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTKSKTYNLFVTLLEGKTLTLKFTAPDVSAASIKNRLLEITKIPLHHQRLVTGTRQLKDDSVISCSSDVPYFPTVHLLLRLVGGKGGFGSLLRGAATKAGQKKTSNFDACRDMSGRRLRHVNAEKKLEEWRAEEEERRLEKNAEDFLKNLAKKGKKGTGDAEAEKYVAKYRAESERCVSEVLDSVKEAVSGKRKGPAKKAVAQAKRMKIWMGKRKMGESDSDTEDDDSDNEDKKEKSVVLNSGNNSDSSKDAEGSLDSVTGRNQDGDISGGGSGESGSEEEKEIVVQGTQGQESLHGEKNDVVESVIHEENIVRSASTPYSEPDAVLKPEAVQEEKMDCNGPDMGNLNVVDQSQKVSSSGDVKGIETTSIVSEANGSSESNPRVQEETVANGDAAEIEKPLNFDEFNSAAEMEVIGLERLKSELQARGLKCGGTMQERAARLFMLKSTPIEKIPKKLLAKK >ONI24850 pep chromosome:Prunus_persica_NCBIv2:G2:27229844:27241375:-1 gene:PRUPE_2G265500 transcript:ONI24850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLMLLGSMKPLNHQNAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRHTRSGSLKTCIYEGVRGTSFSNTSVINISELISADIVLTTYDVLKEDLSHDSDRHEGDRRLMRFQKRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI24846 pep chromosome:Prunus_persica_NCBIv2:G2:27229824:27241537:-1 gene:PRUPE_2G265500 transcript:ONI24846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKQSRPNRSGGVILKSHSNADQAEVAEDKLSTEESRKNELDKVEKPYFVEVVRSCWVSDEHLDIAEVVLTDLNWGEEFSGDGFGEDFNQDSYSLRFRVCNMNEHISRIKCGGHWPVLSSADISLEFIKKCPTENMERLSVILSGSFDGPDEGISGLVHLASLKFMTLRPARWVGFADDMSTIRVRVEILKSAFDACESLLDTNTRQLWKKSMLNVMAWLHPEVMTSEARYGVSKSTEMEADLHTQTGEANSGPGKHGRFDVAGFYEAIKPSKADAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRHTRSGSLKTCIYEGVRGTSFSNTSVINISELISADIVLTTYDVLKEDLSHDSDRHEGDRRLMRFQKRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI24847 pep chromosome:Prunus_persica_NCBIv2:G2:27229852:27241535:-1 gene:PRUPE_2G265500 transcript:ONI24847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLMLLGSMKPLNHQNAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI24841 pep chromosome:Prunus_persica_NCBIv2:G2:27229852:27241491:-1 gene:PRUPE_2G265500 transcript:ONI24841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKQSRPNRSGGVILKSHSNADQAEVAEDKLSTEESRKNELDKVEKPYFVEVVRSCWVSDEHLDIAEVVLTDLNWGEEFSGDGFGEDFNQDSYSLRFRVCNMNEHISRIKCGGHWPVLSSADISLEFIKKCPTENMERLSVILSGSFDGPDEGISGLVHLASLKFMTLRPARWVGFADDMSTIRVRVEILKSAFDACESLLDTNTRQLWKKSMLNVMAWLHPEVMTSEARYGVSKSTEMEADLHTQTGEANSGPGKHGRFDVAGFYEAIKPSKADAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI24844 pep chromosome:Prunus_persica_NCBIv2:G2:27230085:27240868:-1 gene:PRUPE_2G265500 transcript:ONI24844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKQSRPNRSGGVILKSHSNADQAEVAEDKLSTEESRKNELDKVEKPYFVEVVRSCWVSDEHLDIAEVVLTDLNWGEEFSGDGFGEDFNQDSYSLRFRVCNMNEHISRIKCGGHWPVLSSADISLEFIKKCPTENMERLSVILSGSFDGPDEGISGLVHLASLKFMTLRPARWVGFADDMSTIRVRVEILKSAFDACESLLDTNTRQLWKKSMLNVMAWLHPEVMTSEARYGVSKSTEMEADLHTQTGEANSGPGKHGRFDVAGFYEAIKPSKADAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRHTRSGSLKTCIYEGVRGTSFSNTSVINISELISADIVLTTYDVLKEDLSHDSDRHEGDRRLMRFQKRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI24851 pep chromosome:Prunus_persica_NCBIv2:G2:27229843:27241538:-1 gene:PRUPE_2G265500 transcript:ONI24851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLMLLGSMKPLNHQNAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRHTRSGSLKTCIYEGVRGTSFSNTSVINISELISADIVLTTYDVLKEDLSHDSDRHEGDRRLMRFQKRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI24848 pep chromosome:Prunus_persica_NCBIv2:G2:27229852:27241535:-1 gene:PRUPE_2G265500 transcript:ONI24848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLMLLGSMKPLNHQNAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI24845 pep chromosome:Prunus_persica_NCBIv2:G2:27229828:27241757:-1 gene:PRUPE_2G265500 transcript:ONI24845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKQSRPNRSGGVILKSHSNADQAEVAEDKLSTEESRKNELDKVEKPYFVEVVRSCWVSDEHLDIAEVVLTDLNWGEEFSGDGFGEDFNQDSYSLRFRVCNMNEHISRIKCGGHWPVLSSADISLEFIKKCPTENMERLSVILSGSFDGPDEGISGLVHLASLKFMTLRPARWVGFADDMSTIRVRVEILKSAFDACESLLDTNTRQLWKKSMLNVMAWLHPEVMTSEARYGVSKSTEMEADLHTQTGEANSGPGKHGRFDVAGFYEAIKPSKADAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRHTRSGSLKTCIYEGVRGTSFSNTSVINISELISADIVLTTYDVLKEDLSHDSDRHEGDRRLMRFQKRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI24842 pep chromosome:Prunus_persica_NCBIv2:G2:27230085:27240868:-1 gene:PRUPE_2G265500 transcript:ONI24842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKQSRPNRSGGVILKSHSNADQAEVAEDKLSTEESRKNELDKVEKPYFVEVVRSCWVSDEHLDIAEVVLTDLNWGEEFSGDGFGEDFNQDSYSLRFRVCNMNEHISRIKCGGHWPVLSSADISLEFIKKCPTENMERLSVILSGSFDGPDEGISGLVHLASLKFMTLRPARWVGFADDMSTIRVRVEILKSAFDACESLLDTNTRQLWKKSMLNVMAWLHPEVMTSEARYGVSKSTEMEADLHTQTGEANSGPGKHGRFDVAGFYEAIKPSKADAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI24849 pep chromosome:Prunus_persica_NCBIv2:G2:27229818:27241757:-1 gene:PRUPE_2G265500 transcript:ONI24849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLMLLGSMKPLNHQNAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRHTRSGSLKTCIYEGVRGTSFSNTSVINISELISADIVLTTYDVLKEDLSHDSDRHEGDRRLMRFQKRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI24843 pep chromosome:Prunus_persica_NCBIv2:G2:27230085:27240868:-1 gene:PRUPE_2G265500 transcript:ONI24843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKQSRPNRSGGVILKSHSNADQAEVAEDKLSTEESRKNELDKVEKPYFVEVVRSCWVSDEHLDIAEVVLTDLNWGEEFSGDGFGEDFNQDSYSLRFRVCNMNEHISRIKCGGHWPVLSSADISLEFIKKCPTENMERLSVILSGSFDGPDEGISGLVHLASLKFMTLRPARWVGFADDMSTIRVRVEILKSAFDACESLLDTNTRQLWKKSMLNVMAWLHPEVMTSEARYGVSKSTEMEADLHTQTGEANSGPGKHGRFDVAGFYEAIKPSKADAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRHTRSGSLKTCIYEGVRGTSFSNTSVINISELISADIVLTTYDVLKEDLSHDSDRHEGDRRLMRFQKRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI24840 pep chromosome:Prunus_persica_NCBIv2:G2:27230085:27240868:-1 gene:PRUPE_2G265500 transcript:ONI24840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKQSRPNRSGGVILKSHSNADQAEVAEDKLSTEESRKNELDKVEKPYFVEVVRSCWVSDEHLDIAEVVLTDLNWGEEFSGDGFGEDFNQDSYSLRFRVCNMNEHISRIKCGGHWPVLSSADISLEFIKKCPTENMERLSVILSGSFDGPDEGISGLVHLASLKFMTLRPARWVGFADDMSTIRVRVEILKSAFDACESLLDTNTRQLWKKSMLNVMAWLHPEVMTSEARYGVSKSTEMEADLHTQTGEANSGPGKHGRFDVAGFYEAIKPSKADAMLQDDMPDLLPELKPYQRRAAYWMVRREKGDAESMAEEEKSQFISPLCLPLEFLDTSSKIFYNPFSGSVSLHPQNSSPYVFGGILADEMGMGKTVELLACIFAHRKSADEDNMFADSESQATEDLKVNLKRLKRERVECICGAVSENRSYKGLWVQCDVCDAWQHADCVGYSEASNGKECGRSSVFNKYIRKKNTTTIVVRDGKYICQLCSELINATNSPIATGATLIICPAPILPQWHAEIMRYPVVPTILTRIFWWRICLDEAQMVESNAGAATEMAMRLYAKHRWCITGTPIQRKLDDLYGLLRFLKACPFNASRWWVEVIRDPYERRDAGAMEFTHKFFKKIMWRSSKVHVADELQLPPQEECLSWLTLSPTEEHFYQRQHETCVTYAREVIESLKDDILKRKVRGCSASNDSSDPFLTHAEAGKLLNTLLKLRQACCHPQVGSSGLRSLQQYPMTMEEILMVLVGKTKMEGEEALRGLVVALNGLAGIAVIEQNFTQALSLYKEALALAEEHSEDFRLDPLLNIHIYHNLAEILPLATNCCPSKEQFPGSSTEMASKIHGIEKCDQHVVKRRKLSGKDNFAIGACNLLESTSELSDNEQKYLSAFSDVSLRTACDNIKQKYLSAFSSKLSTAQQEFKKSYTQVCNAISERKDLSAVWWLEALLHSEKNKGFSSELTRKIEEALIGTLNNSKSSRIASRFQSISGLKYHIQTGLDQLEASRKLLLDRLLEIDQTMEKPKEEDIQSVRYCRNCKAYDDGPLCVLCEVDELFQGYEARLFRSEKICGGMATSAEEAVDLQKKNSALNRFYQNLSLPNKDLTSPSYKESKKRDVGKVVVSKSPSELEVVLGVIKSHCKAQIGREGISEATKHLQILEGMRKEYGHARSLAIAQAQILQAYDEINMATSRLRLAENENDKSLDALSEHELPSANVLYTSDKFTSLQLLSCIKGKLRYLKGLVQAKQKTPLESPNHSSVAEEAATMSTSTEQKNECILTGDKEACPVCQETLTIRKMVFPCGHVTCCKCLFAITEWRLLNDKKVQDKWVKCPTCRQHTDVENIAYADDGQSESSRSSMLHATQSREKDEASITVKGSYGTKIEAVTRRILWIKTTDPEAKVLVFSSWHDVLNVLEHAFTANGITHIRMKGGRKSQVSISEFKGEKRSTKGNHKIHGQEPEQRPVQVLLLLIQHGANGLNLLEAKHVILVEPLLNPAVEAQAISRVHRIGQKNRTIAHRFIVKGTVEESIYKLNQSKNTTAFINGNTKNQDEPFLTLKDIESLFATAPPAVPEADEKPTEGSDEKETESLRHLPPSVAAAIAAEKRQKEQHACSS >ONI25679 pep chromosome:Prunus_persica_NCBIv2:G2:29574578:29579588:-1 gene:PRUPE_2G314500 transcript:ONI25679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSEEEYGFFDAQEDIASTSDASSDNIEVFDSTSSFINWVPPSFPYEVWVQSPGSVKERRVKFLEWMGLSSDQIVRETSLDISSDVPGEVERIQETSGAVLRTSRFEDEFCFSQSSMSCWRNGYSNSSIELGSKDNSACRGGNPGMILECNADELGQDGKVSEGQEVAEESERTSCSSSSLSLQLMENQVEEVHNRAGILKRVKKVWLSRLRSMSCLVDRQGEADKLTDNENDAIVGHRAQRVKVRHCRKRLKELSALYMGQDIQAHEGAILAMKFSPDGQYLASAGEDGIVRVWNVVEDERSNEHDIPEIDPSCIYFTVNHLSELNPLFADKDKKSGSLRKTPDSACVIFPPKVFRILEKPLHEFHGHDGHILDLSWSRNNYLLSSSVDKTVRLWQVGCDHCLKVFSHSNYVTCVQFNPVDDNYFISGSIDGKIRIWGIPCCQVVDWSDIRDIVTAVCYRPDGQGGIVGSMTGNCRFYNISDNHLQLDAQICLHSKKKSPCRKITGFQFFPHNPSKVMVTCADSQVRILHGLNVVGKYAGQRNAGNQTSATFTSDGKHIVSVSEDSNVYIWNCGNQEEHVLSQAKKIRSCERFSTNVSIAIPWCGFKRDSLENEGQFQAMDGKLPETLPFSSPACFSLSQDSFLESIPKGSATWPEEKLPTSSPLAKPSTMHRSEYKFFKTSCQSTSSSHAWGMVIVTAGWDGRIRSFHNYGLPVPV >ONI25681 pep chromosome:Prunus_persica_NCBIv2:G2:29574578:29579426:-1 gene:PRUPE_2G314500 transcript:ONI25681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSEEEYGFFDAQEDIASTSDASSDNIEVFDSTSSFINWVPPSFPYEVWVQSPGSVKERRVKFLEWMGLSSDQIVRETSLDISSDVPGEVERIQETSGAVLRTSRFEDEFCFSQSSMSCWRNGYSNSSIELGSKDNSACRGGNPGMILECNADELGQDGKVSEGQEVAEESERTSCSSSSLSLQLMENQVEEVHNRAGILKRVKKVWLSRLRSMSCLVDRQGEADKLTDNENDAIVGHRAQRVKVRHCRKRLKELSALYMGQDIQAHEGAILAMKFSPDGQYLASAGEDGIVRVWNVVEDERSNEHDIPEIDPSCIYFTVNHLSELNPLFADKDKKSGSLRKTPDSACVIFPPKVFRILEKPLHEFHGHDGHILDLSWSRNNYLLSSSVDKTVRLWQVGCDHCLKVFSHSNYVTCVQFNPVDDNYFISGSIDGKIRIWGIPCCQVVDWSDIRDIVTAVCYRPDGQGGIVGSMTGNCRFYNISDNHLQLDAQICLHSKKKSPCRKITGFQFFPHNPSKVMVTCADSQVRILHGLNVVGKYAGQRNAGNQTSATFTSDGKHIVSVSEDSNVYIWNCGNQEEHVLSQAKKIRSCERFSTNVSIAIPWCGFKRDSLENEGQFQAMDGKLPETLPFSSPACFSLSQDSFLESIPKGSATWPEEKLPTSSPLAKPSTMHRSEYKFFKTSCQSTSSSHAWGMVIVTAGWDGRIRSFHNYGLPVPV >ONI25680 pep chromosome:Prunus_persica_NCBIv2:G2:29575623:29578739:-1 gene:PRUPE_2G314500 transcript:ONI25680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSEEEYGFFDAQEDIASTSDASSDNIEVFDSTSSFINWVPPSFPYEVWVQSPGSVKERRVKFLEWMGLSSDQIVRETSLDISSDVPGEVERIQETSGAVLRTSRFEDEFCFSQSSMSCWRNGYSNSSIELGSKDNSACRGGNPGMILECNADELGQDGKVSEGQEVAEESERTSCSSSSLSLQLMENQVEEVHNRAGILKRVKKVWLSRLRSMSCLVDRQGEADKLTDNENDAIVGHRAQRVKVRHCRKRLKELSALYMGQDIQAHEGAILAMKFSPDGQYLASAGEDGIVRVWNVVEDERSNEHDIPEIDPSCIYFTVNHLSELNPLFADKDKKSGSLRKTPDSACVIFPPKVFRILEKPLHEFHGHDGHILDLSWSRNNYLLSSSVDKTVRLWQVGCDHCLKVFSHSNYVTCVQFNPVDDNYFISGSIDGKIRIWGIPCCQVVDWSDIRDIVTAVCYRPDGQGGIVGSMTGNCRFYNISDNHLQLDAQICLHSKKKSPCRKITGFQFFPHNPSKVMVTCADSQVRILHGLNVVGKYAGQRNAGNQTSATFTSDGKHIVSVSEDSNVYIWNCGNQEEHVLSQAKKIRSCERFSTNVSIAIPWCGFKRDSLENEGQFQAMDGKLPETLPFSSPACFSLSQDSFLESIPKGSATWPEEKLPTSSPLAKPSTMHRSEYKFFKTSCQSTSSSHAWGMVIVTAGWDGRIRSFHNYGLPVPV >ONI25682 pep chromosome:Prunus_persica_NCBIv2:G2:29576296:29579588:-1 gene:PRUPE_2G314500 transcript:ONI25682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSEEEYGFFDAQEDIASTSDASSDNIEVFDSTSSFINWVPPSFPYEVWVQSPGSVKERRVKFLEWMGLSSDQIVRETSLDISSDVPGEVERIQETSGAVLRTSRFEDEFCFSQSSMSCWRNGYSNSSIELGSKDNSACRGGNPGMILECNADELGQDGKVSEGQEVAEESERTSCSSSSLSLQLMENQVEEVHNRAGILKRVKKVWLSRLRSMSCLVDRQGEADKLTDNENDAIVGHRAQRVKVRHCRKRLKELSALYMGQDIQAHEGAILAMKFSPDGQYLASAGEDGIVRVWNVVEDERSNEHDIPEIDPSCIYFTVNHLSELNPLFADKDKKSGSLRKTPDSACVIFPPKVFRILEKPLHEFHGHDGHILDLSWSRNNYLLSSSVDKTVRLWQVGCDHCLKVFSHSNYVTCVQFNPVDDNYFISGSIDGKIRIWGIPCCQVVDWSDIRDIVTAVCYRPDGQGGIVGSMTGNCRFYNISDNHLQLDAQICLHSKKKSPCRKITGFQFFPHNPSKVMVTCADSQVRILHGLNVVGKYAGMHITHLFLYSSFLFF >ONI21234 pep chromosome:Prunus_persica_NCBIv2:G2:6369567:6375442:1 gene:PRUPE_2G054300 transcript:ONI21234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEALISASVQVLCDRITSPEFVDLFRHKKLDEPLLRKLRTTLLALNLVLNDAEEKQLVNRDVKKWLDELKHAVFDAEDLLDEIDTEALRCKLEEGEDQTHKFTNKVRNLLFSSRSHFYQSMNDKIKELLARLENFVQLKSALGLGEVAGRKVSQRTQTTSLVLEPYVYGRDEVKEKLSKVLLSDEAGKDPVSFLTIVGMGGVGKTTLARMLYNDDKVKGHFKLKAWACVSDYDDYIKITKTLLEAVTSKPCNTANLNLLQEDLREQLKGRKFLFVLDDLWNENNEDLNYLRALFITLGTMGSKVIVTTRSKNAASVMQNVHIQYLEPLSQEDCWLLLAKHAFGNVKCSAHSNLEDIGNQIARKCKGLPLAAQTLGSLLRCNMNFEYWNRILNDSFWDHPYDKTNILPALGLSYHYLPTQLKRCFAYCSIFPKDYEFEKEDIVQLWIAEGIIPQAENGNRMEALARIYFDELLSRSLFQKSSKFSFIMHDLINDLAMFMSQGFCLRLEYGVSHEVKRARHLSYARGAFDAAPRFEPLYEAKCLRTFLPTSLNPYRFYERFFVSKKVLQDLLPSLRCLRVLSLSRYQNVTVLPDSIANLIHLHYLDLSHTAIKRLPGVLCNLFNLQTLLLSNCSSLHELPADIRKLINLQKLTLGGCSSLNKLPAGMKELTNLHHLDVSGTEIVEMPVQMGRLKNLRTLTAFVVGKSTGSGIRELSEFPQLQGKLSILKLQNVVDARDALHANMKLKTDLKELEFSWGAQDADDSQKEKDVLDKLQPCVNLEKLTIGFYGGTNFPNWLGDSSFSNIQVMHLSDCSYCWSLPPVGRLSALKELCIKRMKSLRTIGVEFYGRDGAYLTQPFRSLEKLEFIEMPEWEEWVPSGSASGSEYGPDFPHLQELILNECPKLRGSLPCELPCLKKLTVYGCKVLHDGRAATATTNSLNYKSLEELDIRGGCQTLLSLLETKLLSRLKIENVDVQCLPNCNRLQRLTLLNCPTLSSFPKDGLPTTLTSLTILNCRRLEFLPHEMLAKLTSLDYLGIQSSCDSMRSLPLGIFPKLTTLQILGCENLESFSLIEEEGAVENLSHLNSLQVINCPKMVCFHEGELPFPNLSHFVVIDCENLKSLPERLHTLTALRSLNIWNLPNLESFAEDGGLPPNLRSFIIRNCKRLRALDSVGLQALVYLQIDGSDHVLETLLLPTTLHTLCISDLSTLKSLDGKGLGHLTSLQTLKIYSCPSLQCLPEEGLPPSLSHLSIRCCPTLEERYKNKTGQDWAKISHIPCIEIGEEVII >ONI21233 pep chromosome:Prunus_persica_NCBIv2:G2:6369850:6375400:1 gene:PRUPE_2G054300 transcript:ONI21233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEALISASVQVLCDRITSPEFVDLFRHKKLDEPLLRKLRTTLLALNLVLNDAEEKQLVNRDVKKWLDELKHAVFDAEDLLDEIDTEALRCKLEEGEDQTHKFTNKVRNLLFSSRSHFYQSMNDKIKELLARLENFVQLKSALGLGEVAGRKVSQRTQTTSLVLEPYVYGRDEVKEKLSKVLLSDEAGKDPVSFLTIVGMGGVGKTTLARMLYNDDKVKGHFKLKAWACVSDYDDYIKITKTLLEAVTSKPCNTANLNLLQEDLREQLKGRKFLFVLDDLWNENNEDLNYLRALFITLGTMGSKVIVTTRSKNAASVMQNVHIQYLEPLSQEDCWLLLAKHAFGNVKCSAHSNLEDIGNQIARKCKGLPLAAQTLGSLLRCNMNFEYWNRILNDSFWDHPYDKTNILPALGLSYHYLPTQLKRCFAYCSIFPKDYEFEKEDIVQLWIAEGIIPQAENGNRMEALARIYFDELLSRSLFQKSSKFSFIMHDLINDLAMFMSQGFCLRLEYGVSHEVKRARHLSYARGAFDAAPRFEPLYEAKCLRTFLPTSLNPYRFYERFFVSKKVLQDLLPSLRCLRVLSLSRYQNVTVLPDSIANLIHLHYLDLSHTAIKRLPGVLCNLFNLQTLLLSNCSSLHELPADIRKLINLQKLTLGGCSSLNKLPAGMKELTNLHHLDVSGTEIVEMPVQMGRLKNLRTLTAFVVGKSTGSGIRELSEFPQLQGKLSILKLQNVVDARDALHANMKLKTDLKELEFSWGAQDADDSQKEKDVLDKLQPCVNLEKLTIGFYGGTNFPNWLGDSSFSNIQVMHLSDCSYCWSLPPVGRLSALKELCIKRMKSLRTIGVEFYGRDGAYLTQPFRSLEKLEFIEMPEWEEWVPSGSASGSEYGPDFPHLQELILNECPKLRGSLPCELPCLKKLTVYGCKVLHDGRAATATTNSLNYKSLEELDIRGGCQTLLSLLETKLLSRLKIENVDVQCLPNCNRLQRLTLLNCPTLSSFPKDGLPTTLTSLTILNCRRLEFLPHEMLAKLTSLDYLGIQSSCDSMRSLPLGIFPKLTTLQILGCENLESFSLIEEEGAVENLSHLNSLQVINCPKMVCFHEGELPFPNLSHFVVIDCENLKSLPERLHTLTALRSLNIWNLPNLESFAEDGGLPPNLRSFIIRNCKRLRALDSVGLQALVYLQIDGSDHVLETLLLPTTLHTLCISDLSTLKSLDGKGLGHLTSLQTLKIYSCPSLQCLPEEGLPPSLSHLSIRCCPTLEERYKNKTGQDWAKISHIPCIEIGEEVII >ONI21231 pep chromosome:Prunus_persica_NCBIv2:G2:6369296:6375443:1 gene:PRUPE_2G054300 transcript:ONI21231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEALISASVQVLCDRITSPEFVDLFRHKKLDEPLLRKLRTTLLALNLVLNDAEEKQLVNRDVKKWLDELKHAVFDAEDLLDEIDTEALRCKLEEGEDQTHKFTNKVRNLLFSSRSHFYQSMNDKIKELLARLENFVQLKSALGLGEVAGRKVSQRTQTTSLVLEPYVYGRDEVKEKLSKVLLSDEAGKDPVSFLTIVGMGGVGKTTLARMLYNDDKVKGHFKLKAWACVSDYDDYIKITKTLLEAVTSKPCNTANLNLLQEDLREQLKGRKFLFVLDDLWNENNEDLNYLRALFITLGTMGSKVIVTTRSKNAASVMQNVHIQYLEPLSQEDCWLLLAKHAFGNVKCSAHSNLEDIGNQIARKCKGLPLAAQTLGSLLRCNMNFEYWNRILNDSFWDHPYDKTNILPALGLSYHYLPTQLKRCFAYCSIFPKDYEFEKEDIVQLWIAEGIIPQAENGNRMEALARIYFDELLSRSLFQKSSKFSFIMHDLINDLAMFMSQGFCLRLEYGVSHEVKRARHLSYARGAFDAAPRFEPLYEAKCLRTFLPTSLNPYRFYERFFVSKKVLQDLLPSLRCLRVLSLSRYQNVTVLPDSIANLIHLHYLDLSHTAIKRLPGVLCNLFNLQTLLLSNCSSLHELPADIRKLINLQKLTLGGCSSLNKLPAGMKELTNLHHLDVSGTEIVEMPVQMGRLKNLRTLTAFVVGKSTGSGIRELSEFPQLQGKLSILKLQNVVDARDALHANMKLKTDLKELEFSWGAQDADDSQKEKDVLDKLQPCVNLEKLTIGFYGGTNFPNWLGDSSFSNIQVMHLSDCSYCWSLPPVGRLSALKELCIKRMKSLRTIGVEFYGRDGAYLTQPFRSLEKLEFIEMPEWEEWVPSGSASGSEYGPDFPHLQELILNECPKLRGSLPCELPCLKKLTVYGCKVLHDGRAATATTNSLNYKSLEELDIRGGCQTLLSLLETKLLSRLKIENVDVQCLPNCNRLQRLTLLNCPTLSSFPKDGLPTTLTSLTILNCRRLEFLPHEMLAKLTSLDYLGIQSSCDSMRSLPLGIFPKLTTLQILGCENLESFSLIEEEGAVENLSHLNSLQVINCPKMVCFHEGELPFPNLSHFVVIDCENLKSLPERLHTLTALRSLNIWNLPNLESFAEDGGLPPNLRSFIIRNCKRLRALDSVGLQALVYLQIDGSDHVLETLLLPTTLHTLCISDLSTLKSLDGKGLGHLTSLQTLKIYSCPSLQCLPEEGLPPSLSHLSIRCCPTLEERYKNKTGQDWAKISHIPCIEIGEEVII >ONI21232 pep chromosome:Prunus_persica_NCBIv2:G2:6369850:6375392:1 gene:PRUPE_2G054300 transcript:ONI21232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEALISASVQVLCDRITSPEFVDLFRHKKLDEPLLRKLRTTLLALNLVLNDAEEKQLVNRDVKKWLDELKHAVFDAEDLLDEIDTEALRCKLEEGEDQTHKFTNKVRNLLFSSRSHFYQSMNDKIKELLARLENFVQLKSALGLGEVAGRKVSQRTQTTSLVLEPYVYGRDEVKEKLSKVLLSDEAGKDPVSFLTIVGMGGVGKTTLARMLYNDDKVKGHFKLKAWACVSDYDDYIKITKTLLEAVTSKPCNTANLNLLQEDLREQLKGRKFLFVLDDLWNENNEDLNYLRALFITLGTMGSKVIVTTRSKNAASVMQNVHIQYLEPLSQEDCWLLLAKHAFGNVKCSAHSNLEDIGNQIARKCKGLPLAAQTLGSLLRCNMNFEYWNRILNDSFWDHPYDKTNILPALGLSYHYLPTQLKRCFAYCSIFPKDYEFEKEDIVQLWIAEGIIPQAENGNRMEALARIYFDELLSRSLFQKSSKFSFIMHDLINDLAMFMSQGFCLRLEYGVSHEVKRARHLSYARGAFDAAPRFEPLYEAKCLRTFLPTSLNPYRFYERFFVSKKVLQDLLPSLRCLRVLSLSRYQNVTVLPDSIANLIHLHYLDLSHTAIKRLPGVLCNLFNLQTLLLSNCSSLHELPADIRKLINLQKLTLGGCSSLNKLPAGMKELTNLHHLDVSGTEIVEMPVQMGRLKNLRTLTAFVVGKSTGSGIRELSEFPQLQGKLSILKLQNVVDARDALHANMKLKTDLKELEFSWGAQDADDSQKEKDVLDKLQPCVNLEKLTIGFYGGTNFPNWLGDSSFSNIQVMHLSDCSYCWSLPPVGRLSALKELCIKRMKSLRTIGVEFYGRDGAYLTQPFRSLEKLEFIEMPEWEEWVPSGSASGSEYGPDFPHLQELILNECPKLRGSLPCELPCLKKLTVYGCKVLHDGRAATATTNSLNYKSLEELDIRGGCQTLLSLLETKLLSRLKIENVDVQCLPNCNRLQRLTLLNCPTLSSFPKDGLPTTLTSLTILNCRRLEFLPHEMLAKLTSLDYLGIQSSCDSMRSLPLGIFPKLTTLQILGCENLESFSLIEEEGAVENLSHLNSLQVINCPKMVCFHEGELPFPNLSHFVVIDCENLKSLPERLHTLTALRSLNIWNLPNLESFAEDGGLPPNLRSFIIRNCKRLRALDSVGLQALVYLQIDGSDHVLETLLLPTTLHTLCISDLSTLKSLDGKGLGHLTSLQTLKIYSCPSLQCLPEEGLPPSLSHLSIRCCPTLEERYKNKTGQDWAKISHIPCIEIGEEVII >ONI23319 pep chromosome:Prunus_persica_NCBIv2:G2:22484978:22486283:1 gene:PRUPE_2G182200 transcript:ONI23319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPYYFFDVRLHWNKMSHKSYFLGVKELPYLPSVGLTKEYMYSYAKGILDMSPKLYSTYARRKFTLTKLNCTHTYAFV >ONI23550 pep chromosome:Prunus_persica_NCBIv2:G2:23268222:23273901:1 gene:PRUPE_2G194500 transcript:ONI23550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVFLSLSSFFTLLFSMSHNPDCKKSQCMKSSWFGIKEEISFASNQTLPLCALMFSLMW >ONI23553 pep chromosome:Prunus_persica_NCBIv2:G2:23268222:23273901:1 gene:PRUPE_2G194500 transcript:ONI23553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVFLSLSSFFTLLFSMSHNPDCKKSQCMKSSWFGIKEEISFASNQTLPLCALMFSLMW >ONI23554 pep chromosome:Prunus_persica_NCBIv2:G2:23268222:23273901:1 gene:PRUPE_2G194500 transcript:ONI23554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVFLSLSSFFTLLFSMSHNPDCKKSQCMKSSWFGIKEEISFASNQTLPLCALMFSLMW >ONI23551 pep chromosome:Prunus_persica_NCBIv2:G2:23268222:23273901:1 gene:PRUPE_2G194500 transcript:ONI23551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVFLSLSSFFTLLFSMSHNPDCKKSQCMKSSWFGIKEEISFASNQTLPLCALMFSLMW >ONI23552 pep chromosome:Prunus_persica_NCBIv2:G2:23268212:23273901:1 gene:PRUPE_2G194500 transcript:ONI23552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVFLSLSSFFTLLFSMSHNPDCKKSQCMKSSWFGIKEEISFASNQTLPLCALMFSLMW >ONI20551 pep chromosome:Prunus_persica_NCBIv2:G2:2139501:2140799:-1 gene:PRUPE_2G021900 transcript:ONI20551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSICSSPNQPPILPTLSLPTIPFTPLKTHHPLQLHLPFPSSSSKSGGNLVSNDALAIAAAAEALVLARAAVEAAIDAVAVTEDIGEVWSCWESGNESGGLVARRKRRRKRRKGLEALDEEMKRDVEDGMVSFGFVRYEHLSPREEAECCLSLKEGARLESERFRVVEAQKHEPTSKQLAKAMGMKMRSIDKVLCKRRESQEKIIRSYRGLVVSVASSYQGKGLSFQDLIQVPTPFTPIFPKLS >ONI20546 pep chromosome:Prunus_persica_NCBIv2:G2:2138817:2140799:-1 gene:PRUPE_2G021900 transcript:ONI20546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSICSSPNQPPILPTLSLPTIPFTPLKTHHPLQLHLPFPSSSSKSGGNLVSNDALAIAAAAEALVLARAAVEAAIDAVAVTEDIGEVWSCWESGNESGGLVARRKRRRKRRKGLEALDEEMKRDVEDGMVSFGFVRYEHLSPREEAECCLSLKEGARLESERFRVVEAQKHEPTSKQLAKAMGMKMRSIDKVLCKRRESQEKIIRSYRGLVVSVASSYQGKGLSFQDLIQEGSIGLLRGAEKFDHEREWKLSTYVYWWIRQAIIRAIENKSRIIRLPLLGGNW >ONI20545 pep chromosome:Prunus_persica_NCBIv2:G2:2137413:2140899:-1 gene:PRUPE_2G021900 transcript:ONI20545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSICSSPNQPPILPTLSLPTIPFTPLKTHHPLQLHLPFPSSSSKSGGNLVSNDALAIAAAAEALVLARAAVEAAIDAVAVTEDIGEVWSCWESGNESGGLVARRKRRRKRRKGLEALDEEMKRDVEDGMVSFGFVRYEHLSPREEAECCLSLKEGARLESERFRVVEAQKHEPTSKQLAKAMGMKMRSIDKVLCKRRESQEKIIRSYRGLVVSVASSYQGKGLSFQDLIQQEGSIGLLRGAEKFDHEREWKLSTYVYWWIRQAIIRAIENKSRIIRLPFICIGTRVRHDGKNHKSSKFLEPKISAAA >ONI20543 pep chromosome:Prunus_persica_NCBIv2:G2:2137413:2140899:-1 gene:PRUPE_2G021900 transcript:ONI20543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSICSSPNQPPILPTLSLPTIPFTPLKTHHPLQLHLPFPSSSSKSGGNLVSNDALAIAAAAEALVLARAAVEAAIDAVAVTEDIGEVWSCWESGNESGGLVARRKRRRKRRKGLEALDEEMKRDVEDGMVSFGFVRYEHLSPREEAECCLSLKEGARLESERFRVVEAQKHEPTSKQLAKAMGMKMRSIDKVLCKRRESQEKIIRSYRGLVVSVASSYQGKGLSFQDLIQEGSIGLLRGAEKFDHEREWKLSTYVYWWIRQAIIRAIENKSRIIRLPGHVCGMMAKITKAQNSLNQRFQRLPSHDEIAEVIKVNASTVKLVCERSRPPISLDRVATVRGSMTLQEIIQGPEEMMPEKMLIRQLMKQEVEKLLKTLSDREANVLRLHFGLNGESPQSFEEIGRLLKLSRERVRQINGIALSKLRQTSILDNLKLYIV >ONI20552 pep chromosome:Prunus_persica_NCBIv2:G2:2139501:2140799:-1 gene:PRUPE_2G021900 transcript:ONI20552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSICSSPNQPPILPTLSLPTIPFTPLKTHHPLQLHLPFPSSSSKSGGNLVSNDALAIAAAAEALVLARAAVEAAIDAVAVTEDIGEVWSCWESGNESGGLVARRKRRRKRRKGLEALDEEMKRDVEDGMVSFGFVRYEHLSPREEAECCLSLKEGARLESERFRVVEAQKHEPTSKQLAKAMGMKMRSIDKVLCKRRESQEKIIRSYRGLVVSVASSYQGKGLSFQDLIQVPTPFTPIFPKLS >ONI20544 pep chromosome:Prunus_persica_NCBIv2:G2:2138690:2140799:-1 gene:PRUPE_2G021900 transcript:ONI20544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSICSSPNQPPILPTLSLPTIPFTPLKTHHPLQLHLPFPSSSSKSGGNLVSNDALAIAAAAEALVLARAAVEAAIDAVAVTEDIGEVWSCWESGNESGGLVARRKRRRKRRKGLEALDEEMKRDVEDGMVSFGFVRYEHLSPREEAECCLSLKEGARLESERFRVVEAQKHEPTSKQLAKAMGMKMRSIDKVLCKRRESQEKIIRSYRGLVVSVASSYQGKGLSFQDLIQEGSIGLLRGAEKFDHEREWKLSTYVYWWIRQAIIRAIENKSRIIRLPFICIGTRVRHDGKNHKSSKFLEPKISAAA >ONI20550 pep chromosome:Prunus_persica_NCBIv2:G2:2139066:2140899:-1 gene:PRUPE_2G021900 transcript:ONI20550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSICSSPNQPPILPTLSLPTIPFTPLKTHHPLQLHLPFPSSSSKSGGNLVSNDALAIAAAAEALVLARAAVEAAIDAVAVTEDIGEVWSCWESGNESGGLVARRKRRRKRRKGLEALDEEMKRDVEDGMVSFGFVRYEHLSPREEAECCLSLKEGARLESERFRVVEAQKHEPTSKQLAKAMGMKMRSIDKVLCKRRESQEKIIRSYRGLVVSVASSYQGKGLSFQDLIQVPTPFTPIFPKLS >ONI20548 pep chromosome:Prunus_persica_NCBIv2:G2:2137413:2141024:-1 gene:PRUPE_2G021900 transcript:ONI20548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSICSSPNQPPILPTLSLPTIPFTPLKTHHPLQLHLPFPSSSSKSGGNLVSNDALAIAAAAEALVLARAAVEAAIDAVAVTEDIGEVWSCWESGNESGGLVARRKRRRKRRKGLEALDEEMKRDVEDGMVSFGFVRYEHLSPREEAECCLSLKEGARLESERFRVVEAQKHEPTSKQLAKAMGMKMRSIDKVLCKRRESQEKIIRSYRGLVVSVASSYQGKGLSFQDLIQGALVFFEGQKSLIMSENGSYQHMCTGGLGKLLSEP >ONI20547 pep chromosome:Prunus_persica_NCBIv2:G2:2139064:2140971:-1 gene:PRUPE_2G021900 transcript:ONI20547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSICSSPNQPPILPTLSLPTIPFTPLKTHHPLQLHLPFPSSSSKSGGNLVSNDALAIAAAAEALVLARAAVEAAIDAVAVTEDIGEVWSCWESGNESGGLVARRKRRRKRRKGLEALDEEMKRDVEDGMVSFGFVRYEHLSPREEAECCLSLKEGARLESERFRVVEAQKHEPTSKQLAKAMGMKMRSIDKVLCKRRESQEKIIRSYRGLVVSVASSYQGKGLSFQDLIQEGSIGLLRGAEKFDHEREWKLSTYVYWWIRQAIIRAIENKSRIIRLPVRS >ONI20549 pep chromosome:Prunus_persica_NCBIv2:G2:2137413:2140899:-1 gene:PRUPE_2G021900 transcript:ONI20549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTSICSSPNQPPILPTLSLPTIPFTPLKTHHPLQLHLPFPSSSSKSGGNLVSNDALAIAAAAEALVLARAAVEAAIDAVAVTEDIGEVWSCWESGNESGGLVARRKRRRKRRKGLEALDEEMKRDVEDGMVSFGFVRYEHLSPREEAECCLSLKEGARLESERFRVVEAQKHEPTSKQLAKAMGMKMRSIDKVLCKRRESQEKIIRSYRGLVVSVASSYQGKGLSFQDLIQVPTPFTPIFPKLS >ONI25487 pep chromosome:Prunus_persica_NCBIv2:G2:29153262:29156709:-1 gene:PRUPE_2G306200 transcript:ONI25487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLKVPKRVQVDESPTEIPKYDVAEDEEGNPSEALGRQNDNGEDNGGIRNSNVTEDQEPFMGVKVRRKASFRREYKGDYIDVRSNPYLMKILQKQGDKEVLFADKVLKFTASGKMKRRILMITDFAIYIVDPEADALKRRIALAAVERMCLSELSDNFFAIIIPTEYDVLMASTRKTEIVTVLVEATKSASDYELEVCFSNSFEYNATAEFVKEVQFEEVEGGVKTRILKK >ONI23097 pep chromosome:Prunus_persica_NCBIv2:G2:21737648:21742397:1 gene:PRUPE_2G170100 transcript:ONI23097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGTQNHERVPENLRKQFAVAVRSIKWSYAIFWSLSTSQQGVLEWCEGYYNGDIKTRKTVEGVELKTDKMGLERNAQLRELYKSLLEGETEPQAKAPSAALNPEDLSDAEWYYLLCMSFVFNPGEGLPGRALANGQTIWLCDAQYADSKVFSRSLLAKSASIQTVVCFPYLGGVVELGVTELVPEDLSLIQHIKASLLDFSKPDCSEKSSSAPHKADDDSDQVLAKVDHEIVDTLALENLYSPSEEIKFDPMGINDLHGNYEEFNMDSPEECSNGCEHNHQTEDSFMPEGINDGASQVQSWHFMDEDFSIGVQDSMNSSDCISEAFVNKKRAQSSPRHESVNRNHLKELENLNDTKFSSLDLGPADDHIHYTRTLSNILGSSTRLTENPCSCDGDCKSSFVTWKKGVVDNCRPTVHQKILKKILFTVPLMCGASSQNTIQDGLSKLQSDDIHKGHVMPDKLKENEKLLVLRSMVPSISEVDKASVLDDTIKYLKELEARAEEMESCMDTVEAIARRKYLDRAEKTSDNYDKIKMDNVKKPWLNKRKACDIDETDPDLNRLVPRESLPLDVKVILKEQEVLIEMRCPYREYILLDIMDAINNLYLDAHSVQSSTLDGVLTLSLTSKFRGAAVAPVGMIKQALWKIAGKC >ONI23098 pep chromosome:Prunus_persica_NCBIv2:G2:21739451:21742053:1 gene:PRUPE_2G170100 transcript:ONI23098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIDGCSQSASIQTVVCFPYLGGVVELGVTELVPEDLSLIQHIKASLLDFSKPDCSEKSSSAPHKADDDSDQVLAKVDHEIVDTLALENLYSPSEEIKFDPMGINDLHGNYEEFNMDSPEECSNGCEHNHQTEDSFMPEGINDGASQVQSWHFMDEDFSIGVQDSMNSSDCISEAFVNKKRAQSSPRHESVNRNHLKELENLNDTKFSSLDLGPADDHIHYTRTLSNILGSSTRLTENPCSCDGDCKSSFVTWKKGVVDNCRPTVHQKILKKILFTVPLMCGASSQNTIQDGLSKLQSDDIHKGHVMPDKLKENEKLLVLRSMVPSISEVDKASVLDDTIKYLKELEARAEEMESCMDTVEAIARRKYLDRAEKTSDNYDKIKMDNVKKPWLNKRKACDIDETDPDLNRLVPRESLPLDVKVILKEQEVLIEMRCPYREYILLDIMDAINNLYLDAHSVQSSTLDGVLTLSLTSKFRGAAVAPVGMIKQALWKIAGKC >ONI25446 pep chromosome:Prunus_persica_NCBIv2:G2:29030938:29036570:-1 gene:PRUPE_2G303900 transcript:ONI25446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHIFLSALSVGVGVGVGLGLSSGQAVSKWVNGNCSADEVTAEQIEQELMRQVLDGRNSKVTFEEFPYYLRERTRMLLTSAAYVHLKHSDLSKHTRNLSPASRAILLSGPAELYHQVLAKALAHYFESKLLLLDITDFSIKIQSKYGCAKREPYLKRSISEVTMERMSSLLGSFSILPSSGDSKGTLCRQSSTTDLKSRGAEGPNNSTLQRNASSASDMSSFSSKCAPTSSAPLKRVTSWCFDEKLFLQSLYKVLASISETGSIILYIRDVEKLFLQSRRLYNLFNKMLKRLSGSVLILGSRMLDAEDDCKEVDERLAGLFPYNIEISPPEDETHLVSWKAQLEEDMKMIQFHDNKNHIAEVLASNDLECDDLGSICHADTMVLSNYIEEIVVSAISYHLMQNKDPEYRNGKLVISSTSLSHGLSIFQEGKSGGKDSLKLETNADSNKETEGEEAVGAKTETEKSGPAVKKDSENPPPPKVVSSIAPDNEFEKRIRPEVIPANEIGVTFADIGALDDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKTGERILVLAATNRPFDLDEAIIRRFERRVMVGLPSVENREMILKTLLSKEKVENLDFKELATMTEGYSGSDLKNLCVTAAYRPVRELIQQERQKDMEKKKREAQGKSTEDASETKEEEKEDQEITLRALNMEDMRQAKNQVAASFASEGSVMSELKQWNDLYGEGGSRKKQQLTYFL >ONI25445 pep chromosome:Prunus_persica_NCBIv2:G2:29030869:29037114:-1 gene:PRUPE_2G303900 transcript:ONI25445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKHIFLSALSVGVGVGVGLGLSSGQAVSKWVNGNCSADEVTAEQIEQELMRQVLDGRNSKVTFEEFPYYLRERTRMLLTSAAYVHLKHSDLSKHTRNLSPASRAILLSGPAELYHQVLAKALAHYFESKLLLLDITDFSIKIQSKYGCAKREPYLKRSISEVTMERMSSLLGSFSILPSSGDSKGTLCRQSSTTDLKSRGAEGPNNSTLQRNASSASDMSSFSSKCAPTSSAPLKRVTSWCFDEKLFLQSLYKVLASISETGSIILYIRDVEKLFLQSRRLYNLFNKMLKRLSGSVLILGSRMLDAEDDCKEVDERLAGLFPYNIEISPPEDETHLVSWKAQLEEDMKMIQFHDNKNHIAEVLASNDLECDDLGSICHADTMVLSNYIEEIVVSAISYHLMQNKDPEYRNGKLVISSTSLSHGLSIFQEGKSGGKDSLKLETNADSNKETEGEEAVGAKTETEKSGPAVKKDSENPPPPKVEVAPDNEFEKRIRPEVIPANEIGVTFADIGALDDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKTGERILVLAATNRPFDLDEAIIRRFERRVMVGLPSVENREMILKTLLSKEKVENLDFKELATMTEGYSGSDLKNLCVTAAYRPVRELIQQERQKDMEKKKREAQGKSTEDASETKEEEKEDQEITLRALNMEDMRQAKNQVAASFASEGSVMSELKQWNDLYGEGGSRKKQQLTYFL >ONI22615 pep chromosome:Prunus_persica_NCBIv2:G2:19671698:19675954:-1 gene:PRUPE_2G139900 transcript:ONI22615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDLLSIQPTELKFPFEVKKQSSCSLQLTNKTDKYVAFKVKTTNPKKYCVRPNAGIVLPGTTCDVTVTMQAQKEAPPDMQCKDKFLLQSVVAPDGVTPKDITPEMFNKEDGKVVGEFKLRVVYFPANPPSPVPEGSDEGSSPRASVLDNGNQNTSLFDAVSRSLEEPKEKSSEAWSMISKLTEEKTSALQQSQKLHRELDLLRKELSKNGGGGFSLLFVVLFGLLGILVGYFIRKT >ONI22616 pep chromosome:Prunus_persica_NCBIv2:G2:19672546:19675413:-1 gene:PRUPE_2G139900 transcript:ONI22616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDLLSIQPTELKFPFEVKKQSSCSLQLTNKTDKYVAFKVKTTNPKKYCVRPNAGIVLPGTTCDVTVTMQAQKEAPPDMQCKDKFLLQSVVAPDGVTPKDITPEMFNKEDGKVVGEFKLRVVYFPANPPSPVPEGSDEGSSPRASVLDNGNQNTSLFDALRYQDLLRNRKRSHQRHGP >ONI22523 pep chromosome:Prunus_persica_NCBIv2:G2:19246493:19250138:-1 gene:PRUPE_2G134700 transcript:ONI22523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGRNKEKKGKKIFPELENWVYVTRRVHRFYTRQWLQVEFRKKKMQRFKAASLVRNGLHSCRLLSHCRGLCSLPDHALNDDLDHQVLVEGKAWSRTAILNRPSALNALTTAMGARLQKLYKSWEDNPDIGFIVMKGSGKAFCAGGDVVYLYHMINKGKIEECKQFFSTLYAFMYMVGTCLKPHVAILNGITMGGGAGVSIPGTFRIATDKTVFATPETIIGFHPDAGASFYLSHLPGHLGEFVALTGERLNGLDMIASGLATHYLHSSRLPLIEEELGKIVTDDPSVIEASLDKYGELVYPDETSVLHRIELVDKCFSHDTVEEIIDALE >ONI22521 pep chromosome:Prunus_persica_NCBIv2:G2:19244850:19250138:-1 gene:PRUPE_2G134700 transcript:ONI22521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGRNKEKKGKKIFPELENWVYVTRRVHRFYTRQWLQVEFRKKKMQRFKAASLVRNGLHSCRLLSHCRGLCSLPDHALNDDLDHQVLVEGKAWSRTAILNRPSALNALTTAMGARLQKLYKSWEDNPDIGFIVMKGSGKAFCAGGDVVYLYHMINKGKIEECKQFFSTLYAFMYMVGTCLKPHVAILNGITMGGGAGVSIPGTFRIATDKTVFATPETIIGFHPDAGASFYLSHLPGHLGEFVALTGERLNGLDMIASGLATHYLHSSRLPLIEEELGKIVTDDPSVIEASLDKYGELVYPDETSVLHRIELVDKCFSHDTVEEIIDALECEAGRTNDAWCTSTLKRLKEVSPLSLKVALRSIREGRFQTLDQCLVREYRMSLQGVTKQVSNDFCEGVRARVVEKDFAPKWDPPSVEKVSNDMVDQYFSPLSEFEPDLELPTELREAFT >ONI22524 pep chromosome:Prunus_persica_NCBIv2:G2:19246402:19250487:-1 gene:PRUPE_2G134700 transcript:ONI22524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGRNKEKKGKKIFPELENWVYVTRRVHRFYTRQWLQVEFRKKKMQRFKAASLVRNGLHSCRLLSHCRGLCSLPDHALNDDLDHQVLVEGKAWSRTAILNRPSALNALTTAMGARLQKLYKSWEDNPDIGFIVMKGSGKAFCAGGDVVYLYHMINKGKIEECKQFFSTLYAFMYMVGTCLKPHVAILNGITMGGGAGVSIPGTFRIATDKTVFATPETIIGFHPDAGASFYLSHLPGHLGEFVALTGERLNGLDMIASGLATHYLHKASFN >ONI22520 pep chromosome:Prunus_persica_NCBIv2:G2:19244547:19250487:-1 gene:PRUPE_2G134700 transcript:ONI22520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGRNKEKKGKKIFPELENWVYVTRRVHRFYTRQWLQVEFRKKKMQRFKAASLVRNGLHSCRLLSHCRGLCSLPDHALNDDLDHQVLVEGKAWSRTAILNRPSALNALTTAMGARLQKLYKSWEDNPDIGFIGSGKAFCAGGDVVYLYHMINKGKIEECKQFFSTLYAFMYMVGTCLKPHVAILNGITMGGGAGVSIPGTFRIATDKTVFATPETIIGFHPDAGASFYLSHLPGHLGEFVALTGERLNGLDMIASGLATHYLHSSRLPLIEEELGKIVTDDPSVIEASLDKYGELVYPDETSVLHRIELVDKCFSHDTVEEIIDALECEAGRTNDAWCTSTLKRLKEVSPLSLKVALRSIREGRFQTLDQCLVREYRMSLQGVTKQVSNDFCEGVRARVVEKDFAPKWDPPSVEKVSNDMVDQYFSPLSEFEPDLELPTELREAFT >ONI22522 pep chromosome:Prunus_persica_NCBIv2:G2:19244850:19250138:-1 gene:PRUPE_2G134700 transcript:ONI22522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGRNKEKKGKKIFPELENWVYVTRRVHRFYTRQWLQVEFRKKKMQRFKAASLVRNGLHSCRLLSHCRGLCSLPDHALNDDLDHQVLVEGKAWSRTAILNRPSALNALTTAMGARLQKLYKSWEDNPDIGFIVMKGSGKAFCAGGDVVYLYHMINKGKIEECKQFFSTLYAFMYMVGTCLKPHVAILNGITMGGGAGVSIPGTFRIATDKTVFATPETIIGFHPDAGASFYLSHLPGHLVCLCLVLFAGEFVALTGERLNGLDMIASGLATHYLHSSRLPLIEEELGKIVTDDPSVIEASLDKYGELVYPDETSVLHRIELVDKCFSHDTVEEIIDALECEAGRTNDAWCTSTLKRLKEVSPLSLKVALRSIREGRFQTLDQCLVREYRMSLQGVTKQVSNDFCEGVRARVVEKDFAPKWDPPSVEKVSNDMVDQYFSPLSEFEPDLELPTELREAFT >ONI22938 pep chromosome:Prunus_persica_NCBIv2:G2:21193942:21197311:1 gene:PRUPE_2G159500 transcript:ONI22938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGHTDGLSTPRSSRTKLLPYAESDIDEDDSAGCSEKILYSASFEELAMNIVKYDTVIWLSISILLVLAWGVGIIMLLYLPFKRYVLQKDISSRKLNVTPTEVVYKVSRPSFIPFWGITTIEKHVPLSLVIDIIIEQGCLQSRYGIHTFRIESIAHGKAAAVDELQVQGVSNPSGLRKVIITEAAKAIQDSGISWKPTAPTAEGESMARTGSLSEGPAVLRSPSKSLKMAASPRYASIERRGVVPGELLLNKIEEVNKSVKKIEFLIEKSHTQPE >ONI22939 pep chromosome:Prunus_persica_NCBIv2:G2:21193560:21197173:1 gene:PRUPE_2G159500 transcript:ONI22939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGHTDGLSTPRSSRTKLLPYAESDIDEDDSAGCSEKILYSASFEELAMNIVKYDTVIWLSISILLVLAWGVGIIMLLYLPFKRYVLQKDISSRKLNVTPTEVVYKVSRPSFIPFWGITTIEKHVPLSLVIDIIIEQGCLQSRYGIHTFRIESIAHGKAAAVDELQVQGVSNPSGLRKVIITEAAKAIQDSGISWKPTAPTAEGESMARTGSLSEGPAVLRSPSKSLKMAASPRYASIERRGVVPGELLLNKIEEVNKSVKKIEFLIEKSHTQPE >ONI22936 pep chromosome:Prunus_persica_NCBIv2:G2:21193942:21197173:1 gene:PRUPE_2G159500 transcript:ONI22936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGHTDGLSTPRSSRTKLLPYAESDIDEDDSAGCSEKILYSASFEELAMNIVKYDTVIWLSISILLVLAWGVGIIMLLYLPFKRYVLQKDISSRKLNVTPTEVVYKVSRPSFIPFWGITTIEKHVPLSLVIDIIIEQGCLQSRYGIHTFRIESIAHGKAAAVDELQVQGVSNPSGLRKVIITEAAKAIQDSGISWKPTAPTAEGESMARTGSLSEGPAVLRSPSKSLKMAASPRYASIERRGVVPGELLLNKIEEVNKSVKKIEFLIEKSHTQPE >ONI22937 pep chromosome:Prunus_persica_NCBIv2:G2:21193942:21197173:1 gene:PRUPE_2G159500 transcript:ONI22937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGHTDGLSTPRSSRTKLLPYAESDIDEDDSAGCSEKILYSASFEELAMNIVKYDTVIWLSISILLVLAWGVGIIMLLYLPFKRYVLQKDISSRKLNVTPTEVVYKVSRPSFIPFWGITTIEKHVPLSLVIDIIIEQGCLQSRYGIHTFRIESIAHGKAAAVDELQVQGVSNPSGLRKVIITEAAKAIQDSGISWKPTAPTAEGESMARTGSLSEGPAVLRSPSKSLKMAASPRYASIERRGVVPGELLLNKIEEVNKSVKKIEFLIEKSHTQPE >ONI22940 pep chromosome:Prunus_persica_NCBIv2:G2:21193942:21197173:1 gene:PRUPE_2G159500 transcript:ONI22940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGHTDGLSTPRSSRTKLLPYAESDIDEDDSAGCSEKILYSASFEELAMNIVKYDTVIWLSISILLVLAWGVGIIMLLYLPFKRYVLQKDISSRKLNVTPTEVVYKVSRPSFIPFWGITTIEKHVPLSLVIDIIIEQGCLQSRYGIHTFRIESIAHGKAAAVDELQVQGVSNPSGLRKVIITEAAKAIQDSGISWKPTAPTAEGESMARTGSLSEGPAVLRSPSKSLKMAASPRYASIERRGVVPGELLLNKIEEVNKSVKKIEFLIEKSHTQPE >ONI23261 pep chromosome:Prunus_persica_NCBIv2:G2:22272929:22274243:1 gene:PRUPE_2G178300 transcript:ONI23261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKDHGWPYIHQPKLSLSTGSITNKLKVNYKFTNISSSSIVMQRSATFVSSAFSNTFWKVSQLAGNAKNYVVNLAKGFLERRLSEMKKRSAFIVGLQFENLNFDSIELVFKISVYNPFEFYLPVSRATFKLESGGSEALSATLKEPASLKPGEESILLVLAKVPFSMLMTLAKDISEDADIDYEIDFNIQIKLPIIGVVSLPIPCQQQGQLKISDLFTNLLEEIN >ONI23520 pep chromosome:Prunus_persica_NCBIv2:G2:23162217:23167110:-1 gene:PRUPE_2G192700 transcript:ONI23520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDVLPCFDASNMVLPEDTSCIITVPITLDIASNHGVVVASKSRNVERSYTVSLVDNLLQKPSLEELVKNNAILDDGRTLLDTGIIAVRGKGWEELVALACSCQPMISELLKSGKEMSLYEDLVAAWVPAKHDWLCLRPSGEELVSRLGKQKMFSYCAYDLSFLHFGTSSEVLDHLSGASLVLVSRRHQCSIPATNLSDIAASAVLLSSKIAPAVSIGEDSLIYDSTISSGIQIGSLSIVVGINVPSVNSTAAENSFRFILPDRHCLWEVPLVGRTGRVIVYCGLHDNPKNSVSKDGTFCGKPWRKVLHDLGIQENDLWSSTGTHEKCLWNAKIFPILSYFEMLNLASWLMGLSDQNSKHFLSLWRSSPRVSLEELHRSIDFSKMCQGSIDHQADLAAGIAKACIKYGMLGCNLYQLCEEILQKEDLGVKICEDFLGLCPGLLEQNSKILPKSRAYQLQVDLLRACRNETTACKLDHKVWDAVAEETASAVKYGFKEYLFEAPSDIPTPVYKNNDFDGSADHSFHPRRVKVELPVRVDFVGGWSDTPPWSLERAGSVLNMAISLEGSLPIGAIIETAETIGVFIKDDAGNEIHIEDLTSIATPFDGNDPFRLVKSALLVTGIIHGSVVASMGLQIRTWAHVPRGSGLGTSSILAAAVVKGLLQITDGDESNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKFNASFPGIPLRLQVVPLLASPELISELQQRLLVVFTGQVRLAHQVLQKVVIRYLRRDNLLVSSIKRLAELAKIGREALMNCDIDDLGEIMLEAWRLHQELDPYCSNEFVDRLFGFAHPYCCGYKLVGAGGGGFSLLLAKDARHAKELRHLLEEDSSFDVKIYNWNIFLDN >ONI23518 pep chromosome:Prunus_persica_NCBIv2:G2:23162217:23172939:-1 gene:PRUPE_2G192700 transcript:ONI23518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYEDLVAAWVPAKHDWLCLRPSGEELVSRLGKQKMFSYCAYDLSFLHFGTSSEVLDHLSGASLVLVSRRHQCSIPATNLSDIAASAVLLSSKIAPAVSIGEDSLIYDSTISSGIQIGSLSIVVGINVPSVNSTAAENSFRFILPDRHCLWEVPLVGRTGRVIVYCGLHDNPKNSVSKDGTFCGKPWRKVLHDLGIQENDLWSSTGTHEKCLWNAKIFPILSYFEMLNLASWLMGLSDQNSKHFLSLWRSSPRVSLEELHRSIDFSKMCQGSIDHQADLAAGIAKACIKYGMLGCNLYQLCEEILQKEDLGVKICEDFLGLCPGLLEQNSKILPKSRAYQLQVDLLRACRNETTACKLDHKVWDAVAEETASAVKYGFKEYLFEAPSDIPTPVYKNNDFDGSADHSFHPRRVKVELPVRVDFVGGWSDTPPWSLERAGSVLNMAISLEGSLPIGAIIETAETIGVFIKDDAGNEIHIEDLTSIATPFDGNDPFRLVKSALLVTGIIHGSVVASMGLQIRTWAHVPRGSGLGTSSILAAAVVKGLLQITDGDESNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKFNASFPGIPLRLQVVPLLASPELISELQQRLLVVFTGQVRLAHQVLQKVVIRYLRRDNLLVSSIKRLAELAKIGREALMNCDIDDLGEIMLEAWRLHQELDPYCSNEFVDRLFGFAHPYCCGYKLVGAGGGGFSLLLAKDARHAKELRHLLEEDSSFDVKIYNWNIFLDN >ONI23519 pep chromosome:Prunus_persica_NCBIv2:G2:23162464:23167047:-1 gene:PRUPE_2G192700 transcript:ONI23519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSLSRSRQKADVAGVLRKSWYHLRLSVRHPTRVPTWDAIVLTAASPEQAQLYEWQLTRAKRVGRIAASTITLAVPDPDGQRIGSGAATVHAIHALAKHYRTVGPHSEVATTSNGSSGFSESHKNPEDEVDDDDLSQMVSFIAKRHILLLHAGGDSKRVPWANPMGKVFLPLPYLAADDPDGPVPLLFDHILAIASCARQAFKNEGGIFTMTGDVLPCFDASNMVLPEDTSCIITVPITLDIASNHGVVVASKSRNVERSYTVSLVDNLLQKPSLEELVKNNAILDDGRTLLDTGIIAVRGKGWEELVALACSCQPMISELLKSGKEMSLYEDLVAAWVPAKHDWLCLRPSGEELVSRLGKQKMFSYCAYDLSFLHFGTSSEVLDHLSGASLVLVSRRHQCSIPATNLSDIAASAVLLSSKIAPAVSIGEDSLIYDSTISSGIQIGSLSIVVGINVPSVNSTAAENSFRFILPDRHCLWEVPLVGRTGRVIVYCGLHDNPKNSVSKDGTFCGKPWRKVLHDLGIQENDLWSSTGTHEKCLWNAKIFPILSYFEMLNLASWLMGLSDQNSKHFLSLWRSSPRVSLEELHRSIDFSKMCQGSIDHQADLAAGIAKACIKYGMLGCNLYQLCEEILQKEDLGVKICEDFLGLCPGLLEQNSKILPKSRAYQLQVDLLRACRNETTACKLDHKVWDAVAEETASAVKYGFKEYLFEAPSDIPTPVYKNNDFDGSADHSFHPRRVKVELPVRVDFVGGWSDTPPWSLERAGSVLNMAISLEGSLPIGAIIETAETIGVFIKDDAGNEIHIEDLTSIATPFDGNDPFRLVKSALLVTGIIHGSVVASMGLQIRTWAHVPRGSGLGTSSILAAAVVKGLLQITDGDESNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKFNASFPGIPLRLQVVPLLASPELISELQQRLLVVFTGQVRLAHQVLQKVVIRYLRRDNLLVSSIKRLAELAKIGREALMNCDIDDLGEIMLEAWRLHQELDPYCSNEFVDRLFGFAHPYCCGYKLVGAGGGGFSLLLAKDARHAKELRHLLEEDSSFDVKIYNWNIFLDN >ONI23617 pep chromosome:Prunus_persica_NCBIv2:G2:23614277:23617595:1 gene:PRUPE_2G198800 transcript:ONI23617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQGPIDPCFFGEHLDFQCLEQGLSTTESLRFEEEEEAAHLSIPSLEDKMPFLQMLQTVNSPPPYFPLKEPSFQALLRLHHLKNPWELGKAYMPEMETQLQTALEIESCVTHDMVELHSPVKSEAKDLHNHPHSVSAGNLEAVSSECIQDQEQPNSAEINCCRKGNNSSGSPPPTWAQAQNEPEQTQYPKSPPVTRERRKRKRTRPTKNKEEVESQRMTHIAVERNRRRQMNDHLNVLRSLMPTSYIQRGDQASIVGGAIDFVKELEQLLQSLEAQKRMRRADQGSNGDNSFSSSSSSSSASMAMPSNGMFMSLSQCRIGSHEEGTTTTHLEDEVTAQNKSEAADIDVTVIQTHVNLKIQCQRRAGQLMKAILALEDLRLTVLHLNITSSQDTVLYSFNLKIEEGCKLGSADEIARAVHQIFSFIDGCS >ONI23618 pep chromosome:Prunus_persica_NCBIv2:G2:23615154:23617595:1 gene:PRUPE_2G198800 transcript:ONI23618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLQMLQTVNSPPPYFPLKEPSFQALLRLHHLKNPWELGKAYMPEMETQLQTALEIESCVTHDMVELHSPVKSEAKDLHNHPHSVSAGNLEAVSSECIQDQEQPNSAEINCCRKGNNSSGSPPPTWAQAQNEPEQTQYPKSPPVTRERRKRKRTRPTKNKEEVESQRMTHIAVERNRRRQMNDHLNVLRSLMPTSYIQRGDQASIVGGAIDFVKELEQLLQSLEAQKRMRRADQGSNGDNSFSSSSSSSSASMAMPSNGMFMSLSQCRIGSHEEGTTTTHLEDEVTAQNKSEAADIDVTVIQTHVNLKIQCQRRAGQLMKAILALEDLRLTVLHLNITSSQDTVLYSFNLKIEEGCKLGSADEIARAVHQIFSFIDGCS >ONI25230 pep chromosome:Prunus_persica_NCBIv2:G2:28448814:28450910:1 gene:PRUPE_2G290400 transcript:ONI25230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLSCQFHSLACKTYQHPNAKSRPSMFCSMQPPQNNIKVIINGAAKEIGRAAVIAVTRARGMEVAGAVDSYLVGEDIGKVCDMEEPLEIPITNDLTMVLGSISQSKALGVVVDFTEPSKVYDNVKQATAFGMRSVVYVPQIKLETVSALSAFCEKASMGCLVAPTLSIGSILLQQAAISASFHYNNVEIVESRATATDFPSSDATQIANNLSNLGQIYNREDISTDVQARGQVLGEDGVRVHSLVLPGLPASTTVYFSRLGEVYSLKHDITDVQCLMPGLLLAIRKIVRIKNLVYGLEKFL >ONI21605 pep chromosome:Prunus_persica_NCBIv2:G2:11462556:11464595:1 gene:PRUPE_2G075200 transcript:ONI21605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVNKADLNAEANFNVKDRTMKRKAQYVRPHNRDREVNHGRDHEVDRDREVDQSKVRPNRDKQAGESRILEAMKRMQDEMDRKLELRLTALERERGPGPIDLVTTSDALPFTRDILEFKLPKDFKQPRMRLYDGTTDPVDFLNNFARFRRGPFLFNVNKFPPKSYEDLVSEAYRHAIAEEMTYDAPEGKDLSQPGVGDKQREDQNDVREKPDYKKGRFENSRRGGKDRDQPQNLFRPRFNNYTPSNTNREKGQKDPNRYCRYHRKNGHTTNQCYELQDEIEALIRRGRLSQFVGEKKQAEPHDNAPYKHPRATKDINVISGGATLAGDSNKARKEYARRTLGGLEALSIGPGYWTPKSPKLGYSAITFNEEEEKCLVYPHDDPFIIQAEIANYCVKWVFIDTCSSMEISLEELKPVVTPLLGFTRDNINSIGSVTLPLSLGTAPQRTTVYTTFLIVECPSDYNVILGWPALCSLRAFIASHMLLMKSQPKLVWDM >ONI24859 pep chromosome:Prunus_persica_NCBIv2:G2:27262001:27264807:-1 gene:PRUPE_2G266000 transcript:ONI24859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMERTEMEKSDSCGQDNTNNPESSIWLVENLEEMDQSIKQMLKLIKEDGDSLPKTVEHYSQGKPELIEEFYRMYRSLAGCYDHLTKEAHKIMPSVIPVQGFGDSESGFDHGSPLMTPDAKLSLHKSAHQVVDLDISPSSDGSSPALSLKNGTESSSSSSSGSESESLNSPVSNYLVPSLKVHFDSQGWQQKITVLETELSSVKEKLQMREADLELEQTQVLKLQKQIAELESRASDRENEIARLVADLEVTKERLKGSDDEIVKLKHELTHRLSEEAHQMQGQLQVVQEDIAMLESQLHWERKHVLELQEKIVRYSADIFGRDLEVMELKSALHDAQEQFSLEKADLQADISSLTEKQTILDTRIEEGSLRNKNLEDEIRRCETDKMEMERMHVAQEMALQDEISGLKVEVAERNGHVEAVNKDFDRFKLKYDIVMAEKDELNAKVHTVTANLSSRDNQIQEMEGHLRRLNAEHEDMIAGYESAHRLVDELKLRVEELQKEVDSQRVAISDGAEQKREAIRQLCFSLEHYRSGYKELRQAFLGHRRQAVAAA >ONI24858 pep chromosome:Prunus_persica_NCBIv2:G2:27261963:27264861:-1 gene:PRUPE_2G266000 transcript:ONI24858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMERTEMEKSDSCGQDNTNNPESSIWLVENLEEMDQSIKQMLKLIKEDGDSLPKTVEHYSQGKPELIEEFYRMYRSLAGCYDHLTKEAHKIMPSVIPVQGFGDSESGFDHGSPLMTPDAKLSLHKSAHQVVDLDISPSSDGSSPALSLKNGTESSSSSSSGSESESLNSPVSNYLVPSLKVHFDSQGWQQKITVLETELSSVKEKLQMREADLELEQTQVLKLQKQIAELESRASDRENEIARLVADLEVTKERLKGSDDEIVKLKHELTHRLSEEAHQMQGQLQVVQEDIAMLESQLHWERKHVLELQEKIVRYSADIFGRDLEVMELKSALHDAQEQFSLEKADLQADISSLTEKQTILDTRIEEGSLRNKNLEDEIRRCETDKMEMERMHVAQEMALQDEISGLKVEVAERNGHVEAVNKDFDRFKLKYDIVMAEKDELNAKVHTVTANLSSRDNQIQEMEGHLRRLNAEHEDMIAGYESAHRLVDELKLRVEELQKEVDSQRVAISDGAEQKREAIRQLCFSLEHYRSGYKELRQAFLGHRRQAVAAA >ONI20591 pep chromosome:Prunus_persica_NCBIv2:G2:2300807:2309340:1 gene:PRUPE_2G023600 transcript:ONI20591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGEIFLGAFLQLLLDRLAPREILNYFGLVKGVDKKLNKWSDNLSAIVAVLNDAGEKQLTEHGVKLWLDDLRDLAYDVEDVLDKFATKILKRQIEGRDQASTSKKVRSSFSKLKLNFDMNSEIKKITERLQEISERKDKFGLKGTGTSSKAWSRPPTSGVLGGLTIVGRDGDKAKILDMLSRDEHNNVNFHVVAIVGMAGLGKTTLAQFAFNNNSDVMKEFEPRVWVCVSDDFDIVRVTKAILESVTSQPVKVEEFSKMQHDLNEQLRGKKFLIVLDDIWNKGDLYDLWTRLQSPFSVGAQGSKIIVTTRDLKVAKIMGDTEVHNLESVSNDNCLEIFEQHAFVNNDRPPNFELLRKKIAAKCSGLPLAARTLGGLLRQNEINEWEEILNNKLWNLSGKSDILPVLKLSYHYLPSNLKRCFAYCSIFPNDYEFGEKQLILLWMAEGLIQQPAEANRKMEDLGHDYFQELLCRSLFQKASENNSRYVMHDLVTDLAQWAAGNTCFRLEDKKGDNLQSVCFRHSSFIIGDYDGVQKFEAYREVKRLRTFLPLSLSNTGWIRYRLSGQNLARTVIFDLLPQMQYLRVLSLNGYRVTELPDSIGNLKYLRYLDFSHTWITSLPESTTTLFNLQTLILEGCSFLEALPINLRNLVNLRHLNNSFANALKAMPPQLGRLTNLQSLPNFVVGKGSDESGIREIGSLSHLRGTLSLSRLENVIDAEDARKADLKSKERVDELVLKWSSGTQETQLGVLDRLEPHRMLEKLIIRGYAGLEFSTWIGDRSFSTMVHVRLDECKNCQILPPLGQLPLLKELYITGMAAVEIVGPEFYGEGSLPFPVLETLEFEDMQHWKKWVPFVGDRGIGVFPCLKFLSIRNCPQLEGKVPENLDSLARLTIIKCEELVISISNYKQIGALDINGCKAVVKTSGVEFELLESLQLANISEVKLQTGEFTKGLRKVAKLTIGGCEGLTSSLENEDRVLQHLISLDCLVIEGNSSLLEKLGKEAEELLQLQILTCKLKYLELNKCASLSKVPEGLHHLTALQDLEIVGCSSLVSFPDVGLPPSVEVIRIEECDSLLYFAKYQIPPNLRRIEIRRCKSLKSLVEKEEDSSSSSSSSHISLEHLAIRDCESLKSLSLRAQLFPKALKRLHISHCGELQLIMSDELAHDNTNYCLEYISIDSCPNLKSLPEGLCHLTNLKTLEIYRCGSLVSIPSLSGEGLPSPTTTAASSLKQIYIQNCNKLEMLPDMGNLNCLQGLNIDYSEGLNFTSFPPNLTSLTIRGIKNCKPLWELLHRLTSLTVLRVDGEDPYVVSFPPEGDTGMDTEMLLPESLTHLLIRGFPNLKKLSSKGFQFLTSLRYLLFCDCPKLASIPVEGLPISLRELYIIKCPLLKDKCQPGSKGRYLPKISHIPRIKISD >ONI23384 pep chromosome:Prunus_persica_NCBIv2:G2:22704370:22707227:1 gene:PRUPE_2G185900 transcript:ONI23384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKLHVEISSVFLFVFEHRNMKCISCEGKYDGDAGACRQCYEDLKAKVAFLAMSNRYPLTFCTDVVLLASDNGHPEGPAGGMAHEAVLASRSPVFKALLHNETKEIHINELWPQELGAFINYLYTAEICLDQDLARKLLVVAEKYQVHHLKDLCQKFLVSNLNRDNSLATYTFGHHHNDKQIIDAALMLITNNIEKLASSDEYAELKRSHPQLVIEIYEHIVASSTAPSVQALLLEELL >ONI24779 pep chromosome:Prunus_persica_NCBIv2:G2:27086028:27090158:-1 gene:PRUPE_2G262100 transcript:ONI24779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSQDLCNTEGDGDGRKAVASTSYTPTAGSSGYRLFGRQGSVHNSLGGGQAADVLLWKRGRVSFGAIVVATISWLLFERSGLSFLSICSDVLLILVVLLFLRANYAVFRNKQLQTLPELVLSEEMVNNAAASFRVKINNVLLMAHDITLGKDFRLFFKVVVCLWLLSVIGSFFSFFTLAYIGSIISVTLPALYSKYEETVDRCYGKIHRQFSKHYKIVDEGVFNRLPRNAPKDKDS >ONI23854 pep chromosome:Prunus_persica_NCBIv2:G2:24427639:24428622:-1 gene:PRUPE_2G212200 transcript:ONI23854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVAMTSVETARSDPVIKSTFKRWGRRHPFIRYGLPMISLTVVGAIGLGHMIQGGKDVAKVKDDQEWEIIETRKALSRTGPVDAYNPKKTSLEEELKALQEKVDINNYDYKKIPRPNEGKSA >ONI24752 pep chromosome:Prunus_persica_NCBIv2:G2:27011264:27012931:-1 gene:PRUPE_2G260400 transcript:ONI24752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKILLDTLHCMHGPYTRPTAVKRRHKHADFAVCGLNCPSTTKLTNLKRQDQSVKSGKLCDSFLSAALRSSGVSVEINVSYLNSAYPGEEVEVEAKALRVGKVVGVANVELRKKKT >ONI22075 pep chromosome:Prunus_persica_NCBIv2:G2:16279610:16285975:1 gene:PRUPE_2G105400 transcript:ONI22075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQGKKQTILCLSFVTLFGLLGLLCAEIDGSPNIVVEEVHVGVILDMGSREGKIILSCISTALSDFYHLHNNYSTRVILHTRDSKGKALHALSAALNLLDKIKVEAIIGAQTRMEANLLAELGEEAKVPVLSLSGLRTSPFGAPGEYPFFVEITQDETSQVTAINGLLEMFKWRDVILLYENTDYGRDIIPFFINSIEEANVTIVYKSCIAASSADEQIIEELRNLTKLKTAVFVVHVSHFLVPRLFLNAKNLGLLSEGYAWIMTSTSMNFLHFSMDPSVIESTQGVLGLKSYTPASTRLHNLTSRLRRIFYMQDPNIEVSAVIPDGIWAYDATWALAEAVERTWTSTGLNQVNLNNITSSKHGLLLLQEILQTRFKGLSGEEIQYPNGKLVSTAIEIVNVIGKGERRVGLWPCEEKHTRDSYPLTSRRNLLSTNDLETIIWPGGSSTIPRGSKMQLSNSSKIKLRVGVPVRIGFNELVHMKHDNQTNRTYFTGFCIDVFEAAIRALPYEVNYTFIPFPIGINESYNDIVYQVFLQTFDAVVGDTTITSQRSQNVCFTIPYTDLGVGMLVSNENEGMWFFLKPLSADLWITTAVFFILTGFVVWVIERPVNPEFQGTPSQQIGTILCYAFSTLVYAHREKLSNNLAKFVVIIWVFAVLILTSSYTATLTSIMTVDQIQVNSRGNIGYHSLISRQGVANIKFKSSYKTVEEYALALSRGSKHGGVSAIVDEVPYIKIFLGHYPTGYSMIKPESTTNGFGFVFPKGSNLVHDMSMQIQQMREEGKLIEMEKLWFHKGTIPMFDNTTSDPNTLNFHTFRGLFLVTGVSSAFALFIFIIFPLKEKWYAVKKFRFRYRVREKLQRVRKFFSHKVSNENEENQYTVHEAKY >ONI24714 pep chromosome:Prunus_persica_NCBIv2:G2:26897544:26900306:-1 gene:PRUPE_2G257400 transcript:ONI24714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALNSIFGNKIRCSLLIGVCLLFASSAMSLAEPKTHHHDFVIQATPVKRLCTTQNSITVNGQFPGPTLEVNNGDTLVVKVTNKARYNVTIHWHGIRQMRTGWADGPEFVTQCPIRPGGSYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPKQGDSYPFTKPKRETTLLLGEWWNANPINVLRQSTRTGGAPNVSDAYTINGQPGDLYNCSSQDTVIVPIDSGETNLLRIINAALNQPLFFTVANHKLTVVSADASYAKPFTTKVLMLGPGQTTDVLITGDQPPARYYLAARAYFSAQNAAFDNTTTTAILEYKSAPCSPNCTNGPAVKPIMPPLPAFNDTATASAFTTSFRSPRKVEVPTEIDENLFFTIGLGLNNCPKHFNPTRRCQGPNGTRFTASMNNVSFVLPNNISILQAYQQNIPGVFTADFPANPPLKFDYTGNVSRSLWQPLSGTRGYKLKYGSRVQVVLQDTSIVTPENHPIHLHGYDFYILAEGFGNFNAQTDTKKFNLVDPPMRNTVAVPANGWAVIRFVADNPGAWIMHCHLDVHINWGLAMVFLVDNGVGTLQSIEAPPADLPLC >ONI25404 pep chromosome:Prunus_persica_NCBIv2:G2:28920382:28923536:-1 gene:PRUPE_2G301100 transcript:ONI25404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSALSLKKKLLKPTSFFGVKLWVLLVIFIVLFTVLTLIVFLLCVIHYRRQKSYKSRFCFQNPIASKNPHNAYCSSSLDRRLLSLNLSEIGMNSGKLRQHHGQLFSDQLSSTQQASGRIQNSYVTDLESVSRYSPMVKDVWRGNKFTLGELEVATNGFSKENLIGNGDYGIVYHGILFDNTRVAVKRLVSDSFQVEDFIAEMEAIGFVRHKNLVKLLGYHIEKAYRLLVNEYVDNKNLQHWLHECSTQVSPLTWSIRVKIIQGIAKGLAYLHEDIEPKVLHRTLTSSNVLLDHQWDPKISDFGLAKLYNPEWGITIMESLGYIDPEYAATGDFTEESDVYSFGVLIMETISGRNPIDRSQPQPYLVDWLKSMVASQKIACVVDPKLPEKPSSKELKRVLLVAFRCVDPDLEHRPRMGEVIHMLEPRDLLLCDDQRLKKETY >ONI25567 pep chromosome:Prunus_persica_NCBIv2:G2:29336859:29341329:1 gene:PRUPE_2G309700 transcript:ONI25567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPNNQISDHTKSLSIQDSTALMQVDEKETSLVMKDGMQNGGFAQAESIIYYTKKLQDDLQMMGMKIKQHEDNIKLLKSQRNKLDDSILDLQVILGKYHTSTASKIENEDHSHCKSEEETTKKILQREKSAAGILWQLKTRHGTQAAHLTLTKDVLGIVAMLGKVEDDNLSRLLSEYLGIDTMLSIVCKTYEGVKALETYDNEGCIKKSSGLHGLGASIGRTLEGRFQVICLDNLRPYAGEFVPDDPQRRLDLLKPRLPNGECPPGFLGYAVNMIHVDSTSLFCVTASGHGLRETLFYNLFFRLQIYKTRADMVPALPCISDGAISLDGGMIRSTGVFSLGNREDVDVRFPKLSVTSSLPETYLDSERQINELKWKKEKMQEDMKREQALLDNAKFNFDRKKQDFLKFLADSSSYATQHQFQAAAQSRVTSR >ONI21861 pep chromosome:Prunus_persica_NCBIv2:G2:14877758:14881932:-1 gene:PRUPE_2G094400 transcript:ONI21861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGYFQFQSSHQQALKSLEAQMIEHKKLLVQLEAEKRGLNACLDKTKAQNLDLGTELKQMEVDKMELRMRVLQLQKEKELNNKYFSQRMLVLQGEVDRNQQLWADKVDLMKQIEQLGVDKQILEEDAEQSECWRRELEVELEASEIEKGDIVKELKEIHAEKKNLEGQIEKMEYYQKEIKKKLLDLQDEAEKKELQSKELNQKVLNLQSEAVQNKNLKSDLEKTLAESEAEKKKLEAFRGEKEDLAKELQEIRAQMGYIVEDLGMIKAEMGDLERELWRSEADKTELEKKMLELSSQVKIKEIENKDLNDKLFQLWREADQNKLRNDYLEKKFAHSERRTKELVGIEIEKKRLEKELEEIRSKTGDLVSERKHSETEKEELKQKVLELETEGRRNKTLLNDLEKKLEQSECQKKALKEELEMNKQYLDEEQQHTVKAFHRNEMQLDQMRNLKELLEKSEAQNQNLMKELQQTEAAKLGLKNALEGSEFQKKDLQEGLHKNLGQIIRKMVENSETQKEQIVQMIEQIKDGKLDADKALEESERQKKELKEVLAKSEAQKEHLEKELEKIEDEKIQLKEALEESELMKRQQVIVFGQKEQLVKAELNKKVLQLQNEADQSKELKKRLEQKLGQTEMHKILLEKALKDRDIQLQEMNQRFALEQKHNEEVVADLSKELKNQESSKKEITSINSEMEYLHYVNKKSTEELDVADVAAADDDDDDWLKV >ONI21993 pep chromosome:Prunus_persica_NCBIv2:G2:15624210:15626339:-1 gene:PRUPE_2G100500 transcript:ONI21993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLFLPLLSLTLFLSLTSAARNLQPRRHVTVTVPLIQQVCQATRFPDTCQDSLAELVTDPNTTPIQAIQSVIQVSTDGLHTAQKMVKAILDSSAGNQNRTTAANNCLDDLGNSRYRISLTADGLSRGSIKNARASMSAALLYQYSCWSDLKYANDTQMVNETMSFLDSLVGKSRNALSMMFSYDNFGNDTKLWAAPKTERDGFWERVEGRGSGQGVRGGVPSNLRAEVTVCKDKSEKCYRTVQSAVNAAPNNAGEKKFVIRIKAGVYDEIVRVPLEKRNVVFLGDGIGKTVITGSLNVGMPGISTYNSATVGVLGDGFMASGLTIQNTAGPDVHQAVAFRSDSDLSVIENCEFLGNQDTLYAHGNRQFYKSCNIQGNVDFIFGNSAAVFQDCNILIRPRQLNPENGESSTVTAHGRTDPAQSTGFVFQNCLINGTEEFMKLYQNNPEVHKSYLGRPWREYSRTVFINCTMEALLSPDGWMTWSEDFALSTLFYGEFGNSGAGSDFSKRVPFSSKIPSEHVNAYSVHNFIQGNEWIST >ONI22771 pep chromosome:Prunus_persica_NCBIv2:G2:20528604:20530729:1 gene:PRUPE_2G150000 transcript:ONI22771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFQSQDLPQLLIIQPPLCLAIAESQLSQKFHLLKAWESELPLDQFLTTYACSVQAMLCYPFTQVNADLLRLLPALKLVVSPTAGVNNIDVVECRRRGISVTTSGSAFSEDVADTAVGLLIDVHRRISAADRYVKGLWTSKGDYPLGSKIGGKRVGIVGLGNIGLEVAKRLEAFGCNILYNSRTEKPFVSYPFYSDICELAANSDALVICCALTAETHHLINKKVSLKLGRDGVIVNVGRGAIIDEKEMVRCLVKREIGGAGLDVFEDEPEVPEELFALDNVVLSPHYATYTPECFMALCELVAGNFEAFFSNKPLLSPAVDN >ONI22546 pep chromosome:Prunus_persica_NCBIv2:G2:19351417:19370456:1 gene:PRUPE_2G135900 transcript:ONI22546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFSPTTASQIRFLLQSLNDANSDSVLRELSQFTEYGIEGSILLLQTCLGHLTNYGTDLKNVALERVLSSVFKYLLDRPNFNTVFCESLRNTEINEGILENFSNALHLSVCEKIAIGLALSDSENLDSRTCGKNFCMAQIQKLCENPVAMNSSEQIQNTVMFLQRSDGLSKHVDSFMQMLSLLQLKDDSLFVLTPLLSDELRDANFLSNVGLFHESGENDFDAILAEMEKEMSMGDIMKELGYGCTVDSSQCKEILSLFLPLTEFTISKILGMIACTHAGLEDNQNTFSTFRLALGDSTLSDMPMLNTWNIDVLVDTIKQLAPGTNWIRVMENLDHEGFYIPNQEAFSFFMSVYQHVCQEPFPLHVICGSVWKNTEGQLSFLRHAVSAPPEVFTFAHSVRQLAYIDAVHGHKLQLGHANHAWLCLDLLDVLCLLAERGHALAVRSMLEYPLKHCPEVLLLGMAHINTAYNLLQYEVSFTVFPMIVKNSMGSGMINHLWHINISLVLRGFVDAHNSDPDSMARILDICEELKILSSVLEMIPSPFSIRLAALASRKEFIDLEKWLSNNLNTYKDTFFEECIKFLKEIQFGGSQDFSTRPFQHSGAVSNLYVDTATTFSKVLKAHVGLITSSQLTEEMERLSVTIMDSNPRLQNGGTTESSTDGYADDIEAEANSYFHQMFSGQLTIDSMVQMLARFKESSVKREQSIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLTLGIALRGVLDALRKPADSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRSTHSELVAFIEQALARISSGHSDSDGSNHASAHHHSPSQASSGNVELNGSSILHTGQQLSSPLQLQQRHESSLDDRHKASTSSNDIKPLLSSVVQPSVIPLGDAPSIQKSQSAVSAPAMLSSSSPGFVRPSRGVTSTRFGSALNIETLVAAAEKRETPIEAPASEVQDKISFIINNISVANVEAKSKEFTEVMKDQYHPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKGLNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDLKEITPSSLLKDRNRELEGNPDFSNKDVGASQPQMVAEVKSGIISPLNQVDLPLEVAPSSGSHTHLLPQYGTPLHLPPGTFNEDEKLAALGLSDQIPSAQGLLQATPSQSPFSVSQLPTQIPNIGTHVIINQKLTGLGLQLHFQRVVPIAMDRAIKEIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIFNAAHLMVASLAGSLAHVTCKEPLRSSISTQLRNSLQGLNIASDLLEHAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIAQQLSLRRKRDGVGATFFDTNIYTQGSMGVVPEALRPKPGHLSLSQQRVYEDFVRLPWQNQSSQNSHVLPAGTPASGQLNTGYSAGPGSKFDAVSRPLDEGIEPNSALHLSASSIHVGVGDGVSQQSSENDSVIGSFPSAASAPELQSVESSDAVKESGVSSQPQPSPAVTERLGSNISEPSLNTRDALDKYQIVAQKLEALVTSDARDVEIQGVIGEVPEIILRCVSRDEAALAVAQKVFKGLYENASNHIHVGAHLAILTAIRDVCKLVVKELTSWVIYSEEERKFNKDITVGLIHSELLNLAEYNVHMAKLIDGGRNKPATEFSISLLQTLVIEESKVISELHNLVDALAKLAAKPGSPESLQQLVEMVKNPASNVAAPSAINVGKEDKARQSRDKKAPVHSPVNREDFSNVESVEPDPAGFREQVSMLFAEWYRICELPGANDAACAHFILQLHQNGLLKGDEMTERFFRVLTELSVAHCVSSEVMNPGTLQTPQQVQSLSFLAIDIYAKLVFSILKGSNKLFLLTKILTVTVRFIQKDAEEKKASFNPRPYFRLFVNWLLDLGSLDPVVDGANFQPVKVPTFSFAWLELVSHRSFMPKMLAGNGQKGWPLIQRLLVHLFQFMEPFLRNAELGVPVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLAEISQSPRILSEVDATLKLKQMKTDVDEYLKTRQQGSSFLTELKQKLLLPSNDVALAGTRYNVPLINSLVLYVGMQAIQQLQSRTPHAQSPQSVPFAVYLVGAALDIFQTLIVDLDTEGRYLFLNAIANQLRYPNTHTHYFSFIVLYLFAESNQHEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYQFWNRAFIRCAPEIEKLFESVSRSCGGPKPVDESMVSGWVSESAH >ONI22545 pep chromosome:Prunus_persica_NCBIv2:G2:19351255:19370742:1 gene:PRUPE_2G135900 transcript:ONI22545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFSPTTASQIRFLLQSLNDANSDSVLRELSQFTEYGIEGSILLLQTCLGHLTNYGTDLKNVALERVLSSVFKYLLDRPNFNTVFCESLRNTEINEGILENFSNALHLSVCEKIAIGLALSDSENLDSRTCGKNFCMAQIQKLCENPVAMNSSEQIQNTVMFLQRSDGLSKHVDSFMQMLSLLQLKDDSLFVLTPLLSDELRDANFLSNVGLFHESGENDFDAILAEMEKEMSMGDIMKELGYGCTVDSSQCKEILSLFLPLTEFTISKILGMIACTHAGLEDNQNTFSTFRLALGDSTLSDMPMLNTWNIDVLVDTIKQLAPGTNWIRVMENLDHEGFYIPNQEAFSFFMSVYQHVCQEPFPLHVICGSVWKNTEGQLSFLRHAVSAPPEVFTFAHSVRQLAYIDAVHGHKLQLGHANHAWLCLDLLDVLCLLAERGHALAVRSMLEYPLKHCPEVLLLGMAHINTAYNLLQYEVSFTVFPMIVKNSMGSGMINHLWHINISLVLRGFVDAHNSDPDSMARILDICEELKILSSVLEMIPSPFSIRLAALASRKEFIDLEKWLSNNLNTYKDTFFEECIKFLKEIQFGGSQDFSTRPFQHSGAVSNLYVDTATTFSKVLKAHVGLITSSQLTEEMERLSVTIMDSNPRLQNGGTTESSTDGYADDIEAEANSYFHQMFSGQLTIDSMVQMLARFKESSVKREQSIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLTLGIALRGVLDALRKPADSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRSTHSELVAFIEQALARISSGHSDSDGSNHASAHHHSPSQASSGNVELNGSSILHTGQQLSSPLQLQQRHESSLDDRHKASTSSNDIKPLLSSVVQPSVIPLGDAPSIQKSQSAVSAPAMLSSSSPGFVRPSRGVTSTRFGSALNIETLVAAAEKRETPIEAPASEVQDKISFIINNISVANVEAKSKEFTEVMKDQYHPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKGLNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDLKEITPSSLLKDRNRELEGNPDFSNKDVGASQPQMVAEVKSGIISPLNQVDLPLEVAPSSGSHTHLLPQYGTPLHLPPGTFNEDEKLAALGLSDQIPSAQGLLQATPSQSPFSVSQLPTQIPNIGTHVIINQKLTGLGLQLHFQRVVPIAMDRAIKEIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIFNAAHLMVASLAGSLAHVTCKEPLRSSISTQLRNSLQGLNIASDLLEHAVQLVTNDNLDLGCAVIEQAATDKAIQTIDGEIAQQLSLRRKRDGVGATFFDTNIYTQGSMGVVPEALRPKPGHLSLSQQRVYEDFVRLPWQNQSSQNSHVLPAGTPASGQLNTGYSAGPGSKFDAVSRPLDEGIEPNSALHLSASSIHVGVGDGVSQQSSENDSVIGSFPSAASAPELQSVESSDAVKESGVSSQPQPSPAVTERLGSNISEPSLNTRDALDKYQIVAQKLEALVTSDARDVEIQGVIGEVPEIILRCVSRDEAALAVAQKVFKGLYENASNHIHVGAHLAILTAIRDVCKLVVKELTSWVIYSEEERKFNKDITVGLIHSELLNLAEYNVHMAKLIDGGRNKPATEFSISLLQTLVIEESKVISELHNLVDALAKLAAKPGSPESLQQLVEMVKNPASNVAAPSAINVGKEDKARQSRDKKAPVHSPVNREDFSNVESVEPDPAGFREQVSMLFAEWYRICELPGANDAACAHFILQLHQNGLLKGDEMTERFFRVLTELSVAHCVSSEVMNPGTLQTPQQVQSLSFLAIDIYAKLVFSILKGSNKLFLLTKILTVTVRFIQKDAEEKKASFNPRPYFRLFVNWLLDLGSLDPVVDGANFQILSAFANAFNALQPVKVPTFSFAWLELVSHRSFMPKMLAGNGQKGWPLIQRLLVHLFQFMEPFLRNAELGVPVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLAEISQSPRILSEVDATLKLKQMKTDVDEYLKTRQQGSSFLTELKQKLLLPSNDVALAGTRYNVPLINSLVLYVGMQAIQQLQSRTPHAQSPQSVPFAVYLVGAALDIFQTLIVDLDTEGRYLFLNAIANQLRYPNTHTHYFSFIVLYLFAESNQHEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYQFWNRAFIRCAPEIEKLFESVSRSCGGPKPVDESMVSGWVSESAH >ONI22145 pep chromosome:Prunus_persica_NCBIv2:G2:16832279:16838254:-1 gene:PRUPE_2G110200 transcript:ONI22145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKTAHLPSPDQDPPVTDPANGEELEDEQAVPAFKEFSLAELRAATNGFNSELIVSESGEKAPNVVYRGKLRNNRLVAIKRFSKQSWPDPHQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWDMRVRVAYYIAQALDHCNTENRKLYHDLNAYRVLFDEDGDPRLSSFGLIKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNLLLLMDSSLEGQYANEDATELVELASKCLQYEAKDRPEITFLLSAVAPLQKQTEVASLVLMGLTKTPVVLPTMLSPLGKACVRMDLSAVHEILLKTGYRDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAVEYYSKLVSMMSLPSGTVFVRRALAYLMNGQPELALRDAMQAQVCLPEWPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKQNSWRN >ONI22146 pep chromosome:Prunus_persica_NCBIv2:G2:16833982:16838023:-1 gene:PRUPE_2G110200 transcript:ONI22146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKTAHLPSPDQDPPVTDPANGEELEDEQAVPAFKEFSLAELRAATNGFNSELIVSESGEKAPNVVYRGKLRNNRLVAIKRFSKQSWPDPHQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWDMRVRVAYYIAQALDHCNTENRKLYHDLNAYRVLFDEDGDPRLSSFGLIKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNLLLLMDSSLEGQYANEDATELVELASKCLQYEAKDRPEITFLLSAVAPLQKQTEVASLVLMGLTKTPVVLPTMLSPLGKACVRMDLSAVHEILLKTGYRDEEGAENEVSFFSSLCR >ONI22659 pep chromosome:Prunus_persica_NCBIv2:G2:19921110:19922951:-1 gene:PRUPE_2G142800 transcript:ONI22659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDLAGLCTPILGMKQSLTSAYEKRSLRISECWDFLAGVWPESKSFSDKGLGHVPEESVTGVLESWDKVLHFKEAVFIYKSLEDLKAAFKHLIRLEEGFEDY >ONI22661 pep chromosome:Prunus_persica_NCBIv2:G2:19921573:19922513:-1 gene:PRUPE_2G142800 transcript:ONI22661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDLAGLCTPILGMKQSLTSAYEKRSLRISECWDFLAGVWPESKSFSDKGLGHVPEESVTDGQITAGKSAGNL >ONI22660 pep chromosome:Prunus_persica_NCBIv2:G2:19921116:19922645:-1 gene:PRUPE_2G142800 transcript:ONI22660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDLAGLCTPILGMKQSLTSAYEKRSLRISECWDFLAGVWPESKSFSDKGLGHVPEESVTDGQITAGKSAGNL >ONI24493 pep chromosome:Prunus_persica_NCBIv2:G2:26187755:26188946:-1 gene:PRUPE_2G243400 transcript:ONI24493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPSVFGGRRTNVFDPFSLDIWDPFQDFPLISGGSNAVSSGPRSELASETAAVANTRIDWKETPEAHVFKADLPGLKKEEVKVEVEEGRVLQISGERSREKEEKNDKWHRVERSSGKFLRRFRLPENAKVGEVKASLENGVLTVTVPKEEGKKPDVKAVEISG >ONI20769 pep chromosome:Prunus_persica_NCBIv2:G2:3526801:3531039:-1 gene:PRUPE_2G032600 transcript:ONI20769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPSTEALILQLHEISAVKFGNFKLKSGISSPIYIDLRLIVSYPSLLLQISKTLISTVPSSTRYDLICGVPYTALPIATCISTSHDIPMLMRRKEVKDYGTAKAIEGVYKPDQVCLIIEDLVTSGASVLETAAPLRAAGLKVQDAVVLIDREQGGRENLEENGIRLHSLFRLSEMVRVLKEKGKVAEEMEGVVLKFLEENRKVVAPALLKNVEKVKIRGLGFEERVKLAKNPTGKRLFEVMVQKQSNLSLAADVGTAKELLEIAEKVGPEICLLKTHVDILPDFTPDFGSKLRKIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFHILEWADIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLAKGDYTAAAVKIAEEHSDFVIGFISVNPASWPGAPVNPAFIQATPGVQLVTGGDALGQQYNTPKSVIYNRGSDIIIVGRGIIKAANPAEAAREYRLQGWDAYLAKCSSS >ONI20851 pep chromosome:Prunus_persica_NCBIv2:G2:4009337:4012162:-1 gene:PRUPE_2G037400 transcript:ONI20851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFALCPKETKPLDFLFPTTMDSSSGPVTVSSENEGAVLTSKWVELQSPERADSVLELLRNYGASQTQISKLIRSHPKLLSTDPEKTLLPKLEFFSSLEISKVDLLKTLAFNPKLLAVSLRNRILPTYNFLRSILSEKNVGVVFKLNSWIFLEGHCKHVVPNIGLLRESGMSQPCISFLLTRGTRVLMANPEKFGQLVSEVKEMGFNLEKSTSVNALCALCGKNKLVLNRSRELLKTWGWSEDDFPSAFRKNPQCMVVSEKKLMQAMDLLVNKMGWSSGMIAKYPVALGLSLERRLIPRCSVVKVLLLKGFINENLNLGSLLKPTEKQFLEIFVNRYLGEVPELLSVYQGKVDIQDV >ONI20856 pep chromosome:Prunus_persica_NCBIv2:G2:4010436:4011787:-1 gene:PRUPE_2G037400 transcript:ONI20856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLCRHITSEISENQHNLTVTYLINTCGLSPEGAVLTSKWVELQSPERADSVLELLRNYGASQTQISKLIRSHPKLLSTDPEKTLLPKLEFFSSLEISKVDLLKTLAFNPKLLAVSLRNRILPTYNFLRSILSEKNVGVVFKLNSWIFLEGHCKHVVPNIGLLRESGMSQPCISFLLTRGTRVLMANPEKFGQLVSEVKEMGFNLEKSTSVNALCALCGKNKLVLNRSRELLKTWGWSEDDFPSAFRKNPQCMVVSEKKLMQAMDLLVNKMGWSSGMIAKYPVALGLSLERRLIPRCSVVKVLLLKGFINENLNLGSLLKPTEKQFLEIFVNRYLGEVPELLSVYQGKVDIQDV >ONI20853 pep chromosome:Prunus_persica_NCBIv2:G2:4009337:4012161:-1 gene:PRUPE_2G037400 transcript:ONI20853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGPVTVSSENEGAVLTSKWVELQSPERADSVLELLRNYGASQTQISKLIRSHPKLLSTDPEKTLLPKLEFFSSLEISKVDLLKTLAFNPKLLAVSLRNRILPTYNFLRSILSEKNVGVVFKLNSWIFLEGHCKHVVPNIGLLRESGMSQPCISFLLTRGTRVLMANPEKFGQLVSEVKEMGFNLEKSTSVNALCALCGKNKLVLNRSRELLKTWGWSEDDFPSAFRKNPQCMVVSEKKLMQAMDLLVNKMGWSSGMIAKYPVALGLSLERRLIPRCSVVKVLLLKGFINENLNLGSLLKPTEKQFLEIFVNRYLGEVPELLSVYQGKVDIQDV >ONI20854 pep chromosome:Prunus_persica_NCBIv2:G2:4010436:4011787:-1 gene:PRUPE_2G037400 transcript:ONI20854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLCRHITSEISENQHNLTVTYLINTCGLSPEGAVLTSKWVELQSPERADSVLELLRNYGASQTQISKLIRSHPKLLSTDPEKTLLPKLEFFSSLEISKVDLLKTLAFNPKLLAVSLRNRILPTYNFLRSILSEKNVGVVFKLNSWIFLEGHCKHVVPNIGLLRESGMSQPCISFLLTRGTRVLMANPEKFGQLVSEVKEMGFNLEKSTSVNALCALCGKNKLVLNRSRELLKTWGWSEDDFPSAFRKNPQCMVVSEKKLMQAMDLLVNKMGWSSGMIAKYPVALGLSLERRLIPRCSVVKVLLLKGFINENLNLGSLLKPTEKQFLEIFVNRYLGEVPELLSVYQGKVDIQDV >ONI20852 pep chromosome:Prunus_persica_NCBIv2:G2:4009337:4012216:-1 gene:PRUPE_2G037400 transcript:ONI20852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFALCPKETKPLDFLRFPTTMDSSSGPVTVSSENEGAVLTSKWVELQSPERADSVLELLRNYGASQTQISKLIRSHPKLLSTDPEKTLLPKLEFFSSLEISKVDLLKTLAFNPKLLAVSLRNRILPTYNFLRSILSEKNVGVVFKLNSWIFLEGHCKHVVPNIGLLRESGMSQPCISFLLTRGTRVLMANPEKFGQLVSEVKEMGFNLEKSTSVNALCALCGKNKLVLNRSRELLKTWGWSEDDFPSAFRKNPQCMVVSEKKLMQAMDLLVNKMGWSSGMIAKYPVALGLSLERRLIPRCSVVKVLLLKGFINENLNLGSLLKPTEKQFLEIFVNRYLGEVPELLSVYQGKVDIQDV >ONI20858 pep chromosome:Prunus_persica_NCBIv2:G2:4010436:4011707:-1 gene:PRUPE_2G037400 transcript:ONI20858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTAYFLKAENQLGFNSRRNCSSSTSNMIQLRNLKTFRLVHSIFSCTFASKTRVLVGDPKPSHFSLQSQLLCRHITSEISENQHNLTVTYLINTCGLSPEGAVLTSKWVELQSPERADSVLELLRNYGASQTQISKLIRSHPKLLSTDPEKTLLPKLEFFSSLEISKVDLLKTLAFNPKLLAVSLRNRILPTYNFLRSILSEKNVGVVFKLNSWIFLEGHCKHVVPNIGLLRESGMSQPCISFLLTRGTRVLMANPEKFGQLVSEVKEMGFNLEKSTSVNALCALCGKNKLVLNRSRELLKTWGWSEDDFPSAFRKNPQCMVVSEKKLMQAMDLLVNKMGWSSGMIAKYPVALGLSLERRLIPRCSVVKVLLLKGFINENLNLGSLLKPTEKQFLEIFVNRYLGEVPELLSVYQGKVDIQDV >ONI20855 pep chromosome:Prunus_persica_NCBIv2:G2:4010436:4011787:-1 gene:PRUPE_2G037400 transcript:ONI20855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLCRHITSEISENQHNLTVTYLINTCGLSPEGAVLTSKWVELQSPERADSVLELLRNYGASQTQISKLIRSHPKLLSTDPEKTLLPKLEFFSSLEISKVDLLKTLAFNPKLLAVSLRNRILPTYNFLRSILSEKNVGVVFKLNSWIFLEGHCKHVVPNIGLLRESGMSQPCISFLLTRGTRVLMANPEKFGQLVSEVKEMGFNLEKSTSVNALCALCGKNKLVLNRSRELLKTWGWSEDDFPSAFRKNPQCMVVSEKKLMQAMDLLVNKMGWSSGMIAKYPVALGLSLERRLIPRCSVVKVLLLKGFINENLNLGSLLKPTEKQFLEIFVNRYLGEVPELLSVYQGKVDIQDV >ONI20857 pep chromosome:Prunus_persica_NCBIv2:G2:4009337:4012164:-1 gene:PRUPE_2G037400 transcript:ONI20857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRLVHSIFSCTFASKTRVLVGDPKPSHFSLQSQLLCRHITSEISENQHNLTVTYLINTCGLSPEGAVLTSKWVELQSPERADSVLELLRNYGASQTQISKLIRSHPKLLSTDPEKTLLPKLEFFSSLEISKVDLLKTLAFNPKLLAVSLRNRILPTYNFLRSILSEKNVGVVFKLNSWIFLEGHCKHVVPNIGLLRESGMSQPCISFLLTRGTRVLMANPEKFGQLVSEVKEMGFNLEKSTSVNALCALCGKNKLVLNRSRELLKTWGWSEDDFPSAFRKNPQCMVVSEKKLMQAMDLLVNKMGWSSGMIAKYPVALGLSLERRLIPRCSVVKVLLLKGFINENLNLGSLLKPTEKQFLEIFVNRYLGEVPELLSVYQGKVDIQDV >ONI25308 pep chromosome:Prunus_persica_NCBIv2:G2:28636349:28637177:-1 gene:PRUPE_2G295000 transcript:ONI25308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGLGQAASGMAVSDECKLKFLELKAKRNHRYIIFKIEIQQVVVEKVGTKEETYDDFAASLPADECRYAVFDFDFTTVENCQKSKIFFIAWSPDTSKVRMKMVYASSKDRFKRELDGTQVELQATDPSEISLDIIKSRAL >ONI25307 pep chromosome:Prunus_persica_NCBIv2:G2:28636349:28637805:-1 gene:PRUPE_2G295000 transcript:ONI25307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGMAVSDECKLKFLELKAKRNHRYIIFKIEIQQVVVEKVGTKEETYDDFAASLPADECRYAVFDFDFTTVENCQKSKIFFIAWSPDTSKVRMKMVYASSKDRFKRELDGTQVELQATDPSEISLDIIKSRAL >ONI23858 pep chromosome:Prunus_persica_NCBIv2:G2:24440300:24444428:1 gene:PRUPE_2G212600 transcript:ONI23858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRSLGRVIVAFWILSHLSLLAYSSSPQDLQILSAERRIDLSSHIVKVFLTLKVENTGGSPVSEVLLAFPPTQVDHIASLKAALTVGKKKKKSYVPLEVNPTDLPDAPNQTKYFSISLLNPLNAGETATLEVLYILTHSLEPFPAEINQAESQLVYFRDSAIILSPYYIKQQTTFIRTPSTKVESFTRVESTNRAGTEIKYGPYKDRPAYSYSPVIVHFENNKPFAVVEELVREVEISHWGNLQITEHYRLSHAGARHKGVFSRVEYQSRPSSGGISSFKHLLARLPPRVHSVFYRDEIGNISSSHLRIDYLKSDLEIEPRYPLFGGWKATFVIGYGLPLEDFLFESPDGRRYLNFTFGCPLAETVVDKLIIKVVLPEGSKDPSAVVPFSVKQHLETKYSYLDVAGRTVVVLEKENVVPEHNSPFQVYYSFNPIFMLAEPLMLVSTFFFVFMASIAYLHIDFSICKTSS >ONI24396 pep chromosome:Prunus_persica_NCBIv2:G2:25887451:25889410:-1 gene:PRUPE_2G238200 transcript:ONI24396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVLPLLLLLCFFTHCTLCFSASKPRTLILPLKTETLPHGFAPHSTNKLSFHHNVTLTIQLSVGSPPQNVTMVLDTGSELSWLHCKKAPNLNSVFNPLASKSYSTIPCSSPVCRTRTRDFSIPVSCDPKKLCHATLSYADASSIEGNLASETFVLGLSTQPGTIFGCMDSGFSSNSEEDAKTTGLMGMNRGSLSFVTQMGFPKFSYCISGRDSSGILLFGEAKFAWLRPLNYTPLVQISTPLPYFDRVAYTVQLEGIRVSGKVLPLPKSVFVPDHTGAGQTMVDSGTQFTFLLGPVYTALKNEFIQQTKPVLRVLNDPNFVFQGAMDLCYQVPMNRPSLPQLPTVTLMFQGAEMSVSGERLLYRVPGMVRGSDSVYCFTFGNSDLLGIEAFVIGHHHQQNVWMEFDLEKSRVGVAEVRCDLASQRLGLGV >ONI21351 pep chromosome:Prunus_persica_NCBIv2:G2:7718654:7720182:-1 gene:PRUPE_2G061000 transcript:ONI21351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEKKVSLRDPMEEEEEEREKAGKCSSKTIDLLREFLGIQQRRAEAYAKLKRGFGEYMVSCSGAHGELAYQQVCSEVTLEFNECSKHVLRIESLFLNDPDYGRVDLAHLLRAVQTQEKQKLNLVLKKAGRPSERLVSHENCRFKKPMEHECVHLHEITEAAGTEEAEADAQYDNDLKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >ONI21354 pep chromosome:Prunus_persica_NCBIv2:G2:7718982:7720090:-1 gene:PRUPE_2G061000 transcript:ONI21354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEKKVSLRDPMEEEEEEREKAGKCSSKTIDLLREFLGIQQRRAEAYAKLKRGFGEYMVSCSGAHGELAYQQVCSEVTLEFNECSKHVLRIESLFLNDPDYGRVDLAHLLRAVQTQEKQKLNLTATIQVLKKAGRPSERLVSHENCRFKKPMEHECVHLHEITEAAGTEEAEADAQYDNDLKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >ONI21353 pep chromosome:Prunus_persica_NCBIv2:G2:7718982:7720090:-1 gene:PRUPE_2G061000 transcript:ONI21353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEKKVSLRDPMEEEEEEREKAGKCSSKTIDLLREFLGIQQRRAEAYAKLKRGFGEYMVSCSGAHGELAYQQVCSEVTLEFNECSKHVLRIESLFLNDPDYGRVDLAHLLRAVQTQEKQKLNLTATIQVLKKAGRPSERLVSHENCRFKKPMEHECVHLHEITEAAGTEEAEADAQYDNDLKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >ONI21355 pep chromosome:Prunus_persica_NCBIv2:G2:7718982:7720090:-1 gene:PRUPE_2G061000 transcript:ONI21355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEKKVSLRDPMEEEEEEREKAGKCSSKTIDLLREFLGIQQRRAEAYAKLKRGFGEYMVSCSGAHGELAYQQVCSEVTLEFNECSKHVLRIESLFLNDPDYGRVDLAHLLRAVQTQEKQKLNLTATIQVLKKAGRPSERLVSHENCRFKKPMEHECVHLHEITEAAGTEEAEADAQYDNDLKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >ONI21352 pep chromosome:Prunus_persica_NCBIv2:G2:7717912:7720182:-1 gene:PRUPE_2G061000 transcript:ONI21352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFEKKVSLRDPMEEEEEEREKAGKCSSKTIDLLREFLGIQQRRAEAYAKLKRGFGEYMVSCSGAHGELAYQQVCSEVTLEFNECSKHVLRIESLFLNDPDYGRVDLAHLLRAVQTQEKQKLNLTATIQVLKKAGRPSERLVSHENCRFKKPMEHECVHLHEITEAAGTEEAEADAQYDNDLKEAIRGVQDAVTAINEHLEEVRYEIAALEAE >ONI24257 pep chromosome:Prunus_persica_NCBIv2:G2:25556474:25570040:-1 gene:PRUPE_2G231600 transcript:ONI24257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYNSPARSPGSSRLQLGGGGGGVARLRSSSLKKPPEPLRRAVADCLSSSAASSHHASTSSTVLLSEASRILRDYLAAPSTMDLSYNVILEHTIAERERSPAVVARCVALLKRYLLRYKPSEETLLQIDRFCVNTIAECDIGPNRRLSPWSQSFASTTSTASTASTTSTNIVPLSVPSFASGALVKSLNYVRSLVSQHLPRRSFHPAAFSGALSATRQSLPSLSSLLSRSFNAQLSPAHSEPLENKDVTTMSILNLSNIEKVDGMGDLEYFALDVLKWRWLGEQQSSFLATDSDRIVNHQDMRTRNLLEVGAAALLVGDKDAKMKGQHWKYFGTAGMPYLDQLLQPSPVTTITDSAAARSHLRAITASKRTKSGPRQIWDDSPASTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSESSSQNANVMTGSSRLNNNYGKPSMDAAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLNSPRTTCRVRAFDLILNLGVHAHLLEPMVTDNASTIEEEYSQDSYFDSEAKLATQGMRRSDSVLMGTSSAIDNFESWILNILYEILLLLVQIEENEESVWASALSCLLYFVCDRGKILRNRINGLDIRVVKALLEISKKHSWAEVVHCKLISMLANMFYQVPEGTNKAASSTQLFLVEQVDLIGGIEFIFLEYSLAKSREERRNLFLVLFDHALHQINEICIATGVTEYSDDEIQPLVALLNLADAPEAFYISVKLGLVGIGEILRSSISDALSRYPNSERLNMLLDSVMDKFGATICSFTHLDMEFSHMMQITKSYKSLDSIEGAVLRNGVGMKAKLSWAILHSLLHSERTTYHRNGYVWLSDLLIAEISEERNTSIWSNIKSMQQKIAHAGVHDSAVASDVPLPIWLMCGLLKSKHNSIRWGFLYVLERLLMRCKILLNENKIQQSLGSDIGNIRKDSRLEKANAVIDIMSTALSLVFQINETDRINILKMCDILFSQLCLRVPLANATEFGDDSQLGRVLSSMEGNKKVDEKENSDQDVRMEEASGRPVYCNNNRLDHETESMAALLLRGHAIVPMQLVTRVPAALFYWPLIQLAGAATDNIALGIAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPAAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQNLVVRAQQLLVEEIVTRATMKSCWKIHIFRCVEYCSWRTILELGCNLVMQICRCCP >ONI24259 pep chromosome:Prunus_persica_NCBIv2:G2:25556408:25570196:-1 gene:PRUPE_2G231600 transcript:ONI24259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYNSPARSPGSSRLQLGGGGGGVARLRSSSLKKPPEPLRRAVADCLSSSAASSHHASTSSTVLLSEASRILRDYLAAPSTMDLSYNVILEHTIAERERSPAVVARCVALLKRYLLRYKPSEETLLQIDRFCVNTIAECDIGPNRRLSPWSQSFASTTSTASTASTTSTNIVPLSVPSFASGALVKSLNYVRSLVSQHLPRRSFHPAAFSGALSATRQSLPSLSSLLSRSFNAQLSPAHSEPLENKDVTTMSILNLSNIEKVDGMGDLEYFALDVLKWRWLGEQQSSFLATDSDRIVNHQDMRTRNLLEVGAAALLVGDKDAKMKGQHWKYFGTAGMPYLDQLLQPSPVTTITDSAAARSHLRAITASKRTKSGPRQIWDDSPASTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSESSSQNANVMTGSSRLNNNYGKPSMDAAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLNSPRTTCRVRAFDLILNLGVHAHLLEPMVTDNASTIEEEYSQDSYFDSEAKLATQGMRRSDSVLMGTSSAIDNFESWILNILYEILLLLVQIEENEESVWASALSCLLYFVCDRGKILRNRINGLDIRVVKALLEISKKHSWAEVVHCKLISMLANMFYQVPEGTNKAASSTQLFLVEQVDLIGGIEFIFLEYSLAKSREERRNLFLVLFDHALHQINEICIATGVTEYSDDEIQPLVALLNLADAPEAFYISVKLGLVGIGEILRSSISDALSRYPNSERLNMLLDSVMDKFGATICSFTHLDMEFSHMMQITKSYKSLDSIEGAVLRNGVGMKAKLSWAILHSLLHSERTTYHRNGYVWLSDLLIAEISEERNTSIWSNIKSMQQKIAHAGVHDSAVASDVPLPIWLMCGLLKSKHNSIRWGFLYVLERLLMRCKILLNENKIQQSLGSDIGNIRKDSRLEKANAVIDIMSTALSLVFQINETDRINILKMCDILFSQLCLRVPLANATEFGDDSQLGRVLSSMEGNKKVDEKENSDQDVRMEEASGRPVYCNNNRLDHETESMAALLLRGHAIVPMQLVTRVPAALFYWPLIQLAGAATDNIALGIAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPAAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQNLVVRAQQSNNEKLLENPYLQMRGILQLANDLGTGL >ONI24265 pep chromosome:Prunus_persica_NCBIv2:G2:25558271:25570060:-1 gene:PRUPE_2G231600 transcript:ONI24265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYNSPARSPGSSRLQLGGGGGGVARLRSSSLKKPPEPLRRAVADCLSSSAASSHHASTSSTVLLSEASRILRDYLAAPSTMDLSYNVILEHTIAERERSPAVVARCVALLKRYLLRYKPSEETLLQIDRFCVNTIAECDIGPNRRLSPWSQSFASTTSTASTASTTSTNIVPLSVPSFASGALVKSLNYVRSLVSQHLPRRSFHPAAFSGALSATRQSLPSLSSLLSRSFNAQLSPAHSEPLENKDVTTMSILNLSNIEKVDGMGDLEYFALDVLKWRWLGEQQSSFLATDSDRIVNHQDMRTRNLLEVGAAALLVGDKDAKMKGQHWKYFGTAGMPYLDQLLQPSPVTTITDSAAARSHLRAITASKRTKSGPRQIWDDSPASTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSESSSQNANVMTGSSRLNNNYGKPSMDAAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLNSPRTTCRVRAFDLILNLGVHAHLLEPMVTDNASTIEEEYSQDSYFDSEAKLATQGMRRSDSVLMGTSSAIDNFESWILNILYEILLLLVQIEENEESVWASALSCLLYFVCDRGKILRNRINGLDIRVVKALLEISKKHSWAEVVHCKLISMLANMFYQVPEGTNKAASSTQLFLVEQVDLIGGIEFIFLEYSLAKSREERRNLFLVLFDHALHQINEICIATGVTEYSDDEIQPLVALLNLADAPEAFYISVKLGLVGIGEILRSSISDALSRYPNSERLNMLLDSVMDKFGATICSFTHLDMEFSHMMQITKSYKSLDSIEGAVLRNGVGMKAKLSWAILHSLLHSERTTYHRNGYVWLSDLLIAEISEERNTSIWSNIKSMQQKIAHAGVHDSAVASDVPLPIWLMCGLLKSKHNSIRWGFLYVLERLLMRCKILLNENKIQQSLGSDIGNIRKDSRLEKANAVIDIMSTALSLVFQINETDRINILKMCDILFSQLCLRVPLANATEFGDDSQLGRVLSSMEGNKKVDEKENSDQDVRMEEASGRPVYCNNNRLDHETESMAALLLRGHAIVPMQLVTRVPAALFYWPLIQLAGAATDNIALGIAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPAAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQNLVVRAQQLLVEEIVTR >ONI24261 pep chromosome:Prunus_persica_NCBIv2:G2:25556469:25570086:-1 gene:PRUPE_2G231600 transcript:ONI24261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYNSPARSPGSSRLQLGGGGGGVARLRSSSLKKPPEPLRRAVADCLSSSAASSHHASTSSTVLLSEASRILRDYLAAPSTMDLSYNVILEHTIAERERSPAVVARCVALLKRYLLRYKPSEETLLQIDRFCVNTIAECDIGPNRRLSPWSQSFASTTSTASTASTTSTNIVPLSVPSFASGALVKSLNYVRSLVSQHLPRRSFHPAAFSGALSATRQSLPSLSSLLSRSFNAQLSPAHSEPLENKDVTTMSILNLSNIEKVDGMGDLEYFALDVLKWRWLGEQQSSFLATDSDRIVNHQDMRTRNLLEVGAAALLVGDKDAKMKGQHWKYFGTAGMPYLDQLLQPSPVTTITDSAAARSHLRAITASKRTKSGPRQIWDDSPASTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSESSSQNANVMTGSSRLNNNYGKPSMDAAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLNSPRTTCRVRAFDLILNLGVHAHLLEPMVTDNASTIEEEYSQDSYFDSEAKLATQGMRRSDSVLMGTSSAIDNFESWILNILYEILLLLVQIEENEESVWASALSCLLYFVCDRGKILRNRINGLDIRVVKALLEISKKHSWAEVVHCKLISMLANMFYQVPEGTNKAASSTQLFLVEQVDLIGGIEFIFLEYSLAKSREERRNLFLVLFDHALHQINEICIATGVTEYSDDEIQPLVALLNLADAPEAFYISVKLGLVGIGEILRSSISDALSRYPNSERLNMLLDSVMDKFGATICSFTHLDMEFSHMMQITKSYKSLDSIEGAVLRNGVGMKAKLSWAILHSLLHSERTTYHRNGYVWLSDLLIAEISEERNTSIWSNIKSMQQKIAHAGVHDSAVASDVPLPIWLMCGLLKSKHNSIRWGFLYVLERLLMRCKILLNENKIQQSLGSDIGNIRKDSRLEKANAVIDIMSTALSLVFQINETDRINILKMCDILFSQLCLRVPLANATEFGDDSQLGRVLSSMEGNKKVDEKENSDQDVRMEEASGRPVYCNNNRLDHETESMAALLLRGHAIVPMQLVTRVPAALFYWPLIQLAGAATDNIALGIAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPAAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQNLVVRAQQSNNEKLLENPYLQMRGILQLANDLGTGL >ONI24260 pep chromosome:Prunus_persica_NCBIv2:G2:25556472:25570041:-1 gene:PRUPE_2G231600 transcript:ONI24260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYNSPARSPGSSRLQLGGGGGGVARLRSSSLKKPPEPLRRAVADCLSSSAASSHHASTSSTVLLSEASRILRDYLAAPSTMDLSYNVILEHTIAERERSPAVVARCVALLKRYLLRYKPSEETLLQIDRFCVNTIAECDIGPNRRLSPWSQSFASTTSTASTASTTSTNIVPLSVPSFASGALVKSLNYVRSLVSQHLPRRSFHPAAFSGALSATRQSLPSLSSLLSRSFNAQLSPAHSEPLENKDVTTMSILNLSNIEKVDGMGDLEYFALDVLKWRWLGEQQSSFLATDSDRIVNHQDMRTRNLLEVGAAALLVGDKDAKMKGQHWKYFGTAGMPYLDQLLQPSPVTTITDSAAARSHLRAITASKRTKSGPRQIWDDSPASTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSESSSQNANVMTGSSRLNNNYGKPSMDAAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLNSPRTTCRVRAFDLILNLGVHAHLLEPMVTDNASTIEEEYSQDSYFDSEAKLATQGMRRSDSVLMGTSSAIDNFESWILNILYEILLLLVQIEENEESVWASALSCLLYFVCDRGKILRNRINGLDIRVVKALLEISKKHSWAEVVHCKLISMLANMFYQVPEGTNKAASSTQLFLVEQVDLIGGIEFIFLEYSLAKSREERRNLFLVLFDHALHQINEICIATGVTEYSDDEIQPLVALLNLADAPEAFYISVKLGLVGIGEILRSSISDALSRYPNSERLNMLLDSVMDKFGATICSFTHLDMEFSHMMQITKSYKSLDSIEGAVLRNGVGMKAKLSWAILHSLLHSERTTYHRNGYVWLSDLLIAEISEERNTSIWSNIKSMQQKIAHAGVHDSAVASDVPLPIWLMCGLLKSKHNSIRWGFLYVLERLLMRCKILLNENKIQQSLGSDIGNIRKDSRLEKANAVIDIMSTALSLVFQINETDRINILKMCDILFSQLCLRVPLANATEFGDDSQLGRVLSSMEGNKKVDEKENSDQDVRMEEASGRPVYCNNNRLDHETESMAALLLRGHAIVPMQLVTRVPAALFYWPLIQLAGAATDNIALGIAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPAAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQNLVVRAQQSNNEKLLENPYLQMRGILQLANDLGTGL >ONI24262 pep chromosome:Prunus_persica_NCBIv2:G2:25556411:25570196:-1 gene:PRUPE_2G231600 transcript:ONI24262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYNSPARSPGSSRLQLGGGGGGVARLRSSSLKKPPEPLRRAVADCLSSSAASSHHASTSSTVLLSEASRILRDYLAAPSTMDLSYNVILEHTIAERERSPAVVARCVALLKRYLLRYKPSEETLLQIDRFCVNTIAECDIGPNRRLSPWSQSFASTTSTASTASTTSTNIVPLSVPSFASGALVKSLNYVRSLVSQHLPRRSFHPAAFSGALSATRQSLPSLSSLLSRSFNAQLSPAHSEPLENKDVTTMSILNLSNIEKVDGMGDLEYFALDVLKWRWLGEQQSSFLATDSDRIVNHQDMRTRNLLEVGAAALLVGDKDAKMKGQHWKYFGTAGMPYLDQLLQPSPVTTITDSAAARSHLRAITASKRTKSGPRQIWDDSPASTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSESSSQNANVMTGSSRLNNNYGKPSMDAAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLNSPRTTCRVRAFDLILNLGVHAHLLEPMVTDNASTIEEEYSQDSYFDSEAKLATQGMRRSDSVLMGTSSAIDNFESWILNILYEILLLLVQIEENEESVWASALSCLLYFVCDRGKILRNRINGLDIRVVKALLEISKKHSWAEVVHCKLISMLANMFYQVPEGTNKAASSTQLFLVEQVDLIGGIEFIFLEYSLAKSREERRNLFLVLFDHALHQINEICIATGVTEYSDDEIQPLVALLNLADAPEAFYISVKLGLVGIGEILRSSISDALSRYPNSERLNMLLDSVMDKFGATICSFTHLDMEFSHMMQITKSYKSLDSIEGAVLRNGVGMKAKLSWAILHSLLHSERTTYHRNGYVWLSDLLIAEISEERNTSIWSNIKSMQQKIAHAGVHDSAVASDVPLPIWLMCGLLKSKHNSIRWGFLYVLERLLMRCKILLNENKIQQSLGSDIGNIRKDSRLEKANAVIDIMSTALSLVFQINETDRINILKMCDILFSQLCLRVPLANATEFGDDSQLGRVLSSMEGNKKVDEKENSDQDVRMEEASGRPVYCNNNRLDHETESMAALLLRGHAIVPMQLVTRVPAALFYWPLIQLAGAATDNIALGIAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPAAFQEVGGEEFFRHKFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQNLVVRAQQSNNEKLLENPYLQMRGILQLANDLGTGL >ONI24266 pep chromosome:Prunus_persica_NCBIv2:G2:25556407:25570040:-1 gene:PRUPE_2G231600 transcript:ONI24266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYNSPARSPGSSRLQLGGGGGGVARLRSSSLKKPPEPLRRAVADCLSSSAASSHHASTSSTVLLSEASRILRDYLAAPSTMDLSYNVILEHTIAERERSPAVVARCVALLKRYLLRYKPSEETLLQIDRFCVNTIAECDIGPNRRLSPWSQSFASTTSTASTASTTSTNIVPLSVPSFASGALVKSLNYVRSLVSQHLPRRSFHPAAFSGALSATRQSLPSLSSLLSRSFNAQLSPAHSEPLENKDVTTMSILNLSNIEKVDGMGDLEYFALDVLKWRWLGEQQSSFLATDSDRIVNHQDMRTRNLLEVGAAALLVGDKDAKMKGQHWKYFGTAGMPYLDQLLQPSPVTTITDSAAARSHLRAITASKRTKSGPRQIWDDSPASTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSESSSQNANVMTGSSRLNNNYGKPSMDAAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLNSPRTTCRVRAFDLILNLGVHAHLLEPMVTDNASTIEEEYSQDSYFDSEAKLATQGMRRSDSVLMGTSSAIDNFESWILNILYEILLLLVQIEENEESVWASALSCLLYFVCDRGKILRNRINGLDIRVVKALLEISKKHSWAEVVHCKLISMLANMFYQVPEGTNKAASSTQLFLVEQVDLIGGIEFIFLEYSLAKSREERRNLFLVLFDHALHQINEICIATGVTEYSDDEIQPLVALLNLADAPEAFYISVKLGLVGIGEILRSSISDALSRYPNSERLNMLLDSVMDKFGATICSFTHLDMEFSHMMQITKSYKSLDSIEGAVLRNGVGMKAKLSWAILHSLLHSERTTYHRNGYVWLSDLLIAEISEERNTSIWSNIKSMQQKIAHAGVHDSAVASDVPLPIWLMCGLLKSKHNSIRWGFLYVLERLLMRCKILLNENKIQQSLGSDIGNIRKDSRLEKANAVIDIMSTALSLVFQINETDRINILKMCDILFSQLCLRVPLANATEFGDDSQLGRVLSSMEGNKKVDEKENSDQDVRMEEASGRPVYCNNNRLDHETESMAALLLRGHAIVPMQLVTRVPAALFYWPLIQLAGAATDNIALGIAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPAAFQEVGGEEFFRLESGILLFSFSSEADDDRKT >ONI24263 pep chromosome:Prunus_persica_NCBIv2:G2:25557969:25569788:-1 gene:PRUPE_2G231600 transcript:ONI24263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYNSPARSPGSSRLQLGGGGGGVARLRSSSLKKPPEPLRRAVADCLSSSAASSHHASTSSTVLLSEASRILRDYLAAPSTMDLSYNVILEHTIAERERSPAVVARCVALLKRYLLRYKPSEETLLQIDRFCVNTIAECDIGPNRRLSPWSQSFASTTSTASTASTTSTNIVPLSVPSFASGALVKSLNYVRSLVSQHLPRRSFHPAAFSGALSATRQSLPSLSSLLSRSFNAQLSPAHSEPLENKDVTTMSILNLSNIEKVDGMGDLEYFALDVLKWRWLGEQQSSFLATDSDRIVNHQDMRTRNLLEVGAAALLVGDKDAKMKGQHWKYFGTAGMPYLDQLLQPSPVTTITDSAAARSHLRAITASKRTKSGPRQIWDDSPASTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSESSSQNANVMTGSSRLNNNYGKPSMDAAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLNSPRTTCRVRAFDLILNLGVHAHLLEPMVTDNASTIEEEYSQDSYFDSEAKLATQGMRRSDSVLMGTSSAIDNFESWILNILYEILLLLVQIEENEESVWASALSCLLYFVCDRGKILRNRINGLDIRVVKALLEISKKHSWAEVVHCKLISMLANMFYQVPEGTNKAASSTQLFLVEQVDLIGGIEFIFLEYSLAKSREERRNLFLVLFDHALHQINEICIATGVTEYSDDEIQPLVALLNLADAPEAFYISVKLGLVGIGEILRSSISDALSRYPNSERLNMLLDSVMDKFGATICSFTHLDMEFSHMMQITKSYKSLDSIEGAVLRNGVGMKAKLSWAILHSLLHSERTTYHRNGYVWLSDLLIAEISEERNTSIWSNIKSMQQKIAHAGVHDSAVASDVPLPIWLMCGLLKSKHNSIRWGFLYVLERLLMRCKILLNENKIQQSLGSDIGNIRKDSRLEKANAVIDIMSTALSLVFQINETDRINILKMCDILFSQLCLRVPLANATEFGDDSQLGRVLSSMEGNKKVDEKENSDQDVRMEEASGRPVYCNNNRLDHETESMAALLLRGHAIVPMQLVTRVPAALFYWPLIQLAGAATDNIALGIAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPAAFQEVGGEEFFRHKFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQNLVVRAQQSNNEKLLENPYLQMRGILQLANDLGTGL >ONI24258 pep chromosome:Prunus_persica_NCBIv2:G2:25556408:25570185:-1 gene:PRUPE_2G231600 transcript:ONI24258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYNSPARSPGSSRLQLGGGGGGVARLRSSSLKKPPEPLRRAVADCLSSSAASSHHASTSSTVLLSEASRILRDYLAAPSTMDLSYNVILEHTIAERERSPAVVARYKPSEETLLQIDRFCVNTIAECDIGPNRRLSPWSQSFASTTSTASTASTTSTNIVPLSVPSFASGALVKSLNYVRSLVSQHLPRRSFHPAAFSGALSATRQSLPSLSSLLSRSFNAQLSPAHSEPLENKDVTTMSILNLSNIEKVDGMGDLEYFALDVLKWRWLGEQQSSFLATDSDRIVNHQDMRTRNLLEVGAAALLVGDKDAKMKGQHWKYFGTAGMPYLDQLLQPSPVTTITDSAAARSHLRAITASKRTKSGPRQIWDDSPASTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSESSSQNANVMTGSSRLNNNYGKPSMDAAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLNSPRTTCRVRAFDLILNLGVHAHLLEPMVTDNASTIEEEYSQDSYFDSEAKLATQGMRRSDSVLMGTSSAIDNFESWILNILYEILLLLVQIEENEESVWASALSCLLYFVCDRGKILRNRINGLDIRVVKALLEISKKHSWAEVVHCKLISMLANMFYQVPEGTNKAASSTQLFLVEQVDLIGGIEFIFLEYSLAKSREERRNLFLVLFDHALHQINEICIATGVTEYSDDEIQPLVALLNLADAPEAFYISVKLGLVGIGEILRSSISDALSRYPNSERLNMLLDSVMDKFGATICSFTHLDMEFSHMMQITKSYKSLDSIEGAVLRNGVGMKAKLSWAILHSLLHSERTTYHRNGYVWLSDLLIAEISEERNTSIWSNIKSMQQKIAHAGVHDSAVASDVPLPIWLMCGLLKSKHNSIRWGFLYVLERLLMRCKILLNENKIQQSLGSDIGNIRKDSRLEKANAVIDIMSTALSLVFQINETDRINILKMCDILFSQLCLRVPLANATEFGDDSQLGRVLSSMEGNKKVDEKENSDQDVRMEEASGRPVYCNNNRLDHETESMAALLLRGHAIVPMQLVTRVPAALFYWPLIQLAGAATDNIALGIAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPAAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQNLVVRAQQSNNEKLLENPYLQMRGILQLANDLGTGL >ONI24264 pep chromosome:Prunus_persica_NCBIv2:G2:25557969:25569788:-1 gene:PRUPE_2G231600 transcript:ONI24264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGYNSPARSPGSSRLQLGGGGGGVARLRSSSLKKPPEPLRRAVADCLSSSAASSHHASTSSTVLLSEASRILRDYLAAPSTMDLSYNVILEHTIAERERSPAVVARCVALLKRYLLRYKPSEETLLQIDRFCVNTIAECDIGPNRRLSPWSQSFASTTSTASTASTTSTNIVPLSVPSFASGALVKSLNYVRSLVSQHLPRRSFHPAAFSGALSATRQSLPSLSSLLSRSFNAQLSPAHSEPLENKDVTTMSILNLSNIEKVDGMGDLEYFALDVLKWRWLGEQQSSFLATDSDRIVNHQDMRTRNLLEVGAAALLVGDKDAKMKGQHWKYFGTAGMPYLDQLLQPSPVTTITDSAAARSHLRAITASKRTKSGPRQIWDDSPASTFRPRAKPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSESSSQNANVMTGSSRLNNNYGKPSMDAAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLNSPRTTCRVRAFDLILNLGVHAHLLEPMVTDNASTIEEEYSQDSYFDSEAKLATQGMRRSDSVLMGTSSAIDNFESWILNILYEILLLLVQIEENEESVWASALSCLLYFVCDRGKILRNRINGLDIRVVKALLEISKKHSWAEVVHCKLISMLANMFYQVPEGTNKAASSTQLFLVEQVDLIGGIEFIFLEYSLAKSREERRNLFLVLFDHALHQINEICIATGVTEYSDDEIQPLVALLNLADAPEAFYISVKLGLVGIGEILRSSISDALSRYPNSERLNMLLDSVMDKFGATICSFTHLDMEFSHMMQITKSYKSLDSIEGAVLRNGVGMKAKLSWAILHSLLHSERTTYHRNGYVWLSDLLIAEISEERNTSIWSNIKSMQQKIAHAGVHDSAVASDVPLPIWLMCGLLKSKHNSIRWGFLYVLERLLMRCKILLNENKIQQSLGSDIGNIRKDSRLEKANAVIDIMSTALSLVFQINETDRINILKMCDILFSQLCLRVPLANATEFGDDSQLGRVLSSMEGNKKVDEKENSDQDVRMEEASGRPVYCNNNRLDHETESMAALLLRGHAIVPMQLVTRVPAALFYWPLIQLAGAATDNIALGIAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPAAFQEVGGEEFFRHKFRELLDDTDSRVAYYSSAFLLKRMMTEKPEKYQHMLQNLVVRAQQSNNEKLLENPYLQMRGILQLANDLGTGL >ONI24513 pep chromosome:Prunus_persica_NCBIv2:G2:26236631:26238460:-1 gene:PRUPE_2G244600 transcript:ONI24513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMTLLEGFLLLANALAILNEDRFLAPRGWSLAEFSVGRTKSVKGQIIGLIYATQYLRVPLVLLNTVCIVLKLISG >ONI24514 pep chromosome:Prunus_persica_NCBIv2:G2:26236057:26238460:-1 gene:PRUPE_2G244600 transcript:ONI24514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMTLLEGFLLLANALAILNEDRFLAPRGWSLAEFSVGRTKSVKGQIIGLIYATQYLRVPLVLLNTVCIVLKLISG >ONI24512 pep chromosome:Prunus_persica_NCBIv2:G2:26236050:26238463:-1 gene:PRUPE_2G244600 transcript:ONI24512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMTLLEGFLLLANALAILNEDRFLAPRGWSLAEFSVGRTKSVKGQIIGLIYATQYLRVPLVLLNTVCIVLKLISG >ONI21529 pep chromosome:Prunus_persica_NCBIv2:G2:10891688:10896897:-1 gene:PRUPE_2G072000 transcript:ONI21529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKIEGFNFDQRHGKQRVRVARVWRSENGRHSIVEWNVGISLLTDSVVAYTRDDNSDLVATDTMKNTVYAKAKECVEELSVEDFAIRLAKHFTSLYQQVTAALVKIVEKPWERVSIDGQPHEHGFKLGSEKHTTEVILKKSGALKVTSGIEGLALLKTTKSGFEGFIRDKYTVLPDTRERILATDLTASWTYPYESIYSIPQKPLYFTERYLSVKKVLADTFYGPPKEGVYSPSVQSTLYHMATNVLKGFPDIATVQLKMPNIHFLPVNLSNKDNTIVKFEDDVYLPTDEPHGSIEATLSRFWSKM >ONI21531 pep chromosome:Prunus_persica_NCBIv2:G2:10892079:10896839:-1 gene:PRUPE_2G072000 transcript:ONI21531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKIEGFNFDQRHGKQRVRVARVWRSENGRHSIVEWNVGISLLTDSVVAYTRDDNSDLVATDTMKNTVYAKAKECVEELSVEDFAIRLAKHFTSLYQQVTAALVKIVEKPWERVSIDGQPHEHGFKLGSEKHTTEVILKKSGALKVTSGIEGLALLKTTKSGFEGFIRDKYTVLPDTRERILATDLTASWTQILVTEINGCRYPYESIYSIPQKPLYFTERYLSVKKVLADTFYGPPKEGVYSPSVQSTLYHMATNVLKGFPDIATVQLKMPNIHFLPVNLSNKDNTIVKFEDDVYLPTDEPHGSIEATLSRFWSKM >ONI21530 pep chromosome:Prunus_persica_NCBIv2:G2:10891734:10896897:-1 gene:PRUPE_2G072000 transcript:ONI21530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKIEGFNFDQRHGKQRVRVARVWRSENGRHSIVEWNVGISLLTDSVVAYTRDDNSDLVATDTMKNTVYAKAKECVEELSVEDFAIRLAKHFTSLYQQVTAALVKIVEKPWERVSIDGQPHEHGFKLGSEKHTTEVILKKSGALKVTSGIEGLALLKTTKSGFEGFIRDKYTVLPDTRERILATDLTASWTYPYESIYSIPQKPLYFTERYLSVKKVLADTFYGPPKEGVYSPSVQSTLYHMATNVLKGFPDIATVQLKMPNIHFLPVNLSNKDNTIVKFEDDVYLPTDEPHGSIEATLSRFWSKM >ONI24989 pep chromosome:Prunus_persica_NCBIv2:G2:27639674:27644133:-1 gene:PRUPE_2G273600 transcript:ONI24989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDVDDCMQTEQSLPPAQFDGQASSLSCTLSLDHVGEVAVTFNSDGLSWKLVEPLDNVATEIKFSDVYAVELIDYGLIHGSNISNARKCLSGHDSEIYRFTVHGFQRSKTLPSLRVLVAYTFGHKDLQTCQMWVNQINASLALEQGRPKNLLVFVHPRSGKGNGCKTWDSVASIFSRAKVKTKVIVTQRAGHAFDVMASIGIKELISYDGVIAVGGDGFFNEILNGFLLSRHKAPYPPTPSGFLQSATCDDNFCVRDPNATDIGTSSQNNEQQSPLLPSTSYDSFGVPNINQDSEFSLPNEHFRFGIIPAGSTDAIVMCTTGTRDPITSTFHIVLGKRVCLDVAQVVRWKIKSTSKVEPFVRYAASFAGYGFYGDVITESEKYRWMGPKRYDYAGTRVFLKHKSYQAEIAYLEVKSEEAKSIPERGNLGGRKRPFWSPKKSEKVVCRVNCKVCNTTTPCSHPGETKWSRSKGSFLSIGAAVIACRNDRAPDGLVVDAHLSDGLMHLILIKDCSHALYLWHLTQLARKGGNPFDFKFVEHHKTTAFTFTSSGNESVWNLDGELFQAHQLSAQVFRGLVSLFASGPEV >ONI24991 pep chromosome:Prunus_persica_NCBIv2:G2:27639844:27643906:-1 gene:PRUPE_2G273600 transcript:ONI24991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDVDDCMQTEQSLPPAQFDGQASSLSCTLSLDHVGEVAVTFNSDGLSWKLVEPLDNHDSTCLGIKSKVATEIKFSDVYAVELIDYGLIHGSNISNARKCLSGHDSEIYRFTVHGFQRSKTLPSLRVLVAYTFGHKDLQTCQMWVNQINASLALEQGRPKNLLVFVHPRSGKGNGCKTWDSVASIFSRAKVKTKVIVTQRAGHAFDVMASIGIKELISYDGVIAVGGDGFFNEILNGFLLSRHKAPYPPTPSGFLQSATCDDNFCVRDPNATDIGTSSQNNEQQSPLLPSTSYDSFGVPNINQDSEFSLPNEHFRFGIIPAGSTDAIVMCTTGTRDPITSTFHIVLGKRVCLDVAQVVRWKIKSTSKVEPFVRYAASFAGYGFYGDVITESEKYRWMGPKRYDYAGTRVFLKHKSYQAEIAYLEVKSEEAKSIPERGNLGGRKRPFWSPKKSEKVVCRVNCKVCNTTTPCSHPGETKWSRSKGSFLSIGAAVIACRNDRAPDGLVVDAHLSDGLMHLILIKDCSHALYLWHLTQLARKGGNPFDFKFVEHHKTTAFTFTSSGNESVWNLDGELFQAHQLSAQVFRGLVSLFASGPEV >ONI24990 pep chromosome:Prunus_persica_NCBIv2:G2:27639674:27644134:-1 gene:PRUPE_2G273600 transcript:ONI24990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDVDDCMQTEQSLPPAQFDGQASSLSCTLSLDHVGEVAVTFNSDGLSWKLVEPLDNHDSTCLGIKSKVATEIKFSDVYAVELIDYGLIHGSNISNARKCLSGHDSERSKTLPSLRVLVAYTFGHKDLQTCQMWVNQINASLALEQGRPKNLLVFVHPRSGKGNGCKTWDSVASIFSRAKVKTKVIVTQRAGHAFDVMASIGIKELISYDGVIAVGGDGFFNEILNGFLLSRHKAPYPPTPSGFLQSATCDDNFCVRDPNATDIGTSSQNNEQQSPLLPSTSYDSFGVPNINQDSEFSLPNEHFRFGIIPAGSTDAIVMCTTGTRDPITSTFHIVLGKRVCLDVAQVVRWKIKSTSKVEPFVRYAASFAGYGFYGDVITESEKYRWMGPKRYDYAGTRVFLKHKSYQAEIAYLEVKSEEAKSIPERGNLGGRKRPFWSPKKSEKVVCRVNCKVCNTTTPCSHPGETKWSRSKGSFLSIGAAVIACRNDRAPDGLVVDAHLSDGLMHLILIKDCSHALYLWHLTQLARKGGNPFDFKFVEHHKTTAFTFTSSGNESVWNLDGELFQAHQLSAQVFRGLVSLFASGPEV >ONI25617 pep chromosome:Prunus_persica_NCBIv2:G2:29461341:29471942:1 gene:PRUPE_2G311700 transcript:ONI25617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSGSVVQLRTKPSLASQLNATPIARLGSRAAACSATRKSTKALANKFFGTRLRPAGSEKLHIWRSDGPGRSPKLRVVVRSLLSAVPEKPLGLYDPSFDKDSCGVGFVAELSGEGSRKTITDALEMLVRMAHRGACGCETNTGDGAGILVGLPHDFYKEVAKDVGFKLPPAGEYAVGMFFLPTSDSRREESKNVFTKVAESLGHTVLGWRSVPTDNSDLGKSALQTEPVIEQVFLTPTPRSKLDLERQMYILRRVSMVAIRAALNLEHGGAKDFYICSLSSRTVVYKGQLKPIQLKDYYFADLGNERFTSYMALVHSRFSTNTFPSWDRAQPMRVIGHNGEINTLKGNVNWMKAREGLLKCKELGLSRNELKKLLPIVDASSSDSGAFDGVLEFLVQAGRSLPEAMMMMIPEAWQNDKNMDPHRKALYEYFSSLMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFENHIVVDDEALKQQYSLARPYGEWLERQKIELKDIVASVQESDRAPPSIAGVIPASTDDENMENMGIHGLLAPLKAFGYTLESLEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKVVTSMECMIGPEGDLTETTEEQCHRLSLKGSLLTIEEMEAIKKMNYRGWRCKVLDITYSKERGREGLEETLDRICAEAREAIKKGYTTLVLSDRAFSPKRVAVSSLLAVGAVHQHLVKNLERTRVGLIIESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKANGVIYSKDELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIERCFAGTPSRVEGATFEMLAHDELHMHELAFPSRTFPPGSAEAVALPNPGDYHWRKGGEVHLNDPFAISKLQEAARTNSVAAYKEYSKFIHELNKACNLRGLLKFKSTEQKIHLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAMAMNKIGGKSNTGEGGEQPSRMEPLPDGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPTARISVKLVSEVGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTTLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMVAEELREIMSQLGFRTLNEMVGRSDMLEVDKDVTRNNEKLDNIDLSLLLRPAADLRPDAAQYCVQKQDHGLDMALDHKLISLSKAAIEKSLPVYFETTICNVNRAVGTMLSHEVTKLYNREGLPADTIHIKFNGSAGQSLGAFLCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKKSKFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGARAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYILDVDGQFRSRCNLELVDLDKLEEEDVMTLKMMIQQHQRHTNSLLASQVLADFGNLLPKFIKVIPREYKRVLANMKDEASKQDAADEAEQDEPELIEKDAFEELKKLAASSSLNGKSNQTVEDSEIFKRPSQVSDAVKHRGFISYEREGVQYRDPNVRMNDWKEVMEETQPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWHEALERLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPLKRTGKRVAIVGSGPAGLAAADQLNRLGHTVTVYERADRIGGLMMYGVPNMKADKVDIVQRRVNLMAEEGVNFVVNASVGNDPLYSLDRLREENNAIILAVGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSVRHGCSSIINLELLPQPPRTRAPGNPWPQWPRVFRVDYGHQEVAAKFGKDPRSYEVLTKRFVGDENGAVKGLELVSVKWEKDATGKFQFKEIEGSEEIIEVDLVLLAMGFLGPEAVTEKLGLERDNRSNYKAEYGRFSTNVDGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKYLSIEEEDDHTISNGSHENILKRHQDLSKRNTGSSKHTVMT >ONI25619 pep chromosome:Prunus_persica_NCBIv2:G2:29463515:29472173:1 gene:PRUPE_2G311700 transcript:ONI25619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDWMHWVHSRFSTNTFPSWDRAQPMRVIGHNGEINTLKGNVNWMKAREGLLKCKELGLSRNELKKLLPIVDASSSDSGAFDGVLEFLVQAGRSLPEAMMMMIPEAWQNDKNMDPHRKALYEYFSSLMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFENHIVVDDEALKQQYSLARPYGEWLERQKIELKDIVASVQESDRAPPSIAGVIPASTDDENMENMGIHGLLAPLKAFGYTLESLEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKVVTSMECMIGPEGDLTETTEEQCHRLSLKGSLLTIEEMEAIKKMNYRGWRCKVLDITYSKERGREGLEETLDRICAEAREAIKKGYTTLVLSDRAFSPKRVAVSSLLAVGAVHQHLVKNLERTRVGLIIESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKANGVIYSKDELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIERCFAGTPSRVEGATFEMLAHDELHMHELAFPSRTFPPGSAEAVALPNPGDYHWRKGGEVHLNDPFAISKLQEAARTNSVAAYKEYSKFIHELNKACNLRGLLKFKSTEQKIHLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAMAMNKIGGKSNTGEGGEQPSRMEPLPDGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPTARISVKLVSEVGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTTLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMVAEELREIMSQLGFRTLNEMVGRSDMLEVDKDVTRNNEKLDNIDLSLLLRPAADLRPDAAQYCVQKQDHGLDMALDHKLISLSKAAIEKSLPVYFETTICNVNRAVGTMLSHEVTKLYNREGLPADTIHIKFNGSAGQSLGAFLCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKKSKFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGARAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYILDVDGQFRSRCNLELVDLDKLEEEDVMTLKMMIQQHQRHTNSLLASQVLADFGNLLPKFIKVIPREYKRVLANMKDEASKQDAADEAEQDEPELIEKDAFEELKKLAASSSLNGKSNQTVEDSEIFKRPSQVSDAVKHRGFISYEREGVQYRDPNVRMNDWKEVMEETQPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWHEALERLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPLKRTGKRVAIVGSGPAGLAAADQLNRLGHTVTVYERADRIGGLMMYGVPNMKADKVDIVQRRVNLMAEEGVNFVVNASVGNDPLYSLDRLREENNAIILAVGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSVRHGCSSIINLELLPQPPRTRAPGNPWPQWPRVFRVDYGHQEVAAKFGKDPRSYEVLTKRFVGDENGAVKGLELVSVKWEKDATGKFQFKEIEGSEEIIEVDLVLLAMGFLGPEATVAEKLGLERDNRSNYKAEYGRFSTNVDGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKYLSIEEEDDHTISNGSHENILKRHQDLSKRNTGSSKHTVMT >ONI25618 pep chromosome:Prunus_persica_NCBIv2:G2:29460785:29472173:1 gene:PRUPE_2G311700 transcript:ONI25618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSGSVVQLRTKPSLASQLNATPIARLGSRAAACSATRKSTKALANKFFGTRLRPAGSEKLHIWRSDGPGRSPKLRVVVRSLLSAVPEKPLGLYDPSFDKDSCGVGFVAELSGEGSRKTITDALEMLVRMAHRGACGCETNTGDGAGILVGLPHDFYKEVAKDVGFKLPPAGEYAVGMFFLPTSDSRREESKNVFTKVAESLGHTVLGWRSVPTDNSDLGKSALQTEPVIEQVFLTPTPRSKLDLERQMYILRRVSMVAIRAALNLEHGGAKDFYICSLSSRTVVYKGQLKPIQLKDYYFADLGNERFTSYMALVHSRFSTNTFPSWDRAQPMRVIGHNGEINTLKGNVNWMKAREGLLKCKELGLSRNELKKLLPIVDASSSDSGAFDGVLEFLVQAGRSLPEAMMMMIPEAWQNDKNMDPHRKALYEYFSSLMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFENHIVVDDEALKQQYSLARPYGEWLERQKIELKDIVASVQESDRAPPSIAGVIPASTDDENMENMGIHGLLAPLKAFGYTLESLEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKVVTSMECMIGPEGDLTETTEEQCHRLSLKGSLLTIEEMEAIKKMNYRGWRCKVLDITYSKERGREGLEETLDRICAEAREAIKKGYTTLVLSDRAFSPKRVAVSSLLAVGAVHQHLVKNLERTRVGLIIESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKANGVIYSKDELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIERCFAGTPSRVEGATFEMLAHDELHMHELAFPSRTFPPGSAEAVALPNPGDYHWRKGGEVHLNDPFAISKLQEAARTNSVAAYKEYSKFIHELNKACNLRGLLKFKSTEQKIHLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAMAMNKIGGKSNTGEGGEQPSRMEPLPDGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPTARISVKLVSEVGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTTLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMVAEELREIMSQLGFRTLNEMVGRSDMLEVDKDVTRNNEKLDNIDLSLLLRPAADLRPDAAQYCVQKQDHGLDMALDHKLISLSKAAIEKSLPVYFETTICNVNRAVGTMLSHEVTKLYNREGLPADTIHIKFNGSAGQSLGAFLCPGIMLELEGDSNDYVGKGLSGGKIVVYPPKKSKFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGARAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYILDVDGQFRSRCNLELVDLDKLEEEDVMTLKMMIQQHQRHTNSLLASQVLADFGNLLPKFIKVIPREYKRVLANMKDEASKQDAADEAEQDEPELIEKDAFEELKKLAASSSLNGKSNQTVEDSEIFKRPSQVSDAVKHRGFISYEREGVQYRDPNVRMNDWKEVMEETQPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWHEALERLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPLKRTGKRVAIVGSGPAGLAAADQLNRLGHTVTVYERADRIGGLMMYGVPNMKADKVDIVQRRVNLMAEEGVNFVVNASVGNDPLYSLDRLREENNAIILAVGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSVRHGCSSIINLELLPQPPRTRAPGNPWPQWPRVFRVDYGHQEVAAKFGKDPRSYEVLTKRFVGDENGAVKGLELVSVKWEKDATGKFQFKEIEGSEEIIEVDLVLLAMGFLGPEATVAEKLGLERDNRSNYKAEYGRFSTNVDGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKYLSIEEEDDHTISNGSHENILKRHQDLSKRNTGSSKHTVMT >ONI25225 pep chromosome:Prunus_persica_NCBIv2:G2:28418366:28422819:1 gene:PRUPE_2G290100 transcript:ONI25225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIFCGNFEYDARQNELERLFGRYGKVDRVDMKSGFAFIYMEDERDAEYAIRGLDRKEFGRKGRRLRVEWTKVANHERGTRRPGASRRSSTNTRPSKTLFVINFDPYHTRTKDLERHFDPYGKIVSVRIRRNFAFVQYESQEDATRALEATNMSKLMDRVISVEYAVRDDDERRDGFSPDRRSRDRSLDRGRDRRRSPSPYKRERGSPDYGRGPSPGPYRRERGSPDYGRGPSPYRRERVSPDYGRGRSPSPYRRERSDHGRVSSRSPRKERVSADRIRDRSRSPYGRERPGADNGHAPIRSPYKRDRDSPENGAIESPYKRDRASPENGAIQSPYKRDRSSPENGRGPSSSPYERERVSPEHGHGPSPNSVPEARGDSPNYGGPESPMQERYSSRSPPAEE >ONI25226 pep chromosome:Prunus_persica_NCBIv2:G2:28418535:28422816:1 gene:PRUPE_2G290100 transcript:ONI25226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERDAEYAIRGLDRKEFGRKGRRLRVEWTKVANHERGTRRPGASRRSSTNTRPSKTLFVINFDPYHTRTKDLERHFDPYGKIVSVRIRRNFAFVQYESQEDATRALEATNMSKLMDRVISVEYAVRDDDERRDGFSPDRRSRDRSLDRGRDRRRSPSPYKRERGSPDYGRGPSPGPYRRERGSPDYGRGPSPYRRERVSPDYGRGRSPSPYRRERSDHGRVSSRSPRKERVSADRIRDRSRSPYGRERPGADNGHAPIRSPYKRDRDSPENGAIESPYKRDRASPENGAIQSPYKRDRSSPENGRGPSSSPYERERVSPEHGHGPSPNSVPEARGDSPNYGGPESPMQERYSSRSPPAEE >ONI25227 pep chromosome:Prunus_persica_NCBIv2:G2:28418535:28422815:1 gene:PRUPE_2G290100 transcript:ONI25227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERDAEYAIRGLDRKEFGRKGRRLRVEWTKVANHERGTRRPGASRRSSTNTRPSKTLFVINFDPYHTRTKDLERHFDPYGKIVSVRIRRNFAFVQYESQEDATRALEATNMSKLMDRVISVEYAVRDDDERRDGFSPDRRSRDRSLDRGRDRRRSPSPYKRERGSPDYGRGPSPGPYRRERGSPDYGRGPSPYRRERVSPDYGRGRSPSPYRRERSDHGRVSSRSPRKERVSADRIRDRSRSPYGRERPGADNGHAPIRSPYKRDRDSPENGAIESPYKRDRASPENGAIQSPYKRDRSSPENGRGPSSSPYERERVSPEHGHGPSPNSVPEARGDSPNYGGPESPMQERYSSRSPPAEE >ONI25224 pep chromosome:Prunus_persica_NCBIv2:G2:28418325:28422819:1 gene:PRUPE_2G290100 transcript:ONI25224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIFCGNFEYDARQNELERLFGRYGKVDRVDMKSGFAFIYMEDERDAEYAIRGLDRKEFGRKGRRLRVEWTKVANHERGTRRPGASRRSSTNTRPSKTLFVINFDPYHTRTKDLERHFDPYGKIVSVRIRRNFAFVQYESQEDATRALEATNMSKLMDRVISVEYAVRDDDERRDGFSPDRRSRDRSLDRGRDRRRSPSPYKRERGSPDYGRGPSPGPYRRERGSPDYGRGPSPYRRERVSPDYGRGRSPSPYRRERSDHGRVSSRSPRKERVSADRIRDRSRSPYGRERPGADNGHAPIRSPYKRDRDSPENGAIESPYKRDRASPENGAIQSPYKRDRSSPENGRGPSSSPYERERVSPEHGHGPSPNSVPEARGDSPNYGGPESPMQERYSSRSPPAEE >ONI23063 pep chromosome:Prunus_persica_NCBIv2:G2:21616953:21621176:-1 gene:PRUPE_2G167900 transcript:ONI23063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPNPAQPTVTQIANPPNSEEALAPQPPPPSLPPKTKKRPLDNDAHISNSSYFKVRAVLKEIRPHFLEVLRTPDFRLCKAANDIQEQVKLLMELYKQMTAEAVSTARCKNVPEGQPLSSEKSQDTRASGKPSENKFPSGISSEKAEDGQIQGTYVVGGSAFGWNFITFLGSEPVYCGITKESFRANGSKVTVT >ONI23373 pep chromosome:Prunus_persica_NCBIv2:G2:22645284:22647140:-1 gene:PRUPE_2G185200 transcript:ONI23373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRRRKQAKTSTCCSEEVSSIEWEFINMSEQEEDLICRMYKLVGDRWGLIAGRIPGRKAEEIERFWLMRHGEVFASRRRTELKSNNKRYNS >ONI24695 pep chromosome:Prunus_persica_NCBIv2:G2:26797031:26803587:1 gene:PRUPE_2G255800 transcript:ONI24695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPPSSKPPLISDPDGKLDSATTSSVSSPTQPSPSRAKLLKIPPIPIRRSPRHTIHEDEEFEEFEEEDDDDDEEYEDQVRTERKTDDAPIVLASSLGLNHIRTRSAPSPLRFSSSVCSASNFGDESNKVKYTVKPKPKFTPIQPVKPVHWNQSKSLRNPSLLNPVSEAILRLTSGRKKRTHDIKSFSHELNSKGVRPFPVWKSRAFGHMEEIMVAVRARFERLKEDVDFDLGAFAGDLVGILEKSSESHPEWKENFEDLLVVARRCAKMSPGEFWVKCEAIVQKLDDRRQELPMGALKQAHTRLLFILTRCTRLVQFQKESGYEEEHILSLHQLSDLGVYPEQILEGAQQSFSGQLGGKDANEKHMNKSHEQEKVSAADDVEVDTAKSVESTGSYRMSSWKKLPSAAEKNQKGHDAADTAPNDKSDRLHAKDDTKTCGEYSSDNVDTPSCRPEPPEVSASAQRISWGLWMDQQNVSYENLMICRICEVEIPTVHVEEHSRICTIADRVAEALERIMESWTLKGTDTRGSFDVSGVYTTRMHEDLDELSPPKRNDLSPRFSEGILDCVPDADNSFVMEDLNVLPDMPCDMRSSLTPEQGTRTSSAGSSTPRSPLLTPRTSQIEMLLSGWRAIPELESYQQIHKLLDIARSIANVSNCEYSALEYMLERLEDLKYAIQDRKVDALVVETFGRRIEKLLQEKYVHLCGQIEDEKVDPSNGMADEESSVEDEAVRSLRASPINPCSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERNILILLRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMAKVYIAEVVLALEYLHSLNVVHRDLKPDNLLIGQDGHIKLTDFGLSKVGLISSTDDLSGPSVSDTGFLRDDEPKTQPSSERTQRQKHSVVGTPDYLAPEILLGMGHSATADWWSVGVILFELLVGLPPFNAEHPQVPEEMSYEAYDLIDKLMTENPVQRLGATGSRECNYLNVQDMDNIQDIAQGMQEFKIEAISCTFPQEIIQEILLRLTVKSVIKCISVCKTWRSMIINQSFIRTHLNPTVHVNNLNASHLFLIHRVAGKRSVTMFHKALVEDVLEEVYSLHYDNKAFDEYSKIEFPIAPKQELYNRHLRVVGTCNGLICFADDIFRYGYDIFIWNPAIRKLVTLPWPGVTYMTHGGYDASIGFGFDANTNDYKVVRLVTYVILFHHP >ONI23620 pep chromosome:Prunus_persica_NCBIv2:G2:23623087:23624772:1 gene:PRUPE_2G198900 transcript:ONI23620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTLSISSSSTLVDTKAPRHSAAPSPQCVTLPTLPPPPVQSQNRPGKTTAYCRKIARNVMAMATGEAPAEVATTEFPEIVKTVQEAWEKVEDKYAVSSLAVAVAVALWGSTGLISAIDRLPLVPGALELVGIGYTGWFAYKNLVFKPDR >ONI23619 pep chromosome:Prunus_persica_NCBIv2:G2:23622980:23624954:1 gene:PRUPE_2G198900 transcript:ONI23619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTLSISSSSTLVDTKAPRHSAAPSPQCVTLPTLPPPPVQSQNRPGKTTAYCRKIARNVMAMATGEAPAEVATTEFPEIVKTVQEAWEKVEDKYAVSSLAVAVAVALWGSTGLISAIDRLPLVPGALELVGIGYTGWFAYKNLVFKPDREALTQKIKDTYKDIIGSG >ONI24299 pep chromosome:Prunus_persica_NCBIv2:G2:25622689:25625509:-1 gene:PRUPE_2G232800 transcript:ONI24299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPASQASLLLQKQLKDLCKHPVDGFSAGLVVEENIFEWSVTIIGPPDTLYEGGFFNAVMSFPEDYPNNPPTVRFTSEMWHPNVYPDGKVCISILHPPGDDPNGYELATERWNPVHTL >ONI24297 pep chromosome:Prunus_persica_NCBIv2:G2:25622190:25625509:-1 gene:PRUPE_2G232800 transcript:ONI24297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPASQASLLLQKQLKDLCKHPVDGFSAGLVVEENIFEWSVTIIGPPDTLYEGGFFNAVMSFPEDYPNNPPTVRFTSEMWHPNVYPDGKVCISILHPPGDDPNGYELATERWNPVHTLGGG >ONI24295 pep chromosome:Prunus_persica_NCBIv2:G2:25622188:25625509:-1 gene:PRUPE_2G232800 transcript:ONI24295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPASQASLLLQKQLKDLCKHPVDGFSAGLVVEENIFEWSVTIIGPPDTLYEGGFFNAVMSFPEDYPNNPPTVRFTSEMWHPNVYPDGKVCISILHPPGDDPNGYELATERWNPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDNRDEFKKKVGRNVRKSQEML >ONI24300 pep chromosome:Prunus_persica_NCBIv2:G2:25624016:25625388:-1 gene:PRUPE_2G232800 transcript:ONI24300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPASQASLLLQKQLKDLCKHPVDGFSAGLVVEENIFEWSVTIIGPPDTLYEGGFFNAVMSFPEDYPNNPPTVRFTSEMWHPNVYPDGKVCISILHPPGDDPNGYELATERWNPVHTL >ONI24296 pep chromosome:Prunus_persica_NCBIv2:G2:25622190:25625509:-1 gene:PRUPE_2G232800 transcript:ONI24296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPASQASLLLQKQLKDLCKHPVDGFSAGLVVEENIFEWSVTIIGPPDTLYEGGFFNAVMSFPEDYPNNPPTVRFTSEMWHPNVYPDGKVCISILHPPGDDPNGYELATERWNPVHTVSMGKSAQMKSVRDSKEGMRHLDHI >ONI24302 pep chromosome:Prunus_persica_NCBIv2:G2:25624016:25625388:-1 gene:PRUPE_2G232800 transcript:ONI24302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPASQASLLLQKQLKDLCKHPVDGFSAGLVVEENIFEWSVTIIGPPDTLYEGGFFNAVMSFPEDYPNNPPTVRFTSEMWHPNVYPDGKVCISILHPPGDDPNGYELATERWNPVHTL >ONI24301 pep chromosome:Prunus_persica_NCBIv2:G2:25623264:25625509:-1 gene:PRUPE_2G232800 transcript:ONI24301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPASQASLLLQKQLKDLCKHPVDGFSAGLVVEENIFEWSVTIIGPPDTLYEGGFFNAVMSFPEDYPNNPPTVRFTSEMWHPNVYPDGKVCISILHPPGDDPNGYELATERWNPVHTL >ONI24298 pep chromosome:Prunus_persica_NCBIv2:G2:25624016:25625388:-1 gene:PRUPE_2G232800 transcript:ONI24298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPASQASLLLQKQLKDLCKHPVDGFSAGLVVEENIFEWSVTIIGPPDTLYEGGFFNAVMSFPEDYPNNPPTVRFTSEMWHPNVYPDGKVCISILHPPGDDPNGYELATERWNPVHTL >ONI20692 pep chromosome:Prunus_persica_NCBIv2:G2:3105527:3108976:1 gene:PRUPE_2G029400 transcript:ONI20692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEEKTRYNQHHTVGYREGVIAGKEASSQEGFNIGFKQSVLVGYNWGLVRGVTSALANLPDGLREKLIETEDQITGLQGLYESVHSLSTTDALRLFNDEIMDKKAGEQSENADTSSLEAGLPEQIPDRSGLRNHFAELRSLLLESPAIKVHLDR >ONI20687 pep chromosome:Prunus_persica_NCBIv2:G2:3105527:3108976:1 gene:PRUPE_2G029400 transcript:ONI20687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRFADELYSESLQLSKLQLDPISNANSEQSNLRDLDRVELCRGDGSCDGFSDELDDTSEVTGEWMKIEEKTRYNQHHTVGYREGVIAGKEASSQEGFNIGFKQSVLVGYNWGLVRGVTSALANLPDGLREKLIETEDQITGLQGLYESVHSLSTTDALRLFNDEIMDKKAGEQSENADTSSLEAGLPEQIPDRSGLRNHFAELRSLLLESPAIKVHLDR >ONI20688 pep chromosome:Prunus_persica_NCBIv2:G2:3105527:3108976:1 gene:PRUPE_2G029400 transcript:ONI20688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRFADELYSESLQLSKLQLDPISNANSEQNLDRVELCRGDGSCDGFSDELDDTSEVTGEWMKIEEKTRYNQHHTVGYREGVIAGKEASSQEGFNIGFKQSVLVGYNWGLVRGVTSALANLPDGLREKLIETEDQITGLQGLYESVHSLSTTDALRLFNDEIMDKKAGEQSENADTSSLEAGLPEQIPDRSGLRNHFAELRSLLLESPAIKVHLDR >ONI20690 pep chromosome:Prunus_persica_NCBIv2:G2:3105527:3108976:1 gene:PRUPE_2G029400 transcript:ONI20690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRFADELYSESLQLSKLQLDPISNANSEQNLDRVELCRGDGSCDGFSDELDDTSEVTGEWMKIEEKTRYNQHHTVGYREGVIAGKEASSQEGFNIGFKQSVLVGYNWGLVRGVTSALANLPDGLREKLIETEDQITGLQGLYESVHSLSTTDALRLFNDEIMDKKAGEQSENADTSSLEAGLPEQIPDRSGLRNHFAELRSLLLESPAIKVHLDR >ONI20693 pep chromosome:Prunus_persica_NCBIv2:G2:3105527:3108976:1 gene:PRUPE_2G029400 transcript:ONI20693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEEKTRYNQHHTVGYREGVIAGKEASSQEGFNIGFKQSVLVGYNWGLVRGVTSALANLPDGLREKLIETEDQITGLQGLYESVHSLSTTDALRLFNDEIMDKKAGEQSENADTSSLEAGLPEQIPDRSGLRNHFAELRSLLLESPAIKVHLDR >ONI20691 pep chromosome:Prunus_persica_NCBIv2:G2:3106548:3108976:1 gene:PRUPE_2G029400 transcript:ONI20691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRFADELYSESLQLSKLQLDPISNANSEQNLDRVELCRGDGSCDGFSDELDDTSEVTGEWMKIEEKTRYNQHHTVGYREGVIAGKEASSQEGFNIGFKQSVLVGYNWGLVRGVTSALANLPDGLREKLIETEDQITGLQGLYESVHSLSTTDALRLFNDEIMDKKAGEQSENADTSSLEAGLPEQIPDRSGLRNHFAELRSLLLESPAIKVHLDR >ONI20689 pep chromosome:Prunus_persica_NCBIv2:G2:3106254:3108976:1 gene:PRUPE_2G029400 transcript:ONI20689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRFADELYSESLQLSKLQLDPISNANSEQNLDRVELCRGDGSCDGFSDELDDTSEVTGEWMKIEEKTRYNQHHTVGYREGVIAGKEASSQEGFNIGFKQSVLVGYNWGLVRGVTSALANLPDGLREKLIETEDQITGLQGLYESVHSLSTTDALRLFNDEIMDKKAGEQSENADTSSLEAGLPEQIPDRSGLRNHFAELRSLLLESPAIKVHLDR >ONI20879 pep chromosome:Prunus_persica_NCBIv2:G2:4140831:4146789:1 gene:PRUPE_2G038500 transcript:ONI20879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRKNMGRASPLLLVLLVLGFFFATYNFLTIIIPYRSVGKWVGDNSNGGLLSDPIIGMPENVKKFKNSKSPFHVALTATDAPYSKWQCRIMYYWYKKNKDLPGSEMGGFTRILHSGNPDNLMDEIPTMVVDPLPAGLDRGYIVLNRPWAFVQWLEKATIEEEYILMAEPDHIFINPLPNLAHGGYPAGFPFFYIKPLQNEKIVRKFYPEENGPVTNVDPIGNSPVIIRKDLLEKIAPTWMNVSLRMKEDAETDKAFGWVLEMYAYAVASALHGVQHVLRKDFMLQPPWDLEIGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLRGPPPRNLPLPPPGVPKSVVTLVKMVNEATANIPNWDTQ >ONI20878 pep chromosome:Prunus_persica_NCBIv2:G2:4140906:4146580:1 gene:PRUPE_2G038500 transcript:ONI20878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRKNMGRASPLLLVLLVLGFFFATYNFLTIIIPYRSVGKWVGDNSNGGLLSDPIIGMPENVKKFKNSKSPFHVALTATDAPYSKWQCRIMYYWYKKNKDLPGSEMGGFTRILHSGNPDNLMDEIPTMVVDPLPAGLDRGYIVLNRPWAFVQWLEKATIEEEYILMAEPDHIFINPLPNLAHGGYPAGFPFFYIKPLQNEKIVRKFYPEENGPVTNVDPIGNSPVIIRKDLLEKIAPTWMNVSLRMKEDAETDKAFGWVLEMYAYAVASALHGVQHVLRKDFMLQPPWDLEIGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLRGPPPRNLPLPPPGVPKSVVTLVKMVNEATANIPNWDTQ >ONI20877 pep chromosome:Prunus_persica_NCBIv2:G2:4141065:4146783:1 gene:PRUPE_2G038500 transcript:ONI20877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRKNMGRASPLLLVLLVLGFFFATYNFLTIIIPYRSVGKWVGDNSNGGLLSDPIIGMPENVKKFKNSKSPFHVALTATDAPYSKWQCRIMYYWYKKNKDLPGSEMGGFTRILHSGNPDNLMDEIPTMVVDPLPAGLDRGYIVLNRPWAFVQWLEKATIEEEYILMAEPDHIFINPLPNLAHGGYPAGFPFFYIKPLQNEKIVRKFYPEENGPVTNVDPIGNSPVIIRKDLLEKIAPTWMNVSLRMKEDAETDKAFGWVLEMYAYAVASALHGVQHVLRKDFMLQPPWDLEIGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLRGPPPRNLPLPPPGVPKSVVTLVKMVNEATANIPNWDTQ >ONI24782 pep chromosome:Prunus_persica_NCBIv2:G2:27090253:27094414:1 gene:PRUPE_2G262200 transcript:ONI24782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGERFQLGTVGALTLSVVSSVSIVICNKALISSLGFTFATTLTSWHLLVTFCSLHVALKMKFFEHKPLDQKTVTGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFLAKRFSRSIQIALLVLLLGVGIATVTDVQLNALGSVLSLLAVITTCVAQIMTNTIQKKHKVSSTQLLYQSCPYQSGTLLISGPFLDWFLTNQNVFAFKYTTQVLAFIIISCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYLLLHDPFDWRNILGILVALIGMVLYSYFCTRESQKKVSEEATQPLQAGEGESDRLIGVENGSGGVVTDAVAQKAPEWKSNKDLHA >ONI24781 pep chromosome:Prunus_persica_NCBIv2:G2:27090217:27094560:1 gene:PRUPE_2G262200 transcript:ONI24781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGERFQLGTVGALTLSVVSSVSIVICNKALISSLGFTFATTLTSWHLLVTFCSLHVALKMKFFEHKPLDQKTVTGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFLAKRFSRSIQIALLVLLLGVGIATVTDVQLNALGSVLSLLAVITTCVAQIMTNTIQKKHKVSSTQLLYQSCPYQSGTLLISGPFLDWFLTNQNVFAFKYTTQVLAFIIISCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYLLLHDPFDWRNILGILVALIGMVLYSYFCTRESQKKVSEEATQPLQAGEGESDRLIGVENGSGGVVTDAVAQKAPEWKSNKDLHA >ONI24784 pep chromosome:Prunus_persica_NCBIv2:G2:27091192:27094560:1 gene:PRUPE_2G262200 transcript:ONI24784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFEHKPLDQKTVTGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFLAKRFSRSIQIALLVLLLGVGIATVTDVQLNALGSVLSLLAVITTCVAQIMTNTIQKKHKVSSTQLLYQSCPYQSGTLLISGPFLDWFLTNQNVFAFKYTTQVLAFIIISCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYLLLHDPFDWRNILGILVALIGMVLYSYFCTRESQKKVSEEATQPLQAGEGESDRLIGVENGSGGVVTDAVAQKAPEWKSNKDLHA >ONI24783 pep chromosome:Prunus_persica_NCBIv2:G2:27090952:27094560:1 gene:PRUPE_2G262200 transcript:ONI24783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFEHKPLDQKTVTGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFLAKRFSRSIQIALLVLLLGVGIATVTDVQLNALGSVLSLLAVITTCVAQIMTNTIQKKHKVSSTQLLYQSCPYQSGTLLISGPFLDWFLTNQNVFAFKYTTQVLAFIIISCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYLLLHDPFDWRNILGILVALIGMVLYSYFCTRESQKKVSEEATQPLQAGEGESDRLIGVENGSGGVVTDAVAQKAPEWKSNKDLHA >ONI24780 pep chromosome:Prunus_persica_NCBIv2:G2:27090217:27094560:1 gene:PRUPE_2G262200 transcript:ONI24780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGERFQLGTVGALTLSVVSSVSIVICNKALISSLGFTFATTLTSWHLLVTFCSLHVALKMKFFEHKPLDQKTVTGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFLAKRFSRSIQIALLVLLLGVGIATVTDVQLNALGSVLSLLAVITTCVAQIMTNTIQKKHKVSSTQLLYQSCPYQSGTLLISGPFLDWFLTNQNVFAFKYTTQVLAFIIISCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYLLLHDPFDWRNILGILVALIGMVLYSYFCTRESQKKVSEEATQPLQAGEGESDRLIGVENGSGGVVTDAVAQKAPEWKSNKDLHA >ONI24452 pep chromosome:Prunus_persica_NCBIv2:G2:26062812:26067505:-1 gene:PRUPE_2G241200 transcript:ONI24452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNSGLDPSMALLAASGGDTVKLFDVSVKSGDPCVLSYTPSPGSHVNSLKWNHTNLVVASAGDDKKISLWNKNGKSMGTIPMAGTDSGDNIEESILALSFSNKVSRYICSGGSGQVVRIWDLQRKRCIKWLRGHSSTITGAMYNCKDEHLASISVDGDLILHNLTSGARTAELKDPNGQVLRVLDYSRISRHLLVTAGDDGSAHLWDTTGRSPKVSWLKQHSAPTAGISFSPSNDKMIATVGLDKKLYTYDSGSRRHSSCISYEAPFSSLAFRDDGWVLAAGTSSGRVVFYDVRGKPEPFTVLRAYSSSEAVTSLCWQRSKPVLVNESNCTVETALLGDAVEDSILMPDPLPSVTSSSLPLSMGVSSSRNPGRSSLSAETSSVTAAGSGFTSSTLHVSTAEETPHRGHLWPGGTLSRLHAPRSTYNFKDDMEVFSPLVDVHPITPTLDKLWDDHDRSKKDHLLADKKPSSLLFPSSSRRFPFAEDGASDHPIFDWKPSSSSKQDDTKSSFALLESTPAPSSKSEDSSITPPEAWGGERLSDKYTHLRQPITFPSRFGMSAQTSGSILSGLPDMSLTASLTSISSSANLGSSYPNLRTKDVSSNQETSLGFPEHISSSAMPMSPGNKGIMGHLDSPTSLALPRRFSTYAERISTTSSYNDRTSLAVGSPKTKKTGAEGREELLNSLLSKSDSSTATESGILPAMNGGSSHLQNMPQQDPQQGNSFTFQIFQRTLEETLDSFQKSIHEDMRNLHIEILRQFHMQEMETSNVMSAILENQAELMREVKSLRKENQQLRQLL >ONI24453 pep chromosome:Prunus_persica_NCBIv2:G2:26062268:26067767:-1 gene:PRUPE_2G241200 transcript:ONI24453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNSGLDPSMALLAASGGDTVKLFDVSVKSGDPCVLSYTPSPGSHVNSLKWNHTNLVVASAGDDKKISLWNKNGKSMGTIPMAGTDSGDNIEESILALSFSNKVSRYICSGGSGQVVRIWDLQRKRCIKWLRGHSSTITGAMYNCKDEHLASISVDGDLILHNLTSGARTAELKDPNGQVLRVLDYSRISRHLLVTAGDDGSAHLWDTTGRSPKVSWLKQHSAPTAGISFSPSNDKMIATVGLDKKLYTYDSGSRRHSSCISYEAPFSSLAFRDDGWVLAAGTSSGRVVFYDVRGKPEPFTVLRAYSSSEAVTSLCWQRSKPVLVNESNCTVETALLGDAVEDSILMPDPLPSVTSSSLPLSMGVSSSRNPGRSSLSAETSSVTAAGSGFTSSTLHVSTAEETPHRGHLWPGGTLSRLHAPRSTYNFKDDMEVFSPLVDVHPITPTLDKLWDDHDRSKKDHLLADKKPSSLLFPSSSRRFPFAEDGASDHPIFDWKPSSSSKQNQLLLHLPRVKTHPSPLQKLGVVRDYPISILTCVSQSLFHLALGCQLRRQDQFYLDYRICP >ONI24893 pep chromosome:Prunus_persica_NCBIv2:G2:27357135:27363169:-1 gene:PRUPE_2G267900 transcript:ONI24893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKSLVPETLKRMIGESSADDLPRTCSSLVDFLLHFEPFHQMVRDLADPEVALCGKNKEAALESKQKGNKCFLSGDYANALDLYTQALIVAPMDAHEDRNLVATLYVNRASVLHKMGLLRECLRDCNRALQISSNYAKAWYRRGKANASMGNYKDTIRDLDVAKILELTMGGKRQIESEMKIILDQQNSTSNPSIQQYENTSDILDEPHPTGLRCVATPEKGRGMASTGDLPQASLVHTEDPFSMIILKPCRETHCHYCLNELPADKVPCTSCSITLYCSKKCRIRAGGKMSWDYPNNQRIHENLSADLEKYIAETTLNVDSETDAEHIPEHKHECKGVHWPAVLPSEIVLAGRVLVKSIIQRRGSTDIFNLREILDLSHHYSKTPPERKLELHIYSAVLSYCLQYSNDFELPINGFSISQIVILLSQIRVNSMTVVRMKSIDQHGLEDIGKFSSLGGGLTSNVEQVRVGQAIYTSGSLFNHSCQPNIHAYFLSRTLFIRTTEFVTAGVPLELSYGPQVGQWDCKDRVKFLEDEYSFRCQCSGCLKSSVVDCEKEKLKRLPNIITAGNMEPHLQAEEFINIDDIDRVAHHHMQINSLFHINPGLCLKCCSYRDLESSSAAANKAWIIIRRLQDAIVSKDVSSTILVDALSSLGVLRSTFHAYNRSIAEAEDNLAQAFCFVGELQHAMEHCKASIEILEKLYNPNHIVIGYELVKLSSIQLSLGDCAAVDSINRLCDIFSCYYGSHAYKVFPYFQFLKRREKQTSSLKDQQK >ONI24892 pep chromosome:Prunus_persica_NCBIv2:G2:27357458:27363029:-1 gene:PRUPE_2G267900 transcript:ONI24892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKSLVPETLKRMIGESSADDLPRTCSSLVDFLLHFEPFHQMVRDLADPEVALCGKNKEAALESKQKGNKCFLSGDYANALDLYTQALIVAPMDAHEDRNLVATLYVNRASVLHKMGLLRECLRDCNRALQISSNYAKAWYRRGKANASMGNYKDTIRDLDVAKILELTMGGKRQIESEMKIILDQQNSTSNPSIQQYENTSDILDEPHPTGLRCVATPEKGRGMASTGDLPQASLVHTEDPFSMIILKPCRETHCHYCLNELPADKVPCTSCSITLYCSKKCRIRAGGKMSWDYPNNQRIHENLSADLEKYIAETTLNVDSETDAEHIPEHKHECKGVHWPAVLPSEIVLAGRVLDLSHHYSKTPPERKLELHIYSAVLSYCLQYSNDFELPINGFSISQIVILLSQIRVNSMTVVRMKSIDQHGLEDIGKFSSLGGGLTSNVEQVRVGQAIYTSGSLFNHSCQPNIHAYFLSRTLFIRTTEFVTAGVPLELSYGPQVGQWDCKDRVKFLEDEYSFRCQCSGCLKVNFSDLVLNAFHCVELNCSGIVLQSSVVDCEKEKLKRLPNIITAGNMEPHLQAEEFINIDDIDRVAHHHMQINSLFHINPGLCLKCCSYRDLESSSAAANKAWIIIRRLQDAIVSKDVSSTILVDALSSLGVLRSTFHAYNRSIAEAEDNLAQAFCFVGELQHAMEHCKASIEILEKLYNPNHIVIGYELVKLSSIQLSLGDCAAVDSINRLCDIFSCYYGSHAYKVFPYFQFLKRREKQTSSLKDQQK >ONI24891 pep chromosome:Prunus_persica_NCBIv2:G2:27357135:27363169:-1 gene:PRUPE_2G267900 transcript:ONI24891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKSLVPETLKRMIGESSADDLPRTCSSLVDFLLHFEPFHQMVRDLADPEVALCGKNKEAALESKQKGNKCFLSGDYANALDLYTQALIVAPMDAHEDRNLVATLYVNRASVLHKMGLLRECLRDCNRALQISSNYAKAWYRRGKANASMGNYKDTIRDLDVAKILELTMGGKRQIESEMKIILDQQNSTSNPSIQQYENTSDILDEPHPTGLRCVATPEKGRGMASTGDLPQASLVHTEDPFSMIILKPCRETHCHYCLNELPADKVPCTSCSITLYCSKKCRIRAGGKMSWDYPNNQRIHENLSADLEKYIAETTLNVDSETDAEHIPEHKHECKGVHWPAVLPSEIVLAGRVLDLSHHYSKTPPERKLELHIYSAVLSYCLQYSNDFELPINGFSISQIVILLSQIRVNSMTVVRMKSIDQHGLEDIGKFSSLGGGLTSNVEQVRVGQAIYTSGSLFNHSCQPNIHAYFLSRTLFIRTTEFVTAGVPLELSYGPQVGQWDCKDRVKFLEDEYSFRCQCSGCLKSSVVDCEKEKLKRLPNIITAGNMEPHLQAEEFINIDDIDRVAHHHMQINSLFHINPGLCLKCCSYRDLESSSAAANKAWIIIRRLQDAIVSKDVSSTILVDALSSLGVLRSTFHAYNRSIAEAEDNLAQAFCFVGELQHAMEHCKASIEILEKLYNPNHIVIGYELVKLSSIQLSLGDCAAVDSINRLCDIFSCYYGSHAYKVFPYFQFLKRREKQTSSLKDQQK >ONI24895 pep chromosome:Prunus_persica_NCBIv2:G2:27357135:27362587:-1 gene:PRUPE_2G267900 transcript:ONI24895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHEDRNLVATLYVNRASVLHKMGLLRECLRDCNRALQISSNYAKAWYRRGKANASMGNYKDTIRDLDVAKILELTMGGKRQIESEMKIILDQQNSTSNPSIQQYENTSDILDEPHPTGLRCVATPEKGRGMASTGDLPQASLVHTEDPFSMIILKPCRETHCHYCLNELPADKVPCTSCSITLYCSKKCRIRAGGKMSWDYPNNQRIHENLSADLEKYIAETTLNVDSETDAEHIPEHKHECKGVHWPAVLPSEIVLAGRVLVKSIIQRRGSTDIFNLREILDLSHHYSKTPPERKLELHIYSAVLSYCLQYSNDFELPINGFSISQIVILLSQIRVNSMTVVRMKSIDQHGLEDIGKFSSLGGGLTSNVEQVRVGQAIYTSGSLFNHSCQPNIHAYFLSRTLFIRTTEFVTAGVPLELSYGPQVGQWDCKDRVKFLEDEYSFRCQCSGCLKVNFSDLVLNAFHCVELNCSGIVLQSSVVDCEKEKLKRLPNIITAGNMEPHLQAEEFINIDDIDRVAHHHMQINSLFHINPGLCLKCCSYRDLESSSAAANKAWIIIRRLQDAIVSKDVSSTILVDALSSLGVLRSTFHAYNRSIAEAEDNLAQAFCFVGELQHAMEHCKASIEILEKLYNPNHIVIGYELVKLSSIQLSLGDCAAVDSINRLCDIFSCYYGSHAYKVFPYFQFLKRREKQTSSLKDQQK >ONI24894 pep chromosome:Prunus_persica_NCBIv2:G2:27357458:27363029:-1 gene:PRUPE_2G267900 transcript:ONI24894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKSLVPETLKRMIGESSADDLPRTCSSLVDFLLHFEPFHQMVRDLADPEVALCGKNKEAALESKQKGNKCFLSGDYANALDLYTQALIVAPMDAHEDRNLVATLYVNRASVLHKMGLLRECLRDCNRALQISSNYAKAWYRRGKANASMGNYKDTIRDLDVAKILELTMGGKRQIESEMKIILDQQNSTSNPSIQQYENTSDILDEPHPTGLRCVATPEKGRGMASTGDLPQASLVHTEDPFSMIILKPCRETHCHYCLNELPADKVPCTSCSITLYCSKKCRIRAGGKMSWDYPNNQRIHENLSADLEKYIAETTLNVDSETDAEHIPEHKHECKGVHWPAVLPSEIVLAGRVLVKSIIQRRGSTDIFNLREILDLSHHYSKTPPERKLELHIYSAVLSYCLQYSNDFELPINGFSISQIVILLSQIRVNSMTVVRMKSIDQHGLEDIGKFSSLGGGLTSNVEQVRVGQAIYTSGSLFNHSCQPNIHAYFLSRTLFIRTTEFVTAGVPLELSYGPQVGQWDCKDRVKFLEDEYSFRCQCSGCLKVNFSDLVLNAFHCVELNCSGIVLQSSVVDCEKEKLKRLPNIITAGNMEPHLQAEEFINIDDIDRVAHHHMQINSLFHINPGLCLKCCSYRDLESSSAAANKAWIIIRRLQDAIVSKDVSSTILVDALSSLGVLRSTFHAYNRSIAEAEDNLAQAFCFVGELQHAMEHCKASIEILEKLYNPNHIVIGYELVKLSSIQLSLGDCAAVDSINRLCDIFSCYYGSHAYKVFPYFQFLKRREKQTSSLKDQQK >ONI23153 pep chromosome:Prunus_persica_NCBIv2:G2:21910339:21911554:-1 gene:PRUPE_2G172600 transcript:ONI23153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPSTPFLYSSLCKFKNQNFIFHLQSQLSLFHLQKQHQSKSCFIPTKFSNVTDLLSFLVTFLLSDNKEKFLMLGSEAFRFKELYTL >ONI24322 pep chromosome:Prunus_persica_NCBIv2:G2:25675894:25680783:1 gene:PRUPE_2G234200 transcript:ONI24322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEDKAGHAIGIDLGTTYSCVAVWQHDNIEVIVNDQGNRTTPSYVAFTNTERLIVDAAYNLVIRNPTNTIFDAKRLIGRRFSDECVQNDMKHWPFKVIQGPDDKPKIVVTHMGVEKQFSAEEISSLVLVKMREIAEAYLGSTVKNAVITVPAYFNDSQRQATKNAGASAGLNVMRIINEPTAAAIAYGLDRKSGWYSKRNVMIFDFGGGTLDVSLLTIADSVFEVRATSGDTHLGGGDLDNRMVNYCVKQFNRKHKVDISGNSKALMRLRNACEKAKRRLSFMSETDIDIDIDCLDRGVDFCLNITRAKFEQLNMDFFEKCMEPVEKCLKDATMDVSSIHDVVLAGGSSRIPKVQQLLQDVFKGKELCKGINPDEAVAYGAAVQASVLSGGNLTGKLQDFTLLDVTLLSLGVETTVGPHMSVVIPRNTRIPVKKKNVFYTIYDNQKTVSFPIFEGESKTTTENNFLGSFCLKDIPPAPAGVPGFKVCFEIDANGILSVSAEDKSTGQKKGITFNRDRRTSEGIETLP >ONI24321 pep chromosome:Prunus_persica_NCBIv2:G2:25678594:25681830:1 gene:PRUPE_2G234200 transcript:ONI24321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEDKAGHAIGIDLGTTYSCVAVWQHDNIEVIVNDQGNRTTPSYVAFTNTERLIVDAAYNLVIRNPTNTIFDAKRLIGRRFSDECVQNDMKHWPFKVIQGPDDKPKIVVTHMGVEKQFSAEEISSLVLVKMREIAEAYLGSTVKNAVITVPAYFNDSQRQATKNAGASAGLNVMRIINEPTAAAIAYGLDRKSGWYSKRNVMIFDFGGGTLDVSLLTIADSVFEVRATSGDTHLGGGDLDNRMVNYCVKQFNRKHKVDISGNSKALMRLRNACEKAKRRLSFMSETDIDIDIDCLDRGVDFCLNITRAKFEQLNMDFFEKCMEPVEKCLKDATMDVSSIHDVVLAGGSSRIPKVQQLLRDLFVGKELCKGINPDEAVAYGAAFQATVLSGRYSIGKLQGYTLLDVTPLSLGVETRGECIMSVVIPRNTRIPVKKEGFTTFFDNQDVVRFAIYEGESTRTMHSNFLGAFNLNDIPPAPQGVPNFDVCFHIDANGIMSVSAEDKSTGQKKGITFNSDRRTSEGIETLI >ONI20821 pep chromosome:Prunus_persica_NCBIv2:G2:3798931:3802220:-1 gene:PRUPE_2G035400 transcript:ONI20821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGLQLRSQNPSTHPKPSRGGWNAAIFIIFVEVAERFAFYGLAGNLIMYLTNELHQPTAMAAKNVNLWMGVSSLFPLVGAVVADSYLGRFKTIIFSSSIYFMGMVLLCLSVSVVPLHYREAIFFVSLYILAVGEGGHKPCVQTFAADQFDEDSEKERKAKSSFFNWWYLAIVIAGTVASLVVIYIQDNVSWVIGYGVLAAVIAAGIILFLLGIKSYRKQGPLGSPFTAVAQVFAAAIRKWRVKETHDWDVYYGDERSETHMEAQPKHKTLARTKQFRFLDKAMIIDNLDASSKTRNPWRLCSINQVEEVKLVIRLIPIWLSCLMFNVVQSQLHTYYTKQGSKMNRSMGPHFQLPPASLKVFVGITILITVPIYDRIFVPMARKLSGHPAGITVLQRIGVGLFLSILNVVAAALVEAKRVSVAKQHDLMDNPKAIIPIRVWWLLPQYLICGLSDTFAVVGLQELFYDQMPEGLRSLGAAAYISILGVGSFLSSAIISLVQAISSKSGEEWLGDNLNRAHLDYFYWVLAGMSALNLCVYVWTAKGFVYKKVEAQKPTGAKKAGTKAGEV >ONI20958 pep chromosome:Prunus_persica_NCBIv2:G2:4710491:4718403:-1 gene:PRUPE_2G042800 transcript:ONI20958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKYAKAERHSQLRQCRCGVGQ >ONI20953 pep chromosome:Prunus_persica_NCBIv2:G2:4710490:4718631:-1 gene:PRUPE_2G042800 transcript:ONI20953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAYQSPSKDLTAINNRLNGTRKLRGTLNFDNVDVGLVSDSMVANSLYLQNQNGSLEAPVKTEQPDL >ONI20952 pep chromosome:Prunus_persica_NCBIv2:G2:4710441:4718475:-1 gene:PRUPE_2G042800 transcript:ONI20952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAYQSPSKDLTAINNRLNGTRKLRGTLNFDNVDVGLVSDSMVANSLYLQNQNGSLEAPVKTEQPDL >ONI20954 pep chromosome:Prunus_persica_NCBIv2:G2:4710492:4718242:-1 gene:PRUPE_2G042800 transcript:ONI20954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAYQSPSKDLTAINNRLNGTRKLRGTLNFDNVDVGLVSDSMVANSLYLQNQNGSLEAPVKTEQPDL >ONI20962 pep chromosome:Prunus_persica_NCBIv2:G2:4710784:4717779:-1 gene:PRUPE_2G042800 transcript:ONI20962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKYAKAERHSQLRQCRCGVGQ >ONI20960 pep chromosome:Prunus_persica_NCBIv2:G2:4710491:4718242:-1 gene:PRUPE_2G042800 transcript:ONI20960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKYAKAERHSQLRQCRCGVGQ >ONI20957 pep chromosome:Prunus_persica_NCBIv2:G2:4710491:4718518:-1 gene:PRUPE_2G042800 transcript:ONI20957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKYAKAERHSQLRQCRCGVGQ >ONI20956 pep chromosome:Prunus_persica_NCBIv2:G2:4710492:4718518:-1 gene:PRUPE_2G042800 transcript:ONI20956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAYQSPSKDLTAINNRLNGTRKLRGTLNFDNVDVGLVSDSMVANSLYLQNQNGSLEAPVKTEQPDL >ONI20961 pep chromosome:Prunus_persica_NCBIv2:G2:4710784:4717779:-1 gene:PRUPE_2G042800 transcript:ONI20961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKYAKAERHSQLRQCRCGVGQ >ONI20959 pep chromosome:Prunus_persica_NCBIv2:G2:4710491:4718420:-1 gene:PRUPE_2G042800 transcript:ONI20959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKYAKAERHSQLRQCRCGVGQ >ONI20951 pep chromosome:Prunus_persica_NCBIv2:G2:4710442:4718252:-1 gene:PRUPE_2G042800 transcript:ONI20951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAYQSPSKDLTAINNRLNGTRKLRGTLNFDNVDVGLVSDSMVANSLYLQNQNGSLEAPVKTEQPDL >ONI20955 pep chromosome:Prunus_persica_NCBIv2:G2:4710491:4718532:-1 gene:PRUPE_2G042800 transcript:ONI20955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMVKMEDTNPEVSASNSSNSESRDSDPVGARFTDLCKNGLSLDENPYTQAMKLFKETKHLLISNASAIGNGTPEEAERFWFAFVLYSVKTLSEKNSDNSQLSSDDNGFSLFQILRAVKLNIVDFFKELPQFVVKAGPILSNLYGIDWENKLEAKELQANFVYLSLLSKYYKRAYREFFLTSDANADKQSAVASGTGYVSEYHRFGWLLFLALRVHVFSRFKDLLTCANGLVAILAILIIHVPVRFRKFSIHDSTHFVKKGGKGVDLLASLCNIYDTSEDELRNTMEMANALIADILKKKPCSASECKHENLENMDPDGLTYFEGLMEEPSLSSSLDILEKDYDDAIRSKGELDERVFINEEDSLLGSRSFSGGSMNLSGVKRKVDSIASPTKTITSPLSPHRSPASHVNGGANSKMVATPVSTAMTTAKWLRTFISPLPSKPSTELEGFLASCDRDVTNDVIRRAQVILEAIFPSISLGERCVTGSLQGANLMDNIWAEQRRLEALKLYYRVLEAMCRAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPALSAEINRLMLLAEPMPSLDEIAMQINFSCGGLPPVPSLQKHENSPGQNGDIRSPKRLCTDYRSVLLERNSFTSPVKDRLLAFSNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGISIFFSKIVKLAAVRINGMVERMQLSQQIRENVYRLFQQILVQRTSLFFNRHIDQIILCCFYGVAKISQLTLTFREIIHNYRKQPQCKSLVFRSVYVDWPPARRNGRPAQEHVDVITFYNEIFIPSVKSLLVELGSAGPTTTRANVVPEANNNNDAQCPGSPKVSTFPTLPDMSPKKVSAAHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAYQSPSKDLTAINNRLNGTRKLRGTLNFDNVDVGLVSDSMVANSLYLQNQNGSLEAPVKTEQPDL >ONI24070 pep chromosome:Prunus_persica_NCBIv2:G2:24975919:24979526:-1 gene:PRUPE_2G222100 transcript:ONI24070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFRSSASSNGNNNVSPSTDKQIYWENPSEDGNQVGDMAENSFRSPKGFFSKSRKQVTDIQNSSKSPGLRRSRSLSSAAFLGNEPAQNNFSSSRYQSRSPCSPASSVPHQQCGQSSCCRTLTPERYEAKPVEVPAVQNTHGLERPCSAGSSRIHRDSSGSSSTCSSNISSKVLDRYIDGEQEERGRQKNNSSSRNLCGNGNGGGFRPPRAQFTAPNSPRAHSFREAKSSRFRLSSRDWAENGFGHESPRRLAKNVVERLSQSHGIQPTHEKEFDHDMPVTIEDIYGRSDLVAQKNYHGDDYSSLQKLIYGDNCDGLNTDETQEDMDVELERRLKEAEENVMLLSEELEQESFLRDSGYNVQQTVRNLTDQRIDLALEVSNLLQLRIAERASAKKELRLAKGELESRTKKLEKEKNELQSALERELDRRSTDWSLKLEKYQLEEQRLRERVRELAEQNVSLQREVSSFNARETESRSVITNSEQQLKGLTTRLGETREENQDLKNNLSDLQEKYRAAEENRVCIHKSFEEKDKECKDLRKSITRLLRTCKEQEKTIDGLREGFGEEFRKNQSLERVDKHISKLQMEQIRLTGVELALRRELESHRLEVDSLRHENIHLLDRLRGSGKENGALTFKLDKEMWTRICCLQNQGLSILNESSQLCSNLLEFAKGKAGQLPESKNGLDGQFFVESEMKVQGLKRGTESLARSLHTMSALLHEKSSLASSKYPSKCINADGSPNDQNPEDDMRYELKAEILLTSLLREKLYSKELEVEQLQAELAAAVRGNDILRCEVQNAMDNLSCVTHKLKDLELQMLKKDENISQLQSDLQASTKELTVTRGILPKISEERDMMWEEVKKYNEKNMLLNSEINMLKKKIETLDEDILLKEGQITILKDTIANKPFDLLSSPDSMRGFLLQ >ONI24068 pep chromosome:Prunus_persica_NCBIv2:G2:24975709:24979658:-1 gene:PRUPE_2G222100 transcript:ONI24068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFRSSASSNGNNNVSPSTDKQIYWENPSEDGNQVGDMAENSFRSPKGFFSKSRKQVTDIQNSSKSPGLRRSRSLSSAAFLGNEPAQNNFSSSRYQSRSPCSPASSVPHQQCGQSSCCRTLTPERYEAKPVEVPAVQNTHGLERPCSAGSSRIHRDSSGSSSTCSSNISSKVLDRYIDGEQEERGRQKNNSSSRNLCGNGNGGGFRPPRAQFTAPNSPRAHSFREAKSSRFRLSSRDWAENGFGHESPRRLAKNVVERLSQSHGIQPTHEKEFDHDMPVTIEDIYGRSDLVAQKNYHGDDYSSLQKLIYGDNCDGLNTDETQEDMDVELERRLKEAEENVMLLSEELEQESFLRDSGYNVQQTVRNLTDQRIDLALEVSNLLQLRIAERASAKKELRLAKGELESRTKKLEKEKNELQSALERELDRRSTDWSLKLEKYQLEEQRLRERVRELAEQNVSLQREVSSFNARETESRSVITNSEQQLKGLTTRLGETREENQDLKNNLSDLQEKYRAAEENRVCIHKSFEEKDKECKDLRKSITRLLRTCKEQEKTIDGLREGFGEEFRKNQSLERVDKHISKLQMEQIRLTGVELALRRELESHRLEVDSLRHENIHLLDRLRGSGKENGALTFKLDKEMWTRICCLQNQGLSILNESSQLCSNLLEFAKGKAGQLPESKNGLDGQFFVESEMKVQGLKRGTESLARSLHTMSALLHEKSSLASSKYPSKCINADGSPNDQNPEDDMRYELKAEILLTSLLREKLYSKELEVEQLQAELAAAVRGNDILRCEVQNAMDNLSCVTHKLKDLELQMLKKDENISQLQSDLQASTKELTVTRGILPKISEERDMMWEEVKKYNEKNMLLNSEINMLKKKIETLDEDILLKEGQITILKDTIANKPFDLLSSPDSMRGFLLQ >ONI24069 pep chromosome:Prunus_persica_NCBIv2:G2:24975709:24981885:-1 gene:PRUPE_2G222100 transcript:ONI24069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFRSSASSNGNNNVSPSTDKQIYWENPSEDGNQVGDMAENSFRSPKGFFSKSRKQVTDIQNSSKSPGLRRSRSLSSAAFLGNEPAQNNFSSSRYQSRSPCSPASSVPHQQCGQSSCCRTLTPERYEAKPVEVPAVQNTHGLERPCSAGSSRIHRDSSGSSSTCSSNISSKVLDRYIDGEQEERGRQKNNSSSRNLCGNGNGGGFRPPRAQFTAPNSPRAHSFREAKSSRFRLSSRDWAENGFGHESPRRLAKNVVERLSQSHGIQPTHEKEFDHDMPVTIEDIYGRSDLVAQKNYHGDDYSSLQKLIYGDNCDGLNTDETQEDMDVELERRLKEAEENVMLLSEELEQESFLRDSGYNVQQTVRNLTDQRIDLALEVSNLLQLRIAERASAKKELRLAKGELESRTKKLEKEKNELQSALERELDRRSTDWSLKLEKYQLEEQRLRERVRELAEQNVSLQREVSSFNARETESRSVITNSEQQLKGLTTRLGETREENQDLKNNLSDLQEKYRAAEENRVCIHKSFEEKDKECKDLRKSITRLLRTCKEQEKTIDGLREGFGEEFRKNQSLERVDKHISKLQMEQIRLTGVELALRRELESHRLEVDSLRHENIHLLDRLRGSGKENGALTFKLDKEMWTRICCLQNQGLSILNESSQLCSNLLEFAKGKAGQLPESKNGLDGQFFVESEMKVQGLKRGTESLARSLHTMSALLHEKSSLASSKYPSKCINADGSPNDQNPEDDMRYELKAEILLTSLLREKLYSKELEVEQLQAELAAAVRGNDILRCEVQNAMDNLSCVTHKLKDLELQMLKKDENISQLQSDLQASTKELTVTRGILPKISEERDMMWEEVKKYNEKNMLLNSEINMLKKKIETLDEDILLKEGQITILKDTIANKPFDLLSSPDSMRGFLLQ >ONI24067 pep chromosome:Prunus_persica_NCBIv2:G2:24975709:24981885:-1 gene:PRUPE_2G222100 transcript:ONI24067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFRSSASSNGNNNVSPSTDKQIYWENPSEDGNQVGDMAENSFRSPKGFFSKSRKQVTDIQNSSKSPGLRRSRSLSSAAFLGNEPAQNNFSSSRYQSRSPCSPASSVPHQQCGQSSCCRTLTPERYEAKPVEVPAVQNTHGLERPCSAGSSRIHRDSSGSSSTCSSNISSKVLDRYIDGEQEERGRQKNNSSSRNLCGNGNGGGFRPPRAQFTAPNSPRAHSFREAKSSRFRLSSRDWAENGFGHESPRRLAKNVVERLSQSHGIQPTHEKEFDHDMPVTIEDIYGRSDLVAQKNYHGDDYSSLQKLIYGDNCDGLNTDETQEDMDVELERRLKEAEENVMLLSEELEQESFLRDSGYNVQQTVRNLTDQRIDLALEVSNLLQLRIAERASAKKELRLAKGELESRTKKLEKEKNELQSALERELDRRSTDWSLKLEKYQLEEQRLRERVRELAEQNVSLQREVSSFNARETESRSVITNSEQQLKGLTTRLGETREENQDLKNNLSDLQEKYRAAEENRVCIHKSFEEKDKECKDLRKSITRLLRTCKEQEKTIDGLREGFGEEFRKNQSLERVDKHISKLQMEQIRLTGVELALRRELESHRLEVDSLRHENIHLLDRLRGSGKENGALTFKLDKEMWTRICCLQNQGLSILNESSQLCSNLLEFAKGKAGQLPESKNGLDGQFFVESEMKVQGLKRGTESLARSLHTMSALLHEKSSLASSKYPSKCINADGSPNDQNPEDDMRYELKAEILLTSLLREKLYSKELEVEQLQAELAAAVRGNDILRCEVQNAMDNLSCVTHKLKDLELQMLKKDENISQLQSDLQASTKELTVTRGILPKISEERDMMWEEVKKYNEKNMLLNSEINMLKKKIETLDEDILLKEGQITILKDTIANKPFDLLSSPDSMRGFLLQ >ONI21479 pep chromosome:Prunus_persica_NCBIv2:G2:9857898:9862311:-1 gene:PRUPE_2G069000 transcript:ONI21479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTANQQGHSSSSSSSSSVTQDPWTYDVFVSFRGKDTRTNFTDHLYKVLSNKGIYTFIDRELIGGEKISPALLKAIEESRIFLICLDELVEILRCKSSTNQIGWPIFYKVDPSHVRNQTNSFGDAFADMNCRFKDNTEKVLRWRSALREAASLKGYTCKAGEAVEMLLCAGGNGRRIVGIWGTSGIGKTTIAKAIYNAIAHKFEGCCFLADVRENSMPHGGLIQLQETLLQEILGGNKLKIVSADKGISIIQKLLRHKRILLILDDVNQLEQLDNLAGVGWFGEGSRVIITTQDSGLLKCYGIELIYEVHKLYDNQALELFSLNAFGRNEPPNDYLERAKRAIAYAQGLPLALTLLGSHLRNKRYTSLASYIRWIWMHDLLEKMGKDIIHEECPIEPGKCRKIKRIMVELPKPNEITLNATSFSRMDNLEIFINRNAILSGHIKYLPNELRFLDWGRCQLRSLPSKFYAMHLAVFNMPCGSMRKLEKFKYMPKLKSLNLSGCQFLKKISDLSIIPNIKSLNLSKCTSLVEVNDSVGLLDKLVELNLDGCFKLTRFATALRLKSLERLCLRNCGRLESFPEIEDKLESLVILNIGESGIRGLSSSAAYLTGITFMSAGYCDNLTFTSLRSIYGLQRLTTLGDKVTSDSNISLALPKLVFFNLQGCNLSESNFVLPLDCWFTFTFLDPSGNNFVRCPGHIRKFANLWFVRLNGCKGLQEIPELLRPSVDRVLLPNCTSLENFPKLPQGVRWLDLVNCHRLGGYEIDRIFSACANRVKIT >ONI22498 pep chromosome:Prunus_persica_NCBIv2:G2:19110818:19111829:-1 gene:PRUPE_2G133200 transcript:ONI22498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLAYGLWRVWKCRNAMVFQGTVITQREQQIYWLCMWGSFGMLTPRVQHKWEKPRPGVVKVNCDGTWNAQTMKGGYGWVIRDFVGWMLQAGGKRDRRYGSALIAEVDAIRAAVVACQQGGFSHIIVESDSLSAIQMVKGDRVVDAEVEGLLFDIHAVTRELQEVTFTYAPRSCNMAAHEVAAFACRNGGLFWWDFIPPKWLFNTLACEANVTYGTINCCNPETHTYTQCTENLELLQHIVALHLRLHLILGIAERLYSVNRIFHRHGCTI >ONI25504 pep chromosome:Prunus_persica_NCBIv2:G2:29200496:29201920:1 gene:PRUPE_2G307200 transcript:ONI25504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSQNATGGSGGNGVLVPQANDTAGATMVDDPKQNLNEVINSIQKTLGLIHQLYLTVSSFNDASQLPLLQRLNALVIELDNMAKLSEKCNIQVPMEVFNLIDDGKNPDEFTRDVINSCIAKNQITKGKTDTFKSLRKHLLEELEQTFPDEVESYREIRAASAAETKRLAQAQSILQNGDVKVKPEP >ONI25945 pep chromosome:Prunus_persica_NCBIv2:G2:30187569:30191789:-1 gene:PRUPE_2G328200 transcript:ONI25945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSKSAIISETPKKASPATPRVSKLNRGVAKSDSDSPSPLQNSRLSLDRSPKITSVNSKPTVDRRSPKITTPPEKQPTRVAKGSEIQAQLILLQEDLKKAKEQILLIEKEKAKAIDELKDAQKVADEAHEKLREALVAQKRAEENSEIEKFRAVELEQAGIEASQRKEEEWEKELEAVRNQHALDVATLLSTTQELQRLKQELSMTCDAKNQALIHADDATKIAEIHAKKVEILSAELTQLKALLDSKLETEASENSQMVHNLKSEVDSLKQELEKAKVYEERLIEKEASIEQLSVELESAKMAESYARSIVEEWKNRVEELEMQVEEANKLERSASESLDSVMKQLEGNSELLHDAESEISALKEKVSLLEITIGRHRGDLEDSERCLDMAKEENYEMGKMIESLKSELETLKEEKIQALSNEKLAASSVQTLLEEKNKLINELENSRDEEEKSKKAMESLASALHEVSGEAREAKEKLLTSQAEHDNNESQLEDLKMVLKGTNEKYEAMLDDAKHEIDVLTSNLEQCKTEFHNAKADWEQKELHLVNCVKHSEEENSSREKEIIRLQNLLKETNEEAWVLKDEEAQLKESLKEVESEVICLQEALAEAKAENMKLKESVLDKENEFQCIVQENEELRDKEAASLTKVEELSKLLDEAVANKQAEENGELTDSEKDYALLPKVVEFSEENGHGREEKPKMELQPNQCEEPKRENSWQENNNVMNDKAEQVDFAKVDTLNGKPKEDESKEKEDDSVEVEYKMWESCKIEKKEFSPEREQEQESFEEEVDSKVGVGEEGLDQINGVTSTENIDDGRISPSKQQQQQKKKKPLLRKFGSLLKKKSTSNQK >ONI25946 pep chromosome:Prunus_persica_NCBIv2:G2:30187569:30191419:-1 gene:PRUPE_2G328200 transcript:ONI25946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCDAKNQALIHADDATKIAEIHAKKVEILSAELTQLKALLDSKLETEASENSQMVHNLKSEVDSLKQELEKAKVYEERLIEKEASIEQLSVELESAKMAESYARSIVEEWKNRVEELEMQVEEANKLERSASESLDSVMKQLEGNSELLHDAESEISALKEKVSLLEITIGRHRGDLEDSERCLDMAKEENYEMGKMIESLKSELETLKEEKIQALSNEKLAASSVQTLLEEKNKLINELENSRDEEEKSKKAMESLASALHEVSGEAREAKEKLLTSQAEHDNNESQLEDLKMVLKGTNEKYEAMLDDAKHEIDVLTSNLEQCKTEFHNAKADWEQKELHLVNCVKHSEEENSSREKEIIRLQNLLKETNEEAWVLKDEEAQLKESLKEVESEVICLQEALAEAKAENMKLKESVLDKENEFQCIVQENEELRDKEAASLTKVEELSKLLDEAVANKQAEENGELTDSEKDYALLPKVVEFSEENGHGREEKPKMELQPNQCEEPKRENSWQENNNVMNDKAEQVDFAKVDTLNGKPKEDESKEKEDDSVEVEYKMWESCKIEKKEFSPEREQEQESFEEEVDSKVGVGEEGLDQINGVTSTENIDDGRISPSKQQQQQKKKKPLLRKFGSLLKKKSTSNQK >ONI26021 pep chromosome:Prunus_persica_NCBIv2:G2:30319686:30322055:1 gene:PRUPE_2G330000 transcript:ONI26021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTMDKQVEANPSSSSSPSPSPSSSPGRGREEGLKREEEDHEGEGGGGASLYFEDRARAAQYPTFIGKHKLAASISHLHNQIDIIQKELTQLETAGESSIVCKELIASVESISDPLLPSTKGPADVQWDRWFRGAHNSRSHNRWI >ONI23305 pep chromosome:Prunus_persica_NCBIv2:G2:22423485:22427190:-1 gene:PRUPE_2G181300 transcript:ONI23305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRARKGPKFAVMKKVVTSKAIKSYKEEVLNPNKKDLTKEKLPRNVPNVSSALFFKYNTALGPPYRVLVDTNFINFSIQNKLDLEKAMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCLVERVTQHKCYIVATCDRDLKRRIRKIPGVPIMYITQHKYSIERLPEATIGGAPRF >ONI24941 pep chromosome:Prunus_persica_NCBIv2:G2:27475517:27478662:1 gene:PRUPE_2G270500 transcript:ONI24941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTCTKSVEDVTVQGETSLHLAVKRSQFEAIKALVELVREMNKVNILNMKDNNGNSVLHLAGWKKKQHQNRRDIQLLHQFLAENPLLLHTLALASAKNPLHVASIAGHVDFVKEIVRIKPDFAKEMSNDGFSPIHIASAKGYLEIVRELLKVDPRLSQLKGRDEWTPLHYAASRGRVDVIREMALSCQESVADVTIQGETALNLAVVEWLVGNGNIAGALEVNNVNHSGLTPLDLLLIFPSEASDREMEAILRGTGAMRAGDIVHSGVPSHNHPHAPMESDTNPLQQPDNLVEFFKFKKGRDSPSDARDALLVVAVLVATTTYQVAANPPGGVWQDAVLNKNGTTSNTSNASTHYAGTDILGSYYPAYFIVFAVFNSIGFSMSLHMIKILTTNFPLQLEHQ >ONI25060 pep chromosome:Prunus_persica_NCBIv2:G2:27868689:27872881:1 gene:PRUPE_2G278000 transcript:ONI25060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFGLSLFTLFSLTHIALLSTLCSAADPIVSYDFRVSYITASPLGVPQRVIAVNEKFPGPPINATTNNNVVVNVHNELDEHLLLTWSGIQMRRNSWQDGVLGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLNFQRAAGGFGSFHINNREIIAIPFNKPDGDIFITIGDWYTRNHTALRTALDAGKDLGMPDGVLINGKGPYQYNNTLVPDGIQFETINVDPGKTYRLRVHNVGISTSLNFRIQSHNLLLAETEGHYTMQQNYTNFDIHVGQSYSFLITTDQNASSDYYIVASARFVNESLWQRVTGVAVLHYSNSKGPASGTLPDPPNDVYDKTWSMNQALSIRQNGSASGARPNPQGSFHYGSINITDTYVLKTVPPQIINGKLRATLNGISFSNLTTPIRLADQHKVRGAYKLDFPNKPLNRSLRNDISVINATYKGFIEIIFQNNDTTVQSFHLDGYSFFLVGMDYGEWTEDSRNRYNKWDGISRCTAQVFSGAWTAVLVSLDNPGAWNLRSQNLDRWYLGQETYLRIVNPEENGETEFSVPDNVLYCGALAYMQKEQKHSSATPISGGNIKLFSLLMAFFALVLYF >ONI25061 pep chromosome:Prunus_persica_NCBIv2:G2:27868694:27872870:1 gene:PRUPE_2G278000 transcript:ONI25061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFGLSLFTLFSLTHIALLSTLCSAADPIVSYDFRVSYITASPLGVPQRVIAVNEKFPGPPINATTNNNVVVNVHNELDEHLLLTWSGIQMRRNSWQDGVLGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLNFQRAAGGFGSFHINNREIIAIPFNKPDGDIFITIGDWYTRNHTALRTALDAGKDLGMPDGVLINGKGPYQYNNTLVPDGIQFETINVDPGKTYRLRVHNVGISTSLNFRIQSHNLLLAETEGHYTMQQNYTNFDIHVGQSYSFLITTDQNASSDYYIVASARFVNESLWQRVTGVAVLHYSNSKGPASGTLPDPPNDVYDKTWSMNQALSIRQNGSASGARPNPQGSFHYGSINITDTYVLKTVPPQIINGKLRATLNGISFSNLTTPIRLADQHKVRGAYKLDFPNKPLNRSLRNDISVINATYKGFIEIIFQNNDTTVQSFHLDGYSFFLVGMDYGEWTEDSRNRYNKWDGISRCTAQVFSGAWTAVLVSLDNPGAWNLRSQNLDRWYLGQETYLRIVNPEENGETEFSVPDNVLYCGALAYMQKEQKHSSATPISGGNIKLFSLLMAFFALVLYF >ONI23296 pep chromosome:Prunus_persica_NCBIv2:G2:22397066:22398613:1 gene:PRUPE_2G180800 transcript:ONI23296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSTNTSPPIPPPPPSFSKIPNSKPNKNSTMMSTSPNPDASVFASIMELHFKELDLILEQLLLNKEQMLPILQKCFSLTFLKEGLAEQIAVHKRDSADRINPGPLDSALLKDCVAQVLVPSNKRFIKFVHCYLLEAGKEKQAEEYLQKVSPASRVQHLDSINIWNYLTLPCQVFNKVMEDMGLGENPSNFFMPPAAPEVFPTPAPGWRPGQAMADG >ONI23297 pep chromosome:Prunus_persica_NCBIv2:G2:22397066:22398613:1 gene:PRUPE_2G180800 transcript:ONI23297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSTNTSPPIPPPPPSFSKIPNSKPNKNSTMMSTSPNPDASVFASIMELHFKELDLILEQLLLNKEQMLPILQKCFSLTFLKEGLAEQIAVHKRDSADRINPGPLDSALLKDCVAQVLVPSNKRFIKFVHCYLLEAGKEKQAEEYLQKVFNKVMEDMGLGENPSNFFMPPAAPEVFPTPAPGWRPGQAMADG >ONI25755 pep chromosome:Prunus_persica_NCBIv2:G2:29777661:29778510:1 gene:PRUPE_2G318400 transcript:ONI25755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQCLLLLLLLLLLGYISLTKTTTNQRNSEVGLEKPSNMSYQNRRWSKTTPLRC >ONI21802 pep chromosome:Prunus_persica_NCBIv2:G2:14261801:14267463:-1 gene:PRUPE_2G090100 transcript:ONI21802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDAVRKKQNKTNRKKLRKNDQSSSAVSARVASIIAAKKRRHSGKRRKCQGMCFSLPTLDDPFNDRNGAKTFERKTTKKEMLPRNHEKVFFNETSKETLRNSEKVDNPGQEKETVTSLKDKLKKSLTSINSTAQKSQIDPGRKKTQLNGNGGVYGHHGQVSENLDCPSKYLIQCLNSIENSLRCDGTYNNEEDKPLFVSTWGVEFWKCYSARKDILETSGTSSTIEQIAWMVSSAADSISRKDEEDLSVTSPFLLFLVPSQVKATQVRSVCRPLKVLGIHTVSIHPGASLDHQIEGLKSSEPEFMIATPERLSELLSLKAVDLSGVSLLAIQVLDQAYGGRLRPQASKVLYIVGKDNKHHKLVNALKFKGYSISPDSVFSEVGNSVESKGRARPAVSMIDIDQIGTTELGEYEVVIIPDMTLSIEGYVQILTRMARYTVNGVLHSLFTREDAELAGPLTKILEQCGQAVPDALRKMSFS >ONI21805 pep chromosome:Prunus_persica_NCBIv2:G2:14262426:14267459:-1 gene:PRUPE_2G090100 transcript:ONI21805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDAVRKKQNKTNRKKLRKNDQSSSAVSARVASIIAAKKRRHSGKRRKCQGMCFSLPTLDDPFNDRNGAKTFERKTTKKEMLPRNHEKVFFNETSKETLRNSEKVDNPGQEKETVTSLKDKLKKSLTSINSTAQKSQIDPGRKKTQLNGNGGVYGHHGQVSENLDCPSKYLIQCLNSIENSLRCDGTYNNEEDKPLFVSTWGVEFWKCYSARKDILETSGTSSTIEQIAWMVSSAADSISRKDEEDLSVTSPFLLFLVPSQVKATQVRSVCRPLKVLGIHTVSIHPGASLDHQIEGLKSSEPEFMIATPERLSELLSLKAVDLSGVSLLVVDGLESFYKQGCFDKINSIRQSMSGKTHTVVFSDCYRHACVRGLQNLLMGSVHRLSLNNSVTGQSACIVQSVNICLMKEKLPKAIQVLDQAYGGRLRPQASKVLYIVGKDNKHHKLVNALKFKGYSISPDSVFSEVGNRV >ONI21803 pep chromosome:Prunus_persica_NCBIv2:G2:14261801:14267459:-1 gene:PRUPE_2G090100 transcript:ONI21803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDAVRKKQNKTNRKKLRKNDQSSSAVSARVASIIAAKKRRHSGKRRKCQGMCFSLPTLDDPFNDRNGAKTFERKTTKKEMLPRNHEKVFFNETSKETLRNSEKVDNPGQEKETVTSLKDKLKKSLTSINSTAQKSQIDPGRKKTQLNGNGGVYGHHGQVSENLDCPSKYLIQCLNSIENSLRCDGTYNNEEDKPLFVSTWGVEFWKCYSARKDILETSGTSSTIEQIAWMVSSAADSISRKDEEDLSVTSPFLLFLVPSQVKATQVRSVCRPLKVLGIHTVSIHPGASLDHQIEGLKSSEPEFMIATPERLSELLSLKAVDLSGVSLLVVDGLESFYKQGCFDKINSIRQSMSGKTHTVVFSDCYRHACVRGLQNLLMGSVHRLSLNNSVTGQSACIVQSVNICLMKEKLPKAIQVLDQAYGGRLRPQASKVLYIVGKDNKHHKLVNALKFKGYSISPDSVFSEVGNSVESKGRARPAVSMIDIDQIGTTELGEYEVVIIPDMTLSIEGYVQILTRMARYTVNGVLHSLFTREDAELAGPLTKILEQCGQAVPDALRKMSFS >ONI21806 pep chromosome:Prunus_persica_NCBIv2:G2:14262685:14267269:-1 gene:PRUPE_2G090100 transcript:ONI21806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDAVRKKQNKTNRKKLRKNDQSSSAVSARVASIIAAKKRRHSGKRRKCQGMCFSLPTLDDPFNDRNGAKTFERKTTKKEMLPRNHEKVFFNETSKETLRNSEKVDNPGQEKETVTSLKDKLKKSLTSINSTAQKSQIDPGRKKTQLNGNGGVYGHHGQVSENLDCPSKYLIQCLNSIENSLRCDGTYNNEEDKPLFVSTWGVEFWKCYSARKDILETSGTSSTIEQIAWMVSSAADSISRKDEEDLSVTSPFLLFLVPSQVKATQVRSVCRPLKVLGIHTVSIHPGASLDHQIEGLKSSEPEFMIATPERLSELLSLKAVDLSGVSLLVVDGLESFYKQGCFDKINSIRQSMSGKTHTVVFSDCYRHACVRGLQNLLMGSVHRLSLNNSVTGQSACIVQSVNICLMKEKLPKAIQVLDQAYGGRLRPQASKVLYIVGKDNKHHKLVNALKFKGYSISPDSVFSEVGNRLMFILFILFMDTSDDFHFIFS >ONI21804 pep chromosome:Prunus_persica_NCBIv2:G2:14262546:14267459:-1 gene:PRUPE_2G090100 transcript:ONI21804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDAVRKKQNKTNRKKLRKNDQSSSAVSARVASIIAAKKRRHSGKRRKCQGMCFSLPTLDDPFNDRNGAKTFERKTTKKEMLPRNHEKVFFNETSKETLRNSEKVDNPGQEKETVTSLKDKLKKSLTSINSTAQKSQIDPGRKKTQLNGNGGVYGHHGQVSENLDCPSKYLIQCLNSIENSLRCDGTYNNEEDKPLFVSTWGVEFWKCYSARKDILETSGTSSTIEQIAWMVSSAADSISRKDEEDLSVTSPFLLFLVPSQVKATQVRSVCRPLKVLGIHTVSIHPGASLDHQIEGLKSSEPEFMIATPERLSELLSLKAVDLSGVSLLAIQVLDQAYGGRLRPQASKVLYIVGKDNKHHKLVNALKFKGYSISPDSVFSEVGNRV >ONI25575 pep chromosome:Prunus_persica_NCBIv2:G2:29360223:29362031:1 gene:PRUPE_2G310100 transcript:ONI25575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESIECVSSSDGLDEDEIHQHHNTLHPHPHPHQHHHDFSKPRSNATNNTTIPGPTAIAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESVCNFRPYNCPYAGSECSVVGDIPFLVSHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVSVIWHLG >ONI25574 pep chromosome:Prunus_persica_NCBIv2:G2:29360020:29362934:1 gene:PRUPE_2G310100 transcript:ONI25574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESIECVSSSDGLDEDEIHQHHNTLHPHPHPHQHHHDFSKPRSNATNNTTIPGPTAIAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESVCNFRPYNCPYAGSECSVVGDIPFLVSHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDAGVCIPNLCS >ONI25576 pep chromosome:Prunus_persica_NCBIv2:G2:29360223:29362856:1 gene:PRUPE_2G310100 transcript:ONI25576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMRMRSINTITLFTHILILINTTMTFPSQEAMPPTTPPFPVPPPLLRRPASTSCLNAPSAPIPCTHQSIRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESVCNFRPYNCPYAGSECSVVGDIPFLVSHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDAGVCIPNLCS >ONI20270 pep chromosome:Prunus_persica_NCBIv2:G2:559971:561191:-1 gene:PRUPE_2G005700 transcript:ONI20270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFLAMFLCLLLASTPKAHASVFDVTSATYGAKPGSDVSTALAKAWSDACASPSASKVVIPSGTYNLKEATFRGPCKAPIEMQVQGILQAPADASQLTRPDTWVGFQYIDMLTLSGGGTFDGQGALSWNQNDCHKNKNCKPLPVNLRFEFLTNSKVQDITSLNSKFFHMHVFRCNHTTFQQLTITAPDESRNTDGIHIGASTAINITHSKIGTGDDCISIGDDSHEITVTDVTCGPGHGISIGSLGKYKEEKDVTGIIVKNCTLANTENGVRIKTFPDSPSPSTASGIHYEDIIMVNVSNPILIDQLYCPYTQCEQKPPSKVKINNVSFKNIKGSSFTPLAVKLVCTTGIPCENVELTDIDLTYGGDKGPLTSMCSNVKPTITGVTKALGCATSSLAPLPLSKK >ONI22956 pep chromosome:Prunus_persica_NCBIv2:G2:21235538:21236542:-1 gene:PRUPE_2G160300 transcript:ONI22956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEINSSLATQDVDERSSHFKDFQGKEWGRGDTSAHVVGEFWWRQLQSQQFRMQRLVVLTTLID >ONI26026 pep chromosome:Prunus_persica_NCBIv2:G2:30327859:30338827:1 gene:PRUPE_2G330300 transcript:ONI26026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNLNKNVPFEENETLEPINGNGNREAAEQSKILQNDEDDDKDIAKKKKKKSKSKKKKAPQEQTNPQSMQAQTDPPSIPVVDLFPSGEFLEGEIQQYKDDNLWRSTSEEKRELERVEKPMYNSVRRAAEVHRQVRKYIKGILRPGMLMTDLCETLENTVRKLISENGLEAGIAFPTGCSLNWVAAHWTPNTGDKTVLQYDDVMKLDFGTHVDGCIVDCAFTVAFNPMFDPLLEASREATNMGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVYQVKSIRNLNGHSIGRYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFEVGHIPLRMPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >ONI26025 pep chromosome:Prunus_persica_NCBIv2:G2:30328018:30338827:1 gene:PRUPE_2G330300 transcript:ONI26025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNLNKNVPFEENETLEPINGNGNREAAEQSKILQNDEDDDKDIAKKKKKKSKSKKKKAPQEQTNPQSMQAQTDPPSIPVVDLFPSGEFLEGEIQQYKDDNLWRSTSEEKRELERVEKPMYNSVRRAAEVHRQVRKYIKGILRPGMLMTDLCETLENTVRKLISENGLEAGIAFPTGCSLNWVAAHWTPNTGDKTVLQYDDVMKLDFGTHVDGCIVDCAFTVAFNPMFDPLLEASREATNMGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVYQVKSIRNLNGHSIGRYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFEVGHIPLRMPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >ONI26027 pep chromosome:Prunus_persica_NCBIv2:G2:30328330:30338827:1 gene:PRUPE_2G330300 transcript:ONI26027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNLNKNVPFEENETLEPINGNGNREAAEQSKILQNDEDDDKDIAKKKKKKSKSKKKKAPQEQTNPQSMQAQTDPPSIPVVDLFPSGEFLEGEIQQYKDDNLWRSTSEEKRELERVEKPMYNSVRRAAEVHRQVRKYIKGILRPGMLMTDLCETLENTVRKLISENGLEAGIAFPTGCSLNWVAAHWTPNTGDKTVLQYDDVMKLDFGTHVDGCIVDCAFTVAFNPMFDPLLEASREATNMGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVYQVKSIRNLNGHSIGRYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFEVGHIPLRMPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >ONI26024 pep chromosome:Prunus_persica_NCBIv2:G2:30327190:30339027:1 gene:PRUPE_2G330300 transcript:ONI26024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNLNKNVPFEENETLEPINGNGNREAAEQSKILQNDEDDDKDIAKKKKKKSKSKKKKAPQEQTNPQSMQAQTDPPSIPVVDLFPSGEFLEGEIQQYKDDNLWRSTSEEKRELERVEKPMYNSVRRAAEVHRQVRKYIKGILRPGMLMTDLCETLENTVRKLISENGLEAGIAFPTGCSLNWVAAHWTPNTGDKTVLQYDDVMKLDFGTHVDGCIVDCAFTVAFNPMFDPLLEASREATNMGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVYQVKSIRNLNGHSIGRYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFEVGHIPLRMPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >ONI25283 pep chromosome:Prunus_persica_NCBIv2:G2:28566498:28572829:-1 gene:PRUPE_2G293300 transcript:ONI25283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKQQVISRFFAPKPKTTNPSSSSSSSFPPSSSSSANPHHPPTPPPKITATVNFSPSKRTLLSSHLTSSSPKPSKLPKLSPHTHNPIPTAPNPSLHQKFLQKLLEPSSDVPEPSPSSNPPAKFTPLEQQVVDLKKRYPDVLLMVEVGYKYRFFGQDAEIAARVLGIYAHMDHNFLTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGSNRSGPFGRGLSALYTKATLEAAEDVGGKEEGCGGDSNYLACVVDKSVALENVDGGVDSGIEVKIGIVAVEASTGDVVYGEFNDNCMRSGLEAAVLSLSPAELLIGDPLSKQTEKILLAFSGPASNVRVEHVSRDHFNEGGAFAEVMSLYENMDGDDLTDHPKIDTDVKEQSTIRLGIEGIMNMPNLAVQALALTVRHLKQFGLERILHLGASFRPLSSSMEMTLSANALQQLEVLKNNADGSESGSLLQYMNQTLTIFGSRLLRHWVTHPLCDGNLICARLDAVSEIAESMGSSKAQNIEQLDAEDSFVTNWKPELNYILSSVLTTLGRSTDIQRGITRIFHRTATPSEFIAVIQAILYAGKQLQQLQQLQIEEEGSKENLRGKTVRSDLLRKLICTASSSTVIGNAARLLSTLNKEAADKQDLPNLIISDGQFPEVAEARMEVQMAKKKLDSLISLYRKQLGMRKLEFISVSGTTHLIELPLDVKVPSNWVKINSTKKTVRYHPPDVLTALDHLALANEKLTVTCRAAWDNFLSGFGKYYAEFQAAVQAVASLDCLHSLAVLSRNKNYVRPVIVYDDEPVQIHISSGRHPVLETTLQDNFVPNDTDLQADREYCQIITGPNMGGKSCYIRQVALIAIMAQVGSFVPASSAKLHVLDGIFTRMGASDSIHQGRSTFLEELSEASHILHNCTARSLVIIDELGRGTSTHDGVAIAYATLHNLLQQKKCMVLFVTHYPKIAYIRTEFPGSVEAYHVSYLTSNRDMDTVGMQSENEDVTYLYKLVPGVSERSFGFKVAELAQLPSSCIRQATIMAARLEAVVNSRARNRHGKNWLLKSLVTDQKKEAQDEMLESPECLREGWSPVLEETNGGAYQKFFINLKAAIIDVDDPVKSCQYLNHARSIARELISR >ONI22635 pep chromosome:Prunus_persica_NCBIv2:G2:19765590:19766381:-1 gene:PRUPE_2G141400 transcript:ONI22635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDNESITQLKPPMRFMLPHEIFHVTKPAHQWYEHRDNHDYDDDYYDDDDDEDYDGDGDEDDSVCSLLEDEIDQHEFDSPESDDYTLSLDGSNPTLHQDHDQDDDYDEDYLTEPLSSPPRSASFFDCNMCMKMAREPVVTSCGHLYCWPCLYSLLSQRECLVCKSKVFDSLITPIYNSKPQLRLQCATKSKGAFSSTLKISINLAQIVFWLFIMQSFM >ONI23710 pep chromosome:Prunus_persica_NCBIv2:G2:23917016:23919967:-1 gene:PRUPE_2G203400 transcript:ONI23710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNDKRKRSESEGGDEQPIEKKREILPSMIKNKEKRSAIHAKLKQQKKLEKRKKAKARDAAEKRALELGEELPAKKIPKTIENTREFDETVCKPDDEELFAGNDADEFSSILKRERTPKILITTCRFHSSRGPDLIKELLSVIPNAHYYKRGTYDLKKIVEFANKKDFTSIIVVHTNRREPDAFVIIGLPTGPTAHFKLSNLVLRKDIKNHGNPTSHEPELVLNNFTTRLGHRIGRSIQSLFPQEPNFPGRRVVTFHNQRDFIFFRHHRYIFEAKETKQTESKGKKTKDAKGESEPQGKVIARLQECGPRFTLKLLSLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >ONI23185 pep chromosome:Prunus_persica_NCBIv2:G2:22001560:22004348:1 gene:PRUPE_2G173700 transcript:ONI23185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIDLITRVDAICKKYDKYDIDKQRELNNVSGDDGFARLYGAVQADLETALQKSEMVSTEKNRATAVAMNAEIRRTKARLLEELPKLRRLAPKKVKGLSKEDLVARSDLVSVLKERIESIPDGSTSGAKQTGGWTDSAPYAGIKIDSTSDGRYDTEYFQHTEESDRFRQEFEMRRMKQDQGLDVIAEGLDTLKNMAGDLNEEIDRQVPLMDEIDDKVDRANADLKNTNVRLKDTIIKLRSSRNFCIDITLLILILGIAAYLYNVLK >ONI24585 pep chromosome:Prunus_persica_NCBIv2:G2:26410383:26413529:-1 gene:PRUPE_2G248300 transcript:ONI24585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHGPSFCAFWSIHLHVMTLLFFMNFLSPSTAANPFGNGTDRLALLKFKESILTDTHGFLNSWNDSLHFCNWYGVTCSKQHQRVAALNLEGSDLHGTIFPFLGSSTFETTACLHLTLSDNMLEGEIPVNLSYCSELSIVSFRSNRLTGKIPSELGSLTKLLTLNLGTNNLTGGIPSSLGNLSSITQLSLAYNNLADNEFKSSIPPNIGLNMPNLQQIGIGGNEFSGNIPASFSNASQFRILDITENNFLGQVPESFGNLADLQWLGLNNNNLGNYSDNDLGFITSLTNCSNLEILDMSLNNFGGVLPNSVANLSTQLTKLYLGVNQISGTIPATLENLNNLIVLGMQANLFTGSIPTSFGKFQQLQILALDENRLSGQIPSSIGNLSETASTLLISLSVGVGKLKNINAPDVSENNLTGDIPENMGDCLSLEFLYLQGNLFQGIIPSSLASLNSLRRQISFNNLEGEVIKEGAFGNTSTISLVGNTKLCGGVLELQLPACPIKGPEHRKIHSFNLEFIIALVVGCSLLFSFLLALYWRRKPEKKSPSAVSSINFLSKVSYETLYKAASGFIPSTLIGSGSFVCVYKGVLDKEGNKRASKSFMAECNAVRNIQHRNLVKILTCCSSVDYNGNEFKALVFEYMSNGSLEELNIAVDVASALYNLHDHCEPPIIHCDLKPSHVLLDNDVIAHVGDFGLARLISTATHSSENQSSTVGIKGTIGYVAPEYAIGGEPSRQGDIYSYGILVLEMFTGRRPTEEMFKDGFSLHNFVKMAMPERVMQILDPALLATVEEKASPAAATENEVNYISGYNIEIKAEEENINSEKLGKMSPYVSKCIVPILKIGLACSEESPKYRMNMQDVTRDLHHIQNCFIGVDIR >ONI26013 pep chromosome:Prunus_persica_NCBIv2:G2:30277912:30289573:1 gene:PRUPE_2G329600 transcript:ONI26013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELSPSPQSKDSAASLWRPSQLVFGPYSTQTQSEAPNSKSQTLRVVVTKPFVARLTKDIVETYHICNPQFKYSEELNPKRYLTSPSIGVLNDGYDNVNSDLILTVNFVLVNLDTQRRYVVKDVLGHGTFGQVAKCWVPETNSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDHFVYHRHLCICFELLDTNLYELIKINHFRGLSLTIVQLFSKQILHGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTSIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIEILGGQPPDCVLKEAKNTSKFFKCIRSVHNVENGELSASGKSAYQALTEEEYEARELKRPSIGKEYFNRMNLEEIVTNYPYRKNLPKEDVVKESQIRLALVDFLKGLVEFDPAKRWSPFQASKHPFVTGEPFTRPYKPPLETPRMPVAQNIRVDHHPGGGHWFAAGLSPNIPGRNRVSMHSSPHFQVVPYAHANSYGSVGSHGSYNDGTGLGSSYGSYGDTSNVFAYYSPVGPSGMNMHAQGNVPMLGSSPDARRRIIQYSHGNGLGMSPSAGSFAPLPLGTSPSQFTPPSSYSQVSAGSPGHYGPTSPARGSCHGSPLGKMAAVSQFNRRKSWGYPGGSQTQESSSSHWQGQATDGTSSNQAEGNSQILGSSPSHLHLNANAGSWKQQRGGSGISPGYLAIQSMPASFTVGSNMQFPNTTGVAHEKPEASLSLPDPGDWDPNYSDELLLQEDGSDVSCFTTEFSQGMHLNSAEKLVGAGRFNRVSNTSAGLSFQRQNGPIQSYSHAEVGSPPSTNEPLAGYARLPKPSHFPHISQNSPSRLGQQYQRSSQGRPTNSRGGDWNHMKVQAPPPNFNSGGPLSPGNSSFSNGMSWGI >ONI26014 pep chromosome:Prunus_persica_NCBIv2:G2:30277912:30289573:1 gene:PRUPE_2G329600 transcript:ONI26014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELSPSPQSKDSAASLWRPSQLVFGPYSTQTQSEAPNSKSQTLRVVVTKPFVARLTKDIVETYHICNPQFKYSEELNPKRYLTSPSIGVLNDGYDNVNSDLILTVNFVLVNLDTQRRYVVKDVLGHGTFGQVAKCWVPETNSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDHFVYHRHLCICFELLDTNLYELIKINHFRGLSLTIVQLFSKQILHGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTSIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIEILGGQPPDCVLKEAKNTSKFFKCIRSVHNVENGELSASGKSAYQALTEEEYEARELKRPSIGKEYFNRMNLEEIVTNYPYRKNLPKEDVVKESQIRLALVDFLKGLVEFDPAKRWSPFQASKHPFVTGEPFTRPYKPPLETPRMPVAQNIRVDHHPGGGHWFAAGLSPNIPGRNRVSMHSSPHFQVVPYAHANSYGSVGSHGSYNDGTGLGSSYGSYGDTSNVFAYYSPVGPSGMNMHAQGNVPMLGSSPDARRRIIQYSHGNGLGMSPSAGSFAPLPLGTSPSQFTPPSSYSQVSAGSPGHYGPTSPARGSCHGSPLGKMAAVSQFNRRKSWGYPGGSQTQESSSSHWQGQATDGTSSNQAEGNSQILGSSPSHLHLNANAGSWKQQRGGSGISPGYLAIQSMPASFTVGSNMQFPNTTGVAHEKPEASLSLPDPGDWDPNYSDELLLQEDGSDVSCFTTEFSQGMHLNSAEKLVGAGRFNRVSNTSAGLSFQRQNGPIQSYSHAEVGSPPSTNEPLAGYARLPKPSHFPHISQNSPSRLGQQYQRSSQGRPTNSRGGDWNHMKVQAPPPNFNSGGPLSPGNSSFSNGMSWGRRASHPVTSIPPASRGRKDYGRIA >ONI23890 pep chromosome:Prunus_persica_NCBIv2:G2:24544109:24550558:1 gene:PRUPE_2G214300 transcript:ONI23890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTRSEMQEPSIDTDKLSYEIFSILESKFLFGYDDQKLWVPKQVSPSPPPSDPKLETQPQSETPVSAIKNQRGKICILSIDGGGGMRGILSGKALAYLEHALKLKSGNPEARIADYFDVAAGAGVGGIFAAMLFASRDQARPMFKADDTWRFLADHGKSFFNNRSSSASSGGFLRRFIRGSGSGSGLSATAGLDKAMKEAFADNDRTLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSYDFRLWEVCRATSAEPGVFEPVQMRSVNNQTRCLAVDGGLAMSNPAASAITHVLHNKQEFPFVRGVEDIMVLSIGTGQFLEEARYEYEQVKRWRAKEWARPMAKIAGDGSADLVDQSVAMAFGQCGSSNYVRIQANGTSLGRCGPNVDTDPSPNNVKMLVGAAEEMLKQKNVESVLFGGKRIGEQSNFEKLDWFAGELVLEHQRRSCRIAPTVAFKQAAAKTT >ONI23891 pep chromosome:Prunus_persica_NCBIv2:G2:24544113:24550366:1 gene:PRUPE_2G214300 transcript:ONI23891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTRSEMQEPSIDTDKLSYEIFSILESKFLFGYDDQKLWVPKQVSPSPPPSDPKLETQPQSETPVSAIKNQRGKICILSIDGGGGMRGILSGKALAYLEHALKLKSGNPEARIADYFDVAAGAGVGGIFAAMLFASRDQARPMFKADDTWRFLADHGKSFFNNRSSSASSGGFLRRFIRGSGSGSGLSATAGLDKAMKEAFADNDRTLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSYDFRLWEVCRATSAEPGVFEPVQMRSVNNQTRCLAVDGGLAMSNPAASAITHVLHNKQEFPFVRGVEDIMVLSIGTGQFLEEARYEYEQVKRWRAKEWARPMAKIAGDGSADLVDQSVAMAFGQCGSSNYVRIQANGTSLGRCGPNVDTDPSPNNVKMLVGAAEEMLKQKNVESVLFGGKRIGEQSNFEKLDWFAGELVLEHQRRSCRIAPTVAFKQAAAKTT >ONI24701 pep chromosome:Prunus_persica_NCBIv2:G2:26834596:26838256:-1 gene:PRUPE_2G256400 transcript:ONI24701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSFNPNSALSDTRFSDLKPPLSEPVLEALAQGGFEFCTPVQAATIPLLCRFKDVAVDAATGSGKTLAFVVPLVEILRRASTVPKPQQVMGMIISPTRELSSQIYNVAKPFISTLPNFNCVLLVGGGQVKADTKQIEEEGANLLIGTPGRLYDIMERMDGLDLRDLEILILDEADRLLDMGFQKQINDIMSRLPKLRRTGLFSATQTEAVEELARAGLRNPVRVEVRAETKSNNSALSQQLASSKTPSGLAIEYLECEADKKPSQLVDLLVKNKSNKTIVYFMTCACVDYWGLVLPLLGSLKGFPLIALHGKMKQAARDKALASFTSLSSGILLCTDVAARGLDIPGVDCIVQYDTPQDPNVFVHRVGRTARMGRQGSAIVFLLPKEEAYVEFLRIRRVPLQERKCSDDVSNVIPQIRSAAKKDRDVMEKGLRAFVSYIRAYKEHHCSYIFRWKELEIGKLGMGFGLLQLPAMPEVKHHSLSTEGFIPVKDINLEEIKFKKKQKSKKLNLKNPTKAQMIQLLR >ONI23462 pep chromosome:Prunus_persica_NCBIv2:G2:22931913:22935824:-1 gene:PRUPE_2G190000 transcript:ONI23462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPNHHQQQINLEIKRHEDNIKFLQSEINRLSESILDLQVSLGKHLPANGTGTTNESSTTLAEKDETEQILRHEKSAASLLCRLNLLKSPHTTQALNLALTKDVLGIVGIVATLGRVDDDNLSRLLSEYLGLETMLAIVCRTYEGVKVLEKYDADGTIISTAGIHGLGSSIGKSIKGRFLVICLEDLSPYVGGFVADDPQRKLSLPKPKLPNGECPPGFLDYAVNTINLDDKNLDCLTSGGHGLRETLFYSLFSRLQIYRTRAEMRLALPCINDGALSLDGGVIKKSGVFILGSRKDIEVKFPATSVESSMSAKYLETEDMIKKLKWERSHVTEDMQREQELLDFAKTNFTRQV >ONI22597 pep chromosome:Prunus_persica_NCBIv2:G2:19548826:19552008:-1 gene:PRUPE_2G138400 transcript:ONI22597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMPLPFEQFQGKGVLDFSSSTSDSQPPQNQHLHHHHNQQQQQQKWNQNSNKENCYVGSTEPTSVLDARRSPSPPTSSSTLSSSLGGGGGASGGGGGGSGSTDTTTCLGTTAAAVYENISQTPIEESKCGPALGMEDWESVLPESPGQEQSILRLIMSDIEDPSLGLNKLLQSGSGSDQQDLEFSAGFHDVVDQGGYDGFEPNTGNLQQQQHMGMDEKPQIFNPQMVMNQNQAQFTQNPAMFMPLTYAQLQEHHLLSPPPPKRFNSGGFGPNYPVQRAPFSNPGQELLVRAQQQQQQLQFLPQHLQQQRPTMLVKENMLSPAEGTKEMMNQNQNQQQLQQAAIDQLFNAAELIETGNPALAQGILARLNHQLSPVVLQFANFTCNQAILEAVEGFNRVHVIDFDIGYGGQWASFMQEVALRNCGAPSFKITAFISSSTHDEFEIGFTRENLKHFASELNLSFELELVSLEALNSGSWGLPLHVSEGVAVAVNLPIGSFSNNPLSLTMALRFVKQLSPKIVVSLDRGSDRTDVPFAHQIIQSLHSYSGLLESLDAVNVNPDALQKIERYLLQPGIEKIVTGRHLSPKRTPPWRTLFSSSGFSPLTFSNFTESQAECLVQRTPVGGFHIEKRQSSLVLCWQHKDLISVSVWRC >ONI22599 pep chromosome:Prunus_persica_NCBIv2:G2:19548826:19551964:-1 gene:PRUPE_2G138400 transcript:ONI22599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMPLPFEQFQGKGVLDFSSSTSDSQPPQNQHLHHHHNQQQQQQKWNQNSNKENCYVGSTEPTSVLDARRSPSPPTSSSTLSSSLGGGGGASGGGGGGSGSTDTTTCLGTTAAAVYENISQTPIEESKCGPALGMEDWESVLPESPGQEQSILRLIMSDIEDPSLGLNKLLQSGSGSDQQDLEFSAGFHDVVDQGGYDGFEPNTGNLVSNINVDPSLHATSGSDFAFSNSSPNVQSTNVRLGSSSSPSPMFSASMNNTFPASLSPGMFQQQQQHMGMDEKPQIFNPQMVMNQNQAQFTQNPAMFMPLTYAQLQEHHLLSPPPPKRFNSGGFGPNYPVQRAPFSNPGQELLVRAQQQQQQLQFLPQHLQQQRPTMLVKENMLSPAEGTKEMMNQNQNQQQLQQAAIDQLFNAAELIETGNPALAQGILARLNHQLSPVVLQFANFTCNQAILEAVEGFNRVHVIDFDIGYGGQWASFMQEVALRNCGAPSFKITAFISSSTHDEFEIGFTRENLKHFASELNLSFELELVSLEALNSGSWGLPLHVSEGVAVAVNLPIGSFSNNPLSLTMALRFVKQLSPKIVVSLDRGSDRTDVPFAHQIIQSLHSYSGLLESLDAVNVNPDALQKIERYLLQPGIEKIVTGRHLSPKRTPPWRTLFSSSGFSPLTFSNFTESQAECLVQRTPVGGFHIEKRQSSLVLCWQHKDLISVSVWRC >ONI22600 pep chromosome:Prunus_persica_NCBIv2:G2:19549181:19550910:-1 gene:PRUPE_2G138400 transcript:ONI22600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWWIKEAMMALNPILGICNSSPNVQSTNVRLGSSSSPSPMFSASMNNTFPASLSPGMFQQQQQHMGMDEKPQIFNPQMVMNQNQAQFTQNPAMFMPLTYAQLQEHHLLSPPPPKRFNSGGFGPNYPVQRAPFSNPGQELLVRAQQQQQQLQFLPQHLQQQRPTMLVKENMLSPAEGTKEMMNQNQNQQQLQQAAIDQLFNAAELIETGNPALAQGILARLNHQLSPVVLQFANFTCNQAILEAVEGFNRVHVIDFDIGYGGQWASFMQEVALRNCGAPSFKITAFISSSTHDEFEIGFTRENLKHFASELNLSFELELVSLEALNSGSWGLPLHVSEGVAVAVNLPIGSFSNNPLSLTMALRFVKQLSPKIVVSLDRGSDRTDVPFAHQIIQSLHSYSGLLESLDAVNVNPDALQKIERYLLQPGIEKIVTGRHLSPKRTPPWRTLFSSSGFSPLTFSNFTESQAECLVQRTPVGGFHIEKRQSSLVLCWQHKDLISVSVWRC >ONI22598 pep chromosome:Prunus_persica_NCBIv2:G2:19548826:19551971:-1 gene:PRUPE_2G138400 transcript:ONI22598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMPLPFEQFQGKGVLDFSSSTSDSQPPQNQHLHHHHNQQQQQQKWNQNSNKENCYVGSTEPTSVLDARRSPSPPTSSSTLSSSLGGGGGASGGGGGGSGSTDTTTCLGTTAAAVYENISQTPIEESKCGPALGMEDWESVLPESPGQEQSILRLIMSDIEDPSLGLNKLLQSGSGSDQQDLEFSAGFHDVVDQGGYDGFEPNTGNLQQQQHMGMDEKPQIFNPQMVMNQNQAQFTQNPAMFMPLTYAQLQEHHLLSPPPPKRFNSGGFGPNYPVQRAPFSNPGQELLVRAQQQQQQLQFLPQHLQQQRPTMLVKENMLSPAEGTKEMMNQNQNQQQLQQAAIDQLFNAAELIETGNPALAQGILARLNHQLSPVGKPFQRAAFYFKEALQLLLHINTSSNSSNALSPFSLIFKIGAYKSFSEISPVLQFANFTCNQAILEAVEGFNRVHVIDFDIGYGGQWASFMQEVALRNCGAPSFKITAFISSSTHDEFEIGFTRENLKHFASELNLSFELELVSLEALNSGSWGLPLHVSEGVAVAVNLPIGSFSNNPLSLTMALRFVKQLSPKIVVSLDRGSDRTDVPFAHQIIQSLHSYSGLLESLDAVNVNPDALQKIERYLLQPGIEKIVTGRHLSPKRTPPWRTLFSSSGFSPLTFSNFTESQAECLVQRTPVGGFHIEKRQSSLVLCWQHKDLISVSVWRC >ONI22596 pep chromosome:Prunus_persica_NCBIv2:G2:19548826:19551974:-1 gene:PRUPE_2G138400 transcript:ONI22596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMPLPFEQFQGKGVLDFSSSTSDSQPPQNQHLHHHHNQQQQQQKWNQNSNKENCYVGSTEPTSVLDARRSPSPPTSSSTLSSSLGGGGGASGGGGGGSGSTDTTTCLGTTAAAVYENISQTPIEESKCGPALGMEDWESVLPESPGQEQSILRLIMSDIEDPSLGLNKLLQSGSGSDQQDLEFSAGFHDVVDQGGYDGFEPNTGNLQQQHMGMDEKPQIFNPQMVMNQNQAQFTQNPAMFMPLTYAQLQEHHLLSPPPPKRFNSGGFGPNYPVQRAPFSNPGQELLVRAQQQQQQLQFLPQHLQQQRPTMLVKENMLSPAEGTKEMMNQNQNQQQLQQAAIDQLFNAAELIETGNPALAQGILARLNHQLSPVGKPFQRAAFYFKEALQLLLHINTSSNSSNALSPFSLIFKIGAYKSFSEISPVLQFANFTCNQAILEAVEGFNRVHVIDFDIGYGGQWASFMQEVALRNCGAPSFKITAFISSSTHDEFEIGFTRENLKHFASELNLSFELELVSLEALNSGSWGLPLHVSEGVAVAVNLPIGSFSNNPLSLTMALRFVKQLSPKIVVSLDRGSDRTDVPFAHQIIQSLHSYSGLLESLDAVNVNPDALQKIERYLLQPGIEKIVTGRHLSPKRTPPWRTLFSSSGFSPLTFSNFTESQAECLVQRTPVGGFHIEKRQSSLVLCWQHKDLISVSVWRC >ONI22595 pep chromosome:Prunus_persica_NCBIv2:G2:19548826:19552007:-1 gene:PRUPE_2G138400 transcript:ONI22595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMPLPFEQFQGKGVLDFSSSTSDSQPPQNQHLHHHHNQQQQQQKWNQNSNKENCYVGSTEPTSVLDARRSPSPPTSSSTLSSSLGGGGGASGGGGGGSGSTDTTTCLGTTAAAVYENISQTPIEESKCGPALGMEDWESVLPESPGQEQSILRLIMSDIEDPSLGLNKLLQSGSGSDQQDLEFSAGFHDVVDQGGYDGFEPNTGNLQQQHMGMDEKPQIFNPQMVMNQNQAQFTQNPAMFMPLTYAQLQEHHLLSPPPPKRFNSGGFGPNYPVQRAPFSNPGQELLVRAQQQQQQLQFLPQHLQQQRPTMLVKENMLSPAEGTKEMMNQNQNQQQLQQAAIDQLFNAAELIETGNPALAQGILARLNHQLSPVVLQFANFTCNQAILEAVEGFNRVHVIDFDIGYGGQWASFMQEVALRNCGAPSFKITAFISSSTHDEFEIGFTRENLKHFASELNLSFELELVSLEALNSGSWGLPLHVSEGVAVAVNLPIGSFSNNPLSLTMALRFVKQLSPKIVVSLDRGSDRTDVPFAHQIIQSLHSYSGLLESLDAVNVNPDALQKIERYLLQPGIEKIVTGRHLSPKRTPPWRTLFSSSGFSPLTFSNFTESQAECLVQRTPVGGFHIEKRQSSLVLCWQHKDLISVSVWRC >ONI25890 pep chromosome:Prunus_persica_NCBIv2:G2:30050615:30053354:1 gene:PRUPE_2G325000 transcript:ONI25890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAILMLLYSSSTAHHVAAVDLSTGSTQNTIAETVNLTGTRHAISDSANKFTVIHKGCDKVCNMMNTSTHHRWSSGGSGCCNSSTPKAINKSLVSEAETQSMGNISFWLIMSSRSKRTRSRLIFPILMVGDMNSTQQDALIMFPSFYSYNRIVSGSPKLYPTTPRIHTRTKLEYHIKIGKGSSDTHLLDTFQTMTRKYNYHRKSRRLRGYIPQHIKVFPGPAKLTLGLGFCFSCLSFVISGIYFSVKKHKFIKLKSKFFQRNRGLLLEQQIALRGGTTILTAEELDMTADCYKKTHIFVSGGSGTGTSQKGLSPEKVVAEGQIEHFISEILTLTKVNHQNLVKFLGCCLETEAPVLVYELACNGTLFDYIHHTNEKSSLPWDILLKIVTESAVALAYLHSATDSSKQMIIHGNVKSSNILLTDCFMAKISGFGPSRLVPSTESQISTLVHGKLGYLDPEYLHTGQLTDKSDVYSFGVVLLELLTGEMPVSFDRPENQRVITSYFLLSAEQCGLFQIVAPQLVNEGSREQVRAVADLAKSCLKLSRAERPTMEQVARELHRLSNTYPRVKVDSHDT >ONI23471 pep chromosome:Prunus_persica_NCBIv2:G2:22988058:22993206:1 gene:PRUPE_2G190400 transcript:ONI23471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLECDSSISRAETGFGGGDLYTELWKLCAGPLVDVPRPGERVFYFPQGHMEQLEASTNQELNQQIPLFNLPSKILCRVVHIHLLAEQETDEVYAQITLHPEADQSEPTSPDPCIPEPSKPTVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMIQATPTQELNAKDLHGYEWKFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSHMPSSVISSQSMHLGVLATASHALMTRTLFVVYYKPRTSQFIIGLNKYLEAINNKFSVGMRFKMRFEGEESPERRFTGTIVGVGDLSSQWSESKWRSLKIQWDEHAAVQRPERVSFWEIEPFVASAPIHLVQPVVKSKRPRPVEISSSEITTNSPASAFWYHGSTQTVELNQLGGVPEVQSSGSQVVWPLRQKESNSSSYSSSRVYSEGIWPSSPHVNVSLSLFPDSKEGNKNVTTGSVLSSVASPVSSKASSKPSNTPIPGQVGKEKKSDSSDLWLFGYNLTNNSKTASPPESEPVCKAMSCGGKGPTTAAAFEVYQDLDVSKLSKEQKQVISEASPGETQGKQGLTLSTRTRTKVQMQGVAVGRAVDLTSLKGYDNLIDELEKMFEIKGELRPQNKWAVVFTDDENDMMLMGDDQWLDFCKLVKKIFIYSSDEVQKMNRCKLQNSSLDCEGTVSVDSEHRCET >ONI23470 pep chromosome:Prunus_persica_NCBIv2:G2:22988058:22993204:1 gene:PRUPE_2G190400 transcript:ONI23470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLECDSSISRAETGFGGGDLYTELWKLCAGPLVDVPRPGERVFYFPQGHMEQLEASTNQELNQQIPLFNLPSKILCRVVHIHLLAEQETDEVYAQITLHPEADQSEPTSPDPCIPEPSKPTVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMIQATPTQELNAKDLHGYEWKFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSHMPSSVISSQSMHLGVLATASHALMTRTLFVVYYKPRTSQFIIGLNKYLEAINNKFSVGMRFKMRFEGEESPERRFTGTIVGVGDLSSQWSESKWRSLKIQWDEHAAVQRPERVSFWEIEPFVASAPIHLVQPVVKSKRPRPVEISSSEITTNSPASAFWYHGSTQTVELNQLGGVPEVQSSGSQVVWPLRQKESNSSSYSSSRVYSEGIWPSSPHVNVSLSLFPDSKEGNKNVTTGSVLSSVASPVSSKASSKPSNTPIPGQVGKEKKSDSSDLWLFGYNLTNNSKTASPPESEPVCKAMSCGGKGPTTAAAFEVYQDLDVSKLSKEQKQVISEASPGETQGKQGLTLSTRTRTKVQMQGVAVGRAVDLTSLKGYDNLIDELEKMFEIKGELRPQNKWAVVFTDDENDMMLMGDDQWLDFCKLVKKIFIYSSDEVQKMNRCKLQNSSLDCEGTVSVDSEHRCET >ONI23472 pep chromosome:Prunus_persica_NCBIv2:G2:22989089:22993206:1 gene:PRUPE_2G190400 transcript:ONI23472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLEASTNQELNQQIPLFNLPSKILCRVVHIHLLAEQETDEVYAQITLHPEADQSEPTSPDPCIPEPSKPTVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMIQATPTQELNAKDLHGYEWKFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSHMPSSVISSQSMHLGVLATASHALMTRTLFVVYYKPRTSQFIIGLNKYLEAINNKFSVGMRFKMRFEGEESPERRFTGTIVGVGDLSSQWSESKWRSLKIQWDEHAAVQRPERVSFWEIEPFVASAPIHLVQPVVKSKRPRPVEISSSEITTNSPASAFWYHGSTQTVELNQLGGVPEVQSSGSQVVWPLRQKESNSSSYSSSRVYSEGIWPSSPHVNVSLSLFPDSKEGNKNVTTGSVLSSVASPVSSKASSKPSNTPIPGQVGKEKKSDSSDLWLFGYNLTNNSKTASPPESEPVCKAMSCGGKGPTTAAAFEVYQDLDVSKLSKEQKQVISEASPGETQGKQGLTLSTRTRTKVQMQGVAVGRAVDLTSLKGYDNLIDELEKMFEIKGELRPQNKWAVVFTDDENDMMLMGDDQWLDFCKLVKKIFIYSSDEVQKMNRCKLQNSSLDCEGTVSVDSEHRCET >ONI23695 pep chromosome:Prunus_persica_NCBIv2:G2:23869303:23870956:-1 gene:PRUPE_2G202500 transcript:ONI23695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKHNRKTTGGISVPVGFRFHPTEEELVNHYLKKKKWDKDFKVNHIIPEIAFCKHEPWDLPGLLFTEPDSPYHDMEWFFFSLRDYKYINSNRSNRATRKGYWKITGKERVIRARGSKFVIGRKRTLTFYEGRVPKSKKTNWVVHEYYLIEDEANSNPKLAQRDFVLCRLKKKPDKKDTSICAEGEPSNCNLSNCEDQVAAVVTPESQDHSPSTLQSPASIELGDVLQANGINEDCNEMQSPFGDNEYHVTDNNDISTCDEGEPHGFTMSDFENEVADDMSQELYAQQERNLDPTYTELEGFMYIDAFSFENKAGEVRINMLFEHF >ONI23694 pep chromosome:Prunus_persica_NCBIv2:G2:23868748:23870956:-1 gene:PRUPE_2G202500 transcript:ONI23694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKHNRKTTGGISVPVGFRFHPTEEELVNHYLKKKKWDKDFKVNHIIPEIAFCKHEPWDLPGLLFTEPDSPYHDMEWFFFSLRDYKYINSNRSNRATRKGYWKITGKERVIRARGSKFVIGRKRTLTFYEGRVPKSKKTNWVVHEYYLIEDEANSNPKLAQRDFVLCRLKKKPDKKDTSICAEGEPSNCNLSNCEDQVAAVVTPESQDHSPSTLQSPASIELGDVLQANGINEDCNEMQSPFGDNEYHVTDNNDISTCDEGEPHGFTMSDFENEVADDMSQELYAQQERNLDPTYTELEGFMYIDAFSFENKAGEQQCAQPEKNLDPRFHPPQPHDYCSSTLQSPIYTELGSVPHANVYNDQWQSTYDGNGQARNTIANFENQTTYERISEEYPQQEENLELIFHAPQLQDYTLQPLMNTEVGDVLHDNNYIECNELQSPVWS >ONI23693 pep chromosome:Prunus_persica_NCBIv2:G2:23868318:23871265:-1 gene:PRUPE_2G202500 transcript:ONI23693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKHNRKTTGGISVPVGFRFHPTEEELVNHYLKKKKWDKDFKVNHIIPEIAFCKHEPWDLPGLLFTEPDSPYHDMEWFFFSLRDYKYINSNRSNRATRKGYWKITGKERVIRARGSKFVIGRKRTLTFYEGRVPKSKKTNWVVHEYYLIEDEANSNPKLAQRDFVLCRLKKKPDKKDTSICAEGEPSNCNLSNCEDQVAAVVTPESQDHSPSTLQSPASIELGDVLQANGINEDCNEMQSPFGDNEYHVTDNNDISTCDEGEPHGFTMSDFENEVADDMSQELYAQQERNLDPTYTELEGFMYIDAFSFENKAGEQCAQPEKNLDPRFHPPQPHDYCSSTLQSPIYTELGSVPHANVYNDQWQSTYDGNGQARNTIANFENQTTYERISEEYPQQEENLELIFHAPQLQDYTLQPLMNTEVGDVLHDNNYIECNELQSPVWS >ONI23691 pep chromosome:Prunus_persica_NCBIv2:G2:23868318:23871265:-1 gene:PRUPE_2G202500 transcript:ONI23691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKHNRKTTGGISVPVGFRFHPTEEELVNHYLKKKKWDKDFKVNHIIPEIAFCKHEPWDLPGLLFTEPDSPYHDMEWFFFSLRDYKYINSNRSNRATRKGYWKITGKERVIRARGSKFVIGRKRTLTFYEGRVPKSKKTNWVVHEYYLIEDEANSNPKLAQRDFVLCRLKKKPDKKDTSICAEGEPSNCNLSNCEDQVAAVVTPEDHSPSTLQSPASIELGDVLQANGINEDCNEMQSPFGDNEYHVTDNNDISTCDEGEPHGFTMSDFENEVADDMSQELYAQQERNLDPTYTELEGFMYIDAFSFENKAGEQCAQPEKNLDPRFHPPQPHDYCSSTLQSPIYTELGSVPHANVYNDQWQSTYDGNGQARNTIANFENQTTYERISEEYPQQEENLELIFHAPQLQDYTLQPLMNTEVGDVLHDNNYIECNELQSPVWS >ONI23692 pep chromosome:Prunus_persica_NCBIv2:G2:23868748:23870956:-1 gene:PRUPE_2G202500 transcript:ONI23692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKHNRKTTGGISVPVGFRFHPTEEELVNHYLKKKKWDKDFKVNHIIPEIAFCKHEPWDLPGLLFTEPDSPYHDMEWFFFSLRDYKYINSNRSNRATRKGYWKITGKERVIRARGSKFVIGRKRTLTFYEGRVPKSKKTNWVVHEYYLIEDEANSNPKLAQRDFVLCRLKKKPDKKDTSICAEGEPSNCNLSNCEDQVAAVVTPEDHSPSTLQSPASIELGDVLQANGINEDCNEMQSPFGDNEYHVTDNNDISTCDEGEPHGFTMSDFENEVADDMSQELYAQQERNLDPTYTELEGFMYIDAFSFENKAGEQQCAQPEKNLDPRFHPPQPHDYCSSTLQSPIYTELGSVPHANVYNDQWQSTYDGNGQARNTIANFENQTTYERISEEYPQQEENLELIFHAPQLQDYTLQPLMNTEVGDVLHDNNYIECNELQSPVWS >ONI24749 pep chromosome:Prunus_persica_NCBIv2:G2:27003484:27006050:1 gene:PRUPE_2G260200 transcript:ONI24749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEPERRRLRVRKPLSDCTNTIPNTKTSTNTNATATSSQSSSASTATLKRLNPKLSSATKTLVAALNPKPSAPPLPIPSTPSRPPPISTSSSGTSDCDGFEACSVYTRRQTALKRKSKDKENTVPFSCPPAPKIRNILGKLKEDGHSSLSKESTAPRKKKQCAAPAGKAVSMHALPQDFIEKQRAYFAEIDAFELPEEEVDSVD >ONI24750 pep chromosome:Prunus_persica_NCBIv2:G2:27003779:27005245:1 gene:PRUPE_2G260200 transcript:ONI24750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEPERRRLRVRKPLSDCTNTIPNTKTSTNTNATATSSQSSSASTATLKRLNPKLSSATKTLVAALNPKPSAPPLPIPSTPSRPPPISTSSSGTSDCDGFEACSVYTRRQTALKRKSKDKENTVPFSCPPAPKIRNILGKLKEDGHSSLSKESTAPRKKINSPQCGNISS >ONI20613 pep chromosome:Prunus_persica_NCBIv2:G2:2495844:2505970:-1 gene:PRUPE_2G025200 transcript:ONI20613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEICISIASKIVEKPVALIGRQLSYLIYYDSNIESLKDVLKNLDDKKNDVQRSVDAATRNGATIKDQVQSWLKDVSKIFREAEELQTKLNMQRWCPSLKSRYSLSRKAKKIAQRVLDPKLDEGLSNNVANPAPVPQLGSIISSEGFKGFESRKDVMNDVLSALRNEKTRIIGICGMGGVGKTTMVREIIKRLQGTNKLFDDVVMSTVSATVNIRKIQTEIAESLDMKLVEESESIRAQRLHERIKQSKRILIILDDVWSELKLQDVGIPFGDHEGCKILLTSRNEEVCKTMGCKDNIFRVQALNKEEAWELFKATVGESLDNNNPHLLHVAEMIADECKGLPIAIITIGKTLVSIDKNEWDTIREQLKNSLPEIIPGMEQSVYSCIKLSYDKLDSGEVKSCFLLCCLFPEDYDVPIEYMVRYGLGREIFENANTIENARKRVHFFVGQLKRRFLLLDSEKEECIKMHDIVRDVAISIASKDPHRFMVRSFDVEGGGGGWPGLQKATNQEHCSAISLIDVKLDKDIIDGLECPKLQLLQLRNSSSSSEYSNHFKRLRELKVLAFLRMDMSGYLASKRSLPLGEPKYLHTLCLEDCKLGDISHVIGELENLEILSFARSQINKLPREIGLLHRLRMLDATDCDGLEEIPHGVLSNLRRLEELYMAESFFNWGPATGSKDETSMASLDEVTSLSVHLKVLAINIPDRQMLHNGFLLKNQHIRFHVSINARRTYYYKMLRYLFAKGSFENRMPGYLFENSLMLLGDVKEYLEIGAVRYFLKLSKNLSLHHTYNLKYVIEELDDEGGFQHLKVLSIEYDNNIEYLMTRQHSAFRNLKSATFKEVNKLKVVCHGKLPDKRSFMNLRSIAINHCNELKYVFSLSVAQNLVQLQSLNVKNCAKVEEIISKERMEDDNASHRISFPRLTFLKLSFLQKLHGFYTGNQRDSTYEIIKPNYESANKTKETRNDNQVAGSTSSGSKVAQVGASCNALFPSNCISWLPNLEGLVLKSLTSNVVFDLEGHDSAFSQLQALIADGLDEVEHLWKNVQPGFQGFQNVRTLSIGACNSMKYLCPYEIYKLLMNLEEVEINKCENMETIVLAAASTEDNIHDETGGSGAMTLFPKLLNRFNLQNLSSLERFCPDAYSFAWSSSTRIMNVRRCPKLKTLGFAPVSKKLPAVAKNLSDDHVRGREESGSGCASSTRSRSGFGCAPLVCLRSRPSTRNFTQILPRPVNREVMPANLQTSSASDNLEDLYVNRCDLLEVIFLVQETPSTQAFDKLRELNLVELPMLSHIWEKDLQVSSGFGNLRSLRVVDCDNLRYLFSQHIAKLLTSLETICVSFCNAMEKIVGEAEGGGESIEDELTFPQLNFIQLRYLPALESFCSQACTLKWPALEVLIIVDECPKLKVFAPESLYV >ONI20612 pep chromosome:Prunus_persica_NCBIv2:G2:2495996:2505960:-1 gene:PRUPE_2G025200 transcript:ONI20612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEICISIASKIVEKPVALIGRQLSYLIYYDSNIESLKDVLKNLDDKKNDVQRSVDAATRNGATIKDQVQSWLKDVSKIFREAEELQTKLNMQRWCPSLKSRYSLSRKAKKIAQRVLDPKLDEGLSNNVANPAPVPQLGSIISSEGFKGFESRKDVMNDVLSALRNEKTRIIGICGMGGVGKTTMVREIIKRLQGTNKLFDDVVMSTVSATVNIRKIQTEIAESLDMKLVEESESIRAQRLHERIKQSKRILIILDDVWSELKLQDVGIPFGDHEGCKILLTSRNEEVCKTMGCKDNIFRVQALNKEEAWELFKATVGESLDNNNPHLLHVAEMIADECKGLPIAIITIGKTLVSIDKNEWDTIREQLKNSLPEIIPGMEQSVYSCIKLSYDKLDSGEVKSCFLLCCLFPEDYDVPIEYMVRYGLGREIFENANTIENARKRVHFFVGQLKRRFLLLDSEKEECIKMHDIVRDVAISIASKDPHRFMVRSFDVEGGGGGWPGLQKATNQEHCSAISLIDVKLDKDIIDGLECPKLQLLQLRNSSSSSEYSNHFKRLRELKVLAFLRMDMSGYLASKRSLPLGEPKYLHTLCLEDCKLGDISHVIGELENLEILSFARSQINKLPREIGLLHRLRMLDATDCDGLEEIPHGVLSNLRRLEELYMAESFFNWGPATGSKDETSMASLDEVTSLSVHLKVLAINIPDRQMLHNGFLLKNQHIRFHVSINARRTYYYKMLRYLFAKGSFENRMPGYLFENSLMLLGDVKEYLEIGAVRYFLKLSKNLSLHHTYNLKYVIEELDDEGGFQHLKVLSIEYDNNIEYLMTRQHSAFRNLKSATFKEVNKLKVVCHGKLPDKRSFMNLRSIAINHCNELKYVFSLSVAQNLVQLQSLNVKNCAKVEEIISKERMEDDNASHRISFPRLTFLKLSFLQKLHGFYTGNQRDSTYEIIKPNYESANKTKETRNDNQVAGSTSSGSKVAQVGASCNALFPSNCISWLPNLEGLVLKSLTSNVVFDLEGHDSAFSQLQALIADGLDEVEHLWKNVQPGFQGFQNVRTLSIGACNSMKYLCPYEIYKLLMNLEEVEINKCENMETIVLAAASTEDNIHDETGGSGAMTLFPKLLNRFNLQNLSSLERFCPDAYSFAWSSSTRIMNVRRCPKLKTLGFAPVSKKLPAVAKNLSDDHVRGREESGSGCASSTRSRSGFGCAPLVCLRSRPSTRNFTQILPRPVNREVMPANLQTSSASDNLEDLYVNRCDLLEVIFLVQETPSTQAFDKLRELNLVELPMLSHIWEKDLQVSSGFGNLRSLRVVDCDNLRYLFSQHIAKLLTSLETICVSFCNAMEKIVGEAEGGGESIEDELTFPQLNFIQLRYLPALESFCSQACTLKWPALEVLIIVDECPKLKVFAPESLYV >ONI20611 pep chromosome:Prunus_persica_NCBIv2:G2:2496417:2505960:-1 gene:PRUPE_2G025200 transcript:ONI20611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEICISIASKIVEKPVALIGRQLSYLIYYDSNIESLKDVLKNLDDKKNDVQRSVDAATRNGATIKDQVQSWLKDVSKIFREAEELQTKLNMQRWCPSLKSRYSLSRKAKKIAQRVLDPKLDEGLSNNVANPAPVPQLGSIISSEGFKGFESRKDVMNDVLSALRNEKTRIIGICGMGGVGKTTMVREIIKRLQGTNKLFDDVVMSTVSATVNIRKIQTEIAESLDMKLVEESESIRAQRLHERIKQSKRILIILDDVWSELKLQDVGIPFGDHEGCKILLTSRNEEVCKTMGCKDNIFRVQALNKEEAWELFKATVGESLDNNNPHLLHVAEMIADECKGLPIAIITIGKTLVSIDKNEWDTIREQLKNSLPEIIPGMEQSVYSCIKLSYDKLDSGEVKSCFLLCCLFPEDYDVPIEYMVRYGLGREIFENANTIENARKRVHFFVGQLKRRFLLLDSEKEECIKMHDIVRDVAISIASKDPHRFMVRSFDVEGGGGGWPGLQKATNQEHCSAISLIDVKLDKDIIDGLECPKLQLLQLRNSSSSSEYSNHFKRLRELKVLAFLRMDMSGYLASKRSLPLGEPKYLHTLCLEDCKLGDISHVIGELENLEILSFARSQINKLPREIGLLHRLRMLDATDCDGLEEIPHGVLSNLRRLEELYMAESFFNWGPATGSKDETSMASLDEVTSLSVHLKVLAINIPDRQMLHNGFLLKNQHIRFHVSINARRTYYYKMLRYLFAKGSFENRMPGYLFENSLMLLGDVKEYLEIGAVRYFLKLSKNLSLHHTYNLKYVIEELDDEGGFQHLKVLSIEYDNNIEYLMTRQHSAFRNLKSATFKEVNKLKVVCHGKLPDKRSFMNLRSIAINHCNELKYVFSLSVAQNLVQLQSLNVKNCAKVEEIISKERMEDDNASHRISFPRLTFLKLSFLQKLHGFYTGNQRDSTYEIIKPNYESANKTKETRNDNQVAGSTSSGSKVAQVGASCNALFPSNCISWLPNLEGLVLKSLTSNVVFDLEGHDSAFSQLQALIADGLDEVEHLWKNVQPGFQGFQNVRTLSIGACNSMKYLCPYEIYKLLMNLEEVEINKCENMETIVLAAASTEDNIHDETGGSGAMTLFPKLLNRFNLQNLSSLERFCPDAYSFAWSSSTRIMNVRRCPKLKTLGFAPVSKKLPAVAKNLSDDHVRGREESGSGCASSTRSRSGFGCAPLVCLRSRPSTRNFTQILPRPVNREVMPANLQTSSASDNLEDLYVNRCDLLEVIFLVQETPSTQAFDKLRELNLVELPMLSHIWEKDLQVSSGFGNLRSLRVVDCDNLRYLFSQHIAKLLTSLETICVSFCNAMEKIVGEAEGGGESIEDELTFPQLNFIQLRYLPALESFCSQACTLKWPALEVLIIVDECPKLKVFAPESLYV >ONI24041 pep chromosome:Prunus_persica_NCBIv2:G2:24899272:24902987:1 gene:PRUPE_2G220600 transcript:ONI24041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLPLNCSHEHEAQRAKLNQKRDRENAMTPMRMTYRTVFKVYQSKNRAPYLFQSRSYSQSQCQSRPEPERSSFGIAFDIDGVILRGRVPVGGSPRALRKLYGTSGTLKAPFLFLTNGGGIPESRRAAELTELLGVNILPSQVVQGHTPFKSLLRRYENELIIALGKGEPALVMSDYGFKKVLSLDEYASYFKNIDPVSQYKLWRTKQALDCSHPKESVPRYDVYSDRVSAAFVVSDPVDWGRDIQVLCDILRSGGFPGQENGHQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNTIHHDALEYVSFGKPNPFVFKNTEAILTQLQPSHCHNDTTNTGNSQSHAFKTLYMIGDNPSVDVKGAQQAGHPWFSILTRTGVFKGKDNHAEFPADLVVDTVEEAVDYILKREGTS >ONI25161 pep chromosome:Prunus_persica_NCBIv2:G2:28207891:28209961:1 gene:PRUPE_2G285200 transcript:ONI25161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKISNPDLLQGLYLYTIMSDCLGDGIFAVDGAKWVHQRKVASNELLTKSVRDFSGAVFKTNGVKLARIISEAATSGQAIEIQDLFMKAALDSIVNVLLGIEVDTMYGTNKEAIRFSNAFDVANEMTLYRCVDFSWKIKKLLNIGSEAMLRKSIKVADEFVYNLIKSKTETVANSGDDVHLKRRDILSRLLESGQTDPKYLRDIIFSLFVAGKDTAASTLTWFIYMVCKHPDIQEKIAQEVREATNLKDNSSIDELADSLTEETLSKMQYLVAALTETSRLYPAVPLNAKVCSSDDTWPDGFSVKKGDIVGYHAYSMGRMKFIWGDDAEEFRPERWLDENGLVQQESSFKLIAFSAGPRICVGKEFAYRQMMIFSAVLLGSYILKLRDENKVANYRTKFTHHIDGGLYVQASPRFANASP >ONI25160 pep chromosome:Prunus_persica_NCBIv2:G2:28207425:28209961:1 gene:PRUPE_2G285200 transcript:ONI25160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMDFLPISLSPIAISLAVILAVLMIRVHLGTEKNKKRYHPVVATFLSALVNFSRLHDYMTELAFKNKTYRVLNLFQNYVFTTDPANVEYFLKTNFSNYGRGLYLYTIMSDCLGDGIFAVDGAKWVHQRKVASNELLTKSVRDFSGAVFKTNGVKLARIISEAATSGQAIEIQDLFMKAALDSIVNVLLGIEVDTMYGTNKEAIRFSNAFDVANEMTLYRCVDFSWKIKKLLNIGSEAMLRKSIKVADEFVYNLIKSKTETVANSGDDVHLKRRDILSRLLESGQTDPKYLRDIIFSLFVAGKDTAASTLTWFIYMVCKHPDIQEKIAQEVREATNLKDNSSIDELADSLTEETLSKMQYLVAALTETSRLYPAVPLNAKVCSSDDTWPDGFSVKKGDIVGYHAYSMGRMKFIWGDDAEEFRPERWLDENGLVQQESSFKLIAFSAGPRICVGKEFAYRQMMIFSAVLLGSYILKLRDENKVANYRTKFTHHIDGGLYVQASPRFANASP >ONI25162 pep chromosome:Prunus_persica_NCBIv2:G2:28207891:28209961:1 gene:PRUPE_2G285200 transcript:ONI25162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKISNPDLLQGLYLYTIMSDCLGDGIFAVDGAKWVHQRKVASNELLTKSVRDFSGAVFKTNGVKLARIISEAATSGQAIEIQDLFMKAALDSIVNVLLGIEVDTMYGTNKEAIRFSNAFDVANEMTLYRCVDFSWKIKKLLNIGSEAMLRKSIKVADEFVYNLIKSKTETVANSGDDVHLKRRDILSRLLESGQTDPKYLRDIIFSLFVAGKDTAASTLTWFIYMVCKHPDIQEKIAQEVREATNLKDNSSIDELADSLTEETLSKMQYLVAALTETSRLYPAVPLAGPRICVGKEFAYRQMMIFSAVLLGSYILKLRDENKVANYRTKFTHHIDGGLYVQASPRFANASP >ONI25159 pep chromosome:Prunus_persica_NCBIv2:G2:28207161:28209961:1 gene:PRUPE_2G285200 transcript:ONI25159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAVITSPSATTWSEHKLAGLYLYTIMSDCLGDGIFAVDGAKWVHQRKVASNELLTKSVRDFSGAVFKTNGVKLARIISEAATSGQAIEIQDLFMKAALDSIVNVLLGIEVDTMYGTNKEAIRFSNAFDVANEMTLYRCVDFSWKIKKLLNIGSEAMLRKSIKVADEFVYNLIKSKTETVANSGDDVHLKRRDILSRLLESGQTDPKYLRDIIFSLFVAGKDTAASTLTWFIYMVCKHPDIQEKIAQEVREATNLKDNSSIDELADSLTEETLSKMQYLVAALTETSRLYPAVPLNAKVCSSDDTWPDGFSVKKGDIVGYHAYSMGRMKFIWGDDAEEFRPERWLDENGLVQQESSFKLIAFSAGPRICVGKEFAYRQMMIFSAVLLGSYILKLRDENKVANYRTKFTHHIDGGLYVQASPRFANASP >ONI24373 pep chromosome:Prunus_persica_NCBIv2:G2:25806623:25812262:-1 gene:PRUPE_2G236800 transcript:ONI24373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPQFGATADTLSKASTMVFRIGTDAHLYDDPDDVSIAPLLDSKFDSEKCEALKRLLALIAQGFEVSNFFPQVVKNVASQSLEVKKLVYLYLLHYAQKRPNEALLSINYFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAAGKCARDPSVYVRKCAANALPKLHDLRLDENTAGIEEIIGILLNDHSPCVVGAAAAAFSSVCPNNLALIGRNYKRLCEILPDVEEWGKIILIGILLRYIIARHGLVKESIMFSLHSTENSQSEKDCSDTNSALVEDNGDMSGRYQSELANIVSRCYIEGPAEHLSRLSLMNKDASECNYASFTSGKNNDDVKILLQCTSPLLWSNNSAVVLAAAGVHWIMAPIEDLKRIVKPLLFVLRSSNASKYVVLCNVQVFAKAIPSLFSLYFEDFFICSSDSYQIKALKLDILAYIATDSSISFILKEFQDYIRDPDRRFAADTVAGIGICAQRLPEMANTCLEFLLALTRQQLMTGEFGSVDGEADILIQAIMSIKSIIQQDPPSHEKLVRSLNSIKVPAARAIIVWMVGEYNSLGDLIPKMLATVLKYLAWCFTSEELETKLQICNTTVKVLLHAKGNDLLTIKKVLIYVLELAKCDLNYDIRDRAHFLRKILSTYLDSRGLEEETNCLAQHKDSSCVLAEYLFGGQKKPMPHEPIDHRFYLPGSLSQIVLHAAPGYEPLPKPCSLRCDGLKMNEFGEGVTNGDPYVTDNEDSESEFLDEENASSYSSQHSDMDSSGSGGSEEAGSASEGDENSHPLIQFSDVGNANEKKNIASQSASDFGELLSNRALESWLDEQPGFSSTNTSEQSQVRRSSARISIGDIGGQIKPKSYALLDPVNGNGLKADYSFSSEISSISPLFLCIEVSFKNCSKEIVSDITLVDEESGKGMDSVDQASGSRESSTTPENNEPNLVSVEEIASLEPGQAMTRTVQVRFHHHLLPLKLTLYCNGKRHPVKLRPDIGYFVKALPMDVEAFTKKESHLRGMFECVRRCTFTDHIKELDKDKGDDSLVEDKFLVICRNLALKMLSSANLHLVSVDLPVAANLDDATGLCLRFSSKLLSTSAPCLITITVQGRCSEPLEMSVKVNCEETVFGLNLLNRIVNVLVEPSHAHE >ONI24374 pep chromosome:Prunus_persica_NCBIv2:G2:25806766:25812013:-1 gene:PRUPE_2G236800 transcript:ONI24374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPQFGATADTLSKASTMVFRIGTDAHLYDDPDDVSIAPLLDSKFDSEKCEALKRLLALIAQGFEVSNFFPQVVKNVASQSLEVKKLVYLYLLHYAQKRPNEALLSINYFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAAGKCARDPSVYVRKCAANALPKLHDLRLDENTAGIEEIIGILLNDHSPCVVGAAAAAFSSVCPNNLALIGRNYKRLCEILPDVEEWGKIILIGILLRYIIARHGLVKESIMFSLHSTENSQSEKDCSDTNSALVEDNGDMSGRYQSELANIVSRCYIEGPAEHLSRLSLMNKDASECNYASFTSGKNNDDVKILLQCTSPLLWSNNSAVVLAAAGVHWIMAPIEDLKRIVKPLLFVLRSSNASKYVVLCNVQVFAKAIPSLFSLYFEDFFICSSDSYQIKALKLDILAYIATDSSISFILKEFQDYIRDPDRRFAADTVAGIGICAQRLPEMANTCLEFLLALTRQQLMTGEFGSVDGEADILIQAIMSIKSIIQQDPPSHEKVIIQLVRSLNSIKVPAARAIIVWMVGEYNSLGDLIPKMLATVLKYLAWCFTSEELETKLQICNTTVKVLLHAKGNDLLTIKKVLIYVLELAKCDLNYDIRDRAHFLRKILSTYLDSRGLEEETNCLAQHKDSSCVLAEYLFGGQKKPMPHEPIDHRFYLPGSLSQIVLHAAPGYEPLPKPCSLRCDGLKMNEFGEGVTNGDPYVTDNEDSESEFLDEENASSYSSQHSDMDSSGSGGSEEAGSASEGDENSHPLIQFSDVGNANEKKNIASQSASDFGELLSNRALESWLDEQPGFSSTNTSEQSQVRRSSARISIGDIGGQIKPKSYALLDPVNGNGLKADYSFSSEISSISPLFLCIEVSFKNCSKEIVSDITLVDEESGKGMDSVDQASGSRESSTTPENNEPNLVSVEEIASLEPGQAMTRTVQVRFHHHLLPLKLTLYCNGKRHPVKLRPDIGYFVKALPMDVEAFTKKESHLRGMFECVRRCTFTDHIKELDKDKGDDSLVEDKFLVICRNLALKMLSSANLHLVSVDLPVAANLDDATGLCLRFSSKLLSTSAPCLITITVQGRCSEPLEMSVKVNCEETVFGLNLLNRIVNVLVEPSHAHE >ONI20372 pep chromosome:Prunus_persica_NCBIv2:G2:1050786:1054805:1 gene:PRUPE_2G012200 transcript:ONI20372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQVQHPSVVQKVAGQLLQQSIQGYDSGFQRPGMYQRRAYGNYSNAALQYPFMPACRATTDLSLVPSTASPIFVQAPAEKGHFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFGRTIKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGLAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVVLTGGLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFKQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDKLQLVVFGKKYGSGGA >ONI20373 pep chromosome:Prunus_persica_NCBIv2:G2:1051033:1054770:1 gene:PRUPE_2G012200 transcript:ONI20373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQVQHPSVVQKVAGQLLQQSIQGYDSGFQRPGMYQRRAYGNYSNAALQYPFMPACRATTDLSLVPSTASPIFVQAPAEKGHFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFGRTIKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGERQFNGLVDVYRKTLKSDGLAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVVLTGGLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFKQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDKLQLVVFGKKYGSGGA >ONI20371 pep chromosome:Prunus_persica_NCBIv2:G2:1051039:1054770:1 gene:PRUPE_2G012200 transcript:ONI20371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQVQHPSVVQKVAGQLLQQSIQGYDSGFQRPGMYQRRAYGNYSNAALQYPFMPACRATTDLSLVPSTASPIFVQAPAEKGHFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFGRTIKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLKSDGLAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVVLTGGLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFKQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDKLQLVVFGKKYGSGGA >ONI23744 pep chromosome:Prunus_persica_NCBIv2:G2:24016110:24017189:-1 gene:PRUPE_2G205700 transcript:ONI23744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKEQHHNNIIIKGKRTKRLRPQSPIPFAIPTTSSSPEDGGGGDGGGENDYINSATNLSPTTSVEFQDSTTEEEEDMANCLILLAKGQSRAASSSPSHHHHQPHNDHNTTSRRFLEATTAVGPTGAKAGAVAAGGGYHAYECKTCNRTFPSFQALGGHRASHKKPKANTGDDQKNKHFAIGLLPSDEEDTQLFKNSILHNNNNTSSSPLSLHLSNRGLVLSSNKSSSKVHECSICGAEFTSGQALGGHMRRHRAPAAANTTLALTAPSVSAFEPQQHQNQHQQQQPIKKQRSVLSLDLDLNLPAPEDDHHKESKFVFATSKQQQQQQQQQQQQQQQQQQQQQQQPERLRTQILVDCHY >ONI25096 pep chromosome:Prunus_persica_NCBIv2:G2:27966376:27971893:-1 gene:PRUPE_2G280500 transcript:ONI25096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLRRSCPKLRFKPGYIFGYPGPITTRRQYAFSTATGLYGFDHLKTPKGFRRFVDDAIERSGELVAYISGMPSSAEIIRAMDEISNTVCSVIDSAELCRQTHPDREFVEEAIKASIRVNEYLHYLNTNHTLYNAMIKAEQEGNLLTNEAHRVAHYLRLDFERSGIHLSAEKVDRVNRLSIEISQLCRQFNQNIVNDPGTVDIFPASLIPRNLHHLLKPIYRSTSVVSKDSWRPGGTMNEKGFRITTDPHTLSCVLQGAPNDEVRKMAYIKGNSVPHANLGVLDQLVASRHELAQIMGYRSYAEFTVKPNMASSPEVVMSFLLEMSKMVKPSADEELKKIRDFKREKCGQQYGDLEPWDEAYYTAMMKSSAYDLDSSVVASYFPLPQCIKGLKVLVESLFGATFHSIPLAPGESWHPDVLKMSLHHPEEGDLGYLYLDLYSRKGKYPGCAHFAIKGGRMVSETEYQLPVVALVCNFSASHNSSTARLNHWELETLFHEFGHALHSLLSRTDYQHFSGTRVALDLAEAPSNLFEYYSWDYRVLKTFAKHYSTGESIPEKLVESMQGARKMFAATELQRQIFYAVIDQTFFGEQPTPPTSSIVADLKRQYTSWKHAAGTHWEARFNHLLNYGAGYYSYLYAKCFAATIWQKLCQEDPLSLTTGTALRTKFLQHGGAKEPSHLLSGLVGDGILRNFNGGMVPDISCLCNEMKLEKV >ONI24744 pep chromosome:Prunus_persica_NCBIv2:G2:26987082:26990746:1 gene:PRUPE_2G259700 transcript:ONI24744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLINCVFDQHNKKKPHQLRYSHWAMSLTVKLGFPQPAHSLVLPTLTSKNKTQNSTLTLSSPTTQKPNGTYGSKFPSSIGINETQPKSQIQPLIDILHGCEDMGSVKQAKAVHGFVLKSELSDRNLLVVLNHLAHAYSKCSDFGTARRVFDEMSCRNIFSWTVMIVGSTESGFFLDGFKFFSEMVNSGILPDKFAYSAVVQTCIGLDCILLGKMVHAQVFVRGFASDTFVSTSLLNMYAKFGKIEDSCKMFNTMTEHNKVSWNAMISGLTSNGLHFEAFDYFLRMKKEGITPNMYTLISVSKAAGKLGDVNKSKVVHSYASELEMESSVQVGTALIDMYSKCKSLSDARSVFDLNFTSCGVNPPWNAMISGYSQCGHSQKAMELFVKMCLKNIQPDIYTYCSVFNAIAELKCLQFGKQIHGMVLKSGIEMKVTSVSNAIADAYAKCGLLEDVQKVFDRIEERDLVSWTTLVTAYSQGSEWEDALTIFSKLREEGFMPNQFTFSSVLVACASLCLLEYGQQVHGLLCKAGLDTEKCIESALIDMYAKCGNIAEAQEVFERISEADTISWTAIISGYAQHGLVEDALELFKRMEQMGVKANDVTLLCVLFACSHRGMVEEGLYHFHVMEKLYGVVPKIEHYACIVDLLGRVGRLNDAVEFIKGMPIEPNEMVWQTLLGACRVHENVELGEIVADKILSVRPEYSATYVLLSNTYIGTGSYKDGISLRDVMKDRGVKKEPGCSWISVKGRIHKFYAGDRQHPEKHEIYAKLEELRVKLKSMGYVPDLSYVLQDVNLVENMGIPG >ONI25138 pep chromosome:Prunus_persica_NCBIv2:G2:28136769:28143343:-1 gene:PRUPE_2G283600 transcript:ONI25138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERVVFCVGLALLPLFFPFASPLNDEGKALMSIKASFSNVANVLLDWNDAHDEDFCSWRGVFCDNVTLSVASLNLSNLNLGGEISPAIGDLGNLQSIDLQGNKLTGQIPDEIGNCASLMHLDLSDNFLYGDVPFSVSKLKKLELLNLKNNQLTGPIPTTLTQIPNLKTLDLARNQLTGEIPRLIYWNEVLQYLGLRGNSLTGSLSPDMCQLTGLWYFDVRGNNLTGPIPDNIGNCTSFEILDISYNQITGGIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLHGNKLTGPIPPELGNMSKLSYLQLNDNKLLGTIPAELGKLQQLFELNLANNELEGPIPHEISFCTALNQFNVHGNHLTGSIPMAFRNLQSLTYLNLSSNYFSDRIAIELGRIINLDTLDLSRNNFSGPVPASVGDLEHLLTLNLSDNHLDGSLPAEFGNLRSVQIIDMSFNNLSGSIPAELGQLQNLVALILNNNSLHGRIPDQLTNCFSLATLNFSYNNLSGVIPPMRNFSRFSPDSFIGNPLLCGDWLGSICRPCAAKSRAIFSRTAVVCMTLGLITVLSMVIVAVYKSNQAKQLVMGSSKSGQGPPTLVILHMDMAIHTFDDIMRITENLNEKYIIGYGASSTVYKCVLKNSRPMAIKRIYNRYPDNMREFETELETIGSIKHRNLVSLHGYSLSPHGNLLFYDYMENGSLWDLLHGPAKKVKLDWETRLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFVAHLSDFGIAKSIPAAKTHASTYVLGTIGYIDPEYARTSRLTEKSDVYSFGVVLLELLTGKKAVDKESNLHQLILSKADTNTVMETLDPEVSVTCMDLSHVRKTFQLALLCLKRNPIERPFMHEVARVLVSLLPAPPTKHCSVSKGIDYAKFAVDKGQQQPKLQQQQQPQQQQHHPVQQESNSSDAQWFERFGEVISKNTL >ONI24432 pep chromosome:Prunus_persica_NCBIv2:G2:26004916:26009555:1 gene:PRUPE_2G240000 transcript:ONI24432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNHKSSRGAQLGEAMSNSKPGVDQESCSRSISETVNGSHRFTIKGYSLAKGMGAGKYIMSDTFTVGGYDWAIYFYPDGKNPEDSSTYVSVFIALVSEGTDVRALFELTLVDQTKSGKDKVHSHFDRALESGPYTLKYRGSMWGYKRFFKRSALETSEFLRDDCLVLNCTVGVVRTRLERPKQFSITVPSSDMGQDLKDFLDSEAGCDIVFQVGDELFKAHKLILAARSPVFRAQFFGLVGDCSIDKVVVKDVEPFIFKAMLLFIYTDKLPDVHEVMGSSPLCTFTVMVQHLLAAADLYNLERLKVLCESKLCEEITTETVATTLALAEQHHCPQLKAVCLKFAANPANLGGSQ >ONI24431 pep chromosome:Prunus_persica_NCBIv2:G2:26004910:26009636:1 gene:PRUPE_2G240000 transcript:ONI24431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNHKSSRGAQLGEAMSNSKPGVDQESCSRSISETVNGSHRFTIKGYSLAKGMGAGKYIMSDTFTVGGYDWAIYFYPDGKNPEDSSTYVSVFIALVSEGTDVRALFELTLVDQTKSGKDKVHSHFDRALESGPYTLKYRGSMWGYKRFFKRSALETSEFLRDDCLVLNCTVGVVRTRLERPKQFSITVPSSDMGQDLKDFLDSEAGCDIVFQVGDELFKAHKLILAARSPVFRAQFFGLVGDCSIDKVVVKDVEPFIFKAMLLFIYTDKLPDVHEVMGSSPLCTFTVMVQHLLAAADLYNLERLKVLCESKLCEEITTETVATTLALAEQHHCPQLKAVCLKFAANPANLGAVMQSDGYKHLEESCPSMLLELLETFAAVDESSSLLSSRKRSGSSIYGLDLPADGGGAVAESANPNGRRVRRRY >ONI24430 pep chromosome:Prunus_persica_NCBIv2:G2:26004916:26014229:1 gene:PRUPE_2G240000 transcript:ONI24430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNHKSSRGAQLGEAMSNSKPGVDQESCSRSISETVNGSHRFTIKGYSLAKGMGAGKYIMSDTFTVGGYDWAIYFYPDGKNPEDSSTYVSVFIALVSEGTDVRALFELTLVDQTKSGKDKVHSHFDRALESGPYTLKYRGSMWGYKRFFKRSALETSEFLRDDCLVLNCTVGVVRTRLERPKQFSITVPSSDMGQDLKDFLDSEAGCDIVFQVGDELFKAHKLILAARSPVFRAQFFGLVGDCSIDKVVVKDVEPFIFKAMLLFIYTDKLPDVHEVMGSSPLCTFTVMVQHLLAAADLYNLERLKVLCESKLCEEITTETVATTLALAEQHHCPQLKAVCLKFAANPANLGAVMQSDGYKHLEESCPSMLLELL >ONI21633 pep chromosome:Prunus_persica_NCBIv2:G2:11880517:11888648:1 gene:PRUPE_2G077000 transcript:ONI21633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTNSALFASSLTLHRSKISDSEFALPPHLSPYEPSKLLPFPTIYSQHADTFSRKMNTVRASNNGLNAVGSTSLKSDQDGDYVPMPVVMIDQDSDSDATIVQLSFGDRLGALIDTINALKDLGLDVVKGTVTTEGPVKQTKFYITWLDTGRKVEDPDMLERIRLTIINNLLKYHPESSQLLAMGEAFGIKGPEKKLDVDIATHIHVKEDGPKRSLLYIETADRPGLLVEIIKIIADVNIDVESAEIDTEGLVAKDTFHVSYRGAALNSSLSQVLVNCLRYYIRRPETDIDSY >ONI23057 pep chromosome:Prunus_persica_NCBIv2:G2:21597409:21600790:-1 gene:PRUPE_2G167600 transcript:ONI23057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHGRCLKLFLAFALLLLQNVKGGEVGRSDKDANATGTCVERERQALLAFKRGLVDEYNSLSSWSSESHKQDCCRWIGVSCNNHTGHVIQLDLEDYLSKGKMISPKLIELQHLQHLDLGEIDFNGSQVPDSVGSLTNLRYLDLSSCNFGGQIPSQIGNLTQLQYLHLGGNQFNVENLNWLTGLSSLTDLDISDVNLSNIFDWPEAVNKLPKLRHLDLRDCSLPPPPPRIHSTPFSNINSSTSLTDLNLRDNHLTSTIFLWLSNYTTASLVDLNLSKNNLTGLIPDVIGNMSSLASLDLSDNQIEGGNPNSFARLCSLQFLDIPGNRLSGQLSKFVQLLPTCTQNSLETLDLSHNDLAGSLTNLTTFSSLQILSVNNNQLTGRIPESIGQMSQLEHIDFGMNSLEGVVSETHFSHLHNLSSLDLSSNSLVLNFPSDWVPPFQLDTILLSSCKMGPHFPKWLQTQNDCLALDISDAEISDILPSWFWSMFCNSVFINLSNNQIRGIFANLTVNFAIFPEVYLSSNQIQGPIPSTLLQASYLDLSNNNISGSLSLLCASATSLTFLNLSSNSVSGELPDCWNKLENLVMLDLSNNAFSGKIPTTIGSLFKIETLKLRNNSFAGELPSSLKNCTSLEVIDLGNNKLSGPIPTWLGVSFKNLVILMLSFNNFNGSMPPQLCHLVHVQILDFSMNNISGSIPKCLNNLTALSQKGNSSLTSTHYYRKENDKIVFPGNSYEDDATFIWKGRMSTYKSILGLVKRIDLSSNRLTGEIPSEITYLVELVSLNLSRNQLTGQITPEIGNLQSLDSLDLSRNRISGRIPTSLARIDRLAVLDLSYNNLSGQIPIGTQLQSFDRSVYAENPQLCGAPLLKMCADPSEYSNTKDTYELITLGFYISMALGFVAGFWGVCFTLIFKRSWRYEYFKFLNGLNDWLYVRVALIKRQLKDTLN >ONI23059 pep chromosome:Prunus_persica_NCBIv2:G2:21597409:21601632:-1 gene:PRUPE_2G167600 transcript:ONI23059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPKLIELQHLQHLDLGEIDFNGSQVPDSVGSLTNLRYLDLSSCNFGGQIPSQIGNLTQLQYLHLGGNQFNVENLNWLTGLSSLTDLDISDVNLSNIFDWPEAVNKLPKLRHLDLRDCSLPPPPPRIHSTPFSNINSSTSLTDLNLRDNHLTSTIFLWLSNYTTASLVDLNLSKNNLTGLIPDVIGNMSSLASLDLSDNQIEGGNPNSFARLCSLQFLDIPGNRLSGQLSKFVQLLPTCTQNSLETLDLSHNDLAGSLTNLTTFSSLQILSVNNNQLTGRIPESIGQMSQLEHIDFGMNSLEGVVSETHFSHLHNLSSLDLSSNSLVLNFPSDWVPPFQLDTILLSSCKMGPHFPKWLQTQNDCLALDISDAEISDILPSWFWSMFCNSVFINLSNNQIRGIFANLTVNFAIFPEVYLSSNQIQGPIPSTLLQASYLDLSNNNISGSLSLLCASATSLTFLNLSSNSVSGELPDCWNKLENLVMLDLSNNAFSGKIPTTIGSLFKIETLKLRNNSFAGELPSSLKNCTSLEVIDLGNNKLSGPIPTWLGVSFKNLVILMLSFNNFNGSMPPQLCHLVHVQILDFSMNNISGSIPKCLNNLTALSQKGNSSLTSTHYYRKENDKIVFPGNSYEDDATFIWKGRMSTYKSILGLVKRIDLSSNRLTGEIPSEITYLVELVSLNLSRNQLTGQITPEIGNLQSLDSLDLSRNRISGRIPTSLARIDRLAVLDLSYNNLSGQIPIGTQLQSFDRSVYAENPQLCGAPLLKMCADPSEYSNTKDTYELITLGFYISMALGFVAGFWGVCFTLIFKRSWRYEYFKFLNGLNDWLYVRVALIKRQLKDTLN >ONI23058 pep chromosome:Prunus_persica_NCBIv2:G2:21597409:21600822:-1 gene:PRUPE_2G167600 transcript:ONI23058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHGRCLKLFLAFALLLLQNVKGGEVGRSDKDANATGTCVERERQALLAFKRGLVDEYNSLSSWSSESHKQDCCRWIGVSCNNHTGHVIQLDLEDYLSKGKMISPKLIELQHLQHLDLGEIDFNGSQVPDSVGSLTNLRYLDLSSCNFGGQIPSQIGNLTQLQYLHLGGNQFNVENLNWLTGLSSLTDLDISDVNLSNIFDWPEAVNKLPKLRHLDLRDCSLPPPPPRIHSTPFSNINSSTSLTDLNLRDNHLTSTIFLWLSNYTTASLVDLNLSKNNLTGLIPDVIGNMSSLASLDLSDNQIEGGNPNSFARLCSLQFLDIPGNRLSGQLSKFVQLLPTCTQNSLETLDLSHNDLAGSLTNLTTFSSLQILSVNNNQLTGRIPESIGQMSQLEHIDFGMNSLEGVVSETHFSHLHNLSSLDLSSNSLVLNFPSDWVPPFQLDTILLSSCKMGPHFPKWLQTQNDCLALDISDAEISDILPSWFWSMFCNSVFINLSNNQIRGIFANLTVNFAIFPEVYLSSNQIQGPIPSTLLQASYLDLSNNNISGSLSLLCASATSLTFLNLSSNSVSGELPDCWNKLENLVMLDLSNNAFSGKIPTTIGSLFKIETLKLRNNSFAGELPSSLKNCTSLEVIDLGNNKLSGPIPTWLGVSFKNLVILMLSFNNFNGSMPPQLCHLVHVQILDFSMNNISGSIPKCLNNLTALSQKGNSSLTSTHYYRKENDKIVFPGNSYEDDATFIWKGRMSTYKSILGLVKRIDLSSNRLTGEIPSEITYLVELVSLNLSRNQLTGQITPEIGNLQSLDSLDLSRNRISGRIPTSLARIDRLAVLDLSYNNLSGQIPIGTQLQSFDRSVYAENPQLCGAPLLKMCADPSEYSNTKDTYELITLGFYISMALGFVAGFWGVCFTLIFKRSWRYEYFKFLNGLNDWLYVRVALIKRQLKDTLN >ONI21753 pep chromosome:Prunus_persica_NCBIv2:G2:13672518:13674076:-1 gene:PRUPE_2G086300 transcript:ONI21753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSFSSASLSPYYHLFFTALAFLISGLIFLLTQKSKSKHLNLPPGPPGWPIVGNLFQFSRSGKSFIEYADELRLKYGPIFTLRMGTRTMIILSDAKLVHEALIEKGVVFATRPSENPTRTIFSCNKFTVNASLYGPVWRSLRRNMVQNMLSSTRLKEFRSVRENAMNTLIERIKAEAQANDGVVSVLKTARFAVFCILLAMCFGIEMDEETVEKMDQMMKAVLIVLDPRIDDYLPILSPFFSKQRKRALEVRNEQIDYIVPFIERRRRALENPGSDPQATTFSYLDTLFDLKVDGRKSAPSHAELVSLCSEFLNGGTDTTATAIEWGIAQLIDNPEVQEKLYREVKASVGDRKVDEKDVDKMPYLQAVVKELLRKHPPTYFSLTHAVTEPTTLAGYDIPTGVNVELYLPAISEDPKLWSDPKKFNPDRFVSGGEEADITGVTGIKMMPFGVGRRICPGLGMATVHVHLMLARMVQEFEWSAYPAGEKLDFAGKLEFTVVMKNKLSAKIKPRV >ONI22575 pep chromosome:Prunus_persica_NCBIv2:G2:19461786:19472300:-1 gene:PRUPE_2G137200 transcript:ONI22575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22581 pep chromosome:Prunus_persica_NCBIv2:G2:19465184:19472300:-1 gene:PRUPE_2G137200 transcript:ONI22581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRNPKSPYDSLMTQDQLIDSLTSHVSLYHSHSNTSDLKPNPNPRSAILKWFSSLTVHQRQAHLTAVDSKFVRILIQMLGKLRTNSHGFFIILPDLPSGDLPTLCFKRSSGLLSRVSESNELERRIFESTRLFASREGEKIEECSCSVKDLDTVSVSEGLVENVDRFVAVMDEISNGDFLRGEESDLGLDWVEFNWLKDKGYYSMEAFVANRLEVALRLAWLSCSNGKKRGVKLKEKMSAAGLAANVYWRKKGCVDSWGNLDLATRRNILTSVLGKSAKPLILEILKGTSSEVGDEMWLFNTGVEQPLRYNHNVSMRKTVPKLVADTEFGSSIIPASLSGESASLVGAFNNLILLQDIVMMISLCRHSEYDKGKLFYSTLSSISTISDFILRKVRGFLMVILLDCTKLELLAEGDKSLPKKSKAKPSACSRKSKGRTRNMKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLHPDQGLNYNAL >ONI22577 pep chromosome:Prunus_persica_NCBIv2:G2:19461871:19472300:-1 gene:PRUPE_2G137200 transcript:ONI22577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22565 pep chromosome:Prunus_persica_NCBIv2:G2:19461744:19472300:-1 gene:PRUPE_2G137200 transcript:ONI22565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRNPKSPYDSLMTQDQLIDSLTSHVSLYHSHSNTSDLKPNPNPRSAILKWFSSLTVHQRQAHLTAVDSKFVRILIQMLGKLRTNSHGFFIILPDLPSGDLPTLCFKRSSGLLSRVSESNELERRIFESTRLFASREGEKIEECSCSVKDLDTVSVSEGLVENVDRFVAVMDEISNGDFLRGEESDLGLDWVEFNWLKDKGYYSMEAFVANRLEVALRLAWLSCSNGKKRGVKLKEKMSAAGLAANVYWRKKGCVDSWGNLDLATRRNILTSVLGKSAKPLILEILKGTSSEVGDEMWLFNTGVEQPLRYNHNVSMRKTVPKLVADTEFGSSIIPASLSGESASLVGAFNNLILLQDIVMMISLCRHSEYDKGKLFYSTLSSISTISDFILRKVRGFLMVILLDCTKLELLAEGDKSLPKKSKAKPSACSRKSKGRTRNMKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22570 pep chromosome:Prunus_persica_NCBIv2:G2:19461871:19472301:-1 gene:PRUPE_2G137200 transcript:ONI22570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRNPKSPYDSLMTQDQLIDSLTSHVSLYHSHSNTSDLKPNPNPRSAILKWFSSLTVHQRQAHLTAVDSKFVRILIQMLGKLRTNSHGFFIILPDLPSGDLPTLCFKRSSGLLSRVSESNELERRIFESTRLFASREGEKIEECSCSVKDLDTVSVSEGLVENVDRFVAVMDEISNGDFLRGEESDLGLDWVEFNWLKDKGYYSMEAFVANRLEVALRLAWLSCSNGKKRGVKLKEKMSAAGLAANVYWRKKGCVDSWGNLDLATRRNILTSVLGKSAKPLILEILKGTSSEVGDEMWLFNTGVEQPLRYNHNVSMRKTVPKLVADTEFGSSIIPASLSGESASLVGAFNNLILLQDIVMMISLCRHSEYDKGKLFYSTLSSISTISDFILRKVRGFLMVILLDCTKLELLAEGDKSLPKKSKAKPSACSRKSKGRTRNMKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22571 pep chromosome:Prunus_persica_NCBIv2:G2:19461744:19472350:-1 gene:PRUPE_2G137200 transcript:ONI22571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRNPKSPYDSLMTQDQLIDSLTSHVSLYHSHSNTSDLKPNPNPRSAILKWFSSLTVHQRQAHLTAVDSKFVRILIQMLGKLRTNSHGFFIILPDLPSGDLPTLCFKRSSGLLSRVSESNELERRIFESTRLFASREGEKIEECSCSVKDLDTVSVSEGLVENVDRFVAVMDEISNGDFLRGEESDLGLDWVEFNWLKDKGYYSMEAFVANRLEVALRLAWLSCSNGKKRGVKLKEKMSAAGLAANVYWRKKGCVDSWGNLDLATRRNILTSVLGKSAKPLILEILKGTSSEVGDEMWLFNTGVEQPLRYNHNVSMRKTVPKLVADTEFGSSIIPASLSGESASLVGAFNNLILLQDIVMMISLCRHSEYDKGKLFYSTLSSISTISDFILRKVRGFLMVILLDCTKLELLAEGDKSLPKKSKAKPSACSRKSKGRTRNMKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22569 pep chromosome:Prunus_persica_NCBIv2:G2:19461744:19472300:-1 gene:PRUPE_2G137200 transcript:ONI22569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRNPKSPYDSLMTQDQLIDSLTSHVSLYHSHSNTSDLKPNPNPRSAILKWFSSLTVHQRQAHLTAVDSKFVRILIQMLGKLRTNSHGFFIILPDLPSGDLPTLCFKRSSGLLSRVSESNELERRIFESTRLFASREGEKIEECSCSVKDLDTVSVSEGLVENVDRFVAVMDEISNGDFLRGEESDLGLDWVEFNWLKDKGYYSMEAFVANRLEVALRLAWLSCSNGKKRGVKLKEKMSAAGLAANVYWRKKGCVDSWGNLDLATRRNILTSVLGKSAKPLILEILKGTSSEVGDEMWLFNTGVEQPLRYNHNVSMRKTVPKLVADTEFGSSIIPASLSGESASLVGAFNNLILLQDIVMMISLCRHSEYDKGKLFYSTLSSISTISDFILRKVRGFLMVILLDCTKLELLAEGDKSLPKKSKAKPSACSRKSKGRTRNMKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22578 pep chromosome:Prunus_persica_NCBIv2:G2:19461744:19472300:-1 gene:PRUPE_2G137200 transcript:ONI22578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22580 pep chromosome:Prunus_persica_NCBIv2:G2:19463530:19470035:-1 gene:PRUPE_2G137200 transcript:ONI22580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22572 pep chromosome:Prunus_persica_NCBIv2:G2:19463530:19470938:-1 gene:PRUPE_2G137200 transcript:ONI22572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFNTGVEQPLRYNHNVSMRKTVPKLVADTEFGSSIIPASLSGESASLVGAFNNLILLQDIVMMISLCRHSEYDKGKLFYSTLSSISTISDFILRKVRGFLMVILLDCTKLELLAEGDKSLPKKSKAKPSACSRKSKGRTRNMKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22579 pep chromosome:Prunus_persica_NCBIv2:G2:19463530:19470035:-1 gene:PRUPE_2G137200 transcript:ONI22579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22574 pep chromosome:Prunus_persica_NCBIv2:G2:19461871:19472300:-1 gene:PRUPE_2G137200 transcript:ONI22574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFNTGVEQPLRYNHNVSMRKTVPKLVADTEFGSSIIPASLSGESASLVGAFNNLILLQDIVMMISLCRHSEYDKGKLFYSTLSSISTISDFILRKVRGFLMVILLDCTKLELLAEGDKSLPKKSKAKPSACSRKSKGRTRNMKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22567 pep chromosome:Prunus_persica_NCBIv2:G2:19461784:19472350:-1 gene:PRUPE_2G137200 transcript:ONI22567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRNPKSPYDSLMTQDQLIDSLTSHVSLYHSHSNTSDLKPNPNPRSAILKWFSSLTVHQRQAHLTAVDSKFVRILIQMLGKLRTNSHGFFIILPDLPSGDLPTLCFKRSSGLLSRVSESNELERRIFESTRLFASREGEKIEECSCSVKDLDTVSVSEGLVENVDRFVAVMDEISNGDFLRGEESDLGLDWVEFNWLKDKGYYSMEAFVANRLEVALRLAWLSCSNGKKRGVKLKEKMSAAGLAANVYWRKKGCVDSWGNLDLATRRNILTSVLGKSAKPLILEILKGTSSEVGDEMWLFNTGVEQPLRYNHNVSMRKTVPKLVADTEFGSSIIPASLSGESASLVGAFNNLILLQDIVMMISLCRHSEYDKGKLFYSTLSSISTISDFILRKVRGFLMVILLDCTKLELLAEGDKSLPKKSKAKPSACSRKSKGRTRNMKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22566 pep chromosome:Prunus_persica_NCBIv2:G2:19461808:19472300:-1 gene:PRUPE_2G137200 transcript:ONI22566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRNPKSPYDSLMTQDQLIDSLTSHVSLYHSHSNTSDLKPNPNPRSAILKWFSSLTVHQRQAHLTAVDSKFVRILIQMLGKLRTNSHGFFIILPDLPSGDLPTLCFKRSSGLLSRVSESNELERRIFESTRLFASREGEKIEECSCSVKDLDTVSVSEGLVENVDRFVAVMDEISNGDFLRGEESDLGLDWVEFNWLKDKGYYSMEAFVANRLEVALRLAWLSCSNGKKRGVKLKEKMSAAGLAANVYWRKKGCVDSWGNLDLATRRNILTSVLGKSAKPLILEILKGTSSEVGDEMWLFNTGVEQPLRYNHNVSMRKTVPKLVADTEFGSSIIPASLSGESASLVGAFNNLILLQDIVMMISLCRHSEYDKGKLFYSTLSSISTISDFILRKVRGFLMVILLDCTKLELLAEGDKSLPKKSKAKPSACSRKSKGRTRNMKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22568 pep chromosome:Prunus_persica_NCBIv2:G2:19461871:19472300:-1 gene:PRUPE_2G137200 transcript:ONI22568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRNPKSPYDSLMTQDQLIDSLTSHVSLYHSHSNTSDLKPNPNPRSAILKWFSSLTVHQRQAHLTAVDSKFVRILIQMLGKLRTNSHGFFIILPDLPSGDLPTLCFKRSSGLLSRVSESNELERRIFESTRLFASREGEKIEECSCSVKDLDTVSVSEGLVENVDRFVAVMDEISNGDFLRGEESDLGLDWVEFNWLKDKGYYSMEAFVANRLEVALRLAWLSCSNGKKRGVKLKEKMSAAGLAANVYWRKKGCVDSWGNLDLATRRNILTSVLGKSAKPLILEILKGTSSEVGDEMWLFNTGVEQPLRYNHNVSMRKTVPKLVADTEFGSSIIPASLSGESASLVGAFNNLILLQDIVMMISLCRHSEYDKGKLFYSTLSSISTISDFILRKVRGFLMVILLDCTKLELLAEGDKSLPKKSKAKPSACSRKSKGRTRNMKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22573 pep chromosome:Prunus_persica_NCBIv2:G2:19463530:19470938:-1 gene:PRUPE_2G137200 transcript:ONI22573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFNTGVEQPLRYNHNVSMRKTVPKLVADTEFGSSIIPASLSGESASLVGAFNNLILLQDIVMMISLCRHSEYDKGKLFYSTLSSISTISDFILRKVRGFLMVILLDCTKLELLAEGDKSLPKKSKAKPSACSRKSKGRTRNMKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI22576 pep chromosome:Prunus_persica_NCBIv2:G2:19463530:19470509:-1 gene:PRUPE_2G137200 transcript:ONI22576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPSPGRSLCADDFLCEKSLKDLNCTLAHKEKVDLVESKKMHGIHQETETFKEASSSKDEMDRAQALVVAKAHTAARKGRKDKGKNKITGCKNAVDVRKFESSVMEASSSSVIPEDYTAKCDPVSGDSAFQNITDCSAGCNILVTNSMPPDSANGSTKDEDATQSIQENYVIGSSASFCHRISEEYQSSDNITEIQIKSTGSETGNCEIVGNVIPSVPVVDDNAFSHKDIDFQNTRVGKSDVKDVSPDKAVRAADIKKEAILFQDQEHGNPICDTGASSSFECLPYEWPGVACAYFPPVNSHLPPATDRLHLDVGHNWQNHFRQSFLPTIHQARSCPIQGGCNPVLTRPLPMSLDWPPMVRRARGLALSRTCNYDSGFFSKKQCSFPQGFSTQNVQINTTMDIERRYSWDCTDLPDPIRAHELADEYDSHWISEDEVEVQAFSGVDYNQYFGGGVMYWNPSDHPGTVFSRPPSLSSDDSSWAWREADMNRAVDDMVAFSSSYSTNGLTSPTASFCSPFDPLGSGNQALGYVMPGNEVPGKVLHSSSTMTDTAADEESSGSLADVSGDVEGKIGDSLPYPILRPIIIPNISRERSREFKRSYDRKSPCVPPTRREQPRIKRPPSPVVLSVPRAPRPPPPSPVSDARKHRGFPTVRSGSSSPRHWGMRGWFHDGANLEEACLRMDGAEVVWPLRSNNISGRPLIQPLPAPLLQDRLIAISQLARDQEHPDVAFPLQPPELHNCPMRKASLSLMHSLVHDDIDFFCKQVAAENMARKSYINWAVKRVTRSLQVLWPRSRTNIFGSTATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLVNQDWVKNDSLKTVENTAIPIIMLVVEVPRDLIASSASNVQSPKEEPPHMSGEQGSHVNSSVVVLEESALPKCSQINYDVTKDSVSVRIDISFKSPSHTGLQTTELVKDLTEQFPAAAPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEYHLSRPINQNFGNLLMNFLYFFGNVFDPRQMRISVQGSGVYIKRERGCSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELASLPSGDGDLCSRPSYRMLSKIIPSIDLS >ONI23021 pep chromosome:Prunus_persica_NCBIv2:G2:21450358:21454289:1 gene:PRUPE_2G164800 transcript:ONI23021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSCFETPSSAPSSSSKLEWGEKVSDKVFSLYNSLPKKGKPQGREVTVLAAFLVSSPSQELEVVALGTGTKCLGSSLLSSNGDVVNDSHAEIIARRSLLRFFYAQIQCLTQVYSKQSDSNGSTQMQNGDAKNLLFELDPNGDGQGKYKLRKGLQLHLYISQLPCGVASPSSLLSPPKNISPTERGSSLDELNVSINEEALPNTNGDASQLIGSVQRKPGRGDTTLSVSCSDKMARWNVVGVQGSLLSFFLQPVYLSSITVGQSPRGSEMVLVVDCLKQALHDRILPLSNELMSPFQVNQPLILAAPMPPREFQHSETALTTLTCGYSICWNKAGLHEVILGTTGRKQGTAAKGAHYPSTESSLCKKRLLQIFLSLRHECPVKIPVNQISYREIKEMAQEFNLTSKILKRRPPFSNWPLKLPHFEAFSAMI >ONI23023 pep chromosome:Prunus_persica_NCBIv2:G2:21450578:21452620:1 gene:PRUPE_2G164800 transcript:ONI23023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSCFETPSSAPSSSSKLEWGEKVSDKVFSLYNSLPKKGKPQGREVTVLAAFLVSSPSQELEVVALGTGTKCLGSSLLSSNGDVVNDSHAEIIARRSLLRFFYAQIQCLTQVYSKQSDSNGSTQMQNGDAKNLLFELDPNGDGQGKYKLRKGLQLHLYISQLPCGVASPSSLLSPPKNISPTERGSSLDELNVSINEEALPNTNGDASQLIGSVQRKPGRGDTTLSVSCSDKMARWNVVGVQGSLLSFFLQPVYLSSITVGQSPRGSEMVLVVDCLKQALHDRILPLSNELMSPFQVNQPLILAAPMPPREFQHSETALTTLTCGYLLFNSDSSTYKVTVYCLVTTDSLML >ONI23022 pep chromosome:Prunus_persica_NCBIv2:G2:21450358:21454289:1 gene:PRUPE_2G164800 transcript:ONI23022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSCFETPSSAPSSSSKLEWGEKVSDKVFSLYNSLPKKGKPQGREVTVLAAFLVSSPSQELEVVALGTGTKCLGSSLLSSNGDVVNDSHAEIIARRSLLRFFYAQIQCLTQVYSKQSDSNGSTQMQNGDAKNLLFELDPNGDGQGKYKLRKGLQLHLYISQLPCGVASPSSLLSPPKNISPTERGSSLDELNVSINEEALPNTNGDASQLIGSVQRKPGRGDTTLSVSCSDKMARWNVVGVQGSLLSFFLQPVYLSSITVGQSPRGSEMVLVVDCLKQALHDRILPLSNELMSPFQVNQPLILAAPMPPREFQHSETALTTLTCGYSICWNKAGLHEVILGTTGRKQGTAAKGAHYPSTESSLCKKRLLQIFLSLRHECPVKIPVNQISYREIKEMAQEFNLTSKILKRRPPFSNWPLKLPHFEAFSAMI >ONI20598 pep chromosome:Prunus_persica_NCBIv2:G2:2401043:2404403:1 gene:PRUPE_2G024200 transcript:ONI20598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRKATIDDLLAMQACNLFCLPENYQMKYYLYHILSWPQLLYVAEDYNGRIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMSAAQNAMEQVFAAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKELKGKKVHGHSHGHGHGHGHAHHHHHHHHHEHGGGCCSGEAVKPEKTEAKKVERGNAKAEARAG >ONI20599 pep chromosome:Prunus_persica_NCBIv2:G2:2401043:2402038:1 gene:PRUPE_2G024200 transcript:ONI20599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRKATIDDLLAMQACNLFCLPENYQMKYYLYHILSWPQLLYVAEDYNGRIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMSAAQNAMEQVFAAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKELKGKKVHGHSHGHGHGHGHAHHHHHHHHHEHGGGCCSGEAVKPEKTEAKKVERGNAKAEARAG >ONI20863 pep chromosome:Prunus_persica_NCBIv2:G2:4055629:4057344:-1 gene:PRUPE_2G037700 transcript:ONI20863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKIEVLNSEIPAMTEPAISSNGHRLVVKSDRVGTLALSKDPRAALGMDTAISKLNQSGWVHIFPEGSCSRDGGKTTGSSKRGVGRLVAAAFGCNALFFGTIFDDCCIFLGRGLGFGSAREEERGAIGGGCGCGDGD >ONI21358 pep chromosome:Prunus_persica_NCBIv2:G2:7758632:7762609:-1 gene:PRUPE_2G061200 transcript:ONI21358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQELSTIDDLPASNVELEPERIEDGKDGGPAFHCDLYDTELVHKIAQVFIPGLATACVDNTSGDIFWTPASVAADVRKEMVEYITQRSENFVAESVILEDGADAQVSDHPYDIISDFVDDFASSKRNLFSRVSGWLLSEKREDKIDDFVQEMEINGFWLLDRRETIAQSLLKNVDFKNEHHCNMKFNSPVELAEHISNCNFRSMTCTNQGCSTVFCASHKEKHDSICPVKIIPCEQNCSNTIMRRDMDRHCITVCPMKLVSCPFYAVGCQSPIPHCKVEQHNSDDLHSHLLFVLQSIHKEASAEDLEKQLERLKKASSSSQLADARDVRSLTYSVKEIEAKLGPMEVSSKQPEDFETKLGPKEVSNKTQEDVEAKMGPMEVSSSKHPEDLGAKLGPMEVSSKHPEDLEAELESIDLSSKPPEDLEEKLGPKEVSNKTPEDVEEKLGRKEVSSKPQEDLGGKLEPKEVSGKSPEDHEVELRPKEVSSKTPEDLEAKLGPKEVNSKPLEDVETILVPKEVSSNKSTEDLEINLGPREASSKHSEDLQAKLGPKEVSSKSPEDLEAKLRPKEVSSKSPEDVETKPGPKEVGSKPIEGLETKVVPDKTSSKLSEDLEAKLGPKEVSSKSPEDVETKLGPKEVGSKPLEGLETKVGPDKTSSKLSEDLEAKFGPNEVSGKPAEDLEAKLGSKEVNSEIPMDLDAKLGPKEVSSKPRQDVEAKIGPNEVISKHSKDFEAKLGPMEVSSKESKDLETELMPTEVSSKLNDSKERRETSISKNVLGEVET >ONI21359 pep chromosome:Prunus_persica_NCBIv2:G2:7758644:7763287:-1 gene:PRUPE_2G061200 transcript:ONI21359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQELSTIDDLPASNVELEPERIEDGKDGGPAFHCDLYDTELVHKIAQVFIPGLATACVDNTSGDIFWTPASVAADVRKEMVEYITQRSENFVAESVILEDGADAQVSDHPYDIISDFVDDFASSKRNLFSRVSGWLLSEKREDKIDDFVQEMEINGFWLLDRRETIAQSLLKNVDFKNEHHCNMKFNSPVELAEHISNCNFRSMTCTNQGCSTVFCASHKEKHDSICPVKIIPCEQNCSNTIMRRDMDRHCITVCPMKLVSCPFYAVGCQSPIPHCKVEQHNSDDLHSHLLFVLQSIHKEASAEDLEKQLERLKKASSSSQLADARDVRSLTYSVKEIEAKLGPMEVSSKQPEDFETKLGPKEVSNKTQEDVEAKMGPMEVSSSKHPEDLGAKLGPMEVSSKHPEDLEAELESIDLSSKPPEDLEEKLGPKEVSNKTPEDVEEKLGRKEVSSKPQEDLGGKLEPKEVSGKSPEDHEVELRPKEVSSKTPEDLEAKLGPKEVNSKPLEDVETILVPKEVSSNKSTEDLEINLGPREASSKHSEDLQAKLGPKEVSSKSPEDLEAKLRPKEVSSKSPEDVETKPGPKEVGSKPIEGLETKVVPDKTSSKLSEDLEAKLGPKEVSSKSPEDVETKLGPKEVGSKPLEGLETKVGPDKTSSKLSEDLEAKFGPNEVSGKPAEDLEAKLGSKEVNSEIPMDLDAKLGPKEVSSKPRQDVEAKIGPNEVISKHSKDFEAKLGPMEVSSKESKDLETELMPTEVSSKLNDSKERRETSISKNVLGEVET >ONI21360 pep chromosome:Prunus_persica_NCBIv2:G2:7758595:7763287:-1 gene:PRUPE_2G061200 transcript:ONI21360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQELSTIDDLPASNVELEPERIEDGKDGGPAFHCDLYDTELVHKIAQVFIPGLATACVDNTSGDIFWTPASVAADVRKEMVEYITQRSENFVAESVILEDGADAQVSDHPYDIISDFVDDFASSKRNLFSRVSGWLLSEKREDKIDDFVQEMEINGFWLLDRRETIAQSLLKNVDFKNEHHCNMKFNSPVELAEHISNCNFRSMTCTNQGCSTVFCASHKEKHDSICPVKIIPCEQNCSNTIMRRDMDRHCITVCPMKLVSCPFYAVGCQSPIPHCKVEQHNSDDLHSHLLFVLQSIHKEASAEDLEKQLERLKKASSSSQLADARDVRSLTYSVKEIEAKLGPMEVSSKQPEDFETKLGPKEVSNKTQEDVEAKMGPMEVSSSKHPEDLGAKLGPMEVSSKHPEDLEAELESIDLSSKPPEDLEEKLGPKEVSNKTPEDVEEKLGRKEVSSKPQEDLGGKLEPKEVSGKSPEDHEVELRPKEVSSKTPEDLEAKLGPKEVNSKPLEDVETILVPKEVSSNKSTEDLEINLGPREASSKHSEDLQAKLGPKEVSSKSPEDLEAKLRPKEVSSKSPEDVETKPGPKEVGSKPIEGLETKVVPDKTSSKLSEDLEAKLGPKEVSSKSPEDVETKLGPKEVGSKPLEGLETKVGPDKTSSKLSEDLEAKFGPNEVSGKPAEDLEAKLGSKEVNSEIPMDLDAKLGPKEVSSKPRQDVEAKIGPNEVISKHSKDFEAKLGPMEVSSKESKDLETELMPTEVSSKLNDSKERRETSISKNVLGEVET >ONI21255 pep chromosome:Prunus_persica_NCBIv2:G2:6647990:6652171:1 gene:PRUPE_2G055700 transcript:ONI21255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKFLFRFMGSSPLPINFGVEPQLPSDLPPTTTQPPVSSSPSSSSFTHDSSWTYDVFLSFRGEDTRTNFTDHLYKALCDKGIYTFIDRELVRGEEISPALVKAIEESRISVIVFSENYASSRWCLDELVKILQCKQSKQQVVLPIFYKVDPSHVRNQESKFGDAFEELIERKFKNDKEKVLIWREALRQAANLSGHTFKDGKYEATFINDIVDGILSQVLGRTYWNVAAYPVGIESRVEDVERLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAYEFEGRCFLENVREGSLIQLQQTLLDKILGKNWKIQSVDEGIGLIKKRLRHKKILLILDDVDHLEQLEKLAGDDWFGEGSRVIITTKNRRLLDNRKIEFYEVKKLEYYNQALELFSWHAFRRSEPPEDYLELAQRAIAIADGLPLALTIFGAHLRGRDIPSWQVILDDYEGEPYTHIERILQKSYDALDHRAKGYFLDIACFFKGEFKDYVLQMVPPKVIEEFVDKALITISWYAITMHDLLEKLGKDIVHKESPSDPGKRSRLWFYKDVIQVLMESTGTRKIKSIMVKLPEPAEITLNPECFRNMVNLQIFINHHASLCGDINYLPNALRFIHWPSCQLQSLPPKFQGYCLVVFSMPCSHIRQLEGFKYSPNLTCMNLHGCQFLKKIPDLSGIPNIKYLILSGCTSLVELDDSVGFLDKLVILDLSGCVNLTKFGRRLRLKSLETLDLRGCESLESLPEIEVKMESLRRLDMEGSGIRELPPSIKHLTGLEKLILERCFNLTRLDLRLLHCVSTLRSLNLSGCNFVTLPECISKFVSLEGLTLRDCKSLREIPQVLPPRVCWVSLDNCTLLEKFPKLPLSSEVGYVSLRNCVSLRGYDITENSILDQVSSLPHSEFEITLPGDEVPKWFSCCKDATQVKDEYSARCEVCFEIPPNLDWETLRLAICVVNKGNVCGTLYQAVTRVHINGERVGEIYMWIEDSNVGLSCIPLLNLRNRAIRWEKLTQLQYVGGNKCQIIFKFRFVSTPVKILCGVHLLGHQLLMSASETGLGKRPRLSDVGDHEAQRGEADHPKRRHTDLNEEPKYVNPLNVE >ONI22917 pep chromosome:Prunus_persica_NCBIv2:G2:21169887:21170602:1 gene:PRUPE_2G159000 transcript:ONI22917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCVKEIVHAGQGSAPEWNETFVFTVSDDVSELHLKIMEKDNFSADDFVGEATISLEPIFTEGSIPPTAYNVVNQDKEYRGEIKVGLRFTPEPQQNDGPSGEYGRNDSPSGGYGRNDGPSGEYGGSEEGYGGWKQSSYAEE >ONI22918 pep chromosome:Prunus_persica_NCBIv2:G2:21168717:21170602:1 gene:PRUPE_2G159000 transcript:ONI22918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDNFSADDFVGEATISLEPIFTEGSIPPTAYNVVNQDKEYRGEIKVGLRFTPEPQQNDGPSGEYGRNDSPSGGYGRNDGPSGEYGGSEEGYGGWKQSSYAEE >ONI24433 pep chromosome:Prunus_persica_NCBIv2:G2:26011581:26011805:-1 gene:PRUPE_2G240100 transcript:ONI24433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQKTNGSVSFFKMPLHYPRYTKKDYQDMPEWKVNRLLAEYGLSTKGDLAHKREFAMGAFLWPELRHDPNISS >ONI24544 pep chromosome:Prunus_persica_NCBIv2:G2:26276979:26279873:1 gene:PRUPE_2G245700 transcript:ONI24544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASIGCCSPRAFLPGVSTHQHSTALVAPPSISPSFSSKSLKSSSLFGEPLRQVPRSSLKVSKTKQSSLVTRCAIGESLEVFLAKATPDKGLIRLLISMGEALRTISFKVRTASCGGTACINSFGDEQLAVDMLADKLLFEALSYSHFCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGITGRDQVAAAMGIYGPRTTYVLAIKGFPGTHEFLLLDEGKWQHVKETTEIGEGKLFSPGNLRATFDNPDYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGVFTNVISPTTKAKLRLLFEVAPLGLLIENAGGYSSDGHQSVLDKVIINLDDRTQVAYGSKNEIIRFEETLYGSSRLKAGVPVGAAA >ONI24543 pep chromosome:Prunus_persica_NCBIv2:G2:26277008:26281137:1 gene:PRUPE_2G245700 transcript:ONI24543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASIGCCSPRAFLPGVSTHQHSTALVAPPSISPSFSSKSLKSSSLFGEPLRQVPRSSLKVSKTKQSSLVTRCAIGESLEVFLAKATPDKGLIRLLISMGEALRTISFKVRTASCGGTACINSFGDEQLAVDMLADKLLFEALSYSHFCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGITGRDQVAAAMGIYGPRTTYVLAIKGFPGTHEFLLLDEGKWQHVKETTEIGEGKLFSPGNLRATFDNPDYDKASEAGIAKWRGSVGGIVDAPIDKVWSMVSQTKRLAEWMPMVERCTDLAGDEGVPGYVRLVSGFIFPQEDGDRSWIKEKLVSIDSSRHNYVYKLEASNVGLDGSVNSVKLVDYGNDSTLVDWSFEVNPLEGTCEDSIIDYLGFLYKSCINRIEAAIEAASKKV >ONI23662 pep chromosome:Prunus_persica_NCBIv2:G2:23783221:23786123:1 gene:PRUPE_2G201000 transcript:ONI23662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMQRDQKGYSDKEDQDQEDQEEEDIISSKFSSSSHNYNNSNYGSSPKYKATFVPPPLPHHHHQYQQQKPWAVHNHGSPEAINFMDLSLNHEQAAADGANNNNNWPPNSEREHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSTANDKGLLLNFQDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFERGVGDSGKDSLYIDWRRRPPPPPPPPHHHHHGQYGNCNDRCGGGEGRLYSLLQRPPPSMMSMPLRHHDYFHPSNNVHHPYHHHHHQIMNHQYLQHQIHHQLQVERDQVVHHHHQYPMVIDSVPFVQHGKMSSSGASAGASTGKRLRLFGVNMECSPNIEEDQTDEHQYQILPSTAIPYNHLPSSSLSAPLELRLPNTIGANQIPLPNTGAADQYLSKKGKSTSLSFYLDS >ONI23663 pep chromosome:Prunus_persica_NCBIv2:G2:23783221:23786123:1 gene:PRUPE_2G201000 transcript:ONI23663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMQRDQKGYSDKEDQDQEDQEEEDIISSKFSSSSHNYNNSNYGSSPKYKATFVPPPLPHHHHQYQQQKPWAVHNHGSPEAINFMDLSLNHEQAAADGANNNNNWPPNSEREHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSTANDKGLLLNFQDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFERGVGDSGKDSLYIDWRRRPPPPPPPPHHHHHGQYGNCNDRCGGGEGRLYSLLQRPPPSMMSMPLRHHDYFHPSNNVHHPYHHHHHQIMNHQYLQHQIHHQLQVERDQVVHHHHQYPMVIDSVPFVQHGKMSSSGASAGASTGKRLRLFGVNMECSPNIEEDQTDEHQYQILPSTAIPYNHLPSSSLSAPLELRLPNTIGANQIPLPNTGAADQYLSKKGKSTSLSFYLDS >ONI23661 pep chromosome:Prunus_persica_NCBIv2:G2:23783221:23786123:1 gene:PRUPE_2G201000 transcript:ONI23661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMQRDQKGYSDKEDQDQEDQEEEDIISSKFSSSSHNYNNSNYGSSPKYKATFVPPPLPHHHHQYQQQKPWAVHNHGSPEAINFMDLSLNHEQAAADGANNNNNWPPNSEREHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSTANDKGLLLNFQDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFERGVGDSGKDSLYIDWRRRPPPPPPPPHHHHHGQYGNCNDRCGGGEGRLYSLLQRPPPSMMSMPLRHHDYFHPSNNVHHPYHHHHHQIMNHQYLQHQIHHQLQVERDQVVHHHHQYPMVIDSVPFVQHGKMSSSGASAGASTGKRLRLFGVNMECSPNIEEDQTDEHQYQILPSTAIPYNHLPSSSLSAPLELRLPNTIGANQIPLPNTGAADQYLSKKGKSTSLSFYLDS >ONI22330 pep chromosome:Prunus_persica_NCBIv2:G2:17897613:17901646:-1 gene:PRUPE_2G121900 transcript:ONI22330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQTSVHHHHHNLPLSSSLSYSTLLPCKIPTLPLPSSINFQRLPSISCSISQVHNYGTVDYERRPMVKWNAIYRKISLTDDPEVRSADVLNQWEKEGRKLTKWELCRVVKELRKYKRYDRALEVYDWMSNRGERFRISTSDAAIQLDLVAKVRGVASAENYFLSLPDTLKDRRIYGALLNAYVRTRMKEKAESLLDKMRSKGHALQSLPFNVMMTLYMNLKEYDKVDSIISEMMEKNIQLDIYSYNIWLSSRGSQGSEERMEQVFEQMKLDRTVNPNWTTFSTMATMYIKMGQLEKAEACLKKVESRITGRDRIPYHYLLSLYGNVGNKEELYRVWNIYKSSFPSIPNLGYHAIMSSLLRVGDVEGAEKIYEEWLTVKSTYDPRIANVFIAYYIKDGDFEKAQSFYDHMVDVGGKPNSTTWETLAEGHIEEQRISEALSCWKEAFSAEGSKSWRPKPVNVSAFLELCEQEANSVSKEFFMGLLKQSGQLKNKSYASLIGLADEDVSDDDLSLKKDRTNITKDDDDEKEAGDGSELLLNELQGTTL >ONI25119 pep chromosome:Prunus_persica_NCBIv2:G2:28055075:28056038:1 gene:PRUPE_2G282100 transcript:ONI25119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASAVDLLTQAASNSLLVFCFCNLIIVMIVMGSKPGSYFEQESEIPVSMSSSYKYNRNNANCKQVNDAKCKQEEGTLVNPSDTVFSQVSSNAKKELATASDEKDSIISHHNDSKKCDNDDELRRRAEEFIEKMNKGWRAELLRNSHLI >ONI21395 pep chromosome:Prunus_persica_NCBIv2:G2:8362884:8363889:-1 gene:PRUPE_2G063100 transcript:ONI21395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMTLKEGLEEDGGRLCQRCYRDQLSWRGANRWRTSTPKLAVVVRKQIGRERTFFFFWSKGEKGLNLFYFLFLNVVASCLKHRVMSSLN >ONI24993 pep chromosome:Prunus_persica_NCBIv2:G2:27645249:27648901:-1 gene:PRUPE_2G273700 transcript:ONI24993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLHSLLPHSMAATNLNSASIFLLTFALLFMVMGHEASNSGQYPVVVSTWPFLEAVRAAWRVVDNGFSAVDAVVEGCSACEELRCDGTVGPGGSPDENGETTIDALVMDGVTMEVGAVAAMRYIKDGIKAARLVMQYTEHTLLVGEQAAAFAISMGLPGPTNLSSSESMDKWTKWKENHCQPNFWKDVVPVDRCGPYHAKDFLGSSHGTCSKTTLMENIKSRSSHVGRHNHDTISMAVFDKMGNIAVGTSTNGATFKIPGR >ONI24992 pep chromosome:Prunus_persica_NCBIv2:G2:27645199:27648901:-1 gene:PRUPE_2G273700 transcript:ONI24992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLHSLLPHSMAATNLNSASIFLLTFALLFMVMGHEASNSGQYPVVVSTWPFLEAVRAAWRVVDNGFSAVDAVVEGCSACEELRCDGTVGPGGSPDENGETTIDALVMDGVTMEVGAVAAMRYIKDGIKAARLVMQYTEHTLLVGEQAAAFAISMGLPGPTNLSSSESMDKWTKWKENHCQPNFWKDVVPVDRCGPYHAKDFLGSSHGTCSKTTLMENIKSRSSHVGRHNHDTISMAVFDKMGNIAVGTSTNGATFKIPGRVGDGPIAGSSAYADDKVGACGATGDGDIMMRFLPCYQVVESMRLGMEPNLAAKDAISRIARKFPDFVGAVFAVNKKGVHAGACHGWTFQYSVRSPEMDDVKVYTVVP >ONI24994 pep chromosome:Prunus_persica_NCBIv2:G2:27647035:27648782:-1 gene:PRUPE_2G273700 transcript:ONI24994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLHSLLPHSMAATNLNSASIFLLTFALLFMVMGHEASNSGQYPVVVSTWPFLEAVRAAWRVVDNGFSAVDAVVEGCSACEELRCDGTVGPGGSPDENGETTIDALVMDGVTMEVGAVAAMRYIKDGIKAARLVMQYTEHTLLVGEQAAAFAISMGLPGPTNLSSSESMDKWTKWKENHCQPNFWKDVVPVDRCGPYHAKDFLGSSHGTCSKTTLMENIKSRSSHVGRHNHDTISMAVFDKVS >ONI21887 pep chromosome:Prunus_persica_NCBIv2:G2:15184214:15190318:1 gene:PRUPE_2G096600 transcript:ONI21887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKQDDGSIMPTSEQEKISDELDIRVKKFLRGEKTNLEGLQDKKLKGQLAVREELFGKSAQAAAKAEKWLLPSEGGYLEAEGIEKTWRIKQESIAHEVDILSARSQYDIVLPDLGPYTLDFTSSGRYMAAGGRKGHLAILDMKNMSLVKEIQVRETVRDVVFLHNELFFAAAQKKYPYIYNRDGTELHCLKEHGAVLRLQFLKNHFLLASINKSGQLRYQDVTMGGMVANYRTGLGRTDVMQVNPYNGVVALGHSLGTVSMWKPTSSTALLKRLCHKGPITAMAFHPNGHLMATAGKEKKIMLWDLRNLKDEPLQTLPGNADTLDFSQKGLLARSTSSFVQILRDSSGTQNYNNYMTHKIIKGYQVEKVLFRPYEDVLGIGHSMGWSSILIPGSGEPNFDSWVANPFETSKQRREREVHSLLDKLPPETIMLNPTKIGTVKPQRRKEKKTKEEKEADMEAAVEAVKGIEPKKKTKGRNKPSKRTKKKQEIVANAKRPFLEQQKKEEEQVVRKKQKVIEQVELPTSLQRFSRKKSAT >ONI21888 pep chromosome:Prunus_persica_NCBIv2:G2:15184188:15190435:1 gene:PRUPE_2G096600 transcript:ONI21888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKQDDGSIMPTSEQEKISDELDIRVKKFLRGEKTNLEGLQDKKLKGQLAVREELFGKSAQAAAKAEKWLLPSEGGYLEAEGIEKTWRIKQESIAHEVDILSARSQYDIVLPDLGPYTLDFTSSGRYMAAGGRKGHLAILDMKNMSLVKEIQVRETVRDVVFLHNELFFAAAQKKYPYIYNRDGTELHCLKEHGAVLRLQFLKNHFLLASINKSGQLRYQDVTMGGMVANYRTGLGRTDVMQVNPYNGVVALGHSLGTVSMWKPTSSTALLKRLCHKGPITAMAFHPNGHLMATAGKEKKIMLWDLRNLKDEPLQTLPGNADTLDFSQKGLLARSTSSFVQILRDSSGTQNYNNYMTHKIIKGYQVEKVLFRPYEDVLGIGHSMGWSSILIPGSGEPNFDSWVANPFETSKQRREREVHSLLDKLPPETIMLNPTKIGTVKPQRRKEKKTKEEKEADMEAAVEAVKGIEPKKKTKGRNKPSKRTKKKQEIVANAKRPFLEQQKKEEEQVVRKKQKVIEQVELPTSLQRFSRKKSAT >ONI24878 pep chromosome:Prunus_persica_NCBIv2:G2:27321832:27325143:1 gene:PRUPE_2G267200 transcript:ONI24878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWETPIQELDDSSPPLLLSLLLLLKWVLLGLPIFCKPEPPLSFSSGIWLQSSYIKKIKLWEILTDFLCFCVNNASEGVEIEGAKIHMGSRAGVWVGVCPDLVLLKNCSKVSSFHGGNNISLSFPSRFGSDTKCPTSLKCFPLASASSLSGSGAQYAGSEQLLDVQTKQKRKGIAGIDQDELVDPKFLADPDSCFCEFRGVEIHHKVYDAQSQAHEAEALCSQTKKVGLPMILLHGFGASVFSWNRVMKPLAEIIGSKVVAFDRPAFGLTSRVNLFGHSSSGNGEPRPINPYSMAFAVLATLYFIDFLAAEKAILVGHSAGCLVAVDAYYKAPERVAAMILVAPAIFAPRTIKKGVKGSQSGEDNQTEEDSSNSINLGNPFIQLFRMLSKFAKFISQAIMLVVKGMVGMFSSLYKKFLSAVLRSSFAVMLVRMVIDKFGVTAVRNAWYDANQVTEHVIQGYTKPLRVKGWDKALVEYTAAMLTDTSSESKPPLAKRLHEISCPVLIVTGDNDRIVPSWNAERLSRAIPGSCLEVIKHCGHLPHEEKVDEFVSIVKKFLYRALEDSEEQHLQVVV >ONI24879 pep chromosome:Prunus_persica_NCBIv2:G2:27322335:27325143:1 gene:PRUPE_2G267200 transcript:ONI24879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRAGVWVGVCPDLVLLKNCSKVSSFHGGNNISLSFPSRFGSDTKCPTSLKCFPLASASSLSGSGAQYAGSEQLLDVQTKQKRKGIAGIDQDELVDPKFLADPDSCFCEFRGVEIHHKVYDAQSQAHEAEALCSQTKKVGLPMILLHGFGASVFSWNRVMKPLAEIIGSKVVAFDRPAFGLTSRVNLFGHSSSGNGEPRPINPYSMAFAVLATLYFIDFLAAEKAILVGHSAGCLVAVDAYYKAPERVAAMILVAPAIFAPRTIKKGVKGSQSGEDNQTEEDSSNSINLGNPFIQLFRMLSKFAKFISQAIMLVVKGMVGMFSSLYKKFLSAVLRSSFAVMLVRMVIDKFGVTAVRNAWYDANQVTEHVIQGYTKPLRVKGWDKALVEYTAAMLTDTSSESKPPLAKRLHEISCPVLIVTGDNDRIVPSWNAERLSRAIPGSCLEVIKHCGHLPHEEKVDEFVSIVKKFLYRALEDSEEQHLQVVV >ONI20560 pep chromosome:Prunus_persica_NCBIv2:G2:2185181:2189404:-1 gene:PRUPE_2G022400 transcript:ONI20560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAYLVAFLQVLVDKLARREVFKYFGLIKGVDQKLQKWTATLSAIGAVLNEAEERQLITESKPLKLWLDDLRDLAYDLEDVLDKYATKMLKREVEHYTARRVWNSVPNGVFNYKMNSEIQKITERLQGISQRKDQLSLNIITGTTSSSKARQNLPPSTCQPDGPVIGREEDKRQVVEFLSKQERNAVNFDVVAIVGMAGVGKTTLAAQVFNEIDATEQFKPTAWVCVSDDFNLERVTKQILGAVTSGQCPTEDFNQVQQHLHKELAGKKFLIVLDDVWGTCSYGLWMKLQSPFRDGAAGSKIIVTTRDAEVSKMMGAGTLVHNLEPMSNDVCFEVFEQHAFRNVNRDIPPNFESLKEKIVARCSGLPLAARTLGGLLLSKKMNEWEEILNNKLWSLSNECDILPVLRLSYHYLPSHLKRCFAYCSIFPNDYEFCEQQLILLWMAEGLIQPQPEHNKQMEDLGTDYFQDLLSRSLFQKSSKNNSKYVMHDLIVGLAQWAAGDICFRLEDKQNSDHVQLGCFTKARHASYISGCYDVVKRFEAFSEVKHFRTFLPLSISEYYESRFLSRKVTFDLLPKLQYLRVLSLNGYQVTELPNSIGKLKYLRHLDLSYTHITSLPESTTTLFNLQTLILKGCRYLKALPINLRNLVNLRHLNNSDVYSLKAMPPQLGRLTNLQSLPKFVVGKGSDESGIREIGSLSHLRRTLSLSRLENVIDAEDARKAGLKSKERVDELVLEWTDNTQETQLGVLDRLEPHRKLEKLIIRGYAGLEFSTWIGDRLFSTMVHVRLDKCKNCQILPPLGQLPLLKELYITGMAAVKSVGPEFYGESSLPFPVLETLEFSDMHNWKKWLPFVGDRGIGVFPCLKLLSITNCPQLEGKVPENFDSLETLKIIKCEELVISISNYKQIGRLWIDGCKAVVETSGVEFELLNSLGLSNISEVRFQTGEFAKGLRKVAYLRIGGCEELTSSLKNEDRVLQHLISLDRLVISGNSSLLEKLGKEAEELLQLQILTSKLKYLELYKCASLSKVPEGLHHLTALQDLQIIGCSSLVSFPDVGLPPSLEVIRIWECDSLLYFAKCQIPPNLRRIEIQRCKSLKSLVEKEEDSSSSSSSSHISLEHLEIWTCESLKSLSLRAQLFPKALKSLHIYDCGELQLITSDGFSHDNTNYCLEYITIWSCRNFKSLPEGLCYLTNLQTLEIYRCGSLVSIPSLSGEGLPSPTTTAASSLKEIYIENCNKLEMLPDMCNLNCLQELNIDYGEGLNFTSFPPNLTSLTIRRIKNCKPLWELLHRLTSLTKLWVGGEDPSVVSFPPDSYREMLLPESLTNLSIVGFPNLKKLSSKGFQFLTSLQSLQLCDFPKLASIPVEGLPISLRELKIIRCPLLKDKCQPGSKGRYLPKISHIPRIEIWD >ONI20559 pep chromosome:Prunus_persica_NCBIv2:G2:2184323:2189899:-1 gene:PRUPE_2G022400 transcript:ONI20559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAYLVAFLQVLVDKLARREVFKYFGLIKGVDQKLQKWTATLSAIGAVLNEAEERQLITESKPLKLWLDDLRDLAYDLEDVLDKYATKMLKREVEHYTARRVWNSVPNGVFNYKMNSEIQKITERLQGISQRKDQLSLNIITGTTSSSKARQNLPPSTCQPDGPVIGREEDKRQVVEFLSKQERNAVNFDVVAIVGMAGVGKTTLAAQVFNEIDATEQFKPTAWVCVSDDFNLERVTKQILGAVTSGQCPTEDFNQVQQHLHKELAGKKFLIVLDDVWGTCSYGLWMKLQSPFRDGAAGSKIIVTTRDAEVSKMMGAGTLVHNLEPMSNDVCFEVFEQHAFRNVNRDIPPNFESLKEKIVARCSGLPLAARTLGGLLLSKKMNEWEEILNNKLWSLSNECDILPVLRLSYHYLPSHLKRCFAYCSIFPNDYEFCEQQLILLWMAEGLIQPQPEHNKQMEDLGTDYFQDLLSRSLFQKSSKNNSKYVMHDLIVGLAQWAAGDICFRLEDKQNSDHVQLGCFTKARHASYISGCYDVVKRFEAFSEVKHFRTFLPLSISEYYESRFLSRKVTFDLLPKLQYLRVLSLNGYQVTELPNSIGKLKYLRHLDLSYTHITSLPESTTTLFNLQTLILKGCRYLKALPINLRNLVNLRHLNNSDVYSLKAMPPQLGRLTNLQSLPKFVVGKGSDESGIREIGSLSHLRRTLSLSRLENVIDAEDARKAGLKSKERVDELVLEWTDNTQETQLGVLDRLEPHRKLEKLIIRGYAGLEFSTWIGDRLFSTMVHVRLDKCKNCQILPPLGQLPLLKELYITGMAAVKSVGPEFYGESSLPFPVLETLEFSDMHNWKKWLPFVGDRGIGVFPCLKLLSITNCPQLEGKVPENFDSLETLKIIKCEELVISISNYKQIGRLWIDGCKAVVETSGVEFELLNSLGLSNISEVRFQTGEFAKGLRKVAYLRIGGCEELTSSLKNEDRVLQHLISLDRLVISGNSSLLEKLGKEAEELLQLQILTSKLKYLELYKCASLSKVPEGLHHLTALQDLQIIGCSSLVSFPDVGLPPSLEVIRIWECDSLLYFAKCQIPPNLRRIEIQRCKSLKSLVEKEEDSSSSSSSSHISLEHLEIWTCESLKSLSLRAQLFPKALKSLHIYDCGELQLITSDGFSHDNTNYCLEYITIWSCRNFKSLPEGLCYLTNLQTLEIYRCGSLVSIPSLSGEGLPSPTTTAASSLKEIYIENCNKLEMLPDMCNLNCLQELNIDYGEGLNFTSFPPNLTSLTIRRIKNCKPLWELLHRLTSLTKLWVGGEDPSVVSFPPDSYREMLLPESLTNLSIVGFPNLKKLSSKGFQFLTSLQSLQLCDFPKLASIPVEGLPISLRELKIIRCPLLKDKCQPGSKGRYLPKISHIPRIEIWD >ONI20558 pep chromosome:Prunus_persica_NCBIv2:G2:2184346:2189899:-1 gene:PRUPE_2G022400 transcript:ONI20558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAYLVAFLQVLVDKLARREVFKYFGLIKGVDQKLQKWTATLSAIGAVLNEAEERQLITESKPLKLWLDDLRDLAYDLEDVLDKYATKMLKREVEHYTARRVWNSVPNGVFNYKMNSEIQKITERLQGISQRKDQLSLNIITGTTSSSKARQNLPPSTCQPDGPVIGREEDKRQVVEFLSKQERNAVNFDVVAIVGMAGVGKTTLAAQVFNEIDATEQFKPTAWVCVSDDFNLERVTKQILGAVTSGQCPTEDFNQVQQHLHKELAGKKFLIVLDDVWGTCSYGLWMKLQSPFRDGAAGSKIIVTTRDAEVSKMMGAGTLVHNLEPMSNDVCFEVFEQHAFRNVNRDIPPNFESLKEKIVARCSGLPLAARTLGGLLLSKKMNEWEEILNNKLWSLSNECDILPVLRLSYHYLPSHLKRCFAYCSIFPNDYEFCEQQLILLWMAEGLIQPQPEHNKQMEDLGTDYFQDLLSRSLFQKSSKNNSKYVMHDLIVGLAQWAAGDICFRLEDKQNSDHVQLGCFTKARHASYISGCYDVVKRFEAFSEVKHFRTFLPLSISEYYESRFLSRKVTFDLLPKLQYLRVLSLNGYQVTELPNSIGKLKYLRHLDLSYTHITSLPESTTTLFNLQTLILKGCRYLKALPINLRNLVNLRHLNNSDVYSLKAMPPQLGRLTNLQSLPKFVVGKGSDESGIREIGSLSHLRRTLSLSRLENVIDAEDARKAGLKSKERVDELVLEWTDNTQETQLGVLDRLEPHRKLEKLIIRGYAGLEFSTWIGDRLFSTMVHVRLDKCKNCQILPPLGQLPLLKELYITGMAAVKSVGPEFYGESSLPFPVLETLEFSDMHNWKKWLPFVGDRGIGVFPCLKLLSITNCPQLEDGCKAVVETSGVEFELLNSLGLSNISEVRFQTGEFAKGLRKVAYLRIGGCEELTSSLKNEDRVLQHLISLDRLVISGNSSLLEKLGKEAEELLQLQILTSKLKYLELYKCASLSKVPEGLHHLTALQDLQIIGCSSLVSFPDVGLPPSLEVIRIWECDSLLYFAKCQIPPNLRRIEIQRCKSLKSLVEKEEDSSSSSSSSHISLEHLEIWTCESLKSLSLRAQLFPKALKSLHIYDCGELQLITSDGFSHDNTNYCLEYITIWSCRNFKSLPEGLCYLTNLQTLEIYRCGSLVSIPSLSGEGLPSPTTTAASSLKEIYIENCNKLEMLPDMCNLNCLQELNIDYGEGLNFTSFPPNLTSLTIRRIKNCKPLWELLHRLTSLTKLWVGGEDPSVVSFPPDSYREMLLPESLTNLSIVGFPNLKKLSSKGFQFLTSLQSLQLCDFPKLASIPVEGLPISLRELKIIRCPLLKDKCQPGSKGRYLPKISHIPRIEIWD >ONI23035 pep chromosome:Prunus_persica_NCBIv2:G2:21502226:21502801:-1 gene:PRUPE_2G165800 transcript:ONI23035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQIFSGAFLSILIILASNWSIEAQTCKPSGKIRGTKPPKGQCNKDNQSDCCEKGKFYTTYTCSPRVSKRTKATLTINSFQKGGDGGAPSECDNKYHSDSTRVVALSTGWFNKRSRCLDDIIIHGNGRSVKAKVVDECDSTVGCDADHDYQPPCPNNIVDASKAVWKALGVPESDWGELDIFWSDA >ONI23874 pep chromosome:Prunus_persica_NCBIv2:G2:24498688:24505090:-1 gene:PRUPE_2G213300 transcript:ONI23874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFWGGAGDCSSCVAVMLELARGISQWAHGFKHAVIFLFNTGEEEGLNGAHSFITQHPWSKSIRLAIDLEAMGIGGKSGIFQAGPDPWPIETFAAVAKYPSGQIIAQDIFSSGAIKSATDFQVYREVAGLSGLDFAYADNTAVYHTKNDKLELLKLGSLQHLGENMLAFLLKIAASSHLPKVNTMVEEDNKGQSTAVYFDILGTYMVVYRQHFANMLHKSVIAQSLLIWTTSLLMGGYPAAISLALSCFSVILMWIFALSFSVLAAFIIPLISSSPVPYVANPWLVVGLFAAPALLGALTGQYLGYLILHTHLSNVYTKKKQISPVIQADLIKSEAERWLYKSGSLQWLILLILGTYYKIGSSYLALFWLVPPAFAYGFLEATLTPARFPKPLKLATLLIGLAVPILISAGGFIRLAGTIIGTVVRLDRNPGGTPDWLGNVIVATYVAAVMCLTLVYLLSYIHLPGAKKSIVLSTCLLFGLSLAVVSLGIIPPFTKDTSRAVNVVHVVDMTRSFDEKQDPRSYVSLFSSTPGKLTKEVEQINEGFRCGRDKVVDLVTFSVKYSCWTFDDTDNGWSESDVPTMHVDSDTHGDERITRVLIDTKGSTRWTLAINADEIEDFTFKDAGSSEELVLVGDMSSVDGWHIMQFSGGKNAPTRFDLTLFWMKNSTRLDHKVEGKREEGTPLLKLRTDMDIVTPKVDRVLSKLPPWCSQFGKSTSPHTFAFLSNLPVNF >ONI23873 pep chromosome:Prunus_persica_NCBIv2:G2:24498675:24505091:-1 gene:PRUPE_2G213300 transcript:ONI23873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLFKGRTLVYSDLNHIIIRILPKYAPEAVDNAILVSSHIDTVFSTGGAGDCSSCVAVMLELARGISQWAHGFKHAVIFLFNTGEEEGLNGAHSFITQHPWSKSIRLAIDLEAMGIGGKSGIFQAGPDPWPIETFAAVAKYPSGQIIAQDIFSSGAIKSATDFQVYREVAGLSGLDFAYADNTAVYHTKNDKLELLKLGSLQHLGENMLAFLLKIAASSHLPKVNTMVEEDNKGQSTAVYFDILGTYMVVYRQHFANMLHKSVIAQSLLIWTTSLLMGGYPAAISLALSCFSVILMWIFALSFSVLAAFIIPLISSSPVPYVANPWLVVGLFAAPALLGALTGQYLGYLILHTHLSNVYTKKKQISPVIQADLIKSEAERWLYKSGSLQWLILLILGTYYKIGSSYLALFWLVPPAFAYGFLEATLTPARFPKPLKLATLLIGLAVPILISAGGFIRLAGTIIGTVVRLDRNPGGTPDWLGNVIVATYVAAVMCLTLVYLLSYIHLPGAKKSIVLSTCLLFGLSLAVVSLGIIPPFTKDTSRAVNVVHVVDMTRSFDEKQDPRSYVSLFSSTPGKLTKEVEQINEGFRCGRDKVVDLVTFSVKYSCWTFDDTDNGWSESDVPTMHVDSDTHGDERITRVLIDTKGSTRWTLAINADEIEDFTFKDAGSSEELVLVGDMSSVDGWHIMQFSGGKNAPTRFDLTLFWMKNSTRLDHKVEGKREEGTPLLKLRTDMDIVTPKVDRVLSKLPPWCSQFGKSTSPHTFAFLSNLPVNF >ONI23872 pep chromosome:Prunus_persica_NCBIv2:G2:24498838:24505001:-1 gene:PRUPE_2G213300 transcript:ONI23872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPQSTSAATTKPEVSEEPIAPSWVAQRPQRSPFVWLTLFLAIAYGSWSVFHYQFESLPAPLTAEQAGKRGFSEFSALEHVKALTQLGPHSVGSDALHLALQYVLAEAEKIKKTAHWEVDVEVDSFTAKSGANRMAGGLFKGRTLVYSDLNHIIIRILPKYAPEAVDNAILVSSHIDTVFSTGGAGDCSSCVAVMLELARGISQWAHGFKHAVIFLFNTGEEEGLNGAHSFITQHPWSKSIRLAIDLEAMGIGGKSGIFQAGPDPWPIETFAAVAKYPSGQIIAQDIFSSGAIKSATDFQVYREVAGLSGLDFAYADNTAVYHTKNDKLELLKLGSLQHLGENMLAFLLKIAASSHLPKVNTMVEEDNKGQSTAVYFDILGTYMVVYRQHFANMLHKSVIAQSLLIWTTSLLMGGYPAAISLALSCFSVILMWIFALSFSVLAAFIIPLISSSPVPYVANPWLVVGLFAAPALLGALTGQYLGYLILHTHLSNVYTKKKQISPVIQADLIKSEAERWLYKSGSLQWLILLILGTYYKIGSSYLALFWLVPPAFAYGFLEATLTPARFPKPLKLATLLIGLAVPILISAGGFIRLAGTIIGTVVRLDRNPGGTPDWLGNVIVATYVAAVMCLTLVYLLSYIHLPGAKKSIVLSTCLLFGLSLAVVSLGIIPPFTKDTSRAVNVVHVVDMTRSFDEKQDPRSYVSLFSSTPGKLTKEVEQINEGFRCGRDKVVDLVTFSVKYSCWTFDDTDNGWSESDVPTMHVDSDTHGDERITRVLIDTKGSTRWTLAINADEIEDFTFKDAGSSEELVLVGDMSSVDGWHIMQFSGGKNAPTRFDLTLFWMKNSTRLDHKVEGKREEGTPLLKLRTDMDIVTPKVDRVLSKLPPWCSQFGKSTSPHTFAFLSNLPVNF >ONI23875 pep chromosome:Prunus_persica_NCBIv2:G2:24499634:24505001:-1 gene:PRUPE_2G213300 transcript:ONI23875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPQSTSAATTKPEVSEEPIAPSWVAQRPQRSPFVWLTLFLAIAYGSWSVFHYQFESLPAPLTAEQAGKRGFSEFSALEHVKALTQLGPHSVGSDALHLALQYVLAEAEKIKKTAHWEVDVEVDSFTAKSGANRMAGGLFKGRTLVYSDLNHIIIRILPKYAPEAVDNAILVSSHIDTVFSTGGAGDCSSCVAVMLELARGISQWAHGFKHAVIFLFNTGEEEGLNGAHSFITQHPWSKSIRLAIDLEAMGIGGKSGIFQAGPDPWPIETFAAVAKYPSGQIIAQDIFSSGAIKSATDFQVYREVAGLSGLDFAYADNTAVYHTKNDKLELLKLGSLQHLGENMLAFLLKIAASSHLPKVNTMVEEDNKGQSTAVYFDILGTYMVVYRQHFANMLHKSVIAQSLLIWTTSLLMGGYPAAISLALSCFSVILMWIFALSFSVLAAFIIPLISSSPVPYVANPWLVVGLFAAPALLGALTGQYLGYLILHTHLSNVYTKKKQISPVIQADLIKSEAERWLYKSGSLQWLILLILGTYYKIGSSYLALFWLVPPAFAYGFLEATLTPARFPKPLKLATLLIGLAVPILISAGGFIRLAGTIIGTVVRLDRNPGGTPDWLGNVIVATYVAAVMCLTLVYLLSYIHLPGAKKSIVLSTCLLFGLSLAVVSLGIIPPFTKDTSRAVNI >ONI23876 pep chromosome:Prunus_persica_NCBIv2:G2:24498675:24505090:-1 gene:PRUPE_2G213300 transcript:ONI23876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLFKGRTLVYSDLNHIIIRILPKYAPEAVDNAILVSSHIDTVFSTGGAGDCSSCVAVMLELARGISQWAHGFKHAVIFLFNTGEEEGLNGAHSFITQHPWSKSIRLAIDLEAMGIGGKSGIFQAGPDPWPIETFAAVAKYPSGQIIAQDIFSSGAIKSATDFQVYREVAGLSGLDFAYADNTAVYHTKNDKLELLKLGSLQHLGENMLAFLLKIAASSHLPKVNTMVEEDNKGQSTAVYFDILGTYMVVYRQHFANMLHKSVIAQSLLIWTTSLLMGGYPAAISLALSCFSVILMWIFALSFSVLAAFIIPLISSSPVPYVANPWLVVGLFAAPALLGALTGQYLGYLILHTHLSNVYTKKKQISPVIQADLIKSEAERWLYKSGSLQWLILLILGTYYKIGSSYLALFWLVPPAFAYGFLEATLTPARFPKPLKLATLLIGLAVPILISAGGFIRLAGTIIGTVVRLDRNPGGTPDWLGNVIVATYVAAVMCLTLVYLLSYIHLPGAKKSIVLSTCLLFGLSLAVVSLGIIPPFTKDTSRAVNI >ONI24735 pep chromosome:Prunus_persica_NCBIv2:G2:26961267:26965087:-1 gene:PRUPE_2G259200 transcript:ONI24735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHIARAEEFEKKAEKKLSSWGFFGSKHEDAAELFDKSANSYKLGKSWDKAGATYVKLANCHLKSDSKHEAATAYVDAAHCYKKSSVNEAISCLEQAVNLLCDIGRLNMAARYYKEIAELYESEQNIEKAIEFFERAADFFQNEEVTTSANQCKQKVAQFAAQIEQYPKSIEIYEEIARHSLNNNLLKYGVKGHLLNAGICQLCKGDSVAITNALERYQELDPTFSGTREYRFLADIAASVDEEDVAKFTDVVKEFDSMTPLDSWKTTLLLRVKEKLKSKELEEDDLT >ONI24736 pep chromosome:Prunus_persica_NCBIv2:G2:26961325:26964959:-1 gene:PRUPE_2G259200 transcript:ONI24736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHIARAEEFEKKAEKKLSSWGFFGSKHEDAAELFDKSANSYKLGKSWDKAGATYVKLANCHLKSDSKHEAATAYVDAAHCYKKSSVNEAISCLEQAVNLLCDIGRLNMAARYYKEIAELYESEQNIEKAIEFFERAADFFQNEEVTTSANQCKQKVAQFAAQIEQYPKSIEIYEEIARHSLNNNLLKYGVKGHLLNAGICQLCKGDSVAITNALERYQELDPTFSGTREYRFLADIAASVDEEDVAKFTDVVKEFDSMTPLVIHGRQPYC >ONI25414 pep chromosome:Prunus_persica_NCBIv2:G2:28944481:28945633:-1 gene:PRUPE_2G301700 transcript:ONI25414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLVFSSQPSLYLASKCSPWNPKSLTFNPTTLQNQKHNKRTYKMHANAKGFGSAPASTKEKPTSQNSNKNDQDGDEEIPQAVFERMLVRIVASVGLPMAMGFAFLNVFEVIKEKHLWDVPLWLPFLTTLLTFGSSTLGIAYGALSTSWDAEKKGSILGFEEAQSNWVEMWSEEDESNSKKNDG >ONI20896 pep chromosome:Prunus_persica_NCBIv2:G2:4205544:4208256:1 gene:PRUPE_2G039000 transcript:ONI20896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNETNQENPSLPPNNYTSTTKELESPRSKPQQPLGVGGSSDRLKRDEWSEGAVSSLLEAYETKWVLRNRAKLKGHDWEDVARHVSSRANCTKSPKTQTQCKNKIESMKKRYRSESATADGSSWPLYPRLDLLLRGSGPSPTAASAAATVTAAAIPPPPPPPPLPVQLPPPPPQNNHPLMLLEPSVSLPPQPPPTAPPQPIGTAQNSYGSNGVDHRVAKEDGMGMKLSDHASDKNPLEIDSSTPALYSDKEKLRSKRMKRKIEKKKRRRREEVEIAESIRWLAEVVVRSEQARMDTMREIERMRVEAEAKRGEMDLKRTEIIANTQLEIARLFAAGVGKGVDSSLRIGRS >ONI22406 pep chromosome:Prunus_persica_NCBIv2:G2:18459671:18461620:1 gene:PRUPE_2G127100 transcript:ONI22406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLLGLSNLESMAPIRMCKRSWMSRNWRNPDWSPVQGLYEKYIFSTFFAGNEVPGQFSHKSSKSPISFTVPLLDNHRIQGLKVFAVYTKLYANEFTNRSAKGSPELIITSVSNKTKGRKWIYCPIFYGIPGEGEDMIWLSHWKLGRFA >ONI21971 pep chromosome:Prunus_persica_NCBIv2:G2:15479604:15486535:-1 gene:PRUPE_2G099100 transcript:ONI21971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDSGTCNALIVCQHKKRRISSNTGISLCETFSPLEGSLPYLPTLEEADYYTQPSLKELAAREYTDPGFSSRVLDFTVGRFGYGSIKYLGKTDIRRLELDKIVKFRRHEVIVYEDETAKPLVGQGLNKPAEVTLVLQTRPSNMDKRQKDNSVKKLRQIVEGQGAQFISFNPENGEWKFFVHHFSRFGLSEDDEEDIMMEDAAAAQDLVEMNHGEISDADEETQMDPTGIVLSHSLPAHLGLDPVKMKEMRMLMFPDGEEEAEELNQVPAHYNPSFGREYIRPPLQNTSQRMSDRSTPPPVRKTPLALLEYKHGSFDSNSPGAILMAQENKVIPTKILKEGFKLDLKHETPVTKRHCRNIVDAGLLMGRSFRVGWGPNGTLVHAGTPVGSTGSQMMLSSTINLEKVAIDNVVRDENNKVREELIDTAIDSPLDFHMGLLHQTEEIEVGSFNLRLQKVVSNRLMLSEICRSYVDIIEKQLEVPRLSSSARLGLTHQIMIWELIKVLFSDRENGGKMKSLGADNEEEMVQDVKEASQEVDVEALPLIRRAEFSYWLQENVCHRVQERMSSLNESSYLEYILLLLSGRQLDAAVELAASRGDVRLACLLSQAGGSIVNRSDMAQQLDRWRSNGLDFSFIEKDRIRLYELLAGNIDDAFHDVKVDWKRFLGLLMWYQLAPSTSLPTVFRTYRHLLDEGKAPYPVPIYIDEGLVEESENFNAVKRYDLSYYLMLLHASEESEVGFLKSMLSAFSSTHDPLDYHMIWHQRAVLEAVGAISSKDLHVLDMGFVSQLLCFGKCHWAIYVVLHMPHCEDFPYVHANLIREILFQYCESWSSQESQRQAIENLGIPKAWLHEAMPNTQRYGGLQLPWRTTNQKLKIGTWELGFIFHSI >ONI21968 pep chromosome:Prunus_persica_NCBIv2:G2:15480113:15485681:-1 gene:PRUPE_2G099100 transcript:ONI21968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDSGTCNALIVCQHKKRRISSNTGISLCETFSPLEGSLPYLPTLEEADYYTQPSLKELAAREYTDPGFSSRVLDFTVGRFGYGSIKYLGKTDIRRLELDKIVKFRRHEVIVYEDETAKPLVGQGLNKPAEVTLVLQTRPSNMDKRQKDNSVKKLRQIVEGQGAQFISFNPENGEWKFFVHHFSRFGLSEDDEEDIMMEDAAAAQDLVEMNHGEISDADEETQMDPTGIVLSHSLPAHLGLDPVKMKEMRMLMFPDGEEEAEELNQVPAHYNPSFGREYIRPPLQNTSQRMSDRSTPPPVRKTPLALLEYKHGSFDSNSPGAILMAQENKVIPTKILKEGFKLDLKHETPVTKRHCRNIVDAGLLMGRSFRVGWGPNGTLVHAGTPVGSTGSQMMLSSTINLEKVAIDNVVRDENNKVREELIDTAIDSPLDFHMGLLHQTEEIEVGSFNLRLQKVVSNRLMLSEICRSYVDIIEKQLEVPRLSSSARLGLTHQIMIWELIKVLFSDRENGGKMKSLGADNEEEMVQDVKEASQEVDVEALPLIRRAEFSYWLQENVCHRVQERMSSLNESSYLEYILLLLSGRQLDAAVELAASRGDVRLACLLSQAGGSIVNRSDMAQQLDRWRSNGLDFSFIEKDRIRLYELLAGNIDDAFHDVKVDWKRFLGLLMWYQLAPSTSLPTVFRTYRHLLDEGKAPYPVPIYIDEGLVEESENFNAVKRYDLSYYLMLLHASEESEVGFLKSMLSAFSSTHDPLDYHMIWHQRAVLEAVGAISSKDLHVLDMGFVSQLLCFGKCHWAIYVVLHMPHCEDFPYVHANLIREILFQYCESWSSQESQRQAIENLGIPKAWLHEAMAVYFNYYGDLAKALEHFLQCANWQKAHTIFVTSVAHKLFLSAEHSEIWRLATSMEDYKSEIENWDLGAGIYISFYLIRSSLQEADNTMNELDSLESKNSACREFLGQLKRSLAVWGVLLPVDVRVVYSKMADEICNLLLSDIGDCPTRDVQLSCFDTVFRAPIPEDLRASHLQDAVSLFTCFLSEVAT >ONI21967 pep chromosome:Prunus_persica_NCBIv2:G2:15479604:15486563:-1 gene:PRUPE_2G099100 transcript:ONI21967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDSGTCNALIVCQHKKRRISSNTGISLCETFSPLEGSLPYLPTLEEADYYTQPSLKELAAREYTDPGFSSRVLDFTVGRFGYGSIKYLGKTDIRRLELDKIVKFRRHEVIVYEDETAKPLVGQGLNKPAEVTLVLQTRPSNMDKRQKDNSVKKLRQIVEGQGAQFISFNPENGEWKFFVHHFSRFGLSEDDEEDIMMEDAAAAQDLVEMNHGEISDADEETQMDPTGIVLSHSLPAHLGLDPVKMKEMRMLMFPDGEEEAEELNQVPAHYNPSFGREYIRPPLQNTSQRMSDRSTPPPVRKTPLALLEYKHGSFDSNSPGAILMAQENKVIPTKILKEGFKLDLKHETPVTKRHCRNIVDAGLLMGRSFRVGWGPNGTLVHAGTPVGSTGSQMMLSSTINLEKVAIDNVVRDENNKVREELIDTAIDSPLDFHMGLLHQTEEIEVGSFNLRLQKVVSNRLMLSEICRSYVDIIEKQLEVPRLSSSARLGLTHQIMIWELIKVLFSDRENGGKMKSLGADNEEEMVQDVKEASQEVDVEALPLIRRAEFSYWLQENVCHRVQERMSSLNESSYLEYILLLLSGRQLDAAVELAASRGDVRLACLLSQAGGSIVNRSDMAQQLDRWRSNGLDFSFIEKDRIRLYELLAGNIDDAFHDVKVDWKRFLGLLMWYQLAPSTSLPTVFRTYRHLLDEGKAPYPVPIYIDEGLVEESENFNAVKRYDLSYYLMLLHASEESEVGFLKSMLSAFSSTHDPLDYHMIWHQRAVLEAVGAISSKDLHVLDMGFVSQLLCFGKCHWAIYVVLHMPHCEDFPYVHANLIREILFQYCESWSSQESQRQAIENLGIPKAWLHEAMAVYFNYYGDLAKALEHFLQCANWQKAHTIFVTSVAHKLFLSAEHSEIWRLATSMEDYKSEIENWDLGAGIYISFYLIRSSLQEADNTMNELDSLESKNSACREFLGQLKRSLAVWGVLLPVDVRVVYSKMADEICNLLLSDIGDCPTRDVQLSCFDTVFRAPIPEDLRASHLQDAVSLFTCFLSEVAT >ONI21969 pep chromosome:Prunus_persica_NCBIv2:G2:15480113:15485681:-1 gene:PRUPE_2G099100 transcript:ONI21969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDSGTCNALIVCQHKKRRISSNTGISLCETFSPLEGSLPYLPTLEEADYYTQPSLKELAAREYTDPGFSSRVLDFTVGRFGYGSIKYLGKTDIRRLELDKIVKFRRHEVIVYEDETAKPLVGQGLNKPAEVTLVLQTRPSNMDKRQKDNSVKKLRQIVEGQGAQFISFNPENGEWKFFVHHFSRFGLSEDDEEDIMMEDAAAAQDLVEMNHGEISDADEETQMDPTGIVLSHSLPAHLGLDPVKMKEMRMLMFPDGEEEAEELNQVPAHYNPSFGREYIRPPLQNTSQRMSDRSTPPPVRKTPLALLEYKHGSFDSNSPGAILMAQENKVIPTKILKEGFKLDLKHETPVTKRHCRNIVDAGLLMGRSFRVGWGPNGTLVHAGTPVGSTGSQMMLSSTINLEKVAIDNVVRDENNKVREELIDTAIDSPLDFHMGLLHQTEEIEVGSFNLRLQKVVSNRLMLSEICRSYVDIIEKQLEVPRLSSSARLGLTHQIMIWELIKVLFSDRENGGKMKSLGADNEEEMVQDVKEASQEVDVEALPLIRRAEFSYWLQENVCHRVQERMSSLNESSYLEYILLLLSGRQLDAAVELAASRGDVRLACLLSQAGGSIVNRSDMAQQLDRWRSNGLDFSFIEKDRIRLYELLAGNIDDAFHDVKVDWKRFLGLLMWYQLAPSTSLPTVFRTYRHLLDEGKAPYPVPIYIDEGLVEESENFNAVKRYDLSYYLMLLHASEESEVGFLKSMLSAFSSTHDPLDYHMIWHQRAVLEAVGAISSKDLHVLDMGFVSQLLCFGKCHWAIYVVLHMPHCEDFPYVHANLIREILFQYCESWSSQESQRQAIENLGIPKAWLHEAMAVYFNYYGDLAKALEHFLQCANWQKAHTIFVTSVAHKLFLSAEHSEIWRLATSMEDYKSEIENWDLGAGIYISFYLIRSSLQEADNTMNELDSLESKNSACREFLGQLKRSLAVWGVLLPVDVRVVYSKMADEICNLLLSDIGDCPTRDVQLSCFDTVFRAPIPEDLRASHLQDAVSLFTCFLSEVAT >ONI21970 pep chromosome:Prunus_persica_NCBIv2:G2:15481377:15485681:-1 gene:PRUPE_2G099100 transcript:ONI21970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDSGTCNALIVCQHKKRRISSNTGISLCETFSPLEGSLPYLPTLEEADYYTQPSLKELAAREYTDPGFSSRVLDFTVGRFGYGSIKYLGKTDIRRLELDKIVKFRRHEVIVYEDETAKPLVGQGLNKPAEVTLVLQTRPSNMDKRQKDNSVKKLRQIVEGQGAQFISFNPENGEWKFFVHHFSRFGLSEDDEEDIMMEDAAAAQDLVEMNHGEISDADEETQMDPTGIVLSHSLPAHLGLDPVKMKEMRMLMFPDGEEEAEELNQVPAHYNPSFGREYIRPPLQNTSQRMSDRSTPPPVRKTPLALLEYKHGSFDSNSPGAILMAQENKVIPTKILKEGFKLDLKHETPVTKRHCRNIVDAGLLMGRSFRVGWGPNGTLVHAGTPVGSTGSQMMLSSTINLEKVAIDNVVRDENNKVREELIDTAIDSPLDFHMGLLHQTEEIEVGSFNLRLQKVVSNRLMLSEICRSYVDIIEKQLEVPRLSSSARLGLTHQIMIWELIKVLFSDRENGGKMKSLGADNEEEMVQDVKEASQEVDVEALPLIRRAEFSYWLQENVCHRVQERMSSLNESSYLEYILLLLSGRQLDAAVELAASRGDVRLACLLSQAGGSIVNRSDMAQQLDRWRSNGLDFSFIEKDRIRLYELLAGNIDDAFHDVKVDWKRFLGLLMWYQLAPSTSLPTVFRTYRHLLDEGKAPYPVPIYIDEGLVEESENFNAVKRYDLSYYLMLLHASEESEVGFLKSMLSAFSSTHDPLDYHMIWHQRAVLEAVGAISSKDLHVLDMGFVSQLLCFGKCHWAIYVVLHMPHCEDFPYVHANLIREILFQYCESWSSQESQRQAIENLGIPKAWLHEAMPNTQRYGGLQLPWRTTNQKLKIGTWELGFIFHSI >ONI25738 pep chromosome:Prunus_persica_NCBIv2:G2:29721181:29724355:1 gene:PRUPE_2G317500 transcript:ONI25738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVHRDNHRRPFSSSERGEADGPTCNRPPVTENYPNNNSVYDVAVAAAAGSSSSGGPVLRGLQPFDISNITTNTSISAHHTAFRSPGGMTTSLGFPFTNTQWKELERQAMIYKYMVASLPVPRDLLFPINRAPSGPTISQSPLSSGFNLRLSNSTDPEPGRCKRTDGKKWRCSRDVAPDQKYCERHMHRGRPRSRKHVEVHANTTTKRVRHDINQAPPTMSPATVSISNTTSINKNVCQTQFIGSTLQPYHQSPMFLDRDTVKAATFDSMNSASSDREPRSLDWLMMKGEPVQMATSDPQWQHLMQSKTELNSKIPFFDANSSIFAQRSENECFLNLNSYTNFNAGGEDQPDNECNMFLNPDVVSLDNPLLEETPRCFIDAWSKTVINEDTMANSVNNKDNSSAPSSGQLSPSSLTLSMGGYNSINEEMGQTQMSLGNNGNGMKPGLSTWLSPPSWVASAPGGPLAEVLKPTTSAASNPSSPITAATANGELGSPLATTVSSPSGVLQKTFASLSDSSGNSSPTLASSRAKQPEIVSLWLNQNKA >ONI25741 pep chromosome:Prunus_persica_NCBIv2:G2:29721939:29724355:1 gene:PRUPE_2G317500 transcript:ONI25741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNIHVLCIAGGMTTSLGFPFTNTQWKELERQAMIYKYMVASLPVPRDLLFPINRAPSGPTISQSPLSSGFNLRLSNSTDPEPGRCKRTDGKKWRCSRDVAPDQKYCERHMHRGRPRSRKHVEVHANTTTKRVRHDINQAPPTMSPATVSISNTTSINKNVCQTQFIGSTLQPYHQSPMFLDRDTVKAATFDSMNSASSDREPRSLDWLMMKGEPVQMATSDPQWQHLMQSKTELNSKIPFFDANSSIFAQRSENECFLNLNSYTNFNAGGEDQPDNECNMFLNPDVVSLDNPLLEETPRCFIDAWSKTVINEDTMANSVNNKDNSSAPSSGQLSPSSLTLSMGGYNSINEEMGQTQMSLGNNGNGMKPGLSTWLSPPSWVASAPGGPLAEVLKPTTSAASNPSSPITAATANGELGSPLATTVSSPSGVLQKTFASLSDSSGNSSPTLASSRAKQPEIVSLWLNQNKA >ONI25739 pep chromosome:Prunus_persica_NCBIv2:G2:29721181:29724355:1 gene:PRUPE_2G317500 transcript:ONI25739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVHRDNHRRPFSSSERGEADGPTCNRPPVTENYPNNNSVYDVAVAAAAGSSSSGGPVLRGLQPFDISNITTNTSISAHHTAFRSPVSSGFNLRLSNSTDPEPGRCKRTDGKKWRCSRDVAPDQKYCERHMHRGRPRSRKHVEVHANTTTKRVRHDINQAPPTMSPATVSISNTTSINKNVCQTQFIGSTLQPYHQSPMFLDRDTVKAATFDSMNSASSDREPRSLDWLMMKGEPVQMATSDPQWQHLMQSKTELNSKIPFFDANSSIFAQRSENECFLNLNSYTNFNAGGEDQPDNECNMFLNPDVVSLDNPLLEETPRCFIDAWSKTVINEDTMANSVNNKDNSSAPSSGQLSPSSLTLSMGGYNSINEEMGQTQMSLGNNGNGMKPGLSTWLSPPSWVASAPGGPLAEVLKPTTSAASNPSSPITAATANGELGSPLATTVSSPSGVLQKTFASLSDSSGNSSPTLASSRAKQPEIVSLWLNQNKA >ONI25740 pep chromosome:Prunus_persica_NCBIv2:G2:29721181:29724355:1 gene:PRUPE_2G317500 transcript:ONI25740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVHRDNHRRPFSSSERVAAAAGSSSSGGPVLRGLQPFDISNITTNTSISAHHTAFRSPGGMTTSLGFPFTNTQWKELERQAMIYKYMVASLPVPRDLLFPINRAPSGPTISQSPLSSGFNLRLSNSTDPEPGRCKRTDGKKWRCSRDVAPDQKYCERHMHRGRPRSRKHVEVHANTTTKRVRHDINQAPPTMSPATVSISNTTSINKNVCQTQFIGSTLQPYHQSPMFLDRDTVKAATFDSMNSASSDREPRSLDWLMMKGEPVQMATSDPQWQHLMQSKTELNSKIPFFDANSSIFAQRSENECFLNLNSYTNFNAGGEDQPDNECNMFLNPDVVSLDNPLLEETPRCFIDAWSKTVINEDTMANSVNNKDNSSAPSSGQLSPSSLTLSMGGYNSINEEMGQTQMSLGNNGNGMKPGLSTWLSPPSWVASAPGGPLAEVLKPTTSAASNPSSPITAATANGELGSPLATTVSSPSGVLQKTFASLSDSSGNSSPTLASSRAKQPEIVSLWLNQNKA >ONI25786 pep chromosome:Prunus_persica_NCBIv2:G2:29841764:29844305:-1 gene:PRUPE_2G320200 transcript:ONI25786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMQIVLAKACKNVEAQYVEMMVPLYSHGCEKKVKKTLSHLKGIYSVKVDYDQQKVTVWGICNKYDVLATVRSKRKDACFWNPQDNDIALELQSQPPSDSSSPPISSASRYANSSLALIRVRSLSLKLKAWKKVFTRSYSLP >ONI22931 pep chromosome:Prunus_persica_NCBIv2:G2:21187374:21191733:-1 gene:PRUPE_2G159400 transcript:ONI22931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRQIAIFTTASLPWMTGTAVNPLFRAAYLGKDGERIVTLVIPWLSLKDQKLVYPNNITFSSPAEQETYVRHWVDERTGFKSDFGILFYPGKFSLDKRSILAVGDISERIPDEKADIAILEEPEHLTWYHHGKRWKIKFRLVVGIVHTNYLEYVRREKNGRMQAFLLKYINNWVVSIYCHKVIRLSAATQDYPKSIICNVHGVNPKFLEIGKKKLEQQQNGIQAFTKGAYYIGKMVWSKGYKELLELLRDNQKELTGLEVDLYGTGEDSDQVQETAKRLELAVRVHPGRDHADLLFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFKQFPNCRTYDNGDGFVKLTRHALAEEPAQLTDAQRHELSWEAATERFLRVTELDQEFTRKLSKSPTKNFMSTSLGLSSSMEGASAYVHHVASGFEATRRIFGAIPKSLQPDEEQCKELGLPIPAGHDYLATEESKSSGVEG >ONI22935 pep chromosome:Prunus_persica_NCBIv2:G2:21187857:21190628:-1 gene:PRUPE_2G159400 transcript:ONI22935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRQIAIFTTASLPWMTGTAVNPLFRAAYLGKDGERIVTLVIPWLSLKDQKLVYPNNITFSSPAEQETYVRHWVDERTGFKSDFGILFYPGKFSLDKRSILAVGDISERIPDEKADIAILEEPEHLTWYHHGKRWKIKFRLVVGIVHTNYLEYVRREKNGRMQAFLLKYINNWVVSIYCHKVIRLSAATQDYPKSIICNVHGVNPKFLEIGKKKLEQQQNGIQAFTKGAYYIGKMVWSKGYKELLELLRDNQKELTGLEVDLYGTGEDSDQVQETAKRLELAVRVHPGRDHADLLFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFKQFPNCRTYDNGDGFVKLTRHALAEEPAQLTDAQRHELSWEAATERFLRVTELDQEFTRKLSKSPTKNFMSTSLGLSSSMEGASAYVHHVASGFEATRRIFGAIPKSLQPDEEQCKELGLPIPAGKRASGR >ONI22934 pep chromosome:Prunus_persica_NCBIv2:G2:21187374:21191732:-1 gene:PRUPE_2G159400 transcript:ONI22934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRQIAIFTTASLPWMTGTAVNPLFRAAYLGKDGERIVTLVIPWLSLKDQKLVYPNNITFSSPAEQETYVRHWVDERTGFKSDFGILFYPGKFSLDKRSILAVGDISERIPDEKADIAILEEPEHLTWYHHGKRWKIKFRLVVGIVHTNYLEYVRREKNGRMQAFLLKYINNWVVSIYCHKVIRLSAATQDYPKSIICNVHGVNPKFLEIGKKKLEQQQNGIQAFTKGAYYIGKMVWSKGYKELLELLRDNQKELTGLEVDLYGTGEDSDQVQETAKRLELAVRVHPGRDHADLLFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFKQFPNCRTYDNGDGFVKLTRHALAEEPAQLTDAQRHELSWEAATERFLRVTELDQEFTRKLSKSPTKNFMSTSLGLSSSMEGASAYVHHVASGFEATRRIFGAIPKSLQPDEEQCKELGLPIPAVSGHDYLATEESKSSGVEG >ONI22930 pep chromosome:Prunus_persica_NCBIv2:G2:21186397:21191733:-1 gene:PRUPE_2G159400 transcript:ONI22930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRQIAIFTTASLPWMTGTAVNPLFRAAYLGKDGERIVTLVIPWLSLKDQKLVYPNNITFSSPAEQETYVRHWVDERTGFKSDFGILFYPGKFSLDKRSILAVGDISERIPDEKADIAILEEPEHLTWYHHGKRWKIKFRLVVGIVHTNYLEYVRREKNGRMQAFLLKYINNWVVSIYCHKVIRLSAATQDYPKSIICNVHGVNPKFLEIGKKKLEQQQNGIQAFTKGAYYIGKMVWSKGYKELLELLRDNQKELTGLEVDLYGTGEDSDQVQETAKRLELAVRVHPGRDHADLLFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFKQFPNCRTYDNGDGFVKLTRHALAEEPAQLTDAQRHELSWEAATERFLRVTELDQEFTRKLSKSPTKNFMSTSLGLSSSMEGASAYVHHVASGFEATRRIFGAIPKSLQPDEEQCKELGLPIPAGCSCNR >ONI22932 pep chromosome:Prunus_persica_NCBIv2:G2:21187374:21191729:-1 gene:PRUPE_2G159400 transcript:ONI22932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRQIAIFTTASLPWMTGTAVNPLFRAAYLGKDGERIVTLVIPWLSLKDQKLVYPNNITFSSPAEQETYVRHWVDERTGFKSDFGILFYPGKFSLDKRSILAVGDISERIPDEKADIAILEEPEHLTWYHHGKRWKIKFRLVVGIVHTNYLEYVRREKNGRMQAFLLKYINNWVVSIYCHKVIRLSAATQDYPKSIICNVHGVNPKFLEIGKKKLEQQQNGIQAFTKGAYYIGKMVWSKGYKELLELLRDNQKELTGLEVDLYGTGEDSDQVQETAKRLELAVRVHPGRDHADLLFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFKQFPNCRTYDNGDGFVKLTRHALAEEPAQLTDAQRHELSWEAATERFLRVTELDQEFTRKLSKSPTKNFMSTSLGLSSSMEGASAYVHHVASGFEATRRIFGAIPKSLQPDEEQCKELGLPIPAGHDYLATEESKSSGVEG >ONI22933 pep chromosome:Prunus_persica_NCBIv2:G2:21187672:21190628:-1 gene:PRUPE_2G159400 transcript:ONI22933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRQIAIFTTASLPWMTGTAVNPLFRAAYLGKDGERIVTLVIPWLSLKDQKLVYPNNITFSSPAEQETYVRHWVDERTGFKSDFGILFYPGKFSLDKRSILAVGDISERIPDEKADIAILEEPEHLTWYHHGKRWKIKFRLVVGIVHTNYLEYVRREKNGRMQAFLLKYINNWVVSIYCHKVIRLSAATQDYPKSIICNVHGVNPKFLEIGKKKLEQQQNGIQAFTKGAYYIGKMVWSKGYKELLELLRDNQKELTGLEVDLYGTGEDSDQVQETAKRLELAVRVHPGRDHADLLFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFKQFPNCRTYDNGDGFVKLTRHALAEEPAQLTDAQRHELSWEAATERFLRVTELDQEFTRKLSKSPTKNFMSTSLGLSSSMEGASAYVHHVASGFEATRRIFGAIPKSLQPDEEQCKELGLPIPAVSGHDYLATEESKSSGVEG >ONI21731 pep chromosome:Prunus_persica_NCBIv2:G2:13369289:13369985:-1 gene:PRUPE_2G084500 transcript:ONI21731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLPLILTDKLTNLDGRTQLEQIVKLNDVIASKKKYSRIQLQLETKFRDVYSFKNPNVKYDLKTERPRIFHFQFSSNSENSLSDQKRKKQRNSKSNWNDFSCSLARTRNSEKKKQQQQQVCGSRIGKSEKKEAKVRKILFFSAIRSILFMDNNFMDLQQKVRNSSENSHFPWNSISVW >ONI20239 pep chromosome:Prunus_persica_NCBIv2:G2:458463:461593:-1 gene:PRUPE_2G004500 transcript:ONI20239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCRYLINSCIHQTYDILDFRTTQSSKTRSYYVRCQNSFDQTLDGENGGNRKLKILIAGGGIGRLVLALAAKHEGFEVQVFEKDLSAVRGEGQHRGPIQLVSSALEVLEAIDENVAKQIKEAGCVTGNRTTGYADGLSGEWMALQDILLNAVGLDIVRNKSKVVDFLEDPSKVTVILEDGQQYDGEVLVGADGIWSKVRAKLFGEREAKYSTYTSYSGVTNFVPPYIDSVAYRIFLGLNQCFVATDVGNGKIQWFANHKEQPMSNDPPEGKKKRLLEKFGNWCPEVVTLIQKTPESMILRRDIYDRDMIYTWGAGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLIHELVQASESDSNVQISEEIVLALRRYANKRLWRVGLVFAASRFASKMLASYKPYIEFKIGPLAHLLTQQITHPAIPVFRAFLQICLPKFMAWITAGHGLCLKR >ONI20245 pep chromosome:Prunus_persica_NCBIv2:G2:459491:461560:-1 gene:PRUPE_2G004500 transcript:ONI20245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCRYLINSCIHQTYDILDFRTTQSSKTRSYYVRCQNSFDQTLDGENGGNRKLKILIAGGGIGRLVLALAAKHEGFEVQVFEKDLSAVRGEGQHRGPIQLVSSALEVLEAIDENVAKQIKEAGCVTGNRTTGYADGLSGEWIIKFDLSSPAVSRGLPLTLVICRMALQDILLNAVGLDIVRNKSKVVDFLEDPSKVTVILEDGQQYDGEVLVGADGIWSKVRAKLFGEREAKYSTYTSYSGVTNFVPPYIDSVAYRIFLGLNQCFVATDVGNGKIQWFANHKEQPMSNDPPEGKKKRLLEKFGNWCPEVVTLIQKTPESMILRRDIYDRDMIYTWGAGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLIHELVQASESDSNVQISEEIVLALRR >ONI20240 pep chromosome:Prunus_persica_NCBIv2:G2:458464:461628:-1 gene:PRUPE_2G004500 transcript:ONI20240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCRYLINSCIHQTYDILDFRTTQSSKTRSYYVRCQNSFDQTLDGENGGNRKLKILIAGGGIGRLVLALAAKHEGFEVQVFEKDLSAVRGEGQHRGPIQLVSSALEVLEAIDENVAKQIKEAGCVTGNRTTGYADGLSGEWIIKFDLSSPAVSRGLPLTLVICRMALQDILLNAVGLDIVRNKSKVVDFLEDPSKVTVILEDGQQYDGEVLVGADGIWSKVRAKLFGEREAKYSTYTSYSGVTNFVPPYIDSVAYRIFLGLNQCFVATDVGNGKIQWFANHKEQPMSNDPPEGKKKRLLEKFGNWCPEVVTLIQKTPESMILRRDIYDRDMIYTWGAGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLIHELVQASESDSNVQISEEIVLALRRYANKRLWRVGLVFAASRFASKMLASYKPYIEFKIGPLAHLLTQQITHPAIPVFRAFLQICLPKFMAWITAGHGLCLKR >ONI20242 pep chromosome:Prunus_persica_NCBIv2:G2:458464:461593:-1 gene:PRUPE_2G004500 transcript:ONI20242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCRYLINSCIHQTYDILDFRTTQSSKTRSYYVRCQNSFDQTLDGENGGNRKLKILIAGGGIGRLVLALAAKHEGFEVQVFEKDLSAVRGEGQHRGPIQLVSSALEVLEAIDENVAKQIKEAGCVTGNRTTGYADGLSGEWIIKFDLSSPAVSRGLPLTLVICRMALQDILLNAVGLDIVRNKSKVVDFLEDPSKVTVILEDGQQYDGEVLVGADGIWSKVRAKLFGEREAKYSTYTSYSGVTNFVPPYIDSVAYRIFLGLNQCFVATDVGNGKIQWFANHKEQPMSNDPPEGKKKRLLEKFGNWCPEVVTLIQKTPESMILRRDIYDRDMIYTWGAGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLIHELVQASESDSNVQISEEIVLALRRYANKRLWRVGLVFAASRFASKMLASYKPYIEFKIGPLAHLLTQQITHPAIPVFRAFLQICLPKFMAWITAGHG >ONI20243 pep chromosome:Prunus_persica_NCBIv2:G2:459143:461560:-1 gene:PRUPE_2G004500 transcript:ONI20243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCRYLINSCIHQTYDILDFRTTQSSKTRSYYVRCQNSFDQTLDGENGGNRKLKILIAGGGIGRLVLALAAKHEGFEVQVFEKDLSAVRGEGQHRGPIQLVSSALEVLEAIDENVAKQIKEAGCVTGNRTTGYADGLSGEWIIKFDLSSPAVSRGLPLTLVICRMALQDILLNAVGLDIVRNKSKVVDFLEDPSKVTVILEDGQQYDGEVLVGADGIWSKVRAKLFGEREAKYSTYTSYSGVTNFVPPYIDSVAYRIFLGLNQCFVATDVGNGKIQWFANHKEQPMSNDPPEGKKKRLLEKFGNWCPEVVTLIQKTPESMILRRDIYDRDMIYTWGAGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLIHELVQASESDSNVQISEEIVLALRRYANKRLWRVGLVFAASRFASKMLASYKPYIEFKIGPLAVSFLHPVSCFNLLKAFPNFHSKERKKE >ONI20241 pep chromosome:Prunus_persica_NCBIv2:G2:458966:461606:-1 gene:PRUPE_2G004500 transcript:ONI20241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCRYLINSCIHQTYDILDFRTTQSSKTRSYYVRCQNSFDQTLDGENGGNRKLKILIAGGGIGRLVLALAAKHEGFEVQVFEKDLSAVRGEGQHRGPIQLVSSALEVLEAIDENVAKQIKEAGCVTGNRTTGYADGLSGEWMALQDILLNAVGLDIVRNKSKVVDFLEDPSKVTVILEDGQQYDGEVLVGADGIWSKVRAKLFGEREAKYSTYTSYSGVTNFVPPYIDSVAYRIFLGLNQCFVATDVGNGKIQWFANHKEQPMSNDPPEGKKKRLLEKFGNWCPEVVTLIQKTPESMILRRDIYDRDMIYTWGAGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLIHELVQASESDSNVQISEEIVLALRRYANKRLWRVGLVFAASRFASKMLASYKPYIEFKIGPLAHLLTQQITHPAIPVFRAFLQICLPKFMAWITAGHG >ONI20244 pep chromosome:Prunus_persica_NCBIv2:G2:458464:461593:-1 gene:PRUPE_2G004500 transcript:ONI20244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCRYLINSCIHQTYDILDFRTTQSSKTRSYYVRCQNSFDQTLDGENGGNRKLKILIAGGGIGRLVLALAAKHEGFEVQVFEKDLSAVRGEGQHRGPIQLVSSALEVLEAIDENVAKQIKEAGCVTGNRTTGYADGLSGEWIIKFDLSSPAVSRGLPLTLVICRMALQDILLNAVGLDIVRNKSKVVDFLEDPSKVTVILEDGQQYDGEVLVGADGIWSKVRAKLFGEREAKYSTYTSYSGVTNFVPPYIDSVAYRIFLGLNQCFVATDVGNGKIQWFANHKEQPMSNDPPEGKKKRLLEKFGNWCPEVVTLIQKTPESMILRRDIYDRDMIYTWGAGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLIHELVQASESDSNVQISEEIVLALRR >ONI20246 pep chromosome:Prunus_persica_NCBIv2:G2:459564:461560:-1 gene:PRUPE_2G004500 transcript:ONI20246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCRYLINSCIHQTYDILDFRTTQSSKTRSYYVRCQNSFDQTLDGENGGNRKLKILIAGGGIGRLVLALAAKHEGFEVQVFEKDLSAVRGEGQHRGPIQLVSSALEVLEAIDENVAKQIKEAGCVTGNRTTGYADGLSGEWIIKFDLSSPAVSRGLPLTLVICRMALQDILLNAVGLDIVRNKSKVVDFLEDPSKVTVILEDGQQYDGEVLVGADGIWSKVRAKLFGEREAKYSTYTSYSGVTNFVPPYIDSVAYRIFLGLNQCFVATDVGNGKIQWFANHKEQPMSNDPPEGKKKRLLEKFGNWCPEVVTLIQKTPESMILRRDIYDRDMIYTWGAGRVTLLGDAAHPMQPNLGQGGCMAIEVCYRLIHLSLACSIMQPACQKNGNKLRYFSHVLISHYLGDFVCAGLLPTYT >ONI25007 pep chromosome:Prunus_persica_NCBIv2:G2:27667660:27669298:1 gene:PRUPE_2G274400 transcript:ONI25007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLNKLPLAGLKPLWYLITVFCYFKLCFAVNRKHLNLSTAATHWAPAGATWYGSPDGAGSDGGSCGYGNLVSQPPFSSMITGIGPSLYKSGKECGACYQVKCTKHASCSGRPVRVVITDFCPGGPCASEPAHFDLSGTAFGAMASPGQQEKLRDAGVLQIQFARVACDYSGKTIAFHVDQGSNSNYFAAVIEFEEGDGDLAGVELKEASSSEGGDEWRAMQQSWGAVWKLDAGSELHPPLSIRLTSQYSAQTLLAKDVIPVGWKPGATYRSLVNYL >ONI23794 pep chromosome:Prunus_persica_NCBIv2:G2:24172328:24176703:-1 gene:PRUPE_2G208600 transcript:ONI23794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPNEFPDDFSSFPPIPFALFVPPENPNPNSNAAPIPNTVENPSSAHLLSFSVPKKRRRGRPHRVPTSFQLPPIPNGVFNSNNNGLASFSSSISAHSSRNNVEIPGSSARTMPDMSDEIIVINKESTAEALIALSAGFPADSLTEEEIDFGVIRVIGGIEQVNYILIRNHIIAKWRENVSNWVTKDIFIDSIPKHCHSLLDSTYKYLVSHGYINFGVAPAIKEKIPAEPSKPHVIVIGAGLAGLAAARQMMRFGFKVTVLEGRKRAGGRVYTKKMEGGIRVCAAADLGGSVLTGTLGNPLGIVARQLGYVLHKVRDKCPLYSFDGKPVDPDMDMKVETAFNQLLDKASRLRQLMGGVSVDVSLGAALETFWQVYGDAVNAEEMNMFNWHLANLEYANAGLISNLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKIVHTIRYGSDGVQVIAGSQVFEGDMALCTVPLGVLKSGSIKFIPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWGTDLDTFGHLSDDSTRRGEFFLFYSYATVAGGPLLIALVAGEAAHKFESMPPTDAVTRVIQILKGIYEPQGISVPEPIQTVCTRWGSDPFSLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATNRRYPATMHGAFLSGFREAANMAHYANARALRIKINRNPSKNAHSCASLLADLFREPDLEFGSFSVIFCRRNADPKSTAILRVTFNEPRKKSHDSAKPDQQHSNKLLFQQLQSHFNQQQQLHVYTLLSRQQVLDLREVRGGDEMRLNYLCEKLGVKLVGRKGLGPTADSVIALIKAERGIRKPASTSLALKSGTSKLKAGTLKRKLVRKAKIMRHGNGSAPSANSNSVNDKVSDETKITSQAPSNTLGSGQNHSDVLKNE >ONI23795 pep chromosome:Prunus_persica_NCBIv2:G2:24172363:24176703:-1 gene:PRUPE_2G208600 transcript:ONI23795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPNEFPDDFSSFPPIPFALFVPPENPNPNSNAAPIPNTVENPSSAHLLSFSVPKKRRRGRPHRVPTSFQLPPIPNGVFNSNNNGLASFSSSISAHSSRNNVEIPGSSARTMPDMSDEIIVINKESTAEALIALSAGFPADSLTEEEIDFGVIRVIGGIEQVNYILIRNHIIAKWRENVSNWVTKDIFIDSIPKHCHSLLDSTYKYLVSHGYINFGVAPAIKEKIPAEPSKPHVIVIGAGLAGLAAARQMMRFGFKVTVLEGRKRAGGRVYTKKMEGGIRVCAAADLGGSVLTGTLGNPLGIVARQLGYVLHKVRDKCPLYSFDGKPVDPDMDMKVETAFNQLLDKASRLRQLMGGVSVDVSLGAALETFWQVYGDAVNAEEMNMFNWHLANLEYANAGLISNLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKIVHTIRYGSDGVQVIAGSQVFEGDMALCTVPLGVLKSGSIKFIPELPQRKLDGIKRLGFGLLNKVAMLFPHVFWGTDLDTFGHLSDDSTRRGEFFLFYSYATVAGGPLLIALVAGEAAHKFESMPPTDAVTRVIQILKGIYEPQGISVPEPIQTVCTRWGSDPFSLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATNRRYPATMHGAFLSGFREAANMAHYANARALRIKINRNPSKNAHSCASLLADLFREPDLEFGSFSVIFCRRNADPKSTAILRVTFNEPRKKSHDSAKPDQQHSNKLLFQQLQSHFNQQQQLHVYTLLSRQQVLDLREVRGGDEMRLNYLCEKLGVKLVGRKGLGPTADSVIALIKAERGIRKPASTSLALKSGTSKLKAGTLKRKLVRKAKIMRHGNGSAPSANSNSVNDKVSDETKITSQAPSNTLGSGQNHSDVLKNE >ONI23168 pep chromosome:Prunus_persica_NCBIv2:G2:21980051:21983091:-1 gene:PRUPE_2G173400 transcript:ONI23168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEDYETENPKFITKCEHHYHLCCILEWMERSDACPICDQELGIDHSFSL >ONI23177 pep chromosome:Prunus_persica_NCBIv2:G2:21980983:21981909:-1 gene:PRUPE_2G173400 transcript:ONI23177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEDYETENPKFITKCEHHYHLCCILEWMERSDACPICDQVCL >ONI23174 pep chromosome:Prunus_persica_NCBIv2:G2:21980060:21983022:-1 gene:PRUPE_2G173400 transcript:ONI23174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEDYETENPKFITKCEHHYHLCCILEWMERSDACPICDQELGIDHSFSL >ONI23169 pep chromosome:Prunus_persica_NCBIv2:G2:21980054:21983020:-1 gene:PRUPE_2G173400 transcript:ONI23169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEDYETENPKFITKCEHHYHLCCILEWMERSDACPICDQELGIDHSFSL >ONI23178 pep chromosome:Prunus_persica_NCBIv2:G2:21980983:21981909:-1 gene:PRUPE_2G173400 transcript:ONI23178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEDYETENPKFITKCEHHYHLCCILEWMERSDACPICDQVCL >ONI23166 pep chromosome:Prunus_persica_NCBIv2:G2:21980061:21982566:-1 gene:PRUPE_2G173400 transcript:ONI23166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEGAVPSVAQGTNSYFTMKQRIQNLSQNASTTITSVVFLSGWKEVMPVLYVIRNWGLTIALVCS >ONI23176 pep chromosome:Prunus_persica_NCBIv2:G2:21980061:21983026:-1 gene:PRUPE_2G173400 transcript:ONI23176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEDYETENPKFITKCEHHYHLCCILEWMERSDACPICDQELGIDHSFSL >ONI23171 pep chromosome:Prunus_persica_NCBIv2:G2:21980057:21983020:-1 gene:PRUPE_2G173400 transcript:ONI23171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEDYETENPKFITKCEHHYHLCCILEWMERSDACPICDQELGIDHSFSL >ONI23170 pep chromosome:Prunus_persica_NCBIv2:G2:21980049:21983063:-1 gene:PRUPE_2G173400 transcript:ONI23170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEDYETENPKFITKCEHHYHLCCILEWMERSDACPICDQELGIDHSFSL >ONI23173 pep chromosome:Prunus_persica_NCBIv2:G2:21980051:21983083:-1 gene:PRUPE_2G173400 transcript:ONI23173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEDYETENPKFITKCEHHYHLCCILEWMERSDACPICDQELGIDHSFSL >ONI23175 pep chromosome:Prunus_persica_NCBIv2:G2:21980061:21982726:-1 gene:PRUPE_2G173400 transcript:ONI23175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEDYETENPKFITKCEHHYHLCCILEWMERSDACPICDQELGIDHSFSL >ONI23167 pep chromosome:Prunus_persica_NCBIv2:G2:21980061:21983083:-1 gene:PRUPE_2G173400 transcript:ONI23167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEGAVPSVAQGTNSYFTMKQRIQNLSQNASTTITSVVFLSGWKEVMPVLYVIRNWGLTIALVCS >ONI23172 pep chromosome:Prunus_persica_NCBIv2:G2:21980049:21983022:-1 gene:PRUPE_2G173400 transcript:ONI23172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCSSRKAHMQLHGAPVYFYCPPVLEEQESLRSHDVAASALSAGLLVHWDLEASTPDTYRPPPPPLPYDMVFGCSRSTDSDSVRETISCSSFDTSATCGDLGESDCKVQENSLNTSPKKLELSKSNEPHVLAKEDEDVCPICLEDYETENPKFITKCEHHYHLCCILEWMERSDACPICDQELGIDHSFSL >ONI25564 pep chromosome:Prunus_persica_NCBIv2:G2:29326015:29336619:1 gene:PRUPE_2G309600 transcript:ONI25564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVADDVISIFDTGPGMDGSDEHCIVKWGKMGASLHRSLREQAIGGRPPYLTPFFGMFGYGGPLASMQLGRHALVSSKTKDSRKVYTLHLDREALLTGSNSNIQKKRRGSDSDSNWKTDGGMRDPLEDEISKTPHGSFTKVEIFKPKSKLDISQLQCKLKDIYFPYIQCDEESKSGKTITPVNFEVNGVDLAEIEGGEIAITNVHSCNGPDFVLQLHFSCKQDSMTKSPDSKAYIQANARLKCAYFPMVEGKENIEKILERLESDGCGTSENFETYSRVSIRRLGRLLPDARWARLPFMEFKQKKGDKADLLKICCLRVKCFIETDAGFNPTPSKTNLAHHSPFTTSLRNLGNQPLENEKDVRIKIYRDGNHLTLSQLKKEYEDWILQMHERYDDEAHCGEDQPVLVVSPANKKALRISSEVARVHKSLKRKGVTWKCGQKIKLLRGACAGVHNNNVYATIEYFLLEGLEGDPGGGARIICRPLSLSVDKGCILKINDGDTSLDIRDSLSVPVSVIDSGKCLAVESNEWDNQLEKQRQKSPSTIDLLDVEECQELGVDGALPVDAPAGKVPPEVIVAVVRPASYVSSCASKTLDQKYIARTNLKMFMEVEFRSDAEGLRNVRDISACAVPEPRKGIQGLYIFPLKSKYPALFQTSGVYTFSFHLTESNCKHVEKRVLIKQAPIVEYKEIQFTCPNGKAPVIGTPKMEFDEIQVQSSHGKVLPLQDSSSIQQVPVMKAPKVEYDESPIPCPNEKIFLLQDSSSLPQAQNLEASNVNKEKIPYQVFELSLAQICELEKDLHQSGMQIGDMEKNLDALNKEKAVIEQDIYVVQASVERCNSDYCSMKAELKHRIESMSHTAASTLCNLLRVPSQELSNDFMGGVIGLVALLGSTGSSELSRILSEYLGEDQMLAVVCRSFAAAVALEKYEHNGEVDSRHALYAAAAKLGRSINGRFLVISLEDIQPYTGDFDGGDPQRKLALPYPILPSGNTPDGFLGYAVNMVDLDEHHLHMTTAAGHGLRQTLFYFLFGELHVYKTRQDMLAARACIKHGAVSLDGGILRQTGAVSLGYGNPEICFPVLRSVAVMKKKIEIYKETMSAVIAAIEEFTKDHQKALKKFRKKNKKWHELATVKERRLIKTT >ONI25565 pep chromosome:Prunus_persica_NCBIv2:G2:29325951:29336695:1 gene:PRUPE_2G309600 transcript:ONI25565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVADDVISIFDTGPGMDGSDEHCIVKWGKMGASLHRSLREQAIGGRPPYLTPFFGMFGYGGPLASMQLGRHALVSSKTKDSRKVYTLHLDREALLTGSNSNIQKKRRGSDSDSNWKTDGGMRDPLEDEISKTPHGSFTKVEIFKPKSKLDISQLQCKLKDIYFPYIQCDEESKSGKTITPVNFEVNGVDLAEIEGGEIAITNVHSCNGPDFVLQLHFSCKQDSMTKSPDSKAYIQANARLKCAYFPMVEGKENIEKILERLESDGCGTSENFETYSRVSIRRLGRLLPDARWARLPFMEFKQKKGDKADLLKICCLRVKCFIETDAGFNPTPSKTNLAHHSPFTTSLRNLGNQPLENEKDVRIKIYRDGNHLTLSQLKKEYEDWILQMHERYDDEAHCGEDQPVLVVSPANKKALRISSEVARVHKSLKRKGVTWKCGQKIKLLRGACAGVHNNNVYATIEYFLLEGLEGDPGGGARIICRPLSLSVDKGCILKINDGDTSLDIRDSLSVPVSVIDSGKCLAVESNEWDNQLEKQRQKSPSTIDLLDVEECQELGVDGALPVDAPAGKVPPEVIVAVVRPASYVSSCASKTLDQKYIARTNLKMFMEVEFRSDAEGLRNVRDISACAVPEPRKGIQGLYIFPLKSKYPALFQTSGVYTFSFHLTESNCKHVEKRVLIKQAPIVEYKEIQFTCPNGKAPVIGTPKMEFDEIQVQSSHGKVLPLQDSSSIQQVPVMKAPKVEYDESPIPCPNEKIFLLQDSSSLPQAQNLEASNVNKEKELEKDLHQSGMQIGDMEKNLDALNKEKAVIEQDIYVVQASVERCNSDYCSMKAELKHRIESMSHTAASTLCNLLRVPSQELSNDFMGGVIGLVALLGSTGSSELSRILSEYLGEDQMLAVVCRSFAAAVALEKYEHNGEVDSRHALYAAAAKLGRSINGRFLVISLEDIQPYTGDFDGGDPQRKLALPYPILPSGNTPDGFLGYAVNMVDLDEHHLHMTTAAGHGLRQTLFYFLFGELHVYKTRQDMLAARACIKHGAVSLDGGILRQTGAVSLGYGNPEICFPVLRSVAVMKKKIEIYKETMSAVIAAIEEFTKDHQKALKKFRKKNKKWHELATVKERRLIKTT >ONI25566 pep chromosome:Prunus_persica_NCBIv2:G2:29326012:29336646:1 gene:PRUPE_2G309600 transcript:ONI25566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVADDVISIFDTGPGMDGSDEHCIVKWGKMGASLHRSLREQAIGGRPPYLTPFFGMFGYGGPLASMQLGRHALVSSKTKDSRKVYTLHLDREALLTGSNSNIQKKRRGSDSDSNWKVEIFKPKSKLDISQLQCKLKDIYFPYIQCDEESKSGKTITPVNFEVNGVDLAEIEGGEIAITNVHSCNGPDFVLQLHFSCKQDSMTKSPDSKAYIQANARLKCAYFPMVEGKENIEKILERLESDGCGTSENFETYSRVSIRRLGRLLPDARWARLPFMEFKQKKGDKADLLKICCLRVKCFIETDAGFNPTPSKTNLAHHSPFTTSLRNLGNQPLENEKDVRIKIYRDGNHLTLSQLKKEYEDWILQMHERYDDEAHCGEDQPVLVVSPANKKALRISSEVARVHKSLKRKGVTWKCGQKIKLLRGACAGVHNNNVYATIEYFLLEGLEGDPGGGARIICRPLSLSVDKGCILKINDGDTSLDIRDSLSVPVSVIDSGKCLAVESNEWDNQLEKQRQKSPSTIDLLDVEECQELGVDGALPVDAPAGKVPPEVIVAVVRPASYVSSCASKTLDQKYIARTNLKMFMEVEFRSDAEGLRNVRDISACAVPEPRKGIQGLYIFPLKSKYPALFQTSGVYTFSFHLTESNCKHVEKRVLIKQAPIVEYKEIQFTCPNGKAPVIGTPKMEFDEIQVQSSHGKVLPLQDSSSIQQVPVMKAPKVEYDESPIPCPNEKIFLLQDSSSLPQAQNLEASNVNKEKELEKDLHQSGMQIGDMEKNLDALNKEKAVIEQDIYVVQASVERCNSDYCSMKAELKHRIESMSHTAASTLCNLLRVPSQELSNDFMGGVIGLVALLGSTGSSELSRILSEYLGEDQMLAVVCRSFAAAVALEKYEHNGEVDSRHALYAAAAKLGRSINGRFLVISLEDIQPYTGDFDGGDPQRKLALPYPILPSGNTPDGFLGYAVNMVDLDEHHLHMTTAAGHGLRQTLFYFLFGELHVYKTRQDMLAARACIKHGAVSLDGGILRQTGAVSLGYGNPEICFPVLRSVAVMKKKIEIYKETMSAVIAAIEEFTKDHQKALKKFRKKNKKWHELATVKERRLIKTT >ONI25563 pep chromosome:Prunus_persica_NCBIv2:G2:29326015:29336694:1 gene:PRUPE_2G309600 transcript:ONI25563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVADDVISIFDTGPGMDGSDEHCIVKWGKMGASLHRSLREQAIGGRPPYLTPFFGMFGYGGPLASMQLGRHALVSSKTKDSRKVYTLHLDREALLTGSNSNIQKKRRGSDSDSNWKTDGGMRDPLEDEISKTPHGSFTKVEIFKPKSKLDISQLQCKLKDIYFPYIQCDEESKSGKTITPVNFEVNGVDLAEIEGGEIAITNVHSCNGPDFVLQLHFSCKQDSMTKSPDSKAYIQANARLKCAYFPMVEGKENIEKILERLESDGCGTSENFETYSRVSIRRLGRLLPDARWARLPFMEFKQKKGDKADLLKICCLRVKCFIETDAGFNPTPSKTNLAHHSPFTTSLRNLGNQPLENEKDVRIKIYRDGNHLTLSQLKKEYEDWILQMHERYDDEAHCGEDQPVLVVSPANKKALRISSEVARVHKSLKRKGVTWKCGQKIKLLRGACAGVHNNNVYATIEYFLLEGLEGDPGGGARIICRPLSLSVDKGCILKINDGDTSLDIRDSLSVPVSVIDSGKCLAVESNEWDNQLEKQRQKSPSTIDLLDVEECQELGVDGALPVDAPAGKVPPEVIVAVVRPASYVSSCASKTLDQKYIARTNLKMFMEVEFRSDAEGLRNVRDISACAVPEPRKGIQGLYIFPLKSKYPALFQTSGVYTFSFHLTESNCKHVEKRVLIKQAPIVEYKEIQFTCPNGKAPVIGTPKMEFDEIQVQSSHGKVLPLQDSSSIQQVGNLMVPVMKAPKVEYDESPIPCPNEKIFLLQDSSSLPQAQNLEASNVNKEKELEKDLHQSGMQIGDMEKNLDALNKEKAVIEQDIYVVQASVERCNSDYCSMKAELKHRIESMSHTAASTLCNLLRVPSQELSNDFMGGVIGLVALLGSTGSSELSRILSEYLGEDQMLAVVCRSFAAAVALEKYEHNGEVDSRHALYAAAAKLGRSINGRFLVISLEDIQPYTGDFDGGDPQRKLALPYPILPSGNTPDGFLGYAVNMVDLDEHHLHMTTAAGHGLRQTLFYFLFGELHVYKTRQDMLAARACIKHGAVSLDGGILRQTGAVSLGYGNPEICFPVLRSVAVMKKKIEIYKETMSAVIAAIEEFTKDHQKALKKFRKKNKKWHELATVKERRLIKTT >ONI25560 pep chromosome:Prunus_persica_NCBIv2:G2:29322872:29336693:1 gene:PRUPE_2G309600 transcript:ONI25560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRTKKSQMLEDHGDKDRAYRFKILLPNGTSVCLTFQNPKPTMPFGDFIQRLEEEYSLTYRRFSSGKRKRDIDWKGGCLFLEDANDRKIRGEMNFKNFKPHECHILKLHDGSHESAYTFENMWDLTPVTDILKELPEEYTFETALADLIDNSLQAVWANDRRHKKLISVDVADDVISIFDTGPGMDGSDEHCIVKWGKMGASLHRSLREQAIGGRPPYLTPFFGMFGYGGPLASMQLGRHALVSSKTKDSRKVYTLHLDREALLTGSNSNIQKKRRGSDSDSNWKTDGGMRDPLEDEISKTPHGSFTKVEIFKPKSKLDISQLQCKLKDIYFPYIQCDEESKSGKTITPVNFEVNGVDLAEIEGGEIAITNVHSCNGPDFVLQLHFSCKQDSMTKSPDSKAYIQANARLKCAYFPMVEGKENIEKILERLESDGCGTSENFETYSRVSIRRLGRLLPDARWARLPFMEFKQKKGDKADLLKICCLRVKCFIETDAGFNPTPSKTNLAHHSPFTTSLRNLGNQPLENEKDVRIKIYRDGNHLTLSQLKKEYEDWILQMHERYDDEAHCGEDQPVLVVSPANKKALRISSEVARVHKSLKRKGVTWKCGQKIKLLRGACAGVHNNNVYATIEYFLLEGLEGDPGGGARIICRPLSLSVDKGCILKINDGDTSLDIRDSLSVPVSVIDSGKCLAVESNEWDNQLEKQRQKSPSTIDLLDVEECQELGVDGALPVDAPAGKVPPEVIVAVVRPASYVSSCASKTLDQKYIARTNLKMFMEVEFRSDAEGLRNVRDISACAVPEPRKGIQGLYIFPLKSKYPALFQTSGVYTFSFHLTESNCKHVEKRVLIKQAPIVEYKEIQFTCPNGKAPVIGTPKMEFDEIQVQSSHGKVLPLQDSSSIQQVPVMKAPKVEYDESPIPCPNEKIFLLQDSSSLPQAQNLEASNVNKEKELEKDLHQSGMQIGDMEKNLDALNKEKAVIEQDIYVVQASVERCNSDYCSMKAELKHRIESMSHTAASTLCNLLRVPSQELSNDFMGGVIGLVALLGSTGSSELSRILSEYLGEDQMLAVVCRSFAAAVALEKYEHNGEVDSRHALYAAAAKLGRSINGRFLVISLEDIQPYTGDFDGGDPQRKLALPYPILPSGNTPDGFLGYAVNMVDLDEHHLHMTTAAGHGLRQTLFYFLFGELHVYKTRQDMLAARACIKHGAVSLDGGILRQTGAVSLGYGNPEICFPVLRSVAVMKKKIEIYKETMSAVIAAIEEFTKDHQKALKKFRKKNKKWHELATVKERRLIKTT >ONI25558 pep chromosome:Prunus_persica_NCBIv2:G2:29322903:29336607:1 gene:PRUPE_2G309600 transcript:ONI25558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRTKKSQMLEDHGDKDRAYRFKILLPNGTSVCLTFQNPKPTMPFGDFIQRLEEEYSLTYRRFSSGKRKRDIDWKGGCLFLEDANDRKIRGEMNFKNFKPHECHILKLHDGSHESAYTFENMWDLTPVTDILKELPEEYTFETALADLIDNSLQAVWANDRRHKKLISVDVADDVISIFDTGPGMDGSDEHCIVKWGKMGASLHRSLREQAIGGRPPYLTPFFGMFGYGGPLASMQLGRHALVSSKTKDSRKVYTLHLDREALLTGSNSNIQKKRRGSDSDSNWKTDGGMRDPLEDEISKTPHGSFTKVEIFKPKSKLDISQLQCKLKDIYFPYIQCDEESKSGKTITPVNFEVNGVDLAEIEGGEIAITNVHSCNGPDFVLQLHFSCKQDSMTKSPDSKAYIQANARLKCAYFPMVEGKENIEKILERLESDGCGTSENFETYSRVSIRRLGRLLPDARWARLPFMEFKQKKGDKADLLKICCLRVKCFIETDAGFNPTPSKTNLAHHSPFTTSLRNLGNQPLENEKDVRIKIYRDGNHLTLSQLKKEYEDWILQMHERYDDEAHCGEDQPVLVVSPANKKALRISSEVARVHKSLKRKGVTWKCGQKIKLLRGACAGVHNNNVYATIEYFLLEGLEGDPGGGARIICRPLSLSVDKGCILKINDGDTSLDIRDSLSVPVSVIDSGKCLAVESNEWDNQLEKQRQKSPSTIDLLDVEECQELGVDGALPVDAPAGKVPPEVIVAVVRPASYVSSCASKTLDQKYIARTNLKMFMEVEFRSDAEGLRNVRDISACAVPEPRKGIQGLYIFPLKSKYPALFQTSGVYTFSFHLTESNCKHVEKRVLIKQAPIVEYKEIQFTCPNGKAPVIGTPKMEFDEIQVQSSHGKVLPLQDSSSIQQVGNLMVPVMKAPKVEYDESPIPCPNEKIFLLQDSSSLPQAQNLEASNVNKEKIPYQVFELSLAQICELEKDLHQSGMQIGDMEKNLDALNKEKAVIEQDIYVVQASVERCNSDYCSMKAELKHRIESMSHTAASTLCNLLRVPSQELSNDFMGGVIGLVALLGSTGSSELSRILSEYLGEDQMLAVVCRSFAAAVALEKYEHNGEVDSRHALYAAAAKLGRSINGRFLVISLEDIQPYTGDFDGGDPQRKLALPYPILPSGNTPDGFLGYAVNMVDLDEHHLHMTTAAGHGLRQTLFYFLFGELHVYKTRQDMLAARACIKHGAVSLDGGILRQTGAVSLGYGNPEICFPVLRSVAVMKKKIEIYKETMSAVIAAIEEFTKDHQKALKKFRKKNKKWHELATVKERRLIKTT >ONI25559 pep chromosome:Prunus_persica_NCBIv2:G2:29322903:29336694:1 gene:PRUPE_2G309600 transcript:ONI25559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRTKKSQMLEDHGDKDRAYRFKILLPNGTSVCLTFQNPKPTMPFGDFIQRLEEEYSLTYRRFSSGKRKRDIDWKGGCLFLEDANDRKIRGEMNFKNFKPHECHILKLHDGSHESAYTFENMWDLTPVTDILKELPEEYTFETALADLIDNSLQAVWANDRRHKKLISVDVADDVISIFDTGPGMDGSDEHCIVKWGKMGASLHRSLREQAIGGRPPYLTPFFGMFGYGGPLASMQLGRHALVSSKTKDSRKVYTLHLDREALLTGSNSNIQKKRRGSDSDSNWKTDGGMRDPLEDEISKTPHGSFTKVEIFKPKSKLDISQLQCKLKDIYFPYIQCDEESKSGKTITPVNFEVNGVDLAEIEGGEIAITNVHSCNGPDFVLQLHFSCKQDSMTKSPDSKAYIQANARLKCAYFPMVEGKENIEKILERLESDGCGTSENFETYSRVSIRRLGRLLPDARWARLPFMEFKQKKGDKADLLKICCLRVKCFIETDAGFNPTPSKTNLAHHSPFTTSLRNLGNQPLENEKDVRIKIYRDGNHLTLSQLKKEYEDWILQMHERYDDEAHCGEDQPVLVVSPANKKALRISSEVARVHKSLKRKGVTWKCGQKIKLLRGACAGVHNNNVYATIEYFLLEGLEGDPGGGARIICRPLSLSVDKGCILKINDGDTSLDIRDSLSVPVSVIDSGKCLAVESNEWDNQLEKQRQKSPSTIDLLDVEECQELGVDGALPVDAPAGKVPPEVIVAVVRPASYVSSCASKTLDQKYIARTNLKMFMEVEFRSDAEGLRNVRDISACAVPEPRKGIQGLYIFPLKSKYPALFQTSGVYTFSFHLTESNCKHVEKRVLIKQAPIVEYKEIQFTCPNGKAPVIGTPKMEFDEIQVQSSHGKVLPLQDSSSIQQVGNLMVPVMKAPKVEYDESPIPCPNEKIFLLQDSSSLPQAQNLEASNVNKEKELEKDLHQSGMQIGDMEKNLDALNKEKAVIEQDIYVVQASVERCNSDYCSMKAELKHRIESMSHTAASTLCNLLRVPSQELSNDFMGGVIGLVALLGSTGSSELSRILSEYLGEDQMLAVVCRSFAAAVALEKYEHNGEVDSRHALYAAAAKLGRSINGRFLVISLEDIQPYTGDFDGGDPQRKLALPYPILPSGNTPDGFLGYAVNMVDLDEHHLHMTTAAGHGLRQTLFYFLFGELHVYKTRQDMLAARACIKHGAVSLDGGILRQTGAVSLGYGNPEICFPVLRSVAVMKKKIEIYKETMSAVIAAIEEFTKDHQKALKKFRKKNKKWHELATVKERRLIKTT >ONI25561 pep chromosome:Prunus_persica_NCBIv2:G2:29322903:29336643:1 gene:PRUPE_2G309600 transcript:ONI25561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRTKKSQMLEDHGDKDRAYRFKILLPNGTSVCLTFQNPKPTMPFGDFIQRLEEEYSLTYRRFSSGKRKRDIDWKGGCLFLEDANDRKIRGEMNFKNFKPHECHILKLHDGSHESAYTFENMWDLTPVTDILKELPEEYTFETALADLIDNSLQAVWANDRRHKKLISVDVADDVISIFDTGPGMDGSDEHCIVKWGKMGASLHRSLREQAIGGRPPYLTPFFGMFGYGGPLASMQLGRHALVSSKTKDSRKVYTLHLDREALLTGSNSNIQKKRRGSDSDSNWKVEIFKPKSKLDISQLQCKLKDIYFPYIQCDEESKSGKTITPVNFEVNGVDLAEIEGGEIAITNVHSCNGPDFVLQLHFSCKQDSMTKSPDSKAYIQANARLKCAYFPMVEGKENIEKILERLESDGCGTSENFETYSRVSIRRLGRLLPDARWARLPFMEFKQKKGDKADLLKICCLRVKCFIETDAGFNPTPSKTNLAHHSPFTTSLRNLGNQPLENEKDVRIKIYRDGNHLTLSQLKKEYEDWILQMHERYDDEAHCGEDQPVLVVSPANKKALRISSEVARVHKSLKRKGVTWKCGQKIKLLRGACAGVHNNNVYATIEYFLLEGLEGDPGGGARIICRPLSLSVDKGCILKINDGDTSLDIRDSLSVPVSVIDSGKCLAVESNEWDNQLEKQRQKSPSTIDLLDVEECQELGVDGALPVDAPAGKVPPEVIVAVVRPASYVSSCASKTLDQKYIARTNLKMFMEVEFRSDAEGLRNVRDISACAVPEPRKGIQGLYIFPLKSKYPALFQTSGVYTFSFHLTESNCKHVEKRVLIKQAPIVEYKEIQFTCPNGKAPVIGTPKMEFDEIQVQSSHGKVLPLQDSSSIQQVGNLMVPVMKAPKVEYDESPIPCPNEKIFLLQDSSSLPQAQNLEASNVNKEKELEKDLHQSGMQIGDMEKNLDALNKEKAVIEQDIYVVQASVERCNSDYCSMKAELKHRIESMSHTAASTLCNLLRVPSQELSNDFMGGVIGLVALLGSTGSSELSRILSEYLGEDQMLAVVCRSFAAAVALEKYEHNGEVDSRHALYAAAAKLGRSINGRFLVISLEDIQPYTGDFDGGDPQRKLALPYPILPSGNTPDGFLGYAVNMVDLDEHHLHMTTAAGHGLRQTLFYFLFGELHVYKTRQDMLAARACIKHGAVSLDGGILRQTGAVSLGYGNPEICFPVLRSVAVMKKKIEIYKETMSAVIAAIEEFTKDHQKALKKFRKKNKKWHELATVKERRLIKTT >ONI25557 pep chromosome:Prunus_persica_NCBIv2:G2:29323902:29336668:1 gene:PRUPE_2G309600 transcript:ONI25557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAMLQRTKKSQMLEDHGDKDRAYRFKILLPNGTSVCLTFQNPKPTMPFGDFIQRLEEEYSLTYRRFSSGKRKRDIDWKGGCLFLEDANDRKIRGEMNFKNFKPHECHILKLHDGSHESAYTFENMWDLTPVTDILKELPEEYTFETALADLIDNSLQAVWANDRRHKKLISVDVADDVISIFDTGPGMDGSDEHCIVKWGKMGASLHRSLREQAIGGRPPYLTPFFGMFGYGGPLASMQLGRHALVSSKTKDSRKVYTLHLDREALLTGSNSNIQKKRRGSDSDSNWKTDGGMRDPLEDEISKTPHGSFTKVEIFKPKSKLDISQLQCKLKDIYFPYIQCDEESKSGKTITPVNFEVNGVDLAEIEGGEIAITNVHSCNGPDFVLQLHFSCKQDSMTKSPDSKAYIQANARLKCAYFPMVEGKENIEKILERLESDGCGTSENFETYSRVSIRRLGRLLPDARWARLPFMEFKQKKGDKADLLKICCLRVKCFIETDAGFNPTPSKTNLAHHSPFTTSLRNLGNQPLENEKDVRIKIYRDGNHLTLSQLKKEYEDWILQMHERYDDEAHCGEDQPVLVVSPANKKALRISSEVARVHKSLKRKGVTWKCGQKIKLLRGACAGVHNNNVYATIEYFLLEGLEGDPGGGARIICRPLSLSVDKGCILKINDGDTSLDIRDSLSVPVSVIDSGKCLAVESNEWDNQLEKQRQKSPSTIDLLDVEECQELGVDGALPVDAPAGKVPPEVIVAVVRPASYVSSCASKTLDQKYIARTNLKMFMEVEFRSDAEGLRNVRDISACAVPEPRKGIQGLYIFPLKSKYPALFQTSGVYTFSFHLTESNCKHVEKRVLIKQAPIVEYKEIQFTCPNGKAPVIGTPKMEFDEIQVQSSHGKVLPLQDSSSIQQVGNLMVPVMKAPKVEYDESPIPCPNEKIFLLQDSSSLPQAQNLEASNVNKEKELEKDLHQSGMQIGDMEKNLDALNKEKAVIEQDIYVVQASVERCNSDYCSMKAELKHRIESMSHTAASTLCNLLRVPSQELSNDFMGGVIGLVALLGSTGSSELSRILSEYLGEDQMLAVVCRSFAAAVALEKYEHNGEVDSRHALYAAAAKLGRSINGRFLVISLEDIQPYTGDFDGGDPQRKLALPYPILPSGNTPDGFLGYAVNMVDLDEHHLHMTTAAGHGLRQTLFYFLFGELHVYKTRQDMLAARACIKHGAVSLDGGILRQTGAVSLGYGNPEICFPVLRSVAVMKKKIEIYKETMSAVIAAIEEFTKDHQKALKKFRKKNKKWHELATVKERRLIKTT >ONI25562 pep chromosome:Prunus_persica_NCBIv2:G2:29322903:29336646:1 gene:PRUPE_2G309600 transcript:ONI25562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRTKKSQMLEDHGDKDRAYRFKILLPNGTSVCLTFQNPKPTMPFGDFIQRLEEEYSLTYRRFSSGKRKRDIDWKGGCLFLEDANDRKIRGEMNFKNFKPHECHILKLHDGSHESAYTFENMWDLTPVTDILKELPEEYTFETALADLIDNSLQAVWANDRRHKKLISVDVADDVISIFDTGPGMDGSDEHCIVKWGKMGASLHRSLREQAIGGRPPYLTPFFGMFGYGGPLASMQLGRHALVSSKTKDSRKVYTLHLDREALLTGSNSNIQKKRRGSDSDSNWKVEIFKPKSKLDISQLQCKLKDIYFPYIQCDEESKSGKTITPVNFEVNGVDLAEIEGGEIAITNVHSCNGPDFVLQLHFSCKQDSMTKSPDSKAYIQANARLKCAYFPMVEGKENIEKILERLESDGCGTSENFETYSRVSIRRLGRLLPDARWARLPFMEFKQKKGDKADLLKICCLRVKCFIETDAGFNPTPSKTNLAHHSPFTTSLRNLGNQPLENEKDVRIKIYRDGNHLTLSQLKKEYEDWILQMHERYDDEAHCGEDQPVLVVSPANKKALRISSEVARVHKSLKRKGVTWKCGQKIKLLRGACAGVHNNNVYATIEYFLLEGLEGDPGGGARIICRPLSLSVDKGCILKINDGDTSLDIRDSLSVPVSVIDSGKCLAVESNEWDNQLEKQRQKSPSTIDLLDVEECQELGVDGALPVDAPAGKVPPEVIVAVVRPASYVSSCASKTLDQKYIARTNLKMFMEVEFRSDAEGLRNVRDISACAVPEPRKGIQGLYIFPLKSKYPALFQTSGVYTFSFHLTESNCKHVEKRVLIKQAPIVEYKEIQFTCPNGKAPVIGTPKMEFDEIQVQSSHGKVLPLQDSSSIQQVPVMKAPKVEYDESPIPCPNEKIFLLQDSSSLPQAQNLEASNVNKEKELEKDLHQSGMQIGDMEKNLDALNKEKAVIEQDIYVVQASVERCNSDYCSMKAELKHRIESMSHTAASTLCNLLRVPSQELSNDFMGGVIGLVALLGSTGSSELSRILSEYLGEDQMLAVVCRSFAAAVALEKYEHNGEVDSRHALYAAAAKLGRSINGRFLVISLEDIQPYTGDFDGGDPQRKLALPYPILPSGNTPDGFLGYAVNMVDLDEHHLHMTTAAGHGLRQTLFYFLFGELHVYKTRQDMLAARACIKHGAVSLDGGILRQTGAVSLGYGNPEICFPVLRSVAVMKKKIEIYKETMSAVIAAIEEFTKDHQKALKKFRKKNKKWHELATVKERRLIKTT >ONI23286 pep chromosome:Prunus_persica_NCBIv2:G2:22343078:22343512:1 gene:PRUPE_2G179900 transcript:ONI23286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLHDQWRQSIIILHEHNTFKITFNILLINLAKQVASTSTSTHAILAFVVPILLTFVEIKFPGSIMSATPFETHPTLILVSIASLIAYCLAVGARLRFPTYARTYSRFAMRFFGLLSVASLLSVLLPGYWHPVPFLIFILYFMG >ONI24679 pep chromosome:Prunus_persica_NCBIv2:G2:26752862:26754734:1 gene:PRUPE_2G254700 transcript:ONI24679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKESDSGSDSGIPIRGLDDLFKERRTLNKFLETKNPFDNDKITRVYQKIFRFLIEDISTLESRGITRGYLQPHQIMVAGGPDFEFMNVWLLNRPEEDNPTAPTYREQFDSLARMILGERDAPNLELDNFLHITASAASGEQRLFDFKQLQWHPLLFPSTAKPKLVYNVFSYLGLEETLSWKKDFRQMIQRDVEVRDTVLGVDVDYFSHVYNLKGPQYYVENALGAFLFSANAILDTSYYIGKAFKEYMKDKENLKEPMTQEQIFDMLISFFPHVLIDVYDFLVKKGISIEKISEDLCYF >ONI24677 pep chromosome:Prunus_persica_NCBIv2:G2:26752712:26754734:1 gene:PRUPE_2G254700 transcript:ONI24677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKESDSGSDSGIPIRGLDDLFKERRTLNKFLETKNPFDNDKITRVYQKIFRFLIEDISTLESRGITRGYLQPHQIMVAGGPDFEFMNVWLLNRPEEDNPTAPTYREQFDSLARMILGERDAPNLELDNFLHITASAASGEQRLFDFKQLQWHPLLFPSTAKPKLVYNVFSYLGLEETLSWKKDFRQMIQRDVEVRDTVLGVDVDYFSHVYNLKGPQYYVENALGAFLFSANAILDTSYYIGKAFKEYMKDKENLKEPMTQEQIFDMLISFFPHVLIDVYDFLVKKGISIEKISEDLCYF >ONI24678 pep chromosome:Prunus_persica_NCBIv2:G2:26752712:26754734:1 gene:PRUPE_2G254700 transcript:ONI24678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKESDSGSDSGIPIRGLDDLFKERRTLNKFLETKNPFDNDKITRVYQKIFRFLIEDISTLESRGITRGYLQPHQIMVAGGPDFEFMNVWLLNRPEEDNPTAPTYREQFDSLARMILGERDAPNLELDNFLHITASAASGEQRLFDFKQLQWHPLLFPSTAKPKLVYNVFSYLGLEETLSWKKDFRQMIQRDVEVRDTVLGVDVDYFSHVYNLKGPQYYVENALGAFLFSANAILDTSYYIGKAFKEYMKDKENLKEPMTQEQIFDMLISFFPHVLIDVYDFLVKKGISIEKISEDLCYF >ONI24676 pep chromosome:Prunus_persica_NCBIv2:G2:26752882:26754734:1 gene:PRUPE_2G254700 transcript:ONI24676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKESDSGSDSGIPIRGLDDLFKERRTLNKFLETKNPFDNDKITRVYQKIFRFLIEDISTLESRGITRGYLQPHQIMVAGGPDFEFMNVWLLNRPEEDNPTAPTYREQFDSLARMILGERDAPNLELDNFLHITASAASGEQRLFDFKQLQWHPLLFPSTAKPKLVYNVFSYLGLEETLSWKKDFRQMIQRDVEVRDTVLGVDVDYFSHVYNLKGPQYYVENALGAFLFSANAILDTSYYIGKAFKEYMKDKENLKEPMTQEQIFDMLISFFPHVLIDVYDFLVKKGISIEKISEDLCYF >ONI24680 pep chromosome:Prunus_persica_NCBIv2:G2:26752712:26754734:1 gene:PRUPE_2G254700 transcript:ONI24680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGGPDFEFMNVWLLNRPEEDNPTAPTYREQFDSLARMILGERDAPNLELDNFLHITASAASGEQRLFDFKQLQWHPLLFPSTAKPKLVYNVFSYLGLEETLSWKKDFRQMIQRDVEVRDTVLGVDVDYFSHVYNLKGPQYYVENALGAFLFSANAILDTSYYIGKAFKEYMKDKENLKEPMTQEQIFDMLISFFPHVLIDVYDFLVKKGISIEKISEDLCYF >ONI25887 pep chromosome:Prunus_persica_NCBIv2:G2:30034793:30045498:-1 gene:PRUPE_2G324800 transcript:ONI25887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLRDLSQPIDVGLLDATVAAFYGTGSKEERTAADHILRDLQNNPDMWLQVVHILQSAKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISDVIVQLSSNEASFRMERLYVNKLNIILVQILKHDWPARWRSFIPDLVSAAKTSETICENCMAILKLLSEEVFDFSRGEMTQLKIKELKQSLNSEFQLIHELCLYVLSASQRAELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPMPSYRNLTIQCLTEVAALSFGEFYNAQYVKMYNIFMVQLQTILPSTTNIPQAYANGSSDEQAFIQNLALFLTSFNKSHIRVLETTQENIAALLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELFEAHHNLDNPAATANMMGLQQMNLLPGMVDGLGSQIMQRRQIYASIMSKLRLLMICRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWAWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEIIKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQLGENEPFVSELLTGLPTTVADLEPHQIHTFYEAVGNMIQAESDPQKRDEYLQRLMNLPNQKWAEIIGQARLSVDFLKDQEVIRTVLNILQTNTSVASSLGTFFLSQISLIFLDMLNVYRMYSELVSSSIAEGGPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQAHIGKQIVPPMLDPVLGDYARNLPDARESEVLSLFATIINKYKGAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIAAHCFPALIRLSSPQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQKSEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGTLTEPLWDIAAVPYPYPNNGIFVREYTIKLLSTSFPNMTGTEVTQFVSGLFDSRTDLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQREKDRQRMLSIPGLIAPNEIQDEMVDS >ONI25886 pep chromosome:Prunus_persica_NCBIv2:G2:30034731:30045931:-1 gene:PRUPE_2G324800 transcript:ONI25886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLRDLSQPIDVGLLDATVAAFYGTGSKEERTAADHILRDLQNNPDMWLQVVHILQSAKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISDVIVQLSSNEASFRMERLYVNKLNIILVQILKHDWPARWRSFIPDLVSAAKTSETICENCMAILKLLSEEVFDFSRGEMTQLKIKELKQSLNSEFQLIHELCLYVLSASQRAELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPMPSYRNLTIQCLTEVAALSFGEFYNAQYVKMYNIFMVQLQTILPSTTNIPQAYANGSSDEQAFIQNLALFLTSFNKSHIRVLETTQENIAALLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELFEAHHNLDNPAATANMMGLQMNLLPGMVDGLGSQIMQRRQIYASIMSKLRLLMICRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWAWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEIIKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQLGENEPFVSELLTGLPTTVADLEPHQIHTFYEAVGNMIQAESDPQKRDEYLQRLMNLPNQKWAEIIGQARLSVDFLKDQEVIRTVLNILQTNTSVASSLGTFFLSQISLIFLDMLNVYRMYSELVSSSIAEGGPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQAHIGKQIVPPMLDPVLGDYARNLPDARESEVLSLFATIINKYKGAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIAAHCFPALIRLSSPQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQKSEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGTLTEPLWDIAAVPYPYPNNGIFVREYTIKLLSTSFPNMTGTEVTQFVSGLFDSRTDLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQREKDRQRMLSIPGLIAPNEIQDEMVDS >ONI21788 pep chromosome:Prunus_persica_NCBIv2:G2:14085849:14090285:-1 gene:PRUPE_2G089200 transcript:ONI21788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEKTRFETITPSRFITFTFPNPSNSTTLLRVAVLDTPFQLTGSPRVAAMLVPKQRESDWIFSTESGHLQLLLSSPGIARLILTGNQPSNGHHSRAIYHRLARNDSSCDGELGVSLKPLFLALSPKSCFKHGIPEIPILSYEDNVICGVVLERCVGSLVGEMVVEDVEIESGGEASKREFRRRLRFKRMPNLVQTEVRIVPNMGFGLDYVEIGEVEFRLDNSILVHPYLVPMVASLQLIASYIEGRIRSGFRPKALCLGVGGGALLGFLKAELGFQVVGVEADKEVLRVARKYFGLEDGGGEHINVCVGDAIKVIEKLAGRGNGQSSGSVGAHEIVDDCAVLDGNDVDSKFNVVLVDLDSSDAGDGIIAPPFEFVRNDVLLAARSVLCDNGILAINVIPPNRSFYTTLIQEFREVFHELYEIDVGNGENFILIALVSPVTSSTSDCEDCFLNKLRTVISGAYMNSIKKI >ONI21789 pep chromosome:Prunus_persica_NCBIv2:G2:14081815:14090252:-1 gene:PRUPE_2G089200 transcript:ONI21789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEKTRFETITPSRFITFTFPNPSNSTTLLRVAVLDTPFQLTGSPRVAAMLVPKQRESDWIFSTESGHLQLLLSSPGIARLILTGNQPSNGHHSRAIYHRLARNDSSCDGELGVSLKPLFLALSPKSCFKHGIPEIPILSYEDNVICGVVLERCVGSLVGEMVVEDVEIESGGEASKREFRRRLRFKRMPNLVQTEVRIVPNMGFGLDYVEIGEVEFRLDNSILVHPYLVPMVASLQLIASYIEGRIRSGFRPKALCLGVGGGALLGFLKAELGFQVVGVEADKEVLRVARKYFGLEDGGGEHINVCVGDAIKVIEKLAGRGNGQSSGSVGAHEIVDDCAVLDGNDVDSKFNVVLVDLDSSDAGDGIIAPPFEFVRNDVLLAARSVLCDNGILAINVIPPNRSFYTTLIQEFREVFHELYEIDVGNGENFILIALVSPVTSSTSDCEDCFLNKLRTVISGAYMNSIKKI >ONI21790 pep chromosome:Prunus_persica_NCBIv2:G2:14085903:14090280:-1 gene:PRUPE_2G089200 transcript:ONI21790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEKTRFETITPSRFITFTFPNPSNSTTLLRVAVLDTPFQLTGSPRVAAMLVPKQRESDWIFSTESGHLQLLLSSPGIARLILTGNQPSNGHHSRAIYHRLARNDSSCDGELGVSLKPLFLALSPKSCFKHGIPEIPILSYEDNVICGVVLERCVGSLVGEMVVEDVEIESGGEASKREFRRRLRFKRMPNLVQTEVRIVPNMGFGLDYVEIGEVEFRLDNSILVHPYLVPMVASLQLIASYIEGRIRSGFRPKALCLGVGGGALLGFLKAELGFQVVGVEADKEVLRVARKYFGLEDGGGEHINVCVGDAIKVIEKLAGRGNGQSSGSVGAHEIVDDCAVLDGNDVDSKFNVVLVDLDSSDAGDGIIAPPFEFVRNDVLLAARSVLCDNGILAINVIPPNRSFYTTLIQEFREVFHELYEIDVGNGENFILIALVSPVTSSTSDCEDCFLNKLRTVISGAYMNSIKKI >ONI21791 pep chromosome:Prunus_persica_NCBIv2:G2:14086064:14090286:-1 gene:PRUPE_2G089200 transcript:ONI21791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEKTRFETITPSRFITFTFPNPSNSTTLLRVAVLDTPFQLTGSPRVAAMLVPKQRESDWIFSTESGHLQLLLSSPGIARLILTGNQPSNGHHSRAIYHRLARNDSSCDGELGVSLKPLFLALSPKSCFKHGIPEIPILSYEDNVICGVVLERCVGSLVGEMVVEDVEIESGGEASKREFRRRLRFKRMPNLVQTEVRIVPNMGFGLDYVEIGEVEFRLDNSILVHPYLVPMVASLQLIASYIEGRIRSGFRPKALCLGVGGGALLGFLKAELGFQVVGVEADKEVLRVARKYFGLEDGGGEHINVCVGDAIKVIEKLAGRGNGQSSGSVGAHEIVDDCAVLDGNDVDSKFNVVLVDLDSSDAGDGIIAPPFEFVRNDVLLAARSVLCDNGILAINVIPPNRSFYTTLIQEFREVFHELYEIDVGNGENFILIALVSPVTSSTSDCEDCFLNKLRTVISGAYMNSIKKI >ONI25897 pep chromosome:Prunus_persica_NCBIv2:G2:30067876:30071633:-1 gene:PRUPE_2G325300 transcript:ONI25897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLQTYAGISLVATLAIIYHAFSSRGQFYPAMVYLSTSKISLVLLLNMGLVIMCTMWQLTKKLFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVSFLAMVTALLLIKALHWLAQKRVEYIETTPSVPMLSHVRIVSFLGFLLVVDSLFLYSSISNLIKTQKASVSLFFSFEYMILATTTVSTFVKYVFYVSDMLMEGQWEKKPVYTFYVELIRDLLHLSMYLCFFLVIFMYYGVPLHLIRELYETFRNFKIRLGDYIRYRKLTSNMNDRFPDATPEELNASDATCIICREEMTTAKKLLCGHLFHVPCLRSWLERQHTCPTCRALVEPPENGAGTAGGHRGSRSDAHQQGTGTTAQGGGVAGGVAGDNLSQHEARLRAAAAAASIYEKSHVYPSANRLVWSPGYVALPQVQRQMADSANTESSGVLHPQLATPGGPSNLSSPQFPHYMFVPFEVPDVAYEERLGGDPNITASQLEAQKKFLQHQIEILQNQLKTLQKPKPKESMDTGPSASLDGKGKNVESSSSSVSDCGRHVELEEIDS >ONI25896 pep chromosome:Prunus_persica_NCBIv2:G2:30067876:30072001:-1 gene:PRUPE_2G325300 transcript:ONI25896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLQTYAGISLVATLAIIYHAFSSRGQFYPAMVYLSTSKISLVLLLNMGLVIMCTMWQLTKKLFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVSFLAMVTALLLIKALHWLAQKRVEYIETTPSVPMLSHVRIVSFLGFLLVVDSLFLYSSISNLIKTQKASVSLFFSFEYMILATTTVSTFVKYVFYVSDMLMEGQWEKKPVYTFYVELIRDLLHLSMYLCFFLVIFMYYGVPLHLIRELYETFRNFKIRLGDYIRYRKLTSNMNDRFPDATPEELNASDATCIICREEMTTAKKLLCGHLFHVPCLRSWLERQHTCPTCRALVEPPENGAGTAGGHRGSRSDAHQQGTGTTAQGGGVAGGVAGDNLSQHEARLRAAAAAASIYEKSHVYPSANRLVWSPGYVALPQVQRQMADSANTESSGVLHPQLATPGGPSNLSSPQFPHYMFVPFEVPDVAYEERLGGDPNITASQLEAQKKFLQHQIEILQNQLKTLQKPKPKESMDTGPSASLDGKGKNVESSSSSVSDCGRHVELEEIDS >ONI24634 pep chromosome:Prunus_persica_NCBIv2:G2:26586173:26587976:1 gene:PRUPE_2G251400 transcript:ONI24634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAYKQQLPNMHGGATSAPPPTPSTQPNNLLSTSSGATADTLSRLLHRLPPTLSLPKRRSPPATCPPSVSLSDVQANLSTLFSSSSQLGFFQLTNHSVPFQLANSAESEALSLFDLPRHQKESFFPKCWPLGFEGDDDDEDDDGDALGESFCLDSSCSTESTELCLTSLREFTRALEKVGLEIVELLCRSAGFENPLGKDDPTRFSSMMWISEGKKSPMVGRFYPHVVGLQYQIRSGQKYSLLADSGWVSVLPPVESILVTIGDIAQVWSNGKFKKVRGRAVACLGEGECRCISMSLLVTLPIDSTSRVGPLVPISAVDGGHDGDRNDRDDDDGGDQNLNGKSDGEKEGRLFKSFSLEDYAWRVYHERLFLKDPLDRYRFN >ONI24635 pep chromosome:Prunus_persica_NCBIv2:G2:26586173:26587958:1 gene:PRUPE_2G251400 transcript:ONI24635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGATSAPPPTPSTQPNNLLSTSSGATADTLSRLLHRLPPTLSLPKRRSPPATCPPSVSLSDVQANLSTLFSSSSQLGFFQLTNHSVPFQLANSAESEALSLFDLPRHQKESFFPKCWPLGFEGDDDDEDDDGDALGESFCLDSSCSTESTELCLTSLREFTRALEKVGLEIVELLCRSAGFENPLGKDDPTRFSSMMWISEGKKSPMVGRFYPHVVGLQYQIRSGQKYSLLADSGWVSVLPPVESILVTIGDIAQVWSNGKFKKVRGRAVACLGEGECRCISMSLLVTLPIDSTSRVGPLVPISAVDGGHDGDRNDRDDDDGGDQNLNGKSDGEKEGRLFKSFSLEDYAWRVYHERLFLKDPLDRYRFN >ONI22249 pep chromosome:Prunus_persica_NCBIv2:G2:17492362:17497114:-1 gene:PRUPE_2G117000 transcript:ONI22249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKPSSSFLTGGGDSDSVTALELSERLHGGLVNERGPRSFCSSRLHANGTCSCETSLMAEISSIHATVLRIIMLTEALNEILDEIRHVPLSLSQSMLSPPAPENVVNSFPLKNHKSEITESKAQDAQECYICLSEYNEGDKIRVLPCCHEFHMECVDRWLKEKQGVCPLCRGDVCKGIAESSD >ONI22250 pep chromosome:Prunus_persica_NCBIv2:G2:17492362:17495902:-1 gene:PRUPE_2G117000 transcript:ONI22250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCCSNFSFQIFFFSCLFLVCLADFTCDIFCCSYNFHFIILQLSERLHGGLVNERGPRSFCSSRLHANGTCSCETSLMAEISSIHATVLRIIMLTEALNEILDEIRHVPLSLSQSMLSPPAPENVVNSFPLKNHKSEITESKAQDAQECYICLSEYNEGDKIRVLPCCHEFHMECVDRWLKEKQGVCPLCRGDVCKGIAESSD >ONI22251 pep chromosome:Prunus_persica_NCBIv2:G2:17493049:17497114:-1 gene:PRUPE_2G117000 transcript:ONI22251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKPSSSFLTGGGDSDSVTALELSERLHGGLVNERGPRSFCSSRLHANGTCSCETSLMAEISSIHATVLRIIMLTEALNEILDEIRHVPLSLSQSMLSPPAPENVVNSFPLKNHKSEITESKAQDAQE >ONI20845 pep chromosome:Prunus_persica_NCBIv2:G2:3983876:3985475:-1 gene:PRUPE_2G036800 transcript:ONI20845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKSPPLLLRLVSKLNETFCLVGKVFGVPVNSRVIRHRLKSEWKNLQGEVSIDHIGRDWYKVEFNAEVDVFFVLDNRPWFVQGQIFNLQRWTPDFSPFYAVVTSIVGWVRIPFLPLHYKDPEVLYDLVSILGDPISVDLQSTEGKQIMFVRAHLVLDLTRPLKRCLVLGEHPQETIIFVSYEALFAICFYCSQKMERDHICPIKISNKSFLMAFPSKGKGRAVVIAPAHSQEGSSAFTGFAYKSPKKRTRDEVEVEENYAPSVEMHGEYLRETEPLVDSHERFIHCHIQDLIDQKNWKATFVYAYP >ONI25519 pep chromosome:Prunus_persica_NCBIv2:G2:29250089:29253092:-1 gene:PRUPE_2G308300 transcript:ONI25519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMTNFARLNQAMGLQRSSASSKQKVQPIAEVLIKNDENSGDLSLASITSDLHDISNPNKPIPSDLAYNSWVVEHPSALGSFDRMMKAAKGKRIVVFLDYDGTLSPIVDDPDRAFMSDEMRAAVREVAKYFPTAVISGRSRDKVKEFVQLSNVYYAGSHGMDIMVPPRPLRPCDANNHTTAMDIKGSDVLFQPAKRFLPAIQEIRTQLEEITRKVEGARVEDNRFCISVHFRKVREEDYGILEEKVKSVVGKYPEFHLTLGKKVLEIRPSIEWNKGHALEYLLDTLGFSNSSDVLPLYIGDDRTDEDAFKVIRSRGQGFPIIVSSTPKEDTKACYSLHDPSEVLTFLLRLARWRKASSSSRSLAQIWGVGSNLPRSV >ONI25520 pep chromosome:Prunus_persica_NCBIv2:G2:29250089:29252525:-1 gene:PRUPE_2G308300 transcript:ONI25520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMTNFARLNQAMGLQRSSASSKQKVQPIAEVLIKNDENSGDLSLASITSDLHDISNPNKPIPSDLAYNSWVVEHPSALGSFDRMMKAAKGKRIVVFLDYDGTLSPIVDDPDRAFMSDEMRAAVREVAKYFPTAVISGRSRDKVKEFVQLSNVYYAGSHGMDIMVPPRPLRPCDANNHTTAMDIKGSDVLFQPAKRFLPAIQEIRTQLEEITRKVEGARVEDNRFCISVHFRKVREEDYGILEEKVKSVVGKYPEFHLTLGKKVLEIRPSIEWNKGHALEYLLDTLGFSNSSDVLPLYIGDDRTDEDAFKVIRSRGQGFPIIVSSTPKEDTKACYSLHDPSEVLTFLLRLARWRKASSSSRSLAQIWGVGSNLPRSV >ONI25518 pep chromosome:Prunus_persica_NCBIv2:G2:29250089:29255569:-1 gene:PRUPE_2G308300 transcript:ONI25518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMTNFARLNQAMGLQRSSASSKQKVQPIAEVLIKNDENSGDLSLASITSDLHDISNPNKPIPSDLAYNSWVVEHPSALGSFDRMMKAAKGKRIVVFLDYDGTLSPIVDDPDRAFMSDEMRAAVREVAKYFPTAVISGRSRDKVKEFVQLSNVYYAGSHGMDIMVPPRPLRPCDANNHTTAMDIKGSDVLFQPAKRFLPAIQEIRTQLEEITRKVEGARVEDNRFCISVHFRKVREEDYGILEEKVKSVVGKYPEFHLTLGKKVLEIRPSIEWNKGHALEYLLDTLGFSNSSDVLPLYIGDDRTDEDAFKVIRSRGQGFPIIVSSTPKEDTKACYSLHDPSEVLTFLLRLARWRKASSSSRSLAQIWGVGSNLPRSV >ONI25517 pep chromosome:Prunus_persica_NCBIv2:G2:29250089:29255542:-1 gene:PRUPE_2G308300 transcript:ONI25517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMTNFARLNQAMGLQRSSASSKQKVQPIAEVLIKNDENSGDLSLASITSDLHDISNPNKPIPSDLAYNSWVVEHPSALGSFDRMMKAAKGKRIVVFLDYDGTLSPIVDDPDRAFMSDEMRAAVREVAKYFPTAVISGRSRDKVKEFVQLSNVYYAGSHGMDIMVPPRPLRPCDANNHTTAMDIKGSDVLFQPAKRFLPAIQEIRTQLEEITRKVEGARVEDNRFCISVHFRKVREEDYGILEEKVKSVVGKYPEFHLTLGKKVLEIRPSIEWNKGHALEYLLDTLGFSNSSDVLPLYIGDDRTDEDAFKVIRSRGQGFPIIVSSTPKEDTKACYSLHDPSEVLTFLLRLARWRKASSSSRSLAQIWGVGSNLPRSV >ONI24492 pep chromosome:Prunus_persica_NCBIv2:G2:26185275:26187180:-1 gene:PRUPE_2G243300 transcript:ONI24492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKDKHQDDEADRGLFSHLVPGHGGQHGYPPQQGYPPQQGYPPQQGYPPQGYPPQQGYPPQGYPPQQGYPPQQGYPPAGYPPAGHQGSSGHHGVGGLLAGGAAAAAAAYGAHHLTHGSSHHTPGIPGGYGGGYGGHGAHNVGHGAHFSSHGGGKHGKFKQGKFGKHKGKFGKHGGGKFKKWK >ONI20665 pep chromosome:Prunus_persica_NCBIv2:G2:2934008:2936614:1 gene:PRUPE_2G028400 transcript:ONI20665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRWPRLLTPTHLSQIIRKQKNPLTALQIFSEAKCKYPNYRHNGPVYANMISILGNSGRINEMKEVINEMKNDSCECKDSVFVSVIKTYARAGLLDEAVSLFKNISQFNCVNWTQSFNTLLEIMVKESKLEAAHRIFMEHCCGWEVSSRVPSLNLLMLALCQKGRSDIALQVFQEMDYQSCNPDRESYRILMRGLCEDKRLNEATHLLYSMFWRISQKGCGEDVVIYRTLLDALCDNGQVEDAVEILGKILRKGLKAPKRFRHNLDLSHYGNGEDTEGIKRLINEALVRGGIPSLASYSAMAIDLYDENKVGEADRVLKEMQDRGFRPTALVFEAKAAALCRERKVVEAVEVIEKEMVEANCVPTVRVYSVVVRGLCSEGQSVLAILYLKKMEKQVGCVADKKTYGILVDGLCGESRFLEASRVLQEMLIKSHWPCAETYNRVITGLCSVGKQYEAVMWLEEMTSRAMLPEYSVWSSLVASVCCNMANIEVCSDAYKRLKSS >ONI20664 pep chromosome:Prunus_persica_NCBIv2:G2:2934012:2936496:1 gene:PRUPE_2G028400 transcript:ONI20664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRWPRLLTPTHLSQIIRKQKNPLTALQIFSEAKCKYPNYRHNGPVYANMISILGNSGRINEMKEVINEMKNDSCECKDSVFVSVIKTYARAGLLDEAVSLFKNISQFNCVNWTQSFNTLLEIMVKESKLEAAHRIFMEHCCGWEVSSRVPSLNLLMLALCQKGRSDIALQVFQEMDYQSCNPDRESYRILMRGLCEDKRLNEATHLLYSMFWRISQKGCGEDVVIYRTLLDALCDNGQVEDAVEILGKILRKGLKAPKRFRHNLDLSHYGNGEDTEGIKRLINEALVRGGIPSLASYSAMAIDLYDENKVGEADRVLKEMQDRGFRPTALVFEAKAAALCRERKVVEAVEVIEKEMVEANCVPTVRVYSVVVRGLCSEGQSVLAILYLKKMEKQVGCVADKKTYGILVDGLCGESRFLEASRVLQEMLIKSHWPCAETYNRVITGLCSVGKQYEAVMWLEEMTSRAMLPEYSVWSSLVASVCCNMANIEVCSDAYKRLKSS >ONI23721 pep chromosome:Prunus_persica_NCBIv2:G2:23957602:23958641:1 gene:PRUPE_2G204100 transcript:ONI23721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAAATQQMLDLFDILGIVHFGIAGNANNSLSIGDVTIPQQFSHTGIWDWLNSNRSLNYDEASLDFKRYNVPRGDNLLGHIGFRYEQFFSEYGKANTARRLFWANTTRQWLQVAANLKGIKLNQCLNSSVCLPQKPQLVVGLRGSTANIFVDNAAYRDFLFQTFRVSSVDMESSAVVMTSLSNGFPVIVIRGLSDLAGGQSGHNSIDTFGSLAAINACKVVVQFIKQLHHDTR >ONI23719 pep chromosome:Prunus_persica_NCBIv2:G2:23956651:23958744:1 gene:PRUPE_2G204100 transcript:ONI23719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRFAACFLRLVLISLLVAYSFSLPLNWRSLNLIKKVNREGPYIGLITVFPPEETAFFQIGAFKPNQKHPFVDLSGRRFLVGKIHDKKVVYVRCGVGMMNAAAATQQMLDLFDILGIVHFGIAGNANNSLSIGDVTIPQQFSHTGIWDWLNSNRSLNYDEASLDFKRYNVPRGDNLLGHIGFRYEQFFSEYGKANTARRLFWANTTRQWLQVAANLKGIKLNQCLNSSVCLPQKPQLVVGLRGSTANIFVDNAAYRDFLFQTFRVSSVDMESSAVVMTSLSNGFPVIVIRGLSDLAGGQSGHNSIDTFGSLAAINACKVVVQFIKQLHHDTR >ONI23720 pep chromosome:Prunus_persica_NCBIv2:G2:23956651:23958744:1 gene:PRUPE_2G204100 transcript:ONI23720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRFAACFLRLVLISLLVAYSFSLPLNWRSLNLIKKVNREGPYIGLITVFPPEETAFFQIGAFKPNQKHPFVDLSGRRFLVGKIHDKKVVYVRCGVGMMNAAAATQQMLDLFDILGIVHFGIAGNANNSLSIGDVTIPQQFSHTGIWDWLGIKLNQCLNSSVCLPQKPQLVVGLRGSTANIFVDNAAYRDFLFQTFRVSSVDMESSAVVMTSLSNGFPVIVIRGLSDLAGGQSGHNSIDTFGSLAAINACKVVVQFIKQLHHDTR >ONI24086 pep chromosome:Prunus_persica_NCBIv2:G2:25049679:25055277:1 gene:PRUPE_2G223000 transcript:ONI24086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKAEATVVADNWKEKAASSYDPNLNAARLNNIFISSCVLGVLLDPLFLYIPMLNQDIKCMKLDNTLMIAALVSRSFTDLFYIGRIIFQVCRIILQVCRFKNCPAFMNLFLPESSSSELNQLRNEYLPKSSIAKKIWQSSIIVDILAILPLPQVVILFFFLKMKGGSFGKHIMNILIMVQYVPRVLRIYLSCKKAKKPFKGHMALWVKGLLNFFLYILASHVLGAFWYFFAVQRLISCWEHACRYENGCGSSTFDCHDHHTLRNITVLNDLCPINPQNSTLFDFGIYLNILQSGVLWSTNYPLKYLNSFCWGLRNLSSLASNLQPSFNTWEILFVAFISIIGLLLFVYLIGNLQTYVQLDTERLESHRRKMKIEMKMKVKGQEVESWLSKNGIPLHNMRVIMEKVRQELEENWDIDVVQEILSVLTPKYIKSCTPFRRLQKVPLLKDMDEGVLREISEKLKPKKYTPEQIIIKKDQTLEMMLFIVDGRVTIEKENSQLQLGAGDLYGEELLVSPLWTSSGDAKPINESVRAIDDVQALVISATDMATLGFSSRRSINELRMVVTILQKVPKLETMDKQVLKAMSHHLSLVSYKHDDYIVRENQPVSMMFFVTRGEVTKNENPFEENFIGEELLEWVLDKSFPTILPLSTCTVRVVSNDAEVLVLKARMLKIVVSKFMKHFSDFASPSDIRLTWLKKVEIFQNMEEQVLEAILQCLKPMNFNVAKRHILQEKKPLKMMFFVIRGVVLIENDSSMDVNIKKTCEIGSFYGEELVHWVTTWVSHKSLPPKLPLSPCSALCGVLGGPVEILALKADDLKSVVSEFRSKFSMETALPTDSDQPRDPLTILKNVESLETMDEEVLKEICKHLTQKTYKDEYIILKDKQMEMMFFIVSGVVSVTNESSKHYRREGEHPNHSGDELIQHWMRSKSTDANVPAELPPSPFSFWAIGEVEVQILKAEDLARVQLGDRIGS >ONI24241 pep chromosome:Prunus_persica_NCBIv2:G2:25536146:25545709:-1 gene:PRUPE_2G231200 transcript:ONI24241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLNTVELNQTNGDGGHLEPGSFLKKSTSGKNRLRSADHQEVIASDKKLDPSYNICQASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSADCQVGLTLHKKKCDDSTKVPWYNNAMTSPTYLVGLGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPVSWNRQSSYFQSSNSGVSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI24248 pep chromosome:Prunus_persica_NCBIv2:G2:25536146:25545709:-1 gene:PRUPE_2G231200 transcript:ONI24248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGTQEGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLNTVELNQTNGDGGHLEPGSFLKKSTSGKNRLRSADHQEVIASDKKLDPSYNICQASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSADCQVGLTLHKKKCDDSTKVPWYNNAMTSPTYLVGLGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPVSWNRQSSYFQSSNSGVSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI24240 pep chromosome:Prunus_persica_NCBIv2:G2:25535692:25545870:-1 gene:PRUPE_2G231200 transcript:ONI24240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLNTVELNQTNGDGGHLEPGSFLKKSTSGKNRLRSADHQEVIASDKKLDPSYNICQASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSADCQVGLTLHKKKCDDSTKVPWYNNAMTSPTYLVGLGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI24244 pep chromosome:Prunus_persica_NCBIv2:G2:25536146:25545709:-1 gene:PRUPE_2G231200 transcript:ONI24244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGTQEGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSADCQVGLTLHKKKCDDSTKVPWYNNAMTSPTYLVGLGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPVSWNRQSSYFQSSNSGVSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI24238 pep chromosome:Prunus_persica_NCBIv2:G2:25536146:25545709:-1 gene:PRUPE_2G231200 transcript:ONI24238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSADCQVGLTLHKKKCDDSTKVPWYNNAMTSPTYLVGLGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPVSWNRQSSYFQSSNSGVSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI24243 pep chromosome:Prunus_persica_NCBIv2:G2:25535692:25545870:-1 gene:PRUPE_2G231200 transcript:ONI24243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGTQEGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSADCQVGLTLHKKKCDDSTKVPWYNNAMTSPTYLVGLGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI24249 pep chromosome:Prunus_persica_NCBIv2:G2:25538062:25545870:-1 gene:PRUPE_2G231200 transcript:ONI24249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGTQEGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLNTVELNQTNGDGGHLEPGSFLKKSTSGKNRLRSADHQEVIASDKKLDPSYNICQASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSADCQVGLTLHKKKCDDSTKVPWYNNAMTSPTYLVGLGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPVSWNRQSSYFQSSNSGVSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVCCYMILTLMILFYMEHEYQGQSPHVWVDTPL >ONI24245 pep chromosome:Prunus_persica_NCBIv2:G2:25535692:25545870:-1 gene:PRUPE_2G231200 transcript:ONI24245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGTQEGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLNTVELNQTNGDGGHLEPGSFLKKSTSGKNRLRSADHQEVIASDKKLDPSYNICQASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSDCQVGLTLHKKKCDDSTKVPWYNNAMTSPTYLVGLGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPVSWNRQSSYFQSSNSGVSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI24247 pep chromosome:Prunus_persica_NCBIv2:G2:25536146:25545709:-1 gene:PRUPE_2G231200 transcript:ONI24247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGTQEGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLNTVELNQTNGDGGHLEPGSFLKKSTSGKNRLRSADHQEVIASDKKLDPSYNICQASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSADCQVGLTLHKKKCDDSTKVPWYNNAMTSPTYLVGLGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI24242 pep chromosome:Prunus_persica_NCBIv2:G2:25535692:25545870:-1 gene:PRUPE_2G231200 transcript:ONI24242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGTQEGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSAGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPVSWNRQSSYFQSSNSGVSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI24237 pep chromosome:Prunus_persica_NCBIv2:G2:25535692:25545870:-1 gene:PRUPE_2G231200 transcript:ONI24237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSAGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPVSWNRQSSYFQSSNSGVSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI24239 pep chromosome:Prunus_persica_NCBIv2:G2:25535692:25545870:-1 gene:PRUPE_2G231200 transcript:ONI24239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLNTVELNQTNGDGGHLEPGSFLKKSTSGKNRLRSADHQEVIASDKKLDPSYNICQASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSAGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPVSWNRQSSYFQSSNSGVSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI24246 pep chromosome:Prunus_persica_NCBIv2:G2:25536146:25545709:-1 gene:PRUPE_2G231200 transcript:ONI24246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAMQTAPEPPNKSPNSELSPHPAKIREEGELSSDDDDENTICSVPHSTASSVPTSGAMLVPPMNKFTQGTQEGKALCGNVLASSADIRSQTSVQPTSQKINDKNRIPLKSATPGWRAPLGANDDLVIRFSDDDSDSGEKEHRIEKARETKIHVTGVVANGKPPTSSFARSNILRQTARNVDKVMPKKMSMNRTFISSMTRIRGVDSRDSGPSSVNQGSRVRNFNSMNKNVVSRERGYDQGVGLNNSKLQDLRQQIALRESELKLKSAQRTKESITHEASKSSARYSDVIEGEPKEPDKKRMKVGGSFSTQLSALGPQDTPVAKSTLSSKLPAVENNNPPEIVKIDHGQKGIPIGPTESSIEKSKSQNDKHVAGILEKIPSGVKYGAGIDTKCIQSSGRSKMVDLYDTLNQGTSQEIMTCNNLPKNLNTVELNQTNGDGGHLEPGSFLKKSTSGKNRLRSADHQEVIASDKKLDPSYNICQASLNNASLWNCFGNANVTANGDIHSLVEMEENLDKDLEEAQEHRRRCEIEEKNALKAYRKAQRELLQANVRCTDLYRQRELYSANLRSFIMDNSSLIWSSRQNEQAGIGLDLANNVSENVDLIPTSGHQMHPEDDGCNPAACDSNIQCVNNARIHASYKHLSEQNMGTEPCSEPDSSTSEPVPLLGNNGADGICSPSNELNNSADEDEDEARFSFENESVQPNVLCHKNTDFGNKQKEIDKESNRKMSIDSPQDPVLLERMLRSKLFATLGTKTLSKNSSSCNNTEVLVERGAENDVRSEKPQEIKGSFPFSEGERNHEGTDGQEKSSSEAPLEIQREHSVENIFVNSHSNSYSEDRLYLSGNILRSTFGYMKVICPKDLIKHQAISQQSPSCINSEKVQFSNVMVEPLKETLVKLARREVGTYSTSPAVDPFWPLCMYELRGKCNNDECPWQHVKDYSNTNMHQHQHDNSGSAGIMKAELHSYEPVLARRNGQWWKKCFSLFLVLSNLFRKDVPEDVPFLHGNDGHMEFPVSWNRQSSYFQSSNSGVSQLTQALADNDQYLEMALLIFSQEANELEGLRKALPVLSRALEADPTSIILWIFYLLIYYSNMKSVGKDDMFSCAVKYNDRSYELWLMCINSRMQLDDRLVTYDVALSALCRHATASDIDGTYASACTLDLCLQMMDCLCMSGNIEKAIQKIFRLFPTATNFDEPNSLSLSDILTCLTFYDKCILGVCCVYLVIYRKLPDAVVRQFECQKELFEIEWPSIQLMDNEKQRATKLMETVVDSVDSYMKIESLEKSEFNIRVAHFFALNHLRCMAALGSLERCGHLLDKYLTLYPSCVELVLISVRTHKHDLGDSHFERFEEALSNWPKEVPGVQCIWNQYVEYALQNGRYDFGKEVMDRWFRSVWKVHYLQIGTLDEMNCDNSDRSQGLASNSIQQTLSSNPKQMDIMFGYLNLSLHNLLQNDHSEARLALDRALNAAVPEYFKHCVREHALFMLTDESLLKENGSISGIQKILEQYLGDAPAFTTSEPLSRKFVNNIKKPRIRQLVSNVFSPLSSDFSVVNSVLEVWYGPSLLPEKFSEQKNLVDFVEAILDITPSNYQLAISVCKMLSSGSNAGDVTSLSALFWASSNLVSAIFHAVPIPPEYVWVEAAEVLGNIASVEAISERFYKRALSVYPFSVKLWKSYSILSMMTTGNTNAVVEAAKEKGIELG >ONI25683 pep chromosome:Prunus_persica_NCBIv2:G2:29584506:29588193:-1 gene:PRUPE_2G314600 transcript:ONI25683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLGRRTQAPKPLSRSQIVDDDSDSASDSEYGDVSCEECGSGDSPAELLLCDGCNCNRGYHLFCLRPILVHVPKGKWFGPCCSKNKKPKSFPLHQTKISDFFRIKRSADSLQKINQDNRKRRRRASSLVMSKKKRRLLPFSPTEDHTRRMQQMASLATALTATGTKFSNELTYRPRLAPRSANCAALEQGGMQVLSKEDIETLNLCKSMMDRGECPPLMVVFDPQEGFTVEADKFIRDLTIITEYAGDVDYLKNRENDDGDSMMTLLSAANPSKSLVICPDKHGNIARFVNGINNHTPDGRKKQNLKCVRYDVNGESRVLLIANRDIPKGERLYYDYNGYENEYPTEHFV >ONI24008 pep chromosome:Prunus_persica_NCBIv2:G2:24792609:24793131:-1 gene:PRUPE_2G218500 transcript:ONI24008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAQPKNLQIENVVLNLPRNIRCNKCRNTMAKDTKINSRKEKSSGNLEYLGIKNIRFHFNCTQCSAGIVLRTNPQLSRVLCESDATLFCGDQLPGRLPYGLEEVKKRN >ONI25430 pep chromosome:Prunus_persica_NCBIv2:G2:28993941:28996312:1 gene:PRUPE_2G302900 transcript:ONI25430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGGTEISPSLPASTASGNNGHMMYVFNRNGVCLLYREWNRPLHTLNQQQDHKLMFGLLFSLKSLTAKMDPTTPDKANLGVPQLPGQGCSFHSFRTNTYKLTFMESPSGIKIILVTHPRTGDLRESLKYIYNLYVEYVVKNPLYTPGTPIRCELFNTALDQYVRSIS >ONI25859 pep chromosome:Prunus_persica_NCBIv2:G2:29983247:29987856:-1 gene:PRUPE_2G324000 transcript:ONI25859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVMGSTESGTGDFSVGSIVWVRRRNGSWWPGKIVGPEELSASHLTSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIEKAESSQGMPVKKREKYARREDAILHALELEKQLLRKQGKLGVEQTGVVCKAKRSKCVYLPAESGESLEYEAAPSNQVEISASPVGARSHAEALIEENTSGFTEDESDSSETDSSESESDSSETEPDMDEEMPLLSEPEVGRYEAREHGIMVGDEPDESTHSGDMSHLYSHDPLFASEAVSKWQLKGKRNIRNLTKRSMDATDGRGYIYGPYSEEKTDWEDSTWEDRSAWNEYWDIKRDRFHPVYDGRYHYRRRPRYLIDVDLKVQASYQKEPVPIVSLMSKLNGKAIIGHPIQIEALEDGSSNSLLSTVDEFGEEAVDNNGGATVPHAWRTARRTANVRVPRPHLSSALDGDEAADDLPLLDEESRPPFKKLNLGSFSNKATQGKRNLLHNSRLPTDRKLSKKVAKKVSLSSSQKTRTLSSIAIEQNFSNKPIHLDSSSCQREGLMKPESSGPTTVACIPVKLVFSRLLEKINRPPSKAASTVLLNSEKERNS >ONI25862 pep chromosome:Prunus_persica_NCBIv2:G2:29983247:29986038:-1 gene:PRUPE_2G324000 transcript:ONI25862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSHEGLSDELLAKRPDKRHPLVLVLQNSAKLAVPQSLQPDSATVYTSVSGVEQTGVVCKAKRSKCVYLPAESGESLEYEAAPSNQVEISASPVGARSHAEALIEENTSGFTEDESDSSETDSSESESDSSETEPDMDEEMPLLSEPEVGRYEAREHGIMVGDEPDESTHSGDMSHLYSHDPLFASEAVSKWQLKGKRNIRNLTKRSMDATDGRGYIYGPYSEEKTDWEDSTWEDRSAWNEYWDIKRDRFHPVYDGRYHYRRRPRYLIDVDLKVQASYQKEPVPIVSLMSKLNGKAIIGHPIQIEALEDGSSNSLLSTVDEFGEEAVDNNGGATVPHAWRTARRTANVRVPRPHLSSALDGDEAADDLPLLDEESRPPFKKLNLGSFSNKATQGKRNLLHNSRLPTDRKLSKKVAKKVSLSSSQKTRTLSSIAIEQNFSNKPIHLDSSSCQREGLMKPESSGPTTVACIPVKLVFSRLLEKINRPPSKAASTVLLNSEKERNS >ONI25860 pep chromosome:Prunus_persica_NCBIv2:G2:29983724:29987484:-1 gene:PRUPE_2G324000 transcript:ONI25860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVMGSTESGTGDFSVGSIVWVRRRNGSWWPGKIVGPEELSASHLTSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIEKAESSQGMPVKKREKYARREDAILHALELEKQLLRKQGKLGGPLSSQRTKEGNQLSGEDDHAEGMPRMRGLQDFGLKIAPSKRKLSSSLALNGSWKPTIDGTVQALARGGLSMGGTNHVNGVEQTGVVCKAKRSKCVYLPAESGESLEYEAAPSNQVEISASPVGARSHAEALIEENTSGFTEDESDSSETDSSESESDSSETEPDMDEEMPLLSEPEVGRYEAREHGIMVGDEPDESTHSGDMSHLYSHDPLFASEAVSKWQLKGKRNIRNLTKRSMDATDGRGYIYGPYSEEKTDWEDSTWEDRSAWNEYWDIKRDRFHPVYDGRYHYRRRPRYLIDVDLKVQASYQKEPVPIVSLMSKLNGKAIIGHPIQIEALEDGSSNSLLSTVDEFGEEAVDNNGGATVPHAWRTARRTANVRVPRPHLSSALDGDEAADDLPLLDEESRPPFKKLNLGSFSNKATQGKRNLLHNSRLPTDRKLSKKVAKKVSLSSSQKTRTLSSIAIEQNFSNKPIHLDSSSCQREGLMKPESSGPTTVACIPVKLVFSRLLEKINRPPSKAASTVLLNSEKERNS >ONI25861 pep chromosome:Prunus_persica_NCBIv2:G2:29983724:29987484:-1 gene:PRUPE_2G324000 transcript:ONI25861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVMGSTESGTGDFSVGSIVWVRRRNGSWWPGKIVGPEELSASHLTSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIEKAESSQGMPVKKREKYARREDAILHALELEKQLLRKQGKLGITSERLNSKLSGAVKKELVISSESLGNDNVKPGNSKSHQFSKRLDTSHRNDIIGGPLSSQRTKEGNQLSGEDDHAEGMPRMRGLQDFGLKIAPSKRKLSSSLALNGSWKPTIDGTVQALARGGLSMGGTNHVNGVEQTGVVCKAKRSKCVYLPAESGESLEYEAAPSNQVEISASPVGARSHAEALIEENTSGFTEDESDSSETDSSESESDSSETEPDMDEEMPLLSEPEVGRYEAREHGIMVGDEPDESTHSGDMSHLYSHDPLFASEAVSKWQLKGKRNIRNLTKRSMDATDGRGYIYGPYSEEKTDWEDSTWEDRSAWNEYWDIKRDRFHPVYDGRYHYRRRPRYLIDVDLKVQASYQKEPVPIVSLMSKLNGKAIIGHPIQIEALEDGSSNSLLSTVDEFGEEAVDNNGGATVPHAWRTARRTANVRVPRPHLSSALDGDEAADDLPLLDEESRPPFKKLNLGSFSNKATQGKRNLLHNSRLPTDRKLSKKVAKKVSLSSSQKTRTLSSIAIEQNFSNKPIHLDSSSCQREGLMKPESSGPTTVACIPVKLVFSRLLEKINRPPSKAASTVLLNSEKERNS >ONI25858 pep chromosome:Prunus_persica_NCBIv2:G2:29983247:29987856:-1 gene:PRUPE_2G324000 transcript:ONI25858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVMGSTESGTGDFSVGSIVWVRRRNGSWWPGKIVGPEELSASHLTSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIEKAESSQGMPVKKREKYARREDAILHALELEKQLLRKQGKLGITSERLNSKLSGAVKKELVISSESLGNDNVKPGNSKSHQFSKRLDTSHRNDIIGGPLSSQRTKEGNQLSGEDDHAEGMPRMRGLQDFGLKIAPSKRKLSSSLALNGSWKPTIDGTVQALARGGLSMGGTNHVNGVEQTGVVCKAKRSKCVYLPAESGESLEYEAAPSNQVEISASPVGARSHAEALIEENTSGFTEDESDSSETDSSESESDSSETEPDMDEEMPLLSEPEVGRYEAREHGIMVGDEPDESTHSGDMSHLYSHDPLFASEAVSKWQLKGKRNIRNLTKRSMDATDGRGYIYGPYSEEKTDWEDSTWEDRSAWNEYWDIKRDRFHPVYDGRYHYRRRPRYLIDVDLKVQASYQKEPVPIVSLMSKLNGKAIIGHPIQIEALEDGSSNSLLSTVDEFGEEAVDNNGGATVPHAWRTARRTANVRVPRPHLSSALDGDEAADDLPLLDEESRPPFKKLNLGSFSNKATQAEHYQTGPYKSAFFCCLFE >ONI25857 pep chromosome:Prunus_persica_NCBIv2:G2:29983246:29987856:-1 gene:PRUPE_2G324000 transcript:ONI25857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVMGSTESGTGDFSVGSIVWVRRRNGSWWPGKIVGPEELSASHLTSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIEKAESSQGMPVKKREKYARREDAILHALELEKQLLRKQGKLGVEQTGVVCKAKRSKCVYLPAESGESLEYEAAPSNQVEISASPVGARSHAEALIEENTSGFTEDESDSSETDSSESESDSSETEPDMDEEMPLLSEPEVGRYEAREHGIMVGDEPDESTHSGDMSHLYSHDPLFASEAVSKWQLKGKRNIRNLTKRSMDATDGRGYIYGPYSEEKTDWEDSTWEDRSAWNEYWDIKRDRFHPVYDGRYHYRRRPRYLIDVDLKVQASYQKEPVPIVSLMSKLNGKAIIGHPIQIEALEDGSSNSLLSTVDEFGEEAVDNNGGATVPHAWRTARRTANVRVPRPHLSSALDGDEAADDLPLLDEESRPPFKKLNLGSFSNKATQAEHYQTGPYKSAFFCCLFE >ONI25929 pep chromosome:Prunus_persica_NCBIv2:G2:30164428:30166143:1 gene:PRUPE_2G327500 transcript:ONI25929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLMGSGQRLAALALCLILLLDITMPSLVLANKAKGGGHPRGGRGRAQKGSGHKGGGGGHKGGKGGGPKGGGGPKGGGGPKGGGVAPSVPNPKPNPNPVGGGIPKHKPNPVPVIHPNPNPNPVIPPNPVIPTNPIPAGGAPGGGGVPVPEAGKGIIYHGGPLLTGVLNLSILFYGQFTAEQKNVVRSFLRSLENTENDHIASAHRWWDIVESYQLFTNKPGLDPTAAPPRLRIKVGTQQSDDKYTVGKVLTIDFINSLFKKADSGKPNTLVVLFTGSQVTVQGLCRGKCYEHGLVDNKPYLIVGNPEIECPGACAWPFNRLEYGVPNQVTVKPPNGNAGIDAMLINFASGLAAAVTNPFNTGFFKPGPKDDPIEAGTACDNIFGSGAVPGQTGKVELDPASGGSYNAIGEKGMKFMLPSVWNPRTNNCWTAL >ONI22890 pep chromosome:Prunus_persica_NCBIv2:G2:21056385:21058129:-1 gene:PRUPE_2G157300 transcript:ONI22890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSRKLEITVISAENLQLDRKPIKKNASATVRAENDSQFLTTDTDTEGGAYPKWNEKLVLDLPMQARSLTVEVQCKTSYGLRTIGTAKIPVSDFVGGFVPEGYLHFLSYRLRDRRGERNGIVNISVRMKVPELKACATTSSHSSVGFPVGDSSFGVGGVATGIPVWCGAYPRNY >ONI25483 pep chromosome:Prunus_persica_NCBIv2:G2:29151607:29154160:1 gene:PRUPE_2G306100 transcript:ONI25483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKVELLFVLLIGTIFLHATADPVEDKQALLDFLHNISHSNSIKWNDNSSVCKNWTGVICSEDQSRIIELHLPGAALHGPIPPNTLSRLSALQVLSLRLNSLTGPFPSDFSKLENLTSLYLQFNNFSGPLPLDFSPWKNLTVMNLSNNAFSGKIPSSISSLTHLTVLNLANNSLSGEIPDLNLPSLQQLDLANNNLTGNVPQSLQRFPGWAFSGNGLSSQWALPPALPVQPPNAQPRKKTNLGEPAILGIVIGGCVLGFVVIAIVMIICCTNKEGENGPVEKPQKKKEIFSNKGVSEKHDKNNRLSFFEGSNLAFDLEDLLRASAEVLGKGTFGTTYKAALEDATTVVVKRLKEVSVGKKEFEQQMEIVGSIRHENIAALRAYYYSKDEKLVVYDYYEQGSASSLLHAKRGEGRTPLDWETRLRIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSQGYGCVCDIGLATLMSPMPPPAARAGGYRSPEVTDTRKSSHASDVYSFGVLILELLTGKSPIHTTGGEEVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGMSCVARMPEQRPSMPDVVKRVEEIRQVNTGNPPSSSGISTPVLTPPPPTAEIGSSSQPQ >ONI25486 pep chromosome:Prunus_persica_NCBIv2:G2:29151155:29154160:1 gene:PRUPE_2G306100 transcript:ONI25486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKVELLFVLLIGTIFLHATADPVEDKQALLDFLHNISHSNSIKWNDNSSVCKNWTGVICSEDQSRIIELHLPGAALHGPIPPNTLSRLSALQVLSLRLNSLTGPFPSDFSKLENLTSLYLQFNNFSGPLPLDFSPWKNLTVMNLSNNAFSGKIPSSISSLTHLTVLNLANNSLSGNVPQSLQRFPGWAFSGNGLSSQWALPPALPVQPPNAQPRKKTNLGEPAILGIVIGGCVLGFVVIAIVMIICCTNKEGENGPVEKPQKKKEIFSNKGVSEKHDKNNRLSFFEGSNLAFDLEDLLRASAEVLGKGTFGTTYKAALEDATTVVVKRLKEVSVGKKEFEQQMEIVGSIRHENIAALRAYYYSKDEKLVVYDYYEQGSASSLLHAKRGEGRTPLDWETRLRIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSQGYGCVCDIGLATLMSPMPPPAARAGGYRSPEVTDTRKSSHASDVYSFGVLILELLTGKSPIHTTGGEEVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGMSCVARMPEQRPSMPDVVKRVEEIRQVNTGNPPSSSGISTPVLTPPPPTAEIGSSSQPQ >ONI25484 pep chromosome:Prunus_persica_NCBIv2:G2:29151221:29154160:1 gene:PRUPE_2G306100 transcript:ONI25484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKVELLFVLLIGTIFLHATADPVEDKQALLDFLHNISHSNSIKWNDNSSVCKNWTGVICSEDQSRIIELHLPGAALHGPIPPNTLSRLSALQVLSLRLNSLTGPFPSDFSKLENLTSLYLQFNNFSGPLPLDFSPWKNLTVMNLSNNAFSGKIPSSISSLTHLTVLNLANNSLSGEIPDLNLPSLQQLDLANNNLTGNVPQSLQRFPGWAFSGNGLSSQWALPPALPVQPPNAQPRKKTNLGEPAILGIVIGGCVLGFVVIAIVMIICCTNKEGENGPVEKPQKKKEIFSNKGVSEKHDKNNRLSFFEGSNLAFDLEDLLRASAEVLGKGTFGTTYKAALEDATTVVVKRLKEVSVGKKEFEQQMEIVGSIRHENIAALRAYYYSKDEKLVVYDYYEQGSASSLLHAKRGEGRTPLDWETRLRIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSQGYGCVCDIGLATLMSPMPPPAARAGGYRSPEVTDTRKSSHASDVYSFGVLILELLTGKSPIHTTGGEEVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGMSCVARMPEQRPSMPDVVKRVEEIRQVNTGNPPSSSGISTPVLTPPPPTAEIGSSSQPQ >ONI25485 pep chromosome:Prunus_persica_NCBIv2:G2:29151155:29154160:1 gene:PRUPE_2G306100 transcript:ONI25485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKVELLFVLLIGTIFLHATADPVEDKQALLDFLHNISHSNSIKWNDNSSVCKNWTGVICSEDQSRIIELHLPGAALHGPIPPNTLSRLSALQVLSLRLNSLTGPFPSDFSKLENLTSLYLQFNNFSGPLPLDFSPWKNLTVMNLSNNAFSGKIPSSISSLTHLTVLNLANNSLSGNVPQSLQRFPGWAFSGNGLSSQWALPPALPVQPPNAQPRKKTNLGEPAILGIVIGGCVLGFVVIAIVMIICCTNKEGENGPVEKPQKKKEIFSNKGVSEKHDKNNRLSFFEGSNLAFDLEDLLRASAEVLGKGTFGTTYKAALEDATTVVVKRLKEVSVGKKEFEQQMEIVGSIRHENIAALRAYYYSKDEKLVVYDYYEQGSASSLLHAKRGEGRTPLDWETRLRIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSQGYGCVCDIGLATLMSPMPPPAARAGGYRSPEVTDTRKSSHASDVYSFGVLILELLTGKSPIHTTGGEEVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGMSCVARMPEQRPSMPDVVKRVEEIRQVNTGNPPSSSGISTPVLTPPPPTAEIGSSSQPQ >ONI25481 pep chromosome:Prunus_persica_NCBIv2:G2:29150862:29154160:1 gene:PRUPE_2G306100 transcript:ONI25481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKVELLFVLLIGTIFLHATADPVEDKQALLDFLHNISHSNSIKWNDNSSVCKNWTGVICSEDQSRIIELHLPGAALHGPIPPNTLSRLSALQVLSLRLNSLTGPFPSDFSKLENLTSLYLQFNNFSGPLPLDFSPWKNLTVMNLSNNAFSGKIPSSISSLTHLTVLNLANNSLSGEIPDLNLPSLQQLDLANNNLTGNVPQSLQRFPGWAFSGNGLSSQWALPPALPVQPPNAQPRKKTNLGEPAILGIVIGGCVLGFVVIAIVMIICCTNKEGENGPVEKPQKKKEIFSNKGVSEKHDKNNRLSFFEGSNLAFDLEDLLRASAEVLGKGTFGTTYKAALEDATTVVVKRLKEVSVGKKEFEQQMEIVGSIRHENIAALRAYYYSKDEKLVVYDYYEQGSASSLLHAKRGEGRTPLDWETRLRIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSQGYGCVCDIGLATLMSPMPPPAARAGGYRSPEVTDTRKSSHASDVYSFGVLILELLTGKSPIHTTGGEEVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGMSCVARMPEQRPSMPDVVKRVEEIRQVNTGNPPSSSGISTPVLTPPPPTAEIGSSSQPQ >ONI25482 pep chromosome:Prunus_persica_NCBIv2:G2:29150860:29154180:1 gene:PRUPE_2G306100 transcript:ONI25482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKVELLFVLLIGTIFLHATADPVEDKQALLDFLHNISHSNSIKWNDNSSVCKNWTGVICSEDQSRIIELHLPGAALHGPIPPNTLSRLSALQVLSLRLNSLTGPFPSDFSKLENLTSLYLQFNNFSGPLPLDFSPWKNLTVMNLSNNAFSGKIPSSISSLTHLTVLNLANNSLSGEIPDLNLPSLQQLDLANNNLTGNVPQSLQRFPGWAFSGNGLSSQWALPPALPVQPPNAQPRKKTNLGEPAILGIVIGGCVLGFVVIAIVMIICCTNKEGENGPVEKPQKKKEIFSNKGVSEKHDKNNRLSFFEGSNLAFDLEDLLRASAEVLGKGTFGTTYKAALEDATTVVVKRLKEVSVGKKEFEQQMEIVGSIRHENIAALRAYYYSKDEKLVVYDYYEQGSASSLLHAKRGEGRTPLDWETRLRIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSQGYGCVCDIGLATLMSPMPPPAARAGGYRSPEVTDTRKSSHASDVYSFGVLILELLTGKSPIHTTGGEEVIHLVRWVNSVVREEWTAEVFDVELLRYPNIEEEMVEMLQIGMSCVARMPEQRPSMPDVVKRVEEIRQVNTGNPPSSSGISTPVLTPPPPTAEIGSSSQPQ >ONI21033 pep chromosome:Prunus_persica_NCBIv2:G2:5400275:5400697:1 gene:PRUPE_2G046600 transcript:ONI21033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFIFFVLFPFLAFSSFAEESNPSHFQNMATLGGVHESHASENSLETEDLARFAVQDHNKKEVFTLFCLLISSILCT >ONI24004 pep chromosome:Prunus_persica_NCBIv2:G2:24781738:24783299:1 gene:PRUPE_2G218200 transcript:ONI24004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLEDRPLKFSKITHHASVTQCLGSVGGHVWYLGVAKPTIVDKQTLESKDREGKNVAQSRCATGHFYVPPAVANVRVFKITGPKFLKLNHGTWHAGPLFRADTMDFFNLELSNTNVVDHTSHDFVKANGVEFLIDEQL >ONI24003 pep chromosome:Prunus_persica_NCBIv2:G2:24781642:24783299:1 gene:PRUPE_2G218200 transcript:ONI24003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISEESKWVKLKPIEATVESFEEYGQVVEASPDGEEFGARDAQLDLSHGVPRFYIMHLEDRPLKFSKITHHASVTQCLGSVGGHVWYLGVAKPTIVDKQTLESKDREGKNVAQSRCATGHFYVPPAVANVRVFKITGPKFLKLNHGTWHAGPLFRADTMDFFNLELSNTNVVDHTSHDFVKANGVEFLIDEQL >ONI24005 pep chromosome:Prunus_persica_NCBIv2:G2:24782117:24783299:1 gene:PRUPE_2G218200 transcript:ONI24005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLEDRPLKFSKITHHASVTQCLGSVGGHVWYLGVAKPTIVDKQTLESKDREGKNVAQSRCATGHFYVPPAVANVRVFKITGPKFLKLNHGTWHAGPLFRADTMDFFNLELSNTNVVDHTSHDFVKANGVEFLIDEQL >ONI23761 pep chromosome:Prunus_persica_NCBIv2:G2:24075940:24078660:-1 gene:PRUPE_2G206500 transcript:ONI23761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHGTWADIKGFALQVLTGRWLMLFASFLMMASAGASYMFGLYSNDIKSVLGYNQSTLNMISFFKDLGANIGIFSGLINEVTPPWVVLSIGAAFNFFGYFMIWLAVTEKIAKPQVWHMCLYITMGANSHTFINTGAFVTCVKNFPRSRGLLIGLLNGYIGLSAAVIAQLYHAFYGDDTKSFTLFVAWLPSAVSLIFLRTIRIMKVIPQKRYYKVLCKFLYISLGLAGYLLIIIIVEQKVNFRQIEYGGSAAIVLFLLFLPLAVVVAEEYISWRTKQSLSTANIEIPSPDSTHVDQNKLSCWKHVFSPPEIGEDHTILQAVFSIEMLTLFLTTLCGLGGMLTMMDNLGQIGTALGYSLESISTFVSLASIWIYLGEVMVGLLSEIFITKYKCPRPLMFTFSLFLSCIGHLLIAFNVPNGLYVASIITGFCFGGVWPLLFSIISEIFGLKHISTLNNVGAMACPLGSYLLNVKVTGYLYDREAEKQLRALGLERKPGEELNCSGGQCFKLPFIIITAVTLLGVLVSLVLVFRTRKFYNSDIYKKFKDEKRAAESETVVTKNPNVGLAKLEAEIDLKS >ONI24422 pep chromosome:Prunus_persica_NCBIv2:G2:25993597:25998613:1 gene:PRUPE_2G239800 transcript:ONI24422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISSEMSAQSTLENTLKEILRVVKPLREDWTTRLQIIDELRGAVESVESLRGATVEPFGSFVSDLFTRWGDLDVSIEFSNGSFVSPYGKKQKQRLLGDVMRAMRQKGGWRRYQLIPNARVPILKVESNLQNVSCDISIDNLKCQMKSRLLFWISEIDTRFRDMVLLIKEWAKAHNINNPKFGTFNSYSLTLLVVFHFQTCAPAIFPPLKDIYPGNLIDDLKGLRADTERRIEETCAANIRRFQSYNLRAENRSSLSELFISFLGKFSDISLKASELGICTYTGQWQAIKSNMRWLPQTYALFIEDPFEQPENSARAVSKRELTRISETFEMSHHMLISPNHSSLLATLVRPQMLSLMVRTPDWRRQPTHPQRFRAEGSHSPTPSNNNGPRQPTRPQVHRVVRSPSQVQPQYQTVKPKGPSEVQPQYQTVKPKGPSQVQPQFQTMNPKSHPNRATFKKPPLQTYEDQRQQIWRPRSDRPV >ONI24428 pep chromosome:Prunus_persica_NCBIv2:G2:25993537:25998693:1 gene:PRUPE_2G239800 transcript:ONI24428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQSTLENTLKEILRVVKPLREDWTTRLQIIDELRGAVESVESLRGATVEPFGSFVSDLFTRWGDLDVSIEFSNGSFVSPYGKKQKQRLLGDVMRAMRQKGGWRRYQLIPNARVPILKVESNLQNVSCDISIDNLKCQMKSRLLFWISEIDTRFRDMVLLTCAPAIFPPLKDIYPGNLIDDLKGLRADTERRIEETCAANIRRFQSYNLRAENRSSLSELFISFLGKFSDISLKASELGICTYTGQWQAIKSNMRWLPQTYALFIEDPFEQPENSARAVSKRELTRISETFEMSHHMLISPNHSSLLATLVRPQMLSLMVRTPDWRRQPTHPQRFRAEGSHSPTPSNNNGPRQPTRPQVHRVVRSPSQVQPQYQTVKPKGPSEVQPQYQTVKPKGPSQVQPQFQTMNPKSHPNRATFKKPPLQTYEDQRQQIWRPRSDRPV >ONI24423 pep chromosome:Prunus_persica_NCBIv2:G2:25993236:25998727:1 gene:PRUPE_2G239800 transcript:ONI24423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQSTLENTLKEILRVVKPLREDWTTRLQIIDELRGAVESVESLRGATVEPFGSFVSDLFTRWGDLDVSIEFSNGSFVSPYGKKQKQRLLGDVMRAMRQKGGWRRYQLIPNARVPILKVESNLQNVSCDISIDNLKCQMKSRLLFWISEIDTRFRDMVLLIKEWAKAHNINNPKFGTFNSYSLTLLVVFHFQTCAPAIFPPLKDIYPGNLIDDLKGLRADTERRIEETCAANIRRFQSYNLRAENRSSLSELFISFLGKFSDISLKASELGICTYTGQWQAIKSNMRWLPQTYALFIEDPFEQPENSARAVSKRELTRISETFEMSHHMLISPNHSSLLATLVRPQMLSLMVRTPDWRRQPTHPQRFRAEGSHSPTPSNNNGPRQPTRPQVHRVVRSPSQVQPQYQTVKPKGPSEVQPQYQTVKPKGPSQVQPQFQTMNPKSHPNRATFKKPPLQTYEDQRQQIWRPRSDRPV >ONI24424 pep chromosome:Prunus_persica_NCBIv2:G2:25993350:25998608:1 gene:PRUPE_2G239800 transcript:ONI24424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQSTLENTLKEILRVVKPLREDWTTRLQIIDELRGAVESVESLRGATVEPFGSFVSDLFTRWGDLDVSIEFSNGSFVSPYGKKQKQRLLGDVMRAMRQKGGWRRYQLIPNARVPILKVESNLQNVSCDISIDNLKCQMKSRLLFWISEIDTRFRDMVLLIKEWAKAHNINNPKFGTFNSYSLTLLVVFHFQTCAPAIFPPLKDIYPGNLIDDLKGLRADTERRIEETCAANIRRFQSYNLRAENRSSLSELFISFLGKFSDISLKASELGICTYTGQWQAIKSNMRWLPQTYALFIEDPFEQPENSARAVSKRELTRISETFEMSHHMLISPNHSSLLATLVRPQMLSLMVRTPDWRRQPTHPQRFRAEGSHSPTPSNNNGPRQPTRPQVHRVVRSPSQVQPQYQTVKPKGPSEVQPQYQTVKPKGPSQVQPQFQTMNPKSHPNRATFKKPPLQTYEDQRQQIWRPRSDRPV >ONI24426 pep chromosome:Prunus_persica_NCBIv2:G2:25993236:25998727:1 gene:PRUPE_2G239800 transcript:ONI24426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQSTLENTLKEILRVVKPLREDWTTRLQIIDELRGAVESVESLRGATVEPFGSFVSDLFTRWGDLDVSIEFSNGSFVSPYGKKQKQRLLGDVMRAMRQKGGWRRYQLIPNARVPILKVESNLQNVSCDISIDNLKCQMKSRLLFWISEIDTRFRDMVLLIKEWAKAHNINNPKFGTFNSYSLTLLVVFHFQTCAPAIFPPLKDIYPGNLIDDLKGLRADTERRIEETCAANIRRFQSYNLRAENRSSLSELFISFLGKFSDISLKASELGICTYTGQWQAIKSNMRWLPQTYALFIEDPFEQPENSARAVSKRELTRISETFEMSHHMLISPNHSSLLATLVRPQMLSLMVRTPDWRRQPTHPQRFRAEGSHSPTPSNNNGPRQPTRPQVHRVVRSPSQVQPQYQTVKPKGPSEVQPQYQTVKPKGPSQVQPQFQTMNPKSHPNRATFKKPPLQTYEDQRQQIWRPRSDRPV >ONI24425 pep chromosome:Prunus_persica_NCBIv2:G2:25993493:25998655:1 gene:PRUPE_2G239800 transcript:ONI24425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQSTLENTLKEILRVVKPLREDWTTRLQIIDELRGAVESVESLRGATVEPFGSFVSDLFTRWGDLDVSIEFSNGSFVSPYGKKQKQRLLGDVMRAMRQKGGWRRYQLIPNARVPILKVESNLQNVSCDISIDNLKCQMKSRLLFWISEIDTRFRDMVLLIKEWAKAHNINNPKFGTFNSYSLTLLVVFHFQTCAPAIFPPLKDIYPGNLIDDLKGLRADTERRIEETCAANIRRFQSYNLRAENRSSLSELFISFLGKFSDISLKASELGICTYTGQWQAIKSNMRWLPQTYALFIEDPFEQPENSARAVSKRELTRISETFEMSHHMLISPNHSSLLATLVRPQMLSLMVRTPDWRRQPTHPQRFRAEGSHSPTPSNNNGPRQPTRPQVHRVVRSPSQVQPQYQTVKPKGPSEVQPQYQTVKPKGPSQVQPQFQTMNPKSHPNRATFKKPPLQTYEDQRQQIWRPRSDRPV >ONI24427 pep chromosome:Prunus_persica_NCBIv2:G2:25993318:25998665:1 gene:PRUPE_2G239800 transcript:ONI24427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQSTLENTLKEILRVVKPLREDWTTRLQIIDELRGAVESVESLRGATVEPFGSFVSDLFTRWGDLDVSIEFSNGSFVSPYGKKQKQRLLGDVMRAMRQKGGWRRYQLIPNARVPILKVESNLQNVSCDISIDNLKCQMKSRLLFWISEIDTRFRDMVLLTCAPAIFPPLKDIYPGNLIDDLKGLRADTERRIEETCAANIRRFQSYNLRAENRSSLSELFISFLGKFSDISLKASELGICTYTGQWQAIKSNMRWLPQTYALFIEDPFEQPENSARAVSKRELTRISETFEMSHHMLISPNHSSLLATLVRPQMLSLMVRTPDWRRQPTHPQRFRAEGSHSPTPSNNNGPRQPTRPQVHRVVRSPSQVQPQYQTVKPKGPSEVQPQYQTVKPKGPSQVQPQFQTMNPKSHPNRATFKKPPLQTYEDQRQQIWRPRSDRPV >ONI24398 pep chromosome:Prunus_persica_NCBIv2:G2:25899438:25901514:-1 gene:PRUPE_2G238400 transcript:ONI24398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSSLMETVASELEGTLLKDPDPFSYFMLVAFEASGLIRFAFLLMVWPIIRFLEMIGTEEAGLKLMIFVAVCGVPESEIESVARAVLPKFYMDDLDMEAWKVFSLYDRRVVVTKMPRVMVERFVKEHLRADEVIGSELVVSRFGFATGFVKSQVCSQNIYDRVAKVFIDEEPTLGLGRPSSSSSSFLSLCKEQMHPPFTMTNQKNEHQVLRPLPVIFHDGRLVKRPTPSTALLILLWMPLGILLAFIRIVLGLILPMTLIPYTSQLFGGKIIVKGKTPPPFSGGNSGVLFVCTHRTLMDPVILSSVLKRKIPAVTYSISRLSEMLAPIPTVRLTRVRHVDAERIKLELSKGDLVVCPEGTTCREPFLLRFSALFAELTNRIVPVAMNYRVGFFHATTAKGWKALDPIFFFMNPRPVYEVTFLNQLPMEATCSSGKSPHEVANYVQMILASTLGFECTNFTRKDKYKVLAGNDGTVPYISFVDQLKKMVSIFNPKTTKE >ONI23820 pep chromosome:Prunus_persica_NCBIv2:G2:24286525:24288472:1 gene:PRUPE_2G210100 transcript:ONI23820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVPTHPALRHLGTRASRDPPTSPELKISILSFRLRGPTRYPSSSNPLVFSRKFDEIRRPIRAVNALSQEGSLQELDDTPVSVALVPISGETQFDRVMAQAQQLEESVVVVWMASWCRKCIYLKPKLEKLAAEYYPRLWFYSVDVNSVPHKLVARAEVTVSFSSHLEEYVSMVCI >ONI23819 pep chromosome:Prunus_persica_NCBIv2:G2:24286525:24289692:1 gene:PRUPE_2G210100 transcript:ONI23819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVPTHPALRHLGTRASRDPPTSPELKISILSFRLRGPTRYPSSSNPLVFSRKFDEIRRPIRAVNALSQEGSLQELDDTPVSVALVPISGETQFDRVMAQAQQLEESVVVVWMASWCRKCIYLKPKLEKLAAEYYPRRCQLYSCGKTARNKLR >ONI23818 pep chromosome:Prunus_persica_NCBIv2:G2:24286525:24289692:1 gene:PRUPE_2G210100 transcript:ONI23818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVPTHPALRHLGTRASRDPPTSPELKISILSFRLRGPTRYPSSSNPLVFSRKFDEIRRPIRAVNALSQEGSLQELDDTPVSVALVPISGETQFDRVMAQAQQLEESVVVVWMASWCRKCIYLKPKLEKLAAEYYPRLWFYSVDVNSVPHKLVARAEVTKMPTIQLWKDGKKQAEVIGGHKAYLVVNEVRDMIENEDDT >ONI22642 pep chromosome:Prunus_persica_NCBIv2:G2:19776428:19786350:-1 gene:PRUPE_2G141700 transcript:ONI22642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAEENPKHKHEMELALSVPMGEEDDDEHGAKEAVLQKYFLQEWKLVKSILNDIVSNGRVSDPSAPHKIRSIMDKYQEQGQLVEPYLESIVSPLMFIVRSKTVELGAASDEILKVIKPICIIIYSLVTVCGYKAVVRFFPHQVSDLELAVSLLEKCHHTSSVSSLRQESTGEMEAKCVMLLWLSILVLVPFDISTVDTSIANNSNLGKLEPAPLVLRIIGFSKDYLSNAGPMRTIAALLLSKLLTRPDMPKAFSSFVEWAHEVLSSLMDDVINHFRLLGATEALAAVFKVGGRKLLLDVVPTIWNDTSLLINSSNASRSPLLRKYLMKLTQRIGLTCLPHHTPSWRYVGKKRTLGENITLSASENTGQCNYALNTEDSNSEPSSSCLQDEEMDVPEVVEEIIEMLLTGLRDTDTVVRWSAAKGIGRITSCLSSALSEEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPISLPKVVPVVVKALHYDIRRGPHSVGSHVRDAAAYVCWAFGRAYYHKDMRNILDQLAAHLLTVACYDREVNCRRAAAAAFQENVGRQGSYPHGIDIVNTADYFSLSSRVNSYVHVAVSIAQYEGYLYPFVDELLYSKICHWDKGLRELAAEALSALVKYDPDYFANYALEKIIPCTLSSDLCMRHGATLAAGELVLALHRCDYALSADMQKRVAGVVLAIEKARLYRGKGGEIMRSAVSRFIECVSISSVSLPEKIKRSFLDTLNENLRHPNSQIQDAAVNALKHFVQAYLVAASVGITGDITSKYLELLTDPNVAVRRGSALAIGVLPCELFAHRWKDVLLKLCTCCAIEDNPDDRDAEARVNAVKGLVSVCEALAQEKEHSGIDTVEDDMSLFLLIKDEIMTTLLKALDDYSVDNRGDVGSWVREAAMDGLERCTYILCKRDSVGLTARSGQVDSGLELQNSDDSNQLYSLLDANLAASIVGGICKQAVEKMDKLREVAAKVLQRILYNKIAYVPRIPHRKKLEEIVPNKADLKWGVPAFSYPRFVQLLQFGCFSRSVLSGLVISIGGLQDFLRKAALTALLEYLQVVESEDQKERSREYMLSTDMLWVLQQYRRFDRVIVPALKTIEILFSKQILLSMEALQYLDILLQFRNQ >ONI22638 pep chromosome:Prunus_persica_NCBIv2:G2:19776748:19786113:-1 gene:PRUPE_2G141700 transcript:ONI22638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAEENPKHKHEMELALSVPMGEEDDDEHGAKEAVLQKYFLQEWKLVKSILNDIVSNGRVSDPSAPHKIRSIMDKYQEQGQLVEPYLESIVSPLMFIVRSKTVELGAASDEILKVIKPICIIIYSLVTVCGYKAVVRFFPHQVSDLELAVSLLEKCHHTSSVSSLRQESTGEMEAKCVMLLWLSILVLVPFDISTVDTSIANNSNLGKLEPAPLVLRIIGFSKDYLSNAGPMRTIAALLLSKLLTRPDMPKAFSSFVEWAHEVLSSLMDDVINHFRLLGATEALAAVFKVGGRKLLLDVVPTIWNDTSLLINSSNASRSPLLRKYLMKLTQRIGLTCLPHHTPSWRYVGKKRTLGENITLSASENTGQCNYALNTEDSNSEPSSSCLQDEEMDVPEVVEEIIEMLLTGLRDTDTVVRWSAAKGIGRITSCLSSALSEEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPISLPKVVPVVVKALHYDIRRGPHSVGSHVRDAAAYVCWAFGRAYYHKDMRNILDQLAAHLLTVACYDREVNCRRAAAAAFQENVGRQGSYPHGIDIVNTADYFSLSSRVNSYVHVAVSIAQYEGYLYPFVDELLYSKICHWDKGLRELAAEALSALVKYDPDYFANYALEKIIPCTLSSDLCMRHGATLAAGELVLALHRCDYALSADMQKRVAGVVLAIEKARLYRGKGGEIMRSAVSRFIECVSISSVSLPEKIKRSFLDTLNENLRHPNSQIQDAAVNALKHFVQAYLVAASVGITGDITSKYLELLTDPNVAVRRGSALAIGVLPCELFAHRWKDVLLKLCTCCAIEDNPDDRDAEARVNAVKGLVSVCEALAQEKEHSGIDTVEDDMSLFLLIKDEIMTTLLKALDDYSVDNRGDVGSWVREAAMDGLERCTYILCKRDSVGLTARSGQVDSGLELQNSDDSNQLYSLLDANLAASIVGGICKQAVEKMDKLREVAAKVLQRILYNKIAYVPRIPHRKKLEEIVPNKADLKWGVPAFSYPRFVQLLQFGCFSRSVLSGLVISIGGLQDFLRKAALTALLEYLQVVESEDQKERSREYMLSTDMLWVLQQYRRFDRVIVPALKTIEILFSKQILLSMEAHTLVFCTGVLDSLEVELKGSRDFSKLYAGIAILGYIASVSESINTRAFSHLLSFLGHRYPKIRKASAEQVYLVLLQNGGLVAEDKIEKALEIISETCWEGDLEAAKIRRLELYDMASLDTGILQKASSRVSNKDDSRKPTADENASYSSLVESSGF >ONI22639 pep chromosome:Prunus_persica_NCBIv2:G2:19776428:19786350:-1 gene:PRUPE_2G141700 transcript:ONI22639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASPIPPLPTRSDPFFVEWAHEVLSSLMDDVINHFRLLGATEALAAVFKVGGRKLLLDVVPTIWNDTSLLINSSNASRSPLLRKYLMKLTQRIGLTCLPHHTPSWRYVGKKRTLGENITLSASENTGQCNYALNTEDSNSEPSSSCLQDEEMDVPEVVEEIIEMLLTGLRDTDTVVRWSAAKGIGRITSCLSSALSEEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPISLPKVVPVVVKALHYDIRRGPHSVGSHVRDAAAYVCWAFGRAYYHKDMRNILDQLAAHLLTVACYDREVNCRRAAAAAFQENVGRQGSYPHGIDIVNTADYFSLSSRVNSYVHVAVSIAQYEGYLYPFVDELLYSKICHWDKGLRELAAEALSALVKYDPDYFANYALEKIIPCTLSSDLCMRHGATLAAGELVLALHRCDYALSADMQKRVAGVVLAIEKARLYRGKGGEIMRSAVSRFIECVSISSVSLPEKIKRSFLDTLNENLRHPNSQIQDAAVNALKHFVQAYLVAASVGITGDITSKYLELLTDPNVAVRRGSALAIGVLPCELFAHRWKDVLLKLCTCCAIEDNPDDRDAEARVNAVKGLVSVCEALAQEKEHSGIDTVEDDMSLFLLIKDEIMTTLLKALDDYSVDNRGDVGSWVREAAMDGLERCTYILCKRDSVGLTARSGQVDSGLELQNSDDSNQLYSLLDANLAASIVGGICKQAVEKMDKLREVAAKVLQRILYNKIAYVPRIPHRKKLEEIVPNKADLKWGVPAFSYPRFVQLLQFGCFSRSVLSGLVISIGGLQDFLRKAALTALLEYLQVVESEDQKERSREYMLSTDMLWVLQQYRRFDRVIVPALKTIEILFSKQILLSMEAHTLVFCTGVLDSLEVELKGSRDFSKLYAGIAILGYIASVSESINTRAFSHLLSFLGHRYPKIRKASAEQVYLVLLQNGGLVAEDKIEKALEIISETCWEGDLEAAKIRRLELYDMASLDTGILQKASSRVSNKDDSRKPTADENASYSSLVESSGF >ONI22640 pep chromosome:Prunus_persica_NCBIv2:G2:19776428:19786350:-1 gene:PRUPE_2G141700 transcript:ONI22640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSITSGYLELQKHWLLFSSNASRSPLLRKYLMKLTQRIGLTCLPHHTPSWRYVGKKRTLGENITLSASENTGQCNYALNTEDSNSEPSSSCLQDEEMDVPEVVEEIIEMLLTGLRDTDTVVRWSAAKGIGRITSCLSSALSEEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPISLPKVVPVVVKALHYDIRRGPHSVGSHVRDAAAYVCWAFGRAYYHKDMRNILDQLAAHLLTVACYDREVNCRRAAAAAFQENVGRQGSYPHGIDIVNTADYFSLSSRVNSYVHVAVSIAQYEGYLYPFVDELLYSKICHWDKGLRELAAEALSALVKYDPDYFANYALEKIIPCTLSSDLCMRHGATLAAGELVLALHRCDYALSADMQKRVAGVVLAIEKARLYRGKGGEIMRSAVSRFIECVSISSVSLPEKIKRSFLDTLNENLRHPNSQIQDAAVNALKHFVQAYLVAASVGITGDITSKYLELLTDPNVAVRRGSALAIGVLPCELFAHRWKDVLLKLCTCCAIEDNPDDRDAEARVNAVKGLVSVCEALAQEKEHSGIDTVEDDMSLFLLIKDEIMTTLLKALDDYSVDNRGDVGSWVREAAMDGLERCTYILCKRDSVGLTARSGQVDSGLELQNSDDSNQLYSLLDANLAASIVGGICKQAVEKMDKLREVAAKVLQRILYNKIAYVPRIPHRKKLEEIVPNKADLKWGVPAFSYPRFVQLLQFGCFSRSVLSGLVISIGGLQDFLRKAALTALLEYLQVVESEDQKERSREYMLSTDMLWVLQQYRRFDRVIVPALKTIEILFSKQILLSMEAHTLVFCTGVLDSLEVELKGSRDFSKLYAGIAILGYIASVSESINTRAFSHLLSFLGHRYPKIRKASAEQVYLVLLQNGGLVAEDKIEKALEIISETCWEGDLEAAKIRRLELYDMASLDTGILQKASSRVSNKDDSRKPTADENASYSSLVESSGF >ONI22641 pep chromosome:Prunus_persica_NCBIv2:G2:19776748:19784121:-1 gene:PRUPE_2G141700 transcript:ONI22641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSITSGYLELQKHWLLFSRQVGGRKLLLDVVPTIWNDTSLLINSSNASRSPLLRKYLMKLTQRIGLTCLPHHTPSWRYVGKKRTLGENITLSASENTGQCNYALNTEDSNSEPSSSCLQDEEMDVPEVVEEIIEMLLTGLRDTDTVVRWSAAKGIGRITSCLSSALSEEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPISLPKVVPVVVKALHYDIRRGPHSVGSHVRDAAAYVCWAFGRAYYHKDMRNILDQLAAHLLTVACYDREVNCRRAAAAAFQENVGRQGSYPHGIDIVNTADYFSLSSRVNSYVHVAVSIAQYEGYLYPFVDELLYSKICHWDKGLRELAAEALSALVKYDPDYFANYALEKIIPCTLSSDLCMRHGATLAAGELVLALHRCDYALSADMQKRVAGVVLAIEKARLYRGKGGEIMRSAVSRFIECVSISSVSLPEKIKRSFLDTLNENLRHPNSQIQDAAVNALKHFVQAYLVAASVGITGDITSKYLELLTDPNVAVRRGSALAIGVLPCELFAHRWKDVLLKLCTCCAIEDNPDDRDAEARVNAVKGLVSVCEALAQEKEHSGIDTVEDDMSLFLLIKDEIMTTLLKALDDYSVDNRGDVGSWVREAAMDGLERCTYILCKRDSVGLTARSGQVDSGLELQNSDDSNQLYSLLDANLAASIVGGICKQAVEKMDKLREVAAKVLQRILYNKIAYVPRIPHRKKLEEIVPNKADLKWGVPAFSYPRFVQLLQFGCFSRSVLSGLVISIGGLQDFLRKAALTALLEYLQVVESEDQKERSREYMLSTDMLWVLQQYRRFDRVIVPALKTIEILFSKQILLSMEAHTLVFCTGVLDSLEVELKGSRDFSKLYAGIAILGYIASVSESINTRAFSHLLSFLGHRYPKIRKASAEQVYLVLLQNGGLVAEDKIEKALEIISETCWEGDLEAAKIRRLELYDMASLDTGILQKASSRVSNKDDSRKPTADENASYSSLVESSGF >ONI22643 pep chromosome:Prunus_persica_NCBIv2:G2:19777306:19786113:-1 gene:PRUPE_2G141700 transcript:ONI22643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAEENPKHKHEMELALSVPMGEEDDDEHGAKEAVLQKYFLQEWKLVKSILNDIVSNGRVSDPSAPHKIRSIMDKYQEQGQLVEPYLESIVSPLMFIVRSKTVELGAASDEILKVIKPICIIIYSLVTVCGYKAVVRFFPHQVSDLELAVSLLEKCHHTSSVSSLRQESTGEMEAKCVMLLWLSILVLVPFDISTVDTSIANNSNLGKLEPAPLVLRIIGFSKDYLSNAGPMRTIAALLLSKLLTRPDMPKAFSSFVEWAHEVLSSLMDDVINHFRLLGATEALAAVFKVGGRKLLLDVVPTIWNDTSLLINSSNASRSPLLRKYLMKLTQRIGLTCLPHHTPSWRYVGKKRTLGENITLSASENTGQCNYALNTEDSNSEPSSSCLQDEEMDVPEVVEEIIEMLLTGLRDTDTVVRWSAAKGIGRITSCLSSALSEEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPISLPKVVPVVVKALHYDIRRGPHSVGSHVRDAAAYVCWAFGRAYYHKDMRNILDQLAAHLLTVACYDREVNCRRAAAAAFQENVGRQGSYPHGIDIVNTADYFSLSSRVNSYVHVAVSIAQYEGYLYPFVDELLYSKICHWDKGLRELAAEALSALVKYDPDYFANYALEKIIPCTLSSDLCMRHGATLAAGELVLALHRCDYALSADMQKRVAGVVLAIEKARLYRGKGGEIMRSAVSRFIECVSISSVSLPEKIKRSFLDTLNENLRHPNSQIQDAAVNALKHFVQAYLVAASVGITGDITSKYLELLTDPNVAVRRGSALAIGVLPCELFAHRWKDVLLKLCTCCAIEDNPDDRDAEARVNAVKGLVSVCEALAQEKEHSGIDTVEDDMSLFLLIKDEIMTTLLKALDDYSVDNRGDVGSWVREAAMDGLERCTYILCKRDSVGLTARSGQVDSGLELQNSDDSNQLYSLLDANLAASIVGGICKQAVEKMDKLREVAAKVLQRILYNKIAYVPRIPHRKKLEEIVPNKADLKWGVPAFSYPRFVQLLQFGCFSRSVLSGLVISIGGLQDFLRKAALTALLEYLQVVESEDQKERSREYMLSTDMLWVLQQYRRFDRVIVPALKTIEILFSKQILLSMEN >ONI25323 pep chromosome:Prunus_persica_NCBIv2:G2:28697766:28700789:1 gene:PRUPE_2G296100 transcript:ONI25323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLWKLLYLLEPAPISLIVTAVGVTFGSAFRALNYGKEMERNRDLSETSITLDRSQALMIPVMSSISLLLMFYLFSSVSQLLTVFTAIASVSSLFFCLSPYVAYLKSQFGFADPYVSRCCSKSFTRIQGLLLFLCIGTVVAWLVTGHWVLNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQMVTKKLELPVKIVFPRNLLGGLIPGGAKDFMMLGLGDMAIPAMLLALVLCFDHRRSRDSINLLEMHSSKGHKYIWYALPGYAIGLVTALAAGVLTHSPQPALLYLVPSTLGPIVFISWIRKELAELWDGPLPNSNDKAHQIEV >ONI25322 pep chromosome:Prunus_persica_NCBIv2:G2:28698328:28700789:1 gene:PRUPE_2G296100 transcript:ONI25322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLWKLLYLLEPAPISLIVTAVGVTFGSAFRALNYGKEMERNRDLSETSITLDRSQALMIPVMSSISLLLMFYLFSSVSQLLTVFTAIASVSSLFFCLSPYVAYLKSQFGFADPYVSRCCSKSFTRIQGLLLFLCIGTVVAWLVTGHWVLNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQMVTKKLELPVKIVFPRNLLGGLIPGGAKDFMMLGLGDMAIPAMLLALVLCFDHRRSRDSINLLEMHSSKGHKYIWYALPGYAIGLVTALAAGVLTHSPQPALLYLVPSTLGPIVFISWIRKELAELWDGPLPNSNDKAHQIEV >ONI25231 pep chromosome:Prunus_persica_NCBIv2:G2:28452015:28458289:1 gene:PRUPE_2G290500 transcript:ONI25231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSRVAGGLAQSSSSSGIFFQGDGQSQLVVNSHLSSSFGNSSNSIPGTGRSNLGPVSGDMNNAVLSGVANSGPSVGASSLVTDANSVLSGGPHLQRSASINTESYLRLPASPMSFSSNNISMSGSSIMDGSSVVQQNSQHDHNSQQIQQNQQHQHPRQQGASSATSLATSQTGQVSLPMGARVPGAFIQDPNNLAHVQKKPRLDIKQEDMLQQQVLQQLLQRQDPMQFQGRNPQIQALLQQQRLRQQHQILQSMPQLQRAQLQQQQQQQQQQQQQQQQQHQLQLRQLQQQSLQPVSSVKRPYDGGVCARRLMQYLYHQRQRPSDNSIAYWRKFVTEYYSPRAKKRWCLSLYDNVGHHALGVFPQAAMDAWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPRECRFPSGVMMLEYGKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEELLPRRLVAPQVNQLVQVAQKCQSTIAESGSDGISQQDLQTNSNMVLTAGRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCRENKVGPIEGLKVYPRHATAAKLQMQKMQEMEQLASAQGMPTDRNTLNKLMALHPGMNNQINNNHHMVNRGAMSGSAQAALQLTTYQNLLLRQNSMNSNANSLQQEASSSFNNSNHSPSSTFQGASALIPGSMQNLPGSGLSSPHLPSRQPHQMQQRSLSSNSLLPQNHSPSSQGNQALQQQVIQQLLQEMSNNSGGGGQQSLSGPNANGSVGRSGLSFGGNNPAATPATSNVSGGHGPAPSRSNSFKAAANSDSSAGGGNNAYNQRASDLPSNLHLQEDMVPDIAHEFTDNGFFNSDLDDNMGYGWKA >ONI25233 pep chromosome:Prunus_persica_NCBIv2:G2:28452784:28458289:1 gene:PRUPE_2G290500 transcript:ONI25233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSRVAGGLAQSSSSSGIFFQGDGQSQLVVNSHLSSSFGNSSNSIPGTGRSNLGPVSGDMNNAVLSGVANSGPSVGASSLVTDANSVLSGGPHLQRSASINTESYLRLPASPMSFSSNNISMSGSSIMDGSSVVQQNSQHDHNSQQIQQNQQHQHPRQQGASSATSLATSQTGQVSLPMGARVPGAFIQDPNNLAHVQKKPRLDIKQEDMLQQQVLQQLLQRQDPMQFQGRNPQIQALLQQQRLRQQHQILQSMPQLQRAQLQQQQQQQQQQQQQQQQQHQLQLRQLQQQSLQPVSSVKRPYDGGVCARRLMQYLYHQRQRPSDNSIAYWRKFVTEYYSPRAKKRWCLSLYDNVGHHALGVFPQAAMDAWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPRECRFPSGVMMLEYGKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEELLPRRLVAPQVNQLVQVAQKCQSTIAESGSDGISQQDLQTNSNMVLTAGRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCRENKVGPIEGLKVYPRHATAAKLQMQKMQEMEQLASAQGMPTDRNTLNKLMALHPGMNNQINNNHHMVNRGAMSGSAQAALQLTTYQNLLLRQNSMNSNANSLQQEASSSFNNSNHSPSSTFQGASALIPGSMQNLPGSGLSSPHLPSRQPHQMQQRSLSSNSLLPQNHSPSSQGNQALQQQVIQQLLQEMSNNSGGGGQQSLSGPNANGSVGRSGLSFGGNNPAATPATSNVSGGHGPAPSRSNSFKAAANSDSSAGGGNNAYNQRASDLPSNLHLQEDMVPDIAHEFTDNGFFNSDLDDNMGYGWKA >ONI25234 pep chromosome:Prunus_persica_NCBIv2:G2:28452015:28458289:1 gene:PRUPE_2G290500 transcript:ONI25234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSNNISMSGSSIMDGSSVVQQNSQHDHNSQQIQQNQQHQHPRQQGASSATSLATSQTGQVSLPMGARVPGAFIQDPNNLAHVQKKPRLDIKQEDMLQQQVLQQLLQRQDPMQFQGRNPQIQALLQQQRLRQQHQILQSMPQLQRAQLQQQQQQQQQQQQQQQQQHQLQLRQLQQQSLQPVSSVKRPYDGGVCARRLMQYLYHQRQRPSDNSIAYWRKFVTEYYSPRAKKRWCLSLYDNVGHHALGVFPQAAMDAWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPRECRFPSGVMMLEYGKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEELLPRRLVAPQVNQLVQVAQKCQSTIAESGSDGISQQDLQTNSNMVLTAGRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCRENKVGPIEGLKVYPRHATAAKLQMQKMQEMEQLASAQGMPTDRNTLNKLMALHPGMNNQINNNHHMVNRGAMSGSAQAALQLTTYQNLLLRQNSMNSNANSLQQEASSSFNNSNHSPSSTFQGASALIPGSMQNLPGSGLSSPHLPSRQPHQMQQRSLSSNSLLPQNHSPSSQGNQALQQQVIQQLLQEMSNNSGGGGQQSLSGPNANGSVGRSGLSFGGNNPAATPATSNVSGGHGPAPSRSNSFKAAANSDSSAGGGNNAYNQRASDLPSNLHLQEDMVPDIAHEFTDNGFFNSDLDDNMGYGWKA >ONI25232 pep chromosome:Prunus_persica_NCBIv2:G2:28452101:28458293:1 gene:PRUPE_2G290500 transcript:ONI25232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSRVAGGLAQSSSSSGIFFQGDGQSQLVVNSHLSSSFGNSSNSIPGTGRSNLGPVSGDMNNAVLSGVANSGPSVGASSLVTDANSVLSGGPHLQRSASINTESYLRLPASPMSFSSNNISMSGSSIMDGSSVVQQNSQHDHNSQQIQQNQQHQHPRQQGASSATSLATSQTGQVSLPMGARVPGAFIQDPNNLAHVQKKPRLDIKQEDMLQQQVLQQLLQRQDPMQFQGRNPQIQALLQQQRLRQQHQILQSMPQLQRAQLQQQQQQQQQQQQQQQQQHQLQLRQLQQQSLQPVSSVKRPYDGGVCARRLMQYLYHQRQRPSDNSIAYWRKFVTEYYSPRAKKRWCLSLYDNVGHHALGVFPQAAMDAWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPRECRFPSGVMMLEYGKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEELLPRRLVAPQVNQLVQVAQKCQSTIAESGSDGISQQDLQTNSNMVLTAGRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCRENKVGPIEGLKVYPRHATAAKLQMQKMQEMEQLASAQGMPTDRNTLNKLMALHPGMNNQINNNHHMVNRGAMSGSAQAALQLTTYQNLLLRQNSMNSNANSLQQEASSSFNNSNHSPSSTFQGASALIPGSMQNLPGSGLSSPHLPSRQPHQMQQRSLSSNSLLPQNHSPSSQGNQALQQQVIQQLLQEMSNNSGGGGQQSLSGPNANGSVGRSGLSFGGNNPAATPATSNVSGGHGPAPSRSNSFKAAANSDSSAGGGNNAYNQRASDLPSNLHLQEDMVPDIAHEFTDNGFFNSDLDDNMGYGWKA >ONI20994 pep chromosome:Prunus_persica_NCBIv2:G2:4970146:4976829:-1 gene:PRUPE_2G044500 transcript:ONI20994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGEQKGTKKTLSKRPSMFKKLQKYFSLTKTKT >ONI20997 pep chromosome:Prunus_persica_NCBIv2:G2:4968977:4976830:-1 gene:PRUPE_2G044500 transcript:ONI20997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGEQKGTKKTLSKRPSMFKKLQKYFSLTKTKT >ONI21003 pep chromosome:Prunus_persica_NCBIv2:G2:4970905:4976352:-1 gene:PRUPE_2G044500 transcript:ONI21003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGCS >ONI21001 pep chromosome:Prunus_persica_NCBIv2:G2:4971185:4975509:-1 gene:PRUPE_2G044500 transcript:ONI21001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGCS >ONI21002 pep chromosome:Prunus_persica_NCBIv2:G2:4971185:4975509:-1 gene:PRUPE_2G044500 transcript:ONI21002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGCS >ONI20995 pep chromosome:Prunus_persica_NCBIv2:G2:4970268:4976811:-1 gene:PRUPE_2G044500 transcript:ONI20995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGEQKGTKKTLSKRPSMFKKLQKYFSLTKTKT >ONI21005 pep chromosome:Prunus_persica_NCBIv2:G2:4971575:4976800:-1 gene:PRUPE_2G044500 transcript:ONI21005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGNCTV >ONI20999 pep chromosome:Prunus_persica_NCBIv2:G2:4970268:4976811:-1 gene:PRUPE_2G044500 transcript:ONI20999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGEQKGTKKTLSKRPSMFKKLQKYFSLTKTKT >ONI20998 pep chromosome:Prunus_persica_NCBIv2:G2:4970285:4976813:-1 gene:PRUPE_2G044500 transcript:ONI20998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGEQKGTKKTLSKRPSMFKKLQKYFSLTKTKT >ONI20996 pep chromosome:Prunus_persica_NCBIv2:G2:4970146:4976813:-1 gene:PRUPE_2G044500 transcript:ONI20996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGEQKGTKKTLSKRPSMFKKLQKYFSLTKTKT >ONI21004 pep chromosome:Prunus_persica_NCBIv2:G2:4971185:4975509:-1 gene:PRUPE_2G044500 transcript:ONI21004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGCS >ONI21000 pep chromosome:Prunus_persica_NCBIv2:G2:4971185:4975509:-1 gene:PRUPE_2G044500 transcript:ONI21000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMENVIENREDRLPAEAREILQSLASQWEDVVDSKALQVIPLKGAMTNEVFEIKWPAKTGELSRKVVVRIYGEGVDVFFDRDNEIRTFEYMSKNGQGPRLLGRFPNGRIEAFIHARTLSACDLRDPDISALIAAKLKEFHELNMPGPKDVTLWDRLRNWLSTAKRLSTPEEANAFQLDSIEKEISLLEKELSGPSQSMGFCHNDLQYGNIMLEEGSKSITIIDYEYASYNAVAFDISNHFCEMAADYHTDTPHILDYSKYPGLEERQRFVRLYLSSSGNHPTENEVEQLVQDVENYTLASHLFWGLWGIISEHVNDIDFDYMEYARQRFQQYWKTKPKLLGSVGSLPDVATDGCS >ONI20824 pep chromosome:Prunus_persica_NCBIv2:G2:3834201:3849882:1 gene:PRUPE_2G035500 transcript:ONI20824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASSSTILEGEITGIKFGLATHQEICTASISNCAISHASQLSNPFLGLPLEFGKCESCGTSEAGKCEGHFGYIELPIPIFHPNHVSELKRMLSLLCLKCLKMKKNKFPTKNAGLAERMLSSCCEDASQVSIGEIKPTDGSCSLQLKRPSKSRTPPGFWNFLERYGFRYGDGHIRTLLPCEVMEMLKRIPQETRKKLAAKGYFPQDGYILSQIPVPPNCLSVPEISDGVSVMSADPSISMLKKVLKQVEIIRSSRSGIPNFESQIVEANELQAIIDQYLQVRGTGKPSRDIDARFGVNKELNASSTKAWLEKMRTLFIRKGSGFSSRSVITGDAFRRVNEVGIPYEIAQRITFEEKVNDHNIRYLQELVDSKLCLTYKDGSSTYSLREGSKGHTFLRPGQVVHRRIMDGDLVFVNRPPTTHKHSLQALQVYVHDDHVVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVLELFSVEKQLLSSHSGKPNLQLAADALLSLKMMFKKYFLDKAAAQQLAMFASSSLPRPALLKANSAHSYWTAFQILQTALPAHFDCSGDNYLVNKSEILNIDFSTSSVAAVMNDIATSVFFEKGGEDVLKFFDSLQPLLMENLFSEGFSVGLEDFYMSRTSIQDIQKNIQDSSDLLYHLRSTYNEFVEFQLQNRIRSVKVPVSHFILESSALGDLIDSKSDSAINKIVQQIGFLGLQLSDKGRFYSKTLVEDVASLCHSKYPSDIDYPSAEYGLVQSCFFHGLDPYEAIVHSIATREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVNIGSRPQHLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWELMKEILLCKVNFKNELIDRRVILYLNNCGCGRKYCRERAACLVKNQLKKVSLKDTAVEFMIEYNNQLSGLGSLINDAGLVGHIHLNEDMLRELNIGVHDILQKCQETINSFRRKKVGKKKFNIGYHFKNTVLFASEHCSFHHSCAEKRSDSPCLMFFLQATDDLETTTTLQYYADLICPVLLETIIKGDPRIGSANIIWIDPDTTTWIRSPNKSQKGEWALDIVLEKSVIKQSGDAWRTVLDSCLPVLHLIDTRRSIPYAIKQIQELLGVSCAFDQAVQRLSTAVTMVAKGVLKEHLILLANSMTCAGNFVGFNSSGYKALSRALNIQVPFTEATLFTPRKCFERAAEKCHMDSLASIVASCSWGKHVAVGTGVRFDVLWDTREVELTQEGGLDVFNFLHMVSTANVEEATTGALGAEVDDLMLVDEMADSSFSPELNSSFDRPVFEDLVEFDDKLGDLPEKSNWEKDSSFHTDSNGGKDWSVDKNVGTVAVPDVWSSWGTEKGKTQDSNSAEAQLDSKKSSVLDTSSAWGKNPAKENTTSTWGTTTASENDWCGRGVGEDDSATLSGKKSGVLNTSSAWATNTAREDAASAWGTNPAKENSTSTWGTTRANENDWCGREVGQDDSASLSVKKSSVLDTSSAWATNTAREDAASAWGKHPAKENTTSTWGTTTASENDWCGRGVGHDDSASLSGKKSSVLNTSSVWATNTAREDATSAWGKNPAKENTTSTWGTTTASENDWCGREAGKVEPVDLQPTKPQDDSASLSGWDSPTGDGNSGERNHQWGQHRGDQTKKNRFEGARNWVSSPGEWKNKNRPPKSPGMVNDNSTMGALYTVTRQRLDMFTSEEQDVLSNIEPVMRSLRRIMHQSGYNDGDPLSGDDQSFVLDNVFNYHPDKAAKMGCGIDHLTVNRHGSFQDSRCFFVVSTDGRTEDFSYRKCLDNYIREKFPDLAETFIGKYFSRRGNRERNPTLIQTPTLSQTSTPEQTENAEMQ >ONI20823 pep chromosome:Prunus_persica_NCBIv2:G2:3834201:3849882:1 gene:PRUPE_2G035500 transcript:ONI20823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASSSTILEGEITGIKFGLATHQEICTASISNCAISHASQLSNPFLGLPLEFGKCESCGTSEAGKCEGHFGYIELPIPIFHPNHVSELKRMLSLLCLKCLKMKKNKFPTKNAGLAERMLSSCCEDASQVSIGEIKPTDGSCSLQLKRPSKSRTPPGFWNFLERYGFRYGDGHIRTLLPCEVMEMLKRIPQETRKKLAAKGYFPQDGYILSQIPVPPNCLSVPEISDGVSVMSADPSISMLKKVLKQVEIIRSSRSGIPNFESQIVEANELQAIIDQYLQVRGTGKPSRDIDARFGVNKELNASSTKAWLEKMRTLFIRKGSGFSSRSVITGDAFRRVNEVGIPYEIAQRITFEEKVNDHNIRYLQELVDSKLCLTYKDGSSTYSLREGSKGHTFLRPGQVVHRRIMDGDLVFVNRPPTTHKHSLQALQVYVHDDHVVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVLELFSVEKQLLSSHSGKPNLQLAADALLSLKMMFKKYFLDKAAAQQLAMFASSSLPRPALLKANSAHSYWTAFQILQTALPAHFDCSGDNYLVNKSEILNIDFSTSSVAAVMNDIATSVFFEKGGEDVLKFFDSLQPLLMENLFSEGFSVGLEDFYMSRTSIQDIQKNIQDSSDLLYHLRSTYNEFVEFQLQNRIRSVKVPVSHFILESSALGDLIDSKSDSAINKIVQQIGFLGLQLSDKGRFYSKTLVEDVASLCHSKYPSDIDYPSAEYGLVQSCFFHGLDPYEAIVHSIATREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVNIGSRPQHLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWELMKEILLCKVNFKNELIDRRVILYLNNCGCGRKYCRERAACLVKNQLKKVSLKDTAVEFMIEYNNQLSGLGSLINDAGLVGHIHLNEDMLRELNIGVHDILQKCQETINSFRRKKVGKKKFNIGYHFKNTVLFASEHCSFHHSCAEKRSDSPCLMFFLQATDDLETTTTLQYYADLICPVLLETIIKGDPRIGSANIIWIDPDTTTWIRSPNKSQKGEWALDIVLEKSVIKQSGDAWRTVLDSCLPVLHLIDTRRSIPYAIKQIQELLGVSCAFDQAVQRLSTAVTMVAKGVLKEHLILLANSMTCAGNFVGFNSSGYKALSRALNIQVPFTEATLFTPRKCFERAAEKCHMDSLASIVASCSWGKHVAVGTGVRFDVLWDTREVELTQEGGLDVFNFLHMVSTANVEEATTGALGAEVDDLMLVDEMADSSFSPELNSSFDRPVFEDLVEFDDKLGDLPEKSNWEKDSSFHTDSNGGKDWSVDKNVGTVAVPDVWSSWGTEKGKTQDSNSAEAQLDSKKSSVLDTSSAWGKNPAKENTTSTWGTTTASENDWCGRGVGEDDSATLSGKKSGVLNTSSAWATNTAREDAASAWGTNPAKENSTSTWGTTRANENDWCGREVGQDDSASLSVKKSSVLDTSSAWATNTAREDAASAWGKHPAKENTTSTWGTTTASENDWCGRGVGHDDSASLSGKKSSVLNTSSVWATNTAREDATSAWGKNPAKENTTSTWGTTTASENDWCGREAGKVEPVDLQPTKPQDDSASLSGWDSPTGDGNSGERNHQWGQHRGDQTKKNRFEGARNWVSSPGEWKNKNRPPKSPGMVNDNSTMGALYTVTRQRLDMFTSEEQDVLSNIEPVMRSLRRIMHQSGYNDGDPLSGDDQSFVLDNVFNYHPDKAAKMGCGIDHLTVNRHGSFQDSRCFFVVSTDGRTEDFSYRKCLDNYIREKFPDLAETFIGKYFSRRGNRERNPTLIQTPTLSQTSTPEQTENAEMQ >ONI20822 pep chromosome:Prunus_persica_NCBIv2:G2:3834200:3849882:1 gene:PRUPE_2G035500 transcript:ONI20822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASSSTILEGEITGIKFGLATHQEICTASISNCAISHASQLSNPFLGLPLEFGKCESCGTSEAGKCEGHFGYIELPIPIFHPNHVSELKRMLSLLCLKCLKMKKNKFPTKNAGLAERMLSSCCEDASQVSIGEIKPTDGSCSLQLKRPSKSRTPPGFWNFLERYGFRYGDGHIRTLLPCEVMEMLKRIPQETRKKLAAKGYFPQDGYILSQIPVPPNCLSVPEISDGVSVMSADPSISMLKKVLKQVEIIRSSRSGIPNFESQIVEANELQAIIDQYLQVRGTGKPSRDIDARFGVNKELNASSTKAWLEKMRTLFIRKGSGFSSRSVITGDAFRRVNEVGIPYEIAQRITFEEKVNDHNIRYLQELVDSKLCLTYKDGSSTYSLREGSKGHTFLRPGQVVHRRIMDGDLVFVNRPPTTHKHSLQALQVYVHDDHVVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVLELFSVEKQLLSSHSGKPNLQLAADALLSLKMMFKKYFLDKAAAQQLAMFASSSLPRPALLKANSAHSYWTAFQILQTALPAHFDCSGDNYLVNKSEILNIDFSTSSVAAVMNDIATSVFFEKGGEDVLKFFDSLQPLLMENLFSEGFSVGLEDFYMSRTSIQDIQKNIQDSSDLLYHLRSTYNEFVEFQLQNRIRSVKVPVSHFILESSALGDLIDSKSDSAINKIVQQIGFLGLQLSDKGRFYSKTLVEDVASLCHSKYPSDIDYPSAEYGLVQSCFFHGLDPYEAIVHSIATREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVNIGSRPQHLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWELMKEILLCKVNFKNELIDRRVILYLNNCGCGRKYCRERAACLVKNQLKKVSLKDTAVEFMIEYNNQLSGLGSLINDAGLVGHIHLNEDMLRELNIGVHDILQKCQETINSFRRKKVGKKKFNIGYHFKNTVLFASEHCSFHHSCAEKRSDSPCLMFFLQATDDLETTTTLQYYADLICPVLLETIIKGDPRIGSANIIWIDPDTTTWIRSPNKSQKGEWALDIVLEKSVIKQSGDAWRTVLDSCLPVLHLIDTRRSIPYAIKQIQELLGVSCAFDQAVQRLSTAVTMVAKGVLKEHLILLANSMTCAGNFVGFNSSGYKALSRALNIQVPFTEATLFTPRKCFERAAEKCHMDSLASIVASCSWGKHVAVGTGVRFDVLWDTREVELTQEGGLDVFNFLHMVSTANVEEATTGALGAEVDDLMLVDEMADSSFSPELNSSFDRPVFEDLVEFDDKLGDLPEKSNWEKDSSFHTDSNGGKDWSVDKNVGTVAVPDVWSSWGTEKGKTQDSNSAEAQLDSKKSSVLDTSSAWGKNPAKENTTSTWGTTTASENDWCGRGVGEDDSATLSGKKSGVLNTSSAWATNTAREDAASAWGTNPAKENSTSTWGTTRANENDWCGREVGQDDSASLSVKKSSVLDTSSAWATNTAREDAASAWGKHPAKENTTSTWGTTTASENDWCGRGVGHDDSASLSGKKSSVLNTSSVWATNTAREDATSAWGKNPAKENTTSTWGTTTASENDWCGREAGKVEPVDLQPTKPQDDSASLSGWDSPTGDGNSGERNHQWGQHRGDQTKKNRFEGARNWVSSPGEWKNKNRPPKSPGMVNDNSTMGALYTVTRQRLDMFTSEEQDVLSNIEPVMRSLRRIMHQSGYNDGDPLSGDDQSFVLDNVFNYHPDKAAKMGCGIDHLTVNRHGSFQDSRCFFVVSTDGRTEDFSYRKCLDNYIREKFPDLAETFIGKYFSRRGNRERNPTLIQTPTLSQTSTPEQTENAEMQ >ONI22842 pep chromosome:Prunus_persica_NCBIv2:G2:20821811:20825370:1 gene:PRUPE_2G154300 transcript:ONI22842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPPLLLLQQSLTPISPTENLIQVKSPLPVHNSTLGARTPASSSSSLCYIRACAGKTTRRVGRGGRGNPDDYHGTLTALNSKGRFPRKSLGQHYMLDSEINEQLTAAANVGEGDVVLEIGPGTGSLTNVLISAGAFVLAIEKDPHMATLVSERFSETERFKVLKEDFVKCHIHSHMSSLLASIEPSSADSRHAKVVANIPFNISTDVVKRLLPMGDIFSEVVLLLQEETALRLVESSLRTSEYRPINIFVNFYSDPQFICKVPRTKFFPQPNVDAAVVKFKLKQPAHHPSVSSTKSFFSMVNSAFNGKRKMLRRSLQHICTPMEIENALGNVGRPATSRPEELSMDDFVKLHNLIVKV >ONI22843 pep chromosome:Prunus_persica_NCBIv2:G2:20821811:20825370:1 gene:PRUPE_2G154300 transcript:ONI22843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPPLLLLQQSLTPISPTENLIQVKSPLPVHNSTLGARTPASSSSSLCYIRACAGKTTRRVGRGGRGNPDDYHGTLTALNSKGRFPRKSLGQHYMLDSEINEQLTAAANVGEGDVVLEIGPGTGSLTNVLISAGAFVLAIEKDPHMATLVSERFSETERFKVLKEDFVKCHIHSHMSSLLASIEPSSADSRHAKVVANIPFNISTDVVKRLLPMGDIFSEVVLLLQEETALRLVESSLRTSEYRPINIFVNFYSDPQFICKVPRTKFFPQPNVNSAFNGKRKMLRRSLQHICTPMEIENALGNVGRPATSRPEELSMDDFVKLHNLIVKV >ONI20261 pep chromosome:Prunus_persica_NCBIv2:G2:491565:495683:1 gene:PRUPE_2G005000 transcript:ONI20261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGEAGSAKPNPTVAQICEECKANPSKYKCPGCSIRSCSLPCVKAHKLRTGCTGKRNQTNFVPLSQIDNNQLLSDYNLLEEVKRVSESAQRLRNKLCRYNYYRLPDHLKSLRGAASSRRTKLLFLPSGMSKREKNQTRYDRRKKCISWTIEWRFHSTDVVLTDHEVNENTKFCSIIENHLKPGPWNHQLRLFCEEQLDCLRLFIRKYPKSLDFGVVKNATPSFCKPELKTYGRNDPSAGGVPFKEEEIEEDNSYPKVFDLMKRETSSSLPLISSRSRCEKAPDDSLTKTLPATVATDNDSHSDSNAKEQGLFEDMDFDFDQGLIDTYSNLIAEINPDDFLDLEGELTKEELEERNLSSVRKFLLADDDIEEGEILE >ONI20260 pep chromosome:Prunus_persica_NCBIv2:G2:491565:495683:1 gene:PRUPE_2G005000 transcript:ONI20260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGEAGSAKPNPTVAQICEECKANPSKYKCPGCSIRSCSLPCVKAHKLRTGCTGKRNQTNFVPLSQIDNNQLLSDYNLLEEVKRVSESAQRLRNKLCRYNYYRLPDHLKSLRGAASSRRTKLLFLPSGMSKREKNQTRYDRRKKCISWTIEWRFHSTDVVLTDHEVNENTKFCSIIENHLKPGPWNHQLRLFCEEQLDCLRLFIRKYPKSLDFGVVKNATPSFCKPELKTYGRNDPSAGGVPFKEEEIEEDNSYPKVFDLMKRETSSSLPLISSRSRCEKAPDDSLTKTLPATVATDNDSHSDSNAKEQGLFEDMDFDFDQGLIDTYSNLIAEINPDDFLDLEGELTKEELEERNLSSVRKFLLADDDIEEGEILE >ONI20256 pep chromosome:Prunus_persica_NCBIv2:G2:491565:495683:1 gene:PRUPE_2G005000 transcript:ONI20256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGEAGSAKPNPTVAQICEECKANPSKYKCPGCSIRSCSLPCVKAHKLRTGCTGKRNQTNFVPLSQIDNNQLLSDYNLLEEVKRVSESAQRLRNKLCRYNYYRLPDHLKSLRGAASSRRTKLLFLPSGMSKREKNQTRYDRSQVANSRLYLKKKCISWTIEWRFHSTDVVLTDHEVNENTKFCSIIENHLKPGPWNHQLRLFCEEQLDCLRLFIRKYPKGARSPLCELDIRVPIRQQLTNLVILEYPVIYVFLPSQSLDFGVVKNATPSFCKPELKTYGRNDPSAGGVPFKEEEIEEDNSYPKVFDLMKRETSSSLPLISSRSRCEKAPDDSLTKTLPATVATDNDSHSDSNAKEQGLFEDMDFDFDQGLIDTYSNLIAEINPDDFLDLEGELTKEELEERNLSSVRKFLLADDDIEEGEILE >ONI20258 pep chromosome:Prunus_persica_NCBIv2:G2:491565:495683:1 gene:PRUPE_2G005000 transcript:ONI20258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGEAGSAKPNPTVAQICEECKANPSKYKCPGCSIRSCSLPCVKAHKLRTGCTGKRNQTNFVPLSQIDNNQLLSDYNLLEEVKRVSESAQRLRNKLCRYNYYRLPDHLKSLRGAASSRRTKLLFLPSGMSKREKNQTRYDRSQVANSRLYLKKKCISWTIEWRFHSTDVVLTDHEVNENTKFCSIIENHLKPGPWNHQLRLFCEEQLDCLRLFIRKYPKSLDFGVVKNATPSFCKPELKTYGRNDPSAGGVPFKEEEIEEDNSYPKVFDLMKRETSSSLPLISSRSRCEKAPDDSLTKTLPATVATDNDSHSDSNAKEQGLFEDMDFDFDQGLIDTYSNLIAEINPDDFLDLEGELTKEELEERNLSSVRKFLLADDDIEEGEILE >ONI20257 pep chromosome:Prunus_persica_NCBIv2:G2:491565:495683:1 gene:PRUPE_2G005000 transcript:ONI20257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGEAGSAKPNPTVAQICEECKANPSKYKCPGCSIRSCSLPCVKAHKLRTGCTGKRNQTNFVPLSQIDNNQLLSDYNLLEEVKRVSESAQRLRNKLCRYNYYRLPDHLKSLRGAASSRRTKLLFLPSGMSKREKNQTRYDRSQVANSRLYLKKKCISWTIEWRFHSTDVVLTDHEVNENTKFCSIIENHLKPGPWNHQLRLFCEEQLDCLRLFIRKYPKSLDFGVVKNATPSFCKPELKTYGRNDPSAGGVPFKEEEIEEDNSYPKVFDLMKRETSSSLPLISSRSRCEKAPDDSLTKTLPATVATDNDSHSDSNAKEQGLFEDMDFDFDQGLIDTYSNLIAEINPDDFLDLEGELTKEELEERNLSSVRKFLLADDDIEEGEILE >ONI20259 pep chromosome:Prunus_persica_NCBIv2:G2:491565:495683:1 gene:PRUPE_2G005000 transcript:ONI20259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGEAGSAKPNPTVAQICEECKANPSKYKCPGCSIRSCSLPCVKAHKLRTGCTGKRNQTNFVPLSQIDNNQLLSDYNLLEEVKRVSESAQRLRNKLCRYNYYRLPDHLKSLRGAASSRRTKLLFLPSGMSKREKNQTRYDRRKKCISWTIEWRFHSTDVVLTDHEVNENTKFCSIIENHLKPGPWNHQLRLFCEEQLDCLRLFIRKYPKGARSPLCELDIRVPIRQQLTNLVILEYPVIYVFLPSQSLDFGVVKNATPSFCKPELKTYGRNDPSAGGVPFKEEEIEEDNSYPKVFDLMKRETSSSLPLISSRSRCEKAPDDSLTKTLPATVATDNDSHSDSNAKEQGLFEDMDFDFDQGLIDTYSNLIAEINPDDFLDLEGELTKEELEERNLSSVRKFLLADDDIEEGEILE >ONI24085 pep chromosome:Prunus_persica_NCBIv2:G2:25042787:25046501:1 gene:PRUPE_2G222900 transcript:ONI24085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLVMVQYVPRVLRIYLSCKKARKPFKGHIPLWLKGLLNLFLYVLASHVLGAFWYFFAAQQMISCWEHACQYGNGCGSTTFNCHDHQTMKNITVLNNSCPIDPPDTTLFDFGIYLNVLQSGALWSTDYPLKFLNSFCWGLRNLSSLASNLQPSFYTWEIAFVAFISIIGLILFVYLIGNLQTYVLIDTERLESHRRENKLKRKIKENDRKVESWLSGHGIPLSEKQKIMEEIQRELVENSDFDVVREILSILPREYIKSCSPLSRLRKVPLLKDMDEGVLVEISEKLHPKKYTPGQIIINKDETLQMMLFIVDGCVTIDKIDYSQLEHLRPGDFYGEELLVSPLWTSSGDAKPINQSVQAIDDVQALVLSATDMATLSFSSRRHINELRMVVTILQKVPKLQTMDKQVLKAMSHHLSLVSYKRDDYIVRENQPVRRMFFVTRGEVTKNENPLEENFIGEELLEWVLDKSFPTIVPLSTCTVRVVSNDAEVLILKARMLKSVVSKFMKHFSNFASPSDIRLTWLKKVEIFQQMDEQVLEAISKCLKHMNFNVPKRHILQEKKPLKMMFFVIRGVVLIESDSAMEIGSFYGEELVHWVTTWVHKSFPAKLPLSPGSALCSVRGGPVEILALKADDLKSVVSEFRSKFSKETTLPTDSDQPRELTILKNVEILKTMNEEVLKEVCKHLIKKTYKDEYIIMKDKQMEMMFFIVSGVVSVTNENSKHYLREGERPNHSGDELIQRWVRSKSAGVSAELPTSPSSFWAIGEVEVLILKDEDLASVQLGDRIGS >ONI25930 pep chromosome:Prunus_persica_NCBIv2:G2:30166465:30167650:-1 gene:PRUPE_2G327600 transcript:ONI25930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGQVLHELEVNVSASQAWDLYGSLELAKLVEQALPNLIDKVELIQGDGGVGTILKVTFASGSGVHKEKFTKVDHEKRVKEVEVIEGGLVELGLSLYRVRFEIIEKVEADYSSCCCIIKTTIEFDVKEDAAPNTSSLVSIDPFKNIAEVAKTHLLNKQSLPLPRPS >ONI22339 pep chromosome:Prunus_persica_NCBIv2:G2:17927200:17929138:1 gene:PRUPE_2G122600 transcript:ONI22339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISFETNSSPTPSPVPPYIHAFNFHQTQTLLSLLLLLIIIILKMSKLESNGANGSSKQFHAPSRHAPTPGKATVLALGKAFPSQLIPQDCLVEGYIRDTKCVDVAIKEKLERLCKTTTVKTRYTVMSKEILDKYPELATEGSATIRQRLEIANPAVVQMALEASLSCIKEWGRPVEDITHVVYVSSSEIRLPGGDLYLASELGLRNDVGRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPSKARPYDLVGAALFGDGAAAVIVGSNPKPGQETPFMELNYAVQQFLPDTHNVIDGRLSEEGINFKLGRDLPQKIDENIEEFCKKLMAKASLKDFNELFWAVHPGGPAILNKLESTLKLGSDKLECSRRALMDYGNVSSNTIFYVMENMREELKNKKEEREEWGLALAFGPGITFEGILMRSL >ONI20190 pep chromosome:Prunus_persica_NCBIv2:G2:290389:291090:-1 gene:PRUPE_2G001900 transcript:ONI20190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGYYSSSNSQHDHHHHPTFPLHLCFFLLVLLTFVGFSWYINYESVLESMFDQVKLVLMVSPLLLLLVVHWLSNDDRRRVHSLIPLPEKDSLHRAGGTPWGVGFLLVFLFFMISYQSYFQERWFPLLSR >ONI22132 pep chromosome:Prunus_persica_NCBIv2:G2:16751249:16756824:-1 gene:PRUPE_2G109100 transcript:ONI22132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSTRREEDSVLVQQNLFVRTLTLNRPRQLNALNFEMISRLLELFLAYEKDDNVKLVIVKGKGRAFCAGGDVAAVVRQINEGNWRLGAYFFQKEFTLNYLLATYSKPQVSILNGIVMGGGAGASIHGRFRVATENSVFAMPETALGLFPDVGASYYLSRLPGFFGEYVGLTGTRLDGAEMLVCGLATHFVPSTPGAVLLKV >ONI22129 pep chromosome:Prunus_persica_NCBIv2:G2:16747368:16756824:-1 gene:PRUPE_2G109100 transcript:ONI22129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSTRREEDSVLVQQNLFVRTLTLNRPRQLNALNFEMISRLLELFLAYEKDDNVKLVIVKGKGRAFCAGGDVAAVVRQINEGNWRLGAYFFQKEFTLNYLLATYSKPQVSILNGIVMGGGAGASIHGRFRVATENSVFAMPETALGLFPDVGASYYLSRLPGFFGEYVGLTGTRLDGAEMLVCGLATHFVPSTRLSYLEEALCKVDSSDFNIIQAIIDKYSQNPTLKEKSAYYRMDVIDKCFSRRTVEEILSALEKEATNSADAWLTSTIQALKKASPMSLKISLKSIREGRLQGVGQCLVREYRMVCHVLKGEVSKDFREGCRAILLDKDKNPKWEPSKLELVTNHMVEQYFSKLDDEGWEELELPARSNLPVTAIAKL >ONI22130 pep chromosome:Prunus_persica_NCBIv2:G2:16748114:16756830:-1 gene:PRUPE_2G109100 transcript:ONI22130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSTRREEDSVLVQQNLFVRTLTLNRPRQLNALNFEMISRLLELFLAYEKDDNVKLVIVKGKGRAFCAGGDVAAVVRQINEGNWRLGAYFFQKEFTLNYLLATYSKPQVSILNGIVMGGGAGASIHGRFRVATENSVFAMPETALGLFPDVGASYYLSRLPGFFGEYVGLTGTRLDGAEMLVCGLATHFVPSTRLSYLEEALCKVDSSDFNIIQAIIDKYSQNPTLKEKSAYYRMDVIDKCFSRRTVEEILSALEKEATNSADAWLTSTIQALKKASPMSLKISLKSIREGRLQGVGQCLVREYRMVCHVLKGEVSKDFREGCRAILLDKDKNPKWEPSKLELVTNHMVEQYFSKLDDEGWEELELPARSNLPVTAIAKL >ONI22131 pep chromosome:Prunus_persica_NCBIv2:G2:16750254:16756831:-1 gene:PRUPE_2G109100 transcript:ONI22131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSTRREEDSVLVQQNLFVRTLTLNRPRQLNALNFEMISRLLELFLAYEKDDNVKLVIVKGKGRAFCAGGDVAAVVRQINEGNWRLGAYFFQKEFTLNYLLATYSKPQVSILNGIVMGGGAGASIHGRFRVATENSVFAMPETALGLFPDVGASYYLSRLPGFFGEYVGLTGTRLDGAEMLVCGLATHFVPSTRLSYLEEALCKVDSSDFNIIQAIIDKYSQNPTLKEKSAYYRRIASGTQDFIHHFWRAPAQVTKLH >ONI24279 pep chromosome:Prunus_persica_NCBIv2:G2:25587953:25588594:-1 gene:PRUPE_2G232200 transcript:ONI24279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONI23143 pep chromosome:Prunus_persica_NCBIv2:G2:21871815:21872930:-1 gene:PRUPE_2G171900 transcript:ONI23143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLPIFSSLFFPLELFRRELFFKDHAFVTLLEYLGFNTVFVCALSCKRLIIMLYVPSPWCNHN >ONI20162 pep chromosome:Prunus_persica_NCBIv2:G2:117193:118645:-1 gene:PRUPE_2G000400 transcript:ONI20162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDLTAVSFSKKTDTVINGFLPKYKNLTTSQNDSLLLYHGIPVYQSFQMLNSNNGLVNKLATDKANKFDFTIQNDDDVVSLEIEVVTAKITRTLIDEKPLVIYKVNKVLQLTELFKVKTAPVLKEVAEGTDDFTDVQGSNYSEDQTTSSPGRKDDKSNGYPLKPIFSFEIPFLLPVDRLTRTGTVRTFQAIDARLKEC >ONI22443 pep chromosome:Prunus_persica_NCBIv2:G2:18646898:18647717:-1 gene:PRUPE_2G129300 transcript:ONI22443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGEISLESDFALLESIRQHLLDDNFDTVLEESSPTASGSPPPMFCRSFSFSALFSEESWSDMPLKVDDNNDVVVYSGSTDSRWSPSSDHSHIDVVDTTPVKPEPQEVVLERIEVARETHAPSSGRHFRGVRRRPWGKYAAEIRDPKKNGARVWLGTYETAEDAALAYDKAAFKMRGSKAKLNFPHLIGSEGCEPVRITPKRRAPESSSSSSSSDSGSPRPKRRNTGVGSVAGAESGSESLVEMVETSQLAVVDQWLNDLNTTAFPMPHEL >ONI22788 pep chromosome:Prunus_persica_NCBIv2:G2:20615619:20621106:-1 gene:PRUPE_2G151300 transcript:ONI22788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNDLASPLISSPPSDHPHLILTVQDDTDTDHNNHNGNHGSNSTHHHFRNPYAFLGSDGFTVPGSTTADPFRNHTLEIRGLYEWLKIGICLPIALARLVLFGASLLIGFVATKLALQGWKDKKNPMPKWRCRIMWITRVCTRCILFSFGYHWIRRKGKPAPREIAPIVVSNHVSFIEPIFYFYELFPTIVASESHDSLPLVGTIIRAMQVIYVNRFSASSRKHAVSEIKRKASCDRFPRVLLFPEGTTTNGRFLISFELGAFIPGFPIQPVTVRYPHVHFDQSWGHISLAKLMFRMFTQFHNFMEVEYLPVVSPLDNKKESAVRFSERTCHAMATSLNVVQTSHSYGDLMLLMKATQSKSKLERPASYMVEMATVKSLLHISSMEAVDFLDKFLSMNPDPRYLLSLMLKKVDQSRLSSSCLGLCMS >ONI22786 pep chromosome:Prunus_persica_NCBIv2:G2:20614697:20621213:-1 gene:PRUPE_2G151300 transcript:ONI22786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNDLASPLISSPPSDHPHLILTVQDDTDTDHNNHNGNHGSNSTHHHFRNPYAFLGSDGFTVPGSTTADPFRNHTLEIRGLYEWLKIGICLPIALARLVLFGASLLIGFVATKLALQGWKDKKNPMPKWRCRIMWITRVCTRCILFSFGYHWIRRKGKPAPREIAPIVVSNHVSFIEPIFYFYELFPTIVASESHDSLPLVGTIIRAMQVIYVNRFSASSRKHAVSEIKRKASCDRFPRVLLFPEGTTTNGRFLISFELGAFIPGFPIQPVTVRYPHVHFDQSWGHISLAKLMFRMFTQFHNFMEVEYLPVVSPLDNKKESAVRFSERIFAFIDVEKSGSITFKQFLFGSVHVLKQPLFRRACELVFSEYVSGENDYISEQENQFGESVRPAIPDLNEDEVHELFNLFDADGDGRISKDEFWTCLKRNPLLIALFSPCLLNKDISQDGNRLEEIV >ONI22787 pep chromosome:Prunus_persica_NCBIv2:G2:20615077:20621106:-1 gene:PRUPE_2G151300 transcript:ONI22787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNDLASPLISSPPSDHPHLILTVQDDTDTDHNNHNGNHGSNSTHHHFRNPYAFLGSDGFTVPGSTTADPFRNHTLEIRGLYEWLKIGICLPIALARLVLFGASLLIGFVATKLALQGWKDKKNPMPKWRCRIMWITRVCTRCILFSFGYHWIRRKGKPAPREIAPIVVSNHVSFIEPIFYFYELFPTIVASESHDSLPLVGTIIRAMQVIYVNRFSASSRKHAVSEIKRKASCDRFPRVLLFPEGTTTNGRFLISFELGAFIPGFPIQPVTVRYPHVHFDQSWGHISLAKLMFRMFTQFHNFMEVEYLPVVSPLDNKKESAVRFSERTCHAMATSLNVVQTSHSYGDLMLLMKATQSKSKLERPASYMVEMATVKSLLHISSMEAVDFLDKFLSMNPDPRGHVNYSGFLRVLRLKACTFSEEIFAFIDVEKSGSITFKQFLFGSVHVLKQPLFRRACELVFSEYVSGENDYISEQENQFGESVRPAIPDLNEDEVHELFNLFDADGDGRISKDEFWTCLKRNPLLIALFSPCLLNKDISQDGNRLEEIV >ONI20606 pep chromosome:Prunus_persica_NCBIv2:G2:2477878:2479519:1 gene:PRUPE_2G024900 transcript:ONI20606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEFGSFIKVWTSVFVCIFYCYSIGKIVPKGTTRLVSALPVVCLFFYLPLNLSSIHLGGGTSFFISWLGSFKLLLFAFGKGPLASDPSISIGRFLAIACLPIEIQENPSPSKSHLNGQNRKSPPQNPQIETNPSPKNTIHIVIKGFLLAILIGVLNYSQQIHPKLILVLHCLYIYLLLETQLIIVAALAWGLLGLDLKPHFNEPYLSTSLQNFWGRRWNLVVTSILRQSVYEPTLNFSTCVIGRRWASLPAVFASFLVSGLMHELIYYYMGRVRPTWEVTWFFVLHGFCLMVEIVLKKALRGGCRLPRLISVVLTVGFVVATCFRLFFPQFLRCKADVRMLQEYRAFVLFLKNVVGLFSYK >ONI23549 pep chromosome:Prunus_persica_NCBIv2:G2:23259153:23260534:-1 gene:PRUPE_2G194400 transcript:ONI23549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLDQIEYSSPTAEDDHEGFSCMSSLEAAGSVKRKNSKNKKRFSDEQIRSLESLFESESRLEPRKKMQLAKELGLQPRQVAIWFQNKRARWKSKQLERDYSILRANYNNLASKFEALKKEKQALVIQVQKLNDLMRERPEEERQSCGESDNGDAARSESDQVKLNHLSLEKSEQGGRGVLSDDDSSIKAEYFGLEDEPNLVSLVESADGSLTSTEDWGRLNSEGFFDESISSDYQWWDFWS >ONI23981 pep chromosome:Prunus_persica_NCBIv2:G2:24716603:24719139:-1 gene:PRUPE_2G216900 transcript:ONI23981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDANAIDSVFAKLDQMEVDNDIHTESSVNSPNNYAKPESFKHRVDDISIKVEKLRQRVDAIELSSTAESSSAVKHKEKNIQSILKKQQLDIQIIKKKQQQDAWEAAAEKRMQKLMHRFGSIFRQIRKHQYAWVFACPVDAERLGLHDYYKVIEKPMDFRTIDNRMKANGYKNVREMCADMRLVFENAMKYNDERHDVHVIAKILLEKFEEKWLKLLPEVVIEEERWLGLGDACSSNVKM >ONI23976 pep chromosome:Prunus_persica_NCBIv2:G2:24716649:24718993:-1 gene:PRUPE_2G216900 transcript:ONI23976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDANAIDSVFAKLDQMEVDNDIHTESSVNSPNNYAKPESFKHRVDDISIKVEKLRQRVDAIELSSTAESSSAVKHKEKNIQSILKKQQLDIQIIKKKQQQDAWEAAAEKRMQKLMHRFGSIFRQIRKHQYAWVFACPVDAERLGLHDYYKERWLGLGDACSSNVKM >ONI23980 pep chromosome:Prunus_persica_NCBIv2:G2:24716638:24719139:-1 gene:PRUPE_2G216900 transcript:ONI23980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDANAIDSVFAKLDQMEVDNDIHTESSVNSPNNYAKPESFKHRVDDISIKVEKLRQRVDAIELSSTAESSSAVKHKEKNIQSILKKQQLDIQIIKKKQQQDAWEAAAEKRMQKLMHRFGSIFRQIRKHQYAWVFACPVDAERLGLHDYYKVIEKPMDFRTIDNRMKANGYKNVREMCADMRLVFENAMKYNDERHDVHVIAKILLEKFEEKWLKLLPEVVIEEERWLGLGDACSSNVKM >ONI23978 pep chromosome:Prunus_persica_NCBIv2:G2:24716649:24718993:-1 gene:PRUPE_2G216900 transcript:ONI23978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDANAIDSVFAKLDQMEVDNDIHTESSVNSPNNYAKPESFKHRVDDISIKVEKLRQRVDAIELSSTAESSSAVKHKEKNIQSILKKQQLDIQIIKKKQQQDAWEAAAEKRMQKLMHRFGSIFRQIRKHQYAWVFACPVDAERLGLHDYYKERWLGLGDACSSNVKM >ONI23977 pep chromosome:Prunus_persica_NCBIv2:G2:24716864:24718556:-1 gene:PRUPE_2G216900 transcript:ONI23977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDANAIDSVFAKLDQMEVDNDIHTESSVNSPNNYAKPESFKHRVDDISIKVEKLRQRVDAIELSSTAESSSAVKHKEKNIQSILKKQQLDIQIIKKKQQQDAWEAAAEKRMQKLMHRFGSIFRQIRKHQYAWVFACPVDAERLGLHDYYKERWLGLGDACSSNVKM >ONI23979 pep chromosome:Prunus_persica_NCBIv2:G2:24716864:24718556:-1 gene:PRUPE_2G216900 transcript:ONI23979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDANAIDSVFAKLDQMEVDNDIHTESSVNSPNNYAKPESFKHRVDDISIKVEKLRQRVDAIELSSTAESSSAVKHKEKNIQSILKKQQLDIQIIKKKQQQDAWEAAAEKRMQKLMHRFGSIFRQIRKHQYAWVFACPVDAERLGLHDYYKVIEKPMDFRTIDNRMKANGYKNVREMCADMRLVFENAMKYNDERHDVHVIAKILLEKFEEKWLKLLPEVVIEEERWLGLGDACSSNVKM >ONI22150 pep chromosome:Prunus_persica_NCBIv2:G2:16891582:16894818:1 gene:PRUPE_2G110500 transcript:ONI22150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRARLPSLIRSLLKEAQPKPTCQQSLPSLRRAFSLYDQINLIDNVPNDQLRFQRYTDSGFTVNGVDYEGSLLCVGNMLMSWAPKKFSEITPDSLSIFQTVRPVPEILILGCGRYIEPVSPELQRFIRSTGMKLEAIDSKNAVSTYNILNEEGRIVAAALLPYGISS >ONI22149 pep chromosome:Prunus_persica_NCBIv2:G2:16891681:16894808:1 gene:PRUPE_2G110500 transcript:ONI22149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRARLPSLIRSLLKEAQPKPTCQQSLPSLRRAFSLYDQINLIDNVPNDQLRFQRYTDSGFTVNGVDYEGSLLCVGNMLMSWAPKKFSEITPDSLSIFQTVRPVPEILILGCGRYIEPVSPELQRFIRSTGMKLEAIDSKNAVSTYNILNEEGRIVAAALLPYGISS >ONI25812 pep chromosome:Prunus_persica_NCBIv2:G2:29892852:29895817:-1 gene:PRUPE_2G321700 transcript:ONI25812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERVLDYILVPAGLLVMVAYHLWLLYRIIMQPNNTIIGINSINRRFWVYAMMEDAPKNGVLAVQTLRNNIMASTLLASTAIMLSSLIAVLMTGGSKDRKAFFVFGNRSELAFSIKFFAILVCFLVAFLFNVQSIRYYSHASILINAPFKKMSPHHKHHYLTAEYVANTVNRGSYFWSLGLRAFYFSFPLFLWIFGPIPMFVSCFVLVIMLYFLDVTFQFGWVVGVADEEEHNSLNKDEEQGISLPHR >ONI20833 pep chromosome:Prunus_persica_NCBIv2:G2:3894787:3896821:1 gene:PRUPE_2G036000 transcript:ONI20833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPERKDVDSYTIRGNNKVDDWSNVKVRVRSYYRPEESIGGRRNADTIEGKCRVHSLKNYAKLENVGAENYYCRFEYKVDAGELAPDIVAVHCKCEMPYNPDELMPQSQNAFSASPEADAKVLPLPFLEASVTFTEDFQDLAGQWAAIEKNYKEAEVYKNTFSTSVRDLEQERKACSLKYQQLQQVKTEIVARLQKQLAS >ONI22692 pep chromosome:Prunus_persica_NCBIv2:G2:20192414:20194657:-1 gene:PRUPE_2G145100 transcript:ONI22692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHQSLEEAEEALGRALSVAEKLWFQYSAQKPDFILHCHNILFVMLFYTLAPLPFVFIGLGWFKNMDKFKIQPKVNDCFSNMFKCYKHVMRTFVLVVGPLQVISYPTIQWIGIRTSLPLPSLSEVFWQIVVYFIIEDFSNYWIHRMLHTKWAYKKIHRVHHEYTAPIGLAAPYAHWAEILILGLPSFLGPALVPGHIVTYWLWFIFRQLEAIETHSGYLLPWTPTKYIPFYGGAEYHDYHHFVGEQSKSNFASIFTYCDYIYGTNKGYRSHKQVLEKVKSL >ONI24137 pep chromosome:Prunus_persica_NCBIv2:G2:25261231:25262613:-1 gene:PRUPE_2G225900 transcript:ONI24137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMCLLTLYYGFFCLCKLVLQKRDQSCYMLAYECYKAKEDMKVNSDSCAKIVMQNKNLGLEELRFLLKTIVNSGIGEETSCPRNIIECRSSTIEDELHEMDGIIFDTLDKLFARSTSISPSQIDILVVNVSMFSPAPSLTSRIINRYKMREDIKNFNLSGMGCSASLIAIDVVQNLFKSYKNANAIVVSTESLAPNWYCGKEKSMMLTNCLFRSGGCSMLFTNNRDLKHQAMLKLKHLVRTHIGSSNEAYDCCIQAEDESGYQGFRLTKYLTKAAALAFTMNLQILVPKMLPLREILRYLLASLLHKLSNKSQNLEADGVKLNLKTGIEHFCIHPGGRAIIDGIGKSLGLSDYDVEPSRMALYRFGNTSAAGFWYALGYMEAKQRLKKGNRILMSGFGAGFKCNNIVWEVLKDLEDANVWKDCIDSYPPETLVNPFMEKYGWLNDEYLSFLRLDFSQIFA >ONI25281 pep chromosome:Prunus_persica_NCBIv2:G2:28560330:28561880:-1 gene:PRUPE_2G293100 transcript:ONI25281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWEDGKTLGRWEDLSIDILWKIFESFNDVSDLSSAVEKVHCSAVCSAWRSALCDPQLWHTLDLSMIKHNFIKTKDEPYVYVCSQSDLTLSRVLKVSLSLSRQNITTLIFNLQLYVPDDLFTYTAERCPKLRRLVMPSWNKIKIDGISKGIGCWKHLESLTIGNIEKYQYLTLLQVIYYNCNNFRELKLMGTCDTYSFASAMVRYLPKLEVLSLRCSMLSKDALMVILESLPNLEVLNISHCVVLDETHQPHQPFRIVEELDASIVHKASRLKRFITCMRMPTAMRVQEDSCSMCQRTRNDEGIIRWHRYEQGMWKADEVASLAL >ONI21725 pep chromosome:Prunus_persica_NCBIv2:G2:13342592:13348922:1 gene:PRUPE_2G084200 transcript:ONI21725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSLPLSSVESSKHPEFATESTRRSTRRLTESRRSRRRKSGVVLLRNLSTEMPSVEMRRTTRVFGMGMVKGGVDGARVLRSGRRLWPESSESKLERARNGDEDWLKLMKSHAGESVVGLNHKKWAGANQVGSPRRNTPVLKTSLVKKPQSNELLADLLKEHKRYGIVYTRKRKRASASFLGNVEKENGSDDRMYGRRFARRQRMKKSKELDSHPGFVCPEVLCFSVESSWAQGYWAGRFLYSVLVYMTRASLGLTEFSEFLALEPIGSIFASYGIQFSRDRSCTRRSGVCKLFGAEQFIPLFSVDFSAVPGCFMFMQTSMHLRFRCHLTVNNLIDGHENGEFIDQGDDDDDGEKVDFIENRHALHSSVRVPKLACRSTQYRNGLTSRGIQKRRSSLRRRRSRNPSLVSLRKPNGALVSELISIRKNGLPFSSVESKHMLRKSVSLSLAGNLKAESLTIEGSKRDLDSTSCSANILFTELDKCYREDGATVMLEMSSSGEWLLVVKKNGLTRYTHKAEKVMRPCSKNRITQAIIWSADSNGDNNWKLEFPNRCDWAIFKDLYKECSDRVVPAPAIKFIPVPGVREVPGYADSHSTLFDRPESYIYLNDDEVSRAMAKRTANYDMDSDDEEWLKKFNSDFFAENELHDHVSEDNFELMVDAFEKAFYCRPYDFADENAAANLCLDMGRREVVEAIYSYWMNKRKQKRSSSLLRVFQGHQSKRALLDPKPVLRKRRSFKRQPSQFGRGKQPSFLQAMAAEQDALQEQNAIHKVEEAKAEADRSVELAIRKRKRAQLLMQNADLVTYKATMAFRIAEAAQVLGSPDAAAAYVLD >ONI21726 pep chromosome:Prunus_persica_NCBIv2:G2:13342592:13347770:1 gene:PRUPE_2G084200 transcript:ONI21726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPSLPLSSVESSKHPEFATESTRRSTRRLTESRRSRRRKSGVVLLRNLSTEMPSVEMRRTTRVFGMGMVKGGVDGARVLRSGRRLWPESSESKLERARNGDEDWLKLMKSHAGESVVGLNHKKWAGANQVGSPRRNTPVLKTSLVKKPQSNELLADLLKEHKRYGIVYTRKRKRASASFLGNVEKENGSDDRMYGRRFARRQRMKKSKELDSHPGFVCPEVLCFSVESSWAQGYWAGRFLYSVLVYMTRASLGLTEFSEFLALEPIGSIFASYGIQFSRDRSCTRRSGVCKLFGAEQFIPLFSVDFSAVPGCFMFMQTSMHLRFRCHLTVNNLIDGHENGEFIDQGDDDDDGEKVDFIENRHALHSSVRVPKLACRSTQYRNGLTSRGIQKRRSSLRRRRSRNPSLVSLRKPNGALVSELISIRKNGLPFSSVESKHMLRKSVSLSLAGNLKAESLTIEGSKRDLDSTSCSANILFTELDKCYREDGATVMLEMSSSGEWLLVVKKNGLTRYTHKAEKVMRPCSKNRITQAIIWSADSNGDNNWKLEFPNRCDWAIFKDLYKECSDRVVPAPAIKFIPVPGVREVPGYADSHSTLFDRPESYIYLNDDEVSRAMAKRTANYDMDSDDEEWLKKFNSDFFAENELHDHVSEDNFELMVDAFEKAFYCRPYDFADENAAANLCLDMGRREVVEAIYSYWMNKRKQKRSSSLLRVFQGHQSKRALLDPKPVLRKRRSFKRQPSQFGRGKQPSFLQGTFSIFYHEILVVQ >ONI23093 pep chromosome:Prunus_persica_NCBIv2:G2:21714949:21718761:1 gene:PRUPE_2G169800 transcript:ONI23093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICCGIPILESVYCLACARWVWLKCLYTAGRESENWGLATAEEFEPVPRFCRLILALYEEDLRNPLWAPLGGYGINPDWVILRKDYEETFGHVPPYMIYLDHDHSDIVLVVRGLNLAKESDYAVMLDNKLGQTKFDGGYVHNGLLKAAEWMFDAECEVLRELVMKYANYTLTFTGHSLGAGVVALLTLLVLQDLKKLGNIERKKIRCYATAPSRCMSLNLAVRYADVINSIVLQDDFLPRTTTALDNLFKSLFCLPCLLCIMCLKETCTLEENMLTDPRRLYAPGRLYHIIERKPFRFGRFPPVVKTAVPVDGRFEHIVLSCNITSDHAIIWIEREAQKAFDLMLEEDRTMEVPAKQRMERRKSLVREHSLEYEAALRRAIALDIHHAYSDSPYGTFTFTETEEGENSGRSNGETPCESLKRRTESWDDFVERLFDVDESGDMVFKK >ONI23091 pep chromosome:Prunus_persica_NCBIv2:G2:21714887:21718761:1 gene:PRUPE_2G169800 transcript:ONI23091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICCGIPILESVYCLACARWVWLKCLYTAGRESENWGLATAEEFEPVPRFCRLILALYEEDLRNPLWAPLGGYGINPDWVILRKDYEETFGHVPPYMIYLDHDHSDIVLVVRGLNLAKESDYAVMLDNKLGQTKFDGGYVHNGLLKAAEWMFDAECEVLRELVMKYANYTLTFTGHSLGAGVVALLTLLVLQDLKKLGNIERKKIRCYATAPSRCMSLNLAVRYADVINSIVLQDDFLPRTTTALDNLFKSLFCLPCLLCIMCLKETCTLEENMLTDPRRLYAPGRLYHIIERKPFRFGRFPPVVKTAVPVDGRFEHIVLSCNITSDHAIIWIEREAQKAFDLMLEEDRTMEVPAKQRMERRKSLVREHSLEYEAALRRAIALDIHHAYSDSPYGTFTFTETEEGENSGRSNGETPCESLKRRTESWDDFVERLFDVDESGDMVFKK >ONI23092 pep chromosome:Prunus_persica_NCBIv2:G2:21714949:21718761:1 gene:PRUPE_2G169800 transcript:ONI23092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICCGIPILESVYCLACARWVWLKCLYTAGRESENWGLATAEEFEPVPRFCRLILALYEEDLRNPLWAPLGGYGINPDWVILRKDYEETFGHVPPYMIYLDHDHSDIVLVVRGLNLAKESDYAVMLDNKLGQTKFDGGYVHNGLLKAAEWMFDAECEVLRELVMKYANYTLTFTGHSLGAGVVALLTLLVLQDLKKLGNIERKKIRCYATAPSRCMSLNLAVRYADVINSIVLQDDFLPRTTTALDNLFKSLFCLPCLLCIMCLKETCTLEENMLTDPRRLYAPGRLYHIIERKPFRFGRFPPVVKTAVPVDGRFEHIVLSCNITSDHAIIWIEREAQKAFDLMLEEDRTMEVPAKQRMERRKSLVREHSLEYEAALRRAIALDIHHAYSDSPYGTFTFTETEEGENSGRSNGETPCESLKRRTESWDDFVERLFDVDESGDMVFKK >ONI23039 pep chromosome:Prunus_persica_NCBIv2:G2:21517244:21518214:1 gene:PRUPE_2G166200 transcript:ONI23039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGQIDPVRAPYPGLVYDATELDYIKFLCAQGYNTNYPDPLLRKEQSSFIGEGESPSLVVFRCGTPLALLRVVICGDASLAKLLFSDNLNYPCFALSSSKPNSISGVFNRTATNVGSPRSTYKAKVIGATTGLEIKVNPSILSFLSLGQKLSFQVTGASLLWDDGTFQVRSPIVVYAIYY >ONI20967 pep chromosome:Prunus_persica_NCBIv2:G2:4765948:4769352:-1 gene:PRUPE_2G043300 transcript:ONI20967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGSKPLQIWDKEVVDGHIKRPQDEDIQSNVLEIIGTNIQSTYITCPADPTATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAVTRVKPYICTMPLRMDEGWNQIQFNLADFTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKA >ONI20968 pep chromosome:Prunus_persica_NCBIv2:G2:4766135:4769205:-1 gene:PRUPE_2G043300 transcript:ONI20968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGSKPLQIWDKEVVDGHIKRPQDEDIQSNVLEIIGTNIQSTYITCPADPTATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAVTRVKPYICTMPLRMDEGWNQIQFNLADFTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKA >ONI22335 pep chromosome:Prunus_persica_NCBIv2:G2:17915426:17922406:1 gene:PRUPE_2G122400 transcript:ONI22335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYEQRLKAAAKIILADDARAGDERVSAEELGVTASLKPHQVEGVSWLIRRYNLGVNVILGDEMGLGKTLQAVSLLSYLKVHKLSPGPFLVLCPLSVTDGWVSEMEKFAPKLKVLRYVGDKEYRRILRRTIYEHGKEESSSSSDVLSLPFDVLLTTYDMVLADQDFLSQIPWSYAVIDEAQRLKNPNSVLYNVLRERYLIPRRLLMTGTPIQNNITELWALMHFCMPSVYGKLDEFLATFKEAGDPSSGHDTAKVKEQLKSLRCILGAFMIRRTKSKLIESGDLLLPPLTEITVLAPLVGLQKKVYMSILRKELPKLLAVSSGGPNHQSLQNIVIQLRKACSHPYLFPGIEPEPYEEGEHLVQASGKLMILDQLLQKLHGYGHRVLLFAQMTHTLDILQDFLELRKYSYERLDGSIRAEERFAAIRSFSTQSTKKSLKSQPDQNGAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNDVLSINLVTGCTVEEVIMRRAERKLRLSHNVIGDDVMDQEGKEEAGVEPSDLRSIIFGLHLFDPDEINNDEFGMSELNAMAEKVISVRDKQIANEDERKFEVNPTDVLHGHDLVAGESNTSLSFNSSFDEASYLSWVEKFKEVSQESGNENMDLRSRRNFLEEKRLKREAAKKKAEEEKLSKWEDLGYHSLSVEDPVSPVDSDMMSDSGSVQFVYGDCTQPSKVCPSEPTIIFSCVDDSGHWGHGGMFDALAKLSSSIPDAYLQASEFDDLHLGDLHLIRVNEDANEQKMDCNLPQWVALAVVQSYNPRRKVPRSKISIPDLERCLSKASFSAAQNSASIHMPRIGYQDGSDRAEWYTVERLLRKYASLYSIKIYVYYYKRSA >ONI22337 pep chromosome:Prunus_persica_NCBIv2:G2:17916857:17922406:1 gene:PRUPE_2G122400 transcript:ONI22337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTPIQNNITELWALMHFCMPSVYGKLDEFLATFKEAGDPSSGHDTAKVKEQLKSLRCILGAFMIRRTKSKLIESGDLLLPPLTEITVLAPLVGLQKKVYMSILRKELPKLLAVSSGGPNHQSLQNIVIQLRKACSHPYLFPGIEPEPYEEGEHLVQASGKLMILDQLLQKLHGYGHRVLLFAQMTHTLDILQDFLELRKYSYERLDGSIRAEERFAAIRSFSTQSTKKSLKSQPDQNGAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNDVLSINLVTGCTVEEVIMRRAERKLRLSHNVIGDDVMDQEGKEEAGVEPSDLRSIIFGLHLFDPDEINNDEFGMSELNAMAEKVISVRDKQIANEDERKFEVNPTDVLHGHDLVAGESNTSLSFNSSFDEASYLSWVEKFKEVSQESGNENMDLRSRRNFLEEKRLKREAAKKKAEEEKLSKWEDLGYHSLSVEDPVSPVDSDMMSDSGSVQFVYGDCTQPSKVCPSEPTIIFSCVDDSGHWGHGGMFDALAKLSSSIPDAYLQASEFDDLHLGDLHLIRVNEDANEQKMDCNLPQWVALAVVQSYNPRRKVPRSKISIPDLERCLSKASFSAAQNSASIHMPRIGYQDGSDRAEWYTVERLLRKYASLYSIKIYVYYYKRSA >ONI22336 pep chromosome:Prunus_persica_NCBIv2:G2:17916137:17922406:1 gene:PRUPE_2G122400 transcript:ONI22336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGKTLQAVSLLSYLKVHKLSPGPFLVLCPLSVTDGWVSEMEKFAPKLKVLRYVGDKEYRRILRRTIYEHGKEESSSSSDVLSLPFDVLLTTYDMVLADQDFLSQIPWSYAVIDEAQRLKNPNSVLYNVLRERYLIPRRLLMTGTPIQNNITELWALMHFCMPSVYGKLDEFLATFKEAGDPSSGHDTAKVKEQLKSLRCILGAFMIRRTKSKLIESGDLLLPPLTEITVLAPLVGLQKKVYMSILRKELPKLLAVSSGGPNHQSLQNIVIQLRKACSHPYLFPGIEPEPYEEGEHLVQASGKLMILDQLLQKLHGYGHRVLLFAQMTHTLDILQDFLELRKYSYERLDGSIRAEERFAAIRSFSTQSTKKSLKSQPDQNGAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNDVLSINLVTGCTVEEVIMRRAERKLRLSHNVIGDDVMDQEGKEEAGVEPSDLRSIIFGLHLFDPDEINNDEFGMSELNAMAEKVISVRDKQIANEDERKFEVNPTDVLHGHDLVAGESNTSLSFNSSFDEASYLSWVEKFKEVSQESGNENMDLRSRRNFLEEKRLKREAAKKKAEEEKLSKWEDLGYHSLSVEDPVSPVDSDMMSDSGSVQFVYGDCTQPSKVCPSEPTIIFSCVDDSGHWGHGGMFDALAKLSSSIPDAYLQASEFDDLHLGDLHLIRVNEDANEQKMDCNLPQWVALAVVQSYNPRRKVPRSKISIPDLERCLSKASFSAAQNSASIHMPRIGYQDGSDRAEWYTVERLLRKYASLYSIKIYVYYYKRSA >ONI23264 pep chromosome:Prunus_persica_NCBIv2:G2:22281279:22282468:1 gene:PRUPE_2G178600 transcript:ONI23264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPNPTHPSTQNYLTTLGLGYGIAIALGFLVLFSTLLLASYICCRVSRHHHHPPPNPNRNPNNSDGVILPRIIFVAEDDNDQQQQDDENAVVGIHQSVINSYPKFPFSKEVAAADSSTCSICLCDYKDAEMLRMMPECRHYFHLMCLDAWLKLNGSCPVCRNSPLPTPLSTPLQEVVPLSQYPADRRWRR >ONI23775 pep chromosome:Prunus_persica_NCBIv2:G2:24125073:24126350:1 gene:PRUPE_2G207300 transcript:ONI23775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNNSASSVLPSKQSPIPIRPPPPLFNWAQRPLPSATAMQLPSPNSFQNSAARLGYNPQANKDETHMFLLPHSAETQMGGDMMGGGGGGGEHENDIKWPNGLSFFNALTGRSDDAKLLFNPENLGNKGGDENHHHNPNPNSDRASNHNEFLSLDCHPDSSARKNMENKYKRSFTLPARMASSSSNSVDHHQHQSVGYRNAEAGMYSDVMETFLE >ONI23772 pep chromosome:Prunus_persica_NCBIv2:G2:24123818:24126595:1 gene:PRUPE_2G207300 transcript:ONI23772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGATDRSKEAVGMMALHEALRSVCLNTDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVSSDCLEDIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNNSASSVLPSKQSPIPIRPPPPLFNWAQRPLPSATAMQLPSPNSFQNSAARLGYNPQANKDETHMFLLPHSAETQMGGDMMGGGGGGGEHENDIKWPNGLSFFNALTGRSDDAKLLFNPENLGNKGGDENHHHNPNPNSDRASNHNEFLSLDCHPDSSARKNMENKYKRSFTLPARMASSSSNSVDHHQHQSVGYRNAEAGMYSDVMETFLE >ONI23773 pep chromosome:Prunus_persica_NCBIv2:G2:24123818:24126595:1 gene:PRUPE_2G207300 transcript:ONI23773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGATDRSKEAVGMMALHEALRSVCLNTDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVSSDCLEDIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNNSASSVLPSKQSPIPIRPPPPLFNWAQRPLPSATAMQLPSPNSFQNSAARLGYNPQANKDETHMFLLPHSAETQMGGDMMGGGGGGGEHENDIKWPNGLSFFNALTGRSDDAKLLFNPENLGNKGGDENHHHNPNPNSDRASNHNEFLSLDCHPDSSARKNMENKYKRSFTLPARMASSSSNSVDHHQHQSVGYRNAEAGMYSDVMETFLE >ONI23774 pep chromosome:Prunus_persica_NCBIv2:G2:24124326:24126595:1 gene:PRUPE_2G207300 transcript:ONI23774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMWEDGFCRGRVSSDCLEDIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNNSASSVLPSKQSPIPIRPPPPLFNWAQRPLPSATAMQLPSPNSFQNSAARLGYNPQANKDETHMFLLPHSAETQMGGDMMGGGGGGGEHENDIKWPNGLSFFNALTGRSDDAKLLFNPENLGNKGGDENHHHNPNPNSDRASNHNEFLSLDCHPDSSARKNMENKYKRSFTLPARMASSSSNSVDHHQHQSVGYRNAEAGMYSDVMETFLE >ONI23361 pep chromosome:Prunus_persica_NCBIv2:G2:22591231:22595543:1 gene:PRUPE_2G184100 transcript:ONI23361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKMEEFNMEKVIEDFEAMTKDAERVQRQTLKKILEENASAEYLQNLGLDGRTDPESFKAIVPLVTHKDLDPYIQRIADGDSSPILTGKPITTISLSSGTSQGRPKFVPFTDELMETTMQIFQTSFAFRNREFPIVNGKALQFIYSSKQFKTKGGLAAGTATTNVYSRSQFKNTMKAMQSQCCSPDEVIFGPDFHQSLYCHLLCGLIFRDEVQSISSAFAHSIVLAFRTFELLWEELCANIRDGVLSSQITAPSIRAALSKLLKPDPELAELIYEKCSGLSNWYGLIPALFPNVKYIYGIMTGSMEPYQKKLRHYAAGLPLLSADYGASEGWIGANINPKVPTEFTSYAVLPNVGYFEFIPLRNNIGDQELCVEPKPVGLTEVKVGEEYEVVITNVAGLYRYRLGDVVKVVGFHNSTPEIKFMCRSNLLLSINIDKNTENDLQLAVEEAAKLLAAEKLEVVDFSSHADLSTDPGHYVIFWELNGEPSQEVLSECCNCLDKSFVDAGYLSSRKVNTIGPLELRILRKGTFQKILNHYLAQGAAVSQFKAPRCVGPHNNTVLQILCGNVVRSFFSTAYNN >ONI23362 pep chromosome:Prunus_persica_NCBIv2:G2:22590637:22595521:1 gene:PRUPE_2G184100 transcript:ONI23362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKMEEFNMEKVIEDFEAMTKDAERVQRQTLKKILEENASAEYLQNLGLDGRTDPESFKAIVPLVTHKDLDPYIQRIADGDSSPILTGKPITTISLSSGTSQGRPKFVPFTDELMETTMQIFQTSFAFRNREFPIVNGKALQFIYSSKQFKTKGGLAAGTATTNVYSRSQFKNTMKAMQSQCCSPDEVIFGPDFHQSLYCHLLCGLIFRDEVQSISSAFAHSIVLAFRTFELLWEELCANIRDGVLSSQITAPSIRAALSKLLKPDPELAELIYEKCSGLSNWYGLIPALFPNVKYIYGIMTGSMEPYQKKLRHYAAGLPLLSADYGASEGWIGANINPKVPTEFTSYAVLPNVGYFEFIPLRNNIGDQELCVEPKPVGLTEVKVGEEYEVVITNVAGLYRYRLGDVVKVVGFHNSTPEIKFMCRSNLLLSINIDKNTENDLQLAVEEAAKLLAAEKLEVVDFSSHADLSTDPGHYVIFWELNGEPSQEVLSECCNCLDKSFVDAGYLSSRKVNTIGPLELRILRKGTFQKILNHYLAQGAAVSQFKAPRCVGPHNNTVLQILCGNVVRSFFSTAYNN >ONI23360 pep chromosome:Prunus_persica_NCBIv2:G2:22590624:22595742:1 gene:PRUPE_2G184100 transcript:ONI23360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKMEEFNMEKVIEDFEAMTKDAERVQRQTLKKILEENASAEYLQNLGLDGRTDPESFKAIVPLVTHKDLDPYIQRIADGDSSPILTGKPITTISLSSGTSQGRPKFVPFTDELMETTMQIFQTSFAFRNREFPIVNGKALQFIYSSKQFKTKGGLAAGTATTNVYSRSQFKNTMKAMQSQCCSPDEVIFGPDFHQSLYCHLLCGLIFRDEVQSISSAFAHSIVLAFRTFELLWEELCANIRDGVLSSQITAPSIRAALSKLLKPDPELAELIYEKCSGLSNWYGLIPALFPNVKYIYGIMTGSMEPYQKKLRHYAAGLPLLSADYGASEGWIGANINPKVPTEFTSYAVLPNVGYFEFIPLRNNIGDQELCVEPKPVGLTEVKVGEEYEVVITNVAGLYRYRLGDVVKVVGFHNSTPEIKFMCRSNLLLSINIDKNTENDLQLAVEEAAKLLAAEKLEVVDFSSHADLSTDPGHYVIFWELNGEPSQEVLSECCNCLDKSFVDAGYLSSRKVNTIGPLELRILRKGTFQKILNHYLAQGAAVSQFKAPRCVGPHNNTVLQILCGNVVRSFFSTAYNN >ONI23359 pep chromosome:Prunus_persica_NCBIv2:G2:22591231:22595538:1 gene:PRUPE_2G184100 transcript:ONI23359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNLQPVGGALSCLKVTVCYKSEFVRMLEKMEEFNMEKVIEDFEAMTKDAERVQRQTLKKILEENASAEYLQNLGLDGRTDPESFKAIVPLVTHKDLDPYIQRIADGDSSPILTGKPITTISLSSGTSQGRPKFVPFTDELMETTMQIFQTSFAFRNREFPIVNGKALQFIYSSKQFKTKGGLAAGTATTNVYSRSQFKNTMKAMQSQCCSPDEVIFGPDFHQSLYCHLLCGLIFRDEVQSISSAFAHSIVLAFRTFELLWEELCANIRDGVLSSQITAPSIRAALSKLLKPDPELAELIYEKCSGLSNWYGLIPALFPNVKYIYGIMTGSMEPYQKKLRHYAAGLPLLSADYGASEGWIGANINPKVPTEFTSYAVLPNVGYFEFIPLRNNIGDQELCVEPKPVGLTEVKVGEEYEVVITNVAGLYRYRLGDVVKVVGFHNSTPEIKFMCRSNLLLSINIDKNTENDLQLAVEEAAKLLAAEKLEVVDFSSHADLSTDPGHYVIFWELNGEPSQEVLSECCNCLDKSFVDAGYLSSRKVNTIGPLELRILRKGTFQKILNHYLAQGAAVSQFKAPRCVGPHNNTVLQILCGNVVRSFFSTAYNN >ONI23809 pep chromosome:Prunus_persica_NCBIv2:G2:24251049:24251381:-1 gene:PRUPE_2G209700 transcript:ONI23809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKILISVFFLLLVLLAPPPSLIQSNFEGLVVAATRPLEPIQSNSNPSNYVPVRAYKKGHGGAANGFGSHTVESCLPKGFRRSSAPSHYVNGQTFGSELCSSSKRMNRP >ONI22102 pep chromosome:Prunus_persica_NCBIv2:G2:16471414:16472109:1 gene:PRUPE_2G107100 transcript:ONI22102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGPSPLVPSLMVGALGLIICKPTLVSLLEISVSLFQLGADTEGRATFAFVMLLLLLVLLALQVVSYFFPAFGMSSPVTVHQTSSSDQGGDGFGFGVGSFLLLVLFLVLYHLV >ONI24967 pep chromosome:Prunus_persica_NCBIv2:G2:27585064:27589489:-1 gene:PRUPE_2G272800 transcript:ONI24967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTEAYKQTGPCCFSPNARYIAVAVDYRLVIRDTLSFKVVQLFSCLDKISYIEWAIDSEYILCGLYKRPMIQAWSLAQPEWTCKIDEGPAGIAYARWSPDSRHILTTSDFQLRLTVWSLLNTACVHVQWPKHPSKGVSFTKDGKFAAICTRRDCKDYINLLSCHTWEIMGVFAVDTLDLSDTEWSPDDSAIVIWDSPLEYKVLIYSPDGRCLYKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCTAVFKEVDEPLLLDMSELCLSDDFAQGNDGLMSWSSDSQYICTRNDSMPSILWIWDIRHLELATILVQKDPIRAAVWDPTCTRLVVCTGSSHLYMWTPAGAYCVSIPLPQFSIVDLKWNSDGSCLFLKDKESFCCAAVAVLPESSEYSSDD >ONI24969 pep chromosome:Prunus_persica_NCBIv2:G2:27585064:27588487:-1 gene:PRUPE_2G272800 transcript:ONI24969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVQLFSCLDKISYIEWAIDSEYILCGLYKRPMIQAWSLAQPEWTCKIDEGPAGIAYARWSPDSRHILTTSDFQLRLTVWSLLNTACVHVQWPKHPSKGVSFTKDGKFAAICTRRDCKDYINLLSCHTWEIMGVFAVDTLDLSDTEWSPDDSAIVIWDSPLEYKVLIYSPDGRCLYKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCTAVFKEVDEPLLLDMSELCLSDDFAQGNDGLMSWSSDSQYICTRNDSMPSILWIWDIRHLELATILVQKDPIRAAVWDPTCTRLVVCTGSSHLYMWTPAGAYCVSIPLPQFSIVDLKWNSDGSCLFLKDKESFCCAAVAVLPESSEYSSDD >ONI24968 pep chromosome:Prunus_persica_NCBIv2:G2:27585850:27589232:-1 gene:PRUPE_2G272800 transcript:ONI24968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTEAYKQTGPCCFSPNARYIAVAVDYRLVIRDTLSFKVVQLFSCLDKISYIEWAIDSEYILCGLYKRPMIQAWSLAQPEWTCKIDEGPAGIAYARWSPDSRHILTTSDFQLRLTVWSLLNTACVHVQWPKHPSKGVSFTKDGKFAAICTRRDCKDYINLLSCHTWEIMGVFAVDTLDLSDTEWSPDDSAIVIWDSPLEYKVLIYSPDGRCLYKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCCTAVFKEVDEPLLLDMSELCLSDDFAQGNDDASEGHFRVRYEVTEVPISLPFQKPPADKPNPKQGIGLMSWSSDSQYICTRNDSMPSILWIWDIRHLELATILVQKDPIRAAVWDPTCTRLVVCTGSSHLYMWTPAGAYCVSIPLPQFSIVDLKWNSDGSCLFLKDKESFCCAAVAVLPESSEYSSDD >ONI25822 pep chromosome:Prunus_persica_NCBIv2:G2:29904142:29907382:-1 gene:PRUPE_2G322100 transcript:ONI25822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSREESPDWLRSFQVPPTHSTVSLSSDSESSLNGVPSGDDQSDCHVLSPPKSSKIPDEDEGLDKTLTKSVAESPSKKRLKSNSPKQGKNVEDQISLKKKKTDKPKEEGNCGDVEVAEEEASDKPVEPHVSSKLPLVLSEKVQRSKALVECEGNSIDLSGDMGAVGRVIISDSKSANREMYLDLKGTVYKTTIVPSRTFCVVSFGQSEAKIEAIMNDFIQLKPQSNVYEAETMVEGTLDGFSFDSEDETDKLPKAILHQTNQNEEDVEEQTKGKTKGKAEKALTVVRKRGKTAGGKPQPTKKARKKTQVSKKAKSKK >ONI25825 pep chromosome:Prunus_persica_NCBIv2:G2:29904142:29907522:-1 gene:PRUPE_2G322100 transcript:ONI25825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSREESPDWLRSFQVPPTHSTVSLSSDSESSLNGVPSGDDQSDCHVLSPPKSSKIPDEDEGLDKTLTKSVAESPSKKRLKSNSPKQGKNVEDQISLKKKKTDKPKEEGNCGDVEVAEEEASDKPVEPHVSSKLPLVLSEKVQRSKALVECEGNSIDLSGDMGAVGRVIISDSKSANREMYLDLKGTVYKTTIVPSRTFCVVSFGQSEAKIEAIMNDFIQLKPQSNVYEAETMVEGTLDGFSFDSEDETDKLPKAILHQTNQNEEDVEEQTKGKTKGKAEKALTVVRKRGKTAGGKPQPTKKARKKTQVSKKAKSKK >ONI25824 pep chromosome:Prunus_persica_NCBIv2:G2:29904776:29906844:-1 gene:PRUPE_2G322100 transcript:ONI25824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSREESPDWLRSFQVPPTHSTVSLSSDSESSLNGVPSGDDQSDCHVLSPPKSSKIPDEDEGLDKTLTKSVAESPSKKRLKSNSPKQGKNVEDQISLKKKKTDKPKEEGNCGDVEVAEEEASDKPVEPHVSSKLPLVLSEKVQRSKALVECEGNSIDLSGDMGAVGRVIISDSKSANREMYLDLKGTVYKTTIVPSRTFCVVSFGQSEAKIEAIMNDFIQLKPQSNVYEAETMVEGTLDGFSFDSEDETDKLPKAILHQTNQNEEDVEEQTKGKTKGKAEKALTVVRKRGKTAGGKPQPTKKARKKTQVSKKAKSKK >ONI25821 pep chromosome:Prunus_persica_NCBIv2:G2:29904141:29907385:-1 gene:PRUPE_2G322100 transcript:ONI25821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSREESPDWLRSFQVPPTHSTVSLSSDSESSLNGVPSGDDQSDCHVLSPPKSSKIPDEDEGLDKTLTKSVAESPSKKRLKSNSPKQGKNVEDQISLKKKKTDKPKEEGNCGDVEVAEEEASDKPVEPHVSSKLPLVLSEKVQRSKALVECEGNSIDLSGDMGAVGRVIISDSKSANREMYLDLKGTVYKTTIVPSRTFCVVSFGQSEAKIEAIMNDFIQLKPQSNVYEAETMVEGTLDGFSFDSEDETDKLPKAILHQTNQNEEDVEEQTKGKTKGKAEKALTVVRKRGKTAGGKPQPTKKARKKTQVSKKAKSKK >ONI25826 pep chromosome:Prunus_persica_NCBIv2:G2:29904776:29906844:-1 gene:PRUPE_2G322100 transcript:ONI25826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSREESPDWLRSFQVPPTHSTVSLSSDSESSLNGVPSGDDQSDCHVLSPPKSSKIPDEDEGLDKTLTKSVAESPSKKRLKSNSPKQGKNVEDQISLKKKKTDKPKEEGNCGDVEVAEEEASDKPVEPHVSSKLPLVLSEKVQRSKALVECEGNSIDLSGDMGAVGRVIISDSKSANREMYLDLKGTVYKTTIVPSRTFCVVSFGQSEAKIEAIMNDFIQLKPQSNVYEAETMVEGTLDGFSFDSEDETDKLPKAILHQTNQNEEDVEEQTKGKTKGKAEKALTVVRKRGKTAGGKPQPTKKARKKTQVSKKAKSKK >ONI25823 pep chromosome:Prunus_persica_NCBIv2:G2:29904776:29906844:-1 gene:PRUPE_2G322100 transcript:ONI25823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSREESPDWLRSFQVPPTHSTVSLSSDSESSLNGVPSGDDQSDCHVLSPPKSSKIPDEDEGLDKTLTKSVAESPSKKRLKSNSPKQGKNVEDQISLKKKKTDKPKEEGNCGDVEVAEEEASDKPVEPHVSSKLPLVLSEKVQRSKALVECEGNSIDLSGDMGAVGRVIISDSKSANREMYLDLKGTVYKTTIVPSRTFCVVSFGQSEAKIEAIMNDFIQLKPQSNVYEAETMVEGTLDGFSFDSEDETDKLPKAILHQTNQNEEDVEEQTKGKTKGKAEKALTVVRKRGKTAGGKPQPTKKARKKTQVSKKAKSKK >ONI24090 pep chromosome:Prunus_persica_NCBIv2:G2:25065445:25067548:-1 gene:PRUPE_2G223400 transcript:ONI24090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAAEIAAALAYMHSSSTSNSTIIHLNVNSKRILLDENYTARLAYFREAKFVIQGETHVEGTVRGSSGYLDPESIQSNTLSEKNDVYSFGVVQVELLTSQKAFCEERPESDKHLASFFLRSVEESRLDQILEGEIIKGENLGTAKKVAGLAKRCLGKAFHERSNHEA >ONI22610 pep chromosome:Prunus_persica_NCBIv2:G2:19657203:19657953:1 gene:PRUPE_2G139400 transcript:ONI22610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHNAFTIALLLSLNFVFFTAVSSNHHTPCCSLIAGLSDVDAAVCLCTAIKANVLGINLNVPVSLSLLLNYCGKSVPTDFQCT >ONI25167 pep chromosome:Prunus_persica_NCBIv2:G2:28231993:28235646:1 gene:PRUPE_2G285700 transcript:ONI25167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIIGIRDAGPTGAVASAIAAADMNGGIYSPAKEKAAARGVYTGRSQLVRRLRSLVCAAKLKLVVCVVIFSVVVLVTSSRLSSVMGWVPPHPNPNTSSRGGGYTVLMNTWKRSSALKQSIGHYASCGGVEAIHVVWTDSEPPSESMKYHLEKMAFSKSQAAKKPNFKFSMSQDDDLNNRFKPIQDLRSDAIFSVDDDVKVPCSTLDFAFTVWQSAPNTMIGFVPRMHWLDKEKSGVEKYTYGGWWSVWWMGTYSLLLPKAAFFHRNYLNLYTNNMPSSIRDYVTRERNCEDIAMSLLVANATGAPPIWVKGKTYEIGSSGMSSMKRHSKRRNKCLNDFISLFGRMPLVSTNVKAVDTRLEWFW >ONI25310 pep chromosome:Prunus_persica_NCBIv2:G2:28644737:28647321:1 gene:PRUPE_2G295200 transcript:ONI25310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDNELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGYDYEDEEEVQEEA >ONI20950 pep chromosome:Prunus_persica_NCBIv2:G2:4703551:4709816:1 gene:PRUPE_2G042700 transcript:ONI20950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNCEASLKCLHNKGFPYNLQCNGNPIEGFAEHKDDISTHPGGDVAEPDRPVGGEFLEPPTECHNKPTYHHDFGYWSTFHFDSQKVQQCQINAFESQFHPFPVENRFNYVPLNMFAQSYPNEFQFQDFQYFVVIDFEATCDKDRNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNTNFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFREVFGGVRCNLKEAVQMAGLAWQGRAHCGLDDAKNTARLLSLLMRRGFRFAITNSLVWQTADRPLTLKQSSEHLSLPHHPLKLKDMSIPLFQYHHPICFCGVKSSRGMVRKPGPKQGSFFFGCGNWTATRGARCHYFEWSSP >ONI20949 pep chromosome:Prunus_persica_NCBIv2:G2:4701152:4709816:1 gene:PRUPE_2G042700 transcript:ONI20949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALEQTENMQRNCEASLKCLHNKGFPYNLQCNGNPIEGFAEHKDDISTHPGGDVAEPDRPVGGEFLEPPTECHNKPTYHHDFGYWSTFHFDSQKVQQCQINAFESQFHPFPVENRFNYVPLNMFAQSYPNEFQFQDFQYFVVIDFEATCDKDRNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNTNFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFREVFGGVRCNLKEAVQMAGLAWQGRAHCGLDDAKNTARLLSLLMRRGFRFAITNSLVWQTADRPLTLKQSSEHLSLPHHPLKLKDMSIPLFQYHHPICFCGVKSSRGMVRKPGPKQGSFFFGCGNWTATRGARCHYFEWSSP >ONI20948 pep chromosome:Prunus_persica_NCBIv2:G2:4701412:4709816:1 gene:PRUPE_2G042700 transcript:ONI20948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALEQTENMQRNCEASLKCLHNKGFPYNLQCNGNPIEGFAEHKDDISTHPGGDVAEPDRPVGGEFLEPPTECHNKPTYHHDFGYWSTFHFDSQKVQQCQINAFESQFHPFPVENRFNYVPLNMFAQSYPNEFQFQDFQYFVVIDFEATCDKDRNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNTNFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFREVFGGVRCNLKEAVQMAGLAWQGRAHCGLDDAKNTARLLSLLMRRGFRFAITNSLVWQTADRPLTLKQSSEHLSLPHHPLKLKDMSIPLFQYHHPICFCGVKSSRGMVRKPGPKQGSFFFGCGNWTATRGARCHYFEWSSP >ONI21411 pep chromosome:Prunus_persica_NCBIv2:G2:8588079:8590108:-1 gene:PRUPE_2G064100 transcript:ONI21411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVLSFSLPKTSHLICRGLHLGKQFSSPSSENIIFRAICVNLKQRRWKFLEQISPTLTNSLVSRVVLEFRNSPQLGLEFYNWVRENKSFPHCLECSCTVIHVLVHCRRFDDALSLMENLMSRDGLLPLVVLEGLVSSYDEACGCSSPAVFDALVRACTRFGQTEGAYEVIKKLRMDGYWVTVHAWNNFLNHVLKLNEIARFWKLYKEMVSYGYVENVNTFNLVIYALCKECKLLEAMSEYYRMLKSGIWPSVVTFNMIIDGACKMGDMELALKLLRKMGVMSGECVTPNLVSYNCIINGFCKIGSLSFAEEIQAEMTQAGVESNLRTYATLVDGYARGGSLEVALRLCDEMVERGLTPNSVVYNSIIHWLCKEGDVEEAYLLFSDLIDRHLCPDQFTYSILINGLCRNGLVTEALRFHNQILEKSLVKDVFSHNILIDYMCKNKNLIGAMQLLGSMFVRGLLPDTVTYGTLIDWYCKEGNIGSAVQIYGKLIDVEKKPNLVIYNSVVNGLCKEASVDIARNLMDALQRIDFLDIITYNTLIHAYFICGKIDEAFFLFREMEEVGISFNRVTYNILINFLCKFGCSQQAKELMKVMISRGMVPDFITYTTLITSFSKNCSPEEVIELHDYMVIKGVIPDRQTYKHVVCPFLLEENENAKISTQN >ONI26006 pep chromosome:Prunus_persica_NCBIv2:G2:30244138:30251556:1 gene:PRUPE_2G329300 transcript:ONI26006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSASAGDSPPPSSPDTTQNNLQHFFVLHKASEKLTCSGKARKRLDLSPTKLKPEKKEWDERCLRMEAFQLVWSGIDSTIKDVLRNMNARVFNDIHSWVRDSFNTNTIKPFPPPSPIVTHQLFTAFLLTKNMEFVDDFLTFQELGLFLKSHGCHVANLSSSDFSPNNGIAGCLTRLLRQFLMRTFDAADMSILASWYSHQGNYGSPVVVIINDMERCCGPVLSDFILMLSEWIVKIPVILIMGVATTLDAPSNILPSNVLKKLCPCKFTLGSPAERMDAVVEAALVRQCSGFAVGQKVAIFLRNYFLNQDGTLTSFFRALKIACVQHFSTEPLSFMLGQLLAKEDSKSEKVVKGASEILLHARDQMAEQTDIPIAHCLTELKRLQMVWSSIVLCLYEAGKCGKIQLLDLFCETLDPDIYTSLASDNPTGIGESLATSLSSDHCMLEQDLSFRKGQTICQVVRKVRRHTSHSPVKIGKSQSINGKAAILVDCMVRYFMRPIECIQCHEIVCFRNVEKLQSALIGDSRKRIQVDLLEFHKILRCSCCSRSGNIPLSSMPDTSIVYTLAQEHGDLINLHDWFQSFKTIASQPRRKGGCKLKQSPLPKRRKEVNESENKSEASIQARFCRAITELQITGLIRMPSKKRRDSVQRVAFGL >ONI24144 pep chromosome:Prunus_persica_NCBIv2:G2:25289696:25294736:-1 gene:PRUPE_2G226500 transcript:ONI24144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYSLTLQRATGIVCAINGNFSGGKAQEIVVARGKVLELIRPDENGKIQTLLSVEIFGVIRSLAQFRLTGSQKDYIVVGSDSGRIVILEYNKEKNVFDKVHQETFGKSGCRRIVPGQYLAIDPKGRAVMVGACEKQKLVYVLNRDTSARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYSEADQDSTGQAANEAQKHLTFYELDLGLNHVSRKWSDQVDNGANLLVTVPGGGDGPSGVLVCAENFVIYKNQDKPDLRAVIPRRADLPAERGVLIVSAAMHKQKSMFFFLLQTEYGDIFKVTLDHDNDKVSELKIKYFDTIPVTTSMCVLKSGFLFAASEFGNHSLYQFRAIGEDPDVESSSATLMETEEGFQPLFFQPRRLKNLVRIDQVESLMPIMDMKVNNLFEEETPQIFTLCGRGPRSSLRILRPGLAISEMAVSELPGVPSAVWTVKKNVSDEFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSIPESLLFLEVQASIGGEDGADHPASLFLNAGLRTGILFRTVVDMVTGQLSDSRSRFLGLRAPKLFSVSVRGKHAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGNALRVFTIERLGETFNETVVPLRYTPRKFVVQLKRKLLVIIESDQGAFTAEEREAAKKECFEAAGIGENGNGNVDQMENGGDNEDDPLSDEHYGYPKAESEKWVSCIRVLDPKTATTTCLLELQDNEAAFSICTVNFHDKEYGTLLAVGTAKGLQFWPKRSVTAGYIHIYRFLDDGKSLELLHKTQVDGVPLALCQFQGRLLAGVGPVLRLYDLGKKRLLRKCENKLFPNSIISIQTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDCVPRWLTASYHIDFDTMAGADKFGNVYFVRLPQDVSDEIEEDPTGGRIKWEQGKLNGAPNKVEEIVQFHVGDVVSCVQKASLIPGGGECIIYGTVMGSLGALLAFTSRDDVDFFSHLEMYMRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPMDLQRKIADELDRTPGEILKKLEEIRNKII >ONI24145 pep chromosome:Prunus_persica_NCBIv2:G2:25289653:25294773:-1 gene:PRUPE_2G226500 transcript:ONI24145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYSLTLQRATGIVCAINGNFSGGKAQEIVVARGKVLELIRPDENGKIQTLLSVEIFGVIRSLAQFRLTGSQKDYIVVGSDSGRIVILEYNKEKNVFDKVHQETFGKSGCRRIVPGQYLAIDPKGRAVMVGACEKQKLVYVLNRDTSARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYSEADQDSTGQAANEAQKHLTFYELDLGLNHVSRKWSDQVDNGANLLVTVPGGGDGPSGVLVCAENFVIYKNQDKPDLRAVIPRRADLPAERGVLIVSAAMHKQKSMFFFLLQTEYGDIFKVTLDHDNDKVSELKIKYFDTIPVTTSMCVLKSGFLFAASEFGNHSLYQFRAIGEDPDVESSSATLMETEEGFQPLFFQPRRLKNLVRIDQVESLMPIMDMKVNNLFEEETPQIFTLCGRGPRSSLRILRPGLAISEMAVSELPGVPSAVWTVKKNVSDEFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSIPESLLFLEVQASIGGEDGADHPASLFLNAGLRTGILFRTVVDMVTGQLSDSRSRFLGLRAPKLFSVSVRGKHAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGNALRVFTIERLGETFNETVVPLRYTPRKFVVQLKRKLLVIIESDQGAFTAEEREAAKKECFEAAGIGENGNGNVDQMENGGDNEDDPLSDEHYGYPKAESEKWVSCIRVLDPKTATTTCLLELQDNEAAFSICTVNFHDKEYGTLLAVGTAKGLQFWPKRSVTAGYIHIYRFLDDGKSLELLHKTQVDGVPLALCQFQGRLLAGVGPVLRLYDLGKKRLLRKCENKLFPNSIISIQTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDCVPRWLTASYHIDFDTMAGADKFGNVYFVRLPQDVSDEIEEDPTGGRIKWEQGKLNGAPNKVEEIVQFHVGDVVSCVQKASLIPGGGECIIYGTVMGSLGALLAFTSRDDVDFFSHLEMYMRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPMDLQRKIADELDRTPGEILKKLEEIRNKII >ONI22306 pep chromosome:Prunus_persica_NCBIv2:G2:17795220:17802381:1 gene:PRUPE_2G120500 transcript:ONI22306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQPKHSSVGYNLAFLSLLLASVVFLCIWSSSSSVINPLFSFQKHDAQCPSKNLSTTTTTTFNVAPDELLATLDKTSIGNKTVIIAVVNKAYAVQEVKADTTMLDLFIESFWQGEDTRHLLDHLVLVAVDQTAYDRCQFLRLNCYKLETDGVDFGGEKLYMSQDFIKMMWRRTWFLLEVLKRGYNFIFTDTDVLWLRNPFSRLSKSETEDLQISTDRFFGDPWNIKHPINTGFYHIRSNNKTIALFDRWYTMKDNATGQKEQDVLLDLIRGGIIGQLGLKVRFLDTLYFSGFCQDSKDFGAVTTVHANCCRSIGAKVKDLKAVLQDWKQFKKTTTQKTTAGLAANGFRWSGHWGCRNSWKS >ONI22742 pep chromosome:Prunus_persica_NCBIv2:G2:20400439:20403232:1 gene:PRUPE_2G148000 transcript:ONI22742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISKTEVNLRRLLAAAPQQQNQSKLVHYVATLREQVEQLAEERTPEGLPRVSKAVLSDYSEKIEAIASKLAAPPPDIQAPKEPLARISVKANSSDTGDNQIPPSPGLRRRFGLTSNSEDGTREILSVDSSAPVKLDAAAQAHIEKHRKLQEDLTDEMVGLARQLKESSLMMNHSLQDTEKILDSTEKAVESSLASTGHANTRATNIYSKTSKTTCFTWLVMFVMTCVFIMVVLLIRVT >ONI23339 pep chromosome:Prunus_persica_NCBIv2:G2:22522884:22526998:1 gene:PRUPE_2G182900 transcript:ONI23339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAADWDYRAAIEITKDWNGVEQVLLQNQQGASARVSLHGGQVTSWRNKHGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGSLEQHGFARNKVWAIDDNPQLHPPNDSHGKSFIDLLLKPSEDDLKCWPHSFEFRLRVSLATSGDLTLTSRVRNVNGKPFSFSFAYHTYFLVSDISEVRIEGLETLDYLDNLCERKRFTEQGDAITFESELFGIHGRKNRNLWLILAMRSTNRCFAWTGQRSRNLSP >ONI23338 pep chromosome:Prunus_persica_NCBIv2:G2:22522825:22527021:1 gene:PRUPE_2G182900 transcript:ONI23338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAADWDYRAAIEITKDWNGVEQVLLQNQQGASARVSLHGGQVTSWRNKHGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGSLEQHGFARNKVWAIDDNPQLHPPNDSHGKSFIDLLLKPSEDDLKCWPHSFEFRLRVSLATSGDLTLTSRVRNVNGKPFSFSFAYHTYFLVSDISEVRIEGLETLDYLDNLCERKRFTEQGDAITFESEVSRLYLSSPNVIAVLDHEKKRTYVIRKEGLPDVSVWNPWEKKSKSMVDFGDEEYKQMLCVDGAAIEKPITLKPGEEWTGRLQLSVVPSSFCSEHLDL >ONI23340 pep chromosome:Prunus_persica_NCBIv2:G2:22523943:22526125:1 gene:PRUPE_2G182900 transcript:ONI23340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAADWDYRAAIEITKDWNGVEQVLLQNQQGASARVSLHGGQVTSWRNKHGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGSLEQHGFARNKVWAIDDNPQLHPPNDSHGKSFIDLLLKPSEDDLKCWPHSFEFRLRVSLATSGDLTLTSRVRNVNGKPFSFSFAYHTYFLVSDISEVRIEGLETLDYLDNLCERKRFTEQGDAITFESEYC >ONI23341 pep chromosome:Prunus_persica_NCBIv2:G2:22523462:22527000:1 gene:PRUPE_2G182900 transcript:ONI23341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAADWDYRAAIEITKDWNGVEQVLLQNQQGASARVSLHGGQVTSWRNKHGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGSLEQHGFARNKVWAIDDNPQLHPPNDSHGKSFIDLLLKPSEDDLKCWPHSFEFRLRVSLATSGDLTLTSRVRNVNGKPFSFSFAYHTYFLVSDISEVRIEGLETLDYLDNLCERKRFTEQGDAITFESEVNFF >ONI23337 pep chromosome:Prunus_persica_NCBIv2:G2:22522790:22527021:1 gene:PRUPE_2G182900 transcript:ONI23337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSAADWDYRAAIEITKDWNGVEQVLLQNQQGASARVSLHGGQVTSWRNKHGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGSLEQHGFARNKVWAIDDNPQLHPPNDSHGKSFIDLLLKPSEDDLKCWPHSFEFRLRVSLATSGDLTLTSRVRNVNGKPFSFSFAYHTYFLVSDISEVRIEGLETLDYLDNLCERKRFTEQGDAITFESEVSRLYLSSPNVIAVLDHEKKRTYVIRKEGLPDVSVWNPWEKKSKSMVDFGDEEYKQMLCVDGAAIEKPITLKPGEEWTGRLQLSVVPSSFCSEHLDL >ONI23403 pep chromosome:Prunus_persica_NCBIv2:G2:22787093:22791783:-1 gene:PRUPE_2G187000 transcript:ONI23403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRRSVSTTVTFGTRRFHPAFSHILHDNNEDTKSHAPNSSLPPPVIKSTLPRGSYNSTLGFCFGSRCRERTGSSLSWKMGLGSFHCRYMSTIIDDGSDKINDIGYFAEVITDKTVEVVTSQAPAASEVAAAAADSFFPVAALQYLIDGVHSFTGCNWWASIALTTILIRGATIPLLINQLRATTQLNLMRPHLEELKQQMQDMAMDPNVLQEGQKRMKALFKEYGVNPLSQLQPLFIQGPIFISFFLAVRNMAEKVPSFQSGGALWFTDLTTPDSLLILPVLTSLTFLITVECNMQEGLEGNPIAQTMKNYSRILAAISVPVMMGFPKALFCYWLTSNLFSLTYGLVIRLPEVKTFLGLPEIPMPPPAPSQPQSFLSSAIEQDTTVESGPPLPTKPSKIADRRISRTSILKQRLKSLENQIKERNKQKKM >ONI24647 pep chromosome:Prunus_persica_NCBIv2:G2:26638945:26641537:1 gene:PRUPE_2G252400 transcript:ONI24647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQFVIWGLITALSALILRFSLQIFTARNNRKRAVAFFHPYTNDGGGGERVLWCAVKAIQDENPSLRCLVYTGDHDASPLSLTARALDRFGVKLLHPPKVVHLNKRKWIEEAAYPRLTMIGQSLGSVYLAWEALTKFTPTYYIDTSGYAFTYPLARLFGCRVICYTHYPTISCDMLSRVRQRSSMYNNDDFIAQSNWLSSCKVIYYNIFSRMYGIVGSCAHLAMVNSSWTQSHIEKLWNIPERTKRVYPPCDTSGLQVLSLERPVEPVKLISVAQFRPEKAHGLQLEAFAAAIGKLDAHLPRPKLQFVGSCRNKSDEERLQSLKDKAIELKVDGDVEFHKNVLYRDLVQLLAGAIAGIHSMTDEHFGISVVEYMAAGAVPIAHNSAGPKMDIVLPEDGQQTGFLACTVEEYADAILSIIKMPETERLKMAAAARKRADRYSEQRFYEDFKAAVSPILTQA >ONI25463 pep chromosome:Prunus_persica_NCBIv2:G2:29075317:29079300:1 gene:PRUPE_2G304700 transcript:ONI25463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALLKINSEKTTVNRLKKDIKQLNLKLSELQALLEEKDGQLWQLRSNASSLVDASTEETKSSSSVVYEENHLISKNTRETCPPATFQIREAEVNQDWIVAGPAGIRPEINISKSDPNLSSQSSLRAEDGGTADTIHLFQDGPYNENGRESHVEDFPEVKVDFQETFLGHTSPITRCRFSASGNNIASASEDGTVRIWTYDSSTPASRNATIYCGAKIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSEAFPSVLDIKCSPVEPIFVSAAASKGNGSSHLDSLGFASLTVWNMKTWKAMTVLPLGKDPPAITSLSFNHNGKILAAAATDGMIHMFDMSAGLQITGWPAHDSAISSILFGPDETSIFSLGVDGKVLEWSLQNQGQILWSRNCSRFCDPESSKNCRHEMALDANGRRLLVTSGLVRAPIYQVRGHAIGLRTLPHSAAITTVDWHPTLPLFVTGSADNSVRVTSMS >ONI25460 pep chromosome:Prunus_persica_NCBIv2:G2:29073994:29079300:1 gene:PRUPE_2G304700 transcript:ONI25460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMQYAEELVREFLVFRGFTNTLQAFDSELSTDIGKGFQVDKIMDLIFSVYVPKFQAEKLVGLFCFFKQCLSSSSETVLLTTLSKLEVSILRYYVVSAIQSGRRDKVLEFFGMNGNDLLRRGQDWAAWFAIPYVKKPNSDPEFRIYFSKEWYEALRLSVRNFFSEIFNGTRMPALLKINSEKTTVNRLKKDIKQLNLKLSELQALLEEKDGQLWQLRSNASSLVDASTEETKSSSSVVYEENHLISKNTRETCPPATFQIREAEVNQDWIVAGPAGIRPEINISKSDPNLSSQSSLRAEDGGTADTIHLFQDGPYNENGRESHVEDFPEVKVDFQETFLGHTSPITRCRFSASGNNIASASEDGTVRIWTYDSSTPASRNATIYCGAKIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSEAFPSVLDIKCSPVEPIFVSAAASKGNGSSHLDSLGFASLTVWNMKTWKAMTVLPLGKDPPAITSLSFNHNGKILAAAATDGMIHMFDMSAGLQITGWPAHDSAISSILFGPDETSIFSLGVDGKVLEWSLQNQGQILWSRNCSRFCDPESSKNCRHEMALDANGRRLLVTSGLVRAPIYQVRGHAIGLRTLPHSAAITTVDWHPTLPLFVTGSADNSVRVTSMS >ONI25462 pep chromosome:Prunus_persica_NCBIv2:G2:29074011:29079300:1 gene:PRUPE_2G304700 transcript:ONI25462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMQYAEELVREFLVFRGFTNTLQAFDSELSTDIGKGFQVDKIMDLIFSVYVPKFQAEKLVGLFCFFKQCLSSSSETVLLTTLSKLEVSILRYYVVSAIQSGRRDKVLEFFGMNGNDLLRRGQDWAAWFAIPYVKKPNSDPEFRIYFSKEWYEALRLSVRNFFSEIFNGTRMPALLKINSEKTTVNRLKKDIKQLNLKLSELQALLEEKDGQLWQLRSNASSLVDASTEETKSSSSVVYEENHLISKNTRETCPPATFQIREAEVNQDWIVAGPAGIRPEINISKSDPNLSSQSSLRAEDGGTADTIHLFQDGPYNENGRESHVEDFPEVKVDFQETFLGHTSPITRCRFSASGNNIASASEDGTVRIWTYDSSTPASRNATIYCGAKIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSEAFPSVLDIKCSPVEPIFVSAAASKGNGSSHLDSLGFASLTVWNMKTWKAMTVLPLGKDPPAITSLSFNHNGKILAAAATDGMIHMFDMSAGLQITGWPAHDSAISSILFGPDETSIFSLGVDGKVLEWSLQNQGQILWSRNCSRFCDPESSKNCRHEMALDANGRRLLVTSGLVRAPIYQVRGHAIGLRTLPHSAAITTVDWHPTLPLFVTGSADNSVRVTSMS >ONI25461 pep chromosome:Prunus_persica_NCBIv2:G2:29074368:29079300:1 gene:PRUPE_2G304700 transcript:ONI25461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMQYAEELVREFLVFRGFTNTLQAFDSELSTDIGKGFQVDKIMDLIFSVYVPKFQAEKLVGLFCFFKQCLSSSSETVLLTTLSKLEVSILRYYVVSAIQSGRRDKVLEFFGMNGNDLLRRGQDWAAWFAIPYVKKPNSDPEFRIYFSKEWYEALRLSVRNFFSEIFNGTRMPALLKINSEKTTVNRLKKDIKQLNLKLSELQALLEEKDGQLWQLRSNASSLVDASTEETKSSSSVVYEENHLISKNTRETCPPATFQIREAEVNQDWIVAGPAGIRPEINISKSDPNLSSQSSLRAEDGGTADTIHLFQDGPYNENGRESHVEDFPEVKVDFQETFLGHTSPITRCRFSASGNNIASASEDGTVRIWTYDSSTPASRNATIYCGAKIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSEAFPSVLDIKCSPVEPIFVSAAASKGNGSSHLDSLGFASLTVWNMKTWKAMTVLPLGKDPPAITSLSFNHNGKILAAAATDGMIHMFDMSAGLQITGWPAHDSAISSILFGPDETSIFSLGVDGKVLEWSLQNQGQILWSRNCSRFCDPESSKNCRHEMALDANGRRLLVTSGLVRAPIYQVRGHAIGLRTLPHSAAITTVDWHPTLPLFVTGSADNSVRVTSMS >ONI24996 pep chromosome:Prunus_persica_NCBIv2:G2:27651295:27652491:1 gene:PRUPE_2G273900 transcript:ONI24996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTNAYVKKGPWTAEEDEVLISHVNKCGPRDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKTGSKFSAEEERMVIQLQAQFGNKWAKIATYLPGRTDNDVKNFWSTRRKRFERILQKSTSLQSQNNKGKSPLVRHELPEVKVPPDPCSSAIEEGTSCCNYETHNCFSNSQEFRMVPLPDLVKPNLQNVEAEQPILEATPLNMISPIDSPPHYSLSQLPQPQLDLPFLSECKELDQDPMAPDSLDMFGQEVTECESGQKFFKENAASTKLGEVKGLSIPNIVFDDFPPEMLDYLETLTSSSEL >ONI24476 pep chromosome:Prunus_persica_NCBIv2:G2:26109240:26112919:1 gene:PRUPE_2G242100 transcript:ONI24476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKPKLDIPTTTTLPLHVNMLQNVVGSSNIEQEPHLLHSYKRSFNGFAAKLTEEEAQKMAGMAGVVSVFPSRKQKLHTTRSWNFIGFHENVKRSTVESDIIVGMIDSGVWPESASFSDAGFGPPPKKWKGTCQGSSNFTCNKKIIGARYYHNGRPFVKGDIKSPRDSNGHGTHTASTAAGNLVSKASLFGLGSGRARGGVPSARIAVYKVGWSDGISDDDILAAFDDAIADGVDILSLSLGKAEDDYFRDSISIGAFHALRKGILTSTAAGNDGPGPKTIANFAPWFLSVAATTIDREFVTKVQLGNQKIYEGIVTNTFDLKGKFYPLIYAGDAPNRTAGYDESTSKTCEPGTLDHNLVKGKIVLCDGTTGYGAYFAGAVGVILQSRPVADVLDPLPMPASCLGLDSGNSIYYYITSTRNPTATIFKSTEDIDTLSPYVPSFSSRGPNPVTPNILKPDIAAPGASILAAFPPIAPVSFVQGDDRVASYNFVSGTSMACPHATGVAAYVKSFHPNWSPAAIQSAIITTAKPLSPDLNPEAEFAYGAGQIDPVRAPYPGLVYDATELDYIEFLCAQGYSTKLLQSITGHKSSCSSKTNYGALSDNLNYPSFALSSSNPNCISGVFNRTATNVGSPRSAYKAKVIGATKGLEIKVNPSILSFSSLGQKLSFQVTVKGSIHHKSRVSASLVWDDGTFQVRSPIVVYAIYY >ONI24477 pep chromosome:Prunus_persica_NCBIv2:G2:26109240:26112919:1 gene:PRUPE_2G242100 transcript:ONI24477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMAGVVSVFPSRKQKLHTTRSWNFIGFHENVKRSTVESDIIVGMIDSGVWPESASFSDAGFGPPPKKWKGTCQGSSNFTCNKKIIGARYYHNGRPFVKGDIKSPRDSNGHGTHTASTAAGNLVSKASLFGLGSGRARGGVPSARIAVYKVGWSDGISDDDILAAFDDAIADGVDILSLSLGKAEDDYFRDSISIGAFHALRKGILTSTAAGNDGPGPKTIANFAPWFLSVAATTIDREFVTKVQLGNQKIYEGIVTNTFDLKGKFYPLIYAGDAPNRTAGYDESTSKTCEPGTLDHNLVKGKIVLCDGTTGYGAYFAGAVGVILQSRPVADVLDPLPMPASCLGLDSGNSIYYYITSTRNPTATIFKSTEDIDTLSPYVPSFSSRGPNPVTPNILKPDIAAPGASILAAFPPIAPVSFVQGDDRVASYNFVSGTSMACPHATGVAAYVKSFHPNWSPAAIQSAIITTAKPLSPDLNPEAEFAYGAGQIDPVRAPYPGLVYDATELDYIEFLCAQGYSTKLLQSITGHKSSCSSKTNYGALSDNLNYPSFALSSSNPNCISGVFNRTATNVGSPRSAYKAKVIGATKGLEIKVNPSILSFSSLGQKLSFQVTVKGSIHHKSRVSASLVWDDGTFQVRSPIVVYAIYY >ONI21449 pep chromosome:Prunus_persica_NCBIv2:G2:9112198:9128896:-1 gene:PRUPE_2G066200 transcript:ONI21449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTPPPLDQQQQQQQEDDEMLVPHSEFPDGPQPMEEAQAETNNTVDAQSVDDPLSARFTWTIESFSRLNTKKLYSDIFLVGGYKWRILIFPKGNNVDHLSMYLDVADSGTLPYGWSRYAQFSLSIVNQIHSKYSIRKETQHQFNARESDWGFTSFMPLGELYDPGRGYIVNDTCIVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDNPSGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDAYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHQMNYIECINVDYKSTRKESFYDLQLDVKGCRDIYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNAPFKFTKYSNAYMLVYIREIDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARNEDLHEQIGKNIYFDLVDHDKVHSFRIQKQMPFNLFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEEAQSVGELREVSNKSNNAELKLFLEIELGPDLLPLSPPEKTKEEILLFFKLYDPVKEELRYVGRLFVKGSGKPVELFAKLNEMAGFSPDEKIELFEEIKFEPNIMCEHIDEKATFRVSQLEDGDIICYQKSPQAGSSEQFRYPDVPSFLDYVRNRQVVRFRSLDKPKEDEFCLELSKFHTYDDVVERVAQHLGLDDPTKIRLTSHNCYSQQPKPQPIKFRGVEHLSDMLVHYNQTTDVLYYEVLDIPLPELQGLKTLKVAFHHATKDEVVVHTIRLPKQSSVGDVIDDLKTKVELSHPDAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNFGPHDRLIHVYHFMKDTAQNQVQNFGEPFFLVIREDETLAEVKVRVQKKLQVPDEEYSKWKFAFLSMGRPEYLQDDDIVASRFQRRDVYGAWEQYLGLEHTDHAPKRSHTTNQNRHTFEKPVKIYN >ONI21448 pep chromosome:Prunus_persica_NCBIv2:G2:9111548:9129074:-1 gene:PRUPE_2G066200 transcript:ONI21448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMTPPPLDQQQQQQEDDEMLVPHSEFPDGPQPMEEAQAETNNTVDAQSVDDPLSARFTWTIESFSRLNTKKLYSDIFLVGGYKWRILIFPKGNNVDHLSMYLDVADSGTLPYGWSRYAQFSLSIVNQIHSKYSIRKETQHQFNARESDWGFTSFMPLGELYDPGRGYIVNDTCIVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDNPSGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDAYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHQMNYIECINVDYKSTRKESFYDLQLDVKGCRDIYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNAPFKFTKYSNAYMLVYIREIDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARNEDLHEQIGKNIYFDLVDHDKVHSFRIQKQMPFNLFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEEAQSVGELREVSNKSNNAELKLFLEIELGPDLLPLSPPEKTKEEILLFFKLYDPVKEELRYVGRLFVKGSGKPVELFAKLNEMAGFSPDEKIELFEEIKFEPNIMCEHIDEKATFRVSQLEDGDIICYQKSPQAGSSEQFRYPDVPSFLDYVRNRQVVRFRSLDKPKEDEFCLELSKFHTYDDVVERVAQHLGLDDPTKIRLTSHNCYSQQPKPQPIKFRGVEHLSDMLVHYNQTTDVLYYEVLDIPLPELQGLKTLKVAFHHATKDEVVVHTIRLPKQSSVGDVIDDLKTKVELSHPDAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNFGPHDRLIHVYHFMKDTAQNQVQNFGEPFFLVIREDETLAEVKVRVQKKLQVPDEEYSKWKFAFLSMGRPEYLQDDDIVASRFQRRDVYGAWEQYLGLEHTDHAPKRSHTTNQNRHTFEKPVKIYN >ONI22396 pep chromosome:Prunus_persica_NCBIv2:G2:18397220:18398200:1 gene:PRUPE_2G126500 transcript:ONI22396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSFGHFHPSDSLLVHNTSSLMALVRASDPQTSSVSSICRYCRYHVFLSFRGQDTRKTFTDHLYTALVNAGFRTFRDDDEVERGEAIKPELQKAIKHSRTSCCAEASTNCE >ONI22463 pep chromosome:Prunus_persica_NCBIv2:G2:18946343:18952465:1 gene:PRUPE_2G131200 transcript:ONI22463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVSDSHSNREAVQATNDDASASKLSCVKKGYMKDDYVHLFVRRPVRRSPIINRGYFARWAALRKLLNQFLDTEKIGGEKGHLKKQVLSLGAGFDTTYFQLQDEGKAPHLYVELDFKEVTSKKTTLIETCSHLKDKISATASISREKGEVLSDHYKLLPIDLRNIQQLDDVIALAGMDRSLPTFIIAECVLIYLDPDSSRAIVGWTSKAFSTAIFFLYEQIHPDDAFGQQMIRNLESRGCALLGIHDTPTLQAKENLFLDQGWQRAVAWDMLKVYSNFVEAQERRRIERLELFDEFEEWYMMQEHYCVAYAINDAMGLFEDFGFPNNQQKQQQHMQNVPNPSSSSSSSY >ONI20272 pep chromosome:Prunus_persica_NCBIv2:G2:593229:595909:-1 gene:PRUPE_2G005900 transcript:ONI20272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVYKLQSLSSSLSSTHFRKPNSLNPQPICSLHFNSSSNSSPFTEKTSIERYQRDQWLYKNQLDQATLCSVPPDFDSIRQNDIALQLPELRKLLQVLRGKRESEGGCGSGKCGPGNVFLVGTGPGDPELLTLKAYRVIQNADLLLYDRLVSNDVLELVGSGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLRQQGIEVNVIPGITAASGIAAVLGIPLTHRGVANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLAQKLVHHGLPPNTPAVAVERGTTPQQRMVFAELKDLADEIISAELVSPTLIIIGKVVALSPSWPYSSKEVSCFVEAI >ONI20275 pep chromosome:Prunus_persica_NCBIv2:G2:594876:595909:-1 gene:PRUPE_2G005900 transcript:ONI20275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVYKLQSLSSSLSSTHFRKPNSLNPQPICSLHFNSSSNSSPFTEKTSIERYQRDQWLYKNQLDQATLCSVPPDFDSIRQNDIALQLPELRKLLQVLRGKRESEGGCGSGKCGPGNVFLVGTGPGDPELLTLKAYRVIQNADLLLYDRLVSNDVLELVGSGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLRQQGIEVNVIPGTMID >ONI20273 pep chromosome:Prunus_persica_NCBIv2:G2:593229:595909:-1 gene:PRUPE_2G005900 transcript:ONI20273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVYKLQSLSSSLSSTHFRKPNSLNPQPICSLHFNSSSNSSPFTEKTSIERYQRDQWLYKNQLDQATLCSVPPDFDSIRQNDIALQLPELRKLLQVLRGKRESEGGCGSGKCGPGNVFLVGTGPGDPELLTLKAYRVIQNADLLLYDRLVSNDVLELVGSGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLRQQGIEVNVIPGITAASGIAAVLGIPLTHRGVANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLAQKLVHHGLPPNTPAVAVERGTTPQQRMVFAELKDLADEIISAELVSPTLIIIGKVVALSPSWPYSSKEVSCFVEAI >ONI20274 pep chromosome:Prunus_persica_NCBIv2:G2:592603:596105:-1 gene:PRUPE_2G005900 transcript:ONI20274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVYKLQSLSSSLSSTHFRKPNSLNPQPICSLHFNSSSNSSPFTEKTSIERYQRDQWLYKNQLDQATLCSVPPDFDSIRQNDIALQLPELRKLLQVLRGKRESEGGCGSGKCGPGNVFLVGTGPGDPELLTLKAYRVIQNADLLLYDRLVSNDVLELVGSGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLRQQGIEVNVIPVSDFLPGTPGREEQTLCLLQRMQLTLIQPWWFIWVCRPSPLLLKSWCIMVCHQIHQLLQLSEGPHLNSAWFLQN >ONI23295 pep chromosome:Prunus_persica_NCBIv2:G2:22394830:22396565:1 gene:PRUPE_2G180700 transcript:ONI23295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLLSPSSSNLLLHHLREVHLPTIRPAEVEESSNIGEARHRPASAAIVAPNAAFSFRGPQTTVYAAAYCIGGGTSLCPDEVLLTALPVNGPTRQDAMAAIMAFRLKMTLRHSSSRRQLVDFCFLVPAEGGDQNFVDPAPNRGPNYIPDLNVSPPDATAPAMEFVGEIKSQNISRFIDYVVGYLGEYGSDDSVAEYRKILSSKSEDEIGNLDSIRVWNILTLRTEIQKALVAKGLVEAPIGSDDVQFPAQQQLLPIPQQGWTAALNHAHIHCHVTAAAAGTGANFNGNDKTMSDGRNYGVGYEKQSNVAKRKAVAVGGVDEDDPCCPYDQPMKKPSHGVGGVLWIDEAKALARDKAPIDEAEEEGVSTD >ONI20703 pep chromosome:Prunus_persica_NCBIv2:G2:3187425:3189718:-1 gene:PRUPE_2G029900 transcript:ONI20703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVDSVPSQRLAGKVALVTGGATGIGESIVRLFHKHGAKVCLVDVQDNLSLQVCESLGGDPNVSYFHCDVTIEDDVSRAVDFTVNKYGTLDIIVNNAGVSGSPCPDIRNADVSEFEKVFDINVKGVFLGMKHAARIMIPLKKGSIISLSSVSSALGGLGPHAYTGSKHAVLGLTKNVAAELGIHGIRVNCVSPYAVATNLALAHLPEEERTEDAWAGFRSFVGGNANLQGVELTVDDVANAVLFLASDESKYISGDNLMIDGGITCVNHSLGVFR >ONI22029 pep chromosome:Prunus_persica_NCBIv2:G2:15843699:15848238:1 gene:PRUPE_2G102600 transcript:ONI22029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTPNGNSWEIFNTTIATDQLRYASNQLPLNSDEERKLENNFLNIGVHIDVDSDDDGINLKIDHEKSLEAFSTSKEMYSIEECIRLVEEMVDIDNDTFNKMLEKIVLIEWRKIFVTMSDAMRKAGLASL >ONI24500 pep chromosome:Prunus_persica_NCBIv2:G2:26213449:26216010:-1 gene:PRUPE_2G244100 transcript:ONI24500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRRLVVFACCSLLRLKKTNRTPNQPTPSLPMTVPNDAVSKQGDDRPAESELVRGTEAELIELGDATELNEGALSSGKVRGPWSPEEDAVLSRLVSKFGARNWSLIARGIPGRSGKSCRLRWCNQLDPCVKRKPFSEEEDHIIVSAHAIHGNKWAAIARLLPGRTDNAIKNHWNSTLRRKCIDRGKFKPEPDMMEDASFDRTKASSEETLSVGNISSFKTPEGREVLMDNRLDQLDERVQAKEGCGVAESNYHSTLIAGSSGHPTLLGESKEQSSLCRPVARVSAFSVYDPPSGPANTSTFSRIVPSHGPLVQTCTLDFGFGNFVEGACNEPMVPLRCGHGCCDPSKGHSQSSLLGPEFVEYDEPPSFSSHELISIATDLNKIAWIKSGLENNGTRITENAASQRVSQGATTTSQMGLSVHNSTNDQMRYEEGRNKLMGMMTEVLSTQVPRQTFAMPTEVEGLS >ONI23233 pep chromosome:Prunus_persica_NCBIv2:G2:22192774:22194678:1 gene:PRUPE_2G176600 transcript:ONI23233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKEKKLTVIGSVDPVTVVSKLRKYWQTDILSVGPAVEPKKEEPKKEEPKKEEEAKKEEPKKEEAKKEEPKKEEAKKEEEPKKEEPKKEEPKKEPDPVLELVKAYKAYNPHLTTYYYVQSMEENPNACVIC >ONI23232 pep chromosome:Prunus_persica_NCBIv2:G2:22192242:22194689:1 gene:PRUPE_2G176600 transcript:ONI23232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFVLKLDLHDDRAKQKALKTVSTLSGIDSISMDMKEKKLTVIGSVDPVTVVSKLRKYWQTDILSVGPAVEPKKEEPKKEEPKKEEEAKKEEPKKEEAKKEEPKKEEAKKEEEPKKEEPKKEEPKKEPDPVLELVKAYKAYNPHLTTYYYVQSMEENPNACVIC >ONI23235 pep chromosome:Prunus_persica_NCBIv2:G2:22192684:22194678:1 gene:PRUPE_2G176600 transcript:ONI23235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKEKKLTVIGSVDPVTVVSKLRKYWQTDILSVGPAVEPKKEEPKKEEPKKEEEAKKEEPKKEEAKKEEPKKEEAKKEEEPKKEEPKKEEPKKEPDPVLELVKAYKAYNPHLTTYYYVQSMEENPNACVIC >ONI23234 pep chromosome:Prunus_persica_NCBIv2:G2:22192365:22194840:1 gene:PRUPE_2G176600 transcript:ONI23234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKEKKLTVIGSVDPVTVVSKLRKYWQTDILSVGPAVEPKKEEPKKEEPKKEEEAKKEEPKKEEAKKEEPKKEEAKKEEEPKKEEPKKEEPKKEPDPVLELVKAYKAYNPHLTTYYYVQSMEENPNACVIC >ONI24787 pep chromosome:Prunus_persica_NCBIv2:G2:27101437:27105204:1 gene:PRUPE_2G262400 transcript:ONI24787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAQGIWAHDLGTRVVFPRTAPRFAPTIYILYARSHLTQVFSHSLSALSFLVLTQVQQHTSMALRSLVARKSLGLGFQSKAKILAVGSTAHSRGFQTFSLPDLPYDYGALEPAISGEIMQLHHQKHHQTYVTNYNKALEQLHDAIAKGDAAAVVKLQSAIKFNGGGHVNHSIFWKNLTPVGQGGGEPPHGSLGWAIDTNFGSMEALVQKINAEGAALQGSGWVWLALDKELKKLVVETTANQDPLVTKGPTLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASEVYEKESP >ONI24786 pep chromosome:Prunus_persica_NCBIv2:G2:27102156:27104832:1 gene:PRUPE_2G262400 transcript:ONI24786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAQGIWAHDLGTRVVFPRTAPRFAPTIYILYARSHLTQVFSHSLSALSFLVLTQVQQHTSMALRSLVARKSLGLGFQSKAKILAVGSTAHSRGFQTFSLPDLPYDYGALEPAISGEIMQLHHQKHHQTYVTNYNKALEQLHDAIAKGDAAAVVKLQSAIKFNGGGHVNHSIFWKNLTPVGVRGGEPPHGSLGWAIDTNFGSMEALVQKINAEGAALQGSGWVWLALDKELKKLVVETTANQDPLVTKGPTLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASEVYEKESP >ONI26019 pep chromosome:Prunus_persica_NCBIv2:G2:30304374:30309924:1 gene:PRUPE_2G329900 transcript:ONI26019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSQAFSWSRLILNQAIKNPTKAPLPFSGKISTSPHFPTSHAPKPSFSFSGKTHYSTVSSRAAQDLLAEVEREKQREREQRKRAGLDTKDIDQEDEEDYLGVIPLIEKLDKEKLKGDTGDLNLYEEPTDSDSDEDDERFTPDAVKKRFDEFQKKFTRHEELLKNFTEAGTLDDAFKWMNKIDKFEQKHFRLRPEYRVIGELINRLKVAEGKDKFILQQKLNRAMRLVQWKEAFDPNNPANYGVIQHEQVDSSVDLLEHAGFEKEKQIIQGVDDDDDEEFNDMKEKDDILLEKLNAIDKKLEEKLAELDHTFGKKGKVLEEEIRDLAEERNDVTEKKRRPLYRKGFDVKIINVNRTCKVTKGGQVVKYSAILACGNYHGVVGYAKAKGPAVPIALQKDFELNAYEKCFQNLHYVERHEEHTIAHAIQTTYKKTKVYLWPASTRTGMKAGRTVQTILNLAGFKNVKSKVVGSRNPHNTVKALFKSLNAIETPKDVQEKFGRTVVEKYLL >ONI26020 pep chromosome:Prunus_persica_NCBIv2:G2:30304374:30309924:1 gene:PRUPE_2G329900 transcript:ONI26020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSQAFSWSRLILNQAIKNPTKAPLPFSGKISTSPHFPTSHAPKPSFSFSGKTHYSTVSSRAAQDLLAEVEREKQREREQRKRAGLDTKDIDQEDEEDYLGVIPLIEKLDKEKLKGDTGDLNLYEEPTDSDSDEDDERFTPDAVKKRFDEFQKKFTRHEELLKNFTEAGTLDDAFKWMNKIDKFEQKHFRLRPEYRVIGELINRLKVAEGKDKFILQQKLNRAMRLVQWKEAFDPNNPANYGVIQHEQVDSSVDLLEHAGFEKEKQIIQGVDDDDDEEFNDMKEKDDILLEKLNAIDKKLEEKLAELDHTFGKKGKVLEEEIRDLAEERNDVTEKKRRPLYRKGFDVKIINVNRTCKVTKGGQVVKYSAILACGNYHGVVGYAKAKGPAVPIALQKAYEKCFQNLHYVERHEEHTIAHAIQTTYKKTKVYLWPASTRTGMKAGRTVQTILNLAGFKNVKSKVVGSRNPHNTVKALFKSLNAIETPKDVQEKFGRTVVEKYLL >ONI25579 pep chromosome:Prunus_persica_NCBIv2:G2:29368968:29373136:1 gene:PRUPE_2G310300 transcript:ONI25579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYWSKKAKTPGYEDPAVLAAETPFTVNEVEALYELFKKLSSSLFDDGLIHKEEFQLALFKNKDKRNLFADRIFDVFDVKRNGVIEFGEFVRSLGVFHPNAPVEDKTAFAFRLYDLRQTGYIEREELKEMVLALLHESELVLSDDVIEVIVDKTYSEADKKGDGRIDQEEWREFVLKHPSLIKNMTLPYLKDITLAFPSFVMSSEVEDSEI >ONI25580 pep chromosome:Prunus_persica_NCBIv2:G2:29368821:29373136:1 gene:PRUPE_2G310300 transcript:ONI25580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYWSKKAKTPGYEDPAVLAAETPFTVNEVEALYELFKKLSSSLFDDGLIHKEEFQLALFKNKDKRNLFADRIFDVFDVKRNGVIEFGEFVRSLGVFHPNAPVEDKTAFAFRLYDLRQTGYIEREELKEMVLALLHESELVLSDDVIEVIVDKTYSEADKKGDGRIDQEEWREFVLKHPSLIKNMTLPYLKDITLAFPSFVMSSEVEDSEI >ONI25578 pep chromosome:Prunus_persica_NCBIv2:G2:29368965:29373136:1 gene:PRUPE_2G310300 transcript:ONI25578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYWSKKAKTPGYEDPAVLAAETPFTVNEVEALYELFKKLSSSLFDDGLIHKEEFQLALFKNKDKRNLFADRIFDVFDVKRNGVIEFGEFVRSLGVFHPNAPVEDKTAFAFRLYDLRQTGYIEREELKEMVLALLHESELVLSDDVIEVIVDKTYSEADKKGDGRIDQEEWREFVLKHPSLIKNMTLPYLKDITLAFPSFVMSSEVEDSEI >ONI22459 pep chromosome:Prunus_persica_NCBIv2:G2:18925862:18928597:-1 gene:PRUPE_2G130900 transcript:ONI22459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QFSCREEESSALLQFKKSFIIDKSASSYDGAYPKVSAWKLGGGGNSSCCSWDGVECDEKTGHVIGLDLSSSYLYGSIHSNSSLFSLVHLQRLNLSDNNFNYSQIPSSIRNFPNLTQLDLSASVFAGQVPYEVSQLSKLTSLNLCCNLETSSSEGLLKLQPSDMRSLVHNLTSLEILQLNFVSISSTVPESLANLTFLTFLALRECDLVGEFPVRIFNLPNLKSLSVRYNQDLTGYFPEFNRSSPLVLLKVAFTANKFVGSIPDSLANLTKLTVCRINSNPLTGPIPSWLGNFTKLIYLDLSFNSLNGSIPTSFSNLMNLELLYLHGNHLSGVVKLEMFQKLQNLYELQLNWNNLEFVTESKITNATVQQFTVLSLSACNIREFPSFLRYQTNLERLDLSRNKLHGQVPKWMWNISTETLVYMDISENFLSDQLPFFLPWVNLLCLRLSSNMFHGPVPIPPPSMLEYRVPENKFSGEISPLLCHMSSLRYLDLSKNNLSGTLPQCLGNFSDGLILLLLRRNSFHGIVPQSYSNRSSLRMIDVSHNQLQGRLPRSLANCLMLEYLVLSNNQFSDAFPIWLGTLPELKLLAMRQNAFSGVIGNSRKNLDFPKLRIVDLSYNNFTGEIPSVFPDSTVNNSDYMHTDVTYNANDFLVIFSVDYSITIATKGLDLYYSKIQEEFASFDISSNKFEGQIPEFIGNLTELHSLNISNNILTGSIPSSLGKLTKLESLDLSRNKLSGQIPQQLTQLNFLGNLDVSHNNLTGRIPQGTQLTSFNSTSYEGNPGLCGDPLPRKCGDPEAPRQPSSLVEENDSGSAGTLELDWRFGLAGYGSGMVVGVVLADFVISRRHELYVKIVAKIRLKIWKR >ONI24009 pep chromosome:Prunus_persica_NCBIv2:G2:24796372:24796938:1 gene:PRUPE_2G218600 transcript:ONI24009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEALQVAKVYRHLLRAVKKHVAKEDRARHFKEYVTEEFRNNCKLSDPSSIQQKIKLAHNYTFLLNSVHHHQDLLFSYNIAVDRSDEMKKVLGKSAASVGLQLPEVYQP >ONI25789 pep chromosome:Prunus_persica_NCBIv2:G2:29850669:29853352:-1 gene:PRUPE_2G320500 transcript:ONI25789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGFLRNFDHTNNKMDSCSIANFLLVLLLSLASLCPVHGTHEEDHNTNYAKEILSAAQQDKQWLVSIRRQIHENPELRFEEYNTSALLRRELDQLGITYTYPIAKTGIVAQIGSGSSPVVALRADMDALSLQVLSVTYVRGGSASNVIPSHVEFGGTLRSLTTEGLWKLRRRLKEVIESQAVVHRCNAYVDMKDEEFPPLPAVFNDESLHLHVKRVGELTLGPENVKVCEKLMAGEDFAFYQELIPGVMFSIGIRNEEVGSVYSPHSPYFFLDEDVLPIGVALHVALVEIYLESRQHAVKQ >ONI25791 pep chromosome:Prunus_persica_NCBIv2:G2:29850837:29853174:-1 gene:PRUPE_2G320500 transcript:ONI25791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGFLRNFDHTNNKMDSCSIANFLLVLLLSLASLCPVHGTHEEDHNTNYAKEILSAAQQDKQWLVSIRRQIHENPELRFEEYNTSALLRRELDQLGITYTYPIAKTGIVAQIGSGSSPVVALRADMDALSLQELVEWEHKSKVDGKMHGCGHDAHTTMLLGAAKLLNQRKDKLKGTVRLIFQPAEEGGAGASEMIKGGALGEAEAIFGMHVAYGIPTGTIASISGPHLAAVCFFEAKMIGIGGHAAEPHLSADPILAASFAILALQQLISREVDPLHSQVLSVTYVRGGSASNVIPSHVEFGGTLRSLTTEGLWKLRRRLKEVIESQAVVHRCNAYVDMKDEEFPPLPAVFNDESLHLHVKRVGELTLGPENVKVCEKLMAGEDFAFYQELIPGVMFSIGIRNEEVGSVYSPHSPYFFLDEDVLPIGVALHVALVEIYLESRQHAVKQ >ONI25790 pep chromosome:Prunus_persica_NCBIv2:G2:29850837:29853174:-1 gene:PRUPE_2G320500 transcript:ONI25790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGFLRNFDHTNNKMDSCSIANFLLVLLLSLASLCPVHGTHEEDHNTNYAKEILSAAQQDKQWLVSIRRQIHENPELRFEEYNTSALLRRELDQLGITYTYPIAKTGIVAQIGSGSSPVVALRADMDALSLQGTVRLIFQPAEEGGAGASEMIKGGALGEAEAIFGMHVAYGIPTGTIASISGPHLAAVCFFEAKMIGIGGHAAEPHLSADPILAASFAILALQQLISREVDPLHSQVLSVTYVRGGSASNVIPSHVEFGGTLRSLTTEGLWKLRRRLKEVIESQAVVHRCNAYVDMKDEEFPPLPAVFNDESLHLHVKRVGELTLGPENVKVCEKLMAGEDFAFYQELIPGVMFSIGIRNEEVGSVYSPHSPYFFLDEDVLPIGVALHVALVEIYLESRQHAVKQ >ONI25792 pep chromosome:Prunus_persica_NCBIv2:G2:29850837:29853174:-1 gene:PRUPE_2G320500 transcript:ONI25792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIGFLRNFDHTNNKMDSCSIANFLLVLLLSLASLCPVHGTHEEDHNTNYAKEILSAAQQDKQWLVSIRRQIHENPELRFEEYNTSALLRRELDQLGITYTYPIAKTGIVAQIGSGSSPVVALRADMDALSLQELVEWEHKSKVDGKMHGCGHDAHTTMLLGAAKLLNQRKDKLKGTVRLIFQPAEEGGAGASEMIKGGALGEAEAIFGMHVAYGIPTGTIASISGPHLAAVCFFEAKMIGIGGHAAEPHLSADPILAASFAILALQQLISREVDPLHSQVSGLPPKKTTPPLFFFLKKKRKIFSCYFNFVPISIVCQLEQLVKRQLLDISERTYMMTLLNVLSVTYVRGGSASNVIPSHVEFGGTLRSLTTEGLWKLRRRLKEVIESQAVVHRCNAYVDMKDEEFPPLPAVFNDESLHLHVKRVGELTLGPENVKVCEKLMAGEDFAFYQELIPGVMFSIGIRNEEVGSVYSPHSPYFFLDEDVLPIGVALHVALVEIYLESRQHAVKQ >ONI21720 pep chromosome:Prunus_persica_NCBIv2:G2:13300831:13302422:1 gene:PRUPE_2G084000 transcript:ONI21720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNHKFDQEKSRMDFARMVIMHNYLSNMAEHEYFEIFLNGLQPMFKLVSRNTVRSDVFQVHKTENERLYKHFVEYSCRITLWTADHQDIGYICLTSHFIHDNWELEQKYNCLQIYILHLILDWKLEKKLFSMVVDNASVNDAMVRKLKSWLCDKSSISLQGELFHVRCRAHILNVIVQDGLKVIRDFICKVRETVKYLKRSPYVTQKFNQAKTQLKLNDKKNVKMNCPTRWNSTFLMIESAFKMKDESSEYDFLRLMATSMKLKFNKYKEQCSLILAVAIIFYLRFKMNLVHFYYTNVHAAHKKSELYDYLDASLFPRSEHFHVLNWWKVKSAKLLILPKIARDVLAIPETTVAFEASFSVGGRVIDELRACILPETIEAMVTIKFWL >ONI21631 pep chromosome:Prunus_persica_NCBIv2:G2:11823164:11824828:-1 gene:PRUPE_2G076900 transcript:ONI21631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSTTVIIESPEERTEEALKQDGSLDEARKLHKELAEKKFLRGTEQVELPRNKKSEATNTNKTNESPATIRGNSIKATGSCRAKSHHVGVFECNNRDQGTGCDIENNEVDAKDSQFVGVFNCGNESKKPNYFNITHLYFVCLVLLCFAMYKY >ONI21632 pep chromosome:Prunus_persica_NCBIv2:G2:11823164:11824148:-1 gene:PRUPE_2G076900 transcript:ONI21632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSTTVIIESPEERTEEALKQDGSLDEARKLHKELAEKKFLRGTEQVELPRNKKSEATNTNKTNESPATIRGNSIKATGSCRAKSHHVGVFECNNRDQGTGCDIENNEVDAKDSQFVGVFNCGNESKKPNYFNITHLYFVCLVLLCFAMYKY >ONI21630 pep chromosome:Prunus_persica_NCBIv2:G2:11823164:11824829:-1 gene:PRUPE_2G076900 transcript:ONI21630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSTTVIIESPEERTEEALKQDEARKLHKELAEKKFLRGTEQVELPRNKKSEATNTNKTNESPATIRGNSIKATGSCRAKSHHVGVFECNNRDQGTGCDIENNEVDAKDSQFVGVFNCGNESKKPNYFNITHLYFVCLVLLCFAMYKY >ONI22379 pep chromosome:Prunus_persica_NCBIv2:G2:18205963:18208189:-1 gene:PRUPE_2G125300 transcript:ONI22379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHVNGAEDPIVHRMVIDKSTPASDEDSPVDYSILRMPFLRRMTTDLQEAWPVLQSALEEYDISCALDVDRYFITFTTTRTKDPYAILKSRYLLRLLSAAVPALQAVKVLNGIPCHLIYTGYHIGGLCKKFGIKMDKYVSRKKILMTLPVQALEKLTGCDIYLRPSDDLVAVMGPVQGLELVRRIVEDCIVHNVPPAPRVKRLTIYAQTIKGVKALRL >ONI22893 pep chromosome:Prunus_persica_NCBIv2:G2:21095626:21097789:-1 gene:PRUPE_2G157600 transcript:ONI22893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRMKTRLPSRGYERQKGCFVFPSLLFFLVVEWLISRNLQFYKVNVSWGHNFLGSLFGAEPPWGLSYMQPKTEKASQWGSYLRQIKNNLKKKKETVSAQPNPTCEDYQNKKHTQNQ >ONI22894 pep chromosome:Prunus_persica_NCBIv2:G2:21095617:21097724:-1 gene:PRUPE_2G157600 transcript:ONI22894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRMKTRLPSRGYERQKGCFVFPSLLFFLVVEWLISRNLQFYKVNVSWGHNFLGSLFGAEPPWGLSYMQPKTEKASQWGSYLRQIKNNLKKKKETVSAQPNPTCEDYQNKKHTQNQ >ONI22896 pep chromosome:Prunus_persica_NCBIv2:G2:21095626:21095979:-1 gene:PRUPE_2G157600 transcript:ONI22896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRMKTRLPSRGYERQKGCFVFPSLLFFLVVEWLISRNLQFYKVNVSWGHNFLGSLFGAEPPWGLSYMQPKTEKASQWGSYLRQIKNNLKKKKETVSAQPNPTCEDYQNKKHTQNQ >ONI22897 pep chromosome:Prunus_persica_NCBIv2:G2:21095626:21097724:-1 gene:PRUPE_2G157600 transcript:ONI22897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRMKTRLPSRGYERQKGCFVFPSLLFFLVVEWLISRNLQFYKVNVSWGHNFLGSLFGAEPPWGLSYMQPKTEKASQWGSYLRQIKNNLKKKKETVSAQPNPTCEDYQNKKHTQNQ >ONI22895 pep chromosome:Prunus_persica_NCBIv2:G2:21095626:21097670:-1 gene:PRUPE_2G157600 transcript:ONI22895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRMKTRLPSRGYERQKGCFVFPSLLFFLVVEWLISRNLQFYKVNVSWGHNFLGSLFGAEPPWGLSYMQPKTEKASQWGSYLRQIKNNLKKKKETVSAQPNPTCEDYQNKKHTQNQ >ONI25048 pep chromosome:Prunus_persica_NCBIv2:G2:27835062:27835232:-1 gene:PRUPE_2G277300 transcript:ONI25048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIKSSFSFIMGTVAGVYIAQNYAVPNIRKLADTAVFIAKQYEEKYRKPKKRDDE >ONI24958 pep chromosome:Prunus_persica_NCBIv2:G2:27526399:27528776:-1 gene:PRUPE_2G271900 transcript:ONI24958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKESMRKCSHCGHNGHNSRTCNNNGHGHGQNKGVCLKLFGVNIMEKEDDSMKKSYSMGNLQAAGNADHNNNVVTIDHDAGYLSDGLIHNKKHKAAHERKKGRPWTEEEHRVFLAGLKKLGKGDWRGIARNFVTTRTPTQVASHAQKYFLRQATYDKRKRRSSLFDMQFKELSMDLQDQGHQDSPISPTRTATETSSEGSSSKVLPQKINTANSSPPKASVPSQILNRFPHLCLDSPPAAPVSPPCNVPNYPAVSYMMGIPENVPYTPMMHFARPSYHYMIKTHGNFATCAPVISHPSGIPSPRSLPSSPSMAGRIGMSSPAEKDALELKIGQPQPSQGANLSSPTSGAIRVT >ONI24957 pep chromosome:Prunus_persica_NCBIv2:G2:27526361:27528779:-1 gene:PRUPE_2G271900 transcript:ONI24957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKESMRKCSHCGHNGHNSRTCNNNGHGHGQNKGVCLKLFGVNIMEKEDDSMKKSYSMGNLQAAGNADHNNNVVTIDHDAGYLSDGLIHNKKHKAAHERKKGRPWTEEEHRVFLAGLKKLGKGDWRGIARNFVTTRTPTQVASHAQKYFLRQATYDKRKRRSSLFDMQFKELSDQGHQDSPISPTRTATETSSEGSSSKVLPQKINTANSSPPKASVPSQILNRFPHLCLDSPPAAPVSPPCNVPNYPAVSYMMGIPENVPYTPMMHFARPSYHYMIKTHGNFATCAPVISHPSGIPSPRSLPSSPSMAGRIGMSSPAEKDALELKIGQPQPSQGANLSSPTSGAIRVT >ONI20294 pep chromosome:Prunus_persica_NCBIv2:G2:692698:696199:-1 gene:PRUPE_2G007400 transcript:ONI20294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISSLLSPPNLLHHHTKPIKAAPKLSLQILKPTQFQGFQKLTSSYMILTPRHSQRVSAVAEEVAADPSSKAARRVYIGNIPRTVDNAELTKIVEEHGAVEKAEVMFDKYSGRSRRFAFVTAKTVEDANSIVEKLNGTEIGGREIKVNITEKPLLQADVSLLQAEESQFIDSPHKVYVGNLGKEVTTDTLKTLFSEKGKVLSAKVSRVPGTSKSSGFGFVSFSSEEDVEAAISSFNNTLFEGQRIRVNKA >ONI22452 pep chromosome:Prunus_persica_NCBIv2:G2:18754231:18755665:1 gene:PRUPE_2G130200 transcript:ONI22452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRSNDSSFVKFPKDDGIEPVIMLLEILSERSSLRFPMNSGILPSNLLLEISMAANPSRILE >ONI26017 pep chromosome:Prunus_persica_NCBIv2:G2:30298060:30302376:1 gene:PRUPE_2G329800 transcript:ONI26017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCLVVPYSYSSTGTRSRTRSSGSASTSKPPGLQVQLQHHHLKYSLIQQNSLSDSAQCYYNTHTLLRRRILCALDSDVPHPLHHQVQSNKSFEQWDSWTAKFSGASNIPFLLLQMPQIILNAQNLLAGNKAALLAVPWLGMFTGLLGNLSLLSYFAKKREKEAIVVQTLGVVSLYAVFAQLSMAEAMPLPYFVITSVVVATGLVLNFLNYFGLLNAGIWRFWEDFITVGGLSVLPQIMWSTFVPYIPNSILPGVFAFLVALVAVIMARLGKLSAKGIKFVGAISGWTATLLFMWMPISQMWTNFLNPDNIKGLSAFSMLLAMIGNGLMIPRALFIRDFMWFTGSTWASLFYGYGNIVCLYWFNSISKEFFLAATAGLILWIGMTLWRDADVYGYNSPFTSLKELVSGS >ONI26018 pep chromosome:Prunus_persica_NCBIv2:G2:30298060:30302376:1 gene:PRUPE_2G329800 transcript:ONI26018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCLVVPYSYSSTGTRSRTRSSGSASTSKPPGLQVQLQHHHLKYSLIQQNSLSDSAQCYYNTHTLLRRRILCALDSDVPHPLHHQVQGMFTGLLGNLSLLSYFAKKREKEAIVVQTLGVVSLYAVFAQLSMAEAMPLPYFVITSVVVATGLVLNFLNYFGLLNAGIWRFWEDFITVGGLSVLPQIMWSTFVPYIPNSILPGVFAFLVALVAVIMARLGKLSAKGIKFVGAISGWTATLLFMWMPISQMWTNFLNPDNIKGLSAFSMLLAMIGNGLMIPRALFIRDFMWFTGSTWASLFYGYGNIVCLYWFNSISKEFFLAATAGLILWIGMTLWRDADVYGYNSPFTSLKELVSGS >ONI22537 pep chromosome:Prunus_persica_NCBIv2:G2:19303875:19304471:-1 gene:PRUPE_2G135300 transcript:ONI22537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCLPFGTIPFKPHSPRPAKITCSSTTAQSQLAKQHLLSLISDQDRGLKTQNNPLKLTSIVSAIDDLAALGKDSITTGGSLSATWRLLWTTEKEQLFIIQNASLFGTLTGDVLQVIDVEQRVLNNVITFPPDGVFFVRSDIAVASKQRVNFNDVGLC >ONI22535 pep chromosome:Prunus_persica_NCBIv2:G2:19300891:19304572:-1 gene:PRUPE_2G135300 transcript:ONI22535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCLPFGTIPFKPHSPRPAKITCSSTTAQSQLAKQHLLSLISDQDRGLKTQNNPLKLTSIVSAIDDLAALGKDSITTGGSLSATWRLLWTTEKEQLFIIQNASLFGTLTGDVLQVIDVEQRVLNNVITFPPDGVFFVRSDIAVASKQRVNFKYALIYKCGSTREELGDSIATVWAGLV >ONI22533 pep chromosome:Prunus_persica_NCBIv2:G2:19300268:19304572:-1 gene:PRUPE_2G135300 transcript:ONI22533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCLPFGTIPFKPHSPRPAKITCSSTTAQSQLAKQHLLSLISDQDRGLKTQNNPLKLTSIVSAIDDLAALGKDSITTGGSLSATWRLLWTTEKEQLFIIQNASLFGTLTGDVLQVIDVEQRVLNNVITFPPDGVFFVRSDIAVASKQRVNFKFTSAVLRGKNWEIPLPPFGQGWFDTVYLDDEIRVVKDIRGDYLVVDRAPYAWKE >ONI22538 pep chromosome:Prunus_persica_NCBIv2:G2:19300997:19304572:-1 gene:PRUPE_2G135300 transcript:ONI22538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCLPFGTIPFKPHSPRPAKITCSSTTAQSQLAKQHLLSLISDQDRGLKTQNNPLKLTSIVSAIDDLAALGKDSITTGGSLSATWRLLWTTEKEQLFIIQNASLFGTLTGDVLQVIDVEQRVLNNVITFPPDGVFFVRSDIAVASKQRVNFNDVGLC >ONI22536 pep chromosome:Prunus_persica_NCBIv2:G2:19300867:19304572:-1 gene:PRUPE_2G135300 transcript:ONI22536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCLPFGTIPFKPHSPRPAKITCSSTTAQSQLAKQHLLSLISDQDRGLKTQNNPLKLTSIVSAIDDLAALGKDSITTGGSLSATWRLLWTTEKEQLFIIQNASLFGTLTGDVLQVIDVEQRVLNNVITFPPDGVFFVRSDIAVASKQRVNFKFTSAVLRGKNWEIPLPPFGQG >ONI22534 pep chromosome:Prunus_persica_NCBIv2:G2:19300852:19304572:-1 gene:PRUPE_2G135300 transcript:ONI22534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCLPFGTIPFKPHSPRPAKITCSSTTAQSQLAKQHLLSLISDQDRGLKTQNNPLKLTSIVSAIDDLAALGKDSITTGGSLSATWRLLWTTEKEQLFIIQNASLFGTLTGDVLQVIDVEQRVLNNVITFPPDGVFFVRSDIAVASKQRVNFKFTSAVLRGKNWEIPLPPFGQGWFDTVYLDDEIRVVKDIRGDYLVVDRAPYAWKE >ONI25181 pep chromosome:Prunus_persica_NCBIv2:G2:28268887:28270521:1 gene:PRUPE_2G286700 transcript:ONI25181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGEGEAEDISTVDNYQRLQIAPNPDGTITRLAKFPESPPTSDPKLPTPVLSKDIPINESKNTWVRIYLPRHALDHSSSSKLPLVVFYHGGGFILFSAASTIFHDYCVGFATDVPVIIASVEYRLAPEHRLPAAYEDATEALHWIKTTPDDWLRDHADLSNTFLMGISAGGNIAYHAALHADVDRLHPLKIHGLILQQPFFSGTQRSGSELRLANNPAFPLSCSDLMWDLSLPIGASRDHEYCNPTVGDGCKKLDRMAVGWRVLVTGWDGDPLIDRQIEVAKMLEENGVHVVCHFAEGGYHGVDIIDASKAEALFVVVKNFIFSQSAS >ONI20553 pep chromosome:Prunus_persica_NCBIv2:G2:2143557:2160619:1 gene:PRUPE_2G022000 transcript:ONI20553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPRAKRPKITRGEDDYMPGSITEIELHNFMTFDDLKCKPGSRLNLVIGPNGSGKSSLVCAIALGLGGEPQLLGRATSVGAYVKRGEASGYIKITLRGNSKEEHIVIMRKIDTHNKSEWLYNGKVVPKKDVAEIIQRFNIQVNNLTQFLPQDRVSEFAKLTPVQLLEETEKAVGDPQLPIQHRALIEQSKKWKRIEQAVEKNGETLNQMKALNAEQEKDVERVRQREELLAKAETMRKKLPWLKYDMKKAEYMEAMKQEKDATKKLDKAARTLNDLREPIEKQKQGRATLESKSKKVDKMITENANKRMKILEKENRLGVLVQEKYKEMEDLRKQEESRQQRILKAKEDLAAAELELENLTPYEPPTDEIMRLRAQIVELEVSANEKRNQKSEKEKLLNQKKLHLINCSDKLKEMENKNSKLLRALRNSGADKIFDAYNWLQEHRHEFNKEVYGPVLLEVNVSDRLHADYLDGHVPYYIWKSFITQDSHDRDFLVKHLKPFDVPVLNYVGNGGCQTEAFQISEEMSALGIYSRLDQVFGAPTAVKEVLTSQFGLDRSYIGSKETDQKADKVSKLGILDFWTPENHYRWSVSRYGGHVSGSVEPVKRSQLFLCGLETGEVESLKSKRMELQEYVTALQESVRSLQIEERQAEEEAAKLQKQREGIIRIVQDEKKKRREMENRIVQRRRKLESMEKEDDLDTVMAKLNEQAAKHNIDRFHSVMEIKSLLAEAVSLKQSFAEKHMRVIEFDAKIKEMEVNIKQHDKVALQAALHLEECKKAVEDFRQQLEVAKKNAELIARITPELEKAFLEMPTTIEELEAAIQENISQANSILFLNHNILKEYEDRQRQIEDKAKKLEADKVELRRCIADVDNLKETWLPTLRNLVAQINETFSWNFKEMAVAGEVSLDEHEMDFDQFGILIKVKFRQAGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPDLDYSEACSILNIMNGPWIKQPAKVWSQGDCWGNVIGLVGKSQC >ONI20554 pep chromosome:Prunus_persica_NCBIv2:G2:2148613:2160619:1 gene:PRUPE_2G022000 transcript:ONI20554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITENANKRMKILEKENRLGVLVQEKYKEMEDLRKQEESRQQRILKAKEDLAAAELELENLTPYEPPTDEIMRLRAQIVELEVSANEKRNQKSEKEKLLNQKKLHLINCSDKLKEMENKNSKLLRALRNSGADKIFDAYNWLQEHRHEFNKEVYGPVLLEVNVSDRLHADYLDGHVPYYIWKSFITQDSHDRDFLVKHLKPFDVPVLNYVGNGGCQTEAFQISEEMSALGIYSRLDQVFGAPTAVKEVLTSQFGLDRSYIGSKETDQKADKVSKLGILDFWTPENHYRWSVSRYGGHVSGSVEPVKRSQLFLCGLETGEVESLKSKRMELQEYVTALQESVRSLQIEERQAEEEAAKLQKQREGIIRIVQDEKKKRREMENRIVQRRRKLESMEKEDDLDTVMAKLNEQAAKHNIDRFHSVMEIKSLLAEAVSLKQSFAEKHMRVIEFDAKIKEMEVNIKQHDKVALQAALHLEECKKAVEDFRQQLEVAKKNAELIARITPELEKAFLEMPTTIEELEAAIQENISQANSILFLNHNILKEYEDRQRQIEDKAKKLEADKVELRRCIADVDNLKETWLPTLRNLVAQINETFSWNFKEMAVAGEVSLDEHEMDFDQFGILIKVKFRQAGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPDLDYSEACSILNIMNGPWIKQPAKVWSQGDCWGNVIGLVGKSQC >ONI21687 pep chromosome:Prunus_persica_NCBIv2:G2:12584117:12601112:-1 gene:PRUPE_2G081200 transcript:ONI21687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAVSADAAVVEVLNARNYADWSVLVKTYLLAQDLWDVVEEEHEDDDEEEEEEADDKFKAWRKKNATALHKIQISCGQEAFSLISNTTSAKRAWDTLAEKFKPKLVRMVKTVVPADAIVVEDLNKDNYEHWSNNLVAQDLSEVVEATAEPPKPEEEAEADDKALMKKNATALHAIQVSCGPDAFSVIKETSSAKIDRDTLAEKFTPQPSLLNNSFFQSASSSSNPGSYEENKPLFDAVWSGDWNKAKKFLTERPNAIKARLPYTDKMALHFATELEHEHIVEELVQLMSEEDLEITDNWGQTALALAAKRGNRKMVKCMVRKSKKTLSIPTKTRNRTPIILAAMNEQWDVVKDLYFVTPLQDLKPDKGPYGAGLLRYFIVGMKFDIALELIQLCPELVFTKGQNGKFPMEGFMPSAFLSGTPLNFLQRRIYNCIHVERAINDIRVSVQNEGNEESNPMKITCSVVGFLQGLKSNLLELLGINRIREIKQAHIQSLELLHHMREVIKHRHHHDYVRQAIFRAIELGMFEFTDSVLQARPNLIWLSNQAGRNLVHFAIECRQEKIYSLIINRLDERERKLIGNIADMSGNCALHVAGMLSKFAKLNDISGAALQMQRELQWFKEVETIGLPRLKERRNKDHMTPRELFTDNHKELVKEGERWMKETATSCTVVGALIITIMFAAAFTVPGGNNGETGFPIFLHKNLFMAFIVLDAISLFSSTTSVLMFLGILTSRYAENDFLKSLPTKMIIGLSTLIISIATMMGAFSFALFIMIHEHSWIVIPTIVLAIIPVILFSLTQCRLLVDMCISTYGRGIVDRKVKSRA >ONI21688 pep chromosome:Prunus_persica_NCBIv2:G2:12584117:12601113:-1 gene:PRUPE_2G081200 transcript:ONI21688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAVSADAAVVEVLNARNYADWSVLVKTYLLAQDLWDVVEEEHEDDDEEEEEEADDKFKAWRKKNATALHKIQISCGQEAFSLISNTTSAKRAWDTLAEKFKPKLVRMVKTVVPADAIVVEDLNKDNYEHWSNNLVAQDLSEVVEATAEPPKPEEEAEADDKALMKKNATALHAIQVSCGPDAFSVIKETSSAKIDRDTLAEKFTPQPSLLNNSFFQSASSSSNPGSYEENKPLFDAVWSGDWNKAKKFLTERPNAIKARLPYTDKMALHFATELEHEHIVEELVQLMSEEDLEITDNWGQTALALAAKRGNRKMVKCMVRKSKKTLSIPTKTRNRTPIILAAMNEQWDVVKDLYFVTPLQDLKPDKGPYGAGLLRYFIVGMKFDIALELIQLCPELVFTKGQNGKFPMEGFMPSAFLSGTPLNFLQRRIYNCIHVERAINDIRVSVQNEGNEESNPMKITCSGVHIEPTISDTRVRVQSEGNEECNRQKISVSGINRIREIKQAHIQSLELLHHMREVIKHRHHHDYVRQAIFRAIELGMFEFTDSVLQARPNLIWLSNQAGRNLVHFAIECRQEKIYSLIINRLDERERKLIGNIADMSGNCALHVAGMLSKFAKLNDISGAALQMQRELQWFKEVETIGLPRLKERRNKDHMTPRELFTDNHKELVKEGERWMKETATSCTVVGALIITIMFAAAFTVPGGNNGETGFPIFLHKNLFMAFIVLDAISLFSSTTSVLMFLGILTSRYAENDFLKSLPTKMIIGLSTLIISIATMMGAFSFALFIMIHEHSWIVIPTIVLAIIPVILFSLTQCRLLVDMCISTYGRGIVDRKVKSRA >ONI21686 pep chromosome:Prunus_persica_NCBIv2:G2:12584117:12601112:-1 gene:PRUPE_2G081200 transcript:ONI21686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAVSADAAVVEVLNARNYADWSVLVKTYLLAQDLWDVVEEEHEDDDEEEEEEADDKFKAWRKKNATALHKIQISCGQEAFSLISNTTSAKRAWDTLAEKFKPKLVRMVKTVVPADAIVVEDLNKDNYEHWSNNLVAQDLSEVVEATAEPPKPEEEAEADDKALMKKNATALHAIQVSCGPDAFSVIKETSSAKIDRDTLAEKFTPQPSLLNNSFFQSASSSSNPGSYEENKPLFDAVWSGDWNKAKKFLTERPNAIKARLPYTDKMALHFATELEHEHIVEELVQLMSEEDLEITDNWGQTALALAAKRGNRKMVKCMVRKSKKTLSIPTKTRNRTPIILAAMNEQWDVVKDLYFVTPLQDLKPDKGPYGAGLLRYFIVGMKFDIALELIQLCPELVFTKGQNGKFPMEGFMPSAFLSGTPLNFLQRRIYNCVHIEPTISDTRVRVQSEGNEECNRQKISVSVVGFLQGLKSNLLELLGINRIREIKQAHIQSLELLHHMREVIKHRHHHDYVRQAIFRAIELGMFEFTDSVLQARPNLIWLSNQAGRNLVHFAIECRQEKIYSLIINRLDERERKLIGNIADMSGNCALHVAGMLSKFAKLNDISGAALQMQRELQWFKEVETIGLPRLKERRNKDHMTPRELFTDNHKELVKEGERWMKETATSCTVVGALIITIMFAAAFTVPGGNNGETGFPIFLHKNLFMAFIVLDAISLFSSTTSVLMFLGILTSRYAENDFLKSLPTKMIIGLSTLIISIATMMGAFSFALFIMIHEHSWIVIPTIVLAIIPVILFSLTQCRLLVDMCISTYGRGIVDRKVKSRA >ONI21689 pep chromosome:Prunus_persica_NCBIv2:G2:12584301:12600993:-1 gene:PRUPE_2G081200 transcript:ONI21689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAVSADAAVVEVLNARNYADWSVLVKTYLLAQDLWDVVEEEHEDDDEEEEEEADDKFKAWRKKNATALHKIQISCGQEAFSLISNTTSAKRAWDTLAEKFKPKLVRMVKTVVPADAIVVEDLNKDNYEHWSNNLVAQDLSEVVEATAEPPKPEEEAEADDKALMKKNATALHAIQVSCGPDAFSVIKETSSAKIDRDTLAEKFTPQPSLLNNSFFQSASSSSNPGSYEENKPLFDAVWSGDWNKAKKFLTERPNAIKARLPYTDKMALHFATELEHEHIVEELVQLMSEEDLEITDNWGQTALALAAKRGNRKMVKCMVRKSKKTLSIPTKTRNRTPIILAAMNEQWDVVKDLYFVTPLQDLKPDKGPYGAGLLRYFIVGMKFDIALELIQLCPELVFTKGQNGKFPMEGFMPSAFLSGTPLNFLQRRIYNCIHVERAINDIRVSVQNEGNEESNPMKITCSGVHIEPTISDTRVRVQSEGNEECNRQKISVSVVGFLQGLKSNLLELLGINRIREIKQAHIQSLELLHHMREVIKHRHHHDYVRQAIFRAIELGMFEFTDSVLQARPNLIWLSNQAGRNLVHFAIECRQEKIYSLIINRLDERERKLIGNIADMSGNCALHVAGMLSKFAKLNDISGAALQMQRELQWFKEVETIGLPRLKERRNKDHMTPRELFTDNHKELVKEGERWMKETATSCTVVGALIITIMFAAAFTVPGGNNGETGFPIFLHKNLFMAFIVLDAISLFSSTTSVLMFLGILTSRYAENDFLKSLPTKMIIGLSTLIISIATMMGAFSFALFIMIHEHSWIVIPTIVLAIIPVILFSLTQCRLLVDMCISTYGRGIVDRKVKSRA >ONI20583 pep chromosome:Prunus_persica_NCBIv2:G2:2263874:2267569:-1 gene:PRUPE_2G023300 transcript:ONI20583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNNSISISVSDDEPDELGRMRVRVRRKRKKPGHRLKNEFLHRVVRKLVRYWALLIFLPALGLLLYEASRIGRKPRSVANTELGASEKSTLVDSELSNVTNPSLEKKSDGNLNRLDATTRVVGGVRQRCLKILSPEELEHLEIPVREEANSPVSKVLYISENDTPFLGGNSTLSQEHTDATRFNLFTGSQTLDQRSKTFKVNETVSMNCGFYSENGGFKISNEDKDYMQSCKVVVSTCAFGGGDDLYQPIGMSEESLRKVCYVAFWDEVTLSSQESAEHRIDGYGFIGKWRIVVVRDLPFADQRLNGKIPKIQNPNLGETP >ONI20579 pep chromosome:Prunus_persica_NCBIv2:G2:2264207:2267337:-1 gene:PRUPE_2G023300 transcript:ONI20579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNNSISISVSDDEPDELGRMRVRVRRKRKKPGHRLKNEFLHRVVRKLVRYWALLIFLPALGLLLYEASRIGRKPRSVANTELGASEKSTLVDSELSNVTNPSLEKKSDGNLNRLDATTRVVGGVRQRCLKILSPEELEHLEIPVREEANSPVSKVLYISENDTPFLGGNSTLSQEHTDATRFNLFTGSQTLDQRSKTFKVNETVSMNCGFYSENGGFKISNEDKDYMQSCKVVVSTCAFGGGDDLYQPIGMSEESLRKVCYVAFWDEVTLSSQESAEHRIDGYGFIGKWRIVVVRDLPFADQRLNGKIPKMLAHRLFPHAKYSIWVDSKSQFRRDPLGVLEALLWRSNSVLAISEHGARSSVYDEAKAVVKKNKAKPEEVEVQLSQYRHDGLPEDKRFNGKKALAEASVIVREHTSLTNMFMCLWFNEVVRFTSRDQLSFPYVLWRLKVLKNINMFPVCTRKDLVNSMGHIRKAKPLRS >ONI20582 pep chromosome:Prunus_persica_NCBIv2:G2:2264559:2267569:-1 gene:PRUPE_2G023300 transcript:ONI20582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNNSISISVSDDEPDELGRMRVRVRRKRKKPGHRLKNEFLHRVVRKLVRYWALLIFLPALGLLLYEASRIGRKPRSVANTELGASEKSTLVDSELSNVTNPSLEKKSDGNLNRLDATTRVVGGVRQRCLKILSPEELEHLEIPVREEANSPVSKVLYISENDTPFLGGNSTLSQEHTDATRFNLFTGSQTLDQRSKTFKVNETVSMNCGFYSENGGFKISNEDKDYMQSCKVVVSTCAFGGGDDLYQPIGMSEESLRKVCYVAFWDEVTLSSQESAEHRIDGYGFIGKWRIVVVRDLPFADQRLNGKIPKMLAHRLFPHAKYSIWVDSKSQFRRDPLGVLEALLWRSNSVLAISEHGARSSVYDEAKAVVKKNKAKPEEVEVQLSQYRHDGLPEDKRFNGKKVHF >ONI20580 pep chromosome:Prunus_persica_NCBIv2:G2:2263777:2267569:-1 gene:PRUPE_2G023300 transcript:ONI20580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNNSISISVSDDEPDELGRMRVRVRRKRKKPGHRLKNEFLHRVVRKLVRYWALLIFLPALGLLLYEASRIGRKPRSVANTELGASEKSTLVDSELSNVTNPSLEKKSDGNLNRLDATTRVVGGVRQRCLKILSPEELEHLEIPVREEANSPVSKVLYISENDTPFLGGNSTLSQEHTDATRFNLFTGSQTLDQRSKTFKVNETVSMNCGFYSENGGFKISNEDKDYMQSCKVVVSTCAFGGGDDLYQPIGMSEESLRKVCYVAFWDEVTLSSQESAEHRIDGYGFIGKWRIVVVRDLPFADQRLNGKIPKMLAHRLFPHAKYSIWVDSKSQFRRDPLGVLEALLWRSNSVLAISEHGARSSVYDEAKAVVKKNKAKPEEVEVQLSQYRHDGLPEDKRFNGKKALAEASVIVREHTSLTNMFMCLWFNEVVRFTSRDQLSFPYVLWRLKVLKNINMFPVCTRKDLVNSMGHIRKAKPLRS >ONI20581 pep chromosome:Prunus_persica_NCBIv2:G2:2263719:2267570:-1 gene:PRUPE_2G023300 transcript:ONI20581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNNSISISVSDDEPDELGRMRVRVRRKRKKPGHRLKNEFLHRVVRKLVRYWALLIFLPALGLLLYEASRIGRKPRSVANTELGASEKSTLVDSELSNVTNPSLEKKSDGNLNRLDATTRVVGGVRQRCLKILSPEELEHLEIPVREEANSPVSKVLYISENDTPFLGGNSTLSQEHTDATRFNLFTGSQTLDQRSKTFKVNETVSMNCGFYSENGGFKISNEDKDYMQSCKVVVSTCAFGGGDDLYQPIGMSEESLRKVCYVAFWDEVTLSSQESAEHRIDGYGFIGKWRIVVVRDLPFADQRLNGKIPKMLAHRLFPHAKYSIWVDSKSQFRRDPLGVLEALLWRSNSVLAISEHGARSSVYDEAKAVVKKNKAKPEEVEVQLSQYRHDGLPEDKRFNGKKALAEASVIVREHTSLTNMFMCLWFNEVVRFTSRDQLSFPYVLWRLKVLKNINMFPVCTRKDLVNSMGHIRKAKPLRS >ONI20694 pep chromosome:Prunus_persica_NCBIv2:G2:3109432:3112245:-1 gene:PRUPE_2G029500 transcript:ONI20694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKVPSKLGIQADHVKFEKRLANLKTSSQFQDGKHRGADLKKKMKKSRSIKLSDIESLRSSPLRKNISQPGKPPPPSLNVPNTAAFPQKQPMNKTTYGSPNYMKPTSCSDARKEQSQVSVRNSPTIYSDSKNEHQRNSSSSKLSSASNHKPERTSTRTSSLKLVRTLIKSPSFKPARGSAKKSSRVALCADMNVQRATCSSTLKDTKFPDYLVINPGGTEAEGTSVMKVCPYTYCSLNGHHHSPVPPLKCFLSAKRRSLKTQKMMKRQALSPRGMKQSNDGVKEIDLQRMLFDDNDKNADPMKHEVGLDFFVEIYATRKEDDAEEIGREAGADLVGEQDDSNGEPNDASGEAAEENNANTLVEENLSDRSPHSESDSEAESFEGFAEEDQKEDIDEYYKALLDQEETAMGSSSNESDFEELSSIEVHYASSETTDMEWEEGRLSTGVLDDNESGSNAGFSSIIGEADMHEEPLIKSDAISGNCNHMIEDYHEVLQGLLEEKNQSFEGQLNDGGGSERDDAKQNFEIQESEQGYDRLSYDQLSYGDDAFEEDSDLSETDCIELSSSSAEEPIEELTETGVEIQEQSGVKAEDHDINSCLGDVESNCTSAETDETSDKPETIEGCTGSLDKENSETDQNVATSNAVLSQELTAMVAGNQMEETEQADDSKSSEQIQLSDEDAFKIEDHENCKKTEPFQLNDSAEVGNLSGGKYKKPKISTSIESKDQGDLRLNNRSGLSENSTGESHNMEMENNSEPDATETFMANNSISPGLKRKFSHGESNSKQELPDACNYRRGSKFKRLSVDEEEQRKYNPREPNYLPVVPDPEAEKVDLRHQMMDEKKNAEEWMLDFALQQAVTKLAPARKKKVALLVEAFEAVMPVPKCETSRRHTSAAFSQARPMQACS >ONI20697 pep chromosome:Prunus_persica_NCBIv2:G2:3108977:3112510:-1 gene:PRUPE_2G029500 transcript:ONI20697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKVPSKLGIQADHVKFEKRLANLKTSSQFQDGKHRGADLKKKMKKSRSIKLSDIESLRSSPLRKNISQPGKPPPPSLNVPNTAAFPQKQPMNKTTYGSPNYMKPTSCSDARKEQSQVSVRNSPTIYSDSKNEHQRNSSSSKLSSASNHKPERTSTRTSSLKLVRTLIKSPSFKPARGSAKKSSRVALCADMNVQRATCSSTLKDTKFPDYLVINPGGTEAEGTSVMKVCPYTYCSLNGHHHSPVPPLKCFLSAKRRSLKTQKMMKRQALSPRGMKQSNDGVKEIDLQRMLFDDNDKNADPMKHEVGLDFFVEIYATRKEDDAEEIGREAGADLVGEQDDSNGEPNDASGEAAEENNANTLVEENLSDRSPHSESDSEAESFEGFAEEDQKEDIDEYYKALLDQEETAMGSSSNESDFEELSSIEVHYASSETTDMEWEEGRLSTGVLDDNESGSNAGFSSIIGEADMHEEPLIKSDAISGNCNHMIEDYHEVLQGLLEEKNQSFEGQLNDGGGSERDDAKQNFEIQESEQGYDRLSYDQLSYGDDAFEEDSDLSETDCIELSSSSAEEPIEELTETGVEIQEQSGVKAEDHDINSCLGDVESNCTSAETDETSGNQPKNTFQDDETSTLTGDQVSNASRDMRETDKPETIEGCTGSLDKENSETDQNVATSNAVLSQELTAMVAGNQMEETEQADDSKSSEQIQLSDEDAFKIEDHENCKKTEPFQLNDSAEVGNLSGGKYKKPKISTSIESKDQGDLRLNNRSGLSENSTGESHNMEMENNSEPDATETFMANNSISPGLKRKFSHGESNSKQELPDACNYRRGSKFKRLSVDEEEQRKYNPREPNYLPVVPDPEAEKVDLRHQMMDEKKNAEEWMLDFALQQAVTKLAPARKKKVALLVEAFEAVMPVPKCETSRRHTSAAFSQARPMQACS >ONI20696 pep chromosome:Prunus_persica_NCBIv2:G2:3109432:3112245:-1 gene:PRUPE_2G029500 transcript:ONI20696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKVPSKLGIQADHVKFEKRLANLKTSSQFQDGKHRGADLKKKMKKSRSIKLSDIESLRSSPLRKNISQPGKPPPPSLNVPNTAAFPQKQPMNKTTYGSPNYMKPTSCSDARKEQSQVSVRNSPTIYSDSKNEHQRNSSSSKLSSASNHKPERTSTRTSSLKLVRTLIKSPSFKPARGSAKKSSRVALCADMNVQRATCSSTLKDTKFPDYLVINPGGTEAEGTSVMKVCPYTYCSLNGHHHSPVPPLKCFLSAKRRSLKTQKMMKRQALSPRGMKQSNDGVKEIDLQRMLFDDNDKNADPMKHEVGLDFFVEIYATRKEDDAEEIGREAGADLVGEQDDSNGEPNDASGEAAEENNANTLVEENLSDRSPHSESDSEAESFEGFAEEDQKEDIDEYYKALLDQEETAMGSSSNESDFEELSSIEVHYASSETTDMEWEEGRLSTGVLDDNESGSNAGFSSIIGEADMHEEPLIKSDAISGNCNHMIEDYHEVLQGLLEEKNQSFEGQLNDGGGSERDDAKQNFEIQESEQGYDRLSYDQLSYGDDAFEEDSDLSETDCIELSSSSAEEPIEELTETGVEIQEQSGVKAEDHDINSCLGDVESNCTSAETDETSGNQPKNTFQDDETSTLTGDQVSNASRDMRETDKPETIEGCTGSLDKENSETDQNVATSNAVLSQELTAMVAGNQMEETEQADDSKSSEQIQLSDEDAFKIEDHENCKKTEPFQLNDSAEVGNLSGGKYKKPKISTSIESKDQGDLRLNNRSGLSENSTGESHNMEMENNSEPDATETFMANNSISPGLKRKFSHGESNSKQELPDACNYRRGSKFKRLSVDEEEQRKYNPREPNYLPVVPDPEAEKVDLRHQMMDEKKNAEEWMLDFALQQAVTKLAPARKKKVALLVEAFEAVMPVPKCETSRRHTSAAFSQARPMQACS >ONI20695 pep chromosome:Prunus_persica_NCBIv2:G2:3108977:3112510:-1 gene:PRUPE_2G029500 transcript:ONI20695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKVPSKLGIQADHVKFEKRLANLKTSSQFQDGKHRGADLKKKMKKSRSIKLSDIESLRSSPLRKNISQPGKPPPPSLNVPNTAAFPQKQPMNKTTYGSPNYMKPTSCSDARKEQSQVSVRNSPTIYSDSKNEHQRNSSSSKLSSASNHKPERTSTRTSSLKLVRTLIKSPSFKPARGSAKKSSRVALCADMNVQRATCSSTLKDTKFPDYLVINPGGTEAEGTSVMKVCPYTYCSLNGHHHSPVPPLKCFLSAKRRSLKTQKMMKRQALSPRGMKQSNDGVKEIDLQRMLFDDNDKNADPMKHEVGLDFFVEIYATRKEDDAEEIGREAGADLVGEQDDSNGEPNDASGEAAEENNANTLVEENLSDRSPHSESDSEAESFEGFAEEDQKEDIDEYYKALLDQEETAMGSSSNESDFEELSSIEVHYASSETTDMEWEEGRLSTGVLDDNESGSNAGFSSIIGEADMHEEPLIKSDAISGNCNHMIEDYHEVLQGLLEEKNQSFEGQLNDGGGSERDDAKQNFEIQESEQGYDRLSYDQLSYGDDAFEEDSDLSETDCIELSSSSAEEPIEELTETGVEIQEQSGVKAEDHDINSCLGDVESNCTSAETDETSDKPETIEGCTGSLDKENSETDQNVATSNAVLSQELTAMVAGNQMEETEQADDSKSSEQIQLSDEDAFKIEDHENCKKTEPFQLNDSAEVGNLSGGKYKKPKISTSIESKDQGDLRLNNRSGLSENSTGESHNMEMENNSEPDATETFMANNSISPGLKRKFSHGESNSKQELPDACNYRRGSKFKRLSVDEEEQRKYNPREPNYLPVVPDPEAEKVDLRHQMMDEKKNAEEWMLDFALQQAVTKLAPARKKKVALLVEAFEAVMPVPKCETSRRHTSAAFSQARPMQACS >ONI21502 pep chromosome:Prunus_persica_NCBIv2:G2:10077783:10080342:-1 gene:PRUPE_2G070300 transcript:ONI21502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEEMGFCGTLDFLSAPPGEGEAAPEHDPEATVEEDNSDEEMDVDELERRMWRDRMLLKRLKEQSKGKEGVDNARQRQSQEQARKKMWRAQKHFQRRKS >ONI24570 pep chromosome:Prunus_persica_NCBIv2:G2:26382180:26386468:1 gene:PRUPE_2G247800 transcript:ONI24570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQKQAEEAIVSSFNQTDHHDDREEEEGPDQQSAFSLTSFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIIFQIFYGILGSWTAYLISILYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIGCASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMTIAAIVHGQAEGVTHSGPKRMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYVYLFATLYVFTLTLPSATAVYWAFGDQLLTHSNAFALLPRNKWRDAGVTLMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTFRSASARQNAAEKLPFFLPSWTGMYVVNAFIVVWVFIVGFGFGGWASMTNFIKQVDTFGLFAKCYQCPPKVSASPPVHH >ONI22852 pep chromosome:Prunus_persica_NCBIv2:G2:20830891:20833075:-1 gene:PRUPE_2G154600 transcript:ONI22852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGEALGLGAMFETGTIRVPKPFKFGPLPTGGSYIIMEFIEFGSRRSNQSVLGRKLAEMHKAGKSEKGFGFEVNNTVGSTPQINTWSSDWIQFYGEHRLGYQLQLALDQYGDSTIYEKGQRLVKSMGPFFDNVVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYSSYFEVMPKQPGFEKRKDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >ONI22847 pep chromosome:Prunus_persica_NCBIv2:G2:20830592:20834113:-1 gene:PRUPE_2G154600 transcript:ONI22847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSEDPVREWILSEGNATQITRISPVGGGCINRASHYDTDAGSFFVKTNRSIGPSMFEGEALGLGAMFETGTIRVPKPFKFGPLPTGGSYIIMEFIEFGSRRSNQSVLGRKLAEMHKAGKSEKGFGFEVNNTVGSTPQINTWSSDWIQFYGEHRLGYQLQLALDQYGDSTIYEKGQRLVKSMGPFFDNVVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYSSYFEVMPKQPGFEKRKDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >ONI22849 pep chromosome:Prunus_persica_NCBIv2:G2:20830592:20834113:-1 gene:PRUPE_2G154600 transcript:ONI22849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGEALGLGAMFETGTIRVPKPFKFGPLPTGGSYIIMEFIEFGSRRSNQSVLGRKLAEMHKAGKSEKGFGFEVNNTVGSTPQINTWSSDWIQFYGEHRLGYQLQLALDQYGDSTIYEKGQRLVKSMGPFFDNVVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYSSYFEVMPKQPGFEKRKDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >ONI22851 pep chromosome:Prunus_persica_NCBIv2:G2:20830592:20834113:-1 gene:PRUPE_2G154600 transcript:ONI22851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGEALGLGAMFETGTIRVPKPFKFGPLPTGGSYIIMEFIEFGSRRSNQSVLGRKLAEMHKAGKSEKGFGFEVNNTVGSTPQINTWSSDWIQFYGEHRLGYQLQLALDQYGDSTIYEKGQRLVKSMGPFFDNVVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYSSYFEVMPKQPGFEKRKDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >ONI22850 pep chromosome:Prunus_persica_NCBIv2:G2:20830592:20833405:-1 gene:PRUPE_2G154600 transcript:ONI22850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGEALGLGAMFETGTIRVPKPFKFGPLPTGGSYIIMEFIEFGSRRSNQSVLGRKLAEMHKAGKSEKGFGFEVNNTVGSTPQINTWSSDWIQFYGEHRLGYQLQLALDQYGDSTIYEKGQRLVKSMGPFFDNVVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYSSYFEVMPKQPGFEKRKDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >ONI22846 pep chromosome:Prunus_persica_NCBIv2:G2:20830592:20834113:-1 gene:PRUPE_2G154600 transcript:ONI22846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHVGLLPLSSSCLPSLPRLPRLSSTKHRTFAMAVVSEDPVREWILSEGNATQITRISPVGGGCINRASHYDTDAGSFFVKTNRSIGPSMFEGEALGLGAMFETGTIRVPKPFKFGPLPTGGSYIIMEFIEFGSRRSNQSVLGRKLAEMHKAGKSEKGFGFEVNNTVGSTPQINTWSSDWIQFYGEHRLGYQLQLALDQYGDSTIYEKGQRLVKSMGPFFDNVVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYSSYFEVMPKQPGFEKRKDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >ONI22848 pep chromosome:Prunus_persica_NCBIv2:G2:20830484:20833468:-1 gene:PRUPE_2G154600 transcript:ONI22848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGEALGLGAMFETGTIRVPKPFKFGPLPTGGSYIIMEFIEFGSRRSNQSVLGRKLAEMHKAGKSEKGFGFEVNNTVGSTPQINTWSSDWIQFYGEHRLGYQLQLALDQYGDSTIYEKGQRLVKSMGPFFDNVVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYSSYFEVMPKQPGFEKRKDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >ONI22853 pep chromosome:Prunus_persica_NCBIv2:G2:20831362:20833933:-1 gene:PRUPE_2G154600 transcript:ONI22853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHVGLLPLSSSCLPSLPRLPRLSSTKHRTFAMAVVSEDPVREWILSEGNATQITRISPVGGGCINRASHYDTDAGSFFVKTNRSIGPSMFEGEALGLGAMFETGTIRVPKPFKFGPLPTGGSYIIMEFIEFGSRRSNQSVLGRKLAEMHKAGKSEKGFGFEVNNTVGSTPQINTWSSDWIQFYGEHRLGYQLQLALDQYGDSTIYEKGQRLVKSMGPFFDNVVIEPCLLHGDLWSGNISSDKNGEPVILDPACYCKLKWT >ONI23269 pep chromosome:Prunus_persica_NCBIv2:G2:22289383:22297519:-1 gene:PRUPE_2G178900 transcript:ONI23269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSTIANLKENLNKMAQDVHDEDDEDEEFEIYASLNGAQASSISDRRNSHSFAHSKSPSRSPIPNGIDSFINPEIEQYKADIKRLQESEAEIKALSVNYAALLKEKEGSGSQSPNRQQKLTSQTKTGYSGHQKQNGGFFTQDGISNGVAQLSDMQGNERELADLLEEKNRSQTAVLAEMKQLRMELEKERNQSGNVHRKLQEQQKLNEAIQEELKFLKLDREKTSIEISKISNVLKEKMSEINRLQMELNRREDENADDVAGSLKRLIATLEKENSSLKIEKDELEVALKASRTATERNSLDASESLNKHPTHLNEPVDSSESFPGKEEMEKSLQKFDKDLKEMRLERDKALQELSRLKQHLLEKESEESEKMDEDSKVIEELRESNEYRRAQILHLEKALKQAIAKQDEVKMINNNEFQKSKELIDDLNKRLESCMNTIDAKNVELLNLQTALGQYYAEIEAKEHLEGDLARAREELAKLYQLLQDADHQAEASKREKEEILSKLSQAEKIVVDWKNRVNKLEEDNAKLRRAVEQSMTRLNRMSIDSDYLVDRRIVIKLLVTYFQRNYSKEVLDLMARMLGFSDEDKQRIGVSQGAGKGVVRGVFGLPGRLVGGILGGGSAGASANAASENHSFADLWVDFLLKETEERERRESADDSGRSQEDSHKTPTSAQAVPMEPDHRTSTSGTESGFSRLNLSPIQNTSPLPFRSNFRSEHSDSEFSTVPLTSAESNPYASRLLPRY >ONI23271 pep chromosome:Prunus_persica_NCBIv2:G2:22291057:22297194:-1 gene:PRUPE_2G178900 transcript:ONI23271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSTIANLKENLNKMAQDVHDEDDEDEEFEIYASLNGAQASSISDRRNSHSFAHSKSPSRSPIPNGIDSFINPEIEQYKADIKRLQESEAEIKALSVNYAALLKEKEDHISRLSKENGSLKQNLDSTTASLNASRNENHKAAANGINVLKGSGSQSPNRQQKLTSQTKTGYSGHQKQNGGFFTQDGISNGVAQLSDMQGNERELADLLEEKNRSQTAVLAEMKQLRMELEKERNQSGNVHRKLQEQQKLNEAIQEELKFLKLDREKTSIEISKISNVLKEKMSEINRLQMELNRREDENADDVAGSLKRLIATLEKENSSLKIEKDELEVALKASRTATERNSLDASESLNKHPTHLNEPVDSSESFPGKEEMEKSLQKFDKDLKEMRLERDKALQELSRLKQHLLEKESEESEKMDEDSKVIEELRESNEYRRAQILHLEKALKQAIAKQDEVKMINNNEFQKSKELIDDLNKRLESCMNTIDAKNVELLNLQTALGQYYAEIEAKEHLEGDLARAREELAKLYQLLQDADHQAEASKREKEEILSKLSQAEKIVVDWKNRVNKLEEDNAKLRRAVEQSMTRLNRMSIDSDYLVDRLVCFSWPSIGF >ONI23270 pep chromosome:Prunus_persica_NCBIv2:G2:22289739:22297194:-1 gene:PRUPE_2G178900 transcript:ONI23270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSTIANLKENLNKMAQDVHDEDDEDEEFEIYASLNGAQASSISDRRNSHSFAHSKSPSRSPIPNGIDSFINPEIEQYKADIKRLQESEAEIKALSVNYAALLKEKEDHISRLSKENGSLKQNLDSTTASLNASRNENHKAAANGINVLKGSGSQSPNRQQKLTSQTKTGYSGHQKQNGGFFTQDGISNGVAQLSDMQGNERELADLLEEKNRSQTAVLAEMKQLRMELEKERNQSGNVHRKLQEQQKLNEAIQEELKFLKLDREKTSIEISKISNVLKEKMSEINRLQMELNRREDENADDVAGSLKRLIATLEKENSSLKIEKDELEVALKASRTATERNSLDASESLNKHPTHLNEPVDSSESFPGKEEMEKSLQKFDKDLKEMRLERDKALQELSRLKQHLLEKESEESEKMDEDSKVIEELRESNEYRRAQILHLEKALKQAIAKQDEVKMINNNEFQKSKELIDDLNKRLESCMNTIDAKNVELLNLQTALGQYYAEIEAKEHLEGDLARAREELAKLYQLLQDADHQAEASKREKEEILSKLSQAEKIVVDWKNRVNKLEEDNAKLRRAVEQSMTRLNRMSIDSDYLVDRRIVIKLLVTYFQRNYSKEVLDLMARMLGFSDEDKQRIGVSQGAGKGVVRGVFGLPGRLVGGILGGGSAGASANAASENHSFADLWVDFLLKETEERERRESADDSGRSQEDSHKTPTSAQAVPMEPDHRTSTSGTESGFSRLNLSPIQNTSPLPFRSNFRSEHSDSEFSTVPLTSAESNPYASRLLPRY >ONI24567 pep chromosome:Prunus_persica_NCBIv2:G2:26362801:26364917:-1 gene:PRUPE_2G247500 transcript:ONI24567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGVVHCSKSLESILQVSVPHPLSSSSSKSSHSISFPSLTKPSGSSGALADGFVHRLVSSGSSSLKGRNSKQRCRANFDDFSYEELSKQIEDLAQNFNLSEEDDEESEEEPPLVVSKISKTSPVSMFPEGQNSKSNGFSLQSSSLKLNALEPALLGIHPEPPDWPERNEIVRATIERKANSLEFPMSLRLIKKKHRQWEESPRGEAGEFTSCSLNKAFSSMVFIVRELHSSALSIRESLYSEDLQEIVAKVQKREMNMSFVWLFQQVFSKSPTLMVYVMVLLANFTVYSMNNNAAVAAIPLPVITEILTVTEKKEQPNSKSDDASEADIFSVTDSNGVVKRTSNEGVNGDGGDKVSPTSSIKNAIVDPEKMYGISLFNHEEFTTEEEVKLWNSVVEEASRMQAELRDEALDHETLQQFVSPVTVNVEPDYYDEYFRTDVLYQIGLAKEPDNALLLSNYAQFLYVVMRDHDRAEQCFRRAVQGEKPDAEAVSRYADFLWIVRKDLWGAEERYQQAMATEPCNPYYASKYANFLWSTGGEDTCFPLDTSYDNYNQVL >ONI24572 pep chromosome:Prunus_persica_NCBIv2:G2:26393077:26396097:1 gene:PRUPE_2G247900 transcript:ONI24572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRPRKRDSRMDAAIDAMTREMGFDERLVRATVKELLKVYGVRGSPDQGWPFIEEFSYKLLIEQLLEKQQDGAENRDAARQGDAAPPDDAAPPDDAVPPDDAAPLDDAAPDDAAPYDDASAAVGPSSIVILPTFSGAVDSKLQTQDACDSTSQTNGLIHASLIKITGAKECLPVDTLALRRCKPCCGWAFSNDGEGPVEQKLGPLAESVLQVLRSVSR >ONI24573 pep chromosome:Prunus_persica_NCBIv2:G2:26394347:26395787:1 gene:PRUPE_2G247900 transcript:ONI24573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLIDNGIPEVVLRETGITEAGITSETDIIRVDMVAVHKDAARQGDAAPPDDAAPPDDAVPPDDAAPLDDAAPDDAAPYDDASAAVGPSSIVILPTFSGAVDSKLQTQDACDSTSQTNGLIHASLIKITGAKECLPVDTLALRRCKPCCGWAFSNDGEGPVEQKLGPLAESGAEECLPVDTLAPRRHKPCYGWAFSNDGEGPVELQPGPLAESGKLLIRPAEKRKRKSGWGVRPEDMQSSFTLMS >ONI24571 pep chromosome:Prunus_persica_NCBIv2:G2:26393077:26396097:1 gene:PRUPE_2G247900 transcript:ONI24571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRPRKRDSRMDAAIDAMTREMGFDERLVRATVKELLKVYGVRGSPDQGWPFIEEFSYKLLIEQLLEKQQDGAENRDAARQGDAAPPDDAAPPDDAVPPDDAAPLDDAAPDDAAPYDDASAAVGPSSIVILPTFSGAVDSKLQTQDACDSTSQTNGLIHASLIKITGAKECLPVDTLALRRCKPCCGWAFSNDGEGPVEQKLGPLAESGAEECLPVDTLAPRRHKPCYGWAFSNDGEGPVELQPGPLAESGKLLIRPAEKRKRKSGWGVRPEDMQSSFTLMS >ONI21367 pep chromosome:Prunus_persica_NCBIv2:G2:7785445:7791902:1 gene:PRUPE_2G061400 transcript:ONI21367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPYGQFCLPNPLQNMNQFVQMQMHNPSQLGPNYAFAGLNQAPQATVSQNSPFFATHQFGNVHSNQAGQQVNQNQQNLVLPAMQGTQAGQQVNQNQQNSVLPAKQGTQFFQGNHTNTGGVYSSNTNWKHSPSKSFTKHPKRGVQLQGGFQNSQFHHMKNAKGKFAFPNGNKGKGLINESKGKFTNQGGEGKRSLSLPYTEQEIQRWREERRRHYPSKSNIEKKLSEKLINSEVIEREAKMRREQLKEILTKQAELGVEVAEIPSYYLEDSNQGHRREDNKSFTKKGRLPNNFGKREKYDKKDRFAKRQKSHHKDSSNDPSFSKREPTLLQKLLSADIKRDRSRLLQVFRFMVTNSFFKDCPEKPLKFPTVAVKESGCNEDMAEELSSLAAKDASKGSDNSMVEIVHNNDYEYHNDVCNYDDGGGEDDEEGGIERAEEEEGEIIN >ONI21366 pep chromosome:Prunus_persica_NCBIv2:G2:7785652:7790631:1 gene:PRUPE_2G061400 transcript:ONI21366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHYNSFPHNSNQVHVNGSSAPSHQIQPQLGIKNNQVPIPFSNANAHLSNGHGGAMPNMPPSMIAQPNFMGVPNNLHPMQSNHLGMPQFGSVGPTSQPGQPHVGFFGSQNNAHSMNSVASFPLHGQFCNLVQNVNQAVSSQPPGQLLGHNLLNLPQQINQNMGLPYGQFCLPNPLQNMNQFVQMQMHNPSQLGPNYAFAGLNQAPQATVSQNSPFFATHQFGNVHSNQAGQQVNQNQQNLVLPAMQGTQAGQQVNQNQQNSVLPAKQGTQFFQGNHTNTGGVYSSNTNWKHSPSKSFTKHPKRGVQLQGGFQNSQFHHMKNAKGKFAFPNGNKGKGLINESKGKFTNQGGEGKRSLSLPYTEQEIQRWREERRRHYPSKSNIEKKLSEKLINSEVIEREAKMRREVTVDSLGGRGIVIITIKMEKALLC >ONI21365 pep chromosome:Prunus_persica_NCBIv2:G2:7785445:7791902:1 gene:PRUPE_2G061400 transcript:ONI21365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHYNSFPHNSNQVHVNGSSAPSHQIQPQLGIKNNQVPIPFSNANAHLSNGHGGAMPNMPPSMIAQPNFMGVPNNLHPMQSNHLGMPQFGSVGPTSQPGQPHVGFFGSQNNAHSMNSVASFPLHGQFCNLVQNVNQAVSSQPPGQLLGHNLLNLPQQINQNMGLPYGQFCLPNPLQNMNQFVQMQMHNPSQLGPNYAFAGLNQAPQATVSQNSPFFATHQFGNVHSNQAGQQVNQNQQNLVLPAMQGTQAGQQVNQNQQNSVLPAKQGTQFFQGNHTNTGGVYSSNTNWKHSPSKSFTKHPKRGVQLQGGFQNSQFHHMKNAKGKFAFPNGNKGKGLINESKGKFTNQGGEGKRSLSLPYTEQEIQRWREERRRHYPSKSNIEKKLSEKLINSEVIEREAKMRREQLKEILTKQAELGVEVAEIPSYYLEDSNQGHRREDNKSFTKKGRLPNNFGKREKYDKKDRFAKRQKSHHKDSSNDPSFSKREPTLLQKLLSADIKRDRSRLLQVFRFMVTNSFFKDCPEKPLKFPTVAVKESGCNEDMAEELSSLAAKDASKGSDNSMVEIVHNNDYEYHNDVCNYDDGGGEDDEEGGIERAEEEEGEIIN >ONI21364 pep chromosome:Prunus_persica_NCBIv2:G2:7785445:7791902:1 gene:PRUPE_2G061400 transcript:ONI21364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHYNSFPHNSNQVHVNGSSAPSHQIQPQLGIKNNQVPIPFSNANAHLSNGHGGAMPNMPPSMIAQPNFMGVPNNLHPMQSNHLGMPQFGSVGPTSQPGQPHVGFFGSQNNAHSMNSVASFPLHGQFCNLVQNVNQAVSSQPPGQLLGHNLLNLPQQINQNMGLPYGQFCLPNPLQNMNQFVQMQMHNPSQLGPNYAFAGLNQAPQATVSQNSPFFATHQFGNVHSNQAGQQVNQNQQNLVLPAMQGTQFGAYSNQAGQQVNQNQQNSVLPAKQGTQFFQGNHTNTGGVYSSNTNWKHSPSKSFTKHPKRGVQLQGGFQNSQFHHMKNAKGKFAFPNGNKGKGLINESKGKFTNQGGEGKRSLSLPYTEQEIQRWREERRRHYPSKSNIEKKLSEKLINSEVIEREAKMRREQLKEILTKQAELGVEVAEIPSYYLEDSNQGHRREDNKSFTKKGRLPNNFGKREKYDKKDRFAKRQKSHHKDSSNDPSFSKREPTLLQKLLSADIKRDRSRLLQVFRFMVTNSFFKDCPEKPLKFPTVAVKESGCNEDMAEELSSLAAKDASKGSDNSMVEIVHNNDYEYHNDVCNYDDGGGEDDEEGGIERAEEEEGEIIN >ONI21894 pep chromosome:Prunus_persica_NCBIv2:G2:15220882:15223896:1 gene:PRUPE_2G097100 transcript:ONI21894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQELWVQAFGRLKSCKSVSTTQLKQFHAFIIKTRPLPLPTQHLIYPKLTSSTEKNFTHILSFLNHLEKPDLCLSLYNAIIQGLPSNPNNKASLLLQVLELLKHMLVNGLLPDNYTVPSVLKACAQSRALREGQQMHTYAIKTGLVLSNVYVKNTLMRLYAVCGVINCVRNLFDEGPQRDLVSWTTLIQGYVKMGLPREGVEAFFDMCDAKMMADEMTLVIVLSACSKLGDLSLGRKINEYIHDNGVYRDVFIGNALVDMYLKCGDADFAYKVFNEMPVRNVVSWNSMISGLAHQGKFKEALDVFREMQRIGLEPDDVTLVGVLNSCANLGVLELGEWVHAYVDRNRIEADGFIGNALVDMYAKCGSIDQAFRVFQGMKHRDVYSYTAMIVGLAMHGEVEMALDIFAEMPRMGIEPDEVTFIGVLAACSHGGLVAEGQKYFRDMSSVYKLRPQTEHYGCMVDLLGRAGLINEAEEFVKNMPIEPDSFVWGALLGACRIHGKVELAESVMKKLLKVEPERDGAYVLMSNIYSSANRWKDAVKLRRAMKGKNMKKTPGCSSIELDGVVHEFKKGDKSHKRSKDIYKLLDEIMSHVKNHELLAH >ONI20885 pep chromosome:Prunus_persica_NCBIv2:G2:4149349:4157682:-1 gene:PRUPE_2G038600 transcript:ONI20885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSSSSSCLHGQLHSLRRGPTELASPVLRVLGSLTRLTRPTPNSARQAFFCSDRSDGSDQVVEIEFKGAGAEAEAEAESKSSSAIVSTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSLVSGSETDKNIHDLKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQKVLEELDVYKRLELTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEPNRENCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTSIPWGNYSDENFDVLRAQKILDEDHYGLNDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRNFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGASDEAAVADQIQSLPDRPAAVEVQLVDTDETQVEDDKLDQKVVSSKNQTAAESLEGNDHDHSSETSAEEVTIQMALPDEPAVVEVQVADTDEPVDSKLYLQDAKETEKIQEGEATKTVEKVLVDSSNLADFVGKPVFHAERIYDQTPIGVVMGLAWTAMGGSTLYIETTQIEEAEGKGALHVTGQLGDVMKESAQIAHTVARAILLDKDPDNHTFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSVAMKKPIKRDLAMTGEVTLTGRILPIGGTVI >ONI20882 pep chromosome:Prunus_persica_NCBIv2:G2:4148294:4157682:-1 gene:PRUPE_2G038600 transcript:ONI20882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSLVSGSETDKNIHDLKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQKVLEELDVYKRLELTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEPNRENCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTSIPWGNYSDENFDVLRAQKILDEDHYGLNDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRNFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGASDEAAVADQIQSLPDRPAAVEVQLVDTDETQVEDDKLDQKVVSSKNQTAAESLEGNDHDHSSETSAEEVTIQMALPDEPAVVEVQVADTDEPVDSKDAKETEKIQEGEATKTVEKVLVDSSNLADFVGKPVFHAERIYDQTPIGVVMGLAWTAMGGSTLYIETTQIEEAEGKGALHVTGQLGDVMKESAQIAHTVARAILLDKDPDNHTFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSVAMKKPIKRDLAMTGEVTLTGRILPIGGVKEKTIAARRSDVKTIIFPLANKRDFDELAPNVKEGLDVHFVDDYNQIFDLAFSDGQNEKK >ONI20889 pep chromosome:Prunus_persica_NCBIv2:G2:4149734:4157173:-1 gene:PRUPE_2G038600 transcript:ONI20889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSSSSSCLHGQLHSLRRGPTELASPVLRVLGSLTRLTRPTPNSARQAFFCSDRSDGSDQVVEIEFKGAGAEAEAEAESKSSSAIVSTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSLVSGSETDKNIHDLKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQKVLEELDVYKRLELTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEPNRENCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTSIPWGNYSDENFDVLRAQKILDEDHYGLNDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRNFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGASDEAAVADQIQSLPDRPAAVEVQLVDTDETQVEDDKLDQKVVSSKNQTAAESLEGNDHDHSSETSAEEVTIQMALPDEPAVVEVQVADTDEPVDSKLYLQDAKETEKIQEGEATKTVEKVLVDSSNLADFVGKPVFHAERIYDQTPIGVVMGLAWTAMGGSTLYIETTQIEEAEGKGALHVTGQLGDVMKESAQIAHTVARAILLDKDPDNHTFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSVAMKKPIKRDLAMTGEVTLTGRILPIGGVC >ONI20880 pep chromosome:Prunus_persica_NCBIv2:G2:4148269:4157682:-1 gene:PRUPE_2G038600 transcript:ONI20880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSSSSSCLHGQLHSLRRGPTELASPVLRVLGSLTRLTRPTPNSARQAFFCSDRSDGSDQVVEIEFKGAGAEAEAEAESKSSSAIVSTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSLVSGSETDKNIHDLKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQKVLEELDVYKRLELTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEPNRENCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTSIPWGNYSDENFDVLRAQKILDEDHYGLNDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRNFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGASDEAAVADQIQSLPDRPAAVEVQLVDTDETQVEDDKLDQKVVSSKNQTAAESLEGNDHDHSSETSAEEVTIQMALPDEPAVVEVQVADTDEPVDSKDAKETEKIQEGEATKTVEKVLVDSSNLADFVGKPVFHAERIYDQTPIGVVMGLAWTAMGGSTLYIETTQIEEAEGKGALHVTGQLGDVMKESAQIAHTVARAILLDKDPDNHTFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSVAMKKPIKRDLAMTGEVTLTGRILPIGGVKEKTIAARRSDVKTIIFPLANKRDFDELAPNVKEGLDVHFVDDYNQIFDLAFSDGQNEKK >ONI20883 pep chromosome:Prunus_persica_NCBIv2:G2:4148866:4156660:-1 gene:PRUPE_2G038600 transcript:ONI20883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSLVSGSETDKNIHDLKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQKVLEELDVYKRLELTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEPNRENCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTSIPWGNYSDENFDVLRAQKILDEDHYGLNDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRNFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGASDEAAVADQIQSLPDRPAAVEVQLVDTDETQVEDDKLDQKVVSSKNQTAAESLEGNDHDHSSETSAEEVTIQMALPDEPAVVEVQVADTDEPVDSKLYLQDAKETEKIQEGEATKTVEKVLVDSSNLADFVGKPVFHAERIYDQTPIGVVMGLAWTAMGGSTLYIETTQIEEAEGKGALHVTGQLGDVMKESAQIAHTVARAILLDKDPDNHTFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSVAMKKPIKRDLAMTGEVTLTGRILPIGGVKEKTIAARRSDVKTIIFPLANKRDFDELAPNVKEGLDVHFVDDYNQIFDLAFSDGQNEKK >ONI20884 pep chromosome:Prunus_persica_NCBIv2:G2:4149405:4157682:-1 gene:PRUPE_2G038600 transcript:ONI20884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSSSSSCLHGQLHSLRRGPTELASPVLRVLGSLTRLTRPTPNSARQAFFCSDRSDGSDQVVEIEFKGAGAEAEAEAESKSSSAIVSTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSLVSGSETDKNIHDLKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQKVLEELDVYKRLELTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEPNRENCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTSIPWGNYSDENFDVLRAQKILDEDHYGLNDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRNFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGASDEAAVADQIQSLPDRPAAVEVQLVDTDETQVEDDKLDQKVVSSKNQTAAESLEGNDHDHSSETSAEEVTIQMALPDEPAVVEVQVADTDEPVDSKDAKETEKIQEGEATKTVEKVLVDSSNLADFVGKPVFHAERIYDQTPIGVVMGLAWTAMGGSTLYIETTQIEEAEGKGALHVTGQLGDVMKESAQIAHTVARAILLDKDPDNHTFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSVAMKKPIKRDLAMTGEVTLTGRILPIGGTVI >ONI20888 pep chromosome:Prunus_persica_NCBIv2:G2:4149734:4157173:-1 gene:PRUPE_2G038600 transcript:ONI20888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSSSSSCLHGQLHSLRRGPTELASPVLRVLGSLTRLTRPTPNSARQAFFCSDRSDGSDQVVEIEFKGAGAEAEAEAESKSSSAIVSTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSLVSGSETDKNIHDLKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQKVLEELDVYKRLELTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEPNRENCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTSIPWGNYSDENFDVLRAQKILDEDHYGLNDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRNFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGASDEAAVADQIQSLPDRPAAVEVQLVDTDETQVEDDKLDQKVVSSKNQTAAESLEGNDHDHSSETSAEEVTIQMALPDEPAVVEVQVADTDEPVDSKDAKETEKIQEGEATKTVEKVLVDSSNLADFVGKPVFHAERIYDQTPIGVVMGLAWTAMGGSTLYIETTQIEEAEGKGALHVTGQLGDVMKESAQIAHTVARAILLDKDPDNHTFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSVAMKKPIKRDLAMTGEVTLTGRILPIGGVC >ONI20887 pep chromosome:Prunus_persica_NCBIv2:G2:4149514:4157173:-1 gene:PRUPE_2G038600 transcript:ONI20887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSSSSSCLHGQLHSLRRGPTELASPVLRVLGSLTRLTRPTPNSARQAFFCSDRSDGSDQVVEIEFKGAGAEAEAEAESKSSSAIVSTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSLVSGSETDKNIHDLKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQKVLEELDVYKRLELTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEPNRENCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTSIPWGNYSDENFDVLRAQKILDEDHYGLNDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRNFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGASDEAAVADQIQSLPDRPAAVEVQLVDTDETQVEDDKLDQKVVSSKNQTAAESLEGNDHDHSSETSAEEVTIQMALPDEPAVVEVQVADTDEPVDSKLYLQDAKETEKIQEGEATKTVEKVLVDSSNLADFVGKPVFHAERIYDQTPIGVVMGLAWTAMGGSTLYIETTQIEEAEGKGALHVTGQLGDVMKESAQIAHTVARAILLDKDPDNHTFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSVAMKKPIKRDLAMTGEVTLTGRILPIGGAIHFQGMFFCEAV >ONI20886 pep chromosome:Prunus_persica_NCBIv2:G2:4149443:4157682:-1 gene:PRUPE_2G038600 transcript:ONI20886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSSSSSCLHGQLHSLRRGPTELASPVLRVLGSLTRLTRPTPNSARQAFFCSDRSDGSDQVVEIEFKGAGAEAEAEAESKSSSAIVSTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSLVSGSETDKNIHDLKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQKVLEELDVYKRLELTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEPNRENCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTSIPWGNYSDENFDVLRAQKILDEDHYGLNDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRNFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGASDEAAVADQIQSLPDRPAAVEVQLVDTDETQVEDDKLDQKVVSSKNQTAAESLEGNDHDHSSETSAEEVTIQMALPDEPAVVEVQVADTDEPVDSKDAKETEKIQEGEATKTVEKVLVDSSNLADFVGKPVFHAERIYDQTPIGVVMGLAWTAMGGSTLYIETTQIEEAEGKGALHVTGQLGDVMKESAQIAHTVARAILLDKDPDNHTFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSVAMKKPIKRDLAMTGEVTLTGRILPIGGAIHFQGMFFCEAV >ONI20881 pep chromosome:Prunus_persica_NCBIv2:G2:4148294:4157682:-1 gene:PRUPE_2G038600 transcript:ONI20881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSSSSSCLHGQLHSLRRGPTELASPVLRVLGSLTRLTRPTPNSARQAFFCSDRSDGSDQVVEIEFKGAGAEAEAEAESKSSSAIVSTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSLVSGSETDKNIHDLKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQKVLEELDVYKRLELTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEPNRENCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTSIPWGNYSDENFDVLRAQKILDEDHYGLNDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRNFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKMHIARDYLEKTTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGASDEAAVADQIQSLPDRPAAVEVQLVDTDETQVEDDKLDQKVVSSKNQTAAESLEGNDHDHSSETSAEEVTIQMALPDEPAVVEVQVADTDEPVDSKLYLQDAKETEKIQEGEATKTVEKVLVDSSNLADFVGKPVFHAERIYDQTPIGVVMGLAWTAMGGSTLYIETTQIEEAEGKGALHVTGQLGDVMKESAQIAHTVARAILLDKDPDNHTFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSVAMKKPIKRDLAMTGEVTLTGRILPIGGVKEKTIAARRSDVKTIIFPLANKRDFDELAPNVKEGLDVHFVDDYNQIFDLAFSDGQNEKK >ONI21712 pep chromosome:Prunus_persica_NCBIv2:G2:13226417:13227797:-1 gene:PRUPE_2G083300 transcript:ONI21712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKALLVEKENPTFYSRPCYGFEPDMLAFSACDFGGGEKERKELEKIRKSNLDKVQRHGRCPLTPEEVGLMFRALGFGSNIHLYVASGEVYGGEEMLAPLKKLFPNFHSKETIASKEELTPFSPFSSRMAALDFIVCDESDGFVGGMITKLKNKMEIKDKDAKS >ONI24454 pep chromosome:Prunus_persica_NCBIv2:G2:26068905:26069394:1 gene:PRUPE_2G241400 transcript:ONI24454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQFARLKKCIFSNSSNNTRQNTKCGSASNIKTTEVYLISKVESNKLQLKSVGLLIVFIFPRKVVQNA >ONI21652 pep chromosome:Prunus_persica_NCBIv2:G2:12084989:12086214:1 gene:PRUPE_2G078700 transcript:ONI21652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATIKVGLDEISTLKKADFHNPSDCLVGNLSSSQDLQSQCTSASLGDSQAFSRQYLADNSGLQLGCIVEVFLA >ONI25539 pep chromosome:Prunus_persica_NCBIv2:G2:29267305:29270297:-1 gene:PRUPE_2G308600 transcript:ONI25539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKICIRSVIAQAGHIVKFTKARVHLNNFERIRKCANPWNRAFRTSLRMMVDAGEMEKRGSILDVLPDKNEDGEYTSGGLKSEGGKLSCGYSSFRGKRATMEDFYDIKMSKIDGQTVCLFGIFDGHGGSRAAEYLKEHLFENLVKHPLFITDTKLAISESYQQTDADFLASERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGRAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQEVDEEFEFIVLASDGLWDVVPNEVAVEVAQTEEQPEAAARKLTAVAFCRGSADNITCIVVKFHHDKAEPASEHRV >ONI25538 pep chromosome:Prunus_persica_NCBIv2:G2:29266968:29271116:-1 gene:PRUPE_2G308600 transcript:ONI25538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKICIRSVIAQAGHIVKFTKARVHLNNFERIRKCANPWNRAFRTSLRMMVDAGEMEKRGSILDVLPDKNEDGEYTSGGLKSEGGKLSCGYSSFRGKRATMEDFYDIKMSKIDGQTVCLFGIFDGHGGSRAAEYLKEHLFENLVKHPLFITDTKLAISESYQQTDADFLASERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGRAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQEVDEEFEFIVLASDGLWDVVPNEVAVEVAQTEEQPEAAARKLTAVAFCRGSADNITCIVVKFHHDKAEPASEHRV >ONI25536 pep chromosome:Prunus_persica_NCBIv2:G2:29267027:29271116:-1 gene:PRUPE_2G308600 transcript:ONI25536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKICIRSVIAQAGHIVKFTKARVHLNNFERIRKCANPWNRAFRTSLRMMVDAGEMEKRGSILDVLPDKNEDGEYTSGGLKSEGGKLSCGYSSFRGKRATMEDFYDIKMSKIDGQTVCLFGIFDGHGGSRAAEYLKEHLFENLVKHPLFITDTKLAISESYQQTDADFLASERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGRAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQEVDEEFEFIVLASDGLWDVVPNEVAVEVAQTEEQPEAAARKLTAVAFCRGSADNITCIVVKFHHDKAEPASEHRV >ONI25541 pep chromosome:Prunus_persica_NCBIv2:G2:29268275:29270297:-1 gene:PRUPE_2G308600 transcript:ONI25541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKICIRSVIAQAGHIVKFTKARVHLNNFERIRKCANPWNRAFRTSLRMMVDAGEMEKRGSILDVLPDKNEDGEYTSGGLKSEGGKLSCGYSSFRGKRATMEDFYDIKMSKIDGQTVCLFGIFDGHGGSRAAEYLKEHLFENLVKHPLFITDTKLAISESYQQTDADFLASERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGRAIPLSEDHKPNRSDERKRIESAGGVVMWAGLTPGVLMWCTFII >ONI25537 pep chromosome:Prunus_persica_NCBIv2:G2:29267027:29271116:-1 gene:PRUPE_2G308600 transcript:ONI25537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKICIRSVIAQAGHIVKFTKARVHLNNFERIRKCANPWNRAFRTSLRMMVDAGEMEKRGSILDVLPDKNEDGEYTSGGLKSEGGKLSCGYSSFRGKRATMEDFYDIKMSKIDGQTVCLFGIFDGHGGSRAAEYLKEHLFENLVKHPLFITDTKLAISESYQQTDADFLASERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGRAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQEVDEEFEFIVLASDGLWDVVPNEVAVEVAQTEEQPEAAARKLTAVAFCRGSADNITCIVVKFHHDKAEPASEHRV >ONI25535 pep chromosome:Prunus_persica_NCBIv2:G2:29267027:29271116:-1 gene:PRUPE_2G308600 transcript:ONI25535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKICIRSVIAQAGHIVKFTKARVHLNNFERIRKCANPWNRAFRTSLRMMVDAGEMEKRGSILDVLPDKNEDGEYTSGGLKSEGGKLSCGYSSFRGKRATMEDFYDIKMSKIDGQTVCLFGIFDGHGGSRAAEYLKEHLFENLVKHPLFITDTKLAISESYQQTDADFLASERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGRAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQEVDEEFEFIVLASDGLWDVVPNEVAVEVAQTEEQPEAAARKLTAVAFCRGSADNITCIVVKFHHDKAEPASEHRV >ONI25540 pep chromosome:Prunus_persica_NCBIv2:G2:29267027:29270566:-1 gene:PRUPE_2G308600 transcript:ONI25540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKICIRSVIAQAGHIVKFTKARVHLNNFERIRKCANPWNRAFRTSLRMMVDAGEMEKRGSILDVLPDKNEDGEYTSGGLKSEGGKLSCGYSSFRGKRATMEDFYDIKMSKIDGQTVCLFGIFDGHGGSRAAEYLKEHLFENLVKHPLFITDTKLAISESYQQTDADFLASERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGRAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQEVDEEFEFIVLASDGLWDVVPNEVAVEVAQTEEQPEAAARKLTAVAFCRGSADNITCIVVKFHHDKAEPASEHRV >ONI25542 pep chromosome:Prunus_persica_NCBIv2:G2:29268275:29270297:-1 gene:PRUPE_2G308600 transcript:ONI25542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKICIRSVIAQAGHIVKFTKARVHLNNFERIRKCANPWNRAFRTSLRMMVDAGEMEKRGSILDVLPDKNEDGEYTSGGLKSEGGKLSCGYSSFRGKRATMEDFYDIKMSKIDGQTVCLFGIFDGHGGSRAAEYLKEHLFENLVKHPLFITDTKLAISESYQQTDADFLASERDTYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGRAIPLSEDHKPNRSDERKRIESAGGVVMWAGLTPGVLMWCTFII >ONI22128 pep chromosome:Prunus_persica_NCBIv2:G2:16743629:16745233:1 gene:PRUPE_2G109000 transcript:ONI22128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISYPKLSLSFCSFCAVDFEVERKTPADPAMDFSPCHFRCPISMELMKEPVTISTGVTYERKNIEKWFFTYKKKTCPATMQSIENFGIIPNHTLKRLIVSWQSNEDSKSSCSSPSSSQSQPCHSAKHDEIQALLSTIVSSPFKVNSIKKLRSIIEIDDEMKDDFIQSNGVEVLVQILDQTIMESSDFVTFRACEEALCVLQQLPISEEGKTFELLSKQESTRSMAIMLQRGSAEARLHTVTIFRKMAKTEYDWSFIVQDQGIDFFKSLLELVSDEICSKASSCALEVLIEILRASKKNRLRAIEAGAVCVLIELLQDSNRSKCERMLHLIKLLCECAEGRQALVEHGMGIVAITKKMLHVSNAATKIGVKIIWLVCNFHPTERVLEEMLMYGSVKKLLALLHMDGRSSTKDKVLKIFKMHGNSWKRYPCFPYDLKDYLGFVNDSS >ONI24924 pep chromosome:Prunus_persica_NCBIv2:G2:27416756:27419398:1 gene:PRUPE_2G269400 transcript:ONI24924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPVAVAVAATTALAKPSSLTCALVPSWQGLRGSLGPARSLQWPKKQQRQCRRKAGGTSVTAQFELKPPPYPLDALEPHMSKETLEYHWGKHHRGYVDNLNKLIAGTELDELSLEDIILATYNKGDLLPPFNHAAQIWNHDFFWESMKPGGGGKPSGELLELINRDFGSFERFIEELRSAAATQFGSGWAWLAYKANRLDVGNAVNPKPSDEDKRLVVVKSPNAVNPLIWDYSPLLTIDVWEHAYYLDFQNRRADYISIFLEKLVSWEAVSSRLEIAKARAAEREEAEERKKREEEEKTSDGEVEEIYVDNKSDDSETE >ONI24925 pep chromosome:Prunus_persica_NCBIv2:G2:27416623:27419824:1 gene:PRUPE_2G269400 transcript:ONI24925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPVAVAVAATTALAKPSSLTCALVPSWQGLRGSLGPARSLQWPKKQRQCRRKAGGTSVTAQFELKPPPYPLDALEPHMSKETLEYHWGKHHRGYVDNLNKLIAGTELDELSLEDIILATYNKGDLLPPFNHAAQIWNHDFFWESMKPGGGGKPSGELLELINRDFGSFERFIEELRSAAATQFGSGWAWLAYKANRLDVGNAVNPKPSDEDKRLVVVKSPNAVNPLIWDYSPLLTIDVWEHAYYLDFQNRRADYISIFLEKLVSWEAVSSRLEIAKARAAEREEAEERKKREEEEKTSDGEVEEIYVDNKSDDSETE >ONI20491 pep chromosome:Prunus_persica_NCBIv2:G2:1843687:1845721:-1 gene:PRUPE_2G018900 transcript:ONI20491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVDDNQFGKLKTPNPSDNQSLIGRPRNEDGDHKVSEGSKRKRQLIRIEILPLVLSNDSEFSGDDFDSGVHGGRVGWIDQSFSHMLESILSFNSIVLSFSFITFISISLATRSIEPVNKYLITIRSFHPLLLGNAAFIHCFWEMPPRVVYCELCIGTWVCNYFC >ONI23499 pep chromosome:Prunus_persica_NCBIv2:G2:23104926:23106928:1 gene:PRUPE_2G191600 transcript:ONI23499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTWILKNIPVQVAQSKSSQKRLFKSRPHVIFLDLGMTAKLSKSDRVNLVEFFKAVALLRDGLTSAECTLRISKQHKCPDPKAFIEQGEAAFTFWGTPEGDQHILPLLEKFRRHRVNVDGNVSTHCHGSHFGS >ONI24928 pep chromosome:Prunus_persica_NCBIv2:G2:27418981:27422562:-1 gene:PRUPE_2G269500 transcript:ONI24928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQARSLLSNRTTPNRNSNFNEVYRKSIEDKLTLDSEGNPFRMLVFRGSPKSNRKSIRCVDLMRQDEAKELDGNGKHHQPRRLPKGEARILDAPNIRNDFYMSTMDWGKNNVIAIALGKDLFLWNAENREVHKLLQVDDLNDFPSSVAWSQDAKTVAVGFRRSKLQLWDAETSKLVRSLENHKDRIASITWNGHTLTSGSRDKSIINHDVRAGSNVTCRLRTHTEEVCGLKWSGEGNVLASGGNENLLYIWDSSKMNSQRFLFRLKDHRAAVKALAWCPYQSEVLASGAGTKDGCIKIWNTKKGTCIKSIATEAQVCGLEWNRHHKEIMSGHGYSASELIKNQLCLWRYPSMDKVGSLNRYTSRVLHLSQSPDGLTVVSAVADGSLRFLEVFGPPSIDKSRISPLDGLLSLKISPIR >ONI24927 pep chromosome:Prunus_persica_NCBIv2:G2:27419409:27421656:-1 gene:PRUPE_2G269500 transcript:ONI24927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWELQSDWYSPTRLNSNPITQYDFPGDRFIPNRSLMDLDQARSLLSNRTTPNRNSNFNEVYRKSIEDKLTLDSEGNPFRMLVFRGSPKSNRKSIRCVDLMRQDEAKELDGNGKHHQPRRLPKGEARILDAPNIRNDFYMSTMDWGKNNVIAIALGKDLFLWNAENREVHKLLQVDDLNDFPSSVAWSQDAKTVAVGFRRSKLQLWDAETSKLVRSLENHKDRIASITWNGHTLTSGSRDKSIINHDVRAGSNVTCRLRTHTEEVCGLKWSGEGNVLASGGNENLLYIWDSSKMNSQRFLFRLKDHRAAVKALAWCPYQSEVLASGAGTKDGCIKIWNTKKGTCIKSIATEAQVCGLEWNRHHKEIMSGHGYSASELIKNQLCLWRYPSMDKVGSLNRYTSRVLHLSQSPDGLTVVSAVADGSLRFLEVFGPPSIDKSRISPLDGLLSLKISPIR >ONI24926 pep chromosome:Prunus_persica_NCBIv2:G2:27418981:27421903:-1 gene:PRUPE_2G269500 transcript:ONI24926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWELQSDWYSPTRLNSNPITQYDFPGDRFIPNRSLMDLDQARSLLSNRTTPNRNSNFNEVYRKSIEDKLTLDSEGNPFRMLVFRGSPKSNRKSIRCVDLMRQDEAKELDGNGKHHQPRRLPKGEARILDAPNIRNDFYMSTMDWGKNNVIAIALGKDLFLWNAENREVHKLLQVDDLNDFPSSVAWSQDAKTVAVGFRRSKLQLWDAETSKLVRSLENHKDRIASITWNGHTLTSGSRDKSIINHDVRAGSNVTCRLRTHTEEVCGLKWSGEGNVLASGGNENLLYIWDSSKMNSQRFLFRLKDHRAAVKALAWCPYQSEVLASGAGTKDGCIKIWNTKKGTCIKSIATEAQVCGLEWNRHHKEIMSGHGYSASELIKNQLCLWRYPSMDKVGSLNRYTSRVLHLSQSPDGLTVVSAVADGSLRFLEVFGPPSIDKSRISPLDGLLSLKISPIR >ONI24166 pep chromosome:Prunus_persica_NCBIv2:G2:25340990:25342027:1 gene:PRUPE_2G227600 transcript:ONI24166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGETADTSDVQQTIWPRAAAAAERLWSRREATSARNGNLTALPRLQYFRCLLNRRGVQAAPVTNLIARSPPIWSAGHAMTNNTSIIILGDFISLKLPFQCYTDKCKRSRDFAYLLFKHACLFMIAIIVRIYGLESFAS >ONI24165 pep chromosome:Prunus_persica_NCBIv2:G2:25340939:25341923:1 gene:PRUPE_2G227600 transcript:ONI24165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRSSSKNTYNAEPLEGINDVSQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERLWSRREATSARNGNLTALPRLQYFRCLLNRRGVQAAPVTNLIARSPPIWSAGHAMTNNTSIIILGDFISLKLPFQCYTDKCKRSRDFAYLLFKHACLFMIAIIVRIYGLESFAS >ONI25863 pep chromosome:Prunus_persica_NCBIv2:G2:29990140:29993712:1 gene:PRUPE_2G324100 transcript:ONI25863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSLTRKELQALCKKNKLPANLTNVAMADSLKALEHVEGLEEFLNQSKSDPQQSPEKTMNGSLSIPRTAGRTSTRRKPIQVEPESSQPLTQSRRGTRRAVSEEMDQEKTEVPKTPAAPNTRRRAPAASARQNTTQKENPSVQSVYNTRRSVRLLEKNMAKLSLDYENSMSFKMDELSTEINNGSVDMGSNMQTVSEASSERVDVSEVSSEPKSNGPLENEGKLKDDVQEPNKTDMIKVKDDSKVKSEVESGVSEPKSASDVIVDVSHEEGSDETDDKEPHDEGTEKSTAAKVSDDISAEVMCNANAAQFSLLQEVNESLSEEESTDPNSLTLSSDHGQAQNPENKCQSLEIKESETNAAEDQDFDSKSAPVRETGEVKDVTNVEEAAKPQVLNLTLSLSDERQSMISSKDSIMYGAEGDYTEKFNFESDFSSEEDSGDDISEEESCEDETMDEESFEDKKNDYMQVSEGGDLSSENSIAEVATVTQFNPLSDEIPKSMKVAQEAMPVEEFSMVTNDNEGTPKLPPLAAADQLPLQFPRPSLSKSGKSPGKKQSAIMNYIFDDEEEKDIESSDKILGGVEMKRDTDTVQKELDTKSLRQLKKMLKNQLNIGDSKNGTKMVEKPRIALQEVPENQMAVNKAGNGN >ONI20488 pep chromosome:Prunus_persica_NCBIv2:G2:1826917:1829984:1 gene:PRUPE_2G018600 transcript:ONI20488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTKDHFDAKRPDPCRDNDEEIVESDIELDATDVVEPDNDPPQKMGNPSVEVTEEMQDAAQIEKSKALDAISEGKLDEAIDHITEAIMLNPTSAILKATRASVFVKLNKPNAAIRDANAALEINPDSAKGYKIRGMAKAMLGHWEEAASDLNVASKLDYDGEIGLVLKKVEPNVRKIEEHRRKYERLHKEREIKSAERERKRQAEARERDALSALKDGEVIGIHSAKELETKLNAASRTLRLAILYFTTKWCGACRVISPLYTSLAGKYPKAVFLKVDIDEARDVAADWNIGSVPAFFFVRNGKEVDKMVGADKTALEGKIAQHAGST >ONI20487 pep chromosome:Prunus_persica_NCBIv2:G2:1826894:1829942:1 gene:PRUPE_2G018600 transcript:ONI20487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLVLENLTSYVSEQDKVDEDMSDTKDHFDAKRPDPCRDNDEEIVESDIELDATDVVEPDNDPPQKMGNPSVEVTEEMQDAAQIEKSKALDAISEGKLDEAIDHITEAIMLNPTSAILKATRASVFVKLNKPNAAIRDANAALEINPDSAKGYKIRGMAKAMLGHWEEAASDLNVASKLDYDGEIGLVLKKVEPNVRKIEEHRRKYERLHKEREIKSAERERKRQAEARERDALSALKDGEVIGIHSAKELETKLNAASRTLRLAILYFTTKWCGACRVISPLYTSLAGKYPKAVFLKVDIDEARDVAADWNIGSVPAFFFVRNGKEVDKMVGADKTALEGKIAQHAGST >ONI20486 pep chromosome:Prunus_persica_NCBIv2:G2:1826455:1829990:1 gene:PRUPE_2G018600 transcript:ONI20486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVDEDMSDTKDHFDAKRPDPCRDNDEEIVESDIELDATDVVEPDNDPPQKMGNPSVEVTEEMQDAAQIEKSKALDAISEGKLDEAIDHITEAIMLNPTSAILKATRASVFVKLNKPNAAIRDANAALEINPDSAKGYKIRGMAKAMLGHWEEAASDLNVASKLDYDGEIGLVLKKVEPNVRKIEEHRRKYERLHKEREIKSAERERKRQAEARERDALSALKDGEVIGIHSAKELETKLNAASRTLRLAILYFTTKWCGACRVISPLYTSLAGKYPKAVFLKVDIDEARDVAADWNIGSVPAFFFVRNGKEVDKMVGADKTALEGKIAQHAGST >ONI23929 pep chromosome:Prunus_persica_NCBIv2:G2:24696359:24697298:1 gene:PRUPE_2G216600 transcript:ONI23929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFYLSICVDRWFTGLLNSQMFSKSERVWVLSLVSFISWEIWKARCKFLFEDITIDPRCVVERAASAAEEFDVLRRHAISTRNGAGVFSQPTDIWKPPVNGAIKVNFDAAWKNHEAGLGVVMRNHNKDFCYGFASKRCCNSALNAETEAAIEALRCASLRGYSKIEMESDSKVLIDNIKGNVCTEAWTILPLLDEIRRLSAGFSDVEWCWIPRGANRAAHVTAAIGLRAVCPQGWANQPPPSLVRVLASDGLPSPP >ONI23928 pep chromosome:Prunus_persica_NCBIv2:G2:24696359:24697436:1 gene:PRUPE_2G216600 transcript:ONI23928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFYLSICVDRWFTGLLNSQMFSKSERVWVLSLVSFISWEIWKARCKFLFEDITIDPRCVVERAASAAEEFDVLRRHAISTRNGAGVFSQPTDIWKPPVNGAIKVNFDAAWKNHEAGLGVVMRNHNKDFCYGFASKRCCNSALNAETEAAIEALRCASLRGYSKIEMESDSKVLIDNIKGNVCTEAWTILPLLDEIRRLSAGFSDVEWCWIPRGANRAAHVTAAIGLRAVCPQGWANQPPPSLVRVLASDGLPSPP >ONI22446 pep chromosome:Prunus_persica_NCBIv2:G2:18669211:18670281:1 gene:PRUPE_2G129600 transcript:ONI22446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTESITSSNWALLESIRQHLLDDDFENQATFLVSAAEDDAPLYDCAPSTSSSSHAHFGVEGWGDTIAILDHDLDVKVEARVNHAPPSGRHFRGVRRRPWGKYAAEIRDPKKNGARVWLGTYETAEDAGLAYDRAAFKMRGSKAKLNFPHLIGSHDSEPGRVAPKRGSPEPSFSSATSSDDGGCPTLKRRKSGVDSAAKAKLEEAAEQFHVFQVESLTLGGQLFVDELYTYDELLAI >ONI22746 pep chromosome:Prunus_persica_NCBIv2:G2:20409727:20415649:-1 gene:PRUPE_2G148300 transcript:ONI22746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLKGIIDSFGSVFSAASSSYESHPNSDSPPNSSTMEGVAGPGASVSNERVAYKLRGYFDLAKDEIAKAVRAEEWGLVDDAIAHYNNAQRVLVEATSTPVPSYISHSEREKVKSYRQKISKWQGEVSERLQALSRRAGGTSVSNSTLAHAQTAVVRPTTSNARKHVLPKSPRPTTNRPETRNQIQTNNIVSSKPVQETGGGYDAKLVEMINSAIVDRSPSVKWEDVAGLEKVKKTLMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAISKQPSVIFMDEIDSIMSTRLANEHDASRRLKSEFLIQFDGVTSNPNDLVIVIGATNKPQELDDAVLRRLVKRVYIPLPDLTARRLLLRHKLKGQAFSLPSGDLERLARETEGYSGSDLQALCEEAAMMPIRELGENILTVKANQVRPLRYEDFEKAMTVIRPSLSKSKWEELEQWNKDFGSN >ONI22747 pep chromosome:Prunus_persica_NCBIv2:G2:20410091:20415379:-1 gene:PRUPE_2G148300 transcript:ONI22747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLKGIIDSFGSVFSAASSSYESHPNSDSPPNSSTMEGVAGPGASVSNERVAYKLRGYFDLAKDEIAKAVRAEEWGLVDDAIAHYNNAQRVLVEATSTPVPSYISHSEREKVKSYRQKISKWQGEVSERLQALSRRAGGTSVSNSTLAHAQTAVVRPTTSNARKHVLPKSPRPTTNRPETRNQIQTNNIVSSKPVQETGGGYDAKLVEMINSAIVDRSPSVKWEDVAGLEKVKKTLMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAISKQPSVIFMDEIDSIMSTRLANEHDASRRLKSEFLIQFDGVTSNPNDLVIVIGATNKPQELDDAVLRRLVKRVYIPLPDLTARRLLLRHKLKGQAFSLPSGDLERLARETEGYSGSDLQALCEEAAMMPIRELGENILTVKANQVRPLRYEDFEKAMTVIRPSLSKSKWEELEQWNKDFGSN >ONI22748 pep chromosome:Prunus_persica_NCBIv2:G2:20410895:20415379:-1 gene:PRUPE_2G148300 transcript:ONI22748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLKGIIDSFGSVFSAASSSYESHPNSDSPPNSSTMEGVAGPGASVSNERVAYKLRGYFDLAKDEIAKAVRAEEWGLVDDAIAHYNNAQRVLVEATSTPVPSYISHSEREKVKSYRQKISKWQGEVSERLQALSRRAGGTSVSNSTLAHAQTAVVRPTTSNARKHVLPKSPRPTTNRPETRNQIQTNNIVSSKPVQETGGGYDAKLVEMINSAIVDRSPSVKWEDVAGLEKVKKTLMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAISKQPSVIFMDEIDSIMSTRLANEHDASRRLKSEFLIQFDGVTSNPNDLVIVIGATNKPQELDDAVLRRLVKRVYIPLPDLTARRLLLRHKLKGQAFSLPSGDLERLARETEVVTFIYRIFRK >ONI24691 pep chromosome:Prunus_persica_NCBIv2:G2:26783280:26787653:-1 gene:PRUPE_2G255400 transcript:ONI24691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAMAAVLLVLLTLLVHSNAEEEAFDVRHHLSTVSRYGVVKGIADSSFVPSKIPNGCTPIHLNLVARHGTRSPTKKRIKELDNLATHLEVLLREAEEQNLSLEKLPGWLKGWKSPWKGKLKGGELIIQGEDELYDLGIRTRARFPNLFNDDYHPDVYAIKATQVPRASASAVAFGMGLFSGKGSLGPGRHRAFAVISESRASDTKLRFHDCCQNYKAFKKSQEPAVDKLKEPVYDEITSALRRRYRLNFTRQDTTSLWFLCKQEASLLNIVDQACALLSPSEVSLLEWTDDLEAFILKGYGKSINYRMGVPLLEDVVQSMEQAIKAEEEKHAPGSYEKARLRFAHAETVIPFSCLLGLFLDGSEFEKIQREQALPHPPKPPQKRNWRGHTVAPFGGNNMLVLYSCPANTSNKHFVRVLHNEHPIPMPGCDGTDFCPLDVFKERIVAPHLKHDYNSMCDVKLEQQEQKPVASKLSQLFPWMFSLGNGDKSSLDEL >ONI24690 pep chromosome:Prunus_persica_NCBIv2:G2:26783219:26787734:-1 gene:PRUPE_2G255400 transcript:ONI24690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAMAAVLLVLLTLLVHSNAEEEAFDVRHHLSTVSRYGVVKGIADSSFVPSKIPNGCTPIHLNLVARHGTRSPTKKRIKELDNLATHLEVLLREAEEQNLSLEKLPGWLKGWKSPWKGKLKGGELIIQGEDELYDLGIRTRARFPNLFNDDYHPDVYAIKATQVPRASASAVAFGMGLFSGKGSLGPGRHRAFAVISESRASDTKLRFHDCCQNYKAFKKSQEPAVDKLKEPVYDEITSALRRRYRLNFTRQDTTSLWFLCKQEASLLNIVDQACALLSPSEVSLLEWTDDLEAFILKGYGKSINYRMGVPLLEDVVQSMEQAIKAEEEKHAPGSYEKARLRFAHAETVIPFSCLLGLFLDGSEFEKIQREQALPHPPKPPQKRNWRGHTVAPFGGNNMLVLYSCPANTSNKHFVRVLHNEHPIPMPGCDGTDFCPLDVFKERIVAPHLKHDYNSMCDVKLEQQEQKPVAI >ONI25292 pep chromosome:Prunus_persica_NCBIv2:G2:28603620:28608181:1 gene:PRUPE_2G294200 transcript:ONI25292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSWSKKKKIKAIFQLQFQATQVPKLKKPALMLSLVPDDVGKPTVKLGKAAVQDGTCIWENPVYESVKLIEESKTGKLKEKIYHFIVSTGSSKAGYLGEASIDFADIVAETETLTVILPLKFANSGVVLHVTIHRIQEDGDQREIEEGDDPTLSRHSSMDNQNSNWDTDGSNHLSFTENGASDKTTNGHQDAASSLSPLEQNSMPQNGNNGATARKNHMRQKSSLDWSSDGSLFDSPNSVEDKLPTERVQAGSDDSIEKLRNEIAILMRQADLSELELQSLRKQMAKESKQGQNLSRQVISLKEERDALRTECEQLKSSQGRSDGEQAFKKLQPETKDTREQLEAMKQELNFEKKVRTNLHLQLQRTHDSNSELVLVVKDLEDALEKKKREVSDLSSKLETEKNSKVMGKMFEDEFQKSAGKLTKKHSDVQEVESLKLKIRELLSEIDTQEKKREEQDAHIKQLTLDYDLLKQDNCGISLKLDRNQERLRTEMENERAGYIATIKELESQLERSEETIEKQAHEFAECLISIQELESEVKSLEMELETQAKGFEEKLEAMTCAKVKQEQRAIQAEEALKKTRWNNSVTAERLQEEFRRLSVEMTSKVDENEKQATKALAEANELRQQNRILEDMLQEANEELELIKDQNEVRLQDLVNQIDVKAKHIEQISLELDNKSKLLEHAKKHKEEEHEALSMKMQMLKAEIERLTEENSNSTKQEEEKLRGDLKQMNKLIAENEMRIQCLNVEKDNLEKIFASAKQEAEKTQEELTNMRSLKEEKETTITYLKSEVENLRTQHKEFKDTLYKEALAKESLRKQISQLQGKRKTEDCSEKKLKAATFHTSDENNFTDLLTELTLLKERNKSMEKELKDMQERYSEISLRFAEVEGERQQLVMTVRNLRSSKKN >ONI25293 pep chromosome:Prunus_persica_NCBIv2:G2:28604675:28608181:1 gene:PRUPE_2G294200 transcript:ONI25293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNGNNGATARKNHMRQKSSLDWSSDGSLFDSPNSVEDKLPTERVQAGSDDSIEKLRNEIAILMRQADLSELELQSLRKQMAKESKQGQNLSRQVISLKEERDALRTECEQLKSSQGRSDGEQAFKKLQPETKDTREQLEAMKQELNFEKKVRTNLHLQLQRTHDSNSELVLVVKDLEDALEKKKREVSDLSSKLETEKNSKVMGKMFEDEFQKSAGKLTKKHSDVQEVESLKLKIRELLSEIDTQEKKREEQDAHIKQLTLDYDLLKQDNCGISLKLDRNQERLRTEMENERAGYIATIKELESQLERSEETIEKQAHEFAECLISIQELESEVKSLEMELETQAKGFEEKLEAMTCAKVKQEQRAIQAEEALKKTRWNNSVTAERLQEEFRRLSVEMTSKVDENEKQATKALAEANELRQQNRILEDMLQEANEELELIKDQNEVRLQDLVNQIDVKAKHIEQISLELDNKSKLLEHAKKHKEEEHEALSMKMQMLKAEIERLTEENSNSTKQEEEKLRGDLKQMNKLIAENEMRIQCLNVEKDNLEKIFASAKQEAEKTQEELTNMRSLKEEKETTITYLKSEVENLRTQHKEFKDTLYKEALAKESLRKQISQLQGKRKTEDCSEKKLKAATFHTSDENNFTDLLTELTLLKERNKSMEKELKDMQERYSEISLRFAEVEGERQQLVMTVRNLRSSKKN >ONI25630 pep chromosome:Prunus_persica_NCBIv2:G2:29489739:29494444:1 gene:PRUPE_2G312400 transcript:ONI25630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAGRGLECQKTMDGKASNGNGSEKAIPSCCLKARASAPEAEAKCHSTVVSGWFSESQSRSEKASKKVYFNNPMWPGEAHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25626 pep chromosome:Prunus_persica_NCBIv2:G2:29489739:29494421:1 gene:PRUPE_2G312400 transcript:ONI25626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAGRGLECQKTMDGKASNGNGSEKAIPSCCLKARASAPEAEAKCHSTVVSGWFSESQSRSEKASKKVYFNNPMWPGRQLASHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25638 pep chromosome:Prunus_persica_NCBIv2:G2:29491981:29494436:1 gene:PRUPE_2G312400 transcript:ONI25638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25631 pep chromosome:Prunus_persica_NCBIv2:G2:29489739:29494444:1 gene:PRUPE_2G312400 transcript:ONI25631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAGRGLECQKTMDGKASNGNGSEKAIPSCCLKARASAPEAEAKCHSTVVSGWFSESQSRSEKASKKVYFNNPMWPGEAHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25639 pep chromosome:Prunus_persica_NCBIv2:G2:29489845:29494439:1 gene:PRUPE_2G312400 transcript:ONI25639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25636 pep chromosome:Prunus_persica_NCBIv2:G2:29489739:29494444:1 gene:PRUPE_2G312400 transcript:ONI25636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAGRGLECQKTMDGKASNGNGSEKAIPSCCLKARASAPEAEAKCHSTVVSGWFSESQSRSEKASKKVYFNNPMWPGEAHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25627 pep chromosome:Prunus_persica_NCBIv2:G2:29489739:29494444:1 gene:PRUPE_2G312400 transcript:ONI25627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAGRGLECQKTMDGKASNGNGSEKAIPSCCLKARASAPEAEAKCHSTVVSGWFSESQSRSEKASKKVYFNNPMWPGEAHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25628 pep chromosome:Prunus_persica_NCBIv2:G2:29490339:29494446:1 gene:PRUPE_2G312400 transcript:ONI25628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAGRGLECQKTMDGKASNGNGSEKAIPSCCLKARASAPEAEAKCHSTVVSGWFSESQSRSEKASKKVYFNNPMWPGEAHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25634 pep chromosome:Prunus_persica_NCBIv2:G2:29489739:29494436:1 gene:PRUPE_2G312400 transcript:ONI25634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAGRGLECQKTMDGKASNGNGSEKAIPSCCLKARASAPEAEAKCHSTVVSGWFSESQSRSEKASKKVYFNNPMWPGEAHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25632 pep chromosome:Prunus_persica_NCBIv2:G2:29489739:29494444:1 gene:PRUPE_2G312400 transcript:ONI25632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAGRGLECQKTMDGKASNGNGSEKAIPSCCLKARASAPEAEAKCHSTVVSGWFSESQSRSEKASKKVYFNNPMWPGEAHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25629 pep chromosome:Prunus_persica_NCBIv2:G2:29489739:29494444:1 gene:PRUPE_2G312400 transcript:ONI25629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAGRGLECQKTMDGKASNGNGSEKAIPSCCLKARASAPEAEAKCHSTVVSGWFSESQSRSEKASKKVYFNNPMWPGEAHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25635 pep chromosome:Prunus_persica_NCBIv2:G2:29489739:29494444:1 gene:PRUPE_2G312400 transcript:ONI25635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAGRGLECQKTMDGKASNGNGSEKAIPSCCLKARASAPEAEAKCHSTVVSGWFSESQSRSEKASKKVYFNNPMWPGEAHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25633 pep chromosome:Prunus_persica_NCBIv2:G2:29490022:29494444:1 gene:PRUPE_2G312400 transcript:ONI25633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAGRGLECQKTMDGKASNGNGSEKAIPSCCLKARASAPEAEAKCHSTVVSGWFSESQSRSEKASKKVYFNNPMWPGEAHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25637 pep chromosome:Prunus_persica_NCBIv2:G2:29489739:29494421:1 gene:PRUPE_2G312400 transcript:ONI25637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGEAHSLKVEKILYKGKSEFQEILVFESSTYGKVLVLDGIIQLSEKDECAYQEMIALLPLCSIPSPKTVLVVGGGDGGVLREVSRHPSVEHIDICEIDKMVVDVSKKFFPQLAVGFQDPRVHLHIGDATEFLRHAPKGKYDAVIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIQDMISVCRETFKGSVNYAWASVPTYPSGVIGFLLCSTEGPPVDFKNPVNSIEKLEGALKHKRELRFYNSEMHSAAFALPPFLRREVSALCHSSTSTLPR >ONI25844 pep chromosome:Prunus_persica_NCBIv2:G2:29944519:29947808:1 gene:PRUPE_2G323000 transcript:ONI25844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPKIDPPLILDRTSRATRGKRMTKLLDEEIEEDEMFWNQEALKEDEEDGNYEAEPEVADEFDSDFDEDEPDPDEGVENNEAEERVRTKKRLIFPGKQSSKKKKKKKVLTELEKESKDENEKSSQPEQHHDAPEEGEGERIVRKSSRTSVIIRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIVHKAVYTGPQVRYFSKDGCSYLEFSRGLSFQSEISTTTAPYPEKAVCAVTGLPAKYRDPKTGLPYATKEAFKIIRQRFLLESGRVRKEMDLGDLHDSLSGKGFLARRKRSVSSNKIDVSYSRYFARFRRIPALESESSD >ONI22180 pep chromosome:Prunus_persica_NCBIv2:G2:17039404:17042658:-1 gene:PRUPE_2G112300 transcript:ONI22180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSPDCNGAEAVLNLKPSSSIPITYHPLFGPHDDLILLELDQKLLPDVLHQRVTIRGQPDEDAVLCTESKTYAIKSVGTSNSVFLIPPSSQFNYFESPICCDENYHDPQSVASVIKIATGNMELVEVAPRLDKLRSLLFENPYRFEEDVEMVDLEEMEGKNTGLYSWDDLIEKVQASDDELRTGLQAFSAVEIYGYWRIVDEKYMDRILRMLLHNSVLNDWSLSCLNEDDVVNALESDGFPHKLANHCLHVYGSKVIEGVSTSSIWKLDERKVCVHFARDILRDGNRKMERFMEDWARKVPEGMPASLDMLEGEVLIEKLGAETWIRAFSVSSLPYNPAERFSVLFKERPKWEWKDLHPYISDLRVPGLSAEGLLLKYTRRTQPTADAEPVFSIR >ONI22176 pep chromosome:Prunus_persica_NCBIv2:G2:17039591:17041423:-1 gene:PRUPE_2G112300 transcript:ONI22176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSPDCNGAEAVLNLKPSSSIPITYHPLFGPHDDLILLELDQKLLPDVLHQRVTIRGQPDEDAVLCTESKTYAIKSVGTSNSIATGNMELVEVAPRLDKLRSLLFENPYRFEEDVEMVDLEEMEGKNTGLYSWDDLIEKVQASDDELRTGLQAFSAVEIYGYWRIVDEKYMDRILRMLLHNSVLNDWSLSCLNEDDVVNALESDGFPHKLANHCLHVYGSKVIEGVSTSSIWKLDERKVCVHFARDILRDGNRKMERFMEDWARKVPEGMPASLDMLEGEVLIEKLGAETWIRAFSVSSLPYNPAERFSVLFKERPKWEWKDLHPYISDLRVPGLSAEGLLLKYTRRTQPTADAEPVFSIR >ONI22178 pep chromosome:Prunus_persica_NCBIv2:G2:17039404:17042656:-1 gene:PRUPE_2G112300 transcript:ONI22178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSPDCNGAEAVLNLKPSSSIPITYHPLFGPHDDLILLELDQKLLPDVLHQRVTIRGQPDEDAVLCTESKTYAIKSVGTSNSVFLIPPSSQFNYFESPICCDENYHDPQSVASVIKIATGNMELVEVAPRLDKLRSLLFENPYRFEEDVEMVDLEEMEGKNTGLYSWDDLIEKVQASDDELRTGLQAFSAVEIYGYWRIVDEKYMDRILRMLLHNSVLNDWSLSCLNEDDVVNALESDGFPHKLANHCLHVYGSKVIEGVSTSSIWKLDERKVCVHFARDILRDGNRKMERFMEDWARKVPEGMPASLDMLEGEVLIEKLGAETWIRAFSVSSLPYNPAERFSVLFKERPKWEWKDLHPYISDLRVPGLSAEGLLLKYTRRTQPTADAEPVFSIR >ONI22179 pep chromosome:Prunus_persica_NCBIv2:G2:17039591:17042641:-1 gene:PRUPE_2G112300 transcript:ONI22179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSPDCNGAEAVLNLKPSSSIPITYHPLFGPHDDLILLELDQKLLPDVLHQRVTIRGQPDEDAVLCTESKTYAIKSVGTSNSVFLIPPSSQFNYFESPICCDENYHDPQSVASVIKIATGNMELVEVAPRLDKLRSLLFENPYRFEEDVEMVDLEEMEGKNTGLYSWDDLIEKVQASDDELRTGLQAFSAVEIYGYWRIVDEKYMDRILRMLLHNSVLNDWSLSCLNEDDVVNALESDGFPHKLANHCLHVYGSKVIEGVSTSSIWKLDERKVCVHFARDILRDGNRKMERFMEDWARKVPEGMPASLDMLEGEVLIEKLGAETWIRAFSVSSLPYNPAERFSVLFKERPKWEWKDLHPYISDLRVPGLSAEGLLLKYTRRTQPTADAEPVFSIR >ONI22181 pep chromosome:Prunus_persica_NCBIv2:G2:17039535:17042641:-1 gene:PRUPE_2G112300 transcript:ONI22181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSPDCNGAEAVLNLKPSSSIPITYHPLFGPHDDLILLELDQKLLPDVLHQRVTIRGQPDEDAVLCTESKTYAIKSVGTSNSVFLIPPSSQFNYFESPICCDENYHDPQSVASVIKIATGNMELVEVAPRLDKLRSLLFENPYRFEEDVEMVDLEEMEGKNTGLYSWDDLIEKVQASDDELRTGLQAFSAVEIYGYWRIVDEKYMDRILRMLLHNSVLNDWSLSCLNEDDVVNALESDGFPHKLANHCLHVYGSKVIEGVSTSSIWKLDERKVCVHFARDILRDGNRKMERFMEDWARKVPEGMPASLDMLEGEVLIEKLGAETWIRAFSVSSLPYNPAERFSVLFKERPKWEWKDLHPYISDLRVPGLSAEGLLLKYTRRTQPTADAEPVFSIR >ONI22177 pep chromosome:Prunus_persica_NCBIv2:G2:17039404:17042647:-1 gene:PRUPE_2G112300 transcript:ONI22177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSPDCNGAEAVLNLKPSSSIPITYHPLFGPHDDLILLELDQKLLPDVLHQRVTIRGQPDEDAVLCTESKTYAIKSVGTSNSIATGNMELVEVAPRLDKLRSLLFENPYRFEEDVEMVDLEEMEGKNTGLYSWDDLIEKVQASDDELRTGLQAFSAVEIYGYWRIVDEKYMDRILRMLLHNSVLNDWSLSCLNEDDVVNALESDGFPHKLANHCLHVYGSKVIEGVSTSSIWKLDERKVCVHFARDILRDGNRKMERFMEDWARKVPEGMPASLDMLEGEVLIEKLGAETWIRAFSVSSLPYNPAERFSVLFKERPKWEWKDLHPYISDLRVPGLSAEGLLLKYTRRTQPTADAEPVFSIR >ONI22175 pep chromosome:Prunus_persica_NCBIv2:G2:17039511:17042647:-1 gene:PRUPE_2G112300 transcript:ONI22175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSPDCNGAEAVLNLKPSSSIPITYHPLFGPHDDLILLELDQKLLPDVLHQRVTIRGQPDEDAVLCTESKTYAIKSVGTSNSIATGNMELVEVAPRLDKLRSLLFENPYRFEEDVEMVDLEEMEGKNTGLYSWDDLIEKVQASDDELRTGLQAFSAVEIYGYWRIVDEKYMDRILRMLLHNSVLNDWSLSCLNEDDVVNALESDGFPHKLANHCLHVYGSKVIEGVSTSSIWKLDERKVCVHFARDILRDGNRKMERFMEDWARKVPEGMPASLDMLEGEVLIEKLGAETWIRAFSVSSLPYNPAERFSVLFKERPKWEWKDLHPYISDLRVPGLSAEGLLLKYTRRTQPTADAEPVFSIR >ONI22182 pep chromosome:Prunus_persica_NCBIv2:G2:17039535:17041908:-1 gene:PRUPE_2G112300 transcript:ONI22182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSPDCNGAEAVLNLKPSSSIPITYHPLFGPHDDLILLELDQKLLPDVLHQRVTIRGQPDEDAVLCTESKTYAIKSVGTSNSVFLIPPSSQFNYFESPICCDENYHDPQSVASVIKIATGNMELVEVAPRLDKLRSLLFENPYRFEEDVEMVDLEEMEGKNTGLYSWDDLIEKVQASDDELRTGLQAFSAVEIYGYWRIVDEKYMDRILRMLLHNSVLNDWSLSCLNEDDVVNALESDGFPHKLANHCLHVYGSKVIEGVSTSSIWKLDERKVCVHFARDILRDGNRKMERFMEDWARKVPEGMPASLDMLEGEVLIEKLGAETWIRAFSVSSLPYNPAERFSVLFKERPKWEWKDLHPYISDLRVPGLSAEGLLLKYTRRTQPTADAEPVFSIR >ONI22174 pep chromosome:Prunus_persica_NCBIv2:G2:17039688:17041108:-1 gene:PRUPE_2G112300 transcript:ONI22174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSPDCNGAEAVLNLKPSSSIPITYHPLFGPHDDLILLELDQKLLPDVLHQRVTIRGQPDEDAVLCTESKTYAIKSVGTSNSIATGNMELVEVAPRLDKLRSLLFENPYRFEEDVEMVDLEEMEGKNTGLYSWDDLIEKVQASDDELRTGLQAFSAVEIYGYWRIVDEKYMDRILRMLLHNSVLNDWSLSCLNEDDVVNALESDGFPHKLANHCLHVYGSKVIEGVSTSSIWKLDERKVCVHFARDILRDGNRKMERFMEDWARKVPEGMPASLDMLEGEVLIEKLGAETWIRAFSVSSLPYNPAERFSVLFKERPKWEWKDLHPYISDLRVPGLSAEGLLLKYTRRTQPTADAEPVFSIR >ONI23313 pep chromosome:Prunus_persica_NCBIv2:G2:22467702:22474845:1 gene:PRUPE_2G182000 transcript:ONI23313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQQKPKSSMKNQTPFFSHLPRKFLEFQKGISSDKGKHMELLKEEFSPVDFKATKTNCIKYSRQDFLQLPYITTDFEWKDYSPAVFRRMLELDSIDYDQYHLSICGHRTLKEVCLPGRYGRVIVSSNDNRFVIKTLRKSELKVYLEMLPNYYHHVKKYRASILKKLYGLHVVRPAGGIKVYCAVWGNLMPSENCIDKCYDLKGSSIGRACSKTTIEDRAILKDLDFDFCFYLDPLVRARLLACSGHVAIITFQRQVKYDCEFLEAEGIMDYSFLLGIHIEASHEGSIDENAARSSTAKKKTDDTSEQNESSELTLADICDLLDRPGFKFGDRMPARAVRAFRNEMESKSYRSCTSAQECFKVLLIFGIVDFCQNYNMKKRIEHACKAIKYDSKSIKTVNPKAYSSRFQEFLSAVFLPEESD >ONI23314 pep chromosome:Prunus_persica_NCBIv2:G2:22467702:22474879:1 gene:PRUPE_2G182000 transcript:ONI23314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQQKPKSSMKNQTPFFSHLPRKFLEFQKGISSDKGKHMELLKEEFSPVDFKATKTNCIKYSRQDFLQLPYITTDFEWKDYSPAVFRRMLELDSIDYDQYHLSICGHRTLKEVCLPGRYGRVIVSSNDNRFVIKTLRKSELKVYLEMLPNYYHHVKKYRASILKKLYGLHVVRPAGGIKVYCAVWGNLMPSENCIDKCYDLKGSSIGRACSKTTIEDRAILKDLDFDFCFYLDPLVRARLLAQVKYDCEFLEAEGIMDYSFLLGIHIEASHEGSIDENAARSSTAKKKTDDTSEQNESSELTLADICDLLDRPGFKFGDRMPARAVRAFRNEMESKSYRSCTSAQECFKVLLIFGIVDFCQNYNMKKRIEHACKAIKYDSKSIKTVNPKAYSSRFQEFLSAVFLPEESD >ONI24852 pep chromosome:Prunus_persica_NCBIv2:G2:27241998:27243503:1 gene:PRUPE_2G265600 transcript:ONI24852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRKNLKRAVEEESLTLKDGHSIMQVLSLRGSNLIEIMDGQGEKSLALFPAKFQKSMWIKRGSFVVVDASGKEKVLESGSKVGCIVSQVLFYEQVRVLKKSPEWPEIFKSTVSDGCNASLQGDTSQQEENESSDDDGLPPLEANMNRMKPVDWKSDTESNSDSDTDS >ONI25511 pep chromosome:Prunus_persica_NCBIv2:G2:29228674:29229557:1 gene:PRUPE_2G307800 transcript:ONI25511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIIRKKSTEEFSCVPYITALLNCLLYTCYALPVVSYSWENFPLVTINGLGMNVAVIMIPVIIVFCITAIISAFVFHNHHHRTVFVGSVALVASVAMYAAPLVVVKQVILTKSVEFMPFYLSFFSFLSSSLWMAYGLLSRDIFVTVLYRKRGTQELPNKWDFEEVNDKNPKQVVICQSANTES >ONI24792 pep chromosome:Prunus_persica_NCBIv2:G2:27107740:27110425:-1 gene:PRUPE_2G262600 transcript:ONI24792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLTPKTLSPFSFLCNPIPKHQNQNSKYTIVTQYSDSNSKPTFNSNCESEFQTERGLKFDIGETFFRHESATGRDLGVLAAALYKRSKGKLRVLDALCGCGIRSLRYLIEAEADFVLANDANDDNRKVITENLSQVESGDDPRWAVTLSDANRIMTECYLKRDFFDLIDIDSFGSDSSFLRSAINALKLDGLLYVTSTDGFSSGGRRPQQTLAAYGAYVRPMPYSNELGLRMLIGGAVRVASVLGYRVTPLFSYYSYHGPIFRVMLRVNRGKLPDNRNYGFISYCHNCGSSQEYSWAELGRMSCPCSDSKGLCMIPFTLQKCCIWLRNGDGQAVAQELISTSF >ONI24789 pep chromosome:Prunus_persica_NCBIv2:G2:27107740:27110425:-1 gene:PRUPE_2G262600 transcript:ONI24789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLTPKTLSPFSFLCNPIPKHQNQNSKYTIVTQYSDSNSKPTFNSNCESEFQTERGLKFDIGETFFRHESATGRDLGVLAAALYKRSKGKLRVLDALCGCGIRSLRYLIEAEADFVLANDANDDNRKVITENLSQVESGDDPRWAVTLSDANRIMTECYLKRDFFDLIDIDSFGSDSSFLRSAINALKLDGLLYVTSTDGFSSGGRRPQQTLAAYGAYVRPMPYSNELGLRMLIGGAVRVASVLGYRVTPLFSYYSYHGPIFRVMLRVNRGKLPDNSYCHNCGSSQEYSWAELGRMSCPCSDSKVSKSLIVSGPLWTGPLHDTIYITEMLHLAEEWGWAGSGSGTDLDKLLNQMVAESDPRLPFGYTKLDEVASRAKVNSPPLRAVMSTLEKEGYAASRSHIESNAIKTNCSMAGCIRIAKQLQQCSSAE >ONI24790 pep chromosome:Prunus_persica_NCBIv2:G2:27108156:27110394:-1 gene:PRUPE_2G262600 transcript:ONI24790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLTPKTLSPFSFLCNPIPKHQNQNSKYTIVTQYSDSNSKPTFNSNCESEFQTERGLKFDIGETFFRHESATGRDLGVLAAALYKRSKGKLRVLDALCGCGIRSLRYLIEAEADFVLANDANDDNRKVITENLSQVESGDDPRWAVTLSDANRIMTECYLKRDFFDLIDIDSFGSDSSFLRSAINALKLDGLLYVTSTDGFSSGGRRPQQTLAAYGAYVRPMPYSNELGLRMLIGGAVRVASVLGYRVTPLFSYYSYHGPIFRVMLRVNRGKLPDNRNYGFISYCHNCGSSQEYSWAELGRMSCPCSDSKVSKSLIVSGPLWTGPLHDTIYITEMLHLAEEWGWAGSGSGTDLDKLLNQMVAESDPRLPFGYTKLDEVASRAKVNSPPLRAVMSTLEKEGYAASRSHIESNAIKTNCSMAGCIRIAKQLQQCSSAE >ONI24791 pep chromosome:Prunus_persica_NCBIv2:G2:27108156:27110394:-1 gene:PRUPE_2G262600 transcript:ONI24791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLTPKTLSPFSFLCNPIPKHQNQNSKYTIVTQYSDSNSKPTFNSNCESEFQTERGLKFDIGETFFRHESATGRDLGVLAAALYKRSKGKLRVLDALCGCGIRSLRYLIEAEADFVLANDANDDNRKVITENLSQVESGDDPRWAVTLSDANRIMTECYLKRDFFDLIDIDSFGSDSSFLRSAINALKLDGLLYVTSTDGFSSGGRRPQQTLAAYGAYVRPMPYSNELGLRMLIGGAVRVASVLGYRVTPLFSYYSYHGPIFRVMLRVNRGKLPDNRNYGFISYCHNCGSSQEYSWAELGRMSCPCSDSKVQGCVSSLKLALIHVVVSKSLIVSGPLWTGPLHDTIYITEMLHLAEEWGWAGSGSGTDLDKLLNQMVAESDPRLPFGYTKLDEVASRAKVNSPPLRAVMSTLEKEGYAASRSHIESNAIKTNCSMAGCIRIAKQLQQCSSAE >ONI21599 pep chromosome:Prunus_persica_NCBIv2:G2:11444746:11449675:1 gene:PRUPE_2G075000 transcript:ONI21599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPNSDLSHNGNIRHVDALCSVAEIEEIDFSKLLDRPSFLNMERKRSFDERSLSELSVALSPRHSSRNADYSSRFFDHPEYVFSPSRTSFIGTPRSLTGFEPHPMVAEAWETLRRSLVFFRGQPVGTIAATDTSEEKLNYDQVFVRDFVPSGLAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFHLGEGVMPASFKVLHDPVRNSETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDDEGKEFVERIVKRLHALSYHMRSYFWLDFKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPDWVFDFMPTRGGYFVGNISPARMDFRWFCLGNCMAILSSLATPEQSMAIMDLIESRWEELAGEMPLKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDNWPEYYDGKLGRYVGKQARKFQTWSVAGYLVAKMMLEDPSHLGMIALEEDRQMKPVMKRSNSWTC >ONI21597 pep chromosome:Prunus_persica_NCBIv2:G2:11444370:11449680:1 gene:PRUPE_2G075000 transcript:ONI21597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPNSDLSHNGNIRHVDALCSVAEIEEIDFSKLLDRPSFLNMERKRSFDERSLSELSVALSPRHSSRNADYSSRFFDHPEYVFSPSRTSFIGTPRSLTGFEPHPMVAEAWETLRRSLVFFRGQPVGTIAATDTSEEKLNYDQVFVRDFVPSGLAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFHLGEGVMPASFKVLHDPVRNSETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDDEGKEFVERIVKRLHALSYHMRSYFWLDFKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPDWVFDFMPTRGGYFVGNISPARMDFRWFCLGNCMAILSSLATPEQSMAIMDLIESRWEELAGEMPLKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDNWPEYYDGKLGRYVGKQARKFQTWSVAGYLVAKMMLEDPSHLGMIALEEDRQMKPVMKRSNSWTC >ONI21598 pep chromosome:Prunus_persica_NCBIv2:G2:11444380:11449689:1 gene:PRUPE_2G075000 transcript:ONI21598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPNSDLSHNGNIRHVDALCSVAEIEEIDFSKLLDRPSFLNMERKRSFDERSLSELSVALSPRHSSRNADYSSRFFDHPEYVFSPSRTSFIGTPRSLTGFEPHPMVAEAWETLRRSLVFFRGQPVGTIAATDTSEEKLNYDQVFVRDFVPSGLAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFHLGEGVMPASFKVLHDPVRNSETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDDEGKEFVERIVKRLHALSYHMRSYFWLDFKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPDWVFDFMPTRGGYFVGNISPARMDFRWFCLGNCMAILSSLATPEQSMAIMDLIESRWEELAGEMPLKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDNWPEYYDGKLGRYVGKQARKFQTWSVAGYLVAKMMLEDPSHLGMIALEEDRQMKPVMKRSNSWTC >ONI21600 pep chromosome:Prunus_persica_NCBIv2:G2:11444507:11449675:1 gene:PRUPE_2G075000 transcript:ONI21600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPNSDLSHNGNIRHVDALCSVAEIEEIDFSKLLDRPSFLNMERKRSFDERSLSELSVALSPRHSSRNADYSSRFFDHPEYVFSPSRTSFIGTPRSLTGFEPHPMVAEAWETLRRSLVFFRGQPVGTIAATDTSEEKLNYDQVFVRDFVPSGLAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFHLGEGVMPASFKVLHDPVRNSETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAELPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDDEGKEFVERIVKRLHALSYHMRSYFWLDFKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPDWVFDFMPTRGGYFVGNISPARMDFRWFCLGNCMAILSSLATPEQSMAIMDLIESRWEELAGEMPLKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDNWPEYYDGKLGRYVGKQARKFQTWSVAGYLVAKMMLEDPSHLGMIALEEDRQMKPVMKRSNSWTC >ONI21608 pep chromosome:Prunus_persica_NCBIv2:G2:11499836:11502213:-1 gene:PRUPE_2G075400 transcript:ONI21608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSAAKRLLHLFGTSLGSSTNHRTIRYRPLQSLISRSHFSTTVNSESFVNQSPNFQNSTSSTADQIPNFSNTTSSTADHTRRPRAEYQDEQARVLQASLPHVIRLGWSEAAMIAGARDVGLSPSIVGSFPRKEGMLVEFFMDDCLQRLSDMIDSSTEELKSLIPSERITKLVKIRLEMQAPYISKWPQALSIQAQPVNVPTSFKQRAMLMDEIWHGAGDDTADFQWYVKRTVLGGIYSTTEIYMLTDNSPDFCDTWAFLDDRVKDAFDLKKTVQEATYLAEAVGAGMGTSLQGFVKRVFQG >ONI21607 pep chromosome:Prunus_persica_NCBIv2:G2:11500345:11501253:-1 gene:PRUPE_2G075400 transcript:ONI21607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSAAKRLLHLFGTSLGSSTNHRTIRYRPLQSLISRSHFSTTVNSESFVNQSPNFQNSTSSTADQIPNFSNTTSSTADHTRRPRAEYQDEQARVLQASLPHVIRLGWSEAAMIAGARDVGLSPSIVGSFPRKEGMLVEFFMDDCLQRLSDMIDSSTEELKSLIPSERITKLVKIRLEMQAPYISKWPQALSIQAQPVNVPTSFKQRAMLMDEIWHGAGDDTADFQWYVKRTVLGGIYSTTEIYMLTDNSPDFCDTWAFLDDRVKDAFDLKKTVQEATYLAEAVGAGMGTSLQGFVKRVFQG >ONI21610 pep chromosome:Prunus_persica_NCBIv2:G2:11499836:11502148:-1 gene:PRUPE_2G075400 transcript:ONI21610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSAAKRLLHLFGTSLGSSTNHRTIRYRPLQSLISRSHFSTTVNSESFVNQSPNFQNSTSSTADQIPNFSNTTSSTADHTRRPRAEYQDEQARVLQASLPHVIRLGWSEAAMIAGARDVGLSPSIVGSFPRKEGMLVEFFMDDCLQRLSDMIDSSTEELKSLIPSERITKLVKIRLEMQAPYISKWPQALSIQAQPVNVPTSFKQRAMLMDEIWHGAGDDTADFQ >ONI21609 pep chromosome:Prunus_persica_NCBIv2:G2:11499836:11502138:-1 gene:PRUPE_2G075400 transcript:ONI21609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSAAKRLLHLFGTSLGSSTNHRTIRYRPLQSLISRSHFSTTVNSESFVNQSPNFQNSTSSTADQIPNFSNTTSSTADHTRRPRAEYQDEQARVLQASLPHVIRLGWSEAAMIAGARDVGLSPSIVGSFPRKEGMLVEFFMDDCLQRLSDMIDSSTEELKSLIPSERITKLVKIRLEMQAPYISKWPQALSIQAQPVNVPTSFKQRAMLMDEIWHGAGDDTADFQ >ONI25112 pep chromosome:Prunus_persica_NCBIv2:G2:28033429:28039985:-1 gene:PRUPE_2G281700 transcript:ONI25112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNSTPTDPSLSQDDIAARALNKRYDSLVTVRTKAIKGKGAWYWAHLEPILIRNPNTNLPKAVKLKCSLCDAVFSASNPSRTASEHLKRGTCPNFASVLRPNSSVSPVPISSLPSPSSHNHRKRSSQMGTVPCPISHAPHHTSSTSIQVHSLAMIESSRYCGEHNYSQSPNPVGIATSTGPNQQHVGLSGGKHDLGALAMLENSVKKLKSPKTSPGATLSKEQIDSALELLSEWFYESCGSVSFSSLEHPKFRAFLNQVGLPALLQRELSGARLDAKFDEVKAESEARIRDAMFFQVASDGWKSKNPCGEENMVTFMVNLPNGISVFQKAVFTGGSVSSKYAEEVLWDSVTGICGNAVQRCAGIVADKYKAKALRNLEIQNHWMVNVSCQLQGFITLIKDFNKELPLFRVVTENCLKVANFVNSTSEVRHAFEKYKMQELEYAGLLQVPSPKCDTSKNFAPVYAMLEDILSCARILQMVVLDDCYKVICVEDPIAKEVGGMIQSEGFWNELEAVYSLVKLIRGMAQEIEAERPLIGRCLPLWEELRTKVKDWCAKFSIAEGPIEKVVEKRFRKNYHPAWSAAFILDPQYLMRDTSGKYLPPFKFLTHEQEKDVDKLITRLVSREEAHVALMELMKWRTEGMDPLYAQAVQVKQRDPVTGKMKMANPQSSRLVWETCLSELKTLGRVAVRLIFLHATSCGFKCNWSFMKWMCVHRHSRVGLERVQKMIFIAAHAKLERRDLSNEEEKEAELFATADVEDDMLTEVFSDAPTVMGLLEPVVWMANQA >ONI25111 pep chromosome:Prunus_persica_NCBIv2:G2:28035242:28039530:-1 gene:PRUPE_2G281700 transcript:ONI25111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNSTPTDPSLSQDDIAARALNKRYDSLVTVRTKAIKGKGAWYWAHLEPILIRNPNTNLPKAVKLKCSLCDAVFSASNPSRTASEHLKRGTCPNFASVLRPNSSVSPVPISSLPSPSSHNHRKRSSQMGTVPCPISHAPHHTSSTSIQVHSLAMIESSRYCGEHNYSQSPNPVGIATSTGPNQQHVGLSGGKHDLGALAMLENSVKKLKSPKTSPGATLSKEQIDSALELLSEWFYESCGSVSFSSLEHPKFRAFLNQVGLPALLQRELSGARLDAKFDEVKAESEARIRDAMFFQVASDGWKSKNPCGEENMVTFMVNLPNGISVFQKAVFTGGSVSSKYAEEVLWDSVTGICGNAVQRCAGIVADKYKAKALRNLEIQNHWMVNVSCQLQGFITLIKDFNKELPLFRVVTENCLKVANFVNSTSEVRHAFEKYKMQELEYAGLLQVPSPKCDTSKNFAPVYAMLEDILSCARILQMVVLDDCYKVICVEDPIAKEVGGMIQSEGFWNELEAVYSLVKLIRGMAQEIEAERPLIGRCLPLWEELRTKVKDWCAKFSIAEGPIEKVVEKRFRKNYHPAWSAAFILDPQYLMRDTSGKYLPPFKFLTHEQEKDVDKLITRLVSREEAHVALMELMKWRTEGMDPLYAQAVQVKQRDPVTGKMKMANPQSSRLVWETCLSELKTLGRVAVRLIFLHATSCGFKCNWSFMKWMCVHRHSRVGLERVQKMIFIAAHAKLERRDLSNEEEKEAELFATADVEDDMLTEVFSDAPTVMGLLEPVVWMANQA >ONI25113 pep chromosome:Prunus_persica_NCBIv2:G2:28034156:28039985:-1 gene:PRUPE_2G281700 transcript:ONI25113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNSTPTDPSLSQDDIAARALNKRYDSLVTVRTKAIKGKGAWYWAHLEPILIRNPNTNLPKAVKLKCSLCDAVFSASNPSRTASEHLKRGTCPNFASVLRPNSSVSPVPISSLPSPSSHNHRKRSSQMGTVPCPISHAPHHTSSTSIQVHSLAMIESSRYCGEHNYSQSPNPVGIATSTGPNQQHVGLSGGKHDLGALAMLENSVKKLKSPKTSPGATLSKEQIDSALELLSEWFYESCGSVSFSSLEHPKFRAFLNQVGLPALLQRELSGARLDAKFDEVKAESEARIRDAMFFQVASDGWKSKNPCGEENMVTFMVNLPNGISVFQKAVFTGGSVSSKYAEEVLWDSVTGICGNAVQRCAGIVADKYKAKALRNLEIQNHWMVNVSCQLQGFITLIKDFNKELPLFRVVTENCLKVANFVNSTSEVRHAFEKYKMQELEYAGLLQVPSPKCDTSKNFAPVYAMLEDILSCARILQMVVLDDCYKVICVEDPIAKEVGGMIQSEGFWNELEAVYSLVKLIRGMAQEIEAERPLIGRCLPLWEELRTKVKDWCAKFSIAEGPIEKVVEKRFRKNYHPAWSAAFILDPQYLMRDTSGKYLPPFKFLTHEQEKDVDKLITRLVSREEAHVALMELMKWRTEGMDPLYAQAVQVKQRDPVTGKMKMANPQSSRLVWETCLSELKTLGRVAVRLIFLHATSCGFKCNWSFMKWMCVHRHSRVGLERVQKMIFIAAHAKLERRDLSNEEEKEAELFATADVEDDMLTEVFSDAPTVMGLLEPVVWMANQA >ONI25114 pep chromosome:Prunus_persica_NCBIv2:G2:28034593:28039985:-1 gene:PRUPE_2G281700 transcript:ONI25114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNSTPTDPSLSQDDIAARALNKRYDSLVTVRTKAIKGKGAWYWAHLEPILIRNPNTNLPKAVKLKCSLCDAVFSASNPSRTASEHLKRGTCPNFASVLRPNSSVSPVPISSLPSPSSHNHRKRSSQMGTVPCPISHAPHHTSSTSIQVHSLAMIESSRYCGEHNYSQSPNPVGIATSTGPNQQHVGLSGGKHDLGALAMLENSVKKLKSPKTSPGATLSKEQIDSALELLSEWFYESCGSVSFSSLEHPKFRAFLNQVGLPALLQRELSGARLDAKFDEVKAESEARIRDAMFFQVASDGWKSKNPCGEENMVTFMVNLPNGISVFQKAVFTGGSVSSKYAEEVLWDSVTGICGNAVQRCAGIVADKYKAKALRNLEIQNHWMVNVSCQLQGFITLIKDFNKELPLFRVVTENCLKVANFVNSTSEVRHAFEKYKMQELEYAGLLQVPSPKCDTSKNFAPVYAMLEDILSCARILQMVVLDDCYKVICVEDPIAKEVGGMIQSEGFWNELEAVYSLVKLIRGMAQEIEAERPLIGRCLPLWEELRTKVKDWCAKFSIAEGPIEKVVEKRFRKNYHPAWSAAFILDPQYLMRDTSGKYLPPFKFLTHEQEKDVDKLITRLVSREEAHVALMELMKWRTEGMDPLYAQAVQVKQRDPVTGKMKMANPQSSRLVWETCLSELKTLGRVAVRLIFLHATSCGFKCNWSFMKWMCVHRHSRVGLERVQKMIFIAAHAKLERRDLSNEEEKEAELFATADVEDDMLTEVFSDAPTVMGLLEPVVWMANQA >ONI21062 pep chromosome:Prunus_persica_NCBIv2:G2:5615893:5619284:-1 gene:PRUPE_2G048900 transcript:ONI21062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDKLENLFVQFNTLKGTLPVALFNMSSLTSLALHLNNLNGSIPDNVCQHLPRIQLLDLTDNKFSGPLPSKLWQCREMQVLALSSNKFSGSIPKNIGNLTQITQLHLELNNLTGAIPPEIGGLRSLEVFVVYGNNLNGLIPSTIFNMSMIRTISLGLNQLSGSLPANIGVGVPNLEKFVLPENQVSGVIPNLSDASKLTHLDMGRNSFTGFIPSTLCALTNLQVLGLEMNTLTIDISTPEANILSCLANLRNLAAMYLTGNPLNVTVPVFFENLSTSLQDLRLALCNMRGEIPSEISNLSSLAALDLGYNHLSGPIPASLGRLRNLQGLYLDENKLQGYIPYEICQLENLVDLFLGVNQLFGSIPPCLGNLATSLRSLSLESNLLNSTIPSTLWEVAFILHINLSSNSLTGSLSDDTGKLKVVTDMDLSNNNLSGRIPSNIKGLKDLVNLSLANNNFQGPIPSSFGDLVSLEILDLSKNNLSGVIPKSLERLLHLKYLNLSSNRLQGEVPTGGPFKNFSAQSFLSNDALCGAPRLNMSPCKSSTKHKPRSRKVGLFILKYIIPGIISAILLAVSISMLILHKKRSVPVVIEATLSPQLLWRRVSHLELLRATNGFNERNLLGSGGFGSVYKGTLSDGIDVAVKVFKLQLEGGFKSFDRECEMLSKIRHRNLIKVISCCSQTDFKALVLNYMPNGSLEKWLYTENSSLSILQRMNIMVDVSLALEYLHHGYSIPIVHCDLKPSNILLDDDMVAHVADFGIAKLLGGGDSMTQTMTLATVGYMAPEYGMEGIVSTRGDVYSFGIVVMETFTRRKPTDEMFVGEMNFKQWITKSLLPDAIKDGVVDDNLLGTEQNDDDFVSKRDCLSSIMRLALACCAESPEERISMKEAVATLKKIKTKFLKDAAGGALLNRPLVQHFN >ONI21060 pep chromosome:Prunus_persica_NCBIv2:G2:5615893:5624534:-1 gene:PRUPE_2G048900 transcript:ONI21060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPGFLLSRTLLLVYSVIYMVNLTVALATKTITTDQSALIALKAHITSDPQNILTTNWSASKTSNICNWVGVTCGVHHLRVTALNLSFMGLTGIIPPHLANLSFLVSLTLRNNSFHGNLPQELAALRRLKLINFGFNNFMGIIPAWFGHLSKLRTLGLYGNQFSGSIPNAIYNLSALQVLDLSNNQLSGSIPREIGKLTKLKGIYLAYNNFEGKIPREIGNLTMLLEIYLDNNNFKEIPNEMGSLDKLENLFVQFNTLKGTLPVALFNMSSLTSLALHLNNLNGSIPDNVCQHLPRIQLLDLTDNKFSGPLPSKLWQCREMQVLALSSNKFSGSIPKNIGNLTQITQLHLELNNLTGAIPPEIGGLRSLEVFVVYGNNLNGLIPSTIFNMSMIRTISLGLNQLSGSLPANIGVGVPNLEKFVLPENQVSGVIPNLSDASKLTHLDMGRNSFTGFIPSTLCALTNLQVLGLEMNTLTIDISTPEANILSCLANLRNLAAMYLTGNPLNVTVPVFFENLSTSLQDLRLALCNMRGEIPSEISNLSSLAALDLGYNHLSGPIPASLGRLRNLQGLYLDENKLQGYIPYEICQLENLVDLFLGVNQLFGSIPPCLGNLATSLRSLSLESNLLNSTIPSTLWEVAFILHINLSSNSLTGSLSDDTGKLKVVTDMDLSNNNLSGRIPSNIKGLKDLVNLSLANNNFQGPIPSSFGDLVSLEILDLSKNNLSGVIPKSLERLLHLKYLNLSSNRLQGEVPTGGPFKNFSAQSFLSNDALCGAPRLNMSPCKSSTKHKPRSRKVGLFILKYIIPGIISAILLAVSISMLILHKKRSVPVVIEATLSPQLLWRRVSHLELLRATNGFNERNLLGSGGFGSVYKGTLSDGIDVAVKVFKLQLEGGFKSFDRECEMLSKIRHRNLIKVISCCSQTDFKALVLNYMPNGSLEKWLYTENSSLSILQRMNIMVDVSLALEYLHHGYSIPIVHCDLKPSNILLDDDMVAHVADFGIAKLLGGGDSMTQTMTLATVGYMAPEYGMEGIVSTRGDVYSFGIVVMETFTRRKPTDEMFVGEMNFKQWITKSLLPDAIKDGVVDDNLLGTEQNDDDFVSKRDCLSSIMRLALACCAESPEERISMKEAVATLKKIKTKFLKDAAGGALLNRPLVQHFN >ONI21061 pep chromosome:Prunus_persica_NCBIv2:G2:5615871:5619922:-1 gene:PRUPE_2G048900 transcript:ONI21061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEIYLDNNNFKEIPNEMGSLDKLENLFVQFNTLKGTLPVALFNMSSLTSLALHLNNLNGSIPDNVCQHLPRIQLLDLTDNKFSGPLPSKLWQCREMQVLALSSNKFSGSIPKNIGNLTQITQLHLELNNLTGAIPPEIGGLRSLEVFVVYGNNLNGLIPSTIFNMSMIRTISLGLNQLSGSLPANIGVGVPNLEKFVLPENQVSGVIPNLSDASKLTHLDMGRNSFTGFIPSTLCALTNLQVLGLEMNTLTIDISTPEANILSCLANLRNLAAMYLTGNPLNVTVPVFFENLSTSLQDLRLALCNMRGEIPSEISNLSSLAALDLGYNHLSGPIPASLGRLRNLQGLYLDENKLQGYIPYEICQLENLVDLFLGVNQLFGSIPPCLGNLATSLRSLSLESNLLNSTIPSTLWEVAFILHINLSSNSLTGSLSDDTGKLKVVTDMDLSNNNLSGRIPSNIKGLKDLVNLSLANNNFQGPIPSSFGDLVSLEILDLSKNNLSGVIPKSLERLLHLKYLNLSSNRLQGEVPTGGPFKNFSAQSFLSNDALCGAPRLNMSPCKSSTKHKPRSRKVGLFILKYIIPGIISAILLAVSISMLILHKKRSVPVVIEATLSPQLLWRRVSHLELLRATNGFNERNLLGSGGFGSVYKGTLSDGIDVAVKVFKLQLEGGFKSFDRECEMLSKIRHRNLIKVISCCSQTDFKALVLNYMPNGSLEKWLYTENSSLSILQRMNIMVDVSLALEYLHHGYSIPIVHCDLKPSNILLDDDMVAHVADFGIAKLLGGGDSMTQTMTLATVGYMAPEYGMEGIVSTRGDVYSFGIVVMETFTRRKPTDEMFVGEMNFKQWITKSLLPDAIKDGVVDDNLLGTEQNDDDFVSKRDCLSSIMRLALACCAESPEERISMKEAVATLKKIKTKFLKDAAGGALLNRPLVQHFN >ONI22084 pep chromosome:Prunus_persica_NCBIv2:G2:16404622:16410538:-1 gene:PRUPE_2G106000 transcript:ONI22084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSISSLGLRIPGTALKSEKSGIHAFSSPYSCEIRLRGFPMQTASVPLVSSSEATLDSHTIASSFYLEESDIKALLEPGCFYSPHAFLEIAIFTGKKGSHCGVGVKRQQIGTYKLEVGPEWGEGKPVVLFSGWIGIGKNKQEGGKLSAELHLRLQGSIKQPIFSCKFIRDRVPHADPLSTYWSGSANNTDLESERRERKGWKVTIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWMIVSPDPCRPDSWQPWGKLEAWRERGIRDSVCCRFRLLSECQEAVELLQSEIRINAEKGGEFFIDTDKQMRAAAEAAAASPIPSPQSSGDFAGLGPVVGGFVMSCRVQGEGKSSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIEACRPFRRKFRKPSFHSL >ONI22085 pep chromosome:Prunus_persica_NCBIv2:G2:16404700:16406783:-1 gene:PRUPE_2G106000 transcript:ONI22085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSISSLGLRIPGTALKSEKSGIHAFSSPYSCEIRLRGFPMQTASVPLVSSSEATLDSHTIASSFYLEESDIKALLEPGCFYSPHAFLEIAIFTGKKGSHCGVGVKRQQIGTYKLEVGPEWGEGKPVVLFSGWIGIGKNKQEGGKLSAELHLRVRLDPDPRYVFQFDDVTKLSPQVVQLQGSIKQPIFSCKFIRDRVPHADPLSTYWSGSANNTDLESERRERKGWKVTIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWMIVSPDPCRPDSWQPWGKLEAWRERGIRDSVCCRFRLLSECQEAVELLQSEIRINAEKGGEFFIDTDKQMRAAAEAAAASPIPSPQSSGDFAGLGPVVGGFVMSCRVQGEGKSSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIEACRPFRRKFRKPSFHSL >ONI22086 pep chromosome:Prunus_persica_NCBIv2:G2:16404622:16410538:-1 gene:PRUPE_2G106000 transcript:ONI22086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSISSLGLRIPGTALKSEKSGIHAFSSPYSCEIRLRGFPMQTASVPLVSSSEATLDSHTIASSFYLEESDIKALLEPGCFYSPHAFLEIAIFTGKKGSHCGVGVKRQQIGTYKLEVGPEWGEGKPVVLFSGWIGIGKNKQEGGKLSAELHLRVRLDPDPRYVFQFDDVTKLSPQVVQLQGSIKQPIFSCKFIRDRVPHADPLSTYWSGSANNTDLESERRERKGWKVTIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWMIVSPDPCRPDSWQPWGKLEAWRERGIRDSVCCRFRLLSECQEAVELLQSEIRINAEKGGEFFIDTDKQMRAAAEAAAASPIPSPQSSGDFAGLGPVVGGFVMSCRVQGEGKSSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIEACRPFRRKFRKPSFHSL >ONI22083 pep chromosome:Prunus_persica_NCBIv2:G2:16404622:16410545:-1 gene:PRUPE_2G106000 transcript:ONI22083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSISSLGLRIPGTALKSEKSGIHAFSSPYSCEIRLRGFPMQTASVPLVSSSEATLDSHTIASSFYLEESDIKALLEPGCFYSPHAFLEIAIFTGKKGSHCGVGVKRQQIGTYKLEVGPEWGEGKPVVLFSGWIGIGKNKQEGGKLSAELHLRLQGSIKQPIFSCKFIRDRVPHADPLSTYWSGSANNTDLESERRERKGWKVTIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWMIVSPDPCRPDSWQPWGKLEAWRERGIRDSVCCRFRLLSECQEAVELLQSEIRINAEKGGEFFIDTDKQMRAAAEAAAASPIPSPQSSGDFAGLGPVVGGFVMSCRVQGEGKSSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIEACRPFRRKFRKPSFHSL >ONI21573 pep chromosome:Prunus_persica_NCBIv2:G2:11126415:11133498:1 gene:PRUPE_2G073700 transcript:ONI21573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRPHSILLGTTIRTHRSIPPHLISRAWFCSGSFRNQTHMESHASCEAVVADSELLVKKMAAICNAGPAKLQVGNLCSNFVCCVLNNNKVIADFDGTLTKYWVNGCRGQSSHGLLQQENPEYDKKRQELYEHYHPLEFSPTIPIEEKTKLMEEWWTKTHGLLIEGGLTYDGIRQSVADSTIAFREGVVELFEFLEERDIPILIFSAGLADIIEEVLRQKIHRLFKNVKIVSNRMVFDNNGHLVSFQGKTIHSLNKNEHALDMAAPLHDRLGDNIDATTYENASVKTRRNVLLLGDHIGDLGMSDGLNYENRISVGFLNDNVENSLDSYRKTFDIVYLNDAPMWGVVKLVSQLCPSEGH >ONI21574 pep chromosome:Prunus_persica_NCBIv2:G2:11126407:11135019:1 gene:PRUPE_2G073700 transcript:ONI21574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRPHSILLGTTIRTHRSIPPHLISRAWFCSGSFRNQTHMESHASCEAVVADSELLVKKMAAICNAGPAKLQVIADFDGTLTKYWVNGCRGQSSHGLLQQENPEYDKKRQELYEHYHPLEFSPTIPIEEKTKLMEEWWTKTHGLLIEGGLTYDGIRQSVADSTIAFREGVVELFEFLEERDIPILIFSAGLADIIEEVLRQKIHRLFKNVKIVSNRMVFDNNGHLVSFQGKTIHSLNKNEHALDMAAPLHDRLGDNIDATTYENASVKTRRNVLLLGDHIGDLGMSDGLNYENRISVGFLNDNVENSLDSYRKTFDIVYLNDAPMWGVVKLVSQLCPSEGH >ONI21576 pep chromosome:Prunus_persica_NCBIv2:G2:11126407:11135019:1 gene:PRUPE_2G073700 transcript:ONI21576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGDKENPEYDKKRQELYEHYHPLEFSPTIPIEEKTKLMEEWWTKTHGLLIEGGLTYDGIRQSVADSTIAFREGVVELFEFLEERDIPILIFSAGLADIIEEVLRQKIHRLFKNVKIVSNRMVFDNNGHLVSFQGKTIHSLNKNEHALDMAAPLHDRLGDNIDATTYENASVKTRRNVLLLGDHIGDLGMSDGLNYENRISVGFLNDNVENSLDSYRKTFDIVYLNDAPMWGVVKLVSQLCPSEGH >ONI21575 pep chromosome:Prunus_persica_NCBIv2:G2:11126405:11131922:1 gene:PRUPE_2G073700 transcript:ONI21575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVRRLWLTLSSWLKKWLLFVMPVPPNSRWTKTHGLLIEGGLTYDGIRQSVADSTIAFREGVVELFEFLEERDIPILIFSAGLADIIEEVLRQKIHRLFKNVKIVSNRMVFDNNGHLVSFQGKTIHSLNKNEHALDMAAPLHDRLGDNIDATTYENASVKTRRNVLLLGDHIGDLGMSDGLNYENRISVGFLNDNVENSLDSYRKTFDIVYLNDAPMWGVVKLVSQLCPSEGH >ONI21572 pep chromosome:Prunus_persica_NCBIv2:G2:11126415:11133498:1 gene:PRUPE_2G073700 transcript:ONI21572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRPHSILLGTTIRTHRSIPPHLISRAWFCSGSFRNQTHMESHASCEAVVADSELLVKKMAAICNAGPAKLQVIADFDGTLTKYWVNGCRGQSSHGLLQQENPEYDKKRQELYEHYHPLEFSPTIPIEEKTKLMEEWWTKTHGLLIEGGLTYDGIRQSVADSTIAFREGVVELFEFLEERDIPILIFSAGLADIIEEVLRQKIHRLFKNVKIVSNRMVFDNNGHLVSFQGKTIHSLNKNEHALDMAAPLHDRLGDNIDATTYENASVKTRRNVLLLGDHIGDLGMSDGLNYENRISVGFLNDNVENSLDSYRKTFDIVYLVFDRV >ONI25131 pep chromosome:Prunus_persica_NCBIv2:G2:28100572:28102512:1 gene:PRUPE_2G282900 transcript:ONI25131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETLLLKVKTAISHSFDAVRTSTHPHPNKPMRKSNVGVLAFEIAGLMSKLIHLWQALSDKNMIRLHNDSISLEGVRKIVSNDDAFLLALACAELVENLRILATAISSLSTKCQDPNLRAFHRLFLDFADSGRDPYNWVIGFKEMDTKNVKKLERYVTVTSTLYREMDELSVLESGLSKAWKYNECETNQSSSSMSSKEQKIVDLQQKIVWQRQEVKYLKDRSLWSRSFDTVTWVLARSIFTVLARTKLVFGIGQCPPSSLPRSLSASATVYPSDQTTCRFVSGPLKPAKSHHHQENAIDNLKDLENIGFFESNSKLLKPPPSTLGAAALALHYANLIIVMEKMIKFPQMVGVDARDDLYSMLPTSIRSSLRARLRGVGFSASDPVLAGEWREALGRILGWLSPLAHNMIKWQSERSFEQQNLVPKTNVMLLQTLFFANKDKTEAAITELLVGLNYICRFEREMTAKALFECNNSINGLLNSQSSS >ONI24380 pep chromosome:Prunus_persica_NCBIv2:G2:25838372:25841556:1 gene:PRUPE_2G237300 transcript:ONI24380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPDEDSPEESDFSDVVLEYISQMLMEEDMEEKIEMYQESAALHAAEQSYYELLQENSSSPPCDLIHYADHNHESHPLHCSYNSTTSGSARSIRDCDLLEYKSLHVASQSTSLPFHAPSNETGNVVDGFADSPLSILRDPEFSNETQLVMQFKRGFEEASRFLPNANSLNVDFESNTLSRKEGKAEAIGMVVKVDKKNEYSSDGSRGKKNPYHEDVSLEGGESNKQSAVYTESTVSSEMFDRHLLNCGQGESALRETLQNRTIKIVQQNGQSKGSSGGKARGKRQGSKKDVVDLRTLLTLCAQAVAANDERTGNELLKQIRQHSSPMGDAMQRLAHYFADGLEARMAGYGTPICRDLITKPALAADVLKAYHLYLDACPFKKLSNFFSNKTIMNVAEKATRLHIIDFGIHYGFQWPSLIQNLSSRPGGPPKLRITGIDLPQPGFRPAEMVEETGQRLANYAETYKVPFEFNAIAQTWDTIQIEDLKIDRDEVLVVNSVHRLRRLLDETVMVESPKDMVLKLIRKINPDVFTLAIVNGSYSAPFFLTRFREALFHFSTMFDMLETNVPHDAPERMLIEREIFGRQAMNVIACEGSERIERPETYKQWQVRNLRAGFTQLPLNQEIVKIAKDKLHSCYHKDFVMDEDSQWLLLGWKGRIGYALSSWRAAC >ONI20930 pep chromosome:Prunus_persica_NCBIv2:G2:4572370:4573134:1 gene:PRUPE_2G041700 transcript:ONI20930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLNFKRNRMATGRSRIPSNSIPQALCPDPIINLAQMAAIKAREAAEAYFTLRHFDHAIMQARAAKELYQEFPGMDNYMAAYRIHSDVSHKKNWYHVLGIPNPTVADSEAIKKQYKRLALALHPDKNGSIAADGAFKYVKAAWDVLSDRAKREAYDKSLSPRSQGARGSNNRKPAQQQRSNACSRKRAGPDANSTGGDGSFYKKTIKIVRKSNPGQRATVVMLSVCRLA >ONI25653 pep chromosome:Prunus_persica_NCBIv2:G2:29522356:29525740:-1 gene:PRUPE_2G313100 transcript:ONI25653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARTAWQCRANCRFVQEDVRIAPRFSSFPSSSSSKAESDSAPENAPEGIDHFTPGCMSYNPSSELAPNTKWWLNLEPNFGPHKEFTYEQLKLLEAELEDLNSGFVNKPAIISDYYQCNGVIRNQNDRKNTVDSFVEQPCKVSVTCSKNDQSKGMQELKAETGNDPQLPKKRDPGEFWYSDDHLMNLDSFNCLSSEEPKKLSSGLESQWVGTEKTEPWWRSAGKDELASLVAQKSLEHIENCDLPRPQIKHSRKGPSAFDPNSSIDQMAELGFSNMDTYTWGSFTSGHSTHESDSPSSQNNDYGTISKDEVATQNNAEDDRSKAELLEALCHSQTRARKAEEAAQQAYTEKEHIITLFLKQASQLFAYKQWLQLLQLENFCLQRNSKKEPISGLFPACFPWSPYKGRHMKKAQRRAGKRIGRPRYEISKGAVAFALGLGLAGAGLLLGWTMGWLFPTI >ONI25651 pep chromosome:Prunus_persica_NCBIv2:G2:29522356:29524708:-1 gene:PRUPE_2G313100 transcript:ONI25651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARTAWQCRANCRFVQEDVRIAPRFSSFPSSSSSKAESDSAPENAPEGIDHFTPGCMSYNPSSELAPNTKWWLNLEPNFGPHKEFTYEQLKLLEAELEDLNSGFVNKPAIISDYYQCNGVIRNQNDRKNTVDSFVEQPCKVSVTCSKNDQSKGMQELKAETGNDPQLPKKRDPGEFWYSDDHLMNLDSFNCLSSEEPKKLSSGLESQWVGTEKTEPWWRSAGKDELASLVAQKSLEHIENCDLPRPQIKHSRKGPSAFDPNSSIDQMAELGFSNMDTYTWGSFTSGHSTHESDSPSSQNNDYGTISKDEVATQNNAEDDRSKAELLEALCHSQTRARKAEEAAQQAYTEKEHIITLFLKQASQLFAYKQWLQLLQLENFCLQRNSKKEPISGLFPACFPWSPYKGRHMKKAQRRAGKRIGRPRYEISKGAVAFALGLGLAGAGLLLGWTMGWLFPTI >ONI25652 pep chromosome:Prunus_persica_NCBIv2:G2:29522465:29525306:-1 gene:PRUPE_2G313100 transcript:ONI25652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARTAWQCRANCRFVQEDVRIAPRFSSFPSSSSSKAESDSAPENAPEGIDHFTPGCMSYNPSSELAPNTKWWLNLEPNFGPHKEFTYEQLKLLEAELEDLNSGFVNKPAIISDYYQCNGVIRNQNDRKNTVDSFVEQPCKVSVTCSKNDQSKGMQELKAETGNDPQLPKKRDPGEFWYSDDHLMNLDSFNCLSSEEPKKLSSGLESQWVGTEKTEPWWRSAGKDELASLVAQKSLEHIENCDLPRPQIKHSRKGPSAFDPNSSIDQMAELGFSNMDTYTWGSFTSGHSTHESDSPSSQNNDYGTISKDEVATQNNAEDDRSKAELLEALCHSQTRARKAEEAAQQAYTEKEHIITLFLKQASQLFAYKQWLQLLQLENFCLQRNSKKEPISGLFPACFPWSPYKGRHMKKAQRRAGKRIGRPRYEISKGAVAFALGLGLAGAGLLLGWTMGWLFPTI >ONI21588 pep chromosome:Prunus_persica_NCBIv2:G2:11241071:11244712:-1 gene:PRUPE_2G074400 transcript:ONI21588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMRWPPWPPISTKKFEVVIVVGRLEGLDKTQFEGERRALVEVKWKGQKGKALGSLRRSVKRNFTKEGEIRDDGVVELQEEFRSLCSFSGCKEGMFYPWELSFTVFNAENKGLRNRVGVYGTASLNLAQYASVSEQKELGLNIPLNVLVVASESKASLSISLSILEMRATQELSETVPGSMPSDEAISTEKDELSPLKAGLRKVKILRDYVSFGKSKKACVEQDSSDSRSSARSEDAASNYPFDTDSLDDDGREESEWSKEDSSVRQSISYETLAYANFAGGLFYSNTNSNGQDDFWVHYSNRKLDIGGLHVDNSSAPVCRPNSWQSSKGRILQWKKRKLSFRSPKAKGESLLKKHYGDEGGDDIDFDRRQLSSSDESSFGSHHTESSISEFGDENFSVGIWEHKEVISRDRHMKLQTQVFFASIDQRSERAAGESACTALVAVITDWLKSNRNEMPVKCEFDSLIRDGSSEWRTLCDNEAYIERFNDKHFDLETILQAKIRPLSVVPEKSFVGFFHPEELGNKDSDFLQGAMSFDSIWDEISRSASECACNSELLVYIVSWNDHFFILKVEQDAFYIIDTLGERLYEGCNQAYILKFDKDTTIQRLPSEAKASNEKSGNQVRPNNCKETKAEGAPVLSQKDLENSDVEEEVVCKGKEACKEYIKSFLVAIPIRELLADLKKGLMASTPLHHRLQIEFHCTKLLQSMDEYSAKETAAAAPAMAVA >ONI21589 pep chromosome:Prunus_persica_NCBIv2:G2:11241071:11246197:-1 gene:PRUPE_2G074400 transcript:ONI21589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATQELSETVPGSMPSDEAISTEKDELSPLKAGLRKVKILRDYVSFGKSKKACVEQDSSDSRSSARSEDAASNYPFDTDSLDDDGREESEWSKEDSSVRQSISYETLAYANFAGGLFYSNTNSNGQDDFWVHYSNRKLDIGGLHVDNSSAPVCRPNSWQSSKGRILQWKKRKLSFRSPKAKGESLLKKHYGDEGGDDIDFDRRQLSSSDESSFGSHHTESSISEFGDENFSVGIWEHKEVISRDRHMKLQTQVFFASIDQRSERAAGESACTALVAVITDWLKSNRNEMPVKCEFDSLIRDGSSEWRTLCDNEAYIERFNDKHFDLETILQAKIRPLSVVPEKSFVGFFHPEELGNKDSDFLQGAMSFDSIWDEISRSASECACNSELLVYIVSWNDHFFILKVEQDAFYIIDTLGERLYEGCNQAYILKFDKDTTIQRLPSEAKASNEKSGNQVRPNNCKETKAEGAPVLSQKDLENSDVEEEVVCKGKEACKEYIKSFLVAIPIRELLADLKKGLMASTPLHHRLQIEFHCTKLLQSMDEYSAKETAAAAPAMAVA >ONI21591 pep chromosome:Prunus_persica_NCBIv2:G2:11241071:11248172:-1 gene:PRUPE_2G074400 transcript:ONI21591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATQELSETVPGSMPSDEAISTEKDELSPLKAGLRKVKILRDYVSFGKSKKACVEQDSSDSRSSARSEDAASNYPFDTDSLDDDGREESEWSKEDSSVRQSISYETLAYANFAGGLFYSNTNSNGQDDFWVHYSNRKLDIGGLHVDNSSAPVCRPNSWQSSKGRILQWKKRKLSFRSPKAKGESLLKKHYGDEGGDDIDFDRRQLSSSDESSFGSHHTESSISEFGDENFSVGIWEHKEVISRDRHMKLQTQVFFASIDQRSERAAGESACTALVAVITDWLKSNRNEMPVKCEFDSLIRDGSSEWRTLCDNEAYIERFNDKHFDLETILQAKIRPLSVVPEKSFVGFFHPEELGNKDSDFLQGAMSFDSIWDEISRSASECACNSELLVYIVSWNDHFFILKVEQDAFYIIDTLGERLYEGCNQAYILKFDKDTTIQRLPSEAKASNEKSGNQVRPNNCKETKAEGAPVLSQKDLENSDVEEEVVCKGKEACKEYIKSFLVAIPIRELLADLKKGLMASTPLHHRLQIEFHCTKLLQSMDEYSAKETAAAAPAMAVA >ONI21590 pep chromosome:Prunus_persica_NCBIv2:G2:11240309:11246197:-1 gene:PRUPE_2G074400 transcript:ONI21590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATQELSETVPGSMPSDEAISTEKDELSPLKAGLRKVKILRDYVSFGKSKKACVEQDSSDSRSSARSEDAASNYPFDTDSLDDDGREESEWSKEDSSVRQSISYETLAYANFAGGLFYSNTNSNGQDDFWVHYSNRKLDIGGLHVDNSSAPVCRPNSWQSSKGRILQWKKRKLSFRSPKAKGESLLKKHYGDEGGDDIDFDRRQLSSSDESSFGSHHTESSISEFGDENFSVGIWEHKEVISRDRHMKLQTQVFFASIDQRSERAAGESACTALVAVITDWLKSNRNEMPVKCEFDSLIRDGSSEWRTLCDNEAYIERFNDKHFDLETILQAKIRPLSVVPEKSFVGFFHPEELGNKDSDFLQGAMSFDSIWDEISRSASECACNSELLVYIVSWNDHFFILKVEQDAFYIIDTLGERLYEGCNQAYILKFDKDTTIQRLPSEAKASNEKSGNQVRPNNCKETKAEGAPVLSQKDLENSDVEEEVVCKGKEACKEYIKSFLVAIPIRELLADLKKGLMASTPLHHRLQIEFHCTKLLQSMDEYSAKETAAAAPAMAVA >ONI24216 pep chromosome:Prunus_persica_NCBIv2:G2:25481123:25483142:1 gene:PRUPE_2G230100 transcript:ONI24216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >ONI24218 pep chromosome:Prunus_persica_NCBIv2:G2:25481124:25482996:1 gene:PRUPE_2G230100 transcript:ONI24218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >ONI24217 pep chromosome:Prunus_persica_NCBIv2:G2:25480959:25483092:1 gene:PRUPE_2G230100 transcript:ONI24217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >ONI22279 pep chromosome:Prunus_persica_NCBIv2:G2:17655433:17658448:-1 gene:PRUPE_2G118500 transcript:ONI22279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFYYFKDKTRGREQKSAPELKEQRKSDYSGAERVIQSSCSENSPRGIPELYEEKAHNLRVFTFQELRHATNDFSRLLKIGEGGFGNVYKGSIKPADAKGDPIVVAIKKLNNDGLQGHKQWVAEVQFLSVVEHPNLVKLIGYCAVDGERGIQRLLVYEYMPNRSLEDHLFNKAYPAIPWETRLQITLGAAEGLVYLHEGLEIQVIYRDLKCANVLLDENFKPKLSDFGLAREGPMVGHTHVSTAVVGTFGYAAPDYVETGHLTTKSDVWGFGVVLYEILTGRRSLERNRPRTEQRLLEWVKQFPHDGKKFGLIMDSRLENKYSISAARKIARLADSCLSKSAKDRPTMSQVVDTLKKIIQETEEGNTSERSPEPVENEQVDSVKKQSQLGASESWKRRMNHLAKLGEQVESASRRRFMIMQRAKVT >ONI22280 pep chromosome:Prunus_persica_NCBIv2:G2:17655021:17659293:-1 gene:PRUPE_2G118500 transcript:ONI22280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFYYFKDKTRGREQKSAPELKEQRKSDYSGAERVIQSSCSENSPRGIPELYEEKAHNLRVFTFQELRHATNDFSRLLKIGEGGFGNVYKGSIKPADAKGDPIVVAIKKLNNDGLQGHKQWVAEVQFLSVVEHPNLVKLIGYCAVDGERGIQRLLVYEYMPNRSLEDHLFNKAYPAIPWETRLQITLGAAEGLVYLHEGLEIQVIYRDLKCANVLLDENFKPKLSDFGLAREGPMVGHTHVSTAVVGTFGYAAPDYVETGHLTTKSDVWGFGVVLYEILTGRRSLERNRPRTEQRLLEWVKQFPHDGKKFGLIMDSRLENKYSISAARKIARLADSCLSKSAKDRPTMSQVVDTLKKIIQETEEGNTSERSPEPVENEQVDSVKKQSQLGASESWKRRMNHLAKLGEQVESASRRRFMIMQRAKVT >ONI22278 pep chromosome:Prunus_persica_NCBIv2:G2:17655096:17659276:-1 gene:PRUPE_2G118500 transcript:ONI22278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFYYFKDKTRGREQKSAPELKEQRKSDYSGAERVIQSSCSENSPRGIPELYEEKAHNLRVFTFQELRHATNDFSRLLKIGEGGFGNVYKGSIKPADAKGDPIVVAIKKLNNDGLQGHKQWVAEVQFLSVVEHPNLVKLIGYCAVDGERGIQRLLVYEYMPNRSLEDHLFNKAYPAIPWETRLQITLGAAEGLVYLHEGLEIQVIYRDLKCANVLLDENFKPKLSDFGLAREGPMVGHTHVSTAVVGTFGYAAPDYVETGHLTTKSDVWGFGVVLYEILTGRRSLERNRPRTEQRLLEWVKQFPHDGKKFGLIMDSRLENKYSISAARKIARLADSCLSKSAKDRPTMSQVVDTLKKIIQETEEGNTSERSPEPVENEQVDSVKKQSQLGASESWKRRMNHLAKLGEQVESASRRRFMIMQRAKVT >ONI22282 pep chromosome:Prunus_persica_NCBIv2:G2:17655096:17658801:-1 gene:PRUPE_2G118500 transcript:ONI22282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFYYFKDKTRGREQKSAPELKEQRKSDYSGAERVIQSSCSENSPRGIPELYEEKAHNLRVFTFQELRHATNDFSRLLKIGEGGFGNVYKGSIKPADAKGDPIVVAIKKLNNDGLQGHKQWVAEVQFLSVVEHPNLVKLIGYCAVDGERGIQRLLVYEYMPNRSLEDHLFNKAYPAIPWETRLQITLGAAEGLVYLHEGLEIQVIYRDLKCANVLLDENFKPKLSDFGLAREGPMVGHTHVSTAVVGTFGYAAPDYVETGHLTTKSDVWGFGVVLYEILTGRRSLERNRPRTEQRLLEWVKQFPHDGKKFGLIMDSRLENKYSISAARKIARLADSCLSKSAKDRPTMSQVVDTLKKIIQETEEGNTSERSPEPVENEQVDSVKKQSQLGASESWKRRMNHLAKLGEQVESASRRRFMIMQRAKVT >ONI22281 pep chromosome:Prunus_persica_NCBIv2:G2:17655096:17659304:-1 gene:PRUPE_2G118500 transcript:ONI22281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFYYFKDKTRGREQKSAPELKEQRKSDYSGAERVIQSSCSENSPRGIPELYEEKAHNLRVFTFQELRHATNDFSRLLKIGEGGFGNVYKGSIKPADAKGDPIVVAIKKLNNDGLQGHKQWVAEVQFLSVVEHPNLVKLIGYCAVDGERGIQRLLVYEYMPNRSLEDHLFNKAYPAIPWETRLQITLGAAEGLVYLHEGLEIQVIYRDLKCANVLLDENFKPKLSDFGLAREGPMVGHTHVSTAVVGTFGYAAPDYVETGHLTTKSDVWGFGVVLYEILTGRRSLERNRPRTEQRLLEWVKQFPHDGKKFGLIMDSRLENKYSISAARKIARLADSCLSKSAKDRPTMSQVVDTLKKIIQETEEGNTSERSPEPVENEQVDSVKKQSQLGASESWKRRMNHLAKLGEQVESASRRRFMIMQRAKVT >ONI20195 pep chromosome:Prunus_persica_NCBIv2:G2:317107:320632:1 gene:PRUPE_2G002400 transcript:ONI20195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHQTFWCHECDMSVSLVPCSAQETLLCPHCFSDLLELMDSSSSSSSSFSDHQHNSQDNFLLNSPFLHRLIHHLSTHPINHDDRLPSPTAAVPPSSLPASKASVDAIPTLKITSSMLDLDPLLLCAVCKDPFLHSVDAKQLPCNHLYHPHCILPWLSSHSSCPLCRFQLPTDTHQPHLRHQNQNTPLPFDDDDDQYDWFMEYGSHGGSLRLSYIAASGRQMANPGEMAAAASPNVNANANADSSFNGNL >ONI23231 pep chromosome:Prunus_persica_NCBIv2:G2:22180927:22182422:1 gene:PRUPE_2G176500 transcript:ONI23231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSQTNTSLFVSSLFCDPPCISEQTPDTIPRNQMATAVELLPREYGYVVLVLLHASPCVLLCSFSGVALSLHLFSLFLALLGTPTMIKPINHQNQTNCSTCEVEHFNKWSTSTSHQKINKAYKISSGWHMIYLLVGFRPPTNNILKAISTLIIVLRTCVYLFGPIMSMHLGNIPAIVVSSPTALELLLCLPSVSGSS >ONI22461 pep chromosome:Prunus_persica_NCBIv2:G2:18938643:18946150:-1 gene:PRUPE_2G131100 transcript:ONI22461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVSSKADLKRREIQKKKAKSGGFESLNLSPNVFRGVKRKGYRVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLIPMLERLKEHVPQGGVRALILSPTRDLALQTHKFTKELGHFLDVRISLLVGGDSMETQFEELAQNPDIIIATPGRLMHHLAEVDDMSLRTVEYVVFDEADCLFGMGFAEQLHKILGQLSENRQTLLFSATLPSALAEFAKAGLQDPRLVRLDLDTKISPDLKLMFFTVRQEEKHAAILYLIREHIKSGEQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDHDARKIHVSRFRARKTMLLIVTDVAARGIDIPLLDNVINWDFPPKPKLFVHRVGRAARAGRTGTAFSLVTSEDMPNLLDLHLFLSKPIRAAPTEEEVLQDMDGMMSKIDQAVANGETVYGRFPQTVIDLVSDRVREIIDSSSELILMLKTCANAFRLYSKTKPAPSKESVRRAKDLPREGLHPIFKNVLDGGELKALAFSERLKTFRPKQTILETEGEAAKSKNLKGSSRQWVDVMREKRAIHEEVINLFHQQRSDNHAEKGVEYEITPSMAKEKKGSKRKARSFKDEEYFISSVPTNHHTEAGLSVRGKGDFDSNRLEAAVLDLVADDNVGMKKQKSVFHWDKRGKKYIKLNNGDRVTASGKIKTESGAKAKLEKTGIYKRWKERSHNKVSLKGINEGNAEEAAGNRRWQGNKGKKSWGSRKQYSVPNAHVRSEIKDLEQVRKDRQKKADRISYMKSKSSKGNKFGKSGKGGKGGKGGQRGKGKR >ONI22462 pep chromosome:Prunus_persica_NCBIv2:G2:18938641:18946195:-1 gene:PRUPE_2G131100 transcript:ONI22462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVSSKADLKRREIQKKKAKSGGFESLNLSPNVFRGVKRKGYRVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLIPMLERLKEHVPQGGVRALILSPTRDLALQTHKFTKELGHFLDVRISLLVGGDSMETQFEELAQNPDIIIATPGRLMHHLAEVDDMSLRTVEYVVFDEADCLFGMGFAEQLHKILGQLSENRQTLLFSATLPSALAEFAKAGLQDPRLVRLDLDTKISPDLKLMFFTVRQEEKHAAILYLIREHIKSGEQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDHDARKIHVSRFRARKTMLLIVTDVAARGIDIPLLDNVINWDFPPKPKLFVHRVGRAARAGRTGTAFSLVTSEDMPNLLDLHLFLSKPIRAAPTEEEVLQDMDGMMSKIDQAVANGETVYGRFPQTVIDLVSDRVREIIDSSSELILMLKTCANAFRLYSKTKPAPSKESVRRAKDLPREGLHPIFKNVLDGGELKALAFSERLKTFRPKQTILETEGEAAKSKNLKGSSRQWVDVMREKRAIHEEVINLFHQQRSDNHAEKGVEYEITPSMAKEKKVSGSKRKARSFKDEEYFISSVPTNHHTEAGLSVRGKGDFDSNRLEAAVLDLVADDNVGMKKQKSVFHWDKRGKKYIKLNNGDRVTASGKIKTESGAKAKLEKTGIYKRWKERSHNKVSLKGINEGNAEEAAGNRRWQGNKGKKSWGSRKQYSVPNAHVRSEIKDLEQVRKDRQKKADRISYMKSKSSKGNKFGKSGKGGKGGKGGQRGKGKR >ONI21444 pep chromosome:Prunus_persica_NCBIv2:G2:9052888:9054539:-1 gene:PRUPE_2G065900 transcript:ONI21444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLYSNPLPLTTASSRSSSPFPTSSSSTAATAIFSRLRLKPTDAHPKSFSGVCLRMPAVKRFPSVVGKASSDIDGTSPTQSSEPVSDTKKEVVPVDKLPLESKLQERLEQKAKMQLAKKIRLRRKRLVRKRKLRKKGRWPPSKMKKLKNV >ONI23211 pep chromosome:Prunus_persica_NCBIv2:G2:22108443:22111304:-1 gene:PRUPE_2G175300 transcript:ONI23211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQEPHRPFFHFGNPFRMISPKGSHLSPKLVALLNTFEETLAGRLRKLNPKDKDDVLSLSWMKLAMESLCGTHNDIKTLISEIDLPVSDWDEKWIDVYLDISVKLLDVCIAFSSEISRLNQGHLFLQCVLHNLDSTSSNQFIRARSSLDGWRHHIGSKNPRVENCSTILDKLVESLDLPKVKNSAKGKLLMRAMYGVKVLTVSVCSVFAAAFSGSAKKLLDLNVAETYLWAQAFNDFQGNVNGEIRNVFSSGRFMVLKELEAVDGIVKELYPKIQDGVGLAEGKEFRSSISDLESKAQKLSQGLDLLTKEVDGFFQILLTGRDALLSKLRSGGAVSDQMLAGNVGAQVVR >ONI21831 pep chromosome:Prunus_persica_NCBIv2:G2:14503990:14505242:1 gene:PRUPE_2G092200 transcript:ONI21831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGEPMQGQTIPLSLPSKQRIKPTPISSTTSSYLFTSSFLPFHSHPKLSPYFIYIIIYTSLWLFLIF >ONI21073 pep chromosome:Prunus_persica_NCBIv2:G2:5666985:5673545:1 gene:PRUPE_2G049500 transcript:ONI21073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKFFRTISTKQVEASDVRPLPVLEDTLNYLLNFFDSREYPFEVVHDFLFDRTRSIRQDLSMQNIVNNKVIRMYEKMVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVRALAVSCINNGGYKLHPYPLANLSKLLMMTESDLESFCKACGLEICTNEEGYNLLPTKQTTFRHPKDGFQSYIFVGLEQFER >ONI21074 pep chromosome:Prunus_persica_NCBIv2:G2:5666919:5673545:1 gene:PRUPE_2G049500 transcript:ONI21074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKFFRTISTKQVEASDVRPLPVLEDTLNYLLNFFDSREYPFEVVHDFLFDRTRSIRQDLSMQNIVNNKVIRMYEKMVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVRALAVSCINNGGYKLHPYPLANLSKLLMMTESDLESFCKACGLEICTNEEGYNLLPTKQTTFRHPKDGFQSYIFVGLEQFER >ONI21068 pep chromosome:Prunus_persica_NCBIv2:G2:5666985:5673545:1 gene:PRUPE_2G049500 transcript:ONI21068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKFFRTISTKQVEASDVRPLPVLEDTLNYLLNFFDSREYPFEVVHDFLFDRTRSIRQDLSMQNIVNNKVIRMYEKMVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVINAQILSKARIWPSYHLLSSRLVVLSSQKESKVVLKIFLESNFCFL >ONI21071 pep chromosome:Prunus_persica_NCBIv2:G2:5667269:5672395:1 gene:PRUPE_2G049500 transcript:ONI21071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKFFRTISTKQVEASDVRPLPVLEDTLNYLLNFFDSREYPFEVVHDFLFDRTRSIRQDLSMQNIVNNKVIRMYEKMVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVINAQILSKARIWPSYHLLSSRLGKRASRPTVP >ONI21080 pep chromosome:Prunus_persica_NCBIv2:G2:5666985:5673545:1 gene:PRUPE_2G049500 transcript:ONI21080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVRALAVSCINNGGYKLHPYPLANLSKLLMMTESDLESFCKACGLEICTNEEGYNLLPTKQTTFRHPKDGFQSYIFVGLEQFER >ONI21076 pep chromosome:Prunus_persica_NCBIv2:G2:5666851:5673545:1 gene:PRUPE_2G049500 transcript:ONI21076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKFFRTISTKQVEASDVRPLPVLEDTLNYLLNFFDSREYPFEVVHDFLFDRTRSIRQDLSMQNIVNNKVIRMYEKMVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVRALAVSCINNGGYKLHPYPLANLSKLLMMTESDLESFCKACGLEICTNEEGYNLLPTKQTTFRHPKDGFQSYIFVGLEQFER >ONI21069 pep chromosome:Prunus_persica_NCBIv2:G2:5666968:5673545:1 gene:PRUPE_2G049500 transcript:ONI21069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKFFRTISTKQVEASDVRPLPVLEDTLNYLLNFFDSREYPFEVVHDFLFDRTRSIRQDLSMQNIVNNKVIRMYEKMVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVINAQILSKARIWPSYHLLSSRLVVLSSQKESKVVLKIFLESNFCFL >ONI21072 pep chromosome:Prunus_persica_NCBIv2:G2:5666985:5673545:1 gene:PRUPE_2G049500 transcript:ONI21072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVINAQILSKARIWPSYHLLSSRLGKRASRPTVP >ONI21070 pep chromosome:Prunus_persica_NCBIv2:G2:5666851:5673545:1 gene:PRUPE_2G049500 transcript:ONI21070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKFFRTISTKQVEASDVRPLPVLEDTLNYLLNFFDSREYPFEVVHDFLFDRTRSIRQDLSMQNIVNNKVIRMYEKMVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVRALAVSCINNGGYKLHPYPLANLSKLLMMTESDLESFCKACGLEICTNEEGYNLLPTKQTTFRHPKDGFQSYIFVGLEQFESGTLKPKRK >ONI21078 pep chromosome:Prunus_persica_NCBIv2:G2:5666851:5673545:1 gene:PRUPE_2G049500 transcript:ONI21078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVRALAVSCINNGGYKLHPYPLANLSKLLMMTESDLESFCKACGLEICTNEEGYNLLPTKQTTFRHPKDGFQSYIFVGLEQFER >ONI21075 pep chromosome:Prunus_persica_NCBIv2:G2:5667269:5672297:1 gene:PRUPE_2G049500 transcript:ONI21075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKFFRTISTKQVEASDVRPLPVLEDTLNYLLNFFDSREYPFEVVHDFLFDRTRSIRQDLSMQNIVNNKVIRMYEKMVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVRALAVSCINNGGYKLHPYPLANLSKLLMMTESDLESFCKACGLEICTNEEGYNLLPTKQTTFRHPKDGFQSYIFVGLEQFER >ONI21079 pep chromosome:Prunus_persica_NCBIv2:G2:5666985:5673545:1 gene:PRUPE_2G049500 transcript:ONI21079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVRALAVSCINNGGYKLHPYPLANLSKLLMMTESDLESFCKACGLEICTNEEGYNLLPTKQTTFRHPKDGFQSYIFVGLEQFER >ONI21077 pep chromosome:Prunus_persica_NCBIv2:G2:5666851:5673545:1 gene:PRUPE_2G049500 transcript:ONI21077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGRGRLQPRNVSSRESTVSRTNRFASKPNSTPKSSSRVASGGEKAQNDGVDDPSDLPAIVGTCPLMCPERERAQRERLRDLAVFERLNGNPAQSSPDLAVKKVKFHVICLHKLQRCSSQNTSSMNYLNKEQLAKTLTSLFNLYDANRDSNSTYENEAEFCSFYVLLHLGSNSQPMGESLSWWFRNVPSTLMKTKEICFSRKILRFFRIGNYNCFLSTIAAEASYLQYCILEPYVNEVRALAVSCINNGGYKLHPYPLANLSKLLMMTESDLESFCKACGLEICTNEEGYNLLPTKQTTFRHPKDGFQSYIFVGLEQFER >ONI23408 pep chromosome:Prunus_persica_NCBIv2:G2:22803662:22806702:-1 gene:PRUPE_2G187500 transcript:ONI23408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKRAVVTQPQPPQMQKRMRRTKTICSCSSPRFTDNSAGSAFCWYEEDVWTEIAKYLDGKSLVMLATTCCWFHGLIMEGSIWKYACLRDLQVPAPLHVAFNWRNLYVSAFDGSHSYMFRQKEKHIDWMRIGAFSVESSEALLTERLRNPTKLPEEDTMQKMLEACGYCVLDNVKAGIWIADLQLVRCPVCELNTCDGTMQTLDARHIELFLSEGYQNGSWEYEHIGSHDVKKHVDGASGAIFDLKHLNDSPTSVFNLKSWVGKPNDWQPKAIITLHSVAVNTNLQKNEGLQVKYQVMRAGAGGEVVSIRISQQLL >ONI21756 pep chromosome:Prunus_persica_NCBIv2:G2:13716886:13717720:1 gene:PRUPE_2G086600 transcript:ONI21756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLTAFTPPPIPSAALISTSRPLSIPSQTLLNSSALLVKPQTQIPRKPKKFVVFANNPSAKEREEEKDKEEEIGFGGGDDDLRENQRPLFGNIRWGDLLLDPDPNNILAVGLTGLLTWASVQVLWQLVFIALAILVAAVKYSFIAAVLLFILIALL >ONI21773 pep chromosome:Prunus_persica_NCBIv2:G2:13917244:13919509:1 gene:PRUPE_2G088200 transcript:ONI21773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGKKSTCIISSEMQQLFMSSFCILLCVFFTAVGASQNWCTESKCSNDHGLAIHFPFLLGNHCGDSGLGCNNDRQEAVLEQQVALVKFFVKSLDYKRGLIYSQDGCLLLNPLETPNIPISPFYLLDTEIRNVTLFHCPTPFGRDIYTFQVPCLGSGPGYEVYGVSSGADLFDYLPNLQSCTRMYDVLSVPSGTWMGDGTSISSFKWFEPNCTECEAEGKRCRLNNNGIKSEVECVHIGKASQTTKFVATGATLGSSLLLVLVIALYRVYSADRMEKENRLKIERFLEDYKSLKPSRYSYADIKRITNEFKDKLGQGAYGTVYKGKLSSEFFVAVKVLNNSTGNGEEFVNEVGTMGHIHHVNVVRLVGFCADGFRRALVYEFFPNGSLQDFISSADSKNNFLGWEKLHDIAVGIAKGIEYLHQGCDQRILHFDIKPHNVLLDHNFTPKISDFGLAKLCSKDQSMVSMTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRKSIGTTTNNTNEIYYPEWIYNLLEGGDDLRIHIGDDGDGKIPKKLAIVGLRCIQWHPVDRPSMKTAVHMLEGVDNLTMPPNPFASGGPTTTHASIPARRLELEAIAELE >ONI22110 pep chromosome:Prunus_persica_NCBIv2:G2:16538817:16539784:1 gene:PRUPE_2G107800 transcript:ONI22110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSSGESMIMCNFSPSCCRGQMTQCYVIMTRDEEEQV >ONI20412 pep chromosome:Prunus_persica_NCBIv2:G2:1218889:1222621:-1 gene:PRUPE_2G013900 transcript:ONI20412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFYVAEGIIERLGSLFFQEIALLWGVKDDLQKLKEKVAQLQAVLLDAEQKQANNNEVKVWLQSVEDAVYEADDVVDEFYTEAQWRQMVPGNNKVSKQVRIFFSSSNQLVFGLKMGHKIKNINLRLHVIASDRMFIQLEQVNHEDARFVIRERVTHSFVREDNIIGRDEDKRAIIQLLLDLDPISTENVSTISIVGFGGLGKTALAQLVFNDEVVQNHFELKMWTCVSNVFELNVLAEKIIQSATNEEPKNLRMDQLQRNLRGKIDGKKYLLVLDDVWNEDHGKWDDLKDLLMGGARGSKILITTRSKKVANIADTAEPYNLRGMNEEQSWFLFKKMAFKEGKEPDSSTIKAIGEEIARKCQGVPLAIRTIGRMLYPKHQETEWLAFKSNKLSTISQEEDVIIPTLRLSYDVLPSHLKHCFAYCSLFPPDYEIPVENLIKLWVAQGFVKSSNPNECLEDVGYEYYNELVWRSFFQEEKKDGFGIIKSCKMHDLMNELAVKVAGEGSRIIHRNKTDFDARHLLHVSFDFDVVLSEWEIPTSLLESNKLRTFLFLRQRWQDKLFHKSFYATISSNFKSLRMLSLNDLGITKLPKCLRKMKHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNCCRFLVELPRDIKKLINLRHLILANCDNLAWIPHGLGELTRLRTLNTFVLSENKSMLRDSAGLSELGKLNDLRGELKIINLRCEQTMMSELNYDCAVLKEKRHLYSLTLYWMDIERENNDAEESDVIIKSMEALQPHSSLKELDVNGYPGARLASWFHSLTNIVNLTLFDCYRCQHLPPLDHLPFLKCLDLFGLRNLEHISAEDKVKDFAGDVMMMSAASPSTTFFPSLESLYLRICPNLKGWWRNDTASASASSFPCLSFLSIYGCPNLTSMPLYPNLDELWLQKSSWKVLPSSFVPSYKLKYLEIRGVEDIEYVPEEGIGNLTLLEKLEIKDCPNLVSLPDQGMGRLISLQRLHISKCPNLASLPEGLRCLVSLKRLIIESCPILKQRCQKETGEDWSKIAHIPDISID >ONI20413 pep chromosome:Prunus_persica_NCBIv2:G2:1219325:1222550:-1 gene:PRUPE_2G013900 transcript:ONI20413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFYVAEGIIERLGSLFFQEIALLWGVKDDLQKLKEKVAQLQAVLLDAEQKQANNNEVKVWLQSVEDAVYEADDVVDEFYTEAQWRQMVPGNNKVSKQVRIFFSSSNQLVFGLKMGHKIKNINLRLHVIASDRMFIQLEQVNHEDARFVIRERVTHSFVREDNIIGRDEDKRAIIQLLLDLDPISTENVSTISIVGFGGLGKTALAQLVFNDEVVQNHFELKMWTCVSNVFELNVLAEKIIQSATNEEPKNLRMDQLQRNLRGKIDGKKYLLVLDDVWNEDHGKWDDLKDLLMGGARGSKILITTRSKKVANIADTAEPYNLRGMNEEQSWFLFKKMAFKEGKEPDSSTIKAIGEEIARKCQGVPLAIRTIGRMLYPKHQETEWLAFKSNKLSTISQEEDVIIPTLRLSYDVLPSHLKHCFAYCSLFPPDYEIPVENLIKLWVAQGFVKSSNPNECLEDVGYEYYNELVWRSFFQEEKKDGFGIIKSCKMHDLMNELAVKVAGEGSRIIHRNKTDFDARHLLHVSFDFDVVLSEWEIPTSLLESNKLRTFLFLRQRWQDKLFHKSFYATISSNFKSLRMLSLNDLGITKLPKCLRKMKHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNCCRFLVELPRDIKKLINLRHLILANCDNLAWIPHGLGELTRLRTLNTFVLSENKSMLRDSAGLSELGKLNDLRGELKIINLRCEQTMMSELNYDCAVLKEKRHLYSLTLYWMDIERENNDAEESDVIIKSMEALQPHSSLKELDVNGYPGARLASWFHSLTNIVNLTLFDCYRCQHLPPLDHLPFLKCLDLFGLRNLEHISAEDKVKDFAGDVMMMSAASPSTTFFPSLESLYLRICPNLKGWWRNDTASASASSFPCLSFLSIYGCPNLTSMPLYPNLDELWLQKSSWKVLPSSFVPSYKLKYLEIRGVEDIEYVPEEGIGNLTLLEKLEIKDCPNLVSLPDQGMGRLISLQRLHISKCPNLASLPEGLRCLVSLKRLIIESCPILKQRCQKETGEDWSKIAHIPDISID >ONI20411 pep chromosome:Prunus_persica_NCBIv2:G2:1219325:1222550:-1 gene:PRUPE_2G013900 transcript:ONI20411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFYVAEGIIERLGSLFFQEIALLWGVKDDLQKLKEKVAQLQAVLLDAEQKQANNNEVKVWLQSVEDAVYEADDVVDEFYTEAQWRQMVPGNNKVSKQVRIFFSSSNQLVFGLKMGHKIKNINLRLHVIASDRMFIQLEQVNHEDARFVIRERVTHSFVREDNIIGRDEDKRAIIQLLLDLDPISTENVSTISIVGFGGLGKTALAQLVFNDEVVQNHFELKMWTCVSNVFELNVLAEKIIQSATNEEPKNLRMDQLQRNLRGKIDGKKYLLVLDDVWNEDHGKWDDLKDLLMGGARGSKILITTRSKKVANIADTAEPYNLRGMNEEQSWFLFKKMAFKEGKEPDSSTIKAIGEEIARKCQGVPLAIRTIGRMLYPKHQETEWLAFKSNKLSTISQEEDVIIPTLRLSYDVLPSHLKHCFAYCSLFPPDYEIPVENLIKLWVAQGFVKSSNPNECLEDVGYEYYNELVWRSFFQEEKKDGFGIIKSCKMHDLMNELAVKVAGEGSRIIHRNKTDFDARHLLHVSFDFDVVLSEWEIPTSLLESNKLRTFLFLRQRWQDKLFHKSFYATISSNFKSLRMLSLNDLGITKLPKCLRKMKHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNCCRFLVELPRDIKKLINLRHLILANCDNLAWIPHGLGELTRLRTLNTFVLSENKSMLRDSAGLSELGKLNDLRGELKIINLRCEQTMMSELNYDCAVLKEKRHLYSLTLYWMDIERENNDAEESDVIIKSMEALQPHSSLKELDVNGYPGARLASWFHSLTNIVNLTLFDCYRCQHLPPLDHLPFLKCLDLFGLRNLEHISAEDKVKDFAGDVMMMSAASPSTTFFPSLESLYLRICPNLKGWWRNDTASASASSFPCLSFLSIYGCPNLTSMPLYPNLDELWLQKSSWKVLPSSFVPSYKLKYLEIRGVEDIEYVPEEGIGNLTLLEKLEIKDCPNLVSLPDQGMGRLISLQRLHISKCPNLASLPEGLRCLVSLKRLIIESCPILKQRCQKETGEDWSKIAHIPDISID >ONI20410 pep chromosome:Prunus_persica_NCBIv2:G2:1218735:1222621:-1 gene:PRUPE_2G013900 transcript:ONI20410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFYVAEGIIERLGSLFFQEIALLWGVKDDLQKLKEKVAQLQAVLLDAEQKQANNNEVKVWLQSVEDAVYEADDVVDEFYTEAQWRQMVPGNNKVSKQVRIFFSSSNQLVFGLKMGHKIKNINLRLHVIASDRMFIQLEQVNHEDARFVIRERVTHSFVREDNIIGRDEDKRAIIQLLLDLDPISTENVSTISIVGFGGLGKTALAQLVFNDEVVQNHFELKMWTCVSNVFELNVLAEKIIQSATNEEPKNLRMDQLQRNLRGKIDGKKYLLVLDDVWNEDHGKWDDLKDLLMGGARGSKILITTRSKKVANIADTAEPYNLRGMNEEQSWFLFKKMAFKEGKEPDSSTIKAIGEEIARKCQGVPLAIRTIGRMLYPKHQETEWLAFKSNKLSTISQEEDVIIPTLRLSYDVLPSHLKHCFAYCSLFPPDYEIPVENLIKLWVAQGFVKSSNPNECLEDVGYEYYNELVWRSFFQEEKKDGFGIIKSCKMHDLMNELAVKVAGEGSRIIHRNKTDFDARHLLHVSFDFDVVLSEWEIPTSLLESNKLRTFLFLRQRWQDKLFHKSFYATISSNFKSLRMLSLNDLGITKLPKCLRKMKHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNCCRFLVELPRDIKKLINLRHLILANCDNLAWIPHGLGELTRLRTLNTFVLSENKSMLRDSAGLSELGKLNDLRGELKIINLRCEQTMMSELNYDCAVLKEKRHLYSLTLYWMDIERENNDAEESDVIIKSMEALQPHSSLKELDVNGYPGARLASWFHSLTNIVNLTLFDCYRCQHLPPLDHLPFLKCLDLFGLRNLEHISAEDKVKDFAGDVMMMSAASPSTTFFPSLESLYLRICPNLKGWWRNDTASASASSFPCLSFLSIYGCPNLTSMPLYPNLDELWLQKSSWKVLPSSFVPSYKLKYLEIRGVEDIEYVPEEGIGNLTLLEKLEIKDCPNLVSLPDQGMGRLISLQRLHISKCPNLASLPEGLRCLVSLKRLIIESCPILKQRCQKETGEDWSKIAHIPDISIGCEF >ONI22686 pep chromosome:Prunus_persica_NCBIv2:G2:20166198:20167981:1 gene:PRUPE_2G144600 transcript:ONI22686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGPVCVTGGTGFVASWLVMRLLQHGYTVRTTVRPDPECKRDLSFLTSLPRASENLQIFNADLNQPDSFNDAIEGCIGVFHVAHPMPTKELDEEVVTKKAVQGALGILKACLNSKTVKRVVYTSSASAVAYSGGSQDLVDESSWSDIEFHRSLKIFGTSYVAAKTKTEQAILEFAEKSGLEVVTLIPPLVVGGFICKNFPSSVYLALAMILGNQDHYRYLIRPSLVHVDDLVSAHIFLFENSDAKGRYICSSNQVPIDEMSQFLSAKYPDFPIPTTDFLKGIEGFKSCGFSSQKLLSSGFKFKHGLDDMFGDAIQSCREKGFL >ONI22623 pep chromosome:Prunus_persica_NCBIv2:G2:19707130:19710425:-1 gene:PRUPE_2G140400 transcript:ONI22623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAASSTAFNSPDQQQQQQHLLSPSDQLCYVHCNFCDTVLAVSVPCSSLFKTVTVRCGHCSNLLSVNMRALLLPPPNNQHLPHPFFNTPHNLLEDMRNAPSSNTLMNQQPNYTNESIMAIRGGGLDHQEIPKPPAAVNRPPEKRQRVPSAYNRFINGPTSLTSTSALCLISP >ONI22621 pep chromosome:Prunus_persica_NCBIv2:G2:19707887:19710049:-1 gene:PRUPE_2G140400 transcript:ONI22621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAASSTAFNSPDQQQQQQHLLSPSDQLCYVHCNFCDTVLAVSVPCSSLFKTVTVRCGHCSNLLSVNMRALLLPPPNNQHLPHPFFNTPHNLLEDMRNAPSSNTLMNQQPNYTNESIMAIRGGGLDHQEIPKPPAAVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKTNMRQQEGEDMLMKDGFFSTPANVGVSPY >ONI22624 pep chromosome:Prunus_persica_NCBIv2:G2:19707130:19710425:-1 gene:PRUPE_2G140400 transcript:ONI22624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAASSTAFNSPDQQQQQQHLLSPSDQLCYVHCNFCDTVLAVSVPCSSLFKTVTVRCGHCSNLLSVNMRALLLPPPNNQHLPHPFFNTPHNLLQEDMRNAPSSNTLMNQQPNYTNESIMAIRGGGLDHQEIPKPPAAVNRPPEKRQRVPSAYNRFINGPTSLTSTSALCLISP >ONI22622 pep chromosome:Prunus_persica_NCBIv2:G2:19707887:19710049:-1 gene:PRUPE_2G140400 transcript:ONI22622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAASSTAFNSPDQQQQQQHLLSPSDQLCYVHCNFCDTVLAVSVPCSSLFKTVTVRCGHCSNLLSVNMRALLLPPPNNQHLPHPFFNTPHNLLQEDMRNAPSSNTLMNQQPNYTNESIMAIRGGGLDHQEIPKPPAAVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKTNMRQQEGEDMLMKDGFFSTPANVGVSPY >ONI20505 pep chromosome:Prunus_persica_NCBIv2:G2:1940275:1941332:1 gene:PRUPE_2G019700 transcript:ONI20505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDGACPLQHVLIFLQNSNTLLTQSPLERQPSSSATYSPDSAAPTMRTTETGSSCGKPSFSLQTPQSDCTNQPNKMDLKSFQMNCNAEGTPCTTGISPGYEIESRKRKLSSHHSRSVPAVNLEREFSRQSEAAGRDLQHNDANGDVLYDDLFFEGLDRAAVEAQATLLLKQKSELPRQKHLQHSPTFDLGI >ONI20668 pep chromosome:Prunus_persica_NCBIv2:G2:2950354:2961749:1 gene:PRUPE_2G028500 transcript:ONI20668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQKRMVSKCPENEELAAYMLRKWQEMAEQKPKGISENIEMTLSKAYSNVCNSKNPIKTLKEFSHIKGVGKWILRLMQGFFETGSSSSEPEDLTKKGKRNKGSRRYLPQKNSVAYALLITLYRGTENGNEFMRKQELIDAAEASGLSRVPIMPEKGKGKLGHFASSAKEWYSGWSCMTTLITKGLAVKSSCPAKYMLTEEGKEAARECLMRSGLAEPIEQSANAKGPSVLNVDNISHQGLAHPGSATEVTLLPTNLSRQEKPFDIPREYLDKFMPMGYSKEQIVQAFTEVSESSLGKEMSSLWPSVLCRLREEEVYGLHLKSQTLRKDLCAEPITYTVADGHRDCVMSENRLTTSSCDGGHVAKINSDEPVSKSFTFRACSSSSHPVPKPTSENYEASSSVLSIPPLSFGERFEDAYEVILVLDDREQFATQGSRSRRIIENVRSQFKIKIEVRRLPVGDGIWIARHKHLESEYVLDFIVERKNVDDLRSSIRDNRYRDQKLRLLRCGLKKLIYLVEGDPNTSEAAESIKTACFTTEILEGFDVQRTIGLADTLKKYVYLTQAITQYYNSEFSEEQCIRAGVCPPFDEFVKRCQDLDKMTVSDVFATQLMQVCNVFERKDYHPSFDKQSWVYYWSPR >ONI20666 pep chromosome:Prunus_persica_NCBIv2:G2:2950220:2963371:1 gene:PRUPE_2G028500 transcript:ONI20666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQKRMVSKCPENEELAAYMLRKWQEMAEQKPKGISENIEMTLSKAYSNVCNSKNPIKTLKEFSHIKGVGKWILRLMQGFFETGSSSSEPEDLTKKGKRNKGSRRYLPQKNSVAYALLITLYRGTENGNEFMRKQELIDAAEASGLSRVPIMPEKGKGKLGHFASSAKEWYSGWSCMTTLITKGLAVKSSCPAKYMLTEEGKEAARECLMRSGLAEPIEQSANAKGPSVLNVDNISHQGLAHPGSATEVTLLPTNLSRQEKPFDIPREYLDKFMPMGYSKEQIVQAFTEVSESSLGKEMSSLWPSVLCRLREEEVYGLHLKSQTLRKDLCAEPITYTVADGHRDCVMSENRLTTSSCDGGHVAKINSDEPVSKSFTFRACSSSSHPVPKPTSENYEASSSVLSIPPLSFGERFEDAYEVILVLDDREQFATQGSRSRRIIENVRSQFKIKIEVRRLPVGDGIWIARHKHLESEYVLDFIVERKNVDDLRSSIRDNRYRDQKLRLLRCGLKKLIYLVEGDPNTSEAAESIKTACFTTEILEGFDVQRTIGLADTLKKYVYLTQAITQYYNSEFSEEQCIRAGVCPPFDEFVKRCQDLDKMTVSDVFATQLMQVPQVTEDVAIAVLDLYPTLLSLARAYSLLEGDVRAQEEMLRTQSNNAVNVGASKNIFHLVWGN >ONI20667 pep chromosome:Prunus_persica_NCBIv2:G2:2950220:2963371:1 gene:PRUPE_2G028500 transcript:ONI20667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQKRMVSKCPENEELAAYMLRKWQEMAEQKPKGISENIEMTLSKAYSNVCNSKNPIKTLKEFSHIKGVGKWILRLMQGFFETGSSSSEPEDLTKKGKRNKGSRRYLPQKNSVAYALLITLYRGTENGNEFMRKQELIDAAEASGLSRVPIMPEKGKGKLGHFASSAKEWYSGWSCMTTLITKGLAVKSSCPAKYMLTEEGKEAARECLMRSGLAEPIEQSANAKGPSVLNVDNISHQGLAHPGSATEVTLLPTNLSRQEKPFDIPREYLDKFMPMGYSKEQIVQAFTEVSESSLGKEMSSLWPSVLCRLREEEVYGLHLKSQTLRKDLCAEPITYTVADGHRDCVMSENRLTTSSCDGGHVAKINSDEPVSKSFTFRACSSSSHPVPKPTSENYEASSSVLSIPPLSFGERFEDAYEVILVLDDREQFATQGSRSRRIIENVRSQFKIKIEVRRLPVGDGIWIARHKHLESEYVLDFIVERKNVDDLRSSIRDNRYRDQKLRLLRCGLKKLIYLVEGDPNTSEAAESIKTACFTTEILEGFDVQRTIGLADTLKKCQDLDKMTVSDVFATQLMQVPQVTEDVAIAVLDLYPTLLSLARAYSLLEGDVRAQEEMLRTQSNNAVNVGASKNIFHLVWGN >ONI21966 pep chromosome:Prunus_persica_NCBIv2:G2:15474370:15475140:-1 gene:PRUPE_2G099000 transcript:ONI21966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIWDLVGEVNIKELLGNHFLFTFGRTEDKEKEPDGTVAPSKTNLKFVDFWVQIRNVPLLKMTEKLARSIRDRIGKCIDTSRSEGGELVGGFMRIRVQIDTTKPLWRGLRITFPRGSTDLVAFVYEKLPKLCFGCGKIGHIFQDCNYVPEQQKRATYQPYGRFLTLRGYGHAQSSNSQSYDSISEEEEENRGNKRNSDAGKQKNVPREFSDAVSSPTKKKQTSSLEVSNKIQAVSSQL >ONI22147 pep chromosome:Prunus_persica_NCBIv2:G2:16847119:16847791:-1 gene:PRUPE_2G110300 transcript:ONI22147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDKSWIDLTDRSSNQYLNGLESFLDFSFDNSVGDTRIYCPCKKCYNRYFVIREVARAHIIVDEFWSKYKNWTHGQRHQSLYVDKYMGNSSASVVDDDIIGMVHEAFEHPNLDSSMRNDGSTENEYGDGPNDETTNYFTLILILNGNGSQ >ONI20798 pep chromosome:Prunus_persica_NCBIv2:G2:3653742:3658684:-1 gene:PRUPE_2G034000 transcript:ONI20798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSSASSTKMAPEYHHPSGPAPPLPPYDAHPNGFAAKRMRKLTQRRAVDYTSTVVRYMQGLIKLKGGPAPSLAIVAAATFSSMSYEKRLTVARKATSLTAHLCDKVQKVLVQSDTHSNSEKSPGAAADYGRASERIEEFADHSTLATADGDINRGIHEMKLAENLANLVKESSHMDERRPKSRMELKRSLELRIKKRVKEQYINGKFRNLMAKVISNPETLRDAYDCIRLNSNINTAFNDDNTSFDSIAKELGCGSFDVNANTFSISKKGAREEVLVLPNINLRVIQEAIRIVLEVVYKPDFSKISHGYRSGRGHSTALKYISKEISNPDWWFTLLINKKLDACILGKLITVMEDKVEDPSLYAMIQSMFNANVLNLEFGGFPKGHGLPQEGVLSSILMNIYLNQFDYEFYRLSMKYEALSPSLHSDQKSQSKLRSWFRRRLKGNDLGCAGEESFSIRVHSCRFMDEIFFSVAGSKDAALDFKSEVLNYLQKSLHLDVDDQAELLSCQMLHGIRFLGTLVRRNVRESPATRAVHKLKEKVALFGLQKEEAWNAGTVSIGKKWLGHGLKKVKESEIKHLADCRSVLSKISHFRKSGMETDHWYKHLLKIWMEDVNAKAAESEDAILSKYVAEPALPQELRNSFYEFQRQVKTYVSSETTSTLSLLPSAASSTESVIITEIIAPVNAIKKRLLRYGLTTSDGYPRTSSLLILQDNDQIIDWFSGIVRRWLRWYAECDNFNEN >ONI20794 pep chromosome:Prunus_persica_NCBIv2:G2:3654026:3658187:-1 gene:PRUPE_2G034000 transcript:ONI20794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSSASSTKMAPEYHHPSGPAPPLPPYDAHPNGFAAKRMRKLTQRRAVDYTSTVVRYMQGLIKLKGGPAPSLAIVAAATFSSMSYEKRLTVARKATSLTAHLCDKVQKVLVQSDTHSNSEKSPGAAADYGRASERIEEFADHSTLATADGDINRGIHEMKLAENLANLVKESSHMDERRPKSRMELKRSLELRIKKRVKEQYINGKFRNLMAKVISNPETLRDAYDCIRLNSNINTAFNDDNTSFDSIAKELGCGSFDVNANTFSISKKGAREEVLVLPNINLRVIQEAIRIVLEVVYKPDFSKISHGYRSGRGHSTALKYISKEISNPDWWFTLLINKKLDACILGKLITVMEDKVEDPSLYAMIQSMFNANVLNLEFGGFPKGHGLPQEGVLSSILMNIYLNQFDYEFYRLSMKYEALSPSLHSDQKSQSKLRSWFRRRLKGNDLGCAGEESFSIRVHSCRFMDEIFFSVAGSKDAALDFKSEVLNYLQKSLHLDVDDQAELLSCQMLHGIRFLGTLVRRNVRESPATRAVHKLKEKVALFGLQKEEAWNAGTVSIGKKWLGHGLKKVKESEIKHLADCRSVLSKISHFRKSGMETDHWYKHLLKIWMEDVNAKAAESEDAILSKYVAEPALPQELRNSFYEFQRQVKTYVSSETTSTLSLLPSAASSTESVIITEIIAPVNAIKKRLLRYGLTTSDGYPRTSSLLILQDNDQIIDWFSGIVRRWLRWYAECDNFNEVKLLISNIVRKSCIRTLAAKYRVHETEIEKRFDTELSRIPSTQEIEQEMVNETSDAQSYDNDEALTYGISYSGLCLLSLARMVSESRPCNCFVNGCMAPAPSVYTLHVMERQKFPGWNTGFSSCIHPSLNRRRLGLCKQHLKDLYLGHISLQSINFGVWK >ONI20793 pep chromosome:Prunus_persica_NCBIv2:G2:3654026:3658187:-1 gene:PRUPE_2G034000 transcript:ONI20793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSSASSTKMAPEYHHPSGPAPPLPPYDAHPNGFAAKRMRKLTQRRAVDYTSTVVRYMQGLIKLKGGPAPSLAIVAAATFSSMSYEKRLTVARKATSLTAHLCDKVQKVLVQSDTHSNSEKSPGAAADYGRASERIEEFADHSTLATADGDINRGIHEMKLAENLANLVKESSHMDERRPKSRMELKRSLELRIKKRVKEQYINGKFRNLMAKVISNPETLRDAYDCIRLNSNINTAFNDDNTSFDSIAKELGCGSFDVNANTFSISKKGAREEVLVLPNINLRVIQEAIRIVLEVVYKPDFSKISHGYRSGRGHSTALKYISKEISNPDWWFTLLINKKLDACILGKLITVMEDKVEDPSLYAMIQSMFNANVLNLEFGGFPKGHGLPQEGVLSSILMNIYLNQFDYEFYRLSMKYEALSPSLHSDQKSQSKLRSWFRRRLKVAGSKDAALDFKSEVLNYLQKSLHLDVDDQAELLSCQMLHGIRFLGTLVRRNVRESPATRAVHKLKEKVALFGLQKEEAWNAGTVSIGKKWLGHGLKKVKESEIKHLADCRSVLSKISHFRKSGMETDHWYKHLLKIWMEDVNAKAAESEDAILSKYVAEPALPQELRNSFYEFQRQVKTYVSSETTSTLSLLPSAASSTESVIITEIIAPVNAIKKRLLRYGLTTSDGYPRTSSLLILQDNDQIIDWFSGIVRRWLRWYAECDNFNEVKLLISNIVRKSCIRTLAAKYRVHETEIEKRFDTELSRIPSTQEIEQEMVNETSDAQSYDNDEALTYGISYSGLCLLSLARMVSESRPCNCFVNGCMAPAPSVYTLHVMERQKFPGWNTGFSSCIHPSLNRRRLGLCKQHLKDLYLGHISLQSINFGVWK >ONI20796 pep chromosome:Prunus_persica_NCBIv2:G2:3652754:3658661:-1 gene:PRUPE_2G034000 transcript:ONI20796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSSASSTKMAPEYHHPSGPAPPLPPYDAHPNGFAAKRMRKLTQRRAVDYTSTVVRYMQGLIKLKGGPAPSLAIVAAATFSSMSYEKRLTVARKATSLTAHLCDKVQKVLVQSDTHSNSEKSPGAAADYGRASERIEEFADHSTLATADGDINRGIHEMKLAENLANLVKESSHMDERRPKSRMELKRSLELRIKKRVKEQYINGKFRNLMAKVISNPETLRDAYDCIRLNSNINTAFNDDNTSFDSIAKELGCGSFDVNANTFSISKKGAREEVLVLPNINLRVIQEAIRIVLEVVYKPDFSKISHGYRSGRGHSTALKYISKEISNPDWWFTLLINKKLDACILGKLITVMEDKVEDPSLYAMIQSMFNANVLNLEFGGFPKGHGLPQEGVLSSILMNIYLNQFDYEFYRLSMKYEALSPSLHSDQKSQSKLRSWFRRRLKVAGSKDAALDFKSEVLNYLQKSLHLDVDDQAELLSCQMLHGIRFLGTLVRRNVRESPATRAVHKLKEKVALFGLQKEEAWNAGTVSIGKKWLGHGLKKVKESEIKHLADCRSVLSKISHFRKSGMETDHWYKHLLKIWMEDVNAKAAESEDAILSKYVAEPALPQELRNSFYEFQRQVKTYVSSETTSTLSLLPSAASSTESVIITEIIAPVNAIKKRLLRYGLTTSDGYPRTSSLLILQDNDQIIDWFSGIVRRWLRWYAECDNFNEN >ONI20795 pep chromosome:Prunus_persica_NCBIv2:G2:3654026:3658187:-1 gene:PRUPE_2G034000 transcript:ONI20795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSSASSTKMAPEYHHPSGPAPPLPPYDAHPNGFAAKRMRKLTQRRAVDYTSTVVRYMQGLIKLKGGPAPSLAIVAAATFSSMSYEKRLTVARKATSLTAHLCDKVQKVLVQSDTHSNSEKSPGAAADYGRASERIEEFADHSTLATADGDINRGIHEMKLAENLANLVKESSHMDERRPKSRMELKRSLELRIKKRVKEQYINGKFRNLMAKVISNPETLRDAYDCIRLNSNINTAFNDDNTSFDSIAKELGCGSFDVNANTFSISKKGAREEVLVLPNINLRVIQEAIRIVLEVVYKPDFSKISHGYRSGRGHSTALKYISKEISNPDWWFTLLINKKLDACILGKLITVMEDKVEDPSLYAMIQSMFNANVLNLEFGGFPKGHGLPQEGVLSSILMNIYLNQFDYEFYRLSMKYEALSPSLHSDQKSQSKLRSWFRRRLKGNDLGCAGEESFSIRVHSCRFMDEIFFSVAGSKDAALDFKSEVLNYLQKSLHLDVDDQAELLSCQMLHGIRFLGTLVRRNVRESPATRAVHKLKEKVALFGLQKEEAWNAGTVSIGKKWLGHGLKKVKESEIKHLADCRSVLSKISHFRKSGMETDHWYKHLLKIWMEDVNAKAAESEDAILSKYVAEPALPQELRNSFYEFQRQVKTYVSSETTSTLSLLPSAASSTESVIITEIIAPVNAIKKRLLRYGLTTSDGYPRTSSLLILQDNDQIIDWFSGIVRRWLRWYAECDNFNEVKLLISNIVRKSCIRTLAAKYRVHETEIEKRFDTELSRIPSTQEIEQEMVNETSDAQSYDNDEALTYGISYSGLCLLSLARMVSESRPCNCFVNGCMAPAPSVYTLHVMERQKFPGWNTGFSSCIHPSLNRRRLGLCKQHLKDLYLGHISLQSINFGVWK >ONI20799 pep chromosome:Prunus_persica_NCBIv2:G2:3652400:3658712:-1 gene:PRUPE_2G034000 transcript:ONI20799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSSASSTKMAPEYHHPSGPAPPLPPYDAHPNGFAAKRMRKLTQRRAVDYTSTVVRYMQGLIKLKGGPAPSLAIVAAATFSSMSYEKRLTVARKATSLTAHLCDKVQKVLVQSDTHSNSEKSPGAAADYGRASERIEEFADHSTLATADGDINRGIHEMKLAENLANLVKESSHMDERRPKSRMELKRSLELRIKKRVKEQYINGKFRNLMAKVISNPETLRDAYDCIRLNSNINTAFNDDNTSFDSIAKELGCGSFDVNANTFSISKKGAREEVLVLPNINLRVIQEAIRIVLEVVYKPDFSKISHGYRSGRGHSTALKYISKEISNPDWWFTLLINKKLDACILGKLITVMEDKVEDPSLYAMIQSMFNANVLNLEFGGFPKGHGLPQEGVLSSILMNIYLNQFDYEFYRLSMKYEALSPSLHSDQKSQSKLRSWFRRRLKGNDLGCAGEESFSIRVHSCRFMDEIFFSVAGSKDAALDFKSEVLNYLQKSLHLDVDDQAELLSCQMLHGIRFLGTLVRRNVRESPATRAVHKLKEKVALFGLQKEEAWNAGTVSIGKKWLGHGLKKVKESEIKHLADCRSVLSKISHFRKSGMETDHWYKHLLKIWMEDVNAKAAESEDAILSKYVAEPALPQELRNSFYEFQRQVKTYVSSETTSTLSLLPSAASSTESVIITEIIAPVNAIKKRLLRYGLTTSDGYPRTSSLLILQDNDQIIDWFSGIVRRWLRWYAECDNFNEN >ONI20801 pep chromosome:Prunus_persica_NCBIv2:G2:3653791:3656728:-1 gene:PRUPE_2G034000 transcript:ONI20801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYEKRLTVARKATSLTAHLCDKVQKVLVQSDTHSNSEKSPGAAADYGRASERIEEFADHSTLATADGDINRGIHEMKLAENLANLVKESSHMDERRPKSRMELKRSLELRIKKRVKEQYINGKFRNLMAKVISNPETLRDAYDCIRLNSNINTAFNDDNTSFDSIAKELGCGSFDVNANTFSISKKGAREEVLVLPNINLRVIQEAIRIVLEVVYKPDFSKISHGYRSGRGHSTALKYISKEISNPDWWFTLLINKKLDACILGKLITVMEDKVEDPSLYAMIQSMFNANVLNLEFGGFPKGHGLPQEGVLSSILMNIYLNQFDYEFYRLSMKYEALSPSLHSDQKSQSKLRSWFRRRLKGNDLGCAGEESFSIRVHSCRFMDEIFFSVAGSKDAALDFKSEVLNYLQKSLHLDVDDQAELLSCQMLHGIRFLGTLVRRNVRESPATRAVHKLKEKVALFGLQKEEAWNAGTVSIGKKWLGHGLKKVKESEIKHLADCRSVLSKISHFRKSGMETDHWYKHLLKIWMEDVNAKAAESEDAILSKYVAEPALPQELRNSFYEFQRQVKTYVSSETTSTLSLLPSAASSTESVIITEIIAPVNAIKKRLLRYGLTTSDGYPRTSSLLILQDNDQIIDWFSGIVRRWLRWYAECDNFNEN >ONI20800 pep chromosome:Prunus_persica_NCBIv2:G2:3652754:3658663:-1 gene:PRUPE_2G034000 transcript:ONI20800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSSASSTKMAPEYHHPSGPAPPLPPYDAHPNGFAAKRMRKLTQRRAVDYTSTVVRYMQGLIKLKGGPAPSLAIVAAATFSSMSYEKRLTVARKATSLTAHLCDKVQKVLVQSDTHSNSEKSPGAAADYGRASERIEEFADHSTLATADGDINRGIHEMKLAENLANLVKESSHMDERRPKSRMELKRSLELRIKKRVKEQYINGKFRNLMAKVISNPETLRDAYDCIRLNSNINTAFNDDNTSFDSIAKELGCGSFDVNANTFSISKKGAREEVLVLPNINLRVIQEAIRIVLEVVYKPDFSKISHGYRSGRGHSTALKYISKEISNPDWWFTLLINKKLDACILGKLITVMEDKVEDPSLYAMIQSMFNANVLNLEFGGFPKGHGLPQEGVLSSILMNIYLNQFDYEFYRLSMKYEALSPSLHSDQKSQSKLRSWFRRRLKGNDLGCAGEESFSIRVHSCRFMDEIFFSVAGSKDAALDFKSEVLNYLQKSLHLDVDDQAELLSCQMLHGIRFLGTLVRRNVRESPATRAVHKLKEKVALFGLQKEEAWNAGTVSIGKKWLGHGLKKVKESEIKHLADCRSVLSKISHFRKSGMETDHWYKHLLKIWMEDVNAKAAESEDAILSKYVAEPALPQELRNSFYEFQRQVKTYVSSETTSTLSLLPSAASSTESVIITEIIAPVNAIKKRLLRYGLTTSDGYPRTSSLLILQDNDQIIDWFSGIVRRWLRWYAECDNFNEN >ONI20797 pep chromosome:Prunus_persica_NCBIv2:G2:3653373:3658663:-1 gene:PRUPE_2G034000 transcript:ONI20797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSSASSTKMAPEYHHPSGPAPPLPPYDAHPNGFAAKRMRKLTQRRAVDYTSTVVRYMQGLIKLKGGPAPSLAIVAAATFSSMSYEKRLTVARKATSLTAHLCDKVQKVLVQSDTHSNSEKSPGAAADYGRASERIEEFADHSTLATADGDINRGIHEMKLAENLANLVKESSHMDERRPKSRMELKRSLELRIKKRVKEQYINGKFRNLMAKVISNPETLRDAYDCIRLNSNINTAFNDDNTSFDSIAKELGCGSFDVNANTFSISKKGAREEVLVLPNINLRVIQEAIRIVLEVVYKPDFSKISHGYRSGRGHSTALKYISKEISNPDWWFTLLINKKLDACILGKLITVMEDKVEDPSLYAMIQSMFNANVLNLEFGGFPKGHGLPQEGVLSSILMNIYLNQFDYEFYRLSMKYEALSPSLHSDQKSQSKLRSWFRRRLKGNDLGCAGEESFSIRVHSCRFMDEIFFSVAGSKDAALDFKSEVLNYLQKSLHLDVDDQAELLSCQMLHGIRFLGTLVRRNVRESPATRAVHKLKEKVALFGLQKEEAWNAGTVSIGKKWLGHGLKKVKESEIKHLADCRSVLSKISHFRKSGMETDHWYKHLLKIWMEDVNAKAAESEDAILSKYVAEPALPQELRNSFYEFQRQVKTYVSSETTSTLSLLPSAASSTESVIITEIIAPVNAIKKRLLRYGLTTSDGYPRTSSLLILQDNDQIIDWFSGIVRRWLRWYAECDNFNEN >ONI20792 pep chromosome:Prunus_persica_NCBIv2:G2:3653780:3658684:-1 gene:PRUPE_2G034000 transcript:ONI20792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYEKRLTVARKATSLTAHLCDKVQKVLVQSDTHSNSEKSPGAAADYGRASERIEEFADHSTLATADGDINRGIHEMKLAENLANLVKESSHMDERRPKSRMELKRSLELRIKKRVKEQYINGKFRNLMAKVISNPETLRDAYDCIRLNSNINTAFNDDNTSFDSIAKELGCGSFDVNANTFSISKKGAREEVLVLPNINLRVIQEAIRIVLEVVYKPDFSKISHGYRSGRGHSTALKYISKEISNPDWWFTLLINKKLDACILGKLITVMEDKVEDPSLYAMIQSMFNANVLNLEFGGFPKGHGLPQEGVLSSILMNIYLNQFDYEFYRLSMKYEALSPSLHSDQKSQSKLRSWFRRRLKGNDLGCAGEESFSIRVHSCRFMDEIFFSVAGSKDAALDFKSEVLNYLQKSLHLDVDDQAELLSCQMLHGIRFLGTLVRRNVRESPATRAVHKLKEKVALFGLQKEEAWNAGTVSIGKKWLGHGLKKVKESEIKHLADCRSVLSKISHFRKSGMETDHWYKHLLKIWMEDVNAKAAESEDAILSKYVAEPALPQELRNSFYEFQRQVKTYVSSETTSTLSLLPSAASSTESVIITEIIAPVNAIKKRLLRYGLTTSDGYPRTSSLLILQDNDQIIDWFSGIVRRWLRWYAECDNFNEVKLLISNIVRKSCIRTLAAKYRVHETEIEKRFDTELSRIPSTQEIEQEMVNETSDAQSYDNDEALTYGISYSGLCLLSLARMVSESRPCNCFVNGCMAPAPSVYTLHVMERQKFPGWNTGFSSCIHPSLNRRRLGLCKQHLKDLYLGHISLQSINFGLSMEA >ONI20791 pep chromosome:Prunus_persica_NCBIv2:G2:3653720:3658241:-1 gene:PRUPE_2G034000 transcript:ONI20791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSSASSTKMAPEYHHPSGPAPPLPPYDAHPNGFAAKRMRKLTQRRAVDYTSTVVRYMQGLIKLKGGPAPSLAIVAAATFSSMSYEKRLTVARKATSLTAHLCDKVQKVLVQSDTHSNSEKSPGAAADYGRASERIEEFADHSTLATADGDINRGIHEMKLAENLANLVKESSHMDERRPKSRMELKRSLELRIKKRVKEQYINGKFRNLMAKVISNPETLRDAYDCIRLNSNINTAFNDDNTSFDSIAKELGCGSFDVNANTFSISKKGAREEVLVLPNINLRVIQEAIRIVLEVVYKPDFSKISHGYRSGRGHSTALKYISKEISNPDWWFTLLINKKLDACILGKLITVMEDKVEDPSLYAMIQSMFNANVLNLEFGGFPKGHGLPQEGVLSSILMNIYLNQFDYEFYRLSMKYEALSPSLHSDQKSQSKLRSWFRRRLKGNDLGCAGEESFSIRVHSCRFMDEIFFSVAGSKDAALDFKSEVLNYLQKSLHLDVDDQAELLSCQMLHGIRFLGTLVRRNVRESPATRAVHKLKEKVALFGLQKEEAWNAGTVSIGKKWLGHGLKKVKESEIKHLADCRSVLSKISHFRKSGMETDHWYKHLLKIWMEDVNAKAAESEDAILSKYVAEPALPQELRNSFYEFQRQVKTYVSSETTSTLSLLPSAASSTESVIITEIIAPVNAIKKRLLRYGLTTSDGYPRTSSLLILQDNDQIIDWFSGIVRRWLRWYAECDNFNEVKLLISNIVRKSCIRTLAAKYRVHETEIEKRFDTELSRIPSTQEIEQEMVNETSDAQSYDNDEALTYGISYSGLCLLSLARMVSESRPCNCFVNGCMAPAPSVYTLHVMERQKFPGWNTGFSSCIHPSLNRRRLGLCKQHLKDLYLGHISLQSINFGLSMEA >ONI22194 pep chromosome:Prunus_persica_NCBIv2:G2:17166049:17166711:1 gene:PRUPE_2G113400 transcript:ONI22194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMGKIASSITITTGSWKNTIVTATIVFAVRLYAKVFRHSTKLNIYHPNPRNKEMSSAALFVPYCVVCLQEVMKGQRCRKLPICNHCFHVHCIDTWFQSHSTCPLCRTNQASFVHTHQLRLLRIHLLFSFIFRIFSRENV >ONI22586 pep chromosome:Prunus_persica_NCBIv2:G2:19491406:19492979:1 gene:PRUPE_2G137700 transcript:ONI22586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPQQGNNKGNGKVSENYEFWTMDDTNELLHLLVDAINSGLCDANGSLSKQNVERVILPRLSAKIIFPKTYNHYLSRKKWFRKHYNKISTLMHNNSGFGLDS >ONI25155 pep chromosome:Prunus_persica_NCBIv2:G2:28184770:28188918:1 gene:PRUPE_2G284800 transcript:ONI25155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEQVGGFLGPSFNAQVNVERMYETAMVDIFVQNSSTSMHSACGVLGSARLAVVNFNRLLGLFRSDVYTTDPANGLYHHSILSDVIGDGILTVDGERWQHQRKVASYELSTKVMRDFSSAVFKTNAVKLAHIISEAATCDQAIEIQVKNILILLGASGNGLFMKASLDSVIKIFLGIELETMRGTNEEGTRFSNAFDEANANTLYRYVDFSWEIILFLNIGSEGVLKNNIEVMDQFGYKLIKSKIKTVHNLEDELPLKRRDILSRLLASGQTDPKYFRDIIFSLFVAGKDTAASTLTWFIYMVCKHPDIQEKIAQEVREATNLKDNSSIDELADNLTEETLSKMQYLVAALTETSRLYPAVPLNAKVCSSDDTWPDGFSVKKGDIVGYHAYSMGRMKYIWGDAGPRICVGKDFAYREMMIFCAVLLGSYTFKLRDENKEAELQDQVHPPY >ONI21414 pep chromosome:Prunus_persica_NCBIv2:G2:8673702:8674563:1 gene:PRUPE_2G064300 transcript:ONI21414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSDLNIFNKRIKNKIKTRMRETCAKEKRINENQDCTFFFLSSEPVPPFGFEPQRSPFPISTILPTEELDLK >ONI24659 pep chromosome:Prunus_persica_NCBIv2:G2:26681332:26687443:-1 gene:PRUPE_2G253500 transcript:ONI24659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTTPILNHNNTHLLFTFKTPNSHFSSLSSLRLTKHPFSLSPSSLSCSPSCCITRVTTVPVEYAPSAPDFDFHQELSRLKTLRSRLADSNSLRAKLRVIEGDPRVKRFFNSSNNGFSTVLASLNLTPYELFLFKCLVAAGQEHVLGWGFEFVQSEMESVRSSVKSALYALVSMIEKLDVNGEGSGENIGRVALNDEDFKDLKKLLKNLGEIEQFYNCIGGIIGYQIAVLELLAQSSVEMQTTNWSKSIQEHMECQFLEIHAPSGLDLSQNPEYASQAALWGIQGLPNLGEIYPLGGSADRLGLVDPDTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYFKMYGKQCITPVAIMTSSAKNNHERITSLCEKLEWFRRGRSNFLLFEQPVVPAVSVENGQWVIMKPFAPICKPGGHGVIWKLAHDKGIFKWFYDHGRKGATVRQVSNVVAATDLTLLALAGIGLHHGKKLGFASCKRNLGATEGINVLIEKKNLDGRWAYGLSCIEYTEFDKFGIADGPHSRNRLQAEFPANTNILYVDLPSAELVGSSNSGNSLPGMVLNVKKPITFVDHFGKPHSVSGGRLECTMQNIADSFVNTCPSRYYKGVEDKLDTFVVFNKRRRVTSSAKRKRRLADKSLHQTPDGSLLDILRNAHDLLSQCDIELPEIESNEKYLSSGPPFLILLHPALGPLWEVTRQKFYEGSISKGSELQVEVAEFLWRNVQLDGSLIIEADNIMGSTKIDQNGEPLLQYGHRCGRCKLQNVKVLNEGIDWTFGDNVYWKHDVQRIEACKGNHIFEVPNSYKMKITQGDSGLVVRLDPIEQNMMDSGSWYWEYSIKGTHIQLEMVEL >ONI24658 pep chromosome:Prunus_persica_NCBIv2:G2:26681048:26687711:-1 gene:PRUPE_2G253500 transcript:ONI24658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTTPILNHNNTHLLFTFKTPNSHFSSLSSLRLTKHPFSLSPSSLSCSPSCCITRVTTVPVEYAPSAPDFDFHQELSRLKTLRSRLADSNSLRAKLRVIEGDPRVKRFFNSSNNGFSTVLASLNLTPYELFLFKCLVAAGQEHVLGWGFEFVQSEMESVRSSVKSALYALVSMIEKLDVNGEGSGENIGRVALNDEDFKDLKKLLKNLGEIEQFYNCIGGIIGYQIAVLELLAQSSVEMQTTNWSKSIQEHMECQFLEIHAPSGLDLSQNPEYASQAALWGIQGLPNLGEIYPLGGSADRLGLVDPDTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYFKMYGKQCITPVAIMTSSAKNNHERITSLCEKLEWFRRGRSNFLLFEQPVVPAVSVENGQWVIMKPFAPICKPGGHGVIWKLAHDKGIFKWFYDHGRKGATVRQVSNVVAATDLTLLALAGIGLHHGKKLGFASCKRNLGATEGINVLIEKKNLDGRWAYGLSCIEYTEFDKFGIADGPHSRNRLQAEFPANTNILYVDLPSAELVGSSNSGNSLPGMVLNVKKPITFVDHFGKPHSVSGGRLECTMQNIADSFVNTCPSRYYKGVEDKLDTFVVFNKRRRVTSSAKRKRRLADKSLHQTPDGSLLDILRNAHDLLSQCDIELPEIESNEKYLSSGPPFLILLHPALGPLWEVTRQKFYEGSISKGSELQVEVAEFLWRNVQLDGSLIIEADNIMGSTKIDQNGEPLLQYGHRCGRCKLQNVKVLNEGIDWTFGDNVYWKHDVQRIEGNHIFEVPNSYKMKITQGDSGLVVRLDPIEQNMMDSGSWYWEYSIKGTHIQLEMVEL >ONI24662 pep chromosome:Prunus_persica_NCBIv2:G2:26681588:26687711:-1 gene:PRUPE_2G253500 transcript:ONI24662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTTPILNHNNTHLLFTFKTPNSHFSSLSSLRLTKHPFSLSPSSLSCSPSCCITRVTTVPVEYAPSAPDFDFHQELSRLKTLRSRLADSNSLRAKLRVIEGDPRVKRFFNSSNNGFSTVLASLNLTPYELFLFKCLVAAGQEHVLGWGFEFVQSEMESVRSSVKSALYALVSMIEKLDVNGEGSGENIGRVALNDEDFKDLKKLLKNLGEIEQFYNCIGGIIGYQIAVLELLAQSSVEMQTTNWSKSIQEHMECQFLEIHAPSGLDLSQNPEYASQAALWGIQGLPNLGEIYPLGGSADRLGLVDPDTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYFKMYGKQCITPVAIMTSSAKNNHERITSLCEKLEWFRRGRSNFLLFEQPVVPAVSVENGQWVIMKPFAPICKPGGHGVIWKLAHDKGIFKWFYDHGRKGATVRQVSNVVAATDLTLLALAGIGLHHGKKLGFASCKRNLGATEGINVLIEKKNLDGRWAYGLSCIEYTEFDKFGIADGPHSRNRLQAEFPANTNILYVDLPSAELVGSSNSGNSLPGMVLNVKKPITFVDHFGKPHSVSGGRLECTMQNIADSFVNTCPSRYYKGVEDKLDTFVVFNKRRRVTSSAKRKRRLADKSLHQTPDGSLLDILRNAHDLLSQCDIELPEIESNEKYLSSGPPFLILLHPALGPLWEVTRQKFYEGSISKGSELQVEVAEFLWRNVQLDGSLIIEADNIMGSTKIDQNGEPLLQYGHRCGRCKLQNVKVLNEGIDWTFGDNVYWKHDVQRIEACKVVLHGNAEFEATDVILQGNHIFEVPNSYKMKITQGDSGL >ONI24660 pep chromosome:Prunus_persica_NCBIv2:G2:26681332:26687443:-1 gene:PRUPE_2G253500 transcript:ONI24660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTTPILNHNNTHLLFTFKTPNSHFSSLSSLRLTKHPFSLSPSSLSCSPSCCITRVTTVPVEYAPSAPDFDFHQELSRLKTLRSRLADSNSLRAKLRVIEGDPRVKRFFNSSNNGFSTVLASLNLTPYELFLFKCLVAAGQEHVLGWGFEFVQSEMESVRSSVKSALYALVSMIEKLDVNGEGSGENIGRVALNDEDFKDLKKLLKNLGEIEQFYNCIGGIIGYQIAVLELLAQSSVEMQTTNWSKSIQEHMECQFLEIHAPSGLDLSQNPEYASQAALWGIQGLPNLGEIYPLGGSADRLGLVDPDTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYFKMYGKQCITPVAIMTSSAKNNHERITSLCEKLEWFRRGRSNFLLFEQPVVPAVSVENGQWVIMKPFAPICKPGGHGVIWKLAHDKGIFKWFYDHGRKGATVRQVSNVVAATDLTLLALAGIGLHHGKKLGFASCKRNLGATEGINVLIEKKNLDGRWAYGLSCIEYTEFDKFGIADGPHSRNRLQAEFPANTNILYVDLPSAELVGSSNSGNSLPGMVLNVKKPITFVDHFGKPHSVSGGRLECTMQNIADSFVNTCPSRYYKGVEDKLDTFVVFNKRRRVTSSAKRKRRLADKSLHQTPDGSLLDILRNAHDLLSQCDIELPEIESNEKYLSSGPPFLILLHPALGPLWEVTRQKFYEGSISKGSELQVEVAEFLWRNVQLDGSLIIEADNIMGSTKIDQNGEPLLQYGHRCGRCKLQNVKVLNEGIDWTFGDNVYWKHDVQRIEACKVVLHGNAEFEATDVILQGNHIFEVPNSYKMKITQGDSGLVVRLDPIEQNMMDSGSWYWEYSIKGTHIQLEMVEL >ONI24661 pep chromosome:Prunus_persica_NCBIv2:G2:26681332:26686509:-1 gene:PRUPE_2G253500 transcript:ONI24661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTNWSKSIQEHMECQFLEIHAPSGLDLSQNPEYASQAALWGIQGLPNLGEIYPLGGSADRLGLVDPDTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYFKMYGKQCITPVAIMTSSAKNNHERITSLCEKLEWFRRGRSNFLLFEQPVVPAVSVENGQWVIMKPFAPICKPGGHGVIWKLAHDKGIFKWFYDHGRKGATVRQVSNVVAATDLTLLALAGIGLHHGKKLGFASCKRNLGATEGINVLIEKKNLDGRWAYGLSCIEYTEFDKFGIADGPHSRNRLQAEFPANTNILYVDLPSAELVGSSNSGNSLPGMVLNVKKPITFVDHFGKPHSVSGGRLECTMQNIADSFVNTCPSRYYKGVEDKLDTFVVFNKRRRVTSSAKRKRRLADKSLHQTPDGSLLDILRNAHDLLSQCDIELPEIESNEKYLSSGPPFLILLHPALGPLWEVTRQKFYEGSISKGSELQVEVAEFLWRNVQLDGSLIIEADNIMGSTKIDQNGEPLLQYGHRCGRCKLQNVKVLNEGIDWTFGDNVYWKHDVQRIEACKVVLHGNAEFEATDVILQGNHIFEVPNSYKMKITQGDSGLVVRLDPIEQNMMDSGSWYWEYSIKGTHIQLEMVEL >ONI24663 pep chromosome:Prunus_persica_NCBIv2:G2:26682164:26687711:-1 gene:PRUPE_2G253500 transcript:ONI24663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTTPILNHNNTHLLFTFKTPNSHFSSLSSLRLTKHPFSLSPSSLSCSPSCCITRVTTVPVEYAPSAPDFDFHQELSRLKTLRSRLADSNSLRAKLRVIEGDPRVKRFFNSSNNGFSTVLASLNLTPYELFLFKCLVAAGQEHVLGWGFEFVQSEMESVRSSVKSALYALVSMIEKLDVNGEGSGENIGRVALNDEDFKDLKKLLKNLGEIEQFYNCIGGIIGYQIAVLELLAQSSVEMQTTNWSKSIQEHMECQFLEIHAPSGLDLSQNPEYASQAALWGIQGLPNLGEIYPLGGSADRLGLVDPDTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYFKMYGKQCITPVAIMTSSAKNNHERITSLCEKLEWFRRGRSNFLLFEQPVVPAVSVENGQWVIMKPFAPICKPGGHGVIWKLAHDKGIFKWFYDHGRKGATVRQVSNVVAATDLTLLALAGIGLHHGKKLGFASCKRNLGATEGINVLIEKKNLDGRWAYGLSCIEYTEFDKFGIADGPHSRNRLQAEFPANTNILYVDLPSAELVGSSNSGNSLPGMVLNVKKPITFVDHFGKPHSVSGGRLECTMQNIADSFVNTCPSRYYKGVEDKLDTFVVFNKRRRVTSSAKRKRRLADKSLHQTPDGSLLDILRNAHDLLSQCDIELPEIESNEKYLSSGPPFLILLHPALGPLWEVTRQKFYEGSISKGSELQVEVAEFLWRNVQLDGSLIIEADNIMGSTKIDQNGEPLLQYGHRCGRCKLQNVKVLNEGIDWTFGDNVYWKHDVQRIEACKVVLHGNAEFEATDVILQVRLTVRYAWRIDKSLRKY >ONI21602 pep chromosome:Prunus_persica_NCBIv2:G2:11450539:11458447:-1 gene:PRUPE_2G075100 transcript:ONI21602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAVMWPNRSPDDSVHTNEETINETSTPKEPESPMPVQDKPPEQVTIPKPETEPKQSAKPKKPPQMKRVSSAGLRTGSVLQTRTGKLKEFYSLGRKLGQGQFGITFLCVEKATGKEFACKSIAKRKLLSDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVSQDEDALLKTIDFGLSIFLKPGEKFSDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEQVLHGDLDFTSDPWPSISDGAKDLVRRMLVRDPKRRLTAHEVLCHPWVQVDGVAPDKALDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKIIDADNSGQITFEELKAGLKRFGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQLACEEFGVEDVRLEEMIREVDQDNDGRIDYNEFVAMMQKGNFVGPGKKGLQSSFSIAFR >ONI21603 pep chromosome:Prunus_persica_NCBIv2:G2:11450539:11458447:-1 gene:PRUPE_2G075100 transcript:ONI21603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAVMWPNRSPDDSVHTNEETINETSTPKEPESPMPVQDKPPEQVTIPKPETEPKQSAKPKKPPQMKRVSSAGLRTGSVLQTRTGKLKEFYSLGRKLGQGQFGITFLCVEKATGKEFACKSIAKRKLLSDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVSQDEDALLKTIDFGLSIFLKPGEKFSDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEQVLHGDLDFTSDPWPSISDGAKDLVRRMLVRDPKRRLTAHEVLCHPWVQVDGVAPDKALDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKIIDADNSGQITFEELKAGLKRFGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQLACEEFGVEDVRLEEMIREVDQDNDGRIDYNEFVAMMQKGNFVGPGKKGLQSSFSIAFR >ONI21601 pep chromosome:Prunus_persica_NCBIv2:G2:11450842:11457548:-1 gene:PRUPE_2G075100 transcript:ONI21601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAVMWPNRSPDDSVHTNEETINETSTPKEPESPMPVQDKPPEQVTIPKPETEPKQSAKPKKPPQMKRVSSAGLRTGSVLQTRTGKLKEFYSLGRKLGQGQFGITFLCVEKATGKEFACKSIAKRKLLSDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVSQDEDALLKTIDFGLSIFLKPGEKFSDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEQVLHGDLDFTSDPWPSISDGAKDLVRRMLVRDPKRRLTAHEVLCHPWVQVDGVAPDKALDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKIIDADNSGQITFEELKAGLKRFGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQLACEEFGVEDVRLEEMIREVDQDNDGRIDYNEFVAMMQKGNFVGPGKKGLQSSFSIAFR >ONI21604 pep chromosome:Prunus_persica_NCBIv2:G2:11450442:11458479:-1 gene:PRUPE_2G075100 transcript:ONI21604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSISKNGFFQSVSAVMWPNRSPDDSVHTNEETINETSTPKEPESPMPVQDKPPEQVTIPKPETEPKQSAKPKKPPQMKRVSSAGLRTGSVLQTRTGKLKEFYSLGRKLGQGQFGITFLCVEKATGKEFACKSIAKRKLLSDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVSQDEDALLKTIDFGLSIFLKPGEKFSDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLSGVPPFWAENEQGIFEQVLHGDLDFTSDPWPSISDGAKDLVRRMLVRDPKRRLTAHEVLCHPWVQVDGVAPDKALDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKIIDADNSGQITFEELKAGLKRFGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQLACEEFGVEDVRLEEMIREVDQDNDGRIDYNEFVAMMQKGNFVGPGKKGLQSSFSIAFR >ONI24521 pep chromosome:Prunus_persica_NCBIv2:G2:26253909:26256343:-1 gene:PRUPE_2G245000 transcript:ONI24521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVEELSADGVSYHKACFKCTHCKGTLKTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAAAAASIPEA >ONI24522 pep chromosome:Prunus_persica_NCBIv2:G2:26253901:26257069:-1 gene:PRUPE_2G245000 transcript:ONI24522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVEELSADGVSYHKACFKCTHCKGTLKLSNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAAAAASIPEA >ONI24526 pep chromosome:Prunus_persica_NCBIv2:G2:26253612:26257069:-1 gene:PRUPE_2G245000 transcript:ONI24526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVEELSADGVSYHKACFKCTHCKGTLKLSNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAAAAASIPEA >ONI24524 pep chromosome:Prunus_persica_NCBIv2:G2:26253799:26257070:-1 gene:PRUPE_2G245000 transcript:ONI24524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVEELSADGVSYHKACFKCTHCKGTLKLSNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAAAAASIPEA >ONI24523 pep chromosome:Prunus_persica_NCBIv2:G2:26254182:26256236:-1 gene:PRUPE_2G245000 transcript:ONI24523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVEELSADGVSYHKACFKCTHCKGTLKLSNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAAAAASIPEA >ONI24525 pep chromosome:Prunus_persica_NCBIv2:G2:26253612:26257131:-1 gene:PRUPE_2G245000 transcript:ONI24525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIGTQQKCKACEKTVYPVEELSADGVSYHKACFKCTHCKGTLKLSNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCPITPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAAAAASIPEA >ONI23461 pep chromosome:Prunus_persica_NCBIv2:G2:22928270:22931907:1 gene:PRUPE_2G189900 transcript:ONI23461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESRRKIEDTVLDILRKTNLEEMTEFKVRKVTSEQLGIDFSDTEHKSFVRSVIERFLLSSPEPKVNAREELMETNVQEEPGTRSKKEVTEDGHRVICKLSNRKTVVINDFKEKTYVSFREFYQKDGKQLPTAKGISLPSEQWAAFKKSVPAIEEAVKKMESKIRSELDSKRTENGKQTEDGKQTGDGVQTEIMSNSLNGIAPQQLVTIETSRFDGKNYPFWVEQMELQLKQLKIAYVLFEPCPSSMLGPEASSEEIAHSKAADRKWVNDDSVCRRGILNALSDDLFYLYSKKTMTAKELWEDLKLIYLFEQFGTDRTRVKKYIEFVMLEGKSIVEQVENFNRLADSIVGSGMMIEEKFHVSVIISKLPPSWKDVCIKLMREEHLPFAMLMERLRVEEEMRVRENQGAPFNLVGDLARKYAPRQRDMKPRSMQWKRQELETNGKVICQVCGKKGHISQHCRYRNRKDDKEGNDKDHEGNGSMPTSMEVNMSERAAE >ONI24383 pep chromosome:Prunus_persica_NCBIv2:G2:25843950:25846390:-1 gene:PRUPE_2G237500 transcript:ONI24383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSYSSSDPTTQIFQGTKNQIIQENPAMDSPQTRKAGLNLPTGMSETSLRLESFSGSFRAISNLSSPSKSSTCSDRFIPCRSSSRLHTFGLNDKASPVKEGGNEAYARLLKSELFGSDFGSFSPAGGGGGVPSPLSPSKNMLRFKTDHSAPNSPYSPSILRNDSGFSNESLTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWSASNSKVTKLCDLGPNDGVCSVQWTKEGSYLSIGTNLGRVQVWDGTQCKRVRTMGGHQTRTGVLAWNSRILASGSRDRNILQHDLRVSNDCISKLVGHKSEVCGLKWSNDDRELASGGNDNQLLVWNQHSQQPALRLTEHTAAVKAIAWSPHQSGLLVSGGGTADRCIRFWNTTNGHQLNSIDTGSQVCNLAWSKNVNEIVSTHGYSQNQIMVWKYPSMGKVATLTGHSMRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSVKTHTPVKDTGLWSLGRTQIR >ONI24382 pep chromosome:Prunus_persica_NCBIv2:G2:25843712:25846615:-1 gene:PRUPE_2G237500 transcript:ONI24382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSYSSSDPTTQIFQGTKNQIIQENPAMDSPQTRKAGLNLPTGMSETSLRLESFSGGGGGVPSPLSPSKNMLRFKTDHSAPNSPYSPSILRNDSGFSNESLTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWSASNSKVTKLCDLGPNDGVCSVQWTKEGSYLSIGTNLGRVQVWDGTQCKRVRTMGGHQTRTGVLAWNSRILASGSRDRNILQHDLRVSNDCISKLVGHKSEVCGLKWSNDDRELASGGNDNQLLVWNQHSQQPALRLTEHTAAVKAIAWSPHQSGLLVSGGGTADRCIRFWNTTNGHQLNSIDTGSQVCNLAWSKNVNEIVSTHGYSQNQIMVWKYPSMGKVATLTGHSMRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSVKTHTPVKDTGLWSLGRTQIR >ONI20973 pep chromosome:Prunus_persica_NCBIv2:G2:4846289:4849099:-1 gene:PRUPE_2G043800 transcript:ONI20973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLEEQQKLTDECTELPLSLPKEKGWRTLHLYQFQGFWCQSAEIQSIMSFQKHFQANDTDIVVASIPKSGTTWLKTLAFAVSNRHRFVPNSKSHPLLNSNPHDLVPFLELFGTHIPFASLGNSIQNSDAKIVYICRNPLDTFVSSWHFLNKVKPESGSPISMEEAFDMYCKGIVGFGPFWDHMLGYWKESLLRPNNVLFLKYEDMKEDDAFQLKKMAKFLGFPFTLEEERGGVVENIAKLCCFENMKKLEVNKIGSSIGNFENKNLFRKAEVGDWVNYLSPKMVERLSKVIEERLGGSGLGFKVFP >ONI25677 pep chromosome:Prunus_persica_NCBIv2:G2:29570119:29572777:-1 gene:PRUPE_2G314300 transcript:ONI25677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSSSPQRNAIVSQFQNSTHLCVCVALRLPPLSTDPSRCERAFVGNTIGQANGVYDKAIDLRFCDYTNEKSNLKGKSLAAALMSEAKFDGADMSEVVMSKAYAAGASFKGTDFSNAVLDRVNFEKANLQGALFKNTVLSGSTFNEAKLDGAVFEDTIIGYIDLQKLCRNTSLNEEGRATLGCR >ONI25676 pep chromosome:Prunus_persica_NCBIv2:G2:29570359:29572555:-1 gene:PRUPE_2G314300 transcript:ONI25676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLASIPFSRNGLSLQFSPTKRHCFTVPEFHSPMRVCCSASRDGHELKENLSQLKQLKNVACGILAVWAVTAASPVIAAGQRLPPLSTDPSRCERAFVGNTIGQANGVYDKAIDLRFCDYTNEKSNLKGKSLAAALMSEAKFDGADMSEVVMSKAYAAGASFKGTDFSNAVLDRVNFEKANLQGALFKNTVLSGSTFNEAKLDGAVFEDTIIGYIDLQKLCRNTSLNEEGRATLGCR >ONI21710 pep chromosome:Prunus_persica_NCBIv2:G2:13195999:13198560:1 gene:PRUPE_2G083100 transcript:ONI21710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFPFREAPLQEKYAQLNTGPYQMTEGWALSPEISPNDLEAHTFKNKWQRFKKYENYNHNCHLMNKSTRIQISDFSSIQRNNFTKRDFSRYKYGLAQSQVRQSLGFYNMKTSTNLQKVMIKLNSHLHKALLGKNIDTYIDNRLEKGDDIGPTLLEAIEKSKIALVIFSKDYASSTWCLKELVNILECKKSYGQILIPIFYHRPPKHTRDKVANWKASLEEASNMSGFPYSSKTKYTKSLTCINSYFLSFDSYLLLSVFQTIFARRTEADFVEEVVQDILTKLNRKLSRN >ONI22245 pep chromosome:Prunus_persica_NCBIv2:G2:17473758:17476284:1 gene:PRUPE_2G116600 transcript:ONI22245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKRLCCCGWFIIFCHLLLAALVFSKNHGNPANDLVEIINNNRTAHKLSKLNDSPGLGCIALQYAELCKGNCSINNTVKCKPSEDDFTEVFAPDCGVELPTFGTITGHIVGCQSKYLEPSLAFVHVLVRDSKALSILRNKSHTEVGVGMVRVHKGPFFWSVLFSSGKTNSTFVLENRGAGIQQKKGCYSGSSIPCSAGQQNASLVLNNVMIMGFLCVVYLLKQFHFNL >ONI23071 pep chromosome:Prunus_persica_NCBIv2:G2:21631384:21633586:1 gene:PRUPE_2G168300 transcript:ONI23071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNERQGSESGHDPEELEVLECEVKEMAEKILEYRATLPDQLKNTFASILAVQQPVFLNGSDPGTSGAPNSGQVASNKGALLADGDQTSEKLRLFRDKLSSNFAALPILLKRMTECISKIDNLDSENIIIHPAFKKKRTS >ONI23070 pep chromosome:Prunus_persica_NCBIv2:G2:21631410:21633586:1 gene:PRUPE_2G168300 transcript:ONI23070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNERQGSESGHDPEELEVLECEVKEMAEKILEYRATLPDQLKNTFASILAVQQPVFLNGSDPGTSGAPNSGAGQVASNKGALLADGDQTSEKLRLFRDKLSSNFAALPILLKRMTECISKIDNLDSENIIIHPAFKKKRTS >ONI25035 pep chromosome:Prunus_persica_NCBIv2:G2:27780102:27784074:1 gene:PRUPE_2G276100 transcript:ONI25035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRIINASSKYDFVKVKVWLGDDAEHYYVFSRFLLSRMLTVTKIPNHAAVKIALELKKLLVDNSLLDVSQSDLEANMFKLMEQRGYGEEYVNHYKMMTRFHHQRVPLIILLCGTACVGKSTIATQISQRLNLPNVMQTDVVYELLRTSTDAPLASTPVWARDFSSSEELITEFCRECRIVRKGLAGDLKKALKDGKPIIIEGMHLDPNIYLMDYENKPSAPVEEKTLEANECASTEEGTTANKVNKVSDYLEAIGLAGSVSEIKNGASPKGPEELKSTSVEKEKSGPGPLIIPLVLKMAEFDHNTLLEEWFSTYTFSDRLLGQDRDKLISNLNTIQDYLCSFNSKGSTVLNLSATTFNPTLDWLHGYLLECIEQGISPLSNDNVRRLVEN >ONI25034 pep chromosome:Prunus_persica_NCBIv2:G2:27780102:27784161:1 gene:PRUPE_2G276100 transcript:ONI25034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRIINASSKYDFVKVKVWLGDDAEHYYVFSRFLLSRMLTVTKIPNHAAVKIALELKKLLVDNSLLDVSQSDLEANMFKLMEQRGYGEEYVNHYKMMTRFHHQRVPLIILLCGTACVGKSTIATQISQRLNLPNVMQTDVVYELLRTSTDAPLASTPVWARDFSSSEELITEFCRECRIVRKGLAGDLKKALKDGKPIIIEGMHLDPNIYLMDYENKPSAPVEEKTLEANECASTEEGTTANKVNKVSDYLEAIGLAGSVSEIKNGASPKGPEELKSTSVEKEKSGPGPLIIPLVLKMAEFDHNTLLEEWFSTYTFSDRLLGQDRDKLISNLNTIQDYLCSFNSKGSTVLNLSATTFNPTLDWLHGYLLECIEQGISPLSNDNVRRLVEN >ONI22492 pep chromosome:Prunus_persica_NCBIv2:G2:19100138:19102896:-1 gene:PRUPE_2G132900 transcript:ONI22492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSPYLTPSSLSEDGDMELEEKKTASAAFFPSFGTLNTSKFPNLSGWWKKNEQQHHHLLVS >ONI22493 pep chromosome:Prunus_persica_NCBIv2:G2:19100094:19102485:-1 gene:PRUPE_2G132900 transcript:ONI22493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSPYLTPSSLSEDGDMELEEKKTASAAFFPSFGTLNTSKFPNLSGWWKKNEQQHHHLLVS >ONI22491 pep chromosome:Prunus_persica_NCBIv2:G2:19100094:19103130:-1 gene:PRUPE_2G132900 transcript:ONI22491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSPYLTPSSLSEDGDMELEEKKTASAAFFPSFGTLNTSKFPNLSGWWKKNEQQHHHLLVS >ONI23330 pep chromosome:Prunus_persica_NCBIv2:G2:22515586:22521200:1 gene:PRUPE_2G182800 transcript:ONI23330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDDDGKSAKSEKSSSPLTADQTNHSNQTNVHVYPDWAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGPPQPMMPPYGTPYAAMYSHGYAHPAVPLGSHGQAVPSSPSAATPLNMETPTKSSGNADRGLMKKLKRFDALAMSIGNSNVGDAEGGAEHSVSQSLGTEGSSEGSDGNTAGENQTRRKRSREGTPATAGDGKTDMQPSPVSAKEVNAASDKVLGATVAAPSVPGKLVGPVVSPGMTMALEFKNSPNLNSKSSSTSVPQSCAVLPPEAWMHNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALSAENVALKSEISRLTENSSTLKLENATLMDKLKNTRVGRMEEIMMNIDDKRVQPFSTENLLSRVNNSGSIARDAEKEGDMYEKNSGAKLHQLLDASPRADAVAAG >ONI23332 pep chromosome:Prunus_persica_NCBIv2:G2:22517055:22521200:1 gene:PRUPE_2G182800 transcript:ONI23332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDDDGKSAKSEKSSSPLTADQTNHSNQTNVHVYPDWAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGPPQPMMPPYGTPYAAMYSHGYAHPAVPLGSHGQAVPSSPSAATPLNMETPTKSSGNADRGLMKKLKRFDALAMSIGNSNVGDAEGGAEHSVSQSLGTEGSSEGSDGNTAGENQTRRKRSREGTPATAGDGKTDMQPSPVSAKEVNAASDKVLGATVAAPSVPGKLVGPVVSPGMTMALEFKNSPNLNSKSSSTSVPQSCAVLPPEAWMHNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALSAENVALKSEISRLTENSSTLKLENATLMDKLKNTRVGRMEEIMMNIDDKRVQPFSTENLLSRVNNSGSIARDAEKEGDMYEKNSGAKLHQLLDASPRADAVAAG >ONI23334 pep chromosome:Prunus_persica_NCBIv2:G2:22515586:22521200:1 gene:PRUPE_2G182800 transcript:ONI23334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDDDGKSAKSEKSSSPLTADQTNHSNQTNVHVYPDWAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGPPQPMMPPYGTPYAAMYSHGYAHPAVPLGSHGQAVPSSPSAATPLNMETPTKSSGNADRGLMKKLKRFDALAMSIGNSNVGDAEGGAEHSVSQSLGTEGSSEGSDGNTAGENQTRRKRSREGTPATAGDGKTDMQPSPVSAKEVNAASDKVLGATVAAPSVPGKLVGPVVSPGMTMALEFKNSPNLNSKSSSTSVPQSCAVLPPEAWMHNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALSAENVALKSEISRLTENSSTLKLENATLMDKLKNTRVGRMEEIMMNIDDKRVQPFSTENLLSRVNNSGSIARDAEKEGDMYEKNSGAKLHQLLDASPRADAVAAG >ONI23331 pep chromosome:Prunus_persica_NCBIv2:G2:22516497:22521200:1 gene:PRUPE_2G182800 transcript:ONI23331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDDDGKSAKSEKSSSPLTADQTNHSNQTNVHVYPDWAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGPPQPMMPPYGTPYAAMYSHGYAHPAVPLGSHGQAVPSSPSAATPLNMETPTKSSGNADRGLMKKLKRFDALAMSIGNSNVGDAEGGAEHSVSQSLGTEGSSEGSDGNTAGENQTRRKRSREGTPATAGDGKTDMQPSPVSAKEVNAASDKVLGATVAAPSVPGKLVGPVVSPGMTMALEFKNSPNLNSKSSSTSVPQSCAVLPPEAWMHNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALSAENVALKSEISRLTENSSTLKLENATLMDKLKNTRVGRMEEIMMNIDDKRVQPFSTENLLSRVNNSGSIARDAEKEGDMYEKNSGAKLHQLLDASPRADAVAAG >ONI23333 pep chromosome:Prunus_persica_NCBIv2:G2:22515560:22521200:1 gene:PRUPE_2G182800 transcript:ONI23333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDDDGKSAKSEKSSSPLTADQTNHSNQTNVHVYPDWAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGPPQPMMPPYGTPYAAMYSHGYAHPAVPLGSHGQAVPSSPSAATPLNMETPTKSSGNADRGLMKKLKRFDALAMSIGNSNVGDAEGGAEHSVSQSLGTEGSSEGSDGNTAGENQTRRKRSREGTPATAGDGKTDMQPSPVSAKEVNAASDKVLGATVAAPSVPGKLVGPVVSPGMTMALEFKNSPNLNSKSSSTSVPQSCAVLPPEAWMHNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALSAENVALKSEISRLTENSSTLKLENATLMDKLKNTRVGRMEEIMMNIDDKRVQPFSTENLLSRVNNSGSIARDAEKEGDMYEKNSGAKLHQLLDASPRADAVAAG >ONI23336 pep chromosome:Prunus_persica_NCBIv2:G2:22515586:22521200:1 gene:PRUPE_2G182800 transcript:ONI23336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDDDGKSAKSEKSSSPLTADQTNHSNQTNVHVYPDWAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGPPQPMMPPYGTPYAAMYSHGYAHPAVPLGSHGQAVPSSPSAATPLNMETPTKSSGNADRGLMKKLKRFDALAMSIGNSNVGDAEGGAEHSVSQSLGTEGSSEGSDGNTAGNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALSAENVALKSEISRLTENSSTLKLENATLMDKLKNTRVGRMEEIMMNIDDKRVQPFSTENLLSRVNNSGSIARDAEKEGDMYEKNSGAKLHQLLDASPRADAVAAG >ONI23329 pep chromosome:Prunus_persica_NCBIv2:G2:22515560:22521200:1 gene:PRUPE_2G182800 transcript:ONI23329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDDDGKSAKSEKSSSPLTADQTNHSNQTNVHVYPDWAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGPPQPMMPPYGTPYAAMYSHGYAHPAVPLGSHGQAVPSSPSAATPLNMETPTKSSGNADRGLMKKLKRFDALAMSIGNSNVGDAEGGAEHSVSQSLGTEGSSEGSDGNTAGENQTRRKRSREGTPATAGDGKTDMQPSPVSAKEVNAASDKVLGATVAAPSVPGKLVGPVVSPGMTMALEFKNSPNLNSKSSSTSVPQSCAVLPPEAWMHNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALSAENVALKSEISRLTENSSTLKLENATLMDKLKNTRVGRMEEIMMNIDDKRVQPFSTENLLSRVNNSGSIARDAEKEGDMYEKNSGAKLHQLLDASPRADAVAAG >ONI23335 pep chromosome:Prunus_persica_NCBIv2:G2:22515586:22521200:1 gene:PRUPE_2G182800 transcript:ONI23335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDDDGKSAKSEKSSSPLTADQTNHSNQTNVHVYPDWAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGPPQPMMPPYGTPYAAMYSHGYAHPAVPLGSHGQAVPSSPSAATPLNMETPTKSSGNADRGLMKKLKRFDALAMSIGNSNVGDAEGGAEHSVSQSLGTEGSSEGSDGNTAGNERELKRERRKQSNRESARRSRLRKQAETEELARKVDALSAENVALKSEISRLTENSSTLKLENATLMDKLKNTRVGRMEEIMMNIDDKRVQPFSTENLLSRVNNSGSIARDAEKEGDMYEKNSGAKLHQLLDASPRADAVAAG >ONI25245 pep chromosome:Prunus_persica_NCBIv2:G2:28500829:28503604:1 gene:PRUPE_2G291500 transcript:ONI25245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFLAYSSNFFKTRSKTLKPITFILLNLTSTRPMSQSTSIPKKQERVRDHGYDNYMEVEKKTRKVHKFQDLILSQPNQVIQISRLDLLARRLGFKQNEAGAFVLKFPHVFEIYEHPVQRILYCRLTRKAHLQIEQEKKALIDQIPDAVTCLRKLLMMSNTGRLRLEHVRIARAEFGLPDDFEYSVIIKYPQYFRLFDAQETRNKYIEVVEKDPSLSVCAIEKLREIEYREKGIDAEDIRFSFIVNFPPGFKIGKYYRIAVWKWQRVPYWSPYEDVSGYDLRSLEAQKRMEKRAVAVIHELLSLTVEKKITLERIAHFRMAMNLPNKLKEFLLQHQGIFYISTRGNHGKLHTVFLREAYKKGELIEPNDLYLARRKLAELVLISPRKAKVDRELVSYHRDWEDDERGHIRRDHVENSFEDFGGRNTVGQDRGVDDDMNSDMGGDYDSD >ONI25246 pep chromosome:Prunus_persica_NCBIv2:G2:28505661:28505905:-1 gene:PRUPE_2G291600 transcript:ONI25246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLERYQKLGLKESLPRIHRYPLACKKLSLILRGAYKKIPKNLQSLIFQDTLTAFRLLPEYAFSRISDFINSFFLF >ONI21040 pep chromosome:Prunus_persica_NCBIv2:G2:5468515:5470582:1 gene:PRUPE_2G047300 transcript:ONI21040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGTVRESQGSENSVETESLARFAVEEYNKKENALLEFVRVVNEKVQVVSGTLHYLTIEVTDAGKKKLFEAKVWVKPWANFKEVQEFKPVADS >ONI21266 pep chromosome:Prunus_persica_NCBIv2:G2:6760338:6769243:1 gene:PRUPE_2G056700 transcript:ONI21266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNPEGDGLPPPPPIIPPNVVPIVAEEKSFDLIKKPSTPKRVPMTRPGIGSKGQRIPLLTNHFKVAVNKSDGYFFHYSIAMLYEDGTPVDGKGIGRKVLDKVKETYGSELEHKEFAYDGEKSLFTVGPLPRNRLDFLVVLDDISSTRRTGSPGGSSNPGESAGDMKRVKKQFQSKTLKVQVNFATKIPMQAIVNALRGQDSEHFQEAVRVLDIILRQNAAKQGCLLVRQSFFHNNPRNFAELGAGVLGCRGFHSSFRATQGGLSLNMDVSTTMIVKPGPVLNFLMENQNVKTPYQIDWIKAKRMLKNLRITTYSSKMEYKITGLSDKPCKEQRFFLKTKKGQDGDGEEITVSNYFAEYKHLPVRDSADFPCINVGKPKSPSYFPLELCNLVSLQRYTKALSSLQRASLVEKSRQKPQERMSVLRDALKTSKYDADLMLRSSGISIGADFVQVEGRVLSAPKLKVGDGQDFFPRNGRWNFNNKKLIQPVKIERWAICNFSARCDTRYLVNNMLKCGEMKGIVINDPFFVFEENNQNRRDPAPVRVDKMIEYIKSKLPGPPQLLLCILPERKNSDIYGPWKRRNLSELGIVTQCIAPAKLNDQYITNVLLKINAKLGGMNSLLQVEHSPSIPLVSKCPTLILGMDVSHGSPGRSDVPSIAAVVSSRNWPLISRYRAAVRTQSPKVEMIASLFKPVSDKEDAGIIRELLLDFYATSNSRKPDQIIIFRDGVSESQFNQVLNLELDQIIQACKFLDESWSPKFMVIVAQKNHHTKFFQTSSTENVPAGTIIDNKVCHPKNNDFYLCSHAGMIGTTRPTHYHVLYDELGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQISQFIKFDDMSETSSSHGGGVTVAGGVAVPELPRLHANVINSMFFC >ONI23506 pep chromosome:Prunus_persica_NCBIv2:G2:23130101:23131624:-1 gene:PRUPE_2G192100 transcript:ONI23506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCSKVGLHRGPWTPREDTLLTKYIEAHGEGHWRSMPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITPDEDDLIVRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLSKKLRSQGTDPSTHKKLSEPVVKENKRRKNQKTKNNMNKKEMVVKNKNKTGQHVEPLKPKVHLPKPTRVTSFLSLQRNDSFTSSTTTTTTTTSSQDLKGGGGAFGINENDQVLVNTRANGIVFCVGDDQDQDQVPNSADDNDHTLENLYEEYLQALLKTDHHHDHQNQLELDSFAESLLI >ONI24303 pep chromosome:Prunus_persica_NCBIv2:G2:25629256:25630156:1 gene:PRUPE_2G232900 transcript:ONI24303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMKRKQLLLLVFALLMLIAPDAAESVLEFETAPARKSLVATRYMLATWLNSRKLVKVQAVHKVPSGPNPTGNQKPPSRQD >ONI23852 pep chromosome:Prunus_persica_NCBIv2:G2:24413908:24417778:1 gene:PRUPE_2G212000 transcript:ONI23852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPYLDKAICSTIRSIAQPIFKEYIGKFHIEAIEFDKLSLGTMPPIIYGLKVYETNENELVMEPAFRWAGNPNIVLVLKLLSLRIMIQLVDLQVFAAPRVTLKPLVPTFPCFASIVVSLLEKPHVDFGIKILGGDIMSIPGLYRFVQENIKKQVASLYLWPQTLEIPVLDASVVAKKPVGILHVKVVRAMKLLKMDILGSSDPYVKLSLTEDRLPAKKTTVKMKKLNPEWNEKFKLLVKDPETQALELQLYDWDKVGRHDKLGMQLVPLKVLTPGLTKELVLDLVKNTNINDPQNKKRRGQIVVELAFVPFKSESSKLNGNEYGRSESGISRSSDSSESLGGAGLLSVLIQGAEDVEGQHHNNPFALLCFRGEEKKTKMVKKSRDPLWNEDFQFMLDEPPLQDKIYIEVMSKSRAIFRAKESLGYVEINLADVVHNGRINQKYHLIDSHNGRIHIELSWTKA >ONI23851 pep chromosome:Prunus_persica_NCBIv2:G2:24412561:24417778:1 gene:PRUPE_2G212000 transcript:ONI23851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFSTLLGIFGFGIGSLLGLLVGFFLFIYSEPEEVKDPVVRPLHELDSCTLQGLLPEIPMWVKFSDYDRVDWLNKFLFDMWPYLDKAICSTIRSIAQPIFKEYIGKFHIEAIEFDKLSLGTMPPIIYGLKVYETNENELVMEPAFRWAGNPNIVLVLKLLSLRIMIQLVDLQVFAAPRVTLKPLVPTFPCFASIVVSLLEKPHVDFGIKILGGDIMSIPGLYRFVQENIKKQVASLYLWPQTLEIPVLDASVVAKKPVGILHVKVVRAMKLLKMDILGSSDPYVKLSLTEDRLPAKKTTVKMKKLNPEWNEKFKLLVKDPETQALELQLYDWDKVGRHDKLGMQLVPLKVLTPGLTKELVLDLVKNTNINDPQNKKRRGQIVVELAFVPFKSESSKLNGNEYGRSESGISRSSDSSESLGGAGLLSVLIQGAEDVEGQHHNNPFALLCFRGEEKKTKMVKKSRDPLWNEDFQFMLDEPPLQDKIYIEVMSKSRAIFRAKESLGYVEINLADVVHNGRINQKYHLIDSHNGRIHIELSWTKA >ONI25054 pep chromosome:Prunus_persica_NCBIv2:G2:27855327:27857848:1 gene:PRUPE_2G277800 transcript:ONI25054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRRELNLDPMDLKSNHAAPVLTDPTPLSKSRLGVPSSLLQYSPPGAAFSAGLILAIPRRKAGLLDDVRSNSWLDAMKSSSPPHRKITKDVNNEPVANEADIAYHTWMVKYPSALTYFEQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSDAMRAAVRRVAKHFPTAIISGRSHDKVYEFVGLKELYYAGSHGMDIMGPGRQSTADDHRNGFRTSDKQGKDVNLFQPAAEFLPMIGEVYESLVESTKDIEGAKVENNKFCVSVHYRNVDEKSWPAVAQCVHDVLKDYPRLRLTHGRKVLEVRPMINWDKGKAVTFLLESLGLSDCEDVLPIYIGDDRTDEDAFKVLRAGNRGYGILVSAVPKESNAFYSLREPSEVMEFLKSLVMWRKSSAL >ONI25055 pep chromosome:Prunus_persica_NCBIv2:G2:27853668:27858413:1 gene:PRUPE_2G277800 transcript:ONI25055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSNHAAPVLTDPTPLSKSRLGVPSSLLQYSPPGAAFSAGLILAIPRRKAGLLDDVRSNSWLDAMKSSSPPHRKITKDVNNEPVANEADIAYHTWMVKYPSALTYFEQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSDAMRAAVRRVAKHFPTAIISGRSHDKVYEFVGLKELYYAGSHGMDIMGPGRQSTADDHRNGFRTSDKQGKDVNLFQPAAEFLPMIGEVYESLVESTKDIEGAKVENNKFCVSVHYRNVDEKSWPAVAQCVHDVLKDYPRLRLTHGRKVLEVRPMINWDKGKAVTFLLESLGLSDCEDVLPIYIGDDRTDEDAFKVLRAGNRGYGILVSAVPKESNAFYSLREPSEVMEFLKSLVMWRKSSAL >ONI25053 pep chromosome:Prunus_persica_NCBIv2:G2:27853668:27858413:1 gene:PRUPE_2G277800 transcript:ONI25053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKKLRRELNLDPMDLKSNHAAPVLTDPTPLSKSRLGVPSSLLQYSPPGAAFSAGLILAIPRRKAGLLDDVRSNSWLDAMKSSSPPHRKITKDVNNEPVANEADIAYHTWMVKYPSALTYFEQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSDAMRAAVRRVAKHFPTAIISGRSHDKVYEFVGLKELYYAGSHGMDIMGPGRQSTADDHRNGFRTSDKQGKDVNLFQPAAEFLPMIGEVYESLVESTKDIEGAKVENNKFCVSVHYRNVDEKSWPAVAQCVHDVLKDYPRLRLTHGRKVLEVRPMINWDKGKAVTFLLESLGLSDCEDVLPIYIGDDRTDEDAFKVLRAGNRGYGILVSAVPKESNAFYSLREPSEVMEFLKSLVMWRKSSAL >ONI25056 pep chromosome:Prunus_persica_NCBIv2:G2:27854121:27858419:1 gene:PRUPE_2G277800 transcript:ONI25056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSNHAAPVLTDPTPLSKSRLGVPSSLLQYSPPGAAFSAGLILAIPRRKAGLLDDVRSNSWLDAMKSSSPPHRKITKDVNNEPVANEADIAYHTWMVKYPSALTYFEQITNYAKGKRIALFLDYDGTLSPIVDNPDCAFMSDAMRAAVRRVAKHFPTAIISGRSHDKVYEFVGLKELYYAGSHGMDIMGPGRQSTADDHRNGFRTSDKQGKDVNLFQPAAEFLPMIGEVYESLVESTKDIEGAKVENNKFCVSVHYRNVDEKSWPAVAQCVHDVLKDYPRLRLTHGRKVLEVRPMINWDKGKAVTFLLESLGLSDCEDVLPIYIGDDRTDEDAFKVLRAGNRGYGILVSAVPKESNAFYSLREPSEVMEFLKSLVMWRKSSAL >ONI23824 pep chromosome:Prunus_persica_NCBIv2:G2:24316524:24320021:-1 gene:PRUPE_2G210400 transcript:ONI23824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRLMTSYKLDPDVVRWGLHLLDDVCTLSNDSSHSTVTRYDQDLSQVEYVREGYVEPCIVENDEIIAQTYQEELSRLASAEASGVSGCEDGNLESSILGQDWLGPSNRHSGSGLQNVQDIVNDFDIKIDTDDYRDKENEADDPRRHGSEEANDHSEGECSVNREDFLHSLDITDESTLDGEVGRRLNQLVSVPHIPRTNENIPSADEEISDHQRLLERLQLYDLVECKVQGDGNCQFRALSDQLYRSPEYHGVVREQVNQQLRSHPEMYEAYVPMAYTDYLKKMSKSGEWGDHVTLQAAADSYGVKVFVITSFRDTCYIEILPHVQKSNRVICLSFWAEVHYNSIYPEGELPPPSLKKKKKWWNF >ONI23823 pep chromosome:Prunus_persica_NCBIv2:G2:24316136:24320737:-1 gene:PRUPE_2G210400 transcript:ONI23823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRLMTSYKLDPDVVRWGLHLLDDVCTLSNDSSHSTVTRYDQDLSQVEYVREGYVEPCIVENDEIIAQTYQEELSRLASAEASGVSGCEDGNLESSILGQDWLGPSNRHSGSGLQNVQDIVNDFDIKIDTDDYRDKENEADDPRRHGSEEANDHSEGECSVNREDFLHSLDITDESTLDGEVGRRLNQLVSVPHIPRTNENIPSADEEISDHQRLLERLQLYDLVECKVQGDGNCQFRALSDQLYRSPEYHGVVREQVNQQLRSHPEMYEAYVPMAYTDYLKKMSKSGEWGDHVTLQAAADSYGVKVFVITSFRDTCYIEILPHVQKSNRVICLSFWAEVHYNSIYPEGELPPPSLKKKKKWWNF >ONI22451 pep chromosome:Prunus_persica_NCBIv2:G2:18741518:18744259:-1 gene:PRUPE_2G130100 transcript:ONI22451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSCHDEERSALLQFKESFIIDKSASRYDGAYPKVSSWKPAGGGNSSCCLWDGVECDEKTGHVIGLNLSSSYLYGSFDSNSSLFSLVHLQRLILSDNNFNYSQIPSSIRNFPSLTHLDLSASFFSGQVPSEVSHLSKLTYLDLCCNLEIETSSDDPQGLLKLQPSDMRSLVQNLTSLETLSLSFINISSIIPVSLTNLSFLTSLTLKECNLFGEFPVGIFNLQNLKVLSVRYNQDLTGYFPEFNRSSPLISLKVGFTMFFGTIPSSIEKLNSLQELDVAQCNFSNSLVPPALGNLRQLTYLDISASRFGDFSYNGLIGSIPASFSNLTNLEILYLQSNNLSGVVEFQMFQKAQNLNELQLNWNNLEFVTGSNSMNSTLRQFITMGLSACNLKEFPYFLKNQTNLQRLDLAQNKIHGEIPNWMWNISKETLILMDISGNFFLGELRAVLPWVNLLCLRLSFNTFHGVLPVPPPSMLEYGADNNNFTGEISPLLCNMSSLQYLDLSRNNLSGMLPQCLGNFSDDLILLLLGSNSFHGMMPQSYNNRSSLRMIDVSHNQLQGQLPRSLANCVMLEYLVLSNNEFNDVFPIWLGTLPRLKLLAMHHNEFYGVIGQSRRNIDFPSLRILDLSYNRFEGEIPPLFPDITVNKSTYMYAQVSYDLHGFAIGRSVAYSITLAIKGLDLLYSKIQEGLAAIDISSNKFEGTIPEFIGNLKELHSLNISSNILNGSIPSSLGNLRNLESLDLSHNKLSGQIPQQLTRLTFLENIDVSHNNLTGPIPQGTQLTLMNSTSYEGNPGLCGDPLPKCGNQGAPQPPPSTEEDSDSGSAQKLEFDLGFVLAGIGSGFVVGVVLADVAITRRQELFLKIVRIVRLMIRKE >ONI24985 pep chromosome:Prunus_persica_NCBIv2:G2:27623516:27629067:-1 gene:PRUPE_2G273400 transcript:ONI24985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEIIEAHGSVALEAAPSPLSIVAIAINGNRKSKYIVRWALEKFVPEGNVFFKLIHVRPRITGVPTPMGNLIPLSQVREDVVAAYRKEIEWQASELLLPYKKMCAQKKVQVDVVVIESDDVANAIAEEIAKSAISNLVLGAPSRGMFKRKQKGLSSKISACSPRFCTIYAVSKGKLSSVRASDSESVASIRDDNSDTCSINSSSSYASGSQTGTDRGSVGSYSHFRSPSLPMQRFQALTTINQTLLSTKTNSNETIHSRCQSQDLEEGKDGMSSCPSNSDVVHTPSQPSSSGSFLTDNRSWTSDQASTSDVVTDYSSESQANINLELEKLRIELRHVKGMYAMAQSETIDASRKINNLNKRRSEEAIRLKEINSMEEKAKVFATQEKEKYEAAKIEAEYMRECVEREVSQRREAEMKAMHDAEEKEKLESVLVGPVQQYQKFMWDEIVTATSSFSEDLRIGMGAYGTVYKCSFHHTTAAVKVLHSKENRQTKQFQQELEILSKIRHPHLLLLLGACPEHSCLVYEYMENGSLEDRLLQKNSTPPIPWFERFRIAWEVASTLIFLHSSKPKPIIHRDLKPANILLDHNLVSKIGDVGLSTMLNLDPSVSSIYNDTGPVGTLSYIDPEYQRTGIISPQSDVYAFGMVILQLLTAKPARALTHLVETAISDRNLMDVLDPKAGVWPMEETRQLAELGLSCAELRRRDRPDLKEQVVPLLERLKMVADKARDSASTVQCRLPPNHFICPILKDVMQEPCVAADGYTYDRKSIETWIQENDKSPMTNLPLPNKNLIPNYTLLSAIMEWKSRGQ >ONI24984 pep chromosome:Prunus_persica_NCBIv2:G2:27623820:27628394:-1 gene:PRUPE_2G273400 transcript:ONI24984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEIIEAHGSVALEAAPSPLSIVAIAINGNRKSKYIVRWALEKFVPEGNVFFKLIHVRPRITGVPTPMGNLIPLSQVREDVVAAYRKEIEWQASELLLPYKKMCAQKKVQVDVVVIESDDVANAIAEEIAKSAISNLVLGAPSRGMFKRKQKGLSSKISACSPRFCTIYAVSKGKLSSVRASDSESVASIRDDNSDTCSINSSSSYASGSQTGTDRGSVGSYSHFRSPSLPMQRFQALTTINQTLLSTKTNSNETIHSRCQSQDLEEGKDGMSSCPSNSDVVHTPSQPSSSGSFLTDNRSWTSDQASTSDVVTDYSSESQANINLELEKLRIELRHVKGMYAMAQSETIDASRKINNLNKRRSEEAIRLKEINSMEEKAKVFATQEKEKYEAAKIEAEYMRECVEREVSQRREAEMKAMHDAEEKEKLESVLVGPVQQYQKFMWDEIVTATSSFSEDLRIGMGAYGTVYKCSFHHTTAAVKVLHSKENRQTKQFQQELEILSKIRHPHLLLLLGACPEHSCLVYEYMENGSLEDRLLQKNSTPPIPWFERFRIAWEVASTLIFLHSSKPKPIIHRDLKPANILLDHNLVSKIGDVGLSTMLNLDPSVSSIYNDTGPVGTLSYIDPEYQRTGIISPQSDVYAFGMVILQLLTAKPARALTHLVETAISDRNLMDVLDPKAGVWPMEETRQLAELGLSCAELRRRDRPDLKEQVVPLLERLKMVADKARDSASTVQCRLPPNHFICPILKDVMQEPCVAADGYTYDRKSIETWIQENDKSPMTNLPLPNKNLIPNYTLLSAIMEWKSRGQ >ONI24983 pep chromosome:Prunus_persica_NCBIv2:G2:27623820:27628394:-1 gene:PRUPE_2G273400 transcript:ONI24983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEIIEAHGSVALEAAPSPLSIVAIAINGNRKSKYIVRWALEKFVPEGNVFFKLIHVRPRITGVPTPMGNLIPLSQVREDVVAAYRKEIEWQASELLLPYKKMCAQKKVQVDVVVIESDDVANAIAEEIAKSAISNLVLGAPSRGMFKRKQKGLSSKISACSPRFCTIYAVSKGKLSSVRASDSESVASIRDDNSDTCSINSSSSYASGSQTGTDRGSVGSYSHFRSPSLPMQRFQALTTINQTLLSTKTNSNETIHSRCQSQDLEEGKDGMSSCPSNSDVVHTPSQPSSSGSFLTDNRSWTSDQASTSDVVTDYSSESQANINLELEKLRIELRHVKGMYAMAQSETIDASRKINNLNKRRSEEAIRLKEINSMEEKAKVFATQEKEKYEAAKIEAEYMRECVEREVSQRREAEMKAMHDAEEKEKLESVLVGPVQQYQKFMWDEIVTATSSFSEDLRIGMGAYGTVYKCSFHHTTAAVKVLHSKENRQTKQFQQELEILSKIRHPHLLLLLGACPEHSCLVYEYMENGSLEDRLLQKNSTPPIPWFERFRIAWEVASTLIFLHSSKPKPIIHRDLKPANILLDHNLVSKIGDVGLSTMLNLDPSVSSIYNDTGPVGTLSYIDPEYQRTGIISPQSDVYAFGMVILQLLTAKPARALTHLVETAISDRNLMDVLDPKAGVWPMEETRQLAELGLSCAELRRRDRPDLKEQVVPLLERLKMVADKARDSASTVQCRLPPNHFICPILKDVMQEPCVAADGYTYDRKSIETWIQENDKSPMTNLPLPNKNLIPNYTLLSAIMEWKSRGQ >ONI24987 pep chromosome:Prunus_persica_NCBIv2:G2:27623820:27628394:-1 gene:PRUPE_2G273400 transcript:ONI24987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEIIEAHGSVALEAAPSPLSIVAIAINGNRKSKYIVRWALEKFVPEGNVFFKLIHVRPRITGVPTPMGNLIPLSQVREDVVAAYRKEIEWQASELLLPYKKMCAQKKVQVDVVVIESDDVANAIAEEIAKSAISNLVLGAPSRGMFKRKQKGLSSKISACSPRFCTIYAVSKGKLSSVRASDSESVASIRDDNSDTCSINSSSSYASGSQTGTDRGSVGSYSHFRSPSLPMQRFQALTTINQTLLSTKTNSNETIHSRCQSQDLEEGKDGMSSCPSNSDVVHTPSQPSSSGSFLTDNRSWTSDQASTSDVVTDYSSESQANINLELEKLRIELRHVKGMYAMAQSETIDASRKINNLNKRRSEEAIRLKEINSMEEKAKVFATQEKEKYEAAKIEAEYMRECVEREVSQRREAEMKAMHDAEEKEKLESVLVGPVQQYQKFMWDEIVTATSSFSEDLRIGMGAYGTVYKCSFHHTTAAVKVLHSKENRQTKQFQQELEILSKIRHPHLLLLLGACPEHSCLVYEYMENGSLEDRLLQKNSTPPIPWFERFRIAWEVASTLIFLHSSKPKPIIHRDLKPANILLDHNLVSKIGDVGLSTMLNLDPSVSSIYNDTGPVGTLSYIDPEYQRTGIISPQSDVYAFGMVILQLLTAKPARALTHLVETAISDRNLMDVLDPKAGVWPMEETRQLAELGLSCAELRRRDRPDLKEQVVPLLERLKMVADKARDSASTVQCRLPPNHFICPILKDVMQEPCVAADGYTYDRKSIETWIQENDKSPMTNLPLPNKNLIPNYTLLSAIMEWKSRGQ >ONI24981 pep chromosome:Prunus_persica_NCBIv2:G2:27623503:27629234:-1 gene:PRUPE_2G273400 transcript:ONI24981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEIIEAHGSVALEAAPSPLSIVAIAINGNRKSKYIVRWALEKFVPEGNVFFKLIHVRPRITGVPTPMGNLIPLSQVREDVVAAYRKEIEWQASELLLPYKKMCAQKKVQVDVVVIESDDVANAIAEEIAKSAISNLVLGAPSRGMFKRKQKGLSSKISACSPRFCTIYAVSKGKLSSVRASDSESVASIRDDNSDTCSINSSSSYASGSQTDRGSVGSYSHFRSPSLPMQRFQALTTINQTLLSTKTNSNETIHSRCQSQDLEEGKDGMSSCPSNSDVVHTPSQPSSSGSFLTDNRSWTSDQASTSDVVTDYSSESQANINLELEKLRIELRHVKGMYAMAQSETIDASRKINNLNKRRSEEAIRLKEINSMEEKAKVFATQEKEKYEAAKIEAEYMRECVEREVSQRREAEMKAMHDAEEKEKLESVLVGPVQQYQKFMWDEIVTATSSFSEDLRIGMGAYGTVYKCSFHHTTAAVKVLHSKENRQTKQFQQELEILSKIRHPHLLLLLGACPEHSCLVYEYMENGSLEDRLLQKNSTPPIPWFERFRIAWEVASTLIFLHSSKPKPIIHRDLKPANILLDHNLVSKIGDVGLSTMLNLDPSVSSIYNDTGPVGTLSYIDPEYQRTGIISPQSDVYAFGMVILQLLTAKPARALTHLVETAISDRNLMDVLDPKAGVWPMEETRQLAELGLSCAELRRRDRPDLKEQVVPLLERLKMVADKARDSASTVQCRLPPNHFICPILKDVMQEPCVAADGYTYDRKSIETWIQENDKSPMTNLPLPNKNLIPNYTLLSAIMEWKSRGQ >ONI24986 pep chromosome:Prunus_persica_NCBIv2:G2:27623820:27628394:-1 gene:PRUPE_2G273400 transcript:ONI24986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEIIEAHGSVALEAAPSPLSIVAIAINGNRKSKYIVRWALEKFVPEGNVFFKLIHVRPRITGVPTPMGNLIPLSQVREDVVAAYRKEIEWQASELLLPYKKMCAQKKVQVDVVVIESDDVANAIAEEIAKSAISNLVLGAPSRGMFKRKQKGLSSKISACSPRFCTIYAVSKGKLSSVRASDSESVASIRDDNSDTCSINSSSSYASGSQTGTDRGSVGSYSHFRSPSLPMQRFQALTTINQTLLSTKTNSNETIHSRCQSQDLEEGKDGMSSCPSNSDVVHTPSQPSSSGSFLTDNRSWTSDQASTSDVVTDYSSESQANINLELEKLRIELRHVKGMYAMAQSETIDASRKINNLNKRRSEEAIRLKEINSMEEKAKVFATQEKEKYEAAKIEAEYMRECVEREVSQRREAEMKAMHDAEEKEKLESVLVGPVQQYQKFMWDEIVTATSSFSEDLRIGMGAYGTVYKCSFHHTTAAVKVLHSKENRQTKQFQQELEILSKIRHPHLLLLLGACPEHSCLVYEYMENGSLEDRLLQKNSTPPIPWFERFRIAWEVASTLIFLHSSKPKPIIHRDLKPANILLDHNLVSKIGDVGLSTMLNLDPSVSSIYNDTGPVGTLSYIDPEYQRTGIISPQSDVYAFGMVILQLLTAKPARALTHLVETAISDRNLMDVLDPKAGVWPMEETRQLAELGLSCAELRRRDRPDLKEQVVPLLERLKMVADKARDSASTVQCRLPPNHFICPILKDVMQEPCVAADGYTYDRKSIETWIQENDKSPMTNLPLPNKNLIPNYTLLSAIMEWKSRGQ >ONI24980 pep chromosome:Prunus_persica_NCBIv2:G2:27623516:27628875:-1 gene:PRUPE_2G273400 transcript:ONI24980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEIIEAHGSVALEAAPSPLSIVAIAINGNRKSKYIVRWALEKFVPEGNVFFKLIHVRPRITGVPTPMGNLIPLSQVREDVVAAYRKEIEWQASELLLPYKKMCAQKKVQVDVVVIESDDVANAIAEEIAKSAISNLVLGAPSRGMFKRKQKGLSSKISACSPRFCTIYAVSKGKLSSVRASDSESVASIRDDNSDTCSINSSSSYASGSQTDRGSVGSYSHFRSPSLPMQRFQALTTINQTLLSTKTNSNETIHSRCQSQDLEEGKDGMSSCPSNSDVVHTPSQPSSSGSFLTDNRSWTSDQASTSDVVTDYSSESQANINLELEKLRIELRHVKGMYAMAQSETIDASRKINNLNKRRSEEAIRLKEINSMEEKAKVFATQEKEKYEAAKIEAEYMRECVEREVSQRREAEMKAMHDAEEKEKLESVLVGPVQQYQKFMWDEIVTATSSFSEDLRIGMGAYGTVYKCSFHHTTAAVKVLHSKENRQTKQFQQELEILSKIRHPHLLLLLGACPEHSCLVYEYMENGSLEDRLLQKNSTPPIPWFERFRIAWEVASTLIFLHSSKPKPIIHRDLKPANILLDHNLVSKIGDVGLSTMLNLDPSVSSIYNDTGPVGTLSYIDPEYQRTGIISPQSDVYAFGMVILQLLTAKPARALTHLVETAISDRNLMDVLDPKAGVWPMEETRQLAELGLSCAELRRRDRPDLKEQVVPLLERLKMVADKARDSASTVQCRLPPNHFICPILKDVMQEPCVAADGYTYDRKSIETWIQENDKSPMTNLPLPNKNLIPNYTLLSAIMEWKSRGQ >ONI24982 pep chromosome:Prunus_persica_NCBIv2:G2:27623516:27628660:-1 gene:PRUPE_2G273400 transcript:ONI24982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEIIEAHGSVALEAAPSPLSIVAIAINGNRKSKYIVRWALEKFVPEGNVFFKLIHVRPRITGVPTPMGNLIPLSQVREDVVAAYRKEIEWQASELLLPYKKMCAQKKVQVDVVVIESDDVANAIAEEIAKSAISNLVLGAPSRGMFKRKQKGLSSKISACSPRFCTIYAVSKGKLSSVRASDSESVASIRDDNSDTCSINSSSSYASGSQTDRGSVGSYSHFRSPSLPMQRFQALTTINQTLLSTKTNSNETIHSRCQSQDLEEGKDGMSSCPSNSDVVHTPSQPSSSGSFLTDNRSWTSDQASTSDVVTDYSSESQANINLELEKLRIELRHVKGMYAMAQSETIDASRKINNLNKRRSEEAIRLKEINSMEEKAKVFATQEKEKYEAAKIEAEYMRECVEREVSQRREAEMKAMHDAEEKEKLESVLVGPVQQYQKFMWDEIVTATSSFSEDLRIGMGAYGTVYKCSFHHTTAAVKVLHSKENRQTKQFQQELEILSKIRHPHLLLLLGACPEHSCLVYEYMENGSLEDRLLQKNSTPPIPWFERFRIAWEVASTLIFLHSSKPKPIIHRDLKPANILLDHNLVSKIGDVGLSTMLNLDPSVSSIYNDTGPVGTLSYIDPEYQRTGIISPQSDVYAFGMVILQLLTAKPARALTHLVETAISDRNLMDVLDPKAGVWPMEETRQLAELGLSCAELRRRDRPDLKEQVVPLLERLKMVADKARDSASTVQCRLPPNHFICPILKDVMQEPCVAADGYTYDRKSIETWIQENDKSPMTNLPLPNKNLIPNYTLLSAIMEWKSRGQ >ONI24979 pep chromosome:Prunus_persica_NCBIv2:G2:27623503:27629234:-1 gene:PRUPE_2G273400 transcript:ONI24979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSEIIEAHGSVALEAAPSPLSIVAIAINGNRKSKYIVRWALEKFVPEGNVFFKLIHVRPRITGVPTPMGNLIPLSQVREDVVAAYRKEIEWQASELLLPYKKMCAQKKVQVDVVVIESDDVANAIAEEIAKSAISNLVLGAPSRGMFKRKQKGLSSKISACSPRFCTIYAVSKGKLSSVRASDSESVASIRDDNSDTCSINSSSSYASGSQTDRGSVGSYSHFRSPSLPMQRFQALTTINQTLLSTKTNSNETIHSRCQSQDLEEGKDGMSSCPSNSDVVHTPSQPSSSGSFLTDNRSWTSDQASTSDVVTDYSSESQANINLELEKLRIELRHVKGMYAMAQSETIDASRKINNLNKRRSEEAIRLKEINSMEEKAKVFATQEKEKYEAAKIEAEYMRECVEREVSQRREAEMKAMHDAEEKEKLESVLVGPVQQYQKFMWDEIVTATSSFSEDLRIGMGAYGTVYKCSFHHTTAAVKVLHSKENRQTKQFQQELEILSKIRHPHLLLLLGACPEHSCLVYEYMENGSLEDRLLQKNSTPPIPWFERFRIAWEVASTLIFLHSSKPKPIIHRDLKPANILLDHNLVSKIGDVGLSTMLNLDPSVSSIYNDTGPVGTLSYIDPEYQRTGIISPQSDVYAFGMVILQLLTAKPARALTHLVETAISDRNLMDVLDPKAGVWPMEETRQLAELGLSCAELRRRDRPDLKEQVVPLLERLKMVADKARDSASTVQCRLPPNHFICPILKDVMQEPCVAADGYTYDRKSIETWIQENDKSPMTNLPLPNKNLIPNYTLLSAIMEWKSRGQ >ONI21223 pep chromosome:Prunus_persica_NCBIv2:G2:6214021:6217399:1 gene:PRUPE_2G053600 transcript:ONI21223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLINDLAMFMSQGFCLRLEGGVSREVKRARHLSYARGKFDAAPRFEPLYEATCLRTFLPTSLNPYRHERFFVSKKVLQDLLPSLRCLRVLSLSHYQNVTELPDSIANLIHLRYLDLSHTAIERLPRVVCNLYNLQTLLLSNCYSLFELPADIRKLINLQKLTLGGCSSLMKLPAGMKELINLHHLDVNGTKIEEMPVQMGRLKSLRTLTAFVVGKSTGSGIRELREFPQLRGKLSILKLQNVVDARDALQANMKHKKDLKELEFSWGAEDANDSQKEKDVLNKLQPCVNLEKLTIRFYGGTNFPNWLGDLSFSNIQVVHLSDCSYCWSLPPVGRLPALKELCIERMKFVKTIGVEFYGRNGAYLTQPFQSLEKLKFREMPEWEEWVPSGSASGGEYGPDFPRLQELILNNCPKLRGSLPCELPWLKKLMVSRCEVLHDGMATTTTTNSLNYKSLEELKIEDKALLSLLETKLLSRLEIENVVDVQCLSYYNRLQSLTLSNCPTLSSFPKDGLPSTLTSLNINNCRKLEFLPHEMLAKLTSLETLRIYQSCDSMRSFPLGSFPKLTALSIWDCENLESLSMIEEEGAVENLSHLNYLQIYKCPKMVCFHEGELPTPNLRGFEVGECENLKSLPKRLHTLTAFRSLHIQSLRNLESFAEDGGLPPNLRYFGIVNCERLRDSSVGEYWGLQALVSLEKLLISGSDHVLETLLKEQVLPTTLHTLRIYSLSTLKSLDGKGLGHLTSLQVLEIDSCPSLELLPGEELQHLTSLQNLYIWNCPSLQCLPEEGLPPSLSYLRILFCPALEKRYKNKTGQDWAKISHIPCIEIGNEVII >ONI21221 pep chromosome:Prunus_persica_NCBIv2:G2:6212618:6217340:1 gene:PRUPE_2G053600 transcript:ONI21221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEAFISASVQVLCNRITSPEFVDLFRHKKLDEPLLMKLKTTLLTIYAVVDDAEEKQIKKPAVRDWLDEVKHAVFDAEDLLDEIDTEALRCKFEGEDQTGKFTNKGFCLRLEGGVSREVKRARHLSYARGKFDAAPRFEPLYEATCLRTFLPTSLNPYRHERFFVSKKVLQDLLPSLRCLRVLSLSHYQNVTELPDSIANLIHLRYLDLSHTAIERLPRVVCNLYNLQTLLLSNCYSLFELPADIRKLINLQKLTLGGCSSLMKLPAGMKELINLHHLDVNGTKIEEMPVQMGRLKSLRTLTAFVVGKSTGSGIRELREFPQLRGKLSILKLQNVVDARDALQANMKHKKDLKELEFSWGAEDANDSQKEKDVLNKLQPCVNLEKLTIRFYGGTNFPNWLGDLSFSNIQVVHLSDCSYCWSLPPVGRLPALKELCIERMKFVKTIGVEFYGRNGAYLTQPFQSLEKLKFREMPEWEEWVPSGSASGGEYGPDFPRLQELILNNCPKLRGSLPCELPWLKKLMVSRCEVLHDGMATTTTTNSLNYKSLEELKIEDKALLSLLETKLLSRLEIENVVDVQCLSYYNRLQSLTLSNCPTLSSFPKDGLPSTLTSLNINNCRKLEFLPHEMLAKLTSLETLRIYQSCDSMRSFPLGSFPKLTALSIWDCENLESLSMIEEEGAVENLSHLNYLQIYKCPKMVCFHEGELPTPNLRGFEVGECENLKSLPKRLHTLTAFRSLHIQSLRNLESFAEDGGLPPNLRYFGIVNCERLRDSSVGEYWGLQALVSLEKLLISGSDHVLETLLKEQVLPTTLHTLRIYSLSTLKSLDGKGLGHLTSLQVLEIDSCPSLELLPGEELQHLTSLQNLYIWNCPSLQCLPEEGLPPSLSYLRILFCPALEKRYKNKTGQDWAKISHIPCIEIGNEVII >ONI21222 pep chromosome:Prunus_persica_NCBIv2:G2:6212618:6217340:1 gene:PRUPE_2G053600 transcript:ONI21222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEAFISASVQVLCNRITSPEFVDLFRHKKLDEPLLMKLKTTLLTIYAVVDDAEEKQIKKPAVRDWLDEVKHAVFDAEDLLDEIDTEALRCKFEGEDQTGKFTNKGFCLRLEGGVSREVKRARHLSYARGKFDAAPRFEPLYEATCLRTFLPTSLNPYRHERFFVSKKVLQDLLPSLRCLRVLSLSHYQNVTELPDSIANLIHLRYLDLSHTAIERLPRVVCNLYNLQTLLLSNCYSLFELPADIRKLINLQKLTLGGCSSLMKLPAGMKELINLHHLDVNGTKIEEMPVQMGRLKSLRTLTAFVVGKSTGSGIRELREFPQLRGKLSILKLQNVVDARDALQANMKHKKDLKELEFSWGAEDANDSQKEKDVLNKLQPCVNLEKLTIRFYGGTNFPNWLGDLSFSNIQVVHLSDCSYCWSLPPVGRLPALKELCIERMKFVKTIGVEFYGRNGAYLTQPFQSLEKLKFREMPEWEEWVPSGSASGGEYGPDFPRLQELILNNCPKLRGSLPCELPWLKKLMVSRCEVLHDGMATTTTTNSLNYKSLEELKIEDKALLSLLETKLLSRLEIENVVDVQCLSYYNRLQSLTLSNCPTLSSFPKDGLPSTLTSLNINNCRKLEFLPHEMLAKLTSLETLRIYQSCDSMRSFPLGSFPKLTALSIWDCENLESLSMIEEEGAVENLSHLNYLQIYKCPKMVCFHEGELPTPNLRGFEVGECENLKSLPKRLHTLTAFRSLHIQSLRNLESFAEDGGLPPNLRYFGIVNCERLRDSSVGEYWGLQALVSLEKLLISGSDHVLETLLKEQVLPTTLHTLRIYSLSTLKSLDGKGLGHLTSLQVLEIDSCPSLELLPGEELQHLTSLQNLYIWNCPSLQCLPEEGLPPSLSYLRILFCPALEKRYKNKTGQDWAKISHIPCIEIGNEVII >ONI21224 pep chromosome:Prunus_persica_NCBIv2:G2:6214021:6217340:1 gene:PRUPE_2G053600 transcript:ONI21224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLINDLAMFMSQGFCLRLEGGVSREVKRARHLSYARGKFDAAPRFEPLYEATCLRTFLPTSLNPYRHERFFVSKKVLQDLLPSLRCLRVLSLSHYQNVTELPDSIANLIHLRYLDLSHTAIERLPRVVCNLYNLQTLLLSNCYSLFELPADIRKLINLQKLTLGGCSSLMKLPAGMKELINLHHLDVNGTKIEEMPVQMGRLKSLRTLTAFVVGKSTGSGIRELREFPQLRGKLSILKLQNVVDARDALQANMKHKKDLKELEFSWGAEDANDSQKEKDVLNKLQPCVNLEKLTIRFYGGTNFPNWLGDLSFSNIQVVHLSDCSYCWSLPPVGRLPALKELCIERMKFVKTIGVEFYGRNGAYLTQPFQSLEKLKFREMPEWEEWVPSGSASGGEYGPDFPRLQELILNNCPKLRGSLPCELPWLKKLMVSRCEVLHDGMATTTTTNSLNYKSLEELKIEDKALLSLLETKLLSRLEIENVVDVQCLSYYNRLQSLTLSNCPTLSSFPKDGLPSTLTSLNINNCRKLEFLPHEMLAKLTSLETLRIYQSCDSMRSFPLGSFPKLTALSIWDCENLESLSMIEEEGAVENLSHLNYLQIYKCPKMVCFHEGELPTPNLRGFEVGECENLKSLPKRLHTLTAFRSLHIQSLRNLESFAEDGGLPPNLRYFGIVNCERLRDSSVGEYWGLQALVSLEKLLISGSDHVLETLLKEQVLPTTLHTLRIYSLSTLKSLDGKGLGHLTSLQVLEIDSCPSLELLPGEELQHLTSLQNLYIWNCPSLQCLPEEGLPPSLSYLRILFCPALEKRYKNKTGQDWAKISHIPCIEIGNEVII >ONI24761 pep chromosome:Prunus_persica_NCBIv2:G2:27047517:27050384:1 gene:PRUPE_2G261200 transcript:ONI24761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPFPGTPGIKEMRSETGSDFRFSTGSGHGHGASMSPSPPGIRRVGLRAEIDTSPPFGSVEEAVTRFGGRGSWIPFYKLGENYNGIEEFDLKKVEEQAAELEKDLIVKELETLDVLEELATTKRIVEELKRQLQKEALKCLTVVSPPDFHSEEHFSSSPAVKEMNKENHRNVASNHEHVMGNSSPYHNSPDLILTELKQAKLNLGKTINDLGVIQSSVETLNKKMQNEKNLLEKTREKLTSQFAGVSSLEEEMKNIKVKTQMADDAETNAKICFENSACVSREHMQFKRMVEAANFEASGAMSRNEQTKSIMKTAEMRWVAAKKMEEAARAAEAVALAEIKSLTSSGGSSGYVLPEPEKMSISSQMKSPLNLKAQEAEGWFKKKLVDAMRQIDEAHTSKLAILRKLKEATEEVKHSKQFLEEALNKVEIANRKQLAAQEALQSWGPERDQKEQAAAYNTTNLNNFQSPDYNQNSPMNEMNKSVIVNEGPKPHLRSTVSMRDVLSRKQVLPEDFVEKKEMERGHPEMHRVALSEMLHALREDLTFPAKVEKDGNDQKPFLTQRKKFGFIHISLPLSKPSKKKTQTLNAM >ONI24762 pep chromosome:Prunus_persica_NCBIv2:G2:27047517:27050384:1 gene:PRUPE_2G261200 transcript:ONI24762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPFPGTPGIKEMRSETGSDFRFSTGSGHGHGASMSPSPPGIRRVGLRAEIDTSPPFGSVEEAVTRFGGRGSWIPFYKLGENYNGIEEFDLKKVEEQAAELEKDLIVKELETLDVLEELATTKRIVEELKRQLQKEALKCLTVVSPPDFHSEEHFSSSPAVKEMNKENHRNVASNHEHVMGNSSPYHNSPDLILTELKQAKLNLGVSSLEEEMKNIKVKTQMADDAETNAKICFENSACVSREHMQFKRMVEAANFEASGAMSRNEQTKSIMKTAEMRWVAAKKMEEAARAAEAVALAEIKSLTSSGGSSGYVLPEPEKMSISSQMKSPLNLKAQEAEGWFKKKLVDAMRQIDEAHTSKLAILRKLKEATEEVKHSKQFLEEALNKVEIANRKQLAAQEALQSWGPERDQKEQAAAYNTTNLNNFQSPDYNQNSPMNEMNKSVIVNEGPKPHLRSTVSMRDVLSRKQVLPEDFVEKKEMERGHPEMHRVALSEMLHALREDLTFPAKVEKDGNDQKPFLTQRKKFGFIHISLPLSKPSKKKTQTLNAM >ONI20337 pep chromosome:Prunus_persica_NCBIv2:G2:932740:934327:-1 gene:PRUPE_2G010000 transcript:ONI20337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGGKGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAEKAMGTTDVRVDVKLNKHIWSRGIRSVPRRIRVRIARKRNDEEDAKEELYSLVTVTEVPPEGFTGLGTKVIDEED >ONI21148 pep chromosome:Prunus_persica_NCBIv2:G2:5804441:5806825:-1 gene:PRUPE_2G050200 transcript:ONI21148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCCSTGSIISLRSDDEGCIKCLQYDRNLKIAALLLRSFTDLFYRYDIIVQVYTSGNFLVFIGEYHRRQHSLASEYARDYPGHEDVWIKTKTTFWSKSLVEIAKTMGGSYVILIDILAILPLPQVAILIFFSKMRDLRFLNTISMVIMNLFVLLQYVPRLLSSHLSLM >ONI23895 pep chromosome:Prunus_persica_NCBIv2:G2:24562553:24569561:-1 gene:PRUPE_2G214500 transcript:ONI23895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPVVVRNDELTWKDICYYLYEENAFDNVVISPGPGSPACPADIGICLQVLLDCWDIPILGVCLGHQALAYVHGAKVVHASEPVHGRLSEIEHNGCRLFNDIPSGHNSGFKVVRYHSLVVDVESLPDELIPIAWTSSVDALSFIETHKCDVPSEFAAGSFSRKVKNGSYSPFSHSGKLQSEKVLMGIMHSTRPHYGLQFHPESIATCHGRQIFKNFREITEEYRLSSRASFLQERNFDYTACVQIPHVSRLFTEVPRHRQLVNNADGQLYRKASRSNLLKNSEGNRNCSGMVDMVNLLHPSNDVKYLKLKWKRFKNLAGQVGGAKNIFCELYGHHKAENTFWLDSSSIEKRRARFSFMGGKGGTLWKQLTFKLSDRSDMTLKGRGFLSVEDAQGSTKSTILEEGFLDFLKKELLSFCYDEKDYEGLPFDFHGGYIGYMGYSLKVECGALSNRHKSGTPDACFFFADNLVVIDHCSNDVYVLSINEGCTSKTPWLDDTEQKLLSLKTSATKEGEEPNLQALTSLQCQASFLADKSREEYIKDVDKCMEYIKDGESYELCLTTQMRKRIGEMDSLGLYLHLREKNPAPYAAWLNFTKENLCICCSSPERFLRLDRNGILEAKPIKGTVARGATLEEDEQHKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPHLMDVESYATVHTMVSTIRGKKRSDVTAVDCVRAAFPGGSMTGAPKLRSMELLDSIENSSRGIYSGSIGFFSYNQTFDLNIVIRTVVIHEGEASIGAGGAIIALSNPEDEYDEMVLKTQAPAKAVMEFL >ONI24079 pep chromosome:Prunus_persica_NCBIv2:G2:25015101:25022020:1 gene:PRUPE_2G222600 transcript:ONI24079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPGDLEKAEASRTDYPNSNQTTENSDTRDGDVKNSKNAARLTKIFITSCVLGVLLDPLFLYIPLLNYDLKCLRLDNTIKIIALVSRLFTDIFYVGRIILQVCRFENCSPFVNRILPESCSSNVITSLIKCFRELLPEVTEVHKKEYLIPSITKEIWESSIIVDILAILPFPQVAILIFFPKMRVSGSFGKRIMNFLVMVQYVPRVLRIYLSCKKARKPFKGQIPLWLKGLLNLFLYILASHVLGAFWYFFAAQQMISCWEHACRYGNGCGAITFNCHDHQTLKNITVLNDFCPINPRDTTPPFNFGIYLNVLQSGALWSTDYPLKFLNSFCWGLRNLSSLASNLQPSFYTWEITFVAFISIIGLILFVYLIGNLQTYVLIDTERLESHRRENKLKRKLTENDRKVESWLSGHGIPLSRKQKIMEEIKRELEENSDFDVVREILSILPLEEIKSCSPLSRLRKVPLLKDMDEGVLVEISEKLHPEKYTPGKIIINKDETLQMMLFIVDGRVIIEKTDGSQLEHLGPGDFYGEELLVSPLWTSSSDAKPINQSVQAIDDVQALVLFVTDMATLSFSSRRYINELRMVVTILQKVPKLQTMDKQVLKAMSHHLSPVSYKRDDYIVRENQPVSRMFFVTRGEVTKNENPLEANFIGEELLEWVLDKSFPTILPLSTCTVRVVSNDAEVLILKARMLKNVVSKFMKHFSNFSSLSDIRLTWLKKVEIFQQMDEQVLEAICKCLKHMNFNVAKRHILQEKKPLKMMFFVIRGVVLIESDSALEVNVKNTCEIGSFYGEELVHWVTTWVHKSFPAKLPLSPGSALCSVRGGPVEILALKADDLKSVVYELRSKFITETTLPTDSDQPRELTILKNVEILKTMNEEVLKEVCKHLTEKTYKDEYIIMKDKQMEMMFFIVSGVVSVTNESSKHYLREGECPNHSGDELIQRWVRSKSTSVSAELPTSPSSFWAIGEVEVLILKAEDLASVQLGDRIGS >ONI24080 pep chromosome:Prunus_persica_NCBIv2:G2:25015088:25022084:1 gene:PRUPE_2G222600 transcript:ONI24080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPGDLEKAEARTDYPNSNQTTENSDTRDGDVKNSKNAARLTKIFITSCVLGVLLDPLFLYIPLLNYDLKCLRLDNTIKIIALVSRLFTDIFYVGRIILQVCRFENCSPFVNRILPESCSSNVITSLIKCFRELLPEVTEVHKKEYLIPSITKEIWESSIIVDILAILPFPQVAILIFFPKMRVSGSFGKRIMNFLVMVQYVPRVLRIYLSCKKARKPFKGQIPLWLKGLLNLFLYILASHVLGAFWYFFAAQQMISCWEHACRYGNGCGAITFNCHDHQTLKNITVLNDFCPINPRDTTPPFNFGIYLNVLQSGALWSTDYPLKFLNSFCWGLRNLSSLASNLQPSFYTWEITFVAFISIIGLILFVYLIGNLQTYVLIDTERLESHRRENKLKRKLTENDRKVESWLSGHGIPLSRKQKIMEEIKRELEENSDFDVVREILSILPLEEIKSCSPLSRLRKVPLLKDMDEGVLVEISEKLHPEKYTPGKIIINKDETLQMMLFIVDGRVIIEKTDGSQLEHLGPGDFYGEELLVSPLWTSSSDAKPINQSVQAIDDVQALVLFVTDMATLSFSSRRYINELRMVVTILQKVPKLQTMDKQVLKAMSHHLSPVSYKRDDYIVRENQPVSRMFFVTRGEVTKNENPLEANFIGEELLEWVLDKSFPTILPLSTCTVRVVSNDAEVLILKARMLKNVVSKFMKHFSNFSSLSDIRLTWLKKVEIFQQMDEQVLEAICKCLKHMNFNVAKRHILQEKKPLKMMFFVIRGVVLIESDSALEVNVKNTCEIGSFYGEELVHWVTTWVHKSFPAKLPLSPGSALCSVRGGPVEILALKADDLKSVVYELRSKFITETTLPTDSDQPRELTILKNVEILKTMNEEVLKEVCKHLTEKTYKDEYIIMKDKQMEMMFFIVSGVVSVTNESSKHYLREGECPNHSGDELIQRWVRSKSTSVSAELPTSPSSFWAIGEVEVLILKAEDLASVQLGDRIGS >ONI24081 pep chromosome:Prunus_persica_NCBIv2:G2:25015335:25021583:1 gene:PRUPE_2G222600 transcript:ONI24081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPGDLEKAEASRTDYPNSNQTTENSDTRDGDVKNSKNAARLTKIFITSCVLGVLLDPLFLYIPLLNYDLKCLRLDNTIKIIALVSRLFTDIFYVGRIILQVCRFENCSPFVNRILPESCSSNVITSLIKCFRELLPEVTEVHKKEYLIPSITKEIWESSIIVDILAILPFPQVAILIFFPKMRVSGSFGKRIMNFLVMVQYVPRVLRIYLSCKKARKPFKGQIPLWLKGLLNLFLYILASHVLGAFWYFFAAQQMISCWEHACRYGNGCGAITFNCHDHQTLKNITVLNDFCPINPRDTTPPFNFGIYLNVLQSGALWSTDYPLKFLNSFCWGLRNLSSLASNLQPSFYTWEITFVAFISIIGLILFVYLIGNLQTYVLIDTERLESHRRENKLKRKLTENDRKVESWLSGHGIPLSRKQKIMEEIKRELEENSDFDVVREILSILPLEEIKSCSPLSRLRKVPLLKDMDEGVLVEISEKLHPEKYTPGKIIINKDETLQMMLFIVDGRVIIEKTDGSQLEHLGPGDFYGEELLVSPLWTSSSDAKPINQSVQAIDDVQALVLFVTDMATLSFSSRRYINELRMVVTILQKVPKLQTMDKQVLKAMSHHLSPVSYKRDDYIVRENQPVSRMFFVTRGEVTKNENPLEANFIGEELLEWVLDKSFPTILPLSTCTVRVVSNDAEVLILKARMLKNVVSKFMKHFSNFSSLSDIRLTWLKKVEIFQQMDEQVLEAICKCLKHMNFNVAKRHILQEKKPLKMMFFVIRGVVLIESDSALEVNVKNTCEIGSFYGEELVHWVTTWVHKSFPAKLPLSPGSALCSVRGGPVEILALKADDLKSVVYELRSKFITETTLPTDSDQPRELTILKNVEILKTMNEEVLKEVCKHLTEKTYKDEYIIMKDKQMEMMFFIVSGVVSVTNESSKHYLREGECPNHSGDELIQRWVRSKSTSVSAELPTSPSSFWAIGEVEVLILKAEDLASVQLGDRIG >ONI20247 pep chromosome:Prunus_persica_NCBIv2:G2:462313:468272:-1 gene:PRUPE_2G004600 transcript:ONI20247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYEPYDSSGTDDDLPPSHQNRIPRGGRVAGNGRSAVGSVPYPRMYGDTDMEAQIHQLEQEAYSSVLRAFKAQADLITWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQAGGAQLGMHNTVQAAHDPIPSPTVSASRKKQKMTQSVHSQSFVGPSPPFHPQATASHQPSSSTLKRGSVPAPAPAPAPAPGAKGKKHKPGQILPGASSMKQFPSTGPTGRGQVSNRVSSGAIVNEPAEGTTYDPLIGRKVRTRWPDDNNFYEAVITDYNQAEGRHALVYDINSANETWEWVNLSEISPEDIQWVGEDPGISRRGGYGGSGHGINRSVGRDNVPVPGRGRGIPKGQSRKDFPPSQNGIGKKAPDDIQLLHTDTLIKEVERVFGANHPDPVEIEKAKKVLKDHEQALIDAIAKLADISDGESADGAQQFLHRQPMDRE >ONI20248 pep chromosome:Prunus_persica_NCBIv2:G2:462993:467824:-1 gene:PRUPE_2G004600 transcript:ONI20248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYEPYDSSGTDDDLPPSHQNRIPRGGRVAGNGRSAVGSVPYPRMYGDTDMEAQIHQLEQEAYSSVLRAFKAQADLITWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQAGGAQLGMHNTVQAAHDPIPSPTVSASRKKQKMTQSVHSQSFVGPSPPFHPQATASHQPSSSTLKRGSVPAPAPAPAPAPGAKGKKHKPGQILPGASSMKQFPSTGPTGRGQVSNRVSSGAIVNEPAEGTTYDPLIGRKVRTRWPDDNNFYEAVITDYNQAEGRHALVYDINSANETWEWVNLSEISPEDIQWVGEDPGISRRGGYGGSGHGINRSVGRDNVPVPGRGRGIPKGQSRKDFPPSQNGIGKKAPDDIQLLHTDTLIKEVERVFGANHPDPVEIEKAKKVLKDHEQALIDAIAKLADISDGESGPLPLNS >ONI25133 pep chromosome:Prunus_persica_NCBIv2:G2:28105099:28107897:-1 gene:PRUPE_2G283100 transcript:ONI25133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIVPLQGVVQGRGGLILGSLIPCALFYFLQLYLKRHRPSKPPSSPPSPSHSSSNIAELQRTSSRSNLSTRGSMGRVRVSSRASWIAKPNDSPYYIGLDRVLEDPYDRVDNPNGVIQLGLSENRLCLDLIEKWITENLTQSIFGEDGGDFSISGIAAYQPFDGMTELKVAMASFMSQVMRKSVSFDPSQIVLTSGATPAVEILSFCLADHGNAFLVPTPYYPGFHRDIRWRAGVELIPVHCRSTDNFALNITVLEQAYNQARKRGVKVQGVLISNPSNPVGNLLSRETLCSLLDFAQEKNIHIISDEIFAGSMYGSEEFVSMAEIIDTEDFDKNRVHIIYGLSKDLSIPGFRIGVLYSYNESVLTAAKRLTRFSPISSLTQRLIISMLSDARFIQEYLEINKRRIQSISELFVAGLQQLGIKCAKSSAGLYCWADMSGLIRSYGEKGELELWDKLLNIAKINVTPGSACHCIEPGWFRCCFTTLTPEDVPVVIDRIRKVTETIKSSS >ONI24340 pep chromosome:Prunus_persica_NCBIv2:G2:25726966:25730325:1 gene:PRUPE_2G234700 transcript:ONI24340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTVVSRSGREVVKGGLELSDSATVTDLQEAIHKRTKKFYPARQRLTLPVQPGSKERPVVLNYKKSLKDYISENSDNLTVVFKDLGPQVSYKTLFFFEYLGPLVLYPIFYYFNVYQYLGFKGDRVIRPVQTYALYYWCFHYFKRIMETFFVHRFSHATSPLLNVFRNCAYYWSFGSYIAYYVNHPLYTPVSDLQMKIGFAVGIIFQISNFYCHILLRNLRRPDGNGGYQIPRGFLFNFVTCANYTTEIYQWLGFNIATQTVAGYVFLVVAASIMTNWALAKHRRLKKIFDGKDGRPKYPRRWVILPPFL >ONI24684 pep chromosome:Prunus_persica_NCBIv2:G2:26771217:26772962:1 gene:PRUPE_2G255000 transcript:ONI24684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVLLLLLLFFTNPASPSSFPDRFTKSLSANLLKHKSQEYLELGHPLPFDQLTPSCSHRVLRHSFANTMNSPPFSTGYSPPSDCPSPWSHIGLEFRAKCKGEQYDRIVGLWLGGAELLRTSTAEPTEKGIFWKVRKDITRYSSLLARCRLNLTMMLENVVDHVYTGVYHVEVHFLYYNRNVAVTLNPVMRIASIIPYQNLGTVAYEPADLILPISDNGDKGCWFRIESESDFHSKEIRIPRNTRRLVLELYVSFHGNDEFWYSNPPNLYITTNNLATGRGNGAYREVFVTVDGEMVGSEVPFPVVFTGGINPLFWEPVVAIGAFDLPSYDLELTPFLEKLLDGKAHSFGIGVADGISYWLVDANLHIWLDHQSKKVKAKSSVLPLPPAIEVNRGTQFKQLDGVFKIRAERSSEFVGWVKSSSGNYTTTFSQRYNFKSSIRFAKNGTYKLVKQKVKAKREVKVENEKGAVVLRTRVRRRYPINVITATLPGSHKDTYVLVTNMSHSMKEKSLHGDYSSQVYNSQDSRGWMEVKDHSVLSGTANTEQSFRYSGEFGCYSRTVGTSDGNLIRDNSTFRCLSVS >ONI22665 pep chromosome:Prunus_persica_NCBIv2:G2:20061011:20064535:-1 gene:PRUPE_2G143200 transcript:ONI22665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPESEPSATSALSDNNFKVFEVDQWKGGEKRLLNACTRDGSVDWYGKPALKGSTGGWRCGMLLLVNQGLVSLAFAGVEVNMVLFAKSVLRQTNAEAANTFSRWMGTFYFCSLIGALLSDSYLGRYLTCVTFQIVHTIGLIALSLSTNLFLLRPPGCGEIGQLCEPHRPMEVAMFYISIYLIALGNGAPEPALAAFGADQFDEEDSEEKQAKTSFYSYFYVALNLGCLVAETVLVYMESMGHWVPAFWICTGSSVVGSVLFLGGSCRYRHFKASGNPISRFSQVIVASTRKINHELPSNGEGLYETIGRGSETNGSRRILHTKGFKFLDRAAFITCDDISLMSNQGQTPNPWHLCTVTQVEEGAAMDRRVSNFQIPPASMTAFDIVSTSLFIILYDKLIVPLYTKVTKREPKPPSALQRIGIGLTIGIVGLVIAGFVERKRLKYASNSGEETSSLSIFWQTPQYVLVGVSEAFVYVSQMEFYSSQTPDGLKSLGIALWMTSSAMGSYVASMILTVVMEITTKNGQPGWVPPNLNDGHLDRFFFLSAGLTALNLALYIVCAKRYKSISLEKR >ONI22666 pep chromosome:Prunus_persica_NCBIv2:G2:20061229:20064258:-1 gene:PRUPE_2G143200 transcript:ONI22666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPESEPSATSALSDNNFKVFEVDQWKGGEKRLLNACTRDGSVDWYGKPALKGSTGGWRCGMLLLVNQGLVSLAFAGVEVNMVLFAKSVLRQTNAEAANTFSRWMGTFYFCSLIGALLSDSYLGRYLTCVTFQIVHTIGLIALSLSTNLFLLRPPGCGEIGQLCEPHRPMEVAMFYISIYLIALGNGAPEPALAAFGADQFDEEDSEEKQAKTSFYSYFYVALNLGCLVAETVLVYMESMGHWVPAFWICTGSSVVGSVLFLGGSCRYRHFKASGNPISRFSQVIVASTRKINHELPSNGEGLYETIGRGSETNGSRRILHTKGFKFLDRAAFITCDDISLMSNQGQTPNPWHLCTVTQVEEVKCILRLLPVWLCTVLSSMVFIQVLSLFVEQGAAMDRRVSNFQIPPASMTAFDIVSTSLFIILYDKLIVPLYTKVTKREPKPPSALQRIGIGLTIGIVGLVIAGFVERKRLKYASNSGEETSSLSIFWQTPQYVLVGVSEAFVYVSQMEFYSSQTPDGLKSLGIALWMTSSAMGSYVASMILTVVMEITTKNGQPGWVPPNLNDGHLDRFFFLSAGLTALNLALYIVCAKRYKSISLEKR >ONI22450 pep chromosome:Prunus_persica_NCBIv2:G2:18731679:18735544:-1 gene:PRUPE_2G130000 transcript:ONI22450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGDLAVTSKEEQTAAKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNTVYVCGTDEYGTATETKAMEEKCSPQQICDKYHAIHREVYKWFNISFDKFGRTSAPQQTEVRQAIFKKLLENEWLSENTMQQLYCDTCKRFLADRLVEGTCPSQGCDYASARGDQCENCGKLLNPTELKDPKCKVCKTTPQIHDTNHLFLELPLLKDKLEEYINKTSVVGSWSQNAIQATNAWLKEGLKQRCITRDLKWGVPVPHDNFKDKVFYVWFDAPIGYVSITKCYTDEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVSKPSGQGYGSIIPDAPRAESDLLTEKLAEKVGKYVEQYIEAMEKVKLKQGLKTAMSISSEGNAYLQESQFWKLYKEDQPRCAFVIRTSVGLVHLLACLLEPFMPSFSLEVFKQLNLPPEKHISLCDDKGDIDRARRPWEIVPVGHKIGKPEPLFKELKDEEVESLRKKFAGSQADRKEREEAEAVKVAAQLKKMKVSDNSGKKKQQATKSAAEAEISISRLDIRVGLITKAQKHPDADSLYIQEIDVGEGQARTVVSGLVKYIPIEDMQNRKVCVLCNLKPATMRGIKC >ONI23660 pep chromosome:Prunus_persica_NCBIv2:G2:23762166:23772469:-1 gene:PRUPE_2G200900 transcript:ONI23660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEARVSGNTGTGNGSEAIRDSSYWLDACEDISCDVIGDLVDFCDAPVGGPPVLANGNGCSQEEDGLVSDFFGGIDHILDSIKSGAGLPGVIDPNSNANANGVIGNAAVEGCFQMEASGVLKTVEVNGSVGLNGETGGRNLDIANGDTKGDRNGYHKYEKGRGNGVVRRREMNGEERCPKRVALDDGRNEKYYASGRMQHHMRENSYSRKRPRDSEDIDWRDRDRDRDRDRTRRRENYGSNNRREGGRDREAKGYWERDKLGTNDIVFRLGPYEPDHNKEGKITDVKNQECNGKAEKKPEEVKEKIPEERARQYQLDVLEQAKKRNTIAFLETGAGKTLIAVLLIQSICNDMQRQNKKMLSVFLVPKVPLVYQQAEAIRERTGYEVGHYCGEMGQDFWDTRRWQREFDTKQVLVMTAQILLNILRHSIIKMESINLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSIVCTIKDRKELEKHVPTPSEIVVQYDKAASLWSLHEQLKQMEGEVEEAAKSSSRKSKWQFMGARDAGAKEELRQVYGVSERTESDGAVNLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQLDVKFQESYLSKVVSLLQCHLSEGAVSDKEAKVADSGSAVSCDENDPDEMEEGELPDSHVVSGGEHVDVVIGAAVADGKVTPKVQSLIKVLLKYQHTEDFRAIIFVERVVSALVLPKVFAELPSLGFIECASLIGHNNSQEMRSCQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHEAFLRNARNSEETLRKEAIERTDLSHLKDTSRLISVDTTPGTVYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFVMVRHEKPGGPTEYSCKLQLPCNAPFETLEGPVCSSMHLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGEEKEQVDQTDEGDPLPGTARHREFYPEGVANILQGEWILSRRDLGSDSKLVHVYMYGVKCVDVGSSKDPFLTQVSDFAVLVGKELDAEVLSMYMDLFIARTMTTKVSLVFKGSIDITESQLASLKSFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPVVGDKFGDPMKEIDWDLVENINGADAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRNGMAFGQKSHPTYGIRGAVARFDVVKASGLVPDRDALEMRKHMDLPKGKLIMADTCSSVKDLVGRIVTAAHSGKRFYVDSICYDMTAENSFPRKEGYLGPLEYSSYADYYKQKYGVELVYKQQPLIRGRGVSYCKNLLSPRFEHMEEHDGESEETLDKTYYVFLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVELRDIINYPIPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALKKGLQSYIQADRFSPSRWAAPGVLPVFDEYTKDEESSLFDHEDGPVGEINRSGDAYEDDELEDGELESDSSSYRVLSSKTLADVVEALIGVYYVEGGKNAANHLMKWIGIEVEFNPDGVESTPKSSTVPENVLRSVNFDALEGALNSKFKDRGLLVEAISHASRPSAGVSCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHKLHLHLRHGSSALEKQIHDFVKEVQNELSKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGRDTAVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKATRSGNLATVEVFIDGIQMGIAQNPQKKMAQKLAARNALAALKDKETAEAKEKEEENGKKKKNGSQTFTRQTLNDICLRKNWPMPFYRCVNEGGPAHAKRFTFAVRVNTTDRGQTDECVGEPMPSVKKAKDSAAVLLLELLNKLYS >ONI21679 pep chromosome:Prunus_persica_NCBIv2:G2:12357031:12357676:1 gene:PRUPE_2G080600 transcript:ONI21679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQHQLMMSRSTSADSGLVPMALSLGNGDFDRSNNICRRYSGMFAPFCFNFARKSSTASHF >ONI25752 pep chromosome:Prunus_persica_NCBIv2:G2:29759899:29764510:-1 gene:PRUPE_2G318100 transcript:ONI25752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAPNFDNTAVSSDSNAQNDLANPKPRVDSSVVLSAEPNLQSNGDDQNPQDKFQNQEKVTTLSVPTSNHKPQMGQMQNGFDTNGVDNHQMVAVKSGGYGIDQRSNGVRNGGDGDESFKRDMRDLEELLSKLNPMAKEFVPPSLVNNHGFSLAGGFGYANNFLVQINSDNANGLIGRRKKNGYSSQGRRKNYYKMSLAQREEMIRRTVYVSDIDQQVTEENLAALFLSCGQVVDCRVCGDPNSILRFAFVEFTDEEGARVALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCSRTIYCTNIDKKVTQADVKLFFESLCGEVQRLRLLGDYHHSTRIAFVEFTVAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRAPRSPLQ >ONI25751 pep chromosome:Prunus_persica_NCBIv2:G2:29759899:29764487:-1 gene:PRUPE_2G318100 transcript:ONI25751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAPNFDNTAVSSDSNAQNDLANPKPRVDSSVVLSAEPNLQSNGDDQNPQDKFQNQEKVTTLSVPTSNHKPQMGQMQNGFDTNGVDNHQMVAVKSGGYGIDQRSNGVRNGGDGDESFKRDMRDLEELLSKLNPMAKEFVPPSLVNNHGFSLAGGFGYANNFLVQINSDNANGLIGRRKKNGYSSQGRRKNYYKMSLAQREEMIRRTVYVSDIDQQVTEENLAALFLSCGQVVDCRVCGDPNSILRFAFVEFTDEEGARVALSLSGTMLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCSRTIYCTNIDKKVTQADVKLFFESLCGEVQRLRLLGDYHHSTRIAFVEFTVAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRAPRSPLQ >ONI25750 pep chromosome:Prunus_persica_NCBIv2:G2:29760605:29763911:-1 gene:PRUPE_2G318100 transcript:ONI25750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAPNFDNTAVSSDSNAQNDLANPKPRVDSSVVLSAEPNLQSNGDDQNPQDKFQNQEKVTTLSVPTSNHKPQMGQMQNGFDTNGVDNHQMVAVKSGGYGIDQRSNGVRNGGDGDESFKRDMRDLEELLSKLNPMAKEFVPPSLVNNHGFSLAGGFGYANNFLVQINSDNANGLIGRRKNGYSSQGRRKNYYKMSLAQREEMIRRTVYVSDIDQQVTEENLAALFLSCGQVVDCRVCGDPNSILRFAFVEFTDEEGARVALSLSGTMLGYYPVRSDDEREMCSRTIYCTNIDKKVTQADVKLFFESLCGEVQRLRLLGDYHHSTRIAFVEFTVAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRAPRSPLQ >ONI21528 pep chromosome:Prunus_persica_NCBIv2:G2:10876426:10886757:-1 gene:PRUPE_2G071900 transcript:ONI21528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLTTGMGGDSLSLKAAMMRESLQKSQTITDSVVSILGSFDHRLSALETAMRPTQIRTHSIRKAHENIDKTLKAAEVILAQFDLSRQAESKILRGPREDLESYLEAIDQLRSNIRFFSSNKGFKSSDGVVSQANSLLAKAISKLEDEFKQLLLSYSKPVEPERLFDCLPNSLRPSSGSPGDYSGKNPSNNHAEHHNSSLENAVYTPPTLIPPRVIPLLHDLAQQMFQAGHQQQLLIIYRDTRSSVLEESLHKLGVEKLSKDDVQKMQWEILEAKIGNWIHYMRIAVKLLFAGERKVCDQMFEAFDSLGDQCFAEVTRSSVSVLLSFGEAIANSKRSPEKLFVLLDMYEIMRELHSEIEMIFIGKACAEIRESASSLTKRLAQTAKKTFGDFEEAVERDATKTAVSDGTVHPLTSYVINYVKFLFDYQSTLKQLFKEFENGDEGGSQLASVTMQIMQALQTNLDGKSKQYRDPSLTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRNAWGKILQCLSIQGLTSSGGGSSVAGDGGSSSGVSRAIVKDRFKTFNMQFEDLHQKQSQWTVPDTELRESLRLAVAEVLLPAYRSFIKRYGPLVESGKNPQKYIRYTAEDLERMLGEFFEGKNVNEPKR >ONI22028 pep chromosome:Prunus_persica_NCBIv2:G2:15840192:15840781:1 gene:PRUPE_2G102500 transcript:ONI22028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKDTNKIKLVQSAWCEVCKVNCNSNDTYIKHLNDNSALTSNVPSAATNAIIGPMENPGAKGYQPEKDLETKKRKIILGGAAASAVRSTVFSSHLAGQKHAAMVKKQAEVGVAIRASQQITVS >ONI20567 pep chromosome:Prunus_persica_NCBIv2:G2:2219719:2223944:-1 gene:PRUPE_2G022900 transcript:ONI20567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAYLVALLQVLVDKLAHREVFKYFGLIKGVDQKLQKWTATLSAIGAILNDAEERQLITESKPLKLWLDDLRYLAYDVEDVLDKYATKMLKREIELGHYAGTARRVWNSVPNGVFNYKMNSEIQKITERLQEISHRKDQLSLNIITGMTSSTKARQNLPPSSSQPDGPVIGRDEDKRQVVEFLSKQERGAVNFDVVAIVGMAGVGKTTLAAQVFNEIDATQQFEPAAWVCVSDDFNLERVTKKIFESVTSRHCPTEDFNQVQQYLHKELAGKKFFIVLDDVWGTCSYGLWMKLQSPFRDGAAGSKIIVTTRDAEVSKMMGAGTLVHNLEPMSNDVCFEVFEQHAFRNVNRDIPPNFESLKEKIVARCSGLPLAARTLGGLLLRKEMNEWEEILNNKLWSLSNECDILPVLRLSYHYLPSHLKRCFAYCSILPNDYQFSEQQLILLWMAEGLIQPQPEHNKQMEDLGTDYFQELLSRSLFQKSSKNNSKYVMHDLIVGLAQWAAGDICFRWEDKQNSDHVQLGCFPKARHASYICGDYDYDVVKRFEAFSEVKHLRTFLPLSHGYPCHCLSRTDPFVLLPKLQYLRVLSLNGDNVTTLYLINIGKLKYLRYLDLSHTQITSLPKSTTSLYNLQTLLLEGCHYLKALPRNLGNLVNLRHLNNSDVGSLKAMPPQLGRLTNLRALSNFVVGKGSDQSGIREIGSLFHLRGTLRLSRLENVIDAEDARRANLKCKDRLDELVLEWSTRLFSTMVDVRLNNCEKCKILPPLGQLASLKMLYIRGMTAVENVGPEFYGESSLPFPVLEDLKFSDMHNWKKWLPFAQDQVFPCLKLLSITNCPQLEGKLPENLDSLATLEIIKCEELVISISNYKQIGALKIDSCKAVVKTSGVEFELLNSLELSNISELRFQTGEFTKGLRKLGKEAEELLQLQILTCKLKYLELNKCASLSKVPEGLHHLTALQDLEIVGCSSLVSFPDVGLPPSLEVISIRMCDLLLYFAKYQIPPNLRRIEIRRCKSLKSLVEKEEDSSSSSSSSHISLEHLEIGGCESLTSLSLRAQLFPRALKCLDISDCGELQLITSDGFSHDNTNYSASSLRQINIENCNKLDMLPDMLNLNCLQQFSIDYGEGLDFTSFPPNLTSLEISGIKNCKPLWELLHRLTSLTYLGVDGEDPYVVSFPPEGDTDMDMEMLLPESLTHLSIGGFPNLKKLSSKAFQFLTSLQYLRLQNCQKLASIPEEGPISLRELHIIRCPLLKDKCPNYIIGFEIEGRYLPNLSHIPRIHII >ONI23923 pep chromosome:Prunus_persica_NCBIv2:G2:24687594:24691288:-1 gene:PRUPE_2G216400 transcript:ONI23923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSPRFLFSKGVVSQPANTPPVTSFLETHPGAYTTSRTHKDASFVLFWERQLKRLVDSVAILYNSNPQLLFGPNKTTSLSLPSLSSNSPLLQSEVRELVNRSMKRVLPIALNERSEGEELSITALVSGNLEKLSENESEGLDHERFGNGVFDVSIYIGTYVPTVFGIEGNGAHLAVVGRGRDDASAKYSDWVRIRKSLERLRPPNGTELLLSNDGDRILEGTVSNFFVVCRKDNDEAKGQSVHCFEVQTAPIIDHVLPGIIRQLVIEVCLSKGIPFREVAPSWSESEFWAEAFITSSLRLLQHAERISFPSSWESLNSKSWEEISWKDKHFEEGPGMVTTIIQKEVMEKAASEGRSSPCNWTGIQCNEAGSIVEINLVDSGLDGTLNRFDFSAFPNLSSLNVNYNSLIINAFFFIFL >ONI23922 pep chromosome:Prunus_persica_NCBIv2:G2:24684078:24691240:-1 gene:PRUPE_2G216400 transcript:ONI23922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSPRFLFSKGVVSQPANTPPVTSFLETHPGAYTTSRTHKDASFVLFWERQLKRLVDSVAILYNSNPQLLFGPNKTTSLSLPSLSSNSPLLQSEVRELVNRSMKRVLPIALNERSEGEELSITALVSGNLEKLSENESEGLDHERFGNGVFDVSIYIGTYVPTVFGIEGNGAHLAVVGRGRDDASAKYSDWVRIRKSLERLRPPNGTELLLSNDGDRILEGTVSNFFVVCRKDNDEAKGQSVHCFEVQTAPIIDHVLPGIIRQLVIEVCLSKGIPFREVAPSWSESEFWAEAFITSSLRLLQHAERISFPSSWESLNSKSWEEISWKDKHFEEGPGMVTTIIQKEVMEKAASEGRSSPCNWTGIQCNEAGSIVEINLVDSGLDGTLNRWSKTLNLCGRREMRCLFYQKINNL >ONI23926 pep chromosome:Prunus_persica_NCBIv2:G2:24688047:24691310:-1 gene:PRUPE_2G216400 transcript:ONI23926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTVLAVNLFDHFHYYKPRTSTIKTQWRPSATKSMATLSPRFLFSKGVVSQPANTPPVTSFLETHPGAYTTSRTHKDASFVLFWERQLKRLVDSVAILYNSNPQLLFGPNKTTSLSLPSLSSNSPLLQSEVRELVNRSMKRVLPIALNERSEGEELSITALVSGNLEKLSENESEGLDHERFGNGVFDVSIYIGTYVPTVFGIEGNGAHLAVVGRGRDDASAKYSDWVRIRKSLERLRPPNGTELLLSNDGDRILEGTVSNFFVVCRKDNDEAKGQSVHCFEVQTAPIIDHVLPGIIRQLVIEVCLSKGIPFREVAPSWSESEFWAEAFITSSLRLLQHAERISFPSSWESLNSKSWEEISWKDKHFEEGPGMVTTIIQKEVMEKAASEGYFLS >ONI23925 pep chromosome:Prunus_persica_NCBIv2:G2:24688926:24691270:-1 gene:PRUPE_2G216400 transcript:ONI23925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTVLAVNLFDHFHYYKPRTSTIKTQWRPSATKSMATLSPRFLFSKGVVSQPANTPPVTSFLETHPGAYTTSRTHKDASFVLFWERQLKRLVDSVAILYNSNPQLLFGPNKTTSLSLPSLSSNSPLLQSEVRELVNRSMKRVLPIALNERSEGEELSITALVSGNLEKLSENESEGLDHERFGNGVFDVSIYIGTYVPTVFGIEGNGAHLAVVGRGRDDASAKYSDWVRIRKSLERLRPPNGTELLLSNDGDRILEGTVSNFFVVCRKDNDEAKGQSVHCFEVQTAPIIDHVLPGIIRQLVIEVCLSKGIPFREVAPSWSESEFWAEAFITSSLRLLQHAERISFPSSWESLNSKSWEEISWKDKHFEEGPGMVTTIIQKEVMEKAASEGYFLS >ONI23924 pep chromosome:Prunus_persica_NCBIv2:G2:24687828:24691284:-1 gene:PRUPE_2G216400 transcript:ONI23924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTVLAVNLFDHFHYYKPRTSTIKTQWRPSATKSMATLSPRFLFSKGVVSQPANTPPVTSFLETHPGAYTTSRTHKDASFVLFWERQLKRLVDSVAILYNSNPQLLFGPNKTTSLSLPSLSSNSPLLQSEVRELVNRSMKRVLPIALNERSEGEELSITALVSGNLEKLSENESEGLDHERFGNGVFDVSIYIGTYVPTVFGIEGNGAHLAVVGRGRDDASAKYSDWVRIRKSLERLRPPNGTELLLSNDGDRILEGTVSNFFVVCRKDNDEAKGQSVHCFEVQTAPIIDHVLPGIIRQLVIEVCLSKGIPFREVAPSWSESEFWAEAFITSSLRLLQHAERISFPSSWESLNSKSWEEISWKDKHFEEGPGMVTTIIQKEVMEKAASEGYFLS >ONI25678 pep chromosome:Prunus_persica_NCBIv2:G2:29572781:29573877:1 gene:PRUPE_2G314400 transcript:ONI25678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSQSSCLSYEKLNGVASWLGTHVASAFFASLERCSCINLSTSDDHEMNPEEAHDRPLMLFASRSVNLSDHRPHYPSSSSSSAPNDVVNLPV >ONI23026 pep chromosome:Prunus_persica_NCBIv2:G2:21462503:21465996:1 gene:PRUPE_2G165100 transcript:ONI23026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWRPLKKITAATIIFLLLIFFVAALVPAGWIDAFIFSGAYSKKSITTTRNTTTPKRPEFPLQCTEGINVTQACPRTYPITHDPTNPSRPSNLTCPSYFRWIHEDLRPWKETGITRDMIEKGLRAADFRLLIVDGKAYIEKYRQSFQTRDMFTLWGILQLLRLYPGRLPDLELMFNCGDLPVIPSKDFRGPNAGPPPLFHYCADQWSLDIVFPDWSFWGWAEINIKPWRSLLQSIKEGNKRTKWEDRVPYAYWKGNPNVARTRKDLLKCNVSDKNGWNTHLYIQNWVQESKQGFKDSNLENQCKHRYKIYIEGRAWSVSEKYIMACDSMTLYVRPRYHDFFIRGMEPLQHFWPIRDNSKCTSLKFAVEWGNNHKDKAKAIGEAASNFIQEDLKMDYVYDYMFHVLNEYAKLLKFKPTMPPNAVELCSETMACPATGKWKKFMVESMVESPSDELPCTLPPPYDPLALRDFLERKANSTRQVEAWENEYWQSIDKKQ >ONI22305 pep chromosome:Prunus_persica_NCBIv2:G2:17786994:17790424:1 gene:PRUPE_2G120400 transcript:ONI22305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLFIESFWQGEDTRHLLDHLVLVAVDQTAYDRCQFLRLNCYRLETDSVDFGGEKLYMSQDFIKMMWRRTWFLLEVLKRGYSFIFTDTDVLWLRNPFSRLSQNETEDLQISTDMFFGDPWNETLINTGFYHIRSNNKTIALFDRWYNMKDNATGQKEQDVLLDLIRGGIIGQLGLKVRFLDTLYFSGFCQDSKDFGAVTTVHANCCRSIVAKVKDLKAVLQDWKQFKKTTAQKTTAGLATDGFQWSGHWGCWNSWKVPNDTGKANHKA >ONI22304 pep chromosome:Prunus_persica_NCBIv2:G2:17786619:17790424:1 gene:PRUPE_2G120400 transcript:ONI22304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQPKHSSIGSNLACLSLLLACAVYLCIWSSSSSLINPLFSFQKHDAQCPSKNPTTTTFNVAPDELLATLDKASIGNKTVIIAVINKAYAVQEVKADTTMLDLFIESFWQGEDTRHLLDHLVLVAVDQTAYDRCQFLRLNCYRLETDSVDFGGEKLYMSQDFIKMMWRRTWFLLEVLKRGYSFIFTDTDVLWLRNPFSRLSQNETEDLQISTDMFFGDPWNETLINTGFYHIRSNNKTIALFDRWYNMKDNATGQKEQDVLLDLIRGGIIGQLGLKVRFLDTLYFSGFCQDSKDFGAVTTVHANCCRSIVAKVKDLKAVLQDWKQFKKTTAQKTTAGLATDGFQWSGHWGCWNSWKVPNDTGKANHKA >ONI23465 pep chromosome:Prunus_persica_NCBIv2:G2:22952940:22953685:1 gene:PRUPE_2G190200 transcript:ONI23465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTPYTEDDLSTTSTSTRTTTQKSDSQHASTRHPVYRGVRKRRWGKWVSEIREPRKKSRIWLGSFPVPEMAAKAYDVAAYCLKGQKAQLNFPEDVEHLPRPSTCTARDIQAAAAQAAHTMKSNSTTPKENDHHHHHHDHDHDGLDGDDDFWGEIELPELMNSGCHWNSSCGWNTTAFSGDAAAWPEGEAPQQFMGMVLVSD >ONI21016 pep chromosome:Prunus_persica_NCBIv2:G2:5088844:5090927:-1 gene:PRUPE_2G045400 transcript:ONI21016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYHYFLKFSMATHLVLYWLIDRNICDEYSCLWQSISVAFFVLFLIFCSKSFRTLPDSYMIASEVVFPSATLCFSDDRQINPITISIMK >ONI22634 pep chromosome:Prunus_persica_NCBIv2:G2:19758198:19763991:1 gene:PRUPE_2G141300 transcript:ONI22634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDVAEPASLSCASCGKPAQLQCPKCVQLKLPRETAAFCTQDCFKASWSSHKSVHLKAKPSEPGTGTPDNEGWLYCLKKGQARTPKLPYFDWTGTLRPYPISSKRMVPAHIDLPDWAADGTPKVEPNSDLQHVVEIKKPEQIERMRETCLIARKVLDKAASVIRPGITTDEIDRVVHEATIAEGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEESQRLVQSTYECLEKAISIVKPGMRFREVGEVINRHATMSGFSVVKSYCGHGIGELFHCAPNIPHYARNKAVGVMKAGQTFTIEPMINAGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPKVFPWLNA >ONI20988 pep chromosome:Prunus_persica_NCBIv2:G2:4938188:4941270:-1 gene:PRUPE_2G044200 transcript:ONI20988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAQAVIAANTFTCTFPTQRSFFFSKRVQKVNHLTVRASTEETDCNVEECAPDKEVGKVSVEWLAGEKTKVSGTFPPRKRGWTGYVEKDTAGQTNIYSVEPAVYVAESVISSGSAGSSSNGAENTAAIAAGLALISVAAASSILLQVGKNPPVVQKVEYSGPSLSYYINKFKPQEIIQASVPSVVESSQLESYAPEPSQIQVESEVQLEPSANRVGNIS >ONI23903 pep chromosome:Prunus_persica_NCBIv2:G2:24613756:24616200:-1 gene:PRUPE_2G215300 transcript:ONI23903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFSNTVIGFLNLFTLLASIPIIGGGLWMARSSTTCETFLQTPLLVVGFVVLIISLAGFIGACFNVAWALWVYLVVMLLLIATLMGLTVFGFVVTSQGGGVDVPSRVYKEYHLEDYSPWLKNRIKDPNYWTKIRSCILGSKTCAKLVAWTPLDYLERDMSPIQSGCCKPPTSCNYNMATTVSQDPDCYRWNNAPNLLCYECDSCKAGVLEDIKRDWHKLSVLNIVMLMVLIGIYSIGCCAFRNTQRAETDHPYGQNRMSKVRPRWDYYWWRWWHHRKEQLY >ONI20602 pep chromosome:Prunus_persica_NCBIv2:G2:2438014:2440968:1 gene:PRUPE_2G024500 transcript:ONI20602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMEKGKVEKPNAKERSKHEEEELPLMALNHVSRLCRNVEESVDFYTKVLGFVKIERPPAFDFDGAWLFNYGIGIHLVQSEDEERLPADTDRLDPVDNHISFQCEDMEAIEQKLKDLNIKYIKRSVEDDENKTTIDQLFFNDPDGFMIEMCNCENIKLVPAGPLGNIKLPVDRHTPPVDLNQNGKDANK >ONI23303 pep chromosome:Prunus_persica_NCBIv2:G2:22420745:22424333:1 gene:PRUPE_2G181200 transcript:ONI23303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDANGSCRGKNLECVSGCSERNLRRKLDDEDDGTNCSQDACYAGSQSKAQITASKCVEVEVSTGSSSSSSLVDISLGVHEDAPLSTQGRLESRDDVHDVENISTISGSNLREGGCVERVSNSLSSDRTNKGSYTSTKLTQASKMQWPKWTVSHMLSLSSMEKVMNYYRVLNLADNLNDEDLRCFLEEQLSKEKAGLSETTITNRLRTRLHVSETVREPDSCSICMIEYKDQDKIASLYYCSHEYHSDCIKEWLLKNNLCPMCRALAIIPEDYPCWDGDVRLHQAFFVHLKLAA >ONI23301 pep chromosome:Prunus_persica_NCBIv2:G2:22421004:22424307:1 gene:PRUPE_2G181200 transcript:ONI23301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSKSRVILSIGNHHDQPDHFRKDRFMDDANGSCRGKNLECVSGCSERNLRRKLDDEDDGTNCSQDACYAGSQSKAQITASKCVEVEVSTGSSSSSSLVDISLGVHEDAPLSTQGRLESRDDVHDVENISTISGSNLREGGCVERVSNSLSSDRTNKGSYTSTKLTQASKMQWPKWTVSHMLSLSSMEKVMNYYRVLNLADNLNDEDLRCFLEEQLSKEKAGLSETTITNRLRTRLHVSETVREPDSCSICMIEYKDQDKIASLYYCSHEYHSDCIKEWLLKNNLCPMCRALAIIPEDYPCWDGDVRLHQAFFVHLKLAA >ONI23304 pep chromosome:Prunus_persica_NCBIv2:G2:22420745:22424333:1 gene:PRUPE_2G181200 transcript:ONI23304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDANGSCRGKNLECVSGCSERNLRRKLDDEDDGTNCSQDACYAGSNLREGGCVERVSNSLSSDRTNKGSYTSTKLTQASKMQWPKWTVSHMLSLSSMEKVMNYYRVLNLADNLNDEDLRCFLEEQLSKEKAGLSETTITNRLRTRLHVSETVREPDSCSICMIEYKDQDKIASLYYCSHEYHSDCIKEWLLKNNLCPMCRALAIIPEDYPCWDGDVRLHQAFFVHLKLAA >ONI23302 pep chromosome:Prunus_persica_NCBIv2:G2:22421004:22424307:1 gene:PRUPE_2G181200 transcript:ONI23302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSKSRVILSIGNHHDQPDHFRKDRFMDDANGSCRGKNLECVSGCSERNLRRKLDDEDDGTNCSQDACYAGSNLREGGCVERVSNSLSSDRTNKGSYTSTKLTQASKMQWPKWTVSHMLSLSSMEKVMNYYRVLNLADNLNDEDLRCFLEEQLSKEKAGLSETTITNRLRTRLHVSETVREPDSCSICMIEYKDQDKIASLYYCSHEYHSDCIKEWLLKNNLCPMCRALAIIPEDYPCWDGDVRLHQAFFVHLKLAA >ONI21183 pep chromosome:Prunus_persica_NCBIv2:G2:5974702:5979642:1 gene:PRUPE_2G051600 transcript:ONI21183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLLRLLMTGLLSIINVYASQLKLIFRRRSTLRYYSSVNSALSSIILSEDETSTLEDTVAADNGIFLSAKSYPTDFRGINELYCGEDGVCEPVDTGFLFSINERPDEDEMKRLMLILAKRGWNLGCQNGYNIYLNQLNTIELLNDLFEESFDAKLVLYFFKWSECCSGSKHTLQTICRMIHILVSGNLNHRAVDLILRLVRNHGDEESCNSLLEVLDETHSEIRVLETTCSMLVNGYIQEGMVNMALKIACQMKHLNIFPSNGVCNSLLQALLGSKQLELAWDFLEVMRTRGMGLNAAMMSLFINKYCSEGDLESGWKLLLEMKNYGIQPDVVSFTIVINSLCKMSYLNEATALLFKMTQLGISPDPVLLSSIIDGHCKLGQTEVALSILKIFNTPLNIFIYNSFISKLCTDGNMAEASSLFHEMSMLGLLPDCFCYSTIIDGYCKVRDIDRAFQYFGKMLKNGITPCVTTYTSLIDAYLKSGNMEMAEYSFHKMISEGLAPDIVTFNTLMDGFGRKGHLQKVFGLLDMMNSSNVSPDIVTYNTLIHSLVTRGFVIEAKEILFELIKRGFSLDVVTFTNLIDGFSKKGNFEEAFFVWFYMSEHDVKPDVVTCSALLNGYCRERRIEEANVLFHKMLNIGLRPDLILYNTLIHGHCSFGSMDDACTLISMMIEHGIFPNNITHQALVLGLKKRVMNPVETANLKLQQILLKYGIHVDVDEYLREQPGSCKQLPA >ONI21181 pep chromosome:Prunus_persica_NCBIv2:G2:5975398:5979554:1 gene:PRUPE_2G051600 transcript:ONI21181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLLRLLMTGLLSIINVYASQLKLIFRRRSTLRYYSSVNSALSSIILSEDETSTLEDTVAADNGIFLSAKSYPTDFRGINELYCGEDGVCEPVDTGFLFSINERPDEDEMKRLMLILAKRGWNLGCQNGYNIYLNQLNTIELLNDLFEESFDAKLVLYFFKWSECCSGSKHTLQTICRMIHILVSGNLNHRAVDLILRLVRNHGDEESCNSLLEVLDETHSEIRVLETTCSMLVNGYIQEGMVNMALKIACQMKHLNIFPSNGVCNSLLQALLGSKQLELAWDFLEVMRTRGMGLNAAMMSLFINKYCSEGDLESGWKLLLEMKNYGIQPDVVSFTIVINSLCKMSYLNEATALLFKMTQLGISPDPVLLSSIIDGHCKLGQTEVALSILKIFNTPLNIFIYNSFISKLCTDGNMAEASSLFHEMSMLGLLPDCFCYSTIIDGYCKVRDIDRAFQYFGKMLKNGITPCVTTYTSLIDAYLKSGNMEMAEYSFHKMISEGLAPDIVTFNTLMDGFGRKGHLQKVFGLLDMMNSSNVSPDIVTYNTLIHSLVTRGFVIEAKEILFELIKRGFSLDVVTFTNLIDGFSKKGNFEEAFFVWFYMSEHDVKPDVVTCSALLNGYCRERRIEEANVLFHKMLNIGLRPDLILYNTLIHGHCSFGSMDDACTLISMMIEHGIFPNNITHQALVLGLKKRVMNPVETANLKLQQILLKYGIHVDVDEYLREQPGSCKQLPA >ONI21184 pep chromosome:Prunus_persica_NCBIv2:G2:5974696:5979641:1 gene:PRUPE_2G051600 transcript:ONI21184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLLRLLMTGLLSIINVYASQLKLIFRRRSTLRYYSSVNSALSSIILSEDETSTLEDTVAADNGIFLSAKSYPTDFRGINELYCGEDGVCEPVDTGFLFSINERPDEDEMKRLMLILAKRGWNLGCQNGYNIYLNQLNTIELLNDLFEESFDAKLVLYFFKWSECCSGSKHTLQTICRMIHILVSGNLNHRAVDLILRLVRNHGDEESCNSLLEVLDETHSEIRVLETTCSMLVNGYIQEGMVNMALKIACQMKHLNIFPSNGVCNSLLQALLGSKQLELAWDFLEVMRTRGMGLNAAMMSLFINKYCSEGDLESGWKLLLEMKNYGIQPDVVSFTIVINSLCKMSYLNEATALLFKMTQLGISPDPVLLSSIIDGHCKLGQTEVALSILKIFNTPLNIFIYNSFISKLCTDGNMAEASSLFHEMSMLGLLPDCFCYSTIIDGYCKVRDIDRAFQYFGKMLKNGITPCVTTYTSLIDAYLKSGNMEMAEYSFHKMISEGLAPDIVTFNTLMDGFGRKGHLQKVFGLLDMMNSSNVSPDIVTYNTLIHSLVTRGFVIEAKEILFELIKRGFSLDVVTFTNLIDGFSKKGNFEEAFFVWFYMSEHDVKPDVVTCSALLNGYCRERRIEEANVLFHKMLNIGLRPDLILYNTLIHGHCSFGSMDDACTLISMMIEHGIFPNNITHQALVLGLKKRVMNPVETANLKLQQILLKYGIHVDVDEYLREQPGSCKQLPA >ONI21186 pep chromosome:Prunus_persica_NCBIv2:G2:5974612:5979554:1 gene:PRUPE_2G051600 transcript:ONI21186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLLRLLMTGLLSIINVYASQLKLIFRRRSTLRYYSSVNSALSSIILSEDETSTLEDTVAADNGIFLSAKSYPTDFRGINELYCGEDGVCEPVDTGFLFSINERPDEDEMKRLMLILAKRGWNLGCQNGYNIYLNQLNTIELLNDLFEESFDAKLVLYFFKWSECCSGSKHTLQTICRMIHILVSGNLNHRAVDLILRLVRNHGDEESCNSLLEVLDETHSEIRVLETTCSMLVNGYIQEGMVNMALKIACQMKHLNIFPSNGVCNSLLQALLGSKQLELAWDFLEVMRTRGMGLNAAMMSLFINKYCSEGDLESGWKLLLEMKNYGIQPDVVSFTIVINSLCKMSYLNEATALLFKMTQLGISPDPVLLSSIIDGHCKLGQTEVALSILKIFNTPLNIFIYNSFISKLCTDGNMAEASSLFHEMSMLGLLPDCFCYSTIIDGYCKVRDIDRAFQYFGKMLKNGITPCVTTYTSLIDAYLKSGNMEMAEYSFHKMISEGLAPDIVTFNTLMDGFGRKGHLQKVFGLLDMMNSSNVSPDIVTYNTLIHSLVTRGFVIEAKEILFELIKRGFSLDVVTFTNLIDGFSKKGNFEEAFFVWFYMSEHDVKPDVVTCSALLNGYCRERRIEEANVLFHKMLNIGLRPDLILYNTLIHGHCSFGSMDDACTLISMMIEHGIFPNNITHQALVLGLKKRVMNPVETANLKLQQILLKYGIHVDVDEYLREQPGSCKQLPA >ONI21182 pep chromosome:Prunus_persica_NCBIv2:G2:5974702:5979545:1 gene:PRUPE_2G051600 transcript:ONI21182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLLRLLMTGLLSIINVYASQLKLIFRRRSTLRYYSSVNSALSSIILSEDETSTLEDTVAADNGIFLSAKSYPTDFRGINELYCGEDGVCEPVDTGFLFSINERPDEDEMKRLMLILAKRGWNLGCQNGYNIYLNQLNTIELLNDLFEESFDAKLVLYFFKWSECCSGSKHTLQTICRMIHILVSGNLNHRAVDLILRLVRNHGDEESCNSLLEVLDETHSEIRVLETTCSMLVNGYIQEGMVNMALKIACQMKHLNIFPSNGVCNSLLQALLGSKQLELAWDFLEVMRTRGMGLNAAMMSLFINKYCSEGDLESGWKLLLEMKNYGIQPDVVSFTIVINSLCKMSYLNEATALLFKMTQLGISPDPVLLSSIIDGHCKLGQTEVALSILKIFNTPLNIFIYNSFISKLCTDGNMAEASSLFHEMSMLGLLPDCFCYSTIIDGYCKVRDIDRAFQYFGKMLKNGITPCVTTYTSLIDAYLKSGNMEMAEYSFHKMISEGLAPDIVTFNTLMDGFGRKGHLQKVFGLLDMMNSSNVSPDIVTYNTLIHSLVTRGFVIEAKEILFELIKRGFSLDVVTFTNLIDGFSKKGNFEEAFFVWFYMSEHDVKPDVVTCSALLNGYCRERRIEEANVLFHKMLNIGLRPDLILYNTLIHGHCSFGSMDDACTLISMMIEHGIFPNNITHQALVLGLKKRVMNPVETANLKLQQILLKYGIHVDVDEYLREQPGSCKQLPA >ONI21185 pep chromosome:Prunus_persica_NCBIv2:G2:5974702:5979545:1 gene:PRUPE_2G051600 transcript:ONI21185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLLRLLMTGLLSIINVYASQLKLIFRRRSTLRYYSSVNSALSSIILSEDETSTLEDTVAADNGIFLSAKSYPTDFRGINELYCGEDGVCEPVDTGFLFSINERPDEDEMKRLMLILAKRGWNLGCQNGYNIYLNQLNTIELLNDLFEESFDAKLVLYFFKWSECCSGSKHTLQTICRMIHILVSGNLNHRAVDLILRLVRNHGDEESCNSLLEVLDETHSEIRVLETTCSMLVNGYIQEGMVNMALKIACQMKHLNIFPSNGVCNSLLQALLGSKQLELAWDFLEVMRTRGMGLNAAMMSLFINKYCSEGDLESGWKLLLEMKNYGIQPDVVSFTIVINSLCKMSYLNEATALLFKMTQLGISPDPVLLSSIIDGHCKLGQTEVALSILKIFNTPLNIFIYNSFISKLCTDGNMAEASSLFHEMSMLGLLPDCFCYSTIIDGYCKVRDIDRAFQYFGKMLKNGITPCVTTYTSLIDAYLKSGNMEMAEYSFHKMISEGLAPDIVTFNTLMDGFGRKGHLQKVFGLLDMMNSSNVSPDIVTYNTLIHSLVTRGFVIEAKEILFELIKRGFSLDVVTFTNLIDGFSKKGNFEEAFFVWFYMSEHDVKPDVVTCSALLNGYCRERRIEEANVLFHKMLNIGLRPDLILYNTLIHGHCSFGSMDDACTLISMMIEHGIFPNNITHQALVLGLKKRVMNPVETANLKLQQILLKYGIHVDVDEYLREQPGSCKQLPA >ONI22017 pep chromosome:Prunus_persica_NCBIv2:G2:15784358:15787912:1 gene:PRUPE_2G101900 transcript:ONI22017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEDGSNAVGVAVIYGMGGIGKTTIAKAAYNRNFGRFQGSSFLADIREAAEQPYGFVRLQRKLLSDIQKGKAKKIDNIDEGIIKIKHAVCNKRLLIVLDDVNDMDQFNAILGMREWFYPGSKIIITTRHEHLLKAHEGCTMFEVEELNEYESLELFSWHAFGQPQPIEGYMELSRPAVEHCGGIPLALQVLGSSLSGKEVDVWRSALQKLCEIPNVKIQKILRISYDSLQDDHDQNIFLHIAYFFIGKEKDFTIAILDNLNFYTRIGIQNLVDRCLVKINNEDNRLNMHHLLRDMGRGIVREESPQDPGRRSRVWHNDAFNILRKMTGTEMIKGLMLNLPKLMQDESCKTLFSRSNKKRSHVEDYDGSFSRRRRLDFFSWKSIASNFSSTNSAPASNEVDFKTEAFKRMNNLELLQLYNVKTSGGFEDFPKNLAWLSWRGFPLKSLPANFCLENLVVLDLRNSSLQHVWKGHRFLPRLKTLNLSHSHSLTTTPDMSGLPKLERLILKDCINLVEVNESIGDLENLVHLNLRDCKNLMKLPTSIRRLGSLQDLILSGCSKLELHSNTNATNQVDSTVGAMKKFNLLSTKLWQSIESWILPRKNLVSFSLASLPHSIERLSLAHCNVAEIPSELGALSSLKHLDLSATPILNLPGNMKGLIMLQTLLVEGCAKLQALPELPASLNSLEAGHCTSLKKVTNLPNIFTSMSKNLWDCNELVEVESLFEMKPLRNVDIEMIKNLGLFNLESNETSEVEMINYLTNTTKKCRLQGLNECGIFSIFLHGNKIPDWFSYKSLCNSVLSIVVPSHPNLKIRGLNACILYARRPDHEDGPHMFSEHFVKVSNETKGLMWTYFPVAMGLPRENQDMLWLSHWVFRDNELESGDEIRVSVKSGLWAKEFGIQLVHEEENKGEDAGSKSEDIITLPWNQNVDVPVSVSASKYEMWRGKYFLCNHRYRTHQAQFRRCQQNPAYGDFSYKPGESFHLNRSLFHHEVDIEENQMQFVRKALTN >ONI22019 pep chromosome:Prunus_persica_NCBIv2:G2:15784094:15788158:1 gene:PRUPE_2G101900 transcript:ONI22019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEDGSNAVGVAVIYGMGGIGKTTIAKAAYNRNFGRFQGSSFLADIREAAEQPYGFVRLQRKLLSDIQKGKAKKIDNIDEGIIKIKHAVCNKRLLIVLDDVNDMDQFNAILGMREWFYPGSKIIITTRHEHLLKAHEGCTMFEVEELNEYESLELFSWHAFGQPQPIEGYMELSRPAVEHCGGIPLALQVLGSSLSGKEVDVWRSALQKLCEIPNVKIQKILRISYDSLQDDHDQNIFLHIAYFFIGKEKDFTIAILDNLNFYTRIGIQNLVDRCLVKINNEDNRLNMHHLLRDMGRGIVREESPQDPGRRSRVWHNDAFNILRKMTGTEMIKGLMLNLPKLMQDESCKTLFSRSNKKRSHVEDYDGSFSRRRRLDFFSWKSIASNFSSTNSAPASNEVDFKTEAFKRMNNLELLQLYNVKTSGGFEDFPKNLAWLSWRGFPLKSLPANFCLENLVVLDLRNSSLQHVWKGHRFLPRLKTLNLSHSHSLTTTPDMSGLPKLERLILKDCINLVEVNESIGDLENLVHLNLRDCKNLMKLPTSIRRLGSLQDLILSGCSKLELHSNTNATNQVDSTVGAMKKFNLLSTKLWQSIESWILPRKNLVSFSLASLPHSIERLSLAHCNVAEIPSELGALSSLKHLDLSATPILNLPGNMKGLIMLQTLLVEGCAKLQALPELPASLNSLEAGHCTSLKKVTNLPNIFTSMSKNLWDCNELVEVESLFEMKPLRNVDIEMIKNLGLFNLESNETSEVEMINYLTNTTKKCRLQGLNECGIFSIFLHGNKIPDWFSYKSLCNSVLSIVVPSHPNLKIRGLNACILYARRPDHEDGPHMFSEHFVKVSNETKGLMWTYFPVAMGLPRENQDMLWLSHWVFRDNELESGDEIRVSVKSGLWAKEFGIQLVHEEENKGEDAGSKSEDIITLPWNQNVDVPVSVSASKYEMWRGKYFLCNHRYRTHQAQFRRCQQNPAYGDFSYKPGESFHLNRSLFHHEVDIEENQMQFVRKALTN >ONI22016 pep chromosome:Prunus_persica_NCBIv2:G2:15783336:15788158:1 gene:PRUPE_2G101900 transcript:ONI22016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALNPMLHDASSSSSYRCSYHSFLSFRGEDTRKGFTDHLYRALELAGIHTFRDDDEIERGADIAAELNKAINESKVSIIVFSQNYASSRWCLDELVKIMERRKHDDGHIVMPVFYHVDPSHVRNQRGSFAEAFSRHEERFKEEMNKVEEWRRALKDAADLAGMALKDSYESQFIQDIVKEIGNKLDPKVLNVAPYAVGIDDRVQGINMWLEDGSNAVGVAVIYGMGGIGKTTIAKAAYNRNFGRFQGSSFLADIREAAEQPYGFVRLQRKLLSDIQKGKAKKIDNIDEGIIKIKHAVCNKRLLIVLDDVNDMDQFNAILGMREWFYPGSKIIITTRHEHLLKAHEGCTMFEVEELNEYESLELFSWHAFGQPQPIEGYMELSRPAVEHCGGIPLALQVLGSSLSGKEVDVWRSALQKLCEIPNVKIQKILRISYDSLQDDHDQNIFLHIAYFFIGKEKDFTIAILDNLNFYTRIGIQNLVDRCLVKINNEDNRLNMHHLLRDMGRGIVREESPQDPGRRSRVWHNDAFNILRKMTGTEMIKGLMLNLPKLMQDESCKTLFSRSNKKRSHVEDYDGSFSRRRRLDFFSWKSIASNFSSTNSAPASNEVDFKTEAFKRMNNLELLQLYNVKTSGGFEDFPKNLAWLSWRGFPLKSLPANFCLENLVVLDLRNSSLQHVWKGHRFLPRLKTLNLSHSHSLTTTPDMSGLPKLERLILKDCINLVEVNESIGDLENLVHLNLRDCKNLMKLPTSIRRLGSLQDLILSGCSKLELHSNTNATNQRFLVNLVPYRH >ONI22018 pep chromosome:Prunus_persica_NCBIv2:G2:15783336:15788158:1 gene:PRUPE_2G101900 transcript:ONI22018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEDGSNAVGVAVIYGMGGIGKTTIAKAAYNRNFGRFQGSSFLADIREAAEQPYGFVRLQRKLLSDIQKGKAKKIDNIDEGIIKIKHAVCNKRLLIVLDDVNDMDQFNAILGMREWFYPGSKIIITTRHEHLLKAHEGCTMFEVEELNEYESLELFSWHAFGQPQPIEGYMELSRPAVEHCGGIPLALQVLGSSLSGKEVDVWRSALQKLCEIPNVKIQKILRISYDSLQDDHDQNIFLHIAYFFIGKEKDFTIAILDNLNFYTRIGIQNLVDRCLVKINNEDNRLNMHHLLRDMGRGIVREESPQDPGRRSRVWHNDAFNILRKMTGTEMIKGLMLNLPKLMQDESCKTLFSRSNKKRSHVEDYDGSFSRRRRLDFFSWKSIASNFSSTNSAPASNEVDFKTEAFKRMNNLELLQLYNVKTSGGFEDFPKNLAWLSWRGFPLKSLPANFCLENLVVLDLRNSSLQHVWKGHRFLPRLKTLNLSHSHSLTTTPDMSGLPKLERLILKDCINLVEVNESIGDLENLVHLNLRDCKNLMKLPTSIRRLGSLQDLILSGCSKLELHSNTNATNQVDSTVGAMKKFNLLSTKLWQSIESWILPRKNLVSFSLASLPHSIERLSLAHCNVAEIPSELGALSSLKHLDLSATPILNLPGNMKGLIMLQTLLVEGCAKLQALPELPASLNSLEAGHCTSLKKVTNLPNIFTSMSKNLWDCNELVEVESLFEMKPLRNVDIEMIKNLGLFNLESNETSEVEMINYLTNTTKKCRLQGLNECGIFSIFLHGNKIPDWFSYKSLCNSVLSIVVPSHPNLKIRGLNACILYARRPDHEDGPHMFSEHFVKVSNETKGLMWTYFPVAMGLPRENQDMLWLSHWVFRDNELESGDEIRVSVKSGLWAKEFGIQLVHEEENKGEDAGSKSEDIITLPWNQNVDVPVSVSASKYEMWRGKYFLCNHRYRTHQAQFRRCQQNPAYGDFSYKPGESFHLNRSLFHHEVDIEENQMQFVRKALTN >ONI22015 pep chromosome:Prunus_persica_NCBIv2:G2:15783336:15788158:1 gene:PRUPE_2G101900 transcript:ONI22015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALNPMLHDASSSSSYRCSYHSFLSFRGEDTRKGFTDHLYRALELAGIHTFRDDDEIERGADIAAELNKAINESKVSIIVFSQNYASSRWCLDELVKIMERRKHDDGHIVMPVFYHVDPSHVRNQRGSFAEAFSRHEERFKEEMNKVEEWRRALKDAADLAGMALKDSYESQFIQDIVKEIGNKLDPKVLNVAPYAVGIDDRVQGINMWLEDGSNAVGVAVIYGMGGIGKTTIAKAAYNRNFGRFQGSSFLADIREAAEQPYGFVRLQRKLLSDIQKGKAKKIDNIDEGIIKIKHAVCNKRLLIVLDDVNDMDQFNAILGMREWFYPGSKIIITTRHEHLLKAHEGCTMFEVEELNEYESLELFSWHAFGQPQPIEGYMELSRPAVEHCGGIPLALQVLGSSLSGKEVDVWRSALQKLCEIPNVKIQKILRISYDSLQDDHDQNIFLHIAYFFIGKEKDFTIAILDNLNFYTRIGIQNLVDRCLVKINNEDNRLNMHHLLRDMGRGIVREESPQDPGRRSRVWHNDAFNILRKMTGTEMIKGLMLNLPKLMQDESCKTLFSRSNKKRSHVEDYDGSFSRRRRLDFFSWKSIASNFSSTNSAPASNEVDFKTEAFKRMNNLELLQLYNVKTSGGFEDFPKNLAWLSWRGFPLKSLPANFCLENLVVLDLRNSSLQHVWKGHRFLPRLKTLNLSHSHSLTTTPDMSGLPKLERLILKDCINLVEVNESIGDLENLVHLNLRDCKNLMKLPTSIRRLGSLQDLILSGCSKLELHSNTNATNQVDSTVGAMKKFNLLSTKLWQSIESWILPRKNLVSFSLASLPHSIERLSLAHCNVAEIPSELGALSSLKHLDLSATPILNLPGNMKGLIMLQTLLVEGCAKLQALPELPASLNSLEAGHCTSLKKVTNLPNIFTSMSKNLWDCNELVEVESLFEMKPLRNVDIEMIKNLGLFNLESNETSEVEMINYLTNTTKKCRLQGLNECGIFSIFLHGNKIPDWFSYKSLCNSVLSIVVPSHPNLKIRGLNACILYARRPDHEDGPHMFSEHFVKVSNETKGLMWTYFPVAMGLPRENQDMLWLSHWVFRDNELESGDEIRVSVKSGLWAKEFGIQLVHEEENKGEDAGSKSEDIITLPWNQNVDVPVSVSASKYEMWRGKYFLCNHRYRTHQAQFRRCQQNPAYGDFSYKPGESFHLNRSLFHHEVDIEENQMQFVRKALTN >ONI25493 pep chromosome:Prunus_persica_NCBIv2:G2:29179361:29181070:-1 gene:PRUPE_2G306500 transcript:ONI25493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWDMEIEDIEAVLEKIWDLHDKISDAIHSISRAHFLSSVNALRSSDKKKPHTNDVAVDENRTGYVYVKDFRHDHDDDSVIREAKSLNAIRTALENLEDQLEFFHTVQVQQRAERDAAIARLEQSRIVLAMRLSEHHGKKYQVIEEALAFVGDVCDASRFVSPENLYGPPISPAEKLEACEGKRSNIIIKVLISSINFAKKSLQLDNMGGILGNAGLVAVSMIALVHLNQVAMREHPQKLEDNRNARKTSRVEGTSSTSRFSNLDVLLARG >ONI25492 pep chromosome:Prunus_persica_NCBIv2:G2:29178906:29181126:-1 gene:PRUPE_2G306500 transcript:ONI25492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWDMEIEDIEAVLEKIWDLHDKISDAIHSISRAHFLSSVNALRSSDKKKPHTNDVAVDENRTGYVYVKDFRHDHDDDSVIREAKSLNAIRTALENLEDQLEFFHVQQRAERDAAIARLEQSRIVLAMRLSEHHGKKYQVIEEALAFVGDVCDASRFVSPENLYGPPISPAEKLEACEGKRSNIIIKVLISSINFAKKSLQLDNMGGILGNAGLVAVSMIALVHLNQVAMREHPQKLEDNRNARKTSRVEGTSSTSRFSNLDVLLARG >ONI25494 pep chromosome:Prunus_persica_NCBIv2:G2:29178906:29181126:-1 gene:PRUPE_2G306500 transcript:ONI25494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWDMEIEDIEAVLEKIWDLHDKISDAIHSISRAHFLSSVNALRSSDKKKPHTNDVAVDENRTGYVYVKDFRHDHDDDSVIREAKSLNAIRTALENLEDQLEFFHLHRSKDEILCFCNCCVVQQRAERDAAIARLEQSRIVLAMRLSEHHGKKYQVIEEALAFVGDVCDASRFVSPENLYGPPISPAEKLEACEGKRSNIIIKVLISSINFAKKSLQLDNMGGILGNAGLVAVSMIALVHLNQVAMREHPQKLEDNRNARKTSRVEGTSSTSRFSNLDVLLARG >ONI25495 pep chromosome:Prunus_persica_NCBIv2:G2:29179361:29181070:-1 gene:PRUPE_2G306500 transcript:ONI25495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWDMEIEDIEAVLEKIWDLHDKISDAIHSISRAHFLSSVNALRSSDKKKPHTNDVAVDENRTGYVYVKDFRHDHDDDSVIREAKSLNAIRTALENLEDQLEFFHLHRSKDEILCFCNCCVTVQVQQRAERDAAIARLEQSRIVLAMRLSEHHGKKYQVIEEALAFVGDVCDASRFVSPENLYGPPISPAEKLEACEGKRSNIIIKVLISSINFAKKSLQLDNMGGILGNAGLVAVSMIALVHLNQVAMREHPQKLEDNRNARKTSRVEGTSSTSRFSNLDVLLARG >ONI23375 pep chromosome:Prunus_persica_NCBIv2:G2:22656716:22660371:-1 gene:PRUPE_2G185400 transcript:ONI23375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVKHTANRKDNKSSRNQPGGRSPAPSPATQPEPSGRTQRSERNSPTTPGTQKKKRPQRNAPTTPETQRKKRRFRPGTVALREIRHFQKTCSLLIPAAPFIRAVREVSNNLSRGSIRWTPDALLAIQEAAEDHLVHLFEDSMLCAIHAKRVTLKGFRAGSSNWRYREAVVRASRPQPTP >ONI23376 pep chromosome:Prunus_persica_NCBIv2:G2:22656980:22660201:-1 gene:PRUPE_2G185400 transcript:ONI23376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVKHTANRKDNKSSRNQPGGRSPAPSPATQPEPSGRTQRSERNSPTTPGTQKKKRPQRNAPTTPETQRKKRRFRPGTVALREIRHFQKTCSLLIPAAPFIRAVREVSNNLSRGSIRWTPDALLAIQEAAEDHLVHLFEDSMLCAIHAKRVTLMKKDFELARRIGGIGRQW >ONI20462 pep chromosome:Prunus_persica_NCBIv2:G2:1594366:1595353:-1 gene:PRUPE_2G016900 transcript:ONI20462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELVVPAIQKFEPEMMVLVAGQDSSAYDPIGRQCLTMEGYEEIGRIVRSLADRHCSGRLLIVQEGGYHTQRLRHWLLKLLNPLKSSRKTMYHS >ONI22095 pep chromosome:Prunus_persica_NCBIv2:G2:16442700:16446668:-1 gene:PRUPE_2G106600 transcript:ONI22095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQSLQTNISQRETEVREGRGLPKTQIIGIAAKMGSWVCLTSGVGSVTVSGALPRRALSALLLQNPISLLPSYQFHHNRRRVCASASSGAKELQQQQYLRSPDLVALEYADLNLTDKISEELGHVRIRQHVNPLSSSLSVPVEVPDWNQVFRDPTLPLMVDIGCGSGRFLIWLAKRNLVMRNYLGLEIRKKLVKRAEFWVKDLALSNIYFMFANATTSFQQLVSTYPGPLMLVSILCPDPYFKKRHHKRRVVQKPLVDSIVSNLMPSGQVLMQSDVLEVALDMRNQFDSQPQVLKHIHEIDSSVLCDSEGWVLSNPMGIRTEREIHAEFEGAKIYRRIYQKQM >ONI22096 pep chromosome:Prunus_persica_NCBIv2:G2:16443015:16446022:-1 gene:PRUPE_2G106600 transcript:ONI22096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWVCLTSGVGSVTVSGALPRRALSALLLQNPISLLPSYQFHHNRRRVCASASSGAKELQQQQYLRSPDLVALEYADLNLTDKISEELGHVRIRQHVNPLSSSLSVPVEVPDWNQVFRDPTLPLMVDIGCGSGRFLIWLAKRNLVMRNYLGLEIRKKLVKRAEFWVKDLALSNIYFMFANATTSFQQLVSTYPGPLMLVSILCPDPYFKKRHHKRRVVQKPLVDSIVSNLMPSGQVLMQSDVLEVALDMRNQFDSQPQVLKHIHEIDSSVLCDSEGWVLSNPMGIRTEREIHAEFEGAKIYRRIYQKQM >ONI24827 pep chromosome:Prunus_persica_NCBIv2:G2:27185072:27189681:-1 gene:PRUPE_2G264300 transcript:ONI24827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFLSMKPLLVLFTVFVLAPVLGRSLNPSLNDDVLGLIVFKADIQDPKGKLATWSEDDDSPCKWDGVKCHPRSNRVIELSLDDFSLSGHIGRGLLQLQSLRKLSLSKNNLTGSLTPNIAHIDNLRALDLSENSFSGPVPEDFFRQCGSLRTISLAKNKISGKIPESLGSCASLAAIDLSLNQFSGSVPVGIWSLNGIRSLDLSNNLLEGEISKAIGGLNNLRAVNLGKNRFTGQVPDGIGSCLLLRSIDLSENSFSGNLPQTMQKFSLCSYLNLHQNSFAGEIPEWIGELKSLETLDLSGNRFLGEVPSSIGNLQALKVLNFSANGFTGSLPKSMAYCTSLVALDFSKNSMAGELPAWIFKAGLEEVSLSEKKLSGSANSPVSSSIGNAPQNLQVVDLSLNQFSGEIASDIGVLSSLRSLNLSGNSLVGPIPVTIGELKALDNVDLSENRLSGSIPLEIGGAFSLKELRLENNLLTGKIPTSIGNCSSLTTLIASQNRLNGPVPAAMAKLTNLQNVDLSFNNLTGGLPKQLANLPNLLSFNISHNNLQGELPAGAFFNTISPSSVSGNPSLCGSAVNKSCPTVLPKPIVLNPNSSSDSTTPGTLSSNLGHRRIILSISALIAIAAAAVIVIGVIAITVLNLRVRSSTTHSPAALALSAGDDFSHSPTTDGNSGKLVMFSGEPDFSTGAHALLNKDCELGRGGFGAVYRTVLRDGRPVAIKKLTVSSLVKSQEEFEREVKKLGKVKHDNLVEIEGYYWTPSLQLIIYEYVSGGSLYKHLHDGAGGNFLSWNDRFNVILGTAKSLAHLHQMNIIHYNIKSSNVLIGSSGEPKVGDFGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECIDGRLQGNFPAEEAIPVMKLGLICTSQVPSNRPDMAEVVNILELIRCPSEGQEEL >ONI24440 pep chromosome:Prunus_persica_NCBIv2:G2:26018565:26023773:1 gene:PRUPE_2G240300 transcript:ONI24440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVEAVAASPWRFLENPEPFPLPTDASIGKAAAGWAHCVAVTDIGGVYTWGWKECVPSGKFLGEQPMGANVEKDAIERQSSYLNEQVSPRSQGSQSTGGAFSVGDPRGSGEESTKRRRLSSAKQAAESSSSGDEPLSALPCLVTLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIEPSSYGKDRSAALPRGTMGSEGLGLRVPGNYVKGIACGGRHSAVITDAGAVLTFGWGLYGQCGQGSTDDELSPACVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGADQAETIPRLLDAPSLENTNAKIVSCGARHSTIITDDDKVFGWGWNKYGQLGLGDVIDRNIPAQVTIDGCVPKNVACGWWHTLLLAEPT >ONI24437 pep chromosome:Prunus_persica_NCBIv2:G2:26018565:26024318:1 gene:PRUPE_2G240300 transcript:ONI24437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEKNFEEEVKMEEEKEKVVFMWGYLPGALPQRSPLLSPTIVRTPGAEYTYKDVCGGGCGFAMALSESGKIITWGSTDDLGQSYVTSGKHGENPEPFPLPTDASIGKAAAGWAHCVAVTDIGGVYTWGWKECVPSGKFLGEQPMGANVEKDAIERQSSYLNEQVSPRSQGSQSTGGAFSVGDPRGSGEESTKRRRLSSAKQAAESSSSGDEPLSALPCLVTLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIEPSSYGKDRSAALPRGTMGSEGLGLRVPGNYVKGIACGGRHSAVITDAGAVLTFGWGLYGQCGQGSTDDELSPACVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGADQAETIPRLLDAPSLENTNAKIVSCGARHSTIITDDDKVFGWGWNKYGQLGLGDVIDRNIPAQVTIDGCVPKNVACGWWHTLLLAEPT >ONI24436 pep chromosome:Prunus_persica_NCBIv2:G2:26018546:26024334:1 gene:PRUPE_2G240300 transcript:ONI24436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEKNFEEEVKMEEEKEKVVFMWGYLPGALPQRSPLLSPTIVRTPGAEYTYKDVCGGGCGFAMALSESGKIITWGSTDDLGQSYVTSGKHGENPEPFPLPTDASIGKAAAGWAHCVAVTDIGGVYTWGWKECVPSGKFLGEQPMGANVEKDAIERQSSYLNEQVSPRSQGSQSTGGAFSVGDPRGSGEESTKRRRLSSAKQAAESSSSGDEPLSALPCLVTLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIEPSSYGKDRSAALPRGTMGSEGLGLRVPGNYVKGIACGGRHSAVITDAGAVLTFGWGLYGQCGQGSTDDELSPACVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGADQAETIPRLLDAPSLENTNAKIVSCGARHSTIITDDDKVFGWGWNKYGQLGLGDVIDRNIPAQVTIDGCVPKNVACGWWHTLLLAEPT >ONI24438 pep chromosome:Prunus_persica_NCBIv2:G2:26018546:26023854:1 gene:PRUPE_2G240300 transcript:ONI24438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEKNFEEEVKMEEEKEKVVFMWGYLPGALPQRSPLLSPTIVRTPGAEYTYKDVCGGGCGFAMALSESGKIITWGSTDDLGQSYVTSGKHGENPEPFPLPTDASIGKAAAGWAHCVAVTDIGGVYTWGWKECVPSGKFLGEQPMGANVEKDAIERQSSYLNEQVSPRSQGSQSTGGAFSVGDPRGSGEESTKRRRLSSAKQAAESSSSGDEPLSALPCLVTLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIEPSSYGKDRSAALPRGTMGSEGLGLRVPGNYVKGIACGGRHSAVITDAGAVLTFGWGLYGQCGQGSTDDELSPACVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGADQAETIPRLLDAPSLENTNAKIVSCGARHSTIITDDDKVFGWGWNKYGQLGLGDVIDRNIPAQVTIDGCVPKNVACGWWHTLLLAEPT >ONI24435 pep chromosome:Prunus_persica_NCBIv2:G2:26018546:26024318:1 gene:PRUPE_2G240300 transcript:ONI24435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEKNFEEEVKMEEEKEKVVFMWGYLPGALPQRSPLLSPTIVRTPGAEYTYKDVCGGGCGFAMALSESGKIITWGSTDDLGQSYVTSGKHGENPEPFPLPTDASIGKAAAGWAHCVAVTDIGGVYTWGWKECVPSGKFLGEQPMGANVEKDAIERQSSYLNEQVSPRSQGSQSTGGAFSVGDPRGSGEESTKRRRLSSAKQAAESSSSGDEPLSALPCLVTLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIEPSSYGKDRSAALPRGTMGSEGLGLRVPGNYVKGIACGGRHSAVITDAGAVLTFGWGLYGQCGQGSTDDELSPACVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGADQAETIPRLLDAPSLENTNAKIVSCGARHSTIITDDDKVFGWGWNKYGQLGLGDVIDRNIPAQVTIDGCVPKNVACGWWHTLLLAEPT >ONI24439 pep chromosome:Prunus_persica_NCBIv2:G2:26018725:26023437:1 gene:PRUPE_2G240300 transcript:ONI24439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEKNFEEEVKMEEEKEKVVFMWGYLPGALPQRSPLLSPTIVRTPGAEYTYKDVCGGGCGFAMALSESGKIITWGSTDDLGQSYVTSGKHGENPEPFPLPTDASIGKAAAGWAHCVAVTDIGGVYTWGWKECVPSGKFLGEQPMGANVEKDAIERQSSYLNEQVSPRSQGSQSTGGAFSVEESTKRRRLSSAKQAAESSSSGDEPLSALPCLVTLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIEPSSYGKDRSAALPRGTMGSEGLGLRVPGNYVKGIACGGRHSAVITDAGAVLTFGWGLYGQCGQGSTDDELSPACVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGADQAETIPRLLDAPSLENTNAKIVSCGARHSTIITDDDKVFGWGWNKYGQLGLGDVIDRNIPAQVTIDGCVPKNVACGWWHTLLLAEPT >ONI24441 pep chromosome:Prunus_persica_NCBIv2:G2:26018565:26024318:1 gene:PRUPE_2G240300 transcript:ONI24441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVEAVAASPWRFLENPEPFPLPTDASIGKAAAGWAHCVAVTDIGGVYTWGWKECVPSGKFLGEQPMGANVEKDAIERQSSYLNEQVSPRSQGSQSTGGAFSVGDPRGSGEESTKRRRLSSAKQAAESSSSGDEPLSALPCLVTLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIEPSSYGKDRSAALPRGTMGSEGLGLRVPGNYVKGIACGGRHSAVITDAGAVLTFGWGLYGQCGQGSTDDELSPACVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGADQAETIPRLLDAPSLENTNAKIVSCGARHSTIITDDDKVFGWGWNKYGQLGLGDVIDRNIPAQVTIDGCVPKNVACGWWHTLLLAEPT >ONI20222 pep chromosome:Prunus_persica_NCBIv2:G2:413711:416107:1 gene:PRUPE_2G004000 transcript:ONI20222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCLSLGDVQAPAEPFGLVSSSSSEKPRDDRVIMAASKTTGFCMSLSIGPSCDSSTSTSPREADQQLDRNDHQNDDDPSRFSAACGSKSDVPVQLDLLPHTPVAPRSSSHGFPWPTPSDQNGGGGGGGGGGSSENVNRVEEVAAVSSSPTNSGASSFQMDIGLYSSNYRGSGGKRSHSPEAEGDEGERQSNSRASDEDDINGINTRKKLRLSKEQSAFLEESFKEHHTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLHKELQELRALKTHHHSNPFYMQSPATTLTMCPSCERIAATTTTTTTNNNKTTTKAQAEADGFPRFYPFCQPQSHHSSATS >ONI20224 pep chromosome:Prunus_persica_NCBIv2:G2:413865:416004:1 gene:PRUPE_2G004000 transcript:ONI20224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCLSLGDVQAPAEPFGLVSSSSSEKPRDDRVIMAASKTTGFCMSLSIGPSCDSSTSTSPREADQQLDRNDHQNDDDPSRFSAACGSKSDVPVQLDLLPHTPVAPRSSSHGFPWPTPSDQNGGGGGGGGSSENVNRVEEVAAVSSSPTNSGASSFQMDIGLYSSNYRGSGGKRSHSPEAEGDEGERQSNSRASDEDDINGINTRKKLRLSKEQSAFLEESFKEHHTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLHKELQELRALKTHHHSNPFYMQSPATTLTMCPSCERIAATTTTTTTNNNKTTTKAQAEADGFPRFYPFCQPQSHHSSATS >ONI20221 pep chromosome:Prunus_persica_NCBIv2:G2:413859:416208:1 gene:PRUPE_2G004000 transcript:ONI20221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCLSLGDVQAPAEPFGLVSSSSSEKPRDDRVIMAASKTTGFCMSLSIGPSCDSSTSTSPREADQQLDRNDHQNDDDPSRFSAACGSKSDVPVQLDLLPHTPVAPRSSSHGFPWPTPSDQNGGGGGGGGGGGSSENVNRVEEVAAVSSSPTNSGASSFQMDIGLYSSNYRGSGGKRSHSPEAEGDEGERQSNSRASDEDDINGINTRKKLRLSKEQSAFLEESFKEHHTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLHKELQELRALKTHHHSNPFYMQSPATTLTMCPSCERIAATTTTTTTNNNKTTTKAQAEADGFPRFYPFCQPQSHHSSATS >ONI20220 pep chromosome:Prunus_persica_NCBIv2:G2:413865:416004:1 gene:PRUPE_2G004000 transcript:ONI20220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCLSLGDVQAPAEPFGLVSSSSSEKPRDDRVIMAASKTTGFCMSLSIGPSCDSSTSTSPREADQQLDRNDHQNDDDPSRFSAACGSKSDVPVQLDLLPHTPVAPRSSSHGFPWPTPSDQNVGGGGGGGGGGGSSENVNRVEEVAAVSSSPTNSGASSFQMDIGLYSSNYRGSGGKRSHSPEAEGDEGERQSNSRASDEDDINGINTRKKLRLSKEQSAFLEESFKEHHTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLHKELQELRALKTHHHSNPFYMQSPATTLTMCPSCERIAATTTTTTTNNNKTTTKAQAEADGFPRFYPFCQPQSHHSSATS >ONI20223 pep chromosome:Prunus_persica_NCBIv2:G2:413865:416011:1 gene:PRUPE_2G004000 transcript:ONI20223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCLSLGDVQAPAEPFGLVSSSSSEKPRDDRVIMAASKTTGFCMSLSIGPSCDSSTSTSPREADQQLDRNDHQNDDDPSRFSAACGSKSDVPVQLDLLPHTPVAPRSSSHGFPWPTPSDQNGGGGGGGGGSSENVNRVEEVAAVSSSPTNSGASSFQMDIGLYSSNYRGSGGKRSHSPEAEGDEGERQSNSRASDEDDINGINTRKKLRLSKEQSAFLEESFKEHHTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLHKELQELRALKTHHHSNPFYMQSPATTLTMCPSCERIAATTTTTTTNNNKTTTKAQAEADGFPRFYPFCQPQSHHSSATS >ONI20225 pep chromosome:Prunus_persica_NCBIv2:G2:413865:416004:1 gene:PRUPE_2G004000 transcript:ONI20225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCLSLGDVQAPAEPFGLVSSSSSEKPRDDRVIMAASKTTGFCMSLSIGPSCDSSTSTSPREADQQLDRNDHQNDDDPSRFSAACGSKSDVPVQLDLLPHTPVAPRSSSHGFPWPTPSDQNGGGGGSSENVNRVEEVAAVSSSPTNSGASSFQMDIGLYSSNYRGSGGKRSHSPEAEGDEGERQSNSRASDEDDINGINTRKKLRLSKEQSAFLEESFKEHHTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLHKELQELRALKTHHHSNPFYMQSPATTLTMCPSCERIAATTTTTTTNNNKTTTKAQAEADGFPRFYPFCQPQSHHSSATS >ONI22436 pep chromosome:Prunus_persica_NCBIv2:G2:18591590:18595653:1 gene:PRUPE_2G128700 transcript:ONI22436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFELEITDLQVKILFYWTRSRCVLIILDPSCHDDESSALLQFMQSFMYASASFYNGNCCSWDGVDCDEETGHVIGLDLSSSGLYGSINSNSSLFRLVHLQRLNLANNDFNYSQIPSSIRNFPRLTYLNLSASSFSGRVPSEVSHLSKLLSLDLSLNLDRFSGEKLLKLHPSNMRSLVQNLTSLEKLDLMPNSMANLSFLIFLALENCELFGEFPARTFKLQNLRHLNVEHNQGLTGYMPELNRSSLLMSLRLGYTRIFVNLRSIAKLDLLQELDAPACNFSEGLVPVSLGNLRQVTYLDISANQFGGPIPDSLANLTQLTHLLLQENQFTGPIPPWLGNLTRLTHLNFDRNKLNSSIPKSLSNLMNLQRLHLYENRLSGTVEFHMFLKLHNLKELLLGYNNLYLLIESRTMNVTIPQFRSLGLGSCNLRGFPDFLRYQENLQWLGLHGNKIRGQVPKWIWNASTETLKYIDMSSNMLFGELPIPSPNVEYYQISNNLLTGQVSPLICSLRQLQFLDLSNNRLSGTLPQCLGNFSNGLQVLNLGNNSFHGILPQTYTMASRSNLRMIDVSHNQLQGQLPRSLANCVMLEFMVLSRNKFNDVFPLWLGTLPRLKILAMDHNEFYDVIGKPQKNHHFLELHFLDLSYNNFTGEFPLEYIFSGNGMRISLNQPKYMKAVIFVYIYYGSITSIIDPYSSTITNKGVERYFPKIREDFTAIHLSSNKFEGRIPEFIGNLKGLRSLNMSNNILTGSIPLSLGSLTQLESLDLSHNHLSGEIPQQLGQLTFLGKFNVSHNNLTGPIPQGRQLTTFDSTSYEGNPGLCGGPLQNKYGVAKTPQQPPSSVEQNDSGSAGAFEFDWKFVLAGLGSGLVVGVVLADVAITRRKELFVKIVGMIRLMITKGESWE >ONI24038 pep chromosome:Prunus_persica_NCBIv2:G2:24887113:24889195:1 gene:PRUPE_2G220400 transcript:ONI24038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKEAKLPTGHRKRVTSTNSELAFNCIHKQFNFLKLSSTITIISTKSSEFLVIFFDWPCLLFTFLIKSFARNRVLCFLLSSSVFCSASKQNFCPRLVNQTFCLASITSTQYKPWKLNKAQRSLRSQRQGFHHLEVKLKPRFLESWGLK >ONI24083 pep chromosome:Prunus_persica_NCBIv2:G2:25029896:25031620:1 gene:PRUPE_2G222700 transcript:ONI24083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQENLRFKGDLLHHLHSRILAKIRFSFCQKKKKGRRRKVCMATGEVTVVVENIEGNMEGKTEATDNSKEKVASDRYSNSEATVKNSAMKDEDGSNKKEDENHDTRWGAFLQMWKKIFVVSCLFTVALDPLFLYVPMMKDDIKCLLADRNLKTTALLLRSLTDLFYIFDIIL >ONI24082 pep chromosome:Prunus_persica_NCBIv2:G2:25029896:25031620:1 gene:PRUPE_2G222700 transcript:ONI24082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQENLRFKGDLLHHLHSRILAKIRFSFCQKKKKGRRRKVCMATGEVTVVVENIEGNMEGKTEATDNSKEKVASDRYSNSEATVKNSAMKDEDGSNKKEDENHDTRWGAFLQMWKKIFVVSCLFTVALDPLFLYVPMMKDDIKCLLADRNLKTTALLLRSLTDLFYIFDIIL >ONI23513 pep chromosome:Prunus_persica_NCBIv2:G2:23159141:23161752:-1 gene:PRUPE_2G192600 transcript:ONI23513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTNRVERNEIKLGDHIYTYRAVFTYSHHGIYLGGSKVVHFRPERNLNLSTEASSDVYDSMTCPTFPDCGFRQPNSGVVLSCLDCFLKNGSLYCFEYGVSPSVFLAKVRGGTCTTAASDSPETVVHRAMYLLQNGFGNYDVFQNNCEDFTMYCKTGLLIVDKQGVGRSGQASSIIGAPLAAILSSPLKLLMPSPVGVATMTAGMYCMSRYATDIGVRTDVIKVAVEDLAVNLGWADDNEEEVTEVEESSMTQITR >ONI23516 pep chromosome:Prunus_persica_NCBIv2:G2:23159405:23161176:-1 gene:PRUPE_2G192600 transcript:ONI23516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTNRVERNEIKLGDHIYTYRAVFTYSHHERILSSKIVQHKTLSGGIYLGGSKVVHFRPERNLNLSTEASSDVYDSMTCPTFPDCGFRQPNSGVVLSCLDCFLKNGSLYCFEYGVSPSVFLAKVRGGTCTTAASDSPETVVHRAMYLLQNGFGNYDVFQNNCEDFTMYCKTGLLIVDKQGVGRSGQASSIIGAPLAAILSSPLKLLMPSPVGVATMTAGMYCMSRYATDIGVRTDVIKVAVEDLAVNLGWADDNEEEVTEVEESSMTQITR >ONI23514 pep chromosome:Prunus_persica_NCBIv2:G2:23159141:23161393:-1 gene:PRUPE_2G192600 transcript:ONI23514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTNRVERNEIKLGDHIYTYRAVFTYSHHGIYLGGSKVVHFRPERNLNLSTEASSDVYDSMTCPTFPDCGFRQPNSGVVLSCLDCFLKNGSLYCFEYGVSPSVFLAKVRGGTCTTAASDSPETVVHRAMYLLQNGFGNYDVFQNNCEDFTMYCKTGLLIVDKQGVGRSGQASSIIGAPLAAILSSPLKLLMPSPVGVATMTAGMYCMSRYATDIGVRTDVIKVAVEDLAVNLGWADDNEEEVTEVEESSMTQITR >ONI23515 pep chromosome:Prunus_persica_NCBIv2:G2:23159141:23161752:-1 gene:PRUPE_2G192600 transcript:ONI23515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTNRVERNEIKLGDHIYTYRAVFTYSHHGIYLGGSKVVHFRPERNLNLSTEASSDVYDSMTCPTFPDCGFRQPNSGVVLSCLDCFLKNGSLYCFEYGVSPSVFLAKVRGGTCTTAASDSPETVVHRAMYLLQNGFGNYDVFQNNCEDFTMYCKTGLLIVDKQGVGRSGQASSIIGAPLAAILSSPLKLLMPSPVGVATMTAGMYCMSRYATDIGVRTDVIKVAVEDLAVNLGWADDNEEEVTEVEESSMTQITR >ONI23517 pep chromosome:Prunus_persica_NCBIv2:G2:23159405:23161176:-1 gene:PRUPE_2G192600 transcript:ONI23517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTNRVERNEIKLGDHIYTYRAVFTYSHHERILSSKIVQHKTLSGGIYLGGSKVVHFRPERNLNLSTEASSDVYDSMTCPTFPDCGFRQPNSGVVLSCLDCFLKNGSLYCFEYGVSPSVFLAKVRGGTCTTAASDSPETVVHRAMYLLQNGFGNYDVFQNNCEDFTMYCKTGLLIVDKQGVGRSGQASSIIGAPLAAILSSPLKLLMPSPVGVATMTAGMYCMSRYATDIGVRTDVIKVAVEDLAVNLGWADDNEEEVTEVEESSMTQITR >ONI22033 pep chromosome:Prunus_persica_NCBIv2:G2:15878455:15884449:1 gene:PRUPE_2G102900 transcript:ONI22033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFREVCSTATSQEPFSSASLSDSLLVEKILLGLKQGNLNSLRSYLLRLNPLLVVEVLNRCRENLQLGLKFIDLIVLNSPNFKHSSQSLSAMIHLLVRGRRVSDAQALILRMVRKSGVSRVEVVDSLVSTYSNCGSSSLVFDLLVRTYVQARKLREGFEVFQLFRSKGFCVSINACNSLLGGLVKVGWVDLAWQVYGDVVSSGIQLNVYTLNIMVNALCKDRKIDSVKSFLSDMEEKGVFSDIVTYNTLINAYCQEGLLEEAFQLKNSMSCKGLRPEVFTYNAIINGLCKVGNYARAKEILYEMLNNGLSPDTTTYNTLLVESCRKDDISEAEGIFNEMSCRGVIPDLVSFSSLIGVFSRNGHIDHALVYFRDMKKAGWVPDNVIYTILIHGYCRNGMMLEALKLRDEMLEQGCVMDVVTFNTILNGLCREKMLSDADELFNEMVERGVFPDFYTFTTLIHGYSKHGNMTKSLNLFEAMTKRNIKPDIVTYNTLIDGFCKVGEMDKAKELWADMVSRRILPNHISYGILINGFCSTGHVHEAFRLWDQMIEEGIKPTLVTCNTVIKGYCRSGNTTKADEFLGKMVSKGIVPDSITYNTLINGYVKEENLDRAFFVVKEMENQGILPDLFTYNIILNGFCRQGRMHKAELVLRKMIERGVKPDRDTYTSLINGHVTQDNLREAFRFHDEMLQRGFVPDDEF >ONI22541 pep chromosome:Prunus_persica_NCBIv2:G2:19325579:19327406:-1 gene:PRUPE_2G135600 transcript:ONI22541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRSQEASSSDTNCSCSYHVFLSFRGEDTRRTFTDHLYTAFVNSGLQTFRDDDELERGEGIKTELEKAIQHSRSSVIVFVKNYASSKWCLDELVKILERKRASDDHVILPVFYDIDPSEVRKQTGSLAKAFARHRQNRSLNKDRISGWRAALTEVADLAGMVLQNEADGHEAKFIKKIVKVIEGKLSRTPLSVSPYLIGINHRVKDINSWLQDGSSDVGICAIYGIGGIGKTTIAQVVYNSNFSRFEGRSFLENIREISEQPNGLVQVQMQLLSHILNGRKVKIHNISEGITKIKDVISCKKVLLILDDVDHMRQLDAILRMKDWFCPGSKIIITTRSVGLLKAHQDVKVHNIETLNHVESLELFSWHVFGQDFPIGGYMELSESIVKQWRASFSSSNFGFFSIRAKYRCMGKCIQEIGSYSK >ONI23723 pep chromosome:Prunus_persica_NCBIv2:G2:23961390:23962319:-1 gene:PRUPE_2G204300 transcript:ONI23723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMASKTISVCVAFFLIFVLLSQNTHSKSTHDQHDPFKFIQHLEGCHKGQNVSGLQELKKYLTKFGYLNYDHSKHANDEEFDDILESAIKSYQKSYHLKVTGTLDTTTGKQMMMPRCGVPDVVNGTRKGSKKHNHKHKSIHGVAHYEFFPGPRRWSKTHLTYRFSSSVNQVPGTQNVRSICAQAFQRWAQVTSFTFEEVPATSAADITIGFHRGNHGDGSAFDGPRGTFAHANPPRGGNFHYDADERWSSNPGPNEVDLESVAVHEIGHLLGLNHNPDLPDAIMYPYFNYGSIKRNLHRDDNGMLTLP >ONI22668 pep chromosome:Prunus_persica_NCBIv2:G2:20072510:20076316:1 gene:PRUPE_2G143400 transcript:ONI22668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGRLPISASQRGKLISAGYTTLASLSSLSSSDLARDLKIPESEAFEILKVASHDCRLDQPDKSNGIFTGAQTAWEMLHEEQLFPRITTSCADLDNILGGGINCKEVTEIGGVPGIGKTQLGIQLAVNVQIPVDFGGLGGKAVYIDTEGSFMVERALQIAEASVVDMSHYNELLWKELRTCQVEIQPTDILKNIFYFRICSYTEQIALINHLDKFILEHKDVKIVIIDSVTFHFRQDFEDLALRTRLLGGMALKLMNLANKYNLAVSNMIASYSLWQVVIFNQVTTKHKEGSFQLSLALGDSWSHCCTNRVILYWNGDERNAHIDKSPSLKSASAPFSVTRKGIRNSASNHKRIKLM >ONI25188 pep chromosome:Prunus_persica_NCBIv2:G2:28298000:28301444:1 gene:PRUPE_2G287100 transcript:ONI25188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNLTSALDKKLVLGEDSKDPSPDAKGGSKDDKSLVVCFGEMLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGVSRLGGSSGFIGKVGDDEFGYLLADILKQNNVNNSGVRFDPSARTALAFVTLRADGEREFLFFRNPSADMLLRESELDINLIQQARIFHYGSISLIDEPCRSTHLAAMRIAKKSGCILSYDPNLRLPLWPSEEAARKGIMSIWDQADIIKISEDEITFLTGGDDPYDDNVVLTKLFHPNLKLLVVTEGSEGCRYYTQNEQGLREALLFANACGALTVTERGAIPAMPTREAVLRCLAQVADKK >ONI25187 pep chromosome:Prunus_persica_NCBIv2:G2:28297724:28301506:1 gene:PRUPE_2G287100 transcript:ONI25187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNLTSALDKKLVLGEDSKDPSPDAKGGSKDDKSLVVCFGEMLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGVSRLGGSSGFIGKVGDDEFGYLLADILKQNNVNNSGVRFDPSARTALAFVTLRADGEREFLFFRNPSADMLLRESELDINLIQQARIFHYGSISLIDEPCRSTHLAAMRIAKKSGCILSYDPNLRLPLWPSEEAARKGIMSIWDQADIIKISEDEITFLTGGDDPYDDNVVLTKLFHPNLKLLVVTEGSEGCRYYTQKFRGRVAGVKVKPVDTTGAGDAFVSGVLNSIASDLSLFQNEQGLREALLFANACGALTVTERGAIPAMPTREAVLRCLAQVADKK >ONI25186 pep chromosome:Prunus_persica_NCBIv2:G2:28298000:28301444:1 gene:PRUPE_2G287100 transcript:ONI25186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNLTSALDKKLVLGEDSKDPSPDAKGGSKDDKSLVVCFGEMLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGVSRLGGSSGFIGKVGDDEFGYLLADILKQNNVNNSGVRFDPSARTALAFVTLRADGEREFLFFRNPSADMLLRESELDINLIQQARIFHYGSISLIDEPCRSTHLAAMRIAKKSGCILSYDPNLRLPLWPSEEAARKGIMSIWDQADIIKISEDEITFLTGGDDPYDDNVVLTKLFHPNLKLLVVTEGSEGCRYYTQKFRGRVAGVKVKPVDTTGAGDAFVSGVLNSIASDLSLFQVHKGLREALLFANACGALTVTERGAIPAMPTREAVLRCLAQVADKK >ONI22369 pep chromosome:Prunus_persica_NCBIv2:G2:18056425:18057138:1 gene:PRUPE_2G124500 transcript:ONI22369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHFPYLFFPPQCIFNLKLECSMTFFYLFPTRPTLKYNGCCHENCWYFRKCSKPCWVTCIYWYSFSSYHGIFL >ONI22475 pep chromosome:Prunus_persica_NCBIv2:G2:19002896:19014120:1 gene:PRUPE_2G131900 transcript:ONI22475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASASPERIQAPQSPIMEPSPSRLSTAGPASEAEGSSSPVLSYSADTPDRSEPCNSSPTVDAQSAASLKSEEYRQLFRLPPDEALIEDFNCAFQENILIQGHMYLFIHYICFYSNIFGYETKKIIPLQEVTSVKRAKTAGIFPNAIEIFAGAKKYFFASFLSRDEALRIINDGWAQYVDGAKVNTEQQDSISETGSQENGVVIESVESSKCLVNELDSTDRNEDAHISQDSKPASNVGDDSVLTSLPEPQDIAEGDLEPSLNGGPSCSKDSLIWKEESYDAPNIPECYTKVAESQFPIKVEDFFSLFFSDDVFTESFHRSCGDKELKCTPWHLHETFGHTRDVSFQHPIKLYFGAKFGSCQELQKFRVHRNSHLVIETSQEISDVPYADYFRVEGLWDIERDPDGSKDCCNLKIYVNVAFSKRTVWKGKIVQSTMEECREVFETWINMAHELLKAKNLERKERIPVVSIIQKCEVHSEIEAEMGESTEMFYEPSENSTMQHISETMDANQRVGNLLRGNLIDASPLTSWLRESVMKFRSSLRSQSSHLPLILVIVFVVIFIMQLSILVLLARPQHIHVHSPADYTSSLGSVVGERSSEVANWLEKRIHHLKDEMHFVETRLESMRHEHALLKAQLEDFKHLSKRR >ONI22476 pep chromosome:Prunus_persica_NCBIv2:G2:19002896:19014120:1 gene:PRUPE_2G131900 transcript:ONI22476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASASPERIQAPQSPIMEPSPSRLSTAGPASEAEGSSSPVLSYSADTPDRSEPCNSSPTVDAQSAASLKSEEYRQLFRLPPDEALIEDFNCAFQENILIQGHMYLFIHYICFYSNIFGYETKKIIPLQEVTSVKRAKTAGIFPNAIEIFAGAKKYFFASFLSRDEALRIINDGWAQYVDGAKVNTEQQDSISETGSQENGVVIESVESSKCLVNELDSTDRNEDAHISQDSKPASNVGDDSVLTSLPEPQDIAEGDLEPSLNGGPSCSKDSLIWKEESYDAPNIPECYTKVAESQFPIKVEDFFSLFFSDDVFTESFHRSCGDKELKCTPWHLHETFGHTRDVSFQHPIKLYFGAKFGSCQELQKFRVHRNSHLVIETSQEISDVPYADYFRVEGLWDIERDPDGSKDCCNLKIYVNVAFSKRTVWKGKIVQSTMEECREVFETWINMAHELLKAKNLERKERIPVVSIIQKCEVHSEIEAEMGESTEMFYEPSENSTMQHISETMDANQRVGNLICDEIPVIFEKSKQPSSLNLSYRFCRDFYHAVEHTRAAS >ONI22477 pep chromosome:Prunus_persica_NCBIv2:G2:19003109:19012802:1 gene:PRUPE_2G131900 transcript:ONI22477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASASPERIQAPQSPIMEPSPSRLSTAGPASEAEGSSSPVLSYSADTPDRSEPCNSSPTVDAQSAASLKSEEYRQLFRLPPDEALIEDFNCAFQENILIQGHMYLFIHYICFYSNIFGYETKKIIPLQEVTSVKRAKTAGIFPNAIEIFAGAKKYFFASFLSRDEALRIINDGWAQYVDGAKVNTEQQDSISETGSQENGVVIESVESSKCLVNELDSTDRNEDAHISQDSKPASNVGDDSVLTSLPEPQDIAEGDLEPSLNGGPSCSKDSLIWKEESYDAPNIPECYTKVAESQFPIKVEDFFSLFFSDDVFTESFHRSCGDKELKCTPWHLHETFGHTRDVSFQHPIKLYFGAKFGSCQELQKFRVHRNSHLVIETSQEISDVPYADYFRVEGLWDIERDPDGSKDCCNLKIYVNVAFSKRTVWKGKIVQSTMEECREVFETWINMAHELLKAKNLERKGRTVADT >ONI25642 pep chromosome:Prunus_persica_NCBIv2:G2:29498929:29504044:-1 gene:PRUPE_2G312600 transcript:ONI25642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSFIVSLTSGFTTSFFRDDEEEGQYQVMKRSKLGVLLTNIPLWNKMFLISCVIAISLDPLFFYIPIIDEDNKCLGKDKNLRIAALLSRSLTDIIFLLHFVYEVYEAIKTRNSTFTVVRRTTWHSKSKIQKLIEFAKGIPQKMSWLSLSIVIDFLALLPIPQLLILVVFYKMGGSGYLEHRKVLNLFLLGQYLPRIYRIHLWCKELTRTTTRIWTTTRIWAKGLLNLLLYILASHVLGAFWYFFSIQRETSCWRQACVNYSANPKECMSAFYCDGRNTSSTTITFLNERCPLNTPDGTEAPFDFGIFLDSLKNHNSEHIHFARKLFYSFWWGLRNLSNFGTNLTTSTYMWENLFAILISIIGLVLFLYLIGNVEASMRLEAKKSEDKTQKIRMKELDVRSWISRNELPDNLKKEIMNIIKLKLDENKDADLVNLFSILPWHTRKYLKRCLCMKTLKTVPMLKNLDEKVLIMMCDYLKPVVYNENSFVFRMGDPLDCMLFIVEGTMWTYYSPSTSDTDTTSEAAATSPSSILMTTKALRKGEFYGEELLKWASPTFTTLPISTRHVRSQRKVEAFALMADDLATIVSKCQLQWDLNNCDNPQEMKMMAISSITKAIRRFRTSRHRSTTERLLEEVIGEKVRIIKAMDEKLLKQSVPINYNENKDIIGEGDQLDRVLFIRQGIARTYRTNGKGGKIGTSKLLEKGALNVKSVSKVEAFTLMARDLKLVITKFWWHFTKDKELNQFSEPQLEAARNFFNRKMSPSH >ONI25257 pep chromosome:Prunus_persica_NCBIv2:G2:28538732:28540887:-1 gene:PRUPE_2G292300 transcript:ONI25257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSHVQSGKKRSWTDEKHVQFLNSMEASFVRAMFEKKDHRLLRLDRYLPDTSDSTLDLKTLNKTKKQGGRMDGGADKRLRRFSSQPFNASQDQVVPQFEMRAVGDKDERDHLHVPDQL >ONI22326 pep chromosome:Prunus_persica_NCBIv2:G2:17865548:17866970:1 gene:PRUPE_2G121500 transcript:ONI22326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAPNPPNKWNWFKSFQYDEGNDSPGDARNVLLVVAALITAVTFQAGVNPPGGVWQDSQNGHTAGRAIYATHKTAFYVFLISNTLALSTAIFIIISLTHKFPFHLEVLVATVSMIVTYGSAVFAVTPNESVQFRYILTAAALPFIIRIFVQVFKMYKPKCVSYFKNHF >ONI23108 pep chromosome:Prunus_persica_NCBIv2:G2:21757022:21768529:-1 gene:PRUPE_2G170500 transcript:ONI23108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAIKKRFRAINESRAQKRKAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADREPSGRNMRQGLASVVLRLLGNRVVNEDAELCVNLLQSSFSKREAESSTEAASASFADLSSESLFDQLLLVLHGLLSSCQPSWLRPTKSTNESGKDFAAFDREMADHLQSDLDRMQLPERIRWRIQTAMPVVVPSIRCFVSCQPPPVPNTALAVLQTSISTPGFYSGISNPPQRNQVPLARTVANIPGKSKSLPSQDYDMDIDPWTLLEDGAGSGPSSSNSALIGSADHGNLRASSWLKGAVRVRRKDLTYIGAVDDDS >ONI23112 pep chromosome:Prunus_persica_NCBIv2:G2:21758555:21768529:-1 gene:PRUPE_2G170500 transcript:ONI23112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAIKKRFRAINESRAQKRKAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADRHSTCCHSWLF >ONI23103 pep chromosome:Prunus_persica_NCBIv2:G2:21756610:21770405:-1 gene:PRUPE_2G170500 transcript:ONI23103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADREPSGRNMRQGLASVVLRLLGNRVVNEDAELCVNLLQSSFSKREAESSTEAASASFADLSSESLFDQLLLVLHGLLSSCQPSWLRPTKSTNESGKDFAAFDREMADHLQSDLDRMQLPERIRWRIQTAMPVVVPSIRCFVSCQPPPVPNTALAVLQTSISTPGFYSGISNPPQRNQVPLARTVANIPGKSKSLPSQDYDMDIDPWTLLEDGAGSGPSSSNSALIGSADHGNLRASSWLKGAVRVRRKDLTYIGAVDDDS >ONI23113 pep chromosome:Prunus_persica_NCBIv2:G2:21758555:21768529:-1 gene:PRUPE_2G170500 transcript:ONI23113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAIKKRFRAINESRAQKRKAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADRHSTCCHSWLF >ONI23102 pep chromosome:Prunus_persica_NCBIv2:G2:21756610:21769639:-1 gene:PRUPE_2G170500 transcript:ONI23102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADREPSGRNMRQGLASVVLRLLGNRVVNEDAELCVNLLQSSFSKREAESSTEAASASFADLSSESLFDQLLLVLHGLLSSCQPSWLRPTKSTNESGKDFAAFDREMADHLQSDLDRMQLPERIRWRIQTAMPVVVPSIRCFVSCQPPPVPNTALAVLQTSISTPGFYSGISNPPQRNQVPLARTVANIPGKSKSLPSQDYDMDIDPWTLLEDGAGSGPSSSNSALIGSADHGNLRASSWLKGAVRVRRKDLTYIGAVDDDS >ONI23109 pep chromosome:Prunus_persica_NCBIv2:G2:21757528:21770405:-1 gene:PRUPE_2G170500 transcript:ONI23109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAIKKRFRAINESRAQKRKAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADREPSGRNMRQGLASVVLRLLGNRVVNEDAELCVNLLQSSFSKREAESSTEAASASFADLSSESLFDQLLLVLHGLLSSCQPSWLRPTKSTNESGKDFAAFDREMADHLQWWCFVISFVTNSL >ONI23111 pep chromosome:Prunus_persica_NCBIv2:G2:21757527:21770405:-1 gene:PRUPE_2G170500 transcript:ONI23111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAIKKRFRAINESRAQKRKAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADREPSGRNMRQGLASVVLRLLGNRVVNEDAELCVNLLQSSFSKREAESSTEAASASFADLSSESLFDQLLLVLHGLLSSCQPSWLRPTKSTNESGKDFAAFDREMADHLQWWCFVISFVTNSL >ONI23104 pep chromosome:Prunus_persica_NCBIv2:G2:21756610:21770405:-1 gene:PRUPE_2G170500 transcript:ONI23104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADREPSGRNMRQGLASVVLRLLGNRVVNEDAELCVNLLQSSFSKREAESSTEAASASFADLSSESLFDQLLLVLHGLLSSCQPSWLRPTKSTNESGKDFAAFDREMADHLQSDLDRMQLPERIRWRIQTAMPVVVPSIRCFVSCQPPPVPNTALAVLQTSISTPGFYSGISNPPQRNQVPLARTVANIPGKSKSLPSQDYDMDIDPWTLLEDGAGSGPSSSNSALIGSADHGNLRASSWLKGAVRVRRKDLTYIGAVDDDS >ONI23110 pep chromosome:Prunus_persica_NCBIv2:G2:21757554:21770405:-1 gene:PRUPE_2G170500 transcript:ONI23110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAIKKRFRAINESRAQKRKAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADREPSGRNMRQGLASVVLRLLGNRVVNEDAELCVNLLQSSFSKREAESSTEAASASFADLSSESLFDQLLLVLHGLLSSCQPSWLRPTKSTNESGKDFAAFDREMADHLQWWCFVISFVTNSL >ONI23107 pep chromosome:Prunus_persica_NCBIv2:G2:21757022:21768529:-1 gene:PRUPE_2G170500 transcript:ONI23107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAIKKRFRAINESRAQKRKAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADREPSGRNMRQGLASVVLRLLGNRVVNEDAELCVNLLQSSFSKREAESSTEAASASFADLSSESLFDQLLLVLHGLLSSCQPSWLRPTKSTNESGKDFAAFDREMADHLQSDLDRMQLPERIRWRIQTAMPVVVPSIRCFVSCQPPPVPNTALAVLQTSISTPGFYSGISNPPQRNQVPLARTVANIPGKSKSLPSQDYDMDIDPWTLLEDGAGSGPSSSNSALIGSADHGNLRASSWLKGAVRVRRKDLTYIGAVDDDS >ONI23105 pep chromosome:Prunus_persica_NCBIv2:G2:21756610:21770406:-1 gene:PRUPE_2G170500 transcript:ONI23105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAIKKRFRAINESRAQKRKAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADREPSGRNMRQGLASVVLRLLGNRVVNEDAELCVNLLQSSFSKREAESSTEAASASFADLSSESLFDQLLLVLHGLLSSCQPSWLRPTKSTNESGKDFAAFDREMADHLQSDLDRMQLPERIRWRIQTAMPVVVPSIRCFVSCQPPPVPNTALAVLQTSISTPGFYSGISNPPQRNQVPLARTVANIPGKSKSLPSQDYDMDIDPWTLLEDGAGSGPSSSNSALIGSADHGNLRASSWLKGAVRVRRKDLTYIGAVDDDS >ONI23106 pep chromosome:Prunus_persica_NCBIv2:G2:21757022:21768529:-1 gene:PRUPE_2G170500 transcript:ONI23106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYHATGCTSAVNNNAIGGTSGRDSVRADSAALPANLSLASRRASQLNPYKLKCEKDPLNGRLGPPDFHPQTPNCPEETLTREYVQFGYRETVEGIEESREISLSQAQVFNKPLVFRCKEAIKKRFRAINESRAQKRKAGQVYGAPLADTLLSKPGVFPEQRHCGEDLRKKWIEGLSQQHKRLRSLADHVPHGYRKRPLFEVLTRNNVPLLRATWFIKVTYLNQVRPGSAIISSGAPDKAQLSRTELWTKDVIDYLQYLLDELFSRNNSHSTSHNRDRSPQTLYAGSVPQRSDPASAVPDGEEPSLHFKWWYVVRLLQWHHAEGLLLPTLIIEWVLSQLQEKELLEIMQLLLPIVYGVLETVVLSQTYVRNLVGVAVRFIREPSQGGSDVVGNSRRAYTVSTVVEMLRYLILAVPDTFVALDCFPLPSCVVSYIVNDGLPKMSEDVRKIGNGPAEVASAFRSKGFDAQYQSLAFDHVVSSIQKRADNLAKAASPSYPFHSIAKAVQALDRSLVQGDVRGAYRFLFEDPCDGVANESWITGVSPCLRTSLKWIGTANLSFVCSVFFLCEWATCDFRDFRTAPPCELKFTGRKDFSQVHVVIQLLKLKIRDLQCSPQRKNDSFLGVGSVAKGSTQHNNFPVRISMGNSYETKNRSKNGDQRSIKSSNIFESPGPLHDIIVCWIDQHEAGKGEGFKRLQLLVIELIRSGIFHPHAYVRQLIVSGIMDTDGPVVEVDRRKRHYQILKLLPGLLMRHALKEAGIAEEPQLSEAMNLYSTERRLILRGLLSDQNKNANMIVSALKQKHFPVPGKDGPLPVSVDQWKAVQSSSNILSVKGGKSDADLEELKEAISVLLQLPNSSSPSTETGLDESQGSVKRPFGSIYNKMDLGEGTPGCEECKRAKRQKVSDERSSYIQGNSPIPSDDEDTWWMRKRLKSLEPMKVDPPVKSTKQVSRIRQKIVRKTQSLAQLAAARIEGSQGASTSHVCNNKVSCPHHRTGLEGETPKSTDPTKVSHGGDIVSIGKALKRLRFMEKRTITVWLMTVIRQLVEETEKTIAKVGQFGRTFTSVDDRSSIRWKLGEDELSAALYLMDVSNDLVLAVKFLLWLLPKVSSPSSTFHSGRNILLLPKNVESQVCEVGEAFLISSLRRYENIVIATDLIPEVLSAIMHRASAIVASNGRLSGSPALAYSRYLSKRNSNVASVIEWEKNFKATCDKRLLSELESGQSVDGELGFPLGVPAGVEDLDDFFRQKISGVRLSRAGLNMREIVQRNVNVEDALHYFYGKERKLFAAGAHKGPPVEKWDDGYQIAQNVITELMDCIRQTGGAAQEGDPSLVSSAVSAIVGNVGPIIAKVSDFRAGGSYSSFPAATDSLNCARRILRIHISCLCLLKEALGERQTRVFEVALATEACSALAGVFSPGKASRNQYQSSPESHDSNTNASNDILNSSTKIGLGRTTKVAAAVSALIIGAVAQGVTSLERLVTVFKLKERLDIIQFVRSSRSNSNGNARSSGAFKGDISLEVYVHWFRLLVGNCRTVSDGLVVELLGEPTVIALSRMQRMLPLGLVFPPAYSIFAFVVWRPFLLNTSIAAREDFNQLYQSLTTAIGDAVKHSPFRDVCLRDSQGFYDLVAADGSDAEFAAILELNGSDMLLKSTAFVPLRARLFLNAIMDCKMPVSLFMQCEGNQVSGHGESKVQYAERETKLVDKLVHILDTLQPAKFHWQWVELRLLLNEQALIEKLETQDMSLVDAIRSSSPSPEKAAASENEKYFIEIILTRLLVRPDAAPLFSDVVHLFGRSLADSMLLQVKWFLGGSDVLFGRKTIRQRLLNIAETKGLSTKTQFWKPWGWCSYGFDPVTNKGDKKKFEVTSLEEGEMVEEGIDSKKYGKGLTPTYDIESYNVTQQRVTERALIELLLPCIDQSSDDSRNTFANDLIKQLSNIEVQISAVTRGTNKQAGPAPSGVEGPTSKGNNRKGIRGGSPGLARRAAVAADSAPPSPAALRASMSLRLQLLLRLLPIICADREPSGRNMRQGLASVVLRLLGNRVVNEDAELCVNLLQSSFSKREAESSTEAASASFADLSSESLFDQLLLVLHGLLSSCQPSWLRPTKSTNESGKDFAAFDREMADHLQSDLDRMQLPERIRWRIQTAMPVVVPSIRCFVSCQPPPVPNTALAVLQTSISTPGFYSGISNPPQRNQVPLARTVANIPGKSKSLPSQDYDMDIDPWTLLEDGAGSGPSSSNSALIGSADHGNLRASSWLKGAVRVRRKDLTYIGAVDDDS >ONI24072 pep chromosome:Prunus_persica_NCBIv2:G2:24983087:24986431:1 gene:PRUPE_2G222200 transcript:ONI24072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFLVKKEKKLRPLYKSPRNRNAVVLCLPLLVSLQSTFCSLPPSAFVRTLSSLCNFSTLTLKSPINPSISSASSTFSMEMDSLPNGSATSPGPSPGPTAAAAPSSKLSHLSESLKLEHQFLRVPFEHYKKTIRANHRVVEREMSSVINGVSEAADSDDMSSDDAVNHLSSLVSRLQGLKRKLEEGSRTEHLQAQRCRARLDHLESADVESLSDWNNTRLNRILVDYMLRMSYYDTAAKLAESRNIQDLVDIDVFQEAKKVIEALQNKEVGPALAWCAENKSRLKKSKSKFEFQLRLQEFIELVRAENNLRAITYAQKYLAPWGTTHMKELQRVFVTVAYKSTTECATYKVNLWYVISILLWFLMLSRCYLSRSNGTTWLTNSNRNFASYMA >ONI24073 pep chromosome:Prunus_persica_NCBIv2:G2:24983087:24987369:1 gene:PRUPE_2G222200 transcript:ONI24073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFLVKKEKKLRPLYKSPRNRNAVVLCLPLLVSLQSTFCSLPPSAFVRTLSSLCNFSTLTLKSPINPSISSASSTFSMEMDSLPNGSATSPGPSPGPTAAAAPSSKLSHLSESLKLEHQFLRVPFEHYKKTIRANHRVVEREMSSVINGVSEAADSDDMSSDDAVNHLSSLVSRLQGLKRKLEEGSRTEHLQAQRCRARLDHLESADVESLSDWNNTRLNRILVDYMLRMSYYDTAAKLAESRNIQDLVDIDVFQEAKKVIEALQNKEVGPALAWCAENKSRLKKSKSKFEFQLRLQEFIELVRAENNLRAITYAQKYLAPWGTTHMKELQRVFVTVAYKSTTECATYKVTTLMPEAMGLPG >ONI24071 pep chromosome:Prunus_persica_NCBIv2:G2:24982450:24987404:1 gene:PRUPE_2G222200 transcript:ONI24071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFLVKKEKKLRPLYKSPRNRNAVVLCLPLLVSLQSTFCSLPPSAFVRTLSSLCNFSTLTLKSPINPSISSASSTFSMEMDSLPNGSATSPGPSPGPTAAAAPSSKLSHLSESLKLEHQFLRVPFEHYKKTIRANHRVVEREMSSVINGVSEAADSDDMSSDDAVNHLSSLVSRLQGLKRKLEEGSRTEHLQAQRCRARLDHLESADVESLSDWNNTRLNRILVDYMLRMSYYDTAAKLAESRNIQDLVDIDVFQEAKKVIEALQNKEVGPALAWCAENKSRLKKSKSKFEFQLRLQEFIELVRAENNLRAITYAQKYLAPWGTTHMKELQRVFVTVAYKSTTECATYKVLFEPKQWDYLVDQFKQEFCKLYGMTLEPLLNIYLQAGLSALKTPYCYDDDCTKEDPLSQEGFRKLAQPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSSKALEEMARKNDGKITCPRTGLVCNYTDLVKAYIS >ONI25917 pep chromosome:Prunus_persica_NCBIv2:G2:30124620:30130424:-1 gene:PRUPE_2G326600 transcript:ONI25917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSFKASRSNLSSNSDMPDAHNKPPVPPTVTFGRRTSSGRYISYSRDDLDSELGSGDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCYTDAVKTGGSICPGCKETYKNTDLDEMAVDNARPPLPLPLPNGMSKNERRLSLMKSTKSVLMRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGGFGNGKDDEIVEPTELMNKPWRPLTRKLKIPAAILSPYRLLIFIRMVVLALFLAWRVNHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPVNRSTDLNVLKEKFETPSPNNPTGKSDLPGIDIFVSTADPDKEPPLVTANTILSILATDYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHRIEPRNPESYFNLKRDPYKNKVLPDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKLQRENREDEPVESVKVPKATWMADGTHWPGTWLSASPEHSKSDHAGIIQVMLKPPSDEPLHGADDDARLIDLTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRISLYGFDPPRSKEHHPGCCSCCFSRRRKHSSVANTPEENRALRMGDSDDEEMNLSLLPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLTYLLTITLTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDEDDEFADLYIVKWSSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSGTNQIGGSFQFP >ONI25919 pep chromosome:Prunus_persica_NCBIv2:G2:30124620:30128065:-1 gene:PRUPE_2G326600 transcript:ONI25919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLALFLAWRVNHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPVNRSTDLNVLKEKFETPSPNNPTGKSDLPGIDIFVSTADPDKEPPLVTANTILSILATDYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHRIEPRNPESYFNLKRDPYKNKVLPDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKLQRENREDEPVESVKVPKATWMADGTHWPGTWLSASPEHSKSDHAGIIQVMLKPPSDEPLHGADDDARLIDLTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRISLYGFDPPRSKEHHPGCCSCCFSRRRKHSSVANTPEENRALRMGDSDDEEMNLSLLPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLTYLLTITLTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDEDDEFADLYIVKWSSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSGTNQIGGSFQFP >ONI25918 pep chromosome:Prunus_persica_NCBIv2:G2:30124620:30129428:-1 gene:PRUPE_2G326600 transcript:ONI25918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLALFLAWRVNHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPVNRSTDLNVLKEKFETPSPNNPTGKSDLPGIDIFVSTADPDKEPPLVTANTILSILATDYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHRIEPRNPESYFNLKRDPYKNKVLPDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKLQRENREDEPVESVKVPKATWMADGTHWPGTWLSASPEHSKSDHAGIIQVMLKPPSDEPLHGADDDARLIDLTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRISLYGFDPPRSKEHHPGCCSCCFSRRRKHSSVANTPEENRALRMGDSDDEEMNLSLLPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLTYLLTITLTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDEDDEFADLYIVKWSSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSGTNQIGGSFQFP >ONI25915 pep chromosome:Prunus_persica_NCBIv2:G2:30124620:30130424:-1 gene:PRUPE_2G326600 transcript:ONI25915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSFKASRSNLSSNSDMPDAHNKPPVPPTVTFGRRTSSGRYISYSRDDLDSELGSGDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCYTDAVKTGGSICPGCKETYKNTDLDEMAVDNARPPLPLPLPNGMSKNERRLSLMKSTKSVLMRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGGFGNGKDDEIVEPTELMNKPWRPLTRKLKIPAAILSPYRLLIFIRMVVLALFLAWRVNHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPVNRSTDLNVLKEKFETPSPNNPTGKSDLPGIDIFVSTADPDKEPPLVTANTILSILATDYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHRIEPRNPESYFNLKRDPYKNKVLPDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKLQRENREDEPVESVKVPKATWMADGTHWPGTWLSASPEHSKSDHAGIIQVMLKPPSDEPLHGADDDARLIDLTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRISLYGFDPPRSKEHHPGCCSCCFSRRRKHSSVANTPEENRALRMGDSDDEEMNLSLLPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLTYLLTITLTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDEDDEFADLYIVKWSSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSGTNQIGGSFQFP >ONI25916 pep chromosome:Prunus_persica_NCBIv2:G2:30124610:30130441:-1 gene:PRUPE_2G326600 transcript:ONI25916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSFKASRSNLSSNSDMPDAHNKPPVPPTVTFGRRTSSGRYISYSRDDLDSELGSGDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCYTDAVKTGGSICPGCKETYKNTDLDEMAVDNARPPLPLPLPNGMSKNERRLSLMKSTKSVLMRSQTGDFDHNRWLFETKGTYGYGNAIWPKEGGFGNGKDDEIVEPTELMNKPWRPLTRKLKIPAAILSPYRLLIFIRMVVLALFLAWRVNHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPVNRSTDLNVLKEKFETPSPNNPTGKSDLPGIDIFVSTADPDKEPPLVTANTILSILATDYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHRIEPRNPESYFNLKRDPYKNKVLPDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKLQRENREDEPVESVKVPKATWMADGTHWPGTWLSASPEHSKSDHAGIIQVMLKPPSDEPLHGADDDARLIDLTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRISLYGFDPPRSKEHHPGCCSCCFSRRRKHSSVANTPEENRALRMGDSDDEEMNLSLLPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLTYLLTITLTLCMLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDEDDEFADLYIVKWSSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSGTNQIGGSFQFP >ONI21911 pep chromosome:Prunus_persica_NCBIv2:G2:15337162:15344931:-1 gene:PRUPE_2G098200 transcript:ONI21911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFNCDVVLIGGDDVSNEGEIYVAGVCNSSGYYSDSMVTPLDTVRLSQDSVCCSSVNGHKSQYYFRTGDFAKQLRSGDLVFLGRKDRTIKLNGQRIALEEIEDTVRGHSEVIDAAVIFHKVQGELMLLVAFIILREGIPKEIFGATIKSWMADKLPLAMIPGHIVVTESFPVSSSGKINYELLADSVFLAKHVEDGLGDVGSSNLLQLVKTAFRDVLSVEEISDDDDFFTMGGNSIAAAHLSNNIGVDMRLIYCFPSPSMLCTALLERKESLNINVSRDAKSKMNLEGGKPSFFHVHSDTPATVNFDEQRRLLRTLSGRSEDNAIISKRLKLDSNINVAGDSSPANGYPWNSVAIYASCSFSRCNKVVYEGGSVVKDIYQATCSVMVPKSRNVPMQESWKVYMGLCVDASPIIVHKGQDIYLFIGSHSHKFMCVNARSGSVQWEIKLEGRVECSAAILSDFTQVVVGCYRGKIYFLDFLNGNICWTFQTSGEVKSQPVIDSQRQLIWCGSYDHNLYALDYKNHCCVYQLPCGGSIYGSPAIDEMNNILYVASTSGQMTAISIAAFPFSILWLHGLEAPVFGSLAINSLNGNIICCLVDGHVLALDTSGSVIWRYRTAGPIFAGACMSSALPFQALICSRDGSIYSLELETGDLLWQYNVKDPITSSAYVDEHLSLVSDRSNLPDRLVCICSSSGSVYLLRVNSGVAKVANEPIDVEEFSRLDLAGDVFSSPVMIGGRIFVGCRDDYIHCITVKA >ONI21910 pep chromosome:Prunus_persica_NCBIv2:G2:15336978:15348376:-1 gene:PRUPE_2G098200 transcript:ONI21910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRTAILNLYGSTEVSGDCTYFDCKRLPLILAADRLTTVPIGMPIFNCDVVLIGGDDVSNEGEIYVAGVCNSSGYYSDSMVTPLDTVRLSQDSVCCSSVNGHKSQYYFRTGDFAKQLRSGDLVFLGRKDRTIKLNGQRIALEEIEDTVRGHSEVIDAAVIFHKVQGELMLLVAFIILREGIPKEIFGATIKSWMADKLPLAMIPGHIVVTESFPVSSSGKINYELLADSVFLAKHVEDGLGDVGSSNLLQLVKTAFRDVLSVEEISDDDDFFTMGGNSIAAAHLSNNIGVDMRLIYCFPSPSMLCTALLERKESLNINVSRDAKSKMNLEGGKPSFFHVHSDTPATVNFDEQRRLLRTLSGRSEDNAIISKRLKLDSNINVAGDSSPANGYPWNSVAIYASCSFSRCNKVVYEGGSVVKDIYQATCSVMVPKSRNVPMQESWKVYMGLCVDASPIIVHKGQDIYLFIGSHSHKFMCVNARSGSVQWEIKLEGRVECSAAILSDFTQVVVGCYRGKIYFLDFLNGNICWTFQTSGEVKSQPVIDSQRQLIWCGSYDHNLYALDYKNHCCVYQLPCGGSIYGSPAIDEMNNILYVASTSGQMTAISIAAFPFSILWLHGLEAPVFGSLAINSLNGNIICCLVDGHVLALDTSGSVIWRYRTAGPIFAGACMSSALPFQALICSRDGSIYSLELETGDLLWQYNVKDPITSSAYVDEHLSLVSDRSNLPDRLVCICSSSGSVYLLRVNSGVAKVANEPIDVEEFSRLDLAGDVFSSPVMIGGRIFVGCRDDYIHCITVKA >ONI21909 pep chromosome:Prunus_persica_NCBIv2:G2:15337162:15347617:-1 gene:PRUPE_2G098200 transcript:ONI21909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNDALNLKPQMISAHKNTHCCISREFSAAAANNANKIAVVHASGRALLSPQLRSRTSITEDAAPALVRQLLYDGDHSFTYSHLISAVGSLTSQLLSTPRLPCDYNKPSRRIFGLYMPPSAEYIVSVLSVLRCGEAFLPLDPSWPKQRLLSVISSANVDLIIASRTPFGFESDSNWLPEACGGRVLWFSMEERKNGGIDWDWACKCESVKERPCWCYLMYTSGSTGKPKGVCGTEQGLLNRFLWMQELYPLFGDEVLLFKTGISFVDHLQEFLSAILTGCTLVIPPFNHLKRNVFSLVDFLQAYFVNRLTAVPSLMRAILPSLQGRDDEQLPRSLDLLVLSGEVLPLSLWDKLSKMLPRTAILNLYGSTEVSGDCTYFDCKRLPLILAADRLTTVPIGMPIFNCDVVLIGGDDVSNEGEIYVAGVCNSSGYYSDSMVTPLDTVRLSQDSVCCSSVNGHKSQYYFRTGDFAKQLRSGDLVFLGRKDRTIKLNGQRIALEEIEDTVRGHSEVIDAAVIFHKVQGELMLLVAFIILREGIPKEIFGATIKSWMADKLPLAMIPGHIVVTESFPVSSSGKINYELLADSVFLAKHVEDGLGDVGSSNLLQLVKTAFRDVLSVEEISDDDDFFTMGGNSIAAAHLSNNIGVDMRLIYCFPSPSMLCTALLERKESLNINVSRDAKSKMNLEGGKPSFFHVHSDTPATVNFDEQRRLLRTLSGRSEDNAIISKRLKLDSNINVAGDSSPANGYPWNSVAIYASCSFSRCNKVVYEGGSVVKDIYQATCSVMVPKSRNVPMQESWKVYMGLCVDASPIIVHKGQDIYLFIGSHSHKFMCVNARSGSVQWEIKLEGRVECSAAILSDFTQVVVGCYRGKIYFLDFLNGNICWTFQTSGEVKSQPVIDSQRQLIWCGSYDHNLYALDYKNHCCVYQLPCGGSIYGSPAIDEMNNILYVASTSGQMTAISIAAFPFSILWLHGLEAPVFGSLAINSLNGNIICCLVDGHVLALDTSGSVIWRYRTAGPIFAGACMSSALPFQALICSRDGSIYSLELETGDLLWQYNVKDPITSSAYVDEHLSLVSDRSNLPDRLVCICSSSGSVYLLRVNSGVAKVANEPIDVEEFSRLDLAGDVFSSPVMIGGRIFVGCRDDYIHCITVKA >ONI21915 pep chromosome:Prunus_persica_NCBIv2:G2:15340879:15348376:-1 gene:PRUPE_2G098200 transcript:ONI21915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNDALNLKPQMISAHKNTHCCISREFSAAAANNANKIAVVHASGRALLSPQLRSRTSITEDAAPALVRQLLYDGDHSFTYSHLISAVGSLTSQLLSTPRLPCDYNKPSRRIFGLYMPPSAEYIVSVLSVLRCGEAFLPLDPSWPKQRLLSVISSANVDLIIASRTPFGFESDSNWLPEACGGRVLWFSMEERKNGGIDWDWACKCESVKERPCWCYLMYTSGSTGKPKGVCGTEQGLLNRFLWMQELYPLFGDEVLLFKTGISFVDHLQEFLSAILTGCTLVIPPFNHLKRNVFSLVDFLQAYFVNRLTAVPSLMRAILPSLQGRDDEQLPRSLDLLVLSGEVLPLSLWDKLSKMLPRTAILNLYGSTEVSGDCTYFDCKRLPLILAADRLTTVPIGMPIFNCDVVLIGGDDVSNEGEIYVAGVCNSSGYYSDSMVTPLDTVRLSQDSVCCSSVNGHKSQYYFRTGDFAKQLRSGDLVFLGRKDRTIKLNGQRIALEEIEDTVRGHSEVIDAAVIFHKVQGELMLLVAFIILREGIPKEIFGATIKSWMADKLPLAMIPGHIVVTESFPVSSSGKINYELLADSVFLAKHVEDGLGDVGSSNLLQLVKTAFRDVLSVEEISDDDDFFTMGGNSIAAAHLSNNIGVDMRLIYCFPSPSMLCTALLERKESLNINVSRDAKSKMNLEGGKPSFFHVHSDTPATVNFDEQRRLLRTLSGRSEDNAIISKRLKLDSNINVAGDSSPANGYPWNSVAIYASCSFSRCNKVVYEGGSVVKDIYQATCSVMVPKSRNVPMQESWKVYMGLCVDASPIIVHKGQDIYLFIGSHSHKFMCVNARSGR >ONI21912 pep chromosome:Prunus_persica_NCBIv2:G2:15340090:15348376:-1 gene:PRUPE_2G098200 transcript:ONI21912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNDALNLKPQMISAHKNTHCCISREFSAAAANNANKIAVVHASGRALLSPQLRSRTSITEDAAPALVRQLLYDGDHSFTYSHLISAVGSLTSQLLSTPRLPCDYNKPSRRIFGLYMPPSAEYIVSVLSVLRCGEAFLPLDPSWPKQRLLSVISSANVDLIIASRTPFGFESDSNWLPEACGGRVLWFSMEERKNGGIDWDWACKCESVKERPCWCYLMYTSGSTGKPKGVCGTEQGLLNRFLWMQELYPLFGDEVLLFKTGISFVDHLQEFLSAILTGCTLVIPPFNHLKRNVFSLVDFLQAYFVNRLTAVPSLMRAILPSLQGRDDEQLPRSLDLLVLSGEVLPLSLWDKLSKMLPRTAILNLYGSTEVSGDCTYFDCKRLPLILAADRLTTVPIGMPIFNCDVVLIGGDDVSNEGEIYVAGVCNSSGYYSDSMVTPLDTVRLSQDSVCCSSVNGHKSQYYFRTGDFAKQLRSGDLVFLGRKDRTIKLNGQRIALEEIEDTVRGHSEVIDAAVIFHKVQGELMLLVAFIILREGIPKEIFGATIKSWMADKLPLAMIPGHIVVTESFPVSSSGKINYELLADSVFLAKHVEDGLGDVGSSNLLQLVKTAFRDVLSVEEISDDDDFFTMGGNSIAAAHLSNNIGVDMRLIYCFPSPSMLCTALLERKESLNINVSRDAKSKMNLEGGKPSFFHVHSDTPATVNFDEQRRLLRTLSGRSEDNAIISKRLKLDSNINVAGDSSPANGYPWNSVAIYASCSFSRCNKVVYEGGSVVKDIYQATCSVMVPKSRNVPMQESWKVYMGLCVDASPIIVHKGQDIYLFIGSHSHKFMCVNARSGSVQWEIKLEGRVECSAAILSDFTQVVVGCYRGKIYFLDFLNGNICWTFQTSGEVKSQPVIDSQRQLIWCGSYDHNLYALDYKNHCCVYQLPCGGSIYGSPAIDEMNNILYVASTSGQMTAISIAVLQISRFIV >ONI21913 pep chromosome:Prunus_persica_NCBIv2:G2:15341024:15348376:-1 gene:PRUPE_2G098200 transcript:ONI21913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNDALNLKPQMISAHKNTHCCISREFSAAAANNANKIAVVHASGRALLSPQLRSRTSITEDAAPALVRQLLYDGDHSFTYSHLISAVGSLTSQLLSTPRLPCDYNKPSRRIFGLYMPPSAEYIVSVLSVLRCGEAFLPLDPSWPKQRLLSVISSANVDLIIASRTPFGFESDSNWLPEACGGRVLWFSMEERKNGGIDWDWACKCESVKERPCWCYLMYTSGSTGKPKGVCGTEQGLLNRFLWMQELYPLFGDEVLLFKTGISFVDHLQEFLSAILTGCTLVIPPFNHLKRNVFSLVDFLQAYFVNRLTAVPSLMRAILPSLQGRDDEQLPRSLDLLVLSGEVLPLSLWDKLSKMLPRTAILNLYGSTEVSGDCTYFDCKRLPLILAADRLTTVPIGMPIFNCDVVLIGGDDVSNEGEIYVAGVCNSSGYYSDSMVTPLDTVRLSQDSVCCSSVNGHKSQYYFRTGDFAKQLRSGDLVFLGRKDRTIKLNGQRIALEEIEDTVRGHSEVIDAAVIFHKVQGELMLLVAFIILREGIPKEIFGATIKSWMADKLPLAMIPGHIVVTESFPVSSSGKINYELLADSVFLAKHVEDGLGDVGSSNLLQLVKTAFRDVLSVEEISDDDDFFTMGGNSIAAAHLSNNIGVDMRLIYCFPSPSMLCTALLERKESLNINVSRDAKSKMNLEGGKPSFFHVHSDTPATVNFDEQRRLLRTLSGRSEDNAIISKRLKLDSNINVAGDSSPANGYPWNSVAIYASCSFSRCNKVVYEGGSVVKDIYQATCSVMVPKSRNVPMQESWKVYMGLCVDASPIIVHKGQDIYLFIGSHSHKFMCVNARSGSVQWEIKLEGRVECSAAILSDFTQVVVGCYRGKIYFLDFLNGNICWTFQTSGEVKSQPVIDSQRQLICRKISLVPKAWLMCKGKLSLG >ONI21908 pep chromosome:Prunus_persica_NCBIv2:G2:15336978:15348376:-1 gene:PRUPE_2G098200 transcript:ONI21908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNDALNLKPQMISAHKNTHCCISREFSAAAANNANKIAVVHASGRALLSPQLRSRTSITEDAAPALVRQLLYDGDHSFTYSHLISAVGSLTSQLLSTPRLPCDYNKPSRRIFGLYMPPSAEYIVSVLSVLRCGEAFLPLDPSWPKQRLLSVISSANVDLIIASRTPFGFESDSNWLPEACGGRVLWFSMEERKNGGIDWDWACKCESVKERPCWCYLMYTSGSTGKPKGVCGTEQGLLNRFLWMQELYPLFGDEVLLFKTGISFVDHLQEFLSAILTGCTLVIPPFNHLKRNVFSLVDFLQAYFVNRLTAVPSLMRAILPSLQGRDDEQLPRSLDLLVLSGEVLPLSLWDKLSKMLPRTAILNLYGSTEVSGDCTYFDCKRLPLILAADRLTTVPIGMPIFNCDVVLIGGDDVSNEGEIYVAGVCNSSGYYSDSMVTPLDTVRLSQDSVCCSSVNGHKSQYYFRTGDFAKQLRSGDLVFLGRKDRTIKLNGQRIALEEIEDTVRGHSEVIDAAVIFHKVQGELMLLVAFIILREGIPKEIFGATIKSWMADKLPLAMIPGHIVVTESFPVSSSGKINYELLADSVFLAKHVEDGLGDVGSSNLLQLVKTAFRDVLSVEEISDDDDFFTMGGNSIAAAHLSNNIGVDMRLIYCFPSPSMLCTALLERKESLNINVSRDAKSKMNLEGGKPSFFHVHSDTPATVNFDEQRRLLRTLSGRSEDNAIISKRLKLDSNINVAGDSSPANGYPWNSVAIYASCSFSRCNKVVYEGGSVVKDIYQATCSVMVPKSRNVPMQESWKVYMGLCVDASPIIVHKGQDIYLFIGSHSHKFMCVNARSGSVQWEIKLEGRVECSAAILSDFTQVVVGCYRGKIYFLDFLNGNICWTFQTSGEVKSQPVIDSQRQLIWCGSYDHNLYALDYKNHCCVYQLPCGGSIYGSPAIDEAFPFSILWLHGLEAPVFGSLAINSLNGNIICCLVDGHVLALDTSGSVIWRYRTAGPIFAGACMSSALPFQALICSRDGSIYSLELETGDLLWQYNVKDPITSSAYVDEHLSLVSDRSNLPDRLVCICSSSGSVYLLRVNSGVAKVANEPIDVEEFSRLDLAGDVFSSPVMIGGRIFVGCRDDYIHCITVKA >ONI21914 pep chromosome:Prunus_persica_NCBIv2:G2:15341783:15347617:-1 gene:PRUPE_2G098200 transcript:ONI21914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNDALNLKPQMISAHKNTHCCISREFSAAAANNANKIAVVHASGRALLSPQLRSRTSITEDAAPALVRQLLYDGDHSFTYSHLISAVGSLTSQLLSTPRLPCDYNKPSRRIFGLYMPPSAEYIVSVLSVLRCGEAFLPLDPSWPKQRLLSVISSANVDLIIASRTPFGFESDSNWLPEACGGRVLWFSMEERKNGGIDWDWACKCESVKERPCWCYLMYTSGSTGKPKGVCGTEQGLLNRFLWMQELYPLFGDEVLLFKTGISFVDHLQEFLSAILTGCTLVIPPFNHLKRNVFSLVDFLQAYFVNRLTAVPSLMRAILPSLQGRDDEQLPRSLDLLVLSGEVLPLSLWDKLSKMLPRTAILNLYGSTEVSGDCTYFDCKRLPLILAADRLTTVPIGMPIFNCDVVLIGGDDVSNEGEIYVAGVCNSSGYYSDSMVTPLDTVRLSQDSVCCSSVNGHKSQYYFRTGDFAKQLRSGDLVFLGRKDRTIKLNGQRIALEEIEDTVRGHSEVIDAAVIFHKVQGELMLLVAFIILREGIPKEIFGATIKSWMADKLPLAMIPGHIVVTESFPVSSSGKINYELLADSVFLAKHVEDGLGDVGSSNLLQLVKTAFRDVLSVEEISDDDDFFTMGGNSIAAAHLSNNIGVDMRLIYCFPSPSMLCTALLERKESLNINVSRDAKSKMNLEGGKPSFFHVHSDTPATVNFDEQRRLLRTLSGRSEDNAIISKRLKLDSNINVAGDSSPANGYPWNSVAIYASCSFSRCNKVVYEGGSVVKDIYQATCSVMVPKSRNVPMQESWKVYMGLCVDASPIIVHKGQDIYLFIGSHSHKFMCVNARSGSVQWEIKLEGRVECSAAILSDFTQVVVGCYRGKIYFLDFLNGNICWTFQTSGEVKSQPVIDSQRQLICRKISLVPKAWLMCKGKLSLG >ONI24318 pep chromosome:Prunus_persica_NCBIv2:G2:25670309:25671129:1 gene:PRUPE_2G233900 transcript:ONI24318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETAATIEEAAPPPPPRPPPPSYSSASLRLASLILRIFTFLLLLISLIVLCTNTVDVYLQKLRFQDIYAYRYMLSTIVIGTAYSLLQLALSIHNVVSGQDGILLLDFFGDKLISYLLASGTGAGFAITVDTKRLTDADPLFDMIDIREFYDKAYASASLLLLAFCCTAMLSIISSYTLPKKV >ONI24032 pep chromosome:Prunus_persica_NCBIv2:G2:24860821:24864120:-1 gene:PRUPE_2G220100 transcript:ONI24032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNVNITLTSDASFDYEKTKDMEVEELPQGSRTQMEDSGTSNSSVVNAEEAPTPSNAGEEDSTNNTTSSFVFDILKKDKDGLCNTTYYGGAKDQNPSLQFVTRSLFPVTGDGGGGNEAECGLGLSSASSTARPQWLNLSFAESGGQAQAELRIMQQKKPQPRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHSAARAYDRAAIKFRGVDADINFTLGDYEEDMKQLGHLNKEEFVHVLRRQSTGASRGNSKYRGVALPKCGGAGGRWEARMAQFPEKKVFEKEGIKYNTGREAAAVTNFVDPSIYEGEVVLDASIEGSGHNLDLSLGISQPSSGQKGNGNLGDFQFRYKERPMVNGSAASAAVGQTPHVLTMVAKHPALYSGMYPGFLQKYEEMDSDHNGAQAVSSPRYTNLAWQVHGNSHSVSPVQVFSIAASSGFPSSMASTAPPAANYFPPNLQGSASASYNVGPLPFPTPSTM >ONI21684 pep chromosome:Prunus_persica_NCBIv2:G2:12529196:12533906:-1 gene:PRUPE_2G081100 transcript:ONI21684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAISADAAVVEILNGRNYVDWSVLVKTYLLAQDLWDVVEEEHEDDDEEEEEEADDKFKAWRKKNATALHKIQISCGREAFSLIRNATSAKRAWDTLAEKFKQKPDAAIAAKHDPYQPFFAAVKLGDWRKAKEFLTRDPNAIRARYSTGGTALHIATKFGHEHIVEELVQLMTPEDLEMQDVTWTALHLAARLNLKMVECMVRKNKKLLGIVEESHRLTPILFAAKNDLWDIVRYLYSVTPIQDLMPENGPYGAGLVCFCLLAKQFDIAWELLQRCPRLVITKDVVGTSPIRALAGIPSAFPSGTPLKFWEKWIYDGIHIQHPPAIHDVHVNVENLEEKLGNQNISFSGAALQMQRERQWFKEVKSLVVLPSGVGAFNKQGMRPHELFTQNHNKLKEEGEKWMKDAATSCTVVGALTITIMFAAAFTVPGGNNGGTGFPLFLDEKMFLVFIVSDAISLFSSTTSVLMFLGILTSRYAEDDFLKSLPTKMIIGLSTLLISIASMMVAFCSALFLMLHEKLWIVIPIIFLSSVPVTLFIWMQFPVLVEIFISTYGGGIFDKKVRRWI >ONI21685 pep chromosome:Prunus_persica_NCBIv2:G2:12529344:12533735:-1 gene:PRUPE_2G081100 transcript:ONI21685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAISADAAVVEILNGRNYVDWSVLVKTYLLAQDLWDVVEEEHEDDDEEEEEEADDKFKAWRKKNATALHKIQISCGREAFSLIRNATSAKRAWDTLAEKFKQKPDAAIAAKHDPYQPFFAAVKLGDWRKAKEFLTRDPNAIRARYSTGGTALHIATKFGHEHIVEELVQLMTPEDLEMQDVTWTALHLAARLNLKMVECMVRKNKKLLGIVEESHRLTPILFAAKNDLWDIVRYLYSVTPIQDLMPENGPYGAGLVCFCLLAKQFDIAWELLQRCPRLVITKDVVGTSPIRALAGIPSAFPSGTPLKFWEKWIYDGIHIQHPPAIHDVHVNVENLEEKLGNQNISFSVFGFTQGPSSSLCKLLGINRICEMKLIHARSLDILDYMCEVVKHLDTQEMEDGLVYAAIFRAVQRGIIEFVIRLCKVDPDILWKTNSMGRNIFQYSIECRQEKVYSLIYGVGQRNLIATFSDASGNDMLHLAGMLSPTEKLDLISGAALQMQRERQWFKEVKSLVVLPSGVGAFNKQGMRPHELFTQNHNKLKEEGEKWMKDAATSCTVVGALTITIMFAAAFTVPGGNNGGTGFPLFLDEKMFLVFIVSDAISLFSSTTSVLMFLGILTSRYAEDDFLKSLPTKMIIGLSTLLISIASMMVAFCSALFLMLHEKLWIVIPIIFLSSVPVTLFIWMQFPVLVEIFISTYGGGIFDKKVRRWI >ONI25116 pep chromosome:Prunus_persica_NCBIv2:G2:28047804:28051687:-1 gene:PRUPE_2G281900 transcript:ONI25116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTIKLVKARQIFDSRGNPTVEVDVTLFDGTYARAAVPSGASTGIYEALELRDGGSDYLGKGVLKAVENVNSIIGPALIGKDPTEQTKIDNYMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAIVNKIPLYKHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYDNKDKTYDLNFKEEKNDGSQKISGDSLKNVYKSFVTEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPKRVEKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGSAAVYAGSKFRVPVEPY >ONI25117 pep chromosome:Prunus_persica_NCBIv2:G2:28047960:28051621:-1 gene:PRUPE_2G281900 transcript:ONI25117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTIKLVKARQIFDSRGNPTVEVDVTLFDGTYARAAVPSGASTGIYEALELRDGGSDYLGKGVLKAVENVNSIIGPALIGKDPTEQTKIDNYMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAIVNKIPLYKHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYDNKDKTYDLNFKEEKNDGSQKISGDSLKNVYKSFVTEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPKAMEKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGSAAVYAGSKFRVPVEPY >ONI25521 pep chromosome:Prunus_persica_NCBIv2:G2:29257578:29261551:-1 gene:PRUPE_2G308400 transcript:ONI25521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDDAVRAKALAERKFIEKNYAISKKFASKAHNLYPELEGLSQMLTILDVYVAAENKINGEVDWYGILSVTPFADHEMIRKQYRKLALMLHPDKNKTLGAEGAFKLVSEAWSFLSDESKRLAYNHKRGFQHEVLSRTRGHSVQPSANTFQNVMNSATSNARAQRGPTLSPSYGNTATFWTFCNRCQTHYQYLRIYLNHVLVCPNCQKTFMAIEQAPPPSVFKSSSTSSSQQHQNSRHHAAGGNSFSSGRNCTVPQNLGAGGSLGPNSFNRTNFKQGFFSKMNGVGSPVASGHAAAPAVSAAQQASEKMKRDQERRQSIAEWERSKNLMGNPSLKKKRMDDKHLNGYQGGMANQMSKGSDGADLGGVSELSTGNVGTKRIYGLSSTYDRLNVARELPYPEMKKILIWKARTEICKMLNGQSSATKAERANKEQEKVKVNKKQKTVVNVDTAKKSGTGKEATPPVSINVPDPDFHNFDLDRTERSFGDDQVWAAYDDDGMPRYYARIHKLISLKPFKMRISWLNSRSNSELGPMDWVRSGFTKTCGDFRPGRHEIYDTLNSFSNKVSWTKSRRGVIRIFPTRSEVWALYTNWSPDWNQHTPDAVIHKYDMVEVLDDFAEERGVCVVPLCKVAGFKTVFRKHMDPKEVRWIPKEEMFRFSHQVPSHLLTGEEAPNAPKGCWELDPAATPSELLQVITEADEAPMAENGGKTKEGIFQRASAREADGGMEKEMFQEVVHTKLAKETNAITHQ >ONI25523 pep chromosome:Prunus_persica_NCBIv2:G2:29257578:29261620:-1 gene:PRUPE_2G308400 transcript:ONI25523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDDAVRAKALAERKFIEKNYAISKKFASKAHNLYPELEGLSQMLTILDVYVAAENKINGEVDWYGILSVTPFADHEMIRKQYRKLALMLHPDKNKTLGAEGAFKLVSEAWSFLSDESKRLAYNHKRGFQHEVLSRTRGHSVQPSANTFQNVMNSATSNARAQRGPTLSPSYGNTATFWTFCNRCQTHYQYLRIYLNHVLVCPNCQKTFMAIEQAPPPSVFKSSSTSSSQQHQNSRHHAAGGNSFSSGRNCTVPQNLGAGGSLGPNSFNRTNFKQGFFSKMNGVGSPVASGHAAAPAVSAAQQASEKMKRDQERRQSIAEWERSKNLMGNPSLKKKRMDDKHLNGYQGGMANQMSKGSDGADLGGVSELSTGNVGTKRIYGLSSTYDRLNVARELPYPEMKKILIWKARTEICKMLNGQSSATKAERANKEQEKVKVNKKQKTVVNVDTAKKSGTGKEATPPVSINVPDPDFHNFDLDRTERSFGDDQVWAAYDDDGMPRYYARIHKLISLKPFKMRISWLNSRSNSELGPMDWVRSGFTKTCGDFRPGRHEIYDTLNSFSNKVSWTKSRRGVIRIFPTRSEVWALYTNWSPDWNQHTPDAVIHKYDMVEVLDDFAEERGVCVVPLCKVAGFKTVFRKHMDPKEVRWIPKEEMFRFSHQVPSHLLTGEEAPNAPKGCWELDPAATPSELLQVITEADEAPMAENGGKTKEGIFQRASAREADGGMEKEMFQEVVHTKLAKETNAITHQ >ONI25522 pep chromosome:Prunus_persica_NCBIv2:G2:29257626:29261551:-1 gene:PRUPE_2G308400 transcript:ONI25522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDDAVRAKALAERKFIEKNYAISKKFASKAHNLYPELEGLSQMLTILDVYVAAENKINGEVDWYGILSVTPFADHEMIRKQYRKLALMLHPDKNKTLGAEGAFKLVSEAWSFLSDESKRLAYNHKRGFQHEVLSRTRGHSVQPSANTFQNVMNSATSNARAQRGPTLSPSYGNTATFWTFCNRCQTHYQYLRIYLNHVLVCPNCQKTFMAIEQAPPPSVFKSSSTSSSQQHQNSRHHAAGGNSFSSGRNCTVPQNLGAGGSLGPNSFNRTNFKQGFFSKMNGVGSPVASGHAAAPAVSAAQQASEKMKRDQERRQSIAEWERSKNLMGNPSLKKKRMDDKHLNGYQGGMANQMSKGSDGADLGGVSELSTGNVGTKRIYGLSSTYDRLNVARELPYPEMKKILIWKARTEICKMLNGQSSATKAERANKEQEKVKVNKKQKTVVNVDTAKKSGTGKEATPPVSINVPDPDFHNFDLDRTERSFGDDQVWAAYDDDGMPRYYARIHKLISLKPFKMRISWLNSRSNSELGPMDWVRSGFTKTCGDFRPGRHEIYDTLNSFSNKVSWTKSRRGVIRIFPTRSEVWALYTNWSPDWNQHTPDAVIHKYDMVEVLDDFAEERGVCVVPLCKVAGFKTVFRKHMDPKEVRWIPKEEMFRFSHQVPSHLLTGEEAPNAPKGCWELDPAATPSELLQVITEADEAPMAENGGKTKEGIFQRASAREADGGMEKEMFQEVVHTKLAKETNAITHQ >ONI22800 pep chromosome:Prunus_persica_NCBIv2:G2:20693899:20695504:-1 gene:PRUPE_2G152200 transcript:ONI22800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTALQEFFVPEDVLQILLSASNSSTLIDSLETLIQVCRAADGRADLASKSILPSVVQLIQSLPYPSGRHLLTLSLKLLRNLCAGEVSNQKSFLEQSGVAIISNVLNSANISLEPDSGVIRMGLQVLANVSLAGERHQHEIWQQLFPKEFLALARVQSRETCDPLCMVIFACCDGSPELFEKLCGDGGITIMKEIVRTTAAVGFGEDWVKLLLSRICLEGPYFSSLFSNLGFATSENVEDTEFREDLFSSDQAFFLRIISDILNERLREITVPRDFALCVFGIFKKSVGALNCVTRGQSGLPTGTSMIDVLGYSLTILRDVCAQKTLRGFQEDLGDAVDVLLSHGLIELILCLLRDLEPPAIIRKAIKQGEGQDGTNSGSSKPCPYKGFRRDIVAVIGNCTYQRKPVQDEIRQRDGILLLLQQCGLDEDNPFLKEWGIWCVRNLLEGNEDNKRVVTELELQGSVDAPEIAGLGFRVEVNPETGRPKLVNVS >ONI22801 pep chromosome:Prunus_persica_NCBIv2:G2:20693607:20696127:-1 gene:PRUPE_2G152200 transcript:ONI22801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTALQEFFVPEDVLQILLSASNSSTLIDSLETLIQVCRAADGRADLASKSILPSVVQLIQSLPYPSGRHLLTLSLKLLRNLCAGEVSNQKSFLEQSGVAIISNVLNSANISLEPDSGVIRMGLQVLANVSLAGERHQHEIWQQLFPKEFLALARVQSRETCDPLCMVIFACCDGSPELFEKLCGDGGITIMKEIVRTTAAVGFGEDWVKLLLSRICLEGPYFSSLFSNLGFATSENVEDTEFREDLFSSDQAFFLRIISDILNERLREITVPRDFALCVFGIFKKSVGALNCVTRGQSGLPTGTSMIDVLGYSLTILRDVCAQKTLRGFQEDLGDAVDVLLSHGLIELILCLLRDLEPPAIIRKAIKQGEGQDGTNSGSSKPCPYKGFRRDIVAVIGNCTYQRKPVQDEIRQRDGILLLLQQCGLDEDNPFLKEWGIWCVRNLLEGNEDNKRVVTELELQGSVDAPEIAGLGFRVEVNPETGRPKLVNVS >ONI22802 pep chromosome:Prunus_persica_NCBIv2:G2:20693899:20695504:-1 gene:PRUPE_2G152200 transcript:ONI22802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTALQEFFVPEDVLQILLSASNSSTLIDSLETLIQVCRAADGRADLASKSILPSVVQLIQSLPYPSGRHLLTLSLKLLRNLCAGEVSNQKSFLEQSGVAIISNVLNSANISLEPDSGVIRMGLQVLANVSLAGERHQHEIWQQLFPKEFLALARVQSRETCDPLCMVIFACCDGSPELFEKLCGDGGITIMKEIVRTTAAVGFGEDWVKLLLSRICLEGPYFSSLFSNLGFATSENVEDTEFREDLFSSDQAFFLRIISDILNERLREITVPRDFALCVFGIFKKSVGALNCVTRGQSGLPTGTSMIDVLGYSLTILRDVCAQKTLRGFQEDLGDAVDVLLSHGLIELILCLLRDLEPPAIIRKAIKQGEGQDGTNSGSSKPCPYKGFRRDIVAVIGNCTYQRKPVQDEIRQRDGILLLLQQCGLDEDNPFLKEWGIWCVRNLLEGNEDNKRVVTELELQGSVDAPEIAGLGFRVEVNPETGRPKLVNVS >ONI22803 pep chromosome:Prunus_persica_NCBIv2:G2:20693899:20695504:-1 gene:PRUPE_2G152200 transcript:ONI22803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTALQEFFVPEDVLQILLSASNSSTLIDSLETLIQVCRAADGRADLASKSILPSVVQLIQSLPYPSGRHLLTLSLKLLRNLCAGEVSNQKSFLEQSGVAIISNVLNSANISLEPDSGVIRMGLQVLANVSLAGERHQHEIWQQLFPKEFLALARVQSRETCDPLCMVIFACCDGSPELFEKLCGDGGITIMKEIVRTTAAVGFGEDWVKLLLSRICLEGPYFSSLFSNLGFATSENVEDTEFREDLFSSDQAFFLRIISDILNERLREITVPRDFALCVFGIFKKSVGALNCVTRGQSGLPTGTSMIDVLGYSLTILRDVCAQKTLRGFQEDLGDAVDVLLSHGLIELILCLLRDLEPPAIIRKAIKQGEGQDGTNSGSSKPCPYKGFRRDIVAVIGNCTYQRKPVQDEIRQRDGILLLLQQCGLDEDNPFLKEWGIWCVRNLLEGNEDNKRVVTELELQGSVDAPEIAGLGFRVEVNPETGRPKLVNVS >ONI22804 pep chromosome:Prunus_persica_NCBIv2:G2:20693607:20696127:-1 gene:PRUPE_2G152200 transcript:ONI22804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQVLANVSLAGERHQHEIWQQLFPKEFLALARVQSRETCDPLCMVIFACCDGSPELFEKLCGDGGITIMKEIVRTTAAVGFGEDWVKLLLSRICLEGPYFSSLFSNLGFATSENVEDTEFREDLFSSDQAFFLRIISDILNERLREITVPRDFALCVFGIFKKSVGALNCVTRGQSGLPTGTSMIDVLGYSLTILRDVCAQKTLRGFQEDLGDAVDVLLSHGLIELILCLLRDLEPPAIIRKAIKQGEGQDGTNSGSSKPCPYKGFRRDIVAVIGNCTYQRKPVQDEIRQRDGILLLLQQCGLDEDNPFLKEWGIWCVRNLLEGNEDNKRVVTELELQGSVDAPEIAGLGFRVEVNPETGRPKLVNVS >ONI25659 pep chromosome:Prunus_persica_NCBIv2:G2:29526172:29528642:-1 gene:PRUPE_2G313200 transcript:ONI25659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFERELQELFDEVKMMIMMGNQNDAIDLLQANYEAVKERMNAGVRGIEEAATIDIIALGYMAIGDVKFVNALLDMLNEVVDSLKDDEPLLDSVLVHMGSMYSTLGKFEKSMSAYRRAIGNMESIYGKNSVFLITPILGMAKVLSSIGRTSKAVEFYHRAISLLESSRGAESEDLVIPLFGVGSLLLKEGKPVEAESPFLRIFDIYKKLYGENDGRVGLAMCSLAHVKCAVGDANEAISLYRKALQVITTSNYMALDDSIMEKMRIDLAELLHAVGRGKEGRELLEQCLMITEKYKGKEDPSSATHLINLATSHSRSKNYVEAERLLRTSLEIMGKTVGPDDQSITFPMLHLAVTLYHLKRDEEAEQLALEALHIREKAFGKDSLPVAEALDCLVSIQTRLKKDDEELLEQLTRVLSIQEREFGPEGEEVMITLKKVVFYLDKLGRKNEIFPLQKRLSALGMKFKQRIQH >ONI25654 pep chromosome:Prunus_persica_NCBIv2:G2:29525974:29529388:-1 gene:PRUPE_2G313200 transcript:ONI25654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLLPSPFFNNCGSVTSFQHSSDKLDGMKDFERELQELFDEVKMMIMMGNQNDAIDLLQANYEAVKERMNAGVRGIEEAATIDIIALGYMAIGDVKFVNALLDMLNEVVDSLKDDEPLLDSVLVHMGSMYSTLGKFEKSMSAYRRAIGNMESIYGKNSVFLITPILGMAKVLSSIGRTSKAVEFYHRAISLLESSRGAESEDLVIPLFGVGSLLLKEGKPVEAESPFLRIFDIYKKLYGENDGRVGLAMCSLAHVKCAVGDANEAISLYRKALQVITTSNYMALDDSIMEKMRIDLAELLHAVGRGKEGRELLEQCLMITEKYKGKEDPSSATHLINLATSHSRSKNYVEAERLLRTSLEIMGKTVGPDDQSITFPMLHLAVTLYHLKRDEEAEQLALEALHIREKAFGKDSLPVAEALDCLVSIQTRLKKDDEELLEQLTRVLSIQEREFGPEGEEVMITLKKVVFYLDKLGRKNEIFPLQKRLSALGMKFKQRIQH >ONI25657 pep chromosome:Prunus_persica_NCBIv2:G2:29525974:29529388:-1 gene:PRUPE_2G313200 transcript:ONI25657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLEPFRISKVCLHHIQSGYFHKGLTFFSGRLPKKNSSIFMVPVRAFALCCYASRTFASVGSLDTDVAGRESHVSNSNNFQTSVTSFQHSSDKLDGMKDFERELQELFDEVKMMIMMGNQNDAIDLLQANYEAVKERMNAGVRGIEEAATIDIIALGYMAIGDVKFVNALLDMLNEVVDSLKDDEPLLDSVLVHMGSMYSTLGKFEKSMSAYRRAIGNMESIYGKNSVFLITPILGMAKVLSSIGRTSKAVEFYHRAISLLESSRGAESEDLVIPLFGVGSLLLKEGKPVEAESPFLRIFDIYKKLYGENDGRVGLAMCSLAHVKCAVGDANEAISLYRKALQVITTSNYMALDDSIMEKMRIDLAELLHAVGRGKEGRELLEQCLMITEKYKGKEDPSSATHLINLATSHSRSKNYVEAERLLRTSLEIMGKTVGPDDQSITFPMLHLAVTLYHLKRDEEAEQLALEALHIREKAFGKDSLPVAEALDCLVSIQTRLKKDDEELLEQLTRVLSIQEREFGPEGEEVMITLKKVVFYLDKLGRKNEIFPLQKRLSALGMKFKQRIQH >ONI25658 pep chromosome:Prunus_persica_NCBIv2:G2:29525974:29529076:-1 gene:PRUPE_2G313200 transcript:ONI25658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSVTSFQHSSDKLDGMKDFERELQELFDEVKMMIMMGNQNDAIDLLQANYEAVKERMNAGVRGIEEAATIDIIALGYMAIGDVKFVNALLDMLNEVVDSLKDDEPLLDSVLVHMGSMYSTLGKFEKSMSAYRRAIGNMESIYGKNSVFLITPILGMAKVLSSIGRTSKAVEFYHRAISLLESSRGAESEDLVIPLFGVGSLLLKEGKPVEAESPFLRIFDIYKKLYGENDGRVGLAMCSLAHVKCAVGDANEAISLYRKALQVITTSNYMALDDSIMEKMRIDLAELLHAVGRGKEGRELLEQCLMITEKYKGKEDPSSATHLINLATSHSRSKNYVEAERLLRTSLEIMGKTVGPDDQSITFPMLHLAVTLYHLKRDEEAEQLALEALHIREKAFGKDSLPVAEALDCLVSIQTRLKKDDEELLEQLTRVLSIQEREFGPEGEEVMITLKKVVFYLDKLGRKNEIFPLQKRLSALGMKFKQRIQH >ONI25660 pep chromosome:Prunus_persica_NCBIv2:G2:29525974:29529321:-1 gene:PRUPE_2G313200 transcript:ONI25660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYWICLKDDEPLLDSVLVHMGSMYSTLGKFEKSMSAYRRAIGNMESIYGKNSVFLITPILGMAKVLSSIGRTSKAVEFYHRAISLLESSRGAESEDLVIPLFGVGSLLLKEGKPVEAESPFLRIFDIYKKLYGENDGRVGLAMCSLAHVKCAVGDANEAISLYRKALQVITTSNYMALDDSIMEKMRIDLAELLHAVGRGKEGRELLEQCLMITEKYKGKEDPSSATHLINLATSHSRSKNYVEAERLLRTSLEIMGKTVGPDDQSITFPMLHLAVTLYHLKRDEEAEQLALEALHIREKAFGKDSLPVAEALDCLVSIQTRLKKDDEELLEQLTRVLSIQEREFGPEGEEVMITLKKVVFYLDKLGRKNEIFPLQKRLSALGMKFKQRIQH >ONI25656 pep chromosome:Prunus_persica_NCBIv2:G2:29526172:29529269:-1 gene:PRUPE_2G313200 transcript:ONI25656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLLPSPFFNNCGISKVCLHHIQSGYFHKGLTFFSGRLPKKNSSIFMVPVRAFALCCYASRTFASVGSLDTDVAGRESHVSNSNNFQTRSVTSFQHSSDKLDGMKDFERELQELFDEVKMMIMMGNQNDAIDLLQANYEAVKERMNAGVRGIEEAATIDIIALGYMAIGDVKFVNALLDMLNEVVDSLKDDEPLLDSVLVHMGSMYSTLGKFEKSMSAYRRAIGNMESIYGKNSVFLITPILGMAKVLSSIGRTSKAVEFYHRAISLLESSRGAESEDLVIPLFGVGSLLLKEGKPVEAESPFLRIFDIYKKLYGENDGRVGLAMCSLAHVKCAVGDANEAISLYRKALQVITTSNYMALDDSIMEKMRIDLAELLHAVGRGKEGRELLEQCLMITEKYKGKEDPSSATHLINLATSHSRSKNYVEAERLLRTSLEIMGKTVGPDDQSITFPMLHLAVTLYHLKRDEEAEQLALEALHIREKAFGKDSLPVAEALDCLVSIQTRLKKDDEELLEQLTRVLSIQEREFGPEGEEVMITLKKVVFYLDKLGRKNEIFPLQKRLSALGMKFKQRIQH >ONI25655 pep chromosome:Prunus_persica_NCBIv2:G2:29525974:29529388:-1 gene:PRUPE_2G313200 transcript:ONI25655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLLPSPFFNNCGISKVCLHHIQSGYFHKGLTFFSGRLPKKNSSIFMVPVRAFALCCYASRTFASVGSLDTDVAGRESHVSNSNNFQTSVTSFQHSSDKLDGMKDFERELQELFDEVKMMIMMGNQNDAIDLLQANYEAVKERMNAGVRGIEEAATIDIIALGYMAIGDVKFVNALLDMLNEVVDSLKDDEPLLDSVLVHMGSMYSTLGKFEKSMSAYRRAIGNMESIYGKNSVFLITPILGMAKVLSSIGRTSKAVEFYHRAISLLESSRGAESEDLVIPLFGVGSLLLKEGKPVEAESPFLRIFDIYKKLYGENDGRVGLAMCSLAHVKCAVGDANEAISLYRKALQVITTSNYMALDDSIMEKMRIDLAELLHAVGRGKEGRELLEQCLMITEKYKGKEDPSSATHLINLATSHSRSKNYVEAERLLRTSLEIMGKTVGPDDQSITFPMLHLAVTLYHLKRDEEAEQLALEALHIREKAFGKDSLPVAEALDCLVSIQTRLKKDDEELLEQLTRVLSIQEREFGPEGEEVMITLKKVVFYLDKLGRKNEIFPLQKRLSALGMKFKQRIQH >ONI25110 pep chromosome:Prunus_persica_NCBIv2:G2:28028810:28031533:1 gene:PRUPE_2G281600 transcript:ONI25110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCFGLFTCIDQASVGVLERWGRFEKLAEPGFHLLNPCAGQWVAGVLSTRISSLDVRIETKTKDNVFVQLVCSIQYRVVRENADDAFYELQNPKEQIQAYVFDVVRALVPRMTLDDLFEQKGEVAKAVLEELEKVMREYGYSIEHILMVDIIPDASVRKAMNEINAAQRLQLANVYKGEAEKVLQVKRAEAEAEAKYLGGVGVARQRQAITDGLRENILNFSGKVEGTSAKEVMDLIMITQYFDTIKDLGNNSKNTTVFIPHGPGHVRDIGDQIRNGQLEAASAQVNGE >ONI21289 pep chromosome:Prunus_persica_NCBIv2:G2:7213636:7217833:1 gene:PRUPE_2G058000 transcript:ONI21289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGNQDVKKKSFIHKIFTMKEREGSGSSDFPEPQSNPAVDIQSISSTEPQMPAGQYVQSFRVFVATWNVGGKSPHNGLNLDDFLHVNNESDIYVLGFQEIVPLNAGNVLVLEDNEPAAKWLTLINQSLNKSLDGSSSSKASGSRFSSKPSLKKVSKTFRTESKRRLKSCNCIFELERKHSKDFCFRCQQPNINEEDLSSEPDEDGSNVFDISEISMASTSNHLKYSLIASKQMVGIFVTIWARKEHIQYVSHLRISCISRGIMGCLGNKGCISVSFLFHQTSFCFVCSHLASGEKEGDELRRNLDVLEILKSTQFPKICKTTHSRMPDKILGHDMVIWLGDLNYRIALSYSETRKLLENNDWDALLNKDQLKIEREAERVFKGWKEGKIYFAPTYKYFYNSDTYFGEIKTSKKKRRTPAWCDRILWHGSGIKQLSYIRGESQFSDHRPVCGTFVVDVVVNQNELKKGSPSSNMKIEIEELLPTARYLKNMY >ONI23277 pep chromosome:Prunus_persica_NCBIv2:G2:22308103:22309124:1 gene:PRUPE_2G179300 transcript:ONI23277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLAISVAFLISVSLAVQFGADAAGIAIYWGQNGNEGTLEETCATGNYEFVNLAFLPTFGNGQTPMINLAGHCDPYTNGCTGLSSDIKSCQAKGVKVILSIGGGAGSYYLTSKEDARQVATYLWNNFLGGSSSSRPLGDAVLDGIDFDIEGGTNLHWDDLARYLSAYSKQGKKVYLTAAPQCPFPDAWVGGALKTGLFDNVWVQFYNNPPCQYSSGDLSNLENAWKQWISDIPATKIFLGLPAAPAAAGSGFIPVADLTSKVLPAIKGSPKYGGVMLWSKYYDDQTKYSSSIKSSV >ONI24637 pep chromosome:Prunus_persica_NCBIv2:G2:26594409:26595538:1 gene:PRUPE_2G251500 transcript:ONI24637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFISFLYFLLSPSLTPSLTTSSSPLSLSLSLSLSLSLSLSLSLSLMQLPGRKTAFPLESVSMKARKNNNLPIFVVVFSIFLFGIFTYNEDVKSIAEFPFSTPKAQELQEDESKQSNPVQESKQSNPGQETKENTPVQETKQSDSVQETKENEIQQRVSLSSRAQLEETQLENSSEETQEPVDLKSITEKDDEQKIELLGSQQPEEEEEEEEIELPPEDCDLFNGDWVFDLVTHPLYKEDEWEFLTAQVTCMRNGRKDSLYQHWRWQPRDCNLPKFNARLLLEKLRNKRLMFVGDSLNRNQWESMICFAQSIIPPGRKNLTKNGSLSVFRIEVHKIIFFFTLFFLPVP >ONI24636 pep chromosome:Prunus_persica_NCBIv2:G2:26594301:26595902:1 gene:PRUPE_2G251500 transcript:ONI24636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFISFLYFLLSPSLTPSLTTSSSPLSLSLSLSLSLSLSLSLSLSLMQLPGRKTAFPLESVSMKARKNNNLPIFVVVFSIFLFGIFTYNEDVKSIAEFPFSTPKAQELQEDESKQSNPVQESKQSNPGQETKENTPVQETKQSDSVQETKENEIQQRVSLSSRAQLEETQLENSSEETQEPVDLKSITEKDDEQKIELLGSQQPEEEEEEEEIELPPEDCDLFNGDWVFDLVTHPLYKEDEWEFLTAQVTCMRNGRKDSLYQHWRWQPRDCNLPKFNARLLLEKLRNKRLMFVGDSLNRNQWESMICFAQSIIPPGRKNLTKNGSLSVFRIENLKKNTEGIGLATSSKASPEEKWEGQYCGTF >ONI22855 pep chromosome:Prunus_persica_NCBIv2:G2:20840328:20840972:-1 gene:PRUPE_2G154800 transcript:ONI22855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPALHPETPTHHQTQPLKQIAIDYTPEACTHCPDANTITLTYDHRGGARWRTTTRFLYGTFSSLIQCPRGNTSGLNFNIYLSSLEGDKSQDEIDFEFLGKDRTIVQTNYYTGGTGNKEEFHDLGFDCSDGFHLYVIKWGQDLIEWLVDGKLVRVERKKGEAFPHKPMYLYASVWDASYIEEGRWTGKYIGCDAPYVCLYKDIHVPVGSAVEG >ONI23609 pep chromosome:Prunus_persica_NCBIv2:G2:23516341:23519423:-1 gene:PRUPE_2G198200 transcript:ONI23609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKHWMTLNEPYTFSNYGYATGSQAPGRCSTWQQLNCTGGDSSTEPYLVTHHQLLAHAAAVKLYKNRYQASQNGVIGITLVSDWFEPLSEEKENKNAALRALDFMFGWFAEPLTSGDYPQSMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYTGYYASDAPRNNSVYASYTTDAGVNLSSERNGVLIGPKGASEWLNVYPQGIQHLLLYTKKKYHNPIIYITENGVDELNDPKLSLAEALNDTHRIDFYNRHLHYVQSSIDNGVKVKGFFPWTLLDDFEWSSGFSIRFDASYYSMGKNEDPSSLPYLHLFHLCSIIEILCCFEKRILRSCNLIAPRSFCFVAQEIGSQGPAPSQDVSKTHIANEEAGTRPL >ONI22394 pep chromosome:Prunus_persica_NCBIv2:G2:18343901:18349522:1 gene:PRUPE_2G126300 transcript:ONI22394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRASDPQTSSVSSASRYCRYHVFLSFKGQDTRKTFTDHLYTALVNAGFRTFRDDDEVERGEAIKPELQKAIKHSRTSVIVFSKNYASSRWCLDELVMILERLSADHVVLPVFYDVDPSDVRNQTGSLAKAFARHQKTQPSNKVKEWREALAEVADLAGMVLPNQANGRDSKFINKIVQVIGEKLRRRPLSVPHIMIGMHSRVNELNLWLQDGSDDVGILVIYGMSGIGKTTIAKSLHNTNFGRFEGSSFIENIREISQQPNGLVQIQKQFLSDILNGRKMKISSVSEGLIKIEDAISSKRVLLVLDDVDHIDQLDAVFQRKDRFYPRSKIIITTRRARLLKARQVTKVYAVGTLTQKESLELFSWHAFGQDHPIEDYIEYSEKLVDHCGGLPLALKVLGSSLLGESTCLWKSALEKLEAIPNGEIINKLRVSYDSLQDDHDRNLFLHIACFFIGMDKDYSSTMTENTGWICLVTIVDGWDKVQMHDLIRGMGTEIVRLESNEPWKRSRVLHHKDSFKILTEKNGTETIEGLVLDMQMCPTINSNEKVLETNAFSRMRELKLLHLSHVQLNGSYAEFCTGLIWLCWTKFPLDSIPVDFPLESVIILEMQYSGLRQVFKGTKYLPSLKILDLNHSHSLTETIDFSYCPNLEKLVLVDCTSLIYVHGSIGNLERLIYLNMKDCKNLRMLPKNICMLKSLETFIISGCSNLSELSTEMLRNMDALKVLETDGIPISELWLEKSSSILGSLPCALMELSLWGCNLSDDALPMDFSNLSSLQRLNLGNNPISSLPNCIKGLTRLHTLSLNECTILKSLLGLPKLKDLHILNCTSLEKITYQSSSSVSCTYGYNHNLVEWQYKYKLQPIGSVDVEMINLLGLCNLLESMAPIRIHTLYGYLQNDDPIPVQGLYEHGIFSTVFGGNKVPGKFSHKSRGSSISFTVPLLDNHRTRGLIVFVVYVNAGYDSPPIIHHNYLSQIIVKNKSNGLRGRYCPSRYGIPGEGEDMIWLSHWNLEDDQLQGGDEVVVSVIMKSGLLVKELGIRLVQMQQEENHNMMIFTLLNIAPLLKNSCLSFKPT >ONI25337 pep chromosome:Prunus_persica_NCBIv2:G2:28735872:28739349:1 gene:PRUPE_2G296900 transcript:ONI25337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILCGCPLIECVYCLACTRWAWKRCLHTAGHDSETWGIATAEEFEPVPRLCRYILAVYEDDLRQPLWEPPGGYGIKPDWLILKKTYEDTQGQAPPYILYLDHDHADIVLAFRGLNLARESDYAVLMDNKLGKKKFDGGYVHNGLLKAAEWVLDAECEILKDLVEKYPNYTLTFTGHSLGSGVAALLTMVVVQNRDRLGNIDRKRVRGYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCIRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRLGRFPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQRALKLMLEKDQIMEIPPKQKMERQETLAKEHTEEYRAALQRAVTLAVPHAYSPSIYGTFDEKDEEEYSYGSSGESSFSSTKKSKTFVVRF >ONI25342 pep chromosome:Prunus_persica_NCBIv2:G2:28735989:28739349:1 gene:PRUPE_2G296900 transcript:ONI25342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILCGCPLIECVYCLACTRWAWKRCLHTAGHDSETWGIATAEEFEPVPRLCRYILAVYEDDLRQPLWEPPGGYGIKPDWLILKKTYEDTQGQAPPYILYLDHDHADIVLAFRGLNLARESDYAVLMDNKLGKKKFDGGYVHNGLLKAAEWVLDAECEILKDLVEKYPNYTLTFTGHSLGSGVAALLTMVVVQNRDRLGNIDRKRVRGYAIAPARCMSLNLAVRYADVINSVVLQFAMPTVHTMHEGHMHT >ONI25339 pep chromosome:Prunus_persica_NCBIv2:G2:28736105:28739349:1 gene:PRUPE_2G296900 transcript:ONI25339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILCGCPLIECVYCLACTRWAWKRCLHTAGHDSETWGIATAEEFEPVPRLCRYILAVYEDDLRQPLWEPPGGYGIKPDWLILKKTYEDTQGQAPPYILYLDHDHADIVLAFRGLNLARESDYAVLMDNKLGKKKFDGGYVHNGLLKAAEWVLDAECEILKDLVEKYPNYTLTFTGHSLGSGVAALLTMVVVQNRDRLGNIDRKRVRGYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCIRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRDFIQWCLVYAGFATSTLNQRHSSLIDILLSRE >ONI25341 pep chromosome:Prunus_persica_NCBIv2:G2:28736860:28738448:1 gene:PRUPE_2G296900 transcript:ONI25341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILCGCPLIECVYCLACTRWAWKRCLHTAGHDSETWGIATAEEFEPVPRLCRYILAVYEDDLRQPLWEPPGGYGIKPDWLILKKTYEDTQGQAPPYILYLDHDHADIVLAFRGLNLARESDYAVLMDNKLGKKKFDGGYVHNGLLKAAEWVLDAECEILKDLVEKYPNYTLTFTGHSLGSGVAALLTMVVVQNRDRLGNIDRKRVRGYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCIRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRSC >ONI25338 pep chromosome:Prunus_persica_NCBIv2:G2:28735872:28739349:1 gene:PRUPE_2G296900 transcript:ONI25338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILCGCPLIECVYCLACTRWAWKRCLHTAGHDSETWGIATAEEFEPVPRLCRYILAVYEDDLRQPLWEPPGGYGIKPDWLILKKTYEDTQGQAPPYILYLDHDHADIVLAFRGLNLARESDYAVLMDNKLGKKKFDGGYVHNGLLKAAEWVLDAECEILKDLVEKYPNYTLTFTGHSLGSGVAALLTMVVVQNRDRLGNIDRKRVRGYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCIRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRLGRFPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQRALKLMLEKDQIMEIPPKQKMERQETLAKEHTEEYRAALQRAVTLAVPHAYSPSIYGTFDEKDEEEYSYGSSGESSFSSTKKSKTFVVRF >ONI25343 pep chromosome:Prunus_persica_NCBIv2:G2:28736087:28739349:1 gene:PRUPE_2G296900 transcript:ONI25343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILCGCPLIECVYCLACTRWAWKRCLHTAGHDSETWGIATAEEFEPVPRLCRYILAVYEDDLRQPLWEPPGGYGIKPDWLILKKTYEDTQGQAPPYILYLDHDHADIVLAFRGLNLARESDYAVLMDNKLGKKKFDGGYVHNGLLKAAEWVLDAECEILKDLVEKYPNYTLTFTGHSLGSGVAALLTMVVVQNRDRLGNIDRKRVRGYAIAPARCMSLNLAVRYADVINSVVLQFAMPTVHTMHEGHMHT >ONI25336 pep chromosome:Prunus_persica_NCBIv2:G2:28735872:28739349:1 gene:PRUPE_2G296900 transcript:ONI25336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILCGCPLIECVYCLACTRWAWKRCLHTAGHDSETWGIATAEEFEPVPRLCRYILAVYEDDLRQPLWEPPGGYGIKPDWLILKKTYEDTQGQAPPYILYLDHDHADIVLAFRGLNLARESDYAVLMDNKLGKKKFDGGYVHNGLLKAAEWVLDAECEILKDLVEKYPNYTLTFTGHSLGSGVAALLTMVVVQNRDRLGNIDRKRVRGYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCIRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRLGRFPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQRALKLMLEKDQIMEIPPKQKMERQETLAKEHTEEYRAALQRAVTLAVPHAYSPSIYGTFDEKDEEEYSYGSSGESSFSSTKKSKTFVVRF >ONI25340 pep chromosome:Prunus_persica_NCBIv2:G2:28736860:28738448:1 gene:PRUPE_2G296900 transcript:ONI25340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILCGCPLIECVYCLACTRWAWKRCLHTAGHDSETWGIATAEEFEPVPRLCRYILAVYEDDLRQPLWEPPGGYGIKPDWLILKKTYEDTQGQAPPYILYLDHDHADIVLAFRGLNLARESDYAVLMDNKLGKKKFDGGYVHNGLLKAAEWVLDAECEILKDLVEKYPNYTLTFTGHSLGSGVAALLTMVVVQNRDRLGNIDRKRVRGYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCIRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRSC >ONI21345 pep chromosome:Prunus_persica_NCBIv2:G2:7658770:7663128:-1 gene:PRUPE_2G060700 transcript:ONI21345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHFIFKTSLHLQQTRTLNANIRSLTTLSICDYPALTDYLTNTLAFTPESASQVSKKIHGRPPNNPYPVHSLFEHYGFTPTHIAKIITICPSFLWANPEKTLKPKLDFLAQNGIYGQDLVITITNNPRILERSLNKQIAPCIGFLKSFLGSASALLSHFSVKRGTAVVLRFSDSMGANAETLRQHGVPHSNIMKMIACQPGIFSRDVEVFSKIVREVEELGFNPLSMMFIYGVCTLFSMTKDKWVSKFEVLKSFGWSEAEFQALFLKQPLVMRSSEERLKRALDFFMNKMGWGTSDILKYPVLLCLSFEKRVLPRWSILQVLIAKGVITKSKKTTAKAFMQTEDKFVSEFVKGYEEHAPELLEMYQKKLRGL >ONI21346 pep chromosome:Prunus_persica_NCBIv2:G2:7661850:7662968:-1 gene:PRUPE_2G060700 transcript:ONI21346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHFIFKTSLHLQQTRTLNANIRSLTTLSICDYPALTDYLTNTLAFTPESASQVSKKIHGRPPNNPYPVHSLFEHYGFTPTHIAKIITICPSFLWANPEKTLKPKLDFLAQNGIYGQDLVITITNNPRILERSLNKQIAPCIGFLKSFLGSASALLSHFSVKRGTAVVLRFSDSMGANAETLRQHGVPHSNIMKMIACQPGIFSRDVEVFSKIVREVEELGFNPLSMMFIYGVCTLFSMTKDKWVSKFEVLKSFGWSEAEFQALFLKQPLVMRSSEERLKRALDFFMNKMGWGTSDILKYPVLLCLSFEKRVLPRWSILQVLIAKGVITKSKKTTAKAFMQTEDKFVSEFVKGYEEHAPELLEMYQKKLRGL >ONI21347 pep chromosome:Prunus_persica_NCBIv2:G2:7658770:7663128:-1 gene:PRUPE_2G060700 transcript:ONI21347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHFIFKTSLHLQQTRTLNANIRSLTTLSICDYPALTDYLTNTLAFTPESASQVSKKIHGRPPNNPYPVHSLFEHYGFTPTHIAKIITICPSFLWANPEKTLKPKLDFLAQNGIYGQDLVITITNNPRILERSLNKQIAPCIGFLKSFLGSASALLSHFSVKRGTAVVLRFSDSMGANAETLRQHGVPHSNIMKMIACQPGIFSRDVEVFSKIVREVEELGFNPLSMMFIYGVCTLFSMTKDKWVSKFEVLKSFGWSEAEFQALFLKQPLVMRSSEERLKRALDFFMNKMGWGTSDILKYPVLLCLSFEKRVLPRWSILQVLIAKGVITKSKKTTAKAFMQTEDKFVSEFVKGYEEHAPELLEMYQKKLRGL >ONI22078 pep chromosome:Prunus_persica_NCBIv2:G2:16382166:16387744:1 gene:PRUPE_2G105700 transcript:ONI22078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQGKKKQTMLCFSFVTSLSILGYFLCAATKDMHYDSRPTIVEEVHVGVILHMESREGKIVDSCITTALSDFYQMHNNYTTRVILHTRDSKAKPLHALSAALNLLDDVQVQAIIGAQTSMEANLLAELGEEAKVPVMSLSQPSPSPSPSNKYPFFVEITQDETSQIMGISALIKKFEWRDVILIYDNTKYRRDIIPSLVISLQEKNVYISHKISFATSSRSEQIIEELQKLMQLNTKVFLVHISHLLVPCLFLNAKRLGMMGEGYAWIMTSSSMNFLHSMDLSVIESMQGVVGLKSCIPASRSLHNLTSRLRRKFYREEANVEVRELSADAIWAYDATWALAEAIERARIVNSTTNQPYTGLDLPNDINNTRPSGHGVLLLREILEGRFKGLSGKTRYLNGKRNSGAFEIVNVIGKGERTVGLLPCVEGNIKESHLLHNKRKLISTGDLETIIWPGGSTTVLKGSKTQLSEVKLRIGVPVKVGFKELVRVDHDLQGNRTYVTGFCIDVFKAAIGALPYKVHYEFIPFQDANGHSAGTYNDLVHQVYVKKYDAVVGDTTITSNRSLYVDFTVPYTDLGVGMIVPNEKENMWIFLKPLSADLWITSFCFFVVTGLVVWLIERPINQEFQGSPSQQIGTIFWFSFSTLVFAHREKLSNNLAKFVVIVWLFVVLILNSSYTATLASMMTVKQIQFNSKVNSIGYQIGTFTKGPIDLNFKGLKQFIHSEEEYADALSRGSKHGGASAIIDEIPYIKIFLAKYSAKFSMIKTKSTTNGFAFIFPKGSK >ONI22080 pep chromosome:Prunus_persica_NCBIv2:G2:16383688:16385001:1 gene:PRUPE_2G105700 transcript:ONI22080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANLLAELGEEAKVPVMSLSQPSPSPSPSNKYPFFVEITQDETSQIMGISALIKKFEWRDVILIYDNTKYRRDIIPSLVISLQEKNVYISHKISFATSSRSEQIIEELQKLMQLNTKVFLVHISHLLVPCLFLNAKRLGMMGEGYAWIMTSSSMNFLHSMDLSVIESMQGVVGLKSCIPASRSLHNLTSRLRRKFYREEANVEVRELSADAIWAYDATWALAEAIERARIVNSTTNQPYTGLDLPNDINNTRPSGHGVLLLREILEGRFKGLSGKTRYLNGKRNSGAFEIVNVIGKGERTVGLLPCVEGNIKESHLLHNKRKLISTGDLETIIWPGGSTTVLKGSKTQLSEVKLRIGVPVKVGFKELVRVDHDLQGNRTYVTGFCIDVFKAAIGALPYKVHYEFIPFQDANGHSAGTYNDLVHQVYVKVHLVVGFW >ONI22079 pep chromosome:Prunus_persica_NCBIv2:G2:16382167:16387744:1 gene:PRUPE_2G105700 transcript:ONI22079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQGKKKQTMLCFSFVTSLSILGYFLCAATKDMHYDSRPTIVEEVHVGVILHMESREGKIVDSCITTALSDFYQMHNNYTTRVILHTRDSKAKPLHALSAALNLLDDVQVQAIIGAQTSMEANLLAELGEEAKVPVMSLSQPSPSPSPSNKYPFFVEITQDETSQIMGISALIKKFEWRDVILIYDNTKYRRDIIPSLVISLQEKNVYISHKISFATSSRSEQIIEELQKLMQLNTKVFLVHISHLLVPCLFLNAKRLGMMGEGYAWIMTSSSMNFLHSMDLSVIESMQGVVGLKSCIPASRSLHNLTSRLRRKFYREEANVEVRELSADAIWAYDATWALAEAIERARIVNSTTNQPYTGLDLPNDINNTRPSGHGVLLLREILEGRFKGLSGKTRYLNGKRNSGAFEIVNVIGKGERTVGLLPCVEGNIKESHLLHNKRKLISTGDLETIIWPGGSTTVLKGSKTQLSEVKLRIGVPVKVGFKELVRVDHDLQGNRTYVTGFCIDVFKAAIGALPYKVHYEFIPFQDANGHSAGTYNDLVHQVYVKVHLVVGFW >ONI21147 pep chromosome:Prunus_persica_NCBIv2:G2:5777118:5782601:-1 gene:PRUPE_2G050100 transcript:ONI21147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTTNESEDGVLSKDQIESPLMDESNGGTGNGGIVLKKGPWTSAEDAILVEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTPEEEHLIVELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQESQQGQSSGGINGADRAHHDSLQTNSYEIPDVVFESLKGNNCVLPYVPEIPDLSASGVLMKDLSSSPYCGFMPPTMHRQKRLRESTSLFSTSDGSFKNGFPQFDHFQDDTCDKVGQSFGLSFPHDPDPTSKSPLSFGVIQGSHSLSNGNSSASKPTSGAVKLELPSLQYPETDLGSWSTSPPPPLLESIDAFIQSPPPVGAFESDCASPRNSGLLDALLHEAKTLSSAKNLCSDKSSNSSSVTPGDIADSSTLNICETEWEDYRDPISPLGHSATSLFSECTPISASGSSLDEPPPAETFTGCNVKPEPVDQAWTPDKEKETTPQLDYTRPDALLASDWLEHSTGFKDQGMTDSIASILGDDLATDYRHMASGTSISNQVWGLGSCLWNNMPAVCQMSSDLP >ONI21146 pep chromosome:Prunus_persica_NCBIv2:G2:5777140:5782601:-1 gene:PRUPE_2G050100 transcript:ONI21146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTTNESEDGVLSKDQIESPLMDESNGGTGNGGIVLKKGPWTSAEDAILVEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTPEEEHLIVELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQESQQGQSSGGINGADRAHHDSLQTNSYEIPDVVFESLKGNNCVLPYVPEIPDLSASGVLMKDLSSSPYCGFMPPTMHRQKRLRESTSLFSTSDGSFKNGFPQFDHFQDDTCDKVGQSFGLSFPHDPDPTSKSPLSFGVIQGSHSLSNGNSSASKPTSGAVKLELPSLQYPETDLGSWSTSPPPPLLESIDAFIQSPPPVGAFESDCASPRNSGLLDALLHEAKTLSSAKNLCSDKSSNSSSVTPGDIADSSTLNICETEWEDYRDPISPLGHSATSLFSECTPISASGSSLDEPPPAETFTGCNVKPEPVDQAWTPDKEKETTPQLDYTRPDALLASDWLEHSTGFKDQGMTDSIASILGDDLATDYRHMASGTSISNQVWGLGSCLWNNMPAVCQMSSDLP >ONI22139 pep chromosome:Prunus_persica_NCBIv2:G2:16794023:16798304:-1 gene:PRUPE_2G109700 transcript:ONI22139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLGVGMELGSSMWMVLLCSVCALVALKWLLQNANSWLYETPLGEKQYSLPPGDLGWPFIGNMWSFLKAFKSSNPETFVNSLVSRFGRTGIYKAFMFGSPSVIVTTPESSKRVLTDDDAFKPGWPLSTLELIGKNSFIGISYEEHKRLRRLTAAPVNGHEALSMYMKYIEDMVVTSLEKWSKMGEIEFLTQLRKLTFRIIMYIFLSSESEPVMEALEREYTILNYGVRAMAINLPGFAYHKALKARKNLVSTFQSIVDERRAQRKSGNYMPKKKDMMDALLDVVDDDGRKLTDSEIIDVLLMYLNAGHESSGHIMMWATVFLQKHPEYFQKAKAEQEEILKRRPPTQKGLTLKEYREMDYLSNVIDETLRVVTFSLTVFREAKKDVNINGYSIPKGWKVLVWFRSIHYDSEIYPNPMEFNPFRWDNYTPKPLTFLPFGAGSRLCPGNDLAKLEIAIFLHYFLLNYKMERANPDCPLMYLPHTRPKDNCLARIKKTGSA >ONI22140 pep chromosome:Prunus_persica_NCBIv2:G2:16794023:16796317:-1 gene:PRUPE_2G109700 transcript:ONI22140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSPSVIVTTPESSKRVLTDDDAFKPGWPLSTLELIGKNSFIGISYEEHKRLRRLTAAPVNGHEALSMYMKYIEDMVVTSLEKWSKMGEIEFLTQLRKLTFRIIMYIFLSSESEPVMEALEREYTILNYGVRAMAINLPGFAYHKALKARKNLVSTFQSIVDERRAQRKSGNYMPKKKDMMDALLDVVDDDGRKLTDSEIIDVLLMYLNAGHESSGHIMMWATVFLQKHPEYFQKAKAEQEEILKRRPPTQKGLTLKEYREMDYLSNVIDETLRVVTFSLTVFREAKKDVNINGYSIPKGWKVLVWFRSIHYDSEIYPNPMEFNPFRWDNYTPKPLTFLPFGAGSRLCPGNDLAKLEIAIFLHYFLLNYKMERANPDCPLMYLPHTRPKDNCLARIKKTGSA >ONI23910 pep chromosome:Prunus_persica_NCBIv2:G2:24643295:24649825:-1 gene:PRUPE_2G215700 transcript:ONI23910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPTRQPQTFGLPWPDLNHGLLYNDVVRASDSELTLIEFYSSKYKNSAPLQGWLQRIRNGQITVDGEVVRDPSTILRVGSELVYHRLPWREPDAPYLLGVLYEDDDMIALNKPSGLQVLPGGLFQQRTVLTQLLCWATNKISPLSCQEPHPVPVHRLGRGTSGILLCAKTKHAKTQLAAYFADGTSNIGDNSNTSMEAHAVRKISKIYRALVTGILCEDKVIVKQPIGVVRYPGVAKGLYVASPTGKPALSKVEVLERGIHKNQTLVQVEIQSGRPHQIRIHLSYIGHPLLGDPLYVLGGQPKCLDSDFVDESFADDGGFLRPTKPVPGDCGYNLHAHQVILSHPSSNEVIKVTAPLPAILRTRQETEELISTTTNIKNAADGKCSFNRFP >ONI23909 pep chromosome:Prunus_persica_NCBIv2:G2:24643039:24650344:-1 gene:PRUPE_2G215700 transcript:ONI23909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPTRQPQTFGLPWPDLNHGLLYNDVVRASDSELTLIEFYSSKYKNSAPLQGWLQRIRNGQITVDGEVVRDPSTILRLPWREPDAPYLLGVLYEDDDMIALNKPSGLQVLPGGLFQQRTVLTQLLCWATNKISPLSCQEPHPVPVHRLGRGTSGILLCAKTKHAKTQLAAYFADGTSNIGDNSNTSMEAHAVRKISKIYRALVTGILCEDKVIVKQPIGVVRYPGVAKGLYVASPTGKPALSKVEVLERGIHKNQTLVQVEIQSGRPHQIRIHLSYIGHPLLGDPLYVLGGQPKCLDSDFVDESFADDGGFLRPTKPVPGDCGYNLHAHQVILSHPSSNEVIKVTAPLPAILRTRQETEELISTTTNIKNAADGKCSFNRFP >ONI24127 pep chromosome:Prunus_persica_NCBIv2:G2:25212461:25217082:-1 gene:PRUPE_2G224900 transcript:ONI24127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRLRKRKWVITSLVIFRATPPPQMGVVGWVMVLLWNLLTHQGLCLRPLSHLVIPFLPSTDGTSSTAGRSGNLSLDDVEKIKKALQKQKELTEKLKKIPQLNKGANARKDASQNLGSKELKPPSATAGILHAPAPSTTGGTAAPGVRFDSSKLPIAPAASSTSGVIAEAGLTAVPNLEAVKKAQELAASMGFRQDPQFAPLINLFPGQVATDVAAPQKPTKAPVLRLDALGREIDELGNLVNATKPNNLSTLKVNINKQKKDAFQILKPELDVDPESNPFFDPMMGINKTKILRPKRMNFQFVEEGKWSRDAEHIKLKSKFGEAQAKEQKAKQAQLAKAKAAPDINPNLIEVSERVITKEKPKDPIPEIEWWDVPLLHSGTYNEVIDGAVVENKLKTEKITIYVEHPQPIEPPTEPAPPPPQPLKLTKKEQKKLRTQKRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTRLEKEIRSAAAEREQAHIDRNIARKLTPAERREKKERKLFDDPNNVETIVSVYRINELSHPKARFKIDVNARENRLTGSAVISDGMNVVVVEGGSKSIKRYAKVMLRRINWAEAVKDEEEEDDDVNNDKPANKCVLVWQGSVARPCFNRFSVHECMTEAAARKIFADAGVAHYWDLAVNFADDE >ONI24126 pep chromosome:Prunus_persica_NCBIv2:G2:25212840:25216994:-1 gene:PRUPE_2G224900 transcript:ONI24126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKERSSKRHREDRDRDHHKHRSRDADEKRSSKDSDNHRHHHRSDRDSKRERSHEPRDHKSRRERSREPSDDRDIARDQRERSYDPRGEREGSRERSRHRETKRERSEEREEYRRKRKERERSEGRDGDVVDFDDKKRARVSEEERKDRKRFEEDGKELNGGQRQSRRFEDRRVKEEEDGDYGGAVERSNELNVNASEVKKEEVGDYQLGNFQGDTTTPNGSGWMGNGAALEPTNASRIVPETSFAPSHPLPTKVSSIYTTNENKGVSITRSHEVHGKSSTDGTSSTAGRSGNLSLDDVEKIKKALQKQKELTEKLKKIPQLNKGANARKDASQNLGSKELKPPSATAGILHAPAPSTTGGTAAPGVRFDSSKLPIAPAASSTSGVIAEAGLTAVPNLEAVKKAQELAASMGFRQDPQFAPLINLFPGQVATDVAAPQKPTKAPVLRLDALGREIDELGNLVNATKPNNLSTLKVNINKQKKDAFQILKPELDVDPESNPFFDPMMGINKTKILRPKRMNFQFVEEGKWSRDAEHIKLKSKFGEAQAKEQKAKQAQLAKAKAAPDINPNLIEVSERVITKEKPKDPIPEIEWWDVPLLHSGTYNEVIDGAVVENKLKTEKITIYVEHPQPIEPPTEPAPPPPQPLKLTKKEQKKLRTQKRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTRLEKEIRSAAAEREQAHIDRNIARKLTPAERREKKERKLFDDPNNVETIVSVYRINELSHPKARFKIDVNARENRLTGSAVISDGMNVVVVEGGSKSIKRYAKVMLRRINWAEAVKDEEEEDDDVNNDKPANKCVLVWQGSVARPCFNRFSVHECMTEAAARKIFADAGVAHYWDLAVNFADDE >ONI20841 pep chromosome:Prunus_persica_NCBIv2:G2:3943572:3946596:1 gene:PRUPE_2G036500 transcript:ONI20841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRDILLIAPTPSSMHQQNQPISSDLPLPSSTTLGVGLGIFPLLTATPCAPHAPTTAEVNSDSSHFWGLRRCQELNPSKQDMLNFGNHGAQHEQVVECEGHNNNNENEGGGGGGESSKMKACKDCGNKAKKGCEYGRCRTCCRGRGYDCSTHVKSTWVPAARRRERQMGVTVAVPGVGGGGGSSGSSSVAKRPRVVVPSQNANANIKQSLPDKVRAPAVFRCHRVTAISNGAAELAYQATVKISGHVFQGLLYDHGVDKDNAFPCISPSHLETDTNQRNGDSASTPPLPPATACLTSTS >ONI20839 pep chromosome:Prunus_persica_NCBIv2:G2:3943572:3946596:1 gene:PRUPE_2G036500 transcript:ONI20839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRDILLIAPTPSSMHQQNQPISSDLPLPSSTTLGVGLGIFPLLTATPCAPHAPTTAEVNSDSSHFWGLRRCQELNPSKQDMLNFGNHGAQHEQVVECEGHNNNNENEGGGGGGESSKMKACKDCGNKAKKGCEYGRCRTCCRGRGYDCSTHVKSTWVPAARRRERQMGVTVAVPGVGGGGGGEGSSGSSSVAKRPRVVVPSQNANANIKQSLPDKVRAPAVFRCHRVTAISNGAAELAYQATVKISGHVFQGLLYDHGVDKDNAFPCISPSHLETDTNQRNGDSASTPPLPPATACLTSTS >ONI20840 pep chromosome:Prunus_persica_NCBIv2:G2:3943572:3946596:1 gene:PRUPE_2G036500 transcript:ONI20840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRDILLIAPTPSSMHQQNQPISSDLPLPSSTTLGVGLGIFPLLTATPCAPHAPTTAEVNSDSSHFWGLRRCQELNPSKQDMLNFGNHGAQHEQVVECEGHNNNNENEGGGGGGESSKMKACKDCGNKAKKGCEYGRCRTCCRGRGYDCSTHVKSTWVPAARRRERQMGVTVAVPGVGGGGGGEDANIKQSLPDKVRAPAVFRCHRVTAISNGAAELAYQATVKISGHVFQGLLYDHGVDKDNAFPCISPSHLETDTNQRNGDSASTPPLPPATACLTSTS >ONI20842 pep chromosome:Prunus_persica_NCBIv2:G2:3943572:3946596:1 gene:PRUPE_2G036500 transcript:ONI20842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRDILLIAPTPSSMHQQNQPISSDLPLPSSTTLGVGLGIFPLLTATPCAPHAPTTAEVNSDSSHFWGLRRCQELNPSKQDMLNFGNHGAQHEQVVECEGHNNNNENEGGGGGGESSKMKACKDCGNKAKKGCEYGRCRTCCRGRGYDCSTHVKSTWVPAARRRERQMGVTVAVPGVGGGGDANIKQSLPDKVRAPAVFRCHRVTAISNGAAELAYQATVKISGHVFQGLLYDHGVDKDNAFPCISPSHLETDTNQRNGDSASTPPLPPATACLTSTS >ONI22444 pep chromosome:Prunus_persica_NCBIv2:G2:18654263:18655251:-1 gene:PRUPE_2G129400 transcript:ONI22444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDEKSSLESDFALLDTIHQHLLDDNYFHGTLMASLFPNIPPAVEDLGKLSIVVPGESSESSSWSPPNQNGVAEPAPPTCADTTEVKNNVQPKLAARETHAPPRGRQNYRGVRQRPWGKYAAEIRDPAKNGARMWLGTYETAEDAALAYDRAAFKIRGSKALLNFPHLIGSDDDACDRARVTSTRRYRESCDSLAFSSDGGSPKK >ONI21623 pep chromosome:Prunus_persica_NCBIv2:G2:11611800:11612945:-1 gene:PRUPE_2G076200 transcript:ONI21623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLERKLNNGSRRWKEKALLFPQAPLKLKQDGSPNEAVKLLKEKHMKKNLGDQGKELNKSSHKTKKVRPQIPMEPTRSPRAFVGIQLKKQQIATMLGFSSATTVLKGQAAILKTMRLLMQQIATMLGFSNVATSPKSQINFNISHLSFVCLVLLCFAMYKINCGCVTCIVICLFWLVGLSLAMSDVYFYFTFWFEK >ONI22246 pep chromosome:Prunus_persica_NCBIv2:G2:17482937:17484970:1 gene:PRUPE_2G116700 transcript:ONI22246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSSPPSPPAPPLIPSEPQHHSFSFKTLSPSLLIILLILAVTVVASISLCFLLRHLNGRCLRRLTPSSAGSAPAESRRISTRRVSPDNAASLPLFTYSTITRRSSSTVSADCAVCLSKFEPSDELRLLPLCCHAFHAMCIDTWLQSHQTCPLCRSPIAASDAELMALVSNAGAATMNSGSFRLEIGNVSRRNRTTSDESSIPADANRRSYSIGSFEYVVEDESSEVRLSNAQKEDIILVVAEPPEPPSQALEPSLAAEVGSGRTSWLKDYIDRLSSSLSSRDISFRSSGRFFTGSSRRSEASIIGDWSLESGRVGEEISEMFRWLSGV >ONI25507 pep chromosome:Prunus_persica_NCBIv2:G2:29216095:29219929:1 gene:PRUPE_2G307500 transcript:ONI25507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTVSSSASRTPLGLSTKLTIQRSTLRKPLIVAFKTDEANKTALVAPQEKIPLPIETRKKHQKRLGKARKLAKSVKSATTDVASPCTLEVDYNEAAAKLENLYKRSPETEANSDVEDVDGLMRRGRKRRKKTSESDADKDENRTSNTVVRNRTKKAKRLSLNKRVALKWNKDEKVISSIVRKRKSRKNENEKIEELVREYSTSTDLVSLDWKKMKIPPVLTSSEHAWLFKLMQPMKGLLEVKENLQKDLGREPTNGELAEATNMSVVQVKKHLEVGRAARSKLIKHNLRLVLFVMNKYFQDFANGPKFQDLCQAGVKGLITAIDRFEPKRSFRLSTYGLFWIRHAIIRSMTLSSFTRVSFGLESVRAEIQKAKLGMLFALKRMPTEDEIIEKVGISPERYHEVMRASKPVFSLHSRHTTTQEEFINGITDVDGVEGDNRQKPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPARVDYLRRYVV >ONI25508 pep chromosome:Prunus_persica_NCBIv2:G2:29217014:29219855:1 gene:PRUPE_2G307500 transcript:ONI25508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVTVSSSASRTPLGLSTKLTIQRSTLRKPLIVAFKTDEANKTALVAPQEKIPLPIETRKKHQKRLGKARKLAKSVKSATTDVASPCTLEVDYNEAAAKLENLYKRSPETEANSDVEDVDGLMRRGRKRRKKTSESDADKDENRTSNTVVRNRTKKAKRLSLNKRVALKWNKDEKVISSIVRKRKSRKNENEKIEELVREYSTSTDLVSLDWKKMKIPPVLTSSEHAWLFKLMQPMKGLLEVKENLQKDLGREPTNGELAEATNMSVVQVKKHLEVGRAARSKLIKHNLRLVLFVMNKYFQDFANGPKFQDLCQAGVKGLITAIDRFEPKRSFRLSTYGLFWIRHAIIRSMTLSSFTRVSFGLESVRRKSKKLSLGCCLRLKECQQRTR >ONI24020 pep chromosome:Prunus_persica_NCBIv2:G2:24828695:24832311:1 gene:PRUPE_2G219500 transcript:ONI24020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPTSPAGGSHESGGEQSPQGGGGGGSGVREQDRYLPIANISRIMKKALPQNGKIAKDAKDTVQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLRIYLARYRELEGDAKGSARGGDGSAKGNAIGAMPGPSSQQFVHQGSLNYVNPQEEYYVPLNNMGNGN >ONI24023 pep chromosome:Prunus_persica_NCBIv2:G2:24829149:24829950:1 gene:PRUPE_2G219500 transcript:ONI24023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPTSPAGGSHESGGEQSPQGGGGGGSGVREQDRYLPIANISRIMKKALPQNGKIAKDAKDTVQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLRIYLARYRELEVTKRSLVLFNIFFYL >ONI24021 pep chromosome:Prunus_persica_NCBIv2:G2:24828695:24832311:1 gene:PRUPE_2G219500 transcript:ONI24021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPTSPAGGSHESGGEQSPQGGGGGGSGVREQDRYLPIANISRIMKKALPQNGKIAKDAKDTVQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLRIYLARYRELEGDAKGSARGGDGSAKGNAIGAMPGPSSQFVHQGSLNYVNPQEEYYVPLNNMGNGN >ONI24022 pep chromosome:Prunus_persica_NCBIv2:G2:24829147:24832173:1 gene:PRUPE_2G219500 transcript:ONI24022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPTSPAGGSHESGGEQSPQGGGGGGSGVREQDRYLPIANISRIMKKALPQNGKIAKDAKDTVQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLRIYLARYREGDAKGSARGGDGSAKGNAIGAMPGPSSQFVHQGSLNYVNPQEEYYVPLNNMGNGN >ONI25608 pep chromosome:Prunus_persica_NCBIv2:G2:29426075:29433269:-1 gene:PRUPE_2G311300 transcript:ONI25608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRHKSSKHSSRDAREYSDSEKDSSLKDRKSKEESGVVRVSKDSGSTEKRKLDLKDGKDSYGGSGNGEYSEDLVSSKRRKERVDYGGSDRWNGGEDDHRGSGEGSKKSSKASGESKSKKRDESVELYAEGGEVKKSTSSSGKGEGKHRDRDRDRDKDSIRKEGKEGGGAEKEREREREKEKKGKEGRTERLVSGDEQRVPAKQVNEKTELNARNELESPESENHMERRMRKRRDEFGDGDKHLDDVEDINDGRLSSRDDFGRDGRQKDEKRKDERYREKYREDMDRDNKHRDDKQRDERPTKDQPSSKSDDKHLREEKDTTETQLKRSKLQDGERKGEHDRDRDRNRDRDSYHARDRDRYHDREREHGWDHGRDRDRDYDRDWDWDRERDGDRERDRNHDRDRDRGRERDRDGDRDRDRDYDRDYDGSHLDDRSTRYRDSSRGKKRSPDDRDDCSDTKSRGIKARYSDLEKKSSSGDRVESDVNKGRSQSRQAYADTVLSSNKRRTSPSSNSHVGMDEYRYLNPDDLKYRDPAAEQRTKAIPPRDGSGLSGVSERGSKYRSMEKPIKMDDGHLGELSNEKCASSKASPLALMERSPSSSNIDRRYTNRTGVRRSLDIEETGRRSSASIDNRDFSNTEDRLSRDLPSEKPLVDDSSPADSSAHNRGSQNNLSLFPHPNFRAGVDSPSFVGSLEEDGRVNSNARYRRSSDPNLVRGHGNAWRGVPNWTAPLPNGFMHFQHGAPHGGFQGMLPQFPAPPIFGVRPSMEINHSGIPYHISDADRFSSHLRPLGWQNMMDGSGPSHLHLWDGSNGAFRDETHMYGGAEWDQNRHPMNARGWESSSDTWKVHNNDVKRDLPSPAQKDDYPVQALVDDAVAGQAGQISHHEDNLDHGVVAKTVETRSIVTSPPKESMSTLGHEKSPVRSKSPSDDVPCLSHYYLSKLDISADLAHPELYSQCMSILDTDGSSTVDEDATTFTILKGARAGLGPSKTFSTSSLFPPLKDSVFQKAMNFYKKQRMEIRGLPFIAGGTLEIILGSNQENLEAKVPCDVEKVEELVPTHDAEMTDAPLSSLDEKNVVTASTDSAEEKPEVLVSTPSPEVQNDICLVSPKLEMRVEDYSGSNAGEPQTLLNGVEMDYSSEPVKLEVGDANGFSSIDNSALATSILPAAGNDLNVISKTEDDNSINYPKEGAADAITGPLVIPEGSPKAKACEALMSGSNESDSVILSRIHHSPESTH >ONI25609 pep chromosome:Prunus_persica_NCBIv2:G2:29426075:29430246:-1 gene:PRUPE_2G311300 transcript:ONI25609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRMRKRRDEFGDGDKHLDDVEDINDGRLSSRDDFGRDGRQKDEKRKDERYREKYREDMDRDNKHRDDKQRDERPTKDQPSSKSDDKHLREEKDTTETQLKRSKLQDGERKGEHDRDRDRNRDRDSYHARDRDRYHDREREHGWDHGRDRDRDYDRDWDWDRERDGDRERDRNHDRDRDRGRERDRDGDRDRDRDYDRDYDGSHLDDRSTRYRDSSRGKKRSPDDRDDCSDTKSRGIKARYSDLEKKSSSGDRVESDVNKGRSQSRQAYADTVLSSNKRRTSPSSNSHVGMDEYRYLNPDDLKYRDPAAEQRTKAIPPRDGSGLSGVSERGSKYRSMEKPIKMDDGHLGELSNEKCASSKASPLALMERSPSSSNIDRRYTNRTGVRRSLDIEETGRRSSASIDNRDFSNTEDRLSRDLPSEKPLVDDSSPADSSAHNRGSQNNLSLFPHPNFRAGVDSPSFVGSLEEDGRVNSNARYRRSSDPNLVRGHGNAWRGVPNWTAPLPNGFMHFQHGAPHGGFQGMLPQFPAPPIFGVRPSMEINHSGIPYHISDADRFSSHLRPLGWQNMMDGSGPSHLHLWDGSNGAFRDETHMYGGAEWDQNRHPMNARGWESSSDTWKVHNNDVKRDLPSPAQKDDYPVQALVDDAVAGQAGQISHHEDNLDHGVVAKTVETRSIVTSPPKESMSTLGHEKSPVRSKSPSDDVPCLSHYYLSKLDISADLAHPELYSQCMSILDTDGSSTVDEDATTFTILKGARAGLGPSKTFSTSSLFPPLKDSVFQKAMNFYKKQRMEIRGLPFIAGGTLEIILGSNQENLEAKVPCDVEKVEELVPTHDAEMTDAPLSSLDEKNVVTASTDSAEEKPEVLVSTPSPEVQNDICLVSPKLEMRVEDYSGSNAGEPQTLLNGVEMDYSSEPVKLEVGDANGFSSIDNSALATSILPAAGNDLNVISKTEDDNSINYPKEGAADAITGPLVIPEGSPKAKACEALMSGSNESDSVILSRIHHSPESTH >ONI25607 pep chromosome:Prunus_persica_NCBIv2:G2:29425507:29433799:-1 gene:PRUPE_2G311300 transcript:ONI25607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRHKSSKHSSRDAREYSDSEKDSSLKDRKSKEESGVVRVSKDSGSTEKRKLDLKDGKDSYGGSGNGEYSEDLVSSKRRKERVDYGGSDRWNGGEDDHRGSGEGSKKSSKASGESKSKKRDESVELYAEGGEVKKSTSSSGKGEGKHRDRDRDRDKDSIRKEGKEGGGAEKEREREREKEKKGKEGRTERLVSGDEQRVPAKQVNEKTELNARNELESPESENHMERRMRKRRDEFGDGDKHLDDVEDINDGRLSSRDDFGRDGRQKDEKRKDERYREKYREDMDRDNKHRDDKQRDERPTKDQPSSKSDDKHLREEKDTTETQLKRSKLQDGERKGEHDRDRDRNRDRDSYHARDRDRYHDREREHGWDHGRDRDRDYDRDWDWDRERDGDRERDRNHDRDRDRGRERDRDGDRDRDRDYDRDYDGSHLDDRSTRYRDSSRGKKRSPDDRDDCSDTKSRGIKARYSDLEKKSSSGDRVESDVNKGRSQSRQAYADTVLSSNKRRTSPSSNSHVGMDEYRDPAAEQRTKAIPPRDGSGLSGVSERGSKYRSMEKPIKMDDGHLGELSNEKCASSKASPLALMERSPSSSNIDRRYTNRTGVRRSLDIEETGRRSSASIDNRDFSNTEDRLSRDLPSEKPLVDDSSPADSSAHNRGSQNNLSLFPHPNFRAGVDSPSFVGSLEEDGRVNSNARYRRSSDPNLVRGHGNAWRGVPNWTAPLPNGFMHFQHGAPHGGFQGMLPQFPAPPIFGVRPSMEINHSGIPYHISDADRFSSHLRPLGWQNMMDGSGPSHLHLWDGSNGAFRDETHMYGGAEWDQNRHPMNARGWESSSDTWKVHNNDVKRDLPSPAQKDDYPVQALVDDAVAGQAGQISHHEDNLDHGVVAKTVETRSIVTSPPKESMSTLGHEKSPVRSKSPSDDVPCLSHYYLSKLDISADLAHPELYSQCMSILDTDGSSTVDEDATTFTILKGARAGLGPSKTFSTSSLFPPLKDSVFQKAMNFYKKQRMEIRGLPFIAGGTLEIILGSNQENLEAKVPCDVEKVEELVPTHDAEMTDAPLSSLDEKNVVTASTDSAEEKPEVLVSTPSPEVQNDICLVSPKLEMRVEDYSGSNAGEPQTLLNGVEMDYSSEPVKLEVGDANGFSSIDNSALATSILPAAGNDLNVISKTEDDNSINYPKEGAADAITGPLVIPEGSPKAKACEALMSGSNESDSVILSRIHHSPESTH >ONI21225 pep chromosome:Prunus_persica_NCBIv2:G2:6258789:6263801:1 gene:PRUPE_2G053700 transcript:ONI21225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEALISATIQTLCDKIASPEFTDLFRQKKLDEQLLNKLKTTLLTLSVVLNDAEEKQIEEPLVRDWLDNLRHNVLAAEDLLDEIDTEALRCKLEEGEGQTHNLTKKVRDFYQRMNVEMKDLLERLEQFVQEKSALGLREGAGRKVSQRTTTSLVHEPCVYGRDEVKENLLQILLSDDASKDDVSVLTIVGMGGVGKTTLARLLYNDDKVKEHFPLQAWVCVSEDYDSNRITKTLLESVTSKSSDKTDLNLLQVELREKLQGKKFLFVLDDLWNEKYGDWKRLQTPFTSGARGSKVIVTTRSQHVVSVLQSVHVHHLEPLSHEDCWFLLAKHAFGNENCSDPNLEEIGKKIAHKFNGLPLAAETLGGLLRCNIDSEEWNTILNSSIWELPYDKCDILPALGLSYHYLSSQLKRCFVYCSIFPKDYEFKKEDIVQFWIAEGLIPKAENGKSIEAVARKYFDELLARSLFQKSSKSGFTMHDLINDLAMFMCKAFCLRLEGGESHDVEKVRHFSYAIERFDAAPKFKPLHGAKFMRTFLQDLLPSLRCLRVLSLSHIRKLINLQKLTLRGCTSLNKLPAGMKELTNLHHLDVSGTKIEEMPVQMGRLKSLRTLTAFVVGKSTGSGIRELREFPQLQGKLSILKLQNVVDARDALHANMKHKKDLKELEFSWGTEDADDSQKEKDVLDKLQPCMNLEKLTIGFYGGTNFPNWLGDSSFSNIRVMHLSDCSYCWLLPPVGRLPALKELCIERMKSLRTIGVEFYDRDGAYLTQPFRSLEKLEFREMPEWEEWVPSGSASGGEYGPDFPRLQELILNECPKLRGSLPCELPCLKKLTVYGCEVLHDGRAATATTNSLNYKSLEELDIHGGCQTLLSLLETKLLSRLDRQCLPNCNRLQSLTLSNCPTLSSFPKDGLPSTLTSLDINNCRKLEFLPHEMLAKLTSLDYLCVQNSCDSMRSFPLGIFPKLTTLQIRGCENLESFSLIEEEGAVENLSHLNDLQVSKCPKMVCFHEGELPTPNLSHFVVSDCENLKSLPERLHTLTALRYLSIWNLPNLESFAEDGGLPPNLRSFSIWNCKRLRASSVGEYWGLQALDSLEIDGSDHVLETLLFPATLHTLRISDLSTLKSLDGKGLGHLTSLQKLEIDSCPSLELLPGEELQHLTSLQTLIISSCGSLQCLPEEDLPSSLSHLSIWRCPPLEKRFLYVAFPEEGHRAEKQKRFTWNISYIPACRHQMYTIFRSLLLSESVDARMKSLPKHIGTLTAFDILGYMIFKILCHLPPNLQYFHILNCDRLSPLVGDYWGLQGLGGNIL >ONI21160 pep chromosome:Prunus_persica_NCBIv2:G2:5902195:5905326:-1 gene:PRUPE_2G051100 transcript:ONI21160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEAFISASVQVLCNRITSPEFVDLFRHKKLDQPLLMKLKTTLLILDDAEEKQIRKPAVRDWLDELKHAVFDAEDLLDEIDTEALRCKFEGEDQTGKFTNKSMNDKIHELLARLENFVQLKSALGLREDAGRKVSQRTPTTSLVHEPCVYGRDEVKENLSKVLLSDDASKDDVSVLTIVGMGGVGKTTIARLLYNDKKVKGHFTFQAWACVSEDYDAIRITKTLLESVTSKPCNTIDLNLLQVELREQLRGRKFLFVLDDLWNEDYTDLKFLQTPFMSGARGSKVIITTRNKNIASVMQNVPIQYLEPLSHEDCWLLLSKHAFGNENCSAHPNLEDIGKQIALKCKGLPLAAQTLGGLLRCNIDFEYWSRILNDNFWDQPYDTTNILPALGLSYHYLPAQLKRCFAYCSIFPKDFEFEKEDIVQLWIAEGIIPQAENGKRMEALARRYFDELLSRSLFQKSRKFSFIMHDLINDLAMFMSQGFCLRLEGGVSLEVKRARHLSYARGKFDAAPRFEPLYEATCLRTFLPTSLNPYRHERFFVSKKVLQDLLPSLRCLRVLSLSHYQNVTELPDCIANLIHLRYLDLSHTAIERLPRLVCNLYNLQTLLLSNCYSLFELPADIRKLINLQKLTLGGCSSLIKLPAGMKELINLHHLDVSGTKIEEMPVQMGRLKSLRTLTAFVVGKSTGHKRTEGVPQLRGKLSILKLQNVVDARDALQANMKHKKDLKELEFSWGAEDANDSQKEKDVLDKLQPCVNLEKLTIRFYGGTNFPNWLGDLSFSNIQVVHLSDCSYCWSLPPVGRLPALKELCIERMKFVKTIGVEFYGRNGAYLTQPFQSLEKLKFREMPEWEEWVPSGSASGGEYGPDFPRLQELILNNCPKLRGSLPCELPWLKKLMVSRCEVLHDGMATTTTTNSLNYKSLEELKIEDKALLSLLETKLLSRLEIENVVDVQCLSYYNRLQSLTLSNYPTLSSFPKDGLPTTLTSLNINNCRKLEFLPHEMLARFSIILKYKNKHKTNV >ONI22889 pep chromosome:Prunus_persica_NCBIv2:G2:21049864:21054189:1 gene:PRUPE_2G157200 transcript:ONI22889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSPLLGYSMADDLLRSRRLVRHTPPPLRGAARLLRRASGRRMRLREPSFRVRENAAEELEERQSYWAYSKPVIVLDLLWNLAFVCVGFTVMGLSMEEKPVVPLRIWVVGYILQCVVHVGCVVVEYRRRREVGFGEVGWGSGGSGSLGSGSDVEDYGSEQGMADDETSVTKHLESANTMFSFIWWVIGFYWVTDGGQTLLCDSPHLYWLCVTFLAFDMLFVVICVAVACLVGIAVCCCLPCIIAILYAVTDQEGATEEEIDRLPKFKFRKTGNFEKLNGEAQASEGLMTECNTHAPAEHVISQDDAECCICLSVYDNGAELRGLPCHHHFHCSCIDKWLYINATCPLCKFNILKPSNQIGTGVV >ONI20324 pep chromosome:Prunus_persica_NCBIv2:G2:838893:840113:1 gene:PRUPE_2G009200 transcript:ONI20324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFLAMLLCLLLASTPKAHASVFDVTSATYGAKPGSDVSTALAKAWSDACASPSASKVVVPSGTYKLKEATFRGPCKAPIEMQVQGTLEAPADASQLTRPDTWVGFQYIDMLTLSGGGTFDGQGALSWNQNDCHKNKNCKPLPVNLRFEFLTNSKVQDITSLNSKFFHMHVFRCNHTTFQQLTITAPDESRNTDGIHIGASTAINITHSKIGTGDDCISIGDDSHEITVTDVTCGPGHGISIGSLGKYKDEKDVTGIIVKNCTLTNTENGVRIKTFPDSPSPSTASGIHYEDIIMVNVSNPILIDQLYCPYTQCEQKPPSKVKINNVSFKNIKGSSFSPLAVKLVCTTGIPCENVELTDIDLTYGGNKGPLTSMCSNVKPTITGVTKALGCATSSLAPLPLSKK >ONI22921 pep chromosome:Prunus_persica_NCBIv2:G2:21175750:21180949:-1 gene:PRUPE_2G159200 transcript:ONI22921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRHSGCPIPDGRELKSEIQKDGSKTLNYVNLGTGIRVNSVEDMFRYKDRESGENTPKQFSDWRPHQSRPSILQSVKEVGHNIFRCPLAQQAAIVKPTAAGQLAILHAV >ONI22928 pep chromosome:Prunus_persica_NCBIv2:G2:21175750:21180954:-1 gene:PRUPE_2G159200 transcript:ONI22928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRHSGCPIPDGRELKSEIQKDGSKTLYYVNLGTGIRVNSVEDMFCYKNSKSGENNPKQNYVNLGTGIRVNSVEDMFRYKDRESGENTPKQTGGRTKVGHQFCSQ >ONI22924 pep chromosome:Prunus_persica_NCBIv2:G2:21177892:21179163:-1 gene:PRUPE_2G159200 transcript:ONI22924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRHSGCPIPDGRELKSEIQKDGSKTLYYVNLGTGIRVNSVEDMFCYKNSKSGENNPKQNYVNLGTGIRVNSVEDMFRYKDRESGENTPKQFSDWRPHQSRPSILQSVKEVGHNIFRCPLAQQAAIVKPTAAGQLAILHAV >ONI22923 pep chromosome:Prunus_persica_NCBIv2:G2:21175750:21179482:-1 gene:PRUPE_2G159200 transcript:ONI22923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRHSGCPIPDGRELKSEIQKDGSKTLYYVNLGTGIRVNSVEDMFCYKNSKSGENNPKQNYVNLGTGIRVNSVEDMFRYKDRESGENTPKQFSDWRPHQSRPSILQSVKEVGHNIFRCPLAQQAAIVKPTAAGQLAILHAV >ONI22926 pep chromosome:Prunus_persica_NCBIv2:G2:21177892:21178705:-1 gene:PRUPE_2G159200 transcript:ONI22926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCYKNSKSGENNPKQNYVNLGTGIRVNSVEDMFRYKDRESGENTPKQFSDWRPHQSRPSILQSVKEVGHNIFRCPLAQQAAIVKPTAAGQLAILHAV >ONI22920 pep chromosome:Prunus_persica_NCBIv2:G2:21175750:21180363:-1 gene:PRUPE_2G159200 transcript:ONI22920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRHSGCPIPDGRELKSEIQKDGSKTLNYVNLGTGIRVNSVEDMFRYKDRESGENTPKQFSDWRPHQSRPSILQSVKEVGHNIFRCPLAQQAAIVKPTAAGQLAILHAV >ONI22927 pep chromosome:Prunus_persica_NCBIv2:G2:21178081:21179163:-1 gene:PRUPE_2G159200 transcript:ONI22927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRHSGCPIPDGRELKSEIQKDGSKTLYYVNLGTGIRVNSVEDMFCYKNSKSGENNPKQNYVNLGTGIRVNSVEDMFRYKDRESGENTPKQTGGRTKVGHQFCSQ >ONI22925 pep chromosome:Prunus_persica_NCBIv2:G2:21177892:21178705:-1 gene:PRUPE_2G159200 transcript:ONI22925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCYKNSKSGENNPKQNYVNLGTGIRVNSVEDMFRYKDRESGENTPKQFSDWRPHQSRPSILQSVKEVGHNIFRCPLAQQAAIVKPTAAGQLAILHAV >ONI22922 pep chromosome:Prunus_persica_NCBIv2:G2:21175750:21180949:-1 gene:PRUPE_2G159200 transcript:ONI22922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRHSGCPIPDGRELKSEIQKDGSKTLYYVNLGTGIRVNSVEDMFCYKNSKSGENNPKQNYVNLGTGIRVNSVEDMFRYKDRESGENTPKQFSDWRPHQSRPSILQSVKEVGHNIFRCPLAQQAAIVKPTAAGQLAILHAV >ONI21678 pep chromosome:Prunus_persica_NCBIv2:G2:12338461:12343732:1 gene:PRUPE_2G080500 transcript:ONI21678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQTAIASSCLFLLYIATFTAAEVLEAHHHHHRHNHHGSVKFFVFEESYVDTENIEKSVSTSWKEPYDINFSRKPAGRFSDSLVFTEYITSFLGIRSPVPYTLRKFVKKSKLESGMNFAYGGIGVFDRVFGGPKSTTQIDFFQLLLEQKLYSTKNDVVNSSIALVSVADNDYAAYFGNHTEDFAVVTKSIIKQLAVDLERIHGLRVRKIAVTAIGPLGCLPRMTSFLSYQNCSEVANLVSIFHNQILRQKVEELNKETKKSSFVILDLYNASLSAIMLPKHYQEDKHHTTNPWQGERNSCHS >ONI25170 pep chromosome:Prunus_persica_NCBIv2:G2:28241722:28243696:-1 gene:PRUPE_2G285900 transcript:ONI25170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFPLHQGKKLVFQTSSNPPQKHTISEDRILGHAISTGKSRRRKLVAAFNYDMIDQNSNDHNKKKKMMMHRENERQRRQEMGTLHASLRSLLPLEFIKGKRSISDHMNEAVNYIKHLQSRIKRLDAKRAELKKCSNIISTTGTDHGATPGSSDGRSPSCLTVHPCCGGVQIVISSRGFRSSKDGLSLSFSISRVLEVLLEQGLAVVSCVSSKGNDRLLHTIQCEVNDLESIDLSGLEQKLAELVSPFSSRCISE >ONI25172 pep chromosome:Prunus_persica_NCBIv2:G2:28242482:28243700:-1 gene:PRUPE_2G285900 transcript:ONI25172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFPLHQGKKLVFQTSSNPPQKHTISEDRILGHAISTGKSRRRKLVAAFNYDMIDQNSNDHNKKKKMMMHRENERQRRQEMGTLHASLRSLLPLEFIKGKRSISDHMNEAVNYIKHLQSRIKRLDAKRAELKKCSNIISTTGTDHGATPGSSDGRSPSCLTVHPCCGGVQIVISSRGFRSSKDGLSLSFSISRVLEVLLEQGLAVVSCVSSKGNDRLLHTIQCEVRRTSLSS >ONI25169 pep chromosome:Prunus_persica_NCBIv2:G2:28241721:28243696:-1 gene:PRUPE_2G285900 transcript:ONI25169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFPLHQGKKLVFQTSSNPPQKHTISEDRILGHAISTGKSRRRKLVAAFNYDMIDQNSNDHNKKKKMMMHRENERQRRQEMGTLHASLRSLLPLEFIKGKRSISDHMNEAVNYIKHLQSRIKRLDAKRAELKKCSNIISTTGTDHGATPGSSDGRSPSCLTVHPCCGGVQIVISSRGFRSSKDGLSLSFSISRVLEVLLEQGLAVVSCVSSKGNDRLLHTIQCEDMYCCAFHSF >ONI25171 pep chromosome:Prunus_persica_NCBIv2:G2:28242330:28243487:-1 gene:PRUPE_2G285900 transcript:ONI25171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFPLHQGKKLVFQTSSNPPQKHTISEDRILGHAISTGKSRRRKLVAAFNYDMIDQNSNDHNKKKKMMMHRENERQRRQEMGTLHASLRSLLPLEFIKQGKRSISDHMNEAVNYIKHLQSRIKRLDAKRAELKKCSNIISTTGTDHGATPGSSDGRSPSCLTVHPCCGGVQIVISSRGFRSSKDGLSLSFSISRVLEVLLEQGLAVVSCVSSKGNDRLLHTIQCEVNDLESIDLSGLEQKLAELVSPFSSRCISE >ONI21419 pep chromosome:Prunus_persica_NCBIv2:G2:8831477:8832260:-1 gene:PRUPE_2G064800 transcript:ONI21419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKANAVYMSLFILLLLFSSKVVFARVSQFSETKEKINTEFSKMKIIDLRLYKCINPPGAPGCR >ONI25059 pep chromosome:Prunus_persica_NCBIv2:G2:27860828:27865049:1 gene:PRUPE_2G277900 transcript:ONI25059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPMFYKGWYHLFYQYNPDSAVWGNITWGHAVSIDLIHWLYLPLAMVPDRWFDANGVWTGSSTILPDGQIVILYTGSTNESVQVQNLAYPANLSDPLLLHWVKYSGNPVLTAPPGIGSTDFRDPTTAWIGPDGLWRITLGSKVNKTGISIVYTTANFIDYELLEGVLHAVPGTGMWECVDFYPVSINGSKGLETSANGPGVKHVLKASLDDKKMDYYAIGTYFVENNTWIPDDPKIDVGIGLKYDYGRYYASKTFYDQNKERRILLGWINETDTETDDLEKGWSSLQTIPRVVLFDNATGTNLLQWPVEEIEDLRLNTTEFSDVLVEAGTVVPLDIGTATQLDILVDFEIQVLETECVNGNGSVGCGDGATDRSTLGPFGILVLADETLSELTPVYFRVSNSTDGDVTTYFCADETRSSKAPEVYKTVYGGQVPVLDGETYSARVLVDHSIVESFAQGGRTVIASRIYPTEAIYGAARLFLFNNATGVNVKATLKIWELNSAFIHPFPLDQI >ONI25058 pep chromosome:Prunus_persica_NCBIv2:G2:27860828:27865049:1 gene:PRUPE_2G277900 transcript:ONI25058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPMFYKGWYHLFYQYNPDSAVWGNITWGHAVSIDLIHWLYLPLAMVPDRWFDANGVWTGSSTILPDGQIVILYTGSTNESVQVQNLAYPANLSDPLLLHWVKYSGNPVLTAPPGIGSTDFRDPTTAWIGPDGLWRITLGSKVNKTGISIVYTTANFIDYELLEGVLHAVPGTGMWECVDFYPVSINGSKGLETSANGPGVKHVLKASLDDKKMDYYAIGTYFVENNTWIPDDPKIDVGIGLKYDYGRYYASKTFYDQNKERRILLGWINETDTETDDLEKGWSSLQTIPRVVLFDNATGTNLLQWPVEEIEDLRLNTTEFSDVLVEAGTVVPLDIGTATQLDILVDFEIQVLETECVNGNGSVGCGDGATDRSTLGPFGILVLADETLSELTPVYFRVSNSTDGDVTTYFCADETRSSKAPEVYKTVYGGQVPVLDGETYSARVLVDHSIVESFAQGGRTVIASRIYPTEAIYGAARLFLFNNATGVNVKATLKIWELNSAFIHPFPLDQI >ONI25057 pep chromosome:Prunus_persica_NCBIv2:G2:27860807:27865049:1 gene:PRUPE_2G277900 transcript:ONI25057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNTTSYTPLPGDPFLSGPPATPRRPLKGFAVIFAAVIFLMSLVALIINQGPPQQDSHHHKKQSASTASSETTSYSRLPRGIAQGVSAKSNPSFSDEASYNWTNAMFSWQRTAFHFQPERNWMNDPNGPMFYKGWYHLFYQYNPDSAVWGNITWGHAVSIDLIHWLYLPLAMVPDRWFDANGVWTGSSTILPDGQIVILYTGSTNESVQVQNLAYPANLSDPLLLHWVKYSGNPVLTAPPGIGSTDFRDPTTAWIGPDGLWRITLGSKVNKTGISIVYTTANFIDYELLEGVLHAVPGTGMWECVDFYPVSINGSKGLETSANGPGVKHVLKASLDDKKMDYYAIGTYFVENNTWIPDDPKIDVGIGLKYDYGRYYASKTFYDQNKERRILLGWINETDTETDDLEKGWSSLQTIPRVVLFDNATGTNLLQWPVEEIEDLRLNTTEFSDVLVEAGTVVPLDIGTATQLDILVDFEIQVLETECVNGNGSVGCGDGATDRSTLGPFGILVLADETLSELTPVYFRVSNSTDGDVTTYFCADETRSSKAPEVYKTVYGGQVPVLDGETYSARVLVDHSIVESFAQGGRTVIASRIYPTEAIYGAARLFLFNNATGVNVKATLKIWELNSAFIHPFPLDQI >ONI22098 pep chromosome:Prunus_persica_NCBIv2:G2:16454872:16458627:1 gene:PRUPE_2G106800 transcript:ONI22098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSHGVGMGMAMLLSPLKPLAFSSSAFSQDPSTSSSYRPAVVLPGLGNNSADYQKLELTLSEYGVATVVAKVSRLDWLRNAAGLVDPNYWRGTLSPRPVLDWYLKRVDEAVQEAKELAQGGKLSLIGHSAGGWLARLYIEEIGMSDISLLLTLGTPHLPPPKGVSGVIDQTRGLLDYVDKNCSKAVYTPEVKYVCIAGRYLQGARLFGNSNESIDSALPIASVEPSSEVAVINDMSTSTSTTTTLRARFVGQGYKQVCGQADVWGDGVVPEVSAHLEGALNISLDGVYHSPVGSDDILRPWYGSPAIVEQWIHHLLT >ONI22064 pep chromosome:Prunus_persica_NCBIv2:G2:16156046:16158474:1 gene:PRUPE_2G104600 transcript:ONI22064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKAYKLMAHDFLLFLLFSCIISTNIHACKQTERNSLLSFAATLSSPPLNWTSLDCCCWKGITCDQDGWVTRLLLSSKGLKGGISPFSLANLTHLTHLNLSHNSLYGSLETQFFLSLNQVEILDLSYNHIFGKLPLSLPSINIRIVDLSSNHFFGAIPSSFFQQASNLTSFNVSNNTFTGYVPSSICLQHYSPFLRLLDFSSNVFSGNLAPGLGKCSKLQVFRAGHNNISGLFPEDIYNATKVEEIALPFNSLHGAISDKIVNFTNLAILDLSFNQFGGELPLNLGKLSKLKFVTLDFNNLEGVLPPSLMNCTNLVELHLGINHLEGDISVLDFSRLSQLTKLDLMINNFTGTVPVSLYSCRFLKAIRLTGNHLEGQIQAEILSLKSLSFLSLGFNQFTNLTGAMKILMSCRSLHALFLTGSFVGERMPSDDDMVDFHGFQNLRILALVNSNLTGQIPLWLSKLKNLEVLALRSNQITGPIPSWLGTLPRLFYIGLSENRISGEFPKQLCRLPSLLYEPNIASQVDNYELEFPAFSFTISKTGNQTFTSQTLHFYPATIDLSKNNISGYIPSEIGQLQLLRKLALDSNNFSGIIPDQISNLTNLEVLNLSMNHLCGIIPSSLASLNFLKEFNVSYNNLEGPIPIGSQLQTFDASAFEGNPKLCGAPLPNKCGPSKGIDADNKNNKDVDNGLHQLPWFYIFTALGFIVGFWGVCGSLVISKTWRYVYFRFIDNLQDRLYVMIS >ONI21732 pep chromosome:Prunus_persica_NCBIv2:G2:13378890:13383438:1 gene:PRUPE_2G084600 transcript:ONI21732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSTPEFAKDPRQIKMFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRIMGTPTEDTWPGVNSLPDFKSSFPKWLAKDLATAVPNLESAGVDLLSKMLCLDPSKRITARTALEHEYFKDIAFVP >ONI21733 pep chromosome:Prunus_persica_NCBIv2:G2:13379026:13383371:1 gene:PRUPE_2G084600 transcript:ONI21733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSTPEFAKDPRQIKMFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRIMGTPTEDTWPGVNSLPDFKSSFPKWLAKKMLCLDPSKRITARTALEHEYFKDIAFVP >ONI21734 pep chromosome:Prunus_persica_NCBIv2:G2:13379026:13383371:1 gene:PRUPE_2G084600 transcript:ONI21734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRITNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSTPEFAKDPRQIKMFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRIMGTPTEDTWPGVNSLPDFKSSFPKWLAKDLATAVPNLESAGVDLLSVKNALLGSQQKDYGQDCS >ONI25724 pep chromosome:Prunus_persica_NCBIv2:G2:29687566:29689086:-1 gene:PRUPE_2G316600 transcript:ONI25724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTECSEAENFGSVTTSLEPQATAKRKKNKSKNQRRFSDEQIGLLESIFEADSKLEPRRKVQVARELGLQPRQVAIWFQNRRARWKSKQIEQDFRTLRADYDNLASRFESLKEEKQSLLMQMQKLNEVVGKPSQGLEGNIMADGSRLDEELEPRGVIQSHKNNTSNDVGCHGDEIHELLSVAHVDASSLSPQDWHRFDPSILFDPSRFTSSHWLNFWT >ONI25745 pep chromosome:Prunus_persica_NCBIv2:G2:29728790:29729985:1 gene:PRUPE_2G317700 transcript:ONI25745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLALSSSSPLLSTKARSSIKTYVTAPCSATFPSRLHRLPVVRAQAGGDGKLDVQVNQGNQGTEVERRPRRLAVDISPFGLLDPISPVRTMRQMLDTVDRLLEDTVTLPGRNRASGEVRAPWDIKDDEHEIKMRFDMPGLSKEDVKVAVEDDVLVIKGEHKKEESGDDSWSSRSFSSYNTRLQLPDNCEKDNIKAELKNGVLYISIPKTKVERKVIDVAIQ >ONI21335 pep chromosome:Prunus_persica_NCBIv2:G2:7621406:7626963:-1 gene:PRUPE_2G060300 transcript:ONI21335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSAFKSSSKRSNAATNSSASTTSSVGRQQITDKDDNNPAKKAPLRRSRSVSAFSRTSLDASAESFLNKRDNPLFWSTDAQAVDSQKLVKPTKLDAATSKDSRPATSAGADSRRGRSGLRNSDALGTRKEAGRSLSRVDPSRRNRSISRGPDSRRHFVNSKSDDEQVWGSLNKVGSDGKKGALVRSSSDELDELKGLRTWSSQHSQDSDANLSCLQSTNWEDGVSVAGSLSGAEEKTVKAVCERMNMDLGHSHLSVQGKHLEDDNPSTSIYETVRSEVRRAISEIQNDLESAMRRSNAPALATTDIADIPPDLVNPSAVELVLDIRREYAKKLEQSRERSRKLQSDLAVEEHRGQELSRILKEVLPDPKTSNVPKSRPGRKASIERRKMSKRLTEEAMSYFDECVSLSTFDSSDFSSPEDPPLNLVGFTTPVGKSMSLLQASSTAAVTNNSNSCHNDKQGLIIENQYTNDRHASGLMASSGPREPQISPNSANMPQDWKFSFAHKPTETLELQPDIMKYARHFEKGIEKDDTELQIVRSNYYDLGEYNLQAAAQSYMFDRVLYRNRIESGGILLCGNGISGPLSHFASFF >ONI24626 pep chromosome:Prunus_persica_NCBIv2:G2:26544879:26550083:1 gene:PRUPE_2G250700 transcript:ONI24626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVTVARQCLTPEAAHALDEAVAVARRRGHGQTTSLHAVSALLSLSSSTLREACARARNSAYPPRLQFKALELCLSVSLDRVPSTQLADDPPVSNSLMAAVKRSQANQRRQPENYHLYHQLSQQSSISAVKVELQQLILSILDDPVVSRVFAEAGFRSSEIKLAILRPFPQLLRYSRSRAHHPLFLCNLTEYPDQVRRTRPSFPFSGSLTDGDENSRRIGQVLIRNRGRNPLLVGVYAYDALQSFVEALEKIKDGVLPVELSGLSVVSTEKDFSKFITEDCDKGSVNLKFGEMGQLVEQSLGPGLLVNIGDLKAFVADNALGDSVSYVVAQLTRLLELHRGKVWLTGATASYGSYLKFIGRFPSIEKDWDLQLLPITSLRPPLSESYPRSSLMESFVPFGGFFSAPSDLNLPISSSYQCVPRNHPCNEKCGQEAYAAPKGGVAASVAGQHQASLPSWLQMAPLGINKGIDTKTKDDGVLLSAKVTGLQDKWGDTCQHLHHPHPLPEANLFPTIVGFQSPEDKKDNQGNNTDISSNKTECKNTNSCMPIDVQTKSSVPPQATNDSFSSEVWENPSKDEDLESGGLRSPSLSNSSVVDGSRTSATSTTSVTTDLGLGICSSPASNTANKPPNQNQGLKQDISGCLSCNVDIVNGNLYSVQSSSCSSLDNHGQFDPSDVKVLFRALFERVGWQIEAISVISQRIAHCRSRSENFVGASHRRDIWFNFSGPDRYGKKKTAVALAEVLYGGQEQLICVDLDSQDGMIHSDTIFDCQAVNGYDVKFRGKTVVDYVAGELCKKPLSIVFLENVDKADVVTRNCLSLALSTGKFLDSHGRQVSTSNAIFVTTSKFSKGCSNLTSTNGPSNYSEERILQAKGRSVQITIECSFEDSMAISQNWRASSNTTKEGISNQHLLNKRKLIGVNEPLEQHEVSEMPKRANKTSTRYLDLNLPAEETAAQDTDDGSSENDCPSENSKPWLQEFFEKVDDTVVFKPVDFDALAEKISKEIKNSFHKFVDTECLLEIDSKVMEQLLAAVYLTDGYKVVETWVEQVLSRGFAEVQKRYSSNAITMLKLKTCEGLCLEQPAPKTFLLPSIILK >ONI24625 pep chromosome:Prunus_persica_NCBIv2:G2:26545383:26550038:1 gene:PRUPE_2G250700 transcript:ONI24625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVTVARQCLTPEAAHALDEAVAVARRRGHGQTTSLHAVSALLSLSSSTLREACARARNSAYPPRLQFKALELCLSVSLDRVPSTQLADDPPVSNSLMAAVKRSQANQRRQPENYHLYHQLSQQSSISAVKVELQQLILSILDDPVVSRVFAEAGFRSSEIKLAILRPFPQLLRYSRSRAHHPLFLCNLTEYPDQVRRTRPSFPFSGSLTDGDENSRRIGQVLIRNRGRNPLLVGVYAYDALQSFVEALEKIKDGVLPVELSGLSVVSTEKDFSKFITEDCDKGSVNLKFGEMGQLVEQSLGPGLLVNIGDLKAFVADNALGDSVSYVVAQLTRLLELHRGKVWLTGATASYGSYLKFIGRFPSIEKDWDLQLLPITSLRPPLSESYPRSSLMESFVPFGGFFSAPSDLNLPISSSYQCVPRNHPCNEKCGQEAYAAPKGGVAASVAGQHQASLPSWLQMAPLGINKGIDTKTKDDGVLLSAKVTGLQDKWGDTCQHLHHPHPLPEANLFPTIVGFQSPEDKKDNQGNNTDISSNKTECKNTNSCMPIDVQTKSSVPPQATNDSFSSEVWENPSKDEDLESGGLRSPSLSNSSVVDGSRTSATSTTSVTTDLGLGICSSPASNTANKPPNQNQGLKQDISGCLSCNVDIVNGNLYSVQSSSCSSLDNHGQFDPSDVKVLFRALFERVGWQIEAISVISQRIAHCRSRSENFVGASHRRDIWFNFSGPDRYGKKKTAVALAEVLYGGQEQLICVDLDSQDGMIHSDTIFDCQAVNGYDVKFRGKTVVDYVAGELCKKPLSIVFLENVDKADVVTRNCLSLALSTGKFLDSHGRQVSTSNAIFVTTSKFSKGCSNLTSTNGPSNYSEERILQAKGRSVQITIECSFEDSMAISQNWRASSNTTKEGISNQHLLNKRKLIGVNEPLEQHEVSEMPKRANKTSTRYLDLNLPAEETAAQDTDDGSSENDCPSENSKPWLQEFFEKVDDTVVFKPVDFDALAEKISKEIKNSFHKFVDTECLLEIDSKVMEQLLAAVYLTDGYKVVETWVEQVLSRGFAEVQKRYSSNAITMLKLKTCEGLCLEQPAPKTFLLPSIILK >ONI21991 pep chromosome:Prunus_persica_NCBIv2:G2:15606777:15612919:-1 gene:PRUPE_2G100300 transcript:ONI21991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPQMEDLSTSNPVIELEGDEFWPLSGKPFFDVVLTKTSIKPMCQLVVPGKFSATLPSCSIPTVLTFRGKNWEMTYHGSSNYKRLDNWKAFAIDNNLKVGDACVFEQLECSSTRLVFRVQILRGDIPSEFLDKLDGDNVDAPIVLE >ONI21989 pep chromosome:Prunus_persica_NCBIv2:G2:15606757:15612953:-1 gene:PRUPE_2G100300 transcript:ONI21989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPQMEDLSTSNPVIELEGDEFWPLSGKPFFDVVLTKTSIKPMCQLVVPGKFSATLPSCSIPTVLTFRGKNWEMTYHGSSNYKRLDNWKAFAIDNNLKVGDACVFEQLECSSTRLVFRVQILRGDIPSEFLDKLDGDNVDAPIVLE >ONI21990 pep chromosome:Prunus_persica_NCBIv2:G2:15606766:15612919:-1 gene:PRUPE_2G100300 transcript:ONI21990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPQMEDLSTSNPVIELEGDEFWPLSGKPFFDVVLTKTSIKPMCQLVVPGKFSATLPSCSIPTVLTFRGKNWEMTYHGSSNYKRLDNWKAFAIDNNLKVGDACVFEQLECSSTRLVFRVQILRGDIPSEFLDKLDGDNVDAPIVLE >ONI21988 pep chromosome:Prunus_persica_NCBIv2:G2:15605934:15612953:-1 gene:PRUPE_2G100300 transcript:ONI21988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPQMEDLSTSNPVIELEGDEFWPLSGKPFFDVVLTKTSIKPMCQLVVPGKFSATLPSCSIPTVLTFRGKNWEMTYHGSSNYKRLDNWKAFAIDNNLKVGDACVFEQLECSSTRLVFRVQILRGDIPSEFLDKLDGDNVDAPIVLE >ONI22977 pep chromosome:Prunus_persica_NCBIv2:G2:21288257:21290375:1 gene:PRUPE_2G161800 transcript:ONI22977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGKRYAVVTGSNKGVGFGIVRQLASNGVMAVLTARDEKKGIEAVEKLKECGLSDLVVFHQLDVTDSSSIASLADFVTIQFGKLDILVNNAGVNGTIMDPEALRAAAAAGLGKKDVEVKWSEMLTQTYELTEECIKTNYYGTKKMTKTFLPLLQLSDSPRVVNISSGRGRLKLIPNEWAKGVLNDAEKLTEERIEEVLNEFLRDFKEDMLETKCWPPALSAYILSKAALNAYTRIVAKKYPNLCVNCICPGFVKTDMTFNAGIFTVDEAAENVMRLAVFPSGIPSGLFFFSQEVTPF >ONI25465 pep chromosome:Prunus_persica_NCBIv2:G2:29083793:29091931:-1 gene:PRUPE_2G304900 transcript:ONI25465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQGLSAICSDLGIAEEDANGNRIGYSKSKYCLDNLKDLLRFLRRDDPQNRDVFKQVCKWKIVDQDLVPIIEHCQDDTNLVLNAVKVLVFLTMPIEPSSNDILQQIEFLWRLKSSITSSDIIAVIVSLLESPLENLESDVFTEDDWKLVQLVLTLFRNILAVQEISLQQKAGGTASQFVSLRDGFLELLFHENVMDLVLVITQHIGDSRSYLCQDNLLLLEIFHYIFMGQEPELIANACSKGPKVDGGDTTGSLNSLKSIMEEEEEEKKRLSRLHNMDRHSHFSGTFTQLTLDGSKAVLKGKPTSASCNTLLKPHSHRGPIKKIAWDHGTLPSTKDEILELLHDFVNQFLSGGYNVLMQSIRADTEKEHHAIQNSDVIIFFQVAQFVTSFQYHKSSISKPSIGAEADTTEAPTHKDADITFFRGDVCGPIAASMNESMFQLVISKWRYAFDGLKETHDYKFLSAAGSLLKIMIRMLDLVLKLLPENSKEPQTARILLYKLFYDQTDEGMTHFLINLLKSFDTHKQPRSDLADLVEMVYKVLRLMENLQACGTLRVSKKSRKARKKKIPSEKETENTLVGEHATTQKEISISNGEHSTDVSVTENRSLTTSSNGKEDINIPVQPDECKISFLETENLQDSLAHIDCKISDDANGDLCYSTGDSSADEQVAATDEVDFKVSNLISAFSNNNIIQKLCWLLKFYKSNLTSTNHYIVCMLRRISDDLELSPMLYQLSLLTTFYDILVEQKSSPCKAYETIVDFLTNLVRKMLKKMKNQPLLFVEILFWKTRKECHYINAEYLLHELGHLKKESRNWANSLGDEEIGHSLDKGWTSRSIADALGEDEADVVLSHELGHENGENFGKVKGGTASIPDNEIDGQENYDNGAQAIENETEKVSRKNKRLVIGAELEMKIKDLYEKFKDDQNCSHLIAKALDPDGRVLPAQISNKLKQLGLKVVRRKRLRHAQESVSTGPSQIDGDGRVVKAINSHCESNSQPLRTRKRVHAFSEDQETNIRSLYEQLKDHKRCSHMIANAMDGDGKFTASQVSRKLKQLGLYIPRKKRSAAGMLRDEDLNDSNTNKEHDSDDETLLSLMKRAKKDHSRFSEELLEQTTGRKVSEDDSDDEILSSVLKKTRRPLSKPMDLNSAAISIQGTSSSNALEDGVDEGSSFKHVSPNGTAEAEVRGTGTGTGNPLDAGPVKNLEDLQHEQMDNDLADSGDEMAHGAFPESGVSRRKLRMVLEDEDDD >ONI25468 pep chromosome:Prunus_persica_NCBIv2:G2:29084184:29091250:-1 gene:PRUPE_2G304900 transcript:ONI25468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEPSSNDILQQIEFLWRLKSSITSSDIIAVIVSLLESPLENLESDVFTEDDWKLVQLVLTLFRNILAVQEISLQQKAGGTASQFVSLRDGFLELLFHENVMDLVLVITQHIGDSRSYLCQDNLLLLEIFHYIFMGQEPELIANACSKGPKVDGGDTTGSLNSLKSIMEEEEEEKKRLSRLHNMDRHSHFSGTFTQLTLDGSKAVLKGKPTSASCNTLLKPHSHRGPIKKIAWDHGTLPSTKDEILELLHDFVNQFLSGGYNVLMQSIRADTEKEHHAIQNSDVIIFFQVAQFVTSFQYHKSSISKPSIGAEADTTEAPTHKDADITFFRGDVCGPIAASMNESMFQLVISKWRYAFDGLKETHDYKFLSAAGSLLKIMIRMLDLVLKLLPENSKEPQTARILLYKLFYDQTDEGMTHFLINLLKSFDTHKQPRSDLADLVEMVYKVLRLMENLQACGTLRVSKKSRKARKKKIPSEKETENTLVGEHATTQKEISISNGEHSTDVSVTENRSLTTSSNGKEDINIPVQPDECKISFLETENLQDSLAHIDCKISDDANGDLCYSTGDSSADEQVAATDEVDFKVSNLISAFSNNNIIQKLCWLLKFYKSNLTSTNHYIVCMLRRISDDLELSPMLYQLSLLTTFYDILVEQKSSPCKAYETIVDFLTNLVRKMLKKMKNQPLLFVEILFWKTRKECHYINAEYLLHELGHLKKESRNWANSLGDEEIGHSLDKGWTSRSIADALGEDEADVVLSHELGHENSGENFGKVKGGTASIPDNEIDGQENYDNGAQAIENETEKVSRKNKRLVIGAELEMKIKDLYEKFKDDQNCSHLIAKALDPDGRVLPAQISNKLKQLGLKVVRRKRLRHAQESVSTGPSQIDGDGRVVKAINSHCESNSQPLRTRKRVHAFSEDQETNIRSLYEQLKDHKRCSHMIANAMDGDGKFTASQVSRKLKQLGLYIPRKKRSAAGMLRDEDLNDSNTNKEHDSDDETLLSLMKRAKKDHSRFSEELLEQTTGRKVSEDDSDDEILSSVLKKTRRPLSKPMDLNSAAISIQGTSSSNALEDGVDEGSSFKHVSPNGTAEAEVRGTGTGTGNPLDAGPVKNLEDLQHEQMDNDLADSGDEMAHGAFPESGVSRRKLRMVLEDEDDD >ONI25466 pep chromosome:Prunus_persica_NCBIv2:G2:29084184:29091804:-1 gene:PRUPE_2G304900 transcript:ONI25466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQGLSAICSDLGIAEEDANGNRIGYSKSKYCLDNLKDLLRFLRRDDPQNRDVFKQVCKWKIVDQDLVPIIEHCQDDTNLVLNAVKVLVFLTMPIEPSSNDILQQIEFLWRLKSSITSSDIIAVIVSLLESPLENLESDVFTEDDWKLVQLVLTLFRNILAVQEISLQQKAGGTASQFVSLRDGFLELLFHENVMDLVLVITQHIGDSRSYLCQDNLLLLEIFHYIFMGQEPELIANACSKGPKVDGGDTTGSLNSLKSIMEEEEEEKKRLSRLHNMDRHSHFSGTFTQLTLDGSKAVLKGKPTSASCNTLLKPHSHRGPIKKIAWDHGTLPSTKDEILELLHDFVNQFLSGGYNVLMQSIRADTEKEHHAIQNSDVIIFFQVAQFVTSFQYHKSSISKPSIGAEADTTEAPTHKDADITFFRGDVCGPIAASMNESMFQLVISKWRYAFDGLKETHDYKFLSAAGSLLKIMIRMLDLVLKLLPENSKEPQTARILLYKLFYDQTDEGMTHFLINLLKSFDTHKQPRSDLADLVEMVYKVLRLMENLQACGTLRVSKKSRKARKKKIPSEKETENTLVGEHATTQKEISISNGEHSTDVSVTENRSLTTSSNGKEDINIPVQPDECKISFLETENLQDSLAHIDCKISDDANGDLCYSTGDSSADEQVAATDEVDFKVSNLISAFSNNNIIQKLCWLLKFYKSNLTSTNHYIVCMLRRISDDLELSPMLYQLSLLTTFYDILVEQKSSPCKAYETIVDFLTNLVRKMLKKMKNQPLLFVEILFWKTRKECHYINAEYLLHELGHLKKESRNWANSLGDEEIGHSLDKGWTSRSIADALGEDEADVVLSHELGHENSGENFGKVKGGTASIPDNEIDGQENYDNGAQAIENETEKVSRKNKRLVIGAELEMKIKDLYEKFKDDQNCSHLIAKALDPDGRVLPAQISNKLKQLGLKVVRRKRLRHAQESVSTGPSQIDGDGRVVKAINSHCESNSQPLRTRKRVHAFSEDQETNIRSLYEQLKDHKRCSHMIANAMDGDGKFTASQVSRKLKQLGLYIPRKKRSAAGMLRDEDLNDSNTNKEHDSDDETLLSLMKRAKKDHSRFSEELLEQTTGRKVSEDDSDDEILSSVLKKTRRPLSKPMDLNSAAISIQGTSSSNALEDGVDEGSSFKHVSPNGTAEAEVRGTGTGTGNPLDAGPVKNLEDLQHEQMDNDLADSGDEMAHGAFPESGVSRRKLRMVLEDEDDD >ONI25467 pep chromosome:Prunus_persica_NCBIv2:G2:29083793:29091931:-1 gene:PRUPE_2G304900 transcript:ONI25467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEPSSNDILQQIEFLWRLKSSITSSDIIAVIVSLLESPLENLESDVFTEDDWKLVQLVLTLFRNILAVQEISLQQKAGGTASQFVSLRDGFLELLFHENVMDLVLVITQHIGDSRSYLCQDNLLLLEIFHYIFMGQEPELIANACSKGPKVDGGDTTGSLNSLKSIMEEEEEEKKRLSRLHNMDRHSHFSGTFTQLTLDGSKAVLKGKPTSASCNTLLKPHSHRGPIKKIAWDHGTLPSTKDEILELLHDFVNQFLSGGYNVLMQSIRADTEKEHHAIQNSDVIIFFQVAQFVTSFQYHKSSISKPSIGAEADTTEAPTHKDADITFFRGDVCGPIAASMNESMFQLVISKWRYAFDGLKETHDYKFLSAAGSLLKIMIRMLDLVLKLLPENSKEPQTARILLYKLFYDQTDEGMTHFLINLLKSFDTHKQPRSDLADLVEMVYKVLRLMENLQACGTLRVSKKSRKARKKKIPSEKETENTLVGEHATTQKEISISNGEHSTDVSVTENRSLTTSSNGKEDINIPVQPDECKISFLETENLQDSLAHIDCKISDDANGDLCYSTGDSSADEQVAATDEVDFKVSNLISAFSNNNIIQKLCWLLKFYKSNLTSTNHYIVCMLRRISDDLELSPMLYQLSLLTTFYDILVEQKSSPCKAYETIVDFLTNLVRKMLKKMKNQPLLFVEILFWKTRKECHYINAEYLLHELGHLKKESRNWANSLGDEEIGHSLDKGWTSRSIADALGEDEADVVLSHELGHENGENFGKVKGGTASIPDNEIDGQENYDNGAQAIENETEKVSRKNKRLVIGAELEMKIKDLYEKFKDDQNCSHLIAKALDPDGRVLPAQISNKLKQLGLKVVRRKRLRHAQESVSTGPSQIDGDGRVVKAINSHCESNSQPLRTRKRVHAFSEDQETNIRSLYEQLKDHKRCSHMIANAMDGDGKFTASQVSRKLKQLGLYIPRKKRSAAGMLRDEDLNDSNTNKEHDSDDETLLSLMKRAKKDHSRFSEELLEQTTGRKVSEDDSDDEILSSVLKKTRRPLSKPMDLNSAAISIQGTSSSNALEDGVDEGSSFKHVSPNGTAEAEVRGTGTGTGNPLDAGPVKNLEDLQHEQMDNDLADSGDEMAHGAFPESGVSRRKLRMVLEDEDDD >ONI20282 pep chromosome:Prunus_persica_NCBIv2:G2:656726:658152:1 gene:PRUPE_2G006600 transcript:ONI20282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRLREQSMEELIPASPVRAKKSRSQARSSQLHGSISSQPQLVASRRPQPTTSNQSQRQAPSMSVQSQQQASTVRLTQSQETQQCHPNLSTPSHEDEPLITPSDETGEIEPTMNGRGAACSIAEWGTGTKLHIDFDTKWKWKPIKENAQKFSTQLGVIARNARKVPLTKVSWSGMPDHILDDIWKDVQDNTDVPDAYRPHCLKIVGNRWRDWKCRLKKEWYDKYETNEERLAITPPQVPTDQWKILVKYWGLPDVKECSEANKANRALGSAPHRTGRTSFAQVKNQMEEKGEKTDRLSLFMQTRKKKKKNDDEEVFDYESANIIVSMM >ONI21704 pep chromosome:Prunus_persica_NCBIv2:G2:13003995:13004730:1 gene:PRUPE_2G082500 transcript:ONI21704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSCIVKDFRICSFCICFMCFGVFAVLALIISHGGDVSVFMEATATVLWHLLVFLLLVGSGFIQVLDSNFMLVLRSGFMPVVEFMHV >ONI22362 pep chromosome:Prunus_persica_NCBIv2:G2:18040240:18046079:-1 gene:PRUPE_2G124200 transcript:ONI22362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWDEILSLPVQSPPTLEFSSGDIVWSKVEGWRDNKDRVALIPFARVDDFLRGESANKECPTRFHVEARRRRQAKTPYKPKVDGVLEYILYWCSFGPDDHRKGGVVRPSRTTYLPKKKNAGRPNTKRGCTCHFIVKRLIAEPSVALVIYNQDKHVDKKGVPCHGPQDKMAAGTRAMFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPSNRDDLLTHRYVRRQERIIRRSRYELDADDAVSISMWVENHQSNVFYYEDFSDVDPFTLGIQTDWQLQQMIRFGNRSLLASDSRFGTNKLKYSVHSLLVFNDDNKAIPVAWIVAPKFESSNAHKWMRALYNRVQTKDPAWKLAGFIVDDPLADVLTIRDVFQCSVLISFWRVRHAWHKNLVKKCVDNEMRAAISRRFHQAMDNICQQRGTEGLFEDFIEDFLDESDFMDYFKATWYPRIGMWISALQNLPLASQETCAAMEFYHNQLKLRLLNEKKPSVYTRVDWLVDKLGTKVHSYFWLDEYSEKDDFARYWKDEWVSGLTSWRKALKIPDSNVVMEGTCAKVINQLDQDKAYLVWNPGSQFGICNCSWAEMGNLCEHVLKVISVCRKKSAMPSISLLQYHQALIDMLHCPPHDSLIRDHAVSLAVFVQNQLNGLVNLESCNTTMDVTPFADRDRELVNEEVVSHNENDCGDGHVTAVRTKGKLGTEQSNLVARGNRTCNESCGEEVSCDEMDVDPSSICISPPGLYSVDEVVSSSVFSGSRQRSLFNRETEDLASADDALTNPTGYEDDILNRNRQENAMDEDIDIPSSTMEFVEQCTVTHPDDDHIHDIEPTVICKTSDDNTVYNKISPSASIPVELHVVEVAETSGVITGNEQMETEGKNGMEFAEQCTVTDPNDLHCNDIEPTVRASDNNIVDSKTLPSASVPVESQVVQVSEASESDRIEIESKNGSTSNHRSSVDDAITIDGVRDNLINGSDCSQYSNAVGTSMAVQGESLLKCSPTSSYNEERLPVENGDTETVSSEKPSFITESHLTSTKLQNGAESINTNNGLISQPVANGINTTSAEGTTSMETAEAF >ONI22360 pep chromosome:Prunus_persica_NCBIv2:G2:18040544:18045057:-1 gene:PRUPE_2G124200 transcript:ONI22360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWDEILSLPVQSPPTLEFSSGDIVWSKVEGWRDNKDRVALIPFARVDDFLRGESANKECPTRFHVEARRRRQAKTPYKPKVDGVLEYILYWCSFGPDDHRKGGVVRPSRTTYLPKKKNAGRPNTKRGCTCHFIVKRLIAEPSVALVIYNQDKHVDKKGVPCHGPQDKMAAGTRAMFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPSNRDDLLTHRYVRRQERIIRRSRYELDADDAVSISMWVENHQSNVFYYEDFSDVDPFTLGIQTDWQLQQMIRFGNRSLLASDSRFGTNKLKYSVHSLLVFNDDNKAIPVAWIVAPKFESSNAHKWMRALYNRVQTKDPAWKLAGFIVDDPLADVLTIRDVFQCSVLISFWRVRHAWHKNLVKKCVDNEMRAAISRRFHQAMDNICQQRGTEGLFEDFIEDFLDESDFMDYFKATWYPRIGMWISALQNLPLASQETCAAMEFYHNQLKLRLLNEKKPSVYTRVDWLVDKLGTKVHSYFWLDEYSEKDDFARYWKDEWVSGLTSWRKALKIPDSNVVMEGTCAKVINQLDQDKAYLVWNPGSQFGICNCSWAEMGNLCEHVLKVISVCRKKSAMPSISLLQYHQALIDMLHCPPHDSLIRDHAVSLAVFVQNQLNGLVNLESCNTTMDVTPFADRDRELVNEEVVSHNENDCGDGHVTAVRTKGKLGTEQSNLVARGNRTCNESCGEEVSCDEMDVDPSSICISPPGLYSVDEVVSSSVFSGSRQRSLFNRETEDLASADDALTNPTGYEDDILNRNRQENAMDEDIDIPSSTMEFVEQCTVTHPDDDHIHDIEPTVICKTSDDNTVYNKISPSASIPVELHVVEVAETSGVITGNEQMETEGKNGMEFAEQCTVTDPNDLHCNDIEPTVRASDNNIVDSKTLPSASVPVESQVVQVSEASESDRIEIESKNGSTSNHRSSVDDAITIDGVRDNLINGSDCSQYSNAVGTSMAVQGESLLKCSPTSSYNEERLPVENGDTETVSSEKPSFITESHLTSTKLQNGAESINTNNGLISQPVANGINTTSAEGTTSMETAEAF >ONI22363 pep chromosome:Prunus_persica_NCBIv2:G2:18040544:18045057:-1 gene:PRUPE_2G124200 transcript:ONI22363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWDEILSLPVQSPPTLEFSSGDIVWSKVEGWRDNKDRVALIPFARVDDFLRGESANKECPTRFHVEARRRRQAKTPYKPKVDGVLEYILYWCSFGPDDHRKGGVVRPSRTTYLPKKKNAGRPNTKRGCTCHFIVKRLIAEPSVALVIYNQDKHVDKKGVPCHGPQDKMAAGTRAMFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPSNRDDLLTHRYVRRQERIIRRSRYELDADDAVSISMWVENHQSNVFYYEDFSDVDPFTLGIQTDWQLQQMIRFGNRSLLASDSRFGTNKLKYSVHSLLVFNDDNKAIPVAWIVAPKFESSNAHKWMRALYNRVQTKDPAWKLAGFIVDDPLADVLTIRDVFQCSVLISFWRVRHAWHKNLVKKCVDNEMRAAISRRFHQAMDNICQQRGTEGLFEDFIEDFLDESDFMDYFKATWYPRIGMWISALQNLPLASQETCAAMEFYHNQLKLRLLNEKKPSVYTRVDWLVDKLGTKVHSYFWLDEYSEKDDFARYWKDEWVSGLTSWRKALKIPDSNVVMEGTCAKVINQLDQDKAYLVWNPGSQFGICNCSWAEMGNLCEHVLKVISVCRKKSAMPSISLLQYHQALIDMLHCPPHDSLIRDHAVSLAVFVQNQLNGLVNLESCNTTMDVTPFADRDRELVNEEVVSHNENDCGDGHVTAVRTKGKLGTEQSNLVARGNRTCNESCGEEVSCDEMDVDPSSICISPPGLYSVDEVVSSSVFSGSRQRSLFNRETEDLASADDALTNPTGYEDDILNRNRQENAMDEDIDIPSSTMEFVEQCTVTHPDDDHIHDIEPTVICKTSDDNTVYNKISPSASIPVELHVVEVAETSGVITGNEQMETEGKNGMEFAEQCTVTDPNDLHCNDIEPTVRASDNNIVDSKTLPSASVPVESQVVQVSEASESDRIEIESKNGSTSNHRSSVDDAITIDGVRDNLINGSDCSQYSNAVGTSMAVQGESLLKCSPTSSYNEERLPVENGDTETVSSEKPSFITESHLTSTKLQNGAESINTNNGLISQPVANGINTTSAEGTTSMETAEAF >ONI22361 pep chromosome:Prunus_persica_NCBIv2:G2:18040241:18046079:-1 gene:PRUPE_2G124200 transcript:ONI22361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWDEILSLPVQSPPTLEFSSGDIVWSKVEGWRDNKDRVALIPFARVDDFLRGESANKECPTRFHVEARRRRQAKTPYKPKVDGVLEYILYWCSFGPDDHRKGGVVRPSRTTYLPKKKNAGRPNTKRGCTCHFIVKRLIAEPSVALVIYNQDKHVDKKGVPCHGPQDKMAAGTRAMFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPSNRDDLLTHRYVRRQERIIRRSRYELDADDAVSISMWVENHQSNVFYYEDFSDVDPFTLGIQTDWQLQQMIRFGNRSLLASDSRFGTNKLKYSVHSLLVFNDDNKAIPVAWIVAPKFESSNAHKWMRALYNRVQTKDPAWKLAGFIVDDPLADVLTIRDVFQCSVLISFWRVRHAWHKNLVKKCVDNEMRAAISRRFHQAMDNICQQRGTEGLFEDFIEDFLDESDFMDYFKATWYPRIGMWISALQNLPLASQETCAAMEFYHNQLKLRLLNEKKPSVYTRVDWLVDKLGTKVHSYFWLDEYSEKDDFARYWKDEWVSGLTSWRKALKIPDSNVVMEGTCAKVINQLDQDKAYLVWNPGSQFGICNCSWAEMGNLCEHVLKVISVCRKKSAMPSISLLQYHQALIDMLHCPPHDSLIRDHAVSLAVFVQNQLNGLVNLESCNTTMDVTPFADRDRELVNEEVVSHNENDCGDGHVTAVRTKGKLGTEQSNLVARGNRTCNESCGEEVSCDEMDVDPSSICISPPGLYSVDEVVSSSVFSGSRQRSLFNRETEDLASADDALTNPTGYEDDILNRNRQENAMDEDIDIPSSTMEFVEQCTVTHPDDDHIHDIEPTVICKTSDDNTVYNKISPSASIPVELHVVEVAETSGVITGNEQMETEGKNGMEFAEQCTVTDPNDLHCNDIEPTVRASDNNIVDSKTLPSASVPVESQVVQVSEASESDRIEIESKNGSTSNHRSSVDDAITIDGVRDNLINGSDCSQYSNAVGTSMAVQGESLLKCSPTSSYNEERLPVENGDTETVSSEKPSFITESHLTSTKLQNGAESINTNNGLISQPVANGINTTSAEGTTSMETAEAF >ONI21829 pep chromosome:Prunus_persica_NCBIv2:G2:14491531:14492587:1 gene:PRUPE_2G092000 transcript:ONI21829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRPSYSLEVSLKVWNLLWKAAIPLKMKHFLWRTVHGTLAIELALFNRKIRQTPICPLCKEHEEFVEHLILLCPWVSLIWSGVLPNWINGFWSAPQKHILRQITITAWAIWKARNIAMFDDVPLNPILTIQSITSQLTELNMILDVTRDKMTRHDEPIPTRLWYAPITPNLKIDVAWHSSSRRDGVDIIIRNAHEMFVGTNVIPFSVESAIMAEANAALKGCLFAMELGLTCACFESNSKELVDSINGNIRRGRWCLYPILTRIRDYHHNFKHCTWTWMRKSRNEATDHLATIALLRLSPGVWTSSPPLLPPPHLCMFSTTMVSLARQQVPNAVCQFGWL >ONI23616 pep chromosome:Prunus_persica_NCBIv2:G2:23605686:23609571:1 gene:PRUPE_2G198700 transcript:ONI23616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNTNHAQVIEIHRDGHDGETSVGGSKICGEAPCGLSDARSVSKDAKERSASMRKLLIAVVLCVLFMAVEIAGGIEANSLAILTDAAHLLSDVAAFAISLFSMWAAGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIDRMIHPTSEVNGFLMFLVATFGLVVNIAMAILLGHDHGHGHGHGHDGHDGHDHGHSHGMTISTHDHHAHDHEEHSHEHEHLHTHEDHKNHHADEDHGHHHADEAHAEPLLDKPKDGLGQKKQRNINLQGAYLHVLGDSVQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVIVLGTTINMMRNILDVLMESTPREIDATKLEEGLLEMDEVVAIHELHIWAITVGKVLLACHVKIRPEANADMVLDDVIDYIRREHNISHVTIQIER >ONI23615 pep chromosome:Prunus_persica_NCBIv2:G2:23605802:23609669:1 gene:PRUPE_2G198700 transcript:ONI23615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNTNHAQVIEIHRDGHDGETSVGGSKICGEAPCGLSDARSVSKDAKERSASMRKLLIAVVLCVLFMAVEIAGGIEANSLAILTDAAHLLSDVAAFAISLFSMWAAGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIDRMIHPTSEVNGFLMFLVATFGLVVNIAMAILLGHDHGHGHGHGHDGHDGHDHGHSHGMTISTHDHHAHDHEEHSHEHEHLHTHEDHKNHHADEDHGHHHADEAHAEPLLDKPKDGLGQKKQRNINLQGAYLHVLGDSVQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVIVLGTTINMMRNILDVLMESTPREIDATKLEEGLLEMDEVVAIHELHIWAITVGKVLLACHVKIRPEANADMVLDDVIDYIRREHNISHVTIQIER >ONI21835 pep chromosome:Prunus_persica_NCBIv2:G2:14557417:14559161:1 gene:PRUPE_2G092400 transcript:ONI21835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTATLGALGYGYMWWKGLKFSDLMYVTKRSMNAAVSKLHKRLESVTEAIARVQNLDDKLLEQKYIEKSIMDNGLKEIAESLSGTLTKSTDAIVQDDIEGPGMKPTNLLRSVSTKC >ONI21431 pep chromosome:Prunus_persica_NCBIv2:G2:8958585:8970569:-1 gene:PRUPE_2G065300 transcript:ONI21431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRAAVGLLLKAVSNKNNACYPFYRTHTRYLALLLLHSSSSSSISNGGFLVSKRGFCGYAAEQFSDDEYECDFEGQKASSSVANIDEWKWKMSLLLRSEKDQEIVSRDKRDRRDYEQISNLAKRMGLYCEIYGKVVVASKIPLPNYRPDLDDKRPQREVVIPLGLQRRVEGLLQEHLDRVRLNSGKFTDNRGDSEHLDQLENAIPDENADSLLDGSVMEKVLQRRSLRMRNMQRAWQESPEGKKMLDFRKSLPAFKENERLLQAIAQNQVIVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVSAERGEPLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFGGAPTIHIPGFTYPVKAHFLEDVLEMTGYKLTSFNQIDDYGQDKMWKTQKQLVPRKRKNQITALVEDALNKSSFESYSPRARDSLSCWTPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLITCHGSMATSEQKLIFGRPPPNVRKVVLATNMAEASITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECFHLYPRCVYHAFAEYQLPELLRTPLNSLCLQIKSLQVPSIGEFLSAALQPPEPLAVQNAIGFLTSIGALDDNENLTSLGKYLSILPVDPKLGKMLIMGAVFHCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNYILRDAGLVDADASINNKLSHNQSLVRAIICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLNHGVQAGHLRMLEGYIDFFMDPSLVDCYLKLKEELNELIQKKDWAEILVYKYINCVKGHNKKKQVIPDFMGDNPLQ >ONI21430 pep chromosome:Prunus_persica_NCBIv2:G2:8958582:8970685:-1 gene:PRUPE_2G065300 transcript:ONI21430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRAAVGLLLKAVSNKNNACYPFYRTHTRYLALLLLHSSSSSSISNGGFLVSKRGFCGYAAEQFSDDEYECDFEGQKASSSVANIDEWKWKMSLLLRSEKDQEIVSRDKRDRRDYEQISNLAKRMGLYCEIYGKVVVASKIPLPNYRPDLDDKRPQREVVIPLGLQRRVEGLLQEHLDRVRLNSGKFTDNRGDSEHLDQLENAIPDENADSLLDGSVMEKVLQRRSLRMRNMQRAWQESPEGKKMLDFRKSLPAFKENERLLQAIAQNQVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFGGAPTIHIPGFTYPVKAHFLEDVLEMTGYKLTSFNQIDDYGQDKMWKTQKQLVPRKRKNQITALVEDALNKSSFESYSPRARDSLSCWTPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLITCHGSMATSEQKLIFGRPPPNVRKVVLATNMAEASITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECFHLYPRCVYHAFAEYQLPELLRTPLNSLCLQIKSLQVPSIGEFLSAALQPPEPLAVQNAIGFLTSIGALDDNENLTSLGKYLSILPVDPKLGKMLIMGAVFHCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNYILRDAGLVDADASINNKLSHNQSLVRAIICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLNHGVQAGHLRMLEGYIDFFMDPSLVDCYLKLKEELNELIQKKDWAEILVYKYINCVKGHNKKKQVIPDFMGDNPLQ >ONI21432 pep chromosome:Prunus_persica_NCBIv2:G2:8963687:8970685:-1 gene:PRUPE_2G065300 transcript:ONI21432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRAAVGLLLKAVSNKNNACYPFYRTHTRYLALLLLHSSSSSSISNGGFLVSKRGFCGYAAEQFSDDEYECDFEGQKASSSVANIDEWKWKMSLLLRSEKDQEIVSRDKRDRRDYEQISNLAKRMGLYCEIYGKVVVASKIPLPNYRPDLDDKRPQREVVIPLGLQRRVEGLLQEHLDRVRLNSGKFTDNRGDSEHLDQLENAIPDENADSLLDGSVMEKVLQRRSLRMRNMQRAWQESPEGKKMLDFRKSLPAFKENERLLQAIAQNQVIVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVSAERGEPLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFGGAPTIHIPGFTYPVKAHFLEDVLEMTGYKLTSFNQIDDYGQDKMWKTQKQLVPRKRKNQITALVEDALNKSSFESYSPRARDSLSCWTPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLITCHGSMATSEQKLIFGRPPPNVRKVVLATNMAEASITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECFHLYPRCVYHAFAEYQLPELLRTPLNSLCLQIKSLQVPSIGEFLSAALQPPEPLAEISGISHLLGIYRRYRGRYGLTPQYRCVGRYFNPWYLVKEGCLLFISAVFVLFSLGIL >ONI21425 pep chromosome:Prunus_persica_NCBIv2:G2:8957344:8970725:-1 gene:PRUPE_2G065300 transcript:ONI21425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRAAVGLLLKAVSNKNNACYPFYRTHTRYLALLLLHSSSSSSISNGGFLVSKRGFCGYAAEQFSDDEYECDFEGQKASSSVANIDEWKWKMSLLLRSEKDQEIVSRDKRDRRDYEQISNLAKRMGLYCEIYGKVVVASKIPLPNYRPDLDDKRPQREVVIPLGLQRRVEGLLQEHLDRVRLNSGKFTDNRGDSEHLDQLENAIPDENADSLLDGSVMEKVLQRRSLRMRNMQRAWQESPEGKKMLDFRKSLPAFKENERLLQAIAQNQVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFGGAPTIHIPGFTYPVKAHFLEDVLEMTGYKLTSFNQIDDYGQDKMWKTQKQLVPRKRKNQITALVEDALNKSSFESYSPRARDSLSCWTPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLITCHGSMATSEQKLIFGRPPPNVRKVVLATNMAEASITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECFHLYPRCVYHAFAEYQLPELLRTPLNSLCLQIKSLQVPSIGEFLSAALQPPEPLAVQNAIGFLTSIGALDDNENLTSLGKYLSILPVDPKLGKMLIMGAVFHCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNYILRDAGLVDADASINNKLSHNQSLVRAIICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLNHGVQAGHLRMLEGYIDFFMDPSLVDCYLKLKEELNELIQKKLQDPSLDIHKEGKYLMLAVQELVSGDQCEGRFVFGRDSKRPKESGDNSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKSNEFRALVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNSRDEENNSPPDVTDNMLKLLGKRRRSKRQSG >ONI21426 pep chromosome:Prunus_persica_NCBIv2:G2:8957344:8970685:-1 gene:PRUPE_2G065300 transcript:ONI21426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRAAVGLLLKAVSNKNNACYPFYRTHTRYLALLLLHSSSSSSISNGGFLVSKRGFCGYAAEQFSDDEYECDFEGQKASSSVANIDEWKWKMSLLLRSEKDQEIVSRDKRDRRDYEQISNLAKRMGLYCEIYGKVVVASKIPLPNYRPDLDDKRPQREVVIPLGLQRRVEGLLQEHLDRVRLNSGKFTDNRGDSEHLDQLENAIPDENADSLLDGSVMEKVLQRRSLRMRNMQRAWQESPEGKKMLDFRKSLPAFKENERLLQAIAQNQVIVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVSAERGEPLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFGGAPTIHIPDALNKSSFESYSPRARDSLSCWTPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLITCHGSMATSEQKLIFGRPPPNVRKVVLATNMAEASITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECFHLYPRCVYHAFAEYQLPELLRTPLNSLCLQIKSLQVPSIGEFLSAALQPPEPLALAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNYILRDAGLVDADASINNKLSHNQSLVRAIICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLNHGVQAGHLRMLEGYIDFFMDPSLVDCYLKLKEELNELIQKKLQDPSLDIHKEGKYLMLAVQELVSGDQCEGRFVFGRDSKRPKESGDNSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKSNEFRALVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNSRDEENNSPPDVTDNMLKLLGKRRRSKRQSG >ONI21428 pep chromosome:Prunus_persica_NCBIv2:G2:8957344:8970685:-1 gene:PRUPE_2G065300 transcript:ONI21428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRAAVGLLLKAVSNKNNACYPFYRTHTRYLALLLLHSSSSSSISNGGFLVSKRGFCGYAAEQFSDDEYECDFEGQKASSSVANIDEWKWKMSLLLRSEKDQEIVSRDKRDRRDYEQISNLAKRMGLYCEIYGKVVVASKIPLPNYRPDLDDKRPQREVVIPLGLQRRVEGLLQEHLDRVRLNSGKFTDNRGDSEHLDQLENAIPDENADSLLDGSVMEKVLQRRSLRMRNMQRAWQESPEGKKMLDFRKSLPAFKENERLLQAIAQNQVIVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVSAERGEPLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFGGAPTIHIPGFTYPVKAHFLEDVLEMTGYKLTSFNQIDDYGQDKMWKTQKQLVPRKRKNQITALVEDALNKSSFESYSPRARDSLSCWTPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLITCHGSMATSEQKLIFGRPPPNVRKVVLATNMAEASITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECFHLYPRCVYHAFAEYQLPELLRTPLNSLCLQIKSLQVPSIGEFLSAALQPPEPLALAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNYILRDAGLVDADASINNKLSHNQSLVRAIICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLNHGVQAGHLRMLEGYIDFFMDPSLVDCYLKLKEELNELIQKKLQDPSLDIHKEGKYLMLAVQELVSGDQCEGRFVFGRDSKRPKESGDNSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKSNEFRALVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNSRDEENNSPPDVTDNMLKLLGKRRRSKRQSG >ONI21427 pep chromosome:Prunus_persica_NCBIv2:G2:8958109:8970569:-1 gene:PRUPE_2G065300 transcript:ONI21427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRAAVGLLLKAVSNKNNACYPFYRTHTRYLALLLLHSSSSSSISNGGFLVSKRGFCGYAAEQFSDDEYECDFEGQKASSSVANIDEWKWKMSLLLRSEKDQEIVSRDKRDRRDYEQISNLAKRMGLYCEIYGKVVVASKIPLPNYRPDLDDKRPQREVVIPLGLQRRVEGLLQEHLDRVRLNSGKFTDNRGDSEHLDQLENAIPDENADSLLDGSVMEKVLQRRSLRMRNMQRAWQESPEGKKMLDFRKSLPAFKENERLLQAIAQNQVIVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVSAERGEPLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFGGAPTIHIPDALNKSSFESYSPRARDSLSCWTPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLITCHGSMATSEQKLIFGRPPPNVRKVVLATNMAEASITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECFHLYPRCVYHAFAEYQLPELLRTPLNSLCLQIKSLQVPSIGEFLSAALQPPEPLAVQNAIGFLTSIGALDDNENLTSLGKYLSILPVDPKLGKMLIMGAVFHCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNYILRDAGLVDADASINNKLSHNQSLVRAIICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLNHGVQAGHLRMLEGYIDFFMDPSLVDCYLKLKEELNELIQKKLQDPSLDIHKEGKYLMLAVQELVSGDQCEGRFVFGRDSKRPKESGDNSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKSNEFRALVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNSRDEENNSPPDVTDNMLKLLGKRRRSKRQSG >ONI21429 pep chromosome:Prunus_persica_NCBIv2:G2:8958109:8970569:-1 gene:PRUPE_2G065300 transcript:ONI21429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSRAAVGLLLKAVSNKNNACYPFYRTHTRYLALLLLHSSSSSSISNGGFLVSKRGFCGYAAEQFSDDEYECDFEGQKASSSVANIDEWKWKMSLLLRSEKDQEIVSRDKRDRRDYEQISNLAKRMGLYCEIYGKVVVASKIPLPNYRPDLDDKRPQREVVIPLGLQRRVEGLLQEHLDRVRLNSGKFTDNRGDSEHLDQLENAIPDENADSLLDGSVMEKVLQRRSLRMRNMQRAWQESPEGKKMLDFRKSLPAFKENERLLQAIAQNQVIVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVAERVSAERGEPLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRRDLRLVLMSATLNAELFSNYFGGAPTIHIPGFTYPVKAHFLEDVLEMTGYKLTSFNQIDDYGQDKMWKTQKQLVPRKRKNQITALVEDALNKSSFESYSPRARDSLSCWTPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLITCHGSMATSEQKLIFGRPPPNVRKVVLATNMAEASITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECFHLYPRCVYHAFAEYQLPELLRTPLNSLCLQIKSLQVPSIGEFLSAALQPPEPLAVQNAIGFLTSIGALDDNENLTSLGKYLSILPVDPKLGKMLIMGAVFHCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNYILRDAGLVDADASINNKLSHNQSLVRAIICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGSLNHGVQAGHLRMLEGYIDFFMDPSLVDCYLKLKEELNELIQKKLQDPSLDIHKEGKYLMLAVQELVSGDQCEGRFVFGRDSKRPKESGDNSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKSNEFRALVEFKGMQFVGKPKKNKQLAERDAAIEALAWLTHTSDNSRDEENNSPPDVTDNMLKLLGKRRRSKRQSG >ONI22627 pep chromosome:Prunus_persica_NCBIv2:G2:19729097:19730272:1 gene:PRUPE_2G140600 transcript:ONI22627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIRGFKLGKRLCRVTRWFLFRNTRTRTGYSMLNPASPPPCSNKPMCKLLTWGRKLSAGAKSLCCRKSGSGYKQLGQNPVETSKPVTVPKGHLALYVGQNDGDFHRVLVPVIYFNHPLFSRLLREAEKEYGFQHEGGITIPCPISDFESVKTRIAAGSTQRRLTWKRSASH >ONI24515 pep chromosome:Prunus_persica_NCBIv2:G2:26238915:26241512:-1 gene:PRUPE_2G244700 transcript:ONI24515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSAADHHNSCTRSRRRVHEATEEQDTPVKSDDANVNAVFFRRRVRRLSEFSRGWCRWASMVLMVLAFVSVLSKFALLSTFPELRMMETIGFIRPGISSTMQQNMVSEGGSNYLTQIQESSSQTGDIWAPPENANYYQCVNRSKKDLRNGTATNGYLLIRSNGGLNQMKLGIGDMVAAAKLMNATLVLPSLDHKSFWTDPSDFKDIFNWKNFMEVLRDDIVIVESLPPELADVKPRVKAPVSWSKPSYYKQQMVSLLQKHRVLKFTLTDSRLANNVATSIQRLRCRALFKALRFTAEIEQLGMKLVDRLKNNGSRYIALHLRYEKDMLSFTGCSHNLTQEEDRELETIRQQTPHWKHKRINGTEQRHLGQCPMTPREVSVFLEAIGFPSDTKIYIVAGEIYGQEGLKPVQDKYPNIFFHSNLATEEELQPYKDKLNQLAALDYIIAVESDVFIYSHDGNMAKAVQGHRRFEGFRKTISPDKRRFVRLIDKLDKGVITWKKFSSRVKKLHEKRIGGPYRRISRNLPKLEENFYANPFPGCICERSSGSDSTSTTTTTQKITTGRRKLRGRVF >ONI24516 pep chromosome:Prunus_persica_NCBIv2:G2:26238637:26241637:-1 gene:PRUPE_2G244700 transcript:ONI24516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGIGDMVAAAKLMNATLVLPSLDHKSFWTDPSDFKDIFNWKNFMEVLRDDIVIVESLPPELADVKPRVKAPVSWSKPSYYKQQMVSLLQKHRVLKFTLTDSRLANNVATSIQRLRCRALFKALRFTAEIEQLGMKLVDRLKNNGSRYIALHLRYEKDMLSFTGCSHNLTQEEDRELETIRQQTPHWKHKRINGTEQRHLGQCPMTPREVSVFLEAIGFPSDTKIYIVAGEIYGQEGLKPVQDKYPNIFFHSNLATEEELQPYKDKLNQLAALDYIIAVESDVFIYSHDGNMAKAVQGHRRFEGFRKTISPDKRRFVRLIDKLDKGVITWKKFSSRVKKLHEKRIGGPYRRISRNLPKLEENFYANPFPGCICERSSGSDSTSTTTTTQKITTGRRKLRGRVF >ONI21032 pep chromosome:Prunus_persica_NCBIv2:G2:5385974:5387221:1 gene:PRUPE_2G046500 transcript:ONI21032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKQFSFAGLIFHVTARGEFTVVYRFLFFYKQIVANKGEGNLLQVEL >ONI21797 pep chromosome:Prunus_persica_NCBIv2:G2:14224226:14226453:1 gene:PRUPE_2G089700 transcript:ONI21797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLLSKSSRNHYFKPLLLIGNPSLLSLMLNSKCSAPMPQASHAKPRIYLFSRRRPPRLGMSSHFSSMLSRCRHSLLRLWVAGLVVVSLRSRVLVLSFFYSLVRLRFWWLP >ONI21276 pep chromosome:Prunus_persica_NCBIv2:G2:6945816:6946124:-1 gene:PRUPE_2G057300 transcript:ONI21276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKIYLLGDSITKESFGDGGWGASLAHLFSRTVDVGLRGYSGYKFWTGSFRAVKEKDTHWKFLKEKDHSEKQGHSQRDHSEKARALRKRSNSKPTDRKKK >ONI25166 pep chromosome:Prunus_persica_NCBIv2:G2:28229087:28231534:1 gene:PRUPE_2G285600 transcript:ONI25166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQRAELVSSKMVLRKEWEFDTPEQCRQRRRRRIDIRRARWSYSGHYYLPVRHDQNNSDDHEQTCLLSDYVQRRQNNNINLNFEEEEDGTEAVEPTDDHHAVVLRPAVLVPRYWLHHNPMPEFGTIWLTRRPDQIQISTDDYDTVFVKEDFCRLDFDDVPGSGRPMHFFAVYDGHGHPHVSALCKQQMHEFVAEELRRVFYASGGANGDKNLGLGSSQEEEAKWPVLVRTALERSFERMHRLAQDACSCGNIGHTCGCKPNINALPVAGSTAVVAILTAQHIVIAKSGSTHAVLARAGSPFPLSHDHKRERLFYHNGVRVYGILNMFHYLSPSDERHILKPVVTLEPEISIIKREVERDECLILANDGIWNVMSDDMACRVACRCLRDDSHISPIVAVVDSHINGPVLLSSKSHLAASLLCRLAFARGSLDDMSVMVVDLKSG >ONI21067 pep chromosome:Prunus_persica_NCBIv2:G2:5664325:5666286:-1 gene:PRUPE_2G049400 transcript:ONI21067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTALRNLLSLVQKRLLATLPTPTAALCSSFTVEYLVKSCGLPLESAISASKKLQIDKKQTHRIDSMLKFLKSNGFDDAQIAKLITKRPTILHYKVLSNLEPKFNFLIENGFVGQNLPELVLLNPVILTRSLDSHIKPAVQFLKKLLSTNDMLAAAKRSSWLLNMDSVGTIQPNVALLQSEGVPLDVITKMILFQPRTVMQNVDRMAYAVRTIKDLGIDPTGPMFVRAVRVMISMKESTWKRKIEFFKSYGWSEDVVLSVFKRQPFCLACSEEKLGRVMDFFLNTVKLEPETMIANPMLLMHGFEKTVLPRYNVFKILVSKELINRDNKRLCWLITQSERRFLDYYVLKYLNEVPDLLEIYHSSKEETIEIP >ONI23222 pep chromosome:Prunus_persica_NCBIv2:G2:22153197:22155161:1 gene:PRUPE_2G176100 transcript:ONI23222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGGDMEDLTGPLRWNDERSLYICSMVLKVETVSYVINSIRLSDLFSSSCSCRFDPDLPTNPNPTAIGDPDLPTNPTAIGGDGDRTKCLWCSKCPWCSKSLKAPDEEKEDCSELNHPDNTTTRTECAACSKGNSELRYRASLTGEYLPFYMGCGVFGSQIVFGGGAKSRLSTVAERSDRRFGPDASRAIYGFETRDPNPTIKLRGRERMFGKLLGEVPKPLLMEVSGKLYALSGETILCKKKPPYFQVFNPNSKKWSPLPAPSILEPGGSYGDFSCAIVDSFILLSTRTSMVYGFDTSEEEHPKWIEVGTPSFFSDRPLPFKGKALLLMDDNESFMFSYKKRKQRGYAIVVYSVAPDHVSVIKKLPLEQVLAEADKLPADFRRTYSTYDHCFVHIEGLKVCLIMSLYISPGNSAPRRSEKLRIMSLTFEISPPTTKGRDVKHIHTRFFDCDTRLSHTSHPCENTRHANVLGAFVL >ONI23224 pep chromosome:Prunus_persica_NCBIv2:G2:22153139:22155161:1 gene:PRUPE_2G176100 transcript:ONI23224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGGDMEDLTGPLRLSDLFSSSCSCRFDPDLPTNPNPTAIGDPDLPTNPTAIGGDGDRTKCLWCSKCPWCSKSLKAPDEEKEDCSELNHPDNTTTRTECAACSKGNSELRYRASLTGEYLPFYMGCGVFGSQIVFGGGAKSRLSTVAERSDRRFGPDASRAIYGFETRDPNPTIKLRGRERMFGKLLGEVPKPLLMEVSGKLYALSGETILCKKKPPYFQVFNPNSKKWSPLPAPSILEPGGSYGDFSCAIVDSFILLSTRTSMVYGFDTSEEEHPKWIEVGTPSFFSDRPLPFKGKALLLMDDNESFMFSYKKRKQRGYAIVVYSVAPDHVSVIKKLPLEQVLAEADKLPADFRRNSAPRRSEKLRIMSLTFEISPPTTKGRDVKHIHTRFFDCDTRLSHTSHPCENTRHANVLGAFVL >ONI23223 pep chromosome:Prunus_persica_NCBIv2:G2:22153156:22155190:1 gene:PRUPE_2G176100 transcript:ONI23223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGGDMEDLTGPLRWNDERSLYICSMVLKVETVSYVINSIRLSDLFSSSCSCRFDPDLPTNPNPTAIGDPDLPTNPTAIGGDGDRTKCLWCSKCPWCSKSLKAPDEEKEDCSELNHPDNTTTRTECAACSKGNSELRYRASLTGEYLPFYMGCGVFGSQIVFGGGAKSRLSTVAERSDRRFGPDASRAIYGFETRDPNPTIKLRGRERMFGKLLGEVPKPLLMEVSGKLYALSGETILCKKKPPYFQVFNPNSKKWSPLPAPSILEPGGSYGDFSCAIVDSFILLSTRTSMVYGFDTSEEEHPKWIEVGTPSFFSDRPLPFKGKALLLMDDNESFMFSYKKRKQRGYAIVVYSVAPDHVSVIKKLPLEQVLAEADKLPADFRRNSAPRRSEKLRIMSLTFEISPPTTKGRDVKHIHTRFFDCDTRLSHTSHPCENTRHANVLGAFVL >ONI23810 pep chromosome:Prunus_persica_NCBIv2:G2:24267660:24271160:1 gene:PRUPE_2G209800 transcript:ONI23810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCERFLSIPIFMVFLLIGVVCYVTLFIFIEDWVGLKSSAGSLNALIFISLASLCLFSFFCCVLIDPGYVPASYVPDIEDSEASDQELKKNGISSRRCDKCSTYKPPRAHHCRVCRRCVLRMDHHCLWINNCVGYWNYKAFFMLVLYATLGCLYSTVMIIYCASYKDLEYSGSGGLKVFYVMSGLMMASLSIMLGTLLGWHVYLIIHNMTTIEYYEGIRASWLARKSGQSYRHPYNLSFYKNIISVLGPNMPKWLWPTAVSHLKDGLIFPTPRDNS >ONI23811 pep chromosome:Prunus_persica_NCBIv2:G2:24267660:24271160:1 gene:PRUPE_2G209800 transcript:ONI23811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCERFLSIPIFMVFLLIGVVCYVTLFIFIEDWVGLKSSAGSLNALIFISLASLCLFSFFCCVLIDPGYVPASYVPDIEDSEASDQELKKNGISSRRCDKCSTYKPPRAHHCRVCRRCVLRMDHHCLWINNCVGYWNYKAFFMLVLYATLGCLYSTVMIIYCASYKDLEYSGSGGLKVFYVMSGLMMASLSIMLGTLLGWHVYLIIHNMTTIEVLGPNMPKWLWPTAVSHLKDGLIFPTPRDNS >ONI23812 pep chromosome:Prunus_persica_NCBIv2:G2:24267784:24271160:1 gene:PRUPE_2G209800 transcript:ONI23812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLPMSLILKTVRHLIKNSRKMRCDKCSTYKPPRAHHCRVCRRCVLRMDHHCLWINNCVGYWNYKAFFMLVLYATLGCLYSTVMIIYCASYKDLEYSGSGGLKVFYVMSGLMMASLSIMLGTLLGWHVYLIIHNMTTIEYYEGIRASWLARKSGQSYRHPYNLSFYKNIISVLGPNMPKWLWPTAVSHLKDGLIFPTPRDNS >ONI25425 pep chromosome:Prunus_persica_NCBIv2:G2:28971511:28975331:1 gene:PRUPE_2G302400 transcript:ONI25425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKPHLFQFVPNEKQVKAANKLLKAMQQNGRCKRVDGVPVFSAQNLDIAIASSDGIKWYTPYFFDKNMLDNILEESVDQHFHSLIQTRHMQRRRDVIDDSLPAEVIEEMGDNLWEPPEVQEVMDEMGNPGIPLSVISKAAEMQLLYAVDKVLLGNRWLRKATGIQPKFPYMVDSFERRSAASFLRASQSSNRLANREAVNDNKDSVHCSTSEVKLNDDIQTNKGNKLDLRFPFGDWFSHLLLKQKDQTEELSKECVELSSQQNPNLPKITMVGISTGEAGQMSKATLKKTMEDLTKELEQPDAGNAGGSDMSNELRFEDRDPLFVANVGDYYSGMARTGSARWVRGGNN >ONI25423 pep chromosome:Prunus_persica_NCBIv2:G2:28971435:28975331:1 gene:PRUPE_2G302400 transcript:ONI25423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTEPAHKKQARPITHLVHSTAANLLSLFASPKTTPSTPSTIRVAFLFPNSPKPLAFHSAQPDFKSAMKGVESSSESNSGFPSTVRIAGLNSNIKGGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFISKRTPEWLKKMFASVTNSERNGPVFRFFMDLGDAVTYVKRLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKAMQQNGRCKRVDGVPVFSAQNLDIAIASSDGIKWYTPYFFDKNMLDNILEESVDQHFHSLIQTRHMQRRRDVIDDSLPAEVIEEMGDNLWEPPEVQEVMDEMGNPGIPLSVISKAAEMQLLYAVDKVLLGNRWLRKATGIQPKFPYMVDSFERRSAASFLRASQSSNRLANREAVNDNKDSVHCSTSEVKLNDDIQTNKGNKLDLRFPFGDWFSHLLLKQKDQTEELSKECVELSSQQNPNLPKITMVGISTGEAGQMSKATLKKTMEDLTKELEQPDAGNAGGSDMSNELRFEDRDPLFVANVGDYYSGMARTGSARWVRGGNN >ONI25424 pep chromosome:Prunus_persica_NCBIv2:G2:28972031:28975331:1 gene:PRUPE_2G302400 transcript:ONI25424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASVTNSERNGPVFRFFMDLGDAVTYVKRLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKAMQQNGRCKRVDGVPVFSAQNLDIAIASSDGIKWYTPYFFDKNMLDNILEESVDQHFHSLIQTRHMQRRRDVIDDSLPAEVIEEMGDNLWEPPEVQEVMDEMGNPGIPLSVISKAAEMQLLYAVDKVLLGNRWLRKATGIQPKFPYMVDSFERRSAASFLRASQSSNRLANREAVNDNKDSVHCSTSEVKLNDDIQTNKGNKLDLRFPFGDWFSHLLLKQKDQTEELSKECVELSSQQNPNLPKITMVGISTGEAGQMSKATLKKTMEDLTKELEQPDAGNAGGSDMSNELRFEDRDPLFVANVGDYYSGMARTGSARWVRGGNN >ONI21570 pep chromosome:Prunus_persica_NCBIv2:G2:11108284:11112375:-1 gene:PRUPE_2G073500 transcript:ONI21570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKQQPRASGKRTHSAPDSLMVDDTEIKSPFTRGRASMNNSYDLSPESTDISFVSSGRPSIDRMFPSLTTPQKSISPEFDNRSSTTSYSRHQFIDKSSSPQDFSSSSMESGKSWSSSHNMDEVEAEMWRLKLELKQTMDVYKMACREAVTLNHEAQELDQWKSEGEHRLNEAHITEEAAFALIKKEREKCKAAMEAAEAAQRIAELEAHKRRNAEMKALKEAEERNQATEARAYNLRYRKYTIEEIEAGTNKFSHTDKIGEGGYGPVYRGELDHTQVAVKILRPGAAQGHSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFQRGNTPVIPWQLRFRISAEIATGLLFLHQSKPEPLVHRDLKPGNILLDHNYVSKISDVGLARLVPPSVADSVTQYRMTSTAGTFCYIDPEYQQTGMLGTKSDIYSLGVMLLQIITAKPPMGLAHHVELAIELGTFAEMLDPAVPDWPIEEALSFAKLSLQCTEMRRKDRPDLAKIVLPELNRLRALAEDSMHYNMLGGCGGGVYLPRQGSISRKQDVLSDMHLPRSGYNSSRSHSSTSS >ONI21569 pep chromosome:Prunus_persica_NCBIv2:G2:11108601:11112751:-1 gene:PRUPE_2G073500 transcript:ONI21569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGTAETKAADEAVALAIDKDKGSQHAIKWAVDHLLTKGQHLTLLHVKHTLPNHELFLPFRSFCKKKLIKCHEVVVEAVDIPKALINYVISNSIEILVLGAPSRHSFFRSFKVTDVPSCVIKGLPNFCTLYVIGKGKISYVQTATIPPPKKARNQIHKQSSKVSESNGTQSMHKQQPRASGKRTHSAPDSLMVDDTEIKSPFTRGRASMNNSYDLSPESTDISFVSSGRPSIDRMFPSLTTPQKSISPEFDNRSSTTSYSRHQFIDKSSSPQDFSSSSMESGKSWSSSHNMDEVEAEMWRLKLELKQTMDVYKMACREAVTLNHEAQELDQWKSEGEHRLNEAHITEEAAFALIKKEREKCKAAMEAAEAAQRIAELEAHKRRNAEMKALKEAEERNQATEARAYNLRYRKYTIEEIEAGTNKFSHTDKIGEGGYGPVYRGELDHTQVAVKILRPGAAQGHSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFQRGNTPVIPWQLRFRISAEIATGLLFLHQSKPEPLVHRDLKPGNILLDHNYVSKISDVGLARLVPPSVADSVTQYRMTSTAGTFCYIDPEYQQTGMLGTKSDIYSLGVMLLQIITAKPPMGLAHHVELAIELGTFAEMLDPAVPDWPIEEALSFAKLSLQCTEMRRKDRPDLAKIVLPELNRLRALAEDSMHYNMLGGCGGGVYLPRQGSISRKQDVLSDMHLPRSGYNSSRSHSSTSS >ONI25496 pep chromosome:Prunus_persica_NCBIv2:G2:29182160:29184160:-1 gene:PRUPE_2G306600 transcript:ONI25496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVLNALDSARTQWYHVTAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYFNPDSKVPGKLPPRVNNIVIGVALVGTLSGQLVFGWLGDKLGRKKVYGVTLIMMVICAICSGLSFGSSAPAVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKMTRGAFIAAVFAMQGVGIIFAGLVSMVLSRIFLSFYPAPAFHDSPTDYAHVLSTQPQADYLWRIVLMIGALPAIVTYYWRMKMPETGRYTALIEGNAKQAAADMGKVLDIEIQAEQEKLAQFKAANEYPLLSMEFYRRHGRHLIGTMTTWFLLDIAFYSQNLTQKDIFPVMGLTHKDVEVNALQEMFETSRAMFVIAFFGTFPGYWFTVFFIEKLGRFKIQLVGFFMMSFFMLIIGIKYDYLTTNKYMFATLYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAAAGKAGAMVGAFGVQNYTLGKGTKDIQKAMFFLAFTNMLGFCFTFLVTETKGRSLEEISGEDGSGETAGTQMTGTNSSSVTR >ONI20444 pep chromosome:Prunus_persica_NCBIv2:G2:1482810:1484878:1 gene:PRUPE_2G016000 transcript:ONI20444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGNGSIRMIAFCSMDYRSQMQTIITKACNELGIKPIPSKRCLSLLLWLEERYETVYTRHPGFQKGSKPLLAVDNPFPMELPENLVGEKWAFVQLPFSAVQEEISSLDSNLVFGASLDLDLLGIEIDDKTLIPGLAVASSPWMNGLEVCSIEADLSRARLILSVGISG >ONI22323 pep chromosome:Prunus_persica_NCBIv2:G2:17857317:17859610:1 gene:PRUPE_2G121300 transcript:ONI22323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPEEEITRLYRVRRTVMQMLRDRKYLVGDFEINMTREQFKAKYGENMKREDLTINKTKRNDSTDQLYVFFPEEPKVGVKTIKTYTNRMKSDNVARAILVTQQNMTPMARTCISEISSKFHMEVFQEPELMVNVTEHVLVPEHQLLTNEEKKTLLERYTVKETQLPRIQLTDPVAKYYGLKRGQVMKIIRPSETAGRYITYRYVV >ONI22322 pep chromosome:Prunus_persica_NCBIv2:G2:17856920:17859610:1 gene:PRUPE_2G121300 transcript:ONI22322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPEEEITRLYRVRRTVMQMLRDRKYLVGDFEINMTREQFKAKYGENMKREDLTINKTKRNDSTDQLYVFFPEEPKVGVKTIKTYTNRMKSDNVARAILVTQQNMTPMARTCISEISSKFHMEVFQEPELMVNVTEHVLVPEHQLLTNEEKKTLLERYTVKETQLPRIQLTDPVAKYYGLKRGQVMKIIRPSETAGRYITYRYVV >ONI22818 pep chromosome:Prunus_persica_NCBIv2:G2:20741796:20744767:-1 gene:PRUPE_2G153000 transcript:ONI22818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADLAEGTLQNVLEQDSLKWVFVGGKGGVGKTTCSSILSILLSRVRSSVLIISTDPAHNLSDAFQQKFTKTPTLVNGFTNLYAMEVDPTVEHEDMGTDGMDNLFSELANAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLAKVMSLKNKFGGLMSQMTRLFGVDDEFGEDAILGKLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLYDEEGSESKLLKARMRMQQKYLDQFYMLYDDFNITKLPLLPEEVTGVEALKAFSRHFLTPYQPSTSKSTVETLEQRVSTLRQQLKDAEAQLEKLRKGKQKV >ONI22819 pep chromosome:Prunus_persica_NCBIv2:G2:20741429:20744874:-1 gene:PRUPE_2G153000 transcript:ONI22819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADLAEGTLQNVLEQDSLKWVFVGGKGGVGKTTCSSILSILLSRVRSSVLIISTDPAHNLSDAFQQKFTKTPTLVNGFTNLYAMEVDPTVEHEDMGTDGMDNLFSELANAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLAKVMSLKNKFGGLMSQMTRLFGVDDEFGEDAILGKLEGMKDVIEQVNRQFKDPAQNPNYSKQECECNKSTLTSSTCCMMTLTSPSCHCCRKRLPELKL >ONI22817 pep chromosome:Prunus_persica_NCBIv2:G2:20740900:20744887:-1 gene:PRUPE_2G153000 transcript:ONI22817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADLAEGTLQNVLEQDSLKWVFVGGKGGVGKTTCSSILSILLSRVRSSVLIISTDPAHNLSDAFQQKFTKTPTLVNGFTNLYAMEVDPTVEHEDMGTDGMDNLFSELANAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLAKVMSLKNKFGGLMSQMTRLFGVDDEFGEDAILGKLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLYDEEGSESKLLKARMRMQQKYLDQFYMLYDDFNITKLPLLPEEVTGVEALKAFSRHFLTPYQPSTSKSTVETLEQRVSTLRQQLKDAEAQLEKLRKGKQKLECLCRTGNGRKPISLRRTGSDPWL >ONI25108 pep chromosome:Prunus_persica_NCBIv2:G2:28019720:28023596:1 gene:PRUPE_2G281400 transcript:ONI25108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTFFIFSFLIFFTNPLWVCGNAELRALLDMRTVLDPESLYLSSWTVNGDPCDGSFEGIGCNEAGQVANISLQGKGLSGKLSPAIAGLKHLTGLYMHYNSLYGEIPREIANLTELSDLYLNVNNLSGEIPPEIGSMGSLQVLQLCYNQLTGSIPTQLGSLKNLTVLALQSNRLTGAIPASLGDLEMLMRLDLSVNHLFGSIPTKLADAPVLEVLDVRNNTLSGNVPLALKRLNEGFSYENNLGLCGAGFMSLTACSASGHLNANRPEPFGAGAPTRDIPETANVPLPCNQTRCSNLSKSHQASVAVVVGVLVVTIALSAIGVLFFTQHRRRKQKLGSSFDISDGRLSTDEAKGKGVYRKNGSPLISLEYSNGWDPLADGRNLSLFAQEVFHSFRFNLEEVETATQYFSEMNLLDKSNFSATYKGILRDGSVVAIKSIGKSCCKTEEAEFLKGLNMLTSLRHENLVRLRGFCCSKGRGECFLIYDFVPNGNLLRYLDVKDGDSHVLEWTTRVSIVKGIAKGLSYLHGYKPNKPALVHQNISAEKVLIDQRYNPLLSDSGLHKLLTNDVVFSALKASAAMGYLAPEYTTTGRFTEKSDVYAFGVLVFQVLSGKRKVTSSMRLGAESVTFQDFIDQNLNGRFFEYEASKLAKTALLCTHESPIERPSMEEVVQELSNCNSCV >ONI22882 pep chromosome:Prunus_persica_NCBIv2:G2:21010881:21016210:1 gene:PRUPE_2G156700 transcript:ONI22882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDETSGPMIDDEIYANGVGGDDEKRSRPIISSEQLDVEAYASLYSGRTKIMRLIFIANKSKSNHAMELEALRMAYDEIKKGENTQLFRDVVQMIGGRLGPDYAMDLAWCEMVDRRADQKKEKLENELNAYRTNLIKESIRMGYNDFGDFYYAHGQLGDAFKNYVRTRDYCTTAKHIVHMCMSSILVSIEMSQFAHVSSYVSKAEQSPEALDPVTVAKLRCAAGLAHLEAKKYKLAARKFLETGHELGNHYNEVIAPQDVATYGGLCALASFDRMELKNKVIDNLNFRNFLELVPEVRELINDFYSSHYASCLDYLGNLKANLLLDIHLHDHLETLYGQIRHKALIQYTHPFVSVDLHMMASAFKTDVSGLEKELEALITDNQVQARIDSHNKILYARHADQRNATFQRVLQTGDEFDRDVKSMLLRVNLIKHEYNLKGSRKP >ONI23229 pep chromosome:Prunus_persica_NCBIv2:G2:22163820:22168478:-1 gene:PRUPE_2G176300 transcript:ONI23229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLTIINPNPPPPTQTMSASTGLRSPATMPHRSSPTTSPSSLPLVVTLNCIDDFAMEQDSLSGVAAVEHVPLSRLADGRIESASAVLLQSLAYLPRAAQRRLRPYQLILCLGSADRAVDSALAADLGLRLVHVDTSRAEEIADTVMALFLGLLRRTHMLSRHALSASGWLGSIQPLCRGMRRCRGLVLGIIGRSASARSLATRSLAFKMSVLYFDVQDVEVNGKVSRSSISFPSAARRMDTLNDLLAASDLVSLHCSLTNETVQILNAECLQHVKPGAFLVNTGSSQLLDDCFVKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPHSADYSEEVWLEIREKAISILQSFFFDGIVPKNAVSDEEDESEIGDENEGSDKLDRESSLQLSVVEQPTEVIHASPESSQKKEANQSKESPSQHQGSGLSQSTATRSDGRRGRAGKKAKKRHAHQKSRQKSDDPSQEKESTSQREEDTAMSGTDQALSSSSRFASPEDLRSRKTPIESMQESPSDQLLKSSRRLSGKPGELLKDGYVVSLYARDRPALHVARQRVKGGGWFLDTMSNVSKRDPAAQFLIVSRSKVSMQELIYFLLDLNCRLLCNLWWLV >ONI23227 pep chromosome:Prunus_persica_NCBIv2:G2:22163413:22168608:-1 gene:PRUPE_2G176300 transcript:ONI23227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLTIINPNPPPPTQTMSASTGLRSPATMPHRSSPTTSPSSLPLVVTLNCIDDFAMEQDSLSGVAAVEHVPLSRLADGRIESASAVLLQSLAYLPRAAQRRLRPYQLILCLGSADRAVDSALAADLGLRLVHVDTSRAEEIADTVMALFLGLLRRTHMLSRHALSASGWLGSIQPLCRGMRRCRGLVLGIIGRSASARSLATRSLAFKMSVLYFDVQDVEVNGKVSRSSISFPSAARRMDTLNDLLAASDLVSLHCSLTNETVQILNAECLQHVKPGAFLVNTGSSQLLDDCFVKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPHSADYSEEVWLEIREKAISILQSFFFDGIVPKNAVSDEEDESEIGDENEGSDKLDRESSLQLSVVEQPTEVIHASPESSQKKEANQSKESPSQHQGSGLSQSTATRSDGRRGRAGKKAKKRHAHQKSRQKSDDPSQEKESTSQREEDTAMSGTDQALSSSSRFASPEDLRSRKTPIESMQESPSDQLLKSSRRLSGKPGELLKDGYVVSLYARDRPALHVARQRVKGGGWFLDTMSNVSKRDPAAQFLIVSRSKDTIGLRSFAAGGKLLQINRRMEFVFASHSFDVWESWMLEGSLEECRLVNCRNPLAVLEVSIEILATIGEDGVTRWLD >ONI23228 pep chromosome:Prunus_persica_NCBIv2:G2:22163820:22168411:-1 gene:PRUPE_2G176300 transcript:ONI23228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLTIINPNPPPPTQTMSASTGLRSPATMPHRSSPTTSPSSLPLVVTLNCIDDFAMEQDSLSGVAAVEHVPLSRLADGRIESASAVLLQSLAYLPRAAQRRLRPYQLILCLGSADRAVDSALAADLGLRLVHVDTSRAEEIADTVMALFLGLLRRTHMLSRHALSASGWLGSIQPLCRGMRRCRGLVLGIIGRSASARSLATRSLAFKMSVLYFDVQDVEVNGKVSRSSISFPSAARRMDTLNDLLAASDLVSLHCSLTNETVQILNAECLQHVKPGAFLVNTGSSQLLDDCFVKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPHSADYSEEVWLEIREKAISILQSFFFDGIVPKNAVSDEEDESEIGDENEGSDKLDRESSLQLSVVEQPTEVIHASPESSQKKEANQSKESPSQHQGSGLSQSTATRSDGRRGRAGKKAKKRHAHQKSRQKSDDPSQEKESTSQREEDTAMSGTDQALSSSSRFASPEDLRSRKTPIESMQESPSDQLLKSSRRLSGKPGELLKDGYVVSLYARDRPALHVARQRVKGGGWFLDTMSNVSKRDPAAQFLIVSRSKDTIGLRSFAAGGKLLQVPF >ONI20809 pep chromosome:Prunus_persica_NCBIv2:G2:3724222:3733638:-1 gene:PRUPE_2G034600 transcript:ONI20809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMERMTADQAKAGPLERDIDLQAITALKKGAQLLKYGRRGKPKFCPFRLSNDESSLIWYSGKEEKYVKLSHVSRIIPGQRTQTFQRYPRPEKEYQSFSLMYNDRSLDLICKDKDEAEVWFTGLKALISRGLHQKGRAESRSGVTSEANSPRSHTQRSSPLSSPFCSGDSSQKDGVEPFHLQATFESPPKVGLEKALSDVMLYALPPKIPFPSDTACGSVQSLSSGGSDGMNGRIKGAGVDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGIGDCFLGGGSRRVGSSSSCKMDSSVPKVLESAVVLDVQSIACGGRHAAFVTKQGEVFSWGEELGGRLGHGVDADVSHPKLIDALKNINVEFLACGEYHSCAVTLSGDMYTWGGSTCNFSLLGHGFQSSQWVPRKLNGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSIPVPREVESLKGLRTVRVACGVWHTAAVIEVMMGSSSSSNCSSGKLFTWGDGDKGRLGHGDKEARLVPTCVAALVEPNFCKVACGQSLTVALTTTGHVYTMGSPVFGQLGVPQADGKLPCRVEGKLMKSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGLGDTEDKFSPTLVEALKDKQVKSIVCGTNFTAAICLHKWVSGIDQSMCSGCRLPFNFKRKRHNCYNCGLVLCHSCSSKKCLKASMAPNPNKPYRVCDNCFSKLRKATETNSSSGPAFSRRGSMNQGFNELIENNVKEMESGSSKRNKKLDFSSNCVTPTPNGVSERRAVNATKSFNPMFGSSKKFFSASLPGSRIASRATSPTSRRSSPPRATTPTPALSGLTLPKVVDDAKRTNDSLSEEVLKLRAQVEDLTRKAQLQDIEMERTTQQLKEALTVAGEETTKCKAAKEVIKSLTAQLKEMAERLPIGTARNIDTRPLDSPSPTHEVSTAAIEKTSSPLTFHEPYSVVSNSLMISDLPKSYNNHTSNHTEVTHPEATARHKNRGTKTEATHGVEWVEQDEPGVYITLVSLPGGVKDLKRVRFSRKRFSEKQAEQWWAANRGRVYQQYNVPVVEKPGIPMGREGLAH >ONI20808 pep chromosome:Prunus_persica_NCBIv2:G2:3724222:3733825:-1 gene:PRUPE_2G034600 transcript:ONI20808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMERMTADQAKAGPLERDIDLAITALKKGAQLLKYGRRGKPKFCPFRLSNDESSLIWYSGKEEKYVKLSHVSRIIPGQRTQTFQRYPRPEKEYQSFSLMYNDRSLDLICKDKDEAEVWFTGLKALISRGLHQKGRAESRSGVTSEANSPRSHTQRSSPLSSPFCSGDSSQKDGVEPFHLQATFESPPKVGLEKALSDVMLYALPPKIPFPSDTACGSVQSLSSGGSDGMNGRIKGAGVDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGIGDCFLGGGSRRVGSSSSCKMDSSVPKVLESAVVLDVQSIACGGRHAAFVTKQGEVFSWGEELGGRLGHGVDADVSHPKLIDALKNINVEFLACGEYHSCAVTLSGDMYTWGGSTCNFSLLGHGFQSSQWVPRKLNGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSIPVPREVESLKGLRTVRVACGVWHTAAVIEVMMGSSSSSNCSSGKLFTWGDGDKGRLGHGDKEARLVPTCVAALVEPNFCKVACGQSLTVALTTTGHVYTMGSPVFGQLGVPQADGKLPCRVEGKLMKSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGLGDTEDKFSPTLVEALKDKQVKSIVCGTNFTAAICLHKWVSGIDQSMCSGCRLPFNFKRKRHNCYNCGLVLCHSCSSKKCLKASMAPNPNKPYRVCDNCFSKLRKATETNSSSGPAFSRRGSMNQGFNELIENNVKEMESGSSKRNKKLDFSSNCVTPTPNGVSERRAVNATKSFNPMFGSSKKFFSASLPGSRIASRATSPTSRRSSPPRATTPTPALSGLTLPKVVDDAKRTNDSLSEEVLKLRAQVEDLTRKAQLQDIEMERTTQQLKEALTVAGEETTKCKAAKEVIKSLTAQLKEMAERLPIGTARNIDTRPLDSPSPTHEVSTAAIEKTSSPLTFHEPYSVVSNSLMISDLPKSYNNHTSNHTEVTHPEATARHKNRGTKTEATHGVEWVEQDEPGVYITLVSLPGGVKDLKRVRFSRKRFSEKQAEQWWAANRGRVYQQYNVPVVEKPGIPMGREGLAH >ONI20810 pep chromosome:Prunus_persica_NCBIv2:G2:3725019:3733638:-1 gene:PRUPE_2G034600 transcript:ONI20810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMERMTADQAKAGPLERDIDLAITALKKGAQLLKYGRRGKPKFCPFRLSNDESSLIWYSGKEEKYVKLSHVSRIIPGQRTQTFQRYPRPEKEYQSFSLMYNDRSLDLICKDKDEAEVWFTGLKALISRGLHQKGRAESRSGVTSEANSPRSHTQRSSPLSSPFCSGDSSQKDGVEPFHLQATFESPPKVGLEKALSDVMLYALPPKIPFPSDTACGSVQSLSSGGSDGMNGRIKGAGVDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGIGDCFLGGGSRRVGSSSSCKMDSSVPKVLESAVVLDVQSIACGGRHAAFVTKQGEVFSWGEELGGRLGHGVDADVSHPKLIDALKNINVEFLACGEYHSCAVTLSGDMYTWGGSTCNFSLLGHGFQSSQWVPRKLNGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSIPVPREVESLKGLRTVRVACGVWHTAAVIEVMMGSSSSSNCSSGKLFTWGDGDKGRLGHGDKEARLVPTCVAALVEPNFCKVACGQSLTVALTTTGHVYTMGSPVFGQLGVPQADGKLPCRVEGKLMKSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGLGDTEDKFSPTLVEALKDKQVKSIVCGTNFTAAICLHKWVSGIDQSMCSGCRLPFNFKRKRHNCYNCGLVLCHSCSSKKCLKASMAPNPNKPYRVCDNCFSKLRKATETNSSSGPAFSRRGSMNQGFNELIENNVKEMESGSSKRNKKLDFSSNCVTPTPNGVSERRAVNATKSFNPMFGSSKKFFSASLPGSRIASRATSPTSRRSSPPRATTPTPALSGLTLPKVVDDAKRTNDSLSEEVLKLRAQVEDLTRKAQLQDIEMERTTQQLKEALTVAGEETTKCKAAKEVIKSLTAQLKEMAERLPIGTARNIDTRPLDSPSPTHEVSTAAIEKTSSPLTFHEPYSVVSNSLMISDLPKSYNNHTSNHTEVTHPEATARHKNRGTKTEATHGVEWVEQDEPGVYITLVSLPGGVKDLKRVRFRYVSFCDMSCSHFLTIDSIKSVLK >ONI25076 pep chromosome:Prunus_persica_NCBIv2:G2:27901532:27904648:1 gene:PRUPE_2G278700 transcript:ONI25076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAVQRIVGLVVAVMAAMVMGVACSQSHDYGDALNKSILFFEGQRSGKLPSSQRMTWRKDSALRDGYEIGVDLVGGYYDAGDNVKFNFPMAFSTTMLAWSVLEFGKGMSSDLPHALDAIRWATDYFLKATSIPGFVFVQVGDPYGDHNCWERPEDMDTPRTPFAVSKQFPGSEVSAEIAAALAASAMVFRPIDLKYSARLLKRARMVFDFADKYQGSYNDSLGPWVCPFYCDFSGYEDELVWGAAWLFKATKQPIYWNYVLQNINKLESSATVKYINGVSYLGGSFAEFGWDSKHAGINVLVSKLIMSMAGTGSTPFISNADKFICTLLPESPTVSVSYSPGGLLFKPGGSNMQHATTLSFLLVVYARYLKLSNRVVHCGNVVASPARLVKLAKGQVDYILGSNPFGMSYMVGYGKKFPQRIHHRGSSLPSVGQHPKQIDCKGGTDYYNSKNPNLNLLIGAVVGGPDIEDSYADFREDFVQSEPTTYINAPLVGVLAYFNSRSS >ONI21029 pep chromosome:Prunus_persica_NCBIv2:G2:5308864:5313947:1 gene:PRUPE_2G046300 transcript:ONI21029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALIGEAFISASIQVICYRIASPKFVDLFRHKKLDQPLLMKLKRTLLTLNAVLDDAEEKQIEKPAVREWLDDLKHAVFDAEDLLDEINYEALRCKLEGEAQTADKLTNKVRKFLPTSRNRFYQSMNVKIQELLRKLEDFVQLKGALGLTEVVGRKVSQRTPTTSLVHEPYVYGREEVKENLSKVLLSDDASKEDVSFITIVGMGGVGKTTLARMLYNDDKVKEHFTLKAWACVSEDYDAIRVTKTLLESVTSKTCNTTDLNLLQVELREQLRGKKFLFVLDDLWNEKYTDWNCLQTPFTSGARGSKVIVTTRNKNVASFMQNVPTQPLEPLSHEDCWSLLAKHAFGNVNCSAYPSLEEIGKKIARKCNGLPLAAQTLGGLLRSRLDSEVWNRVLNNNIWELPSEKSDILPALGLSYHYLPAKLKQCFIYCSIFPKDYEFKVEDVVFLWMAEGLIPQAENGDNMEEVAKEYFDELLSRSLFQTSGKSSFVMHDLINDLAVFMSKGFCSRWEGRESHEVERVRHLSYAREEYDVSLKFEQLKEAKCLRTFLPTSLNPYNSYKNYYLSKKVVQDLLSSHRCLRALSLSSYRNVTQLPDSIKNLIHLRYLDLSGTAIERLPSVLCSLYYLQTLLLSNCSSLVELPADLRKLINLQKLMLGGCASLAKLPVDLWELISLHHLDVSGTKIAEMPSQMSRLKSLRTLTAFVVGKSTGSTIGELGELPHLGGKLKLQNVVDAKDAVQANLKNKKDMKELEFEWGNEDSDDSTKVRDVLDKLQPCMNLEKLTVKRYGGTSFPNWLGDSAFNKIKVMRLEGCHYCFELPPLGQLPALKELFICKMKYLRTLGPELYGQPFQSFQSLEKLEFKEMAEWEEWVPSGSGGPDFPRLLELILEKCPKLRGSLPRDLPCLKKLCMEGRLDFLPHEMLAKLTSLDYLTIHKSCDSMRSFGIFPKLTTLNIGYCENLESLCLIEEEGAVENLSHLDNLNILGCPNLVCFPPGGLPTPNLTQLEFSRCKKLKSLPERIHTFTALERLWIRNLQNLESIAEDGGLPPNLQHFRIENCERLRASSSSVGDYCNWGLQALVSLTEFTIHGRGGDEILETLLKQQLLPTTLRREALQHLTSLQRLKIRCCDNLQFLPEGALPPSLSYLKIFRCSGLEKRYQNKTGQDHWDSISHIPCIWINDEVII >ONI21593 pep chromosome:Prunus_persica_NCBIv2:G2:11274815:11277288:-1 gene:PRUPE_2G074600 transcript:ONI21593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKAIATTARGVGALHHPWRTIAHGHSTAVSPSDAVNSILLRSLKEHYLDVTKMNPPPKINPPSPFTVVQGSLDGNGPALKRTFGDEEITISVMRLANIVPGDGGEDDGADDGDDINQLFLHVDVSKPGQKESLHFLCGLYPDALGIHSVSMRPKADAASSVEDGSSYTGPVFEDLDEKIRDAFHNYIEERGVGDSLFPFLRAWLYVKDHRNLMRWFKSVGTFINENKTAVKDS >ONI24545 pep chromosome:Prunus_persica_NCBIv2:G2:26282476:26285556:1 gene:PRUPE_2G245800 transcript:ONI24545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCRLHLRAMLLLVAFLYPAFVQSLVRHYKFSVVFKNTTKLCSSKPTITVNGKFPGPTLYAREDDTVIVRVINHVNHNLTIHWHGVRQLGTAWADGPAYITQCPIQPGQNFIYNFTLTGQRGTLLWHAHTSWLRATLHGAIVILPKRGTPYPFPTPDEEKTVILAEWWKSDVEAVVNQSIKSGLPPNVSDAHTINGHAGPVPGCSSQGGYTLHVESGKTYLLRIINAALNDDLFFKIAGHNLTVVEVDASYTKPFQTDTIFISPGQTTNAILTANRGIGKYLIAASPFMDAPVGFDNLTSIASLLYKGTPANPKAFLTSIPPQNATPVTNTFMDSLRSLNSKQYPANVPLTIDHSLFFTIAVGVNPCATCVNGSKLVAAFNNVSFVMPTIALLQAYYYNTKGVFTLDFPANPPIPFNYTGNSTANMQTTNGTRLYRLGYNSTVQIVLQGTAVIAPESHPTHLHGFNFFVVGKGIGNFDPKKDPKTFNLVDPVERNTISVPTGGWTAIRFRADNPGIWFLHCHLEVHTTWGLKMPFLVENGKGPNETLRPPPADLPTC >ONI21748 pep chromosome:Prunus_persica_NCBIv2:G2:13614323:13616752:1 gene:PRUPE_2G085900 transcript:ONI21748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMETEDILPSLEDQGVRQLYPKGPNINFKKELRSLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQIQRRKLAVEDIKRRREEARRLLKESIGTLEDTDASFVLK >ONI21749 pep chromosome:Prunus_persica_NCBIv2:G2:13614323:13616137:1 gene:PRUPE_2G085900 transcript:ONI21749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMETEDILPSLEDQGVRQLYPKGPNINFKKELRSLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHILEL >ONI24559 pep chromosome:Prunus_persica_NCBIv2:G2:26338371:26342315:-1 gene:PRUPE_2G247000 transcript:ONI24559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISARPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDVTNSYAVPFEEDDRDPSIWFLDHNYHEAMYAMAKRINAKEHVVGWYSTGPKLRENDLDIHALFNDYVPNPVLVIIDVQPKELGIPTKAYCTVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLTALKGLEARLREIRGYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVSELIKAFSVKTNDMMLVIYLSSLIRSVIALHNLINNKMHNKEHEKAEDSKQVAVPAAAGS >ONI24558 pep chromosome:Prunus_persica_NCBIv2:G2:26338371:26342271:-1 gene:PRUPE_2G247000 transcript:ONI24558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQISARPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDVTNSYAVPFEEDDRDPSIWFLDHNYHEAMYAMAKRINAKEHVVGWYSTGPKLRENDLDIHALFNDYVPNPVLVIIDVQPKELGIPTKAYCTVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLTALKGLEARLREIRGYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVSELIKAFSVKTNDMMLVIYLSSLIRSVIALHNLINNKMHNKEHEKAEDSKQVAVPAAAGS >ONI21064 pep chromosome:Prunus_persica_NCBIv2:G2:5643172:5644717:1 gene:PRUPE_2G049100 transcript:ONI21064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSKVHWISWPTLGLPKKQGGMGFRDFNDFNLALLARQCWRLITEPNSQWAQLLKARYFQKCNFLEAKKCGCASWAWASLLEGRKIILQGARWQILNCRQAKLWMDCWIPSLHNGKLHPHLQNSLDPNTRISLAWGKKGKFSVRSGYHSIHALQVETTARNASTSSRIDPLVWKNIWKAEVPPKIKNFLWRATHGRLPTTFALHKRKIAGTRRNHQKAHVIKVNFDATWSASSGKAGVGLIARNTNGEFVGAKCLSFHAESTIMAKAIAGFEGCKWASELGLSDVYFESDSKELIENVKGNIKRGRWSLDPLLSIIRECNSNFSNYNWACTSRKNNEAVDHLVL >ONI21987 pep chromosome:Prunus_persica_NCBIv2:G2:15598310:15600150:-1 gene:PRUPE_2G100200 transcript:ONI21987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLFLPLLSLTLFLSLTSAARNLQPRRHVTVPVPLIQQVCKATRFPDTCQASLAGLVTDPNTTPIQAIQSAIQVSTDSLHKAQKMVKAILDSSAGNQNRTTAANNCLDDLGNSQYRISLTTDGLSRGSIKNARASMSAALLYQYGCWSGLKYANDTQMVNETMSFLDSLVGKSSNALSMMFSYDNFGNDTKLWAPPKTEREGFWERVEGRGSGQGVRGGVPLNLTADVTVCKEKYEKCYRTVQRAVNAAPDNAGEKKFVIRIKAGVYDEIVRVPLEKRNVVFLGDGIGKTVITGSLNVGMPGISTYNSATVGVLGDGFMASGLTIQNTAGPDVHQAVAFRSDSDLSVIENCEFLGNQDTLYAHGNRQFYKSCNIQGNVDFIFGNSAAVFQDCNILIRPRQLNPENGESSTVTAHGRTDPAQSTGFVFQNCLINGTEEFMKLYQNNPEVHKSYLGRPWKEYSRTVFINCTMEALLSPDGWMTWSEDFALSTLFYGEFGNSGAGSDFSKRAPFSSKIPSEHVNAYSVQNFIQGNEWIST >ONI23293 pep chromosome:Prunus_persica_NCBIv2:G2:22382355:22384220:1 gene:PRUPE_2G180500 transcript:ONI23293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRVLSKFTTVHHSLSSPKTQNPKPNNSTVMSTPLNPDASVLASLMESHFKELDLIPEQFLQKKEEMVPILENCLSHSCLEEGLAEQIAVNKSNSAHRIKPGPVDSAFIKDCVGQVLVASNKRFIKIVHCSLVQAGKEKEAQEYLEKVLKVMEDMGLGTNPSSFFMPPAPLEVFPTPAPGWRPGQAMADG >ONI23292 pep chromosome:Prunus_persica_NCBIv2:G2:22382355:22384244:1 gene:PRUPE_2G180500 transcript:ONI23292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRVLSKFTTVHHSLSSPKTQNPKPNNSTVMSTPLNPDASVLASLMESHFKELDLIPEQFLQKKEEMVPILENCLSHSCLEEGLAEQIAVNKSNSAHRIKPGPVDSAFIKDCVGQVLVASNKRFIKIVHCSLVQAGKEKEAQEYLEKVSPASRVKHFESIKMWNYLSLPFQVLKVMEDMGLGTNPSSFFMPPAPLEVFPTPAPGWRPGQAMADG >ONI23162 pep chromosome:Prunus_persica_NCBIv2:G2:21961840:21966529:-1 gene:PRUPE_2G173100 transcript:ONI23162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAINKGTVTLPLKNPISFSKSYYFPSKTLSPSHISPKKKKNELGLGGNIKRERERERERERDKKERARERERGQSPKVKNQRLKEDTTTHQRVISHQNQNAKTPTKKYKSSTGWSMVADGSALDCAKTPKKNETDGFSVDLEPPSEAPERCVLDVPDKLRCWFDQLLGVFLKEISAQGLLRPLPPMIGNGQRVDLLKLFWVVRKQGGFDTISKIRVWDSVAKECGLGWGLGWAVKLVYVKYLHLLERVIENKDLEWSVDISGLDLTEQFMDLEDKLTKVFPEISDQKVKDGAYPHVELSPKNFKDSNEVGSEVAESDRLKKSVVSEAFLDLDSRRDAGKFCNGDVPLSGGAMKCTVNLMNKNLDLTNSMEDVGKVCDNGEVSEVGESGTGKKYNDCDEAVMILDPSPGEVSSFRKRKRGPSCGSEAGESRRGKKYNDISNEDAAILDPSTDEEAISFWKRKQESLCGMLNWVRMIAKDPCDPAVGSLPERSKWKSFGNEENWMQVLWAREAIFVKKHADSGAEQSNWQKNQRMHPSLYDDHFSSSYNLRERLRLEKKLLSGGTMPQSRTGSESSSPSYSPDMAGMEDQLLGTSDFTSVLDRYPPSHIPLGSNYQAHLPEWTGEASESELKWLGSKFWPLEKPEHRYLIERDPIGKGRQESCGCQVSGSIECVRFHISEKRLRVKRELGPAFYHWEFNQMGDEVGLSWTAEEEKKFKDIVKSNPPSLGITFWDQIFKSFPKKSRRELVSYYFNVFLLHRRGYQNRFTPNNIDSDDEGLESGSVTNGFGDEERKPSKSILKSPHKPHAKCR >ONI23163 pep chromosome:Prunus_persica_NCBIv2:G2:21962431:21966220:-1 gene:PRUPE_2G173100 transcript:ONI23163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQKNTRAVLGLNSKSMAGWSMVADGSALDCAKTPKKNETDGFSVDLEPPSEAPERCVLDVPDKLRCWFDQLLGVFLKEISAQGLLRPLPPMIGNGQRVDLLKLFWVVRKQGGFDTISKIRVWDSVAKECGLGWGLGWAVKLVYVKYLHLLERVIENKDLEWSVDISGLDLTEQFMDLEDKLTKVFPEISDQKVKDGAYPHVELSPKNFKDSNEVGSEVAESDRLKKSVVSEAFLDLDSRRDAGKFCNGDVPLSGGAMKCTVNLMNKNLDLTNSMEDVGKVCDNGEVSEVGESGTGKKYNDCDEAVMILDPSPGEVSSFRKRKRGPSCGSEAGESRRGKKYNDISNEDAAILDPSTDEEAISFWKRKQESLCGMLNWVRMIAKDPCDPAVGSLPERSKWKSFGNEENWMQVLWAREAIFVKKHADSGAEQSNWQKNQRMHPSLYDDHFSSSYNLRERLRLEKKLLSGGTMPQSRTGSESSSPSYSPDMAGMEDQLLGTSDFTSVLDRYPPSHIPLGSNYQAHLPEWTGEASESELKWLGSKFWPLEKPEHRYLIERDPIGKGRQESCGCQVSGSIECVRFHISEKRLRVKRELGPAFYHWEFNQMGDEVGLSWTAEEEKKFKDIVKSNPPSLGITFWDQIFKSFPKKSRRELVSYYFNVFLLHRRGYQNRFTPNNIDSDDEGLESGSVTNGFGDEERKPSKSILKSPHKPHAKCR >ONI24281 pep chromosome:Prunus_persica_NCBIv2:G2:25603014:25607832:1 gene:PRUPE_2G232400 transcript:ONI24281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARINFFLNPINPLNSKATTHLRTRLHFQPSRRRPRATSFCTRASATPLHDEAAASDPFVLTTPLYYVNAPPHLGSAYTTIAADAIARFHRLLGKKVIFITGTDEHGEKIATAAAASASTPAQHCDIISQSYISLWKNLDIAYDKFIRTTDPKHEAIVKEFYSRVLANGDIYRADYEGLYCINCEEYKDEKELLDNNCCSTHLKPCVARKEDNYFFALSKYQKSLEETLAKNPNFVQPSFRLNEVQSWIRGGLRDFSISRALVDWGIPVPNDSKQTIYVWFDALLGYISALSEDTEQPDLERAVSSGWPASLHLIGKDILRFHAVYWPAMLMSAGLGLPKMVFGHGFLTKDGMKMGKSLGNTIEPNDLVHKFGSDAVRYFFLREVEFGNDGDYSEDRFINIVNAHLANSIGNLLNRTLGLLKKNCQSTLVVDSSIAAEGITFKDTVEKLVEKARVQYGNLSLSSACEAVLEISNAGNLYMDERAPWSLFKQGGAASEAAAKDLVIILEAMRIIAVALSPVTPSLSWRIYGQLGYSKERFDAVTWSDTKWGGLKSGQVMAQPTPIFARIENPTEGQNAVEAPKKEVKKDKLPQAKRVVEA >ONI24282 pep chromosome:Prunus_persica_NCBIv2:G2:25603170:25607607:1 gene:PRUPE_2G232400 transcript:ONI24282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARINFFLNPINPLNSKATTHLRTRLHFQPSRRRPRATSFCTRASATPLHDEAAASDPFVLTTPLYYVNAPPHLGSAYTTIAADAIARFHRLLGKKVIFITGTDEHGEKIATAAAASASTPAQHCDIISQSYISLWKNLDIAYDKFIRTTDPKHEAIVKEFYSRVLANGDIYRADYEGLYCINCEEYKDEKELLDNNCCSTHLKPCVARKEDNYFFALSKYQKSLEETLAKNPNFVQPSFRLNEVQSWIRGGLRDFSISRALVDWGIPVPNDSKQTIYVWFDALLGYISALSEDTEQPDLERAVSSGWPASLHLIGKDILRFHAVYWPAMLMSAGLGLPKMVFGHGFLTKDGMKMGKSLGNTIEPNDLVHKFGSDAVRYFFLREVEFGNDGDYSEDRFINIVNAHLANSIGNLLNRTLGLLKKNCQSTLVVDSSIAAEGITFKDTVEKLVEKARVQYGNLSLSSACEAVLEISNAGNLYMDERAPWSLFKQGGAASEAAAKDLVIILEAMRIIAVALSPVTPSLSWRIYGQLGYSKERFDAVTWVSP >ONI25084 pep chromosome:Prunus_persica_NCBIv2:G2:27927978:27928497:1 gene:PRUPE_2G279400 transcript:ONI25084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVIQLIGVLLAFLFTSNGEGPNVIAISSVAIGSISLITGELGRRRSRVSLLKVYIVASSIGILLSIACVATGNLTLEVFQNPSNWETKKFTKEIIKKLLFIIYEVN >ONI24880 pep chromosome:Prunus_persica_NCBIv2:G2:27325404:27326678:-1 gene:PRUPE_2G267300 transcript:ONI24880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLWVEVCLISARGLRRSSSLWKLQWYAVGWTNPNNKYCTKIDASGNANPVWKTKFATLVEDSESNLKDLALHIEVYSREPIFLRERLQGTATIVLREFLAKHNKNSEASRQGAEEVGSYQLRKKNSNKPQGFVDVSIRISEDMEARSSYTGSEGGPTDLSNTITLAIGDGSAPTFQPLAPHQRPESQLRINSPYAHPRPSPTNYSNPYAVGPSHPPSGGPSYRPSSGPSYPPASGPSYEPPKTPPPPPPPSNVGYIPTFIPRTDRMSDTYVNMPSSGAPPGRRGAPGFGMGMGAGALAAGAVIFGDDFMSGFDVPSGLQDASLTISTDPPF >ONI22226 pep chromosome:Prunus_persica_NCBIv2:G2:17336689:17337020:1 gene:PRUPE_2G115400 transcript:ONI22226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMKKSVCGKARQGGKVKKPMKREIKDSKFGFGGRKGSKKQNVAETTNDLRGFNRDSLSRNKKRKR >ONI22554 pep chromosome:Prunus_persica_NCBIv2:G2:19403995:19405200:1 gene:PRUPE_2G136400 transcript:ONI22554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSTSTSLIISPRKLRSDLYSYSYQEDSTTPLVVNVLASLIERSMARNQRIAKSCSSPWYMSKDMKKTRIFECHETPDMTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCQNNPGFKINVTNVHRLLITTIMVASKYVEDMNYRNSYFARVGGLTTNELNKLELEFLFLMGFKLHVNVSVFESYCCHLEREVSIGGGYHIESTLRCAEEIKTRQNEERRYNQFAGLLL >ONI22555 pep chromosome:Prunus_persica_NCBIv2:G2:19403995:19405200:1 gene:PRUPE_2G136400 transcript:ONI22555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSTSTSLIISPRKLRSDLYSYSYQEDSTTPLVVNVLASLIERSMARNQRIAKSCSSPWYMSKDMKKTRIFECHETPDMTIQSYLERIFRLLITTIMVASKYVEDMNYRNSYFARVGGLTTNELNKLELEFLFLMGFKLHVNVSVFESYCCHLEREVSIGGGYHIESTLRCAEEIKTRQNEERRYNQFAGLLL >ONI25346 pep chromosome:Prunus_persica_NCBIv2:G2:28742416:28747408:1 gene:PRUPE_2G297200 transcript:ONI25346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLCDHPDRTKTPMRPNPSRKVRVVAKIRGFTGPEGELSSEVSWISVNKPKGEASESVTLSFREQEASRKESYEVDYCYDQYEDNNVIFSREVKPLIPGILDGCNATVIAFGARGSGKTCLIQGSSEKPGLAALAMADILSLTEKDGNSVTISSYEVYQDHVYDILDPERKVVLVQGDAQGKIRLKGLSQVPMKSISEFYKLCVTGCGSRKPAQKVATELPRRSHKGLIVHVSSPSENSDTLHVGKLNFVDLAGYEDTRKKSINGLNLVENSKIHKTMYAMLNVVHALSTTENHVPYRESKLTHLLQDSLGGASRVLMVTCLKPSFCPDSIYMVSLVSRSFQNNNGAVIDSTKKIKCLTRSAVLSSRKIHVPKTISVTAKKQTISRVPLSDKKINGSTASVLKGRKLFDETSQLTKSEKILMQVSAISDVASTTETSLDKEEMSISNVTKATEHLECENSLSDTLKPKEFTFMAEKDNLLSDAPKHAESTLMAEKDVSSNSGGHAEEVTLSVNSSSRNTLSLVEVHNMERENKDSEVNEEESPPISARLQELSIHLKSLFSTTPLCTNMPEESNNLKSLCPATPLCTNMPEGNDASSYNHLSTNIEEPKTPVINKGTKDNDRWEVGNFNSPWETLSTRNSEVKHSLVQDYLSFLNTASKEELKRLNGIGEKRATYILELRDESPKPFRNLDDLKDIGLSAKQIKGMMKKEVGGLFN >ONI22472 pep chromosome:Prunus_persica_NCBIv2:G2:18985717:18991293:-1 gene:PRUPE_2G131700 transcript:ONI22472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVSESKVLPGKLPLVGEVPYTRSTSTRVVGHVSSVRSGLVREDVASARDRGHLSNGRLGLVREEIALARQMAELSNVHSLMVKDVRSNARESQDSDSPIPLRTRKGKISFPEEEELMSDSLTFKGSGDSFDEGGPSSFSGVSHPPEPVDMDLMKTVYVPIGQNKSEAGCLMKSLSRKGPFLEDLSLRVPAKKPNPIVLSPSESLVEEPNDLGALSPPFAVPRASQNTDNSLPPDSEEKECVWDASLPPSGNVSPLSSIDSTGVVTAMSIVNSCTGTYRSDAITSDGMLSIDRNCESTKGSVRGDSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRVRDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSFDSDPSKRGAGGAFCVQPACIEPSSVCIQPACFIPRFFPQKSKKNRKPRAEPGFATNALPELVAEPTQARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPDSPATSYAGRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPMEAELPGKFGAVDTVGVGSNSKRMVGADVKSGGKYLDFEFF >ONI22473 pep chromosome:Prunus_persica_NCBIv2:G2:18985717:18991352:-1 gene:PRUPE_2G131700 transcript:ONI22473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVSESKVLPGKLPLVGEVPYTRSTSTRVVGHVSSVRSGLVREDVASARDRGHLSNGRLGLVREEIALARQMAELSNVHSLMVKDVRSNARESQDSDSPIPLRTRKGKISFPEEEELMSDSLTFKGSGDSFDEGGPSSFSGVSHPPEPVDMDLMKTVYVPIGQNKSEAGCLMKSLSRKGPFLEDLSLRVPAKKPNPIVLSPSESLVEEPNDLGALSPPFAVPRASQNTDNSLPPDSEEKECVWDASLPPSGNVSPLSSIDSTGVVTAMSIVNSCTGTYRSDAITSDGMLSIDRNCESTKGSVRGDSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRVRDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSFDSDPSKRGAGGAFCVQPACIEPSSVCIQPACFIPRFFPQKSKKNRKPRAEPGFATNALPELVAEPTQARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPDSPATSYAGRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPMEAELPGKFGAVDTVGVGSNSKRMVGADVKSGGKYLDFEFF >ONI23793 pep chromosome:Prunus_persica_NCBIv2:G2:24166807:24168816:1 gene:PRUPE_2G208500 transcript:ONI23793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGFSTVDGFVEITEGLAEMIKYVANEPSVGLFYIQQHTQNAVPNLVSLRNTIVDKSRETTLHTEDSEDSIAMVRSMKECGFPIADEMIRDIKKSLAVMSTKQPKRGLIDNQTSSFQMGRTSSWKPASWVRSPSVAQQDTESGYISTVIKSARQKASNFKWPPLDSKELTQASYPNPTLSVASVSTSSSLPDVEAEELPVSSHVADEQQEDQVDDSLLTHNLLPMEENFDEFKADKELKLQEWLEGTGNVDNHRGASAAERF >ONI21880 pep chromosome:Prunus_persica_NCBIv2:G2:15120028:15121827:-1 gene:PRUPE_2G096000 transcript:ONI21880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHINYNNAKEKPELNLMTIGALPPEILIDILSRLPVNSICCMRCVSKALLKMVDDLSFSTLHMRRRFLTTCSTPQLVVLNESSYDKYDMLYPLNYRFDSLTKSEHAIVSYFGSKGRFYSSAFVFCNLFGFTGLNPEHGRSCLNGLYPEHASLSLRVNFFPSLYPEHRRSCLLVNPFKGEVLMLPSASDVQVPTNSLCSVDWYGMGFDNITNSFKIVRVSTNKKDYVAAEVLVLGTSSWRELPTVPPCFPTCKSAYTHGDMHWLVYGDDASSVRILSFDFKKEEFYMTPHPTFLGEKPGLWNFLHLLNFRGSLTLVNVSSPEKDHVNIWRPYVEIWGLKNYDNKEWVQNYKIHSEPYLFTFWEPTRLSKCGEWEHGIFFIQKIPPNNHIIFVDVRHVSIKHILLRGGITVHSCTDDRISLNNYGDLVEAEEEQGIIEFPISRETWKNLIDAAEEEDKPCTGKGAIVIHTHTNNSKKVKQFRFNKVIAENMLTESCAKVSIFQNY >ONI23353 pep chromosome:Prunus_persica_NCBIv2:G2:22562834:22569384:-1 gene:PRUPE_2G183800 transcript:ONI23353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFESLVDKKAAKGVVRNEKLKQKDCDPFLKLEGPNLLESPVCTLGMGRSNWPESSPRNCSVTMEGKDLSRCVTSSSVFEPPCKSSGSINGTGLVVEDMTLKHHRKPNSALLSPSQECWQDPDPVASAFRSKNFHGDTMSQDNDQTQLRVRGQLLEMPSRIRSLKPLLSNHSEQEPDKLSAYLGVEDSKIMSNNMLSIAKKQLKTQSTNSHSQLLVKETLKGKSASKFQEPCSGFGSSATDQKEENRGYGSEVACDAQLKSIVNSDQISSHVLHRSGPKSTSNGICLREWLKPGGHKVDIVESLLIFRQIVELVDFAHSQGFVLQDLRPSRFILFPSNKVKYTGSSAIRESNSLMNRDLIIKRPLEQDACAERILGGKQLKLSEGNEEKFCIAGPQNSGYGELQFQMNSSYQNALIAVQQRSISVIVQLEEKWYTSPEELNESGSTLPSNVYCLGVLLFELLCRCESWEVHCAVMLDLHHRILPPKFLSQNPLEAGFCFWLLHPEPLARPTTREILQSKLIGGYQESACCDDFSNSADNVDAESELLLSFLIPLKDKKQGHASKLVEVIRCLEEDINKLGRRHLSGEFPSEREQGFCLEDPVSSGVSSRLIAASNMNETLLMKNISQLEDAYASMRSQMGKTETAPVACSDKEVLNNRYRWCHVRNHTQDSSLNQKSGDRLGAFFDGVSKLARRSKFEVRGTLRNGDLLNSSNVICCLSFDCDEEYIATAGVSKKIKIFDFAALVDNSLDIHYPVVEMPNKSKLSCVCWNNYFKNYLASTDYDGVVQMWDASTGQGFSQYVEHQRRAWSVDFSQADPKKFSSGSDDFSVKLWSINEKKSIGTIWSPANVCCVQFSAFSSNLLVFGSADYKIYGYDLRHTRIPWCTLPGHGKAVSYVKFVDAETLVSASTDNTLKLWDLNQAISTGLSSNACSLTFSGHTNQKNFVGLSVSDGYIACGSETNEVYSYYRSLPMPITSHKFGSIDPVSGSEVGDYSGQFVSSVCWRKKSNILVAANSTGTLKLLQMRSSLLKSCLC >ONI23351 pep chromosome:Prunus_persica_NCBIv2:G2:22561798:22569286:-1 gene:PRUPE_2G183800 transcript:ONI23351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFESLVDKKAAKGVVRNEKLKQKDCDPFLKLEGPNLLESPVCTLGMGRSNWPESSPRNCSVTMEGKDLSRCVTSSSVFEPPCKSSGSINGTGLVVEDMTLKHHRKPNSALLSPSQECWQDPDPVASAFRSKNFHGDTMSQDNDQTQLRVRGQLLEMPSRIRSLKPLLSNHSEQEPDKLSAYLGVEDSKIMSNNMLSIAKKQLKTQSTNSHSQLLVKETLKGKSASKFQEPCSGFGSSATDQKEENRGYGSEVACDAQLKSIVNSDQISSHVLHRSGPKSTSNGICLREWLKPGGHKVDIVESLLIFRQIVELVDFAHSQGFVLQDLRPSRFILFPSNKVKYTGSSAIRESNSLMNRDLIIKRPLEQDACAERILGGKQLKLSEGNEEKFCIAGPQNSGYGELQFQMNSSYQNALIAVQQRSISVIVQLEEKWYTSPEELNESGSTLPSNVYCLGVLLFELLCRCESWEVHCAVMLDLHHRILPPKFLSQNPLEAGFCFWLLHPEPLARPTTREILQSKLIGGYQESACCDDFSNSADNVDAESELLLSFLIPLKDKKQGHASKLVEVIRCLEEDINKLGRRHLSGEFPSEREQGFCLEDPVSSGVSSRLIAASNMNETLLMKNISQLEDAYASMRSQMGKTETAPVACSDKEVLNNRYRWCHVRNHTQDSSLNQKSGDRLGAFFDGVSKLARRSKFEVRGTLRNGDLLNSSNVICCLSFDCDEEYIATAGVSKKIKIFDFAALVDNSLDIHYPVVEMPNKSKLSCVCWNNYFKNYLASTDYDGVVQMWDASTGQGFSQYVEHQRRAWSVDFSQADPKKFSSGSDDFSVKLWSINEKKSIGTIWSPANVCCVQFSAFSSNLLVFGSADYKIYGYDLRHTRIPWCTLPGHGKAVSYVKFVDAETLVSASTDNTLKLWDLNQAISTGLSSNACSLTFSGHTNQKNFVGLSVSDGYIACGSETNEVYSYYRSLPMPITSHKFGSIDPVSGSEVGDYSGQFVSSVCWRKKSNILVAANSTGTLKLLQMVK >ONI23355 pep chromosome:Prunus_persica_NCBIv2:G2:22563078:22569286:-1 gene:PRUPE_2G183800 transcript:ONI23355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFESLVDKKAAKGVVRNEKLKQKDCDPFLKLEGPNLLESPVCTLGMGRSNWPESSPRNCSVTMEGKDLSRCVTSSSVFEPPCKSSGSINGTGLVVEDMTLKHHRKPNSALLSPSQECWQDPDPVASAFRSKNFHGDTMSQDNDQTQLRVRGQLLEMPSRIRSLKPLLSNHSEQEPDKLSAYLGVEDSKIMSNNMLSIAKKQLKTQSTNSHSQLLVKETLKGKSASKFQEPCSGFGSSATDQKEENRGYGSEVACDAQLKSIVNSDQISSHVLHRSGPKSTSNGICLREWLKPGGHKVDIVESLLIFRQIVELVDFAHSQGFVLQDLRPSRFILFPSNKVKYTGSSAIRESNSLMNRDLIIKRPLEQDACAERILGGKQLKLSEGNEEKFCIAGPQNSGYGELQFQMNSSYQNALIAVQQRSISVIVQLEEKWYTSPEELNESGSTLPSNVYCLGVLLFELLCRCESWEVHCAVMLDLHHRILPPKFLSQNPLEAGFCFWLLHPEPLARPTTREILQSKLIGGYQESACCDDFSNSADNVDAESELLLSFLIPLKDKKQGHASKLVEVIRCLEEDINKLGRRHLSGEFPSEREQGFCLEDPVSSGVSSRLIAASNMNETLLMKNISQLEDAYASMRSQMGKTETAPVACSDKEVLNNRYRWCHVRNHTQDSSLNQKSGDRLGAFFDGVSKLARRSKFEVRGTLRNGDLLNSSNVICCLSFDCDEEYIATAGVSKKIKIFDFAALVDNSLDIHYPVVEMPNKSKLSCVCWNNYFKNYLASTDYDGVVQMWDASTGQGFSQYVEHQRRAWSVDFSQADPKKFSSGSDDFSVKLWSINEKKSIGTIWSPANVCCVQFSAFSSNLLVFGSADYKIYGYDLRHTRIPWCTLPGHGKAVSYVKFVDAETLVSASTDNTLKLWDLNQAISTGLSSNACSLTFSGHTNQKNFVGLSVSDGYIACGSETNEVYSYYRSLPMPITSHKFGSIDPVSGSEVGDYSGQFVSSVCWRKKSNILVAANSTGTLKLLQMV >ONI23352 pep chromosome:Prunus_persica_NCBIv2:G2:22562349:22569286:-1 gene:PRUPE_2G183800 transcript:ONI23352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFESLVDKKAAKGVVRNEKLKQKDCDPFLKLEGPNLLESPVCTLGMGRSNWPESSPRNCSVTMEGKDLSRCVTSSSVFEPPCKSSGSINGTGLVVEDMTLKHHRKPNSALLSPSQECWQDPDPVASAFRSKNFHGDTMSQDNDQTQLRVRGQLLEMPSRIRSLKPLLSNHSEQEPDKLSAYLGVEDSKIMSNNMLSIAKKQLKTQSTNSHSQLLVKETLKGKSASKFQEPCSGFGSSATDQKEENRGYGSEVACDAQLKSIVNSDQISSHVLHRSGPKSTSNGICLREWLKPGGHKVDIVESLLIFRQIVELVDFAHSQGFVLQDLRPSRFILFPSNKVKYTGSSAIRESNSLMNRDLIIKRPLEQDACAERILGGKQLKLSEGNEEKFCIAGPQNSGYGELQFQMNSSYQNALIAVQQRSISVIVQLEEKWYTSPEELNESGSTLPSNVYCLGVLLFELLCRCESWEVHCAVMLDLHHRILPPKFLSQNPLEAGFCFWLLHPEPLARPTTREILQSKLIGGYQESACCDDFSNSADNVDAESELLLSFLIPLKDKKQGHASKLVEVIRCLEEDINKLGRRHLSGEFPSEREQGFCLEDPVSSGVSSRLIAASNMNETLLMKNISQLEDAYASMRSQMGKTETAPVACSDKEVLNNRYRWCHVRNHTQDSSLNQKSGDRLGAFFDGVSKLARRSKFEVRGTLRNGDLLNSSNVICCLSFDCDEEYIATAGVSKKIKIFDFAALVDNSLDIHYPVVEMPNKSKLSCVCWNNYFKNYLASTDYDGVVQMWDASTGQGFSQYVEHQRRAWSVDFSQADPKKFSSGSDDFSVKLWSINEKKSIGTIWSPANVCCVQFSAFSSNLLVFGSADYKIYGYDLRHTRIPWCTLPGHGKAVSYVKFVDAETLVSASTDNTLKLWDLNQAISTGLSSNACSLTFSGHTNQKNFVGLSVSDGYIACGSETNEVYSYYRSLPMPITSHKFGSIDPVSGSEVGDYSGQFVSSVCWRKKSNILVAANSTGTLKLLQMVCYILSEIITVEVLPVLSSTDSLNKSFLCRGQTLKCMTYQGLGELRI >ONI23354 pep chromosome:Prunus_persica_NCBIv2:G2:22562904:22568310:-1 gene:PRUPE_2G183800 transcript:ONI23354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFESLVDKKAAKGVVRNEKLKQKDCDPFLKLEGPNLLESPVCTLGMGRSNWPESSPRNCSVTMEGKDLSRCVTSSSVFEPPCKSSGSINGTGLVVEDMTLKHHRKPNSALLSPSQECWQDPDPVASAFRSKNFHGDTMSQDNDQTQLRVRGQLLEMPSRIRSLKPLLSNHSEQEPDKLSAYLGVEDSKIMSNNMLSIAKKQLKTQSTNSHSQLLVKETLKGKSASKFQEPCSGFGSSATDQKEENRGYGSEVACDAQLKSIVNSDQISSHVLHRSGPKSTSNGICLREWLKPGGHKVDIVESLLIFRQIVELVDFAHSQGFVLQDLRPSRFILFPSNKVKYTGSSAIRESNSLMNRDLIIKRPLEQDACAERILGGKQLKLSEGNEEKFCIAGPQNSGYGELQFQMNSSYQNALIAVQQRSISVIVQLEEKWYTSPEELNESGSTLPSNVYCLGVLLFELLCRCESWEVHCAVMLDLHHRILPPKFLSQNPLEAGFCFWLLHPEPLARPTTREILQSKLIGGYQESACCDDFSNSADNVDAESELLLSFLIPLKDKKQGHASKLVEVIRCLEEDINKLGRRHLSGEFPSEREQGFCLEDPVSSGVSSRLIAASNMNETLLMKNISQLEDAYASMRSQMGKTETAPVACSDKEVLNNRYRWCHVRNHTQDSSLNQKSGDRLGAFFDGVSKLARRSKFEVRGTLRNGDLLNSSNVICCLSFDCDEEYIATAGVSKKIKIFDFAALVDNSLDIHYPVVEMPNKSKLSCVCWNNYFKNYLASTDYDGVVQMWDASTGQGFSQYVEHQRRAWSVDFSQADPKKFSSGSDDFSVKLWSINEKKSIGTIWSPANVCCVQFSAFSSNLLVFGSADYKIYGYDLRHTRIPWCTLPGHGKAVSYVKFVDAETLVSASTDNTLKLWDLNQAISTGLSSNACSLTFSGHTNQKNFVGLSVSDGYIACGSETNEVYSYYRSLPMPITSHKFGSIDPVSGSEVGDYSGQFVSSVCWRKKSNILVAANSTGTLKLLQMVDHHC >ONI23845 pep chromosome:Prunus_persica_NCBIv2:G2:24387357:24388174:1 gene:PRUPE_2G211600 transcript:ONI23845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTERKFLIKQCFRRCRKAGASVLKSAIWGHTSNRYMWFCFDEEDYIPKDVPKGHLVVYVGEDCKRYVIKVALLSHPLFRALLDHAEEVFQFSTNSKLCIPCNECIFLSVLCCIGAELDQGLHYH >ONI21526 pep chromosome:Prunus_persica_NCBIv2:G2:10864576:10868056:1 gene:PRUPE_2G071700 transcript:ONI21526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGICIVQQALTVEAASMVKQSISLARRRGHAQVTPLHVASAMLASPTGLLRRACLQCHSHPLQCKALELCFNVALNRLPASTPSSILGTGGPHYSNNPCFSNALVAAFKRAQAHQRRGSVENQQQPILALKIELEQLIVSILDDPSVSRVMREAGFSSPQVKSRVEQAVSVSLDIISSTQISPSSQSKDSSAKQPLELIGTHHHVSSPPALGEQKHFDQPRHEDVMSVLREMLNKKIRNIVVVGECLATAEGVARGVMDKFERGNIINAAQDQGDLRYVKFICVPLYSLRNISREELEEKFAELRSLIKSYIGRGNVVLYLGDLMWVSEFWSNYAEQKRNCYSHNLEQIIMELKRLVCRIGDDGRLSLMGIATFQTYMRCKTGQTSLEKIWDLHPVAVPVGSLSLSLNFDSYLQADMSTMAPKDQSDQVFTTSTISSLPLWLQKCREENRNNDKECIEVNNICNKWNLLCSPAPQKHPHYPDKLTFNSSSKSLTSTKPLSSHDCNLNLHFKDDEPKDSPKEYQFWGFEDNDDDCYEDQNETKPDLLSNPNSSPNSASSSEVMEDMDDVLGRFKEFNAENVKLLCEEIEDKVPWQKEIIPEIATTILKCRSGMSQRKGNLKHKEGKEESWLFFLGFDSKGKEKIARELARLVFGSQNNFVSIGLSSFSSPRVDSTEEYSKNKRARNEFGCSYVQRFGEALNENPHRVFFVEDLEQVDYSSQKGIKYAIQSGRITLADGETHVPLKDAIVIFSSESFSSVSTACSPARSRKSDEATKEKEDDHNLVEKIPCVSLDLNLTIQDDNEEELSDADYAILEAVDRQIFFKTQESQ >ONI23738 pep chromosome:Prunus_persica_NCBIv2:G2:23994344:23997772:1 gene:PRUPE_2G205200 transcript:ONI23738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHAGPRAESTHHRLYEFAKAALTRIFVHPYATVCELYCGGGVDAEKWDEAQIGHYIGIDGSSSGINQRREAWESQRKAYTADFFELDPCMEDVEIHLKDQTNPADLVCCLKNLQLCFETEERARKLLLNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSGMKPNIVPNCIRSENYMITFEVEDEKFPLFGKKYQLKFANDISPEIHCLVHFPSFIRLAREAGLEYVEIQNLTEFYDDNRAQFAGMIMNFGPNLVDPRGRLLPRSYDVLGLYTTFIFQKPDPDVAPPLTTPLLHDVTYIQDEREWQVPVTTVWRDDEKSVPVEPPPGLGKISEQKGILGPGPAELRFSEAL >ONI23739 pep chromosome:Prunus_persica_NCBIv2:G2:23994344:23997647:1 gene:PRUPE_2G205200 transcript:ONI23739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHAGPRAESTHHRLYEFAKAALTRIFVHPYATVCELYCGGGVDAEKWDEAQIGHYIGIDGSSSGINQRREAWESQRKAYTADFFELDPCMEDVEIHLKDQTNPADLVCCLKNLQLCFETEERARKLLLNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSGMKPNIVPNCIRSENYMITFEVEDEKFPLFGKKYQLKFANDISPEIHCLVHFPSFIRLAREAGLEYVEIQNLTEFYDDNRAQFAGMIMNFGPNLVDPRGRLLPRSYDVLGLYTTFIFQKPDPDVAPPLTTPLLHDVTYIQDEAKRVASASDDCLEG >ONI23753 pep chromosome:Prunus_persica_NCBIv2:G2:24051143:24057740:1 gene:PRUPE_2G206100 transcript:ONI23753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRKNTTAANNKQIPFGPPSLLFFLSSLLSRMLPTTCILLICLLSSLSPLTKASSLNLTLPHQHPNPEAVAQEVQRRVNASLSRRQMLSLELKEQQQCLIGNPIDDCWRCDSNWARNRQKLADCGIGFGQDAMGGKGGQIYIVTDSSDRDPANPVPGTLRHAVIQTEPLWIIFSADMTIKLKCELIVNSFKTIDGRGFNVHVTGGGCITLQYVSNIIIHNIHVHHCKPAGNTNVASSPTHVGWRGKSDGDGISLFGARKIWIDHCSLSYCADGLIDAIMGSTGITISNSYFAHHDEVMLLGHDDKYLPDSGMQVTIAFNHFGEALVQRMPRCRRGYIHVVNNDFTQWEMYAIGGSANPTINSQGNRYTAPQDQNAKEVTKRVDTNEGDWSDWNWRTDGDIMVNGAFFVPSGAGMSTQYARASSTEPKSVALIDRLTNNAGVFGDPRSSTSVSHPGDDGGGTITDGTNTGSEGSSGGDGDYFGMIFGNGAPPSSSSSSNTIFLSLLIIFILYVTINHGGALLSLPLLLTLL >ONI23754 pep chromosome:Prunus_persica_NCBIv2:G2:24052575:24056766:1 gene:PRUPE_2G206100 transcript:ONI23754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLELKEQQQCLIGNPIDDCWRCDSNWARNRQKLADCGIGFGQDAMGGKGGQIYIVTDSSDRDPANPVPGTLRHAVIQTEPLWIIFSADMTIKLKCELIVNSFKTIDGRGFNVHVTGGGCITLQYVSNIIIHNIHVHHCKPAGNTNVASSPTHVGWRGKSDGDGISLFGARKIWIDHCSLSYCADGLIDAIMGSTGITISNSYFAHHDEVMLLGHDDKYLPDSGMQVTIAFNHFGEALVQRMPRCRRGYIHVVNNDFTQWEMYAIGGSANPTINSQGNRYTAPQDQNAKEVTKRVDTNEGDWSDWNWRTDGDIMVNGAFFVPSGAGMSTQYARASSTEPKSVALIDRLTNNAGVFGDPRSSTSVSHPGDDGGGTITDGTNTGSEGSSGGDGDYFGMIFGNGAPPSSSSSSNTIFLSLLIIFILYVTINHGGALLSLPLLLTLL >ONI24311 pep chromosome:Prunus_persica_NCBIv2:G2:25643154:25645275:-1 gene:PRUPE_2G233300 transcript:ONI24311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLELFERDRHVTFLQMMYQLLPSPYQSQEINRLTLAYFVISGLDLLNSLDRLVDKDEVATWVLSLQAHPRSTAELNNGQFYGFHGSRAAQFPPENNDNGALNPSVSNLASTYCALALLKIVGYNLSSIDSESILTSMRNLQQPDGSFMPIHTGAETDLRFVYCAAAICHMLGNWSGMDKEKAKEYILKCQSYDGGFGLIPGSESHGGATYCGVTSLRLMGFIEDEILSKNAPSSIIDVPLLLD >ONI24309 pep chromosome:Prunus_persica_NCBIv2:G2:25642254:25645275:-1 gene:PRUPE_2G233300 transcript:ONI24309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLELFERDRHVTFLQMMYQLLPSPYQSQEINRLTLAYFVISGLDLLNSLDRLVDKDEVATWVLSLQAHPRSTAELNNGQFYGFHGSRAAQFPPENNDNGALNPSVSNLASTYCALALLKIVGYNLSSIDSESILTSMRNLQQPDGSFMPIHTGAETDLRFVYCAAAICHMLGNWSGMDKEKAKEYILKCQSYDGGFGLIPGSESHGGATYCGVTSLRLMGFIEDEILSKNAPSSIIDVPLLLDWCVQRQAADGGFQGRPNKPSDTCYAFWIASVLKILGGHKFIDKKALHGFLLPCQSEYGGFSKFPGQLPDLYHSYYGLTAFSLLEEPGLNPLCVELGMTAIAAMGI >ONI24310 pep chromosome:Prunus_persica_NCBIv2:G2:25641956:25645505:-1 gene:PRUPE_2G233300 transcript:ONI24310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLELFERDRHVTFLQMMYQLLPSPYQSQEINRLTLAYFVISGLDLLNSLDRLVDKDEVATWVLSLQAHPRSTAELNNGQFYGFHGSRAAQFPPENNDNGALNPSVSNLASTYCALALLKIVGYNLSSIDSESILTSMRNLQQPDGSFMPIHTGAETDLRFVYCAAAICHMLGNWSGMDKEKAKEYILKCQSYDGGFGLIPGSESHEAGS >ONI24308 pep chromosome:Prunus_persica_NCBIv2:G2:25641956:25645521:-1 gene:PRUPE_2G233300 transcript:ONI24308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLELFERDRHVTFLQMMYQLLPSPYQSQEINRLTLAYFVISGLDLLNSLDRLVDKDEVATWVLSLQAHPRSTAELNNGQFYGFHGSRAAQFPPENNDNGALNPSVSNLASTYCALALLKIVGYNLSSIDSESILTSMRNLQQPDGSFMPIHTGAETDLRFVYCAAAICHMLGNWSGMDKEKAKEYILKCQSYDGGFGLIPGSESHGGATYCGVTSLRLMGFIEDEILSKNAPSSIIDVPLLLDWCVQAADGGFQGRPNKPSDTCYAFWIASVLKILGGHKFIDKKALHGFLLPCQSEYGGFSKFPGQLPDLYHSYYGLTAFSLLEEPGLNPLCVELGMTAIAAMGI >ONI24922 pep chromosome:Prunus_persica_NCBIv2:G2:27405222:27407479:1 gene:PRUPE_2G269200 transcript:ONI24922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLVDCSGCRTPLQLPPGAQTIRCAVCQAVTRVADSRALPPAPSSSSFHRPPPPSTSPYNHAPPGPPPSAHGRKRALICAVSYKRSRHELKGCINDAKCMKYLLVNKFSFPESSILMLTEEESDPYRRPTKQNMRMAMFWLVQGCQAGDSLVFHYSGHGSQQRNYTGDEVDGYDETLCPSDFETQGMIVDDEINATIVRPLPPGVRLHAIVDACHSGTVLDLPFLCRMDRSGKYVWEDHRPRSGVWKGTNGGEAISFSGCDDDQTSADTSALSKITSTGAMTYAFIQAIERGHGNTYGNMLNAMRSTIRNTDNDHGGGIVTSLISMLLTGGSLGGLRQEPQLTANQPFDVYTKPFSL >ONI20228 pep chromosome:Prunus_persica_NCBIv2:G2:419941:426458:-1 gene:PRUPE_2G004100 transcript:ONI20228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDPNHTTTTATAAMATATATSTVPTTTTRPIRPISSSPVPNLYPSQALPIRTQSPSASPVAAAAHHNQGVLYPVASSGRGFIPRPSWSATAGGEHTVTVANAGGGGGGAGAAYPSRPLLNFPPQQPISLHLIRPTYNLAPSPLPPPIKGLPLSSTPEVAPSSVPDSNGFKDNRDKSRDDNLAVIRGRKVRMTDGASLYVHCRSWLRNGVPEECQDEEYIQRSSPHDLLKRHVKRARKVRAR >ONI20227 pep chromosome:Prunus_persica_NCBIv2:G2:418508:426576:-1 gene:PRUPE_2G004100 transcript:ONI20227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDPNHTTTTATAAMATATATSTVPTTTTRPIRPISSSPVPNLYPSQALPIRTQSPSASPVAAAAHHNQGVLYPVASSGRGFIPRPSWSATAGGEHTVTVANAGGGGGGAGAAYPSRPLLNFPPQQPISLHLIRPTYNLAPSPLPPPIKGLPLSSTPEVAPSSVPDSNGFKDNRDKSRDDNLAVIRGRKVRMTDGASLYVHCRSWLRNGVPEECQPPYGDTVRSLPKPSPIPMASATLPKKEEGEQEKEGKKNDNEDEDEEYIQRSSPHDLLKRHVKRARKVRARLREERLGRIARYKSRLALLLPPLVEQFRNDLAAGN >ONI20229 pep chromosome:Prunus_persica_NCBIv2:G2:419941:426458:-1 gene:PRUPE_2G004100 transcript:ONI20229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDPNHTTTTATAAMATATATSTVPTTTTRPIRPISSSPVPNLYPSQALPIRTQSPSASPVAAAAHHNQGVLYPVASSGRGFIPRPSWSATAGGEHTVTVANAGGGGGGAGAAYPSRPLLNFPPQQPISLHLIRPTYNLAPSPLPPPIKGLPLSSTPEVAPSSVPDSNGFKDNRDKSRDDNLAVIRGRKVRMTDGASLYVHCRSWLRNGVPEECQPPYGDTVRSLPKPSPIPMASATLPKKEEGEQEKEGKKNDNEDEDEEYIQRSSPHDLLKRHVKRARKVRAR >ONI20226 pep chromosome:Prunus_persica_NCBIv2:G2:418507:426576:-1 gene:PRUPE_2G004100 transcript:ONI20226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDPNHTTTTATAAMATATATSTVPTTTTRPIRPISSSPVPNLYPSQALPIRTQSPSASPVAAAAHHNQGVLYPVASSGRGFIPRPSWSATAGGEHTVTVANAGGGGGGAGAAYPSRPLLNFPPQQPISLHLIRPTYNLAPSPLPPPIKGLPLSSTPEVAPSSVPDSNGFKDNRDKSRDDNLAVIRGRKVRMTDGASLYVHCRSWLRNGVPEECQDEEYIQRSSPHDLLKRHVKRARKVRARLREERLGRIARYKSRLALLLPPLVEQFRNDLAAGN >ONI20288 pep chromosome:Prunus_persica_NCBIv2:G2:680467:682575:1 gene:PRUPE_2G007200 transcript:ONI20288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKLLDATISTFDSVFEKFRAEAPKNKANLILFLADKDPSTSLSWCPDCVRAEPVIYKKLEATADDVALLRAYVGDRPTWRNPVHPWRVDSRFKLTGVPTLFRWENDAIKGRLEDHEAHVESKIDALVAGN >ONI20289 pep chromosome:Prunus_persica_NCBIv2:G2:680462:682575:1 gene:PRUPE_2G007200 transcript:ONI20289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKLLDATISTFDSVFEKFRAEAPKNKANLILFLADKDPSTSLSWCPDCVRAEPVIYKKLEATADDVALLRAYVGDRPTWRNPVHPWRVDSRFKLTGVPTLFRWENDAIKGRLEDHEAHVESKIDALVAGN >ONI23500 pep chromosome:Prunus_persica_NCBIv2:G2:23111947:23113540:-1 gene:PRUPE_2G191700 transcript:ONI23500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSTVTGLAPQELVPIDPVRLDGKNYTIWARRMEFFLKELKVEYVLYEPCPGIMLGSEATTEEIAESKAAEEKWIKDDFMCLRTILNYLCDDLLHRYAKRKKTTTAKQLWDDLKLMFGTKRSLVRKYMEFQMVDEKTVVEQVQEFNRIFDDVVASGMTLSEKFHVSAILAKLPASWKYSNIKSLTGKEKPLTLEVLMDSLRVEEEYVCL >ONI22866 pep chromosome:Prunus_persica_NCBIv2:G2:20903691:20906558:1 gene:PRUPE_2G155600 transcript:ONI22866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHRRCLKLFLAFALLLLQFAKGGEVDHSQKDTNVTRRCKERERKALLAFKRGLVDDHNLLSPWSSEAQKQDCCRWIGVSCSNQTGHVVGLDLSNDHLQHLDLNEINFTGSQFPNFIGSLTNLRYLDLSSTNLGGKFPSQVGNLTNLVYLDLRGMTLQIVDLYSNRLNTSSIFVWLSNYNTSLVHLDLSWNLLAGSIPDFFGNMSSLVQLDLSFNQLEVEEPHSFARLCSLQELCLSSNNLSGQLSKFVQILFSTCAQNSLEILDLSGNDLAGSLPDLTHLSSLVSLTISYNQLSGGIPESIGLMSKLQTIEFNMNSLEGVISEVHFSNLSKLQYLDLSSNLLVLDFHADWSCKMGPDFPKWLQTQKKFSTLDISDAGISDIFPSWFWSLCRNVILMNLTSNQIRGTFANLTLEFSQFPALHLSLNKLEGPIPSFLSTASYLDLSYNKLSGNNVSGQVPDCLTHLENLVMLDLSYNALSGKIPATIGSQYRFVGQLPSSLKNCTSLVVLDVGDNKLSGPIPEWLGVSLKKLVILMLSSNHINGSLPSQLCHLTQIQILDFSMNIISGSIPKCLTNLTTLAQKGNSSLNISHSYEISTINLVDFYDDDATFITLGLVKIIDLSSNKLTGEIPSEITHLVGLVSLNLSRNQLTGQITPKIRNLQALDSLDLSRNHIDGRIPTSLARIDRLGLLDLSYNNLSGKIPVGTQLQGFDPSFYARNLQLCGPPLKKMCADEVEKGQSEKTDFINQEDKDELITPGFYISMGLGFAAGFWGVCGTLIFSRSWRYTYLKFLNGLNDWLFVRIALLKRQLKDA >ONI25210 pep chromosome:Prunus_persica_NCBIv2:G2:28378111:28379509:1 gene:PRUPE_2G289100 transcript:ONI25210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRINNSNPPPVLWKFSTQRQRPITKTISFSSPSNSQNQQELAPITAATQTQHPKSKLYTVKFRTLSACKLGISIYPDFEYNAEGGKGTGSGAKVAESNLSDEVSVSFDLETLYIPPLKSATTRFLGLPLPPFLKIDIVPELFSGSINQESGQVDLEFEAKFWFSVGSIYKAAPLLVKTVLTSEESKGSIKSGKGKRLDEQGKCRLVGVATVDPIDDFLINSFLGLPTECLADLNAIISLS >ONI20779 pep chromosome:Prunus_persica_NCBIv2:G2:3548513:3550932:-1 gene:PRUPE_2G033000 transcript:ONI20779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLCTAIDEEDRKVHIVYLGSLPSDELYSPLSHQLGILERVVQGSSAANVLVRSYGRSLNGFAAKLTNREREKLANMKEVVSVFPSTTFQLHTTRSWDFMGFSESISRSKTVESNVVMAVIDSGIWPESNSFKDDGFGPPPKTWKGACQGGQNFTCNNKIIGARFYTSEESARDEIGHGSHTASTAAGNAVKDVSFYGLARGTARGGVPAGRIAAYNVCTNQGCSSVDILAAFDDCVDDGVSLITISIGRTVATSFETDPIAIGAFHAMKKGILTVQSAGNSGPGNGTVSSGAPWILTVAASSIDRKFITKAVLGNETNLVGISVNSFESNESSYPLIYGKNASKQCSEFLAGYCLEGCLDPDLVKEKIVLCDWSGGYVEADRAGAKGAILSNSRDDVASVVPLSATGLNNREYAVAKSYQNSTRNPRAKILKTEIIKDPAAPRVASFSSRGPNRIVPEILKPDITGPGIDIVAAYSPNASISASPYDERRVKYNVLSGTSMSCPHAAGVAAYVKEFHPDWSPAAIKSAIMTTAWPMNDTSTSPGEFAYGSGHLNPVRAINPGLVYEASEEDYIKFLCMMLDEEKIRLISGDKSTCPTGSDKGSPKDLNYPSMAANVTSMKLFTINFHRRVKNVGLANSNYKALISTNSKVDIKVVPEVLSFKTLNEEKNFTVTVDGRDMPEGSHVSASLCWYDGSHNCIVRSPIVISSVSA >ONI22760 pep chromosome:Prunus_persica_NCBIv2:G2:20474227:20475941:-1 gene:PRUPE_2G149200 transcript:ONI22760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAIDLLAIALIVFPSMVLATEYVVGDDEGWNSGVDYYAWLDGKTFYVGDVLVFNYNAGDHNVIVVDADGYDKCSASPNWGSYDSGNDVITLSSPRDNYYICQWHCDYTDQKLKVSVLQN >ONI25264 pep chromosome:Prunus_persica_NCBIv2:G2:28548413:28549610:-1 gene:PRUPE_2G292700 transcript:ONI25264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRVKCSCGESNCPEWAVVELQGMVEVQSQFQDRLHNLHIGLLCRPSASSQDQTYTFTVGYHELTGTKQPLKKPLLVLRKLKPSRDAGVELEVIGIIRHRILFNTRPMALISKPQPAVKKNKGSEQIAAQFNSVP >ONI26008 pep chromosome:Prunus_persica_NCBIv2:G2:30254971:30257144:1 gene:PRUPE_2G329400 transcript:ONI26008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKTTAVPRAQKSKPFQGDGPNWLLIAGGALLSTLSIRLGYKLKQALDTKHQENARSGKSSDRRKCRVHSNVYSFTQQSDGSCFNCMSGTEAMMEMKCLPDGQMLSESDGALPLVKVPTPEFNKENGIVWASSPDRLELPPKPFLHYSNCSDSPCVSESGSDIFSKRDVIQKLRQQLKRRDDMILEMQDQIVELQNSLNAQLAHSTHLQSQLDSANRDFFDSEREIQRLRKAIADHCVGHASPNGKSSPVTIWQPEATNGHANGYLDGESNFDATEKGRGDGERVEMLKREVGELKEVIEGKEYLLQSYKVQKSELALKINELQQRLDSQLPNIL >ONI26009 pep chromosome:Prunus_persica_NCBIv2:G2:30254217:30257158:1 gene:PRUPE_2G329400 transcript:ONI26009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKTTAVPRAQKSKPFQGDGPNWLLIAGGALLSTLSIRLGYKLKQALDTKHQENARSGKSSDRRKCRVHSNVYSFTQQSDGSCFNCMSGTEAMMEMKCLPDGQMLSESDGALPLVKVPTPEFNKENGIVWASSPDRLELPPKPFLHYSNCSDSPCVSESGSDIFSKRDVIQKLRQQLKRRDDMILEMQDQIVELQNSLNAQLAHSTHLQSQLDSANRDFFDSEREIQRLRKAIADHCVGHASPNGKSSPVTIWQPEATNGHANGYLDGESNFDATEKGRGDGERVEMLKREVGELKEVIEGKEYLLQSYKVQKSELALKINELQQRLDSQLPNIL >ONI26010 pep chromosome:Prunus_persica_NCBIv2:G2:30254168:30257291:1 gene:PRUPE_2G329400 transcript:ONI26010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKTTAVPRAQKSKPFQGDGPNWLLIAGGALLSTLSIRLGYKLKQALDTKHQENARSGKSSDRRKCRVHSNVYSFTQQSDGSCFNCMSGTEAMMEMKCLPDGQMLSESDGALPLVKVPTPEFNKENGIVWASSPDRLELPPKPFLHYSNCSDSPCVSESGSDIFSKRDVIQKLRQQLKRRDDMILEMQDQIVELQNSLNAQLAHSTHLQSQLDSANRDFFDSEREIQRLRKAIADHCVGHASPNGKSSPVTIWQPEATNGHANGYLDGESNFDATEKGRGDGERVEMLKREVGELKEVIEGKEYLLQSYKVQKSELALKINELQQRLDSQLPNIL >ONI26007 pep chromosome:Prunus_persica_NCBIv2:G2:30254238:30257187:1 gene:PRUPE_2G329400 transcript:ONI26007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKTTAVPRAQKSKPFQGDGPNWLLIAGGALLSTLSIRLGYKLKQALDTKHQENASNGSGKSSDRRKCRVHSNVYSFTQQSDGSCFNCMSGTEAMMEMKCLPDGQMLSESDGALPLVKVPTPEFNKENGIVWASSPDRLELPPKPFLHYSNCSDSPCVSESGSDIFSKRDVIQKLRQQLKRRDDMILEMQDQIVELQNSLNAQLAHSTHLQSQLDSANRDFFDSEREIQRLRKAIADHCVGHASPNGKSSPVTIWQPEATNGHANGYLDGESNFDATEKGRGDGERVEMLKREVGELKEVIEGKEYLLQSYKVQKSELALKINELQQRLDSQLPNIL >ONI26011 pep chromosome:Prunus_persica_NCBIv2:G2:30254254:30256938:1 gene:PRUPE_2G329400 transcript:ONI26011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTEAMMEMKCLPDGQMLSESDGALPLVKVPTPEFNKENGIVWASSPDRLELPPKPFLHYSNCSDSPCVSESGSDIFSKRDVIQKLRQQLKRRDDMILEMQDQIVELQNSLNAQLAHSTHLQSQLDSANRDFFDSEREIQRLRKAIADHCVGHASPNGKSSPVTIWQPEATNGHANGYLDGESNFDATEKGRGDGERVEMLKREVGELKEVIEGKEYLLQSYKVQKSELALKINELQQRLDSQLPNIL >ONI21665 pep chromosome:Prunus_persica_NCBIv2:G2:12128410:12137470:-1 gene:PRUPE_2G079400 transcript:ONI21665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTIHALILTPRPAKLLPFAHRIPHFPSKHAAFRFNFQLSRSISKPVKACQQSDQIDGDGKKAHNDSGSVKGLSWAKPLSHFVANNFLPLALVGGVALGMANPSLGCLADTYSLSKFSTFGIFIISGLTLHTGEIVAAAQAWPAGIFGLVSILLFTPYFSRIILQLQLQPPEFVRGLAIFCCMPTTLSSGVALAQLAGANSALALAITVISNLLGILIVPFSISKYIAGGVGVSVPTKQLFKSLVLTLLIPLILGKLLVLSLNRFFENPSEVLQTLLIKTVSFFLRSVQSSSV >ONI21666 pep chromosome:Prunus_persica_NCBIv2:G2:12130905:12137351:-1 gene:PRUPE_2G079400 transcript:ONI21666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTIHALILTPRPAKLLPFAHRIPHFPSKHAAFRFNFQLSRSISKPVKACQQSDQIDGDGKKAHNDSGSVKGLSWAKPLSHFVANNFLPLALVGGVALGMANPSLGCLADTYSLSKFSTFGIFIISGLTLHTGEIVAAAQAWPAGIFGLVSILLFTPYFSRIILQLQLQPPEFVRGLAIFCCMPTTLSSGVALAQLAGANSALALAITVISNLLGILIVPFSISKYIAGGVGVSVPTKQLFKSLVLTLLIPLILGKVLQTLLIKTVSFFLRSVQSSSV >ONI21664 pep chromosome:Prunus_persica_NCBIv2:G2:12128410:12137470:-1 gene:PRUPE_2G079400 transcript:ONI21664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTIHALILTPRPAKLLPFAHRIPHFPSKHAAFRFNFQLSRSISKPVKACQQSDQIDGDGKKAHNDSGSVKGLSWAKPLSHFVANNFLPLALVGGVALGMANPSLGCLADTYSLSKFSTFGIFIISGLTLHTGEIVAAAQAWPAGIFGLVSILLFTPYFSRIILQLQLQPPEFVRGLAIFCCMPTTLSSGVALAQLAGANSALALAITVISNLLGILIVPFSISKYIAGGVGVSVPTKQLFKSLVLTLLIPLILGKVLQTLLIKTVSFFLRSVQSSSV >ONI21663 pep chromosome:Prunus_persica_NCBIv2:G2:12128410:12137470:-1 gene:PRUPE_2G079400 transcript:ONI21663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTIHALILTPRPAKLLPFAHRIPHFPSKHAAFRFNFQLSRSISKPVKACQQSDQIDGDGKKAHNDSGSVKGLSWAKPLSHFVANNFLPLALVGGVALGMANPSLGCLADTYSLSKFSTFGIFIISGLTLHTGEIVAAAQAWPAGIFGLVSILLFTPYFSRIILQLQLQPPEFVRGLAIFCCMPTTLSSGVALAQLAGANSALALAITVISNLLGILIVPFSISKYIAGGVGVSVPTKQLFKSLVLTLLIPLILGKIFRESFRGVADFVDQNRKLFSKISAVFLSLTSAPHLVSL >ONI21662 pep chromosome:Prunus_persica_NCBIv2:G2:12128851:12137351:-1 gene:PRUPE_2G079400 transcript:ONI21662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTIHALILTPRPAKLLPFAHRIPHFPSKHAAFRFNFQLSRSISKPVKACQQSDQIDGDGKKAHNDSGSVKGLSWAKPLSHFVANNFLPLALVGGVALGMANPSLGCLADTYSLSKFSTFGIFIISGLTLHTGEIVAAAQAWPAGIFGLVSILLFTPYFSRIILQLQLQPPEFVRGLAIFCCMPTTLSSGVALAQLAGANSALALAITVISNLLGILIVPFSISKYIAGGVGVSVPTKQLFKSLVLTLLIPLILGKIFRESFRGVADFVDQNRKLFSKISAVFLSLVPWIQVSRSRSLLLMVKPPVFLVAIGMGVLLHLILLAFNALALKSISAVSGGSRSVFSKKENANAVLLVASQKTLPVMVAVVEQLGGALGESGLLVVPCVAAHLNQIIIDSFLVNFWLRKDLSTDSTKVY >ONI20489 pep chromosome:Prunus_persica_NCBIv2:G2:1831629:1835117:1 gene:PRUPE_2G018700 transcript:ONI20489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVDEDMSDTKDHFDAKRPDPCRDNDEEIVESDIELDATDVVEPDNDPPQKMGNPSVEVTEEMQDAAQIEKSKALDAISEGKLDEAIDHITEAIMLNPTSAILKATRASVFVKLNKPNAAIRDANAALEINPDSAKGYKIRGMAKAMLGHWEEAASDLNVASKLDYDGEIGLVLKKVEPNVRKIEEHRRKYERLHKEREIKSAERERKRQAEARERDALSALKDGEVIGIHSAKELETKLNAASRTLRLAILYFTTKWCGACRVISPLYTSLAGKYPKAVFLKVDIDEARDVAADWNIGSVPAFFFVRNGKEVDKMVGADKTALEGKIAQHAGST >ONI21357 pep chromosome:Prunus_persica_NCBIv2:G2:7753318:7757702:1 gene:PRUPE_2G061100 transcript:ONI21357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLNNGFKMPAVGLGVWRMEGKEIRDLIINAINIGYRHFDCAADYKNEAEVGEALAGAFSTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLVHFPVATKHTGVGATASALDEDGVLEIDTTISLETTWHAMEELVSMGLVRSIGISNYDIFLTRDCLAYSKVKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGAAANSELFGSVSCLDDPVLKSLAEKYKKTVAQFLTLSLRKRKWT >ONI21356 pep chromosome:Prunus_persica_NCBIv2:G2:7753183:7757806:1 gene:PRUPE_2G061100 transcript:ONI21356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITLNNGFKMPAVGLGVWRMEGKEIRDLIINAINIGYRHFDCAADYKNEAEVGEALAGAFSTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLVHFPVATKHTGVGATASALDEDGVLEIDTTISLETTWHAMEELVSMGLVRSIGISNYDIFLTRDCLAYSKVKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGAAANSELFGSVSCLDDPVLKSLAEKYKKTVAQVVLCWGIQRNTVVIPKTSKLERLNENFQVFDFELTKEEMDLIKKVDRKHRTNQPAKFWGIDLYA >ONI25669 pep chromosome:Prunus_persica_NCBIv2:G2:29549090:29550258:-1 gene:PRUPE_2G313800 transcript:ONI25669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRINVFLLLLLFLSLLLIPLSSGFKEDGIDPIHLLHKDGIVMNSRKLWMLDATMQDYDDTGANQKHDPYPRRKPGNGRNP >ONI25670 pep chromosome:Prunus_persica_NCBIv2:G2:29549594:29550158:-1 gene:PRUPE_2G313800 transcript:ONI25670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRINVFLLLLLFLSLLLIPLSSGIVNEGFKEDGIDPIHLLHKDGIVMNSRKLWMLDATMQDYDDTGANQKHDPYPRRKPGNGRNP >ONI20819 pep chromosome:Prunus_persica_NCBIv2:G2:3786022:3789448:-1 gene:PRUPE_2G035200 transcript:ONI20819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAPPLRIQNYHEDGEQNLISQEELSSSRGGWGAAIFIILVEVAERFAYYGMAGNLITYLTNELHEPIPMAAKNVNTWVGVSSLLPLLGAFIADAFLGRFSTIFVSSIIYLLAMVLLTITVSVIPLHYQKAMFFVALYMLSVGLAGHKPCVQTFAADQFREDSPEEKKAKSSFFNWWYLGITVGASAAILVVIYVQDNVGWAAGFGILTGAMAVALAVFLFGYKKYRKQGPLGSPFTTVAQVFVAAVRKRHINGTSAGFGVYSRDDKDQHETRRLLAQTSQLRCLDKAIIIDHLDASSKNRNPWRLCSLNQVEEVKLVLRLIPVWMCCLLFAVVQSFVQTFFTKQGSTMVRSIGPNFKLPQASLQIFLGLTIAVAIPIYDRVFVPTARKFTGHSSGITILQRIGIGLFLSIISMMVAALVEAKRVGIVIDHNLLDNPKAIVPMRVWWLIPQYMVCGLSDVFAFVGLQEFFYGQTPEAMRSMGAAAYLSVVGVGNFICSAIITSVQAISSKSGEKWLTDNLNRAHLDYFYWLIAALSTLNLCVYVWIAKGFVYKKLEAEETGKEKELA >ONI20817 pep chromosome:Prunus_persica_NCBIv2:G2:3785982:3789420:-1 gene:PRUPE_2G035200 transcript:ONI20817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAPPLRIQNYHEDGEQNLISQEELSSSRGGWGAAIFIILVEVAERFAYYGMAGNLITYLTNELHEPIPMAAKNVNTWVGVSSLLPLLGAFIADAFLGRFSTIFVSSIIYLLAMVLLTITVSVIPLHYQKAMFFVALYMLSVGLAGHKPCVQTFAADQFREDSPEEKKAKSSFFNWWYLGITVGASAAILVVIYVQDNVGWAAGFGILTGAMAVALAVFLFGYKKYRKQGPLGSPFTTVAQVFVAAVRKRHINGTSAGFGVYSRDDKDQHETRRLLAQTSQLRCLDKAIIIDHLDASSKNRNPWRLCSLNQVEEVKLVLRLIPVWMCCLLFAVVQSFVQTFFTKQGSTMVRSIGPNFKLPQASLQIFLGLTIAVAIPIYDRVFVPTARKFTGHSSGITILQRIGIGLFLSIISMMVAALVEAKRVGIVIDHNLLDNPKAIVPMRVWWLIPQYMVCGLSDVFAFVGLQEFFYGQTPEAMRSMGAAAYLSVLKKPVRRKSWLNVDDQEVKLLAYIADDNL >ONI20818 pep chromosome:Prunus_persica_NCBIv2:G2:3785982:3789332:-1 gene:PRUPE_2G035200 transcript:ONI20818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAPPLRIQNYHEDGEQNLISQEELSSSRGGWGAAIFIILVEVAERFAYYGMAGNLITYLTNELHEPIPMAAKNVNTWVGVSSLLPLLGAFIADAFLGRFSTIFVSSIIYLLAMVLLTITVSVIPLHYQKAMFFVALYMLSVGLAGHKPCVQTFAADQFREDSPEEKKAKSSFFNWWYLGITVGASAAILVVIYVQDNVGWAAGFGILTGAMAVALAVFLFGYKKYRKQGPLGSPFTTVAQVFVAAVRKRHINGTSAGFGVYSRDDKDQHETRRLLAQTSQLRCLDKAIIIDHLDASSKNRNPWRLCSLNQVEEVKLVLRLIPVWMCCLLFAVVQSFVQTFFTKQGSTMVRSIGPNFKLPQASLQIFLGLTIAVAIPIYDRVFVPTARKFTGHSSGITILQRIGIGLFLSIISMMVAALVEAKRVGIVIDHNLLDNPKAIVPMRVWWLIPQYMVCGLSDVFAFVGLQEFFYGQTPEAMRSMGAAAYLSVLKKPVRRKSWLNVDDQEVKLLAYIAVEYLINKDDNL >ONI20979 pep chromosome:Prunus_persica_NCBIv2:G2:4877811:4886131:-1 gene:PRUPE_2G044000 transcript:ONI20979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEIGQTTRTKKLHFSIKKIKIEIQYSKPPKQRLLGAKDSLLTKKNGQGVARAREHKAQGRSTIMSHPIETLGFRPPQFSEDLAWLPGWLQQHQKEQWEECMNELNSTNLELGSKDLKFFQGNTNEGKDATTLSREEGRCNRYHLFLSGEDNSAAGFASSPGNVLHFHLHLSSNGSSQCSPTQPLDTSLEHLEFNKVVPAQLNDTSVGSKVKNCSEIHLNVGGINSLPLKSIQKPVEDIVPQGPSNTKISASHFGEKLNAKYLKAADITDAVELSIAASEALVIHETVMSGLALEVLPTALVLEIALRVKKARLEWLEDSLDSPAEETDKSDSLSDLDDFTMADVYADVGLSLSIPSDECALDSAISQVKETPVSENQYECVNLSDSLDLKAQHVKFDEISVQRELVENLVTDIRSREDLRPASVNCEKEEFCDQPVLGSNVCSVARYDPSALKTSDGIIVKQFGFQTVAAMVDIASNQPQNKVNFRPDAWNSRNAKGEDQITYLGSDKFRSRWLGGWTGQEISASPQLKQNCRSILKCFAGETSFLSESADIAPDVNSFVQVHEIESYRTSESTIACAGLHDEVNKEIFVSQDLVKSCSLSLVDPLCSVVPCSISSENTSRTIVQNQTDKENDTEECFRPTPKHGVDNSHKSSNLIIELHHEDVQAMPTISGECSPVKVRRQLISLRTYSTLLPNNVSILDWRSHYQNQSLELECDQRLVPLNKNVGSIRSFDKRSCKEPLPCHPVSWDTAGRGNEEKGETTLNRNPVATTKNQKRNYHETAGYGFPVQALKKRMQPVIFNHRAHLGLQAPKPFMNSSTWEKQTKFSLVPENVAKLQQNKELQNIQFECKNSHDRDVSLKKRVRFSEAEIPVQQNKNLQKLDSSTKNCKRWKNSTLQSHEKSYLTNCHRKFGKRLLFQGIEFLLTGFSSQKEKDIEQKIWKHGGIVLSDIPSPNSRGERSSRYNGYQLPIILCSKKLQTTKFLYGCAVNAFILKVDWLTNSIASSCIVPPEKYMILLNRVDAEHIMIRKPFHHNRNYVFERVGIMLYGKHSFYSKLAKIIKHGGGRVFKTLQWLIHSLDKEKVSLGAIVTEDEIRTSRHLRQCASEQKIPVMPASWIVKSLHSGKLLPFPDKGTSSLPAIKIP >ONI20975 pep chromosome:Prunus_persica_NCBIv2:G2:4876819:4886131:-1 gene:PRUPE_2G044000 transcript:ONI20975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEIGQTTRTKKLHFSIKKIKIEIQYSKPPKQRLLGAKDSLLTKKNGQGVARAREHKAQGRSTIMSHPIETLGFRPPQFSEDLAWLPGWLQQHQKEQWEECMNELNSTNLELGSKDLKFFQGNTNEGKDATTLSREEGRCNRYHLFLSGEDNSAAGFASSPGNVLHFHLHLSSNGSSQCSPTQPLDTSLEHLEFNKVVPAQLNDTSVGSKVKNCSEIHLNVGGINSLPLKSIQKPVEDIVPQGPSNTKISASHFGEKLNAKYLKAADITDAVELSIAASEALVIHETVMSGLALEVLPTALVLEIALRVKKARLEWLEDSLDSPAEETDKSDSLSDLDDFTMADVYADVGLSLSIPSDECALDSAISQVKETPVSENQYECVNLSDSLDLKAQHVKFDEISVQRELVENLVTDIRSREDLRPASVNCEKEEFCDQPVLGSNVCSVARYDPSALKTSDGIIVKQTVAAMVDIASNQPQNKVNFRPDAWNSRNAKGEDQITYLGSDKFRSRWLGGWTGQEISASPQLKQNCRSILKCFAGETSFLSESADIAPDVNSFVQVHEIESYRTSESTIACAGLHDEVNKEIFVSQDLVKSCSLSLVDPLCSVVPCSISSENTSRTIVQNQTDKENDTEECFRPTPKHGVDNSHKSSNLIIELHHEDVQAMPTISGECSPVKVRRQLISLRTYSTLLPNNVSILDWRSHYQNQSLELECDQRLVPLNKNVGSIRSFDKRSCKEPLPCHPVSWDTAGRGNEEKGETTLNRNPVATTKNQKRNYHETAGYGFPVQALKKRMQPVIFNHRAHLGLQAPKPFMNSSTWEKQTKFSLVPENVAKLQQNKELQNIQFECKNSHDRDVSLKKRVRFSEAEIPVQQNKNLQKLDSSTKNCKRWKNSTLQSHEKSYLTNCHRKFGKRLLFQGIEFLLTGFSSQKEKDIEQKIWKHGGIVLSDIPSPNSRGERSSRYNGYQLPIILCSKKLQTTKFLYGCAVNAFILKVDWLTNSIASSCIVPPEKYMILLNRVDAEHIMIRKPFHHNRNYVFERVGIMLYGKHSFYSKLAKIIKHGGGRVFKTLQWLIHSLDKEKVSLGAIVTEDEIRTSRHLRQCASEQKIPVMPASWIVKSLHSGKLLPFPDKGIHQMLPPPAF >ONI20978 pep chromosome:Prunus_persica_NCBIv2:G2:4878257:4886114:-1 gene:PRUPE_2G044000 transcript:ONI20978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEIGQTTRTKKLHFSIKKIKIEIQYSKPPKQRLLGAKDSLLTKKNGQGVARAREHKAQGRSTIMSHPIETLGFRPPQFSEDLAWLPGWLQQHQKEQWEECMNELNSTNLELGSKDLKFFQGNTNEGKDATTLSREEGRCNRYHLFLSGEDNSAAGFASSPGNVLHFHLHLSSNGSSQCSPTQPLDTSLEHLEFNKVVPAQLNDTSVGSKVKNCSEIHLNVGGINSLPLKSIQKPVEDIVPQGPSNTKISASHFGEKLNAKYLKAADITDAVELSIAASEALVIHETVMSGLALEVLPTALVLEIALRVKKARLEWLEDSLDSPAEETDKSDSLSDLDDFTMADVYADVGLSLSIPSDECALDSAISQVKETPVSENQYECVNLSDSLDLKAQHVKFDEISVQRELVENLVTDIRSREDLRPASVNCEKEEFCDQPVLGSNVCSVARYDPSALKTSDGIIVKQTVAAMVDIASNQPQNKVNFRPDAWNSRNAKGEDQITYLGSDKFRSRWLGGWTGQEISASPQLKQNCRSILKCFAGETSFLSESADIAPDVNSFVQVHEIESYRTSESTIACAGLHDEVNKEIFVSQDLVKSCSLSLVDPLCSVVPCSISSENTSRTIVQNQTDKENDTEECFRPTPKHGVDNSHKSSNLIIELHHEDVQAMPTISGECSPVKVRRQLISLRTYSTLLPNNVSILDWRSHYQNQSLELECDQRLVPLNKNVGSIRSFDKRSCKEPLPCHPVSWDTAGRGNEEKGETTLNRNPVATTKNQKRNYHETAGYGFPVQALKKRMQPVIFNHRAHLGLQAPKPFMNSSTWEKQTKFSLVPENVAKLQQNKELQNIQFECKNSHDRDVSLKKRVRFSEAEIPVQQNKNLQKLDSSTKNCSTGRAGKRWKNSTLQSHEKSYLTNCHRKFGKRLLFQGIEFLLTGFSSQKEKDIEQKIWKHGGIVLSDIPSPNSRGERSSRYNGYQLPIILCSKKLQTTKFLYGCAVNAFILKVDWLTNSIASSCIVPPEKYMILLNRVDAEHIMIRKPFHHNRNYVFERVGIMLYGKHSFYSKLAKIIKHGGGRVFKTLQWLIHSLDKEKVSLGAIVTEDEIRTSRHLRQCASEQKIPVMPASWIVKSLHSGKLLPFPDKGTSSLPAIKIP >ONI20977 pep chromosome:Prunus_persica_NCBIv2:G2:4877812:4886131:-1 gene:PRUPE_2G044000 transcript:ONI20977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEIGQTTRTKKLHFSIKKIKIEIQYSKPPKQRLLGAKDSLLTKKNGQGVARAREHKAQGRSTIMSHPIETLGFRPPQFSEDLAWLPGWLQQHQKEQWEECMNELNSTNLELGSKDLKFFQGNTNEGKDATTLSREEGRCNRYHLFLSGEDNSAAGFASSPGNVLHFHLHLSSNGSSQCSPTQPLDTSLEHLEFNKVVPAQLNDTSVGSKVKNCSEIHLNVGGINSLPLKSIQKPVEDIVPQGPSNTKISASHFGEKLNAKYLKAADITDAVELSIAASEALVIHETVMSGLALEVLPTALVLEIALRVKKARLEWLEDSLDSPAEETDKSDSLSDLDDFTMADVYADVGLSLSIPSDECALDSAISQVKETPVSENQYECVNLSDSLDLKAQHVKFDEISVQRELVENLVTDIRSREDLRPASVNCEKEEFCDQPVLGSNVCSVARYDPSALKTSDGIIVKQTVAAMVDIASNQPQNKVNFRPDAWNSRNAKGEDQITYLGSDKFRSRWLGGWTGQEISASPQLKQNCRSILKCFAGETSFLSESADIAPDVNSFVQVHEIESYRTSESTIACAGLHDEVNKEIFVSQDLVKSCSLSLVDPLCSVVPCSISSENTSRTIVQNQTDKENDTEECFRPTPKHGVDNSHKSSNLIIELHHEDVQAMPTISGECSPVKVRRQLISLRTYSTLLPNNVSILDWRSHYQNQSLELECDQRLVPLNKNVGSIRSFDKRSCKEPLPCHPVSWDTAGRGNEEKGETTLNRNPVATTKNQKRNYHETAGYGFPVQALKKRMQPVIFNHRAHLGLQAPKPFMNSSTWEKQTKFSLVPENVAKLQQNKELQNIQFECKNSHDRDVSLKKRVRFSEAEIPVQQNKNLQKLDSSTKNCKRWKNSTLQSHEKSYLTNCHRKFGKRLLFQGIEFLLTGFSSQKEKDIEQKIWKHGGIVLSDIPSPNSRGERSSRYNGYQLPIILCSKKLQTTKFLYGCAVNAFILKVDWLTNSIASSCIVPPEKYMILLNRVDAEHIMIRKPFHHNRNYVFERVGIMLYGKHSFYSKLAKIIKHGGGRVFKTLQWLIHSLDKEKVSLGAIVTEDEIRTSRHLRQCASEQKIPVMPASWIVKSLHSGKLLPFPDKGTSSLPAIKIP >ONI20976 pep chromosome:Prunus_persica_NCBIv2:G2:4877303:4886114:-1 gene:PRUPE_2G044000 transcript:ONI20976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEIGQTTRTKKLHFSIKKIKIEIQYSKPPKQRLLGAKDSLLTKKNGQGVARAREHKAQGRSTIMSHPIETLGFRPPQFSEDLAWLPGWLQQHQKEQWEECMNELNSTNLELGSKDLKFFQGNTNEGKDATTLSREEGRCNRYHLFLSGEDNSAAGFASSPGNVLHFHLHLSSNGSSQCSPTQPLDTSLEHLEFNKVVPAQLNDTSVGSKVKNCSEIHLNVGGINSLPLKSIQKPVEDIVPQGPSNTKISASHFGEKLNAKYLKAADITDAVELSIAASEALVIHETVMSGLALEVLPTALVLEIALRVKKARLEWLEDSLDSPAEETDKSDSLSDLDDFTMADVYADVGLSLSIPSDECALDSAISQVKETPVSENQYECVNLSDSLDLKAQHVKFDEISVQRELVENLVTDIRSREDLRPASVNCEKEEFCDQPVLGSNVCSVARYDPSALKTSDGIIVKQTVAAMVDIASNQPQNKVNFRPDAWNSRNAKGEDQITYLGSDKFRSRWLGGWTGQEISASPQLKQNCRSILKCFAGETSFLSESADIAPDVNSFVQVHEIESYRTSESTIACAGLHDEVNKEIFVSQDLVKSCSLSLVDPLCSVVPCSISSENTSRTIVQNQTDKENDTEECFRPTPKHGVDNSHKSSNLIIELHHEDVQAMPTISGECSPVKVRRQLISLRTYSTLLPNNVSILDWRSHYQNQSLELECDQRLVPLNKNVGSIRSFDKRSCKEPLPCHPVSWDTAGRGNEEKGETTLNRNPVATTKNQKRNYHETAGYGFPVQALKKRMQPVIFNHRAHLGLQAPKPFMNSSTWEKQTKFSLVPENVAKLQQNKELQNIQFECKNSHDRDVSLKKRVRFSEAEIPVQQNKNLQKLDSSTKNCSTGRAGKRWKNSTLQSHEKSYLTNCHRKFGKRLLFQGIEFLLTGFSSQKEKDIEQKIWKHGGIVLSDIPSPNSRGERSSRYNGYQLPIILCSKKLQTTKFLYGCAVNAFILKVDWLTNSIASSCIVPPEKYMILLNRVDAEHIMIRKPFHHNRNYVFERVGIMLYGKHSFYSKLAKIIKHGGGRVFKTLQWLIHSLDKEKVSLGAIVTEDEIRTSRHLRQCASEQKIPVMPASWIVKSLHSGKLLPFPDKGIHQMLPPPAF >ONI20980 pep chromosome:Prunus_persica_NCBIv2:G2:4878257:4886114:-1 gene:PRUPE_2G044000 transcript:ONI20980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEIGQTTRTKKLHFSIKKIKIEIQYSKPPKQRLLGAKDSLLTKKNGQGVARAREHKAQGRSTIMSHPIETLGFRPPQFSEDLAWLPGWLQQHQKEQWEECMNELNSTNLELGSKDLKFFQGNTNEGKDATTLSREEGRCNRYHLFLSGEDNSAAGFASSPGNVLHFHLHLSSNGSSQCSPTQPLDTSLEHLEFNKVVPAQLNDTSVGSKVKNCSEIHLNVGGINSLPLKSIQKPVEDIVPQGPSNTKISASHFGEKLNAKYLKAADITDAVELSIAASEALVIHETVMSGLALEVLPTALVLEIALRVKKARLEWLEDSLDSPAEETDKSDSLSDLDDFTMADVYADVGLSLSIPSDECALDSAISQVKETPVSENQYECVNLSDSLDLKAQHVKFDEISVQRELVENLVTDIRSREDLRPASVNCEKEEFCDQPVLGSNVCSVARYDPSALKTSDGIIVKQFGFQTVAAMVDIASNQPQNKVNFRPDAWNSRNAKGEDQITYLGSDKFRSRWLGGWTGQEISASPQLKQNCRSILKCFAGETSFLSESADIAPDVNSFVQVHEIESYRTSESTIACAGLHDEVNKEIFVSQDLVKSCSLSLVDPLCSVVPCSISSENTSRTIVQNQTDKENDTEECFRPTPKHGVDNSHKSSNLIIELHHEDVQAMPTISGECSPVKVRRQLISLRTYSTLLPNNVSILDWRSHYQNQSLELECDQRLVPLNKNVGSIRSFDKRSCKEPLPCHPVSWDTAGRGNEEKGETTLNRNPVATTKNQKRNYHETAGYGFPVQALKKRMQPVIFNHRAHLGLQAPKPFMNSSTWEKQTKFSLVPENVAKLQQNKELQNIQFECKNSHDRDVSLKKRVRFSEAEIPVQQNKNLQKLDSSTKNCSTGRAGKRWKNSTLQSHEKSYLTNCHRKFGKRLLFQGIEFLLTGFSSQKEKDIEQKIWKHGGIVLSDIPSPNSRGERSSRYNGYQLPIILCSKKLQTTKFLYGCAVNAFILKVDWLTNSIASSCIVPPEKYMILLNRVDAEHIMIRKPFHHNRNYVFERVGIMLYGKHSFYSKLAKIIKHGGGRVFKTLQWLIHSLDKEKVSLGAIVTEDEIRTSRHLRQCASEQKIPVMPASWIVKSLHSGKLLPFPDKGTSSLPAIKIP >ONI20521 pep chromosome:Prunus_persica_NCBIv2:G2:2041522:2050112:1 gene:PRUPE_2G020500 transcript:ONI20521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEVEPEGKNVMCLLTDPEGTPLGNSVYLPQNTGPQHLQQIVNQLLNNEDKLPYAFYISDQELLESLGKYSEKNKISVEKVLKIVYQPQAIFRIRPVHRCSATIAGHNEAVLSVAFSPDGRQLASGSGDTTVRLWDLGTQTPLYTCTGHKNWVLCIAWSPDGKHLVSGSKAGELQCWDPQTGKPSGNPLIGHKKWITGISWEPVHLNSPCRRFVSASKDGDARIWDVTLRKSVICLSGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETTQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTCKQYSSPEEMKKVALERYNKMKGNAPERLVSGSDDFTMFLWEPFVSKHPKTRMTGHQQLVNHVYFSPDGQWIASASFDRSVKLWNGTTGKFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVYAVDWSPDGEKVVSGGKDRVLKLWMG >ONI21489 pep chromosome:Prunus_persica_NCBIv2:G2:10006286:10010536:1 gene:PRUPE_2G069600 transcript:ONI21489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAAIPQWTSEPCIMGIDEAGRGPVLGPMVYGCLYCARSYEKTLSSLNFADSKTLKEEKREELFENLKADESIGWAVDIIDPRELSAKMLKKNKINLNEISHDSAIGLITKVLNMGVLLTEVYVDTVGDAEKYRTKLSERFPAVKFVVAKKADSLYPVVSGASIVAKVTRDRALRDWVLEETAEDLHKDFGSGYPGDPNTKAWLQHHKHLVFGFPTLVRFSWGTCTPYFKDIVEVSWESNETDGDGSSSANGKRQLKLSNFGVTKSKRKIEEIESSGKGRCKFFMARKLEQVTQF >ONI21492 pep chromosome:Prunus_persica_NCBIv2:G2:10006537:10009563:1 gene:PRUPE_2G069600 transcript:ONI21492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAAIPQWTSEPCIMGIDEAGRGPVLGPMVYGCLYCARSYEKTLSSLNFADSKTLKEEKREELFENLKADESIGWAVDIIDPRELSAKMLKKNKINLNEISHDSAIGLITKVLNMGVLLTEVTRDRALRDWVLEETAEDLHKDFGSGYPGDPNTKAWLQHHKHLVFGFPTLVRFSWGTCTPYFKDIVEVS >ONI21490 pep chromosome:Prunus_persica_NCBIv2:G2:10006286:10010536:1 gene:PRUPE_2G069600 transcript:ONI21490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAAIPQWTSEPCIMGIDEAGRGPVLGPMVYGCLYCARSYEKTLSSLNFADSKTLKEEKREELFENLKADESIGWAVDIIDPRELSAKMLKKNKINLNEISHDSAIGLITKVLNMGVLLTEVTRDRALRDWVLEETAEDLHKDFGSGYPGDPNTKAWLQHHKHLVFGFPTLVRFSWGTCTPYFKDIVEVSWESNETDGDGSSSANGKRQLKLSNFGVTKSKRKIEEIESSGKGRCKFFMARKLEQVTQF >ONI21491 pep chromosome:Prunus_persica_NCBIv2:G2:10006537:10009563:1 gene:PRUPE_2G069600 transcript:ONI21491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAAIPQWTSEPCIMGIDEAGRGPVLGPMVYGCLYCARSYEKTLSSLNFADSKTLKEEKREELFENLKADESIGWAVDIIDPRELSAKMLKKNKINLNEISHDSAIGLITKVLNMGVLLTEVYVDTVGDAEKYRTKLSERFPAVKFVVAKKADSLYPVVSGASIVAKVTRDRALRDWVLEETAEDLHKDFGSGYPGDPNTKAWLQHHKHLVFGFPTLVRFSWGTCTPYFKDIVEVS >ONI24901 pep chromosome:Prunus_persica_NCBIv2:G2:27377467:27378214:-1 gene:PRUPE_2G268400 transcript:ONI24901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLDTLYFRGFCEVSRVCHIHPCQLMPDYRPSLTKSVIVYIGGGEYNEKITILQNKLFVIFYGSPTNMPTLTFVGTAQKYGTVNSATVIVESDYFVAANLIIKNSSSKPNGKRVGEQALALRVSGNKLALFNYRLIGFQDKLCDDRGNHFFKDFFIEDTVDFIFGSGKSLCLVMPPNL >ONI20732 pep chromosome:Prunus_persica_NCBIv2:G2:3420767:3430524:1 gene:PRUPE_2G031500 transcript:ONI20732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRLQNVEPLLKILQPKVVLLPKDLKQISSLKSNSCSTFHYCVNETLRIPSLKDNSELEIATDLASQFNWRNLKQENINMTRLKGELCVDHGRQRLSTGNQESSESRPLVHWGSTDLEKLLVVLSNRGIKATLGDAFGSESESASLVHVHDPNQALIEVRTTSTVISTADESLASIIFEAIEATVKAARRISTLLPLFASAAGQRISFHKSFPFFQKCF >ONI20733 pep chromosome:Prunus_persica_NCBIv2:G2:3420767:3430523:1 gene:PRUPE_2G031500 transcript:ONI20733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRLQNVEPLLKILQPKVVLRRQ >ONI20745 pep chromosome:Prunus_persica_NCBIv2:G2:3422120:3428388:1 gene:PRUPE_2G031500 transcript:ONI20745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLNHALFSLLTGVYGSVLLFICSSVGLGIKTLYSFLRVDRMLIWLSCLSSQWK >ONI20747 pep chromosome:Prunus_persica_NCBIv2:G2:3420767:3430523:1 gene:PRUPE_2G031500 transcript:ONI20747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRLQNVEPLLKILQPKVVLLPKDLKQISSLKSNSCSTFHYCVNETLRIPSLKDNSELEIATDLASQFNWRNLKQENINMTRLKGELCVDHGRQRLSTGNQESSESRPLVHWGSTDLEKLLVVLSNRGIKATLGDAFGSESESASLVHVHDPNQALIEVRTTSTVISTADESLASIIFEAIGSVLDGV >ONI20742 pep chromosome:Prunus_persica_NCBIv2:G2:3420767:3430523:1 gene:PRUPE_2G031500 transcript:ONI20742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRQLSTQQRTQISTKKQDLACCRMLNRC >ONI20735 pep chromosome:Prunus_persica_NCBIv2:G2:3422120:3430523:1 gene:PRUPE_2G031500 transcript:ONI20735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLLQNVEPLLKILQPKVVLRRQ >ONI20746 pep chromosome:Prunus_persica_NCBIv2:G2:3422120:3425364:1 gene:PRUPE_2G031500 transcript:ONI20746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLL >ONI20741 pep chromosome:Prunus_persica_NCBIv2:G2:3422509:3430523:1 gene:PRUPE_2G031500 transcript:ONI20741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRQLSTQQRTQISTKKQDLACCRMLNRC >ONI20740 pep chromosome:Prunus_persica_NCBIv2:G2:3422679:3428863:1 gene:PRUPE_2G031500 transcript:ONI20740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRQLSTQQRTQISTKKQDLACCRMLNRC >ONI20731 pep chromosome:Prunus_persica_NCBIv2:G2:3420767:3430544:1 gene:PRUPE_2G031500 transcript:ONI20731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRLQNVEPLLKILQPKVVLLPKDLKQISSLKSNSCSTFHYCVNETLRIPSLKDNSELEIATDLASQFNWRNLKQENINMTRLKGELCVDHGRQRLSTGNQESSESRPLVHWGSTDLEKLLVVLSNRGIKATLGDAFGSESESASLVHVHDPNQALIEVRTTSTVISTADESLASIIFEAIEATVKAARRISTLLPLFASAAGQRISFHKSFPFFQKCF >ONI20739 pep chromosome:Prunus_persica_NCBIv2:G2:3422120:3430523:1 gene:PRUPE_2G031500 transcript:ONI20739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLLQNVEPLLKILQPKVVLLPKDLKQISSLKSNSCSTFHYCVNETLRIPSLKDNSELEIATDLASQFNWRNLKQENINMTRLKGELCVDHGRQRLSTGNQESSESRPLVHWGSTDLEKLLVVLSNRGIKATLGDAFGSESESASLVHVHDPNQALIEVRTTSTVISTADESLASIIFEAIGSVLDGV >ONI20730 pep chromosome:Prunus_persica_NCBIv2:G2:3420767:3430523:1 gene:PRUPE_2G031500 transcript:ONI20730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRLQNVEPLLKILQPKVVLLPKDLKQISSLKSNSCSTFHYCVNETLRIPSLKDNSELEIATDLASQFNWRNLKQENINMTRLKGELCVDHGRQRLSTGNQESSESRPLVHWGSTDLEKLLVVLSNRGIKATLGDAFGSESESASLVHVHDPNQALIEVRTTSTVISTADESLASIIFEAIEATVKAARRISTLLPLFASAAGQRISFHKSFPFFQKCF >ONI20729 pep chromosome:Prunus_persica_NCBIv2:G2:3420767:3430523:1 gene:PRUPE_2G031500 transcript:ONI20729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRLQNVEPLLKILQPKVVLLPKDLKQISSLKSNSCSTFHYCVNETLRIPSLKDNSELEIATDLASQFNWRNLKQENINMTRLKGELCVDHGRQRLSTGNQESSESRPLVHWGSTDLEKLLVVLSNRGIKATLGDAFGSESESASLVHVHDPNQALIEVRTTSTVISTADESLASIIFEAIEATVKAARRISTLLPLFASAAGQRISFHKSFPFFQKCF >ONI20737 pep chromosome:Prunus_persica_NCBIv2:G2:3422481:3430536:1 gene:PRUPE_2G031500 transcript:ONI20737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRLQNVEPLLKILQPKVVLLPKDLKQISSLKSNSCSTFHYCVNETLRIPSLKDNSELEIATDLASQFNWRNLKQENINMTRLKGELCVDHGRQRLSTGNQESSESRPLVHWGSTDLEKLLVVLSNRGIKATLGDAFGSESESASLVHVHDPNQALIEVRTTSTVISTADESLASIIFEAIGSVLDGV >ONI20743 pep chromosome:Prunus_persica_NCBIv2:G2:3422679:3428863:1 gene:PRUPE_2G031500 transcript:ONI20743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRQLSTQQRTQISTKKQDLACCRMLNRC >ONI20736 pep chromosome:Prunus_persica_NCBIv2:G2:3422120:3430535:1 gene:PRUPE_2G031500 transcript:ONI20736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRLQNVEPLLKILQPKVVLLPKDLKQISSLKSNSCSTFHYCVNETLRIPSLKDNSELEIATDLASQFNWRNLKQENINMTRLKGELCVDHGRQRLSTGNQESSESRPLVHWGSTDLEKLLVVLSNRGIKATLGDAFGSESESASLVHVHDPNQALIEVRTTSTVISTADESLASIIFEAIGSVLDGV >ONI20734 pep chromosome:Prunus_persica_NCBIv2:G2:3422179:3430549:1 gene:PRUPE_2G031500 transcript:ONI20734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRLQNVEPLLKILQPKVVLRRQ >ONI20744 pep chromosome:Prunus_persica_NCBIv2:G2:3422222:3430535:1 gene:PRUPE_2G031500 transcript:ONI20744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLNHALFSLLTGVYGSVLLFICSSVGLGIKTLYSFLRVDRMLIWLSCLSSQWK >ONI20738 pep chromosome:Prunus_persica_NCBIv2:G2:3422120:3430523:1 gene:PRUPE_2G031500 transcript:ONI20738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSCLSKGGGYHFPPCHILNICGFSILLDCPLDLSALTIFSPIPTSSKASSFDEENPSCPNRSESSDLEEPMVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRLQNVEPLLKILQPKVVLLPKDLKQISSLKSNSCSTFHYCVNETLRIPSLKDNSELEIATDLASQFNWRNLKQENINMTRLKGELCVDHGRQRLSTGNQESSESRPLVHWGSTDLEKLLVVLSNRGIKATLGDAFGSESESASLVHVHDPNQALIEVRTTSTVISTADESLASIIFEAIGSVLDGV >ONI20748 pep chromosome:Prunus_persica_NCBIv2:G2:3423020:3428863:1 gene:PRUPE_2G031500 transcript:ONI20748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRQKVEKPLDADDLIYAEPWYKTVKNLHLWNVSFIDVVLISSPTGMLGLPFLTRMKGFSAKIYVTEAAARLGQLMMEDLVSMHLEIRQFFGPEESSFPQWMKWEDLTLLPSSLKNVALGKDGGELGGWLSLYSAADVKDCMQKVLRLKYAEEICYNSTLIIKAFSSGLEIGSCNWTINGPKGGVGFISSSIFDSAHAMNFDYNALRGNDIIIYSDFSFSDGTEDVESGYDNSIPTTCNRSSLRNYENDCQELAKSLLNVDEGLEERDKLAFICSCVIDSVKAGGSVLIPISRLGIVLLLLEQISTSLDVSTLKVPMYIISSLAEEFLAFSNIIPEWLCKQRQEKLFSGEPLFAHAKLVNEKKLHVFPAVHSPKLLMNWQEPCIVFSPHWSLRLGPAVHLLQRWSGDQNSLLILESGPDVDLALLPFKPMEMKVLECSFLSGIRQLSTQQRTQISTKKQDLACCRMLNRC >ONI21766 pep chromosome:Prunus_persica_NCBIv2:G2:13842481:13843747:1 gene:PRUPE_2G087600 transcript:ONI21766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEAVGGRKNIGTKNTNEIYCPEWIYNLLEGGDDLRIHIGDDGDGKIPKTLAIVGLWCIQWHRWIVRLCN >ONI21086 pep chromosome:Prunus_persica_NCBIv2:G2:5699788:5703870:1 gene:PRUPE_2G049600 transcript:ONI21086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFLSEPIWNDNGDDNSAKMRVSLLSNLESVIWSVMASGGRSEARLWLCNTIAGISSISRQHQCELLTNLLRSKPLKRGFASQLLEMIFENRPHKAGSIIAKRSYILKKFFEGNPTRISQWFSRTGGGLGHGPGAKALSQFSFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQETVENFLENVPEFWLSNELSESLKDGEILFVDRKFFVEFFVDLMYKEDSRDVWEVTSEYLKEECFSSLCKRLLITLDEWDLCDFLNMLHKNLNPRMELKDPMDSSYLFEVILSKCGDFRCFDQILLLNAVFNHGRQLLRLLRDEEAHEEKEKLEDIVLKICGIPNNDSSLASIIKDCFKMKNIEAFKLLGLQSWVIYYSLAEKCQTPKSWELLFMHNEISFRKSDRYLFLDNHGVLEGGVSDLDHRASKTVKHRKKHSRRKRKRDINHDDSDNELLDLGTTKNRLDLQSNVGSWLLSIDEYSASWNSEDLPEYLSKYCLSTWMKWVFAQWGQ >ONI21082 pep chromosome:Prunus_persica_NCBIv2:G2:5699788:5706611:1 gene:PRUPE_2G049600 transcript:ONI21082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFLSEPIWNDNGDDNSAKMRVSLLSNLESVIWSVMASGGRSEARLWLCNTIAGISSISRQHQCELLTNLLRSKPLKRGFASQLLEMIFENRPHKAGSIIAKRSYILKKFFEGNPTRISQWFSRTGGGLGHGPGAKALSQFSFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQETVENFLENVPEFWLSNELSESLKDGEILFVDRKFFVEFFVDLMYKEDSRDVWEVTSEYLKEECFSSLCKRLLITLDEWDLCDFLNMLHKNLNPRMELKDPMDSSYLFEVILSKCGDFRCFDQILLLNAVFNHGRQLLRLLRDEEAHEEKEKLEDIVLKICGIPNNDSSLASIIKDCFKMKNIEAFKLLGLQSWVIYYSLAEKCQTPKSWELLFMHNEISFRKSDRYLFLDNHGVLEGGVSDLDHRASKTVKHRKKHSRRKRKRDINHDDSDNELLDLGTTKNRLDLQSNVGSWLLSIDEYSASWNSEDLPEYLSKYCLSTWMKWVFAQWGQ >ONI21087 pep chromosome:Prunus_persica_NCBIv2:G2:5699184:5706611:1 gene:PRUPE_2G049600 transcript:ONI21087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFLSEPIWNDNGDDNSAKMRVSLLSNLESVIWSVMASGGRSEARLWLCNTIAGISSISRQHQCELLTNLLRSKPLKRGFASQLLEMIFENRPHKAGSIIAKRSYILKKFFEGNPTRISQWFSRTGGGLGHGPGAKALSQFSFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQETVENFLENVPEFWLSNELSESLKDGEILFVDRKFFVEFFVDLMYKEDSRDVWEVTSEYLKEECFSSLCKRLLITLDEWDLCDFLNMLHKNLNPRMELKDPMDSSYLFEVILSKCGDFRCFDQILLLNAVFNHGRQLLRLLRDEEAHEEKEKLEDIVLKICGIPNNDSSLASIIKDCFKMKNIEAFKLLGLQSWVIYYSLAEKCQTPKSWELLFMHNEISFRKSDRYLFLDNHGVLEGGVSDLDHRASKTVKHRKKHSRRKRKRDINHDDSDNELLDLGTTKNRLDLQSNVGSWLLSIDEYSASWNSEDLPEYLSKYCLSTWMKWVFAQWGQ >ONI21084 pep chromosome:Prunus_persica_NCBIv2:G2:5698959:5706685:1 gene:PRUPE_2G049600 transcript:ONI21084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFLSEPIWNDNGDDNSAKMRVSLLSNLESVIWSVMASGGRSEARLWLCNTIAGISSISRQHQCELLTNLLRSKPLKRGFASQLLEMIFENRPHKAGSIIAKRSYILKKFFEGNPTRISQWFSRTGGGLGHGPGAKALSQFSFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQETVENFLENVPEFWLSNELSESLKDGEILFVDRKFFVEFFVDLMYKEDSRDVWEVTSEYLKEECFSSLCKRLLITLDEWDLCDFLNMLHKNLNPRMELKDPMDSSYLFEVILSKCGDFRCFDQILLLNAVFNHGRQLLRLLRDEEAHEEKEKLEDIVLKICGIPNNDSSLASIIKDCFKMKNIEAFKLLGLQSWVIYYSLAEKCQTPKSWELLFMHNEISFRKSDRYLFLDNHGVLEGGVSDLDHRASKTVKHRKKHSRRKRKRDINHDDSDNELLDLGTTKNRLDLQSNVGSWLLSIDEYSASWNSEDLPEYLSKYCLSTWMKWVFAQWGQ >ONI21083 pep chromosome:Prunus_persica_NCBIv2:G2:5699434:5706611:1 gene:PRUPE_2G049600 transcript:ONI21083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFLSEPIWNDNGDDNSAKMRVSLLSNLESVIWSVMASGGRSEARLWLCNTIAGISSISRQHQCELLTNLLRSKPLKRGFASQLLEMIFENRPHKAGSIIAKRSYILKKFFEGNPTRISQWFSRTGGGLGHGPGAKALSQFSFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQETVENFLENVPEFWLSNELSESLKDGEILFVDRKFFVEFFVDLMYKEDSRDVWEVTSEYLKEECFSSLCKRLLITLDEWDLCDFLNMLHKNLNPRMELKDPMDSSYLFEVILSKCGDFRCFDQILLLNAVFNHGRQLLRLLRDEEAHEEKEKLEDIVLKICGIPNNDSSLASIIKDCFKMKNIEAFKLLGLQSWVIYYSLAEKCQTPKSWELLFMHNEISFRKSDRYLFLDNHGVLEGGVSDLDHRASKTVKHRKKHSRRKRKRDINHDDSDNELLDLGTTKNRLDLQSNVGSWLLSIDEYSASWNSEDLPEYLSKYCLSTWMKWVFAQWGQ >ONI21088 pep chromosome:Prunus_persica_NCBIv2:G2:5699157:5706611:1 gene:PRUPE_2G049600 transcript:ONI21088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFLSEPIWNDNGDDNSAKMRVSLLSNLESVIWSVMASGGRSEARLWLCNTIAGISSISRQHQCELLTNLLRSKPLKRGFASQLLEMIFENRPHKAGSIIAKRSYILKKFFEGNPTRISQWFSRTGGGLGHGPGAKALSQFSFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQETVENFLENVPEFWLSNELSESLKDGEILFVDRKFFVEFFVDLMYKEDSRDVWEVTSEYLKEECFSSLCKRLLITLDEWDLCDFLNMLHKNLNPRMELKDPMDSSYLFEVILSKCGDFRCFDQILLLNAVFNHGRQLLRLLRDEEAHEEKEKLEDIVLKICGIPNNDSSLASIIKDCFKMKNIEAFKLLGLQSWVIYYSLAEKCQTPKSWELLFMHNEISFRKSDRYLFLDNHGVLEGGVSDLDHRASKTVKHRKKHSRRKRKRDINHDDSDNELLDLGTTKNRLDLQSNVGSWLLSIDEYSASWNSEDLPEYLSKYCLSTWMKWVFAQWGQ >ONI21085 pep chromosome:Prunus_persica_NCBIv2:G2:5698959:5706691:1 gene:PRUPE_2G049600 transcript:ONI21085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFLSEPIWNDNGDDNSAKMRVSLLSNLESVIWSVMASGGRSEARLWLCNTIAGISSISRQHQCELLTNLLRSKPLKRGFASQLLEMIFENRPHKAGSIIAKRSYILKKFFEGNPTRISQWFSRTGGGLGHGPGAKALSQFSFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQETVENFLENVPEFWLSNELSESLKDGEILFVDRKFFVEFFVDLMYKEDSRDVWEVTSEYLKEECFSSLCKRLLITLDEWDLCDFLNMLHKNLNPRMELKDPMDSSYLFEVILSKCGDFRCFDQILLLNAVFNHGRQLLRLLRDEEAHEEKEKLEDIVLKICGIPNNDSSLASIIKDCFKMKNIEAFKLLGLQSWVIYYSLAEKCQTPKSWELLFMHNEISFRKSDRYLFLDNHGVLEGGVSDLDHRASKTVKHRKKHSRRKRKRDINHDDSDNELLDLGTTKNRLDLQSNVGSWLLSIDEYSASWNSEDLPEYLSKYCLSTWMKWVFAQWGQ >ONI21081 pep chromosome:Prunus_persica_NCBIv2:G2:5699459:5706649:1 gene:PRUPE_2G049600 transcript:ONI21081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLFLSEPIWNDNGDDNSAKMRVSLLSNLESVIWSVMASGGRSEARLWLCNTIAGISSISRQHQCELLTNLLRSKPLKRGFASQLLEMIFENRPHKAGSIIAKRSYILKKFFEGNPTRISQWFSRTGGGLGHGPGAKALSQFSFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQETVENFLENVPEFWLSNELSESLKDGEILFVDRKFFVEFFVDLMYKEDSRDVWEVTSEYLKEECFSSLCKRLLITLDEWDLCDFLNMLHKNLNPRMELKDPMDSSYLFEVILSKCGDFRCFDQILLLNAVFNHGRQLLRLLRDEEAHEEKEKLEDIVLKICGIPNNDSSLASIIKDCFKMKNIEAFKLLGLQSWVIYYSLAEKCQTPKSWELLFMHNEISFRKSDRYLFLDNHGVLEGGVSDLDHRASKTVKHRKKHSRRKRKRDINHDDSDNELLDLGTTKNRLDLQSNVGSWLLSIDEYSASWNSEDLPEYLSKYCLSTWMKWVFAQWGQ >ONI21901 pep chromosome:Prunus_persica_NCBIv2:G2:15276964:15278774:1 gene:PRUPE_2G097600 transcript:ONI21901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKHQENEMTIRAEFVQEREFLLKELIDKKDRETMAMDTSHMSLETKQFWKLERRDVMRRRLFHDDGPSNTDWAVTTAAVDDSLCDAIICFLCWDGPIAGEKRARTQEMLDVGGLELDEPSPHLPPIPMSFKDKVSGYFGMAEDQLVFSDDDVVIQQRAIPSIQFSDKVKSSLYRPWRSAVIIKLMGRPLACTFLRSRLLQRWALKDMRYVLTGGPWQIAGQYIVTQKWKPRFNAKEEKITHMIAWVRINGLNVEYFRADVMEKIGNLVGATVKVDAHTLSQARGKFARICVEFDLAKPLTPFIEIEGRTYGVVYEGINLVCFECGCFGHGRDSCPIILQAKQQVPESDNADCMEDISTVQVNVNLGAATKEAEVPAKMHRKWMLLKPRNFRKNSTNDIGKGAELSKRNTKDTGLKAISPVFGSQFNVLIEEVAKKRIWRDLLLLRLVILVLKNRVLLPILILLRQKVLG >ONI22081 pep chromosome:Prunus_persica_NCBIv2:G2:16397830:16399308:1 gene:PRUPE_2G105800 transcript:ONI22081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKRRLSNEIKLRIGAPVKLGFKELVGVHHDLQTNKTYVTGFFVGDTTNTWNRSLYVDFTVPFTDLGMGTLVPKEQETMWIFLKPLSADLWITNAGFFILTGFVVWLIEKPVNQEFQGSPSQQIGTIFWFSLSTLVFAHSKHLISLLRMMHVFPTKEKLLNNSAKFVVIIWVCVVLILNSSYTATLTSMMTVKQIQLNSKLDYVGYQIGTFSKLGRTDFDFKGLEQFNQSEEAYIDALSRGNKPDLPSKVFLKYSMINTKSTTNGFGFVFPRGSKLAQDMSRQIEILREEGKLLEMEDAWFHSKSNLLFDDKTSDPSALNFHDFRGLFLVSGVSSAFAPLIHSFLTEREILARREEEILAARKKGPLQKGIR >ONI24631 pep chromosome:Prunus_persica_NCBIv2:G2:26571414:26573475:1 gene:PRUPE_2G251100 transcript:ONI24631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGLTTGSHFQPDVVRICHTRQIPGLKQDPLCFSSAKLHRKKTITCRAIKAEDVSYKPEERKKNGPLVKMCGITSARDAAMAAEAGADFIGMIIWPNSKRSVSLSVAKEISRVARDYGAQPVGVFVDDDADTILRAADASDLELVQLHGDGSRAAFPVLAQDHRIIYVLHANEDGTLLNQISAEQCSLVDWVLVDSAKGGSGKGFDWSQFKLPNIRSKHGWLLAGGIKPENACEALSTLKPQGIDVSSGICGPDGIQKDELQISSFMSAVHSVNY >ONI22626 pep chromosome:Prunus_persica_NCBIv2:G2:19717752:19722256:-1 gene:PRUPE_2G140500 transcript:ONI22626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVLGSHKEVRYVESGSPSVGSSRSWKSMEMEIQSLLEKLLDINDSMSRCAASATPATSVTQKLARHRDILHEFTQEFRRIKGNINSLREHAELLTSVRDDISEYKASGSMSPRMQILRERAAIHGSVSHIDEVISQAQTTRAVLGSQRALFGDVQGKVKNLSDKFPIIRGLLGSIRRRRSRDTLILAAVIAACTLFLIIYWLSK >ONI22625 pep chromosome:Prunus_persica_NCBIv2:G2:19717692:19722294:-1 gene:PRUPE_2G140500 transcript:ONI22625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDSNLELQESGWEELRREARKIEGDLDVKLSSYAKLGARFTQGGYVESGSPSVGSSRSWKSMEMEIQSLLEKLLDINDSMSRCAASATPATSVTQKLARHRDILHEFTQEFRRIKGNINSLREHAELLTSVRDDISEYKASGSMSPRMQILRERAAIHGSVSHIDEVISQAQTTRAVLGSQRALFGDVQGKVKNLSDKFPIIRGLLGSIRRRRSRDTLILAAVIAACTLFLIIYWLSK >ONI24905 pep chromosome:Prunus_persica_NCBIv2:G2:27386041:27388984:-1 gene:PRUPE_2G268800 transcript:ONI24905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMGAHTFSTLIPFDHNPANSLSFCLFGGVAKGSWGIWGRNGVYPVDTEILCQSLLCASQADSGQHCKGCRFGWSNGSYEGLSI >ONI25794 pep chromosome:Prunus_persica_NCBIv2:G2:29854077:29856559:-1 gene:PRUPE_2G320600 transcript:ONI25794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVDMAVLSTNTLPLGFRFRPTDEELIDYYLRSKINGNHKQVTVIREIDVCKWEPWDLPDLSVIQTTDPEWFFFCPQDRKYPNGHRLNRATGKGYWKATGKDRQIKSATILIGMKKTLVFHTGRAPKGKRTNWVMHEYRTTQKELDGTNPGQNPFVLCRLFKKQDETIDDSNFAEVEPAVSSSTAAISSPQDTQSDHALGPTSPSFERLDEKPTKVECTIADYSNGMEPDTLQPVECPSNTYNGYDAEDQLNVPLEGLDMFYDPPQQPLFSPLHSQMQTELYYCGSNNFNNGHQGVKIQYGTNDQDADISEFLSSIFNSSGEHSDVDAFVAVPCETELQNPVQSEGNIDRKGPVQNESTQIDCFLTGDAGTGIRLRTRETQNPTSTENFARQGDAPRRLRLQRKFEVLHCCNLSKDWNCRPEDQETKPMAVEVRSL >ONI25793 pep chromosome:Prunus_persica_NCBIv2:G2:29853474:29856798:-1 gene:PRUPE_2G320600 transcript:ONI25793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVDMAVLSTNTLPLGFRFRPTDEELIDYYLRSKINGNHKQVTVIREIDVCKWEPWDLPDLSVIQTTDPEWFFFCPQDRKYPNGHRLNRATGKGYWKATGKDRQIKSATILIGMKKTLVFHTGRAPKGKRTNWVMHEYRTTQKELDGTNPGQNPFVLCRLFKKQDETIDDSNFAEVEPAVSSSTAAISSPQDTQSDHALGPTSPSFERLDEKPTKVECTIADYSNGMEPDTLQPVECPSNTYNGYDAEDQLNVPLEGLDMFYDPPQQPLFSPLHSQMQTELYYCGSNNFNNGHQGVKIQYGTNDQDADISEFLSSIFNSSGEHSDVDAFVAVPCETELQNPVQSEGNIDRKGPVQNESTQIDCFLTGDAGTGIRLRTRETQNPTSTENFARQGDAPRRLRLQRKFEVLHCCNLSKDWNCRPEDQETKPMAVEEIRDTEEKAIDAAVSDVVDAAVSDAVDAATDPPKKETMSTRLNFTPEGSILTGERVPNAILQGSPAGHSMWSFAFLFRVVVVVAFLFIMLLAYGDFISFEAAGSPLTVRMA >ONI23133 pep chromosome:Prunus_persica_NCBIv2:G2:21841663:21844003:1 gene:PRUPE_2G171300 transcript:ONI23133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMKGDLLTRTRKLVKGLAKAEPVWLKAMERVPPVTFPRADAIQKITLPEDVYIKKFFQKHPDSKHEDAIKFSAFDPPPARIFGLRVLELKEQGVSEQEAMAVADMEYRMERKGKKMAYSRLKKIARLQGKKPPPNPYPSAIKEIQAEEKKYVRDRFFNPRIRQILRKLQEEHAAERQDGLRGEGGS >ONI23134 pep chromosome:Prunus_persica_NCBIv2:G2:21841558:21844003:1 gene:PRUPE_2G171300 transcript:ONI23134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMKGDLLTRTRKLVKGLAKAEPVWLKAMERVPPVTFPRADAIQKITLPEDVYIKKFFQKHPDSKHEDAIKFSAFDPPPARIFGLRVLELKEQGVSEQEAMAVADMEYRMERKGKKMAYSRLKKIARLQGKKPPPNPYPSAIKEIQAEEKKYVRDRFFNPRIRQILRKLQEEHAAERQDGLRGEGGS >ONI23135 pep chromosome:Prunus_persica_NCBIv2:G2:21841465:21844035:1 gene:PRUPE_2G171300 transcript:ONI23135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMKGDLLTRTRKLVKGLAKAEPVWLKAMERVPPVTFPRADAIQKITLPEDVYIKKFFQKHPDSKHEDAIKFSAFDPPPARIFGLRVLELKEQGVSEQEAMAVADMEYRMERKGKKMAYSRLKKIARLQGKKPPPNPYPSAIKEIQAEEKKYVRDRFFNPRIRQILRKLQEEHAAERQDGLRGEGGS >ONI24356 pep chromosome:Prunus_persica_NCBIv2:G2:25775410:25778711:1 gene:PRUPE_2G236100 transcript:ONI24356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSSRIKADSHLHNGLHSNSKVSSVSVPSTPRTEGEILQSSNLKSFAFNELKTATRNFRPDSMVGEGGFGCVFKGWVDENSLTAAKPGTGMVIAVKRLNQEGLQGHKEWLTEINYLGQLHHENLVRLIGYCLEDDHRMLVYEFMPRGSLDNHLFRRASYFQPLSWNLRMKIALGAAKGLAFLHSDEAKVIYRDFKTANILLDSTYNAKLSDFGLAKDGPAGDRSHVSTRIMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLASKRKVLQIFDARIEGQYSVGGALRAVNLAIRCLAVEPKFRLNMNDVVKALEQLQEPSDMEGSGVSQNDPRPNPHANSSHVPKHRRNSTNEIDNATPPHPKASVPRSHA >ONI24361 pep chromosome:Prunus_persica_NCBIv2:G2:25775455:25778676:1 gene:PRUPE_2G236100 transcript:ONI24361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEGGFGCVFKGWVDENSLTAAKPGTGMVIAVKRLNQEGLQGHKEWLTEINYLGQLHHENLVRLIGYCLEDDHRMLVYEFMPRGSLDNHLFRRASYFQPLSWNLRMKIALGAAKGLAFLHSDEAKVIYRDFKTANILLDSTYNAKLSDFGLAKDGPAGDRSHVSTRIMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLASKRKVLQIFDARIEGQYSVGGALRAVNLAIRCLAVEPKFRLNMNDVVKALEQLQEPSDMEGSGVSQNDPRPNPHANSSHVPKHRRNSTNEIDNATPPHPKASVPRSHA >ONI24357 pep chromosome:Prunus_persica_NCBIv2:G2:25775453:25778676:1 gene:PRUPE_2G236100 transcript:ONI24357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEGGFGCVFKGWVDENSLTAAKPGTGMVIAVKRLNQEGLQGHKEWLTEINYLGQLHHENLVRLIGYCLEDDHRMLVYEFMPRGSLDNHLFRRASYFQPLSWNLRMKIALGAAKGLAFLHSDEAKVIYRDFKTANILLDSTYNAKLSDFGLAKDGPAGDRSHVSTRIMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLASKRKVLQIFDARIEGQYSVGGALRAVNLAIRCLAVEPKFRLNMNDVVKALEQLQEPSDMEGSGVSQNDPRPNPHANSSHVPKHRRNSTNEIDNATPPHPKASVPRSHA >ONI24358 pep chromosome:Prunus_persica_NCBIv2:G2:25775455:25778676:1 gene:PRUPE_2G236100 transcript:ONI24358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEGGFGCVFKGWVDENSLTAAKPGTGMVIAVKRLNQEGLQGHKEWLTEINYLGQLHHENLVRLIGYCLEDDHRMLVYEFMPRGSLDNHLFRRASYFQPLSWNLRMKIALGAAKGLAFLHSDEAKVIYRDFKTANILLDSTYNAKLSDFGLAKDGPAGDRSHVSTRIMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLASKRKVLQIFDARIEGQYSVGGALRAVNLAIRCLAVEPKFRLNMNDVVKALEQLQEPSDMEGSGVSQNDPRPNPHANSSHVPKHRRNSTNEIDNATPPHPKASVPRSHA >ONI24359 pep chromosome:Prunus_persica_NCBIv2:G2:25775455:25778676:1 gene:PRUPE_2G236100 transcript:ONI24359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEGGFGCVFKGWVDENSLTAAKPGTGMVIAVKRLNQEGLQGHKEWLTEINYLGQLHHENLVRLIGYCLEDDHRMLVYEFMPRGSLDNHLFRRASYFQPLSWNLRMKIALGAAKGLAFLHSDEAKVIYRDFKTANILLDSTYNAKLSDFGLAKDGPAGDRSHVSTRIMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLASKRKVLQIFDARIEGQYSVGGALRAVNLAIRCLAVEPKFRLNMNDVVKALEQLQEPSDMEGSGVSQNDPRPNPHANSSHVPKHRRNSTNEIDNATPPHPKASVPRSHA >ONI24360 pep chromosome:Prunus_persica_NCBIv2:G2:25775410:25778711:1 gene:PRUPE_2G236100 transcript:ONI24360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEGGFGCVFKGWVDENSLTAAKPGTGMVIAVKRLNQEGLQGHKEWLTEINYLGQLHHENLVRLIGYCLEDDHRMLVYEFMPRGSLDNHLFRRASYFQPLSWNLRMKIALGAAKGLAFLHSDEAKVIYRDFKTANILLDSTYNAKLSDFGLAKDGPAGDRSHVSTRIMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLASKRKVLQIFDARIEGQYSVGGALRAVNLAIRCLAVEPKFRLNMNDVVKALEQLQEPSDMEGSGVSQNDPRPNPHANSSHVPKHRRNSTNEIDNATPPHPKASVPRSHA >ONI22419 pep chromosome:Prunus_persica_NCBIv2:G2:18494607:18498742:-1 gene:PRUPE_2G127800 transcript:ONI22419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGQRRTTQNQTTSSASSSCWSHFWSSALRTKPLGSPLEVAVRTNSGDGLVRRLGMFDLILLGVGASIGAGIFVVTGTVAHDAGPGVTISFILAGVSCVLNALCYAELACRFPAVVGGAYLYTYTAFNELTAFLVFAQLMLDYHIGAASIARSLASYVVTILELFPIFKENIPDWIGHGGQKFLGGAISINVLAPVLLVLLTVILCRGVGESAAVNSFMTATKVIIVIIVIFAGAFEVDTSNWTPFAPDGFKPILTGATVVFFAYVGFDAVANSAEESKRPQRDLPIGIIGSLLICIALYIGVCLVITGMLPYYLLGEDAPLANAFTSKGLKFVSILISVGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSVFARVHPRCHTPIHSQVWVGIVAAALAGLFNVHILSHILSVGSLTGYSVVAACVVALRLKDKASSQVSSSTWREGVICLLIVACGGFSAGLCYRFSVSIVFLVVAVVVAILAMGALCFRQVYADLPGFSCPGVPIVPAACIFFNMFLFAQIHHEAWVRFVILCIITVGIYAFYGQYHVDPSSEETIIYHRASGEER >ONI22417 pep chromosome:Prunus_persica_NCBIv2:G2:18494576:18498742:-1 gene:PRUPE_2G127800 transcript:ONI22417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGQRRTTQNQTTSSASSSCWSHFWSSALRTKPLGSPLEVAVRTNSGDGLVRRLGMFDLILLGVGASIGAGIFVVTGTVAHDAGPGVTISFILAGVSCVLNALCYAELACRFPAVVGGAYLYTYTAFNELTAFLVFAQLMLDYHIGAASIARSLASYVVTILELFPIFKENIPDWIGHGGQKFLGGAISINVLAPVLLVLLTVILCRGVGESAAVNSFMTATKVIIVIIVIFAGAFEVDTSNWTPFAPDGFKPILTGATVVFFAYVGFDAVANSAEESKRPQRDLPIGIIGSLLICIALYIGVCLVITGMLPYYLLGEDAPLANAFTSKGLKFVSILISVGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSVFARVHPRCHTPIHSQVWVGIVAAALAGLFNVHILSHILSVGSLTGYSVVAACVVALRLKDKASSQVSSSTWREGVICLLIVACGGFSAGLCYRFSVSIVFLVVAVVVAILAMGALCFRQVYADLPGFSCPGVPIVPAACIFFNMFLFAQIHHEAWVRFVILCIITVGIYAFYGQYHVDPSSEETIIYHRASGEERLIVKLHH >ONI22420 pep chromosome:Prunus_persica_NCBIv2:G2:18496425:18498742:-1 gene:PRUPE_2G127800 transcript:ONI22420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGQRRTTQNQTTSSASSSCWSHFWSSALRTKPLGSPLEVAVRTNSGDGLVRRLGMFDLILLGVGASIGAGIFVVTGTVAHDAGPGVTISFILAGVSCVLNALCYAELACRFPAVVGGAYLYTYTAFNELTAFLVFAQLMLDYHIGAASIARSLASYVVTILELFPIFKENIPDWIGHGGQKFLGGAISINVLAPVLLVLLTVILCRGVGESAAVNSFMTATKVIIVIIVIFAGAFEVDTSNWTPFAPDGFKPILTGATVVFFAYVGFDAVANSAEESKRPQRDLPIGIIGSLLICIALYIGVCLVITGMLPYYLLGEDAPLANAFTSKGLKFVSILISVGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSVFARVHPRCHTPIHSQVWVGIVAAALAGLFNVHILSHILSVGSLLKIY >ONI22416 pep chromosome:Prunus_persica_NCBIv2:G2:18494573:18498742:-1 gene:PRUPE_2G127800 transcript:ONI22416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGQRRTTQNQTTSSASSSCWSHFWSSALRTKPLGSPLEVAVRTNSGDGLVRRLGMFDLILLGVGASIGAGIFVVTGTVAHDAGPGVTISFILAGVSCVLNALCYAELACRFPAVVGGAYLYTYTAFNELTAFLVFAQLMLDYHIGAASIARSLASYVVTILELFPIFKENIPDWIGHGGQKFLGGAISINVLAPVLLVLLTVILCRGVGESAAVNSFMTATKVIIVIIVIFAGAFEVDTSNWTPFAPDGFKPILTGATVVFFAYVGFDAVANSAEESKRPQRDLPIGIIGSLLICIALYIGVCLVITGMLPYYLLGEDAPLANAFTSKGLKFVSILISVGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSVFARVHPRCHTPIHSQVWVGIVAAALAGLFNVHILSHILSVGSLTGYSVVAACVVALRLKDKASSQVSSSTWREGVICLLIVACGGFSAGLCYRFSVSIVFLVVAVVVAILAMGALCFRQVYADLPGFSCPGVPIVPAACIFFNMFLFAQIHHEAWVRFVILCIITVGIYAFYGQYHVDPSSEETIIYHRASGEERSKATAATTEGTSTESLKPTQA >ONI22418 pep chromosome:Prunus_persica_NCBIv2:G2:18495573:18498711:-1 gene:PRUPE_2G127800 transcript:ONI22418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGQRRTTQNQTTSSASSSCWSHFWSSALRTKPLGSPLEVAVRTNSGDGLVRRLGMFDLILLGVGASIGAGIFVVTGTVAHDAGPGVTISFILAGVSCVLNALCYAELACRFPAVVGGAYLYTYTAFNELTAFLVFAQLMLDYHIGAASIARSLASYVVTILELFPIFKENIPDWIGHGGQKFLGGAISINVLAPVLLVLLTVILCRGVGESAAVNSFMTATKVIIVIIVIFAGAFEVDTSNWTPFAPDGFKPILTGATVVFFAYVGFDAVANSAEESKRPQRDLPIGIIGSLLICIALYIGVCLVITGMLPYYLLGEDAPLANAFTSKGLKFVSILISVGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSVFARVHPRCHTPIHSQVWVGIVAAALAGLFNVHILSHILSVGSLTGYSVVAACVVALRLKDKASSQVSSSTWREGVICLLIVACGGFSAGLCYRFSVSIVFLVVAVVVAILAMGALCFRQVYADLPGFSCPGVPIVPAACIFFNMFLFAQIHHEAWVRFVILCIITVGIYAFYGQYHVDPSSEETIIYHRASGEER >ONI25073 pep chromosome:Prunus_persica_NCBIv2:G2:27896146:27900551:-1 gene:PRUPE_2G278600 transcript:ONI25073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKLHHNRHLPEEIIVQILCRLPIKPLIRFSSVSERWHSLIIEDPQFAQSHFKLASDRKTLTQRLLISTNYQLRSLDPETPSFADNDSSLSNITFHTYTNLLGSCNGLVFVDVDGYENHSLSLWNPSTRFERELPDPYFVSVANTEKVRSEFDRTGLGYVSSTDDYKIFIDAYVYSTPFQKFMEIFSSRLNSWKRIQVRGHDPPCSFRSPTTTSLGALTNEALHWFYSRVGEEEPTILAFDLAKEEFREVPFPTFDGDADDIDVNQMGVQVVSRGEGEECLCVSITRRRGGVNFMEFWVMREYGVRESWNVLFKFNTNDVSKSLGGNFHGYEACFVTEGGTVIFRLRWDWDIVVRIECHREAEPVCSTPFNVNDGDGAVYDVIKYNETLLSVPN >ONI25072 pep chromosome:Prunus_persica_NCBIv2:G2:27896146:27900546:-1 gene:PRUPE_2G278600 transcript:ONI25072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKLHHNRHLPEEIIVQILCRLPIKPLIRFSSVSERWHSLIIEDPQFAQSHFKLASDRKTLTQRLLISTNYQLRSLDPETPSFADNDSSLSNITFHTYTNLLGSCNGLVFVDVDGYENHSLSLWNPSTRFERELPDPYFVSVANTEKVRSEFDRTGLGYVSSTDDYKIFIDAYVYSTPFQKFMEIFSSRLNSWKRIQVRGHDPPCSFRSPTTTSLGALTNEALHWFYSRVGEEEPTILAFDLAKEEFREVPFPTFDGDADDIDVNQMGVQVVSRGEGEECLCVSITRRRGGVNFMEFWVMREYGVRESWNVLFKFNTNDVSKSLGGNFHGYEACFVTEGGTVIFRLRWDWDIVVRIECHREAEPVCSTPFNVNDGDGAVYDVIKYNETLLSVPN >ONI25075 pep chromosome:Prunus_persica_NCBIv2:G2:27899331:27900406:-1 gene:PRUPE_2G278600 transcript:ONI25075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKLHHNRHLPEEIIVQILCRLPIKPLIRFSSVSERWHSLIIEDPQFAQSHFKLASDRKTLTQRLLISTNYQLRSLDPETPSFADNDSSLSNITFHTYTNLLGSCNGLVFVDVDGYENHSLSLWNPSTRFERELPDPYFVSVANTEKVRSEFDRTGLGYVSSTDDYKIFIDAYVYSTPFQKFMEIFSSRLNSWKRIQVRGHDPPCSFRSPTTTSLGALTNEALHWFYSRVGEEEPTILAFDLAKEEFREVPFPTFDGDADDIDVNQMGVQVVSRGEGEECLCVSITRRRGGVNFMEFWVMREYGAEVGLGHSGED >ONI25070 pep chromosome:Prunus_persica_NCBIv2:G2:27896145:27900546:-1 gene:PRUPE_2G278600 transcript:ONI25070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKLHHNRHLPEEIIVQILCRLPIKPLIRFSSVSERWHSLIIEDPQFAQSHFKLASDRKTLTQRLLISTNYQLRSLDPETPSFADNDSSLSNITFHTYTNLLGSCNGLVFVDVDGYENHSLSLWNPSTRFERELPDPYFVSVANTEKKFMEIFSSRLNSWKRIQVRGHDPPCSFRSPTTTSLGALTNEALHWFYSRVGEEEPTILAFDLAKEEFREVPFPTFDGDADDIDVNQMGVQVVSRGEGEECLCVSITRRRGGVNFMEFWVMREYGVRESWNVLFKFNTNDVSKSLGGNFHGYEACFVTEGGTVIFRLRWDWDIVVRIECHREAEPVCSTPFNVNDGDGAVYDVIKYNETLLSVPN >ONI25074 pep chromosome:Prunus_persica_NCBIv2:G2:27896146:27900546:-1 gene:PRUPE_2G278600 transcript:ONI25074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKLHHNRHLPEEIIVQILCRLPIKPLIRFSSVSERWHSLIIEDPQFAQSHFKLASDRKTLTQRLLISTNYQLRSLDPETPSFADNDSSLSNITFHTYTNLLGSCNGLVFVDVDGYENHSLSLWNPSTRFERELPDPYFVSVANTEKVRSEFDRTGLGYVSSTDDYKIFIDAYVYSTPFQKFMEIFSSRLNSWKRIQVRGHDPPCSFRSPTTTSLGALTNEALHWFYSRVGEEEPTILAFDLAKEEFREVPFPTFDGDADDIDVNQMGVQVVSRGEGEECLCVSITRRRGGVNFMEFWVMREYGAEVGLGHSGED >ONI25071 pep chromosome:Prunus_persica_NCBIv2:G2:27899216:27900406:-1 gene:PRUPE_2G278600 transcript:ONI25071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKLHHNRHLPEEIIVQILCRLPIKPLIRFSSVSERWHSLIIEDPQFAQSHFKLASDRKTLTQRLLISTNYQLRSLDPETPSFADNDSSLSNITFHTYTNLLGSCNGLVFVDVDGYENHSLSLWNPSTRFERELPDPYFVSVANTEKKFMEIFSSRLNSWKRIQVRGHDPPCSFRSPTTTSLGALTNEALHWFYSRVGEEEPTILAFDLAKEEFREVPFPTFDGDADDIDVNQMGVQVVSRGEGEECLCVSITRRRGGVNFMEFWVMREYGVRESWNVLFKFNTNDVSKSLGGNFHGYEACFVTEGGTVIFRLRWDWDIVVRIECHREAEPVCSTPFNVNDGDGAVYDVIKYNETLLSVPN >ONI24758 pep chromosome:Prunus_persica_NCBIv2:G2:27033114:27034105:1 gene:PRUPE_2G260900 transcript:ONI24758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQGCDASLLLDNAPNFIGEKNIPPNKNSTRGYEVIDEIKSAVEKKCPGVVSCADIIAIVARDSVSILGGPSWGVQLGRRDARATNAIVTNVSLPLPKANLKELVLRFRGIALKRRDLVALVGAHTPGEAQCHSFRERIYNESNMNKELAQKRRLKCPRSQGSGDANLAPIDAQTPIVFDNSYYKNLVQNKGLLHSDQQLFSGGKTDPIVRTYSKDQEAFFNDFASAMIKMGSVNPLIGPAGEIRLNCRRMNPII >ONI24978 pep chromosome:Prunus_persica_NCBIv2:G2:27620450:27623270:1 gene:PRUPE_2G273300 transcript:ONI24978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTHLLNLILVLTSTGVLVSFVFLIYFYCKRSAKHEQQDVENSEQKHEDDVKVEDLMTFQDGQDLRICDILDAPGEVIGKSNYGTLYKALLQSSNSVRLLRFLRPVCTAKVEDFGEVVQLLGCIRHPNLVPLLGFYAGPRGEKLLIHPFYWRGNLSQFVRESNPDSHKWAIIYRISVGIAKGLDHLHTGLEKPIIHGNLKLKNILLDRHYQPFISDFSLHLLLNPTAGQEMLELSASQGYKAPELIKMRDANEETDIFSLGVILLELLTGKEPINQNPTTPDEDFSLPNFMRNAVLGHRIHDLFHPGLLLNSSIDDELPVTKEQILKFFQLAMTCCSPSPSLRPNTKQVLWKLEEIGN >ONI22645 pep chromosome:Prunus_persica_NCBIv2:G2:19790874:19792136:-1 gene:PRUPE_2G141900 transcript:ONI22645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGARDTQLSNNQKVHPQPMEEAMNQNPEAVEALISKVFTNISSLKSAYIQLQAAHTPYDPEKIQAADKLDSRLAAEIQEQQILLKTYGVMVKKFQSEIQNKDSEILQLQQHIEEAKQKQAKLEKNLKLRGMSTKESEGSADENGFPPVDLTSDLFTSVVEAAYKAIHDFSKPLINMMKAAGWDLDAAANSIEPSVVYAKRPHKKYAFESHICQRMFSGFQQESFSLNLDNLTVTKESFFHQFLTLRDMDPLDMLGQNPDSVFGRFCRSKYLVVVHPKMETSFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPRVEVFQVKRGSEFSEVYMDSVVKNLIMDESDQKPKVGLMVMPGFWIGGSVIQSRVYLSGMNVAD >ONI22648 pep chromosome:Prunus_persica_NCBIv2:G2:19790486:19794111:-1 gene:PRUPE_2G141900 transcript:ONI22648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGARDTQLSNNQKVHPQPMEEAMNQNPEAVEALISKVFTNISSLKSAYIQLQAAHTPYDPEKIQAADKLVISELKNLSELKHFYRENNPSPVCVSPQDSRLAAEIQEQQILLKTYGVMVKKFQSEIQNKDSEILQLQQHIEEAKQKQAKLEKNLKLRGMSTKESEGSADENGFPPVDLTSDLFTSVVEAAYKAIHDFSKPLINMMKAAGWDLDAAANSIEPSVVYAKRPHKKYAFESHICQRMFSGFQQESFSLNLDNLTVTKESFFHQFLTLRDMDPLDMLGQNPDSVFGRFCRSKYLVVVHPKMETSFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPRVEVFQVKRGSEFSEVYMDSVVKNLIMDESDQKPKVGLMVMPGFWIGGSVIQSRVYLSGMNVAD >ONI22649 pep chromosome:Prunus_persica_NCBIv2:G2:19790874:19792136:-1 gene:PRUPE_2G141900 transcript:ONI22649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGARDTQLSNNQKVHPQPMEEAMNQNPEAVEALISKVFTNISSLKSAYIQLQAAHTPYDPEKIQAADKLVISELKNLSELKHFYRENNPSPVCVSPQDSRLAAEIQEQQILLKTYGVMVKKFQSEIQNKDSEILQLQQHIEEAKQKQAKLEKNLKLRGMSTKESEGSADENGFPPVDLTSDLFTSVVEAAYKAIHDFSKPLINMMKAAGWDLDAAANSIEPSVVYAKRPHKKYAFESHICQRMFSGFQQESFSLNLDNLTVTKESFFHQFLTLRDMDPLDMLGQNPDSVFGRFCRSKYLVVVHPKMETSFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPRVEVFQVKRGSEFSEVYMDSVVKNLIMDESDQKPKVGLMVMPGFWIGGSVIQSRVYLSGMNVAD >ONI22646 pep chromosome:Prunus_persica_NCBIv2:G2:19790473:19794167:-1 gene:PRUPE_2G141900 transcript:ONI22646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGARDTQLSNNQKVHPQPMEEAMNQNPEAVEALISKVFTNISSLKSAYIQLQAAHTPYDPEKIQAADKLDSRLAAEIQEQQILLKTYGVMVKKFQSEIQNKDSEILQLQQHIEEAKQKQAKLEKNLKLRGMSTKESEGSADENGFPPVDLTSDLFTSVVEAAYKAIHDFSKPLINMMKAAGWDLDAAANSIEPSVVYAKRPHKKYAFESHICQRMFSGFQQESFSLNLDNLTVTKESFFHQFLTLRDMDPLDMLGQNPDSVFGRFCRSKYLVVVHPKMETSFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPRVEVFQVKRGSEFSEVYMDSVVKNLIMDESDQKPKVGLMVMPGFWIGGSVIQSRVYLSGMNVAD >ONI22647 pep chromosome:Prunus_persica_NCBIv2:G2:19790437:19794210:-1 gene:PRUPE_2G141900 transcript:ONI22647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGARDTQLSNNQKVHPQPMEEAMNQNPEAVEALISKVFTNISSLKSAYIQLQAAHTPYDPEKIQAADKLVISELKNLSELKHFYRENNPSPVCVSPQDSRLAAEIQEQQILLKTYGVMVKKFQSEIQNKDSEILQLQQHIEEAKQKQAKLEKNLKLRGMSTKESEGSADENGFPPVDLTSDLFTSVVEAAYKAIHDFSKPLINMMKAAGWDLDAAANSIEPSVVYAKRPHKKYAFESHICQRMFSGFQQESFSLNLDNLTVTKESFFHQFLTLRDMDPLDMLGQNPDSVFGRFCRSKYLVVVHPKMETSFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPRVEVFQVKRGSEFSEVYMDSVVKNLIMDESDQKPKVGLMVMPGFWIGGSVIQSRVYLSGMNVAD >ONI20317 pep chromosome:Prunus_persica_NCBIv2:G2:796744:798883:-1 gene:PRUPE_2G009000 transcript:ONI20317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLQSNFNQKIDYVFKVVLIGDSAVGKSQLLARFARNEFSLESKATIGVEFQTKTLVIDHKTIKAQIWDTAGQERYRAVTSAYYRGSVGAMLVYDITKHQSFDHVTKWLEELRGHADSNIVVMLVVLTEIYRILGKKSLIANDEAESEGSASLLKGTKIVVPGREPEPQGNSCCWSS >ONI20318 pep chromosome:Prunus_persica_NCBIv2:G2:796166:799366:-1 gene:PRUPE_2G009000 transcript:ONI20318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLQSNFNQKIDYVFKVVLIGDSAVGKSQLLARFARNEFSLESKATIGVEFQTKTLVIDHKTIKAQIWDTAGQERYRAVTSAYYRGSVGAMLVYDITKHQSFDHVTKWLEELRGHADSNIVVMLVGNKSDLGTLRAVPSEDAKEFAQRENLFFMEASALEATNVESAFITVLTEIYRILGKKSLIANDEAESEGSASLLKGTKIVVPGREPEPQGNSCCWSS >ONI20320 pep chromosome:Prunus_persica_NCBIv2:G2:796744:798883:-1 gene:PRUPE_2G009000 transcript:ONI20320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLQSNFNQKIDYVFKVVLIGDSAVGKSQLLARFARNEFSLESKATIGVEFQTKTLVIDHKTIKAQIWDTAGQERYRAVTSAYYRGSVGAMLVYDITKHQSFDHVTKWLEELRGHADSNIVVMLVGNKSDLGTLRAVPSEDAKEFAQRENLFFMEASALEATNVESAFITVLTEIYRILGKKSLIANDEAESEGSASLLKGTKIVVPGREPEPQGNSCCWSS >ONI20319 pep chromosome:Prunus_persica_NCBIv2:G2:796744:798883:-1 gene:PRUPE_2G009000 transcript:ONI20319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLQSNFNQKIDYVFKVVLIGDSAVGKSQLLARFARNEFSLESKATIGVEFQTKTLVIDHKTIKAQIWDTAGQERYRAVTSAYYRGSVGAMLVYDITKHQSFDHVTKWLEELRGHADSNIVVMLVGNKSDLGTLRAVPSEDAKEFAQRENLFFMEASALEATNVESAFITVLTEIYRILGKKSLIANDEAESEGSASLLKGTKIVVPGREPEPQGNSCCWSS >ONI20315 pep chromosome:Prunus_persica_NCBIv2:G2:796166:799366:-1 gene:PRUPE_2G009000 transcript:ONI20315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLQSNFNQKIDYVFKVVLIGDSAVGKSQLLARFARNEFSLESKATIGVEFQTKTLVIDHKTIKAQIWDTAGQERYRAVTSAYYRGSVGAMLVYDITKHQSFDHVTKWLEELRGHADSNIVVMLVVLTEIYRILGKKSLIANDEAESEGSASLLKGTKIVVPGREPEPQGNSCCWSS >ONI20316 pep chromosome:Prunus_persica_NCBIv2:G2:796166:799254:-1 gene:PRUPE_2G009000 transcript:ONI20316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLQSNFNQKIDYVFKVVLIGDSAVGKSQLLARFARNEFSLESKATIGVEFQTKTLVIDHKTIKAQIWDTAGQERYRAVTSAYYRGSVGAMLVYDITKHQSFDHVTKWLEELRGHADSNIVVMLVVLTEIYRILGKKSLIANDEAESEGSASLLKGTKIVVPGREPEPQGNSCCWSS >ONI21043 pep chromosome:Prunus_persica_NCBIv2:G2:5475758:5478465:1 gene:PRUPE_2G047500 transcript:ONI21043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRVASPSQLFIALLLVLSLCCLSCNAKQCEPSWCGKHNISHPFRLKHDPEKCGDSRYELSCEKNNLTVLYLYSGKYYVQAINYNNYTIRVVDANLHKGNCSSIPHYSLSSYNFSYEDPYRISQRRGKGSWWHKDDVKLSKPIIFLTCETPVNSPLYVDTAPCIDAMSSSNSNGHSYVSVGRLNASDLREFCHVELMVMISSQLTKNNSYIDIHNEMIYGFELSWLQWGCYIDSDTNKVNCFRARYFRFMYYLYDFRVYLWLLGPAIGALGARATLGAPCLIAFLIYKFKRRHLSMYGNIEEFLQSHNNLMPIRYSYSNIRKMTKGFKDKLGEGGYGSVYKGKLRSGRLVAIKMLGKSKTNGQDFINEVATIGRIHHVNVVQLIGYCVEGSKRALIYEFMSNGSLDKHIFLKEGPSSLNYKKSFEISLGVARGIDYLHRGCEMQIFHFDIKPHNILLNETFVPKVSDFGLARLCPLDESSLTLTTARGTIGYIAPELFYKNIGGVSNKADIYSFGMLLMEIAGKRKNLNAVAAHSSQIYFPSWVYDQFSEGKDIEIEDSTEEEMKITKKMLIVALWCIQMKPSERPTSMSKVVQMLEGETEALQMPPKPFMYPQDKPVVDDEDNSETTWPSSTQSNEDSKDSISLIQNADN >ONI22751 pep chromosome:Prunus_persica_NCBIv2:G2:20428145:20431091:1 gene:PRUPE_2G148600 transcript:ONI22751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVIVFTFMLLFFFLTLSLFILRIFTGKSIRNPNYPPVKGTVYHQFLYLNRLYDYQTEVAKTESTYRLLAPHHSELYTTDARNVEHVLKTNFASYSKGAYTQAILSDVFGQGIFVVDGEKWKQQRKLASFEFSTRVLRDVSCSVFRRNAAKLVKVVFEISGSNGVFDMQDLLMRCTLDSIFKVGFGIDLNCLEGSSKEGTAFMKAFDESTALSYFRYVDPFWKLKRFLNLGSEASLKKYIKVIDDFVHQVIRSKRKLLEEQKDVNDKEDILSRFLLESEKDPEEMNDKYLRDIILNFMIAGKDTSANTLSWFFYLLSKNPLIQEKVVQEVRDVVGNQIGKAKIDEFVANITDATLEQMHYLHAALTETLRLYPAVPIDGRYAEVDDILPDGFRVRKGDGVNYMTYAMGRMPYIWGKDADDFRPERWLNNGIFQPESPFKFVAFHAGPRICLGKDFAYRQMKIVAIALLCFFRFKLADETRSVTYRTMFTLHIDGSLPMIAVPRTA >ONI25920 pep chromosome:Prunus_persica_NCBIv2:G2:30133387:30136161:-1 gene:PRUPE_2G326700 transcript:ONI25920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSKSPVLLLLLALLALSLVFFLFSFHSPSPSDDPTTLLHPNHQPIYKPETSFVASLDRFLLAHKSPRRDDTVLLTTLPQHQPNQLDDLIFQTHTQRLYADPYYPLSLPIRVYVYDMPTKFTYDLLWLFRNSYRQTSNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKSVVRVHRQEEADLFYIPFFTTISFFLLEKQQCKALYREALKWVTDQPAWNRSQGRDHILPVHHPWSFKSVRRFMKNAIWLLPDMDSTGNWYKPGQVFLEKDLILPYVPNVDFCDSRCISETQSKRTTLLFFRGRLKRNAGGKIRSKLVAELSGAEGVAIEEGTAGEGGKAAAQEGMRKSVFCLSPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSSDAVQTGWLLTFLRNIRPAQIEEIRQNLAKYSRHFLYSSPAQPLGPEDLVWRMMAGKLVNIKLHTRRSQRVVKESRNICMCECKRANSTTSGHL >ONI23187 pep chromosome:Prunus_persica_NCBIv2:G2:22013686:22015908:1 gene:PRUPE_2G173900 transcript:ONI23187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQQQVEKMQLRQGFQNLWHTDLMGTVTADTPYCCFACFCGPCVSYLLRKQALYNDMSRYKCCAGFMPCSGRFGESHCPELCLGTEVCFCFGTSVSSTRFLIQDELNIKTTPCDNCMIGFMLVLSQIACIFSLIACITGNEELGELSNALSCIADTVFCSVCACIQTQHHFELNKRDGKLPPAVLGVPQVQQMSRTDEKNPPQTGYY >ONI23188 pep chromosome:Prunus_persica_NCBIv2:G2:22013884:22015011:1 gene:PRUPE_2G173900 transcript:ONI23188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQQQVEKMQLRQGFQNLWHTDLMGTVTADTPYCCFACFCGPCVSYLLRKQALYNDMSRYKCCAGFMPCSGRFGESHCPELCLGTEVCFCFGTSVSSTRFLIQDELNIKTTPCDNCMIVISLSLSLSLSVFTNLKILGSWVSQMTPRNRLSLG >ONI23870 pep chromosome:Prunus_persica_NCBIv2:G2:24489198:24494820:1 gene:PRUPE_2G213100 transcript:ONI23870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCHSFHAIAQLRKIPEQFCKPKNCSLQRSVLDSHYQAAPSTAAVPNLDKVDFLKLQNGSDIRGVAVAGVEGEPLNLTEPVSEAIAAGFAAWLLEKKKADGSRRLSISVGHDSRISAKKLEDAISRGIAGAGLDVVQYGLASTPAMFNSTVTEDDAFLCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKADIKNILERAADIYNKFTAEGLTSSKGKAVASVKRVDYMNVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAVTSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSTGLEFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKILNKIASARASGQTGGSKVLTGLLEGLQEPAFSVELRLKINQSHQDLKGGSFRDYGEAVLKHLENYIDSDPKLQKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNNDAVLLGNVVRAALKEFSALDTSALDKFVQAS >ONI23867 pep chromosome:Prunus_persica_NCBIv2:G2:24488528:24494820:1 gene:PRUPE_2G213100 transcript:ONI23867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMSGQIVENVSVSQCYQLSRKFDTHYQRDNYAPFMRNILPFQRGKLAWTAIPSMQLRNFAKYQSSFVNRRTVHCNAAPSTAAVPNLDKVDFLKLQNGSDIRGVAVAGVEGEPLNLTEPVSEAIAAGFAAWLLEKKKADGSRRLSISVGHDSRISAKKLEDAISRGIAGAGLDVVQYGLASTPAMFNSTVTEDDAFLCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKADIKNILERAADIYNKFTAEGLTSSKGKAVASVKRVDYMNVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAVTSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSTGLEFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKILNKIASARASGQTGGSKVLTGLLEGLQEPAFSVELRLKINQSHQDLKGGSFRDYGEAVLKHLENYIDSDPKLQKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNNDAVLLGNVVRAALKEFSALDTSALDKFVQAS >ONI23868 pep chromosome:Prunus_persica_NCBIv2:G2:24488528:24494820:1 gene:PRUPE_2G213100 transcript:ONI23868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPSTAAVPNLDKVDFLKLQNGSDIRGVAVAGVEGEPLNLTEPVSEAIAAGFAAWLLEKKKADGSRRLSISVGHDSRISAKKLEDAISRGIAGAGLDVVQYGLASTPAMFNSTVTEDDAFLCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKADIKNILERAADIYNKFTAEGLTSSKGKAVASVKRVDYMNVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAVTSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSTGLEFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKILNKIASARASGQTGGSKVLTGLLEGLQEPAFSVELRLKINQSHQDLKGGSFRDYGEAVLKHLENYIDSDPKLQKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNNDAVLLGNVVRAALKEFSALDTSALDKFVQAS >ONI23869 pep chromosome:Prunus_persica_NCBIv2:G2:24488890:24494820:1 gene:PRUPE_2G213100 transcript:ONI23869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQIVENVSVSQCYQLSRKFDTHYQRDNYAPFMRNILPFQRGKLAWTAIPSMQLRNFAKYQSSFVNRRTVHCNAAPSTAAVPNLDKVDFLKLQNGSDIRGVAVAGVEGEPLNLTEPVSEAIAAGFAAWLLEKKKADGSRRLSISVGHDSRISAKKLEDAISRGIAGAGLDVVQYGLASTPAMFNSTVTEDDAFLCPVDGSIMITASHLPYNRNGFKFFTNAGGLGKADIKNILERAADIYNKFTAEGLTSSKGKAVASVKRVDYMNVYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAVTSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSTGLEFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKILNKIASARASGQTGGSKVLTGLLEGLQEPAFSVELRLKINQSHQDLKGGSFRDYGEAVLKHLENYIDSDPKLQKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNNDAVLLGNVVRAALKEFSALDTSALDKFVQAS >ONI23365 pep chromosome:Prunus_persica_NCBIv2:G2:22603365:22608659:1 gene:PRUPE_2G184400 transcript:ONI23365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSAKVRLVRCPKCENLLPELADYSVYQCGGCGAVLGANKKRQEGDTLSMKSDEERVGGVSAKSDDSDNKGIVVLTDASDTDVKSSDGSLRFDLGDLEKEDVKTAEICTKQAKETTDNGAVEDGVGMSVERDELSNALGREHGDLNVELSSMSESRRSGWMADWQTWENGERERYRRHPRIDVEGMRSSTSNYPDEGPSNYHLGSSHRGGEPLRNTNDPNGANRVLYLEQDRAELLKKLDELRDQLSRSCNLVDKPKEKAPHEGGMVPPDPYGSSDASYPGASSGANRASMQYFGPSKHVTGHSHFNHFPEPYPYTNGREMPMPSFSPSMHNSNHFPGYGDPFGSQMLSGPPHPFPRQYQQPSHPYFSGQYAENSPDPYELYPHSATFHHPTCPCFYCYDKHRRASVPVPSTAFHNKRFPDFPNNPMLAQPENPGMIGPYDHNKPRTAIPPPFHVSQAHTRRPSDQPHTRWPNDLNSHMDSFAHSRPERVVLASGGRRCLPFSGGAPFVTCNNCFELLQLPKRVLIGEKNQQKMRCGACSTVIDFSVSNKKLVLSHHAEAQQNPSEVNISSNEVVKDSTSHSHGRVTRVYAHFSSDDYDNSGYDFHSIDREPVLPSTAPSSTTGKPHEMQSFHSSSPSTSEDDCNPEAPIAPKEFTNSIQQPTKATFSPPPPGSPLQEHFEFSSNSHVINRLGKGNRSSRSDQEKVKPNKVNSRQNSLKETSLATEMEVSFNEYSNTGVSQDSWDANKEEDQPRTNKGSESFITNFIKKSFRDFSKSNQTNEHGRSNVSVNGQLIADRVLKKAEKMAGTVHPGQYWYDFRAGFWGVMGGPGLGMIPPFIEEFNYPMPQNCAGGDTGIFVNGRELHQKDLDLLSSRGLPTTRDRSYIIEISGRVLDEDTGEELDCLGKLAPTVEKVKRGFGMKLPRAAA >ONI22482 pep chromosome:Prunus_persica_NCBIv2:G2:19049768:19050613:1 gene:PRUPE_2G132300 transcript:ONI22482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRKGKVSFQPEYPKPQSHTKHPIQTSCNPESTTLPLYTSQTFCDKIPKSLQTLLLSRQKISTFTSHHTLPLPIPLHVIMS >ONI24651 pep chromosome:Prunus_persica_NCBIv2:G2:26650315:26651127:-1 gene:PRUPE_2G252800 transcript:ONI24651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNGPTQIQNIRVSSSNINSRKALISKPSQDTITSFLRSLLCRTKVLKANFVSSSP >ONI24506 pep chromosome:Prunus_persica_NCBIv2:G2:26232884:26236042:1 gene:PRUPE_2G244500 transcript:ONI24506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATSEVYSGSRKLHEEETAEIPETAHQISTDSWFQVGFVLTTGINSAYVLGYSGNVMIPLGWIGGVIGLILATAISLYANALIAKLHEYGGVRHIRYRDLAGFIYGKKAYSLTWGLQYVNLFMINTGFIILAGQALKAAYVLFKDDHAMKLPHFIAISGVVCAIFAIGIPHLSALRIWLGFSTVFSLIYIVIAFVLSLQDGLEAPARSYDIPGTKISRIFTTIGAAASLVFAFNTGMLPEIQATVRKPVVENMMKALYFQFTAGVVPLYAVCFVGYWAYGNKTSSYLLNNVNGPIWVKAMANIAAFLQTVIALHIFASPMYEYLDTKFGIKGSPLKIQNLTFRITVRGGYLAINTLVSALLPFLGDFMSLTGAISTFPLTFILANHMYLVAKKDTLTSAQKLWHWLNVGFFALLAVAALISALRLIAVDSKTYHVFADL >ONI24507 pep chromosome:Prunus_persica_NCBIv2:G2:26232912:26235885:1 gene:PRUPE_2G244500 transcript:ONI24507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATSEVYSGSRKLHEEETAEIPETAHQISTDSWFQVGFVLTTGINSAYVLGYSGNVMIPLGWIGGVIGLILATAISLYANALIAKLHEYGGVRHIRYRDLAGFIYGKKAYSLTWGLQYVNLFMINTGFIILAGQALKAAYVLFKDDHAMKLPHFIAISGVVCAIFAIGIPHLSALRIWLGFSTVFSLIYIVIAFVLSLQDGLEAPARSYDIPGTKISRIFTTIGAAASLVFAFNTGMLPEIQATVRKPVVENMMKALYFQFTAGVVPLYAVCFVGYWAYGNKTSSYLLNNVNGPIWVKAMANIAAFLQTVIALHIFASPMYEYLDTKFGIKGSPLKIQNLTFRITVRGGYLAINTLVSALLPFLGDFMSLTGAISTFPLTFILANHMYLVAKKDTLTSAQKLWHWLNVGFFALLAVAALISALRLIAVDSKTYHVFADL >ONI24508 pep chromosome:Prunus_persica_NCBIv2:G2:26232908:26235893:1 gene:PRUPE_2G244500 transcript:ONI24508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATSEVYSGSRKLHEEETAEIPETAHQISTDSWFQVGFVLTTGINSAYVLGYSGNVMIPLGWIGGVIGLILATAISLYANALIAKLHEYGGVRHIRYRDLAGFIYGKKAYSLTWGLQYVNLFMINTGFIILAGQALKAAYVLFKDDHAMKLPHFIAISGVVCAIFAIGIPHLSALRIWLGFSTVFSLIYIVIAFVLSLQDGLEAPARSYDIPGTKISRIFTTIGAAASLVFAFNTGMLPEIQATVRKPVVENMMKALYFQFTAGVVPLYAVCFVGYWAYGNKTSSYLLNNVNGPIWVKAMANIAAFLQTVIALHIFASPMYEYLDTKFGIKGSPLKIQNLTFRITVRGGYLAINTLVSALLPFLGDFMSLTGAISTFPLTFILANHMYLVAKKDTLTSAQKLWHWLNVGFFALLAVAALISALRLIAVDSKTYHVFADL >ONI24511 pep chromosome:Prunus_persica_NCBIv2:G2:26233377:26235751:1 gene:PRUPE_2G244500 transcript:ONI24511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATSEVYSGSRKLHEEETAEIPETAHQISTDSWFQVGFVLTTGINSAYVLGYSGNVMIPLGWIGGVIGLILATAISLYANALIAKLHEYGGVRHIRYRDLAGFIYGKKAYSLTWGLQYVNLFMINTGFIILAGQALKAAYVLFKDDHAMKLPHFIAISGVVCAIFAIGIPHLSALRIWLGFSTVFSLIYIVIAFVLSLQDGLEAPARSYDIPGTKISRIFTTIGAAASLVFAFNTGMLPEIQATVRKPVVENMMKALYFQFTAGVVPLYAVCFVGYWAYGNKTSSYLLNNVNGPIWVKAMANIAAFLQTVIALHVCLFLFFLSTPDFLLLENLISCSYCCL >ONI24510 pep chromosome:Prunus_persica_NCBIv2:G2:26232757:26235901:1 gene:PRUPE_2G244500 transcript:ONI24510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATSEVYSGSRKLHEEETAEIPETAHQISTDSWFQVGFVLTTGINSAYVLGYSGNVMIPLGWIGGVIGLILATAISLYANALIAKLHEYGGVRHIRYRDLAGFIYGKKAYSLTWGLQYVNLFMINTGFIILAGQALKAAYVLFKDDHAMKLPHFIAISGVVCAIFAIGIPHLSALRIWLGFSTVFSLIYIVIAFVLSLQDGLEAPARSYDIPGTKISRIFTTIGAAASLVFAFNTGMLPEIQATVRKPVVENMMKALYFQFTAGVVPLYAVCFVGYWAYGNKTSSYLLNNVNGPIWVKAMANIAAFLQTVIALHIFASPMYEYLDTKFGIKGSPLKIQNLTFRITVRGGYLAINTLVSALLPFLGDFMSLTGAISTFPLTFILANHMYLVAKKDTLTSAQKLWHWLNVGFFALLAVAALISALRLIAVDSKTYHVFADL >ONI24509 pep chromosome:Prunus_persica_NCBIv2:G2:26232968:26235884:1 gene:PRUPE_2G244500 transcript:ONI24509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATSEVYSGSRKLHEEETAEIPETAHQISTDSWFQVGFVLTTGINSAYVLGYSGNVMIPLGWIGGVIGLILATAISLYANALIAKLHEYGGVRHIRYRDLAGFIYGKKAYSLTWGLQYVNLFMINTGFIILAGQALKAAYVLFKDDHAMKLPHFIAISGVVCAIFAIGIPHLSALRIWLGFSTVFSLIYIVIAFVLSLQDGLEAPARSYDIPGTKISRIFTTIGAAASLVFAFNTGMLPEIQATVRKPVVENMMKALYFQFTAGVVPLYAVCFVGYWAYGNKTSSYLLNNVNGPIWVKAMANIAAFLQTVIALHIFASPMYEYLDTKFGIKGSPLKIQNLTFRITVRGGYLAINTLVSALLPFLGDFMSLTGAISTFPLTFILANHMYLVAKKDTLTSAQKLWHWLNVGFFALLAVAALISALRLIAVDSKTYHVFADL >ONI23847 pep chromosome:Prunus_persica_NCBIv2:G2:24394167:24397697:-1 gene:PRUPE_2G211800 transcript:ONI23847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGNKDQNGNGMESFCVGHDQLHGTQDPLNWGMAAESLKGSHLDEVKRMVNEYRKPVVRLGGETLTIAQVAAIANHDSGVHVELSEDARAGVKASSDWVMDSMSKGTDSYGVTTGFGATSHRRTKQGGALQRELIRFLNAGIFGSSTESTHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKFLNNNITPCLPLRGTITASGDLVPLSYIAGLLIGRPNSKSTGPNGETLTAADAFKLAGVNGGFFELQPKEGLALVNGTAVGSGLASMVLFEANTQAVLAEPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVAMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLTGSSNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTYLVALCQAVDLRHLEENLKSTVKSTVSQVAKRVLTVGFNGELHPSRFCEKDLLKVVDREYVFAYIDDPCSATYPLMQKLRHVLVEHALNNGEKEKSSSTSIFQKITAFEEELKTLLPKEVESARLEYDNGKSATPNRIKDCRSYPLYKFVREELGTALLTGDKVRSPGEESDKVFNAICAGKFIDPLLDCLKEWNGAPLPIS >ONI23848 pep chromosome:Prunus_persica_NCBIv2:G2:24394185:24397665:-1 gene:PRUPE_2G211800 transcript:ONI23848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGNKDQNGNGMESFCVGHDQLHGTQDPLNWGMAAESLKGSHLDEVKRMVNEYRKPVVRLGGETLTIAQVAAIANHDSGVHVELSEDARAGVKASSDWVMDSMSKGTDSYGVTTGFGATSHRRTKQGGALQRELIRFLNAGIFGSSTESTHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKFLNNNITPCLPLRGTITASGDLVPLSYIAGLLIGRPNSKSTGPNGETLTAADAFKLAGVNGGFFELQPKEGLALVNGTAVGSGLASMVLFEANTQAVLAEVMSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILAGSDYVKAAEKVHDLDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVAMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLTGSSNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTYLVALCQAVDLRHLEENLKSTVKSTVSQVAKRVLTVGFNGELHPSRFCEKDLLKVVDREYVFAYIDDPCSATYPLMQKLRHVLVEHALNNGEKEKSSSTSIFQKITAFEEELKTLLPKEVESARLEYDNGKSATPNRIKDCRSYPLYKFVREELGTALLTGDKVRSPGEESDKVFNAICAGKFIDPLLDCLKEWNGAPLPIS >ONI23849 pep chromosome:Prunus_persica_NCBIv2:G2:24393791:24397929:-1 gene:PRUPE_2G211800 transcript:ONI23849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRINTLLQGYSGIRFEILEAITKFLNNNITPCLPLRGTITASGDLVPLSYIAGLLIGRPNSKSTGPNGETLTAADAFKLAGVNGGFFELQPKEGLALVNGTAVGSGLASMVLFEANTQAVLAEVMSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILAGSDYVKAAEKVHDLDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVAMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLTGSSNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTYLVALCQAVDLRHLEENLKSTVKSTVSQVAKRVLTVGFNGELHPSRFCEKDLLKVVDREYVFAYIDDPCSATYPLMQKLRHVLVEHALNNGEKEKSSSTSIFQKITAFEEELKTLLPKEVESARLEYDNGKSATPNRIKDCRSYPLYKFVREELGTALLTGDKVRSPGEESDKVFNAICAGKFIDPLLDCLKEWNGAPLPIS >ONI23997 pep chromosome:Prunus_persica_NCBIv2:G2:24754969:24756941:1 gene:PRUPE_2G217700 transcript:ONI23997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKAQGLFPNSEAKGKSSEKALNETPNSELRHDTFDAMATPFLAGLAVAATALAGRYGIQAWHAFKTRPPKPRMRKFYEGGFQQTMTKREAALILGIRENAPADKVKEAHRKVMVANHPDAGGSHYLASKINEAKDVMLGKTKGSGSAF >ONI22469 pep chromosome:Prunus_persica_NCBIv2:G2:18964628:18965376:1 gene:PRUPE_2G131400 transcript:ONI22469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAASLVQQKIRLFVQKLGKGFHSKKAYKKLEHASESSKNKHKDRDQVQVPEGYVAVYVGEELRKYKVSLKCVSCPAFQELIIESMPDVLDVKIEGPIMLSSCPTERFDVLIRLHAWDIACMEV >ONI20503 pep chromosome:Prunus_persica_NCBIv2:G2:1911426:1914362:1 gene:PRUPE_2G019500 transcript:ONI20503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQFSSGLELANVLLTSEPLHQSWDAIQNDKQKVNPNAQPTLHINTTQANLTIITFLTSPMSLRGQEGLILSSTLEERNLPDFEFLCNKSNPSFSINEAAIKLFASRFDELRRLKTEISRSNSLVIITGHSMGGCVATLFTLWLLESLNLSKAKRPLCITFGSPLIGDEHLRKCVSQFPTWTSCFLHVASIQDPVPKLFLSPNPTALGTGTQVSAYKPFGTFLLCSDSGCACFEDPDSILVLVAANSQGDQTQYPNVGIQFFDYGQLLERLKLKAFCKDVFELAESDRIPLKASIITQLAAIFGVPKSQALQQQRPNINILIMKMETREYKLAIQKTKTSNAAKKLNDIKVSMVYLEWYKKDSKGREIGYYDMYKNKWNRSDINVEEFKKKLSNYWQDSVEEVENKPQKEGTAFRTRWLMGGTTYRRMMEPLHIAEYYKDKDGKNYREERLKHFILLEKWLKEEEERKVAERIRRGETVEEGPSKSKALNVASSLTDDSCFWAHVEEALILCNQLENGQPSLREQCKQKLIEFEEYVLDALKNFAVTPDIFLKYSSFMAWWKQYNKIVGSSTTQLARIMTDGTYRDYEKGVKVVF >ONI22087 pep chromosome:Prunus_persica_NCBIv2:G2:16409288:16410010:1 gene:PRUPE_2G106100 transcript:ONI22087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRAKLASDKYPLRVKHLISIVCKGCSWDAINLPSLSPVRRLPHNFPLITISNPHVVTKTLNSKIHAPSRPLSQNKTTQFKFQQTKLNPQKYSNQAHNSAQWKPQKIRNIQILKEKNRVFRVT >ONI23568 pep chromosome:Prunus_persica_NCBIv2:G2:23331254:23335455:1 gene:PRUPE_2G195700 transcript:ONI23568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINLFKLCSGLKVIGYFMILLVAAIISLSYYAVVVVTWGPELLSGGLHSFLAFFIIILFHFLLIMLLWSYFMVVFKDPGSVPNNWKPLTEEEVLEAGSSLTLSESIEPEAFTSTHSADGRERRPQVGYCSRCQNGKPPRCHHCSVCQRCVLKMDHHCVWVVNCVGARNYKFFLLFLLYTFLETTMDTFILLPNFIDFFSEAKNHSTSPGNLAVIFLTFVLNLAFALSLLCFVVMHVSLLSSNTTTIELASCCSSCFPIYELIFT >ONI23567 pep chromosome:Prunus_persica_NCBIv2:G2:23331254:23335455:1 gene:PRUPE_2G195700 transcript:ONI23567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINLFKLCSGLKVIGYFMILLVAAIISLSYYAVVVVTWGPELLSGGLHSFLAFFIIILFHFLLIMLLWSYFMVVFKDPGSVPNNWKPLTEEEVLEAGSSLTLSESIEPEAFTSTHSADGRERRPQVGYCSRCQNGKPPRCHHCSVCQRCVLKMDHHCVWVVNCVGARNYKFFLLFLLYTFLETTMDTFILLPNFIDFFSEAKNHSTSPGNLAVIFLTFVLNLAFALSLLCFVVMHVSLLSSNTTTIEVHEKRRGIRWKYDLGRKKNFEQVFGTKKALWFFPLVSKEDLNNIPALRGLEFPTLSDGEG >ONI23569 pep chromosome:Prunus_persica_NCBIv2:G2:23331683:23334094:1 gene:PRUPE_2G195700 transcript:ONI23569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINLFKLCSGLKVIGYFMILLVAAIISLSYYAVVVVTWGPELLSGGLHSFLAFFIIILFHFLLIMLLWSYFMVVFKDPGSVPNNWKPLTEEEVLEAGSSLTLSESIEPEAFTSTHSADGRERRPQVGYCSRCQNGKPPRCHHCSVCQRCVLKMDHHCVWVVNCVGARNYKFFLLFLLYTFLETTMDTFILLPNFIDFFSEAKNHSTSPGNLAVIFLTFGNIPPVRSFSCPHIGSASAFIIYLFLTYCVLCCSA >ONI22875 pep chromosome:Prunus_persica_NCBIv2:G2:20973060:20976732:1 gene:PRUPE_2G156200 transcript:ONI22875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALAVLYLNKAEARDKICRAIQYGSKFLSNGEPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPTPPGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERADLIGRISLFCWMGSSVCTTLVEIGEIGRLSGQLKKLEKDLKNSDKYQNEQYRAKLKKSNERSLALVKAAMDTVVAAGLLQLAPKKITPRVTGALGFTTSLISCYQLLPAPAKSKTA >ONI22872 pep chromosome:Prunus_persica_NCBIv2:G2:20973398:20976370:1 gene:PRUPE_2G156200 transcript:ONI22872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALAVLYLNKAEARDKICRAIQYGSKFLSNGEPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPTPPGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERADLIGRISLFCWMGSSVCTTLVEIGEIGRLSGQLKKLEKDLKNSDKYQNEQYRAKLKKSNERSLALVKAAMDTVVAAGLLQLAPKKITPRVTGALGFTTSLISCYQLLPAPAKSKTA >ONI22876 pep chromosome:Prunus_persica_NCBIv2:G2:20973060:20976400:1 gene:PRUPE_2G156200 transcript:ONI22876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALAVLYLNKAEARDKICRAIQYGSKFLSNGEPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPTPPGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERADLIGRISLFCWMGSSVCTTLVEIGEIGRLSGQLKKLEKDLKNSDKYQNEQYRAKLKKSNERSLALVKAAMDTVVAAGLLQLAPKKITPRVTGALGFTTSLISCYQLLPAPAKSKTA >ONI22874 pep chromosome:Prunus_persica_NCBIv2:G2:20973608:20976370:1 gene:PRUPE_2G156200 transcript:ONI22874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALAVLYLNKAEARDKICRAIQYGSKFLSNGEPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPTPPGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERADLIGRISLFCWMGSSVCTTLVEIGEIGRLSGQLKKLEKDLKNSDKYQNEQYRAKLKKSNERSLALVKAAMDTVVAAGLLQLAPKKITPRVTGALGFTTSLISCYQLLPAPAKSKTA >ONI22873 pep chromosome:Prunus_persica_NCBIv2:G2:20973118:20976407:1 gene:PRUPE_2G156200 transcript:ONI22873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLDATRAELALAVLYLNKAEARDKICRAIQYGSKFLSNGEPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPTPPGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERADLIGRISLFCWMGSSVCTTLVEIGEIGRLSGQLKKLEKDLKNSDKYQNEQYRAKLKKSNERSLALVKAAMDTVVAAGLLQLAPKKITPRVTGALGFTTSLISCYQLLPAPAKSKTA >ONI22632 pep chromosome:Prunus_persica_NCBIv2:G2:19752035:19753206:1 gene:PRUPE_2G141100 transcript:ONI22632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPPVRPPRIIKYLKPYVLKMHFTNKFVTAQVIHTPTATVASSASSQEKALRESMEIRRDVAAAAKIGKILGERLLLKNIPAVSVQLKKEQKYHGKVKAVVDSVVEAGVKLL >ONI24156 pep chromosome:Prunus_persica_NCBIv2:G2:25323727:25332272:-1 gene:PRUPE_2G227400 transcript:ONI24156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITYASPSSTDFADGSSMPTSTRPVRIIPLQHPSTTSSSSSASSSTWAALSRWKSKVQSMTWVEWLEVFLPCTRWIRTYKWREYLQVDLMAGITVGVMLVPQSMSYAKLAGLEPIYGLYSGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLSGIVDSSDELYTELAILLAFMVGVMECLLGLFRLGWIIRFISHSVISGFTTASAIVIALSQAKYFLGYNVARSSKIVPLIKSIISGADGFSWPPFVMGSVILAILLIMKHLGKTRKYLRFLRAAGPLTAVLSGTIFVKIFNPSSISLESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPTTGSFSRSAVNHESGAKSGLSGLVMGVLMGCALLFMTPLFEYIPQCALAAIVISAVIGLVDYEEAIFLWGVDKKDFLLWTITSTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNTQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVEVDRSTSRGPEVERIYFVIIEMAPVTYIDSSAVQALKDLYQEYKLRDIQIAISNPNREVLMTLSRAGVVDLIGKEWYFVRVHDAVQVCLQHVQSLKETPKAADPSSEERLSPFQRLIKQRAEDSSVAELESGSKDIDPQLEPLLSRKSS >ONI24159 pep chromosome:Prunus_persica_NCBIv2:G2:25323985:25330336:-1 gene:PRUPE_2G227400 transcript:ONI24159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNWLDLNQYTDSADSGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLSGIVDSSDELYTELAILLAFMVGVMECLLGLFRLGWIIRFISHSVISGFTTASAIVIALSQAKYFLGYNVARSSKIVPLIKSIISGADGFSWPPFVMGSVILAILLIMKHLGKTRKYLRFLRAAGPLTAVLSGTIFVKIFNPSSISLVGDIPQGLPSFSIPRAFGYATSLITTALLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPTTGSFSRSAVNHESGAKSGLSGLVMGVLMGCALLFMTPLFEYIPQCALAAIVISAVIGLVDYEEAIFLWGVDKKDFLLWTITSTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNTQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVEVDRSTSRGPEVERIYFVIIEMAPVTYIDSSAVQALKDLYQEYKLRDIQIAISNPNREVLMTLSRAGVVDLIGKEWYFVRVHDAVQVCLQHVQSLKETPKAADPSSEERLSPFQRLIKQRAEDSSVAELESGSKDIDPQLEPLLSRKSS >ONI24158 pep chromosome:Prunus_persica_NCBIv2:G2:25323727:25330412:-1 gene:PRUPE_2G227400 transcript:ONI24158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQCLMQNWLDLNQYTDSADSGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLSGIVDSSDELYTELAILLAFMVGVMECLLGLFRLGWIIRFISHSVISGFTTASAIVIALSQAKYFLGYNVARSSKIVPLIKSIISGADGFSWPPFVMGSVILAILLIMKHLGKTRKYLRFLRAAGPLTAVLSGTIFVKIFNPSSISLVGDIPQGLPSFSIPRAFGYATSLITTALLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPTTGSFSRSAVNHESGAKSGLSGLVMGVLMGCALLFMTPLFEYIPQCALAAIVISAVIGLVDYEEAIFLWGVDKKDFLLWTITSTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNTQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVEVDRSTSRGPEVERIYFVIIEMAPVTYIDSSAVQALKDLYQEYKLRDIQIAISNPNREVLMTLSRAGVVDLIGKEWYFVRVHDAVQVCLQHVQSLKETPKAADPSSEERLSPFQRLIKQRAEDSSVAELESGSKDIDPQLEPLLSRKSS >ONI24157 pep chromosome:Prunus_persica_NCBIv2:G2:25323985:25332003:-1 gene:PRUPE_2G227400 transcript:ONI24157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITYASPSSTDFADGSSMPTSTRPVRIIPLQHPSTTSSSSSASSSTWAALSRWKSKVQSMTWVEWLEVFLPCTRWIRTYKWREYLQVDLMAGITVGVMLVPQSMSYAKLAGLEPIYGLYSGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLSGIVDSSDELYTELAILLAFMVGVMECLLGLFRLGWIIRFISHSVISGFTTASAIVIALSQAKYFLGYNVARSSKIVPLIKSIISGADGFSWPPFVMGSVILAILLIMKHLGKTRKYLRFLRAAGPLTAVLSGTIFVKIFNPSSISLVGDIPQGLPSFSIPRAFGYATSLITTALLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANIFGSFFSAYPTTGSFSRSAVNHESGAKSGLSGLVMGVLMGCALLFMTPLFEYIPQCALAAIVISAVIGLVDYEEAIFLWGVDKKDFLLWTITSTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNTQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVEVDRSTSRGPEVERIYFVIIEMAPVTYIDSSAVQALKDLYQEYKLRDIQIAISNPNREVLMTLSRAGVVDLIGKEWYFVRVHDAVQVCLQHVQSLKETPKAADPSSEERLSPFQRLIKQRAEDSSVAELESGSKDIDPQLEPLLSRKSS >ONI24614 pep chromosome:Prunus_persica_NCBIv2:G2:26501547:26503485:-1 gene:PRUPE_2G249900 transcript:ONI24614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRRRLVSAAAVLWVLLLFGTLFVVVTRFGDDGALHEPKIGKSEILEEEDLEQVTHNVYFDIEINGKPIGEKGTGMSRKPLHYKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGDSFADENFKLQHTGPGILSMANAGPDTNGSQFFITTVKTSWLDGRHVVFGKVLSGMDVVYKVEEVGGQNGVPKSRVVITDSGELPL >ONI24615 pep chromosome:Prunus_persica_NCBIv2:G2:26501548:26503485:-1 gene:PRUPE_2G249900 transcript:ONI24615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRRRLVSAAAVLWVLLLFGTLFVVVTRFGDDGALHEPKIGKSEILEEEDLEQVTHNVYFDIEINGKPIGRIVMGLFGKTVPKTIENFRALCTGEKGTGMSRKPLHYKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGDSFADENFKLQHTGPGILSMANAGPDTNGSQFFITTVKTSWLDGRHVVFGKVLSGMDVVYKVEEVGGQNGVPKSRVVITDSGELPL >ONI20291 pep chromosome:Prunus_persica_NCBIv2:G2:685535:691378:-1 gene:PRUPE_2G007300 transcript:ONI20291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQTFLARKGPLGTVWCAAHLQSRLKKSHYTSTDIPSTVDRIMFPDVPIALRMSGHLLVGVVRIYSKKVDYLYQDCNVVLTSLRKAFASIDLNLPENARQAPVQSITLPDTFDLDALDLDTDLFCEGAHDNHLRSEEDITLTDQIPIGTNPYVAITFDEDIQMYLSHPKEVFGSDATPMDEDILHTPPAEGAPSPGNQTDEQIRFSDDFTLPNFPEVEVPQHVGFEDPVPTNQRESIDLRDRDDGSPEHVQEMEVLRDAVPDFSSEILPPVSPNNRDDVTEPHRSVDPERSEKDILSPIMEETIPSGGPSPLFQPSSGPPASVASLPEGLQNIDTHVSFELQPTPPPEQPRARPRKRKQYFDETLVLSNEFMNKTIQDTSDLLRKRRNIPCSALGVWKLNNSLRKEQIFFQPSLSGLCSDLRNISNKDYISTKSHLFEEALPDSRPTRSPSPTTEAFSEARVAQSPRPFTTEISPERKVARSLPVTEASTEYRGAQSPATQCNPEIDQLRHVEEHDGESILPEFIPSPRFMPSPRRDDLTPAPSPYIRSASVPPLETSAGTQILQTPDLPSSIGFHESVFETPRTIFEEQLRPENTGLSDTPDLRNTAEAEDLYFLEADSSTPAGSQATQGVPGSQGTHAVDSLSVRTRAVAQYLKRQSPITSTSEDLFGDLSLDKILEGKTRKLCARMFYETLVLKSFDLVDVKQEVPYGDISLKLTQTLSKVQI >ONI20293 pep chromosome:Prunus_persica_NCBIv2:G2:684995:691657:-1 gene:PRUPE_2G007300 transcript:ONI20293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQTFLARKGPLGTVWCAAHLQSRLKKSHYTSTDIPSTVDRIMFPDVPIALRMSGHLLVGVVRIYSKKVDYLYQDCNVVLTSLRKAFASIDLNLPENARQAPVQSITLPDTFDLDALDLDTDLFCEGAHDNHLRSEEDITLTDQIPIGTNPYVAITFDEDIQMYLSHPKEVFGSDATPMDEDILHTPPAEGAPSPGNQTDEQIRFSDDFTLPNFPEVEVPQHVGFEDPVPTNQRESIDLRDRDDGSPEHVQEMEVLRDAVPDFSSEILPPVSPNNRDDVTEPHRSVDPERSEKDILSPIMEETIPSGGPSPLFQPSSGPPASVASLPEGLQNIDTHVSFELQPTPPPEQPRARPRKRKQYFDETLVLSNEFMNKTIQDTSDLLRKRRNIPCSALGVWKLNNSLRKEQIFFQPSLSGLCSDLRNISNKDYISTKSHLFEEALPDSRPTRSPSPTTEAFSEARVAQSPRPFTTEISPERKVARSLPVTEASTEYRGAQSPATQCNPEIDQLRHVEEHDGESILPEFIPSPRFMPSPRRDDLTPAPSPYIRSASVPPLETSAGTQILQTPDLPSSIGFHESVFETPRTIFEEQLRPENTGLSDTPDLRNTAEAEDLKRHKEYQDLKGHMQLIHCLLEPGLWLSI >ONI20290 pep chromosome:Prunus_persica_NCBIv2:G2:684998:691657:-1 gene:PRUPE_2G007300 transcript:ONI20290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQTFLARKGPLGTVWCAAHLQSRLKKSHYTSTDIPSTVDRIMFPDVPIALRMSGHLLVGVVRIYSKKVDYLYQDCNVVLTSLRKAFASIDLNLPENARQAPVQSITLPDTFDLDALDLDTDLFCEGAHDNHLRSEEDITLTDQIPIGTNPYVAITFDEDIQMYLSHPKEVFGSDATPMDEDILHTPPAEGAPSPGNQTDEQIRFSDDFTLPNFPEVEVPQHVGFEDPVPTNQRESIDLRDRDDGSPEHVQEMEVLRDAVPDFSSEILPPVSPNNRDDVTEPHRSVDPERSEKDILSPIMEETIPSGGPSPLFQPSSGPPASVASLPEGLQNIDTHVSFELQPTPPPEQPRARPRKRKQYFDETLVLSNEFMNKTIQDTSDLLRKRRNIPCSALGVWKLNNSLRKEQIFFQPSLSGLCSDLRNISNKDYISTKSHLFEEALPDSRPTRSPSPTTEAFSEARVAQSPRPFTTEISPERKVARSLPVTEASTEYRGAQSPATQCNPEIDQLRHVEEHDGESILPEFIPSPRFMPSPRRDDLTPAPSPYIRSASVPPLETSAGTQILQTPDLPSSIGFHESVFETPRTIFEEQLRPENTGLSDTPDLRNTAEAEDLYFLEADSSTPAGSQATQGVPGSQGTHAVDSLSVRTRAVAQYLKRQSPITSTSEDLFGDLSLDKILEGKTRKLCARMFYETLVLKSFDLVDVKQEVPYGDISLKLTQTLSKVQI >ONI20292 pep chromosome:Prunus_persica_NCBIv2:G2:686290:691378:-1 gene:PRUPE_2G007300 transcript:ONI20292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQTFLARKGPLGTVWCAAHLQSRLKKSHYTSTDIPSTVDRIMFPDVPIALRMSGHLLVGVVRIYSKKVDYLYQDCNVVLTSLRKAFASIDLNLPENARQAPVQSITLPDTFDLDALDLDTDLFCEGAHDNHLRSEEDITLTDQIPIGTNPYVAITFDEDIQMYLSHPKEVFGSDATPMDEDILHTPPAEGAPSPGNQTDEQIRFSDDFTLPNFPEVEVPQHVGFEDPVPTNQRESIDLRDRDDGSPEHVQEMEVLRDAVPDFSSEILPPVSPNNRDDVTEPHRSVDPERSEKDILSPIMEETIPSGGPSPLFQPSSGPPASVASLPEGLQNIDTHVSFELQPTPPPEQPRARPRKRKQYFDETLVLSNEFMNKTIQDTSDLLRKRRNIPCSALGVWKLNNSLRKEQIFFQPSLSGLCSDLRNISNKDYISTKSHLFEEALPDSRPTRSPSPTTEAFSEARVAQSPRPFTTEISPERKVARSLPVTEASTEYRGAQSPATQCNPEIDQLRHVEEHDGESILPEFIPSPRFMPSPRRDDLTPAPSPYIRSASVPPLETSAGTQILQTPDLPSSIGFHESVFETPRTIFEEQLRPENTGLSDTPDLRNTAEAEDLKRHKEYQDLKGHMQLIHCLLEPGLWLSI >ONI25044 pep chromosome:Prunus_persica_NCBIv2:G2:27807249:27811825:1 gene:PRUPE_2G276900 transcript:ONI25044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGAARNLTEEERKGYKEITWDDKEVCGAYMVRFCPHDLFINTRSDLGPCPKIHDPKLKESFEESPRHDAYVPKFEAELAQFCEKLVMDLDRRVKRGRERLAQEVEPAPAPPLSAEKSEQLSVLEEKIKNLLEQVETLGEAGKVDEAEALMRKVDMLNSEKTALAQHPQNDKVLMLAQEKKMALCEICGSFLVANDALERTQSHVTGKQHIGYGMVRDFITEYKETKEKAREEERLAREKEAEERRKQREKENESRRRSNSSDRDRYRDRDRDRERDRYRERDSDRERSREWNGRGSRDGGRGADWRSRNGRDGGRDRYRDRSRSRSPVRHSHRRSPRSPVRPY >ONI25043 pep chromosome:Prunus_persica_NCBIv2:G2:27807249:27811825:1 gene:PRUPE_2G276900 transcript:ONI25043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGAARNLTEEERKGYKEITWDDKEVCGAYMVRFCPHDLFINTRSDLGPCPKIHDPKLKESFEESPRHDAYVPKFEAELAQFCEKLVMDLDRRVKRGRERLAQEVEPAPAPPLSAEKSEQLSVLEEKIKNLLEQVETLGEAGKVDEAEALMRKVDMLNSEKTALAQHPQNDKVLMLAQEKKMALCEICGSFLVANDALERTQSHVTGKQHIGYGMVRDFITEYKETKEKAREEERLAREKEAEERRKQREKENESRRRSNSSDRDRYRDRDRDRERDRYRERDSDRERSREWNGRGSRDGGRGADWRSRNGRDGGRDRYRDRSRSRSPVRHSHRRSPRSPVRPY >ONI20287 pep chromosome:Prunus_persica_NCBIv2:G2:676722:679983:-1 gene:PRUPE_2G007100 transcript:ONI20287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFLCEITALFLFCRFGIGFFTNSMPQVLFLSTGDFVRAKSLIDEMLRSRRYEDSPHDERDDDQNQTDGNPLKPNLITHTTLISSYCKQKGLEEALSLYEEMVMNGIYPDVVIYSSIINGLCKHGRLSEAKVLLREMEKMGVDPNHVSYTTLVDSLFKAGSFMEALTLQSQMVVRGLVFDIVICTALVVGLFKVGKADEAKTFFRTISKLSLVPNSITYSALISGLCNLGDMNSAESVLKEMEEKHVLPNIVTYSAIINGFMKKGKVGEAMNLLRTMVQQNILPNAFVYAALIDGCFKAGKQEFALDLYKEMKMGGLEENNFILDTFVNNKKKCRRMEEAEGLIMDMTSGLSLDCVNYTSLMDGYFKARKESIALNLAQEMMEKNIGFDVVAYNVLMNGLLKLGKYEAKSVCIGMKELGLAPDCATYNTMINAFCREGDTENAFKLWHEMKCQGLISNSITCDILLRGLCDKNEIEKALDVLDGMLAVGFLLTSFTHRILLYAASKSGRADTILQMHHKLVNMGLNPTRDVYNNLITILCRLGMTRKATSVLKDMTGGGFLADTDTYNALICGYCISSHLKRAFATYSQMLAVGVSPSIETYNFLLGGLSGAGLMTKAEELFGEMKNRGFVPNASTYDILVSGHGKIGNKKEAIRLYCEMVGTGFVPRTSTYNVLISDFAKVGKMSQARELMNEMQTRGTSPNSSTYNILICGWCKLSKHPELERNLKRSYRDEAKRLLTDMNEKGYVPCESTLRCISSTFARPGKKADARRLLKELYIKKNI >ONI25470 pep chromosome:Prunus_persica_NCBIv2:G2:29097018:29097951:-1 gene:PRUPE_2G305100 transcript:ONI25470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNSQTPLLLLVLSAQLVLIRLCHASGVAVYWGQNGNEGTLAETCATGKYKYVNIAFLNKFGNGQTPEINLAGHCNPASNGCTIVSSDITSCQSQGVKVLLSLGGGIGNYSLASPADARAVADYLWHNFLGGKKSTSRPLGDAVLDGIDFDIELGSTQYWDVLARSLKAYSKPRRAVYLAGAPQCPFPDKFLGGALNTGLFDYVWVQFYNNPQCQYSSGNTDNLINSWNKWTTSIKAGLIFLGLPAAPEAAGSGFIPATVLNSEVLPVIKKSPKYGGVMLWSKFYDDQSGYSSSIIENV >ONI20514 pep chromosome:Prunus_persica_NCBIv2:G2:1992445:1999999:1 gene:PRUPE_2G020100 transcript:ONI20514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSRSSVIIVGAGVSGLSAAKVLIENGVEDVVILEASDRIGGRIRKQDFGGLSVELGAGWIVGVGGRESNPVWELAQKSNLRTFFSDYSNARFNIYDQSGKIFPSGIAADSYKKAVESAMQKLKKSEADSCYGGGDVTKAAESSSTPKTPIELAIDFILHDFEMPEGEPISTFQDFGEREFLVADERGYEHLLYKMAGEFLFTSEGKLLDNRLKFNKVVRELQHSRNGVTVMTEDGCVYEASYVILSVSIGVLQSELIAFNPPLPRWKTEAIQKCDVIVYTKIFLKFPYKFWPCGPGKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNEESKRVEAQSDKETLKEAMGALRDMFGLNIPEATDIFVPRWWNNRFQRGSYSNYPVISNGQVVRDIKAPLGCIFFSGEHTSERYSGYVHGGYLAGIETGKALLEEIEKEKERSIESENQTFLLEPLLALTGSLSLTQNDAVSSLKCDIPRQLYLSGKVGAPELYYDYVDL >ONI20648 pep chromosome:Prunus_persica_NCBIv2:G2:2814881:2816099:1 gene:PRUPE_2G027300 transcript:ONI20648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVQNSFLYNISKNSGSPTSVAFSAVVHLKQAFGSFQVLFELVISLWELHVGFRTNIKLPKQEQFEFKGVEFK >ONI20649 pep chromosome:Prunus_persica_NCBIv2:G2:2814881:2822428:1 gene:PRUPE_2G027300 transcript:ONI20649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVQNSFLYNISKNSGSPTSVAFSAVVHLKQAFGSFQVLFELVISLWELHVGFRTNIKLPKQEQFEFKGVEFK >ONI25078 pep chromosome:Prunus_persica_NCBIv2:G2:27910351:27912256:1 gene:PRUPE_2G278900 transcript:ONI25078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRRSASGRPTGTDGSDFNYRMVVDSRYQKVAKGKSRLSALILTQAVIQLIGVLAFLFTSNGEGPNVIAISSFAIGSISLIIGELGRRRSRVSLLKVYIVASSIGILLSIACVATGNLTLEVFQNPSNWETKKFELLEATRTAIAFLVQIFTVSTTASLISNMSPPKRAS >ONI22603 pep chromosome:Prunus_persica_NCBIv2:G2:19582272:19583686:1 gene:PRUPE_2G138700 transcript:ONI22603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVIGVVFVSAAARVVTLDCVFPWEGCDIWWLMFCLCFNGGGSSDAGGCCWDVILFFTLRRSKNYTWSYEVFFVRFRIEVENFSWVFLLLFMCSSSVFSLGFCYGMTYSLYECQRTMILLIEGFF >ONI21648 pep chromosome:Prunus_persica_NCBIv2:G2:12048239:12049780:-1 gene:PRUPE_2G078300 transcript:ONI21648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDDGLKKLEYLSLVSKGLGTKFWRSSSLSLDESGGRVRHQIKENSAEMPDYFVRTLLTIIHAILPPKPKPEKDSKKESASDGRKTKFKALAVADNKDRVKDIEKEIEMETKEKRNRREEQDEEQEGDRRRDRYNKHKRDRYEDDGDVKEDGDDRRGNRDRQNGQNHSDEPELYQVYKGRVSRVMDTGCFVQLNDLRGKEGLVHVSQMATRRISNAKDVVKRDQEVYVKSSEDDALRTNPSFSKDGPVTRTGLSGIRIVEEDDVGPSRRPLERMSSTMGKIDCTQPHRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPNTVIKYMTDGMLLREILIDENLSQYSVVMLDEAHERTIHTDVLFGLLKKLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFL >ONI25545 pep chromosome:Prunus_persica_NCBIv2:G2:29274503:29279363:1 gene:PRUPE_2G308700 transcript:ONI25545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQRGLESGSERIKKSWKSYSFDGVIAKSFGSRILDPQGPFLQKWNKIFVLACLIAVSLDPLFFYIPVIDDKNKCLDLDRKMKITASVLRSFTDIFYIVHIIFQFRTGFVAPSSRVFGRGVLVEDAWSIARRYLSSYFLIDILAVLPLPQVVIIFIPKLGGSKSLNTKNLLKFIVLFQYVPRVIRIYPLYREVTRASGILTETAWAGAAFNLFLYMLASHVLGAFWYLVSIERETTCWKAACRNNTTICSRDDLYCDTANVLNRTKFFLNSSCPIQEEDKSQFDFGIFLDALQSGIVESSTHFPQKFFYCFWWGLRNLSSLGQNLGTSTYVWEICFAVFISIAGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYKWQETRGVDEENLICNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMLFIMRGRLLTMTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPISTRTVQALSEVEAFALKADDLKFVASQFRRLHSKQLRHTFRLYSQQWRTWAACFIQAAWRRHCKKKLETSLLEEENRLQDALAKAGASSPSLGATIYASRFAANILRTIRRSGTRKARVPERLPAMLLQKPAEPDFTAEEQ >ONI25544 pep chromosome:Prunus_persica_NCBIv2:G2:29274503:29279363:1 gene:PRUPE_2G308700 transcript:ONI25544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHQEKFVRFQDWTSEKKVEPLYSPDDEIHAGKFRRTIHSVSMKFQRGLESGSERIKKSWKSYSFDGVIAKSFGSRILDPQGPFLQKWNKIFVLACLIAVSLDPLFFYIPVIDDKNKCLDLDRKMKITASVLRSFTDIFYIVHIIFQFRTGFVAPSSRVFGRGVLVEDAWSIARRYLSSYFLIDILAVLPLPQVVIIFIPKLGGSKSLNTKNLLKFIVLFQYVPRVIRIYPLYREVTRASGILTETAWAGAAFNLFLYMLASHTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYKWQETRGVDEENLICNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMLFIMRGRLLTMTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPISTRTVQALSEVEAFALKADDLKFVASQFRRLHSKQLRHTFRLYSQQWRTWAACFIQAAWRRHCKKKLETSLLEEENRLQDALAKAGASSPSLGATIYASRFAANILRTIRRSGTRKARVPERLPAMLLQKPAEPDFTAEEQ >ONI25543 pep chromosome:Prunus_persica_NCBIv2:G2:29274503:29279363:1 gene:PRUPE_2G308700 transcript:ONI25543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHQEKFVRFQDWTSEKKVEPLYSPDDEIHAGKFRRTIHSVSMKFQRGLESGSERIKKSWKSYSFDGVIAKSFGSRILDPQGPFLQKWNKIFVLACLIAVSLDPLFFYIPVIDDKNKCLDLDRKMKITASVLRSFTDIFYIVHIIFQFRTGFVAPSSRVFGRGVLVEDAWSIARRYLSSYFLIDILAVLPLPQVVIIFIPKLGGSKSLNTKNLLKFIVLFQYVPRVIRIYPLYREVTRASGILTETAWAGAAFNLFLYMLASHVLGAFWYLVSIERETTCWKAACRNNTTICSRDDLYCDTANVLNRTKFFLNSSCPIQEEDKSQFDFGIFLDALQSGIVESSTHFPQKFFYCFWWGLRNLSSLGQNLGTSTYVWEICFAVFISIAGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYKWQETRGVDEENLICNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPVLYTEESYIVREGDPVDEMLFIMRGRLLTMTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPISTRTVQALSEVEAFALKADDLKFVASQFRRLHSKQLRHTFRLYSQQWRTWAACFIQAAWRRHCKKKLETSLLEEENRLQDALAKAGASSPSLGATIYASRFAANILRTIRRSGTRKARVPERLPAMLLQKPAEPDFTAEEQ >ONI22285 pep chromosome:Prunus_persica_NCBIv2:G2:17687665:17696688:1 gene:PRUPE_2G118700 transcript:ONI22285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNQSRSDKNETQYRKTGRSASSNQQHRGYSPVYPKGTAAGAGGPAPSISSNRSFKKNNNNAQGGQSRGSVTTVNPLDSGIASTQRGGVQNGAHVQPQLHGGSDALVATTAPRTTDASAPQRSTRTVPKAPTSQSASVTSDTRTPTTPAKIPGDASQGFAFQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQARHDLYRTVPSVPTPNIPKQQLPRKDPASMDQPNASEAHLVPKVKKDVQPSHATPASQTQKPSALPMAGISMPMPFHQQQVSVQFGGPNQQIQSQGMSANSVQIPMPMSVPIGSNQVQQPVFVPGLQPHPMQHQGIMHQGPFTPQMGPQVPQLGSMGISIAPQYPQQQGGKFGGPRKTSVKITHPDTHEELRLDKRTDSYSDGGPSAPRTHPNVPPQSQPIQSFAPSHHSSYYANSYSGSLFFPAPNSHPLTSSHMPPSSQAPRFSYPVSQGPQNVPFINPPAHNALPVNKAGPPMHNVVDPPNVEHARDIHNVPAAVPSATIPVVVKAAVGTVGEKAVDPVPNSSAAVEKGELPKPSKSSGEISQSHPQRYSELSTDGLMHSDQSILKSLPVTAKASAGNPAAVLIESQVSNPLSSASAAPTEESVPVVTTTEPRRKETLSRSNSIKDQLKKPGKKGNNQTQHQVVGQSISTSSTPSRASEHGISSSSDGSGTVETNTTLAPVSGDSVSESVKELLSNVSAATSDGSESKAEAIGEGILPLSSEISGAVVVGSSSDSIHHGQLDNSLPLVKQGKHDLGGAEKQAEQSLSENYRQDTNSRDISAEPISIKPLEPVKEDAENSKGSAVATSETAQGGQAQHESCHADFDGKDASSSRSDTMGSKEVAVSKCSKLDQQYAPVQTTEVSGTTTTNEGINVENTGGGGGSIENIGSGGDPLTVSGSKDKPLPELSRQKSTTSKGKKKRKEILSKADAAGVTSDLYGAYKNPEEKKGIASPESMESTTGIVSKQVATDAPQQDAVGREEDAPSKAEPDDWEDAADISTPKLEASDNGEQVRGGGVHSDKDGHGHGAKKYSRDFLLKFSMQFTELPEGFEIMSDVAEILNAHINTSPSIDYDSLPSPGRIIDRQGGAIRLDRRGSGLIDDDRWNKGGAANFRAGQGVNFGVLRNPRPSTPVQQHVRGILPGPTQSVGPQGGMQRNNSDADRWQRASNFQPKGLMPYPHTPLQVMHKAERKYEVGKVSDEEQAKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNATTLTGVISQIFDKALMEPTFCEMYANFCFYLAGELPDFSEDNEKITFKRLLLNKCQEEFERGEREQEEANKADEEGEVKQSEEEREEKRIKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQQLTPDEEDIEALCKLMSTIGEMIDHPKAKEHIDAYFDRMKSLSNNVKLSSRVRFMLKDSIDLRKNKWQQRRKVEGPKKIEELHRDAAQERQAQASRLGRGPGMNPSARRTPMDFSPRGSTMLSSPNPQMGGFRGMPAQVRGYGSQDVRADERHSYEGRTLSVPLTQRPIGDESITLGPQGGLARGMSIRGPPSMSAAPHAELSPSVGDSRRMTAGLNGFSSLSERPTYNPRDEHMPRHLPDRFAGPAAYDQSNAPERNVNFGGRDPRNLDRSFDRSRPASPATRAHAPALTQNVPQEKVLTEDRLRDMSLAAIKEFYSARDEKEVVLCIKELNSPSFHPSMISLWVTDSFERKDTERDLLAKLLVNLTKSHDGTLSQSQLIKGFETVLSTLEDAVNDAPKAPEFLGLIFAKVILENVVALKQIGQIIYEGGEEPGHLLEVGLAGDVLGNILEIIKLEKGDSVLNEIRTASSLRLETFRPPDPRRSRILEKFI >ONI22755 pep chromosome:Prunus_persica_NCBIv2:G2:20442477:20468033:-1 gene:PRUPE_2G149000 transcript:ONI22755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEERNKEFEKNSRKDSDNHEVGGDLQENIDPSHQENMKNTDGDVADVGHDSVTLQGVDSATTVVDEDQFEQVSLKDQDKIVGASQGGYVDSNQSSNSDIPRNSDTTRLSSGQFEDTSQTFTAELNSSAVDGMQHDQSAWSPGQDRKFGHKPSMSSTSFDSSFYGDVGYSPAGSPPKPRPKPAMPNVSPELLHLVDSAIMGKPESLDKLKNIVSGVESFGSGEEMDGIAYLVVDSLIATMGGVESFEEDEDNNPPSVMLNSRAAIVSGALIPSLPWVGDSDVIMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRSAEKIFVHDVDSPLQMRWDGAPLCYCIQYLAGHSLSVIDMHRWFQVITRTLTTVWSTRLMIALEKAMGGKESRGPACTFEFDGESSGLLGPGESRWPFTNGYAFATWIYIESFADTLNAATAAAAIAAAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGLEAYFHAQFLVVESGSGKGKKASLHFTHAFKPQCWYFIGLEHTCKQGLLGKAESELRLYIDGSLYETRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMSRLASRGGDVLPSFGHAAGLPWLATNVHVQNMAVESSLLDAELGGCIHLLYHPSLLSGRFCPDASPSGAAGMLRRPAEVLGQVHIATRMRPVAALWALAYGGPMSLLPLAVSSVDIDSLEPRQGNPPLSLATTALAAPIFRTICMAIQHPRNNEEFCRTRGPEVLSRILNYLLQTLSSLHAGEKNGVGDEELVAAILSLCQSQQKNYALKVQLFSTLLLDLKIWSLCNYGLQKKLLSSLADMVFTESSVMRDANAIQMLLDSCRRCYWTIREKDSVNTFSLSLNEARRPVGEVNALVDELLVIIELLVGAAPPSLASDDVRCLLGFMVDCPQPNQVARVLHLIYRLVVQPNASRAQTFAEAFIDCGGIETLLVLLQREAKAGDYSIPESMTKNDEILSVQGPEPDSGTVVSEKVQDDESSEGKEFNLHEEVGESQTPEASCPVAVSPDLKIGRMASASESAFTKNLGGIDLSISADNARNNVYNIDKSDGVVVGIIGLLGALVASGYLKFGSRAPSDMANSLIGSALNDGGGTMFEDKVCLLLFALQKAFQAAPNRLLTSNVYTALLGASINASSTDDGLNFYDSGHQFEHLQLLLVLLRSLPYAPKALQSRALQDLLFLACSHSENRSSLTQMEEWPEWLLEVLISSYEMDADKHSDSSSSGDIEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLCIVGGSNTGEQRVRREESLPIFKRRLLGGLLDFAARELQVQTQVIAAAAANVASEGLSPNDSKAEAENAAQLSVALVENAIVILMLVEDHLRLQSKLACASRAADSSPSPLSLVSPMNNNLNSLNTVGGDSFGALGDRKSLSSESGLPLDLLASMADANGQISAAVMERLTAAAAAEPYGSVSCAFVSYGSCAMDLAVGWKYRSRLWYGVGLPSTSAAFGGGGSGWESWKSALEKDANGNWIELPLVKKSVAMLQALLLDDSGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMALLSMREEDDGEQSLLMRNVSIEDGKSEGRQPRSALLWSVLSPVLNMAISDSKRQRVLVASCVLYSEVDRRSQVDLITRHRLGNGVRAWRKLMHCLIEMKCLFGPSGDQLCKPAPVFWKLDFMESSSRMRRCIRRNYKGSDHFGAAANYEDHNKMKEQENVIHSSNAPILAAEAIAMEAVNEDDEQGEIDNLEGRASSVEESGENQPHPSETAGQSPQVPMEFGDPHVACEPDMGESSSAVAPGYVPSELDERIVLELPSSMVRPLRVIRGTFQVTSRRINFIVDNSEPNGAVDILDCTEMRDQEKDRSWLMSSLHQIYSRRYLLRRSALELFLVDRSNFFFDFGSTEGRRNAYRAIVQARPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKRLDLADPSSYRDLSKPVGALSADRLKKFQERYSSFEDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIQLQGGKFDHADRMFSDIPGTWNGVIEDMSDVKELVPELFYLPEMLTNENSIDFGTTQTGGQLDSVKLPPWAENPIDFIHKHRKALESEHVSAHLHEWIDLIFGYKQRGKEAILANNVFFYITYEGTVDIDKISDPVQQRATQDQIAYFGQTPSQLLTIPHLKKLPLADVLHLQTIFRNPKEVKPYAVPAPERCNLPAAAIHASSDAIIIANINAPAANVAEHKWQPNTPDGQGMPFLFQHGKATASSTGGTFIRMFKGPAGSGSDEWHFPQALAFATSGITSSAIVSITCDKEIITGGHVDSSIKIISSDGAKTLETAFGHCAPVTCLGLSPDSNYLVTGSRDTTVLLWRIHRAFTSRSSSVSEPSGGTDIPRTTSGSNLSHILADKSRRRRIEGPIHVLRGHQREILCCCVSSDLGIVVSCSDSSDVLLHSIRRGRLIRRLPGVEAHAVCLSSEGIVLTWNKTLNTLNTFTLNGVLIGRAQIPFSGSISCMEISVDGWSALIGINSSMEIDRGSWDLKLNNTEFGDLNQEPDKTDENNRLDVTLPSICFLDLHTLKVFHVLKLGEGQDIISLAQNADNTNLLVSTADKQLIIFTDPALSLKVVDHMLKLGWEGDGLSPLIKS >ONI22756 pep chromosome:Prunus_persica_NCBIv2:G2:20443349:20466773:-1 gene:PRUPE_2G149000 transcript:ONI22756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEERNKEFEKNSRKDSDNHEVGGDLQENIDPSHQENMKNTDGDVADVGHDSVTLQGVDSATTVVDEDQFEQVSLKDQDKIVGASQGGYVDSNQSSNSDIPRNSDTTRLSSGQFEDTSQTFTAELNSSAVDGMQHDQSAWSPGQDRKFGHKPSMSSTSFDSSFYGDVGYSPAGSPPKPRPKPAMPNVSPELLHLVDSAIMGKPESLDKLKNIVSGVESFGSGEEMDGIAYLVVDSLIATMGGVESFEEDEDNNPPSVMLNSRAAIVSGALIPSLPWVGDSDVIMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRSAEKIFVHDVDSPLQMRWDGAPLCYCIQYLAGHSLSVIDMHRWFQVITRTLTTVWSTRLMIALEKAMGGKESRGPACTFEFDGESSGLLGPGESRWPFTNGYAFATWIYIESFADTLNAATAAAAIAAAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGLEAYFHAQFLVVESGSGKGKKASLHFTHAFKPQCWYFIGLEHTCKQGLLGKAESELRLYIDGSLYETRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMSRLASRGGDVLPSFGHAAGLPWLATNVHVQNMAVESSLLDAELGGCIHLLYHPSLLSGRFCPDASPSGAAGMLRRPAEVLGQVHIATRMRPVAALWALAYGGPMSLLPLAVSSVDIDSLEPRQGNPPLSLATTALAAPIFRTICMAIQHPRNNEEFCRTRGPEVLSRILNYLLQTLSSLHAGEKNGVGDEELVAAILSLCQSQQKNYALKVQLFSTLLLDLKIWSLCNYGLQKKLLSSLADMVFTESSVMRDANAIQMLLDSCRRCYWTIREKDSVNTFSLSLNEARRPVGEVNALVDELLVIIELLVGAAPPSLASDDVRCLLGFMVDCPQPNQVARVLHLIYRLVVQPNASRAQTFAEAFIDCGGIETLLVLLQREAKAGDYSIPESMTKNDEILSVQGPEPDSGTVVSEKVQDDESSEGKEFNLHEEVGESQTPEASCPVAVSPDLKIGRMASASESAFTKNLGGIDLSISADNARNNVYNIDKSDGVVVGIIGLLGALVASGYLKFGSRAPSDMANSLIGSALNDGGGTMFEDKVCLLLFALQKAFQAAPNRLLTSNVYTALLGASINASSTDDGLNFYDSGHQFEHLQLLLVLLRSLPYAPKALQSRALQDLLFLACSHSENRSSLTQMEEWPEWLLEVLISSYEMDADKHSDSSSSGDIEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLCIVGGSNTGEQRVRREESLPIFKRRLLGGLLDFAARELQVQTQVIAAAAANVASEGLSPNDSKAEAENAAQLSVALVENAIVILMLVEDHLRLQSKLACASRAADSSPSPLSLVSPMNNNLNSLNTVGGDSFGALGDRKSLSSESGLPLDLLASMADANGQISAAVMERLTAAAAAEPYGSVSCAFVSYGSCAMDLAVGWKYRSRLWYGVGLPSTSAAFGGGGSGWESWKSALEKDANGNWIELPLVKKSVAMLQALLLDDSGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMALLSMREEDDGEQSLLMRNVSIEDGKSEGRQPRSALLWSVLSPVLNMAISDSKRQRVLVASCVLYSELYHAVGRDKKPLRKQYLEAIVPPFVAVLRRWRPLLAGIHELATGDGLNPLMVEDRALAADALPIEAALAMISPAWAAAFASPPAAMALAMIAAGASGAETPAPTTNSQLRRDSSLLERKTAKLHTFSSFQKPLEQPNKLPGLPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRSTGDMERVKRWNVSEAMGVAWMECLQPVDTKSVYGKDFNALSYKFIAVLVASFALARNIQRSEVDRRSQVDLITRHRLGNGVRAWRKLMHCLIEMKCLFGPSGDQLCKPAPVFWKLDFMESSSRMRRCIRRNYKGSDHFGAAANYEDHNKMKEQENVIHSSNAPILAAEAIAMEAVNEDDEQGEIDNLEGRASSVEESGENQPHPSETAGQSPQVPMEFGDPHVACEPDMGESSSAVAPGYVPSELDERIVLELPSSMVRPLRVIRGTFQVTSRRINFIVDNSEPNGAVDILDCTEMRDQEKDRSWLMSSLHQIYSRRYLLRRSALELFLVDRSNFFFDFGSTEGRRNAYRAIVQARPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKRLDLADPSSYRDLSKPVGALSADRLKKFQERYSSFEDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIQLQGGKFDHADRMFSDIPGTWNGVIEDMSDVKELVPELFYLPEMLTNENSIDFGTTQTGGQLDSVKLPPWAENPIDFIHKHRKALESEHVSAHLHEWIDLIFGYKQRGKEAILANNVFFYITYEGTVDIDKISDPVQQRATQDQIAYFGQTPSQLLTIPHLKKLPLADVLHLQTIFRNPKEVKPYAVPAPERCNLPAAAIHASSDAIIIANINAPAANVAEHKWQPNTPDGQGMPFLFQHGKATASSTGGTFIRMFKGPAGSGSDEWHFPQALAFATSGITSSAIVSITCDKEIITGGHVDSSIKIISSDGAKTLETAFGHCAPVTCLGLSPDSNYLVTGSRDTTVLLWRIHRAFTSRSSSVSEPSGGTDIPRTTSGSNLSHILADKSRRRRIEGPIHVLRGHQREILCCCVSSDLGIVVSCSDSSDVLLHSIRRGRLIRRLPGVEAHAVCLSSEGIVLTWNKTLNTLNTFTLNGVLIGRAQIPFSGSISCMEISVDGWSALIGINSSMEIDRGSWDLKLNNTEFGDLNQEPDKTDENNRLDVTLPSICFLDLHTLKVFHVLKLGEGQDIISLAQNADNTNLLVSTADKQLIIFTDPALSLKVVDHMLKLGWEGDGLSPLIKS >ONI22758 pep chromosome:Prunus_persica_NCBIv2:G2:20447942:20466773:-1 gene:PRUPE_2G149000 transcript:ONI22758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEERNKEFEKNSRKDSDNHEVGGDLQENIDPSHQENMKNTDGDVADVGHDSVTLQGVDSATTVVDEDQFEQVSLKDQDKIVGASQGGYVDSNQSSNSDIPRNSDTTRLSSGQFEDTSQTFTAELNSSAVDGMQHDQSAWSPGQDRKFGHKPSMSSTSFDSSFYGDVGYSPAGSPPKPRPKPAMPNVSPELLHLVDSAIMGKPESLDKLKNIVSGVESFGSGEEMDGIAYLVVDSLIATMGGVESFEEDEDNNPPSVMLNSRAAIVSGALIPSLPWVGDSDVIMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRSAEKIFVHDVDSPLQMRWDGAPLCYCIQYLAGHSLSVIDMHRWFQVITRTLTTVWSTRLMIALEKAMGGKESRGPACTFEFDGESSGLLGPGESRWPFTNGYAFATWIYIESFADTLNAATAAAAIAAAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGLEAYFHAQFLVVESGSGKGKKASLHFTHAFKPQCWYFIGLEHTCKQGLLGKAESELRLYIDGSLYETRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMSRLASRGGDVLPSFGHAAGLPWLATNVHVQNMAVESSLLDAELGGCIHLLYHPSLLSGRFCPDASPSGAAGMLRRPAEVLGQVHIATRMRPVAALWALAYGGPMSLLPLAVSSVDIDSLEPRQGNPPLSLATTALAAPIFRTICMAIQHPRNNEEFCRTRGPEVLSRILNYLLQTLSSLHAGEKNGVGDEELVAAILSLCQSQQKNYALKVQLFSTLLLDLKIWSLCNYGLQKKLLSSLADMVFTESSVMRDANAIQMLLDSCRRCYWTIREKDSVNTFSLSLNEARRPVGEVNALVDELLVIIELLVGAAPPSLASDDVRCLLGFMVDCPQPNQVARVLHLIYRLVVQPNASRAQTFAEAFIDCGGIETLLVLLQREAKAGDYSIPESMTKNDEILSVQGPEPDSGTVVSEKVQDDESSEGKEFNLHEEVGESQTPEASCPVAVSPDLKIGRMASASESAFTKNLGGIDLSISADNARNNVYNIDKSDGVVVGIIGLLGALVASGYLKFGSRAPSDMANSLIGSALNDGGGTMFEDKVCLLLFALQKAFQAAPNRLLTSNVYTALLGASINASSTDDGLNFYDSGHQFEHLQLLLVLLRSLPYAPKALQSRALQDLLFLACSHSENRSSLTQMEEWPEWLLEVLISSYEMDADKHSDSSSSGDIEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLCIVGGSNTGEQRVRREESLPIFKRRLLGGLLDFAARELQVQTQVIAAAAANVASEGLSPNDSKAEAENAAQLSVALVENAIVILMLVEDHLRLQSKLACASRAADSSPSPLSLVSPMNNNLNSLNTVGGDSFGALGDRKSLSSESGLPLDLLASMADANGQISAAVMERLTAAAAAEPYGSVSCAFVSYGSCAMDLAVGWKYRSRLWYGVGLPSTSAAFGGGGSGWESWKSALEKDANGNWIELPLVKKSVAMLQALLLDDSGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMALLSMREEDDGEQSLLMRNVSIEDGKSEGRQPRSALLWSVLSPVLNMAISDSKRQRVLVASCVLYSELYHAVGRDKKPLRKQYLEAIVPPFVAVLRRWRPLLAGIHELATGDGLNPLMVEDRALAADALPIEAALAMISPAWAAAFASPPAAMALAMIAAGASGAETPAPTTNSQLRRDSSLLERKTAKLHTFSSFQKPLEQPNKLPGLPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRSTGDMERVKRWNVSEAMGVAWMECLQPVDTKSVYGKDFNALSYKFIAVLVASFALARNIQRSEVDRRSQVDLITRHRLGNGVRAWRKLMHCLIEMKCLFGPSGDQLCKPAPVFWKLDFMESSSRMRRCIRRNYKGSDHFGAAANYEDHNKMKEQENVIHSSNAPILAAEAIAMEAVNEDDEQGEIDNLEGRASSVEESGENQPHPSETAGQSPQVPMEFGDPHVACEPDMGESSSAVAPGYVPSELDERIVLELPSSMVRPLRVIRGTFQVTSRRINFIVDNSEPNGAVDILDCTEMRDQEKDRSWLMSSLHQIYSRRYLLRRSALELFLVDRSNFFFDFGSTEGRRNAYRAIVQARPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKRLDLADPSSYRDLSKPVGALSADRLKKFQERYSSFEDPVIPKFHYGSHYSSAGTMLQKSAFVEPSACAS >ONI22757 pep chromosome:Prunus_persica_NCBIv2:G2:20447505:20468033:-1 gene:PRUPE_2G149000 transcript:ONI22757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEERNKEFEKNSRKDSDNHEVGGDLQENIDPSHQENMKNTDGDVADVGHDSVTLQGVDSATTVVDEDQFEQVSLKDQDKIVGASQGGYVDSNQSSNSDIPRNSDTTRLSSGQFEDTSQTFTAELNSSAVDGMQHDQSAWSPGQDRKFGHKPSMSSTSFDSSFYGDVGYSPAGSPPKPRPKPAMPNVSPELLHLVDSAIMGKPESLDKLKNIVSGVESFGSGEEMDGIAYLVVDSLIATMGGVESFEEDEDNNPPSVMLNSRAAIVSGALIPSLPWVGDSDVIMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLRSAEKIFVHDVDSPLQMRWDGAPLCYCIQYLAGHSLSVIDMHRWFQVITRTLTTVWSTRLMIALEKAMGGKESRGPACTFEFDGESSGLLGPGESRWPFTNGYAFATWIYIESFADTLNAATAAAAIAAAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGLEAYFHAQFLVVESGSGKGKKASLHFTHAFKPQCWYFIGLEHTCKQGLLGKAESELRLYIDGSLYETRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMSRLASRGGDVLPSFGHAAGLPWLATNVHVQNMAVESSLLDAELGGCIHLLYHPSLLSGRFCPDASPSGAAGMLRRPAEVLGQVHIATRMRPVAALWALAYGGPMSLLPLAVSSVDIDSLEPRQGNPPLSLATTALAAPIFRTICMAIQHPRNNEEFCRTRGPEVLSRILNYLLQTLSSLHAGEKNGVGDEELVAAILSLCQSQQKNYALKVQLFSTLLLDLKIWSLCNYGLQKKLLSSLADMVFTESSVMRDANAIQMLLDSCRRCYWTIREKDSVNTFSLSLNEARRPVGEVNALVDELLVIIELLVGAAPPSLASDDVRCLLGFMVDCPQPNQVARVLHLIYRLVVQPNASRAQTFAEAFIDCGGIETLLVLLQREAKAGDYSIPESMTKNDEILSVQGPEPDSGTVVSEKVQDDESSEGKEFNLHEEVGESQTPEASCPVAVSPDLKIGRMASASESAFTKNLGGIDLSISADNARNNVYNIDKSDGVVVGIIGLLGALVASGYLKFGSRAPSDMANSLIGSALNDGGGTMFEDKVCLLLFALQKAFQAAPNRLLTSNVYTALLGASINASSTDDGLNFYDSGHQFEHLQLLLVLLRSLPYAPKALQSRALQDLLFLACSHSENRSSLTQMEEWPEWLLEVLISSYEMDADKHSDSSSSGDIEDLIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLCIVGGSNTGEQRVRREESLPIFKRRLLGGLLDFAARELQVQTQVIAAAAANVASEGLSPNDSKAEAENAAQLSVALVENAIVILMLVEDHLRLQSKLACASRAADSSPSPLSLVSPMNNNLNSLNTVGGDSFGALGDRKSLSSESGLPLDLLASMADANGQISAAVMERLTAAAAAEPYGSVSCAFVSYGSCAMDLAVGWKYRSRLWYGVGLPSTSAAFGGGGSGWESWKSALEKDANGNWIELPLVKKSVAMLQALLLDDSGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMALLSMREEDDGEQSLLMRNVSIEDGKSEGRQPRSALLWSVLSPVLNMAISDSKRQRVLVASCVLYSEVDRRSQVDLITRHRLGNGVRAWRKLMHCLIEMKCLFGPSGDQLCKPAPVFWKLDFMESSSRMRRCIRRNYKGSDHFGAAANYEDHNKMKEQENVIHSSNAPILAAEAIAMEAVNEDDEQGEIDNLEGRASSVEESGENQPHPSETAGQSPQVPMEFGDPHVACEPDMGESSSAVAPGYVPSELDERIVLELPSSMVRPLRVIRGTFQVTSRRINFIVDNSEPNGAVDILDCTEMRDQEKDRSWLMSSLHQIYSRRYLLRRSALELFLVDRSNFFFDFGSTEGRRNAYRAIVQARPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSKRLDLADPSSYRDLSKPVGALSADRLKKFQERYSSFEDPVIPKFHYGSHYSSAGTMLQKSAFVEPSACAS >ONI22776 pep chromosome:Prunus_persica_NCBIv2:G2:20546578:20547546:1 gene:PRUPE_2G150500 transcript:ONI22776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFQSQDLPQLLIIHPPAFFTHFQSELSKKFHILHAGESPLPLDQYLTTYAGSVQAMLCYHATQVNEDLLWLLPALKLVLTCTSGVNHIDVVECRRRGIAIATARSVYSEDADIGVGLFLDVQRKISAVDRYVRQGLWTSKGNYPLGS >ONI21740 pep chromosome:Prunus_persica_NCBIv2:G2:13473606:13474976:-1 gene:PRUPE_2G085100 transcript:ONI21740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQQRKFSVLMFPWLAHGHISPYLELAKKLTNRNFHIYFCSTPVNLRSIKPQLSEKYSRCIELVQLHLPYDDLPELPPHYHTTNGLPPHLMSTLKTAFDRASPNFSNILKTLHPDLLIYDFLQPWAPSLALLQNIPAIEFFTTSAAMMSVCTHHGEKPGVKFPFPSIYYETSKIKMLLESSSNGISDGDRAKQCSDRSCKIVLVKSSREIEAKYIDYLSDLIGKKIVPVGSLVQDLIEQEVDSEETKIMKWLNTRERSSVVYVSFGSEYFLSKEEIEEIAHGLEISKVSFIWVIRFPKEEKGTRVEEVLPEGFFERVGEKGIIVDGWAPQAKVLKHSSAGGFVSHCGWSSVLESIKFGVPIVAMPMHLDQPINARIVEDVGVGVEVKRMGGGGNENGRLKRDEIAKVIRDVVVEENGQGLKRKAMELRDNMKKREDEEIDGVVEQLIQLCMRKE >ONI23614 pep chromosome:Prunus_persica_NCBIv2:G2:23602048:23603031:1 gene:PRUPE_2G198600 transcript:ONI23614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEHPPDKTHHHLPKMTEEEDHKKNPTTKKRYMASSPIYTSGPYRSICTCLSIFLLLAGVTALTLWLVYRPHKPQFTVVGAAVYDLNATSPPLISTTMQFTLVTHNPNRRVSIYYDRLYAFVSYKNQAITPQVALPSLVHEHRSTVAVSPVLGGRAVPVSLEVVNGLTMDEAYGVVGLRVVVMGRLRWKAGAIRTAHYGVYVKCDVLVGLKRGFVGQVPLLGNPSCQVDI >ONI23804 pep chromosome:Prunus_persica_NCBIv2:G2:24225640:24226896:-1 gene:PRUPE_2G209200 transcript:ONI23804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDVLPTEILIDILSRLSVNSACCIRCVSKVLLKTVDDLPFATLHMRRLPDVHQVPRLIRLVEPTFDVHKMYPLKYDGTDLTKSKHAIVSEFVSSLRWYKPNFVFYNLFGFTGLHTKKGRSCLLVNPFKGEVLMLPTTSDLQVPINSLCNGDTYGMGFDNMTNTFKIIRVSCHEKDINTQMAAEVFILGTSSWRELPSVPPCHLTQKSACAHGDMHWLVRGDDHDSSYVRILSFDFKKEEFYWTPHPATSQKMQDMFDFVNLLNFRGSLTLVDASSSEDMKIWELKNYDNKEWVLNYKINVQQHPSLRYLKGTLLMCRTLFSICGEWEHGIFFNQDNFVLFLDVTRVIVSSVRLKGSTVHSCTDSMISLKKYGDLVEAEQDIESLISEESYEYLTKTAEASGRDVVHLRTQMETACIS >ONI25712 pep chromosome:Prunus_persica_NCBIv2:G2:29664663:29668785:1 gene:PRUPE_2G316000 transcript:ONI25712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTNNDENGEIETFEREDGVEENGGEPEDLNRIIPWTRQITIRGLVASIVIGTIYSVIVMKLNLTTGLVPNLNVSAALLAFVFIRTWTKLLQKAGIVSTPFTRQENTIIQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDTEGNTPRSTKEPQIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLSYPSGTATAVLINGFHTPKGDKMAKKQVHGFMKFFSMSFLWSFFQWFYSGGDQCGFAQFPTFGLAAWKNSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGIMWPLIRGLKGEWFPATLSESSMKSLNGYKVFISIALILGDGLYNFLKILYFTGSSIHTKMNNKNPKTVSNNQNQALDDLRRNEVFIRDSIPIWIACLGYTLFSIISIIIIPLMFPQLKWYYVVVAYIIAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAAVAGKNDGVVAGLVGCGLIKSIVSISSDLMHDLKTGHLTLTSPRSMLLSQAIGTAIGCVVAPLTFFLFYKAFNVGDPDGEYKAPYAIIYRNMAILGVQGFSALPQHCLQLCYGFFAFAVAANLLRDLAPKKIGKWVPLPMAMAVPFLVGAYFAIDMCVGSLAVFVWHKLKNNEAGLMVPAVASGLICGDGLWILPSSILALAKIRPPICMNFLTTK >ONI20173 pep chromosome:Prunus_persica_NCBIv2:G2:198418:200087:-1 gene:PRUPE_2G000900 transcript:ONI20173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSRTPCPIPTTAALIGCLLLLATVSEATLSCSDVTKDLRPCLSYLVNGSGKPPAACCAGASALASAASTSADKKAACGCIKSAAQKINLKVQLAQALPGNCGINLPFTISPNTDCSKVG >ONI25229 pep chromosome:Prunus_persica_NCBIv2:G2:28442006:28444349:-1 gene:PRUPE_2G290300 transcript:ONI25229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKTKTTGVALSELNGSSGSGGNESGPAEWELRPGGMLVQKRNPDSDRNIAPPPTIRVRVKYGSIYHEINISAQSSFGDLKKMLAGPTGLHHQDQKLIFKDKERDSKAFLDMSGVKDRSKMVLVEDPISQEKRYLEMRRNAKMEKASKSISEISLEVDRLAGQVSALESIITKGKKVAEQDVLTLIEQLMNQLLKLDGIMGDGDVKLQRKMQVRRVQKCVETLDILKAKNSTPSSNGGQTPKQVQQKHSNGHRHGAIHQQPQSHYSGNGNLLTPIQEHQPRHSVGYSPLHQQGQQQPSRHSASGPVVVTTQWETFDSAPALIPTSSTSKSASATNHSGYPKFNWESFE >ONI23248 pep chromosome:Prunus_persica_NCBIv2:G2:22221608:22225509:-1 gene:PRUPE_2G177100 transcript:ONI23248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDADCDSCAKTICSICYEDLKPIVEDLQVITICGHVFHELCLQQWFEYSARTKRYTCPVCKQNCKEKDAGRLYFQSVGDSADPSLTQRPINQCEEDPVVLRREVKRLEVTALGLRSAFDLQGKELKELKEELCLCKDEAKKEAVLKNEALKQQASMQQQLHMKSEALDKSTMERLRLQDRNMALAKELAAFKLVSDLDLNEDEVLKLSTLGNGANTKDTIDILRKSLEMSKRNYKELINKYNGIAREARESKKLEKEAKEKIKKLKNRVQELEMAVEVKDNEDLRDLKASKKTRGEGVMQNGVQCNFKSMPVNNSSEDQREHLYAPKRKLNKTENLENDLLCHRRTENFNFTDHMDANCTKDGTRTPAHDKVRDAYSLIDEDASKVSTTKHGLSNLNLKEQTYDGVAIQKCTRLRSEAASDTKKETSVIGLSNLVEPFGSITGTSKNTAKTPAADMADVVILEDVEQVQPIFNIRKESPSPQPLPSPGDICFSGGLLGPDGTNRYLGKWCKRGLNKGSESSADTGRLIAVGADGRGGRIKVLRSLNQLTVDNKENSSGTKRCKTGAKTNSSQSQGCLQIEHFFGRVGN >ONI23249 pep chromosome:Prunus_persica_NCBIv2:G2:22222054:22225277:-1 gene:PRUPE_2G177100 transcript:ONI23249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDADCDSCAKTICSICYEDLKPIVEDLQVITICGHVFHELCLQQWFEYSARTKRYTCPVCKQNCKEKDAGRLYFQSVGDSADPSLTQRPINQCEEDPVVLRREVKRLEVTALGLRSAFDLQGKELKELKEELCLCKDEAKKEAVLKNEALKQQASMQQQLHMKSEALDKSTMERLRLQDRNMALAKELAAFKLVSDLDLNEDEVLKLSTLGNGANTKDTIDILRKSLEMSKRNYKELINKYNGIAREARESKKLEKEAKEKIKKLKNRVQELEMAVEVKDNEDLRDLKASKKTRGEGVMQNGVQCNFKSMPVNNSSEDQREHLYAPKRKLNKTENLENDLLCHRRTENFNFTDHMDANCTKDGTRTPAHDKVRDAYSLIDEDASKVSTTKHGLSNLNLKEQTYDGVAIQKCTRLRSEAASDTKKETSVIGLSNLVEPFGSITGTSKNTAKTPAADMADVVILEDVEQVQPIFNIRKESPSPQPLPSPGDICFSGGLLGPDGTNRYLGKWCKRGLNKGSESSADTGRLIAVGADGRGGRIKVLRSLNQLTVDNKENSSGTKRCKTGAKTNSSQSQGCLQIEHFFGRVGN >ONI23284 pep chromosome:Prunus_persica_NCBIv2:G2:22329982:22338671:1 gene:PRUPE_2G179800 transcript:ONI23284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLESGVPLKRDPLLRSSSTGRTERHPFLQRPRSKFSRFLLIKKLDYLQWICTVAVFLFFVVLFQMFLPGSVVEKSRVLMKNVELNSEDLRFLKELGLLDFGEDIRFEPSKLLEKFQKEAREASLTSAMNRTRQHFGYRKPQLALVFADLSVASQQLLMVTVAAALQEIGYAFSVYSLEDGPVHDVWRSLGVPVTIIQTYDQSELNIDWLNFVQEPFKSLPILWTIHEQALATRSRKYSSNRQIELFNDWKRLFSRSTVVVFPNYFLPMAYSVFDAGNFFVIPGSPAEACKADSIMVLDKNHLLAKMGYGSEDVVITIVGSQFLYRGLWLEHSIVLRAVLPLLEDFPLDNNSYSHLKIIVLSGDSTSNYSSVVEAIAYNLKYPSGIVKHVAVDMAADSVLSISDVVIYGSFLEEQSFPDILIKAMCLGKPIVAPDLSMIRKYVDDRVNGYLFPKENIRVLSQIILQVISKGKLSPLARNIASIGRGTAKSMMVSETIEGYASLLENVLMLPSEVAPPRAVAEIPPKLKEQWQWHLFEAVSNLTYLDRNLRSHTFLDDFEEQYNRTQQQTFNAITATNYSFLYSIWAEEKYSQMVNSKKRREEEMLKDRSDQSHGTWEEVYRNAKRIDRSKNDLHERDERELERIGQPLCIYEPYFGEGTWPFLHLKSLYRGIGLSTKGRRPRTDDVDAPSRLPLLNNPYYRDLLGEYGAFFAIANRIDRVHKNAWIGFQSWRITARKASLSGIAENALLDAIQTRRHGDALYFWVRMDDDPRNDLRQDFWSFCDGINAGNCKFAFSEAFTRMYGLKYNIESLLPMPVDGDTWSVMHSWALPTKSFLEFVMFSRMFVDALDAEMYDEHHSSGRCYLSLSKDKHCYSRLLELLVNVWAYHSARRMVYVHPETGVMQEQHRFKSRRGHMWIKWFSYSTLKSMDEDLAEESDLEHPRRRWLWPSTGEVFWQGVYEKERNLRHKQKEKRKQKSKEKIERIRKRTHQKAIGKYVKPPPEGTDNSNATMVTRI >ONI23283 pep chromosome:Prunus_persica_NCBIv2:G2:22329982:22338671:1 gene:PRUPE_2G179800 transcript:ONI23283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLESGVPLKRDPLLRSSSTGRTERHPFLQRPRSKFSRFLLIKKLDYLQWICTVAVFLFFVVLFQMFLPGSVVEKSRVLMKNVELNSEDLRFLKELGLLDFGEDIRFEPSKLLEKFQKEAREASLTSAMNRTRQHFGYRKPQLALVFADLSVASQQLLMVTVAAALQEIGYAFSVYSLEDGPVHDVWRSLGVPVTIIQTYDQSELNIDWLNYDGILVNSLEAKGIFSCFVQEPFKSLPILWTIHEQALATRSRKYSSNRQIELFNDWKRLFSRSTVVVFPNYFLPMAYSVFDAGNFFVIPGSPAEACKADSIMVLDKNHLLAKMGYGSEDVVITIVGSQFLYRGLWLEHSIVLRAVLPLLEDFPLDNNSYSHLKIIVLSGDSTSNYSSVVEAIAYNLKYPSGIVKHVAVDMAADSVLSISDVVIYGSFLEEQSFPDILIKAMCLGKPIVAPDLSMIRKYVDDRVNGYLFPKENIRVLSQIILQVISKGKLSPLARNIASIGRGTAKSMMVSETIEGYASLLENVLMLPSEVAPPRAVAEIPPKLKEQWQWHLFEAVSNLTYLDRNLRSHTFLDDFEEQYNRTQQQTFNAITATNYSFLYSIWAEEKYSQMVNSKKRREEEMLKDRSDQSHGTWEEVYRNAKRIDRSKNDLHERDERELERIGQPLCIYEPYFGEGTWPFLHLKSLYRGIGLSTKGRRPRTDDVDAPSRLPLLNNPYYRDLLGEYGAFFAIANRIDRVHKNAWIGFQSWRITARKASLSGIAENALLDAIQTRRHGDALYFWVRMDDDPRNDLRQDFWSFCDGINAGNCKFAFSEAFTRMYGLKYNIESLLPMPVDGDTWSVMHSWALPTKSFLEFVMFSRMFVDALDAEMYDEHHSSGRCYLSLSKDKHCYSRLLELLVNVWAYHSARRMVYVHPETGVMQEQHRFKSRRGHMWIKWFSYSTLKSMDEDLAEESDLEHPRRRWLWPSTGEVFWQGVYEKERNLRHKQKEKRKQKSKEKIERIRKRTHQKAIGKYVKPPPEGTDNSNATMVTRI >ONI23285 pep chromosome:Prunus_persica_NCBIv2:G2:22332428:22338671:1 gene:PRUPE_2G179800 transcript:ONI23285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSVFDAGNFFVIPGSPAEACKADSIMVLDKNHLLAKMGYGSEDVVITIVGSQFLYRGLWLEHSIVLRAVLPLLEDFPLDNNSYSHLKIIVLSGDSTSNYSSVVEAIAYNLKYPSGIVKHVAVDMAADSVLSISDVVIYGSFLEEQSFPDILIKAMCLGKPIVAPDLSMIRKYVDDRVNGYLFPKENIRVLSQIILQVISKGKLSPLARNIASIGRGTAKSMMVSETIEGYASLLENVLMLPSEVAPPRAVAEIPPKLKEQWQWHLFEAVSNLTYLDRNLRSHTFLDDFEEQYNRTQQQTFNAITATNYSFLYSIWAEEKYSQMVNSKKRREEEMLKDRSDQSHGTWEEVYRNAKRIDRSKNDLHERDERELERIGQPLCIYEPYFGEGTWPFLHLKSLYRGIGLSTKGRRPRTDDVDAPSRLPLLNNPYYRDLLGEYGAFFAIANRIDRVHKNAWIGFQSWRITARKASLSGIAENALLDAIQTRRHGDALYFWVRMDDDPRNDLRQDFWSFCDGINAGNCKFAFSEAFTRMYGLKYNIESLLPMPVDGDTWSVMHSWALPTKSFLEFVMFSRMFVDALDAEMYDEHHSSGRCYLSLSKDKHCYSRLLELLVNVWAYHSARRMVYVHPETGVMQEQHRFKSRRGHMWIKWFSYSTLKSMDEDLAEESDLEHPRRRWLWPSTGEVFWQGVYEKERNLRHKQKEKRKQKSKEKIERIRKRTHQKAIGKYVKPPPEGTDNSNATMVTRI >ONI20570 pep chromosome:Prunus_persica_NCBIv2:G2:2245360:2248577:1 gene:PRUPE_2G023000 transcript:ONI20570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDVSSCNTYNYGDALYWDARYVEEGGSFDWYQRYSSLRPFVRNYIPTSSRVLMVGCGNAVMSEDMVADGYEDIMNVDISSVAIDMMKRKYEHIPQLKYMQMDVRDMSVFPDESFEGVIDKGTLDSLMCGNDAPISAAQMLGEVSRLLKPGGIYLLITYGDPTVRMPHLIRPVYNWKVNLYIIQTMML >ONI20573 pep chromosome:Prunus_persica_NCBIv2:G2:2245360:2248577:1 gene:PRUPE_2G023000 transcript:ONI20573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDVSSCNTYNYGDALYWDARYVEEGGSFDWYQRYSSLRPFVRNYIPTSSRVLMVGCGNAVMSEDMVADGYEDIMNVDISSVAIDMMKRKYEHIPQLKYMQMDVRDMSVFPDESFEGVIDKGFLNLEGSIC >ONI20571 pep chromosome:Prunus_persica_NCBIv2:G2:2245490:2247657:1 gene:PRUPE_2G023000 transcript:ONI20571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDVSSCNTYNYGDALYWDARYVEEGGSFDWYQRYSSLRPFVRNYIPTSSRVLMVGCGNAVMSEDMVADGYEDIMNVDISSVAIDMMKRKYEHIPQLKYMQMDVRDMSVFPDESFEGVIDKGTLDSLMCGNDAPISAAQMLGEVSRLLKPGGIYLLITYGDPTVRMPHLIRPVYNWKVNLYIIQTMML >ONI20574 pep chromosome:Prunus_persica_NCBIv2:G2:2245360:2248577:1 gene:PRUPE_2G023000 transcript:ONI20574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDVSSCNTYNYGDALYWDARYVEEGGSFDWYQRYSSLRPFVRNYIPTSSRVLMVGCGNAVMSEDMVADGYEDIMNVDISSVAIDMMKRKYEHIPQLKYMQMDVRDMSVFPDESFEGVIDKGFLNLEGSIC >ONI20575 pep chromosome:Prunus_persica_NCBIv2:G2:2245360:2248577:1 gene:PRUPE_2G023000 transcript:ONI20575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDVSSCNTYNYGDALYWDARYVEEGGSFDWYQRYSSLRPFVRNYIPTSSRVLMVGCGNAVMSEDMVADGYEDIMNVDISSVAIDMMKRKYEHIPQLKYMQMDVRDMSVFPDESFEGVIDKGFLNLEGSIC >ONI20569 pep chromosome:Prunus_persica_NCBIv2:G2:2245360:2248577:1 gene:PRUPE_2G023000 transcript:ONI20569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDVSSCNTYNYGDALYWDARYVEEGGSFDWYQRYSSLRPFVRNYIPTSSRVLMVGCGNAVMSEDMVADGYEDIMNVDISSVAIDMMKRKYEHIPQLKYMQMDVRDMSVFPDESFEGVIDKGTLDSLMCGNDAPISAAQMLGEVSRLLKPGGIYLLITYGDPTVRMPHLIRPVYNWKVNLYIIPRPGFQRPEGCSSSIKSYLEPIPITEKGLLPAEFVLEDPDCHFIYVCKKDDMELSSATTYGLTVDLLQ >ONI20568 pep chromosome:Prunus_persica_NCBIv2:G2:2245360:2248577:1 gene:PRUPE_2G023000 transcript:ONI20568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDVSSCNTYNYGDALYWDARYVEEGGSFDWYQRYSSLRPFVRNYIPTSSRVLMVGCGNAVMSEDMVADGYEDIMNVDISSVAIDMMKRKYEHIPQLKYMQMDVRDMSVFPDESFEGVIDKGTLDSLMCGNDAPISAAQMLGEVSRLLKPGGIYLLITYGDPTVRMPHLIRPVYNWKVNLYIIPRPGFQRPEGCSSSIKSYLEPIPITEKGLLPLLGVKHQ >ONI20572 pep chromosome:Prunus_persica_NCBIv2:G2:2245490:2247556:1 gene:PRUPE_2G023000 transcript:ONI20572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDVSSCNTYNYGDALYWDARYVEEGGSFDWYQRYSSLRPFVRNYIPTSSRVLMVGCGNAVMSEDMVADGYEDIMNVDISSVAIDMMKRKYEHIPQLKYMQMDVRDMSVFPDESFEGVIDKGTLDSLMCGNDAPISAAQMLGEVSRLLKPGGIYLLITYGDPTVRMPHLIRPVYNWKVNLYIIRKFSFHVILVRPFSCSNIYHLSIHFPEVILLPPCYLIHT >ONI23367 pep chromosome:Prunus_persica_NCBIv2:G2:22614975:22615966:-1 gene:PRUPE_2G184600 transcript:ONI23367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRKWSLLTGPVAILGGIVGTVVVGHYLLIENDWMKSEPRKADASTSSK >ONI24471 pep chromosome:Prunus_persica_NCBIv2:G2:26088269:26090890:-1 gene:PRUPE_2G241800 transcript:ONI24471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEMEKRRGEINTNRDDENWVYDSSVDHKGRVPLRASTGVWKASLFIITIEFSERLSYFGIATNLISYLTQVIHQDIKTAAKNVNFWAGVTTIMPLIGGFLADAYTGRFNMVLFSSLIYIMGLSLLTIAQFIPSLKPCNTKMCLEPRKIHEVVLFIALYFITVGTGGHKPCLQSFGADQFDDDHLEERKKKMSYFNWWNFALCCGLLLGVTVIVYVQDYVSWGVADLILTITMAITIVTFYMGKACYRYRVPEGSPLIPMLQVLVAAIRKRNLPNPSSPALLFEVPKSQKHCQGRLLLHTNRLRFLDKAAIIEEHVSTSYEQKYNPWRLTTLTQVEEVKLILNMIPIWLTSLTFGVCLAQASTFFVKQAATMNLNITDNFKIPPASIYSLGAIAMLISVTVYDKILVPILRKATGNERGINILHRIGFGMIFSVMAMSAAALVERRRLKADEPQSMSVFWLAPQYIILGLGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGVGSFISSFLIMAVDHVTEKGGRSWFGKDLNSSRLDNFYWLLAAMNGLNLCFYGLLARGYTYKNVERRVVVVTDV >ONI23834 pep chromosome:Prunus_persica_NCBIv2:G2:24355502:24362341:-1 gene:PRUPE_2G211000 transcript:ONI23834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTCIPSTFSPILHNLTTRNSITMVGLLSYAPKSLRPLSLTKSFSFSSLSTARKPPGKLFQFQVRSVAAPAEAVAGFDDMVSGTQRKYYLLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGTLVPVDGPEAPLFALEINPEKAREEFRNVNQQNGGTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVIQFLESPEYSMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLKQKISSATSAIKSVFGQEQPQLGAADKLERLRERMIKVRELFRDTDSTEFVIVTIPTVMAVSESSRLHASLKKESVPVNRLIVNQILPPSASDCKFCSIKRKDQLRALDMIRSDTELAGLTLIQAPLVDMEIRGVPALRFLGDIIWK >ONI23833 pep chromosome:Prunus_persica_NCBIv2:G2:24355303:24362466:-1 gene:PRUPE_2G211000 transcript:ONI23833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARMLQRRIGSLFLSNQQQHAKLFKEQFVPSVSSVLGKYGFDKRDFQTQTNATNLVNEGLADHGDGSLKPNCDSNNSPSLSNAISSSDVKFSAAANLKTSPRHDLAMLFTCKVCETRSMKTCSRESYESGVVVARCGGCDNLHLIADHLGYFGAPGTIEDFLAARGEEKETMASSTCIPSTFSPILHNLTTRNSITMVGLLSYAPKSLRPLSLTKSFSFSSLSTARKPPGKLFQFQVRSVAAPAEAVAGFDDMVSGTQRKYYLLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGTLVPVDGPEAPLFALEINPEKAREEFRNVNQQNGGTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVIQFLESPEYSMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLKQKISSATSAIKSVFGQEQPQLGAADKLERLRERMIKVRELFRDTDSTEFVIVTIPTVMAVSESSRLHASLKKESVPVNRLIVNQILPPSASDCKFCSIKRKDQLRALDMIRSDTELAGLTLIQAPLVDMEIRGVPALRFLGDIIWK >ONI23835 pep chromosome:Prunus_persica_NCBIv2:G2:24355502:24360148:-1 gene:PRUPE_2G211000 transcript:ONI23835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTCIPSTFSPILHNLTTRNSITMVGLLSYAPKSLRPLSLTKSFSFSSLSTARKPPGKLFQFQVRSVAAPAEAVAGFDDMVSGTQRKYYLLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGTLVPVDGPEAPLFALEINPEKAREEFRNVNQQNGGTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVIQFLESPEYSMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLKQKISSATSAIKSVFGQEQPQLGAADKLERLRERMIKVRELFRDTDSTEFVIVTIPTVMAVSESSRLHASLKKESVPVNRLIVNQILPPSASDCKFCSIKRKDQLRALDMIRSDTELAGLTLIQAPLVDMEIRGVPALRFLGDIIWK >ONI23836 pep chromosome:Prunus_persica_NCBIv2:G2:24355303:24362466:-1 gene:PRUPE_2G211000 transcript:ONI23836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTCIPSTFSPILHNLTTRNSITMVGLLSYAPKSLRPLSLTKSFSFSSLSTARKPPGKLFQFQVRSVAAPAEAVAGFDDMVSGTQRKYYLLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGTLVPVDGPEAPLFALEINPEKAREEFRNVNQQNGGTGVKDFMDGMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVIQFLESPEYSMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLKQKISSATSAIKSVFGQEQPQLGAADKLERLRERMIKVRELFRDTDSTEFVIVTIPTSVSHLGCMPP >ONI25907 pep chromosome:Prunus_persica_NCBIv2:G2:30090437:30095883:1 gene:PRUPE_2G325900 transcript:ONI25907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLTYSSSFLCTTNPLLSSHCSQSNTTALKSLTSTSTSTKPKTTTNVNMNIIVKPSSSSVRPDPWSLSDGNHPDRPKPKSKNPKKPLSDDNARRIIKAKANYLSALRRNQGPQAHTPKWIKRTPEQMVSYLQDDRNGHLYGRHVVAAIKHVRALSEKAEGQYDMRTVMASFVGKLSFREMCVVLKEQKGWRQLSYRPSVIVYTIVLRVYGQVGKIKLAEQTFLEMLESGCEPDEVACGTMLCTYARWGRHKAMLAFYSAVQEREILLSVAVYNFMLSSLQKKSLHGKVIEIWRQMVDIGVVPNKFTYTVVICSLVKEGLHDEALKNFIELKNAGFVPEEATYSLLISLSTKSGKYNEALRLYEDMRSLGIVPSNYTCASLLTLYYKTEDYSKALSLFSEMERKKIAADEVIYGLLIRIYGKLGLYEDAQTAFTEMEQLGLLSDQKTYLAMTQVHLNSGNCEKALEVIELMKSRKNIWLSRFAYIVLLQCYVMKEDLSSAEVTFQALSKTGLPDAGSCNDMLNLYIRLDLIEQAKDFIAQIRRDRVDLDEELCRTVMRVYCKEGMLRDAEKFVEELGTNGLYQDSRFIQTISWAMYERKEGKFLTFDQHDTVALGLVLSLYLADGNISETEKVLASLLEASSGLSIVSQLIKNFIREGDAFKAETHINQLAKLSCRVDDATVGSLISLYGKKHNLKKALEIFTAFADSPLAKKLLCNSMLDAYAKCGKPQEAYSLYKQLSEEGHDLDAVAISIVVNVLTNSGEHREAENVIRKSLEHHVKLDTVAYNTFIKAMLEAGRLRFASSIYECMLSEGVIPSIQTYSTMISVYGRGRKLERAVEMFNTACSLGLSLDEKAYMNLISYCGKAGKRQEASLLFTKMREQGIKPGMVSYNIMINVYAAGGLYKEAEELFKAMQQDGCSPDSFTYLSLVRAYTESLKYTEAEETINSMPENGVYRSCAHFNLLLSAFSKMGLIGEAERIYEKLLGAGLNPDMACYQTMLRGYMDYGLVEEGIKFFEQISESVEADRFILSAAVHFYKSGGKGLEAENVLHSMSNLGISFLENLEVGSKLKS >ONI25906 pep chromosome:Prunus_persica_NCBIv2:G2:30090437:30095883:1 gene:PRUPE_2G325900 transcript:ONI25906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLTYSSSFLCTTNPLLSSHCSQSNTTALKSLTSTSTSTKPKTTTNVNMNIIVKPSSSSVRPDPWSLSDGNHPDRPKPKSKNPKKPLSDDNARRIIKAKANYLSALRRNQGPQAHTPKWIKRTPEQMVSYLQDDRNGHLYGRHVVAAIKHVRALSEKAEGQYDMRTVMASFVGKLSFREMCVVLKEQKGWRQVRDLFSWMKLQLSYRPSVIVYTIVLRVYGQVGKIKLAEQTFLEMLESGCEPDEVACGTMLCTYARWGRHKAMLAFYSAVQEREILLSVAVYNFMLSSLQKKSLHGKVIEIWRQMVDIGVVPNKFTYTVVICSLVKEGLHDEALKNFIELKNAGFVPEEATYSLLISLSTKSGKYNEALRLYEDMRSLGIVPSNYTCASLLTLYYKTEDYSKALSLFSEMERKKIAADEVIYGLLIRIYGKLGLYEDAQTAFTEMEQLGLLSDQKTYLAMTQVHLNSGNCEKALEVIELMKSRKNIWLSRFAYIVLLQCYVMKEDLSSAEVTFQALSKTGLPDAGSCNDMLNLYIRLDLIEQAKDFIAQIRRDRVDLDEELCRTVMRVYCKEGMLRDAEKFVEELGTNGLYQDSRFIQTISWAMYERKEGKFLTFDQHDTVALGLVLSLYLADGNISETEKVLASLLEASSGLSIVSQLIKNFIREGDAFKAETHINQLAKLSCRVDDATVGSLISLYGKKHNLKKALEIFTAFADSPLAKKLLCNSMLDAYAKCGKPQEAYSLYKQLSEEGHDLDAVAISIVVNVLTNSGEHREAENVIRKSLEHHVKLDTVAYNTFIKAMLEAGRLRFASSIYECMLSEGVIPSIQTYSTMISVYGRGRKLERAVEMFNTACSLGLSLDEKAYMNLISYCGKAGKRQEASLLFTKMREQGIKPGMVSYNIMINVYAAGGLYKEAEELFKAMQQDGCSPDSFTYLSLVRAYTESLKYTEAEETINSMPENGVYRSCAHFNLLLSAFSKMGLIGEAERIYEKLLGAGLNPDMACYQTMLRGYMDYGLVEEGIKFFEQISESVEADRFILSAAVHFYKSGGKGLEAENVLHSMSNLGISFLENLEVGSKLKS >ONI23383 pep chromosome:Prunus_persica_NCBIv2:G2:22695124:22698497:-1 gene:PRUPE_2G185800 transcript:ONI23383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGDSMEAQYIQRHHRHEPRETQCTSALVRHIKAPAHLVWSLVRRFDQPQKYKPFVSRCTMKGDLGIGSVREVNVKSGLPATTSTERLELLDDDEHILGIKIVGGDHRLRNYSSIITVHPEVIDGRLGTLVIESFVVDVPDGNTKDETCYFVEALIRCNLKSLADVSERMAVQDRTEPINH >ONI24887 pep chromosome:Prunus_persica_NCBIv2:G2:27344042:27347809:1 gene:PRUPE_2G267700 transcript:ONI24887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRRISASPRPCNGRRVVAKKRPRVGGVDGFVNSVKKLQRREISSKRDRAFTMSDAQERFRNIRLQEEYDTHDPKGHCAMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDNIYKVFDLKNYTMLYSISDKHVQEIKISPGIMLLIFTKASSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNFELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNAGSINISNILTGKCLAKIRASNNKEIECGSSCNSKKRALSSRIRSTVAEALEDITALFYDEERNEIYTGNRLGLVHVWSN >ONI24885 pep chromosome:Prunus_persica_NCBIv2:G2:27344253:27347457:1 gene:PRUPE_2G267700 transcript:ONI24885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRRISASPRPCNGRRVVAKKRPRVGGVDGFVNSVKKLQRREISSKRDRAFTMSDAQERFRNIRLQEEYDTHDPKGHCAMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRGKTNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDNIYKVFDLKNYTMLYSISDKHVQEIKISPGIMLLIFTKASSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNFELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNAGSINISNILTGKCLAKIRASNNKEIECGSSCNSKKRALSSRIRSTVAEALEDITALFYDEERNEIYTGNRLGLVHVWSN >ONI24886 pep chromosome:Prunus_persica_NCBIv2:G2:27344253:27347457:1 gene:PRUPE_2G267700 transcript:ONI24886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRRISASPRPCNGRRVVAKKRPRVGGVDGFVNSVKKLQRREISSKRDRAFTMSDAQERFRNIRLQEEYDTHDPKGHCAMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDNIYKVFDLKNYTMLYSISDKHVQEIKISPGIMLLIFTKASSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNFELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGKYCSINISNILTGKCLAKIRASNNKEIECGSSCNSKKRALSSRIRSTVAEALEDITALFYDEERNEIYTGNRLGLVHVWSN >ONI24888 pep chromosome:Prunus_persica_NCBIv2:G2:27344253:27347794:1 gene:PRUPE_2G267700 transcript:ONI24888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRRISASPRPCNGRRVVAKKRPRVGGVDGFVNSVKKLQRREISSKRDRAFTMSDAQERFRNIRLQEEYDTHDPKGHCAMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDNIYKVFDLKNYTMLYSISDKHVQEIKISPGIMLLIFTKASSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNFELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIRASNNKEIECGSSCNSKKRALSSRIRSTVAEALEDITALFYDEERNEIYTGNRLGLVHVWSN >ONI24190 pep chromosome:Prunus_persica_NCBIv2:G2:25457328:25461362:1 gene:PRUPE_2G229600 transcript:ONI24190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNKRLKQKLRETLAQSLAKTLGFGGFGPCLLILFREFFSKSHHFAEMVLSNRRLKQKLRETLAQSLVESVAKTDPQTDGSENPDPNSEPQSLKVLLDSVTQKPRLSKREKRRKLLALRGSEAVSGSGTGGNFEENKGEEDKGEVESEDLGDEKKEEKKKKKRKRDEEEKNGVLSSEENEVVKEEAKKPKKKNKKKKKKKNKKRKKEATNEEEKKDGELGSEEQSVKETNNNSDRQTNGDVPTKVYVGGIPYYSTEDDIRSYFESCGTITEVDCLRFPDSGKFRGIAIISLKTEAAAKRALALDGAEIIGQFSRDSNGTYCLRGELFLKIQPYKATRANKVSDFAPQIVEGYNRIYVGNLSWDITEDDLKKLFSDCKISSIHFGMDKETGEFRGYAHVNFSDSLSLTLALKLDQKVVCGRPVKISCAVPLKRAGTPSNSAPTNSSTHSISVAPTTGANTIPVATTTDTGADNTELSNVSGKIKRRTCYQCGEKGHLSSACPMAATTFSSTHSIAVTTTTSADSIPVATTTDTGADDIGLSAVSGKIKRRTCYQCGEKGHLSSACPITATTFSSTHSISIATTISTDSIPVATTTSTNLIPVAATTNTNSIPVATTTGADNGGLSAIGGKIKRRTCYECGEKGHISSACPKKQSADNNASM >ONI24400 pep chromosome:Prunus_persica_NCBIv2:G2:25907710:25915315:1 gene:PRUPE_2G238500 transcript:ONI24400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDTYSKMMSEMSMRSMLKNGEDLSMLIREQRRQHEASEREKEELNLYRSGSAPPTVEGSLNAVGGLFEDSALSGFTKNGSKGFATEEELRADPAYVTYYYSNVNLNPRLPPPLVSKEDWRFAQRFQGGGGGGGGGGGGSAVGGIGDRRIGGRSGGEGGDVNRSLFSVQPGVGGKEENGVAGRKAPAEWGGDGLIGLPGLGLGSRQKSIAEIIQDDIHNTNVSRHPSRPASRNAFDDGVETSETQFAHLHRDLASIDALRSGGNKQGMSAVQNVGSSGSHTYASALGASLSRSTTPDPQLIARAPSPRIPPVGGGRASSMDKKIANGQNSFNGASPNVNDSADLAAALSGMNLSANGRIDEENHARSQIQHEIDNHHNLFDIQGDRSHMKQNSYLNKPDSGNFHLHSVSQSSKNSYQNMGRGSGFGRDLNHPSYMSDDPVEINNPAASANSYLRGPVPGLNGRGSSFSQYQNVDSTSFPNYGLGGYSVSPSSPSMMGNPLGNGSLPPLFENAAAASAMGGLDSGAFGGGMSLGPNLLAAAAELQNMNRLGNHTAGSAVQVPMMDPLYLQYLRSNEYAAAQVAALNDPTKDREGMGNMYMDLLGLQKAYLGQLLSPQKSQFGVPYIGNPARHSDRNLRFSSGMRNMGGGLMGAWHSETGGNFDENFASTLLDEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATAEEKNMVFDEIMPQALSLMTDVFGNYVIQKFFEHGTASQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVELDQQTKMVGELDGHVMRCVRDQNGNHVVQKCIECVPEDAIQFVVSTFYDQVVTLSTHPYGCRVIQRVLEHCHDPRTQQIMMDEILQSVCTLAQDQYGNYVVQHVLEHGKPHERSAIIKELTGQIVQMSQQKFASNVIEKCLSFGTLAERQALVTEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISILAPHASAA >ONI24399 pep chromosome:Prunus_persica_NCBIv2:G2:25907243:25915320:1 gene:PRUPE_2G238500 transcript:ONI24399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDTYSKMMSEMSMRSMLKNGEDLSMLIREQRRQHEASEREKEELNLYRSGSAPPTVEGSLNAVGGLFEDSALSGFTKNGSKGFATEEELRADPAYVTYYYSNVNLNPRLPPPLVSKEDWRFAQRFQGGGGGGGGGGGGSAVGGIGDRRIGGRSGGEGGDVNRSLFSVQPGVGGKEENGVAGRKAPAEWGGDGLIGLPGLGLGSRQKSIAEIIQDDIHNTNVSRHPSRPASRNAFDDGVETSETQFAHLHRDLASIDALRSGGNKQGMSAVQNVGSSGSHTYASALGASLSRSTTPDPQLIARAPSPRIPPVGGGRASSMDKKIANGQNSFNGASPNVNDSADLAAALSGMNLSANGRIDEENHARSQIQHEIDNHHNLFDIQGDRSHMKQNSYLNKPDSGNFHLHSVSQSSKNSYQNMGRGSGFGRDLNHPSYMSDDPVEINNPAASANSYLRGPVPGLNGRGSSFSQYQNVDSTSFPNYGLGGYSVSPSSPSMMGNPLGNGSLPPLFENAAAASAMGGLDSGAFGGGMSLGPNLLAAAAELQNMNRLGNHTAGSAVQVPMMDPLYLQYLRSNEYAAAQVAALNDPTKDREGMGNMYMDLLGLQKAYLGQLLSPQKSQFGVPYIGKSGSLNHGYYGNPAYGLGMSYSGTALGGPLLPNSPVGPGSPARHSDRNLRFSSGMRNMGGGLMGAWHSETGGNFDENFASTLLDEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATAEEKNMVFDEIMPQALSLMTDVFGNYVIQKFFEHGTASQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVELDQQTKMVGELDGHVMRCVRDQNGNHVVQKCIECVPEDAIQFVVSTFYDQVVTLSTHPYGCRVIQRVLEHCHDPRTQQIMMDEILQSVCTLAQDQYGNYVVQHVLEHGKPHERSAIIKELTGQIVQMSQQKFASNVIEKCLSFGTLAERQALVTEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISILAPHASAA >ONI22289 pep chromosome:Prunus_persica_NCBIv2:G2:17708347:17710488:1 gene:PRUPE_2G119100 transcript:ONI22289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >ONI21907 pep chromosome:Prunus_persica_NCBIv2:G2:15332178:15336802:-1 gene:PRUPE_2G098100 transcript:ONI21907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSANDNKLRFCIDRGGTFTDVYAEIPGQPDGQVLKLLSVDPSNYDDAPVEGIRRILEEFTGKKISRASKIPTDKIEWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPKIFDLTVSKPSNLYEEVIEVDERVELANDNQDSSSASLVKGVSGEMVKVVKPIDVETLKPLLQGLLEKGISCLAVVLMHSYTYPQHEVAVERLAESLGFRHVSLSSALTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSKFDEGVEKVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFGLETEKPLIGFDMGGTSTDVSRYAGTYEQVLETQIAGAIIQAPQLDISTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELAVTDANLVLGYVIPDYFPSIFGPNEDEPLDIRATRDEFDKLASQINSYRKSQDPSAKDMTVEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMKEVLIHRFCGILSAYGMGLADVVEEAQEPYSAVYSLESVQEASHREAILLSQVRQKLQEQGFRDENMTTETYLNLRYEGTDTSIMVKKRITEDGRGCNYNLDFVELFQQEYGFKLLNRNILICDVRVRGVGVTNILKPLALERTSCSPKVEGNYKVYFGNGWQETPLYKLEKLGYGHIMAGPAIIMNGNSTVIVEPNCKAIITKYGNIKIEIDSTSSTMKVVEKVANVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQINYWGDNLSEGDVLVTNHPCAGGSHLPDITVITPVFDNGKLVFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAALKAFKLVEKGIFQEEGITKLLRFPCSDELAQKIPGTRRLQDNLSDLRAQVAANKRGITLIKELIEQYGLDTVQAYMTYVQLNAEEAVREMLKSVAARVLSQPSSSGDRSSVTIEEEDYMDDGSIIHLKLTIDSDNGEANFDFSGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKIYIPPGSFLSPSDKAAVVGGNVLTSQRITDVVLTAFQACACSQGCMNNLTFGDETFGYYETIGGGSGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHKFGLRENSGGVGYHKGGDGLVREIEFKRPIVVSILSERRVHTPRGLKGGKDGARGANFLITQDKRRVYLGGKNTVEVQPGEILQILTPGGGGWGSPL >ONI22076 pep chromosome:Prunus_persica_NCBIv2:G2:16312912:16321481:1 gene:PRUPE_2G105500 transcript:ONI22076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLRLSVVLYQFCDIHVLSLSELRTSPFGAPGEYPFFVEIAQDETSQVTAISGLIEMFKWRDVILLYENTDYGRDIIPFFINSFEEANVTIVYKSCIAASSADEQIIEELRNLTKLKTTVFVVHVSHFLVPRLFLNAQKLGLLSEGYSTQGVLGLKSYTPASTRLHNLTSRLRRIFYMQDPNIEVSAVTPDGIWAYDATWALAEAVERTSSSTGLNLVNLNNITSSKHGLLLLQEILQTRFKGLSGEEIQYPNGKLVSTAIEIVNVIGKGERRVGFWPCSKMQVSTSSEIKLRVGVPVKKGFNELVHMNLDIQTNRTRFTGFCIDVFEAAIRALPYEVKYDFVPFEISINASYNDLVYQVFLQKFDAVVGDTTITSERSQYVDFTIPYIDLGTWFFLKPLSADLWITSAGFFILTGFVVWVIERPVNPELQGTPSQQIGTILWFAFSTLVFAHREKLLNNLAKFVVIIWLFAVLILTSSYTATLTSTMTVNQIRLNSRGNYIGYQSGSVTRGVVKNLNFKGLKLYSSVEEYADALSRGSKHGGVSAIVDEVPYIKIFLAYHPTGYSMIKPESGTNGFGFVFPKGSKLVHDMSMQIQQIREEGKLIEMEKVWFHKRTILMFDNTTSDPNTLNFHTFRGLFLVTGVSLAFSLFIFIIFPLKEKWYAVKKFRFRYRVREKLQRVKKFFYHKRRDFLVQYIPTGEKVADVLTKDLYYPVFSKHCTNLRLGPYSEIDGGCLACHMSVISYAKHVKTRFPSAILRLGMSGPTGGRNSKAPTPEHPTPCVALKLCPTRADWIIIHRNIQRHGDDPKHYTKSFHTPEHPTPHMESVSPAGTSNAASETSNWGRYLRSVITQLLSKITFFHITHQQPKYLIYREYI >ONI22732 pep chromosome:Prunus_persica_NCBIv2:G2:20364281:20369264:-1 gene:PRUPE_2G147400 transcript:ONI22732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGDTKHPSVFLKDMSTNGTYVNWKKLTKGGPEAEVRHGDIISPSAPPQHDVAFAFVYREVLVSNTSTDGTFAKRKAEDFVSDTKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLETQVVTIDTLRNENRLAVERHENEKKELKESVARPYLDQLSELHHTLEIKQKDLVDASRISAETKHAIEDLNERLSAAMQSCSEANEIVNSQKASIAELKAQLDEERNQRREEREKAAADLKAAVQKAQLEAEEEIKRFSDAATRRQREQQEVINKLQESERETCLLLETLRTKLEDTRQKLVISDYKVRQLETQLSEEQSTSESRKIRVEELEHEMRGLRKELESEKAAREEAWAKVSALELEINAAMRDLDFERRRLKAARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKSMQRTLEDEENYDNTSVDIDLNVTVGDISGTEGRGNEAIGYHNNITGKAGSATTLQRSDRNQVVTSSDEVSVTEKHDCDIRSQEGQHTEEVEFTSADHGVKGGFGSEIDGVGTAPIMEGDGIETEQVPETESPGINGEQNIDLNKIVTFDGDTMQLDDEANIQENDEQVPMICQERHSQSNSPRETLKDMGDTEGCGAIRTADLIASEVIGSWACSTAPSLGGDNESQRSRDNNEEGAAGPHDSTDQVAESQSNPSSDAAARRQNRERQALSEMIGIVAPDLKGQFGGTVDDSDDHGREKEGTASDSDTESCSNNEEDNRTDAEGGSISDSETEGSDQVAEDKKLGDAMDEDEQDTEDSLG >ONI22729 pep chromosome:Prunus_persica_NCBIv2:G2:20364281:20369874:-1 gene:PRUPE_2G147400 transcript:ONI22729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVGESDVPSNPTPTKPNGAVSTTPPQANQCTRQFMTSVASKIASQPLQNYDPGVWGVLTAISDQARKRSQGINILLTADEHYIGRTVADVRFQIESTAVSARHCKIYRKMVANGDTKHPSVFLKDMSTNGTYVNWKKLTKGGPEAEVRHGDIISPSAPPQHDVAFAFVYREVLVSNTSTDGTFAKRKAEDFVSDTKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLETQVVTIDTLRNENRLAVERHENEKKELKESVARPYLDQLSELHHTLEIKQKDLVDASRISAETKHAIEDLNERLSAAMQSCSEANEIVNSQKASIAELKAQLDEERNQRREEREKAAADLKAAVQKAQLEAEEEIKRFSDAATRRQREQQEVINKLQESERETCLLLETLRTKLEDTRQKLVISDYKVRQLETQLSEEQSTSESRKIRVEELEHEMRGLRKELESEKAAREEAWAKVSALELEINAAMRDLDFERRRLKAARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKSMQRTLEDEENYDNTSVDIDLNVTVGDISGTEGRGNEAIGYHNNITGKAGSATTLQRSDRNQVVTSSDEVSVTEKHDCDIRSQEGQHTEEVEFTSADHGVKGGFGSEIDGVGTAPIMEGDGIETEQVPETESPGINGEQNIDLNKIVTFDGDTMQLDDEANIQENDEQVPMICQERHSQSNSPRETLKDMGDTEGCGAIRTADLIASEVIGSWACSTAPSLGGDNESQRSRDNNEEGAAGPHDSTDQVAESQSNPSSDAAARRQNRERQALSEMIGIVAPDLKGQFGGTVDDSDDHGREKEGTASDSDTESCSNNEEDNRTDAEGGSISDSETEGSDQVAEDKKLGDAMDEDEQDTEDSLG >ONI22731 pep chromosome:Prunus_persica_NCBIv2:G2:20364281:20369874:-1 gene:PRUPE_2G147400 transcript:ONI22731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVGESDVPSNPTPTKPNGAVSTTPPQANQCTRQFMTSVASKIASQPLQNYDPGVWGVLTAISDQARKRSQGINILLTADEHYIGRTVADVRFQIESTAVSARHCKIYRKMVANGDTKHPSVFLKDMSTNGTYVNWKKLTKGGPEAEVRHGDIISPSAPPQHDVAFAFVYREVLVSNTSTDGTFAKRKAEDFVSDTKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLETQVVTIDTLRNENRLAVERHENEKKELKESVARPYLDQLSELHHTLEIKQKDLVDASRISAETKHAIEDLNERLSAAMQSCSEANEIVNSQKASIAELKAQLDEERNQRREEREKAAADLKAAVQKAQLEAEEEIKRFSDAATRRQREQQEVINKLQESERETCLLLETLRTKLEDTRQKLVISDYKVRQLETQLSEEQSTSESRKIRVEELEHEMRGLRKELESEKQAAREEAWAKVSALELEINAAMRDLDFERRRLKAARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKSMQRTLEDEENYDNTSVDIDLNVTVGDISGTEGRGNEAIGYHNNITGKAGSATTLQRSDRNQVVTSSDEVSVTEKHDCDIRSQEGQHTEEVEFTSADHGVKGGFGSEIDGVGTAPIMEGDGIETEQVPETESPGINGEQNIDLNKIVTFDGDTMQLDDEANIQENDEQVPMICQERHSQSNSPRETLKDMGDTEGCGAIRTADLIASEVIGSWACSTAPSLGGDNESQRSRDNNEEGAAGPHDSTDQVAESQSNPSSDAAARRQNRERQALSEMIGIVAPDLKGQFGGTVDDSDDHGREKEGTASDSDTESCSNNEEDNRTDAEGGSISDSETEGSDQVAEDKKLGDAMDEDEQDTEDSLG >ONI22730 pep chromosome:Prunus_persica_NCBIv2:G2:20363769:20370097:-1 gene:PRUPE_2G147400 transcript:ONI22730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVGESDVPSNPTPTKPNGAVSTTPPQANQCTRQFMTSVASKIASQPLQNYDPGVWGVLTAISDQARKRSQGINILLTADEHYIGRTVADVRFQIESTAVSARHCKIYRKMVANGDTKHPSVFLKDMSTNGTYVNWKKLTKGGPEAEVRHGDIISPSAPPQHDVAFAFVYREVLVSNTSTDGTFAKRKAEDFVSDTKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLETQVVTIDTLRNENRLAVERHENEKKELKESVARPYLDQLSELHHTLEIKQKDLVDASRISAETKHAIEDLNERLSAAMQSCSEANEIVNSQKASIAELKAQLDEERNQRREEREKAAADLKAAVQKAQLEAEEEIKRFSDAATRRQREQQEVINKLQESERETCLLLETLRTKLEDTRQKLVISDYKVRQLETQLSEEQSTSESRKIRVEELEHEMRGLRKELESEKAAREEAWAKVSALELEINAAMRDLDFERRRLKAARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKSMQRTLEDEENYDNTSVDIDLNVTVGDISGTEGRGNEAIGYHNNITGKAGSATTLQRSDRNQVVTSSDEVSVTEKHDCDIRSQEGQHTEEVEFTSADHGVKGGFGSEIDGVGTAPIMEGDGIETEQVPETESPGINGEQNIDLNKIVTFDGDTMQLDDEANIQENDEQVPMICQERHSQSNSPRETLKDMGDTEGCGAIRTADLIASEVIGSWACSTAPSLGGDNESQRSRDNNEEGAAGPHDSTDQVAESQSNPSSDAAARRQNRERQALSEMIGIVAPDLKGQFGGTVDDSDDHGREKEGTASDSDTESCSNNEEDNRTDAEGGSISDSETEGSDQVAEDKKLGDAMDEDEQDTEDSLG >ONI22733 pep chromosome:Prunus_persica_NCBIv2:G2:20364281:20369264:-1 gene:PRUPE_2G147400 transcript:ONI22733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGDTKHPSVFLKDMSTNGTYVNWKKLTKGGPEAEVRHGDIISPSAPPQHDVAFAFVYREVLVSNTSTDGTFAKRKAEDFVSDTKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLETQVVTIDTLRNENRLAVERHENEKKELKESVARPYLDQLSELHHTLEIKQKDLVDASRISAETKHAIEDLNERLSAAMQSCSEANEIVNSQKASIAELKAQLDEERNQRREEREKAAADLKAAVQKAQLEAEEEIKRFSDAATRRQREQQEVINKLQESERETCLLLETLRTKLEDTRQKLVISDYKVRQLETQLSEEQSTSESRKIRVEELEHEMRGLRKELESEKQAAREEAWAKVSALELEINAAMRDLDFERRRLKAARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKSMQRTLEDEENYDNTSVDIDLNVTVGDISGTEGRGNEAIGYHNNITGKAGSATTLQRSDRNQVVTSSDEVSVTEKHDCDIRSQEGQHTEEVEFTSADHGVKGGFGSEIDGVGTAPIMEGDGIETEQVPETESPGINGEQNIDLNKIVTFDGDTMQLDDEANIQENDEQVPMICQERHSQSNSPRETLKDMGDTEGCGAIRTADLIASEVIGSWACSTAPSLGGDNESQRSRDNNEEGAAGPHDSTDQVAESQSNPSSDAAARRQNRERQALSEMIGIVAPDLKGQFGGTVDDSDDHGREKEGTASDSDTESCSNNEEDNRTDAEGGSISDSETEGSDQVAEDKKLGDAMDEDEQDTEDSLG >ONI22728 pep chromosome:Prunus_persica_NCBIv2:G2:20363769:20370097:-1 gene:PRUPE_2G147400 transcript:ONI22728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVGESDVPSNPTPTKPNGAVSTTPPQANQCTRQFMTSVASKIASQPLQNYDPGVWGVLTAISDQARKRSQGINILLTADEHYIGRTVADVRFQIESTAVSARHCKIYRKMVANGDTKHPSVFLKDMSTNGTYVNWKKLTKGGPEAEVRHGDIISPSAPPQHEDFVSDTKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLETQVVTIDTLRNENRLAVERHENEKKELKESVARPYLDQLSELHHTLEIKQKDLVDASRISAETKHAIEDLNERLSAAMQSCSEANEIVNSQKASIAELKAQLDEERNQRREEREKAAADLKAAVQKAQLEAEEEIKRFSDAATRRQREQQEVINKLQESERETCLLLETLRTKLEDTRQKLVISDYKVRQLETQLSEEQSTSESRKIRVEELEHEMRGLRKELESEKAAREEAWAKVSALELEINAAMRDLDFERRRLKAARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKSMQRTLEDEENYDNTSVDIDLNVTVGDISGTEGRGNEAIGYHNNITGKAGSATTLQRSDRNQVVTSSDEVSVTEKHDCDIRSQEGQHTEEVEFTSADHGVKGGFGSEIDGVGTAPIMEGDGIETEQVPETESPGINGEQNIDLNKIVTFDGDTMQLDDEANIQENDEQVPMICQERHSQSNSPRETLKDMGDTEGCGAIRTADLIASEVIGSWACSTAPSLGGDNESQRSRDNNEEGAAGPHDSTDQVAESQSNPSSDAAARRQNRERQALSEMIGIVAPDLKGQFGGTVDDSDDHGREKEGTASDSDTESCSNNEEDNRTDAEGGSISDSETEGSDQVAEDKKLGDAMDEDEQDTEDSLG >ONI24675 pep chromosome:Prunus_persica_NCBIv2:G2:26737803:26738513:-1 gene:PRUPE_2G254600 transcript:ONI24675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPWLKSPSRVHTARAPRSQRSFSCSSFKDIQNLCEPQPESEALSPRSASILHRVKISTSVLRLWAHRNATPHSPKLPDGDQRVVIYYTSLRVVRRTFEDCKTVRSILRGFRAPIDERDLSMDVKFLDELQEITGNKTLTLPMVFIGGLFIGGAEEVKQLHESGELKRLIQGLPVVDPRACDFCGGLRFVLCQKCNGSHKVYSDKGGFRPCTVCNVNGLIMCPSCSPLRRRHKEV >ONI24182 pep chromosome:Prunus_persica_NCBIv2:G2:25436988:25445710:1 gene:PRUPE_2G229100 transcript:ONI24182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYMDQSADASAQPMEQEEEMEEPEEIEPPPAAVPFSRLFTCADRLDWVLMTVGSLAAAAHGTALVVYLHYFAKIIQILWMGKNHPGDQPPPTDISEEQFQKFMDLALSIIYIATGVFAAGWIEVSCWILTGERQTAVIRSNYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLIIGFINCWQIAAITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVSQGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAFRLFEMISRSSSTVNHEGTTLVTVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDATVDQIEEAAKIAHAHTFITSLEGSYDTQVGRAGLALTEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERAVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLTLDGLYAELLKCEEAAKLPRRMPLRNYKETATFQIEKDSSASHSFQEPSSPKMMKSPSLQRASGMFRMGDGNFNSEESPNARSPPAEKMLENGQPLDSADKEPSIKRQDSFEMRLPELPKIDVQSVNQQTLNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDFPMKLKEEKSTHQKKAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRGDEGHHLSQEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEAGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDSAAIIVAVLIGMLLQWRLALVALATLPILTISAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKKIFKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTAISVRNKYMDLPTAIKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIEPDENSAMKPPNVYGSIELKNVDFCYPTRPELLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKVYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLMAKNGLYVRLMQPHFGKGLRQHRLV >ONI24183 pep chromosome:Prunus_persica_NCBIv2:G2:25438611:25445710:1 gene:PRUPE_2G229100 transcript:ONI24183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSIIYIATGVFAAGWIEVSCWILTGERQTAVIRSNYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLIIGFINCWQIAAITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVSQGKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAFRLFEMISRSSSTVNHEGTTLVTVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIAYGRDATVDQIEEAAKIAHAHTFITSLEGSYDTQVGRAGLALTEEQKIKLSIARAVLLNPSILLLDEVTGGLDFEAERAVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLTLDGLYAELLKCEEAAKLPRRMPLRNYKETATFQIEKDSSASHSFQEPSSPKMMKSPSLQRASGMFRMGDGNFNSEESPNARSPPAEKMLENGQPLDSADKEPSIKRQDSFEMRLPELPKIDVQSVNQQTLNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDFPMKLKEEKSTHQKKAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRGDEGHHLSQEVDKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEAGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDSAAIIVAVLIGMLLQWRLALVALATLPILTISAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKKIFKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTAISVRNKYMDLPTAIKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIEPDENSAMKPPNVYGSIELKNVDFCYPTRPELLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKVYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLMAKNGLYVRLMQPHFGKGLRQHRLV >ONI21319 pep chromosome:Prunus_persica_NCBIv2:G2:7341187:7347246:1 gene:PRUPE_2G059000 transcript:ONI21319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTQRDSSSLPPPSRWKYDVFLSFRGETRKTFTAHLHKELLDQGITETFLDETELQEGKPISEVFSAIAQSRFAILVISQDYASSTWCLNELLKILKCMEGRGAILPIFYSVESSNVGKQLGSFEQAFTKLEERFKHDINKVKSWRDALRTVAKIKGWTTKDRYEPQLIREIVDKVRTLVRPTWSEPEEKLVGIDSTLEHLRRLLDTDTESVDVRFIGIWGLSGIGKTTIAERTYARILHKFERSCFLDRVRDKISQTDGLKNLKRELCKSLMKRNIEDWAFDIKGTIKRLLSRKKVLLVLDDVDDNSQLEDLCGNQDGFAPGSRVIITARSERLLSRHGVGRTFEVHKLNDQDALQLFSLKAFGRDYPDMPCVALSKCFVSYANGLPLALKLWGSSLRKADQDEWGSKLGKLKDNFDGKIMDRLKINFDGLDEEEKSIFLDIACFFMGKYKDEVVERLCGSRSSVDNAIKVLIRCSLLTVSDNMVWMHNLLQEMGKAIVRGESKEPGERSRLWLSKDIFYVLRNNTGTSAVEGIVLDHRESEVCECHPEAFSKMFNLKFLKLHNVYLHKDLACLPNSLQFLEWKGYPLDSLPIDFKPDKLVELSMCHSKIEQLWSGIKDFDKLKVIRLCHSKSLTSTPDFKEVQNLERLDLEGCESLVEIHSSIRVLKKLIFLNLKDCKSLESLPGEIAMECLEILILSGCSNVKRIPKFVGHMENLWKISLDETAIEDIPSSIQGLTKLSVLDIRDCVNLTQLPSTIGNLKFLKSLNASGCTQLAELPASFQELVSLEKLDLSGTAIEKWPFSVLHLKKLKSFIFRGPKGRSPQPWHVLLPFRWPLKCLQPMSQFLPPLSGLCSLRELDLSDHNLRDGTILADIGCLSSLVSLDLSGNDFVSLPESISKLSQLENLYLSGCQSLEYLPVLSSSKSLQVTADCCTSLERLQHPLNLDTLSSSCFNLMNCFGLVKNESHDNKTLTMLRKYLERTSYPGDRFEIVIPGSKIPWWFSRQRVGSSVSILVTPKWCDNKWMGYALCAVFEVFSSGWELSCVLEVNGKKEYPAPVLLTDVQPVSDHLWLFYVSRDISFGTEWQKSCDQLTFSFESSGPIWVKKCGARLIYEKDVEEFNKVVAQSSSNVGEGSSGTRRFDEEPHFKRFKKT >ONI21322 pep chromosome:Prunus_persica_NCBIv2:G2:7341178:7347246:1 gene:PRUPE_2G059000 transcript:ONI21322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTQRDSSSLPPPSRWKYDVFLSFRGETRKTFTAHLHKELLDQGITETFLDETELQEGKPISEVFSAIAQSRFAILVISQDYASSTWCLNELLKILKCMEGRGAILPIFYSVESSNVGKQLGSFEQAFTKLEERFKHDINKVKSWRDALRTVAKIKGWTTKDRYEPQLIREIVDKVRTLVRPTWSEPEEKLVGIDSTLEHLRRLLDTDTESVDVRFIGIWGLSGIGKTTIAERTYARILHKFERSCFLDRVRDKISQTDGLKNLKRELCKSLMKRNIEDWAFDIKGTIKRLLSRKKVLLVLDDVDDNSQLEDLCGNQDGFAPGSRVIITARSERLLSRHGVGRTFEVHKLNDQDALQLFSLKAFGRDYPDMPCVALSKCFVSYANGLPLALKLWGSSLRKADQDEWGSKLGKLKDNFDGKIMDRLKINFDGLDEEEKSIFLDIACFFMGKYKDEVVERLCGSRSSVDNAIKVLIRCSLLTVSDNMVWMHNLLQEMGKAIVRGESKEPGERSRLWLSKDIFYVLRNNTGTSAVEGIVLDHRESEVCECHPEAFSKMFNLKFLKLHNVYLHKDLACLPNSLQFLEWKGYPLDSLPIDFKPDKLVELSMCHSKIEQLWSGIKDFDKLKVIRLCHSKSLTSTPDFKEVQNLERLDLEGCESLVEIHSSIRVLKKLIFLNLKDCKSLESLPGEIAMECLEILILSGCSNVKRIPKFVGHMENLWKISLDETAIEDIPSSIQGLTKLSVLDIRDCVNLTQLPSTIGNLKFLKSLNASGCTQLAELPASFQELVSLEKLDLSGTAIEKWPFSVLHLKKLKSFIFRGPKGRSPQPWHVLLPFRWPLKCLQPMSQFLPPLSGLCSLRELDLSDHNLRDGTILADIGCLSSLVSLDLSGNDFVSLPESISKLSQLENLYLSGCQSLEYLPVLSSSKSLQVTADCCTSLERLQHPLNLDTLSSSCFNLMNCFGLVKNESHDNKTLTMLRKYLERTSYPGDRFEIVIPGSKIPWWFSRQRVGSSVSILVTPKWCDNKWMGYALCAVFEVFSSGWELSCVLEVNGKKEYPAPVLLTDVQPVSDHLWLFYVSRDISFGTEWQKSCDQLTFSFESSGPIWVKKCGARLIYEKDVEEFNKVVAQSSSNVGEGSSGTRRFDEEPHFKRFKKT >ONI21321 pep chromosome:Prunus_persica_NCBIv2:G2:7341149:7347251:1 gene:PRUPE_2G059000 transcript:ONI21321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTQRDSSSLPPPSRWKYDVFLSFRGETRKTFTAHLHKELLDQGITETFLDETELQEGKPISEVFSAIAQSRFAILVISQDYASSTWCLNELLKILKCMEGRGAILPIFYSVESSNVGKQLGSFEQAFTKLEERFKHDINKVKSWRDALRTVAKIKGWTTKDRYEPQLIREIVDKVRTLVRPTWSEPEEKLVGIDSTLEHLRRLLDTDTESVDVRFIGIWGLSGIGKTTIAERTYARILHKFERSCFLDRVRDKISQTDGLKNLKRELCKSLMKRNIEDWAFDIKGTIKRLLSRKKVLLVLDDVDDNSQLEDLCGNQDGFAPGSRVIITARSERLLSRHGVGRTFEVHKLNDQDALQLFSLKAFGRDYPDMPCVALSKCFVSYANGLPLALKLWGSSLRKADQDEWGSKLGKLKDNFDGKIMDRLKINFDGLDEEEKSIFLDIACFFMGKYKDEVVERLCGSRSSVDNAIKVLIRCSLLTVSDNMVWMHNLLQEMGKAIVRGESKEPGERSRLWLSKDIFYVLRNNTGTSAVEGIVLDHRESEVCECHPEAFSKMFNLKFLKLHNVYLHKDLACLPNSLQFLEWKGYPLDSLPIDFKPDKLVELSMCHSKIEQLWSGIKDFDKLKVIRLCHSKSLTSTPDFKEVQNLERLDLEGCESLVEIHSSIRVLKKLIFLNLKDCKSLESLPGEIAMECLEILILSGCSNVKRIPKFVGHMENLWKISLDETAIEDIPSSIQGLTKLSVLDIRDCVNLTQLPSTIGNLKFLKSLNASGCTQLAELPASFQELVSLEKLDLSGTAIEKWPFSVLHLKKLKSFIFRGPKGRSPQPWHVLLPFRWPLKCLQPMSQFLPPLSGLCSLRELDLSDHNLRDGTILADIGCLSSLVSLDLSGNDFVSLPESISKLSQLENLYLSGCQSLEYLPVLSSSKSLQVTADCCTSLERLQHPLNLDTLSSSCFNLMNCFGLVKNESHDNKTLTMLRKYLERTSYPGDRFEIVIPGSKIPWWFSRQRVGSSVSILVTPKWCDNKWMGYALCAVFEVFSSGWELSCVLEVNGKKEYPAPVLLTDVQPVSDHLWLFYVSRDISFGTEWQKSCDQLTFSFESSGPIWVKKCGARLIYEKDVEEFNKVVAQSSSNVGEGSSGTRRFDEEPHFKRFKKT >ONI21320 pep chromosome:Prunus_persica_NCBIv2:G2:7341187:7347246:1 gene:PRUPE_2G059000 transcript:ONI21320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTQRDSSSLPPPSRWKYDVFLSFRGETRKTFTAHLHKELLDQGITETFLDETELQEGKPISEVFSAIAQSRFAILVISQDYASSTWCLNELLKILKCMEGRGAILPIFYSVESSNVGKQLGSFEQAFTKLEERFKHDINKVKSWRDALRTVAKIKGWTTKDRYEPQLIREIVDKVRTLVRPTWSEPEEKLVGIDSTLEHLRRLLDTDTESVDVRFIGIWGLSGIGKTTIAERTYARILHKFERSCFLDRVRDKISQTDGLKNLKRELCKSLMKRNIEDWAFDIKGTIKRLLSRKKVLLVLDDVDDNSQLEDLCGNQDGFAPGSRVIITARSERLLSRHGVGRTFEVHKLNDQDALQLFSLKAFGRDYPDMPCVALSKCFVSYANGLPLALKLWGSSLRKADQDEWGSKLGKLKDNFDGKIMDRLKINFDGLDEEEKSIFLDIACFFMGKYKDEVVERLCGSRSSVDNAIKVLIRCSLLTVSDNMVWMHNLLQEMGKAIVRGESKEPGERSRLWLSKDIFYVLRNNTGTSAVEGIVLDHRESEVCECHPEAFSKMFNLKFLKLHNVYLHKDLACLPNSLQFLEWKGYPLDSLPIDFKPDKLVELSMCHSKIEQLWSGIKDFDKLKVIRLCHSKSLTSTPDFKEVQNLERLDLEGCESLVEIHSSIRVLKKLIFLNLKDCKSLESLPGEIAMECLEILILSGCSNVKRIPKFVGHMENLWKISLDETAIEDIPSSIQGLTKLSVLDIRDCVNLTQLPSTIGNLKFLKSLNASGCTQLAELPASFQELVSLEKLDLSGTAIEKWPFSVLHLKKLKSFIFRGPKGRSPQPWHVLLPFRWPLKCLQPMSQFLPPLSGLCSLRELDLSDHNLRDGTILADIGCLSSLVSLDLSGNDFVSLPESISKLSQLENLYLSGCQSLEYLPVLSSSKSLQVTADCCTSLERLQHPLNLDTLSSSCFNLMNCFGLVKNESHDNKTLTMLRKYLERTSYPGDRFEIVIPGSKIPWWFSRQRVGSSVSILVTPKWCDNKWMGYALCAVFEVFSSGWELSCVLEVNGKKEYPAPVLLTDVQPVSDHLWLFYVSRDISFGTEWQKSCDQLTFSFESSGPIWVKKCGARLIYEKDVEEFNKVVAQSSSNVGEGSSGTRRFDEEPHFKRFKKT >ONI22425 pep chromosome:Prunus_persica_NCBIv2:G2:18520748:18525333:-1 gene:PRUPE_2G128000 transcript:ONI22425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINASPVSDFIFLCREIGDGSWDSWTVPLLEQTTIACEKVKNISELSEGYNMVGLSQGNMVGRGVIEFCDGGPPVKNFISLAGPHAGTASIPFCGSEWICALLDNLIKSEIYSSYVQEHLSPSGYLKIPTDIAAYLKGCRFLPRLNNERKTMSNSTYKERFSSLEKLVLIMFEQDTILIPKETSWFGYFPDGAFDPILPAQETKLYTEDWIGLKTLDEAGKVKFIKVSGGHLHISRSDMKKYVVPYLENEASMQPKIAEPSSHKSFSSIWNNFLDLFGLTEHRQLVLTVV >ONI22424 pep chromosome:Prunus_persica_NCBIv2:G2:18520748:18527393:-1 gene:PRUPE_2G128000 transcript:ONI22424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPVSIIFIFFFIITLIPLIHSVPFIVFHGIGDKCSNRGVTQFTELLSNWSGSQGYCIEIGDGSWDSWTVPLLEQTTIACEKVKNISELSEGYNMVGLSQGNMVGRGVIEFCDGGPPVKNFISLAGPHAGTASIPFCGSEWICALLDNLIKSEIYSSYVQEHLSPSGYLKIPTDIAAYLKGCRFLPRLNNERKTMSNSTYKERFSSLEKLVLIMFEQDTILIPKETSWFGYFPDGAFDPILPAQETKLYTEDWIGLKTLDEAGKVKFIKVSGGHLHISRSDMKKYVVPYLENEASMQPKIAEPSSHKSFSSIWNNFLDLFGLTEHRQLVLTVV >ONI22768 pep chromosome:Prunus_persica_NCBIv2:G2:20518506:20523245:1 gene:PRUPE_2G149800 transcript:ONI22768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGDAPPLPASNGGEFLLSLLQQKPHLLHHQQQHQHQQQQQQSLVLDPAVAAVGPTLPFPPIPPWASSNGRDHLSQLPNPSSSSLWSTQSPPSPFNFLGFPQNPYPSPSPPNPFPQFGGNQFPGNLALTDDLRNLVGFQSPSNNALQSQNLAQLKQQHQEQQKLKFSYLPSDIIRNPEPPVTANTSSEVSNLSNGFDRSLNLNPNNSSSSNEFRHGNPDTFNSREQERRGGGGGGAGRGKQFQRNTPPPGFGNNSRGGGNWDSGSRRRDFEHNVDRERQSSSEFVRNRDASFEDERVRRLASEDSRIRGNGARGLGFSAQLDDPGPPTGANLHSASASEIEKSMMNLQHEKDDKNEEDDKNEAKQHHNSREKALTRARVPIVKLMDPVTGISCDICINNVLAVINTKLLRDYAKIDARLRQLAFIVKHWAKSRGVNETYQGTLSSYAYVLMCIHFLQQRRPAVLPCLQEMQSTYSVTVENIECAFFDQVDKLRDFGSHNREPIAKLVWAFFNYWAYGHDYANSVISVRTGSILSKREKDWTRRIGNDRHLICIEDPFEISHDLGRVVDKYSIKVLREEFERAAGIMQYDANPCVKLFEPYVPGV >ONI22767 pep chromosome:Prunus_persica_NCBIv2:G2:20518506:20523245:1 gene:PRUPE_2G149800 transcript:ONI22767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGDAPPLPASNGGEFLLSLLQQKPHLLHHQQQHQHQQQQQQSLVLDPAVAAVGPTLPFPPIPPWASSNGRDHLSQLPNPSSSSLWSTQSPPSPFNFLGFPQNPYPSPSPPNPFPQFGGNQFPGNLALTDDLRNLVGFQSPSNNALQSQNLAQLKQQHQEQQKLKFSYLPSDIIRNPEPPVTANTSSEVSNLSNGFDRSLNLNPNNSSSSNEFRHGNPDTFNSREQERRGGGGGGAGRGKQFQRNTPPPGFGNNSRGGGNWDSGSRRRDFEHNVDRERQSSSEFVRNRDASFEDERVRRLASEDSRIRGNGARGLGFSAQLDDPGPPTGANLHSASASEIEKSMMNLQHEKDDKNEEDDKNEAKQHHNSREKDSRSDNRGQHLLSQRMRIFKSQMQCRFDIDRLNAPFLAIYDSLIPTEEEKAKQNQLFTLLETLITKEWPEAQLYVYGSCGNSFGVSKSDIDLCLAIDVADDNKSEILLRLADILQSDNLQNVQALTRARVPIVKLMDPVTGISCDICINNVLAVINTKLLRDYAKIDARLRQLAFIVKHWAKSRGVNETYQGTLSSYAYVLMCIHFLQQRRPAVLPCLQEMQSTYSVTVENIECAFFDQVDKLRDFGSHNREPIAKLVWAFFNYWAYGHDYANSVISVRTGSILSKREKDWTRRIGNDRHLICIEDPFEISHDLGRVVDKYSIKVLREEFERAAGIMQYDANPCVKLFEPYVPGV >ONI25586 pep chromosome:Prunus_persica_NCBIv2:G2:29383444:29387138:-1 gene:PRUPE_2G310600 transcript:ONI25586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGRLQPSDPGGSSRHHLADQPQNSLSSLSKSNPNPNNKKKLILISLISAALILASAVSAVLLLVARSKASPQSSGPHLKPTQAISDACAKTQFPALCVNSLLDFPGSVHASEQDLVHISFNMTLQHLSKALYLSSSISYLQMDPHSRSAYDDCLELLDSSIDALSRSLTSVAPGAATPASTQDVLTWLSAALTNQDTCGEGLSQVSGPVKSEMDTRLRDLSELVSNCLAIYSAIGAGDDFSGVPIQNRRRLLNSMERDIMGDNADVSRDLPRWLSSRERKLLSVPVSQIQADIIVSKDGNGTYKTIAEAIKKAPEYSSRRIVIYVRAGRYEENNLKVGRKKTNLMFIGDGKGKTVITGGRSVSNNITTFHTASFAATGAGFIARDITFENWAGPGKHQAVALRIGADHAVVYRCSINGYQDTFYVHSNRQFIRETDIYGTVDFIFGNAAVVFQNCSIYARKPLPSQKNTITAQNRKDPNQNTGISIHACRILATSDLEAAKGSFPTYLGRPWKLYSRVVYMLSYIGDHVHPRGWLEWNTTFALDTLYYGEYMNSGPGGAVGQRVNWPGYRVITSPVEAGKFTVAQFIYGSSWLPSTGVAFLAGLSV >ONI25836 pep chromosome:Prunus_persica_NCBIv2:G2:29923247:29927877:-1 gene:PRUPE_2G322600 transcript:ONI25836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGEKKEMMEDEKSKEEMTRVETLGFNELLSYADALDWSMMFLGTLGSIVHGMAFPVGYLLLGKALDAFGSNINDTDATVKSLNKVIPYVWYMAFATFPAGILEIGCWMYSSERQVARLRLAYLRAVLSQEIGAFDTDLTSGKIITGISNHMSIIQDAIGEKLGHFLSCLATFFSGILIAAICCWEVALLTFLVVPLILIIGATYTKKMNAISAARMLYQSEATSMVEQTISQIKTVYAFVGEKSAIKSFSECMGKQYLLSKGEALIKGVGTGMLQSVSFGSWALVIWVGAVVVTATRASGGDIIAAVMSILFGAISLTYAAPDMQIFNQAKAAGTEVFKVLNREPVISYDSKGKTLDEIYGNIDIHDVHFSYPSRPERAILQGFSLSIPAGQTVAFVGSSGCGKSTIISLVARFYDPSKGEILIDNHNVKDLDLKFLRKNIGAVSQEPSLFGGTIKDNMKVGKMDAEDEEIQKAAVMANAHSFISQLPDDYSTEVGQRGVQLSGGQKQRIAIARAILKNPPILLLDEATSALDSESEKVVQDALDKAMQGRTVILIAHRLSTVINADMIAVVENGQVTETGTHRNLLDSSKFYNTLFAMQNLNPVHDSRDTSSSQEPANTQQISPEEIEQAKEPREPDSQLKESPKHEEQERRKAAIFFRIWFDLNKRDFGKIALGSFAAAFSGISKPIFGYCIITIGVAYYENDAKRKVEKFSIVFSVIGFLSLFSHTVQHYFFGMVGEKAMTNLRRALYSGVLRNEIAWFEKPENNIGPLTSRIINDTSMVKTIIADRMSVIVQCISSILIATIVSMAVNWRMGLVAWAVMPCHFIGGLIQAKSAKGFSGDAAAAHSELVTLASESATNIRTVASFCHEDHILRKAKISLENPRRKCRRESIKYGIIQGVSLCLWNIAHAVALWYTTVLVDRHQASFKNSIRSYQIFSLTVPSITELWTLIPTVISAISVLTPAFQTLDRKTEIEPAIPENSNLDRIKGSIEFQNIKFNYPLRPEVTVLNNFSLQIEAGRKVAFVGPSGAGKSSVLALLLRFYDPMEGRILIDRKEIREYNLRWLRRQIGLVQQEPLLFSSSIKANICYGTDGASETEIVEVSREANIDEFISNLPDGYETVVGEKGCQLSGGQKQRIAIARTLLKRPAILLLDEATSALDAESEKSVVSALAAINLTNNGGILSKTTQITVAHRLSTIINSDTIIVMDKGKIVEIGSHSALITASEGVYSRLYQLQNLAEE >ONI21680 pep chromosome:Prunus_persica_NCBIv2:G2:12432734:12434400:1 gene:PRUPE_2G080700 transcript:ONI21680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIHARSQDILDYMGEVLKHLGTQEMEECLVYAAIFRAVRSGIIEFIIRLCKVDPDILWRRNSMGRNIFQYSIECRQEKVYSLIYGVGQRNLIATFADASGNDMLHLAGMLSPTEKLDRISGAALQMQRERQWFKEVKSLVVLPSGVGAFNNQGMRPHELFTQNHNKLKEEGEKWMKDTATSCTVVAFTVPGGNNGGTGFPLFLDENMFMVFIVSDAISLFSSTTSVLMFLGILTSRYAEDDFLKSLPTKMIIGLSSLLISIAFMMVAFCSALFLMLHERLWIVIPIIFLASIPVTLFIWMQFPLLVEIFISTYGGGIFDKKVRRWP >ONI22529 pep chromosome:Prunus_persica_NCBIv2:G2:19258152:19260995:1 gene:PRUPE_2G134900 transcript:ONI22529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYEWGNPSTVMLSPDNDPGSDPTRQHHNTTTIFDHYASQASQASAFNNLVPPPPPPQQQSHHAAFAHHFNSAQAQQLHSIYDAHAYANASAYAPPNHHPLLSLDPIQGGAAHGLILVPKSEDLCRPVDFASRIGLNLGGRTYFSSEDDFMNRLYRRTRPATETGSNHAPRCQAEGCTADLSHAKHYHRRHKVCEFHSKASTVIANGLTQRFCQQCSRFHLLPEFDNGKRSCRRRLADHNRRRRKTQQTNQENHNSQVQQLVENARNSSSDNVARSPPESAAHSASSVTVALSPPRMTLDCFRQRPYQAAATTSSGSSSSLFFSSG >ONI22528 pep chromosome:Prunus_persica_NCBIv2:G2:19258967:19260995:1 gene:PRUPE_2G134900 transcript:ONI22528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYEWGNPSTVMLSPDNDPGSDPTRQHHNTTTIFDHYASQASQASAFNNLVPPPPPPQQQSHHAAFAHHFNSAQAQQLHSIYDAHAYANASAYAPPNHHPLLSLDPIQGGAAHGLILVPKSEDLCRPVDFASRIGLNLGGRTYFSSEDDFMNRLYRRTRPATETGSNHAPRCQAEGCTADLSHAKHYHRRHKVCEFHSKASTVIANGLTQRFCQQCSRFHLLPEFDNGKRSCRRRLADHNRRRRKTQQTNQENHNSQVQQLVENARNSSSDNVARSPPESAAHSASSVTVALSPPRMTLDCFRQRPYQAAATTSSGSSSSLFFSSG >ONI23236 pep chromosome:Prunus_persica_NCBIv2:G2:22195309:22195533:-1 gene:PRUPE_2G176800 transcript:ONI23236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGISHRKAQIDDGNKVCRMEREWLASWWVRCRLGWCLNNKGKSRRGKGWLLDWGLEGGGHGEKGRWVVAVWV >ONI20343 pep chromosome:Prunus_persica_NCBIv2:G2:948039:952335:-1 gene:PRUPE_2G010400 transcript:ONI20343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNETGCQAPPEAPKLCANNCGFFGSAATMNLCSKCHKDLILKQEQAKVAAASIDCAVNGNPNDRGKEPIATVDVDVQAGSADLMLISTQASSTPSLDIKSEEKVKETPNRCGTCKKRVGLTGFNCRCGNLFCSLHRYSDKHNCPYDYRSAAQDAIAKANPVVKAEKLDKI >ONI20344 pep chromosome:Prunus_persica_NCBIv2:G2:948170:952205:-1 gene:PRUPE_2G010400 transcript:ONI20344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNETGCQAPPEAPKLCANNCGFFGSAATMNLCSKCHKDLILKQEQAKVAAASIDCAVNGNPNDRGKEPIATVDVDVQAGSADLMLISTQASSTPSLDIKSEEKVKETPNRCGTCKKRVGLTGFNCRCGNLFCSLHRYSDKHNCPYDYRSAAQDAIAKANPVVKAEKLDKI >ONI20345 pep chromosome:Prunus_persica_NCBIv2:G2:948154:952287:-1 gene:PRUPE_2G010400 transcript:ONI20345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNETGCQAPPEAPKLCANNCGFFGSAATMNLCSKCHKDLILKQEQAKVAAASIDCAVNGNPNDRGKEPIATVDVDVQAGSADLMLISTQASSTPSLDIKSEEKVKETPNRCGTCKKRVGLTGFNCRCGNLFCSLHRYSDKHNCPYDYRSAAQDAIAKANPVVKAEKLDKI >ONI25497 pep chromosome:Prunus_persica_NCBIv2:G2:29185529:29189951:1 gene:PRUPE_2G306700 transcript:ONI25497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELERAQNPWMSTEQTQRVGIDGRDLSSPESTTTDRDGVEFSAAQPPSSSYNNTSDWQLGIAERALSAAGAAFLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNVTSRMAYFGPSMLFADLRCSPSCTHAGIQGTVSICPPDCFKYKGTLDVFYKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWLEEFTAHNAPSTTAYVPLVAGSLARSLACATCYPIELARTRMQAFKVIQNGRKPPGVLKTLFGVLSHVKTTSNVQNLQGYRVLWTGMGAQLARDVPFSAICWSTLEPLRRKLLGLVGDEANAVSVLGANFSAAFVAGSLAAAATCPLDVAKTRRQIENDPVRALKMTTRQTLMEIWRGGGLKGLFMGVGPRVGRAGPSVGIVVSFYEVVKYVLHQRYSTS >ONI25499 pep chromosome:Prunus_persica_NCBIv2:G2:29185800:29190012:1 gene:PRUPE_2G306700 transcript:ONI25499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELERAQNPWMSTEQTQRVGIDGRDLSSPESTTTDRDGVEFSAAQPPSSSYNNTSDWQLGIAERALSAAGAAFLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNVTSRMAYFGPSMLFADLRCSPSCTHAGIQGTVSICPPDCFKYKGTLDVFYKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWLEEFTAHNAPSTTAYVPLVAGSLARSLACATCYPIELARTRMQAFKVIQNGRKPPGVLKTLFGVLSHVKTTSNVQNLQGYRVLWTGMGAQLARDVPFSAICWSTLEPLRRKLLGLVGDEANAVSVLGANFSAAFVAGSLAAAATCPLDVAKTRRQIENDPVRALKMTTRQTLMEIWRGGGLKGLFMGVGPRVGRAGPSVGIVVSFYEVVKYVLHQRYSTS >ONI25498 pep chromosome:Prunus_persica_NCBIv2:G2:29185697:29189874:1 gene:PRUPE_2G306700 transcript:ONI25498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELERAQNPWMSTEQTQRVGIDGRDLSSPESTTTDRDGVEFSAAQPPSSSYNNTSDWQLGIAERALSAAGAAFLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNVTSRMAYFGPSMLFADLRCSPSCTHAGIQGTVSICPPDCFKYKGTLDVFYKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWLEEFTAHNAPSTTAYVPLVAGSLARSLACATCYPIELARTRMQAFKVIQNGRKPPGVLKTLFGVLSHVKTTSNVQNLQGYRVLWTGMGAQLARDVPFSAICWSTLEPLRRKLLGLVGDEANAVSVLGANFSAAFVAGSLAAAATCPLDVAKTRRQIENDPVRALKMTTRQTLMEIWRGGGLKGLFMGVGPRVGRAGPSVGIVVSFYEVVKYVLHQRYSTS >ONI22540 pep chromosome:Prunus_persica_NCBIv2:G2:19324008:19325528:-1 gene:PRUPE_2G135500 transcript:ONI22540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVVTILDGCDFFTIVGMQNLIDRCLVTIDEYKKPEKRSRLWHHKDSLSVLREKNGSKKVEGLALNMHMYPVENRSRNSNKVVLETIAFTRMVKLRLLQLSSVQLNGGYEEFPKGLRWLYWLEFPLESVPCDFLLESLVVLEMHHSSLRKIWKGTKSLPSLKILDRSYSRHLIETGDFSLVTNLERLIPNQCRSLVDVHESIGNLEKLIYLNMKDCENIRRLPKSISMLTSLETLIISGCSSLNEFPMEMGKMESLKVFQADGIPIDQLHTSTLPCNLVVLSLTNCNLSDDAFPKDFGNLSSLQSLDLSHNPIRSLPDCIRGLTGLDHLAFSQCTRLESLVRLPRITELVAIHCESLERVTFQSISCLPEKFIYGYNFKLAEIEYWYKLEPIGMVDVEMRKLLGLCNLNSMEAIRMCYKK >ONI21047 pep chromosome:Prunus_persica_NCBIv2:G2:5495225:5498717:1 gene:PRUPE_2G047800 transcript:ONI21047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRQMLFNSRAILGPYLATGSARFSTKSNPYLVKVGIPEFLNGIGNGVESHVAKLEAEIGDFQKLLVTRTLKLKKLGVPCKHRKLILKYTHKYRLGLWRPLAQAIKS >ONI21048 pep chromosome:Prunus_persica_NCBIv2:G2:5496438:5498717:1 gene:PRUPE_2G047800 transcript:ONI21048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRQMLFNSRAILGPYLATGSARFSTKSNPYLVKVGIPEFLNGIGNGVESHVAKLEAEIGDFQKLLVTRTLKLKKLGVPCKHRKLILKYTHKYRLGLWRPLAQAIKS >ONI21768 pep chromosome:Prunus_persica_NCBIv2:G2:13851051:13854467:-1 gene:PRUPE_2G087700 transcript:ONI21768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSEENANIRDHHRRLLAAKYELRRNLYKALCKDPSLPSDLREENRFKLSKLPRNSSFTRVRNRCIFSGRARAVYETFRMSRIVFRTLASKGMLNGIKKASW >ONI21767 pep chromosome:Prunus_persica_NCBIv2:G2:13851050:13854524:-1 gene:PRUPE_2G087700 transcript:ONI21767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSEENANIRDHHRRLLAAKYELRRNLYKALCKDPSLPSDLREENRFKLSKLPRNSSFTRVRNRCIFSGRARAVYETFRMSRIVFRTLASKGMLNGIKKASW >ONI23495 pep chromosome:Prunus_persica_NCBIv2:G2:23069246:23070731:1 gene:PRUPE_2G191300 transcript:ONI23495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTERLGIDPSGKGRNGGEELKDTKLPKPTPWKIGGFYGVFEGRDGMPVSGYVIWRDLNKRTRDMHAVAKIESKLRSERDNEQREYGMSGLARPELVPIDPVRLDGKNYPIWARRMEFFLKELKVEYVLYEPCPSIIVGSVAFSGGLTELKDAKEKWIKDDFLGLRTILNYLCDDLLHRYGKRKKTTSAKQLWDDLKLMFGTKKYLVRKYMDFQMVDEKPLVEQIQEFNRIFDEVVASGMTLSEKFHVSAILSKLPASWKHVNIKLKRNIDEPLTLEVLMDHLRIEEEYVCV >ONI24064 pep chromosome:Prunus_persica_NCBIv2:G2:24966969:24969151:-1 gene:PRUPE_2G221800 transcript:ONI24064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTTLMKLVAPCWKPSVEGENSNNRGGDVSGRLDGLLWHKDSGHHVNGDFSMAVIQANNLLEDHSQLESGPLSSLESGPHGTFVGIYDGHGGPEASRFLNEHLFNNFKTIHGAEFTSENQGMSADVITKAFLATEEEFLSLVKKQWIIKPLLASVGSCCLVGVVCSGLLYIANAGDSRVVLGRLEKTVKQVKAVQLSIEHNASIESVREELRSLHPDDPQIVVLKHKVWRVKGLIQVSRSIGDAYLKRQEFNKEPLLAKFRLSEPFHKPILKAEPTILVQKLYPEDQFLIFASDGLWEQLSSQEAVDIVQNYPRNGIARKLVKAALHEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSHLVSRSHWHGPLLSVKGSGGVPAST >ONI24062 pep chromosome:Prunus_persica_NCBIv2:G2:24966969:24969151:-1 gene:PRUPE_2G221800 transcript:ONI24062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTTLMKLVAPCWKPSVEGENSNNRGGDVSGRLDGLLWHKDSGHHVNGDFSMAVIQANNLLEDHSQLESGPLSSLESGPHGTFVGIYDGHGGPEASRFLNEHLFNNFKKFTSENQGMSADVITKAFLATEEEFLSLVKKQWIIKPLLASVGSCCLVGVVCSGLLYIANAGDSRVVLGRLEKTVKQVKAVQLSIEHNASIESVREELRSLHPDDPQIVVLKHKVWRVKGLIQVSRSIGDAYLKRQEFNKEPLLAKFRLSEPFHKPILKAEPTILVQKLYPEDQFLIFASDGLWEQLSSQEAVDIVQNYPRNGIARKLVKAALHEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSHLVSRSHWHGPLLSVKGSGGVPAST >ONI24061 pep chromosome:Prunus_persica_NCBIv2:G2:24966669:24970342:-1 gene:PRUPE_2G221800 transcript:ONI24061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTTLMKLVAPCWKPSVEGENSNNRGGDVSGRLDGLLWHKDSGHHVNGDFSMAVIQANNLLEDHSQLESGPLSSLESGPHGTFVGIYDGHGGPEASRFLNEHLFNNFKKFTSENQGMSADVITKAFLATEEEFLSLVKKQWIIKPLLASVGSCCLVGVVCSGLLYIANAGDSRVVLGRLEKTVKQVKAVQLSIEHNASIESVREELRSLHPDDPQIVVLKHKVWRVKGLIQVSRSIGDAYLKRQEFNKEPLLAKFRLSEPFHKPILKAEPTILVQKLYPEDQFLIFASDGLWEQLSSQEAVDIVQNYPRNGIARKLVKAALHEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSHLVSRSHWHGPLLSVKGSGGVPAST >ONI24063 pep chromosome:Prunus_persica_NCBIv2:G2:24966668:24970342:-1 gene:PRUPE_2G221800 transcript:ONI24063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTTLMKLVAPCWKPSVEGENSNNRGGDVSGRLDGLLWHKDSGHHVNGDFSMAVIQANNLLEDHSQLESGPLSSLESGPHGTFVGIYDGHGGPEASRFLNEHLFNNFKTIHGAEFTSENQGMSADVITKAFLATEEEFLSLVKKQWIIKPLLASVGSCCLVGVVCSGLLYIANAGDSRVVLGRLEKTVKQVKAVQLSIEHNASIESVREELRSLHPDDPQIVVLKHKVWRVKGLIQVSRSIGDAYLKRQEFNKEPLLAKFRLSEPFHKPILKAEPTILVQKLYPEDQFLIFASDGLWEQLSSQEAVDIVQNYPRNGIARKLVKAALHEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSHLVSRSHWHGPLLSVKGSGGVPAST >ONI24290 pep chromosome:Prunus_persica_NCBIv2:G2:25608055:25610668:-1 gene:PRUPE_2G232500 transcript:ONI24290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGDQQATPKPPPNPSPLRNAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDDPLTVQAPGTQTRSFCYVSDMVDGLIRLMQGDNTGPINIGNPGEFTMIELAENVKELINPKVEIIMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEDDVRTRLGVPKNK >ONI24289 pep chromosome:Prunus_persica_NCBIv2:G2:25607389:25610923:-1 gene:PRUPE_2G232500 transcript:ONI24289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGDQQATPKPPPNPSPLRNAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDDPLTVQAPGTQTRSFCYVSDMVDGLIRLMQGDNTGPINIGNPGEFTMIELAENVKELINPKVEIIMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEDDVRTRLGVPKNK >ONI24291 pep chromosome:Prunus_persica_NCBIv2:G2:25608055:25610668:-1 gene:PRUPE_2G232500 transcript:ONI24291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGDQQATPKPPPNPSPLRNAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDDPLTVQAPGTQTRSFCYVSDMVDGLIRLMQGDNTGPINIGNPGEFTMIELAENVKELINPKVEIIMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEDDVRTRLGVPKNK >ONI24287 pep chromosome:Prunus_persica_NCBIv2:G2:25608055:25610668:-1 gene:PRUPE_2G232500 transcript:ONI24287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGDQQATPKPPPNPSPLRNAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDDPLTVQAPGTQTRSFCYVSDMVDGLIRLMQGDNTGPINIGNPGEFTMIELAENVKELINPKVEIIMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEDDVRTRLGVPKNK >ONI24288 pep chromosome:Prunus_persica_NCBIv2:G2:25608055:25610668:-1 gene:PRUPE_2G232500 transcript:ONI24288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGDQQATPKPPPNPSPLRNAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDDPLTVQAPGTQTRSFCYVSDMVDGLIRLMQGDNTGPINIGNPGEFTMIELAENVKELINPKVEIIMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEDDVRTRLGVPKNK >ONI24285 pep chromosome:Prunus_persica_NCBIv2:G2:25607389:25611063:-1 gene:PRUPE_2G232500 transcript:ONI24285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGDQQATPKPPPNPSPLRNAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDDPLTVQAPGTQTRSFCYVSDMVDGLIRLMQGDNTGPINIGNPGEFTMIELAENVKELINPKVEIIMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEDDVRTRLGVPKNK >ONI24284 pep chromosome:Prunus_persica_NCBIv2:G2:25607389:25611309:-1 gene:PRUPE_2G232500 transcript:ONI24284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGDQQATPKPPPNPSPLRNAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDDPLTVQAPGTQTRSFCYVSDMVDGLIRLMQGDNTGPINIGNPGEFTMIELAENVKELINPKVEIIMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEDDVRTRLGVPKNK >ONI24283 pep chromosome:Prunus_persica_NCBIv2:G2:25607389:25611635:-1 gene:PRUPE_2G232500 transcript:ONI24283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGDQQATPKPPPNPSPLRNAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDDPLTVQAPGTQTRSFCYVSDMVDGLIRLMQGDNTGPINIGNPGEFTMIELAENVKELINPKVEIIMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEDDVRTRLGVPKNK >ONI24286 pep chromosome:Prunus_persica_NCBIv2:G2:25607389:25611635:-1 gene:PRUPE_2G232500 transcript:ONI24286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGDQQATPKPPPNPSPLRNAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQTESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDDPLTVQAPGTQTRSFCYVSDMVDGLIRLMQGDNTGPINIGNPGEFTMIELAENVKELINPKVEIIMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEDDVRTRLGVPKNK >ONI20369 pep chromosome:Prunus_persica_NCBIv2:G2:1049281:1050267:-1 gene:PRUPE_2G012100 transcript:ONI20369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPFWTSNGSKMKLCSNISDGKTSTSQTQTKKRCLRCNTLYTDKDNSPTACSFHGHTTGEKGLFAMAPPHQGIDGEWSDRSGVIVYRWNEKSKRPNTGSGNWKKRWSCCQEYDENATPCQRGCHVSYDDGFTLY >ONI20370 pep chromosome:Prunus_persica_NCBIv2:G2:1049444:1050189:-1 gene:PRUPE_2G012100 transcript:ONI20370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPFWYPNFISVILCVSHKNRTFFMALALGIPQSFLCRTSNGSKMKLCSNISDGKTSTSQTQTKKRCLRCNTLYTDKDNSPTACSFHGHTTGEKGLFAMAPPHQGIDGEWSDRSGVIVYRWNEKSKRPNTGSGNWKKRWSCCQEYDENATPCQRGCHVSYDDGFTLY >ONI25595 pep chromosome:Prunus_persica_NCBIv2:G2:29392331:29396548:1 gene:PRUPE_2G310700 transcript:ONI25595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHEKTQALLKSEINIIKKPQDMEPEIKVAATCRHDEPITNEDRLSLSPASRLFHSPQFNCYIVIVIGFQTEINPDVVKAGLKETLYHHPRFSSKLENKDSKGGKKRWIRVSVNEEDHVFVPNLDSNIDHPDQFVEDYISNLTTAPLDLSKPLWEVHLLNVKTSYAEAVAVFRLHHSMGDGASLMSLLLACTRKTSDPDALPTVPTKTRADSSSNSSWFCWFIFAIWSGLTLIWNTLVDMMLFIATLVFLQDTKTPIKGAPGVELTAKRFVHRTVSLDHIKQVKNAMGMTINDVVFGVTQAGLSQYLIRRYGQNEKDEGSKQKRSNLPKNIRLRANVLSNLRPTVGIQAAGAIAHRVISHSTLSFSNLVGPLEEISFYGHPITFMAPYVYGHPHALHMHFQSYVDKMTICLTIDPDVIPDPNKLLDDLEESLKLICDAVLDRGLTKEEVV >ONI25593 pep chromosome:Prunus_persica_NCBIv2:G2:29392332:29396548:1 gene:PRUPE_2G310700 transcript:ONI25593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHEKTQALLKSEINIIKKPQDMEPEIKVAATCRHDEPITNEDRLSLSPASRLFHSPQFNCYIVIVIGFQTEINPDVVKAGLKETLYHHPRFSSKLENKDSKGGKKRWIRVSVNEEDHVFVPNLDSNIDHPDQFVEDYISNLTTAPLDLSKPLWEVHLLNVKTSYAEAVAVFRLHHSMGDGASLMSLLLACTRKTSDPDALPTVPTKTRADSSSNSSWFCWFIFAIWSGLTLIWNTLVDMMLFIATLVFLQDTKTPIKGAPGVELTAKRFVHRTVSLDHIKQVKNAMGMTINDVVFGVTQAGLSQYLIRRYGQNEKDEGSKQKRSNLPKNIRLRANVLSNLRPTVGIQAAGAIAHRVISHSTLSFSNLVGPLEEISFYGHPITFMAPYVYGHPHALHMHFQSYVDKMTICLTIDPDVIPDPNKLLDDLEESLKLICDAVLDRGLTKEEVV >ONI25594 pep chromosome:Prunus_persica_NCBIv2:G2:29392331:29396548:1 gene:PRUPE_2G310700 transcript:ONI25594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHEKTQALLKSEINIIKKPQDMEPEIKVAATCRHDEPITNEDRLSLSPASRLFHSPQFNCYIVIVIGFQTEINPDVVKAGLKETLYHHPRFSSKLENKDSKGGKKRWIRVSVNEEDHVFVPNLDSNIDHPDQFVEDYISNLTTAPLDLSKPLWEVHLLNVKTSYAEAVAVFRLHHSMGDGASLMSLLLACTRKTSDPDALPTVPTKTRADSSSNSSWFCWFIFAIWSGLTLIWNTLVDMMLFIATLVFLQDTKTPIKGAPGVELTAKRFVHRTVSLDHIKQVKNAMGMTINDVVFGVTQAGLSQYLIRRYGQNEKDEGSKQKRSNLPKNIRLRANVLSNLRPTVGIQAAGAIAHRVISHSTLSFSNLVGPLEEISFYGHPITFMAPYVYGHPHALHMHFQSYVDKMTICLTIDPDVIPDPNKLLDDLEESLKLICDAVLDRGLTKEEVV >ONI25587 pep chromosome:Prunus_persica_NCBIv2:G2:29392331:29396555:1 gene:PRUPE_2G310700 transcript:ONI25587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHEKTQALLKSEINIIKKPQDMEPEIKVAATCRHDEPITNEDRLSLSPASRLFHSPQFNCYIVIVIGFQTEINPDVVKAGLKETLYHHPRFSSKLENKDSKGGKKRWIRVSVNEEDHVFVPNLDSNIDHPDQFVEDYISNLTTAPLDLSKPLWEVHLLNVKTSYAEAVAVFRLHHSMGDGASLMSLLLACTRKTSDPDALPTVPTKTRADSSSNSSWFCWFIFAIWSGLTLIWNTLVDMMLFIATLVFLQDTKTPIKGAPGVELTAKRFVHRTVSLDHIKQVKNAMGMTINDVVFGVTQAGLSQYLIRRYGQNEKDEGSKQKRSNLPKNIRLRANVLSNLRPTVGIQALADMMARKSKARWGNRFGYILIPFTIALRDGPLDYVRQAKAVIDRKKHSLEAFCTYLIANVLIKIIGAKAAGAIAHRVISHSTLSFSNLVGPLEEISFYGHPITFMAPYVYGHPHALHMHFQSYVDKMTICLTIDPDVIPDPNKLLDDLEESLKLICDAVLDRGLTKEEVV >ONI25591 pep chromosome:Prunus_persica_NCBIv2:G2:29392250:29396555:1 gene:PRUPE_2G310700 transcript:ONI25591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHEKTQALLKSEINIIKKPQDMEPEIKVAATCRHDEPITNEDRLSLSPASRLFHSPQFNCYIVIVIGFQTEINPDVVKAGLKETLYHHPRFSSKLENKDSKGGKKRWIRVSVNEEDHVFVPNLDSNIDHPDQFVEDYISNLTTAPLDLSKPLWEVHLLNVKTSYAEAVAVFRLHHSMGDGASLMSLLLACTRKTSDPDALPTVPTKTRADSSSNSSWFCWFIFAIWSGLTLIWNTLVDMMLFIATLVFLQDTKTPIKGAPGVELTAKRFVHRTVSLDHIKQVKNAMGMTINDVVFGVTQAGLSQYLIRRYGQNEKDEGSKQKRSNLPKNIRLRANVLSNLRPTVGIQALADMMARKSKARWGNRFGYILIPFTIALRDGPLDYVRQAKAVIDRKKHSLEAFCTYLIANVLIKIIGAKAAGAIAHRVISHSTLSFSNLVGPLEEISFYGHPITFMAPYVYGHPHALHMHFQSYVDKMTICLTIDPDVIPDPNKLLDDLEESLKLICDAVLDRGLTKEEVV >ONI25589 pep chromosome:Prunus_persica_NCBIv2:G2:29392250:29396548:1 gene:PRUPE_2G310700 transcript:ONI25589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHEKTQALLKSEINIIKKPQDMEPEIKVAATCRHDEPITNEDRLSLSPASRLFHSPQFNCYIVIVIGFQTEINPDVVKAGLKETLYHHPRFSSKLENKDSKGGKKRWIRVSVNEEDHVFVPNLDSNIDHPDQFVEDYISNLTTAPLDLSKPLWEVHLLNVKTSYAEAVAVFRLHHSMGDGASLMSLLLACTRKTSDPDALPTVPTKTRADSSSNSSWFCWFIFAIWSGLTLIWNTLVDMMLFIATLVFLQDTKTPIKGAPGVELTAKRFVHRTVSLDHIKQVKNAMGMTINDVVFGVTQAGLSQYLIRRYGQNEKDEGSKQKRSNLPKNIRLRANVLSNLRPTVGIQALADMMARKSKARWGNRFGYILIPFTIALRDGPLDYVRQAKAVIDRKKHSLEAFCTYLIANVLIKIIGAKAAGAIAHRVISHSTLSFSNLVGPLEEISFYGHPITFMAPYVYGHPHALHMHFQSYVDKMTICLTIDPDVIPDPNKLLDDLEESLKLICDAVLDRGLTKEEVV >ONI25588 pep chromosome:Prunus_persica_NCBIv2:G2:29392332:29396555:1 gene:PRUPE_2G310700 transcript:ONI25588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHEKTQALLKSEINIIKKPQDMEPEIKVAATCRHDEPITNEDRLSLSPASRLFHSPQFNCYIVIVIGFQTEINPDVVKAGLKETLYHHPRFSSKLENKDSKGGKKRWIRVSVNEEDHVFVPNLDSNIDHPDQFVEDYISNLTTAPLDLSKPLWEVHLLNVKTSYAEAVAVFRLHHSMGDGASLMSLLLACTRKTSDPDALPTVPTKTRADSSSNSSWFCWFIFAIWSGLTLIWNTLVDMMLFIATLVFLQDTKTPIKGAPGVELTAKRFVHRTVSLDHIKQVKNAMGMTINDVVFGVTQAGLSQYLIRRYGQNEKDEGSKQKRSNLPKNIRLRANVLSNLRPTVGIQALADMMARKSKARWGNRFGYILIPFTIALRDGPLDYVRQAKAVIDRKKHSLEAFCTYLIANVLIKIIGAKAAGAIAHRVISHSTLSFSNLVGPLEEISFYGHPITFMAPYVYGHPHALHMHFQSYVDKMTICLTIDPDVIPDPNKLLDDLEESLKLICDAVLDRGLTKEEVV >ONI25592 pep chromosome:Prunus_persica_NCBIv2:G2:29392332:29396548:1 gene:PRUPE_2G310700 transcript:ONI25592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHEKTQALLKSEINIIKKPQDMEPEIKVAATCRHDEPITNEDRLSLSPASRLFHSPQFNCYIVIVIGFQTEINPDVVKAGLKETLYHHPRFSSKLENKDSKGGKKRWIRVSVNEEDHVFVPNLDSNIDHPDQFVEDYISNLTTAPLDLSKPLWEVHLLNVKTSYAEAVAVFRLHHSMGDGASLMSLLLACTRKTSDPDALPTVPTKTRADSSSNSSWFCWFIFAIWSGLTLIWNTLVDMMLFIATLVFLQDTKTPIKGAPGVELTAKRFVHRTVSLDHIKQVKNAMGMTINDVVFGVTQAGLSQYLIRRYGQNEKDEGSKQKRSNLPKNIRLRANVLSNLRPTVGIQALADMMARKSKARWGNRFGYILIPFTIALRDGPLDYVRQAKAVIDRKKHSLEAFCTYLIANVLIKIIGAKAAGAIAHRVISHSTLSFSNLVGPLEEISFYGHPITFMAPYVYGHPHALHMHFQSYVDKMTICLTIDPDVIPDPNKLLDDLEESLKLICDAVLDRGLTKEEVV >ONI25596 pep chromosome:Prunus_persica_NCBIv2:G2:29394208:29396202:1 gene:PRUPE_2G310700 transcript:ONI25596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGASLMSLLLACTRKTSDPDALPTVPTKTRADSSSNSSWFCWFIFAIWSGLTLIWNTLVDMMLFIATLVFLQDTKTPIKGAPGVELTAKRFVHRTVSLDHIKQVKNAMGMTINDVVFGVTQAGLSQYLIRRYGQNEKDEGSKQKRSNLPKNIRLRANVLSNLRPTVGIQALADMMARKSKARWGNRFGYILIPFTIALRDGPLDYVRQAKAVIDRKKHSLEAFCTYLIANVLIKIIGAKAAGAIAHRVISHSTLSFSNLVGPLEEISFYGHPITFMAPYVYGHPHALHMHFQSYVDKMTICLTIDPDVIPDPNKLLDDLEESLKLICDAVLDRGLTKEEVV >ONI25590 pep chromosome:Prunus_persica_NCBIv2:G2:29392331:29396548:1 gene:PRUPE_2G310700 transcript:ONI25590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHEKTQALLKSEINIIKKPQDMEPEIKVAATCRHDEPITNEDRLSLSPASRLFHSPQFNCYIVIVIGFQTEINPDVVKAGLKETLYHHPRFSSKLENKDSKGGKKRWIRVSVNEEDHVFVPNLDSNIDHPDQFVEDYISNLTTAPLDLSKPLWEVHLLNVKTSYAEAVAVFRLHHSMGDGASLMSLLLACTRKTSDPDALPTVPTKTRADSSSNSSWFCWFIFAIWSGLTLIWNTLVDMMLFIATLVFLQDTKTPIKGAPGVELTAKRFVHRTVSLDHIKQVKNAMGMTINDVVFGVTQAGLSQYLIRRYGQNEKDEGSKQKRSNLPKNIRLRANVLSNLRPTVGIQALADMMARKSKARWGNRFGYILIPFTIALRDGPLDYVRQAKAVIDRKKHSLEAFCTYLIANVLIKIIGAKAAGAIAHRVISHSTLSFSNLVGPLEEISFYGHPITFMAPYVYGHPHALHMHFQSYVDKMTICLTIDPDVIPDPNKLLDDLEESLKLICDAVLDRGLTKEEVV >ONI21799 pep chromosome:Prunus_persica_NCBIv2:G2:14244717:14250025:-1 gene:PRUPE_2G089900 transcript:ONI21799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMLFVEKVYMGIMIILIKLFRRRPVKHWKWEAIKDDVELGNSAYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKDLVELECQRWASKGINIKYEIRDDRNGFKAGSLKEGMKHSYVKQCDYVAIFDADFQPEPDFLYRTIPFLVHNSDIALVQARWKFVNSDECLMTRMQEMTLDYHFTVEQEVGSATYAFFGFNGTAGVWRIAALNDAGGWKDCTTVEDMDLAVRASLKGWKFVYLSDLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMVMEIVRNKKVSPLKKFHVIYSFFFVRKIVAHIVTFVFYCVILPATVLVPEVQVPIWGAVYIPSTITLLNAVGTPRSLHLLIFWILFENVMSLHRTKATFIGLFEAGRVNEWVVTEKLGDALKKKLGAKAPRKPRLRIGERLHVLELIVGFYLFFCGCYDLAFGKNGYFIYLFIQSVAFFIAGVGYVGTFVPNS >ONI21800 pep chromosome:Prunus_persica_NCBIv2:G2:14244717:14249058:-1 gene:PRUPE_2G089900 transcript:ONI21800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSYVKQCDYVAIFDADFQPEPDFLYRTIPFLVHNSDIALVQARWKFVNSDECLMTRMQEMTLDYHFTVEQEVGSATYAFFGFNGTAGVWRIAALNDAGGWKDCTTVEDMDLAVRASLKGWKFVYLSDLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMVMEIVRNKKVSPLKKFHVIYSFFFVRKIVAHIVTFVFYCVILPATVLVPEVQVPIWGAVYIPSTITLLNAVGTPRSLHLLIFWILFENVMSLHRTKATFIGLFEAGRVNEWVVTEKLGDALKKKLGAKAPRKPRLRIGERLHVLELIVGFYLFFCGCYDLAFGKNGYFIYLFIQSVAFFIAGVGYVGTFVPNS >ONI22488 pep chromosome:Prunus_persica_NCBIv2:G2:19083029:19085819:1 gene:PRUPE_2G132600 transcript:ONI22488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRWRGVLKVPLNPSASSCYRVAASLCLSRTSKTLTVPSANAIFFNGDRVSGTGNPVIERLSDIQNIAEILVSKIGDSTNAWVIDASVFNGPFAVYHDFVPSANQWGEPKSYCPVGSPAFGSIISLLSSCLQQVKNDIARGKELLKPGISASHFDLPKTLFFGFSKGGTVLNQLVTELGFSDVKPSGDPPILEEKEIGVEDEIHIIPRTKQSLLNSIREIHYVDVGLNSPGAYITDHSMIEKIPKCLMQGARGISFVLHGTPRQWCDSRRPWIRKEKDKLVHLLESESQRSGGKLQVFEKFYFADRPPDLQMHFEVIDKLDLS >ONI22487 pep chromosome:Prunus_persica_NCBIv2:G2:19083175:19085747:1 gene:PRUPE_2G132600 transcript:ONI22487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRKLIMDRWRGVLKVPLNPSASSCYRVAASLCLSRTSKTLTVPSANAIFFNGDRVSGTGNPVIERLSDIQNIAEILVSKIGDSTNAWVIDASVFNGPFAVYHDFVPSANQWGEPKSYCPVGSPAFGSIISLLSSCLQQVKNDIARGKELLKPGISASHFDLPKTLFFGFSKGGTVLNQLVTELGFSDVKPSGDPPILEEKEIGVEDEIHIIPRTKQSLLNSIREIHYVDVGLNSPGAYITDHSMIEKIPKCLMQGARGISFVLHGTPRQWCDSRRPWIRKEKDKLVHLLESESQRSGGKLQVFEKFYFADRPPDLQMHFEVIDKLDLS >ONI22559 pep chromosome:Prunus_persica_NCBIv2:G2:19432683:19435199:1 gene:PRUPE_2G136700 transcript:ONI22559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHKCKLCMRSFSNGRALGGHMRSHMMNLPIPAKPELEEEEEVPPGRNQDQVQLLIDELAESASASSSSSEEEDEHGDEGEEGEEGMFYGLRENPKRSIRLVDPEFSFAVDAGSVVLQDRESETESSKNPTRRRSKRTRKSAMLELHHQYQNHHQKLEALKKIKLKNKVISSSKTDSFEPEPVSSISDATTEEDVAFCLMMLSRDKWKKQDQQHHQQQHQHEQEQDQDDEAERSMEDSDESEEQLVKFPRTRTTRGKYKCETCNKVFKSYQALGGHRASHKKIKASNPNPIYEHELEQENNAGASSVAERKTHECPVCFRVFSSGQALGGHKRSHVTGSAAAAATHVKNLNKLGDNLIDLNLPAPVEDDEISQIELSAVSDAEFVNPIRR >ONI20232 pep chromosome:Prunus_persica_NCBIv2:G2:427402:430843:1 gene:PRUPE_2G004200 transcript:ONI20232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVDGLPFSTDTSASPQFVGTDEATTCVGVAIRNPGNGMTSVAHMDSPKIVDIGLSQMLSLLVDHNSDKELDVHLVGGFEDVSPNHGNCNTRSESQEKLAGYSFPLCAKIVETLWNRQEKFHIRTLFILGHNTRRDLEGNAYPIFNGFMVGTSTGSITPASFDRTLRCPDEIVRRIRVSASYEDSSWKGKLMETYDTQTDQFKIAPCCWTLRQLDISLSLQDYSDPEILLMCSTSPSAEAPDFVENMRRQWEYLVEHPDWRETFPMKQPRIFERTAEGGWRRQKALIP >ONI20235 pep chromosome:Prunus_persica_NCBIv2:G2:428292:430843:1 gene:PRUPE_2G004200 transcript:ONI20235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKIVDIGLSQMLSLLVDHNSDKELDVHLVGGFEDVSPNHGNCNTRSESQEKLAGYSFPLCAKIVETLWNRQEKFHIRTLFILGHNTRRDLEGNAYPIFNGFMVGTSTGSITPASFDRTLRCPDEIVRRIRVSASYEDSSWKGKLMETYDTQTDQFKIAPCCWTLRQLDISLSLQDYSDPEILLMCSTSPSAEAPDFVENMRRQWEYLVEHPDWRETFPMKQPRIFERTAEGGWRRQKALIP >ONI20234 pep chromosome:Prunus_persica_NCBIv2:G2:428670:430843:1 gene:PRUPE_2G004200 transcript:ONI20234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTSVAHMDSPKIVDIGLSQMLSLLVDHNSDKELDVHLVGGFEDVSPNHGNCNTRSESQEKLAGYSFPLCAKIVETLWNRQEKFHIRTLFILGHNTRRDLEGNAYPIFNGFMVGTSTGSITPASFDRTLRCPDEIVRRIRVSASYEDSSWKGKLMETYDTQTDQFKIAPCCWTLRQLDISLSLQDYSDPEILLMCSTSPSAEAPDFVENMRRQWEYLVEHPDWRETFPMKQPRIFERTAEGGWRRQKALIP >ONI20231 pep chromosome:Prunus_persica_NCBIv2:G2:427402:430843:1 gene:PRUPE_2G004200 transcript:ONI20231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVDGLPFSTDTSASPQGRDILAALMEHPALVSASNSFKAIPERRFSVPEESGPEKTPPSKWVYLFQREYAIVDPALVDVHLVGGFEDVSPNHGNCNTRSESQEKLAGYSFPLCAKIVETLWNRQEKFHIRTLFILGHNTRRDLEGNAYPIFNGFMVGTSTGSITPASFDRTLRCPDEIVRRIRVSASYEDSSWKGKLMETYDTQTDQFKIAPCCWTLRQLDISLSLQDYSDPEILLMCSTSPSAEAPDFVENMRRQWEYLVEHPDWRETFPMKQPRIFERTAEGGWRRQKALIP >ONI20233 pep chromosome:Prunus_persica_NCBIv2:G2:427626:430843:1 gene:PRUPE_2G004200 transcript:ONI20233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVAHMDSPKIVDIGLSQMLSLLVDHNSDKELDVHLVGGFEDVSPNHGNCNTRSESQEKLAGYSFPLCAKIVETLWNRQEKFHIRTLFILGHNTRRDLEGNAYPIFNGFMVGTSTGSITPASFDRTLRCPDEIVRRIRVSASYEDSSWKGKLMETYDTQTDQFKIAPCCWTLRQLDISLSLQDYSDPEILLMCSTSPSAEAPDFVENMRRQWEYLVEHPDWRETFPMKQPRIFERTAEGGWRRQKALIP >ONI20230 pep chromosome:Prunus_persica_NCBIv2:G2:427402:430843:1 gene:PRUPE_2G004200 transcript:ONI20230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVDGLPFSTDTSASPQGRDILAALMEHPALVSASNSFKAIPERRFSVPEESGPEKTPPSKWVYLFQREYAIVDPALVDFVGTDEATTCVGVAIRNPGNGMTSVAHMDSPKIVDIGLSQMLSLLVDHNSDKELDVHLVGGFEDVSPNHGNCNTRSESQEKLAGYSFPLCAKIVETLWNRQEKFHIRTLFILGHNTRRDLEGNAYPIFNGFMVGTSTGSITPASFDRTLRCPDEIVRRIRVSASYEDSSWKGKLMETYDTQTDQFKIAPCCWTLRQLDISLSLQDYSDPEILLMCSTSPSAEAPDFVENMRRQWEYLVEHPDWRETFPMKQPRIFERTAEGGWRRQKALIP >ONI21054 pep chromosome:Prunus_persica_NCBIv2:G2:5574358:5577485:-1 gene:PRUPE_2G048300 transcript:ONI21054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGAKFLMSPAMLKDAKWHIHNHLISLTTAYPSLDSKTAMFTHNDGRSVNLFQADDTIPTCFMASPTTFSSSSGSWSPIPAAAGPHPPPTLTPIIIEQVDRKELIVNQHLNVLAKKENQHLNVEHNW >ONI21972 pep chromosome:Prunus_persica_NCBIv2:G2:15491524:15499397:1 gene:PRUPE_2G099200 transcript:ONI21972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQPTPNIPVSEFYWTLLHKADKKFSKIRELPYYHRNRHEYDAYFYKVFKVYTQLWKFQQENRQKLVEAGLRRSEIGEIASRIAQLYLGQYMRTSEASYLSEAYIFYEAILSREYFKEGMFQDLGLASKQLRFISRFLMVCLLLNRREMVQQLLNQLRVLVDECKRTFQETDFKEWKLVIQEIVRFLKADTAFMNIRPLRYSLVLDCHPDSLPHVSASVAKRSLRLRDAILSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYQSSGAKNGQNGAPASSRINYSQDIADPTLPPNPRKAVLYRPSVTHFLAVLATVCEELPPDGVILIYLSASGAGMYSDATSPSTLAYPSDRLNPSRGQSKGDGSSFQAGCLQFGTHGNGGMNSIYPSDLVPFTRRPLFLVIDSDNSEAFEAINGVQKGETPAMLLSSSSSYPFASIGSSRQSSGSLFTIFLTAPLQAFCLLIGISGSDIDMDTYNKAEKLLSSSFSDWGSTLVTSDTIDPVWAQILSDPFLRRLLLRFLFCRAALSLYAPTFNKKEFIPKCIPCLPASVLPTTAACQTVILQIVNVFGATGKFVFSEGLELPENRQGDSESMSS >ONI21974 pep chromosome:Prunus_persica_NCBIv2:G2:15491524:15499397:1 gene:PRUPE_2G099200 transcript:ONI21974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQPTPNIPVSEFYWTLLHKADKKFSKIRELPYYHRNRHEYDAYFYKVFKVYTQLWKFQQENRQKLVEAGLRRSEIGEIASRIAQLYLGQYMRTSEASYLSEAYIFYEAILSREYFKEGMFQDLGLASKQLRFISRFLMVCLLLNRREMVQQLLNQLRVLVDECKRTFQETDFKEWKLVIQEIVRFLKADTAFMNIRPLRYSLVLDCHPDSLPHVSASVAKRSLRLRDAILSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYQSSGAKNGQNGAPASSRINYSQDIADPTLPPNPRKAVLYRPSVTHFLAVLATVCEELPPDGVILIYLSASGAGMYSDATSPSTLAYPSDRLNPSRGQSKGDGSSFQAGCLQFGTHGNGGMNSIYPSDLVPFTRRPLFLVIDSDNSEAFEVPILSGSAITLCSNFQ >ONI21973 pep chromosome:Prunus_persica_NCBIv2:G2:15491524:15499397:1 gene:PRUPE_2G099200 transcript:ONI21973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQPTPNIPVSEFYWTLLHKADKKFSKIRELPYYHRNRHEYDAYFYKVFKVYTQLWKFQQENRQKLVEAGLRRSEIGEIASRIAQLYLGQYMRTSEASYLSEAYIFYEAILSREYFKEGMFQDLGLASKQLRFISRFLMVCLLLNRREMVQQLLNQLRVLVDECKRTFQETDFKEWKLVIQEIVRFLKADTAFMNIRPLRYSLVLDCHPDSLPHVSASVAKRSLRLRDAILSSYHHNEVLATVCEELPPDGVILIYLSASGAGMYSDATSPSTLAYPSDRLNPSRGQSKGDGSSFQAGCLQFGTHGNGGMNSIYPSDLVPFTRRPLFLVIDSDNSEAFEAINGVQKGETPAMLLSSSSSYPFASIGSSRQSSGSLFTIFLTAPLQAFCLLIGISGSDIDMDTYNKAEKLLSSSFSDWGSTLVTSDTIDPVWAQILSDPFLRRLLLRFLFCRAALSLYAPTFNKKEFIPKCIPCLPASVLPTTAACQTVILQIVNVFGATGKFVFSEGLELPENRQGDSESMSS >ONI21975 pep chromosome:Prunus_persica_NCBIv2:G2:15491524:15499397:1 gene:PRUPE_2G099200 transcript:ONI21975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARGPSRPLRYSLVLDCHPDSLPHVSASVAKRSLRLRDAILSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYQSSGAKNGQNGAPASSRINYSQDIADPTLPPNPRKAVLYRPSVTHFLAVLATVCEELPPDGVILIYLSASGAGMYSDATSPSTLAYPSDRLNPSRGQSKGDGSSFQAGCLQFGTHGNGGMNSIYPSDLVPFTRRPLFLVIDSDNSEAFEAINGVQKGETPAMLLSSSSSYPFASIGSSRQSSGSLFTIFLTAPLQAFCLLIGISGSDIDMDTYNKAEKLLSSSFSDWGSTLVTSDTIDPVWAQILSDPFLRRLLLRFLFCRAALSLYAPTFNKKEFIPKCIPCLPASVLPTTAACQTVILQIVNVFGATGKFVFSEGLELPENRQGDSESMSS >ONI21386 pep chromosome:Prunus_persica_NCBIv2:G2:8317247:8321809:1 gene:PRUPE_2G062800 transcript:ONI21386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLNHLMIMKYKKFKRHALRLNLQIPKDEVLEKKKKGRWKAKGGKGKGMLIEIYKGCIVTKEAARNIGVLFKQEIKGAWVKFSDYLETQVNLLIELFIEAGYTYTCSEEELKVAFTGHIKKKIAD >ONI23477 pep chromosome:Prunus_persica_NCBIv2:G2:23002278:23005963:-1 gene:PRUPE_2G190700 transcript:ONI23477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAVWQRVANRCFVQEDAKRAPKLACCQSSSSTTKQVDAGPATAAEGPDHPAAGFVPLNRNPSYSSLPPDARWWLQMQPSYGYQKDFTYEQLNALEADMETLRAGFVKSTPKTSEVRQQKGECTDADGHKNSKVQKQDVNAQYGKDMKELVQYKDVREKYEIMGMDTIDYPFSKQPEEFCCDYPWIGGGRAEPWWRTTDRDELASLVAQKSLNHVENCDLPPPQKMYHKRHPYADIGCSDHNVILGTSLDGKAQTGGLSDLTSHARCYSDPGITHERKGNAAEEGHSDKSFWDVTETQQLSEGEPTKAQLMEALCHSQTRAREAEMAAKQAYAEKEHIFKLFFRQASQLFAYKQWFQLLQLETICIQIKNNDQPGSAVVPVVLPWMPFKGRKPRRNWRKGPKGKRGRRAEPRHDITKYAVAFALGFSLVGAGLLLGWTVGWMLPHL >ONI23476 pep chromosome:Prunus_persica_NCBIv2:G2:23002234:23005964:-1 gene:PRUPE_2G190700 transcript:ONI23476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAVWQRVANRCFVQEDAKRAPKLACCQSSSSTTKQVDAGPATAAEGPDHPAAGFVPLNRNPSYSSLPPDARWWLQMQPSYGYQKDFTYEQLNALEADMETLRAGFVKSTPKTSEVRQQKGECTDADGHKNSKVQKQDVNAQYGKDMKELVQYKDVREKYEIMGMDTIDYPFSKQPEEFCCDYPWIGGGRAEPWWRTTDRDELASLVAQKSLNHVENCDLPPPQKMYHKRHPYADIGCSDHNVILGTSLDGKAQTGGLSDLTSHARCYSDPGITHERKGNAAEEGHSDKSFWDVTETQQLSEGEPTKAQLMEALCHSQTRAREAEMAAKQAYAEKEHIFKLFFRQASQLFAYKQWFQLLQLETICIQIKNNDQPGSAVVPVVLPWMPFKGRKPRRNWRKGPKGKRGRRAEPRHDITKYAVAFALGFSLVGAGLLLGWTVGWMLPHL >ONI23475 pep chromosome:Prunus_persica_NCBIv2:G2:23002223:23005993:-1 gene:PRUPE_2G190700 transcript:ONI23475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAVWQRVANRCFVQEDAKRAPKLACCQSSSSTTKQVDAGPATAAEGPDHPAAGFVPLNRNPSYSSLPPDARWWLQMQPSYGYQKDFTYEQLNALEADMETLRAGFVKSTPKTSEVRQQKGECTDADGHKNSKVQKQDVNAQYGKDMKELVQYKDVREKYEIMGMDTIDYPFSKQPEEFCCDYPWIGGGRAEPWWRTTDRDELASLVAQKSLNHVENCDLPPPQKMYHKRHPYADIGCSDHNVILGTSLDGKAQTGGLSDLTSHARCYSDPGITHERKGNAAEEGHSDKSFWDVTETQQLSEGEPTKAQLMEALCHSQTRAREAEMAAKQAYAEKEHIFKLFFRQASQLFAYKQWFQLLQLETICIQIKNNDQPGSAVVPVVLPWMPFKGRKPRRNWRKGPKGKRGRRAEPRHDITKYAVAFALGFSLVGAGLLLGWTVGWMLPHL >ONI21059 pep chromosome:Prunus_persica_NCBIv2:G2:5614409:5615723:1 gene:PRUPE_2G048800 transcript:ONI21059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPENSFRTRLSKIEQTRIPSHVAFICWNTWKTRCKAVIEGRLTSPIEVIYATSYAVSEFMAAKPASLDARLRSISTNQVNRTWSPPTAPKVKINMDAAWSASSKCGGIGLVSQDHLGSFHVAKAGPCRVCSFAIVTSVPKCSL >ONI24863 pep chromosome:Prunus_persica_NCBIv2:G2:27278832:27282573:-1 gene:PRUPE_2G266400 transcript:ONI24863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQFSIAALIFLFLIRHFPSSVIADLNSDRQALLKFAAAVGHTQKLNWNAAAPVCASWVGITCNLNKTSVTAIHLPAVGLFGSIPANSIGKLAALRVLSLHSNFLYGSLPSDILSIPSLEYLYLQHNNFSGVFPASLSPNLILLDFSFNSFSGDIPTTVQNLTRLTALSLQNNSLSGAIPNLNLPKLKLLNMSYNNFNGSIPYSLKGYPDSSFTGNPQLCGAPLKNCSKTSSSPSASPTYFPPSPTVQKNHHATLIKKLGHGYITAVAIGGSAVLVVLVLMIVICCLKRTSKEGLKGKASGDGKSEMPKDFGSGVQEAEKNKLFFFDGCYFNFDLEDLLRASAEVLGKGSYGTTYKAVLDEETTVVVKRLREVIVGKREFEQHMEVVERVGKHPNVVPPRAYYYSKDEKLLVYNYMPAGSLFAHLHGSRDAGRSPLDWDSRVKISLGVAKGIAHIHSEGAKCSHGNIKSTNVLLTQDLEACITDVGLSPLMNFPATMSRATGYRAPEATDMRKISHKSDVYSFGVLLLEMLTGKTTLQYPGHDSVIDLPRWVKSVVREEWTAEVFDLELLRQQHIEEEMVQMLQIALACVSKLPEARPSMDEVVRMIEEIRQSDTKTRPSSESEFDVQTP >ONI24865 pep chromosome:Prunus_persica_NCBIv2:G2:27278832:27283403:-1 gene:PRUPE_2G266400 transcript:ONI24865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQFSIAALIFLFLIRHFPSSVIADLNSDRQALLKFAAAVGHTQKLNWNAAAPVCASWVGITCNLNKTSVTAIHLPAVGLFGSIPANSIGKLAALRVLSLHSNFLYGSLPSDILSIPSLEYLYLQHNNFSGVFPASLSPNLILLDFSFNSFSGDIPTTVQNLTRLTALSLQNNSLSGAIPNLNLPKLKLLNMSYNNFNGSIPYSLKGYPDSSFTGNPQLCGAPLKNCSKTSSSPSASPTYFPPSPTVQKNHHATLIKKLGHGYITAVAIGGSAVLVVLVLMIVICCLKRTSKEGLKGKASGDGKSEMPKDFGSGVQEAEKNKLFFFDGCYFNFDLEDLLRASAEVLGKGSYGTTYKAVLDEETTVVVKRLREVIVGKREFEQHMEVVERVGKHPNVVPPRAYYYSKDEKLLVYNYMPAGSLFAHLHGSRDAGRSPLDWDSRVKISLGVAKGIAHIHSEGAKCSHGNIKSTNVLLTQDLEACITDVGLSPLMNFPATMSRATGYRAPEATDMRKISHKSDVYSFGVLLLEMLTGKTTLQYPGHDSVIDLPRWVKSVVREEWTAEVFDLELLRQQHIEEEMVQMLQIALACVSKLPEARPSMDEVVRMIEEIRQSDTKTRPSSESEFDVQTP >ONI24864 pep chromosome:Prunus_persica_NCBIv2:G2:27278754:27282573:-1 gene:PRUPE_2G266400 transcript:ONI24864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQFSIAALIFLFLIRHFPSSVIADLNSDRQALLKFAAAVGHTQKLNWNAAAPVCASWVGITCNLNKTSVTAIHLPAVGLFGSIPANSIGKLAALRVLSLHSNFLYGSLPSDILSIPSLEYLYLQHNNFSGVFPASLSPNLILLDFSFNSFSGDIPTTVQNLTRLTALSLQNNSLSGAIPNLNLPKLKLLNMSYNNFNGSIPYSLKGYPDSSFTGNPQLCGAPLKNCSKTSSSPSASPTYFPPSPTVQKNHHATLIKKLGHGYITAVAIGGSAVLVVLVLMIVICCLKRTSKEGLKGKASGDGKSEMPKDFGSGVQEAEKNKLFFFDGCYFNFDLEDLLRASAEVLGKGSYGTTYKAVLDEETTVVVKRLREVIVGKREFEQHMEVVERVGKHPNVVPPRAYYYSKDEKLLVYNYMPAGSLFAHLHGSRDAGRSPLDWDSRVKISLGVAKGIAHIHSEGAKCSHGNIKSTNVLLTQDLEACITDVGLSPLMNFPATMSRATGYRAPEATDMRKISHKSDVYSFGVLLLEMLTGKTTLQYPGHDSVIDLPRWVKSVVREEWTAEVFDLELLRQQHIEEEMVQMLQIALACVSKLPEARPSMDEVVRMIEEIRQSDTKTRPSSESEFDVQTP >ONI24866 pep chromosome:Prunus_persica_NCBIv2:G2:27278754:27282809:-1 gene:PRUPE_2G266400 transcript:ONI24866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQFSIAALIFLFLIRHFPSSVIADLNSDRQALLKFAAAVGHTQKLNWNAAAPVCASWVGITCNLNKTSVTAIHLPAVGLFGSIPANSIGKLAALRVLSLHSNFLYGSLPSDILSIPSLEYLYLQHNNFSGVFPASLSPNLILLDFSFNSFSGDIPTTVQNLTRLTALSLQNNSLSGAIPNLNLPKLKLLNMSYNNFNGSIPYSLKGYPDSSFTGNPQLCGAPLKNCSKTSSSPSASPTYFPPSPTVQKNHHATLIKKLGHGYITAVAIGGSAVLVVLVLMIVICCLKRTSKEGLKGKASGDGKSEMPKDFGSGVQEAEKNKLFFFDGCYFNFDLEDLLRASAEVLGKGSYGTTYKAVLDEETTVVVKRLREVIVGKREFEQHMEVVERVGKHPNVVPPRAYYYSKDEKLLVYNYMPAGSLFAHLHGSRDAGRSPLDWDSRVKISLGVAKGIAHIHSEGAKCSHGNIKSTNVLLTQDLEACITDVGLSPLMNFPATMSRATGYRAPEATDMRKISHKSDVYSFGVLLLEMLTGKTTLQYPGHDSVIDLPRWVKSVVREEWTAEVFDLELLRQQHIEEEMVQMLQIALACVSKLPEARPSMDEVVRMIEEIRQSDTKTRPSSESEFDVQTP >ONI23419 pep chromosome:Prunus_persica_NCBIv2:G2:22862283:22867548:-1 gene:PRUPE_2G188500 transcript:ONI23419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKIQRACTALGDHGDESAMPTLWDSLPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKIDEGREYAEFMHLPRKRFTDFAAVRKEISDETDRETGRSKAISSVPIHLSIFSPNVVNLTLVDLPGLTKVAVDGQPEGTVQDIEDMVRAFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDQGTNAVDILEGRSYKLQFPWIGVVNRSQADINKSIDMIAARRREREYFASSTEYRHLANRMGSEHLGRVLSKHLEVVIKSRIPGLQSLISKTIGELESELSRLGKPIAADAGGKLYVIMEISRTFDQIFKEHLDGVRSGGEKIYGVFDNQFPAALKRLQFDKQLSMDNIRKLITEADGYQPHLIAPEQGYRRLIESSLICIRGPAEAAVDAVHGILKDLAQKSISETTELKQYPPLRVEVANAAFESLERMKEESKRATLQLVDMECGYLTVDFFRKLPQDIEKGGNPTVSLFDRYNDSYLRRVGHNVLNYVNMVCSSLRIAIPKSIVYCQVREAKRCLLDHFFAELGAKEARQLAKLLDEDPAVMQRRTSLAKRLELYRSAQSEIEAVAWSK >ONI21017 pep chromosome:Prunus_persica_NCBIv2:G2:5099364:5101740:1 gene:PRUPE_2G045500 transcript:ONI21017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGCFSSFLESSKPYFAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFAFFFERKAQPKITLPIFMQIFVLALLGPVIDQNFYYAGLKYTSPTFSCAMSNMLPAMTFVMAVICRMEKLDMKKVRCQAKVVGTVLTVAGAMLMTLYKGPIVEMLWSKYIHPRKSYVTDTTGTGDKHWFLGSVLLTIATLAWASLFVLQNKALQTYRNHQLSLTSMVCFIGTLQAIAVTFVMEHKPSVWKIGFDMNLLAAAYAGIVTSSISYYVQGLVMKTRGPVFATAFSPLMMIIVAIMGSFILAEQIFLGSVLGAVLIVFGLYSVLWGKHKEGLEIKEEIPEVIKGSHVNGVSMSAINGDAETNKLSSVAISMPIPESGMKANPKPSA >ONI21018 pep chromosome:Prunus_persica_NCBIv2:G2:5099204:5101727:1 gene:PRUPE_2G045500 transcript:ONI21018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVLALLGPVIDQNFYYAGLKYTSPTFSCAMSNMLPAMTFVMAVICRMEKLDMKKVRCQAKVVGTVLTVAGAMLMTLYKGPIVEMLWSKYIHPRKSYVTDTTGTGDKHWFLGSVLLTIATLAWASLFVLQNKALQTYRNHQLSLTSMVCFIGTLQAIAVTFVMEHKPSVWKIGFDMNLLAAAYAGIVTSSISYYVQGLVMKTRGPVFATAFSPLMMIIVAIMGSFILAEQIFLGSVLGAVLIVFGLYSVLWGKHKEGLEIKEEIPEVIKGSHVNGVSMSAINGDAETNKLSSVAISMPIPESGMKANPKPSA >ONI23885 pep chromosome:Prunus_persica_NCBIv2:G2:24529585:24533265:1 gene:PRUPE_2G214000 transcript:ONI23885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTMMRIVHQAMNNAHEKMQSKEGVLQRLNEISRFYELAVMQLEGCLKFVRQETDSCILESSHEQVLTDLTEIRNRLQGRLKESEMAMMAKDRELASLRSSTANLKLERRTKSEPVEEYIFSNRMGGDDEEVDDDDRDNELFCELKSSVDQQVLNIRQKLQPDYRFKDKEGNSEVVEGINNKRIEQMGSDMGILKETLDLAFGKMQNAIFRSEVGPIEQQWRWDVEKDTMSALLQGFMSDFQETVEAQVWKEEEHVCLGLKEYWYDLMDEVVNLRHELDSFVGDNEVVQVKSTDPLQTSLGGKVSNRTNEKHSPEDFSHGKPEYQLSLKAEEVMQEVHEEERGEDGGHFVSKMIKNHESIIRKKSAEVEELNLLKREILRQKGKLSNRREEIGMQENSLKRRVQEIILKLDKLRDWDVKLNETFGNYEFDHEEETLRFLKSDANHMDNLELVTLEDVWKKMDKVPYAVNEELQNEGSRLKQDQEEENLKVVIMERTYVTLLESLIKENCIELHDFELENLIWKDICNLLLTEVVNQWKENIEGNNIESRTREEIYCTVLREAIKDYSSNGNFALVECQDLRVENNSLEDSAFSDKFCYVEGTIREDVCWTLLHEMLKEWNECIYGCEIESLLREEVDWLICNETIKEFVKTAGDPLAQYQDIVTREFLQTTESFVREDICMVFIGETIKEWKMESLSREEIFHFVMVEVLRDAFDLFSEADSGTHDKFPKGMLFANKLQNDRQVGVEENLNEKIGMEEDLMSSESSKGLLTKFHTFGSVSSKLASKALGSELGSSLDIVVGGLQKVYDQVTPAVGSAHSSEPYYCQPKTNKEVQLNPSDSVFTPVLRFQEVLVDLVHTSKEKLEINFLRLDKMRDGINILVEHVSTLRKKESLYRNAFTRRCQDLWKAETEVDLLGDQVDVLVGLLEKIYTILSHHSPVLHQYFEVSDILQLIKKELTGVVNTSKN >ONI21897 pep chromosome:Prunus_persica_NCBIv2:G2:15238797:15240793:-1 gene:PRUPE_2G097300 transcript:ONI21897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGVIWATAEDLARNRGRVLSLYRQILRSLNSPDLPLNLAARLAKKAEARAIFVVASEERSLHNIDDLIDAAHYSLSLLRKGEIPKYIQ >ONI21898 pep chromosome:Prunus_persica_NCBIv2:G2:15238432:15240793:-1 gene:PRUPE_2G097300 transcript:ONI21898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGVIWATAEDLARNRGRVLSLYRQILRSLNSPDLPLNLAARLAKKAEARAIFVVASEERSLHNIDDLIDAAHYSLSLLRKGEIPKYIQ >ONI25101 pep chromosome:Prunus_persica_NCBIv2:G2:27982251:27984074:-1 gene:PRUPE_2G280800 transcript:ONI25101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGCTGRFSQPPAPVLFLLIALTVLLSTAPVIGWRPWPHLKSNATDLQLGDNKKFEGSSEFVHLKYHMGPVLTANITVHTIWYGTWQPAQKKIIREFINSISAAGSKRPSVAGWWKTVQLYTDQTGANISRTVRLGSEKNDRFYSHGKTLTRLSIQSVIKSAVTARTRPLPISPQNGLYLLLTSDDVYVQDFCRQVCGFHYFTFPSIVGYTLPYAWIGNSAKLCPGVCAYPFAVPDFMPGLKPLKSPNGDVGVEGMISVIAHEIAELASNPLVNAWYAGQDPSFPVEIADLCEGIYGTGGGGSYTGQLLDDHDGATYNMNGIRRKFLVQWVWSHILNYCTGPNALDQ >ONI22966 pep chromosome:Prunus_persica_NCBIv2:G2:21263496:21265729:1 gene:PRUPE_2G161100 transcript:ONI22966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAVVTGANKGIGLETVRQLASNGFTVVLTARDEKRGLEAVEKLKESGLSGQVVFHQLDVANPATVASLAEFIKTQFGKLDILVNNAGISGRQVDGDALKAVVDSGAMERGEVDWNKLKIETYELAEECLQINYYGAKKTAEALIPLLQLSDSPRIVNVSSSLGKLKNIPSDWAKGVFTDADNLTEEGVVEVLTELLKAFKEGSLESKGWPSSLSAYIVSKAALNAYTRILAKKYPDIRINSVCPGFVKTDLNSNAGVLPVEEGGARVVSIALLPNDGPSGSFFVQYEVSDL >ONI22967 pep chromosome:Prunus_persica_NCBIv2:G2:21263512:21265662:1 gene:PRUPE_2G161100 transcript:ONI22967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAVVTGANKGIGLETVRQLASNGFTVVLTARDEKRGLEAVEKLKESGLSGQVVFHQLDVANPATVASLAEFIKTQFGKLDILERGEVDWNKLKIETYELAEECLQINYYGAKKTAEALIPLLQLSDSPRIVNVSSSLGKLKNIPSDWAKGVFTDADNLTEEGVVEVLTELLKAFKEGSLESKGWPSSLSAYIVSKAALNAYTRILAKKYPDIRINSVCPGFVKTDLNSNAGVLPVEEGGARVVSIALLPNDGPSGSFFVQYEVSDL >ONI21558 pep chromosome:Prunus_persica_NCBIv2:G2:11009108:11009527:-1 gene:PRUPE_2G072800 transcript:ONI21558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPKGCSHYTLTRVNWTDSTDGHPYTYEAPEISAQLVHTLRKSNSSYSYLFARKFSPDCLRPLMKLASRVIFRDSNCVYN >ONI20340 pep chromosome:Prunus_persica_NCBIv2:G2:935637:938681:1 gene:PRUPE_2G010100 transcript:ONI20340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDSDDPLKTTDQTRRLGLIVCILLVYL >ONI20339 pep chromosome:Prunus_persica_NCBIv2:G2:936209:938766:1 gene:PRUPE_2G010100 transcript:ONI20339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDSDDPLKTTDQTRRLGLILG >ONI20338 pep chromosome:Prunus_persica_NCBIv2:G2:935637:939446:1 gene:PRUPE_2G010100 transcript:ONI20338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDSDDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTDEIANPFSQPDGA >ONI24496 pep chromosome:Prunus_persica_NCBIv2:G2:26198260:26199842:-1 gene:PRUPE_2G243700 transcript:ONI24496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFATDIAKEIGLMSISFRTISASCYWSYFGIPKLIEASELPFKESEMDILITSVPGMEDILLRRDLPGFCRTSNVAADKTLQFVIRQSQGNVRADSLIVNTFEELEAPALYHIRDHIPNTYAIGSLHTHLSSRLASIKGTAPIASNSLWEEDRSCMAWLDAQPPKSVLHVSFGSITVMTRDELMEIWYGLVWFLWVMRPDSVVGDGGEGQAPEELLAGTRERGYMVGWAPPEEVLKHQAIGGFWTEWLENIGEHSRWGDNDVLILFC >ONI24855 pep chromosome:Prunus_persica_NCBIv2:G2:27249476:27253556:-1 gene:PRUPE_2G265800 transcript:ONI24855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELTTKVRLVRCPKCRQLLPELPEFQVYKCGGCGATLQAKSQINGMRSMSSCSNETMATQRIGLDHVSGDRESNSSSRSATLSDSGECSSDHNNERDQSKSLERNESSSDSDKPTFPNSGECVSDRNNEKNEDKYSEDKESSSSSRNVTLPESEECSSEENNESDQRKSSEGDESSSESDKPTFPNSGEFVSDRNNEKNADKYSEDKESSSSSHNVTLPDSEECSSEQNNESDKRKSSEGDESSSESSPKATFPDLGECFPDQNKEKSTDTSSEGKESSSSSHNASLSDSGECSSDQSNERDQSISSESNKSSSSSPKANFPDSGECFSGQNNEKSEDKSSENKEPSSSSHNACLSDSGECSSDQNNERNQSKSSEGNESSSSSPKANFPESGESFSDQKNERDQRKSSEGNVFGRLSPKATFPDSGECFSEQNNEKSEDKSSEDKEFGSSSHNAVLPDTGEWTSNPTNGRYPDTSSENCDHKQLGDANLPNEEHNNQSDLNDSRDFDSEQHQLEVSNEICSSTEHAHNEVKDSLPITRAGSAVSMNDESLALSEKNVEIGINKEIDSAVRSSSTVHPEATRGSSSIVTAHMPARKSVSSDSLRSSPNEQLEEPQNHVPNGFDHVMSPDTFENTEFNPSSEFSGAPRDMSKSPAHRSHHAYDGSVSSYDGRDDQFFNRNIRSNIFRSEERPRRDKFLAKNMMNRDSGFQPQARDSWSSFSDKNNHAMKNRKWDDDELMQPRRQGHPSREWNRLQTDEYMSRVPFPRRLSQGGYAKGGPTAQFHDEYQRNSGYLSSDKSVGAEQDKMTLLRMVYELQDQVNNLNGKASGRVAGGATWKENRIPRIPRHCSYEASQEELFHDQNYQRYLRRHRAGSHYPPQHRKFMHIPYSSEATTSRHQVDPSYLHRGPQDWQCSAPLPLPVRCNNNGLCRVHPDHSCWTFYDKSSASSPERYVEPDLPLWGHETRSDDLRHTRHDMNKFFREKHHLAKRHFRPIAGGAPIITCYNCLKPLQIPADFLLFKRRCHKLRCGACSEVLKFSLLKRSHIVPYEQNAIAPPPSEVGDYNSAANGSNLASAPQPFDSQDTDPVSCSDDYGYGLSFRASSSTDGDPGTLAPSNSLRGNSDDRNMSHTSLDPRRETKELGLKQSQNKDKYPMETFVSARPSLSSEIEEVPPKSTSPLHRLMGYSSPSQVMRGSRSSLTGTSSYSKQR >ONI24854 pep chromosome:Prunus_persica_NCBIv2:G2:27248910:27254076:-1 gene:PRUPE_2G265800 transcript:ONI24854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELTTKVRLVRCPKCRQLLPELPEFQVYKCGGCGATLQAKSQINGMRSMSSCSNETMATQRIGLDHVSGDRESNSSSRSATLSDSGECSSDHNNERDQSKSLERNESSSDSDKPTFPNSGECVSDRNNEKNEDKYSEDKESSSSSRNVTLPESEECSSEENNESDQRKSSEGDESSSESDKPTFPNSGEFVSDRNNEKNADKYSEDKESSSSSHNVTLPDSEECSSEQNNESDKRKSSEGDESSSESSPKATFPDLGECFPDQNKEKSTDTSSEGKESSSSSHNASLSDSGECSSDQSNERDQSISSESNKSSSSSPKANFPDSGECFSGQNNEKSEDKSSENKEPSSSSHNACLSDSGECSSDQNNERNQSKSSEGNESSSSSPKANFPESGESFSDQKNERDQRKSSEGNVFGRLSPKATFPDSGECFSEQNNEKSEDKSSEDKEFGSSSHNAVLPDTGEWTSNPTNGRYPDTSSENCDHKQLGDANLPNEEHNNQSDLNDSRDFDSEQHQLEVSNEICSSTEHAHNEVKDSLPITRAGSAVSMNDESLALSEKNVEIGINKEIDSAVRSSSTVHPEATRGSSSIVTAHMPARKSVSSDSLRSSPNEQLEEPQNHVPNGFDHVMSPDTFENTEFNPSSEFSGAPRDMSKSPAHRSHHAYDGSVSSYDGRDDQFFNRNIRSNIFRSEERPRRDKFLAKNMMNRDSGFQPQARDSWSSFSDKNNHAMKNRKWDDDELMQPRRQGHPSREWNRLQTDEYMSRVPFPRRLSQGGYAKGGPTAQFHDEYQRNSGYLSSDKSVGAEQDKMTLLRMVYELQDQVNNLNGKASGRVAGGATWKENRIPRIPRHCSYEASQEELFHDQNYQRYLRRHRAGSHYPPQHRKFMHIPYSSEATTSRHQVDPSYLHRGPQDWQCSAPLPLPVRCNNNGLCRVHPDHSCWTFYDKSSASSPERYVEPDLPLWGHETRSDDLRHTRHDMNKFFREKHHLAKRHFRPIAGGAPIITCYNCLKPLQIPADFLLFKRRCHKLRCGACSEVLKFSLLKRSHIVPYEQNAIAPPPSEVGDYNSAANGSNLASAPQPFDSQDTDPVSCSDDYGYGLSFRASSSTDGDPGTLAPSNSLRGNSDDRNMSHTSLDPRRETKELGLKQSQNKDKYPMETFVSARPSLSSEIEEVPPKSTSPLHRLMGYSSPSQVMRGSRSSLTGTSSYSKQR >ONI24856 pep chromosome:Prunus_persica_NCBIv2:G2:27248910:27254283:-1 gene:PRUPE_2G265800 transcript:ONI24856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELTTKVRLVRCPKCRQLLPELPEFQVYKCGGCGATLQAKSQINGMRSMSSCSNETMATQRIGLDHVSGDRESNSSSRSATLSDSGECSSDHNNERDQSKSLERNESSSDSDKPTFPNSGECVSDRNNEKNEDKYSEDKESSSSSRNVTLPESEECSSEENNESDQRKSSEGDESSSESDKPTFPNSGEFVSDRNNEKNADKYSEDKESSSSSHNVTLPDSEECSSEQNNESDKRKSSEGDESSSESSPKATFPDLGECFPDQNKEKSTDTSSEGKESSSSSHNASLSDSGECSSDQSNERDQSISSESNKSSSSSPKANFPDSGECFSGQNNEKSEDKSSENKEPSSSSHNACLSDSGECSSDQNNERNQSKSSEGNESSSSSPKANFPESGESFSDQKNERDQRKSSEGNVFGRLSPKATFPDSGECFSEQNNEKSEDKSSEDKEFGSSSHNAVLPDTGEWTSNPTNGRYPDTSSENCDHKQLGDANLPNEEHNNQSDLNDSRDFDSEQHQLEVSNEICSSTEHAHNEVKDSLPITRAGSAVSMNDESLALSEKNVEIGINKEIDSAVRSSSTVHPEATRGSSSIVTAHMPARKSVSSDSLRSSPNEQLEEPQNHVPNGFDHVMSPDTFENTEFNPSSEFSGAPRDMSKSPAHRSHHAYDGSVSSYDGRDDQFFNRNIRSNIFRSEERPRRDKFLAKNMMNRDSGFQPQARDSWSSFSDKNNHAMKNRKWDDDELMQPRRQGHPSREWNRLQTDEYMSRVPFPRRLSQGGYAKGGPTAQFHDEYQRNSGYLSSDKSVGAEQDKMTLLRMVYELQDQVNNLNGKASGRVAGGATWKENRIPRIPRHCSYEASQEELFHDQNYQRYLRRHRAGSHYPPQHRKFMHIPYSSEATTSRHQVDPSYLHRGPQDWQCSAPLPLPVRCNNNGLCRVHPDHSCWTFYDKSSASSPERYVEPDLPLWGHETRSDDLRHTRHDMNKFFREKHHLAKRHFRPIAGGAPIITCYNCLKPLQIPADFLLFKRRCHKLRCGACSEVLKFSLLKRSHIVPYEQNAIAPPPSEVGDYNSAANGSNLASAPQPFDSQDTDPVSCSDDYGYGLSFRASSSTDGDPGTLAPSNSLRGNSDDRNMSHTSLDPRRETKELGLKQSQNKDKYPMETFVSARPSLSSEIEEVPPKSTSPLHRLMGYSSPSQVMRGSRSSLTGTSSYSKQR >ONI20379 pep chromosome:Prunus_persica_NCBIv2:G2:1059115:1063952:1 gene:PRUPE_2G012300 transcript:ONI20379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGQMDVHYIDTDFPYTPTESFMDFFGGVTHVPMNYGHAMPMHDQETAYWSMNMHSYKFGPSGPGSNSYYGNYYEVNDHLPRMDVSRRTWEHPSVMNSEEPANIDSHPEEEDAVAEAAPEECIQNQQNTNTSQELLDLGEAVGTQSRGLSDELISLLPTSKYKCGSFFSRKKSGERCVICQMRYKRGDRQINLPCKHVYHSECISKWLGINKVCPVCNLEVSGEESRH >ONI20380 pep chromosome:Prunus_persica_NCBIv2:G2:1059710:1063953:1 gene:PRUPE_2G012300 transcript:ONI20380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGQMDVHYIDTDFPYTPTESFMDFFGGVTHVPMNYGHAMPMHDQETAYWSMNMHSYKFGPSGPGSNSYYGNYYEVNDHLPRMDVSRRTWEHPSVMNSEEPANIDSHPEEEDAVAEAAPEECIQNQQNTNTSQELLDLGEAVGTQSRGLSDELISLLPTSKYKCGSFFSRKKSGERCVICQMRYKRGDRQINLPCKHVYHSECISKWLGINKVCPVCNLEVSGEESRH >ONI20376 pep chromosome:Prunus_persica_NCBIv2:G2:1059115:1063958:1 gene:PRUPE_2G012300 transcript:ONI20376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGQMDVHYIDTDFPYTPTESFMDFFGGVTHVPMNYGHAMPMHDQETAYWSMNMHSYKFGPSGPGSNSYYGNYYEVNDHLPRMDVSRRTWEHPSVMNSEEPANIDSHPEEEDAVAEAAPEECIQNQQNTNTSQVVWQEDIDPDNMTYEELLDLGEAVGTQSRGLSDELISLLPTSKYKCGSFFSRKKSGERCVICQMRYKRGDRQINLPCKHVYHSECISKWLGINKVCPVCNLEVSGEESRH >ONI20377 pep chromosome:Prunus_persica_NCBIv2:G2:1059115:1063946:1 gene:PRUPE_2G012300 transcript:ONI20377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGQMDVHYIDTDFPYTPTESFMDFFGGVTHVPMNYGHAMPMHDQETAYWSMNMHSYKFGPSGPGSNSYYGNYYEVNDHLPRMDVSRRTWEHPSVMNSEEPANIDSHPEEEDAVAEAAPEECIQNQQNTNTSQVVWQEDIDPDNMTYEELLDLGEAVGTQSRGLSDELISLLPTSKYKCGSFFSRKKSGERCVICQMRYKRGDRQINLPCKHVYHSECISKWLGINKVCPVCNLEVSGEESRH >ONI20381 pep chromosome:Prunus_persica_NCBIv2:G2:1059115:1063953:1 gene:PRUPE_2G012300 transcript:ONI20381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGQMDVHYIDTDFPYTPTESFMDFFGGVTHVPMNYGHAMPMHDQETAYWSMNMHSYKFGPSGPGSNSYYGNYYEVNDHLPRMDVSRRTWEHPSVMNSEEPANIDSHPEEEDAVAEAAPEECIQNQQNTNTSQELLDLGEAVGTQSRGLSDELISLLPTSKYKCGSFFSRKKSGERCVICQMRYKRGDRQINLPCKHVYHSECISKWLGINKVCPVCNLEVSGEESRH >ONI20378 pep chromosome:Prunus_persica_NCBIv2:G2:1059115:1063940:1 gene:PRUPE_2G012300 transcript:ONI20378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGQMDVHYIDTDFPYTPTESFMDFFGGVTHVPMNYGHAMPMHDQETAYWSMNMHSYKFGPSGPGSNSYYGNYYEVNDHLPRMDVSRRTWEHPSVMNSEEPANIDSHPEEEDAVAEAAPEECIQNQQNTNTSQVVWQEDIDPDNMTYEELLDLGEAVGTQSRGLSDELISLLPTSKYKCGSFFSRKKSGERCVICQMRYKRGDRQINLPCKHVYHSECISKWLGINKVCPVCNLEVSGEESRH >ONI20375 pep chromosome:Prunus_persica_NCBIv2:G2:1059115:1064075:1 gene:PRUPE_2G012300 transcript:ONI20375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGQMDVHYIDTDFPYTPTESFMDFFGGVTHVPMNYGHAMPMHDQETAYWSMNMHSYKFGPSGPGSNSYYGNYYEVNDHLPRMDVSRRTWEHPSVMNSEEPANIDSHPEEEDAVAEAAPEECIQNQQNTNTSQVVWQEDIDPDNMTYEELLDLGEAVGTQSRGLSDELISLLPTSKYKCGSFFSRKKSGERCVICQMRYKRGDRQINLPCKHVYHSECISKWLGINKVCPVCNLEVSGEESRH >ONI20382 pep chromosome:Prunus_persica_NCBIv2:G2:1059115:1063954:1 gene:PRUPE_2G012300 transcript:ONI20382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGQMDVHYIDTDFPYTPTESFMDFFGGVTHVPMNYGHAMPMHDQETAYWSMNMHSYKFGPSGPGSNSYYGNYYEVNDHLPRMDVSRRTWEHPSVMNSEEPANIDSHPEEEDAVAEAAPEECIQNQQNTNTSQELLDLGEAVGTQSRGLSDELISLLPTSKYKCGSFFSRKKSGERCVICQMRYKRGDRQINLPCKHVYHSECISKWLGINKVCPVCNLEVSGEESRH >ONI20374 pep chromosome:Prunus_persica_NCBIv2:G2:1059710:1063953:1 gene:PRUPE_2G012300 transcript:ONI20374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNGQMDVHYIDTDFPYTPTESFMDFFGGVTHVPMNYGHAMPMHDQETAYWSMNMHSYKFGPSGPGSNSYYGNYYEVNDHLPRMDVSRRTWEHPSVMNSEEPANIDSHPEEEDAVAEAAPEECIQNQQNTNTSQVVWQEDIDPDNMTYEELLDLGEAVGTQSRGLSDELISLLPTSKYKCGSFFSRKKSGERCVICQMRYKRGDRQINLPCKHVYHSECISKWLGINKVCPVCNLEVSGEESRH >ONI23745 pep chromosome:Prunus_persica_NCBIv2:G2:24023974:24034717:-1 gene:PRUPE_2G205800 transcript:ONI23745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYTSPFLLYPSPTAPTVNCHFPRRRGATCPSSSLRRRFTSKVKPFLPLPLHSAKVFRKPNLQCSASSLYMSAEESTSTSQGSLLYSRAFWVSESIIAWNVYVGNGSCYLLASKTAALSLTSDGILGEDVKVKLEEDKHGLPENVKEKFPHIKDYRAFNVPPDLDAKPLLKCQLAVATFNSDGRCSDATGLQLPGILDDLFSYNGPLGALYSKQSVSLYLWAPTAQEVCVCIYKEPSGGSPLEVVQLEEFNGVWSTKGPKSWEGCYYVYEVSVYHPSTLRIEKCYANDPYARGLSSDGRRTLLVNLDSDNIKPEGWDKLVDEKPDILSFSDISIYELHIRDFSASDQAVHPEFRGGYLAFTLQDSAGAIHLKKLSNADPEVLEKFPSDSDKQQALITAIQNDDGYNWGYNPVLWGVPKGSYASNANGTYRAIEFRKMVQALNRFGLRVVLDVVYNHLHGSGPVDDNSVLDKIVPGYYLRRNTDGFIEHSTCVNNTASEHFMVERLIVDDLLHWAVDYKVDGFRFDLMGHIMRRTMVKAKDALCSLTKERDGVDGSSIYIYGEGWDFGEVANNGRGINASQFNIHGTGIGSFNDRIRDAILGGSPFGHPLQQGFVTGLLLQPNGHDHGPEAVAEHMLAESKDHIQVGMAANLRDFVLTNCEGKEVKGSEVLTYGGTPVAYTLCPTETINYVSAHDNETLFDIVSLKTPMEISVEERCRINHLATSIIALAQGIPFFHSGDEILRSKSLDRDSYNSGDWFNRLDFTYSSNNWGVGLPPKEKNEKSWPLFKPRLADPSFKPQKSHIIAAVENFSNLLRIRYSSPLFRLRTANAIQERVRFHNTGPSLVPGVIVMSIEDGHEGVPGLSQLDPIYSYIVVIVNACPTEVSFASPSLQARTLQLHPEQVMSTDEIVKRSTYNASAGCFTVPPRTTSVFVEPRGV >ONI23746 pep chromosome:Prunus_persica_NCBIv2:G2:24023974:24034705:-1 gene:PRUPE_2G205800 transcript:ONI23746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYTSPFLLYPSPTAPTVNCHFPRRRGATCPSSSLRRRFTSKVKPFLPLPLHSAKVFRKPNLQCSASSLYMSAEESTSTSQGSLLYSRAFWVSESIIAWNVYVGNGSCYLLASKTAALSLTSDGILGEDVKVKLEEDKHGLPENVKEKFPHIKDYRAFNVPPDLDAKPLLKCQLAVATFNSDGRCSDATGLQLPGILDDLFSYNGPLGALYSKQSVSLYLWAPTAQEVCVCIYKEPSGGSPLEVVQLEEFNGVWSTKGPKSWEGCYYVYEVSVYHPSTLRIEKCYANDPYARGLSSDGRRTLLVNLDSDNIKPEGWDKLVDEKPDILSFSDISIYELHIRDFSASDQAVHPEFRGGYLAFTLQDSAGAIHLKKLSNAGITHVHLLPAFQFAGVDDEKENWKSVDPEVLEKFPSDSDKQQALITAIQNDDGYNWGYNPVLWGVPKGSYASNANGTYRAIEFRKMVQALNRFGLRVVLDVVYNHLHGSGPVDDNSVLDKIVPGYYLRRNTDGFIEHSTCVNNTASEHFMVERLIVDDLLHWAVDYKVDGFRFDLMGHIMRRTMVKAKDALCSLTKERDGVDGSSIYIYGEGWDFGEVANNGRGINASQFNIHGTGIGSFNDRIRDAILGGSPFGHPLQQGFVTGLLLQPNGHDHGPEAVAEHMLAESKDHIQERVRFHNTGPSLVPGVIVMSIEDGHEGVPGLSQLDPIYSYIVVIVNACPTEVSFASPSLQARTLQLHPEQVMSTDEIVKRSTYNASAGCFTVPPRTTSVFVEPRGV >ONI23749 pep chromosome:Prunus_persica_NCBIv2:G2:24027681:24034705:-1 gene:PRUPE_2G205800 transcript:ONI23749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYTSPFLLYPSPTAPTVNCHFPRRRGATCPSSSLRRRFTSKVKPFLPLPLHSAKVFRKPNLQCSASSLYMSAEESTSTSQGSLLYSRAFWVSESIIAWNVYVGNGSCYLLASKTAALSLTSDGILGEDVKVKLEEDKHGLPENVKEKFPHIKDYRAFNVPPDLDAKPLLKCQLAVATFNSDGRCSDATGLQLPGILDDLFSYNGPLGALYSKQSVSLYLWAPTAQEVCVCIYKEPSGGSPLEVVQLEEFNGVWSTKGPKSWEGCYYVYEVSVYHPSTLRIEKCYANDPYARGLSSDGRRTLLVNLDSDNIKPEGWDKLVDEKPDILSFSDISIYELHIRDFSASDQAVHPEFRGGYLAFTLQDSAGAIHLKKLSNAGITHVHLLPAFQFAGVDDEKENWKSVDPEVLEKFPSDSDKQQALITAIQNDDGYNWGYNPVLWGVPKGSYASNANGTYRAIEFRKMVQALNRFGLRVVLDVVYNHLHGSGPVDDNSVLDKIVPGYYLRRNTDGFIEHSTCVNNTASEHFMVERLIVDDLLHWAVDYKVDGFRFDLMGHIMRRTMVKAKDALCSLTKERDGVDGSSIYIYGEGWDFGEVANNGRGINASQFNIHGTGIGSFNDRIRDAILGGSPFGHPLQQGFVTGLLLQPNGHDHGPEAVAEHMLAESKDHIQVGMAANLRDFVLTNCEGKEVYINSRG >ONI23747 pep chromosome:Prunus_persica_NCBIv2:G2:24023961:24034778:-1 gene:PRUPE_2G205800 transcript:ONI23747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYTSPFLLYPSPTAPTVNCHFPRRRGATCPSSSLRRRFTSKVKPFLPLPLHSAKVFRKPNLQCSASSLYMSAEESTSTSQGSLLYSRAFWVSESIIAWNVYVGNGSCYLLASKTAALSLTSDGILGEDVKVKLEEDKHGLPENVKEKFPHIKDYRAFNVPPDLDAKPLLKCQLAVATFNSDGRCSDATGLQLPGILDDLFSYNGPLGALYSKQSVSLYLWAPTAQEVCVCIYKEPSGGSPLEVVQLEEFNGVWSTKGPKSWEGCYYVYEVSVYHPSTLRIEKCYANDPYARGLSSDGRRTLLVNLDSDNIKPEGWDKLVDEKPDILSFSDISIYELHIRDFSASDQAVHPEFRGGYLAFTLQDSAGAIHLKKLSNAGITHVHLLPAFQFAGVDDEKENWKSVDPEVLEKFPSDSDKQQALITAIQNDDGYNWGYNPVLWGVPKGSYASNANGTYRAIEFRKMVQALNRFGLRVVLDVVYNHLHGSGPVDDNSVLDKIVPGYYLRRNTDGFIEHSTCVNNTASEHFMVERLIVDDLLHWAVDYKVDGFRFDLMGHIMRRTMVKAKDALCSLTKERDGVDGSSIYIYGEGWDFGEVANNGRGINASQFNIHGTGIGSFNDRIRDAILGGSPFGHPLQQGFVTGLLLQPNGHDHGPEAVAEHMLAESKDHIQVGMAANLRDFVLTNCEGKEVKGSEVLTYGGTPVAYTLCPTETINYVSAHDNETLFDIVSLKTPMEISVEERCRINHLATSIIALAQGIPFFHSGDEILRSKSLDRDSYNSGDWFNRLDFTYSSNNWGVGLPPKEKNEKSWPLFKPRLADPSFKPQKSHIIAAVENFSNLLRIRYSSPLFRLRTANAIQERVRFHNTGPSLVPGVIVMSIEDGHEGVPGLSQLDPIYSYIVVIVNACPTEVSFASPSLQARTLQLHPEQVMSTDEIVKRSTYNASAGCFTVPPRTTSVFVEPRGV >ONI23748 pep chromosome:Prunus_persica_NCBIv2:G2:24024312:24034604:-1 gene:PRUPE_2G205800 transcript:ONI23748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYTSPFLLYPSPTAPTVNCHFPRRRGATCPSSSLRRRFTSKVKPFLPLPLHSAKVFRKPNLQCSASSLYMSAEESTSTSQGSLLYSRAFWVSESIIAWNVYVGNGSCYLLASKTAALSLTSDGILGEDVKVKLEEDKHGLPENVKEKFPHIKDYRAFNVPPDLDAKPLLKCQLAVATFNSDGRCSDATGLQLPGILDDLFSYNGPLGALYSKQSVSLYLWAPTAQQEVCVCIYKEPSGGSPLEVVQLEEFNGVWSTKGPKSWEGCYYVYEVSVYHPSTLRIEKCYANDPYARGLSSDGRRTLLVNLDSDNIKPEGWDKLVDEKPDILSFSDISIYELHIRDFSASDQAVHPEFRGGYLAFTLQDSAGAIHLKKLSNAGITHVHLLPAFQFAGVDDEKENWKSVDPEVLEKFPSDSDKQQALITAIQNDDGYNWGYNPVLWGVPKGSYASNANGTYRAIEFRKMVQALNRFGLRVVLDVVYNHLHGSGPVDDNSVLDKIVPGYYLRRNTDGFIEHSTCVNNTASEHFMVERLIVDDLLHWAVDYKVDGFRFDLMGHIMRRTMVKAKDALCSLTKERDGVDGSSIYIYGEGWDFGEVANNGRGINASQFNIHGTGIGSFNDRIRDAILGGSPFGHPLQQGFVTGLLLQPNGHDHGPEAVAEHMLAESKDHIQVGMAANLRDFVLTNCEGKEVKGSEVLTYGGTPVAYTLCPTETINYVSAHDNETLFDIVSLKTPMEISVEERCRINHLATSIIALAQGIPFFHSGDEILRSKSLDRDSYNSGDWFNRLDFTYSSNNWGVGLPPKEKNEKSWPLFKPRLADPSFKPQKSHIIAAVENFSNLLRIRYSSPLFRLRTANAIQERVRFHNTGPSLVPGVIVMSIEDGHEGVPGLSQLDPIYSYIVVIVNACPTEVSFASPSLQARTLQLHPEQVMSTDEIVKRSTYNASAGCFTVPPRTTSVFVEPRGV >ONI24151 pep chromosome:Prunus_persica_NCBIv2:G2:25301548:25304729:-1 gene:PRUPE_2G227000 transcript:ONI24151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPGTPSVIANLMGLEDESPPQPPVQKQRRVLSDNYLQKVASIGVREKRHSFRLKVDSKEFKDVFEVVETLKADKLDNLAVQKGKTYTGFSEAKMEFTMQNEFQVSPEMMDYEDDHFPKCLPGGNSLFNKHLDNLQVSPSNSLFGNTTVSKSSCTSGTGNVRKSGRKHEQPNVTLLQKLESGIGAESLGETGLYNLRKFSRSQLELNKEGCSPLTRIVVLKPKHGKAENSARCFPSLSSLDVSHSSDSKCTEFSSLDSGKIHVPVKKRKNLAYDMEPISLRCKASREIKGKLGIDTGCNKTNIDTKVSWLGSRGCNSIVVESESMRPLSLREQSFSYSDESYVAKEARKQLSEQGKMTKECEEVGMAGRGTTLGNLLSMPGHKTGPRKLDYKLGRHFQPKRKLVTRDIKNLDLSKFRTQQDKYETLCNEWNLRRKGSIKLGQHKSREYRFNQNDGFRPIKLRSICKKFQSFPGLESKGNHAVEKASRNSEKWQSGARACISVDKNDDSFRHVTDTSVQQETSYKESSFLLHCSTTQPDCMVSLEEAYQPSPVSVLEPPFRGERSPTPEYLGELNVDISEYSDTYSEGSGVVSSDDDTNEGSASNYRENEDLMRLFRVEESRDFSYLVDVLSEIGLYDRHSTMDFGTWHSPEWPVSLSVFETLEKKFGDQMAWKRSDRRLLFDRIDAGLMEILQPCMGVPAWTKPVSRRIRSRAGQDMIEEDLWVLLVSKEKETRNVLAEKVLGSEMELDLGDDIDSIGTEIERFLFDELLTEFVSSESS >ONI24150 pep chromosome:Prunus_persica_NCBIv2:G2:25301548:25306136:-1 gene:PRUPE_2G227000 transcript:ONI24150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPGTPSVIANLMGLEDESPPQPPVQKQRRVLSDNYLQKVASIGVREKRHSFRLKVDSKEFKDVFEVVETLKADKLDNLAVQKGKTYTGFSEAKMEFTMQNEFQVSPEMMDYEDDHFPKCLPGGNSLFNKHLDNLQVSPSNSLFGNTTVSKSSCTSGTGNVRKSGRKHEQPNVTLLQKLESGIGAESLGETGLYNLRKFSRSQLELNKEGCSPLTRIVVLKPKHGKAENSARCFPSLSSLDVSHSSDSKCTEFSSLDSGKIHVPVKKRKNLAYDMEPISLRCKASREIKGKLGIDTGCNKTNIDTKVSWLGSRGCNSIVVESESMRPLSLREQSFSYSDESYVAKEARKQLSEQGKMTKECEEVGMAGRGTTLGNLLSMPGHKTGPRKLDYKLGRHFQPKRKLVTRDIKNLDLSKFRTQQDKYETLCNEWNLRRKGSIKLGQHKSREYRFNQNDGFRPIKLRSICKKFQSFPGLESKGNHAVEKASRNSEKWQSGARACISVDKNDDSFRHVTDTSVQQETSYKESSFLLHCSTTQPDCMVSLEEAYQPSPVSVLEPPFRGERSPTPEYLGELNVDISEYSDTYSEGSGVVSSDDDTNEGSASNYRENEDLMRLFRVEESRDFSYLVDVLSEIGLYDRHSTMDFGTWHSPEWPVSLSVFETLEKKFGDQMAWKRSDRRLLFDRIDAGLMEILQPCMGVPAWTKPVSRRIRSRAGQDMIEEDLWVLLVSKEKETRNVLAEKVLGSEMELDLGDDIDSIGTEIERFLFDELLTEFVSSESS >ONI25263 pep chromosome:Prunus_persica_NCBIv2:G2:28545899:28549052:1 gene:PRUPE_2G292600 transcript:ONI25263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWKYMFSKTEFHVLILGIDKAGKTTLLEKLKSLYSNLEGLPPDRIVPTVGLNIGRIEASNTKLVFWDLGGQPGLRSIWEKYYEEAHAVVYVIDATCPLRFEDSKSALEKVLRHEDLQGAPLLILANKQDLADSVSAEELARYLDLKKLDERVYMFEAVSAYDGMGIKESVEWLVEVMERSKRTEMLRARAGATGPASN >ONI25262 pep chromosome:Prunus_persica_NCBIv2:G2:28546044:28549052:1 gene:PRUPE_2G292600 transcript:ONI25262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWKYMFSKTEFHVLILGIDKAGKTTLLEKLKSLYSNLEGLPPDRIVPTVGLNIGRIEASNTKLVFWDLGGQPGLRSIWEKYYEEAHAVVYVIDATCPLRFEDSKSALEKVLRHEDLQGAPLLILANKQDLADSVSAEELARYLDLKKLDERVYMFEAVSAYDGMGIKESVEWLVEVMERSKRTEMLRARAGATGPASN >ONI22594 pep chromosome:Prunus_persica_NCBIv2:G2:19535693:19541165:1 gene:PRUPE_2G138300 transcript:ONI22594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSYFQLHRCSPISLSLSSRCSCPCRPSPSKTLVLTRHRAKLGHLDLLFDGNRRALGLGRNGALASRRLIAVAARAEPERLSEDNAHQEVHKGHKLSMSEDAVSEHQQKASQLKKRIFFGLGIGLSVGIVVLAGGWVFTAAVAAAVFVGAREYFELVRSHGITAGMTPPPRYVSRVCSVICALMPLVTLYRGQIDVSVTSAAFFVAMALLLQRGNPRFAQLSSTMFGLFYCGYLPCFWVKLRCGLAAPALNTSFGSAWPILLGGQAHWTVGLVATLISFSSIIAADTYAFLGGKAFGRTPLTTVSPKKTWEGTIVGLGGCIATSVVLSRIFCWPKSLLRRNTR >ONI22593 pep chromosome:Prunus_persica_NCBIv2:G2:19535693:19541165:1 gene:PRUPE_2G138300 transcript:ONI22593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSYFQLHRCSPISLSLSSRCSCPCRPSPSKTLVLTRHRAKLGHLDLLFDGNRRALGLGRNGALASRRLIAVAARAEPERLSEDNAHQEVHKGHKLSMSEDAVSEHQQKASQLKKRIFFGLGIGLSVGIVVLAGGWVFTAAVAAAVFVGAREYFELVRSHGITAGMTPPPRYVSRVCSVICALMPLVTLYRGQIDVSVTSAAFFVAMALLLQRGNPRFAQLSSTMFGLFYCGYLPCFWVKLRCGLAAPALNTSFGSAWPILLGGQAHWTVGLVATLISFSSIIAADTYAFLGGKAFGRTPLTTVSPKKTWEGTIVGLGGCIATSVVLSRIFCWPKSLLSAIAFGFLNFFGSVFGDLTESMIKRDAGVKDSGSLIPGHGGILDRVDSYMFTGALAYSFVKTFLPLYGV >ONI24002 pep chromosome:Prunus_persica_NCBIv2:G2:24778079:24781496:-1 gene:PRUPE_2G218100 transcript:ONI24002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPTQALTQPQLLQTITSLLTAAKTPQLQPLKPYIPYLTQPLLLSILRSKALANKPSALLSFFQWAQAHNPSLTQTPHPLLALLHPLLCHHKYPDAKTLLVQFITADRQNELLWVLLHPDDTVAKPSKALLDTSVGAYLHCGKPLLAAQLFKRMKRRRLRPNLLTCNTLLYALVRHASCDSISLAKSVFKDAIKLGVSVNTNTFNILIYGYCLEHKFRDAVELLSRMSEFGCWPDNVSYNTILDWLCKKGQLVEARDLLLDMKNRGLFPNGNTYNILVCGYCKMGWLKEAMQIIELMTQNNSLPNIWTYNMLIKALCKEGRIEEAVRLQDEMGHLKLMPDVVTYNTLIDGYFEWRSSSDALSLIEEMREKGVKPNVVTHNIMMKWFCKEGKMDEASDTRRKMEKDGFAPNCVTYNTLINGYCKAGKMEEAFKMMDEMGRKGLKTNIVTLNTVLHTLCNEKKLDAAFELLHTTMKRGYIVDEISYGTLIMGCFKNEKADKALKLWDEMKEKQVIPSIVTYNSIIGGLCQSGKTDQALEKLNELIERGLVPDEFTYNSILHGYCCEGNVEKAFQFHNKTVEKSFKPDVFTCNILLSGLCREGMLEKALKLFSTWISKGKDIDAVTYNTLISSLCKEGRFEEAFDLLSDMEAKKLAPDHYTYGAILGALTDIGRIEEAEEFLLKLIESRKLLDQSSNLVQKGVTSESKVEFHSSTVAYSEQINELCFEGKYKDAMHILEESMQKGITLNKDVYMNLMNGLIKGKGVHKGC >ONI24001 pep chromosome:Prunus_persica_NCBIv2:G2:24778079:24781641:-1 gene:PRUPE_2G218100 transcript:ONI24001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPTQALTQPQLLQTITSLLTAAKTPQLQPLKPYIPYLTQPLLLSILRSKALANKPSALLSFFQWAQAHNPSLTQTPHPLLALLHPLLCHHKYPDAKTLLVQFITADRQNELLWVLLHPDDTVAKPSKALLDTSVGAYLHCGKPLLAAQLFKRMKRRRLRPNLLTCNTLLYALVRHASCDSISLAKSVFKDAIKLGVSVNTNTFNILIYGYCLEHKFRDAVELLSRMSEFGCWPDNVSYNTILDWLCKKGQLVEARDLLLDMKNRGLFPNGNTYNILVCGYCKMGWLKEAMQIIELMTQNNSLPNIWTYNMLIKALCKEGRIEEAVRLQDEMGHLKLMPDVVTYNTLIDGYFEWRSSSDALSLIEEMREKGVKPNVVTHNIMMKWFCKEGKMDEASDTRRKMEKDGFAPNCVTYNTLINGYCKAGKMEEAFKMMDEMGRKGLKTNIVTLNTVLHTLCNEKKLDAAFELLHTTMKRGYIVDEISYGTLIMGCFKNEKADKALKLWDEMKEKQVIPSIVTYNSIIGGLCQSGKTDQALEKLNELIERGLVPDEFTYNSILHGYCCEGNVEKAFQFHNKTVEKSFKPDVFTCNILLSGLCREGMLEKALKLFSTWISKGKDIDAVTYNTLISSLCKEGRFEEAFDLLSDMEAKKLAPDHYTYGAILGALTDIGRIEEAEEFLLKLIESRKLLDQSSNLVQKGVTSESKVEFHSSTVAYSEQINELCFEGKYKDAMHILEESMQKGITLNKDVYMNLMNGLIKGKGVHKGC >ONI23347 pep chromosome:Prunus_persica_NCBIv2:G2:22551867:22552777:-1 gene:PRUPE_2G183500 transcript:ONI23347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCFGYSKAIFRVLLVSAIVFFAILSPSAAATTKPSASAPSPASTPAHAPGPSSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSSYAFF >ONI24384 pep chromosome:Prunus_persica_NCBIv2:G2:25846932:25851818:-1 gene:PRUPE_2G237600 transcript:ONI24384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISQIQRHSDYRLFPSSTPIVIDNGASYFRIGWAGETEPRVIFRNIVQRPRHKATGETVTIVGDHDPTLLKYFDCTRSGPRSAFDSNVVFQFEIMEYILDFGFDRLGANGSQIDHSILITECVCNPFQSRSKMAELLFETYGVPSIAYGVDAAFSYKYNQQHGVCDKDGLAICPGFTTTHVIPFIDGEPMYQGSCRTNIGGYHITDYMKQLLSLKYPHHMARFSWEKVEDLKMEHCYIAPDYVSEARLFQKGTKEAEDKTRLWQLPWVPPPTEEPPSEEEIARKAAIKEKQGQRLREMAEAKRSSRINELENESHGLEFLLQQLEQVEEHDIPSFLSATGYVSKQEVESALLKVRQSLLKAKGEPKAEQAELEEKTDPAGGERFPLLNIPDNMLTPEQVKEKKRQLFLKTTSEGRQRAKQKRYEEELERERRNQQDEQKRLENPELYLEQLHAKYKDLSEKVEQRKRLKTNGGHTNGNSFSGSVGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGARDEDWQLYKLMSKDNDDDDEAPDEHEAELARLTSRLQEVDPTFVPKTDTTNPQSVEAPRFRPLTQEDFQIFFGVERFRCPEILFNPNWIGIDQAGLDEMAGVSIRRLPTKGQELEERLTSSVFLTGGSSLFPGMSERLEAGIRMIRPCGSPIRIVKALDPILDAWRGASAYAAASHFPTQTFTKEDYYEKGEDCVRRYQFRYYTL >ONI24385 pep chromosome:Prunus_persica_NCBIv2:G2:25846932:25850389:-1 gene:PRUPE_2G237600 transcript:ONI24385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLFETYGVPSIAYGVDAAFSYKYNQQHGVCDKDGLAICPGFTTTHVIPFIDGEPMYQGSCRTNIGGYHITDYMKQLLSLKYPHHMARFSWEKVEDLKMEHCYIAPDYVSEARLFQKGTKEAEDKTRLWQLPWVPPPTEEPPSEEEIARKAAIKEKQGQRLREMAEAKRSSRINELENESHGLEFLLQQLEQVEEHDIPSFLSATGYVSKQEVESALLKVRQSLLKAKGEPKAEQAELEEKTDPAGGERFPLLNIPDNMLTPEQVKEKKRQLFLKTTSEGRQRAKQKRYEEELERERRNQQDEQKRLENPELYLEQLHAKYKDLSEKVEQRKRLKTNGGHTNGNSFSGSVGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGARDEDWQLYKLMSKDNDDDDEAPDEHEAELARLTSRLQEVDPTFVPKTDTTNPQSVEAPRFRPLTQEDFQIFFGVERFRCPEILFNPNWIGIDQAGLDEMAGVSIRRLPTKGQELEERLTSSVFLTGGSSLFPGMSERLEAGIRMIRPCGSPIRIVKALDPILDAWRGASAYAAASHFPTQTFTKEDYYEKGEDCVRRYQFRYYTL >ONI23988 pep chromosome:Prunus_persica_NCBIv2:G2:24740468:24745723:-1 gene:PRUPE_2G217500 transcript:ONI23988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGKKARKFSKKNLQSVLRRNRKLNSKFNKKKTSKRGGQEDTAKEKKKDAIELSDARNTEAEYIEDNPLDAIFSEDDSDVFGDDSDSDGYLSEGSSRLHLAHSENENSQEGNFGSSSSALSVQNSEIQLELVKKTKKLDKLKEKDPDFSNFLKSYHKGSEQLRNKVYADEDEISDEDMQPDNVGGVNFNGGKLLTTSAIDSWCQLVREQQSVPALTSLLNGYRAACHYGAESTRVIDADSCHGIQNSETFCKTLIFMLNEADNIFRGLMGMSSSNPKKEKNLDLTKNSKWNTLKPLIKSYLRSTLFLLNEVNDSEILAFSLARIRASMTFFVAFPSLIRRLIKIAVHLWATGRGTISSLSFLIIRDVASVFRSDCFDTCFVNTYKSFIGHCQFLEPVLFQHIQFLRNSCVELCSVDLQKASRKASMSIQQLAKILKQGLLTKKKFPKHWLKSRNFQEQCVLSVIELLAAHFAQWSHHISFPDLATIPLVRLRKFHEITTIESFKRIVKRFIDQVEQNIEFVRKKRDEVPFSPKDQQSVESFLQLEKHSGNTSFTQYYKSIMDKAASRNLAFFEKFSEAEGITKKAQKKIKQSQKERVTEGVNGRHSGKRKGTVSVDGGQEGKMRRKEKS >ONI23990 pep chromosome:Prunus_persica_NCBIv2:G2:24740736:24745387:-1 gene:PRUPE_2G217500 transcript:ONI23990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGKKARKFSKKNLQSVLRRNRKLNSKFNKKKTSKRGGQEDTAKEKKKDAIELSDARNTEAEYIEDNPLDAIFSEDDSDVFGDDSDSDGYLSEGSSRLHLAHSENENSQEGNFGSSSSALSVQNSEIQLELVKKTKKLDKLKEKDPDFSNFLKSYHKGSEQLRNKVYADEDEISDEDMQPDNVGGVNFNGGKLLTTSAIDSWCQLVREQQSVPALTSLLNGYRAACHYGAESTRVIDADSCHGIQNSETFCKTLIFMLNEADNIFRGLMGMSSSNPKKEKNLDLTKNSKWNTLKPLIKSYLRSTLFLLNEVNDSEILAFSLARIRASMTFFVAFPSLIRRLIKIAVHLWATGRGTISSLSFLIIRDVASVFRSDCFDTCFVNTYKSFIGHCQFLEPVLFQHIQFLRNSCVELCSVDLQKASRKASMSIQQLAKILKQGLLTKKKEAVKKICSWQYTSCIDLWVMFISANIHDYDLHPLLFTIIQIINGVAVLFSGPRYLPLRIKCIQWLNHLSSSTGIFIPVASMVLDILEYKIGKDVGKPGKDTNILCSVKFPKHWLKSRNFQEQCVLSVIELLAAHFAQWSHHISFPDLATIPLVRLRKFHEITTIESFKRIVKRFIDQVEQNIEFVRKKRDEVPFSPKDQQSVESFLQLEKHSGNTSFTQYYKSIMDKAASRNLAFFEKFSEAEGITKKAQKKIKQSQKERVTEGVNGRHSGKRKGTVSVDGGQEGKMRRKEKS >ONI23989 pep chromosome:Prunus_persica_NCBIv2:G2:24740736:24745387:-1 gene:PRUPE_2G217500 transcript:ONI23989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGKKARKFSKKNLQSVLRRNRKLNSKFNKKKTSKRGGQEDTAKEKKKDAIELSDARNTEAEYIEDNPLDAIFSEDDSDVFGDDSDSDGYLSEGSSRLHLAHSENENSQEGNFGSSSSALSVQNSEIQLELVKKTKKLDKLKEKDPDFSNFLKSYHKGSEQLRNKVYADEDEISDEDMQPDNVGGVNFNGGKLLTTSAIDSWCQLVREQQSVPALTSLLNGYRAACHYGAESTRVIDADSCHGIQNSETFCKTLIFMLNEADNIFRGLMGMSSSNPKKEKNLDLTKNSKWNTLKPLIKSYLRSTLFLLNEVNDSEILAFSLARIRASMTFFVAFPSLIRRLIKIAVHLWATGRGTISSLSFLIIRDVASVFRSDCFDTCFVNTYKSFIGHCQFLEPVLFQHIQFLRNSCVELCSVDLQKASRKASMSIQQLAKILKQGLLTKKKFPKHWLKSRNFQEQCVLSVIELLAAHFAQWSHHISFPDLATIPLVRLRKFHEITTIESFKRIVKRFIDQVEQNIEFVRKKRDEVPFSPKDQQSVESFLQLEKHSGNTSFTQYYKSIMDKAASRNLAFFEKFSEAEGITKKAQKKIKQSQKERVTEGVNGRHSGKRKGTVSVDGGQEGKMRRKEKS >ONI23991 pep chromosome:Prunus_persica_NCBIv2:G2:24740471:24745723:-1 gene:PRUPE_2G217500 transcript:ONI23991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGKKARKFSKKNLQSVLRRNRKLNSKFNKKKTSKRGGQEDTAKEKKKDAIELSDARNTEAEYIEDNPLDAIFSEDDSDVFGDDSDSDGYLSEGSSRLHLAHSENENSQEGNFGSSSSALSVQNSEIQLELVKKTKKLDKLKEKDPDFSNFLKSYHKGSEQLRNKVYADEDEISDEDMQPDNVGGVNFNGGKLLTTSAIDSWCQLVREQQSVPALTSLLNGYRAACHYGAESTRVIDADSCHGIQNSETFCKTLIFMLNEADNIFRGLMGMSSSNPKKEKNLDLTKNSKWNTLKPLIKSYLRSTLFLLNEVNDSEILAFSLARIRASMTFFVAFPSLIRRLIKIAVHLWATGRGTISSLSFLIIRDVASVFRSDCFDTCFVNTYKSFIGHCQFLEPVLFQHIQFLRNSCVELCSVDLQKASRKASMSIQQLAKILKQGLLTKKKEAVKKICSWQYTSCIDLWVMFISANIHDYDLHPLLFTIIQIINGVAVLFSGPRYLPLRIKCIQWLNHLSSSTGIFIPVASMVLDILEYKIGKDVGKPGKDTNILCSVKFPKHWLKSRNFQEQCVLSVIELLAAHFAQWSHHISFPDLATIPLVRLRKFHEITTIESFKRIVKRFIDQVEQNIEFVRKKRDEVPFSPKDQQSVESFLQLEKHSGNTSFTQYYKSIMDKAASRNLAFFEKFSEAEGITKKAQKKIKQSQKERVTEGVNGRHSGKRKGTVSVDGGQEGKMRRKEKS >ONI23992 pep chromosome:Prunus_persica_NCBIv2:G2:24740471:24745479:-1 gene:PRUPE_2G217500 transcript:ONI23992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLGKKARKFSKKNLQSVLRRNRKLNSKFNKKKTSKRGGQEDTAKEKKKDAIELSDARNTEAEYIEDNPLDAIFSEDDSDVFGDDSDSDGYLSEGSSRLHLAHSENENSQEGNFGSSSSALSVQNSEIQLELVKKTKKLDKLKEKDPDFSNFLKSYHKGSEQLRNKVYADEDEISDEDMQPDNVGGVNFNGGKLLTTSAIDSWCQLVREQQSVPALTSLLNGYRAACHYGAESTRVIDADSCHGIQNSETFCKTLIFMLNEADNIFRGLMGMSSSNPKKEKNLDLTKNSKWNTLKPLIKSYLRSTLFLLNEVNDSEILAFSLARIRASMTFFVAFPSLIRRLIKIAVHLWATGRGTISSLSFLIIRDVASVFRSDCFDTCFVNTYKSFIGHCQFLEPVLFQHIQFLRNSCVELCSVDLQKASRKASMSIQQLAKILKQGLLTKKKEAVKKICSWQYTSCIDLWVMFISANIHDYDLHPLLFTIIQIINGVAVLFSGPRYLPLRIKCIQWLNHLSSSTGIFIPVASMVLDILEYKIGKDVGKPGKDTNILCSVKFPKHWLKSRNFQEQCVLSVIELLAAHFAQWSHHISFPDLATIPLVRLRKFHEITTIESFKRIVKRFIDQVEQNIEFVRKKRDEVPFSPKDQQSVESFLQLEKHSGNTSFTQYYKSIMDKAASRNLAFFEKFSEAEGITKKAQKKIKQSQKERVTEGVNGRHSGKRKGTVSVDGGQEGKMRRKEKS >ONI25401 pep chromosome:Prunus_persica_NCBIv2:G2:28910200:28912631:-1 gene:PRUPE_2G300800 transcript:ONI25401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGHFAATTPNPIRLIQSSYLLAKPNPTIFFPPSNLRHQKSALHYRARRKLCFTVYVVMEDQKQSTHLENCTEKAQEAAQSQIPIVIPSVRVAEKLSRKKSERFTYLVAAVMSSFGITSMAVMAVYYRFYWQMEGGNVPLSEMLGTFALSVGAAVGMEFWARWAHKALWHASLWHMHESHHRPREGPFELNDVFAVINAVPAIALLNYGFFHKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYLRKVAAAHQLHHSEKFEGVPYGLFLGPKELEEVGGLEELEKEIERRIKAYKGS >ONI22224 pep chromosome:Prunus_persica_NCBIv2:G2:17295578:17298592:-1 gene:PRUPE_2G115200 transcript:ONI22224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKR >ONI22223 pep chromosome:Prunus_persica_NCBIv2:G2:17294581:17298760:-1 gene:PRUPE_2G115200 transcript:ONI22223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRRRCFKSSCADVPS >ONI22221 pep chromosome:Prunus_persica_NCBIv2:G2:17294380:17298790:-1 gene:PRUPE_2G115200 transcript:ONI22221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEAKARELNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSSNANSSESQAQSGGCAC >ONI22222 pep chromosome:Prunus_persica_NCBIv2:G2:17294606:17298760:-1 gene:PRUPE_2G115200 transcript:ONI22222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRRCFKSSCADVPS >ONI20218 pep chromosome:Prunus_persica_NCBIv2:G2:402907:405666:1 gene:PRUPE_2G003800 transcript:ONI20218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNMREEIMILDWNQEPHDSVIRLESILNELETANGRIEERIRLLEAVTFRARQRDRWRQPHASPQMVNITAGVGASDALHEGIQNGNDALATRETVESGKTIRGDKMHLVAKALGMETNAKKAESRSGSFFDCKICLDMARDPILTCCGHLFCWPCFCQLPYVDSYAKECPECKGEVTDKSLIPIYGNGDGNCSRKSKESVPMAPPRPRANRIDGFRQQLISRGPSSILIEERIQQISDMVGAMGERRRSQDLLGSHIMAERTTFVSRSQASPAIETTSHPQHDSLQVSRLLQGAASVSSFSSALNTAMNSAERLVEDLEAYSSSHHVRRNHQQAPHIGNGDTSSSIAAVLHPDSQTSDTAAETNSDVLPSDSFLRTDTVVVDLEDQTTDSEINSTLPSSSSRRRTNPRGSDVNNGHSSERRRRRLR >ONI20217 pep chromosome:Prunus_persica_NCBIv2:G2:402913:405666:1 gene:PRUPE_2G003800 transcript:ONI20217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNMREEIMILDWNQEPHDSVIRLESILNELETANGRIEERIRLLEAVTFRARQRDRWRQPHASPQMVNITAGVGASDALHEGIQNGNDALATRETVESGKTIRGDKMHLVAKALGMETNAKKAESRSGSFFDCKICLDMARDPILTCCGHLFCWPCFCQLPYVDSYAKECPECKGEVTDKSLIPIYGNGDGNCSRKSKESVPMAPPRPRANRIDGFRQQLISRGPSSILIEERIQQISDMVGAMGERRRSQDLLGSHIMAERTTFVSRSQASPAIETTSHPQHDSLQVSRLLQGAASVSSFSSALNTAMNSAERLVEDLEAYSSSHHVRRNHQQAPHIGNGDTSSSIAAVLHPDSQTSDTAAETNSDVLPSDSFLRTDTVVVDLEDQTTDSEINSTLPSSSSRRRTNPRGSDVNNGHSSERRRRRLR >ONI20216 pep chromosome:Prunus_persica_NCBIv2:G2:402846:405666:1 gene:PRUPE_2G003800 transcript:ONI20216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNMREEIMILDWNQEPHDSVIRLESILNELETANGRIEERIRLLEAVTFRARQRDRWRQPHASPQMVNITAGVGASDALHEGIQNGNDALATRETVESGKTIRGDKMHLVAKALGMETNAKKAESRSGSFFDCKICLDMARDPILTCCGHLFCWPCFCQLPYVDSYAKECPECKGEVTDKSLIPIYGNGDGNCSRKSKESVPMAPPRPRANRIDGFRQQLISRGPSSILIEERIQQISDMVGAMGERRRSQDLLGSHIMAERTTFVSRSQASPAIETTSHPQHDSLQVSRLLQGAASVSSFSSALNTAMNSAERLVEDLEAYSSSHHVRRNHQQAPHIGNGDTSSSIAAVLHPDSQTSDTAAETNSDVLPSDSFLRTDTVVVDLEDQTTDSEINSTLPSSSSRRRTNPRGSDVNNGHSSERRRRRLR >ONI21903 pep chromosome:Prunus_persica_NCBIv2:G2:15323974:15325461:1 gene:PRUPE_2G097800 transcript:ONI21903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLPRFVVVTSNTNGKYLRYIDEDIKNDVPAGYLKFSGQEAGSQYAKFEVEMAKSRCNEGLVHIKCCYNNKYWVRRRPDSYLIAAVADEPVEDKSKYSCTLFEPVYINDDFLVGDDKSTNHILVLRFRHTGRGEYLTIYKANDRPALSGGLLSGDRVPNPKLFDVFTVTDWESLFMMLPKYVAFKGHDGNLLGTCLNEVFASADGGLSYSSGILWRLTKGDWICLDADNSTSLKRFPVTEKITSDDSEANNEEVKELGVSKEIYNVTFRLGDAMIYNKKVVEIVMGEAVNRTQETQTVELKLSYKKTRSGSLKSSVFKLGVQSSIDGEVPIIADNGKIEVDEFAESVERRKTGTATTFTCNVNEAAVYKVVLPAMAMVKVRLLATKASYDVPFSYTERETLADGKIITNDMDDGVYCGTNTFNFKFETTEKDLTFHQSANTL >ONI21854 pep chromosome:Prunus_persica_NCBIv2:G2:14860463:14868398:-1 gene:PRUPE_2G093900 transcript:ONI21854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAPRRRSSPPPKSPASDTMEKPTYVRFLVSNAAAGSVIGKGGATITDFQSQSGARIQLSRNHEFFPGTTDRIIMVSGSINEILKAVDLVLAKLLSELYSEETDDVEPRTKLRLVVPNSSCGGIIGKGGSTIKSFIEGSQAGIKISPQDNNYFGLNDRLVTVTGNLDEQMRAVDLIVSKLSEDPHYTQSMNAPFSYPAYNAMSYGPPNGTGGKFQNNKEDRSNSVTIGVADSHIGLVVGRGGRTIMEISQASGARIKISDRGDFMSGTTDRKVTITGSQRAIRAAESMILQKVSYASERAME >ONI21856 pep chromosome:Prunus_persica_NCBIv2:G2:14860917:14868142:-1 gene:PRUPE_2G093900 transcript:ONI21856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAPRRRSSPPPKSPASDTMEKPTYVRFLVSNAAAGSVIGKGGATITDFQSQSGARIQLSRNHEFFPGTTDRIIMVSGSINEILKAVDLVLAKLLSELYSEETDDVEPRTKLRLVVPNSSCGGIIGKGGSTIKSFIEGSQAGIKISPQDNNYFGLNDRLVTVTGNLDEQMRAVDLIVSKLSEDPHYTQSMNAPFSYPGVFFSGFHGIPYTYVLPSVATAAYNAMSYGPPNGTGGKFQNNKEDRSNSVTIGVADSHIGLVVGRGGRTIMEISQASGARIKISDRGDFMSGTTDRKVTITGSQRAIRAAESMILQKVSYASERAME >ONI21855 pep chromosome:Prunus_persica_NCBIv2:G2:14860917:14868142:-1 gene:PRUPE_2G093900 transcript:ONI21855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAPRRRSSPPPKSPASDTMEKPTYVRFLVSNAAAGSVIGKGGATITDFQSQSGARIQLSRNHEFFPGTTDRIIMVSGSINEILKAVDLVLAKLLSELYSEETDDVEPRTKLRLVVPNSSCGGIIGKGGSTIKSFIEGSQAGIKISPQDNNYFGLNDRLVTVTGNLDEQMRAVDLIVSKLSEDPHYTQSMNAPFSYPAAYNAMSYGPPNGTGGKFQNNKEDRSNSVTIGVADSHIGLVVGRGGRTIMEISQASGARIKISDRGDFMSGTTDRKVTITGSQRAIRAAESMILQKVSYASERAME >ONI23788 pep chromosome:Prunus_persica_NCBIv2:G2:24153630:24156194:1 gene:PRUPE_2G208100 transcript:ONI23788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLGLDLKSQAREHYCCSVFFIFLRIRKRENEGNKINELKKMNKVKSLLKPKPNPSEQLRDWQRRLRQECRNLERQIRDIQKEEKAVHKSIREAAKRNDMGTAKALAKEILMSRKAVNRLHENKAQLNSISMHLGESVALARTVGHLSKSAEVMKLVNNLMKAPEMAATMQEFTKEITKAGVIEEFVNDAVDSALDSEDIEEETEEEVEKVLSEIAGETAAQLPEAVRKERTKVAAQGASASQDEEAIAEGADDEEELEELRARLAQVRS >ONI22798 pep chromosome:Prunus_persica_NCBIv2:G2:20685840:20693587:1 gene:PRUPE_2G152100 transcript:ONI22798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNGSSQYPYPYPYQNSYPYPHQPPSQYPPPPPDQYQPAPYPYPPYNPSYPYPYAYPPSPSSSSPHSGPLDYNQPPYPYPYPPARPISHSGPLPSIQQHSSFKYGASHYHYQQSEAYPPPESPHQAPLRPSRFSNHQRHDSCPVGIGGASFHDNGAELVPPHSSAYPPLDQLLSNVHLSDNQSLDPSAPPSPLVQELATSTPSSARYDTQGELYAYPNSSFSSSWEMSYSGQIESPSHSAYTHSSSFNGSQHSQSLQIIPLQNKGSLKVLLLHGNLDIWVYEARNLPNMDMFHKTLGDMFLRLPGSGSSKTDGQSSRKITSDPYVSISVSNAVIGRTYVISNSEFPVWTQHFNVPVAHYAAEVHFVVKDSDLVGSQLIGVVAIPVEQIYTGARVEGVYPILNTSGKQCKAGAVLRLSIQYIPIEKLSVYHNGVGAGPDYFGVPGTYFPLRTGGKVTLYQDAHVPDGCLPNLILDGGMPYVHGRCWHDIFDAIRQARRLIYIAGWSVWHNVRLVRDVSGASNCTIGDLLRSKSQEGVRVLLLVWDDPTSRSILGYKTDGIMQTHDEEIRRFFKHSSVQVLLCPRTAGKRHSWVKQREVGTIYTHHQKTVIVDTDAGNSRRKIVAFVGGLDLCDGRYDTPHHPLFRTLQTVHKDDYHNPTYTGSTVGCPREPWHDLHSRLDGPAAYDVLTNFEERWLKASKPHGMKKLKKIGYGDALLKLERIPDIIGASHAASTSDNDPETWHVQIFRSIDSNSVKGFPKDPKEATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSYNWSSYKDLGANNLIPMEIALKIASKIRANERFAAYIVIPMWPEGVPTGAATQRILFWQHKTMQMMYETIYKALVEVGLEGAFSPQDYLNFFCLGNREAIDGNDTSVSGSPTAANTPQALSQKSRRFMIYVHSKGMIVDDEYVIVGSANINQRSMEGTRDTEIAMGSYQPHHTWARKHSSPHGQIYGYRMSLWAEHTGTIEDCFTQPESLECVRRIRSMGEMNWKQFAAEEVTEIMGHLLKYPVEVDRKGKVTSLPGSENFPDVGGNITGSFLGIQENLTI >ONI22799 pep chromosome:Prunus_persica_NCBIv2:G2:20685829:20693606:1 gene:PRUPE_2G152100 transcript:ONI22799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNGSSQYPYPYPYQNSYPYPHQPPSQYPPPPPDQYQPAPYPYPPYNPSYPYPYAYPPSPSSSSPHSGPLDYNQPPYPYPYPPARPISHSGPLPSIQQHSSFKYGASHYHYQQSEAYPPPESPHQAPLRPSRFSNHQRHDSCPVGIGGASFHDNGAELVPPHSSAYPPLDQLLSNVHLSDNQSLDPSAPPSPLVQELATSTPSSARYDTQGELYAYPNSSFSSSWEMSYSGQIESPSHSAYTHSSSFNGSQHSQSLQIIPLQNKGSLKVLLLHGNLDIWVYEARNLPNMDMFHKTLGDMFLRLPGSGSSKTDGQSSRKITSDPYVSISVSNAVIGRTYVISNSEFPVWTQHFNVPVAHYAAEVHFVVKDSDLVGSQLIGVVAIPVEQIYTGARVEGVYPILNTSGKQCKAGAVLRLSIQYIPIEKLSVYHNGVGAGPDYFGVPGTYFPLRTGGKVTLYQDAHVPDGCLPNLILDGGMPYVHGRCWHDIFDAIRQARRLIYIAGWSVWHNVRLVRDVSGASNCTIGDLLRSKSQEGVRVLLLVWDDPTSRSILGYKTDGIMQTHDEEIRRFFKHSSVQVLLCPRTAGKRHSWVKQREVGTIYTHHQKTVIVDTDAGNSRRKIVAFVGGLDLCDGRYDTPHHPLFRTLQTVHKDDYHNPTYTGSTVGCPREPWHDLHSRLDGPAAYDVLTNFEERWLKASKPHGMKKLKKIGYGDALLKLERIPDIIGASHAASTSDNDPETWHVQIFRSIDSNSVKGFPKDPKEATSKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSYNWSSYKDLGANNLIPMEIALKIASKIRANERFAAYIVIPMWPEGVPTGAATQRILFWQHKTMQMMYETIYKALVEVGLEGAFSPQDYLNFFCLGNREAIDGNDTSVSGSPTAANTPQALSQKSRRFMIYVHSKGMIVDDEYVIVGSANINQRSMEGTRDTEIAMGSYQPHHTWARKHSSPHGQIYGYRMSLWAEHTGTIEDCFTQPESLECVRRIRSMGEMNWKQFAAEEVTEIMGHLLKYPVEVDRKGKVTSLPGSENFPDVGGNITGSFLGIQENLTI >ONI24099 pep chromosome:Prunus_persica_NCBIv2:G2:25094930:25100619:1 gene:PRUPE_2G223700 transcript:ONI24099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGEVAIALEKMEEKAEATPVADNSKEKVASSHSKPEATAENSVMKDEHGSSKKWSPKEEEAHDPPQGCFLQKWKKIFVASCLFAVLLDPLFLYVPMMRDEIKCLLYDRNLKIAALLLRSVTDLFYILDIIFQIYASPNYSDVMDLYRRIRLYCSKIRFWRKYFVPTIAKTILGSYNILIDIMAILPLPQVAIFIFFSKMRDLRSLSTKRMAIMNFFVLLQYVPRVLCIYLSCKELKRTPKGGTGETAIWVKGVLNFFMYILASHVLGAIWYFFAIQRIAICWHDACRKENGCDTSTFGCHEHQTFRNIRFLNDLCPISPVNTTRFDFGIYSTILQSGIPGSTNYFEKFSNCFWWGLRNLSSLGSNLEPSIDGWENLFAAFISIIGLLLFLYLIGNLQTYMQLNTARREDHRHKMKVERKMEKKDPETELWLSKNGVPKRLINDIKSQMMVKVRQEVEVDRDADLDYIFSILPSTLQMRIKQYMPMTRLKQVPMFQNMDESVLKEICRRLKPKKFTEGDIIIEEGKRLKKMVYIVEGLVSIRSKDSSSDLQQRGPGQVCGEKLVRRLPSTSFPRKAPETKSAITIGDVEALVLKASDVQDMVFEFGKQYFLGTKIFSAKQLEEATNNYHNIIGQGISATVYEGNLPDGTRVAVKKSKTTRPISYSPRVIKEVGVASQIDHKNVVRFLGCCLEPQTQALVFEYIPKGTLSQHTHKEEEGRGSSSPLSWELRIKIASETAEALAYLHSFTPNKPIIHGHVNTKNILLDDDFTAKLSGFGVSRLFIDDDDDDDDEDEAVAAYVREKLRYLDLEYNQPQALREKSDVYNFGVVLAELLTSQVFENDNERKYCGTQLDANAGLKEWNAVSLCWMYRRNPYNMRDFSPERVMEKRDLAWFLSSLEKGHLDQILDGEIIVNEATSSDTAKQVADLAKRCLRPKGGERPSMKEVAAELQRLLKFMAENQRGEPSFC >ONI24101 pep chromosome:Prunus_persica_NCBIv2:G2:25094930:25100619:1 gene:PRUPE_2G223700 transcript:ONI24101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGEVAIALEKMEEKAEATPVADNSKEKVASHSKPEATAENSVMKDEHGSSKKWSPKEEEAHDPPQGCFLQKWKKIFVASCLFAVLLDPLFLYVPMMRDEIKCLLYDRNLKIAALLLRSVTDLFYILDIIFQIYASPNYSDVMDLYRRIRLYCSKIRFWRKYFVPTIAKTILGSYNILIDIMAILPLPQVAIFIFFSKMRDLRSLSTKRMAIMNFFVLLQYVPRVLCIYLSCKELKRTPKGGTGETAIWVKGVLNFFMYILASHVLGAIWYFFAIQRIAICWHDACRKENGCDTSTFGCHEHQTFRNIRFLNDLCPISPVNTTRFDFGIYSTILQSGIPGSTNYFEKFSNCFWWGLRNLSSLGSNLEPSIDGWENLFAAFISIIGLLLFLYLIGNLQTYMQLNTARREDHRHKMKVERKMEKKDPETELWLSKNGVPKRLINDIKSQMMVKVRQEVEVDRDADLDYIFSILPSTLQMRIKQYMPMTRLKQVPMFQNMDESVLKEICRRLKPKKFTEGDIIIEEGKRLKKMVYIVEGLVSIRSKDSSSDLQQRGPGQVCGEKLVRRLPSTSFPRKAPETKSAITIGDVEALVLKASDVQDMVFEFGKQYFLGTKIFSAKQLEEATNNYHNIIGQGISATVYEGNLPDGTRVAVKKSKTTRPISYSPRVIKEVGVASQIDHKNVVRFLGCCLEPQTQALVFEYIPKGTLSQHTHKEEEGRGSSSPLSWELRIKIASETAEALAYLHSFTPNKPIIHGHVNTKNILLDDDFTAKLSGFGVSRLFIDDDDDDDDEDEAVAAYVREKLRYLDLEYNQPQALREKSDVYNFGVVLAELLTSQVFENDNERKYCGTQLDANAGLKEWNAVSLCWMYRRNPYNMRDFSPERVMEKRDLAWFLSSLEKGHLDQILDGEIIVNEATSSDTAKQVADLAKRCLRPKGGERPSMKEVAAELQRLLKFMAENQRGEPSFC >ONI24102 pep chromosome:Prunus_persica_NCBIv2:G2:25095360:25100619:1 gene:PRUPE_2G223700 transcript:ONI24102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEHGSSKKWSPKEEEAHDPPQGCFLQKWKKIFVASCLFAVLLDPLFLYVPMMRDEIKCLLYDRNLKIAALLLRSVTDLFYILDIIFQIYASPNYSDVMDLYRRIRLYCSKIRFWRKYFVPTIAKTILGSYNILIDIMAILPLPQVAIFIFFSKMRDLRSLSTKRMAIMNFFVLLQYVPRVLCIYLSCKELKRTPKGGTGETAIWVKGVLNFFMYILASHVLGAIWYFFAIQRIAICWHDACRKENGCDTSTFGCHEHQTFRNIRFLNDLCPISPVNTTRFDFGIYSTILQSGIPGSTNYFEKFSNCFWWGLRNLSSLGSNLEPSIDGWENLFAAFISIIGLLLFLYLIGNLQTYMQLNTARREDHRHKMKVERKMEKKDPETELWLSKNGVPKRLINDIKSQMMVKVRQEVEVDRDADLDYIFSILPSTLQMRIKQYMPMTRLKQVPMFQNMDESVLKEICRRLKPKKFTEGDIIIEEGKRLKKMVYIVEGLVSIRSKDSSSDLQQRGPGQVCGEKLVRRLPSTSFPRKAPETKSAITIGDVEALVLKASDVQDMVFEFGKQYFLGTKIFSAKQLEEATNNYHNIIGQGISATVYEGNLPDGTRVAVKKSKTTRPISYSPRVIKEVGVASQIDHKNVVRFLGCCLEPQTQALVFEYIPKGTLSQHTHKEEEGRGSSSPLSWELRIKIASETAEALAYLHSFTPNKPIIHGHVNTKNILLDDDFTAKLSGFGVSRLFIDDDDDDDDEDEAVAAYVREKLRYLDLEYNQPQALREKSDVYNFGVVLAELLTSQVFENDNERKYCGTQLDANAGLKEWNAVSLCWMYRRNPYNMRDFSPERVMEKRDLAWFLSSLEKGHLDQILDGEIIVNEATSSDTAKQVADLAKRCLRPKGGERPSMKEVAAELQRLLKFMAENQRGEPSFC >ONI24100 pep chromosome:Prunus_persica_NCBIv2:G2:25094930:25100619:1 gene:PRUPE_2G223700 transcript:ONI24100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGEVAIALEKMEEKAEATPVADNSKEKVASSHSKPEATAENSVMKDEHGSSKKWSPKEEEAHDPPQGCFLQKWKKIFVASCLFAVLLDPLFLYVPMMRDEIKCLLYDRNLKIAALLLRSVTDLFYILDIIFQIYASPNYSDVMDLYRRIRLYCSKIRFWRKYFVPTIAKTILGSYNILIDIMAILPLPQVLGAIWYFFAIQRIAICWHDACRKENGCDTSTFGCHEHQTFRNIRFLNDLCPISPVNTTRFDFGIYSTILQSGIPGSTNYFEKFSNCFWWGLRNLSSLGSNLEPSIDGWENLFAAFISIIGLLLFLYLIGNLQTYMQLNTARREDHRHKMKVERKMEKKDPETELWLSKNGVPKRLINDIKSQMMVKVRQEVEVDRDADLDYIFSILPSTLQMRIKQYMPMTRLKQVPMFQNMDESVLKEICRRLKPKKFTEGDIIIEEGKRLKKMVYIVEGLVSIRSKDSSSDLQQRGPGQVCGEKLVRRLPSTSFPRKAPETKSAITIGDVEALVLKASDVQDMVFEFGKQYFLGTKIFSAKQLEEATNNYHNIIGQGISATVYEGNLPDGTRVAVKKSKTTRPISYSPRVIKEVGVASQIDHKNVVRFLGCCLEPQTQALVFEYIPKGTLSQHTHKEEEGRGSSSPLSWELRIKIASETAEALAYLHSFTPNKPIIHGHVNTKNILLDDDFTAKLSGFGVSRLFIDDDDDDDDEDEAVAAYVREKLRYLDLEYNQPQALREKSDVYNFGVVLAELLTSQVFENDNERKYCGTQLDANAGLKEWNAVSLCWMYRRNPYNMRDFSPERVMEKRDLAWFLSSLEKGHLDQILDGEIIVNEATSSDTAKQVADLAKRCLRPKGGERPSMKEVAAELQRLLKFMAENQRGEPSFC >ONI22026 pep chromosome:Prunus_persica_NCBIv2:G2:15805654:15807397:1 gene:PRUPE_2G102300 transcript:ONI22026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEAIPKPKHCRTQASSDETLLLPGLPNHLAQLCLAKINPSVLFSVCRSWRRLIYSPSFPPFFSLYAILSPPPPHHHHHNPNSPIQFSSLDPISNTWTSLPPPPSSPPLQLLHCHPSFLSRKLPIQWLSVSGRLVLVAATTHQFTPAMPRPLVFQPLSNQWSFGPPLPEPRRWCGVGTVGGKVYVASGIGTTYRGDVARSMEEWDTKRKEASSSWVKKACLKDGRFSREDVEAIGYRGKLWMVNSKGNAVKEGVVYDVQKDTWQVMPQGMLGGWNGPAAATINDDGHDDHDDDDVMYVVDERKGVLNKYVDENDCWEMVMESVVLREAEQVCAGRGRVCVVCANGRRIVVVDVVATPPRIWVVEPPPMLEVVAVHILPRMCRTD >ONI20981 pep chromosome:Prunus_persica_NCBIv2:G2:4896731:4920402:-1 gene:PRUPE_2G044100 transcript:ONI20981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAQDSSADPPKKNKQKSNDGKQILHSAIDKEFLAAPIKSATDKFALLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDISIGEPSLTMDGVTEKIAPHTCRLSDMTYSAPIKVDVEYIQGSHDQKTRVEKKGVVIGRMPIMLRSCSCTLYGKTESELAKLGECPLDPGGYFIIKGNEKVLLMQEQLSKNRIIIDMDKKGNLNASVTSSSERIKSKTIIQMENQKIYLMLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPRYAALLMPSIEDCAKEGIHKQEQALAYLETKVKRFSFAGASSENDGRVMTVLQDVFLANVEVCQDNFRPKCIYVAVMLRRIMDAILNKDAMDDKDYVGNKRIELSGQLISLLFEDLFKTMIAEVKKAVDNILTKPSRSSRFDFAQCIIKDSITHGLERTLSTGNFNIKRFKMDSKGMTQVLARLSFIGCLGHMTRIKPQFEKTRKVSGPRALQPSQWGMLCPCDTPEGEPCGLIKNLALMTHVTTDEEESPLISLCYCLGVEGLEVLSGEELHTPYSFLVLFNGNILGKHRKPQHFAAAMRKLRRAGKIGEFVSVFVNEKQHCVYIASDGGRVCRPLVIADKGISRVKEHHMLELKAGVRTFDDFLSDGLIEYLDVNEENNSLIALYEEEATTETTHIEIEPLTLLGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLHRMDSLLYLLVYPQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIHMKRYCAVNQKYDNNTQDRILRPNRDGFDSGPMRVLDDDGLAAPGEIIRRNDILINKQVPIVTRGQFKSALHDSEFKSVPQRYDGPQGESCVVDKVALCSDKHNNLCFKFLIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPGGHADKVEAISETLVRMGFSYDGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVSLTRQPTEGKARNGGLRVGEMERDCLIAYGASMLLYERLMISSDPFEVQVCRVCGLLGYYNHKLKTGICSSCKNGDNISTMKLPYACKLLIQELQSMNIVPRLKLAEA >ONI20984 pep chromosome:Prunus_persica_NCBIv2:G2:4896360:4920514:-1 gene:PRUPE_2G044100 transcript:ONI20984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAQDSSADPPKKNKQNRSNDGKQILHSAIDKEFLAAPIKSATDKFALLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDISIGEPSLTMDGVTEKIAPHTCRLSDMTYSAPIKVDVEYIQGSHDQKTRVEKKGVVIGRMPIMLRSCSCTLYGKTESELAKLGECPLDPGGYFIIKGNEKVLLMQEQLSKNRIIIDMDKKGNLNASVTSSSERIKSKTIIQMENQKIYLMLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPRYAALLMPSIEDCAKEGIHKQEQALAYLETKVKRFSFAGASSENDGRVMTVLQDVFLANVEVCQDNFRPKCIYVAVMLRRIMDAILNKDAMDDKDYVGNKRIELSGQLISLLFEDLFKTMIAEVKKAVDNILTKPSRSSRFDFAQCIIKDSITHGLERTLSTGNFNIKRFKMDSKGMTQVLARLSFIGCLGHMTRIKPQFEKTRKVSGPRALQPSQWGMLCPCDTPEGEPCGLIKNLALMTHVTTDEEESPLISLCYCLGVEGLEVLSGEELHTPYSFLVLFNGNILGKHRKPQHFAAAMRKLRRAGKIGEFVSVFVNEKQHCVYIASDGGRVCRPLVIADKGISRVKEHHMLELKAGVRTFDDFLSDGLIEYLDVNEENNSLIALYEEEATTETTHIEIEPLTLLGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLHRMDSLLYLLVYPQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIHMKRYCAVNQKYDNNTQDRILRPNRDGFDSGPMRVLDDDGLAAPGEIIRRNDILINKQVPIVTRGQFKSALHDSEFKSVPQRYDGPQGESCVVDKVALCSDKHNNLCFKFLIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPGGHADKVEAISETLVRMGFSYDGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVSLTRQPTEGKARNGGLRVGEMERDCLIAYGASMLLYERLMISSDPFEVQVCRVCGLLGYYNHKLKTGICSSCKNGDNISTMKLPYACKLLIQELQSMNIVPRLKLAEA >ONI20983 pep chromosome:Prunus_persica_NCBIv2:G2:4896731:4920402:-1 gene:PRUPE_2G044100 transcript:ONI20983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAQDSSADPPKKNKQNRSNDGKQILHSAIDKEFLAAPIKSATDKFALLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDISIGEPSLTMDGVTEKIAPHTCRLSDMTYSAPIKVDVEYIQGSHDQKTRVEKKGVVIGRMPIMLRSCSCTLYGKTESELAKLGECPLDPGGYFIIKGNEKVLLMQEQLSKNRIIIDMDKKGNLNASVTSSSERIKSKTIIQMENQKIYLMLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPRYAALLMPSIEDCAKEGIHKQEQALAYLETKVKRFSFAGASSENDGRVMTVLQDVFLANVEVCQDNFRPKCIYVAVMLRRIMDAILNKDAMDDKDYVGNKRIELSGQLISLLFEDLFKTMIAEVKKAVDNILTKPSRSSRFDFAQCIIKDSITHGLERTLSTGNFNIKRFKMDSKGMTQVLARLSFIGCLGHMTRIKPQFEKTRKVSGPRALQPSQWGMLCPCDTPEGEPCGLIKNLALMTHVTTDEEESPLISLCYCLGVEGLEVLSGEELHTPYSFLVLFNGNILGKHRKPQHFAAAMRKLRRAGKIGEFVSVFVNEKQHCVYIASDGGRVCRPLVIADKGISRVKEHHMLELKAGVRTFDDFLSDGLIEYLDVNEENNSLIALYEEEATTETTHIEIEPLTLLGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLHRMDSLLYLLVYPQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIHMKRYCAVNQKYDNNTQDRILRPNRDGFDSGPMRVLDDDGLAAPGEIIRRNDILINKQVPIVTRGQFKSALHDSEFKSVPQRYDGPQGESCVVDKVALCSDKHNNLCFKFLIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPGGHADKVEAISETLVRMGFSYDGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVSLTRQPTEGKARNGGLRVGEMERDCLIAYGASMLLYERLMISSDPFEVQVCRVCGLLGYYNHKLKTGICSSCKNGDNISTMKLPYACKLLIQELQSMNIVPRLKLAEA >ONI20982 pep chromosome:Prunus_persica_NCBIv2:G2:4896360:4920514:-1 gene:PRUPE_2G044100 transcript:ONI20982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAQDSSADPPKKNKQKSNDGKQILHSAIDKEFLAAPIKSATDKFALLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDISIGEPSLTMDGVTEKIAPHTCRLSDMTYSAPIKVDVEYIQGSHDQKTRVEKKGVVIGRMPIMLRSCSCTLYGKTESELAKLGECPLDPGGYFIIKGNEKVLLMQEQLSKNRIIIDMDKKGNLNASVTSSSERIKSKTIIQMENQKIYLMLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPRYAALLMPSIEDCAKEGIHKQEQALAYLETKVKRFSFAGASSENDGRVMTVLQDVFLANVEVCQDNFRPKCIYVAVMLRRIMDAILNKDAMDDKDYVGNKRIELSGQLISLLFEDLFKTMIAEVKKAVDNILTKPSRSSRFDFAQCIIKDSITHGLERTLSTGNFNIKRFKMDSKGMTQVLARLSFIGCLGHMTRIKPQFEKTRKVSGPRALQPSQWGMLCPCDTPEGEPCGLIKNLALMTHVTTDEEESPLISLCYCLGVEGLEVLSGEELHTPYSFLVLFNGNILGKHRKPQHFAAAMRKLRRAGKIGEFVSVFVNEKQHCVYIASDGGRVCRPLVIADKGISRVKEHHMLELKAGVRTFDDFLSDGLIEYLDVNEENNSLIALYEEEATTETTHIEIEPLTLLGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLHRMDSLLYLLVYPQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIHMKRYCAVNQKYDNNTQDRILRPNRDGFDSGPMRVLDDDGLAAPGEIIRRNDILINKQVPIVTRGQFKSALHDSEFKSVPQRYDGPQGESCVVDKVALCSDKHNNLCFKFLIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPGGHADKVEAISETLVRMGFSYDGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVSLTRQPTEGKARNGGLRVGEMERDCLIAYGASMLLYERLMISSDPFEVQVCRVCGLLGYYNHKLKTGICSSCKNGDNISTMKLPYACKLLIQELQSMNIVPRLKLAEA >ONI20985 pep chromosome:Prunus_persica_NCBIv2:G2:4896360:4912726:-1 gene:PRUPE_2G044100 transcript:ONI20985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVKRFSFAGASSENDGRVMTVLQDVFLANVEVCQDNFRPKCIYVAVMLRRIMDAILNKDAMDDKDYVGNKRIELSGQLISLLFEDLFKTMIAEVKKAVDNILTKPSRSSRFDFAQCIIKDSITHGLERTLSTGNFNIKRFKMDSKGMTQVLARLSFIGCLGHMTRIKPQFEKTRKVSGPRALQPSQWGMLCPCDTPEGEPCGLIKNLALMTHVTTDEEESPLISLCYCLGVEGLEVLSGEELHTPYSFLVLFNGNILGKHRKPQHFAAAMRKLRRAGKIGEFVSVFVNEKQHCVYIASDGGRVCRPLVIADKGISRVKEHHMLELKAGVRTFDDFLSDGLIEYLDVNEENNSLIALYEEEATTETTHIEIEPLTLLGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLHRMDSLLYLLVYPQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIHMKRYCAVNQKYDNNTQDRILRPNRDGFDSGPMRVLDDDGLAAPGEIIRRNDILINKQVPIVTRGQFKSALHDSEFKSVPQRYDGPQGESCVVDKVALCSDKHNNLCFKFLIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPGGHADKVEAISETLVRMGFSYDGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVSLTRQPTEGKARNGGLRVGEMERDCLIAYGASMLLYERLMISSDPFEVQVCRVCGLLGYYNHKLKTGICSSCKNGDNISTMKLPYACKLLIQELQSMNIVPRLKLAEA >ONI20986 pep chromosome:Prunus_persica_NCBIv2:G2:4897835:4920514:-1 gene:PRUPE_2G044100 transcript:ONI20986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAQDSSADPPKKNKQKSNDGKQILHSAIDKEFLAAPIKSATDKFALLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDISIGEPSLTMDGVTEKIAPHTCRLSDMTYSAPIKVDVEYIQGSHDQKTRVEKKGVVIGRMPIMLRSCSCTLYGKTESELAKLGECPLDPGGYFIIKGNEKVLLMQEQLSKNRIIIDMDKKGNLNASVTSSSERIKSKTIIQMENQKIYLMLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPRYAALLMPSIEDCAKEGIHKQEQALAYLETKVKRFSFAGASSENDGRVMTVLQDVFLANVEVCQDNFRPKCIYVAVMLRRIMDAILNKDAMDDKDYVGNKRIELSGQLISLLFEDLFKTMIAEVKKAVDNILTKPSRSSRFDFAQCIIKDSITHGLERTLSTGNFNIKRFKMDSKGMTQVLARLSFIGCLGHMTRIKPQFEKTRKVSGPRALQPSQWGMLCPCDTPEGEPCGLIKNLALMTHVTTDEEESPLISLCYCLGVEGLEVLSGEELHTPYSFLVLFNGNILGKHRKPQHFAAAMRKLRRAGKIGEFVSVFVNEKQHCVYIASDGGRVCRPLVIADKGISRVKEHHMLELKAGVRTFDDFLSDGLIEYLDVNEENNSLIALYEEEATTETTHIEIEPLTLLGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLHRMDSLLYLLVYPQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIHMKRYCAVNQKYDNNTQDRILRPNRDGFDSGPMRVLDDDGLAAPGEIIRRNDILINKQVPIVTRGQFKSALHDSEFKSVPQRYDGPQGESCVVDKVALCSDKHNNLCFKFLIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPGGHADKVEAISETLVRMGFSYDGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVSLTRQPTEGKARNGGLRVGEMERDCLIAYGANIFRCMSKTKKGDFFFLVRVSG >ONI20987 pep chromosome:Prunus_persica_NCBIv2:G2:4897850:4920402:-1 gene:PRUPE_2G044100 transcript:ONI20987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAQDSSADPPKKNKQNRSNDGKQILHSAIDKEFLAAPIKSATDKFALLPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDRIVSGVDPSIYLRFKDISIGEPSLTMDGVTEKIAPHTCRLSDMTYSAPIKVDVEYIQGSHDQKTRVEKKGVVIGRMPIMLRSCSCTLYGKTESELAKLGECPLDPGGYFIIKGNEKVLLMQEQLSKNRIIIDMDKKGNLNASVTSSSERIKSKTIIQMENQKIYLMLNQFVKKIPIMVVMKAMGMESDQEVVQMVGRDPRYAALLMPSIEDCAKEGIHKQEQALAYLETKVKRFSFAGASSENDGRVMTVLQDVFLANVEVCQDNFRPKCIYVAVMLRRIMDAILNKDAMDDKDYVGNKRIELSGQLISLLFEDLFKTMIAEVKKAVDNILTKPSRSSRFDFAQCIIKDSITHGLERTLSTGNFNIKRFKMDSKGMTQVLARLSFIGCLGHMTRIKPQFEKTRKVSGPRALQPSQWGMLCPCDTPEGEPCGLIKNLALMTHVTTDEEESPLISLCYCLGVEGLEVLSGEELHTPYSFLVLFNGNILGKHRKPQHFAAAMRKLRRAGKIGEFVSVFVNEKQHCVYIASDGGRVCRPLVIADKGISRVKEHHMLELKAGVRTFDDFLSDGLIEYLDVNEENNSLIALYEEEATTETTHIEIEPLTLLGVIAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLHRMDSLLYLLVYPQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIHMKRYCAVNQKYDNNTQDRILRPNRDGFDSGPMRVLDDDGLAAPGEIIRRNDILINKQVPIVTRGQFKSALHDSEFKSVPQRYDGPQGESCVVDKVALCSDKHNNLCFKFLIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPGGHADKVEAISETLVRMGFSYDGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVSLTRQPTEGKARNGGLRVGEMERDCLIAYGANIFRCMSKTKKGDFFFLVRVSG >ONI22021 pep chromosome:Prunus_persica_NCBIv2:G2:15796391:15799509:1 gene:PRUPE_2G102100 transcript:ONI22021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGGKKELEWIERVRSEGAVPLLDAENCRNGWSSPPADKFMVRGPEYLSNRVKVPAGEYLLKPLGFDWIRGSTKIGEVLKHPNSRVRKAIEDECLTGDKPFVWAFNLQVPSKDNYSAIAYFTTKEPIPEGSLMDQFLKGDDGFRNSRLKLIANIVKGPWIVRKAVGEQAICIIGRALSCKYCVSDNFLEVDVDIGSSMVASAIVHLAFGYITTLTVDLAFLIEGQTESELPEQILGAVRFSELDPAVARATEPSSSRSAGNLQSNLPTRLWKSIGQGFSHMLHPGTQENGSSLGSAHGNGIGDHEGNSEEPKK >ONI22023 pep chromosome:Prunus_persica_NCBIv2:G2:15796456:15799459:1 gene:PRUPE_2G102100 transcript:ONI22023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGGKKELEWIERVRSEGAVPLLDAENCRNGWSSPPADKFMVRGPEYLSNRVKVPAGEYLLKPLGFDWIRGSTKIGEVLKHPNSRVRKAIEDECLTGDKPFVWAFNLQVPSKDNYSAIAYFTTKEPIPEGSLMDQFLKGDDGFRNSRLKLIANIVKGPWIVRKAVGEQAICIIGRALSCKYCVSDNFLEVDVDIGSSMVASAIVHLAFGYITTLTVDLAFLIEGQTESELPEQILGAVRFSELDPAVARATEPSSSRSAGNLQSNLPTRLWKSIGQGFSHMLHPGTQENGSSLGSAHGNGIGDHEGNSEEPKK >ONI22022 pep chromosome:Prunus_persica_NCBIv2:G2:15796456:15799513:1 gene:PRUPE_2G102100 transcript:ONI22022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGGKKELEWIERVRSEGAVPLLDAENCRNGWSSPPADKFMVRGPEYLSNRVKVPAGEYLLKPLGFDWIRGSTKIGEVLKHPNSRVRKAIEDECLTGDKPFVWAFNLQVPSKDNYSAIAYFTTKEPIPEGSLMDQFLKGDDGFRNSRLKLIANIVKGPWIVRKAVGEQAICIIGRALSCKYCVSDNFLEVDVDIGSSMVASAIVHLAFGYITTLTVDLAFLIEGQTESELPEQILGAVRFSELDPAVARATEPSSSRSAGNLQSNLPTRLWKSIGQGFSHMLHPGTQENGSSLGSAHGNGIGDHEGNSEEPKK >ONI25471 pep chromosome:Prunus_persica_NCBIv2:G2:29106161:29107545:-1 gene:PRUPE_2G305200 transcript:ONI25471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKTQASALSLSLLIIISLCKSSQAGGIAIYWGQGSPSNEGSLADTCNTGNYQFVNIAFLSTFGNGQTPVLNLAAHCDPSTNGCTSLSTDIKACQAKNIKVLLSIGGGAGSYSLTSADDARQVADYLWNNFLGGQANSRPLGDAVLDGIDFDIEAGGGQFWDELARSLSGRGSKVYLAAAPQCPFPDAHLDGAIKTGLFDYVWVQFYNNPPCQFANNNAANLLSAWNQWTSTEAKQVFLGLPAAPEAAPSGGFIPADALKSQVLPNIKSSPKYGGVMLWSKQYDNGYSTSIKDSV >ONI20210 pep chromosome:Prunus_persica_NCBIv2:G2:359584:380372:1 gene:PRUPE_2G003400 transcript:ONI20210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRGFDQQPQRRILRTQTAGSFGEPMLDSEVVPSSLVDIAPILRVANEVEARNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENETTLAGKQKSDAREMQSFYRDYYKKYIQALQNAVDKADRAQLTKAYQTAAVLFEVLKAVNQTEAVEVAEEILEAHTKVEEKQQIYVPYNILPLDPDSQNQAIMRFPEIHATVSALRNTRGLPWPKDHKKKVDEDILDWLQAMFGFQKDNVANQREHLILLVANVHIRQLPKPDQQPKLDDRALTDVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLKFMPECLCYIYHHMAFELYGMLAGSVSPMTGEHIKPAYGGEEEAFLTKVVTPIYDTIAKEAKRSKGGKSKHSQWRNYDDLNEYFWSVDCFKLGWPMRADADFFCQPVEEIQVGKDENKKPHNGERWIGKVNFVEIRSFWHIFRSFDRMWSFYILSLQAMIIVAWNGSGKLSSMFEGDVFKKVLSIFITAAIMKLGQAVLDLILSWKARRSMSFFVRLRYVLKAVSAAAWVIILPVTYAYSWKNPPGFARIIRNWFGNGPSSSSLFILAVVIYLSPNMLSALLFMFPIVRRFLERSHLRVVMLMMWWSQSRLYVGRGMHESSVSLFKYTIFWVLLLVSKLAFSYYVEIRPLVKPTKDIMKVHIGTYQWHEFFPQAKNNIGVVIALWAPIVLVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNNYLIPVEKNEQTKKKGILKATFSRKFDKSASSKEKEAAKFAQMWNEIISSFREEDLISDREKNLLLVPYGADPDLVDLIQWPPFLLASKIPIALDMAKDSKDKDRELKKRMSTDNYMRCAIRECYLSFKSIINFLVLGEREKKVINDIFSLVDAHIAEGNLTTEFNMSALPSLHEQFVQLIDHLLKNEKEDKDQVVIVLLNMLEVVTRDIMEDEIPTLLDSSHGGTYGKDEGMTPLDQRDTYFGELNFPVPVTPKTEAWKEKIRRLHLLLTEKESAMDVPSNLEARRRISFFSNSLFMDMPPAPKVRNMLSFSVLTPYYSEEVLFSVDHLEKQNEDGVSILFYLQKIFPDEWTNFLERVKCESEEELRANDELEEKLRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEALMEGYKAAESTIEEHSKSETSLLAQCQAVVDMKFSYVVSCQQYGIHKRSGDARAKDILKLMATYPSLRVAYIDEVEKTSEDKSKKNVRKVYYSALVKAAPPTKTIDSTDPVQRLDQDIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYLEEAFKMRNLLQEFQKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTVREGSVTHHEYIQVGKGRDVGLNQISIFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYFSTLLTVLTVYVFLYGRLYLVLSGLEDGLSTHRAIRDNKPLQIALASQSVVQIGFLMALPMVMEIGLEKGFRVALSDFILMQLQLAPVFFTFSLGTKTHYYGKTLLHGGAEYRATGRSFVVFHAKFADNYRLYSRSHFVKGIELLILLVVYHIFGRSYRSAVVYILITIQIWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWKKWINNHGGIGVSPDKSWESWWEKEHEHLRYSGIRGIITEIILALRFFIYQYGLVYHLNITKNKSFLVYGVSWLVILLILVLMKAVSAGRRRLSADYQLLFRLVKGFIFITFLSIFITLIVLPHMTLRDVVVCILAFMPTGWGLLLIAQACKPLIQQAGFWGSVQTLARGYEIIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGGGQRKGHHSSSNKE >ONI20211 pep chromosome:Prunus_persica_NCBIv2:G2:359342:380368:1 gene:PRUPE_2G003400 transcript:ONI20211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRGFDQQPQRRILRTQTAGSFGEPMLDSEVVPSSLVDIAPILRVANEVEARNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENETTLAGKQKSDAREMQSFYRDYYKKYIQALQNAVDKADRAQLTKAYQTAAVLFEVLKAVNQTEAVEVAEEILEAHTKVEEKQQIYVPYNILPLDPDSQNQAIMRFPEIHATVSALRNTRGLPWPKDHKKKVDEDILDWLQAMFGFQKDNVANQREHLILLVANVHIRQLPKPDQQPKLDDRALTDVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLKFMPECLCYIYHHMAFELYGMLAGSVSPMTGEHIKPAYGGEEEAFLTKVVTPIYDTIAKEAKRSKGGKSKHSQWRNYDDLNEYFWSVDCFKLGWPMRADADFFCQPVEEIQVGKDENKKPHNGERWIGKVNFVEIRSFWHIFRSFDRMWSFYILSLQAMIIVAWNGSGKLSSMFEGDVFKKVLSIFITAAIMKLGQAVLDLILSWKARRSMSFFVRLRYVLKAVSAAAWVIILPVTYAYSWKNPPGFARIIRNWFGNGPSSSSLFILAVVIYLSPNMLSALLFMFPIVRRFLERSHLRVVMLMMWWSQSRLYVGRGMHESSVSLFKYTIFWVLLLVSKLAFSYYVEIRPLVKPTKDIMKVHIGTYQWHEFFPQAKNNIGVVIALWAPIVLVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNNYLIPVEKNEQTKKKGILKATFSRKFDKSASSKEKEAAKFAQMWNEIISSFREEDLISDREKNLLLVPYGADPDLVDLIQWPPFLLASKIPIALDMAKDSKDKDRELKKRMSTDNYMRCAIRECYLSFKSIINFLVLGEREKKVINDIFSLVDAHIAEGNLTTEFNMSALPSLHEQFVQLIDHLLKNEKEDKDQVVIVLLNMLEVVTRDIMEDEIPTLLDSSHGGTYGKDEGMTPLDQRDTYFGELNFPVPVTPKTEAWKEKIRRLHLLLTEKESAMDVPSNLEARRRISFFSNSLFMDMPPAPKVRNMLSFSVLTPYYSEEVLFSVDHLEKQNEDGVSILFYLQKIFPDEWTNFLERVKCESEEELRANDELEEKLRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEALMEGYKAAESTIEEHSKSETSLLAQCQAVVDMKFSYVVSCQQYGIHKRSGDARAKDILKLMATYPSLRVAYIDEVEKTSEDKSKKNVRKVYYSALVKAAPPTKTIDSTDPVQRLDQDIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYLEEAFKMRNLLQEFQKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTVREGSVTHHEYIQVGKGRDVGLNQISIFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYFSTLLTVLTVYVFLYGRLYLVLSGLEDGLSTHRAIRDNKPLQIALASQSVVQIGFLMALPMVMEIGLEKGFRVALSDFILMQLQLAPVFFTFSLGTKTHYYGKTLLHGGAEYRATGRSFVVFHAKFADNYRLYSRSHFVKGIELLILLVVYHIFGRSYRSAVVYILITIQIWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWKKWINNHGGIGVSPDKSWESWWEKEHEHLRYSGIRGIITEIILALRFFIYQYGLVYHLNITKNKSFLVYGVSWLVILLILVLMKAVSAGRRRLSADYQLLFRLVKGFIFITFLSIFITLIVLPHMTLRDVVVCILAFMPTGWGLLLIAQACKPLIQQAGFWGSVQTLARGYEIIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGGGQRKGHHSSSNKE >ONI20209 pep chromosome:Prunus_persica_NCBIv2:G2:358733:380368:1 gene:PRUPE_2G003400 transcript:ONI20209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRGFDQQPQRRILRTQTAGSFGEPMLDSEVVPSSLVDIAPILRVANEVEARNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENETTLAGKQKSDAREMQSFYRDYYKKYIQALQNAVDKADRAQLTKAYQTAAVLFEVLKAVNQTEAVEVAEEILEAHTKVEEKQQIYVPYNILPLDPDSQNQAIMRFPEIHATVSALRNTRGLPWPKDHKKKVDEDILDWLQAMFGFQKDNVANQREHLILLVANVHIRQLPKPDQQPKLDDRALTDVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLKFMPECLCYIYHHMAFELYGMLAGSVSPMTGEHIKPAYGGEEEAFLTKVVTPIYDTIAKEAKRSKGGKSKHSQWRNYDDLNEYFWSVDCFKLGWPMRADADFFCQPVEEIQVGKDENKKPHNGERWIGKVNFVEIRSFWHIFRSFDRMWSFYILSLQAMIIVAWNGSGKLSSMFEGDVFKKVLSIFITAAIMKLGQAVLDLILSWKARRSMSFFVRLRYVLKAVSAAAWVIILPVTYAYSWKNPPGFARIIRNWFGNGPSSSSLFILAVVIYLSPNMLSALLFMFPIVRRFLERSHLRVVMLMMWWSQSRLYVGRGMHESSVSLFKYTIFWVLLLVSKLAFSYYVEIRPLVKPTKDIMKVHIGTYQWHEFFPQAKNNIGVVIALWAPIVLVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNNYLIPVEKNEQTKKKGILKATFSRKFDKSASSKEKEAAKFAQMWNEIISSFREEDLISDREKNLLLVPYGADPDLVDLIQWPPFLLASKIPIALDMAKDSKDKDRELKKRMSTDNYMRCAIRECYLSFKSIINFLVLGEREKKVINDIFSLVDAHIAEGNLTTEFNMSALPSLHEQFVQLIDHLLKNEKEDKDQVVIVLLNMLEVVTRDIMEDEIPTLLDSSHGGTYGKDEGMTPLDQRDTYFGELNFPVPVTPKTEAWKEKIRRLHLLLTEKESAMDVPSNLEARRRISFFSNSLFMDMPPAPKVRNMLSFSVLTPYYSEEVLFSVDHLEKQNEDGVSILFYLQKIFPDEWTNFLERVKCESEEELRANDELEEKLRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEALMEGYKAAESTIEEHSKSETSLLAQCQAVVDMKFSYVVSCQQYGIHKRSGDARAKDILKLMATYPSLRVAYIDEVEKTSEDKSKKNVRKVYYSALVKAAPPTKTIDSTDPVQRLDQDIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYLEEAFKMRNLLQEFQKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTVREGSVTHHEYIQVGKGRDVGLNQISIFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYFSTLLTVLTVYVFLYGRLYLVLSGLEDGLSTHRAIRDNKPLQIALASQSVVQIGFLMALPMVMEIGLEKGFRVALSDFILMQLQLAPVFFTFSLGTKTHYYGKTLLHGGAEYRATGRSFVVFHAKFADNYRLYSRSHFVKGIELLILLVVYHIFGRSYRSAVVYILITIQIWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWKKWINNHGGIGVSPDKSWESWWEKEHEHLRYSGIRGIITEIILALRFFIYQYGLVYHLNITKNKSFLVYGVSWLVILLILVLMKAVSAGRRRLSADYQLLFRLVKGFIFITFLSIFITLIVLPHMTLRDVVVCILAFMPTGWGLLLIAQACKPLIQQAGFWGSVQTLARGYEIIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGGGQRKGHHSSSNKE >ONI20212 pep chromosome:Prunus_persica_NCBIv2:G2:359587:380372:1 gene:PRUPE_2G003400 transcript:ONI20212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEACWLVNEAISRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENETTLAGKQKSDAREMQSFYRDYYKKYIQALQNAVDKADRAQLTKAYQTAAVLFEVLKAVNQTEAVEVAEEILEAHTKVEEKQQIYVPYNILPLDPDSQNQAIMRFPEIHATVSALRNTRGLPWPKDHKKKVDEDILDWLQAMFGFQKDNVANQREHLILLVANVHIRQLPKPDQQPKLDDRALTDVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLKFMPECLCYIYHHMAFELYGMLAGSVSPMTGEHIKPAYGGEEEAFLTKVVTPIYDTIAKEAKRSKGGKSKHSQWRNYDDLNEYFWSVDCFKLGWPMRADADFFCQPVEEIQVGKDENKKPHNGERWIGKVNFVEIRSFWHIFRSFDRMWSFYILSLQAMIIVAWNGSGKLSSMFEGDVFKKVLSIFITAAIMKLGQAVLDLILSWKARRSMSFFVRLRYVLKAVSAAAWVIILPVTYAYSWKNPPGFARIIRNWFGNGPSSSSLFILAVVIYLSPNMLSALLFMFPIVRRFLERSHLRVVMLMMWWSQSRLYVGRGMHESSVSLFKYTIFWVLLLVSKLAFSYYVEIRPLVKPTKDIMKVHIGTYQWHEFFPQAKNNIGVVIALWAPIVLVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNNYLIPVEKNEQTKKKGILKATFSRKFDKSASSKEKEAAKFAQMWNEIISSFREEDLISDREKNLLLVPYGADPDLVDLIQWPPFLLASKIPIALDMAKDSKDKDRELKKRMSTDNYMRCAIRECYLSFKSIINFLVLGEREKKVINDIFSLVDAHIAEGNLTTEFNMSALPSLHEQFVQLIDHLLKNEKEDKDQVVIVLLNMLEVVTRDIMEDEIPTLLDSSHGGTYGKDEGMTPLDQRDTYFGELNFPVPVTPKTEAWKEKIRRLHLLLTEKESAMDVPSNLEARRRISFFSNSLFMDMPPAPKVRNMLSFSVLTPYYSEEVLFSVDHLEKQNEDGVSILFYLQKIFPDEWTNFLERVKCESEEELRANDELEEKLRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEALMEGYKAAESTIEEHSKSETSLLAQCQAVVDMKFSYVVSCQQYGIHKRSGDARAKDILKLMATYPSLRVAYIDEVEKTSEDKSKKNVRKVYYSALVKAAPPTKTIDSTDPVQRLDQDIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYLEEAFKMRNLLQEFQKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTVREGSVTHHEYIQVGKGRDVGLNQISIFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYFSTLLTVLTVYVFLYGRLYLVLSGLEDGLSTHRAIRDNKPLQIALASQSVVQIGFLMALPMVMEIGLEKGFRVALSDFILMQLQLAPVFFTFSLGTKTHYYGKTLLHGGAEYRATGRSFVVFHAKFADNYRLYSRSHFVKGIELLILLVVYHIFGRSYRSAVVYILITIQIWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWKKWINNHGGIGVSPDKSWESWWEKEHEHLRYSGIRGIITEIILALRFFIYQYGLVYHLNITKNKSFLVYGVSWLVILLILVLMKAVSAGRRRLSADYQLLFRLVKGFIFITFLSIFITLIVLPHMTLRDVVVCILAFMPTGWGLLLIAQACKPLIQQAGFWGSVQTLARGYEIIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGGGQRKGHHSSSNKE >ONI25695 pep chromosome:Prunus_persica_NCBIv2:G2:29614656:29619326:-1 gene:PRUPE_2G315200 transcript:ONI25695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIAVSASLVPVSELLSETFLAMSDTIHAAKEVLIQKENFKVFSRYLEKTSSILKELSKQNIECSESLTNALKILNREVDVAKQLALDCSKRNKVYLLINCRKIVESLESCTKEIGRALGLIPLASLDVSSGINSQISKMFKNMLDGEYRATVEEEEILAKFELGIQEQNADRSYANNLLVHIAEALGISNDQSAWEKEFEEFKRELDDTNTRKDLEENLHMEQILALLQKANATTSAEDKENDYFEKRNSVGRLPLEPFDQFFCPVTREIMVDPVEVSSHCTFERSVIEEWFAEGKNHCPVTDIPLDTSVLLPNKALKRSIEEWKDRKTIFMITSIKPKLQSNEEQEVLQSLDKLQNLCTEKELHREWVTREDYIPVLVRLLLSKNREIRKHALAILSILAKDGEETKGRIIKVDNALESIVHSLARHIGERKLALQLLLELSKSRAARDLMGNVQGCILLLVTMLSNEDNEVIRDVNVLLENLSFDDQNVIHMAKANYFKPLLKLLSSGPQDVKVLMAGTLSEIELTDHNKLSIVKDGALGPLLQLLSHSDLEKRKVGVKALLHLSKLPQNGLQMIREGAVGPLFELLYCHSLLSPTLREQVAETIMHLAISTTTEEAAREQVSLLDSEEEIFKLFSLISLTGPDIQRSILKTFHAMCQSSSGSDIRRKLRQLSAVQVLVQLCEADNPAVRANAMKLFFCLTEDGGDDSTFLEHVSQRCIEALLRIITSSSDVGEIAAAMGIIANLPKDPEMTGLLLDAEALQIICSCLSDGNRDASYRRQVIENAVGALCRFTVPTNQEWQRKVAEAGIIPVLVQLLASGTALTKQNAAISLKQLSQSSKSLSKPIKKPGFCLCCLSAPESGCPAHLGICTVESSFCIVKANALEHLVRLLGEADVGACEASLDALLTLIDDQEQGQGGKVLDEAKAVVPIVKLLSSQSARLQGKSLMALERIFQVNELFLKYGASARMALVDITQKKNSDMKSLAAKLLAQLGVLGTQSSYF >ONI25699 pep chromosome:Prunus_persica_NCBIv2:G2:29614656:29619316:-1 gene:PRUPE_2G315200 transcript:ONI25699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIAVSASLVPVSELLSETFLAMSDTIHAAKEVLIQKENFKVFSRYLEKTSSILKELSKQNIECSESLTNALKILNREVDVAKQLALDCSKRNKVYLLINCRKIVESLESCTKEIGRALGLIPLASLDVSSGINSQISKMFKNMLDGEYRATVEEEEILAKFELGIQEQNADRSYANNLLVHIAEALGISNDQSAWEKEFEEFKRELDDTNTRKDLEENLHMEQILALLQKANATTSAEDKENDYFEKRNSVGRLPLEPFDQFFCPVTREIMVDPVEVSSHCTFERSVIEEWFAEGKNHCPVTDIPLDTSVLLPNKALKRSIEEWKDRKTIFMITSIKPKLQSNEEQEVLQSLDKLQNLCTEKELHREWVTREDYIPVLVRLLLSKNREIRKHALAILSILAKDGEETKGRIIKVDNALESIVHSLARHIGERKLALQLLLELSKSRAARDLMGNVQGCILLLVTMLSNEDNEVIRDVNVLLENLSFDDQNVIHMAKANYFKPLLKLLSSGPQDVKVLMAGTLSEIELTDHNKLSIVKDGALGPLLQLLSHSDLEKRKVGVKALLHLSKLPQNGLQMIREGAVGPLFELLYCHSLLSPTLREQVAETIMHLAISTTTEEAAREQVSLLDSEEEIFKLFSLISLTGPDIQRSILKTFHAMCQSSSGSDIRRKLRQLSAVQVLVQLCEADNPAVRANAMKLFFCLTEDGGDDSTFLEHVSQRCIEALLRIITSSSDVGEIAAAMGIIANLPKDPEMTGLLLDAEALQIICSCLSDGNRDASYRRQVIENAVGALCRFTVPTNQEWQRKVAEAGIIPVLVQLLASGTALTKQNAAISLKQLSQSSKSLSKPIKKPGFCLCCLSAPESGCPAHLGICTVESSFCIVKANALEHLVRLLGEADVGACEASLDALLTLIDDQEQGQGGKVLDEAKAVVPIVKLLSSQSARLQGKSLMALERIFQVNELFLKYGASARMALVDITQKKNSDMKSLAAKLLAQLGVLGTQSSYF >ONI25694 pep chromosome:Prunus_persica_NCBIv2:G2:29614656:29619323:-1 gene:PRUPE_2G315200 transcript:ONI25694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIAVSASLVPVSELLSETFLAMSDTIHAAKEVLIQKENFKVFSRYLEKTSSILKELSKQNIECSESLTNALKILNREVDVAKQLALDCSKRNKVYLLINCRKIVESLESCTKEIGRALGLIPLASLDVSSGINSQISKMFKNMLDGEYRATVEEEEILAKFELGIQEQNADRSYANNLLVHIAEALGISNDQSAWEKEFEEFKRELDDTNTRKDLEENLHMEQILALLQKANATTSAEDKENDYFEKRNSVGRLPLEPFDQFFCPVTREIMVDPVEVSSHCTFERSVIEEWFAEGKNHCPVTDIPLDTSVLLPNKALKRSIEEWKDRKTIFMITSIKPKLQSNEEQEVLQSLDKLQNLCTEKELHREWVTREDYIPVLVRLLLSKNREIRKHALAILSILAKDGEETKGRIIKVDNALESIVHSLARHIGERKLALQLLLELSKSRAARDLMGNVQGCILLLVTMLSNEDNEVIRDVNVLLENLSFDDQNVIHMAKANYFKPLLKLLSSGPQDVKVLMAGTLSEIELTDHNKLSIVKDGALGPLLQLLSHSDLEKRKVGVKALLHLSKLPQNGLQMIREGAVGPLFELLYCHSLLSPTLREQVAETIMHLAISTTTEEAAREQVSLLDSEEEIFKLFSLISLTGPDIQRSILKTFHAMCQSSSGSDIRRKLRQLSAVQVLVQLCEADNPAVRANAMKLFFCLTEDGGDDSTFLEHVSQRCIEALLRIITSSSDVGEIAAAMGIIANLPKDPEMTGLLLDAEALQIICSCLSDGNRDASYRRQVIENAVGALCRFTVPTNQEWQRKVAEAGIIPVLVQLLASGTALTKQNAAISLKQLSQSSKSLSKPIKKPGFCLCCLSAPESGCPAHLGICTVESSFCIVKANALEHLVRLLGEADVGACEASLDALLTLIDDQEQGQGGKVLDEAKAVVPIVKLLSSQSARLQGKSLMALERIFQVNELFLKYGASARMALVDITQKKNSDMKSLAAKLLAQLGVLGTQSSYF >ONI25696 pep chromosome:Prunus_persica_NCBIv2:G2:29614656:29618620:-1 gene:PRUPE_2G315200 transcript:ONI25696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIAVSASLVPVSELLSETFLAMSDTIHAAKEVLIQKENFKVFSRYLEKTSSILKELSKQNIECSESLTNALKILNREVDVAKQLALDCSKRNKVYLLINCRKIVESLESCTKEIGRALGLIPLASLDVSSGINSQISKMFKNMLDGEYRATVEEEEILAKFELGIQEQNADRSYANNLLVHIAEALGISNDQSAWEKEFEEFKRELDDTNTRKDLEENLHMEQILALLQKANATTSAEDKENDYFEKRNSVGRLPLEPFDQFFCPVTREIMVDPVEVSSHCTFERSVIEEWFAEGKNHCPVTDIPLDTSVLLPNKALKRSIEEWKDRKTIFMITSIKPKLQSNEEQEVLQSLDKLQNLCTEKELHREWVTREDYIPVLVRLLLSKNREIRKHALAILSILAKDGEETKGRIIKVDNALESIVHSLARHIGERKLALQLLLELSKSRAARDLMGNVQGCILLLVTMLSNEDNEVIRDVNVLLENLSFDDQNVIHMAKANYFKPLLKLLSSGPQDVKVLMAGTLSEIELTDHNKLSIVKDGALGPLLQLLSHSDLEKRKVGVKALLHLSKLPQNGLQMIREGAVGPLFELLYCHSLLSPTLREQVAETIMHLAISTTTEEAAREQVSLLDSEEEIFKLFSLISLTGPDIQRSILKTFHAMCQSSSGSDIRRKLRQLSAVQVLVQLCEADNPAVRANAMKLFFCLTEDGGDDSTFLEHVSQRCIEALLRIITSSSDVGEIAAAMGIIANLPKDPEMTGLLLDAEALQIICSCLSDGNRDASYRRQVIENAVGALCRFTVPTNQEWQRKVAEAGIIPVLVQLLASGTALTKQNAAISLKQLSQSSKSLSKPIKKPGFCLCCLSAPESGCPAHLGICTVESSFCIVKANALEHLVRLLGEADVGACEASLDALLTLIDDQEQGQGGKVLDEAKAVVPIVKLLSSQSARLQGKSLMALERIFQVNELFLKYGASARMALVDITQKKNSDMKSLAAKLLAQLGVLGTQSSYF >ONI25697 pep chromosome:Prunus_persica_NCBIv2:G2:29614656:29619246:-1 gene:PRUPE_2G315200 transcript:ONI25697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIAVSASLVPVSELLSETFLAMSDTIHAAKEVLIQKENFKVFSRYLEKTSSILKELSKQNIECSESLTNALKILNREVDVAKQLALDCSKRNKVYLLINCRKIVESLESCTKEIGRALGLIPLASLDVSSGINSQISKMFKNMLDGEYRATVEEEEILAKFELGIQEQNADRSYANNLLVHIAEALGISNDQSAWEKEFEEFKRELDDTNTRKDLEENLHMEQILALLQKANATTSAEDKENDYFEKRNSVGRLPLEPFDQFFCPVTREIMVDPVEVSSHCTFERSVIEEWFAEGKNHCPVTDIPLDTSVLLPNKALKRSIEEWKDRKTIFMITSIKPKLQSNEEQEVLQSLDKLQNLCTEKELHREWVTREDYIPVLVRLLLSKNREIRKHALAILSILAKDGEETKGRIIKVDNALESIVHSLARHIGERKLALQLLLELSKSRAARDLMGNVQGCILLLVTMLSNEDNEVIRDVNVLLENLSFDDQNVIHMAKANYFKPLLKLLSSGPQDVKVLMAGTLSEIELTDHNKLSIVKDGALGPLLQLLSHSDLEKRKVGVKALLHLSKLPQNGLQMIREGAVGPLFELLYCHSLLSPTLREQVAETIMHLAISTTTEEAAREQVSLLDSEEEIFKLFSLISLTGPDIQRSILKTFHAMCQSSSGSDIRRKLRQLSAVQVLVQLCEADNPAVRANAMKLFFCLTEDGGDDSTFLEHVSQRCIEALLRIITSSSDVGEIAAAMGIIANLPKDPEMTGLLLDAEALQIICSCLSDGNRDASYRRQVIENAVGALCRFTVPTNQEWQRKVAEAGIIPVLVQLLASGTALTKQNAAISLKQLSQSSKSLSKPIKKPGFCLCCLSAPESGCPAHLGICTVESSFCIVKANALEHLVRLLGEADVGACEASLDALLTLIDDQEQGQGGKVLDEAKAVVPIVKLLSSQSARLQGKSLMALERIFQVNELFLKYGASARMALVDITQKKNSDMKSLAAKLLAQLGVLGTQSSYF >ONI25698 pep chromosome:Prunus_persica_NCBIv2:G2:29614656:29619334:-1 gene:PRUPE_2G315200 transcript:ONI25698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIAVSASLVPVSELLSETFLAMSDTIHAAKEVLIQKENFKVFSRYLEKTSSILKELSKQNIECSESLTNALKILNREVDVAKQLALDCSKRNKVYLLINCRKIVESLESCTKEIGRALGLIPLASLDVSSGINSQISKMFKNMLDGEYRATVEEEEILAKFELGIQEQNADRSYANNLLVHIAEALGISNDQSAWEKEFEEFKRELDDTNTRKDLEENLHMEQILALLQKANATTSAEDKENDYFEKRNSVGRLPLEPFDQFFCPVTREIMVDPVEVSSHCTFERSVIEEWFAEGKNHCPVTDIPLDTSVLLPNKALKRSIEEWKDRKTIFMITSIKPKLQSNEEQEVLQSLDKLQNLCTEKELHREWVTREDYIPVLVRLLLSKNREIRKHALAILSILAKDGEETKGRIIKVDNALESIVHSLARHIGERKLALQLLLELSKSRAARDLMGNVQGCILLLVTMLSNEDNEVIRDVNVLLENLSFDDQNVIHMAKANYFKPLLKLLSSGPQDVKVLMAGTLSEIELTDHNKLSIVKDGALGPLLQLLSHSDLEKRKVGVKALLHLSKLPQNGLQMIREGAVGPLFELLYCHSLLSPTLREQVAETIMHLAISTTTEEAAREQVSLLDSEEEIFKLFSLISLTGPDIQRSILKTFHAMCQSSSGSDIRRKLRQLSAVQVLVQLCEADNPAVRANAMKLFFCLTEDGGDDSTFLEHVSQRCIEALLRIITSSSDVGEIAAAMGIIANLPKDPEMTGLLLDAEALQIICSCLSDGNRDASYRRQVIENAVGALCRFTVPTNQEWQRKVAEAGIIPVLVQLLASGTALTKQNAAISLKQLSQSSKSLSKPIKKPGFCLCCLSAPESGCPAHLGICTVESSFCIVKANALEHLVRLLGEADVGACEASLDALLTLIDDQEQGQGGKVLDEAKAVVPIVKLLSSQSARLQGKSLMALERIFQVNELFLKYGASARMALVDITQKKNSDMKSLAAKLLAQLGVLGTQSSYF >ONI22691 pep chromosome:Prunus_persica_NCBIv2:G2:20187340:20192947:1 gene:PRUPE_2G145000 transcript:ONI22691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVLLIAVLSILIGAVIALAFFGNYFRKRSSEIQSISQPELQSDPKKQPSKPHQTKKSHAKPHSHTSDKDQNKKHHPLDVNTLKGHGDAVTGLCFSSDGRSLATACADGVLRIFKLDDASSKSFKFLRINLPVGGHPVAVSFSDDGLSLVAASQSLSGSSLYMYGVEKPKPSEEVKQQPKLPLPEIKWEHHKVHEKLGILTLSGTTASYGSADGSTIVASCSEGTDIILWHGKTGKILGHVDTNQLKNTMAALSPNGRFLAAAAFTADVKVWEIVYSKDGSVKEVSKAMQLKGHKSAVTWLCFTPNSEQIITASKDGSIRIWNINVRYHMDEDPKTLKVFPIPLDLGGATVQYDRLSLSPDGKILAATHGTTLQWLCVETGKLLDTADKAHEGDITCIAWAPKTIPMGDEKVLVLATSSIDKKVKLWAAPSLPAP >ONI22414 pep chromosome:Prunus_persica_NCBIv2:G2:18492131:18494086:1 gene:PRUPE_2G127600 transcript:ONI22414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYEENVNRRLLLFLSVTTSLFPTLSSSGKTKSKSQFDERRLLEQNKRIQKENNAPEDFPSFIREGFQVQVVTPENYTKCDSGLIYRDFVVGEGDFPQAGQQVMFHYVGYNESGRRIDSSYTQGSPARIRMGTNALVPGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDVELLSIQNCRRRTVLAFYSDFVCE >ONI23986 pep chromosome:Prunus_persica_NCBIv2:G2:24730522:24733396:-1 gene:PRUPE_2G217300 transcript:ONI23986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKIHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETNKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSSNLDWYKGPTLLEALDLINEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPSGLTTEVKSVEMHHEALPEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAREAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFNEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKK >ONI22504 pep chromosome:Prunus_persica_NCBIv2:G2:19139268:19145434:1 gene:PRUPE_2G133600 transcript:ONI22504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMDDRGGSFVAVRRISQGLDRGNTCHSTSAEVVAGSAAWLGRGLSCVCAQRRESDARPSFDLTPVQEECLLRLQSRIDVPYESLVPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFLAENESAFDLIYCITFKLMDHQWLSMRASYMDFNTVMKSTRRQLEKELLFEDITRLEDLPSYGLLSR >ONI22505 pep chromosome:Prunus_persica_NCBIv2:G2:19140593:19144715:1 gene:PRUPE_2G133600 transcript:ONI22505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDILDCCAEVVAGSAAWLGRGLSCVCAQRRESDARPSFDLTPVQEECLLRLQSRIDVPYESLVPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNFPKSFQDLLRKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFLAENESAFDLIYCITFKLMDHQWLSMRASYMDFNTVMKSTRRQLEKELLFEDITRLEDLPSYGLLSR >ONI25840 pep chromosome:Prunus_persica_NCBIv2:G2:29941158:29941991:1 gene:PRUPE_2G322800 transcript:ONI25840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKHTKGWLGGKSLISIFGLQRVKKKDDIRLHTASVHAALSVTRLAAAIASVASNCKNSSIESAEDVDPITGDIVASAAALVTTVCAEAAESLGAQKTNVSSAINSGLAIQTTDDMITLTAAAATCNVLINVSFTIIFR >ONI21177 pep chromosome:Prunus_persica_NCBIv2:G2:5940666:5946194:-1 gene:PRUPE_2G051300 transcript:ONI21177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKLASKKKKSRNKSTRANPLSAETGAAYVASPSVANTTATPAPAQGLYARCLLKEYLKNYYQWDDEQFKRGGQVIIGSLVGKVAYLGSKGITHGRLTCENIFVIGNGPENLEVEIKDIPRAYNPAMPSYREQFLTLARLLVDTWKATSPMLLKHFFKMMEYCIPWFYFKQVQWHPLLLSSDEVAVVIFRLYTYLDIERKGWKKDYKNLIGRKKVDFGDITSGTSGTSRGAFAFAKVYSYPGVIYEPNALGALMFFRHALKHVNEHIQEDLKKENAKQEEIEDALLTRGETVNMLVQFFPKVPLELFNYMLYKRIDINTTIT >ONI21174 pep chromosome:Prunus_persica_NCBIv2:G2:5940995:5942642:-1 gene:PRUPE_2G051300 transcript:ONI21174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKLASKKKKSRNKSTRANPLSAETGAAYVASPSVANTTATPAPAQGLYARCLLKEYLKNYYQWDDEQFKRGGQVIIGSLVGKVAYLGSKGITHGRLTCENIFVIGNGPENLEVEIKDIPRAYNPAMPSYREQFLTLARLLVDTWKATSPMLLKHFFKMMEYCIPWFYFKQVQWHPLLLSSDEVAVVIFRLYTYLDIERKGWKKDYKNLIGRKKVDFGDITSGTSGTSRGAFAFAKVYSYPGVIYEPNALGALMFFRHALKHVNEHIQEDLKKENATRGETVNMLVQFFPKVPLELFNYMLYKRIDINTTIT >ONI21176 pep chromosome:Prunus_persica_NCBIv2:G2:5940501:5946194:-1 gene:PRUPE_2G051300 transcript:ONI21176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKLASKKKKSRNKSTRANPLSAETGAAYVASPSVANTTATPAPAQGLYARCLLKEYLKNYYQWDDEQFKRGGQVIIGSLVGKVAYLGSKGITHGRLTCENIFVIGNGPENLEVEIKDIPRAYNPAMPSYREQFLTLARLLVDTWKATSPMLLKHFFKMMEYCIPWFYFKQVQWHPLLLSSDEVAVVIFRLYTYLDIERKGWKKDYKNLIGRKKVDFGDITSGTSGTSRGAFAFAKVYSYPGVIYEPNALGALMFFRHALKHVNEHIQEDLKKENAKQEEIEDALLTRGETVNMLVQFFPKVPLELFNYMLYKRIDINTTIT >ONI21178 pep chromosome:Prunus_persica_NCBIv2:G2:5941265:5942642:-1 gene:PRUPE_2G051300 transcript:ONI21178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKLASKKKKSRNKSTRANPLSAETGAAYVASPSVANTTATPAPAQGLYARCLLKEYLKNYYQWDDEQFKRGGQVIIGSLVGKVAYLGSKGITHGRLTCENIFVIGNGPENLEVEIKDIPRAYNPAMPSYREQFLTLARLLVDTWKATSPMLLKHFFKMMEYCIPWFYFKQVQWHPLLLSSDEVAVVIFRLYTYLDIERKGWKKDYKNLIGRKKVDFGDITSGTSGTSRGAFAFAKVYSYPGVIYEPNALGALMFFRHALKHVNEHIQEDLKKENAVYTLPKSAF >ONI21173 pep chromosome:Prunus_persica_NCBIv2:G2:5940779:5945907:-1 gene:PRUPE_2G051300 transcript:ONI21173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKLASKKKKSRNKSTRANPLSAETGAAYVASPSVANTTATPAPAQGLYARCLLKEYLKNYYQWDDEQFKRGGQVIIGSLVGKVAYLGSKGITHGRLTCENIFVIGNGPENLEVEIKDIPRAYNPAMPSYREQFLTLARLLVDTWKATSPMLLKHFFKMMEYCIPWFYFKQVQWHPLLLSSDEVAVVIFRLYTYLDIERKGWKKDYKNLIGRKKVDFGDITSGTSGTSRGAFAFAKVYSYPGVIYEPNALGALMFFRHALKHVNEHIQEDLKKENATRGETVNMLVQFFPKVPLELFNYMLYKRIDINTTIT >ONI21175 pep chromosome:Prunus_persica_NCBIv2:G2:5940780:5945907:-1 gene:PRUPE_2G051300 transcript:ONI21175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKLASKKKKSRNKSTRANPLSAETGAAYVASPSVANTTATPAPAQGLYARCLLKEYLKNYYQWDDEQFKRGGQVIIGSLVGKVAYLGSKGITHGRLTCENIFVIGNGPENLEVEIKDIPRAYNPAMPSYREQFLTLARLLVDTWKATSPMLLKHFFKMMEYCIPWFYFKQVQWHPLLLSSDEVAVVIFRLYTYLDIERKGWKKDYKNLIGRKKVDFGDITSGTSGTSRGAFAFAKVYSYPGVIYEPNALGALMFFRHALKHVNEHIQEDLKKENAKQEEIEDALLTRGETVNMLVQFFPKVPLELFNYMLYKRIDINTTIT >ONI20295 pep chromosome:Prunus_persica_NCBIv2:G2:696633:698978:1 gene:PRUPE_2G007500 transcript:ONI20295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQRTPKVTRNPDLIRGIGKYSRSKMYHKRGLWAIKAKNGGAFPRHDKKPAADVPAVKPPKFYPADDVKKPLVNKRKPKPTKLRNSITPGTVLILLAGRFKGKRVVFLKQLSSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDISGVNVDKFDDKYFAKEVQKKKKKGEGEFFEAEKEEKTVLSQGKKDDQKAVDTPLIKSIEGVSDLKTYLAARFSLKQGMKPHELVF >ONI20297 pep chromosome:Prunus_persica_NCBIv2:G2:696707:698848:1 gene:PRUPE_2G007500 transcript:ONI20297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQRTPKVTRNPDLIRGIGKYSRSKMYHKRGLWAIKAKNGGAFPRHDKKPAADVPAPKPTKLRNSITPGTVLILLAGRFKGKRVVFLKQLSSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDISGVNVDKFDDKYFAKEVQKKKKKGEGEFFEAEKEEKTVLSQGKKDDQKAVDTPLIKSIEGVSDLKTYLAARFSLKQGMKPHELVF >ONI20296 pep chromosome:Prunus_persica_NCBIv2:G2:696707:698848:1 gene:PRUPE_2G007500 transcript:ONI20296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQRTPKVTRNPDLIRGIGKYSRSKMYHKRGLWAIKAKNGGAFPRHDKKPAADVPAVKPPKFYPADDVKKPLVNKRKPKPTKLRNSITPGTVLILLAGRFKGKRVVFLKQLSSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDISGVNVDKFDDKYFAKEVQKKKKKGEGEFFEAEKEKTVLSQGKKDDQKAVDTPLIKSIEGVSDLKTYLAARFSLKQGMKPHELVF >ONI23127 pep chromosome:Prunus_persica_NCBIv2:G2:21814047:21821103:1 gene:PRUPE_2G171000 transcript:ONI23127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRRGVWVVVGVLVLSLSLSSAGDIVHHDNIAPKRPGCENNFVLVKVPTWVNGVEDSEYVGVGARFGPTLESKEKHATNMRVVLADPPDCCSTPNNKLSQDVILVHRGNCSFTRKANIAEAANASAILIINNRTELFKMVCEDDEPDVQIGIPAVMLPQDVGAILENDLMNKSKVSVQLYSPLRPVVDIAEVFLWLMAVGTIIFASYWSAWSAREAAIEHDKLLKDASDDSLHMEVDRSNALVEISTTAAVLFVVIASCFLVMFYKLMSFWFVEILVVLFCIGGIEGLQTCLVTLLSCFRRFKRAGESYVKVPFFGAVSYLTLAVAPFCIAFAVVWAVYRRVSFAWIGQDILVGTILLSCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRLFDPWGGYSIIGFGDIIIPGLVVAFSLRYDWLANKKLRAGYFVWAMTAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTLLTLAQMRGDLKVLWTRGEPERPCPHVHLQPSQ >ONI23126 pep chromosome:Prunus_persica_NCBIv2:G2:21814047:21821103:1 gene:PRUPE_2G171000 transcript:ONI23126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRRGVWVVVGVLVLSLSLSSAGDIVHHDNIAPKRPGCENNFVLVKVPTWVNGVEDSEYVGVGARFGPTLESKEKHATNMRVVLADPPDCCSTPNNKLSQDVILVHRGNCSFTRKANIAEAANASAILIINNRTELFKMVCEDDEPDVQIGIPAVMLPQDVGAILENDLMNKSKVSVQLYSPLRPVVDIAEVFLWLMAVGTIIFASYWSAWSAREAAIEHDKLLKDASDDSLHMEVDRSNALVEISTTAAVLFVVIASCFLVMFYKLMSFWFVEILVVLFCIGGIEGLQTCLVTLLSCFRRFKRAGESYVKVPFFGAVSYLTLAVAPFCIAFAVVWAVYRRVSFAWIGQDILGIALIITVLQIVRVPNLKVGTILLSCAFLYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRLFDPWGGYSIIGFGDIIIPGLVVAFSLRYDWLANKKLRAGYFVWAMTAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTLLTLAQMRGDLKVLWTRGEPERPCPHVHLQPSQ >ONI22669 pep chromosome:Prunus_persica_NCBIv2:G2:20072808:20073104:-1 gene:PRUPE_2G143500 transcript:ONI22669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYRTYWKSTIEAIVKRRFITPLKRKRTAQIHYYFYCCSTIQNYLIKRYTGNSGHMGYLSRIQTASKILQILILPVQKVKLLLVGFRTIMHFELPQPN >ONI21761 pep chromosome:Prunus_persica_NCBIv2:G2:13756317:13763655:-1 gene:PRUPE_2G087100 transcript:ONI21761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRFLALSRWVLIVALLVGLSGETCNAEDDGTNCTSSCGNIHNISYPFRLKHDPKHCGNVMYTLSCENNITLVVDLPPSGKYYVQAINYHNQTIRLVDPGLQNKNCSSMPQNFPPFFRDPYSAYNMSGYLLSTPVFYIKCSNPVNSSLYVDTAPCLHINASSLVQQKTYSYVKVGDMEVGDLNEGCSAEWVALALLNYSKGYNTSYESIHSALMYGFDVRVYWPYDEEICQHQWRKYHTCYPHTIPGFIRLICAEIHAFFTRSRLSIDHRRWFFPFTTTRLIWVTLSCIGFFFATRLIFGVPCLIAFVIYIWRRRHLSSYSIIEDFLQSDSNFLPIRYSYSEIKKMTNKFKKKLGEGGYGSVFKGKLRSGRFVAIKLLGKAKGNGQDFTSEVATIGRIHHVNVVQLVGYCVEGLNRALVYDFMPNGSLDKYIYSKEESMPLSCMKMYEISLGVARGIEYLHRGCDMQILHFDIKPHNILLDENFNPKISDFGLAKLYPVDNSIVSLTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMEMASRRKNWSTMVEHSNQIYFPLWAYDQYNKGNELEMRDVNEEEKKVIKKMVITALWCIQMKPTDRPSMNKVIEMLGGDGESLKMPLRPFLYPQELHVGAVQENLNPISSNGELTWTLSAR >ONI23159 pep chromosome:Prunus_persica_NCBIv2:G2:21945937:21955751:1 gene:PRUPE_2G172900 transcript:ONI23159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNEKDSQAVASINAQNVPKEEAFTGDEKATVSTVHVQGTPTALKEPTPVVSSGKEEQHSTLSSVKLDHEVERSIQKAPVRSEFPVDRGKSVASQVAVSDAMQVKKPAQASTVPQPKDVSSARKYHGPLFDFPFFTRKHDSFGSGVMVNNNNTNSNNNNNLTLAYDVKDLLFEEGVEVLNKKRTENIKKIGGLLAVNLERKRIRPDLVLRLQIEEKKLRLLDLQARLRDEIDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQASQKAMREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRSKRMEALKNNDVERYREILLEQQTSIPGDAAERYAVLSSFLSQTEEYLHKLGSKITAAKNQQEVEEAANAAAASARVQGLSEEEVRAAAACAGEEVLIRNRFIEMNAPRDSSSVNKYYSLAHAVNERVIRQPSMLRTGNLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIYYVGGKDQRSKLFSQEVCALKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEAPTPNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGALPPKISIVLRCRMSAIQSAVYDWIKSTGTIRVDPEEEKLRVQKNPLYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDFSKDFLIRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKREVKVIYMEAVVDKISSHQKEDELRNGGTVDSEDDLAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARSEEEVELFDQMDEELDWIEEMTKYNQVPKWLRTGTREVNAVIASLSKRPSKNTLLGGNIGLETSEMGSDSSPKTERKRGRPKGKKHPSYKELDDDNGEYSEASSDERNEYSLHEEEGEVGELEDDEYSGAVEATPIIKEQVEEDGPEYDVGYDYPQASERVRNNHMLEEAGSSGSSSDSRRLMQTVSPVSSQKFGSLSAIDGRPGSVSKRLPDDVEEGEIVVSGDSHMDHQQSGSWNHDRDEGEDEQVLQPKIKRKRSLRVRPRHTMERPEEKSGSETPSLQRGDSSLLPFQADHKSQTQSRADSEIKMYGDPHALKHDQSDSSSKTRRSLPARRVGNASKLHASPKSGRSNSVPDPAEDAAEHHRENWDGKIGSTSGTPVYGTKMPDIIQRRCKNVISKLQRRIDKEGPQIVPLLTDLWKRIENAGYASGSGNNILDLRKIDQRIERLEYNGVMELVFDVQSMLKSAMQFYGFSHEVRTEARKVHDLFFDILKIAFADTDFREARSALSFTSPVLTTNAPSPRPVTVGQSKRHKHINEVEPDPGPQQKPQQRTPIFSSEDTRMRSHMPHKESRLGSGSGNSREHYQQDDSPQLAHPGDLVICKKKRKDREKSVVKPRTGSAGPVSPPSMGRSIKSPGSNSVPKERLTQQTSQGWTNQPAQPSNKAAGSVGWANPVKRLRTDSGKRRPSHL >ONI23158 pep chromosome:Prunus_persica_NCBIv2:G2:21944543:21955630:1 gene:PRUPE_2G172900 transcript:ONI23158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQSRKFIDLAQQHGSQDGQNRSQGVDQQVLNPVHQAYLHYAFQAAQQKSGLAMQSQQQAKMGLLGPPSGKDQDMRLGNMKMQELMSMQAANQAQASSSKNLTEHFTRGEKQMDQAQPPSDQRSESKPSAQQSGIGQFMPGNMLRPMLAPQAQQSTQNTPNNQIALAAQLQAFALEHNIDLSQPGNANLMAQLIPLLQSRMAAQQKANESNMGVQSSPVPVSKQQVTSPPVVSESSPHANSSSDVSGQSSSAKAKQTVAPSPFGSGSNTSIFNNSNSIPVKQFAVHGRENQMPPRQSVPIGNGMTSIHPTQSSANTSQGVDHSFHGKSPLNNPETLQMQYQKQLSRSSPQAVVPNDGGSGNHVQTQGGPSTQMPQQRLGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLDLQLQQQLLPGGGNIQDKSSGKVIEDHVRHMESNEKDSQAVASINAQNVPKEEAFTGDEKATVSTVHVQGTPTALKEPTPVVSSGKEEQHSTLSSVKLDHEVERSIQKAPVRSEFPVDRGKSVASQVAVSDAMQVKKPAQASTVPQPKDVSSARKYHGPLFDFPFFTRKHDSFGSGVMVNNNNTNSNNNNNLTLAYDVKDLLFEEGVEVLNKKRTENIKKIGGLLAVNLERKRIRPDLVLRLQIEEKKLRLLDLQARLRDEIDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQASQKAMREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRSKRMEALKNNDVERYREILLEQQTSIPGDAAERYAVLSSFLSQTEEYLHKLGSKITAAKNQQEVEEAANAAAASARVQGLSEEEVRAAAACAGEEVLIRNRFIEMNAPRDSSSVNKYYSLAHAVNERVIRQPSMLRTGNLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIYYVGGKDQRSKLFSQEVCALKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEAPTPNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGALPPKISIVLRCRMSAIQSAVYDWIKSTGTIRVDPEEEKLRVQKNPLYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDFSKDFLIRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKREVKVIYMEAVVDKISSHQKEDELRNGGTVDSEDDLAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARSEEEVELFDQMDEELDWIEEMTKYNQVPKWLRTGTREVNAVIASLSKRPSKNTLLGGNIGLETSEMGSDSSPKTERKRGRPKGKKHPSYKELDDDNGEYSEASSDERNEYSLHEEEGEVGELEDDEYSGAVEATPIIKEQVEEDGPEYDVGYDYPQASERVRNNHMLEEAGSSGSSSDSRRLMQTVSPVSSQKFGSLSAIDGRPGSVSKRLPDDVEEGEIVVSGDSHMDHQQSGSWNHDRDEGEDEQVLQPKIKRKRSLRVRPRHTMERPEEKSGSETPSLQRGDSSLLPFQADHKSQTQSRADSEIKMYGDPHALKHDQSDSSSKTRRSLPARRVGNASKLHASPKSGRSNSVPDPAEDAAEHHRENWDGKIGSTSGTPVYGTKMPDIIQRRCKNVISKLQRRIDKEGPQIVPLLTDLWKRIENAGYASGSGNNILDLRKIDQRIERLEYNGVMELVFDVQSMLKSAMQFYGFSHEVRTEARKVHDLFFDILKIAFADTDFREARSALSFTSPVLTTNAPSPRPVTVGQSKRHKHINEVEPDPGPQQKPQQRTPIFSSEDTRMRSHMPHKESRLGSGSGNSREHYQQDDSPQLAHPGDLVICKKKRKDREKSVVKPRTGSAGPVSPPSMGRSIKSPGSNSVPKERLTQQTSQGWTNQPAQPSNKAAGSVGWANPVKRLRTDSGKRRPSHL >ONI23157 pep chromosome:Prunus_persica_NCBIv2:G2:21945364:21955749:1 gene:PRUPE_2G172900 transcript:ONI23157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQQQQFLRKPEGNEALLAYQAAGLQGVLGGSNFVSSPGSSQMPQQSRKFIDLAQQHGSQDGQNRSQGVDQQVLNPVHQAYLHYAFQAAQQKSGLAMQSQQQAKMGLLGPPSGKDQDMRLGNMKMQELMSMQAANQAQASSSKNLTEHFTRGEKQMDQAQPPSDQRSESKPSAQQSGIGQFMPGNMLRPMLAPQAQQSTQNTPNNQIALAAQLQAFALEHNIDLSQPGNANLMAQLIPLLQSRMAAQQKANESNMGVQSSPVPVSKQQVTSPPVVSESSPHANSSSDVSGQSSSAKAKQTVAPSPFGSGSNTSIFNNSNSIPVKQFAVHGRENQMPPRQSVPIGNGMTSIHPTQSSANTSQGVDHSFHGKSPLNNPETLQMQYQKQLSRSSPQAVVPNDGGSGNHVQTQGGPSTQMPQQRLGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLDLQLQQQLLPGGGNIQDKSSGKVIEDHVRHMESNEKDSQAVASINAQNVPKEEAFTGDEKATVSTVHVQGTPTALKEPTPVVSSGKEEQHSTLSSVKLDHEVERSIQKAPVRSEFPVDRGKSVASQVAVSDAMQVKKPAQASTVPQPKDVSSARKYHGPLFDFPFFTRKHDSFGSGVMVNNNNTNSNNNNNLTLAYDVKDLLFEEGVEVLNKKRTENIKKIGGLLAVNLERKRIRPDLVLRLQIEEKKLRLLDLQARLRDEIDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQASQKAMREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRSKRMEALKNNDVERYREILLEQQTSIPGDAAERYAVLSSFLSQTEEYLHKLGSKITAAKNQQEVEEAANAAAASARVQGLSEEEVRAAAACAGEEVLIRNRFIEMNAPRDSSSVNKYYSLAHAVNERVIRQPSMLRTGNLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIYYVGGKDQRSKLFSQEVCALKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEAPTPNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGALPPKISIVLRCRMSAIQSAVYDWIKSTGTIRVDPEEEKLRVQKNPLYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDFSKDFLIRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKREVKVIYMEAVVDKISSHQKEDELRNGGTVDSEDDLAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARSEEEVELFDQMDEELDWIEEMTKYNQVPKWLRTGTREVNAVIASLSKRPSKNTLLGGNIGLETSEMGSDSSPKTERKRGRPKGKKHPSYKELDDDNGEYSEASSDERNEYSLHEEEGEVGELEDDEYSGAVEATPIIKEQVEEDGPEYDVGYDYPQASERVRNNHMLEEAGSSGSSSDSRRLMQTVSPVSSQKFGSLSAIDGRPGSVSKRLPDDVEEGEIVVSGDSHMDHQQSGSWNHDRDEGEDEQVLQPKIKRKRSLRVRPRHTMERPEEKSGSETPSLQRGDSSLLPFQADHKSQTQSRADSEIKMYGDPHALKHDQSDSSSKTRRSLPARRVGNASKLHASPKSGRSNSVPDPAEDAAEHHRENWDGKIGSTSGTPVYGTKMPDIIQRRCKNVISKLQRRIDKEGPQIVPLLTDLWKRIENAGYASGSGNNILDLRKIDQRIERLEYNGVMELVFDVQSMLKSAMQFYGFSHEVRTEARKVHDLFFDILKIAFADTDFREARSALSFTSPVLTTNAPSPRPVTVGQSKRHKHINEVEPDPGPQQKPQQRTPIFSSEDTRMRSHMPHKESRLGSGSGNSREHYQQDDSPQLAHPGDLVICKKKRKDREKSVVKPRTGSAGPVSPPSMGRSIKSPGSNSVPKERLTQQTSQGWTNQPAQPSNKAAGSVGWANPVKRLRTDSGKRRPSHL >ONI23156 pep chromosome:Prunus_persica_NCBIv2:G2:21944505:21955759:1 gene:PRUPE_2G172900 transcript:ONI23156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGGGGGGGPSRNPGVGPAGRAGSTSSAASPSSSSSAVSTPHLGFDSVQHQHQHQQQQQQQQQLGSRQSLQQQQFLRKPEGNEALLAYQAAGLQGVLGGSNFVSSPGSSQMPQQSRKFIDLAQQHGSQDGQNRSQGVDQQVLNPVHQAYLHYAFQAAQQKSGLAMQSQQQAKMGLLGPPSGKDQDMRLGNMKMQELMSMQAANQAQASSSKNLTEHFTRGEKQMDQAQPPSDQRSESKPSAQQSGIGQFMPGNMLRPMLAPQAQQSTQNTPNNQIALAAQLQAFALEHNIDLSQPGNANLMAQLIPLLQSRMAAQQKANESNMGVQSSPVPVSKQQVTSPPVVSESSPHANSSSDVSGQSSSAKAKQTVAPSPFGSGSNTSIFNNSNSIPVKQFAVHGRENQMPPRQSVPIGNGMTSIHPTQSSANTSQGVDHSFHGKSPLNNPETLQMQYQKQLSRSSPQAVVPNDGGSGNHVQTQGGPSTQMPQQRLGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLDLQLQQQLLPGGGNIQDKSSGKVIEDHVRHMESNEKDSQAVASINAQNVPKEEAFTGDEKATVSTVHVQGTPTALKEPTPVVSSGKEEQHSTLSSVKLDHEVERSIQKAPVRSEFPVDRGKSVASQVAVSDAMQVKKPAQASTVPQPKDVSSARKYHGPLFDFPFFTRKHDSFGSGVMVNNNNTNSNNNNNLTLAYDVKDLLFEEGVEVLNKKRTENIKKIGGLLAVNLERKRIRPDLVLRLQIEEKKLRLLDLQARLRDEIDQQQQEIMAMPDRPYRKFVRLCERQRMELARQVQASQKAMREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRSKRMEALKNNDVERYREILLEQQTSIPGDAAERYAVLSSFLSQTEEYLHKLGSKITAAKNQQEVEEAANAAAASARVQGLSEEEVRAAAACAGEEVLIRNRFIEMNAPRDSSSVNKYYSLAHAVNERVIRQPSMLRTGNLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIYYVGGKDQRSKLFSQEVCALKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEAPTPNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGALPPKISIVLRCRMSAIQSAVYDWIKSTGTIRVDPEEEKLRVQKNPLYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDFSKDFLIRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKREVKVIYMEAVVDKISSHQKEDELRNGGTVDSEDDLAGKDRYIGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARSEEEVELFDQMDEELDWIEEMTKYNQVPKWLRTGTREVNAVIASLSKRPSKNTLLGGNIGLETSEMGSDSSPKTERKRGRPKGKKHPSYKELDDDNGEYSEASSDERNEYSLHEEEGEVGELEDDEYSGAVEATPIIKEQVEEDGPEYDVGYDYPQASERVRNNHMLEEAGSSGSSSDSRRLMQTVSPVSSQKFGSLSAIDGRPGSVSKRLPDDVEEGEIVVSGDSHMDHQQSGSWNHDRDEGEDEQVLQPKIKRKRSLRVRPRHTMERPEEKSGSETPSLQRGDSSLLPFQADHKSQTQSRADSEIKMYGDPHALKHDQSDSSSKTRRSLPARRVGNASKLHASPKSGRSNSVPDPAEDAAEHHRENWDGKIGSTSGTPVYGTKMPDIIQRRCKNVISKLQRRIDKEGPQIVPLLTDLWKRIENAGYASGSGNNILDLRKIDQRIERLEYNGVMELVFDVQSMLKSAMQFYGFSHEVRTEARKVHDLFFDILKIAFADTDFREARSALSFTSPVLTTNAPSPRPVTVGQSKRHKHINEVEPDPGPQQKPQQRTPIFSSEDTRMRSHMPHKESRLGSGSGNSREHYQQDDSPQLAHPGDLVICKKKRKDREKSVVKPRTGSAGPVSPPSMGRSIKSPGSNSVPKERLTQQTSQGWTNQPAQPSNKAAGSVGWANPVKRLRTDSGKRRPSHL >ONI22041 pep chromosome:Prunus_persica_NCBIv2:G2:15907215:15911325:-1 gene:PRUPE_2G103300 transcript:ONI22041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPFLLIFSLTMSRGSLLFAAHIALLLLLLPPSFCFQTSTVGDSALFCGNINIRSPFDLHGDRQNCGYNTFELYCEENVTVICLYSGKYYVKAINYSDWTIRVVDAGVQKKDNYFSNPLYSLTSYNLSGSGGPFSSPYFYGDILAIREVPIIFISCANPMHSPRLVDTAPCINNSANSYLSSTLRMFSYVMIGNISSFDLGESCRITQMVVVSPSTSTDLHQNLSCEGIYNEIARGFELSWFNASCYLKCGMDKKNCTLDDVSKTKCPSITKEHLYQKILHSILLISGEVAEDVLYLYAYSLTNKCNRNIPLYLLPLTCVISYLGILHTVLYVFGFPCAIAFIIYKWKRRHLSMHDNIEDFLQNNKLVPVRYSYSSIKKMAKGFKEKLGEGGYGSVYKAKLRSGHLVAIKMLGKSKANGQDFINEVATIGRIHHVNVVRLIGFCVEGSKRALVYDFMPNGSLDKYLFSQQGVISLNCEKMFEIALGVARGIEYLHRGCDMQILHFDIKPHNILLDENFLPKVSDFGLARLCPLDNSIVSLTAARGTIGYIAPELFYKNIGGISYKADVYSFGMLLMEMAGRRKNLNATIEKSSQIYFPTWVFDQLSDGKDIKVEDATEEEEKIIKKMIIVALWCIQMKPSDRPSMNKAVEMLEGEIESLEMPPKPFLYPQQMPEVVPGDNSSTTSASTVTNSTEIVLIADANQTM >ONI25614 pep chromosome:Prunus_persica_NCBIv2:G2:29446286:29448655:1 gene:PRUPE_2G311600 transcript:ONI25614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKLNQSMLEGNEEGGEGFLDEESDGFCALSATQSLIVFAKPIKFAVLFTFGNLLAVGSTAFLFGPVQQMRMMFDSVRVYATAIYLGCVVIALICALWIHSKILTIIAIICEVCALIWYSLSYIPFARRIVSDLMFRLFDTEL >ONI25615 pep chromosome:Prunus_persica_NCBIv2:G2:29446286:29448656:1 gene:PRUPE_2G311600 transcript:ONI25615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREERASWMKNLTAFVLSLLLSTAFLFGPVQQMRMMFDSVRVYATAIYLGCVVIALICALWIHSKILTIIAIICEVCALIWYSLSYIPFARRIVSDLMFRLFDTEL >ONI25616 pep chromosome:Prunus_persica_NCBIv2:G2:29446542:29448444:1 gene:PRUPE_2G311600 transcript:ONI25616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFLASHGFWVCGFLIYQRMYGFAACLLAGLVCMFLSLIVFAKPIKFAVLFTFGNLLAVGSTAFLFGPVQQMRMMFDSVRVYATAIYLGCVVIALICALWIHSKILTIIAIICEVCALIWYSLSYIPFARRIVSDLMFRLFDTEL >ONI25613 pep chromosome:Prunus_persica_NCBIv2:G2:29446286:29448655:1 gene:PRUPE_2G311600 transcript:ONI25613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKLNQSMLEGNEEGGEGFLDEESDGFCALSATQRMYGFAACLLAGLVCMFLSLIVFAKPIKFAVLFTFGNLLAVGSTAFLFGPVQQMRMMFDSVRVYATAIYLGCVVIALICALWIHSKILTIIAIICEVCALIWYSLSYIPFARRIVSDLMFRLFDTEL >ONI22619 pep chromosome:Prunus_persica_NCBIv2:G2:19693638:19695879:1 gene:PRUPE_2G140200 transcript:ONI22619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMDDGSSRPPQISEMFQKFALAFKTKTFEFFAEEEAEDSDSLALLDSAEEVITDQKVVVIKPDGAAADHKDSQLQITPKKPNLSETQVKKPELSAVTRSLSRTQIRPINTQMTQTLLSSIFATVSSFEASYLQLQTAHVPFVEENLKAADRALISHLQRLSEFKHFYRDFCTSSNFGSDIPIGSCLEAQVQENQSKLRTLGTMSNRLQTEIDQKDNEVMALRKKLGEIQKSNLKLSKRLSATLNSPCEVLLSVRVFDSVLHDACRLTHRFTKILITLMEKAGWDLDLAANLVHPDIEYVKKAHNRYAFLSYVCLGMFKGFDSKGFGLDESDMLCNGHGPELDKNKASLKQLLEHASSNPMELLSRNQNCEFSRFCESKYQEIIHPTVESSIFSNLDRNQVVLSSWRSLSVFYDSFVSMASSIWMLQKLAYSFDPAVEIFQVERGIDFSIVYMEDVTRRLMLPSTTRMKVGFTVVPGFKIGRTVIQSQVYLSGLKCTE >ONI25598 pep chromosome:Prunus_persica_NCBIv2:G2:29407663:29411612:1 gene:PRUPE_2G310900 transcript:ONI25598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLLFPYFQNSPQDFQQLEEFCRTQKTNASMNSFVEASMISEYDLGGEWDLFKAPEPIIEEPGIGLDPMTATISMISCGEDVTCSQGLKVADIESFQSEQLLSDVFYDKDLLGKEAIGAPLSEALDIKIPILTMDANQIQENKPIRDVTFQKSVSSGCLTSREWMHGTSTKPSFLDFPGMDFGAAYGIRRAFSEGDIKTLDNINTSLVHSSLERPIVISNCTTEERREKLSRYRNKKTKRNFGRKIKYACRKALADNQPRIRGRFAKTEETYGKRQ >ONI25601 pep chromosome:Prunus_persica_NCBIv2:G2:29408709:29411567:1 gene:PRUPE_2G310900 transcript:ONI25601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEYDLGGEWDLFKAPEPIIEEPGIGLDPMTATISMISCGEDVTCSQGLKVADIESFQSEQLLSDVFYDKDLLGKEAIGAPLSEALDIKIPILTMDANQIQENKPIRDVTFQKSVSSGCLTSREWMHGTSTKPSFLDFPGMDFGAAYGIRRAFSEGDIKTLDNINTSLVHSSLERPIVISNCTTEERREKLSRYRNKKTKRNFGRKIKYACRKALADNQPRIRGRFAKTEETYGKRQ >ONI25599 pep chromosome:Prunus_persica_NCBIv2:G2:29407832:29409944:1 gene:PRUPE_2G310900 transcript:ONI25599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLLFPYFQNSPQDFQQLEEFCRTQKTNASMNSFVEASMISEYDLGGEWDLFKAPEPIIEEPGIGLDPMTATISMISCGEDVTCSQGLKVADIESFQSEQLLSDVFYDKDLLGKEAIGAPLSEALDIKIPILTMDANQIQENKPIRDVTFQKSVSSGCLTSREWMHGTSTKPSFLDFPGMDFGAAYGIRRAFSEGDIKVRDSFLISCYMYYEICKR >ONI25600 pep chromosome:Prunus_persica_NCBIv2:G2:29408563:29411609:1 gene:PRUPE_2G310900 transcript:ONI25600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEYDLGGEWDLFKAPEPIIEEPGIGLDPMTATISMISCGEDVTCSQGLKVADIESFQSEQLLSDVFYDKDLLGKEAIGAPLSEALDIKIPILTMDANQIQENKPIRDVTFQKSVSSGCLTSREWMHGTSTKPSFLDFPGMDFGAAYGIRRAFSEGDIKTLDNINTSLVHSSLERPIVISNCTTEERREKLSRYRNKKTKRNFGRKIKYACRKALADNQPRIRGRFAKTEETYGKRQ >ONI25153 pep chromosome:Prunus_persica_NCBIv2:G2:28180402:28183113:1 gene:PRUPE_2G284600 transcript:ONI25153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLRSTLLHLLEEFKNIRELKKIHTQIIKSPFLSTDDQAFLITRLLFFSAISDSDSGSHRYAAHVFRAIKDPNLYVYNVMIRAYVCTKDETLSSFGSLLLYKQMLCDGISPNCLTFPFLVKECTSRFDGGTGRSFHAQVVKYGLDNDVFVQNSLIGMYSACGFLNSARTLFDEMLERDVVSWNSMIKGYLRSGNLDVALNLFGKMNKRNIITWNSMITGFVQGGRPKEALELFHEMQITSGDMVKPDKITIASVLAACAHLGAIDHGIWVHAYLRRSGLESDVVIGTALVDMYGKCGCVDKAYEVFQEMLNKDTLAWTAMISVLALHGFGNEAFDIFKQMETTGVKPNHVTFVGLLSACAHSGLVEKGRWCFNVMKCVYLIEPQLYHYACMVDILSRAGLIEEAERFIRSMPMKPDAFVWGALLGGCQIHGKVELGERLAQYLIGLEPLNHAFYVNLCDIYAKANRFDDVKRIKSLMKERGIKKEVPGSSMIEIDGVVLEFSVRGSPDVVMEEVLLVLYQLSQRGPWTVKFMIE >ONI20640 pep chromosome:Prunus_persica_NCBIv2:G2:2768007:2769349:-1 gene:PRUPE_2G026800 transcript:ONI20640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTNYIIAKKALRALKGIVKLQAIIRGRAVRRQAMTTLKCLQSIINIQSHACARRFQTIEDAPHCDEHNQFQSLRDKIIRMDSNSQRRWDGSLISKEEAEALFLSKKEAMLKRERIREYSYTHRKSAESERNKVNGRWRYWLDQWVDTQLSKSKELEDLDTVLDLNAKRKEEFGGKQLRLRNFQRQKIQIEGMDSPVFVPRRSSYHRKQCSLGDENSFTSSPVVPTYMAATKSAKAKARSLSSPKLRAGSLDTYSESYSPCKNNISLISSITSEVPISSTGKILGKCSSIQQRSPSMKGLPSPLRLKQTAKDRSFDWDRQTAFR >ONI20639 pep chromosome:Prunus_persica_NCBIv2:G2:2768007:2770103:-1 gene:PRUPE_2G026800 transcript:ONI20639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSWFNIVKRFFVSETQSKQKNKEKRRKWIFGKLKIKRLTSLTAPSPPSPLKQRAQNEAEEEQNRHALTVAIASTAAAAHAAAEVVRLTGTPQSSEKCLEKEKSLSINIAQNEATKLAHQCERQVQESAAVKIQTAFRGYLAKKALRALKGIVKLQAIIRGRAVRRQAMTTLKCLQSIINIQSHACARRFQTIEDAPHCDEHNQFQSLRDKIIRMDSNSQRRWDGSLISKEEAEALFLSKKEAMLKRERIREYSYTHRKSAESERNKVNGRWRYWLDQWVDTQLSKSKELEDLDTVLDLNAKRKEEFGGKQLRLRNFQRQKIQIEGMDSPVFVPRRSSYHRKQCSLGDENSFTSSPVVPTYMAATKSAKAKARSLSSPKLRAGSLDTYSESYSPCKNNISLISSITSEVPISSTGKILGKCSSIQQRSPSMKGLPSPLRLKQTAKDRSFDWDRQTAFR >ONI20641 pep chromosome:Prunus_persica_NCBIv2:G2:2768007:2769349:-1 gene:PRUPE_2G026800 transcript:ONI20641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTNYIIAKKALRALKGIVKLQAIIRGRAVRRQAMTTLKCLQSIINIQSHACARRFQTIEDAPHCDEHNQFQSLRDKIIRMDSNSQRRWDGSLISKEEAEALFLSKKEAMLKRERIREYSYTHRKSAESERNKVNGRWRYWLDQWVDTQLSKSKELEDLDTVLDLNAKRKEEFGGKQLRLRNFQRQKIQIEGMDSPVFVPRRSSYHRKQCSLGDENSFTSSPVVPTYMAATKSAKAKARSLSSPKLRAGSLDTYSESYSPCKNNISLISSITSEVPISSTGKILGKCSSIQQRSPSMKGLPSPLRLKQTAKDRSFDWDRQTAFR >ONI20638 pep chromosome:Prunus_persica_NCBIv2:G2:2767780:2770974:-1 gene:PRUPE_2G026800 transcript:ONI20638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSWFNIVKRFFVSETQSKQKNKEKRRKWIFGKLKIKRLTSLTAPSPPSPLKQRAQNEAEEEQNRHALTVAIASTAAAAHAAAEVVRLTGTPQSSEKCLEKEKSLSINIAQNEATKLAHQCERQVQESAAVKIQTAFRGYLAKKALRALKGIVKLQAIIRGRAVRRQAMTTLKCLQSIINIQSHACARRFQTIEDAPHCDEHNQFQSLRDKIIRMDSNSQRRWDGSLISKEEAEALFLSKKEAMLKRERIREYSYTHRSAESERNKVNGRWRYWLDQWVDTQLSKSKELEDLDTVLDLNAKRKEEFGGKQLRLRNFQRQKIQIEGMDSPVFVPRRSSYHRKQCSLGDENSFTSSPVVPTYMAATKSAKAKARSLSSPKLRAGSLDTYSESYSPCKNNISLISSITSEVPISSTGKILGKCSSIQQRSPSMKGLPSPLRLKQTAKDRSFDWDRQTAFR >ONI20636 pep chromosome:Prunus_persica_NCBIv2:G2:2767780:2770974:-1 gene:PRUPE_2G026800 transcript:ONI20636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSWFNIVKRFFVSETQSKQKNEKRRKWIFGKLKIKRLTSLTAPSPPSPLKQRAQNEAEEEQNRHALTVAIASTAAAAHAAAEVVRLTGTPQSSEKCLEKEKSLSINIAQNEATKLAHQCERQVQESAAVKIQTAFRGYLAKKALRALKGIVKLQAIIRGRAVRRQAMTTLKCLQSIINIQSHACARRFQTIEDAPHCDEHNQFQSLRDKIIRMDSNSQRRWDGSLISKEEAEALFLSKKEAMLKRERIREYSYTHRSAESERNKVNGRWRYWLDQWVDTQLSKSKELEDLDTVLDLNAKRKEEFGGKQLRLRNFQRQKIQIEGMDSPVFVPRRSSYHRKQCSLGDENSFTSSPVVPTYMAATKSAKAKARSLSSPKLRAGSLDTYSESYSPCKNNISLISSITSEVPISSTGKILGKCSSIQQRSPSMKGLPSPLRLKQTAKDRSFDWDRQTAFR >ONI20637 pep chromosome:Prunus_persica_NCBIv2:G2:2768007:2770103:-1 gene:PRUPE_2G026800 transcript:ONI20637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSWFNIVKRFFVSETQSKQKNEKRRKWIFGKLKIKRLTSLTAPSPPSPLKQRAQNEAEEEQNRHALTVAIASTAAAAHAAAEVVRLTGTPQSSEKCLEKEKSLSINIAQNEATKLAHQCERQVQESAAVKIQTAFRGYLAKKALRALKGIVKLQAIIRGRAVRRQAMTTLKCLQSIINIQSHACARRFQTIEDAPHCDEHNQFQSLRDKIIRMDSNSQRRWDGSLISKEEAEALFLSKKEAMLKRERIREYSYTHRKSAESERNKVNGRWRYWLDQWVDTQLSKSKELEDLDTVLDLNAKRKEEFGGKQLRLRNFQRQKIQIEGMDSPVFVPRRSSYHRKQCSLGDENSFTSSPVVPTYMAATKSAKAKARSLSSPKLRAGSLDTYSESYSPCKNNISLISSITSEVPISSTGKILGKCSSIQQRSPSMKGLPSPLRLKQTAKDRSFDWDRQTAFR >ONI20754 pep chromosome:Prunus_persica_NCBIv2:G2:3455638:3465661:1 gene:PRUPE_2G032000 transcript:ONI20754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDWNDEELANIIWGEAGENDDHIVPYPEASDDYCNKKEWSQESHTIKPTEQKTPVAKIDLHSSSNFDTIEGISTSEFGTDSWPDLSLSDVAKTEQHCIAETIQLDKDGENFQNSNEAKEQGDLVDFGWANIGSFDDLDQIFSNDDSIFGHASLANTDELWSSSRDATTSPIKAFPVSSDSPSFTSGALSNASEKLEIKTEYAQKDDESITPGYGKTNYSASHGLRNAHVILDHAEYAGGKSKPMAKEQTDLDMGKSTLTNSYLIAENSSTPNEIANKVSRHRKIMRGHRKLEEKSEEKSLQDFYGTWPSSRTPSGHSENQLAHFMLQSSPSSELSQKRQLQGPESFPYQHISNQYVAQSMYGNLTNPNHATYVPSHIQPGELKHQHCFSSYEVSPGGARAINKSADTSVKALTMTPQEKIEKLRRRQQLQAMLAIQKQQQQFSHQICSTNHSATQKCPQENKIQHFEKADLEVEGFSTLPSLDPNSSAEQDDSSMVSAAVDDYSTEDTILYRLQDIISKLDIKIRLCIRDSLFRLAESALQRHYAIDTSSSNKTSKDEDEVVAKEDTNSHNRHGRMLDVETETNPIDRIVAHFLFHRHLNLSEKHSDAPESPVSSKLTCEHKAGLVNMSNECLPDSLKNKQCFPRQGSTNYCPLGEPHSSDLFKKSPLMDTSENASNNGPTHGAMKAEASL >ONI20755 pep chromosome:Prunus_persica_NCBIv2:G2:3455393:3465930:1 gene:PRUPE_2G032000 transcript:ONI20755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDWNDEELANIIWGEAGENDDHIVPYPEASDDYCNKKEWSQESHTIKPTEQKTPVAKIDLHSSSNFDTIEGISTSEFGTDSWPDLSLSDVAKTEQHCIAETIQLDKDGENFQNSNEAKEQGDLVDFGWANIGSFDDLDQIFSNDDSIFGHASLANTDELWSSSRDATTSPIKAFPVSSDSPSFTSGALSNASEKLEIKTEYAQKDDESITPGYGKTNYSASHGLRNAHVILDHAEYAGGKSKPMAKEQTDLDMGKSTLTNSYLIAENSSTPNEIANKVSRHRKIMRGHRKLEEKSEEKSLQDFYGTWPSSRTPSGHSENQLAHFMLQSSPSSELSQKRQLQGPESFPYQHISNQYVAQSMYGNLTNPNHATYVPSHIQPGELKHQHCFSSYEVSPGGARAINKSADTSVKALTMTPQEKIEKLRRRQQLQAMLAIQKQQQQFSHQICSTNHSATQKCPQENKIQHFEKADLEVEGFSTLPSLDPNSSAEQDDSSMVSAAVDDYSTEDTILYRLQDIISKLDIKIRLCIRDSLFRLAESALQRHYAIDTSSSNKTSKDEDEVVAKEDTNSHNRHGRMLDVETETNPIDRIVAHFLFHRHLNLSEKHSDAPESPVSSKLTCEHKAGLVNMSNECLPDSLKNKQCFPRQGSTNYCPLGEPHSSDLFKKSPLMDTSENASNNGPTHGAMKAEASL >ONI20756 pep chromosome:Prunus_persica_NCBIv2:G2:3458652:3465930:1 gene:PRUPE_2G032000 transcript:ONI20756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLSSIVWWSALLLEKQEDMAETIQLDKDGENFQNSNEAKEQGDLVDFGWANIGSFDDLDQIFSNDDSIFGHASLANTDELWSSSRDATTSPIKAFPVSSDSPSFTSGALSNASEKLEIKTEYAQKDDESITPGYGKTNYSASHGLRNAHVILDHAEYAGGKSKPMAKEQTDLDMGKSTLTNSYLIAENSSTPNEIANKVSRHRKIMRGHRKLEEKSEEKSLQDFYGTWPSSRTPSGHSENQLAHFMLQSSPSSELSQKRQLQGPESFPYQHISNQYVAQSMYGNLTNPNHATYVPSHIQPGELKHQHCFSSYEVSPGGARAINKSADTSVKALTMTPQEKIEKLRRRQQLQAMLAIQKQQQQFSHQICSTNHSATQKCPQENKIQHFEKADLEVEGFSTLPSLDPNSSAEQDDSSMVSAAVDDYSTEDTILYRLQDIISKLDIKIRLCIRDSLFRLAESALQRHYAIDTSSSNKTSKDEDEVVAKEDTNSHNRHGRMLDVETETNPIDRIVAHFLFHRHLNLSEKHSDAPESPVSSKLTCEHKAGLVNMSNECLPDSLKNKQCFPRQGSTNYCPLGEPHSSDLFKKSPLMDTSENASNNGPTHGAMKAEASL >ONI20757 pep chromosome:Prunus_persica_NCBIv2:G2:3460915:3465930:1 gene:PRUPE_2G032000 transcript:ONI20757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQTDLDMGKSTLTNSYLIAENSSTPNEIANKVSRHRKIMRGHRKLEEKSEEKSLQDFYGTWPSSRTPSGHSENQLAHFMLQSSPSSELSQKRQLQGPESFPYQHISNQYVAQSMYGNLTNPNHATYVPSHIQPGELKHQHCFSSYEVSPGGARAINKSADTSVKALTMTPQEKIEKLRRRQQLQAMLAIQKQQQQFSHQICSTNHSATQKCPQENKIQHFEKADLEVEGFSTLPSLDPNSSAEQDDSSMVSAAVDDYSTEDTILYRLQDIISKLDIKIRLCIRDSLFRLAESALQRHYAIDTSSSNKTSKDEDEVVAKEDTNSHNRHGRMLDVETETNPIDRIVAHFLFHRHLNLSEKHSDAPESPVSSKLTCEHKAGLVNMSNECLPDSLKNKQCFPRQGSTNYCPLGEPHSSDLFKKSPLMDTSENASNNGPTHGAMKAEASL >ONI23040 pep chromosome:Prunus_persica_NCBIv2:G2:21529481:21534444:-1 gene:PRUPE_2G166300 transcript:ONI23040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLEQSPGALQCTAHGFPQEAQKQDCCRWVGVSCSNQTGHVIQVDLHGLYSLQGKMISSKLIELHYLEHLDLGGINLIGISVPDFIGSLSNLRYLDLSWTYFDGKFPSEVGNLTNLQYLDLNFVNLSNVYDWSEAINKLPELTNLTLEGCDLPSPILSTLSYINSSSVVLSSNHLSTSSIFIWLSNYSTSHVHIDLSLNLLAGSIPDVFGNMRSLAHLDLSNNKLEGGALHSFASGQLSKFVEILLSTCAQNSLEILDLSWNHIAGSLPDLTKLSSLEHLYLNNNQLSGVISGIHLKTIQIIEFPKIASNSEKISSLDISDAKISDILPSWFWSLCRNVTFMKLARNEIGGTLVNLTFEFSYFLELRLSSNQLEGPIPSFLSKTSHLDLSYNKLSGSISFLCSSAAIGLGFLDLSNNNASRQVTDCLTYLTNLVMLDLSYNALSGKIPTTIGSIFWIETLKLRSNRFVGQLPSSLKNCTNLKFIDGYNKLSGPIPKWLGVGLKDLVILMLSSNHFNGSLPSQLCHPTHIQILNFSMNNISRSIPKCLNNLTTLAHKGNPSLTISHFVYMLSTNNSVESEYEDDATFIWKGRMYSYKNTLGLVKRIDLSRNRLTGEIPSEIAQLVGLVSLNLSRNQLTGHITPESMDALDLSRNHIERRIPTSLAQIYCLGFLDFSFNNLSGEIPIGTQLQSFDPSVYAGNPQLCGPPLKKMCVDQNVQIDLSNQEDDKDELITLGFYISMGLGFAAGF >ONI22197 pep chromosome:Prunus_persica_NCBIv2:G2:17186751:17193821:-1 gene:PRUPE_2G113700 transcript:ONI22197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRLSVIFGGLGSDTNTVTNELLPTLKVQTDKEVYRPGDPIIITIEISNPSSNDGTAYSLLIERLGFEIKGIEKLDSQWFATQKPTSGSKQRRGEYVFMECSTQALVTNQIVSPGARKSYVVRSFLASIIPPSYKGATIRYMYYVRSTMSGQWLILENAHSRGESVKDFPEMEARVPVQVWVTQKTSGLVMEEGQSDGIVPSATIQMDMFWKEMDADSDWVRANDTDDGVEEGYESSRDEISSVSSYNPMKEHINRTFGSSLSLQSARSSNKDSPYLEGERTSLSSNLALPQLSVAEVLYDTGADLSLPLNSSAIGSPSQQQKLTKRLSMDDEARASSSPESGAVETLASEGFSRGRSYNIRLDDQVLLRFSPKNSDSNYYFSDMIGGTLTFFHEEGARRCLEVSITLETSETISRRFVHPSRKNSPTITKIQSDHYEVVSDLVQTSFLFSIPMDGPMSFTTPHVSVQWVLRFEFFTTPKNVDWTRYEHPLLIEGREKSEWVLPITVHAPPAVGPTGHPRNEKALFGTLGGA >ONI22198 pep chromosome:Prunus_persica_NCBIv2:G2:17186750:17193821:-1 gene:PRUPE_2G113700 transcript:ONI22198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRLSVIFGGLGSDTNTVTNELLPTLKVQTDKEVYRPGDPIIITIEISNPSSNDGTAYSLLIERLGFEIKGIEKLDSQWFATQKPTSGSKQRRGEYVFMECSTQALVTNQIVSPGARKSYVVRSFLASIIPPSYKGATIRYMYYVRSTMSGQWLILENAHSRGESVKDFPEMEARVPVQVWVTQKTSGLVMEEGQSDGIVPSATIQMDMFWKEMDADSDWVRANDTDDGVEEGYESSRDEISSVSSYNPMKEHINRTFGSSLSLQSARSSNKDSPYLEGERTSLSSNLALPQLSVAEVLYDTGADLSLPLNSSAIGSPSQQQKLTKRLSMDDEARASSSPESGAVETLASEGFSRGRSYNIRLDDQVLLRFSPKNSDSNYYFSDMIGGTLTFFHEEGARRCLEVSITLETSETISRRFVHPSRKNSPTITKIQSDHYEVVSDLVQTSFLFSIPMDGPMSFTTPHVSVQWVLRFEFFTTPKNVDWTRYEHPLLIEGREKSEWVLPITVHAPPAVGPTGHPRNEKALFGTLGGA >ONI21782 pep chromosome:Prunus_persica_NCBIv2:G2:14006011:14007298:-1 gene:PRUPE_2G088800 transcript:ONI21782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDDNNFSPISGHFGAIKMLSKSKANGEDFISEVATIGRIHHVNVVQLVGYCVEGSKRALVYEFMQNGSLDKYIYSKEGNNLLRYKKMYDIKLGVARGIEYLHQGCDMQILHFDIKPHNILLDENFVPKISDSGLAKLYPTVNSIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMGSKRKNLNARAEHSSQIYFPSWVYDQYKEGKELEMEDITEEEKKIVRKMVITALWCIQMKPSDRPAMNEVIKMLEGDVESLQMPPKPFLCPQEMSVDIHDNLNHTCSNMEVTCTLSPRS >ONI23780 pep chromosome:Prunus_persica_NCBIv2:G2:24141327:24141956:1 gene:PRUPE_2G207800 transcript:ONI23780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACGLATNFVPSAKLPLLEKALISRAASATSSSRDLASISAIIDEYLLQQPALNEKSAFHKMDVIAKCFSRPTVEQILSALEMEATTTDTNRADDPLEKEGCRQLMNPCPREYRITCHVLRGQISKDFREGCRAILWDKDKKPEWKPSSLELITDHMVDQCFSRLDGDEELKLPQRSNLPVFANAKL >ONI22004 pep chromosome:Prunus_persica_NCBIv2:G2:15743322:15744270:1 gene:PRUPE_2G101500 transcript:ONI22004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKLFRTWSSPFALRIVWALKLKGVPYETIYEDLSNKSPLLLQYNPIHKKVPVLVHNGKPIVESFVVLEYIDETWKENPLLPEDPLERAAARFWAKFGDDKVLPSIWESFTSDGKEQEEAIVKAKENLKYLEEELKGKKFFGGEKLGFVDIALGWLAQYESSVFEDVTGMKLLTEEEFPLLSAWKLTFADAPIIKDNWPSRDKLVAKFQAIREDHLLKKAPK >ONI22499 pep chromosome:Prunus_persica_NCBIv2:G2:19116432:19121059:1 gene:PRUPE_2G133300 transcript:ONI22499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAIRVHELGGPEVLKWEDVEIGEPKEGEVRVKNKAIGLNFIDVYFRKGVYKAATFPYTPGGEACGVVTAVGPGLTGRQVGDLVAYAGQPMGSYAEEQILPADRVVPVPPSIDPTVAASLLSKGMTAQFLLRSCFKVEPGHAVLVHAAAGGVGSLLCQWANALGATVIGTVSTKEKAAQAEEDGCHHVIINKEEDFVARVKEITSDNGVEVVYDSVGKDTFEGSLACLKTRGYMVSFGQSSGAPDPVPLSDIAVKSLFLTRPSLFNYAATRDELLGMAGEVFGNVQSGVLRVRVNHTYPLSQAAQAHEDLENRKTSGSVVLIP >ONI22500 pep chromosome:Prunus_persica_NCBIv2:G2:19117483:19121059:1 gene:PRUPE_2G133300 transcript:ONI22500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSENDFHCGEACGVVTAVGPGLTGRQVGDLVAYAGQPMGSYAEEQILPADRVVPVPPSIDPTVAASLLSKGMTAQFLLRSCFKVEPGHAVLVHAAAGGVGSLLCQWANALGATVIGTVSTKEKAAQAEEDGCHHVIINKEEDFVARVKEITSDNGVEVVYDSVGKDTFEGSLACLKTRGYMVSFGQSSGAPDPVPLSDIAVKSLFLTRPSLFNYAATRDELLGMAGEVFGNVQSGVLRVRVNHTYPLSQAAQAHEDLENRKTSGSVVLIP >ONI24689 pep chromosome:Prunus_persica_NCBIv2:G2:26781187:26783274:1 gene:PRUPE_2G255300 transcript:ONI24689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAFVHIVLPWSFVSISVFKASRMASLASAQASSRLDALSLTNTIVSLARNARTHRHNCGQLAEHVGMIGNLLEKIKSTDLMKLPATKEPLVGLEEALEKALQLVESCRDKSCLYMLAMGWSVVYQFRQVQAEINRHLSLLVLPMISLLHEFRLQNLKEGLQAIEEDQRMYTLEEEDMEAQNVVLKPDRTRTDAEILEKSLSPKYPNLTFSEALQEEKEKLNIELQRSRTINDGPDQCRVIEHLIDVAENVVSGVLPGKKVEKLLVNEPSYVVSGYITNAKSIYGDHGLRPENEGRFGWQADLFSCCSEPCLSLKSCVYPCGTFSWIANVVSEGKISREQATNNLIACSVFGACCCYTCFVRRKLRQLFNIEGSSCDDFFTHLMCCCCAMVQERRELELRNFEGCRGTNMIVPPSLQCMNA >ONI21482 pep chromosome:Prunus_persica_NCBIv2:G2:9892406:9895429:-1 gene:PRUPE_2G069300 transcript:ONI21482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKKDEEDVVSGTFTWVIDNFSKLKTDKHYSDVFTIGGFKWRILIRPKGSHDPESDVVDQLSMYLGVADASTLTPGLARYTHFSFTLVNQLDSSKSKIKRTQGFAKEFKEERREWGYKSFMPLCELYDCSAGYLVNDICIIEAKVEVFVNIGEQGSTVYATLESTKKERKGQESSSMISVQAADSSPAPMTPSFERKPPFLGTLSSEKVCNELTDSQCVGTDGLHDFRRQIPNCDCLLCQTTLEGKAHQRGESNHVENHTKELVLASETASRHNTKIAPCGIQSQTPAYARRWRRQTKEEG >ONI21484 pep chromosome:Prunus_persica_NCBIv2:G2:9893152:9895456:-1 gene:PRUPE_2G069300 transcript:ONI21484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKKDEEDVVSGTFTWVIDNFSKLKTDKHYSDVFTIGGFKWRILIRPKGSHDPESDVVDQLSMYLGVADASTLTPGLARYTHFSFTLVNQLDSSKSKIKRTQGFAKEFKEERREWGYKSFMPLCELYDCSAGYLVNDICIIEAKVEVFVNIGEQGSTVYATLESTKKERKGQESSSMISVQAADSSPAPMTPSFERKPPFLGTLSSEKVCNELTGELMEFMGLGKIEKAFVPLLEEVCSLHPSLIECLHKRNRKVSECAFTAFGELLHFLKTTRVKDMTEDACVRLQSLWEDVEMFRFDLAWLEPHVHSALDKKKFLERARRVKRLREDVDILDSEKKRRSAALAVTEADLEVAKRDLAKEEEGFVETDMDRELGYGMPSP >ONI21483 pep chromosome:Prunus_persica_NCBIv2:G2:9893152:9895302:-1 gene:PRUPE_2G069300 transcript:ONI21483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIWNCCHFRMKNKKDEEDVVSGTFTWVIDNFSKLKTDKHYSDVFTIGGFKWRILIRPKGSHDPESDVVDQLSMYLGVADASTLTPGLARYTHFSFTLVNQLDSSKSKIKRTQGFAKEFKEERREWGYKSFMPLCELYDCSAGYLVNDICIIEAKVEVFVNIGEQGSTVYATLESTKKERKGQESSSMISVQAADSSPAPMTPSFERKPPFLGTLSSEKVCNELTGELMEFMGLGKIEKAFVPLLEEVCSLHPSLIECLHKRNRKVSECAFTAFGELLHFLKTTRVKDMTEDACVRLQSLWEDVEMFRFDLAWLEPHVHSALDKKKFLERARRVKRLREDVDILDSEKKRRSAALAVTEADLEVAKRDLAKEEEGFVETDMDRELGYGMPSP >ONI21485 pep chromosome:Prunus_persica_NCBIv2:G2:9893579:9895173:-1 gene:PRUPE_2G069300 transcript:ONI21485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKKDEEDVVSGTFTWVIDNFSKLKTDKHYSDVFTIGGFKWRILIRPKGSHDPESDVVDQLSMYLGVADASTLTPGLARYTHFSFTLVNQLDSSKSKIKRTQGFAKEFKEERREWGYKSFMPLCELYDCSAGYLVNDICIIEAKVEVFVNIGEQGSTVYATLESTKKERKGQESSSMISVQAADSSPAPMTPSFERKPPFLGTLSSEKVCNELTGELMEFMGLGKIEKAFVPLLEEVCSLHPSLIECLHKRNRKVSECAFTAFGELLHFLKTTRVKDMTEDACVRLQSLWEDVEMFRFDLAWLEPHVHSALDKKKFLERARRVKRLREDVDILDSEKKRRSAALAVTEADLEVAKRDLAKEEEGFVETDMDRELGYGMPSP >ONI21486 pep chromosome:Prunus_persica_NCBIv2:G2:9892406:9895407:-1 gene:PRUPE_2G069300 transcript:ONI21486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKKDEEDVVSGTFTWVIDNFSKLKTDKHYSDVFTIGGFKWRILIRPKGSHDPESDVVDQLSMYLGVADASTLTPGLARYTHFSFTLVNQLDSSKSKIKRTQGFAKEFKEERREWGYKSFMPLCELYDCSAGYLVNDICIIEAKVEVFVNIGEQGSTVYATLESTKKERKGQESSSMISVQAADSSPAPMTPSFERKPPFLGTLSSEKVCNELTGELMEFMGLGKIEKAFVPLLEEVCSLHPSLIECLHKRNRKVSECAFTAFGELLHFLKTTRVKDMTEDACVRLQSLWEDVEMFRFDLAWLEPHVHSALDKKKFLERARRVKRLREDVDILDSEKKRRSAALAVTEADLEVAKRDLAKEEEGFVETDMDRELGYGMPSP >ONI21025 pep chromosome:Prunus_persica_NCBIv2:G2:5231033:5240137:1 gene:PRUPE_2G045900 transcript:ONI21025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSCGSDALLAILSHSRSEACNRVLLRDLANREFNAFLWFSLIAVTAFLLSRVVKLLCLWAKARSIPGPPCPSFYGHCKLISRENFTEVLSDLHKKYGSVVKLWLGPTKLLVSIKDPNLIKEMLLKAADKLPLTGRAFHLPFGRSSLFASPFEKVQKGREALFTELTGKFPERENVVCTKAVDCILERIQNFMAKGSVESKMVSQHMAFTMLGATLFGDEFLAWSKATVYEELFMMIAKDACLWTSYNVTPFWKHGFWKYQSLCTKLKCLTQDIIQQCKKNYMLFGHMDHNLRDETEILGKEVASDGPSCSEVVIVDALFFQELNGHLNATEEEPCGNLMRIMFHGCLTTARLINNILVSLGMHPQIQDKIYSEITMARNGSIKKDQLNVDKMLLLLATIYESARLVPAGSLLQRCSLTHGAAENIVNPVECSFSLNDPNANTAFLPFGYGLHACIGEKFVLNGVATLFASLLKHYEIKLQGAAEDNPKSNNCVFEHPSSSQIAFVKRNS >ONI21024 pep chromosome:Prunus_persica_NCBIv2:G2:5231033:5240137:1 gene:PRUPE_2G045900 transcript:ONI21024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSCGSDALLAILSHSRSEACNRVLLRDLANREFNAFLWFSLIAVTAFLLSRVVKLLCLWAKARSIPGPPCPSFYGHCKLISRENFTEVLSDLHKKYGSVVKLWLGPTKLLVSIKDPNLIKEMLLKAADKLPLTGRAFHLPFGRSSLFASPFEKVQKGREALFTELTGKFPERENVVCTKAVDCILERIQNFMAKGSVESKMVSQHMAFTMLGATLFGDEFLAWSKATVYEELFMMIAKDACLWTSYNVTPFWKHGFWKYQSLCTKLKCLTQDIIQQCKKNYMLFGHMDHNLRDETEILGKEVASDGPSCSEVVIVDALFFQELNGHLNATEEEPCGNLMRIMFHGCLTTARLINNILVSLGMHPQIQDKIYSEITMARNGSIKKDQLNVDKMLLLLATIYESARLVPAGSLLQRCSLTHDLNLKSGVTIPAGAGLVVPVQLVQMDDCSWGNDANEFNPYRFLSKPGKGSDIMLNKSVSGAAENIVNPVECSFSLNDPNANTAFLPFGYGLHACIGEKFVLNGVATLFASLLKHYEIKLQGAAEDNPKSNNCVFEHPSSSQIAFVKRNS >ONI20421 pep chromosome:Prunus_persica_NCBIv2:G2:1294844:1295964:1 gene:PRUPE_2G014600 transcript:ONI20421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQPTEQRHAKKPSRVMIKGQDVHASANRTKKVCILFLLLLVGLSFISTFFLVFVLFYALVLCPCLVLSSQKNWFIRKIAISNFTGGSETFK >ONI21736 pep chromosome:Prunus_persica_NCBIv2:G2:13386472:13394613:-1 gene:PRUPE_2G084800 transcript:ONI21736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYWFRASSSDFAGTLPQPRSGHTAVIIGGSKVVVFGGLIEKKFLSDIVVYDIDNKLWFQPECTGGSDGQVGPSPRAFHVAVVIDCHMFIFGGRSGGKRLGDFWVLDTDIWQWSELTSFGDLPSARDFAAASAIGNRKIVMYGGWDGKKWLSDVYVMDTISLEWMELSVTGSLPPARCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIEEENETPGWTQLKLPGQAPSPRCGHTITSGGHYLLLFGGHGTGGWLSRYDIYYNDCIVLDRVSAQWKRLPTGNEPPPARAYHSLTCMGSRYLLFGGFDGKSTFGDLWWLVPEEDSIAKRLLATSPTNLPQNKDVVMGNNNVQSEGKESQMEESVVSELQKRLGISVSLLGNGVPIVDELEDREFVQLASSLVGERVSSNQQVSDIQALRDHWRKSTPRHIPLKELGPLLRDYQRLITRHLQANGGSHMQFIESSFPGKVAYGFYHIRNVNQLRMDDIPKLLAEYKQLLPN >ONI21737 pep chromosome:Prunus_persica_NCBIv2:G2:13386031:13394852:-1 gene:PRUPE_2G084800 transcript:ONI21737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPECTGGSDGQVGPSPRAFHVAVVIDCHMFIFGGRSGGKRLGDFWVLDTDIWQWSELTSFGDLPSARDFAAASAIGNRKIVMYGGWDGKKWLSDVYVMDTISLEWMELSVTGSLPPARCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIEEENETPGWTQLKLPGQAPSPRCGHTITSGGHYLLLFGGHGTGGWLSRYDIYYNDCIVLDRVSAQWKRLPTGNEPPPARAYHSLTCMGSRYLLFGGFDGKSTFGDLWWLVPEEDSIAKRLLATSPTNLPQNKDVVMGNNNVQSEGKESQMEESVVSELQKRLGISVSLLGNGVPIVDELEDREFVQLASSLVGERVSSNQQVSDIQALRDHWRKSTPRHIPLKELGPLLRDYQRLITRHLQANGGSHMQFIESSFPGKVAYGFYHIRNVNQLRMDDIPKLLAEYKQLLPN >ONI23147 pep chromosome:Prunus_persica_NCBIv2:G2:21888476:21891228:-1 gene:PRUPE_2G172100 transcript:ONI23147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNEEVEESTARGNGWEVVSLTESTYAASPGPQGVELNNDGKINTFGEQAETSHALFMSGHFVFPPSQHENLPVETDSSKIHNEHVDKDAVTEAEIGIEGGRSSGKEEETLTLKGLNVPDEFTGMPFSKEKDNMLSIGGTDFEEGATLEGSMADKEQTIYDAAKYSLDGETALGGSTPYGESTALPGRIEPSEQGLDSSEDISQSPRPPHDDKFDVSGLPCGAWWKRRAASLYCHAKEANAYWSIFVAAAVMGLVLLGQRWQNERWQALQQKWQLSVNDQKTGRMFGPISRLKDVIVGSQSRGSFIRVSSSSDS >ONI23627 pep chromosome:Prunus_persica_NCBIv2:G2:23659547:23661350:-1 gene:PRUPE_2G199400 transcript:ONI23627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLERKSHPKINHAEPEDEITKEEEDESFCYAIQLVGSSVLSMSLQSAIELGVFDIIAREGPGAKLSSSEIAAKIGTKNPEAPMMVDRILRLLTSHSVLNCSAVAANGGSDFQRVYSLGPVSKYFVNDEEGGSLGPVLTLIQDKVFMESWSQLKDAVVEGGIPFNRVHGTHAFEYPGLDPRFNQAFNTAMFNLTTIVIKKLLRIYKGLEDKNLTQLVDVGGGLGVTLNLITSRYQHIKGINFDLPHVVNHAPSYPGVEHVGGDMFASVPSGDAIFMKWILHDWSDEHCLKLLKNCYKAIPENGKVIVVEGLLPAMPDTSTAVKSTSQLDVMMLTQNPGGKERSEQEFMALATGAGFSGIRYECFVCNFWVMEFFK >ONI23626 pep chromosome:Prunus_persica_NCBIv2:G2:23659396:23661503:-1 gene:PRUPE_2G199400 transcript:ONI23626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLERKSHPKINHAEPEDEITKEEEDESFCYAIQLVGSSVLSMSLQSAIELGVFDIIAREGPGAKLSSSEIAAKIGTKNPEAPMMVDRILRLLTSHSVLNCSAVAANGGSDFQRVYSLGPVSKYFVNDEEGGSLGPVLTLIQDKVFMESWSQLKDAVVEGGIPFNRVHGTHAFEYPGLDPRFNQAFNTAMFNLTTIVIKKLLRIYKGLEDKNLTQLVDVGGGLGVTLNLITSRYQHIKGVEHVGGDMFASVPSGDAIFMKWILHDWSDEHCLKLLKNCYKAIPENGKVIVVEGLLPAMPDTSTAVKSTSQLDVMMLTQNPGGKERSEQEFMALATGAGFSGIRYECFVCNFWVMEFFK >ONI21920 pep chromosome:Prunus_persica_NCBIv2:G2:15435396:15439474:-1 gene:PRUPE_2G098700 transcript:ONI21920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESSSSSSSSPIKTVVVLVQENRSFDHMLGWMKSLNPEINGVTGSESNLISTSDPNSTQVFFGDSAAYVDPDPGHSIQAIYEQVFGEPWTEASASKTLPPKMNGFAQNAEKTQTGLAETVMNGFKPENVAVSAELVREFAVCDRWFASIPSSTQPNRQFVHSATSHGLTSNDTQKLVEGLPQKTIFQSLEEEDLSFGIYFQSFPSTLLYRSLRKLKYIDNFHAFDLQFKKHCEEGKLPNYVVVEQRFFDVLSFPANDDHPSHDVSEGQKFIKEVYEALRASPQWNEMLFVIIYDEHGGFYDHVPTPVTDVPSPDDIVGPEPYNFKFDRLGVRVPAILISPWIERETVLHGPSGPYPSSEFEHSSIPATVKKIFNLKEFLTKRDAWAGTFEGVLSRTSPRTDCPVTLGEPTKLRETASKEGAHLSDFQEELVQLAAALNGDHRKDIYPNKLVENISVVEALKYVEAAFEKFSDACEKARESGADESEIVVCETSPSPPYHKSFAQRIFSCLVCDN >ONI22916 pep chromosome:Prunus_persica_NCBIv2:G2:21155462:21159687:-1 gene:PRUPE_2G158900 transcript:ONI22916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKPSDTPIPDGWKFKSEVRKDGSTSSCYWCPATGQHFFTYEDLMRYVNYAKEAKLSIYAPDFNSNKTRKKAGFIPRRTRPSSGARRKLLGQSSRPLPLDQGEDSSSLEGSTDPVESTDTGTNIEARLHEALEDPIRQEAFNE >ONI22915 pep chromosome:Prunus_persica_NCBIv2:G2:21155371:21160603:-1 gene:PRUPE_2G158900 transcript:ONI22915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKPSDTPIPDGWKFKSEVRKDGSTSSCYWCPATGQHFFTYEDLMRYVNYAKEAKLSIYAPDFNSNKTRKKAGFIPRRTRPSSGARRKLLGQSSRPLPLDQGEDSSSLEGSTDPVESTDTGTNIEARLHEALEDPIRQEAFNE >ONI25175 pep chromosome:Prunus_persica_NCBIv2:G2:28248324:28249814:1 gene:PRUPE_2G286100 transcript:ONI25175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNFYHPNDQSKDLEFQISSNDPHQEDMMQIEDLVPGHEVLPEESDPSHNNKKMGKGKQQQQHMILFAHPDNNEANPSDVKVERKIVRRDNERQRRQLMAVLNASLRSLLPHEFIKGKRSISEHMNEAVNYITHMKRKIQELNAKKEKLRRLYEYDSSAQEFGLENETLGLDLVNSVTNIMVGPTCLGGVEVVISSSCSEDEGLPLSRVLKLLLAEGLCVVECASTRVNERVFHTIQCEVDDLECVLDLSELQLKLNLQI >ONI24755 pep chromosome:Prunus_persica_NCBIv2:G2:27025092:27028619:1 gene:PRUPE_2G260700 transcript:ONI24755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKASYTLDGTVDLRGRPVLASKTGKWKACAFLVGYEAFERMAFYGIASNLVNYLTTQLHEDTVSSVRNVNNWSGSVWLTPVLGAYIADSYLGRFWTFTISSLIYVMGMMLLTMAVSLKSFKPSCSNGICNKASSSQIAFFYISLYIIAIGSGGTKPNISTFGADQFDDFDPQEKKLKASFFNWWMFSSFLGALVATLGLVYIQENLGWGLGYGIPTVGLILSLFIFYFGTPMYRHKVSKTKSPARDLCQIPIAAYKNRKAQLPSNPSELHEFEPQHYINSGKRQMYHTPIFRFLDKAAIKDGNNMDASMRPPCTVTQVEGTKLVIGMVMIWLVTLIPSTIWAQINTLFVKQGTTLDRSLGQQFHIPAASLGSFVTLSMLLSVPMYDRYFVPLVRARTKNPRGITLLQRLGIGFVVQVIAIAIAYAVEVRRMRVIRVHHLNGPKEIVPMSIFWLLPQYVLLGIADVFNAIGLLEFFYDQSPEEMQSLGTTFFTSGIGVGNFLNSFLVTMVDKITGRNGGKSWIGNNLNDCHLDYYYGFLLVISAINLGAFLWASCKYVYKRESVEVKEGCVDLVEGKAIATSPLGLQV >ONI24756 pep chromosome:Prunus_persica_NCBIv2:G2:27025141:27028446:1 gene:PRUPE_2G260700 transcript:ONI24756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYGIASNLVNYLTTQLHEDTVSSVRNVNNWSGSVWLTPVLGAYIADSYLGRFWTFTISSLIYVMGMMLLTMAVSLKSFKPSCSNGICNKASSSQIAFFYISLYIIAIGSGGTKPNISTFGADQFDDFDPQEKKLKASFFNWWMFSSFLGALVATLGLVYIQENLGWGLGYGIPTVGLILSLFIFYFGTPMYRHKVSKTKSPARDLCQIPIAAYKNRKAQLPSNPSELHEFEPQHYINSGKRQMYHTPIFRFLDKAAIKDGNNMDASMRPPCTVTQVEGTKLVIGMVMIWLVTLIPSTIWAQINTLFVKQGTTLDRSLGQQFHIPAASLGSFVTLSMLLSVPMYDRYFVPLVRARTKNPRGITLLQRLGIGFVVQVIAIAIAYAVEVRRMRVIRVHHLNGPKEIVPMSIFWLLPQYVLLGIADVFNAIGLLEFFYDQSPEEMQSLGTTFFTSGIGVGNFLNSFLVTMVDKITGRNGGKSWIGNNLNDCHLDYYYGFLLVISAINLGAFLWASCKYVYKRESVEVKEGCVDLVEGKAIATSPLGLQV >ONI20433 pep chromosome:Prunus_persica_NCBIv2:G2:1350483:1357561:-1 gene:PRUPE_2G015300 transcript:ONI20433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLATSSYSYSSVTPIHSVFLHKNRRKLSFNIFQGTSSSTTASNSTSTSSSTQTHQLLSTPAAMVSSLRTHRHAHYPPPWFSVAPMMEWTDNHYRTLARLISKNAWLYTEMLAAETIVYQKDNLDRFLEYSPEQHPIVLQIGGNNLENLAKATELAEPYKYDEINFNCGCPSPRVAGHGCFGARLMLDPKFVAEAMSVIAAHTDAPVSVKCRIGVDDHDSYNELCDFIYKVSSQSPTRHFIIHSRKALLNGISPAENRSIPPLKYEYFYGLLRDFPDLRFTINGGINTVDEVNAARRAGAHGVMVGRAAFQKPWHTLGHVDTAIYGAPSSGVTRRQILEKFQVYGDSAVGKYGRKPTVRDVARPLLGLFHSEPGNGVWKRKADAAFLHCTTMKSFFEETLVAIPDHVLDSPIGEPSSGGEDPFANIHTLLPPAYESREQELLYA >ONI20434 pep chromosome:Prunus_persica_NCBIv2:G2:1350483:1357595:-1 gene:PRUPE_2G015300 transcript:ONI20434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLRTHRHAHYPPPWFSVAPMMEWTDNHYRTLARLISKNAWLYTEMLAAETIVYQKDNLDRFLEYSPEQHPIVLQIGGNNLENLAKATELAEPYKYDEINFNCGCPSPRVAGHGCFGARLMLDPKFVAEAMSVIAAHTDAPVSVKCRIGVDDHDSYNELCDFIYKVSSQSPTRHFIIHSRKALLNGISPAENRSIPPLKYEYFYGLLRDFPDLRFTINGGINTVDEVNAARRAGAHGVMVGRAAFQKPWHTLGHVDTAIYGAPSSGVTRRQILEKFQVYGDSAVGKYGRKPTVRDVARPLLGLFHSEPGNGVWKRKADAAFLHCTTMKSFFEETLVAIPDHVLDSPIGEPSSGGEDPFANIHTLLPPAYESREQELLYA >ONI20593 pep chromosome:Prunus_persica_NCBIv2:G2:2322665:2327183:1 gene:PRUPE_2G023700 transcript:ONI20593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELGPFRIHSDGKTLYRNRFSWNYAANVLFLESPAGVGFSYSNKTSDYDASGDRITAADNYVFLVNWLERFPEYKDREFYISGESYAGHYVPQLAHTILYHNKRANKTIINLKGIMIGNAVINDETDSRGMYDYLASHAVISDQTANKINKYCDFSPNATTQPKECTDATDAAAKDTYYIDIYNIYASSCVSSNVTAKPKKASIFEFDPCSEYYAYAYLNRPDVQQALHANVTKLTHDWEPCSDVITNWSDGASTVLPLLKEFLANDVRVWIFSGDIDARVPVTSTKYSIEKLNLPIKTEWHAWFLGGEVGGYTQVYGELTFATVRGAGHQVPSYQPARGLSLIKHFLDGTPLPDTTRYT >ONI20592 pep chromosome:Prunus_persica_NCBIv2:G2:2322605:2327183:1 gene:PRUPE_2G023700 transcript:ONI20592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKMQNKSCLLLFLVLILSCFIAQILGNKQSQALAQLYKSKLKEGAGIDTSQFKAILHDNGAKIHPQEGLKEKDRIDSLPGQPHVNFSQYGGYVTVDKEAGRALFYYFVEAEKAKDSSRLLLWLNGGPGCSSLGYGAMLELGPFRIHSDGKTLYRNRFSWNYAANVLFLESPAGVGFSYSNKTSDYDASGDRITAADNYVFLVNWLERFPEYKDREFYISGESYAGHYVPQLAHTILYHNKRANKTIINLKGIMIGNAVINDETDSRGMYDYLASHAVISDQTANKINKYCDFSPNATTQPKECTDATDAAAKDTYYIDIYNIYASSCVSSNVTAKPKKASIFEFDPCSEYYAYAYLNRPDVQQALHANVTKLTHDWEPCSDVITNWSDGASTVLPLLKEFLANDVRVWIFSGDIDARVPVTSTKYSIEKLNLPIKTEWHAWFLGGEVGGYTQVYGELTFATVRGAGHQVPSYQPARGLSLIKHFLDGTPLPDTTRYT >ONI25235 pep chromosome:Prunus_persica_NCBIv2:G2:28458522:28460205:-1 gene:PRUPE_2G290600 transcript:ONI25235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGFSFFLGETSTGLLGSSSLPAKPGLGDLPESCISSIFMYLDPPEICKLAQMNRAFRAASLADFVWESKLPSNFKFLVDKVLDHENLPKKEIYARLCQPNCFDGGTKEVWLDKSCGQVFLSISSRALRITGIDDRRYWSHIPTEESRFHTVAYLQQIWWVEALGELEFEFPRGSYSLYFRLQLGKTCPKRFGRRVCNADQVHGWHIKPVRFQLSTSDGQHALSECYLHQQGSWVHYHVGDFVVIDNHKPMKIKFSMVQIDCTHTKGGLSLDSVLIYPSKFREKLI >ONI24367 pep chromosome:Prunus_persica_NCBIv2:G2:25797628:25800236:1 gene:PRUPE_2G236400 transcript:ONI24367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPQYYSPPRRSYGGGGGGGGRGRSPPRRRKEQNSGSLLVRNIPLDCRPEELRVPFERYGLVRDVYIPKDYYTGEPRGFAFVQFVDSYEAAEAQYHMNGKIFAGREISVVVAAETRKRPEEMRQRTRVRGPSEHGGRRSSYYGTDVLVLVHVRHIIHQVLEADTAQGPIHLLQDAVVTLFPQVEGAETTQDHHVIFH >ONI24364 pep chromosome:Prunus_persica_NCBIv2:G2:25797221:25800290:1 gene:PRUPE_2G236400 transcript:ONI24364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPQYYSPPRRSYGGGGGGGGRGRSPPRRRKEQNSGSLLVRNIPLDCRPEELRVPFERYGLVRDVYIPKDYYTGEPRGFAFVQFVDSYEAAEAQYHMNGKIFAGREISVVVAAETRKRPEEMRQRTRVRGPSEHGGRRSSYYGRSRSRSRSPHYPSSSRSRYRSRSYSPAPRRRGDSVSPSRRRRDHPRSPRDLPLERDADHDRRPYSPGYDNVAGPNENDGYEKKPMHEDKDGRGHWRSPSPGRASRSPSGSRSRSAELSPRRSR >ONI24366 pep chromosome:Prunus_persica_NCBIv2:G2:25797642:25799686:1 gene:PRUPE_2G236400 transcript:ONI24366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPQYYSPPRRSYGGGGGGGGRGRSPPRRRKEQNSGSLLVRNIPLDCRPEELRVPFERYGLVRDVYIPKDYYTGEPRGFAFVQFVDSYEAAEAQYHMNGKIFAGREISVVVAAETRKRPEEMRQRTRVRGPSEHGGRRSSYYGRSRSRSRSPHYPSSSRSRYRSRSYSPAPRRRGDSVSPSRRRRDHPRSPRDLPLERDADHDRRPYSPGYDNVAGPNENDGYEK >ONI24365 pep chromosome:Prunus_persica_NCBIv2:G2:25797132:25800354:1 gene:PRUPE_2G236400 transcript:ONI24365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPQYYSPPRRSYGGGGGGGGRGRSPPRRRKEQNSGSLLVRNIPLDCRPEELRVPFERYGLVRDVYIPKDYYTGEPRGFAFVQFVDSYEAAEAQYHMNGKIFAGREISVVVAAETRKRPEEMRQRTRVRGPSEHGGRRSSYYGRSRSRSRSPHYPSSSRSRYRSRSYSPAPRRRGDSVSPSRRRRDHPRSPRDLPLERDADHDRRPYSPGYDNVAGPNENDGYEKKPMHEDKDGRGHWRSPSPGRASRSPSGSRSRSAELSPRRSR >ONI25197 pep chromosome:Prunus_persica_NCBIv2:G2:28330283:28331816:-1 gene:PRUPE_2G287900 transcript:ONI25197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYAPKTILFHTLICLLLTMASQSKASLNSNYYHQTCPQAEKIILQTVYNAFMHDPKIPARILRMFFHDCFIRGCDASLLLDSTPGNQAEKDGPPNISVRSFYVIDDAKAKLEMACPRTVSCADIIGIAARDVVTMSGGPYWNVLKGRKDGRVSRANETINLPAPTFNVSQLIQSFARRGLGVKELVALSGGHTLGFSHCSSFESRLRNFTSLHDVDPSMNNEFAQKLRKKCPKPNRDRTAGELLDSTSSTFDNDYYKQVVAGKGVFGSDQALFSDYRTRWIVESFAEDQSLFFKEFAASMVKLGNAGVIEDGEVRLNCRVVN >ONI23289 pep chromosome:Prunus_persica_NCBIv2:G2:22363207:22363779:1 gene:PRUPE_2G180200 transcript:ONI23289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADIRYQWQSIVLHERDSFIYVTFNILLINLAQQVPAPASTSTQAILAFIVPILLTFIQIKFQPLTSSPFETHHAATMIAIASLLAYSLAVGARLRFPTHSPTYSRFAVRFSGLLSVASLLSLLFSDSWHHVPYMVFFMYLMSECFAWVGMIGRLAYQQVVHRFLCVLFYLFSHHSRVIRTRQLPLTFV >ONI21512 pep chromosome:Prunus_persica_NCBIv2:G2:10808698:10819383:-1 gene:PRUPE_2G071200 transcript:ONI21512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKIAKALDSGRRVKLDLKRKRATRYAANFPGATHRALPQRPNLNKLGKRRKLYGSKSKLMSCGPRFRRSLLASYSNFARSSVPQRLMFYQNGEWTDFPRDLVDLVRKDLQVKKAAMNIKSQGEHYLLDFLHMFRLDLKTGLQQPIAWIDETGSCFFPEIYAEEDDEPSWNCLPEGGKDLEPWVEDHCGHEIKLHLEIEINGVGQSGLNECSGESNGFVKKIQIDHIPANNCAGMEVENSCNRKHGLKIEEDVEGNEEMKKNLTRATDTENGKLDCDTVQNIFLKGVSTFDGVEVLDIYQCSSNLMQARLELFQKQVEITKAFRGDANVRYAWLASSKGELSTLMMYGLGHCGLATNKSIYGTGVHLTAASFSNTCASYCDVDENGARHMLFCRVIVGNMELLPPGSKQFHPGSKDFDSGVDDLQDPKHYIVWNMNMNTHIYPEFVVSFKVSSKSEGHLVGTENKLGVSGVATSCHGPQGLMQLESSAVDTGSENQPISDSGKSHGSNGQMVSKSGRYQGETTATGSTSERTPKSPWMPFPMLFAAIENEVPRKDMEQINIHYDLFRAKKIIRDEFVKKLRLIVGDTLLRSTITELQCKMPYKSQE >ONI21513 pep chromosome:Prunus_persica_NCBIv2:G2:10810426:10815169:-1 gene:PRUPE_2G071200 transcript:ONI21513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKIAKALDSGRRVKLDLKRKRATRYAANFPGATHRALPQRPNLNKLGKRRKLYGSKSKLMSCGPRFRRSLLASYSNFARSSVPQRLMFYQNGEWTDFPRDLVDLVRKDLQVKKAAMNIKSQGEHYLLDFLHMFRLDLKTGLQQPIAWIDETGSCFFPEIYAEEDDEPSWNCLPEGGKDLEPWVEDHCGHEIKLHLEIEINGVGQSGLNECSGESNGFVKKIQIDHIPANNCAGMEVENSCNRKHGLKIEEDVEGNEEMKKNLTRATDTENGKLDCDTVQNIFLKGVSTFDGVEVLDIYQCSSNLMQARLELFQKQVEITKAFRGDANVRYAWLASSKGELSTLMMYGLGHCGLATNKSIYGTGVHLTAASFSNTCASYCDVDENGARHMLFCRVIVGNMELLPPGSKQFHPGSKDFDSGVDDLQDPKHYIVWNMNMNTHIYPEFVVSFKVSSKSEGHLVGTENKLGVSGVATSCHGPQGLMQLESSAVDTGSENQPISDSGKSHGSNGQMVSKSGRYQGETTATGSTSERTPKSPWMPFPMLFAAIENEVPRKDMEQINIHYDLFRAKKIIRDEFVKKLRLIVGDTLLRSTITELQCKMPYKSQE >ONI21514 pep chromosome:Prunus_persica_NCBIv2:G2:10810426:10815169:-1 gene:PRUPE_2G071200 transcript:ONI21514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKIAKALDSGRRVKLDLKRKRATRYAANFPGATHRALPQRPNLNKLGKRRKLYGSKSKLMSCGPRFRRSLLASYSNFARSSVPQRLMFYQNGEWTDFPRDLVDLVRKDLQVKKAAMNIKSQGEHYLLDFLHMFRLDLKTGLQQPIAWIDETGSCFFPEIYAEEDDEPSWNCLPEGGKDLEPWVEDHCGHEIKLHLEIEINGVGQSGLNECSGESNGFVKKIQIDHIPANNCAGMEVENSCNRKHGLKIEEDVEGNEEMKKNLTRATDTENGKLDCDTVQNIFLKGVSTFDGVEVLDIYQCSSNLMQARLELFQKQVEITKAFRGDANVRYAWLASSKGELSTLMMYGLGHCGLATNKSIYGTGVHLTAASFSNTCASYCDVDENGARHMLFCRVIVGNMELLPPGSKQFHPGSKDFDSGVDDLQDPKHYIVWNMNMNTHIYPEFVVSFKVSSKSEGHLVGTENKLGVSGVATSCHGPQGLMQLESSAVDTGSENQPISDSGKSHGSNGQMVSKSGRYQGETTATGSTSERTPKSPWMPFPMLFAAIENEVPRKDMEQINIHYDLFRAKKIIRDEFVKKLRLIVGDTLLRSTITELQCKMPYKSQE >ONI21517 pep chromosome:Prunus_persica_NCBIv2:G2:10810426:10815169:-1 gene:PRUPE_2G071200 transcript:ONI21517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKIAKALDSGRRVKLDLKRKRATRYAANFPGATHRALPQRPNLNKLGKRRKLYGSKSKLMSCGPRFRRSLLASYSNFARSSVPQRLMFYQNGEWTDFPRDLVDLVRKDLQVKKAAMNIKSQGEHYLLDFLHMFRLDLKTGLQQPIAWIDETGSCFFPEIYAEEDDEPSWNCLPEGGKDLEPWVEDHCGHEIKLHLEIEINGVGQSGLNECSGESNGFVKKIQIDHIPANNCAGMEVENSCNRKHGLKIEEDVEGNEEMKKNLTRATDTENGKLDCDTVQNIFLKGVSTFDGVEVLDIYQCSSNLMQARLELFQKQVEITKAFRGDANVRYAWLASSKGELSTLMMYGLGHCGLATNKSIYGTGVHLTAASFSNTCASYCDVDENGARHMLFCRVIVGNMELLPPGSKQFHPGSKDFDSGVDDLQDPKHYIVWNMNMNTHIYPEFVVSFKVSSKSEGHLVGTENKLGVSGVATSCHGPQGLMQLESSAVDTGSENQPISDSGKSHGSNGQMVSKSGRYQGETTATGSTSERTPKSPWMPFPMLFAAIENEVPRKDMEQINIHYDLFRAKKIIRDEFVKKLRLIVGDTLLRSTITELQCKMPYKSQE >ONI21518 pep chromosome:Prunus_persica_NCBIv2:G2:10809910:10819399:-1 gene:PRUPE_2G071200 transcript:ONI21518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKIAKALDSGRRVKLDLKRKRATRYAANFPGATHRALPQRPNLNKLGKRRKLYGSKSKLMSCGPRFRRSLLASYSNFARSSVPQRLMFYQNGEWTDFPRDLVDLVRKDLQVKKAAMNIKSQGEHYLLDFLHMFRLDLKTGLQQPIAWIDETGSCFFPEIYAEEDDEPSWNCLPEGGKDLEPWVEDHCGHEIKLHLEIEINGVGQSGLNECSGESNGFVKKIQIDHIPANNCAGMEVENSCNRKHGLKIEEDVEGNEEMKKNLTRATDTENGKLDCDTVQNIFLKGVSTFDGVEVLDIYQCSSNLMQARLELFQKQVEITKAFRGDANVRYAWLASSKGELSTLMMYGLGHCGLATNKSIYGTGVHLTAASFSNTCASYCDVDENGARHMLFCRVIVGNMELLPPGSKQFHPGSKDFDSGVDDLQDPKHYIVWNMNMNTHIYPEFVVSFKVSSKSEGHLVGTENKLGVSGVATSCHGPQGLMQLESSAVDTGSENQPISDSGKSHGSNGQMVSKSGRYQGETTATGSTSERTPKSPWMPFPMLFAAIENEVPRKDMEQINIHYDLFRAKKIIRDEFVKKLRLIVGDTLLRSTITELQCKMPYKSQE >ONI21516 pep chromosome:Prunus_persica_NCBIv2:G2:10808698:10819377:-1 gene:PRUPE_2G071200 transcript:ONI21516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKIAKALDSGRRVKLDLKRKRATRYAANFPGATHRALPQRPNLNKLGKRRKLYGSKSKLMSCGPRFRRSLLASYSNFARSSVPQRLMFYQNGEWTDFPRDLVDLVRKDLQVKKAAMNIKSQGEHYLLDFLHMFRLDLKTGLQQPIAWIDETGSCFFPEIYAEEDDEPSWNCLPEGGKDLEPWVEDHCGHEIKLHLEIEINGVGQSGLNECSGESNGFVKKIQIDHIPANNCAGMEVENSCNRKHGLKIEEDVEGNEEMKKNLTRATDTENGKLDCDTVQNIFLKGVSTFDGVEVLDIYQCSSNLMQARLELFQKQVEITKAFRGDANVRYAWLASSKGELSTLMMYGLGHCGLATNKSIYGTGVHLTAASFSNTCASYCDVDENGARHMLFCRVIVGNMELLPPGSKQFHPGSKDFDSGVDDLQDPKHYIVWNMNMNTHIYPEFVVSFKVSSKSEGHLVGTENKLGVSGVATSCHGPQGLMQLESSAVDTGSENQPISDSGKSHGSNGQMVSKSGRYQGETTATGSTSERTPKSPWMPFPMLFAAIENEVPRKDMEQINIHYDLFRAKKIIRDEFVKKLRLIVGDTLLRSTITELQCKMPYKSQE >ONI21515 pep chromosome:Prunus_persica_NCBIv2:G2:10809910:10819416:-1 gene:PRUPE_2G071200 transcript:ONI21515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKIAKALDSGRRVKLDLKRKRATRYAANFPGATHRALPQRPNLNKLGKRRKLYGSKSKLMSCGPRFRRSLLASYSNFARSSVPQRLMFYQNGEWTDFPRDLVDLVRKDLQVKKAAMNIKSQGEHYLLDFLHMFRLDLKTGLQQPIAWIDETGSCFFPEIYAEEDDEPSWNCLPEGGKDLEPWVEDHCGHEIKLHLEIEINGVGQSGLNECSGESNGFVKKIQIDHIPANNCAGMEVENSCNRKHGLKIEEDVEGNEEMKKNLTRATDTENGKLDCDTVQNIFLKGVSTFDGVEVLDIYQCSSNLMQARLELFQKQVEITKAFRGDANVRYAWLASSKGELSTLMMYGLGHCGLATNKSIYGTGVHLTAASFSNTCASYCDVDENGARHMLFCRVIVGNMELLPPGSKQFHPGSKDFDSGVDDLQDPKHYIVWNMNMNTHIYPEFVVSFKVSSKSEGHLVGTENKLGVSGVATSCHGPQGLMQLESSAVDTGSENQPISDSGKSHGSNGQMVSKSGRYQGETTATGSTSERTPKSPWMPFPMLFAAIENEVPRKDMEQINIHYDLFRAKKIIRDEFVKKLRLIVGDTLLRSTITELQCKMPYKSQE >ONI23742 pep chromosome:Prunus_persica_NCBIv2:G2:24006104:24008576:1 gene:PRUPE_2G205500 transcript:ONI23742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGYHRCLIACAMLVAAALFAQANAIHIYLEWNVTLDSTIKPVSQDQPVIAINGLFPGPLINTTTNDFVHVNVFNNMDEPLLFTWNGIQQRLNSWQDGVSGTNCPIQPGTNWTYVFQTKDQIGSFFYFPSINFHKAAGGFGPIRVINRDVIAVPFPKPEAEFDLLIGDWFYDSYKSTRATMRTHLGAYYTIPDITLMNGKGPLGNPMSKAYESFNVTQGKTYRLRISNVGNALSFNFRIQNHQMVLVETEGSYTDQITLDSLDVHVGQSYSVLVTANQNDADYYMVASPKLINASDFTSLVGIGVLHYSNSISQVSGPLPVGPDPFDLHFSVNQAKSIRWNLTAGAARPNPQGTFNVSNVTLSQTFILQSSTADLYDQIPRYVVNNVTYSTPETPLKLADYYVNGTGVYQLDAFPVQSVNADASCGVSVVTGIHKGWIEIVLKNNLNEMDSWHLDGFGFYVVGFGIGDWTVKSRDTYNLFDPVVRSTVQVYPGGWSAVYAFLDNPGMWNLRSQLLKHWYLGQELYVRVHDPDPNPAKERPPPENLLLCGIFSDSPPPAPPPPPPPPPPPAPALALAPPSPWN >ONI23733 pep chromosome:Prunus_persica_NCBIv2:G2:23984542:23986003:1 gene:PRUPE_2G204700 transcript:ONI23733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSFILLMPLQLLLTCYIHTHTHTQMDCGFRFRPTEEELVNYYLRKKKQDKDFKVDHIIPEIDICKYEPWELPGLFTEPESPYQDMFFFSPRDYKYINNRARTNRVTKRGFWKTTGKERVIKGARGSNGRKKTLIFYEGRVTQCNRTNWVMHEYYLCEDEAIPNPKLAQQRDFVLCRLSKKADKHETSICAVAQPAYAEWVGNSEECSQPEELELVFPAHQLQDNISGEMEFEISSKK >ONI23732 pep chromosome:Prunus_persica_NCBIv2:G2:23984542:23986033:1 gene:PRUPE_2G204700 transcript:ONI23732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKLVWAMDCGFRFRPTEEELVNYYLRKKKQDKDFKVDHIIPEIDICKYEPWELPGLFTEPESPYQDMFFFSPRDYKYINNRARTNRVTKRGFWKTTGKERVIKGARGSNGRKKTLIFYEGRVTQCNRTNWVMHEYYLCEDEAIPNPKLAQQRDFVLCRLSKKADKHETSICAVAQPAYAEWVGNSEECSQPEELELVFPAHQLQDNISGEMEFEISSKK >ONI23902 pep chromosome:Prunus_persica_NCBIv2:G2:24602802:24607006:-1 gene:PRUPE_2G215200 transcript:ONI23902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSKERSLEQTPTWAVAVVCFVLLAVSIFIERIIHLIGKWLTSKHKRALVEALEKIKSELMLLGFLSLLLTVLQGPISDICIPKSIGASWHPCSKEAESKSENKGRKLLDFSDPDFSYRRRLAVKGYDYCSEQGKVAFVSAYGIHQLHIFIFVLAVFHVLYCILTLTLGRYKMRKWKVWEKETKSIEHQYHNDPERFRFARDTSFGQRHLKFRSPLTLWIVSFFRQLFQSVTRVDYLTLRHGFIMAHLAPDSETTFDFRKYISRSLEEDFKVVVEISPIIWFSAVLFLLSNTYGWYSYFWLPFIPLVIILMVGTKLQVIISMMGLRIQERGDVVKGAPLVQPGDHLFWFGSPRFMLFLIHFVLFQNAFQLAFFAWSTYEFGIASCFHQRTEDIVIRISMGVIIQFLCSYVTLPLYALVTQMGSTMKRTVFKEEEAEALKSWHNKAKKNTKLSHHSHSNTPFSSTPGTPGHGVRMSPLHLLHQHNNRSDADGYYVSPRASNLELSHWETEGSSHSLNNNNAGLSDPEEIRELDQEPTSTTQLPPAPPGIRTQHEVNISLSEFSFGKGKSRS >ONI22720 pep chromosome:Prunus_persica_NCBIv2:G2:20317958:20319271:1 gene:PRUPE_2G146900 transcript:ONI22720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCIRICLAASLRRITSGCKKTRKQGKLSSSFDVPATSSFAAMEVSNQFKQVFEVMDSNGDGKISPLELSEVLSCLGYKKSIATKEAEGIVREMDCNGDGFIDLEEFMNAVNIDRSRKMNKNKNKNSGCGNEEEDSELMDAFLIFDTDKNGKISAKELQRVLVSLGCQRCSLRECRQMIKGVDKNGDGAVDFEEFRLMMTRNYVS >ONI23574 pep chromosome:Prunus_persica_NCBIv2:G2:23356503:23358221:1 gene:PRUPE_2G196100 transcript:ONI23574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAVSYLSPPTPPCHPLVRNLNRPPLNLKSLSVSAKSQPPGQDLGGLGHSILTKLKSNHKPTTPILSKQKQKQKQKQNQEGKQMISGSDVLFALQKAADKKSREIGKKKKKANVSSSSLSSYLGSHGEEEGVDEDGKVRPLCVKSEWGDRLDELEKRFQELSSKVV >ONI23497 pep chromosome:Prunus_persica_NCBIv2:G2:23073519:23078158:1 gene:PRUPE_2G191400 transcript:ONI23497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTLKDLLTETKKAEEAQPLAPVPAVIENSADKDVSPEKLSRFPIQEKKLEDSQLFVESDSSSGDPQPQVAPVSEESPETKTAEKDSDYLKNEGSNSSVNSTDSLLFESSNSCEKESSNSCEKESSNSCEKENSNSCEKESSITSDSIPTEMASSEKCMESLENVNAPRLNEMREKQPAKKSAKFQCDDNVSDSVSVAKPGVLKPCPSVGASLENFELWKVEGSPKMRSNGGGSTAEGTAMVEEAWERLKKSYVYFKGKPVGTLAAMDPMAEDLNYNQVFVRDFVPTGLACLMQKDPELDIVKNFLLKTLHLQGWEKRIDNFTLGEGVMPASFKILFDQYRGKETLVADFGGSAIGRVAPVDSGFWWIILLRSYTKCTRDHTLAELPEVQKGMKLILNLCLSDGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQSLFYFALRCARQLLKPELGGKELLKRIDKRITALSFHIQKYYWLDFAQLNNIYRYKTEEYSHTAVNKFNVIPESIPDWVFDFMPLRGGYLIGNVSPARMDFRWFLVGNCIAILSSLATPEQATAIMDLIEERWEDLIGEMPLKIVYPALEGHEWRTVTGFDPKNTRWSYHNGGSWPTLLWLLTAACIKTGRPQTAKRAIEQVEQRLSKDGWPEYYDGKAGRYIGKQARKYQTWSISGYLLAKLMIENPANLSLISLEEDKKIAKPRLTRSASF >ONI23496 pep chromosome:Prunus_persica_NCBIv2:G2:23073533:23078131:1 gene:PRUPE_2G191400 transcript:ONI23496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTLKDLLTETKKAEEAQPLAPVPAVIENSADKDVSPEKLSRFPIQEKKLEDSQLFVESDSSSGDPQPQVAPVSEESPETKTAEKDSDYLKNEGSNSSVNSTDSLLFESSNSCEKESSNSCEKESSNSCEKENSNSCEKESSITSDSIPTEMASSEKCMESLENVNAPRLNEMREKQPAKKSAKFQCDDNVSDSVSVAKPGVLKPCPSVGASLENFELWKVEGSPKMRSNGGGSTAEGTAMVEEAWERLKKSYVYFKGKPVGTLAAMDPMAEDLNYNQVFVRDFVPTGLACLMQKDPELDIVKNFLLKTLHLQGWEKRIDNFTLGEGVMPASFKILFDQYRGKETLVADFGGSAIGRVAPVDSGFWWIILLRSYTKCTRDHTLAELPEVQKGMKLILNLCLSDGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQSLFYFALRCARQLLKPELGGKELLKRIDKRITALSFHIQKYYWLDFAQLNNIYRYKTEEYSHTAVNKFNVIPESIPDWVFDFMPLRGGYLIGNVSPARMDFRWFLVGNCIAILSSLATPEQATAIMDLIEERWEDLIGEMPLKIVYPALEGHEWRTVTGFDPKNTRWSYHNGGSWPTLLWLLTAACIKTGRPQTAKRAIEQVEQRLSKDGWPEYYDGKAGRYIGKQARKYQTWSISGYLLAKLMIENPANLSLISLEEDKKIAKPRLTRSASF >ONI23203 pep chromosome:Prunus_persica_NCBIv2:G2:22083334:22084334:1 gene:PRUPE_2G174900 transcript:ONI23203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEIRRRNAGFGSERKLSKDQVFEIAYGLELSELPFLWALRKPNRADSEADAQPLGFADRTSKNGLVSFGWALNVLLVLTFIIDQPLNARLLVEKDLAVEVKRAEDGRFAKMT >ONI24120 pep chromosome:Prunus_persica_NCBIv2:G2:25184767:25192755:1 gene:PRUPE_2G224500 transcript:ONI24120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSGNEETGVKPVIRKTSDYVAGVPIKKRRFPIMRPPSPPSEEPTLFPKTEESSSFPSKNDSLQKEQSSPSQGSTLSYASITSSGFSDTTKYSESDYRRGRSDVTNVKVARGNDNLFRVKVEEPSPTVHSGSLDDMQRKGKPVLADNPASQVTLGKSELTLAPNEAHARNLGKEIMHSKSKVEMKCKEEIPAVAESTELSLGLKENLVPALTGQNSGGDGSQRSQDNLPISLNLSLSEEKNSSQCKGNGEDLNLDGADKRAWRANWDLNTPMDAWTDSVSDASECVDGINATGGAGDAKQLIGSTGMVGAGVNSEKQTVVDSQNRTNITVSSALASQQCNSNDTLLLRLSSSCSQLNQCQNTSSACSKLDLDMDRVISSTNSPRLAGPVRTLNTGNRRTVKSEPFDESVKLDVNIAKSTSTGFLDSNRAGKRAVVEQCTLGAVKSSNMSTQKLVDPRSIKSEPSIVDNQETINSIEGTSVHLDKHVTQGLDNCSSDMTLPMTAEMSCLSGKPLCLTESTGKPSCSTELTMSRDLTKHTGSLNAKAPQEACQSKEQIAVTLGLDTKGNSMRTEDDNVDRGYKLKFMNDHPLDSRGSGEDSSSDEEKINISADMLEDSYGSDYESDGNHALDTAIDTEQDAKDDDYEDGEVRDSIEQTAVEELICNAREAEHVDNGDFDNNQTDFVGPVNNAHPTSFYIEAKDNKTDQLAETSNSDYKESFDVVLNDKSDKGSDKDVCLQETLAVEKLTRGAGVKGSIKDVGTEPLDQSGNEDAQKCQDGEFSEQVTNESQGYDHGTELDVNKTDLAPLSDSNLSKTSGSGDNAAKDTTNGGQRSRIITLPRSSTVSPSKSRSISGLPLPSRVVGREILPDVTPEEDKIHPRGRGELYVDNAHRFSRERYQDQSLRYARLGFRRGRGRMNSRGDWGSDRNFASEIYNNQTNYRVPRHKYAPDVSDADLEYNTYNMGSDSAYVSTGRGGRQIQNDGPINHRIPSRRRSPVGTHAIHMARRNPRNISPTRCIGEDASNLVGMRHNEKFMRSFPDDNADPMFTRTQSSYEGIDGQFGRGNRNFSFVQRRGVPRVRSKSPIRSRTRSPGPWSSPRRRSPDGFGGPGELTHRRSPPVYRMERFRSPDGPCFPGEMVVRRNPPNDLRDMDSGRDHGPPRSVIPNRSPSGRVLLRNRRFDVMDPRERPNNDDYFGGPMHSGRLHELGADGNGDERRRFGERRGPVRSFRPPYNGADGETFHLNAKDGPRPLRFCPDDNTEFQERGNLRERDFDRRIKNRPGNAPRRMRGIEDQDGNYRHGGQAWHDGGFDDMSRVKRKRF >ONI21801 pep chromosome:Prunus_persica_NCBIv2:G2:14251392:14256012:-1 gene:PRUPE_2G090000 transcript:ONI21801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLQVGQFGLVLQQAKAPLIVPLLKFLVVVCLGMSVMLFVEKVYMGIIIIFIKLFRRRPVKHWKWEAIKDDVELGNSAYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKDLVELECQRWASKGINIKYEIRDNRNGYKAGALKEGMKHSYVKQCDYVAIFDADFQPEPDFLYRTIPFLVHNSDIALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSATYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLRGWKFVYLSDLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMVMEIVRNKKVSPLKKFHVIYSFFFVRKVVAHIVTFVFYCVILPATVLVPEVQVPIWGAVYIPSTITLLNAVGTPRSLHLLIFWILFENVMSLHRTKATFIGLFEAGRVNEWVVTEKLGDALKKKLGAKAPRKPRLRIGERLHVLELIVGFYLFFCGCYDLAFGKNGYFIYLFIQSVAFFIAGVGYVGTFVPNS >ONI23798 pep chromosome:Prunus_persica_NCBIv2:G2:24195646:24205855:-1 gene:PRUPE_2G208800 transcript:ONI23798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSSSSSSSSSVRSSSSATAVAAVHNNGSNGVSGRSERQQVPPTHSSRQTTSSQAHSHRSKPSSSSRRSVTPNSRTPHSNPDYQQEPGRVRVAVRLRPRNIEDRSSDSDYADSVELQPELKRLKLRKNNWSSESYRFDEVFTETASQNRVYQVVAKPVVESVLNGYNGTVMAYGQTGTGKTYTVGSLGKDDPSERGIMVRALEDIISNTTPANDSVEVSYLQLYMESIQDLLAPEKTNIPISEDPKTGEVSLPGASVVRVKDLDHFLQLLQIGEANRHAANTKLNTESSRSHAILMVFIRRAVQENAVDEMISQEKASRADLSGRNSVPIVRRSKLLIVDLAGSERIDKSGSEGHLLEEAKFINLSLSSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSARHYAETTSTIMFGQRAMKIVNMVKLKEEFDYESLCRKLENQVDHLTAQMERQQKLLDSNKFELESQLRECQDSFSEAKQNLISRSEFLEKDNTRLELDLKDLLDELKRQKEHSDLMHDKVAQLEASSEHGKLESSMYQKVLADTTQLYEEKIAKLIKQLEEEHDRAERAEEQLDAVKTLLTEGQKTIQQHEMQNSTYQLALAETTQMYEKKISELIEQLEDDHARFEDLEEQLDLVKKQRDHQNSMQGKKEIGELKVKLQEMNQLHEQAVNEVQSLKLERTDLSEEKARLSEELQDVKQRLLIEEKQRKSVENELVKLKKAVPEKEDDFEDKKSYMKENIHKGSSAFGNPMGLHNSNPSRDTLSGQRATIAKLCEEMGLQKILQLLTSEDSDVQTHAVKVVANLAAEDTNQAKIVEEGGLDALLMLLRSSQNTTILRVASGAIANLAMNEVNQGLIMSRGGAQLLADTACKTNDPQTLRMVAGALANLCGNERLHMMLKEDGGIKALLGMARSGSSDVVAQVARGLANFAKCESRGILQGHRKGRSLLMEDGALAWLIGNSKTTSTSTQRHMELALCHLAQNEDNARDFISSGGLNEIVRISVESSREDIRNLAKKALRVNSKFQNEMHAE >ONI23799 pep chromosome:Prunus_persica_NCBIv2:G2:24195646:24205855:-1 gene:PRUPE_2G208800 transcript:ONI23799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSSSSSSSSSVRSSSSATAVAAVHNNGSNGVSGRSERQQVPPTHSSRQTTSSQAHSHRSKPSSSSRRSVTPNSRTPHSNPDYQQEPGRVRVAVRLRPRNIEDRSSDSDYADSVELQPELKRLKLRKNNWSSESYRFDEVFTETASQNRVYQVVAKPVVESVLNGYNGTVMAYGQTGTGKTYTVGSLGKDDPSERGIMVRALEDIISNTTPANDSVEVSYLQLYMESIQDLLAPEKTNIPISEDPKTGEVSLPGASVVRVKDLDHFLQLLQIGEANRHAANTKLNTESSRSHAILMVFIRRAVQENAVDEMISQEKASRADLSGRNSVPIVRRSKLLIVDLAGSERIDKSGSEGHLLEEAKFINLSLSSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSARHYAETTSTIMFGQRAMKIVNMVKLKEEFDYESLCRKLENQVDHLTAQMERQQKLLDSNKFELESQLRECQDSFSEAKQNLISRSEFLEKDNTRLELDLKDLLDELKRQKEHSDLMHDKVAQLEASSEHGKQHQLESSMYQKVLADTTQLYEEKIAKLIKQLEEEHDRAERAEEQLDAVKTLLTEGQKTIQQHEMQNSTYQLALAETTQMYEKKISELIEQLEDDHARFEDLEEQLDLVKKQRDHQNSMQGKKEIGELKVKLQEMNQLHEQAVNEVQSLKLERTDLSEEKARLSEELQDVKQRLLIEEKQRKSVENELVKLKKAVPEKEDDFEDKKSYMKENIHKGSSAFGNPMGLHNSNPSRDTLSGQRATIAKLCEEMGLQKILQLLTSEDSDVQTHAVKVVANLAAEEVNQGLIMSRGGAQLLADTACKTNDPQTLRMVAGALANLCGNERLHMMLKEDGGIKALLGMARSGSSDVVAQVARGLANFAKCESRGILQGHRKGRSLLMEDGALAWLIGNSKTTSTSTQRHMELALCHLAQNEDNARDFISSGGLNEIVRISVESSREDIRNLAKKALRVNSKFQNEMHAE >ONI23800 pep chromosome:Prunus_persica_NCBIv2:G2:24195962:24205530:-1 gene:PRUPE_2G208800 transcript:ONI23800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSSSSSSSSSVRSSSSATAVAAVHNNGSNGVSGRSERQQVPPTHSSRQTTSSQAHSHRSKPSSSSRRSVTPNSRTPHSNPDYQQEPGRVRVAVRLRPRNIEDRSSDSDYADSVELQPELKRLKLRKNNWSSESYRFDEVFTETASQNRVYQVVAKPVVESVLNGYNGTVMAYGQTGTGKTYTVGSLGKDDPSERGIMVRALEDIISNTTPANDSVEVSYLQLYMESIQDLLAPEKTNIPISEDPKTGEVSLPGASVVRVKDLDHFLQLLQIGEANRHAANTKLNTESSRSHAILMVFIRRAVQENAVDEMISQEKASRADLSGRNSVPIVRRSKLLIVDLAGSERIDKSGSEGHLLEEAKFINLSLSSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSARHYAETTSTIMFGQRAMKIVNMVKLKEEFDYESLCRKLENQVDHLTAQMERQQKLLDSNKFELESQLRECQDSFSEAKQNLISRSEFLEKDNTRLELDLKDLLDELKRQKEHSDLMHDKVAQLEASSEHGKQHQLESSMYQKVLADTTQLYEEKIAKLIKQLEEEHDRAERAEEQLDAVKTLLTEGQKTIQQHEMQNSTYQLALAETTQMYEKKISELIEQLEDDHARFEDLEEQLDLVKKQRDHQNSMQGKKEIGELKVKLQEMNQLHEQAVNEVQSLKLERTDLSEEKARLSEELQDVKQRLLIEEKQRKSVENELVKLKKAVPEKEDDFEDKKSYMKENIHKGSSAFGNPMGLHNSNPSRDTLSGQRATIAKLCEEMGLQKILQLLTSEDSDVQTHAVKVVANLAAEDTNQAKIVEEGGLDALLMLLRSSQNTTILRVASGAIANLAMNEVNQGLIMSRGGAQLLADTACKTNDPQTLRMVAGALANLCGNERLHMMLKEDGGIKALLGMARSGSSDVVAQVARGLANFAKCESRGILQGHRKGRSLLMEDGALAWLIGNSKTTSTSTQRHMELALCHLAQNEDNARDFISSGGLNEIVRISVESSREDIRNLAKKALRVNSKFQNEMHAE >ONI24823 pep chromosome:Prunus_persica_NCBIv2:G2:27178460:27181494:-1 gene:PRUPE_2G264200 transcript:ONI24823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKLGLVPTQNGLNSQLVFQDENLRFNCGASPQRRVGDSGPKTRELSGFIDDRFFAPQSADFRPSMYNENPDRREPPEPRNWNSNGADTTPSGEGSDEDDDDDDEEDDVDDDDEVDEGDAEVGGLVGVDNRNKGIASNNGNNGEGKMGNGKVKHHNQHHSSFGGTVIVGSSREVLVKDHSVGQQIMNNNTRASPSDTQQGRLGNYHNVVTVAEPDGDIYYSQYLQGSEGSGSAQKDSVVENGCGFSGRKDVMYSSESGDSLRAILSDPVTGALMDDAMIMPCGHSFGGSGIQHVIRMKACYTCSQSISEDSIAPNLSLRAAVLAFRREEELQFYRSSKRRRERFDQEKGGYSDSVLVDTPRGRGVQFPFVVTDRVIIKGNKRTPQRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVSDEPSSKPMSSKMGPNWL >ONI24824 pep chromosome:Prunus_persica_NCBIv2:G2:27178165:27181906:-1 gene:PRUPE_2G264200 transcript:ONI24824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKLGLVPTQNGLNSQLVFQDENLRFNCGASPQRRVGDSGPKTRELSGFIDDRFFAPQSADFRPSMYNENPDRREPPEPRNWNSNGADTTPSGEGSDEDDDDDDEEDDVDDDDEVDEGDAEVGGLVGVDNRNKGIASNNGNNGEGKMGNGKVKHHNQHHSSFGSSREVLVKDHSVGQQIMNNNTRASPSDTQQGRLGNYHNVVTVAEPDGDIYYSQYLQGSEGSGSAQKDSVVENGCGFSGRKDVMYSSESGDSLRAILSDPVTGALMDDAMIMPCGHSFGGSGIQHVIRMKACYTCSQSISEDSIAPNLSLRAAVLAFRREEELQFYRSSKRRRERKRVVTVIQFSWTLRGVEVFSFHL >ONI24826 pep chromosome:Prunus_persica_NCBIv2:G2:27178908:27181494:-1 gene:PRUPE_2G264200 transcript:ONI24826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKLGLVPTQNGLNSQLVFQDENLRFNCGASPQRRVGDSGPKTRELSGFIDDRFFAPQSADFRPSMYNENPDRREPPEPRNWNSNGADTTPSGEGSDEDDDDDDEEDDVDDDDEVDEGDAEVGGLVGVDNRNKGIASNNGNNGEGKMGNGKVKHHNQHHSSFGGTVIVGSSREVLVKDHSVGQQIMNNNTRASPSDTQQGRLGNYHNVVTVAEPDGDIYYSQYLQGSEGSGSAQKDSVVENGCGFSGRKDVMYSSESGDSLRAILSDPVTGALMDDAMIMPCGHSFGGSGIQHVIRMKACYTCSQSISEDSIAPNLSLRAAVLAFRREEELQFYRSSKRRRERKRVVTVIQFSWTLRGVEVFSFHL >ONI24821 pep chromosome:Prunus_persica_NCBIv2:G2:27178460:27181494:-1 gene:PRUPE_2G264200 transcript:ONI24821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKLGLVPTQNGLNSQLVFQDENLRFNCGASPQRRVGDSGPKTRELSGFIDDRFFAPQSADFRPSMYNENPDRREPPEPRNWNSNGADTTPSGEGSDEDDDDDDEEDDVDDDDEVDEGDAEVGGLVGVDNRNKGIASNNGNNGEGKMGNGKVKHHNQHHSSFGSSREVLVKDHSVGQQIMNNNTRASPSDTQQGRLGNYHNVVTVAEPDGDIYYSQYLQGSEGSGSAQKDSVVENGCGFSGRKDVMYSSESGDSLRAILSDPVTGALMDDAMIMPCGHSFGGSGIQHVIRMKACYTCSQSISEDSIAPNLSLRAAVLAFRREEELQFYRSSKRRRERFDQEKGGYSDSVLVDTPRGRGVQFPFVVTDRVIIKGNKRTPQRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVSDEPSSKPMSSKMGPNWL >ONI24822 pep chromosome:Prunus_persica_NCBIv2:G2:27178460:27181494:-1 gene:PRUPE_2G264200 transcript:ONI24822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKLGLVPTQNGLNSQLVFQDENLRFNCGASPQRRVGDSGPKTRELSGFIDDRFFAPQSADFRPSMYNENPDRREPPEPRNWNSNGADTTPSGEGSDEDDDDDDEEDDVDDDDEVDEGDAEVGGLVGVDNRNKGIASNNGNNGEGKMGNGKVKHHNQHHSSFVGSSREVLVKDHSVGQQIMNNNTRASPSDTQQGRLGNYHNVVTVAEPDGDIYYSQYLQGSEGSGSAQKDSVVENGCGFSGRKDVMYSSESGDSLRAILSDPVTGALMDDAMIMPCGHSFGGSGIQHVIRMKACYTCSQSISEDSIAPNLSLRAAVLAFRREEELQFYRSSKRRRERFDQEKGGYSDSVLVDTPRGRGVQFPFVVTDRVIIKGNKRTPQRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVSDEPSSKPMSSKMGPNWL >ONI24825 pep chromosome:Prunus_persica_NCBIv2:G2:27178165:27181906:-1 gene:PRUPE_2G264200 transcript:ONI24825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKLGLVPTQNGLNSQLVFQDENLRFNCGASPQRRVGDSGPKTRELSGFIDDRFFAPQSADFRPSMYNENPDRREPPEPRNWNSNGADTTPSGEGSDEDDDDDDEEDDVDDDDEVDEGDAEVGGLVGVDNRNKGIASNNGNNGEGKMGNGKVKHHNQHHSSFVGSSREVLVKDHSVGQQIMNNNTRASPSDTQQGRLGNYHNVVTVAEPDGDIYYSQYLQGSEGSGSAQKDSVVENGCGFSGRKDVMYSSESGDSLRAILSDPVTGALMDDAMIMPCGHSFGGSGIQHVIRMKACYTCSQSISEDSIAPNLSLRAAVLAFRREEELQFYRSSKRRRERKRVVTVIQFSWTLRGVEVFSFHL >ONI25081 pep chromosome:Prunus_persica_NCBIv2:G2:27920174:27922051:1 gene:PRUPE_2G279100 transcript:ONI25081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIGMMNIAGSCTSCYLTTGPFSISAVKYNAGCKTAMSNVVMAIAVMFTLLFLTPLFHYTPLKVDTFDFVVCMSAYIGVVFHSVEIGLVLAVAISVIRVLLFVARPRTFVQGNLPNSMVYRNVEQYPNASNVHGILILEIDAPIYFANTNYLRKRITRWINDEEDRIKSAGESSLQCVILDITAVGNIDTSGISMFEEVKKLVDRRSFQLVLANPGSEVMKKMNKSELIEKTCQEWIYLTVAEAVAACNFRLHSTKPNPGKYQEPAAWNNV >ONI21549 pep chromosome:Prunus_persica_NCBIv2:G2:10903302:10910205:-1 gene:PRUPE_2G072200 transcript:ONI21549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDISVSEEGNQEIEDWFNKSPKQEG >ONI21546 pep chromosome:Prunus_persica_NCBIv2:G2:10905263:10908448:-1 gene:PRUPE_2G072200 transcript:ONI21546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21537 pep chromosome:Prunus_persica_NCBIv2:G2:10905263:10908448:-1 gene:PRUPE_2G072200 transcript:ONI21537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21541 pep chromosome:Prunus_persica_NCBIv2:G2:10905263:10908448:-1 gene:PRUPE_2G072200 transcript:ONI21541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21543 pep chromosome:Prunus_persica_NCBIv2:G2:10903302:10910205:-1 gene:PRUPE_2G072200 transcript:ONI21543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21534 pep chromosome:Prunus_persica_NCBIv2:G2:10905263:10908448:-1 gene:PRUPE_2G072200 transcript:ONI21534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21542 pep chromosome:Prunus_persica_NCBIv2:G2:10905263:10908448:-1 gene:PRUPE_2G072200 transcript:ONI21542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21544 pep chromosome:Prunus_persica_NCBIv2:G2:10903302:10910205:-1 gene:PRUPE_2G072200 transcript:ONI21544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21551 pep chromosome:Prunus_persica_NCBIv2:G2:10903302:10910205:-1 gene:PRUPE_2G072200 transcript:ONI21551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQVSISL >ONI21538 pep chromosome:Prunus_persica_NCBIv2:G2:10905263:10908448:-1 gene:PRUPE_2G072200 transcript:ONI21538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21547 pep chromosome:Prunus_persica_NCBIv2:G2:10903302:10910205:-1 gene:PRUPE_2G072200 transcript:ONI21547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21535 pep chromosome:Prunus_persica_NCBIv2:G2:10905263:10908448:-1 gene:PRUPE_2G072200 transcript:ONI21535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21548 pep chromosome:Prunus_persica_NCBIv2:G2:10905263:10908448:-1 gene:PRUPE_2G072200 transcript:ONI21548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21552 pep chromosome:Prunus_persica_NCBIv2:G2:10903302:10908598:-1 gene:PRUPE_2G072200 transcript:ONI21552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQVSISL >ONI21540 pep chromosome:Prunus_persica_NCBIv2:G2:10905263:10908448:-1 gene:PRUPE_2G072200 transcript:ONI21540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21536 pep chromosome:Prunus_persica_NCBIv2:G2:10905263:10908448:-1 gene:PRUPE_2G072200 transcript:ONI21536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21545 pep chromosome:Prunus_persica_NCBIv2:G2:10905263:10908448:-1 gene:PRUPE_2G072200 transcript:ONI21545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI21550 pep chromosome:Prunus_persica_NCBIv2:G2:10903302:10910205:-1 gene:PRUPE_2G072200 transcript:ONI21550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDISVSEEGNQEIEDWFNKSPKQEG >ONI21539 pep chromosome:Prunus_persica_NCBIv2:G2:10903302:10910205:-1 gene:PRUPE_2G072200 transcript:ONI21539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRQSHLPPRCPIQKKPNSGPIQDPISLLPHINESYPRHQRSSSQSLMEEQPAWLDNLSNDSESSNKGIVHRRSVSDSVTLLHGLADSFSSLSPKNDEFSVDNGSCSGWNSVSLYGPNSPRQRGSLSISENALVSALSEYASHEPIQNMDGILCIGHSDSKGDDCHSIGELNAEMRAVKRHPGQRSRIRKLQYIAELERTVGVLQTLESELAVKVASLLQQHVALSMENNKLKQQLARLRQGKFIMDSQYQSLKKEIKRLKIGLTNPRNKKVEAYFGSSSTTEAARVEAMQNLDMGKLTLS >ONI23378 pep chromosome:Prunus_persica_NCBIv2:G2:22661129:22664959:-1 gene:PRUPE_2G185500 transcript:ONI23378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNAASLTPISVLGDRKKEPRKFPSLPTISLPKFSNSTSLSTTPQASQESSSRSFHGGLLLLSSVFNTGFAKALTYEEALGQSVSTSTGGDLEASGILDNVTAFVTENPAVIAGGFAILAVPLVLSQVLKPPKPWGVDTARNAYAKLGDDANAQLLDIRSPAEFRQVGTPDVRGLGKKAVPIVYKGEDKPGFLKKLSLKFKEPENTTLFVLDKFDGNSELVAELVTVNGFKAAYAIKDGAEGPRGWVNSSLPWTPPTKLLSLDFGNLADAIGDAVGEGSGSLSVSLGIAAATGLGLLAFTELETILQLLGSAALVQFASKKLLFAEDRKATLQEVDKFLTTKVAPKELVDDIKQIGTALLPVSVTSKGLPAPAEATPEPTAANDTVQKAEAAVELKVEAAAEAAPEINSVPKPEVKAESLPGISKPLSPFPYYPDFKPPASPRPSQP >ONI23377 pep chromosome:Prunus_persica_NCBIv2:G2:22661242:22664828:-1 gene:PRUPE_2G185500 transcript:ONI23377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNAASLTPISVLGDRKKEPRKFPSLPTISLPKFSNSTSLSTTPQASQESSSRSFHGGLLLLSSVFNTGFAKALTYEEALGQSVSTSTGGDLEASGILDNVTAFVTENPAVIAGGFAILAVPLVLSQVLKPPKPWGVDTARNAYAKLGDDANAQLLDIRSPAEFRQVGTPDVRGLGKKAVPIVYKGEDKPGFLKKLSLKFKEPENTTLFVLDKFDGNSELVAELVTVNGFKAAYAIKDGAEGPRGWVNSSLPWTPPTKLLSLDFGNLADAIGDAVGEGSGSLSVSLGIAAATGLGLLAFTELETILQLLGSAALVQFASKKLLFAEDRKATLQEVDKFLTTKVAPKELVDDIKQIGTALLPVSVTSKGLPAPAELKVEAAAEAAPEINSVPKPEVKAESLPGISKPLSPFPYYPDFKPPASPRPSQP >ONI21871 pep chromosome:Prunus_persica_NCBIv2:G2:15013096:15016131:1 gene:PRUPE_2G095300 transcript:ONI21871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALVTWPSRAETWAVPQLGFELGSSCKFSTRIRRKKMWSLGFPVCYGRSGAVLLLSSNSGAIGAEAFSGSPKFDFGCGCFSGYSKLKPARICQSKKRSFGASFVVAWALEEQAIGNDIVIEESTSEHRLSGEGESKGVDHLIVDEAEGGEDKNEVDVRNGGANWEQKNEKIDVRALALSLQFAKTADDVEVVLKDKGDLPLQVFSSMIRGFGRDRLMDSAFAVVEWLKRKSEETNGSITPNLFIYNSLLGAVKQSKQFGEMDKVLSAMTEEGVELNVVTYNTKMAIYIEQGLSTKALDVLEDIEKKGLIPSSVSYSTALLAYQRMEDGNGALQFFIEFREKYHKGDISKESVEDWEHEFIQLENFTKRVCYQVMRRWLVKDDNLSTNVLKLLAQMDIAGVPLSRAEHERLLWACTREEHYTVAKELYNRIRERHTEIGISVCNHVIWLMGKAKKWWAALEIYEDMLDRGPKPNNMSYELIVSHFNVLLTAARKRGIWRWGIRLLNKMEEKGLKPRSKEWNAVLVACSKAAETSAAVKIFKRMVEQGQKPTVLSYGALLSALEKGKLYDEARQVWEHMLKVGVKPNLYAYTIMASVFSGHGKLNMVDTIIHEMVSSGIEPTVVTYNAIISGFARNGSTNAAYEWFQRMKDQNISPNNVTYEMMIEGLANGGKPRLAYDLYLTAQNQGLDLSPKSYDIVVQSSLASGVAIEGFLGARPPDKKEEVQGRLLLKTWMFLFPLCKQQIRDMLRHLQELLEYSMCYVNAIGAQNSGRI >ONI21872 pep chromosome:Prunus_persica_NCBIv2:G2:15013491:15015695:1 gene:PRUPE_2G095300 transcript:ONI21872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALVTWPSRAETWAVPQLGFELGSSCKFSTRIRRKKMWSLGFPVCYGRSGAVLLLSSNSGAIGAEAFSGSPKFDFGCGCFSGYSKLKPARICQSKKRSFGASFVVAWALEEQAIGNDIVIEESTSEHRLSGEGESKGVDHLIVDEAEGGEDKNEVDVRNGGANWEQKNEKIDVRALALSLQFAKTADDVEVVLKDKGDLPLQVFSSMIRGFGRDRLMDSAFAVVEWLKRKSEETNGSITPNLFIYNSLLGAVKQSKQFGEMDKVLSAMTEEGVELNVVTYNTKMAIYIEQGLSTKALDVLEDIEKKGLIPSSVSYSTALLAYQRMEDGNGALQFFIEFREKYHKGDISKESVEDWEHEFIQLENFTKRVCYQVMRRWLVKDDNLSTNVLKLLAQMDIAGVPLSRAEHERLLWACTREEHYTVAKELYNRIRERHTEIGISVCNHVIWLMGKAKKWWAALEIYEDMLDRGPKPNNMSYELIVSHFNVLLTAARKRGIWRWGIRLLNKMEEKGLKPRSKEWNAVLVACSKAAETSAAVKIFKRMVEQGQKPTVLSYGALLSALEKGKLYDEARQVWEHMLKVGVKPNLYAYTIMASVFSGHGKLNMVDTIIHEMVSSGIEPTVVTYNAIISGFARNGSTNAAYEWFQRMKDQNISPNNVTYEMMIEGLANGGKPRLAYDLYLTAQNQGLDLSPKSYDIVVQSSLASGVAIEGFLGARPPDKKEEVQGRKSSTQLS >ONI25087 pep chromosome:Prunus_persica_NCBIv2:G2:27936617:27937883:-1 gene:PRUPE_2G279700 transcript:ONI25087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSSTHFATCFLTALSISFCIISSTSAARDLAPKTNTEFIKTSCSATTYPKLCLTSLSSHASTIQTSPKLMAGAALNVTLSSAKSTSAVMLKLSQSHGLKPKEVGAMRDCLEELSDSVDELQSSIAEMGNFKSYDFQLMISDVQTWVSAALTDENTCSEGFGGNGMNGNLKTAVRGRIVNIAQLTSNALALINRYASVHG >ONI20497 pep chromosome:Prunus_persica_NCBIv2:G2:1879628:1884795:1 gene:PRUPE_2G019200 transcript:ONI20497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAGALDLASGVGGKIDKTEVLSAVEKYEKYHVCYGGEEEARKSNYTDMVNKYYDLVTSFYEYGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREIARFSLASITGLNNNEYQITRGKELNRIVGVDKTCNFVKADFMKLPFPENSFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQCFAAYEWCMTDAFDTNNQEHQKIKAEIEIGDGLPDIRLTGKCLEALKQAGFEVIWENDLAVDSPQSWYLPLDKSRISFSSFRLTAVGRFITKNMVKALEFVGLAPPGSQRVQDFLEKAAEGLVEGGK >ONI20496 pep chromosome:Prunus_persica_NCBIv2:G2:1879628:1884795:1 gene:PRUPE_2G019200 transcript:ONI20496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAGALDLASGVGGKIDKTEVLSAVEKYEKYHVCYGGEEEARKSNYTDMVNKYYDLVTSFYEYGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREIARFSLASITGLNNNEYQITRGKELNRIVGVDKTCNFVKADFMKLPFPENSFDAVYAIEATCHAPDYGCYKEIYRVLKPGQCFAAYEWCMTDAFDTNNQEHQKIKAEIEIGDGLPDIRLTGKCLEALKQAGFEVIWENDLAVDSPQSWYLPLDKSRISFSSFRLTAVGRFITKNMVKALEFVGLAPPGSQRVQDFLEKAAEGLVEGGKREIFTPMYFFLARKPLSESQ >ONI20495 pep chromosome:Prunus_persica_NCBIv2:G2:1879350:1884795:1 gene:PRUPE_2G019200 transcript:ONI20495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAGALDLASGVGGKIDKTEVLSAVEKYEKYHVCYGGEEEARKSNYTDMVNKYYDLVTSFYEYGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREIARFSLASITGLNNNEYQITRGKELNRIVGVDKTCNFVKADFMKLPFPENSFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQCFAAYEWCMTDAFDTNNQEHQKIKAEIEIGDGLPDIRLTGKCLEALKQAGFEVIWENDLAVDSPQSWYLPLDKSRISFSSFRLTAVGRFITKNMVKALEFVGLAPPGSQRVQDFLEKAAEGLVEGGKREIFTPMYFFLARKPLSESQ >ONI20498 pep chromosome:Prunus_persica_NCBIv2:G2:1880264:1884795:1 gene:PRUPE_2G019200 transcript:ONI20498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSLPLKFRYEKYHVCYGGEEEARKSNYTDMVNKYYDLVTSFYEYGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREIARFSLASITGLNNNEYQITRGKELNRIVGVDKTCNFVKADFMKLPFPENSFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQCFAAYEWCMTDAFDTNNQEHQKIKAEIEIGDGLPDIRLTGKCLEALKQAGFEVIWENDLAVDSPQSWYLPLDKSRISFSSFRLTAVGRFITKNMVKALEFVGLAPPGSQRVQDFLEKAAEGLVEGGKREIFTPMYFFLARKPLSESQ >ONI21917 pep chromosome:Prunus_persica_NCBIv2:G2:15390953:15393075:-1 gene:PRUPE_2G098400 transcript:ONI21917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGFLLFLLFSYIISINIHACNQIERCSLLSFATTLSSPPLNWKSLDCCHWKGITCDQDDWVTHLLLPSKGLKGGISPSSLRNLTHLTHLNLSHNSLYGSLETQFLLSLNRLEILDLSYNLLYGELPLFLPSSKIRIVDLSSNHFFGAIPSSFFQQASNLISFNVSNNTFTGYVPSSICLHYSPFIRLLDFSSNQFSGNLALGLGECSTLQVFRAGHNNLSGLLPEDIYNATKLEEIALSINSLRGAISDKIVNLTNLKILDLSLNQLSSELPLNLGKLSKLKFLTVDFNNLEGTIPTSLMNCTNLVELCLGINNFEGDISMLNFSRLSQLTKLDLRYNNFTGMFPVSLYSCRYLKAIALTRNHLEGQIQIEILSLKSLSFLTLGYNQFTNLTGTMKILMSCKSLHTLSLVGSFVGEGMPFDDGMVDFDGFQNLRALNMAGTNLTGEIPVWLSKLKNLEILILAHNQITGPIPSWLGNLPRLFFINLSNNRISGEFPKQLCRLPRLVYEPIASQVDRYEFELTVFGSVTTNINFQPYKLSFFPATIDLSNNNIVGDIPTEIGQLHLLYQLALYSNNFSGVIPDQISNLQNLEVLDLSMNHFSGRIPSSLASLTFLRKFNVSYNNLGGPIPTSTQIQTFNTSAFEGNLKLCGAPLPNKCGSNRGIDEDDTNNKDLDNEPHQLPWFYIFTALGFIVGFWGSVWFFSC >ONI24228 pep chromosome:Prunus_persica_NCBIv2:G2:25506292:25508230:1 gene:PRUPE_2G230600 transcript:ONI24228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLERKNIFRTKFIMESLTNLIRRAKLILAVALLQGAYAGQAIIVRMAMNKGMSHYIFLVYRMAFATVLIAPFALILDRKSRPKMTSSILVKTMLLSLFDPLLDQNLYYMAMSYSTATFTSAMFNILPAIAFFMAWIFRLETVNIRKLHSQAKVLGTIITVGGAIILTLAKGPALNLPWTKGKNQHHHQMQSDSNHKDITKSALLSAAACFCWSSFIILQAFTLRSYPCKLSLAALTCFWGLVEGAILALVVEWRNSNADWSIHLDIRLLAAFYGSYWSHCHRCWPISGSVGQDQRRASISIIKNSESTKR >ONI24227 pep chromosome:Prunus_persica_NCBIv2:G2:25506292:25508230:1 gene:PRUPE_2G230600 transcript:ONI24227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLERKNIFRTKFIMESLTNLIRRAKLILAVALLQGAYAGQAIIVRMAMNKGMSHYIFLVYRMAFATVLIAPFALILDRKSRPKMTSSILVKTMLLSLFDPLLDQNLYYMAMSYSTATFTSAMFNILPAIAFFMAWIFRLETVNIRKLHSQAKVLGTIITVGGAIILTLAKGPALNLPWTKGKNQHHHQMQSDSNHKDITKSALLSAAACFCWSSFIILQAFTLRSYPCKLSLAALTCFWGLVEGAILALVVEWRNSNADWSIHLDIRLLAAFYGGILSGVAYYIMGMVNKEKGPVFFSAFNPLGTVIIAILGSLVLDEHMYLGSLIGAIVIVVGLYLVLWGKTKDEPPSQLSKTQSQPNDEPITTSQQQMMPEGGLDIEPGGEPKTNIIDS >ONI24487 pep chromosome:Prunus_persica_NCBIv2:G2:26159363:26160074:-1 gene:PRUPE_2G242900 transcript:ONI24487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRGGTSVHIVALDGIVNVNSLFTLAVFIGLTWDPNDPNNTLIEANDPTTCRAGPRVAEDLVAFHVYSFSSFLFSSLVAVALKQAIRITRTPSYHPAELLARVNSSALRVGMLVSGAGSVCGCVFLMLALINVVQIKLGTLGCGSSHTLAAVIPLVIFVPVALLIYVCIVLYAFTR >ONI22604 pep chromosome:Prunus_persica_NCBIv2:G2:19598655:19605032:1 gene:PRUPE_2G138800 transcript:ONI22604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSIHLIPFLSLFPALFSLSRLSPKRHVHLTTPMTTATIPPSANSALFSSLFALTKKIQNLLTWCLSSVEGNDEAILSWSFYGLANNKLLVHAYGIRSNLVFGVGKVIVASLCGIGFSLCKIRGLAMLVLRVSLVC >ONI23214 pep chromosome:Prunus_persica_NCBIv2:G2:22118930:22124204:-1 gene:PRUPE_2G175500 transcript:ONI23214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILMYIFETYLDLRQHTALKLPTLPKTLEGVIGQEKFEKSRAYSLDKSHFHFIHEFVTILMDSAILFFRVLPWFWKRSGDFVTLVGLNAENEILHTLAFLGGVMIWSQITDLPFSLYSTFVVEARHGFNKQTIWLFFRDMVKGICLAVILGPPIVSAIIVIVQKGGAYLAIYLWAFMFVLSLVMMTLYPVLIAPLFNKFTPLPEGELRQKIEKLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKDDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSSSLFQSFGFDTQPVIIGLIIFQHTIIPLQHLVSFALNLVSRSFEFQADAFAKKLGYASALRAGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLDAIDKPDKKAD >ONI23213 pep chromosome:Prunus_persica_NCBIv2:G2:22118930:22125282:-1 gene:PRUPE_2G175500 transcript:ONI23213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPFLEAVIGFMILMYIFETYLDLRQHTALKLPTLPKTLEGVIGQEKFEKSRAYSLDKSHFHFIHEFVTILMDSAILFFRVLPWFWKRSGDFVTLVGLNAENEILHTLAFLGGVMIWSQITDLPFSLYSTFVVEARHGFNKQTIWLFFRDMVKGICLAVILGPPIVSAIIVIVQKGGAYLAIYLWAFMFVLSLVMMTLYPVLIAPLFNKFTPLPEGELRQKIEKLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKDDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSSSLFQSFGFDTQPVIIGLIIFQHTIIPLQHLVSFALNLVSRSFEFQADAFAKKLGYASALRAGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLDAIDKPDKKAD >ONI23215 pep chromosome:Prunus_persica_NCBIv2:G2:22120240:22125282:-1 gene:PRUPE_2G175500 transcript:ONI23215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPFLEAVIGFMILMYIFETYLDLRQHTALKLPTLPKTLEGVIGQEKFEKSRAYSLDKSHFHFIHEFVTILMDSAILFFRVLPWFWKRSGDFVTLVGLNAENEILHTLAFLGGVMIWSQITDLPFSLYSTFVVEARHGFNKQTIWLFFRDMVKGICLAVILGPPIVSAIIVIVQKGGAYLAIYLWAFMFVLSLVMMTLYPVLIAPLFNKFTPLPEGELRQKIEKLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKDDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSSSLFQSFGFDTQPVIIGLIIFQVCKFPCILLSS >ONI25021 pep chromosome:Prunus_persica_NCBIv2:G2:27720990:27726776:1 gene:PRUPE_2G275100 transcript:ONI25021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLQSNPNPPPIEALDPQPPTFSNLSSETVPSYDLKPPPPPETLVSDYKDPSPPPPSETLASNAQNPAQIPQTGTENGNSNDGSKTLALRPDIQKPLLSENGLTNTHSGTDRDGSGGEEETTSRRRRRSRWDPQPESDNQSGGGESGSGPRKRKSRWADEEPKPVIQLPDFMGGIEFDPEIQALNSRLLEISRMLSSGLPLDDRPEGARSPSPEPIYDNMGIRINTREFRARERLNKERQEIIAQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEADTQDALDAAAGMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPVKGTTGKKMDDEYQNFLAELGGTVPESATKQTSTLALGPGNSSVSNPPWANSAGSASSASSHPGLGSVGIKPTKEYDDTNLYIGYLPPTLDDDGLIRLFSPFGDIVMAKVIRDRITGLSKGYGFVKYADVQMANNAIASMNGFRLDQRTIAVRVAGKPPQPAVPPGPPASTMPTYPVSLQPVGAYPSQQFTPGGPLGNAPPTSYAGTPVPWGPPVPPPYAPYAPPPPPPPGSTMYPPPMQGQHVAAYGARYPPPPGAPSQPVTSSEAQQNYPPPGVQSENSTSMQSVPTNMYGGSAMPPNGQHSYPASSYGYSSYYNAVPPPPPPPAPVPGSTADQSQSIGNVPWATNPPVPPPASSTEKTAYGADAEYEKFMAEMK >ONI22097 pep chromosome:Prunus_persica_NCBIv2:G2:16443591:16444079:1 gene:PRUPE_2G106700 transcript:ONI22097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIILLHSVFLNSVVFLFISHSCGLARPQTKDPPQPEPNPCNQSHIFVSRQIYFKNRQQSIGRKGSSFSS >ONI25141 pep chromosome:Prunus_persica_NCBIv2:G2:28148893:28154823:-1 gene:PRUPE_2G283800 transcript:ONI25141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKRVVFGFCYCLLTILIYARVSFSARSDKEIRERFYGNMMNSSAPESGDGTIAKMFDRVLEKEFSENDQPEGSDGSSFNNSVADQQAVLETVAKITHEKRNDTQEAKCVNVKCGTKSFQFQGVFSLENEDSEETTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVIIVSAAIGGILFSCLGQPVIVGYLLAGSLIGPGGLEFISEMVQVETVAQFGVVFLLFALGLEFSLAKLKAVGPVAVLGGLLQILTFMFLCAITAVLCGAKLSEGVFVGSFLSMSSTAVVVKFLGERNSSSALHGQVTIGTLIFQDCAVGLLFALLPVLGGHSGLFQGMVSVGKLLLVLSLYLAAASVLCWSFVPRFLKLMMHLSSQTNELYQLAVVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDLAQHTLDQVEPIRNLFAALFLSSIGMLIHVHFLWSHVDILLASVILVIVVKTAVASIVTKAFGYSFRTSFVVGVSLAQIGEFAFVLLSRASNLNLVEGKMYLLLLGTTALSLVTTPLLFKLIPAIMNLGVLMHWFPSEGTPYSEEKSLMIEAEHNRIL >ONI25142 pep chromosome:Prunus_persica_NCBIv2:G2:28148923:28154825:-1 gene:PRUPE_2G283800 transcript:ONI25142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKRVVFGFCYCLLTILIYARVSFSARSDKEIRERFYGNMMNSSAPESGDGTIAKMFDRVLEKEFSENDQPEGSDGSSFNNSVADQQAVLETVAKITHEKRNDTQEANGTKSFQFQGVFSLENEDSEETTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVIIVSAAIGGILFSCLGQPVIVGYLLAGSLIGPGGLEFISEMVQVETVAQFGVVFLLFALGLEFSLAKLKAVGPVAVLGGLLQILTFMFLCAITAVLCGAKLSEGVFVGSFLSMSSTAVVVKFLGERNSSSALHGQVTIGTLIFQDCAVGLLFALLPVLGGHSGLFQGMVSVGKLLLVLSLYLAAASVLCWSFVPRFLKLMMHLSSQTNELYQLAVVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDLAQHTLDQVEPIRNLFAALFLSSIGMLIHVHFLWSHVDILLASVILVIVVKTAVASIVTKAFGYSFRTSFVVGVSLAQIGEFAFVLLSRASNLNLVEGKMYLLLLGTTALSLVTTPLLFKLIPAIMNLGVLMHWFPSEGTPYSEVSFFPPL >ONI25140 pep chromosome:Prunus_persica_NCBIv2:G2:28148893:28154891:-1 gene:PRUPE_2G283800 transcript:ONI25140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKRVVFGFCYCLLTILIYARVSFSARSDKEIRERFYGNMMNSSAPESGDGTIAKMFDRVLEKEFSENDQPEGSDGSSFNNSVADQQAVLETVAKITHEKRNDTQEANGTKSFQFQGVFSLENEDSEETTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVIIVSAAIGGILFSCLGQPVIVGYLLAGSLIGPGGLEFISEMVQVETVAQFGVVFLLFALGLEFSLAKLKAVGPVAVLGGLLQILTFMFLCAITAVLCGAKLSEGVFVGSFLSMSSTAVVVKFLGERNSSSALHGQVTIGTLIFQDCAVGLLFALLPVLGGHSGLFQGMVSVGKLLLVLSLYLAAASVLCWSFVPRFLKLMMHLSSQTNELYQLAVVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDLAQHTLDQVEPIRNLFAALFLSSIGMLIHVHFLWSHVDILLASVILVIVVKTAVASIVTKAFGYSFRTSFVVGVSLAQIGEFAFVLLSRASNLNLVEGKMYLLLLGTTALSLVTTPLLFKLIPAIMNLGVLMHWFPSEGTPYSEEKSLMIEAEHNRIL >ONI25143 pep chromosome:Prunus_persica_NCBIv2:G2:28148953:28154823:-1 gene:PRUPE_2G283800 transcript:ONI25143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKRVVFGFCYCLLTILIYARVSFSARSDKEIRERFYGNMMNSSAPESGDGTIAKMFDRVLEKEFSENDQPEGSDGSSFNNSVADQQAVLETVAKITHEKRNDTQEAKCVNVKCGTKSFQFQGVFSLENEDSEETTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVIIVSAAIGGILFSCLGQPVIVGYLLAGSLIGPGGLEFISEMVQVETVAQFGVVFLLFALGLEFSLAKLKAVGPVAVLGGLLQILTFMFLCAITAVLCGAKLSEGVFVGSFLSMSSTAVVVKFLGERNSSSALHGQVTIGTLIFQDCAVGLLFALLPVLGGHSGLFQGMVSVGKLLLVLSLYLAAASVLCWSFVPRFLKLMMHLSSQTNELYQLAVVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDLAQHTLDQVEPIRNLFAALFLSSIGMLIHVHFLWSHVDILLASVILVIVVKTAVASIVTKAFGYSFRTSFVVGVSLAQIGEFAFVLLSRASNLNLVEGKMYLLLLGTTALSLVTTPLLFKLIPAIMNLGVLMHWFPSEGTPYSEVSFFPPL >ONI22189 pep chromosome:Prunus_persica_NCBIv2:G2:17113525:17117615:1 gene:PRUPE_2G112900 transcript:ONI22189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLYKPLEFEQVDRERNWDDQIVFNGILFCLGSRHMPGAQNLPLWRTDGVLLTILLHAGPVEYLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFVLFAIPMLTTTLTGTASIISFAGYVTYIDFMNNMGHCNFELIPNWLFSIFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTMDKSSDSLYETSLKRKEETPDVLHLTHLTTPESIYHLPLGFASLSSKPHTSHWYLWLMWPVTLWSAMLTWIYGRTFVVERQRFDKLRLQTWVIPKYSLQVRPSRTFYF >ONI22188 pep chromosome:Prunus_persica_NCBIv2:G2:17113525:17117895:1 gene:PRUPE_2G112900 transcript:ONI22188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLYKPLEFEQVDRERNWDDQIVFNGILFCLGSRHMPGAQNLPLWRTDGVLLTILLHAGPVEYLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFVLFAIPMLTTTLTGTASIISFAGYVTYIDFMNNMGHCNFELIPNWLFSIFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTMDKSSDSLYETSLKRKEETPDVLHLTHLTTPESIYHLPLGFASLSSKPHTSHWYLWLMWPVTLWSAMLTWIYGRTFVVERQRFDKLRLQTWVIPKYSLQYFLQWQNEAINGLIEEAILEAEEKGTTTKKQKDDGKSPSCIWFFNGRGIHRHLFPHKPRR >ONI22378 pep chromosome:Prunus_persica_NCBIv2:G2:18188305:18192310:1 gene:PRUPE_2G125200 transcript:ONI22378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYSISIMILARLTSQTFPAKRKKKKFSNFFTLTEECATLFKGDFGVPKHRSNSVVILETGRVHKDRSLTYANRTFVTAKCYSSAATEIRFNSINSFHTDCSSIFKRRKTKSEITEKTENEDERPVRVLDKPDKWCIYRVPSKLRKVNEAAYTPQLLSIGPFHHGKPELKDMETHKKIYYENFLARFNKNDDELKQFIKTRQENILRCYAGTIELNKDFEDIIVVDACFIIELFLMNFCEPENHENDYILRSPWLRKAVEQDLILFENQLPYSLLQELYQDFAVPASSNFQPCKEVQEQANRHSNTNHYLQYCSPCCRHCFPCCWWIPSKDQSIVQVEPANDDPLLKLTCEFFKYYSKGKSVKNGVRPKHFTDLVRYFLRPDKEMDFEHSSTPIKNIYAARKLRASGVKFRPLKEGHFIIEKDEATKCKFNLACFRNMDLKLTQFCVKDETECVVRNIMALEQFLYPDKPYICNYFLLMDQLVDTVDDVDFLVENRVILNMLGSNEAVAKLVNRLCQQIMDDKSCYFDICEQLNKHHENFWNRHVATLKRVYFKDLWTGSSTVLGVVVLVFSVIGTIKSLTS >ONI22377 pep chromosome:Prunus_persica_NCBIv2:G2:18190329:18192080:1 gene:PRUPE_2G125200 transcript:ONI22377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYSISIMILARLTSQTFPAKRKKKKFSNFFTLTEECATLFKGDFGVPKHRSNSVVILETGRVHKDRSLTYANRTFVTAKCYSSAATEIRFNSINSFHTDCSSIFKRRKTKSEITEKTENEDERPVRVLDKPDKWCIYRVPSKLRKVNEAAYTPQLLSIGPFHHGKPELKDMETHKKIYYENFLARFNKNDDELKQFIKTRQENILRCYAGTIELNKDFEDIIVVDACFIIELFLMNFCEPENHENDYILRSPWLRKAVEQDLILFENQLPYSLLQELYQDFAVPASSNFQPCKEVQEQANRHSNTNHYLQYCSPCCRHCFPCCWWIPSKDQSIVQVEPANDDPLLKLTCEFFKYYSKGKSVKNGVRPKHFTDLVRYFLRPDKEMDFEHSSTPIKNIYAARKLRASGVKFRPLKEGHFIIEKDEATKCKFNLACFRNMDLKLTQFCVKDETECVVRNIMALEQFLYPDKPYICNYFLLMDQLVDTVDDVDFLVENRVILNMLGSNEAVAKLVNRLCQQIMDDKSCYFDICEQLNKHHENFWNRHVATLKRVYFKDLWTGSSTVLGVVVLVFSVIGTIKSLTS >ONI22376 pep chromosome:Prunus_persica_NCBIv2:G2:18188097:18192310:1 gene:PRUPE_2G125200 transcript:ONI22376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDESADDKSSNPPSSKCLGLSGPIVCGSAAFKRTASQDYCSTRRRKTKSEITEKTENEDERPVRVLDKPDKWCIYRVPSKLRKVNEAAYTPQLLSIGPFHHGKPELKDMETHKKIYYENFLARFNKNDDELKQFIKTRQENILRCYAGTIELNKDFEDIIVVDACFIIELFLMNFCEPENHENDYILRSPWLRKAVEQDLILFENQLPYSLLQELYQDFAVPASSNFQPCKEVQEQANRHSNTNHYLQYCSPCCRHCFPCCWWIPSKDQSIVQVEPANDDPLLKLTCEFFKYYSKGKSVKNGVRPKHFTDLVRYFLRPDKEMDFEHSSTPIKNIYAARKLRASGVKFRPLKEGHFIIEKDEATKCKFNLACFRNMDLKLTQFCVKDETECVVRNIMALEQFLYPDKPYICNYFLLMDQLVDTVDDVDFLVENRVILNMLGSNEAVAKLVNRLCQQIMDDKSCYFDICEQLNKHHENFWNRHVATLKRVYFKDLWTGSSTVLGVVVLVFSVIGTIKSLTS >ONI24574 pep chromosome:Prunus_persica_NCBIv2:G2:26396414:26400613:1 gene:PRUPE_2G248000 transcript:ONI24574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSATFHGNFVPLSQCSSCCDLRIQPYLAASMGSWRKRHRRRSSLKLYHVARNLRKQEVVCRLSETQTEPDSNNDEEKEVNENEGGDSEEPHLDSPPIVVDQINNDAETKAEFGVQDVDNNVEVSSGSPLPGVKPQQGESIRIPKETLDILKNQVFGFDTFFVTAQDPYEAGVLFKGNLRGVAAKSYEKISKRMQDKFGDEYKLFLLVNPEDDQPVAVVVPRRTLQPESTAVPEWFAAGAFGLVTLFTLLLRNVPELQSNLLSAYDNLELLKNGLPGALVTASVLGIHELSHVLVAKNTGVMLGVPYFVPSWQIGSFGTITRIINIVPKREDLLKVAAAGPLAGFSLGFVLLLLGFFLPPSDGIGVVVDASVFHECFLVGGIAKLLLGDALKEGTPISLNPLLLWAWAGLVINAINSIPAGELDGGRISFAIWGRKAATRITGASIALLGLSSLVSDVAFYWVALIFFLQRGPIAPLYEEITDPDDKYVGLGLLVLFLGLLVCLPYPFPFTEEVITSF >ONI25855 pep chromosome:Prunus_persica_NCBIv2:G2:29975859:29977594:-1 gene:PRUPE_2G323800 transcript:ONI25855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHGGMAVVIMGVSGAGKSTIGEMLAKETNSNFIDADDFHPQSNKEKMRKGIPLSEEDRMPWLETLRNALREKLVDGGTVILGCSALQKRYREVLRSADPNYELGSHVSLVKFVLLDAQAEVLAARLEKRIAEGKHFMSPALLQSQLGLLQIDDSEGILKVDATLSPQDIVNTIQRLIFSFRVKI >ONI23653 pep chromosome:Prunus_persica_NCBIv2:G2:23743614:23748190:-1 gene:PRUPE_2G200500 transcript:ONI23653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRDPNPNQSRLIGDYILGPKVGSGSFAVVWRSRHRQLGIEVAVKEIDKKQLSPKVSDSLLKEISILSTINHPNIIRLFEAIQTQDKIYLVLEYCDGGDLAAYIHRHGKVSENVAKHFMRQLAAGLQVLQEKHLIHRDLKPQNLLLSTTIEETPLLKIGDFGFARSLTPQDLADTLCGSPLYMAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFDGNCQLQLFQNILTSTGVRFPQGALEELHADCVDLCRSLLRQNPVERLSFTEFFNHKFLREASQAESAPRNVEHTSLLPPLKSMVEQSNSSVSDKRKMLQRKDCGSTSSTRSVQGLVQDVACDRLRKSINQDPNIQDHLGVSDSMESIEKDYVMVNPHFASMESFSYYLETSLQLNSTTRASISGSKQNDRDISVAKKTEEQATSSIGVESSQTCGSAKSPTSCESILLLEVQGLSVLHPSTRLHLLHQYAQVLADLSQEKDNAGLFLDSFSVQLVVLAIWKKALQICSTWSEAKELPEGSSANESSRVQGGAGLSPNASGSVDFSRPSSVSMWAEQGFIGAFDRAEKLSYRIQDMDGAAEVPDAMEIIFQKALVVGTRGAVSKLPCVLPSFFPFFFSCYVCNLVCRLQNL >ONI23650 pep chromosome:Prunus_persica_NCBIv2:G2:23742038:23748558:-1 gene:PRUPE_2G200500 transcript:ONI23650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRDPNPNQSRLIGDYILGPKVGSGSFAVVWRSRHRQLGIEVAVKEIDKKQLSPKVSDSLLKEISILSTINHPNIIRLFEAIQTQDKIYLVLEYCDGGDLAAYIHRHGKVSENVAKHFMRQLAAGLQVLQEKHLIHRDLKPQNLLLSTTIEETPLLKIGDFGFARSLTPQDLADTLCGSPLYMAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFDGNCQLQLFQNILTSTGVRFPQGALEELHADCVDLCRSLLRQNPVERLSFTEFFNHKFLREASQAESAPRNVEHTSLLPPLKSMVEQSNSSVSDKRLQLNSKHPINSSSRNLSSDFPSAHDRKMLQRKDCGSTSSTRSVQGLVQDVACDRLRKSINQDPNIQDHLGVSDSMESIEKDYVMVNPHFASMESFSYYLETSLQLNSTTRASISGSKQNDRDISVAKKTEEQATSSIGVESSQTCGSAKSPTSCESILLLEVQGLSVLHPSTRLHLLHQYAQVLADLSQEKDNAGLFLDSFSVQLVVLAIWKKALQICSTWSEAKELPEGSSANESSRVQGGAGLSPNASGSVDFSRPSSVSMWAEQGFIGAFDRAEKLSYRIQDMDGAAEVPDAMEIIFQKALVVGTRGAVDEYLENKGSAEALYSKAMLLLSFIAGEATSLPLNPPFSLTPANKKRIQQYIVNLESRRINFLKSQPAPVQSPDSRTK >ONI23651 pep chromosome:Prunus_persica_NCBIv2:G2:23743005:23748541:-1 gene:PRUPE_2G200500 transcript:ONI23651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRDPNPNQSRLIGDYILGPKVGSGSFAVVWRSRHRQLGIEVAVKEIDKKQLSPKVSDSLLKEISILSTINHPNIIRLFEAIQTQDKIYLVLEYCDGGDLAAYIHRHGKVSENVAKHFMRQLAAGLQVLQEKHLIHRDLKPQNLLLSTTIEETPLLKIGDFGFARSLTPQDLADTLCGSPLYMAPEIIQNQKYDAKSLQADLWSVGAILFQLVTGKPPFDGNCQLQLFQNILTSTGVRFPQGALEELHADCVDLCRSLLRQNPVERLSFTEFFNHKFLREASQAESAPRNVEHTSLLPPLKSMVEQSNSSVSDKRKMLQRKDCGSTSSTRSVQGLVQDVACDRLRKSINQDPNIQDHLGVSDSMESIEKDYVMVNPHFASMESFSYYLETSLQLNSTTRASISGSKQNDRDISVAKKTEEQATSSIGVESSQTCGSAKSPTSCESILLLEVQGLSVLHPSTRLHLLHQYAQVLADLSQEKDNAGLFLDSFSVQLVVLAIWKKALQICSTWSEAKELPEGSSANESSRVQGGAGLSPNASGSVDFSRPSSVSMWAEQGFIGAFDRAEKLSYRIQDMDGAAEVPDAMEIIFQKALVVGTRGAVDEYLENKGSAEALYSKAMLLLSFIAGEATSLPLNPPFSLTPANKKRIQQYIVNLESRRINFLKSQPAPVQSPDSRTK >ONI23652 pep chromosome:Prunus_persica_NCBIv2:G2:23743012:23748509:-1 gene:PRUPE_2G200500 transcript:ONI23652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRDPNPNQSRLIGDYILGPKVGSGSFAVVWRSRHRQLGIEVAVKEIDKKQLSPKVSDSLLKEISILSTINHPNIIRLFEAIQTQDKIYLVLEYCDGGDLAAYIHRHGKVSENVAKHFMRQLAAGLQVLQEKHLIHRDLKPQNLLLSTTIEETPLLKIGDFGFARSLTPQDLADTLCGSPLYMAPEIIQNQKYDAKSLQADLWSVGAILFQLVTGKPPFDGNCQLQLFQNILTSTGVRFPQGALEELHADCVDLCRSLLRQNPVERLSFTEFFNHKFLREASQAESAPRNVEHTSLLPPLKSMVEQSNSSVSDKRLQLNSKHPINSSSRNLSSDFPSAHDRKMLQRKDCGSTSSTRSVQGLVQDVACDRLRKSINQDPNIQDHLGVSDSMESIEKDYVMVNPHFASMESFSYYLETSLQLNSTTRASISGSKQNDRDISVAKKTEEQATSSIGVESSQTCGSAKSPTSCESILLLEVQGLSVLHPSTRLHLLHQYAQVLADLSQEKDNAGLFLDSFSVQLVVLAIWKKALQICSTWSEAKELPEGSSANESSRVQGGAGLSPNASGSVDFSRPSSVSMWAEQGFIGAFDRAEKLSYRIQDMDGAAEVPDAMEIIFQKALVVGTRGAVDEYLENKGSAEALYSKAMLLLSFIAGEATSLPLNPPFSLTPANKKRIQQYIVNLESRRINFLKSQPAPVQSPDSRTK >ONI23654 pep chromosome:Prunus_persica_NCBIv2:G2:23743614:23748190:-1 gene:PRUPE_2G200500 transcript:ONI23654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRDPNPNQSRLIGDYILGPKVGSGSFAVVWRSRHRQLGIEVAVKEIDKKQLSPKVSDSLLKEISILSTINHPNIIRLFEAIQTQDKIYLVLEYCDGGDLAAYIHRHGKVSENVAKHFMRQLAAGLQVLQEKHLIHRDLKPQNLLLSTTIEETPLLKIGDFGFARSLTPQDLADTLCGSPLYMAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFDGNCQLQLFQNILTSTGVRFPQGALEELHADCVDLCRSLLRQNPVERLSFTEFFNHKFLREASQAESAPRNVEHTSLLPPLKSMVEQSNSSVSDKRLQLNSKHPINSSSRNLSSDFPSAHDRKMLQRKDCGSTSSTRSVQGLVQDVACDRLRKSINQDPNIQDHLGVSDSMESIEKDYVMVNPHFASMESFSYYLETSLQLNSTTRASISGSKQNDRDISVAKKTEEQATSSIGVESSQTCGSAKSPTSCESILLLEVQGLSVLHPSTRLHLLHQYAQVLADLSQEKDNAGLFLDSFSVQLVVLAIWKKALQICSTWSEAKELPEGSSANESSRVQGGAGLSPNASGSVDFSRPSSVSMWAEQGFIGAFDRAEKLSYRIQDMDGAAEVPDAMEIIFQKALVVGTRGAVSKLPCVLPSFFPFFFSCYVCNLVCRLQNL >ONI23649 pep chromosome:Prunus_persica_NCBIv2:G2:23742038:23748518:-1 gene:PRUPE_2G200500 transcript:ONI23649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRDPNPNQSRLIGDYILGPKVGSGSFAVVWRSRHRQLGIEVAVKEIDKKQLSPKVSDSLLKEISILSTINHPNIIRLFEAIQTQDKIYLVLEYCDGGDLAAYIHRHGKVSENVAKHFMRQLAAGLQVLQEKHLIHRDLKPQNLLLSTTIEETPLLKIGDFGFARSLTPQDLADTLCGSPLYMAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFDGNCQLQLFQNILTSTGVRFPQGALEELHADCVDLCRSLLRQNPVERLSFTEFFNHKFLREASQAESAPRNVEHTSLLPPLKSMVEQSNSSVSDKRKMLQRKDCGSTSSTRSVQGLVQDVACDRLRKSINQDPNIQDHLGVSDSMESIEKDYVMVNPHFASMESFSYYLETSLQLNSTTRASISGSKQNDRDISVAKKTEEQATSSIGVESSQTCGSAKSPTSCESILLLEVQGLSVLHPSTRLHLLHQYAQVLADLSQEKDNAGLFLDSFSVQLVVLAIWKKALQICSTWSEAKELPEGSSANESSRVQGGAGLSPNASGSVDFSRPSSVSMWAEQGFIGAFDRAEKLSYRIQDMDGAAEVPDAMEIIFQKALVVGTRGAVDEYLENKGSAEALYSKAMLLLSFIAGEATSLPLNPPFSLTPANKKRIQQYIVNLESRRINFLKSQPAPVQSPDSRTK >ONI22199 pep chromosome:Prunus_persica_NCBIv2:G2:17195019:17195779:-1 gene:PRUPE_2G113800 transcript:ONI22199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSNSEISSMKPSNNNNAAKVTKPPFVPAKDDTKPVLQDPILRSDPIETEEAVLRLPTLLLTDQKILNPK >ONI23702 pep chromosome:Prunus_persica_NCBIv2:G2:23886689:23888568:-1 gene:PRUPE_2G202700 transcript:ONI23702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEQDSPYHDMEWFFFSLRDYKYTNSNRSNRATPHGSWKITGKERMIRARGSNAVIGTKRTMTFYERGVPKSKKTNWVMHEYNLFESEASPDPQLAERDFVLCRTKKNPDKKDTSVFAEGEPSSYNLYNCEDEAAADVTPESQDHSPSTLQSPAYIELGDVLQVNVLHEDCKDMQSPFGDNDYSVTDKNYFSTFDEGEPHGFTVSDIENEVACDMSQELYAQQEKNSYRLTPQSPTFRNLEDFMYINASDFGNETANNMVLEQAQDYYSFTLPSPIYSELGSVPHFDVYNNEWKSTYANFENQTTDERISEECPQPKENLRSILSASQLEDYTSQTLMDTEEYQMRPCEIGREGAAGMNWEGSFFNIFP >ONI23698 pep chromosome:Prunus_persica_NCBIv2:G2:23886403:23889133:-1 gene:PRUPE_2G202700 transcript:ONI23698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKCYRKTEGDPMPLGFRFHPTEEELVDYYLKNKRQDRDFNVNHIPEIDICKYDPWEIPGLMFTEQDSPYHDMEWFFFSLRDYKYTNSNRSNRATPHGSWKITGKERMIRARGSNAVIGTKRTMTFYERGVPKSKKTNWVMHEYNLFESEASPDPQLAERDFVLCRTKKNPDKKDTSVFAEGEPSSYNLYNCEDEAAADVTPESQDHSPSTLQSPAYIELGDVLQVNVLHEDCKDMQSPFGDNDYSVTDKNYFSTFDEGEPHGFTVSDIENEVACDMSQEQAQDYYSFTLPSPIYSELGSVPHFDVYNNEWKSTYANFENQTTDERISEECPQPKENLRSILSASQLEDYTSQTLMDTEEYQMRPCEIGREGAAGMNWEGSFFNIFP >ONI23697 pep chromosome:Prunus_persica_NCBIv2:G2:23886403:23889102:-1 gene:PRUPE_2G202700 transcript:ONI23697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKCYRKTEGDPMPLGFRFHPTEEELVDYYLKNKRQDRDFNVNHIPEIDICKYDPWEIPGLMFTEQDSPYHDMEWFFFSLRDYKYTNSNRSNRATPHGSWKITGKERMIRARGSNAVIGTKRTMTFYERGVPKSKKTNWVMHEYNLFESEASPDPQLAERDFVLCRTKKNPDKKDTSVFAEGEPSSYNLYNCEDEAAADVTPESQDHSPSTLQSPAYIELGDVLQVNVLHEDCKDMQSPFGDNDYSVTDKNYFSTFDEGEPHGFTVSDIENEVACDMSQEAQDYYSFTLPSPIYSELGSVPHFDVYNNEWKSTYANFENQTTDERISEECPQPKENLRSILSASQLEDYTSQTLMDTEEYQMRPCEIGREGAAGMNWEGSFFNIFP >ONI23700 pep chromosome:Prunus_persica_NCBIv2:G2:23886689:23888861:-1 gene:PRUPE_2G202700 transcript:ONI23700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKCYRKTEGDPMPLGFRFHPTEEELVDYYLKNKRQDRDFNVNHIPEIDICKYDPWEIPGLMFTEQDSPYHDMEWFFFSLRDYKYTNSNRSNRATPHGSWKITGKERMIRARGSNAVIGTKRTMTFYERGVPKSKKTNWVMHEYNLFESEASPDPQLAERDFVLCRTKKNPDKKDTSVFAEGEPSSYNLYNCEDEAAADVTPESQDHSPSTLQSPAYIELGDVLQVNVLHEDCKDMQSPFGDNDYSVTDKNYFSTFDEGEPHGFTVSDIENEVACDMSQELYAQQEKNSYRLTPQSPTFRNLEDFMYINASDFGNETANNMVLEQAQDYYSFTLPSPIYSELGSVPHFDVYNNEWKSTYANFENQTTDERISEECPQPKENLRSILSASQLEDYTSQTLMDTEEYQMRPCEIGREGAAGMNWEGSFFNIFP >ONI23701 pep chromosome:Prunus_persica_NCBIv2:G2:23886403:23888628:-1 gene:PRUPE_2G202700 transcript:ONI23701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEQDSPYHDMEWFFFSLRDYKYTNSNRSNRATPHGSWKITGKERMIRARGSNAVIGTKRTMTFYERGVPKSKKTNWVMHEYNLFESEASPDPQLAERDFVLCRTKKNPDKKDTSVFAEGEPSSYNLYNCEDEAAADVTPESQDHSPSTLQSPAYIELGDVLQVNVLHEDCKDMQSPFGDNDYSVTDKNYFSTFDEGEPHGFTVSDIENEVACDMSQEQAQDYYSFTLPSPIYSELGSVPHFDVYNNEWKSTYANFENQTTDERISEECPQPKENLRSILSASQLEDYTSQTLMDTEEYQMRPCEIGREGAAGMNWEGSFFNIFP >ONI23699 pep chromosome:Prunus_persica_NCBIv2:G2:23886689:23888861:-1 gene:PRUPE_2G202700 transcript:ONI23699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKCYRKTEGDPMPLGFRFHPTEEELVDYYLKNKRQDRDFNVNHIPEIDICKYDPWEIPGLMFTEQDSPYHDMEWFFFSLRDYKYTNSNRSNRATPHGSWKITGKERMIRARGSNAVIGTKRTMTFYERGVPKSKKTNWVMHEYNLFESEASPDPQLAERDFVLCRTKKNPDKKDTSVFAEGEPSSYNLYNCEDEAAADVTPESQDHSPSTLQSPAYIELGDVLQVNVLHEDCKDMQSPFGDNDYSVTDKNYFSTFDEGEPHGFTVSDIENEVACDMSQELYAQQEKNSYRLTPQSPTFRNLEDFMYINASDFGNETANNMVLEAQDYYSFTLPSPIYSELGSVPHFDVYNNEWKSTYANFENQTTDERISEECPQPKENLRSILSASQLEDYTSQTLMDTEEYQMRPCEIGREGAAGMNWEGSFFNIFP >ONI23703 pep chromosome:Prunus_persica_NCBIv2:G2:23886403:23888090:-1 gene:PRUPE_2G202700 transcript:ONI23703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIWGLQLMQRDFVLCRTKKNPDKKDTSVFAEGEPSSYNLYNCEDEAAADVTPESQDHSPSTLQSPAYIELGDVLQVNVLHEDCKDMQSPFGDNDYSVTDKNYFSTFDEGEPHGFTVSDIENEVACDMSQELYAQQEKNSYRLTPQSPTFRNLEDFMYINASDFGNETANNMVLEQAQDYYSFTLPSPIYSELGSVPHFDVYNNEWKSTYANFENQTTDERISEECPQPKENLRSILSASQLEDYTSQTLMDTEEYQMRPCEIGREGAAGMNWEGSFFNIFP >ONI21904 pep chromosome:Prunus_persica_NCBIv2:G2:15327867:15330225:1 gene:PRUPE_2G097900 transcript:ONI21904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRKMARRQSKHVSLCFSKSTCPPDLQPNVLGTPFMAEKELLAAKSAKFAALLEDNSKEDLSHFLRNMPADPETLELVARFYHGFQLQISTEKVVPLICVAHYLEMTENHSKNNLLGQALSFFQETILPSWNETVKAFRTTEKFLRHSLKLGLVEACMKSIITKALANPSLLGEPIKNSTKYVDDSEEEDEGYKPSARRRLFDLDFKSEDLTTLSLELYEPVIHEMNKHGVPSPYVAASLCNYTKKWVLSGSGEAVKSNYKKEILEAVERHLPPEKGLVPCTLLFEILRFANVLEVSSECRNGIEIRIGKQLDQAAVKDLLIPSQGYSKEMQYDIVCVRRILKVFYGSYTSSDISGLIAVAELIEDFLAEVASDIDLNIDTFVDLAEMSLAASLGTQRTLDGIYRAVDIYLDKHRHLTESEREEVCRVLDFQKVSPEAYEHAAKNEKLPLRVVVQVLFAGQLQLRDRILKEVQDSDDKSTKEEAEENEEKLDFGEEEMRSEMEKMSMKVMELERECNMMRKEIDNGGSPKVRKEKTSLWREMKRKFGCVNSVHDSNCQLKKKKKKKMHPKQGV >ONI21905 pep chromosome:Prunus_persica_NCBIv2:G2:15327867:15330225:1 gene:PRUPE_2G097900 transcript:ONI21905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKELLAAKSAKFAALLEDNSKEDLSHFLRNMPADPETLELVARFYHGFQLQISTEKVVPLICVAHYLEMTENHSKNNLLGQALSFFQETILPSWNETVKAFRTTEKFLRHSLKLGLVEACMKSIITKALANPSLLGEPIKNSTKYVDDSEEEDEGYKPSARRRLFDLDFKSEDLTTLSLELYEPVIHEMNKHGVPSPYVAASLCNYTKKWVLSGSGEAVKSNYKKEILEAVERHLPPEKGLVPCTLLFEILRFANVLEVSSECRNGIEIRIGKQLDQAAVKDLLIPSQGYSKEMQYDIVCVRRILKVFYGSYTSSDISGLIAVAELIEDFLAEVASDIDLNIDTFVDLAEMSLAASLGTQRTLDGIYRAVDIYLDKHRHLTESEREEVCRVLDFQKVSPEAYEHAAKNEKLPLRVVVQVLFAGQLQLRDRILKEVQDSDDKSTKEEAEENEEKLDFGEEEMRSEMEKMSMKVMELERECNMMRKEIDNGGSPKVRKEKTSLWREMKRKFGCVNSVHDSNCQLKKKKKKKMHPKQGV >ONI21476 pep chromosome:Prunus_persica_NCBIv2:G2:9787710:9788275:-1 gene:PRUPE_2G068700 transcript:ONI21476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANLCVPQLPGQGCSFHSFLTNTYKLTFMESPFGIKCVQIILVTHPRTGDLRESLKYIYNLYVEYVVKNPLCTSGTPIR >ONI23547 pep chromosome:Prunus_persica_NCBIv2:G2:23246097:23248256:-1 gene:PRUPE_2G194200 transcript:ONI23547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTDIENLWVFALASKSFRAFSAESIACTVLLVALTCLAMTFIYWSHPGGPAWGRNFKNIIPLLLKSSKTKPVIPGPRGLPLIGSMALMTSLAHRKIAAMARSCNAKRLMAFSLGQTRVVVTCHPDVAREILNSSVFADRPVKESAYSLMFNRAIGFAQYGVYWRTLRRISAAHLFSPKQIKNSEVQRREIASQMVAMFGTHKEKLAIREVVKRASLNNMMCSVFGRKYELEFGASMNNEVEELKGLVDEGYDLLGMLNWSDHLPWLADFDAQKIRFRCSNLVPRVNRFVSRIISEHRTGVEAGKDEKAPDFVDVLLSLQGPDKLSNSDMIAVLWEMIFRGTDTVAVLIEWIMARMVLHPDVQSTVHDELDKVVGRSRAVNESDISELVYLTAVVKEVLRLHPPGPLLSWARLAITDTTIDGYHVPIGTTAMVNMWAISRDPEVWVDPLEFKPDRFVARDGELEFSVFGSDLRLAPFGSGRRTCPGKALGLTTVTYWVASLLQEYEWLPLDENAVDLSEVLRLSCEMAKPLVAKVLPRRSGVKPSSAQ >ONI21235 pep chromosome:Prunus_persica_NCBIv2:G2:6398661:6399594:-1 gene:PRUPE_2G054400 transcript:ONI21235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHLKFHHIFCTVQSKRSETLNPFTLLIRYCHFQSEPGFNSSSPTSCLPPEKIPEIAIFWDLDTKPPKSVSPYEAAVKLKTAASSFRLVRHMIAYANRHALDYVPQVVRERKERNRVVIRDGELNVCRVCGRRFYTNEKLLNHFKIHEKEHMKRLSQIESARGSRRVKLVGKYSMKMEKYKNAARDVLTPKAGRGLADERADAALRNHIVDMMDHRRAECLMLVSDDLDFVDVVMEAKLRCLKTVKVADSGFSWNEILIGKAKKEAVSVVGKWKDRDVLKRLE >ONI24051 pep chromosome:Prunus_persica_NCBIv2:G2:24940927:24942159:1 gene:PRUPE_2G221300 transcript:ONI24051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHLQLVALRHIGSMMWMELQEYSVETAIATIIDGSDSLKINSQHLRELSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQAHHLKNPAT >ONI24052 pep chromosome:Prunus_persica_NCBIv2:G2:24941223:24941812:1 gene:PRUPE_2G221300 transcript:ONI24052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNMSLLQTIIGNRLQEYSVETAIATIIDGSDSLKINSQHLRELSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQAHHLKNPAT >ONI24053 pep chromosome:Prunus_persica_NCBIv2:G2:24941223:24941812:1 gene:PRUPE_2G221300 transcript:ONI24053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNMSLLQTIIGNRLQEYSVETAIATIIDGSDSLKINSQHLRELSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQAHHLKNPAT >ONI24050 pep chromosome:Prunus_persica_NCBIv2:G2:24940012:24942159:1 gene:PRUPE_2G221300 transcript:ONI24050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSEIKSGALVSLQDLHPSSSYFKQGASLRVTGKLQEYSVETAIATIIDGSDSLKINSQHLRELSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQAHHLKNPAT >ONI20536 pep chromosome:Prunus_persica_NCBIv2:G2:2095027:2098184:-1 gene:PRUPE_2G021500 transcript:ONI20536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATKVVCVTGASGYIASWLVKLLLQRGYTVKATVRDPNDPKKTEHLLSLDGAKERLHLFKADLIQEGSFDTVVDGCEGVFHTASPVQFSATDPQAEIVDPAVNGTLNVLKSCVKFSTVKRVVLTSSMASVMLSGKPLTSDVVIDETCYSDQVVCENHKQWYMLSKTLAEKAAWEYAKGNGIDLVIMNPGFVIGPLLQPTLNLSVELIQNLISGTQTTPVSNYRFIDVRDVASAHIQAFEVPSAAGRYCLVGHVASVSKTLKILRQLYPTLLSEKCEVGAPPEPTYQVSVEKAKGLGITFLPLEVSLRDTVESLKEKGFLKI >ONI20534 pep chromosome:Prunus_persica_NCBIv2:G2:2094729:2101092:-1 gene:PRUPE_2G021500 transcript:ONI20534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPIFSPSFLILLLSSILGEDSFRRCILSVPIWRSSVENQNLRDQSLYLNTILGFKICSKDDPKKTEHLLSLDGAKERLHLFKADLIQEGSFDTVVDGCEGVFHTASPVQFSATDPQAEIVDPAVNGTLNVLKSCVKFSTVKRVVLTSSMASVMLSGKPLTSDVVIDETCYSDQVVCENHKQWYMLSKTLAEKAAWEYAKGNGIDLVIMNPGFVIGPLLQPTLNLSVELIQNLISGTQTTPVSNYRFIDVRDVASAHIQAFEVPSAAGRYCLVGHVASVSKTLKILRQLYPTLLSEKCEVGAPPEPTYQVSVEKAKGLGITFLPLEVSLRDTVESLKEKGFLKI >ONI20535 pep chromosome:Prunus_persica_NCBIv2:G2:2094729:2098344:-1 gene:PRUPE_2G021500 transcript:ONI20535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATKVVCVTGASGYIASWLVKLLLQRDDPKKTEHLLSLDGAKERLHLFKADLIQEGSFDTVVDGCEGVFHTASPVQFSATDPQAEIVDPAVNGTLNVLKSCVKFSTVKRVVLTSSMASVMLSGKPLTSDVVIDETCYSDQVVCENHKQWYMLSKTLAEKAAWEYAKGNGIDLVIMNPGFVIGPLLQPTLNLSVELIQNLISGTQTTPVSNYRFIDVRDVASAHIQAFEVPSAAGRYCLVGHVASVSKTLKILRQLYPTLLSEKCEVGAPPEPTYQVSVEKAKGLGITFLPLEVSLRDTVESLKEKGFLKI >ONI20389 pep chromosome:Prunus_persica_NCBIv2:G2:1076107:1084221:1 gene:PRUPE_2G012600 transcript:ONI20389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNLERSLSVFPKRHVLNSVKPNSVGAESLVKCIFGMSDIESALSKICPHGSGPCLMGSACLHHSLVKSLKILIRRARHCHHLRLLEKHCFITSPNPNAIKNSGCIFEGERRGNSVLKKSQCCTTDSCNGSPAAIDSHSEAIKSYCLKSQVVSFVWAVCRSIIPPDLLGTPSNWRMLRRNISKFICLRRFEKFSLKQCMHKLKTSRFPFLSDKQYFCCMNNQAPKGVDGKSSEINKGSTKLNDAAHLVKQKVLESWIYWLFSSIIVPLLQANFYVTESENGKQDLYYYQKSVWEKVKNKTVTCMKAQNYHYLDTATTRRIIRKRLFGFSKLRICPKEYGVRLLANLKASSRMPRQEFYLGDRSGGRLGRTKMHQRRVRFEHFKSVNRVLRDTHAVLKSIRFKEPEKLGSSVFDYNDVYRKLCPFVIGLKNGSAMMPDVFIVVSDVSKAFDSVDQDKLLCVMKDVLRTDEYFLKHAYEVLCTKKSLWVHEKPILVDQNTSSRFKSSVVHRSLHSVLVNQECSRSVKKQELFFNLNQHVKRNVLQLDKKFYLQGVGIPQGSVLSSLLCSLYYGHLDRNVIFPFLEKTWEPARVDLSSEHNFEDASAAQSGSEDKIGLSSSHFLVRFIDDFLFISTSKKQASSFYSRLQRGFRDYNCFMNEKKFGVNFNIRQMPGPPSNRVYLGEDGISFLRYCGLLINSCTLEVQADYTKYLSNHLSSTLTVSWQGQPSRHLKEKLCDYMRPKCHPIFFDSNINSASVVRLNIYQAFLLCAMKFHCYVRDLSNIWKLCNRSYANMIKRSLGYMYVLIKRRMRSVYNGSDFQPILQLEKGEVEWLGLFAYIQVLKRKQSRHKELLSLLTSKLLSHKITGSVSSQLSYAVDRSHSSLMWKIKY >ONI20386 pep chromosome:Prunus_persica_NCBIv2:G2:1075103:1084322:1 gene:PRUPE_2G012600 transcript:ONI20386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRCVPEVLRRLFHNRPRTLADAITSLLPPHSSSSVPDDCRFCKGRRCLSCSGPNGMSFILRPHDPSDYRNLLNHCYVVWERAPTLAHFSPDSHWSQIEIVRAVIEVMMLEQPLSSNVICTGYDKCNQSSPIVELLNSSAWCLLLERVGDGIMVYLLRNASIFLPIQRKKHEQVTGLPISNLCPKKLKPAPQALHQQSLQNPCGPRKKRERDDNIQSMLKRQQLGSSFSTDETFSSVTCSDSGFDRNKHGHTEAAMETTSTVSDGDEGNLNHELQQSSERLKKRKRPFRWQRCRKRRQLTSQETSVKGPFTTVLADKESLPGRLSCCLKPSSGLHDTKCSCLGFQVPQKVAKGAEIDRKSMFFNLERSLSVFPKRHVLNSVKPNSVGAESLVKCIFGMSDIESALSKICPHGSGPCLMGSACLHHSLVKSLKILIRRARHCHHLRLLEKHCFITSPNPNAIKNSGCIFEGERRGNSVLKKSQCCTTDSCNGSPAAIDSHSEAIKSYCLKSQVVSFVWAVCRSIIPPDLLGTPSNWRMLRRNISKFICLRRFEKFSLKQCMHKLKTSRFPFLSDKQYFCCMNNQAPKGVDGKSSEINKGSTKLNDAAHLVKQKVLESWIYWLFSSIIVPLLQANFYVTESENGKQDLYYYQKSVWEKVKNKTVTCMKAQNYHYLDTATTRRIIRKRLFGFSKLRICPKEYGVRLLANLKASSRMPRQEFYLGDRSGGRLGRTKMHQRRVRFEHFKSVNRVLRDTHAVLKSIRFKEPEKLGSSVFDYNDVYRKLCPFVIGLKNGSAMMPDVFIVVSDVSKAFDSVDQDKLLCVMKDVLRTDEYFLKHAYEVLCTKKSLWVHEKPILVDQNTSSRFKSSVVHRSLHSVLVNQECSRSVKKQELFFNLNQHVKRNVLQLDKKFYLQGVGIPQGSVLSSLLCSLYYGHLDRNVIFPFLEKTWEPARVDLSSEHNFEDASAAQSGSEDKIGLSSSHFLVRFIDDFLFISTSKKQASSFYSRLQRGFRDYNCFMNEKKFGVNFNIRQMPGPPSNRVYLGEDGISFLRYCGLLINSCTLEVQADYTKYLSNHLSSTLTVSWQGQPSRHLKEKLCDYMRPKCHPIFFDSNINSASVVRLNIYQAFLLCAMKFHCYVRDLSNIWKLCNRSYANMIKRSLGYMYVLIKRRMRSVYNGSDFQPILQLEKGEVEWLGLFAYIQVLKRKQSRHKELLSLLTSKLLSHKITGSVSSQLSYAVDRSHSSLMWKIKY >ONI20390 pep chromosome:Prunus_persica_NCBIv2:G2:1078954:1084224:1 gene:PRUPE_2G012600 transcript:ONI20390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFHSMPLECAFILRHHSLVKSLKILIRRARHCHHLRLLEKHCFITSPNPNAIKNSGCIFEGERRGNSVLKKSQCCTTDSCNGSPAAIDSHSEAIKSYCLKSQVVSFVWAVCRSIIPPDLLGTPSNWRMLRRNISKFICLRRFEKFSLKQCMHKLKTSRFPFLSDKQYFCCMNNQAPKGVDGKSSEINKGSTKLNDAAHLVKQKVLESWIYWLFSSIIVPLLQANFYVTESENGKQDLYYYQKSVWEKVKNKTVTCMKAQNYHYLDTATTRRIIRKRLFGFSKLRICPKEYGVRLLANLKASSRMPRQEFYLGDRSGGRLGRTKMHQRRVRFEHFKSVNRVLRDTHAVLKSIRFKEPEKLGSSVFDYNDVYRKLCPFVIGLKNGSAMMPDVFIVVSDVSKAFDSVDQDKLLCVMKDVLRTDEYFLKHAYEVLCTKKSLWVHEKPILVDQNTSSRFKSSVVHRSLHSVLVNQECSRSVKKQELFFNLNQHVKRNVLQLDKKFYLQGVGIPQGSVLSSLLCSLYYGHLDRNVIFPFLEKTWEPARVDLSSEHNFEDASAAQSGSEDKIGLSSSHFLVRFIDDFLFISTSKKQASSFYSRLQRGFRDYNCFMNEKKFGVNFNIRQMPGPPSNRVYLGEDGISFLRYCGLLINSCTLEVQADYTKYLSNHLSSTLTVSWQGQPSRHLKEKLCDYMRPKCHPIFFDSNINSASVVRLNIYQAFLLCAMKFHCYVRDLSNIWKLCNRSYANMIKRSLGYMYVLIKRRMRSVYNGSDFQPILQLEKGEVEWLGLFAYIQVLKRKQSRHKELLSLLTSKLLSHKITGSVSSQLSYAVDRSHSSLMWKIKY >ONI20388 pep chromosome:Prunus_persica_NCBIv2:G2:1075269:1082226:1 gene:PRUPE_2G012600 transcript:ONI20388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRCVPEVLRRLFHNRPRTLADAITSLLPPHSSSSVPDDCRFCKGRRCLSCSGPNGMSFILRPHDPSDYRNLLNHCYVVWERAPTLAHFSPDSHWSQIEIVRAVIEVMMLEQPLSSNVICTGYDKCNQSSPIVELLNSSAWCLLLERVGDGIMVYLLRNASIFLPIQRKKHEQVTGLPISNLCPKKLKPAPQALHQQSLQNPCGPRKKRERDDNIQSMLKRQQLGSSFSTDETFSSVTCSDSGFDRNKHGHTEAAMETTSTVSDGDEGNLNHELQQSSERLKKRKRPFRWQRCRKRRQLTSQETSVKGPFTTVLADKESLPGRLSCCLKPSSGLHDTKCSCLGFQVPQKVAKGAEIDRKSMFFNLERSLSVFPKRHVLNSVKPNSVGAESLVKCIFGMSDIESALSKICPHGSGPCLMGSACLHHSLVKSLKILIRRARHCHHLRLLEKHCFITSPNPNAIKNSGCIFEGERRGNSVLKKSQCCTTDSCNGSPAAIDSHSEAIKSYCLKSQVVSFVWAVCRSIIPPDLLGTPSNWRMLRRNISKFICLRRFEKFSLKQCMHKLKTSRFPFLSDKQYFCCMNNQAPKGVDGKSSEINKGSTKLNDAAHLVKQKVLESWIYWLFSSIIVPLLQANFYVTESENGKQDLYYYQKSVWEKVKNKTVTCMKAQNYHYLDTATTRRIIRKRLFGFSKLRICPKEYGVRLLANLKASSRMPRQEFYLGDRSGGRLGRTKMHQRRVRFEHFKSVNRVLRDTHAVLKSIRFKEPEKLGSSVFDYNDVYRKLCPFVIGLKNGSAMMPDVFIVVSDVSKAFDSVDQDKLLCVMKDVLRTDEYFLKHAYEVLCTKKSLWVHEKPILVDQNTSSRFKSSVVHRSLHSVLVNQECSRSVKKQELFFNLNQHVKRNVLQLDKKFYLQGVGIPQGSVLSSLLCSLYYGHLDRNVIFPFLEKTWEPARVDLSSEHNFEDASAAQSGSEDKIGLSSSHFLVRFIDDFLFISTSKKQASSFYSRLQRGFRDYNCFMNEKKFGVNFNIRQMPGPPSNRVYLGEDGISFLRYCGLLINSCTLEVQADYTKLIPLYD >ONI20387 pep chromosome:Prunus_persica_NCBIv2:G2:1075269:1084221:1 gene:PRUPE_2G012600 transcript:ONI20387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRCVPEVLRRLFHNRPRTLADAITSLLPPHSSSSVPDDCRFCKGRRCLSCSGPNGMSFILRPHDPSDYRNLLNHCYVVWERAPTLAHFSPDSHWSQIEIVRAVIEVMMLEQPLSSNVICTGYDKCNQSSPIVELLNSSAWCLLLERRKKHEQVTGLPISNLCPKKLKPAPQALHQQSLQNPCGPRKKRERDDNIQSMLKRQQLGSSFSTDETFSSVTCSDSGFDRNKHGHTEAAMETTSTVSDGDEGNLNHELQQSSERLKKRKRPFRWQRCRKRRQLTSQETSVKGPFTTVLADKESLPGRLSCCLKPSSGLHDTKCSCLGFQVPQKVAKGAEIDRKSMFFNLERSLSVFPKRHVLNSVKPNSVGAESLVKCIFGMSDIESALSKICPHGSGPCLMGSACLHHSLVKSLKILIRRARHCHHLRLLEKHCFITSPNPNAIKNSGCIFEGERRGNSVLKKSQCCTTDSCNGSPAAIDSHSEAIKSYCLKSQVVSFVWAVCRSIIPPDLLGTPSNWRMLRRNISKFICLRRFEKFSLKQCMHKLKTSRFPFLSDKQYFCCMNNQAPKGVDGKSSEINKGSTKLNDAAHLVKQKVLESWIYWLFSSIIVPLLQANFYVTESENGKQDLYYYQKSVWEKVKNKTVTCMKAQNYHYLDTATTRRIIRKRLFGFSKLRICPKEYGVRLLANLKASSRMPRQEFYLGDRSGGRLGRTKMHQRRVRFEHFKSVNRVLRDTHAVLKSIRFKEPEKLGSSVFDYNDVYRKLCPFVIGLKNGSAMMPDVFIVVSDVSKAFDSVDQDKLLCVMKDVLRTDEYFLKHAYEVLCTKKSLWVHEKPILVDQNTSSRFKSSVVHRSLHSVLVNQECSRSVKKQELFFNLNQHVKRNVLQLDKKFYLQGVGIPQGSVLSSLLCSLYYGHLDRNVIFPFLEKTWEPARVDLSSEHNFEDASAAQSGSEDKIGLSSSHFLVRFIDDFLFISTSKKQASSFYSRLQRGFRDYNCFMNEKKFGVNFNIRQMPGPPSNRVYLGEDGISFLRYCGLLINSCTLEVQADYTKYLSNHLSSTLTVSWQGQPSRHLKEKLCDYMRPKCHPIFFDSNINSASVVRLNIYQAFLLCAMKFHCYVRDLSNIWKLCNRSYANMIKRSLGYMYVLIKRRMRSVYNGSDFQPILQLEKGEVEWLGLFAYIQVLKRKQSRHKELLSLLTSKLLSHKITGSVSSQLSYAVDRSHSSLMWKIKY >ONI21553 pep chromosome:Prunus_persica_NCBIv2:G2:10935282:10936925:1 gene:PRUPE_2G072300 transcript:ONI21553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSLNTEPAGDVDADEFEIEGDCAMTDFISQTGIIKGENLLPIVGGMEFDFYEDVYYFYNCYANQHGFGVKFRLMDSNRWRVIKVEIEQNHLISPASGKFYKSHKSVGVGTKRALQLDTPEEVQKIKLFRTVIIDSEGNGNIDVDEGESWNRVYYSNQLKLKEGDDQAVQNYFSRFQLMDPNFFYVADLNEKGCLGNLFWADARMGVAYSYLCDVVSIDTTCLENKFEVPLVSFIGVNHHRQFVVHLQARQLNHVHGCLELGCILGRPPHAIITYQCRTLQTAISDVFLRASHCLCLSHIMQRVLEYLGGLLKYEAIKESLNIAVYYSLRVEQFKAAWEYMVQPHGTRDHKWLQALFEESSIFEGDIFGKNVPCATNEVVSSYFEELLHKDTPLKEFLDKYDQVLQTHHQLEALVDLDSRNLSSMLKSRCHFELQLSKVHTNDNLRKFESEVEGMYSCFSTSKLNVDGPVVTYIVQEHTEVDPALKLMEIGERRETV >ONI22447 pep chromosome:Prunus_persica_NCBIv2:G2:18671315:18671986:-1 gene:PRUPE_2G129700 transcript:ONI22447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGETTLELESNLALLESIRHHLLDDLEPQATSFSGNNVSVTFPFFVKENWWESSLEAEYVDNTMQDMLEALHDVNYVGCVVSDDEVQLKEQQVAAGAVHAPREERHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTYETPEDAGLAYDQAAFKIRGCKAKLNFPHLIGSSNMEPVRVTPKRRRSPDQCSSSSSSAYTLDNGSPKPKLREAGVDNHLAASDIN >ONI25372 pep chromosome:Prunus_persica_NCBIv2:G2:28806693:28810044:-1 gene:PRUPE_2G298600 transcript:ONI25372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELGKKEEILKVKVTNKTHVKPNKKIGKKECQLVTFDLPYLAFYYNQKLLFYKGAEYEEMVKKLKEGLEVVLVEFYQLAGKLGKDEEGVFRVEYGDEMEGVEVVEAASEEICVADLAVEEGTSALKDLIPYNGILNLEGIHRPLLALQLTKLKDGLAIGCAFNHAILDGTSTWHFMSSWAEICNGSKSISAEPFLDRTQARNTRVKLDLSPPPSNGDASSNAKADPNLRERVFRFSEAAIDKIKSTINANAPSDGSKPFSTFQSLSVHIWRHVTKARHLKPEDYTVFTVFADCRKRVDPPMPDTYFGNLIQAVFTVTAAGLLSANSPEFGASMIQKAIEAHNSKAIDERNKEWEKSPKIFEFKDAGVNCVAVGSSPRFRVYEVDFGWGKPEGVRSGSNNRFDGMVYLYQGKSGGRSIDVEISLEAPTMEKLEKDESFVLIGN >ONI25371 pep chromosome:Prunus_persica_NCBIv2:G2:28807915:28809751:-1 gene:PRUPE_2G298600 transcript:ONI25371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELGKKEEILKVKVTNKTHVKPNKKIADLAVEEGTSALKDLIPYNGILNLEGIHRPLLALQLTKLKDGLAIGCAFNHAILDGTSTWHFMSSWAEICNGSKSISAEPFLDRTQARNTRVKLDLSPPPSNGDASSNAKADPNLRERVFRFSEAAIDKIKSTINANAPSDGSKPFSTFQSLSVHIWRHVTKARHLKPEDYTVFTVFADCRKRVDPPMPDTYFGNLIQAVFTVTAAGLLSANSPEFGASMIQKAIEAHNSKAIDERNKEWEKSPKIFEFKDAGVNCVAVGSSPRFRVYEVDFGWGKPEGVRSGSNNRFDGMVYLYQGKSGGRSIDVEISLEAPTMEKLEKDESFVLIGN >ONI23983 pep chromosome:Prunus_persica_NCBIv2:G2:24724424:24725062:-1 gene:PRUPE_2G217100 transcript:ONI23983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFSDFEQQQGVEEDALSLCDLLLNDDGDESVEIPKASPSSDPADFFEFCVDPICGYLPMDVVFCGKSILCSKPITLPTPESEPQIKNPFFSRSESLRFSQASASPARSDLVPTTGKCRSPSSNSRKHKVLIGLVKYQPEMDLSEIRKRQSRRAPAPMFPVINGGEQSAVTGGKSGSGKGHWGLMRPLRCPSHLLSALAKATLGCVPRVTV >ONI22726 pep chromosome:Prunus_persica_NCBIv2:G2:20354589:20357083:1 gene:PRUPE_2G147200 transcript:ONI22726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQSCRVCLRESALQLPRHHLTDNYRSRTAKWKCPRAAVIPNFHLPMRSFEVKNRTSVDDIKSLRLITAIKTPYLPDGRFDLEAYDALVNMQIENGAEGVIVGGTTGEGQLMSWDEHIMLIGHTINCYGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLEGLVSHLDSVMSMGPTIVYNVPSRTGQDIPPRVIHRLAQSSNFAGVKECVGNDRVDQYTDKGIVVWSGNDDECHDSRWNHGATGVISVTSNLVPGLMRELMFGGKNPSLNAKIMPLVEWLFQEPNPIGLNTALAQLGVVRPVFRLPYVPLPLAKRVEFVNLVEQIGRENFVGEKDVKVLDDDDFVLVSRY >ONI24623 pep chromosome:Prunus_persica_NCBIv2:G2:26534447:26537731:-1 gene:PRUPE_2G250500 transcript:ONI24623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSADVDAVLQFLRKNGLSDAESALKEDMIEKGDLGCLDYEKFLFPMVPPPPPVRIPASSRRSEVPGGGECSRSSSQEDDEFVSMGSSTSNMCSSSEFTNPYGIRSTSPSGSKASSDRFSQFGTARDYHDFDMQNDLFWHDEKDDGDFMTPCFEGPDFFACPSEDKYIMTSDTDKQNENLPGLNFKSEAFQSDISLDYLDKNCLTNISPGDDKNCCYRSSKQTDFNNCQLKVLGDIPPTDIGAATNHRISKSSKNDRVENFKGSSGLDGKVVEKDFMQKGIDGYGVGDSEVNEEPCEPEAAADGDGVDTDEVLIYNANEDEYEVFELRIIHRKNRTGFEENKDFPIVLKTVIASRYYITEYIGSAAFSKVVQAHDLHTGVDVCLKIIKNDKEFFDQSLDEIKLLKFVNKNDPGDEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFSQESGGEAYFTIRRLQVITRQCLEALDYLHHLGIIHCDLKPENILIKSYRRCEIKIIDLGSSCFRTDNLCLYVQSRSYRAPEVILGLPYDEKIDMWSLGCILAELCSGEVPINLKE >ONI24622 pep chromosome:Prunus_persica_NCBIv2:G2:26533955:26537471:-1 gene:PRUPE_2G250500 transcript:ONI24622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSADVDAVLQFLRKNGLSDAESALKEDMIEKGDLGCLDYEKFLFPMVPPPPPVRIPASSRRSEVPGGGECSRSSSQEDDEFVSMGSSTSNMCSSSEFTNPYGIRSTSPSGSKASSDRFSQFGTARDYHDFDMQNDLFWHDEKDDGDFMTPCFEGPDFFACPSEDKYIMTSDTDKQNENLPGLNFKSEAFQSDISLDYLDKNCLTNISPGDDKSELYVTDHYNFDKKNNLEGGFEEEPEGCAPPASSVHLYNCCVGAEGFYDEHSADCCYRSSKQTDFNNCQLKVLGDIPPTDIGAATNHRISKSSKNDRVENFKGSSGLDGKVVEKDFMQKGIDGYGVGDSEVNEEPCEPEAAADGDGVDTDEVLIYNANEDEYEVFELRIIHRKNRTGFEENKDFPIVLKTVIASRYYITEYIGSAAFSKVVQAHDLHTGVDVCLKIIKNDKEFFDQSLDEIKLLKFVNKNDPGDEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFSQESGGEAYFTIRRLQVITRQCLEALDYLHHLGIIHCDLKPENILIKSYRRCEIKIIDLGSSCFRTDNLCLYVQSRSYRAPEVILGLPYDEKIDMWSLGCILAELCSGEVLFPNDAIVMILARMIGMLGPIDLDMLVRGQETDKYFTNELDLYHINEETSQLEYIIPEESSLENHLQVSDVGFIDFVKSLVEVNPERRPTAREAMEHPWLSYTYESSPF >ONI24621 pep chromosome:Prunus_persica_NCBIv2:G2:26533581:26537731:-1 gene:PRUPE_2G250500 transcript:ONI24621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSADVDAVLQFLRKNGLSDAESALKEDMIEKGDLGCLDYEKFLFPMVPPPPPVRIPASSRRSEVPGGGECSRSSSQEDDEFVSMGSSTSNMCSSSEFTNPYGIRSTSPSGSKASSDRFSQFGTARDYHDFDMQNDLFWHDEKDDGDFMTPCFEGPDFFACPSEDKYIMTSDTDKQNENLPGLNFKSEAFQSDISLDYLDKNCLTNISPGDDKNCCYRSSKQTDFNNCQLKVLGDIPPTDIGAATNHRISKSSKNDRVENFKGSSGLDGKVVEKDFMQKGIDGYGVGDSEVNEEPCEPEAAADGDGVDTDEVLIYNANEDEYEVFELRIIHRKNRTGFEENKDFPIVLKTVIASRYYITEYIGSAAFSKVVQAHDLHTGVDVCLKIIKNDKEFFDQSLDEIKLLKFVNKNDPGDEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFSQESGGEAYFTIRRLQVITRQCLEALDYLHHLGIIHCDLKPENILIKSYRRCEIKIIDLGSSCFRTDNLCLYVQSRSYRAPEVILGLPYDEKIDMWSLGCILAELCSGEVLFPNDAIVMILARMIGMLGPIDLDMLVRGQETDKYFTNELDLYHINEETSQLEYIIPEESSLENHLQVSDVGFIDFVKSLVEVNPERRPTAREAMEHPWLSYTYESSPF >ONI20416 pep chromosome:Prunus_persica_NCBIv2:G2:1258279:1259278:-1 gene:PRUPE_2G014200 transcript:ONI20416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRCAACKYLRRRCPSDCILSPYFPSSDPQRFTSVNRIYGASNVAKMLQELIMPHLRAEAAETLRYEAQCRIQDLIYGCIGVISQLYINKYKIYTECRLAKTRAEIALMNSDGQEPPQAQVDQQI >ONI21833 pep chromosome:Prunus_persica_NCBIv2:G2:14533827:14538335:-1 gene:PRUPE_2G092300 transcript:ONI21833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVEDEVIEAHVKQLLSRMTLKEKVGQMTQIERQVATSAAIKDLSIGSIFSAPGSLPVEKASPSDWADMVDGFQRSALESRLGIPLIYGTDAVHGNGNVFGATIFPHNVGLGATRDADLARRIGVATALEARACGIHYTFAPCVAVCKDPRWGRCYESYSEDTEIVGKMTSIVSGLQGQPPQGYPKGYPFVAGRNNIIASAKHFVGEGGTEKGVNEGNNISSYDDLERIHMRPYLDCISQGVSTIMVSYNSWNGQRLHGHNFLLTEILKDKLGFRMQGFVISDWDGIDQLCEPEGSNYRLCISLAINAGIDMVMVPFRYEQFIEELIYLVESGEIPMSRIDDAVERILRVKFVAGLFEHPFTDTSLQDIVGCKMHRDLAREAVRRSLVLLKNGKDPMEPFLPLERKAKRILIAGTHADDLGNQCGGWTATKYGSSGRITIGTTILEAIKKAVGDDTEIIYEKYPSTETLARQDITFAIVAVGEAPYAEGKGDNSKLVIPLNGADIISSVADKIPSLVILISGRPLVLEPWLLEKIDALVAAWLPGTEGDGIADVIFGDHDFEGQLPVTWFKRVEQLPVNAGDNSYDPLYPLGFGLACNKERC >ONI21832 pep chromosome:Prunus_persica_NCBIv2:G2:14533827:14538173:-1 gene:PRUPE_2G092300 transcript:ONI21832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVEDEVIEAHVKQLLSRMTLKEKVGQMTQIERQVATSAAIKDLSIGSIFSAPGSLPVEKASPSDWADMVDGFQRSALESRLGIPLIYGTDAVHGNGNVFGATIFPHNVGLGATRDADLARRIGVATALEARACGIHYTFAPCVAVCKDPRWGRCYESYSEDTEIVGKMTSIVSGLQGQPPQGYPKGYPFVAGRNNIIASAKHFVGEGGTEKGVNEGNNISSYDDLERIHMRPYLDCISQGVSTIMVSYNSWNGQRLHGHNFLLTEILKDKLGFRGFVISDWDGIDQLCEPEGSNYRLCISLAINAGIDMVMVPFRYEQFIEELIYLVESGEIPMSRIDDAVERILRVKFVAGLFEHPFTDTSLQDIVGCKMHRDLAREAVRRSLVLLKNGKDPMEPFLPLERKAKRILIAGTHADDLGNQCGGWTATKYGSSGRITIGTTILEAIKKAVGDDTEIIYEKYPSTETLARQDITFAIVAVGEAPYAEGKGDNSKLVIPLNGADIISSVADKIPSLVILISGRPLVLEPWLLEKIDALVAAWLPGTEGDGIADVIFGDHDFEGQLPVTWFKRVEQLPVNAGDNSYDPLYPLGFGLACNKERC >ONI21834 pep chromosome:Prunus_persica_NCBIv2:G2:14534244:14536737:-1 gene:PRUPE_2G092300 transcript:ONI21834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVSGLQGQPPQGYPKGYPFVAGRNNIIASAKHFVGEGGTEKGVNEGNNISSYDDLERIHMRPYLDCISQGVSTIMVSYNSWNGQRLHGHNFLLTEILKDKLGFRGFVISDWDGIDQLCEPEGSNYRLCISLAINAGIDMVMVPFRYEQFIEELIYLVESGEIPMSRIDDAVERILRVKFVAGLFEHPFTDTSLQDIVGCKMHRDLAREAVRRSLVLLKNGKDPMEPFLPLERKAKRILIAGTHADDLGNQCGGWTATKYGSSGRITIGTTILEAIKKAVGDDTEIIYEKYPSTETLARQDITFAIVAVGEAPYAEGKGDNSKLVIPLNGADIISSVADKIPSLVILISGRPLVLEPWLLEKIDALVAAWLPGTEGDGIADVIFGDHDFEGQLPVTWFKRVEQLPVNAGDNSYDPLYPLGFGLACNKERC >ONI22978 pep chromosome:Prunus_persica_NCBIv2:G2:21290664:21292480:1 gene:PRUPE_2G161900 transcript:ONI22978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPKSESKSGNPSTMAEVAKKYAVVTGSNQGIGFGTVRKLASNGIMVVLTALDEKMGVEAIEKLKECGLSDLVVFHQLDVTDTASIASLADFVKTQFGKLDILVNNAGVSGTIVDPESMRAAAAAGIGKDGVGVNWSEIMTQTYELAEVCVKTNYYGAKKMTKALLPLLQLSDSPRVVSLSSSMGSLKHIPNEWAKGMLSDAEKLTEQRIDDVLNEFLKDFKEDILETKGWPTSLSAYILSKAAVNAFTRMMANKYPNICINSVDPGFVKTDMNFNTGMLTIDEGAESVVRLAMVPNGSPSGLYFYLQQVSPF >ONI22979 pep chromosome:Prunus_persica_NCBIv2:G2:21290664:21292480:1 gene:PRUPE_2G161900 transcript:ONI22979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLTALDEKMGVEAIEKLKECGLSDLVVFHQLDVTDTASIASLADFVKTQFGKLDILVNNAGVSGTIVDPESMRAAAAAGIGKDGVGVNWSEIMTQTYELAEVCVKTNYYGAKKMTKALLPLLQLSDSPRVVSLSSSMGSLKHIPNEWAKGMLSDAEKLTEQRIDDVLNEFLKDFKEDILETKGWPTSLSAYILSKAAVNAFTRMMANKYPNICINSVDPGFVKTDMNFNTGMLTIDEGAESVVRLAMVPNGSPSGLYFYLQQVSPF >ONI21196 pep chromosome:Prunus_persica_NCBIv2:G2:6082560:6086321:-1 gene:PRUPE_2G052500 transcript:ONI21196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEQVGELVSVTFTWTIEKFSTLKSQKHYSEGFSVGDFQWQMVVYPKGSSGIPGKHDLSIYLNVANASALPSGWSRYAQFTLTVVNQVDRDKSITVETKHVFSESKSDWGFTSFMPLSELCDCTRGFLVNDICVLEAEVAVSQVDYKISEVQETWFCTPLVPPKHEDQTLGPSNVDSVVVTESSQPLREPCSEQALNSSIAFTTRSFDQLLAFQDSLSSEQACTELNDSPVGPSIIKEHEQTPSTPVGRLMDFKGLGQIEKDFVPLLEEVCLLHPSLIECQRKRSRMFTEWAFTALGRLLYFLQTTKGKDMVEDACKHLQILWEELETFRFDLSWLEPHVQSALGMNKFVERARLVKEQRDNVDALEIEVKRLKARLVVATLEFQVAKTDMGLAEESCVEINMDGIMTMHYMPVQIRAGIAFPNRLESPHCLKLK >ONI21197 pep chromosome:Prunus_persica_NCBIv2:G2:6083622:6085927:-1 gene:PRUPE_2G052500 transcript:ONI21197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEQVGELVSVTFTWTIEKFSTLKSQKHYSEGFSVGDFQWQMVVYPKGSSGIPGKHDLSIYLNVANASALPSGWSRYAQFTLTVVNQVDRDKSITVETKHVFSESKSDWGFTSFMPLSELCDCTRGFLVNDICVLEAEVAVSQVDYKISEVQETWFCTPLVPPKHEDQTLGPSNVDSVVVTESSQPLREPCSEQALNSSIAFTTRSFDQLLAFQDSLSSEQACTELNDSPVGPSIIKEHEQTPSTPVGRLMDFKGLGQIEKDFVPLLEEVCLLHPSLIECQRKRSRMFTEWAFTALGRLLYFLQTTKGKDMVEDACKHLQILWEELETFRFDLSWLEPHVQSALGMNKFVERARLVKEQRDNVDALEIEVKRLKARLVVATLEFQVAKTDMGLAEESCVEINMDGELGYGRRALF >ONI25104 pep chromosome:Prunus_persica_NCBIv2:G2:27993995:27995894:-1 gene:PRUPE_2G281100 transcript:ONI25104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAMKQNKPGLEEPQEQIHKIRITLSSKNVKNLEKVCTDLVRGAKDKRLRVKGPVRMPTKVLHITTRKAPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >ONI22841 pep chromosome:Prunus_persica_NCBIv2:G2:20819752:20821681:1 gene:PRUPE_2G154200 transcript:ONI22841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIREEKMSLVSKVGFGDGLSPLEILSETVCSIFKMHQVKQEHSLESPKKRSSLYFNFLNDTSSPSNTTPKKGNGPAMITRKEATLESPSSHDEDDDGCQVLLVDHAEERSELTFQLQIPDLVKECFPHPKKRRSSSPTNINSITLFGQKITMSTTGRASTSGSSIEFDQIHQEQAMTVKNASLKRRYIFDENDKDWAAALDKKKKKVKFSVNMNSSKSKGKNKGVPEPIHELPEEFKQVILGKMNGTKLQLLAQKSLFEADIKPGQGRLLLPREQTTSKNPKKFLKRNEKARLDKYKMQVSLIDPVLQQEDITLAWWKLSKKPKKRSFVLTHTWNHIVNKHHLDTDDLVQVWSFRAINSNFKHDDLNCDCDRDNNCRDVQDYDNVDDDLNDDDGQLHLALVLVRRGDHVFKEEGNKESSGGREVVGGSICGSCSPENFESSSPEKIGSSSPKVGEKRSRDF >ONI24168 pep chromosome:Prunus_persica_NCBIv2:G2:25368693:25369165:-1 gene:PRUPE_2G227800 transcript:ONI24168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLHNCAPTSMMSQRMQFLARAVRFSVLSAVVGFSCCAQCGHTRGRAILMDCRICGKSYDHWPDILVLQKSRE >ONI22881 pep chromosome:Prunus_persica_NCBIv2:G2:21003935:21008909:-1 gene:PRUPE_2G156600 transcript:ONI22881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTQDMIDSYMSITGASHSLALRKLEEYGGNLNEAVNAHFSGGDRDFTNPGSAATPQYNFTHMSGQNQVAPHAVPPYNFPRMSSQNQVAPQGVVPLLSAARSFRPSLLFDPSYSRDLFNRIGSAFTGRAPVSSHPGVVGGFPVDLNRGNDYPHLSGQRPTIQDMTGNPHGNDVEEEMIRAAIEASKREAEVAYLNTQTRALNVSPVNGLPGNQTHQDDDDDFDRALSLSLKTAEQEKAIREEKRKDRNPELAWKRGRSLHQNGAEFVEQKQVSQELKRDAGKNLQLGSLDVHCEELGSISSKELDEAIMLETALFGKSSHATNLPSRPNINLGPNMQPVHGPSSSAPTTRQLLRQQQDDEYLASILADKEKEMHGVNDPGTCHLKGVKSDNKKINTLEGERMLAAKSASLPCEPASDDENAVTLLVKVPNGSRLSRRFHKFNKLQILFDFIDVGGVVKPGTYRVVRSYPRRAFTLDDSLLTLSEVGLTNKQEALFLELI >ONI25029 pep chromosome:Prunus_persica_NCBIv2:G2:27757923:27770123:1 gene:PRUPE_2G275800 transcript:ONI25029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPISGFRQRSGSGIVKRVRLENFMCHSSLQIELGDWVNFITGQNGSGKSAILTALCVAFGCRAKGTQRASTLKDFIKTGCSYAVVHVELKNQGEDAFKPEIYGDVIVIERRISGTATTTVLKDQQGKKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVEDLLQNIEKQLEKANVVVAELEGSIRPIERELNELQEKIKNMEHVEEISQQAKQLKKKLAWAWVYDVDKQLAEQNARIGKLKDRIPLCQAKIDRQIGQVAKLRECFALKKSEIAHMMKKTSEIRRMKDELQQTLALATKEKLKLEEEYGRKFNQIQKMMNYVRSLQQQVQDTQEQHAKNTQAEESEIAEKLKELQNEVASIESMLARLKEEENALSECMQQTNSEIKQINEMIQNYDMKHREISNTIRELQRNQTNKVTAFGGDRVISLLRTIERYHQRFQSPPIGPIGAHLTLNNGDVWAVAVEHAIGRLLNAFIVTNHKDSLLLRTCAREANYSDLQIIIYDFSLPRLNIPPHMLPQTRHPTTLSLLHSEIHTVLNVLVDMGNVERQVLVRDYDAGKAIVFDQRVSNLKEVYTLDGSRMFSRGSVQTVLPPNKRVRTGRLCSSYDDQINELKRQGLSVQEEAQQCRRRKRDVEEKLQDLQENLRNVKRRCANADRDLTSKRLAIQDFDNAYEAGTSSASTVDELYQEISKVQVEIQERKMSLETFQVRIGEAEAKTNDLKASFENLSESAKGDIDAFEEAEREMMEIEQNLCSAEEEKAHYEGVMKNRVLKDIQDAEKHHQELENLREESSRKASILCPESEIIALGDWDGSTPEQLSAQVTRLNQRLERESQRYTESIDELRMSYENKERKILSKQKRNRAFREKLNSCRKALDLRRSKFQRNANYLKRQLTWQFNTHLRKKGISGQIKVSYEEKTLSVEVKMPQDATSSTVRDTRGLSGGERSFSTLCFALALHDMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFALAQGSQWILITPHDISMVKNGDRIKKQQMAAPRS >ONI25031 pep chromosome:Prunus_persica_NCBIv2:G2:27757923:27768298:1 gene:PRUPE_2G275800 transcript:ONI25031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPISGFRQRSGSGIVKRVRLENFMCHSSLQIELGDWVNFITGQNGSGKSAILTALCVAFGCRAKGTQRASTLKDFIKTGCSYAVVHVELKNQGEDAFKPEIYGDVIVIERRISGTATTTVLKDQQGKKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVEDLLQNIEKQLEKANVVVAELEGSIRPIERELNELQEKIKNMEHVEEISQQAKQLKKKLAWAWVYDVDKQLAEQNARIGKLKDRIPLCQAKIDRQIGQVAKLRECFALKKSEIAHMMKKTSEIRRMKDELQQTLALATKEKLKLEEEYGRKFNQIQKMMNYVRSLQQQVQDTQEQHAKNTQAEESEIAEKLKELQNEVASIESMLARLKEEENALSECMQQTNSEIKQINEMIQNYDMKHREISNTIRELQRNQTNKVTAFGGDRVISLLRTIERYHQRFQSPPIGPIGAHLTLNNGDVWAVAVEHAIGRLLNAFIVTNHKDSLLLRTCAREANYSDLQIIIYDFSLPRLNIPPHMLPQTRHPTTLSLLHSEIHTVLNVLVDMGNVERQVLVRDYDAGKAIVFDQRVSNLKEVYTLDGSRMFSRGSVQTVLPPNKRVRTGRLCSSYDDQINELKRQGLSVQEEAQQCRRRKRDVEEKLQDLQENLRNVKRRCANADRDLTSKRLAIQDFDNAYEAGTSSASTVDELYQEISKVQVEIQERKMSLETFQVRIGEAEAKTNDLKASFENLSESAKGDIDAFEEAEREMMEIEQNLCSAEEEKAHYEGVMKNRVLKDIQDAEKHHQELENLREESSRKASILCPESEIIALGDWDGSTPEQLSAQVTRLNQRLERESQRYTESIDELRMSYENKERKILSKQKRNRAFREKLNVSSSLYNALLVL >ONI25030 pep chromosome:Prunus_persica_NCBIv2:G2:27757923:27770123:1 gene:PRUPE_2G275800 transcript:ONI25030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPISGFRQRSGSGIVKRVRLENFMCHSSLQIELGDWVNFITGQNGSGKSAILTALCVAFGCRAKGTQRASTLKDFIKTGCSYAVVHVELKNQGEDAFKPEIYGDVIVIERRISGTATTTVLKDQQGKKVASRKEDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVEDLLQNIEKQLEKANVVVAELEGSIRPIERELNELQEKIKNMEHVEEISQQAKQLKKKLAWAWVYDVDKQLAEQNARIGKLKDRIPLCQAKIDRQIGQVAKLRECFALKKSEIAHMMKKTSEIRRMKDELQQTLALATKEKLKLEEEYGRKFNQIQKMMNYVRSLQQQVQDTQEQHAKNTQAEESEIAEKLKELQNEVASIESMLARLKEEENALSECMQQTNSEIKQINEMIQNYDMKHREISNTIRELQRNQTNKVTAFGGDRVISLLRTIERYHQRFQSPPIGPIGAHLTLNNGDVWAVAVEHAIGRLLNAFIVTNHKDSLLLRTCAREANYSDLQIIIYDFSLPRLNIPPHMLPQTRHPTTLSLLHSEIHTVLNVLVDMGNVERQVLVRDYDAGKAIVFDQRVSNLKEVYTLDGSRMFSRGSVQTVLPPNKRVRTGRLCSSYDDQINELKRQGLSVQEEAQQCRRRKRDVEEKLQDLQENLRNVKRRCANADRDLTSKRLAIQDFDNAYEAGTSSASTVDELYQEISKVQVEIQERKMSLETFQVRIGEAEAKTNDLKASFENLSESAKGDIDAFEEAEREMMEIEQNLCSAEEEKAHYEGVMKNRVLKDIQDAEKHHQELENLREESSRKASILCPESEIIALGDWDGSTPEQLSAQVTRLNQRLERESQRYTESIDELRMSYENKERKILSKQKRNRAFREKLNSCRKALDLRRSKFQRNANYLKRQLTWQFNTHLRKKGISGQIKVSYEEKTLSVEVKMPQDATSSTVRDTRGLSGCCKSENQPRHSC >ONI20919 pep chromosome:Prunus_persica_NCBIv2:G2:4506208:4509510:-1 gene:PRUPE_2G040900 transcript:ONI20919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNGTGGETYFLKADTKDSVQLCYVRKVSPYGLWRAQNPLMQALPVLVMRMIITMFFTHLLVLVCKPLHQPRIVPEILGGMALGVVIFLKSNIFPLSSLLMLETAGNFALVYHMFLVGLELDFKPILRAGKKSLSIALVGIVFCVLVGFGLFRYLLYKDFDYQTKAKGTKYGPFFWGIALATTNFSDLAGILADLKLLYSDVGGLALSASVISDLCSWFLLLTGMAIVNHNQILAVTSTLAFVGLCVFVVRPALSRIINRIREGARESNNIDYHGLTCYVMAGVVLCGLITDAGGSHSMVGPFIFGAIMPRGEFSNTLIEKLRTFVPVVLMPIYYSVNGVRVSVDDILNLRDPASEAKTGTNIYRVVAVFIIAFVAKIVSTFVAGLLNKMSPRDSLALGFLMNTKGLLTIIILNAARDLKVLNRQTFSLMMVAIWMMTFFVGPFLAVVYKSTSRPSTQYKQRNIGGLRPKTELRILACIHTSRDVPGTINLLDASNPTKQSPIHVLAVHLVELTGHTSAMLLLRDTSGTNTTNINDVYVSENSSPSSSFELYAKQRDNVFVQTLTAVSAYTTMHQDICSMAEENRVALIIIPFHQQYSTTMDGGGPLQESNNSHLKSLNNNLIANARCSVGVFVDRGLGKSTYSNCRHHFAMLFIGGVDDREALAYAARMAGHPHVRLTVVRFNLKPNKEGAEVNVGILEAMENLGRQKTLDDLCMDEFRLRSMTDTSIELLEKLVISWEQTLTEINAMEGDYDMVIVGRRHESMSNDTATMFLDSSNDSNEIGVVGNELVSSASLATTSILIVQQGGDSNYA >ONI24483 pep chromosome:Prunus_persica_NCBIv2:G2:26149211:26150869:1 gene:PRUPE_2G242500 transcript:ONI24483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKNQVGGGGSSHASSSSSRTLDHLFGPKDSSSSSSSSLFGSIFPPPPSAGLGSSGKYGNPSALDNKSQGFYTSKGESTKGASKDSMIYQNEAVEPCNFSSSIYYGGQENYSPRTGTTTESHQHHLSKKDGGDDDANGNNSNGASRGNWWKGSLYY >ONI24482 pep chromosome:Prunus_persica_NCBIv2:G2:26149211:26150869:1 gene:PRUPE_2G242500 transcript:ONI24482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKNQVGGGGSSHASSSSSRTLDHLFGPKDSSSSSSSSLFGSIFPPPPSAGLGSSGKYGNPSALDNKSQGFYTSKGESTKGASKDSMIYQNEAVEPCNFSSSIYYGGQENYSPRTGTTTESHQHHLSKKDGGDDDANGNNSNGASRGNWWKG >ONI20704 pep chromosome:Prunus_persica_NCBIv2:G2:3198404:3199000:1 gene:PRUPE_2G030000 transcript:ONI20704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYHKTSLTFAKLSDCILKDIIVKSIQNYKIYIIGFLKLVFCLKEPNSCLARTHAIRISTEARQNKDWDFEIKGCFSDIGVKKELMASKDLYHVVVTPDMDQAFVIGVITILDYIYVESTRC >ONI25191 pep chromosome:Prunus_persica_NCBIv2:G2:28308284:28311682:-1 gene:PRUPE_2G287400 transcript:ONI25191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMREWYWGSGRSSKRGRGGGGGPAEKDMTTSSGCMCAVFQLFDFHQLQLANLHHPQQPSFNTFHQEDLTVPKGVEAPRNSLDSSEGTSLSSTTKEEENLNSPILKFQMGMQIKTSGGGGRTSSADFSSDISSPGTKTPNLVARLMGLDLLPDQIQSPSSTSSCSSTTTHATSKSKVRTRKALQSRPRRHVVDMSDATNTAAAGTRSLPETPRISSARRSDVDLHHRLSLQINKENVGVGGGEELDFCRSSSYKRRDLKMINFGDHENVKSPSHYARQIVKQVRESVSRKVGLDITNTTRPVNKDKQGRDELLHQLKSKNGNAASKSLISKEDSSASCSPRLRFLDPKTTTTTPASLTAKDQIQPLPPKPKSPLSSLPPKPKPVQQPLKEEKQQQQQKSQTSIQKRRKSAHKQQEEAFVSPSTATRAIHNNIPADKKCKKTQLLSSNNVPTIFPIKKDPSPPATKIPQKQAQQQVSESDAQQSKRRWSQLSSSTSQTYKQQQEQQQMSRRTPHELATREIINMLNGGATSSATASGSAGGAAAEAELIQYLTRILSRTGIDKDTQVSFTNWFSPSHPLDPSIFHHLENSNVVDVTGQLGQRCNRKLMFRVVDEILVEILRPYINMKPWVATSSNNIGMWNNMNGSELVNILCHKIQSFPCADCQVLEDIDDLIDKDMPAESKVQSEMAFEEEGEGIVREVEKDILDTLIHETARVLWF >ONI25192 pep chromosome:Prunus_persica_NCBIv2:G2:28308289:28310873:-1 gene:PRUPE_2G287400 transcript:ONI25192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMREWYWGSGRSSKRGRGGGGGPAEKDMTTSSGCMCAVFQLFDFHQLQLANLHHPQQPSFNTFHQEDLTVPKGVEAPRNSLDSSEGTSLSSTTKEEENLNSPILKFQMGMQIKTSGGGGRTSSADFSSDISSPGTKTPNLVARLMGLDLLPDQIQSPSSTSSCSSTTTHATSKSKVRTRKALQSRPRRHVVDMSDATNTAAAGTRSLPETPRISSARRSDVDLHHRLSLQINKENVGVGGGEELDFCRSSSYKRRDLKMINFGDHENVKSPSHYARQIVKQVRESVSRKVGLDITNTTRPVNKDKQGRDELLHQLKSKNGNAASKSLISKEDSSASCSPRLRFLDPKTTTTTPASLTAKDQIQPLPPKPKSPLSSLPPKPKPVQQPLKEEKQQQQQKSQTSIQKRRKSAHKQQEEAFVSPSTATRAIHNNIPADKKCKKTQLLSSNNVPTIFPIKKDPSPPATKIPQKQQAQQQVSESDAQQSKRRWSQLSSSTSQTYKQQQEQQQMSRRTPHELATREIINMLNGGATSSATASGSAGGAAAEAELIQYLTRILSRTGIDKDTQVSFTNWFSPSHPLDPSIFHHLENSNVVDVTGQLGQRCNRKLMFRVVDEILVEILRPYINMKPWVATSSNNIGMWNNMNGSELVNILCHKIQSFPCADCQVLEDIDDLIDKDMPAESKVQSEMAFEEEGEGIVREVEKDILDTLIHETARVLWF >ONI22805 pep chromosome:Prunus_persica_NCBIv2:G2:20696269:20698937:1 gene:PRUPE_2G152300 transcript:ONI22805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSDVPMVPVGEASSSAGSSTKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >ONI21229 pep chromosome:Prunus_persica_NCBIv2:G2:6330046:6330862:1 gene:PRUPE_2G054100 transcript:ONI21229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTDNFSKAIKLYIHNIIASIPIYLQTLEYYFIQIPCREEQDMALIGEALISATIQTFCDKIASPEFTDLFRQKKLDEQLLNKLKTTLLTLSVVLNDAEEKQIKEPLVRDWLDNLRHNVLAAEDLLDEIDTEALRCKLEEGEGQTHNLTKKVRDFYQRMNVEMKDLLERLEQFVQEKSALGLREGAGRKVSQRRTTSLVHEPCVYGRDEVKENLLQRRGFAVISFSSEYLAVSCPGEKV >ONI24410 pep chromosome:Prunus_persica_NCBIv2:G2:25954307:25960609:-1 gene:PRUPE_2G239200 transcript:ONI24410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKLQSGIKAIEEEPEDCDATYSNKATLACIINSEIGSVLAVMRRNVRWGGRYTSGDDQLEHSLIQSLKALRKQIFSWQHQWHTINPAVYLQPFLDVIRSDETGAPITGVALSSVYNILTLDVMDQNSVNVEEAMHLLVDATTSCRFEVTDPASEEVVLMKILQVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAGTKGELLQRIARHTMHELVRCIFSHLPDVNDTERALLNGSNTVTQEIAGLNNEYSFGNRQLENGNLSSGYDGQPLSTNPASNSSSGLVASVIDENKIGDSTGKDAVQYDLHLMTEPYGVPCMVEIFHFLCSLLNISEHMGMGPRSNTIEFDEDVPFFALVLINSAIELGGSYIQNHPKLLSLVQDELFRNLMQFGLSTSPIILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEELANLLSKSAFPVNCPLSSIHILALDGLIAVIQGMAERVGNGSVSSEHTPVHLEEYTPFWMVKCENYSDPTDWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHKFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPLILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGSDLPREFLSELYHSICKNEIRTTPEQGAGYPEMTPSRWIDLMHKSKKNAPFIVSDSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEEVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDAKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESEFSADTGPGKPISNSLSSVHIPSIGTPRRSSGLMGRFSQLLSLETEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHISSIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANASHIRSQLGWRTITSLLSITARHPEASESGFDALFFIMSEGTHLLPANYALCVDASRQFAESRVGQAERSICALDLMAGSVDCLARWAREAKQARNEEEVVKMSQDIGEMWFRLVQALRKVCLDQREDVRNHALSLLQKCLTGVDGIPLPHNLWLQCFDVVIFTMLDDLLEIAQGHSQKDYRNMEGTLILAMKLLSKVFLQLLPDLSQLTTFCKLWLGVLSRMEKYMKVKVRGKKSEKLQDQVPELLKNTLLVMNLKGVLVQRSALGGDSLWELTWLHVNNIAPTLQSEVFPDQISEQSETKQGENGGSLVSDETGTLLPTEMVSATGG >ONI24412 pep chromosome:Prunus_persica_NCBIv2:G2:25954876:25959420:-1 gene:PRUPE_2G239200 transcript:ONI24412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKLQSGIKAIEEEPEDCDATYSNKATLACIINSEIGSVLAVMRRNVRWGGRYTSGDDQLEHSLIQSLKALRKQIFSWQHQWHTINPAVYLQPFLDVIRSDETGAPITGVALSSVYNILTLDVMDQNSVNVEEAMHLLVDATTSCRFEVTDPASEEVVLMKILQVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAGTKGELLQRIARHTMHELVRCIFSHLPDVNDTERALLNGSNTVTQEIAGLNNEYSFGNRQLENGNLSSGYDGQPLSTNPASNSSSGLVASVIDENKIGDSTGKDAVQYDLHLMTEPYGVPCMVEIFHFLCSLLNISEHMGMGPRSNTIEFDEDVPFFALVLINSAIELGGSYIQNHPKLLSLVQDELFRNLMQFGLSTSPIILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEELANLLSKSAFPVNCPLSSIHILALDGLIAVIQGMAERVGNGSVSSEHTPVHLEEYTPFWMVKCENYSDPTDWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHKFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPLILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGSDLPREFLSELYHSICKNEIRTTPEQGAGYPEMTPSRWIDLMHKSKKNAPFIVSDSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEEVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDAKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESEFSADTGPGKPISNSLSSVHIPSIGTPRRSSGLMGRFSQLLSLETEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHISSIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANASHIRSQLGWRTITSLLSITARHPEASESGFDALFFIMSEGTHLLPANYALCVDASRQFAESRVGQAERSICALDLMAGSVDCLARWAREAKQARNEEEVVKMSQDIGEMWFRLVQALRKVCLDQREDVRNHALSLLQKCLTGVDGIPLPHNLWLQCFDVVIFTMLDDLLEIAQGHSQKDYRNMEGTLILAMKLLSKVFLQLLPDLSQLTTFCKLWLGVLSRMEKYMKVKVRGKKSEKLQDQVPELLKNTLLVMNLKGVLVQRSALGGDSLWELTWLHVNNIAPTLQSEVFPDQISEQSETKQGENGGSLVSDETGTLLPTEMVSATGG >ONI24411 pep chromosome:Prunus_persica_NCBIv2:G2:25954368:25960593:-1 gene:PRUPE_2G239200 transcript:ONI24411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKLQSGIKAIEEEPEDCDATYSNKATLACIINSEIGSVLAVMRRNVRWGGRYTSGDDQLEHSLIQSLKALRKQIFSWQHQWHTINPAVYLQPFLDVIRSDETGAPITGVALSSVYNILTLDVMDQNSVNVEEAMHLLVDATTSCRFEVTDPASEEVVLMKILQVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAGTKGELLQRIARHTMHELVRCIFSHLPDVNDTERALLNGSNTVTQEIAGLNNEYSFGNRQLENGNLSSGYDGQPLSTNPASNSSSGLVASVIDENKIGDSTGKDAVQYDLHLMTEPYGVPCMVEIFHFLCSLLNISEHMGMGPRSNTIEFDEDVPFFALVLINSAIELGGSYIQNHPKLLSLVQDELFRNLMQFGLSTSPIILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEELANLLSKSAFPVNCPLSSIHILALDGLIAVIQGMAERVGNGSVSSEHTPVHLEEYTPFWMVKCENYSDPTDWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHKFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPLILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGSDLPREFLSELYHSICKNEIRTTPEQGAGYPEMTPSRWIDLMHKSKKNAPFIVSDSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEEVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDAKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESEFSADTGPGKPISNSLSSVHIPSIGTPRRSSGLMGRFSQLLSLETEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHISSIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANASHIRSQLGWRTITSLLSITARHPEASESGFDALFFIMSEGTHLLPANYALCVDASRQFAESRVGQAERSICALDLMAGSVDCLARWAREAKQARNEEEVVKMSQDIGEMWFRLVQALRKVCLDQREDVRNHALSLLQKCLTGVDGIPLPHNLWLQCFDVVIFTMLDDLLEIAQGHSQKDYRNMEGTLILAMKLLSKVFLQLLPDLSQLTTFCKLWLGVLSRMEKYMKVKVRGKKSEKLQDQVPELLKNTLLVMNLKGVLVQRSALGGDSLWELTWLHVNNIAPTLQSEVFPDQISEQSETKQGENGGSLVSDETGTLLPTEMVSATGG >ONI20445 pep chromosome:Prunus_persica_NCBIv2:G2:1485636:1492631:-1 gene:PRUPE_2G016100 transcript:ONI20445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVEFLFVDTGLRRNANGNSMSMASVRPVNRDDIEDGFGPHAPSSGKGSQVLHTNPQAKSQKKTRKAVGPATSHHSPPSAIPPPQTSIQEGLATNPISSVSSGTESRAGHVEPQVLKNAPTESSPGTGSTSDNQRFTGKGHRIMEKITKMFKKLKQKLAR >ONI20446 pep chromosome:Prunus_persica_NCBIv2:G2:1485689:1492626:-1 gene:PRUPE_2G016100 transcript:ONI20446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASVRPVNRDDIEDGFGPHAPSSGKGSQVLHTNPQAKSQKKTRKAVGPATSHHSPPSAIPPPQTSIQEGLATNPISSVSSGTESRAGHVEPQVLKNAPTESSPGTGSTSDNQRFTGKGHRIMEKITKMFKKLKQKLAR >ONI25176 pep chromosome:Prunus_persica_NCBIv2:G2:28252734:28255083:1 gene:PRUPE_2G286200 transcript:ONI25176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKACSFFPRTKMPWNCFCCCCLSQDQEEPAETRVHKNRDYPWDIYSLKDLLHATNSFHHDNKIGEGGFGSVYWGRTSKGVEIAVKRLKTMSAKAEMEFAVEVEILGRVRHRNLLGLRGFYAGGEERLIVYDYMPNHSLITHLHGQLAADCLLDWPRRMSIAIGSAEGLSYLHHEANPHIIHRDIKASNVLLDTEFEAKVADFGFAKLIPDGVTHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISGKKPIEKLPGGVKRDIVQWVTPYVQKGLFNQIADSRLKGKFDREQVKSTVLIAMKCTDNSPDNRPTMVEVVAWLKGGIRRRKKEITNHVEETEDEEEVYDETDTDHENYGMEQSDARKNITRARSQRR >ONI25620 pep chromosome:Prunus_persica_NCBIv2:G2:29471312:29473062:-1 gene:PRUPE_2G311800 transcript:ONI25620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKQGIPACGGDGEGHILKRIARIKFPQRHPKSSTSGSTCSQAQHETESIESDVPAPPKNAAAGGKASLQPELGWMHLILNLNLMMEESGETPCFKTTTWEFE >ONI24939 pep chromosome:Prunus_persica_NCBIv2:G2:27463336:27466341:1 gene:PRUPE_2G270300 transcript:ONI24939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSLPKTPYSPPSPPPLDSSDADRRLREAEDRLRDAIEELQRRQRSAAARGPQHLPPCYHASDESCIAHAIGNLCQSFLLSYGVRVGIGILLRAFKLARRQSYSSLLDLKQLVSEKDLIVREEACRIGLFFGGFSGSYHALRCLLRKWRKKETPFNAILAGSVAGLSILALNDSNRRRTLSMYLLARLAQCAYNSAKSKNKFHFWGSHWRHGDSLLFSIACAQVMYAFVMRPESLPKSYQEFIQKTGPVAQPVYKAIRECCRGYPVDIASLSDYLSERTKSDSIKLEEYPSIFPCSVIHPDTNSCLAHNAIATSATFRKTFPLYFSLTFVPFVVLRLQKFMEAPARTFLVALKDAVRSTTFLSAFVGIFQGVICLHRKVASKDHKLLYWIAGGISALSVLLEKKARRGELALYVLPRAGDSLWYILVNRHLLPDIKNAEVFLFCLSMGGIMYYLEHEPDTMAPFLRGLIRRFLASRISNPVSASNRSSSYTYLQSLDAMKKPKLLDSRRTESPSEKYNLESIPGL >ONI21496 pep chromosome:Prunus_persica_NCBIv2:G2:10055397:10055847:1 gene:PRUPE_2G070000 transcript:ONI21496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDSHNMMCAADPRHGWCLTASAMFRCKMSTKEVDEQMININVNDLVSKHQQYQDATVDGESEYKDEEEVIKDM >ONI25040 pep chromosome:Prunus_persica_NCBIv2:G2:27799513:27800667:-1 gene:PRUPE_2G276600 transcript:ONI25040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIALTTRFRQAITPNALRSYLAEFISTFFFVFAVVGSMMSSRKLMPDAASDPASLVVVAIANAFALASAVYIAANASGGHVNPAVTFGMAVGGHISVPNAICYWISQMVASVMACLLLKVTVVGQHVPAYAITEEITGFGASVLEGVLTFGLVYTVYAAGDPRNGAVGGIGPLAIGLMAGANVLATGPFSGGSMNPACAFGSAVVAGSFKNQAVYWVGPLIGAAVAGLLYDNVVFPTQVPDSLTGVTEGVGVF >ONI25200 pep chromosome:Prunus_persica_NCBIv2:G2:28337928:28339967:-1 gene:PRUPE_2G288200 transcript:ONI25200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPCLKEVSEVCLSSCCPAPLFKLSAHHDAMSKSRSTSAACRRDFAATTSSSIFPNTQFTNHESLPSLQESFSDFIKVYPRYQETALVDQIRAQEYYHLSQSNHTCLDYIGIGLFSSSQLHKQESSSQLNSDFPFFSISYKTGNLKTQLLHGGQESELESAMRNRIMDFLNISANDYSMVFTANRTSAFKLVAESYPYKTSRKLLTVYDYESEAVEGMINNSEKRGAKVMSAEFSWPRLRIQSAKLRKMVVSKRKKKKKRGLFVFPVHSRITGSRYPYVWMTMAQENGWHVLVDACALGPKDMDSFGLSLFQPDFLISSFYKIYGENPSGFACLFVKKSAISSLESSTSTGIVNLVPAKKLLQIAGDSSGTDTELEQISRLGLQLDALGTASSFSGLISNHTTQTRRLEREGYESAEEGANRTGLETSEIRELETHANLIKDKNSRSSRNGDSLEVVCRGLDQVDSLGLMMITNRARYLINWLVSSLRKLKHPNTEGFPLVKIYGPKIKFDRGPALAFNVFDWKGEKKRKGES >ONI23708 pep chromosome:Prunus_persica_NCBIv2:G2:23908650:23911953:-1 gene:PRUPE_2G203200 transcript:ONI23708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGSNAAKLNLRESESLSRSYSSGCVSVSSMTEPNPETLTGSCLVSCTTFNILAPIYKRLDQQNQGIRESEFRAFWVARNQRILDWLLYESSAIICLQEFWVGNEEFVNMYLERLGDAGYSTFKLARTNNRGDGLLTAVRRDCFRVLNYRELHFNDFGDRVAQLLHVQLAAPFSQNQKGNVQQEMLIMNTHLLFPHDSSLSIVRLHQVYKILQFVESYQNENKLNPMPIILCGDWNGSKRGHVYKFLRSQGFVSTYDTAHQYTDADAHKWVSHRNHRGNICGVDFIWLCNPNKSRKPLKTSWCEAVFGILRRQLRKASMAENDAFAFLKGDSHGDFITSSAFCEALHQVNLIGQPSGLGFQETRDLWIQADVDANGVLDYEEFKNRIWISTVSEEKENLNGSREESIRGTEDALGFNVKNAVLYPREAEKGIWPEDYTLSDHARLSVVLSPERVWCSQS >ONI23005 pep chromosome:Prunus_persica_NCBIv2:G2:21381598:21387439:-1 gene:PRUPE_2G163800 transcript:ONI23005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNRGRYPPGIGAGRGGGMNANPAFQSRPPHQQQYVQRNLLPNHHHQQYFQQQQHQQQQQQQQQWLRRGQLGGSTSADSAVDEVEKTVQSEAVDPSSHDWKARLNIPAPDTRFRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLVMDEADKLLSPEFQPSVEQLIRFLPSHRQILMFSATFPVTVKDFKDRYLQKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >ONI23491 pep chromosome:Prunus_persica_NCBIv2:G2:23022048:23023620:-1 gene:PRUPE_2G190900 transcript:ONI23491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISSRVRVSVYSGGFIVSFFERFNFKQPRVECKRIAPPLSGLTRLSASRTGALRQAEPSLSEASQCPNYSLALFNIVAQPVYNEKIRQAASFNFKNQLKSQWGPDSSLEDEPTISEVENEKIKVGTINVWIEEMKPCLATYYSAIKSSVDGLALVDELRAANEGKNFQTSWNDFAIDVWKLLLNVSKVSSRDRVALTAINFLTTLSTSMDHNLFTGHLMITQICQDIVMPIVRLRDEDEELFKVNYIEFIKRDMECDIHIMRRTASKFLKGIATNYERQVTNVVFEQIRTLTNCYNSGPFVYWNKMKCALCLAGPIFIKEDHHTDLPKIGTLENFFMTPIVSILKSKDVNEGRRKEGEGCGGHGKRGRRTKIIFYKFWSSI >ONI24952 pep chromosome:Prunus_persica_NCBIv2:G2:27514768:27515693:-1 gene:PRUPE_2G271500 transcript:ONI24952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYARSTVRCDRTREKKEMRSTAGEKARVSQSSLAPLKWQQAREFGSDGSSHAFNSDPILPQPHQFLAFFLSGMGCIRLKPKYRGQSFLCASQFGGSCSGELLLGHLWQGWGVSG >ONI20901 pep chromosome:Prunus_persica_NCBIv2:G2:4229030:4230632:1 gene:PRUPE_2G039500 transcript:ONI20901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHTCNCWCRGISKTLLTLLVKKNGVPDLTMVDLPGITRVPVHGQPENIYDQIKDMIMEYIKPEQSIILNVLSATVDFTTCESIRMSQSVDKTGERTLAVVTMVDKAPEGLLEKVTTDEVNIGLGYVCVRNRIGAETYKEALAISDQLFQTHPLLSRIDESIVGIHVLAQKLVQIQASSIARNLPDIVKKINDKLSSCLSELDKMPKKLSSVADAMTAFINIIGVSKESLSKILIRGEFDEYQNEKHMHCTARLVEMLNQYADELHKANESDPKSNFLTEEIKVLEEAKVRGISSIPIRFVEQVWSYIGDVVISVLMHDTQGYYHLHMATRRAGHNLISKMKERSNSWILEIVEMEKRTDFTCNPEYVSEWNRLMNKQNAFIDGIEFEGLRKHTHVDLSQAFDLKMRMTAYWKVVLRRLVDCMALHLQLTVANLVNKEMELEIGCELMGANHGVGIERMLEEAPSVAVKREKLNKSIKKLKDSKEVVGKILDTYAG >ONI22148 pep chromosome:Prunus_persica_NCBIv2:G2:16884794:16890598:1 gene:PRUPE_2G110400 transcript:ONI22148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMACLNVCKDDQEYVEEKNQKIKEVCTLDGTTDWYGRPAVRGRTGTWVAATLILVNQGLATLAFFGVGVNLVLMLTRVLGQDNAEAANNVSKWTGTVYLFSLLGAFLSDSYWGRYKTCAIFQLIFVIGLSLLSLSTYIFLLKPKGCGDKNTPCGDHSAFVIGLFYISIYLIALGNGGYQPNIATFGADQFDDQGDPKEGQSKIAFFSYFYLALNLGSLFSNTILGYFEDKGMWTLGFWASTGSAAMALVLFLCGTPRYRHFKPQGNPLSRFCRVMVAATRKWKVEMMPSGENLYEEDGKECSPNDRNIVHTHGFKFLDRAAVITSKEKNEMDKGAHNPWRLCTVTQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGASMKTTVSGFHIPPASMSSFDILSVAAFIFICRRFLDPLFGRLRKKRLTELQRMGIGLVIAIMAMVSAGVVEVFRLKYAVKECNNCESPSSLSIFWQVPQFVLVGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVTIVMKVSTRDEMPGWIPGNLNKGHLDRFYFLLAALTTADLLVYILCAKWYKYIKFEAKVGNDNGVHNIGQPELGV >ONI21203 pep chromosome:Prunus_persica_NCBIv2:G2:6128240:6130878:1 gene:PRUPE_2G052900 transcript:ONI21203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLCLTTESSVGRMEEEEEEDLVSGTFTWRIDNFSTLNKQTHYSDVFVVGGYKWRILIFPKGNNVDYLSVYLDFAEASTLPSGSTRYAKFRLTLVNQVDSKKSITKEHEFVANEKDWGFTSFILLSELCDHDKGYLVNDFCVVEVEVSVRNGIKILEDQETGELIDFRGLGRVEKTFVPFLEEVCSSYPSLLECHKKRSRTFIQCAFTALGRLLCFLKTTKAKDMTRDACKRLQLLWEELETFKFDLVWLEPHVQSVLVMKRRAGRVDRLREDVEILENEIKRRRDVLAAAEVDLEAAKRDLAKAEEEFKIDMDTELGYPLP >ONI21202 pep chromosome:Prunus_persica_NCBIv2:G2:6128240:6130878:1 gene:PRUPE_2G052900 transcript:ONI21202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLCLTTESSVGRMEEEEEEDLVSGTFTWRIDNFSTLNKQTHYSDVFVVGGYKWRILIFPKGNNVDYLSVYLDFAEASTLPSGSTRYAKFRLTLVNQVDSKKSITKDTEHEFVANEKDWGFTSFILLSELCDHDKGYLVNDFCVVEVEVSVRNGIKILEDQETGELIDFRGLGRVEKTFVPFLEEVCSSYPSLLECHKKRSRTFIQCAFTALGRLLCFLKTTKAKDMTRDACKRLQLLWEELETFKFDLVWLEPHVQSVLVMKRRAGRVDRLREDVEILENEIKRRRDVLAAAEVDLEAAKRDLAKAEEEFKIDMDTELGYPLP >ONI23734 pep chromosome:Prunus_persica_NCBIv2:G2:23986956:23987156:1 gene:PRUPE_2G204800 transcript:ONI23734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDYLGAKFQKPKHQRKLKGNLEKVRKWKGVFHSQCLLCRFMLLHIFACFCWLGNFTLCTTLCFVY >ONI21699 pep chromosome:Prunus_persica_NCBIv2:G2:12873897:12879201:-1 gene:PRUPE_2G082100 transcript:ONI21699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVDAAVVELLDSSNYVDWSVWVKTYLLAQDLWDVVEQDEEEEEEEEADDKFKAWREKNATALHTIQISCGREASSLIRNTSSAKRAWDTLAENFKPKPRYYNDFHQCQPLFDAVWSGDWDEAKQFLTLHPNAIRTRLPSTNEIALHMATDLEHEHIVEELVQLMSEEDLEITGNDGWTALALAASRGNIKMVECMVRKSKKILSIPTWDSNNVTPVVLASMNEQWDIVDYLYSVTPFQDLMPEKGPYGAGLLCTFIMGMKFGIARELIQRCPQLVFTKGQDGAFPMEAFMPSAFPSGTRLKLWQIWIYNCIHIERAISDIRVSVQNEGKEECNRMKITWSGMLRLWFYLIKFK >ONI21700 pep chromosome:Prunus_persica_NCBIv2:G2:12874621:12879201:-1 gene:PRUPE_2G082100 transcript:ONI21700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVDAAVVELLDSSNYVDWSVWVKTYLLAQDLWDVVEQDEEEEEEEEADDKFKAWREKNATALHTIQISCGREASSLIRNTSSAKRAWDTLAENFKPKPRYYNDFHQCQPLFDAVWSGDWDEAKQFLTLHPNAIRTRLPSTNEIALHMATDLEHEHIVEELVQLMSEEDLEITGNDGWTALALAASRGNIKMVECMVRKSKKILSIPTWDSNNVTPVVLASMNEQWDIVDYLYSVTPFQDLMPEKGPYGAGLLCTFIMGMKFGIARELIQRCPQLVFTKGQDGAFPMEAFMPSAFPSGTRLKLWQIWIYNLTLSEGGVQNIRLRRKWSTQMRDVEMLNWFF >ONI23007 pep chromosome:Prunus_persica_NCBIv2:G2:21391192:21391661:1 gene:PRUPE_2G164000 transcript:ONI23007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASATHDTRTYHVYFVCNLYLSIYLICEITIFINKKTIMQTVTMSNFIQKLLNNLMILHYFEENQSLILSISTDMSGLKGLNHVSLWTSEIYL >ONI22165 pep chromosome:Prunus_persica_NCBIv2:G2:16936869:16945304:-1 gene:PRUPE_2G111500 transcript:ONI22165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWEDMLDFQTAINFRLLQFQSEWLQQNLPCLSEHTSVVMQLSFLGISVLHFLKNNMDLICKRRTKFPDQGTEKHGIGVRFSTTHKTSMACSLFLMGTHFVVLLLLLNGSVTYCNHKLRAYLSESMQVISWTISSVAVYWIVTIKSIKFPWLLRAWWLCNFFLSIISVAVDTHLRITYHGELRLQDYAGFLSLLASVCLFGISIRGKTGLTFIVPNGITEPLLNGKSDKHSEGKKESLYGKATLLQLITFSWLNPLFAVGIKKPLQPDEIPDVDIKDSAEFLSHSFDERLKYVKERDGITNPTIYKTMILFIWKKATINAMFAVISAGASYVGPYLIDDFVKFLNEKNTRSLQSGYILALAFLGAKMVEMITQRQWIFGARQLGLHLRAALISQIYKKGLVLSSKSRQSHTSGEVINYMSVDIQRVTDFIWYLNIIWMMPVQLSLAIYILHTNLGMGSVATLAATFAVLLCNIPMTTIQKGYQTRIMEAKDTRMKATSEVLRSMKTIKLQAWDTQFLHKLESLRKIEYDWLWKSLRLFAIGAFVFWGSPTFISVVTFGACMFMGIELTAGRVLSALATFRMLQDPIFNLPDLLSAIAQGKVSADRVASYLQEDEIQQDSIEHVPKDQMEFAIEIENGKFSWDTVSSSITLDSIQLKVKRGMKVAICGTVGSGKSSLLSSILGEIQKVSGTVKISGTKAYVPQSPWILTGNIRENILFGNAYDRDRYDRTIKACALEKDFELFSCGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTQLFEDCMMGILREKTILYVTHQVEFLPAADYILVMKDGKIAQAGRFEEILRQNIGFELLVGAHSRALGSILTVENTNATSQGPTPEDESNIESTSNAELQQTRHESEHNLSLEITEKEGKLVQDEEREKGSIGKEVYWSYLTTVKGGVLIPIILLAQSSFQILQVASNYWMAWASPPTSETEPKLEMSSILLVYVLLAVGSSLCVLLRSSLVAVAGLSTAQKLFTNMLHSVLRAPMSFFDSTPTGRILNRASTDQSVLDLEMANKLGWCAFSIIQILGTIAVMSQVAWEVFVIFIPVTAVCIWYQRCCHIELESLLPVLHQNLQAQI >ONI22166 pep chromosome:Prunus_persica_NCBIv2:G2:16936869:16945299:-1 gene:PRUPE_2G111500 transcript:ONI22166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWEDMLDFQTAINFRLLQFQSEWLQQNLPCLSEHTSVVMQLSFLGISVLHFLKNNMDLICKRRTKFPDQGTEKHGIGVRFSTTHKTSMACSLFLMGTHFVVLLLLLNGSVTYCNHKLRAYLSESMQVISWTISSVAVYWIVTIKSIKFPWLLRAWWLCNFFLSIISVAVDTHLRITYHGELRLQDYAGFLSLLASVCLFGISIRGKTGLTFIVPNGITEPLLNGKSDKHSEGKKESLYGKATLLQLITFSWLNPLFAVGIKKPLQPDEIPDVDIKDSAEFLSHSFDERLKYVKERDGITNPTIYKTMILFIWKKATINAMFAVISAGASYVGPYLIDDFVKFLNEKNTRSLQSGYILALAFLGAKMVEMITQRQWIFGARQLGLHLRAALISQIYKKGLVLSSKSRQSHTSGEVINYMSVDIQRVTDFIWYLNIIWMMPVQLSLAIYILHTNLGMGSVATLAATFAVLLCNIPMTTIQKGYQTRIMEAKDTRMKATSEVLRSMKTIKLQAWDTQFLHKLESLRKIEYDWLWKSLRLFAIGAFVFWGSPTFISVVTFGACMFMGIELTAGRVLSALATFRMLQDPIFNLPDLLSAIAQGKVSADRVASYLQEDEIQQDSIEHVPKDQMEFAIEIENGKFSWDTVSSSITLDSIQLKVKRGMKVAICGTVGSGKSSLLSSILGEIQKVSGTVKISGTKAYVPQSPWILTGNIRENILFGNAYDRDRYDRTIKACALEKDFELFSCGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTQLFEDCMMGILREKTILYVTHQVEFLPAADYILVMKDGKIAQAGRFEEILRQNIGFELLVGAHSRALGSILTVENTNATSQGPTPEDESNIESTSNAELQQTRHESEHNLSLEITEKEGKLVQDEEREKGSIGKEVYWSYLTTVKGGVLIPIILLAQSSFQILQVASNYWMAWASPPTSETEPKLEMSSILLVYVLLAVGSSLCVLLRSSLVAVAGLSTAQKLFTNMLHSVLRAPMSFFDSTPTGRILNRASTDQSVLDLEMANKLGWCAFSIIQILGTIAVMSQVAWEVFVIFIPVTAVCIWYQVRTSISS >ONI22073 pep chromosome:Prunus_persica_NCBIv2:G2:16215390:16217622:1 gene:PRUPE_2G105200 transcript:ONI22073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQGKKQTILCLSFVTLFSLVGLLCAEIDGSPNIVVEEVHVGVILDMGSREGKIILSCISTALSDFYHLHNNYSTRVILHTRDSKGKALHALSAALNLLDKIKVEAIIGAQTRMEANLLAELGEEAKVPVLSLSGLRTSPFGAPGEYPFFVEITQDETSQVTAINGLLEMFKWRDVILLYENTDYGRDIIPFFINSIEEANVTIVYKSCIAASSADEQIIEELRNLTKLKTTVFVVHVSHFLVPRLFLNAKKLGLLSEGYAWIMTSTSMNFLHFSMDPSVIESTQGVLGLKSYTPASTRLHNLTSRLRRIFYMQDPNIEVSAVTPDGIWAYDATWALAEAVERTSTSTGLNLVNLNNITSSKHGLLLLQEILQTRFKGLSGEEIQYANGKLVSSAFEIVNVIGKGDRRVGFWPCEEKHTRDSYPLNNRRNLLSTNDLETIIWPGGSSTIPRGSKMQVSTSSEIKLRVGVPVKKGFNELVHMNHDIQTNRTYFTGFCIDVFQAAISKLPYKVNYEFVPFEVSSVTYNDLVYQVFNKTFDAVVGDTTITSVRSQNVGFTIPYSDLGVGMLVSNENEDMWIFLKPLSTDLWITSAGFFILTGFIVWVIERPVNPEFQGTPSQQIGTILWFAF >ONI25258 pep chromosome:Prunus_persica_NCBIv2:G2:28541654:28542274:-1 gene:PRUPE_2G292400 transcript:ONI25258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQSQSSSMASLASQICNHIASIFTKPTHPHPPVLDLLVNELSSAAARNGGVFLYGVGREGLMLKALCMRLAHLGLSAHMVFDMTTPPISTTDLLIASAGPGGFSTVEAICSVARSHGARVLLLTAQPETGSCVAHASVVGYVPAQTMANDADAGDVMESRPLLPMGSAYEGALFVLFEMVVYKLAEALGQTSPEAIRARHTNLE >ONI25332 pep chromosome:Prunus_persica_NCBIv2:G2:28728414:28733343:1 gene:PRUPE_2G296700 transcript:ONI25332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTATWSPSSLQLRLALNYGNCTKTSPILLRMRLGKLDHRARVLCVAQDRERPGNGMQPRRDGSSWVGSNSTADGFKGWSDSDNGEDALDSQRRKWFGGTVGAGVAGAVFVVGLTFAALSLGKRNNSRPEQKMEPLTTQQEMSLTYDDQNDRSTEDVDDQSIMKHDASSSPEGRTALQVLPGKVLVPAVVDQVQGQALAALQVLKVIEAEVQPGDLCTRREYARWLVSASSALSRNSISKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSRLSRNDMLSSLDEDESPFYFSPESPLSRQDLVSWKMALEKRNLPKADKEVLYQISGFIDTDKIHPDACPALVADLSGEQGIITLAFGYTRLFQPGKPVTKAQAAIALATGEYSDLVSEELARIEAESIAENAVDAHNALVAEVEKDVNASFQKDLSIEREKIDAVEKMAEEARHELERLRSEREEDNVALMKERAAVESEMEVLSRLRHEVEEQLESLLSNKVEISYEKERISKLRKEAENESQEIARLQYDLEVERKALSMARAWAEDEAKRAREQAKVLEEARDRWERQGIKVVVDNDLREEALAEVTWLDAGKQFSVEGTVSRAENLMDKLKAIATNIKGKSRDIIDKIIQKIALLVSNLREWIPQAGKEAGELKDAAISKASRSAQELQQSTLEFSLALKEGAKRVVEDCRGGVEKLTQKFKT >ONI25333 pep chromosome:Prunus_persica_NCBIv2:G2:28729476:28732982:1 gene:PRUPE_2G296700 transcript:ONI25333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVVVQKEGQGLAEGISSSLEGNIISETLQVLPGKVLVPAVVDQVQGQALAALQVLKVIEAEVQPGDLCTRREYARWLVSASSALSRNSISKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSRLSRNDMLSSLDEDESPFYFSPESPLSRQDLVSWKMALEKRNLPKADKEVLYQISGFIDTDKIHPDACPALVADLSGEQGIITLAFGYTRLFQPGKPVTKAQAAIALATGEYSDLVSEELARIEAESIAENAVDAHNALVAEVEKDVNASFQKDLSIEREKIDAVEKMAEEARHELERLRSEREEDNVALMKERAAVESEMEVLSRLRHEVEEQLESLLSNKVEISYEKERISKLRKEAENESQEIARLQYDLEVERKALSMARAWAEDEAKRAREQAKVLEEARDRWERQGIKVVVDNDLREEALAEVTWLDAGKQFSVEGTVSRAENLMDKLKAIATNIKGKSRDIIDKIIQKIALLVSNLREWIPQAGKEAGELKDAAISKASRSAQELQQSTLEFSLALKEGAKRVVEDCRGGVEKLTQKFKT >ONI25330 pep chromosome:Prunus_persica_NCBIv2:G2:28728498:28733339:1 gene:PRUPE_2G296700 transcript:ONI25330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTATWSPSSLQLRLALNYGNCTKTSPILLRMRLGKLDHRARVLCVAQDRERPGNGMQPRRDGSSWVGSNSTADGFKGWSDSDNGEDALDSQRRKWFGGTVGAGVAGAVFVVGLTFAALSLGKRNNSRPEQKMEPLTTQQEMSLTYDDQNDRSTEDVDDQSIMKHDASSSPEGRTGTFEDSSSSTEIDESLSEIRVGNDNDIRDLSVQDFKNTSRDTDAINNASIQEDSPHESTSDDKLLEPETSTRQFNLPEPENGNDSFVAYGLEDVDSSLTVGTGDLASVLKENLVSVEPTNLPAYDANPSNLSFEPQDGIPETSEQNEPIGLDVSVTSQSNTILEPQISSEDSIGTVASSSTKENLDLSTLQGLAEGISSSLEGNIISESESSKSKSQLPNAGNSFSSAGIPAPTVVSAALQVLPGKVLVPAVVDQVQGQALAALQVLKVIEAEVQPGDLCTRREYARWLVSASSALSRNSISKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSRLSRNDMLSSLDEDESPFYFSPESPLSRQDLVSWKMALEKRNLPKADKEVLYQISGFIDTDKIHPDACPALVADLSGEQGIITLAFGYTRLFQPGKPVTKAQAAIALATGEYSDLVSEELARIEAESIAENAVDAHNALVAEVEKDVNASFQKDLSIEREKIDAVEKMAEEARHELERLRSEREEDNVALMKERAAVESEMEVLSRLRHEVEEQLESLLSNKVEISYEKERISKLRKEAENESQEIARLQYDLEVERKALSMARAWAEDEAKRAREQAKVLEEARDRWERQGIKVVVDNDLREEALAEVTWLDAGKQFSVEGTVSRAENLMDKLKAIATNIKGKSRDIIDKIIQKIALLVSNLREWIPQAGKEAGELKDAAISKASRSAQELQQSTLEFSLALKEGAKRVVEDCRGGVEKLTQKFKT >ONI25331 pep chromosome:Prunus_persica_NCBIv2:G2:28728486:28733343:1 gene:PRUPE_2G296700 transcript:ONI25331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTATWSPSSLQLRLALNYGNCTKTSPILLRMRLGKLDHRARVLCVAQDRERPGNGMQPRRDGSSWVGSNSTADGFKGWSDSDNGEDALDSQRRKWFGGTVGAGVAGAVFVVGLTFAALSLGKRNNSRPEQKMEPLTTQQEMSLTYDDQNDRSTEDVDDQSIMKHDASSSPEGRTGTFEDSSSSTEIDESLSEIRVGNDNDIRDLSVQDFKNTSRDTDAINNASIQEDSPHESTSDDKLLEPETSTRQFNLPEPENGNDSFVAYGLEDVDSSLTVGTGDLASVLKENLVSVEPTNLPAYDANPSNLSFEPQDGIPETSEQNEPIGLDVSVTSQSNTILEPQISSEDSIGTVASSSTKENLDLSTLQGLAEGISSSLEGNIISETLQVLPGKVLVPAVVDQVQGQALAALQVLKVIEAEVQPGDLCTRREYARWLVSASSALSRNSISKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSRLSRNDMLSSLDEDESPFYFSPESPLSRQDLVSWKMALEKRNLPKADKEVLYQISGFIDTDKIHPDACPALVADLSGEQGIITLAFGYTRLFQPGKPVTKAQAAIALATGEYSDLVSEELARIEAESIAENAVDAHNALVAEVEKDVNASFQKDLSIEREKIDAVEKMAEEARHELERLRSEREEDNVALMKERAAVESEMEVLSRLRHEVEEQLESLLSNKVEISYEKERISKLRKEAENESQEIARLQYDLEVERKALSMARAWAEDEAKRAREQAKVLEEARDRWERQGIKVVVDNDLREEALAEVTWLDAGKQFSVEGTVSRAENLMDKLKAIATNIKGKSRDIIDKIIQKIALLVSNLREWIPQAGKEAGELKDAAISKASRSAQELQQSTLEFSLALKEGAKRVVEDCRGGVEKLTQKFKT >ONI21424 pep chromosome:Prunus_persica_NCBIv2:G2:8947552:8956125:1 gene:PRUPE_2G065200 transcript:ONI21424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKIARTTQVSASEYYLHDLPSSYNLVLKEVLGRGRFFKSIECKHDEGLVLVKVYFKRGDSIDLREYERRLFHIKETFRALDHPHVWPFQFWQETDKAAYLVRQYFFNNLHDRLSTRPFLSLIEKKWLAFQLLLALKQCHDKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMQVAQDAPLRPSMDIFAVGCVIAELFLEGQPLFELSQLLAYRRGQYDPTQLLEKIPDSGIRKMILHMIQLEPELRLSADSYLQEYTTIVFPSYFSPFLHNFHCFWNPLHSDMRVALCQSVFHEILKQMMSNRSTEDTGTGLGTPPNANAISDKTSQEVVTMQNKNFAKGSIRKREEIVLDDNPDSTFSQNLGNYGMQSPGELLQSISNAFRRNDHPFMKKITLNDLNSLMSKYDSQSDTFGMPFLPLPEDSMRCEGMVLITSLLCSCIRNVKLPHLRRRAILLLKSSALYIDDEDRLQRVIPYVVAMLSDPAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSISLSEAGVLDELSSAKKPLASSSETSGQLQRVNSDAQLAMLRKSIAEVIQELVMGPKQTPNIRRALLQDISNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRAVFYGQIVYVCFFVGQRSVEEYLLPYIEQAVSDVTEAVIVNALDCLAILCKSGFLRKRILLEMIERAFPLLCYPSQWVRRSAVTFIAASSDCLGAVDSYVFLAPVIRPLLRRQPASLASEKALLACLKPPVSRQVFYQVLENARSSDMLERQRKIWYNSWPQSKQWESVDLLPKGVEELSSTRNWPDKQQNPENQKLTGKALQQAELTECEDGEAKLRSMGSFTRASSTVDIHDPLSSEKLQFSGFMWPQGSGVNSFMCDKSSVGIPLYSFSMDRRAVGVPPAASDSPSQVNSVGLGASSMPWMDPVNKSFSLASSVPAPKLVSGSFNMSSGSKQFYRVVHEPDGRDNDQTAFASSKLQDMGLSGTSKGSSIAAEDASPPSDITGLPSSARNSSIPDSGWRPRGVLVAHLQEHRSAVNDIAISTDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRALCTAMLRGSAQVVVGACDGMIHMFSVDYISRGLGNVVEKYSGVADIKKKDIKEGAILSLLNFSADNCTNQMVMYSTQNCGIHLWDTRMNTNSWTLRATPEEGYVSSLVTGPCENWFVSGSSRGVLTLWDMRFLIPVNSWQYSAVCPIEKMCLFLPPPNTSASAAARPLVYVAAGCNEVSLWNAENGSCHQVLRVASYESDAETSEVPWALARSSSKNSKPDLRRNVNPHYRVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLKIRRWDHYSPDRSYSICGPNLKGVGNDDFYATRSSFGVQVVQETKRRPLTSKLTAKAVLAAAATDSAGCHRDSILSLASVKLNQRHLISSSRDGAIKVWK >ONI21423 pep chromosome:Prunus_persica_NCBIv2:G2:8947552:8956125:1 gene:PRUPE_2G065200 transcript:ONI21423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKIARTTQVSASEYYLHDLPSSYNLVLKEVLGRGRFFKSIECKHDEGLVLVKVYFKRGDSIDLREYERRLFHIKETFRALDHPHVWPFQFWQETDKAAYLVRQYFFNNLHDRLSTRPFLSLIEKKWLAFQLLLALKQCHDKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMQVAQDAPLRPSMDIFAVGCVIAELFLEGQPLFELSQLLAYRRGQYDPTQLLEKIPDSGIRKMILHMIQLEPELRLSADSYLQEYTTIVFPSYFSPFLHNFHCFWNPLHSDMRVALCQSVFHEILKQMMSNRSTEDTGTGLGTPPNANAISDKTSQEVVTMQNKNFAKGSIRKREEIGKGLKCDQFELLGDINTLLRDVKQSNHYSVSKPVLDDNPDSTFSQNLGNYGMQSPGELLQSISNAFRRNDHPFMKKITLNDLNSLMSKYDSQSDTFGMPFLPLPEDSMRCEGMVLITSLLCSCIRNVKLPHLRRRAILLLKSSALYIDDEDRLQRVIPYVVAMLSDPAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSISLSEAGVLDELSSAKKPLASSSETSGQLQRVNSDAQLAMLRKSIAEVIQELVMGPKQTPNIRRALLQDISNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRAVFYGQIVYVCFFVGQRSVEEYLLPYIEQAVSDVTEAVIVNALDCLAILCKSGFLRKRILLEMIERAFPLLCYPSQWVRRSAVTFIAASSDCLGAVDSYVFLAPVIRPLLRRQPASLASEKALLACLKPPVSRQVFYQVLENARSSDMLERQRKIWYNSWPQSKQWESVDLLPKGVEELSSTRNWPDKQQNPENQKLTGKALQQAELTECEDGEAKLRSMGSFTRASSTVDIHDPLSSEKLQFSGFMWPQGSGVNSFMCDKSSVGIPLYSFSMDRRAVGVPPAASDSPSQVNSVGLGASSMPWMDPVNKSFSLASSVPAPKLVSGSFNMSSGSKQFYRVVHEPDGRDNDQTAFASSKLQDMGLSGTSKGSSIAAEDASPPSDITGLPSSARNSSIPDSGWRPRGVLVAHLQEHRSAVNDIAISTDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRALCTAMLRGSAQVVVGACDGMIHMFSVDYISRGLGNVVEKYSGVADIKKKDIKEGAILSLLNFSADNCTNQMVMYSTQNCGIHLWDTRMNTNSWTLRATPEEGYVSSLVTGPCENWFVSGSSRGVLTLWDMRFLIPVNSWQYSAVCPIEKMCLFLPPPNTSASAAARPLVYVAAGCNEVSLWNAENGSCHQVLRVASYESDAETSEVPWALARSSSKNSKPDLRRNVNPHYRVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLKIRRWDHYSPDRSYSICGPNLKGVGNDDFYATRSSFGVQVVQETKRRPLTSKLTAKAVLAAAATDSAGCHRDSILSLASVKLNQRHLISSSRDGAIKVWK >ONI22060 pep chromosome:Prunus_persica_NCBIv2:G2:16096135:16097475:1 gene:PRUPE_2G104200 transcript:ONI22060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLNLSCPASTVTFPQSGHQHPINASSSLLNPNLLNRIQIQRTLHTSFPLLHKPTSPKLEASTKVSSFSRIYGHPIGISSKPISQVQDWGSKISQLNKTCGFPSGFSSKPRFQIPKAASEANPERGESEAAVSKPKATTAQLALIFGLWYFQNIVFNIYNKKILNIFPFPWLLASFQLFAGSVWMLALWSLKLQPCPKISKPFIVALLGPALFHTIGHISACVSFSKVAVSFTHVIKSSEPVFSVVFSSFVGDSYPLQVWLSILPIVLGCSLAAITEVSFNFQGLWGALISNVGFVLRNIYSKRSLQNFKEVDGLNLYGWITILSLLYLFPVAIFVEGSQWVQGYHRAIATVGKPSTFYIWVLLSGVFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIVSTVLVFRNPVRPLNALGSALAIFGTFLYSQATASKKQKGGEKKN >ONI25381 pep chromosome:Prunus_persica_NCBIv2:G2:28832332:28837068:-1 gene:PRUPE_2G299200 transcript:ONI25381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPLLDTDAGADQLSTKPHDLYEGGNEDYAPVRSFDALRCMFWIETVKLWKMAGPAVITMLCMYGTNSAVILFVGHIGTVELSAVSISLAVISTFAYGFLFGMGSALETLCGQAFGAGEIHMLGIYMQRSWIILLVTSLFILPIYIFGTPVLKLLGQEDDIANLAGEFTIQTIPSLFSLAIIFPSQKFLQAQRKVMVLAWIAVFGLIIQIGLLCLFILVFGWGTLGAAVAFDIVRWGMAIAQVVYIMGWCRDGWTGFSWLAFKEIWAFVRLSLASAVMLCLEIWYMMSILILTGHLDNAVIAVGSLSICVNINGFELMLFIGINVAMSVRVSNELGSGRPRAAKYSVYVTVFQCLLIGIFFMIVILITKDSFSLLFTSDKDLQQAVAKLAYLLCITMLLNSIQPIISGVAIGGGWQALVAYINLGCYYIFGLPLGYLLGYTANLGVMGLWGGMICGTALQTLLLLIVLYKTNWNKEVEQATKRVRQWGVLCGSVNQL >ONI25382 pep chromosome:Prunus_persica_NCBIv2:G2:28834497:28837068:-1 gene:PRUPE_2G299200 transcript:ONI25382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPLLDTDAGADQLSTKPHDLYEGGNEDYAPVRSFDALRCMFWIETVKLWKMAGPAVITMLCMYGTNSAVILFVGHIGTVELSAVSISLAVISTFAYGFLFGMGSALETLCGQAFGAGEIHMLGIYMQRSWIILLVTSLFILPIYIFGTPVLKLLGQEDDIANLAGEFTIQTIPSLFSLAIIFPSQKFLQAQRKVMVLAWIAVFGLIIQIGLLCLFILVFGWGTLGAAVAFDIVRWGMAIAQVVYIMGWCRDGWTGFSWLAFKEIWAFVRLSLASAVMLCLEIWYMMSILILTGHLDNAVIAVGSLSICVNINGFELMLFIGINVAMSVRVSNELGSGRPRAAKYSVYVTVFQCLLIGIFFMIVILITKDSFSLLFTSDKDLQQAVAKLAYLLCITMLLNSIQPIISGVAIGGGWQALVAYINLGCYYIFGLPLGYLLGYTANLGVMGLWGGMICGTALQTLLLLIVLYKTNWNKEVEQATKRVRKWGGQDVTAENGAQST >ONI20897 pep chromosome:Prunus_persica_NCBIv2:G2:4211129:4211332:-1 gene:PRUPE_2G039100 transcript:ONI20897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNDTYTRVQVSIDICGVDHPSRKRRFEVVYNLLSSRYNSRIRVQISADVVTRISLVVSLVPSTGH >ONI21690 pep chromosome:Prunus_persica_NCBIv2:G2:12587169:12588577:1 gene:PRUPE_2G081300 transcript:ONI21690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSENGLSYRWAYRAQSYRPQLCIGSYLYMLFHVIH >ONI25666 pep chromosome:Prunus_persica_NCBIv2:G2:29542875:29544688:1 gene:PRUPE_2G313600 transcript:ONI25666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLGFSFSPASHRVQLRVESSMLSNCSFRPQVISRMQKDGSGRQVWRRRKLTKKDDMLRYKMERVPFLEEQVRKVKDGGQLLGMDIERLLLSEDNRFDFVNDIAAEASEYVENNRDEYGGKKKAILQVISNRVNDAGFFRPEAYEESDPFKPGPSYLKEEFT >ONI25667 pep chromosome:Prunus_persica_NCBIv2:G2:29542875:29544688:1 gene:PRUPE_2G313600 transcript:ONI25667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLGFSFSPASHVISRMQKDGSGRQVWRRRKLTKKDDMLRYKMERVPFLEEQVRKVKDGGQLLGMDIERLLLSEDNRFDFVNDIAAEASEYVENNRDEYGGKKKAILQVISNRVNDAGFFRPEAYEESDPFKPGPSYLKEEFT >ONI25754 pep chromosome:Prunus_persica_NCBIv2:G2:29774894:29776061:1 gene:PRUPE_2G318300 transcript:ONI25754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAELACSYAAMILHDDGIAITSEKIAALVRSANVAVESYWPSLFAKLAEKRSLEDLILNAGSGGCSAPVTVAAAPGGAASAAAPAVEEKKEEPKEESDDDMGFSLFD >ONI23628 pep chromosome:Prunus_persica_NCBIv2:G2:23667374:23670487:-1 gene:PRUPE_2G199500 transcript:ONI23628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLERKSHPKINHVEPGDEITKEEEEESFCYAMQLVGSSVLSISLQSAIELGVFDIIAKEGPGAKLSLSEIAAKIGTRNSEAPMMMDRILRLLASHSVLHCSLVAANEDENGGSDFQRVYSLGPVSKYFVNDVEGGSLGPLMALDQDKFVLIIILIGSQLKDAVVEGGIPFNRVHGMQTFEFLGLDPRFNQVFNTAMFNHTTIVIKKLLHIYKGFQDKNLTQLIDVGGGFGVTLNLVTSRYPHIRGIYYDLPHVVNHPLPILVWNMFASVPIPSGDAIFLKWILHNRSDEHCLKLLKNCCKAIPDNGKVIVVEELLPAMPDTRDTSTAVKSTSQLDVIMMTQIPGAKERRTRNHGPGNWC >ONI23998 pep chromosome:Prunus_persica_NCBIv2:G2:24757969:24764072:1 gene:PRUPE_2G217800 transcript:ONI23998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSANDPVESFFNSIQLVKEALSPLELSFRKAAKDFEYCWAGPKNKVNAVDLVYQFDGVDKNGKAQIFGGKKKAGHCVTVGGDERKKGLSAKVPIKALFGKFSQNSGNENRPEVSKSGLTEKESAKEDGSCVNCLQFAVNWSVLANCFVQAFPGPFKLGKKRVQKTSDEDKACSCKKPKVSGDLKQRESKGQHARTIQNEVVSHNEGKHVSLECLIGFVFDQLTQNLQKFDHGVQESGRETCETSPEPTSSSQTDHFRVITGLLEGRKADVNGFLGNLKFARVGGVPSGVVGVTSSVNEEGDEDVTARNRAESAGNSPQKLASDILSIPLSNVERLRSTLSTVSLTELIELVPHLGRPSKEYPDKKKLFSVQDFFRYTESEGRRFFEELDRDRDGQVTLEDLEIAIRKRKLPRRYAHEFMRRTRRHIFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEVLASLKNAGLPANEDNAVAMMRFLNADTEGSISYGHFRNFMLLLPSDRLQDDPRSIWFEAATVVAVAPPVEIPAGSVLRSALAGGLACALSTSLLHPVDTIKTRVQASTLTFPEIISKLPQIGVQGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINFAPTLPDIQVQSLASFCSTFLGTAVRIPCEVLKQRLQAGLFDNVGEAIVGTWNQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKAAQKFLGRDLEAWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPQGRPISMSMVAFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDKNDELNSDQVLQKKVASTG >ONI20864 pep chromosome:Prunus_persica_NCBIv2:G2:4068264:4073977:1 gene:PRUPE_2G037800 transcript:ONI20864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMIHPPCEGKKSFKNLSPQRKTFSKSFHTSLPELSELSANLGILLHPIPLQRKEEKNGWSFDRRGLYFCFHPVLDDAEEKQIEKPAVREWLDDLKHAVFDAEDLLGEINYEALRCKLEGEAETADKFTNKVWNFLPTSRNKFYQSMNVKIQELLRKLEDFVQLKGALGLTEVVGRKVSQRTPTTSLIHEPYVYGRNEVKENLSKVLFSDDASKDDVSVITIVGMGGVGKTTLARMLYNEDRVKEHFTFKAWACVSEDYDAIRVTKTLLESVTSKPCNTTDLNLLQVELREQLRGKKFLFVLDDLWNEKYTDWNCLQTPFTSGARGSKVIVTTRNKNVASFMQNVPTQPLEPLSHEDCWSLLAKHAFGNVSCSAYPSLEEIGKKIARKCNGLPLAAQTLGGLLRSRLDSEVWNRVLNNSIWELPSEKSDILPALGLSYHYLPAKLKRCFIYCSIFPKDYEFKVEDVVFLWMAEGLIPQAENGDNMEEVAKEYFDELLSRSLFQTSGKSSFVMHDLINDLAVFMSKGFCSRWEGRESHEVERVRHLSYAREEYDVSLKFEQLKEAKCLRTFLPTSLNTHKEYNYLGKKVVPNLSSSHRCLRVLSLSSYRNVTQLPDSIKNLIHLRYLDLSGTAIETLPSVLCSLYYLQTLLLSNCSFLVELPADLRKLINLQKLMLGGCASLAKLPVDLWELISLRHLDVSGTKIAEMPAQMSRLKSLRTLIAFVVGKSIGSTIGELGELPHLQGKLSILKLQNVVDAKDAVQANLKNKNDLKELELAWDDEDLDDSEKARDVLGKLQPSISLEKLIIKFYGGTNFPNWLGDSCFSNIQVMRLSNCKYCWSLPPVGGLPALKELYIERMEFVKTIGVEFYGRNGAYLIQPFQSLEKLEFKEMAEWEEWIPSGSGGPDFPRLQVLILNVCPKLRGSLPCDLPCLKKLGVDGCGVLHDQGATATTSSGTSLNYNSLEELEIGECQTGLLSLLETKLLSQLAIRHFNDIQCLPNINRLHRLSLWNCPTLSSFPEDGLPTSLTSLIIINCRILEFLPQEMLAKLTSLHLLRIYNSCDSMRSFPLDIFPKLKTLEIGYCDNLESLCLIEEGAVLSHLNDLQVYNCPNLVCFPPGGLPTPNLTRLEFLGCEKLKSLPEHIHTLTALGHLNLSNLPNLESIAEDGGLPPNLRDFSINNCERLRASSSSVGDYNNWGLQALVSLEEFRIGGRGSDEILETLLKQQLLPTTVRTLRIGELSTLKSSDVKGLARLTFLETLEIIWCKSLEFLPGEVLQHLTSLQRLYIYDCPSLQFLPEEGLPPSLSYLCISKCPALEKRYQNKTEQDHWASISHIQCIQINDEVILR >ONI20865 pep chromosome:Prunus_persica_NCBIv2:G2:4068264:4073978:1 gene:PRUPE_2G037800 transcript:ONI20865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMIHPPCEGKKSFKNLSPQRKTFSKSFHTSLPELSELSANLGILLHPIPLQRKEEKNGWSFDRRGLYFCFHPVLDDAEEKQIEKPAVREWLDDLKHAVFDAEDLLGEINYEALRCKLEGEAETADKFTNKVWNFLPTSRNKFYQSMNVKIQELLRKLEDFVQLKGALGLTEVVGRKVSQRTPTTSLIHEPYVYGRNEVKENLSKVLFSDDASKDDVSVITIVGMGGVGKTTLARMLYNEDRVKEHFTFKAWACVSEDYDAIRVTKTLLESVTSKPCNTTDLNLLQVELREQLRGKKFLFVLDDLWNEKYTDWNCLQTPFTSGARGSKVIVTTRNKNVASFMQNVPTQPLEPLSHEDCWSLLAKHAFGNVSCSAYPSLEEIGKKIARKCNGLPLAAQTLGGLLRSRLDSEVWNRVLNNSIWELPSEKSDILPALGLSYHYLPAKLKRCFIYCSIFPKDYEFKVEDVVFLWMAEGLIPQAENGDNMEEVAKEYFDELLSRSLFQTSGKSSFVMHDLINDLAVFMSKGFCSRWEGRESHEVERVRHLSYAREEYDVSLKFEQLKEAKCLRTFLPTSLNTHKEYNYLGKKVVPNLSSSHRCLRVLSLSSYRNVTQLPDSIKNLIHLRYLDLSGTAIETLPSVLCSLYYLQTLLLSNCSFLVELPADLRKLINLQKLMLGGCASLAKLPVDLWELISLRHLDVSGTKIAEMPAQMSRLKSLRTLIAFVVGKSIGSTIGELGELPHLQGKLSILKLQNVVDAKDAVQANLKNKNDLKELELAWDDEDLDDSEKARDVLGKLQPSISLEKLIIKFYGGTNFPNWLGDSCFSNIQVMRLSNCKYCWSLPPVGGLPALKELYIERMEFVKTIGVEFYGRNGAYLIQPFQSLEKLEFKEMAEWEEWIPSGSGGPDFPRLQVLILNVCPKLRGSLPCDLPCLKKLGVDGCGVLHDQGATATTSSGTSLNYNSLEELEIGECQTGLLSLLETKLLSQLAIRHFNDIQCLPNINRLHRLSLWNCPTLSSFPEDGLPTSLTSLIIINCRILEFLPQEMLAKLTSLHLLRIYNSCDSMRSFPLDIFPKLKTLEIGYCDNLESLCLIEEGAVLSHLNDLQVYNCPNLVCFPPGGLPTPNLTRLEFLGCEKLKSLPEHIHTLTALGHLNLSNLPNLESIAEDGGLPPNLRDFSINNCERLRASSSSVGDYNNWGLQALVSLEEFRIGGRGSDEILETLLKQQLLPTTVRTLRIGELSTLKSSDVKGLARLTFLETLEIIWCKSLEFLPGEVLQHLTSLQRLYIYDCPSLQFLPEEGLPPSLSYLCISKCPALEKRYQNKTEQDHWASISHIQCIQINDEVILR >ONI20867 pep chromosome:Prunus_persica_NCBIv2:G2:4068486:4072469:1 gene:PRUPE_2G037800 transcript:ONI20867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALIGEAFISASIQVICDRIASPEFIDLFRHKKLDQPLLMKLKRTLLTLNAVLDDAEEKQIEKPAVREWLDDLKHAVFDAEDLLGEINYEALRCKLEGEAETADKFTNKVWNFLPTSRNKFYQSMNVKIQELLRKLEDFVQLKGALGLTEVVGRKVSQRTPTTSLIHEPYVYGRNEVKENLSKVLFSDDASKDDVSVITIVGMGGVGKTTLARMLYNEDRVKEHFTFKAWACVSEDYDAIRVTKTLLESVTSKPCNTTDLNLLQVELREQLRGKKFLFVLDDLWNEKYTDWNCLQTPFTSGARGSKVIVTTRNKNVASFMQNVPTQPLEPLSHEDCWSLLAKHAFGNVSCSAYPSLEEIGKKIARKCNGLPLAAQTLGGLLRSRLDSEVWNRVLNNSIWELPSEKSDILPALGLSYHYLPAKLKRCFIYCSIFPKDYEFKVEDVVFLWMAEGLIPQAENGDNMEEVAKEYFDELLSRSLFQTSGKSSFVMHDLINDLAVFMSKGFCSRWEGRESHEVERVRHLSYAREEYDVSLKFEQLKEAKCLRTFLPTSLNTHKEYNYLGKKVVPNLSSSHRCLRVLSLSSYRNVTQLPDSIKNLIHLRYLDLSGTAIETLPSVLCSLYYLQTLLLSNCSFLVELPADLRKLINLQKLMLGGCASLAKLPVDLWELISLRHLDVSGTKIAEMPAQMSRLKSLRTLIAFVVGKSIGSTIGELGELPHLQGKLSILKLQNVVDAKDAVQANLKNKNDLKELELAWDDEDLDDSEKARDVLGKLQPSISLEKLIIKFYGGTNFPNWLGDSCFSNIQVMRLSNCKYCWSLPPVGGLPALKELYIERMEFVKTIGVEFYGRNGAYLIQPFQSLEKLEFKEMAEWEEWIPSGSGGPDFPRLQVLILNVCPKLRGSLPCDLPCLKKLGVDGCGVLHDQGATATTSSGTSLNYNSLEELEIGECQTGLLSLLETKLLSQLAIRHFNDIQCLPNINRLHRLSLWNCPTLSSFPEDGLPTSLTSLIIINCRILEFLPQEMLAKLTSLHLLRIYNSCDSMRSFPLDIFPKLKTLEIGYCDNLESLCLIEEGAVLSHLNDLQVYNCPNLVCFPPGGLPTPNLTRLEFLGCEKLKSLPEHIHTLTALGHLNLSNLPNLESIAEDGGLPPNLRDFSINNCERLRASSSSVGDYNNWGLQALVSLEEFRIGGRGSDEILETLLKQQLLPTTVRTLRIGELSTLKSSDVKGLARLTFLETLEIIWCKSLEFLPGEVLQHLTSLQRLYIYDCPSLQFLPEEGLPPSLSYLCISKCPALEKRYQNKTEQDHWASISHIQCIQINDEVILR >ONI20866 pep chromosome:Prunus_persica_NCBIv2:G2:4068486:4072469:1 gene:PRUPE_2G037800 transcript:ONI20866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALIGEAFISASIQVICDRIASPEFIDLFRHKKLDQPLLMKLKRTLLTLNAVLDDAEEKQIEKPAVREWLDDLKHAVFDAEDLLGEINYEALRCKLEGEAETADKFTNKVWNFLPTSRNKFYQSMNVKIQELLRKLEDFVQLKGALGLTEVVGRKVSQRTPTTSLIHEPYVYGRNEVKENLSKVLFSDDASKDDVSVITIVGMGGVGKTTLARMLYNEDRVKEHFTFKAWACVSEDYDAIRVTKTLLESVTSKPCNTTDLNLLQVELREQLRGKKFLFVLDDLWNEKYTDWNCLQTPFTSGARGSKVIVTTRNKNVASFMQNVPTQPLEPLSHEDCWSLLAKHAFGNVSCSAYPSLEEIGKKIARKCNGLPLAAQTLGGLLRSRLDSEVWNRVLNNSIWELPSEKSDILPALGLSYHYLPAKLKRCFIYCSIFPKDYEFKVEDVVFLWMAEGLIPQAENGDNMEEVAKEYFDELLSRSLFQTSGKSSFVMHDLINDLAVFMSKGFCSRWEGRESHEVERVRHLSYAREEYDVSLKFEQLKEAKCLRTFLPTSLNTHKEYNYLGKKVVPNLSSSHRCLRVLSLSSYRNVTQLPDSIKNLIHLRYLDLSGTAIETLPSVLCSLYYLQTLLLSNCSFLVELPADLRKLINLQKLMLGGCASLAKLPVDLWELISLRHLDVSGTKIAEMPAQMSRLKSLRTLIAFVVGKSIGSTIGELGELPHLQGKLSILKLQNVVDAKDAVQANLKNKNDLKELELAWDDEDLDDSEKARDVLGKLQPSISLEKLIIKFYGGTNFPNWLGDSCFSNIQVMRLSNCKYCWSLPPVGGLPALKELYIERMEFVKTIGVEFYGRNGAYLIQPFQSLEKLEFKEMAEWEEWIPSGSGGPDFPRLQVLILNVCPKLRGSLPCDLPCLKKLGVDGCGVLHDQGATATTSSGTSLNYNSLEELEIGECQTGLLSLLETKLLSQLAIRHFNDIQCLPNINRLHRLSLWNCPTLSSFPEDGLPTSLTSLIIINCRILEFLPQEMLAKLTSLHLLRIYNSCDSMRSFPLDIFPKLKTLEIGYCDNLESLCLIEEGAVLSHLNDLQVYNCPNLVCFPPGGLPTPNLTRLEFLGCEKLKSLPEHIHTLTALGHLNLSNLPNLESIAEDGGLPPNLRDFSINNCERLRASSSSVGDYNNWGLQALVSLEEFRIGGRGSDEILETLLKQQLLPTTVRTLRIGELSTLKSSDVKGLARLTFLETLEIIWCKSLEFLPGEVLQHLTSLQRLYIYDCPSLQFLPEEGLPPSLSYLCISKCPALEKRYQNKTEQDHWASISHIQCIQINDEVILR >ONI23760 pep chromosome:Prunus_persica_NCBIv2:G2:24072574:24074237:-1 gene:PRUPE_2G206400 transcript:ONI23760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLVLQVLTGRWLMVFASFLMMVTAGASYMFGLYSNDIKFVLGYDQTTLNLISFFKDLGANVGILSGLINEVTPPWVVLSIGAVLNFFGHFMIWLAITQKIPKPRVWHMCLYMSIGANSHTFTNTGALSHVSRTSQKAVVSCLLKGYTGISAAVVSQLYHAAYGDDTKSFTLVVAWLPTALSLVFIGTIRIIKASRRPNELRAFYNFLYISLDLAAFLLIIIVVEKRFRFSQSQYVGSAAVVLFLLFLPLAVVIMEEYKVWQSKRSISQNLDSDPSPVKIVTKGQNPDAISSPTYKKVPSWNKDILNPPEIGEDFTILQTLFSIEMLTLLLATVCGLGGTMTMMDNLGQIGTSFGYPLRSIRNFVSLTSIWNFLGQIVAGIGSEMFIIKYKWPRPLIFTAVLLLSCVGHLLIAFNVPYGLYVSSVVTGFCFGAHWPLIFTLISELFGLKYYSTLYNFGGLASPIGLYLLNVRVTGYLYDKEAKKQMAALGLQRKVGEELNCVGGQCFKLSFIILAVVALFGALVSLLLVVRTRKFYKSDIYKKFRDEVRVA >ONI22466 pep chromosome:Prunus_persica_NCBIv2:G2:18957725:18963220:1 gene:PRUPE_2G131300 transcript:ONI22466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKLDNEDTVRRCKERRRLMKDAVYARHHLAAAHADYCRSLRLTGAALVSFSAFEPLDISHQTPAVFLHHHPSPPPTNPLPPRVPPSPAPSSLHPPPPPPPLSPTINSSNLPHILSASSRSSSTATRQQRRRRPSGPKLPHILSESSLASSPRSPKSNFNNPFGFPSAFQADSTYSSTPSQASSMWNWENFYPPSPPDSEFFERKKAQSQSHQSNHQQQSPDPEDFDDDNTETEAEEADPETENERSEYDFFLNHPNPKAQNTHHQKRHEYAQSEQYARSEKYAPSGKYAQSEQYARSEKYAPSEKYAQSEKYAQSEKYAQSEREEVQCSEWGDHDHDHYSTTSSSSDHEGDDERESRSEMGTQSNFESVSVRAESVAGTGTFPAAQAMPRFAPSTSKSERSEGGSTYRSSEISNMKMVVRHKDLKEIVEAIKENFDKAAMAGDQVSEMLETSRAQLDRSFRQLKKTVYHSNSVLSTLSSSWTSKPPLAVKYRLDAGSLVDTGGSKSLCSTFERLLAWEKKLYEEVKAREGVKIEHEKKLSALQHQEYKGEDETKVDKTKASIKRLQSLIIVTSQAVSTTSTAIVDLRDSDLVPQLVELCHGFCFKAYRLK >ONI22464 pep chromosome:Prunus_persica_NCBIv2:G2:18957725:18963220:1 gene:PRUPE_2G131300 transcript:ONI22464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKLDNEDTVRRCKERRRLMKDAVYARHHLAAAHADYCRSLRLTGAALVSFSAFEPLDISHQTPAVFLHHHPSPPPTNPLPPRVPPSPAPSSLHPPPPPPPLSPTINSSNLPHILSASSRSSSTATRQQRRRRPSGPKLPHILSESSLASSPRSPKSNFNNPFGFPSAFQADSTYSSTPSQASSMWNWENFYPPSPPDSEFFERKKAQSQSHQSNHQQQSPDPEDFDDDNTETEAEEADPETENERSEYDFFLNHPNPKAQNTHHQKRHEYAQSEQYARSEKYAPSGKYAQSEQYARSEKYAPSEKYAQSEKYAQSEKYAQSEREEVQCSEWGDHDHDHYSTTSSSSDHEGDDERESRSEMGTQSNFESVSVRAESVAGTGTFPAAQAMPRFAPSTSKSERSEGGSTYRSSEISNMKMVVRHKDLKEIVEAIKENFDKAAMAGDQVSEMLETSRAQLDRSFRQLKKTVYHSNSVLSTLSSSWTSKPPLAVKYRLDAGSLVDTGGSKSLCSTFERLLAWEKKLYEEVKAREGVKIEHEKKLSALQHQEYKGEDETKVDKTKASIKRLQSLIIVTSQAVSTTSTAIVDLRDSDLVPQLVELCHGFMYMWRSMHQYHEVQNDIVQQVRGLVNRSAKGDSTSELHRQATRDLESAVSAWHSSFCRLIKFKRDFIRSVHGWFKLTLQPVNNDMTFNVHSESSDVYSFCDEWKLALERVPDTVASEAIKSFINVVHVISIKQSEELKIRKRTETASKELEKKASSLRNIEKKFYHSYSMVGIGLPDSGPENRQVLDARDPLAEKKSELTTCQRRVEDEMMRHSKAVEVTRAMTLNNLQTGLPGVFQALTSFSGLFTEALETVCTRSYAIK >ONI22467 pep chromosome:Prunus_persica_NCBIv2:G2:18957943:18961054:1 gene:PRUPE_2G131300 transcript:ONI22467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKLDNEDTVRRCKERRRLMKDAVYARHHLAAAHADYCRSLRLTGAALVSFSAFEPLDISHQTPAVFLHHHPSPPPTNPLPPRVPPSPAPSSLHPPPPPPPLSPTINSSNLPHILSASSRSSSTATRQQRRRRPSGPKLPHILSESSLASSPRSPKSNFNNPFGFPSAFQADSTYSSTPSQASSMWNWENFYPPSPPDSEFFERKKAQSQSHQSNHQQQSPDPEDFDDDNTETEAEEADPETENERSEYDFFLNHPNPKAQNTHHQKRHEYAQSEQYARSEKYAPSGKYAQSEQYARSEKYAPSEKYAQSEKYAQSEKYAQSEREEVQCSEWGDHDHDHYSTTSSSSDHEGDDERESRSEMGTQSNFESVSVRAESVAGTGTFPAAQAMPRFAPSTSKSERSEGGSTYRSSEISNMKMVVRHKDLKEIVEAIKENFDKAAMAGDQVSEMLETSRAQLDRSFRQLKKTVYHSNSVLSTLSSSWTSKPPLAVKYRLDAGSLVDTGGSKSLCSTFERLLAWEKKLYEEVKAREGVKIEHEKKLSALQHQEYKGEDETKVDKTKASIKRLQSLIIVTSQAVSTTSTAIVDLRDSDLVPQLVELCHG >ONI22468 pep chromosome:Prunus_persica_NCBIv2:G2:18957725:18963220:1 gene:PRUPE_2G131300 transcript:ONI22468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKLDNEDTVRRCKERRRLMKDAVYARHHLAAAHADYCRSLRLTGAALVSFSAFEPLDISHQTPAVFLHHHPSPPPTNPLPPRVPPSPAPSSLHPPPPPPPLSPTINSSNLPHILSASSRSSSTATRQQRRRRPSGPKLPHILSESSLASSPRSPKSNFNNPFGFPSAFQADSTYSSTPSQASSMWNWENFYPPSPPDSEFFERKKAQSQSHQSNHQQQSPDPEDFDDDNTETEAEEADPETENERSEYDFFLNHPNPKAQNTHHQKRHEYAQSEQYARSEKYAPSGKYAQSEQYARSEKYAPSEKYAQSEKYAQSEKYAQSEREEVQCSEWGDHDHDHYSTTSSSSDHEGDDERESRSEMGTQSNFESVSVRAESVAGTGTFPAAQAMPRFAPSTSKSERSEGGSTYRSSEISNMKMVVRHKDLKEIVEAIKENFDKAAMAGDQVSEMLETSRAQLDRSFRQLKKTVYHSNSVLSTLSSSWTSKPPLAVKYRLDAGSLVDTGGSKSLCSTFERLLAWEKKLYEEVKAREGVKIEHEKKLSALQHQEYKGEDETKVDKTKASIKRLQSLIIVTSQAVSTTSTAIVDLRDSDLVPQLVELCHG >ONI22465 pep chromosome:Prunus_persica_NCBIv2:G2:18957725:18963220:1 gene:PRUPE_2G131300 transcript:ONI22465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKLDNEDTVRRCKERRRLMKDAVYARHHLAAAHADYCRSLRLTGAALVSFSAFEPLDISHQTPAVFLHHHPSPPPTNPLPPRVPPSPAPSSLHPPPPPPPLSPTINSSNLPHILSASSRSSSTATRQQRRRRPSGPKLPHILSESSLASSPRSPKSNFNNPFGFPSAFQADSTYSSTPSQASSMWNWENFYPPSPPDSEFFERKKAQSQSHQSNHQQQSPDPEDFDDDNTETEAEEADPETENERSEYDFFLNHPNPKAQNTHHQKRHEYAQSEQYARSEKYAPSGKYAQSEQYARSEKYAPSEKYAQSEKYAQSEKYAQSEREEVQCSEWGDHDHDHYSTTSSSSDHEGDDERESRSEMGTQSNFESVSVRAESVAGTGTFPAAQAMPRFAPSTSKSERSEGGSTYRSSEISNMKMVVRHKDLKEIVEAIKENFDKAAMAGDQVSEMLETSRAQLDRSFRQLKKTVYHSNSVLSTLSSSWTSKPPLAVKYRLDAGSLVDTGGSKSLCSTFERLLAWEKKLYEEVKVHVHVEINAPVP >ONI24058 pep chromosome:Prunus_persica_NCBIv2:G2:24959724:24963084:1 gene:PRUPE_2G221600 transcript:ONI24058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVLKMTTAASSIYPKKKPYHQKLSNWVWVSPQRPTSSTTTTPSPSSPPALNTPIQAFTQTHNKYGCRITPCAPLAHPNHPHPPHILLQNLGPRFLKCLSVSSRSSSSSSGGFSEDKGESSGSGSGSEPGPAAGLMADMGSDNKGTDWYESQLYQNHDELLSPLKQRQQQQQQHSSSKLLTLPTILTLGRVASVPILISTFYVDSRWGTTATTSIFIAAAITDWLDGYIARKMRLGSAFGAFLDPVADKLMVAATLVLLCSRPLDVAMFGQVPWLLVVPSIAIIGREITMSAVREWAASQNSKLLEAVAVNNLGKWKTATQMIALTVLLATRDSSLGRPEALVASGVALLYISAGLAVWSLVVYMSKIWKVLLK >ONI22042 pep chromosome:Prunus_persica_NCBIv2:G2:15916723:15919259:1 gene:PRUPE_2G103400 transcript:ONI22042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSESAGITLCQCCRDYTSHFFVRIMLLINFLCWLLIVVDVDAVHGGVGLESCREARCKPDGPAIRFPFRLKGKQPIHCGYHGFDLSCTNASQTLLEMPSSSSNLFVEKIKYTSQEIEIYYHNDYPSGNIFYFSLSSSPLQFVPGPDNYNYTLFSCPYSPERSNIDFCGMELGPYHFDIPENQTYAVNAQCSIDRMPIESCTKLHDYTSIPDISPVLAMKKTMKLHWSKPSCRHCKEMGKACRSKISEYSLAHVQTAESECLDFPEGSSRRGTRIKISVCTVSVALIAVGALIIFHVYISNKTEKTNQLRIERFLEDYIAQKPSRYSYADIKRITNQFKDKLGQGAYGTVFKGKLSSELLVAVKILNNSNENNGEDFTNEMGTMGRVHHVNVVRLVGFCADGFIRALVYEFLPNGSLQNFLSSADNKNSFLGWDKLQDIALGIAKGIEYLHQGCDHRILHFDIKPHNILLDQNFTPKVSDFGLAKLCARDQSAISMTTVRGTMGYIAPEVFSRNFGSVSYKSDVYSFGTLLLEMVGGRKNFKVMEDSTDQVYFPEWIYNLLEQGDDLRIHIEDEGDAKIAKKLAIVGLWCVQWHPIDRPPMKVVVQMLEREGDNLTIPPNPFCSTSN >ONI25300 pep chromosome:Prunus_persica_NCBIv2:G2:28624032:28625417:-1 gene:PRUPE_2G294600 transcript:ONI25300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVVLKVMTMTDDKTKQKAIEAAADIFGIDSIAADLKDQKLTVVGMMDPVAVVKKLKKVGKVDIISVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >ONI25301 pep chromosome:Prunus_persica_NCBIv2:G2:28624526:28625130:-1 gene:PRUPE_2G294600 transcript:ONI25301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKVVLKVMTMTDDKTKQKAIEAAADIFGIDSIAADLKDQKLTVVGMMDPVAVVKKLKKVGKVDIISVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >ONI23411 pep chromosome:Prunus_persica_NCBIv2:G2:22826147:22827592:1 gene:PRUPE_2G187800 transcript:ONI23411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTERLGIDPSGKGRNGGEELTDTKLPKPTPWKIGGFYGVFEGRDGMPVSGWVIWKDLNKRTRDMHAVAKIESKLRSERDNEQREYGMSGLARPELVPIDPVRLDGKNYPIWAPRMEFFLKELKVEYVLYEPCPSIIVGSVAFSGGLTELKDAKEKWIKDDFLGLRTILNYLCDDLLHRYGKRKKTPTAKQLWDDLKLMFGTKKYLVRKYMDFQMVDEKPLVEQIQEFNRIFDEVVASGMTLSEKFHVSAILSKLPASWKHVNIKLKRNINEPLTLEVLMDHLRIEEDYVCV >ONI20646 pep chromosome:Prunus_persica_NCBIv2:G2:2783920:2784667:-1 gene:PRUPE_2G027100 transcript:ONI20646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLISNTASLTLSPPAPSSRALLNPPHVPISPATRFSSRQARGLTVVTRAGPTTNQYVFAFVMPLSLIAVTVFTSMRIADKLDEEFLEEIASVFIFIFVFVVIGMNKIANDFFHIEYKVAIELLGKPSMFSHQFYIS >ONI20645 pep chromosome:Prunus_persica_NCBIv2:G2:2782516:2784667:-1 gene:PRUPE_2G027100 transcript:ONI20645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLISNTASLTLSPPAPSSRALLNPPHVPISPATRFSSRQARGLTVVTRAGPTTNQYVFAFVMPLSLIAVTVFTSMRIADKLDEEFLEEIAINQAIRETDEDDEVDMPIEEKPALPRTRNRPKREV >ONI24029 pep chromosome:Prunus_persica_NCBIv2:G2:24845905:24848543:-1 gene:PRUPE_2G219800 transcript:ONI24029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIAGGGWGSNLIVFLITKFNVKSISATQITNIIFGTNNLIPIVGAFIADSFLGSFSVVVIFSFLSLLGMTMLTLIATMHSLRPSSCPPGSLTCEGPSNFQYSVLYGALALASLGLGGTSFTIATMGADQFKNPKDQGVFFNWYFMALYVANLISSTAIIYVEDNVGWGLGFGICFIANAIGILVFLLGKRFYRQVKPMGSPFMSIARVLVASIWKMKISLAISRDSDYFYADEVFADNTVPTKSFRFLNRAALKTEKDKQFNGSYTKSWQLCTVKEVEDLKTLIKIMPLWSTGICLSITIAISSSLVVLQALSMDRHLGPHFRIPAGSFPVFNLLATAISIFIVDRFILHKLRPLQRVGIGHVINIVGLVGSALLERGRLGVVRAHHLTNQPGTVVPMSALWLVAPMSVMGIGEAFQFPGQVALYYEQFPKSLKSTSTAMMSLLIGIGFYLSTAITHLVKQTTGWLPNNINQGRLDNVFWMSAVIGVVNFVYYLICAKFFKYQNQNV >ONI24028 pep chromosome:Prunus_persica_NCBIv2:G2:24845934:24848719:-1 gene:PRUPE_2G219800 transcript:ONI24028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPKEVEEVETFSEDGEDHPKRGGWITFPFVTGSMLGLSIAGGGWGSNLIVFLITKFNVKSISATQITNIIFGTNNLIPIVGAFIADSFLGSFSVVVIFSFLSLLGMTMLTLIATMHSLRPSSCPPGSLTCEGPSNFQYSVLYGALALASLGLGGTSFTIATMGADQFKNPKDQGVFFNWYFMALYVANLISSTAIIYVEDNVGWGLGFGICFIANAIGILVFLLGKRFYRQVKPMGSPFMSIARVLVASIWKMKISLAISRDSDYFYADEVFADNTVPTKSFRFLNRAALKTEKDKQFNGSYTKSWQLCTVKEVEDLKTLIKIMPLWSTGICLSITIAISSSLVVLQALSMDRHLGPHFRIPAGSFPVFNLLATAISIFIVDRFILHKLRPLQRVGIGHVINIVGLVGSALLERGRLGVVRAHHLTNQPGTVVPMSALWLVAPMSVMGIGEAFQFPGQVALYYEQFPKSLKSTSTAMMSLLIGIGFYLSTAITHLVKQTTGWLPNNINQGRLDNVFWMSAVIGVVNFVYYLICAKFFKYQNQNV >ONI24618 pep chromosome:Prunus_persica_NCBIv2:G2:26515432:26520329:1 gene:PRUPE_2G250200 transcript:ONI24618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPHSDSDTDSDQSQSGSEPVRHSDLSDSIFRSYLEFTGRSSATNTDLSKIQSFLTSSSSGALSCLICLERIRPSHPTWSCTSVCFSVFHLICIQSWARQASDLSALRASTRLPISAQKAAEVSLWNCPKCRVEYPQSQIPKTYFCFCGKLENPPSDDPWILPHSCGEVCNRPLNHSCGHHCLLLCHPGPCPSCPKLVKARCFCGSVQDVRRCGFKNFSCNNVCKKLLACRIHCCSEICHQGPCPPCPVRAVYRCQCGKREEERECCERLDFRCEEPCEKVLGCGQHKCSKGCHSEDCGPCPFQGMRTCPCGKTVHEGLSCKESVPLCGATCSKMLSCGYHRCPERCHRGQCIVTCRTVVIKSCRCGSLKKEVPCHQDLTCERKCQRQRDCGRHACKRRCCDGDCPPCSEVCGRKLRCKNHKCPSPCHRGACAPCPVMVTIACLCGETHFEVPCGIEMDQKPPRCPKPCPITLLCRHGKNRKPHKCHYGACPPCRVLCEEEYPCGHKCKLRCHGPRPPPNPEFTLKPKKKKSLHQSECTPGSPCPPCPEHVWRSCVGQHIGVERMMVCSDKTLFSCENLCGNPLPCGNHFCTKTCHPLKNQSLPSVQQARSEPCEKCHLPCGKEREPACSHPCPLSCHPGDCPPCKVLVKRSCHCGSMVHVFECIYYNSLSEKEQMAARSCGGPCHRKLPYCNHLCPELCHPGQCSFPEKCSKKVTVRCACQSLKKEWLCHDVQAAYRNAGSDPKDISKNQFGHALIPCNSNCKSKLKVIDSELQLRRPKTVEVKEPDTDKHGPKRKKRRERVQEVTQISTLQKIVSNVKRFLLLVTLLLVLVAVSYYGYKGLIWLNDWMNEVEEKRQGRYPRI >ONI23473 pep chromosome:Prunus_persica_NCBIv2:G2:22997575:22999776:1 gene:PRUPE_2G190500 transcript:ONI23473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNHQRSATERSLEAMGSEAEVEEETLKLPLFSAPQIQSLEPSGSLTPPLYTSVSVPFRWEEEPGKPRPCTALITLPNPTDFSQKCLELPPRLLLETKQPSPTTVLEGPYVGRSKFQSSSFRMGMECYGDFSPERGQLGAVVLSKRGLKERGWFDSWGRRILKGKREVGGASYVFPSSVDGESDGSSVGESSSSRQVKTTRIRRAGSFSSPSHARPHFWTTICQGLKQAVPWKSRKLKKDGIVI >ONI22543 pep chromosome:Prunus_persica_NCBIv2:G2:19330121:19333032:-1 gene:PRUPE_2G135700 transcript:ONI22543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRVKEINLWLQDGSSDVGIFLIYGIGGIGKTTIAQVVYNSKFSRFEGRSFLENIREISEGPDGLVQMQVQLLSDILGGRTVKIHSVSEGIIKIKDVISCKKVLLVLDDVDHTNQLDVVLRMRKWFYPGSKIIITTRCVGLLKAHQDVKVHNVETLNHVESLELFSCHAFGQNYPVEGYVKLSEKVVNHSGGLPLALKILGSSLSGQSTDVWESALKKLEVIPNGDIVNKLRISYDSLQDDHDQQLFLHIACFFIGNEKDVTVNILDGCDFFTIVGIQNLLDRCLLTIDEYNKVKMHQMIRDMGREIVRQESKELEKRSRLWHHKDSLNVLREKNGSKKVEGLALNLHPVETPLRKSNMVVFETNAFRRMVKLKLLQLSFVQLKGCYEEFPKGLRWLYWLKFPLDSIPSDFLLESLVVLEMPYSSLRQIWKGTKHLPSLKILDLSNSHELTETGDFSLVPNLDRLILEDCASLVDVHESIGNLEKLVYLNMKDCKNIRKLPNSSSMLKSLETLIISGCSSLNEFPVEMGKMESLKVFQADEVPISRLQATTLPCSLVVLSLTSCNLSDDAFPREFGNLPSLQRLDLSSNPICSLPDCIRGLTGLDHLAFSQCTKLKLLEGLPRVKELVILHSESLEKITFQSCSCLPKSIMYGYNSKLAEIDYWYKLEPIETVDAEMIKLLGLCNLESMKAIRMCTPDMLNSDGTMHPIEGLYEVGIFSTFLPGIEVPGQFSYRSKGSSVSFTVPSLPNLKIRGLNVFSIYANSNTYYFSSIPNPIITNVSNKSKGLKWIYAPACYGIPDNENDVIWLSH >ONI22542 pep chromosome:Prunus_persica_NCBIv2:G2:19328597:19334987:-1 gene:PRUPE_2G135700 transcript:ONI22542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRAQEASSSDTCGCSYHVFLSFRGEDTRKTFTDHIYTAFVNAGLQTFRDDDELERGEDIKPELEKAIQHSRSSVIVFSKDYASSKWCLDELVMILQRKRTSDHVVLPVFYDIDPSEVRKQTGSFAKAFAGHQKNRSLNKDKVKGWRAALAEVADLAGMVLQNECDGHEAKFIKKIVKVIEGKLSRTPLSVAPYLIGMDSRVKEINLWLQDGSSDVGIFLIYGIGGIGKTTIAQVVYNSKFSRFEGRSFLENIREISEGPDGLVQMQVQLLSDILGGRTVKIHSVSEGIIKIKDVISCKKVLLVLDDVDHTNQLDVVLRMRKWFYPGSKIIITTRCVGLLKAHQDVKVHNVETLNHVESLELFSCHAFGQNYPVEGYVKLSEKVVNHSGGLPLALKILGSSLSGQSTDVWESALKKLEVIPNGDIVNKLRISYDSLQDDHDQQLFLHIACFFIGNEKDVTVNILDGCDFFTIVGIQNLLDRCLLTIDEYNKVKMHQMIRDMGREIVRQESKELEKRSRLWHHKDSLNVLREKNGSKKVEGLALNLHPVETPLRKSNMVVFETNAFRRMVKLKLLQLSFVQLKGCYEEFPKGLRWLYWLKFPLDSIPSDFLLESLVVLEMPYSSLRQIWKGTKHLPSLKILDLSNSHELTETGDFSLVPNLDRLILEDCASLVDVHESIGNLEKLVYLNMKDCKNIRKLPNSSSMLKSLETLIISGCSSLNEFPVEMGKMESLKVFQADEVPISRLQATTLPCSLVVLSLTSCNLSDDAFPREFGNLPSLQRLDLSSNPICSLPDCIRGLTGLDHLAFSQCTKLKLLEGLPRVKELVILHSESLEKITFQSCSCLPKSIMYGYNSKLAEIDYWYKLEPIETVDAEMIKLLGLCNLESMKAIRMCTPDMLNSDGTMHPIEGLYEVGIFSTFLPGIEVPGQFSYRSKGSSVSFTVPSLPNLKIRGLNVFSIYANSNTYYFSSIPNPIITNVSNKSKGLKWIYAPACYGIPDNENDVIWLSH >ONI20304 pep chromosome:Prunus_persica_NCBIv2:G2:737848:739926:1 gene:PRUPE_2G008000 transcript:ONI20304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITSQVGVTPEGVEVPRSLVDEEMQEKLKEMPKEFQPSIPKGADRKWRYMWRVGPRPSETRFQELNAEPVVPEGFPEWKDTMDSWGYKMISAIEAVAEMAAIGFGLPKDAFTSLMKQGPHLLAPTGSDLRHYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKVEVKVPVGCLLIQTGKQIEWLTAGDCIAGMHEVVVTSRTVDAIKLATEQHRLLWRVSSTLFAHIASDAVLKPLGRFAESSLASKYPAIYAGEFVEQELAVINLKGNKGEL >ONI20303 pep chromosome:Prunus_persica_NCBIv2:G2:737281:740368:1 gene:PRUPE_2G008000 transcript:ONI20303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTVVDLSLYLGSKGEVKELCGEVSRSLRETGALLVKDPRCTAEDNDRFLDMMERYFDRPPDFKRLQERPQLHYQVGVTPEGVEVPRSLVDEEMQEKLKEMPKEFQPSIPKGADRKWRYMWRVGPRPSETRFQELNAEPVVPEGFPEWKDTMDSWGYKMISAIEAVAEMAAIGFGLPKDAFTSLMKQGPHLLAPTGSDLRHYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKVEVKVPVGCLLIQTGKQIEWLTAGDCIAGMHEVVVTSRTVDAIKLATEQHRLLWRVSSTLFAHIASDAVLKPLGRFAESSLASKYPAIYAGEFVEQELAVINLKGNKGEL >ONI25349 pep chromosome:Prunus_persica_NCBIv2:G2:28757609:28761431:1 gene:PRUPE_2G297500 transcript:ONI25349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAKLKASNTLDAMKSEEGNDSLDTIIRQVAKEPSISFSRAGDSPVPWIQLLHALDQQELPGWPLHSPIKVQLQKCDKCPREFCSSINYRRHIRVHHRLKKLDKDSSKNRELLGAFWDKLSPEEAKEAASFKNVTLEEVPGSSIIKALTTHIRKPGFSSMPHIYLKAGSALLDIVQARPSRFPISSQELFSILDDASEKTFLSGTAISMQRYIFDGEAGKVGLESKNLVACTSFLVEQKLVKAWHADKDAEALRLQKLLVEEEEAAQRRQAELMERKRQKKLRQKEQKAKDQRHGVKVNVKENIDETLEAEPLVETSSPSATFDSDTTSSDVQAHDSLSLEAFQLSTADENVDPESQTEFIHGHTDSVSGPNVERRMVQGSGCRRAVVARWQVLSKSQRGVPNGFHGGQSSQTSKLSSIQNHGNHRDSRAASSGNKVWSRKPKPEYDGGSLKAGVQKEATEPDQIKNQEVLIGSISVNLGNCSQESDNLAGVDDDCLLEHQIPKNNAHDKTNKPDLVHSGTNRSTVKLWRPVSRHGTKGPMAIQNGNRASEIDVVAEKGNSQNPSSENCPRSCVMDGGKDGNGNGSTHLDETGSLRFSCRAAKDFLAQRWKEAIAADHVELVLLQDSEPPRCPDNQNDGEVESSHSLKFKRSILGNAENRLVNVEGLEVPTAGAAKVKYRTKPEKGLKIKYIPKQSTVT >ONI22694 pep chromosome:Prunus_persica_NCBIv2:G2:20207506:20211220:-1 gene:PRUPE_2G145300 transcript:ONI22694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSEQQSENSSSSSSSKLLLYSYWQSSCSWRVRFALSLKGLPYEYKPVNIRKGEQFSPDFKRLNPLHFVPVLVDGGIVVSDSHAILQYLEDKYPQRPLLPADPRLKALNLQAASVINSNIQPLHMLSVLKHLEEKVGPEESLSFAQLNIEKGLLALEMLLKDFASRYATGDEVYMADVFLAPQIAVSTTRFNINMSKFPTLSRLYESYKILPELEASSPERQPDAVR >ONI22696 pep chromosome:Prunus_persica_NCBIv2:G2:20207721:20211049:-1 gene:PRUPE_2G145300 transcript:ONI22696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSEQQKKSENSSSSSSSKLLLYSYWQSSCSWRVRFALSLKGLPYEYKPVNIRKGEQFSPDFKRLNPLHFVPVLVDGGIVVSDSHAILQYLEDKYPQRPLLPADPRLKALNLQAASVINSNIQPLHMLSVLKHLEEKVGPEESLSFAQLNIEKGLLALEMLLKDFASRYATGDEVYMADVFLAPQIAVSTTRFNINMSKFPTLSRLYESYKILPELEASSPERQPDAVR >ONI22695 pep chromosome:Prunus_persica_NCBIv2:G2:20207506:20211220:-1 gene:PRUPE_2G145300 transcript:ONI22695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSEQQKKSENSSSSSSSKLLLYSYWQSSCSWRVRFALSLKGLPYEYKPVNIRKGEQFSPDFKRLNPLHFVPVLVDGGIVVSDSHAILQYLEDKYPQRPLLPADPRLKALNLQKHLEEKVGPEESLSFAQLNIEKGLLALEMLLKDFASRYATGDEVYMADVFLAPQIAVSTTRFNINMSKFPTLSRLYESYKILPELEASSPERQPDAVR >ONI20406 pep chromosome:Prunus_persica_NCBIv2:G2:1202295:1211208:1 gene:PRUPE_2G013700 transcript:ONI20406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQIVDALRLGERGQASNLLLNLGHGNDSLRADDFIYILNYCAKSPDPLFVMETWRIMDEKEIGLNNICSLLMVQSLCKGGYLEEAFKLINFLGEIPGIHPVLPIYNSFLRACAKMQSIKNANQCLDLMERQMVGKNEVTYSELLKLAVWQQNLPAAHEIWKDYIKCYSLSIIPLRKFIWSFTRLGDLKSAYEKLQYMVALAIRGNTYVNRTSEGKLYSSRLDIPIPSICELDLKKLDLEENKHSIPSIYCENLDDHAVNADQCTTFGLGVGEVENVGMDMLDIHISQPVMKILRWSFSDVIHACARLRNGGLAEQLILQMQKFGLQPSSHTYDGFVRAVTSERGFSSGMEILRIMQQRNLKPYDSTLANLSIGCSKVLELDFAEALLVQISECSYPHPFNAFLAACDTVDQPERAVQMLAKMKQLKVVPDIRTYELLFSLFGNVNAPYEEGNMLSQVDAAKRINAIEMDMARYGIQHSYLSMKNLLKALGAEGMIRELIQYLDVAENIFCRNNIYLGTPIYNTVLHSLVEAKENQRAIKIFKNMKSFGFPADAATYHIMIDCCSILGCYRSACALVSMMLRDGFYPLTVTYTILIKILLEDDDIEEALNLLDQASSERNELDTLLFNTILEKACEKEVIEVVEFVVEWMHQEKVQPDPATCHFVFSAYANSGFHSTAMEALQVLSMRMICEEDGSFPEKAEFEDDFIFAEDLEAESRIVQLFKDSEENLAVALLNLRWCAVLGFPISWSPNQSPWARRLSSNYTARKGAT >ONI20405 pep chromosome:Prunus_persica_NCBIv2:G2:1202296:1211208:1 gene:PRUPE_2G013700 transcript:ONI20405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPLARVPLRSIADSLFKSQPQYHGRRIGPDRLEYSRTLTTSNCHEVSWHSAESITRSMQMQIVDALRLGERGQASNLLLNLGHGNDSLRADDFIYILNYCAKSPDPLFVMETWRIMDEKEIGLNNICSLLMVQSLCKGGYLEEAFKLINFLGEIPGIHPVLPIYNSFLRACAKMQSIKNANQCLDLMERQMVGKNEVTYSELLKLAVWQQNLPAAHEIWKDYIKCYSLSIIPLRKFIWSFTRLGDLKSAYEKLQYMVALAIRGNTYVNRTSEGKLYSSRLDIPIPSICELDLKKLDLEENKHSIPSIYCENLDDHAVNADQCTTFGLGVGEVENVGMDMLDIHISQPVMKILRWSFSDVIHACARLRNGGLAEQLILQMQKFGLQPSSHTYDGFVRAVTSERGFSSGMEILRIMQQRNLKPYDSTLANLSIGCSKVLELDFAEALLVQISECSYPHPFNAFLAACDTVDQPERAVQMLAKMKQLKVVPDIRTYELLFSLFGNVNAPYEEGNMLSQVDAAKRINAIEMDMARYGIQHSYLSMKNLLKALGAEGMIRELIQYLDVAENIFCRNNIYLGTPIYNTVLHSLVEAKENQRAIKIFKNMKSFGFPADAATYHIMIDCCSILGCYRSACALVSMMLRDGFYPLTVTYTILIKILLEDDDIEEALNLLDQASSERNELDTLLFNTILEKACEKEVIEVVEFVVEWMHQEKVQPDPATCHFVFSAYANSGFHSTAMEALQVLSMRMICEEDGSFPEKAEFEDDFIFAEDLEAESRIVQLFKDSEENLAVALLNLRWCAVLGFPISWSPNQSPWARRLSSNYTARKGAT >ONI20963 pep chromosome:Prunus_persica_NCBIv2:G2:4720091:4724237:-1 gene:PRUPE_2G042900 transcript:ONI20963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCAVCADALEWVAYGACGHREVCSTCVVRLRFICQDRRCCICKTESDAVFVTKALGDYTRMISDFSVLPSEVREGRVGSYWYHEDTQAFFDDVDHYKMIKAMCKLSCSECDKTEEQSNDGPKRRGKIRNVEQLKGHLFHKHRLFMCSLCLEGRKVFICEQKLYTRAQLNQHISSGDSEVDGTESERGGFMGHPVCEFCRTPFYGDNELYSHMSTEHYTCHICQRQHPGQYEYYKNYDDLEMHFRHGHFLCEDESCLAKKFVVFQSEAEMKRHNTIEHGGRLSRSKRNAALQIPTSFRYQRTSEQDHRRGRGRTFRRDSSENQLSMAIQASLETAHAENTFHDPSSFSGQVAPHLGDISDIDPIIDPFESLSTMDIETSSRYRQALGHSSSNAPLVESSFPPLSVAPSSSQSNPRSDSDGLPNNTMAAHLRRKSNRKVAVNSSGQAWPAARRGPVVQPTSSAQAWPTTNVSPIISGGSGQNNGPRPSSYASSAQAQVETRQTTVLRGSGQNNGSRPSSYASSAQAQVETRQTTVHGLSSSGSLWDSSKTKSGRISHSTSAPNLVENGSVQPSVSDFPPVSAAPVRKLPTTSQAVLKVGDVQTANKSLVEKIRTALEFDEEKYTTFKDISGQYRQGLVATEIYLDFVRQFGLLHLVLDLARLCPDGKKQKELIDAYNASIRNNIAQGDGWSQGSVRLKEGNSSKKGKGKISEAENSNSKNTLADSFLSSVRELQSNYRPSEEAVEVLPKDGYRAAKEKSKLLVNEHQEELNSRSQPLVQLRGEKDSQTNGSGSNPNLGDGGGGNKQRKKSSKFHRVRLGDGSAAVHLDLRNSDPQPDAGNERLDGSSNSAGGLPVQGVWRKGTQKLFS >ONI25182 pep chromosome:Prunus_persica_NCBIv2:G2:28275407:28277374:-1 gene:PRUPE_2G286800 transcript:ONI25182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIECMITTRRSTQSMAQPPSLKTEHKQDDQKPLVFDASVLRYQTEIPKQFIWPDEEKPCANTPELQVPLIDLGGFLSGNKQAATKASTIVGEACQKHGFFLVVNHGVDNQLIADAHRYMDDFFGLPLSEKQRAQRLLGEHCGYASSFTGRFSSKLPWKETLSFRYSADKSSSSIVQDYLCSKMGEEFKEFGRVYQDYSEAMSTLSIGIMELLGLSLGVDRAHFKEFFEDNDSIMRLNYYPPCQKPDQTLGTGPHCDPTSLTILHQDQVGGLEVFVDDKWHSISPNLNAFVVNIGDTFMALSNGRYKSCLHRAVVNSQTPRKSLAFFLCPRDDKVVKPPNGLVDDTSCPRIYPDFTWPMLLEFTQKHYRADMKTLQVFSNWLQQKSS >ONI25500 pep chromosome:Prunus_persica_NCBIv2:G2:29189924:29191057:-1 gene:PRUPE_2G306800 transcript:ONI25500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVINASSFGVVAIVLLFFAVVLPMAHATGLAPAPAPTSDGTAIDQGVAYVLMVLALVLTYLIH >ONI25379 pep chromosome:Prunus_persica_NCBIv2:G2:28824499:28827313:-1 gene:PRUPE_2G299000 transcript:ONI25379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCPIELITCIILSPVYIFAAPILEILGQESDIADLAGKYSVKIIPQLFSSAIFLPTQRFLQAQSKVSAMALIAFVALIIRTGLLHLFINVFGWGTTGTAVAYDITHWGITVGQVVYIMVWCKEEWTGFSWLAFKDIWAFANLSLASCMMFCLDSWYTMTINILAGLLENAVIAVGSFSICMNFQNWEIMLLVGLNAAIRCCCWKWMASDGCLYKLCSLLSIWLPLAIFLGFKANLGALILFLLIVTSRTNWDREVEQTTKRINKWGSQETKTDEITNKGS >ONI25703 pep chromosome:Prunus_persica_NCBIv2:G2:29643103:29647454:-1 gene:PRUPE_2G315500 transcript:ONI25703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYYMVFGILGVVVAATELSKSNKDRIHTSSTFNSFKNNYLFVYSLMMAGDWLQGPYVYYLYSTYGYGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSPQYKILMLGRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGVVAILAGLLGNTLVDALALGPVAPFDAASCFLTIGMFVILFSWTENYGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMFGSSLASRLMARQAPRVESYMQIVFAISAASLLLPIVTSFLVAPSKEKGGSISFAGCIQLLGFCAFEACVGLFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVDAFPMTVMFGMCSIFLFVACFLQRRLMAIADKPKTEDWVAMKERDSEAEPLNI >ONI25704 pep chromosome:Prunus_persica_NCBIv2:G2:29643719:29647405:-1 gene:PRUPE_2G315500 transcript:ONI25704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYYMVFGILGVVVAATELSKSNKDRIHTSSTFNSFKNNYLFVYSLMMAGDWLQGPYVYYLYSTYGYGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSPQYKILMLGRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGVVAILAGLLGNTLVDALALGPVAPFDAASCFLTIGMFVILFSWTENYGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMFGSSLASRLMARQAPRVESYMQIVFAISAASLLLPIVTSFLVAPSKEKGGSISFAGCIQLLGFCAFEACVGLFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVCYNS >ONI25705 pep chromosome:Prunus_persica_NCBIv2:G2:29643229:29647383:-1 gene:PRUPE_2G315500 transcript:ONI25705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYYMVFGILGVVVAATELSKSNKDRIHTSSTFNSFKNNYLFVYSLMMAGDWLQGPYVYYLYSTYGYGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSPQYKILMLGRILGGIATSLLFSAFESWLVAEHNKRGFEQQWLSITFSKAIFLGNGVVAILAGLLGNTLVDALALGPVAPFDAASCFLTIGMFVILFSWTENYGDPSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMFGSSLASRLMARQAPRVESYMQIVFAISAASLLLPIVTSVCYGFQCIFFLMTGFALFSNFANFKQFLVAPSKEKGGSISFAGCIQLLGFCAFEACVGLFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVCYNS >ONI20875 pep chromosome:Prunus_persica_NCBIv2:G2:4129786:4131777:-1 gene:PRUPE_2G038400 transcript:ONI20875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVGAEAKPLIKVAAICGSLREGSYNRGLIRSAIEISKSSIPGVEIEHVEIAELPLLNTDLEGEGSFPPVVEAFRQKILAADSILFASPEYNYSVSAPLKNALDWASRPPNVWGDKAAAIVSASGGSGGSRSQYHLRQIGVFLDLHFINKPEFFLNAFGPPAKFDKNSNLIDAKTRENLKQVLLSLQAFTLRLQGR >ONI20876 pep chromosome:Prunus_persica_NCBIv2:G2:4128500:4131512:-1 gene:PRUPE_2G038400 transcript:ONI20876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVGAEAKPLIKVAAICGSLREGSYNRGLIRSAIEISKSSIPGVEIEHVEIAELPLLNTDLEGEGSFPPVVEAFRQKILAADSILFASPEYNYSVSAPLKNALDWASRPPNVWGDKAAAIVSASGGSGGSRSQYHLRQIGVFLDLHFINKPEFFLNAFGPPAKFDKNSNLIDAKTRENLKQVLLSLQAFTLRLQGR >ONI24059 pep chromosome:Prunus_persica_NCBIv2:G2:24963340:24966396:-1 gene:PRUPE_2G221700 transcript:ONI24059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILNVSLRGTAASTSSSSLPSWPHLSNSVPFSLSNKPVIAKFPNTTILSPPKLRLQASFGKKTRASLSATMATGGQEVLPPALTSTSDPPPLFDGKTRLYISYQCPYAQRAWISRNCKGLEENIQLVPIDLQDRPAWYKEKVYPPNKVPSLEHNNEVKGESLDLIRYIDSHFEGPSLFPDDPAKREFAEELLSYTDSFNKSVFASFKEDGTKAAGAAFDYIETALSKFEDGPFFLGTFSLVDIAYAPFLERFQPFSLEVKKYDITAGRPKLAAWFEEMNKNLAYKKTRRDPKELVESYKRRFLAQK >ONI24060 pep chromosome:Prunus_persica_NCBIv2:G2:24963339:24966396:-1 gene:PRUPE_2G221700 transcript:ONI24060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILNVSLRGTAASTSSSSLPSWPHLSNSVPFSLSNKPVIAKFPNTTILSPPKLRLQASFGKKTRASLSATMATGGQEVLPPALTSTSDPPPLFDGKTRLYISYQCPYAQRAWISRNCKGLEENIQLVPIDLQDRPAWYKEKVYPPNKVPSLEHNNEVKGESLDLIRYIDSHFEGPSLFPDDPAKREFAEELLSYTDSFNKSVFASFKEDGTKAAAGAAFDYIETALSKFEDGPFFLGTFSLVDIAYAPFLERFQPFSLEVKKYDITAGRPKLAAWFEEMNKNLAYKKTRRDPKELVESYKRRFLAQK >ONI21842 pep chromosome:Prunus_persica_NCBIv2:G2:14706245:14708984:1 gene:PRUPE_2G093000 transcript:ONI21842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCRICVLVIVSVLLLGLGALFAYLFCGTLYQINSTLFTESHPKCISSACGNIHNITSPFRLANDPNQSNCNWNYHLFCHNNLTVLRVHRGNYSVQAINYDNFTIRVVDPGIRNNNFSSIPRYSLSIYNITYYNDFELASSTTPITFFKCAKTVNSSVMRTHNYVKQGNITASDMEDGCRIEWTTLMSKSFLYEKNRNFSYHDIHSALGYGFELQFRFRRIEWTRYKFDIYDWLILGAYLPIRSIFGVPFLAALLIYKRRRMHLSMYSSIEEFLQSDNNLIPIRYSYSDIKKMTSRFNEKLGEGGYGSVFKGKLRSGRFVAVKMLEKPKANGQDFISEVATIGRIHHFNMVQLVGYCVEGSKRALIYNFMPNGSLDKYIYYKEGSNPLGWKKMYEISLGVAQGIEYLHRGCDMQILHFDIKPHNILLDENFIPKISDFGLAKLYPVGNTIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASRRKNLNASIEHSSQIYFPLWVSDQFCMGKELEMDDATEDEKKIIKKMIITALWCIQLKPSHRPSMNKVIEMLEGEVECLQLPPKLLLCP >ONI21843 pep chromosome:Prunus_persica_NCBIv2:G2:14706216:14708984:1 gene:PRUPE_2G093000 transcript:ONI21843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRYMNSSVMRTHNYVKQGNITASDMEDGCRIEWTTLMSKSFLYEKNRNFSYHDIHSALGYGFELQFRFRRIEWTRYKFDIYDWLILGAYLPIRSIFGVPFLAALLIYKRRRMHLSMYSSIEEFLQSDNNLIPIRYSYSDIKKMTSRFNEKLGEGGYGSVFKGKLRSGRFVAVKMLEKPKANGQDFISEVATIGRIHHFNMVQLVGYCVEGSKRALIYNFMPNGSLDKYIYYKEGSNPLGWKKMYEISLGVAQGIEYLHRGCDMQILHFDIKPHNILLDENFIPKISDFGLAKLYPVGNTIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASRRKNLNASIEHSSQIYFPLWVSDQFCMGKELEMDDATEDEKKIIKKMIITALWCIQLKPSHRPSMNKVIEMLEGEVECLQLPPKLLLCP >ONI20537 pep chromosome:Prunus_persica_NCBIv2:G2:2108847:2113377:-1 gene:PRUPE_2G021600 transcript:ONI20537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEKVVSVTGASGYIASWVVKLLLQRGYTVKASVRDPNDKKKTEHLLALDGAKERLQLFKADLLEEGSFNSVVEGSEGVFHTASPFYHDVSDPQAELIDPALKGTLNVLRSCAKVPSIKRVVITSSMAAVAFNGKPLAPDVIIDESWFSDLAVCEKLKLWYMISKTLAEDAAWKFTKENRIDMVAINPGLVIGPLLQPTLNTSVEPVLKLINGAETFPNITYRWVDVRDVANAHILAFENASASGRYCLVGRIAHCSEVVKLLRGLFPALKIPEKCADDKPFTPIYQVSKERAQALGVKFTPLEFGMEGIISTRDVMCIVSVLY >ONI20538 pep chromosome:Prunus_persica_NCBIv2:G2:2109657:2113361:-1 gene:PRUPE_2G021600 transcript:ONI20538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEKVVSVTGASGYIASWVVKLLLQRGYTVKASVRDPNDKKKTEHLLALDGAKERLQLFKADLLEEGSFNSVVEGSEGVFHTASPFYHDVSDPQLWYMISKTLAEDAAWKFTKENRIDMVAINPGLVIGPLLQPTLNTSVEPVLKLINGAETFPNITYRWVDVRDVANAHILAFENASASGRYCLVGRIAHCSEVVKLLRGLFPALKIPEKCADDKPFTPIYQVSKERAQALGVKFTPLEVTLKDTVESLKEKNFF >ONI20539 pep chromosome:Prunus_persica_NCBIv2:G2:2109963:2113294:-1 gene:PRUPE_2G021600 transcript:ONI20539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEKVVSVTGASGYIASWVVKLLLQRGYTVKASVRDPNDKKKTEHLLALDGAKERLQLFKADLLEEGSFNSVVEGSEGVFHTASPFYHDVSDPQAELIDPALKGTLNVLRSCAKVPSIKRVVITSSMAAVAFNGKPLAPDVIIDESWFSDLAVCEKLKLWYMISKTLAEDAAWKFTKENRIDMVAINPGLVIGPLLQPTLNTSVEPVLKLINGAETFPNITYRWVDVRDVANAHILAFENASASGRYCLVGRIAHCSEVVKLLRGLFPALKIPEKCADDKPFTPIYQVSKERAQALGVKFTPLEVTLKDTVESLKEKNFF >ONI24503 pep chromosome:Prunus_persica_NCBIv2:G2:26224819:26227372:1 gene:PRUPE_2G244300 transcript:ONI24503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWGPSRGRIVGAPPECMHKLSSIVRYVLQLSPSITTQSFVKDTNCQKKTRVHEFFFASDLKGIGCSLLCRLQRGPKRLTCLQIQTRTLQFLPSLFSF >ONI24502 pep chromosome:Prunus_persica_NCBIv2:G2:26224762:26227398:1 gene:PRUPE_2G244300 transcript:ONI24502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWGPSRGRIVGAPPECMHKLSSIVRYVLQLSPSITTQSFVKDTNCQKKTRVHEFFFASDLKGIGCSLLCRLQRGPKRLTCLQIQTRTLQFLPSLFSF >ONI24504 pep chromosome:Prunus_persica_NCBIv2:G2:26224753:26227402:1 gene:PRUPE_2G244300 transcript:ONI24504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWGPSRGRIVGAPPECMHKLSSIVRYVLQLSPSITTQSFVKDTNCQKKTRVHEFFFASDLKGIGCSLLCRLQRGPKRLTCLQIQTRTLQFLPSLFSF >ONI25027 pep chromosome:Prunus_persica_NCBIv2:G2:27748709:27750852:-1 gene:PRUPE_2G275600 transcript:ONI25027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTFTRRQQQQSSPRLLRSRSGTIIPTIALPPNNSQRFTTPRSKSTTKSRTTSKNHEEEKVLVLTQNKGLENAIRQQGTNLNVTTSSFGKLLPRGRTSPSPRPRISSVPPPRSPSAWALSPGRYLPCKVAPESPAVSTKAARVKSSHSGGGGVSGVLKYFRLQKKVSPIQEEDFHRFRLLHNRLLQWRFANARAEASLLVAKRVSRAKIFSVCLRTLKVRNFILEKRMQMQKLKHEIKVYQILNPQIFLLNEWGKLDRKNQESVSRLVRKLSGISNTLPLVHDAKADVASVCEAMTTAMLVMEGIEAMATELLPQLEKVLYMVTELLIAQKQQRDLEENITTVAALVEEEASVRAHLIQLESQGAEANIPSTYKIRINNTDINVVNVYDI >ONI24417 pep chromosome:Prunus_persica_NCBIv2:G2:25981655:25984546:1 gene:PRUPE_2G239600 transcript:ONI24417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAESSLPNSRWSLKGMTALVTGGTRGIGYAVVEELAGFGAAVHTCSRKEAELHKCLKEWEAKGFLVTGSVCDASSKTEREKLVQQVASSFNGKLNILVNNVGTNIRKPTTEYTSEEYSIVMATNLESTYHLSQLAHPLLRASGAGSIVFISSVAGLVSIGSGTIYAASKAAINQLTKNLACEWAKDNIRINSVCPWYTRTSLVEHLLDNKEFLEEITSRTPIDRVAEPEEVSSLVAFLCLPAASYITGQIISVDGGMTANSFNPIRRPF >ONI24419 pep chromosome:Prunus_persica_NCBIv2:G2:25981674:25984546:1 gene:PRUPE_2G239600 transcript:ONI24419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAESSLPNSRWSLKGMTALVTGGTRGIGYAVVEELAGFGAAVHTCSRKEAELHKCLKEWEAKGFLVTGSVCDASSKTEREKLVQQVASSFNGKLNILVNNVGTNIRKPTTEYTSEEYSIVMATNLESTYHLSQLAHPLLRASGAGSIVFISSVAGLVSIGSGTIYAASKAAINQLTKNLACEWAKDNIRINSVCPWYTRTSLVEHWQKTF >ONI24418 pep chromosome:Prunus_persica_NCBIv2:G2:25981800:25984083:1 gene:PRUPE_2G239600 transcript:ONI24418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAESSLPNSRWSLKGMTALVTGGTRGIGYAVVEELAGFGAAVHTCSRKEAELHKCLKEWEAKGFLVTGSVCDASSKTEREKLVQQVASSFNGKLNILVNNVGTNIRKPTTEYTSEEYSIVMATNLESTYHLSQLAHPLLRASGAGSIVFISSVAGLVSIGSGTIYAASKAAINQLTKNLACEWAKDNIRINSVCPWYTRTSLVEHNSGKRHSERIFRVPVQLNYRLRTISQVEQSCWITRSFWKR >ONI21564 pep chromosome:Prunus_persica_NCBIv2:G2:11034153:11035048:1 gene:PRUPE_2G073000 transcript:ONI21564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSTTMSYSGDETEGNGIGFAYGIGVSVGVLVLIIIVTLLSYFCTRMRFPPNYPSFRRSSSAVRQLQLQNAAAAGGVELGLDDSALRNFPQLLYSQAKLHKNESTTTTSCSICLGDYKDTDVLRLLPDCGHLFHLTCVDPWLRLRPTCPICRNSPAPTPIATPLAEVAPLAGRRD >ONI25674 pep chromosome:Prunus_persica_NCBIv2:G2:29561218:29564339:-1 gene:PRUPE_2G314100 transcript:ONI25674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWQQLLQSIFLGLIFSYLLAKLIAIVISFKEDNLSITRAHTTPTDINLPRPKPQPHDDPPRSADLTSAAIEAESVVAEHGSVRNESSEAGSDDDWEGVESTELDELFSAATAFVASAATDRQKVSNDAQLQLYGLYKIATEGPCSVPQPSALKMTARAKWQAWQKLGAMPPEDAMEKYIDIVTELYPTWAAGLTVKSRGGDGNAPGTDSNGPMGPVFSTFVYEEESENDSKMDAIHAFAREGEVDNLLKCIESGVSVDLKDSEGRTPLHWAVDRGHLNMAELLVSKNANVNAKDNDGQTALHYAVMCDREGVAEYLVKQNADTGAKDNDGSSPCDLCESNWPWLQRAKE >ONI25673 pep chromosome:Prunus_persica_NCBIv2:G2:29561218:29564311:-1 gene:PRUPE_2G314100 transcript:ONI25673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWQQLLQSIFLGLIFSYLLAKLIAIVISFKEDNLSITRAHTTPTDINLPRPKPQPHDDPPRSADLTSAAIEAESVVAEHGSVRNESSEAGSDDDWEGVESTELDELFSAATAFVASAATDRQKVSNDAQLQLYGLYKIATEGPCSVPQPSALKMTARAKWQAWQKLGAMPPEDAMEKYIDIVTELYPTWAAGLTVSRGGDGNAPGTDSNGPMGPVFSTFVYEEESENDSKMDAIHAFAREGEVDNLLKCIESGVSVDLKDSEGRTPLHWAVDRGHLNMAELLVSKNANVNAKDNDGQTALHYAVMCDREGVAEYLVKQNADTGAKDNDGSSPCDLCESNWPWLQRAKE >ONI25770 pep chromosome:Prunus_persica_NCBIv2:G2:29825405:29827788:-1 gene:PRUPE_2G319700 transcript:ONI25770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGETQMTPTQVSDEEANLFAMQLASASVLPMVLKAAIELDLLEIMAKAGPGVFLSPTDIASQLPTKNPDAPVMLDRMLRLLASYSILTYSLRTLADGKVERLYGLGPVCKFLTKNEEGVSIAPLCLMNQDKVLVESWYHLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNRGMADHSTITMKKILETYKGFEGLTSVVDVGGGTGAVLNMIVSKYPSIKGINFDLPHVIEDAPQYPGVEHVGGDMFVSVPKGDAIFMKWICHDWSDEHCLKFLKNCYAALPDNGKVILGECILPVAPDSSLATKGVVHIDVIMLAHNPGGKERTEQEFQALAKGAGFQGFNVACSAFNTYVIEFLKKN >ONI25771 pep chromosome:Prunus_persica_NCBIv2:G2:29825504:29827661:-1 gene:PRUPE_2G319700 transcript:ONI25771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGETQMTPTQVSDEEANLFAMQLASASVLPMVLKAAIELDLLEIMAKAGPGVFLSPTDIASQLPTKNPDAPVMLDRMLRLLASYSILTYSLRTLADGKVERLYGLGPVCKFLTKNEEGVSIAPLCLMNQDKVLVESWYHLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNRGMADHSTITMKKILETYKGFEGLTSVVDVGGGTGAVLNMIVSKYPSIKGINFDLPHVIEDAPQYPGINHVGGDMFVSVPKGDAIFMKWICHDWSDEHCLKFLKNCYAALPDNGKVILGECILPVAPDSSLATKGVVHIDVIMLAHNPGGKERTEQEFQALAKGAGFQGFNVACSAFNTYVIEFLKKN >ONI22765 pep chromosome:Prunus_persica_NCBIv2:G2:20507421:20509572:1 gene:PRUPE_2G149600 transcript:ONI22765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSQTPTSNDDPYKLLNIVKNPDGSLTRHPPFPIVPSSPTPTDSISSAAATQSNSPQLVLSKDIQINPTTKNSVRIFKPHPLPANSKLPLIFYFHGGGFVLFSVALQPFHDSCSRMALSLPALVVSVEYRLAPEHPLPSAYDDAVEAVDWARSQASNVNGCDPWLKDTVDFSKCFLLGSSAGANIVYHAGLRIASADLSPVKIRGLILNQPYFGGVERTQSELRLINDRILPLVSNDLMWALSLPSGADRNHEYSNPTVRGGDERIGQLPTCVVRGFGGDPLVDRQKEFVKLLESRGAHVVAKFEEDGFHGVELFDPSKAKALYDIVKDLIHSCGANDSKAVGVSKSAI >ONI25516 pep chromosome:Prunus_persica_NCBIv2:G2:29243031:29245959:-1 gene:PRUPE_2G308200 transcript:ONI25516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNKNGGGTGFGGIVGEYIRRHHKHDPKDHQCTSTLVRHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVVQGNLEIGSLREVDVKSGLPATTSTERLELLDDDEHILSIKIIGGDHRLRNYSSIISLHPEITDGRPGTVVIESFVVDVPEGNTKDETCYFVEALIQCNLKSLCDVSERLAVQDRTEPIDRL >ONI25515 pep chromosome:Prunus_persica_NCBIv2:G2:29242997:29245962:-1 gene:PRUPE_2G308200 transcript:ONI25515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNKNGGGTGFGGIVGEYIRRHHKHDPKDHQCTSTLVRHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVVQGNLEIGSLREVDVKSGLPATTSTERLELLDDDEHILSIKIIGGDHRLRNYSSIISLHPEITDGRPGTVVIESFVVDVPEGNTKDETCYFVEALIQCNLKSLCDVSERLAVQDRTEPIDRL >ONI20725 pep chromosome:Prunus_persica_NCBIv2:G2:3385251:3387492:-1 gene:PRUPE_2G031100 transcript:ONI20725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFQLSISLLASFVSKTASSSSSPALLLTRWQTSILGQTKKIKHCRLTSRSRRCKWRM >ONI22884 pep chromosome:Prunus_persica_NCBIv2:G2:21026888:21033545:1 gene:PRUPE_2G156900 transcript:ONI22884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGFLDNSTGSGGGARIVADISYNNTSSSTHSNNMPSSALAQPRLVTQSLTKSMFNSPGLSLALQTNADGQGDVTRMAENFETNVGRRSREEEHESRSGSDNMDGGSGDDQDAADNTNPRKKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICSNCGGPAIIGEISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLATSMGPPLPSSTLELGVGSNGFGGLSSVATSMPVGPDFGGGIGSAMSVVPHSRPSVTGLDRSMERSMFLELALAAMDELVKLAQTDEPLWLRSLEGGREVLNHEEYMRSFTPCIGLKPNGFVTEASRETGMVIINSLALVETLMESNRWLEMFPCLVARTSTTDVISSGMGGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSVDTIRDTSGAPTFMNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQVHQLYRPMLSSGMGFGAQRWVATLQRQCECLAILMSSSVPTRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNARNVDEDVRVMTRESLDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDPGNCVSLLRARAMNANQSSMLILQETCIDSAGGLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGPMTVKGGGHGSSNGGGGEDATHRVSGSLLTMTFQILVNSLPSAKLTVESVETVNNLISCTVQKIKAALHCES >ONI22885 pep chromosome:Prunus_persica_NCBIv2:G2:21026888:21033545:1 gene:PRUPE_2G156900 transcript:ONI22885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGFLDNSTGSGGGARIVADISYNNTSSSTHSNNMPSSALAQPRLVTQSLTKSMFNSPGLSLALQTNADGQGDVTRMAENFETNVGRRSREEEHESRSGSDNMDGGSGDDQDAADNTNPRKKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICSNCGGPAIIGEISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLATSMGPPLPSSTLELGVGSNGFGGLSSVATSMPVGPDFGGGIGSAMSVVPHSRPSVTGLDRSMERSMFLELALAAMDELVKLAQTDEPLWLRSLEGGREVLNHEEYMRSFTPCIGLKPNGFVTEASRETGMVIINSLALVETLMESMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSVDTIRDTSGAPTFMNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDESQVHQLYRPMLSSGMGFGAQRWVATLQRQCECLAILMSSSVPTRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNARNVDEDVRVMTRESLDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDPGNCVSLLRARAMNANQSSMLILQETCIDSAGGLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGPMTVKGGGHGSSNGGGGEDATHRVSGSLLTMTFQILVNSLPSAKLTVESVETVNNLISCTVQKIKAALHCES >ONI24743 pep chromosome:Prunus_persica_NCBIv2:G2:26975557:26979341:-1 gene:PRUPE_2G259600 transcript:ONI24743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKAKTSRKGKKAWRTNISTEDIHDFFEQSTKDALSGGSLSSAPAESLFFVDKSKDLSVKRKIEKHRQKVLHVESMLQKNPFVQAVPSSTLKKSKKTLKEVPKPKDATECGPQGSVSTSGMADLWGDKGGDNSKTKKTAKPSLIPAVEVEPPGSSFNPTFESHQETLAHAVAQEMHKVYKKELGPQPVPLTVPGEAVDEEEMYFLDADEGTDDDMNPENLDENEDAASEKRPLKTKRVTTVMLNKRARRKEQLKKEAEAKKAQKLSKEIDGLPDILQEIAKEDDEKHKRHIRRVVAKKEKLKSCPPRLGKHKFEPAPVQVLLTEEITGSLRKLKGCCTLVKDRFKSLEKRGLIPPKLNKRK >ONI24561 pep chromosome:Prunus_persica_NCBIv2:G2:26347959:26350998:-1 gene:PRUPE_2G247200 transcript:ONI24561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIEHTVLQFSTSSSSSLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESADQVALDIDYHHNMLISQQKVNPKEVIVGWYSTGFGVTGGSVLIHEFYSREAPNPVHLTVDTGFRNGEGTIKAYVSVNLSLGDRQLAAQFQEIPLDLRMVEAERVGYDILKTTMVDKLPTDLEGMEASMERLLALIDDVYKYVDNVVESRVEPDNNIGRFLLDAVASLPKLSPTAFDKLVNDSLQDNLVLLYLSSITRTQLTLAEKLNTAAQVL >ONI22035 pep chromosome:Prunus_persica_NCBIv2:G2:15899472:15900806:-1 gene:PRUPE_2G103100 transcript:ONI22035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYHSCALVALSTTLLFLLCASSFSLASAKGGFSVDLIHRDSPKSPFYNPSLTPSQRLANALGRSINRLNHFSPAASSLSQHGPNQVEANLIMNRGEYLMEASIGTPPFPIKAIADTGSDLIWTQCKPCPSCYQQTDPLFDPERSSTYKTLPCSSNQCVSLNGTCSSSNCRYSVSYGDGSHSRGAYAQETLTLGSTTGRNVALPKTLIGCGHDNNGTFDEKSSGIVGLGGGNESLITQLGASIDGKFSHCLVSIQSQAKTTSKLNFGTNAVVSGSQVVSTPIVQGLYPETFYFLTVEAISVGDTKLAFPPSTFANGEGNIIIDSGTTLTFFPSDFYANLEAEVDKAIGRERMDVPNVPLSLCYKSSESEAEFKAPTLTVHFKGADVKLDAAHTFVRASEEAVCFAFAPTRSISIYGNLSQMDFLVGYDKKKQTVSFKPTDCTK >ONI25747 pep chromosome:Prunus_persica_NCBIv2:G2:29746577:29752655:1 gene:PRUPE_2G317900 transcript:ONI25747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEQKDRVTALTPMDDIVEISLGEYTDIETHSRALLVVLDFEVAIHLWKVDKFDFVVCMSAYINVVFGSVEIGLVFAVAISVIRVLLFVARPRTFVRGNIPNSMVYRNVEQYPNASNVPGILILEIDAPIYFANTNYLRESEFVRVDFGNDATTTHRRSKVSNSTFHLNQLQWHHSQYDSNVIFQEEAWFDSVSILESDSDDDFISIHGDGFPLASNPVGNISCGQVLQHERSARFVDNGCKYEEYQSYMKIDGGKSDKITGRDERRESNRFSLINTQGYELSHLGKTNEVCSKRKNILDHSYGSFKGLTEDGRDSNEKIQDNALKSGLTRLVPSVSFNDKILSAQSLVPQSQRKPSAVFRLSFKRRSCDAEETIEQCQSKRFLYRPRPGYIIPCCRVEKPTSGSWSEIPPSTFKFRGENYFNPYTPIGFDVSVCPKKIHHIAQHPELPKVKANGKLFIVNVQLPTYPAAMFLGDSDGMGMSLVMYFKVSENFDKDISPQFQDSIKKMVDDETEKVKGFAKDSTVPFRERLKILAGVVNPEDLGRSSAEKKLVHAYNDKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISTKGLKLL >ONI21403 pep chromosome:Prunus_persica_NCBIv2:G2:8476162:8484262:-1 gene:PRUPE_2G063400 transcript:ONI21403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRMLGENAAIVELVHFYGTIDHLGMTFNDLWYHPLLQSSNERYFSPLDAWLHLQYERRLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYSMGISMDSLGLRSLNLRPRFTNRKLRSKFKNCTRSFVICI >ONI21404 pep chromosome:Prunus_persica_NCBIv2:G2:8476162:8484281:-1 gene:PRUPE_2G063400 transcript:ONI21404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRMLGENAAIVELVHFYGTIDHLGMTFNDLWYHPLLQSSNERYFSPLDAWLHLQYERRLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYSMGISMDSLGLRSLNLRPRFTNRKLRSKFKNCTRSFVICI >ONI21399 pep chromosome:Prunus_persica_NCBIv2:G2:8475838:8484262:-1 gene:PRUPE_2G063400 transcript:ONI21399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRMLGENAAIVELVHFYGTIDHLGMTFNDLWYHPLLQSSNERYFSPLDAWLHLQYERRLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYSMGISMDSLGLRRSM >ONI21402 pep chromosome:Prunus_persica_NCBIv2:G2:8475671:8484262:-1 gene:PRUPE_2G063400 transcript:ONI21402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRMLGENAAIVELVHFYGTIDHLGMTFNDLWYHPLLQSSNERYFSPLDAWLHLQYERRLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYSMGISMDSLGLRSLNLRPRFTNRKLRSKFKNCTRSM >ONI21400 pep chromosome:Prunus_persica_NCBIv2:G2:8475670:8484281:-1 gene:PRUPE_2G063400 transcript:ONI21400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRMLGENAAIVELVHFYGTIDHLGMTFNDLWYHPLLQSSNERYFSPLDAWLHLQYERRLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYSMGISMDSLGLRRSM >ONI21398 pep chromosome:Prunus_persica_NCBIv2:G2:8475977:8484262:-1 gene:PRUPE_2G063400 transcript:ONI21398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRMLGENAAIVELVHFYGTIDHLGLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYSMGISMDSLGLRRSM >ONI21401 pep chromosome:Prunus_persica_NCBIv2:G2:8475670:8484262:-1 gene:PRUPE_2G063400 transcript:ONI21401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVEGAPSSTVDIPEFLKSFPKLSTSDSSANLDSLPNGAKVVATESLARKTSSSHYTLADMLKRSDVTSRWEDGRVVNEYRRIIRDIIEVLFQLELKDIRSGYLNDDDIVIIHGRAKIPYFAKPCIETKLSSYRQEFKSLVSRMLGENAAIVELVHFYGTIDHLGMTFNDLWYHPLLQSSNERYFSPLDAWLHLQYERRLTWRNMYQNVANNDIDINTIVGKSNYKAFKSVLLKKTKKEGAYKNNALGVFDYSRYILENVNKNVDKDNERISTKHEVEEELTSLFPTRLIDLYEFLYSMGISMDSLGLRRSM >ONI25086 pep chromosome:Prunus_persica_NCBIv2:G2:27933216:27936239:1 gene:PRUPE_2G279600 transcript:ONI25086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLQSQNLAGSISPHIGNLSFLRELYLQNNSFTHEIPSEIGHLHRLKILRLDQNLLSGPVPAKISNCINLIFIHFGDNRLVGKIPSEICTLSKLQKLVLQSNNFTGEIPPSLGNLSSLEILGATYNNLLGSIPTSLGQLKKLTRFAMDSNNLSGTIPPSFYNLSALVIFSLAINQFQGSIPSDLAKTLPNLQTFEFHTNQFTGSIPSSISNATSLVAFEVSNNKLTGQVPNLERLHNLVNFNIQFNHLGSGQHGDLRFVSDLCNATRLNNFGGTLPASIANLSTTLEVLVVQRNKLHGSIPAGIGNLVNLEFLALGENSFTGSIPTDFGKLSMVEEIDLQVNQLSGTIPSSFGNLTKLSALGMQGNNLQGSIGVLGGCLGLQQMYASQNNFSGPIPQQLLGLPSLSIYLDLSKNHFTGSLPMEVGKLNNLGALDVSDNLLSGELPNSLGSCIHLEVLHLQGSGDVYSFGILLLEMFTGRRPTDHMFSDGLNLHNFVKTAFPDRVTEIADLLLQEGSIESPEQDSIKAQRVTWNCMFC >ONI23254 pep chromosome:Prunus_persica_NCBIv2:G2:22248348:22252136:-1 gene:PRUPE_2G177600 transcript:ONI23254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYAAVSRAKAMSRAFSPILRCMSNVPENTVYGGPKTQSPDQRVTVTHLKQKHKKGEPITMVTAYDYPSAVHLDSAGIDICLVGDSAAMVVHGYDTTLPITLDEMLVHCRAVARGAKRPLLVGDLPFGSYESSSNQAVDSAVRVLKEGGMDAIKLEGGSPSRITAAKSVVEAGIAVMGHVGLTPQAISVLGGFRPQGRNVASAVKVVETALALQEVGCFSVVLECVPPPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFAHVGEVINKALVEYKEEVASGSFPGAAHSPYKISAAEVYGFLSELTKLGLDKAASAAAEAAEKISTSK >ONI20394 pep chromosome:Prunus_persica_NCBIv2:G2:1108699:1111146:1 gene:PRUPE_2G012800 transcript:ONI20394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPESMGKEEGANNINKKKKMILSKLRKGLWSPEEDEKLMRYMLTNGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIVHFHSILGNRWSQIAARLPGRTDNEIKNFWNSALKKRLKKNNISTSLSSPNDSDSSEPRDVNITGGTTFMPMHDHDMMTMTMYNMDSSSSSSASMQAMFVNSNTLFDPFSMLDHRYDMAHADATLNVNPTCLANLPNIGDQGYYGDCGNLGAGHKMGLEGDLCVPALESRSVESNVNGQVAENISKTINNHFHNNCFNNTTEMIGFKVDEDMLGFGNNGHGENLRMGEWDFEGFMQDISSFLS >ONI22981 pep chromosome:Prunus_persica_NCBIv2:G2:21294409:21295228:1 gene:PRUPE_2G162100 transcript:ONI22981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALKEQRCEREPSPPVGVGVDDDDDDFAGLACPPLDDLEAVFILESETTTFDPSNLFDDVKLDDHDMAIQEKPNKPPSHGKGKSLETLRLMLSAPPPSSCQQKPTGPIKSDAHHQRQD >ONI21875 pep chromosome:Prunus_persica_NCBIv2:G2:15039926:15042087:1 gene:PRUPE_2G095500 transcript:ONI21875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMQGIDGYKELTEIRALNHTNLVLIPKIQEPLSISEYRPISLCNFSYKILSKVLANRIKPLLPMIISQAQSAFVAERQIHDNILIAYEVFHFLKLRKAKKSFEMGIKLDMNKAYDRVEWNFLREVMLKMGFRSRWVHLIMRFITTVTFSVVLNGQLGKKFMLSRGIRQGDPFSPYRFL >ONI23221 pep chromosome:Prunus_persica_NCBIv2:G2:22144264:22151596:1 gene:PRUPE_2G176000 transcript:ONI23221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEDTQSPDQPTETDNEIQKKSKLSYTREFLLSFCELDICKKLPSGFDQSIISEFEDAFKDRQRISSGLSSHSFRRNEYGSSPPTRGDVAGYSRAIPGRWESRSTGRSDKDSDSQSDRDSDSGRHYGKRSWQVPEHDGLLGSGSFPRPAGFTAGISAPKVRPNDTYQLNRTNEPYHPPRPYKAAPHSRREMTDSLNDETFGSSEVTSEDRAEEERKRRASFELMRKEQQKAFQEKQKLKPEKNKGDFDFATLLDDSKDEKRLLHRSSEIEEPLIPPASNNDAEKSTFLLQTPAPRPLVPPGFASTVLERNLGAKSLSHPHEVEVGSSELDENILHAKSKLVLNGTSDKQVEKQSAEQMVLGKQQHGSASTHVSVDSMSEKNPNLSPPQGAYNKIIGIDSQIYDTSNTSQALEASKNSEVIDLNAEKLAGNKIVGESNEGHSTSILEKLFSSAGALNGVGSSKISEHHDSKADETWSPDTVQSSKFAHWFREEEKKSGDDLSSGRRNDLLSLIVGGEKGGPHISDGVHDHSLPTFSSQNSEPADRLLTSDLVSPTVGNTKEPFKKNKPEAVSAVLTCEDLEQSILSEISESGPNLQPPVQRWALPGKKPEQLKANVDNHASQHLLSLLQKGTGLKDMEPSPNQETTFFEKLHDIEGTTIGSAVHSSKEDNAENASDSGKSLTLETLFGTAFMKELQSVGAPVSVKRGPIGSARVDVVEPQGLPFPVIDNSLLPSATEIGPNTTSHSSNDSTAHRRKQTKSDKIEERLLGFDNPQIELGSSQVGTDLGSKIGVFDGPADFRLPEEDSLITVSEPLNIQNFMSSGNLVKNKLFSSPNTQVDIAEKLAAMNSAFKDERSIMVSQEGPPFLRGPYDMREPDLPYQNLHVQPSSQQLHHPQLNHGGSLFHQLDSHPANINSQMNFMAPEGIIRSDPPPNHQFHANMVRPPFHHANAGQSGFDAHAHHPMLQQMHLPGNFPPPHLLQGLSNAQPLPPHPNRGAPLPAHPSSQVNSFMQEMNPMPGFPYGPRQPNFGGHGMPSPAPDVAGGSNHPEVLQRLMEMDLRSNSKQIRPFAAGGHTQGMYGHELDMGFGYR >ONI23273 pep chromosome:Prunus_persica_NCBIv2:G2:22299629:22302368:-1 gene:PRUPE_2G179100 transcript:ONI23273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIPYQPAAPPPPWPPMVAPNPPMTSTFWESSNVRERLKNLQDTLRLAKAMQKELEMVRLIKDGKRAEDDDGASVSEFSEFLKERRINLESQVSLSVEAANALMAKLGVELAPFRAITHEMCPWEEKSAALRLSNKIRKCKRNKRWRKAKRKRIAEMSAKERERFEEADREADEWRAREIAKDIAKRKMEDMGKIAKLKAKEERKRLESELETVLIVEKLQELRSIRIEKLKKQGHFLPEEDDKFLERVRAAVEEEEQQVIMAADMDAAKDAIATVEQSRKTTENFRPDSKDQSSDRGESRESKDQTISITDAVPSSAVTNKEPGKQVSQGEGYSGAYDAVANLPIEFYHYYHGSNTDMGTLIEVRRTWDAYLRPGGSRIPGHWVQPPPPADDIWASYLVQSK >ONI23274 pep chromosome:Prunus_persica_NCBIv2:G2:22299696:22302368:-1 gene:PRUPE_2G179100 transcript:ONI23274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIPYQPAAPPPPWPPMVAPNPPMTSTFWESSNVRERLKNLQDTLRLAKAMQKELEMVRLIKDGKRAEDDDGASVSEFSEFLKERRINLESQVSLSVEAANALMAKLGVELAPFRAITHEMCPWEEKSAALRLSNKIRKCKRNKRWRKAKRKRIAEMSAKERERFEEADREADEWRAREIAKDIAKRKMEDMGKIAKLKAKEERKRLESELETVLIVEKLQELRSIRIEKLKKQGHFLPEEDDKFLERVRAAVEEEEQQVIMAADMDAAKDAIATVEQSRKTTENFRPDSKDQSSDRGESRESKDQTISITDAVPSSAVTNKEPGKQVSQGEGYSGAYDAVANLPIEFYHYYHGSNTDMGTLIEVRRTWDAYLRPGGSRIPGHWVQPPPPADDIWASYLVQSK >ONI21508 pep chromosome:Prunus_persica_NCBIv2:G2:10749555:10749803:1 gene:PRUPE_2G070900 transcript:ONI21508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTRMRILMRTTTMIAMSMHSYTLVLLRKHKVARIALQNLLAAVHAVLALNRYLAYRTSCSPSISCTASCMFIFHLYFAGC >ONI24716 pep chromosome:Prunus_persica_NCBIv2:G2:26907842:26911043:-1 gene:PRUPE_2G257600 transcript:ONI24716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYPFQTFQFLKKGVSDWRRILHKKKEKWKILHSKCTHFIFFLQLLFCALQPVRSEIWDGVIVTAADYQALQAIKHELDDPKGFLRSWNDSGFGACSGGWAGIKCAQGQVIVLQLPWKGLGGRISEKIGQFQALRKLSLHDNQIEGPIPQSLGFLPSLRGVQLFNNRLSGSIPPSLGFSPLLQTLDLSNNSLTDKIPDSLANSTKLYRLNLSYNSFSGSVPVSFTHSHSLTFLALQHNNLSGPVPDSWGSTGTQNSHLFRLQSLTLDHNFLSGSIPASLGKLSELEEVSISGNHFSGAIPNEIGSLSRLRTLDFSNNAINGSLPSSISNLSLLVQLNLEGNKLDSKIPEGLGSLKNLSVLNLRKNQLQGPIPAALGNISTLTQLDLSLNNLSDGIPASLADLPHLSFLNVSDNNLSGPVPALLSHKFNASSFGNTQLCGYSASTPCPSEAPSQSVQAPAPEVSKRHRKLSTKDKILIAAGALLLVLFVLCCILLCCLIRRRSASKAKDGQGTAGAGAARTEKGVPAVAGEVESGGEAGGKLVHFDGPMAFTADDLLCATAEIMGKSTFGTVYKATLEDGSEVAVKRLREKITKSQREFEAEVNILGKIRHPNLLALRAYYLGPKGEKLLVFDYMPKGSLAAFLHARGPDTPIDWPTRMNIAKGMARGLSYLHTNENIIHGNLTSSNILLDEQTNARISDYGLSRLMTAAANSNVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPGEPMNGLDLPQWVASIVKEEWTNEVFDLELMRDASIIGDELLNTLKLALHCVDPSPSARPEVQQVLQQLEEIRPETAASSSDDGAGAPSASE >ONI21342 pep chromosome:Prunus_persica_NCBIv2:G2:7643619:7648297:-1 gene:PRUPE_2G060500 transcript:ONI21342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTVDEEAPAPGGSSIIDGKAESYRPEETIAHPQRMELPRDDNELGGGHESTVDLDPLDEIVQNSINNLVTDFFSGNSRLELLNHTHIVLIPKIPKPTSVNHFRPISLCNNSYKILSKLLANRLKTLLPMLISQHQNAFIPGRQIQDNILLAHEAFHYLRLKSSKKSFELGLKLDMNKAYDRIEWDFLEATLCKFGFDNRWVELVMLCVKTITFSLVLNGSPGSPFSPSRGLRQGDPLSPYLFLLVSEVLSLNIINSTDTGMLRGIKLSRGGPELSHLFFADDSLFFLQATPPNCSALKSIIECYCSASGQEVEALTYVRDRINSKIAGWKLKLLSQAGREVLIKSVAAAIPAYPMSCFLLLATICNSINADLARFWWGHDGNQGKIHWHSWKKLCRPKAEGGMGFRDLQAFNWSLLAKQCWRILRNPTTLWARILKARYFPECSFLDAKKGGRASWAWSSLLVGRDIIEKGARWQIGNGHLVSVWKDRWLMGYGSEKISPLPSCNRFTPLLVADLIDVGNRSWNISHIEPFIHPSEAMLIRSTPIGSLATRDRLVWPAVKNGDYTVKSGYYHAINVSPPDPCDRASSSHAVNSDVWKVIWRAHITPKIRNFMWRALTNSIPTCANLFGRKLARSPTCRLCGLFPETVEHLLLLCSWTRAVWFGCPFGYTPDLASITTLDSWLSGFLRSSFADGDQRDWGISLFMFCSWEIWKARCKAIFNDIRPSPPLAKTGVGGIGAVIRDHNGSFIGAASQPCNCSSAAECEASAAIMGLSFASSFHVQNVVVETDCSELVSCVKKGSASGNWRFYPFLAEFRRWKRPSSNVTRIGFFVKLTELLMLPPS >ONI22703 pep chromosome:Prunus_persica_NCBIv2:G2:20241701:20242125:-1 gene:PRUPE_2G145900 transcript:ONI22703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTTLFPMLVLVLFTLFVGAVGIPLFNQEGMDLDILSKFLSLSINLLHKNRNHSMDWYEFIKNATFSVSIAYFRILISSFLYKPVYSSLQNLN >ONI21256 pep chromosome:Prunus_persica_NCBIv2:G2:6652986:6656382:-1 gene:PRUPE_2G055800 transcript:ONI21256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDASCEDIEIDKRLSFLNGYVQQALEKGAQPYIPENERSGMLNISNFSTQDQHEALTHGLRFEAYELPKPAVPSRIPPAAVASSTELVPVPEPSYVREIRQPASLPPVSDAGSSELKLRLDGVQRKWGRPTYSSPALSISNSSSSSSQKSANGVTQIDSVSTSNSKARDTYESRRPQVEISPEKQKLASSLFGGSSKTERRPSSANHKVSKANIHASEKPQVPKAAAVHTEVNHERAPDLLDLGDSTSSTASTVDPFKQLEGLLDQTEVALTANHGAAGAAKTPDIMGLYTDTSLSGLSSSVGDPLPTNRDEFNLASELSNATRTAQSGVTQLNKGPNPKDSLEKDALVRQMGVTPTSQNPNLFKDLLG >ONI22126 pep chromosome:Prunus_persica_NCBIv2:G2:16734061:16736078:1 gene:PRUPE_2G108900 transcript:ONI22126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPNASQPAANCSGFQSNNAQGNFSTTNPSPKLIYTDIPYSFGRNGTNRVHIGTNPVQMYQQKAQETPQPFTTTGNGNSNNDSRNSSNIVMTSYTNNSYGTGMQMTITSTASGGRLAGHMAPTQTVFNNVDWDSNGVNNNCGDLVINNGTQNDDQNRNVPQVDNVVFGYDFSQKESSSSLGFSGSSSSQFSPILAEGNNAGQGNVNVSELDQIVQQCGALFSSSNNSSQVTVVHSSSSSTEISSSYQKDNDDAFDLSVLLLNELRLESIKNDQVKGKQAIDSDKDTDIDFDLFLNENHFGTVNDDDKGKGKQVMNFDPSASVVQESSPLNKESLVQHNGDLVDLEFDGLNMASPENLSLDEDWENIVESLFK >ONI22127 pep chromosome:Prunus_persica_NCBIv2:G2:16734061:16736078:1 gene:PRUPE_2G108900 transcript:ONI22127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPNASQPAANCSGFQSNNAQGNFSTTNPSPKLIYTDIPYSFGRNGTNRVHIGTNPVQMYQQKAQETPQPFTTTGNGNSNNDSRNSSNIVMTSYTNNSYGTGMQMTITSTASGGRLAGHMAPTQTVFNNVDWDSNGVNNNCGDLVINNGTQNDDQNRNVPQVDNVVFGYDFSQKESSSSLGFSGSSSSQFSPILAEGNNAGQGNVNVSELDQIVQQCGALFSSSNNSSQVTVVHSSSSSTEISSSYQKDNDDAFDLSVLLLNELRLESIKNDQVKGKQAIDSDKDTDIDFDLFLNENHFGTVNDDDKGKGKQVMNFDPSASVVQESSPLNKESLVQDWENIVESLFK >ONI20971 pep chromosome:Prunus_persica_NCBIv2:G2:4825538:4830474:1 gene:PRUPE_2G043600 transcript:ONI20971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWYYGSGMDDVVVPNDGGSDRLPSPDSWSKWGISASECFQPTNKCFSIYPQFTKELNYNGSSLFDDMEMETSVNEKDLSSSSSVCEGFSEDSLQQTTHSLNRTNNQLEDLAGLEQMDDIFLSSLLDDLPGAENVHKSFYFCPDSNGMLPPDNISTSMSLESQSFSSSAHSAGSSKYLKNHAFSPAAGSEKGHATTSQYIQCNSEQKACPSVKAESGFAPPEQGSMNGLLGEETSVEESVLHELEMVMTQLNEKTRICFRDALYRLANNSKGNLATQSQDGDQASEIAEPSSWTAQDETIRSGSNKGTESETNTIDRAIANLMFNEMDFNVQPLSGGAPLDPKQEASGVTGQQTDNTCRPQISHSHLLSFVPHDAEVPILGERSMHAETDHQIHKSFSACNAGGKRKAPMTEFESTTGY >ONI24868 pep chromosome:Prunus_persica_NCBIv2:G2:27284180:27286801:-1 gene:PRUPE_2G266500 transcript:ONI24868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSSRIIQGLLRFHHNQIAKPSSPLTSSRRTYHSNNGPLPQFPSHPKPVVGGGGSSSGPGLGLGLGLRFFSFKPPNFSKVNAKKVFDKPLSAATSAFSRYQEAIGLQIEAFWKRNNLVLLGVGALVVCALLWRVMFGIASTFVGLSEGMAKYGFLALSSAIVAFAGLHIRSRFTINPDKVYRIAMRRLNTSAGILEVMGAPLSGSDLRAYVMSGGGVTLKKFKPTFRSKRCFLIFPVRGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLIGDEEEYKVGGGLIAELRDPVVKAMAATKEFDSLDQIEEEEDAERELQEAERKHREEIEKLEKDGSQ >ONI24869 pep chromosome:Prunus_persica_NCBIv2:G2:27285077:27286392:-1 gene:PRUPE_2G266500 transcript:ONI24869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSSRIIQGLLRFHHNQIAKPSSPLTSSRRTYHSNNGPLPQFPSHPKPVVGGGGSSSGPGLGLGLGLRFFSFKPPNFSKVNAKKVFDKPLSAATSAFSRYQEAIGLQIEAFWKRNNLVLLGVGALVVCALLWRVMFGIASTFVGLSEGMAKYGFLALSSAIVAFAGLHIRSRFTINPDKVYRIAMRRLNTSAGILEVMGAPLSGSDLRAYVMSGGGVTLKKFKPTFRSKRCFLIFPVRGSERKGLVSVEVKKKKGQLTV >ONI24867 pep chromosome:Prunus_persica_NCBIv2:G2:27284179:27286802:-1 gene:PRUPE_2G266500 transcript:ONI24867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSSRIIQGLLRFHHNQIAKPSSPLTSSRRTYHSNNGPLPQFPSHPKPVVGGGGSSSGPGLGLGLGLRFFSFKPPNFSKVNAKKVFDKPLSAATSAFSRYQEAIGLQIEAFWKRNNLVLLGVGALVVCALLWRVMFGIASTFVGLSEGMAKYGFLALSSAIVAFAYDMKLLAVDIPMASGPDQRLFLIGDEEEYKVGGGLIAELRDPVVKAMAATKEFDSLDQIEEEEDAERELQEAERKHREEIEKLEKDGSQ >ONI25241 pep chromosome:Prunus_persica_NCBIv2:G2:28484749:28485846:-1 gene:PRUPE_2G291200 transcript:ONI25241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKLMIGVTIMALLSTAILVSSTIECSAVTALVSTCYTFITYGSPDPFPGSPCCNAMANLKVIADTIENRRFACRCLLGLISTYNPNAYAIATLPDFCQVSLGFNIDPNTDCNFCRAEEGRFEFWVEENLNN >ONI25242 pep chromosome:Prunus_persica_NCBIv2:G2:28485236:28485803:-1 gene:PRUPE_2G291200 transcript:ONI25242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKLMIGVTIMALLSTAILVSSTIECSAVTALVSTCYTFITYGSPDPFPGSPCCNAMANLKVIADTIENRRFACRCLLGLISTYNPNAYAIATLPDFCQVSLGFNIDPNTDCNLIL >ONI23631 pep chromosome:Prunus_persica_NCBIv2:G2:23677449:23679705:-1 gene:PRUPE_2G199700 transcript:ONI23631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLSDLASGVTCVPSNYVRPVHDRPSLDQVQPSDHSIPLINLRGFDGSRRHEIINQIGLACQNYGFFQVQNHAIEEVVIDNMLKVAREFFHLPENERLKCFSDDPLKTTRLSTSFNVKTEKVSSWRDYLRLHCYPLEDYMHEWPSNPPSFREDVAEYCRNVKGLAERLLEAISESLGLEKDYMNRALGKHGQHMAINYYPPCHQPELTYGLPGHADPNVVTLLLQDDVAGLQVFNNGRWVAVKPMPHTFIVNIGDQIQVVSNDRYKSVLHRAVVNCDKERISIPTFYCPSYDAVMEPAPQLVDDHHPPLYRSFTYAEFYEKFWDRGLNTRSSLDLFQTTSHA >ONI24589 pep chromosome:Prunus_persica_NCBIv2:G2:26422902:26427189:-1 gene:PRUPE_2G248600 transcript:ONI24589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHRTMMSQLGTPKARPTVLKSRLANPQPEPVARSNLVSKQSTSSPGLSSSSSGTRRPSSSGGPGSRAATPTGRPTLTSAPRPSRSSTPTSRASLPLNKSTNSAAKPTVPTTKSTIPAPKSTISATKSTIPSRSSTPSRSMARSSTPTSRPTVPPPMSASSSRASTPTRRPSMPSTTPSISAPPSRSSPSVSKPVPATARNPVPSRGASPTVKSRPWKPSEMPGFSLDAPPNLRTTLPDRPLSASRGRPGAPSSRSSSVEPGSNGRPRRQSCSPSRGRAPNGISHTSGSSVPAFSRGHSKVNDNVSPVLIGTKMVERVINMRKLAPPKQEDKHSPHGNHSGKSSSSPDSSGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGPARSRTVSVSDSPLATSSNASSEVSVNNNGICLDGSEVEDNGSERGGRSPASVRGR >ONI24588 pep chromosome:Prunus_persica_NCBIv2:G2:26423301:26426585:-1 gene:PRUPE_2G248600 transcript:ONI24588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSFRAQESQMQAMMKQRQQLRASVRKEKEEELALFLEMKKREKERNDLLLNSSEEFDAPLGSKPGTSPIFNISSSTPAPQRKTGADDFLNSDNDKNDYDWLLTPPGTPLFPSLEMESHRTMMSQLGTPKARPTVLKSRLANPQPEPVARSNLVSKQSTSSPGLSSSSSGTRRPSSSGGPGSRAATPTGRPTLTSAPRPSRSSTPTSRASLPLNKSTNSAAKPTVPTTKSTIPAPKSTISATKSTIPSRSSTPSRSMARSSTPTSRPTVPPPMSASSSRASTPTRRPSMPSTTPSISAPPSRSSPSVSKPVPATARNPVPSRGASPTVKSRPWKPSEMPGFSLDAPPNLRTTLPDRPLSASRGRPGAPSSRSSSVEPGSNGRPRRQSCSPSRGRAPNGISHTSGSSVPAFSRGHSKVNDNVSPVLIGTKMVERVINMRKLAPPKQEDKHSPHGNHSGKSSSSPDSSGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGPARSRTVSVSDSPLATSSNASSEVSVNNNGICLDGSEVEDNGSERGGRSPASVRGR >ONI22955 pep chromosome:Prunus_persica_NCBIv2:G2:21234775:21235643:1 gene:PRUPE_2G160200 transcript:ONI22955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQFSTAVTTERRVGQLVDLEVGAAVLRAAVAEELAEGHCDVVPRELMYMSKEESLEYVSRSMWFPVYSPLVHEK >ONI22192 pep chromosome:Prunus_persica_NCBIv2:G2:17142564:17145425:-1 gene:PRUPE_2G113200 transcript:ONI22192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASAFLPSADQSAPPQWNYDVFLSFRGVDTRNSFLSHLYHELQHRCIKTFMDDPKLERGTTISSELFKAIQESRLAIVVLSPNYASSSWCLDELTNNLQCMKSNDTVLPMFFNVDPSDVRIQSGIFAGAFAEHEKRFREDIEKVKRWRAALTEVANLSGKLIEKIVEWVWRKVHRTFKLLDSTELVGIKFTREQMDLLLDPTDDVRFVGIWGMGGIGKTTIARLVYESIYIHFEVSCFLANVREASEGNCLVDLQTQLLFPVLKKQITQVLNEDWGTYFIKNCLCNKKVLLILDDVNASSQLEKFAKEKDWFGKGSIIIITTRDKRLVKKHDMEISYKVEGFGDDEALELFGLNAFKKFEPEEGFLELSKCFVNYVGGLPLALKILGCSVHKRDQDEWKNELNKLQKISETEIFDLLKISFDRLEEMNKNIFLDVAFFLKEKDKNIVIGILDSCDRCGGINGLVEKSLLTIDISNNIVVGMHDLIQEMAFQIDRQESPKKSMINLKFLEVDNVIISSIPRILPNSLRIMKWNRYSSKYLPSNFQLNKLVSLKMWHSKLVGLWDERIDLPNLKYIGLSFSRNLATTPIFTGIPKLKVLNLQWCENLVEIHPSVADLKWLTYLILNGCKSVKSLPKEVEMDSLIYLYLQGCSKLKKIPEFSGQMKKISTLDLKGTPVEKLPSSIGRLVGLTFLNVGNCENLLGLPSEICNLKSLEWLFSRNTFSDKSRFWWGLQRKAFVLGSVHGLWSLKFLDLSNCGFFVKGIFPLILAACPL >ONI23501 pep chromosome:Prunus_persica_NCBIv2:G2:23115003:23118567:1 gene:PRUPE_2G191800 transcript:ONI23501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNNCMSVLSCVGTNVASAFFASLERCSCINLNTTDFDDDNHAINDDDGRPLFLTSSRPTAAHQAQPIIDPNQPINKPAAAATHHLNNGVSA >ONI23502 pep chromosome:Prunus_persica_NCBIv2:G2:23115003:23115929:1 gene:PRUPE_2G191800 transcript:ONI23502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNNCMSVLSCVGTNVASAFFASLERCSCINLNTTDFDDDNHAINDDDGRPLFLTSSRPTAAHQAQPIIDPNQPINKPAAAATHHLNNGVSA >ONI25011 pep chromosome:Prunus_persica_NCBIv2:G2:27707170:27712762:-1 gene:PRUPE_2G274800 transcript:ONI25011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSWDNGVEEALQKLEHLQLLRSLRPIYLQNGPIQEAQNPVDGEFHVFDEMQPWDRSSVEVHIPEPTFQKWLHDIPSSDEEGTHKFRKLLLFSGNDYLGLSSHPTIGKAASKAALEHGMGPRGSALICGYTDYHRRLESCIAELKKKEDCLLCPTGFAANMALMVALGNVGSLLAAGKTPLTNEKIAIFSDALNHASIIDGIRLAERQKSVEIFIYRHCDMTHLNALLSSCTMRKKVVVTDSLFSMDGDFAPMIELVKLRKEHGFLLVIDDAHGTFVCGKNGGGVAEQYNCERDVDICVGTLSKAAGCHGGFIACSKRWKQLIQSRGRSFIFSTATPIPIVAAARASLIVARKETWRRREIWNRVQDFRVLTGIPINSPIISLIVGSEEKALQASQSLLKSGFHVTAIRPPTVPPNSCRLRVTLSATHTRDDIERFTAALSLCVNFQEIGIHGSNGGYARL >ONI25015 pep chromosome:Prunus_persica_NCBIv2:G2:27707170:27712762:-1 gene:PRUPE_2G274800 transcript:ONI25015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSWDNGVEEALQKLEHLQLLRSLRPIYLQNGPIQEAQNPVDGEFHVFDEMQPWDRSSVEVHIPEPTFQKWLHDIPSSGDEEGTHKFRKLLLFSGNDYLGLSSHPTIGKAASKAALEHGMGPRGSALICGYTDYHRRLESCIAELKKKEDCLLCPTGFAANMALMVALGNVGSLLAAGKTPLTNEKIAIFSDALNHASIIDGIRLAERQKSVEIFIYRHCDMTHLNALLSSCTMRKKVVVTDSLFSMDGDFAPMIELVKLRKEHGFLLVIDDAHGTFVCGKNGGGVAEQYNCERDVDICVGTLSKAAGCHGGFIASKGGSNSYNQGVGLLYFQLLRRYQLLLLPVLLLLWQERKHGVEGKFGIGCKTFVFSLESP >ONI25017 pep chromosome:Prunus_persica_NCBIv2:G2:27708624:27710929:-1 gene:PRUPE_2G274800 transcript:ONI25017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSWDNGVEEALQKLEHLQLLRSLRPIYLQNGPIQEAQNPVDGEFHVFDEMQPWDRSSVEVHIPEPTFQKWLHDIPSSGDEEGTHKFRKLLLFSGNDYLGLSSHPTIGKAASKAALEHGMGPRGSALICGYTDYHRRLESCIAELKKKEDCLLCPTGFAANMALMVALGNVGSLLAAGKTPLTNEKIAIFSDALNHASIIDGIRLAERQKSVEIFIYRHCDMTHLNALLSSCTMRKKVVVTDSLFSMDGDFAPMIELVKLRKEHGFLLVIDDAHGTFVCGKNGGGVAEQYNCERDVDICVGTLSKAAGCHGGFIACSKRWKQLIQSRGRSFIFSTATPIPIVAAARGNI >ONI25012 pep chromosome:Prunus_persica_NCBIv2:G2:27707169:27711064:-1 gene:PRUPE_2G274800 transcript:ONI25012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSWDNGVEEALQKLEHLQLLRSLRPIYLQNGPIQEAQNPVDGEFHVFDEMQPWDRSSVEVHIPEPTFQKWLHDIPSSGDEEGTHKFRKLLLFSGNDYLGLSSHPTIGKAASKAALEHGMGPRGSALICGYTDYHRRLESCIAELKKKEDCLLCPTGFAANMALMVALGNVGSLLAAGKTPLTNEKIAIFSDALNHASIIDGIRLAERQKSVEIFIYRHCDMTHLNALLSSCTMRKKVVVTDSLFSMDGDFAPMIELVKLRKEHGFLLVIDDAHGTFVCGKNGGGVAEQYNCERDVDICVGTLSKAAGCHGGFIACSKRWKQLIQSRGRSFIFSTATPIPIVAAARASLIVARKETWRRREIWNRVQDFRVLTGIPINSPIISLIVGSEEKALQASQSLLKSGFHVTAIRPPTVPPNSCRLRVTLSATHTRDDIERFTAALSLCVNFQEIGIHGSNGGYARL >ONI25016 pep chromosome:Prunus_persica_NCBIv2:G2:27707170:27712762:-1 gene:PRUPE_2G274800 transcript:ONI25016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSWDNGVEEALQKLEHLQLLRSLRPIYLQNGPIQEAQNPVDGEFHVFDEMQPWDRSSVEVHIPEPTFQKWLHDIPSSGDEEGTHKFRKLLLFSGNDYLGLSSHPTIGKAASKAALEHGMGPRGSALICGYTDYHRRLESCIAELKKKEDCLLCPTGFAANMALMVALGNVGSLLAAGKTPLTNEKIAIFSDALNHASIIDGIRLAERQKSVEIFIYRHCDMTHLNALLSSCTMRKKVVVTDSLFSMDGDFAPMIELVKLRKEHGFLLVIDDAHGTFVCGKNGGGVAEQYNCERDVDICVGTLSKAAGCHGGFIACSFSYCGKKGNMA >ONI25013 pep chromosome:Prunus_persica_NCBIv2:G2:27707342:27710929:-1 gene:PRUPE_2G274800 transcript:ONI25013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSWDNGVEEALQKLEHLQLLRSLRPIYLQNGPIQEAQNPVDGEFHVFDEMQPWDRSSVEVHIPEPTFQKWLHDIPSSGDEEGTHKFRKLLLFSGNDYLGLSSHPTIGKAASKAALEHGMGPRGSALICGYTDYHRRLESCIAELKKKEDCLLCPTGFAANMALMVALGNVGSLLAAGKTPLTNEKIAIFSDALNHASIIDGIRLAERQKSVEIFIYRHCDMTHLNALLSSCTMRKKVVVTDSLFSMDGDFAPMIELVKLRKEHGFLLVIDDAHGTFVCGKNGGGVAEQYNCERDVDICVGTLSKAAGCHGGFIACSKRWKQLIQSRGRSFIFSTATPIPIVAAARASLIVARKETWRRREIWNRVQDFRVLTGIPINSPIISLIVGSEEKALQASQSLLKSGFHVTAIRPPTVPPNSCRLRVTLSATHTRDDIERFTAALSLCVNFQEIGIHGSNGGYARL >ONI25014 pep chromosome:Prunus_persica_NCBIv2:G2:27707170:27711122:-1 gene:PRUPE_2G274800 transcript:ONI25014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRGSALICGYTDYHRRLESCIAELKKKEDCLLCPTGFAANMALMVALGNVGSLLAAGKTPLTNEKIAIFSDALNHASIIDGIRLAERQKSVEIFIYRHCDMTHLNALLSSCTMRKKVVVTDSLFSMDGDFAPMIELVKLRKEHGFLLVIDDAHGTFVCGKNGGGVAEQYNCERDVDICVGTLSKAAGCHGGFIACSKRWKQLIQSRGRSFIFSTATPIPIVAAARASLIVARKETWRRREIWNRVQDFRVLTGIPINSPIISLIVGSEEKALQASQSLLKSGFHVTAIRPPTVPPNSCRLRVTLSATHTRDDIERFTAALSLCVNFQEIGIHGSNGGYARL >ONI22432 pep chromosome:Prunus_persica_NCBIv2:G2:18548349:18549812:-1 gene:PRUPE_2G128300 transcript:ONI22432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AETGNVPDGYTFTALAKSCGLDVTIWEGQELHCHVIKVGLCLDLYESTSLVDTYAKFGRMSFASKLFTEMTVTSRVSWTALICGYARLGDMGNARRLFDQMPEKDLAAFNAMIDGYVKLGDMGPARSLFDEMTDRNVVSWTSMMYGYCHHGDVQSARSLFDAMAEKNLISWNVMIGGYSQNKQPHEALKLFHELQSNMSLELDGVTVVSILPAIADLGALDLGLWVHKFVRRKKLDRVINICTALVDMYAKRGEITEAKRLFDEMPEKETASWNALINGFAVNGHGKEALEVFLEMQCKKFMPNNITFIGVLSACNHCGLVEEGKRWFKGMEGFGLIRQIEHYGCMVDLLGRAGCLEEVEKLIESMPYDANGIILSSFLFACGHSEDVTRANKVLKKAVKVEPWNDGNYVMLRNLYIKKRRWSDAEEIKRLMRKNRANKEVGGSVIEVDGRIKEFVSGDRVHAYSEAIHLTLRQTWKHMMGDFIEKG >ONI22727 pep chromosome:Prunus_persica_NCBIv2:G2:20358448:20359638:-1 gene:PRUPE_2G147300 transcript:ONI22727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMNSSEMTPCSPQYSAFRLRRPSNQHNFRVHRLINRKRKTAKEPKGAEIGGAKVEMEIKNLKLYMENQSIIEENKKLRRKALLLVQENQALFSQLQQKKLSPNK >ONI21750 pep chromosome:Prunus_persica_NCBIv2:G2:13641367:13642911:1 gene:PRUPE_2G086000 transcript:ONI21750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSERRTLTILMLPWLAHGHISPFLELAKKLTSKRNFHIFICSTPVNLTSIKPKLSPKYSHCIEFVELHLPHDDLPELPPQYHTTNGLPPHLMSTLKRAFDMSSNNFSTILTALKPDLLIYDFLQPWAPSLASLQNIPSVEFITTSAALTSFSVHHLRNPIDKFPFPSIYLRDYEAKKFNNLLESSSNGIKDGDRVLQCSDLSSGIILVKTSREIEAKYVDYLSGLMGKKIVPVGPLVQEPMDLKVDEETWIMKWLNKRERSSVVYVCFGSEYFLSREQIEELAHGLELSKVSFIWVIRFPKEEKGNRVEEVLPEAFLERVGEKGVIVEGWAPQAKILNHSSVGGFVSHCGWSSVLESIKFGVPIIAMPMHLDQPINSRLVEEVGVGVEVKRTAEGSLERKEVAKAIRDVVAKKIGEGVRKKALEIRDNMKNKEDEEINGVVEELMQLCT >ONI21895 pep chromosome:Prunus_persica_NCBIv2:G2:15228340:15230787:1 gene:PRUPE_2G097200 transcript:ONI21895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEDTVGCNFDQNSMPESAESVLNLNPLPPPPPPQVLMPSPSETHNNNNNNSFAVAENLRLSMEELSYPHQQDHHAAMEIELQNELGFNPYSTNTDQNNHSSHLVSFEQPTNWDNIHGVHDQMQQQLQDGANGPYPPTPDLLNLFSLPRCSPSSVLQNSSINFTNPNPKSSNFPNSLGFLGDVHGGIDTPPGTASSVLYDPLFHLNLPPQPPLFRELLQSLPHGYSLPGSRNGSLFSSGGDDREGIGGGVYSDGINNGRQFENGVLEFSREMGSIGRGRDVKGTKHFATEKHRRVQLNGKYSALRDLVPNPTKTDRASIVGDAIDYIKELLRTVDELKLLVEKKRCGRERSKRRRTEQDGGAGDDESCNMKPLGDPHDQSYNNGSLRSSWLQRKSKDTEVDIRIIDDEVTIKLVQRKKINLLLYVSKLLDELQLDLHHVAGGHIGNSYSFLFNTKMYEGSSLYASAIAQKLIEVLDKQYAAVPPTNSF >ONI21896 pep chromosome:Prunus_persica_NCBIv2:G2:15228340:15229831:1 gene:PRUPE_2G097200 transcript:ONI21896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEDTVGCNFDQNSMPESAESVLNLNPLPPPPPPQVLMPSPSETHNNNNNNSFAVAENLRLSMEELSYPHQQDHHAAMEIELQNELGFNPYSTNTDQNNHSSHLVSFEQPTNWDNIHGVHDQMQQQLQDGANGPYPPTPDLLNLFSLPRCSPSSVLQNSSINFTNPNPKSSNFPNSLGFLGDVHGGIDTPPGTASSVLYDPLFHLNLPPQPPLFRELLQSLPHGYSLPGSRNGSLFSSGGDDREGIGGGVYSDGINNGRQFENGVLEFSREMGSIGRGRDVKGTKHFATEKHRRVQLNGKYSALRDLVPNPTKVYTSNSKFHILSLQKYMLLNLIKFHEKMHISCFEVLIG >ONI22705 pep chromosome:Prunus_persica_NCBIv2:G2:20245018:20247902:1 gene:PRUPE_2G146000 transcript:ONI22705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVCLVDLEFDYINPYDSSSRINNVILPEFIIQGVLCLILLIARHWFMLLLALPHLYYNVNLYMTRQHLVDVTEIYNQLSWEKKKRFFKIGYLLVLFILSLFWLLWSIGDEYD >ONI22704 pep chromosome:Prunus_persica_NCBIv2:G2:20244098:20247902:1 gene:PRUPE_2G146000 transcript:ONI22704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLWTWLLSFFFILALLCILGYQLVCLVDLEFDYINPYDSSSRINNVILPEFIIQGVLCLILLIARHWFMLLLALPHLYYNVNLYMTRQHLVDVTEIYNQLSWEKKKRFFKIGYLLVLFILSLFWLLWSIGDEYD >ONI21493 pep chromosome:Prunus_persica_NCBIv2:G2:10010537:10012389:-1 gene:PRUPE_2G069700 transcript:ONI21493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIEIGLFLMIFSHHYLVAPASPLRKASPSEFMQETTTEDEGANGHGSNGSDDDSDNSRSRIAHSGKLEHGYSHGSAKGRSTSIGENGNNGGTRSPNTQGSTFVPVYTAGSVNNRHHNNHHGAANSIKKCIGLPTLFASSWASLVHLYMVF >ONI24306 pep chromosome:Prunus_persica_NCBIv2:G2:25637676:25638854:1 gene:PRUPE_2G233100 transcript:ONI24306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIVSDPKLYILLVALTLSSAVLVVTLYHCIIICCCNRNPPRRQIQHRLRPNMQGITSFLAASSMEASSVAQLIPAHKFQKGVGLVLVGDGMCAVCLSEIEEGEEFRTLPECMHSFHVPCIDMWLYSHPSCPICRTDATPRKPAVKRSPDYGSGGLERPQESIILQDIVVMQSS >ONI22944 pep chromosome:Prunus_persica_NCBIv2:G2:21207614:21210334:1 gene:PRUPE_2G159800 transcript:ONI22944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKPLVVLTICEKLLLPDVVINCAALSVPRACEMDPAAAMSVNVPSSLVNWLLSLEESNSLLIQLSTDQVYEGAKSFYKEDDETVPVNVYGKSKVAAEQFISEKCSNFAILRSSIIFGPQTVSPVPKSLPIQWIDGVLSKGNASEFFHDEFRCPVYVKDVVAAILALSKRWISDGKQTRLLLNVGGPDRVSRLHMAETVADIRGYNPSLIKSVSASTVDRGVKSPADISMDITKLVQTLAVTPTSFRDGVRLTLSTEVKS >ONI22943 pep chromosome:Prunus_persica_NCBIv2:G2:21206717:21210334:1 gene:PRUPE_2G159800 transcript:ONI22943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKKRVLVVGGTGYLGQHVLQGFSEIQATTLCDLALTHHSNPPPPALLTAFPHLLSFHVDLKTGQGFQAISQTFGPPDVVINCAALSVPRACEMDPAAAMSVNVPSSLVNWLLSLEESNSLLIQLSTDQVYEGAKSFYKEDDETVPVNVYGKSKVAAEQFISEKCSNFAILRSSIIFGPQTVSPVPKSLPIQWIDGVLSKGNASEFFHDEFRCPVYVKDVVAAILALSKRWISDGKQTRLLLNVGGPDRVSRLHMAETVADIRGYNPSLIKSVSASTVDRGVKSPADISMDITKLVQTLAVTPTSFRDGVRLTLSTEVKS >ONI23474 pep chromosome:Prunus_persica_NCBIv2:G2:22998825:23001664:-1 gene:PRUPE_2G190600 transcript:ONI23474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMEKLRMFVAQEPVVAASCLIAGFGLFLPAVVRPILDSFEASKQVPQPALSDVVAGMTGKK >ONI23781 pep chromosome:Prunus_persica_NCBIv2:G2:24142930:24149937:1 gene:PRUPE_2G207900 transcript:ONI23781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISEPSDSVVAMLIDGEEEQEEQQQTDGRTPMPERQEILPSQSSNKRDEGEEGTRKRVFSVSNSEGFFCPICMESWSSQGDHQVSCLPCGHVYGMSCISKWIQQCGGTSAKCPQCNTKYKLKDIIKLYASPVVVLDESLQKKVKSLDAEVVSLKTERASLLDIQDDLFSVQQNLIKELSNLRESSHGTTKFLKPCCGDDAPLGETGMEPFSFTSAKGNQGQGAQWDHYFAHNFGRQGILHWKFQLQHELAVDGARLFDMDASYQILVLARRISGMGGTHMLKKVNLINPLENEDIQLPPGTKAIKDLRISPCGRLTLLASLGKKLSILSMGSNNFAMNYDLPGQAWSCSWDLNSPHHIYAGLQNGMLLMFDMRHSQTPLHSFVGPTPRPIHTIHSLRQNRAFSHRTQKLLTASSSGPCVWNIGSPSERPFLVPGSEDQGACVSVAYSPSTDDIVASYRPKTETSSETGGSQVLIKRVAGCFYHKIGSVPVHLSDFHLTKSAMVDIPNCYPLFAYGDEVTRGLKLRELPSLKMSQNFEPHQHPILDVKYARSQGKGLLGCVSEDKLQLFSGEV >ONI23783 pep chromosome:Prunus_persica_NCBIv2:G2:24142930:24149937:1 gene:PRUPE_2G207900 transcript:ONI23783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISEPSDSVVAMLIDGEEEQEEQQQTDGRTPMPERQEILPSQSSNKRDEGEEGTRKRVFSVSNSEGFFCPICMESWSSQGDHQVSCLPCGHVYGMSCISKWIQQCGGTSAKCPQCNTKYKLKDIIKLYASPVVVLDESLQKKVKSLDAEVVSLKTERASLLDIQDDLFSVQQNLIKELSNLREKPCCGDDAPLGETGMEPFSFTSAKGNQGQGAQWDHYFAHNFGRQGILHWKFQLQHELAVDGARLFDMDASYQILVLARRISGMGGTHMLKKVNLINPLENEDIQLPPGTKAIKDLRISPCGRLTLLASLGKKLSILSMGSNNFAMNYDLPGQAWSCSWDLNSPHHIYAGLQNGMLLMFDMRHSQTPLHSFVGPTPRPIHTIHSLRQNRAFSHRTQKLLTASSSGPCVWNIGSPSERPFLVPGSEDQGACVSVAYSPSTDDIVASYRPKTETSSETGGSQVLIKRVAGCFYHKIGSVPVHLSDFHLTKSAMVDIPNCYPLFAYGDEVTRGLKLRELPSLKMSQNFEPHQHPILDVKYARSQGKGLLGCVSEDKLQLFSGEV >ONI23782 pep chromosome:Prunus_persica_NCBIv2:G2:24142930:24149937:1 gene:PRUPE_2G207900 transcript:ONI23782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISEPSDSVVAMLIDGEEEQEEQQQTDGRTPMPERQEILPSQSSNKRDEGEEGTRKRVFSVSNSEGFFCPICMESWSSQGDHQVSCLPCGHVYGMSCISKWIQQCGGTSAKCPQCNTKYKLKDIIKLYASPVVVLDESLQKKVKSLDAEVVSLKTERASLLDIQDDLFSVQQNLIKELSNLRESSHGTTKFLKPCCGDDAPLGETGMEPFSFTSAKGNQGQGAQWDHYFAHNFGRQGILHWKFQLQVNLINPLENEDIQLPPGTKAIKDLRISPCGRLTLLASLGKKLSILSMGSNNFAMNYDLPGQAWSCSWDLNSPHHIYAGLQNGMLLMFDMRHSQTPLHSFVGPTPRPIHTIHSLRQNRAFSHRTQKLLTASSSGPCVWNIGSPSERPFLVPGSEDQGACVSVAYSPSTDDIVASYRPKTETSSETGGSQVLIKRVAGCFYHKIGSVPVHLSDFHLTKSAMVDIPNCYPLFAYGDEVTRGLKLRELPSLKMSQNFEPHQHPILDVKYARSQGKGLLGCVSEDKLQLFSGEV >ONI23784 pep chromosome:Prunus_persica_NCBIv2:G2:24142930:24149937:1 gene:PRUPE_2G207900 transcript:ONI23784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISEPSDSVVAMLIDGEEEQEEQQQTDGRTPMPERQEILPSQSSNKRDEGEEGTRKRVFSVSNSEGFFCPICMESWSSQGDHQVSCLPCGHVYGMSCISKWIQQCGGTSAKCPQCNTKYKLKDIIKLYASPVVVLDESLQKKVKSLDAEVVSLKTERASLLDIQDDLFSVQQNLIKELSNLREKPCCGDDAPLGETGMEPFSFTSAKGNQGQGAQWDHYFAHNFGRQGILHWKFQLQVNLINPLENEDIQLPPGTKAIKDLRISPCGRLTLLASLGKKLSILSMGSNNFAMNYDLPGQAWSCSWDLNSPHHIYAGLQNGMLLMFDMRHSQTPLHSFVGPTPRPIHTIHSLRQNRAFSHRTQKLLTASSSGPCVWNIGSPSERPFLVPGSEDQGACVSVAYSPSTDDIVASYRPKTETSSETGGSQVLIKRVAGCFYHKIGSVPVHLSDFHLTKSAMVDIPNCYPLFAYGDEVTRGLKLRELPSLKMSQNFEPHQHPILDVKYARSQGKGLLGCVSEDKLQLFSGEV >ONI25808 pep chromosome:Prunus_persica_NCBIv2:G2:29879479:29881973:1 gene:PRUPE_2G321300 transcript:ONI25808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEGAEESGGTEVPQSRFRYNSPFVQVSLIGFVCFCCPGMFNALSGMGGGGQVDPTASNNASTALYTTFAVFGILGGGIYNILGPRLTLLSACSTYPLYAGSFLYYNHHKHQAFAIVAGAILGVGAGLLWAGQGAIMTSYPPANRKGTYISIFWSIFNMGGVIGGLIPFVLNYNRSEAASVNDATYIGFMVFMTVGTLLSLALLPPSKVVRDDGSKCTNIQYSSVSTEFVEIAKLFGNWKMLLIIPAAWSSNFFYTYQFNNVNQVMFNLRTRGLNNVFYWGAQMLGSIGIGYIMDFSFKSRRTRGFAGITVVSIVSTAIWVGGLVNQLTYSRGDLPEKLDFKDSGSDFAGPFVLYFSFGLLDSMFQSMVYWVIGALANDSETLSRYVGFYKGIQSAGAAVAWQVDTHKVSFLTQLVTNWSLTTVSYPLLLVLVLLAVKDESKADLEEISKEKEAAPSFAGPASEK >ONI24401 pep chromosome:Prunus_persica_NCBIv2:G2:25915719:25916871:-1 gene:PRUPE_2G238600 transcript:ONI24401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISQVFIFLCFLISLSFTDGTQLIIVNNCKESIWPGILGTAGYPTPQSGGFHLHSGEQQVLDVPENWSGRLWGRQGCCFDEQTGKGSCQTGDCAGLLQCRGLGGVPPATLVEMTLGTSKSDLHYYDVSLVDGFNVPVSMRPIGGRMGCGVAACEADLNVCCPSTLVVMKQGKVVGCKSACLAANSDRYCCRGVFADPKSCKPTVFGHLFKAICPRAYSYAFDDSTGLKTCKAPRYVITFCPPNYG >ONI23684 pep chromosome:Prunus_persica_NCBIv2:G2:23850776:23853011:-1 gene:PRUPE_2G202300 transcript:ONI23684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYYDIDDILVEEQRVPVVFQQAVNGVDIDPSAETHCVEPGSKVELPYWLAHELHLRKVAKMKVPACFNQRTKLELGADGASVDLRSRCLYFYEFGCKIAPLVGDRDMGSFLLSAFRTRYQKILAKAHNAAFTAHSKLLSYLTKEETNLYEAAQSSMAAFKKWRIGGPRFERASVLGRKRKEAN >ONI24799 pep chromosome:Prunus_persica_NCBIv2:G2:27127478:27128050:-1 gene:PRUPE_2G262900 transcript:ONI24799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMLCVLLILLAVSTCSSARLLNDQLHHLELPKAHNKHNVFLPENPSHEEAPHHLRPQQHVFPCHTDNTDQASSSHLPAAPRFGAAAAAKYGPLVLNLLPKGTNPPSGPSKGTNDLNT >ONI24555 pep chromosome:Prunus_persica_NCBIv2:G2:26324092:26325011:1 gene:PRUPE_2G246700 transcript:ONI24555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSRFYEAFPYSLPMQQGFSQCSRLLELNQELPWNCKNLPSFNPMESNQYNTSIPKSYLSLILLRNEKTIFAMFKVVCVAIEETMKKQQDHLFCTTRQE >ONI24770 pep chromosome:Prunus_persica_NCBIv2:G2:27071916:27074036:1 gene:PRUPE_2G261700 transcript:ONI24770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEPHEPPPPRPLKPLTRLNNYVAETRVGKHFKLAERNSTFTTELRAGTATFLTMAYILAVNASILSDSGGTCSVSDCVQLCSDQTQSLQNCTGPNLRVIQPGPSCKFDPVNPGYSACLDRVRKDLIVATVASSLIGCLIMGVFANLPLALAPGMGANAYFAYTVVGFHGSGNVSYQSALAAVFIEGLIFLFISAVGLRAKLAKLVPKPVRISSSAGIGLFLAFIGLQSNQGIGLIGYSSSTLVTLGACPASSRASLAPVIAAANGTVSLIQGGTVSGDILCLRDRMESPTFWLGIVGFIIIAYCLVKNVKGAMIYGIVFVTAVSWFRNTEVTAFPNTEAGDSAYEYFKKVVDVHTIESTAGALSFKSIGKGYFWEALITFLYVDILDTTGTLYSMARFAGFADEDGNFEGQYFAFMSDATSIVVGSLLGTSPVTAFIESSTGIREGGRTGLTALTVAGYFFLAFFFTPLLASIPAWAVGPPLILVGVLMMKSVVEIEWDDMRQAIPAFVTLILMPLTYSIAYGLIGGIGTFIVLHIWDWGHELFVKLGIVKGEEGGVGVNGARDQIRGENPTAKVLEIEV >ONI23032 pep chromosome:Prunus_persica_NCBIv2:G2:21480083:21485126:1 gene:PRUPE_2G165500 transcript:ONI23032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKLGVEVVAAHDLMPKDGQGASSAFVELHFDHQRFRTTTKERDLNPVWNETFYFNISDPNNIPNLTLEAFIYHHGKANSKAFLGKVVLTGTSFVPYSDAVVLHYPLEKRGIFSRVKGELGLKVFVTDDPSIRSSNPLPAMDSSLDNDSRSTHVQAQLQKVQDVIPDSFSNDKAESRRTFHHLPNPNLARQQNIPSAAIQPPVNYGMQEMRSEPQAPKVVRMYSGSSSQAPDYSLKETSPYLGGGQIVGGRVIRADRPSGTYDLVQKMQYLFVRVVKARDLPHMDVTGSLDPYVEVRIGNYKGTTRHFEKKQNPEWNEVFAFAKENEQSSVLDVVVKDKDLLKDDFVGLVRFDLHEVPTRVPPDSPLAPEWYRLANKDGKKEKGELMLAVWYGTQADEAFPDAWHSDAIGPDDGSSVAYGHIRSKVYHSPRLWYVRVNVIEAQDLVLSDKSRFPDAYAKVQIGNQILKTKPVQSRVMNPMWNEDLMFVAAEPFDDHLIISIEDRVGPSKDETLGKVAIPLNTIEKRADDRKIRDRWYNLEKHMSDAMEGEQRKKDKDKFFSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWKSNIGVLELGILNAEGLHPMKTRDGKGTSDTYCVAKYGHKWVRTRTINNSQSPKYNEQYTWEVFDPATVLTVGVFDNSQIGNPNGSGKDMKIGKVRIRISTLETGRVYTHNYPLLVLHPSGVKKMGELHLAIRFSCTSLVNMMFKYSRPLLPKMHYVRPLTVVQQDMLRYQAVNIVAARLSRAEPPLRKEVVEYMSDADSHLWSMRRSKANFFRLMSVFSGLFAIGKWFGEVCMWKNPITTALVHVLFVMLVCFPELILPTVFLYMFLIGIWNWRYRPRYPPHMNTRISYADAVHPDELDEEFDTFPTSRGSDIVRMRYDRLRSVAGRIQTVVGDVATQGERLQALLSWRDPRATTLYITFCLVAAIVLYVTPFQVLVLLGGVYLMRHPRFRGKMPSAPVNFFRRLPARTDSML >ONI23031 pep chromosome:Prunus_persica_NCBIv2:G2:21480082:21485169:1 gene:PRUPE_2G165500 transcript:ONI23031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKLGVEVVAAHDLMPKDGQGASSAFVELHFDHQRFRTTTKERDLNPVWNETFYFNISDPNNIPNLTLEAFIYHHGKANSKAFLGKVVLTGTSFVPYSDAVVLHYPLEKRGIFSRVKGELGLKVFVTDDPSIRSSNPLPAMDSSLDNDSRSTHVQAQLQKVQDVIPDSFSNDKAESRRTFHHLPNPNLARQQNIPSAAIQPPVNYGMQEMRSEPQAPKVVRMYSGSSSQAPDYSLKETSPYLGGGQIVGGRVIRADRPSGTYDLVQKMQYLFVRVVKARDLPHMDVTGSLDPYVEVRIGNYKGTTRHFEKKQNPEWNEVFAFAKENEQSSVLDVVVKDKDLLKDDFVGLVRFDLHEVPTRVPPDSPLAPEWYRLANKDGKKEKGELMLAVWYGTQADEAFPDAWHSDAIGPDDGSSVAYGHIRSKVYHSPRLWYVRVNVIEAQDLVLSDKSRFPDAYAKVQIGNQILKTKPVQSRVMNPMWNEDLMFVAAEPFDDHLIISIEDRVGPSKDETLGKVAIPLNTIEKRADDRKIRDRWYNLEKHMSDAMEGEQRKKDKDKFFSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWKSNIGVLELGILNAEGLHPMKTRDGKGTSDTYCVAKYGHKWVRTRTINNSQSPKYNEQYTWEVFDPATVLTVGVFDNSQIGNPNGSGKDMKIGKVRIRISTLETGRVYTHNYPLLVLHPSGVKKMGELHLAIRFSCTSLVNMMFKYSRPLLPKMHYVRPLTVVQQDMLRYQAVNIVAARLSRAEPPLRKEVVEYMSDADSHLWSMRRSKANFFRLMSVFSGLFAIGKWFGEVCMWKNPITTALVHVLFVMLVCFPELILPTVFLYMFLIGIWNWRYRPRYPPHMNTRISYADAVHPDELDEEFDTFPTSRGSDIVRMRYDRLRSVAGRIQTVVGDVATQGERLQALLSWRDPRATTLYITFCLVAAIVLYVTPFQVLVLLGGVYLMRHPRFRGKMPSAPVNFFRRLPARTDSML >ONI25759 pep chromosome:Prunus_persica_NCBIv2:G2:29787297:29789932:-1 gene:PRUPE_2G318800 transcript:ONI25759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNRTNGILGRSRIQRTITTSHQAHHYNTNTCRWVSLFEALNVDVESTKENHPSNLHRPITTHNSAPKTPLIDFLSFFPFNFPSIQTLPETYPKPKLCEYHEMATLFTSLQMQTTFLYPLHPSSSSASSQSMSTLSSTLLTKPQIFTLSSTSSTFFNPAKHLKPISIAIRPTNKCHGNSLSVRMSWDGPLSSVKLIVQGRNLELSEAVKKHVEDKVGKAVQKHSHLAREADVRLSVRGGEFGKGPRIRRCEVTLFTKKHGVFRAEEEAETLYASIDLASSIIQRKLRKIKEKDSDHGRHMKGFNRLKVREPATQIVVEEEEEETVPQEEEGDFINDEIVRMKYFDMPPLTVAEAVDQLENVDHDFYAFRNEETGEINIIYKRKEGGYGLIIPKGNGKAEKSGHVVIERAREHSLAE >ONI23498 pep chromosome:Prunus_persica_NCBIv2:G2:23086423:23089255:1 gene:PRUPE_2G191500 transcript:ONI23498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVGQHCCGCLLQHVSRRGRPQTAKRAIEQVKQRLSKDEWPEYYDGKAGRYIGKQARKYQTWSISGYLVAKLMIENPANLSLISLEEDKKIAKPRLTRSASF >ONI22794 pep chromosome:Prunus_persica_NCBIv2:G2:20652740:20653125:-1 gene:PRUPE_2G151700 transcript:ONI22794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAFAGQETCENHNTHIISLSLSLSLSPPTYRIYITLSLSLCTILLPSFKSSVFVLHTYRLGDVVMGREYKFNWCMIWLYLLFRWRHKGGHEFRRHSRLTLQNM >ONI22137 pep chromosome:Prunus_persica_NCBIv2:G2:16782356:16785371:-1 gene:PRUPE_2G109600 transcript:ONI22137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVVGVGRELGSSMWMVLLCSLGALVALKWLLQNANSWYYETPLGEKKHSLPPGDLGWPFIGNMWSFLKAFKSSNPEAFLNSMVSRFGRTGIYKTFMFGSPSIIVTTPEASKKVLTDDDAFKPGWPISTEELIGKNSFTSISFEEHKRLRKLTAAPVNGYEALSVYTTYIEERVISSLEKWSKMGEIEFLTQLRKLTFRIIMYIFLSSESEPVMEALEKEYTILNYGVRAMAINLPGFAYHKALKARKNLVSTFQSIVDDRRAQRKAGNYVAKKKDMMDALLDVVDDDGRKLTDAEIIDVLLMYLNAGHESSGHTMMWAAVFLQKHPKIFQKAKAEQEEIVKRRPPTQKGMAFKEYREMEYLSQVIDETLRVVTFSLTVFREAKKDVNINGYSVPKGWKVLVWFRSIHYDSEIYPNPMEFNPDRWDNYTPKPLTFLPFGAGSRLCPGNDLAKLEIAIFLHHFLLNYKMERTNPDGPLMYLPHTRPKDNCLARIKKIGSA >ONI22138 pep chromosome:Prunus_persica_NCBIv2:G2:16782356:16784622:-1 gene:PRUPE_2G109600 transcript:ONI22138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSPSIIVTTPEASKKVLTDDDAFKPGWPISTEELIGKNSFTSISFEEHKRLRKLTAAPVNGYEALSVYTTYIEERVISSLEKWSKMGEIEFLTQLRKLTFRIIMYIFLSSESEPVMEALEKEYTILNYGVRAMAINLPGFAYHKALKARKNLVSTFQSIVDDRRAQRKAGNYVAKKKDMMDALLDVVDDDGRKLTDAEIIDVLLMYLNAGHESSGHTMMWAAVFLQKHPKIFQKAKAEQEEIVKRRPPTQKGMAFKEYREMEYLSQVIDETLRVVTFSLTVFREAKKDVNINGYSVPKGWKVLVWFRSIHYDSEIYPNPMEFNPDRWDNYTPKPLTFLPFGAGSRLCPGNDLAKLEIAIFLHHFLLNYKMERTNPDGPLMYLPHTRPKDNCLARIKKIGSA >ONI23525 pep chromosome:Prunus_persica_NCBIv2:G2:23172070:23174466:-1 gene:PRUPE_2G192900 transcript:ONI23525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCPSCANMLQYELPNMHDARFFCPTCPYVAYVDRKVKIKRRQHLVKKEIQPIFTLDDYKNAPKIEEPCPRCGFPEAAYRTQQTRSADEAETRFFRCMNNNCGHTWVDYS >ONI23524 pep chromosome:Prunus_persica_NCBIv2:G2:23172027:23174466:-1 gene:PRUPE_2G192900 transcript:ONI23524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCPSCANMLQYELPNMHDARFFCPTCPYVAYVDRKVKIKRRQHLVKKEIQPIFTLDDYKNAPKIEEPCPRCGFPEAAYRTQQTRSADEAETRFFRCMNNNCGHTWVDYS >ONI23523 pep chromosome:Prunus_persica_NCBIv2:G2:23172027:23174514:-1 gene:PRUPE_2G192900 transcript:ONI23523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCPSCANMLQYELPNMHDARFFCPTCPYVAYVDRKVKIKRRQHLVKKEIQPIFTLDDYKNAPKIEEPCPRCGFPEAAYRTQQTRSADEAETRFFRCMNNNCGHTWVDYS >ONI23522 pep chromosome:Prunus_persica_NCBIv2:G2:23172242:23173888:-1 gene:PRUPE_2G192900 transcript:ONI23522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCPSCANMLQYELPNMHDARFFCPTCPYVAYVDRKVKIKRRQHLVKKEIQPIFTLDDYKNAPKIEEPCPRCGFPEAAYRTQQTRSADEAETRFFRCMNNNCGHTWVDYS >ONI24757 pep chromosome:Prunus_persica_NCBIv2:G2:27030434:27032419:1 gene:PRUPE_2G260800 transcript:ONI24757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSFMAILTLALLLQTATSNAQLSTNFYSSSCPRVFSTVRSTVQSAIRKEARIGASLLRLHFHDCFVNGCDGSLLLDDTSSFTGEKNAVPNRNSARGFDVVDNIKSAVENVCPGVVSCADILAIASRDSVAILGGPSWNVKVGRRDARTASQAAANNGIPPPTSNLNQLISRFNALGLSTRDLVALSGSHTIGQSRCIQFRPRIYNETNLDSSFAQTRRSNCPRAAGSGDNNLAPLDLQTPTAFDNNYFKNLIQNKGLLHSDQQLFNGGSTDSIVRTYSNSYNTFSSDFVSAIIKMGDIKPLTGSNGEIRKNCRKPN >ONI21921 pep chromosome:Prunus_persica_NCBIv2:G2:15452992:15454183:-1 gene:PRUPE_2G098800 transcript:ONI21921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGNQNPWAPYDNYKDCSQGICSIYCPQWCYILGPPPPPFDFAEADDSKDSATDFSPLIIAVIGIFASAFILVTYYTIISKYCRRRDNDGGRDIDMENSNPNQISESWQGSTTGLDESLIKSIKVHKYKRGDSLVEGTDCSVCLSEFEENESLRLLPKCSHAFHVPCIDTWFKSHSSCPLCRSNIAAPAIALVPHHHQQVLSPVQENPQQEQNVTASEYQHRSHASVLVVQDLEEVVSLANDHVVPKTTTQDIVRERAHLENTVNSCEIEQDGIQQLRRSASLNCVSIADVLHLHDCEDDEDLENQMENVQFSMGIGSSNRVAEEQNSKSDNHRSGVFSLVKGPLVLKRSTSTGRFVFTRYGKEKNSITPN >ONI24304 pep chromosome:Prunus_persica_NCBIv2:G2:25630670:25633604:-1 gene:PRUPE_2G233000 transcript:ONI24304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALLTTLSIENSHLSTLLSMDSSSLSHDELEREMNRTFILSRPPDINLPLLSEPSPPPQTWNDSCDILDVGLGSQVYEAEATISLPKVVRKCNKRLDSVWGAWFFFSFYFKPVLNEKSKCKVIRDSNGVSGYDKTDLQLDAFLVQHDMENMYMWVFKDRPENALGKMQLRSYMNGHSRQGERPFPFSVDRGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLMCLDDEERKRWTELTGRDINFSIPLEASDFGTWRNLQNTEFELERPVPPSKNNINSHPRKLLDGTGLNLSTQPSEHVNNEGMDLSPVCNKRKKDLFPHGSDSDCCLPNNPHFDRVLDGKVYPVESPWFNEFSGVMKNASGPVTAAKTIYEDDEGFLIIVSLPFVDLQRVKVTWKNTPSHGIVKISCVSTACIPFIKRRDRTFKLTDPTPEHCPPGEFVREIALPTRIPEDAKLEAYCDETGTMLEIMVPKRRVGPEEHEVRVCLRPSPWNEREHLLT >ONI24305 pep chromosome:Prunus_persica_NCBIv2:G2:25631111:25632619:-1 gene:PRUPE_2G233000 transcript:ONI24305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALLTTLSIENSHLSTLLSMDSSSLSHDELEREMNRTFILSRPPDINLPLLSEPSPPPQTWNDSCDILDVGLGSQVYEAEATISLPKVVRKCNKRLDSVWGAWFFFSFYFKPVLNEKSKCKVIRDSNGVSGYDKTDLQLDAFLVQHDMENMYMWVFKDRPENALGKMQLRSYMNGHSRQGERPFPFSVDRGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLMCLDDEERKRWTELTGRDINFSIPLEASDFGTWRNLQNTEFELERPVPPSKNNINSHPRKLLDGTGLNLSTQPSEHVNNEGMDLSPVCNKRKKDLFPHGSDSDCCLPNNPHFDRVLDGKVYPVESPWFNEFSGVMKNASGPVTAAKTIYEDDEGFLIIVSLPFVDLQRVKVTWKNTPSHGIVKISCVSTACIPFIKRRDRTFKLTDPTPEHCPPGEFVREIALPTRIPEDAKLEAYCDETGTMLEIMVPKRRVGPEEHEVRVCLRPSPWNEREHLLT >ONI24518 pep chromosome:Prunus_persica_NCBIv2:G2:26247082:26252201:1 gene:PRUPE_2G244900 transcript:ONI24518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQLTASAPLVPFNCGAKQLSKSVLVCSSRRCASFSTLSFTSFRSTKVRNFELGASTAAASNEGFEEVVEGQPLPALGSSYTWPDKKPSHRDPPHKMKGKSRLFTIGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFLKITALSLVFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAVFAYFMTLKREAWLTYLTLVPVVTGVIIASGGEPSFHLFGFLICVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEENVVGITLALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYSLTVFGVILYSEAKKRSK >ONI24519 pep chromosome:Prunus_persica_NCBIv2:G2:26249045:26252201:1 gene:PRUPE_2G244900 transcript:ONI24519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSRLFTIGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFLKITALSLVFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAVFAYFMTLKREAWLTYLTLVPVVTGVIIASGGEPSFHLFGFLICVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEENVVGITLALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYSLTVFGVILYSEAKKRSK >ONI24520 pep chromosome:Prunus_persica_NCBIv2:G2:26247014:26252201:1 gene:PRUPE_2G244900 transcript:ONI24520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKSRLFTIGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFLKITALSLVFCVSVVFGNISLRYLPVSFNQAIGATTPFFTAVFAYFMTLKREAWLTYLTLVPVVTGVIIASGGEPSFHLFGFLICVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEENVVGITLALARDDVKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMMGYSLTVFGVILYSEAKKRSK >ONI21269 pep chromosome:Prunus_persica_NCBIv2:G2:6773885:6776325:-1 gene:PRUPE_2G056800 transcript:ONI21269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQGGADGNCKQSQFQPLARQSSIYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWSAEANQTMGMDIEGTTLVNQATLQRQASLSLTSALSKKTVDEVWRDIQQSKNNEEKKSQERQRTLGEMTLEDFLVKAGVVAEAEASSDKKCSAPLAVVDANVASQFPQGQWLQYQQPQYQHPQQSMMGVYMPSQPIPPPLHIGAGAIMEVPYPDNQVALPSPLMGTLSDTQTPGRKRGNPEDIVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKQKKRVESQFCSGTYQIVIMIRSIK >ONI21267 pep chromosome:Prunus_persica_NCBIv2:G2:6770570:6776450:-1 gene:PRUPE_2G056800 transcript:ONI21267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQGGADGNCKQSQFQPLARQSSIYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWSAEANQTMGMDIEGTTLVNQATLQRQASLSLTSALSKKTVDEVWRDIQQSKNNEEKKSQERQRTLGEMTLEDFLVKAGVVAEAEASSDKKCSAPLAVVDANVASQFPQGQWLQYQQPQYQHPQQSMMGVYMPSQPIPPPLHIGAGAIMEVPYPDNQVALPSPLMGTLSDTQTPGRKRGNPEDIVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKQKELEKVLPSAPPPEPKYQLRRTTSAPF >ONI21268 pep chromosome:Prunus_persica_NCBIv2:G2:6770564:6777727:-1 gene:PRUPE_2G056800 transcript:ONI21268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQGGADGNCKQSQFQPLARQSSIYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWSAEANQTMGMDIEGTTLVNQATLQRQASLSLTSALSKKTVDEVWRDIQQSKNNEEKKSQERQRTLGEMTLEDFLVKAGVVAEAEASSDKKCSAPLAVVDANVASQFPQGQWLQYQQPQYQHPQQSMMGVYMPSQPIPPPLHIGAGAIMEVPYPDNQVALPSPLMGTLSDTQTPGRKRGNPEDIVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKQKELEKVLPSAPPPEPKYQLRRTTSAPF >ONI24445 pep chromosome:Prunus_persica_NCBIv2:G2:26036628:26038418:1 gene:PRUPE_2G240700 transcript:ONI24445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSILRRSASSLAPLASRLARGNRSYHGALVNAINHVNHSYKSTLTPFVPTPRYYSSHSSDQSLLKVIDAEIKCAEETDDLDKAEEIPSGFPFQIEDTPGAQIVTLKRTYQGENIVVEVHMPDLVTGDEENDGDQDGDDEDGSANKSSLPLLVTVSKSDGPSLEFSCTAFADEIEIDSLAVKNPENSEDQIAYEGPDFHDLDENLQKAFHKYLEIRGIKPSTTNFLHEYMINKDTREYANWLQKLKQFVAA >ONI24468 pep chromosome:Prunus_persica_NCBIv2:G2:26082685:26084854:-1 gene:PRUPE_2G241600 transcript:ONI24468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVEGGQRALNTGHGGVAVEGGVRTLAQSLPQKQPKPLHQQSQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWREASRIAGEEGFRAFWKGNLVTIAHRLPYSSVNFYAYEHYKKFLQTMPGLENHRENLSTDLCVHFVGGGLAGITAASATYPLDLVRTRLAAQTNVMYYKGIWQTLRTISRDEGLFGLYKGLGATLLGVGPSIAISFSVYETLRASWQSHRPNDSTVLVSLACGSLSGIASSTEKTGCGAGSAPSL >ONI24467 pep chromosome:Prunus_persica_NCBIv2:G2:26082685:26084854:-1 gene:PRUPE_2G241600 transcript:ONI24467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVEGGQRALNTGHGGVAVEGGVRTLAQSLPQKQPKPLHQQSQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWREASRIAGEEGFRAFWKGNLVTIAHRLPYSSVNFYAYEHYKKFLQTMPGLENHRENLSTDLCVHFVGGGLAGITAASATYPLDLVRTRLAAQTNVMYYKGIWQTLRTISRDEGLFGLYKGLGATLLGVGPSIAISFSVYETLRASWQSHRPNDSTVLVSLACGSLSGIASSTEKTGCGAGSAPSL >ONI24463 pep chromosome:Prunus_persica_NCBIv2:G2:26080849:26085286:-1 gene:PRUPE_2G241600 transcript:ONI24463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVEGGQRALNTGHGGVAVEGGVRTLAQSLPQKQPKPLHQQSQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWREASRIAGEEGFRAFWKGNLVTIAHRLPYSSVNFYAYEHYKKFLQTMPGLENHRENLSTDLCVHFVGGGLAGITAASATYPLDLVRTRLAAQTNVMYYKGIWQTLRTISRDEGLFGLYKGLGATLLGVGPSIAISFSVYETLRASWQSHRPNDSTVLVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRARVYTTGLFGTFKQIFRTEGLRGLYRGILPEYYKVVPGVGICFMTYETLKMLLADVSAGL >ONI24464 pep chromosome:Prunus_persica_NCBIv2:G2:26080694:26085286:-1 gene:PRUPE_2G241600 transcript:ONI24464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVEGGQRALNTGHGGVAVEGGVRTLAQSLPQKQPKPLHQQSQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWREASRIAGEEGFRAFWKGNLVTIAHRLPYSSVNFYAYEHYKKFLQTMPGLENHRENLSTDLCVHFVGGGLAGITAASATYPLDLVRTRLAAQTNVMYYKGIWQTLRTISRDEGLFGLYKGLGATLLGVGPSIAISFSVYETLRASWQSHSNISIGSCETKEAVGRGRWPSPCLHNRPFWYV >ONI24465 pep chromosome:Prunus_persica_NCBIv2:G2:26080864:26085277:-1 gene:PRUPE_2G241600 transcript:ONI24465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVEGGQRALNTGHGGVAVEGGVRTLAQSLPQKQPKPLHQQSQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWREASRIAGEEGFRAFWKGNLVTIAHRLPYSSVNFYAYEHYKKFLQTMPGLENHRENLSTDLCVHFVGGGLAGITAASATYPLDLVRTRLAAQTNVMYYKGIWQTLRTISRDEGLFGLYKGLGATLLGVGPSIAISFSVYETLRASWQSHRPNDSTVLVSLACGSLSGIASSTEKTGCGAGSAPSL >ONI24469 pep chromosome:Prunus_persica_NCBIv2:G2:26083090:26084854:-1 gene:PRUPE_2G241600 transcript:ONI24469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVEGGQRALNTGHGGVAVEGGVRTLAQSLPQKQPKPLHQQSQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWREASRIAGEEGFRAFWKGNLVTIAHRLPYSSVNFYAYEHYKKFLQTMPGLENHRENLSTDLCVHFVGGGLAGITAASATYPLDLVRTRLAAQTNVMYYKGIWQTLRTISRDEGLFGLYKGLGATLLGVGPSIAISFSVYETLRASWQSHRPNDSTVLVSLACGSLSGIASSTGE >ONI24466 pep chromosome:Prunus_persica_NCBIv2:G2:26082685:26084854:-1 gene:PRUPE_2G241600 transcript:ONI24466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVEGGQRALNTGHGGVAVEGGVRTLAQSLPQKQPKPLHQQSQIGTVSQLLAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWREASRIAGEEGFRAFWKGNLVTIAHRLPYSSVNFYAYEHYKKFLQTMPGLENHRENLSTDLCVHFVGGGLAGITAASATYPLDLVRTRLAAQTNVMYYKGIWQTLRTISRDEGLFGLYKGLGATLLGVGPSIAISFSVYETLRASWQSHRPNDSTVLVSLACGSLSGIASSTEKTGCGAGSAPSL >ONI24388 pep chromosome:Prunus_persica_NCBIv2:G2:25873549:25873970:1 gene:PRUPE_2G237800 transcript:ONI24388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSATATSTAATTSSHHHPPPFYFDEKWKLSKKEGSSRSSRSSSCPLMKSSSQSRRRCSFSRKCAKLVKEQRARFYIVRRCVTMLICWNDYSDS >ONI20651 pep chromosome:Prunus_persica_NCBIv2:G2:2862270:2865418:1 gene:PRUPE_2G027500 transcript:ONI20651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFMRGDFWHAETPTDRSLPSLNSQLVIVMLVTRILMVAFKPLHQPRIVADILGGVLVGPSVIGGMSFARAYIAPFHNFLTIETIASLGLLYYMFMVGLELDFKPVKRAGKKALSIALAGLIVTVPLGAALHYFLLGDDSRWKYKGKRPTRYGPLVWGITLATTSFLDLARILADLKLLHSEVGRLALSAAVIAELCSWFLLVVTMSVMTAAGWRGFATTVAFVVVCVFVLRPALLWAIRCKFTENKKNNNNDMDLNVCFVLFGVVVFGFITQTCGSHFIVGPFVLGAIMPKKGEMKKMVMQRIQNYVYLLMMPLFFLFVGLRTDFRHVVYEDVENQVPYGAAHACRVMVVIVLSSASKIFTTFLVALIHKIQPWDSFTLGILMNTKGLLGLIILNSAKDLQVLDHRTFAVMMSAIWLMTVPVGPFLALGYKTTRASAQYKIRNIQSLEPDTELRILTYTHTSINVSGIVDLLEASNPSRQSWIYVFAVQLVELTGQASAMLIVHDACKANTNHTWANTEAQPEPFTSRNAFESYAKGRANVYVQALTTVSAYNTMHEDICNLAEEKCINLIIIPFHMQANIDGAMEDANPSLKGINSNVIEKAPCSVAVFVDRGLSMSHMTESYKDASQAYRHFAMFFIGGADDRESLTYAWRMAGNPRVNLKVVRFIVTPNNNEGNNNKETDPKVMEDSGREKQIDELYVDEFRLKSKHNPNIQFLEESVNSWEQILNLIRELEGEYDLYIVGRRHGSTSPAKAFSDHYLSQTDDQLGPLGEALLTTSFAINASILVVQQGAAVDEPEDENVSVI >ONI21649 pep chromosome:Prunus_persica_NCBIv2:G2:12061389:12062317:1 gene:PRUPE_2G078400 transcript:ONI21649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRPSSSIALSNWALCSSRLLIVLRENEPPSTTLSPVLSPQTPLQVQFVYIDRDGELGAKYNLYILIGMGSWEESGTERESGGRRERVGDEERESALVGKRQVRRYHEHIQTTS >ONI21156 pep chromosome:Prunus_persica_NCBIv2:G2:5879031:5899780:1 gene:PRUPE_2G050900 transcript:ONI21156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLRQLEALCERLYNSQDSVERAHAENTLKCFSVNIEYISQCQYILDNAVTPYALMLASSSLLKQVTDHSLALQLRLDIRSYLINYLATRGPELQPFVTASLIQLLCRLTKFGWFDDDRFREVVKESMNFLNQATSDHYAIGLKILSQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQISLTSLRQLETNVASRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSAWRSVLEDPSTLQVFFDYYAITKAPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWKWASSSVYYLLGLWSRLVTSVPYLKGDAPSLLDEFVPKITEGFITSRFNSVQDGSPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSSLYIINIVEPILQIYTERARVQTSDNSDLSVIEAKLAWIVHIVAAILKIKQCTGCSAESQEVLDAELSARILQLINVTDSGVHSQRYGEISKQRLDRAILTFFQHFRKSYVGDQAMHSSKLYARLSELLGLHDHLLMLNAIVGKIATNLKCYTESEEVIGHTLSLFLELASGYMTGKLLLKLDTVKFIVANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMDPLLQVFINLESTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGILHWSDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILSLPNVADIYAFKYKGIWISLTILTRALAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFAFLEVLFNSHIVYILNLDTTTFMHIVGSLESGLKGLDTSISSQCASAVDNLAAFYFNNITMGEAPTLPTAVNLARHISDCPNLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQMFSDLKVRILASQPADQHQRLSQCFDKLMADVTRSLDSKNRDKFTQNLTVFRHEFRVK >ONI21157 pep chromosome:Prunus_persica_NCBIv2:G2:5879498:5899698:1 gene:PRUPE_2G050900 transcript:ONI21157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLRQLEALCERLYNSQDSVERAHAENTLKCFSVNIEYISQCQYILDNAVTPYALMLASSSLLKQVTDHSLALQLRLDIRSYLINYLATRGPELQPFVTASLIQLLCRLTKFGWFDDDRFREVVKESMNFLNQATSDHYAIGLKILSQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQISLTSLRQLETNVASRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSAWRSVLEDPSTLQVFFDYYAITKAPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWKWASSSVYYLLGLWSRLVTSVPYLKGDAPSLLDEFVPKITEGFITSRFNSVQDGSPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSSLYIINIVEPILQIYTERARVQTSDNSDLSVIEAKLAWIVHIVAAILKIKQCTGCSAESQEVLDAELSARILQLINVTDSGVHSQRYGEISKQRLDRAILTFFQHFRKSYVGDQAMHSSKLYARLSELLGLHDHLLMLNAIVGKIATNLKCYTESEEVIGHTLSLFLELASGYMTGKLLLKLDTVKFIVANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMDPLLQVFINLESTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGILHWSDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILSLPNVADIYAFKYKGIWISLTILTRALAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFAFLEVLFNSHIVYILNLDTTTFMHIVGSLESGLKGLDTSISSQCASAVDNLAAFYFNNITMGEAPTLPTAVNLARHISDCPNLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQMFSDLKVRILASQPADQHQRLSQCFDKLMADVTRSLDSKNRDKFTQNLTVFRHEFRVK >ONI21155 pep chromosome:Prunus_persica_NCBIv2:G2:5879031:5899698:1 gene:PRUPE_2G050900 transcript:ONI21155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLRQLEALCERLYNSQDSVERAHAENTLKCFSVNIEYISQCQYILDNAVTPYALMLASSSLLKQVTDHSLALQLRLDIRSYLINYLATRGPELQPFVTASLIQLLCRLTKFGWFDDDRFREVVKESMNFLNQATSDHYAIGLKILSQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQISLTSLRQLETNVASRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSAWRSVLEDPSTLQVFFDYYAITKAPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWKWASSSVYYLLGLWSRLVTSVPYLKGDAPSLLDEFVPKITEGFITSRFNSVQDGSPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSSLYIINIVEPILQIYTERARVQTSDNSDLSVIEAKLAWIVHIVAAILKIKQCTGCSAESQEVLDAELSARILQLINVTDSGVHSQRYGEISKQRLDRAILTFFQHFRKSYVGDQAMHSSKQLYARLSELLGLHDHLLMLNAIVGKIATNLKCYTESEEVIGHTLSLFLELASGYMTGKLLLKLDTVKFIVANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMDPLLQVFINLESTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGILHWSDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILSLPNVADIYAFKYKGIWISLTILTRALAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFAFLEVLFNSHIVYILNLDTTTFMHIVGSLESGLKGLDTSISSQCASAVDNLAAFYFNNITMGEAPTLPTAVNLARHISDCPNLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQMFSDLKVRILASQPADQHQRLSQCFDKLMADVTRSLDSKNRDKFTQNLTVFRHEFRVK >ONI21158 pep chromosome:Prunus_persica_NCBIv2:G2:5879031:5899698:1 gene:PRUPE_2G050900 transcript:ONI21158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLRQLEALCERLYNSQDSVERAHAENTLKCFSVNIEYISQCQYILDNAVTPYALMLASSSLLKQVTDHSLALQLRLDIRSYLINYLATRGPELQPFVTASLIQLLCRLTKFGWFDDDRFREVVKESMNFLNQATSDHYAIGLKILSQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQISLTSLRQLETNVASRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSAWRSVLEDPSTLQVFFDYYAITKAPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLKSLQSWKWASSSVYYLLGLWSRLVTSVPYLKGDAPSLLDEFVPKITEGFITSRFNSVQDGSPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSSLYIINIVEPILQIYTERARVQTSDNSDLSVIEAKLAWIVHIVAAILKIKQCTGCSAESQEVLDAELSARILQLINVTDSGVHSQRYGEISKQRLDRAILTFFQHFRKSYVGDQAMHSSKLYARLSELLGLHDHLLMLNAIVGKIATNLKCYTESEEVIGHTLSLFLELASGYMTGKLLLKLDTVKFIVANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMDPLLQVFINLESTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGILHWSDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILSLPNVADIYAFKYKGIWISLTILTRALAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKCASAVDNLAAFYFNNITMGEAPTLPTAVNLARHISDCPNLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQMFSDLKVRILASQPADQHQRLSQCFDKLMADVTRSLDSKNRDKFTQNLTVFRHEFRVK >ONI21554 pep chromosome:Prunus_persica_NCBIv2:G2:10937182:10938700:1 gene:PRUPE_2G072400 transcript:ONI21554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKALNMEEDKANTQPRLVFMKQEDKLLMKLSMWQVNYIEINKRKKQEEENEDYECGLPRETSGGEETICFFF >ONI23152 pep chromosome:Prunus_persica_NCBIv2:G2:21908151:21910052:-1 gene:PRUPE_2G172500 transcript:ONI23152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPKPPFPFLFAILFFFILPLFFSQNGEYVRFAEAGKRRVHITDDLDDVVDDEEDDTWKEWGKKSTPSSDFDPPPDLSKMDMSEIQAEMMKRHIGPAFGFVKLRLGVKRTRDTVAEIAMKWTKVLRTGALGVRFMGVDLSTIMFNMEQGQDMTELKEFVWNQPEAYEIKIGEQVFRRPGDPPLEEVVEKLQNEKKRVENESPAESNMHLKEEL >ONI23151 pep chromosome:Prunus_persica_NCBIv2:G2:21907607:21910052:-1 gene:PRUPE_2G172500 transcript:ONI23151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPKPPFPFLFAILFFFILPLFFSQNGEYVRFAEAGKRRVHITDDLDDVVDDEEDDTWKEWGKKSTPSSDFDPPPDLSKMDMSEIQAEMMKRHIGPAFGFVKLRLGVKRTRDTVAEIAMKWTKVLRTGALGVRFMGVDLSTIMFNMEQGQDMTELKEFVWNQPEAYEIKIGEQVFRRPGDPPLEEVVEKLQNEKKRVENESPAESNMHLKEEL >ONI20437 pep chromosome:Prunus_persica_NCBIv2:G2:1368717:1370175:-1 gene:PRUPE_2G015500 transcript:ONI20437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPIDSEIGEAFAPFSISSQRGQKIIHQSPFQGNKNNKGLGPPRASRDSGSSSILPPEAGIRVGFATNPIKGAGHVDSTPPGTKTQGLLAAAMKK >ONI20438 pep chromosome:Prunus_persica_NCBIv2:G2:1368894:1370175:-1 gene:PRUPE_2G015500 transcript:ONI20438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPIDSEIGEAFAPFSISSQRGQKIIHQSPFQGNKNNKGLGPPRASRDSGSSSILVSFHTHSFLSFFISCVVS >ONI22210 pep chromosome:Prunus_persica_NCBIv2:G2:17247302:17249127:1 gene:PRUPE_2G114400 transcript:ONI22210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFAEEKKKTIYHSCNLTRHSLTLPLSPPPPPTMSNISSTPLPLDRKCSLALIAPLEAILFDIDGTLCDSDPLHYYAFREMLQEVGFNGGIPITEEFYSEHFSGKNNEYLCSTVFHDWDLQTARKFLDDKEAMFRRLAAEQLEPVKGLDKLRKWIENQGFRRAAVTNSPRASGELMISSLGLSDFFEILVIGVECTRAKPFPDPYLKALETLQVSHKHAFIFEDSVSGVKAGVAAGMPVVGLGTRNPETWLTDAGATFVIRDFEDPKLWEALEELERKAEATTVAT >ONI24118 pep chromosome:Prunus_persica_NCBIv2:G2:25160935:25164482:-1 gene:PRUPE_2G224300 transcript:ONI24118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFKLVLHQHFEPKTTTSGPCNAMLLGARKISRHWCIRRPNLSLSHTPTTKLNSHISASLHSLCQVEELDDFLPWLERKAGAEISSVLSIGKSAYGRSLFASKAIRAGDCILKVPFNAQLAPDNLNPELKALLSDDVGDVAKLAIVVLLEQKMGHDSEWAPYISRLPRLEEMHNTIFWSEGELEMIRQSSVYQETINQRSQIQQEFLAIRTALKNFPETFESITYEDFMHAYALVTSRAWGSTKGYSLIPFADFSNHDGTSESIVLSDEDKLFSEVLADRNYTPGEQVLIRYGKFSNATLLLDFGFTLPYNIHDQIQIQGSIPHHDNLREMKLELLKRHHRPVSKDDNGFSSSMDSFTIKLVVMHGLISHFFSPFPFPLFLFLCLHDGLYPLHLVALCEVIKYSVFCVYILCTCSLKSLLAKSL >ONI24109 pep chromosome:Prunus_persica_NCBIv2:G2:25159738:25164502:-1 gene:PRUPE_2G224300 transcript:ONI24109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFKLVLHQHFEPKTTTSGPCNAMLLGARKISRHWCIRRPNLSLSHTPTTKLNSHISASLHSLCQVEELDDFLPWLERKAGAEISSVLSIGKSAYGRSLFASKAIRAGDCILKVPFNALAPDNLNPELKALLSDDVGDVAKLAIVVLLEQKMGHDSEWAPYISRLPRLEEMHNTIFWSEGELEMIRQSSVYQETINQRSQIQQEFLAIRTALKNFPETFESITYEDFMHAYALVTSRAWGSTKGYSLIPFADFSNHDGTSESIVLSDEDKLFSEVLADRNYTPGEQVLIRYGKFSNATLLLDFGFTLPYNIHDQIQIQGSIPHHDNLREMKLELLKRHHRPVSKDDNGFSSSMDSFTIKEIRSGSGKGKGIPQSLRAFARVLCCTSPQELSDLVEEAAQHDGRLARRPLTNISREIKAHQMLISTLTQLAEDYDASVKSLGLVSSPTTRERLSHRRQMARDLLSGELRILESASAWLKNYCATLMATDCHRDGVCSTKQPRSRTERGEM >ONI24117 pep chromosome:Prunus_persica_NCBIv2:G2:25160935:25164482:-1 gene:PRUPE_2G224300 transcript:ONI24117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFKLVLHQHFEPKTTTSGPCNAMLLGARKISRHWCIRRPNLSLSHTPTTKLNSHISASLHSLCQVEELDDFLPWLERKAGAEISSVLSIGKSAYGRSLFASKAIRAGDCILKVPFNAQLAPDNLNPELKALLSDDVGDVAKLAIVVLLEQKMGHDSEWAPYISRLPRLEEMHNTIFWSEGELEMIRQSSVYQETINQRSQIQQEFLAIRTALKNFPETFESITYEDFMHAYALVTSRAWGSTKGYSLIPFADFSNHDGTSESIVLSDEDKLFSEVLADRNYTPGEQVLIRYGKFSNATLLLDFGFTLPYNIHDQIQIQGSIPHHDNLREMKLELLKRHHRPVSKDDNGFSSSMDSFTIKLVVMHGLISHFFSPFPFPLFLFLCLHDGLYPLHLVALCEVIKYSVFCVYILCTCSLKSLLAKSL >ONI24110 pep chromosome:Prunus_persica_NCBIv2:G2:25159737:25164502:-1 gene:PRUPE_2G224300 transcript:ONI24110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFKLVLHQHFEPKTTTSGPCNAMLLGARKISRHWCIRRPNLSLSHTPTTKLNSHISASLHSLCQVEELDDFLPWLERKAGAEISSVLSIGKSAYGRSLFASKAIRAGDCILKVPFNAQLAPDNLNPELKALLSDDVGDVAKLAIVVLLEQKMGHDSEWAPYISRLPRLEEMHNTIFWSEGELEMIRQSSVYQETINQRSQIQQEFLAIRTALKNFPETFESITYEDFMHAYALVTSRAWGSTKGYSLIPFADFSNHDGTSESIVLSDEDKLFSEVLADRNYTPGEQVLIRYGKFSNATLLLDFGFTLPYNIHDQIQIQGSIPHHDNLREMKLELLKRHHRPVSKDDNGFSSSMDSFTIKEIRSGSGKGKGIPQSLRAFARVLCCTSPQELSDLVEEAAQHDGRLARRPLTNISREIKAHQMLISTLTQLAEDYDASVKSLGLVSSPTTRERLSHRRQMARDLLSGELRILESASAWLKNYCATLMATDCHRDGVCSTKQPRSRTERGEM >ONI24111 pep chromosome:Prunus_persica_NCBIv2:G2:25159738:25164502:-1 gene:PRUPE_2G224300 transcript:ONI24111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFKLVLHQHFEPKTTTSGPCNAMLLGARKISRHWCIRRPNLSLSHTPTTKLNSHISASLHSLCQVEELDDFLPWLERKAGAEISSVLSIGKSAYGRSLFASKAIRAGDCILKVPFNAQLAPDNLNPELKALLSDDVGDVAKLAIVVLLEQKMGHGLRFLFMQDSEWAPYISRLPRLEEMHNTIFWSEGELEMIRQSSVYQETINQRSQIQQEFLAIRTALKNFPETFESITYEDFMHAYALVTSRAWGSTKGYSLIPFADFSNHDGTSESIVLSDEDKLFSEVLADRNYTPGEQVLIRYGKFSNATLLLDFGFTLPYNIHDQIQIQGSIPHHDNLREMKLELLKRHHRPVSKDDNGFSSSMDSFTIKEIRSGSGKGKGIPQSLRAFARVLCCTSPQELSDLVEEAAQHDGRLARRPLTNISREIKAHQMLISTLTQLAEDYDASVKSLGLVSSPTTRERLSHRRQMARDLLSGELRILESASAWLKNYCATLMATDCHRDGVCSTKQPRSRTERGEM >ONI24112 pep chromosome:Prunus_persica_NCBIv2:G2:25159737:25164503:-1 gene:PRUPE_2G224300 transcript:ONI24112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFKLVLHQHFEPKTTTSGPCNAMLLGARKISRHWCIRRPNLSLSHTPTTKLNSHISASLHSLCQVEELDDFLPWLERKAGAEISSVLSIGKSAYGRSLFASKAIRAGDCILKVPFNALAPDNLNPELKALLSDDVGDVAKLAIVVLLEQKMGHDSEWAPYISRLPRLEEMHNTIFWSEGELEMIRQSSVYQETINQRSQIQQEFLAIRTALKNFPETFESITYEDFMHAYALVTSRAWGSTKGYSLIPFADFSNHDGTSESIVLSDEDKLFSEVLADRNYTPGEQVLIRYGKFSNATLLLDFGFTLPYNIHDQGNQVW >ONI24115 pep chromosome:Prunus_persica_NCBIv2:G2:25160782:25164482:-1 gene:PRUPE_2G224300 transcript:ONI24115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFKLVLHQHFEPKTTTSGPCNAMLLGARKISRHWCIRRPNLSLSHTPTTKLNSHISASLHSLCQVEELDDFLPWLERKAGAEISSVLSIGKSAYGRSLFASKAIRAGDCILKVPFNAQLAPDNLNPELKALLSDDVGDVAKLAIVVLLEQKMGHDSEWAPYISRLPRLEEMHNTIFWSEGELEMIRQSSVYQETINQRSQIQQEFLAIRTALKNFPETFESITYEDFMHAYALVTSRAWGSTKGYSLIPFADFSNHDGTSESIVLSDEDKLFSEVLADRNYTPGEQVLIRYGKFSNATLLLDFGFTLPYNIHDQIQIQGSIPHHDNLREMKLELLKRHHRPVSKDDNGFSSSMDSFTIKLGNQVW >ONI24116 pep chromosome:Prunus_persica_NCBIv2:G2:25159738:25164502:-1 gene:PRUPE_2G224300 transcript:ONI24116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFKLVLHQHFEPKTTTSGPCNAMLLGARKISRHWCIRRPNLSLSHTPTTKLNSHISASLHSLCQVEELDDFLPWLERKAGAEISSVLSIGKSAYGRSLFASKAIRAGDCILKVPFNAQLAPDNLNPELKALLSDDVGDVAKLAIVVLLEQKMGHDSEWAPYISRLPRLEEMHNTIFWSEGELEMIRQSSVYQETINQRSQIQQEFLAIRTALKNFPETFESITYEDFMHAYALVTSRAWGSTKGYSLIPFADFSNHDGTSESIVLSDEDKLFSEVLADRNYTPGEQVLIRYGKFSNATLLLDFGFTLPYNIHDQGCLWLYFQIQIQGSIPHHDNLREMKLELLKRHHRPVSKDDNGFSSSMDSFTIKLGNQVW >ONI24113 pep chromosome:Prunus_persica_NCBIv2:G2:25160782:25164482:-1 gene:PRUPE_2G224300 transcript:ONI24113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFKLVLHQHFEPKTTTSGPCNAMLLGARKISRHWCIRRPNLSLSHTPTTKLNSHISASLHSLCQVEELDDFLPWLERKAGAEISSVLSIGKSAYGRSLFASKAIRAGDCILKVPFNALAPDNLNPELKALLSDDVGDVAKLAIVVLLEQKMGHDSEWAPYISRLPRLEEMHNTIFWSEGELEMIRQSSVYQETINQRSQIQQEFLAIRTALKNFPETFESITYEDFMHAYALVTSRAWGSTKGYSLIPFADFSNHDGTSESIVLSDEDKLFSEVLADRNYTPGEQVLIRYGKFSNATLLLDFGFTLPYNIHDQIQIQGSIPHHDNLREMKLELLKRHHRPVSKDDNGFSSSMDSFTIKLGNQVW >ONI24114 pep chromosome:Prunus_persica_NCBIv2:G2:25159737:25164503:-1 gene:PRUPE_2G224300 transcript:ONI24114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFKLVLHQHFEPKTTTSGPCNAMLLGARKISRHWCIRRPNLSLSHTPTTKLNSHISASLHSLCQVEELDDFLPWLERKAGAEISSVLSIGKSAYGRSLFASKAIRAGDCILKVPFNAQLAPDNLNPELKALLSDDVGDVAKLAIVVLLEQKMGHDSEWAPYISRLPRLEEMHNTIFWSEGELEMIRQSSVYQETINQRSQIQQEFLAIRTALKNFPETFESITYEDFMHAYALVTSRAWGSTKGYSLIPFADFSNHDGTSESIVLSDEDKLFSEVLADRNYTPGEQVLIRYGKFSNATLLLDFGFTLPYNIHDQGNQVW >ONI21793 pep chromosome:Prunus_persica_NCBIv2:G2:14125569:14136150:-1 gene:PRUPE_2G089400 transcript:ONI21793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLSPQNDSGNLHKSLWTFIHKINVHPLYSSILLGIFFALPFDTVILAKLEKLLIYKPTPIVTLQMRSWTTSSYNKVSMPIISMVLNCLKDEDGFPVPFLPSYDLINSLPRTEADAATSAASQLQFTTNQAKTLEIDELSTILKSFTSESASKEKGKQVKLVLSIGDEAVQKHSDGLLENIVESSSAAISTPYMETKDYGKEGDIGIDIETIDSGGEGDRGIDLNKTPQQKTPKRKKHRAKVVREGKPKRTPQHATPNINTVSNERRPAKRKYVRKNVQKESPGQLSHVPRGTIDPNAGKVAKSCTRVLRFGSQKSMDENPCRAVGQQEEMKQGNKRTFDLNIDCKGMHMGTGTDQVFRTNAAERIGAQNELMVENQIPGTMRNPTPSMTHILNNYPVQPEKQPSAAALATTKDVHMENLTVIRRQVENGNSDLCQRRCRDGYTPMQQQRDAQRIGHDVICAKTNGENLQSTGESIKLGGCQSVVKLLSIPSEARGSKRGYSGTIEHTHLSTNHPPSSLSCQEIFQMDRYQRNSCTRGEKFPESRKKQKSDNGYLSIYDMSSKVSPVEECLGKVEKKGENSVSSIGFASKLNNTLLSYSIESSRKIEGQNKGLNKFTSETYTHSMASGRSFLNQQNSSKSHSCQEFAQARSLSAHSSIETCNQLASSPSRKSFQQGNRQAFRTRHDNTTGKRQTVLTGKKEETVSQSVSSGTEKVLQEGDALYDNQKPSPQAIGFPIRTRYTISIDDITSQFNGLNLNGSCSKSIEHEKNVLVPYNAPGAVVPHDGTLKKRKPRLKVELDPETNRMWNLLMGKEGSVGIEETDEEKEKYWEEERKVFRGRVDSVIARMHLVQGDRGFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAEKFPLKSSNCQAQDKVGMNLLVKAPQVRMTSPEDGTRWHEEVSSQPIYNRIFVALHEPAENQRGSETSGMEMNLVEAHSQYLEEEFAASQDSFQSSVTQAAIGIRSYSVPNSEAEDSITECQPNKIHMPLSTNQEMEKATTFQEFYQVNGSSVLTDGSNNGYIEYGKLKTRSDRIDDLNGTSSFTNLLNLHNRKMQVPFASSSNNQLYMYPDFGEPDPCGFGTFSQESISSWPPTASTFNIENGEKCESFSNEELSGSVVNASVQHNILWGSQNNYQPSSSNGCNHPSDYSHQCEGNQTFQLQNKSVRETPKYTELLGKKSGMHHARNVSELNKKSVNVVDRISVVNKKIHMGNQSAESNLKEQLHSHGHPHNGTSTKISKGRKGKAVRKEQNGVDWDMLRKQVEGSGRKKERNEDTMDSLDYEAVRNADVIEISDAIRKRGMNKMLSDRIQVLSYTVQSKHLLFVMKINIPMGFLNRLVRDHGSIDLEWLRDVPPDKAKDYLLSIQGLGLKSVECVRLLTLHHVAFPVDTNVGRIAVRLGWVPIQPLPESLQLHLVELYELHYQLITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSSSSSIAAETNPTIGVTPMSRPPPENKSLQKVGTEINKCEPIIEEPATPEQEFTELSQSDIEDFPYEDPDEIPTIKLSVKELNSTIKYYLQGNRELRECDTSKALVCLNPDAAYIPGPELKFASRLRTEHQVYVLPDSHPLLERMDKREPDDPTPYLLAIWAPGQTSNSVPQPESRCGSQDKNKLCNEETCFSCNTKREENSQTVRGTILVFADHDSSYNPIDVPRQWIWNLPRRTAYFGASVMSIFRGLSTVGIQYCFWKGYVCVRGFDRKTRGPRHINPTLHMTASELTKTKKKGKR >ONI23832 pep chromosome:Prunus_persica_NCBIv2:G2:24354241:24354880:1 gene:PRUPE_2G210900 transcript:ONI23832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTWLNTSQARETACVEAFQNGIDSKVAESLRQVTKSIDEVLGMIQVQQQQHHLHLHNAAAAPGPAPAPYEPALPDDSDLAGNVKSTPTYLGRPWGKYARTVFMKSSMSNVIRPQEWNGKSALSTLYYAEYTNNGPGASFIGWNSWLPSTGVPFAPGL >ONI24104 pep chromosome:Prunus_persica_NCBIv2:G2:25125623:25130054:1 gene:PRUPE_2G223900 transcript:ONI24104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPDVSFVVEKSEEKAARDSDSDSDSKSYSCSDTTIGESSMEERSRKKRPMMMIVKILELFHWILARWKKMFVTSCVFAVSLDPLFLYIPIIDQDMNCLSLDQNLKITALALRSVTDLFYIMDIIIEIYTSGICSSLTNGFHHSSKLQFLGNTFLPKVAKTIWQSYILIDILAIIPLPQVLILTFFSKMRASRSLDTRKFIMNFFVLMQYFPRVIRIYLSCKAPKMSHRRETPVWVKGVLNFFMYILASHVLGAVWYFFAIQQMTVCWAYACRNENGCDSTTFGCHDRTSKNELCPVSSPNTTLFEFGIFLSLLQSGVPSSTNFLQKFTNCFCWGLRNLSSLGSNLQPSTNTWENLFVVFISIIGLLLFIYLIGNLQTYLSLDTTRIEAHRHKRKIKRKMEEKGQELELWLPKNGIPEKSHKNIKLQIMEKVEQEFEENRDVDLDNFTSTLPSDLENQIKSYMPFTRLKMVRVLQNMDEQVLKAICQRLKPMKYTEDNLILREGEPLKMMLFIVEGHVAIEKKGGSILNQGARELYGEKLLAWPFSTSFPKKLPTATESARAIGDVEALILMADDMKGVVFKFGVHFINKYGKLKEKFVEATPTSIGAAPALRLFTEKELKKATQNYNASARIGEGGYGIVYKGILPDKTVVAIKKSKMHAPAMSVNSVNEAFILSQIHHRNIVRLLGCCLEAKTNLMVYEFIDNGTLSEHIHNDSKGVKLSFELRLKIAADTAEALSYVHSVSIIHRDVKTSNLLLDQNYTIKVSDFGGSLLVDEDQDSLSTLVQGTLGYLDPEYLTSNTLTEKSDVYSFGVVLLELLTSRRALSPERPQEERNLASFFLRLAEQGHLDQILDGEIINGENYVTAEKVSYLARRCLALTGEERPPMKEVVMELEWLIMETRQAEKANFSPSSEETNNWFLQSPSNSYVVDVRDEGDDVGSSDIVKDVDVR >ONI24785 pep chromosome:Prunus_persica_NCBIv2:G2:27096451:27099536:-1 gene:PRUPE_2G262300 transcript:ONI24785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLFTGTSPAVGPTWAAVAVSETLRKVCIDVFVQRLGVCASAAGMYKLAFSTTSTVNGRASIVSLYGLPVCMKSHPTPVFFQFRLQKHKFGSYETVVFGFTNCCLPSFVVDSDCVSSSHV >ONI20615 pep chromosome:Prunus_persica_NCBIv2:G2:2520232:2527162:-1 gene:PRUPE_2G025400 transcript:ONI20615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGTSIASKLVEEPVALIGRQLSYLAYYDCNIESLKDALKKLDDKKNDVERSVDAAKRNGATIKDQVQSWLEDVSKIFHEAEELENKVNGQRRCLYGLCPSLKSRYSLSRKAKKIAQRVLELKLDEGLSNNVANPAPLQQLGLIISSEGFKGFESRKDVMNDVLSALRNEKTRIIGICGMGGVGKTTMVREIIKRLEGTSLFDDVVMATVSATANIRTIQTDIADSLGMKFFEESESRRALRLHERIMQSKRILIILDDVWSEVKLQDVGIPFGVGPTTNQVHEGCKILLTSRNEEVCKVMGCKDDIFKVQTVNKEEAWELFRATVGESLDNNPDLSHVAKLIVDECKGLPIAIITVGKALLPSNGKHEWNTALQELKNSLPENIPGMEPEVYSCIKLSYDKLDSDEVKSCFLLCCLFPEDYDVPIEYLVRYGLGRATFRNTNTVEDVRNKVHSFIGQLKRRYLLLDSLKEECIKMHDIVRDVAISIASKDPHRFMVRSFDAEDGGGGRPGVQKVTNQEHCRAISLIDVKLDENITGGLECPKLELLQLKHSYCSKYSNHFQRIKELKVLAFLEVKMSSYLASKRSLPLGEPKYLHTLCLEDCKLGDISYVIRELENLEILSFARSQINKLPIEIGLLHRLRMLDATDCEELGEIPHGVLSNLRRLEELYMADSFLNWGPTTGSNEKSMASLDEVMSLSDHLNVLAIKIPDVQMLRNAKFLLKSQPIRFHVSINISWSYKKNSFKNRIRGYLFENSLMLRGDVKEYLEIGAVRYFLKQSEDLSLQHTYNLKYVIEELDDQGGFQRLKVLSIMYDNNIEYLMNGTDWTRRDQPAFPILKSATFEYVDKLKVVCCGELPNKHSFMNLRSIAIDSCDELKYVFSLSVAQNLVQLQSLKVENCAKVEEIVSKERMEDDDASHMITFPRLTILELSNLLKLCGFYMGIQRDSAYEIIKPNDESVNKMKETRRNDNRVAGSTSSKSKVAQAEVSCNALFPSNCISWLPNLERLKVDYLRSRKLSEPVVNVVFDLERHVSAFSQLQKLEVEFLDELEHLWKNVQLGFQGFQNVRSLTIGGCYSLKYLCPYEIYKLLVNLQQVNIFHCENMETIVLAAASMEDNIHEEGKETGGSGAMTLFPKLLNSFDLVYLPRLERFSPDAYSFAWSSSTRTMQLINCPKLKTLGFAPVSKKLPAAVAENVSDDYVRGREESGSGCASSTGFGSGFECAPLTCLQSRPSTHNFTQILPRLVNKEVTPANLQTSIARDNNLEDLTVRECNLLEVIFLVQETPSIQAFDKLRELILGSLPMLSHIWEKGLQVSSGFGNLRLLQVQYCHNLRYLFSPHIAKLLTCLETIAVSHCSAMEKIVGEAEGGGESTEDELTFPHVNSIQLVDLPKLKSFCSQAYTLKWSSALEKVKVRNCPELKVFAPEAVYKQPTCVYETSTWREKKNIVRSQETRDKTT >ONI24839 pep chromosome:Prunus_persica_NCBIv2:G2:27228567:27229409:-1 gene:PRUPE_2G265400 transcript:ONI24839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLCHTKTHNFCSSSIRTHKTRDPSTLNPSWGLRLRPWQNHGLSLMQQRVDHKKSSSAMVVRCVDTGCSSGPVSEIEGELETEIRPEDGAEEWLRVGRLREKCGDRGMVELLECLEREAIMGEDEGKEPSDYNRRAQIFYKSSRVFQALKERNQS >ONI25514 pep chromosome:Prunus_persica_NCBIv2:G2:29240426:29242880:1 gene:PRUPE_2G308100 transcript:ONI25514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSDELDFLQLRQQLKQRIRNNHMKELGYAPESSVNKNKLLSDDFGSFFGPSQSVIAQRVIEESKAFMPELRNLASKFGNSHDKGKKKLKSPVLSTTNAKSGLRCKNLKESRDYSFLLSDNAEIPSTSEGGEPRLVKNSLSNSSNKRNPVSAIHRASSTNLKSVKRQHLEEKVQSLPATRKKLEESKKLHPPKKAKLMADSCKTALKTSQSSTKPNIKQAKHCLEPENTKVKPEQKSSSLKRSQSSTKQNIKPAKQCVEPENTKVTPKQKSSFLKNQTKRHLEDSYDDDNLDISSVIKMFGPKRKHREDDDDDDGCAMVSSFADIMREERKSAKIARKEDEIERLRLEEEEKEERLRKAKQQKPREIKKN >ONI23298 pep chromosome:Prunus_persica_NCBIv2:G2:22407231:22408954:1 gene:PRUPE_2G180900 transcript:ONI23298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASLLRLSSANLLLHHLREVRLPTIRAAEVEESSSSIRGAGTETPTSRASPAIVAPNAAFSFWGPQTTVYAAVYCGGGGSSLWPEEVLLTALPVNGPTRQDAMSAITAFRLKTALRQSSSSRRQVVDFCFLVPAEDGDQNFVDPALNRGPNYIPDLNVSPPDETASATEFIGEIKSQNISRFTDYVVWYLGEYGSDDEVAEYRKILSSKSEAEIGNLDSIRIWNILTLRAEILKAVVAKGLIEAPIGSESDDVQFPPPQQLLPIPQQGWTAAHNHAHIGCHVTAAAAYGAGGKYNGNNETMSDGRNYAVGYEKQSNVAKRKAVAFGGVDEDDPCPYVLPMKKPGDGGDGGGGVLSMDEDKTRARDKAPIDEAEEEDMSCDSDSSH >ONI23779 pep chromosome:Prunus_persica_NCBIv2:G2:24136379:24140082:1 gene:PRUPE_2G207700 transcript:ONI23779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLIDCEEEQEEQQQTNGRTPMPKCRIRTGSDSDSKAEFGSGSVTPFLGPSLPKCGGGLVQSQVRLFISGPLPRQLNALSLEMLSRLSQLFLAYEDDANAKLIILKGKGRAFCAGGNLKFGEKLGEKLLTLTYLVATCSKPQVSILNGIVMGGGVGISVNGKFRVATENSNFAMPENSLGLFPDVGSSYFLSRLPGFFGEYLGLTGARLDGAEMLACGLATHLVPSAKLSLLEKALISRAASATSSSCGLAFISAIIDEYSLQQPALNEKSALHKMDVIDKCFSRPTVEDILSALEKEITTTDANKAGDEWLASTVRSLNKASPMSLKICLRSIREGRVQAIAECLIREYRIDCPVMRGQISKDFREGCRAILWDKDKKPKWKPSSLELITEHMVDHYFSRLDGDEELKLPRRCNLNVFPNAKL >ONI25038 pep chromosome:Prunus_persica_NCBIv2:G2:27787712:27795112:-1 gene:PRUPE_2G276400 transcript:ONI25038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKEICLEGFKSYATRTVVPGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGITKATVSIIFDNSDRSRSPLGYEAHPEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEAALKTLEKKQSKVDEINNLLDQEILPALDKLRRERTQYMQWANGNADLDRLKRFCIAYEYVQAERIRDSAVCEVEQVKARISEVDDDTRKTQEEIQEMEAQVSKLTAEKEARMGGEVKTLSDKVDALSQNLVREVSVLNNKEDTLGTEKENAEKIVSNIEDMKQSAKETDFAIKKADEGAADLKKRAGELSQSLNEYEKEYQGILAGKSSGNDEKCLEDQLGDAKIAVGSAETELKQLKTKISHCQRELKEKNNQLMSKREEAVAVERELTARKEDLANVKMAQESLPYKEGQMEALQKDRASELEQVQKLKDEMRNLSGQLANVDFTYRDPEKNFDRSKVKGVVARLIKVKDSSTMTALEVTAGGKLFNVVVDTESTGKQLLQNGNLRRRVTIIPLNKIQPYTVHHRVQHAAVKLVGKENAELALSLVGYDEELRSAMEFVFGSTFVCKTIDAAKEVAFNREIRTPSVTLEGDIFQPSGLLTGGSRKGGGDLLRQLHELAETEQKLLVHQRRLTEIEAKITEFLPLQKKFMDLKAQLELKSYDLSLFQGRAEQNEHHKLGELVRRIEQELQEAQSAAKEKQLLYEDCVNKVLVLEKSIKDNDNSREGRLKDFEKRIKETKAQMQSASKNLKGHENEKEKLILEKEAIIKELASLETQLASLRTQIDNLTSEVEEQREKVASTRNMHDQAQSELNSIRMKMKDCDSQISGILKEQQRLQHKLSETNLERKKMENEVKRMEMEQKDCSTKVDKLMEKHAWIASEKQLFGKTGTDYDFSLRDPRNAREELEKLQAQQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKKVIEELDEKKKETLKVTWVKVNNDFGSIFSTLLPGTMGKLEPPEGCSFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKTHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVAAKQK >ONI22244 pep chromosome:Prunus_persica_NCBIv2:G2:17469233:17472253:-1 gene:PRUPE_2G116500 transcript:ONI22244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFVTLPNPLLCKPNTASLSNQKLLPGLRRNCLRINAVSKKWEPTKVVPQADRVLIRLEELPEKSAGGVLLPKSAVKFERYLMGEILSVGAEVGEVKAGKKVLFSDINAYEVDLGTDGRHCFCKESELLAVVE >ONI20208 pep chromosome:Prunus_persica_NCBIv2:G2:352381:352945:-1 gene:PRUPE_2G003300 transcript:ONI20208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPVPLLDYNPIQSHDDQSLSATFDVSITARNPNKKIGIYYEGGSRLNVWYTGTKLCEGGLPKFYQGHRNTTQLVVQLIGQNPDASGLLSTLQQQQLPVLRNEFQFWCCSPAQEGER >ONI24027 pep chromosome:Prunus_persica_NCBIv2:G2:24843371:24845936:1 gene:PRUPE_2G219700 transcript:ONI24027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWMWLEHATKKYNFVHKLCVTLPDTLLNGIADESVVALNCIQHDDFHVGITNRNQGIPLLNALTKTGPMPKGSKNLKQYPFHQLYLTSPPKTISSCICTLQFIALFNEVCMRAFDDLFPKPQPNPAKQMMLNADMFRPYNPSFHNIAAITYYMVGASKMGPFPNRRMYDYLRGVFDPYDLTAQCQILNEKMGNVLSRLNLNDDQHEAEDVSADERTIFLTFSKGYPISEVEVREFFSRKFGDFIDGVFMQEVPAEKQPLYAHLVVRSTSSIPIILKGKNKAKFFINGKHVQARKYVCKSKSPGDPSSLATSI >ONI23431 pep chromosome:Prunus_persica_NCBIv2:G2:22902869:22905812:-1 gene:PRUPE_2G189300 transcript:ONI23431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGGGKVRVLIEKATTSTEPEVDPRLLKAIKSVVRRSDSELRLAAHILMDLMKREHSQVRYLTLLIIDELFMRSKLFRTLVVESLDQLLTLSVGFRNNSPLPGPTNVATALRSKAIEFLEKWNASFGIHYRQIRLGFDYLKNTLKYQFPNLQANAARLHQERRERERKSKEILLNKFETLKKNFASIKEEIQSTADEIGECLEIVRAKEERELLCPLDDEDMEEFHSYEFRQLRLHSLEEADKIHENSENKVVFDALRELYKLLVMKHLVAVQEWISVLVRVELTDNRARDSYLKEFIDIRNHIQSVKKKCEESGCAIPNTTNHEEEDFWEEGKIGSIESKRSSIPNNRTEDSVASTSKEVLDRVPECHANERDGNKRLNRKDGATNSDPLRSKLLAEAPEVNWGSYLDNWGSKRDVLANHRGLELESHWGRVDQDAVIPAEKIAELNLQTTLYKEQQVEIQPCRAPLSKGELCQRRDLRTCPFHGPIIPRDDEGKPLNQNPSKDEKTPDLGTDIVEQLAKQAVKNVREKDKELARKREIDKKSLKRAKLAKIREHNEAVLRDAAMASTSRSADIGEDWAATNGENRSSRNKKKALASMLQKKETPKDRLAQRLLNTRASAATVRQLTLGEDANYREAFPNQW >ONI23600 pep chromosome:Prunus_persica_NCBIv2:G2:23460704:23462534:-1 gene:PRUPE_2G197600 transcript:ONI23600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPQIVQSPARLGLTNPTSPSLQNPTPPKLPSQQPSLSPTLLSLLAPLPRAQSLLIQMASLASKLFEVSPNRSLWLNAFRGNFPTFLSSQSQSLPSTAPDSSPSSTKEILSQFTALQTQLFEAVAELQEILDLQDAKLKIAREVRSKDAALLSFSKKLKDVEQVLDNLVDDYSDFSRPKRTKQEDGAEDDSSCTTTTVASQLNLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQDEQMRASQLYNFANLDVGLPKTVEREEETIHTILEAPRPEPTEANQLPKLGALQGLLPPNITVPSGWIPGMPVELPSDVPVPPPGWKPGDPVPLPPLGSAPAPRVEEQQLRPNAHQPLPKAPATIQVQHVQLDIPDQDDDSSDYTSDDASSEDED >ONI23598 pep chromosome:Prunus_persica_NCBIv2:G2:23460704:23462839:-1 gene:PRUPE_2G197600 transcript:ONI23598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPQIVQSPARLGLTNPTSPSLQNPTPPKLPSQQPSLSPTLLSLLAPLPRAQSLLIQMASLASKLFEVSPNRSLWLNAFRGNFPTFLSSQSQSLPSTAPDSSPSSTKEILSQFTALQTQLFEAVAELQEILDLQDAKLKIAREVRSKDAALLSFSKKLKDVEQVLDNLVDDYSDFSRPKRTKQEDGAEDDSSCTTTTVASQLNLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQDEQMRASQLYNFANLDVGLPKTVEREEETIHTILEAPRPEPTEANQLPKLGALQGLLPPNITVPSGWIPGMPVELPSDVPVPPPGWKPGDPVPLPPLGSAPAPRVEEQQLRPNAHQPLPKAPATIQVQHVQLDIPDQDDDSSDYTSDDASSEDED >ONI23599 pep chromosome:Prunus_persica_NCBIv2:G2:23460704:23462841:-1 gene:PRUPE_2G197600 transcript:ONI23599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPQIVQSPARLGLTNPTSPSLQNPTPPKLPSQQPSLSPTLLSLLAPLPRAQSLLIQMASLASKLFEVSPNRSLWLNAFRGNFPTFLSSQSQSLPSTAPDSSPSSTKEILSQFTALQTQLFEAVAELQEILDLQDAKLKIAREVRSKDAALLSFSKKLKDVEQVLDNLVDDYSDFSRPKRTKQEDGAEDDSSCTTTTVASQLNLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQDEQMRASQLYNFANLDVGLPKTVEREEETIHTILEAPRPEPTEANQLPKLGALQGLLPPNITVPSGWIPGMPVELPSDVPVPPPGWKPGDPVPLPPLGSAPAPRVEEQQLRPNAHQPLPKAPATIQVQHVQLDIPDQDDDSSDYTSDDASSEDED >ONI22583 pep chromosome:Prunus_persica_NCBIv2:G2:19479724:19481473:1 gene:PRUPE_2G137400 transcript:ONI22583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREQHHPAYPTGFAVGRPSNKVSKWHQKKRKTKNKRLTQGFISSMPWHTIFAFLNPLLIGILQVKCQGATKSPFDTHQEVMWTFLLATLVYCFAFAANMKSRRNCSTVYSRISGHFALLTGSLSSVSLFSIFLPRFPNEQLVILLWIIMPLIVARKWICRATHFLVHCICRGFFGRNLMEQPQLPV >ONI20872 pep chromosome:Prunus_persica_NCBIv2:G2:4107427:4110672:-1 gene:PRUPE_2G038100 transcript:ONI20872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASVSASSLIRVAALSGSLRKSSYNRGLIRSAIEISKTSINGLQIEYIDISPLPLLNTDLEGEGSFPPAIEAFRQKILEADSILFASPEYNYSFTAPLKNAIDWASRPPNVWADKAAAIVSAGGDFGGGRSQYHLRQVGVFLDLHFINKPEFFLNAFQPPAKFDSDGNLIDEQAKERLKEVLLSLQAFSLKLHQNQ >ONI20871 pep chromosome:Prunus_persica_NCBIv2:G2:4106955:4110871:-1 gene:PRUPE_2G038100 transcript:ONI20871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASVSASSLIRVAALSGSLRKSSYNRGLIRSDLEGEGSFPPAIEAFRQKILEADSILFASPEYNYSFTAPLKNAIDWASRPPNVWADKAAAIVSAGGDFGGGRSQYHLRQVGVFLDLHFINKPEFFLNAFQPPAKFDSDGNLIDEQAKERLKEVLLSLQAFSLKLHQNQ >ONI22863 pep chromosome:Prunus_persica_NCBIv2:G2:20867951:20870118:1 gene:PRUPE_2G155300 transcript:ONI22863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPVPVQVCFTFTRVLKLLAPPPLPLLTRRLMASNCKGDMAKISSISPISSSSSSYGDPCHDLYFHVTSPDHRPDWCFHVTPPELSYEETKQTLQKKHASLNYLKQLLPVAWSHNPLTTLKLIFNLNSISAPEGKCYPEAFSTAALWVHHNHPKTLLCNLPSFTGSGNFASMWDLVEILYGLLLQQGQDADAASQRLHCDPHYKLLHDRVMDFFAEQLKSDICKFKQHKLRMELDPSSEDDDKEDGTSLFVTRAAACCTPNSPEGDQATRAILLFESLGSRLFKPESDQSEEWGLLRKEFLEPLTEYERLLYFIRERSRRSVCVVKKYLEEVKAGGSGSIKPDALLPNDIIRYVKDKNVGEAAELQWKAMLEDMYLKQQKHGEEGLGKFKNCLAVCNITTNFMGERVIELAASLGILVCELSEEPAWKRKVMGLGPLPDQLPQLHSIQGGDLKSKCEFVMRTCSKKCSEGVDFQKVCDLLLEVAVKENLKAEQMIKKVFVFTDNVRFGGCTSSWKALYDETESKLKQQGYAMPHILLWNIYDLGGRMPRVEEPHPGVTLLCGTSNALIKSFLDNGGEIGRRHLMEAAISGKEYQNLCVVD >ONI22971 pep chromosome:Prunus_persica_NCBIv2:G2:21269240:21271340:1 gene:PRUPE_2G161300 transcript:ONI22971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGIGLETVRQLASNGFTVVLTARDEKRGLEAVEKLKESGLSGQVVFHQLDVVDPATVASLADFIKTQFGKLDILVNNAGVGGSIVDGDAFKASVASGATERGGVDFSKLVTETYELTEECLQINYYGAKRTAEALIPLLQLSDSPKIVNVSSVMGMLNNIPSDWARGVFTDAENLTEERVDEVLTELLKDFKEGSLESKGWPSSMPAYIVSKAALNAYTRILAKKYPTFRINSVCPGFVKTDINYNVGVLPVEEGAARVMKLALLPNDGPSGSFFVQYEVSDL >ONI22970 pep chromosome:Prunus_persica_NCBIv2:G2:21269509:21271762:1 gene:PRUPE_2G161300 transcript:ONI22970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGIGLETVRQLASNGFTVVLTARDEKRGLEAVEKLKESGLSGQVVFHQLDVVDPATVASLADFIKTQFGKLDILLDSAQVNNAGVGGSIVDGDAFKASVASGATERGGVDFSKLVTETYELTEECLQINYYGAKRTAEALIPLLQLSDSPKIVNVSSVMGMLNNIPSDWARGVFTDAENLTEERVDEVLTELLKDFKEGSLESKGWPSSMPAYIVSKAALNAYTRILAKKYPTFRINSVCPGFVKTDINYNVGVLPVEEGAARVMKLALLPNDGPSGSFFVQYEVSDL >ONI22969 pep chromosome:Prunus_persica_NCBIv2:G2:21269204:21271762:1 gene:PRUPE_2G161300 transcript:ONI22969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQRLSKNIHYKRKEGSFCLTHISILTDRPVSNLSMAEATKRYAVVTGANKGIGLETVRQLASNGFTVVLTARDEKRGLEAVEKLKESGLSGQVVFHQLDVVDPATVASLADFIKTQFGKLDILVNNAGVGGSIVDGDAFKASVASGATERGGVDFSKLVTETYELTEECLQINYYGAKRTAEALIPLLQLSDSPKIVNVSSVMGMLNNIPSDWARGVFTDAENLTEERVDEVLTELLKDFKEGSLESKGWPSSMPAYIVSKAALNAYTRILAKKYPTFRINSVCPGFVKTDINYNVGVLPVEEGAARVMKLALLPNDGPSGSFFVQYEVSDL >ONI25418 pep chromosome:Prunus_persica_NCBIv2:G2:28959067:28960059:1 gene:PRUPE_2G302000 transcript:ONI25418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATITFPIVITTESLDSLLSHQTLINHFQSSLPTVSASLCSPIRQSYDVSPSSSLLLMPSWSSSPSLPYLGVKLVTYFPQNSALNLPGVHASYVLFSSTTGQTLATMDGTALTLYRTSCVSALASRILSRNDSQVLVMIGAGALAPHLIRAHLAARPSLKKVIIWNRTVEKARNLAEKMQESVAFEGVCFESNGCLDEVVGMGDIVSCATNSEVPLVKGKTLKAGAHLDLVGSFKHSMKECDDEAIRRGRVFVDNEAALVEAGELVGAFERGVIGKADVCGMLVELIKEDKAGRRSCEEITVFKSVGSAVVDILAAQLVYETHMRQNQ >ONI22124 pep chromosome:Prunus_persica_NCBIv2:G2:16722805:16727978:1 gene:PRUPE_2G108800 transcript:ONI22124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATLARQIGGAITKATSSTSSGNGWYSPHMAAASRAIAERIPLVDLILEVRDARIPLSSAYDQLRNCTSSSKRIIVMNKMDLANNSQLKDWMKYFEQKNYISYGVNAHNKESIQQLLNFLQARVRELKKVDHSSHTTTILLVGIPNVGKSALANSLHRIGRISAAEKGKLKHATVSPQPGETKNITGLKIASHPNIYVLDTPGVLPPHILDDEVCTKLALTGTIQDCFAGENELAQCFLSILNRSDEYKKWAQLSNSENERSFADRNIKCSTSSEMGMKRKRQYPTDHTQDFIVHDVRRTLFEVISSFDGNVEDERLIEEEFKAMHAAFQVPLESEHYAQNKIAAKLLNLFRTGRLGHYILDSIPRKL >ONI22125 pep chromosome:Prunus_persica_NCBIv2:G2:16725723:16727370:1 gene:PRUPE_2G108800 transcript:ONI22125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFSQLLNFLQARVRELKKVDHSSHTTTILLVGIPNVGKSALANSLHRIGRISAAEKGKLKHATVSPQPGETKNITGLKIASHPNIYVLDTPGVLPPHILDDEVCTKLALTGTIQDCFAGENELAQCFLSILNRSDEYKKWAQLSNSENERSFADRNIKCSTSSEMGMKRKRQYPTDHTQDFIVHDVRRTLFEVISSFDGNVEDERLIEEEFKAMHAAFQVPLESEHYAQNKIAAKLLNLFRTGRLGHYILDSIPRKL >ONI25254 pep chromosome:Prunus_persica_NCBIv2:G2:28524973:28529423:1 gene:PRUPE_2G292000 transcript:ONI25254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKPLFFVLLAFQCFFLLTNAIVYQNEQSPEREALISFKTALENPEVLSSWRPSIPHCNWVGVSCQLGRVTSLALPTLSLRGTLPPSLFSLPNLTVLDLSSNLLHGQIPPQISKLPSLVSLDISNNSLSGTLPPEIGSLENLTDLYIGANHFSGPLPREIGDLSRLENLDSPSCSITGPLPEELSKLESLSKLDLSYNPLRCSIPKAIGKLQKLSILNLVFAELNGSVPAELGNCRNLKTLMLSFNSLSGSLPEELSDLHVLTFSAEKNNLSGPLPPWLGNWHQVESILLSSNSFSGKIPPEIGNCSTLRSLSLSSNRLSGPIPEELCNAVSLVEIDLDSNFLSGTIENTFVKCRNLTQLVLVNNQIAGPIPGYLSELPLMVLDLDSNNFTGTIPTSFWNSVNLMEFSASNNQLRGSLSKEIGRAAALERLVLSNNQLKGTIPKEIGNLSTLSVLNLNSNLLEGNIPAEIGRCTGLTTLELGNNQLSGSIPVEIEDLAQLQCLVLSHNKLSGSIPSKPSSYFRQVTIPDLSFVQHVGVLDLSYNRLSGTIPEDLGNCVVLVDLLISNNMLSGGIPKSLSRLTNLTTLDLSGNMLSGSIPPEFGESPKLQGLYLGNNQLTSTMPESLGRLGSLVKLNLTGNKLSGAVPISFGNLKGLTHLDLSCNKLDGELPSSLSSMQNLVGLYVQQNRLSGRVDELFSNSMAWRIENMNLSNNFFNGELPLSLGNLSYLTYLDLHSNLFRGEIPPDLGNLMQLEYFDVSSNKLSGQIPEKVCSLNNLFYLNFAENRLEGPIPKTGICQNLSKISLAGNKRLCGRIMNLDCQVKSFDKSALLNAGGVAAVVVGSALIIVVVALALIRWVTRSSRHDPEETEESKLSSFLDHNLYFLSSSRSKEPLSINVAMFQQPLLKLTLVDILEATNNFCKTNIIGDGGFGTVYKATLSNGKTVAVKKLSEYKTQGHREFIAEMETLGKVNHQNLVPLLGYCSLGEEKLLVYEYMVNGSLDIWLRNRTGELEVLDWDRRFKIALGAARGLAFLHHGFIPHIIHRDIKASNILLNEDFEPKVADFGLARLISACETHISTDIAGTFGYIPPEYGQSGRSTTKGDVYSFGVIMLELVTGKEPTGPDFKEMEGGNLVGWVVQKMKKGQAADVLDPIVLNADSKSKMLQVLDIARVCLSDNPASRPTMLQVFSSLKGSKTSKM >ONI24897 pep chromosome:Prunus_persica_NCBIv2:G2:27363680:27364668:-1 gene:PRUPE_2G268000 transcript:ONI24897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANLSVPLLSLPRHHHLNLHPNLITVPTRLRLGLRTQALGNDMLGDFGARDPFPAEIASGFGEKVLGNGNTEHKILIPNLSSLSLSQLDCSAVSPLQPPMPEDDAQKLLRKVVGWRLIVGEGELKLQCLWKLRDYKCGVELINRIYKVAEAAGHFPNLHLEQPNQVRAELWTSSIGGLSMNDFIVAAKIDDIKTSDLVPRKRVWA >ONI24896 pep chromosome:Prunus_persica_NCBIv2:G2:27363428:27364762:-1 gene:PRUPE_2G268000 transcript:ONI24896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANLSVPLLSLPRHHHLNLHPNLITVPTRLRLGLRTQALGNDMLGDFGARDPFPAEIASGFGEKVLGNGNTEHKILIPNLSSLSLSQLDCSAVSPLQPPMPEDDAQKLLRKVVGWRLIVGEEAAGHFPNLHLEQPNQVRAELWTSSIGGLSMNDFIVAAKIDDIKTSDLVPRKRVWA >ONI25866 pep chromosome:Prunus_persica_NCBIv2:G2:29997089:30003989:1 gene:PRUPE_2G324200 transcript:ONI25866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSPSFKSFLKGLLNKVPQNRLTWPALLEHPFVKEMPHEVEAREMRSATAAERGCVAAWRGEGNTVQTSVGLAVSSPDSSENNSGISFQGDAQSDIPDCTAVNSSPNEFPGFANPNEVKQSGCQILDRLENNSRTVKGAQIISQDNEAVAHVLLPLKRCSQGSPNSCRDQDILNSNQSLRILSNLVAAGAIHSSGLLDEIIHELLVYTGIIVSMKASEVNELKAKSFSIIKILVDNAGSGAGGSYFRHWVTFADIFSQVVGCSEDASGRVLYESIACITVVLTRVTQGLKAVSSTSVPEAVSDPNETWKQILDHAKTSGLVDQLCLCLVTAGSSLISGSSNMLRAACEACRAIWLLVDASENLSMKRNAYSFPLNTMRSPSLQLGIRDQDQSSLIGTESAKLVAAVTRAFLRSKAVQVAIHYCLHQRLEASLYASIQLLLRCCLHNGIVPGMLCGLPSSLPVTTVVSGGGDGTIISEIFSLLSLCISSQNRDPQAIETTNLKCKLTNPTTLVLHSCLILATIAQCLKATGRNSALFMLTTSPKKQLSRLSVLAHHFSSDESTNTSFQTHTASAMLALASILSLESGASVGSSVSEVAVPLIPRSATLCDYLKVSPGSGIELGPNGTKSALSYWHGLRDGCVGLLESRLRWGGPLVVKQLCTSNIPLLLVSLLAKNQQNVSPQEVDSTNDQVGLSPIGVVWTISSICHCLSGGALTFRQILLRSDHIKLISDLISDMHLKLVKSWVGPGGGKDGVRDIINAVIDLLAFPFVAVQNAPGLLSATASVNSGALLNMGSPGVRVGMEDRDMVKVIEEDLGKYIKNLLEVGVPGIILRCLDNLELKDIGRPVAFLAKMIGHRPLAVQLVGKGLLDPTRMRRLLDCSSPREVMLDVLMIVSDLARMDKGFYEYINGASVLEFFKEFLTHEDPNVRSKTCSALGNMCRHSSYFYSALAKHQIIGLLIDRCSDPDKRTRKFACFAIGNAAYHNDMLYDELRRSIPHLANLLLSTEEDKTKANAAGALSNLVRNSNKLCEDIVSKGAMQSLLKLVADCSVVALNPGRKDSVNESPLKIALFSLAKMCSHPPCKQFLRSSELFSVIGRLRQSPESTIANYASVIITKVADS >ONI25865 pep chromosome:Prunus_persica_NCBIv2:G2:29997023:30003989:1 gene:PRUPE_2G324200 transcript:ONI25865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSPSFKSFLKGLLNKVPQNRLTWPALLEHPFVKEMPHEVEAREMRSATAAERGCVAAWRGEGNTVQTSVGLAVSSPVNSPDSSENNSGISFQGDAQSDIPDCTAVNSSPNEFPGFANPNEVKQSGCQILDRLENNSRTVKGAQIISQDNEAVAHVLLPLKRCSQGSPNSCRDQDILNSNQSLRILSNLVAAGAIHSSGLLDEIIHELLVYTGIIVSMKASEVNELKAKSFSIIKILVDNAGSGAGGSYFRHWVTFADIFSQVVGCSEDASGRVLYESIACITVVLTRVTQGLKAVSSTSVPEAVSDPNETWKQILDHAKTSGLVDQLCLCLVTAGSSLISGSSNMLRAACEACRAIWLLVDASENLSMKRNAYSFPLNTMRSPSLQLGIRDQDQSSLIGTESAKLVAAVTRAFLRSKAVQVAIHYCLHQRLEASLYASIQLLLRCCLHNGIVPGMLCGLPSSLPVTTVVSGGGDGTIISEIFSLLSLCISSQNRDPQAIETTNLKCKLTNPTTLVLHSCLILATIAQCLKATGRNSALFMLTTSPKKQLSRLSVLAHHFSSDESTNTSFQTHTASAMLALASILSLESGASVGSSVSEVAVPLIPRSATLCDYLKVSPGSGIELGPNGTKSALSYWHGLRDGCVGLLESRLRWGGPLVVKQLCTSNIPLLLVSLLAKNQQNVSPQEVDSTNDQVGLSPIGVVWTISSICHCLSGGALTFRQILLRSDHIKLISDLISDMHLKLVKSWVGPGGGKDGVRDIINAVIDLLAFPFVAVQNAPGLLSATASVNSGALLNMGSPGVRVGMEDRDMVKVIEEDLGKYIKNLLEVGVPGIILRCLDNLELKDIGRPVAFLAKMIGHRPLAVQLVGKGLLDPTRMRRLLDCSSPREVMLDVLMIVSDLARMDKGFYEYINGASVLEFFKEFLTHEDPNVRSKTCSALGNMCRHSSYFYSALAKHQIIGLLIDRCSDPDKRTRKFACFAIGNAAYHNDMLYDELRRSIPHLANLLLSTEEDKTKANAAGALSNLVRNSNKLCEDIVSKGAMQSLLKLVADCSVVALNPGRKDSVNESPLKIALFSLAKMCSHPPCKQFLRSSELFSVIGRLRQSPESTIANYASVIITKVADS >ONI25864 pep chromosome:Prunus_persica_NCBIv2:G2:29994750:30003989:1 gene:PRUPE_2G324200 transcript:ONI25864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVENYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIMKHGKSDKDIHNLRQEIEILRKLKHENIIEMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSIVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSPSFKSFLKGLLNKVPQNRLTWPALLEHPFVKEMPHEVEAREMRSATAAERGCVAAWRGEGNTVQTSVGLAVSSPVNSPDSSENNSGISFQGDAQSDIPDCTAVNSSPNEFPGFANPNEVKQSGCQILDRLENNSRTVKGAQIISQDNEAVAHVLLPLKRCSQGSPNSCRDQDILNSNQSLRILSNLVAAGAIHSSGLLDEIIHELLVYTGIIVSMKASEVNELKAKSFSIIKILVDNAGSGAGGSYFRHWVTFADIFSQVVGCSEDASGRVLYESIACITVVLTRVTQGLKAVSSTSVPEAVSDPNETWKQILDHAKTSGLVDQLCLCLVTAGSSLISGSSNMLRAACEACRAIWLLVDASENLSMKRNAYSFPLNTMRSPSLQLGIRDQDQSSLIGTESAKLVAAVTRAFLRSKAVQVAIHYCLHQRLEASLYASIQLLLRCCLHNGIVPGMLCGLPSSLPVTTVVSGGGDGTIISEIFSLLSLCISSQNRDPQAIETTNLKCKLTNPTTLVLHSCLILATIAQCLKATGRNSALFMLTTSPKKQLSRLSVLAHHFSSDESTNTSFQTHTASAMLALASILSLESGASVGSSVSEVAVPLIPRSATLCDYLKVSPGSGIELGPNGTKSALSYWHGLRDGCVGLLESRLRWGGPLVVKQLCTSNIPLLLVSLLAKNQQNVSPQEVDSTNDQVGLSPIGVVWTISSICHCLSGGALTFRQILLRSDHIKLISDLISDMHLKLVKSWVGPGGGKDGVRDIINAVIDLLAFPFVAVQNAPGLLSATASVNSGALLNMGSPGVRVGMEDRDMVKVIEEDLGKYIKNLLEVGVPGIILRCLDNLELKDIGRPVAFLAKMIGHRPLAVQLVGKGLLDPTRMRRLLDCSSPREVMLDVLMIVSDLARMDKGFYEYINGASVLEFFKEFLTHEDPNVRSKTCSALGNMCRHSSYFYSALAKHQIIGLLIDRCSDPDKRTRKFACFAIGNAAYHNDMLYDELRRSIPHLANLLLSTEEDKTKANAAGALSNLVRNSNKLCEDIVSKGAMQSLLKLVADCSVVALNPGRKDSVNESPLKIALFSLAKMCSHPPCKQFLRSSELFSVIGRLRQSPESTIANYASVIITKVADS >ONI25644 pep chromosome:Prunus_persica_NCBIv2:G2:29510819:29514021:1 gene:PRUPE_2G312800 transcript:ONI25644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGTMTTSMPVPRVASNFDEVSMHQSLLFSDSLKDLKNLRTQLYSAAEYFELSYTNDDQKHIVVETLKDYAIKALVNTVDHLGSVTYKVNDFFDEKVDEVSGTEFRVSCIEQRLRTCQEYIDHEGLSQQSSVIDTPKYHKRYILPVGETMRGANKTKSKYEGCNLDDEDEWHQFRNAVRATIRETPPPTVSKGRSPSPSPQPSQRPGVFSFTSTMPKKELEKRTVSPHRFPLLRSGSLASRPTTPNKSQSTTPNSSRPTTPNPSNARRRYPSEPRKSASMRLHAERENGREVEQYPSKSKRLLKALLSRRKSKKDDMLYTYLDEY >ONI25645 pep chromosome:Prunus_persica_NCBIv2:G2:29508966:29514020:1 gene:PRUPE_2G312800 transcript:ONI25645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGTMTTSMPVPRVASNFDEVSMHQSLLFSDSLKDLKNLRTQLYSAAEYFELSYTNDDQKHIVVETLKDYAIKALVNTVDHLGSVTYKVNDFFDEKVDEVSGTEFRVSCIEQRLRTCQEYIDHEGLSQQSSVIDTPKYHKRYILPVGETMRGANKTKSKYEGCNLDDEDEWHQFRNAVRATIRETPPPTVSKGRSPSPSPQPSQRPGVFSFTSTMPKKELEKRTVSPHRFPLLRSGSLASRPTTPNKSQSTTPNSSRPTTPNPSNARRRYPSEPRKSASMRLHAERENGREVEQYPSKSKRLLKALLSRRKSKKDDMLYTYLDEY >ONI25646 pep chromosome:Prunus_persica_NCBIv2:G2:29508834:29514082:1 gene:PRUPE_2G312800 transcript:ONI25646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGTMTTSMPVPRVASNFDEVSMHQSLLFSDSLKDLKNLRTQLYSAAEYFELSYTNDDQKHIVVETLKDYAIKALVNTVDHLGSVTYKVNDFFDEKVDEVSGTEFRVSCIEQRLRTCQEYIDHEGLSQQSSVIDTPKYHKRYILPVGETMRGANKTKSKYEGCNLDDEDEWHQFRNAVRATIRETPPPTVSKGRSPSPSPQPSQRPGVFSFTSTMPKKELEKRTVSPHRFPLLRSGSLASRPTTPNKSQSTTPNSSRPTTPNPSNARRRYPSEPRKSASMRLHAERENGREVEQYPSKSKRLLKALLSRRKSKKDDMLYTYLDEY >ONI21201 pep chromosome:Prunus_persica_NCBIv2:G2:6117335:6119448:1 gene:PRUPE_2G052800 transcript:ONI21201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEEEEEEDLVRGTFTWRINNFSTLNNLAHYSDVFVICGYKWRIIIYPKGNNVDYLSLYLDVADASTLRSGWTRYAKFSLTVVNQLDSKKSITRDLEHEFAANDSNWGIKSFILLSELRDHNKGYLVNDLCVVEVKVSVRNGIKILEDQETGELIDFRGLGRVEKTFVPFLEEVCSSYPSLLECHKKRSRPFIQCAYTALGRLLRFLKTTKAKDMTRDACKRLQLLWEELETFKFDLAWLEPHVQLVLVMKKRAGRVDRLREDVEILENEIKRRRDVLATAEVDLEAAKRDLAKAEEEFKKIDMDTELGYPLP >ONI20265 pep chromosome:Prunus_persica_NCBIv2:G2:513145:518559:-1 gene:PRUPE_2G005300 transcript:ONI20265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSQLQKLNHAPSFSLLPKSSIHTNDLIRHGSPSLINILPRHPVRQNDHNKKKSSSCVVIRASSGELGSSAAVSTVTTTITSIENKAVSVKAVVTVQAGGFLSNILTRPLDEITDLLGKTLLLELVSAELDSKTGLEKDRIKGYAHKASHNDDDVIYESNFTIPAGFGEVGAIEVENEHHKEIFIKTIDLQGFPNGSVNVPCNSWVHAKFDNPQKRIFFTNKSYIPSETPNGLKRLRELELENLRGNGEGERKTSDRIYDYDTYNDLGDPDSKEELARPVLGSKEHPYPRRCRTGRPRTKKDPLSETRSSSVYVPRDEAFAEVKELTFSAKTLKSVLHALLPSLETALLNPELGFPYFTAIDSLFNEGVTLPKPKTSGFFQTIIPRLVKTITDGGDDLLLFETPEIIDRDKFAWFRDEEFSRQTLAGLNPYSIELVTEWPLKSKLDPEIYGPPESLITTELVEKEIKGCMTVDEALKRKKMFILDYHDLYMPYVNKVREIEGTTLYGSRTLLFLTEDGTLRPVAIELTRPPVGDKPQWKQVFTPTWDATGCWLWRLAKAHVCAHDAGYHQLVIHWLRTHCATEPYIIAANRQLSAMHPIYRLLHPHFRYTMEINALARESLINAGGIIEGCFSPEKYSIELSSAAYDQLWRFDMEALPADLIRRGMAVEDPTAEHGLKLTIEDYPFANDGLILWDAIKEWVGDYVNHYYPDPTLVESDTELQGWWTEVRTKGHADKKDEPWWPVLKTPENLIHILTTIIWVTAGHHAAVNFGQYMYAGYFPNKPTIARTNMPTEDPSEEFFKNFLKKPEMALLMCFPSQIQATKVMAVLDVLSNHSPDEEYLGEKLESSWAENPVINAAFERFNGNLKRLEGIIDERNTNLKLKNRVGAGVVPYELLKPFSAPGVTGMGVPNSISI >ONI20266 pep chromosome:Prunus_persica_NCBIv2:G2:514346:518333:-1 gene:PRUPE_2G005300 transcript:ONI20266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSQLQKLNHAPSFSLLPKSSIHTNDLIRHGSPSLINILPRHPVRQNDHNKKKSSSCVVIRASSGELGSSAAVSTVTTTITSIENKAVSVKAVVTVQAGGFLSNILTRPLDEITDLLGKTLLLELVSAELDSKTGLEKDRIKGYAHKASHNDDDVIYESNFTIPAGFGEVGAIEVENEHHKEIFIKTIDLQGFPNGSVNVPCNSWVHAKFDNPQKRIFFTNKSYIPSETPNGLKRLRELELENLRGNGEGERKTSDRIYDYDTYNDLGDPDSKEELARPVLGSKEHPYPRRCRTGRPRTKKDPLSETRSSSVYVPRDEAFAEVKELTFSAKTLKSVLHALLPSLETALLNPELGFPYFTAIDSLFNEGVTLPKPKTSGFFQTIIPRLVKTITDGGDDLLLFETPEIIDRDKFAWFRDEEFSRQTLAGLNPYSIELVTEWPLKSKLDPEIYGPPESLITTELVEKEIKGCMTVDEALKRKKMFILDYHDLYMPYVNKVREIEGTTLYGSRTLLFLTEDGTLRPVAIELTRPPVGDKPQWKQVFTPTWDATGCWLWRLAKAHVCAHDAGYHQLVIHWLRTHCATEPYIIAANRQLSAMHPIYRLLHPHFRYTMEINALARESLINAGGIIEGCFSPEKYSIELSSAAYDQLWRFDMEALPADLIRRYFT >ONI23467 pep chromosome:Prunus_persica_NCBIv2:G2:22958956:22964553:1 gene:PRUPE_2G190300 transcript:ONI23467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNAENLQFLSQCFLHTLSPAPEPRRRAEASLSEVSQQANYGLAVLRLVAEPTVDDQIRQAASVNFKNHLKARWAPDSSSDDEHTITEAEKEQIKALIVSLMLSAAPKIQGQLSEALVLIGKHDFPKRWPALLPELISRLQNASSAGDYAAINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDHFAAPLLEIFIKTANLIESANSGGGSVVVLKLLFESQRLCCRIFYSLNFQDLPEFFEDHMNEWMSEMQKYLTTNYPALESSADGLAVVDELRAAVCENINLYMEQNEEEFQNFLNGFALSVWNLLSNVSQVSSRDHLAVTAIKFLTTVSTSVHHNLFAGEGVIPQICQGIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYKPQVTNLVSVQIQNLLSSFAANPVGNWKDKDCAIYLVVSLAIKKAGGTSVSTDLVDVQNFFLTVIVPELQSQDVNGFPMLKAGALKFFTMFRNHIPKPMALQFFPDLIRFLRAESNVVHSYAASCIEKLLLVKDEGGRARYTSADVSPVLPQLMTNLFEALKVPESEENQYVMKCIMRVLGVADISREIADPCITGLILILNKACENPKNPVFNHYIFESLAVLLKRACGKDASLITIFERSLFPSLQKILGEDVTEFFPYAFQLLAQLVELNRPPISSAYIQIFEILLTPDLWRKASNVPALVRLLQAFLHKVPHELNQEGRLTQVLGISYKLVSARNTDEQGFYVLNTIIESLDYSVIAPYVGQIWSALFTVLQDKQTGRFIKSLLIYMSLFLVKHGTKNLADTMNAIQANIFQVILVQFWISNLKLITGVIETKLTAVASTRLLCESPALLDAAAVEHWGKMLDSIVTLLSRPEQDRVEEEPEMPDIAENVGYSATFVRLHNAGKTEDDPLKDIRDPKEFLVTSLARLSALSPGRYPQIINQYLDQTNQAELLRLCSSYNCTIV >ONI23466 pep chromosome:Prunus_persica_NCBIv2:G2:22958956:22964553:1 gene:PRUPE_2G190300 transcript:ONI23466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNAENLQFLSQCFLHTLSPAPEPRRRAEASLSEVSQQANYGLAVLRLVAEPTVDDQIRQAASVNFKNHLKARWAPDSSSDDEHTITEAEKEQIKALIVSLMLSAAPKIQGQLSEALVLIGKHDFPKRWPALLPELISRLQNASSAGDYAAINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDHFAAPLLEIFIKTANLIESANSGGGSVVVLKLLFESQRLCCRIFYSLNFQDLPEFFEDHMNEWMSEMQKYLTTNYPALESSADGLAVVDELRAAVCENINLYMEQNEEEFQNFLNGFALSVWNLLSNVSQVSSRDHLAVTAIKFLTTVSTSVHHNLFAGEGVIPQICQGIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYKPQVTNLVSVQIQNLLSSFAANPVGNWKDKDCAIYLVVSLAIKKAGGTSVSTDLVDVQNFFLTVIVPELQSQDVNGFPMLKAGALKFFTMFRNHIPKPMALQFFPDLIRFLRAESNVVHSYAASCIEKLLLVKDEGGRARYTSADVSPVLPQLMTNLFEALKVPESEENQYVMKCIMRVLGVADISREIADPCITGLILILNKACENPKNPVFNHYIFESLAVLLKRACGKDASLITIFERSLFPSLQKILGEDVTEFFPYAFQLLAQLVELNRPPISSAYIQIFEILLTPDLWRKASNVPALVRLLQAFLHKVPHELNQEGRLTQVLGISYKLVSARNTDEQGFYVLNTIIESLDYSVIAPYVGQIWSALFTVLQDKQTGRFIKSLLIYMSLFLVKHGTKNLADTMNAIQANIFQVILVQFWISNLKLITGVIETKLTAVASTRLLCESPALLDAAAVEHWGKMLDSIVTLLSRPEQDRVEEEPEMPDIAENVGYSATFVRLHNAGKTEDDPLKDIRDPKEFLVTSLARLSALSPGRYPQIINQYLDQTNQAELLRLCSSYNCTIV >ONI23468 pep chromosome:Prunus_persica_NCBIv2:G2:22958956:22964553:1 gene:PRUPE_2G190300 transcript:ONI23468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNAENLQFLSQCFLHTLSPAPEPRRRAEASLSEVSQQANYGLAVLRLVAEPTVDDQIRQAASVNFKNHLKARWAPDSSSDDEHTITEAEKEQIKALIVSLMLSAAPKIQGQLSEALVLIGKHDFPKRWPALLPELISRLQNASSAGDYAAINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDHFAAPLLEIFIKTANLIESANSGGGSVVVLKLLFESQRLCCRIFYSLNFQDLPEFFEDHMNEWMSEMQKYLTTNYPALESSADGLAVVDELRAAVCENINLYMEQNEEEFQNFLNGFALSVWNLLSNVSQVSSRDHLAVTAIKFLTTVSTSVHHNLFAGEGVIPQICQGIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYKPQVTNLVSVQIQNLLSSFAANPVGNWKDKDCAIYLVVSLAIKKAGGTSVSTDLVDVQNFFLTVIVPELQSQDVNGFPMLKAGALKFFTMFRNHIPKPMALQFFPDLIRFLRAESNVVHSYAASCIEKLLLVKDEGGRARYTSADVSPVLPQLMTNLFEALKVPESEENQYVMKCIMRVLGVADISREIADPCITGLILILNKACENPKNPVFNHYIFESLAVLLKRACGKDASLITIFERSLFPSLQKILGEDVTEFFPYAFQLLAQLVELNRPPISSAYIQIFEILLTPDLWRKASNVPALVRLLQAFLHKVPHELNQEGRLTQVLGISYKLVSARNTDEQGFYVLNTIIESLDYSVIAPYVGQIWSALFTVLQDKQTGRFIKSLLIYMSLFLVKHGTKNLADTMNAIQANIFQVILVQFWISNLKLITGVIETKLTAVASTRLLCESPALLDAAAVEHWGKMLDSIVTLLSRPEQDRVEEEPEMPDIAENVGYSATFVRLHNAGKTEDDPLKDIRDPKEFLVTSLARLSALSPGRYPQIINQYLDQTNQAELLRLCSSYNCTIV >ONI23469 pep chromosome:Prunus_persica_NCBIv2:G2:22958956:22964553:1 gene:PRUPE_2G190300 transcript:ONI23469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNAENLQFLSQCFLHTLSPAPEPRRRAEASLSEVSQQANYGLAVLRLVAEPTVDDQIRQAASVNFKNHLKARWAPDSSSDDEHTITEAEKEQIKALIVSLMLSAAPKIQGQLSEALVLIGKHDFPKRWPALLPELISRLQNASSAGDYAAINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDHFAAPLLEIFIKTANLIESANSGGGSVVVLKLLFESQRLCCRIFYSLNFQDLPEFFEDHMNEWMSEMQKYLTTNYPALESSADGLAVVDELRAAVCENINLYMEQNEEEFQNFLNGFALSVWNLLSNVSQVSSRDHLAVTAIKFLTTVSTSVHHNLFAGEGVIPQICQGIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYKPQVTNLVSVQIQNLLSSFAANPVGNWKDKDCAIYLVVSLAIKKAGGTSVSTDLVDVQNFFLTVIVPELQSQDVNGFPMLKAGALKFFTMFRNHIPKPMALQFFPDLIRFLRAESNVVHSYAASCIEKLLLVKDEGGRARYTSADVSPVLPQLMTNLFEALKVPESEENQYVMKCIMRVLGVADISREIADPCITGLILILNKACENPKNPVFNHYIFESLAVLLKRACGKDASLITIFERSLFPSLQKILGEDVTEFFPYAFQLLAQLVELNRPPISSAYIQIFEILLTPDLWRKASNVPALVRLLQAFLHKVPHELNQEGRLTQVLGISYKLVSARNTDEQGFYVLNTIIESLDYSVIAPYVGQIWSALFTVLQDKQTGRFIKSLLIYMSLFLVKHGTKNLADTMNAIQANIFQVILVQFWISNLKLITGVIETKLTAVASTRLLCESPALLDAAAVEHWGKMLDSIVTLLSRPEQDRVEEEPEMPDIAENVGYSATFVRLHNAGKTEDDPLKDIRDPKEFLVTSLARLSALSPGRYPQIINQYLDQTNQAELLRLCSSYNCTIV >ONI22413 pep chromosome:Prunus_persica_NCBIv2:G2:18486516:18488784:1 gene:PRUPE_2G127500 transcript:ONI22413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPSPYRKPHFPYSELNSAIHPNPIKQGKSYTMHFLFKALFFALVIMIIPLFPSQAPDFINHTILTKFWELIHLVFIGIAVSYGLFSRRNVERGFENPSNLGSSESYMPRIFPVSSNFDDGYENPCGSDEKRVVGLGSWNSQYFVGNPVTVSSHESTGFDAQCKPSLPVHERGSENSYGYKENNLTQAWSSQYFHGEPMVFVAQPNYGFDEWGKPRSIVDSEPLGLPIRSLKSRVIDQDSSEFVTGSESGSSSNFSPNSSDKSRNGKFGDLGPLNLEEEFNEATAAPFPVHRGSSSGRMEMGKRVGSSSRPSHFRPLSVDETQFESMKTRSFRSTLSFSSESSQTSSMSSSPKEESFARSISSEALNSKMNNLKKRKSSQGSSPSGLPSSPPKPITEKVSMSTLHSRGYSIGSFHEEDLRRSSENYFKGLSGSGSEEDQLGNKELGPASLRSDVKPASLTKASLRGRSVRTIRPSRLTTDDKVEKMCDNGGAISMRKDIIQNGGTDKKFFDNVTGKLDLGNSLHMPKPTIPKYQKKEMQEFHGNVVAEESEDDSESEAENFLVSSEDEDADPPAAAAATCNSVNVAGPDSEVDKKAGEFIAKFREQIRLQKVASLDRSKGLGTSGNCFR >ONI22403 pep chromosome:Prunus_persica_NCBIv2:G2:18454394:18459007:1 gene:PRUPE_2G127000 transcript:ONI22403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKAADPQTSSSNASRYCRYHVFLSFRGQDTRKTFTDHLYTALVSAGFHTFRDDDEVERGEGIKPELQKAIKHSRTSVIVFSKDYVSSQWCLDELVMILERKRRTSDDHVVLPVFYDVDPSHVRKQTGSLAKAFARHQKSQPLQKVKAWREALAEVADLAGMVLQNQANGYESKFIKKIVKVIGDKLSRTPLSVAPNLVGMHSKVERINFWLQRRSTDVGILVIYGMSGIGKTTIAKTVYNSNFRIFEGSSFLENIKEVSQQPNGLVQIQTQLLSDILNGTKMKISNVSEGLIKVEDAISSKRVLLVLDDVDHMDQLDAVLRMKDRFYPGSKIIITTRHARLLRAHQVTEVYAVETLTQEESLELFSWHAFGQDHPIEDYIEYSEKLVDHCGGLPLALKVLGSSLLGGSICLWKSALEKLEAIPNGEIINKLRVSYDSLQDDHDRELFLHIACFFVGMDKDNIVKILDGCDFYTIVGIQNLVDRCLVTIIDGWDKVHMHDLIRGMGREIVRLESKEPWKRSRVWHHKDSFKILTKKNGTETIEGLALDMHMCPTNSPINSNEKVLETNAFSRMHELKLLHLSHVKLNGCYAEFCTGLRWLCWLEFPLDSIPTDFPVGNLIVLEMQYSGLRQIYEGTKCLWSLKILDLSHSHSFTETIDFSYCPNLEKLVLVDCTSLIYVHGSIGNLERLIYLNMKDCKALRMLPKNICVLKSLETFIISGCSNLNGLSIEMLRNMDSLKVLETDRISISELWLERSSSILGSLPCSLVELSLWGCNLSDDAFPMDFSHLSSLQRLNLGNNPIGCLPNCIKGLTRLHELSFYKCTSLKSLLRLPKLSDLDITNCISLEKITYQYPRLAWEGCTKFGCNYNLVEWEYRYKLQPIGRVDVEMINLLGLCNLLESMAPIRMYMYTSHGNLQEDDPIPVQGLYERGIFSTFFGGNEVPGQFSHKSRGSSISFTVPLLDNHRT >ONI22405 pep chromosome:Prunus_persica_NCBIv2:G2:18454394:18456791:1 gene:PRUPE_2G127000 transcript:ONI22405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKAADPQTSSSNASRYCRYHVFLSFRGQDTRKTFTDHLYTALVSAGFHTFRDDDEVERGEGIKPELQKAIKHSRTSVIVFSKDYVSSQWCLDELVMILERKRRTSDDHVVLPVFYDVDPSHVRKQTGSLAKAFARHQKSQPLQKVKAWREALAEVADLAGMVLQNQANGYESKFIKKIVKVIGDKLSRTPLSVAPNLVGMHSKVERINFWLQRRSTDVGILVIYGMSGIGKTTIAKTVYNSNFRIFEGSSFLENIKEVSQQPNGLVQIQTQLLSDILNGTKMKISNVSEGLIKVEDAISSKRVLLVLDDVDHMDQLDAVLRMKDRFYPGSKIIITTRHARLLRAHQVTEVYAVETLTQEESLELFSWHAFGQDHPIEDYIEYSEKLVDHCGGLPLALKVLGSSLLGGSICLWKSALEKLEAIPNGEIINKLRVSYDSLQDDHDRELFLHIACFFVGMDKDNIVKILDGCDFYTIVGIQNLVDRCLVTIIDGWDKVHMHDLIRGMGREIVRLESKEPWKRSRVWHHKDSFKILTKKNGTETIEGLALDMHMCPTNSPINSNEKVLETNAFSRMHELKLLHLSHVKLNGCYAEFCTGLRWLCWLEFPLDSIPTDFPVGNLIVLEMQYSGLRQIYEGTKVCYYMHFNFCSFH >ONI22402 pep chromosome:Prunus_persica_NCBIv2:G2:18454239:18459007:1 gene:PRUPE_2G127000 transcript:ONI22402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKAADPQTSSSNASRYCRYHVFLSFRGQDTRKTFTDHLYTALVSAGFHTFRDDDEVERGEGIKPELQKAIKHSRTSVIVFSKDYVSSQWCLDELVMILERKRRTSDDHVVLPVFYDVDPSHVRKQTGSLAKAFARHQKSQPLQKVKAWREALAEVADLAGMVLQNQANGYESKFIKKIVKVIGDKLSRTPLSVAPNLVGMHSKVERINFWLQRRSTDVGILVIYGMSGIGKTTIAKTVYNSNFRIFEGSSFLENIKEVSQQPNGLVQIQTQLLSDILNGTKMKISNVSEGLIKVEDAISSKRVLLVLDDVDHMDQLDAVLRMKDRFYPGSKIIITTRHARLLRAHQVTEVYAVETLTQEESLELFSWHAFGQDHPIEDYIEYSEKLVDHCGGLPLALKVLGSSLLGGSICLWKSALEKLEAIPNGEIINKLRVSYDSLQDDHDRELFLHIACFFVGMDKDNIVKILDGCDFYTIVGIQNLVDRCLVTIIDGWDKVHMHDLIRGMGREIVRLESKEPWKRSRVWHHKDSFKILTKKNGTETIEGLALDMHMCPTNSPINSNEKVLETNAFSRMHELKLLHLSHVKLNGCYAEFCTGLRWLCWLEFPLDSIPTDFPVGNLIVLEMQYSGLRQIYEGTKCLWSLKILDLSHSHSFTETIDFSYCPNLEKLVLVDCTSLIYVHGSIGNLERLIYLNMKDCKALRMLPKNICVLKSLETFIISGCSNLNGLSIEMLRNMDSLKVLETDRISISELWLERSSSILGSLPCSLVELSLWGCNLSDDAFPMDFSHLSSLQRLNLGNNPIGCLPNCIKGLTRLHELSFYKCTSLKSLLRLPKLSDLDITNCISLEKITYQYPRLAWEGCTKFGCNYNLVEWEYRYKLQPIGRVDVEMINLLGLCNLLESMAPIRMYMYTSHGNLQEDDPIPVQGLYERGIFSTFFGGNEVPGQFSHKSRGSSISFTVPLLDNHRT >ONI22404 pep chromosome:Prunus_persica_NCBIv2:G2:18454239:18459007:1 gene:PRUPE_2G127000 transcript:ONI22404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKAADPQTSSSNASRYCRYHVFLSFRGQDTRKTFTDHLYTALVSAGFHTFRDDDEVERGEGIKPELQKAIKHSRTSVIVFSKDYVSSQWCLDELVMILERKRRTSDDHVVLPVFYDVDPSHVRKQTGSLAKAFARHQKSQPLQKVKAWREALAEVADLAGMVLQNQANGSTDVGILVIYGMSGIGKTTIAKTVYNSNFRIFEGSSFLENIKEVSQQPNGLVQIQTQLLSDILNGTKMKISNVSEGLIKVEDAISSKRVLLVLDDVDHMDQLDAVLRMKDRFYPGSKIIITTRHARLLRAHQVTEVYAVETLTQEESLELFSWHAFGQDHPIEDYIEYSEKLVDHCGGLPLALKVLGSSLLGGSICLWKSALEKLEAIPNGEIINKLRVSYDSLQDDHDRELFLHIACFFVGMDKDNIVKILDGCDFYTIVGIQNLVDRCLVTIIDGWDKVHMHDLIRGMGREIVRLESKEPWKRSRVWHHKDSFKILTKKNGTETIEGLALDMHMCPTNSPINSNEKVLETNAFSRMHELKLLHLSHVKLNGCYAEFCTGLRWLCWLEFPLDSIPTDFPVGNLIVLEMQYSGLRQIYEGTKCLWSLKILDLSHSHSFTETIDFSYCPNLEKLVLVDCTSLIYVHGSIGNLERLIYLNMKDCKALRMLPKNICVLKSLETFIISGCSNLNGLSIEMLRNMDSLKVLETDRISISELWLERSSSILGSLPCSLVELSLWGCNLSDDAFPMDFSHLSSLQRLNLGNNPIGCLPNCIKGLTRLHELSFYKCTSLKSLLRLPKLSDLDITNCISLEKITYQYPRLAWEGCTKFGCNYNLVEWEYRYKLQPIGRVDVEMINLLGLCNLLESMAPIRMYMYTSHGNLQEDDPIPVQGLYERGIFSTFFGGNEVPGQFSHKSRGSSISFTVPLLDNHRT >ONI23536 pep chromosome:Prunus_persica_NCBIv2:G2:23189013:23196832:-1 gene:PRUPE_2G193400 transcript:ONI23536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVDLVSSAVSAPASEVISQTVEAIFEIVAAANDVLVKKDTFKELASYVVRVVPILRELNKKTVVHSESLNNVMEILYREIRAAKQLTHECSKRNKVYLLMNCRNIVKRLEDIMREISRALSLLPLTSLDLSSGIIEEIEKLCDNMQRAEFRAAIAEEEILDKIDSGIQERNMDRSYANNLLVLIAEAVGISTERSVLKKELEEFRSEIENARLRKDQAEAIQMEQIIALLERADAASSPREKEMKYIIKRKSLGGQPLEPLQSFICPITREVMVDPVETSSGQTFERSAIEKWFADGNTSCPLTMTSLDTSILRPNKTLRQSIEEWKDRNTMIMIASLKSKLQSEEDEEVLHCLGELLDLCKERDLHKEWVILENYIPILIQLLGVKNPEIRNHALVNLCILVKDSDDAKERINKADNGIESIVRSLGRRVEERKLAVALLLELSKSNPIREQIGKVQGSILLLVTMSNSDDNRAAKDARELLENLSFSDQNVIQMAKANYFTHLLQRLSAGPEDVKMAMASNLAEMELTDHNKESLIEGGVLCPLLYLVSHGDIPIKTVAVKALRNLSSLPKNGLQMIREGAERPLLDLLFNLSSSLSSLREYLAATIMHLAMSVSLESSQTPVSFLESDEDILKLFSLINLMGPNVQKSIIRTFHTLCQSPSAISIKTKLIQSSAIQVLVQLCENDDLNLRANAVKLFSCLVEGGSESTPILEHVNQKCIETILKIIKVSDDEEEIASAMGIISNLPEIPKITQWLVDAGALPAVFSFLQNGKQNGPHKNQLIENAVGAICRFTVSTNLEWQKSAAEAGIIPLFVQLLESGTSLTKKRAAISLSRFSESSPLLSRSLPNRKGFCCFSAPPETGCPVHGGICSIVSSFCLVEADAVGPLVRILGEPDPGACEASLDALLTLIEGERLQTGSKVLTDANAIPPIIKFLVQPYPSLQEKALHALERMFRLLEFKQKFGSLAQMPLVDLTQRGSGSVKSMAARILAHLNVLHDQSSYF >ONI25320 pep chromosome:Prunus_persica_NCBIv2:G2:28689833:28694255:-1 gene:PRUPE_2G296000 transcript:ONI25320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKRERMATTTTNANALLVAIILALLMCSPRCIYSAERRLLVNMTLIRNAPALGAFCLDGSLPAYHLHRGFGAGARNWLLQFEGGGWCNDIESCLERAKSRRGSTRYMTKWEVFSGILSNNASLNPDFYNWNRVKLRYCDGASFAGDAVFKNGTSLLYFRGQKIWEAIILDLLPKGLGQARKALLSGCSAGGLASFLHCNNFTKYLPSNASVKCLSDAGFFLDERDITSNHTMRYFIKDVVSLQGVEKNLDENCTASSLDFPELCFFPQYALKFITTPFFILNSAYDVYQFHHILVPSSADPHGHWNRCKLNPAACNPEQLNTLQEFRRDMIVAMGLFYKYSRRGGLFINSCFAHCQSESQDTWFSADSPRVHDKTIAEAVGDWYFSRRITKEIDCPYPCDTTCHNLIP >ONI25321 pep chromosome:Prunus_persica_NCBIv2:G2:28690185:28693881:-1 gene:PRUPE_2G296000 transcript:ONI25321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKRERMATTTTNANALLVAIILALLMCSPRCIYSAERRLLVNMTLIRNAPALGAFCLDGSLPAYHLHRGFGAGARNWLLQFEGGGWCNDIESCLERAKSRRGSTRYMTKWEVFSGILSNNASLNPDFYNWNRVKLRYCDGASFAGDAVFKNGTSLLYFRGQKIWEAIILDLLPKGLGQARKALLSGCSAGGLASFLHCNNFTKYLPSNASVKCLSDAGFFLDERDITSNHTMRYFIKDVVSLQYAWQGVEKNLDENCTASSLDFPELCFFPQYALKFITTPFFILNSAYDVYQFHHILVPSSADPHGHWNRCKLNPAACNPEQLNTLQEFRRDMIVAMGLFYKYSRRGGLFINSCFAHCQSESQDTWFSADSPRVHDKTIAEAVGDWYFSRRITKEIDCPYPCDTTCHNLIP >ONI20334 pep chromosome:Prunus_persica_NCBIv2:G2:927942:931352:-1 gene:PRUPE_2G009900 transcript:ONI20334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIVPAKLCLKHEYIKLYRPASPIRSCANNRLASDIICPPSRPNPRSCRAKHIHSERERERASDWDAVNLLHCTLVATEPTSSEGLLKRGIGIGIGIAKSALQCPKSRSEAKDRISQIEYVFCSQLYPYFQSKSKSFQKFEDEWKDKENDLFRQIDTLRAEKQQTLEENRSLKLDKENPSKNQEAKMNQLLAELKSVQLKGNELEQMLKQKSMEVDEGMELQSKLLVVIQSKDSVIVDKEKQLKENEEGRNVLLAKLSDLEKRVDELQEELGEKIDLVTKGNELKENLFRKIEYQDAEIMNKEKLLDDQEEEKKLILAKLKLLEENVGQLQKDLLTKNDEVEGCVKEKKLLLVKVTGLEEKVNELQEDLRDRVSELTKRRDSGEKLHKQIESMNLNLLAERKKYTDLTVAYRRLKSQHNYLRTKHGLTRENMLPQNKLEDGSDSLRNDHNPSTSHGFVDKNQHASAAAGYVKKVKNEISCNNNLEDEKGGKLIQETSPHSPTSIFPVAPKCPPTAKSAPVAGRKRPAACWIDTRSRQGQGGPDPHDDFLDTPLENIRGNLNKATMEQVPDLPVPVPNDMNLDSSDDETQDVNAVIRPQKQQIPVPVAGKNGFKFVEPVRKKAERENLKGVECKQCKKFYDAVLPNDGGGKDIDKNKHNFRCEHHEGVSRHRYRYAPPLTPEGFWNIGFESEM >ONI20335 pep chromosome:Prunus_persica_NCBIv2:G2:928384:930927:-1 gene:PRUPE_2G009900 transcript:ONI20335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVQIEMGCDPFDSELDDVDYVSGLSTLLVATIQEAKDRISQIEYVFCSQLYPYFQSKSKSFQKFEDEWKDKENDLFRQIDTLRAEKQQTLEENRSLKLDKENPSKNQEAKMNQLLAELKSVQLKGNELEQMLKQKSMEVDEGMELQSKLLVVIQSKDSVIVDKEKQLKENEEGRNVLLAKLSDLEKRVDELQEELGEKIDLVTKGNELKENLFRKIEYQDAEIMNKEKLLDDQEEEKKLILAKLKLLEENVGQLQKDLLTKNDEVEGCVKEKKLLLVKVTGLEEKVNELQEDLRDRVSELTKRRDSGEKLHKQIESMNLNLLAERKKYTDLTVAYRRLKSQHNYLRTKHGLTRENMLPQNKLEDGSDSLRNDHNPSTSHGFVDKNQHASAAAGYVKKVKNEISCNNNLEDEKGGKLIQETSPHSPTSIFPVAPKCPPTAKSAPVAGRKRPAACWIDTRSRQGQGGPDPHDDFLDTPLENIRGNLNKATMEQVPDLPVPVPNDMNLDSSDDETQDVNAVIRPQKQQIPVPVAGKNGFKFVEPVRKKAERENLKGVECKQCKKFYDAVLPNDGGGKDIDKNKHNFRCEHHEGVSRHRYRYAPPLTPEGFWNIGFESEM >ONI20336 pep chromosome:Prunus_persica_NCBIv2:G2:927942:931351:-1 gene:PRUPE_2G009900 transcript:ONI20336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVQIEMGCDPFDSELDDVDYVSGLSTLLVATIQEAKDRISQIEYVFCSQLYPYFQSKSKSFQKFEDEWKDKENDLFRQIDTLRAEKQQTLEENRSLKLDKENPSKNQEAKMNQLLAELKSVQLKGNELEQMLKQKSMEVDEGMELQSKLLVVIQSKDSVIVDKEKQLKENEEGRNVLLAKLSDLEKRVDELQEELGEKIDLVTKGNELKENLFRKIEYQDAEIMNKEKLLDDQEEEKKLILAKLKLLEENVGQLQKDLLTKNDEVEGCVKEKKLLLVKVTGLEEKVNELQEDLRDRVSELTKRRDSGEKLHKQIESMNLNLLAERKKYTDLTVAYRRLKSQHNYLRTKHGLTRENMLPQNKLEDGSDSLRNDHNPSTSHGFVDKNQHASAAAGYVKKVKNEISCNNNLEDEKGGKLIQETSPHSPTSIFPVAPKCPPTAKSAPVAGRKRPAACWIDTRSRQGQGGPDPHDDFLDTPLENIRGNLNKATMEQVPDLPVPVPNDMNLDSSDDETQDVNAVIRPQKQQIPVPVAGKNGFKFVEPVRKKAERENLKGVECKQCKKFYDAVLPNDGGGKDIDKNKHNFRCEHHEGVSRHRYRYAPPLTPEGFWNIGFESEM >ONI20312 pep chromosome:Prunus_persica_NCBIv2:G2:767237:769706:1 gene:PRUPE_2G008700 transcript:ONI20312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFHDINSAVGLKKLDDYLLARSYITGFEASKDDLIVHAALSKPPPSEFVNVSRWYNHITALLRISGVSGQGSGVIVEGSAPITEEAVAKPPVADTKASAAEDDDDDVDLFGEETEEEKKAAEERAASIKASTKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVHIEGLHWGASKLVAVGYGIKKLQIMLTIVDDLVSVDTLIEEQLTVEPINEYVQSCDIVAFNKI >ONI22283 pep chromosome:Prunus_persica_NCBIv2:G2:17666417:17669913:-1 gene:PRUPE_2G118600 transcript:ONI22283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINFVVIFGLLGNIMSGLVYVSPANVFVRILRRRSTEEFESVPYVSKLLNAYFWVYYGLIKPNSVLVATVNIFGAVVEIVFLTIFLLFAPPRMKARTAMLVVALNVAFPAAAILLTQFLLHGDQRIDAAGLLCSVFSMIAYASPLSAMKTVVALKSVEYMPFLLSFILFLNGGIWTLYAILAKDLFVGIPNGTGFLFGTAQLILYAIYWKPSKPPKQVSDDLEDQQHIREALIPASKPIIAE >ONI22284 pep chromosome:Prunus_persica_NCBIv2:G2:17668090:17669913:-1 gene:PRUPE_2G118600 transcript:ONI22284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINFVVIFGLLGNIMSGLVYVSPANVFVRILRRRSTEEFESVPYVSKLLNAYFWVYYGLIKPNSVLVATVNIFGAVVEIVFLTIFLLFAPPRMKARTAMLVVALNVAFPAAAILLTQFLLHGDQRIDAAGLLCSVFSMIAYASPLSAMKTVVALKSVEYMPFLLSFILFLNGGIWTLYAILAKDLFVGIPNGTGFLFGTAQLILYAIYWKPSKPPKQVSDDLEDQQHIREALIPASKPIIAE >ONI25725 pep chromosome:Prunus_persica_NCBIv2:G2:29691825:29693850:1 gene:PRUPE_2G316700 transcript:ONI25725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSDQASFPSIHCFHLICQHSSVLDYFRQYWYSDLLRVADPSISRCAMCNIVQCAMCETKDRTKKEEDDFYFH >ONI24074 pep chromosome:Prunus_persica_NCBIv2:G2:24988628:24989497:1 gene:PRUPE_2G222300 transcript:ONI24074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTGEENVARFSFHNFNSLADDPNSNTVTENPAPPEDLQKSCLKWNFIFITSCVFAVLLDPLFLYIPILNDDIKCLKLDNNLKITALILRSVTDLFYIANIIFQVCRFENCSPSIKRFLPESCSSNLITSLIKSFRELLPEVSNEVPKPSITKEIRESSIIVDILAILPLPQVRTKAFALI >ONI25805 pep chromosome:Prunus_persica_NCBIv2:G2:29869138:29871084:1 gene:PRUPE_2G321000 transcript:ONI25805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILSSETMDIPDGVKIKVHAKIIEVEGPRGKLVRNFKHLNLDFQLIKDEETGKRKLKIDAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNAAKSIEIRNFLGEKKVRKVDMLDGVSILRSEKVKDELILDGNDIELVSRSCALINQKCHVKNKDIRKFLDGIYVSEKGTILEEE >ONI21437 pep chromosome:Prunus_persica_NCBIv2:G2:9024689:9032194:1 gene:PRUPE_2G065700 transcript:ONI21437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWVSLPVVGMLLVWWWVGFSAVNADYIKYKDANQPVASRVGDLLSRMTLEEKIGQMVQIDRSVANVDTMRTHSIGSVLSGGGSAPLPEASAEDWVNMINEFQKGALASRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPELVRRIGSATALEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQEMTEIIPGLQGDIPANSRKGVPYVGGNKKVAACAKHFVGDGGTTRGINENNTVVDRHELLSIHMPAYSDSIIKGVATVMISYSSWNGEKMHANRDLVTGFLKGTLKFKGFVISDWEGVDRITSPPHANYSYSVQASILAGLDMIMIPFKYIEFIDDLISLVKNNVVPMDRIDDAVGRILLVKFTMGLFENPLADLSLVNELGSQAHRDLAREAVRKSLVLLKNGKNGTNPNPVIPLPKKVSKILVAGSHADNLGYQCGGWTMEWQGFSGNNYTRGTTILSAIKSTVDSSTEIIYRENPDGNFVKSNNFAYAIVVVGEHPYAETSGDSMNLTMAEPGPSVISNVCESVKCIVIIISGRPIVIEPYISSIDALVAAWLPGTEGQGITDVLFGDHGFSGKLPRTWFRTVDQLPMNFGDTHYDPLFPLGFGIETESIKELVTRSTSDGVIGRPCILVLVLALILSL >ONI21440 pep chromosome:Prunus_persica_NCBIv2:G2:9024001:9032210:1 gene:PRUPE_2G065700 transcript:ONI21440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWVSLPVVGMLLVWWWVGFSAVNADYIKYKDANQPVASRVGDLLSRMTLEEKIGQMVQIDRSVANVDTMRTHSIGSVLSGGGSAPLPEASAEDWVNMINEFQKGALASRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPELVRRIGSATALEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQEMTEIIPGLQGDIPANSRKGVPYVGGNKKVAACAKHFVGDGGTTRGINENNTVVDRHELLSIHMPAYSDSIIKGVATVMISYSSWNGEKMHANRDLVTGFLKGTLKFKGFVISDWEGVDRITSPPHANYSYSVQASILAGLDMIMIPFKYIEFIDDLISLVKNNVVPMDRIDDAVGRILLVKFTMGLFENPLADLSLVNELGSQAHRDLAREAVRKSLVLLKNGKNGTNPNPVIPLPKKVSKILVAGSHADNLGYQCGGWTMEWQGFSGNNYTRGTTILSAIKSTVDSSTEIIYRENPDGNFVKSNNFAYAIVVVGEHPYAETSGDSMNLTMAEPGPSVISNVCESVKCIVIIISGRPIVIEPYISSIDALVAAWLPGTEGQGITDVLFGDHGFSGKLPRTWFRTVDQLPMNFGDTHYDPLFPLGFGIETESIKELVTRSTSDGVIGRPCILVLVLALILSL >ONI21441 pep chromosome:Prunus_persica_NCBIv2:G2:9024000:9032146:1 gene:PRUPE_2G065700 transcript:ONI21441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEFQKGALASRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPELVRRIGSATALEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQEMTEIIPGLQGDIPANSRKGVPYVGGNKKVAACAKHFVGDGGTTRGINENNTVVDRHELLSIHMPAYSDSIIKGVATVMISYSSWNGEKMHANRDLVTGFLKGTLKFKGFVISDWEGVDRITSPPHANYSYSVQASILAGLDMIMIPFKYIEFIDDLISLVKNNVVPMDRIDDAVGRILLVKFTMGLFENPLADLSLVNELGSQAHRDLAREAVRKSLVLLKNGKNGTNPNPVIPLPKKVSKILVAGSHADNLGYQCGGWTMEWQGFSGNNYTRGTTILSAIKSTVDSSTEIIYRENPDGNFVKSNNFAYAIVVVGEHPYAETSGDSMNLTMAEPGPSVISNVCESVKCIVIIISGRPIVIEPYISSIDALVAAWLPGTEGQGITDVLFGDHGFSGKLPRTWFRTVDQLPMNFGDTHYDPLFPLGFGIETESIKELVTRSTSDGVIGRPCILVLVLALILSL >ONI21438 pep chromosome:Prunus_persica_NCBIv2:G2:9024437:9032089:1 gene:PRUPE_2G065700 transcript:ONI21438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWVSLPVVGMLLVWWWVGFSAVNADYIKYKDANQPVASRVGDLLSRMTLEEKIGQMVQIDRSVANVDTMRTHSIGSVLSGGGSAPLPEASAEDWVNMINEFQKGALASRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPELVRRIGSATALEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQEMTEIIPGLQGDIPANSRKGVPYVGGNKKVAACAKHFVGDGGTTRGINENNTVVDRHELLSIHMPAYSDSIIKGVATVMISYSSWNGEKMHANRDLVTGFLKGTLKFKGFVISDWEGVDRITSPPHANYSYSVQASILAGLDMIMIPFKYIEFIDDLISLVKNNVVPMDRIDDAVGRILLVKFTMGLFENPLADLSLVNELGSQAHRDLAREAVRKSLVLLKNGKNGTNPNPVIPLPKKVSKILVAGSHADNLGYQCGGWTMEWQGFSGNNYTRGTTILSAIKSTVDSSTEIIYRENPDGNFVKSNNFAYAIVVVGEHPYAETSGDSMNLTMAEPGPSVISNVCESVKCIVIIISGRPIVIEPYISSIDALVAAWLPGTEGQGITDVLFGDHGFSGKLPRTWFRTVDQLPMNFGDTHYDPLFPLGFGIETESIKELVTRSTSDGVIGRPCILVLVLALILSL >ONI21439 pep chromosome:Prunus_persica_NCBIv2:G2:9024357:9032118:1 gene:PRUPE_2G065700 transcript:ONI21439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWVSLPVVGMLLVWWWVGFSAVNADYIKYKDANQPVASRVGDLLSRMTLEEKIGQMVQIDRSVANVDTMRTHSIGSVLSGGGSAPLPEASAEDWVNMINEFQKGALASRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPELVRRIGSATALEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQEMTEIIPGLQGDIPANSRKGVPYVGGNKKVAACAKHFVGDGGTTRGINENNTVVDRHELLSIHMPAYSDSIIKGVATVMISYSSWNGEKMHANRDLVTGFLKGTLKFKGFVISDWEGVDRITSPPHANYSYSVQASILAGLDMIMIPFKYIEFIDDLISLVKNNVVPMDRIDDAVGRILLVKFTMGLFENPLADLSLVNELGSQAHRDLAREAVRKSLVLLKNGKNGTNPNPVIPLPKKVSKILVAGSHADNLGYQCGGWTMEWQGFSGNNYTRGTTILSAIKSTVDSSTEIIYRENPDGNFVKSNNFAYAIVVVGEHPYAETSGDSMNLTMAEPGPSVISNVCESVKCIVIIISGRPIVIEPYISSIDALVAAWLPGTEGQGITDVLFGDHGFSGKLPRTWFRTVDQLPMNFGDTHYDPLFPLGFGIETESIKELVTRSTSDGVIGRPCILVLVLALILSL >ONI21442 pep chromosome:Prunus_persica_NCBIv2:G2:9027879:9032146:1 gene:PRUPE_2G065700 transcript:ONI21442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAYSDSIIKGVATVMISYSSWNGEKMHANRDLVTGFLKGTLKFKGFVISDWEGVDRITSPPHANYSYSVQASILAGLDMIMIPFKYIEFIDDLISLVKNNVVPMDRIDDAVGRILLVKFTMGLFENPLADLSLVNELGSQAHRDLAREAVRKSLVLLKNGKNGTNPNPVIPLPKKVSKILVAGSHADNLGYQCGGWTMEWQGFSGNNYTRGTTILSAIKSTVDSSTEIIYRENPDGNFVKSNNFAYAIVVVGEHPYAETSGDSMNLTMAEPGPSVISNVCESVKCIVIIISGRPIVIEPYISSIDALVAAWLPGTEGQGITDVLFGDHGFSGKLPRTWFRTVDQLPMNFGDTHYDPLFPLGFGIETESIKELVTRSTSDGVIGRPCILVLVLALILSL >ONI23389 pep chromosome:Prunus_persica_NCBIv2:G2:22718105:22723949:1 gene:PRUPE_2G186200 transcript:ONI23389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEGWAQPPSGLLPNGLLPNEAASVMRVLDSERWLKAEERTAELIACIQPNPPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKTQNLKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPISALPDVTAEPPRKDGGELLLSKLFLDACSSVYAVFPGGQENQGQPFVSKHFNVIDPLRINNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCAKEDLYFEVNQFFLNTWDRHGSGHRPDAPRNDLRRMRLSNPDHLHGSENLRNISRDQKNESSSGRGTHGDGMLGSLSVPSQHGSYPLESTSGNSDVPTGTHAQSQKNHGNTNTARASDQIRKETNSNLGAKVDKGQRSARPDNLVNDLHGRFLFARTRSSPELTDSYGEVSSQGRRNRAPESGKTQTYSTRLDNSRRKNLDSDSMASHRVRSSTDDPSSARHISSRQSLDATVDSNSYHDESGLNAVADDYASISGTQGMHQEEQDLVNMMASSTAHGFNGPVHLPLNLASSHLPLPIPPSILASMGYAQRNMGGMVPTNFPMIETPWGTNMQFPQGVVPSPLAPYFPGLGLSSNPEDSVEPSNENFGSVEMNSGETDHDFWHQQERGSTGGFDLENGSFELLQEDDKQQSTSAGYNFHPSSRVGTSGSSMRVQQKPKENRDESREDHVDNFQYQDNKGNEVYFDDRTVSSRSATYTSSVRSKTSSESSWEGSSAKVSKSTREKRGRKTALSAAPSAAFGKGKSVSEHSSTQADDDNRDWNQPTTLGAEMVERSTGSQPTASLHVPRHQMPGFEPSQTSGSDSLIPFAPVLLGPGSRQRASNDSGMLFYPTGPPVPFVTMLPYNYFSTETGTSDVSANQFSREEGPDNSDSGQNFDSSEGADQPEVLSTSNSIGRAAPIEASEHKSDILHSDFASHWQNLQYGRICQNSRHPSPVVYPSPVMVPPVYLQGRFPWDGPGRPLSANMNLFNQLVGYGPRLVPVAPLQSVSNRPASVYQRYVEEIPRYRSGTGTYLPNPKVTVRDRHPSSTRRGNYNYERNDHHGDREGNWNTNSKSRASGRNHSRNQGEKPNSRADRLAASDSRAERPWSSHRQDSFPSYQSQNGPIRSNTTQSGSTNVAYGMYPLPAMNPSGVSSNGPSIPSVVMLYPYDHNTGYGPPAEQLEFGSLGPVGFSGLNEVSQLNEGNRMSGVFEEQRFHGGSAQRSSPDQPSSPHLQR >ONI23388 pep chromosome:Prunus_persica_NCBIv2:G2:22717310:22724810:1 gene:PRUPE_2G186200 transcript:ONI23388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEGWAQPPSGLLPNGLLPNEAASVMRVLDSERWLKAEERTAELIACIQPNPPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKTQNLKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPISALPDVTAEPPRKDGGELLLSKLFLDACSSVYAVFPGGQENQGQPFVSKHFNVIDPLRINNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCAKEDLYFEVNQFFLNTWDRHGSGHRPDAPRNDLRRMRLSNPDHLHGSENLRNISRDQKNESSSGRGTHGDGMLGSLSVPSQHGSYPLESTSGNSDVPTGTHAQSQKNHGNTNTARASDQIRKETNSNLGAKVDKGQRSARPDNLVNDLHGRFLFARTRSSPELTDSYGEVSSQGRRNRAPESGKTQTYSTRLDNSRRKNLDSDSMASHRVRSSTDDPSSARHISSRQSLDATVDSNSYHDESGLNAVADDYASISGTQGMHQEEQDLVNMMASSTAHGFNGPVHLPLNLASSHLPLPIPPSILASMGYAQRNMGGMVPTNFPMIETPWGTNMQFPQGVVPSPLAPYFPGLGLSSNPEDSVEPSNENFGSVEMNSGETDHDFWHQQERGSTGGFDLENGSFELLQEDDKQQSTSAGYNFHPSSRVGTSGSSMRVQQKPKENRDESREDHVDNFQYQDNKGNEVYFDDRTVSSRSATYTSSVRSKTSSESSWEGSSAKVSKSTREKRGRKTALSAAPSAAFGKGKSVSEHSSTQADDDNRDWNQPTTLGAEMVERSTGSQPTASLHVPRHQMPGFEPSQTSGSDSLIPFAPVLLGPGSRQRASNDSGMLFYPTGPPVPFVTMLPYNYFSTETGTSDVSANQFSREEGPDNSDSGQNFDSSEGADQPEVLSTSNSIGRAAPIEASEHKSDILHSDFASHWQNLQYGRICQNSRHPSPVVYPSPVMVPPVYLQGRFPWDGPGRPLSANMNLFNQLVGYGPRLVPVAPLQSVSNRPASVYQRYVEEIPRYRSGTGTYLPNPKVTVRDRHPSSTRRGNYNYERNDHHGDREGNWNTNSKSRASGRNHSRNQGEKPNSRADRLAASDSRAERPWSSHRQDSFPSYQSQNGPIRSNTTQSGSTNVAYGMYPLPAMNPSGVSSNGPSIPSVVMLYPYDHNTGYGPPAEQLEFGSLGPVGFSGLNEVSQLNEGNRMSGVFEEQRFHGGSAQRSSPDQPSSPHLQRGV >ONI22844 pep chromosome:Prunus_persica_NCBIv2:G2:20825577:20828740:-1 gene:PRUPE_2G154400 transcript:ONI22844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEKDAPLSLVIDIIIKQGLFQSRYEIQAFRLESIAHGTGAPVDELQVEGVSSHSDLRKIFSSLASDSSEDSIFPTTFKKVDKILHEMKEKVGLGGPNPKHEVELSKDSSSHTRTNENVHHQE >ONI21769 pep chromosome:Prunus_persica_NCBIv2:G2:13875297:13881432:-1 gene:PRUPE_2G087800 transcript:ONI21769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNNPSLCSLSALSLSLSLSLSLPMGSRFLALSRWVLIVALLVGLSSETCNAKDNSTKCTSSCGNIHNISYPFRLKHDPKHCGNVMYTLSCENNITLVVDLPPSGKYYVQAINYHNQTIRLVDPGLQNNNCSSMPQNFPPFLRDPYSAYNMSGYLLSTPVFYIKCSNPVNSSLYVDTAPCLHINASLVQQKTYSYVKVGVMEVGDLNEGCSAEWLALALLSYPNGHNTSYESVHSALMYGFDLTVYWPDEIAPICQGQWSYNLKCFPHTIPGFFRFLCEAIYVYFSRGREHIDYPRWFPFKTIRRGWLPLICFCLFFPARLIFGVPCLIALVIYKWRRRHLSSYSIIEDFLQNDCNFLPIRYSYSEIKKMTSKFKDKLGEGGYGSVFKGKLRSGRFVAIKLLGKPKGNGQDFTSEVATIGRIHHVNVVQLVGYCVEGLNRALVYDFMPNSSLDKYIYSKEESMPLCCKKMYEISLGVARGIEYLHQGCDMQILHFDIKPHNILLDENFNPKISDFGLAKLYSVDNSIVSLTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMEMASRRKNFSSMVERSSQTYFPLWAYDQYNKGNDLEMADFNEEEKKIIKKMVITALWCIQMKPSDRPSMNKVIEMLGGDVECLKMPIRPFLYPQEMHVGDVQENLNSIGSNGELTWTLSAR >ONI23884 pep chromosome:Prunus_persica_NCBIv2:G2:24528305:24529492:1 gene:PRUPE_2G213900 transcript:ONI23884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPIAEANDQSPFGSLTPDAFYSRHKVSHASEYITNPRGLKLFTQWWTPLPPTPVLGTLAIVHGFTGETSWFVQLTAVHFAKSGFAVCAIDHQGHGFSDGLVAHIPDINPVVDDCISFFDSFRARHAPPNLPAFIYAESLGGAIALLITLRQGSGAWNGLILNGAMCGISAKIKPPWPLEHLLFLVAAVIPTWRVVPTRGSLPDLSFKVEWKRRLALASPRRTVARPRAATALELLRVCKELQARFEEVEVPLLIVHGGDDLVCDPACVEELHGRASSKDKTLRIHEGMWHQLVGETQEDVDLVFGEMVAWLRTRAERASAAAAAVANGGAA >ONI22157 pep chromosome:Prunus_persica_NCBIv2:G2:16920605:16921195:1 gene:PRUPE_2G111000 transcript:ONI22157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFAFALPTFKRAVASDPDIISDFIVPPNFNGTIDGSFFTFTGFRGIFDQAPETFKGSKASLVEFPALTGRSVSYAVLQFPPNTLFPPHTRPDATGLLFLVDGTLEVGLIDTKNNLYTQKLQTGDLFIFPKGLVHYQYNSDLRRPATAIAAFGSSSARAVTVPPAIFTMGIDDAILAKSFKTDVSTIEKIKAGFTN >ONI20908 pep chromosome:Prunus_persica_NCBIv2:G2:4357941:4361303:1 gene:PRUPE_2G040100 transcript:ONI20908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSPSGPGLLFPKGGWENDETVEEAAVREAVEEAGVRGELMDFLGFYHFKSKTHQDEFSPEGVCRAAMFALFVKEELESWPEQSTRNRTWLTVAEAFVSCRHAWMREALQDGFSKWHAEKMISASNDVTLSC >ONI20907 pep chromosome:Prunus_persica_NCBIv2:G2:4356935:4361303:1 gene:PRUPE_2G040100 transcript:ONI20907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDLVARTGRHQQRYEAGCRLVAGCIPFRYKNSDETGDANSEKIIEVLMINSPSGPGLLFPKGGWENDETVEEAAVREAVEEAGVRGELMDFLGFYHFKSKTHQDEFSPEGVCRAAMFALFVKEELESWPEQSTRNRTWLTVAEAFVSCRHAWMREALQDGFSKWHAEKMISASNDVTLSC >ONI20909 pep chromosome:Prunus_persica_NCBIv2:G2:4359837:4361303:1 gene:PRUPE_2G040100 transcript:ONI20909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFCSFLQIGAYFMGGWENDETVEEAAVREAVEEAGVRGELMDFLGFYHFKSKTHQDEFSPEGVCRAAMFALFVKEELESWPEQSTRNRTWLTVAEAFVSCRHAWMREALQDGFSKWHAEKMISASNDVTLSC >ONI24541 pep chromosome:Prunus_persica_NCBIv2:G2:26272547:26274032:1 gene:PRUPE_2G245500 transcript:ONI24541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWFITHYIRGQWPNLTLIYLAYKMKFQIYYIDVYERASTENKEISHHHQHSRMKVSCSLLFILLVMMTYLLFLAIFFVIFLGTLYHYVYVVLLRALHKGLLHCVAKCMLGFLLGIAQMILMKKFMSTANCPKLDAARVFMP >ONI21152 pep chromosome:Prunus_persica_NCBIv2:G2:5860069:5862983:1 gene:PRUPE_2G050600 transcript:ONI21152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSQISTEEWKSLFPISSVFKPPLLLSNPSLKPILGPLIFNPKPNSTTLLFSSSSSLLAPLPPLPHLSLPRFLLTSPSDSAPLPSSVPSVASFLGPHHPKSDVSSSLLYNRLEFLQCPQINTVVVFFPTGENSDQVGFLQLVLKGSTFDVKVDENGGVFASRRWFSYRISRISVNPIPGFSSLRGNGSCVTIGYLLASTMYSVHWFIVKVGDFGPNSDSRVSLVHLGSKIFKTCCVVHACWSPHLLEESVVLLENGDLFLFDLDSRLKTPHTLNANFKFNGTRLKVPWDIDDGSGSSRNYRWLSCEFSWHPRLLIVARSDAVFLVDLRAHECNVSCLMKIEMLHLYAFIEKEQFLVLSKAGSDDFHFVLASDTLLVVCDVRKPLMPVLQWAHGLDKPSYVDVLRLSELRSQSRDDKFNWASDSGFCIIVGSFWNCEFSIFCYGPSLPAPIGSVASKIAELRKSFYAWELPSDLLLSGHECHCGSCLVKEEFSKDALPEWIDWQQKKEIVLGFGIVNKDLSALLSEPDEFGGFTLIRLLSSGKLELQRYCASFDSVQKVEESHGEHLLFKDYLLYSLVDEEYKFPRRFKYLKLDYLCGYLNGNLDEVLDDKIKIPYNDQGKELFSSEFHETLCKKLDACGFGKFRSSPAVTSVLNDISLPASIHEVVLKRLWSGLPIELLQLAFSNNSEILEVLVDKNRVALEFSVVPDLSQLPPFILRKSSCRSNKWSQKVQPGDALVGPVLPLPVLLALHEYRNGCPNSDEKSGRFSVEAEINRSCDEVMQVTGELAVSISEAEIVNNPVTSLANDGDETWRSSQKSKPFFSYQPVAAKGSPQGKSVYKDDRFDTLISKVSDKKHVSNDNQDNVGLELFDDLCPVELRFDASSLKFEQKELEAYSKLKGEFLKWQKSFDLYQEFCSRIESKSS >ONI23596 pep chromosome:Prunus_persica_NCBIv2:G2:23445564:23449736:-1 gene:PRUPE_2G197400 transcript:ONI23596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTASSFASTSSNVSYGAVSSVSEPKTGYKKMGFGKQAMTHNGLRALNTVDELRVKIMGNSIARQARSKSFNSTRTGSRPAGTIVCGSGMNLVFLGTEVGPWSKTGGLGDVLGGLPPAMAANGHRVMTVSPRYDQYKDAWDTEVTIEVKVGEKTEKVRFFHCYKRGVDRVFVDHPLFLEKVWGKTASKIYGPIAGEDFKDNQLRFSLLCRAALEAPRVLNLNSSKYFSGPYGEEVVFIANDWHTALLPCYLKAIYQPKGIYRSAKVAFCIHNIAYQGRFASADFALLNLPDEFKSSFDFIDGYDKPVKGRKINWMKAGILESDKVLTVSPYYAEELVSTVEKGVELDNVIRKAGILGIVNGMDVQEWNPLTDKYTAAKYDASTVTDAKPLLKEALQAEVGLPVDRDIPVIGFIGRLEEQKGSDILIEAIPHFIKENVQIIVLGTGKKPMEKQLEQLEIKYPDKARGVAKFNVPLAHMITAGADFMLVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGGFNVECEVVDPADVQAIATTVTRALGTYGTPAFTEIIGNCMAQDLSWKRTRDRW >ONI23594 pep chromosome:Prunus_persica_NCBIv2:G2:23445564:23449343:-1 gene:PRUPE_2G197400 transcript:ONI23594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTASSFASTSSNVSYGAVSSVSEPKTGYKKMGFGKQAMTHNGLRALNTVDELRVKIMGNSIARQARSKSFNSTRTGSRPAGTIVCGSGMNLVFLGTEVGPWSKTGGLGDVLGGLPPAMAANGHRVMTVSPRYDQYKDAWDTEVTIEVKVGEKTEKVRFFHCYKRGVDRVFVDHPLFLEKVWGKTASKIYGPIAGEDFKDNQLRFSLLCRAALEAPRVLNLNSSKYFSGPYGEEVVFIANDWHTALLPCYLKAIYQPKGIYRSAKVAFCIHNIAYQGRFASADFALLNLPDEFKSSFDFIDGYDKPVKGRKINWMKAGILESDKVLTVSPYYAEELVSTVEKGVELDNVIRKAGILGIVNGMDVQEWNPLTDKYTAAKYDASTVTDAKPLLKEALQAEVGLPVDRDIPVIGFIGRLEEQKGSDILIEAIPHFIKENVQIIVLGTGKKPMEKQLEQLEIKYPDKARGVAKFNVPLAHMITAGADFMLVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGGFNVECEVVDPADVQAIATTVTRALGTYGTPAFTEIIGNCMAQDLSWKGPAKKWEEVLLNLGVVDSEPGIDGEEIAPLAKENIATP >ONI23595 pep chromosome:Prunus_persica_NCBIv2:G2:23445564:23449935:-1 gene:PRUPE_2G197400 transcript:ONI23595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTASSFASTSSNVSYGAVSSVSEPKTGYKKMGFGKQAMTHNGLRALNTVDELRVKIMGNSIARQARSKSFNSTRTGSRPAGTIVCGSGMNLVFLGTEVGPWSKTGGLGDVLGGLPPAMAANGHRVMTVSPRYDQYKDAWDTEVTIEVKVGEKTEKVRFFHCYKRGVDRVFVDHPLFLEKVWGKTASKIYGPIAGEDFKDNQLRFSLLCRAALEAPRVLNLNSSKYFSGPYGEEVVFIANDWHTALLPCYLKAIYQPKGIYRSAKVAFCIHNIAYQGRFASADFALLNLPDEFKSSFDFIDGYDKPVKGRKINWMKAGILESDKVLTVSPYYAEELVSTVEKGVELDNVIRKAGILGIVNGMDVQEWNPLTDKYTAAKYDASTVTDAKPLLKEALQAEVGLPVDRDIPVIGFIGRLEEQKGSDILIEAIPHFIKENVQIIVLGTGKKPMEKQLEQLEIKYPDKARGVAKFNVPLAHMITAGADFMLVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGFTGFQMGGFNVECEVVDPADVQAIATTVTRALGTYGTPAFTEIIGNCMAQDLSWKGPAKKWEEVLLNLGVVDSEPGIDGEEIAPLAKENIATP >ONI22411 pep chromosome:Prunus_persica_NCBIv2:G2:18481040:18483618:1 gene:PRUPE_2G127300 transcript:ONI22411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKDDGLGLGLSLSLGCKPNENESSLRLNLMEKPSQPMQNHQHRTSWNEIFQFPDRNPDARSCLRGIDVNQAADFEEENGVSSPNSTVSSLSGKRSEREPIGDENEAERTSCSHGSDDEDGNGGDLSRKKLRLTKEQSLLLEDTFKEHNTLNPRQKQALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCEKLTEDNRRLQKEVQELRALKLSPQLYMQMSPPTTLTMCPSCERVAVSSLSSSAAAAASSTSPANGQTCQSGPPNVQRPIPISPWATLPIQRRPLDASAPKS >ONI22218 pep chromosome:Prunus_persica_NCBIv2:G2:17288508:17289247:1 gene:PRUPE_2G115000 transcript:ONI22218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWMLLAVLCALPNNWLLSGLWLGFDFSACLFLPSWPFCHGDWEERPAPLGLCLLCNFRWELSPCLCLLSS >ONI24820 pep chromosome:Prunus_persica_NCBIv2:G2:27174296:27178053:1 gene:PRUPE_2G264100 transcript:ONI24820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRCDSGKGLVSIPRSDSKIVGEKRVSTELGQERDLGSRKRLKMRDLESVCRSEGINPHHTKSFKNKESSGQFQSSGEEMSQVTEVPITLDLDASQAGKAWSKALSVAVNPASRPLDLNTDMCLANNTVQDDSQQCPESSGKITLLRDPSKCANEKGIRLDLNAEDASIPENQDPFYPYKNTNHLKPRAVSECGSCTGPLEEKDSMRVWKEMKQNGFLSSTHGGIPMPKQRTKKSKNEELKKKMERAKREQVDRFAKIAAPSGLLNELNPGIINHVRNRKQVRSIIESLVKFEKLENDRVGNMLATHPKSGACEIGNRKDLQNMNESGVHFCHGSRHQNTSFEGGQTRGFPISMNRSFIPQDKGRDGERTTVDRFSGRRFMSHSVLENEEDTLALKLPSSTNASEDDSPLSNEETASYLSIKAATIASQWLGLILQDIKGRLAALRRSRKRVRDVITTDLPSLLSKEFPSDQENDPCITKNSTGGFPSCTIADMHRARWNPLFEQMDKALSEEEDQLESWSNQVKEMQLHCDQGLQIVQWNTASGSQQLGTSENDPRSHILDNSDRALAVRAAAASIYSTCNFLLSTNVPCF >ONI23087 pep chromosome:Prunus_persica_NCBIv2:G2:21701520:21704215:-1 gene:PRUPE_2G169600 transcript:ONI23087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPGVVSEGISQMELSQQFLISGLPDDIALFCLARVPRKYHGLLKCVSKRWRDLVCSEEWHSYRQKHKFDETWIYALCRDKLDRLCCYVLDPNSSRRSWKLVHGLPSCVLKRKGMGFEVLGNKVYLLGGCGWCEDATGEVYCYDASLNAWSEASPLSTARCYFACEVLDGKIYSIGGLGSNSSDPHSWDIYDPCTNSWKFHADPNIVPEIEDSVVMDGKIYIHCGTSAVTSHVYAVVYEPSSGTWQHADADMVAGWRGPAVVVDGTLYVLDQSSGTRLMMWQKESREWIPVGRLSSLLTRPPCQLVAIGKKFYVVGKGLSTVMFDVENAGNMEGVMVSSSIPKLNSDDDVISCKCLSI >ONI23085 pep chromosome:Prunus_persica_NCBIv2:G2:21701476:21704215:-1 gene:PRUPE_2G169600 transcript:ONI23085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPGVVSEGISQMELSQQFLISGLPDDIALFCLARVPRKYHGLLKCVSKRWRDLVCSEEWHSYRQKHKFDETWIYALCRDKLDRLCCYVLDPNSSRRSWKLVHGLPSCVLKRKGMGFEVLGNKVYLLGGCGWCEDATGEVYCYDASLNAWSEASPLSTARCYFACEVLDGKIYSIGGLGSNSSDPHSWDIYDPCTNSWKFHADPNIVPEIEDSVVMDGKIYIHCGTSAVTSHVYAVVYEPSSGTWQHADADMVAGWRGPAVVVDGTLYVLDQSSGTRLMMWQKESREWIPVGRLSSLLTRPPCQLVAIGKKFYVVGKGLSTVMFDVENAGNMEGVMVSSSIPKLNSDDDVISCKCLSI >ONI23086 pep chromosome:Prunus_persica_NCBIv2:G2:21701412:21704215:-1 gene:PRUPE_2G169600 transcript:ONI23086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPGVVSEGISQMELSQQFLISGLPDDIALFCLARVPRKYHGLLKCVSKRWRDLVCSEEWHSYRQKHKFDETWIYALCRDKLDRLCCYVLDPNSSRRSWKLVHGLPSCVLKRKGMGFEVLGNKVYLLGGCGWCEDATGEVYCYDASLNAWSEASPLSTARCYFACEVLDGKIYSIGGLGSNSSDPHSWDIYDPCTNSWKFHADPNIVPEIEDSVVMDGKIYIHCGTSAVTSHVYAVVYEPSSGTWQHADADMVAGWRGPAVVVDGTLYVLDQSSGTRLMMWQKESREWIPVGRLSSLLTRPPCQLVAIGKKFYVVGKGLSTVMFDVENAGNMEGVMVSSSIPKLNSDDDVISCKCLSI >ONI20301 pep chromosome:Prunus_persica_NCBIv2:G2:713533:727808:-1 gene:PRUPE_2G007800 transcript:ONI20301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSNKGRNRRVAHNAANSSDAVVPTDAPVKDNSSASEPIKADANGVSAVEESTEAKPEAKESETENSTSQPKQGDLHLYPVSVKTQSGEKLDLQLNPGDSVMDIRQFLLDAPETCFFTCYDLLLHTKDGSTHHLEDFNEISEVSDITIGGCSLEMVPALYDDRSIRAHVHRTRELLSLSTLHASLSTSLALQYETAQNKVSSPGDTTKTEVPELDGLGFMEDVAGSLSNLLSSPLKEIKCVESIVFSSFNPPPSYRRLVGDLIYLDVVTMEGNKHCITGTTKLFYVNSSTGNTLDPRPSKSNLEATTLVGLLQKISSKFKKAFREILERRASAHPFENVQSLLPPNSWLGLYPVPDHERDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAMSGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSKKHADSSSKIGSTGSLRSSSEKAPDSLLHGDSGIPNGEKCDRSSTMECHVAMESAPDVSAETQLGETEQATYASANNDLKGTKAYQEADVSGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEEFHSKVVEAAKRLHLKEHTVLDGSGNVFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANFTGPGSRFCILRPELITAYCQVQAAEKPKCKSSEGEGHVTNDSPNITDVKEDITEGKDTDAEGASPPTDNSELCKETLSNLDALTEFKVAGSVEDITEKGKATDAQEGASPPTDSSESCEDILFNPNVFTEFKLAGSEEEIAADEGNVRKASLYLTDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINVRYIGKVADGTRHLPHLWDLCSNEIVVRSAKHILKDALRETDDHDIGPAISHFFNCFFGSSQAVGSKVAANSVQSRTPKKEQTGHQSSGKLSKGQGRWKDGASTRKNQSSFMHVSSETLWSDIQEFAKLKYQFELPEDARTRVKKDSVIRNLCQKVGITIAARRYDLNSAAPFQISDILNLQPVVKHSVPVCSEAKDLVETGKIQLAEGMLSEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDLGKMDTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMKTFKMRELQMNAQKQKGQLNAASAQKAIDILKAHPDLMQAFQSAAIAGGSGSSNPSVNKSLNAAIIGETLPRGRGVDERAARAAAEVRRKAAARGLLIRPHGVPVQALPPLTQLLNIINSGATPDAVENGETDGVKEANGHPVHGPADAKKDQSTTDQEGQPPVGLGKGLGALDAKKPKSKTKVAS >ONI20300 pep chromosome:Prunus_persica_NCBIv2:G2:713100:728759:-1 gene:PRUPE_2G007800 transcript:ONI20300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSNKGRNRRVAHNAANSSDAVVPTDAPVKDNSSASEPIKADANGVSAVEESTEAKPEAKESETENSTSQPKQGDLHLYPVSVKTQSGEKLDLQLNPGDSVMDIRQFLLDAPETCFFTCYDLLLHTKDGSTHHLEDFNEISEVSDITIGGCSLEMVPALYDDRSIRAHVHRTRELLSLSTLHASLSTSLALQYETAQNKVSSPGDTTKTEVPELDGLGFMEDVAGSLSNLLSSPLKEIKCVESIVFSSFNPPPSYRRLVGDLIYLDVVTMEGNKHCITGTTKLFYVNSSTGNTLDPRPSKSNLEATTLVGLLQKISSKFKKAFREILERRASAHPFENVQSLLPPNSWLGLYPVPDHERDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAMSGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSKKHADSSSKIGSTGSLRSSSEKAPDSLLHGDSGIPNGEKCDRSSTMECHVAMESAPDVSAETQLGETEQATYASANNDLKGTKAYQEADVSGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEEFHSKVVEAAKRLHLKEHTVLDGSGNVFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANFTGPGSRFCILRPELITAYCQVQAAEKPKCKSSEGEGHVTNDSPNITDVKEDITEGKDTDAEGASPPTDNSELCKETLSNLDALTEFKVAGSVEDITEKGKATDAQEGASPPTDSSESCEDILFNPNVFTEFKLAGSEEEIAADEGNVRKASLYLTDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINVRYIGKVADGTRHLPHLWDLCSNEIVVRSAKHILKDALRETDDHDIGPAISHFFNCFFGSSQAVGSKVAANSVQSRTPKKEQTGHQSSGKLSKGQGRWKDGASTRKNQSSFMHVSSETLWSDIQEFAKLKYQFELPEDARTRVKKDSVIRNLCQKVGITIAARRYDLNSAAPFQISDILNLQPVVKHSVPVCSEAKDLVETGKIQLAEGMLSEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDLGKMDTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMKTFKMRELQMNAQKQKGQLNAASAQKAIDILKAFQSAAIAGGSGSSNPSVNKSLNAAIIGETLPRGRGVDERAARAAAEVRRKAAARGLLIRPHGVPVQALPPLTQLLNIINSGATPDAVENGETDGVKEANGHPVHGPADAKKDQSTTDQEGQPPVGLGKGLGALDAKKPKSKTKVAS >ONI24954 pep chromosome:Prunus_persica_NCBIv2:G2:27520284:27521336:1 gene:PRUPE_2G271700 transcript:ONI24954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSASWSRADEYFMHPNSSPSAGKGPSGLRVSVSFDQGGDQLPVYDPIAELAKKERSRVKFAENAVHVIPIVLLLCAFVLWFFSNPEIDVRIKTDPIAARIEGLTLEGEIENDSDGTQTGGLPMMDLGLDLDQTTTTISTTTKQIKHKLK >ONI25509 pep chromosome:Prunus_persica_NCBIv2:G2:29219966:29221742:-1 gene:PRUPE_2G307600 transcript:ONI25509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDDKVWFLAQIMDRCRRKRPKTATSESDEVISNEWEFINMTEQEEDLLYRMYRLVGPRWDLIAGRIPGRKPEELERYWIMRHCDTFADKRNQQTKDNSKKCWSSNV >ONI22061 pep chromosome:Prunus_persica_NCBIv2:G2:16098507:16099888:1 gene:PRUPE_2G104300 transcript:ONI22061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQINNTVDAVGDLPTSNPVIELEGDEFWPLSGKPFFDVVLTKTTIKPMYQLVVPGKFNATLPSCSIHTVLAFRGKNWEIIYHESSSCKRLDNWRPFAIDNNLKVGGACVFEQLECSSTRLVFRVQILRGDIPSEFLDKLNGDNVDAPIVLE >ONI25848 pep chromosome:Prunus_persica_NCBIv2:G2:29951404:29953296:-1 gene:PRUPE_2G323200 transcript:ONI25848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPSSHFRKHWQNYVKTWFNQPARKTRRRTARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKIRAGRGFTLEELKSAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRAGKFKAGDSAPEELANATQLQGSYLPIAREKPSVELVKVTDDLKSFKAYDKLRVERMNQRHVGARLKKAAEAEKEEKK >ONI25846 pep chromosome:Prunus_persica_NCBIv2:G2:29951628:29952699:-1 gene:PRUPE_2G323200 transcript:ONI25846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPSSHFRKHWQNYVKTWFNQPARKTRRRTARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKIRAGRGFTLEELKSAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRAGKFKAGDSAPEELANATQLQGSYLPIAREKPSVELVKVTDDLKSFKAYDKLRVERMNQRHVGARLKKAAEAEKEEKK >ONI25847 pep chromosome:Prunus_persica_NCBIv2:G2:29951381:29953296:-1 gene:PRUPE_2G323200 transcript:ONI25847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPSSHFRKHWQNYVKTWFNQPARKTRRRTARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKIRAGRGFTLEELKSAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRRAGKFKAGDSAPEELANATQLQGSYLPIAREKPSVELVKVTDDLKSFKAYDKLRVERMNQRHVGARLKKAAEAEKEEKK >ONI23019 pep chromosome:Prunus_persica_NCBIv2:G2:21439577:21440440:1 gene:PRUPE_2G164600 transcript:ONI23019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNNAMHLCDFLDGTGLHRHFVGTSWRPLKKITAATIIFLLLIFFVAALVPAGWIDAFIFSGAYSKKSITTTRNTTTPKRPEFPLQ >ONI22167 pep chromosome:Prunus_persica_NCBIv2:G2:16993968:16994329:-1 gene:PRUPE_2G111600 transcript:ONI22167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELASQKHVQFIVLVEKKKDSFESVVMEHIRMNGAYWAARQDDSGGFGGNIGHDPHVLYTLSAVQVLALFDKLDVLDIEKVASYTTHQPSHVLDQRMMMRFSPVGKDRE >ONI20922 pep chromosome:Prunus_persica_NCBIv2:G2:4510876:4513777:1 gene:PRUPE_2G041000 transcript:ONI20922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLYSLKSLRLDCSIYSCTFASKTKRFIVGELKPWQFSLQNQLLYRPLTTEISENPNNSTVAYLINSCGLSPEGAISAAKWVELQSPERADSVLALLRNHGLSETQISKMVRSRPQLLLANPEKTLLPKLAFFSSLGISRQDLAKTLAFNPKLLSRSLKKQIIPTYNFLRSLVSEKKLVAIFKHNSCIFVESNCNVLANIGLLRELGMPQSCISMLLAHYTTVLMINPENFGQLVGEVKEMGFNLEKSTSVNALRALCGKNKLIWNRSREVFRRWGWSEDDVLSAFRKNPQFMIVSEKKLLQAMDLLVNKMGWPSEMIAKYPVVLSLSLERRLIPRCSVVKVLLSKGLVNENLNLGSVLLPAEKEFLGRFVTGYLKEVPQLWDVYQGKVDIQDV >ONI20921 pep chromosome:Prunus_persica_NCBIv2:G2:4510929:4512657:1 gene:PRUPE_2G041000 transcript:ONI20921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLYSLKSLRLDCSIYSCTFASKTKRFIVGELKPWQFSLQNQLLYRPLTTEISENPNNSTVAYLINSCGLSPEGAISAAKWVELQSPERADSVLALLRNHGLSETQISKMVRSRPQLLLANPEKTLLPKLAFFSSLGISRQDLAKTLAFNPKLLSRSLKKQIIPTYNFLRSLVSEKKLVAIFKHNSCIFVESNCNVLANIGLLRELGMPQSCISMLLAHYTTVLMINPENFGQLVGEVKEMGFNLEKSTSVNALRALCGKNKLIWNRSREVFRRWGWSEDDVLSAFRKNPQFMIVSEKKLLQAMDLLVNKMGWPSEMIAKYPVVLSLSLERRLIPRCSVVKVLLSKGLVNENLNLGSVLLPAEKEFLGRFVTGYLKEVPQLWDVYQGKVDIQDV >ONI20920 pep chromosome:Prunus_persica_NCBIv2:G2:4510908:4512411:1 gene:PRUPE_2G041000 transcript:ONI20920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLYSLKSLRLDCSIYSCTFASKTKRFIVGELKPWQFSLQNQLLYRPLTTEISENPNNSTVAYLINSCGLSPEGAISAAKWVELQSPERADSVLALLRNHGLSETQISKMVRSRPQLLLANPEKTLLPKLAFFSSLGISRQDLAKTLAFNPKLLSRSLKKQIIPTYNFLRSLVSEKKLVAIFKHNSCIFVESNCNVLANIGLLRELGMPQSCISMLLAHYTTVLMINPENFGQLVGEVKEMGFNLEKSTSVNALRALCGKNKLIWNRSREVFRRWGWSEDDVLSAFRKNPQFMIVSEKKLLQAMDLLVNKMGWPSEMIAKYPVVLSLSLERRLIPREGVLGEVCNRISQRSTAIVGCISRESGYPGCMILIP >ONI24720 pep chromosome:Prunus_persica_NCBIv2:G2:26923981:26928249:1 gene:PRUPE_2G258000 transcript:ONI24720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHTNRGFATTGPLHATSLPRASPEISLRRQLPAGIHVFRRVSYCKRHRTKVSVILRQNQAETSKQNIANSIESADDCNEARRSPLHTRRHALLAPSLALGAWFLKSTVASAEDAPSPPPSPSQTVPVPTADEKKKEEDAITSRIYDASAIGEPVAVGKDKSKVWEKVMNARILYLGEAEQVPIRDDKELELEIVKNLWKRCLESERALSLALEAFPSDLQDQLNQYMKKSIDGDALKSYTSHWPSQRWQEYEPLLSYCRDNGVRLVACGTPLKVLRTVQSKGISGLSKADRKAYAPPAGSGFISGFTSSTRRTPVDSNSPNQSVPFGPSSYLSAQARVVEDYTMSQIILQAMVDGGASGMLVVVTGASHVRYGIRGTGLPARISTKLQKKNQVVILLDPERQHIRQEGEVPVADFLWYSAARPCNRNCFDRAEISRVMNAAGRRRDALPQDLQKGLDLGLVSPEVLQNFFDLEQYPLISELTQRFQGFRERLLADPKFLHRLAIEEAISITTTLFAQYERRKENFFEELDYVITDTLRGSVVDFFTVWLPAPTLSFLSYADEINVPDSMDAIKGLIGSIPDNAFQKNLLGKDWSINYRLASVLLGGLKLAGVGIISSIAAVAASNGLFAVRRFINPALVNNQQKKRTPILKTAIIYGGFLGTSANLRYQIIAGVIEHRLSDEFSSQTLLVNMLSFVSRTINSYWGTQQWIDLARFTGLQTRKSESSQMLDSTNQTPDSTNQMPALECNNTEETNVDEIQNK >ONI25400 pep chromosome:Prunus_persica_NCBIv2:G2:28897836:28902807:-1 gene:PRUPE_2G300700 transcript:ONI25400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPALSTSKTLNFPANLMEKQKIPFQIFLRKISVQGKTNRSVKSVQALSKNNSGSISIATTVTQERDRVLDSSRNDKGWIHFVGIGGCGLSALAMFALKQGYEVSGSDIEWSSFMDGLQEAGALLHIGHSVENMQRNAASRLPDAIVVSSAIPQHNVEILCAKSAGVPVYKRDHWLGKLTQGYNLIAVSGSHGKSTTASMLAYVLDGMGDNLTAVVGAHVPQFSGGNIIFGDGWNFVLEADEYDGCFLGLSPYIAIVTNLDWEHVDIFQNEEAVKATFRKFLSRIRVGGHLILCGDSEGAYSLLTDGKQPIGSHNWSGLRSRPLEKCSDSYTITTYGTTSFNDWHASSIRPNLKGGCDYTLCHQGCSVVDISLQIPGVHNVLNSLAVIATVVTLFSDQSPINNTINCVSLHFNNFIGIKRRFEMIGTIYGCHIYDDYAHHPTEVSAVIQAARQRFPNKSLLVVFQPHTYSRLAALKDDFANALCDADQVVVTEVYAAREIDEQNVGGRELAATIIGPPSEYIPSLDDVVDKLAFQISEKPHRNIVVLTLGAGNITTVGPKLLNELRRRLQVNS >ONI25672 pep chromosome:Prunus_persica_NCBIv2:G2:29558787:29560764:-1 gene:PRUPE_2G314000 transcript:ONI25672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVVYALLATAFILVMVFCPSKQHGHHDHLGLNRRLGFRDHVPVFDPLVAKMERYAEENGLGGQTHNLDLVEHSPMMDTSEVEEVHEYFSDEGKLNLTLRLLSLFPLIDQAPKDSYISSNELKHWLTQQAVERLNYRTQKEMESYDKDGDGNISFSEYQPKFSSQDIKKNGMEHGEAGWWKQQFDNADADKNGTLTFNEFKDLLHPEDSNNSDIHKWLLAEKMKRMDQDDDGKLNFMEFSHNAYDSFKSYVEFETGGVKAPTAEEKFAELDLNKDNLLEVEELKPLLHYLHPGELSYSNYYASFLMSEADDNKDGKLTLDEMLDHDYVFYSTVYDAGVNDDNEEDYHDEF >ONI22303 pep chromosome:Prunus_persica_NCBIv2:G2:17780998:17784081:1 gene:PRUPE_2G120300 transcript:ONI22303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANANTRTTTGAAAAAAAASTSKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVLVDGQTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSYENIPKKWVPELRHYAPSVPIILVGTKLDLREDRQFLMDYPGACTISTQQGEDLRKRIGAVAYIECSSKKQQNVKTVFDAAIKLALHPPKSKKQKRNLNICRVL >ONI22302 pep chromosome:Prunus_persica_NCBIv2:G2:17781101:17784081:1 gene:PRUPE_2G120300 transcript:ONI22302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANANTRTTTGAAAAAAAASTSKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVLVDGQTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSYENIPKKKWVPELRHYAPSVPIILVGTKLDLREDRQFLMDYPGACTISTQQGEDLRKRIGAVAYIECSSKKQQNVKTVFDAAIKLALHPPKSKKQKRNLNICRVL >ONI24704 pep chromosome:Prunus_persica_NCBIv2:G2:26852870:26853524:-1 gene:PRUPE_2G256700 transcript:ONI24704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLQFQRPINNSPNFYPTNTLIHHKQFQSHAAAAAVAEDFTRLNAHVMSPNPNQCCSAVVQSIEAPVPTVWSVVRRFDNPQAYKHFLKSCQVIDGTGDVGTLRKVHVVSGLPAGSSTERLEILDDERHVLSFSVVGGDHRLENYRSVTTLHDSPSGLGTVVVESYVVDVPPGNTKEETCVFVDTIVRCNLQSLAQIAESMAKPSTKSNNNKPS >ONI21817 pep chromosome:Prunus_persica_NCBIv2:G2:14353568:14356065:-1 gene:PRUPE_2G091000 transcript:ONI21817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDTMEEARNYYEEYGRQEGFWIRTRSSSKTRRRLDEVTSRQFVCAHEGKYVPKNTSQKALEENDEKDINEIENMKRTGKNCSTVKCGCKASMRIKLDRWSNKWKVSSFQDSHNHKPVTPERRMKMKSNRVMPKAAKILTETFHEENLPIAKVPSIFGGPHIGFNNRDCYNHLRNVRHRQLDGGDAQSVLTYFRKKQAENPQFFYAIQCDENGRAANFFWVDARSRMAYNYFGDVVTFDTTYRTNKYDMPFAPFTGVNHHLQSIQFGCALLQDETEVTFLWLFETWLEAMGGRHPVSIITDQDLAMKGAIAKIFPNTRHRLCLWHIKKKFAEKLSHVYFKKSKFKIQMKKCIRSTYKIEEFEEKWKELMKECELANDDWLNSLYDIRSSWVPVYNRGIFFAGMNTTGRSEGINSFFDGFVTPTTNLREFVVKYEQALKRIMDRESDEDFESEHKYRIVNEGEFLLKHAAKFYTRNVFNKFKDEWSKVTLYKVEEIPCDDEYHAYLVKTKLGEHEEFVVKLNLQTYKGMCECQNFEFVGILCRHLLKVFVRLDIDTLPDHFILPRWRQEANKFRIMDFKSLVTNDGKEESEALRLSHMCHQATKLACIAASSNEAYTTFIEALNELSKKLSDNSTQHATIPSSTTGDPCSTNIDSSQLLLLDPNISQTKGRKKDNISGSKRLKSGIELAQNKKKRKCALCKKIAQHDKRNCPSNLKRRKNESTNLCNEESEDLCQDMESDDQEYC >ONI20299 pep chromosome:Prunus_persica_NCBIv2:G2:705059:712377:1 gene:PRUPE_2G007700 transcript:ONI20299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSNGVRLVHWLSRRSFASNPIHNPTSSACGRMLGGGFRTFKTGVCNNSRVLGNYTTTSNVCRKSWLLGALNTNWFAARSIHGTASMSTRDYYDTLGVSKNANASEIKKAYYGLAKKLHPDTNKDDPDAEKKFQEVQKAYEVLKDEEKRQQYDEVGHAAFEDQGNGFPNDFRNPFKDIFDDNIFSIFRQNFGGQDIKVSLELSFMEAVQGCSKTVTFPAAVACEACGGSGVPPGTRPESCKRCKGSGMTYMQTGPFRMQTTCTQCGGTGKTFPTLCKSCNGKRVTTGMKSVKLDIMPGVDDNETIKVYSSGGADPDGNQPGDLYVTVKVREDPVFRREGADIHVDAVLSITQAILGGTIQVPTLTGDVVLKVRAGTQPGQKVVLKKKGIKTRSSYSFGDQYVHFNVSIPANLTQRQRELIEEFAKEEQGEYGKRAAAGAS >ONI21637 pep chromosome:Prunus_persica_NCBIv2:G2:11956204:11961295:1 gene:PRUPE_2G077400 transcript:ONI21637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDGRCLKLFLALSILFLQNVKGGEVGHSHSHSHSLRDAKVTVRRCIERERQALLAFKRGLVDDSEVDESNPLSTWGSEAEKQDCCRWEGVYCSNQTGHVIQLHLEYSSYDEMILGYSFQGKMISPKLIELQHLQYLHLASIDFYESQIPDFIGSLTNLRNLSLSSCNLVGQIPSSFGNLTQLQYLDLSYNYQLQPENLNWLPALSSLTYLDLSVIDFNGSQIPDFIGSLTNLRNLSLRFCDLVGPIPSSFGNLTQLQHLNLGYNQLQPENLNWLPALSSLTFLDLSENFNGSQIPDFIGSLTNLRYLSLSFCNLVGPIPSSFGNLTQLQHLNLGYNQLQPENLNWLPALSSLTDLYLAGNFNGSQIPDFIGSLTNLRNLSLRFCDLVGPIPSSFGNLTQLQHLDLSYNQLQPENLNWLPALSSLTFLDLSENFNGSQIPDFIGSLTNLRYLSLSFCNLVGQIPSSFGNLTQLQNLDLSNNQLQPENLNWLPALSSLTDLDLSRNNLSTVFDWPEAVLNKLPKLVVLALENCSLPPPPPPPTLYKTNSSTSLAYVDLSDNHLTSSIFLWLSNYSTSLVALGLSNNHLSGFIPNFIGNMSSLVDLDLSNNNLTGFIPDFIGNMSSLVHLDLSDNHIEGANPNSFARLCNLQTLSLQTNHLSGQLSKFVQLLPRCAQNSLKDLQLSENVLAGSLNNLTSFSSLGFLNLSANQLSGKIPESIGQMSKLWCIDFSMNSLEGVVSETHFSKLSDLYQLDLSYNSLVLNFHSDWVPPFQLNYIYLASCNVGPLFPKWLQTQNDSYHLDISNAGISDILPSWFWSNFRNAEIINLSQNQIRGIEGSIPSIPSEVHHLDLSNNNISGSLSFLCASADMSLTILNLSSNSFSGELPDCWSHLETLVMLDLSYNAFSGKMPMTIGSLFQMQTLKLRRNRFVGELPSSLKNCASLEVIDLGDNKLSGPIPAWLGVSFKNLVILMLSTNHFNGSMPSQLCHLTHIQIMDFSMNNISGSIPKCLKNLTTLAQKGNPSLSSTRIHGGSEVNGSIAPTNYDNDASFIWKGRMQTYKSTLGLVKRIDLSSNRLTGEIPGEIMHLVGLISLNLSRNQLMGQITPEIGNLESLDSLDLSRNRIDGRIPTSLAQIYRLSFLDLSYNNLSGKIPTGTQLQSFDPLDYAGNPQLCGPPLKKMCADQNEPTDLSNEEDKDEFITLGFYISMAIGFAVGFWGVCGTLIFNRSWRYAYLKFLNGLNDWLYVKIALSKRQLKPT >ONI21807 pep chromosome:Prunus_persica_NCBIv2:G2:14276458:14278726:1 gene:PRUPE_2G090200 transcript:ONI21807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQMEDAQEVSETMPDKLSENAQETRDEMLSRHRKEISKLQDKETEMKKAAAKGSKAEQKAKKKQVEEEISRLSAKLKEKHAEELASLGYSTSNGTEKAKLDNLVKAIAGVSVISQPDQAKPSKSSKRRDKRAQQDAAREQRIQEEQSNLVSDRMIENEKLAKKLEPLGLTINEIKPDGHCLYRAIQDQLAHLSGGSSPYTYQELREMVAAYMRKHASDFLPFFLSENPVDGDSEDSLAERFENYCKEVESTAAWGGQLELGALTHCLKKHIMIYSGSFPDVEMGKEYKSDSSSTGSSDSSIMLSYHKHAFGLGEHYNSVVRI >ONI21808 pep chromosome:Prunus_persica_NCBIv2:G2:14276458:14278810:1 gene:PRUPE_2G090200 transcript:ONI21808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAQEVSETMPDKLSENAQETRDEMLSRHRKEISKLQDKETEMKKAAAKGSKAEQKAKKKQVEEEISRLSAKLKEKHAEELASLGYSTSNGTEKAKLDNLVKAIAGVSVISQPDQAKPSKSSKRRDKRAQQDAAREQRIQEEQSNLVSDRMIENEKLAKKLEPLGLTINEIKPDGHCLYRAIQDQLAHLSGGSSPYTYQELREMVAAYMRKHASDFLPFFLSENPVDGDSEDSLAERFENYCKEVESTAAWGGQLELGALTHCLKKHIMIYSGSFPDVEMGKEYKSDSSSTGSSDSSIMLSYHKHAFGLGEHYNSVVRI >ONI25605 pep chromosome:Prunus_persica_NCBIv2:G2:29422041:29423446:-1 gene:PRUPE_2G311100 transcript:ONI25605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLIAAGYPNISGKLCAEISCGFTATLSRPARRTKLKMIHFEGQFERSESKASCCVVHCCSTSSSSSSAAEEVGFVGSGKFGIDGKGEFKYLVSEFGWKVRSLFRNGDEVRRAAQVQAEAFHEPVFLFNDLFFQFFQAEVLSGLVYKLRNSPPNRHNATGMPVWLLSLHLTIPTHKKTLWA >ONI25604 pep chromosome:Prunus_persica_NCBIv2:G2:29422430:29423355:-1 gene:PRUPE_2G311100 transcript:ONI25604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLIAAGYPNISGKLCAEISCGFTATLSRPARRTKLKMIHFEGQFERSESKASCCVVHCCSTSSSSSSAAEEVGFVGSGKFGIDGKGEFKYLVSEFGWKVRSLFRNGDEVRRAAQVQAEAFHEPVFLFNDLFFQFFQAEVLSGLVYKLRNSPPNRYACLVAEPTLDNSNTQEDLVGVVDVTVSRDRDVLQHLPSEAEEYLYVSGIAVLKSFRSYLHFYVLYWVLV >ONI25603 pep chromosome:Prunus_persica_NCBIv2:G2:29422041:29423446:-1 gene:PRUPE_2G311100 transcript:ONI25603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLIAAGYPNISGKLCAEISCGFTATLSRPARRTKLKMIHFEGQFERSESKASCCVVHCCSTSSSSSSAAEEVGFVGSGKFGIDGKGEFKYLVSEFGWKVRSLFRNGDEVRRAAQVQAEAFHEPVFLFNDLFFQFFQAEVLSGLVYKLRNSPPNRYACLVAEPTLDNSNTQEDLVGVVDVTVSRDRDVLQHLPSEAEEYLYVSGIAVLKSFRRKKVGSVLLKGCDMLSVEWGFEYLALRAYEDDLGARQLYSSAGYRVVSGDPPWLSTWFGRKRRVLMIKHSKLT >ONI20198 pep chromosome:Prunus_persica_NCBIv2:G2:326620:327493:1 gene:PRUPE_2G002700 transcript:ONI20198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPSSPPNLFSLMTTTRKPHTDPSLFATTATRKTQNHLSSPSLSDHHHQTHNQLPETQSNHHHHPISQTKHHHHP >ONI25302 pep chromosome:Prunus_persica_NCBIv2:G2:28626709:28628080:-1 gene:PRUPE_2G294700 transcript:ONI25302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVEVRVPNLDCEGCASKVKKALFKLKGVEEVEIEMEIQKIIVRGYGLEEKKVVKAIKRAGKAAEPWPFPGYSHFASFYKYPAYIVNHYYDTYKNETTTGVHTFFHTPSVYSVAVASDEAIASLFSDDNPHACSIM >ONI21729 pep chromosome:Prunus_persica_NCBIv2:G2:13364463:13369137:-1 gene:PRUPE_2G084400 transcript:ONI21729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGKHENFNKQSPASRLRAHNRLESGPEPYSGSRRGNIRREAADHSIRGTLSPHELQQDVGVSQRTDYIDRRDYGWHLGGGRTDRVRQRSRSASPVQPFGNMRKRPHFDEGVGVLRRNYSPPPQVPVRLELPRRQELAEPGTYNATDDLNSRRVYGSDHNDSRISKEELNEIGLSAGDKHGTLSQKSVHMEDGTVRGSKSVLTEDNTVLGTYWPPPDPYPVITPGESGAHLPSSSRSMNIRHFEQERLHYLDPVALDRLPVTKSYKGENPIFPSRDGVHPMMSGAHSEDFLASSSTGIRNEFQESYRGGMHLPSLDEFSSSSRKLTDSLSINAFKQRPLANSSRDPDSGKRNLSFYQRCSPTRGEHDDYFRTKSRGMAVDDRGYPSDDLHKMMHPRAPLNVDHTQMVYNHGNFSRPSIMNPAMDRLDNTEDSSGNSRKGIMLNNSTLQRQSLSDYPDRSRISEASKHGGEYLGSGCTHDDFGRRMSQDYEICHFGASQDCQISHLKADYGLERDVSMKYQDRLSPLPTFDSEMRRHTIGMQIRREELGIYEPSDRVLKRNYVIGEDTSTHNPRTFMSDKWRSREFQDLYDSGEEWNDGDVGNLYTSASAGFDHNRYSKAERGFVGRNCHDEYASDDWLPSQRSLAQAQRHSVRFYKHGDRYLKGHRKPGSLSRHKLNHTDIKSGVHKQNRVWKRNDNYLEDVHADDGNDADPSENGMSSTGPEPSEDSEEFMQMVHEAFLKYSKKLNMNTAVQRRYKEQGKAGTLFCIVCGRSFSKEFMDTRRLVTHAFMSHKVGLRAQHLGLLKAVCVLLGWSTVVPSDTVTWVPHILPKAEALAQKEDLILWPPVIIVHNISMSDNNPQNWKVVTIEALEAFLRGKGLIKGRIKMCLGKPADQSMLVVKFLGTFTGLGDAERIHKHFAEHKRGRVDFEQATSSNGEIREAGMQGDNVEEQILYGYMGIVEDLDKVDFHTRNWTVIKSKKEIQDLADAPVKPDER >ONI21730 pep chromosome:Prunus_persica_NCBIv2:G2:13364133:13369212:-1 gene:PRUPE_2G084400 transcript:ONI21730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGKHENFNKQSPASRLRAHNRLESGPEPYSGSRRGNIRREAADHSIRGTLSPHELQQDVGVSQRTDYIDRRDYGWHLGGGRTDRVRQRSRSASPVQPFGNMRKRPHFDEGVGVLRRNYSPPPQVPVRLELPRRQELAEPGTYNATDDLNSRRVYGSDHNDSRISKEELNEIGLSAGDKHGTLSQKSVHMEDGTVRGSKSVLTEDNTVLGTYWPPPDPYPVITPGESGAHLPSSSRSMNIRHFEQERLHYLDPVALDRLPVTKSYKGENPIFPSRDGVHPMMSGAHSEDFLASSSTGIRNEFQESYRGGMHLPSLDEFSSSSRKLTDSLSINAFKQRPLANSSRDPDSGKRNLSFYQRCSPTRGEHDDYFRTKSRGMAVDDRGYPSDDLHKMMHPRAPLNVDHTQMVYNHGNFSRPSIMNPAMDRLDNTEDSSGNSRKGIMLNNSTLQRQSLSDYPDRSRISEASKHGGEYLGSGCTHDDFGRRMSQDYEICHFGASQDCQISHLKADYGLERDVSMKYQDRLSPLPTFDSEMRRHTIGMQIRREELGIYEPSDRVLKRNYVIGEDTSTHNPRTFMSDKWRSREFQDLYDSGEEWNDGDVGNLYTSASAGFDHNRYSKAERGFVGRNCHDEYASDDWLPSQRSLAQAQRHSVRFYKHGDRYLKGHRKPGSLSRHKLNHTDIKSGVHKQNRVWKRNDNYLEDVHADDGNDADPSENGMSSTGPEPSEDSEEFMQMVHEAFLKYSKKLNMNTAVQRRYKEQGKAGTLFCIVCGRR >ONI24972 pep chromosome:Prunus_persica_NCBIv2:G2:27594307:27609171:1 gene:PRUPE_2G273000 transcript:ONI24972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIASQLEAIKSVIQADTEPSVKRPFTRPSILFDAKEAADIDIDTIFSIALQGLDVLVITDERFRIYKNDLFSQKSRELDRELMGIEENNGINVSISSYLRLLSGHFELPSSIKTLEYLIRRYKIHVYNFEDLILCALPYHDTHTFVRIVQLISLRNSKWRFMDGVKVSGAPPPRKVIVQQCIRDKGVLEILCNYASPSKKYRPSRPVIRFCTAVVIEVLGSSTSVDSDVVQRILSLVVSGLEAGTKGDSENKAGAMMIVGLLASKVTLSPKLVKSLMRSIAEIAREEAKESADLQLFRLSLMTLINLVQLQAVDIFPIKTLEILMDIRDFAAILLGLFNEFNIDRFVWVLLDSLIDYSSSNESCQLALISILETIPSKNFVQHAVSKVLSSCLQSSQKIKNSTSSLSGSWAKKILVVLNEKYQSELQGAVHKFLDEKNIQSKKGGSVHEILGQMLDGNLDMSLAFSESKIWFGLHHPKADVRRHTLSALGTSGVLEAKATNPQSLVSIEDIILRQLHDDDLTVVRAALSLDRLSTIISSADLFEALGNVLKRCIGILMSSSLENSSLACDVSVLCLKNASSGIDDNIERCNILASMIFPLLLVLPKTQRLNLKALELAKEVKWPLFENLAGASNTALTSQPGSLSSINMDTIASLAGRFSLHPEEFMPWLIKSSNDFELSKTQFFLVMMQTLLIQKNKSAGFLALFEVGFPALKAEWEAFESMGDSSIEEFDKDVLNWDCRIFLDKLDSNLKALNANILICLFWRLMEAFLSAMPADISMDNDKKWASWLRDLFVFFSISKFKKVFKEHRHYLVTKCKISAVRFLPRFFTEEDVPPAVQVESLNCFAYLSLQPEVRLPIQLLAEFPSFLVPLASYNQDIRHAAMNCIEGLHTLWAHVDSSSKKNGNHATWIHLLDKLLDLMVQQKRLILSDRNFLPSLLASLLSPSCQGFIAPKNVELRVDQSTRKKILAFILNSALKLPDYAKLVILSLLRGMGNAIIHDREMKSFLSQLLGRRSQNYCELHVSSQNLSKIEVQILCLLLESCAMPSSPDEHVLEDHLLEALKLDGLAPEDPAVIQPCVTVLQKLNSQIHSGLKTEIQELLFQELVSLFRNANGDIQKETRAALLRLNITCSTIVQTLDCMVNNRSCVTDSGYGKKKMKLTGHLKSNPSCDLIFNGENALSFLSSLMDVLLFKKDIENRDSLLGPLFKLLYRTFSNEWVHGVLVQDEKQIQVSSRNSDSMSSAISYIQQTLLIILEDISSSLTNSVPLADNIINEIDVKMLVECAHSVKDGVTRNHVFSLISSITKIIPEKVLGHILDIFTLIGESAVTQIDSHSQHVFEDLISTVVPCWLSGTGNNDKLLEIFINVLPEVAEHRRLSIVVYLLRTLGESNSLASLLVLLFRSLVSRKGLSCFDNMHASDSSTASLQRQWEYALGIHVCEQYSCMIWLPSLVMMLKQIGTGIQSQELFIELLIAMRFTLHKLQDPEFAFKLVSGEDSEKVQATLEELMEQVVSLQQSVDARRKKKGIHVSIRKELKECMHDVLRTITIAMMPPTHFKSITKLLGHRDRNVAKKALGLLCETVRDHDRVRTKHKYNSSSSHQWQHLDENSLESFRYMCLKIVDLVDDSSDDSEASLKVAAALALEVLAHKFPTNYSIFNECLPLVTKNISMHDLAVSSSCLQATGALINVLGPRALSELPHIMENLIRISREAFLSSDIKTTSGVDDGLPVVLQIPKESLILSILVTLEAVVVKLGGFLNPYLEEITRIMVLHLNYASGSDQKLKIKADSVRRLMTENIPVRLALPPMLKIFSSTVESGDSSLTVYFGMLENMIGRLDRSSIGGYHAKIFDLCLYALDLRRQHPASVQNIDDVEKNVYNAMVALTMKLTESMFKPLFIRSIDWAESDVEDIACAGNIPRAISFYGLVNKLVENHRSLFVPYFKYLLEGCVRFLTVAGAAKASGSTRKKKAKIQEGKDNSVLLGNWHLRALILSSLHKCFLYDTGSLKFLDSSNFQVLLKPIVSQLVVDPPLSLEEHPYIPSVEEVDNLLVACIGQMAVTGGSDLLWKPLNYEVLMQTRSDKVRSRILGLRVVKYLVEHLREEYLVFLAETIPFLGELLEDVELPVKSLAQSILKDMETMSGESLSQYL >ONI24973 pep chromosome:Prunus_persica_NCBIv2:G2:27596137:27609138:1 gene:PRUPE_2G273000 transcript:ONI24973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNPALQAVDIFPIKTLEILMDIRDFAAILLGLFNEFNIDRFVWVLLDSLIDYSSSNESCQLALISILETIPSKNFVQHAVSKVLSSCLQSSQKIKNSTSSLSGSWAKKILVVLNEKYQSELQGAVHKFLDEKNIQSKKGGSVHEILGQMLDGNLDMSLAFSESKIWFGLHHPKADVRRHTLSALGTSGVLEAKATNPQSLVSIEDIILRQLHDDDLTVVRAALSLDRLSTIISSADLFEALGNVLKRCIGILMSSSLENSSLACDVSVLCLKNASSGIDDNIERCNILASMIFPLLLVLPKTQRLNLKALELAKEVKWPLFENLAGASNTALTSQPGSLSSINMDTIASLAGRFSLHPEEFMPWLIKSSNDFELSKTQFFLVMMQTLLIQKNKSAGFLALFEVGFPALKAEWEAFESMGDSSIEEFDKDVLNWDCRIFLDKLDSNLKALNANILICLFWRLMEAFLSAMPADISMDNDKKWASWLRDLFVFFSISKFKKVFKEHRHYLVTKCKISAVRFLPRFFTEEDVPPAVQVESLNCFAYLSLQPEVRLPIQLLAEFPSFLVPLASYNQDIRHAAMNCIEGLHTLWAHVDSSSKKNGNHATWIHLLDKLLDLMVQQKRLILSDRNFLPSLLASLLSPSCQGFIAPKNVELRVDQSTRKKILAFILNSALKLPDYAKLVILSLLRGMGNAIIHDREMKSFLSQLLGRRSQNYCELHVSSQNLSKIEVQILCLLLESCAMPSSPDEHVLEDHLLEALKLDGLAPEDPAVIQPCVTVLQKLNSQIHSGLKTEIQELLFQELVSLFRNANGDIQKETRAALLRLNITCSTIVQTLDCMVNNRSCVTDSGYGKKKMKLTGHLKSNPSCDLIFNGENALSFLSSLMDVLLFKKDIENRDSLLGPLFKLLYRTFSNEWVHGVLVQDEKQIQVSSRNSDSMSSAISYIQQTLLIILEDISSSLTNSVPLADNIINEIDVKMLVECAHSVKDGVTRNHVFSLISSITKIIPEKVLGHILDIFTLIGESAVTQIDSHSQHVFEDLISTVVPCWLSGTGNNDKLLEIFINVLPEVAEHRRLSIVVYLLRTLGESNSLASLLVLLFRSLVSRKGLSCFDNMHASDSSTASLQRQWEYALGIHVCEQYSCMIWLPSLVMMLKQIGTGIQSQELFIELLIAMRFTLHKLQDPEFAFKLVSGEDSEKVQATLEELMEQVVSLQQSVDARRKKKGIHVSIRKELKECMHDVLRTITIAMMPPTHFKSITKLLGHRDRNVAKKALGLLCETVRDHDRVRTKHKYNSSSSHQWQHLDENSLESFRYMCLKIVDLVDDSSDDSEASLKVAAALALEVLAHKFPTNYSIFNECLPLVTKNISMHDLAVSSSCLQATGALINVLGPRALSELPHIMENLIRISREAFLSSDIKTTSGVDDGLPVVLQIPKESLILSILVTLEAVVVKLGGFLNPYLEEITRIMVLHLNYASGSDQKLKIKADSVRRLMTENIPVRLALPPMLKIFSSTVESGDSSLTVYFGMLENMIGRLDRSSIGGYHAKIFDLCLYALDLRRQHPASVQNIDDVEKNVYNAMVALTMKLTESMFKPLFIRSIDWAESDVEDIACAGNIPRAISFYGLVNKLVENHRSLFVPYFKYLLEGCVRFLTVAGAAKASGSTRKKKAKIQEGKDNSVLLGNWHLRALILSSLHKCFLYDTGSLKFLDSSNFQVLLKPIVSQLVVDPPLSLEEHPYIPSVEEVDNLLVACIGQMAVTGGSDLLWKPLNYEVLMQTRSDKVRSRILGLRVVKYLVEHLREEYLVFLAETIPFLGELLEDVELPVKSLAQSILKDMETMSGESLSQYL >ONI24971 pep chromosome:Prunus_persica_NCBIv2:G2:27594319:27609138:1 gene:PRUPE_2G273000 transcript:ONI24971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIASQLEAIKSVIQADTEPSVKRPFTRPSILFDAKEAADIDIDTIFSIALQGLDVLVITDERFRIYKNDLFSQKSRELDRELMGIEENNGINVSISSYLRLLSGHFELPSSIKTLEYLIRRYKIHVYNFEDLILCALPYHDTHTFVRIVQLISLRNSKWRFMDGVKVSGAPPPRKVIVQQCIRDKGVLEILCNYASPSKKYRPSRPVIRFCTAVVIEVLGSSTSVDSDVVQRILSLVVSGLEAGTKGDSENKAGAMMIVGLLASKVTLSPKLVKSLMRSIAEIAREEAKESADLQLFRLSLMTLINLVQIIFLVGCCSMSLNPALQAVDIFPIKTLEILMDIRDFAAILLGLFNEFNIDRFVWVLLDSLIDYSSSNESCQLALISILETIPSKNFVQHAVSKVLSSCLQSSQKIKNSTSSLSGSWAKKILVVLNEKYQSELQGAVHKFLDEKNIQSKKGGSVHEILGQMLDGNLDMSLAFSESKIWFGLHHPKADVRRHTLSALGTSGVLEAKATNPQSLVSIEDIILRQLHDDDLTVVRAALSLDRLSTIISSADLFEALGNVLKRCIGILMSSSLENSSLACDVSVLCLKNASSGIDDNIERCNILASMIFPLLLVLPKTQRLNLKALELAKEVKWPLFENLAGASNTALTSQPGSLSSINMDTIASLAGRFSLHPEEFMPWLIKSSNDFELSKTQFFLVMMQTLLIQKNKSAGFLALFEVGFPALKAEWEAFESMGDSSIEEFDKDVLNWDCRIFLDKLDSNLKALNANILICLFWRLMEAFLSAMPADISMDNDKKWASWLRDLFVFFSISKFKKVFKEHRHYLVTKCKISAVRFLPRFFTEEDVPPAVQVESLNCFAYLSLQPEVRLPIQLLAEFPSFLVPLASYNQDIRHAAMNCIEGLHTLWAHVDSSSKKNGNHATWIHLLDKLLDLMVQQKRLILSDRNFLPSLLASLLSPSCQGFIAPKNVELRVDQSTRKKILAFILNSALKLPDYAKLVILSLLRGMGNAIIHDREMKSFLSQLLGRRSQNYCELHVSSQNLSKIEVQILCLLLESCAMPSSPDEHVLEDHLLEALKLDGLAPEDPAVIQPCVTVLQKLNSQIHSGLKTEIQELLFQELVSLFRNANGDIQKETRAALLRLNITCSTIVQTLDCMVNNRSCVTDSGYGKKKMKLTGHLKSNPSCDLIFNGENALSFLSSLMDVLLFKKDIENRDSLLGPLFKLLYRTFSNEWVHGVLVQDEKQIQVSSRNSDSMSSAISYIQQTLLIILEDISSSLTNSVPLADNIINEIDVKMLVECAHSVKDGVTRNHVFSLISSITKIIPEKVLGHILDIFTLIGESAVTQIDSHSQHVFEDLISTVVPCWLSGTGNNDKLLEIFINVLPEVAEHRRLSIVVYLLRTLGESNSLASLLVLLFRSLVSRKGLSCFDNMHASDSSTASLQRQWEYALGIHVCEQYSCMIWLPSLVMMLKQIGTGIQSQELFIELLIAMRFTLHKLQDPEFAFKLVSGEDSEKVQATLEELMEQVVSLQQSVDARRKKKGIHVSIRKELKECMHDVLRTITIAMMPPTHFKSITKLLGHRDRNVAKKALGLLCETVRDHDRVRTKHKYNSSSSHQWQHLDENSLESFRYMCLKIVDLVDDSSDDSEASLKVAAALALEVLAHKFPTNYSIFNECLPLVTKNISMHDLAVSSSCLQATGALINVLGPRALSELPHIMENLIRISREAFLSSDIKTTSGVDDGLPVVLQIPKESLILSILVTLEAVVVKLGGFLNPYLEEITRIMVLHLNYASGSDQKLKIKADSVRRLMTENIPVRLALPPMLKIFSSTVESGDSSLTVYFGMLENMIGRLDRSSIGGYHAKIFDLCLYALDLRRQHPASVQNIDDVEKNVYNAMVALTMKLTESMFKPLFIRSIDWAESDVEDIACAGNIPRAISFYGLVNKLVENHRSLFVPYFKYLLEGCVRFLTVAGAAKASGSTRKKKAKIQEGKDNSVLLGNWHLRALILSSLHKCFLYDTGSLKFLDSSNFQVLLKPIVSQLVVDPPLSLEEHPYIPSVEEVDNLLVACIGQMAVTGGSDLLWKPLNYEVLMQTRSDKVRSRILGLRVVKYLVEHLREEYLVFLAETIPFLGELLEDVELPVKSLAQSILKDMETMSGESLSQYL >ONI22992 pep chromosome:Prunus_persica_NCBIv2:G2:21314558:21318283:-1 gene:PRUPE_2G162600 transcript:ONI22992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMFTDCSSSTTARLLPFRHNLLLRRNNGVVSLRRLINLGSPRSLRVHSSSSLSPSPTASLATETPAKVIDGKSVAKQIRDEINAEVARMKDAIGIVPGLAVILVGDRKDSATYVRNKKKACDSVGINSFEVRLPEDSTEQEVLKFISGFNDDSSVHGILVQLPLPPHMNEQNILNAVSIEKDVDGFHPLNIGRLAMRGRDPLFVPCTPKGCIELLHRYGVPIKGKRAVVIGRSNIVGMPAALLLQREDATISIVHSRTKNPEEITRQADIIISAVGQPNMVRGSWIKPGAVIIDVGINPVEDEKSPRGYRLVGDVCYEEASQIASAITPVPGGVGPMTIAMLLSNTLVSAKRVHNFQ >ONI23309 pep chromosome:Prunus_persica_NCBIv2:G2:22445079:22450284:1 gene:PRUPE_2G181700 transcript:ONI23309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPMYRYMDSEPYQRNQTFSFPQPHYPGLRANSPRPFEPWPYGGNYSYPISCHSCCSHNNVPGHDGFRPSHPHASMPSPVYFYGGYPLPYHEAYPVHYVPPPPHYSMEIPKYEYDKNMPPSFHCCGCPNHPRHQNIDKGVKIEEQGPVVEKKAHDSLVPVQLKNNPYPIVSIPPESMNGGEQRKLSEPETIDEKKIPCNSKPRESLKSQEGDQRHGWFPFDLNNIGSLMQGENKGQVQDHQKQMEDKNKEFPFPIFWVPSYEEIGKKDKDVNASQDQQSEDQKKQFPFPFFWLPYENKEGEEVGKEDKREMISTPKIVPMNIAEKGDVTNETGVNEEKPAGQSVVERKENTANQKSIHVKQMNQEEEKNKYEDIERRGRSVPVKHVEDNVANKPSGTSVRGQSSFPKKSSELPPVCLRVDPLPKKKKANGSSRSPSPPGAKGLKQESSTDATKPSASLGLQENAQQDSKSAPKNSKEVEPSKNEKVIPVVDRNSTVDKDAMHTPQIPVSSKEGISRKPTIREAGKDETRCEVNEDEGARKARDTTVDNVEEIKKPTETVKSVVDGRKLEKKTMSDIEAAVRIQSAYRGFEVRRWEPLKKLKQIAEVREQVGDVRNHITSLETSDLQNYDKQKVVIGETIMRLLLKLDTIQGLLPSFRDIRRSLARELVVLQEKLDDLITKKCQDTPQEASTITRVEELSSNANNNSCMLEQKDEVKGLGEGPADGGSDSSHHATEPCQGQVLYTTDYVPALRTKEPDLSDHGELHKASEDSAQELPVASGLKSEDLGSESVTEQKNDVVNGQNNSGQISMVNTEMGNGTGLEQCSESPSLVEDKTVCKGISSEVVNTNPQTIELEELPRGATDNGPAISEPEKDEKIEMNKNEVHQSGEVELEMSPDVTSPNAGANVTDKEAEMHEQADLPQSMIDEESSANEFKKIEEVEVVKEDDVLESGEEEHQMVLDATSQNDGTPNLDQLELQPEGEMEEQPILQSKERVKMDSHKDEELPGDSVLKAEVELPPQEKASKDDFLPLVFESIESQPLSLPVQIETHDAVHEDGPSDVIDGDITCSSALADPGRPIEDEVPIERSTEDSKYQPAATAREDREVEDNKVECEDYNRLASGAETAKEDLFLETQSTPVQKTELASPTKGCAVGVENERSLVEENEKLREMMQKLMEAGNEQLQVISKLTGRVKDLEKKLAKKKKVRTRRYRAASPPGTSCMKPSNDPLKEGAVGVAM >ONI24565 pep chromosome:Prunus_persica_NCBIv2:G2:26359210:26362552:1 gene:PRUPE_2G247400 transcript:ONI24565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSSWNRRTVQRLRQALISTVGSMKIKLLLCCCIGFTLIALASRASDFMGWTNHTAALERFSDSRKGYSIVMNTWKRYDLLKQSISHYSRCPRLDSIHIVWSEPSPPSDSLRKFLYHIVQLNTRDGRQVELKFDINTEDSLNNRFKEIKDLRTDAIFSIDDDVIFPCPSVEFAFDVWQSASDTMVGFVPRMHWVDPTVCDKNHYIYGGWWSVWWTGTYSMVLSKAAFFHKKYLSLYTNEMPASIREFITKNRNCEDIAMSFLVANATGAPPIWVKGKIFEIGSTGISSLGGHSEKRTHCIDRFVAEFGRMPLEPTAVKAVDSRNIWFW >ONI24566 pep chromosome:Prunus_persica_NCBIv2:G2:26358783:26362552:1 gene:PRUPE_2G247400 transcript:ONI24566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSSWNRRTVQRLRQALISTVGSMKIKLLLCCCIGFTLIALASRASDFMGWTNHTAALERFSDSRKGYSIVMNTWKRYDLLKQSISHYSRCPRLDSIHIVWSEPSPPSDSLRKFLYHIVQLNTRDGRQVELKFDINTEDSLNNRFKEIKDLRTDAIFSIDDDVIFPCPSVEFAFDVWQSASDTMVGFVPRMHWVDPTKGDKNHYIYGGWWSVWWTGTYSMVLSKAAFFHKKYLSLYTNEMPASIREFITKNRNCEDIAMSFLVANATGAPPIWVKGKIFEIGSTGISSLGGHSEKRTHCIDRFVAEFGRMPLEPTAVKAVDSRNIWFW >ONI23759 pep chromosome:Prunus_persica_NCBIv2:G2:24061274:24070747:-1 gene:PRUPE_2G206300 transcript:ONI23759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKGKGIAGGGSSGGKRKYDDDKTGGGRKRIDRGVLKFFEDVAAEADGGDDSDFDDDFMEEEFETEPIVKNEPGKAHNLPFIPKEEDMDGEEFEKMMEERYRSGSSYITYAEDNYENKRSVDGSVLLPTVKDPIIWKVKCMVGRERHSAFCMMQKFVDLRSLGTKLEIISAFAVEHIKGFVFIEADKQSDINEACKGICSIYSSRVMPVPNNEVSHLLSPRTRYNGITVGMWARVKSGNYKGDLAQVVFVNDLRKRATVKLIPRINLQAMAAKFGGGGTRKKVPAPAPRLINSSELEEFRPLIQCRNDRESGMRFEFLDGLMFKDGYLYKKVPIDSLSFWGVMPSEEELLKFKSSENNESDNLEWLTELYGKEKKRRTIKIEEGGGKGEGSSGCMGKGEGSSGSMGKGEGSSGSMGKGEGASGSMGKGEGASGSMGKGEGSSGSMGKGEGSSGSVGKGVGSSGSGGNCFELYDLVCLGRKDFGLVIGMEKDDSYKILKEGLEGPVVLIVQKRELKNVLSDMKFTALDRRTKPICVSDTVKVLEGPLKDRQGIVRQIYRGTIFLYDENETENGGYFCSKSHMCEKIKLYNDACKEKDGDSGGPVFEDFMSSPKSPLSPKKPWQERDSNFNRGDTDGIFSIGQTVRIRVGPLKGYLCRILAIRRADITVKLDSQQKVLTVKCEHLSEVRGKSSSVLISEDSESGLKPFDMLGNEGGSKDWTDGAGASAGGAGDGWNAGGASGERNSWPSFSATGISIQSESISGQDGNDVKKDDSWESKVAPNKISSWGAATDNNDQGAGWGKGVDSWGKSSAKTGGDSSASDIWQKAIEPSGTATAGNSQLDSWGKGKNLVEAGSWEKNSDAASGDIASSGWGQQKPLDKGNAVSSGGSDWGKPQNKGAGWGAKEDSCSKATGNWSTKDELSAGEAGWKISKPAEDVQTGSWGNAGGVLPQSEAGNKDEASGWAKPKGAFSNENQNDSWKKPSGVDDNKRASWGKADGGSAWTKQDGDSTWNKQGGGSTWNKQDGGSAWNKPAGDSSWSKQAGGSSWGKQADVTAGHESDRVGNQDDGWKRAKSFGGDQGSGGWGKGSGDKGDIDQQDFSGRPKSFEGAHGFGGRRGGRGGRDQFGRGRSFSQDQSSGWNKDRENNRSADGIGGWKNPNASVENNGSGWSKGWGAEKENVEEQSTGGNKSGDWNAPKSSDKDQTSGWGQTKAWQSGSSDGGNQVSSWGQKGSWNSRSSEAGGNQDSSSGGKRDWNIGSDSSGGNQDSTWGKKSNWNSGSGDTGGNKDSGWGRKNSWNSGSGEADQNSNWSSKSNWNSANSFGGSQSIDGGNGDQPEDFNNNRSGGNWRGGSGRGNSDRGGFRGGGGEREGDRGGFGRRGGFGGRGGDRGSFGGRGRSDRGGFGGRGGSDGGGFGGTGGSDGGGFGGTGYGGRGRGRDQSGGWSNRNDSFDNNSSGWSKGADGAGEGWKKDNGGGSWNQGGGSKNDWQGGKSSGWSSQSSGWNQSDVNKGIGGSGSGWNQTVEAKDTAATQDKGTGSRNEASGSWGNNWKSSDASNVDQSSSWKQSTAAKEIKGTTDQDGGQNKGPSSSAQAGGLGNQGSGWNKGTGSGFGGGTGDQPSAAGGGKSSDWKQSSTSSGAQSCGWNQSGEAKQGTDQGAEPTNSWGKAAAACSWGNGSDGGEAKQGTDEGAKPTNSWGKAVAPASSWGKGSDGGSGKGGW >ONI23758 pep chromosome:Prunus_persica_NCBIv2:G2:24061053:24070973:-1 gene:PRUPE_2G206300 transcript:ONI23758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKGKGIAGGGSSGGKRKYDDDKTGGGRKRIDRGVLKFFEDVAAEADGGDDSDFDDDFMEEEFETEPIVKNEPGKAHNLPFIPKEEDMDGEEFEKMMEERYRSGSSYITYAEDNYENKRSVDGSVLLPTVKDPIIWKVKCMVGRERHSAFCMMQKFVDLRSLGTKLEIISAFAVEHIKGFVFIEADKQSDINEACKGICSIYSSRVMPVPNNEVSHLLSPRTRYNGITVGMWARVKSGNYKGDLAQVVFVNDLRKRATVKLIPRINLQAMAAKFGGGGTRKKVPAPAPRLINSSELEEFRPLIQCRNDRESGMRFEFLDGLMFKDGYLYKKVPIDSLSFWGVMPSEEELLKFKSSENNESDNLEWLTELYGKEKKRRTIKIEEGGGKGEGSSGCMGKGEGSSGSMGKGEGSSGSMGKGEGASGSMGKGEGASGSMGKGEGSSGSMGKGEGSSGSVGKGVGSSGSGGNCFELYDLVCLGRKDFGLVIGMEKDDSYKILKEGLEGPVVLIVQKRELKNVLSDMKFTALDRRTKPICVSDTVKVLEGPLKDRQGIVRQIYRGTIFLYDENETENGGYFCSKSHMCEKIKLYNDACKEKDGDSGGPVFEDFMSSPKSPLSPKKPWQERDSNFNRGDTDGIFSIGQTVRIRVGPLKGYLCRILAIRRADITVKLDSQQKVLTVKCEHLSEVRGKSSSVLISEDSESGLKPFDMLGNEGGSKDWTDGAGASAGGAGDGWNAGGASGESFSATGISIQSESISGQDGNDVKKDDSWESKVAPNKISSWGAATDNNDQGAGWGKGVDSWGKSSAKTGGDSSASDIWQKAIEPSGTATAGNSQLDSWGKGKNLVEAGSWEKNSDAASGDIASSGWGQQKPLDKGNAVSSGGSDWGKPQNKGAGWGAKEDSCSKATGNWSTKDELSAGEAGWKISKPAEDVQTGSWGNAGGVLPQSEAGNKDEASGWAKPKGAFSNENQNDSWKKPSGVDDNKRASWGKADGGSAWTKQDGDSTWNKQGGGSTWNKQDGGSAWNKPAGDSSWSKQAGGSSWGKQADVTAGHESDRVGNQDDGWKRAKSFGGDQGSGGWGKGSGDKGDIDQQDFSGRPKSFEGAHGFGGRRGGRGGRDQFGRGRSFSQDQSSGWNKDRENNRSADGIGGWKNPNASVENNGSGWSKGWGAEKENVEEQSTGGNKSGDWNAPKSSDKDQTSGWGQTKAWQSGSSDGGNQVSSWGQKGSWNSRSSEAGGNQDSSSGGKRDWNIGSDSSGGNQDSTWGKKSNWNSGSGDTGGNKDSGWGRKNSWNSGSGEADQNSNWSSKSNWNSANSFGGSQSIDGGNGDQPEDFNNNRSGGNWRGGSGRGNSDRGGFRGGGGEREGDRGGFGRRGGFGGRGGDRGSFGGRGRSDRGGFGGRGGSDGGGFGGTGGSDGGGFGGTGYGGRGRGRDQSGGWSNRNDSFDNNSSGWSKGADGAGEGWKKDNGGGSWNQGGGSKNDWQGGKSSGWSSQSSGWNQSDVNKGIGGSGSGWNQTVEAKDTAATQDKGTGSRNEASGSWGNNWKSSDASNVDQSSSWKQSTAAKEIKGTTDQDGGQNKGPSSSAQAGGLGNQGSGWNKGTGSGFGGGTGDQPSAAGGGKSSDWKQSSTSSGAQSCGWNQSGEAKQGTDQGAEPTNSWGKAAAACSWGNGSDGGEAKQGTDEGAKPTNSWGKAVAPASSWGKGSDGGSGKGGW >ONI23757 pep chromosome:Prunus_persica_NCBIv2:G2:24061053:24070973:-1 gene:PRUPE_2G206300 transcript:ONI23757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKGKGIAGGGSSGGKRKYDDDKTGGGRKRIDRGVLKFFEDVAAEADGGDDSDFDDEFETEPIVKNEPGKAHNLPFIPKEEDMDGEEFEKMMEERYRSGSSYITYAEDNYENKRSVDGSVLLPTVKDPIIWKVKCMVGRERHSAFCMMQKFVDLRSLGTKLEIISAFAVEHIKGFVFIEADKQSDINEACKGICSIYSSRVMPVPNNEVSHLLSPRTRYNGITVGMWARVKSGNYKGDLAQVVFVNDLRKRATVKLIPRINLQAMAAKFGGGGTRKKVPAPAPRLINSSELEEFRPLIQCRNDRESGMRFEFLDGLMFKDGYLYKKVPIDSLSFWGVMPSEEELLKFKSSENNESDNLEWLTELYGKEKKRRTIKIEEGGGKGEGSSGCMGKGEGSSGSMGKGEGSSGSMGKGEGASGSMGKGEGASGSMGKGEGSSGSMGKGEGSSGSVGKGVGSSGSGGNCFELYDLVCLGRKDFGLVIGMEKDDSYKILKEGLEGPVVLIVQKRELKNVLSDMKFTALDRRTKPICVSDTVKVLEGPLKDRQGIVRQIYRGTIFLYDENETENGGYFCSKSHMCEKIKLYNDACKEKDGDSGGPVFEDFMSSPKSPLSPKKPWQERDSNFNRGDTDGIFSIGQTVRIRVGPLKGYLCRILAIRRADITVKLDSQQKVLTVKCEHLSEVRGKSSSVLISEDSESGLKPFDMLGNEGGSKDWTDGAGASAGGAGDGWNAGGASGERNSWPSFSATGISIQSESISGQDGNDVKKDDSWESKVAPNKISSWGAATDNNDQGAGWGKGVDSWGKSSAKTGGDSSASDIWQKAIEPSGTATAGNSQLDSWGKGKNLVEAGSWEKNSDAASGDIASSGWGQQKPLDKGNAVSSGGSDWGKPQNKGAGWGAKEDSCSKATGNWSTKDELSAGEAGWKISKPAEDVQTGSWGNAGGVLPQSEAGNKDEASGWAKPKGAFSNENQNDSWKKPSGVDDNKRASWGKADGGSAWTKQDGDSTWNKQGGGSTWNKQDGGSAWNKPAGDSSWSKQAGGSSWGKQADVTAGHESDRVGNQDDGWKRAKSFGGDQGSGGWGKGSGDKGDIDQQDFSGRPKSFEGAHGFGGRRGGRGGRDQFGRGRSFSQDQSSGWNKDRENNRSADGIGGWKNPNASVENNGSGWSKGWGAEKENVEEQSTGGNKSGDWNAPKSSDKDQTSGWGQTKAWQSGSSDGGNQVSSWGQKGSWNSRSSEAGGNQDSSSGGKRDWNIGSDSSGGNQDSTWGKKSNWNSGSGDTGGNKDSGWGRKNSWNSGSGEADQNSNWSSKSNWNSANSFGGSQSIDGGNGDQPEDFNNNRSGGNWRGGSGRGNSDRGGFRGGGGEREGDRGGFGRRGGFGGRGGDRGSFGGRGRSDRGGFGGRGGSDGGGFGGTGGSDGGGFGGTGYGGRGRGRDQSGGWSNRNDSFDNNSSGWSKGADGAGEGWKKDNGGGSWNQGGGSKNDWQGGKSSGWSSQSSGWNQSDVNKGIGGSGSGWNQTVEAKDTAATQDKGTGSRNEASGSWGNNWKSSDASNVDQSSSWKQSTAAKEIKGTTDQDGGQNKGPSSSAQAGGLGNQGSGWNKGTGSGFGGGTGDQPSAAGGGKSSDWKQSSTSSGAQSCGWNQSGEAKQGTDQGAEPTNSWGKAAAACSWGNGSDGGEAKQGTDEGAKPTNSWGKAVAPASSWGKGSDGGSGKGGW >ONI24760 pep chromosome:Prunus_persica_NCBIv2:G2:27043561:27044763:-1 gene:PRUPE_2G261100 transcript:ONI24760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDNKYLSSKLVSKEEASMANASCRVYYGEAAGAIPFMWESQPGTPKHPSSQSSLPPLTPPPSYSTTQPNSKRTHKITSKSKFLDTIFPRLRSSRKMLKPPNSMSPPSLSSASSSSSSWSSSSSSSSNYSSSSASSLRNKGARKFYHKYEEDYDEHDHHESKSGSPTSTLCFGGKCRGANMNGIRGCYSMKNIRFGFLSIVNHESGRVTNNNA >ONI24122 pep chromosome:Prunus_persica_NCBIv2:G2:25194147:25203525:1 gene:PRUPE_2G224600 transcript:ONI24122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQGGNTETVAPLDPNSLENRYIVNASQGQTPSYPPSTTGSEASSWTIHRVDNSSTDNGTHSHSTYQYDQHPQPPGSSSSSLGTVNTPQDYNTYASYQNSADPYGYGSTGFQGYYNNYQQQSNTSYPQPVGAYQNTGAPYQPLSSFQNTGSYAGSASYSSTYYNPADYQTAGGYSSSGYNNQTTAWNGGNYANYTSNQYAQYAPDTSAAYSSGTATSTSQNYQQHYKQWSDYYSQTEVSCAPGTENISVTSTPNVGCPVPGVTTGYQTSDIQLPPPPPYAPSWRPEPSPPELPSVQSGAHDGYWNHGAPTSQSQIHHSSPMQPHFQKPLDQKTSYDSFLDQQKSAFSQAPNMQYPASQQVPHVSNTYQPPSQSVPSHASHTYQSPSQPVPSHASHSYQSTSQPVPSHASHSYQSPSQPVPSHASHSYQSPSQPVPSHASHSYQSHSQPAPSVDTRRVNKLQIPTNPRITSNLNLGLPKTEKDSSITTSAAKPAYISVSLPKPVDKVTSSCTADSLLKPGMFPKSLRGYVERALARCKDDTQMAACQSVMKEIITKATADGTLYTRDWDTEPLFPLPNEDTVNKDLQSSNLVSSLPKYNRSPSRRSRSRWEPLPEEKPVEKPASVNNDSLKFSWVHVINKERKPWMGSAGVKGDNTSNGKFASLEQKTASKMTQKPFKKQRLSDGVSTAENGDASSDSDREESLTAYYAGAMALADSPEERKRRESRSRRFERVQGHRAQNNHFKPKKAGGGNLYTRRANALVLSKNFEDGGSRAVEDIDWDSLTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALLMVQSSQKNYLYKCDQLKSIRQDLTVQRIRNHLTVKVYETHARLSLEVGDLPEYNQCQSQLKSLYAEGIEGCHMEFSAYNLLCVILHSNNNRDLVSSMASLSAEAKRDEAVKHALAVRAAVTSGNYVMFFRLYKTASNLSPCLMDLYVEKMRYKAVSCMCRSYRPTIPVSYVAQILGFTTIAPANEGSEEKDSEGLDECIEWLKVHGACLIADNNGEMQIDTKPTSSSLYMPETDAVSHGDANLAVNDFLTRTPL >ONI24123 pep chromosome:Prunus_persica_NCBIv2:G2:25194153:25203422:1 gene:PRUPE_2G224600 transcript:ONI24123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQGGNTETVAPLDPNSLENRYIVNASQGQTPSYPPSTTGSEASSWTIHRVDNSSTDNGTHSHSTYQYDQHPQPPGSSSSSLGTVNTPQDYNTYASYQNSADPYGYGSTGFQGYYNNYQQQSNTSYPQPVGAYQNTGAPYQPLSSFQNTGSYAGSASYSSTYYNPADYQTAGGYSSSGYNNQTTAWNGGNYANYTSNQYAQYAPDTSAAYSSGTATSTSQNYQQHYKQWSDYYSQTEVSCAPGTENISVTSTPNVGCPVPGVTTGYQTSDIQLPPPPPYAPSWRPEPSPPELPSVQSGAHDGYWNHGAPTSQSQIHHSSPMQPHFQKPLDQKTSYDSFLDQQKSAFSQAPNMQYPASQQVPHVSNTYQPPSQSVPSHASHTYQSPSQPVPSHASHSYQSTSQPVPSHASHSYQSPSQPVPSHASHSYQSPSQPVPSHASHSYQSHSQPAPSVDTRRVNKLQIPTNPRITSNLNLGLPKTEKDSSITTSAAKPAYISVSLPKPVDKVTSSCTADSLLKPGMFPKSLRGYVERALARCKDDTQMAACQSVMKEIITKATADGTLYTRDWDTEPLFPLPNEDTVNKDLQSSNLVSSLPKYNRSPSRRSRSRWEPLPEEKPVEKPASVNNDSLKFSWVHVINKERKPWMGSAGVKGDNTSNGKFASLEQKTASKMTQKPFKKQRLSDGVSTAENGDASSDSDREESLTAYYAGAMALADSPEERKRRESRSRRFERVQGHRAQNNHFKPKKAGGGNLYTRRANALVLSKNFEDGGSRAVEDIDWDSLTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALLMVQSSQKNYLYKCDQLKSIRQDLTVQRIRNHLTVKVYETHARLSLEVGDLPEYNQLVS >ONI24121 pep chromosome:Prunus_persica_NCBIv2:G2:25194154:25203403:1 gene:PRUPE_2G224600 transcript:ONI24121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQGGNTETVAPLDPNSLENRYIVNASQGQTPSYPPSTTGSEASSWTIHRVDNSSTDNGTHSHSTYQYDQHPQPPGSSSSSLGTVNTPQDYNTYASYQNSADPYGYGSTGFQGYYNNYQQQSNTSYPQPVGAYQNTGAPYQPLSSFQNTGSYAGSASYSSTYYNPADYQTAGGYSSSGYNNQTTAWNGGNYANYTSNQYAQYAPDTSAAYSSGTATSTSQNYQQHYKQWSDYYSQTEVSCAPGTENISVTSTPNVGCPVPGVTTGYQTSDIQLPPPPPYAPSWRPEPSPPELPSVQSGAHDGYWNHGAPTSQSQIHHSSPMQPHFQKPLDQKTSYDSFLDQQKSAFSQAPNMQYPASQQVPHVSNTYQPPSQSVPSHASHTYQSPSQPVPSHASHSYQSTSQPVPSHASHSYQSPSQPVPSHASHSYQSPSQPVPSHASHSYQSHSQPAPSVDTRRVNKLQIPTNPRITSNLNLGLPKTEKDSSITTSAAKPAYISVSLPKPVDKVTSSCTADSLLKPGMFPKSLRGYVERALARCKDDTQMAACQSVMKEIITKATADGTLYTRDWDTEPLFPLPNEDTVNKDSLQSSNLVSSLPKYNRSPSRRSRSRWEPLPEEKPVEKPASVNNDSLKFSWVHVINKERKPWMGSAGVKGDNTSNGKFASLEQKTASKMTQKPFKKQRLSDGVSTAENGDASSDSDREESLTAYYAGAMALADSPEERKRRESRSRRFERVQGHRAQNNHFKPKKAGGGNLYTRRANALVLSKNFEDGGSRAVEDIDWDSLTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALLMVQSSQKNYLYKCDQLKSIRQDLTVQRIRNHLTVKVYETHARLSLEVGDLPEYNQCQSQLKSLYAEGIEGCHMEFSAYNLLCVILHSNNNRDLVSSMASLSAEAKRDEAVKHALAVRAAVTSGNYVMFFRLYKTASNLSPCLMDLYVEKMRYKAVSCMCRSYRPTIPVSYVAQILGFTTIAPANEGSEEKDSEGLDECIEWLKVHGACLIADNNGEMQIDTKPTSSSLYMPETDAVSHGDANLAVNDFLTRTPL >ONI22120 pep chromosome:Prunus_persica_NCBIv2:G2:16694789:16697055:1 gene:PRUPE_2G108500 transcript:ONI22120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTHTNHDSYKLGIHLQSSQALVWRPHAREWHGAEMVSCMILVFLSVIVHSEKPVAGDDVHFAGELQVRVVWSNIKWLNFLWFQIFKIQK >ONI23382 pep chromosome:Prunus_persica_NCBIv2:G2:22691742:22695065:1 gene:PRUPE_2G185700 transcript:ONI23382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELWRLWNCSEVCSFHLLKPTVLQLSKHSKHVANLELSKKESKFMGHSLHGSYEAVQAILQKMQDAGFKPNSSSITSVLQAVTESCFLKHGKEIHSFVLRNGLDDYDVYVGTSLVDMYVKNNCLSSAQNVFINMKNKNIFAWNSLISGYSFKGLFEDAERLLDSIGEEGIKPNLVTWNGLVSGYAMWGRHKEALSTIHRIKSSGLTPNVVSWTALISGCSQNENYTDSLKFFIQMQEEGIRANSATVSILLKACAGLSLLHKGEEIHCLCIRKGFVEDIFVATGLINMYSKSGKFKSAHQVFRKIKNKTLASWNCMIMAFAIYGFGKEAISLFDEMRGAGVQPDAITFTALLSGCKNSGLVDEGWKLFDSMSTDYNIAPTVEHFSCMVDLLGRASYLDEAWDFIQTMPLKPDATIWGAFLASCRIHKNLAFAEIAAKNLFELEPHNPANYVLMMNLYSMSNRWDDVERLKALMKNTGVKNGPVWSWIQIDQAIHMFSAEGKPHTDAGKIYFELYHLVHEMKKLGYEPDISCVHQNIDEVEKKKLLLSHTEKLAITFGLMNMKSGEPIRVIKNTRVCSDCHTAAKYMSLIRKCEIFMKDGIRFHHFREGECTCNDCW >ONI23381 pep chromosome:Prunus_persica_NCBIv2:G2:22691734:22695104:1 gene:PRUPE_2G185700 transcript:ONI23381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELWRLWNCSEVCSFHLLKPTVLQLSKHSKHVANLELSKKESKFMGHSLHGSYEAVQAILQKMQDAGFKPNSSSITSVLQAVTESCFLKHGKEIHSFVLRNGLDDYDVYVGTSLVDMYVKNNCLSSAQNVFINMKNKNIFAWNSLISGYSFKGLFEDAERLLDSIGEEGIKPNLVTWNGLVSGYAMWGRHKEALSTIHRIKSSGLTPNVVSWTALISGCSQNENYTDSLKFFIQMQEEGIRANSATVSILLKACAGLSLLHKGEEIHCLCIRKGFVEDIFVATGLINMYSKSGKFKSAHQVFRKIKNKTLASWNCMIMAFAIYGFGKEAISLFDEMRGAGVQPDAITFTALLSGCKNSGLVDEGWKLFDSMSTDYNIAPTVEHFSCMVDLLGRASYLDEAWDFIQTMPLKPDATIWGAFLASCRIHKNLAFAEIAAKNLFELEPHNPANYVLMMNLYSMSNRWDDVERLKALMKNTGVKNGPVWSWIQIDQAIHMFSAEGKPHTDAGKIYFELYHLVHEMKKLGYEPDISCVHQNIDEVEKKKLLLSHTEKLAITFGLMNMKSGEPIRVIKNTRVCSDCHTAAKYMSLIRKCEIFMKDGIRFHHFREGECTCNDCW >ONI24230 pep chromosome:Prunus_persica_NCBIv2:G2:25516624:25518105:1 gene:PRUPE_2G230800 transcript:ONI24230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKRSITEMRELDRSLTMANCLMLLSRGSHDHHQYDTFSASPTRVFECKTCNRQFPSFQALGGHRASHKKPRLMGSGDGNSSNGSDQSQSQGSPPKPKTHECNICGLEFAIGQALGGHMRRHRSALSNNNNTSQYSDSNSNSNSVSLSPQPAPMFQVLKKTNSGRRVMCLDLNLTPLENDLKILQIGKAAPLVGFS >ONI23315 pep chromosome:Prunus_persica_NCBIv2:G2:22477248:22480675:1 gene:PRUPE_2G182100 transcript:ONI23315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKEKGVESERKKQEGSSMVKKLKRGVLVGKRGGLCSTPPPIWRLDEFYNSNNKTSSEFLNLHPTTSLSARKLCSSLWEIESYKFQHNPLAQMSKAGARLRHKHRKRNGFELPKHLIGLDTSTNSLHHHQPATASSLGRLVSASQIQHHQSVESNGCALQPLPPASCSSSLEVAPYKGRIGESSQSFKTSTELLKVLNRIWTLEEQHASNIALVKALKMELDHSQAKIEVLLHEKQSDRQEMDDLMKHVTENKLVWKNKDQDRIKATVQLLRDELEGERKLRKHSESLHRKLAREISEVKSSFSNALRELERERKARILLENLCDEFANGIKEYEQEVRSLKQNPEKDHSDMTSSDRLILHLSEAWLDERMQMKIAEAQNDLAERNTIVEKLGFDIENFLQAKRSVELKKNSKFSPMELKGNCSRRHSLESFPLNEAISAPQHVADEDSTDGDSHALEASKTAGGKQIKLSARQHKKNVAEGQHEGLVKSNSMRKKVSQEKTKGNSLSGLQGKFEEHMARAMSYTGNRSQSADNKHDEITKMGEENRTLVDNLEESEYCDLIKEGLQEIQSKRVAVHGLKSNPPDSNCMVETGIQPVYTGNASPVQQWMSKLTTPDFGKSESTLEWPPGLNENTLMAKLLEARLEAKRSRSKTSKGPV >ONI23316 pep chromosome:Prunus_persica_NCBIv2:G2:22477248:22480675:1 gene:PRUPE_2G182100 transcript:ONI23316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKEKGVESERKKQEGSSMVKKLKRGVLVGKRGGLCSTPPPIWRLDEFYNSNNKTSSEFLNLHPTTSLSARKLCSSLWEIESYKFQHNPLAQMSKAGARLRHKHRKRNGFELPKHLIGLDTSTNSLHHHQVAPYKGRIGESSQSFKTSTELLKVLNRIWTLEEQHASNIALVKALKMELDHSQAKIEVLLHEKQSDRQEMDDLMKHVTENKLVWKNKDQDRIKATVQLLRDELEGERKLRKHSESLHRKLAREISEVKSSFSNALRELERERKARILLENLCDEFANGIKEYEQEVRSLKQNPEKDHSDMTSSDRLILHLSEAWLDERMQMKIAEAQNDLAERNTIVEKLGFDIENFLQAKRSVELKKNSKFSPMELKGNCSRRHSLESFPLNEAISAPQHVADEDSTDGDSHALEASKTAGGKQIKLSARQHKKNVAEGQHEGLVKSNSMRKKVSQEKTKGNSLSGLQGKFEEHMARAMSYTGNRSQSADNKHDEITKMGEENRTLVDNLEESEYCDLIKEGLQEIQSKRVAVHGLKSNPPDSNCMVETGIQPVYTGNASPVQQWMSKLTTPDFGKSESTLEWPPGLNENTLMAKLLEARLEAKRSRSKTSKGPV >ONI23317 pep chromosome:Prunus_persica_NCBIv2:G2:22477248:22480675:1 gene:PRUPE_2G182100 transcript:ONI23317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDHSQAKIEVLLHEKQSDRQEMDDLMKHVTENKLVWKNKDQDRIKATVQLLRDELEGERKLRKHSESLHRKLAREISEVKSSFSNALRELERERKARILLENLCDEFANGIKEYEQEVRSLKQNPEKDHSDMTSSDRLILHLSEAWLDERMQMKIAEAQNDLAERNTIVEKLGFDIENFLQAKRSVELKKNSKFSPMELKGNCSRRHSLESFPLNEAISAPQHVADEDSTDGDSHALEASKTAGGKQIKLSARQHKKNVAEGQHEGLVKSNSMRKKVSQEKTKGNSLSGLQGKFEEHMARAMSYTGNRSQSADNKHDEITKMGEENRTLVDNLEESEYCDLIKEGLQEIQSKRVAVHGLKSNPPDSNCMVETGIQPVYTGNASPVQQWMSKLTTPDFGKSESTLEWPPGLNENTLMAKLLEARLEAKRSRSKTSKGPV >ONI23318 pep chromosome:Prunus_persica_NCBIv2:G2:22477248:22480675:1 gene:PRUPE_2G182100 transcript:ONI23318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDHSQAKIEVLLHEKQSDRQEMDDLMKHVTENKLVWKNKDQDRIKATVQLLRDELEGERKLRKHSESLHRKLAREISEVKSSFSNALRELERERKARILLENLCDEFANGIKEYEQEVRSLKQNPEKDHSDMTSSDRLILHLSEAWLDERMQMKIAEAQNDLAERNTIVEKLGFDIENFLQAKRSVELKKNSKFSPMELKGNCSRRHSLESFPLNEAISAPQHVADEDSTDGDSHALEASKTAGGKQIKLSARQHKKNVAEGQHEGLVKSNSMRKKVSQEKTKGNSLSGLQGKFEEHMARAMSYTGNRSQSADNKHDEITKMGEENRTLVDNLEESEYCDLIKEGLQEIQSKRVAVHGLKSNPPDSNCMVETGIQPVYTGNASPVQQWMSKLTTPDFGKSESTLEWPPGLNENTLMAKLLEARLEAKRSRSKTSKGPV >ONI20285 pep chromosome:Prunus_persica_NCBIv2:G2:667789:667995:-1 gene:PRUPE_2G006900 transcript:ONI20285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFSGFLNCFFPCSSSHQVSDDAGGSCNKEPSSKDSKKGKSKSSSSSSSGAPIVVSYFPQNSYLSRL >ONI25285 pep chromosome:Prunus_persica_NCBIv2:G2:28581337:28584186:1 gene:PRUPE_2G293500 transcript:ONI25285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSAVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKINYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMISS >ONI23080 pep chromosome:Prunus_persica_NCBIv2:G2:21683040:21686308:1 gene:PRUPE_2G169200 transcript:ONI23080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPNIDHEKQIYCFKVFYAFLVVLLLRMNNPCIGCSEREMQALLALKQGLLDDDKSLLSWGREVQNKDCCQWDGVYCSNHTGDVVKLDLGDQSLEGDISPKLIHLQHLEYLNLSFNYFSLSKIPDFIGSLSNLRYLDLSYASFGDEIPNQLENLTHLEYLDLRSYSDYPIYAKNLNWLSNLSCLKHLDLSSTNLTGVVGWLEAVNMLPKLRNLILQGCNLPPPIISAVYVMNSSKSLVRVDLSWNYFNGSSIPASFGNMSSLAHLILHRSQLEGGIPNSFAKLCRLRELDLGSNSLSGQLSDFVETLSKCAQKTLESLDISYNPNISGSLPDLTNFLSLKHLSLGGNNLSGRIPESIGQMSKLETIGFGGNSLDGVISETHFSKLSKLSYLDLSSNSLLLNFSFDWIPPFQLRDINLKSCKMWLSSFPKWLQTQKNYTWLDISDAGISDTIPSLFWDLSPKLTFMDISHNQMRGTVGNVRLEFAPHLNLSWNQLEGPIPSILSEVSALDLSHNNISGAASFLCPTKDSSLSFLDLSGNHVSEELPDCWTYFKNLVFLDLSNNYFFGKIPTTMGYLFSIQTLRLSNNRFVGELPQFDNCGKLTLFDLGENNLSCSIPKWLGASLSNLVILILRGNQFYRSIPPQLCHLTRIQILDLSMNNISGTIPKCLNNLIVLAQKGNSDLAIQHAYYTYLGGGLRSWLYDDEASLTWKGVRSKYKSTLGLVKSIDLSSNKLIGEIPSEITDLVGLVSLNLSQNQLTGQIPPRIGMLQELDSLDLSRNQINGRIPNSLSRIDRIGYLNLSENDLAGKIPIGTQLQSFGPSSYGGNPLLCGLPLLRTCDEEEKGPGQTMLVNQDDKDGLISQGFYISLGLGFAVGFWGVFGTLLFNRSCRYTYFNFWTCFTDWLYVKAEIIRQRMPNTK >ONI21718 pep chromosome:Prunus_persica_NCBIv2:G2:13279782:13281385:-1 gene:PRUPE_2G083800 transcript:ONI21718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCISLFAIKKISCYVVVAQKLSDGCSGGNKVGSGNEFIEEPLKRIWRSSDLDSMLDEKENVYEYENHPWEYFSLRQSSRCEDSYHKGPAKKVVERFVKSKTFNYRPFKQSYNAILHSLLLVKQHKLIEWVYQQMWIDGHWGDKPLAALNLLNHMKEMGFDPSVLHFTTLIDGLSRAENLDACKNFFDEMIKHECFPDVVCYTLEKAQGVFDEMITNGQLLNVFTYNAMICGVCMAGKLEEACFMLKDMESRGCNPNFTVYSTLVSYLQNAGKLAEALEVITYMMEKGQCVHLLSKFKGYRRS >ONI25785 pep chromosome:Prunus_persica_NCBIv2:G2:29835526:29841094:-1 gene:PRUPE_2G320100 transcript:ONI25785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSYGNGGPYPYSSSPSSLSALAPPFTVDRSVPKPISSPLVDVTETPYVAPLNSSSHNWLPSHPPITGSNFFANPTPEFNSLPSSNAYRYAGSQIVDPPNTTLPPLNTITPASSNAFTYDQSLDAVATSFVEAKPYYPSYLSPTIHGDSPLVVPDQPSYDWLSTTHFAPLDGCSRKDYTQRPPDLKYTAQWGGLWNGLSEWEQGKQGDFDGSFCSKKTDVSGSFLYKNFMNQEPHSSNSLNSFEEASHGINTLGWEKPGGSGNAHLGDKSLVGKNSKFTPSDFSKSVMGSLSVVPEPHLKAPSSQCVTKTSNCKTPYSVSSETQQLDASLDYITSISESSPAFATRTPALGTKLSEPGTGLFRRLNFISDAADTDHGDYYSSGVQESHLPQISEGKVLFDSSQLGFHLGAKDCFSAESSSARNEELSNNRNIINKDAWDKVFKAKPGLQNSHVGLDGFKMAFKTNETINSFLSSSDNVDPNNPGVDSPCWKGVPGSCFSPFGASEDGVPEQIKKLEDCSGLNIHMPMFPLSAGENVSSQKPIKNAVEYNEFGWLENGLRPPLKRYSVANSAFGEHKWDNSVKTTYDAETSHDRGPQSYRDGLHQSGNGDKSLGLLDDSHAMQQGHGEDGLATEVKQTWSCVADVKLNANDTMEYGSSHVPSHVVENVLCSSAEDAATKLSKSNGEESMLKVDVQMLVDTLKNLSELLLTNCSNGLCQLKKTDIATLKAVINNLHICISKNVEKWSPMQESPTFQQNTSQCYAELSEHHKVLSADRPLSASAPDIQDQVIGSIHVKSDIDVVKEDKMTQAIKEILSENFHSEETDPQVLLYKNLWLEAEAVLCSINYKARFNRVKIEMDKCKAENSKDVFEYTADMMKQSKSEVSPDSNPVNPLTPEAQGCPTSNVPDLPILSQEDEVLARFDILRGRVENTNSINASNAAELSSKASPEPSKVERIAPEANGTPSPGISIQDSSISSTIGVTDDYEASVMARFHILRDRVEKSKFISAVNMEEPSSPKVSLEPKTDVIVPDRNDGSASEFNLFQDSPPSITTSHANDCEASVMSRLHILKSRVDNCSDMHTEGQQLPEPKIEVIAPDTSDSLMPEFSIQDSPVSRATSQANDCEASVMSRLHILKSRVDNSSYMHREGKQLPEIGGLGNAGKRHPWPIISKRSEGGSSDIKEQPILRSFKADNSEGKLDTAKEFHLFVEDDPLTQYFRIHKPANQLPAGGHDNSSSDWEHVMKEEIWGQNC >ONI25779 pep chromosome:Prunus_persica_NCBIv2:G2:29835528:29841094:-1 gene:PRUPE_2G320100 transcript:ONI25779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSYGNGGPYPYSSSPSSLSALAPPFTVDRSVPKPISSPLVDVTETPYVAPLNSSSHNWLPSHPPITGSNFFANPTPEFNSLPSSNAYRYAGSQIVDPPNTTLPPLNTITPASSNAFTYDQSLDAVATSFVEAKPYYPSYLSPTIHGDSPLVVPDQPSYDWLSTTHFAPLDGCSRKDYTQRPPDLKYTAQWGGLWNGLSEWEQGKQGDFDGSFCSKKTDVSGSFLYKNFMNQEPHSSNSLNSFEEASHGINTLGWEKPGGSGNAHLGDKSLVGKNSKFTPSDFSKSVMGSLSVVPEPHLKAPSSQCVTKTSNCKTPYSVSSETQQLDASLDYITSISESSPAFATRTPALGTKLSEPGTGLFRRLNFISDAADTDHGDYYSSGVQESHLPQISEGKVLFDSSQLGFHLGAKDCFSAESSSARNEELSNNRNIINKDAWDKVFKAKPGLQNSHVGLDGFKMAFKTNETINSFLSSSDNVDPNNPGVDSPCWKGVPGSCFSPFGASEDGVPEQIKKLEDCSGLNIHMPMFPLSAGENVSSQKPIKNAVEYNEFGWLENGLRPPLKRYSVANSAFGEHKWDNSVKTTYDAETSHDRGPQSYRDGLHQSGNGDKSLGLLDDSHAMQQGHGEDGLATEVKQTWSCVADVKLNANDTMEYGSSHVPSHVVENVLCSSAEDAATKLSKSNGEESMLKVDVQMLVDTLKNLSELLLTNCSNGLCQLKKTDIATLKAVINNLHICISKNVEKWSPMQESPTFQQNTSQCYAELSEHHKVLSADRPLSASAPDIQDQVIGSIHVKSDIDVVKEDKMTQAIKEILSENFHSEETDPQVLLYKNLWLEAEAVLCSINYKARFNRVKIEMDKCKAENSKDMMKQSKSEVSPDSNPVNPLTPEAQGCPTSNVPDLPILSQEDEVLARFDILRGRVENTNSINASNAAELSSKASPEPSKVERIAPEANGTPSPGISIQDSSISSTIGVTDDYEASVMARFHILRDRVEKSKFISAVNMEEPSSPKVSLEPKTDVIVPDRNDGSASEFNLFQDSPPSITTSHANDCEASVMSRLHILKSRVDNCSDMHTEGQQLPEPKIEVIAPDTSDSLMPEFSIQDSPVSRATSQANDCEASVMSRLHILKSRVDNSSYMHREGKQLPEIGGLGNAGKRHPWPIISKRSEGGSSDIKEQPILRSFKADNSEGKLDTAKEFHLFVEDDPLTQYFRIHKPANQLPAGGHDNSSSDWEHVMKEEIWGQNC >ONI25782 pep chromosome:Prunus_persica_NCBIv2:G2:29835951:29840337:-1 gene:PRUPE_2G320100 transcript:ONI25782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSYGNGGPYPYSSSPSSLSALAPPFTVDRSVPKPISSPLVDVTETPYVAPLNSSSHNWLPSHPPITGSNFFANPTPEFNSLPSSNAYRYAGSQIVDPPNTTLPPLNTITPASSNAFTYDQSLDAVATSFVEAKPYYPSYLSPTIHGDSPLVVPDQPSYDWLSTTHFAPLDGCSRKDYTQRPPDLKYTAQWGGLWNGLSEWEQGKQGDFDGSFCSKKTDVSGSFLYKNFMNQEPHSSNSLNSFEEASHGINTLGWEKPGGSGNAHLGDKSLVGKNSKFTPSDFSKSVMGSLSVVPEPHLKAPSSQCVTKTSNCKTPYSVSSETQQLDASLDYITSISESSPAFATRTPALGTKLSEPGTGLFRRLNFISDAADTDHGDYYSSGVQESHLPQISEGKVLFDSSQLGFHLGAKDCFSAESSSARNEELSNNRNIINKDAWDKVFKAKPGLQNSHVGLDGFKMAFKTNETINSFLSSSDNVDPNNPGVDSPCWKGVPGSCFSPFGASEDGVPEQIKKLEDCSGLNIHMPMFPLSAGENVSSQKPIKNAVEYNEFGWLENGLRPPLKRYSVANSAFGEHKWDNSVKTTYDAETSHDRGPQSYRDGLHQSGNGDKSLGLLDDSHAMQQGHGEDGLATEVKQTWSCVADVKLNANDTMEYGSSHVPSHVVENVLCSSAEDAATKLSKSNGEESMLKVDVQMLVDTLKNLSELLLTNCSNGLCQLKKTDIATLKAVINNLHICISKNVEKWSPMQESPTFQQNTSQCYAELSEHHKVLSADRPLSASAPDIQDQVIGSIHVKSDIDVVKEDKMTQAIKEILSENFHSEETDPQVLLYKNLWLEAEAVLCSINYKARFNRVKIEMDKCKAENSKDVFEYTADMMKQSKSEVSPDSNPVNPLTPEAQGCPTSNVPDLPILSQEDEVLARFDILRGRVENTNSINASNAAELSSKASPEPSKVERIAPEANGTPSPGISIQDSSISSTIGVTDDYEASVMARFHILRDRVEKSKFISAVNMEEPSSPKDSPPSITTSHANDCEASVMSRLHILKSRVDNCSDMHTEGQQLPEPKIEVIAPDTSDSLMPEFSIQDSPVSRATSQANDCEASVMSRLHILKSRVDNSSYMHREGKQLPEIGGLGNAGKRHPWPIISKRSEGGSSDIKEQPILRSFKADNSEGKLDTAKEFHLFVEDDPLTQYFRIHKPANQLPAGGHDNSSSDWEHVMKEEIWGQNC >ONI25784 pep chromosome:Prunus_persica_NCBIv2:G2:29835951:29840337:-1 gene:PRUPE_2G320100 transcript:ONI25784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSYGNGGPYPYSSSPSSLSALAPPFTVDRSVPKPISSPLVDVTETPYVAPLNSSSHNWLPSHPPITGSNFFANPTPEFNSLPSSNAYRYAGSQIVDPPNTTLPPLNTITPASSNAFTYDQSLDAVATSFVEAKPYYPSYLSPTIHGDSPLVVPDQPSYDWLSTTHFAPLDGCSRKDYTQRPPDLKYTAQWGGLWNGLSEWEQGKQGDFDGSFCSKKTDVSGSFLYKNFMNQEPHSSNSLNSFEEASHGINTLGWEKPGGSGNAHLGDKSLVGKNSKFTPSDFSKSVMGSLSVVPEPHLKAPSSQCVTKTSNCKTPYSVSSETQQLDASLDYITSISESSPAFATRTPALGTKLSEPGTGLFRRLNFISDAADTDHGDYYSSGVQESHLPQISEGKVLFDSSQLGFHLGAKDCFSAESSSARNEELSNNRNIINKDAWDKVFKAKPGLQNSHVGLDGFKMAFKTNETINSFLSSSDNVDPNNPGVDSPCWKGVPGSCFSPFGASEDGVPEQIKKLEDCSGLNIHMPMFPLSAGENVSSQKPIKNAVEYNEFGWLENGLRPPLKRYSVANSAFGEHKWDNSVKTTYDAETSHDRGPQSYRDGLHQSGNGDKSLGLLDDSHAMQQGHGEDGLATEVKQTWSCVADVKLNANDTMEYGSSHVPSHVVENVLCSSAEDAATKLSKSNGEESMLKVDVQMLVDTLKNLSELLLTNCSNGLCQLKKTDIATLKAVINNLHICISKNVEKWSPMQESPTFQQNTSQCYAELSEHHKVLSADRPLSASAPDIQDQVIGSIHVKSDIDVVKEDKMTQAIKEILSENFHSEETDPQVLLYKNLWLEAEAVLCSINYKARFNRVKIEMDKCKAENSKDVFEYTADMMKQSKSEVSPDSNPVNPLTPEAQGCPTSNVPDLPILSQEDEVLARFDILRGRVENTNSINASNAAELSSKASPEPSKVERIAPEANGTPSPGISIQDSSISSTIGVTDDYEASVMARFHILRDRVEKSKFISAVNMEEPSSPKVSLEPKTDVIVPDRNDGSASEFNLFQDSPPSITTSHANDCEASVMSRLHILKSRVDNCSDMHTEGQQLPEPKIEVIAPDTSDSLMPEFSIQDSPVSRATSQANDCEASVMSRLHILKSRVDNSSYMHREGKQLPEIGGLGNAGKRHPWPIISKRSEGGSSDIKEQPILRSFKADNSEGKLDTAKEFHLFVEDDPLTQYFRIHKPANQLPAGGHDNSSSDWEHVMKEEIWGQNC >ONI25781 pep chromosome:Prunus_persica_NCBIv2:G2:29835951:29840337:-1 gene:PRUPE_2G320100 transcript:ONI25781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSYGNGGPYPYSSSPSSLSALAPPFTVDRSVPKPISSPLVDVTETPYVAPLNSSSHNWLPSHPPITGSNFFANPTPEFNSLPSSNAYRYAGSQIVDPPNTTLPPLNTITPASSNAFTYDQSLDAVATSFVEAKPYYPSYLSPTIHGDSPLVVPDQPSYDWLSTTHFAPLDGCSRKDYTQRPPDLKYTAQWGGLWNGLSEWEQGKQGDFDGSFCSKKTDVSGSFLYKNFMNQEPHSSNSLNSFEEASHGINTLGWEKPGGSGNAHLGDKSLVGKNSKFTPSDFSKSVMGSLSVVPEPHLKAPSSQCVTKTSNCKTPYSVSSETQQLDASLDYITSISESSPAFATRTPALGTKLSEPGTGLFRRLNFISDAADTDHGDYYSSGVQESHLPQISEGKVLFDSSQLGFHLGAKDCFSAESSSARNEELSNNRNIINKDAWDKVFKAKPGLQNSHVGLDGFKMAFKTNETINSFLSSSDNVDPNNPGVDSPCWKGVPGSCFSPFGASEDGVPEQIKKLEDCSGLNIHMPMFPLSAGENVSSQKPIKNAVEYNEFGWLENGLRPPLKRYSVANSAFGEHKWDNSVKTTYDAETSHDRGPQSYRDGLHQSGNGDKSLGLLDDSHAMQQGHGEDGLATEVKQTWSCVADVKLNANDTMEYGSSHVPSHVVENVLCSSAEDAATKLSKSNGEESMLKVDVQMLVDTLKNLSELLLTNCSNGLCQLKKTDIATLKAVINNLHICISKNVEKWSPMQESPTFQQNTSQCYAELSEHHKVLSADRPLSASAPDIQDQVIGSIHVKSDIDVVKEDKMTQAIKEILSENFHSEETDPQVLLYKNLWLEAEAVLCSINYKARFNRVKIEMDKCKAENSKDVFEYTADMMKQSKSEVSPDSNPVNPLTPEAQGCPTSNVPDLPILSQEDEVLARFDILRGRVENTNSINASNAAELSSKASPEPSKVERIAPEANGTPSPGISIQDSSISSTIGVTDDYEASVMARFHILRDRVEKSKFISAVNMEEPSSPKDSPPSITTSHANDCEASVMSRLHILKSRVDNCSDMHTEGQQLPEPKIEVIAPDTSDSLMPEFSIQDSPVSRATSQANDCEASVMSRLHILKSRVDNSSYMHREGKQLPEIGGLGNAGKRHPWPIISKRSEGGSSDIKEQPILRSFKADNSEGKLDTAKEFHLFVEDDPLTQYFRIHKPANQLPAGGHDNSSSDWEHVMKEEIWGQNC >ONI25778 pep chromosome:Prunus_persica_NCBIv2:G2:29835528:29841094:-1 gene:PRUPE_2G320100 transcript:ONI25778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSYGNGGPYPYSSSPSSLSALAPPFTVDRSVPKPISSPLVDVTETPYVAPLNSSSHNWLPSHPPITGSNFFANPTPEFNSLPSSNAYRYAGSQIVDPPNTTLPPLNTITPASSNAFTYDQSLDAVATSFVEAKPYYPSYLSPTIHGDSPLVVPDQPSYDWLSTTHFAPLDGCSRKDYTQRPPDLKYTAQWGGLWNGLSEWEQGKQGDFDGSFCSKKTDVSGSFLYKNFMNQEPHSSNSLNSFEEASHGINTLGWEKPGGSGNAHLGDKSLVGKNSKFTPSDFSKSVMGSLSVVPEPHLKAPSSQCVTKTSNCKTPYSVSSETQQLDASLDYITSISESSPAFATRTPALGTKLSEPGTGLFRRLNFISDAADTDHGDYYSSGVQESHLPQISEGKVLFDSSQLGFHLGAKDCFSAESSSARNEELSNNRNIINKDAWDKVFKAKPGLQNSHVGLDGFKMAFKTNETINSFLSSSDNVDPNNPGVDSPCWKGVPGSCFSPFGASEDGVPEQIKKLEDCSGLNIHMPMFPLSAGENVSSQKPIKNAVEYNEFGWLENGLRPPLKRYSVANSAFGEHKWDNSVKTTYDAETSHDRGPQSYRDGLHQSGNGDKSLGLLDDSHAMQQGHGEDGLATEVKQTWSCVADVKLNANDTMEYGSSHVPSHVVENVLCSSAEDAATKLSKSNGEESMLKVDVQMLVDTLKNLSELLLTNCSNGLCQLKKTDIATLKAVINNLHICISKNVEKWSPMQESPTFQQNTSQCYAELSEHHKVLSADRPLSASAPDIQDQVIGSIHVKSDIDVVKEDKMTQAIKEILSENFHSEETDPQVLLYKNLWLEAEAVLCSINYKARFNRVKIEMDKCKAENSKDMMKQSKSEVSPDSNPVNPLTPEAQGCPTSNVPDLPILSQEDEVLARFDILRGRVENTNSINASNAAELSSKASPEPSKVERIAPEANGTPSPGISIQDSSISSTIGVTDDYEASVMARFHILRDRVEKSKFISAVNMEEPSSPKDSPPSITTSHANDCEASVMSRLHILKSRVDNCSDMHTEGQQLPEPKIEVIAPDTSDSLMPEFSIQDSPVSRATSQANDCEASVMSRLHILKSRVDNSSYMHREGKQLPEIGGLGNAGKRHPWPIISKRSEGGSSDIKEQPILRSFKADNSEGKLDTAKEFHLFVEDDPLTQYFRIHKPANQLPAGGHDNSSSDWEHVMKEEIWGQNC >ONI25780 pep chromosome:Prunus_persica_NCBIv2:G2:29835528:29841170:-1 gene:PRUPE_2G320100 transcript:ONI25780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSYGNGGPYPYSSSPSSLSALAPPFTVDRSVPKPISSPLVDVTETPYVAPLNSSSHNWLPSHPPITGSNFFANPTPEFNSLPSSNAYRYAGSQIVDPPNTTLPPLNTITPASSNAFTYDQSLDAVATSFVEAKPYYPSYLSPTIHGDSPLVVPDQPSYDWLSTTHFAPLDGCSRKDYTQRPPDLKYTAQWGGLWNGLSEWEQGKQGDFDGSFCSKKTDVSGSFLYKNFMNQEPHSSNSLNSFEEASHGINTLGWEKPGGSGNAHLGDKSLVGKNSKFTPSDFSKSVMGSLSVVPEPHLKAPSSQCVTKTSNCKTPYSVSSETQQLDASLDYITSISESSPAFATRTPALGTKLSEPGTGLFRRLNFISDAADTDHGDYYSSGVQESHLPQISEGKVLFDSSQLGFHLGAKDCFSAESSSARNEELSNNRNIINKDAWDKVFKAKPGLQNSHVGLDGFKMAFKTNETINSFLSSSDNVDPNNPGVDSPCWKGVPGSCFSPFGASEDGVPEQIKKLEDCSGLNIHMPMFPLSAGENVSSQKPIKNAVEYNEFGWLENGLRPPLKRYSVANSAFGEHKWDNSVKTTYDAETSHDRGPQSYRDGLHQSGNGDKSLGLLDDSHAMQQGHGEDGLATEVKQTWSCVADVKLNANDTMEYGSSHVPSHVVENVLCSSAEDAATKLSKSNGEESMLKVDVQMLVDTLKNLSELLLTNCSNGLCQLKKTDIATLKAVINNLHICISKNVEKWSPMQESPTFQQNTSQCYAELSEHHKVLSADRPLSASAPDIQDQVIGSIHVKSDIDVVKEDKMTQAIKEILSENFHSEETDPQVLLYKNLWLEAEAVLCSINYKARFNRVKIEMDKCKAENSKDMMKQSKSEVSPDSNPVNPLTPEAQGCPTSNVPDLPILSQEDEVLARFDILRGRVENTNSINASNAAELSSKASPEPSKVERIAPEANGTPSPGISIQDSSISSTIGVTDDYEASVMARFHILRDRVEKSKFISAVNMEEPSSPKVSLEPKTDVIVPDRNDGSASEFNLFQDSPPSITTSHANDCEASVMSRLHILKSRVDNCSDMHTEGQQLPEPKIEVIAPDTSDSLMPEFSIQDSPVSRATSQANDCEASVMSRLHILKSRVDNSSYMHREGKQLPEIGGLGNAGKRHPWPIISKRSEGGSSDIKEQPILRSFKADNSEGKLDTAKEFHLFVEDDPLTQYFRIHKPANQLPAGGHDNSSSDWEHVMKEEIWGQNC >ONI25783 pep chromosome:Prunus_persica_NCBIv2:G2:29835951:29840337:-1 gene:PRUPE_2G320100 transcript:ONI25783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSYGNGGPYPYSSSPSSLSALAPPFTVDRSVPKPISSPLVDVTETPYVAPLNSSSHNWLPSHPPITGSNFFANPTPEFNSLPSSNAYRYAGSQIVDPPNTTLPPLNTITPASSNAFTYDQSLDAVATSFVEAKPYYPSYLSPTIHGDSPLVVPDQPSYDWLSTTHFAPLDGCSRKDYTQRPPDLKYTAQWGGLWNGLSEWEQGKQGDFDGSFCSKKTDVSGSFLYKNFMNQEPHSSNSLNSFEEASHGINTLGWEKPGGSGNAHLGDKSLVGKNSKFTPSDFSKSVMGSLSVVPEPHLKAPSSQCVTKTSNCKTPYSVSSETQQLDASLDYITSISESSPAFATRTPALGTKLSEPGTGLFRRLNFISDAADTDHGDYYSSGVQESHLPQISEGKVLFDSSQLGFHLGAKDCFSAESSSARNEELSNNRNIINKDAWDKVFKAKPGLQNSHVGLDGFKMAFKTNETINSFLSSSDNVDPNNPGVDSPCWKGVPGSCFSPFGASEDGVPEQIKKLEDCSGLNIHMPMFPLSAGENVSSQKPIKNAVEYNEFGWLENGLRPPLKRYSVANSAFGEHKWDNSVKTTYDAETSHDRGPQSYRDGLHQSGNGDKSLGLLDDSHAMQQGHGEDGLATEVKQTWSCVADVKLNANDTMEYGSSHVPSHVVENVLCSSAEDAATKLSKSNGEESMLKVDVQMLVDTLKNLSELLLTNCSNGLCQLKKTDIATLKAVINNLHICISKNVEKWSPMQESPTFQQNTSQCYAELSEHHKVLSADRPLSASAPDIQDQVIGSIHVKSDIDVVKEDKMTQAIKEILSENFHSEETDPQVLLYKNLWLEAEAVLCSINYKARFNRVKIEMDKCKAENSKDVFEYTADMMKQSKSEVSPDSNPVNPLTPEAQGCPTSNVPDLPILSQEDEVLARFDILRGRVENTNSINASNAAELSSKASPEPSKVERIAPEANGTPSPGISIQDSSISSTIGVTDDYEASVMARFHILRDRVEKSKFISAVNMEEPSSPKVSLEPKTDVIVPDRNDGSASEFNLFQDSPPSITTSHANDCEASVMSRLHILKSRVDNCSDMHTEGQQLPEPKIEVIAPDTSDSLMPEFSIQDSPVSRATSQANDCEASVMSRLHILKSRVDNSSYMHREGKQLPEIGGLGNAGKRHPWPIISKRSEGGSSDIKEQPILRSFKADNSEGKLDTAKEFHLFVEDDPLTQYFRIHKPANQLPAGGHDNSSSDWEHVMKEEIWGQNC >ONI25777 pep chromosome:Prunus_persica_NCBIv2:G2:29835528:29840435:-1 gene:PRUPE_2G320100 transcript:ONI25777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSYGNGGPYPYSSSPSSLSALAPPFTVDRSVPKPISSPLVDVTETPYVAPLNSSSHNWLPSHPPITGSNFFANPTPEFNSLPSSNAYRYAGSQIVDPPNTTLPPLNTITPASSNAFTYDQSLDAVATSFVEAKPYYPSYLSPTIHGDSPLVVPDQPSYDWLSTTHFAPLDGCSRKDYTQRPPDLKYTAQWGGLWNGLSEWEQGKQGDFDGSFCSKKTDVSGSFLYKNFMNQEPHSSNSLNSFEEASHGINTLGWEKPGGSGNAHLGDKSLVGKNSKFTPSDFSKSVMGSLSVVPEPHLKAPSSQCVTKTSNCKTPYSVSSETQQLDASLDYITSISESSPAFATRTPALGTKLSEPGTGLFRRLNFISDAADTDHGDYYSSGVQESHLPQISEGKVLFDSSQLGFHLGAKDCFSAESSSARNEELSNNRNIINKDAWDKVFKAKPGLQNSHVGLDGFKMAFKTNETINSFLSSSDNVDPNNPGVDSPCWKGVPGSCFSPFGASEDGVPEQIKKLEDCSGLNIHMPMFPLSAGENVSSQKPIKNAVEYNEFGWLENGLRPPLKRYSVANSAFGEHKWDNSVKTTYDAETSHDRGPQSYRDGLHQSGNGDKSLGLLDDSHAMQQGHGEDGLATEVKQTWSCVADVKLNANDTMEYGSSHVPSHVVENVLCSSAEDAATKLSKSNGEESMLKVDVQMLVDTLKNLSELLLTNCSNGLCQLKKTDIATLKAVINNLHICISKNVEKWSPMQESPTFQQNTSQCYAELSEHHKVLSADRPLSASAPDIQDQVIGSIHVKSDIDVVKEDKMTQAIKEILSENFHSEETDPQVLLYKNLWLEAEAVLCSINYKARFNRVKIEMDKCKAENSKDMMKQSKSEVSPDSNPVNPLTPEAQGCPTSNVPDLPILSQEDEVLARFDILRGRVENTNSINASNAAELSSKASPEPSKVERIAPEANGTPSPGISIQDSSISSTIGVTDDYEASVMARFHILRDRVEKSKFISAVNMEEPSSPKDSPPSITTSHANDCEASVMSRLHILKSRVDNCSDMHTEGQQLPEPKIEVIAPDTSDSLMPEFSIQDSPVSRATSQANDCEASVMSRLHILKSRVDNSSYMHREGKQLPEIGGLGNAGKRHPWPIISKRSEGGSSDIKEQPILRSFKADNSEGKLDTAKEFHLFVEDDPLTQYFRIHKPANQLPAGGHDNSSSDWEHVMKEEIWGQNC >ONI21795 pep chromosome:Prunus_persica_NCBIv2:G2:14215937:14219748:1 gene:PRUPE_2G089600 transcript:ONI21795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFLCARRKTWIVSSLSSFAHGSAAAHQSRLLQAHTLPLIHQQIASFSCGFETRRHHHSSACQLGSACGTGAASIWHALLPSSCNRRSRDLRRPAIHYELKGEGSWNAAWDARPARWLHRPDSAWLLFGVCNCLAPIDWADDSTPDGNDGVSNENAESFDSKCSAAPDQNNIDSSADYRVTGVPADGRCLFRAIAHVACLRNGEEAPDENRQRDLADELRAQVVDELLKRREETEWFIEGDFDAYVKRLQQPYVWGGEPELLMASHVLKTPISVFMIDRSSAGLVNIANYGEEYRKEEEKPINVLFHGYGHYDILDSFSEQSLKKLNM >ONI21796 pep chromosome:Prunus_persica_NCBIv2:G2:14215937:14219772:1 gene:PRUPE_2G089600 transcript:ONI21796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFLCARRKTWIVSSLSSFAHGSAAAHQSRLLQAHTLPLIHQQIASFSCGFETRRHHHSSACQLGSACGTGAASIWHALLPSSCNRRSRDLRRPAIHYELKGEGSWNAAWDARPARWLHRPDSAWLLFGVCNCLAPIDWADDSTPDGNDGVSNENAESFDSKCSAAPDQNNIDSSADYRVTGVPADGRCLFRAIAHVACLRNGEEAPDENRQRDLADELRAQVVDELLKRREETEWFIEGDFDAYVKRLQQPYVWGGEPELLMASHVLKTPISVFMIDRSSAGLVNIANYGEEYRKEEEKPINVLFHGYGHYDILDSFSEQSLKKLNM >ONI23428 pep chromosome:Prunus_persica_NCBIv2:G2:22893393:22894712:1 gene:PRUPE_2G189100 transcript:ONI23428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLVFSLSALILIITTIPLSLSSPEQGFAARLIHRDSPESPLYNPAATRAHLAQSARRRIIARQNYFNRLMSSGNKWGSSLISATLDQGHGDFIMQYKIGTPRVDTFGIFDTGSSLIWMQCEPCQKCYMQTIPIYDPAKSESYQKVKCGSVECSTTAYTSCTEDGECKYRIEYQDGSLTEGDIATETLMLEDDGLSGNVNLSNMVIGCGHYNLDPVEDYSPGVVGLSREPSSLVGQIGFRHVSYCIPSEDKGNRSSVKIGLPAVITEENTQTPMLSGKGGLYYLSLEGISVDGARLNIPRSVFDMTPEGDGGVIMDSGTSFTLIAPEGFGAVKQAVLDALWEFKPVEDSDKLYPFCYDDGRFKLETTPEIMFHFTRLDFPLAAGNTWIRNSQGHFCLAIRESVGNFNIFGLYQHRNANVGFDFNNNIVSLKYTDCQ >ONI22785 pep chromosome:Prunus_persica_NCBIv2:G2:20600270:20606939:-1 gene:PRUPE_2G151200 transcript:ONI22785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMRRIENATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQTTIERYQKHTKDNHTSNKSVSTDQNMQHLKQESSSMMKQIELLEVSKRKLLGEGLGSCTIEELQEIEQQLERSVSNVRARKTQVFKEQIDQLREKGKALAAENERLIEKCGKIQPRKASNEQRENLAYTESSPSSDVETELFIGLPERRMKR >ONI22784 pep chromosome:Prunus_persica_NCBIv2:G2:20601054:20605764:-1 gene:PRUPE_2G151200 transcript:ONI22784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMRRIENATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQTTIERYQKHTKDNHTSNKSVSTDQNMQHLKQESSSMMKQIELLEVSKRKLLGEGLGSCTIEELQEIEQQLERSVSNVRARKTQVFKEQIDQLREKGKALAAENERLIEKCGKIQPRKASNEQRENLAYTESSPSSDVETELFIGLPERRMKR >ONI25149 pep chromosome:Prunus_persica_NCBIv2:G2:28165782:28170445:1 gene:PRUPE_2G284200 transcript:ONI25149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLDISDYLLAETTPKEKKEKKMKKSKSETLEADFPSTEKKAKKDSKLKKRKALDVEADEDRSDTSSELGEAVNAKSKSEEKTKKKSKKAKVEQEEEPKIEEKKAEEDPNAVSRFRISEPLKAKLKEKGIESLFSIQAMTFDTILDGSDLVGRARTGQGKTLAFVLPILESLINGPAKAFRKTGYGRAPTVIVLLPTRELAKQVFADFEFYGGAMGLAACCVYGGSPYQPQEYKLKRGVDIVIGTPGRIKDHIEKGNIDLSTLKFRVLDEADEMLRMGFVDDVELILGKVGDVSKVQTLLFSATLPDWVKGISSRFLKPNKKTADLVGNEKMKASHNVRHIVLPCSSSARSQLIPDIIRCYSSGGRTIIFTETKEAASELAGLLPGARALHGDIQQGQREVTLSGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEDYIHRSGRTGRAGNSGVAVMLYDPRRSNVSKIERESGVKFEHITAPKPVDVAKAVGQDAAEMITRISDSVIPAFKSVAEELLKTSELSAVELLAKALAKAAGYTEIKKRSLLSSMENHVTVLLEAGKPIYSPSFAYGVLRRFLPEEKVESVKGMALTADGKGAVFDVAAEDLDMFLAGKCS >ONI25147 pep chromosome:Prunus_persica_NCBIv2:G2:28165640:28170445:1 gene:PRUPE_2G284200 transcript:ONI25147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLDISDYLLAETTPKEKKEKKMKKSKSETLEADFPSTEKKAKKDSKLKKRKALDVEADEDRSDTSSELGEAVNAKSKSEEKTKKKSKKAKVEQEEEPKIEEKKAEEDPNAVSRFRISEPLKAKLKEKGIESLFSIQAMTFDTILDGSDLVGRARTGQGKTLAFVLPILESLINGPAKAFRKTGYGRAPTVIVLLPTRELAKQVFADFEFYGGAMGLAACCVYGGSPYQPQEYKLKRGVDIVIGTPGRIKDHIEKGNIDLSTLKFRVLDEADEMLRMGFVDDVELILGKVGDVSKVQTLLFSATLPDWVKGISSRFLKPNKKTADLVGNEKMKASHNVRHIVLPCSSSARSQLIPDIIRCYSSGGRTIIFTETKEAASELAGLLPGARALHGDIQQGQREVTLSGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEDYIHRSGRTGRAGNSGVAVMLYDPRRSNVSKIERESGVKFEHITAPKPVDVAKAVGQDAAEMITRISDSVIPAFKSVAEELLKTSELSAVELLAKALAKAAGYTEIKKRSLLSSMENHVTVLLEAGKPIYSPSFAYGVLRRFLPEEKVESVKGMALTADGKGAVFDVAAEDLDMFLAGQENAASVSIEVLESLPNLQEKEARGGRFGGGGGFRGGRFEMIGFQTDPVVGEVAATMETNGETFYQRFSTPNLL >ONI25148 pep chromosome:Prunus_persica_NCBIv2:G2:28165782:28169999:1 gene:PRUPE_2G284200 transcript:ONI25148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLDISDYLLAETTPKEKKEKKMKKSKSETLEADFPSTEKKAKKDSKLKKRKALDVEADEDRSDTSSELGEAVNAKSKSEEKTKKKSKKAKVEQEEEPKIEEKKAEEDPNAVSRFRISEPLKAKLKEKGIESLFSIQAMTFDTILDGSDLVGRARTGQGKTLAFVLPILESLINGPAKAFRKTGYGRAPTVIVLLPTRELAKQVFADFEFYGGAMGLAACCVYGGSPYQPQEYKLKRGVDIVIGTPGRIKDHIEKGNIDLSTLKFRVLDEADEMLRMGFVDDVELILGKVGDVSKVQTLLFSATLPDWVKGISSRFLKPNKKTADLVGNEKMKASHNVRHIVLPCSSSARSQLIPDIIRCYSSGGRTIIFTETKEAASELAGLLPGARALHGDIQQGQREVTLSGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEDYIHRSGRTGRAGNSGVAVMLYDPRRSNVSKIERESGVKFEHITAPKPVDVAKAVGQDAAEMITRISDSVIPAFKSVAEELLKTSELSAVELLAKALAKAAGYTEIKKRSLLSSMENHVTVLLEAGKPIYSPSFAYGVLRRFLPEEKVESVKGMALTADGKGAVFDVAAEDLDMFLAGQENAASVSIEVLESLPNLQEKEARGGRFGGGGGFRGGRFGRGGGSDRRNDRFSNRSGGGRGRSNYGNKW >ONI20526 pep chromosome:Prunus_persica_NCBIv2:G2:2066304:2067350:-1 gene:PRUPE_2G020800 transcript:ONI20526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVNEVGRKALCVTGASGFIASWLLSKRVKYSVALTMLYDYPKKTEHLLSLEGAKERLQLFKADLLEEGFFDAVVDRREGVFSHSIAKI >ONI21746 pep chromosome:Prunus_persica_NCBIv2:G2:13580833:13581948:1 gene:PRUPE_2G085700 transcript:ONI21746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTSDSNLNQIEANYLPAYNRSNLNQRLKEKNVVYSQQRSITILMLPWLAHGHISPFLELAKKLTSKRNFHIFICSTPVNLTSIKPKLSPKYSHCIEFVELYLPHDDLPELPPQYHTTNGLPPHLMSTLKRAFDMSSNNFSTILTALKPDLLIYDFLQPWAPSQASLQNIPSVEFITTNAALTSFSVHHLRNPSDKFPFPSIYLRDYEAKKFNRLLESSSNGIKDGDRVQKCSDLSSDIILVKTSREIEAKYVDYLSGSM >ONI22453 pep chromosome:Prunus_persica_NCBIv2:G2:18761066:18762466:-1 gene:PRUPE_2G130300 transcript:ONI22453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHVIGLNLSSSCLHGSFDFNSSLFNLVHLPRLSLSDNNFNHSQIPPNIRNFPSLTHLDLSASFFSGQIPSEVSHLSKLTYLNLCCNIIEIETSSDDPQRLLKLQPSDMRSLVQNLTSLETLSLSFVNISSIMLVSLTNLSFLTSLVLKKCDLLGEFPVRIFNPQNLKVLSVRYNQDLTGYFPEFNRSSPLMVLKVTFTRFFGQIPSSFEKLNSLQELDVAQCNFSEGLVPSALGNLRQLTYLDISANKFGGPIPDSLANLTQLTVFRISTSYLTGPIPSWLGNFSKLVYLDFAFNRLIGSIPASFSNLKNLEILYLHSNNLSGVVEFQMFQKLQNLYQLQLNRNNLEFVTESSIIMNATLPQFSILGLNGCNLREFPSFLRYQKILKRLDLSRNKIHGQVPNWMWNISKETLIFLDISDNLLSDELPVFIPWVNLLCLRLSLNTFHGRLPIPPPSLLEYGATNC >ONI23045 pep chromosome:Prunus_persica_NCBIv2:G2:21556473:21556970:-1 gene:PRUPE_2G166700 transcript:ONI23045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEAQVCRPSGKIIGKKPPHGKCNTEDDSDCCFKGKIYTTYKCSPQVSSHTKAVLTLNSFEKGGDGGGPSECDKKYHSDDRPIVALSTGWFNRKSRCLNNITISANGSSVVAMVVDECDSTMGCDEVHDYQPPCADNIVDASRAVWEALGVPKNDWGYMDITWADA >ONI25480 pep chromosome:Prunus_persica_NCBIv2:G2:29143870:29145718:-1 gene:PRUPE_2G306000 transcript:ONI25480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDYRTRSGSPYDSHVYRPATSSAPSSHPMYGPPSSSSMYPRVGQQGQTAAPPPYAHSGRPLPHHQTTTPSSSSSSGLGIRVTIKPEYRITPPPTFSFQVGDIPRSSFQFDFDFERKVLAELEKETQNWAKLGLENPPQRPVESPSSSGSVADPIVSKYIASGLSREAVPLAVANYGDNPTKVREFAKSFTQLREMGFASNDVAEALIMYENDTDKAVAHFLNSSS >ONI22858 pep chromosome:Prunus_persica_NCBIv2:G2:20843609:20847408:1 gene:PRUPE_2G154900 transcript:ONI22858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDERDAEYAIRRLDRTEFGRKGRRLRIEWTKHERGIRKPVDSRRPSANTKPSKTLFVINFDPIHTRTRDLERHFDPYGKIVNIRIRRNFAFIQYESQEDATKALEATNSSKFMDRVISVEYAVRDDDDRRNGHSPDRRGRDMSPERRSNDRGRSPSPYRRDRASPDYGHGSRISSRSDPRRSPDYERAESPINDRSRPSSRPEPRRSPSYERAASPVNDRSRPSSRREPRRSPSYERDASPVNDRSRPSSKPEPRRSPSYERAASPVNDRYRSRSPPPRERSRS >ONI22856 pep chromosome:Prunus_persica_NCBIv2:G2:20843609:20847408:1 gene:PRUPE_2G154900 transcript:ONI22856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIFCGNLDFDARQGDVERLFRRYGKVERVDVKSGFAFVYMDDERDAEYAIRRLDRTEFGRKGRRLRIEWTKHERGIRKPVDSRRPSANTKPSKTLFVINFDPIHTRTRDLERHFDPYGKIVNIRIRRNFAFIQYESQEDATKALEATNSSKFMDRVISVEYAVRDDDDRRNGHSPDRRGRDMSPERRSNDRGRSPSPYRRDRASPDYGHGSRISSRSDPRRSPDYERAESPINDRSRPSSRPEPRRSPSYERAASPVNDRSRPSSRREPRRSPSYERDASPVNDRSRPSSKPEPRRSPSYERAASPVNDRYRSRSPPPRERSRS >ONI22857 pep chromosome:Prunus_persica_NCBIv2:G2:20844941:20847240:1 gene:PRUPE_2G154900 transcript:ONI22857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISQPLAGFAFVYMDDERDAEYAIRRLDRTEFGRKGRRLRIEWTKHERGIRKPVDSRRPSANTKPSKTLFVINFDPIHTRTRDLERHFDPYGKIVNIRIRRNFAFIQYESQEDATKALEATNSSKFMDRVISVEYAVRDDDDRRNGHSPDRRGRDMSPERRSNDRGRSPSPYRRDRASPDYGHGSRISSRSDPRRSPDYERAESPINDRSRPSSRPEPRRSPSYERAASPVNDRSRPSSRREPRRSPSYERDASPVNDRSRPSSKPEPRRSPSYERAASPVNDRYRSRSPPPRERSRS >ONI22650 pep chromosome:Prunus_persica_NCBIv2:G2:19795265:19797683:1 gene:PRUPE_2G142000 transcript:ONI22650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAAAVTSGNYPKSVKLHSSKFVRSRVIALGLYPMFYKPPRAFRLKLSSSSCFFCIPQPLLVNNFKRMTWSIRSSVDSSGLDPSPTNGTTGTTRLIRAIQAIQTKLGGKIRELRRGFLFKVLFFLVGFYCATAYATVIGQTGDWDILSAAFAVVVVEGIGALMYKASLPLLMKTRSLITMFNYWKAGLSMGLFLDSFKYEFNDIFGFSSPFNFELDAFSMFL >ONI25041 pep chromosome:Prunus_persica_NCBIv2:G2:27802340:27805188:-1 gene:PRUPE_2G276700 transcript:ONI25041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGTGHSRYVKLTKDQAPVDDIKPGELNQPIEVPQLNVHRCNECGQPLPESYQPPADEPWTTGIFGCAEDRESCLSGLFCPCVLFGHNVESLRDDIPWTRPCICHAIFIEGGMALAAATAIFYGVDPKTSFLICEGLFFSWWMCGVYTGLVRQSLQKKYHLKNSPCDPCLTHCCLHWCALCQEHRERKGRLSDNAVMPMTVVNPPPVQQMKPADDNNQDSADNNGHTNMEMQAL >ONI24807 pep chromosome:Prunus_persica_NCBIv2:G2:27135092:27139869:1 gene:PRUPE_2G263200 transcript:ONI24807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERVKCWDTSKKDSWKNILLLAYQSLGVVYGDLSISPLYVYKSAFAEDIQHSETNEEIYGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQLADEALSTYKLEHPPEKEKSSRLKVVLEKCKALHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVVPITCFILLCLFALQHYGTHRVGFFFAPVVLAWLLCISALGLYNIFQWNRYIYQALSPYYMFKFLRKTRISGWMSLGGILLCITGSEAMFADLGHFSYSAIQVAFTFLVYPALILAYMGQAAYLSQHHHTSHRISFYVSVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKVHGQIYIPEINWMLMILCIAVTIGFRDTKHLGNASGLAVMAVMLVTTCLTSLVIILCWRKPPILALCFLIFFGSIELLYFSASLTKFREGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVLPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVDRLADFIRYDWCRTQRTSSCTEDDASRSTDMSDSRLAVIGTVAFSGAPAYEIEETQPASVSVGFPTVESITDVIEMEPVERRVRFVIDDDSQADSRTENAMQIREELEDLYAAQQAGTAFILGHSHVKAKQGSSIMKRLAINFGYNFLRKNCRGADVALKVPPVSLLEVGMVYVV >ONI24804 pep chromosome:Prunus_persica_NCBIv2:G2:27134955:27139869:1 gene:PRUPE_2G263200 transcript:ONI24804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERVKCWDTSKKDSWKNILLLAYQSLGVVYGDLSISPLYVYKSAFAEDIQHSETNEEIYGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQLADEALSTYKLEHPPEKEKSSRLKVVLEKCKALHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVVPITCFILLCLFALQHYGTHRVGFFFAPVVLAWLLCISALGLYNIFQWNRYIYQALSPYYMFKFLRKTRISGWMSLGGILLCITGSEAMFADLGHFSYSAIQVAFTFLVYPALILAYMGQAAYLSQHHHTSHRISFYVSVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKVHGQIYIPEINWMLMILCIAVTIGFRDTKHLGNASGLAVMAVMLVTTCLTSLVIILCWRKPPILALCFLIFFGSIELLYFSASLTKFREGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVLPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVDRLADFIRYDWCRTQRTSSCTEDDASRSTDMSDSRLAVIGTVAFSGAPAYEIEETQPASVSVGFPTVESITDVIEMEPVERRVRFVIDDDSQADSRTENAMQIREELEDLYAAQQAGTAFILGHSHVKAKQGSSIMKRLAINFGYNFLRKNCRGADVALKVPPVSLLEVGMVYVV >ONI24809 pep chromosome:Prunus_persica_NCBIv2:G2:27134955:27139869:1 gene:PRUPE_2G263200 transcript:ONI24809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERVKCWDTSKKDSWKNILLLAYQSLGVVYGDLSISPLYVYKSAFAEDIQHSETNEEIYGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQLADEALSTYKLEHPPEKEKSSRLKVVLEKCKALHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVVPITCFILLCLFALQHYGTHRVGFFFAPVVLAWLLCISALGLYNIFQWNRYIYQALSPYYMFKFLRKTRISGWMSLGGILLCITGSEAMFADLGHFSYSAIQVAFTFLVYPALILAYMGQAAYLSQHHHTSHRISFYVSVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKVHGQIYIPEINWMLMILCIAVTIGFRDTKHLGNASGLAVMAVMLVTTCLTSLVIILCWRKPPILALCFLIFFGSIELLYFSASLTKFREGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVLPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVDRLADFIRYDWCRTQRTSSCTEDDASRSTDMSDSRLAVIGTVAFSGAPAYEIEETQPASVSVGFPTVESITDVIEMEPVERRVRFVIDDDSQADSRTENAMQIREELEDLYAAQQAGTAFILGHSHVKAKQGSSIMKRLAINFGYNFLRKNCRGADVALKVPPVSLLEVGMVYVV >ONI24805 pep chromosome:Prunus_persica_NCBIv2:G2:27135511:27139869:1 gene:PRUPE_2G263200 transcript:ONI24805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERVKCWDTSKKDSWKNILLLAYQSLGVVYGDLSISPLYVYKSAFAEDIQHSETNEEIYGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQLADEALSTYKLEHPPEKEKSSRLKVVLEKCKALHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVVPITCFILLCLFALQHYGTHRVGFFFAPVVLAWLLCISALGLYNIFQWNRYIYQALSPYYMFKFLRKTRISGWMSLGGILLCITGSEAMFADLGHFSYSAIQVAFTFLVYPALILAYMGQAAYLSQHHHTSHRISFYVSVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKVHGQIYIPEINWMLMILCIAVTIGFRDTKHLGNASGLAVMAVMLVTTCLTSLVIILCWRKPPILALCFLIFFGSIELLYFSASLTKFREGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVLPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVDRLADFIRYDWCRTQRTSSCTEDDASRSTDMSDSRLAVIGTVAFSGAPAYEIEETQPASVSVGFPTVESITDVIEMEPVERRVRFVIDDDSQADSRTENAMQIREELEDLYAAQQAGTAFILGHSHVKAKQGSSIMKRLAINFGYNFLRKNCRGADVALKVPPVSLLEVGMVYVV >ONI24808 pep chromosome:Prunus_persica_NCBIv2:G2:27133823:27139869:1 gene:PRUPE_2G263200 transcript:ONI24808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERVKCWDTSKKDSWKNILLLAYQSLGVVYGDLSISPLYVYKSAFAEDIQHSETNEEIYGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQLADEALSTYKLEHPPEKEKSSRLKVVLEKCKALHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVVPITCFILLCLFALQHYGTHRVGFFFAPVVLAWLLCISALGLYNIFQWNRYIYQALSPYYMFKFLRKTRISGWMSLGGILLCITGSEAMFADLGHFSYSAIQVAFTFLVYPALILAYMGQAAYLSQHHHTSHRISFYVSVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKVHGQIYIPEINWMLMILCIAVTIGFRDTKHLGNASGLAVMAVMLVTTCLTSLVIILCWRKPPILALCFLIFFGSIELLYFSASLTKFREGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVLPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVDRLADFIRYDWCRTQRTSSCTEDDASRSTDMSDSRLAVIGTVAFSGAPAYEIEETQPASVSVGFPTVESITDVIEMEPVERRVRFVIDDDSQADSRTENAMQIREELEDLYAAQQAGTAFILGHSHVKAKQGSSIMKRLAINFGYNFLRKNCRGADVALKVPPVSLLEVGMVYVV >ONI24806 pep chromosome:Prunus_persica_NCBIv2:G2:27135452:27139869:1 gene:PRUPE_2G263200 transcript:ONI24806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERVKCWDTSKKDSWKNILLLAYQSLGVVYGDLSISPLYVYKSAFAEDIQHSETNEEIYGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQLADEALSTYKLEHPPEKEKSSRLKVVLEKCKALHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVVPITCFILLCLFALQHYGTHRVGFFFAPVVLAWLLCISALGLYNIFQWNRYIYQALSPYYMFKFLRKTRISGWMSLGGILLCITGSEAMFADLGHFSYSAIQVAFTFLVYPALILAYMGQAAYLSQHHHTSHRISFYVSVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKVHGQIYIPEINWMLMILCIAVTIGFRDTKHLGNASGLAVMAVMLVTTCLTSLVIILCWRKPPILALCFLIFFGSIELLYFSASLTKFREGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVLPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVDRLADFIRYDWCRTQRTSSCTEDDASRSTDMSDSRLAVIGTVAFSGAPAYEIEETQPASVSVGFPTVESITDVIEMEPVERRVRFVIDDDSQADSRTENAMQIREELEDLYAAQQAGTAFILGHSHVKAKQGSSIMKRLAINFGYNFLRKNCRGADVALKVPPVSLLEVGMVYVV >ONI24802 pep chromosome:Prunus_persica_NCBIv2:G2:27133823:27139869:1 gene:PRUPE_2G263200 transcript:ONI24802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERVKCWDTSKKDSWKNILLLAYQSLGVVYGDLSISPLYVYKSAFAEDIQHSETNEEIYGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQLADEALSTYKLEHPPEKEKSSRLKVVLEKCKALHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVVPITCFILLCLFALQHYGTHRVGFFFAPVVLAWLLCISALGLYNIFQWNRYIYQALSPYYMFKFLRKTRISGWMSLGGILLCITGSEAMFADLGHFSYSAIQVAFTFLVYPALILAYMGQAAYLSQHHHTSHRISFYVSVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKVHGQIYIPEINWMLMILCIAVTIGFRDTKHLGNASGLAVMAVMLVTTCLTSLVIILCWRKPPILALCFLIFFGSIELLYFSASLTKFREGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVLPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVDRLADFIRYDWCRTQRTSSCTEDDASRSTDMSDSRLAVIGTVAFSGAPAYEIEETQPASVSVGFPTVESITDVIEMEPVERRVRFVIDDDSQADSRTENAMQIREELEDLYAAQQAGTAFILGHSHVKAKQGSSIMKRLAINFGYNFLRKNCRGADVALKVPPVSLLEVGMVYVV >ONI24803 pep chromosome:Prunus_persica_NCBIv2:G2:27134813:27139869:1 gene:PRUPE_2G263200 transcript:ONI24803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERVKCWDTSKKDSWKNILLLAYQSLGVVYGDLSISPLYVYKSAFAEDIQHSETNEEIYGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQLADEALSTYKLEHPPEKEKSSRLKVVLEKCKALHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVVPITCFILLCLFALQHYGTHRVGFFFAPVVLAWLLCISALGLYNIFQWNRYIYQALSPYYMFKFLRKTRISGWMSLGGILLCITGSEAMFADLGHFSYSAIQVAFTFLVYPALILAYMGQAAYLSQHHHTSHRISFYVSVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKVHGQIYIPEINWMLMILCIAVTIGFRDTKHLGNASGLAVMAVMLVTTCLTSLVIILCWRKPPILALCFLIFFGSIELLYFSASLTKFREGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVLPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVDRLADFIRYDWCRTQRTSSCTEDDASRSTDMSDSRLAVIGTVAFSGAPAYEIEETQPASVSVGFPTVESITDVIEMEPVERRVRFVIDDDSQADSRTENAMQIREELEDLYAAQQAGTAFILGHSHVKAKQGSSIMKRLAINFGYNFLRKNCRGADVALKVPPVSLLEVGMVYVV >ONI25818 pep chromosome:Prunus_persica_NCBIv2:G2:29899662:29902488:1 gene:PRUPE_2G321900 transcript:ONI25818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGKSVVAGPTNVIFLSTILGRDGSTSVHKCDWKCQNEHVCANMYCCKLTGLTHICDKNCDQRILYDNHSSLCRASGKIFPLTPAEEQAVRGVRRKLESENSSADSCSSKRRRDAQFHPSPFERSFSTVSLICSKVGDGMDMS >ONI25816 pep chromosome:Prunus_persica_NCBIv2:G2:29899662:29902488:1 gene:PRUPE_2G321900 transcript:ONI25816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGKSVVAGPTNVIFLSTILGRDGSTSVHKCDWKCQNEHVCANMYCCKLTGLTHICDKNCDQRILYDNHSSLCRASGKIFPLTPAEEQAVRGVRRKLESENSSADSCSSKRRRDAQFHPSPFERSFSTVSLICSKVGDGMDMS >ONI25819 pep chromosome:Prunus_persica_NCBIv2:G2:29899661:29902490:1 gene:PRUPE_2G321900 transcript:ONI25819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGKSVVAGPTNVIFLSTILGRDGSTSVHKCDWKCQNEHVCANMYCCKLTGLTHICDKNCDQRILYDNHSSLCRASGKIFPLTPAEEQAVRGVRRKLESENSSADSCSSKRRRDAQFHPSPFERSFSTVSLICSKVGDGMDMS >ONI25815 pep chromosome:Prunus_persica_NCBIv2:G2:29899662:29902440:1 gene:PRUPE_2G321900 transcript:ONI25815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGKSVVAGPTNVIFLSTILGRDGSTSVHKCDWKCQNEHVCANMYCCKLTGLTHICDKNCDQRILYDNHSSLCRASGKIFPLTPAEEQAVRGVRRKLESENSSADSCSSKRRRDAQFHPSPFERSFSTVSLICSKVGDGMDMS >ONI25814 pep chromosome:Prunus_persica_NCBIv2:G2:29899662:29902440:1 gene:PRUPE_2G321900 transcript:ONI25814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVICWLHMGHVAMEVDGKSVVAGPTNVIFLSTILGRDGSTSVHKCDWKCQNEHVCANMYCCKLTGLTHICDKNCDQRILYDNHSSLCRASGKIFPLTPAEEQAVRGVRRKLESENSSADSCSSKRRRDAQFHPSPFERSFSTVSLICSKVGDGMDMS >ONI25817 pep chromosome:Prunus_persica_NCBIv2:G2:29899429:29902719:1 gene:PRUPE_2G321900 transcript:ONI25817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGKSVVAGPTNVIFLSTILGRDGSTSVHKCDWKCQNEHVCANMYCCKLTGLTHICDKNCDQRILYDNHSSLCRASGKIFPLTPAEEQAVRGVRRKLESENSSADSCSSKRRRDAQFHPSPFERSFSTVSLICSKVGDGMDMS >ONI20803 pep chromosome:Prunus_persica_NCBIv2:G2:3679633:3680159:1 gene:PRUPE_2G034200 transcript:ONI20803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKQRLLLFLSISASPLCLPIYHKSLGNTPNYKNPKSALDTFNTAIVSPIYYAMFTSFTIFASAIMFKDYSGFITVSSGTAILHSTRGPDPP >ONI21891 pep chromosome:Prunus_persica_NCBIv2:G2:15202275:15202944:1 gene:PRUPE_2G096800 transcript:ONI21891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPWNFGISFRHKRASNLNFLMESEEKLYGGRWKMLKRSHICSYGMFNYVWLEVNGASSLVFEVIIVLALSHELYSSM >ONI22865 pep chromosome:Prunus_persica_NCBIv2:G2:20886300:20889257:1 gene:PRUPE_2G155500 transcript:ONI22865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHGRCLKLFLAFALLLLQYTQGGEVDHSQRDTNVTIRCIERERQALLAFKRGLVDKSDDLLSSWGSEAQKQDCCRWVGVSCSKQTGHVLLLDLSNEVVGGYFKFRGKMISPKLIELHHLQHLDLNYINFNGRQFPYFIGSLINLRYLDLSFTKFGGKFPSQVGNLTNLVYLDLSFNSFTNVENLNWLPLLLSLRYLDLSFANLSNVLDWPEAINKLPELTTLTLLNCDLRSPILSTLSYVNSSKSLASVDLSINHLSTSSIFLLLSNYNTSLVHLDLSDNLLAGSIPDVFGNMRSLAHLDLSSNQLEGSLQDLTNLSSLEGLSLSNNQLSGVISGTHFSKLSKLRNLDLSSNSLVLDIHADWIPPFQLHFIQLESCKMGPHFPKWLQTQKNISYLDMSDAGISDILPSWFWSLCRNVEYMDLARNQIRGTFPNLTLEFSYSPEQHLSSNKLEGQIPLVLLNAPYLDLSANKLEGPIPSVLSKASYLDLSSNKLEGPIPSVLLNAPYLNLRSNKLEGSIPSVLSKASFLDLSSNKLEGPIPSVLSKASYLDLSSNKLEGPIPSVLSNVTHLDLSNNKLSGSISFLCSSAAIGLVFLNLSSNNVYGQVSDCWTHLENLVMLDLSYNALSGKIPTTIGFVFRIETLKLRSNIFVGQLPVSLKNCTSLVVIDVGDNKLSGPIPEWLGVSLKNLVILMLSSNHFNGSLPSQLCHLIRIQNLDFSMNNFSGSIPSCLKNLTTLAQKGNSSLRSEHSYATSSYLSRYNYPYVDDATFMWKGGVQTFRSILWLVKRIDLSSNKLTGEIPSEISHLVGLVSLNLSRNQLTGQITKEIENLQSLDSLDLSRNHIDGRIPTSLARIDRLGFLDLSYNNLFGKIPIGTQLQGFDPSFYAGNLQLCGPPLKKMCADEVEKGPSEQTDFINQKDKDELITLGFYISMGLGFAAGFWGVCGTLIFSRSWRYTYLKFLNGLNDWFFVRIALLKRQLKDA >ONI20911 pep chromosome:Prunus_persica_NCBIv2:G2:4418091:4420435:-1 gene:PRUPE_2G040300 transcript:ONI20911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLKPRSCKTRPQLLLASPEQTLLPKLEFFSSLGVSREDLAKTLVLNPSLLQRSLDKQLVPTYNFLRSLLPEKNIVSVFKYNSSIFMQGHTKKVVPNIAILRELGMPKSCISLLLAHCTHALIHDTEKFRQVVKEVKEMGFNLEKSISVLAISIMCRSFYKPILKRNCEVYSRWGWSEADVLSAFRRRPECISLSEKKIMQTMDLLVNKMGWSSEIILKSPYVLNYSLQKRIIPRCSVVRVLLSKGLINTEKLSLHSVFLPVEKEFLERFVDSFLGEVPQLLCVYRGKVDIQDV >ONI22512 pep chromosome:Prunus_persica_NCBIv2:G2:19215497:19221104:1 gene:PRUPE_2G134200 transcript:ONI22512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKKTLSENESVNPKTPNLKNLGDTVTEESREKREEGKQCRASHSDPPPLLLLSPLRLSHSPTLLPLSPPPQSVSHLGPPLPHSDPLPHRPPPPPLGSRSDPPSVPPFPPPHLPSVSPSTPPPPPPPPPPPPPPPPLLLGPLTLAPARAPSFGSGASTATTASSAAAPSFGFGFSAAISAPSSLFGSSASTPLFGSSPSQPLFGSSSSAPAPAPASAPAPVSASASTLFGAPSSTASSLFGATVSAASSPLIGSASASASSLFSSTSFTHSAPSSLFGSSSTVSSTPLFSSALSSSASTTSSFPSFTSSSSAFSFPTASPLSKPPTTSTPTSVTSSATASTAPSFSFAPPTSSASQPSFGFSNAALSAAPISTAKPTSQSFSTPSAPLFSTVTTTSASSTPAASTTTQASFSMPSFGATPSTSAVSSTVATASIAAPASSAAPSSTAGLFTGFGVSSAAASLGTTAAASYTGFSSLTKGSTPASSSQAQPSTTLPAFGATTSAPVAAATTSTSTAAIQTSTSLIVASTSGTTSTVSTTVSTAPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQANAIADWDKRILQNRDVLLRLEIEVAKVVETQANLERQLELIETHQQEVDKALQSMEEEAERIYKDERGLILDDEAASTRDAMYEQAEFIERELEQVTEQIKSIIQTLNANQGGELDTNDGMAPLDVVVRILNNQLSSLMWIDEKAEEFSSRIQKLANQGPAADRELMSPKYWMS >ONI22513 pep chromosome:Prunus_persica_NCBIv2:G2:19215527:19221593:1 gene:PRUPE_2G134200 transcript:ONI22513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNPKTPNLKNLGDTVTEESREKREEGKQCRASHSDPPPLLLLSPLRLSHSPTLLPLSPPPQSVSHLGPPLPHSDPLPHRPPPPPLGSRSDPPSVPPFPPPHLPSVSPSTPPPPPPPPPPPPPPPPLLLGPLTLAPARAPSFGSGASTATTASSAAAPSFGFGFSAAISAPSSLFGSSASTPLFGSSPSQPLFGSSSSAPAPAPASAPAPVSASASTLFGAPSSTASSLFGATVSAASSPLIGSASASASSLFSSTSFTHSAPSSLFGSSSTVSSTPLFSSALSSSASTTSSFPSFTSSSSAFSFPTASPLSKPPTTSTPTSVTSSATASTAPSFSFAPPTSSASQPSFGFSNAALSAAPISTAKPTSQSFSTPSAPLFSTVTTTSASSTPAASTTTQASFSMPSFGATPSTSAVSSTVATASIAAPASSAAPSSTAGLFTGFGVSSAAASLGTTAAASYTGFSSLTKGSTPASSSQAQPSTTLPAFGATTSAPVAAATTSTSTAAIQTSTSLIVASTSGTTSTVSTTVSTAPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQANAIADWDKRILQNRDVLLRLEIEVAKVVETQANLERQLELIETHQQEVDKALQSMEEEAERIYKDERGLILDDEAASTRDAMYEQAEFIERELEQVTEQIKSIIQTLNANQGGELDTNDGMAPLDVVVRILNNQLSSLMWIDEKAEEFSSRIQKLANQGPAADRELMSPKYWMS >ONI21579 pep chromosome:Prunus_persica_NCBIv2:G2:11189667:11190563:1 gene:PRUPE_2G074000 transcript:ONI21579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLLNELTLNANSLSSSPNSQCIYKVLERLRQGDDKFFTPYVVSVGPLHPGKEHLKAMTVHKKKYLQDFLEYLLVENDIIELGLGEEEQVAAIFIRFGKEVDIESNCFVEAIISLVVAGFLTILIVIQQCSIIFVNHLWEQIFSSPITARHVKKKIIS >ONI25753 pep chromosome:Prunus_persica_NCBIv2:G2:29770510:29774180:1 gene:PRUPE_2G318200 transcript:ONI25753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRFSRTIYVGNLPSDIRESEVEELFYKYGRIVDIELKIPPRPPCYSFVEFESSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSSDRRGGYDRGSSGGGSGGGRFGASRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVSRDSEGTYGLVDYTNSDDMKYAIRKLDDTEFKNPWTRSYIRVKLYESSPSRSRSRSRSRSRSVRRNQSKSRDRSVSRSVSRSRSASPVKSSRPRSRSGSESPRPVRSGSG >ONI25710 pep chromosome:Prunus_persica_NCBIv2:G2:29656341:29657807:-1 gene:PRUPE_2G315800 transcript:ONI25710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFAGFFYFLLIFISLVLVLRFLSKTSLLQIFIKSWQSLIDRFHVYQFYKIPQFNEHFQENQLYRKISLYLNSLPSIEDSDFTNLFSGSKSNDIFFQHDANHSVVHDTFFSAKVSWTNQKSSQPDGIRSFVLKINKSDKRRVFRQYFQHILTVADEVEQRNKEIKLYMNLSTENERWRSVPFTHPATFDTVVMDAELKNKVRSDLENFLKSKQYYHRLGRVWKRSFLLCGPSGTGKTSFIAAMARFLSYDVYDIDMSKVYDDSDLKMLLLQTTSKSLIVVEDLDRFLMDKSTSVSLSGLLNFMDGIVSSCGEERVLVFTMNGKDQVDQLVMRPGRVDVHIQFPLCDFSAFKSLASTYLGVKEHKLFPQVEEIFQSGGSLSPAEIGEIMISNRSSPSRALKSVISALQTNAESVKGVNKVAQALTNSLSGRSVDESGEPGAVFCRESVHTVREFRKLYGLLRLGSRRKEEPLDSSSADKDGSLHAVKG >ONI20848 pep chromosome:Prunus_persica_NCBIv2:G2:3991768:3992458:1 gene:PRUPE_2G037100 transcript:ONI20848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLLMPMFACLVLEGVAIGGGWQALVAYINLGCYYIFGLPLGYLLGYIANLGVMGLCGGMICGTALQTLLLMIVLYKTNWNKEVEQATKCVRKWGGQDITAGNGAQST >ONI24921 pep chromosome:Prunus_persica_NCBIv2:G2:27399607:27404156:-1 gene:PRUPE_2G269100 transcript:ONI24921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQAHLSKDSKVEVCSNEDGYQGAWFPAIFLDPQPSDGTPKKKRKSLGNSSKALVQYETLVSDDDPNKPLTELVDVCSIRPVPPPDNPDQPFEPANVVDAFYQEAWWVGVVIRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWVRARKERMVGSFFSPGTAVEVNLYKEHLFCAWFPAIYLGELGANNFLLQYKSSNNCDVKAVVGGKQIRPQPPKLAERDFNLMEKVDAFFDMGWWVGEIIKVLTGKKYMVCLKLTEEVKQYSQSELRRHMYWTDGRWVTMINGKRVTMINRNWVTMINGNWVPYFKFQEGHFSRDYEVRSKRACESSRSSELAAALECTGATKDNNEEKTPCLRISWKNQSEDLSPCIDKSPYGKTKKKIKINQKPNDDATILGLSKKLIWGHSEDSLSFAQLFRRSKEAPVRDGVKTKQQQVGGLDNQAIVSLKRKVKKGSEIRKADEEDVDDEYGVDSIESSGTESKLTGGSHADASCLLPMEEVEWNEDVASSELHVIKRLEWTGEKHNGTGVVAQVELTKTQVANDNGSEDTMSEAETEDSAMDIEKIIEGLNKLLRKFSKYCFGFGFVLFD >ONI24916 pep chromosome:Prunus_persica_NCBIv2:G2:27398458:27404156:-1 gene:PRUPE_2G269100 transcript:ONI24916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQAHLSKDSKVEVCSNEDGYQGAWFPAIFLDPQPSDGTPKKKRKSLGNSSKALVQYETLVSDDDPNKPLTELVDVCSIRPVPPPDNPDQPFEPANVVDAFYQEAWWVGVVIRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWVRARKERMVGSFFSPGTAVEVNLYKEHLFCAWFPAIYLGELGANNFLLQYKSSNNCDVKAVVGGKQIRPQPPKLAERDFNLMEKVDAFFDMGWWVGEIIKVLTGKKYMVCLKLTEEVKQYSQSELRRHMYWTDGRWVTMINGKRVTMINRNWVTMINGNWVPYFKFQEGHFSRDYEVRSKRACESSRSSELAAALECTGLSKKLIWGHSEDSLSFAQLFRRSKEAPVRDGVKTKQQQVGGLDNQAIVSLKRKVKKGSEIRKADEEDVDDEYGVDSIESSGTESKLTGGSHADASCLLPMEEVEWNEDVASSELHVIKRLEWTGEKHNGTGVVAQVELTKTQVANDNGSEEAMRETETVDSAMDYLTKEVQVTVTGVSATASAHDQPLSLYVDEMHSIKAKECSSNPAGTAKQQESPHSPFVSCSPFWKSIESMEVFKRFPQRPHFLPLMKCKAVCREGSALGNMITFASLVEKTSKLQVGDPIDLFDSNLEALVDLEMLGFDVTAVRHRLKELIEMKVKLGQLENQSKEVDIQITECTFDRTRNNETISRIDKEIKDLKEKRGTLMSIDVAKGSEISKLQSEANAITEGIQSIHRDFEKLAAAAW >ONI24914 pep chromosome:Prunus_persica_NCBIv2:G2:27398173:27404235:-1 gene:PRUPE_2G269100 transcript:ONI24914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQAHLSKDSKVEVCSNEDGYQGAWFPAIFLDPQPSDGTPKKKRKSLGNSSKALVQYETLVSDDDPNKPLTELVDVCSIRPVPPPDNPDQPFEPANVVDAFYQEAWWVGVVIRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWVRARKERMVGSFFSPGTAVEVNLYKEHLFCAWFPAIYLGELGANNFLLQYKSSNNCDVKAVVGGKQIRPQPPKLAERDFNLMEKVDAFFDMGWWVGEIIKVLTGKKYMVCLKLTEEVKQYSQSELRRHMYWTDGRWVTMINGKRVTMINRNWVTMINGNWVPYFKEGHFSRDYEVRSKRACESSRSSELAAALECTGATKDNNEEKTPCLRISWKNQSEDLSPCIDKSPYGKTKKKIKINQKPNDDATILGLSKKLIWGHSEDSLSFAQLFRRSKEAPVRDGVTKQQQVGGLDNQAIVSLKRKVKKGSEIRKADEEDVDDEYGVDSIESSGTESKLTGGSHADASCLLPMEEVEWNEDVASSELHVIKRLEWTGEKHNGTGVVAQVELTKTQVANDNGSEEAMRETETVDSAMDYLTKEVQVTVTGVSATASAHDQPLSLYVDEMHSIKAKECSSNPAGTAKQQESPHSPFVSCSPFWKSIESMEVFKRFPQRPHFLPLMKCKAVCREGSALGNMITFASLVEKTSKLQVGDPIDLFDSNLEALVDLEMLGFDVTAVRHRLKELIEMKVKLGQLENQSKEVDIQITECTFDRTRNNETISRIDKEIKDLKEKRGTLMSIDVAKGSEISKLQSEANAITEGIQSIHRDFEKLAAAAW >ONI24913 pep chromosome:Prunus_persica_NCBIv2:G2:27398237:27404268:-1 gene:PRUPE_2G269100 transcript:ONI24913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQAHLSKDSKVEVCSNEDGYQGAWFPAIFLDPQPSDGTPKKKRKSLGNSSKALVQYETLVSDDDPNKPLTELVDVCSIRPVPPPDNPDQPFEPANVVDAFYQEAWWVGVVIRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWVRARKERMVGSFFSPGTAVEVNLYKEHLFCAWFPAIYLGELGANNFLLQYKSSNNCDVKAVVGGKQIRPQPPKLAERDFNLMEKVDAFFDMGWWVGEIIKVLTGKKYMVCLKLTEEVKQYSQSELRRHMYWTDGRWVTMINGKRVTMINRNWVTMINGNWVPYFKEGHFSRDYEVRSKRACESSRSSELAAALECTGLSKKLIWGHSEDSLSFAQLFRRSKEAPVRDGVKTKQQQVGGLDNQAIVSLKRKVKKGSEIRKADEEDVDDEYGVDSIESSGTESKLTGGSHADASCLLPMEEVEWNEDVASSELHVIKRLEWTGEKHNGTGVVAQVELTKTQVANDNGSEEAMRETETVDSAMDYLTKEVQVTVTGVSATASAHDQPLSLYVDEMHSIKAKECSSNPAGTAKQQESPHSPFVSCSPFWKSIESMEVFKRFPQRPHFLPLMKCKAVCREGSALGNMITFASLVEKTSKLQVGDPIDLFDSNLEALVDLEMLGFDVTAVRHRLKELIEMKVKLGQLENQSKEVDIQITECTFDRTRNNETISRIDKEIKDLKEKRGTLMSIDVAKGSEISKLQSEANAITEGIQSIHRDFEKLAAAAW >ONI24920 pep chromosome:Prunus_persica_NCBIv2:G2:27399527:27404263:-1 gene:PRUPE_2G269100 transcript:ONI24920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQAHLSKDSKVEVCSNEDGYQGAWFPAIFLDPQPSDGTPKKKRKSLGNSSKALVQYETLVSDDDPNKPLTELVDVCSIRPVPPPDNPDQPFEPANVVDAFYQEAWWVGVVIRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWVRARKERMVGSFFSPGTAVEVNLYKEHLFCAWFPAIYLGELGANNFLLQYKSSNNCDVKAVVGGKQIRPQPPKLAERDFNLMEKVDAFFDMGWWVGEIIKVLTGKKYMVCLKLTEEVKQYSQSELRRHMYWTDGRWVTMINGKRVTMINRNWVTMINGNWVPYFKFQEGHFSRDYEVRSKRACESSRSSELAAALECTGLSKKLIWGHSEDSLSFAQLFRRSKEAPVRDGVKTKQQQVGGLDNQAIVSLKRKVKKGSEIRKADEEDVDDEYGVDSIESSGTESKLTGGSHADASCLLPMEEVEWNEDVASSELHVIKRLEWTGEKHNGTGVVAQVELTKTQVANDNGSEDTMSEAETEDSAMDIEKIIEGLNKLLRKFSKYCFGFGFVLFD >ONI24919 pep chromosome:Prunus_persica_NCBIv2:G2:27399607:27404156:-1 gene:PRUPE_2G269100 transcript:ONI24919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQAHLSKDSKVEVCSNEDGYQGAWFPAIFLDPQPSDGTPKKKRKSLGNSSKALVQYETLVSDDDPNKPLTELVDVCSIRPVPPPDNPDQPFEPANVVDAFYQEAWWVGVVIRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWVRARKERMVGSFFSPGTAVEVNLYKEHLFCAWFPAIYLGELGANNFLLQYKSSNNCDVKAVVGGKQIRPQPPKLAERDFNLMEKVDAFFDMGWWVGEIIKVLTGKKYMVCLKLTEEVKQYSQSELRRHMYWTDGRWVTMINGKRVTMINRNWVTMINGNWVPYFKEGHFSRDYEVRSKRACESSRSSELAAALECTGATKDNNEEKTPCLRISWKNQSEDLSPCIDKSPYGKTKKKIKINQKPNDDATILGLSKKLIWGHSEDSLSFAQLFRRSKEAPVRDGVKTKQQQVGGLDNQAIVSLKRKVKKGSEIRKADEEDVDDEYGVDSIESSGTESKLTGGSHADASCLLPMEEVEWNEDVASSELHVIKRLEWTGEKHNGTGVVAQVELTKTQVANDNGSEDTMSEAETEDSAMDIEKIIEGLNKLLRKFSKYCFGFGFVLFD >ONI24917 pep chromosome:Prunus_persica_NCBIv2:G2:27398458:27404156:-1 gene:PRUPE_2G269100 transcript:ONI24917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQAHLSKDSKVEVCSNEDGYQGAWFPAIFLDPQPSDGTPKKKRKSLGNSSKALVQYETLVSDDDPNKPLTELVDVCSIRPVPPPDNPDQPFEPANVVDAFYQEAWWVGVVIRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWVRARKERMVGSFFSPGTAVEVNLYKEHLFCAWFPAIYLGELGANNFLLQYKSSNNCDVKAVVGGKQIRPQPPKLAERDFNLMEKVDAFFDMGWWVGEIIKVLTGKKYMVCLKLTEEVKQYSQSELRRHMYWTDGRWVTMINGKRVTMINRNWVTMINGNWVPYFKFQEGHFSRDYEVRSKRACESSRSSELAAALECTGATKDNNEEKTPCLRISWKNQSEDLSPCIDKSPYGKTKKKIKINQKPNDDATILGLSKKLIWGHSEDSLSFAQLFRRSKEAPVRDGVKTKQQQVGGLDNQAIVSLKRKVKKGSEIRKADEEDVDDEYGVDSIESSGTESKLTGGSHADASCLLPMEEVEWNEDVASSELHVIKRLEWTGEKHNGTGVVAQVELTKTQVANDNGNPAGTAKQQESPHSPFVSCSPFWKSIESMEVFKRFPQRPHFLPLMKCKAVCREGSALGNMITFASLVEKTSKLQVGDPIDLFDSNLEALVDLEMLGFDVTAVRHRLKELIEMKVKLGQLENQSKEVDIQITECTFDRTRNNETISRIDKEIKDLKEKRGTLMSIDVAKGSEISKLQSEANAITEGIQSIHRDFEKLAAAAW >ONI24915 pep chromosome:Prunus_persica_NCBIv2:G2:27398213:27404268:-1 gene:PRUPE_2G269100 transcript:ONI24915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQAHLSKDSKVEVCSNEDGYQGAWFPAIFLDPQPSDGTPKKKRKSLGNSSKALVQYETLVSDDDPNKPLTELVDVCSIRPVPPPDNPDQPFEPANVVDAFYQEAWWVGVVIRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWVRARKERMVGSFFSPGTAVEVNLYKEHLFCAWFPAIYLGELGANNFLLQYKSSNNCDVKAVVGGKQIRPQPPKLAERDFNLMEKVDAFFDMGWWVGEIIKVLTGKKYMVCLKLTEEVKQYSQSELRRHMYWTDGRWVTMINGKRVTMINRNWVTMINGNWVPYFKEGHFSRDYEVRSKRACESSRSSELAAALECTGATKDNNEEKTPCLRISWKNQSEDLSPCIDKSPYGKTKKKIKINQKPNDDATILGLSKKLIWGHSEDSLSFAQLFRRSKEAPVRDGVKTKQQQVGGLDNQAIVSLKRKVKKGSEIRKADEEDVDDEYGVDSIESSGTESKLTGGSHADASCLLPMEEVEWNEDVASSELHVIKRLEWTGEKHNGTGVVAQVELTKTQVANDNGNPAGTAKQQESPHSPFVSCSPFWKSIESMEVFKRFPQRPHFLPLMKCKAVCREGSALGNMITFASLVEKTSKLQVGDPIDLFDSNLEALVDLEMLGFDVTAVRHRLKELIEMKVKLGQLENQSKEVDIQITECTFDRTRNNETISRIDKEIKDLKEKRGTLMSIDVAKGSEISKLQSEANAITEGIQSIHRDFEKLAAAAW >ONI24918 pep chromosome:Prunus_persica_NCBIv2:G2:27398212:27404194:-1 gene:PRUPE_2G269100 transcript:ONI24918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQAHLSKDSKVEVCSNEDGYQGAWFPAIFLDPQPSDGTPKKKRKSLGNSSKALVQYETLVSDDDPNKPLTELVDVCSIRPVPPPDNPDQPFEPANVVDAFYQEAWWVGVVIRFEDDKYTVGFKNPPDLLELRRSELRPHWDFLDGVWVRARKERMVGSFFSPGTAVEVNLYKEHLFCAWFPAIYLGELGANNFLLQYKSSNNCDVKAVVGGKQIRPQPPKLAERDFNLMEKVDAFFDMGWWVGEIIKVLTGKKYMVCLKLTEEVKQYSQSELRRHMYWTDGRWVTMINGKRVTMINRNWVTMINGNWVPYFKEGHFSRDYEVRSKRACESSRSSELAAALECTGATKDNNEEKTPCLRISWKNQSEDLSPCIDKSPYGKTKKKIKINQKPNDDATILGLSKKLIWGHSEDSLSFAQLFRRSKEAPVRDGVTKQQQVGGLDNQAIVSLKRKVKKGSEIRKADEEDVDDEYGVDSIESSGTESKLTGGSHADASCLLPMEEVEWNEDVASSELHVIKRLEWTGEKHNGTGVVAQVELTKTQVANDNGSEDTMSEAETEDSAMDIEKIIEGLNKLLRKFSKYCFGFGFVLFD >ONI21755 pep chromosome:Prunus_persica_NCBIv2:G2:13708491:13711214:-1 gene:PRUPE_2G086500 transcript:ONI21755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGVPDLRQLMASRTQFCTTSQFQEPFSAHGNLTAQPNYQPMLVPAGGLVDHLHPPHYSTIINGCGAAHPPNNNAAATTSSAAALYGIDLEHGHGWNNNINVGMNAADGGNNMNYRWPRQETLTLLEIRSGLDSKFKETNQKGPLWDEVSRIMGEEHGYQRSGKKCKEKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEAIYGDQTSNQSSTYGRLNPLLYHHTTPNDTVNPKNQEVVQDQKHISCESLSFSNNSTEFDQTSSSENNDDDLSISAIDYFMMNQSMGSLKNEKQTCARPVNKKSWKAKVEDFVNSQIGKVINTQEAWMEKMLKSIEHREEERIAQEEEWRKQQAAKFDREVHEFWAKERAWVESRDAAIMEALGTFSRPGISNHDKDKDDISKLQIPNDTINRWTEHEVASLIELIRTSLELTTQDCGCFKEGLWEEIAAKMGCLGYRRSVGECKEKLENMSVYPRMTAECNKKHKQDAKANMYHGQLSCYEGQQEVSKRRPDGMGLQLMDGGLSPSSSNMDVSSSSFHVQFNGGENLWDRYGTVKLGKGKNQ >ONI22684 pep chromosome:Prunus_persica_NCBIv2:G2:20153634:20156998:-1 gene:PRUPE_2G144400 transcript:ONI22684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSLFGGRDPFDDPFFSRPPGSMFESSIFGPSAASSGTPENGRANELLVEELNSDDEGGEHKVTEDGRDNCGKQYVSSKEPTIEHPDDVVDDRKSNDVTCRNDRNKVEGERSQGRSFSAQTCRVTYGGVDGAYYTSTRTRRAGGDGVVLEESKEADRTTGQATHRISRGLHDKGHSVTRKLKSDGKVDMLQTLHNLNEDELPGFEEAWTGNVKGRLPGWRGDFNMHGNAGSGSRQQKGNAIWGAGLLPSAEQAQSVRGNGSDNATRATSDGRTKRVVRINID >ONI22683 pep chromosome:Prunus_persica_NCBIv2:G2:20153548:20157015:-1 gene:PRUPE_2G144400 transcript:ONI22683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSERDIRDGVSRSRDLLDSFQGFGSRRSMFPSLFGGRDPFDDPFFSRPPGSMFESSIFGPSAASSGTPENGRANELLVEELNSDDEGGEHKVTEDGRDNCGKQYVSSKEPTIEHPDDVVDDRKSNDVTCRNDRNKVEGERSQGRSFSAQTCRVTYGGVDGAYYTSTRTRRAGGDGVVLEESKEADRTTGQATHRISRGLHDKGHSVTRKLKSDGKVDMLQTLHNLNEDELPGFEEAWTGNVKGRLPGWRGDFNMHGNAGSGSRQQKGNAIWGAGLLPSAEQAQSVRGNGSDNATRATSDGRTKRVVRINID >ONI22682 pep chromosome:Prunus_persica_NCBIv2:G2:20153607:20157005:-1 gene:PRUPE_2G144400 transcript:ONI22682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSERDIRDGVSRSRDLLDSFQGFGSRRSMFPSLFGGRDPFDDPFFSRPPGSMFESSIFGPSAASSGTPENGRANELLVEELNSDDEGGEHKVTEDGRDNCGKQYVSSKEPTIEHPDDVVDDRKSNDVTCRNDRNKVEGERSQGRSFSAQTCRVTYGGVDGAYYTSTRTRRAGGDGVVLEESKEADRTTGQATHRISRGLHDKGHSVTRKLKSDGKVDMLQTLHNLNEDELPGFEEAWTGNVKGRLPGWRGDFNMHGSGSRQQKGNAIWGAGLLPSAEQAQSVRGNGSDNATRATSDGRTKRVVRINID >ONI22681 pep chromosome:Prunus_persica_NCBIv2:G2:20153564:20157015:-1 gene:PRUPE_2G144400 transcript:ONI22681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFSVSRRINTTNMQSERDIRDGVSRSRDLLDSFQGFGSRRSMFPSLFGGRDPFDDPFFSRPPGSMFESSIFGPSAASSGTPENGRANELLVEELNSDDEGGEHKVTEDGRDNCGKQYVSSKEPTIEHPDDVVDDRKSNDVTCRNDRNKVEGERSQGRSFSAQTCRVTYGGVDGAYYTSTRTRRAGGDGVVLEESKEADRTTGQATHRISRGLHDKGHSVTRKLKSDGKVDMLQTLHNLNEDELPGFEEAWTGNVKGRLPGWRGDFNMHGNAGSGSRQQKGNAIWGAGLLPSAEQAQSVRGNGSDNATRATSDGRTKRVVRINID >ONI25137 pep chromosome:Prunus_persica_NCBIv2:G2:28132548:28136589:1 gene:PRUPE_2G283500 transcript:ONI25137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEMQDFMREAYEGDEIDLDYEFDAPKFYDFTQPDSDLEATEAEDWFRFAGSYPPSPFIIKLLMNWQKDIPVEPVKVSAESKDVEGIRDSDSNNCMEAEVSAAVDENNREFSNHMAQDTQNPKTNSPAKSPPLSRISTLMKPTASQLAKQNHRREPHMNRLSRRFGKKLEKADDNSQKSPSSDTRCTKRQKLEAGYLRKVAELKHQPFWLHKVPIKVGGDVITVNARPKVTIPREPNLETAHRAQRRRYKVNVKPGEQAKILKAPPLPTPKKSTVPATEFQVFNLRTSARAYAMQQTFNNVTNAPNSNENTEIKGPTFGYALTQEKSGTAYKCTACSIKKKEFKFAIDKRFLKEPPTDLFSKLSLASEAQNNAKPQSKSAFAC >ONI21193 pep chromosome:Prunus_persica_NCBIv2:G2:6060321:6064679:-1 gene:PRUPE_2G052200 transcript:ONI21193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALIGEAFISTSIRMLCDKIASREFIDLFRQKKLDQPLLMKLKRTLLTLNVVLDDAEEKQIEKPAVREWLDELKHAVFDAEDLLNEINYEALRCKLEGEGQTDNLTNKVWNFLPTSRNKFYQSKNAKIQELLRKLEDFVHLKSALGLTEVVVRKVSQRTPTTSLVHEPCVYGRDEVKENLLKVLLSDDASKDDVSVITIVGMGGVGKTTLARLLYNDDKVKENFTLKAWACVSEDYDAIRVTKTLFDSVTSKPCNTTDLNLLQVKLREQLRGKKFLFVLDDVWNEKYTDWNCLQTPFTSGARGSKVLVTTRNKNVASFMQNVPIQTLEPLSHEDCWLLLAKHAFGNVNCSEHPSLEEIGMKIARKCNGLPLAAQTLGGALRSKLDFEVWNKVLNSSIWELPYQKSDILPALELSYHYLPAKLKRCFVYCSILPKDYEFKVEEVIFLWMAEGLIPQVENGEIMEEMAKEYFDEMLSRSLFQTSGKSSFTMHDLINDLAVFMSKGFCSRWEGRESHEVEKVRHLSYARGKFDDALKFEPLKGAKCLRTFLPHSLNPYYSYEEYYLSKKVLQDLLPSLRCLRVLSLSHYWNVTQLHDSIKNLIQLRYLDLSGTAIERLPGVLCSLYNLQTLLLSNCSSLVELPADLRKLVNLQKLMLGGCASLAKLPVDMWELISLHHLDIGGTTIAEMPAQMSRLKSLRTLTVFIVGKSSGSTIGELGELTHLRGKLSILKLQNVVDAKDAVQANLKNKKDLKELELAWGDEDSDDSEKVRDVLDKLQPSINLKKLTIKFYGGTNFPTWLGDSSFSNIQIMHLSDCPYCWSLPPVGGLPALKELRVERMKFVKTIGVEFYGRNEASLIQPFQSLEKLEFEEMAEWEEWVPSASGGEYGPDFPRLQVLILNECPKLRGSLPCDLPCLKKLSVYGCAVLHDQRATSTTGTSLNMNSYKSLQELKIKLDYGCQTDVSLQEAKLLSVLDVGNFDDIPCLPNTNRLQRLCVWNCPTLSAFPKDGLPTSLTSVSLWNCRRLEFLPHEMLAKLTSLDFLWIENSCDSMRSFPLGIFPKLTWLYIRNCENLESLSIEDGVDANLSHLNTLSISGCPNLVCFPQRGLPTPNLTLMEVGECEKLKLLPERIHTLTALRDLRITNLPNLESVAEDGGLPPNLQYFGVENCERLRPSSVGEYWGLQGLVSLEKFTIGGKGSHEILETLLKQQLLPTTLQRLQISELSSLKSLDRKGLKNITSLSFLSISNCSALEKTYENKTGDDWAAISHIPCIKINDEVII >ONI22618 pep chromosome:Prunus_persica_NCBIv2:G2:19687451:19688825:-1 gene:PRUPE_2G140100 transcript:ONI22618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQSKGRLKSNNIDQSSRQHASGSCFDFTKISEALPSAQNSLNQEAVDHCRGSIVVQDESASCAYDFSEMSEALSICQDEDRESLAFRQTLIIKLGRQAFLTTKEKREPLKVKKPPAKLFNFKRTQPMSLMSMRKCS >ONI21500 pep chromosome:Prunus_persica_NCBIv2:G2:10057687:10062663:-1 gene:PRUPE_2G070100 transcript:ONI21500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKGLIYSFVAKGGVVLAEHTSFSGNFSTIAVQCLQKLPSSSSKYTYACDSHTFNFLLDNGFVFLVVADESVGRSMPFVFLERVKEDFKQRYGSNNKIEGPHPLADDNEDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMEHPEEISKLSKLKAQITEVKGVMMDNIEKQLDGFQKQLTASPILAILVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQSLQMKLMIGGGILILIIILWLIACGGFKC >ONI21498 pep chromosome:Prunus_persica_NCBIv2:G2:10056286:10062819:-1 gene:PRUPE_2G070100 transcript:ONI21498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKGLIYSFVAKGGVVLAEHTSFSGNFSTIAVQCLQKLPSSSSKYTYACDSHTFNFLLDNGFVFLVVADESVGRSMPFVFLERVKEDFKQRYGSNNKIEGPHPLADDNEDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMEHPEEISKLSKLKAQITEVKGVMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQSLQMKLMIGGGILILIIILWLIACGGFKC >ONI21499 pep chromosome:Prunus_persica_NCBIv2:G2:10057543:10062767:-1 gene:PRUPE_2G070100 transcript:ONI21499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKGLIYSFVAKGGVVLAEHTSFSGNFSTIAVQCLQKLPSSSSKYTYACDSHTFNFLLDNGFVFLVVADESVGRSMPFVFLERVKEDFKQRYGSNNKIEGPHPLADDNEDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMEHPEEISKLSKLKAQITEVKGVMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQSLQMKLMIGGGILILIIILWLIACGGFKC >ONI21497 pep chromosome:Prunus_persica_NCBIv2:G2:10056286:10062757:-1 gene:PRUPE_2G070100 transcript:ONI21497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKGLIYSFVAKGGVVLAEHTSFSGNFSTIAVQCLQKLPSSSSKYTYACDSHTFNFLLDNGFVFLVVADESVGRSMPFVFLERVKEDFKQRYGSNNKIEGPHPLADDNEDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMEHPEEISKLSKLKAQITEVKGVMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQSLQMKLMIGGGILILIIILWLIACGGFKC >ONI20915 pep chromosome:Prunus_persica_NCBIv2:G2:4463221:4464466:-1 gene:PRUPE_2G040600 transcript:ONI20915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCSCSSKNFTIGGRGSDNILETLLKQQLLPTTLHTQRINEITSLKSLNRKGNLTSLQHLRMESCPTLEFLQLQHLTSLQRIYISWCDNLQFMLKEGLQPSLSLLLIYKCSGLEKRYDNKTGKDWVNISQIPYS >ONI25352 pep chromosome:Prunus_persica_NCBIv2:G2:28764590:28765909:1 gene:PRUPE_2G297800 transcript:ONI25352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVGPTCNDISLPKEQEHEFKEHHDPASSKLSPGQKPSVQRKPGLFSFRQLNALAVIVVLAASGMVSPTDFAFVLFSMIYIHFISKVAFPTTLNSPKDPAVFNPQNKILRLYVLVGAIVGLLLPIAYILEGIVEGDKEGISAASPHVFLLASQVFMEGVAFTDRFSTPIRVFVPVFYNSRRIFTIVEWLRSEFSKEYEEYGGSAKRLYLGRGLAIANMAFWCFNLFGFLLPVYLPRAFKKYYSLQKVKEY >ONI23414 pep chromosome:Prunus_persica_NCBIv2:G2:22833589:22845697:1 gene:PRUPE_2G188000 transcript:ONI23414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNSRGKGKGEKKKKEEKVLPVVMDITVNLPDESSVVLKGISTDRIIDVRQLLSVNTETCNITNFSLTHEVRGQRLKDTVDVSALKPCVLTLVEEDYDEQRATAHVRRVLDIVACTTSFGASPSPTKDQGLKLDASSTGSGKNAPGAQDKSAKKSTTTNTSKSQVSTGADKRDVAVDSETEMSHSCLKLGSFYDFFSLSHLTPPLQFIRRGTKRQVDEILPQDHLFSLEVKLCNGKVVHVEACRKGFYSVGKQRILCHNLVDLLRQLSRAFDNAYDELLKAFSERNKFGNLPYGFRANTWLVPPVSAQTPSVFPALPVEDETWGGNGGGLGRDGKFDLIPWANEFWYIASMPCKTAEERQIRDRKAFLLHSLFVDVSIFRAIKAVQHVIGKPELTGSVPNSGILYTERVGDLNVTVTKDVSNASCKVDTKIDGIQATGVDKKNLAQRNLLKGITADENTAAHDVNTLGVVNVRYCGYIAVVKVEGKETKKVSSPSQSIELLDQPEGGANALNINSLRLLLHNITPSDQNKPASHMQILEHEELSASCVFVEGLLEESLAKLEKEELDSDSFVRWELGACWIQHLQDQKNADKDKKPSTEKAKNEMKVEGLGTPLKSLKNSKKKSDGGNIKLQSESSKSPADGVVGEANNATSPSVESKFETNAKENELVLTEILSDAAFARLKESETGLHCKSLQELIDLSQKYYSEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVISAVDSTEKMAVSIAAALNLMLGVSENEELNKPCNVHSLVWRWLEVFLRKRYGWDLSSFNYDDVRRFAILRGLCHKAGIEMVPRDFDMDSPNPFRSSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVAYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPVHDAKGRDMAVKRKSYITKLKEKSYQTISLESSDDSSKETTKEGSDEETHILEPRDKTEAIQENSPAPVEPQHVVEENAGQNQTVFDQISSETQVEGEDGWQSVQRPRSAGSYGRRLKQRRATIGKVYSYQKKYVESDMDYSSAKNTNQNSRYYLVKKRPTSHGSYAENTANSSQGTKFGRRTVKAVTYRVKSVPSSAKVVTAEPSRNDGKSFSSPSELSLNISPHGTAPVKNSIVSLGKSPSYKEVALAPPGTIAKMQTELPHSNVPDNQEHGVQIHEEETTEVKGDSKPNITGLENILEEEKDSVLVTTDHLQEETGAAEKKGEINSTDAKDDISSLRMVECLDGQGSSGVKIHEVVEDKLLIDGVPKSMGSPTKGICEKDPSGTCELHDSISTLQGVEDAANSVDTRGQPSKKLSASAAPFNPSPSVARAAPVPMSIAIPSGAGPVPTIAPWPVNMNLHPGPATVLSTPMCSSPHHPYHSPPATPNIIQPLPFMYPPYSQPQVIRTGAFPVTSSGFHPNHFAWQCNVNPNIPEFVHSTVWPGCHPMDFSAPTPVVEPISDPPLESNFQSDDSGPVLPVDIDNVGETKKEVNLLTSEPMSNAIESVKENGPNLCGVEDAQNEPSDSPNRKAGSSSERTNDGEKTFSILIRGRRNRKQTLRMPISLLSRPYGSQSFKVINNRVVRGSDATKATSFPSSENCTATAT >ONI23413 pep chromosome:Prunus_persica_NCBIv2:G2:22833589:22845697:1 gene:PRUPE_2G188000 transcript:ONI23413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNSRGKGKGEKKKKEEKVLPVVMDITVNLPDESSVVLKGISTDRIIDVRQLLSVNTETCNITNFSLTHEVRGQRLKDTVDVSALKPCVLTLVEEDYDEQRATAHVRRVLDIVACTTSFGASPSPTKDQGLKLDASSTGSGKNAPGAQDKSAKKSTTTNTSKSQVSTGADKRDVAVDSETEMSHSCLKLGSFYDFFSLSHLTPPLQFIRRGTKRQVDEILPQDHLFSLEVKLCNGKVVHVEACRKGFYSVGKQRILCHNLVDLLRQLSRAFDNAYDELLKAFSERNKFGNLPYGFRANTWLVPPVSAQTPSVFPALPVEDETWGGNGGGLGRDGKFDLIPWANEFWYIASMPCKTAEERQIRDRKAFLLHSLFVDVSIFRAIKAVQHVIGKPELTGSVPNSGILYTERVGDLNVTVTKDVSNASCKVDTKIDGIQATGVDKKNLAQRNLLKGITADENTAAHDVNTLGVVNVRYCGYIAVVKVEGKETKKVSSPSQSIELLDQPEGGANALNINSLRLLLHNITPSDQNKPASHMQILEHEELSASCVFVEGLLEESLAKLEKEELDSDSFVRWELGACWIQHLQDQKNADKDKKPSTEKAKNEMKVEGLGTPLKSLKNSKKKSDGGNIKLQSESSKSPADGVVGEANNATSPSVESKFETNAKENELVLTEILSDAAFARLKESETGLHCKSLQELIDLSQKYYSEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVISAVDSTEKMAVSIAAALNLMLGVSENEELNKPCNVHSLVWRWLEVFLRKRYGWDLSSFNYDDVRRFAILRGLCHKAGIEMVPRDFDMDSPNPFRSSDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVAYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPVHDAKGRDMAVKRKSYITKLKEKSYQTISLESSDDSSKETTKEGSDEETHILEPRDKTEAIQENSPAPVEPQHVVEENAGQNQTVFDQISSETQVEGEDGWQSVQRPRSAGSYGRRLKQRRATIGKVYSYQKKYVESDMDYSSAKNTNQNSRYYLVKKRPTSHGSYAENTANSSQGTKFGRRTVKAVTYRVKSVPSSAKVVTAEPSRNDGKSFSSPSELSLNISPHGTAPVKNSIVSLGKSPSYKEVALAPPGTIAKMQTELPHSNVPDNQEHGVQIHEEETTEVKGDSKPNITGLENILEEEKDSVLVTTDHLQEETGAAEKKGEINSTDAKDDISSLRMVECLDGQGSSGVKIHEVVEDKLLIDGVPKSMGSPTKGICEKDPSGTCELHDSISTLQGVEDAANSVDTRGQPSKKLSASAAPFNPSPSVARAAPVPMSIAIPSGAGPVPTIAPWPVNMNLHPGPATVLSTPMCSSPHHPYHSPPATPNIIQPLPFMYPPYSQPQVIRTGAFPVTSSGFHPNHFAWQCNVNPNIPEFVHSTVWPGCHPMDFSAPTPVVEPISDPPLESNFQSDDSGPVLPVDIDNVGETKKEVNLLTSEPMSNAIESVKENGPNLCGVEDAQNEPSDSPNRKAGSSSERTNDGEKTFSILIRGRRNRKQTLRMPISLLSRPYGSQSFKVINNRVVRGSDATKATSFPSSENCTATAT >ONI24649 pep chromosome:Prunus_persica_NCBIv2:G2:26645532:26647143:1 gene:PRUPE_2G252600 transcript:ONI24649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMVLEKCWLFLAMENCYCAGPQGATWAPYNSAALSNESSSFPLPWPPHASSSTQFQFCGFPNSWSATAEGADEEDRAATASKSHSQAEKRRRDRINAQLATLRKLIPKSDKMDKAALLGSVIDHVKDLKRKAMEVSKAFMVPTEMDEITIDSDPAQAAANSGSNNINMNKSRCSIVIRASVCCDDRPELFSELIQVLKGLKLTAVRADMASVGGRIKSVLVLCKDGEEDEALCISTLKQSLKLVLSKICASSMAPNCRIRSKRQRFFLPFQYPN >ONI24178 pep chromosome:Prunus_persica_NCBIv2:G2:25427697:25429580:1 gene:PRUPE_2G228800 transcript:ONI24178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADSDSNSSNTNTTSVSMKLLVDSTRGKVLFAEASKDVVDFLFTLLSLPVGTVIRLLSKDGMVGSLGKLYESVENLDDTYLQPNLNKNMLLEPKATVAGANILPLLTNDVDSNAKKFYMCSYCSNRSISNVHGTRCPDCNHGHMSNEVTYVSPAPTVARPSEGGYVKGVVTYMVMDDLEVKPMSTISSIAMLNKFNVKEVGALEEKVVNLGMEEGLKLLKASLETSTVLTKVFLGNKKA >ONI22300 pep chromosome:Prunus_persica_NCBIv2:G2:17774212:17776888:-1 gene:PRUPE_2G120100 transcript:ONI22300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVSTPPYPSAARISDSQCYPQYTASLKCLEEYNSDKSKCQEHFDIYKECKKKEREARLERNKTRSLFS >ONI24192 pep chromosome:Prunus_persica_NCBIv2:G2:25471503:25472540:1 gene:PRUPE_2G229800 transcript:ONI24192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFESVFKVSRKTFSYICSLVKEDMMTSSSNFSYSNGKQLSLNDQVAVALRRLSSGESLVSIGDSFGINRSTVSHITWRFVEAMEERGLHHLCWPTKHGEMEEIKSKFEKIRGLPNCCGAIDITHILMTHPTPGSPDDVWLDCEEKCSMILQAIVDPDMRFRNIITGWPGSLSDELVLRSSGFFKLCEERSCLNGKKLVLSEGTEVREYIVGDSGFPLLQWLFTPYKGRELSNYQCEFNKRLSATQMVARRALVRLKEMWKIIQGVMWTPDKNKLPRIILVCCILHNIVIDLEDEAQDEMPLSHHHDSGYRQQTCESADNTASLLREKLAIYLSGEKNLASVLPG >ONI23680 pep chromosome:Prunus_persica_NCBIv2:G2:23841599:23845657:-1 gene:PRUPE_2G202000 transcript:ONI23680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISTMEEGDSIPVVLPGFRFYPTEEVLVSYYLKKKTEGKDSDFSHIIPEIDVCKHEPCDVPAFFEEADFPDHEMEWFFFSQPDYKYTNSTRCNRATDQGFYKITGKVREIKARRSKAVIGKKRTLTFYEGRVPNAKKTNWIMHEYYLTNTELAQLGPNTNQRKDFVLCRLKNKSANYKKLKDDSICNELADTGSGGGIASNSEDDQAAGADMISEPLEHLASQEVGDVLNDNGSGLIENNDISICDDDQIDAWIFSDFDSQAAYDLLQEQYCAEPGENLDSPLPPPQPTLPPLPQDYCSSTQQSPLYTNQGNVPCVYDGDCNRQQSPIGDRNSYLTHKNNMSMNNQIEPVSNITYGVQNRATGESNSEVYNNSTNDFKEPVCNITYNSNNGAPDERILEGDGPKENLGSPFDPFQLLDFTLLSPMNSELGDFEHGNKLCWHAMSCNL >ONI23681 pep chromosome:Prunus_persica_NCBIv2:G2:23843119:23845418:-1 gene:PRUPE_2G202000 transcript:ONI23681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISTMEEGDSIPVVLPGFRFYPTEEVLVSYYLKKKTEGKDSDFSHIIPEIDVCKHEPCDVPAFFEEADFPDHEMEWFFFSQPDYKYTNSTRCNRATDQGFYKITGKVREIKARRSKAVIGKKRTLTFYEGRVPNAKKTNWIMHEYYLTNTELAQLGPNTNQRKDFVLCRLKNKSANYKKLKDDSICNELADTGSGGGIASNSEDDQAAGADMISEPLEHLASQEVGDVLNDNGSGLIENNDISICDDDQIDAWIFSDFDSQAAYDLLQEQYCAEPGENLDSPLPPPQPTLPPLPQDYCSSTQQSPLYTNQGNVPCVYDGDCNRQQSPIGDRNSYLTHKNNMSMNNQIEPVSNITYGVQNRATGESNSEVYNNSTNDFKEPVCNITYNSNNGAPDERILEAVKFQGDGPKENLGSPFDPFQLLDFTLLSPMNSELGDFEHGNKLCWHAMSCNL >ONI23678 pep chromosome:Prunus_persica_NCBIv2:G2:23841599:23845657:-1 gene:PRUPE_2G202000 transcript:ONI23678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISTMEEGDSIPVVLPGFRFYPTEEVLVSYYLKKKTEGKDSDFSHIIPEIDVCKHEPCDVPAFFEEADFPDHEMEWFFFSQPDYKYTNSTRCNRATDQGFYKITGKVREIKARRSKAVIGKKRTLTFYEGRVPNAKKTNWIMHEYYLTNTELAQLGPNTNQRKDFVLCRLKNKSANYKKLKDDSICNELADTGSGGGIASNSEDDQAAGADMISEPLEHLASQEVGDVLNDNGSGLIENNDISICDDDQIDAWIFSDFDSQAAYDLLQEYCAEPGENLDSPLPPPQPTLPPLPQDYCSSTQQSPLYTNQGNVPCVYDGDCNRQQSPIGDRNSYLTHKNNMSMNNQIEPVSNITYGVQNRATGESNSEVYNNSTNDFKEPVCNITYNSNNGAPDERILEGDGPKENLGSPFDPFQLLDFTLLSPMNSELGDFEHGNKLCWHAMSCNL >ONI23679 pep chromosome:Prunus_persica_NCBIv2:G2:23843119:23845418:-1 gene:PRUPE_2G202000 transcript:ONI23679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISTMEEGDSIPVVLPGFRFYPTEEVLVSYYLKKKTEGKDSDFSHIIPEIDVCKHEPCDVPAFFEEADFPDHEMEWFFFSQPDYKYTNSTRCNRATDQGFYKITGKVREIKARRSKAVIGKKRTLTFYEGRVPNAKKTNWIMHEYYLTNTELAQLGPNTNQRKDFVLCRLKNKSANYKKLKDDSICNELADTGSGGGIASNSEDDQAAGADMISEPLEHLASQEVGDVLNDNGSGLIENNDISICDDDQIDAWIFSDFDSQAAYDLLQEYCAEPGENLDSPLPPPQPTLPPLPQDYCSSTQQSPLYTNQGNVPCVYDGDCNRQQSPIGDRNSYLTHKNNMSMNNQIEPVSNITYGVQNRATGESNSEVYNNSTNDFKEPVCNITYNSNNGAPDERILEAVKFQGDGPKENLGSPFDPFQLLDFTLLSPMNSELGDFEHGNKLCWHAMSCNL >ONI23714 pep chromosome:Prunus_persica_NCBIv2:G2:23940521:23942171:-1 gene:PRUPE_2G203800 transcript:ONI23714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLQPTMAGGNLKNAVVAFLAPLPSIIFYLIFLSNNHHQTDGILSPLWAWCYHHPLLLANALFFLNVNVLFWVISQVLKSHWMIDLYWTVIPVMLVHYYGSHPLADYNWWRSTIVVLMTWVWSLRLSHNYFRRENWQWGAREDWRFTDMSSQYGKHWWWISFFAVYLSQQVFLIGVCLPMYVIHSVNVPLNIWDIIAVIVCISGIVVAYCADTQLHDFVSRNNKLKELGKPVVPNLDEGLWRYSRHPNYFGEQLWWWGLVIFAWSLGHGWAFVGSLINTMCLAYVTTLVERRMLKQDYRAEAYRRYQKTTSVWIPWFKSSPGGKDKNA >ONI25211 pep chromosome:Prunus_persica_NCBIv2:G2:28378717:28385226:-1 gene:PRUPE_2G289200 transcript:ONI25211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLTLPLPPTLVSTPSRTVKPQLALFSLIQSHPFPRFSHYRLPKPPPPKTITASFAYVSGPASDPIVSEPDPKIDGPDSKGQSPSVISWGLLLSLLLKHKLRLAISAFALIGCSACTLSMPIFSGRFFEVLIGRRPGPLWKLLSKVGVLYVLEPILTVIFVVNLNTIWEKVMSTLRAQIFGRVLIQKVEFFDRYKVGELTGLLTSDLGSIKSVVSENISRDRGFRALTEVIGTICILFALAPQLAPILAVLMLTVSILVAVYKRSTVPVFKAYGLAQASISDCVTETFSAIRTVRSFGGEKRQMLMFGRQVLAYQSSGIKLGTFKSLNESLTRVVVYISLMALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGTFAAVERINSVLSGVEIDESLAYGLEREMQQKKLLDENYRLFLIDGSSEKNQSVNTRYMSALKSASNISRLAWSGDVCLEVGPSGAGKSTIVQLLARFYEPNSGRITVAGEDVRTFDKSEWAQIVSLVNQEPVLFSVSVGENIAYGLPDDHVSKDDVIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDAISERLVQGALNHLMKRRTTLVIAHRLSTVQNAHQIALCSDGRIAELGTHSELLAKKGQYASLVGTQRLAFE >ONI25212 pep chromosome:Prunus_persica_NCBIv2:G2:28379628:28384870:-1 gene:PRUPE_2G289200 transcript:ONI25212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLTLPLPPTLVSTPSRTVKPQLALFSLIQSHPFPRFSHYRLPKPPPPKTITASFAYVSGPASDPIVSEPDPKIDGPDSKGQSPSVISWGLLLSLLLKHKLRLAISAFALIGCSACTLSMPIFSGRFFEVLIGRRPGPLWKLLSKVGVLYVLEPILTVIFVVNLNTIWEKVMSTLRAQIFGRVLIQKVEFFDRYKVGELTGLLTSDLGSIKSVVSENISRDRGFRALTEVIGTICILFALAPQLAPILAVLMLTVSILVAVYKRSTVPVFKAYGLAQASISDCVTETFSAIRTVRSFGGEKRQMLMFGRQVLAYQSSGIKLGTFKSLNESLTRVVVYISLMALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGTFAAVERINSVLSGVEIDESLAYGLEREMQQKKLLDENYRLFLIDGSSEKNQSVNTRYMSALKSASNISRLAWSGDVCLEDVHFSYPLRPDVEILNGLNLTLKCGTVTALVGPSGAGKSTIVQLLARFYEPNSGRITVAGEDVRTFDKSEWAQIVSLVNQEPVLFSVSVGENIAYGLPDDHVSKDDVIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDAISERLVQGALNHLMKRRTTLVIAHRLSTVQNAHQIALCSDGRIAELGTHSELLAKKGQYASLVGTQRLAFE >ONI22677 pep chromosome:Prunus_persica_NCBIv2:G2:20127074:20128180:1 gene:PRUPE_2G144100 transcript:ONI22677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIWSPETASKAYIDTVKSCEKLRESGVPELLSAMAAGWDAKLIVESWSHGTPIATSLGLAMAARHTGGRHVCIVPDERSRLEYIKATRNAGVMPEVVVGEEATVAVLAGVDFLVVDCKRKDFTRVLRRAKVSPRGAVFACKNACQRNFSGFRWHGVVERGTRVVRSVFLPVGKGLDIAHLGYGGGSASVGSSIKKGPSRWIKHIDQKSGEEHLFRE >ONI25326 pep chromosome:Prunus_persica_NCBIv2:G2:28709202:28711203:1 gene:PRUPE_2G296300 transcript:ONI25326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWFLILLATLTLCISLLLLTLRFTFIPSSSISKGKPTNQPKLPPGPHPIPLIGSFLWLQKSIPDFEPILRNLLAKHGPIVTLRLASLPAIFVADRSLAHQALIQNGAIFADRPQALPTQRITSSNQLTISSGVYGPTWRLLRRNLTSEILNPSRLKSYCGSRKWVLDILIHRIGSESQSQTQSKGIGVVDHFQYAMFCLLVLMCFGDKLNENQIKQVEYVQRRLLLSFWRFNFLNFCPKLTKILFKTRWSELFQLRKEQEGVLIPLIRARQNKAKTGRLSRKGDHKDDEDDFVLAYVDTLLELQLPDGKGKRKLSEDEIVSLCSEFLSAGTDTSSTALQWIMANIVKYPQVQDKLFAEIKGVVAETEEEVKEEVLHKLPYLKAVILEGLRRHPPGHFVLPHAVTQDVVLGGHLVPKNGIVNFMVADLGWDPEVWEDPMAFKPERFLSSGGKCGGAEEGFDLTGSREIKMMPFGVGRRICPASGLAMLHLQYFVANLVWKFEWRTVDGDDVDLSEKPLFTVVMKNPLQAHLSPRVK >ONI25845 pep chromosome:Prunus_persica_NCBIv2:G2:29947943:29951071:1 gene:PRUPE_2G323100 transcript:ONI25845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSNFKIGDEVEVTSPIHNMRGTLFPAKIIGKSRDKTKLKVEYNKVKAKIDGNLGRRKRHQQRNEVEEEVDVALIRPLPPEESKYCCFKLGDVVDAFFCGGWWEGVITDVMKDSTFGIYFRFAKEEFEFESEELRLHREWVKGSWLPPLQQGDVSTTEEPKLWMKEDFVQGTQVEICTDEDGFQGAWFAANIVKVMGKDKFLIRYKSIKTDDGKEFLTEEVDAQHIRPCPPETVVVESFSLNEEVDAFYNDGWWEGVIRKVLRGPRYRVYFKGTKDELLFEHSDLRPRQDWIDRTWVMASQALKH >ONI25051 pep chromosome:Prunus_persica_NCBIv2:G2:27845690:27846487:-1 gene:PRUPE_2G277600 transcript:ONI25051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRSLILQKKLNEVEAQLNHVLSLPPEDPSTTPCRHELQCYDMEQRLAFVKTLLCAEMASHPSKPHHLKHMAWRLGELEKIFHDWNSFTLTSTELDQDHVDHDGNGNGDDGRSTCSCTESCLDDDDCKTSTDDSLIGSPLERNEEAAEGGSEVEENNKEMPMVVFNNSVFEHDEGEEEMKEKVTEDEERDDVVEVMKRSSSNRISEEEIERKRGSSGVGMTCGAMVTGWMLGMVSMGLVMLSSAGFLFPCGGGDHQYGNFLIPT >ONI21154 pep chromosome:Prunus_persica_NCBIv2:G2:5867191:5868718:1 gene:PRUPE_2G050800 transcript:ONI21154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLNVLYHVIYKVGELKKLVEEGKVKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGAKFFENLPNDDFRKYLPRFQAENLEHNKTIFEQVRDLAARKGCTPSQLALAWVHHQGNDVCLIPGTTKIENFNQNIGALSVKLTPEELAELETFASVDAVKGGRYQNDFSTWKNSETPPVSSWKAT >ONI23310 pep chromosome:Prunus_persica_NCBIv2:G2:22450736:22452410:1 gene:PRUPE_2G181800 transcript:ONI23310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENGDHEGTMRVLEIHLISAQGLKAPTGTLRRMQTYALAWVDSAHKLRSRVDKIGASNPTWNDRFLFKVPPGFLSSETSAVSVQIYTVGTFRDHLVGSVRFLINNFLDVHSKMPSFTAVQIRRPSGRFYGVLNVGAMVIDGSDLAPAVNEMSAIGYRDLMGKGESFGRKRRHGDSMRIKSKDYSGDSKENSCAESTENSDAGESVASSPGTPLPPLKELNGVGDLAGTTTKVLRAAPSDGSRFLCCLLTQRKIQYTPTDQNPDGAHSRER >ONI25350 pep chromosome:Prunus_persica_NCBIv2:G2:28761776:28763037:1 gene:PRUPE_2G297600 transcript:ONI25350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATHEDLSLQEEQEQDHECVFKQQNDDVPASSLKYLNPEKGQTMETVRKVNLISLWQLNALIVMLVFSASGMVSLQDLAFVFFSIVYIFILSKFSFPTISRDPSQDIPVFNPQSKMVRLGPIFGAVIGLVLPIAYIFDGFLEGDKEGIRAAAPHVFLLASQAFVDGVAFSDRFSTPIRVFVPVFFNAKRIFTLVDWV >ONI20629 pep chromosome:Prunus_persica_NCBIv2:G2:2716878:2718243:-1 gene:PRUPE_2G026400 transcript:ONI20629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVHIKGQPKATYWGRVTQYFHNYKTFASDREEKSLLQRWSTIQLATKKFCEYVTQVENEHKYGMNEQDKFFYSKQLYEKLEKRKFHFDHCWKLLKDAPKWVDDMHKKKPSNRVKGTSLSPEFSSPSTSQSLVELVEDQVCNTESGNMERPQKRKEVTNTDVGNIERPPIRKDILNTDFGNTVRAPIGKDVPSSDFGSRERPSIRKDVPIIDFENTERPLIRNITITDFGNIDRPPVRKDVPNSDFGSRERTSTRKGVLSIDFGNTERPVIKKDIPNTDFGNIERPPVRKDVSDSDVENMETSPLRREVVNSDFGNMERPLIMKAEKLKTKDHDKNDNANKQVVDLLHIMEKWKDQVNEDKRQYRLEKMEMLRERLEMDKERLRLDREDKEERIMLMDISGMPHELQQYYRRRRMEILAKGMGGV >ONI20628 pep chromosome:Prunus_persica_NCBIv2:G2:2716597:2721813:-1 gene:PRUPE_2G026400 transcript:ONI20628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVDYASSDDDVSEEIRENKENEPAQVPQDDPQPPTRPHTQSVVSSYQQPESTAHSSAPSIEKLPDASMLLNSPVFSSNMFSGGDHSSRVAAAIAESASRKRESNEFVSSVPRSKVPKGNLPHSKNVPDTIGGMLVPPQLSGSSSQFPVQLDTAKRKLRGLSFSRQEDVLLVQGWLATSMNVVHIKGQPKATYWGRVTQYFHNYKTFASDREEKSLLQRWSTIQLATKKFCEYVTQVENEHKYGMNEQDKFFYSKQLYEKLEKRKFHFDHCWKLLKDAPKWVDDMHKKKPSNRVKGTSLSPEFSSPSTSQSLVELVEDQVCNTESGNMERPQKRKEVTNTDVGNIERPPIRKDILNTDFGNTVRAPIGKDVPSSDFGSRERPSIRKDVPIIDFENTERPLIRNITITDFGNIDRPPVRKDVPNSDFGSRERTSTRKGVLSIDFGNTERPVIKKDIPNTDFGNIERPPVRKDVSDSDVENMETSPLRREVVNSDFGNMERPLIMKAEKLKTKDHDKNDNANKQVVDLLHIMEKWKDQVNEDKRQYRLEKMEMLRERLEMDKERLRLDREDKEERIMLMDISGMPHELQQYYRRRRMEILAKGMGGV >ONI20630 pep chromosome:Prunus_persica_NCBIv2:G2:2716597:2721112:-1 gene:PRUPE_2G026400 transcript:ONI20630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVHIKGQPKATYWGRVTQYFHNYKTFASDREEKSLLQRWSTIQLATKKFCEYVTQVENEHKYGMNEQDKFFYSKQLYEKLEKRKFHFDHCWKLLKDAPKWVDDMHKKKPSNRVKGTSLSPEFSSPSTSQSLVELVEDQVCNTESGNMERPQKRKEVTNTDVGNIERPPIRKDILNTDFGNTVRAPIGKDVPSSDFGSRERPSIRKDVPIIDFENTERPLIRNITITDFGNIDRPPVRKDVPNSDFGSRERTSTRKGVLSIDFGNTERPVIKKDIPNTDFGNIERPPVRKDVSDSDVENMETSPLRREVVNSDFGNMERPLIMKAEKLKTKDHDKNDNANKQVVDLLHIMEKWKDQVNEDKRQYRLEKMEMLRERLEMDKERLRLDREDKEERIMLMDISGMPHELQQYYRRRRMEILAKGMGGV >ONI20631 pep chromosome:Prunus_persica_NCBIv2:G2:2716597:2721839:-1 gene:PRUPE_2G026400 transcript:ONI20631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVHIKGQPKATYWGRVTQYFHNYKTFASDREEKSLLQRWSTIQLATKKFCEYVTQVENEHKYGMNEQDKFFYSKQLYEKLEKRKFHFDHCWKLLKDAPKWVDDMHKKKPSNRVKGTSLSPEFSSPSTSQSLVELVEDQVCNTESGNMERPQKRKEVTNTDVGNIERPPIRKDILNTDFGNTVRAPIGKDVPSSDFGSRERPSIRKDVPIIDFENTERPLIRNITITDFGNIDRPPVRKDVPNSDFGSRERTSTRKGVLSIDFGNTERPVIKKDIPNTDFGNIERPPVRKDVSDSDVENMETSPLRREVVNSDFGNMERPLIMKAEKLKTKDHDKNDNANKQVVDLLHIMEKWKDQVNEDKRQYRLEKMEMLRERLEMDKERLRLDREDKEERIMLMDISGMPHELQQYYRRRRMEILAKGMGGV >ONI23846 pep chromosome:Prunus_persica_NCBIv2:G2:24388726:24392567:-1 gene:PRUPE_2G211700 transcript:ONI23846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYERVLLGMGNPLLDISAVVDDEFLTKYDIKPNNAILAEDKHLPMYDEMASKSNVEYIAGGATQNSIRVAQWMLQVPDATSYIGSIGKDKFGEEMKKNSKLAGVNVQYYEDDKAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKQPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAANNKVFMMNLSAPFICEFFKDVQEKALPYMDYVFGNETEARTFSRVHGWETDNVEEIAIKISQLPKASGAHKRITVITQGADPVVVAQDGKVKLYPVILLPKENLVDTNGAGDAFVGGFLSQLVQEKPMEDCVRAGCYAANVVIQRSGCTYPEKPDFH >ONI21187 pep chromosome:Prunus_persica_NCBIv2:G2:6013967:6020520:1 gene:PRUPE_2G051700 transcript:ONI21187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVCPFVKAARPSEPSKKESSADTATISPKCPLGYDSQTFKLGPLSCMICHALLFDSAKSVPCSHSFCKACISRFKDCPLCGADIEKIEADINLQNLVDRFIEGHARIKRSHNAEDKQDSTPESNSNDDNNNSKRVIYEDVSLERGAFLVQQAMRAFRAQNIESAKSRLSLCAEDIRGQLETMGNTSELCSQLGAVLGMLGDCSRATGDAGSAVSYFEESVEFLSKLPRNDQEITHTLSISLNKIGDLKYYDGDLKAARSYYFQSLNVRRDAVKDDPNVPSQILDLAVSFAKVADVDRNLGDEDVAIDEFQEGIKLLESLTLKSEDTGLEQRRLSVLEFLKSQIVEKQT >ONI22820 pep chromosome:Prunus_persica_NCBIv2:G2:20745614:20753757:-1 gene:PRUPE_2G153100 transcript:ONI22820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLRESQIQEWQGYYLDYKLMKKKLNRYTQQIEVGTQNRHLVLKDFSILLDSEIEKIVMFLLQQQGVLASKLLNLGEQYDSVLQQVDGAKIPELQEAYRSVGQDLLRILSFVEMNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLRQVCKQVGVGAVVGAISRNLADLQDFQQHCGNYISIYDQPAFSHPDPVLDSIRAAVNRLSNSTSFLHYLGKHALLMGDDLQSPSEDHADDGRYHFMSLLLNLANTFLYMVNTYIIVPTADNYSMTLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNRSYMRPLVFSSIILLVGNTLYALAYDLNSISVLLIGRLFCGLGSARAVNRRYISDCVPLKLRMQASAGFVSAGALGMACGPALACLLQTNFKIYKLTFNEDTLPGWIMALAWLIYLLWLSISFKEPSRVTTENIVPRESNSGKIVNISVENGPTQPLLSNSKAKQKDGDGDTDCDDAEENPTEIQKPVNSIVSAYRLLTPSVKVQLYIYFMLKYAMEIVLAESSVITGYYFIWSTSSVAIFLACLGLTVLPVNVVVGSYISNMFEERQVLLASEILVCIGILLSFHVLIPYSVPQYVSSALITFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADGTITLAGFWGESKLLNTTLVPALVICVSSIVATCFTYNSLY >ONI22822 pep chromosome:Prunus_persica_NCBIv2:G2:20745556:20752054:-1 gene:PRUPE_2G153100 transcript:ONI22822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLRESQIQEWQGYYLDYKLMKKKLNRYTQQIEVGTQNRHLVLKDFSILLDSEIEKIVMFLLQQQGVLASKLLNLGEQYDSVLQQVDGAKIPELQEAYRSVGQDLLRILSFVEMNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLRQVCKQVGVGAVVGAISRNLADLQDFQQHCGNYISIYDQPAFSHPDPVLDSIRAAVNRLSNSTSFLHYLGKHALLMGDDLQSPSEDHADDGRYHFMSLLLNLANTFLYMVNTYIIVPTADNYSMTLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNRSYMRPLVFSSIILLVGNTLYALAYDLNSISVLLIGRLFCGLGSARAVNRRYISDCVPLKLRMQASAGFVSAGALGMACGPALACLLQTNFKIYKLTFNEDTLPGWIMALAWLIYLLWLSISFKEPSRVTTENIVPRESNSGKIVNISVENGPTQPLLSNSKAKQKDGDGDTDCDDAEENPTEIQKPVNSIVSAYRLLTPSVKVQLYIYFMLKYAMEIVLAESSVITGYYFIWSTSSVAIFLACLGLTVLPVNVVVGSYISNMFEERWTFKQVLLASEILVCIGILLSFHVLIPYSVPQYVSSALITFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADGTITLAGFWGESKLLNTTLVPALVICVSSIVATCFTYNSLY >ONI22821 pep chromosome:Prunus_persica_NCBIv2:G2:20745517:20753760:-1 gene:PRUPE_2G153100 transcript:ONI22821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLRESQIQEWQGYYLDYKLMKKKLNRYTQQIEVGTQNRHLVLKDFSILLDSEIEKIVMFLLQQQGVLASKLLNLGEQYDSVLQQVDGAKIPELQEAYRSVGQDLLRILSFVEMNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLRQVCKQVGVGAVVGAISRNLADLQDFQQHCGNYISIYDQPAFSHPDPVLDSIRAAVNRLSNSTSFLHYLGKHALLMGDDLQSPSEDHADDGRYHFMSLLLNLANTFLYMVNTYIIVPTADNYSMTLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNRSYMRPLVFSSIILLVGNTLYALAYDLNSISVLLIGRLFCGLGSARAVNRRYISDCVPLKLRMQASAGFVSAGALGMACGPALACLLQTNFKIYKLTFNEDTLPGWIMALAWLIYLLWLSISFKEPSRVTTENIVPRESNSGKIVNISVENGPTQPLLSNSKAKQKDGDGDTDCDDAEENPTEIQKPVNSIVSAYRLLTPSVKVQLYIYFMLKYAMEIVLAESSVITGYYFIWSTSSVAIFLACLGLTVLPVNVVVGSYISNMFEERQVLLASEILVCIGILLSFHVLIPYSVPQYVSSALITFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADGTITLAGFWGESKLLNTTLVPALVICVSSIVATCFTYNSLY >ONI20467 pep chromosome:Prunus_persica_NCBIv2:G2:1607656:1607961:-1 gene:PRUPE_2G017200 transcript:ONI20467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLQIEPKSRSSPRTDSILLSCQGREPVEKDQKQGDKQSLLLGLFVGFERLGKNLKENLSPKQKGDWKDLMLMSLSFAVYVYISQRIVCAYCAWMSMPNPPW >ONI22038 pep chromosome:Prunus_persica_NCBIv2:G2:15902435:15907080:1 gene:PRUPE_2G103200 transcript:ONI22038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSRIEHTLRLPPHLLSLRLEDAVRGELEKLFLDKVIANLGLCISVHSIQSIKDGFILPNDGHPTFRVEFTLIMFRPFVGEIIVAKLKESTANGLRLSLEFFDDIYVPVHLLPVPSHSVPDPGKRDRVMWIWKFPDSDEELVIDGIDQIKFQVHSVNFPPIPIEQPEDSKPFAPMVVTGSIDFDGLGPVSWWVDAEDKDEEPEDP >ONI22040 pep chromosome:Prunus_persica_NCBIv2:G2:15904892:15907080:1 gene:PRUPE_2G103200 transcript:ONI22040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKCLITLRVEFTLIMFRPFVGEIIVAKLKESTANGLRLSLEFFDDIYVPVHLLPVPSHSVPDPGKRDRVMWIWKFPDSDEELVIDGIDQIKFQVHSVNFPPIPIEQPEDSKPFAPMVVTGSIDFDGLGPVSWWVDAEDKDEEPEDP >ONI22036 pep chromosome:Prunus_persica_NCBIv2:G2:15902435:15907080:1 gene:PRUPE_2G103200 transcript:ONI22036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSRIEHTLRLPPHLLSLRLEDAVRGELEKLFLDKVIANLGLCISVHSIQSIKDGFILPNDGHPTFRVEFTLIMFRPFVGEIIVAKLKESTANGLRLSLEFFDDIYVPVHLLPVPSHSVPDPGKRDRVMWIWKFPDSDEELVIDGIDQIKFQVHSVNFPPIPIEQPEDSKPFAPMVVTGSIDFDGLGPVSWWVDAEDKDEEPEDP >ONI22039 pep chromosome:Prunus_persica_NCBIv2:G2:15902407:15907146:1 gene:PRUPE_2G103200 transcript:ONI22039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSRIEHTLRLPPHLLSLRLEDAVRGELEKLFLDKVIANLGLCISVHSIQSIKDGFILPNDGHPTFRVEFTLIMFRPFVGEIIVAKLKESTANGLRLSLEFFDDIYVPVHLLPVPSHSVPDPGKRDRVMWIWKFPDSDEELVIDGIDQIKFQVHSVNFPPIPIEQPEDSKPFAPMVVTGSIDFDGLGPVSWWVDAEDKDEEPEDP >ONI22037 pep chromosome:Prunus_persica_NCBIv2:G2:15902435:15907080:1 gene:PRUPE_2G103200 transcript:ONI22037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSRIEHTLRLPPHLLSLRLEDAVRGELEKLFLDKVIANLGLCISVHSIQSIKDGFILPNDGHPTFRVEFTLIMFRPFVGEIIVAKLKESTANGLRLSLEFFDDIYVPVHLLPVPSHSVPDPGKRDRVMWIWKFPDSDEELVIDGIDQIKFQVHSVNFPPIPIEQPEDSKPFAPMVVTGSIDFDGLGPVSWWVDAEDKDEEPEDP >ONI20159 pep chromosome:Prunus_persica_NCBIv2:G2:106214:111187:-1 gene:PRUPE_2G000200 transcript:ONI20159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFCERAVASKYSSKSSTESTDRGPSSVCSDSGSRDSKHDKASLWSNFFASAFSIFETHSESSITEKKEIHSRNNGWTEAVRKVVTGGSMRRIHERVLGSSRTGISSASDIWLLGVLYKVSQDESSGDAATNNGLRAFEQDFSSRILMTYRKGFDAIGDSKYTSDVNWGCMLRSSQMLVAQALLFHRLGRSWRRTLHKPLDEQYIEILHHFGDSEGSAFSIHNLLQAGKAYDLAAGSWVGPYAMCRSWETLVRCKREGTAFDNQPLPMAVYIVSGDEDGERGGAPVVCIQDASRHCLEFSRGRVDWTPILLLVPLVLGLEKVNPRYIPSLWATFTFPQSLGIMGGKPGASTYIIGVQDEKALYLDPHEVQPAINIRRDDLEADTLSYHCNVIRHIPLDSIDPSLAIGFYCRDRDDFDDFCFRASKLADGSNGAPLFTVTQSHNFPKPVNHSDVLDDSGGVQNDDSFVAPPISDADGSAHEDDWQLL >ONI20158 pep chromosome:Prunus_persica_NCBIv2:G2:105883:112227:-1 gene:PRUPE_2G000200 transcript:ONI20158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFCERAVASKYSSKSSTESTDRGPSSVCSDSGSRDSKHDKASLWSNFFASAFSIFETHSESSITEKKEIHSRNNGWTEAVRKVVTGGSMRRIHERVLGSSRTGISSASDIWLLGVLYKVSQDESSGDAATNNGLRAFEQDFSSRILMTYRKGFDAIGDSKYTSDVNWGCMLRSSQMLVAQALLFHRLGRSWRRTLHKPLDEQYIEILHHFGDSEGSAFSIHNLLQAGKAYDLAAGSWVGPYAMCRSWETLVRCKREGTAFDNQPLPMAVYIVSGDEDGERGGAPVVCIQDASRHCLEFSRGRVDWTPILLLVPLVLGLEKVNPRYIPSLWATFTFPQSLGIMGGKPGASTYIIGVQDEKALYLDPHEVQPAINIRRDDLEADTLSYHCNVIRHIPLDSIDPSLAIGFYCRDRDDFDDFCFRASKLADGSNGAPLFTVTQSHNFPKPVNHSDVLDDSGGVQNDDSFVAPPISDADGSAHEDDWQLL >ONI20156 pep chromosome:Prunus_persica_NCBIv2:G2:105883:112227:-1 gene:PRUPE_2G000200 transcript:ONI20156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFCERAVASKYSSKSSTESTDRGPSSVCSDSGSRDSKHDKASLWSNFFASAFSIFETHSESSITEKKEIHSRNNGWTEAVRKVVTGGSMRRIHERVLGSSRTGISSASDIWLLGVLYKVSQDESSGDAATNNGLRAFEQDFSSRILMTYRKGFDAIGDSKYTSDVNWGCMLRSSQMLVAQPLDEQYIEILHHFGDSEGSAFSIHNLLQAGKAYDLAAGSWVGPYAMCRSWETLVRCKREGTAFDNQPLPMAVYIVSGDEDGERGGAPVVCIQDASRHCLEFSRGRVDWTPILLLVPLVLGLEKVNPRYIPSLWATFTFPQSLGIMGGKPGASTYIIGVQDEKALYLDPHEVQPAINIRRDDLEADTLSYHCNVIRHIPLDSIDPSLAIGFYCRDRDDFDDFCFRASKLADGSNGAPLFTVTQSHNFPKPVNHSDVLDDSGGVQNDDSFVAPPISDADGSAHEDDWQLL >ONI20160 pep chromosome:Prunus_persica_NCBIv2:G2:105762:112265:-1 gene:PRUPE_2G000200 transcript:ONI20160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFCERAVASKYSSKSSTESTDRGPSSVCSDSGSRDSKHDKASLWSNFFASAFSIFETHSESSITEKKEIHSRNNGWTEAVRKVVTGGSMRRIHERVLGSSRTGISSASDIWLLGVLYKVSQDESSGDAATNNGLRAFEQDFSSRILMTYRKGFDAIGDSKYTSDVNWGCMLRSSQMLVAQALLFHRLGRSWRRTLHKPLDEQYIEILHHFGDSEGSAFSIHNLLQAGKAYDLAAGSWVGPYAMCRSWETLVRCKREGTAFDNQPLPMAVYIVSGDEDGERGGAPVVCIQDASRHCLEFSRGRVDWTPILLLVPLVLGLEKVNPRYIPSLWATFTFPQSLGIMGGKPGASTYIIGVQDEKALYLDPHEVQPAINIRRDDLEADTLSYHCNVIRHIPLDSIDPSLAIGFYCRDRDDFDDFCFRASKLADGSNGAPLFTVTQSHNFPKPVNHSDVLDDSGGVQNDDSFVAPPISDADGSAHEDDWQLL >ONI20157 pep chromosome:Prunus_persica_NCBIv2:G2:106214:111187:-1 gene:PRUPE_2G000200 transcript:ONI20157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFCERAVASKYSSKSSTESTDRGPSSVCSDSGSRDSKHDKASLWSNFFASAFSIFETHSESSITEKKEIHSRNNGWTEAVRKVVTGGSMRRIHERVLGSSRTGISSASDIWLLGVLYKVSQDESSGDAATNNGLRAFEQDFSSRILMTYRKGFDAIGDSKYTSDVNWGCMLRSSQMLVAQPLDEQYIEILHHFGDSEGSAFSIHNLLQAGKAYDLAAGSWVGPYAMCRSWETLVRCKREGTAFDNQPLPMAVYIVSGDEDGERGGAPVVCIQDASRHCLEFSRGRVDWTPILLLVPLVLGLEKVNPRYIPSLWATFTFPQSLGIMGGKPGASTYIIGVQDEKALYLDPHEVQPAINIRRDDLEADTLSYHCNVIRHIPLDSIDPSLAIGFYCRDRDDFDDFCFRASKLADGSNGAPLFTVTQSHNFPKPVNHSDVLDDSGGVQNDDSFVAPPISDADGSAHEDDWQLL >ONI20321 pep chromosome:Prunus_persica_NCBIv2:G2:802481:805755:-1 gene:PRUPE_2G009100 transcript:ONI20321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLYKYNPNFKCSEAELRKNHTEFMDSNIFQQQQLHQQLQQPQQQSSGLMRYRSAPSSFLMDLVDSNGGVGCEDSRYLRPSSPEVETVLARFISSCNEPDHHDNGANSLQHQFEERAVKQEAGDSVSKQNGYSNSSHMMYQAQQVHALDNNSFAAINSTGLENSMQSKIGVGNRSNLVRQSSSPAGFFPDLTVDNGFNVMKDGASFRAGNGINGEASPSSTSRLNNQLNFSSGPSSYPRRMPRIAEMENGNMGDGSQQDQGLGNASNSHCISNFPNDSWDISSFNDLKRGRNNDGNKFSNSTAFETQNNDFGHRNHGLTHHLSLPKHFEMPAMEKLLQFEESIPCKIRAKRGFATHPRSIAERMRRTRISERMKKLQDLFPNMDKQINTAEMLDLAVEFIKDLQKQVKTLGDIKAKCSCSSKQK >ONI20322 pep chromosome:Prunus_persica_NCBIv2:G2:802524:805754:-1 gene:PRUPE_2G009100 transcript:ONI20322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLYKYNPNFKCSEAELRKNHTEFMDSNIFQQQQLHQQLQQPQQQSSGLMRYRSAPSSFLMDLVDSNGGVGCEDSRYLRPSSPEVETVLARFISSCNEPDHHDNGANSLQHQFEERAVKQEAGDSVSKQNGYSNSSHMMYQAQQVHALDNNSFAAINSTGLENSMQSKIGVGNRSNLVRQSSSPAGFFPDLTVDNGFNVMKDGASFRAGNGINGEASPSSTSRLNNQLNFSSGPSSYPRRMPRIAEMENGNMGDGSQQDQGLGNASNSHCISNFPNDSWDISSFNDLKRGRNNDGNKFSNSTAFETQNNDFGHRNHGLTHHLSLPKHFEMPAMEKLLQFEESIPCKIRAKRGFATHPRSIAERMRRTRISERMKKLQDLFPNMDKQINTAEMLDLAVEFIKDLQKQVKTLGDIKAKCSCSSKQK >ONI20323 pep chromosome:Prunus_persica_NCBIv2:G2:802524:805617:-1 gene:PRUPE_2G009100 transcript:ONI20323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLYKYNPNFKCSEAELRKNHTEFMDSNIFQQQQLHQQLQQPQQQSSGLMRYRSAPSSFLMDLVDSNGGVGCEDSRYLRPSSPEVETVLARFISSCNEPDHHDNGANSLQHQFEERAVKQEAGDSVSKQNGYSNSSHMMYQAQQVHALDNNSFAAINSTGLENSMQSKIGVGNRSNLVRQSSSPAGFFPDLTVDNGFNVMKDGASFRAGNGINGEASPSSTSRLNNQLNFSSGPSSYPRRMPRIAEMENGNMGDGSQQDQGLGNASNSHCISNFPNDSWDISSFNDLKRGRNNDGNKFSNSTAFETQNNDFGHRNHGLTHHLSLPKHFEMPAMEKLLQFEESIPCKIRAKRGFATHPRSIAERMRRTRISERMKKLQDLFPNMDKQINTAEMLDLAVEFIKDLQKQVKTLGDIKAKCSCSSKQK >ONI22380 pep chromosome:Prunus_persica_NCBIv2:G2:18213173:18215599:1 gene:PRUPE_2G125400 transcript:ONI22380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDENADNSGQESTLMYHYLSDRRTKSTSQITEKIENGEDCQVQTLDTPDKWCIYQVPSKLRKVNEAAYTPQLLSIGPFHHGKPELNNMEIHKRICYKKFLERCKKTEDDLKQFINKRKKEVLSCYAGTIKLSIISADIIAVDACFIIELFLRNYENHENDYILSSPWLRKAVEQDLILIENQLPYFLLQELYQNFPVHVSPRKKEEEEPTHRQSSTNDFQCCLPCSWRIPSNDLSIEIDKAKPADDEANLAHSVLLLELTCEFFKEHTKGKSVENGVPQPKHFTDLVRYFLCPDEPMSCEHGVENIYAAKKLRASGVKFTPLKKKTFLTIETDKATKGKPNLACFSNLNLKLTPFKFKDETEWVVRNIMALEQFLYPNNPYICNYFLLMDQLVDTVDDVDLLVENEVIINMLGSNKAVAKLVNRLCQQINEDKFCYSHIGRQLKVHYDNIWNRNVATLKRVYFKDLWTGSSTVLGVFVLLFSVIGTIKSLKS >ONI22381 pep chromosome:Prunus_persica_NCBIv2:G2:18213363:18215599:1 gene:PRUPE_2G125400 transcript:ONI22381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHKRICYKKFLERCKKTEDDLKQFINKRKKEVLSCYAGTIKLSIISADIIAVDACFIIELFLRNYENHENDYILSSPWLRKAVEQDLILIENQLPYFLLQELYQNFPVHVSPRKKEEEEPTHRQSSTNDFQCCLPCSWRIPSNDLSIEIDKAKPADDEANLAHSVLLLELTCEFFKEHTKGKSVENGVPQPKHFTDLVRYFLCPDEPMSCEHGVENIYAAKKLRASGVKFTPLKKKTFLTIETDKATKGKPNLACFSNLNLKLTPFKFKDETEWVVRNIMALEQFLYPNNPYICNYFLLMDQLVDTVDDVDLLVENEVIINMLGSNKAVAKLVNRLCQQINEDKFCYSHIGRQLKVHYDNIWNRNVATLKRVYFKDLWTGSSTVLGVFVLLFSVIGTIKSLKS >ONI23528 pep chromosome:Prunus_persica_NCBIv2:G2:23175248:23176311:1 gene:PRUPE_2G193000 transcript:ONI23528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLAGPRLYGCYKCQNHVCCHDGIVSKDFQASRGRAFLFSHAMNVSVGLTEDRYLITGLHAVADVHCCDCKEVLGWKYVKAYEELQKYKEGKFVLEKFKIVKVNW >ONI23526 pep chromosome:Prunus_persica_NCBIv2:G2:23174786:23176125:1 gene:PRUPE_2G193000 transcript:ONI23526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLAGPRLYGCYKCQNHVCCHDGIVSKDFQASRGRAFLFSHAMNVSVGLTEDRYLITGLHAVADVHCCDCKEVLGWKYVKAYEELQKYKEGKFVLEKFKIVKVNW >ONI23527 pep chromosome:Prunus_persica_NCBIv2:G2:23174733:23176125:1 gene:PRUPE_2G193000 transcript:ONI23527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLAGPRLYGCYKCQNHVCCHDGIVSKDFQASRGRAFLFSHAMNVSVGLTEDRYLITGLHAVADVHCCDCKEVLGWKYVKAYEELQKYKEGKFVLEKFKIVKVNW >ONI24499 pep chromosome:Prunus_persica_NCBIv2:G2:26208317:26212431:-1 gene:PRUPE_2G244000 transcript:ONI24499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDKPHVLVDVEEEDGGHRRRSDASSDGSDEEERCSSESASEIVERESSVSESSVDLECEVAEVKMHVAKVERDCRICHLSMDATNHESGIPIELGCSCKADLAAAHKQCAEAWFKIKGNKTCEICGSTARNVAGVTEAELVEQWSETNDTAMATSAAAPVQTAESRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >ONI25384 pep chromosome:Prunus_persica_NCBIv2:G2:28850212:28852416:1 gene:PRUPE_2G299400 transcript:ONI25384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGFTGGAGAGGVPDFYAGGRSISIATAMNNHHQHPSQPPYHRSSLPGLFLDAPSSQIARQTQSQSQFQTQNPSTLIGKRTLAEFQAHQQQHHYHNPNQNLYLRSLKPRTFQHSSPISPLSPIDFSSSSTITGSDSSSSASSLLSHQRFGLPLLQLRPQPVHQTLPPAGSFVNNNPVQNQTRVVSGVDSEKKMISNRLQELEKQLLDDNDEEDEGDAVSVITKTSSEWSQTIQNLIGPGPGPGQNQKPVSPSPTSSSSSSSSVASPASSTCSKQSLMEAATAISEGKSEAAAEILTRLTTSQVPNPRPNSEQRLLEVMALALKSRVNPVDNPPPVTELFSQEHAGSTQLLYELSPCFRFGFMAANLAILEATLTDKSATNKVHVIDFDIGQGGQYVLLLQALCARPNGLPATVKITTVADNGGEDRLTMVRQNLSKVAKQHGVVLEFNIVSQKLAELNRESLGCEPDEPIAVNFAFKLYSMPDESVSTDNPRDELLRRVKGLSPRVVTLVEQELNTNTAPFMARVNETCGYYGALLESIKSTDAGDNSERVKAEEALSRKLINSVACEGRDRVERCEVFGKWRARMGMAGFELRPMSQNVTEVLKQRLRSGNNRVNSGFTVKEENGGVCFGWISRTLTVASAWR >ONI21487 pep chromosome:Prunus_persica_NCBIv2:G2:9897305:9899840:1 gene:PRUPE_2G069400 transcript:ONI21487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSIYLRNFSLEFVLFIFASVCNRKILLFHRTLEKYFYLYYTLKREHCIHCVFCPCIAVILSSDCSLIAAILLFSPMYWQL >ONI22105 pep chromosome:Prunus_persica_NCBIv2:G2:16486750:16487617:-1 gene:PRUPE_2G107400 transcript:ONI22105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSASSSSSLLPNVSFSSSKCCSSFLTPPTQTNSNPFLPIVKSKKKKPVGVTMSVEAGIGVMATKLGMMSFFEPNGKVVPVTVVGFKEGNIVTQVKTEATDGYDAVQVGYRRVRDRKLTKPEMGHLQKAGSIPLRHLQEFRLQSVDGFEPNQKLSFDELFNEGDIVDVSGTTIGKGFQGGIKRHNFKRGQMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDKDLNVVMIKGALPGKPGNLLRIAPAKIVGKNIPKS >ONI21382 pep chromosome:Prunus_persica_NCBIv2:G2:8148342:8152438:-1 gene:PRUPE_2G062400 transcript:ONI21382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRSRNKSSTRANPSSAQQGTLSSAQRDPHTGVSAACAKPVALQADPSPLSAQTAASSMERTWVSFFKPIQQGPSSSAQRDPHSGVSAACAKPVALQADPGPFSAQEGSSSSAQTAAAYEASLSVANTTAAPAPAQRLYARCLLKEFSKNRHQWDEEQSKRGFQNILRSLAGKVAHLGSKGIAHGRLTGENILVIGNKAENLEVEILDTPKKYNRALPSYREQFRTLASKMVDAWEATCPLASKHFLKMLEHCIPWFYFKQLQWHPLLLSSNEVAMFIFHLYTHLDVEKKGWKKDYKRLIAREKVDFGEIISGTFRGAFSFAKVYSYPRVVYDPNAQGALMFFRHALVHVNDYIYKALEKENVKPEEIAADALLTQEEIVNTLVHFFPKVPLELFNYMLYKGIDINAVI >ONI21381 pep chromosome:Prunus_persica_NCBIv2:G2:8148342:8156259:-1 gene:PRUPE_2G062400 transcript:ONI21381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRSRNKSSTRANPSSAQQGTLSSAQRDPHTGVSAACAKPVALQADPSPLSAQTAASSMERTWVSFFKPIQQGPSSSAQRDPHSGVSAACAKPVALQADPGPFSAQEGSSSSAQTAAAYEASLSVANTTAAPAPAQRLYARCLLKEFSKNRHQWDEEQSKRGFQNILRSLAGKVAHLGSKGIAHGRLTGENILVIGNKAENLEVEILDTPKKYNRALPSYREQFRTLASKMVDAWEATCPLASKHFLKMLEHCIPWFYFKQLQWHPLLLSSNEVAMFIFHLYTHLDVEKKGWKKDYKRLIAREKVDFGEIISGTFRGAFSFAKVYSYPRVVYDPNAQGALMFFRHALVHVNDYIYKALEKENVKPEEIAADALLTQEEIVNTLVHFFPKVPLELFNYMLYKGIDINAVI >ONI22779 pep chromosome:Prunus_persica_NCBIv2:G2:20573210:20578728:-1 gene:PRUPE_2G150800 transcript:ONI22779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRGLSSAGVQRNRAFGSRFPVAILIFFSLLVPLIFFVGRGLHISDENDILSSPGEKNVDWRERLALQHVKSLFSKEVIDVIAANTNDMGPLSLDFFRKNNLSASWKVIGEKTSITDKINFTAVDARQETPKVKVDVSSGDHAQLVDPAKLARRQLREKRREKRANELVQRDDESIVKLENAAIERSKSVDSSVLGKYSIWRKENENENSDSTVRLIRDQIIMARVYLSIAKMKNKLDLFQQLQTRLKESQRSVGDVTADADLSQSAPEKIKAMGQVLSKAREQLYDCNLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTVTNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKNYYFKADHPTTLSSGASNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWAVDLHGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNMFDLKEWKKKDITGIYHKWQNMNEDRTLWKLGTLPPGLITFYGLTHPLQKSWHVLGLGYNPSLDRSELDNAAVVHYNGNMKPWLELAMTKYRGYWTKYIKYDHPYIRSCKLSE >ONI22780 pep chromosome:Prunus_persica_NCBIv2:G2:20572245:20579088:-1 gene:PRUPE_2G150800 transcript:ONI22780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRGLSSAGVQRNRAFGSRFPVAILIFFSLLVPLIFFVGRGLHISDENDILSSPGEKNVDWRERLALQHVKSLFSKEVIDVIAANTNDMGPLSLDFFRKNNLSASWKVIGEKTSITDKINFTAVDARQETPKVKVDVSSGDHAQLVDPAKLARRQLREKRREKRANELVQRDDESIVKLENAAIERSKSVDSSVLGKYSIWRKENENENSDSTVRLIRDQIIMARVYLSIAKMKNKLDLFQQLQTRLKESQRSVGDVTADADLSQSAPEKIKAMGQVLSKAREQLYDCNLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTVTNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKNYYFKADHPTTLSSGASNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWAVDLHGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNMFDLKEWKKKDITGIYHKWQNMNEDRTLWKLGTLPPGLITFYGLTHPLQKSWHVLGLGYNPSLDRSELDNAAVVHYNGNMKPWLELAMTKYRGYWTKYIKYDHPYIRSCKLSE >ONI26000 pep chromosome:Prunus_persica_NCBIv2:G2:30228858:30234856:-1 gene:PRUPE_2G329100 transcript:ONI26000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGWAIYEGVVALGSLGLLGWAGLWFLNRRLYKEYEEKRVLVQIIFSVVFAFSCNLLQLVLFEIIPFLSQRARWLNWKLDLFCLILLLVFMLPYYHCFLMLCNNGFPKERAALGSVLFLLAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEEAEIKSLERQLMQSIETCIAKKKKIILSQMEMERIHGSEEKLKARSFFKRIVGTVVRSVQEDQKEQDIKNMEAEVQALEELSKQLFLEIYELRQAKEAAAYSRTWKGHMQNLLGYACSIYCVYKMIKSLQSVVFKEAGSVDPVTMTISIFLQFFDIGINAALLSQYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLATEYRMIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >ONI26001 pep chromosome:Prunus_persica_NCBIv2:G2:30228857:30234856:-1 gene:PRUPE_2G329100 transcript:ONI26001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEEAEIKSLERQLMQSIETCIAKKKKIILSQMEMERIHGSEEKLKARSFFKRIVGTVVRSVQEDQKEQDIKNMEAEVQALEELSKQLFLEIYELRQAKEAAAYSRTWKGHMQNLLGYACSIYCVYKMIKSLQSVVFKEAGSVDPVTMTISIFLQFFDIGINAALLSQYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLATEYRMIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >ONI26002 pep chromosome:Prunus_persica_NCBIv2:G2:30229544:30234856:-1 gene:PRUPE_2G329100 transcript:ONI26002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGWAIYEGVVALGSLGLLGWAGLWFLNRRLYKEYEEKRVLVQIIFSVVFAFSCNLLQLVLFEIIPFLSQRARWLNWKLDLFCLILLLVFMLPYYHCFLMLCNNGFPKERAALGSVLFLLAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEEAEIKSLERQLMQSIETCIAKKKKIILSQMEMERIHGSEEKLKARSFFKRIVGTVVRSVQEDQKEQDIKNMEAEVQALEELSKQLFLEIYELRQAKEAAAYSRTWKGHMQNLLGYACSIYCVYKMIKSLQSVVFKEAGSVDPVTMTISIFLQFFDIGINAALLSQYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLATEYRAKTCFKTLK >ONI21191 pep chromosome:Prunus_persica_NCBIv2:G2:6034188:6034808:-1 gene:PRUPE_2G052000 transcript:ONI21191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFVQLLIIFVLALATSQRILASDPDILFDYIVPPNNKVDANFFTYTGFRDIFDDVPGSLNVTKATLAEFPALNGQSVSYAILKYPANGGVNPPHTHPRAAELLFLVGGSLEVGFVDTKNVLYTQKLKVGDLFVFPKGLVHYQYNSDANLPAYAVSAFGSANAGTVSVPVSVFGTGIDNAILAKSFKTDVGTVKKLKAGLTTHK >ONI23494 pep chromosome:Prunus_persica_NCBIv2:G2:23051433:23054709:-1 gene:PRUPE_2G191200 transcript:ONI23494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALASPKTPSQTIFVPDPTPKIQHFNGTKTPSPSTSRTKASRKTQPVDETSLDNPDLGPFLLKLARDTFGSGESPNKALDYAIRASKSFERCSGPGLDLAMSLHVVAAIYCSLGRFEEAVRVLERAIEVSDPENGPDHALAKFSGYMQLGDTCSMLGQLEQSILCYESGLKIQRETLGGSDPRVAETCRYLAEAHVQVMQFDEAENYCKKTLEIRREHSSPASVEEAADRRLMALIYEAKGDYELALEHLVLASMIMIANGQDNEVAAIDMGIGDIYLSLCRFDEAVFSYQKALTVLKSTRGENHPSVASVFIRLADLYYKTGKLRESKSYCENALRIYAKPLPGIISEEIASGLTEISAIYEAVNEPEEALNLLQKAMKLLEDTPAQRSTIAGIEAQMGVMFYMVGRYGEAWRSFKSAISKLRASGERKSAHFGIVLNQMGLASVQLYKLDEAARLFEEAREILEQECGLCHVDTLGVYSNLAATYDAMGRVEAAIEMLEHILKVREEKLGTAHPDFDDEKKRLADLLKEAGRARNRKGKSLENLLGSNSHQRTKKEGVKRWAGFGHKT >ONI24942 pep chromosome:Prunus_persica_NCBIv2:G2:27480653:27488526:-1 gene:PRUPE_2G270600 transcript:ONI24942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKENIQTCGGGVLTEEQRNRISRNFRAAKALIARKRPRHDAVSPDHFPHNKICGRNGIQTPAQLNSIQRVPLAELPINTPSPSPFSANCCKSYGGEYSSASCSDSLSINRIESSVSRSDSFTTPMRQPQCSTSFDSFSAPRFLDEDDFDESILQEIDALIEQKPSVEIHHDDVESCDGDASTASVLPVNADNQTGSINHGLPNMPDEYSKYLLSLNERQREAACSDISIPLMIVAGPGSGKTSTMVGRVLMLLNKGISASNILAMTFTTAAAAEMRDRIGAVAGRATAKQLTISTFHSFSLQLCRSHAEKLERTPEFLIYGHGQQRRAIIEAVRLSEDEKSRKNHSVAIVGEESNSITSPHHYKDASKKWQKFVTQAKASGKTPAECGKMGDETGGKILGNYNDILKSCNALDYHDLISCSVNLLTDFPEVLKECQDLWKAIVIDEFQDTSAMQYSFLRILASHNHITIVGDDDQSIFSFNGADISGFDSFRRDFPNYKEIRLNKNYRSTRYIVEAASSVIKNNKKRCQLKNVETDNSSGSKVIIKESHNEDAQCAFVVDKILETASNRSDAGCSYGNIAILYRRQVSGKVFQTALRERKIPFNIHGVAVYRKKVVRAIIAVVKTTLPDCDDGSYRQVFKALLPFEKEEKKKVIEYIDKISAHRKCSFISAACDIFSAKLSGTLKRTQLTQGRKVLLTLEMISKLVHREQSISAVITSVVNMVPQKYLLEQRAVVDVDGGKLLNEDNDMRSVLQYLLDDISDFLSTHFVAGQGDREVVKEKGCVGLLKAFIDCISERESENFRSRRHDNQSSVTLTTIHQSKGLEWDIVFIIKVNESEIPLLHEFNGVAKENGTSIEEERRLLYVAMTRARRKLFILYVTMDSNWQMLQPSRFLKEIPNHLREVQADISTQDLQSKNPDTSKGTTRSTADLQPNIHPSEVDSVQNDFHHSKFDEASAELIEFAEGNSGNGFLKRFSVDERSIVSHLFNEWAKKQAFQDPKRLLDKVGFVIDERLRAKNYKHKDVLRLLKSCLKCDDAFHYVQYVLRWQKIPADQRAHLMREKQEHFQKLRIENSMGSLAPTPKQISYLQNLGCTVAPTSRLHASRLIEQYKSL >ONI24943 pep chromosome:Prunus_persica_NCBIv2:G2:27480129:27488526:-1 gene:PRUPE_2G270600 transcript:ONI24943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKENIQTCGGGVLTEEQRNRISRNFRAAKALIARKRPRHDAVSPDHFPHNKICGRNGIQTPAQLNSIQRVPLAELPINTPSPSPFSANCCKSYGGEYSSASCSDSLSINRIESSVSRSDSFTTPMRQPQCSTSFDSFSAPRFLDEDDFDESILQEIDALIEQKPSVEIHHDDVESCDGDASTASVLPVNADNQTGSINHGLPNMPDEYSKYLLSLNERQREAACSDISIPLMIVAGPGSGKTSTMVGRVLMLLNKGISASNILAMTFTTAAAAEMRDRIGAVAGRATAKQLTISTFHSFSLQLCRSHAEKLERTPEFLIYGHGQQRRAIIEAVRLSEDEKSRKNHSVAIVGEESNSITSPHHYKDASKKWQKFVTQAKASGKTPAECGKMGDETGGKILGNYNDILKSCNALDYHDLISCSVNLLTDFPEVLKECQDLWKAIVIDEFQDTSAMQYSFLRILASHNHITIVGDDDQSIFSFNGADISGFDSFRRDFPNYKEIRLNKNYRSTRYIVEAASSVIKNNKKRCQLKNVETDNSSGSKVIIKESHNEDAQCAFVVDKILETASNRSDAGCSYGNIAILYRRQVSGKVFQTALRERKIPFNIHGVAVYRKKVVRAIIAVVKTTLPDCDDGSYRQVFKALLPFEKEEKKKVIEYIDKISAHRKCSFISAACDIFSAKLSGTLKRTQLTQGRKVLLTLEMISKLVHREQSISAVITSVVNMVPQKYLLEQRAVVDVDGGKLLNEDNDMRSVLQYLLDDISDFLSTHFVAGQGDREVVKEKGCVGLLKAFIDCISERESENFRSRRHDNQSSVTLTTIHQSKGLEWDIVFIIKVNESEIPLLHEFNGVAKENGTSIEEERRLLYVAMTRARRKLFILYVTMDSNWQMLQPSRFLKEIPNHLREVQADISTQDLQSKNPDTSKGTTRSTADLQPNIHPSEVDSVQNDFHHSKFDEASAELIEFAEGNSGNGFLKRFSVDERSIVSHLFNEWAKKQAFQDPKRLLDKVGFVIDERLRAKNYKHKDVLRLLKSCLKCDDAFHYVQYVLRWQKIPADQRAHLMREKQEHFQKLRIENSMGSLAPTPKQISYLQNLGCTVAPTSRLHASRLIEQYKSL >ONI21383 pep chromosome:Prunus_persica_NCBIv2:G2:8161557:8163586:1 gene:PRUPE_2G062500 transcript:ONI21383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPHKQQLSVPMRITLVVLIKDQDQLAELLAWHMLLIHQSVDLLVPMIMGLVVLMKDWHVSMICFSTINPR >ONI22630 pep chromosome:Prunus_persica_NCBIv2:G2:19737977:19740243:1 gene:PRUPE_2G140900 transcript:ONI22630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSNLQLDYSTQKTILAPRIIISSLLFLLFSSLSIHGYPIQAHIFIYAGCSQEKYQPSSPFEANLNSFLTSVVSSSSQVSYNNFGVGNGSSTTLEGTIYGLYQCRGDLKIFDCAKCVESAVNQITLVCPYSYGASLQLEGCLIRYEHVNFLGRLDTSLRYRKCSKSSVSEDVEFFRRRDDVLAELQGTNGFRVSSSGLVEGFAQCLGDMSQNDCSSCIADAVGKLKSLCGSAAAVDVFLAQCYARYWASGYYDISDSSNQDQVGKTVAIIVGTVAGLAILIVILSVCRKTMG >ONI22093 pep chromosome:Prunus_persica_NCBIv2:G2:16437067:16439238:-1 gene:PRUPE_2G106400 transcript:ONI22093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKDKQHESTDKGLFSFDGGFGAGHYPGSYPPQGYGYPPQAHHGYPSSGGYPPPGYPSNGGYPPAVYPSGYPPAGYPGHPGPYHSGYGSSMGLGGVLAGGVAAYGANHLAHGRHSPGYGYGGYQGYGGSHGKFKHGKFKGKGYGKPFGGKFKKWK >ONI20914 pep chromosome:Prunus_persica_NCBIv2:G2:4448875:4457453:-1 gene:PRUPE_2G040500 transcript:ONI20914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALIGGAFISASIQVICDRIASPEFVDLFRHKKLDQPLLMELKTTLLTLNAVLDDAEEKQIEKPNVREWLDELKHAVFDAEDLLDEINYEALRRKLEGDAQNGNFTSKMSTFLPTSRNKFYRRMNAKIQELLQRLEHFVQQKVALGLREDVGRKVSQRTPTTSLVHEPCVYGRDEAKQNLLEVLFDDASEENVSVIPIVGMGGVGKTTLARMLYNDNKVKEHFTLKAWACVSEDYDAIRVTKTLLESVTSKPCKKTDLNLLQVELSEELRGKKFLFVLDDLWNEKYTDWNYLQTPFTSGARGSKVLVTTRNKNIASFMQNVPIHTLKPLSHEDCWFLLAKHANVISSSDPSLEEIGKKIARKCNGLPLAAQTLGGVLRSRPDSEVWTRVLNSNIWELPYEKSDILPALGLSYHYLPAKLKRCFIYCSIFPKDYVFKVENVVFLWMAEGLIPQAENGDNMEEVAKEYFDELLSRSLFQTSGKSSFVMHDLINDLAVFMSKGFCSRWEGRESHEVERVRHLSYAKEKLDVAVKFEPLKGAKCLRTFLSISLKPYYRYINIDSYYVSKKVLHNLLASLTCLRVLSLSCYENVTELPDSIKKLIHLRYLDLSDTAIETLPSVLCSLYNLQTLLLSTCSRLVELPADLRKLINLQKLMLGGCTSLTKLPVDMCELNNLHHLDFSGTKIVEMPRQMSTLKSLRTLSAFTVGKSTGSTIGELGKLPHLGGKLSILQLRNIVDTRDALQANLKDKKDLKELELAWGDEDADDSQREKDVLEKLQPCVNLEKLTISGYGGKHFPNWLGGSSLSNIQVMCISDCSNCSSLPSVGRLPNLKELCISQMKLVKTIGVEFYGSNGSSVIQPFKSLEKLEFHWMAEWEEWVPSGSGGVDFPCLQELILRRCPKLRGSLPCDLPRLKKFRVEWCGVLYDQRATTATSVKMDYKSLEELRTEGGLFDGVLSLLETKLLSTLEIWNLNDIQCLPNINRLQSLRLTNCPTLSSFPEDGLPTTLTLLVIDFCSRLELPHEMLAKLTSLGHLAISHSCDSMRSFPLGIFPKLTWLFLCNFKNLESLSLIEGGGVDENLSHLNITRCPNLVCFPRGGLPTPNLTELEFIGCKKLKSLPERIHTLTALRGLKMDDLPNLESIAEDGGLPPNLTELEFIRCKKLKSLPERIHTLTRLRSLKIRDLPNLESIAEDGGLPHNLRHFCIKNCERLRASSVAEYWGLRGLVSLEEFEIGGRGSDEILETLLKQQLLPKTLQRLEISRLSSLKSLDAKGLKHLTSLSFLSISNCSALEKRYKKKTGKAWADISHIPCIKIGKEVII >ONI25205 pep chromosome:Prunus_persica_NCBIv2:G2:28357017:28358861:1 gene:PRUPE_2G288700 transcript:ONI25205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLARPIRRHFSTYTASQKSERVANNRPISLTQIKELHAHLIRTQLDKDPSSVSEVVRYYALSPLFLEKALLVFNQIEQPTLLIWNHMIRGLSQSGQFSEAIHVYNRMYRQGLAGNHLTFIFIFKACARAPDIVHGQTVHTHALKLGFEPYLFVSNALIHMYSSCGYLGFAQKMFDGMRERDLVSWNSLICGYSQCNRFENVLGLFKAMQAANVRADAVTMMKVILACNYLGEWEIADSMVNYVEENHVDIDVYLGNTMIDMYGRRGLANLAREVFDRMHERNIVSWNSMIKGYAKAGNFVNARKLFNEMPKRNVVSWTSMITGYSQANQHAEAVSLFEEMMGANVRADEITVASVLSACAHVGSLDVGEAVHRYTRKNGVKADIYVGNALIDMYCKCGVAEKALEVFQWMEEKDSVSWTSVISGLAVNGFADSALEIFSRMLREGIRPTHGMFVGILLACAHAGLVEKGLEYFESMEKIHGLMPEMKHYGCVVDLLSRSGEVDKAYEFIQNMPIVPDVVVWRILLSACKVHGNVALAEVVTNKLLELDPSNSGNYVLSSNTYAASDRWEDVIRMRELMDESNVQKPFGRSSIEINGKLSNNSQEPGLTCKKNR >ONI20439 pep chromosome:Prunus_persica_NCBIv2:G2:1384146:1384461:-1 gene:PRUPE_2G015600 transcript:ONI20439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNAIDYGDIESLTSLNLSLTLQVKILRRESYWFKGIGSVVAVDQDPNTCYPVVVRFNKVSYANVSTNNYA >ONI23801 pep chromosome:Prunus_persica_NCBIv2:G2:24207149:24210934:-1 gene:PRUPE_2G208900 transcript:ONI23801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAAAALASLSSSLFSKPSLSLIPIRTLPRITCSLSTSSSSSSLEFNITFAPSKPKPKLKPDSAEPDPEALAGQLIIPWIVRGEDGNLKLQSHPPARFLQAIETKSKTKKKKEGAEKRVPTAEPKYSKAARRFYNENFRDASQRLSKVLAAAGVASRRSSEQLIFDGKVTVNGSVCNTPQSRVDPGRDIIYVNGNRLPKRLPPKVYLALNKPKGYICASGENKSVLSLFEDYLKTWDKRNSGIPRPRLFTVGRLDVATTGLIIVTNDGDFAQKISHPSSNLSKEYIAAIEGVVSKRHLLAISEGTVIEGVHCTPDSVELLPQQPDMSRPRLRIVVHEGRNHEVRELVKNAGLEIHSLKRVRIGGFRLPSDLGLGKHMALKQGDLSALGWKS >ONI23253 pep chromosome:Prunus_persica_NCBIv2:G2:22245772:22248096:-1 gene:PRUPE_2G177500 transcript:ONI23253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLSLSASKHSKSFLNLLKPQTSKTQSSIFLLSHHLSTDPETEPNPKPNQSPKDDDLLITQAVELLQPNEKDWNFDQLHHLLFSNSAAPSPRSLFHITRRLGASSKALKFFEYVSENVASPPDSTDSLSSSFQAILELAKREPTSQNKLYDLYKMAKERNIPLNISAAALLVRSLGMVGMVDEALIVFNDLDPGLKNTHLRNAAIDVMLKSGCVDDALKVLDEMFAPKAEGRVDQVTGDIVLSYLLKREWPGRSFSEEDIVGLVLKFGERGVFPDSVKLTKLITALCRNRKTNKAWDVLHDVMKLGGDVKAASCNALLTCLTRCNDFKRMNELMVKMKEMDIHPDVVTFGIVINSLCKSRRIDEALELFEKISEGREKSDGVSTEPDVVIYNTLIDGLCKVGRQEEGLRLMEKMRLQNGCAPNTVTYNCLIDGFNKVGDIERGCELFHQMKEEGISPSVITLNTMVDCLCKHGRLNSAIEFLNEMQRDGVKGNAVTYATLITSFCNVNNISMAMELFEQMLRDGGSTDAIVYYSLISGLSQAGRMDDAISVVSKLKEACFSLDLVSYNVLINGFCKKNKLDKVHEMVQEMEAAGVKPDGVTYNTLISYFCKAGELTTGHRILSKMIDEGLVPTAITFGALIHAYCLNGNTDKAMKIFREMGSKSKVPPNTVIYNILIDTLCKKNEVELAISLIDSMKDKGVRPNTATFNALFKGLKENNLLEKAFEFMDQMIKHACNPDYITMEILTEWLSAVGETEKLRRFVQGYEVAASTA >ONI21274 pep chromosome:Prunus_persica_NCBIv2:G2:6901141:6904278:-1 gene:PRUPE_2G057100 transcript:ONI21274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLMGCQFLEKIPDLSGIPNIKYLDLTNCTRLVEVHGSVGFLDKLVELDLSGCVKLMRFGTTLRLKSLEELCLVGCETLESFPEIEVEMESLWCLDISGSGVRELPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLENLDLRNCKSLESFPEIEVEIESLRFLRISESGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLEELDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLNLSGFFNLTRFATLRLKSLENLDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLENLDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLENLDLSNCKSLESFPEIEVEMESLWGLNISGSGVRELPPSIAYLTGLRLLDLRGCFNLTRFATLRMKSLKNLDLSDCKSLESFPEIEVEMESLRFLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLEELDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLEELDLSDCKSLENFPEIEVEMESLWFLSISGSGVRELPSSIAYFTGLEILQADYCENFTVTGNSELLPNLYEFSLMGCNLSKISFLRLLCWSTVTELFLSQSNFVNLPISFSKFVNLRNLYLINCQSLLEIPEQVLPRRIEFVELDNCTSLEKIPKLAWVLLDNCTSLEKIPELPRKDDHMYLSLTNCVRLCGYDITEHIFLNQVSVSSPHSLFEIILPGDEVPKWFSCCKDATTVRQYLSCRYDAGCEVSFEIPPNLKWETLRLVLCAGNIGSAKILLNGKLVNVAHFELDAVHLYDECVELLESHVYLTSIPLLETRQSNRHARYVFEEPPTKQVNTCQVIFDLRGKVPAPVKIPCGVHLLGHRVADVSETDVVDHGPTQLLPDAMAVDDDIYDDQHQDCGQEASPIRLHGTSSTLVIMKLNG >ONI21272 pep chromosome:Prunus_persica_NCBIv2:G2:6900382:6908429:-1 gene:PRUPE_2G057100 transcript:ONI21272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQPVSSSSSSSSSFTHDSSWTYDVFLSFRGEDTRTNFTDHLHEALVRKGIYTFIDRELVRGEEISPALVKAIEESRISLIVFSEKYASSRWCLDELVKILQCKQSKQQVVLPIFYKVDPTDVRNQKSKFGDAFEELIKRKFKNDKEKVLIWREALTEAANLSGHTFKDGEYETTFINNIVDGILSQVLSRTYWNVAKHPVGIHSRVQDVKKLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAHKFEGRCFLENETLLHKILGGEWKIHSVDEGICVIKERLSHKKILLILDDVNQLKQLDNLAGVGWFGEGSRVITTTQDSGCHGIDLIYEVQKLNGNQDLELFSFSAFGTNKPPKDYLELAQRGLEYAQGIPLALTLLGSHLRNKDKDRWQDILDSYEGEPYTGIQKILQKSYDALENSVQQVFLDIACFFKGEAKDYVLQIVSNSKNKVSRDCIEVLIEKAMITIDNGRIQMHDLLEKLGKDIVHEECPNDPGKRSRLWFYEDVEQVLTESTGTRNIQGIMVNLPNPAKITLNPECFRNMVNLEIFINCNASLCGHINYLPNTLRLIHWDRCQLQSLPPNFQGNRLVEFNMPNGCQLQSLPPNFQGNHLVEFNMPCSHIRQLDGFNFKHLSKLTTMNLMGCQFLEKIPDLSGIPNIKYLDLTNCTRLVEVHGSVGFLDKLVELDLSGCVKLMRFGTTLRLKSLEELCLVGCETLESFPEIEVEMESLWCLDISGSGVRELPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLENLDLRNCKSLESFPEIEVEIESLRFLRISESGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLEELDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLNLSGFFNLTRFATLRLKSLENLDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLENLDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLENLDLSNCKSLESFPEIEVEMESLWGLNISGSGVRELPPSIAYLTGLRLLDLRGCFNLTRFATLRMKSLKNLDLSDCKSLESFPEIEVEMESLRFLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLEELDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLEELDLSDCKSLENFPEIEVEMESLWFLSISGSGVRELPSSIAYFTGLEILQADYCENFTVTGNSELLPNLYEFSLMGCNLSKISFLRLLCWSTVTELFLSQSNFVNLPISFSKFVNLRNLYLINCQSLLEIPEQVLPRRIEFVELDNCTSLEKIPKLAWVLLDNCTSLEKIPELPRKDDHMYLSLTNCVRLCGYDITEHIFLNQVSVSSPHSLFEIILPGDEVPKWFSCCKDATTVRQYLSCRYDAGCEVSFEIPPNLKWETLRLVLCAGNIGSAKILLNGKLVNVAHFELDAVHLYDECVELLESHVYLTSIPLLETRQSNRHARYVFEEPPTKQVNTCQVIFDLRGKVPAPVKIPCGVHLLGHRVADVSETDVVDHGPTQLLPDAMAVDDDIYDDQHQDCGQEASPIRLHGTSSTLVIMKLNG >ONI21273 pep chromosome:Prunus_persica_NCBIv2:G2:6901141:6907913:-1 gene:PRUPE_2G057100 transcript:ONI21273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIDNGRIQMHDLLEKLGKDIVHEECPNDPGKRSRLWFYEDVEQVLTESTGTRNIQGIMVNLPNPAKITLNPECFRNMVNLEIFINCNASLCGHINYLPNTLRLIHWDRCQLQSLPPNFQGNRLVEFNMPNGCQLQSLPPNFQGNHLVEFNMPCSHIRQLDGFNFKHLSKLTTMNLMGCQFLEKIPDLSGIPNIKYLDLTNCTRLVEVHGSVGFLDKLVELDLSGCVKLMRFGTTLRLKSLEELCLVGCETLESFPEIEVEMESLWCLDISGSGVRELPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLENLDLRNCKSLESFPEIEVEIESLRFLRISESGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLEELDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLNLSGFFNLTRFATLRLKSLENLDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLENLDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLENLDLSNCKSLESFPEIEVEMESLWGLNISGSGVRELPPSIAYLTGLRLLDLRGCFNLTRFATLRMKSLKNLDLSDCKSLESFPEIEVEMESLRFLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLEELDLSNCKSLESFPEIEVEMESLRVLRLSGSGIRGLPPSIAYLTGLRLLDLRGCFNLTRFATLRLKSLEELDLSDCKSLENFPEIEVEMESLWFLSISGSGVRELPSSIAYFTGLEILQADYCENFTVTGNSELLPNLYEFSLMGCNLSKISFLRLLCWSTVTELFLSQSNFVNLPISFSKFVNLRNLYLINCQSLLEIPEQVLPRRIEFVELDNCTSLEKIPKLAWVLLDNCTSLEKIPELPRKDDHMYLSLTNCVRLCGYDITEHIFLNQVSVSSPHSLFEIILPGDEVPKWFSCCKDATTVRQYLSCRYDAGCEVSFEIPPNLKWETLRLVLCAGNIGSAKILLNGKLVNVAHFELDAVHLYDECVELLESHVYLTSIPLLETRQSNRHARYVFEEPPTKQVNTCQVIFDLRGKVPAPVKIPCGVHLLGHRVADVSETDVVDHGPTQLLPDAMAVDDDIYDDQHQDCGQEASPIRLHGTSSTLVIMKLNG >ONI22274 pep chromosome:Prunus_persica_NCBIv2:G2:17629208:17633877:1 gene:PRUPE_2G118200 transcript:ONI22274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQTTPKLKKPPKPRKPIRELWWFFAAAACVKLLLIPAYKSTDFEVHRHWLAITHSLPLSQWYFDETSPWTLDYPPFFAYFERLLSIFANLIDPQIVHLQKGLNYSSNTVLYFQRISVIFSDLCLLHGVYRLTRKLDSTRQKLIWVLVVWSPMLVIVDHLHFQYNGFLLGILLISLSYLEEGRDLMGGLVFAVLLCFKHLFAVAAPVYFVYLLRHYCWKGFVRGFWRLFILGAIVVAVFAVAYGPFVYHGQMQQVIHRMFPFGRGLCHAYWAPNFWVFYIILDKVLAFLLGRLGFKIQAPAASFTGGIVGDLSPFAVLPQITPVTTFILVLLALSPCLIKAWRHPRPVRITRWVAYAYTCGFLFGWHVHEKAALHFVIPLAIVAVQSLDDARHYFLLSTVSCYSLFPLLFEAQEYPIKVLLLLLHSMLMWLGFSAQFAKRISLELAKSEKKKDKEEGFVIGWVGWSYLVGILLVEISGQFLHPIILGDKLPFIPLMLSSTYCALGTMYSFIWQLKWILDS >ONI22272 pep chromosome:Prunus_persica_NCBIv2:G2:17629208:17633877:1 gene:PRUPE_2G118200 transcript:ONI22272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQTTPKLKKPPKPRKPIRELWWFFAAAACVKLLLIPAYKSTDFEVHRHWLAITHSLPLSQWYFDETSPWTLDYPPFFAYFERLLSIFANLIDPQIVHLQKGLNYSSNTVLYFQRISVIFSDLCLLHGVYRLTRKLDSTRQKLIWVLVVWSPMLVIVDHLHFQYNGFLLGILLISLSYLEEGRDLMGGLVFAVLLCFKHLFAVAAPVYFVYLLRHYCWKGFVRGFWRLFILGAIVVAVFAVAYGPFVYHGQMQQVIHRMFPFGRGLCHAYWAPNFWVFYIILDKVLAFLLGRLGFKIQAPAASFTGGIVGDLSPFAVLPQITPVTTFILVLLALSPCLIKAWRHPRPVRITRWVAYAYTCGFLFGWHVHEKAALHFVIPLAIVAVQSLDDARHYFLLSTVSCYSLFPLLFEAQEYPIKVLLLLLHSMLMWLGFSAQFAKRISLELAKSEKKKDKEEGFVIGWVGWSYLVGILLVEISGQFLHPIILGDKLPFIPLMLSSTYCALGTMYSFIWQLKWILDS >ONI22273 pep chromosome:Prunus_persica_NCBIv2:G2:17629187:17633877:1 gene:PRUPE_2G118200 transcript:ONI22273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQTTPKLKKPPKPRKPIRELWWFFAAAACVKLLLIPAYKSTDFEVHRHWLAITHSLPLSQWYFDETSPWTLDYPPFFAYFERLLSIFANLIDPQIVHLQKGLNYSSNTVLYFQRISVIFSDLCLLHGVYRLTRKLDSTRQKLIWVLVVWSPMLVIVDHLHFQYNGFLLGILLISLSYLEEGRDLMGGLVFAVLLCFKHLFAVAAPVYFVYLLRHYCWKGFVRGFWRLFILGAIVVAVFAVAYGPFVYHGQMQQVIHRMFPFGRGLCHAYWAPNFWVFYIILDKVLAFLLGRLGFKIQAPAASFTGGIVGDLSPFAVLPQITPVTTFILVLLALSPCLIKAWRHPRPVRITRWVAYAYTCGFLFGWHVHEKAALHFVIPLAIVAVQSLDDARHYFLLSTVSCYSLFPLLFEAQEYPIKVLLLLLHSMLMWLGFSAQFAKRISLELAKSEKKKDKEEGFVIGWVGWSYLVGILLVEISGQFLHPIILGDKLPFIPLMLSSTYCALGTMYSFIWQLKWILDS >ONI24429 pep chromosome:Prunus_persica_NCBIv2:G2:25998807:26003450:-1 gene:PRUPE_2G239900 transcript:ONI24429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILNNGDWLRIPLILKVFGFAMVSITFFYLGKHWSDGSQQLLFFTTTRRAPSTVSLSPNQNKPFNLSSLIPQAQAPPPSPPPPSPPPPPPPDVIQRFGIVDENGTMSDEFEVGDFDPDEVVENWGSWNGSVAESEASGTGKISVKRFELCPKSMSEYIPCLDNVDAIKRLKSTKNGERFERHCPEPARGLNCLVPPPKNYKSPIPWPKSRDEVWFNNVPHTRLVEDKGGQNWITRQKNKFKFPGGGTQFIHGANEYLDHISKVVPDITFGQHIRVVLDVGCGVASFGAYLLSRNVVTMSIAPKDVHENQIQFALERGVPAMIAAFATHRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFVWAAQPVYKHEEVLEEQWQEMLNLTTRLCWEFVKKDGYVAIWQKPLNNSCYLNRDPGTKPPLCDPSDDPDKVWCVDLKACITRLPENGYGANVTKWPDRLQTPPDRLQSIQFDAYISRKELFRAESRYWHEIIESYVRVLHWKKIRLRNAMDMRAGFGGFAAALIEQNLNSWVMNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDLLHAAGLFSIEKKRCNISTIMLEMDRMLRPGGRVYIRDSLSVMDELQAIGNAMGWHINLRDTSEGPHASYRLIIADKRLLTS >ONI22348 pep chromosome:Prunus_persica_NCBIv2:G2:17975448:17976840:-1 gene:PRUPE_2G123300 transcript:ONI22348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLRQVKKMIVHSVRWLVKYLNQNMRHVCRDESGERQQEGMAEEGSGERQQEGTAEEGEVQAVDKQPTQKDRRVEEEEMHLVKEQPSPAPVVQCRTVHAWREEESVGQQDGMEKEEVQVVVKQPSQPPVEGGKTGHALEEEEAGGQQEGMGEEEVQVFEEKPSQSPVERCRIRHAWRGEEAGAQKQGMVEEEEVQVVEEQPPQPPVERCRIGHEWRDKEAGAQQEGMVEEEVVLVVEEKPSQPPVERCKIGHAWRGEEAGGQKEGSGDEEEVQGAEEQRSSSHPAHIGHALREVEGGQQEGWKEEEEVVVVEKPSPSSQSGGDEEEVVVVEKPPQSSQFRHTTIDLVTVAQHLDGLVERITHFEQTMEERDRAQHPEFQRIRSDLLNLSSHLGFTTHPKEPGIHHPLNS >ONI22880 pep chromosome:Prunus_persica_NCBIv2:G2:20999015:21003860:1 gene:PRUPE_2G156500 transcript:ONI22880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNPLQHFSLKPKRANLYYMTLVAVLCTLCYLVGIWQHSTGTTAITASISTSDSSCPPIPRNTTIHLDFEAHHRAEDLPLPPAAARVAHLPPCDAKLSEYTPCQDVTRSLKFDRERLVYRERHCPEKEELLKCRIPAPHGYTVPFRWPESRDSVWYANVPHKELTVEKKKQNWVHYEGDRFRFPGGGTMFPRGADAYIDEIGKLINLRDGSVRTAIDTGCGVASWGAYLLSRDILPMSFAPRDTHEGQVQFALERGVPALIGILASQRLPYPSRAFDMAHCSRCLIPWGQYDGLYLIEVDRVLRPGGYWILSGPPINWEKHWKGWDRTAEDLKAEQTMIENVAKSLCWKKLKQKDDLAVWQKPTNHVHCKVNRKVFKKPSFCQAQDPDKAWYTTMEDCLTPLPGVNNIKEIAGGELAKWPERLTSVPPRISSGSVTGITAEMFRDNTELWKNRVAYYKTLDYQLAEPGRYRNLLDMNAYLGGFAAALVQDPVWVMNIVPVEAEVNTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHSDSVFSLYKDRCEVEDILLEMDRILRPEGSIIFRDDVDVLVKVKSIMDAMQYDARIVDHENGPRHREKILLAAKQYWAAPAPSQDQGESQTDS >ONI23099 pep chromosome:Prunus_persica_NCBIv2:G2:21743171:21744738:-1 gene:PRUPE_2G170200 transcript:ONI23099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIPQTSVKLPMLDISQPILHPSSLSSLAEAFKTWGFLHITNHGISKDLFRKLYSLSNGLFSLPSDTKLKLGPFSSAKTYTPHFIASPFFESLRVSGPKFFESAQTSANVLFDQHNSEFSEILQEYGSKMTELSKKIVKIALMSLGDGLVKKFYESEFQNCHGYLRINNYSAPESLEDHEDEVEGLGMHTDMSCVTIVYQDEIGGLQVRSKEGKWMDISPCEGTLVVNIGDMFQAWSNEKLRSSEHRVILKQPVNRFSLAYFWCFEDEKVIFAPDDVVGEENVRIYKPFVCLDYLKFRESNERGKFEKVGFTVRDFAGIKQQAKCDS >ONI25429 pep chromosome:Prunus_persica_NCBIv2:G2:28989899:28992970:1 gene:PRUPE_2G302800 transcript:ONI25429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLEKRAKEAFIDDHFDLAVELYTQAIAHNPQNAELYSDRAQANIKSNNLTEAVSDANKAIELDSSLSKAYLRKGIACFKLEEYQTAKAALEIGASLAPEETRFVKLIKDCDEKIAEETGVLPDLSLEKTSTEDVISTKDVQPVSDPTNQLTVAFVKPKYRHEFYQKPDEVVVTIFAKGIPAKDVHIDFGEQILSVRIDVAGEDPYHFQPRLFGKIIPKKCRYEVLSTKVEIRLAKADPLHWTSLEFSKDNSVVPRVSVPVIGEQRPSYPSSKPKVVDWDKLEAEVKKEEKEEKLDGDAALNKFFQDIYKDADEDTRRAMRKSFVESNGTVLSTNWKEVGNKKVEGSAPDGMEMKKWEY >ONI23061 pep chromosome:Prunus_persica_NCBIv2:G2:21607477:21611301:-1 gene:PRUPE_2G167700 transcript:ONI23061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTNIDHEKQIYCFKVFYAFLVVLLLHMNNPCIGCSEREMQALLAFKQGLVDDDNRLLSWGREVQDKDCCQWAGVYCSNHTGHVVKLDLEDQFLQGTISPKLVELQNLEYLNLRFNNFSRRQIPDFIGSLSNLRYLDLSYANFRGEIPYHLENLTHLEYLDLSSNRFIYAKNLNWLSNLSCLKHLDFSFTDLAGVVGWLEAVNILPKLRNLILQGCNLPPPTISSVSVMNSSKSLVRVDLFRNNLQSSIFQWLSSTHTNLVHLDLSWNNLNGSSIPDYFGNMSSLAYLALSSSRLKGGIPNSFAKLCRLRELHLEGNSLSGQLSDIIDILSKCAQNTFEYLDISDNHGIMGSVPDLTNFLSLKYLVLGGNKLSGRIPENIGQMSKLEAIDFGGNSLEGVISEIHFSKLFKLKYLSLSSNSLVLNFHFDWVPPFQLESIILRSCKMGLSFPKWLQTQKSVLILDISDNGITDTIPSWIWDLSHKLFVMDLSHNQIRGTIGNLRSEFPPKLNVSWNQLEGPIPSALSKVTFLDLSNNKFSVAAASFLCTTKDSSLAFLDISSNHIFGELPDCWIHFKKLVFLDLSNNSLSEKIPTTMGYLFSIETLRLNNNGFVGELPSQLKNCRNLTLFNLAENKLSGSIPEWLGASLPNLTILILQSNNFYGSIPPQLCHLTRIQLLDLSMNNISGTIPKCLNNLTTLTQKGSSSQTIHHSFLGDYLRGYFSGCYDDEASSTWKGFRAEFKSNLGLLKSIDLSSNKLIGEIPSEITYLLGLISLNLSRNQLTGQIPSRIGNLQELESFDLSRNQINGRIPTSLSWIARLAKLDLSENNLFGKIPIGTQLQSFDYAYGGNPLLCGAPLPKTCPEEEKGPGQPVLVNQVSQDGLITQGYYISMGLGFAVGFWGVCGTLLLNRSCRHTYFDFLNLLNDWLHVKAVIISQKVLNR >ONI23060 pep chromosome:Prunus_persica_NCBIv2:G2:21607477:21611241:-1 gene:PRUPE_2G167700 transcript:ONI23060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTNIDHEKQIYCFKVFYAFLVVLLLHMNNPCIGCSEREMQALLAFKQGLVDDDNRLLSWGREVQDKDCCQWAGVYCSNHTGHVVKLDLEDQFLQGTISPKLVELQNLEYLNLRFNNFSRRQIPDFIGSLSNLRYLDLSYANFRGEIPYHLENLTHLEYLDLSSNRFIYAKNLNWLSNLSCLKHLDFSFTDLAGVVGWLEAVNILPKLRNLILQGCNLPPPTISSVSVMNSSKSLVRVDLFRNNLQSSIFQWLSSTHTNLVHLDLSWNNLNGSSIPDYFGNMSSLAYLALSSSRLKGGIPNSFAKLCRLRELHLEGNSLSGQLSDIIDILSKCAQNTFEYLDISDNHGIMGSVPDLTNFLSLKYLVLGGNKLSGRIPENIGQMSKLEAIDFGGNSLEGVISEIHFSKLFKLKYLSLSSNSLVLNFHFDWVPPFQLESIILRSCKMGLSFPKWLQTQKSVLILDISDNGITDTIPSWIWDLSHKLFVMDLSHNQIRGTIGNLRSEFPPKLNVSWNQLEGPIPSALSKVTFLDLSNNKFSVAAASFLCTTKDSSLAFLDISSNHIFGELPDCWIHFKKLVFLDLSNNSLSEKIPTTMGYLFSIETLRLNNNGFVGELPSQLKNCRNLTLFNLAENKLSGSIPEWLGASLPNLTILILQSNNFYGSIPPQLCHLTRIQLLDLSMNNISGTIPKCLNNLTTLTQKGSSSQTIHHSFLGDYLRGYFSGCYDDEASSTWKGFRAEFKSNLGLLKSIDLSSNKLIGEIPSEITYLLGLISLNLSRNQLTGQIPSRIGNLQELESFDLSRNQINGRIPTSLSWIARLAKLDLSENNLFGKIPIGTQLQSFDYAYGGNPLLCGAPLPKTCPEEEKGPGQPVLVNQVSQDGLITQGYYISMGLGFAVGFWGVCGTLLLNRSCRHTYFDFLNLLNDWLHVKAVIISQKVLNR >ONI23984 pep chromosome:Prunus_persica_NCBIv2:G2:24725397:24727719:1 gene:PRUPE_2G217200 transcript:ONI23984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAALFHLLRSQSKQLASSNLLSGYQPCRSGAWALGLNTKSGFNSGFDINASQKRWASHATTKEDDGKISIGPRRGGEVGEDGKDSGVVYYGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPGMNVILKGAVASSVIFLSASTTAALHWFVTPYIHKLRWKPGSDSFEVEMLSWLATYIPRTIKFSDIRPAETNRPFVTFKANGNFYFVDPDHCHNKALLARLTPQKATQESAFKNL >ONI23985 pep chromosome:Prunus_persica_NCBIv2:G2:24725638:24727719:1 gene:PRUPE_2G217200 transcript:ONI23985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAALFHLLRSQSKQLASSNLLSGYQPCRSGAWALGLNTKSGFNSGFDINASQKRWASHATTKEDDGKISIGPRRGGEVGEDGKDSGVVYYGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPGMNVILKGAVASSVIFLSASTTAALHWFVTPYIHKLRWKPGSDSFEVEMLSWLATYIPRTIKFSDIRPAETNRPFVTFKANGNFYFVDPDHCHNKALLARLTPQKATQESAFKNL >ONI24223 pep chromosome:Prunus_persica_NCBIv2:G2:25495664:25500704:1 gene:PRUPE_2G230400 transcript:ONI24223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWFRAGSSVAKLAIRRTLSHSGSYAGRRRVLPSQNRDFHTTLCKSKAQSAPVPRPVPLSRLTDSFLDGTSSVYLEGLQRAWEADPNSVDESWDNFFRNFVGQASTSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGTIGYEYMHIADRNRCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGNRIHLSLLANPSHLEAVDPVVVGKTRAKQYYSSDPDRTKNVGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPMSGRSSQYCTDVAKALNAPIFHVNADDMEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALTIYQNKLLESGQVTKEDIERIQNKVNSILNEEFLASKDYVPQRRDWLSSHWSGFKSPEQISRIRNTGVKPEILKSVGKAVTSLPETFKPHRAVKKNYEQRAQMIETGEGIDWAVAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQETGERYCPLDHIMANQDEEMFTVSNSSLSEFGVLGFELGYSMESPNALVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQLHREFRKPLIVMAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSNLEEGIRRLVLCSGKLYYELDEERRKVEAKDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYIAPRLCSAMKSLGRGTIEDIKYVGRAPSAATATGFYQVHVKEQNEIVHKAVQPEPIEYHI >ONI24225 pep chromosome:Prunus_persica_NCBIv2:G2:25496187:25500663:1 gene:PRUPE_2G230400 transcript:ONI24225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWFRAGSSVAKLAIRRTLSHSGSYAGRRRVLPSQNRDFHTTLCKSKAQSAPVPRPVPLSRLTDSFLDGTSSVYLEGLQRAWEADPNSVDESWDNFFRNFVGQASTSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGTIGYEYMHIADRNRCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGNRIHLSLLANPSHLEAVDPVVVGKTRAKQYYSSDPDRTKNVGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPMSGRSSQYCTDVAKALNAPIFHVNADDMEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALTIYQNKLLESGQVTKEDIERIQNKVNSILNEEFLASKDYVPQRRDWLSSHWSGFKSPEQISRIRNTGVKPEILKSVGKAVTSLPETFKPHRAVKKNYEQRAQMIETGEGIDWAVAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQETGERYCPLDHIMANQDEEMFTVSNSSLSEFGVLGFELGYSMESPNALVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQLHREFRKPLIVMAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSNLEEGIRRLVLCSGKLYYELDEERRKVEAKDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYIAPRLCSAMKSLGRGTIEDIKYVGRAPSAATATGFYQVHVKEQNEIVHKAVQPEPIEYHI >ONI24224 pep chromosome:Prunus_persica_NCBIv2:G2:25495844:25500666:1 gene:PRUPE_2G230400 transcript:ONI24224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWFRAGSSVAKLAIRRTLSHSGSYAGRRRVLPSQNRDFHTTLCKSKAQSAPVPRPVPLSRLTDSFLDGTSSVYLEGLQRAWEADPNSVDESWDNFFRNFVGQASTSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMAGFLSENRPVQTLRSILTRLEQAYCGTIGYEYMHIADRNRCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGNRIHLSLLANPSHLEAVDPVVVGKTRAKQYYSSDPDRTKNVGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPMSGRSSQYCTDVAKALNAPIFHVNADDMEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSALTIYQNKLLESGQVTKEDIERIQNKVNSILNEEFLASKDYVPQRRDWLSSHWSGFKSPEQISRIRNTGVKPEILKSVGKAVTSLPETFKPHRAVKKNYEQRAQMIETGEGIDWAVAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQETGERYCPLDHIMANQDEEMFTVSNSSLSEFGVLGFELGYSMESPNALVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQLHREFRKPLIVMAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSNLEEGIRRLVLCSGKLYYELDEERRKVEAKDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYIAPRLCSAMKSLGRGTIEDIKYVGRAPSAATATGFYQVHVKEQNEIVHKAVQPEPIEYHI >ONI23418 pep chromosome:Prunus_persica_NCBIv2:G2:22858987:22862282:-1 gene:PRUPE_2G188400 transcript:ONI23418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIRIRTYITRLLFSSEKLITDCFLLQQKTHKSACITLKPKKKMETEEGIRLRKPTPIKTKREEEEEGRRDGGEAGVDIRNCNKEMMNVEEEPLSPAARLFHEPNFNLHIVAIMGCKTRIYPEVCKANLPHTLLRHPRFSSLLVVDEKNEGKMKWVRTEVDLDKHIIIPDLDPNMESPDQFVEDYIYNLSKTTIDKSKPLWDLHLLNLKTSEAEGTGIFRVHHSLGDGTSLMSLLLACTRQISNPEALPTIPVKKKKNDDKRVFNRGFWWCIFGAWWVLKFFWNTFADVFMFMLTALCLEDTKTPLKGPPGSEFNPRRFVYRTLSLDDMKLIKNAMNLTINDVALGVTQAGLSRYLNRRYGDNENKDSGAEKKNNLPEKIRLRSTLLINIRPAAGIQALADMMEKNTEAKWGNWIGYVLLPFTIALRDDPLDYIREAKTTIDRKKHSLEAIYTFSIAELVLKFFGIKRASALSHRIISHTTMCFSNLVGPLEEIGFYGHPMAFLAPSSYGQPHALVINFQSYINKMTIVLSVDEETIPDPHLLCDDIVQSLNVIKEAVVARGLVK >ONI25121 pep chromosome:Prunus_persica_NCBIv2:G2:28057573:28061864:-1 gene:PRUPE_2G282300 transcript:ONI25121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKDNLVSGVAVSGEEAPDTYRIAPRNENPSPSGGPTMAAAATASPMSLALTGTEVKKKRGRPRKYGPDKTVSSALSPMPISSSIPLTGEFSAWKRGRGRPVDSVKKSHKYDVFESSGEKIAYSVGANFTPHVLTVHAGEDVTMKIMSFSQQGSRAICILSANGTISNVTLRQPSSSGGTLTYEGRFEILSLSGSYIAIENAGTKSRSGGMSVALAGPDGRVVGGGLAGMLIAAGPVQVVVGSFLPGHQQEQKPKKQRLEPVSSSIVPIVVNAVSGEEMKVCGGVKPILTSPSFHGNNSTSVNPMHSFKNSAPESKSLSEEESKGPGQPNCEVSY >ONI20895 pep chromosome:Prunus_persica_NCBIv2:G2:4179012:4180918:1 gene:PRUPE_2G038900 transcript:ONI20895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLRTSRSLVSKLSSVSSQTRSDALKELRLITKLDPDSRPLVAEAGAIPYLSETLFDSSPSLQDDAAATLLNLSISCRHSLISTRGLLDALSHVLRHHASPSSSAFAVQSSAATLHSLLVVDDYRPIIGAKRDIAYSLIDIVKSLNSPPRSVKDALKALFGISLYALNRGALVELGAVPALFTLVVKDGRVGIVEDSTAVIAQVAGCEESEDEFRRVSGVRVLADLLDPSTGTSLRSRENAVSALLNLARCCSERAVREVREEGMGVVDGVADVAENGGAKGKSKAVALLKVIDGGSGSIASVFRDKRFDCLLNQSS >ONI22582 pep chromosome:Prunus_persica_NCBIv2:G2:19473754:19476645:1 gene:PRUPE_2G137300 transcript:ONI22582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNILPTFLLIKTFPILLLPTTKPFTLLHSQILSHNFFFSSGFWFFGSENTTKFCNKTKFSMKFGKRLKQQMQGTLPGWRDKFLSYKHLKKIVKLIASAAPMLLNGSLEYGKAEAEFVYLLNNEIDKFNAFFVEQEEDFIIRNKELQQRIQKVIDRWGSNGSQPSKAIYEEEVGKVRKDIVDFHGEMVLLVNYSNINYTGLAKILKKYDKRTGALLRLPFIQKILEEPFFTTDNISKLVKECENTIDAVFPVEEEEEERKREVKEAITVAGEGIFRNTVAALLTMQEIRRGSSTYSQYSLPPLNLPADNDLIQLFQLSPPIPIV >ONI24712 pep chromosome:Prunus_persica_NCBIv2:G2:26888778:26891408:1 gene:PRUPE_2G257200 transcript:ONI24712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSNNTQSGIILGSSVLGGSKAFKDRLFAAKVIPLFDTMATVGAIYSMFLDALKFDATRFKRTAKDSVKIGLVGCILPSVVTSTLLLLLGLGGAIHGLGPAPAGTFYLTITFSLTFFPVVAQAMDELNLMTSELGQFAMSSAILNDVIFWFLIALHLIFTKPDATYRIESFISVFGLILFTVYVIRAIMLSIVKNIPQGQEAKEVHVVAIQLGVLVMAFISNALGTAPYAGVVLLGLAIPGGPPLGAAIVHKTEYLVSQILMPMFFFYVGYRINLYSIHDWMRFSILQTIIIMSYVSKIVAVVAAAMWCKIGFKNGRRLSMAMSVKGIIAIISYSRWRTVKLIDEQAFTQIVLSMLGMTMIATPLLRFSYNPKIRLGASTKRPRFRSIQSIPSNFETFRILCCFHNQESIRNLITLLEALYPTLASPICAYVVHAVELIGRAAPLLVPHNKLKHTNTPTHQMIQAFENYSDNSEGLVTIHAYDMIAPYKSMHDTIIRLAQDKVVPLIILPFHDHQGTVDLTLIASIRQFNINVQTNSPCTVGILVDRDLSSRLRLTSSSFNVAVIFIGGADDREALAHAARISGNPTVGMTVFRIILREIEAKLDQSLVDEFKLGNIGNDCVNWYGIEGDYDLVMVGRRHVEMSLRDEEMAVFMEHPELGVVGDMLSSLDICDGMVNVLVMQERRGLGCGAFRSDSARVS >ONI20490 pep chromosome:Prunus_persica_NCBIv2:G2:1836679:1837993:1 gene:PRUPE_2G018800 transcript:ONI20490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVIAIGSWLIVSWCDFFYHPKLEVLSLLVVWEHRDVKMNMHFGFPLSDAGVAIFILLISFYSTRDVQGVTEAEKFSDSYLLWLMSW >ONI20281 pep chromosome:Prunus_persica_NCBIv2:G2:643762:646072:-1 gene:PRUPE_2G006500 transcript:ONI20281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWIWATIGLLAFVHILQAWWKNKKKMLPPGPRGFPIFGSLHLLGKFPNKDLHRLAQKYGDIMYMRLGLMHTIVVSSPQAAELFLKTHDLVFASRPPHEGAEHISFGQSLVFSEYGAYWRDMRKMCTLELLSNHKINSFKSMRRDAIALCVESIRATADNGRVAVDLSDNVSSLSEVISCRMVLGKMYRDEEFDARGIRSVIKEGIQLIVAANLGDYIPFIAPFDLQGFTKQMKSVNKALDTFFEKVIDEHIQSNEGERTKDFVDVMVAFMGSEQSEYRIERPHIKAIIFDMLVGSIDTLSVTVEWALSELMRNPKAMKKVQKELEDVVGLGRMVEESDLGKLDYLSMVVKETMRLHPVGPLLIPHAATEDCTVNGYHIPKKSHVIINAWAIGRDPSAWIDAEEFIPERFDGSDVDVRGNHFQLIPFGSGRRRCPGIQLALIVVQLMLAQLVHCFDWELPNDMLPEELDMTEVFGITVARAKRLIAIPSYRLHK >ONI23101 pep chromosome:Prunus_persica_NCBIv2:G2:21752039:21754947:1 gene:PRUPE_2G170400 transcript:ONI23101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEYVQGFEQLEFRTQVLKAPMDNLSNSDENYDLGYLPSPSSVDQNDHSLAETSTSGDSFMYRRTNSETSALSESVDDSSYSGEASPWFCPNVKTRFHNQVALTRLGMKQHNKNATDDKLEDHEAVDAELEMMKERFAKLLLGEDMSGSGKGVCTAVTISNSITNLYATVFGQNLRLEPLNPEKKAMWKREMNCLLSVCDYIVELIPISQNLQDGTEMELMTSRPRSDLYINLPALQKLDAMLIEVLDSFQDTEFWYAEKGSMSSNSNHSGSGSFRRVIVQRNEEKWWLPIPCVPPGGLSEKSRKHLKHKRDSSNQIHKAAMAINSSILAEMEIPDSYMSTLPKSGKSCLGDSIYRYMCTTDKFSPEHLLDCLNLATEHEALELADRVEASMYTWRRKACMSNSKSSWVMVKDLMSETDRSDKNHILAERAECLLFCLKQRYPELSQTSLDTCKIQYNRDVGQAVLESYSRVLESLAFNIVAWIEDVICVERSVRNQGK >ONI22354 pep chromosome:Prunus_persica_NCBIv2:G2:17991824:17998136:-1 gene:PRUPE_2G123700 transcript:ONI22354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHASTKSDSTKYYGVLGVSKNSSADELKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDGLKEGGGGGSTSHNPFDLFEAFLNPRYRSHVRRQKQGEDVVHTLKVSLEDLYNGTTKKLSLSRNILCLKCKGKGSKSGTSGRCYGCQGTGMKITTRSIGLGMIQQMQHVCPECQGSGEVISERDKCPPCKGNKVTQEKKVMDVHVEKGMEHGQKIVFEGQADEAPDTITGDIVFILQLKEHAKFKRKLDDLYVEHTLNLTEALCGFQFVLTHLDGRQLLVKSNPGEVIKPGQSKAINDEGMPHYQRPFMKGNLFIHFNVEFPDSGILSPDQSRNLQTVLSPKPSKHLTDVELDECEETTMHDVNIEDEMRRKPRQQYREAYDEDDDDDEPMPRVQCAQQ >ONI22353 pep chromosome:Prunus_persica_NCBIv2:G2:17992149:17999266:-1 gene:PRUPE_2G123700 transcript:ONI22353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHASTKSDSTKYYGVLGVSKNSSADELKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDGLKEGGGGGSTSHNPFDLFEAFLNPRYRSHVRRQKQGEDVVHTLKVSLEDLYNGTTKKLSLSRNILCLKCKGKGSKSGTSGRCYGCQGTGMKITTRSIGLGMIQQMQHVCPECQGSGEVISERDKCPPCKGNKVTQEKKVMDVHVEKGMEHGQKIVFEGQADEAPDTITGDIVFILQLKEHAKFKRKLDDLYVEHTLNLTEALCGFQFVLTHLDGRQLLVKSNPGEVIKPGQSKAINDEGMPHYQRPFMKGNLFIHFNVEFPDSGILSPDQSRNLQTVLSPKPSKHLTDVELDECEETTMHDVNIEDEMRRKPRQQYREAYDEDDDDDEPMPRVQCAQQ >ONI22355 pep chromosome:Prunus_persica_NCBIv2:G2:17991824:17999266:-1 gene:PRUPE_2G123700 transcript:ONI22355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHASTKSDSTKYYGVLGVSKNSSADELKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDGLKEGGGGGSTSHNPFDLFEAFLNPRYRSHVRRQKQGEDVVHTLKVSLEDLYNGTTKKLSLSRNILCLKCKGKGSKSGTSGRCYGCQGTGMKITTRSIGLGMIQQMQHVCPECQGSGEVISERDKCPPCKGNKVTQEKKVMDVHVEKGMEHGQKIVFEGQADEAPDTITGDIVFILQLKEHAKFKRKLDDLYVEHTLNLTEALCGFQFVLTHLDGRQLLVKSNPGEVIKPGQSKAINDEGMPHYQRPFMKGNLFIHFNVEFPDSGILSPDQSRNLQTVLSPKPSKHLTDVELDECEETTMHDVNIEDEMRRKPRQQYREAYDEDDDDDEPMPRVQCAQQ >ONI24703 pep chromosome:Prunus_persica_NCBIv2:G2:26846240:26848823:1 gene:PRUPE_2G256600 transcript:ONI24703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMKPPPFSSPSSSVFRKARLSPYLFTLLAFILFVAVLYGEDLMCIFGQQLQLSPNSDPLVIRTGKKREKLPFAIGKSEEGCDIFSGRWVWDESNRPLYEESECPYIQPQLTCQEHGRPDKDYQKWRWQPHGCDLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMICLLHSLIPEDAKSMETFDSDSRTVFTAKEYNATIEFYWAPFLLESNSDNAVVHRISERLVRKGSITKHGKHWKGVDVLVFNTYLWWMTGLKFKILQGSFDDEVKDIVEVPTTEAYRMAMKTMLRWVRRNMNPKKTRVFFTSMSPSHGKSVDWGGESGGNCYNQTTPIEDPNYWGSDSRKNIMEVIGDVFGKSKVPITFLNITQLSSYRKDAHTAIYKKQWNPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >ONI24179 pep chromosome:Prunus_persica_NCBIv2:G2:25430262:25432072:1 gene:PRUPE_2G228900 transcript:ONI24179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKADNTKKSDPKAQALKTAKAVKSGPTFKKKAKKIRTSVTFHRPRTLKKERNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >ONI21994 pep chromosome:Prunus_persica_NCBIv2:G2:15633113:15636495:1 gene:PRUPE_2G100600 transcript:ONI21994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCSPRVPNKPPPRFLGYNSGPNFEICHVCNRNIERWDNLRQLTFWGFKFCKMHEVDGTPRCSGCYRFQTEGQMEYVNLGDGRKLCLDCYSIAILDPSKCNRLIEKMREFYKELNLEVDKDIPILLVDKDYMDKRGAIGLTTFDHRKVWTITNWSRNGNNIQVQKAKKALTKAKVSSILLLFGMPDVIMGAILAHEMMHAWLILKGCKKLDRKVCEGICEVMAHLWLEWFCDEGKNNLDSYTTEQAEFTKILKIIHAYKMTTRVDKIYGDGFREAQRAVSTSNLHKTLQHIVRHKTLPPQIHSNSTC >ONI25762 pep chromosome:Prunus_persica_NCBIv2:G2:29802071:29802922:-1 gene:PRUPE_2G319100 transcript:ONI25762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPIRNNHTNLLQNPNNNLPTLRDLLLTALSFCFLFSSSTTRATLFSKTPFLHFPSSPRRFLKIPIMSLHHFSTPQSLSDWLKPRLLSDSLASWGVKPGTKNVHNLWLELSEGESSLADSTPPVRTVHVVKVRIIDDKFRVLIEAHQELSDGSVRSRGRPLSEKMKPGEDPESAANRAVMEELGSILEGSVKDSGHSGILKIVPGSYEKKVEERHSASYPGLPACYVLHSVDAWLDGLPEGEFCTEEEHEYANCGEMSIAHEAVSVKKHFWKWVSLDSVRS >ONI24731 pep chromosome:Prunus_persica_NCBIv2:G2:26946532:26947654:-1 gene:PRUPE_2G258800 transcript:ONI24731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKALPTATRFQEFTRVVSADKLPGRPRRTKQVSQVRISPPQAPKSESFRVDPERIKLKMESSEGQSRVPLARVVSDCVKRWFQDTLKEAKAGDSSMQVLVGQMYYSGYGVSRDAKKGQAWMNRASKSRTSVWKVSNKPPGYNASDSNSDELEEDAK >ONI24730 pep chromosome:Prunus_persica_NCBIv2:G2:26945839:26947942:-1 gene:PRUPE_2G258800 transcript:ONI24730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKALPTATRFQEFTRVVSADKLPGRPRRTKQVSQVRISPPQAPKSESFRVDPERIKLKMESSEGQSRVPLARVVSDCVKRWFQDTLKEAKAGDSSMQVLVGQMYYSGYGVSRDAKKAWMNRASKSRTSVWKVSNKPPGYNASDSNSDELEEDAK >ONI25287 pep chromosome:Prunus_persica_NCBIv2:G2:28590821:28593075:1 gene:PRUPE_2G293700 transcript:ONI25287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKSSDKPKPKHRKGLWSPEEDQRLRNYILKHGHGCWSSVPINAGLQRNGKSCRLRWINYLRPGLKRGTFSKQEEETILTLHHMLGNKWSQIAQHLPGRTDNEIKNYWHSYLKKKVAKAEEIMEGQSKSQYTSTTSSSDNLECSPSPHKPTTCINPSYESVEKSPTLIPQTGDQTHRSPLPKILFAEWLSLDHVHGGSYANNISEAGVSREGFDHNSNNLQADHALGHNFLLNNEGAFENGFHYGLSHHGAATEMINSSQFKFEDQISGPGFVDFISGGDMCSDFNLHNDVMYFYK >ONI23464 pep chromosome:Prunus_persica_NCBIv2:G2:22938966:22940813:-1 gene:PRUPE_2G190100 transcript:ONI23464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEMGLGGGVWNDGDKAMVAAVLGTRAFDYLISSSVSSNENSCMGIGTDENLHNKLSDLVEPPNASNFSWNYAIFWQISRSKSGDWALCWGDGSCREPKEGEESEATRNLNLRLEDETQQTMRKIVLQKLHTLFGSSDEYNGALGLDRVTDTEMFLLASMYFSFPRGEGGPGKCFASGKHVWLSDLLKSGSDYCVRSFLAKSAGVQTIVLVPTDVGVVELGSVRCVGESLELLQSIRSLFSTQSSLTRSKPLTGFPVMGKRRDENAQLTNLSPVERGEGVPKIFGQDLNSGNSGRPRYREKLAVRKVEERPWDVYSNGNRIAFSSPRNGIQVSSWPHIHDAKQGSPTEMYASQSPVNNIQELVNGVRDDFRLNHYQPQKQVPMQIDFSGATSRPSVVARPVGADSENSDAEAPCKEERPGTADERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKMMEADRENLGGTSRDASALGANPGISMENQSQAPDVDIQAVHDEVVVRVSCPLDSHPASRVIQAFKEGQITVVESKLAAADDTVFHTFVIKSQGSEPLTKEKLIAAFSRESNSLQSLSSVG >ONI23463 pep chromosome:Prunus_persica_NCBIv2:G2:22938585:22941519:-1 gene:PRUPE_2G190100 transcript:ONI23463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVPKKDSSCFAALSNQFLMIPFCMPKLMKIEMGLGGGVWNDGDKAMVAAVLGTRAFDYLISSSVSSNENSCMGIGTDENLHNKLSDLVEPPNASNFSWNYAIFWQISRSKSGDWALCWGDGSCREPKEGEESEATRNLNLRLEDETQQTMRKIVLQKLHTLFGSSDEYNGALGLDRVTDTEMFLLASMYFSFPRGEGGPGKCFASGKHVWLSDLLKSGSDYCVRSFLAKSAGVQTIVLVPTDVGVVELGSVRCVGESLELLQSIRSLFSTQSSLTRSKPLTGFPVMGKRRDENAQLTNLSPVERGEGVPKIFGQDLNSGNSGRPRYREKLAVRKVEERPWDVYSNGNRIAFSSPRNGIQVSSWPHIHDAKQGSPTEMYASQSPVNNIQELVNGVRDDFRLNHYQPQKQVPMQIDFSGATSRPSVVARPVGADSENSDAEAPCKEERPGTADERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKMMEADRENLGGTSRDASALGANPGISMENQSQAPDVDIQAVHDEVVVRVSCPLDSHPASRVIQAFKEGQITVVESKLAAADDTVFHTFVIKSQGSEPLTKEKLIAAFSRESNSLQSLSSVG >ONI22271 pep chromosome:Prunus_persica_NCBIv2:G2:17618282:17618933:1 gene:PRUPE_2G118100 transcript:ONI22271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFLRVVIYWSPSGTASNSKQCTMLNPQRLGMAPFSTFHSLNFKEQRKRISRNGKPPSEQTRGPENSPL >ONI21711 pep chromosome:Prunus_persica_NCBIv2:G2:13207093:13210994:1 gene:PRUPE_2G083200 transcript:ONI21711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDVFLSFRGEDTRDAFTSHLHVALLRKNIDTYIDNRLEKGDDIGPTLREAIEKSKIAIVIFSKDYTSSTWCLKELVHILECKKSYGQIVIPIFYRIDPSHVRKQQGTYALEDRPLKRSRDEVANWRAALEEAANMSGFYYSSKTGRTEAEFVEKVVHDVLTKLNRKFSSDLKGLVGIEKKIEKIESLLCLDSPGVCCVGIWGMGGIGKTTLADAVFHRLSSKFEACCFLPNDRLRRTKALIVLDDVNAKKQLEVLVGDHDQFCQGSRIIITARDTGPLEQKVDHAKIIKVEGLGSDEALQLFHSHAFKNKSPTDEALQLSREVAEYSKGNPLALKVMGSSFLHCKSKQEWEVQWKKLKRVPIGEIHKVLRVSYDGLDDNEKEIFLDIACFHKGYKRNDVERMLDTCDFFGETGINDLIFEAQLDFQLMVIATTEIKALQIFQLPNTYCNNLEVIPDDLFRLTSLQELNLSWTEIKNIPASIKQAAQLSRLFLNGCKSLEFLPELPSLLQYLEAHACTSLKTVSSSSPAITQGWEEYIFSRGLHEKHIFSDCPKLDENARNNIMGDAQLRIMRLAIASSKFKQDKIEEASYNSDEESFIAITCSANEIPNWFSHQSEGSSIKIELPRDWFSTDFLGFALSLVVAATTGYMKIGCKYNFKTSNGESHKINHRLNYRFESQEYSLDEVFVWWYNNVFEEVVEGAQSPTAFYKLVTEVNVDFNVLTVYDQPLPVEKCGICLLYGKDAEMIKQRAL >ONI24107 pep chromosome:Prunus_persica_NCBIv2:G2:25155505:25159254:-1 gene:PRUPE_2G224200 transcript:ONI24107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHDDRHGGSRLYVGRLSSRTRSRELEDVFSRYGRVRDVDMKRDFAFVEFSDPRDADDARYSLNGRDVDGSRLIVEFARGAPRGPGGSREYLGRGPPPGSGRCFNCGLDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKTKGRSHSRSPSPRRGRSRSRSYSRGHSYSRSRSPVRRERSLERRSRSPQDSRSPKRRRASPPPSKGRKHDRTPEGRSPRVRGSLSPQDRRSDYSRSPRGKSRSPINDVEGDKNGDRRHRSPAEENGHSRSRSRSPSPIRRGDRSPVEDDEDNHGSPRGSESA >ONI24108 pep chromosome:Prunus_persica_NCBIv2:G2:25155721:25157309:-1 gene:PRUPE_2G224200 transcript:ONI24108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDFAFVEFSDPRDADDARYSLNGRDVDGSRLIVEFARGAPRGPGGSREYLGRGPPPGSGRCFNCGLDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKTKGRSHSRSPSPRRGRSRSRSYSRGHSYSRSRSPVRRERSLERRSRSPQDSRSPKRRRASPPPSKGRKHDRTPEGRSPRVRGSLSPQDRRSDYSRSPRGKSRSPINDVEGDKNGDRRHRSPAEENGHSRSRSRSPSPIRRGDRSPVEDDEDNHGSPRGSESA >ONI24700 pep chromosome:Prunus_persica_NCBIv2:G2:26829496:26834594:-1 gene:PRUPE_2G256300 transcript:ONI24700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPRLRSYIIRKTLVSLLNLLRLIHRKAQTFVLFFRMSKPTSDLENQFSAPSPPPFDPTQPAVPISYPIKTLEELESGSYFESFHYPFNKASVALQSASSSLLLPNRPRVIVCHDMDGGYGDDRWVQGGTNSNAYAIWHWYLMDVFIYFSHSLVTLPPPCWTNTAHRHGVKVLGTFITEWDEGTLICNKLLSTEESAQKYAKCLTELAVALGFDGWLINMEVELKSSQIPNLKVFVSHLTQTMHSSVPGSLVIWYDSVTTDGKLNWQDQLNEKNKPFFDICDGIFVNYTWKKNYPMLSADVAGDRKYDVYMGIDVFGRGSFGGGQWNTSVALDVLKRDGVSTAIFAPGWIYETNQPPNFQIAQNHWWALVEKSWGIAQNYPKVLPFYSNFDQGHGYHFSVDGEQVSDAPWCNISSQGFQPFLEYTDNSTPDGIQVHIDFREASYSGGGNITFKGKLEDNAIFTARLFQGDLLLGDLPLHFTYSVKSENNSQLGLCLNFSSALKEIKSVLLVSQNLNQLSSKFNKVIMTRQLQKPGTSPGWVIQESNISMSGYRLTEINALCYQSEPEFDERRQNSLSEGQDNSCSQNPTDYYAVLGHISIETFGHNSGFPPSDLWLVEGQYIKWTTGSKGSKNLSLKITWKLKDGNDYAFRNYNIYVEKLAEDARGHPGATLGVREYLGVARVEAFYVSDLEVPSGTSNIKFIIQVSGVGGSSQKLTESPVFLLDTEAW >ONI25152 pep chromosome:Prunus_persica_NCBIv2:G2:28177409:28180014:1 gene:PRUPE_2G284500 transcript:ONI25152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMDFLPISLSPIAISLAVILAVLMIRVHLGTEKNKKRYHPVVATFLSALINFSRLHDYMTELACKHKTYRVLNLFTNFVFTTDPANVEYILKTNFANYGKGLYLYNILSDGLGSGIFAVDGEKWVHLRKVASNELSTKAVRDFSGAVFKNNGVKLARIISAAATSDQAIDIQDLFMKAALDSIVKVLLGIEVDTMYGTNEEATRFSNAFDVVNEMTLYRCVDFSWKIKKLLDIGSEAMLRKNLKVVDEFVYNLIKSKTETVPNSGDDPHLKRRDIVSRLLESRETDPKYLRDMIFSLVVAGKDTTASTLSWFIYMICKHPHIQEKIAQELREATNMKDNSSIDELADNLTEEALDKMQYLVAALTETTRLYPAVPLNAKICSCDDTWPDGFSVKKGDLVGYHAYGMGRMKFLWGDDAEEFRPERWLDENGVFKQESSFKFTAFSAGPRICLGKDFAQRETTMFSAVLVGSYIFKLRDENKVANYKTKITHHIEGGLYVQASPRFAHAMQDLN >ONI22392 pep chromosome:Prunus_persica_NCBIv2:G2:18253334:18260122:-1 gene:PRUPE_2G126100 transcript:ONI22392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRAAQQTSSSNARRHCLYHVFLSFRGEDTRKTFTDHLYTALVNARFHTFRDDDELERGEEIKPELEKAIKNSRSSVIVFSKDYASSRWCLDELVVILEHKRTSDDHVVLPVFYDVDPSHVRKQTGSLAKAFARHEKTQPLEKLKEWRDALAKVADLAGMVLQNQAHGYEAKFIKKIVKVIGEKLSRTPLNVDRNMIGMQYRVQNINLWLQHGSTDDVGILVIYGISGIGKTTIAKHVYNSNFQKFEGSSFLENIKEISQQPNGLVQIQTQLLYDILNGTEVKIHGVSQGITEIEKAISSKRVLLVLDDVDHVDQLNAVHLMKDRFCPGSKIIVTTRHRGLLEAHQFITEVHAVKTLDHIESLELLSWHAFGQDHPLEDYTEYSKKLVDHCGGLPLALKVLGSSLFGKSIYIWKSALKKLEDIPNGEIIRKLRVSYDSLQDDHDQKLFLHIACFFIGKDKDCIVTILDGCDFHTLVTIEYLIHRCLVTIDEHDKVQMHDLIRGMGREIVRLESEKLCKRSRVWRHRDSFEILTKKNGTRKIEGLVLDMHMLPTQSLINSNEEVIETNAFARMPELKLLHLSHVQLDGCYAEFCTGIRWMCWTKFSLDSIPFDFPLGSLIVLEMQYSGLRQICEGAKRLPLLKILDLSHSHSLTNTTDFSCCPNLEKLVLVDCESLIGVNESIGSLERLVYLSLRDCKNLKMLPKNIVMLKSLETLIVSGCTNLNQLSIEMLRNMALKVLGIDGIPLGEFWPGRSLSILSCLPCSLVDLSLEGCSLLDGVFPRDFSSLSSLRRLNLANNPICSLPNCIGGLEGLIDLSFSCCANLESLVGLPKVHHLDLGCCVSLKKITYKSSEFKSHITSGFDNHKLVEWEYNYKLEPIGRVDVDMINLLGLCNLESMAPIWIRKPYNSEIVAELSPVQGLYERGIFTTFFAGNEVPGQFIHKTKGSSLSFTVPSLDNHRIGGLKVFSVYTKRANDSPWALPGPMITRVTNKSKDIKLIYAPSHCGIPAEGEDMIWLSHWKLEEEVHVDVGDKLVVSVIMEPWLQVKEFGIQLVQPLQSTQHQTIAPYQQGVPGVYLLSRKNVPIQDPIWFSKILGDSDEEDRTDKEEEKVQDEQTIAAAMTAGNINSRGLLRGWKVLITAACFFLVLLISVALLYSLTERRDSLKAVDG >ONI24351 pep chromosome:Prunus_persica_NCBIv2:G2:25758586:25761537:-1 gene:PRUPE_2G235800 transcript:ONI24351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCRVCMAGGDVWMRGQIGGNFSHESEHDLALMVTDFWENGSAGAESWCSSDSDSALSDLAHLADKIPFYKRSVAQYEKDLTSVVHSLILSISENDLHFVKSGPCNASCLKFSLVKLLRLSGYDAAVCVARWQGSGKVPGGDHEYVDVVNFNNSGSSERLIIDLDFRSHFEIARAVHSYDRILNSLPVVYVGSLTRMKQFLQVMVEAARSSLKQNSMPLPPWRSLAYLQAKWQSPYQREFNLDEENANGAYSSDHKQCSGQLKMLQSLLQSEIEADRMLKPINNDHIRRHKPDRRRHSLLWTH >ONI23570 pep chromosome:Prunus_persica_NCBIv2:G2:23336372:23337592:-1 gene:PRUPE_2G195800 transcript:ONI23570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNKEEKDASYLEHERVVKTRKACFWSILVSVAGGLMLGWWEYQYHPTNRQLWMVPFGLILFATPVIVWFSVMASDICNLKDDDHVTRVSQLSNSVPAKKDGQWSNHVNDV >ONI22810 pep chromosome:Prunus_persica_NCBIv2:G2:20723718:20726293:1 gene:PRUPE_2G152700 transcript:ONI22810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDSDVPMVPVGEASSSAGPSSTKRPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >ONI24015 pep chromosome:Prunus_persica_NCBIv2:G2:24809488:24812553:-1 gene:PRUPE_2G219100 transcript:ONI24015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTMSSSGDIQELGFPDDREKLDGFRLFNSGSSQDAKNAANYTNFNVRNSLAWDSAFFTNPGVLDPEELLQTMNSRNTGNAFNFLGQEEEILLPSESLEPERTRKPNNYNFRKSLAWDNAFFTSAGVLDSKELSIVNRGFRKCKANQLPGIEEVWRSTESISTINSGCSSLASLEFELFEDNRSSVQKPTSSVKLKKGGGMQNMYTSKKPDASSRMRMKSMPASQRQSLNVHRPQRILKEASVSSQKQVAAGSEKLNSSASRKPPKISSRVNEPSTAAAKRACLGGNYAKMGTAKAAPGQSMTLSKKPCMGVSCSVNDSFTPSPKSLSSHFPTITHESGAYCSPYKGFWNASVDTAGKSPFNSRRQVDPSLVNSASKGFTMGTPPRSTKTNKDELENSSHPSSLFFTPKSSSHTSPASSLDGRSSVSSSTSVNQRSKNSEVSLEILCRQVSFESDVSQASDVESHSHEKPCTGYGNQKTRLLNQREAKMSVGSGSVSSNICKHIKPSCLRVPSPKIGFFDEETSFVRSSGSMPCHSGVQSIVSRSATGKSNNNGAASQYGKLQTPRTLDGGHGTRNTKLASRKTGSPCPAFGISPRRPAHIGVQNASLNELDMKRAIKTHAAMTSEVENDLPHKVNTKKCLRTEDNIGMVVLKKVNSQDTKENEDPNMPCPGNNLCTLQNDYFKDQIDALTKHVGAIDLGL >ONI24014 pep chromosome:Prunus_persica_NCBIv2:G2:24809328:24812870:-1 gene:PRUPE_2G219100 transcript:ONI24014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTMSSSGDIQELGFPDDREKLDGFRLFNSGSSQDAKNAANYTNFNVRNSLAWDSAFFTNPGVLDPEELLQTMNSRNTGNAFNFLGQEEEILLPSESLEPERTRKPNNYNFRKSLAWDNAFFTSAGVLDSKELSIVNRGFRKCKANQLPGIEEVWRSTESISTINSGCSSLASLEFELFEDNRSSVQKPTSSVKLKKGGASKKPDASSRMRMKSMPASQRQSLNVHRPQRILKEASVSSQKQVAAGSEKLNSSASRKPPKISSRVNEPSTAAAKRACLGGNYAKMGTAKAAPGQSMTLSKKPCMGVSCSVNDSFTPSPKSLSSHFPTITHESGAYCSPYKGFWNASVDTAGKSPFNSRRQVDPSLVNSASKGFTMGTPPRSTKTNKDELENSSHPSSLFFTPKSSSHTSPASSLDGRSSVSSSTSVNQRSKNSEVSLEILCRQVSFESDVSQASDVESHSHEKPCTGYGNQKTRLLNQREAKMSVGSGSVSSNICKHIKPSCLRVPSPKIGFFDEETSFVRSSGSMPCHSGVQSIVSRSATGKSNNNGAASQYGKLQTPRTLDGGHGTRNTKLASRKTGSPCPAFGISPRRPAHIGVQNASLNELDMKRAIKTHAAMTSEVENDLPHKVNTKKCLRTEDNIGMVVLKKVNSQDTKENEDPNMPCPGNNLCTLQNDYFKDQIDALTKHVGAIDLGL >ONI24884 pep chromosome:Prunus_persica_NCBIv2:G2:27337742:27340287:-1 gene:PRUPE_2G267600 transcript:ONI24884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVATRKTSKTKREREREREREREREREREREREMEVSFVLKLLSSLAAAGLVGFLLHLYNTVWLKSERLRRKLRVQGIKGPAPSFLYGNLPEMQKIQHQLPNTPNHSEFVAHDYTSTLFPYFEHWRKQYGQIYTYSTGMRQHLYVNQPELVREMNQCMSLDLGKPSYVSKRLAPMLGNGVLRSNGIVWSQQRKIIAPEFFTDKVKGMVWLMLESGQTLLQKWEDCIEAQSGMTAEIQVDEDFRDFSADVISRACFGSSYIRGKQIFSKLRALQEVISQQNFLFSNLGGLKKQNEIGCLEREIESLIWEAVRERQGSETSSAEKDLLQTILEGAINDQSLGKGSSKRFIVDNCKSIYFAGHESTAVAASWCMMLLALHPEWQARIRTELAQVCPDGLPDANSLPQLKTVQVLASICMFIIILLDVGYFSDAKLCCHCTFEQNYFIKTNDI >ONI24883 pep chromosome:Prunus_persica_NCBIv2:G2:27337058:27340307:-1 gene:PRUPE_2G267600 transcript:ONI24883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVATRKTSKTKREREREREREREREREREREREMEVSFVLKLLSSLAAAGLVGFLLHLYNTVWLKSERLRRKLRVQGIKGPAPSFLYGNLPEMQKIQHQLPNTPNHSEFVAHDYTSTLFPYFEHWRKQYGQIYTYSTGMRQHLYVNQPELVREMNQCMSLDLGKPSYVSKRLAPMLGNGVLRSNGIVWSQQRKIIAPEFFTDKVKGMVWLMLESGQTLLQKWEDCIEAQSGMTAEIQVDEDFRDFSADVISRACFGSSYIRGKQIFSKLRALQEVISQQNFLFSNLGGLKKQNEIGCLEREIESLIWEAVRERQGSETSSAEKDLLQTILEGAINDQSLGKGSSKRFIVDNCKSIYFAGHESTAVAASWCMMLLALHPEWQARIRTELAQVCPDGLPDANSLPQLKTMAMVIQEVLRLYPPAAFVSREALEDTQVGNISVPKGVCLWTLIPTLHRDTEIWGPDANEFKPERFIDGVSKACKSPQAYIPFGLGPRLCLGKNLAMVELKVVLSLVISKFSFSLSPKYKHSPAYKMIVGPGNGVHILIQKSVLDR >ONI22999 pep chromosome:Prunus_persica_NCBIv2:G2:21357151:21359234:-1 gene:PRUPE_2G163200 transcript:ONI22999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEITLNPCALLLRQAVIPFIRMRTYHGELYIAQNVVTLREASGSVVLFLQMKPRMFHTFSCTENLCLGFDIRHLYRYLLLLQDRNDAFLRLTGDASGHIDFVLLDIRTRRTIQLHIPLLSRPSAMTTVPQLQYQYQVRVGIPVQQFRVIILTLSQFGVTVSATVTDTQVQFFVGNGNGGMFTFPILKKPEQCIIEGDVAANPVSLVLDLRHASTILNASMMSKTVWLFCQSHGSSVMLNCPFGRLGNIYYYFPEA >ONI25088 pep chromosome:Prunus_persica_NCBIv2:G2:27940209:27941321:1 gene:PRUPE_2G279800 transcript:ONI25088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQNFTTPTSNSHNALTFLLPILLLIFMPNMHTTLATSSSSQTYKTYVKTACNTTTYPLICYKSLSSYASKVKSDPQKLCTYALSVTLKAAKNASSVVSKLYKNTGLTPSEKGVVKDCIENIKDSIDELKQSVSSMSNLGVSGSDVQLQLDDIKTWVSAVITDDATCTDGFDGVKVSAAVKTAIRNSIVNAARLASNALSLIDSLIY >ONI24652 pep chromosome:Prunus_persica_NCBIv2:G2:26651280:26652307:-1 gene:PRUPE_2G252900 transcript:ONI24652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQQSQPFDGHFTVLDDTQFHIRGRTLFFVVVLFTVVLLVTVLLLYARWVCHSHPNTSSSSNTPPNAPPQSQPKGLDPTVIRALPIVLYHQSVRDLEAGGGGGECCICLGVFGDGEKVKVLPNCSHSYHSECVDTWLATRSSCPLCRAQLQAQSTTT >ONI22652 pep chromosome:Prunus_persica_NCBIv2:G2:19802318:19806632:-1 gene:PRUPE_2G142200 transcript:ONI22652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPSTRLSFPLNSPLFYILPSTSLFPNLIITTTNKKNKFLIPTMSFSSSPSSPSPSSISNPKEPEHPRDPEDQELLLQQVLKYHNQTKHHFTKYARGPHGLDWANQPNPFRRYVSAPLLPLLHFPTENQNPNSSSTQDPLYSSLFLNLPPPKPISKSTISQFFYDSLALSAWKTTGFSTWSLRVNPSSGNLHPTEAYIISPPIESLSDSSFVAHYAPKEHALELRAEVPSWVFTNFLPKDSFLIGLSSIFWREAWKYGERAFRYCNHDVGHAIAAVSMAAAGLGWDVKLLDGLGYEDLEKLMGLERFPKFQIPSRPVKGRFPEMEFEHPDCILVVFPNGAGEFDVNYKQLSLAISEFSKLEWKGKPNLLSKEHICWDIIYRTAEAVKKEISLGNTFLVDPFQSSGICSEGSYKGFTAREVVRKRRSAVDMDGVTAMDRNTFYQILLHCLPSGSRNGGKQKKPLALPFRGLPWDAEVHAALFVHRVEGLPQGLYFLVRNEDHLDKLKKSMRSGFKWMKPEGCPENLPLYELDRTDCRTLAERLSCHQEIASHGCFSLGMVACFDRLLHDKNMWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDPVHELLGLKGSNFQSLYHFTVGGPVVDKRIMSLPAYPGPDVDA >ONI20423 pep chromosome:Prunus_persica_NCBIv2:G2:1304134:1309341:-1 gene:PRUPE_2G014700 transcript:ONI20423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEDEEKQQIKSLGIVVPNPKPNKGFASKVVDLIENLIVKLMYDPSQPHHYLAGNFAPVLDETPPTNNLPVIGSLPECLNGEFVRVGPNPKFAPVAGYHWFDGDGMVHGMRIKDGKATYVSRYVRTSRLKQEEYFGGAKFMRIGDLKGLFGLLMVNMQILRAKLKVLDMSYGNGTGNTALIYHHGKLLALSEADKPYVLKVLEDGDLQTVGLLDYDKRLTHSFTAHPKVDPFTGEMFTFGYSHTPPYITYRVISKDGFMHDPIPITVADPIMMHDFAITENYAIFMDLPLYFRPKEMVKEKKLIFTFDPTKKARFGVLPRYAKDELLIRWFELPNCYIFHNANAWEEEDEIVLITCRLENLDLDMVNGPVKEKLENFKNELYEMRFNLKTGLASQKKLSESAVDFPRVNESYTGSVMCMEPYWTALQR >ONI20422 pep chromosome:Prunus_persica_NCBIv2:G2:1303690:1309520:-1 gene:PRUPE_2G014700 transcript:ONI20422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEDEEKQQIKSLGIVVPNPKPNKGFASKVVDLIENLIVKLMYDPSQPHHYLAGNFAPVLDETPPTNNLPVIGSLPECLNGEFVRVGPNPKFAPVAGYHWFDGDGMVHGMRIKDGKATYVSRYVRTSRLKQEEYFGGAKFMRIGDLKGLFGLLMVNMQILRAKLKVLDMSYGNGTGNTALIYHHGKLLALSEADKPYVLKVLEDGDLQTVGLLDYDKRLTHSFTAHPKVDPFTGEMFTFGYSHTPPYITYRVISKDGFMHDPIPITVADPIMMHDFAITENYAIFMDLPLYFRPKEMVKEKKLIFTFDPTKKARFGVLPRYAKDELLIRWFELPNCYIFHNANAWEEEDEIVLITCRLENLDLDMVNGPVKEKLENFKNELYEMRFNLKTGLASQKKLSESAVDFPRVNESYTGRKQRYVYGTLLDSIAKVTGVVKFDLHAAPELGKTKIEVGGNVQGLYDLGPGRFGSEAIFVPRVPGVTSEEDDGYLIFFVHDEKTGKSSIHVIDAKTMSSDPVAVVELPHRVPYGFHAFFVTEEQLQEQAKL >ONI25129 pep chromosome:Prunus_persica_NCBIv2:G2:28081225:28093066:1 gene:PRUPE_2G282700 transcript:ONI25129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAAYKMMHWPTGIENCASGFISHSRSDFVPRIPPIQTEDLESEWPTSRREIGPIPDLVVTAGNVLEVYVVRVQEEDGTRGPRASGEPKRGGLMDGVSGASLELVCHYRLHGNVVTMAVLSSGGGDGSRRRDSIILTFEDAKISVLEFDDSIHGLRTSSMHCFEGPEWLHLRRGRESFARGPLVKVDPQGRCGSILVYGLQMIILKASQGGSGLVGDDDSFGSGGAISSRIESSYIVNLRDMDMKHVKDFTFLHGYIEPVMVILHERELTWAGRVSWKHHTCMISALSISTTLKQHPLIWSAVNLPHDAYKLLAVPSPIGGVLVISANSIHYHSQSASCALALNSYAVSADNSQEMPRSSFTVELDTANATWLLNDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLTSGITKVGNSLFFLGSRLGDSLLVQFTCGVGGSVLSSDMKDEVGDIEGDAPLAKRLRMSSSDALQDMVSGEELSLYGSAPNNAESAQKSFSFAVRDSLINVGPLKDFSYGLRINADANATGIAKQSNYELVCCSGHGKNGALCVLRQSIRPEMITEVELPGCKGIWTVYHKNARGHNADSSKIAASDDEFHAYLIISLEARTMVLETADLLSEVTESVDYFVQGRTIAAGNLFGRRRVVQVYERGARILDGSFMTQDLSFGTSNSEMGSGSESSTVLSVSIVDPYVLLRMSDGGIRLLVGDPSLCTVSTSIPAAFESSKKSISACTLYHDKGPEPWLRKTSTDAWLSTGIDEAIDGADGVSHDQGDVYCVVCYESGSLEIFDVPNFNCVFSVDKFVSGNAHLIDTLMRDPPKDPQKLINKSSEEVSGQGRKENIQNMKVVELAMQRWSGQHSRPFLFGILNDGMILCYHAYLFEGPETASKTEDSASAQNTTGVSNLSASRLRNLRFVRVPLDTYAKKDTSNETSCQRMTIFKNIAGYQGLFLSGSRPAWFMVFRERLRIHPQLCDGSVVAVTVLHNVNCNHGLIYVTSQGILKICQLPPITSYDNYWPVQKIPLKGTPHQVTYFAEKNLYPLIVSVPVHKPLNQVLSSLVDQEVGHQVENHNLSSDELHRTYSVDEFEIRIMEPDKSGGPWQTKATIPMQTSENALTVRVVTLFNTTTKENETLLAIGTAYVQGEDVAGRGRVLLFSAGKSADNTQTLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWNGTELNGVAFFDVPPLYVVSLNIVKNFILLGDVHKSIYFLSWKEQGAQLTLLAKDFGNLDCFATEFLIDGSTLSLVVADEQKNIQIFYYAPKMSESWKGQKLLSRAEFHVGTHVTKFLRLQMLSTSSDRTGTNPGSDKTNRYALLFGTLDGSIGCIAPLDELTFRRLQSLQKKLVDAVHHVAGLNPRAFRQFQSNGKAHRPGPDTIVDCELLSHYEMLPLEEQLEIANQIGTTRSQIFSNLNDLSIGTSFL >ONI23265 pep chromosome:Prunus_persica_NCBIv2:G2:22282616:22284518:1 gene:PRUPE_2G178700 transcript:ONI23265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPNVFNKLGTWRGGVRSSIFVLSIFALLISLGTLSKFNSLGSFHVTDTLCNYVNPESQSRPDSHVRRTLETVIQKIQQELDKFRDSEPEPSSSKHVLKYNSFLADILGHIESVRTSLSQNEETHELNSGAKDIHPLLKPKQQSDEPADYFLIEEIRKYVKIKPNRLGKQNFMGANGTFTSIGHACFAMKKELEEYMDYDIGEICNDDWRLAQKLMVHGCDPLPRRRCFSRAPKLYSKPFPIHESMWKLPDNRNVRWSNYRCKNFTCLASNKTQKGFFKCADCFNLQDHEKPRWIKPAYLDTNSNVTADFLIPEVLNIKPGEIRIGLDFSVGTGTFAARMREFNVTIVSATINLGAPFNEMIALRGLVPLYLTINQRVPFFDNTLDLIHTTRFLDGWIDFVLLDFVLYDWDRALRPGGFLWVDSFFCLKEDLSDYLEALRMLRYKKHKWVVVPKLDKDDKEVFFSALLEKPGRPFR >ONI20475 pep chromosome:Prunus_persica_NCBIv2:G2:1637938:1643818:1 gene:PRUPE_2G017600 transcript:ONI20475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCTWGDLAVQQASSTIVTYCGAQLVLPPWPSKSKKSCLNKAIVVVIFPVPIGCLLTPLSFGCTGREEEEEEKRKQKLHYQKYNNGKLTLSLNSLTSKVYGGPGAPMRQFFKTAIAVTTVVVSSSNTTKQVLRTHDRFLSLRKICNSHLFAPKILNANQPSRRMKVEELIYDVNESLVKGEAVDIRKATFKTTLNLLSHTMFSMDLVDASSEMAREFKEIVWGSMEEAQKPNWKIEQVFDRIIIQRLESRKAHDYVITNDMLDTFLNISGVNSEDMDMTKLQHLLLILFTAGTDTTSATLEWAMAELLRNPKKLSKAQQELEKIIGKGKPVEEGDIARPGRPSPLTSLIARLPYFQAIIKETFLLDPATPLLLPRKADSNVEICRLYFVNAWAIGRDPNIWDNPSSFVSERFLGLDDQIDVSGKNFELIPFGAGRRICPGLPWTMRILPLMLGSLINSFE >ONI20404 pep chromosome:Prunus_persica_NCBIv2:G2:1197266:1198442:1 gene:PRUPE_2G013600 transcript:ONI20404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSFTSSYINQEEDGVQMEKNDGEAVSEEPELENRENVEEPRVGMTFNNIDDIVVYYREYGKQLGFPVRKRTSQKGDEGELKYVTISCGREGKYKSKSSNVLKPHPSIKIGCKARVRASIILDGRWQINSINLDHNHDMSPTKARYFRCHRTISSYMKRRIELNDRAGIRLNKSYNSLVVEAGGHENISFLEKDCRNYIENVRRLRLGEGDATAIQTYFLNMQAQNSNFFYAIDLDQDGRLRNVFWADARSRAAYKEFGDVVTFDTTYLTNKYDMPFAPFVGDIDAFIWLFKSWLTCMHEHAPRGIITDQDKAMKNAIEIIFPNTRHRWCLWHIMNKFPSKLNRYKQYEAIMYALQSIVYGSLEKVEFEEG >ONI23056 pep chromosome:Prunus_persica_NCBIv2:G2:21586760:21589660:-1 gene:PRUPE_2G167500 transcript:ONI23056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVDLRRCFQLWYAFAVPLLHMSPSVNGCIERERQALLAFKQGLVVDTRSQIVSTWGTSEGQNNDCCRWKGVYCSNQTGQVVELQLGSQSLQGSAMDVWGNMSSLAVLNLTDNQLEGDITNSFSELCSLRSLGLSNNNLSGEFPKFVQILSKCSQKQLEGLYLSNNRLSGEIPESIGQISLLTELSLYGNQLSGRIPASIGQMQSLTRLSLYGNRLSGRIPESIGQMSNLVYINLGKNSLEGVISETHFSKLSKLKYLDLSSNSLVLNFHSDWVPPFQLSVIILWSCKMGPYFPQWLQTQKNYSSLDISNTTISDIIPSWFWGLSRTAVVINLSHNQIRGTFPSSTMEFAFYPKLNFSSNQLEGPIPSFLSKSSSLDLSSNKLSGFISFLCGIKASNLTLLDLSSNLVSEELPDCWTHLENLVVLELCDNGFLGKIPTTLGNLYSLETLKLKRNRFVGELPSSLMNCKHLKVFDVAENQLSGLIPGWLLFELPKLVILILRSNRFYGRIPLQLCNLTHVQILDFSINNISGTIPKCLSNLTALVDKGNSILTITHHYSSDFGNGIFSESYDERATLIWKGMMSEYKSTLGLVKSFHLSSNQLTGEIPKEIIHLGGLVSLNLSRNHLTGQINPDIGKLELLQSLDLSRNQIYGRIPTSLFQIYGLGDLDLSNNNLSGNIPMGSQLQNFDPSAFAENPLLCGLPLQRMCDQEKEKGSVQQTGLGNQDHEGGLVTRGFYISMGLGFAFGFWGVSGTLMFHELARST >ONI21636 pep chromosome:Prunus_persica_NCBIv2:G2:11891943:11894248:-1 gene:PRUPE_2G077200 transcript:ONI21636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTKLQLIFLFSIFIVFLCFSLGKSSDFELEDDSWLNDEDDEVNMVQSQQNSRSRCDFSVGKWVYDQSYPLYDSGCPYLSTAVTCQKNGRPDSDYEKWRWKPNSCSIPRFDALKFLGKMRRKRIMLVGDSIMRNQWESLVCLVQGVVPTGRKRVTYNGPSMAFHAMDFETSIEFSWAPLLVELNKGAEKKRILHLDLIEENAKYWRGVDVLVFDSAHWWTHSDQSSSWDYYMEGHSLYTNMNPMVAYQKGLTTWAKWVDLNLDPRKTRVIFRSMSPRHNRENGWRCYNQKQPLAYFSHQHVPEPLLVLQGVLRKMRFPVYLQDITTMSAFRRDGHPSVYRRAMGQEERQHPRDFSSDCSHWCLPGVPDSWNEILSALL >ONI21862 pep chromosome:Prunus_persica_NCBIv2:G2:14883026:14887752:1 gene:PRUPE_2G094500 transcript:ONI21862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSFSIKFGSISCPYENLRNGTSPRLKLKHVLFAFAPPKPSLHISADLTPKARFVARRTESVSVPQLQRPLSEYMSLPASQYSVLDAERIERVDENTFRCYVYRFKFFAFEVCPVLLVRVEEQPNGCCIKLLSCKLDGSPIAVAQNDKFDAFMVNQISCTNNQSNSSLQQLRSDTVIEVSIEIPFAFRAIPAQAIESSGTQVLEQILRIMLPRFMSQLVRDYRAWASGDRSRQPLGTGEI >ONI20392 pep chromosome:Prunus_persica_NCBIv2:G2:1094079:1105610:1 gene:PRUPE_2G012700 transcript:ONI20392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFANRIRSSLPLVTKILKSDSLSAAHWSSAQRSVLCPTFANSELSKNFSTAPGKKEVKVKVPLVLFGGSGNYASALYIAAVKANALEKVESEILDIVESTKRSPTFSQFTKDLSVPADTRVKAINEISAQAKFSDVTKNFLVLLSQNGRLKNLETIAKRFVELTMAHKGEVKAIVTSVIPLPAVEEKELKETLQELIGQGKKVILEQKIDPSILGGLVVEFDKKVFDMSIKTRARQMERYLREPANFDSL >ONI20393 pep chromosome:Prunus_persica_NCBIv2:G2:1100993:1105610:1 gene:PRUPE_2G012700 transcript:ONI20393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFANRIRSSLPLVTKILKSDSLSAAHWSSAQRSVLCPTFANSELSKNFSTAPGKKEVKVKVPLVLFGGSGNYASALYIAAVKANALEKVESEILDIVESTKRSPTFSQFTKDLSVPADTRVKAINEISAQAKFSDVTKNFLVLLSQNGRLKNLETIAKRFVELTMAHKGEVKAIVTSVIPLPAVEEKELKETLQELIGQGKKVILEQKIDPSILGGLVVEFDKKVFDMSIKTRARQMERYLREPANFDSL >ONI20391 pep chromosome:Prunus_persica_NCBIv2:G2:1094079:1105610:1 gene:PRUPE_2G012700 transcript:ONI20391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFANRIRSSLPLVTKILKSDSLSAAHWSSAQRSVLCPTFANSEVQRFSNHRNANNRFLRLSKNFSTAPGKKEVKVKVPLVLFGGSGNYASALYIAAVKANALEKVESEILDIVESTKRSPTFSQFTKDLSVPADTRVKAINEISAQAKFSDVTKNFLVLLSQNGRLKNLETIAKRFVELTMAHKGEVKAIVTSVIPLPAVEEKELKETLQELIGQGKKVILEQKIDPSILGGLVVEFDKKVFDMSIKTRARQMERYLREPANFDSL >ONI20430 pep chromosome:Prunus_persica_NCBIv2:G2:1336802:1341533:-1 gene:PRUPE_2G015000 transcript:ONI20430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQDNEIESLNSTSSWSSAANWTIAGGCLVNCVSFESSSSPIDDETLKSTSESSLILLPPSPDSVPCEITINFTQKHEVQQVYVRSTARVYEIYYAPDLQSGNEYLCTVRCGIADRDEEVLHTGDNEEVRSTNSNGSLKDPSEENSRNGNGLNTSEDDWIEVKVPDTHVLDNKTKSLPLKLGSAQAFYEATAQISDASPCVSLTLRLLSLERKDCVCVDEVYVFADPVDSADSENQVSTVESSAGSSLMAMLVPTLLQFSKTSGAHRTQDRHNSDTWEKQNSLEIGSHTVGSTSAATKIQEEGKASIPDHQELKVNRATVGTAQLQIPPLVPFRESKPDSPPYSHVERAVDQLCSRMGRIEDLVLRFEENMLKPISSIEARLERVEQQLEVLTRKSQNSGLPTCSRFCAPSFSCIESESNSFYNSGNDYRRWEAFESGKNDVQLDALPTTPYDMSGSVNSPHLFPSLVVTAPEFSNGDDEEEEEEEDHESDVVVTPSENKPRPALTIDDALASALAGFMSLTSTQPEKYTQTLSVKAPDFLNEEDDSVDRKSPASVENDVGADPSMCSGAINETKSIKDSVADSAKSSSESEGNVIRSPNDEHTDKTLGVDGLHQRYEDGEEGKLVDGKSIGNAVDLANRGMMSRTDFCEITEESENGEVSTEISNILDLDKTDIPNSLPQDQTDDGHGNTQEDTYTESDLTTPKEATEENPDKDILKNILELSRAASVVDFGTPVLDVKFTSQDSYESPYFSLEALLAELPESKTEAPSVKETDDAAPVGEGCKLILVEDEEPVGPAPDGKFSVDMDFYSVGEPLGMWEDDTCNSHETFAASLI >ONI23843 pep chromosome:Prunus_persica_NCBIv2:G2:24376983:24383657:-1 gene:PRUPE_2G211400 transcript:ONI23843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVEIPNWLKGLPLAPEFRPTHTEFADPIAYISKIEKEASEFGICKIIPPLPKPSKRYVFSNLNKSLAKCPELGSDVNLLNDCSPLKTGSGDGRSDGEARAVFTTRHQELGQSVKRVKGAAVQNPPSGVHKQVWQSGEIYTLEQFESKSRAFARSILGTIKEVSPLLIEEMFWKAASEKPIYVEYANDVPGSAFEEPVGQFRYTNRRRRKRNSYHRSRENSDSKTSDLISSSERDSHSIEVKNASPKNVSDTCLEVSKSSTAPEILSAEETSQSSRRKNPNACCDTEGTAGWRLSNSPWNLQVIARSPGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSMNFLHTGSSKTWYAVPGDYAFDFEELIRTEAFGGNVDRLAALSLLGNKTTLISPEVVVASGIPCCRLIQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPHWLEVAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPRSLLPGVRGSRMRDRQKEERELSVKKAFVEDMLKENDVLSVLLQKESSYHAVLWNPDLLPYTSKEPLTPSAGAPVDMKPKENATHIQCGNNNNDQNLLFDEMSLYMENMNDLYLGSDDLSCDFQVDSGTLACVACGILGFPFMSVVQPSEKASVKLQPEYFLAQEFPGVSGLEKSHLSTGHQAFVKGCVTEDPSLVPNVMSPAKDPLIPSTTKLNKDWNTVNKFLRPRSFCLEHAVEIVELLQSKGGANVLVICHSDYQKIKAPSAAIAEEIGCSFNYTEVPLDIASKEDLNLIDLAVDDEHDECREDWTSKLGINLRYCVKVRKNSSSKQVQHALTLGGLFSKQSPSSDFQRVKWQSKRSRSKKLNHPAHCRPCGSIEKKDEVVERKSDDTSIKRDEKIIQYSRRNYKLKAGDSTGAGRICGYPATCGKGDKHGRMASESNIRDIGNSTSSCERFYSSKSNRMSETYPVVQMLEATKDISLYSTPSQVAAKLATTTLIAEGVEAQVENHSSEGRNMYGEGCGLVSRDSSDMQDEIAIPEEASENKSEVRMVNTVMEISCMNSEVCDSMTLGDEVQPENQTTNKRNDKAPVSCSSHLSQDPTFAAAEDYDGCPRETHIADEFSKDVSLEFKLEEEIKSLKGRNEEPSLSPTRQINEPSPASIEGTSGVPRELCAAEDSFPGPISCSEEFRTADRSEGEHVSTSVTQMEITQPCISMEESSQVPRGCSSEEGPDNGVTSDTVQQEVQTTNGPIKEPILGLVIETENQPTPGSVEEFEVLRVTCATDNINSFVALDNKEQRKNRTTNSSEELIYSQDIARCQPLPASIQTYSRIKREPRAAQGLRNSTEVCLSPLDKELESSGSSIADPAPIPEMGRKRKREVEQIKDDNFNFNGFIRGPCEGLRPRAGKDAMSRSGIDNLHKEVEEKPVTKKVKKPSDPPNPKYKKEQERKSHRCDLEGCRMSFGTKAELVLHKRNRCPHEGCGKRFSSHNYAMIHSRVHDDDRPLKCPWKGCSMSFKWAWARTEHIRVHTGERPYQCKVEGCGLSFRFVSDFSRHRRKTGHYVS >ONI23842 pep chromosome:Prunus_persica_NCBIv2:G2:24376611:24384165:-1 gene:PRUPE_2G211400 transcript:ONI23842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVEIPNWLKGLPLAPEFRPTHTEFADPIAYISKIEKEASEFGICKIIPPLPKPSKRYVFSNLNKSLAKCPELGSDVNLLNDCSPLKTGSGDGRSDGEARAVFTTRHQELGQSVKRVKGAAVQNPPSGVHKQVWQSGEIYTLEQFESKSRAFARSILGTIKEVSPLLIEEMFWKAASEKPIYVEYANDVPGSAFEEPVGQFRYTNRRRRKRNSYHRSRENSDSKTSDLISSSERDSHSIEVKNASPKNVSDTCLEVSKSSTAPEILSAEETSQSSRRKNPNACCDTEGTAGWRLSNSPWNLQVIARSPGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSMNFLHTGSSKTWYAVPGDYAFDFEELIRTEAFGGNVDRLAALSLLGNKTTLISPEVVVASGIPCCRLIQNPGFNCGEAANFGTPHWLEVAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPRSLLPGVRGSRMRDRQKEERELSVKKAFVEDMLKENDVLSVLLQKESSYHAVLWNPDLLPYTSKEPLTPSAGAPVDMKPKENATHIQCGNNNNDQNLLFDEMSLYMENMNDLYLGSDDLSCDFQVDSGTLACVACGILGFPFMSVVQPSEKASVKLQPEYFLAQEFPGVSGLEKSHLSTGHQAFVKGCVTEDPSLVPNVMSPAKDPLIPSTTKLNKDWNTVNKFLRPRSFCLEHAVEIVELLQSKGGANVLVICHSDYQKIKAPSAAIAEEIGCSFNYTEVPLDIASKEDLNLIDLAVDDEHDECREDWTSKLGINLRYCVKVRKNSSSKQVQHALTLGGLFSKQSPSSDFQRVKWQSKRSRSKKLNHPAHCRPCGSIEKKDEVVERKSDDTSIKRDEKIIQYSRRNYKLKAGDSTGAGRICGYPATCGKGDKHGRMASESNIRDIGNSTSSCERFYSSKSNRMSETYPVVQMLEATKDISLYSTPSQVAAKLATTTLIAEGVEAQVENHSSEGRNMYGEGCGLVSRDSSDMQDEIAIPEEASENKSEVRMVNTVMEISCMNSEVCDSMTLGDEVQPENQTTNKRNDKAPVSCSSHLSQDPTFAAAEDYDGCPRETHIADEFSKDVSLEFKLEEEIKSLKGRNEEPSLSPTRQINEPSPASIEGTSGVPRELCAAEDSFPGPISCSEEFRTADRSEGEHVSTSVTQMEITQPCISMEESSQVPRGCSSEEGPDNGVTSDTVQQEVQTTNGPIKEPILGLVIETENQPTPGSVEEFEVLRVTCATDNINSFVALDNKEQRKNRTTNSSEELIYSQDIARCQPLPASIQTYSRIKREPRAAQGLRNSTEVCLSPLDKELESSGSSIADPAPIPEMGRKRKREVEQIKDDNFNFNGFIRGPCEGLRPRAGKDAMSRSGIDNLHKEVEEKPVTKKVKKPSDPPNPKYKKEQERKSHRCDLEGCRMSFGTKAELVLHKRNRCPHEGCGKRFSSHNYAMIHSRVHDDDRPLKCPWKGCSMSFKWAWARTEHIRVHTGERPYQCKVEGCGLSFRFVSDFSRHRRKTGHYVS >ONI23049 pep chromosome:Prunus_persica_NCBIv2:G2:21561253:21564274:-1 gene:PRUPE_2G167000 transcript:ONI23049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDPMALSGSASYFTSRGLTQSGLHGSQGIHPLSNPNTAFQSNLGGGNIGSALPIEPSSGITPHGVNVGVPSMLPPGEPVKRKRGRPRKYGPDGTVSLALSPSSSANPGMVTSTPKRGRGRPPGSGKKQQLASLGELLSGSAGMGFTPHIITIAMGEDIATKIMSFSQQGPRALCILSANGAVSTVTLRQPSTSGGTVTYEVMPGNWAHQLQSLVMKGTVRDNMSVRFLLAH >ONI23050 pep chromosome:Prunus_persica_NCBIv2:G2:21561868:21564274:-1 gene:PRUPE_2G167000 transcript:ONI23050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDPMALSGSASYFTSRGLTQSGLHGSQGIHPLSNPNTAFQSNLGGGNIGSALPIEPSSGITPHGVNVGVPSMLPPGEPVKRKRGRPRKYGPDGTVSLALSPSSSANPGMVTSTPKRGRGRPPGSGKKQQLASLGELLSGSAGMGFTPHIITIAMGEDIATKIMSFSQQGPRALCILSANGAVSTVTLRQPSTSGGTVTYEV >ONI23051 pep chromosome:Prunus_persica_NCBIv2:G2:21560234:21565660:-1 gene:PRUPE_2G167000 transcript:ONI23051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDPMALSGSASYFTSRGLTQSGLHGSQGIHPLSNPNTAFQSNLGGGNIGSALPIEPSSGITPHGVNVGVPSMLPPGEPVKRKRGRPRKYGPDGTVSLALSPSSSANPGMVTSTPKRGRGRPPGSGKKQQLASLGHCNKNNVVFTAGAKSSVYLVSQWCCLYRDTSSALNFWWYSHI >ONI23048 pep chromosome:Prunus_persica_NCBIv2:G2:21560504:21564274:-1 gene:PRUPE_2G167000 transcript:ONI23048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDPMALSGSASYFTSRGLTQSGLHGSQGIHPLSNPNTAFQSNLGGGNIGSALPIEPSSGITPHGVNVGVPSMLPPGEPVKRKRGRPRKYGPDGTVSLALSPSSSANPGMVTSTPKRGRGRPPGSGKKQQLASLGELLSGSAGMGFTPHIITIAMGEDIATKIMSFSQQGPRALCILSANGAVSTVTLRQPSTSGGTVTYEGRFEIICLSGSYLLTESGGSRNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFIWGSSKTKSKKREAVEGATDLDHQTVDNSVALNSISQDQSLSQSASLAAWQASRPLDIRNTHVDIDLMRG >ONI24489 pep chromosome:Prunus_persica_NCBIv2:G2:26166704:26171759:1 gene:PRUPE_2G243100 transcript:ONI24489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSYLLFNNIIKAEDEEESDGEHSSLVESYPCGQSSSNGKCHVAALPCSHFVEVPHINQLDSWDCGLACLVMVFRTVGIDSCDIQTLAELCCTTSIWTVDLAYLLQKFSISFSYYTVTFGANPNYSGETFYKEQLPNDLARVDTLFQKAREAGVSIQCRSISREEICFLILCGKYIAIVLVDQYKLSRSCSDDVFVSDFYGSNSGYTGHYVIICGYDSATDEFEIRDPACSRKHERVSSTCLEEARKSFGTDEDLLLISLKRSGKQNSPLIQRSARDNIDCR >ONI24490 pep chromosome:Prunus_persica_NCBIv2:G2:26166697:26171759:1 gene:PRUPE_2G243100 transcript:ONI24490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSYLLFNNIIKAEDEEESDGEHSSLVESYPCGQSSSNGKCHVAALPCSHFVEVPHINQLDSWDCGLACLVMVFRTVGIDSCDIQTLAELCCTTSIWTVDLAYLLQKFSISFSYYTVTFGANPNYSGETFYKEQLPNDLARVDTLFQKAREAGVSIQCRSISREEICFLILCGKYIAIVLVDQYKLSRSCSDDVFVSDFYGSNSGYTGHYVIICGYDSATDEFEIRDPACSRKHERVSSTCLEEARKSFGTDEDLLLISLKRSGKQNSPLIQRSARDNIDCR >ONI22845 pep chromosome:Prunus_persica_NCBIv2:G2:20829278:20829836:-1 gene:PRUPE_2G154500 transcript:ONI22845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAEPEPQPPPPPLADLILSLEQATHMAKQLPTISDPTYLLHIYSSLHQAHHHLSNFLSTPQFPQLQPQNSLSSATGNEPMQVGDGEDDDFDDGVGEGNSRDATIDMVEEQMRDCFIKNKRPKRRLSPSAAAVVEDRRLNGDGFVGSVKGFDPHESKLRALELVYQFHG >ONI24154 pep chromosome:Prunus_persica_NCBIv2:G2:25318155:25319014:-1 gene:PRUPE_2G227300 transcript:ONI24154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSATYIHLVHHLIETCLIFHMTKEDCMEALSKHANIKPVITSTVWNELAKENKEFFEAYAQSTNKQDAMSEEETSQLIRSTIQESSKHSDDN >ONI24155 pep chromosome:Prunus_persica_NCBIv2:G2:25318215:25318637:-1 gene:PRUPE_2G227300 transcript:ONI24155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEDCMEALSKHANIKPVITSTVWNELAKENKEFFEAYAQSTNKQDAMSEEETSQLIRSTIQESSKHSDDN >ONI24598 pep chromosome:Prunus_persica_NCBIv2:G2:26455175:26459911:1 gene:PRUPE_2G249100 transcript:ONI24598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLFSFLVAISVLSSFIFVFLRNSRCRRLRLPPGNLGLPLIGETLQLISAYKTENPEPFIDERVNRFGPIFTTHVFGEPTVFSSDPETNRFILQNEGKLFECSYPSSISNLLGKHSLLLMKGSLHKRMHSLTMSFANSSIIRDHLLDDIDRLIRLNMDSWTDRVFLMEEAKKITFELAVKQLMSFDPGEWTEGLRKEYVLLIEGFFSVPFPLFSTTYRRAIKARTKVAEALRLIVRQRREESEAGERKEDMLGALLGGDNAFSDEEIVDFLLALLVAGYETTSTIMTLAVKFLTETPLAQAQLKEEHDQIRAKKKSSETLEWSDYKSMPFTQCVVNETLRVANIISGVFRRAMTDVHIKGYTIPKGWKVFASFRAVHLDQDHFKDARTFNPWRWQNNSGASTSPANVFTPFGGGPRLCPGYELARVELSVFLHHLITRFSWVPAEDDKLVFFPTTRTQKRYPINVQRRNYGSGPPCKQ >ONI24597 pep chromosome:Prunus_persica_NCBIv2:G2:26455766:26459548:1 gene:PRUPE_2G249100 transcript:ONI24597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLFSFLVAISVLSSFIFVFLRNSRCRRLRLPPGNLGLPLIGETLQLISAYKTENPEPFIDERVNRFGPIFTTHVFGEPTVFSSDPETNRFILQNEGKLFECSYPSSISNLLGKHSLLLMKGSLHKRMHSLTMSFANSSIIRDHLLDDIDRLIRLNMDSWTDRVFLMEEAKKITFELAVKQLMSFDPGEWTEGLRKEYVLLIEGFFSVPFPLFSTTYRRAIKARTKVAEALRLIVRQRREESEAGERKEDMLGALLGGDNAFSDEEIVDFLLALLVAGYETTSTIMTLAVKFLTETPLAQAQLKEEHDQIRAKKKSSETLEWSDYKSMPFTQCVVNETLRVANIISGVFRRAMTDVHIKGYTIPKGWKVFASFRAVHLDQDHFKDARTFNPWRWQVLNNSGASTSPANVFTPFGGGPRLCPGYELARVELSVFLHHLITRFSWVPAEDDKLVFFPTTRTQKRYPINVQRRNYGSGPPCKQ >ONI20765 pep chromosome:Prunus_persica_NCBIv2:G2:3519921:3525389:1 gene:PRUPE_2G032500 transcript:ONI20765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPFFDDVRTKSEVIDPPQSEDMMDVGEGVNDATLIPLKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPKVHNRCPTCRHDLGNIRCLALEQVAASLELPCKYESFGCMGIYPYYSKLKHESQCLHRPYNCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYVAFLRFMGDDNEAKNYSYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >ONI20768 pep chromosome:Prunus_persica_NCBIv2:G2:3519366:3525383:1 gene:PRUPE_2G032500 transcript:ONI20768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPFFDDVRTKSEVIDPPQSEDMMDVGEGVNDATLIPLKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPKVHNRCPTCRHDLGNIRCLALEQVAASLELPCKYESFGCMGIYPYYSKLKHESQCLHRPYNCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYVAFLRFMGDDNEAKNYSYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >ONI20766 pep chromosome:Prunus_persica_NCBIv2:G2:3519366:3525389:1 gene:PRUPE_2G032500 transcript:ONI20766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPFFDDVRTKSEVIDPPQSEDMMDVGEGVNDATLIPLKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPKVHNRCPTCRHDLGNIRCLALEQVAASLELPCKYESFGCMGIYPYYSKLKHESQCLHRPYNCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYVAFLRFMGDDNEAKNYSYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >ONI20764 pep chromosome:Prunus_persica_NCBIv2:G2:3518783:3525383:1 gene:PRUPE_2G032500 transcript:ONI20764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPFFDDVRTKSEVIDPPQSEDMMDVGEGVNDATLIPLKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPKVHNRCPTCRHDLGNIRCLALEQVAASLELPCKYESFGCMGIYPYYSKLKHESQCLHRPYNCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYVAFLRFMGDDNEAKNYSYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >ONI20767 pep chromosome:Prunus_persica_NCBIv2:G2:3519902:3525383:1 gene:PRUPE_2G032500 transcript:ONI20767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSPFFDDVRTKSEVIDPPQSEDMMDVGEGVNDATLIPLKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPKVHNRCPTCRHDLGNIRCLALEQVAASLELPCKYESFGCMGIYPYYSKLKHESQCLHRPYNCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYVAFLRFMGDDNEAKNYSYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >ONI20698 pep chromosome:Prunus_persica_NCBIv2:G2:3120132:3121205:1 gene:PRUPE_2G029600 transcript:ONI20698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENQATLHLINQKKRVKYKHHFSVQVMSHANLHRIGDLPENDLQANFVWSSGIQHLASSLTCCVST >ONI25299 pep chromosome:Prunus_persica_NCBIv2:G2:28621161:28623534:1 gene:PRUPE_2G294500 transcript:ONI25299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCISLFGPRVIQKISCYFVVARKLSDGCFGGNKGDNGHEFIEEPLKRIWRSSDFDSVLDEKQNVPEYENRPREYFSLRRSFFENAKIHTRRVLEVLQQDGPGFDTKAALDELHIEVSGLLVREVLFKILKQVNYASKMRCAKLGYKFFVWSGQLENYRHTANTYHLMMKIFADCEEFKAMWRLVDEMIEKGYPTTAQTFNILICTCGEAGLAKKVVERFIKSKTFNYRPFKHSYNAILHSLVVVKQYKLIEWVYQQMLADGHCTDILTYNVMMYAKYRLGKLDQFHRLLEEMGRSGFAPDLHTYNILLHVLGKGDKPLAALNLLNHMKEVGLDPSVLHFTTLIDGLSRSGNLDACKYFFDEMIKHECFPDVVCYTVMISGYIVAGELEKAQGVFDEMIPNGQLPNVFTYNAMIRGLCMAGKFEEACSMLKDMESRGCNPNFTVYSTLVSYLRNAGKLAKAHEVITHMVEKGQYTHLLSKFKGYRRC >ONI23393 pep chromosome:Prunus_persica_NCBIv2:G2:22758645:22761655:1 gene:PRUPE_2G186600 transcript:ONI23393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLFWDVQSTQEIQSSSQVIHDSQGEVKNQTTEAPATDSASVSTSGNDSKKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHHYHLSKYNPMSPKVPLAPIQNGIHPMPVNNLPMGYPVLQQPPMPAAGQPHLDSMGCGISTVIMMMDCSGADVVPVIPPNSAMSSMSEIPVSPTSVASSGHFPFSASDISGIGVDTSTLDTTFTSDVASSVGLQLAPDGGAGNSRDSLRSLDQIQWNFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSPEQEGIVEEFFVDSVPGPPCSQSDEEKP >ONI20652 pep chromosome:Prunus_persica_NCBIv2:G2:2865840:2873538:-1 gene:PRUPE_2G027600 transcript:ONI20652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHHHHHHHLHAPLRSTPQSSSSSSSQTFTSKLLLLLTLLPLSLAALAFILQWRGGIPDPTTRWSPPGSHHLFPGMDASPLSSAVVHSTPSDCLSLGRSASPSFPYYQNWKFDSVSNLRPKICITTSTSAGLDQILPWMFYHKVIGATTFFLFVEGKAASPEVAKILESIPGVKVIYRTKQLEEQQANSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGIDWIIHLDTDELLHPAGAKEYSLRQLLLDVPRNVDMVIFPNYESSVERDDIKEPFTEVSMFKRNYDHVPKDTYFGMYKESTRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEVKFEEAAVLHYTYARFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRSAFIVASTATKDEMLKWYHEHIVWDDKDIKLKLLRKGILTRIYAPMAIIQGLRESGVFSSVIASAPTALSKEKFLLTIDSSNSSRATASQSLPSRKIGRIGESKATIRKALEVDAAEFEEAAVPPLSPPGMDDNHLSVRV >ONI21472 pep chromosome:Prunus_persica_NCBIv2:G2:9754069:9754827:-1 gene:PRUPE_2G068400 transcript:ONI21472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPSPSPSSSSSSFTHDSSRTYDVFLSYRGEDTRTNFTDHLHEALVRKGIRTFIDRELVRGEEISPALVKAIVESRISIIVFSENYASSRWCLDELVKILQCKESKQQIVLPFFYKVDPSDVRHQRSSYGDAFVHHERKFKDDKEKVLKWRRALPEAANLSGWHFKEGDCRALCLI >ONI23900 pep chromosome:Prunus_persica_NCBIv2:G2:24599486:24602716:-1 gene:PRUPE_2G215000 transcript:ONI23900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRPNPTADNSSVAQPQRQRQTPATTQKKPPASPIPVDTTSVSQRLQKELMSLMMSGGDLGVSAFPEGESIFTWLGTIEGGKGTMYEGLSYKLSLRFPLDYPFKPPQVKFETMCFHPNVDQFGNICLDILQDKWSSAYDCRTILLSIQSLLGEPNPESPLNSYAAALWNNKEDYRKMVHKQYFAGESLES >ONI22009 pep chromosome:Prunus_persica_NCBIv2:G2:15750050:15755039:-1 gene:PRUPE_2G101700 transcript:ONI22009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHRTLKSKSGPSSSIIFPAFCIAAIVLLFFFASLISTNPVSSPEGLESVTKSENNDQNDQNGHEKFLYWGNRIDCPGRHCESCEGLGHQESSLRCALEEAMFLKRTLVMPSRMCINPIHNKKGILRRSNTANSEETWAAKSCSMDSLYDMDLISDTVPVILDNSKLWYQVLTTSMKLGARGVAHVERVSHVDLKENSRFSNLLLINRTASPLSWFMECKDRNDRSAILLPYSFLPSMAAKNLRNVADKIKALLGDYDAIHVRRGDKIKTRKDRFGVDRTLHPHLDRDTRPEFILHRIEKWVPPGRTLFIASNERTPGFFSPLTVRYKLAYSSNFSHILDPMIGNNYQLFMVERLIMMGAKKFIRTFKEDDTYFSLTDDPKKNSKIWKMPVSTMDEDGKQTL >ONI22011 pep chromosome:Prunus_persica_NCBIv2:G2:15750410:15755039:-1 gene:PRUPE_2G101700 transcript:ONI22011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHRTLKSKSGPSSSIIFPAFCIAAIVLLFFFASLISTNPVSSPEGLESVTKSENNDQNDQNGHEKFLYWGNRIDCPGRHCESCEGLGHQESSLRCALEEAMFLKRTLVMPSRMCINPIHNKKGILRRSNTANSEETWAAKSCSMDSLYDMDLISDTVPVILDNSKLWYQVLTTSMKLGARGVAHVERVSHVDLKENSRFSNLLLINRTASPLSWFMECKDRNDRSAILLPYSFLPSMAAKNLRNVADKIKALLGDYDAIHVRRGDKIKTRKDRFGVDRTLHPHLDRDTRPEFILHRIEKWVPPGRTLFIASNERTPGFFSPLTVRYKLAYSSNFSHILDPMIGNNYQLFMVERLIMMGAKKFIRTFKEDDTYFSLTDDPKKNSKIWKMPVSTMDEDGKQTL >ONI22013 pep chromosome:Prunus_persica_NCBIv2:G2:15750417:15754032:-1 gene:PRUPE_2G101700 transcript:ONI22013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRMCINPIHNKKGILRRSNTANSEETWAAKSCSMDSLYDMDLISDTVPVILDNSKLWYQVLTTSMKLGARGVAHVERVSHVDLKENSRFSNLLLINRTASPLSWFMECKDRNDRSAILLPYSFLPSMAAKNLRNVADKIKALLGDYDAIHVRRGDKIKTRKDRFGVDRTLHPHLDRDTRPEFILHRIEKWVPPGRTLFIASNERTPGFFSPLTVRYKLAYSSNFSHILDPMIGNNYQLFMVERLIMMGAKKFIRTFKEDDTYFSLTDDPKKNSKIWKMPVSTMDEDGKQTL >ONI22012 pep chromosome:Prunus_persica_NCBIv2:G2:15750482:15755674:-1 gene:PRUPE_2G101700 transcript:ONI22012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHRTLKSKSGPSSSIIFPAFCIAAIVLLFFFASLISTNPVSSPEGLESVTKSENNDQNDQNGHEKFLYWGNRIDCPGRHCESCEGLGHQESSLRCALEEAMFLKRTLVMPSRMCINPIHNKKGILRRSNTANSEETWAAKSCSMDSLYDMDLISDTVPVILDNSKLWYQVLTTSMKLGARGVAHVERVSHVDLKENSRFSNLLLINRTASPLSWFMECKDRNDRSAILLPYSFLPSMAAKNLRNVADKIKALLGDYDAIHVRRGDKIKTRKDRFGVDRTLHPHLDRDTRPEFILHRIEKWVPPGRTLFIASNERTPGFFSPLTVRYKLAYSSNFSHILDPMIGNNYQLFMVERLIMMGAKKFIRTFKEDDTYFSLTDDPKKNSKIWKMPVSTMDEDGKQTL >ONI22008 pep chromosome:Prunus_persica_NCBIv2:G2:15750444:15755076:-1 gene:PRUPE_2G101700 transcript:ONI22008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHRTLKSKSGPSSSIIFPAFCIAAIVLLFFFASLISTNPVSSPEGLESVTKSENNDQNDQNGHEKFLYWGNRIDCPGRHCESCEGLGHQESSLRCALEEAMFLKRTLVMPSRMCINPIHNKKGILRRSNTANSEETWAAKSCSMDSLYDMDLISDTVPVILDNSKLWYQVLTTSMKLGARGVAHVERVSHVDLKENSRFSNLLLINRTASPLSWFMECKDRNDRSAILLPYSFLPSMAAKNLRNVADKIKALLGDYDAIHVRRGDKIKTRKDRFGVDRTLHPHLDRDTRPEFILHRIEKWVPPGRTLFIASNERTPGFFSPLTVRYKLAYSSNFSHILDPMIGNNYQLFMVERLIMMGAKKFIRTFKEDDTYFSLTDDPKKNSKIWKMPVSTMDEDGKQTL >ONI22007 pep chromosome:Prunus_persica_NCBIv2:G2:15750417:15755079:-1 gene:PRUPE_2G101700 transcript:ONI22007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHRTLKSKSGPSSSIIFPAFCIAAIVLLFFFASLISTNPVSSPEGLESVTKSENNDQNDQNGHEKFLYWGNRIDCPGRHCESCEGLGHQESSLRCALEEAMFLKRTLVMPSRMCINPIHNKKGILRRSNTANSEETWAAKSCSMDSLYDMDLISDTVPVILDNSKLWYQVLTTSMKLGARGVAHVERVSHVDLKENSRFSNLLLINRTASPLSWFMECKDRNDRSAILLPYSFLPSMAAKNLRNVADKIKALLGDYDAIHVRRGDKIKTRKDRFGVDRTLHPHLDRDTRPEFILHRIEKWVPPGRTLFIASNERTPGFFSPLTVRYKLAYSSNFSHILDPMIGNNYQLFMVERLIMMGAKKFIRTFKEDDTYFSLTDDPKKNSKIWKMPVSTMDEDGKQTL >ONI22006 pep chromosome:Prunus_persica_NCBIv2:G2:15750417:15755070:-1 gene:PRUPE_2G101700 transcript:ONI22006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHRTLKSKSGPSSSIIFPAFCIAAIVLLFFFASLISTNPVSSPEGLESVTKSENNDQNDQNGHEKFLYWGNRIDCPGRHCESCEGLGHQESSLRCALEEAMFLKRTLVMPSRMCINPIHNKKGILRRSNTANSEETWAAKSCSMDSLYDMDLISDTVPVILDNSKLWYQVLTTSMKLGARGVAHVERVSHVDLKENSRFSNLLLINRTASPLSWFMECKDRNDRSAILLPYSFLPSMAAKNLRNVADKIKALLGDYDAIHVRRGDKIKTRKDRFGVDRTLHPHLDRDTRPEFILHRIEKWVPPGRTLFIASNERTPGFFSPLTVRYKLAYSSNFSHILDPMIGNNYQLFMVERLIMMGAKKFIRTFKEDDTYFSLTDDPKKNSKIWKMPVSTMDEDGKQTL >ONI22010 pep chromosome:Prunus_persica_NCBIv2:G2:15750183:15755039:-1 gene:PRUPE_2G101700 transcript:ONI22010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHRTLKSKSGPSSSIIFPAFCIAAIVLLFFFASLISTNPVSSPEGLESVTKSENNDQNDQNGHEKFLYWGNRIDCPGRHCESCEGLGHQESSLRCALEEAMFLKRTLVMPSRMCINPIHNKKGILRRSNTANSEETWAAKSCSMDSLYDMDLISDTVPVILDNSKLWYQVLTTSMKLGARGVAHVERVSHVDLKENSRFSNLLLINRTASPLSWFMECKDRNDRSAILLPYSFLPSMAAKNLRNVADKIKALLGDYDAIHVRRGDKIKTRKDRFGVDRTLHPHLDRDTRPEFILHRIEKWVPPGRTLFIASNERTPGFFSPLTVRYKLAYSSNFSHILDPMIGNNYQLFMVERLIMMGAKKFIRTFKEDDTYFSLTDDPKKNSKIWKMPVSTMDEDGKQTL >ONI23713 pep chromosome:Prunus_persica_NCBIv2:G2:23933107:23935974:-1 gene:PRUPE_2G203700 transcript:ONI23713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKEVKIPFLIVVVVVAALLPIWVLAAAKQHHQGGEKKKLRFGSDGQFKILQVADMHYGNGKTTTCLDVFPSQFPTCSDLNTTAFVHRMIQAEKPNLIVFTGDNIYGFDAADAAKSLNEAFAPAISSNIPWAAVMGNHDQESDLSREGVMKHIVGLQNTLAQVNPLDQDVIDGFGNYNLEVSGVEGSGFENKSVLNLYFLDSGDYSTVPSIGGYGWIKPSQQYWFERTSAKLRKAYTSKPQAQKAPAPGLTFFHIPLPEFASFDSSNFTGVRQEGISSASVNSGFFTTMVAAGDVKAAFIGHDHLNDFCGELSGINLCYAGGFGYHAYGKAGWDRRSRVVVASLEKSEKGGWGAVKSIKTWKRLDDQHLTAIDGQVLWSKSSAGMRRKKPVGGT >ONI25894 pep chromosome:Prunus_persica_NCBIv2:G2:30057894:30059300:-1 gene:PRUPE_2G325100 transcript:ONI25894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKRDAIKNGRRLMKSLTERNPKQPLCWIASARLEEDAGEMEAARQLIQKGCEECPNSVDVWLEACRIANARSSPNSNEAGKAVIARGLNLIPNSVKLWMQAANLEHDDSNKSRVLSRGLQHIPDSVLLRKAVVELATAEKSNEEEEEEEENANAKQSMNLSWEPERARMILAEARERGGGTERVWMKSAIVERGLGNVEEERKLLDEGLKKFPSFFKLWLMLGQLEERLDRLEKAKEAYDLGLKHCPNSIPLWLSLVNLEENLNGVTSARAVLTLARNKNPHNPQLWLAATRTELRHGNNKEADILMVRALHECPNSGILWAAYIESMVPLPPSQWMAKIMDALRKCDYDPHVIAAVAKLFWHHSEVKRARTWLDRAVTIAPDIGDFWALYFKFELQHGTDENQKDVLRRCIASQPKYGEKWQPISKALHNSHQPTEAILHQVVAVLGKEESSQQARQAPQTDRL >ONI25892 pep chromosome:Prunus_persica_NCBIv2:G2:30054967:30059554:-1 gene:PRUPE_2G325100 transcript:ONI25892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKRDAIKNGRRLMKSLTERNPKQPLCWIASARLEEDAGEMEAARQLIQKGCEECPNSVDVWLEACRIANARSSPNSNEAGKAVIARGLNLIPNSVKLWMQAANLEHDDSNKSRVLSRGLQHIPDSVLLRKAVVELATAEKSNEEEEEEEENANAKQSMNLSWEPERARMILAEARERGGGTERVWMKSAIVERGLGNVEEERKLLDEGLKKFPSFFKLWLMLGQLEERLDRLEKAKEAYDLGLKHCPNSIPLWLSLVNLEENLNGVTSARAVLTLARNKNPHNPQLWLAATRTELRHGNNKEADILMVRALHECPNSGILWAAYIESMVPLPPSQWMAKIMDALRKCDYDPHVIAAVAKLFWHHSEVKRARTWLDRAVTIAPDIGDFWALYFKFELQHGTDENQKDVLRRCIASQPKYGEKWQPISKALHNSHQPTEAILHQVVAVLGKEESSQQARQAPQTDRL >ONI25893 pep chromosome:Prunus_persica_NCBIv2:G2:30057894:30059300:-1 gene:PRUPE_2G325100 transcript:ONI25893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKRDAIKNGRRLMKSLTERNPKQPLCWIASARLEEDAGEMEAARQLIQKGCEECPNSVDVWLEACRIANARSSPNSNEAGKAVIARGLNLIPNSVKLWMQAANLEHDDSNKSRVLSRGLQHIPDSVLLRKAVVELATAEKSNEEEEEEEENANAKQSMNLSWEPERARMILAEARERGGGTERVWMKSAIVERGLGNVEEERKLLDEGLKKFPSFFKLWLMLGQLEERLDRLEKAKEAYDLGLKHCPNSIPLWLSLVNLEENLNGVTSARAVLTLARNKNPHNPQLWLAATRTELRHGNNKEADILMVRALHECPNSGILWAAYIESMVPLPPSQWMAKIMDALRKCDYDPHVIAAVAKLFWHHSEVKRARTWLDRAVTIAPDIGDFWALYFKFELQHGTDENQKDVLRRCIASQPKYGEKWQPISKALHNSHQPTEAILHQVVAVLGKEESSQQARQAPQTDRL >ONI25891 pep chromosome:Prunus_persica_NCBIv2:G2:30054968:30059554:-1 gene:PRUPE_2G325100 transcript:ONI25891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKRDAIKNGRRLMKSLTERNPKQPLCWIASARLEEDAGEMEAARQLIQKGCEECPNSVDVWLEACRIANARSSPNSNEAGKAVIARGLNLIPNSVKLWMQAANLEHDDSNKSRVLSRGLQHIPDSVLLRKAVVELATAEKSNEEEEEEEENANAKQSMNLSWEPERARMILAEARERGGGTERVWMKSAIVERGLGNVEEERKLLDEGLKKFPSFFKLWLMLGQLEERLDRLEKAKEAYDLGLKHCPNSIPLWLSLVNLEENLNGVTSARAVLTLARNKNPHNPQLWLAATRTELRHGNNKEADILMVRALHECPNSGILWAAYIESMVPLPPSQWMAKIMDALRKCDYDPHVIAAVAKLFWHHSEVKRARTWLDRAVTIAPDIGDFWALYFKFELQHGTDENQKDVLRRCIASQPKYGEKWQPISKALHNSHQPTEAILHQVVAVLGKEESSQQARQAPQTDRL >ONI20305 pep chromosome:Prunus_persica_NCBIv2:G2:740772:741951:1 gene:PRUPE_2G008100 transcript:ONI20305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLITMTLPVLVVMLVLTGLVDQGEGTMCASTFFSSIVQLIPCRAAVVPFSPIPPSETCCNALKALGQPCLCVLVNGPPISGVDRTMAVQLPEKCTVNFEPCEIMN >ONI23138 pep chromosome:Prunus_persica_NCBIv2:G2:21852027:21855594:1 gene:PRUPE_2G171500 transcript:ONI23138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHGMCLKLLLAFALLLLQCVDGGEVSRKHRDANVTGRCIEKERQALLAFKRGLVDKFDVLSSWGSEAQKQDCCRWIGVSCSNQTGHVLQLDLSYKVVGAHSWNYSLLEGKMISPKLIELQYLHHLDLHEINFNGSHIPDFIGSLSNLRYLDLSYTNFGGKFPSQVGNLTNLQHLDLRFNDFINAENLDWLPLLSSLRYLDLSGTNLNNVFDWPEAINKLPELTNLTLWECDLPSPILSPLSYINSSKSLASVDLLGNHLSTSSIFLWLSNYSTSLNLVGSVPDVLGNMSSLAHLKLLNNQLEGGDPHSFSRLCSLQILDLATNRLNVLDFSWNDLAGSLPDLTNLSSLEILLLYNNQLSRGIPESIGRMSKLKIIDFHMKFFGVISETHFSTLSKLQYLDLSSQNSSNSLVLDIHADWIPPFQLYSINLGSCKMGLHFPKWLQTQKQIKYLDISDAGISDILPSWFWSLCRNVEFMNLTGNQIRGSFANLTLEFSYSPELHLSSNKLEGPIPSVLSTASYLDLSHNKLSGSISFLCSSAAIGLSFLDLSRNNVSREVPDCLTHLENLVMLDLSYNALSGKIPTTIGSVFRIETLKLRSNRFVGQLPLSLKNCTSLVLVDVGDNKLSRPIPKWLGVSLRNLVILMLSSNHFNGSLPAQICHLTDIQILDFSMNNISGSIPKCLNNLTTLVQKGKSSLEITHFLEHSNGTTTYEDDATFIWKGRMYSYKNTLGLVKRIDLSSNILTGEIPSEITHLVGLVSLNLSENQLTGQIIPEIGNLQSLEALDLSRNQIEGRIPTSLARIDRLDFLDLSFNNLSGGIPIGTQLQSFDPSVYAGNPQLCGAPLKKMCAAQHVQTDLSNQEDDKDEIITLGFYISMGVGFAAGFWGRYAYFKFLNGLNDWLYVRVALIKRQLKDMLNR >ONI23139 pep chromosome:Prunus_persica_NCBIv2:G2:21852980:21855019:1 gene:PRUPE_2G171500 transcript:ONI23139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLAHLKLLNNQLEGGDPHSFSRLCSLQILDLATNRLNVSGQLAKFVQILFSTCAQNSLEILDLSWNGIAGSLPDLTKLSSLAALLLNNNKFSGVISGTHFSKLSKLQVLDFSWNDLAGSLPDLTNLSSLEILLLYNNQLSRGIPESIGRMSKLKIIDFHMKFFGVISETHFSTLSKLQYLDLSSQNSSNSLVLDIHADWIPPFQLYSINLGSCKMGLHFPKWLQTQKQIKYLDISDAGISDILPSWFWSLCRNVEFMNLTGNQIRGSFANLTLEFSYSPELHLSSNKLEGPIPSVLSTASYLDLSHNKLSGSISFLCSSAAIGLSFLDLSRNNVSREVPDCLTHLENLVMLDLSYNALSGKIPTTIGSVFRIETLKLRSNRFVGQLPLSLKNCTSLVLVDVGDNKLSRPIPKWLGVSLRNLVILMLSSNHFNGSLPAQICHLTDIQILDFSMNNISGSIPKCLNNLTTLVQKGKSSLEITHFLEHSNGTTTYEDDATFIWKGRMYSYKNTLGLVKRIDLSSNILTGEIPSEITHLVGLVSLNLSENQLTGQIIPEIGNLQSLEALDLSRNQIEGRIPTSLARIDRLDFLDLSFNNLSGGIPIGTQLQSFDPSVYAGNPQLCGAPLKKMCAAQHVQTDLSNQEDDKDEIITLGFYISMGVGFAAGFWGVCCTLIFKRS >ONI25220 pep chromosome:Prunus_persica_NCBIv2:G2:28408223:28409795:1 gene:PRUPE_2G289700 transcript:ONI25220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWLSSAEAEPNFRAGIMYANASMDGEAGQQLRSNMFSLTGTGFFGAVGRSINLGGQTALALRVLLAVFSSKISSDTNRPFGDEFRAARKVSEEVGAQIVLGDRPIEITLERAWNSLNWTEKLSLVASVVRGITSPSDMSQKNLKESSASDDGTFQLYEQLSSSYPSLLQPLIHERDTYLAWSLKRSKAVNKSKRVVGVIGKGHMNGVIYALLADLGDLRFRDLVGQRPSSQGTDASSNGKWVVKLVQDLVRDTVIGTLLWALYEQIKGGL >ONI25219 pep chromosome:Prunus_persica_NCBIv2:G2:28407319:28410008:1 gene:PRUPE_2G289700 transcript:ONI25219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIRSTFPIFQTNPVFLTPKPLKPFKVSIKPPPPDFNFRSEISDHSKATIAKTHPELLDLAENGTLFFIDKSRFGPVPAWRTEFVEPEAIWLVGTTHISQDSALEVERVVRAVKPDNVVVELCRSRAGIMYANASMDGEAGQQLRSNMFSLTGTGFFGAVGRSINLGGQTALALRVLLAVFSSKISSDTNRPFGDEFRAARKVSEEVGAQIVLGDRPIEITLERAWNSLNWTEKLSLVASVVRGITSPSDMSQKNLKESSASDDGTFQLYEQLSSSYPSLLQPLIHERDTYLAWSLKRSKAVNKSKRVVGVIGKGHMNGVIYALLADLGDLRFRDLVGQRPSSQGTDASSNGKWVVKLVQDLVRDTVIGTLLWALYEQIKGGL >ONI25218 pep chromosome:Prunus_persica_NCBIv2:G2:28407868:28409795:1 gene:PRUPE_2G289700 transcript:ONI25218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIRSTFPIFQTNPVFLTPKPLKPFKVSIKPPPPDFNFRSEISDHSKATIAKTHPELLDLAENGTLFFIDKSRFGPVPAWRTEFVEPEAIWLVGTTHISQDSALEVERVVRAVKPDNVVVELCRSRQPNFRAGIMYANASMDGEAGQQLRSNMFSLTGTGFFGAVGRSINLGGQTALALRVLLAVFSSKISSDTNRPFGDEFRAARKVSEEVGAQIVLGDRPIEITLERAWNSLNWTEKLSLVASVVRGITSPSDMSQKNLKESSASDDGTFQLYEQLSSSYPSLLQPLIHERDTYLAWSLKRSKAVNKSKRVVGVIGKGHMNGVIYALLADLGDLRFRDLVGQRPSSQGTDASSNGKWVVKLVQDLVRDTVIGTLLWALYEQIKGGL >ONI23866 pep chromosome:Prunus_persica_NCBIv2:G2:24477395:24480842:1 gene:PRUPE_2G213000 transcript:ONI23866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVMDSVRDPMNNNSKNWLDSKFWHACAGGMVQMPHINSKVFYFPQGHAECAHGKVDFGNCRIPPLILSRISAITYMADHETDEVYAKMRLIPIRENAFDLEDEFVENNGTVENPEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTILAKDVHGEIWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFFRAESGDLCVGIRRAKRGIGGGPEYPSGWNPAAENSGSHYPGYSGFPRENGNHLMERNSSGEWRGKIRAEYVIEAATLAASGQPFEVVYYPRASTPEFCVKATSVRAAMQIQWCSGMRFKMPFETEDSSRISWFMGTISYVRVADPSRWPESPWRLLQVSWDEPDLLQNVKRVSPWLVELVSSIPAIHLSPFSPPRKKLRLQHNPDYSLFSQFPMPSVCSNFLNSSNPLCSVSDKISAGIQGARQAQFELSSSDVFFNKLHSANSYRATDV >ONI23865 pep chromosome:Prunus_persica_NCBIv2:G2:24477395:24480842:1 gene:PRUPE_2G213000 transcript:ONI23865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVMDSVRDPMNNNSKNWLDSKFWHACAGGMVQMPHINSKVFYFPQGHAECAHGKVDFGNCRIPPLILSRISAITYMADHETDEVYAKMRLIPIRENAFDLEDEFVENNGTVENPEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTILAKDVHGEIWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFFRAESGDLCVGIRRAKRGIGGGPEYPSGWNPAAENSGSHYPGYSGFPRENGNHLMERNSSGEWRGKIRAEYVIEAATLAASGQPFEVVYYPRASTPEFCVKATSVRAAMQIQWCSGMRFKMPFETEDSSRISWFMGTISYVRVADPSRWPESPWRLLQVSWDEPDLLQNVKRVSPWLVELVSSIPAIHLSPFSPPRKKLRLQHNPDYSLFSQFPMPSVCSNFLNSSNPLCSVSDKISAGIQGARQAQFELSSSDVFFNKLHSGMFPVGFQKLGHFAPSGIPEGNFMHVAESNENISSCLTKGIPSQSLKGNGEIKTPHIFLFGQLILTEQQMSKSSSGNCSDGSGSALHRNGSVENSSDEGSPWNRDHQKSNVSLETSYCKVFIESGDVGTLDLSVLGSYKELYGKLADMFGLKNSEMLRNVLYRDVEGAIKHTEDEPFSEFLKTARRLYMG >ONI20752 pep chromosome:Prunus_persica_NCBIv2:G2:3447431:3450444:-1 gene:PRUPE_2G031900 transcript:ONI20752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVAPDHFSPNEDAEALHKACKGWGTDEKAVISILSHRNAAQRYEIRLAYEGLYHEDLIKRLESELSGDFEKAVYRWILDPTDRYAVLAHVAIKKPETDYNVIVEISSTLSPEELLAVRRAYQLRYKHSLEEDLAAHTTGDIRKLLVALVTTYRYDGGEINAKVAKSEADILHDAIKDKAFNHEEVIRILSTRSKTQLMATFNRYRDDHGISISKNLLDDGADDFQKALHVVIRCLNDPKKYFEKVLRQAIKRAGTDEDALTRVIVTRAERDLKDIKELYYKKNSVHLEHAVAKETSGDYKAFLVTLLGQQD >ONI20753 pep chromosome:Prunus_persica_NCBIv2:G2:3447432:3450068:-1 gene:PRUPE_2G031900 transcript:ONI20753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIASENFSPIEDAEVLRRSVKGWGTDEKAVISILSHRNAAQRYEIRLAYEGLYHEDLIKRLESELSGDFEKAVYRWILDPTDRYAVLAHVAIKKPETDYNVIVEISSTLSPEELLAVRRAYQLRYKHSLEEDLAAHTTGDIRKLLVALVTTYRYDGGEINAKVAKSEADILHDAIKDKAFNHEEVIRILSTRSKTQLMATFNRYRDDHGISISKNLLDDGADDFQKALHVVIRCLNDPKKYFEKVLRQAIKRAGTDEDALTRVIVTRAERDLKDIKELYYKKNSVHLEHAVAKETSGDYKAFLVTLLGQQD >ONI23017 pep chromosome:Prunus_persica_NCBIv2:G2:21412032:21413605:-1 gene:PRUPE_2G164400 transcript:ONI23017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATMEEELKKAEPEPALLAEPPLASAPNDLAEEKAVVPPPREVDVKGVDDTKALAVVEKAPETEVKKPSGGSIDRDVALSHLEKEKSLSFIRAWEESEKAKAENKAQKKLSDITAWENSRKAAAEAKLRRMEEKLEKKKTQYAEKMKNQVALLHKQADEKRALTIANRGEEFLKADETAAKYRATGSIPKKFLGCF >ONI20859 pep chromosome:Prunus_persica_NCBIv2:G2:4019555:4025856:-1 gene:PRUPE_2G037500 transcript:ONI20859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEFRFILKACKATVRKTHTGGKKRANPLFTTMSVAPADDEPGEIGHAEKVLSNGDFYTGQWVDNYAHGQGKYLWTDGCMYVGEWQKGKTAGKGKFSWPSGATYEGEFKNGYMDGKGTYTGAAGDTYRGSWVMNVRYGQGTKSFVNGDYYEGEWRRGLQDGQGRYQWKNGNHYIGQLRHGMIHGNGTMIWSNGNRYDGSWEEGLPKGNGTFRWADGSFYVGVWSKDSKEQNGTYYPAGSSGGNVDWDPQEVFAVDLNDCKICSVETISTFPSQKMLNWPGMEGEFPNKQVIYKNGNEGNARPRRPTDARVSNYSRGSNGDNMFDGIDRSSRDGSGAFGNLEFEGSVAKGNRQQHFKVQPMKKQGITISKGHKNYELMLNLQLGIRHSVGRPAPTTSLDLKTSAFDPREKVWTKFPPEGSKYTPPHQSCDFKWKDYCPVVFRTLRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLPAYYNHVRSFENTLVTKFYGLHCVKLTGTAQKKVRFVIMGNLFCSEYGIHRRFDLKGSSLGRTTDKPESEIDATTTLKDLDLNYIFRLQKVWFQEFCRQVDRDCDFLEHERIMDYSLLVGLHFQEASCREPPTPDRTSGVRTPTGNADPDNEGAPRLSKVDMDRLISDSNRWSSIRLGINMPAWAERTVRRSDCEAQLVGDPTGELYDVILFFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFRAFIFRVFVEDT >ONI20860 pep chromosome:Prunus_persica_NCBIv2:G2:4019849:4025789:-1 gene:PRUPE_2G037500 transcript:ONI20860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEFRFILKACKATVRKTHTGGKKRANPLFTTMSVAPADDEPGEIGHAEKVLSNGDFYTGQWVDNYAHGQGKYLWTDGCMYVGEWQKGKTAGKGKFSWPSGATYEGEFKNGYMDGKGTYTGAAGDTYRGSWVMNVRYGQGTKSFVNGDYYEGEWRRGLQDGQGRYQWKNGNHYIGQLRHGMIHGNGTMIWSNGNRYDGSWEEGLPKGNGTFRWADGSFYVGVWSKDSKEQNGTYYPAGSSGGNVDWDPQEVFAVDLNDCKICSVETISTFPSQKMLNWPGMEGEFPNKQVIYKNGNEGNARPRRPTDARVSNYSRGSNGDNMFDGIDRSSRDGSGAFGNLEFEGSVAKGNRQQHFKVQPMKKQGITISKGHKNYELMLNLQLGIRHSVGRPAPTTSLDLKTSAFDPREKVWTKFPPEGSKYTPPHQSCDFKWKDYCPVVFRTLRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKPTLLQVLLRMLPAYYNHVRSFENTLVTKFYGLHCVKLTGTAQKKVRFVIMGNLFCSEYGIHRRFDLKGSSLGRTTDKPESEIDATTTLKDLDLNYIFRLQKVWFQEFCRQVDRDCDFLEHERIMDYSLLVGLHFQEASCREPPTPDRTSGVRTPTGNADPDNEGAPRLSKVDMDRLISDSNRWSSIRLGINMPAWAERTVRRSDCEAQLVGDPTGELYDVILFFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFRAFIFRVFVEDT >ONI21814 pep chromosome:Prunus_persica_NCBIv2:G2:14330953:14342861:1 gene:PRUPE_2G090700 transcript:ONI21814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEENASRFSEGTRNVIALGTIPLATIEKFNKLVVKNQSTPRQSDKTPSRRLLQVIGKDMRIIVFGFRPKTRQRRAVFNALLKCTKPASLWDLYAFVSGPSKFNNTNPKVRLLNEYLRLLGKGSLRASMNMIEDGSFTFSNDLWRISSINSSYTMCQSYPFALIVPKSITDDEVLQASNFRARGRLPVVTWCHPKTGAVLARSSQPLVGIMMNMRSNTDEKIVAALCAQLTGTRGKRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESFARLRDYLDTHGAASSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDSGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTTQLISLANLLLDPYYRTVTGFQALIEKDWLAFGHPFADRVGMSTVTGSCSIASELPRQSSTGSIQSSPMRQPSASFTSQPPTSHAQNNYSPIFLQWVDCVSQLLRMYPFAFEFSSAFLVDLLDCVLSCRFGNFFCNSEKERQQCGVPEACGCMWAYLADLRASKGSSHVHYNYFYDPLKHDGPILPPAAALAPTLWPQFHLRWACPSEAQAGDVEAQFRKMDIKFSELQKEKEAAEQKAKEITAVMELLSADLQNEKQVSSSAMILAKRASKESEAIKRAIQSLGCNVHFSSSGDCTVDIESSQIEPPLKSSYPSKREADGAVQRDDKSDLSVSITVMADDVVSSNPIDRVCETLCPLRMRDGGCRWPDAGCAQLGSQFVGLKANYEAFDKLSIYDSYFQPK >ONI21813 pep chromosome:Prunus_persica_NCBIv2:G2:14329395:14343388:1 gene:PRUPE_2G090700 transcript:ONI21813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVKPIADFHPQQTATTKPHVSISIFSSSLSLSLSLSLSLSSIILSKVGQTVSFRRMAAPKPRSSSGRSTPLRDSSESEKMEATGSWDALDWTIEPVSRSVSRSVSRVQLECLLEAEQVEVEGYGVVLVNTDEAGTLIVTNFRLLFLSEGTRNVIALGTIPLATIEKFNKLVVKNQSTPRQSDKTPSRRLLQVIGKDMRIIVFGFRPKTRQRRAVFNALLKCTKPASLWDLYAFVSGPSKFNNTNPKVRLLNEYLRLLGKGSLRASMNMIEDGSFTFSNDLWRISSINSSYTMCQSYPFALIVPKSITDDEVLQASNFRARGRLPVVTWCHPKTGAVLARSSQPLVGIMMNMRSNTDEKIVAALCAQLTGTRGKRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESFARLRDYLDTHGAASSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDSGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTTQLISLANLLLDPYYRTVTGFQALIEKDWLAFGHPFADRVGMSTVTGSCSIASELPRQSSTGSIQSSPMRQPSASFTSQPPTSHAQNNYSPIFLQWVDCVSQLLRMYPFAFEFSSAFLVDLLDCVLSCRFGNFFCNSEKERQQCGVPEACGCMWAYLADLRASKGSSHVHYNYFYDPLKHDGPILPPAAALAPTLWPQFHLRWACPSEAQAGDVEAQFRKMDIKFSELQKEKEAAEQKAKEITAVMELLSADLQNEKQVSSSAMILAKRASKESEAIKRAIQSLGCNVHFSSSGDCTVDIESSQIEPPLKSSYPSKREADGAVQRDDKSDLSVSITVMADDVVSSNPIDRVCETLCPLRMRDGGCRWPDAGCAQLGSQFVGLKANYEAFDKLSIYDSYFQPK >ONI22791 pep chromosome:Prunus_persica_NCBIv2:G2:20624773:20626743:-1 gene:PRUPE_2G151400 transcript:ONI22791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRLSRLNPNPFLLILTRHLSNPSKLHDRYSFKPPPSLSPNTQGQNPNHNNPKKKQKPQYRPPSSLDRTGQKPIHSDLPFDFRYSYTESSPTVRPIGLREPKYSPFGPGRIDREWIGVCAPAVDPKVRSVEGAEEDPKLEEKRKRRRQKVQGEPLTPAERKILVDKCQRPKTKRQINLGRDGLTHNMLNDIQNHWKHDEAVRIKCLGVPTVDMKNVCTQLEDKTFGKIIHRHGGVLVLYRGRNYKPKKRPVIPLMLWRPHEPVYPRLIKTTIDGLSIEETKEMRKRGLAVPALTKLGTSFFWLWSL >ONI22789 pep chromosome:Prunus_persica_NCBIv2:G2:20624068:20626743:-1 gene:PRUPE_2G151400 transcript:ONI22789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRLSRLNPNPFLLILTRHLSNPSKLHDRYSFKPPPSLSPNTQGQNPNHNNPKKKQKPQYRPPSSLDRTGQKPIHSDLPFDFRYSYTESSPTVRPIGLREPKYSPFGPGRIDREWIGVCAPAVDPKVRSVEGAEEDPKLEEKRKRRRQKVQGEPLTPAERKILVDKCQRPKTKRQINLGRDGLTHNMLNDIQNHWKHDEAVRIKCLGVPTVDMKNVCTQLEDKTFGKIIHRHGGVLVLYRGRNYKPKKRPVIPLMLWRPHEPVYPRLIKTTIDGLSIEETKEMRKRGLAVPALTKLAKNGYYGSLVSMVRDAFICCELVRIDCQGLEKSDYKKIGCKLRDLVPCILVTFEKEQIVVWRGKDYKPLEDGYFLPDRELFDNPEGDLVSGKEGCGNSDGSSSEKEFDSGEE >ONI22790 pep chromosome:Prunus_persica_NCBIv2:G2:20623480:20626812:-1 gene:PRUPE_2G151400 transcript:ONI22790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRLSRLNPNPFLLILTRHLSNPSKLHDRYSFKPPPSLSPNTQGQNPNHNNPKKKQKPQYRPPSSLDRTGQKPIHSDLPFDFRYSYTESSPTVRPIGLREPKYSPFGPGRIDREWIGVCAPAVDPKVRSVEGAEEDPKLEEKRKRRRQKVQGEPLTPAERKILVDKCQRPKTKRQINLGRDGLTHNMLNDIQNHWKHDEAVRIKCLGVPTVDMKNVCTQLEDKTFGKIIHRHGGVLVLYRGRNYKPKKRPVIPLMLWRPHEPVYPRLIKTTIDGLSIEETKEMRKRGLAVPALTKLGSCALYPGDI >ONI24348 pep chromosome:Prunus_persica_NCBIv2:G2:25749482:25750688:1 gene:PRUPE_2G235500 transcript:ONI24348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRRPLHYQHLLVSDSETESDDLSEHFKAQTSLIKRWGKRIAKEGSKKVVLKIAKHYQEQMKHIPIPKNRRKDAVFVTWWALANSMKQFYGQPLHYMTQVLVKQWDESRIGSVEEEKPMDNIIHPRRAESTIWDVEQVHRQCTSHIHLAKLWLSDPDYYSFVDEVIPSSKLVA >ONI24937 pep chromosome:Prunus_persica_NCBIv2:G2:27443034:27444050:1 gene:PRUPE_2G270100 transcript:ONI24937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANDLPPPREVIDMYKLNQIGRMRLYDPNPEALEALRNSGIEVLVGVRNEDLQQLAGSYSAAENWVATYITPYSLQVQFRYIVVGNEVFPGNSARYVLPAMENLQNALSFGDIKVSTSIATSVLGVSYPPSAGAFSQDTIEYMVPIAQYLNNIGAPLLANVYPYFAYIGDPIDISLPYALFTSETVVVTDGGLSYDNLLDTMVDALYAALEKAGAPQVQVVVSETGWPSNGNGEVTTPENAQIYNSNLISHVLSSRGTPRRPGNSTETYLFAMFNENMKPGAAVEQHWGLFYPNKSQVYPINFPVKTLKHKTWFQKLMQWIIQSAQSAFGKHIYGHN >ONI22761 pep chromosome:Prunus_persica_NCBIv2:G2:20475942:20477826:1 gene:PRUPE_2G149300 transcript:ONI22761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTVTVILIAITATAAAAAAPPATQYTNHTVGGPTGWFFNATTNSSFANYSSWAASQTFNLGDYLIFNTSTNQTVIETYNETTYRGCTPDDDAFEYGGGSNAFGEAQTIAVPLTLEGPAYYFSGAGDGEQCQQGMAFAIQVNKGLGLPPILNQPPPPPYSEPPSASTQSPPVTVAGDQTPGNGGMRSGANMREGLFGLLALLLLLRL >ONI24455 pep chromosome:Prunus_persica_NCBIv2:G2:26068323:26072387:-1 gene:PRUPE_2G241300 transcript:ONI24455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGQGAIDPAVLDDIIRRLTEVRLARPGKQVQLSESEIKQLCVASREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDSFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVAEFLMKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKTKFMMSTKM >ONI24456 pep chromosome:Prunus_persica_NCBIv2:G2:26068413:26072113:-1 gene:PRUPE_2G241300 transcript:ONI24456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGQGAIDPAVLDDIIRRLTEVRLARPGKQVQLSESEIKQLCVASREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDSFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVAEFLMKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKTKFMMSTKM >ONI21242 pep chromosome:Prunus_persica_NCBIv2:G2:6479903:6482326:1 gene:PRUPE_2G054900 transcript:ONI21242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLGHSHGSSTTSLSSRPTNITLTFSPSVASNGIAKEFKEERREWGYKSFMPLCELYDCSAGYLVNDICIVEAKVDIPIKSQDHGPGVSATLKSSIKKEQKWLEASNVNSVQVPDSLVAPKTPCSELVPALQDTPGSGKAFIVNPTNDSPFEPSLGNNVYATLESTKKERKGQESSNMTSVQAADSSPAPKTPSFERKPPFLGPPSSEKVCNELTGELMEFMGLGKIEKAFVPLLEEVCSLHPSLIECLHKRNRKVSECAFTALGELLHFLKTTRVKDMTEDACVRLQSLWEDVEMFRFDLAWLEPHVHSALDKKKFLERARRVKRLREDVDILDSEKKRRSAALAVTEADLEVAKRDLAKEEEGFVETDMDRELGYGMASPYYRILC >ONI21240 pep chromosome:Prunus_persica_NCBIv2:G2:6480038:6482207:1 gene:PRUPE_2G054900 transcript:ONI21240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIWNCCHFRMKNKKEEEDVVSGTFTWVIDNFSKLKTDKHYSDVFTIGGFKWRILIRPKGSHDPESDVVDQLSIYLGVADASTLTPGWARYTHFSFTLVNQLDSSKSKIKQTQGIAKEFKEERREWGYKSFMPLCELYDCSAGYLVNDICIVEAKVDIPIKSQDHGPGVSATLKSSIKKEQKWLEASNVNSVQVPDSLVAPKTPCSELVPALQDTPGSGKAFIVNPTNDSPFEPSLGNNVYATLESTKKERKGQESSNMTSVQAADSSPAPKTPSFERKPPFLGPPSSEKVCNELTGELMEFMGLGKIEKAFVPLLEEVCSLHPSLIECLHKRNRKVSECAFTALGELLHFLKTTRVKDMTEDACVRLQSLWEDVEMFRFDLAWLEPHVHSALDKKKFLERARRVKRLREDVDILDSEKKRRSAALAVTEADLEVAKRDLAKEEEGFVETDMDRELGYGMASPYYRILC >ONI21241 pep chromosome:Prunus_persica_NCBIv2:G2:6479859:6482380:1 gene:PRUPE_2G054900 transcript:ONI21241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKKEEEDVVSGTFTWVIDNFSKLKTDKHYSDVFTIGGFKWRILIRPKGSHDPESDVVDQLSIYLGVADASTLTPGWARYTHFSFTLVNQLDSSKSKIKQTQGIAKEFKEERREWGYKSFMPLCELYDCSAGYLVNDICIVEAKVDIPIKSQDHGPGVSATLKSSIKKEQKWLEASNVNSVQVPDSLVAPKTPCSELVPALQDTPGSGKAFIVNPTNDSPFEPSLGNNVYATLESTKKERKGQESSNMTSVQAADSSPAPKTPSFERKPPFLGPPSSEKVCNELTGELMEFMGLGKIEKAFVPLLEEVCSLHPSLIECLHKRNRKVSECAFTALGELLHFLKTTRVKDMTEDACVRLQSLWEDVEMFRFDLAWLEPHVHSALDKKKFLERARRVKRLREDVDILDSEKKRRSAALAVTEADLEVAKRDLAKEEEGFVETDMDRELGYGMASPYYRILC >ONI21716 pep chromosome:Prunus_persica_NCBIv2:G2:13273276:13275205:-1 gene:PRUPE_2G083600 transcript:ONI21716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCADELVNDIGNLNLECSTTAATDTDTAISVKTTSSHISSASKPHARPRDPCWDAIQGKKFNGTGISLDDLGLVRRLGCGDIGSVYLVELEGSDGCMFAAKVMDKEDLASRNKGNRARIEREILEILDHPFLPTLYGWLESDRWSCLLTEFCPGGDLHVLRQCQPDKRFGRAAVRFYASEVVAALEYLHMMGIIYRDLKPENVLIRSDGHIMLTDFDLSLRSSTSTSTAQLVSDQDPPNNDRSSYSTKQPQFGISPCILPSCMVPTASCMHPKGKRKKKSGHRVSLEIVAEPVDVRSMSFVGTHEYLAPEMVLGEGHGNAVDWWTLGIFIFEMFYGVTPFKGTNHDSTLANIVARALEFPKEPTVSGPAKDLITHLLIKDPTRRLGSTMGATAIKHHPFFDGVNWALLRCNTPPNIPRPVACRDLVQAGKGKDTPVEYY >ONI21467 pep chromosome:Prunus_persica_NCBIv2:G2:9686722:9691872:1 gene:PRUPE_2G067900 transcript:ONI21467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENTLLAGIGYWIRKTMGRCTDKKQKQRAIYPSSGNSTQRNFPNEKLPKGQEKKIVQGRQVLANPSTLPELQAVISLDAPAVESIMPSDASCEDIEIDKSLSFLNGYVQQALEKGAQPYIPENERSGMLNISNFSNQDQHEALTHEDDVIDTYYVAHINTTVVQLIFHFDFLIKDDVEIVVSVIIYDVCVSIVDVEKLNNVSYKYMRVVLKNLYVLFSETNNDHKY >ONI23217 pep chromosome:Prunus_persica_NCBIv2:G2:22128418:22131549:-1 gene:PRUPE_2G175700 transcript:ONI23217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNQIAFGTGITGVRVSMAKPWAVRAELPKAPDLCADRSFLRSGSSSFRRRDLVFVVNPSGANGRTGKEWKKLLPYLRSRLGADCNLCESLTSGPSHAIDITREAIREGADAVIVVGGDGTLHEVVNGFFWAGKPVTNHDREVTHSTALGLIPLGTGSDFARTFGWKNDPHEAIDRIAKGQRSRIDVGVISGEDGEPHYFVNVADIHLSAKAGYYASRYKRFGNLCYVIGALKAFVGHRNQDLKIKVNEGEWELYSQVTALCIGNAKFFGGGMKITPNADPHSGNFEVVILQDFKWYDFILKLHKLYNGTHLTVKNVSSRSVHSIEVEDVSGSGSIYVQSDGEHLGFLPRKFCILPSAVEMIC >ONI23218 pep chromosome:Prunus_persica_NCBIv2:G2:22128457:22131549:-1 gene:PRUPE_2G175700 transcript:ONI23218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNQIAFGTGITGVRVSMAKPWAVRAELPKAPDLCADRSFLRSGSSSFRRRDLVFVVNPSGANGRTGKEWKKLLPYLRSRLGADCNLCESLTSGPSHAIDITREAIREGADAVIVVGGDGTLHEVVNGFFWAGKPVTNHDREVTHSTALGLIPLGTGSDFARTFGWKNDPHEAIDRIAKGQRSRIDVGVISGEDGEPHYFVNVADIHLSAKAGYYASRYKRFGNLCYVIGALKAFVGHRNQDLKIKVNICNALCPSSQ >ONI24136 pep chromosome:Prunus_persica_NCBIv2:G2:25253813:25255244:-1 gene:PRUPE_2G225800 transcript:ONI24136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFMTMSLLTLFFGFFCLCKLLLQRRDQSCYMLAYECYKAKEDMKLNCDSSAKIVMQNKNLGLEELRFLLKTIVSSGIGEETSCPRNIIEGRNPTRADELCEMDGIIFDTLDKLFARPTSISPSQIDILVVNVSMFSPAPSLTSRIINRYKMREDIKTFNLSGMGCSASLIAIDVVQNLFKSYKNANAIVVSTESIAPNWYCGKEKSMMLTNCLFRSGGCSMLFTNNKGLKHQAMLKLRHLVRTHIGSSDEAYDCCIQAEDESGYQGFRLTKHLTKAAALAFTMNLQVLVPKVLPLREILRYLAVSRLKSAKSQKPKADEGVGLNLKTGIEHFCIHPGGRAIIDGIGKSLGLSDYDVEPSRMALHRFGNTSAAGFWYALGYMEAKQRLKKGNRILMSGFGAGFKCNNIVWEVLKDLGDANVWKDCIESYPPKTLVNPFMEKYGWLNDEYLSFLRFDFSQLFAELQLFC >ONI23348 pep chromosome:Prunus_persica_NCBIv2:G2:22555232:22555812:-1 gene:PRUPE_2G183600 transcript:ONI23348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGMESVARPRSNGSGAANGQQKRERCGDFQMPLHYPRYTQSDYETMPEWKLDCLLKEYGLPIAGDVEQKRKFAIGAFLWPN >ONI25391 pep chromosome:Prunus_persica_NCBIv2:G2:28870483:28877586:-1 gene:PRUPE_2G299900 transcript:ONI25391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTLPLPSLPAIPTSSLSLSVHSSASKPKLTRVNPGPFLSHTINKTLLWPLTSPQSRKFSRATRVTSQLRHPIIAPGDHWGLWTALFATGAFGLWSEKTEIGGMVSAALVSTLVGLAASNLGIIPFEAPAYSIVLEFLLPLAIPLLLFQADLRSVMRSTGTLLLAFLLGSVATMVGTLVAFLVVPMRSLGSDNWKIAAALMGSYIGGSVNYIAISEALGVSPSVLAAGVAADNVICALYFMVLFALASKIPPEALRSTNDVSMKMESNDERKLPVLETATALATSFVICKASTSLTGLCGIKGGSLPAITATVVVLATVLPTHFAYLAPAGDTVALLLMQVFFAVIGASGSIWNVINTAPSIFMFALVQVTVHLAVVLGLGKLFRFDLKPILLASNANIGGPTTAGGMARAKGWDSLVVPAILAGIFGVAIATFLGIGFGMMVLRHMLILLVVFLGWLMIWTMLPTKTYKNVWTLNLQSKLNSTYFGAQGVNLLLLSFPMMLIAALSCVYLHFQKQSNSRLTFWRRPAVVKAPLGIVTAMELAFAIMFAALLVWSLANYLYVSFGHLHMHKDGEKVWEAKFRSVSLRLGYIGNICWAFLFFPVTRGSSILPLFGLTSESSIKYHSWLGHLSMILFVAHTLGFIIYWGITHQMALILEWSKTYVSNVAGVIAIVFAMAMWVTSIPRVRRKMFEVFFYTHNLYPLYVFFYILHVGASYFCMILPGIFLFIVDRYLRFLQSYQRAGLISARVLPCGTIELNFSKTQGLDYNPTSILFINVPSISKMQWHPFTVTSNSNMEPDKLSVVIKNQGSWSQKLYKQLSSNVDHVQVSVEGPYGPVSSHFLRHEALVMFSGGSGITPTISIIREIIFQSTKPDCHVPRVRLICAFKNSADLSMLQLLLPISWAPADFAAQIDLEIEAYVTRETEQPPAEAQRAVQTVWFKPDPLDSPVSAVLGPNSWLWLAAIVSSSFVLFLFVLGLTTRFYIYPIDHNTGENYHYSFICLWYMFLVCASIFMVSSLVFLWCKRQNVMEGKQVQNVDAYGTHDQELESHPQNQECPIAQATQVHYGTRPDLKKILLGSKESDVGVLVCGPRKMRHEVAKICSSGLADNLHFESISFNW >ONI25214 pep chromosome:Prunus_persica_NCBIv2:G2:28390354:28398406:1 gene:PRUPE_2G289400 transcript:ONI25214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTRFRVLAKPQSVYHLISNPIKLAAPSLFRHFHIKTPLQSSPANKAEMDGGHEDPEKKKKKEEKAREKELKKQKALEKAAKLQAQQASSSSKKSEKKKVKRSNEEEDNPEDFIDPETPMGEKKRMSSQMAKGYSPSAVEKSWYEWWEKTGFFVADANSSKPPFVIVLPPPNVTGALHIGHALTAAIEDTIIRWRRMSGYNTLWVPGMDHAGIATQVVVEKKLMRESQLTRHDIGREKFVSEVWNWKNKYGGTILQQLRRLGASLDWSRECFTMDEKRSKAVTEAFVRLHEQGLIYRDNRLVNWDCVLRTAISEIEVDYIDIKERTFLKVPGYENPIEFGVLTSFAYPLEEDLGEIIVATTRVETMLGDTAIAVHPGDKRYQHLHGKHAIHPFNGRRIRIICDAILVDPEFGTGAVKITPAHDPNDFDVGKRHNLEFINIFTDDGKINQDGGEFAGMPRFKAREAVTEALKKKGLFKEAKANEMRLGICSRSQDVVEPMIKPQWYVKCTGMGKEALDAAIDEKKKLEIIPTQYTANWKRWLENIRDWCVSRQLWWGHRVPAWYVVCEGDNPDNFGASYERWVVSRNEDEAQAQASKKYDGKFQLIQDPDVLDTWFSSGLFPLSVLGWPDDTEDLKAFYPTSVLETGHDILFFWVARMVMLGITLGGDVPFTKVYLHPMVRDAHGRKMSKSLGNVIDPLEVINGVSLEDLHKRLLEGNLDPKELAVAKEGQVKDFPKGIEECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSRLGDDYVPPKDVNPDVLPFSCQWILSVLNKVISKTVLSLELYEFSDAATSVYAWWQYQLCDVFIEAIKPYFCGNDPKFESERGFAQATLWLCLDSGLRLLHPFMPFVTEELWQRLPSPRDHKRPTSIVISEYPSIVERWTNERVESEMNLVDSVVKSLRSLAKESRERRPAFVLCRTPLDGEILCSRQLEIETLANLSSLTVISENDAAPTGCVVSVVNENLSVYLKLQGSRSIEEDLEKIRKKMEDITLQQEKLSKAMNVAGYKEKVPDKIQKANAEKLDSLEKEKLSLEEASQHMEAQISSEQ >ONI25215 pep chromosome:Prunus_persica_NCBIv2:G2:28390354:28398406:1 gene:PRUPE_2G289400 transcript:ONI25215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTRFRVLAKPQSVYHLISNPIKLAAPSLFRHFHIKTPLQSSPANKAEMDGGHEDPEKKKKKEEKAREKELKKQKALEKAAKLQQASSSSKKSEKKKVKRSNEEEDNPEDFIDPETPMGEKKRMSSQMAKGYSPSAVEKSWYEWWEKTGFFVADANSSKPPFVIVLPPPNVTGALHIGHALTAAIEDTIIRWRRMSGYNTLWVPGMDHAGIATQVVVEKKLMRESQLTRHDIGREKFVSEVWNWKNKYGGTILQQLRRLGASLDWSRECFTMDEKRSKAVTEAFVRLHEQGLIYRDNRLVNWDCVLRTAISEIEVDYIDIKERTFLKVPGYENPIEFGVLTSFAYPLEEDLGEIIVATTRVETMLGDTAIAVHPGDKRYQHLHGKHAIHPFNGRRIRIICDAILVDPEFGTGAVKITPAHDPNDFDVGKRHNLEFINIFTDDGKINQDGGEFAGMPRFKAREAVTEALKKKGLFKEAKANEMRLGICSRSQDVVEPMIKPQWYVKCTGMGKEALDAAIDEKKKLEIIPTQYTANWKRWLENIRDWCVSRQLWWGHRVPAWYVVCEGDNPDNFGASYERWVVSRNEDEAQAQASKKYDGKFQLIQDPDVLDTWFSSGLFPLSVLGWPDDTEDLKAFYPTSVLETGHDILFFWVARMVMLGITLGGDVPFTKVYLHPMVRDAHGRKMSKSLGNVIDPLEVINGVSLEDLHKRLLEGNLDPKELAVAKEGQVKDFPKGIEECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSRLGDDYVPPKDVNPDVLPFSCQWILSVLNKVISKTVLSLELYEFSDAATSVYAWWQYQLCDVFIEAIKPYFCGNDPKFESERGFAQATLWLCLDSGLRLLHPFMPFVTEELWQRLPSPRDHKRPTSIVISEYPSIVERWTNERVESEMNLVDSVVKSLRSLAKESRERRPAFVLCRTPLDGEILCSRQLEIETLANLSSLTVISENDAAPTGCVVSVVNENLSVYLKLQGSRSIEEDLEKIRKKMEDITLQQEKLSKAMNVAGYKEKVPDKIQKANAEKLDSLEKEKLSLEEASQHMEAQISSEQ >ONI24031 pep chromosome:Prunus_persica_NCBIv2:G2:24855085:24858510:-1 gene:PRUPE_2G220000 transcript:ONI24031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAIDAHLKATRLFPSLSSLSSSSSSSPTPLLSVRTILTLPHPQNPSISKTLNLHSITTSWCSSIPGLRSQPQIGSNFNVLVVRCVSSVPHADTLEWNEPVSCSEVGDGHKATVDENAKPSIPVRAYFFSTSVDLRSLVEQNRLNFIPPTSRMTNYVVFRFGEPSDSNNGLGLSLSGSDCCYMVVFQYGSIVLFNVREHEIDGYLKIVEKHASGLLPEMRKDEYEVREKPALHTWMEGGLDYIMLQYLNVDGIRTIGSVLGQSIALDYYVRQVDGMVAEFTDINREMETTGKFKMKRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIALIGAEILLSLYDIVHRSALATL >ONI22234 pep chromosome:Prunus_persica_NCBIv2:G2:17424663:17428013:-1 gene:PRUPE_2G116100 transcript:ONI22234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYNSISLVFFLSFCIQVLILHSICLCLALPGNETDRLALLEVKARITSDPSGALASWNETNHFCGWHGVTCGRHHQRVTSLVLQSLKLAGSISPHVGNLSFLRALNLDNNNFSHEIPPQISRLRRLEDLILSNNSLRGEIPTNLSACSQLLRISCGVNLLVGSIPEELGTLSKLRVLRFSKNNLTGSIPYSFSNLSSLKTLELSSNNLKGSIPDIFGQLTNFRYFHADVNRLSGMIPPSFFNVSSILHIGIVNNNIQGTLPLNLGNALPNLIHFGIDNNNFSGPIPASLSNASNLYHLGLVGNQLHGQVPSLKKLHRLERLVLTQNHLGGGQFGRDLGFLCDLANATRLKVLGVNINNFGGVLPQCIANLSSSLDRLYVSDNRLVGSIPNGIGNLVNLESLYLSMNQFSGEIPPDLGKLQKLYSMDLAINSLSGEIPSSFGNLSQLTILYFDDNNLQGNIPLSLGETHNLEILSVPRNNLSGIISPKIIGPSSSYIFLDLSRNHFTGPFPQEVGKLINLEYLNVSQNMLSGEIPASLGSCIKIESLDLQGNFFQGTIPSSLGSLRGIRALNLSGNNLSGMIPEFLERFKVLQLLNLSDNNFEGMVPIKGVFKNATATSVRGNSKLCGGIPEFQLPKCKLQHSNKRGLSPTMKLIISLVCAVLGVTFTLAFLYFRYSRRPKKDTTSSDSEKNFTVSYQSLLKATDGFSSANLIGMGSFGSVYKGVLERAETTIAIKVLNLVHRGAYKSFTAECEALKNIRHRNLVKVLSACSGSDYQGNDFKALIYEFMVNGSLDEWLHPTQKIGEINERPKSLTFCERLNIVIEVAMALDYLHHHCETAIVHCDLKPSNILLDEDMVGHVGDFGLARFLIKPFENSSAYQSSSIGVKGTIGYTPPEYGMGHEVWTQGDVYSYGILLLEMFTGKRPTDDMFQGTSNLHGFVKEALPEQVIEIVDPVLVQEKVDREMSSANNRLNEDSKSAHIRIEESWISVLEIGVACSAELPRERLDITDSMAEMCRIRNKLRANRICQ >ONI20635 pep chromosome:Prunus_persica_NCBIv2:G2:2756675:2759413:-1 gene:PRUPE_2G026700 transcript:ONI20635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYPPVYFLKQMNTFIIKGFMILFVSCVTIKLNLCSPNTPSLNTLPLNGHFNFHEVHHAARDFGNRYQFLPMAVLHPKTVSDIATTIKHIWDMGPHSELTVAARGHGHSLQGQAQTQRGVVINMESLEGPEIQVYTGSSPYVDVSGGELWINILHESLKYGLAPKSWTDYLHLTIGGTLSNAGISGQAFRHGPQISNVHQLEVVTGKGEVINCSEEQNEDLFHSVLGGLGQFGIITRARISLEAAPARVKWIRVLYSDFAAFTQDQEHLISSENTFDYVEGFVIINRTGLLNNWRSSFNSKDPVQASQFKSDGRTLFCLELAKYFNLDKTDLINEVRLAMAHQKPSTLKCLNSQENCCYICIYIYIYIYE >ONI20634 pep chromosome:Prunus_persica_NCBIv2:G2:2753797:2759413:-1 gene:PRUPE_2G026700 transcript:ONI20634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYPPVYFLKQMNTFIIKGFMILFVSCVTIKLNLCSPNTPSLNTLPLNGHFNFHEVHHAARDFGNRYQFLPMAVLHPKTVSDIATTIKHIWDMGPHSELTVAARGHGHSLQGQAQTQRGVVINMESLEGPEIQVYTGSSPYVDVSGGELWINILHESLKYGLAPKSWTDYLHLTIGGTLSNAGISGQAFRHGPQISNVHQLEVVTGKGEVINCSEEQNEDLFHSVLGGLGQFGIITRARISLEAAPARVKWIRVLYSDFAAFTQDQEHLISSENTFDYVEGFVIINRTGLLNNWRSSFNSKDPVQASQFKSDGRTLFCLELAKYFNLDKTDLINEEVENFLSRLSYIRSTLFMSEVAYIDFLDRVHVSEIKLRSKDLWDVPHPWLNLLIPKSKIHTFAEEVFGSILTATSNGPILIYPVNRSKWDNRTSVVIPEEHIFYLVAFLTSAVPSSTGTDGLEHILTQNKRILEFCETAHLGVKQYLPHYTTKKEWQAHFGPRWEAFVQRKSAYDPLAILAPGQRIFQKAIPFS >ONI21198 pep chromosome:Prunus_persica_NCBIv2:G2:6096022:6099221:1 gene:PRUPE_2G052600 transcript:ONI21198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQVGEFVSETFTWTIENFSKLKNQKLYSEVFLIGDWKWRILIFPKGNNVKQLSVYLEVVDASDLPFLWTRYAEFSLTVVNQLNSNKSITKDSKHGFNSSESDWGFTSFMALSELCDSNNGFLRNDKCIIEADVSVRKVDIKILEDQGTGSSAAIEPSEQEDQGQEPSSVDSVQVPHSSVTTPSSQQVVAFQDAPSSRQVFIEPTDSYVDPSIVKVHDKVPFTPVGKLMDFRGLGKIEKVFVPLLEEVCSWHPSLVECQKKRSRTFIEWAFTALGRVLHFLKTTKVKDMTEDACEHLQLLWEELETFKFDLAWLEPSVQTALNLKKLVERAGQVQKQREDVDALEIEVKRLKARLAVAEIDLEVAKRDLAKAEVGFGNDTDMNRELGYGGH >ONI21199 pep chromosome:Prunus_persica_NCBIv2:G2:6096022:6099221:1 gene:PRUPE_2G052600 transcript:ONI21199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQVGEFVSETFTWTIENFSKLKNQKLYSEVFLIGDWKWRILIFPKGNNVKQLSVYLEVVDASDLPFLWTRYAEFSLTVVNQLNSNKSITKDSKHGFNSSESDWGFTSFMALSELCDSNNGFLRNDKCIIEADVSVRKVDIKILEDQGTGSSAAIEPSEQEDQGQEPSSVDSVQVPHSSVTTPSSQQVVAFQDAPSSRQVFIEPTDSYVDPSIVKVHDKVPFTPVGKLMDFRGLGKIEKVFVPLLEEVCSWHPSLVECQKKRSRTFIEWAFTALGRVLHFLKTTKVKDMTEDACEHLQLLWEELETFKFDLAWLEPSVQTALNLKKLVERAGQVQKQREDVDALEIEVKRLKARLAVAEIDLEVAKRDLAKAEVGFGNDTDMNRELGYGGH >ONI24434 pep chromosome:Prunus_persica_NCBIv2:G2:26014547:26015336:-1 gene:PRUPE_2G240200 transcript:ONI24434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQKTNGSVSFFKMPLHYPRYTKKDYQDMPEWKVNRLLAEYGLSTTGDLARKREFAMGAFLWPEQRHNPNPSS >ONI22373 pep chromosome:Prunus_persica_NCBIv2:G2:18110951:18112709:-1 gene:PRUPE_2G124900 transcript:ONI22373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSQKYRLRSLRRTKTTSEIAKKTVNEEECHGKVRVLDQPDKWCIYRVPSKLRKVNEAAYTPQLLSIGPFHHGKPELKDMETHKKIYYENFLARCKKSKDELEQFIKTRQENILCCYAGTIELDVDPANIIVVDACFIIELFLTNFYETENHINDYILRSPWLRKAVEQDLILFENQLPYSLLQELYHDFATRSTNDLPCCLPCSWRIPCNEHSIEIDEAKPADDEAEPADYDPLLELTFEFFKDYNEGKSFKNRVKPKHFTDLVRHFLCPDKEMDCEHNSAPVKNIYAAKKLRASGVKFRPLKDGPLIIKKDEATKCKFNLACFRNMDLKLTPFCVKDETECVVRNIMALEQFLYPDKPYICNYFLLMDQLVDTVDDVVLLVENKVILNMLGSNEAVAKLVNRLCEQIMDDKSCYFDICEQLNKHYENFWNRHVATLKRVYFKDLWTGSSTVLGVVVLVFSVIGTIKSLKS >ONI20578 pep chromosome:Prunus_persica_NCBIv2:G2:2258391:2259397:-1 gene:PRUPE_2G023200 transcript:ONI20578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLVQKFDKFYSKLENFHHQKHNHQSQALTASLEAFKSHVSNCLNKLSLSSKSGLEIPSFSWIQQCFELLPIINHAFAKLVVAIDYPVSKWEAASVDEYLKYSLNLLELCNSISSSLSHLGKARLSLAHALSLVENSSLSLALKHLKPIQPKGLNKELRFQGNEEIGKPRCPNISKQAVIDQALVVMQGMVFWVCGILMSGLVGEAKPYLEMRSSGGRFVDSWLPGLDLRASEVIVERNGVLKEVKQLDDAVAGLAAAIGTGKSSDEAAEELKRRLEVFEKLVEGFGKEVDCLFNKVLAGRNQLLNGLGQQKQ >ONI23065 pep chromosome:Prunus_persica_NCBIv2:G2:21619119:21621551:1 gene:PRUPE_2G168000 transcript:ONI23065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQTDKLVRRTTMVATATAAYFLLTADYGSEPNALDPVKRAIQSAESSVKDFIFGAKRQPEKEIEKLAPNSAKEHP >ONI23064 pep chromosome:Prunus_persica_NCBIv2:G2:21619119:21621551:1 gene:PRUPE_2G168000 transcript:ONI23064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQTDKLVRRTTMVATATAAYFLLTADYGSEPNALDPVKRAIQSAESSVKDFIFGAKRQPEKEIEKLAPNSAKEHP >ONI25045 pep chromosome:Prunus_persica_NCBIv2:G2:27811925:27816540:-1 gene:PRUPE_2G277000 transcript:ONI25045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLDLGPFSDPNFNPKKWVNSACQSRHPQDSVDKHLVDLEMKLQMVSEEIAASLEEQSASSLLRVPRATRDVVRLRDDAVTLRSAVSSILDKLKKAEGSSAESIAALAKVDIVKQRMEAAYKTLQDAAGLTQLSATVEDVFASGDLPLAAEHLASMRHCLSAVGEVAEFANIRKQLEVLEDKLDSMVQPRLTDAIFNRKVDIAQDLRGILIRIGRFKSMELHYTKVHLKPIKQLWEDFDAKQPLPNKLATEKSQVERLSTTSESQSTAPAILFSSWLPNFYDELLLYLEQEWKWCMVAFPEDYKFLVPKLLVETMAAVGASFVSRINLATGDVIPETKSLAKGILDILSGDMPKGIKIQTKHLEALIELHNMTQTFARNIQHLFSESDLRVLMDTLKAVYLPYESFKQRYGQMERAILSAEIAGVDLRGAVTRGVGAQGIELSETVRRMEESIPQVIVLLEAAVERCISLTGGSEADELILAIDDIMLQYISTLLETLKSLRVVCGVDHGSDGLGSKKEVGLDKKDGQSARRVDSISNEEEWSIVQGALQILTVADCLTSRSSVFEASLRATLARLSTTLSVSVFGSSVDQNLSHVPSDDGNGEPSLGGRAALDVAAVRLIDVPEKARKLFNLLNQSKDPRFHALPLASQRVAAFADTVNELVYDVLISKVRQRLSDVSRLPIWSSVEEQSAYHLPTFSAYPQAYVTSIGEYLLTLPQQLEPLAEGISNSDANNDEAQFFATEWMFKVAEGATALYMEQLRGIQYITDRGSQQLSVDIEYLSNVLSALSMPIPPVLATFHTCLSTPRDQLKDLLKSDSGNQLDLPTANLVCKMRRLNLE >ONI24124 pep chromosome:Prunus_persica_NCBIv2:G2:25203562:25204720:-1 gene:PRUPE_2G224700 transcript:ONI24124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMPPSSLQLSNPFPLASSSTKSHEPKPLLSFTSRATDSETPPSEPSSESGSDPDDFDSKLSQVRLRYRSGTGKKAELRKTKKSKSGSGSSSGSNLYLPPVPLKEPASGGLKVDFGFSPYSERVNGRIATLGLTALLLVELATGKSVLKYHTPSVVLIQVYFVAAVAAVYIKYEKEKVSVWPQSSPPPKD >ONI24612 pep chromosome:Prunus_persica_NCBIv2:G2:26497987:26500535:1 gene:PRUPE_2G249700 transcript:ONI24612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLLIGYLWVLSLFLSSLYPILGQRKKENNYICFFYEINKESVLEKAGSIVRRVGSFLKMASYYDIDDILVEEQRVPVVFQQAVNGVDIDPSAETHCVEPGSKVELPYWLAHELHLRKVAKMKVPACFNQRTKLELGADGASVDLRSRCLYFYEFGCKIAPLVGDRDMGSFLLSAFRTRYQKIVAKAHNAAFTAHSKLLSYLTKEETNLYEAAQSSMAAFKKWRIGGPRFERASVLGRKRKEAN >ONI24480 pep chromosome:Prunus_persica_NCBIv2:G2:26135523:26137574:-1 gene:PRUPE_2G242300 transcript:ONI24480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLTRVQSLRERLDVTLSAHRNEIVALLSRFVNKRKGFMQPHELVAEFEAILKLTDRGSWMGLLEVIVLPPWVALAVRPRPGVWEYIRVNDDDECLLCIFLDLETKTALQFSFNYNWIFAIFLDFNLTNPKSRGYPDEELFLFLYADLAENKEKERNGWLEYYFQQLHIDSIKC >ONI23541 pep chromosome:Prunus_persica_NCBIv2:G2:23222817:23224767:1 gene:PRUPE_2G193900 transcript:ONI23541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPARPWFRLGSMVRPATTPAPAPTPAPAPVVRPAFRPLTPAPEPTPTPPPAPTPTPAPTTTAPPPPPAAAAQPPPPPAVAAQPPPPPAVAAPPPPPPAVAAQPPPPPAAAAPPPPTAVLMRRPPPPAATPAPPPPATSTTASVPPSPISKAASSSVPSSPGIRVGIAPSSSVAPSPDRNKVTKASTSTTDSVPSSPSYKPQTSTTSPPKPTIFSAPAKSPPESKPQPTQTYSPPKPTATTISATAREPYNAPSPRTIRPAATKTPPQSPKIKSIAPPPSPFTLPPPQLKPSTSDQTEQPRIPMEAEQKTVLVQQKTIDHPKSRDLRESLKPSINAHGSKHEGETKERAITRKKPASDTSDHEAGGMRVITIAGENRGAFMELKQSPQKHGLGEQSQYLCKKGNGKTVTGTQSDQNNSSSSSSSSGEEGKGKSKSKDKSLKAGKAAGKSASAQPTRAFMNSNVQGINNSIVYNTSLTHHDPGVHLAFSRKPSGDGFEAKSLVNGRHS >ONI21434 pep chromosome:Prunus_persica_NCBIv2:G2:8995039:8995231:-1 gene:PRUPE_2G065500 transcript:ONI21434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIVDLFNYSKSYGLLHFINRGREGKSSATWDKPYSWN >ONI22104 pep chromosome:Prunus_persica_NCBIv2:G2:16478452:16480777:1 gene:PRUPE_2G107300 transcript:ONI22104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARKQEKIILKTPALLKYILETSCYPREHEQLKQLREATVEKYQFRSIMNVPVDEGLLLSMLLKLMNAKKTLELGVFTGYSLLTTALALPSDGKITAIDLNKEAYEFGLPFIRKAGVEHKINFFQSDAISVLNDLITNGKEEGSFDFAFVDANKDTYIEYYELLIKLVKVGGIIAFDNTLWFGSVAEPEEMVEEHLRPSRKHTRELNSFLATDSRIELAHVSIGDGLTLCRRIY >ONI22200 pep chromosome:Prunus_persica_NCBIv2:G2:17195780:17197861:1 gene:PRUPE_2G113900 transcript:ONI22200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACISAPPLLSNPCGSVKPARLSWASSFPKLGISFNCITAPPSSLHTHNKDSFIRAAWTRRSRGEAAKRPNRKSWSQRTDMYMRPFLLDVFFSKRFIHAKVMHRGTSKVISVASTNSKDLRYSLTSLVDNDACRVIGNLIAERCKDADVFAMSFEPKKNERIEGRLGIVLDTIKENGIMFV >ONI25911 pep chromosome:Prunus_persica_NCBIv2:G2:30110011:30112976:-1 gene:PRUPE_2G326300 transcript:ONI25911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFKQFKASNAKLIITHSQFVDKLREAPADGAAPDQNNYPKLGEHFKVVTVDDPPENCLHFSVISEANETELPEVVIDPEDPVALPFSSGTTGLPKGVILTHKSLITSVAQQVDGENPNLYLKEDDVVLCVLPLFHIYSLNSVMLCSLRAGAAVLVMHKFEIGTLLELIQRYRVSVAAVVPPLVIALAKNPMVAQFDLSSIRVVLSGAAPLGKELEEALKSRVPRAVLGQGYGMTEAGPVLSMCLAFAKEPLPSKSGSCGTVVRNAELKVIDAETGCSLGYNQPGEICIRGSQIMKGYLNDAEATATTVDKEGWLHTGDVGFVDDDEEVFIVDRVKELIKFKGFQVCYI >ONI24733 pep chromosome:Prunus_persica_NCBIv2:G2:26955965:26960696:-1 gene:PRUPE_2G259000 transcript:ONI24733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPATHFCKCTIPDLIVTCKILSSLSFLATSNLKFNGGYLITEVFNFCSDPNSKLLPLSYVSSSFFRKDDNWKREDTVCKTFKQQGFDKFRTILGNGNQEMFCPIFRSLFLRITMNANANRGGEGRKMC >ONI23587 pep chromosome:Prunus_persica_NCBIv2:G2:23415912:23416139:-1 gene:PRUPE_2G196700 transcript:ONI23587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMRHNCYNNDNKKQKKPKLNLMTDVLPTEILIDIFSRLSVNSICCIKCVSKALLKTVDDPFFCYTTHAASFSY >ONI23586 pep chromosome:Prunus_persica_NCBIv2:G2:23415644:23418304:-1 gene:PRUPE_2G196700 transcript:ONI23586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMRHNCYNNDNKKQKKPKLNLMTDVLPTEILIDIFSRLSVNSICCIKCVSKALLKTVDDPFFCYTTHAASFSY >ONI22144 pep chromosome:Prunus_persica_NCBIv2:G2:16829274:16830073:-1 gene:PRUPE_2G110100 transcript:ONI22144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKARLGNGAAEEVTRESLIAISYLEPYKILPSNLSSGKLNGETLVKTIDSDQEKFRYELMSISYCSPQKRGFVF >ONI23357 pep chromosome:Prunus_persica_NCBIv2:G2:22571802:22576053:-1 gene:PRUPE_2G184000 transcript:ONI23357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKPSESAVSTIVNLAEEAKMAREGVVKAPSLAVLSVCKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTIQGLKYIWRSEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKRCSAHSSSTSWSWSMCWNYCHVCNLPDGHGTRKANCTDRELSLSVQGNVPCSIDCAPRGGPAGFVQGMASFCNWSFGLEVSSYGQLMSPVPYVGLNFAVYESLKDWLIKSRPFGLVEDTDLSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMGGWSNAASVITGDGRSKAPLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEMVKDVLGVEIRISD >ONI23358 pep chromosome:Prunus_persica_NCBIv2:G2:22571802:22576053:-1 gene:PRUPE_2G184000 transcript:ONI23358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKPSESAVSTIVNLAEEAKMAREGVVKAPSLAVLSVCKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTIQGLKYIWRSEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILLLYRDQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTENSPFQYRGMFHALSTVLREEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLIKSRPFGLVEDTDLSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMGGWSNAASVITGDGRSKAPLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEMVKDVLGVEIRISD >ONI22207 pep chromosome:Prunus_persica_NCBIv2:G2:17215753:17227313:1 gene:PRUPE_2G114100 transcript:ONI22207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFNSPIRLSSSLIKHLKYRVRMGSNPLLPHSRSFTTTEGHRPIIVHKRSLDILHDPWFNKGTSFSFTERDRLDLRGLLPPNVMSTEQQIERFMVDLKRLEEQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIANIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNERLLKDPLYLGLQRHRLDGDEYLAVIDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNATRKTMARMLGNNEHAFQSAGRQFWVVDAKGLITEEREDLDPEARPFARNVKEIHRQGLREGASLVEVVQEVKPDVLLGLSAVGGLFSKEVLEALRGSTSTRPAIFAMSNPTTNAECTPEEAFSIVGDNVVFASGSPFKDVDLGNGHIGHCNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAECLAAYMTDEEVLKGVIYPSISSIRDITKQVAAAVIKEAIEEDLAEGYREMDSRELRKLSQEEIKEYVLNSMWSPEYPTLVYRKE >ONI25367 pep chromosome:Prunus_persica_NCBIv2:G2:28796986:28799877:1 gene:PRUPE_2G298400 transcript:ONI25367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASAIAAATFVCSPASAPRGTKSAIYNPSSSLISRPQNPKFQLCSVFPPLGLSPFSPWSGLKHLGISFTPKSLKLERKGRHKGMVVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFKSTLEKEIGLDDISPSAIDTYNANKMAATSTPSSATTTSTPSSAATAAPSSDATTSTPSSDATTSTPTSTATTGDAETTAEPNGAPSPSRAYTSEEYLKITEEQLKAAAAQNQGQTSTPVESQLEPQTPSQATVEETAARTPSTQQPQSEA >ONI25368 pep chromosome:Prunus_persica_NCBIv2:G2:28796986:28798501:1 gene:PRUPE_2G298400 transcript:ONI25368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASAIAAATFVCSPASAPRGTKSAIYNPSSSLISRPQNPKFQLCSVFPPLGLSPFSPWSGLKHLGISFTPKSLKLERKGRHKGMVVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFKSTLEKEIGLDDISPSAIDTYNANKMAATSTPSSATTTSTPSSAATAAPSSDATTSTPSSDATTSTPTSTATTGDAETTAEPSK >ONI22721 pep chromosome:Prunus_persica_NCBIv2:G2:20331029:20332901:1 gene:PRUPE_2G147000 transcript:ONI22721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVAAHGRPLPPPFLSRDLHLHPHHQFQHHLHHNNHNSEDEQNSSGGGGLISRGIKRDRDENTSAATTSLEGKELGSTSAGEGEITRRPRGRPAGSKNKAKPPIIITRDSANALRSHVMEVANGCDIMDSVSTFARRRQRGVCILSGSGTVTNVTIRQPASPGSVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGVQGQVVGGGVVGPLLASGPVVIMAASFGNAAYERLPLEEEEPAAAGQVQGSGPLGSPGVGGQEHQQQSIQQQQQQLLQDPNAPSLFHGMPQSLLNSCQLPAEGYWGTARPPY >ONI25477 pep chromosome:Prunus_persica_NCBIv2:G2:29129752:29137904:-1 gene:PRUPE_2G305800 transcript:ONI25477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDLWTVFCGESGPSGTAGKPCTSNLESMIHPSSCTNHVLIIGFDILLLVALLFNMFHKSSSKTGHIPPRFRGLSGLQIVSALANGCLGIVYLGLGIWILEEKLRNTHTALPLNWWLLALFQGLTWLFVGLTVSIRGKQLPRQPARLLSILAFFFSAIVCALSLFAAIFRKELSVKTVLDVLSFPGATLLLLCVYKGHPYEDGDEGINGNGLYTPLNGESNDISKSAHVTPFSKAGFFSKASIWWLNSLMTKGREKTLEEEDIPKLREEDRAESCYLQFLEQLNKEKQIQPSSQPSVLKTVIICHWKEILLSGFFALLKVLTVSAGPMLLNAFILVAEGNESFRYEGYVLAITLFLSKTIESLSQRQWYLRSRLIGLKVKSLLTSAIYKKQLRLSNAAKLIHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTSLQLCLALVILFRAVGLATLAALVVIVLTVVCNAPLAKLQHKFQSKLMEAQDERLKASSEALVNMKVLKLYAWETHFKNAIEKLRKAEYKWLSAVQLRKAYNSYLFWSSPVLVSAATFGACYFLKVPLHANNVFTFVATLRLVQDPIRSIPEVIGVVIQAKVAFERIIKFLEAPELQTANVRKCNMENVAHSILIKSANFSWEDNISKPTLRNINLEVRPGEKVAICGEVGSGKSSLLAAILGEIPNVQGSIQVFGTIAYVSQTAWIQTGTIQENILFGSAMDSERYRETLERCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATNLFNEYVMEALSGKTVLLVTHQVDFLPAFDSVLLMLDGEILHAAPYHHLLDSSQEFQDLVNAHKETAGSDRVADATSAQNGISSREIKKTYVEKQLKSSKGDQLIKQEERETGDIGLKPFIQYLKQKNGFLYFSTAVLLHLIFVISQIVQNSWMAANVDNPDVSTLRLIMVYLLIGFSATFILLFRSLITVVLGLEASQSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSSDLSIIDLDIPFSLVFACGATINAYSNLGVLAVVTWQVLFVSIPMVYLAICLQKYYFSTGKELMRINGTTKSYVANHLAESVSGAITIRAFNEEERFLAKNFDLIDTNASPFFHSFAANEWLIQRLEILSAAVLSSAALCMCLLPPGTFSSGFIGMALSYGLSLNMSLMYSIQNQCTIANYIISVERLNQYTHIPSEAPVIVEGSRPPANWPVFGKVEIQNLQIRYRADTPLVLRGISCIFEGGHKIGIVGRTGSGKSTLIGALFRLVEPAGGKIIVDGIDISTIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHSDQEIWEVLGKCQLRDAVQEKGGLDSLVVDDGSNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDMILQKTIRTEFADCTVITVAHRIPTVMDCTMVLAISDGQLVEYDEPMKLMKREGSLFGQLVKEYWSHIQSAESH >ONI25478 pep chromosome:Prunus_persica_NCBIv2:G2:29129752:29137902:-1 gene:PRUPE_2G305800 transcript:ONI25478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDLWTVFCGESGPSGTAGKPCTSNLESMIHPSSCTNHVLIIGFDILLLVALLFNMFHKSSSKTGHIPPRFRGLSGLQIVSALANGCLGIVYLGLGIWILEEKLRNTHTALPLNWWLLALFQGLTWLFVGLTVSIRGKQLPRQPARLLSILAFFFSAIVCALSLFAAIFRKELSVKTVLDVLSFPGATLLLLCVYKGHPYEDGDEGINGNGLYTPLNGESNDISKSAHVTPFSKAGFFSKASIWWLNSLMTKGREKTLEEEDIPKLREEDRAESCYLQFLEQLNKEKQIQPSSQPSVLKTVIICHWKEILLSGFFALLKVLTVSAGPMLLNAFILVAEGNESFRYEGYVLAITLFLSKTIESLSQRQWYLRSRLIGLKVKSLLTSAIYKKQLRLSNAAKLIHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTSLQLCLALVILFRAVGLATLAALVVIVLTVVCNAPLAKLQHKFQSKLMEAQDERLKASSEALVNMKVLKLYAWETHFKNAIEKLRKAEYKWLSAVQLRKAYNSYLFWSSPVLVSAATFGACYFLKVPLHANNVFTFVATLRLVQDPIRSIPEVIGVVIQAKVAFERIIKFLEAPELQTANVRKCNMENVAHSILIKSANFSWEDNISKPTLRNINLEVRPGEKVAICGEVGSGKSSLLAAILGEIPNVQGSIQVFGTIAYVSQTAWIQTGTIQENILFGSAMDSERYRETLERCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATNLFNEYVMEALSGKTVLLVTHQVDFLPAFDSVLLMLDGEILHAAPYHHLLDSSQEFQDLVNAHKETAGSDRVADATSAQNGISSREIKKTYVEKQLKSSKGDQLIKQEERETGDIGLKPFIQYLKQKNGFLYFSTAVLLHLIFVISQIVQNSWMAANVDNPDVSTLRLIMVYLLIGFSATFILLFRSLITVVLGLEASQSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSSDLSIIDLDIPFSLVFACGATINAYSNLGVLAVVTWQVLFVSIPMVYLAICLQKYYFSTGKELMRINGTTKSYVANHLAESVSGAITIRAFNEEERFLAKNFDLIDTNASPFFHSFAANEWLIQRLEILSAAVLSSAALCMCLLPPGTFSSGFIGMALSYGLSLNMSLMYSIQNQCTIANYIISVERLNQYTHIPSEAPVIVEGSRPPANWPVFGKVEIQNLQIRYRADTPLVLRGISCIFEGGHKIGIVGRTGSGKSTLIGALFRLVEPAGGKIIVDGIDISTIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHSDQEIWEVLGKCQLRDAVQEKGGLDSLVVDDGSNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDMILQKTIRTEFADCTVITVAHRIPTVMDCTMVLAISDGQLVEYDEPMKLMKREGSLFGQLVKEYWSHIQSAESH >ONI25714 pep chromosome:Prunus_persica_NCBIv2:G2:29670741:29672236:1 gene:PRUPE_2G316100 transcript:ONI25714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKVHIFEEVAKHNKTKDCWLVISGKVFDVTPFMDDHPGGDEVLLSATGKDATNDFEDVGHSDSARDMMEKYYIGEVDPSTVPLKRIYIPPPQARYNPDKISEFVIKILQFLVPLLILGLAFAVRHYTKKE >ONI25713 pep chromosome:Prunus_persica_NCBIv2:G2:29670562:29672142:1 gene:PRUPE_2G316100 transcript:ONI25713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKVHIFEEVAKHNKTKDCWLVISGKVFDVTPFMDDHPGGDEVLLSATGKDATNDFEDVGHSDSARDMMEKYYIGEVDPSTVPLKRIYIPPPQARYNPDKISEFVIKILQFLVPLLILGLAFAVRHYTKKE >ONI22091 pep chromosome:Prunus_persica_NCBIv2:G2:16432714:16434624:-1 gene:PRUPE_2G106300 transcript:ONI22091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRDNKDESNDKGLFSQLAGYAAGHYPQHGSYPPQGYGYPPQAHGPPGYPPPGGYPPPGGYPPAGGYPPSGYPPPGGYPPSAYPAPGGYPPAGYPPAGYPGSSSHHSGHGPNMGGMLAGGAAAAAVAYGAHHLVHGGHHGYGYGGHHGYGHGKFKHGHGHGKFKHGKFKHGKFGKRFGFGGKFKKWK >ONI22092 pep chromosome:Prunus_persica_NCBIv2:G2:16432714:16434774:-1 gene:PRUPE_2G106300 transcript:ONI22092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRDNKDESNDKGLFSQLAGYAAGHYPQHGSYPPQGYGYPPQAHGPPGYPPPGGYPPPGGYPPAGGYPPSGYPPPGGYPPSAYPAPGGYPPAGYPPAGYPGSSSHHSGHGPNMGGMLAGGAAAAAVAYGAHHLVHGGHHGYGYGGHHGYGHGKFKHGHGHGKFKHGKFKHGKFGKRFGFGGKFKKWK >ONI22090 pep chromosome:Prunus_persica_NCBIv2:G2:16432624:16435004:-1 gene:PRUPE_2G106300 transcript:ONI22090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRDNKDESNDKGLFSQLAGYAAGHYPQHGSYPPQGYGYPPQAHGPPGYPPPGGYPPPGGYPPAGGYPPSGYPPPGGYPPSAYPAPGGYPPAGYPPAGYPGSSSHHSGHGPNMGGMLAGGAAAAAVAYGAHHLVHGGHHGYGYGGHHGYGHGKFKHGHGHGKFKHGKFKHGKFGKRFGFGGKFKKWK >ONI25022 pep chromosome:Prunus_persica_NCBIv2:G2:27727837:27731921:1 gene:PRUPE_2G275200 transcript:ONI25022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDNDGSSGRPRTHKFIPKGRKKTRAPVPAPEVGDKDDGEEARKAEALFRQFNENSRRRGPKVEKKSSVRVAFGPGADTSSTSIRTYGTAKDGNTGKSSSSGLEDSDDDQSPPTLPEVGKDVSMEDATDVEPLQTVKQRYTECWDSETTYYPTTLPLRKPNSGDPEVLNENEFGEDAEKEYDESTINHAAELGLLEEKVEARMLFVQLPTILPLTKRSATAKGKEKVGSSTSLESTGAPKKGCSLEELPGGYMGKMLVYKSGAIKLKLGNTLCDVSPGSDCLCDEDVAVINTEEKRCCVLGGISHRAVVTPNVDSLLNVISLD >ONI25037 pep chromosome:Prunus_persica_NCBIv2:G2:27786051:27786467:-1 gene:PRUPE_2G276300 transcript:ONI25037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNQVRLNCGITMPVIGLGTYSFQNDRKTTQEAVHMALKMGYRHFDTAKIYGSEPALGSALTEAILDGKVEREDIFVTSKLWGSDHHDPVSGLKQTLK >ONI21462 pep chromosome:Prunus_persica_NCBIv2:G2:9481269:9482100:1 gene:PRUPE_2G067400 transcript:ONI21462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPIDGLQTLLFLEELRICYCPNLEDVPNLDNLTSLLELHIYSKWASLLHISYQFVNRLMPQLVIMFADWPEGWPKLKSLPQQIQHFTSLTSLSIISFNQVETLPEWLGNLTSLTFLGIQRCENLMHLHLVKAMQRLDKLQELVVDGCTRLEERCAKKVGLEWPKISHVPNVTVY >ONI23856 pep chromosome:Prunus_persica_NCBIv2:G2:24431817:24432638:-1 gene:PRUPE_2G212400 transcript:ONI23856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KDPKSTMDKLLNLNSKRQRDRKWEDLDPDCLANVFVKVGIESLLLFVPFVCKSWYRASQNPVCWERLVFPDSEEEATDDPWSLDDNVANLPWTYANRIEGQSFDYFVRRFAREFQIDWSRFSIPSFIKMVINRSGGNAKFLKIPGICSHYVNAIEKILEHISKNCKGFVGLHVAYATIGTSEASAIVKFVPNLKYMCFRKSCLEKNDLVMLLKECRELVLLDVRECEGFDERDEEILKLASHISKFRCKGSKTHVLRDDDLAVEDLEIIEIDE >ONI21332 pep chromosome:Prunus_persica_NCBIv2:G2:7543052:7548391:1 gene:PRUPE_2G060000 transcript:ONI21332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTKVNNPIYYEQRICYFRNQLRNAHKMAPISMKPSVSPKIPTMALASSVRLSSSILRYRLAAPLYPTRFSSLRTCRSSASCPHRPPVPGPTSAQSKRGSRTTPKRRTQARGRTGMEVCWSRTQVRTAKKESFVLSSTSRPLKRTWLCLSCSAAPCWMFATV >ONI25910 pep chromosome:Prunus_persica_NCBIv2:G2:30100256:30104436:-1 gene:PRUPE_2G326200 transcript:ONI25910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTYALGLITAVAASASLSKNNCAYADGPFSFSPFSTPSSNASQPSSSSKQSAPSNPPSSSSTGDAAEPPPPPPPKVRNDHPRTTSAGFDPEPLERGVQALEKISQSSSAKQVLEAIKKQEETRQVELNAKAAEYNALKAQFETERQRVIYDEQKKLAQHQAQTKSQMARYEDELARKRMQAENEYHRSRNQELVKLQEESSIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVRAIAEAEGRAHEAKLAEDVNRRILIDRANAEREKWVSAINTTFDHIGGGLKAILTDQNKLVVAVGGVTALAAGVYTTREGAKVIWSYVDRILGQPSLIRESSKGKYPWSGLFSRSIGTLSRGGNKGHTKDGKAFGDVILHPSLQRRIEHLANATTNTKSHQAPFRNMLFYGPPGTGKTMAAREMARKSGLDYALMTGGDVAPLGPQAVTKIHELFDWAKKSRQGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIAQSETRKPGWFRNLFKRQPQKIEMKGLTDDMLKEAAAKTDGFSGREIAKLMASVQAAVYGSENCVLDPSLFREVVDYKVAEHQQRRKLAGGDKGSV >ONI24229 pep chromosome:Prunus_persica_NCBIv2:G2:25508514:25513660:-1 gene:PRUPE_2G230700 transcript:ONI24229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQPKSFWIPRDASCLTDGEMGYDNSSRIESKRGNRWFMDSNGLEFFNNKKQAMEAVNGRPVSGVPHLAISPWDNTSGFQSVPGQFTDRLFGSEPVRTVNLGDRNIQSVGSENMNLGRKGFEDQYGNDPSVGLSMSHTIEDPSSCLNFGGIRKVKVNEVRDSDDVVSASMGHSYCKGDSNTMSMANTYNKSDDNAISLGSAYNTGEENAISIGPSFNKADDNFISMGHTFSKANSNFISMAHNYNKGDNSILSMGQPFDKEDGNFISMGQSYEKGDSSFISLGNSYHKGHENFISMGATYGKANENFISMAPTYDKQTDNMMSMGPNYDKADSNVVPIGPPYHKGESNVSMSHNYNKNESTTISFGSFHHETDTNPSGGIISSYDLLMNNQNTAEQSEESGLKDPIQSNMDPNVDDALKLDSKTDTVSKIKEPKTARKAPPNNFPSNVKSLLSTGMFDGVPVKYVSWSREKNLKGIIKGTGYLCSCDDCNHSKSLNAYEFERHAGAKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFDAIQTVTGSPINQKNFRIWKASYQAATRELQRIYGKDEVAIQS >ONI23539 pep chromosome:Prunus_persica_NCBIv2:G2:23208463:23210808:-1 gene:PRUPE_2G193700 transcript:ONI23539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSNPFFLVIVTVCIFVAIRVALYKTGLVFLVKKWWRRFEDCFHVYQSFKVPEFNESMQENHLYGRVTDYLNSLTSIEESDFTNLVTGKKPNEIVLKLDRNQTIEDDFLGAKVLWETGASTDSTSRSLVLKIRKADKRRILRPYLQHIHVVADELEQKKRDLRLFMNVDAPNRAWRAVPFTHPSTLETITMEADLKSKVKSDLESFLKARQYYHRLGRVWKRSFLLYGPSGTGKSSFVAAMANFLNYDVYDLDLSRVKDDSELKTLLLQTTTKSVIVIEDLDRYLAEKSTGLSFSGISNFMDGLLNSCCAEERVMVFTMNSKDHVDPAFLRPGRIDVHIHFPLCDFGAFKNLATSYLGVKEHKLFSQVEEIFLNGSSLSPAEIGELMIANRNSPSRAIKSVITALQTDGDGRGIGMFGRRVGFDVGSRKSADEMVEPGGVFCGEGAHTVRDFRKLYGFLRMKSNRSSHSQSFDAGSANRDG >ONI21742 pep chromosome:Prunus_persica_NCBIv2:G2:13512160:13513750:-1 gene:PRUPE_2G085300 transcript:ONI21742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVLMLPWLAHGHISPFLELAKKLTNKNKDFYIFICSTPVNLSSIKPKLSEEYSHCIEFVELHLPHDDLPPHYHTTNGLPPHLMATLKKTFDMASPNFSNILKTLKPDLLIYDFLQPWAPSLALLQNIPAIEFSIMNAALLSSVCAHDLNNPTAKFPLHLRDYDIRKFHNQCEFSSNGIKDGDRIQQCCARSCKIILVKTSREIEAKYVDYLSDLVKKKIVPVGPLVQDPMGQTLDEETWIMKWLNKRERSSVVYVSFGSEYFLSKEEIEDIAHGLELSKVSFIWVIRFPKEEKGTRVEEVLPEGFSERVGEKGMIVEGWAPQSKILEHYSVGGFVSHCGWSSVLESIKFGVPIIALPMLYDQPINARLVEEVGVGVEVKRTAEGSFQSEEVAKVIRDVVVDKIGEGVRKKALELRDNMKNKEDAERDGVVEELMQLCTG >ONI21624 pep chromosome:Prunus_persica_NCBIv2:G2:11622175:11624201:1 gene:PRUPE_2G076300 transcript:ONI21624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALKEQRCEREPPVDVDVDDDDFAGLACPLDDLEAAVILESETTTFDPFLFDNVKLDDHNMAIQENPKKPPSHGKGKKLETLRLVLCTPPPSSCQQNPTGPTKSDAHHQRQHWDDEEKLENSKRKLHQGYQEFQSKRKKIQLLDVKNLPLPSKPQRGRVLSENKQLISLRKCPEPSDFSESQQNPKGLTKSTDHDLHHRQDWDDEEKLETSVQKLHQGYQEFQNKRKKIQIIDIKNLPLPSKPGRGRVLTKNKFLTSSRKCRAF >ONI24276 pep chromosome:Prunus_persica_NCBIv2:G2:25575263:25578075:-1 gene:PRUPE_2G231900 transcript:ONI24276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPCDDVVVIKEGKTPSEPSVVTVNCPDKAGLGCDLCRIILQFGLCVTKGDFSTDGRWCYIVLWVVPHHSSLKVDWESLKDRLSSACPPSCFFSFYLNQVSNVPTPPPVYLLKFWCHDQRGSLHDVTKILCELEILIQRVKVMPTPDGRVLDLFFITDCLDLLHTKQRRDNICEHLMAALGEYCITCELKLPGPEYESLQGNSSLPPAIAEELFSYQLKDEEAYPKALSSNMGAVKKASITVDNSLSPVHTVLQIECVDQKGLFYDVLRTSKDCNIQIAYGRFSSTKKGFRNLDLFIRQTDGKKIGDPESQVALCSRLKEEMVHPLRVIIANRGPDIELLVANPVELCGKGRPLVFYDVTLALKKLRVSIFAAEIVRHSTLDCQWEVYRFLLDDSREFPLSSKQARGKIVEKVRRTLMGW >ONI20367 pep chromosome:Prunus_persica_NCBIv2:G2:1040069:1041578:1 gene:PRUPE_2G011900 transcript:ONI20367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFDEFSRFLGGLQRPSHMQGRFTTQEAFSLFGEIIKSKIINDRETERSRGFGFVTFNHEKAIRDTIEGING >ONI21754 pep chromosome:Prunus_persica_NCBIv2:G2:13695982:13700048:1 gene:PRUPE_2G086400 transcript:ONI21754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSRKPAEQAFNAEDHAPTEPKTHKQRWRLALTVISFTRALNTLAKKVIYDKGQVPRSISYISIDVPSNEDDSAGKSSRSLLDLDQKALTDMVKDKNLDLLSQFGGVKDLASTLGTDVKGGIGGGEADLMHRKDVFGANVFQKPPAKRFMSFFIEAFKDTTIIILLVCAILSLGFGIQKHGLKNGWYDGGSIVLAVLLVVIVTAVSNFKQSRQFDKLSTKSSDISVEIVRAGQRRPISIFDIVVGDLVCLKIGDQVPADGVFMEGHSLKVDESSMTGESEHIEINSGNHPFLLSGTKVTDGFGLMLVTSVGMNTAWGEMMSSISRDLDEQTPLQARLDKLTSYIGKVGLAVAVLVLAVSLIRYFTGHTTDDKGNREFYGGKTKFDDVVNSALDILAAAITIVVVAIPEGLPLAVTLTLAYSMKKMMNDNALVRRLSACETMGSATTICTDKTGTLTMNEMKVTEFWLGPEAMTEENQSEITQPILQLLHQAVGLNTTGSVCMPNSSSVPEISGSPTEKAILSWAVFDLGMNSEEVKQGCQIIHVETFNSEKKRSGVLMRRNGEKATETHWKGAAEMILALCSNYYDKTGKVRAISDEERLHVESIIQNMAAKSLRCIAFAHKISEEENGSQGHEKLEESGLTLLGLVGLKDPCRPGVRTAVDACRAAGVKIKMITGDNVHTAKAIAVECGILKPEEDLEDDAVVEGVQFRNYSPEETMERIDKIRVMARSSPFDKLKMVQYLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVVTVLRWGRCVYNNIQKFLQFQLTVNVAALVINFVAAVSSGKVPLTAVQLLWVNLIMDTLGALALATEQPTNELMDKKPVGRTEPLITRVMWRNLLSQALYQITILLTLQFKGRSIFGVDEKAKNTLIFNTFVFCQVFNEFNSRNMEKKNIFKGLLKNKLFLAIIGITAVLQIVMVELLTKFASTKRLNWGQWGACIGIAAMSWPIGWLVKYIPVSG >ONI24317 pep chromosome:Prunus_persica_NCBIv2:G2:25666767:25668332:1 gene:PRUPE_2G233800 transcript:ONI24317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEAAAPLPPPLPPPPFYSSVSSRLASLMLRIITFILLLISLIVLGTNTMEINQSKLRFQDIYAYRYMLSTIVIGTAYSLLQLALSIQNVVSGQDGILLLDFFGDKLISNLLATGTGFAVTVDTKRLTDADPRLESIDIRKFYDKAYASASLLLLAFCSTAMLSIISSYTLPKRV >ONI24940 pep chromosome:Prunus_persica_NCBIv2:G2:27466543:27468752:1 gene:PRUPE_2G270400 transcript:ONI24940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRLFEASHTGDVQLLHQLLAENPLLLHSLALASTENPLHVASTAGHVDFVKETVRLKPAFVKELNQEGFSPMHIASANGYFEIVRELLKVDPILCRLNGRDQWTPLHYAAARGRVDVVGEMVLACPESVEDVTTQGETALHLAVKNSQFEAIKVVVELAIQLTKANVLNMKDKHGNTALHLATWKKQHQVVEWLVGINGTTPGALEINNVNQSGLTPLDLLLIFPSEAGDGEIHETLRRAGSSRAQDIAHSAVPSLDSHILSLCPMASETPQLQQPNNLMEYFKFKKGRDSPSDARTALLVVAVLVATATFQVGLSPPNGVWQDNAGLTKNGTGSAEPARLAGKSIMGSYNAVLFVIFVSFNSIGFSVSLHMISVLTSNFPLQLELQICVVAMYVTYNTAMINIAPDNTTVLITVFTAVLPTLVSVAAKWGRHFFMRLREFLAN >ONI21841 pep chromosome:Prunus_persica_NCBIv2:G2:14679164:14688327:-1 gene:PRUPE_2G092900 transcript:ONI21841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVEEEVIEAHVKQLLSRMTLKEKVGQMTQIESQVATPAAIKDLSIGSIFSAPGSLPVENASPSDWSDMVDGFQRSALESRLGIPLIYGTDAVHGNGNVFGAAIFPHNVGLGATGDADLARRIGVATALEARACGIHYTFAPCVAVCKDPRWGRCYESYSEDTEIVSKMTSIVSGLQGQPPQGYPKGYPFVAGRNNIIASAKHFVGEGGTKKGVNEGNNISSYDDLERIHMRPYLDCISQGVSTIMVSYNSWNGQRLHGHSFLLTEILKDKLGFRGFVISDWDGIDQLCEPEGSNYRLCISLAINAGIDMVMVPFRYEQFIEELIYLVESGEIPMSRIDDAVERILRVKFVAGLFEHPFTDTSLQDIVGCKMHRDLAREAVRRSLVLFKNGKDPMEPFLPLEKNAKRILVAGTHADDLGNQCGGWTATKYGSSGRITIGTTILEAIKKAFGDDTEIIYEKYPSTETLARQDITFAIVAVGEAVNVQNEGKGDNSKLVIPLNGADIISSVADKIPSLVILISGRPLVLEPWLLEKIDALVAAWLPGTEGDGIADVIFGDHDFEGQLPVTWFKRVEQLPVNAGDNSYDPLYPLGFGLACNKERC >ONI21840 pep chromosome:Prunus_persica_NCBIv2:G2:14679206:14688327:-1 gene:PRUPE_2G092900 transcript:ONI21840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVEEEVIEAHVKQLLSRMTLKEKVGQMTQIESQVATPAAIKDLSIGSIFSAPGSLPVENASPSDWSDMVDGFQRSALESRLGIPLIYGTDAVHGNGNVFGAAIFPHNVGLGATGDADLARRIGVATALEARACGIHYTFAPCVAVCKDPRWGRCYESYSEDTEIVSKMTSIVSGLQGQPPQGYPKGYPFVAGRNNIIASAKHFVGEGGTKKGVNEGNNISSYDDLERIHMRPYLDCISQGVSTIMVSYNSWNGQRLHGHSFLLTEILKDKLGFRGFVISDWDGIDQLCEPEGSNYRLCISLAINAGIDMVMVPFRYEQFIEELIYLVESGEIPMSRIDDAVERILRVKFVAGLFEHPFTDTSLQDIVGCKMHRDLAREAVRRSLVLFKNGKDPMEPFLPLEKNAKRILVAGTHADDLGNQCGGWTATKYGSSGRITIGTTILEAIKKAFGDDTEIIYEKYPSTETLARQDITFAIVAVGEAVNVQNGKGDNSKLVIPLNGADIISSVADKIPSLVILISGRPLVLEPWLLEKIDALVAAWLPGTEGDGIADVIFGDHDFEGQLPVTWFKRVEQLPVNAGDNSYDPLYPLGFGLACNKERC >ONI23563 pep chromosome:Prunus_persica_NCBIv2:G2:23313615:23315537:1 gene:PRUPE_2G195300 transcript:ONI23563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELIISPSSSSSLVSLPQETSPSLQQRLQFIVQSQPDWWSYAIFWQPSNDHQDNGRLFLTWGDGHFQGSKDPSAKHHNNPYGILSERRKILKGIQSLINDNNPDHHQDSIMDHMGLDADVSDGEWFYVMSLARSFSIGETTISASVPGKAFSSGSVVWLTGSHELQFYNCDRAKEAQMHGFQTLVCIPTPTGVLEMGSSDSIRENWSLVQQAKSLFGSDLICSVADQPDPETRSPIDFINRNFSFADIGIIAGVEEEEDDKKEVALDLTMMKRKGGNPGTGLYPDSNANPKPDYSDSDGPKRTPKKRGRKPGLGRDTPLNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVSYINELKTKVDELESQVQRESKKVKVETGDNLDIQSTTTSVEQIAKPPSSSANGSGLEVEVKIVGTDAMIRVQSENVNYPSARLMAALRDLELQIHHASLSCINELMLQDIVLKVPENMRSEDSLKSALLRILDQN >ONI23562 pep chromosome:Prunus_persica_NCBIv2:G2:23313615:23316156:1 gene:PRUPE_2G195300 transcript:ONI23562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELIISPSSSSSLVSLPQETSPSLQQRLQFIVQSQPDWWSYAIFWQPSNDHQDNGRLFLTWGDGHFQGSKDPSAKHHNNPYGILSERRKILKGIQSLINDNNPDHHQDSIMDHMGLDADVSDGEWFYVMSLARSFSIGETTISASVPGKAFSSGSVVWLTGSHELQFYNCDRAKEAQMHGFQTLVCIPTPTGVLEMGSSDSIRENWSLVQQAKSLFGSDLICSVADQPDPETRSPIDFINRNFSFADIGIIAGVEEEEDDKKEVALDLTMMKRKGGNPGTGLYPDSNANPKPDYSDSDGPKRTPKKRGRKPGLGRDTPLNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVSYINELKTKVDELESQVQRESKKVKVETGDNLDIQSTTTSVEQIAKPPSSSANGSGLEVEVKIVGTDAMIRVQSENVNYPSARLMAALRDLELQIHHASLSCINELMLQDIVLKVPENMRSEDSLKSALLRILDQN >ONI20177 pep chromosome:Prunus_persica_NCBIv2:G2:223392:223975:1 gene:PRUPE_2G001200 transcript:ONI20177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQWPPNANTCCHIQKRWFCLVLFCFGLFRLQKGFEERRNWGLDLGRKENKEEEEELGFEGKAVGFVGCS >ONI22590 pep chromosome:Prunus_persica_NCBIv2:G2:19520982:19525155:1 gene:PRUPE_2G138100 transcript:ONI22590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLSIEPQELQFPFELRKQISCSLLLSNKTDSYIAFKVKTTNPKKYCVRPNTGVVSPRSTCDVIVTMQAQKEAPSDMQCKDKFLLQSVVAGPGATPKDITPEMFNKESGHNVEECKLRVLYVAPPRPPSPVHEGSEEGSSPRASVDNGSAIASEFKAASRAFAEQHEPQDNSSETRTLIVRLTEEKNSAIQQNNRLQQELDLLKRGANRSSSGIPFIYVVLVGLIGIILGYLLKKT >ONI25458 pep chromosome:Prunus_persica_NCBIv2:G2:29068836:29071733:1 gene:PRUPE_2G304500 transcript:ONI25458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDATAWTSNTSGAGVEGATLAESGNFILYDRVNRPVWQSFSHPSDTLLPNQPLSVSLELTTSKSPSHGGYYALKMLQQRTSLSLALTYNMPETLYNSSPESYYNYSYWNGPDISNVTGDVVAVLDEAGSFGIVYGESSDGSVYVYKNDGDDGGLSAASNISNRLSVLRRLTVESNGNLRLYRWDDDVNGTRQWVPEWAAVSTPCEIAGICGNGICNLDRSKTNASCSCLPGTHKVNGESQCSENSSLIRKCDLRNNYQPSQFRISTVQQTNYYFPEFSVIANYSDVENVSKCGDICLHDCECVASVYGLDDEKPYCWVLRSMDFGGYGDPGSTLFMKVTTNGSVTPEGNARGSGESSKGSSNRREKVLVIPIVLSMTFLIVLLCLLLYYNVHRKRSLKRTLESSIILSGAPLNFSYRDLQIRTWNFSQLLGTGGFGSVYKGSLADGTLVAVKKLERVLPHGEKEFITEVNTIGSMHHMNLVRLCGYCSEGSNRLLVYEFMKNRSLDKWIFSSNYSRDKLLDWQSRFNIAVGTAQGIAYFHEQCRDRIIHCDIKPENILLDENFCPKVSDFGLAKFMGKEHSQVVTMVRGTRGYLAPEWVSNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPGWAFKEMTSGNPMKVADRRLEGAVEAEELMRALKVAFWCIQDEIIMRPTMGDVVRMLEGSVDINMPPMPQTVLELIDEGLDHVYRAMKREFNHFSSFTINTHPSSSQATCSYSTMSPR >ONI25457 pep chromosome:Prunus_persica_NCBIv2:G2:29068103:29071733:1 gene:PRUPE_2G304500 transcript:ONI25457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTYFSTCLVLLFFLVVAGFDACSASQIGLGSRLLARENQTLVSDNGTFAFGFTPTDEHDRFQLAIWFAELPGDRTIVWSANRNADVSNNAILELDTTGNLVLMDGDATAWTSNTSGAGVEGATLAESGNFILYDRVNRPVWQSFSHPSDTLLPNQPLSVSLELTTSKSPSHGGYYALKMLQQRTSLSLALTYNMPETLYNSSPESYYNYSYWNGPDISNVTGDVVAVLDEAGSFGIVYGESSDGSVYVYKNDGDDGGLSAASNISNRLSVLRRLTVESNGNLRLYRWDDDVNGTRQWVPEWAAVSTPCEIAGICGNGICNLDRSKTNASCSCLPGTHKVNGESQCSENSSLIRKCDLRNNYQPSQFRISTVQQTNYYFPEFSVIANYSDVENVSKCGDICLHDCECVASVYGLDDEKPYCWVLRSMDFGGYGDPGSTLFMKVTTNGSVTPEGNARGSGESSKGSSNRREKVLVIPIVLSMTFLIVLLCLLLYYNVHRKRSLKRTLESSIILSGAPLNFSYRDLQIRTWNFSQLLGTGGFGSVYKGSLADGTLVAVKKLERVLPHGEKEFITEVNTIGSMHHMNLVRLCGYCSEGSNRLLVYEFMKNRSLDKWIFSSNYSRDKLLDWQSRFNIAVGTAQGIAYFHEQCRDRIIHCDIKPENILLDENFCPKVSDFGLAKFMGKEHSQVVTMVRGTRGYLAPEWVSNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPGWAFKEMTSGNPMKVADRRLEGAVEAEELMRALKVAFWCIQDEIIMRPTMGDVVRMLEGSVDINMPPMPQTVLELIDEGLDHVYRAMKREFNHFSSFTINTHPSSSQATCSYSTMSPR >ONI23072 pep chromosome:Prunus_persica_NCBIv2:G2:21636588:21639998:1 gene:PRUPE_2G168400 transcript:ONI23072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARCCFKLPYAIVVVLLLNMNSPCIGCSERDRQALLALKQGLVGDDGDRLLSWGREAQNRNCCQWEGVYCSSNQTGHVVKLDLEDQSLRGKISPELVKLQHLEYLNLRFNNLSRSQIPDFIGSLSNLRHLDLSSANFGGQIPNQLGNLTHLQYLDLSSHGYFVIRPENSIHAKNLNWLPNLSGLKHLDLTYTNLSDVVGWLEAVNMLPKLRKLILSACKLPRPIISSVSLMNSSNSLVHVDLSHNNLNSSIFQWLSGTRTNLVYLDLSWNNFRGSSIPDYFGNMSSLAYLSLYYNHLEGGIPNSFAKLCRLRELDLGFNSLSGQLSDFVETLSKCAQKTLESLDISHNPDLSGSLPDLTNFLSLKSLFLEKNNLSGRIPESIGQMSKLETIGFGWNSLEGVISETHFSKLSKLSYLSLSSNSLLLNFSFDWIPPFQLRRIQLTSCKMWPSSFPKWLQTQKNYTWLDISDAGISDTIPSWFWDFSQKIKVMDISHNQMRGTVGNIRLDFAPRLNLSWNQLNGPIPSILSKASVLDLSHNNFSGAASFLCATEDSNLTFLDLSSNHVSGELPDCWIHFKKLVFLDFSNNYLFGKIPTTMGHLFSIETLRLSNNRFVGQLPSQLKNCTKLTLFDLGENSLSYSIPEWLGASLPNLTILILRGNQFYRSIPPQLCHLTSIQILDLSMNNISGTIPKCLNNLIVLAKKRNSRRIIRHSYTAKLGELSYIWDYEEEASLTWKGVRSKYKSTLGLVKSIDLSSNKLTGEIPSEITDLVGLVSLNLSRNQLTGQIPPRIGMLLELDFLDLSRNQINGRIPNSLSQIDRIGYLDLSENNLSGKIPIGTQLQSFSPSSYGGNPLLCGLPLLRTCNEEEKGPRQTVLVNQDDKDGLISQGFYISLGLGFAVGFWGVFGTLLFNRSCRYTYFNFWTCFTDWLYVKTEIIRQRILPAPLKRHSFPSVEDGREEGLLFALAFEYLSDDDEGSH >ONI23002 pep chromosome:Prunus_persica_NCBIv2:G2:21367727:21369271:1 gene:PRUPE_2G163500 transcript:ONI23002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERRLTIAGNSNSNNGHLPSTMIEEILSRLPVKSLCRFKCVSKPWSSLISDDPSFVALHLCKGFGEDKDDLLFRRRRRVIFTDAAGNGLHSMHLDYDDEFLNHNNEEDASYYHDNRNRNSFDFDDDDEEEEEGGGSNLLATATELQYVYSELSGISVSMLGCCNGLLLCMFLYDPQLYLVNPATRQSKKLPQIPTQYTIDDLYFCNVYGFGFDSSTHQHKVVNGVVYRRNVRDDDEGGVEFNVYALETNSWRQIQCLFPHHIFPCQNKGTLLNGNLHWLGTRVGEDDHDHLC >ONI21774 pep chromosome:Prunus_persica_NCBIv2:G2:13924691:13927709:-1 gene:PRUPE_2G088300 transcript:ONI21774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFTFSFLDMFKVFSQILRINYYKITTCSQMITHCHAENLLTDELFPPSLSMETQTSSSSWFLILSLLAVTSSSESSNEKTIGRVCPPSSCGSIGNISYPFRLNSDPTNCGVSFYTLSCENNLTVLNLYSGKYTVRSINYDNNTIRAVDPGLRKNDCSSLPRYSLSPYNFSFQDPYKWLSSNCTGYPQICRSSSPIIYLKCQRVPANLSLYVDASSCIGSRGYYYVKAGTLTASDLEDGCRVDRTAITTLNEKDQNGSYQHIHEALVFGFELEWRPDLYTRYSCRGWSFSNTCYPNRFIGFFQSVFEYIDAQRYAVLFILVFVLARFVLGLPFVIAVLVYKWRRRHLSMYSSIEEFLQNEQNFAPIRYSYSDVKKMTSKFREKLGEGGYGTVFKGKLRSGRFVAIKMLGKSKANGQDFINEVATIGRIHHVNVVQLVGYCVEGSNRGLVYDFMPNGSLDKYIYSKEGSVPLSCNKKMYEVALGVARGIEYLHQGCDMQILHFDIKPHNILLDENFVPKLSDFGLAKLYPTDNSIVNLTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMEMGSRRKNFNALVEHSSQVYFPPWAHDQYSEGKDLEIGDDTEEEKKIIKKMIIAALWCIQMKPSDRPSMNKVIDMLEGDIENLRMPNKPFLSVEEMPVGHVQENSNPTCSNKELTCTLSGR >ONI21775 pep chromosome:Prunus_persica_NCBIv2:G2:13925132:13927651:-1 gene:PRUPE_2G088300 transcript:ONI21775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFTFSFLDMFKVFSQILRINYYKITTCSQMITHCHAENLLTDELFPPSLSMETQTSSSSWFLILSLLAVTSSSESSNEKTIGRVCPPSSCGSIGNISYPFRLNSDPTNCGVSFYTLSCENNLTVLNLYSGKYTVRSINYDNNTIRAVDPGLRKNDCSSLPRYSLSPYNFSFQDPYKWLSSNCTGYPQICRSSSPIIYLKCQRVPANLSLYVDASSCIGSRGYYYVKAGTLTASDLEDGCRVDRTAITTLNEKDQNGSYQHIHEALVFGFELEWRPDLYTRYSCRGWSFSNTCYPNRFIGFFQSVFEYIDAQRYAVLFILVVFVLARFVLGLPFVIAVLVYKWRRRHLSMYSSIEEFLQNEQNFAPIRYSYSDVKKMTSKFREKLGEGGYGTVFKGKLRSGRFVAIKMLGKSKANGQDFINEVATIGRIHHVNVVQLVGYCVEGSNRGLVYDFMPNGSLDKYIYSKEGSVPLSCNKKMYEVALGVARGIEYLHQGCDMQILHFDIKPHNILLDENFVPKLSDFGLAKLYPTDNSIVNLTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMEMGSRRKNFNALVEHSSQVYFPPWAHDQYSEGKDLEIGDDTEEEKKIIKKMIIAALWCIQMKPSDRPSMNKVIDMLEGDIENLRMPNKPFLSVEEMPVGHVQENSNPTCSNKELTCTLSGR >ONI25953 pep chromosome:Prunus_persica_NCBIv2:G2:30206860:30209325:-1 gene:PRUPE_2G328700 transcript:ONI25953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDNISTHSHKDFYKVLEVDYDANDEKIRFNYRRLALKWHPDKYKGDSDVTTKFQEINEAYEVLSDPAKRLDYDLTGTYEIDKYTLREYLARFKGMILTCNGLGIGHTSTWTKQVMETDGLSEK >ONI25952 pep chromosome:Prunus_persica_NCBIv2:G2:30206435:30209775:-1 gene:PRUPE_2G328700 transcript:ONI25952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDNISTHSHKDFYKVLEVDYDANDEKIRFNYRRLALKWHPDKYKGDSDVTTKFQEINEAYEVLSDPAKRLDYDLTGTYEIDKYTLREYLARFKGMILTCNGLGIGHTSTWTKQVMETDGLSEK >ONI22736 pep chromosome:Prunus_persica_NCBIv2:G2:20371959:20373425:-1 gene:PRUPE_2G147500 transcript:ONI22736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLHTNLGDIKCEIFCDEVPKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGMLSMANSGPNTNGSQFFINYAKQPHLNGLYTVFGKVIHGFEVLDIMEKVLC >ONI22734 pep chromosome:Prunus_persica_NCBIv2:G2:20371342:20373425:-1 gene:PRUPE_2G147500 transcript:ONI22734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLHTNLGDIKCEIFCDEVPKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGMLSMANSGPNTNGSQFFINYAKQPHLNGLYTVFGKVIHGFEVLDIMEKTPTGPGDRPLAEIRLNRVTIHANPLAG >ONI22735 pep chromosome:Prunus_persica_NCBIv2:G2:20372101:20373425:-1 gene:PRUPE_2G147500 transcript:ONI22735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLHTNLGDIKCEIFCDEVPKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGMLSMANSGPNTNGSQFFINYAKQPHLNGLYTVFGKVIHGFEVLDIMEKVLC >ONI22214 pep chromosome:Prunus_persica_NCBIv2:G2:17259533:17260659:-1 gene:PRUPE_2G114700 transcript:ONI22214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSYSVCSPRRILSVSKKRRATVSFVDSDDKASGFHGPKPSEVYGFVGSITTVVATVIFLVWAYVPESWLHSIGIFYYPSRYWALAVPAYAMMTVVLALGFYCGVNFMSTPPPSSLYTVYDEFSRDPLSSVPMGGDDQPIEPISDISIDRINRSMFK >ONI22213 pep chromosome:Prunus_persica_NCBIv2:G2:17258792:17261296:-1 gene:PRUPE_2G114700 transcript:ONI22213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLRGSLVSMAIHTQTHTTFGFNLGSTRAQQPPQNASLSCVLASHFADSSPRRILSVSKKRRATVSFVDSDDKASGFHGPKPSEVYGFVGSITTVVATVIFLVWAYVPESWLHSIGIFYYPSRYWALAVPAYAMMTVVLALGFYCGVNFMSTPPPSSLYTVYDEFSRDPLSSVPMGGDDQPIEPISDISIDRINRSMFK >ONI22215 pep chromosome:Prunus_persica_NCBIv2:G2:17258792:17261155:-1 gene:PRUPE_2G114700 transcript:ONI22215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSYSVCSPRRILSVSKKRRATVSFVDSDDKASGFHGPKPSEVYGFVGSITTVVATVIFLVWAYVPESWLHSIGIFYYPSRYWALAVPAYAMMTVVLALGFYCGVNFMSTPPPSSLYTVYDEFSRDPLSSVPMGGDDQPIEPISDISIDRINRSMFK >ONI20349 pep chromosome:Prunus_persica_NCBIv2:G2:970367:972046:1 gene:PRUPE_2G010800 transcript:ONI20349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSKVKVLEQCQVSPPPNSCSPDISQTSLPLTFLDIPWLFFSPSLPLFFYEFPFPTCHFTSTVLPNLKHSLSLTLQHFYPFAGTLVALPEPGIPELVYTQGDSVSVTVVESDGDFVYLSSNFPREVEEFYTLVPELASSSSFAPISNATTQAAKLPLSSVQITVFPNCGICIGLAYHHVVADGRTFNNFIKAWASFSRLGDSSKVDVRSKPSYDRTTIVDPYGLEAIFLKEWWKRISSQGLVLLGPSYNNGHSTNVVRATFLVDLAQMEGIKNWIIALCNKGNEPHPVHLSPYVLTCAFLWVCLVKIQENDLNHNIWCGEDPNYFGFIAGGLTRMGHPVPPTYVGNCVGFGRSMAVRKDLLGENGIVIAARAIGNTIKKLDKEILGGAEKWISEWEVMFGSELHVMVFGSPKVDLYETDFGWGRPKKIEDIGIDGAKAISLTESRDILGGIEVGLVLPKPQMEAFKTFLIEGLDAFS >ONI23557 pep chromosome:Prunus_persica_NCBIv2:G2:23278829:23279932:1 gene:PRUPE_2G194800 transcript:ONI23557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKETLTQSFAASDAKAPAPPSPSKGSPWWHPDPSKLQKCTGAYRTAPGTCYREIFVSFWIRKVAIGEDCCKDIVEADDDCASTVFSQFNNHFFQMLKQHCSNKEGHASTIATKGNTGTTSTESNTITTKVIRFLVES >ONI21560 pep chromosome:Prunus_persica_NCBIv2:G2:11011789:11015214:-1 gene:PRUPE_2G072900 transcript:ONI21560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVSKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCRGKGSKSGASLKCPGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCTQCKGEKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTITGDIVFVLQQKEHPKFKRKGDDLFFEHTLSLVEALCGFHFVLTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLNPEQCKGLEAVLPPRSSAQLTDMELDECEETTLHDVNIEEEMRRKQAQAQEAYDEDDDMHGGAQRVQCAQQ >ONI21562 pep chromosome:Prunus_persica_NCBIv2:G2:11011999:11015173:-1 gene:PRUPE_2G072900 transcript:ONI21562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVSKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGSPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCRGKGSKSGASLKCPGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCTQCKGEKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTITGDIVFVLQQKEHPKFKRKGDDLFFEHTLSLVEALCGFHFVLTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLNPEQCKGLEAVLPPRSSAQLTDMELDECEETTLHDVNIEEEMRRKQAQAQEAYDEDDDMHGGAQRVQCAQQ >ONI21563 pep chromosome:Prunus_persica_NCBIv2:G2:11011999:11015173:-1 gene:PRUPE_2G072900 transcript:ONI21563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVSKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGSPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCRGKGSKSGASLKCPGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCTQCKGEKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTITGDIVFVLQQKEHPKFKRKGDDLFFEHTLSLVEALCGFHFVLTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLNPEQCKGLEAVLPPRSSAQLTDMELDECEETTLHDVNIEEEMRRKQAQAQEAYDEDDDMHGGAQRVQCAQQ >ONI21561 pep chromosome:Prunus_persica_NCBIv2:G2:11011999:11015173:-1 gene:PRUPE_2G072900 transcript:ONI21561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVSKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGSPFGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCRGKGSKSGASLKCPGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCTQCKGEKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTITGDIVFVLQQKEHPKFKRKGDDLFFEHTLSLVEALCGFHFVLTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLNPEQCKGLEAVLPPRSSAQLTDMELDECEETTLHDVNIEEEMRRKQAQAQEAYDEDDDMHGGAQRVQCAQQ >ONI21559 pep chromosome:Prunus_persica_NCBIv2:G2:11011775:11015592:-1 gene:PRUPE_2G072900 transcript:ONI21559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVSKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGSPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNIICSKCRGKGSKSGASLKCPGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCTQCKGEKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTITGDIVFVLQQKEHPKFKRKGDDLFFEHTLSLRRKCVESKHRPKRLMMRMTICMVVPRGFNARSND >ONI25872 pep chromosome:Prunus_persica_NCBIv2:G2:30021517:30027744:-1 gene:PRUPE_2G324500 transcript:ONI25872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRQVQKAIAFAKKAHHGQLRRTGDPYLVHCIHTGRILAMLVPSSGQRAVETVVAGILHDVVDDTCESFPHIEEEFGDDVARLVAGVSRLSYINQLLRRHRRINLNQGRLGHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLTKAQAVAKETLVIWCSLASRLGLWAMKAELEDLCFAVLQPQMFKKMRADLALMWSHSSKVGNSKRISSSLPLNEKSSISDNEGSIAVDEDVTTMKDLLEAVVPFDVLLDRTKRSKFLNTLGQGLEPRTRPKVVQDAGIALASLVICEEALEQELIISTSYVPGMEVTLSSRLKSLYSIYTKMKRKDVSINKVYDARALRVVVGDKKGTLHGPAVQCCYNLLDIVHKHWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDRSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNKLSNINSTDESEIDASSFFSTNMEDQNSTVDDLFQKYSLLKIGHPVLRVQGSHLLAAVIIRVDKDGRELLVAVSFGLAASEAVADRKSPFQIKRWEAYARLYKKVTDEWWCEPGHGDWRTCLEKYALCRDGMYHKQDQFGRLLPTFIQVIDLTDQEESEYWAVVSAVFDGRQLDDITSTPRFTSAASTSMETSINNKVRLLRTMLRWEEQLRSEASLGQAKQSEKFQGSPASVVPGEVVIICLPNGDIMRLRTGSTAADAARRVGLEGKLVWVNGQLVLPNTKLTDGDVVEVRA >ONI25880 pep chromosome:Prunus_persica_NCBIv2:G2:30022557:30027744:-1 gene:PRUPE_2G324500 transcript:ONI25880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRQVQKAIAFAKKAHHGQLRRTGDPYLVHCIHTGRILAMLVPSSGQRAVETVVAGILHDVVDDTCESFPHIEEEFGDDVARLVAGVSRLSYINQLLRRHRRINLNQGRLGHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLTKAQAVAKETLVIWCSLASRLGLWAMKAELEDLCFAVLQPQMFKKMRADLALMWSHSSKVGNSKRISSSLPLNEKSSISDNEGSIAVDEDVTTMKDLLEAVVPFDVLLDRTKRSKFLNTLGQGLEPRTRPKVVQDAGIALASLVICEEALEQELIISTSYVPGMEVTLSSRLKSLYSIYTKMKRKDVSINKVYDARALRVVVGDKKGTLHGPAVQCCYNLLDIVHKHWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDRSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNKLSNINSTDESEIDASSFFSTNMEDQNSTVDDLFQKYSLLKIGHPVLRVQGSHLLAAVIIRVDKDGRELLVAVSFGLAASEAVADRKSPFQIKRWEAYARLYKKDTGIGALA >ONI25870 pep chromosome:Prunus_persica_NCBIv2:G2:30021262:30028245:-1 gene:PRUPE_2G324500 transcript:ONI25870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQSSSTNTNTMLAHKFHRLHLRSSPKFRCVLDQIAPNLAVSSSLSSVFTSANVIAAAAAASGSGSLHGAVTSTITQVAVTALAIASGACLSTKVDFLWPKMEAQPGSDVVEGVDVTGYPIFNDPKVQKAIAFAKKAHHGQLRRTGDPYLVHCIHTGRILAMLVPSSGQRAVETVVAGILHDVVDDTCESFPHIEEEFGDDVARLVAGVSRLSYINQLLRRHRRINLNQGRLGHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLTKAQAVAKETLVIWCSLASRLGLWAMKAELEDLCFAVLQPQMFKKMRADLALMWSHSSKVGNSKRISSSLPLNEKSSISDNEGSIAVDEDVTTMKDLLEAVVPFDVLLDRTKRSKFLNTLGQGLEPRTRPKVVQDAGIALASLVICEEALEQELIISTSYVPGMEVTLSSRLKSLYSIYTKMKRKDVSINKVYDARALRVVVGDKKGTLHGPAVQCCYNLLDIVHKHWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDRSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNKLSNINSTDESEIDASSFFSTNMEDQNSTVDDLFQKYSLLKIGHPVLRVQGSHLLAAVIIRVDKDGRELLVAVSFGLAASEAVADRKSPFQIKRWEAYARLYKKVTDEWWCEPGHGDWRTCLEKYALCRDGMYHKQDQFGRLLPTFIQVIDLTDQEESEYWAVVSAVFDGRQLDDITSTPRFTSAASTSMETSINNKVRLLRTMLRWEEQLRSEASLGQAKQSEKFQGSPASVVPGEVVIICLPNGDIMRLRTGSTAADAARRVGLEGKLVWVNGQLVLPNTKLTDGDVVEVRA >ONI25871 pep chromosome:Prunus_persica_NCBIv2:G2:30021296:30028245:-1 gene:PRUPE_2G324500 transcript:ONI25871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRQVQKAIAFAKKAHHGQLRRTGDPYLVHCIHTGRILAMLVPSSGQRAVETVVAGILHDVVDDTCESFPHIEEEFGDDVARLVAGVSRLSYINQLLRRHRRINLNQGRLGHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLTKAQAVAKETLVIWCSLASRLGLWAMKAELEDLCFAVLQPQMFKKMRADLALMWSHSSKVGNSKRISSSLPLNEKSSISDNEGSIAVDEDVTTMKDLLEAVVPFDVLLDRTKRSKFLNTLGQGLEPRTRPKVVQDAGIALASLVICEEALEQELIISTSYVPGMEVTLSSRLKSLYSIYTKMKRKDVSINKVYDARALRVVVGDKKGTLHGPAVQCCYNLLDIVHKHWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDRSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNKLSNINSTDESEIDASSFFSTNMEDQNSTVDDLFQKYSLLKIGHPVLRVQGSHLLAAVIIRVDKDGRELLVAVSFGLAASEAVADRKSPFQIKRWEAYARLYKKVTDEWWCEPGHGDWRTCLEKYALCRDGMYHKVRLLRTMLRWEEQLRSEASLGQAKQSEKFQGSPASVVPGEVVIICLPNGDIMRLRTGSTAADAARRVGLEGKLVWVNGQLVLPNTKLTDGDVVEVRA >ONI25876 pep chromosome:Prunus_persica_NCBIv2:G2:30021296:30028237:-1 gene:PRUPE_2G324500 transcript:ONI25876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRQVQKAIAFAKKAHHGQLRRTGDPYLVHCIHTGRILAMLVPSSGQRAVETVVAGILHDVVDDTCESFPHIEEEFGDDVARLVAGVSRLSYINQLLRRHRRINLNQGRLGHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLTKAQAVAKETLVIWCSLASRLGLWAMKAELEDLCFAVLQPQMFKKMRADLALMWSHSSKVGNSKRISSSLPLNEKSSISDNEGSIAVDEDVTTMKDLLEAVVPFDVLLDRTKRSKFLNTLGQGLEPRTRPKVVQDAGIALASLVICEEALEQELIISTSYVPGMEVTLSSRLKSLYSIYTKMKRKDVSINKVYDARALRVVVGDKKGTLHGPAVQCCYNLLDIVHKHWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDRSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNKLSNINSTDESEIDASSFFSTNMEDQNSTVDDLFQKYSLLKIGHPVLRVQGSHLLAAVIIRVDKDGRELLVAVSFGLAASEAVADRKSPFQIKRWEAYARLYKKVTDEWWCEPGHGDWRTCLEKYALCRDARPVWAIVANLHSGH >ONI25879 pep chromosome:Prunus_persica_NCBIv2:G2:30021296:30028237:-1 gene:PRUPE_2G324500 transcript:ONI25879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQSSSTNTNTMLAHKFHRLHLRSSPKFRCVLDQIAPNLAVSSSLSSVFTSANVIAAAAAASGSGSLHGAVTSTITQVAVTALAIASGACLSTKVDFLWPKMEAQPGSDVVEGVDVTGYPIFNDPKVQKAIAFAKKAHHGQLRRTGDPYLVHCIHTGRILAMLVPSSGQRAVETVVAGILHDVVDDTCESFPHIEEEFGDDVARLVAGVSRLSYINQLLRRHRRINLNQGRLGHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLTKAQAVAKETLVIWCSLASRLGLWAMKAELEDLCFAVLQPQMFKKMRADLALMWSHSSKVGNSKRISSSLPLNEKSSISDNEGSIAVDEDVTTMKDLLEAVVPFDVLLDRTKRSKFLNTLGQGLEPRTRPKVVQDAGIALASLVICEEALEQELIISTSYVPGMEVTLSSRLKSLYSIYTKMKRKDVSINKVYDARALRVVVGDKKGTLHGPAVQCCYNLLDIVHKHWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDRSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNKLSNINSTDESEIDASSFFSTNMEDQNSTVDDLFQKYSLLKIGHPVLRVQGSHLLAAVIIRVDKDGRELLVAVSFGLAASEAVADRKSPFQIKRWEAYARLYKKDTGIGALA >ONI25874 pep chromosome:Prunus_persica_NCBIv2:G2:30021517:30026588:-1 gene:PRUPE_2G324500 transcript:ONI25874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFFIYQANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLTKAQAVAKETLVIWCSLASRLGLWAMKAELEDLCFAVLQPQMFKKMRADLALMWSHSSKVGNSKRISSSLPLNEKSSISDNEGSIAVDEDVTTMKDLLEAVVPFDVLLDRTKRSKFLNTLGQGLEPRTRPKVVQDAGIALASLVICEEALEQELIISTSYVPGMEVTLSSRLKSLYSIYTKMKRKDVSINKVYDARALRVVVGDKKGTLHGPAVQCCYNLLDIVHKHWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDRSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNKLSNINSTDESEIDASSFFSTNMEDQNSTVDDLFQKYSLLKIGHPVLRVQGSHLLAAVIIRVDKDGRELLVAVSFGLAASEAVADRKSPFQIKRWEAYARLYKKVTDEWWCEPGHGDWRTCLEKYALCRDGMYHKQDQFGRLLPTFIQVIDLTDQEESEYWAVVSAVFDGRQLDDITSTPRFTSAASTSMETSINNKVRLLRTMLRWEEQLRSEASLGQAKQSEKFQGSPASVVPGEVVIICLPNGDIMRLRTGSTAADAARRVGLEGKLVWVNGQLVLPNTKLTDGDVVEVRA >ONI25877 pep chromosome:Prunus_persica_NCBIv2:G2:30022250:30027744:-1 gene:PRUPE_2G324500 transcript:ONI25877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRQVQKAIAFAKKAHHGQLRRTGDPYLVHCIHTGRILAMLVPSSGQRAVETVVAGILHDVVDDTCESFPHIEEEFGDDVARLVAGVSRLSYINQLLRRHRRINLNQGRLGHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLTKAQAVAKETLVIWCSLASRLGLWAMKAELEDLCFAVLQPQMFKKMRADLALMWSHSSKVGNSKRISSSLPLNEKSSISDNEGSIAVDEDVTTMKDLLEAVVPFDVLLDRTKRSKFLNTLGQGLEPRTRPKVVQDAGIALASLVICEEALEQELIISTSYVPGMEVTLSSRLKSLYSIYTKMKRKDVSINKVYDARALRVVVGDKKGTLHGPAVQCCYNLLDIVHKHWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDRSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNKLSNINSTDESEIDASSFFSTNMEDQNSTVDDLFQKYSLLKIGHPVLRVQGSHLLAAVIIRVDKDGRELLVAVSFGLAASEAVADRKSPFQIKRWEAYARLYKKVTDEWWCEPGHGDWRTCLEKYALCRDARPVWAIVANLHSGH >ONI25875 pep chromosome:Prunus_persica_NCBIv2:G2:30021296:30028237:-1 gene:PRUPE_2G324500 transcript:ONI25875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQSSSTNTNTMLAHKFHRLHLRSSPKFRCVLDQIAPNLAVSSSLSSVFTSANVIAAAAAASGSGSLHGAVTSTITQVAVTALAIASGACLSTKVDFLWPKMEAQPGSDVVEGVDVTGYPIFNDPKVQKAIAFAKKAHHGQLRRTGDPYLVHCIHTGRILAMLVPSSGQRAVETVVAGILHDVVDDTCESFPHIEEEFGDDVARLVAGVSRLSYINQLLRRHRRINLNQGRLGHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLTKAQAVAKETLVIWCSLASRLGLWAMKAELEDLCFAVLQPQMFKKMRADLALMWSHSSKVGNSKRISSSLPLNEKSSISDNEGSIAVDEDVTTMKDLLEAVVPFDVLLDRTKRSKFLNTLGQGLEPRTRPKVVQDAGIALASLVICEEALEQELIISTSYVPGMEVTLSSRLKSLYSIYTKMKRKDVSINKVYDARALRVVVGDKKGTLHGPAVQCCYNLLDIVHKHWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDRSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNKLSNINSTDESEIDASSFFSTNMEDQNSTVDDLFQKYSLLKIGHPVLRVQGSHLLAAVIIRVDKDGRELLVAVSFGLAASEAVADRKSPFQIKRWEAYARLYKKVTDEWWCEPGHGDWRTCLEKYALCRDARPVWAIVANLHSGH >ONI25873 pep chromosome:Prunus_persica_NCBIv2:G2:30021517:30027744:-1 gene:PRUPE_2G324500 transcript:ONI25873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRQVQKAIAFAKKAHHGQLRRTGDPYLVHCIHTGRILAMLVPSSGQRAVETVVAGILHDVVDDTCESFPHIEEEFGDDVARLVAGVSRLSYINQLLRRHRRINLNQGRLGHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLTKAQAVAKETLVIWCSLASRLGLWAMKAELEDLCFAVLQPQMFKKMRADLALMWSHSSKVGNSKRISSSLPLNEKSSISDNEGSIAVDEDVTTMKDLLEAVVPFDVLLDRTKRSKFLNTLGQGLEPRTRPKVVQDAGIALASLVICEEALEQELIISTSYVPGMEVTLSSRLKSLYSIYTKMKRKDVSINKVYDARALRVVVGDKKGTLHGPAVQCCYNLLDIVHKHWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDRSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNKLSNINSTDESEIDASSFFSTNMEDQNSTVDDLFQKYSLLKIGHPVLRVQGSHLLAAVIIRVDKDGRELLVAVSFGLAASEAVADRKSPFQIKRWEAYARLYKKVTDEWWCEPGHGDWRTCLEKYALCRDGMYHKQDQFGRLLPTFIQVIDLTDQEESEYWAVVSAVFDGRQLDDITSTPRFTSAASTSMETSINNKVRLLRTMLRWEEQLRSEASLGQAKQSEKFQGSPASVVPGEVVIICLPNGDIMRLRTGSTAADAARRVGLEGKLVWVNGQLVLPNTKLTDGDVVEVRA >ONI25878 pep chromosome:Prunus_persica_NCBIv2:G2:30021296:30026589:-1 gene:PRUPE_2G324500 transcript:ONI25878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFFIYQANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPLTKAQAVAKETLVIWCSLASRLGLWAMKAELEDLCFAVLQPQMFKKMRADLALMWSHSSKVGNSKRISSSLPLNEKSSISDNEGSIAVDEDVTTMKDLLEAVVPFDVLLDRTKRSKFLNTLGQGLEPRTRPKVVQDAGIALASLVICEEALEQELIISTSYVPGMEVTLSSRLKSLYSIYTKMKRKDVSINKVYDARALRVVVGDKKGTLHGPAVQCCYNLLDIVHKHWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDRSPLEVQIRTQRMHEYAEHGLAAHWLYKETGNKLSNINSTDESEIDASSFFSTNMEDQNSTVDDLFQKYSLLKIGHPVLRVQGSHLLAAVIIRVDKDGRELLVAVSFGLAASEAVADRKSPFQIKRWEAYARLYKKVTDEWWCEPGHGDWRTCLEKYALCRDARPVWAIVANLHSGH >ONI24128 pep chromosome:Prunus_persica_NCBIv2:G2:25218054:25220729:-1 gene:PRUPE_2G225000 transcript:ONI24128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGTIRLLIGVSSILYLICGSLGFNPVDKHLIICGSKTNVKVGDRVFVSDESDSSILSTPQKISGNSSSISSSLDSVLYRSARIFSGTSVYTFPIRKQGRHWVRLYFSPFVHEGYNLSTAKFSVSAQTFTLLKEFKIESGYRMREYSLNITSDSLVLTFTPATNSFAFINALEVVSLPDELIPEDAITIGSKENHQNLRKRALETVERVNMGNERVSPQNDTLWRLWVSDDPYLTHNGFPTFVSKKEAVKYTTWPTEDIAPPSVYGTATKLNSSRDLSLNANLTWHFEVDPGFEYLVRFHFCDIVSESAPPDIVSESAPTPILRVFINSLFVSNSLDLRNLTKNILGTPYFMDAIMRVSDSKKLTVSVGPNNPDAPDSVVFLNGLEIMKISNSRSSLDFALNKSSKMKVGVIVGLAVGLFIAIVLAAVFFLLCRRRLTHAIHSRTDDVMVNGMESKDTDSTAMFSVSKIGYRFPFVAIQEATDNFSENLIVGAGGFGKVYKGVLRDNTKVAVKRGASHSRQGRAEFRTEIEMLSQFRHRHLVALIGYCDEKNEMIVIYEYMENGSLKNYLYGSDFPSLSWRQRLGICVGAAKGLHYLHTGSTKAIIHRDVKSANILLDENFMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYLTRQRLTEKSDVYSFGVVMYEVLCGRPVIDPSLPREKVNLVEWALKWQKDGRLEEIVDPLLAGQVKPDSLKKFGEIAAKCLAQHSVDRPTVGDVLWNLEYVLQLEGNDGRSDHNRRPSMQADHANHLESSVSNAEFSMGSAGDLAGVSMSKVFFQMVKEEMR >ONI22539 pep chromosome:Prunus_persica_NCBIv2:G2:19313169:19314259:1 gene:PRUPE_2G135400 transcript:ONI22539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVYGGGASASSAQQQQDYFPIDDLLDLSNDDLFSSSTSSTDSIDLHPPPPPPHLHVSSTVFNPTPATDFTNDLCVPSDDVAELEWLSRFVDDSFTDFPTTNVFGSASFPNDTSSLFPSRVRTNRSKWDGPPEPSDSRAKPKREPSEASPSPSKPRRCAHCASEKTPQWRAGPMGPKTLCNACGVRFKSGRLVPEYRPAASPTFVLTQHSNSHRKVLELRRQKEASQQQQPEEQHQPKQQFYLHRDEYQVC >ONI23582 pep chromosome:Prunus_persica_NCBIv2:G2:23365023:23369401:-1 gene:PRUPE_2G196300 transcript:ONI23582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLFQRSSKAAVTVTRSLALPPKPPSSSSSSSVFFLSSQPHNQNHEIGSIGSPSRIQNLIASQSDPLLAKEIFDLAARQPHFRHSYSSFFTLILKLGRSKYFSLVDDLLIRLKTQNYSVSPALFAHLIKIYGEANLPQKALRTFYTMVEFDCRPSVKHLNRILQILVSHRNFLRPAFDVFKDAHRHGVMPNTQSYNILMRAFCLNGDLSIAYQLFNKMFERDLVPDVQSYRILMQGLCRKGQVNTAVDFLEDMLNKGFVPDSLSYTSLLNSLCRKKKLREAYKLLCRMKVKGCNPDIVHYNTVILGFCREGRPVDACKVLEDMASNGCLPNLVSYRTLVSGLCDHGMLDEAKSYMETMISRGFSPHFSVVHALVKGFCNVGRVEEAFAVLEEVLKHGEVPHTDTWLTIVPGICEEIELERLEEILREVMKVEIRPNTRIVEAAIGLEDYLIKKIRAKPRRAY >ONI21045 pep chromosome:Prunus_persica_NCBIv2:G2:5493829:5495361:-1 gene:PRUPE_2G047700 transcript:ONI21045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSLTDPSQSTFSLLYNPRTKFCGYSIPHPSDNRVNIRIQTTGDAAKDVFNDSCQDLMVICQHVRSTFDKAVVDFRMSKSVNGMDIDSNN >ONI21046 pep chromosome:Prunus_persica_NCBIv2:G2:5494423:5495361:-1 gene:PRUPE_2G047700 transcript:ONI21046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSLTDPSQSTFSLLYNPRTKFCGYSIPHPSDNRVNIRIQTTGAVLDAQRNSCLIGTLQNCGLSVTSNGVFT >ONI21858 pep chromosome:Prunus_persica_NCBIv2:G2:14870148:14871062:1 gene:PRUPE_2G094100 transcript:ONI21858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESLKVVGETHCKAWLQQAFEPENESLPCTKFLMDVKATVRRCLLQQPIDFNEDMSLFLEDDNWSVDENEDTVEPLANNPFDLANAISQTLSRLQVPSHAHQDMIDTIILPEAFKAAREHCGAPKVLRIAVRVDVYVAEKNYMEEGFGGTDVLEVEDDGSDQPAFVPASRAFIEKLEKARVELSTMCSICMEDIVVGSEANRMPCSHFYHEGCIVEWLQKSRFCPLCRYSLPADHD >ONI25709 pep chromosome:Prunus_persica_NCBIv2:G2:29651135:29655270:-1 gene:PRUPE_2G315700 transcript:ONI25709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLIGAFKPSCNISITFSDAKTRKQVPLKKESGQAVLVPLFQSQENISGKISIEPIQGKKVEHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGELYEKKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTVSRGYAGSIVEYQDFVVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGPSTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLQETS >ONI23633 pep chromosome:Prunus_persica_NCBIv2:G2:23712803:23716092:-1 gene:PRUPE_2G199900 transcript:ONI23633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEPFPTVAPKPIMNFREKLMNPGGIGVHKEDLVGMEEDKLTIEDDDFLVSEGTRGPCIRFCTKVKERLYRPWRNAIIIKLMGRTHTYSFLLARLRQKWSLLKGAMSLIDLENNFYVVRFVLEDDMRYVFSREPWVIAGQSLVMQRWKLGFDHNSTITRMAVWVRITGLHVEWFNPEAIKRIGDLIGVTYRIDTLTVAQARGKYSRICIELDLTKPLIANVQVEVKSHGNFSLWLPSL >ONI20584 pep chromosome:Prunus_persica_NCBIv2:G2:2268395:2269128:-1 gene:PRUPE_2G023400 transcript:ONI20584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPMSPFTNSWIIGLQPDPQPLAFLLSAFGICPLLLESNPMCNVYSLYYNENILLRGHSPLLYMSSVNKGQRSWSACCGCYGWPAVGVSWRLACGGG >ONI23896 pep chromosome:Prunus_persica_NCBIv2:G2:24574730:24577070:1 gene:PRUPE_2G214600 transcript:ONI23896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSFPCSKCFSSPGIIDDNTHGLQFLQNVHAFTYKELKVATNDFHPSNKIGEGGFGSVYKGRLNDGVDVAVKVLSAESKQGDREFMSELASLSNICHQNLVKMRGGCIEGCRRILVYDYMEHNSLAHILFQDEEKIRSKLNWRVRREICLGIAMGLAHIHEEVKPHLVHRDIKASNILLEKNFHPRISDFGLSKLFPENITHISTRVAGTLGYLAPEYAISGHLTRKSDVYSFGVLILQIVSGRSAVDFDLELGEHYLVQKAWEMYKSKRLVDLVDSRLEVDGNFSEKEAIEFLKLGLLCVQEKCSLRPRMSTAIKLMTMMNIKDEVNIKDEMTMNLFMNVEICEPGVITDKMDLKIAHRTDRSSPPSNISTMPSPQPYPFRI >ONI21251 pep chromosome:Prunus_persica_NCBIv2:G2:6578917:6581293:1 gene:PRUPE_2G055400 transcript:ONI21251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNHLYTHYLFIPLKISHGPYFSLSFPKSSFIYANHLLLRQHILEILCNVLSHIPTLIQRCLFDVLKTANMVNMVGLVNPARVSANSGSLTQRSRLLANRLQKTDGEQIFFMPYNRGYVSQHDFLCMMQCSRHWVLVIVRPKRETLYFLDPLPGN >ONI21252 pep chromosome:Prunus_persica_NCBIv2:G2:6578912:6581293:1 gene:PRUPE_2G055400 transcript:ONI21252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNHLYTHYLFIPLKISHGPYFSLSFPKSSFIYANHLLLRQHILEILCNVLSHIPTLIQRCLFDVLKTANMVNMVGLVNPARVSANSGSLTQRSRLLANRLQKTDGEQIFFMPYNRGYVSQHDFLCMMQCSRHWVLVIVRPKRETLYFLDPLPGN >ONI21250 pep chromosome:Prunus_persica_NCBIv2:G2:6578910:6581318:1 gene:PRUPE_2G055400 transcript:ONI21250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNHLYTHYLFIPLKISHGPYFSLSFPKSSFIYANHLLLRQHILEILCNVLSHIPTLIQRCLFDVLKTANMVNMVGLVNPARVSANSGSLTQRSRLLANRLQKTDGEQIFFMPYNRGYVSQHDFLCMMQCSRHWVLVIVRPKRETLYFLDPLPGN >ONI21660 pep chromosome:Prunus_persica_NCBIv2:G2:12122603:12123140:1 gene:PRUPE_2G079200 transcript:ONI21660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLCISSSIKQKLVQSRSRLASILLDCLLFISRIIWCGEVEDSNLRALR >ONI21659 pep chromosome:Prunus_persica_NCBIv2:G2:12122603:12124441:1 gene:PRUPE_2G079200 transcript:ONI21659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLCISSSIKQKLVQSRSRLASILLDCLLFISRIIWCGEVEDSNLRALR >ONI25324 pep chromosome:Prunus_persica_NCBIv2:G2:28701003:28706251:1 gene:PRUPE_2G296200 transcript:ONI25324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDHFDPSLLEPLSRVASTVDAVLLSHPDTLHLGALPFAMKQLGLSAVVYSTEPVYRLGLLTMYDQYLSRKQVSDFDLFTLDDIDSAFQNVTRLTYAQNHHLSGKGEGIVISPHVSGHLLGGTVWKITKDGEDVIYAVDFNHRKEKHLNGINQASFVRPAVLITDAYNALNNQPYRRQKDKEFTDTIKKTLRSDGNVLLPVDTAGRVLELVQILESCWADENLNYPIFFLTYVASSTIDYVKSFLEWMSDSIAKSFEKTRENAFILKRITLLVNKSELDNAPDGPKVVLASMASLEAGFSHDIFVEWATDPKNLVLFTERAQFGTLARMLQADPPPKAVKVTMSRRVPLVGEELIAYEEEQNRIRKDEALKASLIKEEESKSAQGADVSTSDPTVVDASNTHSLLDAAGPHGGGYRDMLIDGFTPPSTSAAPMFPFYENNSDWDDFGEVINPDDYVIKDADMDQGAMHVGGDMDGKLDEGSASLILDTRPSKVVATELTVQVKCSLIYMDFEGRSDARSIKSILSHMAPLKLVLVHGTAEATEHLKQHCLTHVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEIAWVDSEAGKTENGALSLLPISTPAPPHESVLVGDLKMANFKQFLSDNGVQVEFAGGALRCGEYVTLRKVGDASHKGGGSGTQQIVIEGPLCEDYYKIREYLYSQFYLL >ONI25325 pep chromosome:Prunus_persica_NCBIv2:G2:28700996:28706251:1 gene:PRUPE_2G296200 transcript:ONI25325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDHFDPSLLEPLSRVASTVDAVLLSHPDTLHLGALPFAMKQLGLSAVVYSTEPVYRLGLLTMYDQYLSRKQVSDFDLFTLDDIDSAFQNVTRLTYAQNHHLSGKGEGIVISPHVSGHLLGGTVWKITKDGEDVIYAVDFNHRKEKHLNGINQASFVRPAVLITDAYNALNNQPYRRQKDKEFTDTIKKTLRSDGNVLLPVDTAGRVLELVQILESCWADENLNYPIFFLTYVASSTIDYVKSFLEWMSDSIAKSFEKTRENAFILKRITLLVNKSELDNAPDGPKVVLASMASLEAGFSHDIFVEWATDPKNLVLFTERAQFGTLARMLQADPPPKAVKVTMSRRVPLVGEELIAYEEEQNRIRKDEALKASLIKEEESKSAQGADVSTSDPTVVDASNTHSLLDAGPHGGGYRDMLIDGFTPPSTSAAPMFPFYENNSDWDDFGEVINPDDYVIKDADMDQGAMHVGGDMDGKLDEGSASLILDTRPSKVVATELTVQVKCSLIYMDFEGRSDARSIKSILSHMAPLKLVLVHGTAEATEHLKQHCLTHVCPHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEIAWVDSEAGKTENGALSLLPISTPAPPHESVLVGDLKMANFKQFLSDNGVQVEFAGGALRCGEYVTLRKVGDASHKGGGSGTQQIVIEGPLCEDYYKIREYLYSQFYLL >ONI20409 pep chromosome:Prunus_persica_NCBIv2:G2:1211988:1215423:-1 gene:PRUPE_2G013800 transcript:ONI20409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLSLVNTLAPLPVPHQITLINKPQLSARILFSFLQVNTLFLSPMAEGVLFNIVQGIIERLGSRAFEEIGLVWGVNDELQKLKLLVSQLRAVLLDAEQKQANNEAVKEWLQSVEDEVYEADDVLDEFYTEAQWRQMVPGNNKVSKQVRIFFSSSNQLVFGLKMGHKIKDLNKRLHEIASNRTFGQLQVNHEDARFVIRERVTHSFVREDNIIGRDEDKRAIIQLLLDLDPIPTKNVSSISIVGFGGLGKTTLAQLVLNDEKVEKHFELKMWICVSNVFELDIIVKKIIQSATNDIAKSLEIDQLQKELRKIIDGKRYLLVLDDVWNDNREKWFGLQNLLMGGGKGSKILITTRSEKVAKITDTSKPYNLRGLSEEQSWYLFKKMAFQEGKEPESSTIKAIGEEIARKCQGVPLAIRTIGRMLYTRDPETEWSDFMNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLFPPDYEIPAENLIRLWVAQGFVKSSNPNECLEDVGYEYYNELVWRSFFQEEEKDEFGIIKSCKMHDLMNELAVKVAGEGSTIIDRNKTNFDAKRLLHVSFNFHVDSLEWKIPTSLLESNKLRTFLFLSQKEWEMSFRTSFCATIASNFKSLRMLSLNKLGITKLPKCLRKLKHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNCCRVLVELPRDIKKLINLRHLILANCDNLAWIPRGLGELTHVRTLNTFVLSENKSMLRDGAGLSELGKLKDLRGELKIMNLRCEQDMVSELNYDCAVLKEKRHLYSLTLYWMHIERENNDAEENDVIIKSMEALQPHSSLKELVVNRYPGVRFASWFHSLTNIVNLILSNCDRCQHLPPLDHLPFLKSLHLFGLRNLEHISAEDKVKDFAGAGDVMMMSAASPSTTFFPSLESLYLRICPNLKGWWRNETASASASSFPCLSFLSIYGCPNLTSMPLYPNLDELWLEKSSWKVLSSSFVPSYKLKYLEIRGVEDIEYVPEEGIGNLTLLEKLEIKDCPNLVSLPDQGMGRLISVQRLYISNCPKLASLPEGMGNLKSLQLLWIWDCPNLASLPEGLRCLVSLKRLIIDSCPILKQRCQKETGEDWSKIAHIPEIFIL >ONI20408 pep chromosome:Prunus_persica_NCBIv2:G2:1211459:1215624:-1 gene:PRUPE_2G013800 transcript:ONI20408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLSLVNTLAPLPVPHQITLINKPQLSARILFSFLQVNTLFLSPMAEGVLFNIVQGIIERLGSRAFEEIGLVWGVNDELQKLKLLVSQLRAVLLDAEQKQANNEAVKEWLQSVEDEVYEADDVLDEFYTEAQWRQMVPGNNKVSKQVRIFFSSSNQLVFGLKMGHKIKDLNKRLHEIASNRTFGQLQVNHEDARFVIRERVTHSFVREDNIIGRDEDKRAIIQLLLDLDPIPTKNVSSISIVGFGGLGKTTLAQLVLNDEKVEKHFELKMWICVSNVFELDIIVKKIIQSATNDIAKSLEIDQLQKELRKIIDGKRYLLVLDDVWNDNREKWFGLQNLLMGGGKGSKILITTRSEKVAKITDTSKPYNLRGLSEEQSWYLFKKMAFQEGKEPESSTIKAIGEEIARKCQGVPLAIRTIGRMLYTRDPETEWSDFMNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLFPPDYEIPAENLIRLWVAQGFVKSSNPNECLEDVGYEYYNELVWRSFFQEEEKDEFGIIKSCKMHDLMNELAVKVAGEGSTIIDRNKTNFDAKRLLHVSFNFHVDSLEWKIPTSLLESNKLRTFLFLSQKEWEMSFRTSFCATIASNFKSLRMLSLNKLGITKLPKCLRKLKHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNCCRVLVELPRDIKKLINLRHLILANCDNLAWIPRGLGELTHVRTLNTFVLSENKSMLRDGAGLSELGKLKDLRGELKIMNLRCEQDMVSELNYDCAVLKEKRHLYSLTLYWMHIERENNDAEENDVIIKSMEALQPHSSLKELVVNRYPGVRFASWFHSLTNIVNLILSNCDRCQHLPPLDHLPFLKSLHLFGLRNLEHISAEDKVKDFAGAGDVMMMSAASPSTTFFPSLESLYLRICPNLKGWWRNETASASASSFPCLSFLSIYGCPNLTSMPLYPNLDELWLEKSSWKVLSSSFVPSYKLKYLEIRGVEDIEYVPEEGIGNLTLLEKLEIKDCPNLVSLPDQGMGRLISVQRLYISNCPKLASLPEGMGNLKSLQLLWIWDCPNLASLPEGLRCLVSLKRLIIDSCPILKQRCQKETGEDWSKIAHIPEIFIL >ONI20407 pep chromosome:Prunus_persica_NCBIv2:G2:1211286:1215624:-1 gene:PRUPE_2G013800 transcript:ONI20407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLSLVNTLAPLPVPHQITLINKPQLSARILFSFLQVNTLFLSPMAEGVLFNIVQGIIERLGSRAFEEIGLVWGVNDELQKLKLLVSQLRAVLLDAEQKQANNEAVKEWLQSVEDEVYEADDVLDEFYTEAQWRQMVPGNNKVSKQVRIFFSSSNQLVFGLKMGHKIKDLNKRLHEIASNRTFGQLQVNHEDARFVIRERVTHSFVREDNIIGRDEDKRAIIQLLLDLDPIPTKNVSSISIVGFGGLGKTTLAQLVLNDEKVEKHFELKMWICVSNVFELDIIVKKIIQSATNDIAKSLEIDQLQKELRKIIDGKRYLLVLDDVWNDNREKWFGLQNLLMGGGKGSKILITTRSEKVAKITDTSKPYNLRGLSEEQSWYLFKKMAFQEGKEPESSTIKAIGEEIARKCQGVPLAIRTIGRMLYTRDPETEWSDFMNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLFPPDYEIPAENLIRLWVAQGFVKSSNPNECLEDVGYEYYNELVWRSFFQEEEKDEFGIIKSCKMHDLMNELAVKVAGEGSTIIDRNKTNFDAKRLLHVSFNFHVDSLEWKIPTSLLESNKLRTFLFLSQKEWEMSFRTSFCATIASNFKSLRMLSLNKLGITKLPKCLRKLKHLRYLDLSGNPIKRLPNWIVKLQNLETLDLNCCRVLVELPRDIKKLINLRHLILANCDNLAWIPRGLGELTHVRTLNTFVLSENKSMLRDGAGLSELGKLKDLRGELKIMNLRCEQDMVSELNYDCAVLKEKRHLYSLTLYWMHIERENNDAEENDVIIKSMEALQPHSSLKELVVNRYPGVRFASWFHSLTNIVNLILSNCDRCQHLPPLDHLPFLKSLHLFGLRNLEHISAEDKVKDFAGAGDVMMMSAASPSTTFFPSLESLYLRICPNLKGWWRNETASASASSFPCLSFLSIYGCPNLTSMPLYPNLDELWLEKSSWKVLSSSFVPSYKLKYLEIRGVEDIEYVPEEGIGNLTLLEKLEIKDCPNLVSLPDQGMGRLISVQRLYISNCPKLASLPEGMGNLKSLQLLWIWDCPNLASLPEGLRCLVSLKRLIIDSCPILKQRCQKETD >ONI22547 pep chromosome:Prunus_persica_NCBIv2:G2:19372254:19374260:-1 gene:PRUPE_2G136000 transcript:ONI22547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQTRKNPSFSKTDSMRTKPSMRTKPSMMNPKGAATKSSELNKNDDTRSLSARTFKNSKATWSMNPLMDALPRSPPPGCSSGPLSSSATFCTFKADSFYSDLPTTEPSTPRTQERMENSKDFGRFCHPNSQNKISKGSCLSKLSMRESIFSDPHHEFDHGRESPSEREREDSSVYNEEYKHVVQSADQSSHEESDADENPNAEGDKQLMDRPKPYHEFLDEFKQQELKAEIEAWTKAEHMRLVTKLREEEAAIDDWEFKQTSKALKEIKKIEV >ONI22879 pep chromosome:Prunus_persica_NCBIv2:G2:20983224:20985960:-1 gene:PRUPE_2G156400 transcript:ONI22879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANLSGRVSDKEGLVQPRLGDLPESCVAMVLMNMDPPEICKLARLNRAFRGASSADFIWESKLPPNYRFIVDRVFDETTKLNISGKRDIYARLCRSKSFDGGTKEIWLDKNKGGICLSISSKALSITGIDDRRYWNFIAIDESRFQIVAYLQQTWWFEVSGEFEFQFPLGRYSLFFRLHLGRSSKRLGRRVCNSEHVHGWNKKPVRFELSTSNGHRAVSQCYLDNPGNWVDYHVGDFVVDNPHALIKIKYSMTQIDCTHTKGGVCVDSVLIYPSGVAKEP >ONI21619 pep chromosome:Prunus_persica_NCBIv2:G2:11544989:11557913:-1 gene:PRUPE_2G075900 transcript:ONI21619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASPEELAFAGSYPNGLRGNYPGASLDRSGSFREGSESRMFSSGGCTPRGSAYSTGNLPPLPQCLMLDPITMADQKCPSLGELRRVLGVSFGGTAEDNAFGTAHLKPHPPVATEELKWVKASVLDASNKARVRAKRLDESIDKLNRYCEALNLKKQQRNEFITNERSGGSNLPKMGAQMNRNSSDLMNQRLEDRTKTVVMNRRVRSSVTEIRAEGRSNMLTRQPVVMGKDRDMLRGEGSDVVEEKIRRLPAGGEAWDKKMKRKRSVGTVFSRPMDGDAELKRNLHHKPTDEPGPQASDAQGFRSGSFNGGNGINKLDSNSLSVNANARVVLKNELDKVSLSRDLMAGLSKERLGSKGNNKLNVREDSQIPSPTPVTKGKASRAPRNGPITASNSSPSFPRTSGTPEGWEQPATVNKNHSINGAINRKRPMPTGSASPPMAQWVGQRPQKISRTRRSNLVSPVSNHDELQIPSEGYSPSDAGARLNSFGTNGLLQKSVSNCAHQIRVKQEIVSSPARLSESEESGAGENRESRLKEKGPGGGEVDDRAVTAVQNTGSSLLPTKKNKLLNKEEIGVGVRRQGRSGRGSSISRASTVATREKLETPASTKPLKSMRPGSERNGSKSGRPPLKKLSDRKAFACPGHISTNGSPDFAGESGDDREELLAAAAFACNSRNFACSSSFWKKMEPIFGPVSLEEASYLKEQLICMEEKDECISLMFGNGNNVLGDIVREENFASKTLASGSKERNLQDHIQNGGISRGRLDSEGMKKVPPLYQRVLSALIMEDEIEDFEKDIDRRTMSLQYNRDVSSTATCASINVEPRNRVGILFANETNLGPHLNQCSVDSLPCNGTSGFANATGICNQILKDDLSKVDFAVLHSGSGLFPAFSENGCPYEQMSLEDRLLLELQSVDLYQETVPDLSDGDDEAIDQDIVGLEKLLHQQVDGKKKQLNKFIKAIEENMDIERRRRDQVAMDKLVESAYRKLLATRGSIASKYKIAKVPKHVAVAYTKRTLARCRKYEENGISCFNEPALRDVIFAAPLHGGNAEPMKCDGLSLPPENQNSHQEPVVSGSSNWTERHDHLNKYGRDSDGTFGSLTHCSAKDYAKNGPIFYRGKKKEVLLDDVGSPSLKAASNPGTMLGRAKGKRSERERDKDVSARNSVAKAGRQSLGNNKGERKTKTKPKQKTAQLSTSGNGLVSNVTSASGFIEVVGNSNNRKREVGPVRYNDNHEGPTETKKQIDCGNLQLNELDSIELGVDTDLDGNQDLSTWLNFDEDGLQDHIAEGLDIPMDDLSDLNMLL >ONI21616 pep chromosome:Prunus_persica_NCBIv2:G2:11548128:11556668:-1 gene:PRUPE_2G075900 transcript:ONI21616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVRFEMSSASPEELAFAGSYPNGLRGNYPGASLDRSGSFREGSESRMFSSGGCTPRGSAYSTGNLPPLPQCLMLDPITMADQKCPSLGELRRVLGVSFGGTAEDNAFGTAHLKPHPPVATEELKWVKASVLDASNKARVRAKRLDESIDKLNRYCEALNLKKQQRNEFITNERSGGSNLPKMGAQMNRNSSDLMNQRLEDRTKTVVMNRRVRSSVTEIRAEGRSNMLTRQPVVMGKDRDMLRGEGSDVVEEKIRRLPAGGEAWDKKMKRKRSVGTVFSRPMDGDAELKRNLHHKPTDEPGPQASDAQGFRSGSFNGGNGINKLDSNSLSVNANARVVLKNELDKVSLSRDLMAGLSKERLGSKGNNKLNVREDSQIPSPTPVTKGKASRAPRNGPITASNSSPSFPRTSGTPEGWEQPATVNKNHSINGAINRKRPMPTGSASPPMAQWVGQRPQKISRTRRSNLVSPVSNHDELQIPSEGYSPSDAGARLNSFGTNGLLQKSVSNCAHQIRVKQEIVSSPARLSESEESGAGENRESRLKEKGPGGGEVDDRAVTAVQNTGSSLLPTKKNKLLNKEEIGVGVRRQGRSGRGSSISRASTVATREKLETPASTKPLKSMRPGSERNGSKSGRPPLKKLSDRKAFACPGHISTNGSPDFAGESGDDREELLAAAAFACNSRNFACSSSFWKKMEPIFGPVSLEEASYLKEQLICMEEKDECISLMFGNGNNVLGDIVREENFASKTLASGSKERNLQDHIQNGGISRGRLDSEGMKKVPPLYQRVLSALIMEDEIEDFEKDIDRRTMSLQYNRDVSSTATCASINVEPRNRVGILFANETNLGPHLNQCSVDSLPCNGTSGFANATGICNQILKDDLSKVDFAVLHSGSGLFPAFSENGCPYEQMSLEDRLLLELQSVDLYQETVPDLSDGDDEAIDQDIVGLEKLLHQQVDGKKKQLNKFIKAIEENMDIERRRRDQVAMDKLVESAYRKLLATRGSIASKYKIAKVPKHVAVAYTKRTLARCRKYEENGISCFNEPALRDVIFAAPLHGGNAEPMKCDGLSLPPENQNSHQEPVVSGSSNWTERHDHLNKYGRDSDGTFGSLTHCSAKDYAKNGPIFYRGKKKEVLLDDVGSPSLKAASNPGTMLGRAKGKRSERERDKDVSARNSVAKAGRQSLGNNKGERKTKTKPKQKTAQLSTSGNGLVSNVTSASGFIEVVGNSNNRKREVGPVRYNDNHEGPTETKKQIDCGNLQLNELDSIELGVDTDLDGNQDLSTWLNFDEDGLQDHIAEGLDIPMDDLSDLNMLL >ONI21617 pep chromosome:Prunus_persica_NCBIv2:G2:11544989:11557889:-1 gene:PRUPE_2G075900 transcript:ONI21617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVRFEMSSASPEELAFAGSYPNGLRGNYPGASLDRSGSFREGSESRMFSSGGCTPRGSAYSTGNLPPLPQCLMLDPITMADQKCPSLGELRRVLGVSFGGTAEDNAFGTAHLKPHPPVATEELKWVKASVLDASNKARVRAKRLDESIDKLNRYCEALNLKKQQRNEFITNERSGGSNLPKMGAQMNRNSSDLMNQRLEDRTKTVVMNRRVRSSVTEIRAEGRSNMLTRQPVVMGKDRDMLRGEGSDVVEEKIRRLPAGGEAWDKKMKRKRSVGTVFSRPMDGDAELKRNLHHKPTDEPGPQASDAQGFRSGSFNGGNGINKLDSNSLSVNANARVVLKNELDKVSLSRDLMAGLSKERLGSKGNNKLNVREDSQIPSPTPVTKGKASRAPRNGPITASNSSPSFPRTSGTPEGWEQPATVNKNHSINGAINRKRPMPTGSASPPMAQWVGQRPQKISRTRRSNLVSPVSNHDELQIPSEGYSPSDAGARLNSFGTNGLLQKSVSNCAHQIRVKQEIVSSPARLSESEESGAGENRESRLKEKGPGGGEVDDRAVTAVQNTGSSLLPTKKNKLLNKEEIGVGVRRQGRSGRGSSISRASTVATREKLETPASTKPLKSMRPGSERNGSKSGRPPLKKLSDRKAFACPGHISTNGSPDFAGESGDDREELLAAAAFACNSRNFACSSSFWKKMEPIFGPVSLEEASYLKEQLICMEEKDECISLMFGNGNNVLGDIVREENFASKTLASGSKERNLQDHIQNGGISRGRLDSEGMKKVPPLYQRVLSALIMEDEIEDFEKDIDRRTMSLQYNRDVSSTATCASINVEPRNRVGILFANETNLGPHLNQCSVDSLPCNGTSGFANATGICNQILKDDLSKVDFAVLHSGSGLFPAFSENGCPYEQMSLEDRLLLELQSVDLYQETVPDLSDGDDEAIDQDIVGLEKLLHQQVDGKKKQLNKFIKAIEENMDIERRRRDQVAMDKLVESAYRKLLATRGSIASKYKIAKVPKHVAVAYTKRTLARCRKYEENGISCFNEPALRDVIFAAPLHGGNAEPMKCDGLSLPPENQNSHQEPVVSGSSNWTERHDHLNKYGRDSDGTFGSLTHCSAKDYAKNGPIFYRGKKKEVLLDDVGSPSLKAASNPGTMLGRAKGKRSERERDKDVSARNSVAKAGRQSLGNNKGERKTKTKPKQKTAQLSTSGNGLVSNVTSASGFIEVVGNSNNRKREVGPVRYNDNHEGPTETKKQIDCGNLQLNELDSIELGVDTDLDGNQDLSTWLNFDEDGLQDHIAEGLDIPMDDLSDLNMLL >ONI21618 pep chromosome:Prunus_persica_NCBIv2:G2:11548128:11556668:-1 gene:PRUPE_2G075900 transcript:ONI21618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVRFEMSSASPEELAFAGSYPNGLRGNYPGASLDRSGSFREGSESRMFSSGGCTPRGSAYSTGNLPPLPQCLMLDPITMADQKCPSLGELRRVLGVSFGGTAEDNAFGTAHLKPHPPVATEELKWVKASVLDASNKARVRAKRLDESIDKLNRYCEALNLKKQQRNEFITNERSGGSNLPKMGAQMNRNSSDLMNQRLEDRTKTVVMNRRVRSSVTEIRAEGRSNMLTRQPVVMGKDRDMLRGEGSDVVEEKIRRLPAGGEAWDKKMKRKRSVGTVFSRPMDGDAELKRNLHHKPTDEPGPQASDAQGFRSGSFNGGNGINKLDSNSLSVNANARVVLKNELDKVSLSRDLMAGLSKERLGSKGNNKLNVREDSQIPSPTPVTKGKASRAPRNGPITASNSSPSFPRTSGTPEGWEQPATVNKNHSINGAINRKRPMPTGSASPPMAQWVGQRPQKISRTRRSNLVSPVSNHDELQIPSEGYSPSDAGARLNSFGTNGLLQKSVSNCAHQIRVKQEIVSSPARLSESEESGAGENRESRLKEKGPGGGEVDDRAVTAVQNTGSSLLPTKKNKLLNKEEIGVGVRRQGRSGRGSSISRASTVATREKLETPASTKPLKSMRPGSERNGSKSGRPPLKKLSDRKAFACPGHISTNGSPDFAGESGDDREELLAAAAFACNSRNFACSSSFWKKMEPIFGPVSLEEASYLKEQLICMEEKDECISLMFGNGNNVLGDIVREENFASKTLASGSKERNLQDHIQNGGISRGRLDSEGMKKVPPLYQRVLSALIMEDEIEDFEKDIDRRTMSLQYNRDVSSTATCASINVEPRNRVGILFANETNLGPHLNQCSVDSLPCNGTSGFANATGICNQILKDDLSKVDFAVLHSGSGLFPAFSENGCPYEQMSLEDRLLLELQSVDLYQETVPDLSDGDDEAIDQDIVGLEKLLHQQVDGKKKQLNKFIKAIEENMDIERRRRDQVAMDKLVESAYRKLLATRGSIASKYKIAKVPKHVAVAYTKRTLARCRKYEENGISCFNEPALRDVIFAAPLHGGNAEPMKCDGLSLPPENQNSHQEPVVSGSSNWTERHDHLNKYGRDSDGTFGSLTHCSAKDYAKNGPIFYRGKKKEVLLDDVGSPSLKAASNPGTMLGRAKGKRSERERDKDVSARNSVAKAGRQSLGNNKGERKTKTKPKQKTAQLSTSGNGLVSNVTSASGFIEVVGNSNNRKREVGPVRYNDNHEGPTETKKQIDCGNLQLNELDSIELGVDTDLDGNQDLSTWLNFDEDGLQDHIAEGLDIPMDDLSDLNMLL >ONI24656 pep chromosome:Prunus_persica_NCBIv2:G2:26673933:26674988:-1 gene:PRUPE_2G253300 transcript:ONI24656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKGSHEPRSSSSCAACKLLKRKCSPTCIFAPYFLPDEPKKFAKVHKVFGASNVSKILTEVPEEQREDTVNSLAYEAEARLRDPVYGCIGAIAMLQRKMIELQHDLAIARARLACYASNSLSSSSTTASASSCYGVLDDQLSEAAFSAVGFPACSGFSNSFMSQSAPELISHSQHGQTSDFSCAPYIL >ONI22163 pep chromosome:Prunus_persica_NCBIv2:G2:16927239:16929679:1 gene:PRUPE_2G111300 transcript:ONI22163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVDVDENIDVIELKPSTRVALRRRSYTIHAILQKKIDPVVKLSKVQNNPECTYDMIGGLDEQIKEIKEVIELPIRHPELFELLGIAEPKGVLLYGPPGTGKTLLARAVAHHAKCSFVHISATKLAQKYIGVGAKMVREIFFLARKYAPCIIFMDEIDSIGSARVQSGGGTDSEVQRTMLELLSQLDGFEASSNIKVIMATNRIDILDQALLRPGRIDRKIKFPNPTEQSRWEIMKIHSRKMNLRHRVDLKRIVEKMNGASGAEIKAVCTEAGMFALRERRVHVMQEDFEMAVGKVMKKETEKNISLQKFFY >ONI22162 pep chromosome:Prunus_persica_NCBIv2:G2:16926230:16929679:1 gene:PRUPE_2G111300 transcript:ONI22162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQFKGIRRYYIRKIHDVLHQLHQKGQHLLRLEAETSILNSQVRKIKEEVQLLQDPGSYSHVGLVRQVIDKHMVLVRIVGEGMHVVDVDENIDVIELKPSTRVALRRRSYTIHAILQKKIDPVVKLSKVQNNPECTYDMIGGLDEQIKEIKEVIELPIRHPELFELLGIAEPKGVLLYGPPGTGKTLLARAVAHHAKCSFVHISATKLAQKYIGVGAKMVREIFFLARKYAPCIIFMDEIDSIGSARVQSGGGTDSEVQRTMLELLSQLDGFEASSNIKVIMATNRIDILDQALLRPGRIDRKIKFPNPTEQSRWEIMKIHSRKMNLRHRVDLKRIVEKMNGASGAEIKAVCTEAGMFALRERRVHVMQEDFEMAVGKVMKKETEKNISLQKFFY >ONI25820 pep chromosome:Prunus_persica_NCBIv2:G2:29902854:29904879:1 gene:PRUPE_2G322000 transcript:ONI25820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQTFPCFLFILFPLFFSLSCSCTFTITNNCPDTIWPGTLAGSGRPQLPTTGFRLDSGQSARIPPTPEWSGRIWARTGCTFDELGAGTCQTGDCGGRLECNGNGATPPASLFEITLGNGNDKDFYDVSIVDGYNLPLIASPRGVYGACNATGCASDINIGCPKELQVVGGVNEGSVVGCKSACDAFGLDQYCCSGQFANPTTCQPSFYSTIFKRACPRAYSYAFDDGTSTFTCKAFEYAIVFCPNGNGTTQPDDSLAPPRYQVPGSEKVVRQMVSSSNMLLPIPSLILLLIFFYILS >ONI23492 pep chromosome:Prunus_persica_NCBIv2:G2:23044961:23046255:-1 gene:PRUPE_2G191000 transcript:ONI23492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDKIMLTDAPLLFPPEQLALAALRSANQVHKVVDFERYLRSTPSRQSSEHTVSELVELLNAIDSWARKYKFPSDKDLKHINRKLKSCWGLSSHDESTKWDKKSKHKSKRSSNEMQPVPSHTEISLP >ONI22135 pep chromosome:Prunus_persica_NCBIv2:G2:16775075:16775519:1 gene:PRUPE_2G109400 transcript:ONI22135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKITLKSDDGETFEVEEAVAMQSQTIKHMVEDDCANEKVIEYCKKHHEEDVNGENKVDIKNWDTGFMKVDQSTLFDLILAANYLKIKSLLDLTCQTVADMINGKTPEAIRETFNIKNDITSKKEEESNKENQWPF >ONI20466 pep chromosome:Prunus_persica_NCBIv2:G2:1603817:1606957:1 gene:PRUPE_2G017100 transcript:ONI20466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGKEKKEMTGLAIGVSNMKSGERALLHVGWELGYGKEGSFSFPNVPPLADISYEVELIGFDETKEGKARGDMTVEERIGAADRRKMDGNALFKEEKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNIAASLIKLKRYEEAIGQCSIVLAEDENNVKALFRRGKARAELGQTDAAREDFLKARKFAPEDKAIARELRLLAEHDKAVYQKQKEIYKGLFGPTPEPKPKRNNWLIVIWHWLLSLFYSVFRRERHKAD >ONI20464 pep chromosome:Prunus_persica_NCBIv2:G2:1602933:1606957:1 gene:PRUPE_2G017100 transcript:ONI20464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKTLGQDGESEIVTEDATFVHGEPPQDANGLPKVDSKVEVLHEKVTKQIIKEGHGPIPSKYSTCFLHYRAWTQSTRHKFEDTWDEQRPLEMILGKEKKEMTGLAIGVSNMKSGERALLHVGWELGYGKEGSFSFPNVPPLADISYEVELIGFDETKEGKARGDMTVEERIGAADRRKMDGNALFKEEKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNIAASLIKLKRYEEAIGQCSIVLAEDENNVKALFRRGKARAELGQTDAAREDFLKARKFAPEDKAIARELRLLAEHDKAVYQKQKEIYKGLFGPTPEPKPKRNNWLIVIWHWLLSLFYSVFRRERHKAD >ONI20465 pep chromosome:Prunus_persica_NCBIv2:G2:1603119:1606741:1 gene:PRUPE_2G017100 transcript:ONI20465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKTLGQDGESEIVTEDATFVHGEPPQDANGLPKVDSKVEVLHEKVTKQIIKEGHGPIPSKYSTCFLHYRAWTQSTRHKFEDTWDEQRPLEMILGKEKKEMTGLAIGVSNMKSGERALLHVGWELGYGKEGSFSFPNVPPLADISYEVELIGFDETKEGKARGDMTVEERIGAADRRKMDGNALFKEEKLEEAMQQPLHIWVTTSCSSCLGSTGTWLWLLKIHATLTLQRLL >ONI22455 pep chromosome:Prunus_persica_NCBIv2:G2:18813506:18816355:-1 gene:PRUPE_2G130500 transcript:ONI22455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHLSIRMLSKLLILLLCHLVVANFVDSLQQLSCHAEERSALLQFKESFIIDKSASRSKGAYPKVSSWKPTAGGNSSCCSWDGVECDKMTGHVIGLDLSSSYLYGSFDSNSSLFSLVHLQRLSLSDNDFIYSQIPSSIRNFPSLTHLDLSASFFSGQVPSEVSHLSKLTYLNLRCNILEIETSPDNPQRLLKLQPSDMSSLVQNLTSLETLDLSFINISSIILVSLTNLSFLTQLALKKCDLFGEFPVKIFSLPNLEVLNVRYNQDLIGYLPEFNRSSHVVSLKVGFTSFFGTIPSSIEKLNALQKLDVAQCNFSNSLVPSALGNLRQLTYLDISASRFGGPIPDSLANLTQLTVFRAGTNSLTGPIPSWLGNFTKLVYLQFSFNHLNGSIPASFSNLINLKILCLQSNNLSGVVEFQMFQKQQNLYQLQLSSNNFEFVTGSNIMNAAIPQFTVLALRLCNLKEFPYFLQNQKKLKRLDLADNKISGEVPNWMWNLSKETLVLLDISGNLFSGELPAVIPWVNLLSLRLSNNIFHGRLPIPPPSLLEYGANNNKFTGEISPLLCNMNSLLYLDLSTNNLNGTLPPCLGNFSEGLLLLLLGSNSFYGMMPQPFNNRSSLRMIDVSQNQLQGQLPRSLANCVMLEYLVLSNNQFSDDFPIWLGTLQELKLLAMRHNGFNGVIGQSRTNVDFIKLRILDLSYNNFRGEIPPLFPDIAVNMSTYMQAQVQYVITDIYLTRNVSYSITLAIKGLDLHYSKILEGFAAIDISSNKFEGKIPEFIGNLTELRSLNISNNILTGSIPSSLGKLTNLESLDLSQNKLSGQIPQQLTQLSFLGTFSVSHNNLIGPIPQGTQLTSFNSTSYEGNPGLCGDPLPNKCGNQEAPQQPFSTEEDSDSGSSRTLEYDLIFGLAGVGSGLVVGVVLANVVITRRQEWFLKIVGMVRLMIWKKVE >ONI25728 pep chromosome:Prunus_persica_NCBIv2:G2:29700150:29700601:1 gene:PRUPE_2G317000 transcript:ONI25728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIPRSLTDSALTLFNLAISASDPWPFSFIFL >ONI20401 pep chromosome:Prunus_persica_NCBIv2:G2:1187296:1188187:1 gene:PRUPE_2G013300 transcript:ONI20401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEIYDAAGVVIGVLAIIFLIVLISCCNRRQSPVDPSDHNESSATIEQGLDEATICGYPKLLYSEAKLRMVGSVSAPSCCCICLADFEDKDVLRSVPNCGHFFHQICVDKWLQLHPTCPICRNSPLDPSAVLVTSVEVVEV >ONI23736 pep chromosome:Prunus_persica_NCBIv2:G2:23989247:23991909:-1 gene:PRUPE_2G205000 transcript:ONI23736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADINLRDDVVNLFLLPQVVAHQFLFSGKESEGIIARFGKQEATPREFFTDFLKVAQDEGRHFTLVAARLEEMGSFYGALPAHDGLWASAAATSKDITAPLAMHEARGLDVLPTNISRFRNGGNDTTADMLDSVVHPEDITHCAAGVKWGALKPPFNEEARKASGFGARWYEPLAVKEQGTNPTQHEGA >ONI25717 pep chromosome:Prunus_persica_NCBIv2:G2:29679531:29681904:-1 gene:PRUPE_2G316300 transcript:ONI25717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMCATTPLSLSSSLRTNLSSSRTSRAPLISLRVSPIFQALSFKSVRTNFKNPISASASASARMAGQNKKIEKFETEEEVAVRLAKYTADLSAKFVKERGAFTVVLSGGSLIDALRKLAEAPYKDSVDWSKWHVFWVDERVVKRDHVDSNYKLALDGFLSKVPIPPGQVYAINDALSAEGAADDYETCLKHLVQRNVIATSKTTGFPKFDLMLLGMGPDGHLASLFPGHPLVNEKNKWVTCIKDSPKPPPERITFTFPVINSAAYNAMVVTGEGKASAVCTALGKSQHSETLPAQLLSAEDELTWFLDKSAASNL >ONI24390 pep chromosome:Prunus_persica_NCBIv2:G2:25878616:25881052:1 gene:PRUPE_2G238000 transcript:ONI24390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAIDLSGDGGVLKKIVRHAKPDAIAPTQDLPLVDVHYEGILEETNEVFDTTHEDNTVFSFELGKGSVIKAWDIAVKTMKVGEIAKITCKPEYAYGSAGSPPDIPPEATLIFEVELVACNPRKGLSLGSASEERARLEELKKQREMAAANKEEEKKKREEAKAAAAARIQAKLDAKKGGKGKGKGK >ONI24408 pep chromosome:Prunus_persica_NCBIv2:G2:25947769:25953877:-1 gene:PRUPE_2G239100 transcript:ONI24408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKLQNGIKAIDEEPGNCDATYSKKDTLACIINSEIGAVLAVMRRNVRWGGRYISGDDQLEHPLIQSLKVLRKQIFSWQHQLHTINPAAYLQPFLDVIRSDETGAPITGVALSSVYNILTLDVIDQNSVNVEDAMHLLVDAITGCRFEVTDPASEEVVLMKILQVLLACMKSKASVILSNQHVCTIVNTCFRIVHQAGTKGELLQRIARHTMHELVRCIFSHLPDVQNTERALSNGNNTINREIAGINNEYPSGSRQLENGNVSSEFDSQLLSTNPALNASSGLVESGMDEKTTGASSGKETVQYDSRLMAEPFGVPCMVEIFNFLCSLLNVVEHIGMGPRSNTISFDEDVPLFALGLVNSAIELGGSSIQNHPKLLSLVQDELFQNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEAVVDFCRQKTFMVEMYANLDCDITCSNAFEDLANLLSKSAFPVNFPLSSIHILALDGLIAIIQGMAERSGNGSVSSAETLTNLEEYTPFWLMKCDDYSDPNHWVPFVRRRKYIKRRLMIGADHFNHDPKKGLEFLQGTHLLPDKLDPESVACFFRYTSGLDKNLVGDFLGNHDEFCIQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSIIMLNTDRHNVQVKKKMTEEDFIRNNRHINGGDDLPREFLSELYHSICKNEIRTTPEQGASFPEMTPSRWIDLIHKSKKNAPFIVSNFRPHLDQDMFAIMSGPTIAAISVVFDHAEHEEIYQTCIDGFLSVAKIAACYHLEDVLDDLVVSLCKFTTLLNPSVDEPVLAFGDDPKARMSTVTVFTIANTYGDYIRTGWRNILDCILRLHKLGLLSACVASEAAGDSEVSADTGHGNPITNSLSSVHMPSVSTPRRSSGLMGRFSQLLSLDTEEPRSQPTEEELAAHQRTLQTVQKCHIDGIFSDSKFLQAESLLQLAQALIWAGGRPHKGSSSPEDEDTGVFCLELLIAITLNNRDRIMLLWQIVYEHISNIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVGRLVKANASHIRSQLGWRIITSLLSITARHPEASEAGFDALFFIMSDGTHLLPANYVLCVDASRQFAESRVGEVDRSVCALDLMAGSVDCLARWVCEAKQSMNDEEAVKMSQDIGKMSQDIGEMWLRLVQGLRKVCLDQREEVRNHALSLLRKCLTGVDGIPLPPGLWLQCFDMVIFTMLDDLLEIAQRHSPKDYRNMEGTLILALKLLSKVFLQLLPDLSQLTTFCKLWLGVLSRMEKYMKVKIGGKKSDKLRDQVPELLKNTLLVMILRGVLVERSDLGDDSLWELTWRLVNNIAPSLQSEIFRDPILEQSETKQGETGGVSEATGTLLPTDTTSAEGSGS >ONI24409 pep chromosome:Prunus_persica_NCBIv2:G2:25947803:25953787:-1 gene:PRUPE_2G239100 transcript:ONI24409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKLQNGIKAIDEEPGNCDATYSKKDTLACIINSEIGAVLAVMRRNVRWGGRYISGDDQLEHPLIQSLKVLRKQIFSWQHQLHTINPAAYLQPFLDVIRSDETGAPITGVALSSVYNILTLDVIDQNSVNVEDAMHLLVDAITGCRFEVTDPASEEVVLMKILQVLLACMKSKASVILSNQHVCTIVNTCFRIVHQAGTKGELLQRIARHTMHELVRCIFSHLPDVQNTERALSNGNNTINREIAGINNEYPSGSRQLENGNVSSEFDSQLLSTNPALNASSGLVESGMDEKTTGASSGKETVQYDSRLMAEPFGVPCMVEIFNFLCSLLNVVEHIGMGPRSNTISFDEDVPLFALGLVNSAIELGGSSIQNHPKLLSLVQDELFQNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEAVVDFCRQKTFMVEMYANLDCDITCSNAFEDLANLLSKSAFPVNFPLSSIHILALDGLIAIIQGMAERSGNGSVSSAETLTNLEEYTPFWLMKCDDYSDPNHWVPFVRRRKYIKRRLMIGADHFNHDPKKGLEFLQGTHLLPDKLDPESVACFFRYTSGLDKNLVGDFLGNHDEFCIQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSIIMLNTDRHNVQVKKKMTEEDFIRNNRHINGGDDLPREFLSELYHSICKNEIRTTPEQGASFPEMTPSRWIDLIHKSKKNAPFIVSNFRPHLDQDMFAIMSGPTIAAISVVFDHAEHEEIYQTCIDGFLSVAKIAACYHLEDVLDDLVVSLCKFTTLLNPSVDEPVLAFGDDPKARMSTVTVFTIANTYGDYIRTGWRNILDCILRLHKLGLLSACVASEAAGDSEVSADTGHGNPITNSLSSVHMPSVSTPRRSSGLMGRFSQLLSLDTEEPRSQPTEEELAAHQRTLQTVQKCHIDGIFSDSKFLQAESLLQLAQALIWAGGRPHKGSSSPEDEDTGVFCLELLIAITLNNRDRIMLLWQIVYEHISNIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVGRLVKANASHIRSQLGWRIITSLLSITARHPEASEAGFDALFFIMSDGTHLLPANYVLCVDASRQFAESRVGEVDRSVCALDLMAGSVDCLARWVCEAKQSMNDEEAVKMSQDIGKMSQDIGEMWLRLVQGLRKVCLDQREEVRNHALSLLRKCLTGVDGIPLPPGLWLQCFDMVIFTMLDDLLEIAQRHSPKDYRNMEGTLILALKLLSKVFLQLLPDLSQLTTFCKLWLGVLSRMEKYMKVKIGGKKSDKLRDQVPELLKNTLLVMILRGVLVERSDLGDDSLWELTWRLVNNIAPSLQSEIFRDPILEQSETKQGETGGVSEATGTLLPTDTTSAEGSGS >ONI22324 pep chromosome:Prunus_persica_NCBIv2:G2:17859793:17864842:1 gene:PRUPE_2G121400 transcript:ONI22324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPEEEITRLYRVRKTVMQMLKDRNYLVGDFEINMTREQFKSKYGENMKREDLTINKTKRSDSSDQIYVFFPEEPKVGVKTMKTYTNRMKSENVLRAVLVTQQNLTPFAKTCISEISSKFHLEVFQEAELLVNIKEHVLVPEHLVLTTEEKKTLLERYTVKETQLPRIQVTDPIAKYYGLKRGQVVKIIRPSETAGRYITYRYVI >ONI22325 pep chromosome:Prunus_persica_NCBIv2:G2:17859793:17864842:1 gene:PRUPE_2G121400 transcript:ONI22325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPEEEITRLYRVRKTVMQMLKDRNYLVGDFEINMTREQFKSKYGENMKREDLTINKTKRSDSSDQIYVFFPEEPKVGVKTMKTYTNRMKSENVLRAVLVTQQNLTPFAKTCISEISSKFHLEVFQEAELLVNIKEHVLVPEHLVLTTEEKKTLLERYTVKETQLPRIQVTDPIAKYYGLKRGQVVKIIRPSETAGRYITYRYVI >ONI23028 pep chromosome:Prunus_persica_NCBIv2:G2:21470047:21471954:-1 gene:PRUPE_2G165300 transcript:ONI23028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSASGMAVHDDCKLKFLELKAKRNHRFIVFKIEEKIQQVIVEKVGGPDESYDDFAASMPADECRYAVYDFDFTTNENCQKSKIFFIAWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSLDIIKGRAL >ONI23029 pep chromosome:Prunus_persica_NCBIv2:G2:21470047:21471164:-1 gene:PRUPE_2G165300 transcript:ONI23029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDDCKLKFLELKAKRNHRFIVFKIEEKIQQVIVEKVGGPDESYDDFAASMPADECRYAVYDFDFTTNENCQKSKIFFIAWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSLDIIKGRAL >ONI24629 pep chromosome:Prunus_persica_NCBIv2:G2:26566511:26569743:1 gene:PRUPE_2G251000 transcript:ONI24629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGSKDKLSPSNFTMESGFPKQNSVLVELSASDNLDAFRSEVQEKGFHVDEAGFWYGRRIGSKKMGFEERTPLMIAAMFGSTKVLKYIIQSGKADVNRSCGSDKVTALHCATAGGSTASLEVVKLLLDASADANCVNANGNKPIDLIAPALKSPCSSRRKTMEMLLKGDMSVMESDQIANQEGDQQKFPSPQLSKDGSEKKEYPIDISLPDINNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRKGSCQKGDVCEYAHGVFESWLHPAQYRTRLCKDETGCTRKVCFFAHRPEELRPVYASTGSAMPSPRSMSVSAADMASPLALGSSPMSQPTTSTPPMSPLATSSSPKNGALWQNKLNLTPPALQLPGSRLKSALSARDLDLEMELLGLDSHSSQQQKHQHQQQQQQQLWDEISRLSSPKCYNNDFSRIGELKPTNLDDAFGSFDPSLLSQLQGVSLKPSTPTQLQSSAHQIRQNMNQLRSSYPTNLSSSPVRKPSSFGLDSPGALAAAVMNSRSAAFAQRSHSFIDRGAMSHPHGLTAPANSSTMMQSSDWGSPGGKLDWGIQGDELNKLKKSASFGFRSNNAGTPATFMPSAVGEPDVSWVNSLVRDVSSERSEQKYHHLNKGVHEMLPPWAEQMYIEQEQRA >ONI24630 pep chromosome:Prunus_persica_NCBIv2:G2:26566511:26569743:1 gene:PRUPE_2G251000 transcript:ONI24630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGSKDKLSPSNFTMESGFPKQNSVLVELSASDNLDAFRSEVQEKGFHVDEAGFWYGRRIGSKKMGFEERTPLMIAAMFGSTKVLKYIIQSGKADVNRSCGSDKVTALHCATAGGSTASLEVVKLLLDASADANCVNANGNKPIDLIAPALKSPCSSRRKTMEMLLKGDMSVMESDQIANQEGDQQKFPSPQLSKDGSEKKEYPIDISLPDINNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRKGSCQKGDVCEYAHGVFESWLHPAQYRTRLCKDETGCTRKVCFFAHRPEELRPVYASTGSAMPSPRSMSVSAADMASPLALGSSPMSQPTTSTPPMSPLATSSSPKNGALWQNKLNLTPPALQLPGSRLKSALSARDLDLEMELLGLDSHSSQQQKHQHQQQQQQQLWDEISRLSSPKCYNNDFSRIGELKPTNLDDAFGSFDPSLLSQLQGVSLKPSTPTQLQSSAHQIRQNMNQLRSSYPTNLSSSPVRKPSSFGLDSPGALAAAVMNSSLQIGAHLVGNWIGEFKEMS >ONI21893 pep chromosome:Prunus_persica_NCBIv2:G2:15215563:15215895:1 gene:PRUPE_2G097000 transcript:ONI21893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSQGEMVGYYHVSLEWEVKCWTWYLIHLSLKDFNYAFLFLLATVVTSLSEFKQVGENSIMMAVLMLSEVSIVR >ONI21865 pep chromosome:Prunus_persica_NCBIv2:G2:14922319:14929140:1 gene:PRUPE_2G094700 transcript:ONI21865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEPLQQKPESADACADFERGFEEFMRGHLDECMSFASCSSPRNPDDDDDEGEQLVRRRRRLDLEGDDLAESSAARRHHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRESQSPTSRRQGAVERPSTQASAILQMWRELEDEHVLNRARERVRERLRHRRRVESNTNESTTNMSDSRGSENQGSLVDASESENEYGTWSHDQMASQQERGANDASSREQSPDLGEVERERVRQIVRGWMETGISDHSSNVAPRNNSPRAEWLGETERERVRIVREWVQMASQQRGARGGRREDQVTGVGAQVDRARDVPVADHEEGQPEHIRRDMLRLRGRQAIIDLLVRIETERQRELQSLVEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAAGELVQLRQRHTVSGLREGFRSRLENIVRGQVGSHTDSATNSNINDSRSDHTQTNASQDVQQENHEELQTGSHGTDVNLLPDPMGNLESNTAVERLDWQETANEGGNWQEPIAEDETQNWQQTTFSQFNEWRDGNAEDTVENWQENSVNNWPQETPRNVDGETDHQQEAQGIWQENGSREAVGNWAEGPSAPVRNRRSVPIRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDHLIQSYVERQSRSPIDWDLHRNLPTPTPASPEQDQEQQRDDQNEDQHDAINRPSLVLPSPPVPPPQPLWHQDLHHTGWSRHSMHRSEIEWEMINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSSGEKGLGAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >ONI21864 pep chromosome:Prunus_persica_NCBIv2:G2:14922260:14929406:1 gene:PRUPE_2G094700 transcript:ONI21864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFEPLQQKPESADACADFERGFEEFMRGHLDECMSFASCSSPRNPDDDDDEGEQLVRRRRRLDLEGDDLAESSAARRHHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRESQSPTSRRQGAVERPSTQASAILQMWRELEDEHVLNRARERVRERLRHRRRVESNTNESTTNMSDSRGSENQGSLVDASESENEYGTWSHDQMASQQERGANDASSREQSPDLGEVERERVRQIVRGWMETGISDHSSNVAPRNNSPRAEWLGETERERVRIVREWVQMASQQRGARGGRREDQVTGVGAQVDRARDVPVADHEEGQPEHIRRDMLRLRGRQAIIDLLVRIETERQRELQSLVEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAAGELVQLRQRHTVSGLREGFRSRLENIVRGQVGSHTDSATNSNINDSRSDHTQTNASQDVQQENHEELQTGSHGTDVNLLPDPMGNLESNTAVERLDWQETANEGGNWQEPIAEDETQNWQQTTFSQFNEWRDGNAEDTVENWQENSVNNWPQETPRNVDGETDHQQEAQGIWQENGSREAVGNWAEGPSAPVRNRRSVPIRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDHLIQSYVERQSRSPIDWDLHRNLPTPTPASPEQDQEQQRDDQNEDQHDAINRPSLVLPSPPVPPPQPLWHQDLHHTGWSRHSMHRSEIEWEMINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSSGEKGLGAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >ONI25208 pep chromosome:Prunus_persica_NCBIv2:G2:28367220:28371546:-1 gene:PRUPE_2G288900 transcript:ONI25208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDGGGNKRVFHRLGGPQSDPSKNQKVCFHWRAGKCNRHPCPFLHRELPPPPSQQGLNGTASSKRHHAFAATTDGPSARNRGPNNFNGGASSTWGRTGGTRVFVRKMEKVCNYWVQGNCSYGDKCKFLHCWSMGDSFSLLTQLDGHQKVVSGIALPSGSDKLYTGSKDQTVRVWDCQSGQCLGVINLGGEVGCMISEGPWVFVGIPDVVKAWNTQTNSELSLSGPVGQVYALVVGNDLLFAGTQDGSILAWKFNAVTNCFEPAASLKGHTLAVVSLVVGANRLYSGSMDHSIRVWSLETLQCIQTLTEHTSVVMSVLCWDQFLLSCSLDQQLKVWVATQSGNLEVTYTHKEEHGLITLCGMHDSEAKPVLLCACNDNTVRVYDLPAFSERGKIFSKEEIRSIQVGPGGLFFTGDGTGQVKVWKWVADPAPTA >ONI22840 pep chromosome:Prunus_persica_NCBIv2:G2:20815857:20818281:1 gene:PRUPE_2G154100 transcript:ONI22840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEKAISIESVNSSSPRLEAVSVLVVDGDSACLAILSRMLYNLGYKAVMTAKRAYDALSIAQKKEDELHLVLTEAHLPDMDKYELLEKMKAVSKVPVVIMSDDDDENAMLGGLFKGAVFYFVKPLTINSLKNLWQFAIIKNRNHVVIDFTEEESSVYAESQQENTSNEGLERDSFMTRDRWLERKNPEGTYKDEERENSDSTSQKKPKLVWTNELHKRFLLAVRLLGVDSAHPKKILQHMNVPGLRKENVSSHLQKYRLSLKREQEAIMKARARGPKKSNFPSHQSLSTLNFRGGCSHTLNRYSTSTAYQPKRRSHVQDLSSHMSRPSPAGSVCFPSQVYSSGHPTLGNESSFTSMPYHSNYKNGQPTLNNQLDYTNDHNTNHIGNRITSNKELAGFRQVGSFVRYSNFDGNKTFSTFGDHGHSNLVDFPIFLHNSTQQEEQQQKQLQPQVLFSPPLQMPSPPPQPKEQQQNDILGQERQLQPQFLPSAPLQMPSPSPLPAAAEQQGEQDDIFGLESQLMQSPQWHMPSMPAAPTAHEQEKDDPFGIESEETDDLFDIAKGTTQHFND >ONI25702 pep chromosome:Prunus_persica_NCBIv2:G2:29640837:29642490:1 gene:PRUPE_2G315400 transcript:ONI25702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRIQTQRLLQQPTLRDILKKSERKNRFLFSFPGLFAPIGGGKIGELKDLGTKNSVLYLDFPLIVFSDAWWIGTQAENREEAQLDFPKELTEGQHTEYDFKGGAERSPNVKVEDNVSDDGNKDLMRATPVRLSARTAGKRFYWYSFGNASSGDDSFGSDTYLSEGEDENIGRLDSSSGQAC >ONI24835 pep chromosome:Prunus_persica_NCBIv2:G2:27216400:27218942:-1 gene:PRUPE_2G265000 transcript:ONI24835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNHKRLIKELVEGKKTATELQMLLHKPLGDHGSASAEELVVKIMTSFTESLSVLAAEKKNPGDGHEDHQSGAFGEVYQIKPEPSHSHCDDRSSGDSGESRKVQGSKDRRGCYKRRKTSQSWTTVSPAIEDGRAWRKYGQKEILNAPYPRAYFRCTRKYDQNCRATKQVQQVQDSPRLYQTTYIGQHTCKSMVPPQMFIGSSDHWESQTVSSESGSPNKQNHDFFGSSAIPIVKQEEYKEGTPTPSDLTDNLSSLETNHLWSDFKDDFALCDPAAMCVSTKMGSDNEDVVSNMYLDMDFVVKSIDFDGDFNFDEVEFPKNSL >ONI21013 pep chromosome:Prunus_persica_NCBIv2:G2:5054083:5060312:1 gene:PRUPE_2G045200 transcript:ONI21013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEGVSSPSSSSSFTHPWRYDVFLSFRGTDTRYSFIDHLYGALQQKGINAFMDDELCRGEKIWPSLSKAIQESNISVIVFSENYASSTWCLDELVHILSCKESKQQIVWPIFYKVDPSDVRNQRGSFGEALAHHEHKFKNDIGKVLRWRAALREASNFSGWSFLEGYESKFIHDIVGEISAKVLNCLHLNVAEYPVGIQDRLRDLNVLINVEKNDVHMVGIWGTGGIGKTTIAKAVHNSIVYRFEGSCFLANVRENSIRDGGMVKLQNTLLFEILRDKKLKITNVDKGINVIKKMLSHRKVLLILDDVSHLDQLKKLAGGCDWFGSGSRIIITTRDKHLLLAHQVNLIYKVKELYLDEAIQLFSWNAFGRNGHMVDHGKVKRVVLHYADGLPLALTVFGSLLCGRSEEQWQDALDSYKRVPNHEIHEILKISYNSLEDSVKEVFLDIACFFKGKSRSYVIEVLESCELNPKYGIEVLIEKALITIENNLLWMHDLIEEMGKEIVRQESPTEPGKRSRLWFPEDVYHVLTENTGTDKVKGIVVQCPKSDDIRLNATSFSKMKNLKLFINCNARLFGDVEYLPNELMFLDWPGCPLQSFPANFNPKKLFKLNMPRSHLTRLGEGLKNLQKLRSINLDHCEFLTEIADFSGIPNLEYLNLNYCTSLVEVHPSVGFLDKLVHLSLHKCSNLTIFPRRMWLKSLEILHFEGCRRLNFFPEIVGLMEFLRCIILIGTAIKKLPSSVGFFTGLEELNLYDSPNLTNLPSNIYELQNLRYLFLDDCPQLITFPHNMNFEVSWIGKSLPLVLPKLLKFRMGGCNLSQSGFLATLDCASTLQELDLSGSNFVTLPSCISKFVNLWELKLCCCKWLLEIPELPSKLSWVDVSDCISLERFSKLSTILEHNELGELECMDLFNCRRLCDNLGYDAAKMEGVLLNQVSSENTNFEILLPGSEVPKWFSCWKEVNFIYESLVDYPLGWKPICELSIEIPRNLKWEHMGLALCAVFEIITQSKSADYCFRAEISMNGEVHFYSRETESAHVWLKYVPLQIKPCPCVKLHARRCEVKFYCSGSSGSMLFKSCGVHLVYKQDGGDVTAVNDTDLQEQWLSLPLEPMYPQKRRKKSISMEEQPSSNREKLVYHGNSKTVLFP >ONI21014 pep chromosome:Prunus_persica_NCBIv2:G2:5054083:5059494:1 gene:PRUPE_2G045200 transcript:ONI21014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEGVSSPSSSSSFTHPWRYDVFLSFRGTDTRYSFIDHLYGALQQKGINAFMDDELCRGEKIWPSLSKAIQESNISVIVFSENYASSTWCLDELVHILSCKESKQQIVWPIFYKVDPSDVRNQRGSFGEALAHHEHKFKNDIGKVLRWRAALREASNFSGWSFLEGYESKFIHDIVGEISAKVLNCLHLNVAEYPVGIQDRLRDLNVLINVEKNDVHMVGIWGTGGIGKTTIAKAVHNSIVYRFEGSCFLANVRENSIRDGGMVKLQNTLLFEILRDKKLKITNVDKGINVIKKMLSHRKVLLILDDVSHLDQLKKLAGGCDWFGSGSRIIITTRDKHLLLAHQVNLIYKVKELYLDEAIQLFSWNAFGRNGHMVDHGKVKRVVLHYADGLPLALTVFGSLLCGRSEEQWQDALDSYKRVPNHEIHEILKISYNSLEDSVKEVFLDIACFFKGKSRSYVIEVLESCELNPKYGIEVLIEKALITIENNLLWMHDLIEEMGKEIVRQESPTEPGKRSRLWFPEDVYHVLTENTGTDKVKGIVVQCPKSDDIRLNATSFSKMKNLKLFINCNARLFGDVEYLPNELMFLDWPGCPLQSFPANFNPKKLFKLNMPRSHLTRLGEGLKNLQKLRSINLDHCEFLTEIADFSGIPNLEYLNLNYCTSLVEVHPSVGFLDKLVHLSLHKCSNLTIFPRRMWLKSLEILHFEGCRRLNFFPEIVGLMEFLRCIILIGTAIKKLPSSVGFFTGLEELNLYDSPNLTNLPSNIYELQNLRYLFLDDCPQLITFPHNMNFEVSWIGKSLPLVLPKLLKFRMGGCNLSQSGFLATLDCASTLQELDLSGSNFVTLPSCISKFVNLWELKLCCCKWLLEIPELPSKLSWVDVSDCISLERFSKLSTILEHNELGELECMDLFNCRRLCDNLGYDAAKMEGVLLNQVSSENTNFEILLPGSEVPKWFSCWKEVNFIYESLVDYPLGWKPICELSIEIPRNLKWEHMGLALCAVFEIITQSKSADYCFRAEISMNGEVHFYSRETESAHVWLKYVPLQIKPCPCVKLHARRCEVKFYCSGSSGSMLFKSCGVHLVYKQDGGDVTAVNDTDLQEQWLSLPLEPMYPQKRRKKSISMEEQPSSNREKLVYHGNSKTVLFP >ONI21012 pep chromosome:Prunus_persica_NCBIv2:G2:5054083:5060200:1 gene:PRUPE_2G045200 transcript:ONI21012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEGVSSPSSSSSFTHPWRYDVFLSFRGTDTRYSFIDHLYGALQQKGINAFMDDELCRGEKIWPSLSKAIQESNISVIVFSENYASSTWCLDELVHILSCKESKQQIVWPIFYKVDPSDVRNQRGSFGEALAHHEHKFKNDIGKVLRWRAALREASNFSGWSFLEGYESKFIHDIVGEISAKVLNCLHLNVAEYPVGIQDRLRDLNVLINVEKNDVHMVGIWGTGGIGKTTIAKAVHNSIVYRFEGSCFLANVRENSIRDGGMVKLQNTLLFEILRDKKLKITNVDKGINVIKKMLSHRKVLLILDDVSHLDQLKKLAGGCDWFGSGSRIIITTRDKHLLLAHQVNLIYKVKELYLDEAIQLFSWNAFGRNGHMVDHGKVKRVVLHYADGLPLALTVFGSLLCGRSEEQWQDALDSYKRVPNHEIHEILKISYNSLEDSVKEVFLDIACFFKGKSRSYVIEVLESCELNPKYGIEVLIEKALITIENNLLWMHDLIEEMGKEIVRQESPTEPGKRSRLWFPEDVYHVLTENTGTDKVKGIVVQCPKSDDIRLNATSFSKMKNLKLFINCNARLFGDVEYLPNELMFLDWPGCPLQSFPANFNPKKLFKLNMPRSHLTRLGEGLKNLQKLRSINLDHCEFLTEIADFSGIPNLEYLNLNYCTSLVEVHPSVGFLDKLVHLSLHKCSNLTIFPRRMWLKSLEILHFEGCRRLNFFPEIVGLMEFLRCIILIGTAIKKLPSSVGFFTGLEELNLYDSPNLTNLPSNIYELQNLRYLFLDDCPQLITFPHNMNFEVSWIGKSLPLVLPKLLKFRMGGCNLSQSGFLATLDCASTLQELDLSGSNFVTLPSCISKFVNLWELKLCCCKWLLEIPELPSKLSWVDVSDCISLERFSKLSTILEHNELGELECMDLFNCRRLCDNLGYDAAKMEGVLLNQVSSENTNFEILLPGSEVPKWFSCWKEVNFIYESLVDYPLGWKPICELSIEIPRNLKWEHMGLALCAVFEIITQSKSADYCFRAEISMNGEVHFYSRETESAHVWLKYVPLQIKPCPCVKLHARRCEVKFYCSGSSGSMLFKSCGVHLVYKQDGGDVTAVNDTDLQEQWLSLPLEPMYPQKRRKKSISMEEQPSSNREKLVYHGNSKTVLFP >ONI23752 pep chromosome:Prunus_persica_NCBIv2:G2:24040890:24043825:-1 gene:PRUPE_2G206000 transcript:ONI23752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVFGVDNKIKGGKIAKGSSIGSTSRKRLADISNLQHQQPKPAIQQVKQQFDSLTNKEYIDNLQKENRTLIKLLADRNKIIELSRIELQSLRINLQKVQQQNLQLAQANGQMLGELNSGKDRLKALQHELACRNGLLKVGKLEAEEREKRGTCQNTENEGYSAKYAEAGESLQADKENGPCTKRERQPNHLPLGTPTVEVVQVKEKADNKRRLRRQSARFKTEEREATEDMFETNGEKVPVSPLCDNVVHESGPICSLVEKKDPTIEAIQAKEKPGNKRRLTRQSARFKTEEREATEELFESNGDKFPVYLLRDNVVHESGPTCSLLEKETPTIEAVQAKEKPDNKRRLTRQSARFKTEEQEATEDLVETNGDKFPVSLCDNVVHENGPTCSSVEKEDEGYSAPRSEGQECRRSSTRPLRRAAKKITSYKEISIKVKMRRDF >ONI23751 pep chromosome:Prunus_persica_NCBIv2:G2:24040890:24044480:-1 gene:PRUPE_2G206000 transcript:ONI23751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVVRGTESGAGDNKIKGGKIAKGSSIGSTSRKRLADISNLQHQQPKPAIQQVKQQFDSLTNKEYIDNLQKENRTLIKLLADRNKIIELSRIELQSLRINLQKVQQQNLQLAQANGQMLGELNSGKDRLKALQHELACRNGLLKVGKLEAEEREKRGTCQNTENEGYSAKYAEAGESLQADKENGPCTKRERQPNHLPLGTPTVEVVQVKEKADNKRRLRRQSARFKTEEREATEDMFETNGEKVPVSPLCDNVVHESGPICSLVEKKDPTIEAIQAKEKPGNKRRLTRQSARFKTEEREATEELFESNGDKFPVYLLRDNVVHESGPTCSLLEKETPTIEAVQAKEKPDNKRRLTRQSARFKTEEQEATEDLVETNGDKFPVSLCDNVVHENGPTCSSVEKEDEGYSAPRSEGQECRRSSTRPLRRAAKKITSYKEISIKVKMRRDF >ONI24654 pep chromosome:Prunus_persica_NCBIv2:G2:26661181:26662569:-1 gene:PRUPE_2G253100 transcript:ONI24654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVRVKEAVVVAPSEPTPTCVLNLSSIDSQLFLRFTIEYLLVYAPRPVLNQEATTSRVKSALAKALVPYYPLAGRVRPKADGSSLEVVCRAQGAVFIEAVSDRATLSDFERAPRYVAQWRKLLSLQVADVLKGAPPLVVQLTWLKDGAAALGVGFNHCLCDGIGSAEFLNSFAELAAGKPGFANEFKPNPVWDRHLLDPQPVNQVMPRHRIPNAASHPEFNKVPDRCGFMNRFSNERLIPTSITFENIYLSELKKLALSTSRLSTESAYTSFEVLSAHVWRSWARALNLASNQILKLLFSVNVRNRVKPSLPGGYYGNAFVLGCAQSSVKDLEEKGLGHAAGLVKRAKERVGEEYVRRVVESVSESKASPDTVGVLIVSQWSRLGLERVDFGMGRPVHVGPILSDRYCLFLPVGSKNNKGGGDDDDDDDGDDQGESVKVMVAVPTSAVDKYEILVKSLYS >ONI22045 pep chromosome:Prunus_persica_NCBIv2:G2:16022524:16023921:-1 gene:PRUPE_2G103700 transcript:ONI22045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLMVTCLLALFYGLSCLHKIILRMRDQACYLLAYECYMPTDDMMISTDSCVKIVTRNKNLGLEEFRFLLKTIVNSGIGEETYCPKNIIEGREDDATLVDELLEMEEVIFDTLDKLFAKFSAISPSQIDILVVNVSMFSPAPSLTSRIVNRYKMREDIKTFNLSGMGCSASLIAIDVVKNLFKSYKNVNAIVVSTESISPHWYCGKEKSMMLTNCLFRSGGCSMLFTNNRDLKHQAKLKLNHLVRIHTGSSDEAYNCCIQVEDESGYKGFRLTKYLVKAASQGFTMNLQVLLPKVLPLREILRYLVVSKLKSAKSQKLKADERVGLNLKTGIEHFCIHPGGRAVIDGIGKSLGLSDYDVEPSRMALHRFGNTSAAGFWYALGYMEAKKRLKKGNKILMSGFGAGFKCNNIVWEVLKDLDDANVWKDCIDSYPPNTLVNPFMEKYSWIDDEILNFVRFDFSLLAT >ONI23583 pep chromosome:Prunus_persica_NCBIv2:G2:23369805:23372668:-1 gene:PRUPE_2G196400 transcript:ONI23583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYQEKDPSTMSLLYTKSGMLGLLLRFTCLFSLSFYVCISTPPEEHIKCSSSSNTNCTITNSYGIFPDRTVCRAGSVAYPSTEEELISVVAYATKSKTKMKVATRFSHSIPKLVCPGGQDGLIISTKYLNRVVGIDAEAKTMTLESGVTLRQLISEAAKVGLALPYAPYWWGLTIGGMLGTGAHGSTLWGKGSSVHDYVVGLRIASPGGPEDGYVKIRRLNDGDKDLKAAKVSLGVLGVISQVTLNLQPMFKRSITYLRKNDSDLGDQVVGFGKQHEFADVLWYPSQHKAVYRMDDRVSSNTSGNGFFDFIPFRATSSLELAIIRTTEENQESTRDADGKCSGAKLVTSALINDAYGLTNNGVIFTGYPVIGYQNRLQASGTCLDSLEDARITACAWDPRIKGEFFHQTTFSISLSVAKNFIQDVKKLVEIEPKALCGVDIYNGILLRYVTASSAYLGKQENAIDFDITYYRSKDPMAPRLYQGVLEEIEQMAVFKYGALPHWGKNRNIVFDGVMKKYKGAKEFLRVKDVYDPMGLFSSEWTDQVLGLKGGVTIVKEGCALEGLCVCSQDIHCAPSKGYLCRPGKVFEGARICTFVAKNRAAN >ONI25954 pep chromosome:Prunus_persica_NCBIv2:G2:30212118:30213776:1 gene:PRUPE_2G328800 transcript:ONI25954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETESSCSSHSQVITCKAVVCWGIGEAGKVEEIQVEPPKASEVRVKMLNASLCHTDILISQGHPICGREHRGEGGDIKEGDMVIPTFVSECQECENCVSGKTNMCLKYPLSFTGLMPDGTSRMSAKGQKLYHACIFLLNMLPPTMITSGSFPLPHASFLSCGFSTGFGAPWKEAKLEKGSTVAFIGLGAVGLGAVEGARIIGIDKNDRKREKGKAFGTTDFINPDHHHHHHHKSVSQSFECTGFAPFINETLEATKLKQTFIGTKMTLQNK >ONI23132 pep chromosome:Prunus_persica_NCBIv2:G2:21837236:21839928:1 gene:PRUPE_2G171200 transcript:ONI23132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIISLTYFNSSHSSHSKNQSFQLCREGRPKPEFLRERENREMEGKEEDVRLGANKFSERQPIGTSAQTDKDYKEPPPAPLFEPGELKSWSFWRAGIAEFIATFLFLYITVLTVMGVSRSRSKCSTVGIQGIAWAFGGSIFALVYSTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQTLGAIAGAGVVKGFQKNQYELLGGGANVVNHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDRAWDDHWIFWVGPFIGAALAALYHQIIIRAIPFKTRD >ONI24686 pep chromosome:Prunus_persica_NCBIv2:G2:26773171:26777552:-1 gene:PRUPE_2G255100 transcript:ONI24686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSVPSFSKMRKLPCIFTLTLLLLCLTILLFTKTNTSSPLLFYSDVQTSNPITAPSLQTGAVSDGATIVSVTLNWELCRGWGAVDYIPCLDNFKVIRALKTRRHMERRERHCPEQSPRCLPPLPKGYKVPVPWPKSKDMAIKALKSRRHMEHRERYCPQPSPRCLEPLPKGYKVPVPWPESRDMIWYDNVPHPKLVEYKKDQNWVKKSDDYLIFPGGGTQFKEGVNHYTDFIEKTLPVIEWGRHVRVVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQRLTFPDNAYDLIHCARCRVHWDADGGKPLLELNRILRPGGFFIWSATPVYRDDDRDKRVWKSMVALTRSMCWDVVAKTVDSTGIGLVIYRKPISFVRYFKRKENKPPICGPKDKKNSSWYVPLSSCVTLPPRSSWPLPWPNRLTSKPPSLATNPEAEEMFYKDTIHWSALVSDAYANNAAINWSSVRNVMDMNAGYGGFAAALTDQLLWVMNVVPVHVPDTLSVIFDRGLIGIYHDWCESLNTYPRTYDLLHSSFLFENLSQRCDMIDVVVEMDRILRPGGYLVVQDTMEMINKMRPILHSLHWSTAVHKDQFLFGKKGFWRPGRGSSKS >ONI24685 pep chromosome:Prunus_persica_NCBIv2:G2:26773171:26777472:-1 gene:PRUPE_2G255100 transcript:ONI24685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSVPSFSKMRKLPCIFTLTLLLLCLTILLFTKTNTSSPLLFYSDVQTSNPITAPSLQTGAVSDGATIVSVTLNWELCRGWGAVDYIPCLDNFKVIRALKTRRHMERRERHCPEQSPRCLPPLPKGYKVPVPWPKSKDMIWYDNVPHPKLVEYKKDQNWVKKSDDYLIFPGGGTQFKEGVNHYTDFIEKTLPVIEWGRHVRVVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQRLTFPDNAYDLIHCARCRVHWDADGGKPLLELNRILRPGGFFIWSATPVYRDDDRDKRVWKSMVALTRSMCWDVVAKTVDSTGIGLVIYRKPISFVRYFKRKENKPPICGPKDKKNSSWYVPLSSCVTLPPRSSWPLPWPNRLTSKPPSLATNPEAEEMFYKDTIHWSALVSDAYANNAAINWSSVRNVMDMNAGYGGFAAALTDQLLWVMNVVPVHVPDTLSVIFDRGLIGIYHDWCESLNTYPRTYDLLHSSFLFENLSQRCDMIDVVVEMDRILRPGGYLVVQDTMEMINKMRPILHSLHWSTAVHKDQFLFGKKGFWRPGRGSSKS >ONI24687 pep chromosome:Prunus_persica_NCBIv2:G2:26773380:26776280:-1 gene:PRUPE_2G255100 transcript:ONI24687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISVPSFFKERKFPFIFTLSLLLVCVSVLLFTNTNTPDPLFFYSDVHTSSSAQPIVIASPLPADTSNPITVPPIQNGPVWDETSIPVSVNFNWRLCTGSVAVDYIPCLDNFQAIKALKSRRHMEHRERYCPQPSPRCLEPLPKGYKVPVPWPESRDMIWYDNVPHPKLVEYKKDQNWVKKSDDYLIFPGGGTQFKEGVNHYTDFIEKTLPVIEWGRHVRVVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQRLTFPDNAYDLIHCARCRVHWDADGGKPLLELNRILRPGGFFIWSATPVYRDDDRDKRVWKSMVALTRSMCWDVVAKTVDSTGIGLVIYRKPISFVRYFKRKENKPPICGPKDKKNSSWYVPLSSCVTLPPRSSWPLPWPNRLTSKPPSLATNPEAEEMFYKDTIHWSALVSDAYANNAAINWSSVRNVMDMNAGYGGFAAALTDQLLWVMNVVPVHVPDTLSVIFDRGLIGIYHDWCESLNTYPRTYDLLHSSFLFENLSQRCDMIDVVVEMDRILRPGGYLVVQDTMEMINKMRPILHSLHWSTAVHKDQFLFGKKGFWRPGRGSSKS >ONI25421 pep chromosome:Prunus_persica_NCBIv2:G2:28963887:28966374:1 gene:PRUPE_2G302200 transcript:ONI25421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLTYSLPVFTRRLEFIKISHSRSSVVLCAAKGPRPRYPRVWKANKRIGTISKSIKLVESIKGLSNVKEEVYGALDSFIAWELEFPLITVKKALKTLENQKEWKRIIQVSKWMLSKGQGRTMGTYFTLLNALAEDGRVEEAEELWTKLFSQYLESMPRMFFDKMISIYYRHGIHDKMFEIFADMEELGVQPNVSIVTKVGNVFQELGMLDKYHKLKQKYPPPKWEYRYIKGKRVKIRANYENDGAEKMPSQEKETVHSSEELLAAESNPNEDVVAEEGDQNSSDLLEEAESSLDELTIDSRTPP >ONI24737 pep chromosome:Prunus_persica_NCBIv2:G2:26966658:26969470:1 gene:PRUPE_2G259300 transcript:ONI24737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQENGDEAEAVAHPLLQDLKSLEGQNSSSSEKGASFSGAVFNISTTMIGAGIMSIPATIKVLGIVPGFILILLVALVVEVTVEFLLRYTNSGESSTYAGMVGESFGPWGSIAVQICVIITNLGCLIIYFIIIGDVLCGSQSGGTLHFGILQEWFGVHWWNSRAYALLFIALFVMLPLVLSRRVDSLRHTSAVSILLAVVFVVICSAMAIYALCKGKTQKPRLFPDCAHQVSVFDLFTTIPILVTGFGFHVNVHPIRAELGKPSDMRSAARISLVISIIIYFSIGFFGYLLFGDSVMDDILVNFDQSSDSTIGQIINDTVRLSYAVHLLFVFPIMNFSLRANIDELLFPNKPILAQSTSRFLSLTFVLLSFTYIVAIAIPSIWYFFQFMGSTTIVCLSFIFPAALILRDGHGISTRRDKIIAILVIILAVVTSSIAMSTTLYNSAQS >ONI22442 pep chromosome:Prunus_persica_NCBIv2:G2:18645204:18645413:-1 gene:PRUPE_2G129200 transcript:ONI22442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIVIAMLVVLVLAHLMVQQGEAVNCGQVNSNLAPCVTYLTSGGVPPEACCKGVENIKAIAQTTADRR >ONI24420 pep chromosome:Prunus_persica_NCBIv2:G2:25987477:25989664:1 gene:PRUPE_2G239700 transcript:ONI24420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGICCGVVGEAEASTTIDASPRNSRRRRLELLPLKYIADVAVKPSTVENGRKRPKIDLYCSSSSVPQKAVESSSEVKSKSESEGSKLNATVRFGDDDFDAVNGDHQESKSVRVDNEAVQVQALQESPRFGMTSVCGRRRDMEDAVSIHPSFYQNDGPDSNGAHFYGVFDGHGCSHVALKCKDRLHEIVKQELETEGAFIQWKGAMERSFAKMDDEVQEGNLVAQGPNCRCELQTPQCDAVGSTAVVAVVTPENIIVSNCGDSRAVLCRNGVAVPLSSDHKPDRPDELVRIEAAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVISEPEVTIMNRSAEDECLILASDGLWDVVSNDTACGVVRMCLRAQKTTSHSESSGTDAAVRSDKACLDASILLTKLALARQSSDNVSVVVVDLRRPGRC >ONI24421 pep chromosome:Prunus_persica_NCBIv2:G2:25987630:25988740:1 gene:PRUPE_2G239700 transcript:ONI24421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGICCGVVGEAEASTTIDASPRNSRRRRLELLPLKYIADVAVKPSTVENGRKRPKIDLYCSSSSVPQKAVESSSEVKSKSESEGSKLNATVRFGDDDFDAVNGDHQESKSVRVDNEAVQVQALQESPRFGMTSVCGRRRDMEDAVSIHPSFYQNDGPDSNGAHFYGVFDGHGCSHVALKCKDRLHEIVKQELETEGAFIQWKGAMERSFAKMDDEVQEGNLVAQGPNCRCELQTPQCDAVGSTAVVAVVTPENIIVSNCGDSRAVLCRNGVAVPLSSDHKVSFEFPRFSRETNRHEKLKKLNFRDFLEIRISRPRVHLNRNAMINYVFGASKY >ONI22389 pep chromosome:Prunus_persica_NCBIv2:G2:18236863:18237114:1 gene:PRUPE_2G125800 transcript:ONI22389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQHDTRDPSYASVIDGDLAQDEPGTYLLFPRWKPITDLIWFDNLFGASDEDTGVVFTNTFYFTPTISEQKREYKTMTPQIN >ONI22862 pep chromosome:Prunus_persica_NCBIv2:G2:20861100:20861318:-1 gene:PRUPE_2G155200 transcript:ONI22862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESIKITKFFKLTPNHSGIGPDNLLSPTSTTSNLVQFVNDEGNCPTNLLLLNLSVSIRNTEPIVVGIFPDKAL >ONI23198 pep chromosome:Prunus_persica_NCBIv2:G2:22047256:22050244:-1 gene:PRUPE_2G174500 transcript:ONI23198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQTQKHTQPLFTMKGNQKDPAEGWTSKKITLCFLALISFLYISYSLQFVSPSNLCRQQQSFTAHVQSQPKPKVSLPPIPQPITDTTPEKPQEAKTNISHIVFGIAASANMWGHRKNYIKLWWKADQMRGNVWLDQPVKMEPEHDHLLPPIKISSDTSKFEYKHPRGHQSAIRLSRIVSENFRLGMENVRWFVMGDDDTVFVTENLVRVLQKYDHNQFYYIGSSSESHLQNIYFSYNMAYGGGGIAISYPLAKALEKMQDRCIERYTGLYGSDDRLQACMAELGVPLTREKGFHQFDVYGSLFGLLAAHPVTPLVSLHHLDVFEPIFPNMDRVHALQRLQAPMKIDSAGLLQQSICYDKTRSWTVSVSWGYTIQIFRGIFSPREIEMPSRTFLNWYKKADYTTYEFNTHRFGRNPCQQPFVYYFSNVVYNKNVSHTATEYVRDRVPDPECHWKMANPSRIKKVQVYKAPNPHLWDKSPRRNCCRILPNTKKNGTMVIDVGACNEDEVVEVR >ONI24831 pep chromosome:Prunus_persica_NCBIv2:G2:27202607:27205591:1 gene:PRUPE_2G264600 transcript:ONI24831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLEPPPPFPLLPPNSNSTRPIPYNLKLGRPANSSNKPALLLSLHSPTTTTTTTTPSCAATPEQPVSESPDPVPGTALVRTRDRRRAVRLAWEKLVRWSRSWRSKAKTDVLERTNKVVVLGGGSFATAMAAHVANRKAQMEVNMLVRDVQVCQSINSNHCNRKYFPEHKLPDNVIATTDAKTALLGADYCLHAVPVQFSSSFLEGIAEHVYPGLPFISVSKGLELNTLRTMSQLIPQALNNPRQPFIALSGPSFALELMNKLPTAMVVASKDKKLANAAQQLLACNYLRISTSSDVIGVEVAGALKNVLAIAAGIVDGMNLGNNCKTALVAQGCSEIRWLATKKQNSWGSSWIRGAT >ONI24830 pep chromosome:Prunus_persica_NCBIv2:G2:27202607:27205591:1 gene:PRUPE_2G264600 transcript:ONI24830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLEPPPPFPLLPPNSNSTRPIPYNLKLGRPANSSNKPALLLSLHSPTTTTTTTTPSCAATPEQPVSESPDPVPGTALVRTRDRRRAVRLAWEKLVRWSRSWRSKAKTDVLERTNKVVVLGGGSFATAMAAHVANRKAQMEVNMLVRDVQVCQSINSNHCNRKYFPEHKLPDNVIATTDAKTALLGADYCLHAVPVQFSSSFLEGIAEHVYPGLPFISVSKGLELNTLRTMSQLIPQALNNPRQPFIALSGPSFALELMNKLPTAMVVASKDKKLANAAQQLLACNYLRISTSSDVIGVEVAGALKNVLAIAAGIVDGMNLGNNCKTALVAQGCSEIRWLATKMGAKPTTITGLSGIGDIMLTCFVNLSRNRTVGVRLGSGEQLDDILSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVLELMSLPQVEEV >ONI23529 pep chromosome:Prunus_persica_NCBIv2:G2:23178686:23179669:1 gene:PRUPE_2G193100 transcript:ONI23529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGTARKTTSTTEYQDLLPVMAEKLDVESFVSELCGGFRLLADPGRGLITPESLKKNSAYLGMEGMSKEDAEAMVGEGDLDGDGALNETEFCILMVRLSPEMMEDAETWLEKALDQETQKSSST >ONI22507 pep chromosome:Prunus_persica_NCBIv2:G2:19173547:19176860:1 gene:PRUPE_2G133800 transcript:ONI22507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDQRGVPSYEVQISFSSTPNPQQSIHEMGFVQFEDHHPHHNQVLSFMAPSSHIQQQQPNNSHHPHHHQPAELSSGASAAATTTSNGVTVGFSTHTDLLVARPSWNNSNDQVGTLDPKAISDENGTGNASDCSNSWWRSSSSEKSKMKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHNHTPCDDSNSSEHECFSSF >ONI22508 pep chromosome:Prunus_persica_NCBIv2:G2:19173547:19176938:1 gene:PRUPE_2G133800 transcript:ONI22508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDQRGVPSYEVQISFSSTPNPQQSIHEMGFVQFEDHHPHHNQVLSFMAPSSHIQQQQPNNSHHPHHHQPAELSSGASAAATTTSNGVTVGFSTHTDLLVARPSWNNSNDQVGTLDPKAISDENGTGNASDCSNSWWRSSSSEKSKMKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHNHTPCDDSNSSEHECFSSF >ONI22241 pep chromosome:Prunus_persica_NCBIv2:G2:17442112:17446016:-1 gene:PRUPE_2G116200 transcript:ONI22241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNRPVLPLAGFTCGRRHQRITGLNLESLNLTGSISPHVGNLSFLRVLNLQNNSFSHEIPPEIGRLHRLQDLLLNNNSLGGEIPSNLSACSQLLQIDLGHNSLVGRIPEELGTLSKLRILVIRYNNLSGSVPYSLRNLSTLEVLSASSNYLTGSITDIFSQLKKLTEIQFADNSLSGMIPSSIFNLSSLIRFSLQLNEIQGTFPSDLGIFSPSLQYFDIASNQFSGTIPVSISNASSLGHLGMQGNSLHGKVPSLANLHKLERFSLTSNNLGSGGLNDLSFICDLTRATNLKHLGINMNNFGGVLPECTANLSSSLARFYVSDNKLVGRLPNGIGNLVKLESLFLSMNQFSGEIPPALGKLQNLYQLDLAINSLSGEIPSSFGNLSRLTKLYLDDNNLQGNIPLSLADCHNLEILSVPRNNLSGIISSKIIGLSSSYIFLDLSRNRFTGPFPQEVGKLINLEYLDISENMFSGEIPSGLGSCIKVEKLHMQGNFFQETIPLSLASLRGIQELNLSRNNLSGKIPEFLESFKLLQSLNLSDNNFEGMVPAKGVFTNATATSVRGNGNLCGGLLEFHLPKCKFKQPKKGGLSLTLKFIISIGCALLGGTFAFTFLYHCCVRRDIKDDSSSGSEKFIRLSYQSLLKATDGFSSSNLIGAGSFGSVYRGSLDQGETTIAVKVLNLVHPGASKSFKAECEALKNIRHRNLVKLPQLVRQMKARGV >ONI22238 pep chromosome:Prunus_persica_NCBIv2:G2:17441913:17446016:-1 gene:PRUPE_2G116200 transcript:ONI22238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNRPVLPLAGFTCGRRHQRITGLNLESLNLTGSISPHVGNLSFLRVLNLQNNSFSHEIPPEIGRLHRLQDLLLNNNSLGGEIPSNLSACSQLLQIDLGHNSLVGRIPEELGTLSKLRILVIRYNNLSGSVPYSLRNLSTLEVLSASSNYLTGSITDIFSQLKKLTEIQFADNSLSGMIPSSIFNLSSLIRFSLQLNEIQGTFPSDLGIFSPSLQYFDIASNQFSGTIPVSISNASSLGHLGMQGNSLHGKVPSLANLHKLERFSLTSNNLGSGGLNDLSFICDLTRATNLKHLGINMNNFGGVLPECTANLSSSLARFYVSDNKLVGRLPNGIGNLVKLESLFLSMNQFSGEIPPALGKLQNLYQLDLAINSLSGEIPSSFGNLSRLTKLYLDDNNLQGNIPLSLADCHNLEILSVPRNNLSGIISSKIIGLSSSYIFLDLSRNRFTGPFPQEVGKLINLEYLDISENMFSGEIPSGLGSCIKVEKLHMQGNFFQETIPLSLASLRGIQELNLSRNNLSGKIPEFLESFKLLQSLNLSDNNFEGMVPAKGVFTNATATSVRGNGNLCGGLLEFHLPKCKFKQPKKGGLSLTLKFIISIGCALLGGTFAFTFLYHCCVRRDIKDDSSSGSEKFIRLSYQSLLKATDGFSSSNLIGAGSFGSVYRGSLDQGETTIAVKVLNLVHPGASKSFKAECEALKNIRHRNLVKVLSACSGVDYHGHDFKALIYEYMVNGSLDEWLHPAPTVGETNESPRSLKFSQRLNIAIDVAMALDYLHHQCETPIVHCDLKPSNVLLNDDMIGHVGDFGLARFLLKLPDSCSGNQSSSLGVKGTIGYTPPEYGMGNEVWTQG >ONI22235 pep chromosome:Prunus_persica_NCBIv2:G2:17441890:17446018:-1 gene:PRUPE_2G116200 transcript:ONI22235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNRPVLPLAGFTCGRRHQRITGLNLESLNLTGSISPHVGNLSFLRVLNLQNNSFSHEIPPEIGRLHRLQDLLLNNNSLGGEIPSNLSACSQLLQIDLGHNSLVGRIPEELGTLSKLRILVIRYNNLSGSVPYSLRNLSTLEVLSASSNYLTGSITDIFSQLKKLTEIQFADNSLSGMIPSSIFNLSSLIRFSLQLNEIQGTFPSDLGIFSPSLQYFDIASNQFSGTIPVSISNASSLGHLGMQGNSLHGKVPSLANLHKLERFSLTSNNLGSGGLNDLSFICDLTRATNLKHLGINMNNFGGVLPECTANLSSSLARFYVSDNKLVGRLPNGIGNLVKLESLFLSMNQFSGEIPPALGKLQNLYQLDLAINSLSGEIPSSFGNLSRLTKLYLDDNNLQGNIPLSLADCHNLEILSVPRNNLSGIISSKIIGLSSSYIFLDLSRNRFTGPFPQEVGKLINLEYLDISENMFSGEIPSGLGSCIKVEKLHMQGNFFQETIPLSLASLRAKGVFTNATATSVRGNGNLCGGLLEFHLPKCKFKQPKKGGLSLTLKFIISIGCALLGGTFAFTFLYHCCVRRDIKDDSSSGSEKFIRLSYQSLLKATDGFSSSNLIGAGSFGSVYRGSLDQGETTIAVKVLNLVHPGASKSFKAECEALKNIRHRNLVKVLSACSGVDYHGHDFKALIYEYMVNGSLDEWLHPAPTVGETNESPRSLKFSQRLNIAIDVAMALDYLHHQCETPIVHCDLKPSNVLLNDDMIGHVGDFGLARFLLKLPDSCSGNQSSSLGVKGTIGYTPPEYGMGNEVWTQGDVYSYGILLLELFTGKRPTEKIFQGSVNLHNFVKTALPYQVEQIVDPVLVQERGEGIISTSNSLNGDRTRAFINIQESLIAILEVGVACSAELPRERLDIRDALAEMCRIRNKL >ONI22237 pep chromosome:Prunus_persica_NCBIv2:G2:17441914:17446016:-1 gene:PRUPE_2G116200 transcript:ONI22237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNRPVLPLAGFTCGRRHQRITGLNLESLNLTGSISPHVGNLSFLRVLNLQNNSFSHEIPPEIGRLHRLQDLLLNNNSLGGEIPSNLSACSQLLQIDLGHNSLVGRIPEELGTLSKLRILVIRYNNLSGSVPYSLRNLSTLEVLSASSNYLTGSITDIFSQLKKLTEIQFADNSLSGMIPSSIFNLSSLIRFSLQLNEIQGTFPSDLGIFSPSLQYFDIASNQFSGTIPVSISNASSLGHLGMQGNSLHGKVPSLANLHKLERFSLTSNNLGSGGLNDLSFICDLTRATNLKHLGINMNNFGGVLPECTANLSSSLARFYVSDNKLVGRLPNGIGNLVKLESLFLSMNQFSGEIPPALGKLQNLYQLDLAINSLSGEIPSSFGNLSRLTKLYLDDNNLQGNIPLSLADCHNLEILSVPRNNLSGIISSKIIGLSSSYIFLDLSRNRFTGPFPQEVGKLINLEYLDISENMFSGEIPSGLGSCIKVEKLHMQGNFFQETIPLSLASLRAKGVFTNATATSVRGNGNLCGGLLEFHLPKCKFKQPKKGGLSLTLKFIISIGCALLGGTFAFTFLYHCCVRRDIKDDSSSGSEKFIRLSYQSLLKATDGFSSSNLIGAGSFGSVYRGSLDQGETTIAVKVLNLVHPGASKSFKAECEALKNIRHRNLVKVLSACSGVDYHGHDFKALIYEYMVNGSLDEWLHPAPTVGETNESPRSLKFSQRLNIAIDVAMALDYLHHQCETPIVHCDLKPSNVLLNDDMIGHVGDFGLARFLLKLPDSCSGNQSSSLGVKGTIGYTPPEYGMGNEVWTQG >ONI22239 pep chromosome:Prunus_persica_NCBIv2:G2:17442112:17446016:-1 gene:PRUPE_2G116200 transcript:ONI22239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNRPVLPLAGFTCGRRHQRITGLNLESLNLTGSISPHVGNLSFLRVLNLQNNSFSHEIPPEIGRLHRLQDLLLNNNSLGGEIPSNLSACSQLLQIDLGHNSLVGRIPEELGTLSKLRILVIRYNNLSGSVPYSLRNLSTLEVLSASSNYLTGSITDIFSQLKKLTEIQFADNSLSGMIPSSIFNLSSLIRFSLQLNEIQGTFPSDLGIFSPSLQYFDIASNQFSGTIPVSISNASSLGHLGMQGNSLHGKVPSLANLHKLERFSLTSNNLGSGGLNDLSFICDLTRATNLKHLGINMNNFGGVLPECTANLSSSLARFYVSDNKLVGRLPNGIGNLVKLESLFLSMNQFSGEIPPALGKLQNLYQLDLAINSLSGEIPSSFGNLSRLTKLYLDDNNLQGNIPLSLADCHNLEILSVPRNNLSGIISSKIIGLSSSYIFLDLSRNRFTGPFPQEVGKLINLEYLDISENMFSGEIPSGLGSCIKVEKLHMQGNFFQETIPLSLASLRAKGVFTNATATSVRGNGNLCGGLLEFHLPKCKFKQPKKGGLSLTLKFIISIGCALLGGTFAFTFLYHCCVRRDIKDDSSSGSEKFIRLSYQSLLKATDGFSSSNLIGAGSFGSVYRGSLDQGETTIAVKVLNLVHPGASKSFKAECEALKNIRHRNLVKLPQLVRQMKARGV >ONI22236 pep chromosome:Prunus_persica_NCBIv2:G2:17442231:17444717:-1 gene:PRUPE_2G116200 transcript:ONI22236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSSIFNLSSLIRFSLQLNEIQGTFPSDLGIFSPSLQYFDIASNQFSGTIPVSISNASSLGHLGMQGNSLHGKVPSLANLHKLERFSLTSNNLGSGGLNDLSFICDLTRATNLKHLGINMNNFGGVLPECTANLSSSLARFYVSDNKLVGRLPNGIGNLVKLESLFLSMNQFSGEIPPALGKLQNLYQLDLAINSLSGEIPSSFGNLSRLTKLYLDDNNLQGNIPLSLADCHNLEILSVPRNNLSGIISSKIIGLSSSYIFLDLSRNRFTGPFPQEVGKLINLEYLDISENMFSGEIPSGLGSCIKVEKLHMQGNFFQETIPLSLASLRGIQELNLSRNNLSGKIPEFLESFKLLQSLNLSDNNFEGMVPAKGVFTNATATSVRGNGNLCGGLLEFHLPKCKFKQPKKGGLSLTLKFIISIGCALLGGTFAFTFLYHCCVRRDIKDDSSSGSEKFIRLSYQSLLKATDGFSSSNLIGAGSFGSVYRGSLDQGETTIAVKVLNLVHPGASKSFKAECEALKNIRHRNLVKVLSACSGVDYHGHDFKALIYEYMVNGSLDEWLHPAPTVGETNESPRSLKFSQRLNIAIDVAMALDYLHHQCETPIVHCDLKPSNVLLNDDMIGHVGDFGLARFLLKLPDSCSGNQSSSLGVKGTIGYTPPEYGMGNEVWTQGDVYSYGILLLELFTGKRPTEKIFQGSVNLHNFVKTALPYQVEQIVDPVLVQERGEGIISTSNSLNGDRTRAFINIQESLIAILEVGVACSAELPRERLDIRDALAEMCRIRNKL >ONI22240 pep chromosome:Prunus_persica_NCBIv2:G2:17441950:17446016:-1 gene:PRUPE_2G116200 transcript:ONI22240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNRPVLPLAGFTCGRRHQRITGLNLESLNLTGSISPHVGNLSFLRVLNLQNNSFSHEIPPEIGRLHRLQDLLLNNNSLGGEIPSNLSACSQLLQIDLGHNSLVGRIPEELGTLSKLRILVIRYNNLSGSVPYSLRNLSTLEVLSASSNYLTGSITDIFSQLKKLTEIQFADNSLSGMIPSSIFNLSSLIRFSLQLNEIQGTFPSDLGIFSPSLQYFDIASNQFSGTIPVSISNASSLGHLGMQGNSLHGKVPSLANLHKLERFSLTSNNLGSGGLNDLSFICDLTRATNLKHLGINMNNFGGVLPECTANLSSSLARFYVSDNKLVGRLPNGIGNLVKLESLFLSMNQFSGEIPPALGKLQNLYQLDLAINSLSGEIPSSFGNLSRLTKLYLDDNNLQGNIPLSLADCHNLEILSVPRNNLSGIISSKIIGLSSSYIFLDLSRNRFTGPFPQEVGKLINLEYLDISENMFSGEIPSGLGSCIKVEKLHMQGNFFQETIPLSLASLRAKGVFTNATATSVRGNGNLCGGLLEFHLPKCKFKQPKKGGLSLTLKFIISIGCALLGGTFAFTFLYHCCVRRDIKDDSSSGSEKFIRLSYQSLLKATDGFSSSNLIGAGSFGSVYRGSLDQGETTIAVKVLNLVHPGASKSFKAECEALKNIRHRNLVKLPQLVRQMKARGV >ONI22410 pep chromosome:Prunus_persica_NCBIv2:G2:18461857:18466945:-1 gene:PRUPE_2G127200 transcript:ONI22410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSKQKRKARDSDSEFEDDDDLNSDELMMEQEEDDFDDDQEEEEESEDEENEEESEDEEDEEEGEEEEENGDGQDDNKDAEIEELEKEYMSLRDQEQDILKNLKRHKDEDLLKGQAVKNQKALWDKTLEFRFLLQKAFSSSNRLPQEPVRSLFCDSHEGVNAAYSDLVNASKRTLDSLVELEEALLEKNPSIVQATDSKSARSKHSESSNNIDADGDEDWSRISQLLSSIATFRNKSIDKWQRKTQVTTGAAAIKSKLHAFNQNISEQVASYMRDPSRMVKQMQMRRSAIGVFGTMDGQVSDRENTPKGEETQCDAGAQADGDPELLDDSEFYQQLLKEFFETIDPASSETAFYSLKKLQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPEPMTLPTLVPDLNNLFGLKNQKRASVV >ONI22409 pep chromosome:Prunus_persica_NCBIv2:G2:18461657:18467437:-1 gene:PRUPE_2G127200 transcript:ONI22409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSKQKRKARDSDSEFEDDDDLNSDELMMEQEEDDFDDDQEEEEESEDEENEEESEDEEDEEEGEEEEENGDGQDDNKDAEIEELEKEYMSLRDQEQDILKNLKRHKDEDLLKGQAVKNQKALWDKTLEFRFLLQKAFSSSNRLPQEPVRSLFCDSHEGVNAAYSDLVNASKRTLDSLVELEEALLEKNPSIVQATDSKSARSKHSESSNNIDADGDEDWSRISQLLSSIATFRNKSIDKWQRKTQVTTGAAAIKSKLHAFNQNISEQVASYMRDPSRMVKQMQMRRSAIGVFGTVSDRENTPKGEETQCDAGAQADGDPELLDDSEFYQQLLKEFFETIDPASSETAFYSLKKLQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPEPMTLPTLVPDLNNLFGLKNQKRASVV >ONI22407 pep chromosome:Prunus_persica_NCBIv2:G2:18461656:18468251:-1 gene:PRUPE_2G127200 transcript:ONI22407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKVKKGFRSQKMGVPSKQKRKARDSDSEFEDDDDLNSDELMMEQEEDDFDDDQEEEEESEDEENEEESEDEEDEEEGEEEEENGDGQDDNKDAEIEELEKEYMSLRDQEQDILKNLKRHKDEDLLKGQAVKNQKALWDKTLEFRFLLQKAFSSSNRLPQEPVRSLFCDSHEGVNAAYSDLVNASKRTLDSLVELEEALLEKNPSIVQATDSKSARSKHSESSNNIDADGDEDWSRISQLLSSIATFRNKSIDKWQRKTQVTTGAAAIKSKLHAFNQNISEQVASYMRDPSRMVKQMQMRRSAIGVFGTVSDRENTPKGEETQCDAGAQADGDPELLDDSEFYQQLLKEFFETIDPASSETAFYSLKKLQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPEPMTLPTLVPDLNNLFGLKNQKRASVV >ONI22408 pep chromosome:Prunus_persica_NCBIv2:G2:18461857:18467937:-1 gene:PRUPE_2G127200 transcript:ONI22408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKVKKGFRSQKMGVPSKQKRKARDSDSEFEDDDDLNSDELMMEQEEDDFDDDQEEEEESEDEENEEESEDEEDEEEGEEEEENGDGQDDNKDAEIEELEKEYMSLRDQEQDILKNLKRHKDEDLLKGQAVKNQKALWDKTLEFRFLLQKAFSSSNRLPQEPVRSLFCDSHEGVNAAYSDLVNASKRTLDSLVELEEALLEKNPSIVQATDSKSARSKHSESSNNIDADGDEDWSRISQLLSSIATFRNKSIDKWQRKTQVTTGAAAIKSKLHAFNQNISEQVASYMRDPSRMVKQMQMRRSAIGVFGTMDGQVSDRENTPKGEETQCDAGAQADGDPELLDDSEFYQQLLKEFFETIDPASSETAFYSLKKLQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPEPMTLPTLVPDLNNLFGLKNQKRASVV >ONI23364 pep chromosome:Prunus_persica_NCBIv2:G2:22598671:22599392:1 gene:PRUPE_2G184300 transcript:ONI23364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETLKRREEDHQKEEAELGVWDCGSPLYDSYELVTVSHLIERHLMALPSLGRSRRFITNLSHLPSSEMASLSATTISSREGKGSSSSSMVGGLSEFMGIREIWKRRVVFGGRKDKAKKMKTGLSGFCNLIDLWRQKDHR >ONI21650 pep chromosome:Prunus_persica_NCBIv2:G2:12063240:12066034:1 gene:PRUPE_2G078500 transcript:ONI21650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLGISLSGGRFQSRLREIRSRATDVHDKEKGIKITEKDWEKLNAQLLHTTIFLLLLDWLPQQLVLHVLVTDNTLFWIILLLICYMIRALTLRTHDSVNNVTWVLD >ONI20479 pep chromosome:Prunus_persica_NCBIv2:G2:1689520:1690407:1 gene:PRUPE_2G017900 transcript:ONI20479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFMFPQHETLVWPSGPPMLSFHAPLLGAHHLSIQRSPSSLSQKSSRDLAYASLGYPKPLATLACL >ONI21634 pep chromosome:Prunus_persica_NCBIv2:G2:11890351:11891500:1 gene:PRUPE_2G077100 transcript:ONI21634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRTMLYKMVVLFVILLNSYVTRASDPDPVQDFCIANTESASHAKQCKNSSLVTVEDFVYAGIKVPGKFGPTGLSAVSVNSNVFPGLNTLGMSLVRADFEVGGVNVPHFHPRATEIAFVLEGTIYSGFVDTNNKVFAKVIKKGEVMVFPRGLVHFQMNVGDTPATILGSFDSQNPGSQRIPAAIFGSGIKEKLLEKAFGMSSKEISKLKKKLSPH >ONI25767 pep chromosome:Prunus_persica_NCBIv2:G2:29812775:29813803:-1 gene:PRUPE_2G319500 transcript:ONI25767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSAQESHLGQENSVTYESPYPLYAMAFSPSQTRNRHQHHRIAVGSFIEEYSNRVDILSFDPDTLSVKPNPTLSFDHPYPPTKLMFHPNPNSLHKSNDVLASSGDYLRLWEVRDSSVEPIQVLNNSKTSEFCAPLTSFDWNDIEPRRIGTSSIDTTCTIWDIEKGVVETQLIAHDKEVYDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTMPVAELERHRASVNAIAWAPQSCRHICSAGDDTQALIWELPTVAGPNGIDPMSMYSAGAEINQLQWSAAQPDWISIAFSNKMQLLKV >ONI25766 pep chromosome:Prunus_persica_NCBIv2:G2:29811203:29813953:-1 gene:PRUPE_2G319500 transcript:ONI25766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSAQESHLGQENSVTYESPYPLYAMAFSPSQTRNRHQHHRIAVGSFIEEYSNRVDILSFDPDTLSVKPNPTLSFDHPYPPTKLMFHPNPNSLHKSNDVLASSGDYLRLWEVRDSSVEPIQVLNNSKTSEFCAPLTSFDWNDIEPRRIGTSSIDTTCTIWDIEKGVVETQLIAHDKEVYDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTMPVAELERHRASVNAIAWAPQSCRHICSAGDDTQALIWELPTVAGPNGIDPMSMYSAGAEINQLQWSAAQPDWISIAFSNKMQLLKAS >ONI25768 pep chromosome:Prunus_persica_NCBIv2:G2:29811239:29813939:-1 gene:PRUPE_2G319500 transcript:ONI25768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSAQESHLGQENSVTYESPYPLYAMAFSPSQTRNRHQHHRIAVGSFIEEYSNRVDILSFDPDTLSVKPNPTLSFDHPYPPTKLMFHPNPNSLHKSNDVLASSGDYLRLWEVRDSSVEPIQVLNNSKTSEFCAPLTSFDWNDIEPRRIGTSSIDTTCTIWDIEKGVVETQLIAHDKEVYDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTMPVAELERHRASVNAIAWAPQSCRHICSAGDDTQALIWELPTVAGPNGIDPMSMYSAGAEINQLQWSAAQPDWISIAFSNKMQLLKV >ONI24944 pep chromosome:Prunus_persica_NCBIv2:G2:27488351:27490358:1 gene:PRUPE_2G270700 transcript:ONI24944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALIASYGDSSSDSDSESPAPRPELKNSEESSSALPPPPLSLLNSPNSFGFLDFSPSAQPSRVRNFPHVEGNYAVHVYIPVYIPPAPRKEMALFLNKLASLVPGLHAVDVDVPLEILCKDEHKLEQVALGREFHISLGRTVPIRVHQIDSLVTMLRQKLQIQRRYWIDFSKWEVFVNDDHTRTFVSIEVIAAGLAEITKQIQAVNEVYKLHNLPEFYKDPRPHISVAWASDDISSSLKQAVEEERRCTVGGSLQKCLFTSKFNGIECRIGSKTHKICKFSE >ONI25582 pep chromosome:Prunus_persica_NCBIv2:G2:29374824:29378298:1 gene:PRUPE_2G310400 transcript:ONI25582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVDEENNKKKNLKRSRSSSYDMPVTDSNFAHSLDDCVLFPVEEIVQYPLPGYIAPTSISFSPDDTIITYLFSPDHTLNRKVFAFDLKTCKQELCFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTSSKKKAIMVPLPAGIYFQDLSHSTAELKLPSTSGSPIIDPHLSPDGTMLGYVKDCELHVLNLICNESKQLTYGARPRGNDLTHGLAEYIAQEEMDRKNGYWWSLDSKFIAFTEVDSSDIPLFRIMHQGKSSVGSEAQEDHPYPFAGASNVKVRLGVVSSSGGPITWMDLLCGGTDQPDSEEEYLARVNWMHGNALMAQVLNRSHSKLKILKFDIKTGKRKVLLVEEQGTWVTLHDCFTPLDRGVTKSSGGFIWASEKTGFKHLYLHDANGTCLGPITEGDWMVEQIAGVESAGLVYFTGTLEGPLESHLYCAKLFTDGNQALQGPVKLTHGKGKHVVVLDHHMKNFVDIHDSLDSPPKVLLCSLLDGSTIISLYEPSFTVPRFKRLQLEPPELVHLWANDGTTLYGVLYKPDETRFGPPPYKTLISVYGGPSVQLVSDSWINTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGSLKYNVGRIDADDQLTGALWLIEKGLAKVGHIGLYGWSYGGYLSAMTLARFPDVFRCAVSGAPVTSWDGYDTFYTEKYMGLPSEKEEGYEYSSVMHHVHKMEGRLLLVHGMIDENVHFRHTARLVNALVAAGKSYELLIFPDERHMPRRHRDRIYMEERIWEFIERNL >ONI25581 pep chromosome:Prunus_persica_NCBIv2:G2:29374078:29378298:1 gene:PRUPE_2G310400 transcript:ONI25581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVDEENNKKKNLKRSRSSSYDMPVTDSNFAHSLDDCVLFPVEEIVQYPLPGYIAPTSISFSPDDTIITYLFSPDHTLNRKVFAFDLKTCKQELCFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTSSKKKAIMVPLPAGIYFQDLSHSTAELKLPSTSGSPIIDPHLSPDGTMLGYVKDCELHVLNLICNESKQLTYGARPRGNDLTHGLAEYIAQEEMDRKNGYWWSLDSKFIAFTEVDSSDIPLFRIMHQGKSSVGSEAQEDHPYPFAGASNVKVRLGVVSSSGGPITWMDLLCGGTDQPDSEEEYLARVNWMHGNALMAQVLNRSHSKLKILKFDIKTGKRKVLLVEEQGTWVTLHDCFTPLDRGVTKSSGGFIWASEKTGFKHLYLHDANGTCLGPITEGDWMVEQIAGVESAGLVYFTGTLEGPLESHLYCAKLFTDGNQALQGPVKLTHGKGKHVVVLDHHMKNFVDIHDSLDSPPKVLLCSLLDGSTIISLYEPSFTVPRFKRLQLEPPELVHLWANDGTTLYGVLYKPDETRFGPPPYKTLISVYGGPSVQLVSDSWINTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGSLKYNVGRIDADDQLTGALWLIEKGLAKVGHIGLYGWSYGGYLSAMTLARFPDVFRCAVSGAPVTSWDGYDTFYTEKYMGLPSEKEEGYEYSSVMHHVHKMEGRLLLVHGMIDENVHFRHTARLVNALVAAGKSYELLIFPDERHMPRRHRDRIYMEERIWEFIERNL >ONI25583 pep chromosome:Prunus_persica_NCBIv2:G2:29374078:29378305:1 gene:PRUPE_2G310400 transcript:ONI25583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVDEENNKKKNLKRSRSSSYDMPVTDSNFAHSLDDCVLFPVEEIVQYPLPGYIAPTSISFSPDDTIITYLFSPDHTLNRKVFAFDLKTCKQELCFSPPDGGLDESNISPEEKLRRERLRERGLGVTRYEWVKTSSKKKAIMVPLPAGIYFQDLSHSTAELKLPSTSGSPIIDPHLSPDGTMLGYVKDCELHVLNLICNESKQLTYGARPRGNDLTHGLAEYIAQEEMDRKNGYWWSLDSKFIAFTEVDSSDIPLFRIMHQGKSSVGSEAQEDHPYPFAGASNVKVRLGVVSSSGGPITWMDLLCGGTDQPDSEEEYLARVNWMHGNALMAQVLNRSHSKLKILKFDIKTGKRKVLLVEEQGTWVTLHDCFTPLDRGVTKSSGGFIWASEKTGFKHLYLHDANGTCLGPITEGDWMVEQIAGVESAGLVYFTGTLEGPLESHLYCAKLFTDGNQALQGPVKLTHGKGKHVVVLDHHMKNFVDIHDSLDSPPKVLLCSLLDGSTIISLYEPSFTVPRFKRLQLEPPELVHLWANDGTTLYGVLYKPDETRFGPPPYKTLISVYGGPSVQLVSDSWINTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGSLKYNVGRIDADDQLTGALWLIEKGLAKVGHIGLYGWSYGGYLSAMTLARFPDVFRCAVSGAPVTSWDGYDTFYTEKYMGLPSEKEEGYEYSSVMHHVHKMEGRLLLVHGMIDENVHFRHTARLVNALVAAGKSYELLIFPDERHMPRRHRDRIYMEERIWEFIERNL >ONI22996 pep chromosome:Prunus_persica_NCBIv2:G2:21350638:21351098:1 gene:PRUPE_2G162900 transcript:ONI22996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCGNIRLLLTDTSVKSSGEMRMSANWTISTKGVTACFRRTAPWSRRSWNMVDREKTREREREGFTWRESKKVIDGCVR >ONI23038 pep chromosome:Prunus_persica_NCBIv2:G2:21511725:21515005:-1 gene:PRUPE_2G166100 transcript:ONI23038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHGRCLKLFLAFALLLLQYAKGGEVDHSHRDTNVTGRCIERERQALLAFKRGLVDKSDLLSSWGSEAQKLDCCKWVGVSCSNQTGHVIQVDLHGLYSLQGKMISPKLIELHYLEHLDLGGINFIGIPVPDLIGSLTNLRYLDLSFTYFGGKFPSQVGNLTNLQYLALEANNFTNAENLDWLPLLSSLRYLDLNFVNLSNVYDWPEAINKLPKLTNLTLEGCDLPSPILFTLSYINSSKSLASVDLSSNHLSTSSIFIWLSNYNTSLVHLDLSWNLLAGSIPDVFGNMRSLAHLDLSNNQLEGGVPHSFARLCSLQSLGLSTNFLSGQLSKFVQILFSTCAQNSLEILDLSWNHLAGSLPDLTKLSSLEVLYLNNNQLSGVISGIHFSTLSKLRSLDLSSNSLVLDIHADWIPPFQLDYINLGSCKMGPDFPKWLQTQKKFSFLDISDAKISDIIPSWFWSLCRNVTFMNLARNQIGGTLVNLTFEFSYFPKLRLSSNQLEGPIPSFLSKTSHLDLSYNKLSGSISFFCSSTAMFLGFLDLSNNNVSGQVPDCLTYLTNLVMLDLSYNALFGKIPTTIGSVFGIETLKLRSNRFVGQLPSSLKNCTSLKVIDVGDNKLSGPIPNWLGVGLKDLVILMLSSNHFNGSLPSQLCHLTHIQILDFSMNNISRSIPKCLNNLTTLAQKGNPSLNISHFVYMMSNNNSVEAEYEDDATFIWKGRVYSYKNTLGLVKRIDLSSNRLTGEIPSEITHLVGLVSLNLSGNQLTGQITPEIGNLQSLDALDLSRNQIEGRIPTSLSRIDRLSVLDLSFNNLSGKIPIGTQLQGFDPSVYAGNPQLCGPPLKKTCADQIVQIDLSNQEDDKDELITLGFYISMGLGFAAGFWGVCGTLIFKRSWRYAYFKFLNGLNDWLYVQVALIKRQLKDMLNS >ONI21838 pep chromosome:Prunus_persica_NCBIv2:G2:14648032:14649761:-1 gene:PRUPE_2G092700 transcript:ONI21838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVEDEVIEAHVKQLLSRMTLKEKVGQMTQIERQVATSAAIKDLSIGSIFSALGSLPVEKASPSDWADMVDGFQRSALESRLGIPLIYGTDAVHGNGNVFGATIFPHNVGLGATRDADFARRIGVVTALEARACGIHYTFAPCVAGRCYESYSEDTEIVSKMTSIVSGLQGQPPQGYPKGYPFVAGGNSCNSVRENHTSLSVSHTM >ONI24836 pep chromosome:Prunus_persica_NCBIv2:G2:27220884:27223671:1 gene:PRUPE_2G265100 transcript:ONI24836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCTAPPPLSLRMLSSPSLRSITPNPRLHPTSSISEPSNRHPQLFFNPVRISLCDSHGVPQSMTLSRCFSGQTSPVPISSSPESNNSDSQILVVVSFYKFADFPDHARLRQPLKLLCEELRVSGGIILAPEGINGSICGTRESVEKVLAFIQSDDRLKELRRVESPVSPEEEAIHHGHTSCSPLAAGEDAPFRWDHVRVKLKKEIVSLGMPAVSPIEKVGKYVSPRDWNALISDPDTVVIDVRNNYETRIGKFKGAVDPHTRAFREFPSWVEDEFQPSEPENGHSEVEVYGANGSTENQLEISKPKTPQRVAMYCTGGIRCEKASSFLLSKGFEEVYHLEGGILKYLEEVPETESLWEGECFVFDKRVSVEHGFAQGTHKLCYGCKQPVSDADMESPQWEYGVSCPYCYSSKSDEEKERARARQRQFETWGVIGGPDKGRKLDSVKQSSTQFPNSI >ONI20174 pep chromosome:Prunus_persica_NCBIv2:G2:200978:205603:-1 gene:PRUPE_2G001000 transcript:ONI20174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVFNTFNTSALQILIILSLLLASAAQISFSSSQSNSNSGVNVWPKPRNFSWPQPQQANLLSPNFSITFPDHHKYLSSSVKRYLQLILSEHHLPLVNPSSFIHINTSAPPLLTLSVTVADLSAPLHHGVDESYTLTIPIAGGAADLAAQTAWGAMRGLETFSQLVWGDPSLVAVGVYVWDSPLFGHRGVMLDTSRNFYGVQDMLRTIEAMSANKLNVFHWHITDSHSFPLMVPSEPELASKGSYGSNMHYSPADVTKIVEFGLEHGVRVLPEIDSPGHTGSWAAAYPDIVTCANMFWWPDGVDWADRLAAEPGTGHLNPLNPKTYQVLKNIIRDVAILFPEPFYHAGADEIIPGCWKADPTIQSFLSEGGTLSQLLELFVNSTFPYIVSLNRTVVYWEDVLLDDNIKVQSTVLPQEHTILQTWNNGHNNTKRIVSSGYRVIVSSSEFYYLDCGHGDFLGNNSIYDQQTGSGTKNGGSWCGPFKTWQTMYNYDITYGLTEEEVKLVLGGEVALWSEQADPTVLDARIWPRASAVAESLWSGNRDEKGMKRYAEATDRLNEWRSRIVARGVGAEPIQPLWCVRNPGMCNTFNPVA >ONI21010 pep chromosome:Prunus_persica_NCBIv2:G2:5037444:5038706:1 gene:PRUPE_2G045000 transcript:ONI21010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQKVVVNEVSGWLRVFDDGSVDRTWTGPPQVQFMTEPVPPHDEFIDGVATRDVFVNKNLRLRIYLPETNPEDETKLPIILHLHGGGFCISQADWYMYYHMYTRLARSAKAICVSVYLRLAPEHRLPAPVNDGFSALLWLRSLAQGESYEPWLINHGDFNRVFLIGDSSGGNLVHEVAARAGKADLSPLRLAGGIPIHPGFVRAVRSRSELEQPESPMLTIDMVDKFLSLALPVGSTKDHPITCPMGYGAPDLDSLKLPPFLLCIAERDMIIDTEMEYYEAMKKAKKEVELLISPGMSHSFYLNKIAVDMDPQTAAQTEGLISGITEFVNKH >ONI23669 pep chromosome:Prunus_persica_NCBIv2:G2:23803285:23804921:-1 gene:PRUPE_2G201400 transcript:ONI23669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSIVLLFICKPSPGSIRFETGNNRVLSCSWKHLLETINQVPDILVTSSSSSLDTQASVLSLALILRLPY >ONI20788 pep chromosome:Prunus_persica_NCBIv2:G2:3633314:3635115:1 gene:PRUPE_2G033700 transcript:ONI20788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCLSNGFWCLFLGFMQKKNNNGVKNKNKNNYTNNNDGGEKKEDGSIASKIVKRVKPFQGAESVKSEGQANKRTVVGKVDPTKLRDELATKTKKKVDLVSPQPKKDNKVNKDDAIDTKKKQPEKTDNDEKPKEAALNLRNLRVLNFRNLRVLKLTNLTVLDLRLSNPTNLRLSNSTNLRLSNFTNLRLSNFTNLRTLYLSGREIFSEIGGNDGNDGNDGNEGNDGNDGNDGNDGNDGNDGNGSGGGDEKKKEEDEGNGGGKMKYPAGQSGFGQISYGTVYRHGYGYQNGALKTGEKMLLLCCEVFGLLIMYNHLAIHIYTTSIHSGVVFSGAQSHFYIPYLQGM >ONI20787 pep chromosome:Prunus_persica_NCBIv2:G2:3632959:3635116:1 gene:PRUPE_2G033700 transcript:ONI20787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNNNGVKNKNKNNYTNNNDGGEKKEDGSIASKIVKRVKPFQGAESVKSEGQANKRTVVGKVDPTKLRDELATKTKKKVDLVSPQPKKDNKVNKDDAIDTKKKQPEKTDNDEKPKEAALNLRNLRVLNFRNLRVLKLTNLTVLDLRLSNPTNLRLSNSTNLRLSNFTNLRLSNFTNLRTLYLSGREIFSEIGGNDGNDGNDGNEGNDGNDGNDGNDGNDGNDGNGSGGGDEKKKEEDEGNGGGKMKYPAGQSGFGQISYGTVYRHGYGYQNGALKTGEKMLLLCCEVFGLLIMYNHLAIHIYTTSIHSGVVFSGAQSHFYIPYLQGM >ONI21723 pep chromosome:Prunus_persica_NCBIv2:G2:13307892:13326514:1 gene:PRUPE_2G084100 transcript:ONI21723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGRSFMASRRKTLLLATGIVVAGGTVAYVQSRLNHKKHDALGHYNGLNDNEETTEKVVMNDHKLKKPPRKKGGLKSLQVLAAILLSEMGQMGVRDLLALVSIVVLRTALSNRLAKVQGFLFRAAFLRRVPLFLRLISENILLCFLVSTMHSTSKYITGTLSLRFRKILTKLIHSHYFENIAYYKMSHVDGRITNPEQRIASDVPKFCSELSEIVQDDLTAVTDGLLYTWRLCSYASPKYVFWILAYVIGAGATIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESVAFYGGESREEFHIKKKFETLIGHMRVVLHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLRPDTSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELLAISRELSVVNGKSSGSRNCFSEADYIEFAGVKVVTPTGNVLVDNLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGTDLNKEIFYVPQRPYTAVGTLRDQLIYPLTVDQEVEPLTHSGMVELLRNVDLEYLLDRYPPEKEINWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVQFKREDSPLLNEGGANMMLSETTRQSDALTVQRAFATTRRDSTISNSKAQSYIGEVIAVSPSEDHNVTHPFVPQLRRDPRALPLRVAAMFKVLIPTVLDKQGAQLLAVAFLVVSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGVSVLQSAASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYKVFNMSSKKIDADQRITQDLEKLTTDLSGLVTGMIKPSVDILWFTWRMKLLTGRRGVVILYAYMLLGLGFLRSVTPEFGDLASREQQLEGTFRFMHERLRAHAESVAFFGGGSREKAMVESKFKELLDHSLSLLKKKWLFGILDDFTTKQLPHNVTWGLSLLYAIEHKGDRALISTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGGINRIFELEELLDAAQSVVGYSAASEADTQSPSKWRDYNSEDVITFSEVNIITPSQKILARELTCDIVPGKSLLVTGPNGSGKSSVFRVLRGLWPITSGRITKPSQHVKEGVGSGCGVFYVPQRPYTCLGTLRDQIIYPLSFEEAELRALKLYREGEKSSEHTNILDMRLRTILENVRLSYLLEREEGGWDANLNWEDTLSLGEQQRLGMARLFFHKPKFAILDECTNATSVDVEEQLYRLAKDMGITVVTSSQRPALIPFHALELRLIDGEGNWELRSIKQ >ONI21722 pep chromosome:Prunus_persica_NCBIv2:G2:13307892:13326514:1 gene:PRUPE_2G084100 transcript:ONI21722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGRSFMASRRKTLLLATGIVVAGGTVAYVQSRLNHKKHDALGHYNGLNDNEETTEKVVMNDHKLKKPPRKKGGLKSLQVLAAILLSEMGQMGVRDLLALVSIVVLRTALSNRLAKVQGFLFRAAFLRRVPLFLRLISENILLCFLVSTMHSTSKYITGTLSLRFRKILTKLIHSHYFENIAYYKMSHVDGRITNPEQRIASDVPKFCSELSEIVQDDLTAVTDGLLYTWRLCSYASPKYVFWILAYVIGAGATIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESVAFYGGESREEFHIKKKFETLIGHMRVVLHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLRPDTSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELLAISRELSVVNGKSSGSRNCFSEADYIEFAGVKVVTPTGNVLVDNLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGTDLNKEIFYVPQRPYTAVGTLRDQLIYPLTVDQEVEPLTHSGMVELLRNVDLEYLLDRYPPEKEINWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVQFKREDSPLLNEGGANMMLSETTRQSDALTVQRAFATTRRDSTISNSKAQSYIGEVIAVSPSEDHNVTHPFVPQLRRDPRALPLRVAAMFKVLIPTVLDKQGAQLLAVAFLVVSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGVSVLQSAASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYKVFNMSSKKIDADQRITQDLEKLTTDLSGLVTGMIKPSVDILWFTWRMKLLTGRRGVVILYAYMLLGLGFLRSVTPEFGDLASREQQLEGTFRFMHERLRAHAESVAFFGGGSREKAMVESKFKELLDHSLSLLKKKWLFGILDDFTTKQLPHNVTWGLSLLYAIEHKGDRALISTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGGINRIFELEELLDAAQSVVGYSAASEADTQSPSKWRDYNSEDVITFSEVNIITPSQKILARELTCDIVPGKSLLVTGPNGSGKSSVFRVLRGLWPITSGRITKPSQHVKEGVGSGCGVFYVPQRPYTCLGTLRDQIIYPLSFEEAELRALKLYREGEKSSEHTNILDMRLRTILENVRLSYLLEREEGGWDANLNWEDTLSLGEQQRLGMARLFFHKPKFAILDECTNATSVDVEEQLYRLAKDMGITVVTSSQRPALIPFHALELRLIDGEGNWELRSIKQ >ONI21724 pep chromosome:Prunus_persica_NCBIv2:G2:13307892:13322535:1 gene:PRUPE_2G084100 transcript:ONI21724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGRSFMASRRKTLLLATGIVVAGGTVAYVQSRLNHKKHDALGHYNGLNDNEETTEKVVMNDHKLKKPPRKKGGLKSLQVLAAILLSEMGQMGVRDLLALVSIVVLRTALSNRLAKVQGFLFRAAFLRRVPLFLRLISENILLCFLVSTMHSTSKYITGTLSLRFRKILTKLIHSHYFENIAYYKMSHVDGRITNPEQRIASDVPKFCSELSEIVQDDLTAVTDGLLYTWRLCSYASPKYVFWILAYVIGAGATIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESVAFYGGESREEFHIKKKFETLIGHMRVVLHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLRPDTSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELLAISRELSVVNGKSSGSRNCFSEADYIEFAGVKVVTPTGNVLVDNLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGTDLNKEIFYVPQRPYTAVGTLRDQLIYPLTVDQEVEPLTHSGMVELLRNVDLEYLLDRYPPEKEINWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVQFKREDSPLLNEGGANMMLSETTRQSDALTVQRAFATTRRDSTISNSKAQSYIGEVIAVSPSEDHNVTHPFVPQLRRDPRALPLRVAAMFKVLIPTVLDKQGAQLLAVAFLVVSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGVSVLQSAASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYKVFNMSSKKIDADQRITQDLEKLTTDLSGLVTGMIKPSVDILWFTWRMKLLTGRRGVVILYAYMLLGLGFLRSVTPEFGDLASREQQLEGTFRFMHERLRAHAESVAFFGGGSREKAMVESKFKELLDHSLSLLKKKWLFGILDDFTTKQLPHNVTWGLSLLYAIEHKGDRALISTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGGINRIFELEELLDAAQSGTFSMFLNNHIKVTSFSD >ONI21721 pep chromosome:Prunus_persica_NCBIv2:G2:13307892:13326484:1 gene:PRUPE_2G084100 transcript:ONI21721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTEHGRSFMASRRKTLLLATGIVVAGGTVAYVQSRLNHKKHDALGHYNGLNDNEETTEKVVMNDHKLKKPPRKKGGLKSLQVLAAILLSEMGQMGVRDLLALVSIVVLRTALSNRLAKVQGFLFRAAFLRRVPLFLRLISENILLCFLVSTMHSTSKYITGTLSLRFRKILTKLIHSHYFENIAYYKMSHVDGRITNPEQRIASDVPKFCSELSEIVQDDLTAVTDGLLYTWRLCSYASPKYVFWILAYVIGAGATIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESVAFYGGESREEFHIKKKFETLIGHMRVVLHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGHLRPDTSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELLAISRELSVVNGKSSGSRNCFSEADYIEFAGVKVVTPTGNVLVDNLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGTDLNKEIFYVPQRPYTAVGTLRDQLIYPLTVDQEVEPLTHSGMVELLRNVDLEYLLDRYPPEKEINWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWSVQFKREDSPLLNEGGANMMLSETTRQSDALTVQRAFATTRRDSTISNSKAQSYIGEVIAVSPSEDHNVTHPFVPQLRRDPRALPLRVAAMFKVLIPTVLDKQGAQLLAVAFLVVSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGVSVLQSAASSFIAPSLRHLTARLALGWRIRLTQHLLKNYLRNNAFYKVFNMSSKKIDADQRITQDLEKLTTDLSGLVTGMIKPSVDILWFTWRMKLLTGRRGVVILYAYMLLGLGFLRSVTPEFGDLASREQQLEGTFRFMHERLRAHAESVAFFGGGSREKAMVESKFKELLDHSLSLLKKKWLFGILDDFTTKQLPHNVTWGLSLLYAIEHKGDRALISTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGGINRIFELEELLDAAQSVVGYSAASEADTQSPSKWRDYNSEDVITFSEVNIITPSQKILARELTCDIVPGKSLLVTGPNGSGKSSVFRVLRGLWPITSGRITKPSQHVKEGVGSGCGVFYVPQRPYTCLGTLRDQIIYPLSFEEAELRALKLYREGEKSSEHTNILDMRLRTILENVRLSYLLEREEGGWDANLNWEDTLSLGEQQRLGMARLFFHKPKFAILDECTNATSVDVEEQLYRLAKDMGITVVTSSQRPALIPFHALELRLIDGEGNWELRSIKQ >ONI22344 pep chromosome:Prunus_persica_NCBIv2:G2:17949887:17955070:-1 gene:PRUPE_2G123000 transcript:ONI22344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQKLHEAFKGTVEKITGPRTVSAFKEKGVLSVTEFVTAGDNLVSKCPTWSWESGEPSKRKPYLPPEKQYLITRNVPCLRRAASIEEEYEAAGMEVLLDNEDNDGWLATHGKPKDRSCEEENLPSIESLEISKNKAVKLAPSHVGGEDEDDIPDMADYEEADNIEIDPGTYIIAHEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQAELVLEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSSS >ONI22343 pep chromosome:Prunus_persica_NCBIv2:G2:17949178:17955184:-1 gene:PRUPE_2G123000 transcript:ONI22343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQKLHEAFKGTVEKITGPRTVSAFKEKGVLSVTEFVTAGDNLVSKCPTWSWESGEPSKRKPYLPPEKQYLITRNVPCLRRAASIEEEYEAAGMEVLLDNEDNDGWLATHGKPKDRSCEEENLPSIESLEISKNKAVKLAPSHVGGEDEDDIPDMADYEEADNIEIDPGTYIIAHEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQAELVLEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSSS >ONI22345 pep chromosome:Prunus_persica_NCBIv2:G2:17949238:17955071:-1 gene:PRUPE_2G123000 transcript:ONI22345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSQKLHEAFKGTVEKITGPRTVSAFKEKGVLSVTEFVTAGDNLVSKCPTWSWESGEPSKRKPYLPPEKQYLITRNVPCLRRAASIEEEYEAAGMEVLLDNEDNDGWLATHGKPKGNCEEENLPSIESLEISKNKAVKLAPSHVGGEDEDDIPDMADYEEADNIEIDPGTYIIAHEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQAELVLEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSSS >ONI21577 pep chromosome:Prunus_persica_NCBIv2:G2:11151556:11154329:1 gene:PRUPE_2G073800 transcript:ONI21577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFTKQNLSTNLSMDSSVSKMLQLPSFFVFLASLFFLLMLFKYWKKSQAKGLSKLPPGPKQLPIIGNVHQLIGALPHHAVTDLCNKHGPVMKLQLGEIFAVIISSPEAAKEVLKTSEISFAQRPEVCAVEIMAEDHSGIVFAPYNEYWRQLRKISVMELLSANRVRSFRSIREEEVWNLVEFIAASEGHTINLSDKIYTMSNDVVARAAFGNKCKYKHEFILLLEETMLFVGGFNIADLYPSLTFLRSMSGMKPALMKIQKKIDEILQDIVSEHKMKREASRKGFGKIGVDEEDLVDTFLNYEEADKHEFHLTTDQIKAVIMDIFSAGSETSATTMEWAMSELLKNPRVMEKAQLEVRQVFKGKNKIEEEDVEKLHYLKLVMKETFRLHPPVPLIPREARERCEIGGYTIPAKAKILINAYAIGRDPKLWADPECFQPERFQGSSIDFKGNNFELLPFGAGRRMCPGISFATSKVELGLAQLLYHFNWNLPNGTKLEALDMAEKFGMAARKKNNLNLIATTYIPFNK >ONI24646 pep chromosome:Prunus_persica_NCBIv2:G2:26627078:26638379:1 gene:PRUPE_2G252300 transcript:ONI24646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPITMKEALTLPSVGINPQFITFTHVTMESDKYICVRETSPQNSIVIIDMSMPMQPLRRPITADSALMNPNSKILALKAQVQGTTQDHLQIFNIEMKAKLKSHLMPEQIVFWKWITPKMLGLVTQTTVYHWSIEGESEPVKVFERTANLANNQIINYRCDPSEKWLVLVGIAPGAPERPQLVKGNLQLFSVDQQRSQALEAHAASFAQYKVPGNENPSTLISFATKTLNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQMSHKYSLIYVITKLGLLFVYDLETASAVYRNRISPDPIFLTTEASSVGGFYAVNRRGQVLLATINEQTIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQTGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRADPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQSLVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYSEQLGVDQCMKLFEQFKSYEGLYFFLGSFLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSGNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDEDLWGKVLDPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRASEDADVYHDLVRYLLMVRQKAREPKVDSELIYAYAKIDRLADIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFAFISNWAKLAITLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFANRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLLLVKPYMVAVQSNNVSAVNEALNAIYVEEEDYERLRESIDLHDSFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIGLSKKDKLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRADVVLELAWMNNMIDFAFPYLLQFIREYTGKVDELVKDKLEAQKEVKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGGFAPPPPMGGMPPMGMPPFGMPPMGSSY >ONI21566 pep chromosome:Prunus_persica_NCBIv2:G2:11070574:11071144:1 gene:PRUPE_2G073200 transcript:ONI21566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPDWRRKYMLPHPSYWPAIEGLGDVLEVECLGVCQGCLRMFNFELMTGTLLVWYFKLVEPADQMVNGGNCLISMDPEILLSNAVIFSHNELDMHWIKKKIGTKVLLLPSYH >ONI25351 pep chromosome:Prunus_persica_NCBIv2:G2:28763048:28764382:1 gene:PRUPE_2G297700 transcript:ONI25351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVGPTYSDISLPKEQEHELKQSQDPTCSKLKKAGVLPFWQINALAVIIVLSASGMVSPGDFAFVLISFIYIYFLSKFAFPTLPSSKDPQAFNPQNKFLRLYALLGVIIGLVLPIAYIFEGIMEGDKQGIKAAAPHVFLLASQVIMDGVVFNDRFSTPIRVFVPVFYNSKRMFTLVEWLKNEFSKEVYGASARRLYLGRMIAVANLAFWSLNLFGFLLLVHLPAAFKKYYSAHKESKD >ONI20397 pep chromosome:Prunus_persica_NCBIv2:G2:1151376:1155451:1 gene:PRUPE_2G013000 transcript:ONI20397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQDLHESVSKWKLPVGVFFKDARRVFKWDILGKEILEIAFPAALAVAADPVASLIDTAFIGHIGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEEDTVAKMNIKSEKGKQLQKADMLDDLEKGAAKPNGTAKENGKHLEKFSTENSKMEEASAEDDEHVAVGKGALEAKGESSDNGLPESSEANKALAENVKLEKAEKGAAKNSDPLENGSRTTVDKHPSDLMSTNKKAKIKKQKRHIASASTALIFGAILGLLQAIFLMFTAKVLLGVMGVKSDSPMLAPAHKYLRIRSIGAPAVLLTLAMQGIFRGFKDTKTPLYVIVAGYAVNIALDPLLIFVCGLGIRGAAIAHVLSQYLMALVLFIILTTKIDLLPPSIKDLQFGRFLRNGTLLLARVVAVTFCVTLAASLAAREGPTPMAAFQTCLQVWLTSSLLADGLAVAGQAILACAFAEKDYKKATATATRVLQMSFVLGVGLAFIVGIGLYFGAGIFSRDVNVLHLIRIGLPFVAATQPINSLSFVFDGVNFGASDFAYSAYSLVLVAIASIVSLFLLSKSHGFVGIWIALTIYMALRAFAGVWRMGTGTGPWRFLKGRSPP >ONI20398 pep chromosome:Prunus_persica_NCBIv2:G2:1151339:1155451:1 gene:PRUPE_2G013000 transcript:ONI20398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQDLHESVSKWKLPVGVFFKDARRVFKWDILGKEILEIAFPAALAVAADPVASLIDTAFIGHIGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEEDTVAKMNIKSEKGKQLQKADMLDDLEKGAAKPNGTAKENGKHLEKFSTENSKMEEASAEDDEHVAVGKGALEAKGESSDNGLPESSEANKALAENVKLEKAEKGAAKNSDPLENGSRTTVDKHPSDLMSTNKKAKIKKQKRHIASASTALIFGAILGLLQAIFLMFTAKVLLGVMGVKSDSPMLAPAHKYLRIRSIGAPAVLLTLAMQGIFRGFKDTKTPLYVIVAGYAVNIALDPLLIFVCGLGIRGAAIAHVLSQYLMALVLFIILTTKIDLLPPSIKDLQFGRFLRNGTLLLARVVAVTFCVTLAASLAAREGPTPMAAFQTCLQVWLTSSLLADGLAVAGQAILACAFAEKDYKKATATATRVLQMSFVLGVGLAFIVGIGLYFGAGIFSRDVNVLHLIRIGLPFVAATQPINSLSFVFDGVNFGASDFAYSAYSLVLVAIASIVSLFLLSKSHGFVGIWIALTIYMALRAFAGVWRMGTGTGPWRFLKGRSPP >ONI20396 pep chromosome:Prunus_persica_NCBIv2:G2:1148101:1155451:1 gene:PRUPE_2G013000 transcript:ONI20396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQDLHESVSKWKLPVGVFFKDARRVFKWDILGKEILEIAFPAALAVAADPVASLIDTAFIGHIGPVELAAAGVSIALFNQASRITIFPLVSITTSFVAEEDTVAKMNIKSEKGKQLQKADMLDDLEKGAAKPNGTAKENGKHLEKFSTENSKMEEASAEDDEHVAVGKGALEAKGESSDNGLPESSEANKALAENVKLEKAEKGAAKNSDPLENGSRTTVDKHPSDLMSTNKKAKIKKQKRHIASASTALIFGAILGLLQAIFLMFTAKVLLGVMGVKSDSPMLAPAHKYLRIRSIGAPAVLLTLAMQGIFRGFKDTKTPLYVIVAGYAVNIALDPLLIFVCGLGIRGAAIAHVLSQYLMALVLFIILTTKIDLLPPSIKDLQFGRFLRNGTLLLARVVAVTFCVTLAASLAAREGPTPMAAFQTCLQVWLTSSLLADGLAVAGQAILACAFAEKDYKKATATATRVLQMSFVLGVGLAFIVGIGLYFGAGIFSRDVNVLHLIRIGLPFVAATQPINSLSFVFDGVNFGASDFAYSAYSLVLVAIASIVSLFLLSKSHGFVGIWIALTIYMALRAFAGVWRMGTGTGPWRFLKGRSPP >ONI22212 pep chromosome:Prunus_persica_NCBIv2:G2:17252714:17259669:1 gene:PRUPE_2G114600 transcript:ONI22212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRACSLFQLCQNPNRLLLRSSKFSKGPYLIPSARICPVLCLSKEIQTSAYEVVRGSYVPTALVKPESKPKDKSPENLEGVGAFQKLPMVMPSVDILYSALRKAKRISPTKGIANIAKRERNRGAKQLDALMKELAVPLRTYSENFPNKKYLHPYERSLIELTLGDGNYEEVLGKVDSLRKKVVSVGKEHASLCAKSLSKKEAEERLTEGIKKVEETFNRGGKHVDDLLHIAKTLRAMPVVDLESPTLCLVGAPNVGKSSLVRILSTGKPEVCNYPFTTRGILMGHIISNHQTFQVTDTPGLLKRCDEDRNNLEKLTLAVLSHLPTAILYVHDLSGQCGTSPSDQFVIYKEIKERFSEHLWLDVVSKCDLLQKSPVLFATDKGDDSDLELERYRKLGPDGALHVSVTNEVGLTELKSIVHEMLNSQMTRIQVQEKLEVLT >ONI24375 pep chromosome:Prunus_persica_NCBIv2:G2:25813732:25819653:1 gene:PRUPE_2G236900 transcript:ONI24375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEDPIFEDDTDISDTEMDEDEEEYYEDLKNGKHSVRSSDGTYICPFCPKKKKRDFVLKDLLQHASGVGKSNSEKRSTEEKAKHSALAKYLEKDLATEGGPSKPVGEEDPPIDCDHDEKLVWPWTGVVVNIPTRRADDGRYVGESGSKLRDDLKRRGFNPTRVHPLWNFRGHSGSAVVEFRKDWPGYVNAMSFERAYEADRHGKKDWGANGDQKSGLYAWVARADDYKATNIVGEHLRKIGDLKTISEIMEEEARKQDKLVFNLNNIIQGKNKDMEEMELKCSETTNSIKSVITEKEKLVQGYNEDIKKIQMSARDHFQRIFSDHEKLKLQLETQKIGLETRIEEMEKRAVANESESRKLADEIEKNSAKNSSLQLASMEQLKANENLLKLAEDQKRQKEELHSKIIKLEKQLDTKQTLELEIEQLRGNLNVVRRMGDDGDVEVLEKVDTMLKELREKEETFEDLEALNQTLIVKERKSNDELQEARKELVNGLKEISNRAHIGVKRMGELDSKPFQEAMKRKYNEEEAEEKATELCSLWEEYLKDPDWHPFRVTTVDGQHKEFINEQDEKLKDLKKQLGDEVYNAVTSALKEINEYNPSGRYITSELWNYAEGRRASLQEGVDFILKQWKQKKQRMED >ONI24376 pep chromosome:Prunus_persica_NCBIv2:G2:25813732:25819653:1 gene:PRUPE_2G236900 transcript:ONI24376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEDPIFEDDTDISDTEMDEDEEEYYEDLKNGKHSVRSSDGTYICPFCPKKKKRDFVLKDLLQHASGVGKSNSEKRSTEEKAKHSALAKYLEKDLATEGGPSKPVGEEDPPIDCDHDEKLVWPWTGVVVNIPTRRADDGRYVGESGSKLRDDLKRRGFNPTRVHPLWNFRGHSGSAVVEFRKDWPGYVNAMSFERAYEADRHGKKDWGANGDQKSGLYAWVARADDYKATNIVGEHLRKIGDLKTISEIMEEEARKQDKLVFNLNNIIQGKNKDMEEMELKCSETTNSIKSVITEKEKLVQGYNEDIKKIQMSARDHFQRIFSDHEKLKLQLETQKIGLETRIEEMEKRAVANESESRKLADEIEKNSAKNSSLQLASMEQLKANENLLKLAEDQKRQKEELHSKIIKLEKQLDTKQTLELEIEQLRGNLNVVRRMGDDGDVEVLEKVDTMLKELREKEETFEDLEALNQTLIVKERKSNDELQEARKELVNGLKEISNRAHIGVKRMGELDSKPFQEAMKRKYNEEEAEEKATELCSLWEEYLKDPDWHPFRVTTVDGQHKEFINEQDEKLKDLKKQLGDEVYNAVTSALKEINEYNPSGRYITSELWNYAEGRRASLQEGVDFILKQWKQKKQRMED >ONI20476 pep chromosome:Prunus_persica_NCBIv2:G2:1656866:1661601:1 gene:PRUPE_2G017700 transcript:ONI20476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDNDFTFCQVGSPGNQDGLETKKLTSDIENIAIKDGLSNGTNSNQNRGFLWQSGLPLGATSEKQETVGSLSVSVIDASSTNRKSELPKQPSSGGAGKSVKPVPRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISINEVKQHQKGDSMWTVLKGRVYNLSPYMRFHPGGVDMLKKAVGKDCTSLFNKYHAWVNAEFLLEKCLVGTLDDGEGQHSNH >ONI23666 pep chromosome:Prunus_persica_NCBIv2:G2:23790709:23797562:-1 gene:PRUPE_2G201200 transcript:ONI23666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAADAAADKAAPALPPLTVKLDPTKYPLWLAQIIPLLKSKGLMSFVDGTAMCPPAFLLDPDGKPTNTTHPDYDHWIQMDQFVLSLINGSLSHLVVATLEGSISARATWVALEALLLGAEQQVPTPTVSVSDHSTAAAAASPGCGFDHVASGGGGGGGGGGGGGGGGGGGTTGEGVSGKKGLPGVKLFSPTDEQLVGYLTNQIEGKDSEFRDSIPVIDHACKREPRDLPAVFFSKPDYKNSKRDRCNRTTDEGFYKSTGKVREIKAEQSQAVIGNKRILPFCEGRGPQAKKTKYVMHEYSLTKTELAKMGVGPNPNQQEEFVLCCLKNKSANSKKLKDDSVCSSELAELAIGGEIASNSEDDQAAATGMIQGPDEHLADKDVLLGTENRNECVESESPNGFSSTDNNDISTFVGSPGSFDVPDGIFLSDFDDPIKELSCADLGENTDSTFLPPQQLAATSATTPTLATSFGSFGVGLPDDFSWPDFDDLLKELSCADLGENTDSTLLPPQQLAATSATTPTLATSFGGFGVGSPDGFSWPDFDDLLKEPFWADQPHQPPHPHQPPPASQPHQPPPAPQSHQPPPPSQPQNYCSSTLPSALCTKQGNVPSLCNDDCSKQLSPTGNNISTNYHYEPVSNTAYSVQNGATDESFSEVYKISTNDHNESVSSEAYFQPKENLGILSSISATGLHTAVTKEHRTGRCYACQ >ONI23667 pep chromosome:Prunus_persica_NCBIv2:G2:23792313:23797242:-1 gene:PRUPE_2G201200 transcript:ONI23667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAADAAADKAAPALPPLTVKLDPTKYPLWLAQIIPLLKSKGLMSFVDGTAMCPPAFLLDPDGKPTNTTHPDYDHWIQMDQFVLSLINGSLSHLVVATLEGSISARATWVALEALLLGAEQQVPTPTVSVSDHSTAAAAASPGCGFDHVASGGGGGGGGGGGGGGGGGGGTTGEGVSGKKGLPGVKLFSPTDEQLVGYLTNQIEGKDSEFRDSIPVIDHACKREPRDLPAVFFSKPDYKNSKRDRCNRTTDEGFYKSTGKVREIKAEQSQAVIGNKRILPFCEGRGPQAKKTKYVMHEYSLTKTELAKMGVGPNPNQQEEFVLCCLKNKSANSKKLKDDSVCSSELAELAIGGEIASNSEDDQAAATGMIQGPDEHLADKDVLLGTENRNECVESESPNGFSSTDNNDISTFVGSPGSFDVPDGIFLSDFDDPIKELSCADLGENTDSTFLPPQQLAATSATTPTLATSFGSFGVGLPDDFSWPDFDDLLKELSCADLGENTDSTLLPPQQLAATSATTPTLATSFGGFGVGSPDGFSWPDFDDLLKEPFWADQPHQPPHPHQPPPASQPHQPPPAPQSHQPPPPSQPQNYCSSTLPSALCTKQGNVPSLCNDDCSKQLSPTGNNISTNYHYEPVSNTAYSVQNGATDESFSEVRMSMLFELFFFSYELFKYGAQELHPNLVLACYDS >ONI25377 pep chromosome:Prunus_persica_NCBIv2:G2:28815293:28816330:-1 gene:PRUPE_2G298800 transcript:ONI25377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGHEVQVKLSSARDLKNVNWRNGPVKPYAVVWVDPKNKCSTRVDEEGDTSPYWDETLTIPLPGPVDGDTTLYIDVVHAGSDPDTKPLIGSARLKLREVIDDVGFDERASRTLQLKRPSGRPQGKVDVKVTIREPRYRAPEPYYAPPYGVPPAGSRDYTAPPPAYGAPYAAPAPPPTYGVPYATPAPPPPRDYYAAPPSGYQYSAYNAPPAPYGQPAQASYGQAGYGHEEKKSKFGGMGTGLAVGAVAGVLGGVALAEGFDYVEDKIADDVAEKVEDDIGYDDGDDF >ONI22186 pep chromosome:Prunus_persica_NCBIv2:G2:17073807:17075686:-1 gene:PRUPE_2G112700 transcript:ONI22186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFRYIEEIKEPVERECPGAVSCSADQSAPPQWNYDVFLSFRGVDTRNSFLSHLYHELQHRCIKTFMDDPKLERGTTISSELFKAIQESRLAIVVLSPNYASSSWCLDELTNNLQCMKSNDTVLPMFFNVDPSDVRIQSGIFAGAFAEHEKRFREDIEKVKRWRAALTEVANLSGFNSKNE >ONI25505 pep chromosome:Prunus_persica_NCBIv2:G2:29207315:29209976:1 gene:PRUPE_2G307300 transcript:ONI25505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDDHDRPSSDFFQEHEQCYYSDTTCNLATPGDDLFSILESLDDGFGFGGAMEFPPPPATVALDDEQPPRPLVFSSSSADQTELDETNSTPKTKRLKLSASPASGGTATTFSDEDGQQTRMSHITVERNRRKQMNDHLSVLRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQSLEAKKQRKAYSEVLSSPMLASSPRPPGPSPPILSPRKPPLSPRLSLPPISPRTPQPTSPYKPSSARALQQPPPPQLPAAANIICNYLISSPNMPTSSSFELPSPSSSTTSSHINNNNNIDNLNELFANSKSAVAQVEVKFSGPNVLLKTVSPPIPGQALRIISTLEELSLEILDVSITTVDETMINAFTIKIGIECQLSAEELAHQIQQTFC >ONI20916 pep chromosome:Prunus_persica_NCBIv2:G2:4464832:4469459:-1 gene:PRUPE_2G040700 transcript:ONI20916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALIGEALISASIQVICDRIASPDFIDLFRHKKLDQPLLMKLKRTLLTLNAVLDDAEEKQIEKPAVRDWLDDLKHAVFDAEDLLDEINYEALRCKLEGEAQTADKLTNKVRNLLSTSRNKFYQSMNAKIQELLQRLEHFVQLKGALDLREDVGRKVSQRTPTTSLVHEPCVYGRDEAKQNLLEVLFDDASEENVSVIPIVGMGGVGKTTLARMLYNDNKVKEHFTLKAWACVSEDYDAIRVTKTLLQSVTLEPCNKTDLKQITLLESVTSEPCNKTDLNQITLLTDLNLLQVKLSEELSGKKFLFVLDDFWNEKYTDWNYLQTPFTSGARGSKVLVTTRNKNIASFMQNVPIHTLKPLSHEDCWFLLAKHANVNSSSDPSLEEIGKKIARKCNGLPLAAQTLGGVLRSRPDSEVWNRVLNSSIWDLPYEKSDILPALGLSYHYLPAKLKRCFIYCSIFPKDYEFKVENVVFLWMAEGLIPQAENGDSMEEVAKEYFDELLSRSLFQTSGNSSFVMHDLINDLAVFMSKGFCSRWEGKESHEVERVRHLSYSREELDVAVKFEPLKGAKCLRTFLSLSLKPYFRYVDSYYVSKKVLHNLLPSLTCLRVLSLSCYKNVTELPDSIKKLIHLRYLDLSDTAIETLPSVLCSLYNLQTLLLSNCSRLVELPADLRKLINLQKLMLGGCKSLTKLPVDMCELINLHHLDFSGTKIVEMPRQMSTLKSLRTLSAFIVGKSTGLTIGELGELPHLGGKLSILQLRNIVDTRDALQANLKDKKDLKELELEWGGEDADDSQKEKDVLEKLQPCVNLEKLTISGYGGKDFPNWLGGSSLSNIQVMCISDCSNCSSLPPVGRLPTLKELYITKMKLVKKIGVEFYGSTGSSVIQPFKSLERLEFCNMAEWEEWVPSGSGGVDFPCLQELILRHCPKLRGSLTCDLPRLKKLTVEGCGVLHDQRATTATSVKMDYKSLEELMIGGGIFETEQTLGGVLSLLETKLLSKLEIWSLNDIQCLPNINRLQSLSLINCPTLSSFPEDGLPTTLTSLVIDFCSRLELPHELLAKLTSLWHLTIFRSCDSMRSFPLGIFPKLTSLFFSECENLESLSLIEEEGVDENLSRLAISHCPNLVCFPWGGLPAPNLTSLEFINCKKLKSLPERIHTLTRLRYLKIGDLPNLESIAEDGGLPRNLQYFTIENCERLRASSVAEYWGLQGLVSLEKFGIGGRGSDEILETLLKQQLLPKTLQRLEISQLSSLKSLDSKGLNDLTSLSFLSISNCSALEKRYKKKTGKAWADISHIPCIKIGKEVII >ONI22871 pep chromosome:Prunus_persica_NCBIv2:G2:20965957:20968732:1 gene:PRUPE_2G156100 transcript:ONI22871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHGRSLKLFLAFALLLLQYAQGGEVDHNQRDTNVTGRCIEKERKALLAFKRGLVDDYNLLSSWSSEAQKQDCCRWIGVSCSNQTGHVVGLDLSIDVLGGFDILQGKMISPKLIELQHLQHLDLNEINFTGSQFPNFIGSLTNLRYLDLSSTNLGGKFPSQVGNLTNLVYLDLSVNRFTNVENLNWLPLLLSLRYLDLSFANLSNVFDWPEAVNKLPELTNLTLQECDLPSPILSTISYINSSKSLASVDLSFNHLSTSSIFLWLSNNNTSLVHFDLSFNQLAGSIPDVFGNMSSLAHLDLYSNQLEGGDLHSFARLCSLQYLDLSSNNLSGQLSKFVQILFSTCAQNSLEELYLFENDLAGSLPESIGLMSTLQIIDFSMNSLEGVISETHFSKLSKLQHLDLSSNSLVLNIHADWIPPFQLDFINLGSCKMGPDFPKWLQTQKKLSYLDISYAGISDILPSWFWSLCRNVEFMNLTSNQIRGTFANLTLEFSYFPELHLSSNKLEGPIPSVLSTTSYLDLSYNKLSGSISFLCSSAAIYLSFLDLSSNNVSGQIPDCLAHLENLVMLDLSYNALFGKIPTTIGSVFRIETLKLRSNRFVGQLPSSLKNCTSLVVIDVGDNKLSGPIPEWLGVSLKNLVILMLSSNHFNGSLPSQLCHLTHIQILDFSMNNISRSIPKCLDNLTTLAQIGHSGQEITHSFGNSNSTYQYEDDATFIWKGRMQTYKNTLGLVKRIDLSSNRLTGEIPCEITHLVGLVSLNLSRNQLTDQITPKIGNLQLLDSLDLSRNHIDGRIPTSLARIDRLSFLDLSYNNLSGKIPIGTQLQGLDPSVYAGNPQLCGPPLKNMCVDEKERGPSGQTDFINQEDKDELITLGFYITMGIGFAVGFWGVCGTLIFSKSTHGDTHT >ONI24342 pep chromosome:Prunus_persica_NCBIv2:G2:25731596:25732037:1 gene:PRUPE_2G234900 transcript:ONI24342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFNLFSLIFLFSSNVLHCFVVALRFGGTFFVFGNLVLANSSDPFIMVLNMIVHLLVRGILHPANIV >ONI20531 pep chromosome:Prunus_persica_NCBIv2:G2:2085598:2088295:-1 gene:PRUPE_2G021300 transcript:ONI20531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGRKVVCVTGASGFIASWLVKLLLQRGYIVKATVRDPNDPKKTEHLLTLDGAKERLHLFQADLLVEGSFDLAVDGCEGVFHTASPVIFSSTDPQEELLYPAVKGTLNVLKSCVKFPTVKRVVLTSSMASVLNNGKPLTPDVVVDETWFSDPLVCEDLEAWYFLSKTLAEEAAWKFAKGNGIDLVTLNPAYVIGPLLQPTLNATVEMVLNLKKDMQEANKPNYISTDVRDVAYTHIQAFEVPSANGRYCLVGHVTPVFEALKILQQLHPIFCPPEKLEDGSPAEPTFQVSQEKAKSLGVSFLPLEVSLRDTVESLKEKGFLSR >ONI20532 pep chromosome:Prunus_persica_NCBIv2:G2:2085598:2088241:-1 gene:PRUPE_2G021300 transcript:ONI20532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGRKVVCVTGASGFIASWLVKLLLQRGYIVKATVRDPNDPKKTEHLLTLDGAKERLHLFQADLLVEGSFDLAVDGCEGVFHTASPVIFSSTDPQEELLYPAVKGTLNVLKSCVKFPTVKRVVLTSSMASVLNNGKPLTPDVVVDETWFSDPLVCEDLEAWYFLSKTLAEEAAWKFAKGNGIDLVTLNPAYVIGPLLQPTLNATVEMVLNLKKDMQEANKPNYISTDVRDVAYTHIQAFEVPSANGRYCLVGHVTPVFEALKILQQLHPIFCPPENRLEDGSPAEPTFQVSQEKAKSLGVSFLPLEVSLRDTVESLKEKGFLSR >ONI22667 pep chromosome:Prunus_persica_NCBIv2:G2:20065478:20071922:1 gene:PRUPE_2G143300 transcript:ONI22667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFRFWRLNLLPKPSLSHLQTTLKALKPLKQKLSPICSLPMSSSSLNPLNSHLSVSKNPTFSEPQNPTACPQDDLVVLGIETSCDDTAAAVVRGNGEILSQVVSSQADLLAQYGGVAPKMAEEAHLQVIDQVVQEALDKAKLTERNLSAIAVTIGPGLGLCLRVGVRKARKIAGSFNLPIIGVHHMEAHALVARLNERELQFPFMALLISGGHNLLILARDLGQYIQLGTTIDDAIGEAYDKTAKWLGLDLRRSGGPAIEELAREGDAKSIKFSTPMKQHKDCNFSYAGLKTQVRLAIESKNINAAIPISSASSEDRRTRADIAASFQRVAVLHLEERCERAIEWALNIEPSVKYLVVSGGVASNQYVRARLDQVVQRNSLRLVCPPPSLCTDNGVMVAWTGIENFRMGRFDPPPPADEPEDIVYDLRPRWPLGEEYADGRSEARSMKTARIHPSLTSMIQASSQQQP >ONI25018 pep chromosome:Prunus_persica_NCBIv2:G2:27713918:27716566:-1 gene:PRUPE_2G274900 transcript:ONI25018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAVIFVLERVADVLAEIHFPKDVRPEIQRLRDELKRMQCFLKDADAKQESDLQVRNWVSDVRNLAYDAEDLIDTHILKIESFKYKKRNFLYRYASTLKARTKIGKDLAAIRTRISDIAISHEAYGIRSIGDGTSHAIANERLLKLRRTTPRGQDKDIVGLEEDIATLVARLVLEDQWRAISIVGMGGIGKTTCAKEVYNHGDVQTFFDCRAWVYVSQQFRTRDILQSIIKQVATRTKDMTKLLEEELEEMLYKLLQGRRYLVVLDDIWSTTAFDSLAKAFPSDGSGNKLLLTTRNNNVALHADAQSLPHELRFRSQEDSWKLLCRKAFTESIDRVCPPQLEEIGQEIVVKCSGLPLAIIVVGGMLSRKRRLSEWQRVLNTIRLLLARDPNGVSAILALSYYDLPYDLKFCFLYLGLFPEDYLFSARKLFRMWIAEGLIPQHGGKMEDVAEEYLNELIDRNMVQVARWSANERVKHCQLHDLMRDLSISKAKAEEFLYIHGNLDTVAPASYHALSRARRHAIYSNGSLSLKESSPNLRSLLFFKVDQIKCEISSYDICTCFKLLRVLELEELKVSSIPREIGEMIHLRYLGLKHSSIKELPEEIGCLSNLQTLDIAENFSLGKIPDVLWKMKSLRHFYMHARLLAGKLRIDTLQNLQTLSDMHIGSWNETSPANLISLRKLGLKGSFTANRVRIFNSLAKLVSLHSLSLKSADVVFPSLSQLSSLRYVIKLHLSGGIIKLPEPHEFPPNLNQLTLYHSRLDKNPMEILEKLPYLFVLRLKSSSFLGKKLKFSPNGFPQLEFLELEFLEFLEALEGEESAMPKIRSLQIVGCGRLRMLPEEIKYATTLRELVFKEMPRNFLDRLQGEDSHKVQHVPSILKL >ONI25019 pep chromosome:Prunus_persica_NCBIv2:G2:27713316:27717314:-1 gene:PRUPE_2G274900 transcript:ONI25019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMQSKKAIYRYASTLKARTKIGKDLAAIRTRISDIAISHEAYGIRSIGDGTSHAIANERLLKLRRTTPRGQDKDIVGLEEDIATLVARLVLEDQWRAISIVGMGGIGKTTCAKEVYNHGDVQTFFDCRAWVYVSQQFRTRDILQSIIKQVATRTKDMTKLLEEELEEMLYKLLQGRRYLVVLDDIWSTTAFDSLAKAFPSDGSGNKLLLTTRNNNVALHADAQSLPHELRFRSQEDSWKLLCRKAFTESIDRVCPPQLEEIGQEIVVKCSGLPLAIIVVGGMLSRKRRLSEWQRVLNTIRLLLARDPNGVSAILALSYYDLPYDLKFCFLYLGLFPEDYLFSARKLFRMWIAEGLIPQHGGKMEDVAEEYLNELIDRNMVQVARWSANERVKHCQLHDLMRDLSISKAKAEEFLYIHGNLDTVAPASYHALSRARRHAIYSNGSLSLKESSPNLRSLLFFKVDQIKCEISSYDICTCFKLLRVLELEELKVSSIPREIGEMIHLRYLGLKHSSIKELPEEIGCLSNLQTLDIAENFSLGKIPDVLWKMKSLRHFYMHARLLAGKLRIDTLQNLQTLSDMHIGSWNETSPANLISLRKLGLKGSFTANRVRIFNSLAKLVSLHSLSLKSADVVFPSLSQLSSLRYVIKLHLSGGIIKLPEPHEFPPNLNQLTLYHSRLDKNPMEILEKLPYLFVLRLKSSSFLGKKLKFSPNGFPQLEFLELEFLEFLEALEGEESAMPKIRSLQIVGCGRLRMLPEEIKYATTLRELVFKEMPRNFLDRLQGEDSHKVQHVPSILKL >ONI21066 pep chromosome:Prunus_persica_NCBIv2:G2:5663117:5664066:1 gene:PRUPE_2G049300 transcript:ONI21066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNHGPSNSKSKDTNTGKSKKSNFSLKKHPSLLVKLDLYTNTYKYNDNVSKHFNLGRAWLNEFRLGMHLMLVKNLMR >ONI25624 pep chromosome:Prunus_persica_NCBIv2:G2:29480783:29483435:1 gene:PRUPE_2G312200 transcript:ONI25624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRSLHLLLLLVLLLPLLIHSQTNSDCTLSCGNINISSPFRIKGDPPHCGNQTYELSCETNTTSTCMTILYLYSGKYYVRAIHYNNFTIRLVDAGVVDQKKKKKDNKYYFSNPTHSLTSFNFSYGDPYTTLEGNYYNGYNREEDEEFIVPIVSLNCAKPMNFSGFVETAPCSSSSNISNTSNLISMYSYFMVGMNGFISSLDLGETCKITQMVMASTSRSDSDDYLSSCEAIYNLMAQGFQLSWVEERWCLPNCAICVGDINDCLGRHGRHPEVWYKKVIMSILIKLERLIAPLYSFFNLEQRGFMNYWENYPSRLLLCLISYLAGLLIYKWRRRHLSMYDNIEEFLQSNYNLMPMRYSYSDIKKMTKGFKDKLGEGGYGTVYKAKLRSGHFVAVKMLGKSKANGQEFINEVATIGRIHHVNVVQLIGFSVDGSKRALIYDFMPNGSLEKYIFSQSGSGVLISLSYEKMFQIALGVARGIEYLHQGCDMQILHFDIKPHNILLDENFTPKVSDFGLARLCPLENSIVSLTAARGTIGYIAPELFYKNIGGVSYKADVYSFGMLLMETAGRRKNLNASIEHSSQIYFPTWVYDQLSEGNDINMGDATEEENKIIRKMIIVALWCIQMKPSERPSMDKVVEMLGGEIESLQMPPKPFLYPQETPLENVGEGTSSNAPASESTAINLIAGANSQLSECMSREGQLVCFLIFFHKIKNEVSLSKTWTCFDPNSTAQKPKIDGPRVTFVLHASTHL >ONI23432 pep chromosome:Prunus_persica_NCBIv2:G2:22907636:22909527:-1 gene:PRUPE_2G189400 transcript:ONI23432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSKLGLLFFSVTAIFLSLTTALEHQTLVLNPLPNPPTLSWPESVSETVQPDPTTSTDPNTLSVQLHHLDALSLNKTPSQLFNLRLQRDAVRVKTLSSIAAAAASPNRTARGGRVPIRGFSSSVVSGLAQGSGEYFTRLGVGTPPKYVYMVLDTGSDVVWLQCAPCKRCYSQTDPVFDPRKSGTFSTIPCGSPLCRKLDSSGCKARKTCLYQVSYGDGSFTVGDFSTETLTFRGTKVGRVALGCGHDNEGLFVGAAGLLGLGRGKLSFPTQTGVRFNKKFSYCLVDRSASSKPSSVVFGDSAVSRTARFTPLIANPKLDTFYYVELIGISVGGTRVRGITASLFKLDPAGNGGVILDSGTSVTRLTRVAYNSLRDAFRAGTSGLKRAPEFSLFDTCFDLSGKSEVKVPTVVLHFRNADVSLPATNYLIPVDSSGSFCFAFAGTMGGLSIIGNIQQQGFRVVYDLASSRVGFAPRGCA >ONI20724 pep chromosome:Prunus_persica_NCBIv2:G2:3374085:3375572:-1 gene:PRUPE_2G031000 transcript:ONI20724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRLTFQRALLGLWLCLFAVSFCYSNAETIEEAGEQALDRAYSELQTEAAELNEEGKFVFKKHPLPKIPIVKKPFPPKPFFKKPLPPPVPIFKKPLPPPVPVFKIPPFKKPGHPPVSVVEGKPFVKKPFFHPLPKFKKPSLPPVPIYKKPLPPPIPVYKKPFPHPIFKKPLPPPIPVYKKPFPHPIFKKPIPPPIPTFPPHPFLGKPLPPLVP >ONI22049 pep chromosome:Prunus_persica_NCBIv2:G2:16074572:16083335:-1 gene:PRUPE_2G104100 transcript:ONI22049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLAMLNYSRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQSLQGDAMDRDEETARIQYLAEIAKFLGITTTVDTEVIQGRGSYEDRTEMLRLIVDLVEASIYADNQEWSIDEQVAKDIQLIDSIAERQAQIFSEECKLFPADVQIQSIYPLPDVSELEKKLSEQSKILLSLQQKVDDLASKHAYNPDEDYAEVESRLRSHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSDSVAGEPSSVTRIISECESALTFLNRDLGILSASIAREQGGEVNL >ONI22055 pep chromosome:Prunus_persica_NCBIv2:G2:16074572:16083345:-1 gene:PRUPE_2G104100 transcript:ONI22055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLAMLNYSRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQSLQGDAMDRDEETARIQYLAEIAKFLGITTTVDTEVIQGRGSYEDRTEMLRLIVDLVEASIYADNQEWSIDEQVAKDIQLIDSIAERQAQIFSEECKLFPADVQIQSIYPLPDVSELEKKLSEQSKILLSLQQKVDDLASKHAYNPDEDYAEVESRLRSHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSDSVAGEPSSVTRIISECESALTFLNRDLGILSASIAREQGGEVNL >ONI22058 pep chromosome:Prunus_persica_NCBIv2:G2:16074895:16082513:-1 gene:PRUPE_2G104100 transcript:ONI22058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLAMLNYSRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQSLQGDAMDRDEETARIQYLAEIAKFLGITTTVDTEVIQGRGSYEDRTEMLRLIVDLVEASIYADNQEWSIDEQVAKDIQLIDSIAERQAQIFSEECKLFPADVQIQSIYPLPDVSELEKKLSEQSKILLSLQQKVDDLASKHAYNPDEDYAEVESRLRSHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSDSVAGEPSSVTRIISECESALTFLNRDLGILSASIAREQGGEVNL >ONI22050 pep chromosome:Prunus_persica_NCBIv2:G2:16074895:16082513:-1 gene:PRUPE_2G104100 transcript:ONI22050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLAMLNYSRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQSLQGDAMDRDEETARIQYLAEIAKFLGITTTVDTEVIQGRGSYEDRTEMLRLIVDLVEASIYADNQEWSIDEQVAKDIQLIDSIAERQAQIFSEECKLFPADVQIQSIYPLPDVSELEKKLSEQSKILLSLQQKVDDLASKHAYNPDEDYAEVESRLRSHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSDSVAGEPSSVTRIISECESALTFLNRDLGILSASIAREQGGEVNL >ONI22052 pep chromosome:Prunus_persica_NCBIv2:G2:16074572:16083348:-1 gene:PRUPE_2G104100 transcript:ONI22052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLAMLNYSRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQSLQGDAMDRDEETARIQYLAEIAKFLGITTTVDTEVIQGRGSYEDRTEMLRLIVDLVEASIYADNQEWSIDEQVAKDIQLIDSIAERQAQIFSEECKLFPADVQIQSIYPLPDVSELEKKLSEQSKILLSLQQKVDDLASKHAYNPDEDYAEVESRLRSHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSDSVAGEPSSVTRIISECESALTFLNRDLGILSASIAREQGGEVNL >ONI22057 pep chromosome:Prunus_persica_NCBIv2:G2:16074572:16083326:-1 gene:PRUPE_2G104100 transcript:ONI22057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLAMLNYSRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQSLQGDAMDRDEETARIQYLAEIAKFLGITTTVDTEVIQGRGSYEDRTEMLRLIVDLVEASIYADNQEWSIDEQVAKDIQLIDSIAERQAQIFSEECKLFPADVQIQSIYPLPDVSELEKKLSEQSKILLSLQQKVDDLASKHAYNPDEDYAEVESRLRSHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSDSVAGEPSSVTRIISECESALTFLNRDLGILSASIAREQGGEVNL >ONI22051 pep chromosome:Prunus_persica_NCBIv2:G2:16074572:16083352:-1 gene:PRUPE_2G104100 transcript:ONI22051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLAMLNYSRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQSLQGDAMDRDEETARIQYLAEIAKFLGITTTVDTEVIQGRGSYEDRTEMLRLIVDLVEASIYADNQEWSIDEQVAKDIQLIDSIAERQAQIFSEECKLFPADVQIQSIYPLPDVSELEKKLSEQSKILLSLQQKVDDLASKHAYNPDEDYAEVESRLRSHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSDSVAGEPSSVTRIISECESALTFLNRDLGILSASIAREQGGEVNL >ONI22059 pep chromosome:Prunus_persica_NCBIv2:G2:16074572:16083385:-1 gene:PRUPE_2G104100 transcript:ONI22059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLAMLNYSRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQSLQGDAMDRDEETARIQYLAEIAKFLGITTTVDTEVIQGRGSYEDRTEMLRLIVDLVEASIYADNQEWSIDEQVAKDIQLIDSIAERQAQIFSEECKLFPADVQIQSIYPLPDVSELEKKLSEQSKILLSLQQKVDDLASKHAYNPDEDYAEVESRLRSHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSDSVAGEPSSVTRIISECESALTFLNRDLGILSASIAREQGGEVNL >ONI22053 pep chromosome:Prunus_persica_NCBIv2:G2:16074572:16083386:-1 gene:PRUPE_2G104100 transcript:ONI22053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLAMLNYSRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQSLQGDAMDRDEETARIQYLAEIAKFLGITTTVDTEVIQGRGSYEDRTEMLRLIVDLVEASIYADNQEWSIDEQVAKDIQLIDSIAERQAQIFSEECKLFPADVQIQSIYPLPDVSELEKKLSEQSKILLSLQQKVDDLASKHAYNPDEDYAEVESRLRSHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSDSVAGEPSSVTRIISECESALTFLNRDLGILSASIAREQGGEVNL >ONI22054 pep chromosome:Prunus_persica_NCBIv2:G2:16074572:16083336:-1 gene:PRUPE_2G104100 transcript:ONI22054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLAMLNYSRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQSLQGDAMDRDEETARIQYLAEIAKFLGITTTVDTEVIQGRGSYEDRTEMLRLIVDLVEASIYADNQEWSIDEQVAKDIQLIDSIAERQAQIFSEECKLFPADVQIQSIYPLPDVSELEKKLSEQSKILLSLQQKVDDLASKHAYNPDEDYAEVESRLRSHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSDSVAGEPSSVTRIISECESALTFLNRDLGILSASIAREQGGEVNL >ONI22056 pep chromosome:Prunus_persica_NCBIv2:G2:16074572:16083368:-1 gene:PRUPE_2G104100 transcript:ONI22056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQMEEIQRKLAMLNYSRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQSLQGDAMDRDEETARIQYLAEIAKFLGITTTVDTEVIQGRGSYEDRTEMLRLIVDLVEASIYADNQEWSIDEQVAKDIQLIDSIAERQAQIFSEECKLFPADVQIQSIYPLPDVSELEKKLSEQSKILLSLQQKVDDLASKHAYNPDEDYAEVESRLRSHLESFLETARSFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSDSVAGEPSSVTRIISECESALTFLNRDLGILSASIAREQGGEVNL >ONI23400 pep chromosome:Prunus_persica_NCBIv2:G2:22781956:22787748:1 gene:PRUPE_2G186900 transcript:ONI23400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVGHKFVRFEDWHSDRSVSSEHEASTGHGLNPRRARPSVHAVFRGLQRGLERGSDRIRSLKKPLSSTSVGNWSARESGSRRKILDPQGSFLQNWNKIFVITCVIAVSVDPLFLYTAVVDGENKCVGVDKRLLIITCVLRSFIDVFHILHIIFQFRTGFIAPSSRVFGRGELIDDPVAIAKRYLSSYFIIDILAVFPLPQVVVFFYNPNITSSSRVTKHLLLFVIVAQYGPRLWRIYPLYEEVTRTSGFLTETAWAGAAYNLLLYMLASHVVGAFWYLFSVEREYSCWRKQCHGDDGCKKVLYCDPKYKADSSWIKIKKASCPFIDPDQIKNSTVFNFGIFTDALQSGVLETRDFPKKFLYCFWWGLRNLSSLGQNLKTSTFVWEIVFAVFISIFGLVLFSLLIGNMQKFLQSTTVRVEEMRVKRRDAEQWMSHRMLPENLRERIRCYDQYKWQETRGVDEETLVRNLPKDLRKDIKRHLCLALLNRVPMFEKMDEQLMDALCDRLKPVLYTDKSVITREGDPVDEMLFIMRGYLATMTTNGGRTGFFNTADLKAGDFCGEELLTWALDPNSSTNLPISTRTVEAKTEVEAFALMADDLKFVASQFRRLHSKQLQHTFRFYSLQWRTWSACFIQAAWRRHCKRKHEKSLREAEDRLQDALTREAGSSPSLLATVYASKFAANALRNLRQKGKRDTRPSPTLLPLLPQKPAEPDFTAERHD >ONI23402 pep chromosome:Prunus_persica_NCBIv2:G2:22783628:22786571:1 gene:PRUPE_2G186900 transcript:ONI23402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASHVVGAFWYLFSVEREYSCWRKQCHGDDGCKKVLYCDPKYKADSSWIKIKKASCPFIDPDQIKNSTVFNFGIFTDALQSGVLETRDFPKKFLYCFWWGLRNLSSLGQNLKTSTFVWEIVFAVFISIFGLVLFSLLIGNMQKFLQSTTVRVEEMRVKRRDAEQWMSHRMLPENLRERIRCYDQYKWQETRGVDEETLVRNLPKDLRKDIKRHLCLALLNRVPMFEKMDEQLMDALCDRLKPVLYTDKSVITREGDPVDEMLFIMRGYLATMTTNGGRTGFFNTADLKAGDFCGEELLTWALDPNSSTNLPISTRTVEAKTEVEAFALMADDLKFVASQFRRLHSKQLQHTFRFYSLQWRTWSACFIQAAWRRHCKRKHEKSLREAEDRLQDALTREAGSSPSLLATVYASKFAANALRNLRQKGKRDTRPSPTLLPLLPQKPAEPDFTAERHD >ONI23401 pep chromosome:Prunus_persica_NCBIv2:G2:22781955:22785874:1 gene:PRUPE_2G186900 transcript:ONI23401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVGHKFVRFEDWHSDRSVSSEHEASTGHGLNPRRARPSVHAVFRGLQRGLERGSDRIRSLKKPLSSTSVGNWSARESGSRRKILDPQGSFLQNWNKIFVITCVIAVSVDPLFLYTAVVDGENKCVGVDKRLLIITCVLRSFIDVFHILHIIFQFRTGFIAPSSRVFGRGELIDDPVAIAKRYLSSYFIIDILAVFPLPQVVVFFYNPNITSSSRVTKHLLLFVIVAQYGPRLWRIYPLYEEVTRTSGFLTETAWAGAAYNLLLYMLASHVVGAFWYLFSVEREYSCWRKQCHGDDGCKKVLYCDPKYKADSSWIKIKKASCPFIDPDQIKNSTVFNFGIFTDALQSGVLETRDFPKKFLYCFWWGLRNLSSLGQNLKTSTFVWEIVFAVFISIFGLVLFSLLIGNMQKFLQSTTVRVEEMRVKRRDAEQWMSHRMLPENLRERIRCYDQYKWQETRGVDEETLVRNLPKDLRKDIKRHLCLALLNRVPMFEKMDEQLMDALCDRLKPVLYTDKSVITREGDPVDEMLFIMRGYLATMTTNGGRTGFFNTADLKAGDFCGEELLTWALDPNSSTNLPISTRTVEAKTEVEAFALMADDLKFVASQFRRLHSKQLQHTFRQGSNNHAFSFFIMDN >ONI22259 pep chromosome:Prunus_persica_NCBIv2:G2:17549280:17552888:-1 gene:PRUPE_2G117500 transcript:ONI22259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTYPHVIEREVVGLDDGVNILATQLVDEEKCSRVVSIWGMGGSGKTTIAKQVFHHSKVRRSFDCFAWVCISQQCQGIDVLEEILIKLTSATNEQREEIEKMKKDRVAEKLCIIQRERKCLVVLDDIWTRDAWNTLKAGFPINQETKSRILLTTRNKEVASHVDENGFLYQPHALNDDESWELFEKIALFGRDYTNSESYAKKEELGKKMLQRCAGLPLAISVLAGLLARKDTVEEWNTVLENVDAYIRRGTILEREYKGQEYEGTSWVLALSYDDLPYRLKLCFLYLGLFPEDYEIPVKRLTKLWVAEGLSSASTEIMEEVSYSCLIELVNRCMVQVGKYGSTKNVKTCRLHDLMRDLCVLKAKEQSFLHTVNVSAVTGTKAAPTSKVRKLAIYLDKEVDKLVPTTDERDGHLRSLLYFVPTQFFWNKRVMRSIFEDFKLLRVLKFEDMLGGVELPSTIGNLVHLRFLSLKNSRIKKLRSSIANLVCLHTLDIRCRILVRRIPNVIRDMEQLKHLYLPASYNRVRGKLGLATLPHLQTLVNVSSKDCDLIDLAELRYLRKLVVEVQSLKNLEEMLKSTSITFNRLRSLSVQSDNEEVLVRMPLSCHHIFKLQLKGPIKELPEGLKNYQNLTKISLSETLLKDDQIEILENLPKLRMLFLEGYTSVHIRAFPRTLVCSAGGFPHLEFLSLRQLCKLKEWRMENGAMSSLSRLHIEHCIDLTSVPDGLQYITTLKELHVKRMPSTFCDRLQEGGEDFYKIQHVPSVMLFQIW >ONI24860 pep chromosome:Prunus_persica_NCBIv2:G2:27270234:27273291:1 gene:PRUPE_2G266100 transcript:ONI24860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKGEQETMVEELNNNLKEVPQQVTFNLKTTDHFVLVHGISGGAWCWYKIRCLMENSGYKVSCVDLKSAGIDQSDANSVFSFDDYNKPLLDLLSALPENEQVILVGHSAGGLSVTHATLMFPKKIRLAVYVAATMLKLGYSTDQDIKDGVPDLSEFGDIYELGFGLGSNKPPTSAMIKKEFQRKIIYQMSPQEDSTLAAMLLRPGPLLAITTARFEEKDEVEKVPRVYVKTLHDRVVKPEQQDSMIKRWPPSEVYVLDSDHSPFFSTPFLLFGFLVKAAAYGGLK >ONI20870 pep chromosome:Prunus_persica_NCBIv2:G2:4090003:4091137:-1 gene:PRUPE_2G038000 transcript:ONI20870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDSSVAAKSVVKVEVGLGQLCRCFCLFGTRAAPITNKSKNINKNSVIKVAAVCGSLREASYNRCLIRSAIEISKTSIDGLEIEYVDISALPFLNVDLEGKDGSFPPAVEAFRRKILEADSILFASPEYNYSISAPLKNAIDWASRPPNAWADKAAAVVSASGDFGGGRSQYHLRQVGVSLDLHFINKPEFFLDGLKSPEKFDSRNLVDEQDKERLKEILLALQAFTLRLQTK >ONI21350 pep chromosome:Prunus_persica_NCBIv2:G2:7688076:7705081:1 gene:PRUPE_2G060900 transcript:ONI21350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDFSLRFPLIQGHGNFGSIDADPAAAMRYTECRLEPLAEAMLLADLDQDTVDFTPNFDNSQKEPSVLPARLPTLLLNGASGIAVGMATNIPPHNLGELVDVLSVLIHNPEATLQELLEYMPGPDFPTGGLIMGNLGILEAYRTGKGRIVVRGKTDVELLDSRTKRSAIIIKEIPYQTNKSALVEKIAELVENKSLEGISDIRDESDRSGMRVVIELKRGSDPSIVLNNLYRLTSLQCSFSCNMVGIHNGQPKQMGLKQLLQAFLDFRCSVIERRAKFKLSQAQERRHIVAGIVVGLDNLDAVIRILRESSSNAIASSGLRSEFNLSEKQAEAILDISLRRITMLERKKFINESESLKEQISKLEELLSSKKYILQLIEQEANELKSKFSSPRRSMLEDSDSGHLDDIDVIPNEEMLLAFSEKGYVKRMKPNTFNLQNRGTIGKSVGKLRVNDAMSDFIVCRAHDHVLYFSDKGTVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSVIPVSEFAADQFLLMLTVNGYIKKVSLSYFSSIRSTGIIAIQLVPGDELKWVRCCTNDDLVAMASQNGMVILSSSDIIRALGRNTRGAVAMRLKEGDKMASVDIIPAAMRKDLERVLEAPHIAARSVKGPWLLFVSESGYGKRVPLSRFHSSKLNRVGLIGYKFALEDRLAAVFVVGFSLAEDGESDEQVVLVSQSGTVNRIKVRDISIQSRYARGVILMRLDHAGKIQSASLISATDEDPEVEVEAAALG >ONI21349 pep chromosome:Prunus_persica_NCBIv2:G2:7688076:7705081:1 gene:PRUPE_2G060900 transcript:ONI21349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSVSPQTPTMALASSLRLSSSILRYRLAAPLYPTRFSSLRHNLSELRFLSASSSRPGTHVRPIKARLQDDPQKEDPGEGQNGNGSVLVKDTSENSEERIVRVELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILYAMHELGLASRKPFKKCARVVGEVLGKFHPHGDTAVYDSLVRMAQDFSLRFPLIQGHGNFGSIDADPAAAMRYTECRLEPLAEAMLLADLDQDTVDFTPNFDNSQKEPSVLPARLPTLLLNGASGIAVGMATNIPPHNLGELVDVLSVLIHNPEATLQELLEYMPGPDFPTGGLIMGNLGILEAYRTGKGRIVVRGKTDVELLDSRTKRSAIIIKEIPYQTNKSALVEKIAELVENKSLEGISDIRDESDRSGMRVVIELKRGSDPSIVLNNLYRLTSLQCSFSCNMVGIHNGQPKQMGLKQLLQAFLDFRCSVIERRAKFKLSQAQERRHIVAGIVVGLDNLDAVIRILRESSSNAIASSGLRSEFNLSEKQAEAILDISLRRITMLERKKFINESESLKEQISKLEELLSSKKYILQLIEQEANELKSKFSSPRRSMLEDSDSGHLDDIDVIPNEEMLLAFSEKGYVKRMKPNTFNLQNRGTIGKSVGKLRVNDAMSDFIVCRAHDHVLYFSDKGTVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSVIPVSEFAADQFLLMLTVNGYIKKVSLSYFSSIRSTGIIAIQLVPGDELKWVRCCTNDDLVAMASQNGMVILSSSDIIRALGRNTRGAVAMRLKEGDKMASVDIIPAAMRKDLERVLEAPHIAARSVKGPWLLFVSESGYGKRVPLSRFHSSKLNRVGLIGYKFALEDRLAAVFVVGFSLAEDGESDEQVVLVSQSGTVNRIKVRDISIQSRYARGVILMRLDHAGKIQSASLISATDEDPEVEVEAAALG >ONI24619 pep chromosome:Prunus_persica_NCBIv2:G2:26522970:26525384:-1 gene:PRUPE_2G250300 transcript:ONI24619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPFSFLTTFTTFITTLLFTLPSNHALGSGSTLAIVSASGTVCGLVSGQPRQRILCYRRGENVTVEPNISFSAISGGRTFLCGIRSGGYSLLCWENFSSRNSTFHSKRLYFNETFLLENLSVGDSHICARVVGTGAVKCWRAKDFSEFPSGSEQFESISSGSGFSCGILKSSLRVRCWGSGSTLMANETENGFRNMSMLSIVAGGSHVCGLNITGLLVCKGSNDHGQLNAPIGSPFEYSALALGGNHSCAIRTLNQSVICWGGGGEYSVNETKGVSFETIVSGLNFTCGLTTMNLSIICWGPGWPGSGDELDLPQLLPGPCVPSSCNCGIYPESQKLCDGSGNICKPCVRPISLPPPPPTPPALPLPPSPSVSPPSPPSKALTTGLLAFAIVGSVGIFAGICTIVYCLWTGVCFGDKKIHNSVQPTITRAASSNNGNGTTSNNSPPSRSSTIRRQGSRIMRRQRSGTSSAKHPDRAEEFSLAELATATNDFSSENKIGAGSFGVVYRGKLSDGREVAIKRGETASKMKKFQEKESAFDSELAFLSRVHHKHLVRLVGYCEERDERLLVYEYMKNGALYDHLHDKNNVEKSSSLLNSWKMRIKVALDAARGIEYLHNYAVPSIIHRDIKSSNILLDNNWTARVSDFGLSLMGPEADADYRPKKAAGTVGYIDPEYYGLNLLTAKSDVYGLGVVLLELLTGKRAIFKDGENGGTPISVVDFAVPAIMAGELARVLDRRVGPPEVNEAEAVELVAYTAMHCVNLEGKDRPTMADIVANLERAFSLCDESHGSISSGAISITSAEL >ONI20469 pep chromosome:Prunus_persica_NCBIv2:G2:1619479:1624109:-1 gene:PRUPE_2G017300 transcript:ONI20469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRSSDDLDSYGKDPNPNPNPNPSRTSSSTSHRSFYYKPDTVRKGLLSSSSSASSLAPARSYDERDSAGAGGGSRTARKRPEQEFDGFDRRKGLDRYNRDGGGYDRSSMHRSESFSVSRRSPAEFPKGFRSERDRPRREGSGALSWRRFGKEFEERGGKGLRDVRSPTWSNSRDSGSEQSRVRSPVRRFRDGKGSKSESKSKSPTWSKDSVGSEQSKSVEVRKRETEEVQVESGSRASSEMEEGELEPEAEAQAVAAGAGAEGGEGEGEGEAQLGPEGGAEMEEAQDRTGSDTDTNKVEEKGEPLDEDEVREEKGESLDEEENREEKGESLDEEEVKDVSEENVCERKDEEKKDEGLPNSENDMIDEARNMEGHEDRDGEKESFREGNECKEEVSKGVVVERSMELEEGPKQDKGIDLEVKAEDDDDDDDEITESDKEVTEEEEENEVVKLDMVDASMGLSQNFKDKGKSVAVAPAHVVDSAEDGGWNARESRELLTCMDNDMEGPSTRGFELFSTSPVRRQEKADHSGVSMKDEKLALEPLDLSLSLPNVLLPIGAAPGSPDQARSVQSLSTFRTNSDGFTQSVSFSGSQSFYHNPSCSLTQNSMDFEQSVKSRPLFQGIDWQALAQNEAKGKEVPWQALSQNEAKSKEVPLYQRLLMNGNGSHQQQSQSSQGVQNGQSVQGQQHLRHPEGSSKMANGLERQLSFHKQLTGGQSRHQEDVRSPSHSVGSHEMGSNYSFDRKRLMREKSSGSLYRTSSQKEQEQFLIGGADFVETIIARIVSDPIHVMARKFHEMTGQSAACMKETIREIMLNMDKRMQLVAFQKALQSRSDITMETLLKAHRAQLEILVALKTGLPDFLQQESDVSSSDLAEIFLNSRCRNPSCRSPVPVDECDCKVCSQKNGFCSACMCLVCSKFDMASNTCSWIGCDVCLHWCHADCALRESYIRNGRSATGSQGTTEMQFHCVACDHPSEMFGFVKEVFQNFAKDWTIENLARELEYVKRIFVVSKDMRGRRLYEIADQSLARLAHKSDLPDVYSYIMAFLVDADNSKLGKTPVLSGKDQSKVSNGIAGPSQEPAWLKSVYTEKAPQLETAASILPSFNYDQHDKRIIETELHTIAPKEPLFDELESIVRIKQAEAKMFQTRADDARREAEGLKRIAIAKNEKIEEEYRSRIAKLRLVEAEEMRNKKLEELQALDRAHREYSNMKMRMEADIKDLLLKMEATKRNLSL >ONI20468 pep chromosome:Prunus_persica_NCBIv2:G2:1619011:1624557:-1 gene:PRUPE_2G017300 transcript:ONI20468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRSSDDLDSYGKDPNPNPNPNPSRTSSSTSHRSFYYKPDTVRKGLLSSSSSASSLAPARSYDERDSAGAGGGSRTARKRPEQEFDGFDRRKGLDRYNRDGGGYDRSSMHRSESFSVSRRSPAEFPKGFRSERDRPRREGSGALSWRRFGKEFEERGGKGLRDVRSPTWSNSRDSGSEQSRVRSPVRRFRDGKGSKSESKSKSPTWSKDSVGSEQSKSVEVRKRETEEVQVESGSRASSEMEEGELEPEAEAQAVAAGAGAEGGEGEGEGEAQLGPEGGAEMEEAQDRTGSDTDTNKVEEKGESLDEEEVKDVSEENVCERKDEEKKDEGLPNSENDMIDEARNMEGHEDRDGEKESFREGNECKEEVSKGVVVERSMELEEGPKQDKGIDLEVKAEDDDDDDDEITESDKEVTEEEEENEVVKLDMVDASMGLSQNFKDKGKSVAVAPAHVVDSAEDGGWNARESRELLTCMDNDMEGPSTRGFELFSTSPVRRQEKADHSGVSMKDEKLALEPLDLSLSLPNVLLPIGAAPGSPDQARSVQSLSTFRTNSDGFTQSVSFSGSQSFYHNPSCSLTQNSMDFEQSVKSRPLFQGIDWQALAQNEAKGKEVPWQALSQNEAKSKEVPLYQRLLMNGNGSHQQQSQSSQGVQNGQSVQGQQHLRHPEGSSKMANGLERQLSFHKQLTGGQSRHQEDVRSPSHSVGSHEMGSNYSFDRKRLMREKSSGSLYRTSSQKEQEQFLIGGADFVETIIARIVSDPIHVMARKFHEMTGQSAACMKETIREIMLNMDKRMQLVAFQKALQSRSDITMETLLKAHRAQLEILVALKTGLPDFLQQESDVSSSDLAEIFLNSRCRNPSCRSPVPVDECDCKVCSQKNGFCSACMCLVCSKFDMASNTCSWIGCDVCLHWCHADCALRESYIRNGRSATGSQGTTEMQFHCVACDHPSEMFGFVKEVFQNFAKDWTIENLARELEYVKRIFVVSKDMRGRRLYEIADQSLARLAHKSDLPDVYSYIMAFLVDADNSKLGKTPVLSGKDQSKVSNGIAGPSQEPAWLKSVYTEKAPQLETAASILPSFNYDQHDKRIIETELHTIAPKEPLFDELESIVRIKQAEAKMFQTRADDARREAEGLKRIAIAKNEKIEEEYRSRIAKLRLVEAEEMRNKKLEELQALDRAHREYSNMKMRMEADIKDLLLKMEATKRNLSL >ONI20993 pep chromosome:Prunus_persica_NCBIv2:G2:4954292:4962100:-1 gene:PRUPE_2G044400 transcript:ONI20993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAIRLDSNKFNEIPQEISFLHQLEKLYVQFNALKGPVPVAIFNMSSLTTLTLFRNSLSGGLPDNIWQCTKLLTLSLGENNFSGSIPKNIGNSTMMKEIFLNYNTLTGTIPDKIGDLPNLEILSFVGNNLNGPIPSSIFNISTIREMSLSFNHLSGSLPANIGLGLPNLQRLYIAANDLSGVIPNLSNASMLARIDLGHNSFTGFLPSTLCALTNLQSLRLPNNNLTIDTSTPEANTLSCLANLGNLTRISMAANPFNARLDDSFRNCSTSPLQYIYLYNCNMRGNIPIGIGNLSGLINLNLGYNQLSGSIPTSLGRLGNLQALFLNDNKLRGYIPYQLCQLDNLAYLYLGSNKLSGSIPSCLGNRTASLRNLSLESNSLSSTIPSTFWRLAYILHVNLSSNSLIGPLSQDIGNLKVVLEVDLSNNHLSGIIPSTIGVLQNLANLSLANNNLEGPIPSAFDGLLSLEQLDLSRNNLSGVIPKSLEALSLLKYMDLSFNRLQGEIPTGGPFQNFSAQSFVSNKALCGAARLQVPPCKNDTPEPNWRKAKIIIPLIISVILFVACISIFVLRRKRNVEVAREATSLPQLLWRRVSHLELLRGTNGFNENNLLGKGGFGSVYKGTLSDGIDVAVKVFNLQLEGAFKSFDRECEMLSNICHRNLIKIISCCSEVDFKALVLKYMPNGSLEKWLYSQNSLNILHRLNVMIDAASALEYLHHGYSIPIVHCDMKPSNILLDDDMVAHVADFGISKLLGGGDSNTQTMTLATVGYMAPEYGLEGMVSTRGDVYSFGIVVMETFTRRKPTDEMFDGEMNIKQWIANSLVLPDGKIDEVVDANLLGIGAEQEDDDLVRKRDCILAIMRLALTCCAELPEERISMKEVVATLNKIKTKFLKEAAARRGVLLNRPLV >ONI20990 pep chromosome:Prunus_persica_NCBIv2:G2:4955664:4961686:-1 gene:PRUPE_2G044400 transcript:ONI20990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVLFNIAQGIIQRLGSHAFEEIGLIWGVEDELRKLKEKVAQLQAVLLDAEQKQANNNEVKVWFESVEDAVYEADDVLDEFHTEAQWRQMVSGNNTVSKQLQYSSIGTAGAAQTNITTDQSALLALKSHIPSDPHNILVNWSTTTSVCNWVGVTCGARHLRVSVLNLSYMGLSGTIPPPLGNLSFLVELDLRNNSFHGTLPKELSYLHRLKFINFGYNNFMGSIPSWFGSFPKLQNFSLYGNQFSGSIPTTIFNLSTLQLLDLGANKLSGAIPREIGNLTMLKAIRLDSNKFNEIPQEISFLHQLEKLYVQFNALKGPVPVAIFNMSSLTTLTLFRNSLSGGLPDNIWQCTKLLTLSLGENNFSGSIPKNIGNSTMMKEIFLNYNTLTGTIPDKIGDLPNLEILSFVGNNLNGPIPSSIFNISTIREMSLSFNHLSGSLPANIGLGLPNLQRLYIAANDLSGVIPNLSNASMLARIDLGHNSFTGFLPSTLCALTNLQSLRLPNNNLTIDTSTPEANTLSCLANLGNLTRISMAANPFNARLDDSFRNCSTSPLQYIYLYNCNMRGNIPIGIGNLSGLINLNLGYNQLSGSIPTSLGRLGNLQALFLNDNKLRGYIPYQLCQLDNLAYLYLGSNKLSGSIPSCLGNRTASLRNLSLESNSLSSTIPSTFWRLAYILHVNLSSNSLIGPLSQDIGNLKVVLEVDLSNNHLSGIIPSTIGVLQNLANLSLANNNLEGPIPSAFDGLLSLEQLDLSRNNLSGVIPKSLEALSLLKYMDLSFNRLQGEIPTGGPFQNFSAQSFVSNKALCGAARLQVPPCKNDTPEPNWRKAKIIIPLIISVILFVACISIFVLRRKRNVEVAREATSLPQLLWRRVSHLELLRGTNGFNENNLLGKGGFGSVYKGTLSDGIDVAVKVFNLQLEGAFKSFDRECEMLSNICHRNLIKIISCCSEVDFKALVLKYMPNGSLEKWLYSQNSLNILHRLNVMIDAASALEYLHHGYSIPIVHCDMKPSNILLDDDMVAHVADFGISKLLGGGDSNTQTMTLATVGYMAPEYGLEGMVSTRGDVYSFGIVVMETFTRRKPTDEMFDGEMNIKQWIANSLVLPDGKIDEVVDANLLGIGAEQEDDDLVRKRDCILAIMRLALTCCAELPEERISMKEVVATLNKIKTKFLKEAAARRGVLLNRPLV >ONI20992 pep chromosome:Prunus_persica_NCBIv2:G2:4955664:4960545:-1 gene:PRUPE_2G044400 transcript:ONI20992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMERSCFLLSITFLLVLQLQYSSIGTAGAAQTNITTDQSALLALKSHIPSDPHNILVNWSTTTSVCNWVGVTCGARHLRVSVLNLSYMGLSGTIPPPLGNLSFLVELDLRNNSFHGTLPKELSYLHRLKFINFGYNNFMGSIPSWFGSFPKLQNFSLYGNQFSGSIPTTIFNLSTLQLLDLGANKLSGAIPREIGNLTMLKAIRLDSNKFNEIPQEISFLHQLEKLYVQFNALKGPVPVAIFNMSSLTTLTLFRNSLSGGLPDNIWQCTKLLTLSLGENNFSGSIPKNIGNSTMMKEIFLNYNTLTGTIPDKIGDLPNLEILSFVGNNLNGPIPSSIFNISTIREMSLSFNHLSGSLPANIGLGLPNLQRLYIAANDLSGVIPNLSNASMLARIDLGHNSFTGFLPSTLCALTNLQSLRLPNNNLTIDTSTPEANTLSCLANLGNLTRISMAANPFNARLDDSFRNCSTSPLQYIYLYNCNMRGNIPIGIGNLSGLINLNLGYNQLSGSIPTSLGRLGNLQALFLNDNKLRGYIPYQLCQLDNLAYLYLGSNKLSGSIPSCLGNRTASLRNLSLESNSLSSTIPSTFWRLAYILHVNLSSNSLIGPLSQDIGNLKVVLEVDLSNNHLSGIIPSTIGVLQNLANLSLANNNLEGPIPSAFDGLLSLEQLDLSRNNLSGVIPKSLEALSLLKYMDLSFNRLQGEIPTGGPFQNFSAQSFVSNKALCGAARLQVPPCKNDTPEPNWRKAKIIIPLIISVILFVACISIFVLRRKRNVEVAREATSLPQLLWRRVSHLELLRGTNGFNENNLLGKGGFGSVYKGTLSDGIDVAVKVFNLQLEGAFKSFDRECEMLSNICHRNLIKIISCCSEVDFKALVLKYMPNGSLEKWLYSQNSLNILHRLNVMIDAASALEYLHHGYSIPIVHCDMKPSNILLDDDMVAHVADFGISKLLGGGDSNTQTMTLATVGYMAPEYGLEGMVSTRGDVYSFGIVVMETFTRRKPTDEMFDGEMNIKQWIANSLVLPDGKIDEVVDANLLGIGAEQEDDDLVRKRDCILAIMRLALTCCAELPEERISMKEVVATLNKIKTKFLKEAAARRGVLLNRPLV >ONI20991 pep chromosome:Prunus_persica_NCBIv2:G2:4954358:4962100:-1 gene:PRUPE_2G044400 transcript:ONI20991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTALGILGAIPREIGNLTMLKAIRLDSNKFNEIPQEISFLHQLEKLYVQFNALKGPVPVAIFNMSSLTTLTLFRNSLSGGLPDNIWQCTKLLTLSLGENNFSGSIPKNIGNSTMMKEIFLNYNTLTGTIPDKIGDLPNLEILSFVGNNLNGPIPSSIFNISTIREMSLSFNHLSGSLPANIGLGLPNLQRLYIAANDLSGVIPNLSNASMLARIDLGHNSFTGFLPSTLCALTNLQSLRLPNNNLTIDTSTPEANTLSCLANLGNLTRISMAANPFNARLDDSFRNCSTSPLQYIYLYNCNMRGNIPIGIGNLSGLINLNLGYNQLSGSIPTSLGRLGNLQALFLNDNKLRGYIPYQLCQLDNLAYLYLGSNKLSGSIPSCLGNRTASLRNLSLESNSLSSTIPSTFWRLAYILHVNLSSNSLIGPLSQDIGNLKVVLEVDLSNNHLSGIIPSTIGVLQNLANLSLANNNLEGPIPSAFDGLLSLEQLDLSRNNLSGVIPKSLEALSLLKYMDLSFNRLQGEIPTGGPFQNFSAQSFVSNKALCGAARLQVPPCKNDTPEPNWRKAKIIIPLIISVILFVACISIFVLRRKRNVEVAREATSLPQLLWRRVSHLELLRGTNGFNENNLLGKGGFGSVYKGTLSDGIDVAVKVFNLQLEGAFKSFDRECEMLSNICHRNLIKIISCCSEVDFKALVLKYMPNGSLEKWLYSQNSLNILHRLNVMIDAASALEYLHHGYSIPIVHCDMKPSNILLDDDMVAHVADFGISKLLGGGDSNTQTMTLATVGYMAPEYGLEGMVSTRGDVYSFGIVVMETFTRRKPTDEMFDGEMNIKQWIANSLVLPDGKIDEVVDANLLGIGAEQEDDDLVRKRDCILAIMRLALTCCAELPEERISMKEVVATLNKIKTKFLKEAAARRGVLLNRPLV >ONI24180 pep chromosome:Prunus_persica_NCBIv2:G2:25432127:25434653:-1 gene:PRUPE_2G229000 transcript:ONI24180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQNSPSLHRTLVLNSFTKLHKQSTFHCCRRSNSFLVRAEQTPASSTCSPSQDRSGRRQVLAAGTIASWVFLTNQHSVSFAAENKKGFLPVTDKTDGYEFVYPFGWQEVTVEGQDKVFKDVIEPLETVSVNVFPTVKQDIKEFGSPQQVAEVLIKKVLAPPTQKTKLIEAVEHEIDGKTYYTFEFVAKAPNYTRHALSTISIGNGKFFTLTTGANERRWGKMKDKLHTVVDSFKIFKV >ONI24181 pep chromosome:Prunus_persica_NCBIv2:G2:25432126:25434653:-1 gene:PRUPE_2G229000 transcript:ONI24181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSRSGRRQVLAAGTIASWVFLTNQHSVSFAAENKKGFLPVTDKTDGYEFVYPFGWQEVTVEGQDKVFKDVIEPLETVSVNVFPTVKQDIKEFGSPQQVAEVLIKKVLAPPTQKTKLIEAVEHEIDGKTYYTFEFVAKAPNYTRHALSTISIGNGKFFTLTTGANERRWGKMKDKLHTVVDSFKIFKV >ONI22183 pep chromosome:Prunus_persica_NCBIv2:G2:17049419:17050149:-1 gene:PRUPE_2G112400 transcript:ONI22183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQALLAVGIYGYWRIVDEKYKDRILRMLLHNSVLNDWSLSCLNEDDVVNALESDGFPHKLANHCLHVYGSKMVEGVSTVVYGSWMRGRDASKFRYMLEGEVLIQKLGAETWIQAFSVSSLPYNLAKRFSVLFKECPKWEWKDLQPYIRDLRVPGLSAEGLLLKYTRRTQTNC >ONI22391 pep chromosome:Prunus_persica_NCBIv2:G2:18248240:18250213:1 gene:PRUPE_2G126000 transcript:ONI22391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRTTQGEASSSNSSRCSSHRCSSYHMFMSFRGEDTRKTFTDHLYTALVNARFCTFWDNNELERGEDIKPELQKAIQQSQSSVIVFSKDYALSRWCLDELVMILGCKRTYHDHVVLLVFYDVDPYKVNRWRAAFTEIADLAGMVLQNQADGYESKFIKKIVKVVGEKLSCTPLSVAPFMFGMHSFIENIREISQHTHGLVKIQRQLLYGILNGIKVKIHCVSEGISKIEDVITSKGVLLVLDDVDHVDQLDAVLRMKNRFYPGSIIVITTRRSRLLKGHRVTKVLDVETLDYDESLELFGRYAFGQDYPIEGYLEYSEKAMYHCGGLPLALKVLRSSLLGESIGVWKSAFEKLEAIPNVEIMNKLRISYDDYDRKLFLHIACFFIQTFSHFLV >ONI23786 pep chromosome:Prunus_persica_NCBIv2:G2:24150223:24153253:1 gene:PRUPE_2G208000 transcript:ONI23786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNTGNSCYNEKQYLVHSKSTNEEKRPAEKESSTPVFVNYAAIAWHESRKKWVGDQRMQRMAKDPIISWSTAYEDLLSTHDSFPEPIPLTEMVDFLVDIWHDEGLFD >ONI23785 pep chromosome:Prunus_persica_NCBIv2:G2:24150223:24153257:1 gene:PRUPE_2G208000 transcript:ONI23785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNTGNSCYNEKQYLVHSKSTNEEKRPAEKESSTPVFVNYAAIAWHESRKKWVGDQRMQRMAKDPIISWSTAYEDLLSTHDSFPEPIPLTEMVDFLVDIWHDEGLFD >ONI23787 pep chromosome:Prunus_persica_NCBIv2:G2:24150223:24153253:1 gene:PRUPE_2G208000 transcript:ONI23787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKGLLKKNRALLYLLIMIIKLIYFVAAIAWHESRKKWVGDQRMQRMAKDPIISWSTAYEDLLSTHDSFPEPIPLTEMVDFLVDIWHDEGLFD >ONI25899 pep chromosome:Prunus_persica_NCBIv2:G2:30072140:30074959:1 gene:PRUPE_2G325400 transcript:ONI25899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRINCIFDGNLLQTKIHNIGARLVGVDKIGNKYYEKLDTQYGRHRWVEYAEKGRYNASQVPPEWHGWLHYITDRTGDELLMLRPKRYGIEHKENFTGEGDEFIYHSKGHSLNPGQRDWTRYQPWQPTKP >ONI25898 pep chromosome:Prunus_persica_NCBIv2:G2:30071919:30074963:1 gene:PRUPE_2G325400 transcript:ONI25898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVKSVVKAIRERGLRTFLKELKEDGYANCIFDGNLLQTKIHNIGARLVGVDKIGNKYYEKLDTQYGRHRWVEYAEKGRYNASQVPPEWHGWLHYITDRTGDELLMLRPKRYGIEHKENFTGEGDEFIYHSKGHSLNPGQRDWTRYQPWQPTKP >ONI21556 pep chromosome:Prunus_persica_NCBIv2:G2:10973817:10974989:1 gene:PRUPE_2G072600 transcript:ONI21556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCITNLPALNSSDSTTVPLQDLLPKETFFECLDLYQWEGFWYRPKHLEAAKTFRSQFEAKNDDIFLASAMKTGSTWLKALCFSIMQRQCKVDGKEDEDLLTKNHPAHYVKTLEVQLYTANPPPDLSGMHSPRLFHTHLPYKAMPECIKYPECKIVYIARNPKDTIVSMWHFMNTLRTPEQGPYPLEDAFESFVNGVCHFGPFFDHVLEYWNQSLKMPEKILFLKYEDMKKDPKKQVMRLASFLRKPFDNEKEVEEVIQRCSLERLKNLEVNKNGIDPWVGMPNSSFFRTGIIGGWKDFLTTEMSERLDQIALSKLEGSSLSFEYDAI >ONI25551 pep chromosome:Prunus_persica_NCBIv2:G2:29301157:29303910:1 gene:PRUPE_2G309200 transcript:ONI25551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFNMEDMPLPALFEQAQKIHLTATESGDVDQEVVRKGCKALEKCDEMISKLGLFSTNETKEDISTTNLKYLLVPYYLGELTEKVAQDDRIQILKASQAKLKEFISFCEAMELVPEEELQTSAPDGPNSLVDRRALKIARFKRQRAAESKLLEIKERKERRGRSTKAAALSTPIEAGEEDVLDDDGEEEREAWFTTISLAVCKAFDLLEMLKKEEEMLQAFKERQSQDGNKEFYQDVLDDRAKRAEAWHRDAAARVQYTRPSEPITCATFAQDVLEGRASVSQMHEHKHQPLIFGPASLVGGNPTSERERMAAQVFQPSHRLPTMSIEEAGLKEMEIMNTWQERNVKLMEEANSAWYKESRKPGPPEEDEDDDAAQDKARAWDDWKDDNPRGAGNKKLTPCG >ONI25552 pep chromosome:Prunus_persica_NCBIv2:G2:29301037:29303991:1 gene:PRUPE_2G309200 transcript:ONI25552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFNMEDMPLPALFEQAQKIHLTATESGDVDQEVVRKGCKALEKCDEMISKLGLFSTNETKEDISTTNLKYLLVPYYLGELTEKVAQDDRIQILKASQAKLKEFISFCEAMELVPEEELQTSAPDGPNSLVDRRALKIARFKRQRAAESKLLEIKERKERRGRSTKAAALSTPIEAGEEDVLDDDGEEEREAWFTTISLAVCKAFDLLEMLKKEEEMLQAFKERQSQDGNKEFYQDVLDDRAKRAEAWHRDAAARVQYTRPSEPITCATFAQDVLEGRASVSQMHEHKHQPLIFGPASLVGGNPTSERERMAAQVFQPSHRLPTMSIEEAGLKEMEIMNTWQERNVKLMEEANSAWYKESRKPGPPEEDEDDDAAQDKARAWDDWKDDNPRGAGNKKLTPCG >ONI21869 pep chromosome:Prunus_persica_NCBIv2:G2:14993506:14994926:-1 gene:PRUPE_2G095100 transcript:ONI21869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGLDFCQDLPNPRFLTIKISTPKAQQPLSLHDHDALDEVVHGCRTPTSKEHKIPTVVTCPPAPRKPARRAASSCKRKLQFFETANQDEVEDFFRSSFLINSRAKRSCPCT >ONI22782 pep chromosome:Prunus_persica_NCBIv2:G2:20591912:20596374:1 gene:PRUPE_2G151000 transcript:ONI22782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLHEFGSAGMTKTLERYQHSCFNPEENSTEREAQSWYQEVTKLKAKYESLLRTQRQLLGEDLGPLNVKELQNLEKQLEGALAQARQRKTQLMIEQMEDLRKKERHLGDLNKQLRVKLETEGQNLKAIQNMWSSNAAAGSSSFSFHSSQTNPMDCQPHEPVLQIGYHQYLPAEGPSISKSMACETNFIQGWVL >ONI20947 pep chromosome:Prunus_persica_NCBIv2:G2:4692988:4699773:1 gene:PRUPE_2G042600 transcript:ONI20947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNGTEYEPTFTDDDDEESEELQDWNDWDAKEEEEEEGPDFLCFFCDSRYSSCDDLFDHCSSTHHFDFRAIRKALGLNFYGSFKLINFVRSQVVENRCWSCGLTCQSNQDLQNHLHETVNFKDITPLWDGDEYLKPFLQDDSVLYSFGEDEEGEDDYTAVVDKEELMSDLRHFEEICIDHDIHVEKIAIDFDTSYESGKSNVASSSNGYSNNEGSPGKVTINGMDSGEHVESAGRKTKENKLRAYIPNHGSKDVKNINDDYFGAYSSFGIHREMISDKVRMDAYRQAILKNPSLLKSAVVMDVGCGTGILSLFAAQAGASKVFAVEASEKMAAVATQIAKDNGLLWSKSPGDSTNHGTGVIEVVQGMVEELDKSRLIQPHSVDVLVSEWMGYCLLYETMLSSVLFARDQWLKPGGAILPDTATIFVAGFGKGATSLPFWENVYGFNMSCVGKELFEGASKIPFVDSVDDHGLVTSAAVLQSFDLVTMKPDEVDFTANVELEPNLGGAAGSLTDLKSETTWCFGVVLWFETGFTSRFCKEMPAVLSTSPYTPKTHWLQTMLTFKEPIAVTSGKSNIDKSAAVGTEACPAVRIPLRISIARASQHRNIDISLETAGVDAHSRKRNWPVQIFNLG >ONI21885 pep chromosome:Prunus_persica_NCBIv2:G2:15174966:15175730:-1 gene:PRUPE_2G096400 transcript:ONI21885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEVLERVVGDPRFRLTTELEAVIEPQTELRSTKFLLNMEARVNRLHPCWDFEFEDLYEDNHIDQKNCTTLVSVKHEKLEIDPFAAGNASEEDSRTAISGKLSCLSVPAAICQWMTDKILNDASFYLFVTRGGTGHKVLNLSVFINVHVVDTYVWNDDLGEAGLEFVPWTKKLWVDNKLERVREVQVSTVCSICMEEIVVGSEGTCMPCSHLFHGACILKWLDKSRSCPLCRFSMPALMHEERGMKRDDGRSF >ONI23709 pep chromosome:Prunus_persica_NCBIv2:G2:23916006:23916990:1 gene:PRUPE_2G203300 transcript:ONI23709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWNVLRPEYAAWQPEWTVEDIRLTFLKCTRWQVEETLDPINCPYHYFCDSVYPGNYSPAVDFLLLFFAAASYLATLVFMVMHISGRGQSFSQSKRYLLPSGPIALPLIILALAKGHRINSAFPLSCTGPAILLLVLISALTFDNGAETDIKYVLFETSTISGILHASIYLDSVILPYYTGFDALVSSTFSGECASCVCRKEVLIVGGTLISYRGWSLTTFLVVGTLCWRIICRLGGTKRGKFILIRSLLGSSSWILIIIDCAYLTTNTPPERLVLRVAAFGGIFVLICLYVIREAFTHITQLHSVYKSRTAVVCNTSKVEMRK >ONI23886 pep chromosome:Prunus_persica_NCBIv2:G2:24533469:24533876:1 gene:PRUPE_2G214100 transcript:ONI23886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFKFLIVYASSKALMATRLHLCSYSVIVLVLFVNFVTSYNHISLSPGGAPAPYEPPPPPPPPPPPPPPPPPPPPPPPTNKKGSSGGLSGGQKAGIVFGVLIGAGLIGFGGFVYKKRKDNMRRGRFGAAARTTFL >ONI25922 pep chromosome:Prunus_persica_NCBIv2:G2:30140953:30143843:1 gene:PRUPE_2G326900 transcript:ONI25922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLGWVGAILVGAGWLALGYCFGARYPPARIVLSARLAKKTALANDTNGNNKKKKNKDPLEIDNLADILQDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNSWEMCAQPKVVVKIESEEDMLVLQERAKSLKLPTHITIDAGRTQIAPNSRTVMAILGPVEVVDDVTGGLKLL >ONI21375 pep chromosome:Prunus_persica_NCBIv2:G2:7914842:7918666:-1 gene:PRUPE_2G061900 transcript:ONI21375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEEAAPNDQEENEAEALELVLFQVPECYVYLIPPRKSAASYRADEWDVNNWVWEGILKVISKGEECIVRLEDKNTREPHPVEPVIDSSRYFVLRVEENIDGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLDKKKTAEEMEQKFQQTSLVDYSLKEGETLVLQLKNKSAEIVKSKVSENNSPEKKANQKEPLICIKPPPPPPGPLSPSTGQKSPTNFPPNLNLQGDSNHKASESTEDDSKESISSGNEITQDIPDDDFGDFQTAS >ONI21376 pep chromosome:Prunus_persica_NCBIv2:G2:7915066:7918437:-1 gene:PRUPE_2G061900 transcript:ONI21376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEEAAPNDQEENEAEALELVLFQVPECYVYLIPPRKSAASYRADEWDVNNWVWEGILKVISKGEECIVRLEDKNTSELYARAFLRKGEPHPVEPVIDSSRYFVLRVEENIDGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLDKKKTAEEMEQKFQQTSLVDYSLKEGETLVLQLKNKSAEIVKSKVSENNSPEKKANQKEPLICIKPPPPPPGPLSPSTGQKSPTNFPPNLNLQGDSNHKASESTEDDSKESISSGNEITQDIPDDDFGDFQTAS >ONI21333 pep chromosome:Prunus_persica_NCBIv2:G2:7574191:7580235:1 gene:PRUPE_2G060100 transcript:ONI21333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTKVNNPIYYEQRICYFRNQLRNAHKMAPISMKPSVSPKIPTMALASSVRLSSSILRYRLAAPLYPTRFSSLRTCRSSASCPHRPPVPGPTSAQSKRGSRTTPKRRTQARGRTGMEVCWSRTQVRTAKKESFVLSSTSRPLKRTWLCLSCSAAPCWMFATV >ONI20847 pep chromosome:Prunus_persica_NCBIv2:G2:3985702:3988968:-1 gene:PRUPE_2G037000 transcript:ONI20847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLCNLKTFRLVHSIFSCTFASKTNRVLVGDPKPSHFSLQSQLLCRHITSEISENQHNFTVTYLINTCGLSPEGAILASKWVKLQSPERADSVLELLRNHGASQTQISKLIRSHPQLLSADPEKTLLPKLEFFSSLEISKVDFAKTLAFNPHLLSASLRNRILPTYNFLRSMLSEKNVVAVLKRNSWIFLEGHCKHVVPNIGLLRELGMPQPCISSLLNRGTRVLMANAENFGQLVGEVKEMGFNLEKSTSVNALCALCGKNKLVWSRSREVLKTWGWSEGDFLSAFRKNPQCMIVSEKKLMQAMDLLVNKMGWSSGMIAKYPVVLSLSLERRLIPRCSVVKVLLLKGFINENLNLGSLLKRTEKQFLEIFVNRYLGEVPELLSVYQGKVDIQSV >ONI20912 pep chromosome:Prunus_persica_NCBIv2:G2:4439924:4440910:-1 gene:PRUPE_2G040400 transcript:ONI20912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFKLKSLRLGYSILSSTFASKTKRFVVGDRKPSNFSLQNQLLCRHFTSEISANQHNFTVTYLINSCGLSPKAAISASKKVELQTPERADSVLALVRNHGLSEAQLSKFVRLHPEVLLADPEQTLLPKLRFFISLGISKEDLAKTLAFCPQILSRSLEKEILPDYNFLRSLIPENKVVSVLKGRSWFFFEGHSKNVVPNIDLLRQLVVGKVKEMGFNLEKATSVSALRVLCGRHKSVWNRSWELYSRWGWSDDDILSAFRRYPQCMIHSEKKVMEVMDLLVNNMGWPSRKIAQYPVLWS >ONI20913 pep chromosome:Prunus_persica_NCBIv2:G2:4439359:4441110:-1 gene:PRUPE_2G040400 transcript:ONI20913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFKLKSLRLGYSILSSTFASKTKRFVVGDRKPSNFSLQNQLLCRHFTSEISANQHNFTVTYLINSCGLSPKAAISASKKVELQTPERADSVLALVRNHGLSEAQLSKFVRLHPEVLLADPEQTLLPKLRFFISLGISKEDLAKTLAFCPQILSRSLEKEILPDYNFLRSLIPENKVVSVLKGRSWFFFEGHSKNVVPNIDLLRQLGGIRSV >ONI25422 pep chromosome:Prunus_persica_NCBIv2:G2:28966658:28971361:-1 gene:PRUPE_2G302300 transcript:ONI25422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEENSALFPIFILTIMALPLVPYTITKLCRAASKKTKSIHCQCSECFRSGKYRRSIFKRISNFSTWSNLTLVLLWVIMVVLVYYIKNMSREIQVFEPFSILGLEPGATDSEIKKAYRRLSIQYHPDKNPDPEAHNYFVEFISKAYQALTDPVSRENFEKYGHPDGRQGFQMGIALPQFLLHIDGASGGILLLWIVGVCILLPLVIAVVYLSRSAKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYTEIPVRRTDNEPLQKLFMLVRSELNLDLKNIKQEQAKFWKQHPALVKTELLIQAQLTRESASLSPSLQGDFRRVLELAPRLLEELMKMAVMPRNAQGQGWLRPAIGVVELSQCIIQAVPLSARKATGGSSEGIAPFLQLPHFSEAVIKKIARKKLRVLQELQDMSLQDRAELLSQTAGFSSNEVQDVEMVLETMPSISIEVKCETEGEEGIQEGDIVTVHAWVTLKRANGLIGALPHAPYFPFHKEENFWFLLADSVSNNVWFWQKVNFMDETAAITAASKAIEETMEGSGANMKETSAAVKEAVEKVKSGSRLVTGKLPAPVEGNYNLTCYCLCDSWIGCDRKTNLKIKILKRTRAGTRGGFVAEEGPIAEDGIEEEEENEDEEYDEDYESEYSEDEADEQHDTKKKGPAANGTVDKEVLGSEGEGSEED >ONI20435 pep chromosome:Prunus_persica_NCBIv2:G2:1363537:1366936:1 gene:PRUPE_2G015400 transcript:ONI20435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSFTTRIRTPTLQSHKPISKFISRTKPIKIPSNLSTNPSKNRPKLLCLRANSVSSESSVSTTQDQVDEAIDEEDDDPTAEMSYLDPETDPESISEWELDFCSRPILDIRGKKVWELVVCDESLSLQHTKYFPNNVINSITLKDAIVTVSEELGVPLPDKIRYFRSQMQTIITKACNELGIKPIPSKRCLSLLLWLEERYETVYTRHPGFQKGSKPLLAVDNPFPMELPENLVGEKWAFVQLPFSAVQEEISSLDSNLVFGASLDLDLLGIEIDDKTLIPGLAVASSRAKPLAAWMNGLEVCSIEADLSRARLILSVGISGRYIYATYNKTPETTSEAEAWEAAKKECGGLHFLAIQGDLDSDDCVGFWLLLDLPPPPV >ONI20436 pep chromosome:Prunus_persica_NCBIv2:G2:1363537:1366936:1 gene:PRUPE_2G015400 transcript:ONI20436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSFTTRIRTPTLQSHKPISKFISRTKPIKIPSNLSTNPSKNRPKLLCLRANSVSSESSVSTTQDQVDEAIDEEDDDPTAEMSYLDPETDPESISEWELDFCSRPILDIRGKKVWELVVCDESLSLQHTKYFPNNVINSITLKDAIVTVSEELGVPLPDKIRYFRSQMQTIITKACNELGIKPIPSKRCLSLLLWLEERYETVYTRHPGFQKGSKPLLAVDNPFPMELPENLVGEKWAFVQLPFSAVQEEISSLDSNLVFGASLDLDLLGIEIDDKTLIPGLAVASSRAKPLAAWMNGLEVCSIEADLSRARLILSVGISGRYIYATYNKTPETTSEAEAWEAAKKECGGLHFLAIQGDLDSDDCVGFWLLLDLPPPPV >ONI21625 pep chromosome:Prunus_persica_NCBIv2:G2:11624202:11626165:1 gene:PRUPE_2G076400 transcript:ONI21625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYIHLLRLPFRFFWKNLYIVSMPFRDPLRPLVLGGDHSISYSVVRAVSEKLVGPVDIYKPLEGNEYSHAGRQSGSCTVCK >ONI21645 pep chromosome:Prunus_persica_NCBIv2:G2:12041824:12045588:1 gene:PRUPE_2G078100 transcript:ONI21645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDGRCLKLFLALSILFLQNVKGGEVGHSHNHSHSHSLRDAKVTVRCIERERQALLAFKRGLVDEFNLLSTWGSEAEKQDCCRWEGVYCSNQTGHVIQLHLGYSFLDEMFQPAFEYSFQGKMISPKLIELQHLQYLHLASIDFNESQIPDFIGSLTNLRYLSFHSCHLVGQIPSSFGNLTQLQYLDLSYNYQLQPENLNWLVALSSLTDLGLASFDFNWSQIPDFIGSLTNLRNLKLSSCNLVGPIPSSFGNLTQLQHLDLANNQLQPENLNWPPALSSLTDLDLSGNNQNTVLDLASIDFNGSQIPDFIGSLANLRYLSLSSCNLVGQIPSLFGNLTQLQHLDLSGNHLQAENLNWLPALSSLTYLDLSGANLSTVFDWPEAVLNKLPKLEELTLVNCSLPPPPPPPPTLYKTNSSTSLAYVDLSDNHLTSSIFLWLSNYSTSLVALGLSNNHLSGFIPNFIGNMSSLVDLDLSDNQIKGANPNSFARLCNLQTLQLQRNHLSGQLSQLLPRCAQNSLEELYLSNNVLAGSLNNLTSFSSLEVLHLSANQLSGKIPESVGQMSQLYDIDFSMNSLEGVVSETHFSKLSKLEYLDLSSNSLVLNFSSNWVPPFQLRYINLTSCKVGPLFPKWLQTQKHFSLLDISNAGISDSLPSWFWSNFRSADIINLSQNLIRGILTNLTAEFPFYAELHLSSNQIEGPIPSILSQASYLDLSNNNISGSLSFLCASADMSYLNLSSNSFSGELPDCWSHLENNLVMLDLSNNAFSGKIPMTIGSLFQMQTLKLRSNRFVGELPSSLKNCTSLEVIDLGDNKLSGPIPTWLGVSFNNLVILMLSSNHFNGSMPSQLCHLTRIQIMDFSVNNISGSIPKCLNNLTTLAQKGNPSLSSRHSYTRLMGNNTAASANYEDDASFIWKGRMQTYKSTLGLVKRIDLSSNRLTGEIPSEITHLVELVSLNLSRNRLTGQITPEIGNLQSLDSLDLSRNQIDGRIPTSLARIDRLSFLDLSYNNLSGKIPTGTQLQSFDPLDYAENPQLCGPPLKKMCADQNEPLSNEEDKDEFITLGFYISMGIGFAAGFWGVCGTLIFNRSWRYAYLKFLNGLNDWLYVKIALSKRQLKLAYA >ONI21646 pep chromosome:Prunus_persica_NCBIv2:G2:12041758:12045588:1 gene:PRUPE_2G078100 transcript:ONI21646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDGRCLKLFLALSILFLQNVKGGEVGHSHNHSHSHSLRDAKVTVRCIERERQALLAFKRGLVDEFNLLSTWGSEAEKQDCCRWEGVYCSNQTGHVIQLHLGYSFLDEMFQPAFEYSFQGKMISPKLIELQHLQYLHLASIDFNESQIPDFIGSLTNLRYLSFHSCHLVGQIPSSFGNLTQLQYLDLSYNYQLQPENLNWLVALSSLTDLGLASFDFNWSQIPDFIGSLTNLRNLKLSSCNLVGPIPSSFGNLTQLQHLDLANNQLQPENLNWPPALSSLTDLDLSGNNQNTVLDLASIDFNGSQIPDFIGSLANLRYLSLSSCNLVGQIPSLFGNLTQLQHLDLSGNHLQAENLNWLPALSSLTYLDLSGANLSTVFDWPEAVLNKLPKLEELTLVNCSLPPPPPPPPTLYKTNSSTSLAYVDLSDNHLTSSIFLWLSNYSTSLVALGLSNNHLSGFIPNFIGNMSSLVDLDLSDNQIKGANPNSFARLCNLQTLQLQRNHLSGQLSQLLPRCAQNSLEELYLSNNVLAGSLNNLTSFSSLEVLHLSANQLSGKIPESVGQMSQLYDIDFSMNSLEGVVSETHFSKLSKLEYLDLSSNSLVLNFSSNWVPPFQLRYINLTSCKVGPLFPKWLQTQKHFSLLDISNAGISDSLPSWFWSNFRSADIINLSQNLIRGILTNLTAEFPFYAELHLSSNQIEGPIPSILSQASYLDLSNNNISGSLSFLCASADMSYLNLSSNSFSGELPDCWSHLENNLVMLDLSNNAFSGKIPMTIGSLFQMQTLKLRSNRFVGELPSSLKNCTSLEVIDLGDNKLSGPIPTWLGVSFNNLVILMLSSNHFNGSMPSQLCHLTRIQIMDFSVNNISGSIPKCLNNLTTLAQKGNPSLSSRHSYTRLMGNNTAASANYEDDASFIWKGRMQTYKSTLGLVKRIDLSSNRLTGEIPSEITHLVELVSLNLSRNRLTGQITPEIGNLQSLDSLDLSRNQIDGRIPTSLARIDRLSFLDLSYNNLSGKIPTGTQLQSFDPLDYAENPQLCGPPLKKMCADQNEPLSNEEDKDEFITLGFYISMGIGFAAGFWGVCGTLIFNRSWRYAYLKFLNGLNDWLYVKIALSKRQLKLAYA >ONI21286 pep chromosome:Prunus_persica_NCBIv2:G2:7153619:7159989:-1 gene:PRUPE_2G057800 transcript:ONI21286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLKSLFRFMVSSPLPIDSGVEPQLPSDLPPLTTQPVSSSPSSSSSFTHDSSWTYDVFLSFRGEDTRTNFTDHLYKALCDKGIYTFIDRELVRGEEISPALVKAIEESRISLIVFSENYASSRWCLDELVKILQCKESKQQIVLPFFYKVDPSDVRHQRSSYGDAFVHHERKFKDDKEKVLKWRRSLTEAANLSGWHFKEGEYETTFINNIVDRILSQVLSCTYWNVAKYPVGIQSCVQDVEKLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAHKFEGSCFLSNVRENSMSDGDLIKLQEALLHKILGGEWKIHSVDEGIGVIKKRLSHKQILLILDDVNQLKQLDNLAGVGWFGEGSRVITTTQDSGLLKCHGIDLIYEVQKLYGNQALELFSFCAFGTSKPPKDYLELAQRALAYAQGIPLALTLLGSHLHNKDKDRWQDILDSYEGEPYTGIQKILRKSYDALENSMQQFFLDIACFFKGEDKDYVLQIVSNSKKKVSRDCIEVLIEKAMITIDYGTIQMHDLLEKLGKDIVHEESPNDPGKRSRLWFYEDVEQFLTESTGTRNIQGIMVKLPDPAEITLNPECFRNMVNLEIFINSNASLCGHINYLPNALRLIDWDRCQLQSLPPNFQGNHLVEFNMPRSHIRQLDGFNFKHSPNLTTMNLRGCQFLEKIPDLSGIPNIKYLNLSECTRLVEVDGSVGFLDKLVELNLFGCVELMRFGTTLRLKSLEQLYLSGCERLESFPEIEVEMESLWKLNMARSGVRELPPSIAYLTGLQQLDLSGCFNLTRFATLRLKSLEKLDLSDCKSLESFPEIEVEMESLRGLRISGSGVRELPSPIAYLTGLEILHADYCENFTVTVNSELLPNLYQFSLMGCNLSKINFLRLLDCWSTITELFLSQSNFVNLPISFSKFVNLRNLYLINCQSLLEIPEQVLPRRIEFVELDNCTSLEKIPKLAWVLLDNCTSLEKIPELPRKDDHMYLSLTNCVRLRGYDITEHIFLNQVSVSSPHSLFEIIIPGDEVPKWFSCCKDATIVREFLSYEYDAGCEVSFEIPPNLKWETLRLVLCAGNIGSAKILLNGKLVNVAHFELDTTHLYDECVELLESHVYLTSIPLLESHVYGFEEPPTMQVNTCEVIFDLPGEVPAPVKIPCGVHLLGHHVADVSETDVVDHGPTQLLPDAKAVDDDIYDDQHQDCELLSLSLASKTSLGKRPRQSDYMALNDDHRANVVDIGDHEAEGLTLFTGPSDHQKRRHIDPNEEPKQ >ONI21287 pep chromosome:Prunus_persica_NCBIv2:G2:7154128:7159860:-1 gene:PRUPE_2G057800 transcript:ONI21287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLKSLFRFMVSSPLPIDSGVEPQLPSDLPPLTTQPVSSSPSSSSSFTHDSSWTYDVFLSFRGEDTRTNFTDHLYKALCDKGIYTFIDRELVRGEEISPALVKAIEESRISLIVFSENYASSRWCLDELVKILQCKESKQQIVLPFFYKVDPSDVRHQRSSYGDAFVHHERKFKDDKEKVLKWRRSLTEAANLSGWHFKEGEYETTFINNIVDRILSQVLSCTYWNVAKYPVGIQSCVQDVEKLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAHKFEGSCFLSNVRENSMSDGDLIKLQEALLHKILGGEWKIHSVDEGIGVIKKRLSHKQILLILDDVNQLKQLDNLAGVGWFGEGSRVITTTQDSGLLKCHGIDLIYEVQKLYGNQALELFSFCAFGTSKPPKDYLELAQRALAYAQGIPLALTLLGSHLHNKDKDRWQDILDSYEGEPYTGIQKILRKSYDALENSMQQFFLDIACFFKGEDKDYVLQIVSNSKKKVSRDCIEVLIEKAMITIDYGTIQMHDLLEKLGKDIVHEESPNDPGKRSRLWFYEDVEQFLTESTGTRNIQGIMVKLPDPAEITLNPECFRNMVNLEIFINSNASLCGHINYLPNALRLIDWDRCQLQSLPPNFQGNHLVEFNMPRSHIRQLDGFNFKHSPNLTTMNLRGCQFLEKIPDLSGIPNIKYLNLSECTRLVEVDGSVGFLDKLVELNLFGCVELMRFGTTLRLKSLEQLYLSGCERLESFPEIEVEMESLWKLNMARSGVRELPPSIAYLTGLQQLDLSGCFNLTRFATLRLKSLEKLDLSDCKSLESFPEIEVEMESLRGLRISGSGVRELPSPIAYLTGLEILHADYCENFTVTVNSELLPNLYQFSLMGCNLSKINFLRLLDCWSTITELFLSQSNFVNLPISFSKFVNLRNLYLINCQSLLEIPEQVLPRRIEFVELDNCTSLEKIPKLAWVLLDNCTSLEKIPELPRKDDHMYLSLTNCVRLRGYDITEHIFLNQVSVSSPHSLFEIIIPGDEVPKWFSCCKDATIVREFLSYEYDAGCEVSFEIPPNLKWETLRLVLCAGNIGSAKILLNGKLVNVAHFELDTTHLYDECVELLESHVYLTSIPLLESHVYGFEEPPTMQVNTCEVIFDLPGEVPAPVKIPCGVHLLGHHVADVSETDVVDHGPTQLLPDAKAVDDDIYDDQHQDCELLSLSLASKTSLGKRPRQSDYMALNDDHRANVVDIGDHEAEGLTLFTGPSDHQKRRHIDPNEEPKYVNPLNFE >ONI21285 pep chromosome:Prunus_persica_NCBIv2:G2:7153597:7159860:-1 gene:PRUPE_2G057800 transcript:ONI21285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLKSLFRFMVSSPLPIDSGVEPQLPSDLPPLTTQPVSSSPSSSSSFTHDSSWTYDVFLSFRGEDTRTNFTDHLYKALCDKGIYTFIDRELVRGEEISPALVKAIEESRISLIVFSENYASSRWCLDELVKILQCKESKQQIVLPFFYKVDPSDVRHQRSSYGDAFVHHERKFKDDKEKVLKWRRSLTEAANLSGWHFKEGEYETTFINNIVDRILSQVLSCTYWNVAKYPVGIQSCVQDVEKLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAHKFEGSCFLSNVRENSMSDGDLIKLQEALLHKILGGEWKIHSVDEGIGVIKKRLSHKQILLILDDVNQLKQLDNLAGVGWFGEGSRVITTTQDSGLLKCHGIDLIYEVQKLYGNQALELFSFCAFGTSKPPKDYLELAQRALAYAQGIPLALTLLGSHLHNKDKDRWQDILDSYEGEPYTGIQKILRKSYDALENSMQQFFLDIACFFKGEDKDYVLQIVSNSKKKVSRDCIEVLIEKAMITIDYGTIQMHDLLEKLGKDIVHEESPNDPGKRSRLWFYEDVEQFLTESTGTRNIQGIMVKLPDPAEITLNPECFRNMVNLEIFINSNASLCGHINYLPNALRLIDWDRCQLQSLPPNFQGNHLVEFNMPRSHIRQLDGFNFKHSPNLTTMNLRGCQFLEKIPDLSGIPNIKYLNLSECTRLVEVDGSVGFLDKLVELNLFGCVELMRFGTTLRLKSLEQLYLSGCERLESFPEIEVEMESLWKLNMARSGVRELPPSIAYLTGLQQLDLSGCFNLTRFATLRLKSLEKLDLSDCKSLESFPEIEVEMESLRGLRISGSGVRELPSPIAYLTGLEILHADYCENFTVTVNSELLPNLYQFSLMGCNLSKINFLRLLDCWSTITELFLSQSNFVNLPISFSKFVNLRNLYLINCQSLLEIPEQVLPRRIEFVELDNCTSLEKIPKLAWVLLDNCTSLEKIPELPRKDDHMYLSLTNCVRLRGYDITEHIFLNQVSVSSPHSLFEIIIPGDEVPKWFSCCKDATIVREFLSYEYDAGCEVSFEIPPNLKWETLRLVLCAGNIGSAKILLNGKLVNVAHFELDTTHLYDECVELLESHVYLTSIPLLESHVYGFEEPPTMQVNTCEVIFDLPGEVPAPVKIPCGVHLLGHHVADVSETDVVDHGPTQLLPDAKAVDDDIYDDQHQDCELLSLSLASKTSLGKRPRQSDYMALNDDHRANVVDIGDHEAEGLTLFTGPSDHQKRRHIDPNEEPKQ >ONI25228 pep chromosome:Prunus_persica_NCBIv2:G2:28427174:28429381:1 gene:PRUPE_2G290200 transcript:ONI25228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLTSPSPCCNGNSDHPHLVSIKDSEEPKTLTTPLISKSTTSEPEQIQKKLQLNAHHQTHKPHQKSHFSLALKEANSIASIAFPMILTGLLLYSRSMISMLFLGRLGELALAGGSLAVGFANITGYSILSGLAMGMEPICGQAFGAKRHTLLGLSLQRTVLLLIFTSLPISLLWLNMKKLLLLCGQDEAIASEAQLYLLCSLPDLLAQCLLHPLRIYLRTQSITLPLTFCATLAIILHIPINYFLVSYLNLGIRGVALSGVWSNFNLVASLIIYIIISGVHKKTWGGISMDCFREWKTLLNLAVPSCISVCLEWWWYEIMILLCGLLLNPRATVASMGILIQTTSLIYIFPSSLSFSVSTRVGNEIGANQPKKARIAAIVGLCCSFMLGLAALIFAVMVRNIWASMFTQDKEIIKLTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMPVAVGLGFVLELDFQGLWLGLLAAQGCCALTMLVVLGVTDWEFEAQKAKQLTGAEEVVDDSEEVEEDKPSKAKIKEDCLDYANNNTNNNSHV >ONI20609 pep chromosome:Prunus_persica_NCBIv2:G2:2487177:2491600:-1 gene:PRUPE_2G025100 transcript:ONI20609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSYSSAPCCDAVNLRWKLIVKSTSSHQFSLPGFRKNRVFSAKFDVRRKFLSYYNLDQAFLKQLNHQGGFRSLNTSENFKHVGQILSNGSSDGYVIGGEEDASISETGEPGTKVLIPGLPDESKGESGATVSSCFWEWKPKFNVHYEKAGCENLGSPPVLLLPGFGVGSFHYEKQLKDLGLDFRVWAIDFLGQGRSLPFEDPAPRNEEEGVPETKDLLWGFGEKSEPWASELVYSIDLWQDQVRYFIEEVIGEPVYIAGNSLGGFVALYFAACNPHLVKGVTLLNATPFWGFLPNPTRSPRLSKIFPSAGSFPLPPTVRKLTEIVWQKISDPRSIAEVLKQVYADHSTNVDKVFSRILETSEHPAAAASFASIMLAPQGQLSFRDALSRCHMNNVPICLMYGKEDPWVKPLWGLQVKQQVPEAPYYEISPAGHCPHDEVPEVVNYLLRGWIKNLETRGLVALPLLDAPEAMQNNIARDLEFVRDGAKKVVNVRFFASKVSVWDFISSYIISRLRKLELKS >ONI20610 pep chromosome:Prunus_persica_NCBIv2:G2:2487150:2492246:-1 gene:PRUPE_2G025100 transcript:ONI20610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSYSSAPCCDAVNLRWKLIVKSTSSHQFSLPGFRKNRVFSAKFDVRRKFLSYYNLDQAFLKQLNHQGGFRSLNTSENFKHVGQILSNGSSDGYVIGGEEDASISETGEPGTKVLIPGLPDESKGESGATVSSCFWEWKPKFNVHYEKAGCENLGSPPVLLLPGFGVGSFHYEKQLKDLGLDFRVWAIDFLGQGRSLPFEDPAPRNEEEGVPETKDLLWGFGEKSEPWASELVYSIDLWQDQVRYFIEEVIGEPVYIAGNSLGGFVALYFAACNPHLVKGVTLLNATPFWGFLPNPTRSPRLSKIFPSAGSFPLPPTVRKLTEIVWQKISDPRSIAEVLKQVYADHSTNVDKVFSRILETSEHPAAAASFASIMLAPQGQLSFRDALSRCHMNNVPICLMYGKEDPWVKPLWGLQVKQQVPEAPYYEISPAGHCPHDEVPEVVNYLLRGWIKNLETRGLVALPLLDAPEAMQNNIARDLEFVRDGAKKVVNVRFFASKVSVWDFISSYIISRLRKLELKS >ONI22552 pep chromosome:Prunus_persica_NCBIv2:G2:19385533:19389100:1 gene:PRUPE_2G136300 transcript:ONI22552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQCVPSWDLDDISTPPAPRLSLRSSTPSADVPMLDYEVAELTWENGQVAMHGLGLPRPPAKPSLTTAKYTTWDKPRASGTLESIVNQATSTLPLPSKPPFDSSGGGSNGELVSWFDHHRAAAVRSTEVTPSTTMTMDALVPCRNQSDNSSSHMMESMSMPVVISGSDVVGCSTGVESCSGATGAATQDDDTMLSGKHGSLSRVPETPEWSSRSQSVSGSATFGMDSHPVTLDSTKASDHDSVCHSRPQREAGDEDDRKKRSTGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKNLQAQIQMISRMNMPAMMLPMAMQQQLQMSMMAAAPRNMGMGMGMGMDMNTMVRPNIPGISPVLHPAAFMPMASWDGSGGDRSASATVMPDPLSAFLACQSQPMTMDAYSMMAAMYQQFHQPPASSSKS >ONI22553 pep chromosome:Prunus_persica_NCBIv2:G2:19385785:19388329:1 gene:PRUPE_2G136300 transcript:ONI22553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQCVPSWDLDDISTPPAPRLSLRSSTPSADVPMLDYEVAELTWENGQVAMHGLGLPRPPAKPSLTTAKYTTWDKPRASGTLESIVNQATSTLPLPSKPPFDSSGGGSNGELVSWFDHHRAAAVRSTEVTPSTTMTMDALVPCRNQSDNSSSHMMESMSMPVVISGSDVVGCSTGVESCSGATGAATQDDDTMLSGKHGSLSRVPETPEWSSRSQSVSGSATFGMDSHPVTLDSTKASDHDSVCHSRPQREAGDEDDRKKRSTGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKVKLASRLFAMGTTACFHINLPK >ONI22551 pep chromosome:Prunus_persica_NCBIv2:G2:19385534:19389100:1 gene:PRUPE_2G136300 transcript:ONI22551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQCVPSWDLDDISTPPAPRLSLRSSTPSADVPMLDYEVAELTWENGQVAMHGLGLPRPPAKPSLTTAKYTTWDKPRASGTLESIVNQATSTLPLPSKPPFDSSGGGSNGELVSWFDHHRAAAVRSTEVTPSTTMTMDALVPCRNQSDNSSSHMMESMSMPVVISGSDVVGCSTGVESCSGATGAATQDDDTMLSGKHGSLSRVPETPEWSSRSQSVSGSATFGMDSHPVTLDSTKASDHDSVCHSRPQREAGDEDDRKKRSTGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKGGCMFKASDKIKSHFQGKIGQQVVRYGDNCLLPHKSSQVTDKASMLDEVIEYLKNLQAQIQMISRMNMPAMMLPMAMQQQLQMSMMAAAPRNMGMGMGMGMDMNTMVRPNIPGISPVLHPAAFMPMASWDGSGGDRSASATVMPDPLSAFLACQSQPMTMDAYSMMAAMYQQFHQPPASSSKS >ONI25253 pep chromosome:Prunus_persica_NCBIv2:G2:28518196:28519558:-1 gene:PRUPE_2G291900 transcript:ONI25253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMVEPLTLGRVIGEVVDSFTPSVRMKVVYNPNRQVANGHELMPSVISAKPRVEIGGDDMRAAYTLIMTDPDFPSPSDPYLREHLHWMVTDIPGTTDASFGKENMEYESPRPVVGIHRYVFLLFKQMRGRETVRGPACRDNFNTRTFSQENGLGLPVAAVYFNAQRETAARRR >ONI22859 pep chromosome:Prunus_persica_NCBIv2:G2:20851735:20854398:1 gene:PRUPE_2G155000 transcript:ONI22859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENGGHEVLTGTRSAEEWSSHARELVPLALNKAREVKGFPGRWKMIISKLEQIPSRLSDLSSHPCFSKNALCKEQLQSVSKTLKEAIELAEFCVGEKHEGKLRMQSNLDALSGKLDLNLRDCGLLIKTGVLGEATLPLAMAGSSTEPETAAHGNIRELLARLQIGHLEAKHKALDSLVEGMKEDEKNVLSVMGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSAVGKEKATISLQRLSMSEEAARAIVGHGGVRPLIEICQTGDSVSQAASASTLKNISAVPEVRQTLAEEGIVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLRRSVISEGGIRSLLAYLAGPLPQESAVGALRNLVGSVSMEVLVSLGFLPCVVHVLKSGSLGAQQAAASAICRVCSSTEMKKLIGEAGCIPLLVKMLEAKSNSAREVAAQAISSLMTLSHNCREVKRDDKCVPNLVQLLDPSPQNTAKKYAVCCLGLLCSSKKCKKLMVSYGAIGYLKKLTEMDIPGAKKLLERLERGKLRNFFTRK >ONI22860 pep chromosome:Prunus_persica_NCBIv2:G2:20850758:20854398:1 gene:PRUPE_2G155000 transcript:ONI22860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENGGHEVLTGTRSAEEWSSHARELVPLALNKAREVKGFPGRWKMIISKLEQIPSRLSDLSSHPCFSKNALCKEQLQSVSKTLKEAIELAEFCVGEKHEGKLRMQSNLDALSGKLDLNLRDCGLLIKTGVLGEATLPLAMAGSSTEPETAAHGNIRELLARLQIGHLEAKHKALDSLVEGMKEDEKNVLSVMGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSAVGKEKATISLQRLSMSEEAARAIVGHGGVRPLIEICQTGDSVSQAASASTLKNISAVPEVRQTLAEEGIVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLRRSVISEGGIRSLLAYLAGPLPQESAVGALRNLVGSVSMEVLVSLGFLPCVVHVLKSGSLGAQQAAASAICRVCSSTEMKKLIGEAGCIPLLVKMLEAKSNSAREVAAQAISSLMTLSHNCREVKRDDKCVPNLVQLLDPSPQNTAKKYAVCCLGLLCSSKKCKKLMVSYGAIGYLKKLTEMDIPGAKKLLERLERGKLRNFFTRK >ONI21776 pep chromosome:Prunus_persica_NCBIv2:G2:13928005:13931021:1 gene:PRUPE_2G088400 transcript:ONI21776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQCHKTIKVMNISFPVIKVLIGSWEDILLDTISFLCSLRYGKNYHLFLLLNLSRFLHSAWRTQDTCKKLKCGDKGPAVHFPFSLKGRHPDHCVFPGFVLSCNEKYETILDLPIPFKFPVKSIDYEAQVIQLHDPESCLLMKLLKVFNKSISPFHFSKNHMTDLTLFNCSLAERQLDEQVPCFSGPGYQVYSVLSSYSIEVLPLLSCTKMYNLSSVPYPSYKYATLFLEWSEPNCGHCERQGRKCRLKNNGTKSEIECVDLSKAGTKRKWVATGASLGSFVVLLLVVAAYRVYSSDRKEKENQLKIERFLDDYRALKPSRYSYADIKRITNDFKDKLGEGAYGTVYKGKLSSELFVAAKVLNSSKGDGEEFINEVGTMGHIHHVNVVRLVGFCADGFRRALVYEFLPNGSLQDFISSPDNKNSFLGWDKMQDIALAIAKGIEYLHQGCDQRILHFDIKPHNVLLDHNFTPKISDFGLAKLCSKDQSIVSMTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRKNVGSATENTNEIYYPEWIYNLLEEGDDLRIHIGEEGEGKIPKKFAIVGLWCIQWHPVDRPSMKAVVQMLEGGESLTMPPNPFASTGAAGTNASTAARNLNIQLEAIPELE >ONI21778 pep chromosome:Prunus_persica_NCBIv2:G2:13927771:13931021:1 gene:PRUPE_2G088400 transcript:ONI21778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLKVFNKSISPFHFSKNHMTDLTLFNCSLAERQLDEQVPCFSGPGYQVYSVLSSYSIEVLPLLSCTKMYNLSSVPYPSYKYATLFLEWSEPNCGHCERQGRKCRLKNNGTKSEIECVDLSKAGTKRKWVATAYRVYSSDRKEKENQLKIERFLDDYRALKPSRYSYADIKRITNDFKDKLGEGAYGTVYKGKLSSELFVAAKVLNSSKGDGEEFINEVGTMGHIHHVNVVRLVGFCADGFRRALVYEFLPNGSLQDFISSPDNKNSFLGWDKMQDIALAIAKGIEYLHQGCDQRILHFDIKPHNVLLDHNFTPKISDFGLAKLCSKDQSIVSMTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRKNVGSATENTNEIYYPEWIYNLLEEGDDLRIHIGEEGEGKIPKKFAIVGLWCIQWHPVDRPSMKAVVQMLEGGESLTMPPNPFASTGAAGTNASTAARNLNIQLEAIPELE >ONI21777 pep chromosome:Prunus_persica_NCBIv2:G2:13928005:13931021:1 gene:PRUPE_2G088400 transcript:ONI21777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQCHKTIKVMNISFPVIKVLIGSWEDILLDTISFLCSLRYGKNYHLFLLLNLSRFLHSAWRTQDTCKKLKCGDKGPAVHFPFSLKGRHPDHCVFPGFVLSCNEKYETILDLPIPFKFPVKSIDYEAQVIQLHDPESCLLMKLLKVFNKSISPFHFSKNHMTDLTLFNCSLAERQLDEQVPCFSGPGYQVYSVLSSYSIEVLPLLSCTKMYNLSSVPYPSYKYATLFLEWSEPNCGHCERQGRKCRLKNNGTKSEIECVDLSKAGTKRKWVATAYRVYSSDRKEKENQLKIERFLDDYRALKPSRYSYADIKRITNDFKDKLGEGAYGTVYKGKLSSELFVAAKVLNSSKGDGEEFINEVGTMGHIHHVNVVRLVGFCADGFRRALVYEFLPNGSLQDFISSPDNKNSFLGWDKMQDIALAIAKGIEYLHQGCDQRILHFDIKPHNVLLDHNFTPKISDFGLAKLCSKDQSIVSMTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRKNVGSATENTNEIYYPEWIYNLLEEGDDLRIHIGEEGEGKIPKKFAIVGLWCIQWHPVDRPSMKAVVQMLEGGESLTMPPNPFASTGAAGTNASTAARNLNIQLEAIPELE >ONI20298 pep chromosome:Prunus_persica_NCBIv2:G2:699314:702526:-1 gene:PRUPE_2G007600 transcript:ONI20298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVEVVDAHDLMPKDGEGSASPFVEVDFVNKLSRTKTVLKNLNPIWNHKLFFDIDQTQNFHHQTIEAYVYHERRSPTPGRNFLGRVRIPCSHIVTKSEKAYQRFQLEKKWFFSSVKGEIGLKVYTSLEPEPKSPPYSPPQLLEDSPSNSQQPPEHPTSSPSAPPNTESTRTNSKVLAAIPKEKVPVVDNTTVITAEFNKKVAAVALIETNSSAAAAGSSISDPAQDPKEEIKEPVEVKAETAHHIHKHQVLQQPGKSVEIQHQGFPLTMRPAQPEAHHNHQDEYELKDTNPQLGERWPNGGAHGGRGWMSGERFTSTYDLVEQMFYLFVRVVKAKDLPPSSITGSCDPYVEVKLGNYKGRTRHFERKMNPEWNQVFAFSKDRIQSSVVEVFVKDKEMIGRDDYLGRVVFDLNEVPTRVPPDSQLAPQWYRLEHRRGEGKVRGEIMLAVWMGTQADEAFPDAWHSDAAAVYGEGVFNVRSKVYVSPKLWYLRVNVIEAQDVLPNDRSRLPEVFVKAQVGNQLLRTKICPSRTANPLWNEDLVFVAAEPFEEQLVITVEDRVHPSKDEVLGKISMPIDMFEKRLDHRPVHSRWFNLEKYGFGILEPDRRKELKFSSRIHLRVCLEGGYHVLDESTMYISDQRPTARQLWKQPVGILEVGILSAQGLLPMKMKDGRGSTDAYCVAKYGQKWVRTRTILDTFNPKWNEQYTWEVYDPCTVITLGVFDNCNLGGGEKQTPAAGSAARDSRIGKVRIRLSALEAHRMYTHSYPLLVLQPNGVKKMGELQLAVRFTTLSIANMIYVYGHPLLPKMHYLHPFTVNQVDNLRYQAMNIVAVRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMSLLSAMFSMSRWLGDVCNWKNGVTTVLVHILFLILICYPELILPTLFVYMFLIGMWNYRFRPRHPPHMDTKLSWAETVHPDELDEEFDTFPSSRPHDIVRMRYDRIRSVAGRIQTVVGDIATQGERFQSLLSWRDTRATSLFILFCLCASVVLYVAPFRVVALVAGLYYLRHPRFRSKLPSVPSNFFRRLPARTDSLL >ONI22982 pep chromosome:Prunus_persica_NCBIv2:G2:21298852:21300648:1 gene:PRUPE_2G162200 transcript:ONI22982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGIGFGTVKQLASNGVTVVLTARDEKRGLEAVEKLKEFGLSDLVVFHQLDVTEPSSITSLADFVKTQFGKLDILVNNAGMIGNQIKPEDFRSVVSGKKPEEIRWNAIPTTPNYKLAEEGLKTNYYGTKRVTEALLPLLQLSDSPRIVNVSSGVSKLVIFPNGWAKEVLSDAESLTEERIDAVLAELLEDFKQGLVENKSWPTIFPPYTVSKAAVNAYTRILAKKYPNFYINCVCPGFVKTDITFNTGTLTIEEGAESLVRLALLPNGGPTGHFFLCKEATPF >ONI23053 pep chromosome:Prunus_persica_NCBIv2:G2:21571642:21573555:1 gene:PRUPE_2G167200 transcript:ONI23053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFVWNLQNLWPFSILKSDDLKVSNELVRKLPVPEHTKQFVYAVREPETQSVIYILSAQSLSEWSALDADCLIREVRPDAVISQVGLSTVTEIQSEETVLKDGFDNSVPTSSFKVLKRCFLEKVNKEKYEDIAGNLVLQEIFGVGFHGHFLVAKKVAQEVGSSFLVLELPFVKCSGGENTSSEHEAVSKFQGLASSLVPQKVGSVASSSSSRFCITNDVHSQMVNYEAPQFAQSIYPFLVDLHDIFADIPSMGKALACAQRMFYDVKRGEAVDTKVISEVYAFRIAVEGLRISMNNAGRLPINKIRNLNLNKIDFSELPVEDKSYALFVQALRSQTKKFKTIVAVVDASGLAGLRKHWNTPVPLEVKDLVGQLVTNCEGEGEMSNDTDRKRLITNKPLVAVGAGATAVLGASSFSKAVTLKVPASTFMKVLTLKVPASLKLFLSQTHKTVGLALSKTLGPSKVVAPGFMSSGVKSTPILKATASAEKIRAAAHSVIAAAEKTSFSAMRTAFYQIMRKRQLQKIGVLPWATFGCSMATCAGLVAYGDGIECAAESLPAAPSIASLGRGIQNLHLASQEVAQRDSTRLQKSIESLMYRFKKVRTQ >ONI21291 pep chromosome:Prunus_persica_NCBIv2:G2:7236001:7241012:1 gene:PRUPE_2G058200 transcript:ONI21291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLPFSLFLLLSLLYNFSLLLPTSASSSSSASSACKSTLYPKLCRSILSTIRSSPSDPYNYGKFSIKQCLKQARKTSKAIDHFLHKTKNKSFLVNHSERNALEDCFEFSELNVEFLEAISTELKSAESLNEALVDKVQTLLSGIVTNQQTCYDGLVASKSTILGNDTSLATAFSNATQLYSVSLGLVAHALARNLKKHKKNKGSDHGGIKAHKIREPLETFIKALRKNPVKKSTASQRGERILEQMESSGILVNDTVIVGSYGTDNFTSIGEAIAFAPNNSKAEDGYFVIYIREGYYEEYLVVPKHKTNVMLLGDGINKTVITGNHSFVDGWTTFNSSTFAVSAERFIAIDITFRNTAGPQKHQAVAVRNNADLSTFYRCSFEGYQDTLYVHSLRQFYKECDIYGTVDFIFGDATAVFQSCNLYARKPMANQKNAFTAQGRSDPNQNTGISIHNCTIEAAADLALDLNSTLNYLGRPWKLYSRTVIMQSYIGPLISSVGWLEWNGTVGLDTLYYGEFQNHGPGANTSKRVQWPGYNLMNASQAVNFTVLNFTLGDTWLPDTDIPFYGGLLK >ONI22823 pep chromosome:Prunus_persica_NCBIv2:G2:20757067:20759558:1 gene:PRUPE_2G153200 transcript:ONI22823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSVEIGGGTLSEIYQSAKKLLLRTRDALEKLERLENAGGIDSPDLSTSVKRDISQIQSFCAEMDRLWRSVGAKSQRDLWKRKVEQIAEEAQSLKESLDKYNLRNQKRAQEARERAELLGRANGEGAHVLRIFDDEAQARASVRSSSRMLEEASATGESILRKYAEQRDWLKSAQRKGLDVLNTVGLSNSVLKLIERRNRVDQSIKYAGMILTVVIVVYLIWRWR >ONI24169 pep chromosome:Prunus_persica_NCBIv2:G2:25373381:25374937:-1 gene:PRUPE_2G227900 transcript:ONI24169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKSKPPMTAEQRRFEELMTYFVNNTSPNVDFLKAPDPPIPAGECRYCLKVDDHITQLCPYKYDVPKNAILGKGCSVQCVVCGCRFRDSCCAQCGHTRGRAILMDCRICGKSYDHWPDMCPQRDLNSSFTCDPYTGYISF >ONI21860 pep chromosome:Prunus_persica_NCBIv2:G2:14876278:14876736:-1 gene:PRUPE_2G094300 transcript:ONI21860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQAIPYKSWAPIGTTTVVPLIRRPNPTAVAAEDKIIQGTRNDHVMIKNMVSETAVIVFTRRGCCMGHVVQRLLLGHGVNPTLYEVGEGKEDEIAVAKELEMICGGSKSKVVVMFPAVFIGGELFGGLESVIAAHISGELVPVLKQAGALWL >ONI24176 pep chromosome:Prunus_persica_NCBIv2:G2:25417851:25418999:1 gene:PRUPE_2G228600 transcript:ONI24176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTVSLKLLIDTKGHKVLFAEAGKDFVDFLFTPLALPVGTVIRLLSKDNMVGSLGKLYDSLELLNDTYMLPNLNKDTLAKKVYMCRTYHLFIADDPRAICPACKYTLSTEVPYVAAPAIAEGSSGGGGGGGYVKDVVTYMIMDNLEVKPMSTISCIAMLNRFNVKEVGTLEERMVLLGMDEGLKLLKASLESNAVLTNVFFGKKEV >ONI22750 pep chromosome:Prunus_persica_NCBIv2:G2:20421371:20424666:-1 gene:PRUPE_2G148500 transcript:ONI22750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFYIIFTFILFFLFLTLSLFILRIFTGKSIRNPNYPPVKGTVLHQLLYFNRLYDYQTEVAKEHTTYRLLAPEHSAIYTTDTRNIEHVLKTNFGSYSKGEYNQAILSDVFGQGIFIVDGEKWKQQRKLASFEFSTRVLRDVSCSVFRRNAAKLVKVISEISVSRRVFDMQDLLMRCTLDSIFKVGFGIDLNCLEGSSKEGTAFMKAFDESTALTCFRYVDPFWKLKRFLNLGSEASLKKYVKVIDDFVHQVIRSKRKFLEEQKDVNDKEDILSRFLLESKKDPEEMNDKYLRDIILNFMIAGKDTSANTLSWFFYLLSKNPLIQEKIVQEVRDVVGNQVGEAKIDEFVENITDGTLEQMHYLHAALTETLRLYPAVPIDGRCAEVDDILPDGFRVRKGDIVNYTAYAMGRMPYIWGKDAEDFRPERWLSNGIFQPESPFKFVTFHAGPRICLGKDFAYRQMKIVAMALLCFFRFKLADETKAVTYRTMFTLHIDGSLPMLAVPRAT >ONI23385 pep chromosome:Prunus_persica_NCBIv2:G2:22707395:22709254:1 gene:PRUPE_2G186000 transcript:ONI23385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKLHVVEISSVFLFVFEHRNMKCTSCEGKYDGDAGACRQCYEDIKAKVAFLTISYEYPLTSFPDVVLYGSDDGHPDGPVKVMAHTVVLASRSPVFRAILENETKEIHIYELWPPQEFFAFVNYLYTAEICLDQQSARTLLVLAEKYQVHHLRDLCQKFLVSNLNCYNSLATYTLGHHHNDKQIIDAALTFITNNIEKLASSDEYAELKKSHPQLVIEIYERHFASL >ONI25597 pep chromosome:Prunus_persica_NCBIv2:G2:29398548:29400916:1 gene:PRUPE_2G310800 transcript:ONI25597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISDIQEDQNAPETKPSQASSSSKAASFTAKLDRSNPLGFVEKVFDFLAQESDFLKKSTAEKEVLSVVRAVREKEIKKVEEEKKKKRQEEEEEKTKKKAKEEAKAVEKKETPLEEGKKEKNGLRVPNKGNGLDLEKYSWTQSLQEVNVVIPVPPGTKSRSVVCEIKKNHLKFGMKGQPPIIDGELYQSIKPDDCYWSIEDQTAVSILLTKHNQMEWWKSLVKGDPEIDTQKVDPEPSKLSDLDSETRQTVEKMMFDQRQKQMGLPTSDELQKQDILKKFMSEHPEMDFSRAKIQ >ONI22069 pep chromosome:Prunus_persica_NCBIv2:G2:16175628:16176969:1 gene:PRUPE_2G104900 transcript:ONI22069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCAFSLAAHPIHKNSQPTNLFFSSPNSTFISQIQPLMKSPSEDIRVLPDLQNPYHSYQSPVFFFYYDNFFI >ONI23396 pep chromosome:Prunus_persica_NCBIv2:G2:22766393:22771358:1 gene:PRUPE_2G186700 transcript:ONI23396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSCFFLTWVFSFSCCRNIRAWISALSSSKRTKSGYQNMNSVGHKFVRFEDWHSDRSERSEHEASTSHGLNPRRARPSVNAVFRGLRRGLERGSDRIRSLKKPLSSTSVGNWSARESGSRRKILDPQGSFLQNWNKMVVFFIIPISRPVPRVTKDLLLIVIVAQYGPRLWRIYPLYEEVTRTSGFLTETAWAGAAYNLLLYMLASHVVGAFWYLFSVEREYSCWRKQCHGDDGCKKVLYCDPKYKADSSWIEIKKASCPFIDPDQIKNSTVFNFGIFTDALQSGVLETRDFPKKFLYCFWWGLRNLSSLGQNLKTSTFVWEIVFAVFISIFGLVLFSLLIGNMQKFLQSTTVRVEEMRVKRRDAEQWMSHRMLPENLRERIRCYDQYKWQETRGVDEETLVRNLPKDLRKDIKRHLCLALLNRVPMFEKMDEQLMDALCDRLKPVLYTDKSVITREGDPVDEMLFIMRGYLATMTTNGGRTGFFNTADLKAGDFCGEELLTWALDPNSSTNLPISTRTVEAKTEVEAFALMADDLKFVASQFRRLHSKQLQHTFRFYSLQWRTWSACFIQAAWRRHCKRKLEKSLREAEDRLQDALTREAGSSPSLLATVYASKFAANALRNLRQNGKRDTRPSPTLLPLLPQKPTEPDFTADHRD >ONI23394 pep chromosome:Prunus_persica_NCBIv2:G2:22766393:22771358:1 gene:PRUPE_2G186700 transcript:ONI23394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSCFFLTWVFSFSCCRNIRAWISALSSSKRTKSGYQNMNSVGHKFVRFEDWHSDRSERSEHEASTSHGLNPRRARPSVNAVFRGLRRGLERGSDRIRSLKKPLSSTSVGNWSARESGSRRKILDPQGSFLQNWNKVFVITCVIAVSLDPLFLYTAVVDGENKCVGVDERLQIITCVLRSFTDVFHILHIIFQFRTGFIAPSSRVFGRGELIDDPMAIAKRYLSSYFIIDILAILPLPQMVVFFIIPISRPVPRVTKDLLLIVIVAQYGPRLWRIYPLYEEVTRTSGFLTETAWAGAAYNLLLYMLASHVVGAFWYLFSVEREYSCWRKQCHGDDGCKKVLYCDPKYKADSSWIEIKKASCPFIDPDQIKNSTVFNFGIFTDALQSGVLETRDFPKKFLYCFWWGLRNLSSLGQNLKTSTFVWEIVFAVFISIFGLVLFSLLIGNMQKFLQSTTVRVEEMRVKRRDAEQWMSHRMLPENLRERIRCYDQYKWQETRGVDEETLVRNLPKDLRKDIKRHLCLALLNRVPMFEKMDEQLMDALCDRLKPVLYTDKSVITREGDPVDEMLFIMRGYLATMTTNGGRTGFFNTADLKAGDFCGEELLTWALDPNSSTNLPISTRTVEAKTEVEAFALMADDLKFVASQFRRLHSKQLQHTFRFYSLQWRTWSACFIQAAWRRHCKRKLEKSLREAEDRLQDALTREAGSSPSLLATVYASKFAANALRNLRQNGKRDTRPSPTLLPLLPQKPTEPDFTADHRD >ONI23395 pep chromosome:Prunus_persica_NCBIv2:G2:22766393:22771358:1 gene:PRUPE_2G186700 transcript:ONI23395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSCFFLTWVFSFSCCRNIRAWISALSSSKRTKSGYQNMNSVGHKFVRFEDWHSDRSERSEHEASTSHGLNPRRARPSVNAVFRGLRRGLERGSDRIRSLKKPLSSTSVGNWSARESGSRRKILDPQGSFLQNWNKFRTGFIAPSSRVFGRGELIDDPMAIAKRYLSSYFIIDILAILPLPQMVVFFIIPISRPVPRVTKDLLLIVIVAQYGPRLWRIYPLYEEVTRTSGFLTETAWAGAAYNLLLYMLASHVVGAFWYLFSVEREYSCWRKQCHGDDGCKKVLYCDPKYKADSSWIEIKKASCPFIDPDQIKNSTVFNFGIFTDALQSGVLETRDFPKKFLYCFWWGLRNLSSLGQNLKTSTFVWEIVFAVFISIFGLVLFSLLIGNMQKFLQSTTVRVEEMRVKRRDAEQWMSHRMLPENLRERIRCYDQYKWQETRGVDEETLVRNLPKDLRKDIKRHLCLALLNRVPMFEKMDEQLMDALCDRLKPVLYTDKSVITREGDPVDEMLFIMRGYLATMTTNGGRTGFFNTADLKAGDFCGEELLTWALDPNSSTNLPISTRTVEAKTEVEAFALMADDLKFVASQFRRLHSKQLQHTFRFYSLQWRTWSACFIQAAWRRHCKRKLEKSLREAEDRLQDALTREAGSSPSLLATVYASKFAANALRNLRQNGKRDTRPSPTLLPLLPQKPTEPDFTADHRD >ONI24727 pep chromosome:Prunus_persica_NCBIv2:G2:26939291:26941323:1 gene:PRUPE_2G258500 transcript:ONI24727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGKFLRFLLELGDCCKPTGGNSESLGPHGVSAATVGVSGLAHDLFNFETTSQVPEGLSQHVVSSKKAQANWYRKLVETWREAKPPPRTDEEAATLVIQTLKGHKKADVQGLLAFYGLPHPNTLVQVSAGVPTSLPQGVKFEFQTLPVDVKAIPDGDTVTVYVSTEDPRESSCVPSDVKTAAIQRSKARAKKNYTKADELHKKIIDAGYRVLNIQNQEILARKYRIRLRGIDSPESSMPYGKEAKQELVKLIEGKSLRVLVYGEDRYGRCVGDIYSNGIFAQEVMLKKGCAWHYTAYDQRSELARWEEEARLKRVGLWASSNPEKPWEWRKHRREAR >ONI21786 pep chromosome:Prunus_persica_NCBIv2:G2:14059600:14063520:1 gene:PRUPE_2G089000 transcript:ONI21786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIITSSLCLIFLAFSTVHGEPQDTCKNLKCGDKGPAVHFPFSLKGRHPDHCVFPGFVLSCNEKHETILELPIPFKFPVKSIDYKAQAIQLYDPESCLLVKLLKVFNKSISPFHFSKNYMTDLTLFNCSLAERQLDEQVPCFSGPGYQVYSVLSSYSIEVLPLLSCTKMYNLSSVPYYSNDPDNLYLEWSEPNCAQCEAKGKMCRLKNNGTKSEIECVDFSKAGTKRKWVATGASLGSFVVLLLVVAAYRVYSSDRKEKEDQLKIERFLDDYRALKPSRYSYADIKRITNDFKDKLGEGAYGTVYKGILSSELFVAVKVLNSSKGDGEEFITEVVTLGHIHHVNVIRLVGFCADGFRRALVYEFLPNGSLQDFISSPDNKNSFPGWDKMQDIALAIAKGIEYLQQGCDQRILHFDIKPYNILLDNNFTPKISDFGLAKLCSKDQSIVSMTTARGTMGYIAPEVFSRNFGNVSYSFGMLLLEMVGGRKNVGSATENTNEIYYPEWIYNLLEEGDDLRIHIGEEGEGKIPKKLAIVGLWCIRWHPGDRPSMKAVIQMLEGGESLTMPPNPFASTGAAGTNASAAARNLNIQLEAIPELE >ONI21784 pep chromosome:Prunus_persica_NCBIv2:G2:14059510:14063520:1 gene:PRUPE_2G089000 transcript:ONI21784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFFGSSFPATERFRYHFLFLQHRKIWLDMVKIITSSLCLIFLAFSTVHGEPQDTCKNLKCGDKGPAVHFPFSLKGRHPDHCVFPGFVLSCNEKHETILELPIPFKFPVKSIDYKAQAIQLYDPESCLLVKLLKVFNKSISPFHFSKNYMTDLTLFNCSLAERQLDEQVPCFSGPGYQVYSVLSSYSIEVLPLLSCTKMYNLSSVPYYSNDPDNLYLEWSEPNCAQCEAKGKMCRLKNNGTKSEIECVDFSKAGTKRKWVATGASLGSFVVLLLVVAAYRVYSSDRKEKEDQLKIERFLDDYRALKPSRYSYADIKRITNDFKDKLGEGAYGTVYKGILSSELFVAVKVLNSSKGDGEEFITEVVTLGHIHHVNVIRLVGFCADGFRRALVYEFLPNGSLQDFISSPDNKNSFPGWDKMQDIALAIAKGIEYLQQGCDQRILHFDIKPYNILLDNNFTPKISDFGLAKLCSKDQSIVSMTTARGTMGYIAPEVFSRNFGNVSYSFGMLLLEMVGGRKNVGSATENTNEIYYPEWIYNLLEEGDDLRIHIGEEGEGKIPKKLAIVGLWCIRWHPGDRPSMKAVIQMLEGGESLTMPPNPFASTGAAGTNASAAARNLNIQLEAIPELE >ONI21785 pep chromosome:Prunus_persica_NCBIv2:G2:14059510:14063520:1 gene:PRUPE_2G089000 transcript:ONI21785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIITSSLCLIFLAFSTVHGEPQDTCKNLKCGDKGPAVHFPFSLKGRHPDHCVFPGFVLSCNEKHETILELPIPFKFPVKSIDYKAQAIQLYDPESCLLVKLLKVFNKSISPFHFSKNYMTDLTLFNCSLAERQLDEQVPCFSGPGYQVYSVLSSYSIEVLPLLSCTKMYNLSSVPYYSNDPDNLYLEWSEPNCAQCEAKGKMCRLKNNGTKSEIECVDFSKAGTKRKWVATGASLGSFVVLLLVVAAYRVYSSDRKEKEDQLKIERFLDDYRALKPSRYSYADIKRITNDFKDKLGEGAYGTVYKGILSSELFVAVKVLNSSKGDGEEFITEVVTLGHIHHVNVIRLVGFCADGFRRALVYEFLPNGSLQDFISSPDNKNSFPGWDKMQDIALAIAKGIEYLQQGCDQRILHFDIKPYNILLDNNFTPKISDFGLAKLCSKDQSIVSMTTARGTMGYIAPEVFSRNFGNVSYSFGMLLLEMVGGRKNVGSATENTNEIYYPEWIYNLLEEGDDLRIHIGEEGEGKIPKKLAIVGLWCIRWHPGDRPSMKAVIQMLEGGESLTMPPNPFASTGAAGTNASAAARNLNIQLEAIPELE >ONI22919 pep chromosome:Prunus_persica_NCBIv2:G2:21171250:21173918:-1 gene:PRUPE_2G159100 transcript:ONI22919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVFCHTYCRVHRYTSPEVLLGEENYTTAMDIWSVGCVFVLLVSKEAKLSIYVNYAKEAKLSIYAPGTSFILPLIIHLEKRLAGLLFFWILIAIRLGRKLASYQGGPDLALELEESFWVRALGHCRWIRVRIAVAWREALTQ >ONI25398 pep chromosome:Prunus_persica_NCBIv2:G2:28894175:28894333:-1 gene:PRUPE_2G300500 transcript:ONI25398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCKGCGKLGRMMPRGGSVSAYQFSLLLSPVVSVWDCIVRKMRYSYRPEWV >ONI20205 pep chromosome:Prunus_persica_NCBIv2:G2:343663:346932:-1 gene:PRUPE_2G003100 transcript:ONI20205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKCHNILTYMIECSKQIIGCPYKFPRYELKTETKTKKIPTNTYDPIPWQRKESQMPNCFSSSLVEALTNEEEVELRSKIEALGLEVTKLPSKSTHHLDELEIAKELDKLSAKLDDVDEMISSAMASDPQVKSLLSGTADVWMPVITANADERRNFTSSIADDSPEAKGKSFN >ONI20206 pep chromosome:Prunus_persica_NCBIv2:G2:343983:346670:-1 gene:PRUPE_2G003100 transcript:ONI20206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGKPDAQLFQLLSGILQQVEALTNEEEVELRSKIEALGLEVTKLPSKSTHHLDELEIAKELDKLSAKLDDVDEMISSAMASDPQVKSLLSGTADVWMPVITANADERRNFTSSIADDSPEAKGKSFN >ONI24902 pep chromosome:Prunus_persica_NCBIv2:G2:27379146:27380922:-1 gene:PRUPE_2G268500 transcript:ONI24902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNAALTMTLILFTATIAMADDHTPVPADQSQVNTWFNNNVKPYTARRGTLDPTLAKAEASQKVIKVMKNGSGQFKTITDAVNSIPAGNTKRVIVYIGGGEYNEKITIPRNKPFVTFYGSPTNMPTLTFAGTSQKYGTVNSATVIAESDYFVAANVIIKNSSPRPDGKRVGAQAVALRVSGNKSALYNCKLIGFQDTLCDDRGNHLFKDCFIEGTVDFIWGSGKSLYLNTELHVLGDNGLTVITAQARDSASEDTGYSFVHCKITGTGSGTYLGRAWRTNPMVVYAYTSMTKVINPAGWSDNNHPERDSTVFYGEYKCSGPGSSAVGREKYTKHLTDEQIKPFLSLGYIQGSKWLLPPPNPKV >ONI22912 pep chromosome:Prunus_persica_NCBIv2:G2:21148848:21149637:1 gene:PRUPE_2G158600 transcript:ONI22912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACSVPLEAVFIEGNLPPTPYNVVNQEKEYHGEIKVGLTFTPEGGSHGSGDYGGGSESYGGRKGSSHKGEESYGGGGGGGGAYGGGGGDGYGGGGSGGYGGGRGSGYGGGGSDDYGGGGVYGGGRGGGYGGGGDGGYGGGGDGGYGGGGSGGGGSYGGGGGGSGYGGGGSSYGSGGGDGYGDGGSSGYGSGRGRGYGGGGGRKESSEAEESYGGWNESSHRG >ONI21906 pep chromosome:Prunus_persica_NCBIv2:G2:15330833:15331354:-1 gene:PRUPE_2G098000 transcript:ONI21906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRKGDARISLISAVFFFCIIAGGVFLFLYMLLPEEKSHPWYPLVGMVLVAIPWAFWLFTCVYRCCKPEGTQTADSDHYAKAGSSRAATIPNTSGVFTAGNASAAESPVHSPDGERKVQFAGVVVMGDEDQGGRGGQKGNENHHQTIDMENHNGINDSVGSADSEMPLRLMV >ONI25291 pep chromosome:Prunus_persica_NCBIv2:G2:28599491:28601893:-1 gene:PRUPE_2G294100 transcript:ONI25291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVPAIPFTFVAHTLAIAALVLVLVWNIHFRGGFAWEATNKNLIFNLHPLLMLLGLIILGGEAIISYKSLPLKKQVKKLIHLVLHALALALGIIGIYAAFKNHNESGIANLYSLHSWIGIGVIVLYGIQWIYGFLIFFYPGGSSTLRSECMPWHVVFGLLVYVLAVANASLGFLEKLTFLESSGVAKFGSEAFLVNFTALTTILFGVFVVISVLSEAPAPIEDDNSYSSI >ONI24718 pep chromosome:Prunus_persica_NCBIv2:G2:26917133:26918992:1 gene:PRUPE_2G257800 transcript:ONI24718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCGFPDIFSWIQSLPPVTQWPTTSMSISICSSSSSQPSLKLTISQNHQTQKLSFAIAADFNLPIFLWTSKQFKFSPQSIKLISQETFSSLLINFIEDVLRYGSNNNNFLIKFLKLDSIANFGDVFNLSFVTLLFLVCIYEAPADLRSGCLNSLKNYLANCWSRQTSKSLMKVLGSNLEEEWMRSINLAITNWIVELQATQRTLVKTPSPLFSYAFSTFGLWKVQLYCPLIAMDIANSSSPSVDERLQFSLNYHQLEGVLQFNSKVIVQERWIDVMLNIDNIRCDVISLVNERLMNERGAGVAEKHFPSRISLQITPTVQTNVVSVSVGKSSENPTREIGHEKGIEGSFEPPNPYLALKVSAGETITMSLKPWKFEESVYGYSAKLNWFLHDSVDGREVFSSKPSKFALINPKAWFKDRYSSAYRPFTRQGGVIFAGDEYGEGVWWKVDKAAMGRTMDWEIRGWIWLTYWPNKHKTFYTETRRLEFREILTLTIA >ONI23343 pep chromosome:Prunus_persica_NCBIv2:G2:22535010:22538387:1 gene:PRUPE_2G183100 transcript:ONI23343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >ONI24442 pep chromosome:Prunus_persica_NCBIv2:G2:26024415:26027445:1 gene:PRUPE_2G240400 transcript:ONI24442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPNLLLTAVLLLTCAWCVLCIQRCLDCGNTSVPYPLSTAPTCGDQSYKIRCDAGSLVFDTLNNSYPITSISPSSQRLVVQPSSFVTNTCVTSDITHQGIQLNDSLPFNVTSSNTILYLNCTDTLLRSPLNCTSSSLCHSYVNGSSDRAVGPCEAAPLCCTFRAGGSSTSYMIRVKDSGCSAYTSFVNLDPVLPVDRWPEPGVEIQWVAPREPVCGTQADCESDGGKSTCGPDPAVAGVRRCFCDSGLVWDPVAGLCVDDSVGSDDNIALIAGLTSGIGASLVAATIAILLYKRHRRIKEAQARLTKEREEILNANGGRAAKVFTGKEIKRATNGFARDRLLGAGGYGEVYKGFLEDGTVVAVKIAKLGNTKGTDQVLNEVRILCQVNHKSLVHLLGCCVELEQPIMVYEYIENGTLLEHLQARKAGGWKHLSWTQRLEIAHDTAEGLAYLHFSAVPPIYHRDVKSSNILLDEKLNAKVADFGLSRLAQTDLSHISTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSQKAIDFTREPDDVNLAVYTQRMMAEERLMDVIDPVLKEGAKTLELDTMKALGFLALGCLEERRQNRPSMKEVVEEIEYIASIATAKPKAVEI >ONI20709 pep chromosome:Prunus_persica_NCBIv2:G2:3213312:3214982:-1 gene:PRUPE_2G030200 transcript:ONI20709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLINLRHLNNSNVPSLEEMPPQLSQLTNLQALPNLVVGKDSESMVTEIGDPLFTIVVLVRLENCSKMSGVESVGLEFYGGGSLSFPLLETLEFEDMQHWKEWYSCEGDEGIRVFLHLKTLSINRCPRLEGKLPENLDSLTNLMVHDCDQLVKELLAGNVTSSWPSEDRLLPYLISLRSLLIQSNSQILQLHHLTSLQSLHIYKCPSLLYIPEASFPPYVEEIKIELCISMMYFARSHLPTRASYPDHGNTRFEAIIIERCANLKSLPEGLCHLANLRYLLVDYCTSHVSFPRGGLPTRSSNLTFISIAGCDKLKALPKGMDKDNLNSLQTLTKGMCV >ONI22312 pep chromosome:Prunus_persica_NCBIv2:G2:17820337:17823357:-1 gene:PRUPE_2G120800 transcript:ONI22312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVVRRHTNRPERSVYARPAGGPSKTVCAFWAAGRCTREFCRFLHSDAPPSSMNPQISKKSSLVWTKEGTATGKGNACNAPQKSNAPQKSNAPQKINAPHESNAPQKSNAAQKSNPTVKNVCKFWVDGNCVKGDRCLYLHNWFRGEGFSMLAKLQGHTKAVTGIALPERTNKLYSTSKDGTARVWDCHTGECGSVIDLGGEAGSLISEGPWVFAGVPNLVKVWNTETNSEFNLDGPVGQVHAMVVGNEMLFAGTQNGDICVWKGSTETNPPFYPAASLKGHTGAVVCLTVGRNRLYSGSVDHTIKVWDLYNLQGVLTLNGHSGAVMSLLCWDQFLLSCSLDDTIKVWAATEGGGLEVTYTHNEEQGVLDLAGMTDAESKPILLSSCNDNSVRIYELPSFTERGRLFAKQEVRTVEVGPGGLFFSGDATGLFSVWKWMDAPAVKVESS >ONI22313 pep chromosome:Prunus_persica_NCBIv2:G2:17820642:17822458:-1 gene:PRUPE_2G120800 transcript:ONI22313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVVRRHTNRPERSVYARPAGGPSKTVCAFWAAGRCTREFCRFLHSDAPPSSMNPQISKKSSLVWTKEGTATGKGNACNAPQKSNAPQKSNAPQKINAPHESNAPQKSNAAQKSNPTVKNVCKFWVDGNCVKGDRCLYLHNWFRGEGFSMLAKLQGHTKAVTGIALPERTNKLYSTSKDGTARVWDCHTGECGSVIDLGGEAGSLISEGPWVFAGVPNLVKVWNTETNSEFNLDGPVGQVHAMVVGNEMLFAGTQNGDICVWKGSTETNPPFYPAASLKGHTGAVVCLTVGRNRLYSGSVDHTIKVWDLYNLQGVLTLNGHSGAVMSLLCWDQFLLSCSLDDTIKVWAATEGGGLEVTYTHNEEQGVLDLAGMTDAESKPILLSSCNDNSVRIYELPSFTERGRLFAKQEVRTVEVGPGGLFFSGDATGLFSVWKWMDAPAVKVESS >ONI22014 pep chromosome:Prunus_persica_NCBIv2:G2:15756656:15758095:1 gene:PRUPE_2G101800 transcript:ONI22014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDVREIFVVSAAGQGHLQPCTQLCNHLNSRNYQTTLVVPSSPAIPSSSFTRQNPLAQILHLTASPSPPRPGPNPLRHKAAQELHDHLADLSNIPDMPLPLCAIVDFQMGWTKEVFWKFHVPVIGFFTFGAYAAAMEWGAWKVRAGDIRPDELRLIPGLPDHMALTISDIKRRPTGPPRGGANQPPGGGGGGGPPKPGDRPPWVPEIEGSVALMFNTCDFLERPFIEYMKTQMGMPVWGVGPLLPETYWKPSDSLLLPDRPMKPHHCRQSNYTEDDVARWLDSKPRGSVLYVAFGSEVGPTVEEYPHLASALEESTRPFIWVIQSGSGDGYYPEGLNSKAGERGLIICGWAPQVLILSHRSTGGFLSHCGWNSTVEAIGRGVPILGWPIRGDQFYNAKLVAKHLKVGYGVCEDFTDMVKKEDIVEGIEKLMGDEGVKRQAMETGKRLEDGFPASSVASLDVFVDFIRPKTSSEVYRIV >ONI21919 pep chromosome:Prunus_persica_NCBIv2:G2:15426604:15430572:-1 gene:PRUPE_2G098600 transcript:ONI21919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTESSSRSSPIKTVVVLVQENRSFDHMLGWMKSLNPEINGVTGSESNPISTSDPNSALVFFGDNSAYVDPDPGHSIQAVYEQVFGEPWTEASATKTLAPTMKGFAQNAEKTQTGLAETVMNGFRPENVAVHRELVKEFAVCDQWFASVPAATQPNRQYIHSATSHGLTGNDTKTLVEGLPQKTIFQSLDEEDFSFGIYFQTFPSTLLYRNLRKLKYIKNFHQFDLQFKKHCEEGKLPNYVVVEQRFFDVLSFPANDDHPSHDVSEGQKFIKEVYEALRASPQWNEMLFVIIYDEHGGFYDHVPTPVTDVPSPDDIAGPEPYNFKFDRLGVRVPAILISPWIERGTVLHGPSGPYPSSEFEHSSIPATVKKIFNLKEFLTKRDAWAGTFEGVLSRSTPRTDCPVTLPEPVKLRETTSKDGAKMSDFQEEVVQLAAAINGDHRKDIYPNKLVEKMSVSKAVSYVEASFKKFSDECEKARESGADEYEIVVCATSLGSPSPKSFAKKMFSCLVCDH >ONI23914 pep chromosome:Prunus_persica_NCBIv2:G2:24657783:24663731:-1 gene:PRUPE_2G216000 transcript:ONI23914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANQLPEVDSLPDGFVESPPEPLAPPTPTFEQEKPLDNREDDIASDIDRSKEPVEELAADEFQTSQVESVQVPKRASTEQTEEGGTLVMPDSADSVSETSVGVPECSEVKEQVEARCQSSERPTEGGSDSSAANVKETSSLESVETLKSKKTESTETKRKSAKRTFKSEKEFIEFTLKYQQVLAERDSAISVRDKLESLCRELQRQNKVLMDECKRVSTEGQNLRLDLSVKFQDAIKDVSNKLDEQKEECISQLKENEMLRTKLQQLTYQYALSEQQYEQKLKQKSLELQIADLKIKQHEEKLIQEQSQMKLYAEQVSQLLSTEKNLRLQLTADGEKFQQFQDALLKSNEVFETFKQEIEKMAKSIKELKKENLFLKSKCEKTDVTLIELVDERERLKKQLEKTKNQKEKLESLCRSLQAERKQNSIGSNNSDSVPS >ONI23917 pep chromosome:Prunus_persica_NCBIv2:G2:24657870:24663526:-1 gene:PRUPE_2G216000 transcript:ONI23917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANQLPEVDSLPDGFVESPPEPLAPPTPTFEQEKPLDNREDDIASDIDRSKEPVEELAADEFQTSQGRTEKTQKLRTFPVPLSETDSSDVSVESVQVPKRASTEQTEEGGTLVMPDSADSVSETSVGVPECSEVKEQVEARCQSSERPTEGGSDSSAANVKETSSLESVETLKSKKTESTETKRKSAKRTFKSEKEFIEFTLKYQQVLAERDSAISVRDKLESLCRELQRQNKVLMDECKRVSTEGQNLRLDLSVKFQDAIKDVSNKLDEQKEECISQLKENEMLRTKLQQLTYQYALSEQQYEQKLKQKSLELQIADLKIKQHEEKLIQEQSQMKLYAEQVSQLLSTEKNLRLQLTADGEKFQQFQDALLKSNEVFETFKQEIEKMAKSIKELKKENLFLKSKCEKTDVTLIELVDERERLKKQLEKTKNQKEKLESLCRSLQAERKQNSIGSNNSDSVPS >ONI23916 pep chromosome:Prunus_persica_NCBIv2:G2:24658040:24662967:-1 gene:PRUPE_2G216000 transcript:ONI23916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANQLPEVDSLPDGFVESPPEPLAPPTPTFEQEKPLDNREDDIASDIDRSKEPVEELAADEFQTSQVESVQVPKRASTEQTEEGGTLVMPDSADSVSETSVGVPECSEVKEQVEARCQSSERPTEGGSDSSAANVKETSSLESVETLKSKKTESTETKRKSAKRTFKSEKEFIEFTLKYQQVLAERDSAISVRDKLESLCRELQRQNKVLMDECKRVSTEGQNLRLDLSVKFQDAIKDVSNKLDEQKEECISQLKENEMLRTKLQQLTYQYALSEQQYEQKLKQKSLELQIADLKIKQHEEKLIQEQSQMKLYAEQVSQLLSTEKNLRLQLTADGEKFQQFQDALLKSNEVFETFKQEIEKMAKSIKELKKENLFLKSKCEKTDVTLIELVDERERLKKQLEKTKNQKEKLESLCRSLQAERKQNSIGSNNSDSVPS >ONI23915 pep chromosome:Prunus_persica_NCBIv2:G2:24657783:24663227:-1 gene:PRUPE_2G216000 transcript:ONI23915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANQLPEVDSLPDGFVESPPEPLAPPTPTFEQEKPLDNREDDIASDIDRSKEPVEELAADEFQTSQVESVQVPKRASTEQTEEGGTLVMPDSADSVSETSVGVPECSEVKEQVEARCQSSERPTEGGSDSSAANVKETSSLESVETLKSKKTESTETKRKSAKRTFKSEKEFIEFTLKYQQVLAERDSAISVRDKLESLCRELQRQNKVLMDECKRVSTEGQNLRLDLSVKFQDAIKDVSNKLDEQKEECISQLKENEMLRTKLQQLTYQYALSEQQYEQKLKQKSLELQIADLKIKQHEEKLIQEQSQMKLYAEQVSQLLSTEKNLRLQLTADGEKFQQFQDALLKSNEVFETFKQEIEKMAKSIKELKKENLFLKSKCEKTDVTLIELVDERERLKKQLEKTKNQKEKLESLCRSLQAERKQNSIGSNNSDSVPS >ONI23913 pep chromosome:Prunus_persica_NCBIv2:G2:24657783:24663637:-1 gene:PRUPE_2G216000 transcript:ONI23913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANQLPEVDSLPDGFVESPPEPLAPPTPTFEQEKPLDNREDDIASDIDRSKEPVEELAADEFQTSQVQVPKRASTEQTEEGGTLVMPDSADSVSETSVGVPECSEVKEQVEARCQSSERPTEGGSDSSAANVKETSSLESVETLKSKKTESTETKRKSAKRTFKSEKEFIEFTLKYQQVLAERDSAISVRDKLESLCRELQRQNKVLMDECKRVSTEGQNLRLDLSVKFQDAIKDVSNKLDEQKEECISQLKENEMLRTKLQQLTYQYALSEQQYEQKLKQKSLELQIADLKIKQHEEKLIQEQSQMKLYAEQVSQLLSTEKNLRLQLTADGEKFQQFQDALLKSNEVFETFKQEIEKMAKSIKELKKENLFLKSKCEKTDVTLIELVDERERLKKQLEKTKNQKEKLESLCRSLQAERKQNSIGSNNSDSVPS >ONI23918 pep chromosome:Prunus_persica_NCBIv2:G2:24657783:24663526:-1 gene:PRUPE_2G216000 transcript:ONI23918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEANQLPEVDSLPDGFVESPPEPLAPPTPTFEQEKPLDNREDDIASDIDRSKEPVEELAADEFQTSQGRTEKTQKLRTFPVPLSETDSSDVSVESVQVPKRASTEQTEEGGTLVMPDSADSVSETSVGVPECSEVKEQVEARCQSSERPTEGGSDSSAANVKETSSLESVETLKSKKTESTETKRKSAKRTFKSEKEFIEFTLKYQQVLAERDSAISVRDKLESLCRELQRQNKVLMDECKRVSTEGQNLRLDLSVKFQDAIKDVSNKLDEQKEECISQLKENEMLRTKLQQLTYQYALSEQQYEQKLKQKSLELQIADLKIKQHEEKLIQEQSQMKLYAEQVSQLLSTEKNLRLQLTADGEKFQQFQDALLKSNEVFETFKQEIEKMAKSIKELKKENLFLKSKCEKTDVTLIELVDERERLKKQLEKTKNQKEKLESLCRSLQAERKQNSIGSNNSDSVPS >ONI23741 pep chromosome:Prunus_persica_NCBIv2:G2:24002569:24004272:-1 gene:PRUPE_2G205400 transcript:ONI23741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASSDNLDSAVNIFHRVNNPSTLLYNSIIRAYTLYGYSEKTMEIYGQMHRLGLKGDNFTYPFVLKCCANLSSIWLGKCVHSLSLRIGLASDMYVGTSLIDMYVKCGEMSDARSSFDKMTVRDVSSWNALIAGYMKDGEICFAEDLFRRMPCKNIVSWTAMISGYTQNGLAEQALVLFDEMLRKDSEVKPNWVTIMSVLPACAHSAALERGRQIHNFASRTGLDSNTSIQTALLAMYAKCGSLSDARQCFERVHQTENSLVAWNTMITAYASHGRGSEAVSTFEDMIGAGLQPDNITFTGLLSGCSHSGLVDGGLKYFNCMKTIYSIEPRVEHYACVVDLLGRAGRLVEAIDLVSKMPMQAGPSIWGALLSACRKHHNLEIAEIAARKLFILEPDNSGNYVLLSNIYADAGMWKEVDDLRALLKSQGMKKNPGCSWIEVNGKAHLFLGGDTCHPQAKEIYEVLLEELPNKIKAAGYVPDTSFVLHDVSEEEKEHNLTTHSEKLAIAFGLLNASPGVVLRVTKNLRICGDCHTATKLISRIYEREIIVRDLNRFHHFRDGCCSCGDYW >ONI25612 pep chromosome:Prunus_persica_NCBIv2:G2:29441574:29446714:-1 gene:PRUPE_2G311500 transcript:ONI25612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRAGHEEVERLERLIVKDFQNEPPSSKDRLYQGHRVRHMIDTISSTTERLIEIYEDKDNARKDEIAALGGQTATGINVFSAFYDRLKEIREYHRKHPAARVVDANEEEEALLKEEPQIEFSGEEAFGRYLDLHELYNQYINSKFGEPIEYSAYLDVFSQPQKIPRKLKLKLSRQYSEYMENLLAYLMYFFQRTEPLQDLDRIFSKVETEFEEQWADGKVKEWENEKQENGHLQDQLTLIDLDFYTTVEELTEVGPEKLKEALASLGLKTGGTVQQRAERLFLTKDTPLEKLDRKHFAKGSRGSVQNGAAAASQEVDNSKEIALLEAKVKKLCDLLNEAIERTKDNIVKKQALTYEEIEAEREEEETQADTESDDDEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEYKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKELWKRIQARQGVNKWRPDLEEEYEDREGNIYNKKTYTDLQRQGLI >ONI25469 pep chromosome:Prunus_persica_NCBIv2:G2:29092534:29096506:1 gene:PRUPE_2G305000 transcript:ONI25469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVVAMRAIQSSILCPSSGSVQGRTEKLKPPSFASKVLAREEKKKSWKAFGNKRFEVTAKRPLQTEVVPVSPEDTPKIEDQFQKFRAIQQHDDTSVGMWSKPVVKRKTKIVCTIGPSTNTREMIWKLAEAGMNVARLNMSHGDHASHKKVIDLVKEYNEQSKDNVIAIMLDTKGPEVRSGDLPQPINLESGQEFTFTIRRGVGTADCVSVNYDDFVNDVEPGDMLLVDGGMMSFLVKSKTEESVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLQSSGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATILGGELPANLGKAFKNHMSEMFAYHATIMSNTLGTSIVVFTRTGFMAILLSHYRPSGTVFAFTNDKRIQQRLALYQGVCPIYMEFTDDAETSFSNALTVLQKQGMVKEGEEVALVQSGRQPIWRIQSTHNIQVRKV >ONI20940 pep chromosome:Prunus_persica_NCBIv2:G2:4630502:4633110:1 gene:PRUPE_2G042300 transcript:ONI20940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLKFPITTFSLCSQSNLALKTQSLLLLMFSKFNSTSSLTQVAANHPIVLWQGCCFLFICSLLCAGKLLEIIFSLNLLRMRWWVWPRRRFFRWW >ONI22497 pep chromosome:Prunus_persica_NCBIv2:G2:19106502:19109702:1 gene:PRUPE_2G133100 transcript:ONI22497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEENSEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTIIRKEVYVTPAVVRECRRIISESEILKEDDVNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >ONI21916 pep chromosome:Prunus_persica_NCBIv2:G2:15372972:15375347:-1 gene:PRUPE_2G098300 transcript:ONI21916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSPIWSYLPKGSKEILDLSYNHLYGELPLSLPSNKIRTVDLSSNHFFGAIPSSFFQQARNLISFNVSNNTFTGYVPSSICLHYSPFIRLLDFSSNQFSGNLALRLGECSKLQVFRAGHNNLSGLLPEDIYNATKLEEISLPINSIRGAISDKIVNLTNLKILDLSHNQLSGELPLNLGKLSKLKFLTVDFNNLEGTIPTSLMNCTNLVELCLGINNLEGDISMLNFSRLSQLTKLDLRYNNFTGMFPVSLYSCRYLKAIALTRNHLEGQIQTEILSLKSLSFLTLGYNQFTNLTGTMKILMSCKSLHTLSLDGSFVDEGMPFDDGMVDFDGFQNLRVLNMAGTNLTGEIPVWLSKLKNLEILILAFNQITGPIPSWLGNLPRLFFINLSNNRISGEFPKQLCRLPRLVYEPIASQVDRYEFELNVFGSITTNLNFQPSKLSCFPATIDLSNNNIVGDIPAEIGQLHLLRQLALYSNNFSGVIPDQISNLQNLEVLDLSMNHFSGRIPSSLASLTFLRKFNVSYNNLGGPIPTSTQIQTFTTSAFEGNLKLCGAPLPNKCGSNTGIDEDDTNSKDLDNEPRQLPWFYIFTALGFVVGFWGVCGSLVVNKTWRYVYFRFIDNVQDRLYVMVTMLINTMKRRLRG >ONI24138 pep chromosome:Prunus_persica_NCBIv2:G2:25269543:25271598:-1 gene:PRUPE_2G226000 transcript:ONI24138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFMAMCLLPLFCACLYLCKLVLQWRNQQCYLLAYECYKATDDRKLDTESCVKIIQRNKNLGLEEYRFLLKTIVNSGLGEETYGPRNVIAGREDCPSLEDALWEMDDIFSVTLDKLFDRTGISPSKIDILVVNVSLFSPAPSLTSRIINHYKMKKDIKAFNLSGMGCSGSLVAIDLVQNLFKTYKNVHAVVVSTESLGPNWYRGKVKPMILANCLYRSGGCSMLFTNNKALKHRALLKLECIVRTHHGSSDEGYGCSIQVEDKHGFRGFNLSKSIAKVAAKALTMNLRVLVRKVLPLTELLRYVIMSRRQNKVAEHRRGVGADGTGLNLKAGLEHFCIPPTEGAVVNGVGNSLGLNDYDLEPARMALHRFGNTSSGGLWYVLGYMEAKKRLKKRDKILMVSFGAGYECNNCVWVVLRDLHDANVWKDCIERYPPTTLVNPFMDRLSWINDERLSFVTFEEVMNAVRVGGVGPG >ONI23033 pep chromosome:Prunus_persica_NCBIv2:G2:21485843:21486406:-1 gene:PRUPE_2G165600 transcript:ONI23033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQIFSGAFLSILIILASNWSIEAQTCKPSGKIRGTKPPKGQCNKDNQSDCCEKGKFYTTYTCSPRVSKRTKATLTINSFQKGGDGGAPSECDNKYHSDSTRVVALSTGWFNKRSRCLDDIIIHGNGRSVKAKVVDECDSTVGCDADHDYQPPCPNNIVDASKAVWKALGVPESDWGELDIFWSDA >ONI22816 pep chromosome:Prunus_persica_NCBIv2:G2:20735157:20740639:1 gene:PRUPE_2G152900 transcript:ONI22816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPRQIPEDRGSSPHFRHTPVQIIHIIGNFLRIWSIYSLYRYLSETGASVVLFLFTCLIPASILFLILQKPWKGRPLSNTQVVPSIINGGITALYFVLWGKGLKSCGPLRAILAEYSGAVLGVLSGVLYGRKGHIWKKVGGLIAMVASFYFLSQGWAMESYSPFSFKDSLDDEVQTEQLLGIKEMVLPIFAGILSALRRVIARRVSLKNQLKRRLNAITIASATCFLFPVAMWDMIIGSPSSTSDKVPFSTWAFLSTILFGVILIFYVDSIAEERLHMVFSSPRHLMVAGGCIIVMEIVYNMDFSLPGFLICCLILGFGIYEATSLERGRKDSFQTSDLSNGMLGDETQMSSLPT >ONI20715 pep chromosome:Prunus_persica_NCBIv2:G2:3231889:3238760:-1 gene:PRUPE_2G030400 transcript:ONI20715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRKIRLTKEYLYRKGLEGKQRLLYEKKRKIREALEEGKPIPTELRNEEAALREEIDLEDENTAVPRSHIDDEYANASQRDPKILLTTSRDPSAPLIQFAKELKLVFPNAQQMNRGGQVISEIIETCRAHDFTDVVLVHEHRGVPDGLIISHLPFGPTAYFQLLNVVTRHDIKDKKTMGTMPQVYPHLILNNFTTKLGERTANILKHLFPVPKQDTKRIITFANQSDYISFRHHTYEKHGGPKSVELKEVGPRFELRLFKIKLGTVDQNEAQTEWVIRPYMNTAKKQKFMGD >ONI20716 pep chromosome:Prunus_persica_NCBIv2:G2:3234267:3238773:-1 gene:PRUPE_2G030400 transcript:ONI20716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRKIRLTKEYLYRKGLEGKQRLLYEKKRKIREALEEGKPIPTELRNEEAALREEIDLEDENTAVPRSHIDDEYANASQRDPKILLTTSRDPSAPLIQFAKELKLVFPNAQQMNRGGQVISEIIETCRAHDFTDVVLVHEHRGVPDGLIISHLPFGPTAYFQLLNVVTRHDIKDKKTMGTMPQVYPHLILNNFTTKLGERTANILKHLFPVPKQDTKRIITFANQSDYISFRHHTYEKHGGPKSVELKEVGPRFELRLFKIKLGTVDQNEAQTEWVIRPYMNTAKKQKFMGD >ONI20717 pep chromosome:Prunus_persica_NCBIv2:G2:3234353:3238760:-1 gene:PRUPE_2G030400 transcript:ONI20717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRKIRLTKEYLYRKGLEGKQRLLYEKKRKIREALEEGKPIPTELRNEEAALREEIDLEDENTAVPRSHIDDEYANASQRDPKILLTTSRDPSAPLIQFAKELKLVFPNAQQMNRGGQVISEIIETCRAHDFTDVVLVHEHRGVPDGLIISHLPFGPTAYFQLLNVVTRHDIKDKKTMGTMPQVYPHLILNNFTTKLGERTANILKHLFPVPKQDTKRIITFANQSDYISFSLKNIQASYL >ONI21481 pep chromosome:Prunus_persica_NCBIv2:G2:9888504:9891249:-1 gene:PRUPE_2G069200 transcript:ONI21481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSSHNFVPPSAGFDKSRILDVKPLRSLMPVFPAASQAPPFPPSPMRIPTGPMAAPIRSYRAPAPSGALPHDFPEESNGERGSSLGGAEDDDGYFDAHLVNFVSVMSPFQVEDGNRELVNYVLVNFDALRRRICQIEDAKESKNGIIKRADLKAGNILMTKKVRTNMRRRIGVVPGVEIGDVFYFRMEMCAVGLHAPVTEKDPVALSIVSSGGYDDDTEDSDVLIYSGQGGNNNNKDKQVADQKLGRGNLALERSLNHGNEVRVIRGVRDEVNSTTKVYVYDGLYKVHESWTERGKSGCNIFKYKLVRVPGQPGAFAVWKTIRKWKDGFSSRAGLVLQDLTSGTESIPVSLVNEVDNEKALASFTYFPKLKYSKSFILMPPSFGCNCHNACLPGDMNCSCIQKNGGSFPYTGNGILVSRKQLLHECGPTCPCSPNCKNRVSQTGVKLRLEVFKTKDRGWGLWSWDSIRAGSFICEYAGEVIDEVKRNYDSFKWNYEPGLLEEETSNDINEDYNIPYRLIISAKNVGNVSRFINHSCSPNVFWQPVLYEHNNQSSLHIAFFAIRHIPPMTELAYDYGNSSSSEADNDKGPHRKNKCLCGLFPHGSSKCRGYFG >ONI24498 pep chromosome:Prunus_persica_NCBIv2:G2:26202573:26205340:-1 gene:PRUPE_2G243900 transcript:ONI24498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCPNLDREDGLETVLEVPIPEEMFDTDNNKNNNTISWHNMKSWMKLSNTDRSQINTVFGSKNAEVQLLLGVIGAPLIPLPIPKDHQPITRTIKDQPIEASMAKYIVKQYIAAVGGEKALNSVESMYAMGKVKMAASEFSTGERSLNSNNNKVKVKSLLRSTGGGGEMGGFVLWQKRPELWCLELVVSGCKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRLLQGLDPRSTANLFSNAVCIGEKAINDEDCFILKLEADPSTLRARSSNNVEIIRHSVWGYFSQRTGLLVQLEDSHLLKIKASSGTDSGIFWETTMESYVRDYRTVDGINIAHGGSTSVSLFRFGESSETHSRTRMEEVWEIEEVDFNIKGLSMDCFLPPGDLKKEEEEAKCGANAMVISAHSKMPFKIRSASWRISASKVVAFDDDVEYDPDNSESSSDQEL >ONI21200 pep chromosome:Prunus_persica_NCBIv2:G2:6104211:6108202:1 gene:PRUPE_2G052700 transcript:ONI21200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLSLMTESSVGRMEEEEEDLVSGTFTWRIDNFSTLNKQKHYSDVFVIGGYKWQILIYPKGNNVVDYLSMFLDVADASTLPSGSTRYAKFSLTLVNQLDSKKSITKDVEHEFVANHNGWAWGFKSFVLLSELCDHGKGYLINDLCVVEVKVSVRNGIKILEDQETGELIDFRGLGRVEKTFVPFLEEVCSSYPSLLECHKKRSRTFIQCAFTALGRLLRFLKTTKAKDMTHDACKRLQLLWEELETFKFDLVWLDPHVQSVLVMKTRAGRVDRLREDVEILENEMKRRRDVLAAAEVDLEAAKRDLAKAEEEFKKIDMDTELGYPLT >ONI22548 pep chromosome:Prunus_persica_NCBIv2:G2:19376498:19378377:-1 gene:PRUPE_2G136100 transcript:ONI22548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDETKGNDNAFALRNKTDFQPIGVKNPSRIFNLRYTPASQLVLFTESTQKMVLGGGAPAPRGSAAATASMRRRRTTSGGASGGAAGTMLQFYTDDAPGLKISPNVVLIMSIGFIAFVAVLHVMGKLYFVRREA >ONI22347 pep chromosome:Prunus_persica_NCBIv2:G2:17970811:17971641:-1 gene:PRUPE_2G123200 transcript:ONI22347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQINIESDAQENLLTSSSSSSSSSSSTSSTTTSDSLADKNTQNSENCTSQKGSSIKGQEEPNNENEQDNSKKKKRQRSSDQSDGKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGASAFLNFPELAQTLPQPDSKSPKDIQAAAAKAAKAAADASEEKQIICETEAEEAEPSSKVKLPMSSNSTLSMDNINAQESTSTCASTDYDDDKLFDLPDLFMDGTNGSDQFCYSSSTWHLCAADTGFRLGDPFLWEY >ONI24837 pep chromosome:Prunus_persica_NCBIv2:G2:27223836:27225607:1 gene:PRUPE_2G265200 transcript:ONI24837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGELSAFRFMKSRHRPHTTDLQAAAGWGIAAVTGALWLVQPFDWLRKTFLEKPEPEDK >ONI25718 pep chromosome:Prunus_persica_NCBIv2:G2:29681987:29683938:1 gene:PRUPE_2G316400 transcript:ONI25718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKVK >ONI21475 pep chromosome:Prunus_persica_NCBIv2:G2:9786086:9786719:1 gene:PRUPE_2G068600 transcript:ONI21475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRRKFFIRVISGIDIFFGFHYFSLRILMGFPCLLFDLDHPFVLIESANISGYLFYPECLPALCNSCFVILTITFLSLLDCCRLPKNCVGCILFSASSNPEILGGHPLHYTCSFYMDIKLRRSGDFVIAHSQNLRGYTPPVSPCLIHLII >ONI24397 pep chromosome:Prunus_persica_NCBIv2:G2:25893928:25895803:-1 gene:PRUPE_2G238300 transcript:ONI24397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATPSYWCYRCSRFVRVLNDVAVSSSVLCPDCGSGFVEDIDNPTRSDSRRVRRIPAAAMYMIGANNRSDSNPDHTAPSPSGPRRPRRNQGDRSPFNPVIVLRGPTSEGATSNEEGRGFELFYDDGVGSGLRPLPTSMSEFLLGSGFDRLLEQLSQIEINGIGRYEQRPASKSAVESMPTIELDESHIAAEHHCAVCKEAFEVGTEVREMPCKHIYHSDCILPWLSLRNSCPVCRHELPADSEAETGQEEDENAGLTIWRLPGGGFAVGRFSGGRRGVERELPVVYTEMDGGFNGAGAPRRISWSRNGGRGRQSGGLMRFLRGLFGCFGGVQSSAVSGSGSERRLGTPTPPRTTSRRRVRFMDLEQTTTSTPRRRRTWSMDVNSGIVRW >ONI20932 pep chromosome:Prunus_persica_NCBIv2:G2:4576201:4580358:-1 gene:PRUPE_2G041900 transcript:ONI20932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGGNAGGGGGGGVEWHVRPPNPKNPVVFFDITIGTIPAGRIKMELFADIAPKTAENFRQFCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFLKGDGSGCASIYGHQFDDETFDAKHTGPGLLSMANSGPNTNGCQFFITCSKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLACVIAECGEM >ONI21368 pep chromosome:Prunus_persica_NCBIv2:G2:7806220:7811613:1 gene:PRUPE_2G061500 transcript:ONI21368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQTHLSKDSKVEVCSNEVGYRGAWFPAIILDPQPSDLSREKKRKSLGNSSNALVQYENLVSDDDPNKPLTELVDVRYIRPVPPSDNPDEPLEPADVVDASYLDAWWVGVVMRFEDDKYTVGFKCPPDVLELRRSELRPHWDLQDGIWVRARKKRMTGSIFSPGTAVEVNLNKEHLFCAWFPAIYLGELGVNSFLLQYKSSNNCDVKVVVGGKQIRPQPPKLAERDFKLEEKVDAFFDMCWCAGEIKKVLTGKKYMVSLKFTEEVKQYNQSDLRPHMYWTDGRWVTLINGKQFTMINENWVPYFKEGHFSRDHEVRSKLTCESFSSSELATALESSGATKDNNEEKTPCSRISWKNQSEDLSPCIDNSPYGKTKKKLKINQKPNDDATILGLSKKLIYGHSEDSVSFAQLFRRRTPVKTSSKEAPVRDGAKTKQQQAGGLDNQTIVFYKRKVKEGSEIKKADEDVEDEYGRDNIESLGILSDFELTGGSLVDTSCLLPMEEVEQNEDGVSSEIEGKGKLPEYLIEHSKDPATGEKQNGTGVVGLVELTKTQVANDNGSEEAKRETKIVDSAMDYLTTASAHDQPLSLCIDEMHSVKAIECSSNTTRTANQQNEVRGTQVVQQYSPHSPFVRSSPFWESIESMEVFKRFPQKPHFHPLVKSKAVCREGSALGNMITFASLVETTSKLQVGDPRDIFDSNLEALVDLEMLGFDVTAVRHRLKELVEMKVKLGQLHNQLKEVDIQIRECTLDRTRNNETISRIDKKIKDLKEKGATLMSINAAKGSEISKLQSEANAITEGIQSIHCDFEKLAAAAW >ONI21369 pep chromosome:Prunus_persica_NCBIv2:G2:7806220:7811613:1 gene:PRUPE_2G061500 transcript:ONI21369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKRSQTHLSKDSKVEVCSNEVGYRGAWFPAIILDPQPSDLSREKKRKSLGNSSNALVQYENLVSDDDPNKPLTELVDVRYIRPVPPSDNPDEPLEPADVVDASYLDAWWVGVVMRFEDDKYTVGFKCPPDVLELRRSELRPHWDLQDGIWVRARKKRMTGSIFSPGTAVEVNLNKEHLFCAWFPAIYLGELGVNSFLLQYKSSNNCDVKVVVGGKQIRPQPPKLAERDFKLEEKVDAFFDMCWCAGEIKKVLTGKKYMVSLKFTEEVKQYNQSDLRPHMYWTDGRWVTLINGKQFTMINENWVPYFKKTKQQQAGGLDNQTIVFYKRKVKEGSEIKKADEDVEDEYGRDNIESLGILSDFELTGGSLVDTSCLLPMEEVEQNEDGVSSEIEGKGKLPEYLIEHSKDPATGEKQNGTGVVGLVELTKTQVANDNGSEEAKRETKIVDSAMDYLTTASAHDQPLSLCIDEMHSVKAIECSSNTTRTANQQNEVRGTQVVQQYSPHSPFVRSSPFWESIESMEVFKRFPQKPHFHPLVKSKAVCREGSALGNMITFASLVETTSKLQVGDPRDIFDSNLEALVDLEMLGFDVTAVRHRLKELVEMKVKLGQLHNQLKEVDIQIRECTLDRTRNNETISRIDKKIKDLKEKGATLMSINAAKGSEISKLQSEANAITEGIQSIHCDFEKLAAAAW >ONI23656 pep chromosome:Prunus_persica_NCBIv2:G2:23755556:23757786:1 gene:PRUPE_2G200700 transcript:ONI23656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYLKSSRNLDAYIFVTSPSVTISRMMADEESEVQAVPRQKAPKLNERILSSLSRKSVAAHPWHDLEIGPKAPYIFNCVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPAYNHYTDIKELPPHRLCEIRRFFEDYKKNENKEVAVDQFLPSHNAAEAIQYSMDLYAEYIMLTLRR >ONI23657 pep chromosome:Prunus_persica_NCBIv2:G2:23755414:23757786:1 gene:PRUPE_2G200700 transcript:ONI23657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEESEVQAVPRQKAPKLNERILSSLSRKSVAAHPWHDLEIGPKAPYIFNCVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPAYNHYTDIKELPPHRLCEIRRFFEDYKKNENKEVAVDQFLPSHNAAEAIQYSMDLYAEYIMLTLRR >ONI23881 pep chromosome:Prunus_persica_NCBIv2:G2:24517894:24521247:1 gene:PRUPE_2G213600 transcript:ONI23881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDVEVAERGSFSAKDYHDPPPAPLFDAVELTKWSFYRALIAEFIATLLFLYVTVLTVIGYKSQTDTTVNADACGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMVAQSLGAIAGVALVKAFQESYYTKYGGGANELSQGYSKGVGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFIVHLATIPITGTGINPARSLGAAVIYNKEKAWDDQWIFWVGPFIGAAIAAFYHQFILRAGAVKALGSFRSNPHV >ONI20719 pep chromosome:Prunus_persica_NCBIv2:G2:3285926:3289901:-1 gene:PRUPE_2G030600 transcript:ONI20719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRSFSELLIEIKELVTEVSCLAQYSETQREILAEFEILVEKLVPILDGLMDNIIKFKDHPPVRKAVESLGSELKRAKALLKTQETKSFIKQVEDVVHDLGRSLGLVLLASLEVSTDLKDKIGMLHKDLMNTRFDMSSFASTSFDSWVVSEIEVEEEIQEEKRVCFGIDEVSLQIKCGDDEQLKFALLELNELIGDKRVSSEWITDEGVIPILFNRLSSSNSENRLCIVQLLRRLASDNADNKEKMADVGFLSAVVKSLVRDEEERKEAVGLLLDLSDIQSVRRRLGRIQGCIVMLVALLNGDDLVASRHAGKLLNALSNSTQNALHMAEAGYFKPLVQYLNEGSDMSKILMATALSRMELTDQSRASLGEDGAIEPLVRMFSIGKLEAKLSALSALQNLSNLTENVHRLISSGIVASLLQLLFSVTSVLMTLREPASVILAKIAESESILVNSDVAQQMLSLLNLTSPVIQNHLLQALNSIASHSRAGKVRRKMKEHGAIQLLLPFLMETNIKIRSGALNLLYTLSKDLPEELTEQLGETYIKTIINIISSSTFDSEKAAAVGILGHLPISDKKLTDMLKKANLVPIMVSILTSRSEVSKETTCWLEESVTGLLIRFTNPSDKKLQLYSAEQGVIPLLVKLLSSGSPVTKCRAATSLAQLSQNSSSLSKSRKSRWSCVPPSADGFCEVHNGYCFVKSTFCLVKAGAVSPIIQILEGKEREADEAALSALATLLGDEMWENGSNCIAKMSGIPAIIKVIESGSIKAQKKALWILEKIFGAEEHRVNYGESAQVVLIDLAQKGDSSLKSTTAKLLAQLELLQVQSSYF >ONI20720 pep chromosome:Prunus_persica_NCBIv2:G2:3286260:3288637:-1 gene:PRUPE_2G030600 transcript:ONI20720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITSVQEKMADVGFLSAVVKSLVRDEEERKEAVGLLLDLSDIQSVRRRLGRIQGCIVMLVALLNGDDLVASRHAGKLLNALSNSTQNALHMAEAGYFKPLVQYLNEGSDMSKILMATALSRMELTDQSRASLGEDGAIEPLVRMFSIGKLEAKLSALSALQNLSNLTENVHRLISSGIVASLLQLLFSVTSVLMTLREPASVILAKIAESESILVNSDVAQQMLSLLNLTSPVIQNHLLQALNSIASHSRAGKVRRKMKEHGAIQLLLPFLMETNIKIRSGALNLLYTLSKDLPEELTEQLGETYIKTIINIISSSTFDSEKAAAVGILGHLPISDKKLTDMLKKANLVPIMVSILTSRSEVSKETTCWLEESVTGLLIRFTNPSDKKLQLYSAEQGVIPLLVKLLSSGSPVTKCRAATSLAQLSQNSSSLSKSRKSRWSCVPPSADGFCEVHNGYCFVKSTFCLVKAGAVSPIIQILEGKEREADEAALSALATLLGDEMWENGSNCIAKMSGIPAIIKVIESGSIKAQKKALWILEKIFGAEEHRVNYGESAQVVLIDLAQKGDSSLKSTTAKLLAQLELLQVQSSYF >ONI20443 pep chromosome:Prunus_persica_NCBIv2:G2:1470898:1473721:-1 gene:PRUPE_2G015900 transcript:ONI20443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENFSSTPRRPLQEPHPLSIFAGSQKDDANEICDTDFTFPTSLTVPAPSRTASRHTLEDSPEAKQEYFTKCVPLYKAALEGDWKSAKKIINKDKTIVRACITKGWHTVLHVAAGAKRVYFVKKLLKLLDKQDLILQDQNGNTAFCFAVAAGTLPVAHMMIRKNPRVPEIRGGKQMTPLYLAALFGHDETASYLYPKLIKLVDEWERVGIFFTCINNDLYELALKMVHGYPELVVARDPNSETALHLLARKPSALATKSSGIWKCFAYSCTNRGRLKRTPGLQLLKRLWEEIMWQSDRTVTDVIRKPSHVLFMATKLGNLEFVAELIGSYPDLIWETDDCNRSLFHVAVMYHHAGIFNLVHKLGLYKDFVLSFKDDKKSNILHLAAQLAPPHQSNMVPKPALQMQRDLLWFKEVKKVVPPLYLEIKNSEGKTPRDIFHEQHKGLLENGESGVKSGVHACFYPRCYFSVGCS >ONI20442 pep chromosome:Prunus_persica_NCBIv2:G2:1470645:1476539:-1 gene:PRUPE_2G015900 transcript:ONI20442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENFSSTPRRPLQEPHPLSIFAGSQKDDANEICDTDFTFPTSLTVPAPSRTASRHTLEDSPEAKQEYFTKCVPLYKAALEGDWKSAKKIINKDKTIVRACITKGWHTVLHVAAGAKRVYFVKKLLKLLDKQDLILQDQNGNTAFCFAVAAGTLPVAHMMIRKNPRVPEIRGGKQMTPLYLAALFGHDETASYLYPKLIKLVDEWERVGIFFTCINNDLYELALKMVHGYPELVVARDPNSETALHLLARKPSALATKSSGIWKCFAYSCTNRGRLKRTPGLQLLKRLWEEIMWQSDRTVTDVIRKPSHVLFMATKLGNLEFVAELIGSYPDLIWETDDCNRSLFHVAVMYHHAGIFNLVHKLGLYKDFVLSFKDDKKSNILHLAAQLAPPHQSNMVPKPALQMQRDLLWFKEVKKVVPPLYLEIKNSEGKTPRDIFHEQHKGLLENGESGVKSGVHACFYPRCYFSVGCS >ONI25914 pep chromosome:Prunus_persica_NCBIv2:G2:30123152:30123994:-1 gene:PRUPE_2G326500 transcript:ONI25914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLLVNMNLIMAAIIILCNISSVSSTNTNTNTSLPPPMSPRNQYEEIGAAIGEMQSGNYFTFVMLMNMVGPFDERLEKNVTFLMPNDQMLSEVVLPVAVAHEEGDAVMDLLLRHSIPSPLLFEYLEHIPTGSTIPSSKPGYLLRVSNNASNNAGRYFYLNNVKIVKPNVCAASASSIRCHGIDGVLAALAPPPPPLPPPPDQDDDSCSDKAPPASSSPVDDNPNTPAAVPASPPTDPANLKSSAATSSLFLSSHEQGRGLRMSLTSCAILLSVFISMI >ONI21373 pep chromosome:Prunus_persica_NCBIv2:G2:7889552:7890932:1 gene:PRUPE_2G061700 transcript:ONI21373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTATAPDAHNSKTFDVILGKVPDDFKSLHEIPEEYAWTNLNEHPSLDSDSVPIIDLNDPNASQLISHACTTWGVFQLINHGVPNNVVEDMESVAQSLFSLPAEQKLKAARGPGGISGYGPQLISAFWDKKLWSECFTILGSPLEHYAKLWPQGYTKICSITEEYDKELGRLCSRLMWIMLASLGISKEDVKWSGPKGDNQEAHVLQLNSYPACPDPDRAMGLVAHTDSTLVTIVHQSKICGLQVFQEGTGWFTVPPVPGAMVVNVGDLMHILSNGSYVSVLHRVLVNQTQPRLSVVYTYGPPDNVPISPIPKLLSASHPALYPPVTNWSEYIDTKTKLKGKALASLRLYEPRTN >ONI22123 pep chromosome:Prunus_persica_NCBIv2:G2:16713078:16716106:1 gene:PRUPE_2G108700 transcript:ONI22123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMVLLCSLGALVALKWLLQSANSWYYETPLGEKKYSLPPGDLSWPFIGNMWSFLRAFKSSNPEAFLNSMVSRFGRTGIYKTFMFGSPSIVVTTPEASKKVLTDDDAFKPGWPISTEELIGKNSFTSISFEEHKRLRKLTAAPVNGYEALSVYTTYIEERVISSLEKWSKMGEIEFLTQLRKLTFRIIMYIFLSSESEPVMEALEKEYTILNYGVRAMAINLPGFAYHKALKARKNLVSTFQSIVDDRRAQRKAGNYVAKKKDMMDALLDVVDDDGRKLTDAEIIDVLLMYLNAGHESSGHTMMWAAVFLQKHPKFFQKAKAEQEGIVKRRPPTQKGMTFKEYREMEYLSQVIDETLRVVTFSLTVFREAKKDVNINGYSVPKGWKVLVWFRSIHYDSEIYPNPLEFNHDRWDNYTPKPLTFLPFGAGSRLCPGNDLAKLEIAIFLHHFLLNYKMEPTNPDGPLMNLPHTRPKDNCLARIKKCGSA >ONI20331 pep chromosome:Prunus_persica_NCBIv2:G2:910175:913986:1 gene:PRUPE_2G009700 transcript:ONI20331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGLRILTPFSPFRMRSTKAPLFGSLYLAHLNRKVRLSLHSTRFSALCSLSDGGKEHGVGEAVKEVSRNKILQVVLVSPQIPGNTGCIARTCAASAVGLHLVGPLGFKVDDAKLKRAGLDYWPYVVVKVHSSWAEFQDYFRQQDGEKRLLAFTKRGTSFHSGESLIILGLGSLDFSYRRGDFLIFGSETSGLPPEVLQDCNSETFGGGTIRIPMVETYVRCLNLSVSVGIALYEASRQLSYEQLQFPNENCIDHEQSFVSEDIFA >ONI20332 pep chromosome:Prunus_persica_NCBIv2:G2:910175:913986:1 gene:PRUPE_2G009700 transcript:ONI20332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGLRILTPFSPFRMRSTKAPLFGSLYLAHLNRKVRLSLHSTRFSALCSLSDGGKEHGVGEAVKEVSRNKILQVVLVSPQIPGNTGCIARTCAASAVGLHLVGPLGFKVDDAKLKRAGLDYWPYVVVKVHSSWAEFQDYFRQQDGEKRLLAFTKRGTSFHSDFSYRRGDFLIFGSETSGLPPEVLQDCNSETFGGGTIRIPMVETYVRCLNLSVSVGIALYEASRQLSYEQLQFPNENCIDHEQSFVSEDIFA >ONI20964 pep chromosome:Prunus_persica_NCBIv2:G2:4743281:4745659:1 gene:PRUPE_2G043000 transcript:ONI20964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASIGEAFISASIQVLCDRLTSREFIDLFRQKKLDQPLPMKLKVTLLTLNVVLNDAGEKQRENPAVREWLDELKHAVFDAEDLLDEINYEALRCKLEGDGQIDNLTNKSMNVKIQELLQRLEDFVQLKSALGLREEVGRKVSQKLQQLPWFMNLVFMVEMKSKKIYQKCCYPMMQTTLARMLYNDHKVEEHFTLKAWACVSEDSKIDPNMKLLVVTCKNVASVMQNVPIQFLEPLSNEDCWLLLAKHAFGNESSSSHPSLEEIGRQIARKCNGLPLAVQTLGGVLRCKIDSEDYSFKIEDVVFLWMGEGLIPQDENGERMEEVAKEYFDELLSRSLFQKSWKSSFTMHDLINDLAAFMSKGVSYRLEGRESHELERVRYLSYVRGEFDIALKFEPLNEAKCLRTFLPTSLSPYRFYIIRIIKNILQDLVPSLKCLRVLSLSQYQNVTELPDTIENLIHLHYLDLSHTAIRRLPSVLCNLYNLQTLLLSDCSFLVELPTDIRKLINLQKLMLAEMPVEMGRLKSLRTLTGFVVGKSNGLGIGELRELKHLGGKLSILKLQNVVDARDALKANLKHKKDLKELELAWGAEDIDDSQKEKDVLDKLQPCVNLEQLTIKFYGGTNFPNWLGDSSFSNIQVMHLSDCSYCWSMPPVGELPALKELCIKRKKWGFSYSAISITGEAGV >ONI22460 pep chromosome:Prunus_persica_NCBIv2:G2:18930365:18933292:-1 gene:PRUPE_2G131000 transcript:ONI22460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLVVLLLFHLVVIAYSLNSLQQQQPSCHDEESSALLQFKQSIFLDASASLYAGAYPKLLSWKPAKGTNSSCCSWDGVECDVQTGHVIGLELSSSFLYGSINSNSSLFRLVHLRRLNLADNHFNYSQVPSSIRNFPRLTYLNLSASVFSGQVPSEVSHLSKLSSLDLSLNLDRFSGQGLLELHPSNMRSLVQNLTSLEKLHLSFVNIFSTVPNSMANLSSLTSLLLRDSGMFGEFPVRIFSLPNLKTLSVRYNPDLTGYLPEFNQTSPLTSLLLASTSFSGHLPSSIEKLGSLNELDVSRCKFNGPIPASLANLTHLRYLSLSHNNFSGGSLSWVGKQTKLTLLELGNMSLTGSIPSSLGNLTELSFLSLPYNQLVGPIPSWLGNLSRLTEIELTFNKLNGSVPESLSNLVDLNLLYLQGNSLSGRLEFHMFLKLQNLTRLQLSNNKLELLTESRFISNQTVPTFRILGLAACNITEFPHFLRYQQSLEWLDLSQNRLHGQVPKWMWNTSTETLQVISISDNFLSGFEQPPVVLPWVNLLAFDLGSNMLLGPLPVPPPSITFYDVSGNKLTGEIPPLFCSLSSPKVLDLSTNRLSGILPQCLGNFSDLKILNLGNNSFEGILPQTYTNLSNLRMIDVGQNKLQGKLPRSLVNCVMLEFLVLSNNQFNDVFPFWLGTLPKLKLLAMSRNGFYGSIRNQEKNIGFPELRILDLSLNNFTGEFPSAYLFSGISLRGITLNQPAYMKVNSNYDSGSSMLYNYHFSIMITNKGVERYYKFIQEDFAAVDLSSNKFEGKIPEFIGNLKGLRLLNVSNNILSGGIPTFLANLTLLESLDLSQNQLSGEIPPQLNQLTFLSGFDVSHNSLTGPIPSGGQLSTFVIASYEGNLGLCGYPLPNTCGNSQLLPPSSNEHENELGFELDWKFGLAGLGSGLLVGVVLSDVVITRWPELFIEIVGMITLTMKRRRRPRRENGGYRN >ONI23030 pep chromosome:Prunus_persica_NCBIv2:G2:21472711:21474254:-1 gene:PRUPE_2G165400 transcript:ONI23030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLYNTKLSILLLFFFWFVFWTKIENSVLYKLPSILNLLFSAQNSLVFFGQKGSLVYYLFTLTIITLIVNIIYAKKIKEKMCLCEQYIGMATSWFRAGMAIKSPYPSQRRKIAAR >ONI25165 pep chromosome:Prunus_persica_NCBIv2:G2:28225849:28228212:1 gene:PRUPE_2G285500 transcript:ONI25165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQKPVKWLVLILTNCLFFSIAFSAKTQFAHKTYIVQMDKSAKPESFTNHLDWYSSKVNSIVFKPENEEDGGHDQERVIYTYQNAFHGVAARLSEEEAERLQEQDGVLAIFPDTKYQLHTTRSPLFLGLEPHDSTTTVWSQRVTDHDVIVGVLDTGVWPESQSFNDTGMSPVPAYWKGACETGRGFSKHNCNKKIVGARIFYHGYEAATGKINEQTEFKSPRDQDGHGTHTAATVAGSPVRGANLLGYAHGTARGMAPGARIAAYKVCWVGGCFSSDILSAVDKAVADGVNVLSISLGGGVSAYYRDSLSIAAFGAMEMGVFVSCSAGNGGPDPVSLTNVSPWITTVGASTMDRDFPSSVKLGNGRTVTGVSLYKGRMMLSTNKQYPVVYMGDNSTSPDPSSLCLEGTLDRRVVAGKIVICDRGISPRVQKGQVVKDAGGVGMILANTAANGEELVADCHLVPAVAVGETEAKAIKHYALTSPRATATLAFLGTRTGVRPSPVVAAFSSRGPNFVSLEILKPDVVAPGVNILAAWTGALGPSSLPTDHRRVKFNILSGTSMSCPHVSGIAALLKARHPEWSPAAIKSALMTTAYVHDNTHKPLQDASAAEASTPYDHGAGHINPRKALDPGLVYDIEAQDYLEFLCTQRLTPMQLKVFTKYSNRSCKHALASPGDLNYPAISVVFPERTNVSLLTLHRTVTNVGPPVSNYHAIVSPFKGAYVKVEPRTLKFTRANQKLSYKITFTTKSRQATPEFGGLVWKDGVHRVRSPIVVVWLPPL >ONI20944 pep chromosome:Prunus_persica_NCBIv2:G2:4633255:4643643:-1 gene:PRUPE_2G042400 transcript:ONI20944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPFVVSGWTYVNELGQMCGPYIQEQLYEGLSTGFLPDELPVYPLVNGSLINPVPLKYFKQFPDHVATGFAYLSLGISTTATTPTNSFNSPHGGDLPMCSTPAPAPVYPDPQFNSTFHANNYSSVNLSNQPMPMPNEESCWLYADGEGQKHGPHSLFELYSWHRYGYLQDSVMIYHVENKCTPFTLLSVVNAWKTDGPETVTNSDAKSNGTSSLGSFIAEISEGVSGELHHGILKAARRVVFDEIISNVINEFFTTKKAQRLNQTVKTCSSDSKTSEISGDLKGCAASLCEAAASYYVADETCINEDSTEPPPSTKSVGSIENFWGSYAAVCRMLFDYCMQVMWNAVFYDSVAEYSSSWRRRKLWSGSPVLRTPPSESGDYAVKIDKLPHETLPPWEKNDACDDDRPPGFELLEKELVDPAQPSSIASLVLVEGKSSKQISPSYEDMRCIVEYVETELQLSAKNAMTEYVGSFLDSEVRKLVNLSKGENLMKANVDSAVQCPLRSTDGSSDTCDELGISSKMSAEMILSNVSPETASQVAKPFDRSVRENRMSNLLENAFKELCSHVDDMVVDQEINEPLPPGLVDKAKAVISSQTCKFRPSRSDECIPKIGEYIATAMCRKKLHDSVINEWKSSFIDCVLHQFLASWRTSKKTHAHKERACKTNKNHKLEEESKHCDNSGTAKVSPIIGKYTYHRKKLFLKKSGSSRSVTLDGKELKNEIVEKSKNLHVSGDMPETTEFKNATVIPKKKRGQSKSQTELSVGATSLQAIAKGCASTDKKEAKSSSSRKLLKVSHAVKSSEPMECTPKPSKKMASAHGANHRDVQKVVNSNGPDFGLKREPSTKASKLKRECVMDDLKLARPKKVLKVTSGTPKQAPCKPIPVRKMQSSKSRKLNPCPKSCGCARVSINGWEWHRWSLNASPVERARVRGVKYVNAEHRGSDINTSQLSNGKGLSARTNRVKMRNLAAAAEGADLMKATQLKARKKLLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERHYEKMGIGSSYLFRLDDGYVVDATKRGGVARFINHSCEPNCYTKVISVEGQKRIFIYAKRHIAVGEEITYNYKFPLEEKKIPCNCGSKKCRGSLN >ONI20942 pep chromosome:Prunus_persica_NCBIv2:G2:4631647:4643643:-1 gene:PRUPE_2G042400 transcript:ONI20942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPFVVSGWTYVNELGQMCGPYIQEQLYEGLSTGFLPDELPVYPLVNGSLINPVPLKYFKQFPDHVATGFAYLSLGISTTATTPTNSFNSPHGGDLPMCSTPAPAPVYPDPQFNSTFHANNYSSVNLSNQPMPMPNEESCWLYADGEGQKHGPHSLFELYSWHRYGYLQDSVMIYHVENKCTPFTLLSVVNAWKTDGPETVTNSDAKSNGTSSLGSFIAEISEGVSGELHHGILKAARRVVFDEIISNVINEFFTTKKAQRLNQTVKTCSSDSKTSEISGDLKGCAASLCEAAASYYVADETCINEDSTEPPPSTKSVGSIENFWGSYAAVCRMLFDYCMQVMWNAVFYDSVAEYSSSWRRRKLWSGSPVLRTPPSESGDYAVKIDKLPHETLPPWEKNDACDDDRPPGFELLEKELVDPAQPSSIASLVLVEGKSSKQISPSYEDMRCIVEYVETELQLSAKNAMTEYVGSFLDSEVRKLVNLSKGENLMKANVDSAVQCPLRSTDGSSDTCDELGISSKMSAEMILSNVSPETASQVAKPFDRSVRENRMSNLLENAFKELCSHVDDMVVDQEINEPLPPGLVDKAKAVISSQTCKFRPSRSDECIPKIGEYIATAMCRKKLHDSVINEWKSSFIDCVLHQFLASWRTSKKTHAHKERACKTNKNHKLEEESKHCDNSGTAKVSPIIGKYTYHRKKLFLKKSGSSRSVTLDGKELKNEIVEKSKNLHVSGDMPETTEFKNATVIPKKKRGQSKSQTELSVGATSLQAIAKGCASTDKKEAKSSSSRKLLKVSHAVKSSEPMECTPKPSKKMASAHGANHRDVQKVVNSNGPDFGLKREPSTKASKLKRECVMDDLKLARPKKVLKVTSGTPKQAPCKPIPVRKMQSSKSRKLNPCPKSCGCARVSINGWEWHRWSLNASPVERARVRGVKYVNAEHRGSDINTSQLSNGKGLSARTNRVKMRNLAAAAEGADLMKATQLKARKKLLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERHYEKMGIGSSYLFRLDDGYVVDATKRGGVARFINHSCEPNCYTKVISVEGQKRIFIYAKRHIAVGEEITYNYKFPLEEKKIPCNCGSKKCRGSLN >ONI20943 pep chromosome:Prunus_persica_NCBIv2:G2:4633255:4643723:-1 gene:PRUPE_2G042400 transcript:ONI20943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPFVVSGWTYVNELGQMCGPYIQEQLYEGLSTGFLPDELPVYPLVNGSLINPVPLKYFKQFPDHVATGFAYLSLGISTTATTPTNSFNSPHGGDLPMCSTPAPAPVYPDPQFNSTFHANNYSSVNLSNQPMPMPNEESCWLYADGEGQKHGPHSLFELYSWHRYGYLQDSVMIYHVENKCTPFTLLSVVNAWKTDGPETVTNSDAKSNGTSSLGSFIAEISEGVSGELHHGILKAARRVVFDEIISNVINEFFTTKKAQRLNQTVKTCSSDSKTSEISGDLKGCAASLCEAAASYYVADETCINEDSTEPPPSTKSVGSIENFWGSYAAVCRMLFDYCMQVMWNAVFYDSVAEYSSSWRRRKLWSGSPVLRTPPSESGDYAVKIDKLPHETLPPWEKNDACDDDRPPGFELLEKELVDPAQPSSIASLVLVEGKSSKQISPSYEDMRCIVEYVETELQLSAKNAMTEYVGSFLDSEVRKLVNLSKGENLMKANVDSAVQCPLRSTDGSSDTCDELGISSKMSAEMILSNVSPETASQVAKPFDRSVRENRMSNLLENAFKELCSHVDDMVVDQEINEPLPPGLVDKAKAVISSQTCKFRPSRSDECIPKIGEYIATAMCRKKLHDSVINEWKSSFIDCVLHQFLASWRTSKKTHAHKERACKTNKNHKLEEESKHCDNSGTAKVSPIIGKYTYHRKKLFLKKSGSSRSVTLDGKELKNEIVEKSKNLHVSGDMPETTEFKNATVIPKKKRGQSKSQTELSVGATSLQAIAKGCASTDKKEAKSSSSRKLLKVSHAVKSSEPMECTPKPSKKMASAHGANHRDVQKVVNSNGPDFGLKREPSTKASKLKRECVMDDLKLARPKKVLKVTSGTPKQAPCKPIPVRKMQSSKSRKLNPCPKSCGCARVSINGWEWHRWSLNASPVERARVRGVKYVNAEHRGSDINTSQLSNGKGLSARTNRVKMRNLAAAAEGADLMKATQLKARKKLLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERHYEKMGIGSSYLFRLDDGYVVDATKRGGVARFINHSCEPNCYTKVISVEGQKRIFIYAKRHIAVGEEITYNYKFPLEEKKIPCNCGSKKCRGSLN >ONI20941 pep chromosome:Prunus_persica_NCBIv2:G2:4633255:4643691:-1 gene:PRUPE_2G042400 transcript:ONI20941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPFVVSGWTYVNELGQMCGPYIQEQLYEGLSTGFLPDELPVYPLVNGSLINPVPLKYFKQFPDHVATGFAYLSLGISTTATTPTNSFNSPHGGDLPMCSTPAPAPVYPDPQFNSTFHANNYSSVNLSNQPMPMPNEESCWLYADGEGQKHGPHSLFELYSWHRYGYLQDSVMIYHVENKCTPFTLLSVVNAWKTDGPETVTNSDAKSNGTSSLGSFIAEISEGVSGELHHGILKAARRVVFDEIISNVINEFFTTKKAQRLNQTVKTCSSDSKTGCAASLCEAAASYYVADETCINEDSTEPPPSTKSVGSIENFWGSYAAVCRMLFDYCMQVMWNAVFYDSVAEYSSSWRRRKLWSGSPVLRTPPSESGDYAVKIDKLPHETLPPWEKNDACDDDRPPGFELLEKELVDPAQPSSIASLVLVEGKSSKQISPSYEDMRCIVEYVETELQLSAKNAMTEYVGSFLDSEVRKLVNLSKGENLMKANVDSAVQCPLRSTDGSSDTCDELGISSKMSAEMILSNVSPETASQVAKPFDRSVRENRMSNLLENAFKELCSHVDDMVVDQEINEPLPPGLVDKAKAVISSQTCKFRPSRSDECIPKIGEYIATAMCRKKLHDSVINEWKSSFIDCVLHQFLASWRTSKKTHAHKERACKTNKNHKLEEESKHCDNSGTAKVSPIIGKYTYHRKKLFLKKSGSSRSVTLDGKELKNEIVEKSKNLHVSGDMPETTEFKNATVIPKKKRGQSKSQTELSVGATSLQAIAKGCASTDKKEAKSSSSRKLLKVSHAVKSSEPMECTPKPSKKMASAHGANHRDVQKVVNSNGPDFGLKREPSTKASKLKRECVMDDLKLARPKKVLKVTSGTPKQAPCKPIPVRKMQSSKSRKLNPCPKSCGCARVSINGWEWHRWSLNASPVERARVRGVKYVNAEHRGSDINTSQLSNGKGLSARTNRVKMRNLAAAAEGADLMKATQLKARKKLLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERHYEKMGIGSSYLFRLDDGYVVDATKRGGVARFINHSCEPNCYTKVISVEGQKRIFIYAKRHIAVGEEITYNYKFPLEEKKIPCNCGSKKCRGSLN >ONI20945 pep chromosome:Prunus_persica_NCBIv2:G2:4633255:4643643:-1 gene:PRUPE_2G042400 transcript:ONI20945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDYCMQVMWNAVFYDSVAEYSSSWRRRKLWSGSPVLRTPPSESGDYAVKIDKLPHETLPPWEKNDACDDDRPPGFELLEKELVDPAQPSSIASLVLVEGKSSKQISPSYEDMRCIVEYVETELQLSAKNAMTEYVGSFLDSEVRKLVNLSKGENLMKANVDSAVQCPLRSTDGSSDTCDELGISSKMSAEMILSNVSPETASQVAKPFDRSVRENRMSNLLENAFKELCSHVDDMVVDQEINEPLPPGLVDKAKAVISSQTCKFRPSRSDECIPKIGEYIATAMCRKKLHDSVINEWKSSFIDCVLHQFLASWRTSKKTHAHKERACKTNKNHKLEEESKHCDNSGTAKVSPIIGKYTYHRKKLFLKKSGSSRSVTLDGKELKNEIVEKSKNLHVSGDMPETTEFKNATVIPKKKRGQSKSQTELSVGATSLQAIAKGCASTDKKEAKSSSSRKLLKVSHAVKSSEPMECTPKPSKKMASAHGANHRDVQKVVNSNGPDFGLKREPSTKASKLKRECVMDDLKLARPKKVLKVTSGTPKQAPCKPIPVRKMQSSKSRKLNPCPKSCGCARVSINGWEWHRWSLNASPVERARVRGVKYVNAEHRGSDINTSQLSNGKGLSARTNRVKMRNLAAAAEGADLMKATQLKARKKLLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERHYEKMGIGSSYLFRLDDGYVVDATKRGGVARFINHSCEPNCYTKVISVEGQKRIFIYAKRHIAVGEEITYNYKFPLEEKKIPCNCGSKKCRGSLN >ONI22501 pep chromosome:Prunus_persica_NCBIv2:G2:19124509:19125053:-1 gene:PRUPE_2G133400 transcript:ONI22501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWSRKRKRQDHHRKPKKTTKKSKSITKRQKQNQVVAVPDRSNKKLVKHTVAIWSAGRYKAAEENMLRVMKAKGAKFGSPILRPALRSEARKLIGDTGLLDHLLKHMAGKVVPGGAERFMRRHNADGAMEYWLERFMSSGKR >ONI22502 pep chromosome:Prunus_persica_NCBIv2:G2:19123027:19125128:-1 gene:PRUPE_2G133400 transcript:ONI22502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWSRKRKRQDHHRKPKKTTKKSKSITKRQKQNQVVAVPDRSNKKLVKHTVAIWSAGRYKAAEENMLRVMKAKGAKFGSPILRPALRSEARKLIGDTGLLDHLLKHMAGKVVPGGAERFMRRHNADGAMEYWLERFMSSGKR >ONI23137 pep chromosome:Prunus_persica_NCBIv2:G2:21846217:21849672:1 gene:PRUPE_2G171400 transcript:ONI23137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQKQRRSFPSITACDTSETASYESIAADLDGTLLISRSSFPYFMLVAIEAGSLLRGLVLLLSLPLIIISYFFFSEALGIQILIFISFSGLKIRDIELASRAVLPRFYAADVRAESFEVFDKCKRKVVVTANPTVMVEPFVKDFLGGDKVLGTEIEVNPKTKKATGFVKKPGVLVGKLKRLAVLKEFGDEVPDLGIGDRSSDHDYMSLCKEGYMVHPSKSARPVSKNRLKSQIIFHDGRLVQRPDPLNAILTYLWLPFGFILCIMRVYINLPLPERIVTYTYAMLGIKLVIRGNRPPPPSPGTPGNLYVCNHRTALDPIIIAIALGRKVSCVSYSVSRLSRFLSPIPTIALTRDREADAARLSALLQKGDLVVCPEGTTCREPFLLRFSALFAEMSDRIVPVAVNCKQNMFYGTTVRGVKFWDPYFFFMNPRPTYEATFLDRLPSDMSCKAGKSAIEVANYVQKVLGDVLGFERTGLTRKDKYLLLGGNDGKVESMYNAKK >ONI23136 pep chromosome:Prunus_persica_NCBIv2:G2:21846217:21849668:1 gene:PRUPE_2G171400 transcript:ONI23136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQKQRRSFPSITACDTSETASYESIAADLDGTLLISRSSFPYFMLVAIEAGSLLRGLVLLLSLPLIIISYFFFSEALGIQILIFISFSGLKIRDIELASRAVLPRFYAADVRAESFEVFDKCKRKVVVTANPTVMVEPFVKDFLGGDKVLGTEIEVNPKTKKATGFVKKPGVLVGKLKRLAVLKEFGDEVPDLGIGDRSSDHDYMSLCKEGYMVHPSKSARPVSKNRLKSQIIFHDGRLVQRPDPLNAILTYLWLPFGFILCIMRVYINLPLPERIVTYTYAMLGIKLVIRGNRPPPPSPGTPGNLYVCNHRTALDPIIIAIALGRKVSCVSYSVSRLSRFLSPIPTIALTRDREADAARLSALLQKGDLVVCPEGTTCREPFLLRFSALFAEMSDRIVPVAVNCKQNMFYGTTVRGVKFWDPYFFFMNPRPTYEATFLDRLPSDMSCKAGKSAIEVANYVQKVLGDVLGFERTGLTRKDKYLLLGGNDGKVESMYNAKK >ONI24097 pep chromosome:Prunus_persica_NCBIv2:G2:25081552:25086224:1 gene:PRUPE_2G223600 transcript:ONI24097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPDVSSVVEKSEEKAGRDSDSDSKSYSSSDTTTGESSMEEWQISRKKRPMMMIVKILELFHWILARWKKMFVTSCVFAVSLDPLFLYIPIIDQDMNCLSLDQNLKITALALRSVTDLFYIMDIIIEIYTSGICSSLTNEFHHSSKLQFLGNTFLPKVAKTIWQSYILIDILAIIPLPQVLILTFFSKMRATRSLGTRKFIMNFFVMVQYLPRVIRIYLSCKAPKMSHKSETPVWVKGVLNFFMYILASHVLGAVWYFFAIQQMTVCWAYACRNENGCDSTTFGCHDRTSKNDLCPVSSPNTTFFEFGIFLSLLQSGVPSSTNFLQKFTNCFCWGLRNLSSLGSNLQPSTNTWENLFVVFISIIGLLLFIYLIGNLQVRVLQNMDEQVLKAICQHLKPRKYTEDKLILREGEPLKMMLFIVEGHVAIEKKGVSILNQGARELYGEKLLAWPFSTSFPKKLPTATESARAIGDVEALILMANDMKGVVFKFGVHFIKKYGKLKEKLANHHLRPMGAAPASMDLAPASMGAAPASMEVPLRLFTEKELKKATQNYNASARIGEGGYGIVYKGILPDKTVVAIKKSKMHAPAMSVNSVNEAFILSQINHRNIVQLLGCCLEAKTNLMVYEFIDNGTLSEHIHNEGKGEKLSFELRLKIAAEIAAALSYVHSMSIIHRDVKTANILLNRNYTAKVSDFGGSKLLHEDQDSVSTLVQGTLGYLDPEYLMSNTLTEKSDVYSFGVVLLELLTSRRAVSFERPEEERNLASFFLYLAEQGHLDQILDGEIINRGNYVTAEKVSYLARRCLMVRAKERPSMKEVAIELEQLITATHLAEKANFSSSSEETNWFLQPPSNSYVVDVRDEGDDVASSGSINSCV >ONI24098 pep chromosome:Prunus_persica_NCBIv2:G2:25082912:25086080:1 gene:PRUPE_2G223600 transcript:ONI24098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATRSLGTRKFIMNFFVMVQYLPRVIRIYLSCKAPKMSHKSETPVWVKGVLNFFMYILASHVLGAVWYFFAIQQMTVCWAYACRNENGCDSTTFGCHDRTSKNDLCPVSSPNTTFFEFGIFLSLLQSGVPSSTNFLQKFTNCFCWGLRNLSSLGSNLQPSTNTWENLFVVFISIIGLLLFIYLIGNLQTYLSLDTTRIEAHRHKMKIKRKMEEKGQELELWLPKNGIPEKSHKNIKLQIMEKVEQEFEENRDVDLDNFISTLPSDLENQIKSYMPFTRLKMVRVLQNMDEQVLKAICQHLKPRKYTEDKLILREGEPLKMMLFIVEGHVAIEKKGVSILNQGARELYGEKLLAWPFSTSFPKKLPTATESARAIGDVEALILMANDMKGVVFKFGVHFIKKYGKLKEKLANHHLRPMGAAPASMDLAPASMGAAPASMEVPLRLFTEKELKKATQNYNASARIGEGGYGIVYKGILPDKTVVAIKKSKMHAPAMSVNSVNEAFILSQINHRNIVQLLGCCLEAKTNLMVYEFIDNGTLSEHIHNEGKGEKLSFELRLKIAAEIAAALSYVHSMSIIHRDVKTANILLNRNYTAKVSDFGGSKLLHEDQDSVSTLVQGTLGYLDPEYLMSNTLTEKSDVYSFGVVLLELLTSRRAVSFERPEEERNLASFFLYLAEQGHLDQILDGEIINRGNYVTAEKVSYLARRCLMVRAKERPSMKEVAIELEQLITATHLAEKANFSSSSEETNWFLQPPSNSYVVDVRDEGDDVASSGSINSCV >ONI24096 pep chromosome:Prunus_persica_NCBIv2:G2:25081552:25086224:1 gene:PRUPE_2G223600 transcript:ONI24096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPDVSSVVEKSEEKAGRDSDSDSKSYSSSDTTTGESSMEEWQISRKKRPMMMIVKILELFHWILARWKKMFVTSCVFAVSLDPLFLYIPIIDQDMNCLSLDQNLKITALALRSVTDLFYIMDIIIEIYTSGICSSLTNEFHHSSKLQFLGNTFLPKVAKTIWQSYILIDILAIIPLPQVLILTFFSKMRATRSLGTRKFIMNFFVMVQYLPRVIRIYLSCKAPKMSHKSETPVWVKGVLNFFMYILASHVLGAVWYFFAIQQMTVCWAYACRNENGCDSTTFGCHDRTSKNDLCPVSSPNTTFFEFGIFLSLLQSGVPSSTNFLQKFTNCFCWGLRNLSSLGSNLQPSTNTWENLFVVFISIIGLLLFIYLIGNLQTYLSLDTTRIEAHRHKMKIKRKMEEKGQELELWLPKNGIPEKSHKNIKLQIMEKVEQEFEENRDVDLDNFISTLPSDLENQIKSYMPFTRLKMVRVLQNMDEQVLKAICQHLKPRKYTEDKLILREGEPLKMMLFIVEGHVAIEKKGVSILNQGARELYGEKLLAWPFSTSFPKKLPTATESARAIGDVEALILMANDMKGVVFKFGVHFIKKYGKLKEKLANHHLRPMGAAPASMDLAPASMGAAPASMEVPLRLFTEKELKKATQNYNASARIGEGGYGIVYKGILPDKTVVAIKKSKMHAPAMSVNSVNEAFILSQINHRNIVQLLGCCLEAKTNLMVYEFIDNGTLSEHIHNEGKGEKLSFELRLKIAAEIAAALSYVHSMSIIHRDVKTANILLNRNYTAKVSDFGGSKLLHEDQDSVSTLVQGTLGYLDPEYLMSNTLTEKSDVYSFGVVLLELLTSRRAVSFERPEEERNLASFFLYLAEQGHLDQILDGEIINRGNYVTAEKVSYLARRCLMVRAKERPSMKEVAIELEQLITATHLAEKANFSSSSEETNWFLQPPSNSYVVDVRDEGDDVASSGSINSCV >ONI23624 pep chromosome:Prunus_persica_NCBIv2:G2:23645962:23647161:1 gene:PRUPE_2G199200 transcript:ONI23624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRMANPAIVCSHTVKGVSKVHSELLKAKLFKDFYELWPLKFQCKTNGVTQV >ONI25107 pep chromosome:Prunus_persica_NCBIv2:G2:27999588:28001599:-1 gene:PRUPE_2G281300 transcript:ONI25107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSHGKGMSASALPYKRSSPSWLKVTAPDVEENICKFAKKGMTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLSRYYKKTKKLPPVWKYESTTASTLVA >ONI25434 pep chromosome:Prunus_persica_NCBIv2:G2:29007629:29008543:1 gene:PRUPE_2G303300 transcript:ONI25434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKSPKFRVRSASFSDFEVGNGTSPSFNLDMDVKFGVKNTNFGHFNYEDGIVEFEYRGTRIGQVNVYEARARARSTRKVADSLELTSNGLSANSQSQLASDISSGIIPITSASKLDGKIHLMKVIKKKKFAHMNCTMEIVLATQSVQNIVCK >ONI21792 pep chromosome:Prunus_persica_NCBIv2:G2:14116605:14118760:-1 gene:PRUPE_2G089300 transcript:ONI21792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGQTNEEVVASSDAFIAPEINSYGKSFRDYNVENERQRSVEEFYRLNHINQIYDFVKRMREEYKKLNRVEMSIWECCELLNEVVDDSDPKLDEPQIEHLLQTAEAIRKDYPNEDWLHLTALIHDLGKVLMLPSFGGLPQWVVVGDTHPLGCGFDEYIVHHKYFKENLDYNNPSYNTKYGIYSQGCGLENVVMSWGHHEYMYLVAKENGTTLPQAALFIIRYHSFYPLHKAGAYEHLMNKEDQENLKWLKIINKYDLYSKSKVRVDVEKVKTYYLSLIDKYFPTKLRW >ONI22657 pep chromosome:Prunus_persica_NCBIv2:G2:19887510:19887812:1 gene:PRUPE_2G142600 transcript:ONI22657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKPCTRCLTRRALCFEVLEHWLSISGSGSGWLSTGPPIPSQELNPVARAKALGHLVSRAEGTAQGWLNRATTTSRSWQWKDNGSVVAWIHHGSLDCPN >ONI24141 pep chromosome:Prunus_persica_NCBIv2:G2:25282429:25283766:-1 gene:PRUPE_2G226300 transcript:ONI24141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRQKTKAELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREVYKNKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEMYYPLRKYAIKA >ONI24142 pep chromosome:Prunus_persica_NCBIv2:G2:25282892:25283566:-1 gene:PRUPE_2G226300 transcript:ONI24142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRQKTKAELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREVYKNKKFLPLDLRPKKTRAIRRRLTKHQVLQTFLFAFTYICGLKLK >ONI24834 pep chromosome:Prunus_persica_NCBIv2:G2:27213924:27216427:1 gene:PRUPE_2G264900 transcript:ONI24834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQHRSLTKAYIQLPFKFPHHHNMFKSKTSQKEPKQQLEAMDETTVSLILHACKLARDLESNLPNLANQPNLLSNSLDEITRNFVTARERVYGQDPSTSSSLHNMLTLAHQQQIGTSQVQEWLRSSYAQQAMDIIQTQLVADQKEVKIGGCIDDGDAEVKGLQAMDIVSASDTNIASSSSQRPRRRKDEGLISKITVPAPRIGNTEIPPEDGFTWRKYGQKEIMGSRFPRGYYRCTHQKLYNCPAKKQVQRLNNDPLTFEVMYRGEHTCHMSATAPSVPPPSAEHHNATQGSLAQTLATTTTTDPPTASLWLSMDFNPIRGGSGSSSRMIGGDRGGGGGGSGDGAGTSTTTRYGKEVDFPVVDWADAMFNSGSSSSNSMDFIFHSAENKWESEDKKNGGQ >ONI22261 pep chromosome:Prunus_persica_NCBIv2:G2:17562174:17565322:-1 gene:PRUPE_2G117700 transcript:ONI22261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVSIVLEGLSNPIIQELKSLKSVGGKVHSAQTQLQIMQGYLKDADASQGRNEAIRIWVASVRDAAYDLEDVIGTYVLKVAFKRKTWFTGIFIKGVNLHRIGSDIEKITTEISQLSSIMPSFNLHQTGESGGDTYFQRQQERRIAYPHIVDPHVVGLARGTEILATHLIKEKGPRVVSIWGMGGLGKTTLAKQVYHHDKVKRHFDSREVLEEILTKLMSPTNEQRQEIAKLKIDQIAERLWNTQRERKCLVVLDDIWTSDAWSSLQAGFPMNEETESRILLTTRNKEVASYADKNGFLFEPQSLNDDESWELFEKIAMFGTEDTNHKIYEHKKELGTEMLQHCKGLPLAITVLAGLLARKDTVDEWNTVHKNVYAYIRRGTDLGPDYKGEGYEGVSWLLELSYDNLPYYLKLCFLYLAHFPEDYEIPVSTLTKLWMAEGFISSTSVEVMEDVSYMCLSELVGRCMVQVGKHGSSKKIKTCHLHDLMRDLCMLKAKEENFLHIINYSAAVEIMQTPNGRVRRLAIYLEKTVVGHCLWRYENYAHVRSLLYFSRYCRWNSKVLRSLLKDFTLVRVLKFENMVVRKLPGEIGNLVHLRFLSVKDSYIRAVPSSIAKLVCLQALDLRSRYLRMKIPNQNVFSKMEKLRHIYLHENHSAREKRLLFATEAVNLHTVVNIGIQASSDLDDFVKLTNLRKLGVITFDGGVKKEKGTNIIFKHLQSLSVDSTLFSGGLDHRWSTFLLIPWNRVLSCPNIYKLRLRGKIAELPEDLMCLTNLTKLTLIHFGDLKHDHIKVLEKLPSLRMLFASHGKFPAHLVCSEGGFPFLEFLSLYSLEEFKEWKVEKGAMRSLCKLHIEHCLDLEAVPDGLQYITTLKELTIKKMRLEFCSRLGEGGEDFYKIQHVQSVIITNIEA >ONI25047 pep chromosome:Prunus_persica_NCBIv2:G2:27829641:27831623:1 gene:PRUPE_2G277200 transcript:ONI25047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMSEPAPERNYSKPPGIRLLEYIKKSPLSYKTHQAIVLIVTFLAYASYHAARKTTSVVKSTLDPESSDVSLKFFPWRMTYLSEPAEGRRLSRILGDGWAPFNGSDGTALLGEVDLAFLAVYAIGMYFSGHLGDRTNLRIFLTIGMVGAGVFTSLFGIGYWANIHTFYYFLGVQMLAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNITGSLVASALLKYGWGWSFVVPGLIIATVGVVVFLFLPVSPDSVGAEEDELDSPKKCGAAGVTEPLLEPEVKVKESAVGFLEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTAIDGKYLSSEASGNLSTLFDVGGVLGGILAGHISDRLDARAITAASFMYCAIPALFFYRSYGHVSMAVNIALMFITGMFVNGPYALITTAVSADLGTHSSLRGNSRALATVTAIIDGTGSVGAAIGPLLTGYISAKSWSAVFTMLMAAALIAGLLLTRLVVAEVAAKIEESRLRSASRSQPTVIDV >ONI22190 pep chromosome:Prunus_persica_NCBIv2:G2:17138061:17139410:1 gene:PRUPE_2G113000 transcript:ONI22190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEELNRYGGLYVHRYPELKMKVVDGSSLAVAVILNSIPKGTTEVLLRGNLTKVAYATAFALCQKGIQVATLNQTEYLKLTKSLNVTDSRLVLARSYAQKIWLVGDGLSEEEQLRAPKGTLFVPFSQFPQKKLRKDCFYHYTPAMKTPPSLENVHACENWLPRRVMSAWRIAGIVHALEGWKEHECGYNMSNIDKVWQATLQHGFQPLMISTTHTKN >ONI21620 pep chromosome:Prunus_persica_NCBIv2:G2:11585029:11585678:-1 gene:PRUPE_2G076000 transcript:ONI21620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKREAQQRLKEMEGKGKQGGTEQVELPQNEKSEAANTNGTNERPASIRRNPVKATGSHHVGVFNCNNRAQGTGCNIEDNKIDSKDSQFVGVYDCGNESKKPWYKFW >ONI25775 pep chromosome:Prunus_persica_NCBIv2:G2:29833370:29834637:-1 gene:PRUPE_2G320000 transcript:ONI25775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQNLNRVSIVLIAALLCRSVHSQLQVGFYTTSCTLAELIVKDEVRKGFFKNPGVAAGLVRLHFHDCFVRTRGLGYIVPAGRRDGKISQASDTFTNLPPPSFNVDQLSKLFANKGFTQEEMVTLSGAHTIGRSHCTAFSNRLYNFNRTSRQDPSLDPPYAAQLKRQCQQGNSSPNFVVPMNPASPAVTDTSYYADFLTNRGLFTSDQTLLTNSATANQVNQNAKMPFLWRTKFAYAMVKMGRLQVLTGNAGEIRANCRVINS >ONI25776 pep chromosome:Prunus_persica_NCBIv2:G2:29833171:29834085:-1 gene:PRUPE_2G320000 transcript:ONI25776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRGLGYIVPAGRRDGKISQASDTFTNLPPPSFNVDQLSKLFANKGFTQEEMVTLSGAHTIGRSHCTAFSNRLYNFNRTSRQDPSLDPPYAAQLKRQCQQGNSSPNFVVPMNPASPAVTDTSYYADFLTNRGLFTSDQTLLTNSATANQVNQNAKMPFLWRTKFAYAMVKMGRLQVLTGNAGEIRANCRVINS >ONI25799 pep chromosome:Prunus_persica_NCBIv2:G2:29865407:29865715:1 gene:PRUPE_2G320800 transcript:ONI25799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEEHNKKRENKEKQRSRNRVSLSASLPDDVCGVFAGSACLVKYSTDPIFDMRESILEMIRYEGVCDWNDMKELVYCYVALNPPEVHEIVREAFLSLCSN >ONI20528 pep chromosome:Prunus_persica_NCBIv2:G2:2068732:2071591:-1 gene:PRUPE_2G021000 transcript:ONI20528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETRKVVCVTGASGFIASWLVKLLLERAYIVKATVRDPNDPKKTEHLLALEGAKERLHLFKADLLEEGSFDAVVDGCEGVFHTASPVILSSTDPQTELIDPAVKGTLNVLKSCVKFATVKRVVLTSSTAAVIFNGRPLTPDVVVDETWFSDPFVCENFKKWYVLSKTLAEEAAWKFAEGNGIDLVTINPSFVIGPLLQPSLNITVEMILNFKNDVEDVNSSIYSCSDVRDVAAAHVQAFEVPSANGRYCLVGHVTPMAKVLKILHELHPSLCPPEKYEEANPSEPTYQVSQEKAKSLGVNFLPLEVSLSDTVESLKEKGFLKV >ONI23828 pep chromosome:Prunus_persica_NCBIv2:G2:24330060:24335012:1 gene:PRUPE_2G210600 transcript:ONI23828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLSFKAHSAHPNETLSTLLLIIYNCSDQVLTTRGRRLAKSREAAARSARETAKAQQRWKSAKDAAKKHASGLQAHLSRTFSRKKDTPDPEKLKILNQSKPDIDDGLPISPHPSTSGVSLSSPVPSEGKKKEPSELMQIMHKIEEDPDCYEGFSIGAEDTNVGNVPKGKQINTHSQIFKYAYAQLEKEKAQQQEYKDLTFSGVVKMATNHEIRKRPLIEISFKDLTLTLKAKNKHLLRCVTGKIRPGRITAVMGPSGAGKTTFLSALAGKAIGCNMTGLILINGKNISIHSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSADLPEPDKVLVVERVIESLGLQQVRGSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFKMFDDLVLLAKGGLTVYHGSAKKVEEYFAGLGIKVPDRVNPPDHFIDILEGMVATERSSGVSYEELPVRWMLHNGYSVPPDMRQNATRLELFSTDENLNYETNPSNAGTAEQSFAGELWQDVKGTVELHRDKIRLNFLKSKDLSNRRTPGLFQQYRYFLGRVGKQRLREARIQAVDYLILLLAGACLGSLANVSDQTFGAVGYTYTIIAVSLLCKIAALRSFSLDRLHYWRESASGMSSLAYFLAKDTIDHFNTLIKPVVYLSMFYFFTNPRSSFADNYIVLLCLVYCVTGIAYALAIFFEQGAAQLSSVLLPVVMTLIATRPQDSEFLKILAKFCYPRWALEAFVIANAERYSGVWLITRCGSLLKSGYNLHDWNLCIIILTFIGIVSRAVAFFCMVTFQKK >ONI23827 pep chromosome:Prunus_persica_NCBIv2:G2:24327649:24335012:1 gene:PRUPE_2G210600 transcript:ONI23827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSLIGTNFCTFASLGILALSLVHWGQLVQCQDVGDNDQIDNPAVLPFITQILYGRISNVTAVLSRQISNRSSFCVKDPEADWNQAFNFSSNVEFLSSCIQKTKGDVTRRLCTAAEMKFYFNNFFEKSKSANYLKPNKNCNLTSWVSGCEPGWACSVGPNQQIDLENSQDIPARTQTCQPCCEGFFCPHGITCMIPCPSGSYCPMATLNKTTGVCEPYIYQLPPGKPNHTCGGANIWADVGSSSEVFCSAGSYCPTTVKRIPCGSGHYCRMGSTSEKRCFALTSCNPSTANQNMHAYGIMLIAALSTLLLIIYNCSDQVLTTRGRRLAKSREAAARSARETAKAQQRWKSAKDAAKKHASGLQAHLSRTFSRKKDTPDPEKLKILNQSKPDIDDGLPISPHPSTSGVSLSSPVPSEGKKKEPSELMQIMHKIEEDPDCYEGFSIGAEDTNVGNVPKGKQINTHSQIFKYAYAQLEKEKAQQQEYKDLTFSGVVKMATNHEIRKRPLIEISFKDLTLTLKAKNKHLLRCVTGKIRPGRITAVMGPSGAGKTTFLSALAGKAIGCNMTGLILINGKNISIHSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSADLPEPDKVLVVERVIESLGLQQVRGSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFKMFDDLVLLAKGGLTVYHGSAKKVEEYFAGLGIKVPDRVNPPDHFIDILEGMVATERSSGVSYEELPVRWMLHNGYSVPPDMRQNATRLELFSTDENLNYETNPSNAGTAEQSFAGELWQDVKGTVELHRDKIRLNFLKSKDLSNRRTPGLFQQYRYFLGRVGKQRLREARIQAVDYLILLLAGACLGSLANVSDQTFGAVGYTYTIIAVSLLCKIAALRSFSLDRLHYWRESASGMSSLAYFLAKDTIDHFNTLIKPVVYLSMFYFFTNPRSSFADNYIVLLCLVYCVTGIAYALAIFFEQGAAQLSSVLLPVVMTLIATRPQDSEFLKILAKFCYPRWALEAFVIANAERYSGVWLITRCGSLLKSGYNLHDWNLCIIILTFIGIVSRAVAFFCMVTFQKK >ONI23829 pep chromosome:Prunus_persica_NCBIv2:G2:24330062:24335012:1 gene:PRUPE_2G210600 transcript:ONI23829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAALSTLLLIIYNCSDQVLTTRGRRLAKSREAAARSARETAKAQQRWKSAKDAAKKHASGLQAHLSRTFSRKKDTPDPEKLKILNQSKPDIDDGLPISPHPSTSGVSLSSPVPSEGKKKEPSELMQIMHKIEEDPDCYEGFSIGAEDTNVGNVPKGKQINTHSQIFKYAYAQLEKEKAQQQEYKDLTFSGVVKMATNHEIRKRPLIEISFKDLTLTLKAKNKHLLRCVTGKIRPGRITAVMGPSGAGKTTFLSALAGKAIGCNMTGLILINGKNISIHSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSADLPEPDKVLVVERVIESLGLQQVRGSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFKMFDDLVLLAKGGLTVYHGSAKKVEEYFAGLGIKVPDRVNPPDHFIDILEGMVATERSSGVSYEELPVRWMLHNGYSVPPDMRQNATRLELFSTDENLNYETNPSNAGTAEQSFAGELWQDVKGTVELHRDKIRLNFLKSKDLSNRRTPGLFQQYRYFLGRVGKQRLREARIQAVDYLILLLAGACLGSLANVSDQTFGAVGYTYTIIAVSLLCKIAALRSFSLDRLHYWRESASGMSSLAYFLAKDTIDHFNTLIKPVVYLSMFYFFTNPRSSFADNYIVLLCLVYCVTGIAYALAIFFEQGAAQLSSVLLPVVMTLIATRPQDSEFLKILAKFCYPRWALEAFVIANAERYSGVWLITRCGSLLKSGYNLHDWNLCIIILTFIGIVSRAVAFFCMVTFQKK >ONI21850 pep chromosome:Prunus_persica_NCBIv2:G2:14822378:14824635:1 gene:PRUPE_2G093600 transcript:ONI21850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILRYLKVTPRKRLMFCKYGHTDVERKSHGIPSACGNIHNITYPFRLDNDPKPNNCAGRRWYLDLSCDNNLTVLHLYSGKFYVQAINYDNRTIRVVDAGIRSDNFSSIPHYSLAPYNFSSFDSCFPSPSTTTPVTFFKCTKAVNSSSMTSTYDYVKGGNITTSDLEDGCRIEWTTMVSTSLYRKDRNVSYQHIHNALVYGFELQINVRRFVITGL >ONI22048 pep chromosome:Prunus_persica_NCBIv2:G2:16054153:16055615:1 gene:PRUPE_2G104000 transcript:ONI22048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHWSKPSCRHCKEMGKACRSKISEYSLAHVQTAESECLDFPEVGALIIYHVYISNKTEKTNQLRIERFLEDYIAQKPSRYSYADIKRITNQFKDKLGQGAYGTVFKGKLSSELLVAVKILNNSNENNGEDFTNEMGTMGRVHHVNVVRLVGFCADGFIRALVYEFLPNGSLQNFLSSADNKNSFLGWDKLQDIALGIAKGIEYLHQGCDHRILHFDIKPHNILLDQNFTPKVSDFGLAKLCARDQSAISMTTVRGTMGYIAPEVFSRNFGSVSYKSDVYSFGTLLLEMVGGRKNFKVMEDSTGQVYFPEWIYNLLEQGDGLRIHIEDEGDAKIAKKLAIVGLWCVQWHPIDRPPMKVVVHMLEREVENLTIPPNPFCSTSN >ONI23584 pep chromosome:Prunus_persica_NCBIv2:G2:23378983:23382293:-1 gene:PRUPE_2G196500 transcript:ONI23584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGGTSSWLTAVKRAFRSPTKDDEDQKKREKRRWFRKPTNLNQQETATQQAQAPKATDHVSAANSSAAAEQKHVLAVAVATAEAAMATAQAAAEVARLTRPSNHAREQYYAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLKCMQALVRVQARVLDQRTRLSHEGSRKSAFSDTNSVWESRYLQDISDRKSMQSREGSSIADDWDERPHTIEEVKAMLQHRKDAAMKREKALSHAFSQQIWRNGRSPSIGNEDELEERPKWLDRWMAPKPWDSRGRASTDHRDPIKTVEIDTSQPYSSLAPNFRRSSSSQYHQHQQQRPSSPKQRPSSPLHRDRTHQSQPFHHQSPVTPSPSKTRPIQVRSASPRCVREDRSSNASQTPSLRSNYFYSGAMHQHSRGGGTSTIGNSVATLPNYMAATESAKARIRSQSAPRQRPSTPDRERGAGSAKKRLSFPAPDPYGVAMGYGGYGHSLRSPSFKSVATSHFGVEQRSNYSSCCTESHGGEVSPSSTSDLRRWLR >ONI24449 pep chromosome:Prunus_persica_NCBIv2:G2:26054378:26056009:1 gene:PRUPE_2G241000 transcript:ONI24449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTTIFLLLLYFSLFTFLTTHSKPHLPSPYLSPTTIFPNYQNMLKSFKIFIYNPNTPFTFNSPSQSLFYTTLTLQDSVFVTQDAEQAQLFFVPFPSDLSTRSIARLIRGLRNDLPYWNRTLGADHFYLSCAGIGYESDRNLVELKKNSIQISCFPTPAGKFIPHKDISLPPLASSHAPTNKTTRFLGYARFNWLKESTLVNELSSDPEFLIESEPSDLNSYAERIASSKFCLFEYGGGDVSGIGEALRFGCVPAVVTDRPIQDLPFSDVLRWQEIAVFVERRGVGELKRVLARTCGDRHEKMKGLGVTASRHFVWNETPQPLDSFHTLMYQLWLRRHTIRYVRRESA >ONI23409 pep chromosome:Prunus_persica_NCBIv2:G2:22808701:22817420:-1 gene:PRUPE_2G187600 transcript:ONI23409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRPLKFEMPNVKRCKLEEPEATAYEFSANPKKRKTNGYCSVGNGEGEDFSSGSGSSYNEELSWPTKEVQSNLERLINQRELNRSSGKFRRSSRGRIQMLPSRFNDSVIDVYKNRETNFDEEEGYEVLVEDDIEGIEKLGFVKKSQEDIYRHKNSKKFQYYGKEEQEEDDVDCIGYNHFDHRNYTTLNKSGKYSDGFSLGGMEKISKANGAKKKEIYKPQDFALGDIVWAKCGKSYPAWPAVVIDPILQAPKSVLRCCVPGAICVMFYGFSKNGTQRDYGWVRQGMVFPFLQFMDRFRGQTQLYKSKPSDFQMAIEEALLAENGFLDTSFGTTTNSEENPAQFQEATGFYQDQEYYSQSHEAYHKDTRACDCCGLVTPSKAMKKMKGSRSETQLLCTHCAKLKKSKQYCGICQKIWHHSDGGNWVCCDGCNVWVHAECDKISSNVFKDLEHIDYFCPDCKAKSKCGSSDLVKRQKKTSSALHVQKSLNPEQITVVCNGMEGTYVPKLHMVICKCGSCGSKKQTPSEWEKHTGCRAKKWKYSVKVKATMLPLEKWIAEYNEHGFNPANLDKRRLLDFLQEKYEPVNTKWTTERCAVCRWVEDWEDNKIIICNRCQIAVHQECYGAKDVQDFTSWVCRACETPDVIRECCLCPVRGGALKPTDVDTLWVHVSCAWFRREVGFLNHEKMEPAVGILKIPPTTFLKRCVICKQIHGSCTQCCKCATHFHTMCASRAGYSMELHSLEKNGIQITRKLIYCAVHRTPNPDAVVVVHTPSGVFAARNSLQNQKGCFRGARVVPSERTELPEPSTSETNEFEPLSAARCCAFKRSNYKRGEQLAIFHRPMGPTHHSLDTINSLSTFKEVEDSKIFSSFKDRLFHLQKTENHRVCFGKSGIHGWGLFARRNFQEGEMVVEYRGEKVRPSIADLREARYRREGKDCYLFKISDEVVIDATNKGNIARLINHSCMPNCFARIMSVGDEDSRIVLIAKTNVPVGEELTYDYLFDPDEHDELKVPCLCKAPNCRKFMN >ONI22370 pep chromosome:Prunus_persica_NCBIv2:G2:18059430:18060659:1 gene:PRUPE_2G124600 transcript:ONI22370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHKRIYCENFFTGTLKSKEELKSFIRDRQGKILSCYAGTINQGDNFDFSEVILVDALFIIQLFMMDSENPKNDYHILRSRWLRKAVEQDLVLLENQLPYFLLQDLYNFAMPVSCFYPRNEVQAQERENRQQRCFDLDKICYCLPCCRRSHHRDHSVLTVEAAKSVHPFLKLTCEFFKSYSKGKSVRIGVTIKHFTDLVRHFLCPAEEMTWVDTPIKNIYDTRKLKAVGVNFRLLQEVGFVIKGDESHDCNFNLACFTSMDLKLTLICVKDEIECVVRNVMALEQFLYPDSAYICEYFLFMDKLVDTVEDVNLLIESGVLVNKIGCNETVANLINKLCVQIMDDVSCYGGVSGQLNKHYGISFWNRHVAILKGVYLKDLWRGSSTILGLFVLVFSIIGTMKSLEHNLI >ONI20540 pep chromosome:Prunus_persica_NCBIv2:G2:2115889:2119816:-1 gene:PRUPE_2G021700 transcript:ONI20540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVAMVQIVLLLILSFTITEFAFSSDARVIGCLEAEREALIDFKNGLEDPENRLSSWRGSNCCQWWGIHCNNTTSAVIAVDLHNPHPLNPLDDSPGRYGFWNLSGEIRRSLKILQSLKHLDLSFNTFNGISIPAFFGTMKNLEYLNLSHAGFSGGILPNLGNLSSLQYLDVSSNFVSVDNLEWMTGMRSMEYLNMNGADLSMLEPEWIETLNKLSSLTELHLSGCGLSGLIHSPRVINFTSLAVIELDFNGFNSEIPSWLVNISSLESVSISYSGLYGRIPLGFSELPSLKVLDLSGNENLTASCSQLFRGGWKKTEVIYLASNNLHGKLPASFGNMTALTHFNLFVNNVEGEIPSSIGKLCNLRDFRISGNNLTGLPEVLVTGNCSSRTPLPSLQYFDLSVNQLVGKLPEWLVQLENLVELTLSYNSLSGPIPSSLVSLPSISTLDLGHNKLNGTLPDSLGKLSQLSLFDVSFNHLTGIITETHFSQLSNLTFLHLSSNSLTLNVSSNWIPPFQVWNLDLGSCHLGPSFPAWLRSQKEVKFLDFSNATISGSIPNWFWEISSNLSLLNISFNQLGGQLPNLLNFNPHADIDLSSNFFEGPIPLPIVGVELLDLSNNGFSGHIPKTIGETTPNLIFLSLSGNQLIGEIPASIGRVLLLGAIDLSNNMLTGNIPPSIGNCSNLKALDLSKNNLSGNIPSSLAQLRMLQTLHLSDNKLSGGLSQSLQNLSSLETLDIGNNMLTGRIPPWIGKGFEHLRILRLRSNAFFGELPMALSNISSLHVLDLAENQFNGSIPASFGDFKAMARTQNMNRYLFYGMYRGRYYDESLIVNLKGSPQKYTKTLSLVISIDLSGNNLSGDLPEEITKLSGLVVLNLSGNQISGGILQDISKLTQLQSLDLSSNRFSGLIPQSLSSLSFLGYLNLSNNDFSGMIPYTAHLTTFDAASFTGNPGLCGPPLVVSCPGADPGKGGRAGEDNDSGNSFIDKWFYLSVGLGFAAGLLVPFFILAIRKPWSDAYFGFVDKVLERISCLRNRRAVHHKTRRPHLELTCLSFL >ONI23196 pep chromosome:Prunus_persica_NCBIv2:G2:22040232:22042940:-1 gene:PRUPE_2G174300 transcript:ONI23196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLCITLFSFRCRILIISSSSNGTKNRFAVWKKPMLVKGPLGIVSGIELAFFIMFIALLIWSFSTYLHNSFANITPKSAAKNHEKIWESKLDSAALRLGLVGNICLAFLFFPVARGSSILALFGLTSEGSIKYHIWLGHLVMLLFTAHGLCYVIYWAATGQISEMVQWDKTGISNIAGELSLLFGLIMWVTAIPRIRRKIFELFFYTHYLYILFMIFFILHVGMSYSCIMLPGFYLFLVDRFLRFLQSGTRARLICSRVLPCETLELNFAKSPGLKYNPTSVMFINVPSISKMQWHPFTVTSNSSLEPEKISVVIKAEGSWTKKLYQMVSTPSPTDRIEVAVEGPYGPDSTHFLRHDTLVMVSGGSGITPFISIIRELLLMSTALKCRVPKVVLICAFKSSLDLTMLDLILPMSSTSIDMSNLELQIEAYVTTETEPKTDGSKPLRAIWFKPLATDAPISPILGKNHWLCLALIIASSFIMFLILIGIITRYYIYPIDHNSNAIFANWLRSVLNMLAICAAISSTASAVVFWNKKRNAMQNKQIQNMEGPAPMGTPESLFYNADRELESLPQQSLAQATNVHYGGRPDLKKMILEFKGLSVGVLVSGPKKLRHEVATICSSGLVENVHFESISFSW >ONI23195 pep chromosome:Prunus_persica_NCBIv2:G2:22040047:22043556:-1 gene:PRUPE_2G174300 transcript:ONI23195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQVVKSSSPPSHVGIHVMRAAIRLLAVFVVFLGSLFVWFMMPTNTYSQIWRLQIRQKTNSSSNIVMFTFPILFLALLGCVFLHLGKKLNDYKVESSSNGTKNRFAVWKKPMLVKGPLGIVSGIELAFFIMFIALLIWSFSTYLHNSFANITPKSAAKNHEKIWESKLDSAALRLGLVGNICLAFLFFPVARGSSILALFGLTSEGSIKYHIWLGHLVMLLFTAHGLCYVIYWAATGQISEMVQWDKTGISNIAGELSLLFGLIMWVTAIPRIRRKIFELFFYTHYLYILFMIFFILHVGMSYSCIMLPGFYLFLVDRFLRFLQSGTRARLICSRVLPCETLELNFAKSPGLKYNPTSVMFINVPSISKMQWHPFTVTSNSSLEPEKISVVIKAEGSWTKKLYQMVSTPSPTDRIEVAVEGPYGPDSTHFLRHDTLVMVSGGSGITPFISIIRELLLMSTALKCRVPKVVLICAFKSSLDLTMLDLILPMSSTSIDMSNLELQIEAYVTTETEPKTDGSKPLRAIWFKPLATDAPISPILGKNHWLCLALIIASSFIMFLILIGIITRYYIYPIDHNSNAIFANWLRSVLNMLAICAAISSTASAVVFWNKKRNAMQNKQIQNMEGPAPMGTPESLFYNADRELESLPQQSLAQATNVHYGGRPDLKKMILEFKGLSVGVLVSGPKKLRHEVATICSSGLVENVHFESISFSW >ONI23770 pep chromosome:Prunus_persica_NCBIv2:G2:24104556:24106438:1 gene:PRUPE_2G207100 transcript:ONI23770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAVLPPTLKCAVSAVAVLTCALISTLSFALHDAAQDPIIHQVTDNHRPLLGTERSFQMFIEKYGKKYSTRKEYMHRLGIFAKNMVRAAEHQALDPTAVHGVTPFSDLSEEEFERMYTGMRAVPPASSNDGVSDSAPPVMDVGDLPENFDWREKGAVTEVKMQGGCGSCWAFSTTGAIEGANFIATGKLLSLSEQQLVDCDNTCDAKDKTACDSGCGGGLMTNAYEYLIEAGGLEEESTYPYTGRRGECKFNLDKVAVKVANFSTIPIDEDQIAANLVHHGPLAIGLNAMFMQTYIGGVSCPLICFRKWVNHGVLLVGYGAKGYSILRLRNKPYWIIKNSWGEQWGENGYYHLCRGHAMCGMNSMVSAVVTRTRPA >ONI22357 pep chromosome:Prunus_persica_NCBIv2:G2:18021286:18022157:-1 gene:PRUPE_2G123900 transcript:ONI22357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKITIEAQIRCDKCRSKAMKIAVAEDGVISVAFQGPNRDKMVITGDGIDAVDMAKSLRKKLGYADLVSVEEITEKKAVNQDEPKQEKKAENARPQPCSHHPQLEFYIYDPPSTSMCTIL >ONI20264 pep chromosome:Prunus_persica_NCBIv2:G2:508264:511191:1 gene:PRUPE_2G005200 transcript:ONI20264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNNNTNKLSYISVPSQIINSLSSSSLQSLLLSPKKSSRSKNRYLSWFTNYRSPKFWVLFLFLFGFLGMLKLGFNLDPLIPYSPYPCTTTQLQNLVSNGYLKSHMAIASNGENSHQVEVFNSVSHSQPLISNGNLKSEVDVEEAEKSEFWKQPDGLGYRPCLALSNEYKKASVGIVKDKTKYLIVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDLEHFKKVLANDVRIVSSLPSTHIMTRPVEEKRTPLHASPNWIRSRYLKRIKREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPPILGIGNKLAERMSSKGPYLALHLRMEKDVWVRTGCLPGLSPEYDEIVNNERIQRPELLTGRSNMTYHERKLAGLCPLNALEVTRLLKALGAPKNARIYWAGGHPFGGKEALLPLTGEFPHFYNKEDLALPGELEPFSNRASVMAAIDYIVSENSDVFMPSHGGNMGHAIQV >ONI20263 pep chromosome:Prunus_persica_NCBIv2:G2:508224:513226:1 gene:PRUPE_2G005200 transcript:ONI20263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNNNTNKLSYISVPSQIINSLSSSSLQSLLLSPKKSSRSKNRYLSWFTNYRSPKFWVLFLFLFGFLGMLKLGFNLDPLIPYSPYPCTTTQLQNLVSNGYLKSHMAIASNGENSHQVEVFNSVSHSQPLISNGNLKSEVDVEEAEKSEFWKQPDGLGYRPCLALSNEYKKASVGIVKDKTKYLIVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDLEHFKKVLANDVRIVSSLPSTHIMTRPVEEKRTPLHASPNWIRSRYLKRIKREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPPILGIGNKLAERMSSKGPYLALHLRMEKDVWVRTGCLPGLSPEYDEIVNNERIQRPELLTGRSNMTYHERKLAGLCPLNALEVTRLLKALGAPKNARIYWAGGHPFGGKEALLPLTGEFPHFYNKEDLALPGELEPFSNRASVMAAIDYIVSENSDVFMPSHGGNMGHAIQGYRAYAGHKKYITPDKRHMLPYFLNSSLPEAEFNRIIKELHKDSLGQPDLRTSRAGKDVTKYPVPECMCNDSRTHSYL >ONI25123 pep chromosome:Prunus_persica_NCBIv2:G2:28063201:28066458:-1 gene:PRUPE_2G282400 transcript:ONI25123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLQSEQLLQMETTCGSLLYELQIIWNEVGESDKDRDKMLLELEQECLEVYRRKVDQANRCRAQLRQAIADSEAELAAICSAMGERPVHIRQSDQNAGSLKEELSKIVPQLEEMKKRKLDRKNNFLEVLEEIQIISSEINGSTEYSSSKTVVDETDLSLRRLEELHRQLHALQTEKSDRLKQIQDHLCTLNSLCLVLGMDFKQTSSEVHPSLDDSEGCKNISNDTIERLAAAIQKLREVKLQRMQRLQDLASTMLELWNLMDTPIEEQQMFQNITCNIAASEHEITEPNTLSVDFINYVEAEVSRLEELKSSKMKELVLKKRSELEEICRKTHMVLEADSAIDYVIEAIDSGDVDPACVLDQIELQVAKVKEEAFSRKEILEKVDKWLFACDEECWLEEYNRDENRYNAGRGAHLTLKRAEKARALVNKLPAMVDALASKTIAWEKERGIDFTYDGIPLLSMLEEYTILREEKEQERRRQRDQKKIQGQLIAEQEALYGSKPSPSKPQSVKKAPRMSTGGASNRRLSMQAPKPDPLHSIKATPHSRPTPRKADRIHQNEQLSNYLDDGFSALSSGEDSASST >ONI25124 pep chromosome:Prunus_persica_NCBIv2:G2:28063201:28066458:-1 gene:PRUPE_2G282400 transcript:ONI25124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLQSEQLLQMETTCGSLLYELQIIWNEVGESDKDRDKMLLELEQECLEVYRRKVDQANRCRAQLRQAIADSEAELAAICSAMGERPVHIRQSDQNAGSLKEELSKIVPQLEEMKKRKLDRKNNFLEVLEEIQIISSEINGSTEYSSSKTVVDETDLSLRRLEELHRQLHALQTEKSDRLKQIQDHLCTLNSLCLVLGMDFKQTSSEVHPSLDDSEGCKNISNDTIERLAAAIQKLREVKLQRMQRLQDLASTMLELWNLMDTPIEEQQMFQNITCNIAASEHEITEPNTLSVDFINYVEAEVSRLEELKSSKMKELVLKKRSELEEICRKTHMVLEADSAIDYVIEAIDSGDVDPACVLDQIELQVAKVKEEAFSRKEILEKVDKWLFACDEECWLEEYNRDENRYNAGRGAHLTLKRAEKARALVNKLPAMVDALASKTIAWEKERGIDFTYDGIPLLSMLEEYTILREEKEQERRRQRDQKKIQGQLIAEQEALYGSKPSPSKPQSVKKAPRMSTGGASNRRLSMQAPKPDPLHSIKATPHSRPTPRKADRIHQNEQLSNYLDDGFSALSSGEDSASST >ONI25122 pep chromosome:Prunus_persica_NCBIv2:G2:28062359:28067554:-1 gene:PRUPE_2G282400 transcript:ONI25122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLQSEQLLQMETTCGSLLYELQIIWNEVGESDKDRDKMLLELEQECLEVYRRKVDQANRCRAQLRQAIADSEAELAAICSAMGERPVHIRQSDQNAGSLKEELSKIVPQLEEMKKRKLDRKNNFLEVLEEIQIISSEINGSTEYSSSKTVVDETDLSLRRLEELHRQLHALQTEKSDRLKQIQDHLCTLNSLCLVLGMDFKQTSSEVHPSLDDSEGCKNISNDTIERLAAAIQKLREVKLQRMQRLQDLASTMLELWNLMDTPIEEQQMFQNITCNIAASEHEITEPNTLSVDFINYVEAEVSRLEELKSSKMKELVLKKRSELEEICRKTHMVLEADSAIDYVIEAIDSGDVDPACVLDQIELQVAKVKEEAFSRKEILEKVDKWLFACDEECWLEEYNRDENRYNAGRGAHLTLKRAEKARALVNKLPAMVDALASKTIAWEKERGIDFTYDGIPLLSMLEEYTILREEKEQERRRQRDQKKIQGQLIAEQEALYGSKPSPSKPQSVKKAPRMSTGGASNRRLSMQAPKPDPLHSIKATPHSRPTPRKADRIHQNEQLSNYLDDGFSALSSGRRGLDVAGLPVKKHSFGATNASEVETPTMRKPFSPISIPTENGAITTPLKSTNLADEENKTPKVMPIFVPTTPSTVSVPMQTAMTPAYPPIPFAANVVEEIPEEIEYSFEERRAGFVLPKAHIKSMIQV >ONI22959 pep chromosome:Prunus_persica_NCBIv2:G2:21247860:21250095:1 gene:PRUPE_2G160600 transcript:ONI22959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGGGGGCAGGRRDELWVLTAGFSQRRGPGRVGVGIGYAVVTGANKGIGLETVRQLASNGFTVVLTARDEKRGLEAAEKLKESGLSGQVVFHQLDVANPATVASLADFIKTQFGKLDILVNNAGIFGSILDGDAFKAVIASGAVEGGEVDLSKLVTETYELTEKCLQINYYGAKRTAEALIPLLQLSDSPRIVNVSSGSGKLKKIPSDWAKGVFTDAENLTEERVDKVLTELLKDFKEGSLESKGWPSSMPAYIVSKAALNAYTRILGKKYPTFRINSVCPGFVKTDINFNAGVLPVEEGGARIVKLALLPNDGPTGSFFVHDEVSDF >ONI21827 pep chromosome:Prunus_persica_NCBIv2:G2:14475129:14476391:-1 gene:PRUPE_2G091800 transcript:ONI21827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLESSKFSLFKFKACSLSSQVTPFGPFNSFVQKRWRKPIISAQTRLEDRTRDSNLDKLTTHLKKLDIILKLHVLVSSRKRGPFVSLQLMSRWRNLVGLNVGIGAFVHKYPHVFEVFTHPVRRNLCCRISKKMMGLIEEEADAMKQLELEVVRRVKKLLMMSVSGTLHLHALRLVRRELGLPVDFRESILGKYSKDFKLVDLEVVELISRDENLEVAEIEKWREKEFREKWLSEFETKYAFPINFPTGFEIQAGFRDKLKNWQRLPYVKPYDRKESVRIRTCGGIERYEKRAVGILHEFLSLTTEKMVEVERLAHFRRDFAMDVNVRELILKHPGIFYISTRRNSLTVFLREAYSKGCLIQSNQIYDVRRKMLDLVFLGSRNTREMPAQKEIKEFKVDGDGIMDGDWVIPMLENLENGS >ONI22738 pep chromosome:Prunus_persica_NCBIv2:G2:20376530:20379747:-1 gene:PRUPE_2G147600 transcript:ONI22738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPPRTATTTATHATAKTQVKRPRSIFDVPANFFDSCELLQSSSLSTVSTSETSTYNSAVETLDDSEPERNEEIRSQNAVGAPRWTCNTCKAEFDSLEDQRAHFKSDIHRFNVKLSVAGKSIVKEEDFDELTSDSFKDYDVSSISGSEEDEPEKGFGSLKFSRASASIRQQKLFIYLQTGERVSVSKCLITNESGPESTLSCEEVIERLKTLVHEPRDKTHLRIVLLASGGHFAGCVFDGNLIVAHKTFHRYVVRAKAGKKQSSKDASGRAAHSAGASLRRHNELALKKEIQELLAAWKPYFDASSCVFVSAPSSNHQLLFNGEKPIFSHQHCLVRNVPMTVRRPTYKEARRIYEQLTQVAYELDVNEAPSSSKEDPPYSSGIDRNIIPRMEEVDIVDSFDCTEKNEAFPNYGKSVELPISSDSDGEEICSSTPLHEAAQSGDTHKVLELLEQGLDPCIKDERGQTPYMLASEKEVRNTFRRFMASNPDKWDWHAAKVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLRKEKEKKAQVASVDSHLPQHWSIGGIGKRELLISFSILALFLLSSKK >ONI22737 pep chromosome:Prunus_persica_NCBIv2:G2:20375462:20379872:-1 gene:PRUPE_2G147600 transcript:ONI22737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPPRTATTTATHATAKTQVKRPRSIFDVPANFFDSCELLQSSSLSTVSTSETSTYNSAVETLDDSEPERNEEIRSQNAVGAPRWTCNTCKAEFDSLEDQRAHFKSDIHRFNVKLSVAGKSIVKEEDFDELTSDSFKDYDVSSISGSEEDEPEKGFGSLKFSRASASIRQQKLFIYLQTGERVSVSKCLITNESGPESTLSCEEVIERLKTLVHEPRDKTHLRIVLLASGGHFAGCVFDGNLIVAHKTFHRYVVRAKAGKKQSSKDASGRAAHSAGASLRRHNELALKKEIQELLAAWKPYFDASSCVFVSAPSSNHQLLFNGEKPIFSHQHCLVRNVPMTVRRPTYKEARRIYEQLTQVAYELDVNEAPSSSKEDPPYSSGIDRNIIPRMEEVDIVDSFDCTEKNEAFPNYGKSVELPISSDSDGEEICSSTPLHEAAQSGDTHKVLELLEQGLDPCIKDERGQTPYMLASEKEVRNTFRRFMASNPDKWDWHAAKVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLRKEKEKKAQAQAAVSQNASTDLRNQGPSTSSILKGKPQSSSGSQISKEEELKRAQAAEREKRAAAAERRRAAAEALSAQGNSTATAPSTAQPKSGVAGEINCSCCNVSLAGKVPFHRYNYKYCSTSCMHVHREILEDG >ONI23893 pep chromosome:Prunus_persica_NCBIv2:G2:24555248:24561351:-1 gene:PRUPE_2G214400 transcript:ONI23893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSKPFLGASRTDGLSGLCSISSDLRNLSSPSVQFLIRPRTLRKLQVQAAGNTYGNFFRVTTFGESHGGGVGCVIDGCPPRMPLSEADLQGDLDRRRPGQSRITTPRKETDTCRILSGVHEGVTTGTPILVLVPNTDQRGRDYDEMSIAYRPSHADRTYDLKYGVRSVQGGGRSSARETIGRVAAGAVAKKILKAFSGTEVLAYVSQVHKVVLPEESVNHYTLALDQVESNIVRCPDTEYAEKMIAAIDAVRVKGESIGGVVTCIVRNCPPGLGSPVFDKLEAEFAKAVLSLPATKGFEFGSGFAGTFLTGSEHNDEFYLDEQGKIRTKTNRSGGTQGGISNGEIINMRIAFKPTATISVSLTSTHLSLSLSIPPLSHNFDTGIPVYYFQLALLTS >ONI23892 pep chromosome:Prunus_persica_NCBIv2:G2:24554454:24561351:-1 gene:PRUPE_2G214400 transcript:ONI23892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSKPFLGASRTDGLSGLCSISSDLRNLSSPSVQFLIRPRTLRKLQVQAAGNTYGNFFRVTTFGESHGGGVGCVIDGCPPRMPLSEADLQGDLDRRRPGQSRITTPRKETDTCRILSGVHEGVTTGTPILVLVPNTDQRGRDYDEMSIAYRPSHADRTYDLKYGVRSVQGGGRSSARETIGRVAAGAVAKKILKAFSGTEVLAYVSQVHKVVLPEESVNHYTLALDQVESNIVRCPDTEYAEKMIAAIDAVRVKGESIGGVVTCIVRNCPPGLGSPVFDKLEAEFAKAVLSLPATKGFEFGSGFAGTFLTGSEHNDEFYLDEQGKIRTKTNRSGGTQGGISNGEIINMRIAFKPTATISKKQNTVTRDKHEIELIARGRHDPCVVPRAVPMVEATIALALVDQLMAHYAQCHMFPINPELQEPLRVTEVEAAEEAALHS >ONI23894 pep chromosome:Prunus_persica_NCBIv2:G2:24556173:24561095:-1 gene:PRUPE_2G214400 transcript:ONI23894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSKPFLGASRTDGLSGLCSISSDLRNLSSPSVQFLIRPRTLRKLQVQAAGNTYGNFFRVTTFGESHGGGVGCVIDGCPPRMPLSEADLQGDLDRRRPGQSRITTPRKETDTCRILSGVHEGVTTGTPILVLVPNTDQRGRDYDEMSIAYRPSHADRTYDLKYGVRSVQGGGRSSARETIGRVAAGAVAKKILKAFSGTEVLAYVSQVHKVVLPEESVNHYTLALDQVESNIVRCPDTEYAEKMIAAIDAVRVKGESIGGVVTCIVRNCPPGLGSPVFDKLEAEFAKAVLSLPATKGFEFGSGFAGTFLTGSEHNDEFYLDEQGKIRTKTNRSGGTQGGISNGEIINMRIAFKPTATISVSLTSTHLSLSLSIPPLSHNFDTGIPVYYFQLALLTS >ONI25885 pep chromosome:Prunus_persica_NCBIv2:G2:30032812:30034737:1 gene:PRUPE_2G324700 transcript:ONI25885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQPIDDDHIVYEHHVAALAFPFSTHASPTLALVRRLAAASPNTLFSFFSTSQSNNSLFSNTITNLPRNIKVFDVADGVPEGYVFAGKPQEDIELFMKAAPHNFTTSLDACVAHTGKRLTCLITDAFLWFGANLAHDLGVPWLPLWLSGLNSLSLHVHTDLLRHTIGTQSIAGRENELITKNVNIPGMSKVRIKDLPEGVIFGNLDSVFSRMLHQMGQLLPRANAVLVNSFEELDIAVTNDLKSKFNKLLNVGPFNLAAAASPPLPEAPTAADDVTGCLSWLDKQKAASSVVYVSFGSVARPPEKELMAMAQALEASGVPFLWSLKDSFKTPLLNELLIKATNGMVVPWAPQPRVLAHASVGAFVTHCGWSSLLETIAGGVPMICRPFFGDQRVNARLVEDVLEIGVTVEDGVFTKHGMIKYFDQVLSQQRGKKMRENINTVKLLAQQSVEPKGGSAQNFKLLLDVISGSTKV >ONI23704 pep chromosome:Prunus_persica_NCBIv2:G2:23891043:23894028:-1 gene:PRUPE_2G202800 transcript:ONI23704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSRAAEEEEGDLLLPVGFRFRPTDEELVNYYLKNKLEGMHSHAENIIDEIDILKFEPWDLPDKSLIKSDDENWFFFYKYKKGSRATKEGFWKITSKDRVIKARDNRTVIAKKRILTFYIGRVRESTKTNWVIHEYYIPDDAHPNANKQRDFVLCRLKKNVKKSDENADVATCDEGEPSTHNASAFENQPVHSMESLEEDIQQRENPDYFERTMDRWLASSRSNNDHNATFADNDSESEFEEALRTLIPKPVHARVVGLQGRTYTSSPPMRDTEVILHNQLSRPAASPVHVAPKPQTSELQLQSGTSEGTHRPQPRSINVLRDTSAVNVDAFNVSINCIQLATDDEYYTKERTRRRRYPPVGKLREIKLQQSKAKEPEERRTSVDLPQKQISITKSSIDRKVAQGKNAEKDVEQTQNRTTPSNWKGSFITWQTFPLTSPPSVYICNTVLGAILFYFCVREVVLYGKWC >ONI22877 pep chromosome:Prunus_persica_NCBIv2:G2:20977142:20981464:-1 gene:PRUPE_2G156300 transcript:ONI22877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCFEARRGTRVLLKSLDMGLCMDFLNWLEPDMSIQVLTCLDDPTDLVRISSVSRSWRHFVIENGLCKKLCLRLFPQLSRVAQVIEINNPDTKAEVGSSNSKELETLEREHRVYAFLACGFTSFNVGDSIKRALSASSTDNFPEESIRNTLEPRDVVGRRASYWSSKGQSDPAVPEVLIYKLVSDFVVINEINIQPFKAYFQLGEPIYSAKAVRFRFGHAKSPMDVESDPMGESFPDKKFIWTYTSQVFPMTQENILQTFKLPEPVLCIGGFLQIELLGRVQRQEMDGLFYICVSHVQAKGKSLSPAFGVEIHEPSGQFVLKNNIQAKGNTQPSLPDNDSDVNADLDLERDIRDMLPFVNMLQGNGVDFDEFEWNDVEEGMDEEFVEEGMDEEFVV >ONI22878 pep chromosome:Prunus_persica_NCBIv2:G2:20976885:20981738:-1 gene:PRUPE_2G156300 transcript:ONI22878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCMDFLNWLEPDMSIQVLTCLDDPTDLVRISSVSRSWRHFVIENGLCKKLCLRLFPQLSRVAQVIEINNPDTKAEVGSSNSKELETLEREHRVYAFLACGFTSFNVGDSIKRALSASSTDNFPEESIRNTLEPRDVVGRRASYWSSKGQSDPAVPEVLIYKLVSDFVVINEINIQPFKAYFQLGEPIYSAKAVRFRFGHAKSPMDVESDPMGESFPDKKFIWTYTSQVFPMTQENILQTFKLPEPVLCIGGFLQIELLGRVQRQEMDGLFYICVSHVQAKGKSLSPAFGVEIHEPSGQFVLKNNIQAKGNTQPSLPDNDSDVNADLDLERDIRDMLPFVNMLQGNGVDFDEFEWNDVEEGMDEEFVEEGMDEEFVV >ONI20789 pep chromosome:Prunus_persica_NCBIv2:G2:3643599:3644006:1 gene:PRUPE_2G033800 transcript:ONI20789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGLVPAGAIVVDVLSKDNYEHWSALVKNYLLAQDLWDVVEAETERPVPEEADQLIGRKKTAAALHAIQISCGPDAFSVIKEISSANIAWDILAQTFKPQRWRSVQSANSSNNPDLI >ONI20283 pep chromosome:Prunus_persica_NCBIv2:G2:660882:661070:-1 gene:PRUPE_2G006700 transcript:ONI20283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSAFWNCFMPSLSSQRVSDDAGGSSGVKASKESKCKSKSSSEAPLVVSYFPSNSFLSRL >ONI22901 pep chromosome:Prunus_persica_NCBIv2:G2:21128178:21131141:1 gene:PRUPE_2G158000 transcript:ONI22901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLPSSLHRLSLLFKPSSPQNHRLLTGFFTRLGRLIKEKAKSDVGKLFSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPKITTKIVESLREDTVAGKLKSGSEIKEALKKSVLDLLTTKGSKTELQLGYRKPAVVMIVGVNGGGKTTSLLAYRLKKEGAKMAAGDTFRAAACDQLEICAERMGCEIVVAEKEKAKAASVISQAVKRGKEQGYDIVLCDTSGRLHTNYSLMEELIACKKAVSKVIPGAPNEILQVLDGTMGLNMLPQAREFNEIVGITGLILTKLDGSARGGCVVSVVNELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFS >ONI23076 pep chromosome:Prunus_persica_NCBIv2:G2:21664424:21668507:1 gene:PRUPE_2G168800 transcript:ONI23076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLISFACMLTFVLYIFPKEFPLFVLIITVANMVEARCCFKLLHAIIVVLLLHMSSPCVGCSERDRQALLALKQGLVGDDGDRLLSWGRDAQNKDCCQWDGVYCNNQTGHVVKLDLGDQSLQGKISPKLVHLQHLEYLNLSFNNFNWSKIPDFIGSMSNLRHLDLSSANFGGEIPYQLENLTHLQYLDLSFNGYSVIHAKNLNWLPNRSGLKHLDLTYTNLSDVVGWLEAVNTLPKLRNLILHGCNLPPPIISSVSVLNSSKSLIRVDLSFNNLDSSIFQWLSGTHTNLVYLDLSENNFNGSSIPDYFGNMSSLAYLSLYYNKLEGGIPNSFAKLCRLRELDLGLNSLSGQLSDFIETLSKCAQKTLESLYISDNPNISGSLPDLTNFLSLKHLFLESNNLSGRIPESIGQMSKLETIGFGWNSLEGVISETHFSKLSKLSSLSLSSDSLLLNFSFDWIPPFQLQDIFLKSCKMRPSSFPKWLQTQKNYTWLDISDAGISDTIPSWFWDLSQKLEGMDISHNQMRGTVGNIRLEFAPRLNLSWNQLNGPIPSILSKASVLDLSHNNFSGAASFLCATEDSNLTFLDLSSNHVSGELPDCWIHFKKLVFLDFSNNYLFGKIPTTMGHLFSIDTLRLSNNRFVGDLPSQLKNCTKLTLFDLGENNLSCSIPEWLGASLPNLTILILRGNQFYKSIPPQLCHLTSVQILDLSMNNISGTIPKCLNNLIVLAHKGNSSRIIQHSYMTQLGETRYFWHYEEEASLTWKGVSSKYKSTLGLVKSIDLSSNKLTGEIPSEITDLVGLVSLNLSRNQLTGQIPPRIGMLQELDFL >ONI23079 pep chromosome:Prunus_persica_NCBIv2:G2:21675982:21678455:1 gene:PRUPE_2G169100 transcript:ONI23079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLAYLILSGRKLEGGIPNSFAKLCRLRELNLGFNSLSGQLSDFVETLSKCAQKTLESLYLSQNPDLSGSLPDLTNFLSLKHLSLGGNNLSGRIPESIGQMSKLETIGFDGNSLDGVISETHFSKLSKLSYLSLSSNSLLLNFSFDWIPPFQLQRIILKSCKMWLSSFPKWLQTQKNYTWLDISDAGISDTIPSWFWDLPQKLVGMDISHNQMRGTVGNIRLEFAPRLNLSWNQLNGPIPSILSKASVLDLSHNNFSGAAFFSCATEDSNLTFLDLSSNHVSGELPDCWIHFKKLVFLDFSNNYLFGKIPTTMGHLFSIETLRLSNNRFVGQLPSQLKNCTKLTLFDLGENSLSCSIPEWLGASLPNLTILILRGNQFYRSIPPQLCHLTSIQILDLSMNNISGTIPKCLNNLIVLAHKGNSSRIIQHSYMTQLGELNFIWHYEEEASLTWKGVRSKYKSTLGLVKSIDLSSNKLTGEIPSEITDLVGLVSLNLSRNQLTGQIPPRIGMLQELDFLDLSRNQINGRIPNSLSQIDRIRYLDLSENNLSGKIPIGTQLQSFSPSSYGGNPLLCGLPLLRTCNEEEKGPRQTVLVNEDNKDGLISQGFYISLGLGFAVGFWGVFGTLLFNRSCRYTYFNFWICFTDWLYVKTEIIRQRIRNTR >ONI24815 pep chromosome:Prunus_persica_NCBIv2:G2:27148520:27150284:-1 gene:PRUPE_2G263600 transcript:ONI24815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSHLAIALLFSVLNLCLQGTYGDYGGGWEGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGLSCGSCYEMRCDSDPKWCLPGSIIVTATNFCPPNLAQSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVSFRRVSCVKKGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKTGWQAMSRNWGQNWQSNSYLNGQALSFQVTTSDGRTVTSNAVPANWQFGQTFSGGQF >ONI24814 pep chromosome:Prunus_persica_NCBIv2:G2:27148381:27150400:-1 gene:PRUPE_2G263600 transcript:ONI24814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSHLAIALLFSVLNLCLQGTYGDYGGGWEGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGLSCGSCYEMRCDSDPKWCLPGSIIVTATNFCPPNLAQSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVSFRRVSCVKKGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKTGWQAMSRNWGQNWQSNSYLNGQALSFQVTTSDGRTVTSNAVPANWQFGQTFSGGQF >ONI24698 pep chromosome:Prunus_persica_NCBIv2:G2:26807279:26810901:-1 gene:PRUPE_2G256100 transcript:ONI24698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKGSPASSHLITRGENLGPLFSSAPSLSSSKLSYSFSPLNSFTSLNSILRFPPTRNEGGVVVCASKNANNRPLTGVVFEPFEEVKKELELVPTLPQVSLARQKFTNESEAAINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSEEERDHAEKLMEYQNKRGGRVKLQSILMPVSEFDHAEKGDALYAMELALSLEKLTNEKLLNLHHVAEKNKDVQLTDFVESEFLTEQVEAIKKISEYVAQLRRVGKGHGVWHFDQALLHGDGAVDAIAA >ONI24594 pep chromosome:Prunus_persica_NCBIv2:G2:26441288:26443733:-1 gene:PRUPE_2G248900 transcript:ONI24594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTAAVTTYFFGARLPNPGPSFGRFQARFGNFGGFSLGTKKSPPPPPPKQKQKFDDGERPVWFPGAEPPEWLDGSLVGDRGFDPFGLGKPAEYLQFDYDGLDQNLAKNVAGDVIGTRIETAEVNPTPFQPYSEVFGLQRFRECELIHGRWAMLGALGALAVEGLTGVAWQDAGKVELIEGSSYLGLPLPFSITTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGKFFDPLGLASDPEEKERLQLAEIKHARLAMVVFLIFGIQAAVTGKGPVSFVATFNK >ONI22005 pep chromosome:Prunus_persica_NCBIv2:G2:15747081:15748241:1 gene:PRUPE_2G101600 transcript:ONI22005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKLFRTWSSPFALRIVWALKLKGVPYETIYEDLSNKSPLLLQYNPIHKKVPVLVHNGKPIAESLVILEYIDETWKENPLLPEDPLERAAARFWAKFGDEKAVPSIWESFTNEGKEQEEAIVKAKENLKYLEEELKGKKFFGGEKLGLADIALGLLAHYESVFEEVAGMKLLTEEEFPLLSAWKLTFADAPIIKDNWPSRDKLVAKFQATREDQLLKKAPK >ONI22208 pep chromosome:Prunus_persica_NCBIv2:G2:17230428:17234216:-1 gene:PRUPE_2G114200 transcript:ONI22208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFRSTKGEKGFMAWKIDLSKVYDRLSWNFIEVVLHEVGLPTSLIQLIMEYVSSVTYQVCVNEDLTSTFTPSNGTRQGDPLSPYLFVLCIDKLSHLIMEAVGKQIWKPIKAYQAGPAVSHLFFADDLILFAEASSHQARTMLRPFFKEVAANISCICGSPLTTNLGKYLRMPLLHSRVTKVTYSNLVDKKHKIHLCQWDLVCKPKSKGGLGLKKTHDINQALQAKGGWRLLRKDEGLLIWRLRKGDKYVPLMQIVDLAPDLNLNSLVSDFFVNGWWDVEKLKSVLPEEWAQKVTGCSANLQGVLEDCHIWKPTSNRLFSVKSAYSLLF >ONI22993 pep chromosome:Prunus_persica_NCBIv2:G2:21318785:21329667:-1 gene:PRUPE_2G162700 transcript:ONI22993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLACSFRQPNVLCGSEGAGYTNLNRFDSPIIFRSKDVSCNFLGNSRIVVKACSGKTVKRTVCFSGCRISRLAYREKTDDHLWNLNLKMPLSCSFGNVVKGSRAVWWSRCQSNDSLAYVNGNGRNVEYVEGHDESSGVGSVHGAELSGSKEEDGHEEQKEGSEAPILDEMRELLQNAMRELEAARRNSTMFEEKAQKISEAAISLQDEAENAWNNVNSTLDTIQEIVNEECVAKEGVQKATMALSLAEARLQVALESLEVAKRGTDSPEILQESDGEHDCKAEEKTLLVAQEDIKECQANLANSEVELRRLQSKKEELQKEVDRLNEAAEKAQLNALKAEEDVTNVMLLAEQAVAFELEAAQRVNDAEISLQRAEKSISNSIADTTENNQGQVLSDDATLEEEEKVVQGSSAEIIVEKDRDVAVDGDVLAVKPLPDSSSDKISLSLEDANQSVDLSDHENGKLYLDSLKEAEVEADKSKNVVQTKKQETQKDLPRESSPSNAPKTLLKKSSRFFSASFFSSADGTPTSVFQGLMEYARKQWPKLVVGIFLFGVGLTFYTNRAERAAQLIQQPEVMTTSIEEVSSSAKPLVRELQKLPRRIKKLIDMLPHQEVNEEEASLFDMLWLLLASVIFVPVFQRIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAIVVGVVAHYVCGLPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYRQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIAGTLGLLIGGKSLLVVLIGKIFGVSIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMAITPWLAAGGQLIASRFEVHDVRSLLPVESEIIAQLLSERLIPFVALDVRSDRVAVGRSLDVPVYFGDAGSREVLHKVGAERACAAAITLDSPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINEYRSRHLAELTELCETSGSSLGYGFSRMMSKPKPPSSDSTDENQFTEGTLAI >ONI22994 pep chromosome:Prunus_persica_NCBIv2:G2:21319232:21328896:-1 gene:PRUPE_2G162700 transcript:ONI22994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLACSFRQPNVLCGSEGAGYTNLNRFDSPIIFRSKDVSCNFLGNSRIVVKACSGKTVKRTVCFSGCRISRLAYREKTDDHLWNLNLKMPLSCSFGNVVKGSRAVWWSRCQSNDSLAYVNGNGRNVEYVEGHDESSGVGSVHGAELSGSKEEDGHEEQKEGSEAPILDEMRELLQNAMRELEAARRNSTMFEEKAQKISEAAISLQDEAENAWNNVNSTLDTIQEIVNEECVAKEGVQKATMALSLAEARLQVALESLEVAKRGTDSPEILQESDGEHDCKAEEKTLLVAQEDIKECQANLANSEVELRRLQSKKEELQKEVDRLNEAAEKAQLNALKAEEDVTNVMLLAEQAVAFELEAAQRVNDAEISLQRAEKSISNSIADTTENNQGQVLSDDATLEEEEKVVQGSSAEIIVEKDRDVAVDGDVLAVKPLPDSSSDKISLSLEDANQSVDLSDHENGKLYLDSLKEAEVEADKSKNVVQTKKQETQKDLPRESSPSNAPKTLLKKSSRFFSASFFSSADGTPTSVFQGLMEYARKQWPKLVVGIFLFGVGLTFYTNRAERAAQLIQQPEVMTTSIEEVSSSAKPLVRELQKLPRRIKKLIDMLPHQEVNEEEASLFDMLWLLLASVIFVPVFQRIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAIVVGVVAHYVCGLPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYRQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIAGTLGLLIGGKSLLVVLIGKIFGVSIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMAITPWLAAGGQLIASRFEVHDVRSLLPVESETDDLQGHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRSLDVPVYFGDAGSREVLHKVGAERACAAAITLDSPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINEYRSRHLAELTELCETSGSSLGYGFSRMMSKPKPPSSDSTDENQFTEGTLAI >ONI22260 pep chromosome:Prunus_persica_NCBIv2:G2:17559533:17561528:1 gene:PRUPE_2G117600 transcript:ONI22260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTTPKLKKPPKPSKPITELWWFFAAAACVKLLLIPAYKSTDFEVHRHWLAITHSLPLSQWYFDETSPWTLDYPPFFAYFERFLSIFANLIDPQIVHVQKGLNYSSNTVLYFQRISVIFSDLCLLYGVYRLTRKLYSTRQKLMWVLVVWSPMLVILERLHFQYNGFFLGILLISLSYLEEGRDLMGGLVFAVLLCFKHLFAVAAPVYFVYLLWHYCWKGFVRGFWRLFILGAIVVAVFAVAYRPFIYHGQVISMALTVCL >ONI23434 pep chromosome:Prunus_persica_NCBIv2:G2:22911492:22912672:-1 gene:PRUPE_2G189500 transcript:ONI23434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRLAVASSRSRRFLSCNSSHDLARTIAELNKEMESVFGEPPANGPASSPSNDFMPQEPQLAPQEKGDSELGLTHIGNKGEAQMVDVSPKESSKRTAISSGKVILGKQVFDLVLANQMAKGDVLSVAKLAGISGAKHTSSLIPLCHNIPLTHVRVDLTLNPKDYSVDIEAEASSTGKTGVEMEAMTAVSVAGLTVYDMCKAASKSIQITDIRLESKTGGKSGDWSRKE >ONI23433 pep chromosome:Prunus_persica_NCBIv2:G2:22911203:22913393:-1 gene:PRUPE_2G189500 transcript:ONI23433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRLAVASSRSRRFLSCNSSHDLARTIAELNKEMESVFGEPPANGPASSPSNDFMPQEPQLAPQEKGDSELGLTHIGNKGEAQMVDVSPKESSKRTAISSGKVILGKQVFDLVLANQMAKGDVLSVAKLAGISGAKHTSSLIPLCHNIPLTHVRVDLTLNPKDYSVDIEAEASSTGKTGVEMEAMTAVSVAGLTVYDMCKAASKSIQITDIRLESKTGGKSGDWSRKE >ONI23435 pep chromosome:Prunus_persica_NCBIv2:G2:22911183:22913393:-1 gene:PRUPE_2G189500 transcript:ONI23435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRLAVASSRSRRFLSCNSSHDLARTIAELNKEMESVFGEPPANGPASSPSNDFMPQEPQLAPQEKGDSELGLTHIGNKGEAQMVDVSPKESSKRTAISSGKVILGKQVFDLVLANQMAKGDVLSVAKLAGISGAKHTSSLIPLCHNIPLTHVRVDLTLNPKDYSVDIEAEASSTGKTGVEMEAMTAVSVAGLTVYDMCKAASKSIQITDIRLESKTGGKSGDWSRKE >ONI24768 pep chromosome:Prunus_persica_NCBIv2:G2:27062570:27065556:1 gene:PRUPE_2G261600 transcript:ONI24768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKGKVLMQKYEIGRLLGQGNFAKVYHARHLQTGQNVAIKVINKEKVTKVGLTDQTKREISVMRLVKHPNIVQLYEVMATKTKIYFVLEYVKGGELFHKVSKGRLKEDMARMYFQQLISAVDFCHSRGVYHRDLKPENLLLDENGTLKISDFGLSALAESKRQDGLLHTTCGTPAYVAPEVVGRKAYDGEKADIWSCGVILYVLLAGCLPFHDTNLIQMYKKIGKAEYKCPSWFSVEVRKLLSRILNPNPNKRILISNVMESPWFRKGFSSKSITTITEVEKRALVDVDAAFDNCDSSDHNTEAKQELARPTNLNAFDIISLSSGFDLSGLFMGKDTKKEAQFTSVQSASSIISKLEDIAQQLRLKVNKDGGLLKLEKSKDGRKGALSIETEIFEFTPSFYLVEMKKSNGDTLEFKKILKEDIRPALKDIVWAWQGEEKQ >ONI24769 pep chromosome:Prunus_persica_NCBIv2:G2:27062705:27065556:1 gene:PRUPE_2G261600 transcript:ONI24769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKGKVLMQKYEIGRLLGQGNFAKVYHARHLQTGQNVAIKVINKEKVTKVGLTDQTKREISVMRLVKHPNIVQLYEVMATKTKIYFVLEYVKGGELFHKVSKGRLKEDMARMYFQQLISAVDFCHSRGVYHRDLKPENLLLDENGTLKISDFGLSALAESKRQDGLLHTTCGTPAYVAPEVVGRKAYDGEKADIWSCGVILYVLLAGCLPFHDTNLIQMYKKIGKAEYKCPSWFSVEVRKLLSRILNPNPNKRILISNVMESPWFRKGFSSKSITTITEVEKRALVDVDAAFDNCDSSDHNTEAKQELARPTNLNAFDIISLSSGFDLSGLFMGKDTKKEAQFTSVQSASSIISKLEDIAQQLRLKVNKDGGLLKLEKSKDGRKGALSIETEIFEFTPSFYLVEMKKSNGDTLEFKKILKEDIRPALKDIVWAWQGEEKQ >ONI22108 pep chromosome:Prunus_persica_NCBIv2:G2:16507691:16516057:-1 gene:PRUPE_2G107600 transcript:ONI22108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGEGPTLEFTPTWVVAVVCTVIVGISLAAERALHSGGKYLRRKKQKPLYEALLKVKEELMLLGFISLLLTVFQNRITKICVKPEIMDKWLPCSLQHNDQTNEEMDDAHFSTSLSGGIAGQTRRLLAAGGEAQQGYCGKKHKVPLLSVEAFHHLHIFIFVLAIVHVTFCALTIVFGGLKIHQWKKWEDEHSKEINEADEVQVKITHVQEHDFVKDHFWGIGKNYYLMGCLHSFLNQFYGSVTRSDYLTLRLGFITTHCRGNPKFNFHTYMVRALEDDFKRVVGISWYLWIFVVLFMLMNVDGWHAYFWIAFVPFFLLLAVGAKLQHVITQLAHEVAEKHIAVEGELIVQPSDEHFWFGKPKFVLFLIHFILFQNAFEMAFFFWIWVQYGFTSCIMGKVKYIIPRIVIGLFIQVLCSYSTLPLYAIVTQMGTNFKKAIFDEDVRLGLVGWAKKAKRRKASMDGSSSGIGSDVGVQLGKVNQKTTTKG >ONI22107 pep chromosome:Prunus_persica_NCBIv2:G2:16507691:16513858:-1 gene:PRUPE_2G107600 transcript:ONI22107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGEGPTLEFTPTWVVAVVCTVIVGISLAAERALHSGGKYLRRKKQKPLYEALLKVKEELMLLGFISLLLTVFQNRITKICVKPEIMDKWLPCSLQHNDQTNEEMDDAHFSTSLSGGIAGQTRRLLAAGGEAQQGYCGKKHKVPLLSVEAFHHLHIFIFVLAIVHVTFCALTIVFGGLKIHQWKKWEDEHSKEINEADEVQVKITHVQEHDFVKDHFWGIGKNYYLMGCLHSFLNQFYGSVTRSDYLTLRLGFITTHCRGNPKFNFHTYMVRALEDDFKRVVGISWYLWIFVVLFMLMNVDGWHAYFWIAFVPFFLLLAVGAKLQHVITQLAHEVAEKHIAVEGELIVQPSDEHFWFGKPKFVLFLIHFILFQNAFEMAFFFWIWVQYGFTSCIMGKVKYIIPRIVIGLFIQVLCSYSTLPLYAIVTQMGTNFKKAIFDEDVRLGLVGWAKKAKRRKASMDGSSSGIGSDVGVQLGKVNQKTTTKG >ONI24473 pep chromosome:Prunus_persica_NCBIv2:G2:26092823:26094286:-1 gene:PRUPE_2G241900 transcript:ONI24473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVLRWLRELRDHADSNIVIMMAGNKSDLNHLRAVPGEDAQLLAEKEGLSFLETSALEAFNVENAFQTILLDIYHIISKKALAAQEAVSATGLPHGTTINVANLSGDVNKRNCCSN >ONI24474 pep chromosome:Prunus_persica_NCBIv2:G2:26092404:26094711:-1 gene:PRUPE_2G241900 transcript:ONI24474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVLRWLRELRDHADSNIVIMMAGNKSDLNHLRAVPGEDAQLLAEKEGLSFLETSALEAFNVENAFQTILLDIYHIISKKALAAQEAVSATGLPHGTTINVANLSGDVNKRNCCSN >ONI24472 pep chromosome:Prunus_persica_NCBIv2:G2:26092348:26094731:-1 gene:PRUPE_2G241900 transcript:ONI24472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVLRWLRELRDHADSNIVIMMAGNKSDLNHLRAVPGEDAQLLAEKEGLSFLETSALEAFNVENAFQTILLDIYHIISKKALAAQEAVSATGLPHGTTINVANLSGDVNKRNCCSN >ONI24603 pep chromosome:Prunus_persica_NCBIv2:G2:26460142:26467650:-1 gene:PRUPE_2G249200 transcript:ONI24603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSVDLQEAEADPGRSPTPKREEVEWVPLQNHPVFFPATGPVRDPTAARPLRNLLAWDGASRLYFWDSDKLCLHQISIRLGEPDPTSVLAASPSKVLQADVNPDFAVQKISINRNGSALLLSGSGGLCVMYLYGRTSNKDNATICRTVTVGSQIYLSGSNIIRILQVSWHPNSDTHLGILSSDSVFRIFDLSSDLIQPEQEYYLQPVQPGRSRNATSICPVDFSFGSDHLWDLFSVFILFNDGSVYILCPVIPFGSAYKWESIVEIYNDAQTFGLKSSNSVAVSNSNLAISWLEATFPAIADQETEGSDLYVLRAHPYALFDASLSLQGPLRKVCRDEDEEGSAFRGAECEGGAVSFLYNLVVKDSIMVTAWSGGQLQIDALADEIQPVWNVGSPPRLRVDSNDHIRGLAMICEPTPGKVSPVKLNQPLDSTLWLGHPPPLLRLAIVDLALPRKTKGGSLIMMFIDPLMLERIFTLHDGGIDSIVLHYLPFTNQISGKTETMRTPSVHPVLSTCQGEISSQAPLSGFVSLSDSFGCSWIVGVTGSRECIMLEMKTWNLLLPLQVDMEGKSLGLEEPKEKDMPDMISKELLVGPKVVLVPQTSPNVRSVSADSIEGRSMLHDYFKLFHENYVEYAHKCLRGHPAPPVSTNCFSTRPNNL >ONI24604 pep chromosome:Prunus_persica_NCBIv2:G2:26460143:26467659:-1 gene:PRUPE_2G249200 transcript:ONI24604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSVDLQEAEADPGRSPTPKREEVEWVPLQNHPVFFPATGPVRDPTAARPLRNLLAWDGASRLYFWDSDKLCLHQISIRLGEPDPTSVLAASPSKVLQADVNPDFAVQKISINRNGSALLLSGSGGLCVMYLYGRTSNKDNATICRTVTVGSQIYLSGSNIIRILQVSWHPNSDTHLGILSSDSVFRIFDLSSDLIQPEQEYYLQPVQPGRSRNATSICPVDFSFGSDHLWDLFSVFILFNDGSVYILCPVIPFGSAYKWESIVEIYNDAQTFGLKSSNSVAVSNSNLAISWLEATFPAIADQETEGSDLYVLRAHPYALFDASLSLQGPLRKVCRDEDEEGSAFRGAECEGGAVSFLYNLVVKDSIMVTAWSGGQLQIDALADEIQPVWNVGSPPRLRVDSNDHIRGLAMICEPTPGKVSPVKLNQPLDSTLWLGHPPPLLRLAIVDLALPRKTKGGSLIMMFIDPLMLERIFTLHDGGIDSIVLHYLPFTNQISGKTETMRTPSVHPVLSTCQGEISSQAPLSGFVSLSDSFGCSWIVGVTGSRECIMLEMKTWNLLLPLQVDMEGKSLGLEEPKEKDMPDMISKELLVGPKVVLVPQTSPNVRSVSADSIEGRSMLHDYFKLFHENYVEYAHKVPYLKCLRGHPAPPVSTNCFSTRPNNL >ONI24600 pep chromosome:Prunus_persica_NCBIv2:G2:26460060:26467713:-1 gene:PRUPE_2G249200 transcript:ONI24600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSVDLQEAEADPGRSPTPKREEVEWVPLQNHPVFFPATGPVRDPTAARPLRNLLAWDGASRLYFWDSDKLCLHQISIRLGEPDPTSVLAASPSKVLQADVNPDFAVQKISINRNGSALLLSGSGGLCVMYLYGRTSNKDNATICRTVTVGSQIYLSGSNIIRILQVSWHPNSDTHLGILSSDSVFRIFDLSSDLIQPEQEYYLQPVQPGRSRNATSICPVDFSFGSDHLWDLFSVFILFNDGSVYILCPVIPFGSAYKWESIVEIYNDAQTFGLKSSNSVAVSNSNLAISWLEATFPAIADQETEGSDLYVLRAHPYALFDASLSLQGPLRKVCRDEDEEGSAFRGAECEGGAVSFLYNLVVKDSIMVTAWSGGQLQIDALADEIQPVWNVGSPPRLRVDSNDHIRGLAMICEPTPGKVSPVKLNQPLDSTLWLGHPPPLLRLAIVDLALPRKTKGGSLIMMFIDPLMLERIFTLHDGGIDSIVLHYLPFTNQISGKTETMRTPSVHPVLSTCQGEISSQAPLSGFVSLSDSFGCSWIVGVTGSRECIMLEMKTWNLLLPLQVDMEGKSLGLEEPKEKDMPDMISKELLVGPKVVLVPQTSPNVRSVSADSIEGRSMLHDYFKLFHENYVEYAHKVSFELKHHEPLLKKIIDDQHARLRDAQQKLLQVEEKQSKLENRIDSAIQLHNGLEERLKRLRKLPGPHKKPLSRAEREFKSELDLFSGVELDALHSSVNALTARLRRHAQSPKGNTSNQQRLISRRKDPVEESQVSQLKSSLEKLSLINSVNSKKVKLVESALRSLESSRS >ONI24602 pep chromosome:Prunus_persica_NCBIv2:G2:26460067:26467650:-1 gene:PRUPE_2G249200 transcript:ONI24602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSVDLQEAEADPGRSPTPKREEVEWVPLQNHPVFFPATGPVRDPTAARPLRNLLAWDGASRLYFWDSDKLCLHQISIRLGEPDPTSVLAASPSKVLQADVNPDFAVQKISINRNGSALLLSGSGGLCVMYLYGRTSNKDNATICRTVTVGSQIYLSGSNIIRILQVSWHPNSDTHLGILSSDSVFRIFDLSSDLIQPEQEYYLQPVQPGRSRNATSICPVDFSFGSDHLWDLFSVFILFNDGSVYILCPVIPFGSSAYKWESIVEIYNDAQTFGLKSSNSVAVSNSNLAISWLEATFPAIADQETEGSDLYVLRAHPYALFDASLSLQGPLRKVCRDEDEEGSAFRGAECEGGAVSFLYNLVVKDSIMVTAWSGGQLQIDALADEIQPVWNVGSPPRLRVDSNDHIRGLAMICEPTPGKVSPVKLNQPLDSTLWLGHPPPLLRLAIVDLALPRKTKGGSLIMMFIDPLMLERIFTLHDGGIDSIVLHYLPFTNQISGKTETMRTPSVHPVLSTCQGEISSQAPLSGFVSLSDSFGCSWIVGVTGSRECIMLEMKTWNLLLPLQVDMEGKSLGLEEPKEKDMPDMISKELLVGPKVVLVPQTSPNVRSVSADSIEGRSMLHDYFKLFHENYVEYAHKVSFELKHHEPLLKKIIDDQHARLRDAQQKLLQVEEKQSKLENRIDSAIQLHNGLEERLKRLRKLPGPHKKPLSRAEREFKSELDLFSGVELDALHSSVNALTARLRRHAQSPKGNTSNQQRLISRRKDPVEESQVSQLKSSLEKLSLINSVNSKKVKLVESALRSLESSRS >ONI24599 pep chromosome:Prunus_persica_NCBIv2:G2:26459832:26467650:-1 gene:PRUPE_2G249200 transcript:ONI24599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSVDLQEAEADPGRSPTPKREEVEWVPLQNHPVFFPATGPVRDPTAARPLRNLLAWDGASRLYFWDSDKLCLHQISIRLGEPDPTSVLAASPSKVLQADVNPDFAVQKISINRNGSALLLSGSGGLCVMYLYGRTSNKDNATICRTVTVGSQIYLSGSNIIRILQVSWHPNSDTHLGILSSDSVFRIFDLSSDLIQPEQEYYLQPVQPGRSRNATSICPVDFSFGSDHLWDLFSVFILFNDGSVYILCPVIPFGSAYKWESIVEIYNDAQTFGLKSSNSVAVSNSNLAISWLEATFPAIADQETEGSDLYVLRAHPYALFDASLSLQGPLRKVCRDEDEEGSAFRGAECEGGAVSFLYNLVVKDSIMVTAWSGGQLQIDALADEIQPVWNVGSPPRLRVDSNDHIRGLAMICEPTPGKVSPVKLNQPLDSTLWLGHPPPLLRLAIVDLALPRKTKGGSLIMMFIDPLMLERIFTLHDGGIDSIVLHYLPFTNQISGKTETMRTPSVHPVLSTCQGEISSQAPLSGFVSLSDSFGCSWIVGVTGSRECIMLEMKTWNLLLPLQVDMEGKSLGLEEPKEKDMPDMISKELLVGPKVVLVPQTSPNVRSVSADSIEGRSMLHDYFKLFHENYVEYAHKVSFELKHHEPLLKKIIDDQHARLRDAQQKLLQVEEKQSKLENRIDSAIQLHNGLEERLKRLRKLPGPHKKPLSRAEREFKSELDLFSGVELDALHSSVNALTARLRRHAQSPKGNTSNQQRLISRRKDPVEESQVSQLKSSLEKLSLINSVNSKKVKLVESALRSLESSRS >ONI24605 pep chromosome:Prunus_persica_NCBIv2:G2:26461795:26467569:-1 gene:PRUPE_2G249200 transcript:ONI24605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSVDLQEAEADPGRSPTPKREEVEWVPLQNHPVFFPATGPVRDPTAARPLRNLLAWDGASRLYFWDSDKLCLHQISIRLGEPDPTSVLAASPSKVLQADVNPDFAVQKISINRNGSALLLSGSGGLCVMYLYGRTSNKDNATICRTVTVGSQIYLSGSNIIRILQVSWHPNSDTHLGILSSDSVFRIFDLSSDLIQPEQEYYLQPVQPGRSRNATSICPVDFSFGSDHLWDLFSVFILFNDGSVYILCPVIPFGSAYKWESIVEIYNDAQTFGLKSSNSVAVSNSNLAISWLEATFPAIADQETEGSDLYVLRAHPYALFDASLSLQGPLRKVCRDEDEEGSAFRGAECEGGAVSFLYNLVVKDSIMVTAWSGGQLQIDALADEIQPVWNVGSPPRLRVDSNDHIRGLAMICEPTPGKVSPVKLNQPLDSTLWLGHPPPLLRLAIVDLALPRKTKGGSLIMMFIDPLMLERIFTLHDGGIDSIVLHYLPFTNQISGKTETMRTPSVHPVLSTCQGEISSQAPLSGFVSLSDSFGCSWIVGVTGSRECIMLEMKTWNLLLPLQVDMEGKSLGLEEPKEKDMPDMISKELLVGPKVVLVPQTSPNVRSVSADSIEGRSMLHDYFKLFHENYVEYAHKVRICQVIHTQTLFTCVKPTFFL >ONI24601 pep chromosome:Prunus_persica_NCBIv2:G2:26460142:26467660:-1 gene:PRUPE_2G249200 transcript:ONI24601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSVDLQEAEADPGRSPTPKREEVEWVPLQNHPVFFPATGPVRDPTAARPLRNLLAWDGASRLYFWDSDKLCLHQISIRLGEPDPTSVLAASPSKVLQADVNPDFAVQKISINRNGSALLLSGSGGLCVMYLYGRTSNKDNATICRTVTVGSQIYLSGSNIIRILQVSWHPNSDTHLGILSSDSVFRIFDLSSDLIQPEQEYYLQPVQPGRSRNATSICPVDFSFGSDHLWDLFSVFILFNDGSVYILCPVIPFGSAYKWESIVEIYNDAQTFGLKSSNSVAVSNSNLAISWLEATFPAIADQETEGSDLYVLRAHPYALFDASLSLQGPLRKVCRDEDEEGSAFRGAECEGGAVSFLYNLVVKDSIMVTAWSGGQLQIDALADEIQPVWNVGSPPRLRVDSNDHIRGLAMICEPTPGKVSPVKLNQPLDSTLWLGHPPPLLRLAIVDLALPRKTKGGSLIMMFIDPLMLERIFTLHDGGIDSIVLHYLPFTNQISGKTETMRTPSVHPVLSTCQGEISSQAPLSGFVSLSDSFGCSWIVGVTGSRECIMLEMKTWNLLLPLQVDMEGKSLGLEEPKEKDMPDMISKELLVGPKVVLVPQTSPNVRSVSADSIEGRSMLHDYFKLFHENYVEYAHKVSFELKHHEPLLKKIIDDQHARLRDAQQKLLQVEEKQSKLENRIDSAIQLHNGLEERLKRLRKLPGPHKKPLSRAEREFKSELDLFSGVELDALHSSVNALTARLRRHAQSPKGNTSNQQRLISRRKDPVEESQVSQLKSSLEKLSLINSVNSKKVKLVESALRSLESSRS >ONI24378 pep chromosome:Prunus_persica_NCBIv2:G2:25831986:25832195:-1 gene:PRUPE_2G237200 transcript:ONI24378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMQNETDDSDHDHQFSIKPNSAQPNTAYIPISDPDLNQNFKLHACIEHPIAQNSNIHDKAEAKPISN >ONI24862 pep chromosome:Prunus_persica_NCBIv2:G2:27276053:27278300:-1 gene:PRUPE_2G266300 transcript:ONI24862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCCSMCSGHNSDEIHFEGCLASGNVHLIKTMESWEAKLSEAVKDGKIVVANFSASWCRPCIMIAPAYCELADKYPSIVFLTLDVDDLAELSTSWDIKATPTFIFLKDGRQVDKLVGSNKPELQKKTAAVAHLATKSHS >ONI22631 pep chromosome:Prunus_persica_NCBIv2:G2:19741431:19744411:-1 gene:PRUPE_2G141000 transcript:ONI22631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRFHSTLFLVLYLSQIIFGYSPNDVKTWCSQTPHPKLCEDFLSHNPTTTPIKEKSQFFKISTQLALDRAIHAQTNMHSLGSKFQNAHEKTAWADCLELFDFTIQKLNRTVNPSTKCTQYDKQTWLSTALTNLETCREGFADLGVSNNYIFPSVSNNVSNLISNTLAINKAPYTPQPNHKNGFPTWVKPGDRKLLQSSSPTADVVVAKDGSGNYKTISEAVSAASKRKGSARYVIYVKAGTYKENVQIKSKNIMLRGDGIGKTIITGSKSVGGGSTTFNSATVAVVGDGFIAQDITFQNTAGPTSGQAVALRSGSDLSVFYKCGFEGNQDTLYVYSDRQFYRECDIYGTVDFIFGNAAVVFQNCNIYVHNHKTNTITAQGRSDPNQNTGISIHNCRVTAASDLKSVQSSVKTYLGRPWREYSRTVFMKTYLDSLIDPAGWMEWSGNFALKTLYYGEYMNTGPGSSTSNRVKWAGYHVITSASEASKFTVGNFIAGNSWLPATNVPFTSGL >ONI23117 pep chromosome:Prunus_persica_NCBIv2:G2:21776390:21782293:-1 gene:PRUPE_2G170700 transcript:ONI23117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSQKRSVRFEKDQLGCMSGFISIFDFRHGRPTWKLISDRRHGSKHVVGTGLSRNQFEMLSNLDKNFQGTLDGDVSTTAIVTADACKPSVKKLMEEEMSIEQDTKKEISNDEAETKQSDSSQIRKDHKKPKKTRKKSRDMDTHNLNASENLESVCSCNQNPEQKTRSNFGIDEIREEVRCQIHQKYINCANHDVNGEAPAKSNYKHSDFEELCVAIKEFMNQKFTDGKHLTEDQKIHHFRELMDALEVLSSDEELFLKLLRDPNSLLAKYVQNLQDAQIEKDEESQSFAESKLSEQKLGDLKQPEELVIRKHRYFFRRKIKHQERNPTKANENSEASKRIVILKPGPPGLRNSETENSPSPESHYIARNKGTTERVGSHFFLSEIKRKFKNAMGKQQHGASTVGISNRLPYKRQSLEDSDRGVGKEKAGSSPGKEHFYMERIAKPSSGIKRVDKTGKVKESEISLEHENHGILDQRVSNIYIEAKKHLSEMLSNGDEVVDISRRQFPKTLGRILSLPDYNISPFGSPGRDLENGFVTAHMRLSAYDKVWKANENTWSPKQEKNASPLSHVAPNLESLPSVSDSNPDYKVQPPNSIPSNSDNLVHDNEVEETHPTIVDEMNPEAQEEEIIVDVPSEPSGSSIARDDETSDMPEISDDKRYFECSRQESNDENPVQSSSLASPSSSSTTKHFEDLERAIDIAERPSPVSVLEPLFTDDDISPAKTISRRVELPIQPLQIQFEDHDPSATEQTNNAKTCTEDKEVIFDFVKSVMQAYGFNWDDICVKWLSSDQLIEPSLCDEVELFPNQLCYDQNLLFDCINEVLVEVCGRCYGCFPWVSSVKPSIRLVPDMKTAIHEVWTGVYWHLLPLPLPHTLDQIVTKDMSRTGTWMDLRFDTETIGVDMGEAILQELMEDTILSYVDGSPKSENALFLAESNEKDSILNL >ONI23119 pep chromosome:Prunus_persica_NCBIv2:G2:21776819:21780744:-1 gene:PRUPE_2G170700 transcript:ONI23119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSQKRSVRFEKDQLGCMSGFISIFDFRHGRPTWKLISDRRHGSKHVVGTGLSRNQFEMLSNLDKNFQGTLDGDVSTTAIVTADACKPSVKKLMEEEMSIEQDTKKEISNDEAETKQSDSSQIRKDHKKPKKTRKKSRDMDTHNLNASENLESVCSCNQNPEQKTRSNFGIDEIREEVRCQIHQKYINCANHDVNGEAPAKSNYKHSDFEELCVAIKEFMNQKFTDGKHLTEDQKIHHFRELMDALEVLSSDEELFLKLLRDPNSLLAKYVQNLQDAQIEKDEESQSFAESKLSEQKLGDLKQPEELVIRKHRYFFRRKIKHQERNPTKANENSEASKRIVILKPGPPGLRNSETENSPSPESHYIARNKGTTERVGSHFFLSEIKRKFKNAMGKQQHGASTVGISNRLPYKRQSLEDSDRGVGKEKAGSSPGKEHFYMERIAKPSSGIKRVDKTGKVKESEISLEHENHGILDQRVSNIYIEAKKHLSEMLSNGDEVVDISRRQFPKTLGRILSLPDYNISPFGSPGRDLENGFVTAHMRLSAYDKVWKANENTWSPKQEKNASPLSHVAPNLESLPSVSDSNPDYKVQPPNSIPSNSDNLVHDNEVEETHPTIVDEMNPEGDIEIEKEIEIVAQEEEIIVDVPSEPSGSSIARDDETSDMPEISDDKRYFECSRQESNDENPVQSSSLASPSSSSTTKHFEDLERAIDIAERPSPVSVLEPLFTDDDISPAKTISRRVELPIQPLQIQFEDHDPSATEQTNNAKTCTEDKEVIFDFVKSVMQAYGFNWDDICVKWLSSDQLIEPSLCDEVELFPNQLCYDQNLLFDCINEVLVEVCGRCYGCFPWVSSVKPSIRLVPDMKTAIHEVWTGVYWHLLPLPLPHTLDQIVTKDMSRTGTWMDLRFDTETIGVDMGEAILQELMEDTILSYVDGSPKSENALFLAESNEKDSILNL >ONI23118 pep chromosome:Prunus_persica_NCBIv2:G2:21776390:21782293:-1 gene:PRUPE_2G170700 transcript:ONI23118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSQKRSVRFEKDQLGCMSGFISIFDFRHGRPTWKLISDRRHGSKHVVGTGLSRNQFEMLSNLDKNFQGTLDGDVSTTAIVTADACKPSVKKLMEEEMSIEQDTKKEISNDEAETKQSDSSQIRKDHKKPKKTRKKSRDMDTHNLNASENLESVCSCNQNPEQKTRSNFGIDEIREEVRCQIHQKYINCANHDVNGEAPAKSNYKHSDFEELCVAIKEFMNQKFTDGKHLTEDQKIHHFRELMDALEVLSSDEELFLKLLRDPNSLLAKYVQNLQDAQIEKDEESQSFAESKLSEQKLGDLKQPEELVIRKHRYFFRRKIKHQERNPTKANENSEASKRIVILKPGPPGLRNSETENSPSPESHYIARNKGTTERVGSHFFLSEIKRKFKNAMGKQQHGASTVGISNRLPYKRQSLEDSDRGVGKEKAGSSPGKEHFYMERIAKPSSGIKRVDKTGKVKESEISLEHENHGILDQRVSNIYIEAKKHLSEMLSNGDEVVDISRRQFPKTLGRILSLPDYNISPFGSPGRDLENGFVTAHMRLSAYDKVWKANENTWSPKQEKNASPLSHVAPNLESLPSVSDSNPDYKVQPPNSIPSNSDNLVHDNEVEETHPTIVDEMNPEAQEEEIIVDVPSEPSGSSIARDDETSDMPEISDDKRYFECSRQESNDENPVQSSSLASPSSSSTTKHFEDLERAIDIAERPSPVSVLEPLFTDDDISPAKTISRRVELPIQPLQIQFEDHDPSATEQTNNAKTCTEDKEVIFDFVKSVMQAYGFNWDDICVKWLSSDQLIEPSLCDEVELFPNQLCYDQNLLFDCINEVLVEVCGRCYGCFPWVSSVKPSIRLVPDMKTAIHEVWTGVYWHLLPLPLPHTLDQIVTKDMSRTGTWMDLRFDTETIGVDMGEAILQELMEDTILSYVDGSPKSENALFLAESNEKDSILNL >ONI23120 pep chromosome:Prunus_persica_NCBIv2:G2:21776390:21782324:-1 gene:PRUPE_2G170700 transcript:ONI23120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSQKRSVRFEKDQLGCMSGFISIFDFRHGRPTWKLISDRRHGSKHVVGTGLSRNQFEMLSNLDKNFQGTLDGDVSTTAIVTADACKPSVKKLMEEEMSIEQDTKKEISNDEAETKQSDSSQIRKDHKKPKKTRKKSRDMDTHNLNASENLESVCSCNQNPEQKTRSNFGIDEIREEVRCQIHQKYINCANHDVNGEAPAKSNYKHSDFEELCVAIKEFMNQKFTDGKHLTEDQKIHHFRELMDALEVLSSDEELFLKLLRDPNSLLAKYVQNLQDAQIEKDEESQSFAESKLSEQKLGDLKQPEELVIRKHRYFFRRKIKHQERNPTKANENSEASKRIVILKPGPPGLRNSETENSPSPESHYIARNKGTTERVGSHFFLSEIKRKFKNAMGKQQHGASTVGISNRLPYKRQSLEDSDRGVGKEKAGSSPGKEHFYMERIAKPSSGIKRVDKTGKVKESEISLEHENHGILDQRVSNIYIEAKKHLSEMLSNGDEVVDISRRQFPKTLGRILSLPDYNISPFGSPGRDLENGFVTAHMRLSAYDKVWKANENTWSPKQEKNASPLSHVAPNLESLPSVSDSNPDYKVQPPNSIPSNSDNLVHDNEVEETHPTIVDEMNPEGDIEIEKEIEIVAQEEEIIVDVPSEPSGSSIARDDETSDMPEISDDKRYFECSRQESNDENPVQSSSLASPSSSSTTKHFEDLERAIDIAERPSPVSVLEPLFTDDDISPAKTISRRVELPIQPLQIQFEDHDPSATEQTNNAKTCTEDKEVIFDFVKSVMQAYGFNWDDICVKWLSSDQLIEPSLCDEVELFPNQLCYDQNLLFDCINEVLVEVCGRCYGCFPWVSSVKPSIRLVPDMKTAIHEVWTGVYWHLLPLPLPHTLDQIVTKDMSRTGTWMDLRFDTETIGVDMGEAILQELMEDTILSYVDGSPKSENALFLAESNEKDSILNL >ONI25706 pep chromosome:Prunus_persica_NCBIv2:G2:29648848:29654157:1 gene:PRUPE_2G315600 transcript:ONI25706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLTSISLHSSASSALGLASKQCQHNNHTCCWLTERSVRSSSTTTRQRLSSRGLKIRSAATKQVKSPAEEEWKTKREVLLQNKVRSVDVKEALRLQKENKFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIQKTDHR >ONI25708 pep chromosome:Prunus_persica_NCBIv2:G2:29648427:29651032:1 gene:PRUPE_2G315600 transcript:ONI25708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLTSISLHSSASSALGLASKQCQHNNHTCCWLTERSVRSSSTTTRQRLSSRGLKIRSAATKQVKSPAEEEWKTKREVLLQNKVRSVDVKEALRLQKENKFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIQSVESQLDKKAKIIVACAAGGTMRPTQNLPEGQQSRSLIAAYLLVLNGYTNVFHLEGGLYAWFKEGLPAVSEEEEEE >ONI25707 pep chromosome:Prunus_persica_NCBIv2:G2:29648578:29654354:1 gene:PRUPE_2G315600 transcript:ONI25707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLTSISLHSSASSALGLASKQCQHNNHTCCWLTERSVRSSSTTTRQRLSSRGLKIRSAATKQVKSPAEEEWKTKREVLLQNKVRSVDVKEALRLQKENKFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIQSVESQLDKKAKIIVACAAGGTMRPTQNLPEGQQSRSLIAAYLLVLNGYTNVFHLEGGLYAWFKEGLPAVSEEEEEE >ONI23237 pep chromosome:Prunus_persica_NCBIv2:G2:22195127:22196533:1 gene:PRUPE_2G176700 transcript:ONI23237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTLLQPTISSTTQRTPATSLYSPNHPYRSKSTKHYPSITQKKKFHINKKYPDLIKQQDIEAIM >ONI20625 pep chromosome:Prunus_persica_NCBIv2:G2:2697774:2698115:1 gene:PRUPE_2G026100 transcript:ONI20625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAVGATLGKVLWVDNRDGDDCVGRFICIRVHFDVDLPSIRQTPVTFLEIGERLIEFKYEYLSEYCFACGRLGHSTQVCVKAHEEVHGRFTVPSLNQFTTAFMGLEADTNLL >ONI20207 pep chromosome:Prunus_persica_NCBIv2:G2:351856:352376:-1 gene:PRUPE_2G003200 transcript:ONI20207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMQGWQAVAFRISGDKAYFSGCGFYRAQDTLCDDVGRHYFKDCYIEGSIDFIFGNGRSMYKDCELYSIATKFGSIAAHYRNSADDKSGFAFMNCRVTGMGPLYVGRAMGKYSRIVYSYTYFDDVVTHGAWDDWGNTTASTTTKTPLLCSLRRRFGDGDVKESNF >ONI23258 pep chromosome:Prunus_persica_NCBIv2:G2:22264595:22265933:1 gene:PRUPE_2G178000 transcript:ONI23258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVDKAKNFVADKIANVPKPDASLTDVDFKKVSFDSADYIAKVSVNNPYSHPLPVCEVKYTLKSAGRVIASGNMPDPGSIKSSGTTMLEVPVKVPHSIIVTLVRDVAKDWDIDYELDLGLIIDLPLVGNLTIPLSRKGEIKLPTLSEAIWGSKDEASKETKKEIPKEATK >ONI22489 pep chromosome:Prunus_persica_NCBIv2:G2:19086111:19087016:1 gene:PRUPE_2G132700 transcript:ONI22489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRALHLRCEKVQPFVQTIPTEQMTTWCHHWLSCHLQAQLAVKIESKSWWRRWLWTCRAEWSAKFMAFLLIIIMMMMGRAPSVRR >ONI20751 pep chromosome:Prunus_persica_NCBIv2:G2:3444155:3446593:1 gene:PRUPE_2G031800 transcript:ONI20751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTDEFQALNKAFSGVGIDENSLISILGKWHPEERKSFRKGTPHFFKQDERNFERWDDLHVKLLKHEFMRFKNAVVLWTMHSWERDARLVKEALKKGPQTYSVLVEIACTRSAEELLGARKAYHSLFDHSIEEDVAYHIDGPEGKLLVALVSAYRYEGPKVKDDTAKSEAKTLAHAIKNADKRNPIEDDEVIRILSTRSKPHLKEIYKHYQEISGHNIDEDLDDALRLKETVQCLCTPHTYFGQVLEISLRNGVDKNIKKGLTRVIVTRADADMKEIKEEYQNLYGVSLTEKIEATANGNYKDFLLTLAARGG >ONI23994 pep chromosome:Prunus_persica_NCBIv2:G2:24748975:24752951:1 gene:PRUPE_2G217600 transcript:ONI23994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGSWFSAVKKALIADSKEKKDQKTRKSKKKWFGKQKNEDVVSSYEESALVIPVPDTTPAPPPPPLPLKQDVKLAEAENAQSKHVYSVALATAVAAEAAVAAAQAAAEVVRLTAVPGPHYSGKSLQEVAAVKIQTAFRGHLARRALRALRGLVRLKSLIEGQSVKRQATTTLRCMQTLARVQSQIRARRIRMSEENQALQRQLQHKQEKELEKFKASMGEEWNDSTQSKEQVEAKLQSKQEAAIRRERALAYSFSHQQMWKNSSKSEHPTFMDPSNPHWGWSWLERWMAARPWESRSTVDYNDRASMRSAASHASMSVGEITKAYTLRDNKPSPRTPTAVKSSRPPSRQSPSTPFSRAQSSSSVTGKTPRGNGWGGDEDSRSTFSVQSERFNRRHSIAGSSVRDDESLASSPSVPSYMTPTKSTKARSRLASPSSNQFDKNGTPSEKGSVSSVKKRLSYPASPAGPRRHSGPPGVDTSSVKGTAVLEKNVSNGGGSR >ONI23996 pep chromosome:Prunus_persica_NCBIv2:G2:24748918:24752951:1 gene:PRUPE_2G217600 transcript:ONI23996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGSWFSAVKKALIADSKEKKDQKTRKSKKKWFGKQKNEDVVSSYEESALVIPVPDTTPAPPPPPLPLKQDVKLAEAENAQSKHVYSVALATAVAAEAAVAAAQAAAEVVRLTAVPGPHYSGKSLQEVAAVKIQTAFRGHLARRALRALRGLVRLKSLIEGQSVKRQATTTLRCMQTLARVQSQIRARRIRMSEENQALQRQLQHKQEKELEKFKASMGEEWNDSTQSKEQVEAKLQSKQEAAIRRERALAYSFSHQQMWKNSSKSEHPTFMDPSNPHWGWSWLERWMAARPWESRSTVDYNDRASMRSAASHASMSVGEITKAYTLRDNKPSPRTPTAVKSSRPPSRQSPSTPFSRAQSSSSVTGKTPRGNGWGGDEDSRSTFSVQSERFNRRHSIAGSSVRDDESLASSPSVPSYMTPTKSTKARSRLASPSSNQFDKNGTPSEKGSVSSVKKRLSYPASPAGPRRHSGPPGVDTSSVKGTAVLEKNVSNGGGSR >ONI23993 pep chromosome:Prunus_persica_NCBIv2:G2:24748470:24752958:1 gene:PRUPE_2G217600 transcript:ONI23993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGSWFSAVKKALIADSKEKKDQKTRKSKKKWFGKQKNEDVVSSYEESALVIPVPDTTPAPPPPPLPLKQDVKLAEAENAQSKHVYSVALATAVAAEAAVAAAQAAAEVVRLTAVPGPHYSGKSLQEVAAVKIQTAFRGHLARRALRALRGLVRLKSLIEGQSVKRQATTTLRCMQTLARVQSQIRARRIRMSEENQALQRQLQHKQEKELEKFKASMGEEWNDSTQSKEQVEAKLQSKQEAAIRRERALAYSFSHQQMWKNSSKSEHPTFMDPSNPHWGWSWLERWMAARPWESRSTVDYNDRASMRSAASHASMSVGEITKAYTLRDNKPSPRTPTAVKSSRPPSRQSPSTPFSRAQSSSSVTGKTPRGNGWGGDEDSRSTFSVQSERFNRRHSIAGSSVRDDESLASSPSVPSYMTPTKSTKARSRLASPSSNQFDKNGTPSEKGSVSSVKKRLSYPASPAGPRRHSGPPGVDTSSVKGTAVLEKNVSNGGGSR >ONI23995 pep chromosome:Prunus_persica_NCBIv2:G2:24748599:24752951:1 gene:PRUPE_2G217600 transcript:ONI23995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGSWFSAVKKALIADSKEKKDQKTRKSKKKWFGKQKNEDVVSSYEESALVIPVPDTTPAPPPPPLPLKQDVKLAEAENAQSKHVYSVALATAVAAEAAVAAAQAAAEVVRLTAVPGPHYSGKSLQEVAAVKIQTAFRGHLARRALRALRGLVRLKSLIEGQSVKRQATTTLRCMQTLARVQSQIRARRIRMSEENQALQRQLQHKQEKELEKFKASMGEEWNDSTQSKEQVEAKLQSKQEAAIRRERALAYSFSHQQMWKNSSKSEHPTFMDPSNPHWGWSWLERWMAARPWESRSTVDYNDRASMRSAASHASMSVGEITKAYTLRDNKPSPRTPTAVKSSRPPSRQSPSTPFSRAQSSSSVTGKTPRGNGWGGDEDSRSTFSVQSERFNRRHSIAGSSVRDDESLASSPSVPSYMTPTKSTKARSRLASPSSNQFDKNGTPSEKGSVSSVKKRLSYPASPAGPRRHSGPPGVDTSSVKGTAVLEKNVSNGGGSR >ONI25106 pep chromosome:Prunus_persica_NCBIv2:G2:27996190:27999070:-1 gene:PRUPE_2G281200 transcript:ONI25106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGLREFTARVERNGVDEPVLDAENGEELMHVQPCVSIVLGNRPPESPGTLYISTKKVVWLSDVDRAKGYAVDFLSISLHAVSRDPEAYTSPCIYTQIETEDDEHESDGSDSECNGVLDLSKITEMRLVPSDPNQLDSLFEVFCECAELNPEPIEEEEEEHNWIFSADQLEDDATGEDSEWHVSENPSIGQSNGDHDLARTVLELQINDQRFEDAEEMEHENDGGRH >ONI25105 pep chromosome:Prunus_persica_NCBIv2:G2:27996468:27998343:-1 gene:PRUPE_2G281200 transcript:ONI25105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGLREFTARVERNGVDEPVLDAENGEELMHVQPCVSIVLGNRPPESPGTLYISTKKVVWLSDVDRAKGYAVDFLSISLHAVSRDPEAYTSPCIYTQIETEDDEHESDGSDSECNGVLDLSKITEMRLVPSDPNQLDSLFEVFCECAELNPEPIEEEEEEHNWIFSADQLEDDATGEDSEWHVSENPSIGQSNGDHDLARTVLELQINDQRFEDAEEMEHENDGGRH >ONI25571 pep chromosome:Prunus_persica_NCBIv2:G2:29344526:29352983:1 gene:PRUPE_2G309900 transcript:ONI25571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVAEETQRKRKVPEEVGNGENGGGAEEDQQRQKRSRQKSDGILGNGDQDTAGELVEGWFGGGLSVGEEIARLFGEVSGGSVGLGIDGIDGEGVNFWGGGNGQDTEVGGFDVAACKFGGQGFGLEEIQDGFGVAGSENGGRILSCDNFGYGFVENKNPDGGLGLDGRGIQFWGSENSCGLGGGMLDGEGNQVVGSSDLYACKNAGEGIQGLFGGDRGGLGGLLRGESDQFCGGKAVSANVDDKRVQGCLSENASGNKGKILESQDEYGEGRRGDDAREEVARSKGKRGRPKGSKNKKKILEAEEGIKQLGGISRDNVGGEEIARPKSKQGRPKGSTNKKKKDLANDKNEDVPVDNVDGEDSVRRKSKQGRPKGSKTKKKDVVNGKSDAVPVLGENTKDLVAVATPGNEVGNVIVLPKTKLGRPKGSKTKRNLTGEENHGTAGSGAVAVGIDISVPPIGLEKRMIALMGEQNRKMEVKACSGYEFGDEIVQLKEKGGLPTKTIPVNDGNSAMSSETSGRNCTGRLMACLTGLEKVRPNLVSKGDKGLPGESTCGSEFVQPKVKRGRFKDPKKNKKKLVETENQEIPGDILDANDGTDRTLLATGLKNERPVLTGEKDMQSNKEAFDSNEDGYETAQPKSKRGRPKGSKTKKKKVSGQDNQRNPSKFVSGNDGKVEVVCSTGLENEIFVGKEVGRLPGQATSENGGGNEFLQPRGRRGRPKGSKSKKKNLSGDEKREVPTEVMGGMNGRYQTDLCTSLENGGPILVGKEAVEMKVGNEIVKVKNKRGRPKGSKTRKKNILGKEIQGMPSETVVGDHDDGKDTFLMSLENEMTILVGEEDKRMPAEASGYNEGGNEVAQQNSRRGRPKGSKNNKLKATVGEYQSQEAEKVGKISGGDESSQNKRGRPKGSKNKRRFLSKITVLKHQMSASLLEVEYQKETDLKEKFPVRQSKNSDDTESNNHNRPRGRPRKFNNQQLNASDFHRGKSTDTSDDNSRKKESLMCHQCLRNDRKGVVICLNCRKKRYCYDCVAKWYPDKTRKDIEIACPYCRGNCNCRICLKEYLVVMAGNEGTDANVKLQKLLYLLCKTLPLLRHIQQEQMSELDVEGCLRGIQLTEEDLTRSILEDDDRVYCDNCNTSIVNFHRSCPNPDCSYDLCLTCCSELREVCQPRGGEAESSHQQYCERAYGQGPVSNGSHIPANGNRYVSQSQMAIPVNRCTNHMSSDFPDWIAEADGRIPCPPKARGGCGTKLLELRRIFEANWVEKLISSSEYLTINYQSPDIDFSQECSLCHPISSAGSGVKASEVRQAAYRENCHDNSLYCPNAVHLGDNDIEHFQLHWMRGEPVVVRNVREKASGLSWEPMVMWRAFIGAKKVLKEEAVRVKAIDCLDWCEVEINIFQFFKGYIEGRRYSNGWPEMLKLKDWPPSNSFEECLPRHGAEFIAMLPFSDYTHSKSGVLNLATKLPIVLKPDLGPKTYIAYGSMEELGRGDSVTKLHCDISDAVNVLTHTTEVKIPPGQRKIIDQLQKKYGAEKEIIEEKSCNEEYFEPSNVTEDMKFVNEADFSQKLFSGNVINNLESRESDSNSSTNVQSNDTSEVEYGGAVWDIFRRQDVPKLIEYLLKHHKEFHHINNAPVNSVIHPIHDQTLYLDEKHKKKLKEEFDVEPWTFEQHLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPENVQECIRLTEEFRLLPENHRSKEDKLEVKKMALYAASDAISEAKNLMSVNSLNGPRKLRSSRSNSKCQLEK >ONI25572 pep chromosome:Prunus_persica_NCBIv2:G2:29344526:29353104:1 gene:PRUPE_2G309900 transcript:ONI25572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVAEETQRKRKVPEEVGNGENGGGAEEDQQRQKRSRQKSDGILGNGDQDTAGELVEGWFGGGLSVGEEIARLFGEVSGGSVGLGIDGIDGEGVNFWGGGNGQDTEVGGFDVAACKFGGQGFGLEEIQDGFGVAGSENGGRILSCDNFGYGFVENKNPDGGLGLDGRGIQFWGSENSCGLGGGMLDGEGNQVVGSSDLYACKNAGEGIQGLFGGDRGGLGGLLRGESDQFCGGKAVSANVDDKRVQGCLSENASGNKGKILESQDEYGEGRRGDDAREEVARSKGKRGRPKGSKNKKKILEAEEGIKQLGGISRDNVGGEEIARPKSKQGRPKGSTNKKKKDLANDKNEDVPVDNVDGEDSVRRKSKQGRPKGSKTKKKDVVNGKSDAVPVLGENTKDLVAVATPGNEVGNVIVLPKTKLGRPKGSKTKRNLTGEENHGTAGSGAVAVGIDISVPPIGLEKRMIALMGEQNRKMEVKACSGYEFGDEIVQLKEKGGLPTKTIPVNDGNSAMSSETSGRNCTGRLMACLTGLEKVRPNLVSKGDKGLPGESTCGSEFVQPKVKRGRFKDPKKNKKKLVETENQEIPGDILDANDGTDRTLLATGLKNERPVLTGEKDMQSNKEAFDSNEDGYETAQPKSKRGRPKGSKTKKKKVSGQDNQRNPSKFVSGNDGKVEVVCSTGLENEIFVGKEVGRLPGQATSENGGGNEFLQPRGRRGRPKGSKSKKKNLSGDEKREVPTEVMGGMNGRYQTDLCTSLENGGPILVGKEAVEMKVGNEIVKVKNKRGRPKGSKTRKKNILGKEIQGMPSETVVGDHDDGKDTFLMSLENEMTILVGEEDKRMPAEASGYNEGGNEVAQQNSRRGRPKGSKNNKLKATVGEYQSQEAEKVGKISGGDESSQNKRGRPKGSKNKRRFLSKITVLKHQMSASLLEVEYQKETDLKEKFPVRQSKNSDDTESNNHNRPRGRPRKFNNQQLNASDFHRGKSTDTSDDNSRKKESLMCHQCLRNDRKGVVICLNCRKKRYCYDCVAKWYPDKTRKDIEIACPYCRGNCNCRICLKEYLVVMAGNEGTDANVKLQKLLYLLCKTLPLLRHIQQEQMSELDVEGCLRGIQLTEEDLTRSILEDDDRVYCDNCNTSIVNFHRSCPNPDCSYDLCLTCCSELREVCQPRGGEAESSHQQYCERAYGQGPVSNGSHIPANGNRYVSQSQMAIPVNRCTNHMSSDFPDWIAEADGRIPCPPKARGGCGTKLLELRRIFEANWVEKLISSSEYLTINYQSPDIDFSQECSLCHPISSAGSGVKASEVRQAAYRENCHDNSLYCPNAVHLGDNDIEHFQLHWMRGEPVVVRNVREKASGLSWEPMVMWRAFIGAKKVLKEEAVRVKAIDCLDWCEVEINIFQFFKGYIEGRRYSNGWPEMLKLKDWPPSNSFEECLPRHGAEFIAMLPFSDYTHSKSGVLNLATKLPIVLKPDLGPKTYIAYGSMEELGRGDSVTKLHCDISDAVNVLTHTTEVKIPPGQRKIIDQLQKKYGAEKEIIEEKSCNVINNLESRESDSNSSTNVQSNDTSEVEYGGAVWDIFRRQDVPKLIEYLLKHHKEFHHINNAPVNSVIHPIHDQTLYLDEKHKKKLKEEFDVEPWTFEQHLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPENVQECIRLTEEFRLLPENHRSKEDKLEVKKMALYAASDAISEAKNLMSVNSLNGPRKLRSSRSNSKCQLEK >ONI23603 pep chromosome:Prunus_persica_NCBIv2:G2:23466926:23470661:-1 gene:PRUPE_2G197800 transcript:ONI23603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDQPSIKFGTQEALSHVRALTDVGAMTRLLHECIAYQRSLDLDLDNLLSQRTDLDKQLLSLHRSSTVLDIVKADSDHVLANVTSTCDLADHVSAKVRELDLAQSRVKSTLLRLDAIVELGNCIDGVKQALDTQDYESAAKYVQRFIQIDSEYRDSGSEQREQLMESMRQLESIVRKKLSEAVDQREHPTVLRFIRLYTPLGLETEGLQVYVGYLRKVIGMRSRLEFEHLVELMEQNNPIQTVNFVGCLTNLFKDIVLAVEDNDEILRGLCGEDGVVYAICELQEECDTRGSLILKKYMEYRRLPKLSSEINAQNKNLLNVGGVGVGSEGPDPREVELFLEEILSLMQLGEDYTEFMVSKIKGFTNVDPDLGPRATKAFRSGSFSKVVQEITGFYVILEGFFMVENVRKAIRIDEHVPDSLMTSMVDDVFYVLQSCLRRAISTLNISSVIAVLSGASSLLSNEYHEALQQKMREPNLGAKLFLGGVGVQQTGTEIATVLNNLDVSSEYVLKLKHEIEEQCLEVFPAPVDREKVKSCLSELGDMSNTFKQALNAGLEQLVATVAPRLRPVLDHVGTISYELSEAQYADNEVNDPWVQRLLHAVEMNVAWLQPLMTANNYDSFIHLVLDFIVKRLEATMIQKRFSQLGGLQLDRDARALVSHFSSMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLSLRVDFKPEAISALKL >ONI23602 pep chromosome:Prunus_persica_NCBIv2:G2:23466762:23470450:-1 gene:PRUPE_2G197800 transcript:ONI23602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDQPSIKFGTQEALSHVRALTDVGAMTRLLHECIAYQRSLDLDLDNLLSQRTDLDKQLLSLHRSSTVLDIVKADSDHVLANVTSTCDLADHVSAKVRELDLAQSRVKSTLLRLDAIVELGNCIDGVKQALDTQDYESAAKYVQRFIQIDSEYRDSGSEQREQLMESMRQLESIVRKKLSEAVDQREHPTVLRFIRLYTPLGLETEGLQVYVGYLRKVIGMRSRLEFEHLVELMEQNNPIQTVNFVGCLTNLFKDIVLAVEDNDEILRGLCGEDGVVYAICELQEECDTRGSLILKKYMEYRRLPKLSSEINAQNKNLLNVGGVGVGSEGPDPREVELFLEEILSLMQLGEDYTEFMVSKIKGFTNVDPDLGPRATKAFRSGSFSKVVQEITGFYVILEGFFMVENVRKAIRIDEHVPDSLMTSMVDDVFYVLQSCLRRAISTLNISSVIAVLSGASSLLSNEYHEALQQKMREPNLGAKLFLGGVGVQQTGTEIATVLNNLDVSSEYVLKLKHEIEEQCLEVFPAPVDREKVKSCLSELGDMSNTFKQALNAGLEQLVATVAPRLRPVLDHVGTISYELSEAQYADNEVNDPWVQRLLHAVEMNVAWLQPLMTANNYDSFIHLVLDFIVKRLEATMIQKRFSQLGGLQLDRDARALVSHFSSMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLSLRVDFKPEAISALKL >ONI25473 pep chromosome:Prunus_persica_NCBIv2:G2:29111069:29115035:-1 gene:PRUPE_2G305400 transcript:ONI25473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPNSGDPITDYSQTQRIVLLVDLNPLLDIQDPTPFLTSLLSSIKTLLSFPPLSSSLFAFRLFFSSLSPLLSSSKLPTSLSLSFHLPTPTLVSLSQALNSLPAFHQDPSLPNSSTPRASCLAASLRQLVHDYAWDPVICDSATGMFSNCDSVAVRSNLVVLFSPLCMSVNCLSEFLNVGVDDESLENVNVFCKRFRGLFENINNAFVSRDIQCGWVDVRYKLECGQDKVGNDEDRMRFGFLMSGIRSLGWGCCSTDSIVLGSALVPFGLIYPEIGISPKFFGCNDCHEKVYTHLSLEILDVIGKPLECKFCDLKLVDLKMFPRNTADDVFFSLEIMNSQPRGDELKKMFWENFGSGVTKFQVKALQKYNEFLKIKGHLSDPLLVSEVSEKLGKDGKESSVNLFADKVLEMLQMDLGEIVQRKSAPIWEILLSFLYRYGQGALVSLSNDSGVSYTGILKPFTVSSALLFIVDEGFHPQEKVYDNGGGNVDQLSPKMNNEICKPNADLNQTEPSPSNKHSAGKNGRKWNNKRKSNLLQDLTWSAFCKAAFEHTELGLEEVYFVRECNNSKKMRFLKCWMKQIKKSSLIMEKQSQTFQSNKKEMNNRLDNLHQESEQPIPSSASVGENSLTVACGIQNEAALEFRSETSEDFFSNLSNKIQQGLEYEAVDLGALAYRLVNSSIFWLKQKCDKEPLSESRTPLLKSGDTDYLVAAEVLKLLLRDPKDINARHKSSGLSFKASGSESEGLTSGKIVREYELQIFFRMEILQSEVGATIAESMKQKFVKHICSFLEKIRCHLDGGFFGNWSIDDYVENIIKSRYCETLEDVVHRIYTKMDLLLFADEEPPNNLLNSEDSNQSYREKPERDEVDENNGIKESVSAEDEPLRPPKIDNARPSAQEIKQKEHAHKLIEAQERRERARRFASFTRGVPDLQRIWAPKQPKASKPKSNPHRKRFKRKDHRGSCDDRVCETPMSGNKRSCQQGSCFDDKDYGNESCGGSVSKALFQDDQ >ONI20460 pep chromosome:Prunus_persica_NCBIv2:G2:1581613:1593341:1 gene:PRUPE_2G016800 transcript:ONI20460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVISRQVFPVCESLCFFCPALRARSRHPVKRYKKLLAEIFPRSPDEEPNDRKISKLCEYASKTPLRIPKITTTLEQRCYKDLRTENFHSVKVVMCIYRKLLVSCKEQMPLFASSVLSIVQILLDQSRHDDIRILGCQTLFEFVNNQKDGTYMFNLDGMIPKLCLLAQEMREDGSEKHLRSAGLKTLSSMVWFMGEFSHISSDFDNVVSVVLENYGGPKNKSDASIHDKQDTQNGSSEEASSSGEPMTSILSWRLLVSEKGEVNVSGEDMNNPRFWSRVCMHNIAKLAKEATTVRRVLESLFRYFDNGNLWSPKHGLALCVLMDMQLIIENCGQNRHFILSILIKHLDHKNVLKNPNMQLDIVDVATSLTREAKVQSSVAIIGALSDMMRHLRKSIHCSLDDSNLGTEVIEWNRNFRAVVDECLVQLTHKVGDAGPVLDMMAVMLENMSNITVMSRTLISAVYRTGQIVATIPNLTYQNKTFPEALFHQLLVAMVCADHETRVGAHRIFSVVLVPSSVCPRPCAATPHTAKRNDIGRTLSRTVSVFSSSAALFEKLNERSASQENICEEMKDKAVIEEEAKVTNESMLNRLKSKFSSRRHQSATSDSVGNEDSAINSHSVMNRLKSTYSRAYSMKRNPSNTTVDEKPRSISHKESTMSLRLSSRQITLLLSSIWVQSISPLNTPDNYEAIAHTYSLVLLYARTKNTSDETLIRSFQLAFSLRSISLGGGLQPSRRRSLFTLATSMIIFSAKAYNIVALAPCAKVALTNETIDPFLRLVDDSKLQAVNSGPDQVREVYGSKEDNEDALRSLSAIEISESQSKESFATMIVQTLGNSPNESSTIRQQLLNDFLPDDACPLGTQLCMETPIQIYQFGIEDNGTRDMVEPPLFTIEDDVLPNATESQTDPDKKVSMESLSLISVDELLDSVLETTHQVGRLSVSTATDMPYLEMAGLCEALQMGKQQRLSTFVAAQLRQESLMRFSPHDCNQQKETPSVVLLGAPTSGNPFLDSNAISLNQSVGNGQTLWATEYQHYPRFQLPASSPYDNFLKAAGC >ONI20461 pep chromosome:Prunus_persica_NCBIv2:G2:1581613:1591576:1 gene:PRUPE_2G016800 transcript:ONI20461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVISRQVFPVCESLCFFCPALRARSRHPVKRYKKLLAEIFPRSPDEEPNDRKISKLCEYASKTPLRIPKITTTLEQRCYKDLRTENFHSVKVVMCIYRKLLVSCKEQMPLFASSVLSIVQILLDQSRHDDIRILGCQTLFEFVNNQKDGTYMFNLDGMIPKLCLLAQEMREDGSEKHLRSAGLKTLSSMVWFMGEFSHISSDFDNVVSVVLENYGGPKNKSDASIHDKQDTQNGSSEEASSSGEPMTSILSWRLLVSEKGEVNVSGEDMNNPRFWSRVCMHNIAKLAKEATTVRRVLESLFRYFDNGNLWSPKHGLALCVLMDMQLIIENCGQNRHFILSILIKHLDHKNVLKNPNMQLDIVDVATSLTREAKVQSSVAIIGALSDMMRHLRKSIHCSLDDSNLGTEVIEWNRNFRAVVDECLVQLTHKVGDAGPVLDMMAVMLENMSNITVMSRTLISAVYRTGQIVATIPNLTYQNKTFPEALFHQLLVAMVCADHETRVGAHRIFSVVLVPSSVCPRPCAATPHTAKRNDIGRTLSRTVSVFSSSAALFEKLNERSASQENICEEMKDKAVIEEEAKVTNESMLNRLKSKFSSRRHQSATSDSVGNEDSAINSHSVMNRLKSTYSRAYSMKRNPSNTTVDEKPRSISHKESTMSLRLSSRQITLLLSSIWVQSISPLNTPDNYEAIAHTYSLVLLYARTKNTSDETLIRSFQLAFSLRSISLGGGLQPSRRRSLFTLATSMIIFSAKAYNIVALAPCAKVALTNETIDPFLRLVDDSKLQAVNSGPDQVREVYGSKEDNEDALRSLSAIEISESQSKESFATMIVQTLGNSPNVSFQSHIDYWNRI >ONI22435 pep chromosome:Prunus_persica_NCBIv2:G2:18586068:18589219:1 gene:PRUPE_2G128600 transcript:ONI22435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSMCVLLKLLLFHLVAVATAFSSLKPYSCHDEERAALLQFKQGFVINEYASGDGAYPKVSSWNPAEGGTSNCCAWSGVQCDKRTGHVISLDLSSSCLYGSIDSSSSLFRLVHLQRLNLAYNNFNYSQIPITLGNFPQLTYLNLSASFFFGQVPSQLSQLSKLSSLDLSRNLDPFHGEGLMSIRESDLRSLIRNLTTLEKLHLSFINISSTIPDSIANLSFLTSLLLRDCGLFGKFPARIFELQDLETLDVRSNQDLTGYLPEFNRSSRLVSFKLGGSSISGNLSSIRNLDSLQVRACNFSGPIPDWFANLTQLTFLSLSDNNFSGGPLSWIGKQTKLIYLYLEHINLSGYIPSSLRNLTQLFDLRFSSNQLTGPIPSWLGNLSRLADINLSDNRLRSSIPESIFNLMDLQILYLGSNSLHGTVDIFKLENITDLQLSGNKLEVLTKSRTMNATLPNLRALQLSSCNIKEFPQFLRHEQNLIWLDLSRNNLAGQVPKWMFNISTETLEYLDLSVNSLTGFGQPSPVVLPWVNLQVLRLYRNMLQGPLPIPQPSILFYEISDNKLTGEISPLICDLTALQILVLPNKLSGMLPQCLGYFSDDLRVFDVRNNSFHGVLPQAYTNTSNLRIFDVSLNQLQGQLPRPLANCVVLESLILSNNEFHDVFPFWLGSLPELKLLSMHHNGFYGVIGKQKMNLHFPELRILDLSDNNFRGEFPSEYIFSGNPMRGITPDQPTYMDTNSTTITFGGTSGAFRYDFSITVTNKGVDRYYSKIREDIGVVDISSNKFEGKIPEFIGNLKGLRFLNVSNNILTGSIPSFLANLTLLESLDLSQNKLSGEIPQQLTQLTFLGNFNVSHNNLTGPIPYRGQLTTFDVTSYEGNPGLCGDVLPKKCGDPNASQPPPSSKEENDSGSGFELDWKFGLAGFGSGLVVGVVLADVAISRRREWFLEIVGRIILIIKKRRKSRGPRNSVN >ONI24759 pep chromosome:Prunus_persica_NCBIv2:G2:27037614:27039990:-1 gene:PRUPE_2G261000 transcript:ONI24759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREREISDEIGKKIKRETDASSHHRMGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELNAVRGEILKYKYREANLLPSNSHHMALFSTSGAVSIASLPQTPQPPPPPPPPPPLPPTSSSSSMYTNQPSTADYSTLSSENVSFFG >ONI25419 pep chromosome:Prunus_persica_NCBIv2:G2:28960487:28964514:-1 gene:PRUPE_2G302100 transcript:ONI25419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLIESAMAVRFHAGAKLCPSTSMTSYRPTRSSEDAAGAVHVTSCRLSCSGGFGVPRSRIRRSKNGSVAKRSNFVKNRPIRASLGQLGPGSEPIKPNGRPQYHPFEDIAESKLETNGTARLTAAESARTIIEVNSKATLMFSSLINDEVHENIICPDLPYVTDEHGNIYFQVKDGEDTMQSLTSENNYVQVIIGLDTMEMISEMELPEIDFGMDGIEDEDSDVEDDNDNDEDDDEEDGDYDSDWVAVLEDEDEDDMDDSDKSLGDWAKLETMRFSHPMYFAKKLTEVASDDPIDWAEQAPASLAIQGLIRPALIEEHSVIQKHMSDHQSSNDGVDSLGSIVEDDLEDPVRINGHESGSSKDSPVQAEELENKKDEISRNGTTFYKLEMVKIQLFSSHGNQTVVEVEEFVKAQPDAIAHSAAKIISRLNAGGEKTTQALKSLCWKLKGLQVEEAVLIGVDSLGFDLRVCSGTQVQTLRFALNTRATSEYSAERQLNDLLFPRMQHKPQTVKQS >ONI25420 pep chromosome:Prunus_persica_NCBIv2:G2:28960914:28964053:-1 gene:PRUPE_2G302100 transcript:ONI25420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLIESAMAVRFHAGAKLCPSTSMTSYRPTRSSEDAAGAVHVTSCRLSCSGGFGVPRLICRSRIRRSKNGSVAKRSNFVKNRPIRASLGQLGPGSEPIKPNGRPQYHPFEDIAESKLETNGTARLTAAESARTIIEVNSKATLMFSSLINDEVHENIICPDLPYVTDEHGNIYFQVKDGEDTMQSLTSENNYVQVIIGLDTMEMISEMELPEIDFGMDGIEDEDSDVEDDNDNDEDDDEEDGDYDSDWVAVLEDEDEDDMDDSDKSLGDWAKLETMRFSHPMYFAKKLTEVASDDPIDWAEQAPASLAIQGLIRPALIEEHSVIQKHMSDHQSSNDGVDSLGSIVEDDLEDPVRINGHESGSSKDSPVQAEELENKKDEISRNGTTFYKLEMVKIQLFSSHGNQTVVEVEEFVKAQPDAIAHSAAKIISRLNAGGEKTTQALKSLCWKLKGLQVEEAVLIGVDSLGFDLRVCSGTQVQTLRFALNTRATSEYSAERQLNDLLFPRMQHKPQTVKQS >ONI24682 pep chromosome:Prunus_persica_NCBIv2:G2:26755832:26760970:-1 gene:PRUPE_2G254800 transcript:ONI24682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSHQLLARKAPLGQIWMAATMHAKINRRKLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRLLVEINEAWKAKSVADPTVLPKGKSQARKEAVTLPENEDIDIEGMLNLSNGATTMFQQTSYFAMRLDSVDEQYVNNDAGDPPQQFHQAEAENITLPERFDSCQADAYLYSRLERFDIEGDEETQLNFTSGEHTQIHIPPSPPRDEHQKADTTQDDQHPEQQVNQPEECQEFRQKVGMKQVQERQGPIRRKTRRTQASAMDFEQTIIPGHLYQSWLQNSSDIVSRRGRKRKRTDVMATMKIVNLMELPPIVLIGDLFTTGSRDIYYPRPLLDLWMKSTRTPRASPSERNTPPLTPEPSSSTPPGRQQYEDPVGYPFEDFHSGVGSQSLEPSIDKQRRHSGMTRGNSDPAQVVLEGLTANLENNDLGGTDANPMATPGNSDDVRSIPSSASGQAIPSEANSGRSNRKRPYSASGHGSVRLEPVFEVNHPDVNFELSRLHEHGLTSEPDFFVETGPTQTQKPINDQPLDKTTDSIRRLLKSHFDTAGAPQVESLDHLTAGRTRKEAAVFFYKTCVLATHDAIRVEQKVAYGEILISRGPKMG >ONI24681 pep chromosome:Prunus_persica_NCBIv2:G2:26755311:26761235:-1 gene:PRUPE_2G254800 transcript:ONI24681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSHQLLARKAPLGQIWMAATMHAKINRRKLDKLDIIKICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRLLVEINEAWKAKSVADPTVLPKGKSQARKEAVTLPENEDIDIEGMLNLSNGATTMFQQTSYFAMRLDSVDEQYVNNDAGDPPQQFHQAEAENITLPERFDSCQADAYLYSRLERFDIEGDEETQLNFTSGEHTQIHIPPSPPRDEHQKADTTQDDQHPEQQVNQPEECQEFRQVQERQGPIRRKTRRTQASAMDFEQTIIPGHLYQSWLQNSSDIVSRRGRKRKRTDVMATMKIVNLMELPPIVLIGDLFTTGSRDIYYPRPLLDLWMKSTRTPRASPSERNTPPLTPEPSSSTPPGRQQYEDPVGYPFEDFHSGVGSQSLEPSIDKQRRHSGMTRGNSDPAQVVLEGLTANLENNDLGGTDANPMATPGNSDDVRSIPSSASGQAIPSEANSGRSNRKRPYSASGHGSVRLEPVFEVNHPDVNFELSRLHEHGLTSEPDFFVETGPTQTQKPINDQPLDKTTDSIRRLLKSHFDTAGAPQVESLDHLTAGRTRKEAAVFFYKTCVLATHDAIRVEQKVAYGEILISRGPKMG >ONI21995 pep chromosome:Prunus_persica_NCBIv2:G2:15653206:15657073:-1 gene:PRUPE_2G100700 transcript:ONI21995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEFEARVKPLEYKVKAMSRESPSQKAGHVLDADLRSHWSTATNTKEWILLELNEPCLLSHIRIYNKSVLEWEISVGLRYKPETFVKVRPCCEAPRRDMIYPMNYTPCHYVRISCLRGNPIAIFFIQLIGVSVTGLEPEFQPVVNHLLPSIISHKQDALDLHLQLLKDMTSWLLVFLPQLECRQTLTASWMLLNLIYVFLLCLPVLFIPYNLGNERTAAKSLGNISDSEPRRSHGTSPFILSTSSSIVFCADAIFVLLRKAYKDSDLGIVCRMAARVLHKLIEPVAHEVSTPPGEVTYGDEAVKSEIINPAPLVDYSNSFGEEFQLPDDHWAQAILASWI >ONI22160 pep chromosome:Prunus_persica_NCBIv2:G2:16923469:16925476:-1 gene:PRUPE_2G111200 transcript:ONI22160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRCWASRTARWVSNVHREVRRKPDPPCEVCTGSGRVNCHDCYGTGRTNSVDMQMLPKGEWPKWCRTCGGSGLGYCSRCLGTGEYRYIMGFQFMKMDSSHNQDNKNEVQRNQDRRTAADFYEE >ONI22159 pep chromosome:Prunus_persica_NCBIv2:G2:16923107:16925825:-1 gene:PRUPE_2G111200 transcript:ONI22159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLSSFTAVRFEAPKSNGAPIPPPRHQDPLPRLSVTKPSWVVRTESNVHREVRRKPDPPCEVCTGSGRVNCHDCYGTGRTNSVDMQMLPKGEWPKWCRTCGGSGLGYCSRCLGTGEYRYIMGFQFMKMDSSHNQDNKNEVQRNQDRRTAADFYEE >ONI22161 pep chromosome:Prunus_persica_NCBIv2:G2:16923107:16925552:-1 gene:PRUPE_2G111200 transcript:ONI22161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFQNGQMGGLCWSNVHREVRRKPDPPCEVCTGSGRVNCHDCYGTGRTNSVDMQMLPKGEWPKWCRTCGGSGLGYCSRCLGTGEYRYIMGFQFMKMDSSHNQDNKNEVQRNQDRRTAADFYEE >ONI21900 pep chromosome:Prunus_persica_NCBIv2:G2:15245503:15247062:-1 gene:PRUPE_2G097500 transcript:ONI21900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPRFVVVKSNHNKKYLRYLKTEDGEEDAPAEFLKFTGEEAGSHYAKYEVEMAKSTENKGFVHIRCCYNNKYWVSVNGMDKFEGDVLIVAGADEPEEDQSKPSCTLFEPVYVDPIDEEDDDNKPDDKAEDDLEEDNQVVQFRHVQLGRYTCLHNDSDALVLFGGSNSTTDDPNNLESRDVCTVVNWESLVILPKHVVFKGDDDNYITAQMSDYHGDDDDGDGPNLMFHGNDINDPGVANEIFMNADGTLQIKNIFFERYWRLAKEEWIWADAFNPTPRDKNYKDTLYKAIKLYDKTIALINVGNNNYCKRYASGICALSANVPNLSIYSHLKVEEPVKSRTIYKVKYRLDHARTYNYQTDFEIATAEAVNFTQETTTVTLKLLYRRLSSCSWNDINRIYSMKLKTTIEAPGVPRITTHEGGLNKVKISDGFKGDVQWGETEAYQTEVETLCVVDVPAKSVLKVRLLATTASCDVPFSYSRQDTRSNGQVHVTNDLDDGFYSGINAFNFKYNTKEEKLG >ONI22941 pep chromosome:Prunus_persica_NCBIv2:G2:21198322:21200046:-1 gene:PRUPE_2G159600 transcript:ONI22941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSEINEQLTAAANVGEGDVVLEIGPGTGSLTDVLINAGAFVLAIEKVVCDPHMATLVSERFAETERFKVVANIPFNISTDVVKQLLPMGDIFSDVVLLLQVGIILLIYHCKIILF >ONI21586 pep chromosome:Prunus_persica_NCBIv2:G2:11234061:11234932:1 gene:PRUPE_2G074200 transcript:ONI21586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMMKRIEKKTDADGGRRVRDLQDSGDESDKEIYESDNHAATSRLTPLTPTHPFIKFCESKTNWGARSMNPRPTLNMQMRGASSYWSEH >ONI23712 pep chromosome:Prunus_persica_NCBIv2:G2:23930142:23932571:1 gene:PRUPE_2G203600 transcript:ONI23712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGRPFLVKEQCSASQLGDILLVASITKTLSSSGTRNLPDPHTLSLSEPLLLQILRAQSLHPSKKVDFFKWCSLTHNIKHSARTYSHILRTASRAGFLHEVPHLLHSMKEDGVVIDSQTFKALLDAFIRSGKFDYALEILDIMEEVGASLNTDMYNSVLVALVRKNQVGLAMSILLKLLEGGCSSQVPNSIASNELLVALRKADMRVEFKQVFDKLRENKGFEMDNWGYNICIHAFGCWGDLGTSLSLFKEMKDSNLESVGPDLPTYNSLIHVLCLVGKVNDALTVWEELKGSGHEPDAITYRILIQGCCKSYRIDEATNIFSQMQLNGYIPDTIVYNSLLDGLFKARKVNDGCHLFEKMIQNGVRASTWTYNILVDGLFKNGRAEAAYTLFCDLKKKGQFVDGVTYSIVVLQHCKEGLLEKALGLVEEMERRGFAVDLVTISSLLIGLYKEGRWDWTDKLMKHIRDGNLVPSVLKWKADMEASMKTPQSSRKDYTQLFPSKGDFSEIMSLINSANSTMDADLDSEDARVKGDDKNVSTDTDQWSSSPYMDQLANQLKPIDHSSQLFSLSRGQRVQDKGESSFDIDMVNTFLSLFLAKGKLSLACKLFEIFSDLGENPVSYTYNSMMSSFVKKGYFNEAWGVLNEMGEKVCPTDIATYNVIIQGLGKMGRADLASCVLDKLMKQGGYLDVVMYNTLINALGKASRIDEVNKLFGQMKSSGINPDVVTFNTLIEVHSKAGRLKDAYKFLKMMLDAGCSPNHVTDTTLDFLGKEIEKLRYQKASMVRNKDDFR >ONI23927 pep chromosome:Prunus_persica_NCBIv2:G2:24695043:24695486:1 gene:PRUPE_2G216500 transcript:ONI23927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSIGIVSRNSSGEWCGGLASLLLCVSSLSAEAAAALCALHLAKNRGYLKVILETDCKVLIDGITGNHGNNSWPILPLIDEIHVVVSSFEEVTWSWVPRNRAAHAAASMGNRAMELQSWVDRPPLSLVGVLTSDGLPCPPHVASAV >ONI20515 pep chromosome:Prunus_persica_NCBIv2:G2:2001151:2004738:1 gene:PRUPE_2G020200 transcript:ONI20515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDRSTPKPSKPQQPLLTESHFPQSKIFTFPKWVSFNLFKITTISFLTLTIAALFFLYNANDSPSTFLCFNKSHLKIPKPIEFPKLSFKSIKPISDKSSNYASFGSDRWVVVSVSDYPSDSLRKLVKLKGWQVLAIGNSRTPVDWSLKGVIYLSMDDQAKLDFRILDYLPYDSYVRKTVGYLFAIQHGAKMIYDADDRGDVIDGDLGEHFDLKLSNVDVMQEKLLQYSNENPNRTVVNPYIHFGQRSIWPRGLPLENVGEVGHEEFYSEVFGGLQYIQQGISNGLPDVDSVFYFTRRSGSEAFDIRFDEHAPKVALPQGMMVPLNSFNALFHSNAFWSLMLPVSVSTMASDVLRGYWAQRLLWEIGGFVVVYPPTIYRYDKIESYPFMEEKDLHINVGRLIKFLVTWRSTKINLFEKILELSYLMAKEGFWTEKEVKFTAAWLQDLVAVGYIQPKQKAIKLDQPRTAIGLADRKEFIPQKLPSVHLGVKESETVNYEIGNLIRWRKFFGNVVLIMFVGGPVERTALEWRLLYGRVFKSVVILSDGAKTDLAVEQATLDQVYKYLPKIFDRFTSAEGFLFLQDNTILNYWNLLQADKTKLWITDKVHRSWTTASIYGKDSEWFSKQADMVKKVVSTMPVHLQVSYKESSTREQGLSICSSEVFYIPRQFVGDFVDLVGLVGKFEIHNKVAVPLFFMAMDLPHKYDSVLNTMIYKPETSSSNSSNIYSAQAPAIHPWTVSSESDFIELIRFMATGDPLLMELF >ONI25335 pep chromosome:Prunus_persica_NCBIv2:G2:28733469:28734818:-1 gene:PRUPE_2G296800 transcript:ONI25335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQPNTGIFVGLNKGHIVTRKELAPRPSDRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKKKLGTHKRAKKKREEMSNVLRKIRAGGGASEKKK >ONI25334 pep chromosome:Prunus_persica_NCBIv2:G2:28733469:28734877:-1 gene:PRUPE_2G296800 transcript:ONI25334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQPNTGIFVGLNKGHIVTRKELAPRPSDRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKKKLGTHKRAKKKREEMSNVLRKIRAGGGASEKKK >ONI24627 pep chromosome:Prunus_persica_NCBIv2:G2:26550578:26553765:1 gene:PRUPE_2G250800 transcript:ONI24627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSPRTVEEIFKDYSARRSAVIRALTYDVDEFYSLCDPEKENLCLYGHPDESWEVTLPAEEVPPELPEPALGINFARDGMNRKDWLSLIAVHSDAWLLSVAFYFGARLNRNERKRLFSLTNDLPTIFEVVTGRKPVKDKPSADSGSKSRNSAKRSVDGQIKSNNKLLDGSYVEDEDEHSETLCGSCGGNYSANEFWIGCDICERWYHGKCVKITPAKAEYIQQYKCPSCSTKKGK >ONI25888 pep chromosome:Prunus_persica_NCBIv2:G2:30047558:30050152:1 gene:PRUPE_2G324900 transcript:ONI25888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFRLAGDLTHLLSIVVLFRKIRTTKSCAGISLKTQELYGLVFLTRYIDLVIKYHSLYNTLGKLIFIGTSLATVCHMRYHKVVKQTYNKDQDTFRHYYLILFCLGLALLIPRSFTVIEVLWAFSIYLEAVAILPQLVLLQRSRNIDNLTGNYVFLLGAYRALYLLNWIYRFFTELHRVLWIPGRNTRSLSFLLEVDHSGCVISTNTKAMDRYFT >ONI25889 pep chromosome:Prunus_persica_NCBIv2:G2:30047558:30050152:1 gene:PRUPE_2G324900 transcript:ONI25889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFRLAGDLTHLLSIVVLFRKIRTTKSCAGISLKTQELYGLVFLTRYIDLVIKYHSLYNTLGKLIFIGTSLATVCHMRYHKVVKQTYNKDQDTFRHYYLILFCLGLALLIPRSFTVIEVLWAFSIYLEAVAILPQLVLLQRSRNIDNLTGNYVFLLGAYRALYLLNWIYRFFTELHRVLWIPWVSGLVQTALYADFFYYYIKSWKKHEELKLPA >ONI20169 pep chromosome:Prunus_persica_NCBIv2:G2:167634:175268:-1 gene:PRUPE_2G000700 transcript:ONI20169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSHGKGMSASALPYKRSSPSWLKVTAPGVEENICKFAKKGMTPSQIGVILRDSHGIAQVKSVTGSKILRVLKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLSRYYKKTKKLPPVWKYESTTASTLVA >ONI20170 pep chromosome:Prunus_persica_NCBIv2:G2:167357:175432:-1 gene:PRUPE_2G000700 transcript:ONI20170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSQIGVILRDSHGIAQVKSVTGSKILRVLKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLSRYYKKTKKLPPVWKYESTTASTLVA >ONI20171 pep chromosome:Prunus_persica_NCBIv2:G2:167836:175432:-1 gene:PRUPE_2G000700 transcript:ONI20171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSHGKGMSASALPYKRSSPSWLKVTAPGVEENICKFAKKGMTPSQIGVILRDSHGIAQVKSVTGSKILRVLKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLSRYYKKTKKLPPVWKYEL >ONI20348 pep chromosome:Prunus_persica_NCBIv2:G2:964053:964548:-1 gene:PRUPE_2G010700 transcript:ONI20348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKIVCAVLFAAASVSAVMAHEGHAYTHSPAPAPGPGGAANDASASLPVLGSVVGASIVSFIAYYMQ >ONI22961 pep chromosome:Prunus_persica_NCBIv2:G2:21251042:21252964:1 gene:PRUPE_2G160700 transcript:ONI22961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAIVTGANKGVGLETVRQLASNGFIVVLTARDGKRGLEAVEKLKESGLSGQVVFHQLDVANPASVASLADFIKTQFGKLDILARGEVDLSKLVTETYELTEECLQINYYGAKRTAEALIPLLQFSDSPRIVNVSAGLGMLNNIPSDWARGVFTDAENLTEERVDEVLTELLKDFKEGSLESKGWPSSMPAYIVSKAALNAYTRILAKKYPNFRINSVCPGYVKTDMNFNAGLLPVEEGGARVVKLALLPNDGPTGSFFVQNEVSDL >ONI22960 pep chromosome:Prunus_persica_NCBIv2:G2:21250950:21253041:1 gene:PRUPE_2G160700 transcript:ONI22960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAIVTGANKGVGLETVRQLASNGFIVVLTARDGKRGLEAVEKLKESGLSGQVVFHQLDVANPASVASLADFIKTQFGKLDILVNNAGIFGSIIDVDASKAAVASGAMARGEVDLSKLVTETYELTEECLQINYYGAKRTAEALIPLLQFSDSPRIVNVSAGLGMLNNIPSDWARGVFTDAENLTEERVDEVLTELLKDFKEGSLESKGWPSSMPAYIVSKAALNAYTRILAKKYPNFRINSVCPGYVKTDMNFNAGLLPVEEGGARVVKLALLPNDGPTGSFFVQNEVSDL >ONI23613 pep chromosome:Prunus_persica_NCBIv2:G2:23596255:23600925:1 gene:PRUPE_2G198500 transcript:ONI23613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGEVVVSEDMETVKNEKNMEEEESGGGGGGGSGSAEVVSWGSYLPKMVLRVLLVEADDSTRQIISALLRKCNYRVVAVGDGLKAWEVIKGKHHKIDLILTEAELPSISGFALLTLIMEHDMCKNIPVIMMSSQDAISTVLKCMLKGAADYLIKPVRRNELRNLWQHVWRRQTIGAQAPQNSTVPQHKAEATSENNGASKHSNDYAVSMRGNTECSEKGSEAQSSCTTPFLGAESAYMQNMQDISQLKYGSASNLSNTDMERLEECARLAKESVMHESEAKEKSGTFGSDEAPLNGHTSTAIRLREDHASAIKMVEGEGILPESYKGNANISSETHSCSYELDEPSSGAIDFIGTFDNPPKCTVGHPKSREDGTKHMGCAPQLELSLRSGLGSSNKQASDERPLLNHSDASAFSWYNNSKTVQSLFPTFPSTKSEVASKSHDSQEMSTLVSCQTAQADISFPGPQLGMIGVRSDNICAGYDHSFPSLFVRQSDLTPTWSTKSVCHGAQSFPVNTSNHSNPEIQHSEQECHLSDKTTYKSFDQTVHKQNKLERMEESRPGSPATGQSACSSLGNAVGNNIGSGAYGSNCTSNDGDALAVASEITVASESLNGSGHYVHEGLGGADSLRSSQREAALTKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVHRAQTDSPTADAAVEL >ONI23612 pep chromosome:Prunus_persica_NCBIv2:G2:23596255:23600925:1 gene:PRUPE_2G198500 transcript:ONI23612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGEVVVSEDMETVKNEKNMEEEESGGGGGGGSGSAEVVSWGSYLPKMVLRVLLVEADDSTRQIISALLRKCNYRVVAVGDGLKAWEVIKGKHHKIDLILTEAELPSISGFALLTLIMEHDMCKNIPVIMMSSQDAISTVLKCMLKGAADYLIKPVRRNELRNLWQHVWRRQTIGAQAPQNSTVPQHKAEATSENNGASKHSNDYAVSMRGNTECSEKGSEAQSSCTTPFLGAESAYMQNMQDISQLKYGSASNLSNTDMERLEECARLAKESVMHESEAKEKSGTFGSDEAPLNGHTSTAIRLREDHASAIKMVEGEGILPESYKGNANISSETHSCSYELDEPSSGAIDFIGTFDNPPKCTVGHPKSREDGTKHMGCAPQLELSLRSGLGSSNKQASDERPLLNHSDASAFSWYNNSKTVQSLFPTFPSTKSEVASKSHDSQEMSTLVSCQTAQADISFPGPQLGMIGVRSDNICAGYDHSFPSLFVRQSDLTPTWSTKSVCHGAQSFPVNTSNHSNPEIQHSEQECHLSDKTTYKSFDQTVHKQNKLERMEESRPGSPATGQSACSSLGNAVGNNIGSGAYGSNCTSNDGDALAVASEITVASESLNGSGHYVHEGLGGADSLRSSQREAALTKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVHRAQTDSPTADAAVEL >ONI23830 pep chromosome:Prunus_persica_NCBIv2:G2:24336961:24339341:1 gene:PRUPE_2G210700 transcript:ONI23830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFIKSYSSHFIFFIICFSSLSVDPRADNVDPQLINVPLPAFKSSLEKTKELVQNVASTMSHMHAGEDYENSTVVVISYCEDLLNETADVLDWSLSTIDDLKADVVSHMRTWLNISQSKEKICVDTFQNMIDSNVAESLRQMTKSIDEVLGMIQVQQQHHLHRHNSTAAPGSDPALSWTADVTVSQDGSGKFKRIMDAIAAAPSHSQKQFVIFVKKGVYKEYVKIDKTKTNLVLIGEGMSVTTISGDRSNASGWATMKSATFDVRAEGFLAMNIGFENTAGPSKGQAVALSSGSDRSVFYRCKISGYQDTLLVLSGRQFYRECTISGTVDFIFGYGTAVFQHSRIIPRKAIKGQQNTITANGRLSEDSSGFSFQFCRIEADSDLVGNVNSTKTYLGRPWGKYSRTVFIKSFMSNIIRPEGWLEWSGENNLDTLYYAEYKNYGPGASVAGRVKWPGYHLISEADSFAVDKFIGGKSWLPSTGVPFKADL >ONI23193 pep chromosome:Prunus_persica_NCBIv2:G2:22029821:22039719:1 gene:PRUPE_2G174200 transcript:ONI23193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGLSDGLLYSISWKGEFYGTFELDPFPRDGSDTIPSPHSLDNGVASKGVSGTVVSNHSISRKSSIIQLELCFPMRLLFVLYSDGQLVSCSISKKGLKHAESIKAEKRLGVGDAVCASVAAEQQILAVGTKRGVVELYDLAESASLIRSVSLYDWGYSMEDTGSVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTVRQIGLSSVSSPMVKPIHECKYEPLMTGTSLMQWDEHGYRLYAIEERSLERIISFSFGKCCLNRGVSGMTYVRQVIYGDDRLLVVQSEDTDELKMLHLNLPVSYISQNWPVQHVAASKDGMYLAVAGLHGLIIYDIRLKKWRVFGDITQEQKIQCKGLLWMGKIVVVCNYIDSSNTYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYQEYILVTYRPFDVHIFHVKLFGELTPFSTPDLQLSTVRELSIMTAKSHPAAMRFVPDQLPRESISNNHTSNSDPLSKEPARCLIQRVNGELSLLDLDDGRETELTDSIELFWVTCGQSEEKTNLIEEVSWLDYGHRGMQVWYPSLGVDPFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFSACTEFPCFEPTPQAQTILHCLLRHLIQRDKSEEALRLAQLSAEKPHFSHCLEWLLFTVFDAEISSQNANKNQISVPKYAKNSTLLEKTCDLLRNFPEYFDVVVSVARKTDGRHWADLFSAAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGREYEQPSTDSERLSPRFLGYFGFHSTFRKQTLDKSTSFKEQNAHVASVKNILESHANYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELIGQKLQMGTLQSRFDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLFDLFRHDMRLWKAYSITLQSHAAFSEYHDLLGDLDEQLSSIAYEEK >ONI23192 pep chromosome:Prunus_persica_NCBIv2:G2:22029821:22039719:1 gene:PRUPE_2G174200 transcript:ONI23192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMAYGWPQVIPLEQGQCPSSQKVVYLKVINRLLLVVSPSHLELWSSSQHKVKLGKYIRDSDSVQKEGENLQAVWSPDTKLIAILTSSFFLHLFKVQFTEKKIQLGGKQPSGLFLATISLLLSEQVPFTQKDLAVSNIVSDSKHMLLGLSDGLLYSISWKGEFYGTFELDPFPRDGSDTIPSPHSLDNGVASKGVSGTVVSNHSISRKSSIIQLELCFPMRLLFVLYSDGQLVSCSISKKGLKHAESIKAEKRLGVGDAVCASVAAEQQILAVGTKRGVVELYDLAESASLIRSVSLYDWGYSMEDTGSVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTVRQIGLSSVSSPMVKPIHECKYEPLMTGTSLMQWDEHGYRLYAIEERSLERIISFSFGKCCLNRGVSGMTYVRQVIYGDDRLLVVQSEDTDELKMLHLNLPVSYISQNWPVQHVAASKDGMYLAVAGLHGLIIYDIRLKKWRVFGDITQEQKIQCKGLLWMGKIVVVCNYIDSSNTYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYQEYILVTYRPFDVHIFHVKLFGELTPFSTPDLQLSTVRELSIMTAKSHPAAMRFVPDQLPRESISNNHTSNSDPLSKEPARCLIQRVNGELSLLDLDDGRETELTDSIELFWVTCGQSEEKTNLIEEVSWLDYGHRGMQVWYPSLGVDPFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFSACTEFPCFEPTPQAQTILHCLLRHLIQRDKSEEALRLAQLSAEKPHFSHCLEWLLFTVFDAEISSQNANKNQISVPKYAKNSTLLEKTCDLLRNFPEYFDVVVSVARKTDGRHWADLFSAAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGREYEQPSTDSERLSPRFLGYFGFHSTFRKQTLDKSTSFKEQNAHVASVKNILESHANYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELIGQKLQMGTLQSRFDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLFDLFRHDMRLWKAYSITLQSHAAFSEYHDLLGDLDEQLSSIAYEEK >ONI23194 pep chromosome:Prunus_persica_NCBIv2:G2:22032698:22039719:1 gene:PRUPE_2G174200 transcript:ONI23194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTGSVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTVRQIGLSSVSSPMVKPIHECKYEPLMTGTSLMQWDEHGYRLYAIEERSLERIISFSFGKCCLNRGVSGMTYVRQVIYGDDRLLVVQSEDTDELKMLHLNLPVSYISQNWPVQHVAASKDGMYLAVAGLHGLIIYDIRLKKWRVFGDITQEQKIQCKGLLWMGKIVVVCNYIDSSNTYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYQEYILVTYRPFDVHIFHVKLFGELTPFSTPDLQLSTVRELSIMTAKSHPAAMRFVPDQLPRESISNNHTSNSDPLSKEPARCLIQRVNGELSLLDLDDGRETELTDSIELFWVTCGQSEEKTNLIEEVSWLDYGHRGMQVWYPSLGVDPFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFSACTEFPCFEPTPQAQTILHCLLRHLIQRDKSEEALRLAQLSAEKPHFSHCLEWLLFTVFDAEISSQNANKNQISVPKYAKNSTLLEKTCDLLRNFPEYFDVVVSVARKTDGRHWADLFSAAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGREYEQPSTDSERLSPRFLGYFGFHSTFRKQTLDKSTSFKEQNAHVASVKNILESHANYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELIGQKLQMGTLQSRFDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLFDLFRHDMRLWKAYSITLQSHAAFSEYHDLLGDLDEQLSSIAYEEK >ONI23566 pep chromosome:Prunus_persica_NCBIv2:G2:23327157:23330843:-1 gene:PRUPE_2G195600 transcript:ONI23566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPQQMIPVMPTSFPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQAPTVPAQMAPHPAMQQAGYYMQHPQAAAMAQQQGIFPPKMPLQFNNPHQMHDAAQQLHQQHQQAMQGQMGMRAGGANGMPSMHHTEATLGGGSGGPTSGGGGPNDGRGGSKQDNSEAGTGGDGQGSSAGGHGNGDGEDGK >ONI24173 pep chromosome:Prunus_persica_NCBIv2:G2:25408009:25409583:1 gene:PRUPE_2G228300 transcript:ONI24173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFIGTMPYAMLSFTAIRATLKLLIDTKSHKILIAEVSEEVVDFFFSLLSLHVGRLLPEDGMVGCLGKLYEGLENLSYTYLQPNLKKKSMFNFHELCTRYYAISTPVNYVALTQAPSSTVETATSSEGGYVKGVGLKPLKASLESNTVLTDVFLRKRKA >ONI24665 pep chromosome:Prunus_persica_NCBIv2:G2:26691322:26693496:-1 gene:PRUPE_2G253700 transcript:ONI24665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKSSTEEVSETSPSISKKEFEDEDDEGDEGEEEEVDKDSLQLRNNGVSSSNSTIEENEKKGVSGSVRQYVRSKTSRLRWTPDLHLCFVHAVERLGGQERATPKLVLQLMNVKGLSIAHVKSHLQMYRSKKMEDPDQVMSEQGFFMEGGDNHIYNLTQLSMLQSFNQWPSSGLRYGTATDAAWRGRQHQIYSPYNTHRTALLDHNTRNIGLYGSVADRIFGNNNNPTSANNHLHTNNPSPSYVQTTWRRHQIRDESFQSTIHVPCQDHSWKAIRDRQNLLKRKAPDSDHTTCDLDLNLSLKVPQKDDHEFGKGLEGCSLSLSLTSSSSSKLSTKKLEGGGHGRGEHGRMPSTLDLTL >ONI21677 pep chromosome:Prunus_persica_NCBIv2:G2:12329083:12330169:1 gene:PRUPE_2G080400 transcript:ONI21677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFAYGGTGVFDTVFGGPNLTTQIDFFQQLLEQKLYSTKNDVVNSSIALVSVAGNDYAAYFGNHTEVSGLLFYTFGKTLRAAFEGFGELVEVKIIMDKISKRSKGYAFIEYTTEEAASAALREMNGKIINGWMIVVDVAKTSPPRYSRGQSRPATR >ONI22910 pep chromosome:Prunus_persica_NCBIv2:G2:21142572:21144818:1 gene:PRUPE_2G158500 transcript:ONI22910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGTLEVVLVEARGLKKTDFLSKIDPYVVFTVKTQEKKSNVAKGQGNEAEWNESFLFTVSEDVSELRLKIMDKDTFTADDFVGEATIPLEPLFAEGSLPPTMYDVVNKNQDYHGEIKIGLTFTPEPERSNFRSRDYAAEENYGGWKESSF >ONI22911 pep chromosome:Prunus_persica_NCBIv2:G2:21142782:21144818:1 gene:PRUPE_2G158500 transcript:ONI22911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGTLEVVLVEARGLKKTDFLSKIDPYVVFTVKTQEKKSNVAKGQGNEAEWNESFLFTVSEDVSELRLKIMDKDTFTADDFVGEATIPLEPLFAEGSLPPTMYDVVNKNQDYHGEIKIGLTFTPEPEWL >ONI22909 pep chromosome:Prunus_persica_NCBIv2:G2:21142723:21144851:1 gene:PRUPE_2G158500 transcript:ONI22909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGTLEVVLVEARGLKKTDFLSKIDPYVVFTVKTQEKKSNVAKGQGNEAEWNESFLFTVSEDVSELRLKIMDKDTFTADDFVGEATIPLEPLFAEGSLPPTMYDVVNKNQDYHGEIKIGLTFTPEPERSNFRSRDYAAEENYGGWKESSF >ONI22481 pep chromosome:Prunus_persica_NCBIv2:G2:19048367:19052781:-1 gene:PRUPE_2G132200 transcript:ONI22481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAICEEAVEKLSVMNHPDGDCPLCLYPLVPEDEQSKVLPFMKLMSCFHCFHSECIIRWWNWIQTEERSNASDSSSAHVPPIRDLRNHKDTRGAVEESMGNCPVCRKVFHAEDFEHVLNLVGNHSSHLSLNDNEDDDGEKLLQSESENARRQNFEALLKSQQENSGLIEPKKNIVVLPGMLLPPPVASSTSETAKESAEEQQREPPVTSEMHSGGSSDRHSGGSSDGPNTHEQRSSTSRERRVRNTRRPVKQWIKKESGRAE >ONI22480 pep chromosome:Prunus_persica_NCBIv2:G2:19048079:19053640:-1 gene:PRUPE_2G132200 transcript:ONI22480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAQEEEVAAEVEAVQAVYGDDCVVLESYPPHLHLYIKPRTADVASQQFVEAVIGVRAGSQYPNEPPHIELLDSKGLDFQRQKHLTNSIRDRACDLSSCLMLVAICEEAVEKLSVMNHPDGDCPLCLYPLVPEDEQSKVLPFMKLMSCFHCFHSECIIRWWNWIQTEERSNASDSSSAHVPPIRDLRNHKDTRGAVEESMGNCPVCRKVFHAEDFEHVLNLVGNHSSHLSLNDNEDDDGEKLLQSESENARRQNFEALLKSQQENSGLIEPKKNIVVLPGMLLPPPVASSTSETAKESAEEQQREPPVTSEMHSGGSSDRHSGGSSDGPNTHEQRSSTSRERRVRNTRRPVKQWIKKESGRAE >ONI23887 pep chromosome:Prunus_persica_NCBIv2:G2:24534131:24537169:-1 gene:PRUPE_2G214200 transcript:ONI23887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKCMTSFHPRRSHKNPNTNQSWARSIHQNNRSDFMRIQLKISSSRSKSSCSYHVCSSHQQLQQHKSLLAQKASAKKAKTGPIKEPRKKGTIAGAIALIIGTSIGSGILALPEKASPAGLLPSSISIVVCWGFLLIEALLLVEINVSMRRKQGKEDRENELEVISIRTMAQETLGDWGGTLVTVIYIFLGYTSVIAYSSKSGEILFHLINLPAPVSGFFFTSLFTLLIAIGGTRATDQVNQLLTASMIGLLLAIEVLAVMIGGWSGLEGTGDWGKVPATIPVIIFSLVYHDLAPVLCAYLGGDLTRLRISVFLGSLVPLLALLVWDAIALGLSAHADQVVDPVELLMRVRWSWVSYMVEAFSLLAVGTSLIGTLLGFSEFFKEQLNNLSWNSPLTQTLQKPNISFELRKWWGRNKVSFTAVAMVVGPSLIVSTSVPDAFSTATDIAGGYCMTVLYGVLPPAMAWAMHNKECENGEQKTLSRARPVLLGVGLLACAIVAEQILQDILTFHS >ONI23889 pep chromosome:Prunus_persica_NCBIv2:G2:24534454:24536378:-1 gene:PRUPE_2G214200 transcript:ONI23889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKQGKEDRENELEVISIRTMAQETLGDWGGTLVTVIYIFLGYTSVIAYSSKSGEILFHLINLPAPVSGFFFTSLFTLLIAIGGTRATDQVNQLLTASMIGLLLAIEVLAVMIGGWSGLEGTGDWGKVPATIPVIIFSLVYHDLAPVLCAYLGGDLTRLRISVFLGSLVPLLALLVWDAIALGLSAHADQVVDPVELLMRVRWSWVSYMVEAFSLLAVGTSLIGTLLGFSEFFKEQLNNLSWNSPLTQTLQKPNISFELRKWWGRNKVSFTAVAMVVGPSLIVSTSVPDAFSTATDIAGGYCMTVLYGVLPPAMAWAMHNKECENGEQKTLSRARPVLLGVGLLACAIVAEQILQDILTFHS >ONI23888 pep chromosome:Prunus_persica_NCBIv2:G2:24534131:24537121:-1 gene:PRUPE_2G214200 transcript:ONI23888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKCMTSFHPRRSHKNPNTNQSWARSIHQNNRSDFMRIQLKISSSSRSKSSCSYHVCSSHQQLQQHKSLLAQKASAKKAKTGPIKEPRKKGTIAGAIALIIGTSIGSGILALPEKASPAGLLPSSISIVVCWGFLLIEALLLVEINVSMRRKQGKEDRENELEVISIRTMAQETLGDWGGTLVTVIYIFLGYTSVIAYSSKSGEILFHLINLPAPVSGFFFTSLFTLLIAIGGTRATDQVNQLLTASMIGLLLAIEVLAVMIGGWSGLEGTGDWGKVPATIPVIIFSLVYHDLAPVLCAYLGGDLTRLRISVFLGSLVPLLALLVWDAIALGLSAHADQVVDPVELLMRVRWSWVSYMVEAFSLLAVGTSLIGTLLGFSEFFKEQLNNLSWNSPLTQTLQKPNISFELRKWWGRNKVSFTAVAMVVGPSLIVSTSVPDAFSTATDIAGGYCMTVLYGVLPPAMAWAMHNKECENGEQKTLSRARPVLLGVGLLACAIVAEQILQDILTFHS >ONI20669 pep chromosome:Prunus_persica_NCBIv2:G2:2966694:2973892:-1 gene:PRUPE_2G028600 transcript:ONI20669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSTYCVVLNLSFLVFIFFLGSSFASFSSSSSSLHPLVFNSKVQHLNYTAISEFRVVNRRFLAECPHPSPYLQISINSTSSGLGDEEFLSVNVSGVLNPSKDDWVAMISPSHSDVSSCPLNGILYAQTGDLSKLPLLCHYPVKAAYMSNDPDYLSCKKKECKKYRNGRCLVSTCGGALSFHVVNIRTDIEFVLFSGGFEAPCILKRSSPVRFATPNKPLYGHLSSTDSTGTSIRLTWVSGDQKPQQVQYGDGKKQTSQVTTFSQDDMQSSVLPSPAKDFGWHDPGFIHTAVMTGLKPLSNFSYRYGSDSVGWSNEIQFRTPPAGGSDELKFLAFGDMGKAPRDGSTEHYIQPGSLSVIQAMAEEINSGHVDSIFHIGDISYATGFLVEWDFFLHQISPVASRVSYMTAIGNHERDYIDTGSVYITPDSGGESGVPYETYFPMPTPAKDKPWYSIEQASVHITVISTEHDWSQNSEQYQWMRRDMASVDRSKTPWLIFMGHRPMYTSADGLFSVDPKFVFEVEPLLVQSKVDLVLFGHVHNYERTCTVYRSQCKGLPVKDENGIDTYDHSNYSAPVHAVIGMAGFTLDKFPTGVNNPWSLSRISQFGYLRGYATKKEMKLEFVNADTRKVEDRFRITKASSSN >ONI21041 pep chromosome:Prunus_persica_NCBIv2:G2:5472545:5475035:1 gene:PRUPE_2G047400 transcript:ONI21041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRCSRCREKLSISSEMTISFFILLFMVGFKVNTGACQNECSELRCGDIPIKFPFRLKDKQPDHCGYPGFDLSCNGSNNMVLELPISVKFIVTDINYKSQVIQVVDPDGCVPRQLHKLNLSASAFQFKDEGNYYTLFNCSPADRNLLTKPPIFYYQIPCLSETSHQMLAIFSDSSIDEAPISSCTKLYNLPSVPYGILFESEHVSLEWFKPACKQCEKKGRKCRLKNNNHTESETECFKVDKQGASTKLVVIDRGSWPNIQSVGVILGSFSFVVALVSLHRLYTSDRQQTMIEEFLENYRALKPTRYSYADIKRITNTFRDKLGQGTFGTVYKGKLSNEIFVAVKILNNSKGNGEEFINEVGTLGRIHYVNVVRLVGYCADGFRRALVYEFAPNGSLQNFITSADSNNHFLGWEKLQYIALSVAKGIEYLHNGCDQRILHFDIKPHNVLLDENFNPKISDFGLSKLCANDQSAVSLTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRKNVHVTEANSSQVYFPEWIYNLLDQGEDIRIHVEQEIDAKIAKKLAIVGLWCIQWYPVDRPSMKAVVQMLEGEDNVRMPPNPFSSTASTRDNVTMPAKRPRQELPVIFELD >ONI21042 pep chromosome:Prunus_persica_NCBIv2:G2:5472365:5475126:1 gene:PRUPE_2G047400 transcript:ONI21042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRCSRCREKLSISSEMTISFFILLFMVGFKVNTGACQNECSELRCGDIPIKFPFRLKDKQPDHCGYPGFDLSCNGSNNMVLELPISVKFIVTDINYKSQVIQVVDPDGCVPRQLHKLNLSASAFQFKDEGNYYTLFNCSPADRNLLTKPPIFYYQIPCLSETSHQMLAIFSDSSIDEAPISSCTKLYNLPSVPYGILFESEHVSLEWFKPACKQCEKKGRKCRLKNNNHTESETECFKVDKQGASTKLVVIGVILGSFSFVVALVSLHRLYTSDRQQTMIEEFLENYRALKPTRYSYADIKRITNTFRDKLGQGTFGTVYKGKLSNEIFVAVKILNNSKGNGEEFINEVGTLGRIHYVNVVRLVGYCADGFRRALVYEFAPNGSLQNFITSADSNNHFLGWEKLQYIALSVAKGIEYLHNGCDQRILHFDIKPHNVLLDENFNPKISDFGLSKLCANDQSAVSLTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRKNVHVTEANSSQVYFPEWIYNLLDQGEDIRIHVEQEIDAKIAKKLAIVGLWCIQWYPVDRPSMKAVVQMLEGEDNVRMPPNPFSSTASTRDNVTMPAKRPRQELPVIFELD >ONI21822 pep chromosome:Prunus_persica_NCBIv2:G2:14426671:14432723:1 gene:PRUPE_2G091300 transcript:ONI21822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREAEQPKAIVLAATAPATPPPAPTALAPGFRFHPTDEELVIYYLKRKVCRKPFKFNAISEVDIYKSEPWDLADKSSLKSRDQEYYFFSALDRKYGNGARMNRATNLGYWKATGNDRAVKHNDITVGMKKTLVFHSGRAPDGKRTNWVMHEYRLVDEVFEKAGLGSIQDAFVLCRVFHKSNIGPPNGHRYAPFVEEEWDDDDKLTLVPGQESRNEAVAGRDAFIIGNGHAACSEQNAHAACSEQNVHAARSEQSGHAARREQNGHAACIEGNDHAACNGENGHGTSVQGNGHGTSVQGNGHGTSAQENGHGTSVQDNGHGTSVKGNGHATSFVGNDHGTSVEGNGHDTSVKGNGHGTSVKENGHSTSVKGNGHVTSVEGNGHGTIIGDDHGTINKGNSLEMVEYGPDVHNAGNNFEQDIQPISNALVTDAELPTENENDLPLCKTERMDDYPMTCVVNREERLDDYPSPGPDDAQPLLSLFNRHPGQLRQYKRRRHNDSNSNHSNASDISSGMTHDPCSSTTTTASTEASMTTTTRNFLSALVEYQLLESLEPKDTTPPPPPEFSAALLHSSVPPSCLKYVETLQNEIHKISIERETLKFEMMSAQAMINILQARIDLLNKENEDLKRNNV >ONI22111 pep chromosome:Prunus_persica_NCBIv2:G2:16612500:16620728:1 gene:PRUPE_2G107900 transcript:ONI22111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLESQDGVRMPWNVIPGTKQESTNSVVPVSAIYTPIKPFPSMPVLPYAPLRCRTCRSVLNPFSTVDYAAKIWICPFCFTRNHFPPHYASISDENLPAELFPQYTTIEYDSSLEKPTTPPVFIFVVDTCMIEEELSFLKSALSQALGLLPDHSLVGLITFGTFVHVHELGFSSVPKTYIFKGSKEVNKDQLLEQMSFFLKKPRPTTGVIAGPRDGLSTESIARFLLPASDCEFALNSVLEELQKDPWPVPADQRAARCTSTALSVAGSLLGACVPGSGARIMAFIGGPSTEGQGAIVSKNLSEPIRSHKDLDKDSAPHFHKAVKFYEGLSKQLVHQGHVLDLFACALDQVGIAEIKIAVERTGGLVVLAESFGHSVFKDSLKRVFQLGDYELGLSSNGIFEINCSKDVKVQGIIGPCASLEKKGPLSSDTVVGQGSTSAWKMCGLDKATSLCLIFEIVKKEIPDATVQPTSNQFYFQFLTYYQHSSGQMRLRVTTLSRRWVAGPGSLQDLIAGFDQEAAAVVMARLVSFKMETEAEFDPIRWLDKSLIRMCARFGDYQKDSPSSFSLSPRFSIFPQFMFHLRRSQFVQVFNNSPDETAYFRMILNRENVANSVVMIQPSLISYSFHSGPEPALLDVAAIAADRILLLDAYFTVVIFHGSTIAQWRKAGYQDLPEHQAFAQLLQAPRDDGDQIIKERFPVPRLVICDQHGSQARFLLAKLNPSATYNNEAPLPGGDVIFTDDVGFEVFLDHLQRLAVQ >ONI21743 pep chromosome:Prunus_persica_NCBIv2:G2:13533129:13539030:1 gene:PRUPE_2G085400 transcript:ONI21743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSNQDTQDQRSNLGMEDSTAMTIEFLRARLLAERSVSRSARQRVDELERMVEELEEQLKIVSLQRKMAEKATEDVLAILESQGISDISEEEFDSSSDQETHQGSKVGNSLANEEESFVISKVRRKEQEEHSGSDADSSLIPGRSLSWKGRIDSPRSREKCKDLSVRRRSSFSSIGFSSPRHHLGKSCRQIKHKETRSDKFDSHENGVGASSEGLPNFSNGGPEKLREGSEFPEEKVLSNDSLSRTKENQRDSDLDFNGHGRDKDMEKALEHQAKLICENEEMEKAQREWEEKFRENNTSTPDSCDPGNHSDITEERDEIKAQTPCSAGVVVAQAQETKSEEGDVCLPKETFKIQQNGFLPASHVDMGGLQDQLNKSTVAPSQVEEFAFPTENGKQNHESLENFARHPSHGSHPNPLVHGSAHNRSSDASSSVAGSGFHKGNASGSRSDLYALVPHDSQDRLGGVLDALKQAKLSLQQNMTRLPLVDGTSVHKSIEPSIPVMKTGDRVEIPVGCAGLFRLPTDFAVEEAATQSSFLGSSWSGRYCPETLVTSSFVETRPTFSMNAADRYVPSPYIETRQTFSTNATDRFIPNAYVESRPNFPANAAEPFVTSPSVDTRSNFPADNRFLSGPYSESGSRVSTLQPNFDPYFDMGLPSLRYAQPPYPNYPSVPDRTPWITSDEALTRALPRKPVGAPTDRFSFYDQFRPNMYR >ONI25806 pep chromosome:Prunus_persica_NCBIv2:G2:29871888:29874083:1 gene:PRUPE_2G321100 transcript:ONI25806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCNYNYGLIWFICLVFIEHTVLVHSGSDKQHRIHGPLVNVTKHLSFPDFSFSKNPRVLDDVKLLGSAKFSASSAIQIPDDHDPPHGNGNDIDHLRHQAGRALYSSPIRLLDPHTQSPASFQTTFSFQFHNASSSSSSSSNFSANSSDHGGSGLTFIIVPDEFTIGRPGPWLGMLNDACQDDYKAIAVEFDTRLNPEFGDPNDNHVGINLGTIVSTKTINASDVGVFLDDGSVHRVWIGYDGSRRWMEIHLGSDGGSNDSPPSKPIFSGPLDLSPFLNEYMFVGFSASTGNHTQIHSVLSWNFSSTSQAFLWTPSVETCESNIIVHGRAGTTSAEPPGTFLIFVAVLVLVLAVVLSLYYNSRRKSTEISDTSIVLPEKKQRPRPPNKPRCFTISELSLATQCFSEYEFLGSGSRGVYYRGKLLNGCQVAVKRFSSQFLHSQGFDRRRFLKEIKGISLVRHPNLVPIRGWCQDQKETMVVYEFFPNGSLDKWLFGMGVLPWTRRFKVVKDVAEALSFLHSNQLSHKNLKTTSVFLDISFRAVLGDFGFVLCGAESKRFESAVSQSADVFEFGLFVLEVVGGRQRLEAELGQLEERDLLDFAWRMHEIDEMARVVDRRMGTVINLEQAIRVMQIGLLCTLNVTKGRPCMEQVVEFLSMERPIPELPPSRPVALFPYNSTGALCTGYSCASFK >ONI22428 pep chromosome:Prunus_persica_NCBIv2:G2:18528368:18535004:1 gene:PRUPE_2G128100 transcript:ONI22428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPELEVKPKSGAAMEVSVAKDGTTHHDVVVDDKFMPCVSNYKDNILEMEETLVGQTTVSNKRENAELNRTGGTSPDDVQILEGECGDLTENSSSFGDTISGTEDGSTLDGDEADSQLGENEHASVYDGYFGAFQTRKKKLTPEWRNFIRPEMWRLKWLELQIKELLSQTQKYDSELAKYDKEKLSAFEGFTSEGFDAMPTPKLMKRKKRKRVEDTTDIASYMSHHNLFSYVPESKKTAANGVCMQEDWGDLGGKTSYGHNEFETNDIWSSLEFRDGNSSLEDILWKIEVVHSQVWQLKTRIDKVVQENPGNFSANHFLVPCDTSNGSAQNPASPPENGNTLLVETLSTASQHVKFNIGNLFLPQSAVSSHEELTPLPGMIGNTDQPWLGNVLENVEDGCLIPNAAVKDEPYNFEVKDQLIQKPHISLEEQETNFPVPVSETELPTNLPVPVSETALPTSSSVPNATHESDSTTRTNFRWNTRNRGRRKPGSYAYKLSRKS >ONI22429 pep chromosome:Prunus_persica_NCBIv2:G2:18528368:18535004:1 gene:PRUPE_2G128100 transcript:ONI22429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPELEVKPKSGAAMEVSVAKDGTTHHDVVVDDKFMPCVSNYKDNILEMEETLVGQTTVSNKRENAELNRTGGTSPDDVQILEGECGDLTENSSSFGDTISGTEDGSTLDGDEADSQLGENEHASVYDGYFGAFQTRKKKLTPEWRNFIRPEMWRLKWLELQIKELLSQTQKYDSELAKYDKEKLSAFEGFTSEGFDAMPTPKLMKRKKRKRVEDTTDIASYMSHHNLFSYVPESKKTAANGVCMQEDWGDLGGKTSYGHNEFETNDIWSSLEFRDGNSSLEDILWKIEVVHSQVWQLKTRIDKVVQENPGNFSANHFLVPCDTSNGSAQNPASPPENGNTLLVETLSTASQHVKFNIGNLFLPQSAVSSHEELTPLPGMIGNTDQPWLGNVLENVEDGCLIPNAAVKDEPYNFEVKDQLIQKPHISLEEQETNFPVPVSETELPTNLPVPVSETALPTSSSVPNATHESDSTTRTNFRWNTRNRGRRKPGSYAYKLSRKS >ONI22427 pep chromosome:Prunus_persica_NCBIv2:G2:18528368:18535004:1 gene:PRUPE_2G128100 transcript:ONI22427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPELEVKPKSGAAMEVSVAKDGTTHHDVVVDDKFMPCVSNYKDNILEMEETLVGQTTVSNKRENAELNRTGGTSPDDVQILEGECGDLTENSSSFGDTISGTEDGSTLDGDEADSQLGENEHASVYDGYFGAFQTRKKKLTPEWRNFIRPEMWRLKWLELQIKELLSQTQKYDSELAKYDKEKLSAFEGFTSEGFDAMPTPKLMKRKKRKRVEDTTDIASYMSHHNLFSYVPESKKTAANGVCMQEDWGDLAGGKTSYGHNEFETNDIWSSLEFRDGNSSLEDILWKIEVVHSQVWQLKTRIDKVVQENPGNFSANHFLVPCDTSNGSAQNPASPPENGNTLLVETLSTASQHVKFNIGNLFLPQSAVSSHEELTPLPGMIGNTDQPWLGNVLENVEDGCLIPNAAVKDEPYNFEVKDQLIQKPHISLEEQETNFPVPVSETELPTNLPVPVSETALPTSSSVPNATHESDSTTRTNFRWNTRNRGRRKPGSYAYKLSRKS >ONI22426 pep chromosome:Prunus_persica_NCBIv2:G2:18528244:18535004:1 gene:PRUPE_2G128100 transcript:ONI22426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPELEVKPKSGAAMEVSVAKDGTTHHDVVVDDKFMPCVSNYKDNILEMEETLVGQTTVSNKRENAELNRTGGTSPDDVQILEGECGDLTENSSSFGDTISGTEDGSTLDGDEADSQLGENEHASVYDGYFGAFQTRKKKLTPEWRNFIRPEMWRLKWLELQIKELLSQTQKYDSELAKYDKEKLSAFEGFTSEGFDAMPTPKLMKRKKRKRVEDTTDIASYMSHHNLFSYVPESKKTAANGVCMQEDWGDLAGGKTSYGHNEFETNDIWSSLEFRDGNSSLEDILWKIEVVHSQVWQLKTRIDKVVQENPGNFSANHFLVPCDTSNGSAQNPASPPENGNTLLVETLSTASQHVKFNIGNLFLPQSAVSSHEELTPLPGMIGNTDQPWLGNVLENVEDGCLIPNAAVKDEPYNFEVKDQLIQKPHISLEEQETNFPVPVSETELPTNLPVPVSETALPTSSSVPNATHESDSTTRTNFRWNTRNRGRRKPGSYAYKLSRKS >ONI21408 pep chromosome:Prunus_persica_NCBIv2:G2:8561919:8563066:1 gene:PRUPE_2G063800 transcript:ONI21408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGPSVKVSCKQDQFFELDKVSENNHHGQILENEGEVDCNPEEDCIASDTDDGKEKAFTKPDKVLPCPRCNSLKTKFYCFNNYSVYQPRHFCKSCRRYWTAGGTIRNLPLGTRRHRNKHSSSQYHQVVGEPSAVPVTQGDTLNFVGQQHLSPVQLPAFPKPVNGMREVFIVAFGEVGGVGVVGVVGVVGVVGGVGAFRDYG >ONI22829 pep chromosome:Prunus_persica_NCBIv2:G2:20767272:20771553:-1 gene:PRUPE_2G153500 transcript:ONI22829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPSSQLHSAAIFPSPAFLWRFKVLLFMIWGFSCCKIGWDSVMRMSADLRNLFLYEVFLYYNPLLLVTLMVWLWGVNLWVFSQSNVGYAKIFDLDQNHLTHKEIWKCSTWMTIIVPTSMTAYLYFYSNGNVSLAALQPVSLYIAVAMVLISPFDIFYLSSRYLLLRTLWRIALPLQAISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWLEADSVCGSHSIAIPLVLVFPYLCRLFQCLRQFKDTKEKTTLFNALKYSTAVPVIFLSALKYHVFPDRWTNFYSPLWLLSSAVNSLYSFYWDIARDWDLSGFSRIFKFSRSSMLSNMFYGRKWEATWFCDAHGRTNCLLISVTTT >ONI22830 pep chromosome:Prunus_persica_NCBIv2:G2:20767823:20771553:-1 gene:PRUPE_2G153500 transcript:ONI22830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPSSQLHSAAIFPSPAFLWRFKVLLFMIWGFSCCKIGWDSVMRMSADLRNLFLYEVFLYYNPLLLVTLMVWLWGVNLWVFSQSNVGYAKIFDLDQNHLTHKEIWKCSTWMTIIVPTSMTAYLYFYSNGNVSLAALQPVSLYIAVAMVLISPFDIFYLSSRYLLLRTLWRIALPLQAISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWLEADSVCGSHSIAIPLVLVFPYLCRLFQCLRQFKDTKEKTTLFNALKYSTAVPVIFLSALKYHVFPDRWTNFYSPLWLLSSAVNSLYSFYWDIARDWDLSGFSRIFKFSRSSMLSNMFYGRKWVRG >ONI22828 pep chromosome:Prunus_persica_NCBIv2:G2:20767272:20772523:-1 gene:PRUPE_2G153500 transcript:ONI22828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPSSQLHSAAIFPSPAFLWRFKVLLFMIWGFSCCKIGWDSVMRMSADLRNLFLYEVFLYYNPLLLVTLMVWLWGVNLWVFSQSNVGYAKIFDLDQNHLTHKEIWKCSTWMTIIVPTSMTAYLYFYSNGNVSLAALQPVSLYIAVAMVLISPFDIFYLSSRYLLLRTLWRIALPLQAISFPDFFLADILTSMAKVFSDLERSVCRMVHRQVATIAWLEADSVCGSHSIAIPLVLVFPYLCRLFQCLRQFKDTKEKTTLFNALKYSTAVPVIFLSALKYHVFPDRWTNFYSPLWLLSSAVNSLYSFYWDIARDWDLSGFSRIFKFSRSSMLSNMFYGRKWVYFWVIGSNLVLRCTWTYKLSSHLRHNYLTVFTITALEILRRFQWIFFRVENEWNKINRSSLDQLLPMTGIQAEEEMLLETNNHIV >ONI24485 pep chromosome:Prunus_persica_NCBIv2:G2:26154909:26155782:-1 gene:PRUPE_2G242700 transcript:ONI24485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGLILRYMWMVGLFAIVGNNWGFEIEGVEGDGECGQLDPEVLIYKLASCAPSGQDENVEVPPKCCGLIQKVRTSCLCAIILSKEAKSLGINPVVAATIPKRCKIAGRPKGYKCGAYVVQA >ONI25701 pep chromosome:Prunus_persica_NCBIv2:G2:29630571:29640542:1 gene:PRUPE_2G315300 transcript:ONI25701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPTPTVFYETRRHITRPYTPSYPPQQANDGSKGSFLSLLSLQGVNQLKEKWNEYKQPRKLRKLASLFISPRGERVAVASGNQITILQKEDDYSKPCGTFTSGSLASFTTGTWSESHDVLGVADDTDTLYFIKANGDEITRIARRHLKVSLPVISLIVQDDSDAQKSCLCSFIVVTSDGSLQHVEICQDPSSSIYSARTSNNGLTAKGQFPDNVLCVDYQPGLSLLAVVTLTSGSCYLSLWGRSRIIDLEQLVTIQFEGFYSKPKGQKSKLAHPKVLISPQAKFVATLDVTGCLHIFKLDKDCFSLSNFTCRERCESELTNNLSSGEGEHLSDIVDFTWWSDHILAFARRSGIVTMLDILSGLKVQENGTVYSKPIIERINMFQGNIFLLETISSEKRSNSKETNDSHSMEHIAVDSLDQIDISSLNWSLVSFSERSILEMYNILIRNEKYQAALDFADCHGLDKDEVIKSQWLHSSQGANEISTFLSKIKDKPFILSECVDKVGPTEDAVRALLAYGLRLTNQYGFSEPEKDECTEIWDFRMARLQLLQFKDRLETFLGINMGRFSVQEYRKFRAMPINEAALTLAESGKIGALNLLFKRHPYSLAPFILDILAAIPETVPVQTYGQLLPGRSPPTSVILREEDWVECEKMINFINRSPKDHEICIQIQTEPILKQCRGSVWPSTNELSTWYKKRARDIDSCSGQLDNCLCLIEFANRKGVYELQRFHEDVSYLHQLIYSDDSIGEINSSLSLVIWEQLSDYEKFGMMLKGVKEENMIGRLRNMAVPFMQNRFHYTVSVSQDQVADNHLTPEHNKVESFLVRWLKETASENKLDICLLVIEEGCCDFQSNSLFKDEVEVIDCALQCIYLCTSTDRWSTMATILSKLPHIQDGEIIVDDLERRLKLAEGHIEVGRLLAFYQVPKPLNFFLESHADGKGVKQILRLILSKFIRRQPGRSDTDWASMWRDMQCIRDKAFPFLDLEYMLMEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLTCTEIWKAKECLNLFPSSRNVKVESDIIDALTVRLPRLGVTLLPMQFRQIKDPMEIIKTAITCQNGAYLHVDELIEIAKLLGLSSPDNISSVQEAIAREAAVAGDLQLALDLCLVLAKKGHGHIWDLCAAIARGPALENMDINSRKQLLGFALSNCDEESVSELLHAWKDLDLQGQCETLMMLTGTECPDFSIQGSSVITGPVHGIQDIINLKGCLEMVEGASCDDQEVHLSNIKNLLSVVAKNLPVVNGTSWESVLTENGKLLSFAALQLPWLLQLSRNTEHSKKSIGNLIPGKQYVSVRTQALVTILSWLARNGFAPTDHAVASLAKSIIEPPVTEEEDIVGCSFLLNLGDAFNGVEVIEEQLRTRKDYQEISSIMNVGMTYSLLYSSAIECEGPMERRELLLRKFKEKHTPPSTDEINKFDKVQSTFWREWKLKLEDQKRVADRCRALEKIIPGVDTARFLSRDFNYIGSVVFPLIDSVKLEKKHILKDVLKLADDNGLNRAEVFLRYLSSVLVSEVWSNDDITYEISEFKGEIVGYAVETIKAVSSDVYPAIDGCNKLRLAYMFGLFSDCYLQLEESRKELPIIHPDQEHLSGFGLSRFYKLMEQECKRVSFLANLNFKNIAGLGGLNLKCLSHEVYMHIYESSLEALATMVESLASIYSDPLSKGLITWQDVYKHHVLSLLTPLEAKAGTDSIIKSTEDLQCFICQLEQSYEYCRKYIILLAHVDSLNIMKRYFTIIVPLLGSYGTLPDNSAWQECLIILLNFWIRMIDEMKDIASHEEAKENCRLNLDCLACCLKIFMRLVIEDTVSPSQGWGTIVSFVSHGLIGDSASEPYMFCRSMIFSGCGFGAVAEVFSQAVGGPTGSTLAGDTEVQELPLLYLNILEHILKDVVVREWQDYENLYKLLSSLSKLEGDLEYLDKVRHLVWERMAKFSDNLQLPGSVRVCTLELMQFLTGKSTKGLSASIQSSVMPWEGWDEVHFMSNKSETTDQGLVDHNDTPNRFTSTLVALKSSQLVATISPTLEITSDDLSNLEKAVSCFLKLCDVAQSYSHVGSLLAMLGEWEGFFLVREDKKPSVEASDAGNDWNENWDEGWESFQELEPPVKEKESSFSIHPLHACWLEIFKKLVMLSQFKDVLRLIDQSLLKSNGILLDEDGARSLSQIVLERDCFTALKLVLLLPFETLQLQCLAAVEDKLKQGGISDSIGGDHELLMLVLFSGVLPTIISNSSYGNTLSCICYLVGNLSHKFQAARLQNERLVQKGKGGCKEENESWLLVFRRMLFPCFISELVKADQQLLAGLIVTKFMHTNASLGLVNVAEASLGRFLEVQLHGLHDPLDETRSQETLKNVVSSLRGKLENLIQGALSLLSTNAR >ONI25700 pep chromosome:Prunus_persica_NCBIv2:G2:29630763:29640539:1 gene:PRUPE_2G315300 transcript:ONI25700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPTPTVFYETRRHITRPYTPSYPPQQVLNYVVFLNFNIIKFIFHNRSINQFPDCFLFRLIYGVQANDGSKGSFLSLLSLQGVNQLKEKWNEYKQPRKLRKLASLFISPRGERVAVASGNQITILQKEDDYSKPCGTFTSGSLASFTTGTWSESHDVLGVADDTDTLYFIKANGDEITRIARRHLKVSLPVISLIVQDDSDAQKSCLCSFIVVTSDGSLQHVEICQDPSSSIYSARTSNNGLTAKGQFPDNVLCVDYQPGLSLLAVVTLTSGSCYLSLWGRSRIIDLEQLVTIQFEGFYSKPKGQKSKLAHPKVLISPQAKFVATLDVTGCLHIFKLDKDCFSLSNFTCRERCESELTNNLSSGEGEHLSDIVDFTWWSDHILAFARRSGIVTMLDILSGLKVQENGTVYSKPIIERINMFQGNIFLLETISSEKRSNSKETNDSHSMEHIAVDSLDQIDISSLNWSLVSFSERSILEMYNILIRNEKYQAALDFADCHGLDKDEVIKSQWLHSSQGANEISTFLSKIKDKPFILSECVDKVGPTEDAVRALLAYGLRLTNQYGFSEPEKDECTEIWDFRMARLQLLQFKDRLETFLGINMGRFSVQEYRKFRAMPINEAALTLAESGKIGALNLLFKRHPYSLAPFILDILAAIPETVPVQTYGQLLPGRSPPTSVILREEDWVECEKMINFINRSPKDHEICIQIQTEPILKQCRGSVWPSTNELSTWYKKRARDIDSCSGQLDNCLCLIEFANRKGVYELQRFHEDVSYLHQLIYSDDSIGEINSSLSLVIWEQLSDYEKFGMMLKGVKEENMIGRLRNMAVPFMQNRFHYTVSVSQDQVADNHLTPEHNKVESFLVRWLKETASENKLDICLLVIEEGCCDFQSNSLFKDEVEVIDCALQCIYLCTSTDRWSTMATILSKLPHIQDGEIIVDDLERRLKLAEGHIEVGRLLAFYQVPKPLNFFLESHADGKGVKQILRLILSKFIRRQPGRSDTDWASMWRDMQCIRDKAFPFLDLEYMLMEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLTCTEIWKAKECLNLFPSSRNVKVESDIIDALTVRLPRLGVTLLPMQFRQIKDPMEIIKTAITCQNGAYLHVDELIEIAKLLGLSSPDNISSVQEAIAREAAVAGDLQLALDLCLVLAKKGHGHIWDLCAAIARGPALENMDINSRKQLLGFALSNCDEESVSELLHAWKDLDLQGQCETLMMLTGTECPDFSIQGSSVITGPVHGIQDIINLKGCLEMVEGASCDDQEVHLSNIKNLLSVVAKNLPVVNGTSWESVLTENGKLLSFAALQLPWLLQLSRNTEHSKKSIGNLIPGKQYVSVRTQALVTILSWLARNGFAPTDHAVASLAKSIIEPPVTEEEDIVGCSFLLNLGDAFNGVEVIEEQLRTRKDYQEISSIMNVGMTYSLLYSSAIECEGPMERRELLLRKFKEKHTPPSTDEINKFDKVQSTFWREWKLKLEDQKRVADRCRALEKIIPGVDTARFLSRDFNYIGSVVFPLIDSVKLEKKHILKDVLKLADDNGLNRAEVFLRYLSSVLVSEVWSNDDITYEISEFKGEIVGYAVETIKAVSSDVYPAIDGCNKLRLAYMFGLFSDCYLQLEESRKELPIIHPDQEHLSGFGLSRFYKLMEQECKRVSFLANLNFKNIAGLGGLNLKCLSHEVYMHIYESSLEALATMVESLASIYSDPLSKGLITWQDVYKHHVLSLLTPLEAKAGTDSIIKSTEDLQCFICQLEQSYEYCRKYIILLAHVDSLNIMKRYFTIIVPLLGSYGTLPDNSAWQECLIILLNFWIRMIDEMKDIASHEEAKENCRLNLDCLACCLKIFMRLVIEDTVSPSQGWGTIVSFVSHGLIGDSASEPYMFCRSMIFSGCGFGAVAEVFSQAVGGPTGSTLAGDTEVQELPLLYLNILEHILKDVVVREWQDYENLYKLLSSLSKLEGDLEYLDKVRHLVWERMAKFSDNLQLPGSVRVCTLELMQFLTGKSTKGLSASIQSSVMPWEGWDEVHFMSNKSETTDQGLVDHNDTPNRFTSTLVALKSSQLVATISPTLEITSDDLSNLEKAVSCFLKLCDVAQSYSHVGSLLAMLGEWEGFFLVREDKKPSVEASDAGNDWNENWDEGWESFQELEPPVKEKESSFSIHPLHACWLEIFKKLVMLSQFKDVLRLIDQSLLKSNGILLDEDGARSLSQIVLERDCFTALKLVLLLPFETLQLQCLAAVEDKLKQGGISDSIGGDHELLMLVLFSGVLPTIISNSSYGNTLSCICYLVGNLSHKFQAARLQNERLVQKGKGGCKEENESWLLVFRRMLFPCFISELVKADQQLLAGLIVTKFMHTNASLGLVNVAEASLGRFLEVQLHGLHDPLDETRSQETLKNVVSSLRGKLENLIQGALSLLSTNAR >ONI24953 pep chromosome:Prunus_persica_NCBIv2:G2:27517353:27519708:1 gene:PRUPE_2G271600 transcript:ONI24953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDDKSCSSSFSIGKSAQERGLSYVPECYVIPTSHRPSLTPDVANVPIIDFGKLKQGSHERAIVIQEIRTACRQLGFFQDDIHIVNHGICQSVLDEALASASEIFKLPGSEKAKFMSDDVHKPVRYGTSFKDGTDKIQFWGVFLKHYAHPLADWINTWPDNPSNYRETMGKYCKEVKKLSFEITEAITESLGIGPTYMSNKLEDGLQVITVNCYPPCPNPEIALGLPPHSEYSCLTIVLQSCPGLEIMHAEEGAWKQVPQLHGALQVHGGDHFEVLSNGLYKSVVQRTTLIRERTRISIASLHSLGLDEKMGAAKELVDEEHPGRYKESSFKDFLNFPSTNDLKEGKSFINTLKI >ONI25092 pep chromosome:Prunus_persica_NCBIv2:G2:27953131:27960350:-1 gene:PRUPE_2G280200 transcript:ONI25092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPPSSKPPLISDPDGKLDSATTSSVSSPTQPSPSRAKLLKIPPIPIRRSPRHTIHEDEEFEEFEEEDDDDDDDDNDEEYEDQVRTERKTDDAPIVLASSLGLNHIRTRSAPSPLRFSSSVCAASNFGDESNKVKYTVKPKPKFTPIQPVKPVHWNQSKSLRNPSLLNPVSEGNHAAFSKEMQSPRFQAILRVTSGRKKRTHDIKSFSHELNSKGVRPFPVWKSRAFGHMEEIMVAVRARFERLKEDVDFDLGAFAGDLVGILEKSSESHPEWKENFEDLLVVARRCAKMSPGEFWVKCEAIVQKLDDRRQELPMGALKQAHTRLLFILTRCTRLVQFQKESGYEEEHILSLHQLSDLGVYPEQILEGAQQSFSGQLGGKDANEKHMNKSHEQEKVSAADDVEVDTAKSVESTGSYRMSSWKKLPSAAEKNQKGHDAADTPPNDKSDRLHAKDDTKTCGEYSSDNVDTPSCRPEPPEVSASAQRISWGLWMDQQNVSYENLMICRICEVEIPTVHVEEHSRICTIADRCDLKGLTVNDRLERVAEALERIMESWTLKGTETRGSFDVSGVYTTRMHEDLDDLSPPKRNDLSPRFSEGILDCVPDADNSFVMEDLNVLPDMPCDMRSSLTPEQGTRTSSAGSSTPRSPLLTPRTSQIEMLLSGWRAIPELESYQQIHKLLDIARSIANVSNCEYSALEYMLERLEDLKYAIQDRKVDALVVETFGRRIEKLLQEKYVHLCGQIEDEKVDPSNGMADEESSVEDEAVRSLRASPINPCSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERNILILLRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMAKVYIAEVVLALEYLHSLNVVHRDLKPDNLLIGQDGHIKLTDFGLSKVGLISSTDDLSGPSVSDTGFLRDDEPKTQPSSERTQRQKHSVVGTPDYLAPEILLGMGHSATADWWSVGVILFELLVGLPPFNAEHPQQIFNNIINRDIPWPKVPEEMSYEAYDLIDKLMTENPVQRLGATGSREVKQHIFFKDINWDTLARQKAMFIPSTDVHDTSYFMSRYIWNPEDEHVNGASDFDEMTETCSSGSCSNIQDEDGDEFGSLAEFSAPTLDVQYSFSNFSFKNLSQLASINYDLLVKSAKESPDASKSSVP >ONI25093 pep chromosome:Prunus_persica_NCBIv2:G2:27954798:27960350:-1 gene:PRUPE_2G280200 transcript:ONI25093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPPSSKPPLISDPDGKLDSATTSSVSSPTQPSPSRAKLLKIPPIPIRRSPRHTIHEDEEFEEFEEEDDDDDDDDNDEEYEDQVRTERKTDDAPIVLASSLGLNHIRTRSAPSPLRFSSSVCAASNFGDESNKVKYTVKPKPKFTPIQPVKPVHWNQSKSLRNPSLLNPVSEGNHAAFSKEMQSPRFQAILRVTSGRKKRTHDIKSFSHELNSKGVRPFPVWKSRAFGHMEEIMVAVRARFERLKEDVDFDLGAFAGDLVGILEKSSESHPEWKENFEDLLVVARRCAKMSPGEFWVKCEAIVQKLDDRRQELPMGALKQAHTRLLFILTRCTRLVQFQKESGYEEEHILSLHQLSDLGVYPEQILEGAQQSFSGQLGGKDANEKHMNKSHEQEKVSAADDVEVDTAKSVESTGSYRMSSWKKLPSAAEKNQKGHDAADTPPNDKSDRLHAKDDTKTCGEYSSDNVDTPSCRPEPPEVSASAQRISWGLWMDQQNVSYENLMICRICEVEIPTVHVEEHSRICTIADRCDLKGLTVNDRLERVAEALERIMESWTLKGTETRGSFDVSGVYTTRMHEDLDDLSPPKRNDLSPRFSEGILDCVPDADNSFVMEDLNVLPDMPCDMRSSLTPEQGTRTSSAGSSTPRSPLLTPRTSQIEMLLSGWRAIPELESYQQIHKLLDIARSIANVSNCEYSALEYMLERLEDLKYAIQDRKVDALVVETFGRRIEKLLQEKYVHLCGQIEDEKVDPSNGMADEESSVEDEAVRSLRASPINPCSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERNILILLRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMAKVYIAEVVLALEYLHSLNVVHRDLKPDNLLIGQDGHIKLTDFGLSKVGLISSTDDLSGPSVSDTGFLRDDEPKTQPSSERTQRQKHSVVGTPDYLAPEILLGMGHSATADWWSVGVILFELLVGLPPFNAEHPQQIFNNIINRDIPWPKVPEEMSYEAYDLIDKLMTENPVQRLGATGSREVIY >ONI20385 pep chromosome:Prunus_persica_NCBIv2:G2:1071612:1072638:-1 gene:PRUPE_2G012500 transcript:ONI20385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMLQLFFTVAFSAVPLTLYVPPLRSLNPFVETMEDLLSESRSYTNRVYPRVRHLWVRILDCLLCSTRVGPELE >ONI21876 pep chromosome:Prunus_persica_NCBIv2:G2:15065689:15066471:-1 gene:PRUPE_2G095600 transcript:ONI21876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPELNSMTIGALPPEILIDILSRLPVNSICSMRCVSKAFLKTVDDPSLATMHMRRRFLTTCSTTTTEVPRLVVLDESPFDQHDALHPLKYNGKKLLTKSKHAIVSYFGSRRRFYSAAFVFCNLFGFTGHNPEHGRSCFRGLNLEHARSCLLVNPFRGEVLILPSASDVQVPSNSPSDVDWYGMGFDNITCSFKIVRAEVLVLGTSSWRKLPTVPPCIPTCKSAYAHGDMHWLVHGDDGSSVRILSFDF >ONI21412 pep chromosome:Prunus_persica_NCBIv2:G2:8633999:8640819:-1 gene:PRUPE_2G064200 transcript:ONI21412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTTSISSPSLSPLLFSKTHHTYFPRLDPHPNFCKFDRCCLQTVSSRQNPLCLSSSGTWVLNASLVRAEASGNGREPMVPPYNVLITGSTKGIGYALAKEFLKAGDNVIICSRSAERVKSAVQSLREDFGEQRVWGTTCDVREGQDVKDLVSFAQKELKYIDIWINNAGSNAYSFKPLSEASDEDLIEVVTTNALGLMLCCREAIKMMLNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSAVHLTKSLQAELQMQDVKNVAMHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPPEVVAEYLVPNIRSVPANGSMKPTYIRFLTGIKAYSQIFSRFAFGARRNRYVLED >ONI21413 pep chromosome:Prunus_persica_NCBIv2:G2:8634291:8640748:-1 gene:PRUPE_2G064200 transcript:ONI21413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTTSISSPSLSPLLFSKTHHTYFPRLDPHPNFCKFDRCCLQTVSSRQNPLCLSSSGTWVLNASLVRAEASGNGREPMVPPYNVLITGSTKGIGYALAKEFLKAGDNVIICSRSAERVKSAVQSLREDFGEQRVWGTTCDVREGQDVKDLVSFAQKELKYIDIWINNAGSNAYSFKPLSEASDEDLIEVVTTNALGLMLCCREAIKMMLNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSAVHLTKSLQAELQMQDVKNVAMHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPPEVVAEYLVPNIRSVPANGSMKPTYIRFLTGIKAYSQIFSVKFAFGARRNRYVLED >ONI24344 pep chromosome:Prunus_persica_NCBIv2:G2:25734144:25735488:-1 gene:PRUPE_2G235100 transcript:ONI24344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLFYELKKQASSFLKEKIKTARLTLTDVTPAQLMTEEATNENPCPPDTRTIGVISRAAFEVDDYWRIVEILHKRLLSFNRKNWRGSYKALILLEHLLSHGPLRIAEEFEGDKDIIKEMGRFQYIDEKGFNWGLSVRKLSERVVKLVEDEAFFREERARARNLTCGIKGFGSFSLQCSSSIDSSLKDLSFKTYERCNSHHNDHQSCKNRALGSNEKFLMEEEKKKPKQIDGYQDIISKPDMSLDIEDHPFCESEHQTAESLLSTVK >ONI22942 pep chromosome:Prunus_persica_NCBIv2:G2:21200244:21201926:-1 gene:PRUPE_2G159700 transcript:ONI22942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTSIMIREKEKEQKMGLLRKKDSDEHGYGSSPLDTLAEAACFIAGQKTKEEILVPPKKRFSNFNFLNDMSAGPSNMSTTPKEENGLIIRGEEEVSHCPIEQVTNLLEEYLIHKYPKKLRSPCKSITIFGQKLSCAKKKRGSFSNMLLGLNVKKDIKMKKRRVVVAGKNKGKKVKFDNDMPPSNLPEEFKSLIENMNGTNVQRLVHKSLFESDIKSQQCRLLLPRQQTKSTTFLEPHEKNMLRNYGFLTVPLIDPKLKQQEINLGLWNPNKAKEFYVLKSPWKSIVSENGLDIDDVVELWSFRVNHNNNEINGGYDNGGQLHFALVLVGDAIKKESDKEGTTSSANDEGSSVSNNLMDVQYLL >ONI23095 pep chromosome:Prunus_persica_NCBIv2:G2:21718710:21723600:-1 gene:PRUPE_2G169900 transcript:ONI23095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDIQKLIGTSEEDEDEEMEMDVKEEGDDDDEEDGEKNVDATSMIAGVDGGMPTRSSNNNRFQQHHQIQEQVGTPGGGGVRRCRPIEEKERTKLRERQRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPAKSQGPRPAGVNSAAVSSQMVSPQTPASLKGVTSGYRSSGELNACNMKGVFIPSSSPYDLPSSARSQNSSIVGDGGGQTDSHPLIGGSMDNVGDKQIVDIPLKLPERDYSNTSCIPVYVMLPLGVINMKCEMVDPDGLLKQLRILKSVNVDGVMVDCWWGIVEGHAPGEYNWNGYKRLFQMVCELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDCMRSFRVEFDEYFKNGNISMIQVGLGPCGELRFPSCPVKHGWRYPGIGEFQCYDLYLLKSLRKAAEARGHSFWGRGPDNAGSYNSRPHETGFFCDGGDYDSYYGRFFLNWYSRVLVDHGDRVLSLAKLAFDGTCIAAKLSGLHWWYKTASHAAELAAGFYNPCNRDGYAAIVTMLKKHEATLNLACTELHMLDQHEDFQEALGDSEGLFWC >ONI23094 pep chromosome:Prunus_persica_NCBIv2:G2:21718728:21723600:-1 gene:PRUPE_2G169900 transcript:ONI23094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDIQKLIGTSEEDEDEEMEMDVKEEGDDDDEEDGEKNVDATSMIAGVDGGMPTRSSNNNRFQQHHQIQEQVGTPGGGGVRRCRPIEEKERTKLRERQRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPAKSQGPRPAGVNSAAVSSQMVSPQTPASLKGVTSGYRSSGELNACNMKGVFIPSSSPYDLPSSARSQNSSIVGDGGGQTDSHPLIGGSMDNVGDKQIVDIPLKLPERDYSNTSCIPVYVMLPLGVINMKCEMVDPDGLLKQLRILKSVNVDGVMVDCWWGIVEGHAPGEYNWNGYKRLFQMVCELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDCMRSFRVEFDEYFKNGNISMIQVGLGPCGELRFPSCPVKHGWRYPGIGEFQCYDLYLLKSLRKAAEARGHSFWGRGPDNAGSYNSRPHETGFFCDGGDYDSYYGRFFLNWYSRVLVDHGDRVLSLAKLAFDGTCIAAKLSGLHWWYKTASHAAELAAGFYNPCNRDGYAAIVTMLKKHEATLNLACTELHMLDQHEDFQEALGDSEGLFWQVLNGAWDVCVPVSSENALPCHDHVSYKKILDSAKPLTDPDGRHLSSFTYLRLSPLLMERHNFMEFEQFIKRMHGEAAGLQVYSN >ONI24819 pep chromosome:Prunus_persica_NCBIv2:G2:27171995:27173459:1 gene:PRUPE_2G264000 transcript:ONI24819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGLQFGGSRCFDICQDNLCSPSSNQRPCGAMIRSRTGSRGARVNSLAVARASLEAVPRQRRRGGGKGNSAETAAAAYEKLDAWMRDSVVEIVKNLREAPLLVNVYGKGITDEKPRIETEKGVEEENWEVLRRKWEGGVAPFPDGVIFVEELMDDDEGEGDRNVDGDGITRAWGLVVQGKGEECGPACYLLKTSKVKAGSGCGLGMGLGCTHFCLVRVKSFRETAQSQLKNCWLLQAQAQ >ONI25925 pep chromosome:Prunus_persica_NCBIv2:G2:30152928:30154742:-1 gene:PRUPE_2G327100 transcript:ONI25925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WPRSTHCSSFFVFYINTIPNFNPNPNVFYAFFFAPGSRVSISAPKIPSLGRPLRSDRRRNFCSISRYEILIRLVLGFQLQQGSDESAVDKYR >ONI24721 pep chromosome:Prunus_persica_NCBIv2:G2:26928515:26931841:-1 gene:PRUPE_2G258100 transcript:ONI24721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEINHLIGEIQQAVDNVTLVCQDPHSSIASGGVWRHRSPLRSATSLLLMQLSIIGVVSQLINLCLKPMGQSTVVSQIFGGIIFGPSVLGHKKEVSRILFPVKGANVFETTATFGLMFFLFSIGVKMNTGRMLRPDRRAVTIGISVFFFTLALPECLVFVMMKYLAMDETLKMALPCIAGSQCISSSLVVACLLAELKLMNTELGRLALSITMFCDVLGIALVAIGMAILDNRTRNLLIPTFELLSALVFVLGVAYVLRPIILWMLNRIEEGKSVKESYIVTIFLFVLLCGFISELVSQHFLLGPLVLGYAVPEGPPLGAALVTKVEIMATGIFYPTYLANSGLKTNIFRIHPRSLWIVGVVVIFSSLVKIGAVMLSASYFDVPLRQAFVLGLILNSKGITELVMFNLFKQSKVLTDQEFALVVISVVLITAVVTPLIRYLYDPSKQYAVTRRSTIQHLKRESELRILACIHNQENVPTFINVLEVSNATEQNPVAVIALVLTELVGRTNPVLVSHRPQDTLDNSSSGHIVKAMRQYEQYNEGYATLQAYTSISSYVTMHDDICRLAFEKRVNLVIMPFHKQWAIDGSIGSVNRPLQSMNINVLEKAPCSVGILIDRGVLGGSVSMLASRYICHVAVIFIGGADDTEALAYGARMARHPSVDLTVARFLLFGEENSKDRKRDSDLLEEYRVANGDNERFVVVEEVVRDGARLSAVIRSMVDCFDLMLVGRHHQDSPLLSGLGEWSECPELGIVGDMLASPDFHCSVSVLVLQQQRIGGKPVSRNQPIDREPLIHDAPEETVRGSWTITVNEHDRK >ONI24722 pep chromosome:Prunus_persica_NCBIv2:G2:26928832:26931094:-1 gene:PRUPE_2G258100 transcript:ONI24722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLFSIGVKMNTGRMLRPDRRAVTIGISVFFFTLALPECLVFVMMKYLAMDETLKMALPCIAGSQCISSSLVVACLLAELKLMNTELGRLALSITMFCDVLGIALVAIGMAILDNRTRNLLIPTFELLSALVFVLGVAYVLRPIILWMLNRIEEGKSVKESYIVTIFLFVLLCGFISELVSQHFLLGPLVLGYAVPEGPPLGAALVTKVEIMATGIFYPTYLANSGLKTNIFRIHPRSLWIVGVVVIFSSLVKIGAVMLSASYFDVPLRQAFVLGLILNSKGITELVMFNLFKQSKVLTDQEFALVVISVVLITAVVTPLIRYLYDPSKQYAVTRRSTIQHLKRESELRILACIHNQENVPTFINVLEVSNATEQNPVAVIALVLTELVGRTNPVLVSHRPQDTLDNSSSGHIVKAMRQYEQYNEGYATLQAYTSISSYVTMHDDICRLAFEKRVNLVIMPFHKQWAIDGSIGSVNRPLQSMNINVLEKAPCSVGILIDRGVLGGSVSMLASRYICHVAVIFIGGADDTEALAYGARMARHPSVDLTVARFLLFGEENSKDRKRDSDLLEEYRVANGDNERFVVVEEVVRDGARLSAVIRSMVDCFDLMLVGRHHQDSPLLSGLGEWSECPELGIVGDMLASPDFHCSVSVLVLQQQRIGGKPVSRNQPIDREPLIHDAPEETVRGSWTITVNEHDRK >ONI21661 pep chromosome:Prunus_persica_NCBIv2:G2:12126907:12127615:1 gene:PRUPE_2G079300 transcript:ONI21661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLFACVSIFLLVCGSANAQATQFCAYAWNEFSNCLRFVTGLYSDPSAQCCESMRTLNMKAKSGGVAPRNICQCIEDMASVYGIPFVASIIQDLPMKCNEHLSFPISNSMNCTQ >ONI23853 pep chromosome:Prunus_persica_NCBIv2:G2:24422018:24422314:-1 gene:PRUPE_2G212100 transcript:ONI23853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLAGESKAASDNLSAESREEGDESSSVFGRYTHRFSPSANFAFLHLLPIFHLKISSLKPRTQNLCFEPQLKKFKREHRKTKNLSKIHKTQISKCLN >ONI20784 pep chromosome:Prunus_persica_NCBIv2:G2:3587859:3589263:-1 gene:PRUPE_2G033500 transcript:ONI20784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLHIVGGIKKLNNKNYNTWATCMESYLQGQDLWDVVRGNDWKIKVVALKITIEEEMLENKRKAKTSNEAWDPFATLFSRRSRIS >ONI21208 pep chromosome:Prunus_persica_NCBIv2:G2:6190762:6193009:1 gene:PRUPE_2G053400 transcript:ONI21208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKESLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKSRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKRYEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSKMEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMSRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI22972 pep chromosome:Prunus_persica_NCBIv2:G2:21272103:21274106:1 gene:PRUPE_2G161400 transcript:ONI22972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATRRYAVVTGANKGIGLETVRQLASNGFTVVLTARDEKRGLEAAEKLKESGLSGQVVFHQLDVADPATVASLAEFIKTQFGKLDILVNNAGIGGAIGDADAFTALVKSFDMGRGEIGSKLMTETYELTEECLQINYYGAKRTAEALIPLLQLSDSPRIVNVSSFLGKLMHIPSDWARGVFTDAENLTEERVDEVLTELLKDFKEGSLESKGWPSPSSAYVVSKAALNAYTRILAKKYPTFRINSLCPGFVKTDINYNAGVLPVKDGAARVMKLALLPNDGPSGSFFVHYEVSDF >ONI21397 pep chromosome:Prunus_persica_NCBIv2:G2:8435317:8436542:-1 gene:PRUPE_2G063300 transcript:ONI21397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNATQHTVDIPKFLQNYKKVSTSGVSSNQDDTTAVGTEPATREPSNSHYTLAEMLKSPDASSPWDGNYVAKKYRIIIRDVIEELPELMKKGESCPDDLTLVDHICINRESYRAHIKDIPNSSNTENLTTYRSKFISLVKSILERDDNTSVKGENTRVELTHFYLKVEERKVAFDDLWHHPLLMSHTERYYFPFDATMTLDTKKSGVWRDKYPGSESIDLEEKIKESKYDTDFKPCYENYQDNALGVLDYSRDISVHVKKKSGSVCTSH >ONI22173 pep chromosome:Prunus_persica_NCBIv2:G2:17024102:17036171:-1 gene:PRUPE_2G112200 transcript:ONI22173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKKSLSSSTTSAKKSNSKVQPQPSKFGIQHFFERHTQNSQNPKISAVSASQQNPKPLPAPASSTVAPAQSVPEIQNPAQIDTGNAVLALGSHEIRSNLLSSSNNSMSHNTPPENLRAVGVVGEEENMEDEASPEISKSKSFKRFKFSPGMLIKQSQDDGGDEVTWRISPVNERLQAVSKRMPEIIRVLADSSRLKSFTINQCSQKKTSPVKDGKVEKWLPSQTLNTSERSLVSLNKVGVKRVNPDQDMDSNGNVSDMTISGRASRQSPFRTPPSLSYCHDKLTNGVACNEGSDQPGLRQHKKALLELLDQVEDVISVEDSVSNDVEESPFQVKDRKGDDMHVKLHRKSSYCNFLVLEVSDCGLADSSDAHKVLRLLDEQCGEEHAVYLQDEWFYSVVAPGDTVNVIGEFDDKGQCHVDHHHNFIIVHPDVLVAGTRVAASFSCPRRTVLDERLKGNEHSSAALSGTILHQVFQAALVEETPTINFLEEHTRFVLQKNIENLYACGVNEKGMYKTLIEAVPRILKWVNLFKNTQNSEVPSVDFGSDNGMKKVKLSEVIDIEEMAWAPKYGLKGMIDASVRVKVESNKNESHEMVMPLEFKSGKIPNGQSSMEHTAQVALYTLLMSDRYQKHIDTGLLCYLQSDQTQGVAVRRSDLVGIIMRRNELANDVLKASRTQVLPPMLKSQSMCRGCRHLNVCTIYHKAHGGSSGSSLLGDLFDSNTHHLTNAHAAFLRLWDWLIDLEAKEMQLVKNEIWRSHNSKSDYAVGCLSSVVLDWKLPYSKSKKDNRFVYHFLRNGLPSLSERTSKGDFLNAASSPTKEVDCALRCGDFVILSSESSHLPVASGIITDISHSHVSVSFSKLLRLPGSSPSSEASHLLQEIWRVDKDEFMTSFSVMRLNLVQLFLQSAQAAHVRKMIVDLEAPRFDKGRILSQDPAISYVLSQRNLNVDQRQAILKILAAKDYALILGMPGTGKTSTMVHAVKALLIRGASILLTSYTNSAVDNLLVKLKAQNIDFLRIGRHEAVHEEVRGHCFSEMNIQSVEDIKLRLDQVKVVAVTCLGITSPLLANKRFDVCIMDEAGQTTLPVSLGPLMFASKFVLVGDHYQLPPLVKSTEARENGMGVSLFCRLSEAHPQAISALQSQYRMCQGIMELSNALIYGDRLRCGSPEIANAKLDFSSSKSRSSWIQEVLNPTKPVIFINTDALPAFEAKDHKIVNNPMEAHIIVQVVEELVNSGIKEEDIGVITPYNSQAEIIRLSINHTSVEIHTIDKYQGRDKDCILVSFVRSTENPRNCSSSLLGDWHRINVALTRAKKKLIMVGSCKTLSKVLLMKLLIEKVDQQSGILNVSKKDINFKRDRKRCSQAR >ONI24608 pep chromosome:Prunus_persica_NCBIv2:G2:26476622:26480664:1 gene:PRUPE_2G249400 transcript:ONI24608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSLWGARTKLALSAAALIGGGAAANVATSDDPSMTLKLYTTVPPRLIRDAMTATAIAFDYEYSLWGLPEGSSEREKTKHEVHLRSARKLQELCFSNGGIYIKLGQHLGQLEYLVPQEYVQTMRDSMLNKCPVSSYEQVCEVVKKELGDTPDKIFAEFDPVPIASASLAQVHVARNHDGKKVAVKVQHTHMTDTAAADCATVDFIVNTLHWIFPSFDYRWLIAEMRESLPKELDFINEAQNSEKCLENFQKLSPHIAAYVYAPKVYWNLSTSKLLTMEFMDGAEVNDVKTIKRLGIRPDEVARLVSETFAEMMFKHGFVHCDPHAANLLVRPLPYSGKSILGKKKPQLILLDHGLYKELDFETRTNYAKLWKALIFADANAIKENSAKLGAGEDLYALFAGILTMRPWNRVIDPAVDHLVIQGSESDRSELQMYASQYFSQISELLRRLPRVILLMLKTNDCLRSVSNALVLLCYYLFSAVTIENLIIVR >ONI24607 pep chromosome:Prunus_persica_NCBIv2:G2:26476539:26481942:1 gene:PRUPE_2G249400 transcript:ONI24607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSLWGARTKLALSAAALIGGGAAANVATSDDPSMTLKLYTTVPPRLIRDAMTATAIAFDYEYSLWGLPEGSSEREKTKHEVHLRSARKLQELCFSNGGIYIKLGQHLGQLEYLVPQEYVQTMRDSMLNKCPVSSYEQVCEVVKKELGDTPDKIFAEFDPVPIASASLAQVHVARNHDGKKVAVKVQHTHMTDTAAADCATVDFIVNTLHWIFPSFDYRWLIAEMRESLPKELDFINEAQNSEKCLENFQKLSPHIAAYVYAPKVYWNLSTSKLLTMEFMDGAEVNDVKTIKRLGIRPDEVARLVSETFAEMMFKHGFVHCDPHAANLLVRPLPYSGKSILGKKKPQLILLDHGLYKELDFETRTNYAKLWKALIFADANAIKENSAKLGAGEDLYALFAGILTMRPWNRVIDPAVDHLVIQGSESDRSELQMYASQYFSQISELLRRLPRVILLMLKTNDCLRSVSNALLQVPSLETFMIIGRVSSEAVIEAKLSQKRSFLHWLSAWLDKILLEARLFTMQVALWLLQGRKSLSWRN >ONI21654 pep chromosome:Prunus_persica_NCBIv2:G2:12106338:12111161:1 gene:PRUPE_2G078900 transcript:ONI21654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESQKWVLMVTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPEHLCTTTTVSVSPSFDQDRMWLNGKEISLSGGRFQSCLREIRSRATDVHDKEKGIKITKKDWEKLNVHIASYNNFPTAAGLASSAAGFACLVFALAKLMNANEDHSQLSAIARRGSGSACRSLYGGFVKWIMGKDEKGTDSLAVQLADEKHWDELVIVIAVVSSQQKETSSTTGMRDTVETSLLLQHRAKEVVPKRILKMEEAIKNRDFASFAQLACTDSNQFHAVCLDTCPPIFYMNDTSHRIISLVEKWNRAAGTPQVAYTFDAGPNAVLIARNRETAALLVQKLLFCFPPKSDADLNSFVLGDKSILKDAGVESLEAIESLPAPPEIKDPSQRHRGDVSYFICTRPGRGPVVLSDESQFLLNPETGLPK >ONI25314 pep chromosome:Prunus_persica_NCBIv2:G2:28659623:28661223:-1 gene:PRUPE_2G295600 transcript:ONI25314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINMDLQQHSSMFKIRALVQQLRPNFIEVLQTPDFRNSEAADEIRQQMKLLMDLYKQMAKDAGDTHRKFVSETQHSTHDSDPAKENQDGKQQKHLQPRCSVEKPVQARTRSIPLSSYKKPVASQLQGSYIVGGSAFGWNFITFSGSKPIYYGVTKEEYRSRNNTSGTRSVV >ONI25315 pep chromosome:Prunus_persica_NCBIv2:G2:28659377:28661256:-1 gene:PRUPE_2G295600 transcript:ONI25315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLMDLYKQMAKDAGDTHRKFVSETQHSTHDSDPAKENQDGKQQKHLQPRCSVEKPVQARTRSIPLSSYKKPVASQLQGSYIVGGSAFGWNFITFSGSKPIYYGVTKEEYRSRNNTSGTRSVV >ONI24174 pep chromosome:Prunus_persica_NCBIv2:G2:25409956:25411034:1 gene:PRUPE_2G228400 transcript:ONI24174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNTTSVSLKLLIDTKGGKVLFAEADKDVVDFLFTLLSLPVGTVIRLLSKDAMVGTLGKLYESVENISYTYLQPNLIKDTLLKPKAAVAVSNILLLLTNNVDSNAKQFYICANCNRRFSDVSGTVCPSCRYAMSTQMTYVCPQASSTAAATSGEGGYVKGVVTYMIMDNLEVRPMSTISSISMLNQFNVKEVGALEEKVVFFGKDEGLKLLKASLETSTVLTNVFLGNKMA >ONI22714 pep chromosome:Prunus_persica_NCBIv2:G2:20283293:20286346:-1 gene:PRUPE_2G146500 transcript:ONI22714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSTNGSNNNGGGGGGGGGGGPCGACKFLRRKCIKGCIFAPYFDSEQGTAHFAAVHKVFGASNASKLLLRIPPHKRLDAVVTLCYEALARVRDPVYGCVSHIFTLQQQVVNLQAELAYVQARLATLQRAPPPLPLPQLECPPPPRSLHYSSSHINVTSPPCSEFVPTSNLLTHFDPLQTVPHTAEMTSLFNSLDQELIDGEDLQALAREFVCRYLPGVRLNKPSTSHQNF >ONI21681 pep chromosome:Prunus_persica_NCBIv2:G2:12442424:12445071:-1 gene:PRUPE_2G080800 transcript:ONI21681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCDISKILLNYYCTAQLSYLQDFAVVTKFIIKQLAVDLERIYGLGVGKIAVTAIGPLGCLPRMTSFLSYQNCSEVANLVSIFHNQILRQKVEELNKETKKSSFVILDLYNASLSAIMLPKHHQGYSTLQINPLKPCCVGVSNEYSCGSVDESGAKKYTVCDKPKLSFFWDTIHPSQNGWHEVYSAIKSSLRQLYL >ONI21290 pep chromosome:Prunus_persica_NCBIv2:G2:7218739:7218984:1 gene:PRUPE_2G058100 transcript:ONI21290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCYKSLLKITIAILLMHLVCNNKALARVHPPSSLSSATSSSSQALLHSHSDKKKPVTTEPVFDSLRRIPPSIPNPTQNK >ONI23769 pep chromosome:Prunus_persica_NCBIv2:G2:24097927:24102185:-1 gene:PRUPE_2G207000 transcript:ONI23769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILHEDVVVINQAKKAGEPTVITVNCPDKTGLGCDLCRVILLFGLSICRGDVSTDGKWCYLVFWVVEKPNTRWNLLTKRLLEVCPSYLSTSGIFYYHPENQLPKPPDVFLLEFWCSYEREGLLHDVTEVLCELELTIHRVKVSTTPDGRVMDLFFVTDTRELLHAKRRQDETILCLKAVLGDALLSCKIELARSEVTACSQGSPCLPSAITEEIFSLELPNGRLSRSVASNPISVTVDNNLSPSHTLVQIFCQDHKGLVYDIMRTLKDYNIQVSYGRFYASPKGNCEVDLFIMQADGKKIVDPNKQNALCSRLRMELLRPLRVAVVSRGPDTELVVANPVELSGRGRPLVFYDITHALKVLKTHIFSVKIGKHMIRDREWEVYRILLDEGVGYSVPRNKIEEGVRNKLMGWE >ONI25883 pep chromosome:Prunus_persica_NCBIv2:G2:30030643:30032153:-1 gene:PRUPE_2G324600 transcript:ONI25883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDTFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGRAKEEFQAIISDPFMLNSVILVFANKQDMVCHSISF >ONI25884 pep chromosome:Prunus_persica_NCBIv2:G2:30030916:30032091:-1 gene:PRUPE_2G324600 transcript:ONI25884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDTFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGRAKEEFQVVY >ONI25881 pep chromosome:Prunus_persica_NCBIv2:G2:30029259:30032350:-1 gene:PRUPE_2G324600 transcript:ONI25881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDTFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGRAKEEFQAIISDPFMLNSVILVFANKQDMKGAMSPMEVCEGLGLFDLKNRKWHIQGTCALKGDGLYEGLDWLANTLKEMRAAGYSSVGTSSF >ONI25882 pep chromosome:Prunus_persica_NCBIv2:G2:30029555:30032153:-1 gene:PRUPE_2G324600 transcript:ONI25882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDTFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGRAKEEFQAIISDPFMLNSVILVFANKQDMKGAMSPMEVCEGLGLFDLKNRKWHIQGTCALKGDGLYEGLDWLANTLKEMRAAGYSSVGTSSF >ONI25319 pep chromosome:Prunus_persica_NCBIv2:G2:28677075:28689170:-1 gene:PRUPE_2G295900 transcript:ONI25319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPVVLFQLPYLPTSRLSLHSSFLSLIIRTKKLSKARRPRESRSSIGIDRAMPCSAIGGAGGGGGEANGSLLNFKLTESTFLASLMPKKEIGADRFIEAHPNYDGRGALIAIFDSGVDPAASGLQVTSDGKPKILDVLDCTGSGDVDTSQVVKADKNGSIRGASGTSLVVDSSWKNPSGEWHVGYKLVYELFTDTLTSRLKKERRKKWDEQNQEEIAKALKDLHEFDQKHGKVDDANLKRLREELQNRVDYLQKQADTYDDKGPIIDAVVWHNGEVWRVALDTQTLEDNPDCGKLADFVPLTNYRIERKYGVFSKLDACTFVVNVYDEGNIVSIVTDSSPHGTHVAGIATAFHPKEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTLLPDYGRFVDLVNEAVNKHRLIFVSSAGNSGPALSTVGAPGGTTSSIIGVGAYVSPAMAAGAHCVVEAPGEGLEYTWSSRGPTADGDLGVSVSAPGAAVAPVPTWTLQRRMLMNGTSMSSPSACGGIALLISALKAEGIPVSPYSVRKALENTSVPIGGLPEDKLSTGRGLMQVDKAHEYLRQTRDVPCVWYQIKINQLGKPTPTSRGIYLREASAFQQSTEWTVQVEPKFHEGASNLEELVPFEECIELHSSEKAVVRAPDYLLLTHNGRSFNIVVDPTKLSEGLHYYELYGVDCKAPWRGPLFRIPVTITKPIAVINRPPLLPFSRMSFLPGHIERRFIEVPLGATWVEATMQTSGFDTARRFFIDSVQLCPLQRPRKWESVVTFSSPASKSFSFPVVGGQTMELAIAQFWSSGIGSHETTIVDFEIVFHGININKDEVVLDGSEAPIRIEAESLLASEELAPAAILNKIRIPYRPVESKLFTLPTDRDKLPSEKRILALTLTYKFKLEDGAEVKPQVPLLNNRVYDTKFESQFYMISDANKRVYAMGDTYPSSAKLPKGEYNLRLYLRHDNVQYLEKLKQLVLFIERKLEEKDVIRLSFFSQPDGSLMGNGSYRSSVLVPGKKEAIYLGPPSKDKIPKFSPQGSVLLGAISYGKLSYVEKGEGKNPLKNPVSYQISYIVPPNKLDEDKGKGSSASTKGISERLDEEVRDAKIKVLASLKQDTDEEFSEWKKLSSSLKSEYPKYTPLLAKILEGLVSRSIIEDKVLHEKEVIDAANEVVDSVDKDELAKFFALRSDPDDEEAEKIKKKMETTRDQLAEALYQKGLALAEIESLQGDKPPKAEEGAEKTEDLFEDNFKELKNWVEVKSSKFGTLLVLRERRYERFGTALKALNDIIQDDGEPPKKKFYELKISLLEKIRWKHLVTHEKQWMHVRFPANLPLF >ONI25318 pep chromosome:Prunus_persica_NCBIv2:G2:28677352:28689024:-1 gene:PRUPE_2G295900 transcript:ONI25318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPVVLFQLPYLPTSRLSLHSSFLSLIIRTKKLSKARRPRESRSSIGIDRAMPCSAIGGAGGGGGEANGSLLNFKLTESTFLASLMPKKEIGADRFIEAHPNYDGRGALIAIFDSGVDPAASGLQVTSDGKPKILDVLDCTGSGDVDTSQVVKADKNGSIRGASGTSLVVDSSWKNPSGEWHVGYKLVYELFTDTLTSRLKKERRKKWDEQNQEEIAKALKDLHEFDQKHGKVDDANLKRLREELQNRVDYLQKQADTYDDKGPIIDAVVWHNGEVWRVALDTQTLEDNPDCGKLADFVPLTNYRIERKYGVFSKLDACTFVVNVYDEGNIVSIVTDSSPHGTHVAGIATAFHPKEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTLLPDYGRFVDLVNEAVNKHRLIFVSSAGNSGPALSTVGAPGGTTSSIIGVGAYVSPAMAAGAHCVVEAPGEGLEYTWSSRGPTADGDLGVSVSAPGAAVAPVPTWTLQRRMLMNGTSMSSPSACGGIALLISALKAEGIPVSPYSVRKALENTSVPIGGLPEDKLSTGRGLMQVDKAHEYLRQTRDVPCVWYQIKINQLGKPTPTSRGIYLREASAFQQSTEWTVQVEPKFHEGASNLEELVPFEECIELHSSEKAVVRAPDYLLLTHNGRSFNIVVDPTKLSEGLHYYELYGVDCKAPWRGPLFRIPVTITKPIAVINRPPLLPFSRMSFLPGHIERRFIEVPLGATWVEATMQTSGFDTARRFFIDSVQLCPLQRPRKWESVVTFSSPASKSFSFPVVGGQTMELAIAQFWSSGIGSHETTIVDFEIVFHGININKDEVVLDGSEAPIRIEAESLLASEELAPAAILNKIRIPYRPVESKLFTLPTDRDKLPSEKRILALTLTYKFKLEDGAEVKPQVPLLNNRVYDTKFESQFYMISDANKRVYAMGDTYPSSAKLPKGEYNLRLYLRHDNVQYLEKLKQLVLFIERKLEEKDVIRLSFFSQPDGSLMGNGSYRSSVLVPGKKEAIYLGPPSKDKIPKFSPQGSVLLGAISYGKLSYVEKGEGKNPLKNPVSYQISYIVPPNKLDEDKGKGSSASTKGISERLDEEVRDAKIKVLASLKQDTDEEFSEWKKLSSSLKVIDAANEVVDSVDKDELAKFFALRSDPDDEEAEKIKKKMETTRDQLAEALYQKGLALAEIESLQGDKPPKAEEGAEKTEDLFEDNFKELKNWVEVKSSKFGTLLVLRERRYERFGTALKALNDIIQDDGEPPKKKFYELKISLLEKIRWKHLVTHEKQWMHVRFPANLPLF >ONI22808 pep chromosome:Prunus_persica_NCBIv2:G2:20713501:20714476:1 gene:PRUPE_2G152500 transcript:ONI22808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTSQLSCFSAMNRHLNLPRHSLLCPTILRPKSLSTTVMSLEGGSKTKTSLSYTSDAPKAKSDPLLDDEQIAEPKRAAKIHDFCFGIPFGGIVLSGGLIGFIFSRNPATLSTGVLYGAALLALSTFSLKIWRQGKSSLPFILGQAALAAVLLWKHVQTYSLTKKVFPTGFYAVISAAMLCFYSYVVLSGGNPPPKKLKPSASVAS >ONI23155 pep chromosome:Prunus_persica_NCBIv2:G2:21937118:21941053:1 gene:PRUPE_2G172800 transcript:ONI23155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRSFLKKRSTLLCNEAVRKRLFSTQVSQPIIDSPSFAQRVRNLPKDLPGTHVKTEVSQLIGRTPIVYLNKVTEGCGAYIAVKQEMFQPTSSIKDRPALSMINDAEKKGLITPGKTVLVEPTSGNMGISMAFMAAMRGYKMVLTMPSYTSLERRVCMRAFGAELILTDPARGMGGTVKKAYDLLESTPNAHMLQQFSNPANTRVHFETTGPEIWEDTDGQVDIFIMGIGSGGTVSGVGQYLKSKNPNVQIYGVEPAESNVLNGGKPGPHLITGNGVGFKPDILDLDVMDRVIEVKSDDAVKMARRLALEEGLMVGISSGANTVAAIELAKKPENKGKLIVTVHPSFGERYLSSVLFEELRKEAENMQPVSVD >ONI24875 pep chromosome:Prunus_persica_NCBIv2:G2:27313859:27314996:1 gene:PRUPE_2G267000 transcript:ONI24875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCGPIFLFLRRTYELHWLIDAARQKFKFILLYGSFSVFSFFCFFGMNGKVCSCGYKALVWFHLNKRIRGIISEHEVSQVG >ONI24125 pep chromosome:Prunus_persica_NCBIv2:G2:25208113:25210363:-1 gene:PRUPE_2G224800 transcript:ONI24125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRVADNQISPFFTTMELDDLSRASHGASPSLGQLLKHVGDVRKEATGDGGETPAHHALDLSDDSIEPRSLPFVLSFTNLTYSVKVRRKFSLSGMFSGGRSRLGAATESEPVGGESLFTRTKTLLNDISGEAREGEILAVLGASGSGKSTLIDALANRIAKGSLKGTVSLNGEVLESRLLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRTLSKSKKKARVQALIDQLGLRNAAKTIIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVVMSVHQPSYRILGLLDRLLFLSRGQTVYSGSPTTLPSYFAEFGHPIPESENRTEFALDLIRELEGSPGGTKSLVEFNRTWQSMKHSDNNTSCQIACEPRHSVSLQEAISASISRGKLVSGATNNDPSPNSMVPTFANPFWIEMAVLSKRSMKNARRMPELFGIRLGAVMVTGFILATIFWNLDNSPKGVQERLGFIAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHSLVALPSLVFLSIAFSALTFWAVGLDGGLSGFLFYFLIIFASFWAGSSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFITRDRIPAYWIWFHYMSLVKYPYEGVLQNEFQDATKCFVRGVQIFDNTPLASVPSAMKLKLLESMSQTLGTRITSSTCLTTGTDILKQQGVTDLSKWNCLYVTVAWGFLFRILFYFSLLLGSKNKRR >ONI23386 pep chromosome:Prunus_persica_NCBIv2:G2:22711647:22716174:1 gene:PRUPE_2G186100 transcript:ONI23386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSLVIPTSPASSRRTEIFKEHNGLRRSHSSQDLYNRATIRRSYSDNHLCHCINRIHAASTQPKLKNSRSMGIFPFQFSTSILPNSVRSFLFDPDTSKHMSVVEEKDMNMVVNSEESAGDEERKRANWVERLVEIRSKWRNRQKKDVADEDTNDNFCVNGENGDCECGGEEGGCAVDYTSQEDDGEISPEFFSKFLVRVPWSDTKLFSQLAFLCNLAYVIPQIKANDLKRYYALQFVTSSLEKKAESDEIKAKLDEDSTCAPVAAEVASESNSEKAAESEQKCSIRPSVAYNIAASAASYVRSRDKDSLPLGSKSQHEGDGENSGRNCETSREEGGSSTRIYKPEVAVSMAASTMTVMVAAGEREKQETAKDLQSLHSSPCEWFVCDDSSTYTRCFVIQGSDSLSSWRANLFFEPTKFEGTDVLVHRGIYEAAKGMYEQFMPEIIDHLNKHGERAKLQFTGHSLGGSLSLLVHLMLLSRKLVKPSILRPVVTFGSPFVFCGGQKILDQLGMDENQIHSVMMHRDIVPRAFSCNYPNHVATLLKRLNGSFRSHPCLIKNKILYSPMGKLFILQPDENSSPPHPLLPLGSALYALDKTQCGFSSSLLRAFLNSPHPLETLSDPTAYGSEGTILRDHDSSNYLKAVNGVLRQHTRMVVRKVRKQRNLLWPLLTSPSPHSWNHENNLESSGLATKEILTGV >ONI23387 pep chromosome:Prunus_persica_NCBIv2:G2:22710311:22716174:1 gene:PRUPE_2G186100 transcript:ONI23387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSLVIPTSPASSRRTEIFKEHNGLRRSHSSQDLYNRATIRRSYSDNHLCHCINRIHAASTQPKLKNSRSMGIFPFQFSTSILPNSVRSFLFDPDTSKHMSVVEEKDMNMVVNSEESAGDEERKRANWVERLVEIRSKWRNRQKKDVADEDTNDNFCVNGENGDCECGGEEGGCAVDYTSQEDDGEISPEFFSKFLVRVPWSDTKLFSQLAFLCNLAYVIPQIKANDLKRYYALQFVTSSLEKKAESDEIKAKLDEDSTCAPVAAEVASESNSEKAAESEQKCSIRPSVAYNIAASAASYVRSRDKDSLPLGSKSQHEGDGENSGRNCETSREEGGSSTRIYKPEVAVSMAASTMTVMVAAGEREKQETAKDLQSLHSSPCEWFVCDDSSTYTRCFVIQGSDSLSSWRANLFFEPTKFEGTDVLVHRGIYEAAKGMYEQFMPEIIDHLNKHGERAKLQFTGHSLGGSLSLLVHLMLLSRKLVKPSILRPVVTFGSPFVFCGGQKILDQLGMDENQIHSVMMHRDIVPRAFSCNYPNHVATLLKRLNGSFRSHPCLIKNKILYSPMGKLFILQPDENSSPPHPLLPLGSALYALDKTQCGFSSSLLRAFLNSPHPLETLSDPTAYGSEGTILRDHDSSNYLKAVNGVLRQHTRMVVRKVRKQRNLLWPLLTSPSPHSWNHENNLESSGLATKEILTGV >ONI23503 pep chromosome:Prunus_persica_NCBIv2:G2:23120174:23122913:1 gene:PRUPE_2G191900 transcript:ONI23503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPTSFTIVLSFLFIYGYNYNVHVMAQWPSGGSTRFYDFKVQTKRVTKLCSTKDMVTINGKFPGPSVYAQEDDRIIVNVTNETPFNITIHWHGVRQKLSCWFDGPSYITQCPIQAGQSFTYEFTMVKQKGTFFWHAHVSWLRATVYGALIVYPKAGVPYPFKAPYEEHTLILGEYWLQDVVQLERATAASGGPPTPTNAYTINGHPGPNYNCSNNDVYQIDVVSGKTYLLRLIHAGLNMENFFAIANHRLTIVEADAEYTKPFTTDRVMLGPGQTMSVLVTAEQSPGKYSMAMGPYMSAKGVKFQNISSIAYFQYSGAVPNSLSLPAKLPCFNDNLAVKTVMDGLRSLNPVNVSRQIDTDLFVTIGLNVQKCHSKTPKQNCQGLNNGVMAASMNNISFVKPKISLLEAYYKKINGSFTEDFPGVPLKFYDFVNGAPNNVPNNTQALNGTKALVLEYGARVQLIMQDTGTVTTENHPIHLHGYSFYVVGYGTGNYNPKTANFNLVDPPYMNTIGVPVGGWAAIRFVADNPGVWFMHCHLDVHQSWGLGTVLVVKNGKGDLEILPHPPADLPQC >ONI20622 pep chromosome:Prunus_persica_NCBIv2:G2:2658939:2659196:-1 gene:PRUPE_2G025900 transcript:ONI20622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFPFILLFLLFFHKVANFVKHALLSSIISLKLTAKLMQYHSLLRPSKISKQVTNFIELRELWKLATSWKGHQTSLMTSFHFG >ONI21471 pep chromosome:Prunus_persica_NCBIv2:G2:9736978:9740365:-1 gene:PRUPE_2G068300 transcript:ONI21471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFKHTHSLSPKLSDGLSYFPLSAPRPSTLQFALSYNVFRTIYTTLASYITWLRRRTFTHIERILQKSYDALDHRAKEYFLDIACFFKGEYEDYVLQIVPKKFIEEFVDKAMITIEGSRILMHELLANMGKDIVHKESPNDPGQRSRLWFYEDVKQVLMKSTGTRNIKGIMVKLPKPAEIILYPECFRNMVNLQIFINHNASLHGDINYLPNTLRFIDWPSCQLQSLPPNFQGNRLVVFNMLGNHIRHLEGFKHLSNPTSMDLSGCQFLEKISDLSGIPNIKYLILSGCRRLVEIDDSVGLLDKLRCFNLTGLELRLLYFWSTLRYRDLSGNNFVTILECISKFVSLDQLDLHDCKSLLEIPQEVLPPRVYAVLPDNCTSLEKIPKLPLSSEVEYLHLINCIRLRGYDITLYSGSGFCLFCNNLFLN >ONI21417 pep chromosome:Prunus_persica_NCBIv2:G2:8747500:8749952:-1 gene:PRUPE_2G064600 transcript:ONI21417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTLEIRKVGLLPNKKRTRVDDRDGIIHNVKEALDEYRETLTKLRQKHGSEDNKLDCWRPDIEAVMHLRKKGKLVNMRKQLGPILGVEVGDKFRNRAELTVAGLHHNFRSGIEYMKKDGKILATSIVNSGRYANHVGSPDILTYSGEGGNPRVECKKPKDQTLERGNLALKNSMEVRTHVRVIRAVKHFEVGTCAKKRSFTTYVYDGLYKVEHFWQERGEFGKLVFKYLLKRIPRQPIITWEKVSKQLKKPMVSKDIVCKNDISEGKEMMPIRVVNAIDSESHPAFKYTSYVIYPNFFKATKQRGCDCLHGCLECKSYPCVMNTGGVFSSNNTITDRKSLIYNCSTTCKSSSCKNRICQHGIQFKLEVFRTKSKGWGVRPRSYIPCGSFICEYVGEILQEKEVKQRRGSDENIFWTNGKFVDHALRDASQHVSFPMLPNFFGDGFVIDATQHGNVGRFVNHSCSPNLFAQSILCDHDDVRIPHMMLFAKKSIPPNQELTYDYSSRVRKIVP >ONI21007 pep chromosome:Prunus_persica_NCBIv2:G2:5014919:5015926:-1 gene:PRUPE_2G044700 transcript:ONI21007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQKKLLHEVSGWLKVFEDGSTDRTWTGPSEVKFMVEPVQPHEEFINGVATRDVVVDKDSGLQLRIYLPEIKPRDEIKLPIILHFHGGGFCISRADWYMYYHMYTNLARSAKAIFVSVYLRLAPEHRLPAAIDDGWAALLWLQSLAQGDLYEPWLVDHADFNRVILLGDSTGGNIVHELAARAGKVDLNHMRLTGGILIHPGFVRSTRSRSELEQPESPMLTLDMVDKFLSLALPMGSSKDHPITCPMGSGAPDLDTLKLPPFLLCIAEMDMIVDTEIEYYEAMKKAEKDVELLISPGVAHSFYLNKIAIEMDPQTASQTEILISRITEFISKH >ONI21647 pep chromosome:Prunus_persica_NCBIv2:G2:12045828:12048083:-1 gene:PRUPE_2G078200 transcript:ONI21647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGFAGRTGPGKCYRLYTESAYRNEMSPTSIPEIQRINLGTTSLTMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLVSSGSTLSKMLLGSVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAITAGFFFHGARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYQELVMTTKEYMREVTVVDPRWLAELAPRFFKVADPTKMSKRKRQERIEPLYDRYREPNSWRLSKRRARYVYHMLLLVFLYGFNVLDMCIIYCKQAGGRISCNLEAILFLHFYFRRYQLEAKKSMDKPFSFGTRHFAANK >ONI22293 pep chromosome:Prunus_persica_NCBIv2:G2:17722407:17725661:-1 gene:PRUPE_2G119400 transcript:ONI22293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRERGGGGSSKTEIVGGPLDRKRINDALDKHLEKSSPSTSRALANSKDKERLSVPSTSTGKSQLDHRAAAAAAASLTKNKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTTKGMSAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGSILICLHLH >ONI22292 pep chromosome:Prunus_persica_NCBIv2:G2:17721665:17725661:-1 gene:PRUPE_2G119400 transcript:ONI22292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRERGGGGSSKTEIVGGPLDRKRINDALDKHLEKSSPSTSRALANSKDKERLSVPSTSTGKSQLDHRAAAAAAASLTKNKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTTKGMSAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKATQSYVPRVFGFKLHKP >ONI24252 pep chromosome:Prunus_persica_NCBIv2:G2:25549747:25553147:-1 gene:PRUPE_2G231400 transcript:ONI24252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTITILRGPLVRDRGFSTSSSSSQKIVASVLFERLPVVIPKIDPVVYAYQEFAFRWRQQYRRIYPDELLDKSNARGKGDYHIDYVPAPRITEADKMNDRKSLQRALDRRLYLLLYGNTDGSAGKSVWHFPEKVYQSEETLRKCAESALKSILGDLSHTYFVGNAPMGHMATQSTEDVPGSPSVKQFFFKSQVIATNKFNIKKCEDFVWVTKDELLEYFPEKAEFLNKMIIS >ONI24253 pep chromosome:Prunus_persica_NCBIv2:G2:25549773:25553147:-1 gene:PRUPE_2G231400 transcript:ONI24253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTITILRGPLVRDRGFSTSSSSSQKIVASVLFERLPVVIPKIDPVVYAYQEFAFRWRQQYRRIYPDELLDKSNARGKGDYHIDYVPAPRITEADKMNDRKSLQRALDRRLYLLLYGNTDGSAGKSVWHFPEKVYQSEETLRKNLH >ONI24254 pep chromosome:Prunus_persica_NCBIv2:G2:25550625:25553039:-1 gene:PRUPE_2G231400 transcript:ONI24254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTITILRGPLVRDRGFSTSSSSSQKIVASVLFERLPVVIPKIDPVVYAYQEFAFRWRQQYRRIYPDELLDKSNARGKGDYHIDYVPAPRITEADKMNDRKSLQRALDRRLYLLLYGNTDGSAGKSVWHFPEKVYQSEETLRKVMIAI >ONI24251 pep chromosome:Prunus_persica_NCBIv2:G2:25549748:25553147:-1 gene:PRUPE_2G231400 transcript:ONI24251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTITILRGPLVRDRGFSTSSSSSQKIVASVLFERLPVVIPKIDPVVYAYQEFAFRWRQQYRRIYPDELLDKSNARGKGDYHIDYVPAPRITEADKMNDRKSLQRALDRRLYLLLYGNTDGSAGKSVWHFPEKVYQSEETLRKCAESALKSILGDLSHTYFVGNAPMGHMATQSTEDQFFFKSQVIATNKFNIKKCEDFVWVTKDELLEYFPEKAEFLNKMIIS >ONI22387 pep chromosome:Prunus_persica_NCBIv2:G2:18224956:18228195:-1 gene:PRUPE_2G125600 transcript:ONI22387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPKSLYTLLSHHFSTATKTSTRLPKLSNVPHKYKSEAVERAQKVLTDYLHGTRGFSFPSAEHISKNCRFSLTNIIKRVDFSAPSFSNRIQRFLRYHPINEFEFFFESIGIDYSQVCHFVPPNKYFLSEDGTVLDAACELSAFGFPWNMLGKLYEEELSIFRESSKVLKARLSRFKEYGFGNHSVVGMCLAFPYLLSLEGDLGGDVVALFDDLKGVFVEFDIENSAEANVESWYEVCKKVRVFYDLGGENGKIGEFLGRRKDVFLECPAEVLFQKAKYFCKFGVRKEDVGLLLLQSPEILNLDLETPLISALGLLKHFGLDVKELEAVSEKYPHAMGRNKMANLPHLVRALDLHEWFFTKIKNEHQLLANYVISDLDEDTAKEFSDGLERIQSSSTPIHTLTKLNFLHGIGFGENPLTLLVLARLHGRSSELQKRFDSLLSTGIEFSKLCLMIRTAPKILNQSPESLEAKVKFISEEMKPSLEYLNIFPAFLCFDLENRIKPRYRFYVWLKEKGLYSKSYSIASIIATSEKKFVARAFGIHPAAPKHWFECFSYRKSFNTCLETSLT >ONI21877 pep chromosome:Prunus_persica_NCBIv2:G2:15084923:15085642:-1 gene:PRUPE_2G095700 transcript:ONI21877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALPLDTLIDILSRMPVNSICCMRCVSKALLNMVDDPSLATMHMRRHFLTTCSTTTIEVPRLVVLDESPYHKQNVLYPLKYNGNDLLTKSKLVIVSYFGSRQRFYSHAFVFCNLFGFTGLNTKHDLNPKHGRSCLLVNPFKGEVLMLPFASDVPVPTNSLCNFDCYGLGFDNITNSFKIVRVSTNKKDYMLAEVLVLGTSSWRALPTVLSYKQVGICTWRHALVGLWSLYVVCTYTFF >ONI22441 pep chromosome:Prunus_persica_NCBIv2:G2:18641588:18642811:1 gene:PRUPE_2G129100 transcript:ONI22441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRCPLEERLLQKRNSRENLDRFIPNRSAMDFDFAHYMLTQGRKGKENPSRYGRDYRKQLAKAMNMNRTRILAFKNKPSPPDKPANSKPQRHIPQTPETTLDAPHLIDDYYLNLLDWGSSNVIAIALADTVTFEVEMGPVTSVSWAVDGRHIAIGLDNSEVQLWDSTAKQYLRTLRGCHRSRLGSLAWNNHILTTGGMDGRIVNNDVRIRSHIVETYRGHEREVCGLKWSASGQQLASGGNDNLLHIWDNRSVAPTQWLHRLEDHTAARNLLASGGGGNDRCIKFWNTHTGACLNSVDTGSLVCALLWNINERELLSSHGFTQNQLTLWKYPSMVRIAEHTGHTSRVLHMAQSPNGCTVATAAGERL >ONI22358 pep chromosome:Prunus_persica_NCBIv2:G2:18035652:18037751:1 gene:PRUPE_2G124000 transcript:ONI22358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPDSCQTAAQRFQNVVVMRHGDRIDNFEPLWVSQAARPWDPPLVQAGKDRAFCTGTKLRSDLGFQINRVFVSPFIRCVETAVQVVTALSASEHPTLSKYESDQPLPVDPSKLKVSIEYGLCEMLNKEAIRGDLAPKDGQRWGFNIPELEALFPAGTVDQTVERVYKELPQWGESVTGARARYAEVILALADKYPTENLLLVTHGEGVGSSISLFLEGATVYEVNYCAYSELRRPVFDKDQPTTAGKFEVVKNLRQTGLSYSLEIAETGDLSLPSE >ONI22907 pep chromosome:Prunus_persica_NCBIv2:G2:21136579:21139205:-1 gene:PRUPE_2G158300 transcript:ONI22907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFAAECVPDRTDVQCLHRWQKVLNPDLVKGFWSKEEDDLIIELVAKQGNKKWSEIAKSLPGRIGKQCRERWHNHLNPDIKRTAWTKAEELTLIEAHKVYGNKWAEIAKFLNGRSENSIKNHWNSSVKKKLELRSSHTSDMGTQNIKAECRKREVVKQGLDQKANMERNVETCSLDLNLVLGTANRRESQMQSSDKRNCWWAAANKMMKTPPRTVFYDRAAAACGLNIEQCQETSSNCGRLRDSHNVTANNRYNNICNTAPDYLGFPFSHTRNHKPSDPIYLLPSRPLPRPPQASLNAGLGVPGCKRTAGYFINKPVLPVTAQGLYESSSGPQAYEKKMDGGAPVNSKNSSHGGLCYRPFQLEDVEFYLENGEFPSTDSYIQTECSSVSFCTPTSHDTRIFVDCNSPDSTLRSAARTFEKSPSIIRKRRHSVSRKSVNAANHGDSVCSKEISNNFRNSPHLLGLSPCGKTNSSSMVHSPPRSQKFGDSAVMKSVEKRLEHAFDVEWDSASAVSRTLSP >ONI20483 pep chromosome:Prunus_persica_NCBIv2:G2:1708363:1714847:1 gene:PRUPE_2G018300 transcript:ONI20483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLKSLFRLMGSSPLPIDSGVEPQLPSDLPPMTTQPVSSSSSSSSSSSPYDVFLSFRGEDTRTNFTDHLYKALFDKGIYTFIDRELTRGEEISPALVRAIEESSISLIVFSENYASSSWCLDELVEILRCKNSKKQIVWPIFYKVDPSDVRKQKNSFGDAFKGLIESKFKDNEEKVLTWRKALTKVANLSGHTFKDGEYEATFIKNIVDGILSRVLRRTYWNVAEHPVGIQSHVQDVKKLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAHEFEGSCFLENVREGSLVQLQKTLLHKYLGKNLKIQSVDEGIGVIKERLRHKKILLILDDVDQLGQLEKLAGDDWFGEGSRVIITTKNRRLLDNREIELIYEVKKLDYNQALELFSCHAFGRSEPPKDYLELAQRAITFADGLPLALAILGSHLRGIDKRCWRVILDGYEGEPYTHIESILQKSYDSLDHRAKEYFLDIACFFKGDYKDCVLQIVPKKFIEEFVDKAMITVEGSRIFMHDLLANLGKDIVHIESPNDPGQRSRLWFYEDVKQVLTESTGTRNIKGIMVKLPEPAEIILNPECFRNMVNLQIFINRNASLCGDINYLPNALRFIDWPSCQLQSLPPNFQGNRLVGFNMLRSHIRQLEGFKHLPNLTYMNLSECQSLEKIPDLSGIPNIKYLILSYCTHLVEIDDSVGLLAKLLVLDLDGCFKLTRFGTRLRLKSLERLYLQCCERLESFPEIEVEMESLWELNMQGSGIRELPPSIAYLTGLESLILHGCFNLTGLELRLLHCWSTLRELHLSGNNFITLPECISKFVSLYMLYLCDCKNLLEIPQEVLPPRVRLVYLDNCTSLEKIPKLPLSLEVENKELSLINCVRLRGYDITEDIFLDQVSSHPHSQFTITLPGDEVPKWFSCCKDATLVKDEYTSRVARCEVCFEIPPNLDWETLRLVLCVVNKGKSGMADAYVHIDRKMVQWTFMNQKENHVDLHCIPLLNLSEVRFREAHHLIPLRVGEELTRLQQGNMCQIIFEFFGMPTPVKILCGVHLLGHQVADVTVDCGQRQWLLPDAMVVDDDIHDDQHQANELLSLPSASETSLRKRPWLSDFMALDDDHRANIVDVGDHEAQRGEEDHPKRRHTDLNEEPKQ >ONI25316 pep chromosome:Prunus_persica_NCBIv2:G2:28663529:28665853:1 gene:PRUPE_2G295700 transcript:ONI25316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFIIIIIMILLYMCCRLFFSCWVFPMLAYRKFKKNGLQGPSPSFPFGNLNEMKKKINIKSPSSFGSNSNIITHDIHSTLFPYFDRWLNSHGKVFIYWLGTEPFLYIADPALLKKLSTEVKSKNWGKPAVFRHDRAPMFGNGLVMSEGDEWLRNRHAATPAFKPTNLKAMVRLMVETTTKMVDKWTTLIDSGTQEIEVEREIKATSGEIIAKTSFGISYQSSRTGLMFQKLKALQMILFEPNHFMGVPLSKFLQPKKTLETRRLGKQIDQLLISIITARKQSSPTTPPWHDLLGLLLQESGTEELGSFTKGLTTQQVVDECKTFFFGGHDTTALAITWAMLLLATHPEWQNQLREEIREVVGDKQIDVHMLASLKKLGWVISEVFRLYPSAPNAQRQAREDIQVSDNVTIPNGTNMWIDIVGMHHDPALWGEDVNEFKPERFKDDIHGGCKHKMGYLPFGFGGRMCIGRNLGFMEIKIVLTLILSRFSFTISPTYCHSPCIMLTMRPSFGLPLVFQPLY >ONI21719 pep chromosome:Prunus_persica_NCBIv2:G2:13283820:13288728:-1 gene:PRUPE_2G083900 transcript:ONI21719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSEAVLQVFCGAVPRLCSTDSCFSKCDPIFSSKYQLKCRKRRVSRYMQLLSCSGMQRSRIGNYRFRGIGSDLFGNMTVGDSWIQSCKCQQAGSISGATTEDENGTWFLDSAKKLNTINNMVNAPNALEFQDVQQLKQEKEGLPPNGTNGTVRDAFHKISVDSLEDEAWDLLRESMVYYCGSPVGTIAAKDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDESATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALLCAREMLAPEDGSVDLIRALNNRLVALSFHIREYYWVDLKKLNEIYRYKTEEYSYDAVNKFNIYPDQISSWLVEWMPNKGGYLIGNLQPAHMDFRFFSLGNLWSVISSIATTDQSHAILDLIESKWGDLVADMPFKICYPALEGQEWQIITGSDPKNTPWSYHNAGSWPTLLWQLTVASIKMNRPEIAAKAVEVAEKRISRDKWPEYYDTKRGRFIGKQARLFQTWSIAGYLVAKLLLADPSKAKILTTEEDSELVNAFSCMISANPRRKRGRKDLKQTYIV >ONI22133 pep chromosome:Prunus_persica_NCBIv2:G2:16767837:16771104:1 gene:PRUPE_2G109200 transcript:ONI22133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQFEEDEFLACCGSTKFAKEMAKASPFSSLDEAVTAAREIWFNQVDVHAWIQAFSAHPQIGHSSSSSNATSAQWSKGEQATAFATATNSSLQELSEWNAKYRQKFGFIFLICASGKSTDGILSELKKRYPNRPIAEFEIAAQEQMKITELRLAKLFSTKENVTSTCNKNPTLAKKVEEDRVSVIGAHLTAASDASSVKKSQAPPRTRPPITTHVLDVSQGSPGAGVEVRLEMWKGPQPRPMFGESDVGDWLCLGCSTTDNDGRIGQLMSIVDVVNPGIYRINFNTGKYYPGGFFPYVSIVFEIRESQKWEHFHVPLLLSPFSFTTYRGS >ONI22531 pep chromosome:Prunus_persica_NCBIv2:G2:19284331:19286003:1 gene:PRUPE_2G135100 transcript:ONI22531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFSYSLSLFFFFFFFFLNLHALRASPDTGILSSEHTNHHFTLHGTRRYVYFTGKPRWVRPMTPMTLTYAFSPDNMINSLSLSDIRQVFKRAFARWASVIPVSFAESEDYGFADIRIGFYSGDHGDGEPFDGVLGILAHSFSPESGRLHLDAAETWAVDFGMEKSPVAVDLESVATHEIGHLLGLGHSLVKEAIMYPNLKPRDKKVDLQRDDIEGVQALYGSNPNYTVGDLSESYISTNLAVDFRTSRQSKWANFILALIIICLCICN >ONI21379 pep chromosome:Prunus_persica_NCBIv2:G2:8058219:8058885:1 gene:PRUPE_2G062200 transcript:ONI21379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGCRRQILEANFLDFDMYVSYMVVIKALFKLGKLQEDTQITYNILIKCFCRARDFLKAYQLHNEMLVHNLEPTPVTYNVLISGLCVYGDLMDADRLLVSLCDCNINLTKVAYTTLIKAHCAKGDVHRAVELFHQMVKKGFEISIRDYAKELCEVMLNTFRHVGDLNSVAELLAEMFKLGFLHE >ONI25386 pep chromosome:Prunus_persica_NCBIv2:G2:28859993:28866441:1 gene:PRUPE_2G299600 transcript:ONI25386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTVRVELTEAISTNLNWKISKGYRSAPRRSRKPVDRSQKLEEKSARSPSETTVSESEKLGVAVLGRRFGDKIEHVPIKKRRFMVRSPSPPPHLSFPHLEDNQPPLDGRRASGQKSCPKSIVKKHPTRSDASTLTRLCHSVADSGVNENLNEVTNQTPGGGEDFSGIEILAAAACNNSINDDMNPVEGNSVGEDLSQDGKDASTSARPSEQTFVSTSSTEMRTASEFSEARDASVSAILEESVASLETVHSFIKDIPQEDKIESSSFEANGVRINPSEGHDEAVARSISSKDVRLHWDLNVTMDAWDKPCDMVIGDPQTTAVDDISMNNKQGQKLQGSEALEILGVEDAKNDIASTVQPLADNDEQGLKACPEFEMSYGKCVPADNALGPAKDTGMGAKASSQDASVDACVDHSPCDDITVTGPVSEETNKTPPPILAVKHMTEDTAPGVQLGVTVCSESVKVENPAIACVPEGASCEIESTVLDDDGKGSGATSSFHDDPKSPEEMMGVESCHSLAPVVLEVKPVAKTEDMATDISKLDCDDKSASGASIGEGLSLVTLTAKEPVEAISETHTVDSLPKAAAGSSGEQCHYGEGTTCSLGRVTTEDPSNDNYDLDVCQDDKDHMVGKEKTMEHEAGYESQYEDGELRESDVPYWEENEFEDEEAECVDYGSDTCDSDAAHDSISGKVGMGLECRETEVFGSESREINRNAKVVRGLSPGSDNMCEKNEHSLRQCSMGSKTKTSGSDQLPGDSEASSNRTAEATEGCTGRRHAANYFDGLGGKYSPVEVVGSVASNTLNRMGTVCARRRLHNFDMSFRSEEAGSDQSMGKEKSDSRIHSKSSGGAHLVNPSGGYWDSKRRESPSYHGSFGSGRPRPKSVVESHGFEMDPDDTFSEAAGVHHRVRRQAISFSSNRLYQPPLRRRSPAERNDTHNMHRGVIPMRDTSPDRRRFRRYPQGVSRGIREEYHRPMPDDPNECSYNVPRRMARREQSTSPPGRGPIYYGRPYKKFQSRCRSRSPLSWGLPRERNDVSRHRGSRSPDYRLDSKMDKPRVPFQKHSFGTKYEVGGFMSPPKRRFSPQQNSRWFDDSNSGVDHNFRGRRLAGRRFQQGQRIDSVRSSRRLSSDDYFEPMSRPARFSELASGGGRECRYEGSDDDRRKHDGRFEIIHRVRRYDSDGVVRQFRYDEEDRFASRNTQNYDDCDNRAADRRPRDAYVGEIAKRRVN >ONI25388 pep chromosome:Prunus_persica_NCBIv2:G2:28859993:28866494:1 gene:PRUPE_2G299600 transcript:ONI25388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTVRVELTEAISTNLNWKISKGYRSAPRRSRKPVDRSQKLEEKSARSPSETTVSESEKLGVAVLGRRFGDKIEHVPIKKRRFMVRSPSPPPHLSFPHLEDNQPPLDGRRASGQKSCPKSIVKKHPTRSDASTLTRLCHSVADSGVNENLNEVTNQTPGGGEDFSGIEILAAAACNNSINDDMNPVEGNSVGEDLSQDGKDASTSARPSEQTFVSTSSTEMRTASEFSEARDASVSAILEESVASLETVHSFIKDIPQEDKIESSSFEANGVRINPSEGHDEAVARSISSKDVRLHWDLNVTMDAWDKPCDMVIGDPQTTAVDDISMNNKQGQKLQGSEALEILGVEDAKNDIASTVQPLADNDEQGLKACPEFEMSYGKCVPADNALGPAKDTGMGAKASSQDASVDACVDHSPCDDITVTGPVSEETNKTPPPILAVKHMTEDTAPGVQLGVTVCSESVKVENPAIACVPEGASCEIESTVLDDDGKGSGATSSFHDDPKSPEEMMGVESCHSLAPVVLEVKPVAKTEDMATDISKLDCDDKSASGASIGEGLSLVTLTAKEPVEAISETHTVDSLPKAAAGSSGEQCHYGEGTTCSLGRVTTEDPSNDNYDLDVCQDDKDHMVGKEKTMEHEAGYESQYEDGELRESDVPYWEENEFEDEEAECVDYGSDTCDSDAAHDSISGKVGMGLECRETEVFGSESREINRNAKVVRGLSPGSDNMCEKNEHSLRQCSMGSKTKTSGSDQLPGDSEASSNRTAEATEGCTGRRHAANYFDGLGGKYSPVEVVGSVASNTLNRMGTVCARRRLHNFDMSFRSEEAGSDQSMGKEKSDSRIHSKSSGGAHLVNPSGGYWDSKRRESPSYHGSFGSGRPRPKSVVESHGFEMDPDDTFSEAAGVHHRVRRQAISFSSNRLYQPPLRRRSPAERNDTHNMHRGVIPMRDTSPDRRRFRRYPQGVSRGIREEYHRPMPDDPNECSYNVPRRMARREQSTSPPGRGPIYYGRPYKKFQSRCRSRSPLSWGLPRERNDVSRHRGSRSPDYRLDSKMDKPRVPFQKHSFGTKYEVGGFMSPPKRRFSPQQNSRWFDDSNSGVDHNFRGRRLAGRRFQQGQRIDSVRSSRRLSSDDYFEPMSRPARFSELASGGGRECRYEGSDDDRRKHDGRFEIIHRVRRYDSDGVVRQFRYDEEDRFASRNTQNYDDCDNRAADRRPRDAYVGEIAKRRVN >ONI25387 pep chromosome:Prunus_persica_NCBIv2:G2:28859993:28866441:1 gene:PRUPE_2G299600 transcript:ONI25387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTVRVELTEAISTNLNWKISKGYRSAPRRSRKPVDRSQKLEEKSARSPSETTVSESEKLGVAVLGRRFGDKIEHVPIKKRRFMVRSPSPPPHLSFPHLEDNQPPLDGRRASGQKSCPKSIVKKHPTRSDASTLTRLCHSVADSGVNENLNEVTNQTPGGGEDFSGIEILAAAACNNSINDDMNPVEGNSVGEDLSQDGKDASTSARPSEQTFVSTSSTEMRTASEFSEARDASVSAILEESVASLETVHSFIKDIPQEDKIESSSFEANGVRINPSEGHDEAVARSISSKDVRLHWDLNVTMDAWDKPCDMVIGDPQTTAVDDISMNNKQGQKLQGSEALEILGVEDAKNDIASTVQPLADNDEQGLKACPEFEMSYGKCVPADNALGPAKDTGMGAKASSQDASVDACVDHSPCDDITVTGPVSEETNKTPPPILAVKHMTEDTAPGVQLGVTVCSESVKVENPAIACVPEGASCEIESTVLDDDGKGSGATSSFHDDPKSPEEMMGVESCHSLAPVVLEVKPVAKTEDMATDISKLDCDDKSASGASIGEGLSLVTLTAKEPVEAISETHTVDSLPKAAAGSSGEQCHYGEGTTCSLGRVTTEDPSNDNYDLDVCQDDKDHMVGKEKTMEHEAGYESQYEDGELRESDVPYWEENEFEDEEAECVDYGSDTCDSDAAHDSISGKVGMGLECRETEVFGSESREINRNAKVVRGLSPGSDNMCEKNEHSLRQCSMGSKTKTSGSDQLPGDSEASSNRTAEATEGCTGRRHAANYFDGLGGKYSPVEVVGSVASNTLNRMGTVCARRRLHNFDMSFRSEEAGSDQSMGKEKSDSRIHSKSSGGAHLVNPSGGYWDSKRRESPSYHGSFGSGRPRPKSVVESHGFEMDPDDTFSEAAGVHHRVRRQAISFSSNRLYQPPLRRRSPAERNDTHNMHRGVIPMRDTSPDRRRFRRYPQGVSRGIREEYHRPMPDDPNECSYNVPRRMARREQSTSPPGRGPIYYGRPYKKFQSRCRSRSPLSWGLPRERNDVSRHRGSRSPDYRLDSKMDKPRVPFQKHSFGTKYEVGGFMSPPKRRFSPQQNSRWFDDSNSGVDHNFRGRRLAGRRFQQGQRIDSVRSSRRLSSDDYFEPMSRPARFSELASGGGRECRYEGSDDDRRKHDGRFEIIHRVRRYDSDGVVRQFRYDEEDRFASRNTQNYDDCDNRAADRRPRDAYVGEIAKRRVN >ONI25329 pep chromosome:Prunus_persica_NCBIv2:G2:28719776:28721962:1 gene:PRUPE_2G296600 transcript:ONI25329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWFLIVITLCISFLLKPILSLFIPTVSKPKLPPGPLTIPVIGNFLWLRKSFSELEPVLRNLKARYGPMVTLHIGSRPALFVADRSLAHQALVQNGAVFADRPPALATDKVQNSNQHNINSAVYGPTWRLLRRNLTSEILHPSRVKSYGGARKWVLDILVNQLETESQSQPKGVKVVDHLQYSMFCLLVLMCFGDRLDENQIKEIEGVQRRSLLSFGRYNFLNFWPKLTKILLRKHWDEFLQLRKEQEDVLIPLIRARQNKAKDESGRGEEFVLAYVDTLLDLQLPDEKEKRKLSEDEIVSLCSEFLNAGTDTTSTALQWIMANVVKYPQIQDKLFEEIKGVVEETEEEVKEEVLHKLPYLKAVILEGLRRHPPGHFVLPHAVTQDVVLNGHVVPKNGTINFMVAEMGWDPEVWEDPMAFKPERFLGGGDCGGAEEGFDLTGSREIKMMPFGAGRRICPGSGLAVLHLEYFVANLVWKFEWRAVEGDDVDLSEKQEFTVVMKNPLQAHLSPRLK >ONI23276 pep chromosome:Prunus_persica_NCBIv2:G2:22303387:22306216:-1 gene:PRUPE_2G179200 transcript:ONI23276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERKYITVEQLKLHKQPGDLWISIKGKVYNVSDWAKDHPGGEAVLFNMAGQDVTDGFIAYHPASAWQYLGKFFTGYYLKDFQISEVSKDYRKLASEFNQLGLFENKGHGALYSVISLVVMLSLVVYGVLKSESVLVHLGCGLLLGVLWVQAAYMGHDSGHYQVMSSRTYNKMAQFVAGNCLTGISIAWWKWTHNAHHIACNSLDYDPDLQHMPVFAVSTKFFHSITSVFYGRELTFDPVARFLVSHQHFTYYPIMIVGRVNLFIQTFLLLFSKRSIPDRGLNILGILVFWTWFPLLVSCLPNWSERVMFVLASFAVTALQHIQFTLNHFTGDTYLGPPTGNDWFEKQTAGTVDISCSSWMDWFYGGLQFQLEHHLFPRLPRSQLRKISPTVKDLCKKHNLPYKSLSFWDANVTTIRLLRSAAQKAWDMDIPIPKNLAWEAVNTHG >ONI23275 pep chromosome:Prunus_persica_NCBIv2:G2:22303715:22305058:-1 gene:PRUPE_2G179200 transcript:ONI23275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERKYITVEQLKLHKQPGDLWISIKGKVYNVSDWAKDHPGGEAVLFNMAGQDVTDGFIAYHPASAWQYLGKFFTGYYLKDFQISEVSKDYRKLASEFNQLGLFENKGHGALYSVISLVVMLSLVVYGVLKSESVLVHLGCGLLLGVLWVQAAYMGHDSGHYQVMSSRTYNKMAQFVAGNCLTGISIAWWKWTHNAHHIACNSLDYDPDLQHMPVFAVSTKFFHSITSVFYGRELTFDPVARFLVSHQHFTYYPIMIVGRVNLFIQTFLLLFSKRSIPDRGLNILGILVFWTWFPLLVSCLPNWSERVMFVLASFAVTALQHIQFTLNHFTGDTYLGPPTGNDWFEKQTAGTVDISCSSWMDWFYGGLQFQLEHHLFPRLPRSQLRKISPTVKDLCKKHNLPYKSLSFWDANVTTIRLLRSAAQKAWDMDIPIPKNLAWEAVNTHG >ONI21329 pep chromosome:Prunus_persica_NCBIv2:G2:7492309:7497662:1 gene:PRUPE_2G059700 transcript:ONI21329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGSIIGTFGASSSPYGTNNKLVKLEVLVIGEYDTLRFVREAFSRATLDMSLLETRLVFHYSYKDTPFMVSCDELERPNMIVMDHNFVVQLGRDKIKILVDG >ONI20594 pep chromosome:Prunus_persica_NCBIv2:G2:2347482:2350455:1 gene:PRUPE_2G023800 transcript:ONI20594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKMQNKPCLLLFLVLILSCFIAQILGNKQSQALAQLFKSKLKEGSGIDTSQFEAILHANGTKIHPQEGLKEKDRIDILPGQPHVNFSQYGGYVTVDKEAGRAFFYYFAEAEKAKDSSPLLLWLNGGPGCSSLAYGAMLELGPFRIHSDGKTLYQNKFSWNYAANVLFLESPAGVGFSYSNKTSDYDANGDRRTAADNYVFLVNWLERFPEHKDREFYISGESYAGHYVPQLAHTILYHNKRANKTIINLKGIMVILSLSLSLSLSLSIVK >ONI25046 pep chromosome:Prunus_persica_NCBIv2:G2:27828779:27829421:1 gene:PRUPE_2G277100 transcript:ONI25046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKYPCNFQLDMFLFSNFLTFCSSLLIVCLFGFFQFVVCFRTLILSKQERFSCFSFDCFQFAWANDKILVFSFDRFIYFLFVARTSMVFVFVFLFPGDFYKWVFFFQSFMLYSG >ONI23750 pep chromosome:Prunus_persica_NCBIv2:G2:24037306:24038858:-1 gene:PRUPE_2G205900 transcript:ONI23750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQCSPWELCYQEEGIQELKHSLLYTTLELETTILSAKEKIAKREEELVQLKDLLRRTVQERDEAQAKCQRLVLDKLMLQQELQKQQKQQDQELVAAQNEEIESKGRDSNNKHFASSDSDETITASPNADRITIPPPLSPQPQAASKLGAEKPLVLPEKGKLLQAVIEAGPLLQNLLLAGPLPQWQHPPPQLKSIEIPPVAIFPPTRQRLLPQDSCISSNISCFSNKRSLVHCDEPGSDSSPITKYQKLVLH >ONI24890 pep chromosome:Prunus_persica_NCBIv2:G2:27349175:27354987:1 gene:PRUPE_2G267800 transcript:ONI24890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEIDADTPLDYAEFQIFPSQNRYEALVSSDGEVEKLAGGPLEPLLPHLPELNELYCKGSNANLKLEVPESLHGAAWFTKSTLTRFLQIAGSPDVMHTITAIENEISQLEEAKKFHVSLYGQSEVEIASPDASKNELLRALDLRLTALKKELTGAIIKASHASCSSKEITNLADFSQHFGTRDFRNSLCKFLEQFQESKSGDPPNDDKSSSTCHFRNGNVDGTDGRAQISKPIHSATPVKYSVSPAKAAQVERQSSTESGESSESSDEDQTSAERSRSLMRSATPRRSASPMRRIQIGRTGSRRAAALTIKSLNYYPSQEKPFSNEEGESEHSNKKTEYNARRMSVQDAISLFESKQRDQSADAQKRSSLTNISLSTNKSVLRRWSSGLGEASSQCQSEIVSEDCAPVTHSNVANGETPTCSEEVKSESDLLPTGESTIQTPKLDGNKERFEKNSSSPIDAQDSNVTQGEESIQKSTASIEWSREREAELNQMLMKMMERKPSKSTKPQASRNQSVPSEQRGGFYDHYKEKRDEKLRGENSRKRAEKEAQIKAMQRILDERKAEMSSKKANDTDKKRATQKPQKPLGKLSQPANLKKETSKPSVTKKASPRTSPLPATRKSWPSTPTPRATGASPAKTPVGVSSASTTPTRQKPKPTPPTSKVERSQPRQRNVKESLITHDRSLKGVNEKQQQAVKKNAKTTKPKVVTTSGDFSDIIPAKHSKVTKKSSVVPVESKPFLRKGSRTSPGVGPIVNKTKSSSQSEESLRNSRNLVETQEVENPHCKFKLKKNQLSPQVRG >ONI24889 pep chromosome:Prunus_persica_NCBIv2:G2:27349175:27354987:1 gene:PRUPE_2G267800 transcript:ONI24889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEIDADTPLDYAEFQIFPSQNRYEALVSSDGEVEKLAGGPLEPLLPHLPELNELYCKGSNANLKLEVPESLHGAAWFTKSTLTRFLQIAGSPDVMHTITAIENEISQLEEAKKFHVSLYGQSEVEIASPDASKNELLRALDLRLTALKKELTGAIIKASHASCSSKEITNLADFSQHFGTRDFRNSLCKFLEQFQESKSGDPPNDDKSSSTCHFRNGNVDGTDGRAQISKPIHSATPVKYSVSPAKAAQVERQSSTESGESSESSDEDQTSAERSRSLMRSATPRRSASPMRRIQIGRTGSRRAAALTIKSLNYYPSQEKPFSNEEGESEHSNKKTEYNARRMSVQDAISLFESKQRDQSADAQKRSSLTNISLSTNKSVLRRWSSGLGEASSQCQSEIVSEDCAPVTHSNVANGETPTCSEEVKSESDLLPTGESTIQTPKLDGNKERFEKNSSSPIDAQDSNVTQGEESIQKSTASIEWSREREAELNQMLMKMMERKPSKSTKPQASRNQSVPSEQRGGFYDHYKEKRDEKLRGENSRKRAEKEAQIKAMQRILDERKAEMSSKKANDTDKKRATQKPQKPLGKLSQPANLKKETSKPSVTKKASPRTSPLPATRKSWPSTPTPRATGASPAKTPVGVSSASTTPTRQKPKPTPPTSKVERSQPRQRNVKESLITHDRSLKGVNEKQQQAVKKNAKTTKPKVVTTSGDFSDIIPAKHSKVTKKSSVVPVESKPFLRKGSRTSPGVGPIVNKTKSSSQSEESLRNSRNLVETQEVEVIGSASGPVTASQPEEPDIMPVNFSNDAVESEALINDNLTCSETQHIDPVSADSNDDLKYVAESSLQIQAEEESTISPSAWVEIEEHQPISPCNDSSSQLTTSTNVAPAGLSSPRVRHSLSQMLQEESNEPDTIEWGNAENPPSIVFQKDAPKGLKRLLKFARKSKGDGNTAGWSSPSVFSEGEDDADSVLRKASLNARNYGQQKTSLGEGYDARELYSAQSNISKFDGQSCSHKLQESRDAPATKATRSFFSLSAFRGSKPNEMKFR >ONI23291 pep chromosome:Prunus_persica_NCBIv2:G2:22376707:22378283:1 gene:PRUPE_2G180400 transcript:ONI23291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISSLLQNPFEMIPSSSSSSSSSAAVNLVRLHLGEVHFHAMKAAEVEESCNEPQRVHSRPINRPSSADIMSPNASRLYSAAVTNGTNSTTSLSPERVFLSSIPVNGLSRQDAIAASAGYRLNMDLHSSSSSSHHVVGFCFLPPPELADLNYAARRIPDLNLPPSDVSASELVLRIKDRNILLFIDAVRSLVVKHGSPEELAEYMKNKSSLTKPQLWNLDSIRIWNMLSLSRGGEIEKELVDEGLVEALIRVEDLPSPLDLVLAPPHHYKASGNQDARIDYKYKQASTWFDNNKETLSSDARNYDVVDEEEVQRSGNYDVVDEEDESSGDEADHHQDQKRKAVAVMDDQDPYDQHLQRKKASHCGALRMDQPANP >ONI24369 pep chromosome:Prunus_persica_NCBIv2:G2:25801749:25803509:1 gene:PRUPE_2G236500 transcript:ONI24369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYVLIQYKGQERKSSVAREQGSSPVWNERFTFRAEYPGSGEQYKVTLKIMDKDTFTADDYIGEATIYVKDLLALGVEKGTAQLHPLKYSVVRADGTYRGEIQVGLTFTPRAEQQHEGQEFGGWKHSDAYP >ONI24368 pep chromosome:Prunus_persica_NCBIv2:G2:25801075:25803509:1 gene:PRUPE_2G236500 transcript:ONI24368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGILEVMLVSAKGLGDTDLFSRMDPYVLIQYKGQERKSSVAREQGSSPVWNERFTFRAEYPGSGEQYKVTLKIMDKDTFTADDYIGEATIYVKDLLALGVEKGTAQLHPLKYSVVRADGTYRGEIQVGLTFTPRAEQQHEGQEFGGWKHSDAYP >ONI21478 pep chromosome:Prunus_persica_NCBIv2:G2:9814085:9819386:-1 gene:PRUPE_2G068900 transcript:ONI21478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVALMTTQPDSSSPSSPPPPPYDVFLSFSGADTRTTFTDHLRNALVGKGIHTFIDDDELPRGEQIKPALVKAIEDSRISVIVFSENYASSRWCFDELVEILRCKQSKQQIIWPIFYKVDPSHVRNQTSKFGDAFDGLIESKFKDDKEKVLIQSKWRKALTEAANLSGWHFKKGEYEATFINNIVDGIFSKVLSRTSWHVATYPVGIQSRVQDVERLLDVGGNGRRMVGIWGPSGIGKTTIAKAIWNAIAHKFEGSCFLENVREKSMPHGGLIQLQKTLLHKYLGKKLKIHSVAEGIGVIEERLGHKKILLILDDVDQLEQLKKLAGDDWFGEGSRVIITTQDSGLLKRHGIDLIYEVQKLYGNPALELFSLNAFGTNNPPEDYLGLAQSALEYAQGIPLALTLLGSHLRNEDKDRWRDILDSYEGQPYTGIRKILQKSYDALDNSVQQVFLDIACFFKGEKKDFVLQIVSNSKNKVSRDCIEVLIEKAMITIHYGMIRMHDLLEKLGKDIVHEECPNDPGKRSRLWSPEDVYHVLTVENNGTRKIKGIMLKFSKADEIRLHSKCFAGMINLEIFINRNVFLSGDVEYLPNELRLIDWGGYRCQLQFLPSEFHQVHLVDFNMPGGRIKRLGKLKNMPKLTSMNLRGCQFLEKIPDLSGSPNIKYLNLSHCTSLVEVDDSVGRLDKLVRLNLKGCVMLMRFATRLRLKSLENLDLRDCKRLESFPEIEVKMESLSSLDILGSGVRELPSSIAYLTGLRELDLRGCFNLTRIATLRLKSLEELDLRNCERLESFPKIEVEMESLWRLYMNGSGIRELPPSIAYLTGLQQLDLSGCFNLTRFATRLRLNSLESLSLRDCKRLESFLELENMPKLTTMNLRGCQFLKKIPDLSGIPNIKYLYLCDCTSLVEIDDSVGLLDKLIALYLNGCVNLTSFATRLRLNSLESLSLCDCKRLESFPEIEVKMESLLSLDISGSGVRELPSSIAYLTGLSHFRADYCENLKITSLQGLYGLQRLTLLSFYGCPKIFTFGDTVNSQVSSSNSKLQLLPNLSGLGLKGCNPSQSDFLLLLDCWYTLTELDLSGNNFVNLPICFSKFVNLESLDLSDCKSLLEIPEQVLPQRVEFVSLDNCTSLEKIPKLAWVLLEKIPEFSPEADMYLSLTNCVRLRGYDITENIFLNQASVSPYYFDINLPGDDIPKWFSCRKDATLVEDYLNSLGEESYTARCEVSFEFPPNLKWETLRLVLCVVSKARVDILVNEKLVSTEYLDEAEKSHVQLMCIPSLEHGALEEPLTWLEQGNTCQVIFCLFGRGPTPIKIPCGVHLLGHQVANVTVDRGQGQWLLRDTMAVDDDQGRTHSGRGAADKREEEDEQGAVFCFKGAGQNGVVLAQLLIFFLKQTGQNDVVLD >ONI23605 pep chromosome:Prunus_persica_NCBIv2:G2:23479158:23484327:-1 gene:PRUPE_2G197900 transcript:ONI23605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARANLYFSRLLPTTTKKPQVMAMQLRSFLLGILLLIGFAFTNSEADIRAPPTHFDTASLNRSSFPEGFIFGVGSGSYQYEGAAKEGGRGPSIWDTFTHKYPEKISDRSNGDITVDQYHRYKEDVGIMKNMGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGITPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYTELCYKEFGDRVKHWSTLNEPYTFSNNGYATGSLAPGRCSTWQQLNCTGGDSSTEPYLVTYHQLLAHAAAVKLYKNRYQASQNGVIGITLNSHWFEPLSEEKENKNAALRALDFMFGWLAEPLTSGDYPQSMRSLVGNRLPKFTKEQSKLLIGSFDFLGLNYYAGYYASDAPQNNSIYASYTTDAGVNLSSKRNGVPIGSKGASEWLNVYPRGIQDLLLYTKKKYHNPIIYITENGLDEFNDPKLSLAEALNDMHRIDYYNRHLYYVQSSIDNGVKVKGFFPWMLLDNFEWSLGFSVRFGITYIDYNNRLKRYPKLSAHWFKSFLKQY >ONI23604 pep chromosome:Prunus_persica_NCBIv2:G2:23479158:23482630:-1 gene:PRUPE_2G197900 transcript:ONI23604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARANLYFSRLLPTTTKKPQVMAMQLRSFLLGILLLIGFAFTNSEADIRAPPTHFDTASLNRSSFPEGFIFGVGSGSYQYEGAAKEGGRGPSIWDTFTHKYPEKISDRSNGDITVDQYHRYKEDVGIMKNMGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGITPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYTELCYKEFGDRVKHWSTLNEPYTFSNNGYATGSLAPGRCSTWQQLNCTGGDSSTEPYLVTYHQLLAHAAAVKLYKNRYQASQNGVIGITLNSHWFEPLSEEKENKNAALRALDFMFGWLAEPLTSGDYPQSMRSLVGNRLPKFTKEQSKLLIGSFDFLGLNYYAGYYASDAPQNNSIYASYTTDAGVNLSSKRNGVPIGSKGASEWLNVYPRGIQDLLLYTKKKYHNPIIYITENGLDEFNDPKLSLAEALNDMHRIDYYNRHLYYVQSSIDNGVKVKGFFPWMLLDNFEWSLGFSVRFGITYIDYNNRLKRYPKLSAHWFKSFLKQY >ONI22663 pep chromosome:Prunus_persica_NCBIv2:G2:19978182:19978697:-1 gene:PRUPE_2G143000 transcript:ONI22663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINLNFNVIYLFNNLNLQLRNPLYDHDILAFIIPTLLTLVQIRYPPDQDLFQTHPMAMSVVLSSLLAYCLAFSLWVLSIRHYARGQNLAYAHFWCPMAMRLFGSVSVTSLLYILFPHDPSWQPLIYILWVAQLLLLLALLRKLVTTTCPLLLRPERIVNIGPHYIIRVNE >ONI23073 pep chromosome:Prunus_persica_NCBIv2:G2:21640438:21642926:-1 gene:PRUPE_2G168500 transcript:ONI23073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSEKLHTKLIGNRNVTTSSLIPFEESWCYFACEVLDGKIYSIGGLGSNSSDPHSWDIYDPCTDSWKFHSDPNIVPEIEDSVVMDGKIYIHCGTSAVTSHVYAVVYEPSSGTWQHADADMVAGWRGPAVVVDGTLYVLDQSSGTRLMIWQKDYWEEILHCRKGLSIVMFDVENAGNMEGVMVSSSIPKLNFDDDVISCKCLSI >ONI24189 pep chromosome:Prunus_persica_NCBIv2:G2:25454352:25455937:1 gene:PRUPE_2G229500 transcript:ONI24189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINKIAVGTPGEASHPDAIRAAFAEFFSTLIFVFAGEGSGMAFNKLTNNGSTTPSGLVAASLAHAFALFVAVSVGANISGGHVNPAVTFGAFIGGNITLLRGILYWIAQLLGSVVACLLLKFATGGWETAAFSLSSGVSVWNALVFEIVMTFGLVYTVYATAVDPKKGNVGIVAPIAIGLIVGANILAGGAFDGASMNPAVSFGPAVVSWSWTHHWVYWAGPLIGAAVAALVYDNIFIGDGAHEPLPNNDF >ONI21030 pep chromosome:Prunus_persica_NCBIv2:G2:5370808:5376893:1 gene:PRUPE_2G046400 transcript:ONI21030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGEAFLSASVQVLCEKIGSREFRDLFRGKKLDESLVKKLKITLLSLNAVLNDAEEKQFTNTYVKEWLDELQDAVFDADDLLDEINAEVLRCKVEAEYRTVKTQVWNFLSTCLNPFYQGMNGRIQELFDRLEHLAKQKDVLGLREGVVGGKISQRTPTTSLVDESCVYGRDGDKEKLMNLLLSDEASNKDVSVITIVGMGGVGKTTLAQLLYNDDKVKEHFNLRTWAYVSEDFDVTRVTKTLLESVSSKAYDNKDLSFLQVELGQQIKGKKFLFVLDDLWNENYGDLSVLQRPFASGASGSWVIVTTRNESVAARMRTVPIHFLEQLSDEDCWLLLSKHAFENGNSSAHLYLQEVGKKIALKCNGLPLAAETLGGLLRFNTNYEEWNSILNSNIWELPPEKCNTIPALRLSYYYLPTHLKRCFAYCSIFPKGYEFQKEYIVLLWVAESLIPQAESEKRLEELTKKYFDDLLSRSFFQRSRNEKFIMHDLINDLAMSVSKESCLRWEGGVSHEVLKKVRHLSYARGQFDCAAKFEPLYEVKHLRTFLPLRREWHENYVSKRVLHELVPSLLCLRVLTLSKYYNIVELPNSIGNLIHLRYLDLSNTGIKRLPATVCTLYSLQTLLLAGCRSLFELPTDMRKLINLRHLDCRGTQIEEMPVQMGRLKSLRTLTTFVVGISIGLTIGELRELSHLGGKLSILKLNNVHDGTEALQANLKNKKDLKELELAWGSKDADHSEKARDVLDKLQPCMNLEKLTVKLYGGTRFPNWLGDSTFHKIKIMRLENCHYCFSLPPLGQLPALKELYIYKMKFLKTVGPELYGQPFQPFQSLERLEFKEMAEWEEWVPSGSVGPDFPRLQKLILEKCPKLRGSLPCDLPCLKKLRVKGCGVLHDQRASATTSTSTSLNYNSLEKFITEDGCQTGLLSLLETNLLCQLYIQNFGDIQCLPNINRLQCLTLSNCPTLSSFPKDGLPTTLTSLRIFNCKRLEFLTHEMLANQLTSLDCLWIENSCDSMRSFPLGIFPKLTTLIIGNCENLESLSLIEDEGAAVENLSHLNALYIEVCPNLVCFPQGGLPTPNLIRLEVQRCKKLKSLPKRIQTLTALRYLDIRNLPNLESIAEDGGLPPNLRVFYVHNCERLRASSSSVEEYCNWGLQAVEEFRIGGRGSDEILETLLKQQLLPTTLHTLRISSLSTLKSLDGKGLAHLTFLRRLSIFSCKSLKFLPGEALQHLTSLQKLKISWCDNLQFLPEEGLPPSLSYLKIFRCSALEKRYQNKTGQDHWASISHIPCIEINDEVII >ONI21031 pep chromosome:Prunus_persica_NCBIv2:G2:5370808:5377086:1 gene:PRUPE_2G046400 transcript:ONI21031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGEAFLSASVQVLCEKIGSREFRDLFRGKKLDESLVKKLKITLLSLNAVLNDAEEKQFTNTYVKEWLDELQDAVFDADDLLDEINAEVLRCKVEAEYRTVKTQVWNFLSTCLNPFYQGMNGRIQELFDRLEHLAKQKDVLGLREGVVGGKISQRTPTTSLVDESCVYGRDGDKEKLMNLLLSDEASNKDVSVITIVGMGGVGKTTLAQLLYNDDKVKEHFNLRTWAYVSEDFDVTRVTKTLLESVSSKAYDNKDLSFLQVELGQQIKGKKFLFVLDDLWNENYGDLSVLQRPFASGASGSWVIVTTRNESVAARMRTVPIHFLEQLSDEDCWLLLSKHAFENGNSSAHLYLQEVGKKIALKCNGLPLAAETLGGLLRFNTNYEEWNSILNSNIWELPPEKCNTIPALRLSYYYLPTHLKRCFAYCSIFPKGYEFQKEYIVLLWVAESLIPQAESEKRLEELTKKYFDDLLSRSFFQRSRNEKFIMHDLINDLAMSVSKESCLRWEGGVSHEVLKKVRHLSYARGQFDCAAKFEPLYEVKHLRTFLPLRREWHENYVSKRVLHELVPSLLCLRVLTLSKYYNIVELPNSIGNLIHLRYLDLSNTGIKRLPATVCTLYSLQTLLLAGCRSLFELPTDMRKLINLRHLDCRGTQIEEMPVQMGRLKSLRTLTTFVVGISIGLTIGELRELSHLGGKLSILKLNNVHDGTEALQANLKNKKDLKELELAWGSKDADHSEKARDVLDKLQPCMNLEKLTVKLYGGTRFPNWLGDSTFHKIKIMRLENCHYCFSLPPLGQLPALKELYIYKMKFLKTVGPELYGQPFQPFQSLERLEFKEMAEWEEWVPSGSVGPDFPRLQKLILEKCPKLRGSLPCDLPCLKKLRVKGCGVLHDQRASATTSTSTSLNYNSLEKFITEDGCQTGLLSLLETNLLCQLYIQNFGDIQCLPNINRLQCLTLSNCPTLSSFPKDGLPTTLTSLRIFNCKRLEFLTHEMLANQLTSLDCLWIENSCDSMRSFPLGIFPKLTTLIIGNCENLESLSLIEDEGAAVENLSHLNALYIEVCPNLVCFPQGGLPTPNLIRLEVQRCKKLKSLPKRIQTLTALRYLDIRNLPNLESIAEDGGLPPNLRVFYVHNCERLRASSSSVEEYCNWGLQAVEEFRIGGRGSDEILETLLKQQLLPTTLHTLRISSLSTLKSLDGKGLAHLTFLRRLSIFSCKSLKFLPGEALQHLTSLQKLKISWCDNLQFLPEEGLPPSLSYLKIFRCSALEKRYQNKTGQDHWASISHIPCIEINDEVII >ONI20868 pep chromosome:Prunus_persica_NCBIv2:G2:4085851:4088746:1 gene:PRUPE_2G037900 transcript:ONI20868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNWAVWLDRDRRFFDDLKGEGMWMRVHFFGTSLDSVSLDFRKFSFFGVYINGLEKLSHVWRSKKLVYATMHDFRVEANSLAFLCSLLIKPSRFIL >ONI20869 pep chromosome:Prunus_persica_NCBIv2:G2:4085935:4086677:1 gene:PRUPE_2G037900 transcript:ONI20869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNWAVWLDRDRRFFDDLKGEGMWMRVHFFGTSLDSVSLDFRKFSFFGVYINGLEKLSHVWRSKKLVYATMHDFRVEANSLAFLCSLL >ONI22263 pep chromosome:Prunus_persica_NCBIv2:G2:17585472:17589046:1 gene:PRUPE_2G117900 transcript:ONI22263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIIIAIMEEVEGKAENGITVVDIGIVILDGATTVTLPSHTTMEIGIGAIPAINLIINKANLSMIIVLHFCQHLRNTLPINFQSFASNVTSLDTPPQVVLIEEIMHTLLKMQQPLNLLLIQIPPTGVLIPVRPTI >ONI22602 pep chromosome:Prunus_persica_NCBIv2:G2:19563708:19567777:1 gene:PRUPE_2G138600 transcript:ONI22602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKKAGASLDSVISLFNRRIAELQDLVIARNMYPATSVTDLSAVDAAVKTMELQVQAIKDRLRDETLAIPKAKKLIDAAVKQQKKLQDLSSYAPSHIPERMSMLGLDTNRCLFPEGSQQNTGFGSLKLEEVPAALPKEKKGRSTPPLWFITAEELDSLSGYMRGRLTVEKINAAINDMATYAEANSQLISAPKKRVAGDSWEKALELRDIAMTEAVKGKHFFLETDMKGPALKPDNTGKAILTVLRHLGRVSETRIGPHRVIILLKRH >ONI25036 pep chromosome:Prunus_persica_NCBIv2:G2:27784296:27785637:-1 gene:PRUPE_2G276200 transcript:ONI25036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEHLDMYLVHWPVKLKPRACNPIPNEDEFEDLDLETTWAGMEKCLDLGLCRCIGVSNFSSRKIEQLLDFASVPPAVNQVEMHPMWRQTKLRGACGDHNIHVSAYSPLGGPGNSWGSTLVVDSPIIKSIALQRKATPAQVALRWGLSKGSSVIVKSFNPERMKENIGAVDLKLDDGDLMEIDRLEERKIMRGESLVNETTSPYRTLEDLWDDEI >ONI21466 pep chromosome:Prunus_persica_NCBIv2:G2:9665698:9669253:1 gene:PRUPE_2G067800 transcript:ONI21466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICFGKRKKSTAEIVPQNQPTTTIRLYGSPRSTAYIRVALKYKAAAVSLRCVVDDTCGGDDHNANENLVVAVEVAGSSSPQTERVSGPPSTLLQFIDTRFPHPPLLLQIRSPETTSLVAAVVKLTELQHRSVTWHLERLVRWATDLLTRQNKRGGRGGEIDPTVGTARMEVRKLGRSYTQLLELMLEHAQMEERLLFPIFNFADPMICKAANEEHARDLPIMNGIKEDIKSIEVIDNGSPAYQEALSNFSKRLKSLQERYRQHFLEEERELLPYMEAAELNKEQQQRLLDQCVDVMQETHSHNLFIFLLQGLLPHEAMHYLDLISMCSNKERTASMLQMII >ONI22902 pep chromosome:Prunus_persica_NCBIv2:G2:21132934:21134929:-1 gene:PRUPE_2G158100 transcript:ONI22902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSIDISESFASTAKISGDISQKDVNNVLQFLIGVGVSGHVPDQFDAKKDSYSDLVRDLLEPLHISRGHVTCLVSVKPAVINFFAGFHGGAVAAVAEAVSIACARTVMAKDKEIFLGELSISYLASAKKNAEVIVDASVVRSGRNLSVIALEFKLKKTGDLIYTARATFYHMPAAKL >ONI22905 pep chromosome:Prunus_persica_NCBIv2:G2:21132748:21135001:-1 gene:PRUPE_2G158100 transcript:ONI22905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSIDISESFASTAKISGDISQKDVNNVLQFLIGVGVSGHVPDQFDAKKDSYSDLVRDLLEPLHISRGHVTCLVSVKPAVIDFMEELLLLLLRLCP >ONI22904 pep chromosome:Prunus_persica_NCBIv2:G2:21133292:21134929:-1 gene:PRUPE_2G158100 transcript:ONI22904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSIDISESFASTAKISGDISQKDVNNVLQFLIGVGVSGHVPDQFDAKKDSYSDLVRDLLEPLHISRGHVTCLVSVKPAVINFFAGFHGGAVAAVAEAVSIACARTVMAKDKEIFLGELSISYLASAKKNEFFM >ONI22903 pep chromosome:Prunus_persica_NCBIv2:G2:21133200:21135001:-1 gene:PRUPE_2G158100 transcript:ONI22903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSIDISESFASTAKISGDISQKDVNNVLQFLIGVGVSGHVPDQFDAKKDSYSDLVRDLLEPLHISRGHVTCLVSVKPAVINFFAGFHGGAVAAVAEAVSIACARTVMAKDKEIFLGELSISYLASAKKNCPD >ONI20366 pep chromosome:Prunus_persica_NCBIv2:G2:1035743:1036604:1 gene:PRUPE_2G011800 transcript:ONI20366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLIPTYHSLIQVQVLQLQVRSHMIGLGDTEIMFSSKELRVVLVKETCLINKNTNHNIKISVSSGK >ONI21501 pep chromosome:Prunus_persica_NCBIv2:G2:10058742:10059077:1 gene:PRUPE_2G070200 transcript:ONI21501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSILDHTVQMNKICYTHIFLYITNDCKSTMLMEKPTQLIVFLHSLMMKNNFRNHDTFQIVPKLIHV >ONI22047 pep chromosome:Prunus_persica_NCBIv2:G2:16048737:16052400:-1 gene:PRUPE_2G103900 transcript:ONI22047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSLLFAAHIALLLLLLHPSFCFQTSAVEDSNLCGNINIKYPFQLNRDLQNCGMYTFDLSCEENVTVICLYSGKYYVKAINYSDWTIRVVDAGVQKKDNYFSNPRYSLTSFNLSDGDPFAHDLWYSNTERGVHSLAIREVPIIFISCANPMHSPRLVDTAPCINNSANSSLSSTLRIFSYVMIGLNGSIISPFDLGESCKITQMVVVSPSTSTDLHQNLSCEGIYNEIASGFELSWFNASCYLKCGMYKKNCMLDDEYCTVLYVFGFPCATALIIYKWKRRHLSMHDNIEDFLQKNKLMPVRYSSSNIKKMAKGFKEKLGEGGYGSVYKAKLRSCRLVAIKMLGKSKANGQDFINEVATIGRIHHVNVVQLIGFCIEGSKRALVYDFMPNGSLDKYLFSQQGVISLNCEKMFEIALGVARGIEYLHRGCEMQILHFDIKPHNILLDENFLPKVSDFGLMAARGTIGYIAPELFYKNIGGISYKADVYSFGMLLMEMTGRRKNLNATIEKSSQIYFPTWVFDQLSEGKDIKVGDATEEEEKIIKKMIIVALWCIQMKPSDRHSMNKVVEMLEGEIESLEMPPKPFLYPQQMPEVVPRDNSSTTSASTVTNSTEIILIADADKTM >ONI21613 pep chromosome:Prunus_persica_NCBIv2:G2:11506697:11507808:-1 gene:PRUPE_2G075600 transcript:ONI21613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLIAEAPKYKLITPSILSDRLRINGSLARKAIRDLMARGAIRMVSAHASQQIYTRATNT >ONI20555 pep chromosome:Prunus_persica_NCBIv2:G2:2161514:2167478:-1 gene:PRUPE_2G022100 transcript:ONI20555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTPSSLMLTYASSSVHPQDFTPSLVSFKPKPLFKTFCLCPLGLRPRERRPSKWVNLSLLKEAKSEPYPVAAAALTAEAEVAEDVAEDEEVKGDSSVTVAVPKPKKGKAALLLKRDRTRSKRFLEIQKLRETKKEYELQPAIALLKEMTNTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGERFDEAKSAGADLVGGDDLIQQIKEGFMEFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVTPNIPQAIAEFKQGKVEYRADKTGIVHLRFGKADFPEEDLLVNLLAAVKSVETNKPPGAKGVYWKSAHICSSMGPSIRLNIREMLDFKLPTN >ONI23880 pep chromosome:Prunus_persica_NCBIv2:G2:24508690:24513450:1 gene:PRUPE_2G213500 transcript:ONI23880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLPRSSSAPLKNGLPPQELLDDLCSRFVLNVPKEDLQSFERILFLVEYAHWFYEDNSVEKNPSLKSFNLKEFTSLLFNSCDVLKPYVAHIDDIFKDFTSYKVKVPVTGAIILDETYERCLLVKGWKGSSWSFPRGKKNKDEEDHACAIREVLEETGFDVTKLLNKDEYIEAVFGQQRVRLYIIAGVREDTAFAPLTKKEISEIAWHRLDDLQPASNDVISRGITGLKLYMVSPFLASLKSWILAHQSAIAPRTDMPLKGISMWKAKNSLIGSSNMIVESQSTKPEPDVHPPDTGLGKSFRNFRFDIAPIFQAIEAGFRA >ONI23878 pep chromosome:Prunus_persica_NCBIv2:G2:24508734:24513429:1 gene:PRUPE_2G213500 transcript:ONI23878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLPRSSSAPLKNGLPPQELLDDLCSRFVLNVPKEDLQSFERILFLVEYAHWFYEDNSVEKNPSLKSFNLKEFTSLLFNSCDVLKPYVAHIDDIFKDFTSYKVKVPVTGAIILDETYERCLLVKGWKGSSWSFPRGKKNKDEEDHACAIREVLEETGFDVTKLLNKDEYIEAVFGQQRVRLYIIAGVREDTAFAPLTKKEISEIAWHRLDDLQPASNDVISRGITGLKLYMVSPFLASLKSWILAHQSAIAPRTDMPLKGISMWKAKNSLIGSSNMIVESQSTKPEPDVHPPDTGLGKSFRNFRFDIAPIFQAIEAGFRA >ONI23879 pep chromosome:Prunus_persica_NCBIv2:G2:24508690:24513429:1 gene:PRUPE_2G213500 transcript:ONI23879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLPRSSSAPLKNGLPPQELLDDLCSRFVLNVPKEDLQSFERILFLVEYAHWFYEDNSVEKNPSLKSFNLKEFTSLLFNSCDVLKPYVAHIDDIFKDFTSYKVKVPVTGAIILDETYERCLLVKGWKGSSWSFPRGKKNKDEEDHACAIREVLEETGFDVTKLLNKDEYIEAVFGQQRVRLYIIAGVREDTAFAPLTKKEISEIAWHRLDDLQPASNDVISRGITGLKLYMVSPFLASLKSWILAHQSAIAPRTDMPLKGISMWKAKNSLIGSSNMIVESQSTKPEPDVHPPDTGLGKSFRNFRFDIAPIFQAIEAGFRA >ONI24798 pep chromosome:Prunus_persica_NCBIv2:G2:27119898:27125273:-1 gene:PRUPE_2G262800 transcript:ONI24798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSFPFTDSSQCFKKSQKGNTQKTKTEHSIMAKRKITLSLYTLVLTLSAVSLAFAVRVVPLTQPHEKPTFLRPTFNRIFDTSLYGILQLNNGLAQTPQMGWNSWNFFACNINETVIKETADALISTGLADLGYVYVNIDDCWCQTRNSEGQLVPDPKTFPSGIKALAEYLHRKGLKLGIYSDAGVFTCQVRPGSLYHENDDAKLFASWDVDYLKYDNCYNLGIPPKERYPPMREALNASGRTIFYSICEWGVDDPALWAGKLGNSWRTTDDINDSWASMTTIADLNDKWAAYAGPGGWNDPDMLEVGNGGMSYQEYRAHFSIWALMKAPLLVGCDVRNMTAETFEILSNEEVIAVNQDPLGVQGRKVSVSGTDGCYQVWAGPLSGHRLTVALWNRCSKAKTITVTWEALGLQSSISVSIRDLWEHKEVAVDTVSSFGARVDAHDCRMYIFTPQTPAQKYSLAFT >ONI24549 pep chromosome:Prunus_persica_NCBIv2:G2:26304704:26310452:-1 gene:PRUPE_2G246100 transcript:ONI24549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPGNQDLHTNDEIDGVDRIADQSNGEELENSSSSNGAESSGVGPASRSEIGLEERLTDILVDEGDGDLLLQQSNREDRVLQWLQALDMQVMGACRADERLKPLLKKNASNDVAEGRLLAQLSQHFEPAEVGMLARCFCIPLVSIRVGKINKQGTLLCPTAARGNLNLTVLPTSNLRLSFVGDDGHTDRLFTLSSKSQCSAVEVNEIPTDNSGRSFLTKIPGGRVFYFWCSETSRLLGIELLAKMKDLIKRKPSIAELTGISESRLGCFATHLRAYLVGSTVGGNAGSSAGSTSDGDTTTELSDTAQDGQFSSASPKSLRSRHSVNQATKANSSFQGSLSPRPSSFKEGLPRTLSSLRNISREKLRRRGDMYLSGIDIPTIASSITVGASCPNNAEIDKCPEVIRSCSLSSSGFLESLGKLAVPSTLNPASQVPYIVTPLLSPYYCWCPPGSSDLQFSPELPELPGSSIESALLPPLSSLLQANVPSSMLTTKPPLNLADAPLVDFPAFLPDPLVRLPRPTSQQIPTFTPLMCDPIVHIPVIDICSSGQGYLVSAGPAISTAISPLHPKLVSIPETDSMVEKGARETLRRLLMSGSTQSGSQLIDVLPAMLSNAHENRNLLVGGSRGLYSGTRDVDVIANSIAAMGLVSLSGISTRGTGLDNRSIHGNFDMQEEGSSGLDGSCSEDKGTFCSDFRVKRADE >ONI21325 pep chromosome:Prunus_persica_NCBIv2:G2:7397838:7398716:1 gene:PRUPE_2G059300 transcript:ONI21325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRSSQEFTTQSCESNPIMYEFSNRRPTVRRERERERERERERIEIENHHCLWVGWCGWVQCSVCRRERKKERGREKKIGGEGDGWVGLEGWGSSYGQRPREWGLCVFWVLRLGVGGGEKGGDGSD >ONI21557 pep chromosome:Prunus_persica_NCBIv2:G2:11002216:11002584:1 gene:PRUPE_2G072700 transcript:ONI21557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPNMYPLLVILLLASPYGMIFTSFGISISEASNSKTLEECENNISIQCAREIGKSIFESGTVTEGCCYALVYLGKTCHDLFFHYSLAYKSNVNKSKALAKSTQVWNQCNKITISPTSFAF >ONI23740 pep chromosome:Prunus_persica_NCBIv2:G2:23999621:24002075:1 gene:PRUPE_2G205300 transcript:ONI23740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSSPKGGSNIYDYSFKILLAGDSGVGKSSLLLSFISNFVQDLSPTIGVDFKIKQLLVGGKRLKLTIWDTAGQERFGTVISSYYRGAHGIILVYDVTRRETFTNLSNIWAKEVETYSTNPECIKILVGNKVDRENERAVSREEGMALAQEHKCLFLECSAKTRENVQQCFRDLTLKMLEVPSLLESRSVDVEINFEAETRRQDALQW >ONI22328 pep chromosome:Prunus_persica_NCBIv2:G2:17892111:17894939:1 gene:PRUPE_2G121700 transcript:ONI22328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIMCTSYLSGVLILAWMLTMAAAQNSGSHNYADALSKCLMFFEGQRSGKLPPSQRITWRKDSGLKDGSDVGMDLVGGYYDAGDNVKFNFPMAFTTTMLSWSVLEFGQLMGSELPHALEAIRWGSDYLLKSTSVPDSVVGVVGDPNGDHTCWERPEDMTTPRTTYVVNKEKPGSEVAAETAAALAASSMVFKDSDKFYSASLLNRSIQVFEFADKYRGSYNDSIGEGACPFYCDFSGYKDELIWGAAWLYKATKIPQYWDYVKNNIQFLGPTVVRNINGILVASLTGDVTEFGWDSKHAGINILVSQWVMTDPNNANPFIPKADQLVCSILPQSPAQKSVTYSPGGLLFKPGGSNLQHSTSLSFLLMAYAGYMKASNKVIDCGNNFVVTPASLVNFTKGQVDYILGSNPLGMSYMVGYGQKFPQKIHHRGSVNPSMENNLQQMQCHEGDLYFKSNDPNPNILVGAIVGGPAEDDTFEDSRSNVQQSEPTTYINAPFVGVLANFLKG >ONI23920 pep chromosome:Prunus_persica_NCBIv2:G2:24666054:24666702:1 gene:PRUPE_2G216200 transcript:ONI23920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMSNKLCFAVVMTMVLTVSTFAPAAYGNPSKNNIAEAPASKPDAKCDAKIIDANNLYDGNFGVAAPMASRLNEESLALMSGRMKQPETSGGVGTINGCRGNLCNFLVAPCQIGCVCVPINIGPYGFCSGVCC >ONI24494 pep chromosome:Prunus_persica_NCBIv2:G2:26189240:26191779:1 gene:PRUPE_2G243500 transcript:ONI24494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSRGLRLILLPLPFQGHINPMLQLGNLLHSKGFSITIVHTKFNSLNPSSHPHFTFHSIPVDLSESEKACRPVSLLNAKCVEPFRECLATLLSDAEEDPVACLISDPFFHFTRSVAESFELPRILIRTGGATSLVVYAAFPLLKEKGYFPIQDSRLEEPVTELSPLKVKDLPGKDNSDPESIYQLITNMANEPKASSGLIFNTFEDLEQHALATLRQETYPNIPIFPIGPFHKCDSAPSSSTGLLAEDQSCISWLNTQAPKSVVYVGFGSIAAVKEAQFLEIAWGLANSNHPFLWVVRPGLVHGSSEPLPDGFLESLNGRGHIVKWAPQKEVLAHPSVAVFWTHSGWNSTLESICEGVPMICMPCFGDQMANARYVSHVWKVGVQIEHGIERAEIERTINLLMVEKEGEEIRDRAMELMEKANLCLKEGGTSYQSLDGLVKHILSIKSFAFGKQSE >ONI20471 pep chromosome:Prunus_persica_NCBIv2:G2:1627852:1635512:-1 gene:PRUPE_2G017500 transcript:ONI20471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKKRPLQTSNSANIAPAPTDGQGKTIEEMYQKKSQLEHILLRPDTYIGSIEKHTQILWVYQNDEMVNRSISYVPGLYKIFDEILVNAADNKQRDSSMDAVKVIIDVEQNCISVYNNGAGVPVEIHQEEKVYVPELIFGHLLTSSNYDDTEKKTTGGRNGYGAKLTNIFSTEFIIETADGKRQKKYKQVFTNNMGKKTEPAITKCKESENWTRVTYKPDLSKFNMTHLEDDVVALMKKRVIDLAGCLGKTVKVELNGKRVPVKSFLDYVDLYLQSACKSRDTPLPRMTEKVNDRWEICVSLSDGQFQQVSFVNGIATIKGGTHVDYVTNQITNHVMNIVNKKNKNANLKAHNVKNYLWVFVNALIDNPAFDSQTKETLTIRPNSFGSKCELTPAFLTKVAKSGIVDSLLSWANFKQNKDLKKTDGTKTERVHNINKLEDANLAGGKNSEKCTLILTEGDSAKALAMAGLAVVGRDHYGVFPLRGKLLNVREASAIQVRDNEEIKNIKRILGLQQDKEYTSLKSLRYGSLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKIPSFLVEFITPIVKATHKNGKSLAFYSMPEYEAWKESLRGNASGWSIKYYKGLGTSTSKEGRDYFQNLAMHKKDFIWIDERDGEAIELAFSKKKIEERKNWLRQFEPSVHLDQKEKLIKYSDFVHKELIQFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIIGMAQDYVGSNNINLLQPNGQFGTRNLGGKDHASARYIYTRLSPITRFLFPKDDDRLLDYLNEDGQSIEPTWYVPIIPTVLVNGSEGIGTGWSSYIPNYNTRDIVANVRRLLNGEAMVPMDPWYRGFSGTIEKTVKESGVSYTVCGVIEEGNETTVRISELPIRRWTQDYKEFLESISQGNDKAKDPFIEGFTQHSDHSTVDIIVHLPEENLMAARQEGLLKKFKLTTSISTSNMHLFDPKGVIKKYDTPEQILEEFFHLRLEFYEKRKKVLLDNLEMELLKLENKVRFILAVVNGEIIVSNRRRADLFLELQQKGFTPFPKKTKAQEPEVAGAIEDTEETEENSESASGNGARISDYEYLISMAIGTLTIERVQALCADRDKVNNEVAELRNSTPRSLWLKDLDALEMELGELEKKKAPEIVKRKVGAVAKKAPAGKKQAKSAVVLSDDDDEVLELKDRLAAYNLDSSPENSAGKETEVPQEPVQRKEPSKRAMQIDDDEDFEVEIAAAPAAGKKGGRKAAGTTKASKPPAAAKKRGAAANKQSQASSSSGQKLLTDMLKPVETSGVSPEPKVRKMRASPFNKKSSSVMGKVSLEVEMAESEEKVDTPSTEERSDAPVAARARPQRTNRRQTRYVLSDSESEKEVSEDSDFDASEED >ONI20473 pep chromosome:Prunus_persica_NCBIv2:G2:1627852:1635512:-1 gene:PRUPE_2G017500 transcript:ONI20473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKKRPLQTSNSANIAPAPTDGQGKTIEEMYQKKSQLEHILLRPDTYIGSIEKHTQILWVYQNDEMVNRSISYVPGLYKIFDEILVNAADNKQRDSSMDAVKVIIDVEQNCISVYNNGAGVPVEIHQEEKVYVPELIFGHLLTSSNYDDTEKKTTGGRNGYGAKLTNIFSTEFIIETADGKRQKKYKQVFTNNMGKKTEPAITKCKESENWTRVTYKPDLSKFNMTHLEDDVVALMKKRVIDLAGCLGKTVKVELNGKRVPVKSFLDYVDLYLQSACKSRDTPLPRMTEKVNDRWEICVSLSDGQFQQVSFVNGIATIKGGTHVDYVTNQITNHVMNIVNKKNKNANLKAHNVKNYLWVFVNALIDNPAFDSQTKETLTIRPNSFGSKCELTPAFLTKVAKSGIVDSLLSWANFKQNKDLKKTDGTKTERVHNINKLEDANLAGGKNSEKCTLILTEGDSAKALAMAGLAVVGRDHYGVFPLRGKLLNVREASAIQVRDNEEIKNIKRILGLQQDKEYTSLKSLRYGSLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKIPSFLVEFITPIVKATHKNGKSLAFYSMPEYEAWKESLRGNASGWSIKYYKGLGTSTSKEGRDYFQNLAMHKKDFIWIDERDGEAIELAFSKKKIEERKNWLRQFEPSVHLDQKEKLIKYSDFVHKELIQFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIIGMAQDYVGSNNINLLQPNGQFGTRNLGGKDHASARYIYTRLSPITRFLFPKDDDRLLDYLNEDGQSIEPTWYVPIIPTVLVNGSEGIGTGWSSYIPNYNTRDIVANVRRLLNGEAMVPMDPWYRGFSGTIEKTVKESGVSYTVCGVIEEGNETTVRISELPIRRWTQDYKEFLESISQGNDKAKDPFIEGFTQHSDHSTVDIIVHLPEENLMAARQEGLLKKFKLTTSISTSNMHLFDPKGVIKKYDTPEQILEEFFHLRLEFYEKRKKVLLDNLEMELLKLENKVRFILAVVNGEIIVSNRRRADLFLELQQKGFTPFPKKTKAQEPEVAGAIEDTEETEENSESASGNGARISDYEYLISMAIGTLTIERVQALCADRDKVNNEVAELRNSTPRSLWLKDLDALEMELGELEKSEALAEEAKKKSRNQVKNAPAAKVSRPAPKNPRKNNKKANNAEAAAESRETSSSFVFEMEKAPEIVKRKVGAVAKKAPAGKKQAKSAVVLSDDDDEVLELKDRLAAYNLDSSPENSAGKETEVPQEPVQRKEPSKRAMQIDDDEDFEVEIAAAPAAGKKGGRKAAGTTKASKPPAAAKKRGAAANKQSQASSSSGQKLLTDMLKPVETSGVSPEPKVRKMRASPFNKKSSSVMGKVSLEVEMAESEEKVDTPSTEERSDAPVAARARPQRTNRRQTRYVLSDSESEKEVSEDSDFDASEED >ONI20474 pep chromosome:Prunus_persica_NCBIv2:G2:1628283:1635424:-1 gene:PRUPE_2G017500 transcript:ONI20474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKKRPLQTSNSANIAPAPTDGQGKTIEEMYQKKSQLEHILLRPDTYIGSIEKHTQILWVYQNDEMVNRSISYVPGLYKIFDEILVNAADNKQRDSSMDAVKVIIDVEQNCISVYNNGAGVPVEIHQEEKVYVPELIFGHLLTSSNYDDTEKKTTGGRNGYGAKLTNIFSTEFIIETADGKRQKKYKQVFTNNMGKKTEPAITKCKESENWTRVTYKPDLSKFNMTHLEDDVVALMKKRVIDLAGCLGKTVKVELNGKRVPVKSFLDYVDLYLQSACKSRDTPLPRMTEKVNDRWEICVSLSDGQFQQVSFVNGIATIKGGTHVDYVTNQITNHVMNIVNKKNKNANLKAHNVKNYLWVFVNALIDNPAFDSQTKETLTIRPNSFGSKCELTPAFLTKVAKSGIVDSLLSWANFKQNKDLKKTDGTKTERVHNINKLEDANLAGGKNSEKCTLILTEGDSAKALAMAGLAVVGRDHYGVFPLRGKLLNVREASAIQVRDNEEIKNIKRILGLQQDKEYTSLKSLRYGSLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKIPSFLVEFITPIVKATHKNGKSLAFYSMPEYEAWKESLRGNASGWSIKYYKGLGTSTSKEGRDYFQNLAMHKKDFIWIDERDGEAIELAFSKKKIEERKNWLRQFEPSVHLDQKEKLIKYSDFVHKELIQFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIIGMAQDYVGSNNINLLQPNGQFGTRNLGGKDHASARYIYTRLSPITRFLFPKDDDRLLDYLNEDGQSIEPTWYVPIIPTVLVNGSEGIGTGWSSYIPNYNTRDIVANVRRLLNGEAMVPMDPWYRGFSGTIEKTVKESGVSYTVCGVIEEGNETTVRISELPIRRWTQDYKEFLESISQGNDKAKDPFIEGFTQHSDHSTVDIIVHLPEENLMAARQEGLLKKFKLTTSISTSNMHLFDPKGVIKKYDTPEQILEEFFHLRLEFYEKRKKVLLDNLEMELLKLENKVRFILAVVNGEIIVSNRRRADLFLELQQKGFTPFPKKTKAQEPEVAGAIEDTEETEENSESASGNGARISDYEYLISMAIGTLTIERVQALCADRDKVNNEVAELRNSTPRSLWLKDLDALEMELGELEKSEALAEEAKKKSRNQVKNAPAAKVSRPAPKNPRKNNKKANNAEAAAESRETSSSFVFEMEKAPEIVKRKVGAVAKKAPAGKKQQAKSAVVLSDDDDEVLELKDRLAAYNLDSSPENSAGKETEVPQEPVQRKEPSKRAMQIDDDEDFEVEIAAAPAAGKKGGRKAAGTTKASKPPAAAKKRGAAANKQSQASSSSGQKLLTDMLKPVETSGVSPEPKVRKMRASPFNKKSSSVMGKVSLEVEMAESEEKVDTPSTEERSDAPVAARARPQRTNRRQTRYVLSDSESEKEVSEDSDFDASEED >ONI20472 pep chromosome:Prunus_persica_NCBIv2:G2:1628283:1635424:-1 gene:PRUPE_2G017500 transcript:ONI20472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKKRPLQTSNSANIAPAPTDGQGKTIEEMYQKKSQLEHILLRPDTYIGSIEKHTQILWVYQNDEMVNRSISYVPGLYKIFDEILVNAADNKQRDSSMDAVKVIIDVEQNCISVYNNGAGVPVEIHQEEKVYVPELIFGHLLTSSNYDDTEKKTTGGRNGYGAKLTNIFSTEFIIETADGKRQKKYKQVFTNNMGKKTEPAITKCKESENWTRVTYKPDLSKFNMTHLEDDVVALMKKRVIDLAGCLGKTVKVELNGKRVPVKSFLDYVDLYLQSACKSRDTPLPRMTEKVNDRWEICVSLSDGQFQQVSFVNGIATIKGGTHVDYVTNQITNHVMNIVNKKNKNANLKAHNVKNYLWVFVNALIDNPAFDSQTKETLTIRPNSFGSKCELTPAFLTKVAKSGIVDSLLSWANFKQNKDLKKTDGTKTERVHNINKLEDANLAGGKNSEKCTLILTEGDSAKALAMAGLAVVGRDHYGVFPLRGKLLNVREASAIQVRDNEEIKNIKRILGLQQDKEYTSLKSLRYGSLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKIPSFLVEFITPIVKATHKNGKSLAFYSMPEYEAWKESLRGNASGWSIKYYKGLGTSTSKEGRDYFQNLAMHKKDFIWIDERDGEAIELAFSKKKIEERKNWLRQFEPSVHLDQKEKLIKYSDFVHKELIQFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLASTIIGMAQDYVGSNNINLLQPNGQFGTRNLGGKDHASARYIYTRLSPITRFLFPKDDDRLLDYLNEDGQSIEPTWYVPIIPTVLVNGSEGIGTGWSSYIPNYNTRDIVANVRRLLNGEAMVPMDPWYRGFSGTIEKTVKESGVSYTVCGVIEEGNETTVRISELPIRRWTQDYKEFLESISQGNDKAKDPFIEGFTQHSDHSTVDIIVHLPEENLMAARQEGLLKKFKLTTSISTSNMHLFDPKGVIKKYDTPEQILEEFFHLRLEFYEKRKKVLLDNLEMELLKLENKVRFILAVVNGEIIVSNRRRADLFLELQQKGFTPFPKKTKAQEPEVAGAIEDTEETEENSESASGNGARISDYEYLISMAIGTLTIERVQALCADRDKVNNEVAELRNSTPRSLWLKDLDALEMELGELEKKKAPEIVKRKVGAVAKKAPAGKKQQAKSAVVLSDDDDEVLELKDRLAAYNLDSSPENSAGKETEVPQEPVQRKEPSKRAMQIDDDEDFEVEIAAAPAAGKKGGRKAAGTTKASKPPAAAKKRGAAANKQSQASSSSGQKLLTDMLKPVETSGVSPEPKVRKMRASPFNKKSSSVMGKVSLEVEMAESEEKVDTPSTEERSDAPVAARARPQRTNRRQTRYVLSDSESEKEVSEDSDFDASEED >ONI21780 pep chromosome:Prunus_persica_NCBIv2:G2:13974012:13974648:-1 gene:PRUPE_2G088600 transcript:ONI21780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHSSPPSPSFPTSSSSSSSSSSSFFTQSWTYDVFLSYRGEDTRKTFIDHLYTALDRQGIHTFIDDELPRGEEISPALLNAIEESKISVIVFSENYASSSWCLDELVKILEYKKSKQQLVMPIFYKVDPSDVRNQRSSFGDALVDHEHKFKDDMEKVLEWRRALTEAANLSGLHFKEGGT >ONI23683 pep chromosome:Prunus_persica_NCBIv2:G2:23848561:23850429:-1 gene:PRUPE_2G202200 transcript:ONI23683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSTTTTEAWVLDLLPEFRFRPSDEKMVNLLWKKINGKDYQVMPEINYKPWDLPDNESIPLFLRFLKQLMQGKASIIPEIDFYKHEPWDLAGNLSLSLFTFHFLISKTCFVLHFAGAGLMFPDSPYQARTWFCFSRPDYKYVDSPRRNRLTEKGFWKITGQPREVKSRQLSKSLTCKKKTLTFHLGRPKKSSKTDWVKQEYYLTPNDPGSNPNQLSGLVLYRVKYRSADYESDNNYVLGTGNLNDGEPGGFVSSDFDDMIQEPCDQQEHLDSPSPPPEPPRPHQLPQLGNVHGSTGDYIASNSDNQAAAIHHMITDEEELLAYKELERVLLGSGNPDDGEARTCVSSDMLRELCAQLGEDLDSPFPPPGPPELGNAPDVYTDECRSWPSPIEDNDSSLPNKNNIPTNYDSKPVSNTASNFENQTKDERIPEVYSQSEENLQSFFRSLEVENYTLPSPILYVEQGDVLHANNYIGCNESQYAALFPQSS >ONI25280 pep chromosome:Prunus_persica_NCBIv2:G2:28558095:28560191:-1 gene:PRUPE_2G293000 transcript:ONI25280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLWETLKEAIVAYTGLSPATFFTLLALLVAIYHVISGLFGSSDNHQTPRNMEEMQPLAPPVQLGEITEDELKQYDGTDSKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEDKDLTGDISGLGPFELDALQDWEYKFMSKYVTVGTIKKAETDGEATGETTKAAEDIPSESSAVKSEETSTSAEAKQE >ONI21703 pep chromosome:Prunus_persica_NCBIv2:G2:12978787:12979366:1 gene:PRUPE_2G082400 transcript:ONI21703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISTRRGNCIQINKVTGTLATKIIGITIHDSSWITMKRAEEKATIMLAIEMNKVERPIIIFVGKDLRKSCSA >ONI23590 pep chromosome:Prunus_persica_NCBIv2:G2:23432175:23432823:1 gene:PRUPE_2G197000 transcript:ONI23590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWVGRYKGVHPTEDQARHRTSSSSSSNSLRIKVRMTAKQFKELMAEVDATKIPNSNSELGRLILRECLEGRSCARVVAAAENHEQHARGWKLSTIHDHSS >ONI22113 pep chromosome:Prunus_persica_NCBIv2:G2:16638157:16640944:-1 gene:PRUPE_2G108100 transcript:ONI22113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQTRRRLAQSVKRGRKMGRRAASRNEEMAHMPQGTNPSPERVEPRPEAPRLEMIEQTNSKLPEAPRLEMIQQTNSKLPIEEQGTKTSRKRPKRSDAVVRRSLRIQDSIVPTQNQNMEHVIEDTTVSEGENEDEQPALKMHGSTSGEKSLEEKVNYLVQLLDTMNSKARNKNSDFCESPASMYKSLYADSQKIKALETENHQLSLKLEVALAKLEAYENGTRAFSEMADKLKDLILVSNLAKATETAVNLSSQTARGACSRKKKTALGVVDPQEDVLSHLINPKGPTVEGPKIAAKRKK >ONI22114 pep chromosome:Prunus_persica_NCBIv2:G2:16637449:16640944:-1 gene:PRUPE_2G108100 transcript:ONI22114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQTRRRLAQSVKRGRKMGRRAASRNEEMAHMPQGTNPSPERVEPRPEAPRLEMIEQTNSKLPEAPRLEMIQQTNSKLPIEEQGTKTSRKRPKRSDAVVRRSLRIQDSIVPTQNQNMEHVIEDTTVSEGENEDEQPALKMHGSTSGEKSLEEKVNYLVQLLDTMNSKARNKNSDFCESPASMYKSLYADSQKIKALETENHQLSLKLEVALAKLEAYENGTRAFSEMADKLKDLILVSNLAKATETAVNLSSQTARGACSRKKKTALGVVDPQEDVLSHLINPKGPTVEGPKIAAKRKK >ONI23763 pep chromosome:Prunus_persica_NCBIv2:G2:24087331:24087958:1 gene:PRUPE_2G206700 transcript:ONI23763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKQKFSWKFLFLMKNNVKTLKILEKMHHGRKTPLSHALFCTIHTAREIHFSTTPPANKKGDEENRD >ONI22295 pep chromosome:Prunus_persica_NCBIv2:G2:17740016:17743046:1 gene:PRUPE_2G119600 transcript:ONI22295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIMLSVLFGGFVLLLAYLYESLLEKQGIRGPSPSSILLGNIPDMNRIKLKEMKLRATKETRSGTISKDHPLTIAHDLTSTLFPHLVQWRNDYGPNFTYLSGRIQQLTLTDVETVREVSLCKSLHLGKPAYLLSQDHKPLFGKGILASSGPIWSHQRKIIAPEFYFEKVKIQWVQNSLFNFKGMMDLMVDSTATLLRSWESKIESEGGIAVLRVDEEMRSLSADIISRACFGSSYSEGKEIFLKLRTLQRIMSQGNIGIPGIREYGDDCKNVYFAGHETSAVTTSWSLMLLAANPEWQARARAEVLELCRDGVPDADALRSMKTLNMVIQETLRLYPPAVFVVRQAFEDIELNNILIPKGMNIQIPVPMLQQLPDVWGPDALEFNPKRFEHGVLGACKFPQAYIPFGFGPRICVILSLILSKFCFSLSHAYQHCPAFRLVIEPDHGLNLHMRRV >ONI20900 pep chromosome:Prunus_persica_NCBIv2:G2:4224262:4225244:1 gene:PRUPE_2G039400 transcript:ONI20900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSHLLDTSYNLKCEFSSTTGKMYRALAQVLAPPPFFLRLLIRLAMSFVFPWLFFDCLLRLYGCLGGFDSSRGDEFGLLAFVYCCEF >ONI23368 pep chromosome:Prunus_persica_NCBIv2:G2:22617256:22618883:1 gene:PRUPE_2G184700 transcript:ONI23368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENKYTTPHVVMVPSPGMGHLIPLVELAKLFVHHHNFTVTFIIPTIGSHLPKPQISILQSLPPQSTTYFFLPPISFDYLPQETKAETKITLAVTRSISSIRDVFQSLAENANLVALVVDIFGTDAFDVAHQFNVPPYLYFPSMTMGLILLLYMPKLDSLVSSEYRDLAEPVKLPGCTPICGRDFPEPFQDRRDEAYKLFLHHGKRVGLAEGIILNSFMELEPDPIKALQDDTELCRPPVYPIGPLIQTGSCGWAEPAGGLEYLRWLDDQPTGSVLFVSFGSGGTLSSEQLTELALGLEMSGTKFLWVVRSPNNKASNASFFSVHSQTDPLGCLPYGFVERTKRQGLSVPSWAPQTEVLGHGSVGGFLSHCGWNSILESIVNGVPLIAWPLYAEQKMNAIVLTEVLKVALRPKADENGIVGREEIARVVKELMEGEEGFRVRDQMNYWKEAAVKAKSEEGSSTKSLSELVIKWKKHKK >ONI25803 pep chromosome:Prunus_persica_NCBIv2:G2:29865799:29868502:-1 gene:PRUPE_2G320900 transcript:ONI25803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGLLKKWFKWSELVLAMVMVQIFVTGMQLLSKVILREGTFIFALMAYRHIVAAICVAPFAFFFESSVKQIKLGWSVWFWLFVNALTGITSAMGLFYYGLRDTTPTYATNFLNLIPIATFVLSIITSIDKLNLQTRAGKVKTFGVIVCVGGALTASLYKGKAFHMRQHSHHSHITVNTTYAHWTRGTIMLAGSCLSCSTWFIVQAKLLKIFPFKYWATMLTCIMATLQSTGIGLFFDRRAASWRLGWNLQLVTIIYSGALATAATFCLISWAISVQGPLYPPMFNPLSLIFVALSSALILGEEIRIGTLLGMILIMFGLYSFLWGKRKEMKSPDLPKSEAPVVAKTNGELPAATGLQLSPGC >ONI25801 pep chromosome:Prunus_persica_NCBIv2:G2:29865799:29868053:-1 gene:PRUPE_2G320900 transcript:ONI25801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGLLKKWFKWSELVLAMVMVQIFVTGMQLLSKVILREGTFIFALMAYRHIVAAICVAPFAFFFESVKQIKLGWSVWFWLFVNALTGITSAMGLFYYGLRDTTPTYATNFLNLIPIATFVLSIITSIDKLNLQTRAGKVKTFGVIVCVGGALTASLYKGKAFHMRQHSHHSHITVNTTYAHWTRGTIMLAGSCLSCSTWFIVQAKLLKIFPFKYWATMLTCIMATLQSTGIGLFFDRRAASWRLGWNLQLVTIIYSGALATAATFCLISWAISVQGPLYPPMFNPLSLIFVALSSALILGEEIRIGTLLGMILIMFGLYSFLWGKRKEMKSPDLPKSEAPVVAKTNGELPAATGLQLSPGC >ONI25800 pep chromosome:Prunus_persica_NCBIv2:G2:29865799:29868053:-1 gene:PRUPE_2G320900 transcript:ONI25800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGLLKKWFKWSELVLAMVMVQIFVTGMQLLSKVILREGTFIFALMAYRHIVAAICVAPFAFFFESVKQIKLGWSVWFWLFVNALTGITSAMGLFYYGLRDTTPTYATNFLNLIPIATFVLSIITSIDKLNLQTRAGKVKTFGVIVCVGGALTASLYKGKAFHMRQHSHHSHITVNTTYAHWTRGTIMLAGSCLSCSTWFIVQAKLLKIFPFKYWATMLTCIMATLQSTGIGLFFDRRAASWRLGWNLQLVTIIYSGALATAATFCLISWAISVQGPLYPPMFNPLSLIFVALSSALILGEEIRIGTLLGMILIMFGLYSFLWGKRKEMKSPDLPKSEAPVVAKTNGELPAATGLQLSPGC >ONI25802 pep chromosome:Prunus_persica_NCBIv2:G2:29865882:29867730:-1 gene:PRUPE_2G320900 transcript:ONI25802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGLLKKWFKWSELVLAMVMVQIFVTGMQLLSKVILREGTFIFALMAYRHIVAAICVAPFAFFFESSVKQIKLGWSVWFWLFVNALTGITSAMGLFYYGLRDTTPTYATNFLNLIPIATFVLSIITSIDKLNLQTRAGKVKTFGVIVCVGGALTASLYKGKAFHMRQHSHHSHITVNTTYAHWTRGTIMLAGSCLSCSTWFIVQAKLLKIFPFKYWATMLTCIMATLQSTGIGLFFDRRAASWRLGWNLQLVTIIYSGALATAATFCLISWAISVQGPLYPPMFNPLSLIFVALSSALILGEEIRIGTLLGMILIMFGLYSFLWGKRKEMKSPDLPKSEAPVVAKTNGELPAATGLQLSPGC >ONI25804 pep chromosome:Prunus_persica_NCBIv2:G2:29866338:29867730:-1 gene:PRUPE_2G320900 transcript:ONI25804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGLLKKWFKWSELVLAMVMVQIFVTGMQLLSKVILREGTFIFALMAYRHIVAAICVAPFAFFFESSVKQIKLGWSVWFWLFVNALTGITSAMGLFYYGLRDTTPTYATNFLNLIPIATFVLSIITSIDKLNLQTRAGKVKTFGVIVCVGGALTASLYKGKAFHMRQHSHHSHITVNTTYAHWTRGTIMLAGSCLSCSTWFIVQAKLLKIFPFKYWATMLTCIMATLQSTGIGLFFDRRAASWRLGWNLQLVTIIYSDKLCASC >ONI22656 pep chromosome:Prunus_persica_NCBIv2:G2:19873823:19874620:-1 gene:PRUPE_2G142500 transcript:ONI22656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHTRSNSFPSRPHPIIQEVDEHLCRLRSSEAASTSSSSICHKLSGLEDLHDCVDKLLQLPLTQQALAKEQNEKWTNELLDGSLRLLDGCSSAKDAILQTKECVQDLQSIIRRTRGGESGALTSEVRKYLTSRKMVKKTIQKAMKNLKGIENRSTFSSPNQDNESIAIVNKLREVEAVTLAVFESLLSFISGPKSQPSSWSLVSKMLHSKKVACEEETEANEFAKVDAALNSLIGYKTSKSQYKSVENEQNQLEKLELSAYSGN >ONI24732 pep chromosome:Prunus_persica_NCBIv2:G2:26952106:26953266:-1 gene:PRUPE_2G258900 transcript:ONI24732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTGDQNESFQFSPQSIDCFGPTVLVEGCDRDCSISWVHAWTVTHGIVTQVREYFNTSLTVTRLGKNTSPSSSAQSSPSSFSSKSSSSTAEITSFHCPSVWESSVSDRVGKSVPGLVLAI >ONI25921 pep chromosome:Prunus_persica_NCBIv2:G2:30136615:30138982:-1 gene:PRUPE_2G326800 transcript:ONI25921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLMEKVSAFGERLKIEGSEVGRKMSAGLSSVSFKVKELFQGPNQADKIVEDATSEALDEPDWAMNLDICDMINTERVNSVELVRGIKKRIMLKNPRVQYLSLVLLETCVKNCEKAFSEIAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESTGELRYLPVYEETYKSLRSRGIRFPGRDNESLAPIFTPPRSVSASESDASLSQQIPLDIPVQGFTAEQTKEAFDVARNSIELLSTVFSSSTQQDALQDDLTATLVQQCRQSQSAVQRIIETAGENEALLFEALNVNDEIHKVLSKYEELMKPLVVPTEPEPAMIPVAVEADESPSHAKEDSLIRKPAASRGLVHAGSHDDMMDDLDEMIFGKKGGSSSEGNQDPKKQQPAAKDDLISF >ONI20302 pep chromosome:Prunus_persica_NCBIv2:G2:731876:736962:1 gene:PRUPE_2G007900 transcript:ONI20302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLALSLQPANGSDILLQTREWFPPARALVAVSSFRQTRLAFAVAKQQQLHTPKDQQHNNSESSSSDATADSIASLGDDPLAASSGQLIVGVESRYRVVYRLVNSIYVLGVTTADHDNSINVFECIHIVNQAVSVIVTACRGVDVTPEKLGRKYAEIYMALDIVLRGVSNIRLAAMLSSMHGDGIAKMVHSALDTENKIRGAENWRAVEAHSVEHEVGIEAFNNLGFELPPETIAAGDEVAASLAPVVQSEEKDLKLENEEETQVEKDPFAASEKINQPEELVSGFKKNKDPSATDLTLALATLEVTTLPPAEATQSTHIAVEGFEGEYGGIEFGLDQASLGETFEGFSDAWGGGLDASEFVGTKKVAKKEGLGGLELLQTGPDAPKTADAAAGGAAAGSPLDTLVKSEMKGPEMHIVEEISAEFRESLLARVGLMGVVYLKTLPTKTSGDKETEFSFRVEGTSAVKRFIMQNSRVSSLGNGMFHVRTAASDEPLPVLKYSLLPRLTPLPLRVRLVQRHTGSLLSVMIQYVSNPDLPAPLTDVTFVLKLPLDPTLLKVSPKAVLSRSEKELKWHVPEIPLNGSPGRLRARMPVDSNEGDGSEDIEVIAHVKFSWQGNRSLSGVCLRPASEGNTDFYEVNHRYESGVYMCN >ONI23416 pep chromosome:Prunus_persica_NCBIv2:G2:22853717:22856097:-1 gene:PRUPE_2G188200 transcript:ONI23416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSWSLALRFACRLCVILALVLDTKISVVADDDSNVPGVNSAGVGGGVSELCDNELSSFMLPPYGNLSDIVCRPIWNTFVLRYSQTEDHVMNIILSAVYTTGWVGLGFSKDGMMVGSSAMVGWVNRKGQARIKQYYLQGSKVSEVIPDKGELPLTGIPASLVLHGPRIYLAFQLKFPTHLARQPIILAVGSGYPKHHHLTKHNDKTTILFDFSAGSASEAPTNFGQMKKNHGILAIFGWGLIIPVGAIVPRYFKHKDPLWYYLHALIQFVGFVIGLAAVVLGQQLYTKIGANFPTHRAIGIFVLVLSILQILAFFLRPNQDAKIRKYWNWYHHWLGRFAVFFAAVNILLGIKIGAAGNDWKIGYGFLLSVILLSVIVLEALARMRRTEKDTLPSNFQMNPVQ >ONI24362 pep chromosome:Prunus_persica_NCBIv2:G2:25791002:25792875:1 gene:PRUPE_2G236200 transcript:ONI24362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVTLAVDTVAETIENETVKSKVPSVSVPSAEGEILQSSNLKCFGFNELKTATRNFRPDNMVGEGGFGLVFKGWIDENSLTAAKSGTGMAIAVKRLNQEGLHGQKEWLDSCRFLAYEFMPRGSLDNHLFRRYFQSQPLPWSLRIKIALGAAKGLAFLHSDEAKVIYGNFKTSKILVDSNYNAKLSGYGLAKEGLQGYSMLQLSDCSCSNSNKFYHEEALDANRPSGKQDLVQHARSARKCELHRLFNARIEGQYSSDEARKAFNLAMKCLSTDSKFRPDMNEVVKLLEQLQSSSEMEGLAARASLKFYLAKNFMPIQAMYGPNRRQKNVNRISCATST >ONI20327 pep chromosome:Prunus_persica_NCBIv2:G2:877242:877575:-1 gene:PRUPE_2G009500 transcript:ONI20327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNFILTVAGVSAVVLLLRSDVKQSATIFRRNVKLGHGLLPKIPPKITKELESKVSPKEIPKEDKH >ONI20309 pep chromosome:Prunus_persica_NCBIv2:G2:756487:758728:1 gene:PRUPE_2G008400 transcript:ONI20309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFQYYFICLILCFLSTILIKSFFNKPTKQTTTLCLPPSPPALPVIGHLHLLSSSLSKSLHNLSTKYGPLLLVRFGASRMLVVSSASMATEIFKTHDLTFASRPSFAFADELPYGNLGFFATPYGDYWRFMKKLCMTELLSPKQIERSRAIRHAEVAKFLRKMLESATRKEMVDVGAELMRLTNNSICRMAMSTSCSENGEEAEKIRELVKESFELAAKVCFGDVLGPLKRLGFWVYGKQLMDVTMGYDKLLEGMLKQHEERAEREGWDREDKDLMDILLKAYQDDKAEVKISRTHVKAFLLDLFIGGTATTAEAMQWAVAELINHPDKFNKLRVEIKSVVGSRLVEESDVGNLPYLQAVIKETLRLHPPVPLSTRESRQACKIKEFDIPEKTAVAINQYAIMRDPELWDNPDDFRPERFLSPEEKADGEMNQKETRGQNFQYVPFGSGRRRCPGSNLATILLNTSIAAMVQCFDWKVGDGNEGKVNMQVGAGMSLPMAHPLILLPVDHFNPFASST >ONI20894 pep chromosome:Prunus_persica_NCBIv2:G2:4172004:4174753:1 gene:PRUPE_2G038800 transcript:ONI20894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSFVAFSLCLLFFSIAQGLSNNPQCSEAKDQGSNLQVFHVYSPCSPFRPTKPMSWEESVLQMQAKDQARLQFLSSLVARKSVVPIASGRQIIQSPTYIVRAKIGTPPQTLLMAVDTSNDAAWVPCNGCVGCGSNVFNSAKSTTYKTLGCQTAQCKQVPNPTCLGSTCSFNTSYGSSTLAANLSQETFTLATDAVPGYTFGCLQKTTGSSVPPQGLLGLGRGPLSLLSQTQNLYQSTFSYCLPSFKSLNFSGSLRLGPVGQPIRIKYTPLLKNPRRSSLYYVNLNAIRVGRRIVDIPPAALAFNPTTGAGTIIDSGTVFTRLVEPAYVAVRNEFRRRVGKYPFQTLGGFDTCYSVPFVVPTITFMFSGMNVTLPEDNIVIHSTAGSITCLAMAAAPDNVNSVLNVIANMQQQNHRVLIDVPNSRLGVARERCT >ONI25298 pep chromosome:Prunus_persica_NCBIv2:G2:28617153:28618798:1 gene:PRUPE_2G294400 transcript:ONI25298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHDQEHNRDPQHLGTHQGEDEDHHEKKSVLKKMKEKAKKIKDTLTKPGHGHDHQEGHIPDDHDLDEEDDEADEDAEKHGATSIRSGVPEQGDILKVPQVKFGDTKAIHDDLVGSNLESTDVKISDPMRDYVQPEPGVMLMHDDVLEPMDYSGSTMGSVLGGHEEISGQPNVNFGRTSEMGGGLHAPQNTPVSSLGEYKSKVTDPGHTFAHGKEGHLGQSRVDTTLVSSLGEYKSKVTDPSQTFAHGKEGHLGQSRVDTTPVASLGEYKSKVTDPSQTSAHGKEGRFGKEGHFGQSRVDTTPVASLGEDKSKVTDPSQTSAHGKEGRFGKEGHFGQSRVDTTPVASLGEYKSKVTDPSQTSAHGKEGHLGQSRVDTTPVSSLEEYKSKVTDPSQTFAHGKEGHLGQSGVDTTPVSSLETFASGNEGHLGQSRVNLERPRGLEEDPNPQAYTPSNYQNKVTDPTNAGNCGILYILSLSFATK >ONI25296 pep chromosome:Prunus_persica_NCBIv2:G2:28616924:28620296:1 gene:PRUPE_2G294400 transcript:ONI25296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHDQEHNRDPQHLGTHQGEDEDHHEKKSVLKKMKEKAKKIKDTLTKPGHGHDHQEGHIPDDHDLDEEDDEADEDAEKHGATSIRSGVPEQGDILKVPQVKFGDTKAIHDDLVGSNLESTDVKISDPMRDYVQPEPGVMLMHDDVLEPMDYSGSTMGSVLGGHEEISGQPNVNFGRTSEMGGGLHAPQNTPVSSLGEYKSKVTDPGHTFAHGKEGHLGQSRVDTTLVSSLGEYKSKVTDPSQTFAHGKEGHLGQSRVDTTPVASLGEYKSKVTDPSQTSAHGKEGRFGKEGHFGQSRVDTTPVASLGEDKSKVTDPSQTSAHGKEGHLGQSRVDTTPVSSLEEYKSKVTDPSQTFAHGKEGHLGQSGVDTTPVSSLETFASGNEGHLGQSRVNLERPRGLEEDPNPQAYTPSNYQNKVTDPTNAGGEEVGITPILHSLDKMNIHDGTEQSSYTDKIPSAPSAIADKAISAKNVVGSKLGYGGNNDQHGDIEPQACTASSNAGGGDEQKPHQNLSTGSHDQFSAERPSNQSSTYTEKISSATSAIADKVISAKNVVASKVGYGGNNDDDHHQEVTAKPGGPTSPEPHGKGVSQSKAGLDKGVSVKDYFAEKLKPGEEDRALSEVISEALHKPKAEDSARPVGKVTESEEVTRRLGPDCGIERVEQSSYGKIVSDAVKGAVGSLFGKVDENPSSQQSTGSSTGGTDGLSSSGSGAAEHPSLQGSGN >ONI25297 pep chromosome:Prunus_persica_NCBIv2:G2:28616924:28620296:1 gene:PRUPE_2G294400 transcript:ONI25297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHDQEHNRDPQHLGTHQGEDEDHHEKKSVLKKMKEKAKKIKDTLTKPGHGHDHQEGHIPDDHDLDEEDDEADEDAEKHGATSIRSGVPEQGDILKVPQVKFGDTKAIHDDLVGSNLESTDVKISDPMRDYVQPEPGVMLMHDDVLEPMDYSGSTMGSVLGGHEEISGQPNVNFGRTSEMGGGLHAPQNTPVSSLGEYKSKVTDPGHTFAHGKEGHLGQSRVDTTLVSSLGEYKSKVTDPSQTFAHGKEGHLGQSRVDTTPVASLGEYKSKVTDPSQTFAHGKEGHLGQSGVDTTPVSSLETFASGNEGHLGQSRVNLERPRGLEEDPNPQAYTPSNYQNKVTDPTNAGGEEVGITPILHSLDKMNIHDGTEQSSYTDKIPSAPSAIADKAISAKNVVGSKLGYGGNNDQHGDIEPQACTASSNAGGGDEQKPHQNLSTGSHDQFSAERPSNQSSTYTEKISSATSAIADKVISAKNVVASKVGYGGNNDDDHHQEVTAKPGGPTSPEPHGKGVSQSKAGLDKGVSVKDYFAEKLKPGEEDRALSEVISEALHKPKAEDSARPVGKVTESEEVTRRLGPDCGIERVEQSSYGKIVSDAVKGAVGSLFGKVDENPSSQQSTGSSTGGTDGLSSSGSGAAEHPSLQGSGN >ONI25295 pep chromosome:Prunus_persica_NCBIv2:G2:28616924:28620296:1 gene:PRUPE_2G294400 transcript:ONI25295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHDQEHNRDPQHLGTHQGEDEDHHEKKSVLKKMKEKAKKIKDTLTKPGHGHDHQEGHIPDDHDLDEEDDEADEDAEKHGATSIRSGVPEQGDILKVPQVKFGDTKAIHDDLVGSNLESTDVKISDPMRDYVQPEPGVMLMHDDVLEPMDYSGSTMGSVLGGHEEISGQPNVNFGRTSEMGGGLHAPQNTPVSSLGEYKSKVTDPGHTFAHGKEGHLGQSRVDTTLVSSLGEYKSKVTDPSQTFAHGKEGHLGQSRVDTTPVASLGEYKSKVTDPSQTSAHGKEGRFGKEGHFGQSRVDTTPVASLGEDKSKVTDPSQTSAHGKEGRFGKEGHFGQSRVDTTPVASLGEYKSKVTDPSQTSAHGKEGHLGQSRVDTTPVSSLEEYKSKVTDPSQTFAHGKEGHLGQSGVDTTPVSSLETFASGNEGHLGQSRVNLERPRGLEEDPNPQAYTPSNYQNKVTDPTNAGGEEVGITPILHSLDKMNIHDGTEQSSYTDKIPSAPSAIADKAISAKNVVGSKLGYGGNNDQHGDIEPQACTASSNAGGGDEQKPHQNLSTGSHDQFSAERPSNQSSTYTEKISSATSAIADKVISAKNVVASKVGYGGNNDDDHHQEVTAKPGGPTSPEPHGKGVSQSKAGLDKGVSVKDYFAEKLKPGEEDRALSEVISEALHKPKAEDSARPVGKVTESEEVTRRLGPDCGIERVEQSSYGKIVSDAVKGAVGSLFGKVDENPSSQQSTGSSTGGTDGLSSSGSGAAEHPSLQGSGN >ONI21981 pep chromosome:Prunus_persica_NCBIv2:G2:15541608:15542540:-1 gene:PRUPE_2G099700 transcript:ONI21981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDGRCLKLFLALSILFLQNVKGGEVGHSYNHSHSLRDAKVTVRCIERERQALLAFKRGLVDHFNHLSSWGKIISHKLIELQHLQYLDLTFIDFNGSQIPDFIGSLTNLRYLSLYNCNLVGQIPSSFGNLTHLQHLYLGHNQLQAENLNWLPALSSLTYLDLSGANLSTVFDWPEAVLNKLPKLVDLTLENCSLPPLPTTILSTILYKTNCSTSLENVYLSDNHVTSSIFLWLSNYSTSLVEYLDCKGSKKCTERERERERAVSLRLF >ONI20313 pep chromosome:Prunus_persica_NCBIv2:G2:777291:785682:1 gene:PRUPE_2G008800 transcript:ONI20313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYTAQINVLGTAHGSSFKSPNFTTPRALFLGQRLGQTSPSNGFMFMTPTSNFVRRVGPLRIVNKKVEDIDLGTTNSVVGAFEKLKDAEKQRINELEEFDNKANMQLERQLVMASNWSRALLIMRGKLRGSEWDPENSHRIDFSDFWRLLNSNNVQFMEYSNYGQTISVILPYYKDEKMEGAKGNSKKEVIFRRHVVDRMPIDSWNDVWQKLHQQIVNVEVLNVDTVPAEIYSTVATAVIWSMRLALSIVLYLWIDNMMRPIYAKLIPCDLGTPSKKTRQPLKRRALGSLGKSRAKFISAEESTGITFDDFAGQEYIKRELQEIVRILKNDEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFASARKFSPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKEFTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEEKEVLLQEIAELTEDFTGAELQNILNEAGILTARKDLDFIGREELLEALKRQQGTFETGQEDSTEIPEELKLRLAYREAAVAVLACYFPDPYHPFTETDIKSIRSQPNMRYTEISGKVFSRKSDFVHSIVRACAPRVIEEEMFGVDNLCWISAKATLEASRLAEFLILQTGMTAYGKAYYRNQSDLVPNLAAKLEALRDEYMRYAEEKCSSVLREYHSAVETITDILLEKGEIKAEEIWDIYKRSPRIPQPAVRPVDEYGALIYAGRWGIHGVTLPGRVTFSPGNAGFSTFGAPRPMETQRVNDKTWKLIDNIWDERVQEIKAEASAEVEEDKEVPQLLMASHFF >ONI20459 pep chromosome:Prunus_persica_NCBIv2:G2:1569402:1573977:-1 gene:PRUPE_2G016700 transcript:ONI20459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKKIHSFQKISIVGPCTLKLKELFGKVAKYAFGFFLMYALCNISHFLLKPYSQPRITSDTVIGLLIGNIGFIRNQVTDSASKTLNFIVDFGMICYMFVLGIEMNPYILFKEPTKDAKVAYGGMLSTFILACAITPFMHFTEGFKVDFTLSLSTVLSSTASPVLTRLITSLKIGKSDIGQLVIAAGMHADFVTTLLLSIGYVFCPVESGNKVVETSKRVRRSIEMGSALVIQTLFAAYVSPFFMNWVNNENPEGKPIKGSHLVLALAFMALVISCSPIYGYSPVLSAFMAGTFLPREGRVSKWVVGKINYLLTTIFYPIFFFWMGYEAVFKDFQPGQFGTWGRLFVVIAIATIGKVTGTVISGKLLGFNWPESVALGLLLTTKGHFHIYLAIAAKTAGKTSTSTSTVMVIAIFFTVVHAPSVVAQIIKRARKRVPTHRMSLQLLDPSSELRILLCLNGAQNVCTTINFIEISRGTADPGILVYVTDMIELTDEIAATLVKEEGVDTMTVTHTGVKEMRDQVTTAVQAYVGESGEGITLRRLLALSTFNGLPQDICILAEDSMVAIIILPFHKVQREDGTLDNGHSGFRYVNRKVLKSAPCSVGILVDRGLGLIEKLRRTYDHSVSVAVIFIGGKDDREALAYAGRVARHSGVKLTVIRFLVDASSEAATRPGNYTVSSSEKEEEMKLDDECFASFYERHVSCGQVAYMEKHLANSAETYTTLRALDGQYSLIIVGRGERVNSVLTFGMNDWQQCPELGPIGDVLSGSDFSAITSVLIIQENSLKGQLDGLDDDFSIM >ONI20458 pep chromosome:Prunus_persica_NCBIv2:G2:1569250:1573977:-1 gene:PRUPE_2G016700 transcript:ONI20458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKKIHSFQKISIVGPCTLKLKELFGKVAKYAFGFFLMYALCNISHFLLKPYSQPRITSDTVIGLLIGNIGFIRNQVTDSASKTLNFIVDFGMICYMFVLGIEMNPYILFKEPTKDAKVAYGGMLSTFILACAITPFMHFTEGFKVDFTLSLSTVLSSTASPVLTRLITSLKIGKSDIGQLVIAAGMHADFVTTLLLSIGYVFCPVESGNKVVETSKRVRRSIEMGSALVIQTLFAAYVSPFFMNWVNNENPEGKPIKGTFLPREGRVSKWVVGKINYLLTTIFYPIFFFWMGYEAVFKDFQPGQFGTWGRLFVVIAIATIGKVTGTVISGKLLGFNWPESVALGLLLTTKGHFHIYLAIAAKTAGKTSTSTSTVMVIAIFFTVVHAPSVVAQIIKRARKRVPTHRMSLQLLDPSSELRILLCLNGAQNVCTTINFIEISRGTADPGILVYVTDMIELTDEIAATLVKEEGVDTMTVTHTGVKEMRDQVTTAVQAYVGESGEGITLRRLLALSTFNGLPQDICILAEDSMVAIIILPFHKVQREDGTLDNGHSGFRYVNRKVLKSAPCSVGILVDRGLGLIEKLRRTYDHSVSVAVIFIGGKDDREALAYAGRVARHSGVKLTVIRFLVDASSEAATRPGNYTVSSSEKEEEMKLDDECFASFYERHVSCGQVAYMEKHLANSAETYTTLRALDGQYSLIIVGRGERVNSVLTFGMNDWQQCPELGPIGDVLSGSDFSAITSVLIIQENSLKGQLDGLDDDFSIM >ONI24011 pep chromosome:Prunus_persica_NCBIv2:G2:24800218:24801715:1 gene:PRUPE_2G218800 transcript:ONI24011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALSKLVNLNNPDLVLFVGEALVGNDVVDQLSKFDQLLDEMIDNGFPLTTEPNIMREMIALPNIVNKMLSVVTGNSSNISDTLLEVSCYY >ONI25209 pep chromosome:Prunus_persica_NCBIv2:G2:28373284:28377952:1 gene:PRUPE_2G289000 transcript:ONI25209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKSNSSSAPSTCPCNCYEVQSLTSSVLDANQTANLKDRYILGEQLGWGQFGVIRACTDKLTGEVLACKSIAKDRLVTSDDVRSIKLEIEIMTRLSGHPNVVDLKAVYEEEEYVHLVMELCAGGELFHQLEKHGRFSESDARVLFRHLIQVVLYCHENGVVHRDLKPENILLATKASSSPIKLADFGLATYIKPGESLHGLVGSPFYIAPEVLAGSYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRTADLRFPSDRWDRITESAKDLIRGMLSTDASRRLTAQQVLDHPWMSSQLSQCESVGCDNGSSFSTSFMSRSRDISFGTGSPSICDAQSPAFTCRSSFSSFMAEPFTPCIASSGFSFCGNGDSNGLEFSSPVPSMPSFAFFSPGSLVEESSCALEFSASISRVDAIHGETNAGKLLLLPNSLSFGLEAREMVNKPVEAKRTGGTIGSRTSAIHSKRNRTIGLGEREQLDFMVTESIIRWSSCTHLPTSLRSSLVC >ONI22456 pep chromosome:Prunus_persica_NCBIv2:G2:18880586:18883156:-1 gene:PRUPE_2G130600 transcript:ONI22456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHVIGLNLSSSYLYGSFDSNSSLFSLVHLQRLSLSDNNFNYSQIPSSIRNFPSLTHLDLSASFFSGQVPSEVSHLSKLTYLDLCCNIEGPNYDPQRLLKLQPSDMRSLVQNLTSLETLSLSFINISSIIPVSLTNLSFLTSLNIKKCDLFGEFPVRIFNLQNLKVLSVRYNQDLTGYFPEFNQSSPLILLKVAFTRFFGTIPSSIGKLNSLQELDVAQCNFSKGISTSYLTGPIPSWLGNFTKLVYLDFSFNHLNGSIPASFSNLTNLEILYLQSNNLSGVVGFQMFQKAQNLYQLQLGSNSLEFVTEQFSNIMDVTVQQFTVLGLSICNLKEFPYFLRNQTKLERLEIAGNKIHGEVPNWMWNISKETLILVDITDNFLSGELPVVIPWVNLLCLRLSNNIFHGRLPVPPPSLLEYGATNNKFTGEISPLLCNMNSLLYLDLSKNNLSGTLPQCLGNFSDGLILLLLGSNSFHGMMPQSFNNRSSLRMIDVSHNQLQGQLPRSLANCVILEYLVLSNNQFNDVFPIWLGTLPELKLLAMPHNEFYGVIGQSRTNIDFPRLRILDLSYNSFKGEIPPLFPNITVNKSTYMYTYVSYDLNGVSIVRSVAYSITLAIKGLDLHYSKIQEGFAAIDISSNKFEGTIPEFVGNLKELHSLNISSNILNGSIPSSLGNLRNLESLDLSHNMLSGRIPQQLTRLTFLGNFDVSHNNLTGPIPQGTQLTSFNSTSYEGNPGLCGDPLPKCGIQEAPQPPPSTEEDSDSGSAQMLEFDLKFGLAGIGSGFVVGVVLADVAITRWHELFLKIVGMVRLTVWKR >ONI22503 pep chromosome:Prunus_persica_NCBIv2:G2:19126289:19130551:-1 gene:PRUPE_2G133500 transcript:ONI22503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSLRLSATTHHLHHLGFNHTLSSSAAQNLFTSLSFPSSTFPIYPRKLAAQCQKKPAPNNNGGRAKVKGKAENVWSVDNELAAMEKERGRSPKRRGKRRVVRRKRSEGGGGKGVLVSGAMLMEDETVLQTQEPVIRPEWTTFASSVSGIWKGVGAVFSPINAEMEPIEIGSRDEHLYDCYTLSHIEAVPSYSGGPTSQIKRKINWVTLNPFGEIPQHIRGSNRMKEEHEGGDAASHKNDIDGSGKKHVLPEFESFDFGRSDVMEEDVMDKEPGLVFFEDGSYSRGPVDIPVGEDDDSKYYLSPTFKFEQCLVKGCHKRLRIVHTIEFSNGGSSIQIMRVAVYEEKWVSPANLPDPSDLEFDLKPFSQRKRTRPSELTGSWKVFEVSGTPVFVEEIDQMVMEQNDSTPYVYLCTETLKKRSLPGNPAYFGEEEMLDMQDVTMLWLPGGVTAYVDVNKDGILCIGVGWYSNEGINLVMERDYGVDGKLKEVRSKSEVKRRWSDPLPV >ONI20155 pep chromosome:Prunus_persica_NCBIv2:G2:93474:94791:1 gene:PRUPE_2G000100 transcript:ONI20155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPPPPPPTPKKEVQLHGPRPSPLKVNKESVKIKKPPPHPRPDPNPNPNQHADVEQRQPVVIYTVSPKVIHATVSEFMSLVQRLTGPSPSSSPGDGGLSPAARLASIHKTSPNSSSISDHNRPLMPTITTSYHDDFMASIFNNLEDQGRGDEMGQFSGILSPAPATLPPIIPNGFFSHQHDVLSSPFHSWQGIGNNNINFLPSPGPASAPLISPSPSSWDLFNNFLDYF >ONI22651 pep chromosome:Prunus_persica_NCBIv2:G2:19799934:19801209:1 gene:PRUPE_2G142100 transcript:ONI22651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIMISGFCELLRVLLALRKIRRLWALPRTDQRLVLAQNPASLRLRRQRQVLDVKKLNFLSLVLELLIALVKLSLAILQFLFIIPVLPLMVSIRFCWPRRVLLTRRWRWCA >ONI22662 pep chromosome:Prunus_persica_NCBIv2:G2:19937006:19937872:-1 gene:PRUPE_2G142900 transcript:ONI22662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHTRSNSFPSRPHPIVQEVDEHLCRLRSSEAASTSSSSICHKLSGLEDLHDRVDKLLQLPLTQQALAKEQNEKWTNELLDGSLRLLDGCSSAKDAILQTKECVQDLQSIIRRTRGGESGALTSEVRKYLTSRKMVKKTIQKAMKNLKGIENRSTFSSPNQDNESIAIVNKLREVEAVTLAVFESLLAFISGPKSQPSSWSLVSKMLHSKKVACEEETEANEFAKVDAALNSLIGYKTSKSQYKSVENAQNQLEKLESCIQDQEEGVECLFRQLIKTRVSFLNILNH >ONI24951 pep chromosome:Prunus_persica_NCBIv2:G2:27512636:27513778:-1 gene:PRUPE_2G271400 transcript:ONI24951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLINLESLGDKIEGYCLKIYSVKFPLIVISTWPFNIFAKMGKSINAWMQFVAYGWRMMHRGCSKIAIFWITLYNPQLQLLLSWLRYM >ONI23593 pep chromosome:Prunus_persica_NCBIv2:G2:23443285:23445550:1 gene:PRUPE_2G197300 transcript:ONI23593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSELACTYAALVLHDDGIPITAEKIATLVKAANVTVESYWPGLFAKLAEKRNIEDLILNVGAGGGGGAVAVAAPGAGAAAPAAAPAAEEKKEEPKEESDDDMGFSLFD >ONI23380 pep chromosome:Prunus_persica_NCBIv2:G2:22665226:22668936:-1 gene:PRUPE_2G185600 transcript:ONI23380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSATNQLRNTCQFPLQPVTTQMAKKRDRRVAPSSNRGKDRVESSDQSSDPKLTITLVLSFCVAAAAAVLAYRIKYAHPITNRAQSYVHQRGLVKIDVNYQEILTASTTLENAKVSENTSGRHYKYPVLAYITPWNSKGYDMAKRFNSKFTHLSPVWYDLKSQGTGLILEGRHNADMGWISELRMAGDVWVLPRVVLEAFPAELLTKKKQRSKAITLLVNECKEMGYDGIVLESWSRWAAYRILHDPSMRNLALQFIKELGDALHAVSLERNDKQRLQLVYVIGPPHSEKLQEHDFGPKDLRSLGDAVDGFSLMMYDFSGPQNPGPNAPLKWIHSTLQLLLGTDKNSALAHKIFLGINFYGNDFALSGGPGGGAVTGRDYLSLLEKHGPVMRWEKKSAEHLFFYSDDEYNNHAVFYPSLMSISMRLEEAHKWGCGVSIWEIGQGLDYFFDLL >ONI23379 pep chromosome:Prunus_persica_NCBIv2:G2:22665208:22668950:-1 gene:PRUPE_2G185600 transcript:ONI23379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSATNQLRNTCQFPLQPVTTQMAKKRDRRVAPSSNRGKDRVESSDQSSDPKLTITLVLSFCVAAAAAVLAYRIKYAHPITNRAQSYVHQRGLVKIDVNYQEILTENAKVSENTSGRHYKYPVLAYITPWNSKGYDMAKRFNSKFTHLSPVWYDLKSQGTGLILEGRHNADMGWISELRMAGDVWVLPRVVLEAFPAELLTKKKQRSKAITLLVNECKEMGYDGIVLESWSRWAAYRILHDPSMRNLALQFIKELGDALHAVSLERNDKQRLQLVYVIGPPHSEKLQEHDFGPKDLRSLGDAVDGFSLMMYDFSGPQNPGPNAPLKWIHSTLQLLLGTDKNSALAHKIFLGINFYGNDFALSGGPGGGAVTGRDYLSLLEKHGPVMRWEKKSAEHLFFYSDDEYNNHAVFYPSLMSISMRLEEAHKWGCGVSIWEIGQGLDYFFDLL >ONI24389 pep chromosome:Prunus_persica_NCBIv2:G2:25876080:25876280:1 gene:PRUPE_2G237900 transcript:ONI24389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSLRQSNKHQFKRLYLIKVLNVNHWNSAWRAKGPKKNKNKGEKCYHIGFLERQSKEPNRFNKEK >ONI20680 pep chromosome:Prunus_persica_NCBIv2:G2:3000938:3001489:-1 gene:PRUPE_2G028800 transcript:ONI20680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQYFTLFTLYFTIFYSMDGIEVRKIIKSQQTEKKSHLHFFFHDILSGKNPSAVRIAGPLNSTITTFGATMMIDDALTEKQEPTSKIVGRAQGLYLVAAQRDHEFALLVVMNFAFVEGKYKGSTISILGRNSVLNDVREMPIIGGTGLFRFARGYALAHTVRFNPTGDAIVEYNVFVSHFQ >ONI24872 pep chromosome:Prunus_persica_NCBIv2:G2:27304839:27309086:1 gene:PRUPE_2G266800 transcript:ONI24872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPGNTSPTSGGNTGNNSNSTTSFGSMQFPALRFFQSPLSFVLDYSGILSPSSSRHEADAPVVNAVVVADSRPHSPSACTSGSSNTGEVSIRIIGAGEQEDRGNGAATVPFHGDGNGGSLGHSGRVQSLDNLAGTVNGVGSGERVPLVPPSSPEHGRDVGDTGDGVDNNSRDSAYQRYDIQQIARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWVSAVMYRSNDVVRKQTALKGDRKIPVLLSIAAAFMLHVVGVYWWYRSDDLLYPLAMLPPKAIPPFWHAIFIILVNDTMVRQATMALKCLLLIYYKNGRGHNYRRQGQILTVVEYTLLLYRALLPAPVWYRFFLNKDNGSLFSSLTTGLYLTFKLTSVVEKVQSFFASIRALSRKDIHYGAYATLEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRTFGDGSTSLFFQFF >ONI24873 pep chromosome:Prunus_persica_NCBIv2:G2:27304859:27309041:1 gene:PRUPE_2G266800 transcript:ONI24873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPGNTSPTSGGNTGNNSNSTTSFGSMQFPALRFFQSPLSFVLDYSGILSPSSSRHEADAPVVNAVVVADSRPHSPSACTSGSSNTGEVSIRIIGAGEQEDRGNGAATVPFHGDGNGGSLGHSGRVQSLDNLAGTVNGVGSGERVPLVPPSSPEHGRDVGDTGDGVDNNSRDSAYQRYDIQQIARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWVSAVMYRSNDVVRKQTALKGDRKIPVLLSIAAAFMLHVVGVYWWYRSDDLLYPLAMLPPKAIPPFWHAIFIILVNDTMVRQATMALKCLLLIYYKNGRGHNYRRQGQILTVVEYTLLLYRALLPAPVWYRFFLNKDNGSLFSSLTTGLYLTFKLTSVVEKVQSFFASIRALSRKDIHYGAYATLEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRTFGDGSTSLFFQFF >ONI24575 pep chromosome:Prunus_persica_NCBIv2:G2:26402504:26407231:1 gene:PRUPE_2G248100 transcript:ONI24575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPCISRRLVPASHRLSPVPVIGISSRSSTSLACVPRTKCCSLSNQSLANDVKKFEEASKKGNLVPLSKCIFSDHLTPVLAYRCLVKEDDREAPSFLFESVEQNNQGRYSVVGAQPTMEIVAKENKVTIMDHEERCLTEEVVEDPMAIPRRISETWSPQLIDDLPDAFCGGWVGYFSYDTVRYVERRRLPFSKAPKDDRNLPDMHLGLYDDVIVFDHVEKRVYAIHWVRLDRYSSVEKAYTDGVRRLEKLVARVHDIDVPRLSPGSMNLHTRQFGPPLKNSNMTSEAYKNIVNHAKEHIVAGDIFQIVLSQRFERRTFADPFEVYRALRTVNPSPYLTYLQARGCILVASSPEILMSAKKKKIINRPLAGTCRRGKTTAEDQMLEEQLLDDEKQCAEHIMLVDLGRNDVGKVCKFGSVKVDRLMEIERYSHVMHISSTVTGELQDDLTCWDALRAALPVGTVSGAPKVKAMELIDELEVARRGPYSGGFGAVSFSGDMDIALALRTMVFTTGSRFDTLYSYKDASQRREWVAHLQAGAGIVADSDPDDENQECQNKAAGLARAIDLAEAAFVDQ >ONI23294 pep chromosome:Prunus_persica_NCBIv2:G2:22389165:22390791:1 gene:PRUPE_2G180600 transcript:ONI23294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNSRANFVHFHLEEIRLFAIQAVQNIGGLRPPRLYSATVNGTWTSSNLCPERVFLTRIPLLTGRTPEDAAAAIIQFRLNLALNSSTSHHQFCFLENGAAAGDGGAPNYIPDLNVSPPDETAPAKEFVGVIKSQNISRLTDYVVRYLREYGSEEEVEEYRKILSTKSEAEIGNLDSIRIWNILTLEAEIQNALIAKGLVETPMRFDDLEFPPPQELFPIPEKGRKGAKKARYCGVFGMDEAKSPNS >ONI25125 pep chromosome:Prunus_persica_NCBIv2:G2:28068131:28071814:-1 gene:PRUPE_2G282500 transcript:ONI25125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWASPKASISMTHYPKALSWIVVSVVGLALFLLFASWVLVTYPIGSTVSWYFYGVDSLQKLDYSSSIGSQTSYDSPLPNNVDNFNKNVKSESNIEVPESSDGAHTKVVDKDFVSDSNSQVPTSSDGSVVVSETKDIKDLAALQANLPGPSVIENVASVESAGPSVPAGSTGSSIDDNMKITDKNLSYESNPQLSSSSDPSDSKSGSMPASSPLPSNARTGGSVDSGCDLYSGSWIYDSSGPLYTNNTCPVLTQMQNCQGNGRPDKEYENWRWKPLQCDLPRFDARKFLELMRGKTIAFIGDSVARNHMESLLCILWQVDVPKNRGNKRMQRFFFKSTSTFVVRIWSSWLVKQTSEAFDFAPEGVAKLHLDAPDDRFMDLIPQFDVIIFSSGHWFAKQSVYVFNNEIVGGQLWWPDKSRPMKINNIDAFGISVETILRAVATHPNYTGIAIVRSYSPDHYEGGAWNTGGSCTGKVRPLAIGEVVENGFTNIMHQKQIMGFNRAIEKGVTNKSKLKLMDITKPFEYRHDGHPGPYRSPDPNKKTQRGPDGRPPPQDCLHWCMPGPVDTWNEFVLEIIRREYEGSNNSST >ONI25126 pep chromosome:Prunus_persica_NCBIv2:G2:28068432:28071118:-1 gene:PRUPE_2G282500 transcript:ONI25126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWASPKASISMTHYPKALSWIVVSVVGLALFLLFASWVLVTYPIGSTVSWYFYGVDSLQKLDYSSSIGSQTSYDSPLPNNVDNFNKNVKSESNIEVPESSDGAHTKVVDKDFVSDSNSQVPTSSDGSVVVSETKDIKDLAALQANLPGPSVIENVASVESAGPSVPAGSTGSSIDDNMKITDKNLSYESNPQLSSSSGSSNVTEIDETGIPVSVGLFNNSNFLQIEPNDSVSDPSDSKSGSMPASSPLPSNARTGGSVDSGCDLYSGSWIYDSSGPLYTNNTCPVLTQMQNCQGNGRPDKEYENWRWKPLQCDLPRFDARKFLELMRGKTIAFIGDSVARNHMESLLCILWQVDVPKNRGNKRMQRFFFKSTSTFVVRIWSSWLVKQTSEAFDFAPEGVAKLHLDAPDDRFMDLIPQFDVIIFSSGHWFAKQSVYVFNNEIVGGQLWWPDKSRPMKINNIDAFGISVETILRAVATHPNYTGIAIVRSYSPDHYEGGAWNTGGSCTGKVRPLAIGEVVENGFTNIMHQKQIMGFNRAIEKGVTNKSKLKLMDITKPFEYRHDGHPGPYRSPDPNKKTQRGPDGRPPPQDCLHWCMPGPVDTWNEFVLEIIRREYEGSNNSST >ONI25127 pep chromosome:Prunus_persica_NCBIv2:G2:28068131:28071814:-1 gene:PRUPE_2G282500 transcript:ONI25127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITDKNLSYESNPQLSSSSGSSNVTEIDETGIPVSVGLFNNSNFLQIEPNDSVSDPSDSKSGSMPASSPLPSNARTGGSVDSGCDLYSGSWIYDSSGPLYTNNTCPVLTQMQNCQGNGRPDKEYENWRWKPLQCDLPRFDARKFLELMRGKTIAFIGDSVARNHMESLLCILWQVDVPKNRGNKRMQRFFFKSTSTFVVRIWSSWLVKQTSEAFDFAPEGVAKLHLDAPDDRFMDLIPQFDVIIFSSGHWFAKQSVYVFNNEIVGGQLWWPDKSRPMKINNIDAFGISVETILRAVATHPNYTGIAIVRSYSPDHYEGGAWNTGGSCTGKVRPLAIGEVVENGFTNIMHQKQIMGFNRAIEKGVTNKSKLKLMDITKPFEYRHDGHPGPYRSPDPNKKTQRGPDGRPPPQDCLHWCMPGPVDTWNEFVLEIIRREYEGSNNSST >ONI25758 pep chromosome:Prunus_persica_NCBIv2:G2:29785065:29787296:1 gene:PRUPE_2G318700 transcript:ONI25758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVYALFTHAFPSSSSDSLFSFPPSPQPTAYFAPPSLSTFRNHEWFSLLKFTRTPRADPKPLLTQVGLRPNQRILIQCRCINSATNALAEMGMLFSFFQEIGIDGEEAQVVLDKNPALTSTSLDLLRTRILSFRSVGVDGLELSRLITKCPSLLTADEIDAFLHFVRHDFEGKIEPPQLKRLFGTTEARFLVGFDQKVRLLLHRGVPREKIFDVLNKLNLYKALCLKSVEEIERTIAFLSRFGGIDLIVRRPTLLNYDLDTQLVPRVGFLTELSGGDEDATGTLLGKLPAILSYTVDHTKGHVELLRSLAGLTDEQIFKFLLVFPNVVSASRERKLRPRIHFLKQCGYSSNDIYKFLIKAPLFLGLSFEDNLAYKLAFLVKIGYKYRTKDMAAAMGSATRTSCDNLQKVIGLFLSYGLSCGDIVIMSTKHPQILQYSHSAIEKKMEYLINEIGREVGELLNFPAFLGYKLDDRIKARYELRKKVLGEGMSLNKLLTVSSERFSTKKPAYVVENENLNEEMEVLSDK >ONI20325 pep chromosome:Prunus_persica_NCBIv2:G2:847569:848768:1 gene:PRUPE_2G009300 transcript:ONI20325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCLLLASTPKAHASVFDVTSATYGAKPGSDVSTALAKAWSDACASPSASKVVVPSGTYKLKEATFRGPCKAPIEMQVQGTLEAPADASQLTRPDTWVGFQYIDMLTLSGGGTFDGQGALSWNQNDCHKNKNCKPLPVNLRFEFLTNSKVQDITSLNSKFFHTHVFRCNHTTFQQLTITAPDESRNTDGIHIGASTAINITHSKIGTGDDCISIGDDSHEITVTDVTCGPGHGISIGSLGKYKEEKDVTGIIVKNCTLTNMENGVRIKTFPDSPSPSTASGIHYEDIIMVNVSNPILIDQLYCPYTQCEQKPPSKVKINNVSFKNIKGSSFSPLAVKLVCTTGIPCENVELTDIDLTYGGNKGPLTSMCSNVKPTITGVTKALGCATSSLAPLPLSKK >ONI20758 pep chromosome:Prunus_persica_NCBIv2:G2:3470052:3473923:-1 gene:PRUPE_2G032100 transcript:ONI20758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGNENDVVLGCDGGRRPGCITSHVVEGSMESYRFYLSRRTAMEMLSDRGCDVSDSDLSLSLTEFHSQFGPKPDLQQLRICVSLRSNPSKKIVVIFCGTEEIRKQTMCGIYAGLPNKENIHRLILVLQSKMNSYARKELEMYPFKVETFHICDLLVNITKHALKPKLEILTAEEKNKLLRKYKLEDKQLPLMLETDGIARYYGLEKGKVVKVTYSGGVVGSLRTYRCVV >ONI21469 pep chromosome:Prunus_persica_NCBIv2:G2:9715167:9717422:-1 gene:PRUPE_2G068100 transcript:ONI21469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIWGTSGIGKTTIAKAIWNAIAHEFEGSCFLPNVREGSLVQLHETLLDKVLGKNWKIQSVDEGIGVIKKRLRHKKILLILDDVDHLEQLENLAGDDWFGEGSRVIITTKDRGLLDNREIELIYEVKKLEYNLALELFSWHAFGRSEPPKDYLELAQRAIAFADGLPLALTILGSHLRNRSIGRGQVILDGYKGEPYTHIERILQKSYDALDDDAKEVFLDIACFFKGGNKNSVLGIVPKNCIEVLVDKAMITIEFNHRILMHDLLEKLGKDIVHKESPNDPGKRSRLWFYEDVKQVLTESTGTRNIKGIMVKLLEPDEIILNPECFRNMVNLQIFINHNASLRGAINYLPNTLRFIDWPSCQLQSLPPNFQGNRLVAFNMLSSHIRHLEGFKHLPNLTSMDLSGCQFLEKIPDLSGIPNIKYLILSGCRRLVEIDDSVGLLDKLVQLNLSRCVKLTRFATTLRLKSLEELDLSDCKRLKSFPEIEVEMESLWTLNMAGSGIRELPLSIAYLTGLLDLILKRCFNLTGLELRLLYFWSTLRYLDLSGNNFVTLPECISKFVSLDQLDLRDCKSLLEIPQEVLPPRVYVVLLDNCTSLEKIPKLPLSSEVEYLRLINCVRLRGYDITENSILDQVSVSSLSVSLCLSLYVIWLR >ONI24975 pep chromosome:Prunus_persica_NCBIv2:G2:27609553:27615212:1 gene:PRUPE_2G273100 transcript:ONI24975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLPLDLPRAVHPFLRFLGHEAVHHFLSCVCWIYERGSSVLRLLLSEKVKAKHPLSLSLSLSLSPAFTTLREGNLKMLPRWSRAVTHFSRLGSQYNLNLRNEFYVISRQSYGRAAAAVAPDKAITVEKLLPSESMVNLDKLFWSKPCSLALAPDSPLRIEEPQYAGFKRAILRLMLFYSKQSTSIRGANVVYKRIISQVDKPAIYEVFNLEKTFKTTFSLLVLHMWLCLRRLKEDGKEGVEFGQYIYETYNHDVELRVSKAGVNLLLTKWMKDLEKIFYGNIVAYDAAVLPEARQNELQNVIWRNVFSDDGSSQPNGDASRAVQAMARYIRREVSCLSLTDKEAVFSGNFMFTSLKGEKPKSEASI >ONI24974 pep chromosome:Prunus_persica_NCBIv2:G2:27609553:27615212:1 gene:PRUPE_2G273100 transcript:ONI24974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLPLDLPRAVHPFLRFLGHEAVHHFLSCVCWIYERGSSVLRLLLSEKVKAKHPLSLSLSLSLSPAFTTLREGKGNLKMLPRWSRAVTHFSRLGSQYNLNLRNEFYVISRQSYGRAAAAVAPDKAITVEKLLPSESMVNLDKLFWSKPCSLALAPDSPLRIEEPQYAGFKRAILRLMLFYSKQSTSIRGANVVYKRIISQVDKPAIYEVFNLEKTFKTTFSLLVLHMWLCLRRLKEDGKEGVEFGQYIYETYNHDVELRVSKAGVNLLLTKWMKDLEKIFYGNIVAYDAAVLPEARQNELQNVIWRNVFSDDGSSQPNGDASRAVQAMARYIRREVSCLSLTDKEAVFSGNFMFTSLKGEKPKSEASI >ONI24976 pep chromosome:Prunus_persica_NCBIv2:G2:27609553:27615238:1 gene:PRUPE_2G273100 transcript:ONI24976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRWSRAVTHFSRLGSQYNLNLRNEFYVISRQSYGRAAAAVAPDKAITVEKLLPSESMVNLDKLFWSKPCSLALAPDSPLRIEEPQYAGFKRAILRLMLFYSKQSTSIRGANVVYKRIISQVDKPAIYEVFNLEKTFKTTFSLLVLHMWLCLRRLKEDGKEGVEFGQYIYETYNHDVELRVSKAGVNLLLTKWMKDLEKIFYGNIVAYDAAVLPEARQNELQNVIWRNVFSDDGSSQPNGDASRAVQAMARYIRREVSCLSLTDKEAVFSGNFMFTSLKGEKPKSEASI >ONI23201 pep chromosome:Prunus_persica_NCBIv2:G2:22065238:22067169:1 gene:PRUPE_2G174700 transcript:ONI23201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESLRVVMLPWSAFGHTMPFFQLSMALAKAEVHVFYISTPKNIQRLPKISPDLQPFIHLVSIPFPALASGFLPEGAEATVDIPFEKMDNFKIAYDLLQQPIKQFIGDQLPDWIIVDFSAHWAVEIGKEFGVPLVYFSAFCAATCVFLTSLENISKANTDHDVLSSPESLTSPRDFGTFRSTIAYRKHEAVDIYAGFYELNDSGISDSDRHNKILLACQAVAVRSCNEFEGEYLEAYKNKTGQLVIPTGLLPPEQPSAKREISSDGSPNNVIFDWLDKQKPKSVVFVGFGSECKLSKEQVFEIAHGLGLSELPFLWALRKPNWADSEADALPPGFVERTSEKGLVCLGWVPQMEILGHPSVGGSLFHSGWGSVIETLQFGHVLVVLPFIIDQPLNARLLVEKDLAVEVKRTEDGSFCKDDIAKTLRHAMVAEEGEKLRSNARKAAKVFGDHKLHQDHYLGQFVHYLKNNVPRRSF >ONI23882 pep chromosome:Prunus_persica_NCBIv2:G2:24523932:24524601:1 gene:PRUPE_2G213700 transcript:ONI23882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILPLVSSLLSLVSPPWLMVLQPSCNLFFSYLHSCSRSHIKCPPGYVQVQVQVQVRRRLVFWFWSCDGMVF >ONI24613 pep chromosome:Prunus_persica_NCBIv2:G2:26500697:26501643:1 gene:PRUPE_2G249800 transcript:ONI24613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTTRDSSSGAESSMDFNHHETPTKRSVSGSPSVDEEEEAEDAEVWDQFNRRFREVQAVLDRNRALIQQVNDNHRSRVADNMVKNVGLIQEINGNISKVVSLYSDLSSNFSTFFHHRNDNANAKPKN >ONI22628 pep chromosome:Prunus_persica_NCBIv2:G2:19731808:19732291:1 gene:PRUPE_2G140700 transcript:ONI22628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQRSSMSFRRQGSSGRIWEDRVPVFDQKPTSFSGPICPTFSGPIRPPFSGVKSLEHHPNFDKKFQEREFVDSNYARGSPSPSKQENKVAQRCFLASFFGRCMGSPTAA >ONI24133 pep chromosome:Prunus_persica_NCBIv2:G2:25238310:25241103:-1 gene:PRUPE_2G225500 transcript:ONI24133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIHVRHGDGSDQKEFLYDCSTTSPIEQIAPEISRISNLQSRIDRLVFELEPHLEPLHGDAKAVSLLRALSEVKSYASKDQVVHNRPLSYHVLRNHVQALERELNSVPQFQKLLADVESSGEDLRQVCWAGKVLDRSKRLCDYIGANEKTKIVIKLQSTESCPV >ONI24018 pep chromosome:Prunus_persica_NCBIv2:G2:24821616:24822865:-1 gene:PRUPE_2G219300 transcript:ONI24018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSSSTFFTSSGVPQAPVSVSQEEFALFHNIDRKLFSRLIFPLGRDPAESAQVMALWMWLEHAAKEYNFVHKLCVTLPDTLLNGIADESVVALNCIQQDDFHVDITNRNQDIPLFNALTKSCATLEFFHQNRLGIIRGITKLFNEVCIRAFDNLFPNPQPNRAEQMMLNAGMFRPYNPSFHNATVAAYDMVGVSNMGLFPNQRMYGGDVNNNPPSLGPYQHIHLLARAATRGDAALDYLRGVFDPYDLAAQRQILNEEMGDVLSRLNINDDQQEVEDVSADERTIFLTFSKGYPISEVEVREFFSRKFGDFIDGVFMQEVPAEEQPLYARLVVRSTSSIPIVLDGKNKAKFSINGKHVWARKYVRKSKSPGEPSSPVTSV >ONI25174 pep chromosome:Prunus_persica_NCBIv2:G2:28245692:28246669:1 gene:PRUPE_2G286000 transcript:ONI25174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRSLAEHMNEAVNYIKHLQTRIKVLGRRRDELKIGTSSLPSLSASSSTSSTSPRVAVHPCFGGVEIVISRGGSVEQGLVLSRLLKILLGQGLTVISCATTQVNGRLVYTIQSEVSDPAWGDFSGLQQILTESLTRFSN >ONI25173 pep chromosome:Prunus_persica_NCBIv2:G2:28245264:28246669:1 gene:PRUPE_2G286000 transcript:ONI25173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGMFNNINTGGQQRKSSLALTLDSAENQDKSNDDGKKKMMHREIEKKRRQEMAALYASLRSVLPLEYIKGKRSLAEHMNEAVNYIKHLQTRIKVLGRRRDELKIGTSSLPSLSASSSTSSTSPRVAVHPCFGGVEIVISRGGSVEQGLVLSRLLKILLGQGLTVISCATTQVNGRLVYTIQSEVSDPAWGDFSGLQQILTESLTRFSN >ONI21065 pep chromosome:Prunus_persica_NCBIv2:G2:5659348:5661571:1 gene:PRUPE_2G049200 transcript:ONI21065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQTQEELLAAHLEQQAIDPDQPTVEEEDDDDDDDEDDDDDKDDDEAEGQQDGEASGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPETSTLAQDDEDVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTN >ONI23826 pep chromosome:Prunus_persica_NCBIv2:G2:24322037:24326101:-1 gene:PRUPE_2G210500 transcript:ONI23826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFYFYNKEKNDEAKTTKSTSTRSTSPFFSLDRDPRKSGSESNSQNATEFSTASSAKSFAALSQRQSNLREFTCSELKAATKNFSLSLMLGEGGFGGVYRGVIRTTEDPHKKIDVAVKQLSKRGFQGHKEWVTEVNVLGVVEHANLVKLLGYCAEDDERGIQRLLVYEYMPNRSVQDHLSSRFQIALPWGTRMKIAQDAARGLAYLHEGMEFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLSHVSTAVVGTVGYAAPEYIQTGHLTSKSDVWSYGIFLYELITGRRPVDRNRPKNEQKLLEWVRPHLSSDPKKFQLILDPRLEGNYSLKAAQKLAAVASRCLVRQPRSRPKMSEVVEMLNRIMETTDMGSPEFPLKGVDSKDEYYRESKREVLRRMFVDPLIGENGCLNWQTWRPKLVNTC >ONI23825 pep chromosome:Prunus_persica_NCBIv2:G2:24322037:24324826:-1 gene:PRUPE_2G210500 transcript:ONI23825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFYFYNKEKNDEAKTTKSTSTRSTSPFFSLDRDPRKSGSESNSQNATEFSTASSAKSFAALSQRQSNLREFTCSELKAATKNFSLSLMLGEGGFGGVYRGVIRTTEDPHKKIDVAVKQLSKRGFQGHKEWVTEVNVLGVVEHANLVKLLGYCAEDDERGIQRLLVYEYMPNRSVQDHLSSRFQIALPWGTRMKIAQDAARGLAYLHEGMEFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSDGLSHVSTAVVGTVGYAAPEYIQTGHLTSKSDVWSYGIFLYELITGRRPVDRNRPKNEQKLLEWVRPHLSSDPKKFQLILDPRLEGNYSLKAAQKLAAVASRCLVRQPRSRPKMSEVVEMLNRIMETTDMGSPEFPLKGVDSKDEYYRESKREVLRRMFVDPLIGENGCLNWQTWRPKLVNTC >ONI21738 pep chromosome:Prunus_persica_NCBIv2:G2:13434306:13436014:-1 gene:PRUPE_2G084900 transcript:ONI21738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSDQRKLTILMLPWLAHGHISPYLELAKKLTTKRNFHIFICSTPVNLSSIKPKLSQKYSHCIEFVELHLPHDDLPELPPHYHTTNGLPPHLMSTLKRAFDMSSNNFSNILKTLSPDLLIYDFLQPWAPSLASLQNFPSIEFITMGAAFTSFSIQHLKNPSVKFPFPSIYLQDYEAEKFNNVLESSANGIKDGDRVQQCSARSCNIILVKTSRGIEEKYIDYLSDLMGKKIVPVGTLVQEPVDQKVDEETWIMKWLNKMERSSVVYVCFGSEYFLSKEQIEEIAHGLELSKVSFIWVIRFSKEEKNTRVEEVLPEGFLQRVGEKGVIMEGWAPQAKILQHSSVGGFVSHCGWNSVLESIKFGVPIIAMPMHLDQPINARLVEEVGVGVEVKRTGEGSLQREEVAKVIRDVFVEKIGEGVRKKALKIRDNMNKKEDEEIDGVVEELMQVCTGRESK >ONI24231 pep chromosome:Prunus_persica_NCBIv2:G2:25523081:25523645:-1 gene:PRUPE_2G230900 transcript:ONI24231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARKLLNDKKFWFASVLIAWAAALQGHMMWVKRQDSFKQKFGNLSEANTNDADQ >ONI23840 pep chromosome:Prunus_persica_NCBIv2:G2:24369224:24369943:-1 gene:PRUPE_2G211200 transcript:ONI23840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPPPPSPAPEAPNDVPQGSTPRGHPLFARIRLAHPTDVPHIHKLIHQMAVFERLSDQCIATEASLSSTLFTSPPFQSFTIFVLEVSHTPFVEHLQYNNPAYPPITKVVNLDLPINDPDRDLFRSNGGVLGGDACVAGFVLFFPNYSTFLAKPGFYIEDLFVRECYRRKGLGKMLLSAVAKQAVKMGYGRVEWVVLDWNVNAIRFYEEMGAKILQEWRICRLTGDALNAYVNAQL >ONI23838 pep chromosome:Prunus_persica_NCBIv2:G2:24367860:24370119:-1 gene:PRUPE_2G211200 transcript:ONI23838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPPPPSPAPEAPNDVPQGSTPRGHPLFARIRLAHPTDVPHIHKLIHQMAVFERLSDQCIATEASLSSTLFTSPPFQSFTIFVLEVSHTPFVEHLQYNNPAYPPITKVVNLDLPINDPDRDLFRSNGGVLGGDACVAGFVLFFPNYSTFLAKPGFYIEDLFVRECYRRKGLGKMLLSAVAKQAVKMGSGGFAGSLVMLSVLMLAPSSSLR >ONI23839 pep chromosome:Prunus_persica_NCBIv2:G2:24369163:24369943:-1 gene:PRUPE_2G211200 transcript:ONI23839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPPPPSPAPEAPNDVPQGSTPRGHPLFARIRLAHPTDVPHIHKLIHQMAVFERLSDQCIATEASLSSTLFTSPPFQSFTIFVLEVSHTPFVEHLQYNNPAYPPITKVVNLDLPINDPDRDLFRSNGGVLGGDACVAGFVLFFPNYSTFLAKPGFYIEDLFVRECYRRKGLGKMLLSAVAKQAVKMGSGGFAGSLVMLLMLMLMPSSSSSLGNFHTFFVGFRIQEMK >ONI20928 pep chromosome:Prunus_persica_NCBIv2:G2:4552547:4554701:-1 gene:PRUPE_2G041500 transcript:ONI20928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIQRAVKVPPNSVSLEEARHRVFDFFRAACRSIPTIMEIYNLDDVVAPSHLRSVVASEIRKNSSVTNPKVIDMLLFKAMEELNNITEHAKQRHHIIGQYVVGHQGLVQDLGTKDQGASGFLKDFYKSNYF >ONI21815 pep chromosome:Prunus_persica_NCBIv2:G2:14347653:14351353:-1 gene:PRUPE_2G090800 transcript:ONI21815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDDFPLIDSSSHLHAPPRPRDTIDSTTTANNGDFFPRPFPDDPDRSPNQTRTPNTKRSSYYYKKLKPSSANPNPTTSTTEAESSSGQEMASQRGDYRKDREEWSDTAIACLLEAFTEKFNQLNRGNLRGRDWEEVAEMVSERCGTGGGNKSTYKTVEQCKNKIDNLKKRYKVELQRITSSGLGASHWHWFKKLEVILGSSLVPKGGGGSDEERSGGRANSNVAKQPKRYTPGSTGFTNNLKSKSMPNVKWKRVMLKISGAALAGDCQSIDPKVATQIAEEVARACRLGVEVAIVVGGCNFFCGDTWVSSTGLDRSAAYQIGMMATVMNSVLLQSALEKVGVQARVQTALTMPEVSEPYNRLRAIRHLEKGRVVIFGGIGAGTGNYLFTTDIAAALRASELNAEAVLKGTNFNGIYECHSGSNSVTVDHTCYREVVSRGITSVDVMALTYCEENGIPVVIFNLLEPGNISRALCGDQVGTLIDQAGRIG >ONI22868 pep chromosome:Prunus_persica_NCBIv2:G2:20922630:20925337:1 gene:PRUPE_2G155800 transcript:ONI22868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNGGNHISNVAWWISLIYSSSWGLEAQKQDCCRWVGVSCSNQTGHVLQLDLSYKVVGELDNFQGKMISPKLIELHHLQHLALPWIDFTGSQIPDIIGSLSNLRYLDLTWTYFQGKFPSQVGNLTNLVYLDLSRNRFTNVENLDWLPLLSSLRYLDLSFSNLSNVFDWPAAINKLPELTNLTQEGCDLPSPILSTLSYINSFKSLASVDLHSNRLNSTSSIFVWLSNYNTSLVYLHLSDNLLAGSIPDVFGNMSSLAHLYLYSNQLEGSLPDLTNLSSLEVLSLRNSQLSKVISGTHFSKLSKLRNLDLSSNSLVLDIHADWIPPFQLDYIILGWFWSLCRNVTFMNLSSNQISGTFSNLTLEFSYSPALDLSSNKLEGSIPSVLLNASYLDLSSNKLKASYLDLSSNKLEGPIPSVLSKASYLDLSSNKLEGPIPLVLSNATFLDLSNNKLSGSISFLCSSAAIGLVFLNLSSNNVSGQVPDCWTHLENLVMLDFSYNALSGKIPTTIGSVFGIETLKLRSNRFVGQLPDIVEELHKFRVSLKNLVILMLSSNHFNGSLPSQLCHLIRIQNLNFSMNNISGSIPSCLKNLTILTHKGNSSLRSEHSYATPSYLSRYNYPYVDDATFMWKGGRIDLSSNKLTEEIPSEISHLVGLVSLNLSRNQLTGQITKEIGNLQSLDSLDLSRNHIDGRIPTSLARIDRLGFLDLSYNNLFGKIPVRTQLQGFDPSFYAGNLQLCGPPLKKMCADEVEKGPSEQTDFINQEDKDELITLGFYISMGLGFAAGFWGVCGTLIFSRSWSYAYLKFLNGLNDWLFVRIALLKPQLKDA >ONI20924 pep chromosome:Prunus_persica_NCBIv2:G2:4523851:4527137:1 gene:PRUPE_2G041100 transcript:ONI20924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLFKLKNLRLGCSLFSCTFGSKTRSFMVGDAKPSHFTLHPQFLYRYITTEISPTQNDFTVTYLINSCGLSPEDAISASKMVKLKAPDRADSVLALLRSHGLSQPQISKVVKCCPRVLSSCPEKTLSPKLQFFSSVGVSRKDLARSVATNPLLLIVSLRERIVPTYNFLRSMISKKNIVTVFKRRSRVFLGSHCNDVVLNIGLLRELGMPQSSISVLLAHYIHVVMHDHNKFGEVVGEVKEMGFNLESSNFVFALNALCGKNSKSIWKRSREVYMRWGWSENDVLSAFRKNPEFMIMSEKKIMRVMEFLVNKMGWPSGMIAKCPWVMRHSLEKRIIPRCLVVKVLWLKGLIDENLSLGYVVQPDEKLFVERFVTKYQKEVPQLWNVYQRKVEIEDV >ONI20923 pep chromosome:Prunus_persica_NCBIv2:G2:4523844:4527217:1 gene:PRUPE_2G041100 transcript:ONI20923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLFKLKNLRLGCSLFSCTFGSKTRSFMVGDAKPSHFTLHPQFLYRYITTEISPTQNDFTVTYLINSCGLSPEDAISASKMVKLKAPDRADSVLALLRSHGLSQPQISKVVKCCPRVLSSCPEKTLSPKLQFFSSVGVSRKDLARSVATNPLLLIVSLRERIVPTYNFLRSMISKKNIVTVFKRRSRVFLGSHCNDVVLNIGLLRELGMPQSSISVLLAHYIHVVMHDHNKFGEVVGEVKEMGFNLESSNFVFALNALCGKNSKSIWKRSREVYMRWGWSENDVLSAFRKNPEFMIMSEKKIMRVMEFLVNKMGWPSGMIAKCPWVMRHSLEKRIIPRCLVVKVLWLKGLIDENLSLGYVVQPDEKLFVERFVTKYQKEVPQLWNVYQRKVEIEDV >ONI22433 pep chromosome:Prunus_persica_NCBIv2:G2:18576336:18579811:1 gene:PRUPE_2G128400 transcript:ONI22433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFMCVLLKLLLFHLVVAASAFSSLKPYYSCHDEERAALLQFKQGFVIDESASGDGAYPKVSTWNPVEGGTSNCCAWSGVQCDKRTGHVISLDLSSSCLYGSINSSSSLFRLIHLQRLNLADNNFNYSQIPTTIGNFAQLTYLNLSASVFFGQVPSQLSQLSKLSSLDLSVSLDSFPGEKLLSIRESNLRSLVRNLTTLEKLHLNFINISSTIPDSIANLSFLTSLLLRDCGLFGKFPARIFELQDLETLDVRSNQDLTGYLPEFNRSSRLVSLKLGGSSISGNLSSIRNLDSLQELDVRACNFSGPIPDWFANLTQLTYLSLSDNNFSGGPLSWIGKQNKLTYLHLGNINLSGYIPSSLRNLTQLFYLHLRSNQLTGPIPSWLGNLSRLAYIDLSYNRLRSSIPESIFNLMDLQILFLVDNSLHGTVDIFKLENVTHLQLSANKLEVLTESRTMNASLPNFRVLGLSSCNIKEFPQFLRHEQNLFWLDLSRNNLAGQVPKWMFNISTETLEYLDLSVNSLTGFGQPSPVVLPWVNLQVLRLYLNMLQGPLPIPQPSILFYTISDNKLTGEISPLICDLSALQFLDLSNNKLSGMLPQCLGHFSDDLRVLNVRNNSFHGVLPQAYTNTSNLRIFDVSLNQLQGQLPRPLANCVMLESLILSNNEFHDVFPFWLGTLPELKVLSMHHNGFYGVIGKQKSNLHFPELRILDLSHNNFRGKFPFEYIFSGNPMRGITLGQPTYMDTNSSLTVGGTPTPYIYEFSITVTNKGVDRYYSKIQEDFGVVDISSNKFEGKIPKFIGNLKGLRLLNVSNNILTGSIPSFLANLTLLESLDLSQNRLSGEIPQQLTQLTFLGNFNVSHNNLTGPIPYRGQLTTFDVTSYEGNPGLCGDVLPKKCGDPNASQPPPSTKEENDSGSGFELDWKFGLAGFGSGLVVGVVLADVAISRRREWFLEIVGRIRLIIKKRRKSRGPRNSVN >ONI21468 pep chromosome:Prunus_persica_NCBIv2:G2:9713790:9715023:-1 gene:PRUPE_2G068000 transcript:ONI21468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RCEVCFEIPPNLDWKTLRLVLCVINKGKSYVRIDRGEVESRVHINGKMVQSTHMFVFRSKNKKTKIEESHMALKCIPLLNLSELGVEEELTRLQQGNMCQIIFEFYRMPTPVKILCGVHLLGHQVADVTVDRGQRQWLLPDAMAVDDDIHDDQHQDNELLSLPSASETSLGKRPRLSDFMALDDDHRANVVDVGDHEAQRGEVDHPKRRHIDLNEEPKQ >ONI23268 pep chromosome:Prunus_persica_NCBIv2:G2:22286393:22288554:1 gene:PRUPE_2G178800 transcript:ONI23268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSESAGSTVEHEKSLMEKISEKIHDHNSSSSSSSDSDNEKPESPKSEKPKVFRIFGREKPVHHVFGGGKPADVFLWRNKKVSAGVLGGATAVWVLFELLEYHLLTLVGHILIGTLAVLFLWSNAHSFIHKTPPRIPEVHLPEEPFLQVASELRLQINWAFAVLHDIASGRELKKFLIVCYCWLVGSFNCGDLVQFLDLVLHIFCFAAHGACHL >ONI23266 pep chromosome:Prunus_persica_NCBIv2:G2:22286321:22288554:1 gene:PRUPE_2G178800 transcript:ONI23266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSESAGSTVEHEKSLMEKISEKIHDHNSSSSSSSDSDNEKPESPKSEKPKVFRIFGREKPVHHVFGGGKPADVFLWRNKKVSAGVLGGATAVWVLFELLEYHLLTLVGHILIGTLAVLFLWSNAHSFIHKTPPRIPEVHLPEEPFLQVASELRLQINWAFAVLHDIASGRELKKFLIVIAGLWVLSIVGTWCNFLTLFYISFVLLHTVPVIYEKYEDKIDPFAEKATIEFKKHYAVFDAKVLSKIPQGLKNKRS >ONI23267 pep chromosome:Prunus_persica_NCBIv2:G2:22286321:22288554:1 gene:PRUPE_2G178800 transcript:ONI23267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSESAGSTVEHEKSLMEKISEKIHDHNSSSSSSSDSDNEKPESPKSEKPKVFRIFGREKPVHHVFGGGKPADVFLWRNKKVSAGVLGGATAVWVLFELLEYHLLTLVGHILIGTLAVLFLWSNAHSFIHKTPPRIPEVHLPEEPFLQVASELRLQINWAFAVLHDIASGRELKKFLILLFCCTRCLSFMRSMKTR >ONI25195 pep chromosome:Prunus_persica_NCBIv2:G2:28324854:28329981:1 gene:PRUPE_2G287700 transcript:ONI25195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETRTNKRKMGDRREADSSKTASKWPTIKTKPNLQITCLKDTYLFTVQNFLTSAESKAFVKAVESVGFVHQGSLGPTKGEAYRDNDRISVNDPVLADTIWESGLDKLFSDIKIRGKAAVGLNPNIRFYRYKVGQRFGRHIDESNDLGDGKRTHYTLLIYLSGGFKPKASNDKRSPKDSSSEPLVGGETVFYGSRNTVVAEVPPTEGMALLHIHGDKCLLHEARNVTKGIKYVFRSDVVFA >ONI21008 pep chromosome:Prunus_persica_NCBIv2:G2:5020574:5021885:-1 gene:PRUPE_2G044800 transcript:ONI21008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIILGGITDSPGTVNSVETIILARFAIGEHNKEHNGLLEFVRVVNEKRQMVAGMNHYLTIEATDAGKKKLFEARVYVRAWENFKKVSEFKEVKSTEFRKENINLFLLLFFYFFVFIITWSFLRKT >ONI23558 pep chromosome:Prunus_persica_NCBIv2:G2:23280409:23287168:-1 gene:PRUPE_2G194900 transcript:ONI23558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKSIPTTENEPNATTVTTVATTVTTITTSVHQPQSTPHSSQNGVVSVKNTPARSSNPSPWPSPYPQGVSASPLPAGVSPSPARSSTPGRFFRRRFAPPSPAKHIKASLAKRFGKPKESPIPEEHGVEPEQLLDKSFGYGKNFGAKYELGKEVGRGHFGHTCSGRGKKGELKDQPVAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILSRGGRYAEEDAKHIVVQILSVVAFCHLQGVVHRDLKPENFLFASRSEDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLEGDIWSIGVITYILLCGSRPFWARTESGIFRAVLRADPSFDDLPWPSMSLEAKDFVRRLLNKDYRKRMTAVQALAHPWLRNDSRPLPLDVLIYKLVKSYLHATPFKRATLKSLSKALTEDELIYLRAQFMLLEPDNDERVSLLNFKTALQRNSTEAMRESRVVDILNAMEPLAYRAMDFEEFCAAAISTHQLEALEGWEQIASTAFEHFEREGNRVISIEELARELNLGPSAYSVLRDWIRSADGKLSFLGYTKFLHGVTVRSSNTRHH >ONI25501 pep chromosome:Prunus_persica_NCBIv2:G2:29191173:29192105:-1 gene:PRUPE_2G306900 transcript:ONI25501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATRFEVGRGRDDEGKQQQRDFFKMPLHYPNYSKADYDSMPEWKLDRLLSEYGLPVVGSVEHKKKFAMGAFLWPH >ONI23548 pep chromosome:Prunus_persica_NCBIv2:G2:23255590:23258508:-1 gene:PRUPE_2G194300 transcript:ONI23548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLSNAALRDHQSSAFKPIPSLVLYILVPIFFLGLSVSIFILIVVHNALFFVSFLVLSALVFAFVVWNKRHWAKKAAFFLFLNSLPESDLRLAQHGQLVKITGIASCESLSLESSYEKATGCIYASTLLYEYRGLTRQPVNINSSCFQWHLAYCERFSTDFYLTDQKSGLRATVKAGSGCKVIPLVVESKLVNTKRCRLLSPHLRKWLSERNLSSESRLLRLEEGYVQEGSSVTVFGMLHRNNEITTIVQPPEVISTGCLWRKLLLPVDIDGLILRVSQLAQHSANPNSIQ >ONI25926 pep chromosome:Prunus_persica_NCBIv2:G2:30155073:30157203:-1 gene:PRUPE_2G327200 transcript:ONI25926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRTVKVNNVSLGATENDLKEFFCFSGEIEYIEIQGDNERSQLAYVTFKNPKGAETSVLLSGATIVDQSVTIDLAPDYKLPAAADSALPTATDNVSSGGAAQNAEDVVSSMLAKGFVLGKDAINKAKAFDEKHQLTSTATATVTSLDQKIGFTEKISAGTSMVNDKVREMDEKFQVSEKTKNAFSAAEQTVSSAGSAIMKNRYILTGTSWVTGAYNRAAKAAGEVGQKTMDKVSAEEEGGKKVEGSQITQVHSPKDPTH >ONI23062 pep chromosome:Prunus_persica_NCBIv2:G2:21611403:21613727:1 gene:PRUPE_2G167800 transcript:ONI23062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILDGLGLGSASSNIEGRYSDAGTHGLRPHAMHRWLCGTFGIHMSPRLGRHASITACVYSLRRWAIVDAKGMTNRSVGKPMVCWIAMS >ONI25194 pep chromosome:Prunus_persica_NCBIv2:G2:28324606:28324824:1 gene:PRUPE_2G287600 transcript:ONI25194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIKAKDPDPVPVPLHGDGVWVDNAYMHGGVKRIGNGPNGSLDGKSKLQAQPNYTKALTGHNSRKWASTNP >ONI25503 pep chromosome:Prunus_persica_NCBIv2:G2:29195284:29199513:1 gene:PRUPE_2G307100 transcript:ONI25503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLTDSVSITFSRETKGEQERMKLFQHLRQSILTHRKRLTSSIGVGLLRNVGSRRGVCASSDDAELKDLMEYMNSLKNYEKSGVPKDAGTDSEDGFDLGRMRRLLELLGNPHSKFKAVHIAGTKGKGSTAAFLSSILRAEGHSVGCYTSPHIQTIRERISMGRFGEPVSAKALRSLFHRIKKILDRAMEVENGRMSHFEVLTAMAFTLFAQENVGIAVIEAGLGGARDATNVICSSGLATSVITTIGEEHLAALGGSLESIATAKSGIIKHGRPVVLGGPFLPHIEHILRDKAFLMSSPVVLASDAGNRSKINGVSMLNGRPFQSCDIVIQLERDLKLFIELLDVKLYMLGTHQLQNAVTATCAALCLRNLGWRISDECIRTGLQQTHLLGRSQFLTSKEAQAIRLSDATILVDGAHTKESARALMETIKMTFPEAGLTLVVAMASDKDHLGFARECLSGGHVDGVVLTEASIAGSKSRTTAASLLRNCWIQASKELGIDVIHDKMSEHQDLSVDQLICLSKLGNKPILAAEASFPASLNTANQILRGRAGDRLGIVVITGSLHIVSLVLATLHHHG >ONI21271 pep chromosome:Prunus_persica_NCBIv2:G2:6859284:6859837:-1 gene:PRUPE_2G057000 transcript:ONI21271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLGLNNYHNAHELFSMMSFKRKEPPNDYLVLARRAIACAQYLPLALSMLGSHLRNQYYEARPFEEIQKILRTSYGGLTNRAQQVFLDIAFFFKGKDMDYVIQVLKCHKLESPENCIQELDFEQMGKDIVHEKSPNEPGRRSKLCCYEDVHEVLTENTVST >ONI20834 pep chromosome:Prunus_persica_NCBIv2:G2:3908713:3918552:1 gene:PRUPE_2G036100 transcript:ONI20834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFLTVVSASVAVVLAIIASLPLLKPNHQLLSWRSPMADLVVKNAKIYTSDESLPFADSMAVRSGRVLRVGNYSSIKDMVGDGTKELDLMGKIVVPGFIDSHVHLISGGLQMARVELRGVSQKDEFVRRIKEAVRNANKGSWILGGGWNNDLWGGELPMASWVDDITPYNPVWLSRMDGHMGLANSVALKLAGITTSSEDPNGGTIVRFTSGEPTGLLIDSAMKLLLLSIPEVSVEERREALSRASNHALMRGVTTVVDVGRYFPGTSVKLSWDDFSDVYKWADSSGKMMIRVCLFFPLETWLQLHNLITKVGHTMSQWIYLGGVKAFADGSLGSNSALFYEPYADEPHNYGLQVIDNESLLNLTLASDRVGLQVAIHAIGDRANDLILDMYESVFSTNGVRDRRFRIEHAQHLAPETPARFGKLGIVASVQPEHLLDDAESATKKLGIDRAQKGSYLFRSLLASNGQLAFGSDWPVADINPLGGIKTAMKRIPPGWDIAWIPSETLSLNDALKAYTLSAARACFLDSDLGSLSPGKLADFVILSRDSWDDVVVEGSASIEATYVGGVQAYP >ONI25838 pep chromosome:Prunus_persica_NCBIv2:G2:29929203:29939055:1 gene:PRUPE_2G322700 transcript:ONI25838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLAMTGILEKMTGKDKDFRYMATSDLLSELNKENFKADGDLEIKLSNIIIQQLDDVAGDVSGLAVKCLAPLVKKVSEQRVVEMTNKLCEKLLKEKDQHRDIASIALKTIIAEISTQSLAQSILLSILPQLINGITGPGMSQEIKCESLDILCDVLHKFGNLMATDHELLLGALLSQLSSTQAGVRKKTVSCIASLASSLSDDLLAKATVEVVQNLRNKSSKSEMTRTNIQMIGALSRAVGYRFGPHLSDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDISSYCDEILHLNLEYLSYDPNFTDNMEEDTDDETHEEEEDDESATEYTDDEDVSWKVRRAAAKCLAALIVSRPEMLSKLYEEACPKLIDRFKEREENVKMDVFNTFIELLQQTGNVTKGQIEINEQSPRWLLKQEVPKIVRSINRQLREKSIKTKVGTFSVLKELVVVLPDCLADHIGSLIPGIEKALSDKSSTSNLKIEALIFARLVLASHSPSVFHPYIEALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIEGDGFDFKPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVVSTFGDNLDVELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLKIDLSCVLEQVIAELTAFLRKANRPLRQATLGTLNSLIVAYGDKIGSSAYEVIIVELATLISDSDLHMTALALELCCTLMADRSSPVVGLAVRNKVLPQALTLIKSSLLQGQALLALQNFFASLVYSANTSFDTLLDSLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQQCSSTVNMLTEILKDDSSTNSAKQHLALLCLGEIGRRKDLSSHDHIENIVIESFQSPFEEIKSAASYALGNIAVGNLLKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILNLLFNHCESEEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSVVERPEKIDEILYPEISSFLMLIKDDDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTVIKKELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLRSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLHRISGGDCSLKFKNLMNEISKSPTLSDKYYSIRNE >ONI25839 pep chromosome:Prunus_persica_NCBIv2:G2:29929970:29938235:1 gene:PRUPE_2G322700 transcript:ONI25839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLAMTGILEKMTGKDKDFRYMATSDLLSELNKENFKADGDLEIKLSNIIIQQLDDVAGDVSGLAVKCLAPLVKKVSEQRVVEMTNKLCEKLLKEKDQHRDIASIALKTIIAEISTQSLAQSILLSILPQLINGITGPGMSQEIKCESLDILCDVLHKFGNLMATDHELLLGALLSQLSSTQAGVRKKTVSCIASLASSLSDDLLAKATVEVVQNLRNKSSKSEMTRTNIQMIGALSRAVGYRFGPHLSDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDISSYCDEILHLNLEYLSYDPNFTDNMEEDTDDETHEEEEDDESATEYTDDEDVSWKVRRAAAKCLAALIVSRPEMLSKLYEEACPKLIDRFKEREENVKMDVFNTFIELLQQTGNVTKGQIEINEQSPRWLLKQEVPKIVRSINRQLREKSIKTKVGTFSVLKELVVVLPDCLADHIGSLIPGIEKALSDKSSTSNLKIEALIFARLVLASHSPSVFHPYIEALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIEGDGFDFKPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVVSTFGDNLDVELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLKIDLSCVLEQVIAELTAFLRKANRPLRQATLGTLNSLIVAYGDKIGSSAYEVIIVELATLISDSDLHMTALALELCCTLMADRSSPVVGLAVRNKVLPQALTLIKSSLLQGQALLALQNFFASLVYSANTSFDTLLDSLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQQCSSTVNMLTEILKDDSSTNSAKQHLALLCLGEIGRRKDLSSHDHIENIVIESFQSPFEEIKSAASYALGNIAVGNLLKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILNLLFNHCESEEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSVVERPEKIDEILYPEISSFLMLIKDDDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTVIKKELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLRSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLHRIRFHPLPFIYVLM >ONI25837 pep chromosome:Prunus_persica_NCBIv2:G2:29929368:29939055:1 gene:PRUPE_2G322700 transcript:ONI25837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLAMTGILEKMTGKDKDFRYMATSDLLSELNKENFKADGDLEIKLSNIIIQQLDDVAGDVSGLAVKCLAPLVKKVSEQRVVEMTNKLCEKLLKEKDQHRDIASIALKTIIAEISTQSLAQSILLSILPQLINGITGPGMSQEIKCESLDILCDVLHKFGNLMATDHELLLGALLSQLSSTQAGVRKKTVSCIASLASSLSDDLLAKATVEVVQNLRNKSSKSEMTRTNIQMIGALSRAVGYRFGPHLSDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDISSYCDEILHLNLEYLSYDPNFTDNMEEDTDDETHEEEEDDESATEYTDDEDVSWKVRRAAAKCLAALIVSRPEMLSKLYEEACPKLIDRFKEREENVKMDVFNTFIELLQQTGNVTKGQIEINEQSPRWLLKQEVPKIVRSINRQLREKSIKTKVGTFSVLKELVVVLPDCLADHIGSLIPGIEKALSDKSSTSNLKIEALIFARLVLASHSPSVFHPYIEALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIEGDGFDFKPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVVSTFGDNLDVELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLKIDLSCVLEQVIAELTAFLRKANRPLRQATLGTLNSLIVAYGDKIGSSAYEVIIVELATLISDSDLHMTALALELCCTLMADRSSPVVGLAVRNKVLPQALTLIKSSLLQGQALLALQNFFASLVYSANTSFDTLLDSLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQQCSSTVNMLTEILKDDSSTNSAKQHLALLCLGEIGRRKDLSSHDHIENIVIESFQSPFEEIKSAASYALGNIAVGNLLKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILNLLFNHCESEEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSVVERPEKIDEILYPEISSFLMLIKDDDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTVIKKELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLRSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLHRISGGDCSLKFKNLMNEISKSPTLSDKYYSIRNE >ONI22807 pep chromosome:Prunus_persica_NCBIv2:G2:20709761:20711724:1 gene:PRUPE_2G152400 transcript:ONI22807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLVNQSLLSANLQSKLAAIMAKFIIHAPRQRSPFTRAALKTMESIGALEQFMLKHRKDYVDLHRTTEQERDSIEHEVSAFIKACQEQIDVLKNSINDDEANSKGWLGIRTDYSNADTIAHKHGVVLILSERLHSVTSQFDKLRAIRFQDTINRATPRRKLKRIANSEPADASNSNNLELREPNELQPEPLTVQQQLLDDETRALQVELTNLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIEQLYDQAVEATKNVELGNKEISKAIQRNNSSRTFLLLFIVLLTFSVLFLDWYN >ONI22806 pep chromosome:Prunus_persica_NCBIv2:G2:20708824:20712071:1 gene:PRUPE_2G152400 transcript:ONI22806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVRDRMEDFKDAVRHSALSLGYNESKLAAIMAKFIIHAPRQRSPFTRAALKTMESIGALEQFMLKHRKDYVDLHRTTEQERDSIEHEVSAFIKACQEQIDVLKNSINDDEANSKGWLGIRTDYSNADTIAHKHGVVLILSERLHSVTSQFDKLRAIRFQDTINRATPRRKLKRIANSEPADASNSNNLELREPNELQPEPLTVQQQLLDDETRALQVELTNLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIEQLYDQAVEATKNVELGNKEISKAIQRNNSSRTFLLLFIVLLTFSVLFLDWYN >ONI23036 pep chromosome:Prunus_persica_NCBIv2:G2:21504579:21505323:1 gene:PRUPE_2G165900 transcript:ONI23036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFFSNGSIVLLVILLSTICLVAEAQQCRPSGKIRGRKAPAGQCNKENDSDCCVAGKMYPTYKCSPPLSGSTKAYLTLNSFEKNGDGGGPSECDNQYHNDNTPVVALSTGWYNNGGRCHNHIRINGNGRSVVAMVVDECDSTEGCDADHDYQPPCPNNIVDASKAVWKALGVPEDNWGGLDITWSDA >ONI21867 pep chromosome:Prunus_persica_NCBIv2:G2:14949166:14950101:1 gene:PRUPE_2G094900 transcript:ONI21867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKFGHHMSRYASCCITCIVLVSFNVCAFSNAKTEVSLARLKRKSEKEVSSPFRELSTIGDCMEILEAMEGVNDDAHVKAFDKFTNLDWRKMFLKMLDPQDHREECGSIAY >ONI25389 pep chromosome:Prunus_persica_NCBIv2:G2:28865988:28866281:-1 gene:PRUPE_2G299700 transcript:ONI25389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKNKIQVEQSNIRPFLKKILPSRNQNGKGSFHKQCQTPGRTRIFGLNYHSKKEKEKENWEELLSARELTHRFDENACRGKGDEDFPLKLCILQSK >ONI22220 pep chromosome:Prunus_persica_NCBIv2:G2:17289907:17292224:-1 gene:PRUPE_2G115100 transcript:ONI22220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPDSQESILPKDETVIEQTQFVKLGRCQWWFMVALNIFFLIVGQAAAVLLGRFYYDRGGNSKWMATLVQTAAFPVLLIPLYFIPSSKDPLTSSAPPSIKVLALIYFALGVLLACDNMLYSVGLLYLSASTYSLICATQLAFNAVFSYFINSQKFTALILNSVIIVSFSAALIAVSDDSSGPAGVSKWKHILGFICTLGASAIYSLLLSLMQLTFQKVLKKETFSVVLEMQIYTALVASCAATVGLFASGEWRTLHGEMENFGEGRVSYVMTLVWTAVAWQVCSVGVVGLIFVVSSLFSNVISTLSLAVTPIAAVIIFHDKMDGVKIIAMLLAIWGFATYIYQNYLDDSKVRRRQTGVTESHNDSSA >ONI22219 pep chromosome:Prunus_persica_NCBIv2:G2:17289610:17292658:-1 gene:PRUPE_2G115100 transcript:ONI22219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHKAESEWWRDSQESILPKDETVIEQTQFVKLGRCQWWFMVALNIFFLIVGQAAAVLLGRFYYDRGGNSKWMATLVQTAAFPVLLIPLYFIPSSKDPLTSSAPPSIKVLALIYFALGVLLACDNMLYSVGLLYLSASTYSLICATQLAFNAVFSYFINSQKFTALILNSVIIVSFSAALIAVSDDSSGPAGVSKWKHILGFICTLGASAIYSLLLSLMQLTFQKVLKKETFSVVLEMQIYTALVASCAATVGLFASGEWRTLHGEMENFGEGRVSYVMTLVWTAVAWQVCSVGVVGLIFVVSSLFSNVISTLSLAVTPIAAVIIFHDKMDGVKIIAMLLAIWGFATYIYQNYLDDSKVRRRQTGVTESHNDSSA >ONI23037 pep chromosome:Prunus_persica_NCBIv2:G2:21508011:21508583:1 gene:PRUPE_2G166000 transcript:ONI23037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSAIFSRGSIALLIILLSTICLVTEGQQCRPSGKIRGRKAPAGQCNKENDSDCCVAGKMYPTYKCSPPLSGSTKAYLTLNSFEKNGDGGGPSECDNQYHNDNTPVVALSTGWYNNGRRCHNHIRINGNGRSVVAMVVDECDSTEGCDADHDYQPPCPNNIVDASKAVWKALGVPEDNWGGLDITWSDA >ONI24778 pep chromosome:Prunus_persica_NCBIv2:G2:27084087:27086392:1 gene:PRUPE_2G262000 transcript:ONI24778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHLSKASKFLSSAFTLRLAPNPAKFRCSVKLSTMSNGEGAVRFPLSASSTLVIQKGDITKWFIDGSTDAIVNPANERMLGGGGADGAIHRAAGPDLLQACYSVPEVRPGVRCPTGEARITQGFKLPASHVIHTVGPIYRSDSNPEVYLSAAYRNSLSIAKSNNIQYVAFPAISCGVYGYPYDEAATVALSTIRESVNDLKEVHFVLFADDIYTVWLDKANELLKA >ONI20215 pep chromosome:Prunus_persica_NCBIv2:G2:396559:397878:1 gene:PRUPE_2G003700 transcript:ONI20215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQITHPYDNQSHVLILIPTPTYPDGVFSCDACMKHGNGFAYHCGACSIDLHPSCASNSLVLTHQSHPHQLSLSFSLPPGFNNSKTFICNICNQVGYKEWLYMCNPCGFTAHLGCATAKPRAPPPPLNQQIQAAAQAAFVGTPCFPTSTISASPHQFQNYVPNSSFNNNNYNNGNIPAFGVGGQPVRRNKSGKELGKAIVNTAAQVLIGVPIFGLGGNSSQQQQQQPQQQPQQQQYQQQQQQQKHPQQQPQRQQYQQRPQYQQQRQQQQQQQLVGGGVWPVGFISAGGNDMQGVGISIDFGSSTNAITDPSPFGSTYTEADYFGGTGGVGDTIIPYTFDLRY >ONI20358 pep chromosome:Prunus_persica_NCBIv2:G2:995112:998816:-1 gene:PRUPE_2G011200 transcript:ONI20358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKGWFSGDWIPTKNSYGLIVIVQQQVTKNVINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPVKPKHKKDGFVSSLCGGSRKKGSKSSKKGSDKKKSNKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGNEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKWLERFAYVNTTIYPITSIPLLMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >ONI22886 pep chromosome:Prunus_persica_NCBIv2:G2:21039227:21044067:1 gene:PRUPE_2G157000 transcript:ONI22886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMMRRLKSIASGRTSVSSEPGVDSITKRAKFDQETEKKANKQSNTVEKSATSLEQHTVPTSLETVASTSDVSSIAKTEAKEEKSSYDQLPKEMNEMKIRDEKANNHDEKDMEETVINGNGTETGHIIATTVGGRNGQPKQTISYMAERVVGTGSFGVVFQAKCLETSDAVAIKKVLQDKRYKNRELQIMRLLDHPNVVQLKHCFFSTTDKDELYLNLVLEYISETVYRVSKHYIRMNQHIPIIYVQLYTYQICRALNYLHHVIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKMLVPGESNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVFAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDDLRDPNVSLPNGRALPPLFDFTAQELAGASTELRHRLIPEHARN >ONI22887 pep chromosome:Prunus_persica_NCBIv2:G2:21039874:21044067:1 gene:PRUPE_2G157000 transcript:ONI22887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMKIRDEKANNHDEKDMEETVINGNGTETGHIIATTVGGRNGQPKQTISYMAERVVGTGSFGVVFQAKCLETSDAVAIKKVLQDKRYKNRELQIMRLLDHPNVVQLKHCFFSTTDKDELYLNLVLEYISETVYRVSKHYIRMNQHIPIIYVQLYTYQICRALNYLHHVIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKMLVPGESNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVFAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDDLRDPNVSLPNGRALPPLFDFTAQELAGASTELRHRLIPEHARN >ONI20419 pep chromosome:Prunus_persica_NCBIv2:G2:1272394:1278214:1 gene:PRUPE_2G014400 transcript:ONI20419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSLKSAIFDREARKQQYQAHILGLNAYDRHKKFVKDYVSFYGREESSHVKLPVKTDQDTLREGYRFIRSEEDDMDRSWEQRLVKRYYDKLFKEYCIADMSHYKSGKIGLRWRTEKEVVSGKGQFVCGNKHCDVKDGLASYEVNFSYFEAGENKQALVKLVTCERCSEKLHYKRRKEKEQLEKEKKEVNRRKRSRSGDDDDDDDDTDNEGNKERSKAKKASISTSEHRADDDDDDFDEFLEGMFP >ONI22561 pep chromosome:Prunus_persica_NCBIv2:G2:19445923:19454224:1 gene:PRUPE_2G136900 transcript:ONI22561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSFELEDQTDEDFFDRLVNDDIDFTGNVPSSVQNSEPDEVKAFSKLSISEAGSLGVDISGNGGFGVNDELGHEDRVVLESLDPLQDPVEVVKESKSPTPDSKNEFIALNDIADNGNEARALEDKADDRNEASALDDKAGNGNEAKALEHKGKEGAVDGAGSTSIVSAETGVKVVQWSSFNSDLKPSAGNSDFFSEFGDYSEDPFANLGNTEKSWAESMVTNGVLENSVADLGASSYGQNQEGQPCGAIEEQNLDGEDLNGSQNWENLYPGWRFDPNTGQWYQLEGYDVSANTNTDVNINANTQESFNVNSQSVDNVVFSDQKEDAYYFQQPAQSVSQSVAQGSEISTGSNWNEHSCGNTDYPAHMVFDPQYPGWYYDTIAQAWKQLESSASASDQSTSVEHNQQYHNTNVENHGSQSLLNEQNVANWGGSVSTYDQQSASMWHTQNVAKSDTVSFPEKQQYATQYFSAEHVANSVNQQTGFNPSGSIAPNEEVSHGYGISGGVGGFESFHPAGNFSQHQNQAKEPNQVMSFSPANFDCQKPVHFSQQPVQSGSQFSHEARMGRSSAGRPPHALVTFGFGGKLIVMKDNSYSPANMTYQSQDSVGGVINVLNLMEVVVDKTDAASFGTGCHDYFHALCQQSFPGPLVGGNAGSRELNKWIDDKIANCKTPCMDFRKGDHLRLLFSLLKIACQYYGKLRSPFGTDLALKETDSPESAVAKLFYSAKRSNEYGALMCCLHNLPSEAQSQATALEVQKLLVSGRKKEALQCAQEGQLWGPALVIASQLGDQFYGDAVKHMALNQLVAGSPLRTLCLLIARQPADVFSNATTDSNLPMNISQQHTQIGANYMLDGWEENLAILTANRTTDDELVIIHLGDCLWKERGQNTAAHICYLVAEANFEQYSESARLCLLGADHWKFPRTYASPEAIQRTELYEYSRVLGNSQFLLLPFQPYKLIYAHMLAEVGKVGDALKYCQAILKSLKYGRAPELDTWRQLVSSLEERIRAHQQGGYNTNLAPAKLMGKLFTLFDNTAHRVVGGLPPPVPATSQGHAHQPGGPSVSNNQSTMGVSPLMPSASMEPISEWSAESNQLNIPNRSISEPDFGRSPGKVDASKKVDSSKTQEKASTSRFGNFGSQIFQKTLGFVKRSQSDRQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEAALPPPPPTAAFPNRVQDYNINDALNTKSFDAVAGPQIKSPVAPEQSSGIPPIPPSSNQFSARGRTGVRSRYVDTFNKGGGTPGSLFQSPSLPSAKPVVGPNPKMFIPTAVTSYEKTVQTPGESEQEPLVTINNPPKSFQDVLPTPQTSTSSSMTTMQRFPSMDNIVQKRAGEMANGSSFVPPESRRVASWSGSLNHASNPSMRNEIKPLGEALGRSPLSHMHSGPPSLQSSRSGGSFGDDLHEVEL >ONI22562 pep chromosome:Prunus_persica_NCBIv2:G2:19446260:19454224:1 gene:PRUPE_2G136900 transcript:ONI22562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSFELEDQTDEDFFDRLVNDDIDFTGNVPSSVQNSEPDEVKAFSKLSISEAGSLGVDISGNGGFGVNDELGHEDRVVLESLDPLQDPVEVVKESKSPTPDSKNEFIALNDIADNGNEARALEDKADDRNEASALDDKAGNGNEAKALEHKGKEGAVDGAGSTSIVSAETGVKVVQWSSFNSDLKPSAGNSDFFSEFGDYSEDPFANLGNTEKSWAESMVTNGVLENSVADLGASSYGQNQEGQPCGAIEEQNLDGEDLNGSQNWENLYPGWRFDPNTGQWYQLEGYDVSANTNTDVNINANTQESFNVNSQSVDNVVFSDQKEDAYYFQQPAQSVSQSVAQGSEISTGSNWNEHSCGNTDYPAHMVFDPQYPGWYYDTIAQAWKQLESSASASDQSTSVEHNQQYHNTNVENHGSQSLLNEQNVANWGGSVSTYDQQSASMWHTQNVAKSDTVSFPEKQQYATQYFSAEHVANSVNQQTGFNPSGSIAPNEEVSHGYGISGGVGGFESFHPAGNFSQHQNQAKEPNQVMSFSPANFDCQKPVHFSQQPVQSGSQFSHEARMGRSSAGRPPHALVTFGFGGKLIVMKDNSYSPANMTYQSQDSVGGVINVLNLMEVVVDKTDAASFGTGCHDYFHALCQQSFPGPLVGGNAGSRELNKWIDDKIANCKTPCMDFRKGDHLRLLFSLLKIACQYYGKLRSPFGTDLALKETDSPESAVAKLFYSAKRSNEYGALMCCLHNLPSEAQSQATALEVQKLLVSGRKKEALQCAQEGQLWGPALVIASQLGDQFYGDAVKHMALNQLVAGSPLRTLCLLIARQPADVFSNATTDSNLPMNISQQHTQIGANYMLDGWEENLAILTANRTTDDELVIIHLGDCLWKERGQNTAAHICYLVAEANFEQYSESARLCLLGADHWKFPRTYASPEAIQRTELYEYSRVLGNSQFLLLPFQPYKLIYAHMLAEVGKVGDALKYCQAILKSLKYGRAPELDTWRQLVSSLEERIRAHQQGGYNTNLAPAKLMGKLFTLFDNTAHRVVGGLPPPVPATSQGHAHQPGGPSVSNNQSTMGVSPLMPSASMEPISEWSAESNQLNIPNRSISEPDFGRSPGKVDASKKVDSSKTQEKASTSRFGNFGSQIFQKTLGFVKRSQSDRQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEAALPPPPPTAAFPNRVQDYNINDALNTKSFDAVAGPQIKSPVAPEQSSGIPPIPPSSNQFSARGRTGVRSRYVDTFNKGGGTPGSLFQSPSLPSAKPVVGPNPKMFIPTAVTSYEKTVQTPGESEQEPLVTINNPPKSFQDVLPTPQTSTSSSMTTMQRFPSMDNIVQKRAGEMANGSSFVPPESRRVASWSGSLNHASNPSMRNEIKPLGEALGRSPLSHMHSGPPSLQSSRSGGSFGDDLHEVEL >ONI23544 pep chromosome:Prunus_persica_NCBIv2:G2:23238106:23240516:1 gene:PRUPE_2G194100 transcript:ONI23544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSQVYHFDEVAKHNHKKDCWIIISGKVYDVTPFLEDHPGGDEVLLLAVEKDATDDFNDVGHSDSAKEQMEKFYVGKVDTSTIPEQPSYKLPAQTTPPSGQSSGFVVKLLQFLLPLLILGAAFALQYYGKKK >ONI23546 pep chromosome:Prunus_persica_NCBIv2:G2:23238106:23240516:1 gene:PRUPE_2G194100 transcript:ONI23546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSQVYHFDEVAKHNHKKDCWIIISGKVYDVTPFLEDHPGGDEVLLLAVEKDATDDFNDVGHSDSAKEQMEKFYVGKVDTSTIPEQPSYKLPAQTTPPSGQSSGFVVKLLQFLLPLLILGAAFALQYYGKKK >ONI23545 pep chromosome:Prunus_persica_NCBIv2:G2:23237839:23240525:1 gene:PRUPE_2G194100 transcript:ONI23545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSQVYHFDEVAKHNHKKDCWIIISGKVYDVTPFLEDHPGGDEVLLLAVEKDATDDFNDVGHSDSAKEQMEKFYVGKVDTSTIPEQPSYKLPAQTTPPSGQSSGFVVKLLQFLLPLLILGAAFALQYYGKKK >ONI23543 pep chromosome:Prunus_persica_NCBIv2:G2:23237839:23240525:1 gene:PRUPE_2G194100 transcript:ONI23543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSQVYHFDEVAKHNHKKDCWIIISGKVYDVTPFLEDHPGGDEVLLLAVEKDATDDFNDVGHSDSAKEQMEKFYVGKVDTSTIPEQPSYKLPAQTTPPSGQSSGFVVKLLQFLLPLLILGAAFALQYYGKKK >ONI21480 pep chromosome:Prunus_persica_NCBIv2:G2:9883198:9886294:1 gene:PRUPE_2G069100 transcript:ONI21480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWTWTDSVMMKLNPDVIGILEEAGLKFVGKDDSGRRMEILELPSHPFYVGVQFHPEFKSRPGKPSALFLGLILAATGQLETYLDQHPNTS >ONI21380 pep chromosome:Prunus_persica_NCBIv2:G2:8091850:8092501:1 gene:PRUPE_2G062300 transcript:ONI21380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMCGCRCQILEVNFLDFDVYVSYTLVIKALFKLGKLQEDTQITHNILIKCFCKARDFLKAFQLHNEMLVHNLEPTPVTYNVLINGLCVYGDLMDADRLLVSLCDCNINLKVAYTTLIKAHRAKGDVHRAVGLFHQMVMLNTFRRVGDLNSVAEPSEMFKLGFLHE >ONI20663 pep chromosome:Prunus_persica_NCBIv2:G2:2920186:2921279:-1 gene:PRUPE_2G028300 transcript:ONI20663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLCFPVFAVFAIIGVVFSGEIHVAVGQLCGGDVGALIRQCSTYVEKGKPMTNPSQACCDLIKKVDILCACKHVTKELEELVDMNKVVHVVSYCGIPVPHGMKCGSFTVPGSG >ONI23807 pep chromosome:Prunus_persica_NCBIv2:G2:24237776:24241928:-1 gene:PRUPE_2G209500 transcript:ONI23807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASLPDRSSSGRLVGPNSENGGASDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVIVYDITSPESFNKAQYWVKELQKHGSPDIVLALVGNKADLHEKREVPVQDGIEYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPAISSTQNP >ONI24532 pep chromosome:Prunus_persica_NCBIv2:G2:26266058:26268864:1 gene:PRUPE_2G245300 transcript:ONI24532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPSTPKATWTPGHRKIFFDLCLEEMSKGNKPGTHFTKEGWRNLIESFYEKTGIRYSKRQMKNHWDFTKKQWKVWVKLIAEVSMKWDPSTNGFGASAEDWANYVQVNPEAAQFQYKELPCPDILEIVFAGTMDSEDMEPSNSRRQSNSSDTSLLHSEEQELVTVEGGDEHLSNAIPLRSYEMGQSKHRRTTASEQSISSSSQPKTKAIWMPATHEVFLDLCLEEALKGNKPGTHFTKDGWRTIVESFQQKTGLMYNRLQLKNHWDITKEQWKVWCKLIGTSSMGWNPNSRRFSANDEDWANYLETDPEAAPFRFKEPQSTDKLETIFDGTTVTGETEPPARRRKFNHDLSASLLHIEEAGTVNRERDVEHLDAVTVSFMPGKQTYSIGECIACLDAMEDVEQGSELYLFALDVFLKKEYREIFLQLKKPSVRIAWLQRLQSVGPPLF >ONI24530 pep chromosome:Prunus_persica_NCBIv2:G2:26266058:26269400:1 gene:PRUPE_2G245300 transcript:ONI24530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPSTPKATWTPGHRKIFFDLCLEEMSKGNKPGTHFTKEGWRNLIESFYEKTGIRYSKRQMKNHWDFTKKQWKVWVKLIAEVSMKWDPSTNGFGASAEDWANYVQVNPEAAQFQYKELPCPDILEIVFAGTMDSEDMEPSNSRRQSNSSDTSLLHSEEQELVTVEGGDEHLSNAIPLRSYEMGQSKHRRTTASEQSISSSSQPKTKAIWMPATHEVFLDLCLEEALKGNKPGTHFTKDGWRTIVESFQQKTGLMYNRLQLKNHWDITKEQWKVWCKLIGTSSMGWNPNSRRFSANDEDWANYLETDPEAAPFRFKEPQSTDKLETIFDGTTVTGETEPPARRRKFNHDLSASLLHIEEAGTVNRERDVEHLDAVTVSFMPGKQTYSIGECIACLDAMEDVEQGSELYLFALDVFLKKEYREIFLQLKKPSVRIAWLQRLQSVGPPLF >ONI24531 pep chromosome:Prunus_persica_NCBIv2:G2:26266042:26268868:1 gene:PRUPE_2G245300 transcript:ONI24531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPSTPKATWTPGHRKIFFDLCLEEMSKGNKPGTHFTKEGWRNLIESFYEKTGIRYSKRQMKNHWDFTKKQWKVWVKLIAEVSMKWDPSTNGFGASAEDWANYVQVNPEAAQFQYKELPCPDILEIVFAGTMDSEDMEPSNSRRQSNSSDTSLLHSEEQELVTVEGGDEHLSNAIPLRSYEMGQSKHRRTTASEQSISSSSQPKTKAIWMPATHEVFLDLCLEEALKGNKPGTHFTKDGWRTIVESFQQKTGLMYNRLQLKNHWDITKEQWKVWCKLIGTSSMGWNPNSRRFSANDEDWANYLETDPEAAPFRFKEPQSTDKLETIFDGTTVTGETEPPARRRKFNHDLSASLLHIEEAGTVNRERDVEHLDAVTVSFMPGKQTYSIGECIACLDAMEDVEQGSELYLFALDVFLKKEYREIFLQLKKPSVRIAWLQRLQSVGPPLF >ONI20815 pep chromosome:Prunus_persica_NCBIv2:G2:3752951:3755855:-1 gene:PRUPE_2G035000 transcript:ONI20815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEEERKAKSSFFNWWYLGLVFGATSATLGVVYLQDNVGWGLGFGILAGVVMVSLLLFLVGIKRYRKQRPPGSPFTTLAQVFVAAALKWHVNEILNCESVYYGDEKGGAMVEGQPQALVLSRTNQLRFLDKAMMIDEVDASNQTRNPWRLCSLNQVEEVKLVLRLIPICPTSHRLHQSSTTIRSIGPHFQVPPASLQGLVGIAILIAVPIYNRFLVPLTRKYTGHSSGITVLQRIGIGLVISILNMVVSALVEAKRLDVAKHYNLIDKPKAIIPMKVWWLLPQYLICGVSDAFTTVGLQELFYDQMPEQMRSMGAAAFISVIGVGSFISSGIISIVQSITSRNGEKWLGDNINRAHLDYFYWVLAVLSTLSLCVYVLITRAFVYRKIGGEEKLKAEMSLFAKNHDGGET >ONI20814 pep chromosome:Prunus_persica_NCBIv2:G2:3752951:3755888:-1 gene:PRUPE_2G035000 transcript:ONI20814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIASPTQGKPGRGGWNAAIFIIFVEVAQQFAFYGLASNLITYLTNVLDQPLATAAKNVNTWLGVSSVFPVLGAFVADSYLGRFTTILFSITIYFMGMVLLTLSVSVIHSQSRRAVFFVALYILSSMRTEEERKAKSSFFNWWYLGLVFGATSATLGVVYLQDNVGWGLGFGILAGVVMVSLLLFLVGIKRYRKQRPPGSPFTTLAQVFVAAALKWHVNEILNCESVYYGDEKGGAMVEGQPQALVLSRTNQLRFLDKAMMIDEVDASNQTRNPWRLCSLNQVEEVKLVLRLIPICPTSHRLHQSSTTIRSIGPHFQVPPASLQGLVGIAILIAVPIYNRFLVPLTRKYTGHSSGITVLQRIGIGLVISILNMVVSALVEAKRLDVAKHYNLIDKPKAIIPMKVWWLLPQYLICGVSDAFTTVGLQELFYDQMPEQMRSMGAAAFISVIGVGSFISSGIISIVQSITSRNGEKWLGDNINRAHLDYFYWVLAVLSTLSLCVYVLITRAFVYRKIGGEEKLKAEMSLFAKNHDGGET >ONI23363 pep chromosome:Prunus_persica_NCBIv2:G2:22593692:22594468:1 gene:PRUPE_2G184200 transcript:ONI23363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSFKVYQTCLFLASPIKWASRNPDLALNADHVTLEPEGNCFYPCAISLLSMLYIVLYNYYFVSLYCL >ONI22031 pep chromosome:Prunus_persica_NCBIv2:G2:15863297:15867314:-1 gene:PRUPE_2G102800 transcript:ONI22031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTANLGSVSGRTKEVVYPLFSKALSSAKNRTEAQKVHSLIVTLGLHHCVFFSGKLISKYAQLRDPIASLSVFHQAFPKTNPYLWNSIIRALIHNGFYSKALGHYTEMQKMNVQPDRYTFPSVINACAGLCDLETGMIVHQRISEMGFGSDLYIGNALIDMYARFGEFGKARRMFEEMPLRDIVSWNSLISGYSSNGYWEEALEIFHRLRMDGLLPDSFSISGVLPACGSLVDVREGQIVHALVEKIGVHADVLVSNGLLSMYFKFGWLKDAQLFFQRTVVRDSVSWNTVICGYSQLGLFEESINLFMEMVNEFTPDLLTITSVLRACAHLRDLGLARYVHDYMKRSAFSFDTMANNILIDMYAKCGNLLASQEVFDHMECRDSVSWNSLINGYFLNGCCDEGLNLFKVMKSNMIPDSVSYVMILSISTQLADVEKGKMIHCDIVKLGFDYDVIVNNALADMYAKCGKIQDSLILFENMKVRDVVTWNTIISACIHYDDRILGLRMILRMRNEGVMPDAATMLGILPLCSLLAAKQQGKEIHGCIFRLGFHSDVPVGNALIEMYSSCGRLENSVLVFEHMKKKDVVTYTSMISAFGTYGEGVRALRTFAEMEATSVLPDHLAFLAVIFACSHSGLVEEGLAYFNRMKNDYKIEPRIEHYACVVDLLSRCGLLAQAEDFIHSMPMKPDASIWGSLLSACRASGEANIAARASEQIIQLDSYDTGYHVLVSNVYAALGKWDQVRIIRKYMQAKGLSKDPGFSWMEIQKKG >ONI22032 pep chromosome:Prunus_persica_NCBIv2:G2:15863393:15867314:-1 gene:PRUPE_2G102800 transcript:ONI22032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTANLGSVSGRTKEVVYPLFSKALSSAKNRTEAQKVHSLIVTLGLHHCVFFSGKLISKYAQLRDPIASLSVFHQAFPKTNPYLWNSIIRALIHNGFYSKALGHYTEMQKMNVQPDRYTFPSVINACAGLCDLETGMIVHQRISEMGFGSDLYIGNALIDMYARFGEFGKARRMFEEMPLRDIVSWNSLISGYSSNGYWEEALEIFHRLRMDGLLPDSFSISGVLPACGSLVDVREGQIVHALVEKIGVHADVLVSNGLLSMYFKFGWLKDAQLFFQRTVVRDSVSWNTVICGYSQLGLFEESINLFMEMVNEFTPDLLTITSVLRACAHLRDLGLARYVHDYMKRSAFSFDTMANNILIDMYAKCGNLLASQEVFDHMECRDSVSWNSLINGYFLNGCCDEGLNLFKVMKSNMIPDSVSYVMILSISTQLADVEKGKMIHCDIVKLGFDYDVIVNNALADMYAKCGKIQDSLILFENMKVRDVVTWNTIISACIHYDDRILGLRMILRMRNEGVMPDAATMLGILPLCSLLAAKQQGKEIHGCIFRLGFHSDVPVGNALIEMYSSCGRLENSVLVFEHMKKKDVVTYTSMISAFGTYGEGVRALRTFAEMEATSVLPDHLAFLAVIFACSHSGLVEEGLAYFNRMKNDYKIEPRIEHYACVVDLLSRCGLLAQAEDFIHSMPMKPDASIWGSLLSACRASGEANIAARASEQIIQLDSYDTGYHVLVSNVYAALGKWDQVRIIRKYMQAKGLSKDPGFSWMEIQKKG >ONI22614 pep chromosome:Prunus_persica_NCBIv2:G2:19670842:19671551:1 gene:PRUPE_2G139800 transcript:ONI22614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQMGVLKPGVTFVLGAMAGAFITRRRHHHDHEGRKPWSCPRQAHDKKIESTSSPETVETATTATTPNLAN >ONI25390 pep chromosome:Prunus_persica_NCBIv2:G2:28866686:28869513:-1 gene:PRUPE_2G299800 transcript:ONI25390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAGMRTLESMVLLLLMMVFVGYMMIWIMMPTNTFYLNWFPHILKKTDSTYFEKQGAEVLIYPFPILFIATVGCFLLHRRKKKADENNQRVKGSFWASWKRPALVKGPLGIVSWIELSFVVMVIALLVWSISSYLHNMFATAHAGVKGEQVWETKLSIVALALGLVGNLCLSLLFFPVSRGSSILQFIGLTSEASIKYHIWLGHLVMTLFTAHGLCYIIYWANTSQIFLMFNWDNFAICNLAGEIALLAGLAMWATSFPRIRRKMFELFFYTHHLYIVFVVFYVFHVKFSYACTMLPGFYLFIIDRFLRFLQSQQRIRLVSARVLPCEAVELNFSKNPGLSYSPTSMVFVNVPSISKLQWHPFSVTSSSKLDADKLSVVIKSEGNWSQNLYQKLSSTQPIDRLQVSVEGPYGPVSNNMLRHDTIVMVSGGSGITPLISIIRELLFLANYSGTKTPQILLICAFRRSLDLTMLNLILPVSGTDLDISCLQLQIEAYVTREREATSNSHKPFQTICFKPDPSDVPVSAILGQNSWLWLAMIISSSFVIFLVLLGILTRFYIYPNEHDYKMMYSESSRSALSMLLLCVSIATTATAGFLWNKKQSVKEMGQIQAIDASTPITTSPSACYSSGDQELESLPHRSFVESTKVHYDRRPDLKGILSDCEGSSIGVLVSGPMRMRQEVAAICSSGSVNNNLHYHSMSFSW >ONI25943 pep chromosome:Prunus_persica_NCBIv2:G2:30183483:30186699:-1 gene:PRUPE_2G328100 transcript:ONI25943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEIFCGLIIYRLYRCFFSDDDDILDVETSDSKALFSVGERLVKLYGGKVYAGLRIPDADTATPQNIDLVLVSKGTIQSSNFPSEVVTYDQWVQLKPEPKSMFSGWIKGAFRGGKKEMQESIHQKLNFILSTAPMWDRLELKGNKYVLGEFLEFKGKQEDVEALRNIKRSKISRLIVQKTSMLGFAPSRLQVLYSPRDYRSEGPSASEWKEVNVRSSTEVLFQPESSDKLRKFKLASIVSMSLSA >ONI25944 pep chromosome:Prunus_persica_NCBIv2:G2:30183428:30186845:-1 gene:PRUPE_2G328100 transcript:ONI25944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEIFCGLIIYRLYRCFFSDDDDILDVETSDSKALFSVGERLVKLYGGKVYAGLRIPDADTATPQNIDLVLVSKGEAVVIAVKNFSGLISVNPDGSWVCEGYSKRKTEHHPDPVAETKKQASILESYLEQRGVALPEGYLSCKVVLSNPKVCTIQSSNFPSEVVTYDQWVQLKPEPKSMFSGWIKGAFRGGKKEMQESIHQKLNFILSTAPMWDRLELKGNKYVLGEFLEFKGKQEDVEALRNIKRSKISRLIVQKTSMLGFAPSRLQVLYSPRDYRSEGPSASEWKEVNVRSSTEVLFQPESSDKLRKFKLASIVSMSLSA >ONI21823 pep chromosome:Prunus_persica_NCBIv2:G2:14429324:14431067:1 gene:PRUPE_2G091400 transcript:ONI21823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSSDMAYNVVSPIVLEFGSLNFEVLESSLPKKKKKVLEYYSVLWICGLKLVFLRISKIGWYFRLGIAVK >ONI23844 pep chromosome:Prunus_persica_NCBIv2:G2:24385400:24386450:-1 gene:PRUPE_2G211500 transcript:ONI23844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTPKRINNMANKTAILICVLVVVIGCSSMQGSEAISACAKPCMPVCMKEEGASIPICEIACENYCKQISGNRNSEWNRYRNL >ONI24628 pep chromosome:Prunus_persica_NCBIv2:G2:26556629:26559581:-1 gene:PRUPE_2G250900 transcript:ONI24628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCPSDWPEPIVRVQSLSETGVFPDRYIKPPTQRPTPISSNSDQATHTNIPIIDFQGLDDDHLRATTLGQISEACRDWGFFQVVNHGVSPGLMDRNQEVWRQFFHLPMELKQAYANSPKTYEGYGSRLGVEKGAILDWSDYYFLHYLPLSLKDHDKWPALPGYARQVIDEYGKEVVKLCGRILKILSLNLGLEQDFLQKGFGGEDIGACLRVNFYPKCPQPDLTLGLSSHSDPGGMTVLLPDQHVAGLQVRKDDTWITVKPAPHAFIVNIGDQIQVLSNATYKSVEHRVIVNPAKERLSLAFFYNPKSDIAIEPAKELVTPERPALYPPMTFNEYRLYIRLNGPRGKSQVDSLKSPRK >ONI22900 pep chromosome:Prunus_persica_NCBIv2:G2:21118802:21120260:-1 gene:PRUPE_2G157900 transcript:ONI22900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVSESVRQACYGTEGVCYAKKDDNLAKHPEINVPNLQVIKLMQSFKSKEYLRETFAWMHYYWYLTNDGIEFLRNYLNLPSEIVPATLKKQGKPPGCPLGPSVDCPR >ONI22046 pep chromosome:Prunus_persica_NCBIv2:G2:16033269:16034345:-1 gene:PRUPE_2G103800 transcript:ONI22046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMFSPAPSLTSRIINRYKMREDIKTFNLPGMGCNASLISIDVEQNLFKSYKDANALFYCGKEKSMMFTNCLFRSGGFSLMFTNNRSLKHQALLRLKHLVRTHTGSKDESGYHGFRFTKYLVKAATQGFTINLQVLVPKVLPLREILTYLLAYLLHNLSTKSQKLEVAGGDGVKLNLKTGIEHFCIHPGGRAIIDGNGKSLGLSDYDVWQTSAAGFWYALGYLEAKKRLKKGNRILMSGLGEGFKCNNIVWEVLKDLDDANVWKDCMDSYPPNTLINPFMEKYSWLNDEILNFVRFDFSQLAA >ONI23608 pep chromosome:Prunus_persica_NCBIv2:G2:23503388:23506766:-1 gene:PRUPE_2G198100 transcript:ONI23608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLRSFLLGILLLIGFAFTNSKADIRAPPTHFDTASLNRSSFPEGFVFGVGSGSYQYEGAAKEGGRGPSIWDTFTHKYPEKISDRSNGDITVDQYHRYKEDVGIMKNMGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSSHIVNHFQDYAELCYKEFGDRVKYWSTLNEPYIFSYNGYAIGVNAPGRCSAWQQLNCTGGDSSTEPYLVTHHQLLAHAAAVKLYKNRYQTSQNGLIGIALDSHWFEPLSEEKENKNAALRALDFMFGWFAEPLTSGDYPQSMRDLVGSRLPKFTKEQSKLLIGSFDFLGLNYYTGYYASDAPRNNSVYVSYTTDAGVNLSSERNGVPIGPKGASEWLNIYPRGIQRLLLYTKKKYHNPIIYILENGVDELNDPKLSLAEALNDTRRIDFYNSHLHYVQSSIDNGVKVKGFFPWTLLDDFEWFSGFSVRFGIIYVDYNDRLKRHPKFSAHWFKSFLKKY >ONI24616 pep chromosome:Prunus_persica_NCBIv2:G2:26504176:26508090:1 gene:PRUPE_2G250000 transcript:ONI24616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSYNYKMFKCFNRKFKASEGGPPPDVKAIFSKFAQDGDLMSVDQFRSFLVEHQGEPADAVTLSDAHGILQEFSRVHPGEAAHHHHQHVRGLTLEDFFNFLFLEEPMKKQIHHDMTAPISHYFIYTGHNSYLTGNQLSSDCSDVPIIKALEKGVKVIELDLWPNSAKDDVQVLHGRTLTTPVTLVKCLKSIKEHAFVKSPYPVVITFEDHLTPKLQAKVAEMVIQTFGDTLYYPEAEDQMVEFPSPESLKNRIIISTKPPKEGSGSKDSSEEDEKSGSDDEPAIDKANAAEAKSFQQSAPEYKRLITIHAGKPSGELKDALAVGDKVRRLSLSEQKLEKAAEDHGSDVLRFTSKNILRVYPKGTRFTSSNYKPHVGWMHGAQMVAFNMQGNDKYNWLMHGMFRANGGCGYVKKPDFLMHKGPNDEVFDPKKTLTVKKTLKVKVYTGTGWHLDFSRTHFDSFSPPDFYTKVYIVGVPADCGKQKTKIIEDEWIPVWDEEFTFPLTIPELAILRIEVREYDRSEKDDFGGQNCLPVSELKPGIRAVPLYDKKGEKFKSVKLLMRFQFV >ONI22164 pep chromosome:Prunus_persica_NCBIv2:G2:16930138:16934333:-1 gene:PRUPE_2G111400 transcript:ONI22164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMEWLSFRLNLLSNFVFAFSLVLLVTLPEGVINPSIAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYSNLTSEAPLLIEESRPPINWPEVGTICFKNLQIRYAEHLPSVLKNISCTFPGQKKVGVVGRTGSGKSTLIQAIFRVVEPREGSIIIDDVDISKIGLHDLRSRLSIIPQDPTMFEGTVRGNLDPLEQYSDSDVWEALNKCQLGDLVRAKEQKLDASVVENGENWSVGQRQLVCLGRALLKKSKILVLDEATASVDSATDGVIQKVISREFKDRTVVTIAHRIHTVIDSDLVLVLSDGRVAEYDTPAKLLEREESLFSKLIKEYSMRSQSFNNLANLQN >ONI21261 pep chromosome:Prunus_persica_NCBIv2:G2:6696898:6704886:-1 gene:PRUPE_2G056300 transcript:ONI21261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESREALLKKVYYENCPGCKVEQRKELQRGLPIKMLVAVWLVVLSAALPISSLFPFLYFMIRDLQIAKREEDIGYYAGYVGASFMIGRVLTSIFWGVVADRYGRKPVIIIGIAAVVNFWMAISTRFLLGCLNGLLGPIKAYASEAFREEHQALGMSTVSVAWGIGLIIGPALGGFLAQPADKYPNIFSQNSIFGRFPYFLPCLCISVFAFGVCIASFWLPETLHKHNGNIRLQDDSFEALETASYGSFANEGKQKTEEQIPKENLFKNWPLMSAIIVYCVYSLHDMAYTEIFSLWAVSPRKLGGLSFSTENVGEVLAISGFGLFVFQITLYPFVERLLGPVMIARIGGIITIPLLSSYPFIAMLSGFSLYLMLNIASVLKNVLSITIVTGMFILQNKAVDQRQRGAANGISMTAMSLFKAIGPAAGGALFSWAQTRLDAAILPGSQMIFFILNVVEAIAVLMTFKPFLTLRHE >ONI21262 pep chromosome:Prunus_persica_NCBIv2:G2:6697303:6704552:-1 gene:PRUPE_2G056300 transcript:ONI21262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESREALLKKVYYENCPGCKVEQRKELQRGLPIKMLVAVWLVVLSAALPISSLFPFLYFMIRDLQIAKREEDIGYYAGYVGASFMIGRVLTSIFWGVVADRYGRKPVIIIGIAAVVIFNTLFGLSVNFWMAISTRFLLGCLNGLLGPIKAYASEAFREEHQALGMSTVSVAWGIGLIIGPALGGFLAQPADKYPNIFSQNSIFGRFPYFLPCLCISVFAFGVCIASFWLPETLHKHNGNIRLQDDSFEALETASYGSFANEGKQKTEEQIPKENLFKNWPLMSAIIVYCVYSLHDMAYTEIFSLWAVSPRKLGGLSFSTENVGEVLAISGFGLFVFQITLYPFVERLLGPVMIARIGGIITIPLLSSYPFIAMLSGFSLYLMLNIASVLKNVLSITIVTGMFILQNKAVDQRQRGAANGISMTAMSLFKAIGPAAGGALFSWAQTRLDAAILPGSQMIFFILNVVEAIAVLMTFKPFLTLRHE >ONI24119 pep chromosome:Prunus_persica_NCBIv2:G2:25182711:25182992:1 gene:PRUPE_2G224400 transcript:ONI24119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRGKGGKGLGKGGAKRHRKVLRDNIQGITEPAIRRLARRGGETRGVLKIFLENVISDAMTYTKHARRKTVTAMNVVYALKR >ONI20278 pep chromosome:Prunus_persica_NCBIv2:G2:622758:623237:-1 gene:PRUPE_2G006200 transcript:ONI20278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFSPILNCFMPLSNSQVSDDAGGSAKATSLKESKKSKSKSSSSSSSGAPIIVSYFPHNSYRSLL >ONI22945 pep chromosome:Prunus_persica_NCBIv2:G2:21211040:21213853:1 gene:PRUPE_2G159900 transcript:ONI22945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEHAHGDGAHGDFRAKVWSMSGGPYCRPKHWKRNTAIAMAGIFLVCIPIAMKSAELEQRPHNPVRPIPSQLWCKNFGNKDY >ONI22947 pep chromosome:Prunus_persica_NCBIv2:G2:21210789:21213934:1 gene:PRUPE_2G159900 transcript:ONI22947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEHAHGDGAHGDFRAKVWSMSGGPYCRPKHWKRNTAIAMAGIFLVCIPIAMKSAELEQRPHNPVRPIPSQLWCKNFGNKDY >ONI22946 pep chromosome:Prunus_persica_NCBIv2:G2:21210789:21213855:1 gene:PRUPE_2G159900 transcript:ONI22946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEHAHGDGAHGDFRAKVWSMSGGPYCRPKHWKRNTAIAMAGIFLVCIPIAMKSAELEQRPHNPVRPIPSQLWCKNFGNKDY >ONI20181 pep chromosome:Prunus_persica_NCBIv2:G2:242719:247308:-1 gene:PRUPE_2G001500 transcript:ONI20181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGLLSKLLDNVGNKDVKVTGEHRSAVLQVIEIVPWPGDEDNAWNWKSRPRGFFLKLSDSLHSAYVSITDNDDDDLDLIYSDKIQLGQLVYVTQLDSASPVPVLRGLKPIPKSKRALSPSPPLCLGNPNPIHLISTARPPVAATCTKTKPSNANRKEGNNKAKPKPKSKSTLSPQTTDNERASSNRLAVVDSTRRLSLDSARKAWDARTTTSTSHFKSQSKQLSSIPSLLSPAVVSDKKLFTKNDSHLKYPSMSVSPLKNKNETISPKPTNKSSKKELKSLPEGALSNHLIRVPLSFKTWSDQKIPWNALPSIISDLGKGAICHRNVAFSGAVRALEEASAAEGVIHCMCVFAELSESSHKVSAGPMVDKFLELHQNMVRAAEVVDSLLNTGHPDVKSSSSGGLQGWVSHACKSSTGKNATSWVEAALGANLSKFSLFRAQGNGGIPNCEKYHYIVIENTPVEFNSENCPPQNKQNPPRRIPVVKKMSTGMEDCPKERRLKETASLIEKLLLVSCQWFLKYLEDSLNVGFRLNKEEGSSEIACLLGQLKKVDLWLDDLVKGGIPVDKRITDFRKKLYQFVLEHVESVVSSN >ONI21614 pep chromosome:Prunus_persica_NCBIv2:G2:11508017:11511121:-1 gene:PRUPE_2G075700 transcript:ONI21614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERPAERGRGGFGRGFGGRGGDRGRGGGRRRPGRREEEEKWVPVTKLGRLVKEGKIKSLEQIYLHSLPIKEHQIIDALVASGSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPEFWKETRFSKSPFQEFTDLLGRPTKPLLIEDVEKVEA >ONI25136 pep chromosome:Prunus_persica_NCBIv2:G2:28128853:28131641:1 gene:PRUPE_2G283400 transcript:ONI25136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVVCFVIEKLVSLLINTEAKLSRDVRKDVGCIRDELESIRSFLKDADAKVAVQGEMVDASIRTWIKQVREAAYYIEDAIDEYLLCITRHHQDRGFYLIASKIEAMKTLVSEIKARHERYGFNSSEQGQSSGEMTVPWHDPRVASLFIEEAQVVGVESARDELINWLVEGASKHERRVVILVLGMGGLGKTTLAKKVYDSQIVMAHFDCYAWITVSQSYKMGDLLRMMIRQLCKSRKENTLEKIDKMDQESLISKSREYLQQKRYVDIHVHHLQPMPPNKAWELFCRKAFPFEMEGNCPPELEELSLNIVKKCEGLPLAIVSIGGLLSTKVKGLSEWQKLHNSMSSELESNPHLTSLMRILSLSYHHLPYYLKFSEGFVKLKKGKTLEEVGEEYLTELIHRSLVQVSKVYIDGKARSCRVDDLLCEVLLKKGMDSSFCHVLSEDESSSKQITRHLSIDSSSSPRIEHSHIRSVFTFNQEEWPESFLNTLSGNFKLLKVLDFTDAPLNHLPKYVGDLYLLKYLSLRNTKVKFLPESIGNLQNLETLDLKQSLVYEIPAKINKLVKLRHLLAYYCDYNIEFSMTFERGAKIHDGINLIKALGKLRQLRKLGLKSLKSEDGRALCASVENMNHLESLEVSTISEDEVLDLQSISTPPQFMRLLYLKGHLEKLPSWISQLQHLVKLRIFWSRLRDSPLKALQNLPNLLELGISYKAYDAAQLHFEGGFQKLKVLQLRDLEGLKSLIIDNGELPSSIHHLRNLTTLRFINMPKEFQRDVEPTNGQHFWIVEHIRDVIFSYKSGTKFGIYENFFKIPNC >ONI23425 pep chromosome:Prunus_persica_NCBIv2:G2:22879483:22885731:-1 gene:PRUPE_2G189000 transcript:ONI23425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYSSTPTNRKILSLNSPFPANFPAKSWNKKNPCRYNIPSFGFHKNPSFSIYLLSCHSTKFRALAHFGRPMSRRNSLRKKLIDEQKVNQISVPLNPSSDFQFLNNNFDDTESPLEKVNYDSVKESEFSNGVVADDSSVAETSSVKEPNAKSLVDSVLLGKLDSWMEQYKRDTEYWGIGSGHIFTVNQDSDGNVKVVSVNEDEILRRSRVERLELEDSAEVNLKILQAESLAREMESGKNVIARNSSVAKFVVEGEDSGFMKGIQGFSFRPEFLPNISRFGRLVLYGFIALWALKKLFTFGNKEERYSELEKEMMRRKIKSRKEKEMLEKGSVEVVQASSELPLGPFKKPSIDKQELMKAIMRENLTNGNLALQDSSTSMIVAENTDFDDKVQEIRNMARQAREIEGREHSLVGTDRKEIQTVNDEISDETVNDELSDEIVHDEILDEIKVVKQHEEEEANTLTNPLNGDCRQTKGSGDTASLEKLDCAKDGDIQTSSIPHIEVSDDRQSTNQDVRGSEHNLHLTDDSPFRESNKPKNGSIQVKPRVIRSVKEAREYLSKTRDKIKLNEEPQFEPVTGSDALVWLQSDEDSGNNVSQGPVMVNNIFAPEVPDRASDSPSMENACEHCDLKDKKFEDKKIDKPDETEKRYIRDVQKQQVSLDHESNDSDSIREPSVKYENWMEENFNEFEPIAKKIGVGFRDNYMVSREKGDQQSSMSSDMTQLGSNEEDDSELEWLKDDSLREIVLQVQENELGGRDPFYMMDAEDKDAFFKGLEKKVEKENKKLSKLHEWLHSNIENLDYGAEGISLYDPPEKIIPRWKGPPLEKSPEFLNYFQEQRNTIFAGNDGISVKKDEQNILQKSTESQSQENIATSSVVSDPNKKDNRNSKIVIEGSDGSVRAGKKSGKEFWQHTKKWSQGFLESYNAETDPEIKATMRDMGKGLDRWITEKEIQEAADLMNKMPEKNKKFMEKKLSKLKREMELFGPQAVVSKYREYAEDKKEDYLWWLDLPYVLCIELYTVDNEEQRIGFYSLEMAADLELEPKPYHVIAFEDTNDCKNLGYIIQAQMDMFGNGHAFVVAQPPKDVFREAKANGFGVTVIRKGEVQLNVDQTLEEVEEQITEIGSKIYHDKIMQERSMDISSLMKGVFGFSGKPTKRTRSKQTMKTAIGFGGKPAKRKRSKQMLKKPSKKER >ONI23427 pep chromosome:Prunus_persica_NCBIv2:G2:22881901:22885662:-1 gene:PRUPE_2G189000 transcript:ONI23427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYSSTPTNRKILSLNSPFPANFPAKSWNKKNPCRYNIPSFGFHKNPSFSIYLLSCHSTKFRALAHFGRPMSRRNSLRKKLIDEQKVNQISVPLNPSSDFQFLNNNFDDTESPLEKVNYDSVKESEFSNGVVADDSSVAETSSVKEPNAKSLVDSVLLGKLDSWMEQYKRDTEYWGIGSGHIFTVNQDSDGNVKVVSVNEDEILRRSRVERLELEDSAEVNLKILQAESLAREMESGKNVIARNSSVAKFVVEGEDSGFMKGIQGFSFRPEFLPNISRFGRLVLYGFIALWALKKLFTFGNKEERYSELEKEMMRRKIKSRKEKEMLEKGSVEVVQASSELPLGPFKKPSIDKQELMKAIMRENLTNGNLALQDSSTSMIVAENTDFDDKVQEIRNMARQAREIEGREHSLVGTDRKEIQTVNDEISDETVNDELSDEIVHDEILDEIKVVKQHEEEEANTLTNPLNGDCRQTKGSGDTASLEKLDCAKDGDIQTSSIPHIEVSDDRQSTNQDVRGSEHNLHLTDDSPFRESNKPKNGSIQVKPRVIRSVKEAREYLSKTRDKIKLNEEPQFEPVTGSDALVWLQSDEDSGNNVSQGPVMVNNIFAPEVPDRASDSPSMENACEHCDLKDKKFEDKKIDKPDETEKRYIRDVQKQQVSLDHESNDSDSIREPSVKYENWMEENFNEFEPIAKKIGVGFRDNYMVSREKGDQQSSMSSDMTQLGSNEEDDSELEWLKDDSLREIVLQVQENELGGRDPFYMMDAEDKDAFFKGLEKKVEKENKKLSKLHEWLHSNIENLDYGAEGISLYDPPEKIIPRWKGPPLEKSPEFLNYFQEQRNTIFAGNDGISVKKDEQNILQKSTESQSQENIATSSVVSDPNKKDNRNSKIVIEGSDGSVRAGKKSGKEFWQHTKKWSQGFLESYNAETDPEIKATMRDMGKGLDRWITEKEIQEAADLMNKMPEKNKKFMEKKLSKLKREMELFGPQAVVSKYREYAEDKKEDYLWWLDLPYVLCIELYTVDNEEQRIGFYSLEMAADLELEPKPYHVIAFEDTNDCKNLGYIIQAQMDMFGNGHAFVVAQPPKVNNAEFKFSSRSSFFSCGCWKLPCNLWS >ONI23426 pep chromosome:Prunus_persica_NCBIv2:G2:22881677:22885662:-1 gene:PRUPE_2G189000 transcript:ONI23426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYSSTPTNRKILSLNSPFPANFPAKSWNKKNPCRYNIPSFGFHKNPSFSIYLLSCHSTKFRALAHFGRPMSRRNSLRKKLIDEQKVNQISVPLNPSSDFQFLNNNFDDTESPLEKVNYDSVKESEFSNGVVADDSSVAETSSVKEPNAKSLVDSVLLGKLDSWMEQYKRDTEYWGIGSGHIFTVNQDSDGNVKVVSVNEDEILRRSRVERLELEDSAEVNLKILQAESLAREMESGKNVIARNSSVAKFVVEGEDSGFMKGIQGFSFRPEFLPNISRFGRLVLYGFIALWALKKLFTFGNKEERYSELEKEMMRRKIKSRKEKEMLEKGSVEVVQASSELPLGPFKKPSIDKQELMKAIMRENLTNGNLALQDSSTSMIVAENTDFDDKVQEIRNMARQAREIEGREHSLVGTDRKEIQTVNDEISDETVNDELSDEIVHDEILDEIKVVKQHEEEEANTLTNPLNGDCRQTKGSGDTASLEKLDCAKDGDIQTSSIPHIEVSDDRQSTNQDVRGSEHNLHLTDDSPFRESNKPKNGSIQVKPRVIRSVKEAREYLSKTRDKIKLNEEPQFEPVTGSDALVWLQSDEDSGNNVSQGPVMVNNIFAPEVPDRASDSPSMENACEHCDLKDKKFEDKKIDKPDETEKRYIRDVQKQQVSLDHESNDSDSIREPSVKYENWMEENFNEFEPIAKKIGVGFRDNYMVSREKGDQQSSMSSDMTQLGSNEEDDSELEWLKDDSLREIVLQVQENELGGRDPFYMMDAEDKDAFFKGLEKKVEKENKKLSKLHEWLHSNIENLDYGAEGISLYDPPEKIIPRWKGPPLEKSPEFLNYFQEQRNTIFAGNDGISVKKDEQNILQKSTESQSQENIATSSVVSDPNKKDNRNSKIVIEGSDGSVRAGKKSGKEFWQHTKKWSQGFLESYNAETDPEIKATMRDMGKGLDRWITEKEIQEAADLMNKMPEKNKKFMEKKLSKLKREMELFGPQAVVSKYREYAEDKKEDYLWWLDLPYVLCIELYTVDNEEQRIGFYSLEMAADLELEPKPYHVIAFEDTNDCKNLGYIIQAQMDMFGNGHAFVVAQPPKVLDVFI >ONI25102 pep chromosome:Prunus_persica_NCBIv2:G2:27989284:27991291:1 gene:PRUPE_2G280900 transcript:ONI25102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPIHTHRFRYRCSITKLIFCWFTILLALFFFFLLKTPSSNANRRVLPSLSTWENVVVQSAKPRDNSTGHSVLVTGAAGFVGTHVSLALKERGDGVVGIDNFNKYYDPSLKRRRSDLLLRNGVFVLEADINDSEKLAKLFRLVKFTHVVHLAAQAGVRYALKNPASYVHSNIAGLVNVFEVCKSANPQPSIVWASSSSVYGLNSKVPFSEEDRADQPASLYAATKKAGEEIAHAYHHIYGLSITGLRFFTVYGPWGRPDMVYFSFTKNMLSGKPIVVFEGPDHGSVARDFTYIDDVVKGCLAALDTAEKSTGSGGRVEGPAQLRVFNLGNTSPVPVSEVVSIMERLLRVKAKKVVVPMPRNGDVLFTHANISLARRELGYMPTTDLKTGLRKFLNWYLDYYSESKRESV >ONI23013 pep chromosome:Prunus_persica_NCBIv2:G2:21403555:21405174:1 gene:PRUPE_2G164200 transcript:ONI23013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGTLDKCKACDKTVYVVDMLSLEGLPYHKSCFKCSHCKGFLSMSTYSSMDGVLYCKPHFEQLFKESGNFTKSAEKQNEQLNRAPSKLSSMFSGTLDKCATCSKTVYPLEKVTLEGESYHKSCFRCAHGGCPLTHSSYAALDGVLYCKHHFSQLFLEKGNYSHVLQAAANRKNALATEPAAAEAPPEPQPEPTTEAESKDQTDQPPPEES >ONI23012 pep chromosome:Prunus_persica_NCBIv2:G2:21403505:21405469:1 gene:PRUPE_2G164200 transcript:ONI23012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGTLDKCKACDKTVYVVDMLSLEGLPYHKSCFKCSHCKGFLSMSTYSSMDGVLYCKPHFEQLFKESGNFSKNFQPKSAEKQNEQLNRAPSKLSSMFSGTLDKCATCSKTVYPLEKVTLEGESYHKSCFRCAHGGCPLTHSSYAALDGVLYCKHHFSQLFLEKGNYSHVLQAAANRKNALATEPAAAEAPPEPQPEPTTEAESKDQTDQPPPEES >ONI23014 pep chromosome:Prunus_persica_NCBIv2:G2:21403566:21405212:1 gene:PRUPE_2G164200 transcript:ONI23014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTANLILSSFSKNLGISARISNQSLLRSKMNNWAPSKLSSMFSGTLDKCATCSKTVYPLEKVTLEGESYHKSCFRCAHGGCPLTHSSYAALDGVLYCKHHFSQLFLEKGNYSHVLQAAANRKNALATEPAAAEAPPEPQPEPTTEAESKDQTDQPPPEES >ONI21006 pep chromosome:Prunus_persica_NCBIv2:G2:5004121:5005196:1 gene:PRUPE_2G044600 transcript:ONI21006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTADPPASATGVGVGYGIAIAVSILFLISAIMLASYACVKVKGASATTRPHEDRSVAYNNVYGHRSRSEAVNSNDPVVVVMGLDGPTIDSYPKLVLGESRRLPQRNYTVCSICLSEYKPRDQVRCIPDCHHCFHAECVDEWLRMSATCPICRNSPAPSVGPSPLSDLVPLAFHSR >ONI25900 pep chromosome:Prunus_persica_NCBIv2:G2:30075681:30080804:1 gene:PRUPE_2G325500 transcript:ONI25900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSEQPRLSSLQKGGSSVELGDAQEPLLNGVHNSENYSVLAAILPFLFPALGGLLYGYDIGATSCATISVESPTSSGISWYNLSSVEIGLITSGSLYGALIGSLLAFNVADFLGRRRELISAAILYLLGALVTALAPDLPVMVIGRFVFGIGIGLAMHAAPMYIAETAPSEIRGRLISLKEFFIVLGMVGGYGIGSLLVDTVAGWRYMYAAAIPLAVIMGIGMWWLPASPRWILLRAIQGRGNMHELKETAISCLCRLRGTAIGDSAPAQVDEMLAELSYMGEEKEASLGEMFHGKCAKALVIGAGLVLFQQITGQPSVLYYAASIFQSAGFSEASDATRVSILLGVFKLIMTGAAVLVVDRLGRRPLLLGGVSGMVISLFLLGSYYLFFDNAPIAAVVALLLYVGCYQLSFGPIGWLMISEIFPLRLRGRGLSIAVLVNFAANALVTFAFSPLKVLLGAGILFYAFGAIAVASLVFIFFIVPETKGLTLEEIEAKCL >ONI20201 pep chromosome:Prunus_persica_NCBIv2:G2:330418:332003:-1 gene:PRUPE_2G002800 transcript:ONI20201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWDYKQSKARTLDIDLIQIGKQTKTLLLQQAKIRHSQPKSVKRHAAEGKGDHPYLECQSKRMRACRPKQKSVIREKRGSVGFPRMHHAWVSLSLGIISCTFLIE >ONI20200 pep chromosome:Prunus_persica_NCBIv2:G2:330597:330914:-1 gene:PRUPE_2G002800 transcript:ONI20200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWDYKQSKARTLDIDLIQIGKQTKTLLLQQAKIRHSQPKSVKRHAAEGKGDHPYLECQSKRMRACRPKQKSVIREKRGSVGFPRMHHAWVSLSLGIISCTFLIE >ONI20199 pep chromosome:Prunus_persica_NCBIv2:G2:330465:332003:-1 gene:PRUPE_2G002800 transcript:ONI20199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWDYKQSKARTLDIDLIQIGKQTKTLLLQQAKIRHSQPKSVKRHAAEGKGDHPYLECQSKRMRACRPKQKSVIREKRGSVGFPRMHHAWVSLSLGIISCTFLIE >ONI25366 pep chromosome:Prunus_persica_NCBIv2:G2:28789987:28794151:-1 gene:PRUPE_2G298300 transcript:ONI25366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVNSSVDTINAAATAIVSAEARPQPTTVPKRRWGSCWSLYWCFGPHKNKRIGHAVLVPEPVVPGAAVSAIDNQTTSTAIVVPFIAPPSSPASFLPSDPPSATQSPAGFLSLKSLSANAYSPGGPASIFSIGPYAYETQLVSPPVFSTFNTEPSTAPFTPPPESVQLTTPSSPEVPFAQLLTSSLDRNRRNSGTNQKFALSHYEFQPYQQYPGSPGGNLISPGSAVSNSGTSSPFPDRHPVLEFRMGEAPKLFGFDHFTTRKWGSRIGSGSLTPDGVGLGSRLGSGSLTPDGNELGSRLGSGCVTPNGAGIGSRLGSGCLTPDGPGPASRDSFLLENQISEVASLANSESGCQTVETVFDHRVSFELTGEDVACCLANKAVASNRTASGSSKVIASEYPSERDALSSDSSNHCEFSVEESSSRIPENVSGEGEDQGYRKHRSITLGSTKDFNFDNTKAEVPNKPNIGSEWWANKNVAAKESKPCNDWTFFPILQPGVR >ONI24054 pep chromosome:Prunus_persica_NCBIv2:G2:24943017:24947205:1 gene:PRUPE_2G221400 transcript:ONI24054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFLCSGKSSKNAKKKKQKNKPEDQIPSISEQLKANSALSVKDSKDGGSDHIAAHTFTFRELAAATKNFRADCILGEGGFGQVYKGRLESTNKVVAIKQLDSNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDRKQLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYYPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAAGEHNLVAWARPLFKDRRKFWQIADPLLQGQYPVRGMYQALAVAAMCVQEQPNMRPLIADVVTALTYLASQKYDPETRSVQSSRTSSSTPRPRREL >ONI24055 pep chromosome:Prunus_persica_NCBIv2:G2:24943118:24946327:1 gene:PRUPE_2G221400 transcript:ONI24055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFLCSGKSSKNAKKKKQKNKPEDQIPSISEQLKANSALSVKDSKDGGSDHIAAHTFTFRELAAATKNFRADCILGEGGFGQVYKGRLESTNKVVAIKQLDSNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDRKQLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYYPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAAGEHNLVAWFEFRMLCNCAMSFDFLYLKYGICNIDLLDGVY >ONI24316 pep chromosome:Prunus_persica_NCBIv2:G2:25664627:25665752:1 gene:PRUPE_2G233700 transcript:ONI24316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQATASSRIASLILRILTFILLLISLIVLATNTIGSSDDDSKVRFTDFYAYRYMLATIVIGNAYSLLQLVLTIFNIVRGGDGMPFLDFFGDKFISYVLATGAAAGFGLTVDLKRLMDASEVDMLNFDDKAFASASLLLLAFVTTAILSVISAYTLPKRV >ONI23670 pep chromosome:Prunus_persica_NCBIv2:G2:23815459:23815917:-1 gene:PRUPE_2G201500 transcript:ONI23670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGTTDGGVSVPMGLLPGFRFSPTEEELLSFYLKKKIAGEDSEFSDIIPESTCASASLVICLVTR >ONI23585 pep chromosome:Prunus_persica_NCBIv2:G2:23403495:23405479:-1 gene:PRUPE_2G196600 transcript:ONI23585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPENMSISVNGQSQVPPGFRFHPTEEELLQYYLKKKVSNQSIDLDVIRDVDLNKLEPWDIQEKCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVISSNCRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNNTSNCITNVPMIVGEAAQEEGWVVCRIFKKKNLHKISSGSTIMSTTTSSMTTETRSAQSLFDSCPEGALEQMLQYIGRTCKEEENEGNNMSTRFNLQSINTGINNHNGYHERFLKLPSLDSPNSTSSQDCYQPNIHEEMMGAENNIDEDFQHQMDSGLTNWAALDRFVASQLNGQAETSRQLACFSDPYDCNDDNHELQLPTTLRSISSSSSNKSYHATQDYNNGEINLWSFARQSSSLSSSDALCHVSNGSI >ONI22486 pep chromosome:Prunus_persica_NCBIv2:G2:19074975:19079993:1 gene:PRUPE_2G132500 transcript:ONI22486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMNPFDLLGDDDAEDPSQLLAAQQQKLAAAPKKALPAQNKSGAQGQETKPAKLPSKPAPPAQAVREARNEAGGGRGGGRGRGYGYSRGRGGGGYNRESPNNENTFTNSGAPAGQGAFEDGDGNQSERRGYGGPRGPYRGGRRGGFGNGEVSDGERPRRVFERRSGTGRGSEIKREGSGRGNWGSETDELSQVIEEVANVTERNLGDEKPVGEVDAADGNKETPANEPEEKEPEDKEMTLEEYEKVLEEKRKALQALKTSEERKVDTKEFASLQQLSNKKGNDDIFIKLGSDKDKKKEAAEKEEKSKKSVSINEFLKPAEGERFYNPGGRGRGRGRGPRGGFSNNYSHGSAAAPSIEDPGQFPTLGAK >ONI24738 pep chromosome:Prunus_persica_NCBIv2:G2:26970900:26973411:1 gene:PRUPE_2G259400 transcript:ONI24738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAGARVPLLPGNKPVRRATVSGAVFNVSTSIIGAGIMSIPATLKVLGVIPAFLLIVIIAFLTDVSVEFLMRFTHSGESITYAGVMRESFGQVGSVATQICVMITNLGCLIMYQIIIGDVLSGNGSSGTGHSGVLQEWFGIQWWNSRDIAILFTLVFIFLPLVLLRRVESLKYSSAIAVLLAVVFVGISSAMAIYAIFEGKTSNPKLIPSLDKQTSFFDLFTAVPVIVTAFTFHFNVHPIGFELGKPSDMISAVRISLVLCAAIYFSIGLFGYLLFGDAIMSDILVNFDKSSDSAMGALLNDVVRLSYALHLMLVFPLLNFSLRVNLDELLFPKKPLLATDTRRFVILTLGLLVFSYLAAIAFPNIWYIFQFLGSTSAVCLAFIFPGAIVLRDVHGISTRRDKIMATIMVFLAVVTSAIAISTNIYNFFGNKS >ONI23160 pep chromosome:Prunus_persica_NCBIv2:G2:21955923:21959318:-1 gene:PRUPE_2G173000 transcript:ONI23160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMMSDYKVDMINDGMHEFYVDFHGPSESPYQGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRAAYEQRVKEFCQKYAKPEDIGAVPEDKSSDEELSEDESDSCDDQVAGQADP >ONI23161 pep chromosome:Prunus_persica_NCBIv2:G2:21955923:21959179:-1 gene:PRUPE_2G173000 transcript:ONI23161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRREMDLMKLMMSDYKVDMINDGMHEFYVDFHGPSESPYQGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRAAYEQRVKEFCQKYAKPEDIGAVPEDKSSDEELSEDESDSCDDQVAGQADP >ONI24402 pep chromosome:Prunus_persica_NCBIv2:G2:25923043:25925124:-1 gene:PRUPE_2G238700 transcript:ONI24402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQRLILFLVFLLFLTNPVKSQLDEELHFNGFNGVGSNMSLNGVAEIEPNGMLKLTNDTLRVLGHAFYSSPIKFKNSTDGKAFSFSTAFAFTIVPEYPKLGGHGLAFIISPSKELPGSLPSQYLGILNATVVGNFSNHIFAVEFDTVQDFEFGDINDNHVGIDINSLASNKSTPAGYFTSQNSTKQSLNLKSGHVIQAWVDYDSVKNQVTVKLSPNSIKPTSPILTFDVDLSPIFQDFMYVGFSASTGLLASSHYVSGWSFKMNGDAKSLSLDALPKLPGPKKTHTGVIVGVSVSALLVLILGIGLAFYIIHRIKNAEVIEAWELDIGPHRFTYQELKHATRGFRDKEVIGFGGFGKVYKGTLPNSNTQVAVKRISNESKQGLQEFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMPNGSLDKYLFENPKAILSWEQRFRIVKGVASGLLYLHEGWEQTVIHRDIKAGNVLLDSELNGRLGDFGLAKLYEHGSNPTTTRVVGTLGYLAPELTRTGKPTPSSDVFALGALLLEVVCGRRPIEAKAMPEELILVDWVWDKWRDGTILDVVDPRLEGEFDELEAVVVLKLGIMCSNNTPKARPTMRQVVRYLEGEVALPEVVLSPGAYDGRKDSERGGEFEDYVHSYPTSSYFEKASAWSCDDADVDFEAASSSSPLSVSGRNGGSCPR >ONI24146 pep chromosome:Prunus_persica_NCBIv2:G2:25295773:25296471:1 gene:PRUPE_2G226600 transcript:ONI24146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHPSMLTMACFLLLVTTTTKQSSAVAARSLDNSTPTHPHHNHHEITFLMRDVLNVTHPSSKSKPATTKVTSQLPFSKPLGLFPPNGGVPLPETNPTTQTLDLPGIGLFFPARATLQELEFGIVTLIDEDMFESSGFYGSQVIGKAQGIYVASSEDGSSHMMALTAHFADSEFKDGLRFFGVHRTDVHEGSHIAVIGGIGKYAGANGYATVKAENAREEGNKLLRLKVYLS >ONI22658 pep chromosome:Prunus_persica_NCBIv2:G2:19918995:19919752:-1 gene:PRUPE_2G142700 transcript:ONI22658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPDHDSCNVTYFINNQPHYLMNYSVVQQIMPAATNYNPHAHDIFAFVIQTLLTLVQMRYPAQVLFQTHPTAITVFISSLLAYCFAFRFGGHAYKWCRMAMLVFGSLSVATLLWLLFFTHYNSYLILCVLFLPVAGLGLDRLVRKLWQRIRQELRPLLPVTSVNMLHRPTRFSSVIVSHIE >ONI25091 pep chromosome:Prunus_persica_NCBIv2:G2:27951152:27952733:1 gene:PRUPE_2G280100 transcript:ONI25091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKKGPWTAEEDKKLVNFLLAHGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLNEAEEQLVIDLHSRLGNRWSKIAAGLPGRTDNEIKNHWNTHIKKKLIKMGIDPITHEPLCKQATPPEMPCKPNNPPADLDMNQQNVNIPEHGISSAAESSSSNESQPLEPNLKSEDDPLMSYILSDTFLEDLTWDFSASSEDSSAADNPAEDNSLAWFLDCKDFGVEDFELGCIN >ONI25328 pep chromosome:Prunus_persica_NCBIv2:G2:28716541:28719196:1 gene:PRUPE_2G296500 transcript:ONI25328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWFLILAALCISFLLKPLLSLFIPSISKPTDQPKLPPGPTPIPVIGRLLWLRRSFSEIEPILRNLRAQYGPIITLRIGSRPAVFIANRSLAHQALIQNGAVFADRPPALPTNKIVSSNQHNISSAVYGPTWRLLRRNLTSEILHPSRVKSYGEARKWVLDILINRLGSESQSQSKGIRVVDHFQYAMFCLLVLMCFGDKLNEEQIKEIEGVQRRLLLSFGRFNILNFWPKLTKILLKNRWNALFQLRKEQEDVLIPLIRARQKAKNEKLSRKDDDKDDDFVLAYVDTLWDLQISDEKEKRKLSEGEIVSLCSEFLNAGTDTTSTALQWIMANIVKFPQVQDKLFAEIKGVVAETEEEVKEEVLHKLPYLKAVILEGLRRHPPGHFVLPHAVTQDVVLDGHVVPKNGSVNFMVADMGLDPEVWEDPMAFKPERFLSGGECGGAEGFDLTGSREIKMMPFGVGRRICPGSGLAVLHLEYFVANLVWKFEWRAVEGDDVDLSEKQEFTVVMKNPLQAHLSPRVK >ONI25684 pep chromosome:Prunus_persica_NCBIv2:G2:29589700:29594056:1 gene:PRUPE_2G314700 transcript:ONI25684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRYPRPLKIHLVSSTDSPEFTDLTQSLTRSRIIALDAEWKPIRTHQSSFPTVSLLQLACQLSSDSVESDDSVVFLLDLSSIPLPSIWELLRDAFVSPDILKLGFRFKQDLIYLSSTFSSQGCEQGFDKVEPFMDITSIYHHLQHSQPGRKTSKDIKSLATICKEILGISLSKELQCSDWSCRPLTEEQKTYAAIDAQCLLEIFNVFQAKVIKEGSLIHSPTESTVYLGLKEVLEKLDVCNKIVRMKFHEALDIVRATTSYIYPNIATEEGMALRTRPRNTLPMDELLARVVNKYGEEILLKESDKRARTSKRKSKRRSSVGLICKEKQGQSFEDWQGPPPWDLTLGGDGHPKFLCDVMVEGLAKHLRCVGINAAIPYSKKPGPRALIEQANKEKRVLLTRDAKLLRHDYLIKNQIYRVKNLLKNEQLLEIIETFQLKICEDQLMSRCTKCNGRFIQKPLTTEEAVEAAKGFQRIPNYLFDKNLEFWQCMDCNQLYWEGTQYHNAVQKFIDVCKLNE >ONI20974 pep chromosome:Prunus_persica_NCBIv2:G2:4874034:4877758:1 gene:PRUPE_2G043900 transcript:ONI20974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGDTKENIVIVGGGICGLATALALHRKGIRSVVLERSNTLRATGAAIIVHPNGWRALDQLGVASHLRETAIPILSGQFHSLNNDELKEMPVGKEELRCLRRTDLVDILANSLPRNTLHLGCEVLSIKLDPITSSPVLQLQGGRLLNAKIVIGCDGVNSAISNMMGVKAEKIFTISVIRGFTSYPNGHELGSQFRLTKKNDTQVGQLPMTKNLVYWFITRKYTCQDSMASKSQKLIRNLAVDSVEGFPTGIIEMAKNCELDSLHLTEYLRYHAPWDILRRRFREGTVTLAGDAMHAMGPFLAQGGSACLEDAIVLARCLARTTQIHRNARGTKMQVEEAFDEYLKERKMRVLRLSLQMYLIGKMLDASSQFVKFICIILLAVLFSDSHGHTRYDCGSL >ONI24934 pep chromosome:Prunus_persica_NCBIv2:G2:27434081:27436967:1 gene:PRUPE_2G269800 transcript:ONI24934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFRNAARILGLDAKIEKSLLIPFREIKVECTIPKDDGSLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCTPRELSKSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVFGTEALLAEYGKSVKDLTFVIQGFGNVGSWAARLIHERGGKVIAVSDITGAIKNPNGIDITELIKHKESTGSLLNFGGGDNMDPNELLVHECDVLIPSALGGVLNRENASSVKAKFIVEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNNELQRYMTRAFHNIKNMCKTHECNLRMGAFTLGVNRVARATVLRGWEA >ONI20314 pep chromosome:Prunus_persica_NCBIv2:G2:787088:792233:1 gene:PRUPE_2G008900 transcript:ONI20314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTMLHDEDEWCLSKLNESIFQLEESHVTSAKMNFMQTKSHVTSAKINFMQTMQIRSLFNKYSTKPTPQLHPPPSPPALLVLGHLHHLTTVHQVISFQNLSTRYGPFLNLGLGASRMLLVLSASMATEIFKTQDLAFADCLAFTFADELPYGNSGFFGANYGDYWKVMKKICMTELFAPRQLECSRNTRHVEIGNSCEKLIESAENKEVVDLGGELMKLTNNSTCKMVMSTSCSENGNEEAARIREMMMRTVGLATKVSYGDVLGPLKRLGFWPYGKQLAEVSLEFDELLEEMLKEHEKKGERKELDFMDSLLKVYQDDPAELKITRTQIKAFLLTVQWTMAELINHPDIFNKARDKIGGRKFQTGWGTDIPNLPYLQAVVKESLRLYPPSPVVIRKRSQNCKIKGFDIPQGVMLAINVYAIMRDPEIWDSPNEFRPKRFLASSNQVHDSVEYNDQGPQTNEQNFNYAPFGGGAEAVPWLRSGAYLGEHCNCNHGFNALIGRLGEKEMVMRLIRLIWRLEQA >ONI21260 pep chromosome:Prunus_persica_NCBIv2:G2:6685012:6695117:-1 gene:PRUPE_2G056200 transcript:ONI21260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLKTIGRELAMGSQGGFGQSKEFLDLVKSIGEARSKAEEERIVLLEIETLKRRLSEPEIPKRKMKEYIIRLVYVEMLGHDGSFAYIHAVKMTHDDNLLLKRTGYLAVSLFLSDDHDLIILIVNTIQKDLKSDNYLVVCAALNAVCKLINDETVPAVLPQVVDLLAHPKEAVRKKAIMALHRFYQKSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLFDLITIDVNSYKDLVVSFVSILKQVAERRLPKTYDYHQLPAPFIQIRLLKILALLGNGDKQSSEKMYMVVGDIFRKCDSSSNIGNAVLYECICCVSAIYPNPKLLEQAAQVISRFLKSDSHNLKYMGIDALGRLIKISPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIDYMISINDNHYKTYIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNVKVAHNLMKLIAEGFGEDDDSADSQLRSSAVESYLRILGEPKLPSVFLQVICWVLGEYGTADGKYSASYITGKLCDVAEAYSNDESVKAYAVTAIMKIYAFEISAQRKVDILPECQSLVEELSASHSTDLQQRAYELQAVISLDAPAVESIMPSDASCEDIEIDKSLSFLNGYVQQALEKGAQPYIPENERSGMLNISNFSNQDQHEALTHGLRFEAYELPKLAVPSRIPPAAVASSTELVPVPEPSYAREIRQPASLPPVSDSGSSELKLRLDGVQRKWGRPTYSTPALSISNSSSSSSQKSANGVTQVDSVSTSNSKARDTYESRRPQVEISPEKQKLASSLFGGSSKTERRQSSANHKVSKANIHASEKPQVPKAAAVHTEVNHEPAPDLLDLGDSTSSTAPTVDPFKQLEGLLDQTEVALTANHGAADAAKTPDVMGLYADTSLSRLSSSVDDPLPTNRDEFNLASELSNATRTAQSGVTQLNKGPNPKDSLEKDALVRQMGVTPTSQNPNLFKDLLG >ONI23020 pep chromosome:Prunus_persica_NCBIv2:G2:21440702:21442417:1 gene:PRUPE_2G164700 transcript:ONI23020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLWGILQLLRVYPGRLPDLELMFNCGDQPVIPSKDFRGPNASPPPLFRYCSDEGSLDIVFPDWSFWGWVETNIKPWRSLLQSIKEGNKRTKWEDRVPYAYWKGNSNVARTRIDLLKCNVSDKNDWNTRLYIQNWVQESKQGYKDSNLENQCKHRYKIYIEGRAWSVSEKYIMACDSMTLYVRPRYHDFFIRGMEPLQHFWPIRDNSKCTSLKFAVEWGNNHKDKAKAIGEAASNFIQEDLKMDYVYDYMFHVLNEYAKLLKFKPTIPPNAMELCSEKMACPATGTCKKFMVESMVGSPSDELPCTLPPPYDPLALHDFLERKANSTRQVEAWENEYWQSHDL >ONI24293 pep chromosome:Prunus_persica_NCBIv2:G2:25616514:25618509:1 gene:PRUPE_2G232600 transcript:ONI24293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLVHKSVFKWANNSGHKLTFFGVSGRSEKVNKKAVCFPLHLRISVTFQSWVLPLTICSQLQVSSHFIFTKIVNEFIACSWNFDFSVVVTDD >ONI24292 pep chromosome:Prunus_persica_NCBIv2:G2:25616448:25618984:1 gene:PRUPE_2G232600 transcript:ONI24292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLVHKSVFKWANNSGHKLTFFGVSGRSEKVNKKAVCFPLHLRISVTFQSWVLPLTICSQLQVSSHFIFTKMIDDQGLGIVANLLGIFIFVLVIAYHYVTADPKYEGN >ONI22838 pep chromosome:Prunus_persica_NCBIv2:G2:20811304:20815308:1 gene:PRUPE_2G154000 transcript:ONI22838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGKFWDLLKPYARHEGFDFLRNKRVAVDLSFWLVQHETAIKDRARSPHLRLTFFRTINLFSKFGAFPVFVVDGSPSPLKSEARIARFFRSSGIDSSSLPVAGDGASVERNSTFTKYIQECVELLELLGMPVVKAKGEAEALCAQLDAEGHVDACITSDSDAFLFGAKCVIKTFQSNSKEPFECYYMSDIEAGLGLKRKHLIAISLLVGNDYYLNGVQGIGLDTALRIAQTFSEDEILNRLREIGNGDASLLQGEIRSVDDSVPSPDGSSLKRKFSHCSFCGHPGSKRTHFKSSCEYCSSTMGEGCMKKSEGFKCSCSSCDMDRKEKEQKKQDNWRLKVLSKIALEPNFPNDAIIEMYLCNSHGYFTENDGPCISWGSPKTEMVVDFLAYHQLWEPSYIRRRMLPMLSTIFLREMAKDPLKSLLYGQYEFDSIDRLKIRYGHQFYVVKWKKSAPSLGCVSCTVPPEESDVQQDDVMEVDESINPFDESDVPTIDINNGCCFLLTDENMDLVHAAFPEEVDRFLQEKELKELKRRKTEKPETAGSRGVQLNITEFYRSAKVYETEPGEILTKKTEPGEILSSQRAETSKEKRKPSSSNLPKSVRRRLLFD >ONI22839 pep chromosome:Prunus_persica_NCBIv2:G2:20812291:20815308:1 gene:PRUPE_2G154000 transcript:ONI22839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVKAKGEAEALCAQLDAEGHVDACITSDSDAFLFGAKCVIKTFQSNSKEPFECYYMSDIEAGLGLKRKHLIAISLLVGNDYYLNGVQGIGLDTALRIAQTFSEDEILNRLREIGNGDASLLQGEIRSVDDSVPSPDGSSLKRKFSHCSFCGHPGSKRTHFKSSCEYCSSTMGEGCMKKSEGFKCSCSSCDMDRKEKEQKKQDNWRLKVLSKIALEPNFPNDAIIEMYLCNSHGYFTENDGPCISWGSPKTEMVVDFLAYHQLWEPSYIRRRMLPMLSTIFLREMAKDPLKSLLYGQYEFDSIDRLKIRYGHQFYVVKWKKSAPSLGCVSCTVPPEESDVQQDDVMEVDESINPFDESDVPTIDINNGCCFLLTDENMDLVHAAFPEEVDRFLQEKELKELKRRKTEKPETAGSRGVQLNITEFYRSAKVYETEPGEILTKKTEPGEILSSQRAETSKEKRKPSSSNLPKSVRRRLLFD >ONI21763 pep chromosome:Prunus_persica_NCBIv2:G2:13797969:13799491:1 gene:PRUPE_2G087300 transcript:ONI21763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFLIACLCMLYMVFIKPVGAGQDTCTESKCSDDHGLAIHFPLHVRHHCGDPGLLCSGRQEKVLEEQVALIKFFVKSIDYKRGLMEVYNADGCLLLKPLETPNIPNCPFHLLDFEIRNVTLFHCPTLAERDIYQVPCLRGSPGYRVHGISSDDDLFYNLPYLKSCTRMYDALSLPFPTWDRECEARGKRCRLKDNGINSEVECVHLKKASKTMEFVAAGATLGSSLLLVLVIAVYRVNSANQKEKENQLKIERFLEDYKALKPSRYSYADIKRITNQFKDKLGQGAYGTVFKGKLSSEFFVAVKVLNNSKGNGEEFVNEVGMMGHIHHVNVVRLVGFCADGFRRALVYEFFPNVSLQDFISSADSKHSFLGWEKLHDIAVGIAKGIEYFHQGCDHRILHFDIKPHNVLLDHNFTPKISDFGLA >ONI20403 pep chromosome:Prunus_persica_NCBIv2:G2:1194557:1195030:-1 gene:PRUPE_2G013500 transcript:ONI20403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLDNMLRQLEEIRGCNNSNNTRSSHSPKSSSFASTPSRTSDGVGHVSSSAELISPESLEKHCRPMEHVMMETDVKGTLIERLDQVEDRVLKLCMQLEEELTESAEKKRDEEEIMKRSGREEKMIIDEIGKSQDHVKKKKKKGLKQLVNQYLMGKI >ONI23226 pep chromosome:Prunus_persica_NCBIv2:G2:22157715:22161542:-1 gene:PRUPE_2G176200 transcript:ONI23226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVNPNPAQGFYLFDPMNMGLPGLNSMPPPTATATTASAATSTTACTASSTPTNATSLLAEDQSKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKKGTSEHVPPPRPKRKATHPYPQKAPKSAAVVSQVAGQFQSSSALLNGYVYQPDSSFALGTPVTSATLSSWSYNSVPPVNVSQITKDDGRLAGPTVAHNSCYSSSNESNPTTWQMSEKVDQADPGQPHRVLPDFAQVYKFIGSVFDPNATSHLERLKELDPINLETVLLLMRNLSLNLTSPEFEDHRKLLSSYDADSEKAISDSNCNFSCIGKSENAILSA >ONI23225 pep chromosome:Prunus_persica_NCBIv2:G2:22156852:22161715:-1 gene:PRUPE_2G176200 transcript:ONI23225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVNPNPAQGFYLFDPMNMGLPGLNSMPPPTATATTASAATSTTACTASSTPTNATSLLAEDQSKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKKGTSEHVPPPRPKRKATHPYPQKAPKSVVSQVAGQFQSSSALLNGYVYQPDSSFALGTPVTSATLSSWSYNSVPPVNVSQITKDDGRLAGPTVAHNSCYSSSNESNPTTWQMSEKVDQADPGQPHRVLPDFAQVYKFIGSVFDPNATSHLERLKELDPINLETVLLLMRNLSLNLTSPEFEDHRKLLSSYDADSEKAISDSNCNFSCIGKSENAILSA >ONI22778 pep chromosome:Prunus_persica_NCBIv2:G2:20565140:20569734:1 gene:PRUPE_2G150700 transcript:ONI22778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMPMPPPQHLPPTNEAKNENEENPLVFDASVAQYQSSIPSKFIWPDHEKPCPEPPELPVPHIDMKGFLTGDTREVSNATCLVDEACRKHGFFLVVNHGVDSQLIAKAHEYMDLFFGMQLSQKQRAQRKTGDHCGYASSFIGRFSSKLPWKETLSFRYSADSHCSVNDYFVNVMGEDFKEIGKVYQEYCEAVSNLSSGIMELLGMSLGVGQEYFREFFEGNDSIMRLNYYPRCQKPDLTLGTGPHCDPTSLTILHQDQVGGLQVFVDEKWRSVTPKPDAFVVNIGDTFMALSNGIYKSCLHRAVVNNRTVRKSLTFFLCPKKDRVVTPPRGLVDSKSPRMYPDFTWPTLLEFTQKHYRADMKTLDAFSDWIINKNN >ONI20417 pep chromosome:Prunus_persica_NCBIv2:G2:1260799:1267962:-1 gene:PRUPE_2G014300 transcript:ONI20417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGETGAKPVKSLGGQVCQICGDNVGKTADGEPFIACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDREEDGDADDGTSDFNYTSENQNEKQKIAERMLSWHMTYGRGEDIGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGIGAGKRAHPIPYASDVNQSPNIRVVDPVREFGSPGIGNVAWKERVDGWKMKQEKNVIPMSTGQATSERGGGDIDARSDVIVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILCIFLHYRLTNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLSLRYDREGEPSQLAAVDIFVSTVDPLKEPPMVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYAIEPRAPEWYFTQKIDYLKDKVQPSFVKDRRAMKREYEEFKVRVNGLVAKATKIPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDADGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPVKPKHKKDGFVSSLCGGSRKKGSKSSKKGSDKKKSNKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGNEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKWLERFAYVNTTIYPITSIPLLMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >ONI20418 pep chromosome:Prunus_persica_NCBIv2:G2:1260467:1269026:-1 gene:PRUPE_2G014300 transcript:ONI20418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGETGAKPVKSLGGQVCQICGDNVGKTADGEPFIACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAILGDREEDGDADDGTSDFNYTSENQNEKQKIAERMLSWHMTYGRGEDIGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGIGAGKRAHPIPYASDVNQSPNIRVVDPVREFGSPGIGNVAWKERVDGWKMKQEKNVIPMSTGQATSERGGGDIDARSDVIVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILCIFLHYRLTNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLSLRYDREGEPSQLAAVDIFVSTVDPLKEPPMVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYAIEPRAPEWYFTQKIDYLKDKVQPSFVKDRRAMKREYEEFKVRVNGLVAKATKIPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDADGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGKNVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPVKPKHKKDGFVSSLCGGSRKKGSKSSKKGSDKKKSNKHVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGNEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKWLERFAYVNTTIYPITSIPLLMYCTLPAVCLLTNKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >ONI25139 pep chromosome:Prunus_persica_NCBIv2:G2:28145748:28148600:-1 gene:PRUPE_2G283700 transcript:ONI25139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQQTALFKCQRIGCNANFSEDDNPEGSCQYHDSPIFHDGIKEWSCCKKRSHDFSLFLEIKGCKTGKHTTEKPVLSKAKPKTPVSAPTAPPATDASSKESCSRCRQGFFCSDHGSQAKEMNSKPVNVATTPLAESITDVEGTSAPSKKIVGINEPQICKNKGCGKTFKEKDNHEAACSYHPGPAIFHDRVRGWQCCDVHVKEFDEFMSIRPCTKGWHNADPVS >ONI20219 pep chromosome:Prunus_persica_NCBIv2:G2:405713:407684:1 gene:PRUPE_2G003900 transcript:ONI20219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESYFFICFTGVLICNHRPGGQYLHCQTLNIDDFQFTVLWNKRCFASKMVNDLVNHFSEKDQLLPKGRVWVSVQDSKRLKCCDSRQRCLKE >ONI21727 pep chromosome:Prunus_persica_NCBIv2:G2:13354310:13363516:1 gene:PRUPE_2G084300 transcript:ONI21727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAGDELVGSVSSGRRTHGSVSKRSWASTSFRELWSGQGDVFQRSGRSAYDDEEELKWAAIERLPTFDRLRRGMLKQVLDDGKVGYEEIDVTNLGRLDKKHLMENILKIAEEDNEKFLLRLRERTDRVRIEIPQIEVRFEHLSVEGDAYIGTRALPTLLNSYRNIIEGILGFVKLFPSKKRVVKILCDMSGIVKPSRMTLLLGPPGSGKTTFLQALAGQTDNDLRVSGRVTYCGHEFSEFVPQRTCAYISQHDLHHGEMTVRETLNFSGRCLGVGTRYELLAELSRREKESGITPDPEIDAFMKATALAGHETSLVTDYVLKILGLDICADVLVGDEMRRGISGGQKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTFQIIRFMRQMVHIMDVTMIISLLQPAPETFELFDNIILVSEGHIVYQGPRENALEFFESVGFKCPERKGVADFLQEVISTKDQEQYWFKKNIPYRYISALEFSDYFKNFHIGQNLSEELGNPYDRSKTHPAALSKKMYGISNWELFKACFAREWLLMKRNSPLYVFKTTQITIMSIISMTIFWRTEMKHGRLEDGGKFYGALFFSLINVMFNGMTELAMTIFRLPVFFKQRDLLLHPAWAFCLPISVLRIPVSLIESGIWIILTYYTIGFAPAASRFFCQLLALFSVHQMALSLFRFIAALGRTQIVASTLGTFTLLLVFVLGGFIVAKDDIEPWMIWGYYISPMMYGQNAIVINEFLDKRWSAPNIDPRISEPTVGKALLKTRGMFTEEYWYWICIGALLGFSLLFNILFIAALTYLNPFGDSKSIILEDDDKHRSKNQSMLDIMGGTEMSSASTAPLSEGIDMVVKKPKKRGMVLHFQPLSLAFDHVNYYVDMPAEMKSQGIEEDRLQLLRDVSGVFRPGILTALVGVSGAGKTTLMDVLAGRKTSGYIEGSISISGYPKNQATFARVSGYCEQNDIHSPNVTVYESLLYSAWMRLAKEITKETRQMFVEEVMDLVELHPLRNSLVGLPGINGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTLVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHCSQRLIEYFEAIPGITEIRDGYNPATWMLEISSPTVETQLNVDFADIYQKSELYKKNHELIEELSTPVPGSKDLHFPTKYSQSFLTQCKACFWKQHWSYWRNPPYNAIRLFLSIVVGTIFGLIFWNKGEKTHKEQDLLNLMGAMYSAIIFLGATNTASVQPVVAIERTVFYRERAAGMYSALPYAFAQVAIETIYVAVQTLMYSLILYSMIGFPWRVDKFFWFYYFILMCFIYFTLYGMMLVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRTQIPIWWRWYYWGSPVAWTIYGLVTSQVGDKTSLVEVPGQARMSVQTYLKRRLGFEYDFLGAVVVAHIGFVLLFLFVFAYGIKYLNFQRR >ONI21728 pep chromosome:Prunus_persica_NCBIv2:G2:13354310:13363516:1 gene:PRUPE_2G084300 transcript:ONI21728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAGDELVGSVSSGRRTHGSVSKRSWASTSFRELWSGQGDVFQRSGRSAYDDEEELKWAAIERLPTFDRLRRGMLKQVLDDGKVGYEEIDVTNLGRLDKKHLMENILKIAEEDNEKFLLRLRERTDRVRIEIPQIEVRFEHLSVEGDAYIGTRALPTLLNSYRNIIEGILGFVKLFPSKKRVVKILCDMSGIVKPSRMTLLLGPPGSGKTTFLQALAGQTDNDLRVSGRVTYCGHEFSEFVPQRTCAYISQHDLHHGEMTVRETLNFSGRCLGVGTRYELLAELSRREKESGITPDPEIDAFMKATALAGHETSLVTDYVLKILGLDICADVLVGDEMRRGISGGQKKRLTTGEMLVGPAKAFFMDEISTGLDSSTTFQIIRFMRQMVHIMDVTMIISLLQPAPETFELFDNIILVSEGHIVYQGPRENALEFFESVGFKCPERKGVADFLQEVISTKDQEQYWFKKNIPYRYISALEFSDYFKNFHIGQNLSEELGNPYDRSKTHPAALSKKMYGISNWELFKACFAREWLLMKRNSPLYVFKTTQITIMSIISMTIFWRTEMKHGRLEDGGKFYGALFFSLINVMFNGMTELAMTIFRLPVFFKQRDLLLHPAWAFCLPISVLRIPVSLIESGIWIILTYYTIGFAPAASRFFCQLLALFSVHQMALSLFRFIAALGRTQIVASTLGTFTLLLVFVLGGFIVAKDDIEPWMIWGYYISPMMYGQNAIVINEFLDKRWSAPNIDPRISEPTVGKALLKTRGMFTEEYWYWICIGALLGFSLLFNILFIAALTYLNPFGDSKSIILEDDDKHRSKNQSMLDIMGGTEMSSASTAPLSEGIDMVVKKPKKRGMVLHFQPLSLAFDHVNYYVDMPAEMKSQGIEEDRLQLLRDVSGVFRPGILTALVGVSGAGKTTLMDVLAGRKTSGYIEGSISISGYPKNQATFARVSGYCEQNDIHSPNVTVYESLLYSAWMRLAKEITKETRQMFVEEVMDLVELHPLRNSLVGLPGINGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTLVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHCSQRLIEYFEAIPGITEIRDGYNPATWMLEISSPTVETQLNVDFADIYQKSELYKKNHELIEELSTPVPGSKDLHFPTKYSQSFLTQCKACFWKQHWSYWRNPPYNAIRLFLSIVVGTIFGLIFWNKGEKTHKEQDLLNLMGAMYSAIIFLGATNTASVQPVVAIERTVFYRERAAGMYSALPYAFAQVAIETIYVAVQTLISHARPPNCGHCDVLFPKLLESLLWFPHP >ONI24666 pep chromosome:Prunus_persica_NCBIv2:G2:26699628:26701092:1 gene:PRUPE_2G253800 transcript:ONI24666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPDIERRDMQSLTKYLQTHRQVWDGNSIMDNYRRIIRVTLTDLWHHPLLMTCNERYFFPPQALFELMCVGNWETDYANYTENRIPSYGKRNIETTIRNSKYAIAFESVYHETDGYQNNAVGELIYSKNIVNRIGTNLAKTNQNSLTMHEVEQELTSLFPERLTGLYGFFIVKKKISMSFLMSSRV >ONI20485 pep chromosome:Prunus_persica_NCBIv2:G2:1784360:1789032:-1 gene:PRUPE_2G018500 transcript:ONI20485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEKKRPLQTSNSANIAPAPTDGQGKTIEEMYQKKSQLEHILLRPDTYIGSIEKHTQILWVYQNDEMVNRSISYVPGLYKIFDEILVNAADNKQRDSSMDSVKVTIDVEQNCISVYNNGAGVPVEIHQEEKVYVPELIFGHLLSSSNYDDTEKKTTGGRNGYGAKLTNIFSTELIIETADGKRQKKYKQVGFRLFRIWAFNSCGVVSDAFNLGGKGRASARYIYTRLSPITRFLFPKDDDRLLDYLNEDGQSIEPTWYVPIIPTVLVNGSEGIGTGWSSYIPNYNPRDIVANVRRLLNGEAMVPMDPWYRGFSGTIEKTVKESGVSYTVCGVIEEGNETTVRISELPIRRWTQDYKEFLESISQGNDKAKDLIEHSDHSTVDIIVHLPEETLMVARQEGLLKKFKLTTSISTSNMHLFVPKGVIKKYDTPEQILEEFFHLRLEFYEKRKKLLLDNLEMELLKLENKVRFILAVVNGEIIASNRRRADLFLELQQKGFTPFPKKTKAQEPEVAGAIEDTEETEENSESASGNGARISDYEYLISMAIGTLTIERVQTLCA >ONI23410 pep chromosome:Prunus_persica_NCBIv2:G2:22823237:22824704:1 gene:PRUPE_2G187700 transcript:ONI23410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTERLGIEGKEMKAQHQPNDDYMLRYAEHLMKEAKRGDGYQVVTPKVLVDVDNLRLNGKHYGTWRCSMMEHVLDNLRGVIYGPRPSFVVETDASVEEIARSMAAEEKWNEDDTLCFHTILNHLCDDLFLHYSKRRKETSAKQLWDELQLRFGAHESRVQKYMEFDLLEEEEPMWVQAQEMDSLFFALVRCNKMEIDEEFHVNAIISKLPPSWEDVCIELMREEHLPVTKLIHRLIVEQQSRIN >ONI24624 pep chromosome:Prunus_persica_NCBIv2:G2:26540787:26541020:1 gene:PRUPE_2G250600 transcript:ONI24624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMMTPPHPITPLSLSFMFHFYLSFFFFPHLHNFFFTWKRKTFSTVHGSLHMLGHVHTHLLLRNRHPHPNIHIKSHY >ONI20197 pep chromosome:Prunus_persica_NCBIv2:G2:325286:326279:1 gene:PRUPE_2G002600 transcript:ONI20197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMMKKPQYSSCNPSSSSSKLGMHNDSHKISKTAGKPKIRIIHVFAPEIIKTDVANFRELVQNLTGKPAAAPEVVNGSRKKTIRCSTYSSPSKKKLELQNDGDRSVKEEIQNYTWRSSSGDHHPEKINSFLDGFSDLDGFIEDLSSEFPSLHSSSSSAPSPWMHLEMHDQ >ONI20604 pep chromosome:Prunus_persica_NCBIv2:G2:2458090:2460097:-1 gene:PRUPE_2G024700 transcript:ONI20604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCKAESAISVSNSQTSSSKTTTTTTTNPEKPIKIQHFDYSDIEAATNGFSDQKLLGKGSHGYVYKAVLRGRLVAVKRPSRAHSQTLRPTSCSAPETTNEVDNEIEILSKIQSPRLVNLLGFSNDSKDRLLVVEFMSNGTLYDVLHSSARPPNWGRRIRLALQTAKAIDTLHSSNPPVIHRDIKSANVLIDRSYNARLGDFGLALRYCHFDDYRLRSTPPAGTIGYLDPCYVTPDNLSTKTDVFSFGILLLEILSGRKAIDVAYSPPCIVDWAIPLIKRGKLITVYDPRIEPPKDPIVRKQLAVIAAKCVRSCRERRPSMKEVVVWLTGLSKLVPLHSWNGFNNPCTMVETMGQPVESRNAHFRSKLEGVGEENLEALDAKLTRQALRNSRRVYSDLGFSSNLMELMAGTDGESEFRGNADGDEPNLKSGNRVSRFSSERYIGRRSAQSTPGHGKEVPDLIRNPSFAKRSFICSRRDDAMPHVSGSSHPAGGILLAA >ONI21344 pep chromosome:Prunus_persica_NCBIv2:G2:7654551:7658188:1 gene:PRUPE_2G060600 transcript:ONI21344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAACVVPLVPPHISFPFDLSSPQNPNKLTLFFPRLHRSTKSQPTLRCHRPLSFSCSLSLTPHSPAPEQPTSAVPQTLLRLAASAALFFGLGLCCWAASAQCPPLPLGDPGAVLEEEHTAQARDDGDEGKSGNLERSEDSKLEAAFGVWKSKTYALTVPLRIAALRGSVPPSWVKDFMQSQGKRSKVHLKSHASLEGIFSDLSMAFSKGKVGPSSIVAADLVSVGDSWLSYAINKALIEPIQGVEDQDWFKGLSDRWKVYLRRNSEGRIDPDGKVWAAPYRWGCMVIAYKKTKFRKHKLAPVEDWADLWRPELAGKISMVDSPREVIGAVLKYMGASYNTKDIHLQVDGGKDAVRQNLALLVKQAIPAVSQLETNRIGGRVRGPSPLIHQWIEFCLQTARALPFKQEVVPGASPSALDSAPPKVLNELIKGKPRLDTNLVAGVPPPQILARCEFLEPLSDSTLSDYQWLIASMQKNRDGLISSMHSYMSSLIQIFWLKLNSKPA >ONI21343 pep chromosome:Prunus_persica_NCBIv2:G2:7654551:7658188:1 gene:PRUPE_2G060600 transcript:ONI21343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAACVVPLVPPHISFPFDLSSPQNPNKLTLFFPRLHRSTKSQPTLRCHRPLSFSCSLSLTPHSPAPEQPTSAVPQTLLRLAASAALFFGLGLCCWAASAQCPPLPLGDPGAVLEEEHTAQARDDGDEGKSGNLERSEDSKLEAAFGVWKSKTYALTVPLRIAALRGSVPPSWVKDFMQSQGKRSKVHLKSHASLEGIFSDLSMAFSKGKVGPSSIVAADLVSVGDSWLSYAINKALIEPIQGVEDQDWFKGLSDRWKVYLRRNSEGRIDPDGKVWAAPYRWGCMVIAYKKTKFRKHKLAPVEDWADLWRPELAGKISMVDSPREVIGAVLKYMGASYNTKDIHLQVDGGKDAVRQNLALLVKQVRLFDSVHYLKAFGVGDVWMAVGWSSDVLPVAKRMSNVAVIVPKSGASIWADLWAIPAVSQLETNRIGGRVRGPSPLIHQWIEFCLQTARALPFKQEVVPGASPSALDSAPPKVLNELIKGKPRLDTNLVAGVPPPQILARCEFLEPLSDSTLSDYQWLIASMQKNRDGLISSMHSYMSSLIQIFWLKLNSKPA >ONI21297 pep chromosome:Prunus_persica_NCBIv2:G2:7314576:7316721:1 gene:PRUPE_2G058700 transcript:ONI21297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCISNNSNNEFPLNNITNELESLMTVPTHSQVLHYSNEQPAIVGCQAFSSNRHFQHHKQQNRPLSSLFKASYNFRSKPPSLSSILSQTRAQKLGCFEQWLFVVFSDQFRPPQLRFSRDKKLRSRALEMELIVEPRHPGPGRPVGGTSRRSS >ONI25409 pep chromosome:Prunus_persica_NCBIv2:G2:28932944:28936847:1 gene:PRUPE_2G301400 transcript:ONI25409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGNRKLAESRLPRVFLHSRIAYTRDFMFSLEHLDAGKRLPGGIKPSILSELESHVSSQSCRASDCERWHGTLPRCLLQNSLSVGLLGNDAVLGAYGLISGASAPKFQDNSPPLLHRSKEPFRPRFLSKAENHSGKSPWDLCKDETFGSSECLSEKEEEEKARRDLFEVITEGHETAIQEKQKKNPNEHEENSKFVMFLEDSAYSQRNKCEEHVISPVSVENIKTKSLTNSLIPEWSDPTANYTKSPEICCSKFAHHFPATETKPTCGFSSCCEGSESMAHGVSKDLTREPSYCTGSRKRLQSTDASSFIQQPIVYNYVFPSTTNEYGLIGFDCEASMSSSSVDQSKSKENQLVSDDFIATFRSGVEIDPGLKLKLDSCFDGKDSLPIELLLPDEDSLITVDDFRCTPDKEDNTMLMVEGIPVKADRVSSISPVDTCRKLAGLNIFKNERSTQLSSEGSEQNACKSPAQFHQAQPKQGKTSFHPLDSQPIQAHMRSQMKPITSNYNCHKPSRHPSATNLVPASTFHHSHAKPYQQLQQPMLIPGILPHQIFGSPRRAMAPLPSNQSRYMQELGPIQDFPLNYQSSNHGCFGMPNPGTGFYPCR >ONI25410 pep chromosome:Prunus_persica_NCBIv2:G2:28933167:28935882:1 gene:PRUPE_2G301400 transcript:ONI25410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLEHLDAGKRLPGGIKPSILSELESHVSSQSCRASDCERWHGTLPRCLLQNSLSVGLLGNDAVLGAYGLISGASAPKFQDNSPPLLHRSKEPFRPRFLSKAENHSGKSPWDLCKDETFGSSECLSEKEEEEKARRDLFEVITEGHETAIQEKQKKNPNEHEENSKFVMFLEDSAYSQRNKCEEHVISPVSVENIKTKSLTNSLIPEWSDPTANYTKSPEICCSKFAHHFPATETKPTCGFSSCCEGSESMAHGVSKDLTREPSYCTGSRKRLQSTDASSFIQQPIVYNYVFPSTTNEYGLIGFDCEASMSSSSVDQSKSKENQLVSDDFIATFRSGVEIDPGLKLKLDSCFDGKDSLPIELLLPDEDSLITVDDFRCTPDKEDNTMLMVEGIPVKADRVSSISPVDTCRKLAGLNIFKNERSTQLSSEGSEQNACKSPAQFHQAQPKQGKTSFHPLDSQPIQAHMRSQMKPITSNYNCHKPSRHPSATNLVPASTFHHSHAKPYQQLQQPMLIPGILPHQIFGSPRRAMAPLPSNQSRYMQELGPIQDFPLNYQSSNHGCFGMPNPGTGFYPCR >ONI25407 pep chromosome:Prunus_persica_NCBIv2:G2:28932200:28936847:1 gene:PRUPE_2G301400 transcript:ONI25407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFPGKMSSESEEQSLLARSESNTQEVAKHSRIAYTRDFMFSLEHLDAGKRLPGGIKPSILSELESHVSSQSCRASDCERWHGTLPRCLLQNSLSVGLLGNDAVLGAYGLISGASAPKFQDNSPPLLHRSKEPFRPRFLSKAENHSGKSPWDLCKDETFGSSECLSEKEEEEKARRDLFEVITEGHETAIQEKQKKNPNEHEENSKFVMFLEDSAYSQRNKCEEHVISPVSVENIKTKSLTNSLIPEWSDPTANYTKSPEICCSKFAHHFPATETKPTCGFSSCCEGSESMAHGVSKDLTREPSYCTGSRKRLQSTDASSFIQQPIVYNYVFPSTTNEYGLIGFDCEASMSSSSVDQSKSKENQLVSDDFIATFRSGVEIDPGLKLKLDSCFDGKDSLPIELLLPDEDSLITVDDFRCTPDKEDNTMLMVEGIPVKADRVSSISPVDTCRKLAGLNIFKNERSTQLSSEGSEQNACKSPAQFHQAQPKQGKTSFHPLDSQPIQAHMRSQMKPITSNYNCHKPSRHPSATNLVPASTFHHSHAKPYQQLQQPMLIPGILPHQIFGSPRRAMAPLPSNQSRYMQELGPIQDFPLNYQSSNHGCFGMPNPGTGFYPCR >ONI25408 pep chromosome:Prunus_persica_NCBIv2:G2:28932200:28936847:1 gene:PRUPE_2G301400 transcript:ONI25408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFPGKMSSESEEQSLLARSESNTQEVAKHSRIAYTRDFMFSLEHLDAGKRLPGGIKPSILSELESHVSSQSCRASDCERWHGTLPRCLLQNSLSVGLLGNDAVLGAYGLISGASAPKFQDNSPPLLHRSKEPFRPRFLSKAENHSGKSPWDLCKDETFGSSECLSEKEEEEKARRDLFEVITEGHETAIQEKQKKNPNEHEENSKFVMFLEDSAYSQRNKCEEHWSDPTANYTKSPEICCSKFAHHFPATETKPTCGFSSCCEGSESMAHGVSKDLTREPSYCTGSRKRLQSTDASSFIQQPIVYNYVFPSTTNEYGLIGFDCEASMSSSSVDQSKSKENQLVSDDFIATFRSGVEIDPGLKLKLDSCFDGKDSLPIELLLPDEDSLITVDDFRCTPDKEDNTMLMVEGIPVKADRVSSISPVDTCRKLAGLNIFKNERSTQLSSEGSEQNACKSPAQFHQAQPKQGKTSFHPLDSQPIQAHMRSQMKPITSNYNCHKPSRHPSATNLVPASTFHHSHAKPYQQLQQPMLIPGILPHQIFGSPRRAMAPLPSNQSRYMQELGPIQDFPLNYQSSNHGCFGMPNPGTGFYPCR >ONI25411 pep chromosome:Prunus_persica_NCBIv2:G2:28932944:28936847:1 gene:PRUPE_2G301400 transcript:ONI25411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLEHLDAGKRLPGGIKPSILSELESHVSSQSCRASDCERWHGTLPRCLLQNSLSVGLLGNDAVLGAYGLISGASAPKFQDNSPPLLHRSKEPFRPRFLSKAENHSGKSPWDLCKDETFGSSECLSEKEEEEKARRDLFEVITEGHETAIQEKQKKNPNEHEENSKFVMFLEDSAYSQRNKCEEHVISPVSVENIKTKSLTNSLIPEWSDPTANYTKSPEICCSKFAHHFPATETKPTCGFSSCCEGSESMAHGVSKDLTREPSYCTGSRKRLQSTDASSFIQQPIVYNYVFPSTTNEYGLIGFDCEASMSSSSVDQSKSKENQLVSDDFIATFRSGVEIDPGLKLKLDSCFDGKDSLPIELLLPDEDSLITVDDFRCTPDKEDNTMLMVEGIPVKADRVSSISPVDTCRKLAGLNIFKNERSTQLSSEGSEQNACKSPAQFHQAQPKQGKTSFHPLDSQPIQAHMRSQMKPITSNYNCHKPSRHPSATNLVPASTFHHSHAKPYQQLQQPMLIPGILPHQIFGSPRRAMAPLPSNQSRYMQELGPIQDFPLNYQSSNHGCFGMPNPGTGFYPCR >ONI24491 pep chromosome:Prunus_persica_NCBIv2:G2:26180128:26182520:1 gene:PRUPE_2G243200 transcript:ONI24491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRDDPEFYLPTHFLTDDVVLHNMDDNSFHQNGVGSVARFPTEFPYEFDSSDSNSALSSPVESVVGSTETESSDEEDFLSGLTRRLAQSSLQQTHQTQKLSVPNFNKDKPEWVMAGSPQSILSGIGSWSSNGSPTGPSSQVPSPPTTPFGAQNDTWDLIYAAAGQVARLKMTNGVEGATKFSNHSRGLLGPPRSPSPSSLPCVKNPAPGLCSNQSFNQPQHVRQNQVLNKPQCSAAWGKQGQLPWSAYQQQQQQIQSRGRSIPGYESGRCGHGVSIPQSAWPPLQVQQHQNQHPQRNNASVRPILPNGSNIKRECAGTGVFLPRRYSNPAPEPRKKAGCPTVLLPAKVVQALNLNFEDMNSQAPPRFNSGLAPDHEALLARRNALLAQQRLGGLRPEGPLNYEVRLPQEWTY >ONI24501 pep chromosome:Prunus_persica_NCBIv2:G2:26217767:26218895:1 gene:PRUPE_2G244200 transcript:ONI24501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPFSCATFHHQEVDEDDDQPMISPPRKSSRSRSTGFHRRHSRDTKNPYSSRGLDKFSALVADLEEKRQKIYAQPDSKDISFVRFVYKDSTDTSLPVPIVVKLRDKKEDKAKTLTDHEEKHATPNIDPSSLAVKEVKPPPKLEPEKQSEQRTLWRWNMKSDKWRRPAYYLPLVIIFILVLLALFGRSFAILCTSVCWYAIPMLKESSNNATTGAKKKDYVRRLSDKNTAVTQELMSSPKSNHRFLGKHVHQKSL >ONI20831 pep chromosome:Prunus_persica_NCBIv2:G2:3890474:3893885:1 gene:PRUPE_2G035900 transcript:ONI20831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSRRLLRSFGSVLASGRCDSPSSLYPSLHASLGVVSSEEFSAVSKAGSSFLANRRLSTSIPSPESSGGAFPSNLLSAKPVVASESNIGLYQDLVIPVTNFHNEDKGFTVLAGDVFDVPIRKDIIHRVVLWQLAKRQQGTHSTKTISEVSGTGRKPWNQKGTGRARQGSLRSPQGLNVYSILQHDTLVMSRTAVNEIVERMHTPIKR >ONI20828 pep chromosome:Prunus_persica_NCBIv2:G2:3890474:3893885:1 gene:PRUPE_2G035900 transcript:ONI20828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSRRLLRSFGSVLASGRCDSPSSLYPSLHASLGVVSSEEFSAVSKAGSSFLANRRLSTSIPSPESSGGAFPSNLLSAKPVVASESNIGLYQDLVIPVTNFHNEDKGFTVLAGDVFDVPIRKDIIHRVVLWQLAKRQQGTHSTKTISEVSGTGRKPWNQKGTGRARQGSLRSPQFRGGSTMHGPKPRSHAFKLNKKVRRLGLKIALTARAAEGKLLIFDDFEVPTHKTKNIANYVQQMEDSKKLLLVDGILPEKLKLATQNLHYVNVLPAVGLNVYSILQHDTLVMSRTAVNEIVERMHTPIKR >ONI20830 pep chromosome:Prunus_persica_NCBIv2:G2:3890474:3893885:1 gene:PRUPE_2G035900 transcript:ONI20830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSRRLLRSFGSVLASGRCDSPSSLYPSLHASLGVVSSEEFSAVSKAGSSFLANRRLSTSIPSPESSGGAFPSNLLSAKPVVASESNIGLYQDLVIPVTNFHNEDKGFTVLAGDVFDVPIRKDIIHRVVLWQLAKRQQGTHSTKTISEVSGTGRKPWNQKGTGRARQGSLRSPQLLIFDDFEVPTHKTKNIANYVQQMEDSKKLLLVDGILPEKLKLATQNLHYVNVLPAVGLNVYSILQHDTLVMSRTAVNEIVERMHTPIKR >ONI20832 pep chromosome:Prunus_persica_NCBIv2:G2:3890922:3893113:1 gene:PRUPE_2G035900 transcript:ONI20832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSRRLLRSFGSVLASGRCDSPSSLYPSLHASLGVVSSEEFSAVSKAGSSFLANRRLSTSIPSPESSGGAFPSNLLSAKPVVASESNIGLYQDLVIPVTNFHNEDKGFTVLAGDVFDVPIRKDIIHRVVLWQLAKRQQGTHSTKTISEVSGTGRKPWNQKGTGRARQGSLRSPQFRGGSTMHGPKPRSHAFKLNKKVRRLGLKIALTARAAEGKLLIFDDFEVPTHKTKNIANYVQQMEDSKKLLLVDGILPEKLKLATQNLHYVNVLPAVVSCSL >ONI20829 pep chromosome:Prunus_persica_NCBIv2:G2:3890474:3893885:1 gene:PRUPE_2G035900 transcript:ONI20829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSRRLLRSFGSVLASGRCDSPSSLYPSLHASLGVVSSEEFSAVSKAGSSFLANRRLSTSIPSPESSGGAFPSNLLSAKPVVASESNIGLYQDLVIPVTNFHNEDKGFTVLAGDVFDVPIRKDIIHRVVLWQLAKRQQGTHSTKTISEVSGTGRKPWNQKGTGRARQGSLRSPQFRGGSTMHGPKPRSHAFKLNKKVRRLGLKIALTARAAEGKGLNVYSILQHDTLVMSRTAVNEIVERMHTPIKR >ONI25236 pep chromosome:Prunus_persica_NCBIv2:G2:28466622:28468923:1 gene:PRUPE_2G290700 transcript:ONI25236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDWANLPQNLLDSVLERLTLLSDYLRFNIVCASWHSVAKHNKNRRAKMTTPMLLLQTRKKGTWSLYNVEEDKVLNLQLKIPNKRFCGSSKEWLIFVDKDLVVTLVNPFFRIKGRIKKENSIICLPPLNPQPMSRAWFSKTNYFVFKATISADPILNANDYIVVVIYEEYCRLAFIRPGKDTTWTYVDQSWSLIEEVVPIRDKFYAVDRWGNLLSFDGVDQFKCNVESKANNTEQTSEKKYLVALNEKDFLMVERYTTWDDDSKRDTMEFKVFEFSFAKCDWIETNNLGDAAIFVGDNSSIYVVASNDYGCQSNCIYFTHDHYRIRMDFKPWGPNDIGVYNIKTRSIAQPFTKDAMTMMKLMNRPPIWIVPTF >ONI25199 pep chromosome:Prunus_persica_NCBIv2:G2:28335202:28337927:1 gene:PRUPE_2G288100 transcript:ONI25199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTMHSLKWVLLDLIDRCSGLRCFKQIHAQIVTSGLACNDLVANNVVGFLVKNVNSVDYACDFFKQVDWRVSSFPFNTLISGYANGDKPGAAVLVYRRIVRDGFVPDMYTVPAVLKSCVKFLGKGEGRQVHGVVVKMGFQCDVYAQNSLVHFYSVCGDCGGATRVFDEMPVKDVVSWTGLISGHVKAGLFDEAISLFFRMDVKPNVATFVSVLVACGRMGYLRVGKAIHGLIFKRSLGTNLVVGNAIVDMYVKCESLCDAKKIFDELPERDIVSWTSMISGLVQCKRPRESLELFCNMQTLGIKPDKIILASVLSACASLGALDYGRWVHEYIDRRGIKWDIHIGTSLIDMYAKCGCIKMALQTFNELPCGNVSTWNALLGGLAMHGNGHEALQNFEEMTKSGTRPNAVTFLAILTACCHSGLVDEGRGYFYQMISQPYNLSPRLEHYGCMVDLLCRAGLVDEAQELINTMPMQPDVLIWGALLSAYKAKGDVELSQEILDRLLQLKLHDSGVYVLLSNIYATNERWADVTRVRTLMKKKGIQKSPGSSVIEVDGKAHEFLVGDTSHPQHEDIHVLLNILANQVYLEGIFSIHS >ONI20482 pep chromosome:Prunus_persica_NCBIv2:G2:1706515:1709078:-1 gene:PRUPE_2G018200 transcript:ONI20482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGLQLSLSVRVFGFAGIVEAVILLPINYLGSQLSFSISNVNDGSKCSREEKGFYNRNRKDHLESIGKKWHAMVWYTCQICMELCNNEGKRRNIKPNT >ONI22327 pep chromosome:Prunus_persica_NCBIv2:G2:17867592:17869590:-1 gene:PRUPE_2G121600 transcript:ONI22327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELENPIVMTKIITFLSSLVQRVAESNDINPFQTQRISVFHGLTRPTISIQSYLERIFKYANCSPSCLIVAYVYLDRFSQRQPTLPINSYNVHRLLITSVMVAAKFMDDMYYNNAYYAKVGGISTIEMNFLEVDFLFGLSFNLNVTPSTFTTYCSYLQREMLLLQPPLDSADSSLSLGKSLKLHLCFDEEEASHQPQQLAV >ONI22678 pep chromosome:Prunus_persica_NCBIv2:G2:20137224:20140093:1 gene:PRUPE_2G144200 transcript:ONI22678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISTQDVEENICKFAKKGLTPSQIGVLLRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >ONI22679 pep chromosome:Prunus_persica_NCBIv2:G2:20137342:20140125:1 gene:PRUPE_2G144200 transcript:ONI22679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISTQDVEENICKFAKKGLTPSQIGVLLRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >ONI23370 pep chromosome:Prunus_persica_NCBIv2:G2:22628577:22631012:1 gene:PRUPE_2G184900 transcript:ONI23370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGCKVCVTGGAGYIGSWLVKKLLQKGYTVHATLRNLDDVSKVSLLKSLPDADARLVLFEADIYNPTEFEPAIEGCEFVFHVATPLQHTENSQYKNTTEAAIAGAKSIAESCLKSGTVKRLIYTASVVAASPLKEDGSGLGDFMDETCWTPLHLSFACSNVHLKAYVDSKTLAEKEILSYGNSKNAGLEVVTLACGLVGGDALLSFTPLSVAVFICQLTSSAIEYQSLRFLEELLGKIPIIHIDDVCDAHIFCIENPSINGRFLCASSSVSSAEIASYYQQHYPKLQVKPEYLDGPTREIGLGSTKLIEKGFVYKYDTKTILEDCIKCAKTRGVPGL >ONI21406 pep chromosome:Prunus_persica_NCBIv2:G2:8502957:8510185:-1 gene:PRUPE_2G063600 transcript:ONI21406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRSRNKSTRANTSSAQQGPLSSAQQEPDTGESAACAKPVALQADPSPLSAQLLTAQTAATSMERTWASLFKPAAYVASPSVANTTAAPAPAQRLCNERLLNEFMGNLYQGDKELFKRGCQNILRSLIGKVAHLGLKGITQGILTGENILVIGNKAENLEVEILDTPQKYNRALPSYRGQFRTLASKMVDAWEATCPLASKHFLKMLEHCIPWFYFKQLQWHPLLLSSKEVAMFILHLYTHLDVEKKGWKTDYKRLIAKEKVDFGEIISGTFRGAFAFAEVYSYERVEYDPDAQGALMFFRHALVHVNDYIYEDLEKKNEKPEENAADAPLTQEEIVNTFADFFPKVPLELFNYMLYKRIDINAVI >ONI24447 pep chromosome:Prunus_persica_NCBIv2:G2:26047096:26050909:-1 gene:PRUPE_2G240900 transcript:ONI24447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESYAGLPTSHLLGSVPAVVSEDKDKNTTSYEAPEANLQIFPPNNGGEKGRGYQTVGSTSETFEQQPANNWRGVFNISSYTQYFNVDTDVVLNRLLSSLYPHGGDFFSKIDANPDLYGLIWISTTLVFVLAALGNCATYLMEKHSDSSTSWAFNVSYMNLAAFSVYGYAILVPLAFYFLLHYLGSNASLVRFWCMWGYSLFIFVLASFLLLIPVEAFRWIIIILVGAASSCFVAFNLKTYIEGNDVVVIAAFLLQLALAIFFKVYFFP >ONI24448 pep chromosome:Prunus_persica_NCBIv2:G2:26047974:26050729:-1 gene:PRUPE_2G240900 transcript:ONI24448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESYAGLPTSHLLGSVPAVVSEDKDKNTTSYEAPEANLQIFPPNNGGEKGRGYQTVGSTSETFEQQPANNWRGVFNISSYTQYFNVDTDVVLNRLLSSLYPHGGDFFSKIDANPDLYGLIWISTTLVFVLAALGNCATYLMEKHSDSSTSWAFNVSYMNLAAFSVYGYAILVPLAFYFLLHYLGSNASLVRFWCMWGYSLFIFVLASVSTCLLCFGISFVFCFPPFLTLQFNVIKPLEGQWQK >ONI26023 pep chromosome:Prunus_persica_NCBIv2:G2:30325520:30327101:1 gene:PRUPE_2G330200 transcript:ONI26023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSKPGNMNMKGFYRQRKSSSIGGGISKKNKSQSTTHPAAASFGSDVTQPTALMSHASLDLKDDYDEQEALLRQFDMNMAYGPCVGMTRLERWERACILGLNPPKEVESLLTGGKVCCECLWDGRI >ONI23561 pep chromosome:Prunus_persica_NCBIv2:G2:23305595:23305922:-1 gene:PRUPE_2G195200 transcript:ONI23561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCGAVGKGGSVRGCGLREEEEEVGGGWVGWGGVGCGVREGKGRSVRGCGEKRGGGGRVGVLGEGGGVERERERGDGVSDSKKTYM >ONI22764 pep chromosome:Prunus_persica_NCBIv2:G2:20503735:20505749:1 gene:PRUPE_2G149500 transcript:ONI22764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRPPPSSPPIHHVHHRHLLLPLLTVTITLAFISLLSFLIFLYRKLSYNRTTPFDHHHSSATATPTTTPTTPTTATNTKKNNNNRFSYSAIRRATERKKRKIINSSLTRSIDWWLDGLGGEIRSGRRNSQDWGSTCGGDVPKSGGISSTPSMRGTVCYVAPEYGGGGQLSEKCDVYSFGVLVLVLISGRRPLQVTASPMSEFERANLISWARQLARNGKLLDLVDQSIHSLDKEEALLCITIALLCLQRTPGKRPTMKEIVGILLGEAEPPHLPFEFSPSPPSNFPFKSRKKAR >ONI21765 pep chromosome:Prunus_persica_NCBIv2:G2:13841805:13843946:-1 gene:PRUPE_2G087500 transcript:ONI21765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSLMKWVLIVALLVGLSGETCNAEDDGTNCTSSCGNIHNISYPFRLKHYPKHCGNVMYTLSCENNITLVVDLPPSGKYYVQAINSHNQTIRLCSNPVNSSLYVDTAPCLNINASSLVQQKTYSYVKVVVMEVGDLYEGCSAEWVALALFVLLGLQYLL >ONI24407 pep chromosome:Prunus_persica_NCBIv2:G2:25943216:25946736:-1 gene:PRUPE_2G239000 transcript:ONI24407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWWAGNVAMGGGHVDSISSTPPSLHLRNTEEQLDDHNTTNTPTNSSTSNPATTPNKQNDEHHEDGRDNNDLEADNQDPNTGSGSHDSLEPGSSNRRPRGRPPGSKNKPKPPIIITKESPNALRSHVLEISSGSDIVDSIATFAQRRHRGVSVLSGSGIVANVTLRHPAAPSGVITLHGRFEILSLSGAFLPSPSPPGATGLTVYLAGGQGQVVGGTVMGALVASGPVMVVAATFTNATYERLPLEDEQAGEGGMQVQQQQQQQQQSGVNSAGTGGNSGSQGLVEHTSSMAIYNLPPNLLPNGQMPPDVFWGPPPPRPPPPSY >ONI25303 pep chromosome:Prunus_persica_NCBIv2:G2:28629828:28632798:1 gene:PRUPE_2G294800 transcript:ONI25303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENENMQRLQSQRCYLYGPFADTILMLRPFMKSPARFSAVFVLLFLLFGAFVCTRLLNSRIPADTSAEEPIITTRTSQKHPREIPLNCTAYDLARTCPSNYPTSTTITSHTEQDPDRPLPPTCPEYFRWIHEDLRPWAHTGITRDMIQRAKRTANFKLVIVNGKAYVEKYQKSFQTRDVFTMWGILQLLRRYPGQVPDLELMFDCVDWPVISSNDYSGPNATAPPPLFRYCGDDNSLDIVFPDWSFWGWAEINIMPWEVLLKDLEEGNKRRRWIDRAPYAYWKGNPSVAATRQDLLKCNVSDQQDWNARVYAQDWLRESSEGYKQSDLASQCVDRYKIYIEGSAWSVSDKYILACDSVTLIVKPRYYDFFTRSLMPVHHYWPIKDDDKCRSIKFAVDWGNSHKQKAQAIGKAASKLIQEELKMDYVYDYMFHLLNEYAKLLQFKPTIPRKAIELCSEAMACQAQGTEKKFMMESMVKGPAVSNPCTMPPPYGPASLFAVLRRNANSIKQVETWEKKYWENQSKQS >ONI25304 pep chromosome:Prunus_persica_NCBIv2:G2:28630645:28632815:1 gene:PRUPE_2G294800 transcript:ONI25304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRAKRTANFKLVIVNGKAYVEKYQKSFQTRDVFTMWGILQLLRRYPGQVPDLELMFDCVDWPVISSNDYSGPNATAPPPLFRYCGDDNSLDIVFPDWSFWGWAEINIMPWEVLLKDLEEGNKRRRWIDRAPYAYWKGNPSVAATRQDLLKCNVSDQQDWNARVYAQDWLRESSEGYKQSDLASQCVDRYKIYIEGSAWSVSDKYILACDSVTLIVKPRYYDFFTRSLMPVHHYWPIKDDDKCRSIKFAVDWGNSHKQKAQAIGKAASKLIQEELKMDYVYDYMFHLLNEYAKLLQFKPTIPRKAIELCSEAMACQAQGTEKKFMMESMVKGPAVSNPCTMPPPYGPASLFAVLRRNANSIKQVETWEKKYWENQSKQS >ONI21228 pep chromosome:Prunus_persica_NCBIv2:G2:6311430:6313309:1 gene:PRUPE_2G054000 transcript:ONI21228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQDLNHYMRLNVCGPSYLPFVVGKSTGSGIRELRQFPQLRGKLSILKLQNVVDARDALHANMKHKKDLKELELSWGAEDADDSQKEKDVLDKLQPCVNLEKLTIKFYGGTNFPNWLGDSSFSNIQVMHLSDCSYCWSLPPVGPLPALKQLCIRRMKFVKTIGVEFYGRNGAYLTQPFRSLEKLEFREMPEWEEWVPSGSASGGEYGPDFPRLQELILYECPKLRGSLPCELPCLKKLTVYGCKVLHDGRAITATTNSLNYKSLEELNISGGCQTLLSLLETKLLSGLEIRNVVDIQCLPNCSRLQHLTLSNCPTLSSFPKDGLPTTLTSLYINNCRKLEFLPHEMLAKLTSLDYLCIQNSCDSMRSFPLGIFPKLTRLEIRGCENLESLSLIEEEGAVENLSHLNYLQVYNCPKMVCFHEGELPTPNLSHFVVGGCENLKSLPKSLHTLTALRSLSICNLPNLESFAEDGGLPPNLRQGLGHLTSLQELDINRCPSLEFLPGEELQHLTSLQNLYIWNCPSLQCLPEEGFPSSLSHLSIRRCPTLEERYKNKTGRDWAKISHIPCIEIGNEVII >ONI24747 pep chromosome:Prunus_persica_NCBIv2:G2:26997991:27000178:-1 gene:PRUPE_2G260000 transcript:ONI24747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSICTSMPAILRPPRNTICLTCYEGARSVISFINKLESAQGSAEKKANLCKTLENVSKWVHDRKDASEELNEKIKFLSGFVVAFRDQIHTDIQLHPGDNGPPIPAHRALLAIRSEIFNNMLDSDGCKAPPNDAVTLPELNHEELESLLEFLYNGDLHEEKMNKHVYSLFLAADKYGISYLQKLCERHMLESLSTANALDVLEVADLCSCLTLKNNALDFIVKNMHDIVFSAKYDAFALKNPHLCVQISRASLMDAKRNSVS >ONI22958 pep chromosome:Prunus_persica_NCBIv2:G2:21243699:21245493:1 gene:PRUPE_2G160500 transcript:ONI22958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGIGLETVRQLASKGFTVVLTARDEKRGLEAVEKLKESGLSGQVVFHQLDVANPATVASLANFIKIQFGKLDILVNNAGIGGSIVDGDALKAAVASGAMERGEVDLSKLMTETYELTEECLQINYYGAKRTAEALIPLLQLSDSPRIVNVSSSMGKLEKIPSHRARRVFTDAENLTEERVDEVLTELLKDFKEGSLERKGWPSSMSASTVSKAALNAYTRILAKKYLNFRINSVCPGFVKTDINYNVGVLPVEEGAARVMKLALLPNDGPTGSFFVHNEVSDL >ONI20633 pep chromosome:Prunus_persica_NCBIv2:G2:2749486:2751078:1 gene:PRUPE_2G026600 transcript:ONI20633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTCSFFLNSEVCYLFCGVCRRLLSVEYIVFRHYSSCILLFICTKQRIKSSGIFRSSLVNEVSSIGIGS >ONI20202 pep chromosome:Prunus_persica_NCBIv2:G2:332697:340559:1 gene:PRUPE_2G002900 transcript:ONI20202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFRSVNALSKLRSRLGQQSNLRDSVRWLQTQTSTDLDLRSQLKELIPEQQERLKKIKAEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKVLPGAKPGGEPLPEGLLWLLLTGKVPSKEQVDALSEELRSRAAVPDYVYKAIDALPITAHPMTQFTTGVMALQVQSEFQKAYDKGIHKSKYWEPTFEDSLSLIAQLPVVASYVYQRIFKDGKVIPVDDSLDYGANFSHLLGFDDPKMHELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVDEVGENVTTEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPDDPLFQLVSKLYEVVPPILIELGKVKNPWPNVDAHSGVLLNHFGLTEARFFTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMESLENYCKKAASS >ONI20203 pep chromosome:Prunus_persica_NCBIv2:G2:332697:340559:1 gene:PRUPE_2G002900 transcript:ONI20203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFRSVNALSKLRSRLGQQSNLRDSVRWLQTQTSTDLDLRSQLKELIPEQQERLKKIKAEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKVLPGAKPGGEPLPEGLLWLLLTGKVPSKEQVDALSEELRSRAAVPDYVYKAIDALPITAHPMTQFTTGVMALQVQSEFQKAYDKGIHKSKYWEPTFEDSLSLIAQLPVVASYVYQRIFKDGKVIPVDDSLDYGANFSHLLGFDDPKMHELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVDEVGENVTTEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPDDPLFQLVSKLYEVVPPILIELGKVKNPWPNVDAHSGVLLNHFGLTEARFFTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMESLENYCKKAASS >ONI21415 pep chromosome:Prunus_persica_NCBIv2:G2:8675023:8675438:1 gene:PRUPE_2G064400 transcript:ONI21415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGISQHGIQFNLEVFGTKSKGWGVRSRSYIPHGSFVCEYVESTGADVFVIDATQHGEVGRFVNHSSPSPNLFLQSVLYDHDDVRMPHMMLFAKKSIPPKQELTYD >ONI21762 pep chromosome:Prunus_persica_NCBIv2:G2:13763825:13766288:1 gene:PRUPE_2G087200 transcript:ONI21762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFLISCLCILYMIFVKAVGAGQDTCTESKCSDDHGLAIHFPLHVRHHCGDPGLECSGRQEKVALVKFFVKSIDYKRGLIEVYDPDGCLLLMPLETPNIPISPFHLLDSEISNVTLFHCPTLAERDIDEYQVPCLRGSPGYRVYGVLPYYDLFSSLWYLKSCTRMYDVLSVPFGTWVGGDSSILRFKWSKPNCTECEARGKRCRLKDNGINSEVECVHLKKASKTREFVATGATLGSSLLLVLVIAVYRVYSADQKEKENQLKIERFLEDYKALKPSRYSYADIKRITDQFKDKLGQGAYGTVFKGKLSSEFFVAVKVLNNSKGNGEEFVNEVGMMGHIHHVNVVRLVGFCADGFRRALVYEFFPNGSLQDFISSADSKHGFLGWEKLHDIAVGIAKGIEYLHQGCDQRILHFDIKPHNVLLDHNFTPKISDFGLAKLCSKDQSIVSMTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIGSSTKNTNEIYYPEWIYNLLEGGDDLRIHIGDDGDGKIPKTLAIVGLWCIQWHPVDRPSMQIVVHMLGGGDNLAMPPNPFVSAGPTTTHASIPARRLELEAIPELE >ONI21747 pep chromosome:Prunus_persica_NCBIv2:G2:13595776:13596732:1 gene:PRUPE_2G085800 transcript:ONI21747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPVGPLVQEPMDLKVDEETWIMKWLNKRERASVVYVCFGSEYFLTKEEIEEIAHGLELSKVSFIWVIRFPNEEKGRRVEEVLPEGFLERVGEKGIIVEGWAKILKHSSVGGFVSHCGWSSVLESVKFGVPIIAMPMHLDQPINSRLVEEEGVGVEVKRTTEGSLQREEVAKVIRDVVVEKIGEGVRKKALEIRDNMKKKEDAEIDGVVEELMQLCTKTGSNVNF >ONI23205 pep chromosome:Prunus_persica_NCBIv2:G2:22090695:22092281:1 gene:PRUPE_2G175000 transcript:ONI23205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDHLQVVMLPWSAFGHMMPYFQLSIALAKAKVHIFFISTPRNIQRLPKISSELEPFVHLVPIPFPPLDPGFLPEGAEATVDVPFEKIDNLKAAYDLLQQPIKHFIGQHLPDWIISDSFAHWVVDIGKEYGVPVGYFSPFSAASSVFFGSPENLLGTKRIHALPTPASLTAPPDWVTFPSKVAYRDYEAVYVPRGFFGSRNGEISGAGRLAKVVSGSRVLAIRTCNEVEGDYLEVYKKITGKPIFSTGLLPPEQPPKRAKGEITTDDGIFDWLDKQKPKSVVFVGLLEEKGLAVEVKRKEDGSFSRDEIAKTLRHAMVEEEGEQLRSNARKAAAVFGDHKLHQDHYIGQFVHFLKNNVAKRSS >ONI23204 pep chromosome:Prunus_persica_NCBIv2:G2:22090326:22092282:1 gene:PRUPE_2G175000 transcript:ONI23204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDHLQVVMLPWSAFGHMMPYFQLSIALAKAKVHIFFISTPRNIQRLPKISSELEPFVHLVPIPFPPLDPGFLPEGAEATVDVPFEKIDNLKAAYDLLQQPIKHFIGQHLPDWIISDSFAHWVVDIGKEYGVPVGYFSPFSAASSVFFGSPENLLGTKRIHALPTPASLTAPPDWVTFPSKVAYRDYEAVYVPRGFFGSRNGEISGAGRLAKVVSGSRVLAIRTCNEVEGDYLEVYKKITGKPIFSTGLLPPEQPPKRAKGEITTDDGIFDWLDKQKPKSVVFVGFGSECKLSKEQVFEIAHGLELSKLPFLWALRKPNWANSDAGALPQGFADRTSEKGLVCFGWVPQFDILGHPSIGGSLFHSGWGSVIETLQFGHVLVVLPLIIDQPLNARLLEEKGLAVEVKRKEDGSFSRDEIAKTLRHAMVEEEGEQLRSNARKAAAVFGDHKLHQDHYIGQFVHFLKNNVAKRSS >ONI22172 pep chromosome:Prunus_persica_NCBIv2:G2:17020262:17023645:1 gene:PRUPE_2G112100 transcript:ONI22172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQRLSLPSYTLTPPNVSPSQQLSSKILRLNPNSKSGFCNSLKMVFKSPTGLRSHGGSVVVRCGVAQQEDTDDGFYMRRCVELARKAIGCTSPNPMVGCVIVKDGKVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCSEALIKAKVKRVVVGMVDPNPIVASKGLDRLRDAGIEVTTGVEEESCKRLNEAYIHHMLTGNPFVTMRYSISVNGHFLDQLGEGVAKAGGYYSQLLQEYDAIILSSSSIIENASIPVSQEGGANQPVQIILARNSSSPIQIPSLTMEPIGKLIIFSDKETTVELERAHTGIETVVLDQINLEAILEFCNSQGLCSVLLDLKGNIGDIEELLQDGIEKNLLQKIVIEVLPLWEANDGGNFPIALKSLRKRLEVKNLKPKVSSENVVLEGYL >ONI24446 pep chromosome:Prunus_persica_NCBIv2:G2:26038666:26044996:-1 gene:PRUPE_2G240800 transcript:ONI24446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVDRSRAFLKDVKRIVLKVGTAVVTRNDGRIALGRLGALCEQLKELNSLGYEVILVSSGAVGLGRQRLRYRKLVNSSFADLQKPQVELDGKACAAVGQNCLMALYDTLFSQLDVSSAQLLVTDSDFRDRDFRKQLSDTVKSLLSLKVIPIFNENDAVSTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVDGLYSGPPSDPRSKIIHTYIKEKHQSEITFGDKSRVGRGGMTAKVKAAVNAAYAGIPVVITSGYAPGNLSKVLEGQRVGTLFHQDAHLWSPVKEVDARGMAVAARESSRRLQAMTSEERKRVLLDVADALEANVRLINVENEADVSAAQEAGYEKSLISRLALKPGKIKSLANSIRVLANMEDPIGHVLKRTQLAEGLVLEKTSSPLGVLLIVFESRPEALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKIITGAIPDSVGGKLIGLVTSREEIPDLLKHDDVIDLVIPRGSNKLVSQVKNLTKIPVLGHADGICHVYIDKSANMDMAKRIAVDAKVDYPAACNAMETLLVHKDLKDTTEFNDLVVDLRAEGVTLYGGPRASSLLNIPQAHSFHHEYSSMACTVEFVDDVHAAIDHIHEHGSAHTDCVIAEDLEVVDAFLGQVDSAAVFHNASPRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGNGQVVDGDKGVIYTHKDLPIEP >ONI22671 pep chromosome:Prunus_persica_NCBIv2:G2:20084302:20088374:1 gene:PRUPE_2G143700 transcript:ONI22671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSKICSNGAQSINLLPNVSKPQIPKSSNLLSLKSQFSGSSNSLSLKLKNGFVGTCTVDKVRVGPLRVSASVATTEKPSTVPEIVLQPIKEISGTIKLPGSKSLSNRILLIAALSEGTTVVDNLLDSDDIHYMLGALKTLGLNVEEDKANKRAVVEGCGGQFPLGNESGDEVQLFLGNAGTAMRPLTAAVVAAGGHSRYVLDGVPRMRERPIGDLVAGLKQLGADVDCFLGTNCPPVRVIGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEVEIIDKLISIPYVEMTLKLMERFGVTVEHSDSWDRFFIRGGQKYKSPGNAFVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEKMGAKVTWRENSVTVTGPQRNSSRKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCVITPPERLNVTAIDTYDDHRMAMAFSLAACGDVPVTINDPGCTRKTFPDYFEVLGKFTKH >ONI23776 pep chromosome:Prunus_persica_NCBIv2:G2:24129586:24130193:1 gene:PRUPE_2G207400 transcript:ONI23776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKCLLVVATHFVPSAKLPLLEKALISRAASATSSSLDLAFISAIIDEHSLQQPALN >ONI25412 pep chromosome:Prunus_persica_NCBIv2:G2:28936202:28939579:-1 gene:PRUPE_2G301500 transcript:ONI25412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKNPNPPEGLYLDPSGMALPGLGPFASVTTSATTTSTTSSSEDLSKKIRKPYTITKSRESWSEPEHDKFLEALQLFDRDWKKIEAFIGSKTVIQIRSHAQKYFLKVQKNGTSEHLPPPRPKRKAAHPYPQKASKNALALPQVSGSCQSSSALHESGFIQRPDSASMLMSPVPGTVVPSWTDGSMQTANLSHESKGPTAPNNSCSSTESTPKAQPVGGTADLVIPSHALRVLPDFSQVYSFIGSVFDPNVTGHLQKLKKMDPIDVDTVLLLMRNLSINLSSPDFEDHRKLLSSYKIDADTASHGDATKSLCDDQHKKVA >ONI22423 pep chromosome:Prunus_persica_NCBIv2:G2:18509171:18515636:1 gene:PRUPE_2G127900 transcript:ONI22423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVRRKVARKGKEKVVLPCYPEIEEEVSGSVQNWIVDWTSLPDDTVIQLFSCLNYRDRASLSSTCKTWRVLGISPCLWTSLDLRAHKCNDAMAASLAARCVNLQKLRFRGAESADAILHLQARNLREISGDYCRKITDATLSVIVARHEALESLQLGPDFCERISSDAIKAIAICCPKLKKLRLSGIRDVHADAIIALTKHCQNLTDIGFIDCLNIDEMALGNVLSVRFLSVAGTSNMKWGVVSHLWHKLPNLTGLDVSRTDIGSAAVSRLLSSSQSLKVLCALNCPVLEEDTNFASRKYKNKLLLACFTEIMEEIAFLLVDITKKGKNVFLDWRNSKNKDKNLDDIMTWIEWILSHTLLRIAESNQQGLDDFWPKQGASLLLNLMQSSQEDVQERAATGLATFVVIDDENASIDCRRAEAVMRDGGIRLLLNLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINILAGLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSTEVAVAGGVQALVMLARNCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTQSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQGCSNASPGLQERAAGALWGLSVSEANRYVLALLNTTRND >ONI22422 pep chromosome:Prunus_persica_NCBIv2:G2:18509171:18520635:1 gene:PRUPE_2G127900 transcript:ONI22422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVRRKVARKGKEKVVLPCYPEIEEEVSGSVQNWIVDWTSLPDDTVIQLFSCLNYRDRASLSSTCKTWRVLGISPCLWTSLDLRAHKCNDAMAASLAARCVNLQKLRFRGAESADAILHLQARNLREISGDYCRKITDATLSVIVARHEALESLQLGPDFCERISSDAIKAIAICCPKLKKLRLSGIRDVHADAIIALTKHCQNLTDIGFIDCLNIDEMALGNVLSVRFLSVAGTSNMKWGVVSHLWHKLPNLTGLDVSRTDIGSAAVSRLLSSSQSLKVLCALNCPVLEEDTNFASRKYKNKLLLACFTEIMEEIAFLLVDITKKGKNVFLDWRNSKNKDKNLDDIMTWIEWILSHTLLRIAESNQQGLDDFWPKQGASLLLNLMQSSQEDVQERAATGLATFVVIDDENASIDCRRAEAVMRDGGIRLLLNLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINILAGLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSTEVAVAGGVQALVMLARNCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTQSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQGCSNASPGLQERAAGALWGLSVSEANSIAIGREGGVVPLIALARSEAADVHETAAGALWNLAFNPGNALRIVEEGGVPALVNLCSSSVSKMARFMAALALAYMFDGRMDEFALIGTSSESISKSHHQPLQHWHK >ONI22421 pep chromosome:Prunus_persica_NCBIv2:G2:18509171:18520635:1 gene:PRUPE_2G127900 transcript:ONI22421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVRRKVARKGKEKVVLPCYPEIEEEVSGSVQNWIVDWTSLPDDTVIQLFSCLNYRDRASLSSTCKTWRVLGISPCLWTSLDLRAHKCNDAMAASLAARCVNLQKLRFRGAESADAILHLQARNLREISGDYCRKITDATLSVIVARHEALESLQLGPDFCERISSDAIKAIAICCPKLKKLRLSGIRDVHADAIIALTKHCQNLTDIGFIDCLNIDEMALGNVLSVRFLSVAGTSNMKWGVVSHLWHKLPNLTGLDVSRTDIGSAAVSRLLSSSQSLKVLCALNCPVLEEDTNFASRKYKNKLLLACFTEIMEEIAFLLVDITKKGKNVFLDWRNSKNKDKNLDDIMTWIEWILSHTLLRIAESNQQGLDDFWPKQGASLLLNLMQSSQEDVQERAATGLATFVVIDDENASIDCRRAEAVMRDGGIRLLLNLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINILAGLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSTEVAVAGGVQALVMLARNCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTQSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQGCSNASPGLQERAAGALWGLSVSEANSIAIGREGGVVPLIALARSEAADVHETAAGALWNLAFNPGNALRIVEEGGVPALVNLCSSSVSKMARFMAALALAYMFDGRMDEFALIGTSSESISKSVSLDGSRRMALKHIEAFVLTFSDQQTFSAAAASSAPAALAQVTEGARIQEAGHLRCSGAEIGRFVTMLRNPSSVLKACAAFALLQFTIPGGRHAMHHASLMQNAGAARVLRAAAAAATAPLEAKIFARIVLRNLEHHHIEPSL >ONI25221 pep chromosome:Prunus_persica_NCBIv2:G2:28411867:28415244:1 gene:PRUPE_2G289800 transcript:ONI25221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAATERSEFRQSSANTKPSFVDMINILVVDDDATTLAIVSAMLKTWSYQVVSVRNPIDALATLRARKGIFDLVVTDLHMPQMNGFELQKHVHEEFKLPVIMMSADDKESVILKSLEGGVVYYIVKPVSKDDIKNVWQYAVAAKKGKSVTIEEIESAPGEAPGEVEDGSSETSSDTDEEKQDKKAEAKVKRARKRHREDDNEERMVAPKKPKVVWTNSLHNQFLLAIRHIGLDKAVPKRILEFMNVPGLTRENVASHLQKYRIFLKRVAEKARLSKCLSERVFRSSFAFGHPALVFNNVQRDQYYSQLLNPQQMGTSIASTFQPSAGNGSTLALTAAASNNLGSIQFPNYHQSSSSNSSRSFPQLIGSGQSRLLSNNQANILCQQPMLGNGNGDQLLCQANRRTAAFGVQQLMNTFGNGGMSFGLMNNNIGTNSLMQVYPQQSQPRQNGVFTFTNNPFSYNFATSGNQNSSSYTPLSSSFENMGSNVNNVNQRVPNSSHQLVSNFAVSQIMSSSGRELVGLMGSQPA >ONI21635 pep chromosome:Prunus_persica_NCBIv2:G2:11892078:11892404:1 gene:PRUPE_2G077300 transcript:ONI21635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSANYYQTSSFKKVMHSWLRVKIMSFAFSKNCGSSTFIIIAACLPAPRSHILNAEAKKSFIASSLITNLRSKDCNVRHIDECKETLLPHIISPSHIVAAEHHHTAS >ONI25344 pep chromosome:Prunus_persica_NCBIv2:G2:28740173:28741905:1 gene:PRUPE_2G297000 transcript:ONI25344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAERGETMEVERDGSSRKELRSEEANKETFIRFGHSVHSNRLDPSRAVQLSWRPRVFLYQGFLSDEECDHLVSLAHGGEENSLTEYDDLGNTNTIRLRKSLEIPLNMEDEIVSRIEERISAWTFLPKENSRALQVSRNGVEEAEKNVNFFGNKSTLEQSEPLIATVILYLSNVTHGGKILFPESELRSEVWSDCGKSSSILKPTKGNAILFFTLRPNASPDKSSPHTRCPVLEGEMWCATKFIYAKAIGGEKVSSDFESSECTDEDNNCPNWASIGECQRNPVFMVGSPDYYGTCRKSCNAC >ONI22869 pep chromosome:Prunus_persica_NCBIv2:G2:20932958:20936487:1 gene:PRUPE_2G155900 transcript:ONI22869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHRRCLKLFLAFALLLLQYAKGGEVDHSQKDTNVTRRCKERERQALLAFKRGLVDDHNLLSSWSSEAQKQDCCRWIGVSCSNQTGHVVGLDLSIDVLGGFDILRGKVISPKLIELQHLQHLDLNEINFTGSQFPNFIGSLTNLRYLDLSSTNLGGKFPSEVGNLTNLVYLDLRGNYFTNIENLDWLPLLSSLRYLDLSFTNLSNVFDWPEAINKLPELTNLTLWACDLHSPILSTLSYINSSKSLASVDLYSNRLNTSSIFVWLSNYNTSLVHLDLSWNLLAGSIPDFFGNMSSLVQLDLSFNQLEVEDPHSFARLCSLQELYLSSNNLSGQLSKFVQILFSTCAQNSLEILDLSGNHLAGSLPDLTHLSSLVILTISYNQLSGEIPESIGLMSKLQTIEFNMNSLEGVISEAHFSNLSKLQYLDLSSNLLVLDFHADWIPPFQLDTINLRSCNMGPDFPKWLQTQKKFSTLDISDAGISDIFPSWFWSLCRNVILVNLTSNQIRGTFANLTLEFSQFPALHLSSNKLEGPIPSFLSTASYLDLSYNKLSGSIAFLCSSAAIYLGFLDLSSNNVSGQVPDCLTHLENLVMLDLSYNALSGKIPATIGSVFRIETLKLRSNRFVGQLPSSLKNCTSLVALDVGDNKLSGPIPEWLGVSLKQLVILMLSSNHFNGSLPSQLCHLTHIQILDFSMNIISGSIPKCLTNLTTLAQKGNSSLNISHSYEISTINLVDFYDDDATFMWKGGMQTYKSTLGLVKRIDLSSNKLTGEIPSEITHLVGLVSLNLSRNQLTGQITPKIGNLQSLDSLDLSRNHIDGRIPTSLARIDRLGFLDLSYNNLSGKIPVGTQLQGFDPSFYAGNLQLCGPPLKKMCADEVEKGQSEQTDFINQEDKDELITPGFYISMGLGFAAGFWGVCGTLIFSRSWRYTYLKFLNDLNDWLFVRIALLKRQLRDA >ONI22976 pep chromosome:Prunus_persica_NCBIv2:G2:21286262:21287828:1 gene:PRUPE_2G161700 transcript:ONI22976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLGSFNLSSRSKREGDEAEDKGKMGYLTMEDFKGMNFDSLCPYDQLTLVATVLVDKTEQEKKQLKNEEHQIQSRPSSSPQVSSSSPNASPHFHPLVPGLVQGKYVNWVQQGYPKKPRSASNFGQKSTINTSPPATVAAAATHDDHIQGRRSSNNYGLKRKSNDDHDDRDKDWAAPNKKKEKKEKKKKVYIKNKKGATLHHRPDLPEDFKKMIIGQMNGIELTCLIQKELFPSDVNPDLNRFSLPPNQVLFNDFLTPDEIEALKERKEKKNHDQGSLKSPSPSLKVPFIDPSLKLEDKEGINLTMWTLSNSETKTYVLRTTWGKVVSKNKLVAGDVIQVWSFRANNNQLHLAIVVVKRAGKIGTNQSEGSSSKRGNVVDIGNECGGQGSRGVEGSSEVMYRSTPDSFVKEAGR >ONI23129 pep chromosome:Prunus_persica_NCBIv2:G2:21820726:21825084:-1 gene:PRUPE_2G171100 transcript:ONI23129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLKSYSEVTLVPTDSQMMKSYIWLQTADGSIQQVEQEVAMFCPMICQENIQKGMGSSKNHAISLPERVNTAMLSLIIDYCRFHQVPGRSNKECKAFDEKYIRMDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNSTDDPRIRLLNRLYARKRRELQEREKLKNAELEEERSDDRSVDDLLSFINGEIGDSKGIKTSKNKKKNRRRKDQQKNTCLYEANEIHEESSNLKFACPGDEVNKFRASPSLTLKLQDLNDDGLEDMVEFDDGDIDDEIDPALKEKIDREVEDFARRLNSDWPQRIQEILALGQERRRVPVSINGRDSMRRYA >ONI23131 pep chromosome:Prunus_persica_NCBIv2:G2:21820726:21826349:-1 gene:PRUPE_2G171100 transcript:ONI23131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCPMICQENIQKGMGSSKNHAISLPERVNTAMLSLIIDYCRFHQVPGRSNKECKAFDEKYIRMDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNSTDDPRIRLLNRLYARKRRELQEREKLKNAELEEERSDDRSVDDLLSFINGEIGDSKGIKTSKNKKKNRRRKDQQKNTCLYEANEIHEESSNLKFACPGDEVNKFRASPSLTLKLQDLNDDGLEDMVEFDDGDIDDEIDPALKEKIDREVEDFARRLNSDWPQRIQEILALGQERRRVPVSINGRDSMRRYA >ONI23128 pep chromosome:Prunus_persica_NCBIv2:G2:21821609:21825511:-1 gene:PRUPE_2G171100 transcript:ONI23128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGDMAVIKPEMMKSYIWLQTADGSIQQVEQEVAMFCPMICQENIQKGMGSSKNHAISLPERVNTAMLSLIIDYCRFHQVPGRSNKECKAFDEKYIRMDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNSTDDPRIRLLNRLYARKRRELQEREKLKNAELEEERSDDRSVDDLLSFINGEIGDSKGIKTSKNKKKNRRRKDQQKNTCLYEANEIHEESSNLKFACPGDEVNKFRASPSLTLKLQDLNDDGLEDMVEFDDGDIDDEIDPALKEKIDREVEDFARRLNSDWPQRIQEILALGQERRRVPVSINGRDSMRRYA >ONI23130 pep chromosome:Prunus_persica_NCBIv2:G2:21821609:21825010:-1 gene:PRUPE_2G171100 transcript:ONI23130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSYIWLQTADGSIQQVEQEVAMFCPMICQENIQKGMGSSKNHAISLPERVNTAMLSLIIDYCRFHQVPGRSNKECKAFDEKYIRMDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNSTDDPRIRLLNRLYARKRRELQEREKLKNAELEEERSDDRSVDDLLSFINGEIGDSKGIKTSKNKKKNRRRKDQQKNTCLYEANEIHEESSNLKFACPGDEVNKFRASPSLTLKLQDLNDDGLEDMVEFDDGDIDDEIDPALKEKIDREVEDFARRLNSDWPQRIQEILALGQERRRVPVSINGRDSMRRYA >ONI21205 pep chromosome:Prunus_persica_NCBIv2:G2:6166103:6166934:1 gene:PRUPE_2G053100 transcript:ONI21205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREEGEEEDFVCGTFTWRIDNFSMLHNEMHYSDVFVICGYKWQILIYPKGDNVVDYLSLYLEVADASTLTFGWTRYAKFSLTVVNQLDSKKSITMGIYLYLVACHLLKRLVK >ONI23260 pep chromosome:Prunus_persica_NCBIv2:G2:22270296:22272692:1 gene:PRUPE_2G178200 transcript:ONI23260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELLEKAKNYVAEKVGHVKKPEAEVTDVDFKTVSFGSVEYLAKISVTNPYGVDLPICDITYNLKSVGREIVSGTVPDPGSIEGKDITVLEVLLKVPHNILLTLAKDIGADWDIDYELDIGLTIDLPVFGNFTIPLNKKGAIKLPSLF >ONI25282 pep chromosome:Prunus_persica_NCBIv2:G2:28562153:28565400:-1 gene:PRUPE_2G293200 transcript:ONI25282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKPSSRTRAQKHCDGVRLFGEVWKAGEMEDSESLVRRWEDLDTDILVKIFQSFDIYQLTSGIAHICSAWRLACCDPLLWKTLDLSMMKSNYIKIPLEPYVYVDGRSDKDLTRVLKTSLNLSRGNLMTLIFHFNLYVSDDLLTYTAERCPRLKRLVMPAWNRIKKTGICKAIRCWKDLESLTMPSIANPPYLMEEIAKNCKNFNELKIMGPCDIFFASTLVAYLPKLKVLSLRCSMLLKDALIIILDGLQHLEVLNISHCLVIDTTPPRAPRRLLKELDPVILEKASRLRMFLTCMQDSCIMCQRTKNDEGLMRWYKYEEGLWLEDEVRSLAY >ONI24037 pep chromosome:Prunus_persica_NCBIv2:G2:24884948:24885928:1 gene:PRUPE_2G220300 transcript:ONI24037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLIKNMFVKVLAMLLVALVICAPSTQAGHHKKLHLTRHDKVSSFESEKQTFKVGEPLYRARGKNNVETLIPAYRVAGLYRVRE >ONI20802 pep chromosome:Prunus_persica_NCBIv2:G2:3675649:3676859:-1 gene:PRUPE_2G034100 transcript:ONI20802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTPRKETRENCHTAFVVKYCLKRDAYIVNKFVKEHSHRLANSHEVPFLRSHWCVTESNIAQSISIRKASIKTNQTYDYMVNQASVYVKVGFPSKDLYNMMDFERRQVDAISYMNAKAIADLEFFCMFSVDEENRLANLFLRDSQSLHDYCCIGNVLIFDSMYKTNVYDKPLVVFVGVNNHNATTVFGCTFLVDETADTYRWNFLTSVKDKKPVSIVADGDEAMRVAIDEVFPDAHHHLCSWHIMRNVNSNVNNSKIVREFSYCMHGGLTPVAFEQHWQHMIDAYDLKGDWIEMMYCKRKRWTEGHFFGGNTTTQRVEGMHKNLKDRIGRGMKLVEYILQIERSLLKLRNENVKDDFDSNNSHALLLTHLRSLEEHVASIFTQNLQVDKK >ONI23717 pep chromosome:Prunus_persica_NCBIv2:G2:23949972:23955480:1 gene:PRUPE_2G204000 transcript:ONI23717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMEKDFDAKLKIQGNSSNGANVPRSKSFAFRAPQEQFSIQDFELGKIYGVGSYSKVVRAKKKDTGTVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDSFSLYMALESCEGGELFDQITRKGRLSEDEARFYAAEVVDALEYIHSMGLIHRDIKPENLLLTSEGNIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTVYQMLSGTSPFKDASEWLIFQRIIARDIRFPNHFSEEAKDLIDRLLDLDPSRRPGAGPDGYAALKMHPFFKGVDWKNLRGQTPPKLALETGSVEGGSRDNSWNPSHIGDGAVRQIEGSSGATSSSEASGHVTRLASIDSFDSKWQQFLEPGESVLMISMVKKIQKLTSKKVQLILTNKPKLIYVDPAKLIVKGNIIWSDNSNDLSIQVATPSQFKICTPKKVLQFEDAKQRAWQWKKAIEGLQNR >ONI23718 pep chromosome:Prunus_persica_NCBIv2:G2:23951498:23955480:1 gene:PRUPE_2G204000 transcript:ONI23718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVRAKKKDTGTVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDSFSLYMALESCEGGELFDQITRKGRLSEDEARFYAAEVVDALEYIHSMGLIHRDIKPENLLLTSEGNIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTVYQMLSGTSPFKDASEWLIFQRIIARDIRFPNHFSEEAKDLIDRLLDLDPSRRPGAGPDGYAALKMHPFFKGVDWKNLRGQTPPKLALETGSVEGGSRDNSWNPSHIGDGAVRQIEGSSGATSSSEASGHVTRLASIDSFDSKWQQFLEPGESVLMISMVKKIQKLTSKKVQLILTNKPKLIYVDPAKLIVKGNIIWSDNSNDLSIQVATPSQFKICTPKKVLQFEDAKQRAWQWKKAIEGLQNR >ONI24046 pep chromosome:Prunus_persica_NCBIv2:G2:24935023:24935743:1 gene:PRUPE_2G221000 transcript:ONI24046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLKTAKTGNKVKIKEAATIKSPSWKIIGIGLYQPIGMGCKPSSSSGHYQGKAKFVRYLFHLVPIFTKNGSQPQNHNSALHTAHKGTLSEMRMGWNL >ONI20939 pep chromosome:Prunus_persica_NCBIv2:G2:4617772:4618188:1 gene:PRUPE_2G042200 transcript:ONI20939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTYRTNMYCFPLLQVMRMENQELFMNANAWAECEGSVTFRNATQKQEHYMQFHPEIQTSSKNEKGMKKADKEKACNLCTKKFISGTDLFNHQKYHRG >ONI23987 pep chromosome:Prunus_persica_NCBIv2:G2:24738297:24739729:1 gene:PRUPE_2G217400 transcript:ONI23987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQETYQNPTVLEEKTEQFQDQDPYEAEETLSLCDLPTHSDSAHWDDCSKEYQSSSFDNDEDNFFEFFSEEFTASTYPSSGKDIIFCGKLIPYKEAPKSFEAEKTHHQDKEIGSTKRIRKGFFRSWRSCSFHKTSKSSNSKISKPALQGKDPRSMNTCLSFPTSKSYRKCELSKVSILSSTPSKSKWYLFMFGMARFPTEMELRDIRTRQSRRSPSTMFRSCDEDSDQMEGQKGNMGRKSSNKAKGLWGLLRAVGCRISQPNAVVKAGFL >ONI21021 pep chromosome:Prunus_persica_NCBIv2:G2:5174473:5181914:-1 gene:PRUPE_2G045800 transcript:ONI21021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTYAASASRPLCPINGASQTQVPISTIVKSSGLNSSSTSISCRRRSKGVCVIKALKSSSPTRQTLSSNWDFQTATTSSPRLPRFEELDTTNMLLRQRIIFLGSQVDDMTADLIISQLLFLDAEDPKKDIKMFINSPGGSVTAGMGIYDAMKLCKADVSTVCLGLAASMGAFLLAAGTKGKRFCMPNARVMIHQPLGTAGGKATDMGIRIREMVYHKIKLNKIFSRITGKPLEQIEEDTDRDNFMNPWEAKDYGLIDVVIDDGKPGLVAPIGDSITPPRTRVWDQWKVEGTRKARKNLPSEQRISDNGYKETEGRKRKNLPLYDA >ONI21023 pep chromosome:Prunus_persica_NCBIv2:G2:5174718:5181914:-1 gene:PRUPE_2G045800 transcript:ONI21023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTYAASASRPLCPINGASQTQVPISTIVKSSGLNSSSTSISCRRRSKGVCVIKALKSSSPTRQTLSSNWDFQTATTSSPRLPRFEELDTTNMLLRQRIIFLGSQVDDMTADLIISQLLFLDAEDPKKDIKMFINSPGGSVTAGMGIYDAMKLCKADVSTVCLGLAASMGAFLLAAGTKGKRFCMPNARVMIHQPLGTAGGKATDMGIRIREMVYHKIKLNKIFSRITGKPLEQIEEDTDRDNFMNPWEAKDYGLIDVVIDDGKPGLVAPIGDSITPPRTRVWDQWKVEGTRKARKNLPSEQRISDNGYKETEGSDNEKGAEREKEEPAAI >ONI21022 pep chromosome:Prunus_persica_NCBIv2:G2:5175146:5181743:-1 gene:PRUPE_2G045800 transcript:ONI21022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTYAASASRPLCPINGASQTQVPISTIVKSSGLNSSSTSISCRRRSKGVCVIKALKSSSPTRQTLSSNWDFQTATTSSPRLPRFEELDTTNMLLRQRIIFLGSQVDDMTADLIISQLLFLDAEDPKKDIKMFINSPGGSVTAGMGIYDAMKLCKADVSTVCLGLAASMGAFLLAAGTKGKRFCMPNARVMIHQPLGTAGGKATDMGIRIREMVYHKIKLNKIFSRITGKPLEQIEEDTDRDNFMNPWEAKDYGLIDVVIDDGKPGLVAPIGDSITPPRTRVWDQWKVEGTRKARKNLPSEQRISDNGYKETEGSDNEKGAEREKEEPAAI >ONI24746 pep chromosome:Prunus_persica_NCBIv2:G2:26995898:26997990:1 gene:PRUPE_2G259900 transcript:ONI24746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHKRAALYEKLQLLRSITNSHALNKTSIIVDASKYIEELKQKVERLNEDAANAQTSSSSSDQTPLPVQVTVETLEKGFLINVFSEKSCPGLLVSVLEAFEDLGLNVLEARVSCADSFRLQAVGGENEEEGESIDAHAVKQAVAVAIKNWSENNEHE >ONI24871 pep chromosome:Prunus_persica_NCBIv2:G2:27301102:27304315:1 gene:PRUPE_2G266700 transcript:ONI24871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHHVACLEDNYAYLIIDESTKEAAAVDPVEPEKVLKAAQEHGVDIKLVLTTHHHWDHAGGNEKIKQLVPGIKIYGGSIDNVKGCTDKVDNGDKISLGADVHILSLHTPCHTKGHISYYVTNKEGDDPTVFTGDTLFIAGCGKFFEGTAEQMHQSLCVTLGSLPKPTRVYCGHEYTVKNLQFALTVEPGNEKIKQKLSWAQKQRETGLPTVPSTIEEEMETNPFMRADLPELQERVGCKTAVEALREIRQRKDTWRG >ONI24633 pep chromosome:Prunus_persica_NCBIv2:G2:26577045:26584761:-1 gene:PRUPE_2G251300 transcript:ONI24633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKLFNKSSPQAASHPRRRVRQADLDPRVTVHYGIPSTASILALDRTQSLLAIGTLDGRIKVIGGDNIQELLTSPKPLPFKNLEFLQNQGFLASVSSENEIQVWDLEQRRIASSLQWECNITAFSVIYGTNYMYIGSEYAIVSVLKYDVEDGKIKLLPYYITANFIAEAAGMSLPDHLSVVGVLHQPNSLGNRLLVAYENGLIILWDASEDRVVLVRGSKDLKVKEKTVTSSPKDTRNELSDATEESKQVEKEISALCWASDNGSILAVGYVDGDIMFWDLSTAASTKDQKSEESDNNVAKLQLSSSDRRLPIIVLHWSANMLHKHHRGQLFVYGGDEIGSQEVLTVLSLDWSSGIESLKCISRTDLTLNGSFADMALLPTAAAMESSNALLFILTNQGQLQVYDKGCLSALMSEEQEKTAVRAVQYPMFIPTIEPYMTVAKLALVNTDKECPSALSEQILVGKINAEDTSTTGGTKWPLTGGVPSQLNDAENYHVERVYVAGYQDGSVRIWDVTYPALSLICVLGSEVFMLSQTLVKGIRSTVASATVSALDFCSVSLRLAVGDECGLVRLYKIIGGSDGTRLHFVTTTEKEVHDLQQGKGPQCMAVFSILDSPICILQFANFGGRLAVGFECGRVAMLDISTLSVLFLTDSVSNSSSPVICLAMKSFSDTSSSLQSPEDSESKNLGDPGNGLTFIMTRNGHIVVIDSSSGNMISSWPMHSQKESTAVSMHIIEDGDVLCDVLSEKHSLEVSPRNEAKSDRAQTSADSGSTQLDVEPDTSRETAYFAQRLLNVSVLLCCENTLQLCSLKSVLEGDGNSTQEVDLVKPCCWTTVFKKDGKDGGLIVFYQTGVFEIRSLPNLEVVGELSLMSILRWNFKTNMDKTICSSDHGQIILVNGCELAFLSLLSDENEFRIPGSLPCLHDKVIAAATDVIASLSLNQKQVSVPGILGGIIKGLKAGKMEQSMDATANHENFCQTLENLFSSPPFLKPSTAVKDDQKILELNIDDLVINEPVAISSSSSFEKNKNEKKDKGTEKARLFEGAASDTKPKMRTAEEIKAKYRDTGDVAAAAAHARDKLAERQEKLEKLSQNSEELRSGAEDFASMAKELAKRMENRKWWHI >ONI21683 pep chromosome:Prunus_persica_NCBIv2:G2:12508370:12513198:1 gene:PRUPE_2G081000 transcript:ONI21683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYELQVHINIYSKLQTPKNLRFQMEKQTTIASSCFFLLYIATFTVAEVLEAHPHLHHQHNSHSSVKLFVFGNSYVDTGNIKKSVSPSWKEPYEITFPRKPAGQFSNGRVLTDYIGVFDTLVSGPNLTTQIDFFEQLLQQKVYAKNDVVKSSIALVLVAGNDYAAHFGRPGNDTKDLAMFTKFIIIKQLTVDLKRIHDLGVQKIAVTTIEPLGCLPSITSSLSYQNCNEFANMASMYHNQILLQKLEELNKETKNSAFIDLELYNAFMSAVKPPKHHQGSTHSRRKSLNYNPLLQGNTL >ONI22861 pep chromosome:Prunus_persica_NCBIv2:G2:20859379:20862690:1 gene:PRUPE_2G155100 transcript:ONI22861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHGRCLKLFLAFALLLLQYTQGGEVDHSQRDTNVTIRCIERERQALLAFKRGLVDKSDDLLSSWGSEAQKQDCCRWVGVSCSNQTGHVLQLDLSNEVVGGYFKFRDDATFMWKGGMRTYKSTLGLVKRIDLSSNKLTGEIPSEITHLVGLVSLNLSRNQLTGQITPEIGKLQSLDSLDLSRNHIYGRIPTSLAGIDRLGFLDLSYNNLSGKIPVGTQLQGFDPSFYVGNLQLCGPPLKKMCADEEERGPSEQSDFINQEDKEELITLGFYISMGLGFIVGFWGVCSTLIFSRSWRYAYLKFLNNLNDWLFVRIALLKRHLRDA >ONI23796 pep chromosome:Prunus_persica_NCBIv2:G2:24179504:24195256:1 gene:PRUPE_2G208700 transcript:ONI23796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDGLPVSPDKEHLREEIANIDESWAAARFDSLPHVVHILTSKDREVEVQFLKEQSDVVEEVVDEVVHNYHSGFNKAIQNYSQILRLFSESTESIGVLKVDLAEAKKRLSARNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLIDEKQYYAAVQFHVQSMLMLEREGLQTVGALQDVRSELTKLRGVLFYKVLEDLHAHLYNKGEYSSAALSLHEMDDEVPTTTAVVFSMSNSQSLSRRTRLKGDNQFGIHGDGSYRTGSIDGGSSFDGPDEEGTLELHDEATSDGHRVNGDVKIVPREMPTWLQYSTPDEFLEAIKKSDAPLHVKYLQTMVECLCMLRKVAAAGAIICQRLRPTIHEIITSKIKTHAELVNSSKSGIGQAARPASAGLHFMKGQLQSYQLPKQKRQNGISLSGTLLAVSPVSPVMAPAGKAQAAAKELLDSILDAVVRIFENHVVVGELLESKSSVQMDMNTPKSMPTDVNWNPDLEVSQVTGGYSIGFSLTVLQSECQQLICEIMRATPEAASADAAVQTARLANKVPSKDKRNGAEEGLTFAFRFTDATISIPNQGADLIRQGWSRKGSNVSQEGYGSAAILPEQGIYLAASIYRPVIQFTDKVASMLPKKYSQLANDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHAAASYTPSIEKGRPVLQGLLAIDYLAKEVLGWAQAMPKFAGDLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEQLMRLDPASSCLPNAFGQSNIENHASDSENLEVELELSDLLLNLRPIKQDNLIRDDNKLILLASLSDSLEYVAESIERLGQTTFKAPNQVEESGKNHHQRTTSAASRDLASFADEYRKLAIDCLKVLRVEMQLETIFHMQEMTNREYMEDQDAEEPDDFIISLTAQITRRDEEMAPFVAGVKRNYIFGGICSIAANASIKALADMKSINLFGVQQICRNSIALEQSLAAIPSINSEGVQQRLDHVRTYYELLNMPFEALLAFITEHEHLFTTSEYANLLKVQVPGRDIPADAQDRVSEILSR >ONI23797 pep chromosome:Prunus_persica_NCBIv2:G2:24179467:24195612:1 gene:PRUPE_2G208700 transcript:ONI23797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDGLPVSPDKEHLREEIANIDESWAAARFDSLPHVVHILTSKDREVEVQFLKEQSDVVEEVVDEVVHNYHSGFNKAIQNYSQILRLFSESTESIGVLKVDLAEAKKRLSARNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLIDEKQYYAAVQFHVQSMLMLEREGLQTVGALQDVRSELTKLRGVLFYKVLEDLHAHLYNKGEYSSAALSLHEMDDEVPTTTAVVFSMSNSQSLSRRTRLKGDNQFGIHGDGSYRTGSIDGGSSFDGPDEEGTLELHDEATSDGHRVNGDVKIVPREMPTWLQYSTPDEFLEAIKKSDAPLHVKYLQTMVECLCMLRKVAAAGAIICQRLRPTIHEIITSKIKTHAELVNSSKSGIGQAARPASAGLHFMKGQLQSYQLPKQKRQNGISLSGTLLAVSPVSPVMAPAGKAQAAAKELLDSILDAVVRIFENHVVVGELLESKSSVQMDMNTPKSMPTDVNWNPDLEVSQVTGGYSIGFSLTVLQSECQQLICEIMRATPEAASADAAVQTARLANKVPSKDKRNGAEEGLTFAFRFTDATISIPNQGADLIRQGWSRKGSNVSQEGYGSAAILPEQGIYLAASIYRPVIQFTDKVASMLPKKYSQLANDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHAAASYTPSIEKGRPVLQGLLAIDYLAKEVLGWAQAMPKFAGDLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEQLMRLDPASSCLPNAFGQSNIENHASDSENLEVELELSDLLLNLRPIKQDNLIRDDNKLILLASLSDSLEYVAESIERLGQTTFKAPNQVEESGKNHHQRTTSAASRDLASFADEYRKLAIDCLKVLRVEMQLETIFHMQEMTNREYMEDQDAEEPDDFIISLTAQITRRDEEMAPFVAGVKRNYIFGGICSIAANASIKALADMKSINLFGVQQICRNSIALEQSLAAIPSINSEGVQQRLDHVRTYYELLNMPFEALLAFITEHEHLFTTSEYANLLKVQVPGRDIPADAQDRVSEILSR >ONI21236 pep chromosome:Prunus_persica_NCBIv2:G2:6428085:6431952:1 gene:PRUPE_2G054500 transcript:ONI21236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEALISASVQVLCDRITSSEFVDLFRQKKLDEPLLMNLKTTLLTLFVVLNDAEEKQLVNPAVREWLNELKHAVFDAEDLLDEIDTEALRRKLKGEDQTHKLTNKVWNLLPSSRNHFYQSMNVKVQELLQRLENFVQQKIALGLGEVARRKVSHRTPTTSLVHEPCVYGRDEVQENLSKVLLSDDASKDDVSVLTIVGMGGVGKTTLARMLYNNNKVEGHFTLKAWACVSEDYNAFRITKTILESVTSKPCNTTDLNLLQVELREQLRGKKFLFVLDDLWNENYGDWERLQTPFNSGARGSKVIITTRNKNVASLMKNVPIQFLEPLSHQDCWLLLAKHAFGNENYSANSNLEDIGKQIALKCKGLPLAAQTLGGLLRCNIDSEEWNRILNSNIWYLPHGTTDILPSLWLSYHYLPAQLKRCFVYCSLFPKDYEFEKEDVVQLWMAEGLVTQVDNGMIMESMARKYFDELLSRSLFQKSRELSFTMHDLIHDLAMFISKGFCLRLEGVESREVKRARHLSYARGRFDVAPRFEPLYEAKCLRTFLPTSLNPYRSYERFFVSKKVLQDLLPSLRCLRVLSLSRYQNVTVLPDSIGNLIHLRYLDLSHTAIERLPGVLCNLYNLQTLILSYCSSLFELPADIRKLINLQKLTLGGCSSLNKLPAGMKELTNLHHLDVSGTKIEEMPVQMGRLKSLRTLTAFVVGKSTGLGIRELKEFPQLRGKLSILKLQNVVDASDALHANMKHKKDLKELKFSWGAEDADDSQKEKDKLTIKFYGGTNFPNWLGDSSFSNIQVMHLSDCSYCWLLPPVGRLPALKELCIKRMKSLRTIGVEFYGRDGAYLTQPFRSLEKLEFMGMPEWEEWVPSGSASGGEYGPDFPRLQELILYVCPKLRGNLPCELPCLKKLTVYGCEVLHDGRATTATTNSVNYKSLEELDIRGGCQTLLSLLETKLLSRLKIENVDVQCLPNCNRLQRLTLLNCPTLSSFPKDGLPTTLTSLTILNCRRLEFLPHEMLAKLTSLDYLGIQSSCDSMRSLPLGIFPKLTTLQILGCENLESFSLIEEEGAVENLSHLNSLQVINCPKMVCFHEGELPFPNLSHFVVIDCENLKSLPERLHTLTALRSLNIWNLPNLESFAEDGGLPPNLRSFIIRNCKRLRALDSVGLQALVYLQIDGSDHVLETLLLPTTLHTLCISDLSTLKSLDGKGLGHLTSLQTLKIYSCPSLQCLPEEGLPPSLSHLSIRCCPTLEERYKNKTGQDWAKISHIPCIEIGEEVII >ONI24042 pep chromosome:Prunus_persica_NCBIv2:G2:24903287:24906605:-1 gene:PRUPE_2G220700 transcript:ONI24042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIDPHSFTESTHPLATHISLSLFFDFPSSTIHASALLTLPAPHSGPLSLDARALTVHSVLDPQSSAPLPFSLSSPDPIKGCHLTVSLSNHSSFLIVYSTSPSSSALQWLSPPQTFNKTLPFVYTQCQAIHARSVFPCQDTPAARVRYAAKLNVPCQLSAVMSARHVDRRDPIAGEGAALVSADALWCAEGRVVEEFVMEQPIPPYLFAFAVGELGFREVGPRTRVYSEAVPAVLESAATEFASTEDMIRQGEALFGPYEWERFDLLVLPPSFPYGGMENPRMTFLTPTVLKGDSSGAQVVAHELAHSWTGNLITNKTNEHFWLNEGFTTYAERRIVEAVQGEDRAALNIGIGWRGLNKEMERFKDNLEVTKLKTNQEGVDPDDVYSEVPYEKGFQFLWRIERQVGRPAFDEFLKKYIATFKFQSIDTDTFLDFLKANLPGIEKEVDLILWTEGTGIPPDAYEPVSSIYSKIVSMANEFKLGRMPREDEVADWQGQEWELYLENLPKSIEASQILELNSRFRLSESKDYEVKVAFLLLAIASKCKEHYVEVEKTLKAVGRKKYLVPLYSALVEGGTGKEEEKILAKRVFAEARDSYHPIAQGVIETILSKHI >ONI24788 pep chromosome:Prunus_persica_NCBIv2:G2:27105548:27107505:1 gene:PRUPE_2G262500 transcript:ONI24788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYEQYTLPKLYAKMQYCVSCAIHSHVVRVRSRTNRRNREPPQRFIRRRDDAPRPGQPGQGPRPAGAPVPART >ONI23356 pep chromosome:Prunus_persica_NCBIv2:G2:22569770:22571729:1 gene:PRUPE_2G183900 transcript:ONI23356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPARVLDNKVDDEVQEDREMEQNEESVASQELPNSVFTAELNLLIVFLSLISLSTEESLNSVEREPERRNDGAKAIKRLQKKKQETDEAKPVRRRTQRFQTRIRDSRHGRRV >ONI20384 pep chromosome:Prunus_persica_NCBIv2:G2:1067219:1070807:1 gene:PRUPE_2G012400 transcript:ONI20384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAKIDWKNLEWKFVEDKAYEQINAPKWFDFLNPDQNSVDDQAWFCRPECKHPKTAEDFLKSSPPKVSSPAVVSEVPPLGDKIQRDVKLKRRGLTQSSIYPINNSRVKEDSENQNPNLSTPPINQAKAMKATIKSSAEKKQPIESTPQNSEVLPRLRSTLSARNLFAGRDILNQLTDFCSELKRMAMRAREKEDVEGLDVRKSLGGLKEEEVVKKECNGEVLGELNGRQTERMPLLEVGKGKTRRQTERMPFLEVGKGKTQRQTENMPLLEVKTEGMEGSIIKEKQRRNKRIDETENIPISLNLESVKRKGGESLLQIRTNPPSPQCFSAPRPPSKLTPSQASKSKLMERGILADAEQNNKVTKENSTEKGKTACIVDGREARTMDVFWFLKPCTTLSN >ONI20383 pep chromosome:Prunus_persica_NCBIv2:G2:1067219:1070807:1 gene:PRUPE_2G012400 transcript:ONI20383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAKIDWKNLEWKFVEDKAYEQINAPKWFDFLNPDQNSVDDQAWFCRPECKHPKTAEDFLKSSPPKKVSSPAVVSEVPPLGDKIQRDVKLKRRGLTQSSIYPINNSRVKEDSENQNPNLSTPPINQAKAMKATIKSSAEKKQPIESTPQNSEVLPRLRSTLSARNLFAGRDILNQLTDFCSELKRMAMRAREKEDVEGLDVRKSLGGLKEEEVVKKECNGEVLGELNGRQTERMPLLEVGKGKTRRQTERMPFLEVGKGKTQRQTENMPLLEVKTEGMEGSIIKEKQRRNKRIDETENIPISLNLESVKRKGGESLLQIRTNPPSPQCFSAPRPPSKLTPSQASKSKLMERGILADAEQNNKVTKENSTEKGKTACIVDGREARTMDVFWFLKPCTTLSN >ONI20194 pep chromosome:Prunus_persica_NCBIv2:G2:308800:309426:1 gene:PRUPE_2G002300 transcript:ONI20194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIIDGTTVREFVKEEAQFKKSVDEQFVALDLNSDGVLSRAELRKAFESLRLIEAHFGIDVATPPEQLTQLYDSIFDKFDADQSGTVDLDEFREEMKKIMLAIADGLGSSPIQMVLEDDDQNFLKKAADLEASKTSL >ONI24674 pep chromosome:Prunus_persica_NCBIv2:G2:26731716:26736012:-1 gene:PRUPE_2G254500 transcript:ONI24674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKWKFSRLKDRLGMLGMVVVCLLIQNLSFCWSLNDEGLALLRFRDRVVSDPFGALSNWNDDDGEVDPCSWFGVECADGKVVVLNLKDLCLGGTLTPELRNLVHIKSIILRNNSFTGIIPGGIGELKELEVLDLGYNNFSGPLPADLGSNFSLAILLLDNNRLLGILSPEIYNLEILSKFQVDENQLSGTGRESSCNERSISWNLAHIEHSIRGRVLRAATVPPSEAKNFFSFDPFMPNKAPTPEGPEPSASDSGPDGPASSPNTDVAPAPSSNSNPTSPPTAPASSPSLSAPVASSKRFASKLKVALLTGGTGVAVSLAILIIVMYLYKSNKVATVKPWATGLSGQLQKAFVTGVPNLKRSELEAACEDFSNVIGSSPIGTVYKGTLSSGVEIAVASLVETSAKCWCSNLELQFRKKIKTLSKVSHKNFVSLIGYCEEEEPFTRMMVFEYAPNGTLFEHLHIKEAEHLDWIMRMRIAMGVAYCLDYMHQLNPPIAHNNLNSSSVQLTEDYAPKVSEYSFWNETAEAEKESPGIKLFNTPSANRESNVYSFGVILFEMVTGRLPYSVDNGSLEDWASDYLRGEQPLKEMVDPTLESFQEEQLDRIGEVTRSCVHPDPKQRPPMREVSARLREITGISPDGATPKLSPLWWAELELLSPDGS >ONI23673 pep chromosome:Prunus_persica_NCBIv2:G2:23817090:23820371:-1 gene:PRUPE_2G201600 transcript:ONI23673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGTTDERVSVPMGLLPGIKFSPTDKELLSFYLKKKIAGEDSEFSNIMPEINLREHEPRDLPTEFFFSEPDYMSPNGDSCYRTTNRGFYKSTGKPRGIEDELSKAVIGEKRYMPFYEGRAPEHKLTEYVLHQFSLTKTELAKLAPNREFVLNHLTIKKGSTKARNPKPNYKKRKYDSNCDKLAERGIPGYIASNYKDDQAAAAANMIPEPEEHLAVEDVLLGSENRNECAESESANGSRLINNNDSSTLAVGSPGGCFPSGYGNVAANDPFREVSFQPEGNLISPFHPSSLRDIPLRSPVHTGLLEDVVCVNNSILIGMQ >ONI23671 pep chromosome:Prunus_persica_NCBIv2:G2:23817016:23820462:-1 gene:PRUPE_2G201600 transcript:ONI23671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGTTDERVSVPMGLLPGIKFSPTDKELLSFYLKKKIAGEDSEFSNIMPEINLREHEPRDLPTEFFFSEPDYMSPNGDSCYRTTNRGFYKSTGKPRGIEDELSKAVIGEKRYMPFYEGRAPEHKLTEYVLHQFSLTKTELAKLAPNREFVLNHLTIKKGSTKARNPKPNYKKRKYDSNCDKLAERGIPGYIASNYKDDQAAAAANMIPEPEEHLAVEDVLLGSENRNECAESESANGSRLINNNDSSTLAVGSPGGCFPSGYGNVAANDPFREVSFQPEGNLISPFHPSSLRDIPLRSPVHTGLLEDVVCVNNSILIGMQ >ONI23674 pep chromosome:Prunus_persica_NCBIv2:G2:23817079:23819742:-1 gene:PRUPE_2G201600 transcript:ONI23674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNGDSCYRTTNRGFYKSTGKPRGIEDELSKAVIGEKRYMPFYEGRAPEHKLTEYVLHQFSLTKTELAKLAPNREFVLNHLTIKKGSTKARNPKPNYKKRKYDSNCDKLAERGIPGYIASNYKDDQAAAAANMIPEPEEHLAVEDVLLGSENRNECAESESANGSRLINNNDSSTLAVGSPGGCFPSGYGNVAANDPFREVSFQPEGNLISPFHPSSLRDIPLRSPVHTGLLEDVVCVNNSILIGMQ >ONI23672 pep chromosome:Prunus_persica_NCBIv2:G2:23817976:23820450:-1 gene:PRUPE_2G201600 transcript:ONI23672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGTTDERVSVPMGLLPGIKFSPTDKELLSFYLKKKIAGEDSEFSNIMPEINLREHEPRDLPTEFFFSEPDYMSPNGDSCYRTTNRGFYKSTGKPRGIEDELSKAVIGEKRYMPFYEGRAPEHKLTEYVLHQFSLTKTELAKLAPNREFVLNHLTIKKGSTKARNPKPNYKKRKYDSNCDKLAERGIPGYIASNYKDDQAAAAANMIPEPEEHLAVEDVLLGSENRNECAESESANGSRLINNNDSSTLAVGSPGGCFPSGYGNVAANDPFREVSFQPEGNLISPFHPSSLRDIPLRSPVHTGLLEDVVCVNNSILIGMQ >ONI20450 pep chromosome:Prunus_persica_NCBIv2:G2:1549148:1556553:-1 gene:PRUPE_2G016500 transcript:ONI20450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEDFSSTPCPLSKESHHEKVLLQTGDAHGVCDEDFTSPAWQLVSASFKTPSLDALEDSPEAKQKYFKKCVPLYTAAVNGHWEAANRIMNEDDTLLHASITKGWQTVLHVAAGAKHVHFVKELLKLLDEHDLELQDQKGNTAFYHAVAAGTIPVAKILMQKNPRLLEIRGGQGLSPLYYAALFGHDKMASYLYPKLIELVNEEERAGIFFSCINNGLYELALKMLKEYPALAVVRNANSETALHLLAQKPSAFSIKTSRILKNFLYSCTNKRNLTTTPCYQLLKCLWEKVLWQNDSTLIDVIRRPSNVLFIATKLGNFKFVAELIGSYPDLIWETDESNQSLFHIAVAYHQASIFSQVQKLGLNKNIVLSFIDDKNNNILHLAAKLAPPNQLNTGTRSTIQMKRDLSWFKEVKKFVPPSYQEMKNSEGKTPKDIFIEEHKMFIGKRSVVSIPMHKASAMEALNQAQPPPGSNIYNPKLQKNIDMFCERGLAQ >ONI20502 pep chromosome:Prunus_persica_NCBIv2:G2:1910570:1910957:-1 gene:PRUPE_2G019400 transcript:ONI20502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNAGWDSPVLNSKPDVCKRNQSLTKDEIEAYWRANNELHHTTTTQSLLFQDQIRW >ONI20501 pep chromosome:Prunus_persica_NCBIv2:G2:1910570:1910957:-1 gene:PRUPE_2G019400 transcript:ONI20501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNAGWDSPVLNSKPDVCKRNQSLTKDEIEAYWRANNELHHTTTTQDQIRW >ONI20500 pep chromosome:Prunus_persica_NCBIv2:G2:1909085:1911110:-1 gene:PRUPE_2G019400 transcript:ONI20500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNAGWDSPVLNSKPDVCKRNQSLTKDEIEAYWRANNELHHTTTTQVNKGQ >ONI23509 pep chromosome:Prunus_persica_NCBIv2:G2:23145823:23147805:1 gene:PRUPE_2G192300 transcript:ONI23509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHEMGSNACPRSALEKRARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGTLRNVPVGGGSRKNKKSTSSSASSSASLLSKNSIPDLNPPSSLSHFSAHQNPRSTHEGQDLNLAFNAMEQYQQNPAAGASTSSSAPLSAMELLRTGIASRGVNSFIPTQNMADHSNTLYASSPGFSLQEFKPNLGFCVDGLGNRYGDHEINGGRLLFPFGDLKQISSSTAHHELDHHQNKGQGNPTGYWNGLLGGGSW >ONI23508 pep chromosome:Prunus_persica_NCBIv2:G2:23145535:23147861:1 gene:PRUPE_2G192300 transcript:ONI23508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAQWPQESGELDKAMEDHEMGSNACPRSALEKRARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGTLRNVPVGGGSRKNKKSTSSSASSSASLLSKNSIPDLNPPSSLSHFSAHQNPRSTHEGQDLNLAFNAMEQYQQNPAAGASTSSSAPLSAMELLRTGIASRGVNSFIPTQNMADHSNTLYASSPGFSLQEFKPNLGFCVDGLGNRYGDHEINGGRLLFPFGDLKQISSSTAHHELDHHQNKGQGNPTGYWNGLLGGGSW >ONI24488 pep chromosome:Prunus_persica_NCBIv2:G2:26160625:26164384:-1 gene:PRUPE_2G243000 transcript:ONI24488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVKKRENLQKKRTGFVKSLADNGAGSESLTDSSGESRESSSSRSSSETSTEEVKRKGPSSPPLLGWPIRKAELCKNSVAADVGEAEQKAHADDSKFKDLASKIAEMDMMRERFSKLLLGEDMSGSGKGVCTAMAISNAITNLCASIFGQLWRLEPLPTEKKSMWRREMEWLICVSDHIVELIPSWQTFPDGKKLEVMTCRPRSDLFMNLPALRKLDNMLLDILDSFTNTEFWYVDQGVIAPDADGSASFRKTLQRQEEKWWLPVPRVAADGLSENSRKQLHHTRECTNQILKAAMAINSITLAEMEVPESYMETLPKNGRACLGDIIYRYITSDKFSPDFLLDCLDLSSEHVALEIANRVEASIFVWRRRAHSKPTPNPNRSTTKSSWEMVKDLVIDVDKRELLAERAESLLLSLKQRFPCLTQTILDTSKIQCNKDVGKSILESYSRVLESLAFNIVARIDDLLYVDDLAKQTDRLSSVATVSVITRKKVPVQYSVPPSSTPQKRASANFSPVPLISPARGERTPFLHTSNSRDKPPRRGLGVRRVLTNYLGVETKARICSNTMEGSVSIPNLQGTENLDRQKDSSHQNGRKSHQVDR >ONI25213 pep chromosome:Prunus_persica_NCBIv2:G2:28385318:28388409:1 gene:PRUPE_2G289300 transcript:ONI25213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLRCILFLAALCSLIPSLHASAGDADPIYKSCVVQCEKSGCVGEKCFQHCKFSSDGKPIDGPWYMQEPLYLRWKQWDCRSDCRYHCMLAREEEREKLGDKPVKYHGKWPFQRVYGIQEPVAVALSALNLAMQFHGWISFFILVYYKLPLNPNKRTYYEYTGLWHIYGILSMNSRFWSGVFHSRDVELTEKLDISSAVALLGFSLILALLRAFNVRDEAARVMVSAPLIAFVTTHVLYLNFYKLDYGLNMKVCMAMGIAQLLTWAVWAGVTRHPSRWKLWVVVAGGGLAMVFEIYDFPPYRGYIDALALWNAINIPLTYLWWSFVRDDAEFMTSALLKKAK >ONI25437 pep chromosome:Prunus_persica_NCBIv2:G2:29020309:29022360:-1 gene:PRUPE_2G303600 transcript:ONI25437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLERYQKLGLKESLPRIHRYPLACKELSFILRGAYKKIPKNLQSLIFQDTLTAFRLLPEMQTRNAVLAAHLLLQSVEATLPKQKKNAAVTEYKNAMVAHKRRTKARQEENGSTQLPQDVLVHIFSFLDMQSLVSVGRVCWLWNIAASENNLWQAQYTTFFGNSDNDAMIKGRQIGRLVEDEGGYTLFWREAFKRAYLGSSSKKLKSSRGYCRDCNTIVWLDNMKCSNEHTGLNSENQQIKPVLPSQVVGYLLDDSLSMASSSDSDSDSDGGPFSRLWAYQRPLSKTQ >ONI25440 pep chromosome:Prunus_persica_NCBIv2:G2:29020028:29023223:-1 gene:PRUPE_2G303600 transcript:ONI25440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLERYQKLGLKESLPRIHRYPLACKELSFILRGAYKKIPKNLQSLIFQDTLTAFRLLPEMQTRNAVLAAHLLLQSVEATLPKQKKNAAVTEYKNAMVAHKRRTKARQEENGSTQLPQDVLVHIFSFLDMQSLVSVGRVCWLWNIAASENNLWQAQYTTFFGNSDNDAMIKGRQIGRLVEDEGGYTLFWREAFKRAYLGGWVSLG >ONI25441 pep chromosome:Prunus_persica_NCBIv2:G2:29020028:29023223:-1 gene:PRUPE_2G303600 transcript:ONI25441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLERYQKLGLKESLPRIHRYPLACKELSFILRGAYKKIPKNLQSLIFQDTLTAFRLLPEMQTRNAVLAAHLLLQSVEATLPKQKKNAAVTEYKNAMVAHKRRTKARQEENVSGSTQLPQDVLVHIFSFLDMQSLVSVGRVCWLWNIAASENNLWQAQYTTFFGNSDNDAMIKGRQIGRLVEDEGGYTLFWREAFKRAYLGGWVSLG >ONI25439 pep chromosome:Prunus_persica_NCBIv2:G2:29020079:29023223:-1 gene:PRUPE_2G303600 transcript:ONI25439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHKRRTKARQEENVSGSTQLPQDVLVHIFSFLDMQSLVSVGRVCWLWNIAASENNLWQAQYTTFFGNSDNDAMIKGRQIGRLVEDEGGYTLFWREAFKRAYLGSSSKKLKSSRGYCRDCNTIVWLDNMKCSNEHTGLNSENQQIKPVLPSQVVGYLLDDSLSMASSSDSDSDSDGGPFSRLWAYQRPLSKTQ >ONI25438 pep chromosome:Prunus_persica_NCBIv2:G2:29020309:29022360:-1 gene:PRUPE_2G303600 transcript:ONI25438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLERYQKLGLKESLPRIHRYPLACKELSFILRGAYKKIPKNLQSLIFQDTLTAFRLLPEMQTRNAVLAAHLLLQSVEATLPKQKKNAAVTEYKNAMVAHKRRTKARQEENVSGSTQLPQDVLVHIFSFLDMQSLVSVGRVCWLWNIAASENNLWQAQYTTFFGNSDNDAMIKGRQIGRLVEDEGGYTLFWREAFKRAYLGSSSKKLKSSRGYCRDCNTIVWLDNMKCSNEHTGLNSENQQIKPVLPSQVVGYLLDDSLSMASSSDSDSDSDGGPFSRLWAYQRPLSKTQ >ONI25442 pep chromosome:Prunus_persica_NCBIv2:G2:29020474:29023223:-1 gene:PRUPE_2G303600 transcript:ONI25442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLERYQKLGLKESLPRIHRYPLACKELSFILRGAYKKIPKNLQSLIFQDTLTAFRLLPEMQTRNAVLAAHLLLQSVEATLPKQKKNAAVTEYKNAMVAHKRRTKARQEENVSGSTQLPQDVLVHIFSFLDMQSLVSVGRVCWLWNIAASENNLWQAQYTTFFGNSDNDAMIKGRQIGRLVEDEGGYTLFWREAFKRAYLGSSSKKLKSSRGYCRDCNTIVWLDNMKCSNEHTGLNSENQQIKPVLPSQVFSILFFALVFRF >ONI24832 pep chromosome:Prunus_persica_NCBIv2:G2:27205592:27207883:-1 gene:PRUPE_2G264700 transcript:ONI24832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKHTDAKMPTSPNHRREEEEINIKPEPPPPPPPPNPNPNQMELPEDIVADILKRLPAKSLVRFRCVCKPWCSLITSPNFVRTHLKLNRSQFQSDLGTSTRIILSRYCDTLLSMVSENNNVVETVELDFALVRNLPYYVKGHSDGLLCLVINDGFGGMAVIYNPSIQEYRKLPSPCNFRSTREVLGLGFDASIDDYKVVRVPSNYCRLKCPGYQPQVEVLELKTNCWRKIPDEDTPPFFIEHIFQATEVNGGLYWLAEDHDASRCVILRFDLAEEKFKVVLPPPDESCKSISWIGAMKDWLCVVHTRRLSDVHVWATKDDKNWTKIITTSRFPRIPGRDPFLDSFRYMPLCYTEKGAVLMSVRGERFVTFDTRDNTFEHVDIRGAQHWLQETVYCESLVSPGGGGNPTESAVALGSDVGVAEGEQDEDQTAVGDSTSSNSLRQLLVGLKREVHNLLVCSVGHRNINDDN >ONI25033 pep chromosome:Prunus_persica_NCBIv2:G2:27774322:27778742:1 gene:PRUPE_2G276000 transcript:ONI25033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQTDKETMKKGKEVVVSSPNTNDDDDNLNISEGDLDGDNNHNRGSGVSFTSVRFSSRNTSSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNYVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSTPVWARDFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMEDENKTQAHGQEKIQEAESLAADGSKTHMENDSPSINGNPSEVSNSTAHVSSNEGTLVNKVNEVSDCLEAFDLAESVSENKGGSLTGPEMDKSTSVKKEKSGPEPVIIPLVLKMAEFDHKALLEEGISTRTFSDKCIVQDKDKLIRNLKTIQDYLCSFNSQGLTVVNISATTFPQTLDWLHGYLLECIEHGISSVSNENNRRPVEK >ONI24857 pep chromosome:Prunus_persica_NCBIv2:G2:27258102:27259815:1 gene:PRUPE_2G265900 transcript:ONI24857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKGDNVLVSSKKLEKIFCMKGGKGESSYANNSKAQAMHARSMLHLLKETLDRVQLSSPEVPFVVADLGCSSGSNTINTIDVIINHMAKRYEASGYDLPEFSAFFSDLPSNDFNTLFQLLPPMANHGGSMEETLAADSHRSYFAAGVPGSFYRQLFPSRCIDLFHSAFSLHWLSQVPESVVDKRSAAYNKGKVFIHGAKESTANAYKKQFQTDLGSFLKLRGKELKKGGSMFLVCLGRTSVDPTDQSGPGLLFGTHFQDAWDDLVQEGLITSEKRDSFNIPMYASSLQDFKEVVEADGSFIINKLEIFKGGSPLVVSQPDDAAEVGQALANSCRSVAGVLVDAHIGDQLGNELFSRLEKKGTRQAKELLEHIQFFHVVASLSLA >ONI22532 pep chromosome:Prunus_persica_NCBIv2:G2:19289933:19292019:-1 gene:PRUPE_2G135200 transcript:ONI22532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLQTVCCMCGDVGFPDKLFRCNKCRNRFQHSYCSNFYSEYAEPIELCDWCRSDQERSTARHGGSSKKSSVVGGVETGGASSRSEYSGDHKIKQHDHQQHRDHQDGGGSGSGEKASKNSGVPSPRPTARRYKLLKDVMC >ONI22739 pep chromosome:Prunus_persica_NCBIv2:G2:20384179:20385564:-1 gene:PRUPE_2G147700 transcript:ONI22739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSRALFLLSLLALTATISSAHNITEILSAFPDYSQYNSFLTQTKLADEINTRQTITILVLNNAAISALAAKHPLSVVKNALSLHVLLDYYDPTKLHQISKGSTLSTTLYQTTGHAPGNLGFVNITDLQGGKVGFGSAAPGSKLDSSYTKSVKQIPYNISILEINAPIIAPGILTAPAPSASDVNITALLEKAGAKTFASLIISSGVIKTYQSAAEKGLTIFAPNDEAFKAKGVPDLSKLTNAEVVSILQFHAVAGYTPIGTLKTSKSPISTLATNGAGKFDLTTTTAGDQVTLHTGVDSSRVADTVLDATPLAIFTIDNVLLPLELFGKSPSPAPGLEPSAAPSPAPVLAPTPSTVETPSPLPASPPAPPLETPEGAPSEAPSAESENSTSGDGAGHVASPALMMTVLVTVCATVISSVVLS >ONI23290 pep chromosome:Prunus_persica_NCBIv2:G2:22369551:22370036:1 gene:PRUPE_2G180300 transcript:ONI23290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLHSQRRQAIILHVHNSFVFTSNILLINLASQYLPAASTHSIFAFIVSVLLALIQIKFPGISMLPSPFETHHTTTMVAIASLLAYSSAVAARLRFPTYSPTNFRLAIMFSALLSVASLLSLLLPNSWHHIPYVVFIFYYLVAEQGFGLLRMLCQRRVAPT >ONI20368 pep chromosome:Prunus_persica_NCBIv2:G2:1047707:1049127:1 gene:PRUPE_2G012000 transcript:ONI20368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMFSPSTFFSTTTTNTPNTTITSPKPPQTPPPSKSHLPIPPSKPLLTTLTTTLTATAATAAILTATPPSLADSTAATTYQVYYGTAASAANYGGYGGNSNRKDSAEYVYDVPDGWKERLVSKVEKGTNGTDSEFYNPKKKSEKEYLTFLSGFRQLAPKDLVLNNLALSDVNLQDLLASADNVTSEEKKDEKGQVYYVYEIDGVAAHSLISVTCAQNKLYAHFVNAPTPEWNRDHDMLKHVHESFKTIGSF >ONI21744 pep chromosome:Prunus_persica_NCBIv2:G2:13540437:13541780:-1 gene:PRUPE_2G085500 transcript:ONI21744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLAVGHAEVEGLSRITTVVPGTDESISKLVQQLYKLADIHDLRMFNYYKECICLIC >ONI23412 pep chromosome:Prunus_persica_NCBIv2:G2:22828952:22832657:1 gene:PRUPE_2G187900 transcript:ONI23412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLMITTNNWWKIKNRANNEENLLKTKRKGSVSSKATNKQQQFNPFFHMWITTKLCLLHFICQKKIKL >ONI22062 pep chromosome:Prunus_persica_NCBIv2:G2:16129522:16131344:1 gene:PRUPE_2G104400 transcript:ONI22062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEHVYNYFYSNLKYVRINHFYTNVNRSDILSTKYLRYWKQLKINNSYPAKMMVSPNIEYCLGLGILKFKMTQFYSRRLNRLILYEMRALRN >ONI20718 pep chromosome:Prunus_persica_NCBIv2:G2:3279441:3285099:-1 gene:PRUPE_2G030500 transcript:ONI20718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQKTKRLTREYLYRKNLEGKQRLLYEKKRKIREALEEGKPIPTELRNEEAALRQDIDLEDENTAVPMPHIDDEYVNTQRDPKILLTTSRDPSASLIQFAKELKLVFLNAQQMNRGGQVISEIIETCRAHDFTDVVLVHEHRGVPDGLIISHLPFGPTAYFQLLNVVTRHDIKDKKTVGTMPQVYPHLILNNFRTKLGERTANILKHLFPVSKPDTKRIITFANQSDYISFRHHTYEKHGGPKSVELKEIGPRFELRLYKIKLGTVDQNEAQTEWVFRPYMNTAKKQKFMGE >ONI21828 pep chromosome:Prunus_persica_NCBIv2:G2:14477857:14484340:-1 gene:PRUPE_2G091900 transcript:ONI21828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTASMGTEEIKKDVNGSNWQTDLAYDQWKALPVSGPRPPARYKHAAVVVDEKLYIIGGSRNGRHLSDVQVFDFRNLLWSNIKLKPNSDKFEDSGLQEVLPAISAHNMVKWGNKILLLGGNSKKLSDKIIVWVIDLETHLCGIVETSGKVPVARGGQSTTLVGSRLIMFGGEDRSRRLWNDVNILDLETMTWDVVEAMQTPPAPRFDHTAAVHAERYLQIFGGCSHDTFFNDLHVLDLQTMEWSQPQVQGELVTPRAGHAGITIDENWYIVGGGDNKNGCPETLVLNMSKLVWSVPTSVKHRDALASEGLSVCSVTIDGKKHLVAFGGYNGNYSNEVFVMMPKPRDSLQPKIFQSPAAAAAAASVTAAYALTKIDKLDFKTAELNSKGAENHHYEQDFTIDLETLTEEKSVLELSLSQVKTENSRLGQEIEEVNNTHAELSKELHSVQGQLIAERSRCFKLEAQISELQKKLESVQSIEDEVQALRGQKSALEQDMELASSGQRQGSGGVWRWIAGGGGGDA >ONI22399 pep chromosome:Prunus_persica_NCBIv2:G2:18414033:18417468:1 gene:PRUPE_2G126700 transcript:ONI22399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACLNSLSFLLQFFRYESKFIQKIVKVIGDKLSRTPLSVAPNLVGMHSQVERINFWLQRRSTDVGILVIYGMSGIGKTTIAKTVYNSNFRIFEGSSFLENIKEVSQQPNGLVQIQTLLLSDILNGRKMKISNVSEGLIKIEDAISSKRVLLVLDDVDHTDQLDAVFQMKDQIYPGSKIIITTRRARLLKAHQVTEVYAVETLTQEESLELFSWHAFGQDHPIEDYIEYSEKLVDHCGGLPLALKVFGSSLLGESVCLWKSALEKLEVIPNGEIINKLRVSYDSLQDDHDQKLFLHIACFFIGMDKDYIAKILDGCDFYTIVGIQNLIDRCLVIIDGWDKVQMHDLIRGMGREIVRLESKEPWKRSRVWHHKDSFKILTEKNGTETIEGLVLDMHMCPTINSNEKVLETNAFSRMQELKLLHLSHVKLCGCYAKFCSGLRWLCWLEFPLDSIPVDFPLGSIIVLEMQYSGLRQVFKGTKYLPSLKTLDLSHSHSLTETIDFSYCPNLEKLVLVDCTSLIYLHGSIGNLERLIYLNMKDCKKIRLLPKNICMLKSLETFIISGCSNLKELSIEMLRNMDSLKVLETDGIPINELWLERSLSISCSLPFSLVELSLWGCNLSDDAFPMDFNNMSSLQRLNLGNNPICSLPNCIKGLARLDKLSFSMCTSLKSLLGLPKVNNLDIVDCISLVKITYQSRRAEAATSFNSNNLVEWQYKFKLLAIESVDTDNKIVGLCNLLESMAPILQKDDPIPVQGLDECGIFGIFFGGNEVPGQFSHKSRGSSISFTVPLLDNHRTRGLIFFVVYSNAGYDIQHNCLPHIRVKNKSKGLRGAYEPSHYGIPDEGEDMIWLSHWSVEDDQLQGGDEVVVSVIMKSGLLVKELGIRLVQVQQEENHNMMSISTDSSYDPISFSMILGDSDEEEEVFSRFVCLPDEEEEQQDDITVTTTTGSNNSGVLRGWKVLVTAACFFLTLSLITRSSLSGRKKGPSTSPG >ONI22398 pep chromosome:Prunus_persica_NCBIv2:G2:18413049:18417746:1 gene:PRUPE_2G126700 transcript:ONI22398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRAADPQTSSVSSTSGYCRYHVFLSFRGQDTRKTFTDHLYTALVNAGFRTFRDYDEVERGEGIKPELQKAIKHSRTSVIVLSKDYASSRWCLDELVMILERKRKTSNDHVVLPVFYDVYPSHVKKQTGSLAKAFARHQKTQPLPKVKAWREALAEVADLAGMVLQNQAHGYESKFIQKIVKVIGDKLSRTPLSVAPNLVGMHSQVERINFWLQRRSTDVGILVIYGMSGIGKTTIAKTVYNSNFRIFEGSSFLENIKEVSQQPNGLVQIQTLLLSDILNGRKMKISNVSEGLIKIEDAISSKRVLLVLDDVDHTDQLDAVFQMKDQIYPGSKIIITTRRARLLKAHQVTEVYAVETLTQEESLELFSWHAFGQDHPIEDYIEYSEKLVDHCGGLPLALKVFGSSLLGESVCLWKSALEKLEVIPNGEIINKLRVSYDSLQDDHDQKLFLHIACFFIGMDKDYIAKILDGCDFYTIVGIQNLIDRCLVIIDGWDKVQMHDLIRGMGREIVRLESKEPWKRSRVWHHKDSFKILTEKNGTETIEGLVLDMHMCPTINSNEKVLETNAFSRMQELKLLHLSHVKLCGCYAKFCSGLRWLCWLEFPLDSIPVDFPLGSIIVLEMQYSGLRQVFKGTKYLPSLKTLDLSHSHSLTETIDFSYCPNLEKLVLVDCTSLIYLHGSIGNLERLIYLNMKDCKKIRLLPKNICMLKSLETFIISGCSNLKELSIEMLRNMDSLKVLETDGIPINELWLERSLSISCSLPFSLVELSLWGCNLSDDAFPMDFNNMSSLQRLNLGNNPICSLPNCIKGLARLDKLSFSMCTSLKSLLGLPKVNNLDIVDCISLVKITYQSRRAEAATSFNSNNLVEWQYKFKLLAIESVDTDNKIVGLCNLLESMAPILQKDDPIPVQGLDECGIFGIFFGGNEVPGQFSHKSRGSSISFTVPLLDNHRTRGLIFFVVYSNAGYDIQHNCLPHIRVKNKSKGLRGAYEPSHYGIPDEGEDMIWLSHWSVEDDQLQGGDEVVVSVIMKSGLLVKELGIRLVQVQQEENHNMMSISTDSSYDPISFSMILGDSDEEEEVFSRFVCLPDEEEEQQDDITVTTTTGSNNSGVLRGWKVLVTAACFFLTLSLITRSSLSGRKKGPSTSPG >ONI20844 pep chromosome:Prunus_persica_NCBIv2:G2:3969332:3972704:1 gene:PRUPE_2G036700 transcript:ONI20844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFDNRTLTTEHLGISLFSILRPRTTGYLAIIPDDHPILFTKRSIACISFFSETIIDSGFFLKAERKSSSDQPHVLRTSRLLFNTNLFLPHNAHRAFTEVDFSRLNPISLTSPTS >ONI23257 pep chromosome:Prunus_persica_NCBIv2:G2:22262069:22262968:-1 gene:PRUPE_2G177900 transcript:ONI23257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLAISLAFLISLSLALQFGADAAGIAIYWGQNGNEGTLEETCATGNYEFVNLAFLPTFGNGQTPMINLAGHCDPYTNGCTGLSSDIKSCQAKGVKVILSIGGGAGSYYLTSKEDARQVATYLWNNFLGGSSSTSRPLGDAVLDGIDFDIEGGTNLHWDDLARYLSAYSKQGKKVYLTAAPQCPFPDAWVGDALKTGLFDNVWVQFYNNPPCQYSSGDLSNLENAWKQWISDTPATKIFLGLPAAPAAAGSGFIPVADLTSKVLPAIKGSPKYGGVMLWSKYYDDQTNYSSSIKSHV >ONI20284 pep chromosome:Prunus_persica_NCBIv2:G2:663913:664935:-1 gene:PRUPE_2G006800 transcript:ONI20284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFSPILNCFMPLSNSQVSNDAGGPKGCAKAPSSKESKKSKSKSSSSSSRAPIIVSYFPHNSYCSRL >ONI24350 pep chromosome:Prunus_persica_NCBIv2:G2:25754428:25754661:1 gene:PRUPE_2G235700 transcript:ONI24350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNPQRANEAAPVHEQPGMSPFSMVTVENVQARARRRWRQPDATSTFFDYTSGRYSWLLPGWVVEERRMPTSRLYR >ONI22795 pep chromosome:Prunus_persica_NCBIv2:G2:20668029:20669552:-1 gene:PRUPE_2G151800 transcript:ONI22795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNNHTHLHGQDLPKVLQLLPNPCFALVEANNSHKFHFLKAWASPLPQDQFLETHAGSVQVLLSSAIGPPITAQILHLLPSLKLLVTISAGLDHVDLAECRARGVAIASASKIFAEDVADVAVGLLLDVMRNISASDRFVRDGFWVSKCDFALGSKIGGKRVGIVGLGNIGLEVAKRLEAFGCNILYNSRKKKPFVSYHFFPDVCELAANSDVLVICCGLNAQTHHMINKKVLLALGREGVIVNVGRGAIIDEKEMVQCLVRGEIGGAGLDVFENEPHVPKELFALDNVVLSPHQAALTPECFTALRELVIGNLEAFFSNKPLLSQVENN >ONI21771 pep chromosome:Prunus_persica_NCBIv2:G2:13910495:13911609:1 gene:PRUPE_2G088000 transcript:ONI21771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRSSKEITTQDCESNRIVYEFSNRRPTVRREREREREKIEKSERIEIENHHCLRIWVGWCGWVQCSVCRRERKKERGREKKIRGEGDGWVGLEGVGEE >ONI25052 pep chromosome:Prunus_persica_NCBIv2:G2:27847572:27850920:1 gene:PRUPE_2G277700 transcript:ONI25052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLRRIELVEPYYSPPLLLRETTSIFAPKALPFPSFFEDVEEDYELGYALDFFSPPSPIKTPSLLSYKLIQRVERLGSEILLQSLSDRVSELESRFDRLAKVKSGGDRKYTWTAEIKGPEKHGLERKYKWTTEIKEGKHKKKAEKEEATDKKYRWTAEIEGKGEISRKYTFTASNGDDASNSSETKEKEEKKKKKDKKEKKEGRDTRLVEIEELVDHGAVVLRQAFAKRAGATGKAKGKRKELSPQDAAMMIQMTFRAYLIRRSQALRALRDLAVAKSKLKEIRALFNNFSYRRRVAHDAAERQRFTERIIVLLLTVDAIEGADVMVRTAKRSMVDELEAMLDVVDPQPAGKSLSMRRRTFDMPDGVIQREIAEGVAQVVQMLEREENSSNTFEACL >ONI24280 pep chromosome:Prunus_persica_NCBIv2:G2:25600147:25600470:1 gene:PRUPE_2G232300 transcript:ONI24280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFDPWPVFFKREWNRNWPFLVGFAITGTLITKFSLGLTEEDAKNSQFVQRHKKYASQTPIS >ONI22957 pep chromosome:Prunus_persica_NCBIv2:G2:21236992:21239622:1 gene:PRUPE_2G160400 transcript:ONI22957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGMGLETVRQLASNGFTVVLTARDEKRGLEAVEKLKESGLSGQVVFHQLDVANPATVASLADFIKTQFGKLDILVNNAGIYGSILDGDAFKAVIASGAMERGEVDLSKLVTETYEFAEECLQINYYGAKRTAEALIPLLQLSDSPRIVNVSSGAGKLNNIPSDWAKGVFTDAKNLTEERVDEVLTEFLKDFKEGSLESKGWPSSMPAYTVSKAALNAYTRILAKKYLNFRINSVCPGFVKTDINCNAGVLPVEEGGARIVKLALLPSDGPTGSFFVHNEVSDL >ONI23230 pep chromosome:Prunus_persica_NCBIv2:G2:22175502:22179388:-1 gene:PRUPE_2G176400 transcript:ONI23230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHDKDSSAVAISKTPNSIQNSIQVLNGPSLAHSAWFEIRLFYVRVAPCVIDSVPDHLTLRHLRREIGVSLEINGAKVPASDSASLTLRRDRVDKESSEVTYVSTDSVRVTGPVEFEVYENNDMVLCGSLERMEGVWLNGNAGGLKNDSKTGWSMDCYMAASINSGSSAFFRPKLGLSSPSIEVYIAGCCSGVPVILTKTIQISPRRKGTRHAMLDAIPEDEEVGKEHGKSCNGLVRHRKVQITEPEIDEYESEGKIGHHFYSDDMYTGEDGQLTWFNAGVRVGVGIGLGMCLGIGIGVGLLMRSYQATTSNFRRRFL >ONI22913 pep chromosome:Prunus_persica_NCBIv2:G2:21150642:21152561:1 gene:PRUPE_2G158700 transcript:ONI22913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGTLEVLLVDAKGLDNNDFLADMDPYVLLTLRTQEKKSNVVSGQGSAPEWNETFVFTVSDDVSELHLKIMDKDNFSADDFVGEATISLEPVFTEGGIPPTAYNVVNQDKEYRGEIKVGLRFTPEPEQNDGPSGEYGGSEEGYGGWKQSSYAEE >ONI25217 pep chromosome:Prunus_persica_NCBIv2:G2:28404193:28406793:-1 gene:PRUPE_2G289600 transcript:ONI25217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPYRNVPKSDQPTPTSNSSNPAFILLPPPAPPDPTPPAAHDRDCSSSPRPGPTGASTSSSPRGHPVYRGIRSRSGKWVSEIREPRKTTRIWLGTYAKPEMAAAAYDVAAIALKGPDTALNFPNLILTYPIPASSAASDIRAAAARAAQSRAEMISAGESGGRSEPGGVKTEEGRVGQDEEEEEFMDEEALLNMPNLLVDMAEGMLVSPPRISSEDSPGNSDGGENLWSY >ONI22655 pep chromosome:Prunus_persica_NCBIv2:G2:19824059:19826232:1 gene:PRUPE_2G142400 transcript:ONI22655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQEKPKKPPSHGKGKKLETLRLVLCTPPPSSCQQNPTGPTKSDAHHQRQHWDDEEKLENSKRKLHQGYQEFQSKRKKIQLLDVKNLPLPSKPQRGRVLSENKPLISSRKCPEPSDFSESQQNPKGLTKSTDHDLHHRQDWDDEEKLETSVQKLHQGYQEFQNKRKKIQIIDIKNLPLPSKPGRGRVLTKNKFLTSSRKCRAF >ONI24551 pep chromosome:Prunus_persica_NCBIv2:G2:26313033:26314321:-1 gene:PRUPE_2G246300 transcript:ONI24551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDKNSESANRNPKKANLLDHHSIKHILDESVTEIVTSRGYVEDVRMSNIRLFLGTIIIVIALFAQFYKKKFPENRDFLILCIVLYPQNS >ONI24638 pep chromosome:Prunus_persica_NCBIv2:G2:26596156:26597419:-1 gene:PRUPE_2G251600 transcript:ONI24638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLIQMLKNDLVWKENRVVPKYRQIIRDLINLFAEWHDNTPACFLDVQHINIINDRVDENQILCHRDRKSKRSSKAQFNILVAKILDSENRRPIELTHFYDMASRVKVDFDNLLHHPLLMPSYERENFSTRAFRKLEHVKNWIEDYKSYEKRKYMGERKRNTNIRNSIKCSKHHMVFNSIYQRDKDSYEDNVVGVLNYSKNITKHLGEHLSKTHEDLEPQEIEEALTAMFPERHIDLYEFLVIHKNIMPGYTY >ONI22248 pep chromosome:Prunus_persica_NCBIv2:G2:17488839:17490128:-1 gene:PRUPE_2G116900 transcript:ONI22248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAGDKDLNAVTFVENLKQQHGDGISTRCLIYNATGETLTYAITRTGVDKLDPPPTLHSLQTGSGVPFCNVQKLGKPEGSVATVVYKGTNKDGQVHGRLLAWSNNRVAYKNTVDYFRFRSYSLSNYRSMLPWSNNGIAVFTESRTATHYLDNVDWIPLIYNYVNKSGIYKSETWGGCFSTISTGSGTSPVVEAIFMLEDA >ONI21783 pep chromosome:Prunus_persica_NCBIv2:G2:14035226:14037907:1 gene:PRUPE_2G088900 transcript:ONI21783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIITSSLCLIFLAFSTVHGEPQDTCKNLKCGDKGPAVHFPFSLKGRHPDHCVFPGFVLSCNEKHETILELPIPFKFPVKSIDYKAQAIQLYDPESCLLVKLLKVFNKSISPFHFSKNHMTDLTLFNCSLAERQLDEQVPCFSGPGYQVYSVLSSHIIEVLPLLSCTKMYNLSSVPYYSNYPDNLYLEWSEPNCGQCEAKGKMCRLKNNGTKSEIECVDFSKAGTKRKWVATGASLGSFVVLLLVVAAYRVYSSDRKEKANQLKIERFLDDYRALKPSRYSYADIKRITNDFKDKLGEGAYGTVYKGTLSSELFVAVKVLNSSKGDGEEFINEVGTMGHIHHVNVIRLVGFCADGFRRALVYEFLPNGSLQDFISSPDNKNSFLGWDKMQDIALAIAKGIEYLHQGCDQRILHFDIKPHNVLLDHNFTPKISDFGLAKLCSKDQSIVSMTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRKNVGSATESTNEIYYPEWIYNLLEEGDDLRIHIGEEGEGRIPKKLAIVGLWCIQWHPVDRPSMKTVVQMLEGGESLTMPPNPFASTGAAGTNASTAARNRNIQLEAIPELE >ONI21243 pep chromosome:Prunus_persica_NCBIv2:G2:6487557:6490573:1 gene:PRUPE_2G055000 transcript:ONI21243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSSHNFIPPSAGFDKSRVLDVKPLRSLMPVFPGASQAPPFPCMPPFGQTPNGYSPFYPFQPQGSQTSPNLNSPSPMRTPTGPMPAPIRSYRAPAPSGALPHDFPEESNGERGSSMGGAEDDDGYFDAHLGSSSSRKKTTKASSSRKKKKKSGDGDSLTNNGSGVNFVSVMSPFQVEDGNRELVNYVLVNFDALRRRICQIEDAKESKNGIIKRADLKAGNILMTKKVRTNMRRRIGVVPGVEIGDVFYFRMEMCAVGLHAPSMAGIDYMTGKGDAEKDPVALSIVSSGGYDDDTEDSDVLIYSGQGGNNNNKDKQVADQKLERGNLALERSLNHGNEVRVIRGVRDEVNSTTKVYVYDGLYKVHESWTERGKSGCNIFKYKLVRVPGQPGAFAVWQTIRKWKDGFSSRAGLVLQDLTSGTEPIPVSLVNEVDNEKALGSFTYFPKLKYSKSFTLMPPSFGCNCHNACLPGDMNCSCIQKNGGSFPYTGNGILVSRKQLLHECGPTCPCSPNCKNRVSQTGVKLRLEVFKTKDRGWGLRSWDSIRAGSFICEYAGEVIDEVKLKQKGHAGEDDEYIFDTGRNYDSFKWNYEPGLLEEETSNDINEDYNIPYRLIISAKNVGNVSRFINHSCSPNVFWQPVLYEHNNQSSLHIAFFAIRHIPPMTELTYDYGNSSSSEADNDNGPHWKNKCLCGSSKCRGYFG >ONI25737 pep chromosome:Prunus_persica_NCBIv2:G2:29715845:29718042:1 gene:PRUPE_2G317400 transcript:ONI25737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLRVRLASFFGGAAVASFLGLYILHNDYKVAHQAISQQVRGLHESLDRRISTLESLKQTEASQPAEAAE >ONI20529 pep chromosome:Prunus_persica_NCBIv2:G2:2073208:2074625:-1 gene:PRUPE_2G021100 transcript:ONI20529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSKKTEHLLALDGAKERLHLFQADLIEEGSFDAAVDGCEGVFHTASPVLFSATNPQAELIDPAVKGTLNVLKSCVKFATVKRVVLTSSMAAVIFNGRPLTPDVVIDETWFSDPLVCENLKEWYFLSKTLAEEAAWKFAKGNGIDLVTINPSYVIGPLLQPSLNATVEMVLNLKNDIPDVTRSNYLSTDVRDVASAHVQAFEVPSATGRYCLVGHVIPMSKALKILHELHPTLFPPEKYEDGNPSEPTYQVSQEKAKSLGVNFLPVEVSLRDTIESLKEKGFLKV >ONI21507 pep chromosome:Prunus_persica_NCBIv2:G2:10723661:10725387:-1 gene:PRUPE_2G070800 transcript:ONI21507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFECFKKGTVTILEDESDNLDEVIVTLNLLTFKLPFFSLAIPRVTLNPILQYPQSQSLFHPSHLHHLSSSPISSLCSISDVIYS >ONI22718 pep chromosome:Prunus_persica_NCBIv2:G2:20302813:20304770:-1 gene:PRUPE_2G146700 transcript:ONI22718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACHIFSMATYASNMICYPHPANPVINGLRKTHHLQFTGSTFWAIPMSLCSNGHHFHRPLAAASVEETAQTESKDGKPRFKLDAVDPEITEAQKQAIAQLPYHMAKRCKALMRQLICYSPQKGSLCELLAAWVRAMKPSRAHWLAVLKELRIKDHPLYLQVAEIAVLEESFEVNLRDYTKIIHGYGKQNRIEEAVKILSNMKARGFICDQVTLTAMIDMYSKAGHVKLAEETFEEIKLLGQPLDKRSYGSMIMAYIRAGVPDQGESLLIEMDAQEIYAGSEVYKALLRAYSMVGDTEGAQRVFNAVQLAGISPDAKLCGLLINAYGVSGQSQKARVAFENMRTAGIRPTDKCIALVLAAYEKENKLQKALKFLMALERDGIMVGKEAAETLAAWFRKLGVVEEVDTILREFAETEANSRVPAS >ONI22716 pep chromosome:Prunus_persica_NCBIv2:G2:20302036:20305002:-1 gene:PRUPE_2G146700 transcript:ONI22716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACHIFSMATYASNMICYPHPANPVINGLRKTHHLQFTGSTFWAIPMSLCSNGHHFHRPLAAASVEETAQTESKDGKPRFKLDAVDPEITEAQKQAIAQLPYHMAKRCKALMRQLICYSPQKGSLCELLAAWVRAMKPSRAHWLAVLKELRIKDHPLYLQVAEIAVLEESFEVNLRDYTKIIHGYGKQNRIEEAVKILSNMKARGFICDQVTLTAMIDMYSKAGHVKLAEETFEEIKLLGQPLDKRSYGSMIMAYIRAGVPDQGESLLIEMDAQEIYAGSEVYKALLRAYSMVGDTEGAQRVFNAVQLAGISPDAKLCGLLINAYGVSGQSQKARVAFENMRTAGIRPTDKCIALVLAAYEKENKLQKALKFLMALERDGIMVGKEAAETLAAWFRKLGVVEEVDTILREFAETEANSRVPAS >ONI22717 pep chromosome:Prunus_persica_NCBIv2:G2:20302813:20304770:-1 gene:PRUPE_2G146700 transcript:ONI22717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACHIFSMATYASNMICYPHPANPVINGLRKTHHLQFTGSTFWAIPMSLCSNGHHFHRPLAAASVEETAQTESKDGKPRFKLDAVDPEITEAQKQAIAQLPYHMAKRCKALMRQLICYSPQKGSLCELLAAWVRAMKPSRAHWLAVLKELRIKDHPLYLQVAEIAVLEESFEVNLRDYTKIIHGYGKQNRIEEAVKILSNMKARGFICDQVTLTAMIDMYSKAGHVKLAEETFEEIKLLGQPLDKRSYGSMIMAYIRAGVPDQGESLLIEMDAQEIYAGSEVYKALLRAYSMVGDTEGAQRVFNAVQLAGISPDAKLCGLLINAYGVSGQSQKARVAFENMRTAGIRPTDKCIALVLAAYEKENKLQKALKFLMALERDGIMVGKEAAETLAAWFRKLGVVEEVDTILREFAETEANSRVPAS >ONI22400 pep chromosome:Prunus_persica_NCBIv2:G2:18439847:18441330:1 gene:PRUPE_2G126800 transcript:ONI22400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLDLSRSHSVTETIDFSYCPNLEKLFLVDCTSLIYVHESIGNLERLIYLNMKDCKKIRMLPKNICMLKSLETFIICGCSNLNEFPIEMLRNMDSLKVLETDGIPISELWLERSSSILGSLPCSLVELSLWGCNLSDDAFPTDFSNLSSLQRLNLGNNPICSLARVDKLSFSMCTSLKSLLGLPKLNGLDIQGCISLEKMTHQSFHFESMTHQSFCLESMTLGGNYNLVEWQYQYKLQPIGRVDVEMINLLGLCSLLESMAPIRMHRPSHISAKHDWRPVQGLYEDGIFSTFFGGNEVPGQFSHKSRGSSISFAVPLLDNHGIKGLNVFAVYECFGSDFPYYEWLMGVMIRVRNKSKGLKWDYSSTHYGIPDEGEDMIWLSHWKFKDEHLESGDQVVVSVDIKHCFQVKELGIQFVQVEQESHNMMSTTDISDYVHKLLVYTIHPGSLL >ONI21058 pep chromosome:Prunus_persica_NCBIv2:G2:5599931:5602153:-1 gene:PRUPE_2G048700 transcript:ONI21058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSFQNSYQIELSKPIIFITCKTPVNSPLYVETAPCTDAISSPSSALLHSQGHSFVMVGHVNASDLTELCRIELMVRTSWPGTKGTNLSYIDIHNELVYGFELSWLQSYDRGRKGSICYVNNDTNKVFSILLLATRTPVGVPCVIVLLIYKLKRRHLSMYGPIEEFLQSQNNLMPIRYSYSNVKKMTEGLKHKLGEGGYGSVSRGKLRSGRLVAVKMLGKSKGNGQDFIHEVATIGRIHHVNVVQLIGYCVEGSKRALVYEFMSNGSLDKHIFSKEEPSSLNYKKSLEISLGVSRGIDYLHQGCEMQIFHFDIKPHNILLNENFVPKISDFGLARLCPLDESSITLTAARGTIGYIAPEFFGMLLMEIAGKRKNLNAVAAHSSQIYFPSWVYDQFFEGKGIEIEDATEEEMKITKKMLIAALWCIQMKPTEHPNSMRKVVEMLEGEIESLQMPPKPFL >ONI23621 pep chromosome:Prunus_persica_NCBIv2:G2:23624995:23625754:-1 gene:PRUPE_2G199000 transcript:ONI23621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPLERKIHPKINHAEPEDEITKEEEEESFCYAIQLPERVQAPSSLRLILQPRLAPMMMDRILRLLTSHSVLHSSLAAANEDENGGSDFQRWILHDWTDEHCLKLLKNRYRAIPDDGKQCCGSTSQLDVIMMTEIPGGKERSEQEFMALATGAGFSGIRYECFVCNFWVMEFFK >ONI25006 pep chromosome:Prunus_persica_NCBIv2:G2:27663409:27665736:-1 gene:PRUPE_2G274300 transcript:ONI25006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAELGIITRKDEHYNGKMTLLVVLSCMVAAMGGIIYGYDIGISGGVTSMEPFLKKFFPEVHTKMKEDTKTSNYCKFDSQLLTAFTSSLYIAGLVASFFASSVTRTFGRKPSILVAGASFLAGSALGGAATNVLMLISGRILLGVGVGFANQSVPLYLSEMALPRHRGAFNIGFQLCVAIGVLSANLINFGAEKIKGGWGWRISLSLAAVPASILTLGALLLPETPNSLIQRSNDHQKAKSMLQRTRGCEDVQAELDDLIKASENSKTIKHPFKKLMQRKYRPQLVMAIAMPFFTQVTGINVISFYAPVLFRTIGLSESMSLLSAVMSAGMVGTCATFVSMLMVDKLGRRTLFAIGGIQMFVSQVIVGSIMAAELGDIGGIRKGYAYLLIIFVSVYVAGFAWSWGPLGWLIPSEIFPLEIRSAGQSVTVAVNFLSTFGIAQSFLFMLCHFKSGIFFFFGGWVAVMTGFVYLLLPETANIPIEKMDGVWMGHWFWNRYVGQVREDSD >ONI21377 pep chromosome:Prunus_persica_NCBIv2:G2:7929754:7932003:1 gene:PRUPE_2G062000 transcript:ONI21377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKESLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKRYEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSKMEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKKANMCSMVGFIDPATVSANSGTITARSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKIYNTHIARARRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI25447 pep chromosome:Prunus_persica_NCBIv2:G2:29050877:29051523:-1 gene:PRUPE_2G304000 transcript:ONI25447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPLERYQKLGLKESLPRIHRYPLACKELSLILRGAYKKIPKNLQSLIFQDTLTAFRLLPEYALSRISDFINSFFLF >ONI21653 pep chromosome:Prunus_persica_NCBIv2:G2:12086985:12088307:1 gene:PRUPE_2G078800 transcript:ONI21653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNAFSGKIPMTIGSLFQMQTLKLRSNQFVGELPSSLKNCASLEVIDLGYNKLSGPIPTWLGVSFKNLVVLMLSTNQFNGSMPSQLCHLTHIQIMDFSMNNISGSIPKCLNNLTTLAQKGNPSLSSTHSYIRVMDDASFIWKGRMQTYKSTLGLVKRIDLSSNRLTGEIPSEITHLVELISLNLSRNRLTGQITPEIGNLQSLDSLDLSRNRIDGRIPTSLARIDRLSFLDLSYNNLSGKIPTGTQLQSFDPLDYAENPLLCGPPLKKMCADQNEQTGLSNEEDKDEFITLGFYISMGIGFAAGFWGVCSTLIFNRPWRYTYFKFLNHLNNWLYVKIALIKRQLKLAYA >ONI21555 pep chromosome:Prunus_persica_NCBIv2:G2:10948124:10950460:-1 gene:PRUPE_2G072500 transcript:ONI21555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKICHFYLYRDNVIFLHNLLNGDGIQSIAQFRKEALFDDYRISSQNEDCIAFAVDVSLLHRALRSSVSICTEFLNISISNMGIYTFIFSTTLIILGVEFQKLLVLGETADAPSKYRNLSAQTRSGRAILKGNAQSVQVSVKHFGKSLQCHLCKPDYAFYGIAPQGTGACWTVIFQFFILGSHQMEKSISLHCKLPVLDQGSG >ONI25406 pep chromosome:Prunus_persica_NCBIv2:G2:28927689:28931153:1 gene:PRUPE_2G301300 transcript:ONI25406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQMADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRIVPDKANKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDVNGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGDVEEVDEDKEKKSKKKKVKEVSHEWQLINKQKPIWLRKPEEITKDEYASFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYQKFYEAFSKNLKLGIHEDSQNRAKLADLLRFHSTKSGEELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKKKKEEKKKSFESLCKTIKDILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDNSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSLDDEETAGEDADMPALEEDGAEESKMEEVD >ONI22995 pep chromosome:Prunus_persica_NCBIv2:G2:21348485:21350202:-1 gene:PRUPE_2G162800 transcript:ONI22995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIEVYPAADQMQAGFANFKYQVIVGIPSVEFQRHMIRLRCFGETVYARITDTEVRLSVANEEILLTNENCIIGGDVSEENPVTAVFSLHCQSAIMKASHLTSRVWLLHSNSSLPFCMLNFPVGALGNLMFHFPSPEDGDET >ONI23082 pep chromosome:Prunus_persica_NCBIv2:G2:21687284:21688105:-1 gene:PRUPE_2G169300 transcript:ONI23082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLQPLQLIKSSTTFVFIPMQWGRVAACWDKVFFVQSYWINIIRCYFACEVLDGKIYSIGGLGSNSSDPHSWDIYDPCTNSWKFHADPNIVPEIEDSVVMDGKIYIRCGT >ONI23081 pep chromosome:Prunus_persica_NCBIv2:G2:21687181:21688783:-1 gene:PRUPE_2G169300 transcript:ONI23081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQDYEQQVNCLARKFMEKKIYKLNWCYFACEVLDGKIYSIGGLGSNSSDPHSWDIYDPCTNSWKFHADPNIVPEIEDSVVMDGKIYIRCGT >ONI22448 pep chromosome:Prunus_persica_NCBIv2:G2:18674570:18679645:-1 gene:PRUPE_2G129800 transcript:ONI22448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQEEIIDHFVKQALSLEGSALGSLVVEATSHPSLFAFSEILAVPNVLQLEGTETSVNLDVLRLFSQGTWSDYKSDASRLPHLVPDQVLKLKQLTVLTLAETNKVLPYDQLMQELDVINVRELEDFLINECMYAGIVRGKLDQLRKCFEVQFAAGRDPRPGQLGSMIHTLSNWLDTSNNLLISIQEKMKWADTMTELAKEHKKEVEDRVEEVKKSSSHKKFHTVTRPTLTSGGTRRFTPNLVE >ONI22088 pep chromosome:Prunus_persica_NCBIv2:G2:16425765:16429738:-1 gene:PRUPE_2G106200 transcript:ONI22088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTNNNDESSDRGLFSNLAGFAAGHFPRPGSHNGYPQQGYPPQGGYPPQGGYPPQGGYPPHGGYPPQGGYPPQGGYPPHGGHSPSGGYPPSGYPTTPAGYPPTAHPAAGGYPPASYPGQSAPHHSGRGSGMGMGTMLAGGAAAAAAGYGVHHLVHGRGGGGGGGGYGYGGYPGGFGHGKFKHGKHGKFKHGKHGKFKKWK >ONI22089 pep chromosome:Prunus_persica_NCBIv2:G2:16426025:16429436:-1 gene:PRUPE_2G106200 transcript:ONI22089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTNNNDESSDRGLFSNLAGFAAGHFPRPGSHNGYPQQGYPPQGGYPPQGGYPPQGGYPPHGGYPPQGGYPPQGGYPPHGGHSPSGGYPPSGYPTTPAGYPPTAHPAAGGYPPASYPGQSAPHHSGRGSGMGMGTMLAGGAAAAAAGYGVHHLVHGRGGGGGGGGYGYGGYPGGFGHGKFKHGKHGKFKHGKHGKFKKWK >ONI23904 pep chromosome:Prunus_persica_NCBIv2:G2:24617333:24618782:-1 gene:PRUPE_2G215400 transcript:ONI23904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAIRGSTQCEPLVVGKPSTFMMDYLANEFGILTSQICMIGDRLDTDILFGQNGGCKTLLVLSGVITLSGLQSPNNSIQPDFYTNKISDFLFLKAATV >ONI25715 pep chromosome:Prunus_persica_NCBIv2:G2:29672237:29676809:-1 gene:PRUPE_2G316200 transcript:ONI25715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSGTGNNLISGGGGLWSAAPSYVAVAVTALAGLAVVVAVFCTATRTRLKSPWSRRKRKHALAAHHWRSFFTPDGRLRDGGVKLVKKVRSGGVDPSIRAEVWPFLLGVYDLNSSKEERDLVRSQKRKEYEKLRRQCRRVIKRINESSKLNGDSCSFTQDTDSPSSEDVVSARDSLSSEERIPDVEYSDDPSSALLDGDDSSRRNTNADAKVLNSDSSDSDSSEEPEVIQTFPSGEGREDDDPDMSSKDESSPSRTEVQSKLSSEDFATWQRIIRLDAVRTNSDWIPYSPSQAEVSQDRAWRSAEAVGLKDYDHLEPCRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPITAVMTEDHEAFWCFVGFMKKARHNFRLDEVGIRRQLSIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQKRKLIIEKYSSMDEIIRECNSMSGQLDIWKLLDDAHYLVVNLHDKIEPSF >ONI25716 pep chromosome:Prunus_persica_NCBIv2:G2:29672603:29676244:-1 gene:PRUPE_2G316200 transcript:ONI25716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALRRSHTSSPSSSNSSPSSSSSSSSSWIHLRSVLLVVSSSSPAHCSSSDRTRLKSPWSRRKRKHALAAHHWRSFFTPDGRLRDGGVKLVKKVRSGGVDPSIRAEVWPFLLGVYDLNSSKEERDLVRSQKRKEYEKLRRQCRRVIKRINESSKLNGDSCSFTQDTDSPSSEDVVSARDSLSSEERIPDVEYSDDPSSALLDGDDSSRRNTNADAKVLNSDSSDSDSSEEPEVIQTFPSGEGREDDDPDMSSKDESSPSRTEVQSKLSSEDFATWQRIIRLDAVRTNSDWIPYSPSQAEVSQDRAWRSAEAVGLKDYDHLEPCRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPITAVMTEDHEAFWCFVGFMKKARHNFRLDEVGIRRQLSIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQKRKLIIEKYSSMDEIIRECNSMSGQLDIWKLLDDAHYLVVNLHDKIEPSF >ONI20647 pep chromosome:Prunus_persica_NCBIv2:G2:2793183:2806204:1 gene:PRUPE_2G027200 transcript:ONI20647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGASIVSKIVEEPVALIGRQLSYLIYYDSNIESLKDVLKNLDDKKNDVQRSVDAAKRNGAIIKDQVQSWLEEVSKIFREAEELETKLNMQRRCPSLKSRYSLSRKAKKIAKCALDLKLDEGLSNNVANPAPLQQLGSIISSEGFKGFESRKDVMNDVLSALRNEKTRIFGICGMGGVGKTTMVREIKEIIKRLQGTNKLFDDVVMSTISATVNIRKIQTEIAESLDMKLVEESESIRAQRLHERIKQSKRILIILDDVWSELKLQDVGIPFGVGPTTNQVHEGCKILLTSRNEEVCKVMGCKDDIFGVQALNKEEAWELFRATVGESLDNNPDLSHVAKLIVDECKGLPIAIITVGKALLPSNGKHEWNTALQELKNSLPENIPGMEPEVYSCIKLSYDKLDSDEVKSCFLLCCLFPEDYDVPIEYLVRYGLGRATFRNTNTVEDVRNKVHSFVGQLKRRYLLLDSEKEECIKMHDIVRDVAISIASKDPHRFMVRSFDAEGGGGGRPGVQKVTNQEHCSAISLIDVKLDENITDGLECPKLELLQLKNSSSSSQYSNHFKRMEELKVLAFLEVNMSSYLASEKSLLLGEPKYLHTLCLEDCKLGDISHVIGGLENLEILSFARSEISKLPREIGHLHRLRMLDTTDCEGLEEIPHGVLSKLRRLEELYMAESFLNWAPATGSKDETSMASLDEVTSLSDHLNVLAIKIHDVQMLRNDEFLLRSQPIRFHVSINISWSYKKESFKNRMRGYLFENSLMLRGDVKEYLEIGAVRYFLKQSEDLSLRHTYNLKYVIEKLDDQGGFQHLKVLSIMYDNNIEYLMNGTDWTRRGQPAFPILKSATFEYVDKLKVLCCGKLPDKRSFMNLKSIVIDNCHELKYVFSLSVAQNLVQLQKLIVKNCQKVEDIISRERMEDDNASHRISFPRLTVLKLYDLPKLLGFYTGNQRDSTYEIIKPNDESVNKTKETRNDNQVAGSTSSRSKVAQVGASCNALFPSNCISWLPNLEKLVLIDSTSEQGSEELVFNVVFDLEGHNSAFSQLQTFQVFSLYGVEHLWKNVQPGFQGFQNVRSLDIQECRSLKYLCPYEIYKLLVNLEEVQIYECENMETIVHEEGKETGGSGSMTLFPKLINNIILDNLPNLERFCPDAHSFAWSSSTRVLRVEFCPKLKTLGFELVSKNLPAAVAENLSDDHVRGREELGSDCASSTGSGFGFGCAPLVCLQSRPSTRNFTQILPRPVNREVTPTSATHNLEDLFVEKCDLLEVIFLVQETPSTQAFDKLRQLNLWRLPMLSHIWEKGLQVSSGFGNLRLLKVQSCHNLRYLFSPHIAKLLTYLERIEVSWCSAMEKIVGEAEGGGESIEDELTFPHVNSILLGRLPELESFCSEAYTLKWPALEKVEVQNCPKLKAFAPESLYA >ONI25313 pep chromosome:Prunus_persica_NCBIv2:G2:28655377:28659376:1 gene:PRUPE_2G295500 transcript:ONI25313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTQYGIKYAVHIITSNFGNLVAKVCEILLRRGHLSLKQLIGFTELTPQQVKNSLLILIQHNCVQPFSFDQSEGPKVTQYIALFDNIIHRGRFAKFLAVVSQELDTDRELILKDLLENGRLTLKQIIEGAESSKSQGDSADKDSVQESFLKLVNAHFVERCPAPEPVLGQATKQEGPAKKRGAKSAKMVEVPETIEQRVIAAAAPPEAIRFSIMIDSEADAPGGKSDNMSVGEKRKHATLEFDAEFESSDEVVLWRANFEEFIRCLRHKACVENVRARLDDEAAVVLRAMLKATRTAEKKVKTENSVPLSLNTIYEEVINSEAGRSLTMGRVRDSLSGLCDSSQERDVDEDESYSADLKKILELAQDDEVELIVLKRYGKDAYTMFRILSLAGRPIETDKISDMSQLSLVEKNETPKILYNLWKDDYLHMERLVVTGARQSQFLVWRVDKPIIWKHVLDEMFHTALNLSLRLACEEERFKEITDLPAHKLLGEMAKQWQLYRNVILVLQASLLKLDDALMLFHDF >ONI23202 pep chromosome:Prunus_persica_NCBIv2:G2:22069695:22080050:1 gene:PRUPE_2G174800 transcript:ONI23202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFFNLYFLSIGLLPPGSEATVDVPFEKIDQLKFAYDHLQQPIKLFIGDQLPDWLILDFAPHWAVEIGQEYGVPLVFFSVFSAATMSILGSPEHLSGADNRNHVLPSQESLTSPPDWVAFPSLVAYREHEAVHVHKGIFEVNGSGISDSESCNEFEGEYLEVCQKINGKPVIPTGFLPPEKPSAKREIIVFVGFGSECKLSEEQVFEIAHGLELSELPFLWALRKLNWPNSEADALPPGFVERTSEKGLVCLGWAPQMDILGHPSVGGSLFHSGWGSVIETLQFGHVLVVLPFIIDQPLNARLLVEKDLAVEVKRTEDGSFCKDDIAKTLRHAMVAEEGEKLRNNARKAAKVFGDHKLHQDDLGQFINVVMLPWSAFGHMVPFFQLSIALAKYKIAYDLLQQPIKQFIGAQLPDWIIVDFSAHRAVEIGKEFGVPLVYFSAFCAATCVFLTSLENISKANTDHDVLPSPESLTSPRDFGTFRSTIAYRKHEAVDMHAVFYELNDSDGLGISHPGGSLFHSGWGSVIDSLQIGHVLVVLPFIIDQPLNARLLVEKDLAVEVKRTEDWSFCKDDIAKTLRHAMVAEDGEKLRSNARKAAKVFGVTSSTKTTTLANLSLP >ONI20480 pep chromosome:Prunus_persica_NCBIv2:G2:1695989:1700958:1 gene:PRUPE_2G018000 transcript:ONI20480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKNTTEALPIFSLQKLSLLILLSKFFCALFINHIANRPDQHCVTPTDAIANTLKDSLRRALVPFYPLAGRLRWIEGGRLELDCNGMGVEFIEAESDLKLDDLGDFSPSPEYHSLFPTVDYNLPFHELPLLFVQLTRFKCGGFSLGLEISHAVTNGQSACHFIGEWAKLTRGEPIGVMPFLDGQAFLARHLPAPTQVFDHIEFNQPPILLGQSDNLQESKMQTTLTMLKLTKEQVDKLKQMANEACFRVIFDFNDSYSLGIRVGHLQLAGHIWRCALRSRNHKDEQPTALMVCVDLRSRMKPQLPQGYFGNAVFYMPATSLADDLLSKPLGYVLSRIREAVEKVTMDYVLSAHEKLKNEPDLTRFQDLHYAKESERGPFNGNPNIGVLNWLTLLIYGLDFGWGKEIYMGPGPHDFDGDSLILPEMVLWLWLQVAHIDVFKKHFYEDII >ONI25727 pep chromosome:Prunus_persica_NCBIv2:G2:29695188:29697307:1 gene:PRUPE_2G316900 transcript:ONI25727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFIPRIPFLDSALDLATLLFISALIILSLLSLCFIFHLCFKSRTALHLQHFNSLWTVRFLLVLFVIFWSLNELLRIPTLRRTYLYPFFSTLAPFEEPHFCKIHVALSLGFFEPAFLVTLLFLVDVSIEKKTPNAFWAIAFVLATCLPMALVQVIFLFFNPFQKLRLPVPDFFVRSYVVSKSELGISMVSCAYPLLNTIMFGSFGAAYSLWFLFSCWKVLSLVINKGLRTRIYTLAATVLVPLPLQIIFMGLSNFWKPDHPAFAAVSFVAFLATLTCAAVGEGILVIKPIGDSLAAGGDACRWNREKKPAEVADSGDKCAV >ONI24946 pep chromosome:Prunus_persica_NCBIv2:G2:27496979:27497599:-1 gene:PRUPE_2G270900 transcript:ONI24946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCKLRADDIPRACHRSHGPVRTVNMDRFRLLFNASPKSNLLVVRREDVADGGGFLFIV >ONI21035 pep chromosome:Prunus_persica_NCBIv2:G2:5424936:5428772:1 gene:PRUPE_2G046800 transcript:ONI21035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGPVLLVTWNNVFEELEIYDGCPGLFSLLFVLLFDTMPFPIQKLFRMTLSSSYKLEKCML >ONI20620 pep chromosome:Prunus_persica_NCBIv2:G2:2656608:2658461:1 gene:PRUPE_2G025800 transcript:ONI20620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGEVGTSDQAVDTFVDEFLKNTRTCTHTHTCNPPGPDAAHTHTCYHTHTQVLPSEDDDGAKNKEHSVPRPRRRRPSRNREAVRKYREKKKAHTAYLEEEVKKLRLLNQQLVRKIQGQAILETEFLRLKSVFLDLRGKIDHELGAFPFQNQCKTSSVPTEIDCNPQFTARAVGLCCQTDLPCFCSPVGPSMQASISTSAKAMVPVGGNCQPAVIDCQANTNEMASAVQNSTHSRNLGIIGNRS >ONI20621 pep chromosome:Prunus_persica_NCBIv2:G2:2656608:2659529:1 gene:PRUPE_2G025800 transcript:ONI20621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGEVGTSDQAVDTFVDEFLKNTRTCTHTHTCNPPGPDAAHTHTCYHTHTQVLPSEDDDGAKNKEHSVPRPRRRRPSRNREAVRKYREKKKAHTAYLEEEVKKLRLLNQQLVRKIQGQAILETEFLRLKSVFLDLRGKIDHELGAFPFQNQCKTSSVPTEIDCNPQFTARAVGLCCQTDLPCFCSPVGPSMQASISTSAKAMVPVGGNCQPAVIDCQANTNEMASAVQNSTHSRNLGIIGNRS >ONI20619 pep chromosome:Prunus_persica_NCBIv2:G2:2656608:2659529:1 gene:PRUPE_2G025800 transcript:ONI20619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGEVGTSDQAVDTFVDEFLKNTRTCTHTHTCNPPGPDAAHTHTCYHTHTQVLPSEDDDGAKNKEHSVPRPRRRRPSRNREAVRKYREKKKAHTAYLEEEVKKLRLLNQQLVRKIQGQAILETEFLRLKSVFLDLRGKIDHELGAFPFQNQCKTSSVPTEIDCNPQFTARAVGLCCQTDLPCFCSPVGPSMQASISTSAKAMVPVGGNCQPAVIDCQANTNEMASAVQNSTHSRNLGIIGNRS >ONI23299 pep chromosome:Prunus_persica_NCBIv2:G2:22410805:22412767:1 gene:PRUPE_2G181000 transcript:ONI23299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLKPDAYVLASLMESHFKELDLTPELFLPNKEEMLLILDKCFSHSCLEKAIAEKIAVDESDSADQINPSPVDSALLKDCVAQVRVASNKRFIKIVHCYLLKAGKEKEAQEYLEKVSPASRVDHLESVKMWNYLTLPFQVLKVMEDMGLGTSPLSFSMPPAPPEVFPTPAPGWRPGQDTAHG >ONI25472 pep chromosome:Prunus_persica_NCBIv2:G2:29108545:29111068:-1 gene:PRUPE_2G305300 transcript:ONI25472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVTPISRQFSTAKQLQALTSSIRKAEAAPRDPALAAQVFGKILDQDPDIRTLKELHSSIVVDQRLRSDASLGIKLMRAYAACGEPRITRHLFDRIPEKNVVFFNVMIRSYVNNHLYHDALLVYKTMSHNGFDPDNYTYPCVLKACSGSDNLWVGLQIHGAVVKVGLDMNLFIGNGLIAMYGKCRCLVEARSVLDQMPCRDVISCNSMVAGYAQNGRFNDALEVCREMEAFKLKPDAGTMASLFQAVTNTSADNVLYVKEMFMKLVKKSLVSWNVMIAVYVNNSMPGEAVDLFLQLEVSGIEPDAVTIASVLPACGDLSALLLGKRIHEYVERKRLRPNLLLENALIDMYAKCGCLQDAREVFDAMKFQDVVSWTSMMSAYGRCGQGHDAVALFRKMQDSGVSPDSIAFVSVMAACSHAGLLEEGQYYFNLMTKECRIEPRIEHFACMVDLLGRAGRVDEAYSFVKQMSLEPNERVWGALLSACRVYSNMNVGLLAADRLFQLAPEQSGYYVLLSNIYAKAGRWQDVTTVRSIMKSRGIKKIPGVSNVELKDQVHTFLAGDRSHPESKEIYEELDVLVGKMKELGYVPETDSALHDVEEEEKECHLAVHSEKLAIVFAILNTDPGTAIRITKNLRVCGDCHIAIKLISKIAEREIVIRDTNRFHHFKDGMCSCGDYW >ONI22458 pep chromosome:Prunus_persica_NCBIv2:G2:18917826:18925486:1 gene:PRUPE_2G130800 transcript:ONI22458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTVTSNEDQKAAKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNTVYICGTDEYGTATETKAIEEQCSPQEICDKYHAIHREVYQWFNISFEKFGRTSTPQQTEVCQAIFNKLLENDWLSENTMQQPYCDTCKRFLADRLVEGTCPTQGCDYASARGDQCENCGKLLNPTELKDPKCKVCKTTPRIRDTNHMFLELPLLRDKLEEYINKTSVAGSWSQNAIQATNAWLKEGLKQRCITRDLKWGVPVPHDNFKDKVFYVWFDAPIGYVSITKCYTDDWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPSGQGYGSIIPDAPSADSDLLTEKLAEKVGKCVEQYIEAMEKVKLKQGLKTAMSISSEGNAYLQESQFWKLYKEDQPRCAVVMRTSVGLVHLLACLLEPFMPSFSLEVFKQLNLPPETHISLCDDKGDIDRARRPWEIVPIGHKIGKPEPLFKELKDEEVESLRKKFAGSQADRKEREEAEAVKVAAQLKKMKVSDNSGKKKQQATKSAAEAEISISRLDIRVGLITKAQKHPDADSLYVEEIDVGEGQTRTVVSGLVKYIPIEEMQNRKVCVLCNLKPATMRGIKSQAMVLAASTSDHATVELVDPPKAAQVGEKVTFPGFVGEPDEVLNPKKKVWETLQVDLHTNTDLVACYRDIPLTTSAGVCTVSSICGGSIR >ONI22713 pep chromosome:Prunus_persica_NCBIv2:G2:20275823:20278460:1 gene:PRUPE_2G146400 transcript:ONI22713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPHKTEDLFHHHFHHHHHDLGPPPSQIMQSPPSISLNPDDVVLDDPVFPPLPEIDLFRSSSSSPSHSSDENDDVPNPNPNRPDPNSDPKSQPFYISPEPHISTQFYTFNPESHSLMIKCLLEGRLANPAEIRAATPRPVLKSWRVVWKDRNEDTAYVTAWKRIQDKLAAHVDQNGNEFLCFKNNNQQFVSHINQWQDIVMSFHSDTDLKHLALKETIDRIKQVWTVGAKFYGIPESYIRVCVAACPVCSSENSGLSGRSKRRRFEYTESFDVPAKEVPTRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPAAKKSKILKREPYASKRCGCGFRIRAIVPIANYNEKDKTFVYQEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGGLLMDQDTVYGVSEELDNDGFGLLGKDEGDMQFLVLQQVQELRAEVGQLEEKIVKLPQELLGSVSRDLFDLVNKVRQIGEESSKPMDLLPDKTLADDILVGDNDLAHWSDHHHERLYGDGKDADLIEDDEDSFGRTLGDAVPWEQLRADCRSPKDLIGDPCKPEKWLRCSDFDEKSILNCEDTKLTKSLRHDEGIAGDVGLVGIQVDSFYQGSSKWFDSPCGLDPGADCGDSAFRNGEIV >ONI21816 pep chromosome:Prunus_persica_NCBIv2:G2:14352357:14353244:1 gene:PRUPE_2G090900 transcript:ONI21816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQFMNCLCWAVQNECFFKWPQHPGCTLLPCGPMYVWLLVLGFCPRVAFMKVHFSDFAVVVTGKKELLEFTAQPFLLL >ONI25433 pep chromosome:Prunus_persica_NCBIv2:G2:29005218:29006083:1 gene:PRUPE_2G303200 transcript:ONI25433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGNEDSRRKRNRCFLYIAAGIILQTIIIVLFVVFVMRIKTPKVRLDSVAVDSLTANSSPSSPSFKVQINALVTVKNKNFGHYKFEGSKVTFSYKGTAVGEGTIAKAKAKAKRTKKINVTVSLNSNKVSSHSQLSSDLSSGNLTLTAYAKLDGKVHLFKVIKKKKSANLNCTVHVDTKAKVVHVLTCK >ONI25244 pep chromosome:Prunus_persica_NCBIv2:G2:28494023:28500541:1 gene:PRUPE_2G291400 transcript:ONI25244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGLISGGSGNSGGGRARVVADIAPHTPYMPSGAIAQPHFLTSPVPNSMRSSSSLSLSIKNMDGHSELGLIAENFDPGIIGRMRDDEYESRSGSDNFEGASGDDQDAGDEQRPRKKKYHRHTPSQIQELENFFKECPHPDEKQRLELSRRLSLETKQVKFWFQNRRTQMKTQLERHENIILRQENDKLRAENGVMKDSMANPVCNSCGGPAIPGQISFEEHHLRIENARLKDELNRICTLANKFLGRSISSLASPISLPNSTSGLELGVGRNGIGGLSAGGSGLPMGLNLGDGVSSSSPMMPLIKSSTGMLGNEVPYERSMYIDLAMAAMDELVKMAQADSPLWIKTSDGGTEILNHEEYRAFSCIGTKPSNFVTEGTRDTCMVIINSLALVETLMDANRWAEMFSCLVARASVIDMISSGMGGTRNGALQVMHAELQVLSPLVPVRPLKFLRFCKQHQEGVWAVVDVSIDINQEGSSTNAFLNCRRFPSGCIVQDMPNNCSKVTWIEHSEYDENTVHHLFWQLLRSGMGFGAQRWLATLQRQCECLAFLISSTNSIEDHTGLGTNGKKSMLKLAQRMIDNFCAGVSASSVRKWDKLCVNNVSEDLRVMARKSVDDPGEPAGIVLSGSTSVWLPVSRHRLFDFLRDEQLRDQWDVLSKTHKMQLMLRIAKSQGGGNCVSLLRANVIDANENTMLMLQESWSDASGALVVYAPVDPASMSAVMRGGDSAYVALLPSGFAILPGGPPGYGMVKTEGNGCDDGGCFLTVGFQILGSNYPAAKLDVQSINTVNTLVSHTIEKIKSALQVP >ONI25841 pep chromosome:Prunus_persica_NCBIv2:G2:29942330:29944387:-1 gene:PRUPE_2G322900 transcript:ONI25841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLRCPQAVAPLPWRARRPNNSRGTIPMGPRQVSNIRAFGRSDIGSFARDAWRSANDGFERFLFEAKKTAERLDRRYALSHRFDTIAQSAAARAREIDRDLEIGTRWRAFSMDFSRNLPRYRKQLNDFLETPLGRSFATIFFLWFALSGWLFRLLIFATWVLPFAAPLVIGAVANNLVIKGACPACKRQFVGYKNQVIRCASCGNTVWQPKGDFFSRDGRGPSSSKSEPEIIDVEFEEK >ONI25843 pep chromosome:Prunus_persica_NCBIv2:G2:29942301:29944387:-1 gene:PRUPE_2G322900 transcript:ONI25843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLRCPQAVAPLPWRARRPNNSRGTIPMGPRQVSNIRAFGRSDIGSFARDAWRSANDGFERFLFEAKKTAERLDRRYALSHRFDTIAQSAAARAREIDRDLEIGTRWRAFSMDFSRNLPRYRKQLNDFLETPLGRSFATIFFLWFALSGWLFRLLIFATWVLPFAAPLVIGAVANNLVIKGACPACKRQFVGYKNQVIRCASCGNTVWQPKGDFFSRDGRGPSSSKSEPEIIDVEFEEK >ONI25842 pep chromosome:Prunus_persica_NCBIv2:G2:29943113:29944238:-1 gene:PRUPE_2G322900 transcript:ONI25842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLRCPQAVAPLPWRARRPNNSRGTIPMGPRQVSNIRAFGRSDIGSFARDAWRSANDGFERFLFEAKKTAERLDRRYALSHRFDTIAQSAAARAREIDRDLEIGTRWRAFSMDFSRNLPRYRKQLNDFLETPLGRSFATIFFLWFALSGWLFRLLIFATWVLPFAAPLVIGAVANNLVIKGACPACKRQFVGYKNQVIRCASCGNTVWQPKGDFFSRDGRGPSSSKSEPEIIDVEFEEK >ONI24672 pep chromosome:Prunus_persica_NCBIv2:G2:26722911:26723961:-1 gene:PRUPE_2G254300 transcript:ONI24672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGGGSHTDLKGYFYAQLEGFKMAHSILDHPLHGCHVKLVSSPLANCSDLSNVNNGLYGAPLRSENKRLLGRNYEAVIYAAGPLAFRPSHCSNTHS >ONI24106 pep chromosome:Prunus_persica_NCBIv2:G2:25154860:25155285:1 gene:PRUPE_2G224100 transcript:ONI24106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYLSSDYISSISKEGYFDPHFRNGRLAEKSDLYSFGVVLAEQLTSQKPVSPPGASDVNLASLFRTSVEEGPLDQILDVQIIVDEATYKTAEKVADLAKRCLGLQRKARPFMEQVAVEFGGLRKSMADYQGGEDVEPSFS >ONI21691 pep chromosome:Prunus_persica_NCBIv2:G2:12624011:12625379:-1 gene:PRUPE_2G081400 transcript:ONI21691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQMAVQKQRHFPEKRTFLRQRVEARLASLLMESKEYSEALSVLSGLIKEVRRLVDKLLLVDIYLIRVSSISL >ONI23756 pep chromosome:Prunus_persica_NCBIv2:G2:24057820:24060897:-1 gene:PRUPE_2G206200 transcript:ONI23756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIIKRGHRKSPKPEPTDLEFEPESQPITLSLSMEPLPLLRDVAVLDRPALFLKKLQLCSFYCDFSNSLKWVLEKELKRQTLMELVDFIDSGSCKLTGPMQEELIRMVSLNIFRCLPPASDLLEPDEDEPYQEPSWPHLQIVYELLLRYIASPETDAKVAKRYIDHIFVLRLMELFDSEDPREREYLKTLLHRIYGKFMVHRPFIRKAMNNVFYRFIFETQRHCGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKSISAYHHQLAYCIIQFVDKDYKLAELVVKGLLKYWPVTNCQKEVLFLAELEEVLEATQAAEFHRCMLPLFRQIGRCLNSPHFQVAERALYLWNSEHIVSLIAQNRNMILPLIFEALEKNTQGHWNQAIHGLTANVRRMFQEMDPELFEECQEQYLEKETRAREMEEQRELTWKRIEAVAATAGGEDMVLVS >ONI23755 pep chromosome:Prunus_persica_NCBIv2:G2:24057307:24060878:-1 gene:PRUPE_2G206200 transcript:ONI23755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIIKRGHRKSPKPEPTDLEFEPESQPITLSLSMEPLPLLRDVAVLDRPALFLKKLQLCSFYCDFSNSLKWVLEKELKRQTLMELVDFIDSGSCKLTGPMQEELIRMVSLNIFRCLPPASDLLEPDEDEPYQEPSWPHLQIVYELLLRYIASPETDAKVAKRYIDHIFVLRLMELFDSEDPREREYLKTLLHRIYGKFMVHRPFIRKAMNNVFYRFIFETQRHCGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKSISAYHHQLAYCIIQFVDKDYKLAELVVKGLLKYWPVTNCQKEVLFLAELEEVLEATQAAEFHRCMLPLFRQIGRCLNSPHFQVAERALYLWNSEHIVSLIAQNRNMILPLIFEALEKNTQGHWNQAIHGLTANVRRMFQEMDPELFEECQEQYLEKETRAREMEEQRELTWKRIEAVAATAGGEDMRKGMGKQERDSCRACEEEVLYS >ONI23802 pep chromosome:Prunus_persica_NCBIv2:G2:24211670:24215731:-1 gene:PRUPE_2G209000 transcript:ONI23802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAISLRRLGAAAAVATAASGAFLIQPSYSTSDRGSGPASIAAVRQRISDRNAVVPPRAVQESALIAATAENPLDILVVGGGATGSGVAFDAVTRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQVIENAPHLCHALPCMTPCFDWFEVVYYWMGLKMYDLVAGLRLLHVSRYYSAQESVELFPTLARKGNNKSLKGTVVYYDGQMNDARLNVGLACSAAVAGAAVLNHAEVVSFLKDEASNRTIGARIRDNLSGKEFDTYAKVVVNAAGPFCDSVRKLADKDVKPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTIAGTTDSNTPITLLPEPHEDEIQFILDAICDYLNVKVRRTDVLSAWSGIRPLATDPSAKNTESISRDHVVCEDYPGLVTITGGKWTTYRGMAEDAVNAAIKSGKLTPQNGCLTSKLQIVGGDGWDPASFTVIAQQYTRMKKSHGKVVPGVMDTAAAKHLSHAYGAWAERVAAIAQNENLGKRLAHGYPILEAEVAYCARNEYCESAIDFIARRSRLAFLDTDAASRALPRVIEILASEHNWDDSRQTYELKKAKEFLETFKSSRNAQFHDGKHV >ONI25050 pep chromosome:Prunus_persica_NCBIv2:G2:27843267:27845297:1 gene:PRUPE_2G277500 transcript:ONI25050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFLIFLLCLSMHACEARYIGLGHKGFGASLQQSGKGVLLVVEKFRLGMDSTGGSVKKEDGAAEKGHGAIIQDSMTRATKGLKFTSRAQKSGMEKAGGDQIVESSGHHMGLLKATSTTTTNKEEARRRRSVLLSVNKEAVDSKSNDKVENNVVFTDYNPPHQTPPIHNKRT >ONI20432 pep chromosome:Prunus_persica_NCBIv2:G2:1349916:1350234:-1 gene:PRUPE_2G015200 transcript:ONI20432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTMTASSLGGATLSSCSPITAPEEQKVKINCDTKKEDKNGSCSAAGIALADGPKPGTPPPHHNPTTPPRGREARKAFASVCVIMPAAKICRR >ONI21028 pep chromosome:Prunus_persica_NCBIv2:G2:5259943:5260803:1 gene:PRUPE_2G046200 transcript:ONI21028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVAENIGLRSLQGFFHVTARGEFTVVYRFLFFYKQIVANKGEGNLLQVVI >ONI21145 pep chromosome:Prunus_persica_NCBIv2:G2:5768840:5774832:1 gene:PRUPE_2G050000 transcript:ONI21145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIAGPSPKMVAVEAAIRLGASWVILDRQMKKDKQFFMEKLSCGISRMKRNNSVEQLRGPKPFGRSKQVTERRSNSVTKKRSKDRHVKYDEMIPGSPDEELSPKRSPSLRMTSSGKEQDGDGSGHPWPNHRKSTSSSEPLLTTRMSSAGNTETITSCLPCHYQEEESTTNTERGKAGEHSPFPIAESEARVQKETDNAGSPDEYKQHSYKDDWIGECQTDEEFKNSICTVCKNKRPTIGWKRDFTHAELLGATDGFSATKYLSEGGFGSVYKGDLNGLKIAVKQHKNASCQGEKEFESEVHVLSKARHENLVMLLGSCSEGNHRLLVYEYVCNGSLDKHLSNHSRRPLSWDKKIKIATGAARGLQYLHANNIIHRDVRPNNILVTHDYESLLGDFGLARSQHEDSDRTSDTTRVVGTLGYLAPEYAENGKVSTKTDVYAFGVILLQLITGMRPTDKKLGGKSLVGWARPLLKERNYPDLIDPRNVDCHDVHQLYWMVRVAEKCLSRDPHKRLTMDTVVNALNYLNDANPVCSIGDFSPAQSESAGSMPGSSESHGDHGDYINLEVTPTLEYIHHQSTSSETSSLSTVSEQSSLGSSASTEEKKENPE >ONI21144 pep chromosome:Prunus_persica_NCBIv2:G2:5768759:5774832:1 gene:PRUPE_2G050000 transcript:ONI21144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSHRTDSANFSFPPTPNFPPFLSFSVGYRTKMDSSSIFGTNQKVMEEELERKAEEYRNKEEIAMISQQCERAKVEFNMEVIAGPSPKMVAVEAAIRLGASWVILDRQMKKDKQFFMEKLSCGISRMKRNNSVEQLRGPKPFGRSKQVTERRSNSVTKKRSKDRHVKYDEMIPGSPDEELSPKRSPSLRMTSSGKEQDGDGSGHPWPNHRKSTSSSEPLLTTRMSSAGNTETITSCLPCHYQEEESTTNTERGKAGEHSPFPIAESEARVQKETDNAGSPDEYKQHSYKDDWIGECQTDEEFKNSICTVCKNKRPTIGWKRDFTHAELLGATDGFSATKYLSEGGFGSVYKGDLNGLKIAVKQHKNASCQGEKEFESEVHVLSKARHENLVMLLGSCSEGNHRLLVYEYVCNGSLDKHLSNHSRRPLSWDKKIKIATGAARGLQYLHANNIIHRDVRPNNILVTHDYESLLGDFGLARSQHEDSDRTSDTTRVVGTLGYLAPEYAENGKVSTKTDVYAFGVILLQLITGMRPTDKKLGGKSLVGWARPLLKERNYPDLIDPRNVDCHDVHQLYWMVRVAEKCLSRDPHKRLTMDTVVNALNYLNDANPVCSIGDFSPAQSESAGSMPGSSESHGDHGDYINLEVTPTLEYIHHQSTSSETSSLSTVSEQSSLGSSASTEEKKENPE >ONI22515 pep chromosome:Prunus_persica_NCBIv2:G2:19224219:19227676:1 gene:PRUPE_2G134400 transcript:ONI22515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGNPQSRYVKLTREQEAPTEDINPGELNQPIQIPQLSVDKCAECGQPLPERYQPPADEDWTTGIFGCAEDPESCWTGLFCPCVLFGRNVETIREDIPWNNACVCHAMCVEGGIAVAAVTGFFHGLDPKTSVLICETLLFAWWMCAIYTGLFRQSLQKKYHLKDSPCDPCLVHCCMHWCALCQEHREMRNHLSDNTSNTMTLVAPPPVQEMNSGENKDAASSSESTGHEHNDAASSAESSDHKNTNMELALQPV >ONI22516 pep chromosome:Prunus_persica_NCBIv2:G2:19224219:19225913:1 gene:PRUPE_2G134400 transcript:ONI22516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGNPQSRYVKLTREQEAPTEDINPGELNQPIQIPQLSVDKCAECGQPLPERYQPPADEDWTTGIFGCAEDPESCWTGLFCPCVLFGRNVETIREDIPWNNACVCHAMCVEGGIAVAAVTGFFHGLDPKTSVLICETLLFAWWMCAIYTGLFRQSLQKKYHLKVNFSSYIS >ONI22517 pep chromosome:Prunus_persica_NCBIv2:G2:19224876:19227729:1 gene:PRUPE_2G134400 transcript:ONI22517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYHILSLLFFLLVLMIMFSIGQLSVDKCAECGQPLPERYQPPADEDWTTGIFGCAEDPESCWTGLFCPCVLFGRNVETIREDIPWNNACVCHAMCVEGGIAVAAVTGFFHGLDPKTSVLICETLLFAWWMCAIYTGLFRQSLQKKYHLKDSPCDPCLVHCCMHWCALCQEHREMRNHLSDNTSNTMTLVAPPPVQEMNSGENKDAASSSESTGHEHNDAASSAESSDHKNTNMELALQPV >ONI23186 pep chromosome:Prunus_persica_NCBIv2:G2:22008554:22010303:-1 gene:PRUPE_2G173800 transcript:ONI23186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKNAKVSPLLFSSHAPAAEDLQAHVCQLNQSPWDVISFGPDSNQLEESFYVNNNGSFGDSLGAAESVASMMDIGKEKAVQMEQEPVADDKKDSNSKELLDDDNEEEGRRSSYACCQKNDGKGWHCKKRAKLGQSFCNHHLSLLRSNHNTNIASNNKGPREAASKVLSAGARRSRAKAKRGSSSNPYEFYYYSGFGPLWGRKRGGDKEKEEPKIDEDRVTTTTSTTPPTQSSSSQIDEKKFEYVDDYDDDEDDFDDDVRSGESGRKRMRKPVKARSLKSLM >ONI20626 pep chromosome:Prunus_persica_NCBIv2:G2:2701650:2708488:1 gene:PRUPE_2G026200 transcript:ONI20626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKMEEYLRKNFDVEPKRPSDEALMRWRSAVAVVKNPTRRFRMVADLAKRAEDERKRKNLQEKIRVAMYVQKAALQFIDAGNRGRYNLSKEVRDAGFGIEPDEIASFARSHDNKGLEGHGGIAGLAGDVSVSLKDGVVSSKIPVRQNIYGLNRYVEKPSKGFWMFFWEALQDLTLIILMISAAVSIGVGIATEGWPKGMYDGLGIILSILLVVMVTAVSDYKQSLQFKELDKEKKNIMVQVTRDGRRQKVSIYDLVVGDIVHLSIGDQVPADGIFISGHSLQVDESSLSGESEPVDISEDKPFLLAGTKVQDGSGKMLVTSVGMRTEWGRLMVTLSEGGDNETPLQVKLNGVATIIGKIGLAFAVLTFLVLTSRFLVNKAVQHRMTHWDSSDALKLLNYFSIAVIIIVVAVPEGLPLAVTLSLAFAMKKLMTDKALVRHLSACETMGSATSICTDKTGTLTTNHMVVNKIWLCDETKNITSNHDKDVLKPVSEEVYKLLLQSIFLNTSSEVVKGEDGKNSIIGTPTETAIIEFGMLLGGDFKSYGEGYKTLMVEPFNSVRKMMSVLVALPGGGRRLAFCKGASEIVFKMCDKVVNTTGEAVPLSEEQRNKISDAINGFACEALRTLCLAFKNVEDDSGENSIPEDQYTLIAVVGIKDPVRPGVREAVKICLNAGITVRMVTGDNINTAKAIAKECGILTEGGLAIEGPDFRNKSQQEMAEIIPKLQVMARSLPLDKHTLVKQLRDGFREVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFTSIVNVARWGRAVYINIQKFVQFQLTVNIVALMLNFISACVSGSAPLTAVQMLWVNLIMDTLGALALATEPPNDGLMKRPPVGRNINFITGIMWRNIIGQSIYQIAVLLVLKFCGIRLLKLTGANANSILNTVIFNSFVFCQVFNEINSRDMEKINVFRGMFDSYTFMMVMIATVVFQIIIVEFLGTFAQTVPLSWEFWLASVLIGAASLPIAVVLKFIPVSIKKQTVRDQEDIYEPLLRGPQLA >ONI21902 pep chromosome:Prunus_persica_NCBIv2:G2:15290821:15292233:-1 gene:PRUPE_2G097700 transcript:ONI21902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTLPRCMVLKSRYNAKYLSYVKDDGEIHGFLKFSGEELVSPYAMFHVEMAKGGKGLVHIRCSYNNKYWVRWSVNHYWIVAGANEPEEDQSKWSCTLFEPVYVDDKDPAQGVRFRHVQLGHYACLWRVDPPQDSCLYAGSENPNTELCDACLIVDWETVLVLPKHVAFKGDNGKYLSASMFNGNPFLQFSSNDIGQSSVGNEVFSNGDGSVRIKSNLSGRFWRRSPNWIWADSNLDGNEINKDMLFWPIKLDNNKVALRNLGNDNFCVRLTTDGFDSCLNAGDPSIIKEACMELEELVVSRSIYNINFRLLDSRIYSQRVVTMANGDAVNQTREQNTIDLKLSYKDTRSTTWNSSVSMKMGLKTNVETGVPLIAKGEIEISAAFGTQIQWGETDTSESVAETVYKVAVPPMSVVKVSLMATRGSCDVPFSYTQRDMLTNGKQVTHTMDDGVYSGVNSYNFKYETKQESL >ONI25506 pep chromosome:Prunus_persica_NCBIv2:G2:29210899:29212967:-1 gene:PRUPE_2G307400 transcript:ONI25506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCNMHGGEKMSLINELAQGRELARQLQIYLNFPSSSHGTRESLVQKIIVSYEKALSMLNSSSSASGGEQQLPTGHAAIRMIESPPPSLNGSPLSEDSDREFKDQDNKDSSRKRKTLPRWTQQIRVTSGIGLEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTHRNAQCCLATKQVQRSDEDPTVFEITYRGRHTCTQASTSTTSAPPPPPPPPPQNSMDIVDPQQNQQQPQDLLLAIPQGLTVVTEGLDAGDQELFPYNPLNHNYAGHFSPQFMGPATSGTQYFSASEQGFGGGSQSFQGAECEIAEILSSSTSATNSPAALGFPFGQADQNLYPNFSFDGPGFFSS >ONI24088 pep chromosome:Prunus_persica_NCBIv2:G2:25058012:25059447:-1 gene:PRUPE_2G223200 transcript:ONI24088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGICVSFFIILVGILLMLSMCKIIELLKRIATSQHILAIHNNNAPSKLAPRKFSAREISWATDYFYDGRIIGRGGKGIVYRGVLQDKSVVSIKKFKLDVPSRIVSKIFVNEVTILSQIKHRHIVRLLGYCADLGGETLVCEFINNGTLYEHIHGKSKGSSTLSFQMRMKIAVEISAALEYLHSSSSTSKNISIVHLNVNSKRILLDKNYRARLANFREAKVIPQDQTQIQGTLRGLAGYLDPESKKSKKLSTKNDVYSFGVVLVELLTSQKAFCGEKPKGQRGLAKLFARSVEEGRWDQILDGKIIKAENLETAKKVVDLAKSCLGLQNERPSMREVAMKLEVMAWDYSAAKGLAKLNFSPSPKETDELLGSPSNAQLLHVRREHDEYFGRAELYDDSSLQNQIEINVELV >ONI22644 pep chromosome:Prunus_persica_NCBIv2:G2:19788161:19788802:-1 gene:PRUPE_2G141800 transcript:ONI22644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSFSLLLILLSILCLLSSVEPSFASHPQQPRRSKARAYIEASCRTTRYPKLCVHCLSTYIKPNMTIQSPQQLAQVALSVSLYRAQYARAYVLKVTRELKELKAREYQVVHDCLNQINDSVAQLSESIKELRRLGQATVGDDLFWHISNVETWVSTALTDAYTCVDELPGKNMSKLKATIKGKVLNVAEVTSNALTLFHRFAQRYRAAKKP >ONI22027 pep chromosome:Prunus_persica_NCBIv2:G2:15824747:15826116:1 gene:PRUPE_2G102400 transcript:ONI22027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEAIPKPKHEKHNQTQASSNETSLLSGLPNHLAQLCLAKINPSILFSVCHSWRRLIYSPSFTPFFSLYALLSPPPDHSPNSPIQFSSLDPISNTWTSLPSPPSDPPLHLLHRHPAFLSRKLPIQTLSVSGCLVLVAATTHQFTPALPRPLVFQPLSKQWSFGPALPKPRRFCAAGTVGGKVYVASGMGTTYRGDVARSMEEWDMKGKEVSASWVKKAGLKDGRFSREAVEAIGYRGKLWMVNVKGNAIKEGAVYDVETDTWQDMPQGMLGGWNGPTATSINDDDHHHDHDAMYVVDESKGVLSKYVDENDCWEVVMDSVVLKEAEQVCAGRGRVCVVCANGTRIVVVDVVAPLPRIWVAEPPPMLEVVAVHILPRMCRLD >ONI23165 pep chromosome:Prunus_persica_NCBIv2:G2:21974722:21977601:-1 gene:PRUPE_2G173300 transcript:ONI23165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPISRLLLLLLLLLLQTLLLITTIEEPIEEFSAENETQFFNRPQVSNFPIASNPTPNSLTESSLLASLQYPILGKSKVVVFGNSTLSSANKTFSLGFISTNGDKPNWYLGIRYASIPVPTCVWVANRERPIKNLTFSSLEISGSGRLVVKDPGNSIVWSSTNTEIGVDVQLLDSGNLVLLTQGGTVAWQSFDYPTDTWLPGMNLTSERALTSWRSYRDPSPGSYSLRLRPPNYGEFELLFNGTVVYWRTGNWTGNGFINVPEMLVPYIYKFHFIDPFKPTASFGFTEESLDNGLDPPLTRFQMDVSGQLRQFTWATQSWNMFWSQPENKCRVFGLCGAFGVCSGEALRPCECLAGFNPVDEPSWNYGDYSGGCHWMAEDGESCDERGDGFDKVGVVSYEGSYFKSYRTTLDDCQSTCLEICSCIGVNYDGNSTVCKVYYGSLSNLKNLSSDGAAGEVFYLKVQKKLKVEIKKQLNPVVLSASIVGSVAGLGFVVVLVMVLIWRREKKKKEIKEGVVQLQQASNLKVFSYKELHTATRGFSDKLGHGGFGAVFHGELSDSTVVAVKRLDRPGGGEKEFQAEVCTIGNIQHVNLVRLRGFCSENSHRLLVYEYMPNGALSAYLRREGPNLSWDVRFRLAVGMARGIAYLHEACRDCILHCDIKPENILLDSDYTAKVSDFGLAKLLGRDFSRVLATMRGTWGYVAPEWISGVAITTKADVYSYGMTLIELLGGRRNVEARRSEGGREGGGEKTDSWFFPPWAAQQIIEGNVRAVLDDRLGCTYSIEQAERVALVAVWCIQDSEAMRPTMGMVVKMLEGVVEVTVPPAPKLLQALVSGESFCGIKADSGIGLSNGSDFSGYNTRLSSCGSESSLGNGSSLVNEIEHDNQRSSVAFTDQG >ONI22775 pep chromosome:Prunus_persica_NCBIv2:G2:20545221:20546349:1 gene:PRUPE_2G150400 transcript:ONI22775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDLPQLLIIHPPLSLIHIESQLSRKFHLLGAWESELPLDKFLTTYARSVQAMLSSPITRVNADLLRLLPGLKLVATPTAGVNNIDLVECRQRGISVTTSGSAFSEDVADIAVGLLIDVQSKSQQQIGGKRVGIVGLGKIGSEVAKRLEAFGCIVSYNSRRKKQALPYLFYSNVHELAANTDALIICCALTDQTRHMINKEELVRCLVHGEIGGAGLDVFENEPHVPQELFALDNVFLSPHKAALTPESFERSNRIVIANLEAFFSNKPSITPFNG >ONI25811 pep chromosome:Prunus_persica_NCBIv2:G2:29886204:29893444:1 gene:PRUPE_2G321600 transcript:ONI25811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCATFGVGNPIVSLSPTPLATLTLTKFSNRANFLKRSSISSANYQSHKISQAHYDSLRVLEWDKLCDSVASFARTSLGREATKAQLWYLNQTYEESLRLLDETNAAVEMRKHGACSLDFSGLNVVLVQSAIQHARRSSPLDGNEALAVAALLQCAEVLQSNLKVAIKEDADWYTRFMPLSPVILGFVINRSLVKQIQQVIEEDGSVKDSASPTLKRLRNQVRTLEGKINQLMNSLIRDDSETPSLEVSTVDGRWCIKSSASELTSFKGLLLPSSSGIESIVEPLSAIPLNDELQRTRALVSEAEAEVLLMLTEKMQMDLDNIEQLSNSIIQLDVVNARATYGLAFGGTCPNLFLPGGLGSFTSDTYLSGNRHPQQSDPSKNEWVLYLPKAYHPLLLHQHRQNLQKARKDLKIATMEIKRKLQGEYVTQKAGKNIDISSLELKAIKLEQVKPIPVDFFIAQKTRVLVITGPNTGGKTICLKTVGLAAMMAKSGLHVLCSESVQIPWFDSVFADIGDEQSLTQSLSTFSGHLKHISDIQSQSTSHSLVLLDEVGAGTNPHEGAALGMSLLESFAETGALLTIATTHHGELKTLKYSNNAFENACMEFDDVKLKPTYRILWGVPGRSNAINIAERLGLPGKVVDNARELYGAASAGIDEVIIDMERLKQGFQKLLYEGQHHLMLSRESYEKLLVAKRKTMEHSNDQRLRKMREISEAAAMARSILHKKVRQHRASLVQPLQPALTHKSQHKLETNSQRTTDDKHQMERRSASFLSSSEKFELPKVGNVVFVSSLGKKATVLKVEPSKEEIVVQAGNMKLKLKLDDIKT >ONI24381 pep chromosome:Prunus_persica_NCBIv2:G2:25841557:25843528:1 gene:PRUPE_2G237400 transcript:ONI24381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGKPDFFYREAQRLGYVARSAFKLLQIQKQYKLITPGSSVLDLGCAPGAWLQVACQSLGPVKSGGLVVGIDLKKVKVPAAHCDSRVQTVCADVMKLPKAQVRELSPQQKGFSVILSDMCPLVSGITSKDAALSLELGRQALDLAVGGAALAHPKNEIQMEASNDGAITSSDVNGVLRRGGHLVIKLLESEDVQEFSRICKPLFRKASLLRPKATRPSSREIYVICQGLQSQAKI >ONI23555 pep chromosome:Prunus_persica_NCBIv2:G2:23275229:23276493:1 gene:PRUPE_2G194600 transcript:ONI23555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEKSLRNFHLHLPHLHLHHHHHSHHGGKKQGIRDVPKGCLAIKVGQGEEQQRFVVPVIYFNHPLFIQLLKEAEEEYGFDQKGTITIPCHVEEFRYVQGMIDREKSLHHPHHHHHHHVVGCFRV >ONI21206 pep chromosome:Prunus_persica_NCBIv2:G2:6168240:6169565:1 gene:PRUPE_2G053200 transcript:ONI21206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFSDFFAQDFKFHSTQGFLAMMISIIMKRVSNLSKGQLRSSNSEVTIRTQQFFFINPQKFLAMMIEIIITKVKNLSEAWLRSKNSSSVFKFALHDDFNHHVLWHSLFHHAPPNKLFQEQRIPQIMDVEMNPPWDQNQKSEGL >ONI24278 pep chromosome:Prunus_persica_NCBIv2:G2:25581725:25583957:-1 gene:PRUPE_2G232100 transcript:ONI24278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVDSTSGQDHALTLASRQDELMRKTSKIKKSLHKIREMEKSLGELARIWEMENSQGELGAKEKYQPTLLALLDLKKLISKELCLLRPNRAGSCKHGVIHPYPSLPMEGMRVNQGKDKSVFLMVNFVRGKYTDAIYKIKFKFGGELKHMGAPEPVAKFSSFDVRAARIFERSQLYVFTEKGSDKLRLRSFGGFILNTKTGNLDPLTESAIKFKPRGTFVSAYGTVYFLEEKCPWVRSRSLGFGKYNPDKKDWERMPLFPFSYRYSMMVTGYAVCYSLILYILSDIHRNLDVVAFHVARKDWIRVEVDTSTPFQGRAVVVGETIYAINLYNAEEIIAYSLKRKEDDDGGTTYSLVQLFKLNGLEIADPPLQFGELVSAYLVHLGNQDFVHVKTATNKECDEVQHVCITTFQIVQGRRHMIETLHSTVLPMNIETCNWFSLTLCFTPECADYEPVEAESAASTKQPKQEDEIILDESSFMWEEEASTK >ONI21682 pep chromosome:Prunus_persica_NCBIv2:G2:12469989:12474521:1 gene:PRUPE_2G080900 transcript:ONI21682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSADAAVVEVLDSSNYVDWSVLVKNYLLAQDLWDVVEQDEEEEDEEEEEADDKFKAWRKKNATALHKIQILCGREAFSLIRNATSAKRAWDTLAEKFKRKPDAAIAAKHDPYQPFFAAVKLGDWRKAKEFLTRDPNAIRARYSTGGTALHIATKFGHEHIVEELVQLMTPEDLEMQDVTWTALHLAARLNLKMVECMVRKNKKLLGIVEESHRLTPILFAAKNDLWDIVRYLYSVTPIQDLMPENGPYGAGLVCFCLLAKQFDIAWELLQRCPRLVITKDVVGTSPIRALAGIPSAFPSGTPLKFWEKWIYDGIHIQHPPAIHDVHVNVENLEEKLGNQNISFSVFGFTQGPSSSLCKLLGINRICEMKLIHARSLDILDYMCEVVKHLDTQEMEDGLVYAAIFRAVQRGIIEFVIRLCKVDPDILWKTNSMGRNIFQYSIECRQEKVYSLIYGVGQRNLIATFSDASGNDMLHLAGMLSPTEKLDLISGAALQMQRERQWFKEVKSLVVLPSGVGAFNKQGMRPHELFTQNHNKLKEEGEKWMKDAATSCTVVGALTITIMFAAAFTVPGGNNGGTGFPLFLDEKMFLVFIVSDAISLFSSTTSVLMFLGILTSRYAEDDFLKSLPTKMIIGLSTLLISIASMMVAFCSALFLMLHEKLWIVIPIIFLSSVPVTLFIWMQFPVLVEIFISTYGGGIFDKKVRRWI >ONI21153 pep chromosome:Prunus_persica_NCBIv2:G2:5863087:5865069:1 gene:PRUPE_2G050700 transcript:ONI21153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGISYGKLFLLIGATAAVVAGRLAGRSIGYVQLARGQFDNVMQQSQAHQVHKELQDAFAQLESIRYEVRSISLMNPGPMARRLMDNPQDPASLSGCSILSSHTLLDDTFRTSDSPNLHSQATAYAKLAESDVVKTGSLKSSAEQENLKDESGLFIVLPISAESTGMLPNRKENVKGTDIVLEALVEAEVARNAKDFFSQPENQIQ >ONI23606 pep chromosome:Prunus_persica_NCBIv2:G2:23493938:23497873:-1 gene:PRUPE_2G198000 transcript:ONI23606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKQRWKEREKEKQTWDYRSQLSSGGFLYCHAQHVLPPLHKGHTACQPKPTYTSQDYYQQQKKNPQVMAMQLRSFLLGILLLIGFAFTNSEADIRAPPTHFDIASLNRSSFPEGFVFGVGSGSYQYEGAAKEGGRGPSIWDTFTHKYPEKISDRSNGDITVDQYHRYKEDVEIMKNIGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPLIVNHFQDYAELCYKEFGDRVKHWSTLNEPHTFTYYGYATGSLAPGRCSAWQQLNCTGGDSSTEPYLVAHHLLLAHATAVKLYKNRYQASQNGVIGIELNSDWFEPLSEVKENKKAALRALDFMFGWFAEPLTSGDYPQSMRSRVGSRLPKFTKEQSKLLIASFDFLGLNYYTGYYASDAPQNNSAFPSCTTDAGVNISSERNGVLIGPKAASAWLNVYPQGIRHLLLYIKEKYHNPIIYITENGVDEFNDPKLSLAEALNDTNRIDYYNRHLYYVQSSIENGVKVKGFFPWTLLDDFEWSSGFTIRFGITYVDYNDMLKRYPKLSAHWFKSFLKKY >ONI23607 pep chromosome:Prunus_persica_NCBIv2:G2:23493703:23497969:-1 gene:PRUPE_2G198000 transcript:ONI23607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLQKKVVEDQAFGIPSPTNIQEDVEIMKNIGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPLIVNHFQDYAELCYKEFGDRVKHWSTLNEPHTFTYYGYATGSLAPGRCSAWQQLNCTGGDSSTEPYLVAHHLLLAHATAVKLYKNRYQASQNGVIGIELNSDWFEPLSEVKENKKAALRALDFMFGWFAEPLTSGDYPQSMRSRVGSRLPKFTKEQSKLLIASFDFLGLNYYTGYYASDAPQNNSAFPSCTTDAGVNISSERNGVLIGPKAASAWLNVYPQGIRHLLLYIKEKYHNPIIYITENGVDEFNDPKLSLAEALNDTNRIDYYNRHLYYVQSSIENGVKVKGFFPWTLLDDFEWSSGFTIRFGITYVDYNDMLKRYPKLSAHWFKSFLKKY >ONI25479 pep chromosome:Prunus_persica_NCBIv2:G2:29140359:29143782:1 gene:PRUPE_2G305900 transcript:ONI25479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKMQGRASSARVFRSRIPTLLVSMFATFASIYVAGRLWQDSENRVYLIKELDRIIGQGRSVISVDDTLKIIACREQHKKLSALEMELAGARQEGFTSMRSTEINGTDYKRRPLVVIGIFTTFGRKNNRDAIRKAWMGTGAALKKMENEKGIIARFVIGRSANHGDSLDRAIDNENRQTKDFIILDTHLEAPEEFPKKAKLFFAHAAEEWNAEFYSKVNDDVYVNIDALGATLATHLDKPRVYMGCMKSGEVFSEPSQKWYEPEWWKFGDKKSYFRHASGEMYVISQALAKFVSINRGILRTYAHDDISVGSWFIGIDVKHVDEAKFCCSSWTGGAICAGV >ONI20440 pep chromosome:Prunus_persica_NCBIv2:G2:1413565:1418756:1 gene:PRUPE_2G015700 transcript:ONI20440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTFCVCVWCGVRDLKNPWGISRTTSSATIQRCLWSLMISVTGLILRTAVRYNRYQAVKDHLKFTFTHATGHLLRLRCQDPSSFCKRHGNGFQEKEESNFS >ONI22609 pep chromosome:Prunus_persica_NCBIv2:G2:19649726:19651566:1 gene:PRUPE_2G139300 transcript:ONI22609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNAFATIALLLFLNLVFFTTMSSNDNVPDCQCSPTPPKTPKHPPPSTPTPKHPPPSTPTPKNPPPTPTPSPPKASCPKDTLKLGVCGNLLKLGADCCGDPTKDPFCQGKPKEKPKMHTLTCLCPAIKANVLGINLNVPVSLSFAFKLLW >ONI24988 pep chromosome:Prunus_persica_NCBIv2:G2:27630021:27632480:-1 gene:PRUPE_2G273500 transcript:ONI24988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAEYYRSLPPVSKTYGVACFMTAAALYLQLYNPSNIELDYGLVIKRFQVWRLITSFFFLGPFSFPFAFRLIIIARYGVGLERGPFDKRTADYVWMLVFGAISLLVMAVVPYLFSPFMGPSVVFMIVYVWSREFPNARINIYGLVSLKGFYLPWAFLGLDLIFGDPLKPDILGMVAGHLYYFLTVLHPLAGGKLMLKTPLWVHKLVSFWGEGTQINSPVERNPHAGVAFRGRSFRLNGRTTTPLQTTQEPFNNQQPAAADGVAFRGRSQRLDGR >ONI20902 pep chromosome:Prunus_persica_NCBIv2:G2:4270219:4272539:1 gene:PRUPE_2G039600 transcript:ONI20902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAIDKLRNLMVMEEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGWGICTRVPLIMRLQHHSDPEPELSLEYNGRVEHIDEDNISEDIVNATNLIAGDCKGISDTPLTLLVKKNGVPDLTMVDLPGITRVPVRGQPLNIADQIKDMIMKYIKPDESIILNVLSASTDFSTCESISMSRSVDKTGERTLAVVTKVDMAPEGLLEKVTADDVHIGLGYVCVRNRIRDETYEEARTIEDRLFESDPFLSKIDKSVVGIQVLADKLVQIQAASIARNLPDIVKKINDKLNSYLSELNKMPKKLSSVAEAMTAVMQIIGTSKESLKKILVRGEFDEFSDEKHMHCTARLVEMLNKCSDQLHECNESDPKSNFLKEEIAILEEAKGITLPNFVPHNASLIVLQGKVRGILSIPIGFVEQVWSYIEDVVLSVLIRNTENYYHVQLSARRAGHNLIEKMKERSINWMAEIVEMEKLTDYTCNPEYISEWHRLMTEQETFIKKVLHDYLILGPNAKININVEGIGMVEVGGLRKYPHVLLSQAFDLKMRMTAYWNVVLRRLIGIMALHLQLSVSNLVNKDVEIEIMNELLGPSHGGGIERMLEEPPSIAVKREKLIKSIKKLKESKEVVCKIMDDRFTHADYLV >ONI20653 pep chromosome:Prunus_persica_NCBIv2:G2:2881737:2887784:1 gene:PRUPE_2G027700 transcript:ONI20653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSKLFVFGSFSEDETRSLLQKQSPGKAEKPVEKNVLQFGSINFVTKISSGECNGESSRPQNSVKGLSKVQPLSSLNKQNEVEAVKAANDSLPASLTTPTENGCTDNYKNGSAHSNGVKEATKDNIDVASLPLSNNEGGLSNKFSSLELQNREQNGWVDDLSASKVKGELQKCLNGPVTVSTVLLPRGLINSGNLCFLNATLQALLSCSPFVQLLQELRTRKVPKVGYPTLGAFAEFVSEFDMPSGSSSKNKDASVLETGRPFSPAMFEGVLKIFTPDVPTSISGRPRQEDAQEFLSFIMDQMHDELLKLEGQPSSINGRKSSLVSSAEEDEWETVGPKNTSAVTRTQSFVPSELSDIFGGQLRSVVKARGNKASATVQPFLLLHLDIYPEAVRTIEDALKLFSAPETLEGYRTSAAGKAGVVSASKSIKIQTLSKIMILHLMRFGYGSQGSTKLHKPVHFPLELVLGRELLVSPTTEGRKYKLVATITHHGREPSKGHYTTDALYTNGQWLRFDDASVTAIGTGKVLHDQAYVLIYKQL >ONI24933 pep chromosome:Prunus_persica_NCBIv2:G2:27429742:27432677:1 gene:PRUPE_2G269700 transcript:ONI24933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTESDETADTPPLTNTIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYTKFIMYPHCLFFLEQLQNANFRNAMAHPVNKELAHRQQFYFWKNYRNNRLKHILPRPLPEPVAAPPTPAPPQQPVPPVPATTVSVTANAPAPSPMQYVAPPGSALAKNEARNSGVDRRKRKKEG >ONI24930 pep chromosome:Prunus_persica_NCBIv2:G2:27429716:27432756:1 gene:PRUPE_2G269700 transcript:ONI24930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTESDETADTPPLTNTIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYTKFIMYPHCLFFLEQLQNANFRNAMAHPVNKELAHRQQFYFWKNYRNNRLKHILPRPLPEPVAAPPTPAPPQQPVPPVPATTVSVTANAPAPSPMQYVAPPGSALAKNEARNSGVDRRKRKRMVKN >ONI24931 pep chromosome:Prunus_persica_NCBIv2:G2:27429742:27431510:1 gene:PRUPE_2G269700 transcript:ONI24931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTESDETADTPPLTNTIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYTKFIMYPHCLFFLEQLQNANFRNAMAHPVNKELAHRQQFYFWKNYRNNRLKHILPRPLPEPVAAPPTPAPPQQPVPPVPATTVSVTANAPAPSPMQYVAPPGSALAKNEARNSGVDRRKRKKEG >ONI24932 pep chromosome:Prunus_persica_NCBIv2:G2:27429716:27432741:1 gene:PRUPE_2G269700 transcript:ONI24932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTESDETADTPPLTNTIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYTKFIMYPHCLFFLEQLQNANFRNAMAHPVNKELAHRQQFYFWKNYRNNRLKHILPRPLPEPVAAPPTPAPPQQPVPPVPATTVSVTANAPAPSPMQYVAPPGSALAKNEARNSGVDRRKRKKEG >ONI22754 pep chromosome:Prunus_persica_NCBIv2:G2:20439642:20441382:-1 gene:PRUPE_2G148900 transcript:ONI22754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKGRRRREKNYNAAHGGYTRLPPPPDYSQADALPSKLRKLLAFTKSHDPQDSSFKVVKAAERKKRENGVDAAEPKPTDEVDFETGFEDEGDAGNLEPQHTDEKRKKKRKRKQVHDLRFETEASKQSETRLKRKERKKRYLEAKKNKKRKGKKEENIDFPGREEIKFGDVVQAPPKLVVPKALKNVQDASKERVRVKAIEAYRQRKGWNARPGIQLPPPVTSTAL >ONI22601 pep chromosome:Prunus_persica_NCBIv2:G2:19558805:19560384:1 gene:PRUPE_2G138500 transcript:ONI22601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTSAHCLLLFLLCLSLHACNARRLGAVEKKPENKLHLVNKSTKEKGSDDNIPAVAKVKSFSSKAAPEVAKERSTAETHSDNSINTKEPTHTKASDVSRKTKRSASGAVEHHPTTVDADDDNKSSFDSVSWRVPHKKREVQKQPGFNLDYSPPKTHPPSHN >ONI24484 pep chromosome:Prunus_persica_NCBIv2:G2:26150851:26151741:-1 gene:PRUPE_2G242600 transcript:ONI24484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVILRYICIVGLFAIVGNNWGFEIEGVDGAGECGQLDPEVLAYKLAPCAAAAQDKNVEVPPNCCALMQKVRTSCLCAIILSKEAKSLGITPVVAVTIPKRCKIAGRPRGYKCGAYVVQA >ONI25923 pep chromosome:Prunus_persica_NCBIv2:G2:30145127:30147514:1 gene:PRUPE_2G327000 transcript:ONI25923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNILGSIVILLLWVCADAAVFLSIDCGASDSYTDSLSINWVGDDDYVHSGESHLVELSSSTPHVASTLRVFTSLRKNCYAINSVNMIGQRLLVRASFYYGNYDGNNSPPTFDLYFDSTYWTQVNLTGVSDTIVNYEVIYTVNKNNTSICLAQTLPRQFPFISALEFRSLAPKMYTRVASDYALFRQKRLALGAQQLIRYPDDSYDRRWVPGYGLFAYQVEGEARISKMDVSGAEDNPPAAVVQNATTGNNTSEYFELYTYLPDFPVQVYIATFFSEVDPAALTSPNKRSFEIYVDEQPYSKPIVPPFESVVEVAITNITASSKTSITLRPTADSTLPFLINAFEVYLLSKVGPATDTRDVEGLGVLQVQFEVLIIWSGDPCLPLSSSWEWVQCSTGNIPRIIALNLSSFDLVGPLPDFSSMDALVTIDLHDNSLNGPIPDFLGSFPNLKRLDLSNNRFNGTIPTSLSKNKKLELVVTGNCLSGMSCPPPPPPKTPPPPPTTTSTETTETTPPPPPPYNNQRTPPPPADVSQFGSGSTDDSKNNALLSILVAATIQALLLITYFP >ONI25924 pep chromosome:Prunus_persica_NCBIv2:G2:30144417:30147514:1 gene:PRUPE_2G327000 transcript:ONI25924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGQRLLVRASFYYGNYDGNNSPPTFDLYFDSTYWTQVNLTGVSDTIVNYEVIYTVNKNNTSICLAQTLPRQFPFISALEFRSLAPKMYTRVASDYALFRQKRLALGAQQLIRYPDDSYDRRWVPGYGLFAYQVEGEARISKMDVSGAEDNPPAAVVQNATTGNNTSEYFELYTYLPDFPVQVYIATFFSEVDPAALTSPNKRSFEIYVDEQPYSKPIVPPFESVVEVAITNITASSKTSITLRPTADSTLPFLINAFEVYLLSKVGPATDTRDVEGLGVLQVQFEVLIIWSGDPCLPLSSSWEWVQCSTGNIPRIIALNLSSFDLVGPLPDFSSMDALVTIDLHDNSLNGPIPDFLGSFPNLKRLDLSNNRFNGTIPTSLSKNKKLELVVTGNCLSGMSCPPPPPPKTPPPPPTTTSTETTETTPPPPPPYNNQRTPPPPADVSQFGSGSTDDSKNNALLSILVAATIQALLLITYFP >ONI22349 pep chromosome:Prunus_persica_NCBIv2:G2:17977475:17985273:-1 gene:PRUPE_2G123400 transcript:ONI22349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEQKAGAELSVMGRGRKRSGKDPEAGFGENDRGLEKKEGFLENQNGGTKWVGEEGDGVALSLKKKKRGGALAGEKVVEGGDGAKWEEGKGNWVAPTWKKYSRRRKRDRDLVPEKVGEGDRGQGQGSTGFSGKEVEGASAKKRGRQSKKIEKVKASERGMEKLVSGNCIVNKKSAYVEEVSLMCHQCQRNDKASVVRCRRCKRKRFCISCLKKWYPQMSEDAIAEACPVCRGNCNCKACLRLDFDKILCCKFCISEDKKVEHSKYLLQVLLPFLKRVNDEQMIEMEIEARRQGLSLSELKIQRSDSSYGDRVYCNNCKTSIIDLHRSCPICSYDLCLICCREIRDGHLLGELPSETSHTCRTGSTSERKANLDGSIFCPPENKDGCRQSFLELRCMFSENDVRELVKKAEEIAQTYKFTHAAGISAQQCSCSNSAGVLDLSSNKLRKAASREGSDDNFLYCPRAGDIQHEEFKHFQCHWIRGEPVIVSNVLETASGLSWEPTVMWRACREMKRTRHKKHLEVKAIDCLDWCELDIGIHKFFTGYSKGRFDRQMWPQMLKLNDPSLDDFFKERLPRHCAEFICYLPFEEYTHPHRGFLNLAPDMGPKTYIAYGVSEELGRGDSVTKLHCNSCDVVNILTHTAEFTLTPKDIENMKRLKKKHIEQDRREINKAGGGRAANDKKFFFEVDNQNKGAAFHELTDPAVQPDGDSCVSSLNAGSFKEGSKSEKNREDVPKLQDYLRKHYKEFRHTYCCPLPQVIHPIHDQTFYLTLEHKRKLKEEYGIEPWTFIQNLGDAVLIPAGCPHQVRNLKSCIKVALEFVSPENVGECIRLTDEFRTLPQNHRAKEDKLEVRRLL >ONI25513 pep chromosome:Prunus_persica_NCBIv2:G2:29232600:29239526:1 gene:PRUPE_2G308000 transcript:ONI25513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLASAREVRMYGPRMGRNRAEYINAGLYVFATVVLLGGFVAQLSKAPKSGLVLMLIALVIILVVNLHDLVAHLAMFDYSMPLMELDLQLALVEFAVPVIQALGSVLSFLGILFLLIQAEKGYGYSKFERHAKNMLIAGPVLWLLGSIHNSCQIYERADGHVQILQQSVHIPFLIGSVLFTVGAILNTREQHDLFRHGLQLLGRNWVWLGTGGSLMFLIGGLANVAKVFKMQQMSDGLRLEKLRGGAQERLVYEREGHVPLILEDQRRRSNRPSYHDDDHHHVEPSVAPPVPPTPYKDGLVGEGLVYRMASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTAADVAGNVLLVTIEGDDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKTALDSRSIPRYLLPDHVGPCTLRITYSGHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSGQISVGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGPVQKIAMFDKNGGVQALIQYPDVQTAVVAKEALEGHCIYDGGFCKLHISYSRHTDLSIKINNDRSRDYTIPNIPIVNSQPSILGQQPVQMMSPAVPQFNGAQFAPTDQAVMPQPSAGWGPTVPAVPQSVPLQMHNQPYMPPGTLPLPSQMGPGMMQSGAPPATLPPYHRGHVQ >ONI22333 pep chromosome:Prunus_persica_NCBIv2:G2:17911447:17913500:1 gene:PRUPE_2G122200 transcript:ONI22333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVTITSPPLSLHHHSFPPNIWFTATNCNNCKNHQTFKMKALTRRQQKTPTFAENDAFPDSLPLHTKNPHAIYKDIQSFARRNKLKEALSILDYLDQQGIPVNATTFSSLIAACVRTRSEDHGKQIHTHIRINGLESNDFIRTKLVHMYTSFGSVEHAQQLFDESSSKSVYSWNALLRGTVISGGRRYRDVLRTYTEMRALGLELNVYSFSSVMKSFAGASALSQGLKTHALLVKNGFIDSSIVRTSLVDLYFKCGKIKLAYRVFEEFGERDVVVWGTMIAGFAHNRRQREALEYARMMVDEGIRPNSVILTSILPVIGDVGARKLGQEVHAFVLKTKSYSKQIFIQSGLIDMYCKCGDMDMGRRVFYHSKERNAICWTALMSGYVANGRPEQALRSVIWMQQEGFKPDLVTVATVLPVCAELKDLKRGKEIHAYAVKNCFLPNVSIISSLMVMYSKCGIFKYSRRLFDGMEQRNVILWTAMIDSYIDNGCLYEALGVIRSMLLSKHRPDSVATARILTICNGLKNLKLGKEIHGQVLKKDFESIPFVASEIVKMYGHCGAVDHAKSAFNIIPVKGSMTWTAIIEAYAYNGMYRDAIDLFDEMRSKDFTPNHFTFQVVLSICDRAGFVNDASRIFHLMSRVYKVKVSEEQYSLIIGLLTRFGRVKEAQRFLQLSSSL >ONI23208 pep chromosome:Prunus_persica_NCBIv2:G2:22101047:22106154:-1 gene:PRUPE_2G175200 transcript:ONI23208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSASAGDHNIKGVPAHGGRYVQYNVYGNFFEVSRKYVPPIRPVGRGAYGIVCAAVNAETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQPLNDDHCRYFLYQLLRGLKYVHSANVLHRDLKPSNLLMNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRRPLFPGKDYVHQLRLITELLGSPDDSSLGFLRSDNARRYVRQLPQYPKQSFSGGFPNMSPGAVDLLEKMLVFDPNRRITVDEALCHPYLAPLHDINEEPVCPMPFNFDFEQPSFTEENIKELIWRESVKFNPDPTH >ONI23209 pep chromosome:Prunus_persica_NCBIv2:G2:22101047:22106004:-1 gene:PRUPE_2G175200 transcript:ONI23209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHENVIAIKDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQPLNDDHCRYFLYQLLRGLKYVHSANVLHRDLKPSNLLMNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRRPLFPGKDYVHQLRLITELLGSPDDSSLGFLRSDNARRYVRQLPQYPKQSFSGGFPNMSPGAVDLLEKMLVFDPNRRITVDEALCHPYLAPLHDINEEPVCPMPFNFDFEQPSFTEENIKELIWRESVKFNPDPTH >ONI23210 pep chromosome:Prunus_persica_NCBIv2:G2:22101047:22103153:-1 gene:PRUPE_2G175200 transcript:ONI23210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSQYFLYQLLRGLKYVHSANVLHRDLKPSNLLMNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRRPLFPGKDYVHQLRLITELLGSPDDSSLGFLRSDNARRYVRQLPQYPKQSFSGGFPNMSPGAVDLLEKMLVFDPNRRITVDEALCHPYLAPLHDINEEPVCPMPFNFDFEQPSFTEENIKELIWRESVKFNPDPTH >ONI21821 pep chromosome:Prunus_persica_NCBIv2:G2:14400902:14413501:1 gene:PRUPE_2G091200 transcript:ONI21821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNHLLLEEPIRMASILESSKSTFFPAMSRIVGTLGINSQSVETISACLKAGMSVARFDFSWGDAEFHQKTLENLKIAIKSTKKLCAVMLDTVGPELQVVNKSERPVSLQEETLVVLTPDQDKEATSNLLPINFSGLSKVVKKGDTIFIGQYLFTGSETTSVWLEVTEVNGEEVVCLIKNSATLSGPLYTLHVSQIRIDLPTLTDKDKEVISTWGIRNNIDFLSLSYTRHAEDVRHAREFLSKLGDLNQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAILDVEVFMDMQFQTYCWSSMILPSYYLSPHCGLIFHVLMYTLSNSCYSFKLLSVSSFSAEKVFNQDLYFKRAVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRVKTNQLRWKFSGAFEARQSLIVRGLFPMLADPRHPAESTGATNESILKVALDYGKSSGVIKSHDRLVICQKIGDASVVKIIELED >ONI21819 pep chromosome:Prunus_persica_NCBIv2:G2:14400902:14413650:1 gene:PRUPE_2G091200 transcript:ONI21819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNHLLLEEPIRMASILESSKSTFFPAMSRIVGTLGINSQSVETISACLKAGMSVARFDFSWGDAEFHQKTLENLKIAIKSTKKLCAVMLDTVGPELQVVNKSERPVSLQEETLVVLTPDQDKEATSNLLPINFSGLSKVLKKGDTIFIGQYLFTGSETTSVWLEVTEVNGEEVVCLIKNSATLSGPLYTLHVSQIRIDLPTLTDKDKEVISTWGIRNNIDFLSLSYTRHAEDVRHAREFLSKLGDLNQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAILDVEVFMDMQFQTYCWSSMILPSYYLSPHCGLIFHVLMYTLSNSCYSFKLLSVSSFSAEKVFNQDLYFKRAVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRVKTNQLRWKFSGAFEARQSLIVRGLFPMLADPRHPAESTGATNESILKVALDYGKSSGVIKSHDRLVICQKIGDASVVKIIELED >ONI21820 pep chromosome:Prunus_persica_NCBIv2:G2:14400902:14413650:1 gene:PRUPE_2G091200 transcript:ONI21820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNHLLLEEPIRMASILESSKSTFFPAMSRIVGTLGINSQSVETISACLKAGMSVARFDFSWGDAEFHQKTLENLKIAIKSTKKLCAVMLDTVGPELQVVNKSERPVSLQEETLVVLTPDQDKEATSNLLPINFSGLSKVVKKGDTIFIGQYLFTGSETTSVWLEVTEVNGEEVVCLIKNSATLSGPLYTLHVSQIRIDLPTLTDKDKEVISTWGIRNNIDFLSLSYTRHAEDVRHAREFLSKLGDLNQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAILDVEVFMDMQFQTYCWSSMILPSYYLSPHCGLIFHVLMYTLSNSCYSFKLLSVSSFSAEKVFNQDLYFKRAVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRVKTNQLRWKFSGAFEARQSLIVRGLFPMLADPRHPAESTGATNESILKVALDYGKSSGVIKSHDRLVICQKIGDASVVKIIELED >ONI25464 pep chromosome:Prunus_persica_NCBIv2:G2:29079878:29083241:-1 gene:PRUPE_2G304800 transcript:ONI25464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTITSGTISSIFAVLFVLLTTSAICCLSAGISSNIRCLETQKNALLQFKQGLVDNSNVLGSWESKKDCCQWRGITCSNQTGHVTMLDLYYNSSDIYNLETPLSGVIAPSLLELRYLNYLDLSFNNFEGKIPDFIGSLSQLKQLKLAGASLSGPVPPQLGNLSHLYTLDLAHNSVTFENLEWLSHLSSLRYLNMSSLNFSKVVNWPHSISKLPSLVELQLSSCSLPNVNLRSLSFINSSTSLEVLELSDNFLNSSIFYWMANISTSLVHIGLMGDQLQGLIPDVFSNMVSLTSLDLSYNQLEGGIPKSFRNLCRLESLNLWENKLSDKLQDSIENLSCAQDTLESLQLSGNPFWGPFPDNLTRFSSLNELYMDGTNMSGPLPKSFQQLYQLRSLSLVSNQFSGPLPDFTGLSSLRQLFLSKNQLTGSLHESIGQLSSLEFLDISVNSLNGVFTEVHFLNLSRLQFLDVSYNPLSFNLSPYWNPPFQLNSLHMSSSNVGPDFPKWIQTQRKLTALGMSNSGISVSVPNEFWDLSSNLLELNLSMNQIHGKFPNLSSKNCTFSTIDLTSNQFSGPLPPFPSNISNVLLSKNMFSGPLSSLCATQAPKLYNLDLSENLLSGELPDCWIQYQALHSLNLAKNNFSGKVPSSLGQLTYVVLLRLHDNNLSGELPPSLKNCTELRVVDLGANKLSGNIPAWIGPSLTNLLVLRLRSNEFYGSIPLSLCSLPALHVLDLSQNNISGALPHCLPNITALSSVSPKVEDNWILGFVQLVWKGIEIEFGENLKHLRSIDISNNNLNGDIPQSITSLLKLISLNLSRNSFTGVLPSNFGQLEMLESLDLSRNQISAGRIPISFSSLHYLSVLDLSQNNLSERIPLSTQLQTFNASAFMGNLGLCGPPLTPECPGDGATQDPAVPNGDGGDKTKQEDDGLISFGFYVSMVLGLIIGFWGVCGTLLLKSSWRYAYFRYLDNIKDRITMHKAKLQRRLQR >ONI22519 pep chromosome:Prunus_persica_NCBIv2:G2:19240525:19243814:-1 gene:PRUPE_2G134600 transcript:ONI22519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFVGNLDVDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFISYDSFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPTSKSRPHTLFASGPPTLANGPQSNGTMGAPVPPRPFANGGVGLPPLRPPPPQGMAFPPMQMGVQPTWQGQPQQPGQMPPPQMQQFRPPPPNMPQPPPQGAPAPPRSLPPPMAMGNQQPMWRPPPPQMRPPNMQHASMPPPPPLNNPPLPPPMS >ONI21456 pep chromosome:Prunus_persica_NCBIv2:G2:9299422:9302426:-1 gene:PRUPE_2G066800 transcript:ONI21456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDASCEDTELVPVPEPSYAREIRQLASLPPVSDAGSLELKLRRLDGFQKRKWAFSDTSSVMPLRKIMIMDFKLTYPSGAATLANDKAHERNLEGHKNPPSNRVVTKGPSTVQIIAPRN >ONI24415 pep chromosome:Prunus_persica_NCBIv2:G2:25968620:25972276:-1 gene:PRUPE_2G239400 transcript:ONI24415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTSPTRADQPKASNLFTFPEKIRDYLVFSLRVGLLLCFLASISLAIFSAFSPNSHWLSIPSRTQAQPESRTSGPEPGYERTNISHILFGIGASIATWRERSSSTNLWWDPKSTRGFLWLDAKPDNQTSNVSGSIPYRVSEDWTRFKYSSSQSAVRIARIVYESFKLRVPNVRWFVMGDDDTVFFTHNLVSVLARYDHNRMYYIGGNSESVEQDVMHAYEMAFGGGGFAISYQLAARLVERMDGCLERYYNFYGSDQRVWGCVSEMGVPLTKLRGFHQFDIRGDAYGILAAHPLAPLVSLHHLDALKPLFPNQTHLDSVKSLLRAYWVDPGRILQQSFCYDHRRKWSMSISWGYTIQLYPSMVAALDLQTPLRTFKTWRSWNDGPFTFNTRPMSSVPCEQPLIFFLDHVKVSKSGLTVTTYKRFEANGGEQCDKEEYIHARAMQKIIVSSNKMDPQYWTKEEAPRRECSEIKNRDGFWHVNMEIRIRACRPSESIFM >ONI22094 pep chromosome:Prunus_persica_NCBIv2:G2:16439893:16442575:-1 gene:PRUPE_2G106500 transcript:ONI22094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLRLKISAKVRPLRHSITTVSFSTSASASPSISTVDLLDSYTVTPPIEPWPRRLHPKRLISLITRQPNLDLALQIFHHASKFHPGFSHNYHTYHAILNRLSRSRAFHLKIDPLLSDLSNSGIKCSDDLFITLIRNFGVLGRPKLSFKTFLDIPKFGAQRSAKSLNALLNALVQNHEYGLVHSVFKNCGQRFGVRPNVFTCNILIKALCQKDDVETALKVLDEMPAMGFVPDVVTYTTVLGGYVSRGDMVGAKRVFGEVLDRGWHPDATTYTILMDGFVKQGKLVDAVKVMDEMEENKVGPNEVTYGVMIEAYCKAKKSGEAVNLLNDMIEKRYVPSSALCCKVIDVLCHEGKAEDGCELWKRLLKNNCTPDNAISSTLIYWLCKEGKVWEAKKLFDQLKTDLIPGVMTYNMLIAGLCEVGELCEAGRLWDDMVEKGCAPNAFTYNMLIKGFCKIGKAEEGIRMLEEMLDKGCLPTKSTYGMLIEGLCDLRKEDEVTKVISLAMSNGDIESASWDILLTKFVGDLDNGGAVMDKILVENVN >ONI24961 pep chromosome:Prunus_persica_NCBIv2:G2:27556909:27557652:-1 gene:PRUPE_2G272200 transcript:ONI24961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQHFQQPLHFQGSYGQQQPRSYQVAKAATAVTAGGSLLVLSGLVLAGTVIALTIATPLLVIFSPVLVPALITVALITMGFLTSGGFGVAAVTVLSWIYKYVTGKQPPGADQLDQARHKLAGKARDIKDRAEQFGQQHVPSGQQQSS >ONI21868 pep chromosome:Prunus_persica_NCBIv2:G2:14976512:14979353:-1 gene:PRUPE_2G095000 transcript:ONI21868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSILESSTIENEAIETQYLQKGVRHLCERGLTRVPSKYILPINERPNLGDTRVNAGNLNLKLPVIDFTQFQGSDRCQAIHSLEKACREFGFFQLVNHGIKDDVILRMVNVSRRFFELPFDERAKYMSKDMASPARYGTSYNQSNDKVFCWRDFLKLSCQPLEDTVPCWPSSPEDLREAVVSYSKSTNFLYLMLMEAILESLGLEETTESAERKSRSIEEFEDGSQLIVANCYPACPEPDLTLGMPPHSDYGLLTLLLQDEVEGLQIQHEGRWVTVEPLPNSFVVNVGDHLEIFSNGRYKSVLHRVLVNSCKSRISVASLHSLPFNSMVRPSPKLIDQANPLRYKDTDFATFLRFVSSHDMNAKTFLESRKLTS >ONI23729 pep chromosome:Prunus_persica_NCBIv2:G2:23975186:23981425:1 gene:PRUPE_2G204600 transcript:ONI23729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALSPTMSQGNIAKWKKKEGDKIEVGDILCEIETDKATVEFESLEEGFLAKILIPEGSKDVPVGQPIAITVEDADDIQNLPANVMGGSEVKEDIPPQQNVKKEDGVQDTSSVGINTSELPPHILIEMPALSPTMSQGNIAKWKKKEGDKIEVGDVICEIETDKATVEFECLEEGYLARILAPEGSKDIAVGQAIAVTVEDAADLEVVKNAVFSGSAVKEEKPIHQDTRDATRSEKTSVKRISPAAKLLITEHGLDTSSLKASGAHGTLLKGDVLAAIKSGLGSTIVSSKEKTAPSAQVHPKTSAPASTESRSSKQTDSFEDFPNSQIRKVIATRLLESKQNIPHLYLSSDVILDPLLSLRKDLKERHNVKVSVNDIVIKAVAVALRNVPEANAYWDAEKGEVFLCDSVDISIAVATEKGLMTPIVRNADQKTISAISSEVKELAEKARAGKLKPNEFQGGTFSISNLGMFPVDHFCAIINPPQASILAVGRGNKVVEPVIGSDGIERPAVVTKMNLTLSADHRVFDGKVGGSFLSALCSNFSEIRRLLL >ONI23730 pep chromosome:Prunus_persica_NCBIv2:G2:23975191:23981425:1 gene:PRUPE_2G204600 transcript:ONI23730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALSPTMSQGNIAKWKKKEGDKIEVGDILCEIETDKATVEFESLEEGFLAKILIPEGSKDVPVGQPIAITVEDADDIQNLPANVMGGSEVKEDIPPQQNVKKEDGVQDTSSVGINTSELPPHILIEMPALSPTMSQGNIAKWKKKEGDKIEVGDVICEIETDKATVEFECLEEGYLARILAPEGSKDIAVGQAIAVTVEDAADLEVVKNAVFSGSAVKEEKPIHQDTRDATRSEKTSVKRISPAAKLLITEHGLDTSSLKASGAHGTLLKGDVLAAIKSGLGSTIVSSKEKTAPSAQVHPKTSAPASTESRSSKQTDSFEDFPNSQIRKVIATRLLESKQNIPHLYLSSDVILDPLLSLRKDLKERHNVKVSVNDIVIKAVAVALRNVPEANAYWDAEKGEVFLCDSVDISIAVATEKGLMTPIVRNADQKTISAISSEVKELAEKARAGKLKPNEFQGGTFSISNLGMFPVDHFCAIINPPQASILAVGRGNKVVEPVIGSDGIERPAVVTKMNLTLSADHRVFDGKVGGSFLSALCSNFSEIRRLLL >ONI23728 pep chromosome:Prunus_persica_NCBIv2:G2:23972855:23981425:1 gene:PRUPE_2G204600 transcript:ONI23728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRLRHPVISRAPSLLRARLLSSSTRSLTRTFSVQNSTTLGDSTLLRPATLSMLTGVQDKLSKLKPWTCVKYYSTADPLHVVLTMPALSPTMSQGNIAKWKKKEGDKIEVGDILCEIETDKATVEFESLEEGFLAKILIPEGSKDVPVGQPIAITVEDADDIQNLPANVMGGSEVKEDIPPQQNVKKEDGVQDTSSVGINTSELPPHILIEMPALSPTMSQGNIAKWKKKEGDKIEVGDVICEIETDKATVEFECLEEGYLARILAPEGSKDIAVGQAIAVTVEDAADLEVVKNAVFSGSAVKEEKPIHQDTRDATRSEKTSVKRISPAAKLLITEHGLDTSSLKASGAHGTLLKGDVLAAIKSGLGSTIVSSKEKTAPSAQVHPKTSAPASTESRSSKQTDSFEDFPNSQIRKVIATRLLESKQNIPHLYLSSDVILDPLLSLRKDLKERHNVKVSVNDIVIKAVAVALRNVPEANAYWDAEKGEVFLCDSVDISIAVATEKGLMTPIVRNADQKTISAISSEVKELAEKARAGKLKPNEFQGGTFSISNLGMFPVDHFCAIINPPQASILAVGRGNKVVEPVIGSDGIERPAVVTKMNLTLSADHRVFDGKVGGSFLSALCSNFSEIRRLLL >ONI23731 pep chromosome:Prunus_persica_NCBIv2:G2:23975749:23981425:1 gene:PRUPE_2G204600 transcript:ONI23731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSEVKEDIPPQQNVKKEDGVQDTSSVGINTSELPPHILIEMPALSPTMSQGNIAKWKKKEGDKIEVGDVICEIETDKATVEFECLEEGYLARILAPEGSKDIAVGQAIAVTVEDAADLEVVKNAVFSGSAVKEEKPIHQDTRDATRSEKTSVKRISPAAKLLITEHGLDTSSLKASGAHGTLLKGDVLAAIKSGLGSTIVSSKEKTAPSAQVHPKTSAPASTESRSSKQTDSFEDFPNSQIRKVIATRLLESKQNIPHLYLSSDVILDPLLSLRKDLKERHNVKVSVNDIVIKAVAVALRNVPEANAYWDAEKGEVFLCDSVDISIAVATEKGLMTPIVRNADQKTISAISSEVKELAEKARAGKLKPNEFQGGTFSISNLGMFPVDHFCAIINPPQASILAVGRGNKVVEPVIGSDGIERPAVVTKMNLTLSADHRVFDGKVGGSFLSALCSNFSEIRRLLL >ONI22506 pep chromosome:Prunus_persica_NCBIv2:G2:19153282:19155542:-1 gene:PRUPE_2G133700 transcript:ONI22506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTFIMIKPDGVQRGLVGDIISRFEKKGFYLKGLKFINVDRPFAEKHYEDLSAKPFFSGLVDYIISGPVVAMIWEGKNVVLTGRKIIGATNPAESAPGTIRGDYAIEIGRNIIHGSDSAESARKEIALWFPDGPANWQSSVHHWIYE >ONI23538 pep chromosome:Prunus_persica_NCBIv2:G2:23201914:23204179:1 gene:PRUPE_2G193600 transcript:ONI23538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEMNGVDADQVQRVAQEINGQEKIDYVFKVVVIGDSAVGKTQILSRFTKNEFCFDSKSTIGVEFQTRTVTIKGKLIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQTFDHVARWVEELRAHADNSIVIMLVGNKADLGEQRDVPTEDAVEFAEDQGLFFSETSAFSGENVDTAFFSVLEKIYGVVSKKALECGNGNKSNGVALKGAKIDVISGSELEISEMKKLSACSC >ONI21702 pep chromosome:Prunus_persica_NCBIv2:G2:12932905:12942452:-1 gene:PRUPE_2G082300 transcript:ONI21702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAGAVVVEVLDSSNYVDWSVLVKTYLLAQDLWDDVVVEEEEYDDDEEEEADDKFKAWRKKNAKALHTIQISCGEEAFSLIRNTTLAKRAWDTLAEKFKPKPTYYNDYNQYNPLFDAVLSGDWNKAKECLTLHPNAIRATIPSTNNTALHMATELEHEHIVEELVQLMSEEDLELKDNEGWTALALAAQRGNIKMVECMVRKSKKILSIPTEEDNKTPILHASINEHWDVVDYLYSVTPLQDLMPEKGPYGATLLRYFIIGMKFDIARELIQCCPQLVFTKDQYGKFPMQGFMPSAFLSGTRLKFWQRWIYNCIHIERAISDIRISVRNEGNEKSNPTKITWSVVGFLQGLKSYLLEVLGINRIREIKQAHIQSLELLHHMCEVIKHRHGHDFLLPAIFTAIEQGMFEFTDSVLQARPDIVWRTNEMGRNPLMYATECRQEKIYSLIYRFDKRKRTFIATLADTYDNCLLHVAGMLSSLAKLDNISGAALQMQREVQWFKEVEDIGIPQIKESNNCDGMKPRELFTKNHKELAKEGERWMKGTASSCTVVGALIITIMFAAAFTIPGGNSGQTGFPIFLHKKLFTAFIVSDAISLFSSTTSVLMFLGILTSRYAEDDFLKSLPTKMIIGLSTLFISIATMMVAFSSALFIMIHEQSWIVIPMIFLASVPVTLFIWMQFPLLVEMYISTYGRGIFDRKVKSRA >ONI22196 pep chromosome:Prunus_persica_NCBIv2:G2:17180945:17185128:-1 gene:PRUPE_2G113600 transcript:ONI22196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPGILTDWPWTALGSFKHVILAPWVIHSTWLLVANDGKERDISYFLILAVVLWRILHNQIWISLSRYRTAKGNGRILDKGLEFEQVDRENNWDDQILFNALLFYTGSRYLPGGQHAPFWRAEGLLLTIVLHAGPVEFLYYWFHRALHHHYLYSRYHSHHHSSIVTQPITSVIHPFAEHIVYSTLFFIPILGTMLTRTTSVVSFTAYITYIDFMNNMGHCNFELIPNWLFSLFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPIYDYIYKTMDKSSDVLYETSLKREEETPDILHLTHLTTPESIYHLPLGFASLASRPYTSNWYLWLMWPVTFWSMILTWIYGRTFVVDRHRFDNLKLQTWAIPKYSLQYYLQWQNEAINGLIEEAIIQAEEKGVKVLCLGLLNQGEELNRYGGLYVHRHPNLKIRVVDGSSLAVAITLNTIPKGTTQVLLRGNLTKVAYAIAFALCQKGIQVVTLHKDEYLKLTKSFSSTESSLVLAKSYAHKIWLVGDGLSAEEQLRAPKGTLFVPFSQLPPKKLRKDCFYHYPPAMKTPTSLENIHACENWLPRRVMSAWRIAGIVHALEGWKEHECGYTMSNVDKVWQATLQHGFQPLIITPKLVDRLHQNIN >ONI20807 pep chromosome:Prunus_persica_NCBIv2:G2:3715666:3722386:1 gene:PRUPE_2G034500 transcript:ONI20807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQEDTVSFSDHKRCGFLCAVLTVTSPDHPDLRQILPFGTRFQFSPTGVSFTSRNDVVLSPIDENPNADDSTNNDSEQCEASSSSELGKKRKAPEVSKKIGMRKRSIGLVNGSISVVHQLHSLVMNKCLMIDARLVRVEAGANGEVRAVLLVDVYLTIALLSGWQFPRSGSVAGALFRHLSSDWAERSAMLMNGDYLENTVGTNRSIWNLSDCHVFGCKLHHNFSDSSKKRLFELHEIFKSLPSVATTGKPNSSRIQSCDDSCRSGISEISDDILLGILAVLSPIDLVRVSATCRHLRLLATSIMPCMKLKLFPHQQAAVEWMLQRERNADVLPHPLYMAFSTEDGFSFYINTISGEIITGVAPTVNDFHGGMFCDEPGLGKTITALSLILKTQGTLSNPPDGVHVNWCMHNGDQRCGYYELNGVHATDRNMLSEKRDMGQNAQTILAYSKYYRSKRARVLLDEQIPGFNNSCPGPSGKGIETAAGAYSDPAMCVVQCTRNLSRISKNLFPAFEVASSKSRKRKAGKNSSRMKHVSDGPGRVTQKKRAAMPHGLSNSHKRLGKVNGDNYDYNDTWVQCDACCKWRKLPESSSSDASAAWFCSMNADPFYQSCSVPEESWDNCRPITYLLGFCTKETSGGEEQNVSFFISVLKEHYALINSITKKSLNWLAKLPSDKLSAMETIGLRSPFISTCVTPGEDAYGFQKIFQAFGLKRRVEKGVNRWYYPRNLHNMSFDIAALRIALCAPLDSLRLYLSRATLIVVPTNLVDHWKTQIQKHVRPGQLRVYFWNDHRKPSAHSLAWDYDVVITTFNRLSAEWGPRKKSALMQVHWLRVMLDEGHTLGSSLSLTNKMQMAVSLMASNRWILTGTPTPNTPNSQLSHLQPLLKFLHEEAYGKNHKSWEAGILRPFEAKMEEGRSRLLHLLHRCMISARKVDLQTIPPCIKKVTFLDFTEEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSTTIGNVRLSCCVAGHIKVTDAGEDIQETMDILAEDGLDPTSEEYAFIKYNLLYGGNCIRCKEWCRLPVITPCRHLLCLDCVGLDSERCTYPGCGHLYEMETPDALTRPENPNPKWPVPKDLIELQPSYKQDNWDPDWQSTSSSKVAYVVQKLKALQEANSNVDCPLDDNNNAMRTDNLVCLSEMSNSKGLRQVHDFKRTTKTHETNLEKVLVFSQFLEHIHVIEQQLTIAGIKYAGMYSPMHSSNKMKSLAMFQHDASCTVLLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVVSRAHRMGATRPIHVETLAMRGTIEEQMLEFLQDADECRRFLKEEVGKSDPKGARTRRSLHDFAESNYLSQISFVRTNPM >ONI20806 pep chromosome:Prunus_persica_NCBIv2:G2:3715595:3721995:1 gene:PRUPE_2G034500 transcript:ONI20806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQEDTVSFSDHKRCGFLCAVLTVTSPDHPDLRQILPFGTRFQFSPTGVSFTSRNDVVLSPIDENPNADDSTNNDSEQCEASSSSELGKKRKAPEVSKKIGMRKRSIGLVNGSISVVHQLHSLVMNKCLMIDARLVRVEAGANGEVRAVLLVDVYLTIALLSGWQFPRSGSVAGALFRHLSSDWAERSAMLMNGDYLENTVGTNRSIWNLSDCHVFGCKLHHNFSDSSKKRLFELHEIFKSLPSVATTGKPNSSRIQSCDDSCRSGISEISDDILLGILAVLSPIDLVRVSATCRHLRLLATSIMPCMKLKLFPHQQAAVEWMLQRERNADVLPHPLYMAFSTEDGFSFYINTISGEIITGVAPTVNDFHGGMFCDEPGLGKTITALSLILKTQGTLSNPPDGVHVNWCMHNGDQRCGYYELNGVHATDRNMLSEKRDMGQNAQTILAYSKYYRSKRARVLLDEQIPGFNNSCPGPSGKGIETAAGAYSDPAMCVVQCTRNLSRISKNLFPAFEVASSKSRKRKAGKNSSRMKHVSDGPGRVTQKKRAAMPHGLSNSHKRLGKVNGDNYDYNDTWVQCDACCKWRKLPESSSSDASAAWFCSMNADPFYQSCSVPEESWDNCRPITYLLGFCTKETSGGEEQNVSFFISVLKEHYALINSITKKSLNWLAKLPSDKLSAMETIGLRSPFISTCVTPGEDAYGFQKIFQAFGLKRRVEKGVNRWYYPRNLHNMSFDIAALRIALCAPLDSLRLYLSRATLIVVPTNLVDHWKTQIQKHVRPGQLRVYFWNDHRKPSAHSLAWDYDVVITTFNRLSAEWGPRKKSALMQVHWLRVMLDEGHTLGSSLSLTNKMQMAVSLMASNRWILTGTPTPNTPNSQLSHLQPLLKFLHEEAYGKNHKSWEAGILRPFEAKMEEGRSRLLHLLHRCMISARKVDLQTIPPCIKKVTFLDFTEEHARSYNELVVTVRRNILMADWNDPSHVESLLNPKQWKFRSTTIGNVRLSCCVAGHIKVTDAGEDIQETMDILAEDGLDPTSEEYAFIKYNLLYGGNCIRCKEWCRLPVITPCRHLLCLDCVGLDSERCTYPGCGHLYEMETPDALTRPENPNPKWPVPKDLIELQPSYKQDNWDPDWQSTSSSKVAYVVQKLKALQEANSNVDCPLDDNNNAMRTDNLVCLSEMSNSKGLRQVHDFKRTTKTHETNLEKVLVFSQFLEHIHVIEQQLTIAGIKYAGMYSPMHSSNKMKSLAMFQHDASCTVLLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVVSRAHRMGATRPIHVETLAMRGTIEEQMLEFLQDADECRRFLKEEVGKSDPKGARTRRSLHDFAESNYLSQISFVRTNPM >ONI21009 pep chromosome:Prunus_persica_NCBIv2:G2:5024267:5025469:1 gene:PRUPE_2G044900 transcript:ONI21009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFCEPWKNALIIKLLGRSHTYNYLHDRLAPKWSLVGGWKLIDLMNDYFVVRFDLEEDLNFVLTRGPWMIAGHGNLLGKLLKIDSLTTSQNRGKFARLCVELDLNKPLDASVQINQNWYNIEYEGLPDICYLCGCYGHKRERCTLKSVNLSDEAGKAQGAGMGPMNRDSVGVTETVKMAEDSLLGPWMNMQARRNKGSRFDALNEIGEDFDISGLDNVNQVPTKVVPIFKFVEEVGKKVWTKSKHANSGSRPVLNDISNKNVQKVSNSKVLDKSSGSSVKFTMGASRYSRNMVVGGRKPSTLSAVTDHLESWGDGQHSHQEKEVYIFGHQPPNITTQSESHDEDTNFHEDASEYDDDNVLVPRGKLRRWIWLRAKLALLVEI >ONI20280 pep chromosome:Prunus_persica_NCBIv2:G2:642593:643106:-1 gene:PRUPE_2G006400 transcript:ONI20280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFSPILNCFMPLSNSQVSDDAGGSAKATSLKESKKSKSKSLSSSSSGAPIIVSYFPHNSYRSLL >ONI20506 pep chromosome:Prunus_persica_NCBIv2:G2:1952221:1952475:-1 gene:PRUPE_2G019800 transcript:ONI20506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKMGIKLVEILDQGVRIAARSYSHCPQTSRMYYHPPPPSHEDHHNLHNFGGGATTSGGDAATAQMRCFGPKKADTNEFIILFSV >ONI23556 pep chromosome:Prunus_persica_NCBIv2:G2:23277857:23278451:1 gene:PRUPE_2G194700 transcript:ONI23556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSGVAVFFLLLGLALTQCMATDDAKSPSPPTPAHPGFSWWHPDPKLIKCMGDYRAAAGTCYREVCVSFWTQKVTIQEDCCKAIVQLDGDCSSAVFSRFNNPFFQLLLKEHCSNKGESSAPAPPKATPSPPKA >ONI21450 pep chromosome:Prunus_persica_NCBIv2:G2:9184349:9185635:-1 gene:PRUPE_2G066300 transcript:ONI21450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAYIPAFLAGVASFVLYPQENIRILLLCSALTIHFFKRIFEVLFVHNYSGGMILDSVLAISLSYFLSTATVTYAQYLTQGFPEPSVDLKYLGCLLFLIGISGNFYHHYLLSKIRSKGDKDYKIPKGGLFGLVICPHYLFEIVGFVGISLISQTLYAFSFTIGSALYLIGRSCATGRWYLSKFDHFPKNVKALIPFVL >ONI20310 pep chromosome:Prunus_persica_NCBIv2:G2:758908:760046:-1 gene:PRUPE_2G008500 transcript:ONI20310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >ONI21642 pep chromosome:Prunus_persica_NCBIv2:G2:12002071:12007571:-1 gene:PRUPE_2G077900 transcript:ONI21642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDDGLKKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRKCETVDEFDTKLKKNGAEMPDYFVRTLLTIIHAILPPKPKPEKDSKKESASDGRKTKFKALAVADNKDRVKDIEKEIEMETKEKRNRREEQDEEREEDRRRGSDRYREGDRDRDRDRHRGGRDRDGRERRRERYDRDERRRDTHYNDDDDDRGRHTDRYNKHKRDRYEDDGDVKEDGDDRRGNRDRQNGQNHSDEPELYQVYKGRVSRVMDTGCFVQLNDLRGKEGLVHVSQMATRRISNAKDVVKRDQEVYVKVISISGQKLSLSMRDVDQHTGKDLLPLKKSSEDDALRTNPSFSKDGPVTRTGLSGIRIVEEDDVGPSRRPLKRMSSPEKWEAKQLIASGVLGVTEYPMYDEETDGMLYQEEGAEEELEIELNEDEPAFLNGQSRYSVDMSPVKIFKNPEGSLGRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKTISFGQRSKLSIQEQRQSLPIYKLKKELIAAVHENQVLVVIGETGSGKTTQVTQYLAEAGYTTMGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDENLSQYSVVMLDEAHERTIHTDVLFGLLKKLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSIPEIQRINLGTTTLTMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAITAGFFFHGARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >ONI21643 pep chromosome:Prunus_persica_NCBIv2:G2:12003360:12007571:-1 gene:PRUPE_2G077900 transcript:ONI21643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDDGLKKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRKCETVDEFDTKLKKNGAEMPDYFVRTLLTIIHAILPPKPKPEKDSKKESASDGRKTKFKALAVADNKDRVKDIEKEIEMETKEKRNRREEQDEEREEDRRRGSDRYREGDRDRDRDRHRGGRDRDGRERRRERYDRDERRRDTHYNDDDDDRGRHTDRYNKHKRDRYEDDGDVKEDGDDRRGNRDRQNGQNHSDEPELYQVYKGRVSRVMDTGCFVQLNDLRGKEGLVHVSQMATRRISNAKDVVKRDQEVYVKVISISGQKLSLSMRDVDQHTGKDLLPLKKSSEDDALRTNPSFSKDGPVTRTGLSGIRIVEEDDVGPSRRPLKRMSSPEKWEAKQLIASGVLGVTEYPMYDEETDGMLYQEEGAEEELEIELNEDEPAFLNGQSRYSVDMSPVKIFKNPEGSLGRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKTISFGQRSKLSIQEQRQSLPIYKLKKELIAAVHENQVLVVIGETGSGKTTQVTQYLAEAGYTTMGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDENLSQYSVVMLDEAHERTIHTDVLFGLLKKLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSIPEIQRINLGTTTLTMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDNSRELGMPFAVCT >ONI25949 pep chromosome:Prunus_persica_NCBIv2:G2:30197059:30201093:-1 gene:PRUPE_2G328400 transcript:ONI25949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAELTTEQVLKRDIPWETYMTTKLITGTCLQLLRRYDKRSESHRSQLLDDDGPAYVQVFVGILRDIFKEETVEYVLALIDEMLAANPKRARLFHDGTIVDKDIYEPFLRLLWKGNWFIQEKSCKILALIVSARPKPQDGTVANGEASNSKRKITTIDDVLKGLVEWLCAQLKKPSHPSRGIPTAVNCLATLLKEPVVRSSFVQLDGVKLLVPLISPASTQQSIQLLYETCLCIWLLSYYEPAIEYLATSRTLPRLIEVVKSSTKEKVVRVVVLTLRNLLSKGTFGAQMVDLGLPQMVQSLKAQAWSDEDLLEGLNQLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPIFWRENVTNFEENDFQILRVLITILDTSSDPRALAVACFDISQFVQQHPAGRIIVTDLKAKERVMKLMNHENAEVTKNALLCIQRLFLGAKYASFLQA >ONI25948 pep chromosome:Prunus_persica_NCBIv2:G2:30196747:30201589:-1 gene:PRUPE_2G328400 transcript:ONI25948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKLDESIKCQVATLLFGLWPKNPLIIPYGKDTVPTTSRFLIGPRKQNNIIAVVQFLSLCPLPSLIHLFPACVLSPDEATQIQTQTQRAAMDHAELTTEQVLKRDIPWETYMTTKLITGTCLQLLRRYDKRSESHRSQLLDDDGPAYVQVFVGILRDIFKEETVEYVLALIDEMLAANPKRARLFHDGTIVDKDIYEPFLRLLWKGNWFIQEKSCKILALIVSARPKPQDGTVANGEASNSKRKITTIDDVLKGLVEWLCAQLKKPSHPSRGIPTAVNCLATLLKEPVVRSSFVQLDGVKLLVPLISPASTQQSIQLLYETCLCIWLLSYYEPAIEYLATSRTLPRLIEVVKSSTKEKVVRVVVLTLRNLLSKGTFGAQMVDLGLPQMVQSLKAQAWSDEDLLEGLNQLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPIFWRENVTNFEENDFQILRVLITILDTSSDPRALAVACFDISQFVQQHPAGRIIVTDLKAKERVMKLMNHENAEVTKNALLCIQRLFLGAKYASFLQA >ONI20365 pep chromosome:Prunus_persica_NCBIv2:G2:1034066:1039094:-1 gene:PRUPE_2G011700 transcript:ONI20365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRVLCKFFAHGACLKGEHCEFSHDWKATPNNICTFYQKGACAFGSRCRYEHVKASRAQSSGSSSSPNSRQSLAVDSLSLSHPSRTSSSGVALSPGILSELSASSSPFLPPSKPAWNGSLDDDDYSDNGDNDDDGAGVTRSTRPEDHAICSFAAAGNCPRGEKCPHIHGDICPSCGKHCLHPYRPMEREEHMKTCEEKQKQLEALKRSQEIECSVCLERVLSKPTVAERKFGILSECDHPFCVSCIRNWRSSSPTSGMDVNSALRACPICRKLSYFVIPSVIWYNTKEEKQEIIDSYKSRLRSIDCKHFDFGNGNCPFGTSCFYKHTVKPGSYVWKFHRPPPRRPPPRRYDIVPMDVIFHMFEHLEEWDDYILEDLENEDLNPLERELLMQMGFDTSDSSEDEIDLWPVSY >ONI20364 pep chromosome:Prunus_persica_NCBIv2:G2:1031390:1039094:-1 gene:PRUPE_2G011700 transcript:ONI20364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRVLCKFFAHGACLKGEHCEFSHDWKATPNNICTFYQKGACAFGSRCRYEHVKASRAQSSGSSSSPNSRQSLAVDSLSLSHPSRTSSSGVALSPGILSELSASSSPFLPPSKPAWNGSLDDDDYSDNGDNDDDGAGVTRSTRPEDHAICSFAAAGNCPRGEKCPHIHGDICPSCGKHCLHPYRPMEREEHMKTCEEKQKQLEALKRSQEIECSVCLERVLSKPTVAERKFGILSECDHPFCVSCIRNWRSSSPTSGMDVNSALRACPICRKLSYFVIPSVIWYNTKEEKQEIIDSYKSRLRSIDCKHFDFGNGNCPFGTSCFYKHAYRDGRLEEVALRHLGNEDGQTVIATNIRLSDFLADLHIR >ONI21192 pep chromosome:Prunus_persica_NCBIv2:G2:6041216:6046797:1 gene:PRUPE_2G052100 transcript:ONI21192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQKKLRSTRSFQAEGAFSEGITDRFSNLPDEVAHLILSFLTFKDLTRVGTVSKRCRRFYVSAPSVNFDETQARTNQKQVELMSSLDRYLFHRGDNKLQRFSVFWNFFKSKSVSKLSDEHFRIITWIHNAVRCNVEVLNLYFLALHTTMFALPSCVFLSQSLKSLSVCCSGVILQTPSLSFSCNLLSLRLTCVNIVDESFFKWISCTCKCLKEIQLFRVTGIERITIESLSLELFVFVSVCGIKLLHLSISGEKLEEIELDWEFDARPDSRSLNIFAPNLRKLKWEGKLLNGQNLGKLMSLEKAQIFLEPEVNDFDNLHAVCSSLCKDKVLILNEKTIKALHKEGSMPASLDIFHFGMHFSCLNDALVPAVACLLKGMPNLNTLNIKSKPPRGQPCMSSGFDMGYWKLQNFAFIYHLEEVTIELSNGSNEIEFARYILEHAVNLKKIVILFQSRSQQSNDVLGKVSKCKMISTPIIVIRGTVQ >ONI22445 pep chromosome:Prunus_persica_NCBIv2:G2:18660396:18661137:1 gene:PRUPE_2G129500 transcript:ONI22445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGETTLELESDLAFLESIRHHLLDDLEPQAMSFTGNNVPVIFPLFVTENGWESSLKTEHVDNDVQGMLGALNDVNYVGCVVSDDKIELKEQKVAARAVHPPSEERHYRGVRRRPWGKFAAEIRDPAKNGARVWLGTYETPEDAGLAYDRAAFKLRGCKAKLNFPHLIGSSNVEPVRVNPKRRRSLDLSSSSSSSDNGSPKPKLSKDGVDDNHLAA >ONI20522 pep chromosome:Prunus_persica_NCBIv2:G2:2051201:2056032:1 gene:PRUPE_2G020600 transcript:ONI20522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAHALLGIPSRCGLCRAINPKEDFKVCAWGFSRTASSSGICISRVPRRKNGNLTCPWTLNAVQTLIVHNAPAQATVEIPVTYHQLIGVPDQAEKDEVVKSVMDMKTAQMDDGYTIDAVKSRQDLLMDVREKLIFGPEYSGNYKGKVPPKSSLRIPWAWLPAVLCLLQEVGEVKLVQDIGQAAVRHPDAKPYIHDLLLSMALAECATAKIGFEKNRVSQGFEALARAQCLLRSKKSLEKISLLSQIDKAKAICECLIASEGNDLKIAEAFSLFLLGQGNEAGIVEKLQKLDVNKNKLIQSLCKQGNLREALQFLPHEPNPSQQTYEILILSCTRHKSLSDGLDVHRHLVEGGWDQDPFLATKLIEMYSELDSIDNARKVFGKTRKRTIYMWNALFRALTLAGHGTEVLDLYQRMNALGVSSDRFTYTYAIKACVVSECLSSFLQKGKEIHGHILRHGYGAHVHVMTTLLDMYARFGCVSYASSVFDQMQIRNVVSWSAMIACYAKNGRPYEALELFREMILEAHDLLPNSVTMVSVLQACAALTALEQGRFLHGYILRRSLDSILPVMSTLITMYARCGKLDLGERVFSMMNKKDVVSWNSLISSYGVHGYGKKAIQIFEDMVYHGVSPSHISFVSVLGACSHAGLVEEGKMLFNSMVKEHGVYPSVEHYACMVDLLGRANRFDEAAKVIEDMRIEPGAKVWGALLGSCRIHCNVELAERASKRLFELEPRNAGNYVLLADIYAEAKMWDEVKRVKKLLEARELQKVPGRSWIEVKRKIYSFISVDEFNPQMEQLHALLAELSTEMKDRGYKPQTKVVLYDLDEEEKERIVLGHSEKLAVAFGLINTKRGETIRISKNLRLCEDCHYVTKFISKFADREILVRDVNRFHHFRDGVCSCGDYW >ONI20524 pep chromosome:Prunus_persica_NCBIv2:G2:2051201:2056032:1 gene:PRUPE_2G020600 transcript:ONI20524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKTAQMDDGYTIDAVKSRQDLLMDVREKLIFGPEYSGNYKGKVPPKSSLRIPWAWLPAVLCLLQEVGEVKLVQDIGQAAVRHPDAKPYIHDLLLSMALAECATAKIGFEKNRVSQGFEALARAQCLLRSKKSLEKISLLSQIDKAKAICECLIASEGNDLKIAEAFSLFLLGQGNEAGIVEKLQKLDVNKNKLIQSLCKQGNLREALQFLPHEPNPSQQTYEILILSCTRHKSLSDGLDVHRHLVEGGWDQDPFLATKLIEMYSELDSIDNARKVFGKTRKRTIYMWNALFRALTLAGHGTEVLDLYQRMNALGVSSDRFTYTYAIKACVVSECLSSFLQKGKEIHGHILRHGYGAHVHVMTTLLDMYARFGCVSYASSVFDQMQIRNVVSWSAMIACYAKNGRPYEALELFREMILEAHDLLPNSVTMVSVLQACAALTALEQGRFLHGYILRRSLDSILPVMSTLITMYARCGKLDLGERVFSMMNKKDVVSWNSLISSYGVHGYGKKAIQIFEDMVYHGVSPSHISFVSVLGACSHAGLVEEGKMLFNSMVKEHGVYPSVEHYACMVDLLGRANRFDEAAKVIEDMRIEPGAKVWGALLGSCRIHCNVELAERASKRLFELEPRNAGNYVLLADIYAEAKMWDEVKRVKKLLEARELQKVPGRSWIEVKRKIYSFISVDEFNPQMEQLHALLAELSTEMKDRGYKPQTKVVLYDLDEEEKERIVLGHSEKLAVAFGLINTKRGETIRISKNLRLCEDCHYVTKFISKFADREILVRDVNRFHHFRDGVCSCGDYW >ONI20523 pep chromosome:Prunus_persica_NCBIv2:G2:2052229:2056032:1 gene:PRUPE_2G020600 transcript:ONI20523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKTAQMDDGYTIDAVKSRQDLLMDVREKLIFGPEYSGNYKGKVPPKSSLRIPWAWLPAVLCLLQEVGEVKLVQDIGQAAVRHPDAKPYIHDLLLSMALAECATAKIGFEKNRVSQGFEALARAQCLLRSKKSLEKISLLSQIDKAKAICECLIASEGNDLKIAEAFSLFLLGQGNEAGIVEKLQKLDVNKNKLIQSLCKQGNLREALQFLPHEPNPSQQTYEILILSCTRHKSLSDGLDVHRHLVEGGWDQDPFLATKLIEMYSELDSIDNARKVFGKTRKRTIYMWNALFRALTLAGHGTEVLDLYQRMNALGVSSDRFTYTYAIKACVVSECLSSFLQKGKEIHGHILRHGYGAHVHVMTTLLDMYARFGCVSYASSVFDQMQIRNVVSWSAMIACYAKNGRPYEALELFREMILEAHDLLPNSVTMVSVLQACAALTALEQGRFLHGYILRRSLDSILPVMSTLITMYARCGKLDLGERVFSMMNKKDVVSWNSLISSYGVHGYGKKAIQIFEDMVYHGVSPSHISFVSVLGACSHAGLVEEGKMLFNSMVKEHGVYPSVEHYACMVDLLGRANRFDEAAKVIEDMRIEPGAKVWGALLGSCRIHCNVELAERASKRLFELEPRNAGNYVLLADIYAEAKMWDEVKRVKKLLEARELQKVPGRSWIEVKRKIYSFISVDEFNPQMEQLHALLAELSTEMKDRGYKPQTKVVLYDLDEEEKERIVLGHSEKLAVAFGLINTKRGETIRISKNLRLCEDCHYVTKFISKFADREILVRDVNRFHHFRDGVCSCGDYW >ONI23898 pep chromosome:Prunus_persica_NCBIv2:G2:24586320:24589349:-1 gene:PRUPE_2G214800 transcript:ONI23898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLLRNVEADGWERSDFPIICESCLGDNPYVRMTRADYDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALAISSNDAIPKSDVNREFFAEEHDRKARAGIDYESSYGKARPSDTILKLQRTTPYYKRNRAHVCSFYIRGECTRGAECPYRHEMPITGELSQQNIKDRYYGVNDPVAMKLLNKAGEMPSLEPPEDESIRTLYVGGLDERISEQDLRDQFYAHGEIESVRMVLQRACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKQDSEGTAEARQQAVAHSGLLPRAVISQQQNHLQDQPAPVHYYNMPPPPSQERSFYPSMDPQRMGAIVPSQEGAPSGPTGSGENNSSAERQQRSQHYAFQNMPQPHAQYRQQFYPPPYGYMPPPPAPYQQYPPQHHAGVTPPPSLPMNQQYQNSATPGPAHSGSTSSGSAPSNSGPSGSTPSGSGSAQTGSSQQ >ONI23405 pep chromosome:Prunus_persica_NCBIv2:G2:22793076:22797500:-1 gene:PRUPE_2G187200 transcript:ONI23405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERGRGFQVDPNLPRYVCQNCRNSLCIVGADSYAEKFFNDFSRSGMQGSSMHGANSVLSTRMDNSFVVLPKQRPQAQGVPPRPRVGAAQTSQSGKAMDESFVVVYKSESSADGGGAQLPSPDGGPNGPLQPNNSGFHSTITLLKRAFEIATTQTQVEQPLCLECMRVVSDKLDKEVEDVNRDIKAYEACLKRLEGETRDVLSEADFLKEKLKIEEEERKLEAAIEEMEKQNAEVNNELKELELKSSRFKELEERYWHEFNNFQFQLISNQEERDAILSKIEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPRFQYRIKILPMGSYPRIMDNNNNTYELFGPVNLFWSTRYDKAMTLFLTCLKDFAEFANSKDQENNIPPEKCFKLPYKIENDKVESYSITQSFNKQENWTKALKYTLCNLKWALYWFVGNTNFQPLSAVSSHAEVPGVSSLYTRRGTDSKSEFRNTSNP >ONI25156 pep chromosome:Prunus_persica_NCBIv2:G2:28195368:28196655:1 gene:PRUPE_2G284900 transcript:ONI25156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKVLDHSQLRRTAKSSLFSTISFTAIFFIIHADSFVSNLCMHTIDLRIHEILDHNHVQTQVAQEQIESALTTEQSTPQLSIQAKIEELEDEIHDPLVPPENTTREERRVWFRRKLPEPEILKSDKLSQQFHSPVLEFLNDGCSLQFYMVWLSPAKSFGERDFLTVDTLFKSHPQGCLMIISNSMDSARGYRILKPLLDRGIKEWQDRGYIPLSQNLSNLIRLAMLYKYGGIYLDTDLIILKDFSGLRNAIGAQSLDSESKIGNRLNSAVMIFDINHPILLDFLEEFATTFNGNKWVTMDLTWSGRSLDYNLTILPLKAFYPLDWIRIHRIFRKPERESESRAVEITLNELNARETYALAIEEGSVMARLISEHCVIFQDLYAS >ONI22974 pep chromosome:Prunus_persica_NCBIv2:G2:21283393:21285749:1 gene:PRUPE_2G161600 transcript:ONI22974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGIGLETVRQLASNGITVVLTARDEKRGLEAFDKLKESGLTGQVVFHQLDVADPASVASLADFIKTQLGKLDILVNNAGIGGVGLDSDAFKASENSGSGEGANIDWTKFLTETYPLTEECLQINYYGTKRTAEALIPLLQLSDSPRIVNVSSSAGKLENIPSDWVKGVFSDSEYLTEDRVDEVLTQLLKDFKEGSIESKGWPVYPAYRVSKAAINAYTRILAKKYPNFRINSVCPGYVKTDITFNTGILSVEEGAASVLKLALLPSDGPSGLFFVRSEVSCA >ONI22975 pep chromosome:Prunus_persica_NCBIv2:G2:21283393:21285749:1 gene:PRUPE_2G161600 transcript:ONI22975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGIGLETVRQLASNGITVVLTARDEKRGLEAFDKLKESGLTGQVVFHQLDVADPASVASLADFIKTQLGKLDILEGANIDWTKFLTETYPLTEECLQINYYGTKRTAEALIPLLQLSDSPRIVNVSSSAGKLENIPSDWVKGVFSDSEYLTEDRVDEVLTQLLKDFKEGSIESKGWPVYPAYRVSKAAINAYTRILAKKYPNFRINSVCPGYVKTDITFNTGILSVEEGAASVLKLALLPSDGPSGLFFVRSEVSCA >ONI24936 pep chromosome:Prunus_persica_NCBIv2:G2:27439490:27442324:1 gene:PRUPE_2G270000 transcript:ONI24936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVLLLFRFLSTMVAITISIGLCNGNLGVPCKESERQALLLFQKDLKDPSNRLSSWVGEGVCCNWTGVVCDDLTGHVRELHLKKPDSQQDLHLSYGDFYVTSTWLGGKINPSLLSLKYLNYLDLSYNNFQGTQIPCFLGSLKSLRYLNLSEASFEGIIPPQLGNLSNLQYLGLRGYKLKVENLQWVSGLSHLKKLDMSRANLSKASDWLQVPNMLPSLVELHMAGCQLDHIPPLPLINFTSLAILDLSENTFDSLMPRWVFSLRNLVSLSLKGCGFQGSFSSHPQNNSSLKELDLSLHNLCELMDLDLSFNNFNGNVSEIFNSLSVCGPDKLKSLSLGSNNFSGHLAEHVGNFKNLSHLDLSANSISGPIPVSLGNLSVLIELLIYDNQFNGTLPESISQLKMLTVLDISYNSLEGVVSEVHFTYLTKLKDFVGKGNSLALNTSRGWLPPFQLNRLWLDYWHLGHKFPMWLQTQKQLKLLSLPNTGISDTIPAWFPNISSQLVALNLSHNQLHGEIKNIVAGFQSAIDLSSNQFNGSLPFVSPSVSALDLSNSSFSGSLFHFFCDRMDEPKNLSSLRLGDNLLTGDIPNCLMNWKRLVMLNLKSNNLSGNIPSSIGYLKRLSSLQLHNNQLSGELPLSMQNCTDLLVVDLGENMFVGSPLTWIGKSLSILKVLNIRSNNLQGDIPHELCYLKSLQILDLAQNNLSGTIPRCFHNFSAMATFPNSSILTFADYLMDNVILFTKGRGAEYGRKLLKLVKSMDLSDNMIYGEIPEELTSLVGLQSLNLSKNLLAGRIPSNIGDMKWLESMDFSMNQFSGEIPPSTASLTFLSHLNLSYNNLTGQIPKSTQLQSFDESCFIGNELCGAPLDKNCSANGVIPPPAVEQHRGYHLLEDGWFYLSLGLGFMFGFWGVLGSFMLNMPWSIAFSRFLNSMVVKLYGVIVDYI >ONI23705 pep chromosome:Prunus_persica_NCBIv2:G2:23895351:23898373:-1 gene:PRUPE_2G202900 transcript:ONI23705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVISRAAEDDLSVPVGFRFHPTDEELVTHYLKKKLKGMDSHVSNIIREIDILKFEPWDLPERSLLKSDDENWFFFSRPEYNKHKKNRTTQEGFWKITGREHQIKARDSRSVIGRKRILTFYRGRVRSSERTNWVMHEYYIPNDNPNAQRDFVLCRLKKNVKKSDENADVAATCDEGETHNASDVENQQVNDMNMEDNRPPENLDYFERERDRLLANSLSNNDHNAFPTEFSANDQEFLRTLIVEPQSRETSDTDREPVYHQSLQMRCEPQIPYELLQYGSSQSRRDTDVILHNQLSRQASSSVNVASKAGTYQREHRPQQQSGPIIVFRDTSADEYYTREKTRRITYPPEKFGFLLQPKEPEKPKPKPEKPKEPPYPRTAADFPPKQISITKSSIDKKVPQGSMEQTQNRTTPRNWKGSFITWQTSPLTSPPSVYIFNTVLGAILFLFCVREVVLYGEWC >ONI21288 pep chromosome:Prunus_persica_NCBIv2:G2:7205369:7208245:-1 gene:PRUPE_2G057900 transcript:ONI21288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAHMRGTVGPCRRANLDAKEVGRGIGRPEVQAVSARPALKCRPSRIFFRL >ONI22301 pep chromosome:Prunus_persica_NCBIv2:G2:17777533:17778379:-1 gene:PRUPE_2G120200 transcript:ONI22301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILRKLPKRTQGRKRIEIKKLENLNNKQVTFSKRRSGIFKKAAELSVLCGAEVGVIVFSTAGKVFCYGHPNVETVLDCYRSGVAPPAVFDDTAGDQNPNRVPMAEFNKEYMEAIKELEEQKRRVVEVKEEVRMKKVVGGCGERFWWEDESVDLDALDVQEVEHYLMSLEDVRKKVAARLHEVRILNGTLTPRALPPVPAVHRLCHMPMMTNGFGAQGGQFGGGYPGFGV >ONI24741 pep chromosome:Prunus_persica_NCBIv2:G2:26973238:26974632:-1 gene:PRUPE_2G259500 transcript:ONI24741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYMGDNLLQQSVSLLTVKDPLFKRMGASRLAQFAIDDERRMKIVEMGGAQELVNMLVDAKDDRTRKEALKALSALSPSDQAIGALHQAGAISVIRSTPDSLESAEIEKYKSGLLKRFQDLRYDVPSTNVSRSSDS >ONI24742 pep chromosome:Prunus_persica_NCBIv2:G2:26973696:26975185:-1 gene:PRUPE_2G259500 transcript:ONI24742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLAARLTTYYCRRNPVRNSQPRNFSTYNGRDELSLEQDAERKIGWLLKLIFAGTATCIAYNIMPYMGDNLLQQSVSLLTVKDPLFKRMGASRLAQFAIDDERRMKIVEMGGAQELVNMLVDAKDDRTRKEALKALSALSPSGAHQLKVGEC >ONI24740 pep chromosome:Prunus_persica_NCBIv2:G2:26972619:26975192:-1 gene:PRUPE_2G259500 transcript:ONI24740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLAARLTTYYCRRNPVRNSQPRNFSTYNGRDELSLEQDAERKIGWLLKLIFAGTATCIAYNIMPYMGDNLLQQSVSLLTVKDPLFKRMGASRLAQFAIDDERRMKIVEMGGAQELVNMLVDAKDDRTRKEALKALSALSPSDQAIGALHQAGAISVIRSTPDSLESAEIEKYKSGLLKRFQDLRYDVPSTNVSRSSDS >ONI24739 pep chromosome:Prunus_persica_NCBIv2:G2:26972619:26975185:-1 gene:PRUPE_2G259500 transcript:ONI24739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLAARLTTYYCRRNPVRNSQPRNFSTYNGRDELSLEQDAERKIGWLLKLIFAGTATCIAYNIMPYMDERRMKIVEMGGAQELVNMLVDAKDDRTRKEALKALSALSPSDQAIGALHQAGAISVIRSTPDSLESAEIEKYKSGLLKRFQDLRYDVPSTNVSRSSDS >ONI24725 pep chromosome:Prunus_persica_NCBIv2:G2:26934719:26935568:-1 gene:PRUPE_2G258300 transcript:ONI24725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVVELKVGLHCEECIKKILKAIKKIEDIETYNADAELNKVTVTGNVTTEEVVRVLQKIGKMATTWEGEGQGEGEGVNN >ONI20363 pep chromosome:Prunus_persica_NCBIv2:G2:1030038:1030316:-1 gene:PRUPE_2G011600 transcript:ONI20363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKNQIMTHVSQNISSTVLYTKRMFERTKPILLHLQLATIYQAKKRMSGSNGTSKKRHPSVEPTPRLTEKPLIQFPAEFLIKPPAPMPPNL >ONI20362 pep chromosome:Prunus_persica_NCBIv2:G2:1029881:1030755:-1 gene:PRUPE_2G011600 transcript:ONI20362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKNQIMTHVSQNISSTVLYTKRMFERTKPILLHLQLATIYQAKKRMSGSNGTSKKRHPSVEPTPRLTEKPLIQFPAEFLIKPPAPMPPNL >ONI25103 pep chromosome:Prunus_persica_NCBIv2:G2:27991320:27993114:-1 gene:PRUPE_2G281000 transcript:ONI25103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTRPDPSITFTLSLRLFCFFWGQQVVKIDILLHLDKSLALNPHLTQESERVAWLKKMAYAAMKPSKAGLEEQEQIHKIRITLSSKNVKNLEKVCTDLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >ONI22973 pep chromosome:Prunus_persica_NCBIv2:G2:21279406:21281772:1 gene:PRUPE_2G161500 transcript:ONI22973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPKRYAVVTGANKGIGLETVRQLASNGFTVVLTARDEKRGLEAAEKLKESGLSGQVVFHQLDVANPATVASLAEFIKTQFGKLDILVNNAGIGGAIGDADGYIALVKSLEMGRGEVGSKLWTETYELTEECLQINYYGAKRTAEALIPLLQLSDSPRIVNVSSFLGKLMHIPSHCAKGVFTDAENLTEERIDDVLSELLKDFKEGSLESKGWPSSLSAYVVSKAALNAYTRILAKKYPTFRINSLCPGSVKTDITYNAGFLPVEEGAARVMKLALLPNDGPSGSFFVQYEVSDF >ONI21385 pep chromosome:Prunus_persica_NCBIv2:G2:8314791:8316567:1 gene:PRUPE_2G062700 transcript:ONI21385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDKSWMRSGRSTHEYFTGVANFIDYTYKQLKYDDMKIYCPCIKCSNRDRRVRDEVHQHLLFKGIRHDYTRWYLHGEDEDNDSAESEIWSQLMTCMV >ONI21759 pep chromosome:Prunus_persica_NCBIv2:G2:13740664:13741473:-1 gene:PRUPE_2G086900 transcript:ONI21759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNMYPMLVILLLASPSRMIFTTFAVPTFETSDSTILDECKKHISINCAREVGESIFEGGTVTDDCCHKLVSVGKNCHALFFNSALEFIPNVDKSRALAKSTQVWNRCVGIALSPASSITIPNSKSSKSKIMDECKKHISNKCAREVGGSIFESGSVTYGCCYELVSAGKTCHDLFFNSVLASKPIVNKSRALVESTQVWDQCAEITISPTPSIAIPTPKALNSETLVECKHISINCAWEVGRSIFEGGMVTGDCCDDLLFEGKNMS >ONI21259 pep chromosome:Prunus_persica_NCBIv2:G2:6680147:6684535:1 gene:PRUPE_2G056100 transcript:ONI21259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKFLFRLMGSSPLPIDFGVEPQLPSDLPLTTTQPPVSSSPSSSSFTHDSSWIYDVFLSFRGEDTRTNFTDHLHEALVRKGIRTFIDRELVRGEEISPALVRAIEESRISLIVFSENYPSSRWCLDELVKILQCKESKQQIVLPIFYKVDPSDVRKQTNSFGDAFKGLIQSKFKDDKEKVLIWKEALRQAANLSGHTFKHGEYEATFINNIVDGILIQVLSSTYWNVAKYPVEIQSHVQDVKKLLDVGGNGRRMVGIWGTSGIGKTTIAKAIWNAIAHEFEGSCFLPNVRENSMPHGGLIKLQKTLLHKYLGNKLKIQSVDEGIGVIKERLRHKKILLILDDVDHLEQLENLAGDDWFGEGSRVIITTKNRGLLENHGIELIYKVKKLDYNQALELFSWHAFGRSEPPKDYLELAQRVIAFADGLPLALTILGSHLRNRSIGSWQVILDGYKGEPYTHIERILQKSYDALDDDAKEVFLDIACFFKDASKDVVLQIVPKNCIEVLVDKAMITVEWDQRILMHDLLQKLGKDIVHKESPNDPGKRSRLWFYEDVIQVLMESTGTRKIKGIMVKLPEPAEITLNPECFRNMVNLQIFINHNASLCGDINYLPNALRFIDWPNCQLQSLPSEFHPVRLAVCNMPAGRIKRLEKLKIMSNLTSMNLSGCKFLEKISDLSGIPNITNLNLSDCTNLVEIDDSVGLLDKLVRLNLDGCGRLTRFATRLRSNSLMELSLVGCRRLESFPEIEMESLFSLDMEGSGVRKFPSSISKCFNLRMLKLRRCKNLLEIPEQALPPTLTYVVIDCCPSLEKIPKVSWVSLDNRTSLEQIPGRIEIWLYNCFRLRGNDITENIFLNLVSVSHFDIRLPGDEVPKWFSCRKDGTTVRPYFFTGPGTKKYNGGCEVSFEIPPNLKWEMLRLVLCVAGTGAYAKILVNGKLVNSDEFGTELFDDSHVGFICIPLMNRESGEPPRLKQGNICQVIFGLTRLRRTPLKVSCGVHLLGHQVDVRETDGTQWLADLMAVDYDDYEHHVYYDDDDDDNVDDDDDEHDVDDDDDNDDDVDDDDDDQHQENELLSLPSASETSLGKRPRSSDFGT >ONI24861 pep chromosome:Prunus_persica_NCBIv2:G2:27273896:27275581:-1 gene:PRUPE_2G266200 transcript:ONI24861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKESIFSSLDNAKTQLYHYKAIVIAGMGFFTDAYDLFCITAVTKLIGRLYYYDPSTNSPGALPNQINNAITGVALFGTLAGQLFFGWLGDKLGRKKVYGITLVTMVGCALASGLSFGSSAQGVVTTLCFFRFWLGFGIGGDYPLSAVIMSEYSSQKTRGGFVAAVFAMQGIGILVAGAVAMLVSKVFLQAFPADKFNVNQVLSTQPEGDFVWRIVLMFGAVPAALTFYWRSKMPETARYTALVEGDHEKAKADMAKVLEKDIPVAAVNGDSDSKVLVDPNSSYGLFSREFLRRHGLHLLGTSSTWFLLDIAFYSLQLTQKDVYPASGLLPKASTMNAIEEVYLLSKAMLLIALAATVPGYWFTVFLIDKIGRKPIQLGGFLLMSIFMAVLGYKYGVLRGEKCKDPNSTFDFCKGRTNLFTLLFGLTLFFANFGPNSTTFIVPAELFPARFRSTCHGISAAAGKAGAILAAFVLQSYTHQLEGIRKAIIALAVVNLLGFFFTFLVPETKGRSLEEISGEDNDLGGNGVTAINNADDKATEATNVDNGKDKVADGEMEMV >ONI20499 pep chromosome:Prunus_persica_NCBIv2:G2:1901143:1908262:1 gene:PRUPE_2G019300 transcript:ONI20499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGQREVGEGSNVKANAKRRRRTWLKRKEKWLVVLGVVLHAVYMLSIFDIYFKSPIVHGIDLVTPRFKAPAKRLVLLVADGLRAEKFFESDSEVKFRAPFLRSVIEEKGRWGVSHPRPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTFSYGSPDIVPIFCAGLPHTTWNSYPHDFEDFATYCDYYCFFSSPSTLMLTLYQFVCVNADASFLDECSFDQFKGLLNRSKEDPKLKELLLQDNLVVFLHLLGCDSNGHAHRPSSSIYLNNVAVVDSIAERVYNLLEDYYRDNRTSYIFTADHGMHDKGSHGDGHPSNIDTPLVVWGAGVKHPKPVSSSNHSDCGFRFVDEHMHETPTPTEWGLHGIERVDVNQADIAPLMSTLLGLPCPINSVGSLPLDYIDMIKEDEVEAVVANTKRILNQFLWKSQTKQSNSLYFKPFKPLGDYSSLLDKIEDLISIRDYAAARKLSEDLQVLALQGLHYFQTYDWLMLMTVIILGYIGWMTYIVLHVLQSYTSLAGYMFRKEQADHQTDNTRKEHSPPLYHSYTSMTVFLWTQIFSEYRFIKALWKELYGRRINYFAKILATGIFSVFILEFLVARSAYEGNKCWLSICNHDKKQPKFPMLFQLQALLIGLSSVMVSISTSRRTQKQELLALHQITNWSIAGISIVLPLFSANGLLSRLTSLFLGFAPTFLLLSIGYEAVFYGALALTLMAWILVENTFIYSSKVNRLPSSFNNMEDNVILDGRYLQLSDVRIPLIFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFLLVICVFSAITKLNRLPRLGCYFLLILFSDVMTLHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFAVTNMYTKDVNIGSADRSSRKAM >ONI22074 pep chromosome:Prunus_persica_NCBIv2:G2:16219070:16220445:1 gene:PRUPE_2G105300 transcript:ONI22074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITFSTLVFAHRQKLSNNLAKFVVIIWVFAVLILTSSYTATLTSIMTVNQIRLNSRGDYIGYQSGSFTQGVVKNLNFKGLKPYNSVEEYALALSSGSKHGGVSAIVDEVPFIKIFLAHYPTGYSMIKPESSTNGFGFVFPKGSKLVHDMSMQIEQMREKGKLMEMEKVWFDKRTILMFDNTTSDPNTLNFHTFRGLFLITGVSSAFAIFIFIIFPLKEKWYAVKKFRFKYQVREKLQRVRKFFSRKVSNETEENQ >ONI22653 pep chromosome:Prunus_persica_NCBIv2:G2:19809195:19814072:1 gene:PRUPE_2G142300 transcript:ONI22653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSLVSAMSIIGRRGIHFLHKLNAENVPGALIENGQSRLIDASLTLIRESAKLRGELVRALGGAVASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELRDPRVLTDVGDIPVQEIRDCGVEDDRLMNVVSESVKLVMEQDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNVYSHASSFARIMEGGYARRLLQVGIRSINIEGREQGKRFGVEQYEMRTFSRDRHFLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDIVAADVVEFNPQRDTVDGMTAMVAAKLVRELAAKISK >ONI22654 pep chromosome:Prunus_persica_NCBIv2:G2:19809195:19814072:1 gene:PRUPE_2G142300 transcript:ONI22654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIGRRGIHFLHKLNAENVPGALIENGQSRLIDASLTLIRESAKLRGELVRALGGAVASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELRDPRVLTDVGDIPVQEIRDCGVEDDRLMNVVSESVKLVMEQDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNVYSHASSFARIMEGGYARRLLQVGIRSINIEGREQGKRFGVEQYEMRTFSRDRHFLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDIVAADVVEFNPQRDTVDGMTAMVAAKLVRELAAKISK >ONI24172 pep chromosome:Prunus_persica_NCBIv2:G2:25403756:25404606:1 gene:PRUPE_2G228200 transcript:ONI24172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCLGKLYESFENLSDTYMQPNLNKDSLLKPKTTISGANILHLPANNDSNAPKRFYLCANCKRHISDSPLTTCPTCISSKISTQVFYAAPPPEATGVTSGNIKSGYVKSDIMYMIMDDLEVKPMPTVSSITLLKTLNFKTVDAFEEKVVDLDIKEGLKLVKASLESKTALTNVFLGNKVHEGFNFGPLKKLSFWRFILVCGLALTVLVYIGLFQLWHTAKYGKNDPTSPRKPICYPNDPFALSLTQK >ONI21519 pep chromosome:Prunus_persica_NCBIv2:G2:10832655:10834162:1 gene:PRUPE_2G071300 transcript:ONI21519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDYVPEQSAIRTEEIKVDKETLDMLAALGMADLPGVSEAEPQVMIPSQGYGRGPQGGRRF >ONI21520 pep chromosome:Prunus_persica_NCBIv2:G2:10832741:10834089:1 gene:PRUPE_2G071300 transcript:ONI21520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDYVPEQSAIRTEEIKVDKETLDMLAALGMADLPGVSEAEPQVMIPSQGYGRGPQGGRRF >ONI24965 pep chromosome:Prunus_persica_NCBIv2:G2:27574029:27579251:-1 gene:PRUPE_2G272600 transcript:ONI24965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAISSPLSTSSHLLPSPSRSSLGSRSQSFPSLQNLRHPSFKSRCFLGVPFRSRQTQPIRCQSSNQQPAKDDGFVIEDVPHLTDFLSNLPSYPNPLKQSQAYAVVKQTFVSTDDVVAQKVVVQKDDPRGVHFRRAGPREKVYFKSDEVRACIVTCGGLCPGINTVIREIVCGLNYMYGVEDILGIEGGYRGFYSKNTLRLTPKVVNDIHKRGGTFLRTSRGGHDTNKIVDNIQDRGINQVYIIGGDGTQKGAALIYKEVEKRGLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGVGIVKLMGRYSGFIALYATLASRDVDCCLVPESPFYLEGRGGLFEFVEERLKENGHVVIVLAEGAGQEYVAQEMQVVDGKDASGNKLLLDVGLWLTEKIKDHFTKVQKMAINTKYIDPTYMIRAIPSNASDNIYCTLLAQSAVHGAMAGFSGFTVGPVNSRHAYIPISRVTETQNTVNLTDRMWARLLASTNQPSFFESNELLERVDKEDVDVINHNMRATSI >ONI25097 pep chromosome:Prunus_persica_NCBIv2:G2:27972922:27976446:-1 gene:PRUPE_2G280600 transcript:ONI25097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVTFLHFPSLSFSPNTLRFSPKRCRFKAQIAVTDAEKAGVAVVWLKHDLRIDDHPALLAASNHNAVVPLYIFDHRIISRFPEKMIEMLVLALQDLRSSLRDKGSNLMIRLGSAESALQELVKEVLVTTVYAEEEVEYGFRQMTGSVKGTLEAMPSAPNFVLWRTPFYDVKSLKDIPDSYNDFTKLRLPITLPLPPATLTGGEMELDWGAIPTFDDLKEFVKANPCKVEESWASTKEMLTETILMKEILKPAGRNTINSSFRHVQVQSKRHENSVFVKGRSVGGGTSNLLKALAAYLRYLEGTERDHYWKEVHERMPYAESRNGASFTTLFGPALSLGILSRRRVHFEALKYEKERDSGFLSSFGYSSATVATTVDSVCSMEWYSLVALKSQINDDRRYHIRSWRWKGYLIQYTVVGHEGPASLLVHGFGAFLEHYRDNICSIAEGGNRVWAITILGFGKSEKPNVVYTELLWAEMLRDFIIEVVGEPVHLVGNSIGGYIIAIVVRLWPALAKSVVLINSGGNVIPGYSSVPFTKERRTSSASWLGAKFLLFYLRLTLKDIVKNCYPAKTERVDNWLIDEMLRASYDPGVAVVLESVFSFNLSVPLNYLLDGFEEVLIVQGMRDPISDSKSKVAMLKEHCAGFIIKELDAGHCPHDELPDEVNSIIREWIESLSSKLPVGSFK >ONI24386 pep chromosome:Prunus_persica_NCBIv2:G2:25860117:25861976:1 gene:PRUPE_2G237700 transcript:ONI24386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKSLIQSSLAAVSSFGLSASLCSLYHFCHAGQDEPSSTYAILSHLEFFQHSSYFICNLCALSCIRKYF >ONI24387 pep chromosome:Prunus_persica_NCBIv2:G2:25860117:25861987:1 gene:PRUPE_2G237700 transcript:ONI24387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKSLIQSSLAAVSSFGLSASLCSLYHFCHAGQDEPSSTYAILSHLEFFQHSSYFICNLCALSCIRKYF >ONI23859 pep chromosome:Prunus_persica_NCBIv2:G2:24444525:24445149:1 gene:PRUPE_2G212700 transcript:ONI23859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIHYDPNSKASSITLCHPVSQNMRPCRRLNLKSQLQHKQTLKDLQPPHSAHQENECDLLPHHPIPSLNSQ >ONI24898 pep chromosome:Prunus_persica_NCBIv2:G2:27368774:27369305:-1 gene:PRUPE_2G268100 transcript:ONI24898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARRRTTFFLMSESKFLWDIKPAYMHFECVCPHVTPKLTNLINVTSRRIPLTKFKLVENQSLTTSSVAGRIGSEILCPCLSY >ONI22082 pep chromosome:Prunus_persica_NCBIv2:G2:16400650:16402865:1 gene:PRUPE_2G105900 transcript:ONI22082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRNLKIKTGTCKRIVKELHSYEKEVEREAAKTADMKEKGADPYDLKQQENVLAESRMMLPDCQKRLEASLADLKGILAELEEELNQKEGPEIEEARTIIGEVDKLFQTTEA >ONI23639 pep chromosome:Prunus_persica_NCBIv2:G2:23722873:23724287:-1 gene:PRUPE_2G200200 transcript:ONI23639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVAREFFHLPESERLKCFSEDPLKTTRLSTSFNVKTEEVSSWRDYLRLHCYPLEDYMHEWPSNPPSFREDVAEYCRNVKGLAERLLEAISESLGLEKDYMNRALGKHGQHMAINYYPPCHQPELTYGLPGHADPNVVTLLLQDDVAGLQVFNNGRWVAVKPMPHTFIVNIGDQIQVSRIIPIC >ONI23637 pep chromosome:Prunus_persica_NCBIv2:G2:23721949:23724287:-1 gene:PRUPE_2G200200 transcript:ONI23637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLSDLASGVTCVPSNYVRPVHDRPSLDQVQPSDHSIPLINLHGFDGSRRHEIINQIGLACQNYGFFQVQNHAIEEAVIDNMLKVAREFFHLPESERLKCFSEDPLKTTRLSTSFNVKTEEVSSWRDYLRLHCYPLEDYMHEWPSNPPSFREDVAEYCRNVKGLAERLLEAISESLGLEKDYMNRALGKHGQHMAINYYPPCHQPELTYGLPGHADPNVVTLLLQDDVAGLQVFNNGRWVAVKPMPHTFIVNIGDQIQVVSNDRYKSVLHRAVVNCDKERISIPTFYCPSYDAVMEPAPQLVDDHHPPLYRSFTYAEFYEKFWDRGLNTRSSLDLFKTTSHA >ONI23638 pep chromosome:Prunus_persica_NCBIv2:G2:23722112:23723828:-1 gene:PRUPE_2G200200 transcript:ONI23638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVAREFFHLPESERLKCFSEDPLKTTRLSTSFNVKTEEVSSWRDYLRLHCYPLEDYMHEWPSNPPSFREDVAEYCRNVKGLAERLLEAISESLGLEKDYMNRALGKHGQHMAINYYPPCHQPELTYGLPGHADPNVVTLLLQDDVAGLQVFNNGRWVAVKPMPHTFIVNIGDQIQVVSNDRYKSVLHRAVVNCDKERISIPTFYCPSYDAVMEPAPQLVDDHHPPLYRSFTYAEFYEKFWDRGLNTRSSLDLFKTTSHA >ONI24719 pep chromosome:Prunus_persica_NCBIv2:G2:26919285:26923525:1 gene:PRUPE_2G257900 transcript:ONI24719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAVFRSFNCSVGTVSHVRSSLDKPGMLPAYNTARPTVSRSYFQGLMVSEKFIYSPQKRRGVQVSCVKTSEAAKSEKSSDSKPQVSSERTTQPATFPNGFEALMLEVCDETEVAELKLKVGDFEMHLKRNIGATSAPVSSISPAAAPPIPSKPMVESAPAPPPAPAPAPKSSSEKATPFTNTSVDKSSRLAALEASGANGYVLVSSPTVGSFRRGRTVKGKKQPPICKEGDLIKGGQVIGYVDQFGTELPVKSDVGGEVLKLLFNDGEAVGYGDPLIAVLPSFHGININ >ONI24529 pep chromosome:Prunus_persica_NCBIv2:G2:26263652:26265431:1 gene:PRUPE_2G245200 transcript:ONI24529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYFFLSLAALLFTLSLLSGSTSLPTSTTTIGATYSAGTAATYAMPPTPDKVAHAVAALGLRSLRLEASDPTLVRAFLYSNTTLLLTIPNQMVPPLAANRSNALRWLYVHVVPFYPRTKISTISVGNDPLESSPDFSTFLLPAIRNLHLSLRDLGIHKISVSTTFSFISIITTPFPPSSSQFLEPALDTVVRPLLQFLRDTNSSFLVNIYPYKLYKIRSEIPIGFPLFQEHPFGFRDDLTTGVRYRNLFDMMVDAVISAMAVAGYENIPLIVTETGWPSFSTDPNEIDANPIYAELYLKGLLCHLRSGKGSPLRKEGVSETYIYELLDKQMRKGRNWGILYPNLTAKYKYIQFSGSYCRGFFDMLIMAVGQFLVFAFLRGNGSFSLL >ONI20188 pep chromosome:Prunus_persica_NCBIv2:G2:285538:287448:-1 gene:PRUPE_2G001800 transcript:ONI20188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVRLPVVLVLLLIISISLGMAAADSAKDRDECTQQLAGMATCLPYVQGQAKTPTPDCCSGLKQVLNSNKKCLCVIIQDRNDPELDLQINVTLALGLPSVCQVPANVSKCPELLHLDPKSPEAQVFYQLERNSTQTANSSLAPSPSVEAPASAKSVGSSSSSRNKVGVLQWKERDSSWCFALMVFYLFI >ONI20189 pep chromosome:Prunus_persica_NCBIv2:G2:286303:287203:-1 gene:PRUPE_2G001800 transcript:ONI20189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVRLPVVLVLLLIISISLGMAAADSAKDRDECTQQLAGMATCLPYVQGQAKTPTPDCCSGLKQVLNSNKKCLCVIIQDRNDPELDLQINVTLALGLPSVCQVPANVSKCPELLHLDPKSPEAQVFYQLERNSTQTANSSLAPSPSAVEAPASAKSVGSSSSSRNKVGVLQWKERDSSWCFALMVFYLFI >ONI22401 pep chromosome:Prunus_persica_NCBIv2:G2:18447713:18452274:1 gene:PRUPE_2G126900 transcript:ONI22401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRAAQQTPSSKAFRCCRYHVFLSFRGQDTRKTFTDHLYTALVNAGFRTFRDDDEVERGEGIKPELQKAIKHSRTSVIVFSKNYASSRWCLDELVMILEHKRISADHVILPVFYDVDPSDVRKQTGSLAKAFARHQKTQPSNKEKEWREALAEVADLAGMVLQNQGYESKFINKIVQVIGEKLRRRPLNVPHIMIGMHSRVHELNLWLQDGSDDVGILVIYGMSGIGKTTIAKSVYNTNFERFGGSSFIENIREISQQPNGLVQIQKQLLYDILIGRKVKIQSVSEGMTEIQDAISSKRVFLVLDDVDHISQLDVVLGMKDQFYPGSKIIITTRRAGLLKAHQVTKVHAVQTLDNKESLELFSWHAFGRDHPIEDYIEYSKKLVDHCGGLPLALQVLGSSLLGESIGVWKSALEKLKAIPNGEIVNKLRVSYDSLQDDHDRKLFLHIACFFIGKDKDCIVKILDGCDFYTIVGIQNLIDRCLVTIDEFDKVHMHDLICGMGREVVHQESEEPWKRSRIWHHKDSFKILLENNGTRTIEGLVFDMHMLPTNILIYSNEIVLETNAFAKMWELKLLHLGHVQFNGSYAEFCTGLRWLCWTKFPLDSIPTEFSLRSLVVLEMRYSSLRQVCKGTKCLPSLKILDLSHSHSLTETTDFSFCPNLEKLILVNCVSLIYGSIGNLERLVYLNMKDCKNLKMLPEDICMLKLLETLIISGCTSLNELSLEMLRNIESLKVLETDEIPLGELRPGRSSCILSSLSCSLVDLSLRGCNLSNDAFPREFSNLSSLRRLNIGDNPICSLPNCIKGLTRLKKLSFSQCQSLKLLEELPKVGTLEVVGCVSLEKITYQSFWSRRHTVLWNNPNLVEREYTYKLEPIGSVDAEMINLLGLSNLESMAPIRMRKRMWMSEKDDWNPVQGLYEKGIFSTFFAGNEVPGQFSHKSTKSPISFTVPLLDNHRIQGLKVFIVYTKLYAHSSGRALPGPIITRVKNKSKGLKWIYCPRCYGIPGEGEDMIWLSLWKLEQEVHLDGSDEVVVSVIMQPWLQVKEFGIQLVQHLQEGNTNMMTVSTDSSYYPSVKSGDSSYQPGVYLLFRRSKPIQDPIWFNKILEDSDDEDTTVKEEEQHYDQTIAVTTGSNESGGLRGWKGKVLISAACFFLTLSLIARSSLPHKKKRQSTSRG >ONI20816 pep chromosome:Prunus_persica_NCBIv2:G2:3783217:3785355:1 gene:PRUPE_2G035100 transcript:ONI20816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLCKAIGHEDRKPYIVYLGSLPHDELYSPLSHHLHILERVVEGSSVANALIRSYRRSFNGFAAKLTDCEREKLANMEEVVSVFPSRTYQLQTTRSWDFMGFGETITRNATTESDVIIGVIDTGVWPESDSFKDEGFGPAPKKWKGACYGGKNFTCNNKLIGARFFTSEEESARDEIGHGSHTASTAAGNAVKDVSFYGLVPGTARGGVPSARIAVYKICTLEGCTGEAILAAFDHAIADGVDIITISIGPNHASPLDVDPIAIGAFHAMEKGILTSNSAGNNGPEEGSVSSVAPWILTVAASSTDRRIIDKVVLGNGSTLVGSSVNSFSLNGTSFPLIHGKDASSKCPEIYAGICSPGCLDRDLVKGKIVVCDMFGGNVEAHKAGALGSILHSSAPDVSFVVPLPATGLSNQDYNVVKSFLNSTKEPRANILKSEAIKDDDAPIAASFSSRGPNQILPEIIKPDISAPGVDILAAYSPVASVTSSPEDKRSVKYSILSGTSMSCPHAAGVAAYIKTFHPDWSPAAIKSSLMTTAKPMNGTSTFPGEFSYGSGHIDPVKAIDPGLVYDASKEDYIRLLCIVLDEAKVRHISGNNSTCPKDSEKGSPKDHNYASLAANVTPMKPFTVTFHRTVKNVGLANSAYEAKIMPNPNFDIKVDPEVLSFKTLNEKKTFNLTIVGEGFPDGSHVFAELVWYDGTHSVRSPILVSSVGN >ONI24416 pep chromosome:Prunus_persica_NCBIv2:G2:25977691:25978964:1 gene:PRUPE_2G239500 transcript:ONI24416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAQCLVWQISRKDQIFKCSLSSPSSMNSTTWEEKAFAADAAGHGPLGGCIWPPRSYSCSFCMREFRSAQALGGHMNVHRRDRARLKQCLNPNNTAHHHHSHEAHHHHQLSKPSDHDHDDHHQPITSSISCPFASPSRAPSALVSIQKENSSGAERAFLSHHSATSAPSKSDHQLEKNIMTREEEDSRCLLGSYDHGDFNVETDLSVGLNISVVNRNRSQGGSCREEASISCKRPKTATAAISSFPFFLQSEAEVTGLMASSSMEDLDLELRLGDPPKVK >ONI22252 pep chromosome:Prunus_persica_NCBIv2:G2:17503626:17509013:1 gene:PRUPE_2G117100 transcript:ONI22252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGNLDEQIEQLLNVEKKMRTAGDVAGTKKAATDILELCFKAGAWKTLNDQIVVLSKRRGQLKQAVTAMVQQAMQYIDQTPDLETRIELIKTLNNISAGKIYVEIERARLIKRLAKIKEEQGLIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDIDPAKEKKKPKEGDNIVEEAPADIPSLLELKRIYYELMIRYYFHNNDYLEICRCYKAIYDIPSVRENPAQWIPVLRKICWYLVLAPYDPMQSSLLNSTLEDKNLTEIPYFKLLLKQVVTMEVIQWTSLWNTYKAEFESEKSMLGGSLGDKAAEDLRQRIIEHNILVVSKYYSRITLKRLAELLCLSVQEAEKHLSDMVVSKALVAKIDRPIGIVCFQTAKDSNNVLNSWATNLEKLLDLVEKSCHQIHKETMVHKAALKV >ONI22613 pep chromosome:Prunus_persica_NCBIv2:G2:19662766:19663595:1 gene:PRUPE_2G139700 transcript:ONI22613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAGMQRPVLTFVFGAMFGAFITRPSPQCCHCHHDHEGRKHSPGPLQAIDNKKIGSNQGVEVSTIPSTTPGMEN >ONI21818 pep chromosome:Prunus_persica_NCBIv2:G2:14358816:14362103:-1 gene:PRUPE_2G091100 transcript:ONI21818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENTEIVSKEEDPKGPKNLFSLFPKFKLQFPFLKQEPKAGVAVEDEPMKAVAGDEGLESKTQKPDTVRFPKAQLVVPPPVAVENEEPSTKTSNPIILWQVYAIGGFLVLRWIWARWNERRDRKEGSSDDERSSPDDERSSPDE >ONI20721 pep chromosome:Prunus_persica_NCBIv2:G2:3304380:3313844:1 gene:PRUPE_2G030700 transcript:ONI20721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPESLIASAAINIGLAIFILTLFSILKKQPSNDPIYFPRRLSESQRQSQSQSPSHRRDENRSALSLRRFLPSVSWISRAFRVSEDEILQTNGLDALIIIRLFKFGIKFFVVCSLVGLLILLPVNYSGDGLTAISHHSLDSFTISNISPGSNRLWVHFSCLWFISLYGVYLLYKEYNEILAKRIQQLLNIRHQPNQFTVLVREIPFCSQHKSRGCCVEKFFSKHHPCAYHSYQILYDGKEFKELLNQAKSIEKRIEDLRRRSVVKKHNQTPLLLNPSKEDSVKLSLYEENLEELHHKIHQLQCEDMLKEKELPVAFVTFKSRLGAALVAQSQQHSNPVLWITDAAPEPRDVSWRNLAIPHRVLPLCNFGVIVAASLLTIFFAIPVTAVQGIAKFEKLKKWFPPAMAVQLIPGLSSIITGYLPSAVLKGFIYIVPFAMLGMAKLAGCISKSKEEIKACNMVFYFLVGNVFFWSLLSGSLIDEIGESFTHPKDFPSHLASAVSAQADFFLTYILTDGLSGFSLEILQPGLLCWDAIKSCTYGRGKEKTPYLYSLPYYRIIPLVSLSVLIGIVYAVVAPLLLPFLIGYFLLGYAVFINQIEDVYETVYETCGQFWPCIHHYILIAIILMQITMIGIFGLKSKPAASISTIPLLVLTLMFNEYCKMRFLPTFNLLSIQNAKEFDELDEKCNQVEFNYENASTAYCPPCLRPLNCMESGSSCTAPLVSSSSF >ONI21525 pep chromosome:Prunus_persica_NCBIv2:G2:10862557:10863661:1 gene:PRUPE_2G071600 transcript:ONI21525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVITPLNRFGLHMHPSNQRPYSCCLNFLIYVPIIPHSQSHRGLSPLHCLSLSSFLSFPLHDRRDEANRAAFLQIQDRQLRTYSLRIEMGFRCRPR >ONI21257 pep chromosome:Prunus_persica_NCBIv2:G2:6656926:6661970:1 gene:PRUPE_2G055900 transcript:ONI21257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPSGIKIILVTHPSTGDLRESLKYIYNLYVESVVKNPLYTSGTPISKKRVTDSLRLKVQMKFLFMR >ONI21999 pep chromosome:Prunus_persica_NCBIv2:G2:15693953:15694543:-1 gene:PRUPE_2G101000 transcript:ONI21999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSYFGIPTSEALISKTLDEYKKHISIKCVREVGASIFEGKASVTDACCHDLASVGKNCHDLFFNFALAPKPNVGESRALAKSEQVWSPCVAITVSPTSSITIPTSEVLNLKILYECIKHISIQCAGEVGASIFEGGASVTNACCHDLVYVGKTCHDRFFNYVLASKPNVAKFSALVKSAQVWSRCVATCNYSFP >ONI21595 pep chromosome:Prunus_persica_NCBIv2:G2:11308242:11319159:-1 gene:PRUPE_2G074800 transcript:ONI21595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAREEKQKQAYEGMGAGGKFRKTPFRRTSHSTPYDRPPTSLRNPSTANDGWLSKLVDPAQRLISYSAHRLFSSVFRKRLPPPQSPSTGVNHEVRIKGKEDVTMYPPGVQKGTVDQSAGPSTTADGGEFTELKQILEQKTFTRSEIDRLTALLHSRTVDIPIENQEKRSEVVPSKPVVSHDKKEEFLKTPVQDKNGIESRLVSNPVVNTSVLHEDVASPAELAKAYMGSRPSKVSPSMLGLRGQAHHEDSPILSSLPIQSKSPMMSLVPWTSGNGGAQENGFVTPRSRGRSAIYNMARTPYSRVRTTTTFKGAGSTVDAYGGASSSQSVSEQSQPSGSKQGVLKRRFSVLDNDIGSVGPIRRIRQKPNLLSSRGLSSPVYSTTSSIRGTRISSEAAQNPPSSIWKPLSLGEPKHNALSENGDNAVPSTSFSSVPSKSSKMASKILEQLDKLVSPKEKPSETNLHTVRDKSPTKLSPSMLRGQALKSLEDADSSKFMDNVHNNKSNSKLNVSFDRLIPDARDFTSENEDKDKENGPLRIRAPCDSSAILMNGEDSTAEKKDIVPNVKTAVSTASNAVHPPQKKRAFRMSAHEDYLELDDDDNSMVETFSTEKSSIGTATATLEKPPAFSDGSVLAENGTNTIGSSASSFSKMVSTQPSSNTIGSSASSFSKMVSTQPSFTYDKVAPPEESNAGPSMFNFGGKVTSMKDSDAASPVFNYVSKSVDEVAQPQFAFASSPAVGESVDVKSGAFSVPKPESSSSVSAIVAAATNSVLKPLESDKADNKNNAGVSFRISETALFSVSSASSTTGIFSNGTPSNNSSLNNGSTPPFSPSVPSAFLTSPNSSGSMTLTANTNSGNNIASATAVTTDSCNVSSSAPAPSFSPVPNFKVESSTASTAVTTNSTNVPRSNPAPSMLAAPNLFESSTASIAVTPVPVNSSLDSFEGKNKEDKGFGNLSSTSFAATSAAIPSTGSGMFGLVSGGAATTSAANQSQGTRFGAGSGSVPISQQTGIASSAASPSFGLSGSTGQFGSTSAPNLFSSGASTGLGSSAPSLEANSSSSTAPGIFGSSWESSKSPAFASTTSLSAGLSYGASLATSAPTLFGGSSTAATPSNKPFVFGGSSTAAATTNSAPISFGASAVSSTNANGASMMFGSSNGASSTSMFPFTSSAASTAPSQPAFGNPNPAFPFGSSGNNDQMNFVDSMAEDTNQASTPTVPVFGQQPVFGQQPVSNPESGYVFGSTPAVPLANPFQFSSQQNLANPQNPSPFQASGSLGASQGGSFSLGAGGDKSNRRIVKVKHNRQRKK >ONI25004 pep chromosome:Prunus_persica_NCBIv2:G2:27657485:27659539:-1 gene:PRUPE_2G274100 transcript:ONI25004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLAISSAGGQYNGKMTPFVLLSCMVAATGGVIFGYDIGISGGVTSMEPFLKKFFPEVYSRMKSDKKISNYCKFDSELLTSFTSSLYIAGLLASLFASLVTRAYGRKPSILAGGAAFLAGSALNGAAFNIYMLILGRILLGVGVGFANQAVPLYLSEMAPAKHRGAINNGFQFSVGIGALSANLINYGTEKIKAGWGWRISLAMAAVPASMLTLGAFFLPETPNSLIQRSTDHQRAKQMLQHIRGVDDVEAELDDLIKANNVSKTIKHPFKKILERKYRPQLVMAIAIPFFQQVTGINVIAFYAPILFRTIGLGESASLLSAVMTGVVGTISTFISMLIVDKFGRRALFMVGGIQMLVSQIMIGGVMAAQLGDHGGVSKGYAYLVLVLICIYVAGFGWSWGPLGWLVPSEIFPLEIRSAGQSINVVVNFLFTFIVAQTFLAMLCHFKSGIFFFFGGWVSVMTVFVYLFLPETKNVPIEKMEMVWVEHWFWRRIVGDFSKDAKIEAP >ONI25118 pep chromosome:Prunus_persica_NCBIv2:G2:28052497:28054362:1 gene:PRUPE_2G282000 transcript:ONI25118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNKESRQFNEDSMEEDDLEDVSEPESEPESEDEEDVKLTEPSKKAIFNRDGLLDKLGDISWPENAGWVHKLSVDIDQEQQVDVNDDLTRELAFYTQAVEGTRKAFEKLQSMGLPFLRPEDYYAEMVKTDSHMERVKSRLLVEKKKIEEADERRKARDAKKLSKEIQAQKLKERAKQKKEDIESVKKWRKQRQQSGFAGGDKGSELDLAFEDGKPFEKSSNKRPGVAPGDRSGGKARQGVKVGKKPKKRDIKDSKFGYGGRKGSKKQNMAETTNDLRGFNKDSLSGNKKRKR >ONI23263 pep chromosome:Prunus_persica_NCBIv2:G2:22278096:22279198:1 gene:PRUPE_2G178500 transcript:ONI23263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKEQVRPLAPAANGQSSDADEAALHSKKFGLKKFIYCCGGITALLLILAVVIIILAFTVFRLKEPKIKMNKVTVTRLELINDNTTPKPGSNISLTADVSVKNPNAASFRYNNTTTTLYYHGVVVGEAHGSPGKAKARRTMRMNITVDVITDRLTSNPKWGADVGSGLLTMSSYSRIPGRVNMWNIIKRHVVVKMNCTMTVNISSQAIQEQKCKRKVTSRFVVYL >ONI22030 pep chromosome:Prunus_persica_NCBIv2:G2:15852323:15863078:1 gene:PRUPE_2G102700 transcript:ONI22030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLSVPHSLPRSPPLHSNPPRTAHSFSRFPLFRCSANLRASKNSQNSRVNARFNAPRLPVVEKLDVERFASISSSSSRETSSVGVNPQISVPPPPSNIGSPLFWIGVGVGLSALFSWVATNLKKYAMQQAFKTLMGQMNTQDSQFNNAAFPPGSPFPFPSAPASGPFASPFPATSQHSTVDVSATRVDAAPAAPASSATQAGQATPATPTIPATPATDANNDTELKKKSNSFAFVDVTPEETEQKSPFESSLKDVTEIGSSKDFQNDVKFSQNGAAFKQGFGASTESQSTGKANSISVEALEKMLEDPTVQKMVYPYLPEEMRNPSTFKWMLQNPQYRQQLEDMLNNMGGSNEWDNRMMDSLKNFDLSSPEVKQQFDQIGLTPEEVISKIMANPEVAMAFQNPRVQAAIMDCSQNPLSIAKYQNDKEVMDVFNKISELFPGVSGSP >ONI25238 pep chromosome:Prunus_persica_NCBIv2:G2:28472760:28473491:1 gene:PRUPE_2G290900 transcript:ONI25238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFKSAINSLSCFQAALVLVLLIATAETQMAEAQSSSSCSTELSSLNVCAPFVVPGSTNTNPSSDCCGALQAVHPDCLCNTLRVAARLPTQCNLSPITCDTN >ONI25908 pep chromosome:Prunus_persica_NCBIv2:G2:30096357:30097845:-1 gene:PRUPE_2G326000 transcript:ONI25908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLQRFMIRRCRIQGPNNTAISFADHLLTRSFFTTSLVHLDAQPNLQASTTSQDDPAKIIATQLSNCTTLQELNQIYAHVIRTHLLQLYTAPFHWNNIIRSYTRRDAPTKAIFVYLAMSRSGVLPDCYTLPIILKALCQLFAVEIGRQLHSVALRLGLDSNEYCESGFINFYSKAGEFENARNMFEQNPERKLGSWNAIIGGLSQGGRAKEAIDTFIELRKCGFLPDDVTMVSITSACGSLSDLGLALQLHKCVYQAKNVDKSDTLMLNSIIDMYGKCGRMDLAYRVFSRMEQQNVSSWTSMIVGYAMHGHVNEALECFRCMREAGVRPNHVTFVGVLSACVHGGTVQEGKFYFDMMKNTYGIKPHLQHYGCMVDLLGRAGLLEEARKMVEEMPMKANSVVWGCLMGACEKHGNVKMGEWVAKHLQELEPWNDGAYVVLSNIYASRGLWKEVERVRLIMHQRKLAKSPGYSLSTSAD >ONI20656 pep chromosome:Prunus_persica_NCBIv2:G2:2894674:2896536:-1 gene:PRUPE_2G027900 transcript:ONI20656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHQISSTLLENKRLSIPLLSASARSPTSSISSNSRSTSSEVDESPRDSGHFELQDHVKCQSPCVPFSGSFSYRSLAVLSGHVGSVSCLALCGEFILSASQGKDIIVWQQPDLRPFTKFGQGDGSVKALVTVGNKVFTAHQDSRIRVWKVSRRSENVFRLVDTLPTTKDYLGKFMKQSNYVQIRRHHKRLWIEHADSISCLAFYNGLIYSGSWDKTLKVWRISDLKCIESIKAHDDAINGLVACNGIVYSASADGKIKAWGKEGKSSHCLKGILEGHKDVSLNSVIVSEDGRWVYGGCSDGFVMGWQGSCGDVQNQIESWKLVCETKAHQMAVLSMCLMGEMLCSGSADKSIGIWKREAFGKLCKVGIISGHEGPVKCLQASPNNVGGGFMLYSGGLDKSLRVWWVPKPSNNRAEETTEEKSIILC >ONI20655 pep chromosome:Prunus_persica_NCBIv2:G2:2895084:2896364:-1 gene:PRUPE_2G027900 transcript:ONI20655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHQISSTLLENKRLSIPLLSASARSPTSSISSNSRSTSSEVDESPRDSGHFELQDHVKCQSPCVPFSGSFSYRSLAVLSGHVGSVSCLALCGEFILSASQGKDIIVWQQPDLRPFTKFGQGDGSVKALVTVGNKVFTAHQDSRIRVWKVSRRSENVFRLVDTLPTTKDYLGKFMKQSNYVQIRRHHKRLWIEHADSISCLAFYNGLIYSGSWDKTLKVWRISDLKCIESIKAHDDAINGLVACNGIVYSASADGKIKAWGKEGKSSHCLKGILEGHKDVSLNSVIVSEDGRWVYGGCSDGFVMGWQGSCGDVQNQIESWKLVCETKAHQMAVLSMCLMGEMLCSGSADKSIGIWKREAFGKLCKVGIISGHEGPVKCLQASPNNVGGGFMLYSGGLDKSLRVWWVPKPSNNRAEETTEEKSIILC >ONI22158 pep chromosome:Prunus_persica_NCBIv2:G2:16922483:16922915:1 gene:PRUPE_2G111100 transcript:ONI22158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFFTFTGFPGIFYQAPKKFKALKAVLQFPPNTLFPPPTRPDATGLLFLVDGSLELQTGDLFIFPKGLVHYQYNSVAQLPATAIAAFGSASARAVTVPPAVFTTGIDDVILAK >ONI23897 pep chromosome:Prunus_persica_NCBIv2:G2:24576116:24580455:-1 gene:PRUPE_2G214700 transcript:ONI23897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRIDIQSIYVPGSLYAICRVPPNIDGLRGWRMRSHAYKPMCFLCVFQYFYLHSFILFYIFFPTCQFCSLQGYYGHVGQHCNFPSLQDS >ONI25729 pep chromosome:Prunus_persica_NCBIv2:G2:29700658:29701286:1 gene:PRUPE_2G317100 transcript:ONI25729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSGDRDNKHHHLNFHLHFPHLLHHHVKNNKEPKDIPKGCLAVMVGQGEEQQRFVIPVIYINHPLFMQLLKEAEEEYGFDQKGPITIPCHVEEFRTVQGMIDRETSVHCRHHHNQQQHHQHHHVLCFRV >ONI24617 pep chromosome:Prunus_persica_NCBIv2:G2:26511167:26515194:1 gene:PRUPE_2G250100 transcript:ONI24617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQTYSVCFCFRRRFKLAISEAPPDIKALFDQYSENGVMTVDHLHRFLTEVQKEEKATKEEAQATIDHCLRELKHLNIFHRKVLNLEAFFKYLFGDLNPPLSPSIGVHHDMSAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIQALHRGVRVIELDIWPNSTKDDVDVLHGGTLTAPVQLIKCLKSIKEHAFVASEYPVVITLEDHLTPDLQAKVAQLVTQTFGDTLFSPGSECLKEFPSPESLKKRIIISTKPPQEYLEAKDAVKSENDPKSGKTQVDEEAWGQEVPDLKGAIVADDKQDELDENDSNGEEDNDKSPQHVAPEYKRLIAIHAGKPKGGLVECLKVDPDKVRRLSLSEQQLEKASEVYAKEIVRFTQRNILRVYPKGIRVDSSNYNPMIGWSHGAQMVAFNMQGYGRSLWVMQGMFRANSGCGYVKKPDFLLKTGPHNEVFDPKYKLPVKTTLKVKVYMGEGWYYDFKHTHFDAYSPPDFYARVGIAGVAADSVMKKTKTLEDDWIPAWDEEFEFPLTVPELAVLRIEVHEYDMSEKDDFGGQTCLPVSELRKGIRAVPLHSRKGEKYKSVKLLMHFEFV >ONI23150 pep chromosome:Prunus_persica_NCBIv2:G2:21901313:21902173:1 gene:PRUPE_2G172400 transcript:ONI23150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGLPWSGFAPRPACAGHPQPFLEILTRRPVLANIRVTLPAGVATPKPLSKDLGQYRAVNMMAFCKDFNAQTQMFKLRRTRGGDPEQHLRVHGKVTVCQLVPEEGHQPRLRQQPTQTRGRF >ONI25115 pep chromosome:Prunus_persica_NCBIv2:G2:28043260:28047319:1 gene:PRUPE_2G281800 transcript:ONI25115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWGIEVKPDEPYGYQSDEFKGRLHITQATLGLGESKERSIVQCSMGYKSPIFLCSLLPNKNESCPLDLEFEGVDGLIDFSVIGKRSVHLSGYFVDDDRDARDDYESDSFGEDIAETESESSEYDSDDAYDDGFIDDEDLDIYPPSPVPNSGVVIEEIEDDEKPTNGNIQSKRLLKKNKSSCLEDKNSQHQIVSKRNAGVPVLESEDEDGFPISTKQKSNFHIEKPEAATEQEENKISEKSKKKKVKDGDDTAGLKRKVDDVDQDGQPERRKKNKKKKKLREQAKEGNADEVNACTDNEKQPEIYKSQDINQALPVKKGSDINMDSFPGENHTEEKKKQKKKKKAQESGTKVDQTVANTEDPNEPTWEKTNGKPSKVRTFGNGFVIEDLSMGQPDGKRASPGQKVSVRYIGKLKNGKIFDSNVGGPPFKFRLGIGQVISGWDVGVNGMRVGDKRRLTIPPEMGYGRERTGKIPPNSWLVFDVELVAVN >ONI21026 pep chromosome:Prunus_persica_NCBIv2:G2:5254014:5257565:1 gene:PRUPE_2G046000 transcript:ONI21026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGEAFLSASVEVLCEKISSREFRDLFRGKKLDESLVKKLKITLLSLNAVLNDAEEKQFTNIYVKEWLDELQDAVFDADDLLDEINAEVLRCKVEADVKTQVLNFLSTSLNPFYQGMNGRIKELFDRLEHLAKQKDVLGLREGVVGGKISRRTPTTSLVDESCVYGRDGDKEKLMNLLLSDEASNKDVSVITIVGMGGVGKTTLAQLLYNDDKVKEHFNLRTWAYVSEDFDVTRVTKTLLESVSSKAYDNKDLSCLQVELGQQIKGKKFLFVLDDLWNENYGDLSLLQRPFASGARGSRVIVTTRNKSVASLVRTVPIHYLEQLSDEDCWLLLSKHAFENGNSSAHLELEEVGKKIASKCNGLPLAAETLGGLLRFDTNYEEWNSILNSNIWELPPEKCNTMPALRLSYHYLPTHLKQCFAYCSIFPKGYEFQKEDIVLLWVAESLIPQAESEKRMEELTKKYFDDLLSQSFFQRSRTFKSHFTMHDLINDLAMSLSKESCLRWEGGESHEVLKRVRHLSYASGQFDCAVKFEPLYEVKHLRTFLPLGRERGTDYISKKVLHELLPNLTCLRVLKLSNYGNIVELPNSIGNLIHLRHLDLSNTAIKRLPATICTLYSLQTLLLVGCESLFELPADMRKLINLRHLDCSGTQIEEMLVKMSRLKSLRTLTTFVVGKSTGSTIGELGELSHLGGKLSNLKLDNVVDGSDALQANLKNKQDLKDLELAWGSKDADHSEKVRDVLDKLQPGMNLEKLTIKRYGGTSFPNWLGDSALNKIKVLRLEGCRYCFELPPLGQLPSLKELNICRMEFLRTLGPEFYGQPFQPFQSLEMLEFREMAEWEEWVPSGSEGPNFPRLRRLILSRCPKLRGSLPCDLPCLKKLSVKGCRVLHDQRVTATTSTSTSLNYNCLEELEIEDGCQTGLLSLLETKLLSLLYVGRCNDIQCLPNINRLQSLTLWRCPTLLSFPEDGLPTSLTSLKINSCWRLEFLPHEMLAQLTSLRYLSLENSCDSMRSFPLGIFPKLTTLIIRNCDFQL >ONI25132 pep chromosome:Prunus_persica_NCBIv2:G2:28103929:28105098:1 gene:PRUPE_2G283000 transcript:ONI25132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSNKKHPREPPAVPFLWEERPGIPKKDWKPPVVSSNSSFPAPHIVKLVASVPFKWEEKPGTPLPSFSEPTLESACPSSLPLQLITFPSPPISSHQYDYDGENEDYGDDISGNGDGEDGAPSMFNLELEAFDFETDDSFISAPALLANCLVPSIAISTAVPADKSTPTEDKSAWPETPSSPASEAGSSTSSYATGVSSLVGASFLECLFPLIPANSGFLEKIGQSGNSSLTPPEPKSAHFDRESNGSAIVWRPKTLGELIMMSRKGSYRRKAVQMRKHNLSKELMENRAFGCCIFGPGIKMIEGLYSKRKNLPTLKLV >ONI24671 pep chromosome:Prunus_persica_NCBIv2:G2:26719925:26722670:1 gene:PRUPE_2G254200 transcript:ONI24671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNDLFTSLIAEIKAYSGKDPLLPWLRGIRRMNDSLPPHTLKEKLPRFLQKCAQTFESDRRYRNDLRYIRVWLKLMDFVDDPRALLRTMEANQIGTKHSLFYQAYALYYEKNKKFEEAEKMYHLGVQKLAEPIGELEKSYEQFLRRLERHRRHQNQEKRTTKRPLSDRSIPPSGERNKENACRTGARPIEPEHDANISKHQVTAKIGSDESRMFGSDDTVVAKFVDTAIVGKSEAEDACHHGLVDPTINMKEAMSAINNMFREPLETAPVSRKSRQCQRRDDNLGNEFKVFVDENLDNRGKSEDKTEELSLPVLQHRRTVTQQSRQEPLNIFIDDNKDSPDQSDVQNSTEDSTSPASHGNVFVFPNPKYLPGESSGDLDVGGSSQPRFREDTMVCRFVGSTISDEPQVENVCHHGLVDPTINLKEAMDDINNMFGKPMEFVRKRRVKKQDKGIDRKNDFGVFSILPDDNLDHKQEKKMPDLPRRSRDADLFEATVTTKEAMDEINKMFGMPLDF >ONI21463 pep chromosome:Prunus_persica_NCBIv2:G2:9525062:9532895:1 gene:PRUPE_2G067500 transcript:ONI21463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFVLTFAAQGVLTKVVALATEQLSVAWGFKGELAKLENSLSLMQNILRDVEEQPTDRGHTVKAWVKKLKDIAQDADDVLDEFQYEVLRSKLELQNHMKKKVLNFFSISNPIAFRLKIGRKIKNINQLLVDLRSEASLIGLVAKQKEATTQIMGNRETVSSFDEDEKIFGREELLSDIVKTLINPSNHENLSVMPIVGMAGLGKTTLAKSVYNEPEIDKSFDKKIWVCVSNDFDVNSILRRILEILNPTKARIESREALLKNLKEELAGKRYILVLDDVWNEDRTKWSNLMSCLSKLGSHGSTVIVTTRSANVASITETNPNLRCNLDTLQEDECWSILKDKAFPSYGNAPITAHLETIGRQIAKRTINEWLSIQESKIWELPESEDRIMSILKLSFDNLKSASLKHCFAYCSIFMKDFEIERENLVQLWVAEGLLHSSSNPDLEMEDIGNAYFNILLQNSFFQDVIKDEYGVVITCKMHDLVHDLAELVSKYDREDKPDIQHMAQTPIIPQGFSKSNVGKLRSLFSNGEGLSNSLSSFNALRVLNLYNAKIVELPSSIGRLKHLRYLDVSGTRIKELPKSIGKLYNLQTLRMCDTWNLGRFPKEMENLINLRHVYFDEDKEVPFGMRRMTHLQTLRYFTLDRKRNHEIGELGGLNQLKGELTIRSLEQVRDKDEAEESNLGGKANIRILTLEWGSYSPKNNTESYVLEGLLPNPKLEILKIENFMGVKLASWMMSGLLLLNLKEIRLSNCKECEEVPSLGHLPHLRHVEFRGMDKLKCVGFEFYGYNHVYGGAAGTSTKRIEMMAALFPSLKTLSFYDCPALIEWKDVDVIMPTDEKAVVFPCLEELTLWKCRDLRNAPNRFPSLQKLFIHDSDHVMPIENICSQLTTLTHLIIRKAKELSCLPVGMLEKNQNLRSLLIGDCEKLSHLPDGLHTLCLLEILEIADCPKLTCISIHSLTSLRGLHIENCGGSMNLQMVDKEFSLDDLTSYQCNGLKSILISGLQSCTSLRWVRIINCQNLRHLPVDGLQTLVSLEELYIEDCTNLEAIPSLDNLTSLCELSIRGCDGLTSLPRGIQSRTSLKKLTISKCHNLISLADVDVSRLQSLSNLEIFDCRKLKYLPTGLRSLSLERMKSGMFWEELDSFPDFELPSQIRWLKISGWPKLKSLPQQIQHLTTCLQYLSVRSFDSIEAFPEWLGSLTSLITLRIKDCKNLMYLPTVEVIQRLTKLRELDIDGCPCLAERCAKESGPEWHKIWHIPDIIVDGVDQFEESESSSHDSTDSNPHQTSTVRCSCFPS >ONI25756 pep chromosome:Prunus_persica_NCBIv2:G2:29778511:29781724:-1 gene:PRUPE_2G318500 transcript:ONI25756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIEALPELSERPANTMEYTGLMVFLCPIWMVLLLGVVIGWSWKPKWAPFGNGKDKFGCSVSKGFDFSLPSSPSRSLMSPLKGFSSAPCLNSFELASQGCEALFMDREFERTTSASPSEFDEGSTSQLNEEKSNAVTEEDLDLLCTLVDMKDGGPTWIQMMERSTPTMNYQGWCRDPEIGPPQYRSRTIYEDATPEMVRDFFWDDEFRLRWDDMLRHSTTIEECPTTGTMVVQWIRKFPLFCKDREYIIGRRIWESGRLYYCVTKGVPYASIPRNDKPRRVDLYYSSWCIQAVESRRANGQLTACEVLLFHHEDLGIPWDIAKLGIRKAIWGTIKKIEAGLRAYQTERALGLPLSRSAFMAHINTKIHPEYLKASGDTEDSSQTEVVTASGKAVRRHISKLLIFGGTIILACTLNRGLLIKTLVFGVTRTFAKVGKKL >ONI22066 pep chromosome:Prunus_persica_NCBIv2:G2:16168486:16170776:1 gene:PRUPE_2G104700 transcript:ONI22066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEPRMGDLLTSNPVIELEGDEFWPLSGKPFFDVVLTKTNIKRLYQLVVPGKFSATLPSCSIPTVLIFRGKNWEMIYHGSSSHKRLDNWRAFAVDNNLKVGDACVFEQLECSSTRLVFRVQILRGDISSEFLDKLGGDNVDAPIVLE >ONI22067 pep chromosome:Prunus_persica_NCBIv2:G2:16167336:16170928:1 gene:PRUPE_2G104700 transcript:ONI22067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEPRMGDLLTSNPVIELEGDEFWPLSGKPFFDVVLTKTNIKRLYQLVVPGKFSATLPSCSIPTVLIFRGKNWEMIYHGSSSHKRLDNWRAFAVDNNLKVGDACVFEQLECSSTRLVFRVQILRGDISSEFLDKLGGDNVDAPIVLE >ONI22065 pep chromosome:Prunus_persica_NCBIv2:G2:16167715:16170776:1 gene:PRUPE_2G104700 transcript:ONI22065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEPRMGDLLTSNPVIELEGDEFWPLSGKPFFDVVLTKTNIKRLYQLVVPGKFSATLPSCSIPTVLIFRGKNWEMIYHGSSSHKRLDNWRAFAVDNNLKVGDACVFEQLECSSTRLVFRVQILRGDISSEFLDKLGGDNVDAPIVLE >ONI23493 pep chromosome:Prunus_persica_NCBIv2:G2:23050006:23050840:-1 gene:PRUPE_2G191100 transcript:ONI23493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQVVPMHNRVHQPCAACRMLRRRCDRNCSLAPYFPGEEIEKFAGVHKVFGASNVIKMIQMVEETRREDAVKALVYEARARLRDPVYGSTGAIFHLQKMIEELRSQLESIRSQVLELQQHRDQLLGILMNNVHCLEDDLFSTMHHDPMFGGDL >ONI25203 pep chromosome:Prunus_persica_NCBIv2:G2:28349995:28351201:-1 gene:PRUPE_2G288500 transcript:ONI25203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFEYQCYWIILICVLKSCNECAYMLLLSVIMLINSQIIQLSNKIFEPGNRARN >ONI23664 pep chromosome:Prunus_persica_NCBIv2:G2:23788189:23790885:1 gene:PRUPE_2G201100 transcript:ONI23664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVECGGRMTTLFVQYSPGNIRLMKCGKCKAVADEYIECEPIIILIDLILHKTKAYTHLLYNVIDPQAPSFQGLLWKSTFGFLLLDAYRSLFLERSKEEWGLSMSFASLLWRFQKMLMDVVFGNIMFLSTFLLCMRVLFSTFPRPLRYKDLLLAIFISSYFKMFLIAMMVWECPSVIFIIDLFVLSSNTVALKVITQAAMSRCIAACFSAHVVKFLVTQRCWSWEL >ONI23665 pep chromosome:Prunus_persica_NCBIv2:G2:23788296:23790885:1 gene:PRUPE_2G201100 transcript:ONI23665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRCVECGGRMTTLFVQYSPGNIRLMKCGKCKAVADEYIECEPITKAYTHLLYNVIDPQAPSFQGLLWKSTFGFLLLDAYRSLFLERSKEEWGLSMSFASLLWRFQKMLMDVVFGNIMFLSTFLLCMRVLFSTFPRPLRYKDLLLAIFISSYFKMFLIAMMVWECPSVIFIIDLFVLSSNTVALKVITQAAMSRCIAACFSAHVVKFLVTQRCWSWEL >ONI23006 pep chromosome:Prunus_persica_NCBIv2:G2:21389963:21395718:-1 gene:PRUPE_2G163900 transcript:ONI23006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSLISGNCGRRNGGEDHGSELLHRFSGKDLFASDLLRISFRKGDGIWSKDLEGHRIRNRNKFRVTAKIKKGKQYDYPWPHDIDPNISSGHLSYLSHFKPLTEKPKQVTLPFEKPLVDLEKKITEIRRMADETGLDFSDQIAALENKYQQALKDLYKHLTPIQRLSIARHPNRPTVLDHILNITEKWVELHGDRAGYDDPAIVTGIGSMDEKSYMFIGHQKGRNTKENIARNFAMPTPHGYRKALRMMKYADHHGFPIITFVDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPVVTVVTGEGGSGGALAIACSNKLFMLENSAFYVASPEACAAILWKSSQAAPKAAEKLRITAQEHYRLKIADGVIPEPLGGAHVDPAWTSQQIKITITQAIKELENMNTEELLQHRRLKFRSIGGFQEGIPVEPKRKRNMKPSEVNMPKAADIELEIENLKKKILEAKGPSDPITTQAIEKLKKDVDKEMTNAFISMGLQEKLESVKLELSKASKDTSSQPLNRNLKEKVDKIMQEFNHNLSQPGAYLGLKEKLEKLNLVSRLIEKEERKQKLKAEINQKVPAELKEKMELLKNAEEKISKGEPVDKELMEEVEAVKKELLEVLKSANLEVVGVTKKNVVTAPPELKEKIEKVNTEIYEEIERVINEAGISGRIEELKAGIAKGSSSEDIEKAEAKIKEEILATLDVEALKEKVKSLTVELDLPEETVAEGEISAENGKFQ >ONI21644 pep chromosome:Prunus_persica_NCBIv2:G2:12017537:12023973:1 gene:PRUPE_2G078000 transcript:ONI21644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFHNDQTDETSEESVVGFTPPPAPASEPSPPQLNQPPLSQTKSFEKFSIKLRKRMARLANEVVKLTGCVHWGIPLKEPFTENMEVKQENDENFIPNSHTSTHLSTSISGLHPNKKAKKHPLAQAIGEAADSQKEFSASQMNRNSEERMYLQWSQRYRTSVDCKF >ONI22195 pep chromosome:Prunus_persica_NCBIv2:G2:17168568:17172597:-1 gene:PRUPE_2G113500 transcript:ONI22195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISYTPSKLHYKETKKNMASVPGILTEWPWKALGSLKYVILAPWIIHSTWLFVANDAKERDVSYFLLLGVVLWRIIHNQIWISLSRYRTAKGNGRILDRGLEFEQVDRENNWDDQILFNALLFYTGSRYLPGAQKLPLWRAHGVLLTIVLHAGPVEFLYYWFHRALHHHYLYSRYHSHHHSSIVTQPITSVIHPFAEHVVYSALFFIPILGTMLTRTLSVVSFTAYITYIDFMNNMGHCNFELIPNWLFSLFPPLKYFMYTPSYHSLHHTQFRTNYSLFMPIYDYIYETIDKSSDTLYKTSLKREEETPDVLHLTHLTTPESIYHLPLGFASLASQPHTSKWYLWLMWPVTLWSMILTWIYGRTFVVERQRFDNLILQTWAIPKYNLQYYLQWQNEAINSLIEEAIIQAEEKGVKVLCLGLLNQGEELNRYGGVYVHRHPHLKIRIVDGSSLAVAITLNTIPKGTTQVLLRGNLTKVAHAVAFALCQKGIQITTLHHDEYLKLTKSLSGMESSLVLAKSYAHKIWLVGDGLSEEEQLRAPKGTLFVPFSQFPPKKLRKDCFYHYTPAMKTPPSLENVHACENWLPRRVMSAWRIAGIVHALEGWKEHECGYNMSNIDKVWQATLQHGFQPLIISTTHTKN >ONI23374 pep chromosome:Prunus_persica_NCBIv2:G2:22653031:22655162:-1 gene:PRUPE_2G185300 transcript:ONI23374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKALTGKTITLEVEGSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESALHLVLRLRGGMQIFVKTLTGKTITLEVEGSDTIDNVKAKIQDKQGIPPDQQRLIFAGKQLEDGRTLADYNIQKEPTLHLVLRLRGGMQIFVKTLTGKTITLDVESSDTIGNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVETLTGKTITLDVEGSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGDV >ONI21036 pep chromosome:Prunus_persica_NCBIv2:G2:5445798:5452769:1 gene:PRUPE_2G046900 transcript:ONI21036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALVGEAILSASVQVLFDKIGSSEFVDLFRRKKLDESLVKKLEITLLSLNAVLNDAEEKQFLNSYVKEWLDKLQDAVFDADDLLDEINAEVLRCKVEAEYRTVKTQVWNFLSTSLNPFYQGMNGRIQELFDRLEHLAKQKDFLGLREGVVGGKVSQRTPTTSLVDESCVYGRDGDKEKLMKLLLSDDASDKDVSVITIVGMGGVGKTTLAQLLYNDEKVKEHFNLRTWAYVSEAFDVTRVTKSLLESVSSKAYDNKDLSFLQVELGQQIKGKKFLFVLDDLWNENYGDLSLLQRPFASGAKGSWVIVTTRNESVASLVRTIPIHFLKQLSNEDCWLLLSKHAFENGNSSAHLELEEVGKKIASACNGLPLAAETLGGLLRFNTNYEQWNSILNSNIWELPPEKCNTIPALRLSYHYLPTDLKRCFAYCSIFPKGFEFRKEDIVLLWVAESLIPQAESEKSMEELTKKYFDDLLSRSFFQRSRNEKFTMHDLINDLAMSVSRESCLRWEGGESHEVLKKVRHLSYAIGQFDCAAKFEPLYQVKHLRTFLPLRSKEWWFEHYVISKRVLPELLPNLTCLRVLTLSEYDNIVELPNSIGNLIHLRYLDLSNTGIKRLPSIVCTLYSLQTLLLASCRSLFELPADMRKLINLRHLDCSGTQIEEMPVQMGRLKSLRTLTTFVVGKSTGLTIGELGELSHLGGKLSILKLNNVVDGRDALQANLKNKQDLKELELAWGSKDADHSEKVRDVLDNLQPCMNLEKLTIKRYGGTSFPNWLGDSALNKIKVLLLEDCRYCFELPPLGQLPSLKELNICRMKFLRTLGPEFYGQPFQPFQSLEMLGFREMAEWEEWVPSGSEGPNFPRLRRLILSWCPKLIGSLPCDLPCLKKLSVKGCGVLHDQRATATTSTSTSLNYNCLEELEIEDGCQTGLLSLLETKLLSRLYVTRFNDIQCLPNINRLQRLSLSKCPTLSSFPEDGLPTSLTSLNIYSCRRLEFLPHEMLAQLTSLSSLILENSCDSMRSFPLGIFPKLTTLDIRNCENLESLCLIEEEGAVENLSHLNNLRISSCPNLECFPQGGLPTPNLTCLVFRRCKKLKSLPERIHTLTALERLSISDVPNLESIAEDGGLPPNLRYFSIENCVRLRASSSSVGDYCNWGLQAVEEFTIGGRGSDEILETLLKQQLLPTTLHTLWISSLSTLKYLDGKGLAHLTSLQELFIAGCDSLEFLPGEALQHLTSLQKLNICNCPSLQFLPEEGLPPSLSYLKIVGCSALEKRYQNKTGQDWASISHIPYIRINDLRNFPMFGGQSNLYRQPCPRRVYHCIWIFVFLQTNCGKEGEGNVLKAEL >ONI25179 pep chromosome:Prunus_persica_NCBIv2:G2:28265246:28266617:1 gene:PRUPE_2G286500 transcript:ONI25179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQTAASHSNPTVDPYHHLQIAPNPDDTITRLAEYPNSPPTCDSNLPTPVLSKDIPINQSNNTWVRLFLPRHVLDQSPSPTATPTTKLPLVIFYHGGGFIILSAGSTIFHDFCVNLAIDVPVVIASVDYRLAPEHRLPAAYDDAMEALHWIKTAQDDWLTDYADLSNCFLMGSSAGGNIAYHAGLRAVVGVDHLKPLKIRGLILQQPFFGGTQRSGSELSMANDPVLPLSCCDLMWDLSLPRGFDRDHEYCNPTVGGGSKHLDEIKALGWRVLVTGCDGDPLMDRQIELVKMLEEKGVQVVGRFIQGGYHGVEDVELSKAHELFVVFRSFIIQL >ONI25813 pep chromosome:Prunus_persica_NCBIv2:G2:29896245:29899120:-1 gene:PRUPE_2G321800 transcript:ONI25813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFKNRYMVLEVILDPNRELVRKDPVIITSYNVTNAIKDSILENFGKCGLASLFGSFLVKYVNDITRLCIIRASREEYQKVWSAVTMVRSIAGCPVLFNLLDLSGSIKACKKAALKCDELKFEQHKIAVGSNLSAQDTQKMQNYLDKIKGLEH >ONI20917 pep chromosome:Prunus_persica_NCBIv2:G2:4470653:4483827:-1 gene:PRUPE_2G040800 transcript:ONI20917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPCCSVCQTRYDEEERVPLLLQCGHGFCKDCLSRMFSSCTDTTLVCPRCRHVSVVGNSVQALRKNFAVLALIHSSSNAVSSASAANFDCDYTDDEDGDDDDEDDGDRRCSRGSHTSSSGGCGPLMELAVHQDLRLVRRIGEGRQAGVQMWTAVIGGGGGRCRHKIAVKKVAVAEETSMDWVMGQLENLRRASMWCRNVCTFHGAMKSEGTLCLVMDRCYGSVQSEMQRNEGRLTLEQILRYGADIARGVAELHAAGVVCMNLKPSNLLLDSSGHAVVSDYGVAAILKKPSCRKARLECDTSRIHSCMECTMLSPHYAAPEAWEPVKKLLNPFWEDAIGISTESDAWSFGCTLVEMCTGSIPWAGLSTEEIYRAVIKARKLPPQYASVVGVGIPRELWKMIGECLQFKASKRPSFSSMLATFLRHLQEIPRSPPASPDNGLAKCSGSNVTEPSPVSHSEVFHANPTLLHRLVSEGDVHGVRDLLEKAAAESDNSAVLSLLEAQNADGQTALHLACRRGSAELVDAILEHREANVDVLDKDGDPPLVFALVAGSPECVRALINRGANVRSRLREGFGPSVAHVCAYHGQPDCMRELLMAGADPNAVDEEGESVLHRAVAKKYTDCALVVLENGGSRSMSVLNSEKYTPLHLCVATWNVAVVRRWVEVATPEEIADAIDIPSSVGTALCMAAALKKDHEIGREMVHILLASGADPTAQDAQHGRTALHTASMANDVELVKIILDAGVDVNIRNVQNTIPLHVALARGAKSCVGLLLSSGANYNLQDDEGDNAFHIAADAAKMIRENLEWLIVMLRNPDASVEARNHSGKTLRDFLEALPREWISEDLMEALVNRGVFLSPTIFDVGDWVKFKRSITTPTYGWQGAKHRSVGFVQGAPDKDHLLVSFCSGEVRVLANEVVKVIPLDRGQHVQLKPDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCIRPDSSLLLELSYLPSPWHCEPEEVEPVIPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLVIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVPSPKYGWEDITRNSVGIIHSLEEDGDMGVAFCFRSKPFSCSVTDVEKVPPFELGQEIHVMASITQPRLGWSNESAATVGKIVRIDMDGALNVKVPGRQSLWKVSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWNSIGKESLAVVHSVQDTGYLELACCFRKGRWITHYTDVEKVPCLKIGQYVRFRTGLVEPRWGWRGAQPDSRGIITSVHADGEVRVAFSGLPGLWRGDPADLEIEQIFEVGEWVKLKDHASIWKSIGPSSVGVVQGLGYDGDKWDGTTFVGFCGEQEKWVGPTSDLARVNRLMVGQKVRVKLSVKQPRFGWSGHSHASLGTISTIDADGKLRIYTPAGSKAWMLDPSEVELVEEEELHIGDWVRVKASVSTPTHQWGEVSRSSVGVVHRMENEELWVAFCFTERLWLCKASEIERVRPFKVGDKVRIREGLVSPRWGWGMETHASKGQVVGVDANGKLRIKFRWREGRPWIGDPADVALDKST >ONI20918 pep chromosome:Prunus_persica_NCBIv2:G2:4471091:4483438:-1 gene:PRUPE_2G040800 transcript:ONI20918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPCCSVCQTRYDEEERVPLLLQCGHGFCKDCLSRMFSSCTDTTLVCPRCRHVSVVGNSVQALRKNFAVLALIHSSSNAVSSASAANFDCDYTDDEDGDDDDEDDGDRRCSRGSHTSSSGGCGPLMELAVHQDLRLVRRIGEGRQAGVQMWTAVIGGGGGRCRHKIAVKKVAVAEETSMDWVMGQLENLRRASMWCRNVCTFHGAMKSEGTLCLVMDRCYGSVQSEMQRNEGRLTLEQILRYGADIARGVAELHAAGVVCMNLKPSNLLLDSSGHAVVSDYGVAAILKKPSCRKARLECDTSRIHSCMECTMLSPHYAAPEAWEPVKKLLNPFWEDAIGISTESDAWSFGCTLVEMCTGSIPWAGLSTEEIYRAVIKARKLPPQYASVVGVGIPRELWKMIGECLQFKASKRPSFSSMLATFLRHLQEIPRSPPASPDNGLAKCSGSNVTEPSPVSHSEVFHANPTLLHRLVSEGDVHGVRDLLEKAAAESDNSAVLSLLEAQNADGQTALHLACRRGSAELVDAILEHREANVDVLDKDGDPPLVFALVAGSPECVRALINRGANVRSRLREGFGPSVAHVCAYHGQPDCMRELLMAGADPNAVDEEGESVLHRAVAKKYTDCALVVLENGGSRSMSVLNSEKYTPLHLCVATWNVAVVRRWVEVATPEEIADAIDIPSSVGTALCMAAALKKDHEIEGREMVHILLASGADPTAQDAQHGRTALHTASMANDVELVKIILDAGVDVNIRNVQNTIPLHVALARGAKSCVGLLLSSGANYNLQDDEGDNAFHIAADAAKMIRENLEWLIVMLRNPDASVEARNHSGKTLRDFLEALPREWISEDLMEALVNRGVFLSPTIFDVGDWVKFKRSITTPTYGWQGAKHRSVGFVQGAPDKDHLLVSFCSGEVRVLANEVVKVIPLDRGQHVQLKPDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCIRPDSSLLLELSYLPSPWHCEPEEVEPVIPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLVIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVPSPKYGWEDITRNSVGIIHSLEEDGDMGVAFCFRSKPFSCSVTDVEKVPPFELGQEIHVMASITQPRLGWSNESAATVGKIVRIDMDGALNVKVPGRQSLWKVSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWNSIGKESLAVVHSVQDTGYLELACCFRKGRWITHYTDVEKVPCLKIGQYVRFRTGLVEPRWGWRGAQPDSRGIITSVHADGEVRVAFSGLPGLWRGDPADLEIEQIFEVGEWVKLKDHASIWKSIGPSSVGVVQGLGYDGDKWDGTTFVGFCGEQEKWVGPTSDLARVNRLMVGQKVRVKLSVKQPRFGWSGHSHASLGTISTIDADGKLRIYTPAGSKAWMLDPSEVELVEEEELHIGDWVRVKASVSTPTHQWGEVSRSSVGVVHRMENEELWVAFCFTERLWLCKASEIERVRPFKVGDKVRIREGLVSPRWGWGMETHASKGQVVGVDANGKLRIKFRWREGRPWIGDPADVALDKST >ONI25399 pep chromosome:Prunus_persica_NCBIv2:G2:28896501:28897474:1 gene:PRUPE_2G300600 transcript:ONI25399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQLATVINPTPSFLTNTNPFKPKTLKPNPSSPFTVRSVLQYNRKPQLSGDTPRVVVITSGKGGVGKTTTTANVGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPMGFGGKALVWVVDALKARQEGCPDFILIDCPAGIDAGFITAITPANEAVLVTTPDITSLRDADRVIGLLECDGIRDIKMMVNRVRTDMIKGEDMMSVLDVQEMLGLALLGMIPEDTEVIRSTNRGYPLVLNRPPTLAGLAFEQAAWRLVEQDSMKAVMVEEEPKKKRGFFSFFG >ONI25764 pep chromosome:Prunus_persica_NCBIv2:G2:29805588:29806118:-1 gene:PRUPE_2G319300 transcript:ONI25764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQYSSKRGQYQKDNYILDHDHDHRPEMNSLPAAATAPSPWWLVPLPVHCIAESVKNQLPVVQYWDFLKIKTGQPEAEAEDSNTNDHMCIVCMNSMEGSQGVRKLCNCSHAFHKECLDVWIDEGHLTCPLCRSDLLPNSTPKSNHHHHHKEMGEFGEDPWKAERMIYLFGEDELA >ONI21194 pep chromosome:Prunus_persica_NCBIv2:G2:6069082:6071138:1 gene:PRUPE_2G052300 transcript:ONI21194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDHGVVSQSTPSLHAMAVAQTPTILRLPETSAAWAKKALDLGLQGIMFPMIESSQGCQKRGLICSMFRIYANIIKICIVDEGVGVVAFEEGQI >ONI25415 pep chromosome:Prunus_persica_NCBIv2:G2:28947080:28950937:-1 gene:PRUPE_2G301800 transcript:ONI25415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDDIFCCQTDFWVFIVICLVLVSFAGIASGLALGLLSFSQVDLEVLIKSGQPRDQKNAAKILPLVKNEHLLLCTLLIGKSLAMEALPIFLDSILPVWAAILVSVTLVLAFAEIIPQAVCSRYGLSLGAKMSHLVRLLLVVFLPLSYPFSKLLDCLLGKGHSALLRRAELKTLVDLHANEAGKGGELSHHETTIIGGALDLTLKTAKDAMTPISETFSLDINSKLDMHTLGLIMSKGHSRIPIYSGSRTNIIGLILAKNLIFSRPEDETPIKYLTIRRIPRVYDCWPLYDILHQFQKGHSHMVAVVKSKKDVKGEKENKDCKPSFLETETNSRLHSTLAESKGLNSRNDQNYGLRFSMDTSPIYSSETEYCSSTSKHVMEQDEESQTQSNRHKQGQGRNTSSENLESLQSSTDEEVIGIITMEDVMEELLQEDILDETDNYVDVHNKIKINLLPYSRRSSPSKSPRKSASHIYWRTPESSPLSSYSTPILRSPTSPYIQLPIFIRPRLYASPAKSILVTSPTGSPGLLCSSPSSHQASRKSNEKLSGDHIASTH >ONI23342 pep chromosome:Prunus_persica_NCBIv2:G2:22529870:22532174:1 gene:PRUPE_2G183000 transcript:ONI23342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQSPVKPVLQKPPGYRTPNYPAQPVPGPPPPRKPVYPPTLRQKQKKRGGSCCKICCCVFCAFLLIVVILVALAGGIFYLLFDPRLPAFYLISFQIPKFDAVSKSDGTHLDVQAVTSVEVKNPNPKLDIYYSEGFEMSLSIGDENDGGLGIGTKEVKGFTQRHRNTTYVKVESGVRNKVVEQPVGKKLLGQFKSKEIKVALEGKTRVGYVIQGWRVGTMQINVLCGGVRLKNVDAGDMPKCTINAFKWINIR >ONI24049 pep chromosome:Prunus_persica_NCBIv2:G2:24936701:24939195:-1 gene:PRUPE_2G221200 transcript:ONI24049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGTLLDEALGSLHLSGRVVLLEDCVETSAAFVLHHILKRSLSQPPHSSNVVVFVAFAHPFSHYDRILRRLGCNLVVQKDNNRFFFFDVLVDCPDGEEGKNSDGGLVALYGKIQKTISALPQENKNCITVMIDDISLMEVAAKGSTNLVLDFLHYCHTLVSEFGCSLVMLNHDDIYSDTVRPSLILQMEYLADILIRAEPLATGLASDVHG >ONI24048 pep chromosome:Prunus_persica_NCBIv2:G2:24937100:24939063:-1 gene:PRUPE_2G221200 transcript:ONI24048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGTLLDEALGSLHLSGRVVLLEDCVETSAAFVLHHILKRSLSQPPHSSNVVVFVAFAHPFSHYDRILRRLGCNLVVQKDNNRFFFFDVLVDCPDGEEGKNSDGGLVALYGKIQKTISALPQENKNCITVMIDDISLMEVAAKGSTNLVLDFLHYCHTLVSEFGCSLVMLNHDDIYSDTVRPSLILQMEYLADILIRAEPLATGLASDVHGQLTVLNSGKERSRNKLSNFQFKVKENSVEYFYPGSRT >ONI25685 pep chromosome:Prunus_persica_NCBIv2:G2:29596512:29598971:1 gene:PRUPE_2G314800 transcript:ONI25685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAHWPQGFGVVKSMEDSSPSRPILERRARPQKDQALNCPRCNSTTTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNNKRSNSSSPSTSSAKKVAHDHHNHVTTNTPHGNFPQHHDSASQNPKIHFQGQDLNLAYPPTDQEYDQVLPFSIDNKSQHQLNPSSSTTTSSHHHHLSAMELLKTGIASRGLASFMPMGVPDHSNNNNNNNITMFSTGFPMGVQEFKPSHGLSFSLDGFESSGYGCLQGVQEANNSHARLLFPTDEDLKQQIPSTTTITTEFDQHTRGEGDSGGYWNGMLGGGSW >ONI25687 pep chromosome:Prunus_persica_NCBIv2:G2:29596554:29598971:1 gene:PRUPE_2G314800 transcript:ONI25687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAHWPQGFGVVKSMEDSSPSRPILERRARPQKDQALNCPRRYWTEGGSLRNVPVGGGSRKNNKRSNSSSPSTSSAKKVAHDHHNHVTTNTPHGNFPQHHDSASQNPKIHFQGQDLNLAYPPTDQEYDQVLPFSIDNKSQHQLNPSSSTTTSSHHHHLSAMELLKTGIASRGLASFMPMGVPDHSNNNNNNNITMFSTGFPMGVQEFKPSHGLSFSLDGFESSGYGCLQGVQEANNSHARLLFPTDEDLKQQIPSTTTITTEFDQHTRGEGDSGGYWNGMLGGGSW >ONI25686 pep chromosome:Prunus_persica_NCBIv2:G2:29596554:29598971:1 gene:PRUPE_2G314800 transcript:ONI25686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAHWPQGFGVVKSMEDSSPSRPILERRARPQKDQALNCPRCNSTTTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNNKRSNSSSPSTSSAKKVAHDHHNHVTTNTPHGNFPQHHDSASQNPKIHFQGQDLNLAYPPTDQEYDQVLPFSIDNKSQHQLNPSSSTTTSSHHHHLSAMELLKTGIASRGLASFMPMGVPDHSNNNNNNNITMFSTGFPMGVQEFKPSHGLSFSLDGFESSGYGCLQGVQEANNSHARLLFPTDEDLKQQIPSTTTITTEFDQHTRGEGDSGGYWNGMLGGGSW >ONI20684 pep chromosome:Prunus_persica_NCBIv2:G2:3036678:3042642:1 gene:PRUPE_2G029200 transcript:ONI20684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIVEEPVPLIGRQLNYLIYYDSNIESLKDALKKLDDKKNDVQRSVDAAKRNGATIKDQVQSWLEDVSKTFREAQELETRVNMQRRCLYGLCPSLKLRYSLSRKAKKIAQAVFILDLKLDGGLSNNVANPAPLEKLGSIISGDGFKSFESRKAVMNDVLIALRNEKSRIIGVCGMGGVGKTTMVREIREIINRLEGTNRLFDKVVLATISATVNITRIQTEIADSLDMEFVKESESIRAQRLHETIKYSNRILIILDDVWSELKLEDVGIPFGVYERCKILLTSQNEEVCKIMGCKDDIFRVQALNKEEAWELFRATVGESLDNNPDLSHAAKLIVDECKGLPIAIITVGKALLSSNGKHEWTTALEELKNSTSVNIPGMEPKVYSCIKLSYDKLESDEVKSCFLLCCLFPEDYDVPIEYLVRYGSGRATFRNTNTIEDVRNKVHYFIGQLKRRYLLLDSEKEECIKMHDIVRDVAISIASKDPHRFMVRSFDAEGGGRGWPGVQKVTNQEHCNAISFLDVTLDEDISDGLECPKLELLQLKNSSCSFEYSNHFKRLRELKVLAVLGMDMSGYLASKRSLPLGEPKYLHTLCLEDCELGDISHVIGELENLEILSFARSQIKKLPKEIGLLHQLRMLDATDCKALEEIPHGVLSNLRRLEELYMAESFLYWEPATGSKDETNMASLDEVMSLYDHLNVLAIKIHDVQMLRNVEFFLKSQPIRFHVSINISWSYLKKSFKNRMPGYLFENSLMLRGDVKEHLEIGAVRYFLKQSENLSLHHTYNLKYVIEELDDQGDFQHLKVLLIMNDFDIEYLMNGIYWPRRRQPAFPILESVTFKNVHKLKVMCRGKLPDKHSFMNLRSIAIDSCHKLKYVFSLSVAQNLVQLQSLTVKICAEVEEIISKERMEDDNASRIFPGLTILKLSFLQILHRFYMGNQQDSTNEIIKPNDESVNKTKETRNDQQHDQVAGSTSSESEEAQVGASCNALFPSNCVSWLPKLEQLVLEVLRSEVVNVVFDLAGHDSAFSQLQTFRVWQLREVEHLWKNVQPRFQGFQNIRSLIIKYCYSLKYLCPYEIYKILVNLQEVGIEACSDMETIVLAAASTKDNINEEGKETGGSGAMNLFPKLLNSFCLEKLSSLERFCPDAYSFAWSSSTRTMKVSKCPKLKTLGFAPVSKNLPAVVAENLSDDHVRGREESGGASSSSSSTRSGCAPLVCLQSRPSTRNFTQILPRPVNREVTPTNLQTSSATHNLEDLLVHKCDLLEVIFLVQETPSTQAFDKLRELKLSHLPMLSHIWEKGLQVSSGFGNLRLLDVRGCHNLRYLFSPHIAKLLTCLKTINVYHCSAMEKIAGEADGEGESVEDELTFPNVKYIKLVDLPKLESFCSQVYTLKWPALEKVEVDECPKLKAFAPEPINV >ONI23321 pep chromosome:Prunus_persica_NCBIv2:G2:22495034:22498870:1 gene:PRUPE_2G182300 transcript:ONI23321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKELNTDLFDPRSTLMDPDFSRDATPSADADADDFAFAFNDSNFSDRLLRIEIMGDTPESRPDSEACTSIADWARHRKRRREDIKKENIPDPSECPEEQILNDNQPDMDDCEGCENQDEEAVAMVEESPSGDEAANSNDSDWGMDCSTVVRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFMYRNSLTTTSAPALLDVLMAADKFEVASCMRYCSRLLRNMPMTPESALLYLELPSSVLMAEAVQALTDAAKQYLAARYKDISKFIEEVMALPLAGIEAIVSSDELQVASEDAVYDFVLKWSRTHYPKLEERREILGSRLARYIRFPYMTCRKLKKVLTCNDFDHDAASKLVLEALFFKAEAPHRQRILAAEESATLNRRFVERAYKYRPVKVVEFDLPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEFISKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGVLHLRAELTIRH >ONI23320 pep chromosome:Prunus_persica_NCBIv2:G2:22495122:22498734:1 gene:PRUPE_2G182300 transcript:ONI23320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKELNTDLFDPRSTLMDPDFSRDATPSADADADDFAFAFNDSNFSDRLLRIEIMGDTPESRPDSEACTSIADWARHRKRRREDIKKENIPDPSECPEEQILNDNQPDMDDCEGCENQDEEAVAMVEESPSGDEAANSNDSDWGMDCSTVVRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFMYRNSLTTTSAPALLDVLMAADKFEVASCMRYCSRLLRNMPMTPESALLYLELPSSVLMAEAVQALTDAAKQYLAARYKDISKFIEEVMALPLAGIEAIVSSDELQVASEDAVYDFVLKWSRTHYPKLEERREILGSRLARYIRFPYMTCRKLKKVLTCNDFDHDAASKLVLEALFFKAEAPHRQRILAAEESATLNRRFVERAYKYRPVKVVEFDLPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEFISKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGVLHLRAELTIRH >ONI21524 pep chromosome:Prunus_persica_NCBIv2:G2:10860506:10861421:1 gene:PRUPE_2G071500 transcript:ONI21524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMMKLHSLFRNKETPTPTTTTTTMLATLPWQWPSCKHPKTLSFRAGDNMFKTVNSVFFDNGGVETPESWFTNSSSESTSFTTESEDYFEGESLEMVVRGARSERLFFEPEDTNSILEKAKAGEINPFKESVVLAMESEDPYKDFRRSMEEMVESHGLKDWECLEELLGWYLRVNKKKNHGFIVGAFLDLLVALAAADSNNANNSRSCTVHNYSTSFSSAVSSFSSPLSRSFQGQNEIREEEEITMF >ONI24267 pep chromosome:Prunus_persica_NCBIv2:G2:25571318:25572410:-1 gene:PRUPE_2G231700 transcript:ONI24267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLERKGNIFILTLTGPGEHRLNPTLIDSIQSALTQVRAAVTTTSASSSPAALITTAHGKFFSNGYDLSWAQSSQSRTYLMDAKLRSLVSDFISLPVPTIAAVSGHASAAGFILALSHDHLLMRRDRGFIYMSELDIELILPAWFLALIESKVGSPRARRDLLLRADKVTADVAVKKGIIDSAHDSAEETVEAAVRLGEDLVGRKWNGHVYAQIRMDLLSEVLDEIRGDNGTGLRSKL >ONI23141 pep chromosome:Prunus_persica_NCBIv2:G2:21858763:21864084:1 gene:PRUPE_2G171700 transcript:ONI23141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGGLISNRNFGSFIDSGKVCQTNHAVVHRKGERLYNQGKTFYQNLCTPRGSVSRNSYSPYLHAISSSDANILKPTGRVHDEGDLYLIMSLQSGIRSHSIITKQRSTGRCKSYLSSNHSFRSCIQSRKLDKLDNRQYQKYEHVKVNRTRAYYKSEDNDITKPEVDSLSSIEGSSEAVLADGNVLKLSSWWEQFPKRWVIVLLCFTAFLLCNMDRVNMSIAILPMSQEFNWDSATVGLIQSSFFWGYLLTQILGGIWADKIGGKRVLGFGVVWWSVATILTPIAAKISLPFLLIMRAFMGIGEGVAMPAMNNILSKWIPVSERSRALSLVYSGMYLGSVTGLAFSPILIQKFKWPSVFYSFGSLGSIWFALWLKKAYSSPKEDPELSTGEKELIMGGNISKEPVTVIPWKLILSKPPVWALIICHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVFANIGGWIADTLVSRGLSVTTVRKIMQSIGFLGPAFFLSQLSRVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYSGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVALYLVGTLIWNLFSTGEKILD >ONI24188 pep chromosome:Prunus_persica_NCBIv2:G2:25450137:25453416:1 gene:PRUPE_2G229400 transcript:ONI24188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQHITPKNDSLWRTWDPDHAFLVNAAAARNVTANPSRIKYSDGVSVEIAPNWVYATAQEMADAQVSNQKFNISWAFEVEHGFSYLIRLHFCDIVSVALNRLVFNVYINQQSALDYFDISSRTMALSAAYFIDFVTNISMGSNRILVQVGPPMLRDLPSNAILNGLEIMKMSNHRDSLHANLPGNHKMDTRSPAGKKKWMLLAISSSAAGFVVLVLMSAAFYLYWRKIHQKKLKPQCSAWMSLPSHLGISDSKVSICSYDSTAHSLSLGRILAFSEVREATKNFDKSLVLGVGGFGKVYRGVLENGTVVAVKRGNPRSQQGLTEFRTEIDMLSKLRHRHLVSLIGYCEELNEMILVYEYMAKGPLRNHLYGSNLSPLSWKHRLEICIGAAKGLHYLHTGAAESIIHRDVKTTNILLDESLTAKVADFGLSKLGPTLDQTHVSTAVKGSFGYLDPDYYRRQKLTEKSDVYSFGVVLLEVLCARPPINPALPREQVNIAEWAMSWQKKGRLEKIIDPHLGGHVNLESLRKFGETAEKCLAEYGVDRPTMGDVLWNLEYVLQLQEASIQTVSSENSANYVPYTPEWLPQMSDQESDATSSAVFSELLDPRGR >ONI24187 pep chromosome:Prunus_persica_NCBIv2:G2:25450218:25453416:1 gene:PRUPE_2G229400 transcript:ONI24187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLNGISLICIVLCVSSIPSVVFVYASFLPQDQFFIDCGGSKAMEFGDGRVFQPDSGNPYVTLSSQGHTAVSDHETSLSNHSNLYASAQVFRETSSYTVNTKQIGRHWLRLHFYPFENPKFNLKSAVFSVVANGITLLHGFSFSEVGKTSHLVKEYVFEVDGTSSKKLVLTLSPWNGSIAFINGIEVVSVPAGQFPSTKVMPVPLGPAVDVPKHVTFETAYRINMGGQHITPKNDSLWRTWDPDHAFLVNAAAARNVTANPSRIKYSDGVSVEIAPNWVYATAQEMADAQVSNQKFNISWAFEVEHGFSYLIRLHFCDIVSVALNRLVFNVYINQQSALDYFDISSRTMALSAAYFIDFVTNISMGSNRILVQVGPPMLRDLPSNAILNGLEIMKMSNHRDSLHANLPGNHKMDTRSPAGKKKWMLLAISSSAAGFVVLVLMSAAFYLYWRKIHQKKLKPQCSAWMSLPSHLGISDSKVSICSYDSTAHSLSLGRILAFSEVREATKNFDKSLVLGVGGFGKVYRGVLENGTVVAVKRGNPRSQQGLTEFRTEIDMLSKLRHRHLVSLIGYCEELNEMILVYEYMAKGPLRNHLYGSNLSPLSWKHRLEICIGAAKGLHYLHTGAAESIIHRDVKTTNILLDESLTAKVADFGLSKLGPTLDQTHVSTAVKGSFGYLDPDYYRRQKLTEKSDVYSFGVVLLEVLCARPPINPALPREQVNIAEWAMSWQKKGRLEKIIDPHLGGHVNLESLRKFGETAEKCLAEYGVDRPTMGDVLWNLEYVLQLQEASIQTVSSENSANYVPYTPEWLPQMSDQESDATSSAVFSELLDPRGR >ONI23792 pep chromosome:Prunus_persica_NCBIv2:G2:24164238:24166137:-1 gene:PRUPE_2G208400 transcript:ONI23792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKKKKKNSSDEDEDETFYYRYTSAPETRKPATKQSGSGSGTLAPSKSTLYVSNLDYSLTNSDLHTLFSHFGKIARVTVLKNRETRQSRGVAFVQFVSRTDAVSAAGEMHGKILNGRKLSASIASDNGRAAEFIKKREYKDKSRCYECGEEGHLSYECPKNQLGPRERPPPKRVRRGGGGGGGSAAARVEEAEGDSGGERFEDDNWASVVDSGADQRLLVGEEMEEKKRKKGVKKSSYFSDESE >ONI20600 pep chromosome:Prunus_persica_NCBIv2:G2:2406871:2411879:-1 gene:PRUPE_2G024300 transcript:ONI20600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAEPVVERESEEVEVREENGEKKEEGEERDVEKGEEVGFEYEEQAVAAESNTNDDHNQRDVHMSMMHRLNPTNPLRIVINSNTRAATPPPPSRFSHGSNNIRVGATPSPPHFSHTPPHSQPRSTPNPQPSITTLNSRKYTNKISLFLFLLHMVAAIGLVGFLVFKGVQGLIEASDNRVKRAEKRVLKFFLPQVEAASLLSITLAFAWQKAVRVWPKFFVHFILWTTFLMSLSAGILLICFQKPASDGVGVCFIAFAIGNGLYACWITQRIGFCSKILIKSLEPVSKFPDLNQPTYWMLGVGFLWMSLWNLAVIGALNFYFTPLMIVLLGLSLAWTAEVMRNVANITVSRVISLYYLRGMQSNTKFCFQRALSKSLGSACFGSLFVPAIEALRIVARALNLLEGEDEFMFSCAHCCLRVMESIFRYGNGWAFVQIAAYGKGFVKASQDTWELFERQEMEQIVDSDITSSICFLTGVCSGSMCVIVVAAWTAKVHQSFTATISLLAFFIGYLMTRIAMALPHACVGSYLVCYAENPDNRLFDSTIKDRLALMKSGRDVAILTPRVPRRFAAR >ONI23220 pep chromosome:Prunus_persica_NCBIv2:G2:22141148:22143172:1 gene:PRUPE_2G175900 transcript:ONI23220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPTPPSPTELDAAERIILLWDSTASEEARERMIFEGHRDEVDRYLQAVDEIQRSMSSASLVSVDDQTKLNSAIQIAMARLEDEFRNILLNHTSPIEPDSLTCRRVWAAPPRSASRRDGRDHPRWRRRSPPRHPFNRGCCSFVREVQA >ONI23116 pep chromosome:Prunus_persica_NCBIv2:G2:21773057:21776389:1 gene:PRUPE_2G170600 transcript:ONI23116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPAEDEPFEIQSRPARLGLGAKVPWPFKFSPSDDPLERKLHYKLDAGRRNAAKIAEESALAAARDDSDDDEDLDSRTKAFEKKRPAAPVTPSLGGKKRKK >ONI23114 pep chromosome:Prunus_persica_NCBIv2:G2:21773057:21776389:1 gene:PRUPE_2G170600 transcript:ONI23114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNKTPQKKNRPQVVKLDEALELATKWVNNMTEPAEDEPFEIQSRPARLGLGAKVPWPFKFSPSDDPLERKLHYKLDAGRRNAAKIAEESALAAARDDSDDDEDLDSRTKAFEKKRPAAPVTPSLGGKKRKK >ONI23115 pep chromosome:Prunus_persica_NCBIv2:G2:21773057:21776389:1 gene:PRUPE_2G170600 transcript:ONI23115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNKTPQKKNRPQVVKLDEALELATKWVNNMTEPAEDEPFEIQSRPARLGLGAKVPWPFKFSPSDDPLERKLHYKLDAGRRNAAKIAEESALAAARDDSDDDEDLDSRTKAFEKKRPAAPVTPSLGGKKRKK >ONI20846 pep chromosome:Prunus_persica_NCBIv2:G2:3983974:3984210:-1 gene:PRUPE_2G036900 transcript:ONI20846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLFWNSQGSGWPGFILQALFYISTSSLNVFYILDSRASRVELRLLLRGLVLMVSFVSMPLVIVDGYSYCGILLLLI >ONI21330 pep chromosome:Prunus_persica_NCBIv2:G2:7503159:7503935:1 gene:PRUPE_2G059800 transcript:ONI21330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEEIEVVKEETDDKHAATVEETETVVAEPEKSESESSSLPVEPFEPTVKNDGPSESVGSQDDNKISVVGPSVNPETMQGKSRAVEVDQVEEGHTVLPREAHDVDVDEQKTQVEQKDGHMTQAGEIVETVAMVEAETPTDSQPGGFPLC >ONI24811 pep chromosome:Prunus_persica_NCBIv2:G2:27141404:27142889:1 gene:PRUPE_2G263400 transcript:ONI24811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNVQWLCLIFSITLSVLLGPNFAGASSIGVCYGMVANNLPPPREVIDMYTSNQIGRMRLYDPNLEAFEALRNTGIEVLVGVRNEDLQQLANSYSAAQNWIATYITPYSHQIQFRYIAVGNEVIPGDSAQYVLPAMKNLQNALGNRGIKVSTSIATSVLGVSYPPSAGAFSQDTMEYMVPIARYLNSIGAPLLANVYPYFAYIGDTIDISLPYALFVSGKVVTDGRLSYDNLFDSMVDALHAALGKAGAPQVQVVVSETGWPSHGNGKVTTPANAQIYNSNLISHVLSSRGTPRRPGNSTETYLFSMFNENMKPGAAVEQHWGLFHPNKWPVYPISFL >ONI25223 pep chromosome:Prunus_persica_NCBIv2:G2:28417169:28417788:1 gene:PRUPE_2G290000 transcript:ONI25223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGRCAACKYLRRRCPSDCIFFPYFPPNNPERFASVHRIYGASNVAKMLQQLPHHLRGEAADTLCYEAECRTQDCVYGCVKTISQLHQEINKAECQLAKTRAEIAFISSSGGQGRTTTTSTSTSLPITSSTQL >ONI23658 pep chromosome:Prunus_persica_NCBIv2:G2:23759350:23761495:1 gene:PRUPE_2G200800 transcript:ONI23658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGSEEPKPQRAPKLNERILSSLSRRSVAAHPWHDLEIGPTAPHLFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVIMQEPVLPGCFLRARAIGVMPMIDQGEKDDKIIAVCADDPEYTHYTELNDLPPHRLSEIRRFFEDYKKNENKEVAVNAFLPAAAALEAIQYSMDLYAEYIMHTLRR >ONI23659 pep chromosome:Prunus_persica_NCBIv2:G2:23759069:23761663:1 gene:PRUPE_2G200800 transcript:ONI23659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGSEEPKPQRAPKLNERILSSLSRRSVAAHPWHDLEIGPTAPHLFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVIMQEPVLPGCFLRARAIGVMPMIDQGEKDDKIIAVCADDPEYTHYTELNDLPPHRLSEIRRFFEDYKKNENKEVAVNAFLPAAAALEAIQYSMDLYAEYIMHTLRR >ONI20178 pep chromosome:Prunus_persica_NCBIv2:G2:225714:228029:1 gene:PRUPE_2G001300 transcript:ONI20178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCAALSASARGVFKKSKALPIETTFKLPAPLPSWPPGDGFASESIDLGGLQVYQISSFSKVWSTHEGGPDNLGASFFEPSPLPKGFYMLGCYSQPNNKPLSGWALAAKSTKDKDEDEDDHPLLKKPLDYTLVWNSESLKNLKKDGDGYVWLPTPPHGYEAIGHVVTSSPEKPSLDQIRCVRSDLTDHCEADSWIWGPGTAGDANGFNVFSLRPSNRGTQAMGVPAGTFVALNGTPSSTIAMACLKNTLSLSNSHIYMPNLTQIEALLEVYSPLIYLHPDEVYLPSSVGWFFSNGALLYKKQGDAVAIEPTGSNLPQGGSNDGSYWLDLPVDKGASERVKKGDLPDCQVYLHIKPMMGSTFTDIAIWVFYPFNGPARAKVEFFNISLGKIGEHVGDWEHLTLRVSNFTGELWRVFFSQHSGGTWVDASQLEFENGNKAVGYASLHGHALYPKAGLVLQGSGGIGIRNDTAKSKKVLDTGRSLLLVSAEYLGSAVTEPPWLNYSRKWGPNISYDIADEIKTVEKLLPGKLKSAFDKFVKSLPNEVLGEEGPTGPKMKNSWTGDEV >ONI22230 pep chromosome:Prunus_persica_NCBIv2:G2:17361517:17366056:1 gene:PRUPE_2G115700 transcript:ONI22230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRTAMDSAFWDLNVSSPHTLEGSAKAIPGDPFPIDGARASRVLRIQQLSLLGNGFPLGIIPSYSPTSHKDLGSFSLQSLLLRPATSNWWLGLIGQFRPKKLISSIKAEFSTNDDMEVPTFKDVAKHVLDKSLYSFGLCTQLLVAPSSSIKLSTEGHGEKKGRRNKFMLFHKAVNSIDGEVPTSLMPGLCAKAAFSYEKSQDLWRQKETKKDVMVKKDNGWFWRPSYDVRLKEPHAAVSGIFGGSCTAWFQDGHSPVAVELRGDEDNSTSTKKRSPFSADFFGSVCYSFQHGKFRELYGDLTRIDARLDICSASALAKRVINGLKSSSANSARDPMSSPRINLIFQQQVAGPIVFRVDSRVSLDSLPGKRGPHIEDFIYSLNYSLRLLRSGKVVAWYSPKRKEGMIELRVFEF >ONI22229 pep chromosome:Prunus_persica_NCBIv2:G2:17361326:17366279:1 gene:PRUPE_2G115700 transcript:ONI22229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRTAMDSAFWDLNVSSPHTLEGSAKAIPGDPFPIDGARASRVLRIQQLSLLGNGFPLGIIPSYSPTSHKDLGSFSLQSLLLRPATSNWWLGLIGQFRPKKLISSIKAEFSTNDDMEVPTFKDVAKHVLDKSLYSFGLCTQLLVAPSSSIKLSTEGHGEKKGRRNKFMLFHKLPYHDITLEAAWPELFIDHKGQYWDVPESISLDLSSLVSESGLRYRIGIHKNSGHPQAVNSIDGEVPTSLMPGLCAKAAFSYEKSQDLWRQKETKKDVMVKKDNGWFWRPSYDVRLKEPHAAVSGIFGGSCTAWFQDGHSPVAVELRGDEDNSTSTKKRSPFSADFFGSVCYSFQHGKFRELYGDLTRIDARLDICSASALAKRVINGLKSSSANSARDPMSSPRINLIFQQQVAGPIVFRVDSRVSLDSLPGKRGPHIEDFIYSLNYSLRLLRSGKVVAWYSPKRKEGMIELRVFEF >ONI21038 pep chromosome:Prunus_persica_NCBIv2:G2:5464281:5465702:1 gene:PRUPE_2G047100 transcript:ONI21038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKSKSSSSSSSSLCVFMQLIFFVLLPFLAFSSFAEESNPSHFQNMATLGGVHESHASQNSLETEDLARFAVQDHNNKENALLEFVRVVKAKEQVVAGTLHHLTIEAIDAGKKKLYEAKVWVKPWLGFKEVQEFKHAGDCNETPSFTPSDLGVKEGGHGPGWQSVPPHDPQVQDAANHAVKSLQQRSNSLFPYELQEVVHAKAEVIEEHAKFNMLLKLKRGDKEEKFKVEVHKNNEGTFKLNQMEADHS >ONI22106 pep chromosome:Prunus_persica_NCBIv2:G2:16489359:16498039:-1 gene:PRUPE_2G107500 transcript:ONI22106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHSIVIQKLLSTNAHLGRRVAAHHFKQFTYGTRNGMAIIDSDRTLICLRTAVEFIAALAQQKARFMFVNTNSLWDEIIEQMTKKIGCYSPSMNLLWRTGGFLTNSHSPKKFRSRRKKICFGPTQPPDCLVVFDTDRKSSVILEADRLQIPIVSLVDSAMPLECYKKITYPIPANDSVQFVYLVCNLITKTFLLHQKSTASSVAQQEDTREQVERIEEGKSVNKDELLVLPYSLLPISPGVDRTEELLDKLVVLKFNGALGTNMGFHGPKSTIQICDGLTSLDLIVNQIEALHSKYRCSVPLLLMNTTTTHEDTVKVLEKYAKSNVDIHSLKQSQHKSSGGQSGEDELYPGHGAVFLSIMKSGTLDVLLSQGKEYILVVDSDNVATTIDPKIMDHLIEKKIEYCIEVVPTAYDADFSNIGSRLQKFELAEIAQSSVKHSTEKFKLVDTGSLWVNLRAIKRLLDTDTLKIEDISVSKETAAGSAIRFFDRAIGVNVPHSRSLSLNKTSDLLLLKSDLYTCDEGVLVRNIARTNPENPVIELGPEFEKVSDLLSRFKSMPDIIGLDSLKVTGDVWFGAGITLKGRVTIAAKPGMKLEIPDGVVIENKDINGPSDI >ONI25049 pep chromosome:Prunus_persica_NCBIv2:G2:27836154:27841643:1 gene:PRUPE_2G277400 transcript:ONI25049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRYIAISAVCTAISFAGLQLWTETSLVKLKSDGLIGDNLIPAANFGHVVDLLLGSYATVGLLANFVLNAFVLLVLFLKTIFFVELYPSETRKLVERLINYVIYKGTFLPLVIPPTIYHAGLWSVWLIVLCSLKMFQALARDRLERLNASPSATPLSYFRVYSVLLLVLTVDVFWIRLCVVIYKTLGLSMFLLLLFEPFSIAFETLQAILVHGFQLLDIWIHHSAWNSENCERSKLFDTSAAGLLLEWKGSLTRNLGFVLDMATLLMALGHYVHIWWLHGMAFHLVDAILFLNIRALLSAIVKRIKGFVKLRKALGALHAALPDATSEELRTYDDECAICREPMAKAKKLHCSHLFHLACLRSWLDQGLNEIYSCPTCRKPLFVGRTENEVHPRNEETSSDEQLARQISSGLDRQNSSGHTIPTGAFPNQAQNLTEGGPWRGAGLDSNWLHNWPSEGVDGAGPSTAIGSVGLGRVQMMMRHLASVGETYAQTALEDGAWSLWPMSPSQVAATGPSNPPADDGRYHGGARSLHIRTPTRTVNDNLANILAMAETVREVLPHMPDDLIFQDLQRTNSVTVTVNNLLQM >ONI22558 pep chromosome:Prunus_persica_NCBIv2:G2:19422909:19424561:1 gene:PRUPE_2G136600 transcript:ONI22558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVDQQSSFKHFCKICKKGFGCGRALGGHMRAHGIGDEGHIDDDDPPSDWEDKLGGNVPSTNKCMYALRTNPNRLKSCRVCENCGKEFLSWKSFLEHGKCNSSIDAEPLMSSPCSDGEEGASGRRGCGWSKRKRSMRAKVGNFNSHCPSNEEEDLANCLMMLSNATVDPMEAEPEESCASASKEEERREPMNVMAPMSRGVATDNNKAKGVANKGLFECKACKKVFNSHQALGGHRASHKKVKGCFAARLDHLEDSMADDDVITHEEFFPNKPNSTLQFDHGSNTPSAYTSKRKAKVHECSICHRVFSSGQALGGHKRCHWITSNAATDTSTLAKFHEFQESLEQQMMNQRPKFDASSDPLDLKLDLNLPAPADENGGGRRERPNQSSLEVSTDFFLLPWIGTKEEDNPHLHSSHQNDNDNINNDDNNNNNNNTNNNNTSNDFSMQNVVDEADSKVKLAKLSELKDINTSGGSSPWLQVGLGSTTDVGAEP >ONI22557 pep chromosome:Prunus_persica_NCBIv2:G2:19422909:19425935:1 gene:PRUPE_2G136600 transcript:ONI22557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVDQQSSFKHFCKICKKGFGCGRALGGHMRAHGIGDEGHIDDDDPPSDWEDKLGGNVPSTNKCMYALRTNPNRLKSCRVCENCGKEFLSWKSFLEHGKCNSSIDAEPLMSSPCSDGEEGASGRRGCGWSKRKRSMRAKVGNFNSHCPSNEEEDLANCLMMLSNATVDPMEAEPEESCASASKEEERREPMNVMAPMSRGVATDNNKAKGVANKGLFECKACKKVFNSHQALGGHRASHKKVKGCFAARLDHLEDSMADDDVITHEEFFPNKPNSTLQFDHGSNTPSAYTSKRKAKVHECSICHRVFSSGQALGGHKRCHWITSNAATDTSTLAKFHEFQESLEQQMMNQRPKFDASSDPLDLKLDLNLPAPADENGGGRRERPNQSSLEVSTDFFLLPWIGTKEEDNPHLHSSHQNDNDNINNDDNNNNNNNTNNNNTSNDFSMQNVVDEADSKVKLAKLSELKDINTSGGSSPWLQVGLGSTTDVGAEP >ONI24828 pep chromosome:Prunus_persica_NCBIv2:G2:27192829:27197340:1 gene:PRUPE_2G264400 transcript:ONI24828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGSTSLDRKRLIASALCKHFYLDPKTFPENIAEDEITSFYTTILKSAKNDASVEVQDGVLQWIRYADGFPTDPQAFFEVLKGLNDTLADVSVLLGNGFTPSEADVIVFAVVHSSVIGLSNSDRQKLPHLLRWVDHIQNKVDFGDLLKKIVIEKVPFDFLKLYALRGAKSAGKVEIDSNAKKTVQSAKSADKPEADLNTKKSDAEIKATGDKKTDKKATQEKKKSPETEAVEKDKELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGDAKLRQVVSGLAKYCSPEELTNRKVVLITNVKPGKLRDVASEGLVLCASNEDHTRVEPLLPPEGARPGERVSFSGVDGKPEDVLNPKKKQLEKITPHLFTDDKGVATFKGIPFMTSAGPCTSSIPKASIK >ONI21992 pep chromosome:Prunus_persica_NCBIv2:G2:15619810:15620572:1 gene:PRUPE_2G100400 transcript:ONI21992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEMKKITLKSDDGEIFEVEEAVAMQSQTIKHMVEDDCADGPIPLPNVTSNIVAKVIEYCKKHHEEDADGEKNKEDIKKWDVEFVKVDQSTMFDLILAANYLNVKSLLDLTCQTVADMINGKTPEEIREIFNIKNDFTPEEEKEVRNENQWAFE >ONI25927 pep chromosome:Prunus_persica_NCBIv2:G2:30157223:30159998:1 gene:PRUPE_2G327300 transcript:ONI25927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAATPQQQRQPIYSNSIQKQRQRAAYQYQDQDQSQNLYEPSQAVSAGIFQINTAVSSFFRLVNSLGTPRDTLQLRDKLHKSRAHIGELVKDTSAKLKQASETDQHAQVSVVKKIADAKLAKDFQAVLKEFQKAQRLAAERETTFAPFVPKDVLPSSYLAHEQEMSSTTSSKQQALLLESRRQEVLLADNEIAFNEAIIEEREQGIQEIQQQISEVNEIFKDLAVLVHDQGAIIDDIGSNIENSHAATAQATSQLVKASKIQRSNSSLTCLLLVIFGIILIIVIIVVVA >ONI25168 pep chromosome:Prunus_persica_NCBIv2:G2:28238149:28240586:1 gene:PRUPE_2G285800 transcript:ONI25168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQIPQSPSPAAPQPQPLGTSHLLQPVSAATAAAFSLLLFLVVCCRKITRKRTAPESDSKPPHRLSYSVLRRATNSFSPERRLGQGGFGSVFFGTLPHTRQDVAVKVMDSGSLQGEREFQNELFLASKLDSPLVVSVLGFSSDPKRRRMLLVYEFMSNGNLQDALLHRKCPELMDWRKRFSIAVDIAKGLRYLHGLDPPVIHGDVKPSNVLLDHNFSVKIADFGLARLKSESQVVIDVCKINNGVEGKKEELETNGGCDYGSVVEETESVATTGFEEFNVGVDQSPENLAKLPISPETSATPPSPVSPEGDFDKGSLDDGGKQRVNKDWWWRQDSGGVKDYVMEWIGKEIGNDRPMGTTASSSSEMVGNCEKKKKKKKKDRKRLEWWISMDEEKIAKNSNKEKRRPAREWWKEEYCDELAKKKKKKKDKKQPKGMSFDENEDHYWPDDEELYVESKKKKSRSKSWGSMSSIDWWLDGMRRNNSHDSGEIPKSGGISSTPSMRGTVCYVAPEYGYGGDPSEKCDVYSFGVLLLVVIAGRRPLQVSNSPLSEFQKANLLSWARHLARAGKLVDLVDKSIHSLVREEAILCITVALVCLQKVPSRRPSMKEVVGMLTGELEPPKLPPELTTSAKSRFPFKSHTKVR >ONI24800 pep chromosome:Prunus_persica_NCBIv2:G2:27131290:27131780:1 gene:PRUPE_2G263000 transcript:ONI24800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRELLNALLTLLLLLSMVFHRGAAMIRRIGKYQQPGSTSLKASRKGLDVKDEYTKEAGDNNGSGDYDFYGGHGDVPSPGVGH >ONI22687 pep chromosome:Prunus_persica_NCBIv2:G2:20168905:20171230:1 gene:PRUPE_2G144700 transcript:ONI22687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGGGTVCVTGGTGYVASWLIMRLLQHGYSVRATVRSNPSAESKRDISYLTNLPGAPDRLQIFHADLNQPETFNEAIQGCTGVFHVAHPIDVEGKEPEETVTNRALEGTLGILKACINSKTVKRVIYTSSLATILFNSKGLSETDESTWSELDLCKSSSLVSSSYLVSKTVVERAALEFAEKHGLDLVTVVLPIVFGPFICPNIPASVYMGLAPIFGDEDKCKYLTNTYMVHIDDVASAHIHLLEHSNAKGRYICSSVQTTIHELYDFLPARYPDFQIRMSDNLNEIKSYKRSKVSSRKLLSTGFKFEYGLGEMFDGAVQCCKEKGFL >ONI22002 pep chromosome:Prunus_persica_NCBIv2:G2:15719507:15723356:-1 gene:PRUPE_2G101300 transcript:ONI22002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVLDRLQGKQWRRKQIQKITDKVFDHFKNESGRANLTFEDLYIGVLLVYNDINKRLPGPHFDPPSKDHVKALLQENDINLDGEIDRQEFTKFIKQLTADTFIVVSQGLILTLVAAPTIAMATKRATEGVPGIGKVVQRLPNSVYASLLTLAVVLFQQASQEF >ONI24801 pep chromosome:Prunus_persica_NCBIv2:G2:27132096:27133264:-1 gene:PRUPE_2G263100 transcript:ONI24801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLPLEIKLTRTNTTRNFSQKAEKSGPLAHIPDIKLIRTDTTLDLSQKAEKGMSSSMSGNLFHINSPSLSFLHLSMWDTTTFFSLVVKFLLLILTYRQIPKIG >ONI23392 pep chromosome:Prunus_persica_NCBIv2:G2:22743273:22747843:-1 gene:PRUPE_2G186500 transcript:ONI23392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTSFSTTFMPVRLLPQLRLLSTSLPATHFPPMKFAPTLSSSRAVPILSRVFPLRLRYMGLGFRRSLQTERAFSTRAFRGPRTGSGSELPRGYERRAGGASKSLIEDEAELSDWVSELRSDSPRGREDELEGRRGRVRERGTDRESYPIKKSRRESDSGDFGESRRRDFRSPNQSFTRNGGISKRFDNKSEGDKKDRPFPPRNNRGNSNSSGEFGDSSRRRFPNPNEYFSRNSGISKRFDNKFQSDDDKEDGLFPRRNNRGNSNLKADSFTRNGGVEGLRSGGRGTGKTLRVMDDTEEEEEKPTGVRIEDFLSEEESDTAISDDDGYGVLREKSATSLFGSDNEVSVKVLPKSSPGSSDSYLSESRFDQCSVSPLSLKGIKDAGYEKMTVVQEATLPVILKGKDVLAKAKTGTGKTVAFLLPSIEVVVKSPPITRDQKRPPILVLVICPTRELASQASAEANKLLKYHPSIGVQVVIGGTRLALEQKRIQANPCQILVATPGRLKDHIENTAGFATRLMGVKVLVLDEADHLLDMGFRKDIERIISNVPKQRQTLLFSATVPEEVRQICHIALKRDHEYINTVQEGSEETHAQVRQTHLVTPLDKHFSQVYALLKEHIADDVEYKVLVFCTTAMVTRLVADLLGELNLNVREIHSRKPQSYRTRVSDEFRKSKGLILVTSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGILLLAPWEEFFLSTIKDLPITKGSVPSVDPDTTKKVERALSKVEMKNKEAAYQAWLGYYNSNKKVGRDKHRLVELANEFSRSMGLDNPPAIPKLVLGKMGLKNVPGLRSK >ONI25829 pep chromosome:Prunus_persica_NCBIv2:G2:29912554:29913344:-1 gene:PRUPE_2G322300 transcript:ONI25829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRKQNHKFESKVDTLNLDTQFLPFINPTTRHTLQQHFVVSLPIPKKETKMAVTKQVPEVEEEKLLRKRNEELEIELRKSQEREERMKAELQKARERLRVAEEAEERLCSQLGELEAEAVDQARTDHARILALMNQLSQAQRLLQASSVAQPLGLASK >ONI25449 pep chromosome:Prunus_persica_NCBIv2:G2:29054565:29057126:1 gene:PRUPE_2G304200 transcript:ONI25449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSKNLDYVNLNSPELPSLIRYISSNEVAGFDNVEENRFLNGSCQPSHLQPISPSAKGIEAAAFDASEPPIHQRVHSVSISMPSSPTGTHLHNSKNMIFSEIPISSAATETAGSTLPKAVKFHSQPMPKSSALEEAISTGHFSYQPSIERLKDKRFDTFKTWSGKLERQITLLRGKTPRETEPENANLQNAEVERLPADRYFDALEGPELETLRDSEEILLPEDKQWPFLLRYPVSSFSICLGVSSQAILWKTLPTSASTKFLHLSLIPNLVLWCISVALVAIVACIYLLKVILYFEAVRREYYHPVRVNFFFSPWIALLFLALGVPPSFANNLHPALWYILMTPILCLELKIYGQWMSGGQRRLSKVANPVNHLAIVGNFVGALLGASMGLKEGPIFFFAVGLAHYMVLFVTLYQRLPTNETVIPKDLHPVFFLFVAAPSVASMAWGRIQGSFNYGSRIFYFISLFLYLSLVVRVNFFRGFKFSLTWWAYTFPMTGAAIATIRYSNEVTNAVTQTLAVILSLTATIIVTILLITTILHCFVIQDLFPNDIAIAISDRKLKPNRTWFQLRHGSSDSKDIKKLLKSATSSETRI >ONI23592 pep chromosome:Prunus_persica_NCBIv2:G2:23439812:23442995:1 gene:PRUPE_2G197200 transcript:ONI23592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPRQFQMVGSNINNPGQYNDTTFTKIFVGGLAWETQRETMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDSEAAMRACQNPSPVIDGRRANCNLASLGAQKTRPPTPHQQHGAGRFRPAHGLVAPPAYHGSSSPYFHQPTGQYTFPYSAYGIIIVFMVVNNSHLITPQLGHQGQLACTKMSTHYMLSMHRAVARLMVLEFNIPKWYSTHICLISLMLLVPQLGFSLFLLQCQLPPPQLPPQVQQQQQQLVLVLLHKPLQPILNRILQLKCLQREKKKTMSSLQG >ONI20342 pep chromosome:Prunus_persica_NCBIv2:G2:942018:944094:-1 gene:PRUPE_2G010300 transcript:ONI20342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMAQRAEKEETEFKVPETLTHCVNNCGVTGNPSTNNMCQKCFNASSATTTATSSSAVTLKFSGEKSPRSSSFSFEAPAEITRRTTASEIARSDESANRRVVNRCSGCRRKVGLTGFRCRCGELFCSEHRYSDRHVCSYDYKAAGREAIARENPVVKAAKIVRV >ONI23537 pep chromosome:Prunus_persica_NCBIv2:G2:23197180:23198905:-1 gene:PRUPE_2G193500 transcript:ONI23537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSSSVSTLKVPTLLSSTSSRELHQFNPQSPRTHKLPPQSHLHSTTKPNLISNKTPSFSHKLVSLSSTPSFLNSSATLSLNSSSSSDLHRKPATGYAAALLDIAQCNSSVHLVEKDIQRFLKLLHNKQVQAALANPFVGEKEKGQVVNLVAEKGRFNRHLVGLVKMLVRKNKVGIVKEVLEEFQRIYSELSGTAKKRFMTSIQLGVEYDGGWR >ONI22591 pep chromosome:Prunus_persica_NCBIv2:G2:19525328:19528582:-1 gene:PRUPE_2G138200 transcript:ONI22591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHDLSDTEHEETSENSSSESEEVGKPSNGQKDRVGSSVSEYEKQRLSRIAENRERMEALGLPKIASSVMGSGQNGKRKNKKVQKGKAKVFEDDEEYRPEEEESCSYSSQEEAMEEDDDDDYLGEKTSGSRRKKVKNKGSKSKKALPRSILSNNDFINDDEALKQAIAMSLQGSVEVSAVAHSGPLQRPNVDNAKVNERKGNNQIPEDTGRKRKKSFASRLQMTEDELVLHFFQFDENCNGGLSLRDLQRVATAHDFMWTDKELADMIRCFDSDGDGKLSLDEFRKIVVRCNMIKEPEQS >ONI22592 pep chromosome:Prunus_persica_NCBIv2:G2:19526659:19528582:-1 gene:PRUPE_2G138200 transcript:ONI22592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHDLSDTEHEETSENSSSESEEVGKPSNGQKDRVGSSVSEYEKQRLSRIAENRERMEALGLPKIASSVMGSGQNGKRKNKKVQKGKAKVFEDDEEYRPEEEESCSYSSQEEAMEEDDDDDYLGEKTSGSRRKKVKNKGSKSKKALPRSILSNNDFINDDEALKQAIAMSLQGSVEVSAVAHSGPLQRPNVDNAKVNERKGNNQIPEDTGRKRKKSRLEWGLGDAYLLSKWVH >ONI25640 pep chromosome:Prunus_persica_NCBIv2:G2:29494031:29497590:-1 gene:PRUPE_2G312500 transcript:ONI25640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLYDQPTIQVSLTPYTLGSIQLGGASERSRFDKLVANIPLWNQIFVISCVIAVSLDPLFFYIPFINEDLKCLGMDKNLKVVALVLRSLLDITFIVHIICQIRQAFKTVNSKLQTTRNSGWESKAKAVARNLSWRSVVTDVLALLPIPQVLLVNVFFKMRGSGYLDNRKILSFLLLAQYLPRIYRIHLSSKKLTQTGLWAKGAFNFFLYILASHVLGAYWYFFSIQRETSCWHRACVKRSISCMDTFYCDDPPTTPRNTEFLKELCPINFPPNATPPFDFGMFLDSLQSGNTATLNFPLKFFYSFWWGLRNLSNFGTNLTTSTYVWENLFAILISIIGLLLFLYLIGNVQTFMQLATTKSEETRQKIIKKEQAIEAWMVKNDLPEDMKNEIKNNIRQQLEENIDAADLENLFSILPWNIRKSLKRFLCMSTLRKVPMLKGMDEKVLKMICDYLKPVMYPENSLVVRMGQPLDRMLFITEGSIWTYMPGGMPTNSVKTKGGFYGEELLKWASSSSPKLPISTQNVQCHTKVEGFVLMSKDLTSVVSKCQLWWNTNDSHQGQGQVQPATAAGGAENSTPRISTVRH >ONI25641 pep chromosome:Prunus_persica_NCBIv2:G2:29494032:29497590:-1 gene:PRUPE_2G312500 transcript:ONI25641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLYDQPTIQVSLTPYTLGSIQLGGASERSRFDKLVANIPLWNQIFVISCVIAVSLDPLFFYIPFINEDLKCLGMDKNLKVVALVLRSLLDITFIVHIICQIRQAFKTVNSKLQTTRNSGWESKAKAVARNLSWRSVVTDVLALLPIPQVLLVNVFFKMRGSGYLDNRKILSFLLLAQYLPRIYRIHLSSKKLTQTGLWAKGAFNFFLYILASHVLGAYWYFFSIQRETSCWHRACVKRSISCMDTFYCDDPPTTPRNTEFLKELCPINFPPNATPPFDFGMFLDSLQSGNTATLNFPLKFFYSFWWGLRNLSNFGTNLTTSTYVWENLFAILISIIGLLLFLYLIGNVQTFMQLATTKSEETRQKIIKKEQAIEAWMVKNDLPEDMKNEIKNNIRQQLEENIDAADLENLFSILPWNIRKSLKRFLCMSTLRKVPMLKGMDEKVLKMICDYLKPVMYPENSLVVRMGQPLDRMLFITEGSIWTYMPGGMPTNSVKTKGGFYGEELLKWASSSSPKLPISTQNVQCHTKVEGFVLMSKDLTSVVSKCQLWWNTNDSHQGQGQVQPATAAGGAENSTPRIRYIMHPH >ONI20777 pep chromosome:Prunus_persica_NCBIv2:G2:3541243:3543423:-1 gene:PRUPE_2G032800 transcript:ONI20777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHGALLFSYAFSILVFTTSLSCKAIDSEDRKTYIVYLGSLPHDEVFSPLSNQIGILERVVQKTSAANFLLTSYKRSFNGFAAKLTEQERERLAGMKEVVSVFPSRTFQLQTTRSWDFLGLNQTTKRNATVESNTIIGVIDSGISPDSESFNDEGFGPAPKKWKGVCKGGQNFTCNNKIIGARYYTDDGASDAVGHGTHTASTAAGNPVKDVSFYGLAQGTARGGVPSARIAAYKVCSVSGCPTEAILQGFDDAIADGVDIITISIGAESSAPFQQDPIAIGAFHAMEKGILTLQSAGNSGPEAGSVSSVAPWTLTVAASSTDRRIIDKIVLGNGKTIVGSSVNSFKLNGTNFPLVYGKDASSQCVDSDARQCVAGCLDADLVKGKIVLCDQAGGNTEAHQAGALGSILNTSKPDVAFVVPLPASGLGSQDYDVVKSYLKSTKRPRANILKSEAIKDDGAPVVASFSSRGPNQIVPEIIKPDISAPGIDILAAYSTLAPITGSTEDKRRVKYSILSGTSMSCPHVAGVAAYIKTFHPDWSPAAIKSSIMTTAWPVNDTKTSPAEFAYGSGHINPLKAINPGLVFEASKEDYIKFLCSVLDEGSVRLISGDSSSCPAGSAKVLPKDLNYPSLAANVNSSTSFTINFLRTVKNVGLPNSTYKANILSSSEVDIKVEPDVLSFKSLNEEKTFDVTVVGRGIPEGSHVSASLVWTDGTHSVRSPILVAA >ONI22836 pep chromosome:Prunus_persica_NCBIv2:G2:20790705:20794055:-1 gene:PRUPE_2G153800 transcript:ONI22836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEEQARFLFGISLSDRPKWQQFLFCSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLFLIYLQGFTTKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPAHEYVSAVLLVVGLILFTLADAQTSPNFSVIGVLMVSGALVMDSFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLIPPMLLTGELFKAWNSCWQHPYVYGVLIFEAMATFIGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPLTEEHGTGLLLIGMGITLKLLPENKPNQRVSNSSAMPKISKPASTEHERIRLENGGEDEEKSQLV >ONI25180 pep chromosome:Prunus_persica_NCBIv2:G2:28267099:28268307:1 gene:PRUPE_2G286600 transcript:ONI25180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLIPNPDGTYTRLITLPIVPAQPDPSSSTTRVLSKDISVNPKTETMVRLFLPREVLDLPAPRIPIIFYYHGGAFIFLSATSSIFHEFCSTMALQLQVLIVSLEYRLAPEHRLPAAYDDAVDALHWIKTTKEEWIAKFADLSNCFLMGTSSGGNLAYHAGLRACEVSHHLDPLKIKGLILHHPFFGGSERTGSELVMEDDPILPPRVCDAAWKWVLPVGADRDHEYCNPAVSKEFERCVAIRVMGCRVLVAGCFGDPLIDRQMELGKMLEGKGVRTMTHFGNGFHGLEVSDPSKAPALFTVLKNFIYEL >ONI24667 pep chromosome:Prunus_persica_NCBIv2:G2:26709454:26710967:1 gene:PRUPE_2G253900 transcript:ONI24667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGANVRDIIVSGCGSDFDPEWASLPLLVVFLVLDKLMELIDHVRFAAVCKEWSCLAKDYNRSTQRWSPMLLIPTQLAKSNQTLVYSDCEGKIYKNIQGIEFPEPMPKTKFTHIYNPMYNRHCCSPGYGWLAIVDWYYHYNGGRYGYHQVIITLSNPFSSSKAVPPSNISLPPLESEDILFALSSGRQVETRTKVMLSADPALNPDSYAVIAFFPGDFHIFYTGARFTEEGRSIWRNHRNALFQFYDAIFYKGLVYAADHLRGLIHSFDLNLLEEEFDCSRTRFPAQPYYNMFKRHPFCPPYVVKSTKGDLLHVVRFCELKKEDGSSSSSSRGEYITTHFMVFKVPPFNDEECEWYEIGEYVELESLGDEALFVGDNYSVSVLASKFRGCQPNCIYFTDDSINFRQNAYIACDMGIFNLEDRTIAQHCPPSCWEKGMPTPFWITPPFSGLY >ONI23121 pep chromosome:Prunus_persica_NCBIv2:G2:21787173:21791979:-1 gene:PRUPE_2G170800 transcript:ONI23121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKINKACDLSSISVLPPHTRRPNAVPNGPQASQLRSQPSEQSFSQGFSSQHGMFSQLSQNSLSDVLTNDQRFGSQERENSVKKIACLPPISHAREESQMHIARSSTNFMRKWSSASGSDHRGQTSEEFEHRIGMMETSLNKFGMILDSVQSDVMQVNKGMKEVSMEMEAMRQKLTAQDNSLQLMSKGQEDFKASFDGGIKSMSEQLSKNNSQDKLQEMFLVLSALPVKIEIIEASLLKSQNKLHDSFVKEMQKMICSQNQNTSIQKCEISSILSPKGVAASRAIPQGKPQPIKSLAVPPKACVQAVVVPKTETGGWKTVKAEKATFSDSGFRKVQKPNRFTSIQKERECRVVIESDEEIDGAFSCLLDEKETDVDMAEEVKEETQRILRRARRRKRKLCNTIIIN >ONI22953 pep chromosome:Prunus_persica_NCBIv2:G2:21229589:21231367:1 gene:PRUPE_2G160100 transcript:ONI22953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTKRYAVVTGANKGIGLETVRQLASKGFTVVLTARDEKRGLEAVEKLKESGLSGQVVFHQLDVANPATVASLANFIKIQFGKLDILVNNAGIGGSIVDGDALKAAVASGAMERGEVDLSKLMTETYELTEECLQINYYGAKRTAEALIPLLQFSDSPRIVNVSSSMGKLEKIPSDRARGVFTDAENLTEERVDEVLTELLKDFKEGSLERKGWPSSMPAYTVSKAALNAYTRILAKKYLNFRINSVCPGFVKTDINYNVGVLPVEEGAARVMKLALLPNDGPTGSFFVHNEVSDL >ONI22954 pep chromosome:Prunus_persica_NCBIv2:G2:21230470:21231495:1 gene:PRUPE_2G160100 transcript:ONI22954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLFFAKRKQIVKQLDSAQVNNAGIGGSIVDGDALKAAVASGAMERGEVDLSKLMTETYELTEECLQINYYGAKRTAEALIPLLQFSDSPRIVNVSSSMGKLEKIPSDRARGVFTDAENLTEERVDEVLTELLKDFKEGSLERKGWPSSMPAYTVSKAALNAYTRILAKKYLNFRINSVCPGFVKTDINYNVGVLPVEEGAARVMKLALLPNDGPTGSFFVHNEVSDL >ONI22952 pep chromosome:Prunus_persica_NCBIv2:G2:21227032:21231716:1 gene:PRUPE_2G160100 transcript:ONI22952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGIGLETVRQLASKGFTVVLTARDEKRGLEAVEKLKESGLSGQVVFHQLDVANPATVASLANFIKIQFGKLDILVNNAGIGGSIVDGDALKAAVASGAMERGEVDLSKLMTETYELTEECLQINYYGAKRTAEALIPLLQFSDSPRIVNVSSSMGKLEKIPSDRARGVFTDAENLTEERVDEVLTELLKDFKEGSLERKGWPSSMPAYTVSKAALNAYTRILAKKYLNFRINSVCPGFVKTDINYNVGVLPVEEGAARVMKLALLPNDGPTGSFFVHNEVSDL >ONI22951 pep chromosome:Prunus_persica_NCBIv2:G2:21227091:21231492:1 gene:PRUPE_2G160100 transcript:ONI22951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGIGLETVRQLASKGFTVVLTARDEKRGLEAVEKLKESGLSGQVVFHQLDVANPATVASLADFIKTQFGKLDILVNNAGIGGSIVDGDALKAAVASGAMERGEVDLSKLMTETYELTEECLQINYYGAKRTAEALIPLLQFSDSPRIVNVSSSMGKLEKIPSDRARGVFTDAENLTEERVDEVLTELLKDFKEGSLERKGWPSSMPAYTVSKAALNAYTRILAKKYLNFRINSVCPGFVKTDINYNVGVLPVEEGAARVMKLALLPNDGPTGSFFVHNEVSDL >ONI20931 pep chromosome:Prunus_persica_NCBIv2:G2:4574031:4575302:-1 gene:PRUPE_2G041800 transcript:ONI20931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLQLFLLYVAAAAFMFQQFCDGKLMMEYIGASGIPIKFDSVPIEDEIDFNFILGFAIDADASGNPQNGTFSPYWETTLTPKSVAAIKKSHPNVKVLASLSGWSLGQTVLRWYNPTDPNLWISNAVSSLGSIITTYHLDGIDIDYENFPNQKSSNSSSSFAFCIGELITRLKKQRLISVASIAPFYSTAAPYVQLFNGYGDVIDYVNHQFYTDKVNTPKGYLDAYTFRAKQFGKDKLLPSYEVNGRGIQGNAFFDALSLLEKNGFEINGVMIFSADAASTNNFYYERKSQAFLLNSTNNN >ONI23145 pep chromosome:Prunus_persica_NCBIv2:G2:21873572:21878179:-1 gene:PRUPE_2G172000 transcript:ONI23145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEESPKTTRRTTRSSAAATPSKNVVEETNASSSQPPTLSDLVLGEERESFSLDDLLSSFPGRRNQIHELLQLLGPRNSPMLPIFVYGGTSTGKTSMVLETFRHLKRPFVYSSCLSCYNPRILFESVLNQLFLHRKNAVNGYSSAKRCESPSDFVNFLREALVSVLANLEGNSGKLSSKRSGKANGNMIYLIFDNLERVRGWEKGSTILPLLFNLYDILKMPEVALIFISSASPDTYYSSMSYVEPIPIYLPDYTQDDLQQIFLRNQANKKLYASFLSVVLRPFCRITRRVDELSPAFSLLFTKYCEPVTNLGVVPNKELNQRLFHYFRPHVAPSLNEIFKVSSHPSTEVEVRETKGKGSTRKFGDCEDDQLDFHMPTSAKYLLISAFLASRNPATLDASLFDSTGGSNSRKRRRKASEKSMEQKETAEQELLLNPGTFPMERLLAIFQCITSVGEGSLDEEEEGYDGLGIRNGNGGLMSDVLLQLSSLCSANFIVTGGSCPLEGSTRYRSTVSEDMVLKVARSIKFPLSKYIYR >ONI23144 pep chromosome:Prunus_persica_NCBIv2:G2:21875116:21877926:-1 gene:PRUPE_2G172000 transcript:ONI23144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKTLISNSDMGKEESPKTTRRTTRSSAAATPSKNVVEETNASSSQPPTLSDLVLGEERESFSLDDLLSSFPGRRNQIHELLQLLGPRNSPMLPIFVYGGTSTGKTSMVLETFRHLKRPFVYSSCLSCYNPRILFESVLNQLFLHRKNAVNGYSSAKRCESPSDFVNFLREALVSVLANLEGNSGKLSSKRSGKANGNMIYLIFDNLERVRGWEKGSTILPLLFNLYDILKMPEVALIFISSASPDTYYSSMSYVEPIPIYLPDYTQDDLQQIFLRNQANKKLYASFLSVVLRPFCRITRRVDELSPAFSLLFTKYCEPVTNLGVVPNKELNQRLFHYFRPHVAPSLNEIFKVSSHPSTEVEVRETKGKGSTRKFGDCEDDQLDFHMPTSAKYLLISAFLASRNPATLDASLFDSTGGSNSRKRRRKASEKSMEQKETAEQELLLNPGTFPMERLLAIFQCITSVGEGSLDEEEEGYDGLGIRNGNGGLMSDVLLQLSSLCSANFIVTGGSCPLEGSTRYRSTVSEDMVLKVARSIKFPLSKYIYR >ONI23146 pep chromosome:Prunus_persica_NCBIv2:G2:21873572:21878170:-1 gene:PRUPE_2G172000 transcript:ONI23146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEESPKTTRRTTRSSAAATPSKNVVEETNASSSQPPTLSDLVLGEERESFSLDDLLSSFPGRRNQIHELLQLLGPRNSPMLPIFVYGGTSTGKTSMVLETFRHLKRPFVYSSCLSCYNPRILFESVLNQLFLHRKNAVNGYSSAKRCESPSDFVNFLREALVSVLANLEGNSGKLSSKRSGKANGNMIYLIFDNLERVRGWEKGSTILPLLFNLYDILKMPEVALIFISSASPDTYYSSMSYVEPIPIYLPDYTQDDLQQIFLRNQANKKLYASFLSVVLRPFCRITRRVDELSPAFSLLFTKYCEPVTNLGVVPNKELNQRLFHYFRPHVAPSLNEIFKVSSHPSTEVEVRETKGKGSTRKFGDCEDDQLDFHMPTSAKYLLISAFLASRNPATLDASLFDSTGGSNSRKRRRKASEKSMEQKETAEQELLLNPGTFPMERLLAIFQCITSVGEGSLDEEEEGYDGLGIRNGNGGLMSDVLLQLSSLCSANFIVTGGSCPLEGSTRYRSTVSEDMVLKVARSIKFPLSKYIYR >ONI21461 pep chromosome:Prunus_persica_NCBIv2:G2:9472869:9477355:-1 gene:PRUPE_2G067300 transcript:ONI21461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGLSVLIGLKAAVLFFFFANLRRLGFTLLSIPFLYGSLVSMLVSLASHPSIDLPMLLGKNPDGSFPIWSIIMFSPYLYFVRFFSALRRLLSGEAPYSEICEGLYVGGWPSSPDKLPPDDPAIVDCTCELPRRPEFSGHSYLCVPTWDTRAPQPAAIESAVKWACRKRDQKRPVLVHCAYGHGRSVAVMCALLVALGVAEDWKNAEKLIREKRPYIRMNALHRRALEEWSKHRLSPSQKNG >ONI21264 pep chromosome:Prunus_persica_NCBIv2:G2:6727397:6728821:-1 gene:PRUPE_2G056500 transcript:ONI21264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTSCFSSVALLMILYILHQSCVAESTLIYNVLSFGAKPNGVIDSTQAFLDAWIAACASSDSTTIYAITAKVVTGVFIIGGALDAKGTALWACKLAGSTDCPNGGATSLSFTNSKNININGLMSLNSQMFHFVINGCQDVRIQGVKVNAAGNSPNTDGIHVQLSTNVAIFNTSIKTGDDCVSIGPGTKDVWIEQIICGPGHGISLGSLAKDLEEEGVQNVTVKNAIFKAIEHVSGMKINDVVYRNIQRTSASAIAIKFDCSATNPCSGIRLENVSLTFRNQEVQSHCANANGKIVGTAQANSCL >ONI20926 pep chromosome:Prunus_persica_NCBIv2:G2:4536782:4550063:-1 gene:PRUPE_2G041300 transcript:ONI20926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSPRTDISTDVDTDDKNQRFDVVQYAIGPAGSDSSDKSKDPKDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDAAHSMSGNGAMAFDVEYGRWQEEQNRQINELRSAVNSHASDAELRTIVDGILAHYDEIFRLKGTAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGITNLQQSSQQAEDALSQGMDALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPRE >ONI20933 pep chromosome:Prunus_persica_NCBIv2:G2:4584126:4584533:1 gene:PRUPE_2G042000 transcript:ONI20933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILAIRQAPSAYVQLQLTDAMVESNAQRGISILNGQIAVDADLEGIVFNIQLLVSQFTRMTFVFAP >ONI20513 pep chromosome:Prunus_persica_NCBIv2:G2:1980440:1981445:-1 gene:PRUPE_2G020000 transcript:ONI20513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIITKTNRENLMSLKIGECDDPRKNPHSTPLNLSEEEEVPPPSLPSLLCLVGLLRFFSLRIWNPYLADLKISRHLRSGDVFELQLLIYKVCRQSRIQ >ONI20402 pep chromosome:Prunus_persica_NCBIv2:G2:1192060:1192459:1 gene:PRUPE_2G013400 transcript:ONI20402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAAPLALACLIVLVIAASLSERSEGRKQHQVVMDSKEAKFVTAAATDATDDCVFNKDCNKVCGPECNRGCENGSCVCTC >ONI24899 pep chromosome:Prunus_persica_NCBIv2:G2:27370928:27372185:-1 gene:PRUPE_2G268200 transcript:ONI24899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKMMHAAGFIIITILLAGSTPAVANDSTPIPADGSQVGSWFDNNVKPLTERKGTLDAAIVTAEDGPKLIKVMKDGSGNFKTLTEAINSIPERNTKRVVVYIGGGVYNEKIKIPQNKPFVTLYGSPNNMPNLTFDGTAQKYGTVYSGTLIVESDYFRAANIIVTPDGIRPDAQAVALQISGDKASFYNCKFFGFQDTLYDYKGLHFFKDCYIQGTVDFIFGKGKSLYLSASEDNEFSFVHCKITGTTYVRGTYLGRAWGSSPTVVFAYTDMADVVHPERWSDFGHPERSK >ONI21567 pep chromosome:Prunus_persica_NCBIv2:G2:11073036:11073911:-1 gene:PRUPE_2G073300 transcript:ONI21567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQREFCIILAVMVVVLVVPSYGCSQNGSGGVRYSVGDTFWAIPPIVGYYSNWSSNHFFKIGDSLVFDFEAGRFNVLQVTKKEYDSCTAYKPLKVFNSGPVNIPLREKGVFYYMCNISNYCSLGQKISIAVNECPCATPSPSPSSPPRASPPPVPPSSSPQIPALLPSPDNSRPPYVQVTSPAPSTVDNGSPQGPSNSAALIHKGVLLGWLSQLVLLLVFVLV >ONI24343 pep chromosome:Prunus_persica_NCBIv2:G2:25732083:25734008:1 gene:PRUPE_2G235000 transcript:ONI24343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >ONI24963 pep chromosome:Prunus_persica_NCBIv2:G2:27567702:27569611:1 gene:PRUPE_2G272400 transcript:ONI24963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEHEETSALELIRQHLLNEFTSMDSFISSLHLCTSQIPQPQISHFPSQSKTNSITSSDQYFRISDFLIPEIDQDTQFETKPQIAHPKPPRHSTLSHRKPAINVAIPSPPVLSGDEPQNPSSSNNVVVEASETARHYRGVRRRPWGKYAAEIRDPNRRGSRVWLGTFDTAIEAAKAYDLAAFKLRGSKAILNFPLEAGKTAETEQPPQTTVGGSRKRRRESSEQSTDSQERQSTDSQERQSSEEISNKEMKKENQEMVSSSSSNSGVCPLTPSSWTAVWDISKENEQGIFSVPPLSPLSPHTSFLGFPQLMVT >ONI22044 pep chromosome:Prunus_persica_NCBIv2:G2:15995144:15996528:-1 gene:PRUPE_2G103600 transcript:ONI22044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCLLALFYGLSCLHKIVLRRRDQACYLLAYECYMPTNDMMLSTDSCVKIVTRNKNLGLEEFRFLLKTIVNSGIGEETYCPKNIIEGREDDATLVDELLEMDDIVFDTLDKLFAKFSAISPSQIDILVVNVSMFSPAPSLTSRIVNRYKMREDIKTFNLSGMGCKSISPHWYCGKEKSMMLTNCLFRSGGCSMLFTNNRDLKHQAKLKLNHLVRMHTGSSDEAYNCCMQVEDESGYKGFRLTKYLVKAASQGFTMNLQVLLPKVLPLREMLRYLVISKLKSAKSQKVKADEGVGLNLKTGIEHFCIHPGGRAVIDGIGKSLGLSDYDVEPSRMALHRFGNTSAAGFWYALGYMEAKKRLKKGNKILMSGFGAGFKCNNIVWEVLKDLDDANVWKDCIDSYPPNNLVNPFMEKYSWIDDEILNFVRFDVSQLAA >ONI22296 pep chromosome:Prunus_persica_NCBIv2:G2:17746330:17748816:1 gene:PRUPE_2G119700 transcript:ONI22296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANMIVSVLLGGLVLLLLYLYESLVLKQKRLRSKLEKQGIRGPSPSSIFLGNIPEMKRMVKLEVMKSKDRHLSIAHEWPSKLFPYLLQWRNEYGTNFTYLSGSIQQLAVTDLEMVKEVSLCTSLNLGKPGYVSKDRKALFGQGIIAANGPIWYHQRKIIAPEFYFDRVKGMVELMVDCTTIMLRSWESKIEGEGGIAVFGVDEDLRSLSADIISRTSFGSNYSQGKEIFLKLRTLQKIMYQGHIGVPGARYLATKNNREIWRLEKEIHSMILRVPKQRSAEATYEKDLLQMIIEGAKNYGDTDNLFSGISQDNFIVDNCKSIYFAGHETTAITASWSLMLLAAYPEWQARARAEVLGIYMDRIPDADMLRSMRILNMVIQETLRLYAPSMFVGREALDDIELNGILIPKGTNIQIPIPIMHRLPDIWGPDALDFNPKRFEHGILGACKFPQAYMPFGVGARICLGQHLAMTELKVILSLILSKFCFTLSPVYQHCPTASMVIEPEHGVSLQVRRV >ONI22589 pep chromosome:Prunus_persica_NCBIv2:G2:19517922:19520495:1 gene:PRUPE_2G138000 transcript:ONI22589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLAQPPFMMSTNSVPRVRSPMQKVLKTSHAVHLHTLSHIRMKHSPVCCTKLTRWEPSSATYTPTESADDSFLNKTTNIFETLSSVSTDEAQETNSEKRIDASNQTRPEVQFLKWPMWLLGPSLLLITGMVPTLWLPLSSIFLGPNIASLLSLVGLDCIFNLGATLFLLMADSCARPKLPAQACKSKPPFSYQFWNMVATVTGFIIPLMMAFGSQKGFLQPQLPLISFSVLMGPYLLLISVQILTEMLTWHWQSPVWLVTPVVYEAYRLLQLMRGLKLGTELSAPAWMMHTIRGLVSWWVLILSMQLMRVAWYAGFTSRTRHQQQRPSDDD >ONI24668 pep chromosome:Prunus_persica_NCBIv2:G2:26713610:26715221:1 gene:PRUPE_2G254000 transcript:ONI24668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFLLTTLTQVDGSFKFEKEKKIIQRRVARIYKIRNCPQFSYFIHIMSFLVEFDIPLILSNHDMQ >ONI20686 pep chromosome:Prunus_persica_NCBIv2:G2:3086892:3098176:1 gene:PRUPE_2G029300 transcript:ONI20686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELCISIASKMVEEPVALIGRQLNYLIYYDSNLESLKDALKKLDDKKNDVQRSVDAAKRNGATIKYQVQSWLKVVSKVFDEAKELETKVNMQRRCLYGLCPSLKSRYSLSRKAKKIAQHVLDLKLDEGLSNNVANPAPLQQLGSIISSEGFKGFESRKAVMNDVLSALKNEKTRIIGICGMGGVGKTTMLREINKRLEGTSLFDDVVMATVSATVNIRTIQAEIAAPLGMKLDEGPESIRAQRLYERIKDKRILIILDDVWTELNLQDVGIPFGVGPTTNQVHEGCKILLTSRNEEVCKVMGCKKDDIFRVQELNKEESWELFRATVGESLDNNPDLSHVAKLIVDECKGLPIAIITVGKALLPSNGKHEWNTVLQELKNSLPENIPGMEPEVYSCIKLSYDKLDSDEVKSCFLLCCLFPEDYDVPIEYLVRYGLGQAIFRDTNTVEDVRNKVHSFVGQLKRRYLLLDSHKKECIKMHDVVRDVATSIATKYPHRYIVRSFDAIGGGGAWPGVQKVTNQEHCSAISLIGAKLHGDITDGLECPKLELLQLKNSSWSSELSNYFKRLRELKVLAFMEMDMSYYLASKGSLPLGDPKYLHTLCLENCKLGDISYVIGRLESLEILSFAHSEISKLPIEIGHLQRLRMLDATDCTGLEEIPYGILSNLRRLEELYMAESFLNWGLATGSKDETSMASLNEEYLKIRAVRDFLKQCEDLSLRHTYNLKYVIEELDDQGGFQHLKVLSIWNDDNIEYLMNGADWTRRGQPAFPILKSATFVKVNKLKFVIRGKLPDKHSFMNLRSIAIHSCDDLKYVFSLSVAQNLVQLQSLEVDGAKVEVIISKERMEDDNASHIITFRRLTVLKLLCLQELRGFYTGNQQDSTYEIIKPNDEGVNKMKETGNDNQVAGSTSSKSKVAQVAESCNAQFPSNCISWLPNLEKLELIFLRWGQGLEENNEPVVNVIFDLEGHDSAFSQLQTFQVLSLYGVEHLWKNVQPGFQGFQNVRSLYIQDCESLKYLCPYEIYKLLVNLEVVQIEECENMETLVKKDNIHEEGKETGGSGSMTLFPKLINNIILDNLPNLERFCPDAHSFAWSSSTRVLRVEFCPKLKTLGFELVSKNLPAAVAENLSDDHVRGREELGSDCASSTGSGFGFGCAPLVCLQSRPSTRNFTQILPRPVNREVTPTNLQTSSATHNLEDLLVHKCDLLEVIFLVQETPSTQAFDKLRELRLLHLPMLSHIWEKGLQVSSGFGNLRFLHVYQCDNLRYLFSPCIAKLLTCLETVSVFRCSAMEKIVGEAEGGGESIEDELTFPHVNSIELEDLPKLESFCSQAYTLKWPALEKVKVYECPKLKAFAPESLYA >ONI20685 pep chromosome:Prunus_persica_NCBIv2:G2:3091772:3098176:1 gene:PRUPE_2G029300 transcript:ONI20685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELCISIASKMVEEPVALIGRQLNYLIYYDSNLESLKDALKKLDDKKNDVQRSVDAAKRNGATIKYQVQSWLKVVSKVFDEAKELETKVNMQRRCLYGLCPSLKSRYSLSRKAKKIAQHVLDLKLDEGLSNNVANPAPLQQLGSIISSEGFKGFESRKAVMNDVLSALKNEKTRIIGICGMGGVGKTTMLREINKRLEGTSLFDDVVMATVSATVNIRTIQAEIAAPLGMKLDEGPESIRAQRLYERIKDKRILIILDDVWTELNLQDVGIPFGVGPTTNQVHEGCKILLTSRNEEVCKVMGCKKDDIFRVQELNKEESWELFRATVGESLDNNPDLSHVAKLIVDECKGLPIAIITVGKALLPSNGKHEWNTVLQELKNSLPENIPGMEPEVYSCIKLSYDKLDSDEVKSCFLLCCLFPEDYDVPIEYLVRYGLGQAIFRDTNTVEDVRNKVHSFVGQLKRRYLLLDSHKKECIKMHDVVRDVATSIATKYPHRYIVRSFDAIGGGGAWPGVQKVTNQEHCSAISLIGAKLHGDITDGLECPKLELLQLKNSSWSSELSNYFKRLRELKVLAFMEMDMSYYLASKGSLPLGDPKYLHTLCLENCKLGDISYVIGRLESLEILSFAHSEISKLPIEIGHLQRLRMLDATDCTGLEEIPYGILSNLRRLEELYMAESFLNWGLATGSKDETSMASLNEVMSLSDHLNVVAIKIPDVQMLRNNEFLLKSDRTRFHVSINISWSYKKKSFKNQMLGYLFENNLMLRGDVKEYLKIRAVRDFLKQCEDLSLRHTYNLKYVIEELDDQGGFQHLKVLSIWNDDNIEYLMNGADWTRRGQPAFPILKSATFVKVNKLKFVIRGKLPDKHSFMNLRSIAIHSCDDLKYVFSLSVAQNLVQLQSLEVDGAKVEVIISKERMEDDNASHIITFRRLTVLKLLCLQELRGFYTGNQQDSTYEIIKPNDEGVNKMKETGNDNQVAGSTSSKSKVAQVAESCNAQFPSNCISWLPNLEKLELIFLRWGQGLEENNEPVVNVIFDLEGHDSAFSQLQTFQVLSLYGVEHLWKNVQPGFQGFQNVRSLYIQDCESLKYLCPYEIYKLLVNLEVVQIEECENMETLVKKDNIHEEGKETGGSGSMTLFPKLINNIILDNLPNLERFCPDAHSFAWSSSTRVLRVEFCPKLKTLGFELVSKNLPAAVAENLSDDHVRGREELGSDCASSTGSGFGFGCAPLVCLQSRPSTRNFTQILPRPVNREVTPTNLQTSSATHNLEDLLVHKCDLLEVIFLVQETPSTQAFDKLRELRLLHLPMLSHIWEKGLQVSSGFGNLRFLHVYQCDNLRYLFSPCIAKLLTCLETVSVFRCSAMEKIVGEAEGGGESIEDELTFPHVNSIELEDLPKLESFCSQAYTLKWPALEKVKVYECPKLKAFAPESLYA >ONI22781 pep chromosome:Prunus_persica_NCBIv2:G2:20580150:20583063:-1 gene:PRUPE_2G150900 transcript:ONI22781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAQAPKRALAPQGRGLRSPPRPRFAPVDREKTCPLLLRVFTKVGSHHTNEDFAVRGKEPKDEVQIYTWKDANLRELTDLVKEVAPAARRRNAKLSFAIVYPDRHGHFVVKEVGRTLSYGNGRLDDAKVLAELGFAIGDYLDVAIM >ONI22134 pep chromosome:Prunus_persica_NCBIv2:G2:16773686:16774513:1 gene:PRUPE_2G109300 transcript:ONI22134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVKDKINEMGALRKVKAEARAEERAEKEVAKARSDVAHEVRLAKEAEASMSMHVAKAGDIARAGEKFAHDHQMVAHAPENTTNTAHQARSSDKTSGGPPTYNPMS >ONI22430 pep chromosome:Prunus_persica_NCBIv2:G2:18537487:18538896:-1 gene:PRUPE_2G128200 transcript:ONI22430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAMSFMGLGGGMPSAQMLNFVMGTVYKQFIEKDISNFEDFHIAILDIFNTFNAALPGKHYDAPPHEEIEAVFKQWQKTPEADRKNLLIEFLKKNVKLSKVDGTTMIAGILTPPVAMATKRAGENVPQLKMIKAIPDVVFVPSATVLVLISVKISRRVFMGKIAS >ONI22431 pep chromosome:Prunus_persica_NCBIv2:G2:18537559:18538744:-1 gene:PRUPE_2G128200 transcript:ONI22431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAMSFMGLGGVVFLYDTYNDLELVICITGMPSAQMLNFVMGTVYKQFIEKDISNFEDFHIAILDIFNTFNAALPGKHYDAPPHEEIEAVFKQWQKTPEADRKNLLIEFLKKNVKLSKVDGTTMIAGILTPPVAMATKRAGENVPQLKMIKAIPDVVFVPSATVLVLISVKISRRVFMGKIAS >ONI21848 pep chromosome:Prunus_persica_NCBIv2:G2:14812710:14818100:1 gene:PRUPE_2G093400 transcript:ONI21848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVYILYGLVRITGTSITKSPDKCVPSACGNINNIISPFRLANDTNQGNCTNWDYHYYNLYCDNNLTVLTINRGKYYVQAINYDNFTIRVVDPGIRNNDFSFIPRYSLSIYNLTSHLRLASSTTPITFFKCAKAVNSSAMSTYNYVKQGTITASDMEDGCRIEWTTLMSKSFLYETDRNFSYHDIHNALGYGFELQFRFLKTSYKLGAFFLIRSIFGVPFLAALLIYKRRRMHLSMYSNIEDFLQSYNNLSPIRYSYSDIKKITNRFNEKLGKGGYGSVFKGKLRSGRFVAVKMLEKPKANGQDFISEVATIGRIHHFNMVQLVGYCVEGSKRALIYNFMPNGSLNKYIYCKEGSNPLSCRKMYKISLGVAQGIEYLHRGCDMQILHFDIKPHNILLDENFIPKISDFGLAKLYRGTMGYMAPELFYKNIGGLSSDVYSFGMLLMEMASRRKNLNTSIEHSSQIYFPLWVSNQFCMGKEFEIVDATEEEKIIIKKMIIATLWCIKLKPSDRPSMNKVIEMLEGEVECLQLPPKLLLCPQQETPRDNLY >ONI24960 pep chromosome:Prunus_persica_NCBIv2:G2:27550476:27553824:1 gene:PRUPE_2G272100 transcript:ONI24960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPRWKLNQVKHCCNNINDSDDLEDPIFNSKECYACTQVGVPVFHSTRCDPTHQPQLRWERTAGSSMTRTDLKCRPQDLTRPRAIDPRSSSVKSWNRIVLMARGLSIAVDPLFFYVMALSQIGAPCFYMDVALALVVTVVRTCLDALHVAHILLQFKLAYVSRESMVIGCGKLVWGSRDISLHYMRSLRGFWLDAFVILPIPQTIIWLIVPRLLREEKTRLIMTTLLITFLFQFIPKLYHSVYLAKRLKKVTGYIFAYGNIWWRFKLNVIAYLTASHVLFSSGKLSGGNAFTKITTMCLDEDTTFGYGIYKPLLVVYAGNTLAVRILYPIYWGLINLGSFANNLIPTSDWLELIFSCCVTLAGLGLFITLLGNIQIFLHSVMENKKKMQLKYQDMECWMKRRQLPSHLRKRVRHFQRCSWAAMQGLEELELIQHFPDGLRRDIKGYLCLDLVKQVPLFHKLEDVILDNICDLVRPIIYSKGEKLIREGDPVQRMMFIVRGHIIRSQGLSKGFQATSVLEPGSFFGDELLSWCLRRPFSGRLPPSSATFTCMESIEAFGLDANCLHYIIDHFRYKFSNERLRRTARYYSSNWRTWGAVIIQLAWHRHRMRTKGVIMVQSGDIANRLRLYAAFFLSLKPHDHLD >ONI25444 pep chromosome:Prunus_persica_NCBIv2:G2:29028498:29030451:1 gene:PRUPE_2G303800 transcript:ONI25444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFSHGNSSILQVLDRGEKIELLVDKTDNLRSQAQDFRTQGTKMKRKMWFQNMNIKLIVVEIIILIDFVIFLSICHGFKCT >ONI24903 pep chromosome:Prunus_persica_NCBIv2:G2:27381468:27382915:-1 gene:PRUPE_2G268600 transcript:ONI24903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYKTVIIAIVNKAYVEGDKPMLDLFLNGFWHGEDTRGLIFQLLLVAVDQTSFERCKSLHLHCHKLEADDPADFEAEKLYMSQDFINMMWRRTLFLKEMLKRGYSFIFTDIDVMWLRNPFPRLISFNESIDLQISTDSFNGDQLSEANPINTGFYMVRSNNRTISLFEKWYAQKNYSTKLKEQDVLNGMMKEGVFRELGLSVRFLDTRYFSGFCEVSRDFKAVTTVHANCCRTIGAKVVDLTAVVHDWKRFKSLSNSNSTLTLKWTNHVACNRSWKRKVN >ONI20595 pep chromosome:Prunus_persica_NCBIv2:G2:2363173:2364569:1 gene:PRUPE_2G023900 transcript:ONI20595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLCSIKNKIMFCFPFFFFSTSNCHPSWVSKKFIWRMMQIGNAVINDETDLRGMYDYLASHALISDQTANKINKYCDFSPNATKQPKECTDAAEAAAKDTYYIDIFNIYASSCVSSNLTAKPKKASIFKFDPCSEYYAYAYLNRPDVQQALHANVTKLTHDWEPCSDVITNWADRASTVLPLLKEFLANDVRVWIFSGDIDARVPVTSTKYSIEKLNLPVKTEWHAWFLGGEVGGYTQVYEGELTFATVRGAGHQVPSYQPARGLSLIKHFLDGTPLPNTTRHT >ONI22415 pep chromosome:Prunus_persica_NCBIv2:G2:18493356:18493901:1 gene:PRUPE_2G127700 transcript:ONI22415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQPYGVDLLFDISGLKNWKIQVSTKCHCFYIAGSLGPEMQSYHNISIFFDQEIGLLCFLFSSLFGNHVRLY >ONI22914 pep chromosome:Prunus_persica_NCBIv2:G2:21153120:21155263:1 gene:PRUPE_2G158800 transcript:ONI22914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGTLEVLLVDAKGLDNNDFLADMDPYVLLTLRTQEKKSNVVSGQGSAPEWNETFVFTVSDDVSELHLKIMEKDNFSADDFVGEATISLEPIFTEGSIPPTAYNVVNQDKEYRGEIKVGLRFTPEPEQNEGASGEYGRNDGPSGGYGRNDGPSGEYGGSEEGYGGWKQSSYAEE >ONI25010 pep chromosome:Prunus_persica_NCBIv2:G2:27702751:27706796:1 gene:PRUPE_2G274700 transcript:ONI25010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKYLEKKQEQNKAPSPCLPRHALPTTATPSPICLLLEAIDHIKVGSYYEINHSKLPPRTTPEQLKAVRVVMVSEKGALKVALRFPSIHSLHTHITEGGYAKPLAKQIPALNEKYVMPAEMASEVLYRRIPPQEIADRSNIWSFWAATPSATNYQRISSSPSPAVSGEVMNRSVVSKKGPCWSELKFTGMVTWGKRRQVRYMRRHEPSPSSSTDEEEETGEELTELEENGDDFYVDDENAITVIEEDDDIEEEEEDVKTEDEAPPVVPWKMNLRSRKRKSQDHHRKPKKTTNKSKSITKRQKQNQVVAVPDRSNKKLVKQTVARWSAGRYKAAEENMLRVMKAKGAKFGSPILRPALRSEARKLIGDTGLLDHLLKHMAGKVAPGGAERFRRRHNADGAMEYWLESADLFDIRKEAGVQDPYWTPPPGWGPGDNPTRDPICTREIHELKEEITKIKRVLHVSKNKEDNLALVTTSNSCLTSLDWEHDGSLIHQKEYAVLLTMQQNKIREQLMEMSQSLSRMEEEHAEQIVKKTKMEEQLMDVSQSLSEMKEQIGMLKSSREEPLIISDAPPPPDTDQRAATKSKGGEQEEEEDKLEGGDNNAAATATHEDKAAKIQRLRSGFRICRPQGSFLWPNMGISTPPSASSSSATHLIPIPPQPQPGSPVKPVAERRPVKTATLCNVSYKPSASPPIFLPPGPPSKSKRSVTVTNTLLINLNELPNPNPNSLQNASETSTALSGTLTYQRRHHHQQYPHMLKAKTEDGEDGNASNESSKQQQHDEPTRWCSSSSPSTTAKWMGHKW >ONI20525 pep chromosome:Prunus_persica_NCBIv2:G2:2056101:2062260:-1 gene:PRUPE_2G020700 transcript:ONI20525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREGKVVCVTGASGFIASWLVKLLLQRGYIVKATVRDPNDSKKTEHLLALDGAKERLHLFQADLIEEGSFDAAVDGCEGVFHTASPVLFSANNPQAELIDPAVKGTLNVLKSCVKFATVKRVVLTSSTAAVIVNGRPLTPDVVVDETWFSDPFFCENFKIAKWYVLSKTLAEEAAWKFAEGNGIDLVTINPSYVIGPLLQPSLNLTVEMILNLKNDIEDVNSSIYSCSDVRDVASAHVQAFEVPSANGRYCLVGHVTPMAKVLKILHELHPSLCPPEKYEEANPSEPTYQVSQEKAKSLGVNFLPLEVSLRDTVESLKEKGFLKV >ONI20308 pep chromosome:Prunus_persica_NCBIv2:G2:755889:756203:1 gene:PRUPE_2G008300 transcript:ONI20308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIIMTLPVLVVMSVLTGLVDQGEGTMCASTFFSSIVQLIPCRPAVVPFSPIPPSETCCNALKALGQPCLCVFVNGPSISGVDRTMAMQLPEKCIINFEPCTL >ONI20357 pep chromosome:Prunus_persica_NCBIv2:G2:985463:987710:1 gene:PRUPE_2G011100 transcript:ONI20357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKESLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKRYEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSKMEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMSRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKLYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI20657 pep chromosome:Prunus_persica_NCBIv2:G2:2901866:2908104:-1 gene:PRUPE_2G028000 transcript:ONI20657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGEIFLAAFLQLLLDRLTPRNFLNFAQKEGVGKKMKKWTTMLSAIGAVLHDAEEKQLMSEAVKLWLDDLKDLAYDVEDMLDKFSTEMFRRKAHKLHGATTSKVRSLIPRVKFNSSMNSEIKVITDRLHEISERKDKLGLNYIGTTSSKARQRSPSSSVLDGPVVGRDGDKAKILELLSRDEPSSANFHVVSIVGMAGVGKTTLAQLVFNDNDVSMKFSPKAWVSVSDDFNIVRVTRAILESITSRHCDLEEFSNIQDNLSKELAGKKFLIVLDDVWNTCDYDLWIKLQSPFRVGALGSKVIVTTRDGEVAKMMRAIEVHNLECISNDDCWRVFEQHAFLNVQPPNIELYREKIAIKCGGLPLAARTLGGLLGCKEIDEWEEILNSNLWKLSDKINILPVLNVSYHYLASSLKRCFAYCSILPNDYEFGEKQLILLWMAEGLIQQSEENKQMEDIGGEYFRELISRSLFQKSSKNNSQYVMHDLVSELARWAAGETCFRLDDSMQRRFSPKVRHMSYISGEFDGVKKLKAFFEATHLRTFLPLQLSDARRNSLTSKVNHDLLPKLQYLRVLSLNGYTITELSNSIGELKFLRYLDLSHTLILSLPESLSTLYNLQTLILENCSRLKALPTNLSNLINLRHLNISDVPLLEGMPPQLGQLANLQTLTNFVVGESHESKIREIGPLRHLKWTLHLSGLENVIDAEDARRADLISKDGLDVLALKWKYMREPKSDVLDMLRPSRKLKQLTIIGYGGLEFATWLGDPLFSNMVLIRLYNCNNCQFLPPLGKLPCLKELHIIGMPGVESVGLEFYGEGCLPFPLLHTLLFQDMQHWKEWSPCESYQGIGVFPCMTKLTIKRCPALEGRLPEDLDSLEKLEIDECENLMVSIANYKQLRNIDIHGCKGMVHSSAVEFRLLESMHLSDILKLTLRAERFMRGLSMVKDLKITGCEELTSSWQNEDRLLQHLVSLRRLLIKGNSNLVQLHHLTSLQELHIDECSNLVSFTEASLPHSLKVLTIESCPSLMYLARDQIPPSLRRIIIRRCQNLKSLVEVVMEGSLSSFPSLMQEETSCLEYLSIDICPSLTSLSSRGHLPKTLKHLLIDTCKQLESITERFEENTCLEYLCIYLCQNLKFLPEGLCNLSKIQSLLIYGCGNLVSFPIGGLPRSASNLTEISIINCDKLEALPEGINFLNSLQILRIPHFPEGGFPPYLTSLSIMNLKICRPLLEWGLHRLTSLRVLWISGEDPDLVSFPPEKEMLLPESLVQLDIIGFPNLKYLGLQFLNSLESLKIWSCPKLTSIPEEGLALSLTQLSIRECPVLEEKCKPGKGQYWPSISHIPYVWIGGRKVTTP >ONI21708 pep chromosome:Prunus_persica_NCBIv2:G2:13125697:13133497:-1 gene:PRUPE_2G082900 transcript:ONI21708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAVSAGTIVLEVLNNRNYVDWSVYLRNYLLAQDLWDAVKATDEPPEDEEKEADGPFKAWRKKNAMALHTIQISCGPEAFALIRDSNSAKFAWDALAANFKTQALLPSDLPVESATSSNNPGYDESVCDFRQYQPFFKAVRSGDWNKAKELLTLHPNAARAKIPYSNKTALYIATELEHEHIVEELVQLMLEEDLEIKSSGWTALAVAADRGNIKIVECMVRKSKKILSIATENNNMTPILLACISEHWDVVRYLYSVTPLEDLMPDKGPYGAGLVCHSIGVKKFDIAQELINRCPKLVLTKDPFGISPMHALAFLPSIFPRINRIREMKLIHIQSLQILDHMCEVMTHLDGKEMEDVHEAIFRAVERGIFEFIDRIFQASPNLVWSYNRMRRNAFQFAIECRQEKVYTLIYKLDKRKRSSIANLVDTSKNGMLHVAGMLSPLAKLDNISGAALQMQRELQWFKEVETITFPRSKEALNAEFLAPRDVFTKNHKELVKEGERWMKETATSCTFVGALIFTIMFASAFTVPGGNNERTGIPIFLNKKIFMVFIVSDAISLFSSTTSVLKFLGILTSRYAEDDFLKSLPTKMIIGLSTLFFSIAAMMVAFSSALFIMIHQQSWIVVPIIFLATVPITLFIWMQFPLLVEMFISTYGSGIFDRKVKQWT >ONI25827 pep chromosome:Prunus_persica_NCBIv2:G2:29908044:29911664:1 gene:PRUPE_2G322200 transcript:ONI25827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGKQGVMVIPQQNQNPIEQLQARFKELESGFKGWLAKQSLPVEAAVVTLTSGAQGAAIGAFMGTLTNDVSSSLPTPPPQAGLNPQAMASFKQAQALAGGPLIQARNFAVMTGVNAGISCVMKRLRGKEDVQSSMVAAFGSGALFSLVSGMGGPNQAANAATSGLFFALVQGGLFKLGQKFSQPPTEDIYYSKTRSMLSNLGLQNYEKNFKKGLLSDNTLPLLNDSALRDVKIPPGPRLLILDHIQRDPEIKERRGFSS >ONI25828 pep chromosome:Prunus_persica_NCBIv2:G2:29908118:29910914:1 gene:PRUPE_2G322200 transcript:ONI25828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGKQGVMVIPQQNQNPIEQLQARFKELESGFKGWLAKQSLPVEAAVVTLTSGAQGAAIGAFMGTLTNDVSSSLPTPPPQAGLNPQAMASFKQAQALAGGPLIQARNFAVMTGVNAGISCVMKRLRGKEDVQSSMVAAFGSGALFSLVSGMGGPNQAANAATSGLFFALVQGGLFKLGQKFSQPPTEDIYYSKTRSMLSNLGLQNYEKNFKKGLLSDNTLPLLNDRQCTERRENPSWTKASHS >ONI23630 pep chromosome:Prunus_persica_NCBIv2:G2:23672690:23674861:-1 gene:PRUPE_2G199600 transcript:ONI23630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLERKSHPKINHAEPEDEITKEEEDESFCYAMQLVGSSVLSMSLQSAIKLGIFDIIARKGPGAKLSSSEIATKIGTENPEAPVMVDRILRLLTSHSVLNCSAVAANGGSDFQRVYSLGPVSKYFVNDEEGGSLGPLLTLIQDRVFLESWSQLKDAVVEGGIPFNRVHGMHAFEYPGLDPRFNQVFNTAMFNHTTIVIKKLLHIYKGLEDKNLTQLVDVGGGLGVTLNLITSRYQHIKGINFDLPHVVNHAPSYPGVEHVGGDMFASVPSGDAIFMKWILHDWSDEHCLKLLKNCYKAIPDNGKVIVVEALLPAMPETSTATKTTSQLDVLMMTQNPGGKERSEQEFMALATGAGFSGIRYECFVCNFWVMEFFK >ONI23629 pep chromosome:Prunus_persica_NCBIv2:G2:23672725:23674893:-1 gene:PRUPE_2G199600 transcript:ONI23629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLERKSHPKINHAEPEDEITKEEEDESFCYAMQLVGSSVLSMSLQSAIKLGIFDIIARKGPGAKLSSSEIATKIGTENPEAPVMVDRILRLLTSHSVLNCSAVAANGGSDFQRVYSLGPVSKYFVNDEEGGSLGPLLTLIQDRVFLESWSQLKDAVVEGGIPFNRVHGMHAFEYPGLDPRFNQVFNTAMFNHTTIVIKKLLHIYKGLEDKNLTQLVDVGGGLGVTLNLITSRYQHIKGVEHVGGDMFASVPSGDAIFMKWILHDWSDEHCLKLLKNCYKAIPDNGKVIVVEALLPAMPETSTATKTTSQLDVLMMTQNPGGKERSEQEFMALATGAGFSGIRYECFVCNFWVMEFFK >ONI21587 pep chromosome:Prunus_persica_NCBIv2:G2:11235654:11240059:1 gene:PRUPE_2G074300 transcript:ONI21587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFTKQNLSTNLSVDSSISKMLQLPSFSVFLASLFFLLMIFKYWKKSQAKGLSKLPPGPKQLPIIGNLHQLIGALPHHAVTDLCNKHGPVMKLQLGELFAVIISSPEAAKEVLKTCEISFAQRPEVYAVEIMAYDHSSIVFAPYNEYWRQLRKISVMELLSANRVRSFRSIREQEVWNLVEFIAFSEGHTINLSDKIYTMTNDVVSRAAFGNKCKYQHEFTLLLGEIILLAGGFNIADLYPSLTFLRSMSGMQPALMKIQKKIDEILQDIVREHKMKREASRKSFGRLGEDEEDLLDTLLNYEEANKHEFHLTTDQIKAVTMDIFSAGSETSATTMEWAMSELLKNPRVMEKAQLEVRQVFEGKNKIEEEDVQKLHYLKLVMKETFRFRPPAPLIPREARERCEIGGYTIPAKAKILINAYAIGRDPKLWADPESFQPERFQGSSIDFKGNNFELLPFGAGRRICPGISFATSNIELGLAQLLYHFNWNLPNGTKLEALDMAENFGMTARRKNNLHLIATTYIPFNK >ONI21977 pep chromosome:Prunus_persica_NCBIv2:G2:15525597:15526451:1 gene:PRUPE_2G099400 transcript:ONI21977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLISLFSELQPFPFFSNFCYRIASLRLNLCLQGRKMSYLLTTLTKKQEVDSLIRSTIDKVLVLRFGRASDSVCLLLDDILDKTAREVSKFAAIALVDIDSEDIQVYAKYFDITLIPSTVFFFNAHHMKMDSGTADHTKWVGAFQQKQDFIDVVEAIFRGAMKGKLIVSCPLPPERIPKYQLLYKDV >ONI21978 pep chromosome:Prunus_persica_NCBIv2:G2:15525421:15526451:1 gene:PRUPE_2G099400 transcript:ONI21978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLTTLTKKQEVDSLIRSTIDKVLVLRFGRASDSVCLLLDDILDKTAREVSKFAAIALVDIDSEDIQVYAKYFDITLIPSTVFFFNAHHMKMDSGTADHTKWVGAFQQKQDFIDVVEAIFRGAMKGKLIVSCPLPPERIPKYQLLYKDV >ONI24377 pep chromosome:Prunus_persica_NCBIv2:G2:25821593:25823533:-1 gene:PRUPE_2G237000 transcript:ONI24377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMALLCIVSLIALASTIVEARIPGVYSGEAWQGAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANQPQWCHSGSPSIFVTATNFCPPNYALPNDNGGWCNPPRSHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKQGGIRFTVNGFKYFNLVLITNVAGAGDIVKVSVKGSKTGWMSLSRNWGQNWQSNAVLAGQSLSFRVTGSDRRTSTSWNIVPANWQFGQTFTGKNFRV >ONI24346 pep chromosome:Prunus_persica_NCBIv2:G2:25740710:25741637:-1 gene:PRUPE_2G235300 transcript:ONI24346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEKKTSNKNKKQKHQHPNDQTTKTASSDFSFKPSSEVKGLRFGGQFIVKSFTIRRARPLELLQLLSLPPPNKPNSNNKLPFPSTTAFLPTNFTILAHHAWHTLTLGLGTKKSKVVLFVFETEAMKAAVDRVWPPEIPLGEVNKRLIRGLNGCEIARFKFRKGCITFYVYAVRQVGSLGFSSADDLRTILQSVVALQDFLDHTAMLAMPNQRTISYANVAPVAMAH >ONI23999 pep chromosome:Prunus_persica_NCBIv2:G2:24764093:24766792:-1 gene:PRUPE_2G217900 transcript:ONI23999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGKKVADVAFKASRKIDWEGMGKLLVSDEARKEFASLRRAFDEVNTTLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYDSVQVPKYVDTVTPEYKPKFDQLLVDLKEAEEKSLKESERLEKEIAEVQELKKKISTMTADEYFEKHPELKKKFDDEIRNDYWGY >ONI21433 pep chromosome:Prunus_persica_NCBIv2:G2:8993887:8995033:-1 gene:PRUPE_2G065400 transcript:ONI21433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATFWTKTSLVHINSIWFMIKYGLRVKEGVVVIILVPFTPPFGHEASHLLKLS >ONI23004 pep chromosome:Prunus_persica_NCBIv2:G2:21378021:21381110:-1 gene:PRUPE_2G163700 transcript:ONI23004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFTLDPCASYLRHALSCFMLLGPDHYVELCVTENDVTLVGAVGDPEEGRTPEIPNVVLALQLTPSNFYTFSCTENVRLGLDYYMLFRELFDAKGGDFLSLSSDISRDNIDFELLSVSTLEMKVAEIPLLSRRSGQITLPQLQKERPVVIGIAAELFRVLILSSRERFGMTVTVSVMGKQVVLHGQDKAIVLRKEDCVIWIPVAGRFFLKFSTENANALLNASILSNMVWLYGQCNGPSCMLNFPFGQLGSLTFYFGEDNAGSEDDAGFHKR >ONI24065 pep chromosome:Prunus_persica_NCBIv2:G2:24971468:24974462:1 gene:PRUPE_2G221900 transcript:ONI24065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFCLSLPNSGISPLLRHAPPIRCQSARVIIAGESNMAANSLRVAAAQMTSINDLAANFATCSRLVKEAAAAGAKLICFPESFSFIGAKDGDSLKIAQPLDGPILQQYCSLARESGIWLSLGGFQEKGSDDEHLFNTHVVVDDAGNIRSTYRKIHLFDVDIPGGRAYNEGSFTEPGKNVVAADSPVGRLGLTVCYDLRFPELYQQLRFQHEAQVLLVPAAFTKVTGLAHWEILLRARAIETQCYVIAAAQAGQHNDKRESHGETLIIDPWGTVVGRLPDRLSTGIAIADIDLSVIDSVREKMPIAKHRKPVEFWKSASL >ONI22490 pep chromosome:Prunus_persica_NCBIv2:G2:19094079:19095728:-1 gene:PRUPE_2G132800 transcript:ONI22490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHLVGKSSSSKAETKASFTSALTLTKLGVPHVPQRYVLPPSLRPNPSSSRLSTTLPIVDLSSLQSPSLRPQIINNIRMASKEIGFFQVVNHGIPSSVMEDALSAANEFFNLPLEEKMLLGSDSVHAPVRYGTSINHVVDRVHFWRDFIKHYSHPISKWIHLWPSNPSCYKEKMGNYAKAVQVLQEQIMELVIESLGLNPKYLQEEVESGSQLVAVNCYPACPEPELALGMPPHSDYGSITILLQSCPGLQVKDQNSNWQSVPAIEGALLVQLGDQMEVLSNGHYKSVLHRATVSAEKSRLSIASLHSLPLDKKVGPAPMLVDKQHPQSYKEFSFRDFLDFITNNDIVNGRFIDTLKQN >ONI21394 pep chromosome:Prunus_persica_NCBIv2:G2:8338650:8341016:-1 gene:PRUPE_2G063000 transcript:ONI21394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKLASKKKKSRNKSSTRANPLSAQEGSSSSAETAAAYVASPSLANTTAAPAPAQRLYARCPLKEYFEELLSMGWGAVQAWGSLAGKVAHLGSKGITHGRLTGENIFVIGNGAENLEVEIRGIPHKYNPAMPSYREQFQTLASEVVDTWEAPCPMVSKHFFEMLEQCIPWFYFKQLQWHPLLLSSHEVAVVIFRLYTHLDVGKKGWKNNYKKLITRENVDFDKIISGTGRGAFAFSKVYSYPSDQKEKALQLSEKEKKNSYSPVEYEPNALGALEFFRHALSHVNDHMWDDFVEKNAVYT >ONI22783 pep chromosome:Prunus_persica_NCBIv2:G2:20597751:20600680:1 gene:PRUPE_2G151100 transcript:ONI22783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTGCSCFPVTLERSKKGSFKFVRSSSSVAGSSKSFSFKKRSTQEKKALIVCFGEMLIDFVPITAGVSLADAEGFKKAAGGAPANVAVGISRLGGSAAFMGKVGKDEFGYMLADILKENNVDYSGMRFDPNARTALAFVTLRSDGEREFMFYRNPSADKLLHEKELDVNLIKKADIFHYGSISLIEEPCRSAHLAAMDIAKKAGCILSYDPNLRLPLWPSAEAAQQGIMSIWNQADIIKISEEEIEFLTGGDDPYDDDVVMKKLYHPNLKLLIVTEGAAGCRYYTKEFKGKVEGIKADAVDTTGAGDSFVGAILNSWGSDLNLYKDEKRLREALLFANACGALTVQKKGAIPAMPTKEAVDQFLHQTTA >ONI21615 pep chromosome:Prunus_persica_NCBIv2:G2:11541146:11543593:-1 gene:PRUPE_2G075800 transcript:ONI21615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERPAERGRGGFGRGFGGRGGDRGRGGGRRRPGRREEEEKWVPVTKLGRLVKEGKIKSLEQIYLHSLPIKEHQIIDALVASGSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDSNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPEFWKETRFSKSPFQEFTDLLGRPTKPLLIEDVEKVEA >ONI20213 pep chromosome:Prunus_persica_NCBIv2:G2:380416:381337:1 gene:PRUPE_2G003500 transcript:ONI20213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRFWRPHKRKKNIKQGGGEYPHGSLCLHLPTPHYNIITQQTVLEQEVIIKVGKVTRTREREQRMREKMIKIESR >ONI23919 pep chromosome:Prunus_persica_NCBIv2:G2:24664424:24665064:1 gene:PRUPE_2G216100 transcript:ONI23919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVAMVLILSTFATKTVFIAADIINGNELINGNGNGNSKTRYPTLMNRIDMGEGGGVGKGGGGCKGSICNMLVLPCKSGCYCIPFGILPIGVCAGICCSSYIKFNILFKFIICAFNEIILVETMSF >ONI23982 pep chromosome:Prunus_persica_NCBIv2:G2:24720572:24721267:-1 gene:PRUPE_2G217000 transcript:ONI23982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIKNNAVLQMDDTDDTLSFCDFSLYNDDYDNYSPSPRQYPDTPESFFEFLVDPDFEPDSSARDPRNGLFLFKTNSFKRSHSFRSTLDLAISSPFKPSSPATGSCRYQSSKSRKHKMVLIGSLVKPQPKMELRDIKRRQSRRVPKPMFPVANATELVAAAPADGGGAHHKGLLRPLRCRAHLVSALVKASFGCIPSPKPV >ONI24632 pep chromosome:Prunus_persica_NCBIv2:G2:26573964:26576856:-1 gene:PRUPE_2G251200 transcript:ONI24632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYKRNNDFDRDQKKNTKFDHVRTRLILHLVGPANHSYMRREERLQNTIERGQTTRSPSCWTWRREALVLSPHSLFSFYGRLVHSFIISQACLRHPKLKSFLYLLHLGLMASWVLSECGLKPLPRVYPQARNGLTSKTAIFANARPLPVCKSNIFGSSLRASNGIRGTNWALNVSAPLRVPSVDGGDNGRVSVNGVEDGSDFDPGAPPPFNLADIRAAIPKHLWVKDPWRSMSYVVRDVAVVLGLAAVAAYFNNWVVWPLYWFAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKVYKTLDKATQMLRFTLPFPMLAYPFYLWGRSPGKSGSHFDPNSDLFVPNERKDIITSTACWTAMAGLLVGLSFVMGPVQMLKLYGVPYWLFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYNLVEATEAAKPVLGKYYREPKKSGPLPLHLLGVLIRSMKRDHYVSDTGDVVYYQTDKKLPGSVTSE >ONI21055 pep chromosome:Prunus_persica_NCBIv2:G2:5579973:5580983:1 gene:PRUPE_2G048400 transcript:ONI21055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPRVEHLSPTSEASNISATSDDLPPTKKDGLENLDRKMKEKIVIDDSDDDDTQQPPPPPVISSSSSPSPSSRLRLDLKLCNNESAHGLGSSSSRLELSLFNPSNLGSSNPGSSNPVSEYSQPNETTDENPRGSDDKRSQPRVFTCNFCKREFSTSQALGGHQNAHKQERALAKRRQGGLENMGGVLGHSPFPYYNPYSSLSSPSLYGSFNRSLGVRMDSMIHKPSYPWSSTLGFGRFGPSSEAWSSRAGSLLNFQSTIDRLNLDGLHANAPNGISGLPGTSSASRFDKEIGVVGNFGGSSSNSEINRPILGDLPREPPTSDTDAAGLDLSLKL >ONI20726 pep chromosome:Prunus_persica_NCBIv2:G2:3388045:3389358:-1 gene:PRUPE_2G031200 transcript:ONI20726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDRAPPAKESSSSSACKDVPNLLSSFVDTFVDFSVSGGLFLLPQNDQNALPHHRNPGDDPLPSPPPLQTYYPPQDRLIAIGDLHGDLEKTKESLRLAKLIDPESGKWVGGSSTLVQIGDVFDRGGDELKILYYLEKLRREAARCGGTVITMHGNHEIMNVEGDFRFATHKGLDEFRGWADWYCIGNRMKSLCKGLEEPKDPFDGVPLGFENVKEEFVDGFRARVAALRPNGPISSRFLAKNLTVLVVGDSVFVHGGLLAAHVSYGLEKINAEVRDWVHGLKDRFAPEYCRGRNAVVWLRNFSHEFAEKCDCSALEHVLSTIPGAKKMIMGHTIQEFGINGVCEERAIRIDVGMSKGCINGLPEVLEINGNSGMRILTSNPLYEKKKYGSSVESERRPGPGFLPQEHGPKQVEVKA >ONI24764 pep chromosome:Prunus_persica_NCBIv2:G2:27054530:27058098:1 gene:PRUPE_2G261400 transcript:ONI24764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCACSNFRSSSLLLLGRSPSLHPHYSFPSKLRFLTLKRLNLAKHARVVRSACGFNENGSVNGFSVTPNKLFMQEAIGAEYGEGFETFRPDGPLKVDVDFLNDRLQEGFLQRIRYAMKPDEAYGLIFSFDNVVADTRTLKLNSWKQLASEEGKEIPEDADVQGRMLYAGADHVLHKLLLWDEAEGELDRLALRFSQLYYDNLLRLSKPVEGLKEWLDAVSTARIPCAVVSSLDRINMTEALERMELKKYFQAIVTEEDGMDSIAHRFLSAAMKLDRKPSKCVVFEDEPRGIAAAHNCTMMAVALIGAHPAYDLVQADLAVASFNELSVINLRRLFANKGSTFMDLQKQVIEKSPPKRKLTIDTIF >ONI24765 pep chromosome:Prunus_persica_NCBIv2:G2:27054530:27058098:1 gene:PRUPE_2G261400 transcript:ONI24765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCACSNFRSSSLLLLGRSPSLHPHYSFPSKLRFLTLKRLNLAKHARVVRSACGFNENGSVNGFSVTPNKLFMQEAIGAEYGEGFETFRPDGPLKVDVDFLNDRLQEGFLQRIRYAMKPDEAYGLIFSFDNVVADTRTLKLNSWKQLASEEGKEIPEDADVQGRMLYAGADHVLHKLSKPVEGLKEWLDAVSTARIPCAVVSSLDRINMTEALERMELKKYFQAIVTEEDGMDSIAHRFLSAAMKLDRKPSKCVVFEDEPRGIAAAHNCTMMAVALIGAHPAYDLVQADLAVASFNELSVINLRRLFANKGSTFMDLQKQVIEKSPPKRKLTIDTIF >ONI21495 pep chromosome:Prunus_persica_NCBIv2:G2:10017973:10025644:-1 gene:PRUPE_2G069900 transcript:ONI21495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSATKEMVAYCFDTLLAHYNSEEPPAPAFDEGQHPLFVTWKKVVNGSETRLRGCIGTLEARSLMNGFKDYALNSALKDRRFPPIQLKELPYLECTVSILTDYETASHYLDWEIGKHGIIIEFTDPEYSTRRSATYLPEIAAHEAWTKIEAIDSLMRKAGYNGTITESLRKRIKLTRYQSTLCTMHHSDYVSYVKATRGAAPSIIGAKPGSH >ONI23789 pep chromosome:Prunus_persica_NCBIv2:G2:24157007:24161143:1 gene:PRUPE_2G208200 transcript:ONI23789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPMSLPVPFLLLLLSTVASAKLTNPLGLARSSFPSVHAQELIRAFNLFPKEDINIIDGPDSSIFHDSPRLVEKRFNLPNLVGSGVSVEDLGHHAGYFKIEHSHAARMFYLFFESRTNKKDPVVIWLTGGPGCSSELAVFYENGPFNIANNLSLVWNEYGWDKASNLLYVDQPIGTGFSYSTDRRDIRHSEDGISNDLYDFLQAFFAEHPELAKNDFYITGESYAGHYIPAFAARVHRGNKAKEGVHINLKGFAIGNGLTEPAIQYKAYTDFALDNGIITKTDHDRINKVLPVCEMAIKLCGTDGTVSCMASYFVCNTIFSSILARAGNLNYYDIRKKCEGSFCYDFSNMEKFLNQKPVREALGVGDLSFVSCSPTVYQAMLVDWMRNLEVGIPTLLEDGIQLLVYAGEYDLICNWLGNSRWVHAMEWSGQKEFVSSPEVPFVVDDSEAGVLKNYGPLSFLKVHDSGHMVPMDQPKAALEMLRRWTRGTLSEAAAEPEKMFAQM >ONI25428 pep chromosome:Prunus_persica_NCBIv2:G2:28986159:28988621:-1 gene:PRUPE_2G302700 transcript:ONI25428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRDVVSWTGMLSAYVRNGRYDEALEFFDSMSISGQCPNEFTLSSVLRSCSLLGDFDYGTRIHAYVIKLGFESNQYLGSTMIDLYAKCGFTDEACKIFKNMDNRDTISWTTIISSLVQAEKFSQALAHYMDMICAGVHPNEFTFVKLLAASYSLGLNYGKLLHAHLIRLGMRLNLVLKTALVNMYSKYQKMEDAIKVSNQTPDYDVLLWTSVISGFTQSLRVTDAIAALHEMELSGIVPNNFTYSSILKASSEILSLELGKQIHSRIIKAGLEYDTCAGGALVDMYMKCSDLAEDALEAFRDITSPSVITWTSLIAGFSEHGFEKDSFQSFAEMRAVGVQPNSFTLSSILRACSTVKSHSQTVKLHGLIVKTKAGCDTVVGNALVDAYAALGMVDDAWHVVTSMIHRDAITYTCLATRMNQMCRYEVALDVIVRMYMDDVEMDGFSMASFLSSSAGLAAMETGRQLHCYSIKAGLASGISVSNALVDLYGKCGCTDDAYRAFKGISEPDIVSWNGLISGLASTGHISSALSTFDDMRLAGFKPDSITFLLVLFACSHGGLVELGLEHFQSMREKHEIAPQLDHYACLVDLLGRAGRLEDAMEVIMTMPFKPDALIYKTLLGACKSHRNIALGEYVARQGIELDPSDPAFYVLLANLYEESGQPDLAKSTRRVMRERGLKKNPGQCWMEIRNKVHLFNAGDRSHPQINEIHEKVESLITELKNRGNLYQDYEDSSYHSEKLAVAFGLLRTPRNASVRISKNMRICSECHNFIMLVTQFVDREIIVRDGNRLHVFKKGECSCMVAASLQQDEIFHNSVCHS >ONI22393 pep chromosome:Prunus_persica_NCBIv2:G2:18337640:18341261:1 gene:PRUPE_2G126200 transcript:ONI22393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRAENINLWLQHGSTDPEIVVIYGISGIGKTTIAKFVYNSNYTKFEGSSFIENIKEISQQPNGLVQIQSQLLSEILIGRKVKIHSVSKGITAIEDALWSRRVLIVLDDVDHMDQLEAVLGLKYRFCPGSKIIITTKRAKLLKAHQVTMVHAVETLDNKESLELLSWHAFGQDHPLEDYIEYSEKLVHHCGGLPLALKVLGSSLLGEMIDVWKSALDKLEAIPNGEIIITVPTLRVGYDSLQDDDDRRLFLHIACFLIGKDKDYIVKILDGCDLYTIVGIQNLIDRCLVTIDKFDKVQMHDLIRGMGREIVRLESKDPWKRSRVWRHMDSFKILVEKNGTRTIEGLVLDMHMLRTSSPINSNEKVLETNAFARMQEIKLLHLGHVQLDGCYAEFCTGLRWLYWLKFPLDSIPYNFPLGSLIVLEIHDSGLRQVCQDTKCLPLLKILDLRQCHSLTETTDFSCCPNLEKLVLVDCEGLVGINESIVKLERLAYLSMKNCKNVRMLPKNIFTLKLLETIIVSGCKNLSEFSIEMLWNMESLKVLETDGIPIGEFWPGRSLSILSCLQSSLVELSLQGCNLSDDSFPRDFSNPASLRILNLGHNPICCLPNCVQGLTGLNELFFWECRRLKSLVRLPKVGRLHLEFCVSLKKIANQSDQFQKHTTSCFHNDNLVEWEYNYKLEPIGRVDVKIINLLGLCKLESMARIRLRKPYQSSNGDDLNPVQGLYERGIFSTFFAGEEVPGQFSHKSGGSSISFTVPLLDNYHRIGGLKVFAVYTKHANDSPWALPGPMITRVRNKSKGLKWIYAPSHCGIPGKGEDMTWLSHWNLEEEVHLDGGDQMAVSVIMEPWLLVKEFGIQLVQQLQ >ONI24347 pep chromosome:Prunus_persica_NCBIv2:G2:25744086:25747286:-1 gene:PRUPE_2G235400 transcript:ONI24347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKLLLSLLLLIQLAATAFAIGVNYGTLADNLPPPAQVANFLKTQTNIDKVKIFDANPDIIKAFANTNISLTITIPNGDIPSLTKLRTARRWVVDHVKPFYPQTKINYIAMGNEVLHWGDDNLKNSLVPAMRTFHNALVREGIKDVKVSTPHSLGIMLSSEPPSQGRFRPEVIPLLTPMLQFLRQTKGPFMVNPYPYFGWSPEKENFALFKPNKGVHDQFTGKSYTNMFDGLMDAVYSAAKAVGFGDVDLVAAETGWPSSCEFPVCSVQNAVDYNGHLIKHVESGKGTPLMPNRKFDTYIFALFNENQKPGPLAEKNWGLFKPDMTPVYNAGVMRNQQGGATPGPMATTVTQPATPAAPAGQAKPAAPVGPAKPVKGGKKPKPATPAAPVAAGGGKKWCVAKPGATNQALQANIDYVCGKGVDCKPIQPGGTCFDNDVKARASYLMNAYYQANGRHDFNCDFSKTGQLTSADPSHGSCKYNA >ONI25901 pep chromosome:Prunus_persica_NCBIv2:G2:30081312:30082316:1 gene:PRUPE_2G325600 transcript:ONI25901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNEVQKRKRAQKAERKRAVHGDPSTGKLKNKPQPLSISGKRKRKLLKKWRRDQNEAVQKGLITMQDVEMAAADGGEESTDTKKTCSKFPMKKGLKLKQLKRKGRNKGKSSSKPAATAEASLDANAMLE >ONI20191 pep chromosome:Prunus_persica_NCBIv2:G2:294877:298527:-1 gene:PRUPE_2G002000 transcript:ONI20191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSILENFHFLKATFLMFSRALEFSPAFPPSPLSPDHVTATPPPPLFFFFLPNAATHTPPSPPMATQIASLLLLNASSPAAASPSTTPSLWLLTTDAGPGPLKVTVLISISPCPVGWLKWDLGPHDILGTLVDDFSDYSGAFEVEDVVIGKVEIGFGVLFVGERSLLSLSWKEGH >ONI23346 pep chromosome:Prunus_persica_NCBIv2:G2:22547121:22551298:1 gene:PRUPE_2G183400 transcript:ONI23346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASRHGLPSWMSTAASRVDFEGNVDTMFKDGKEQRKQHHAMRVSDANLGFGERALSAAGAAFVSAILVNPLDVAKTRLQAQAAGVPYQGLCGVACFETNTMLPDLRSSTPRTSSVLGSKIVCPPDCNQYKGTLDVLLKVIRQEGFWRLWRGTTASLTLAIPTVGIYMPCYDIFRNLMEDFTTQNAPKMTPYVPLVAGSLARSLACISCYPVELARTRMQAFKEARAGVKPPGVWGTLNGVINPVKSNSLLQNLQNSRILWTGLGAQLARDVPFSAICWSTLEPIRRRILGLVGDEANAASVLGANFSAGFVAGSLAAAATCPLDVAKTRRQIEKDPARALKMTTRTTLLEIWRDGGMKGLFMGVAPRVGRAGPSVGIVVSSYEVVKYFLYYRHLTQ >ONI21409 pep chromosome:Prunus_persica_NCBIv2:G2:8575471:8581528:-1 gene:PRUPE_2G063900 transcript:ONI21409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMAKLVCHSHLLLLIVFLSLHPSSQLQQHTQSQTLLKIQQLLNYPSALTSFSHRSNTRDFCKIEPTPSLTLSCYEGNITQLHIIGNNGFPPLPNDFSADYFFATLVGLPSLKVLSLVSLGLWGPMPASIGNLSSLEILNVSTNYLSGTVPLQLSYLRNLQTLILDHNKFTGQVPGWLSSLPVLAVLSLKNNMLNGSLPYSLASLQTLRVLCLSSNFLSGEVPDLRNLTNLQVLDLEDNYFGPHFPSMPSKLVTLVLRKNKFRLGIQTALGSCYQLQKLDISMNGFVGPFLSSWLSLPSIKYLDIAGNKLTGLLFKNMTCNSELAFVNLSSNLLSGDLPTCLKKDSKSRVVLYSGNCLANEDQKQHPSYLCHNEALAVRIPPPSEEKHRRTYGKQVVASSAVGGIVGAIAVVGLAFMAAKKFYSEHTTKTPQTRLITDTVSAVNTAKLLSDAKYISDTMKLGASLPAYRTFALEELQEATHNFDDSTLLGEGSHGQIYRGKLPDGTFVAIRGLKMRKRQSPQVYTHLLEQISKLRHSHLVSALGHCLECHPDDSGVSRIFLIFEFVPNGTLRGCISEGPPGRKLTWPQRIIAAIGVAKGIQFLHTGIVPGVKSNNLRIKNVLLDHDLHVKISSYNLPLLAESRGMLGTTVSSPAPKGSVQARASHECKNDVYDIGVILLEIILGRPIMFQNEVGVLKDLLQVSLTTDDTGRRSIVDPAVHKGCSDESLKTMMEICVRCLSKEPTDRPSVDDILWNLQFAAQVQDLVREDYLSHQGSPVSSSQQA >ONI22063 pep chromosome:Prunus_persica_NCBIv2:G2:16153577:16154131:-1 gene:PRUPE_2G104500 transcript:ONI22063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAFQLAVFVLIATSLILLISVPVVFASPEGWSGNKNVVFSGTSLWIGLVFLVGILNSLIS >ONI23512 pep chromosome:Prunus_persica_NCBIv2:G2:23156888:23158794:1 gene:PRUPE_2G192500 transcript:ONI23512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEKDVSGNLHWRINVPDGTTNVLVPESGIVDKVWLELNGVLGGLRLKVWRFLLKAWDLGVAEPKKAIHALKVGLALSIVSLFYYMRSLYESVGGNAMWAVMTVVVVFESTVGATLYKSINRPAGTFLAGSLGLGVHWIAYKSGEKFEPIIIGISVFFFASAATFSRFIPSVKSRFDYGALIFILTFSLVSVSGYRVGELSELAYDRLSTIGIGTSFCILISMLFYPTWAGDELHRLIYRNLEKLADSLDDNEAVTEDNCPAKEIKGYKCVLDSKATEDNWAKLARWEPAHGSFNFKHPWKQYLKIGASMHSCAYCIEALSSCMESETQTPAGIGQLKKHLSNACKTTNKYSSGILRELAKTIKTMTKSSDMGSLVWEMNNAVQELQNSLKSVPIGLIAPTPEDTDDGKGESFITPVVEVLSVTTLVSLLIENAARINGIVDAVNELAGQVDMKPAPQENSKQYKPSSDTPH >ONI22673 pep chromosome:Prunus_persica_NCBIv2:G2:20105950:20109117:-1 gene:PRUPE_2G143900 transcript:ONI22673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSVTINKIPVLRYYRVSLPRTPKSHPLLLPTYPARVLMDNNSSSSGSSFASFARNSRSGGRGGDWDDRERSRGRGGGRGGGSGKDKIDALGRLLTRILRHMAAELNLNMRSDGYVKVQDLLKLNIQTFANIPLRSHTVDEIEEAVRKDNKQRFGLLEENGELLIRANQGHTTMIVESERLLKPILTSEEVPVCVHGTYRKNLESILESGLKSMKRLHVHFSRGLPTDGQVISGMRRDVNVLIYLDVRKALEEGMKFYISENKVILTEGFDGVVPVKYFEKIESWPDRKPILF >ONI22674 pep chromosome:Prunus_persica_NCBIv2:G2:20105654:20109185:-1 gene:PRUPE_2G143900 transcript:ONI22674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSVTINKIPVLRYYRVSLPRTPKSHPLLLPTYPARVLMDNNSSSSGSSFASFARNSRSGGRGGDWDDRERSRGRGGGRGGGSGKDKIDALGRLLTRILRHMAAELNLNMRSDGYVKVQDLLKLNIQTFANIPLRSHTVDEIEEAVRKDNKQRFGLLEENGELLIRANQGHTTMIVESERLLKPILTSEEVPVCVHGTYRKNLESILESGLKSMKRLHVHFSRGLPTDGDETGC >ONI22675 pep chromosome:Prunus_persica_NCBIv2:G2:20107396:20109185:-1 gene:PRUPE_2G143900 transcript:ONI22675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSVTINKIPVLRYYRVSLPRTPKSHPLLLPTYPARVLMDNNSSSSGSSFASFARNSRSGGRGGDWDDRERSRGRGGGRGGGSGKDKIDALGRLLTRILRHMAAELNLNMRSDGYVKVQDLLKLNIQTFANIPLRSHTVDEIEEAVRKDNKQRFGLLEENGELLIRANQGHTTMSCESRARD >ONI21641 pep chromosome:Prunus_persica_NCBIv2:G2:11993389:11995619:1 gene:PRUPE_2G077800 transcript:ONI21641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLINGRGQYNCSLAAHYSNSSSSQCSLRGSEQCAPPILHVLPNKIYRLRIASTTALASLNLAIGNHKMVVVEADGNYVQPFAVDDLDIYSGESYSVLITTDQDPSNNYWLSVGVRGREPKTQQGLTILNYHPNSASKLPASSPPVTPLWNDYNHSKSFTNKIFASMGSPKPPRNYDPMSMHFSRDCDSCDGDGDGQCEFCSVEFHLRGKYHSDLPILHQAQPNNAAADDDNDSSSELLSFPSVSPWIDCRRRKLRMSLRPATPQGLVPVWTIGGAGLMGPSDQARPPPRGSTQTLLMAADTSNGAAWVPCNGMELVNSVVRVYRPYQQFILIVLYVLYDEFLQYADQDQKFLDPHHTITSGLEDP >ONI21258 pep chromosome:Prunus_persica_NCBIv2:G2:6658903:6660797:-1 gene:PRUPE_2G056000 transcript:ONI21258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVSFFQQAQSQKYLERILYTWLNTIPVLLFLDFLCVHVRNREIFTHTHTHTHTHFKEDKI >ONI24171 pep chromosome:Prunus_persica_NCBIv2:G2:25398106:25401304:1 gene:PRUPE_2G228100 transcript:ONI24171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKEDASATSRPPAQLSSSRKMFWRSASWSASRTTPHNPETEEKDLADPNATVGNSVQNHRRFPVPLTPRSQQNSKARSCLPPLQLPLARRSLDEWPKAGSDDIGEWPQPPTPSGRGGGERLKLDLSTIQRNPEKNGGLVRRDKIAFFDKECSKVAEHIYLGGDAVARDRDILKQNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPKMLNDPSPSALDSRGAFIVHIPSAIYVWIGKNCEAIMERDARGAVCQIVRYERVQGPITIIKEGEEPAYFWDAFSNILPLMDRSGNGGEVGESTVKIRPGARKTDGYNVDFEIFQKAIRGGFVPPFASSENEHETHLPARESSWSMLRRKFASGSMKEFVLAPRISLSRVYSDSMMLVHTAKTNSSASSSSSSSSSSSPLYLSPDSISSESSTSSKYFSESSMDSPSAASCSLPVSSTLSNDSDVSLLSPKSSDQPMSNSPENVVSNCSSQSYSRSTSLPSKKLSSLAERRGSLSLKLPVMSDKMRLMCTSSKFLSTKEDGVRINDSTCSVGHLDDIDKVLEPKDGVQNGGEDSRQQCNICQKEPSFIKHSAEPWKNCPLEEGAGSSASKETGESCRAQCNFMQPFVCRWPSLEKIATFGVRELDSKSAFTIYSPNTGVGKSEDSVLYLWVGRFFHCGNFPIQLDSGRERTDVEETDWDQVSCDVLIQMGMPKDTIIKIVKENEEPVEFLELLSLL >ONI25317 pep chromosome:Prunus_persica_NCBIv2:G2:28673984:28676957:1 gene:PRUPE_2G295800 transcript:ONI25317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIGSFQFFFFIFMASMALLYMCCSLIYSFWVSPVLAYRKLKKYGLNGPSPSFPLGNLTEMKKKINVKSSSSSFGQPNSNIITHDIHSTLFPYFARWQSSHGKMFVYWLGTEPFLYIADPELLKKLSTEVTAKNWGKPAVFRRDRAAMFGNGLVMSEGDDWVRHRHVITPAFNPTNLKAMASLMVETTTEMLDNWRVLIDSGSQEIDIEREITATAGEIIAKTSFGISYQSGRLVYEKLRALQMTLFKTSRFVGVPFGKMMHPKKTLEARRLGQEINQLFLSIISARKKSIRESTQQHDLLGILLKQSEQGGFTKALTTQDLVDECKTFFFGGHETTALAITWTMLLLATHQDWQDQLREEIREVVGDKEIDVNMLAGLKKMGWVMNEVFRLYPSAPNAQRQAKGDIQVSDDLAIPSGTNMWIDIVAMHHDPALWGDDVNEFKPERFKDDIHGGCKHKMGYLPFGFGGRMCIGRNLTFLEYKIVLTLILSKFSFTISPTYCHSPSVVLSLRPSNGLPLVLHPL >ONI20785 pep chromosome:Prunus_persica_NCBIv2:G2:3593482:3594970:1 gene:PRUPE_2G033600 transcript:ONI20785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNNNNNGFENKNMNNVENKFNKEDANDAKKMQPEKTDNDEKPKEAPLNLRNSRVLESEKLMLDLLEIFDLDKLLTLSGCTSLSKTEGCDSVRADTTTDLLSKIEFNLQKLAESMEKLKKPVQIVPPKKKNGGNDRNDGNDGNGGGGGDEKKREEDEGNGGGKMKYPAGQSRFGQFSYGKVYRHGYGYQNGALKTEEKMLLLCCEFFGLLVNHLAKDIYSNIMLFFITFVYYLMWQFRWTTTSIKIM >ONI20786 pep chromosome:Prunus_persica_NCBIv2:G2:3593482:3594970:1 gene:PRUPE_2G033600 transcript:ONI20786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAPLNLRNSRVLESEKLMLDLLEIFDLDKLLTLSGCTSLSKTEGCDSVRADTTTDLLSKIEFNLQKLAESMEKLKKPVQIVPPKKKNGGNDRNDGNDGNGGGGGDEKKREEDEGNGGGKMKYPAGQSRFGQFSYGKVYRHGYGYQNGALKTEEKMLLLCCEFFGLLVNHLAKDIYSNIMLFFITFVYYLMWQFRWTTTSIKIM >ONI20650 pep chromosome:Prunus_persica_NCBIv2:G2:2854823:2856194:1 gene:PRUPE_2G027400 transcript:ONI20650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAYDLPAAFQYVNNQTGQKLHYVGHSLGTLTALAAFSQEKLLNLLRSAALLSPIAYLGQISPFGRTAADIFLAQVT >ONI20835 pep chromosome:Prunus_persica_NCBIv2:G2:3918805:3921371:1 gene:PRUPE_2G036200 transcript:ONI20835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPAGGQSSKSKAGSSQPSETSFKRKRGVFQKELQHMMYGFGDDQNPLPESVALMEDIVVEYITDLVHKAQDIGSKRGKLSVEDFLYLIRKDFPKLNRCRELLSMNEELKQARKAFETDEEKLRKAFETDEEKMRKAFEADEDKLGSTE >ONI22607 pep chromosome:Prunus_persica_NCBIv2:G2:19642480:19643279:1 gene:PRUPE_2G139100 transcript:ONI22607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEEKFQMLRSVAEECIQDYEVRNLLAHKSEPIAYDGFEPSGRMHILLRCFFKFSLTIIKFITHN >ONI21674 pep chromosome:Prunus_persica_NCBIv2:G2:12236341:12239078:1 gene:PRUPE_2G080100 transcript:ONI21674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQAAIATSCLFLLYIATFTVAEVLESHHHHHRHNHHGSVKLFVFGDSYADTGNTEKSVSASWKEPYGINFPGKPAGRFSDGLVLTDYIASFLGIRSPVPYTLRKFVKKSKLESGMNFAYGGTGVFDTVFGGPNLTTQIDFFQQLLEQKLYSTKNDVVDSSIALVSVAGNDYAAYFGNHTEDFAAATKSIIKQLAVDLERIYGLGVGKIAVTAIGPLGCLPRMTSFLSYQNCSEVANLVSIFHNQILRQKVEELNKETKKSSFLILDLYNASLSAIMLPKHHQGYSTLQINPLKPCCVGVSNEYSCGSVNESGAKKYTVCDKPKLSFFWDTIHPSQNGWHEVYSAIKSSLRQLYL >ONI22247 pep chromosome:Prunus_persica_NCBIv2:G2:17486482:17487687:-1 gene:PRUPE_2G116800 transcript:ONI22247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNVFGNPIQNSTLKGMPEYKLKAIERNDRAKVALQMKNVGDKDLKALTFVENLKKQHGDGISTLCLIYNATGDTLTYSISKDWCGHIGHFPYPTLIANGQWGAFLHVQKLGTPEGSVATVVYNGKNKDGEDRGRLLAWSNNRVAYKNKVFTESRTAEHYLDNVGWIPLIYDYVDKSGTYKSEKWGGCFSTISTGYGTSPIVEAIFMLDDA >ONI21378 pep chromosome:Prunus_persica_NCBIv2:G2:8002574:8008224:1 gene:PRUPE_2G062100 transcript:ONI21378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHIHKWKPIHILQKSRILAPLSSLIFTQPSVSAAKLDEEPTVVPNPRNAVSEVIAGLRMFGLGNCVGDHRFKTIIPTLNQPQVDLIIESLSTENPESAFGFFNLLRNEYAFRHSRVSKFIVAHVLAGRRLFKELRLFVKQMVDEEGPSSAHSLCELLLHRFRDWDSSGVVWDMLAFAYSRSEMIHDALSVLARMKDLNLNVSAPTYNCLLHNLRHTDIMWSVYDEIKDSGTHQSDHTIAILIDGLCEQSGLQDAVSFLMGVERGDSGPSVVSFNTIMSRFCKLGFVDIAKSFFCMMFKCGLLPDSYSYNILIHGLCIAGSLEEALEFTKDMERHGVQPDTVTYNILCKGFHLLGLMTGAHEVIQKMLIKGLNPDHVTYTILICGHCHAGNIEEALKFRKEMLSRGFQLSVIVYSVLLSSLCKSGRVEEALRLLYEMEAVGLEPDLITYSILIHGLCKQGDVQRASELYREMYMKRIIPNYFAHRSILLGLREKGDISEARKYFDNLLTRDVTEDIVLYNIMMDGYVKLGNIVESTRLYKQIIEKGINPSIVTFNTLIYGFCKTGKLAEAHKMLDTIKLHGLLPSPFTYTTLMNANIERGNIHGMLKLLQEMEANAVQPTHVSYTVVIKALFKLGKLQEAVHLVEDMYAKGLTPDQITYNTLIKCFCRARDFLKAFQLHNEMLVHNLEPTPVTYNVLINGLCVYGDLMDADRLLVSLCDCNINLTKVAYTTLIKAHCAKGDVHRAVGLFHQMVKKGFEISIQDYSAVINRLCKRCLITDAKYFFCMMLSNGICPDQELCGVMLNTFRHVGDLNSVAELLAEMFKLGFLRE >ONI21504 pep chromosome:Prunus_persica_NCBIv2:G2:10123083:10123863:-1 gene:PRUPE_2G070500 transcript:ONI21504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLSLQLFVSRLSSFTNNEQLKRLFSPFGDVTDVRMAVDRITQRPKGFDFVTYKSDVEAQKTLKSMNGRAISFFPMMVDGRLIFVEVAETQKQ >ONI21692 pep chromosome:Prunus_persica_NCBIv2:G2:12638695:12641507:-1 gene:PRUPE_2G081500 transcript:ONI21692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHPMIVENALYHLLKLESTLVEHKRYSKPRNVAVLTDFYPTFGLSFSLISPSNLTSKVLRPRIRSRRDPLRVKQRGTTSSS >ONI24620 pep chromosome:Prunus_persica_NCBIv2:G2:26530114:26533305:-1 gene:PRUPE_2G250400 transcript:ONI24620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTQGEVVYSPKTLQVWRALVNWFGFFFQIFLQILRALGHHPLLSSSSSASSSSASFKSLPVVELQELEHDSPAASAVEIADTPDADSDDRIHKLTVVLDLDETLVCAYESSSLPAVVRDQATEGGLKWFELECVSSDKECDGKPKVNYVTVFERPGLQDFLRQVSQFAELVLFTAGLEGYARPLVDRIDVDNLFSCRLYRPSTTSTEYREHVKDLSGLAKDMRRIVIVDNNPFSFLLQPLNGIPCIAFSAGQTHDTQLLDVLLPLLKHLSLQKDVRPVLYERFHMLEWFQKQGIPSTSWK >ONI24838 pep chromosome:Prunus_persica_NCBIv2:G2:27226176:27228524:1 gene:PRUPE_2G265300 transcript:ONI24838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKELLELFEAVKKSADAATSCDGGAEESQCLDALEQLKNFPVTYQLLISTQVGKRLRHLTKHPRKKIQTFSSALIDTWKGIVIKEANKDAKNGNLERIDSLKRASPSAESPRAEKVQKTSAVKVEKVSKAEPVEIKKVDRGVKPSSDKAYSSETVKTERKVQNANAVKTEKAASAESVKVEKIAKEVKKPALNSSAPPKLTSMIKSNDTARDRVRGMLHEALSKVSQEADERFADYVNASDPIRVAVTLESVLFEHWGGSTGAQKAKYRSLIFNLKDQKNPDFRRKVLLGDIEAERLVDMSTAEMASDQRQEENKKLEQKALFECERGGAPKATTDQFKCGRCGHRKTTYYQMQTRSADEPMTTYVTCVNCNNRWKFC >ONI24406 pep chromosome:Prunus_persica_NCBIv2:G2:25939342:25940572:1 gene:PRUPE_2G238900 transcript:ONI24406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSSCGACKFLRRKCTGECVFAPYFCYDQAADHFAAVHKVFGASNVSKLLLHLPVQNRSDAAITMSYEALARMRDPVYGCFSQIFALQQQVVYLQEEIETLGNQMVNFATGHSSNSFSELQVFSQHDAVETQYLQSQLPEPLLLPVMENATANQAFNSQMDIQMLPLHEWEEVNLFGDQSVPDPLERFLEGPQENLGHNPWLNETANTRNYNKGSRWDLY >ONI24724 pep chromosome:Prunus_persica_NCBIv2:G2:26933873:26934718:1 gene:PRUPE_2G258200 transcript:ONI24724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVISRRTTTSSTLNPNAPMFVPLAYRTVEDFSTEWWSLVQSSPWFQDYWLQERFQDPQNDPFSPDINDPALPDDVDALFDDVHYPNNNTQAQQEEEEEEKDFHKELVSMGLLKWRKGRPLAEAPRFIEKAPKIVNVMKVSPRAIQQPR >ONI24723 pep chromosome:Prunus_persica_NCBIv2:G2:26933621:26934718:1 gene:PRUPE_2G258200 transcript:ONI24723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVISRRTTTSSTLNPNAPMFVPLAYRTVEDFSTEWWSLVQSSPWFQDYWLQERFQDPQNDPFSPDINDPALPDDVDALFDDVHYPNNNTQAQQEEEEEEKDFHKELVSMGLLKWRKGRPLAEAPRFIEKAPKIVNVMKVSPRAIQQPR >ONI20279 pep chromosome:Prunus_persica_NCBIv2:G2:636032:639827:-1 gene:PRUPE_2G006300 transcript:ONI20279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSAFWNCFMPSLSSQRVSDDAGGSSGVKASKESKCKSKSSSETPLVVSYFPSNSYLSRL >ONI21327 pep chromosome:Prunus_persica_NCBIv2:G2:7424172:7426585:-1 gene:PRUPE_2G059500 transcript:ONI21327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKKVNELSLKVIEGFITNAASKLFEQEIREVVEMRKNDMLCCHGPPREEFSISRWMSDILSCS >ONI22740 pep chromosome:Prunus_persica_NCBIv2:G2:20386871:20388505:1 gene:PRUPE_2G147800 transcript:ONI22740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLCFQLPCVFDVHCVHVCVLVSHQREKEREHGREKRNVREWTACETQASSPTNLRRMFQVEVSWVHQRFKGRYISWVRVFFTCMRLEDELNWDFLSSVCTLYGRKFHSFQKVIGFV >ONI21019 pep chromosome:Prunus_persica_NCBIv2:G2:5129079:5131279:1 gene:PRUPE_2G045600 transcript:ONI21019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSNMEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQLFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIGRAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNELAEFVFQIIKQGNY >ONI24644 pep chromosome:Prunus_persica_NCBIv2:G2:26620388:26621252:-1 gene:PRUPE_2G252100 transcript:ONI24644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQQERAELDARARQGQTVVPGGTGGKTLEAQEHFAEGRSKGGQTRKEQIGHEGYQEMGRKGGLSTSDELGGELAARERIPIAESKYKTRSSLT >ONI24929 pep chromosome:Prunus_persica_NCBIv2:G2:27424005:27428393:1 gene:PRUPE_2G269600 transcript:ONI24929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQQEHEVYGGEIPADDGEDVDMSSRADDDQDYNDDPNSKELEDMKKRLKEIEEEAGALRDMQAKVEKEMGAVQDSSSGSATQAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNVPGMKQYRGRRPNPFFRSRRPFIPAAPFFPAYGYGRVPRFRRPMRYRPY >ONI21150 pep chromosome:Prunus_persica_NCBIv2:G2:5848437:5849997:1 gene:PRUPE_2G050400 transcript:ONI21150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNERYKLKFHRVSENLKVFGAIWYFFAIQRVGICWHEACRKENGCSASTFSCHDHHTFRNIAFLNDLCPISPMNATLFDFGIYAKVLQSGIPGSTNYFQKFSYCFWLGLRHLRFVYFSVLFVWSEINFFSSNSYNLLLHLLCWRRFWTKIAQMI >ONI21151 pep chromosome:Prunus_persica_NCBIv2:G2:5857492:5858256:-1 gene:PRUPE_2G050500 transcript:ONI21151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIASSQIQIQQPGPPTTTTTTTTNSFSVESTEASIQSLTRSWHRRQHWHRFFWFDNNNSTQFQDLNLTPWRTHLANFLESAPIHVISISLLIVDLILTILELSSSLLTKCNNSPNKNKKTTPEIWYHWVGIAILALLSAKTMAQAVGLGMRTFLRRRPGYVIDGVVLMGALVLEAFFERKGGGLLVVVSLWRVVRVVESAFELSDEAIEAQIQSVVCQFEMLKEENRRLAETISEQDKIIEKLQEDLDQYSTR >ONI21277 pep chromosome:Prunus_persica_NCBIv2:G2:6972951:6973300:1 gene:PRUPE_2G057400 transcript:ONI21277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSGAEKIRPVQVSGWDWKYMSMVAAPPMDSPKRKAGRRRYNSLRRTWRKKESEASSDQCSRRKAFNSGATASSSDRAILSATA >ONI21886 pep chromosome:Prunus_persica_NCBIv2:G2:15179312:15183855:-1 gene:PRUPE_2G096500 transcript:ONI21886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGAEQQGPVTCGSWIRRPESANWAVFGRSSRKDSSSSSSSSVLEIFSFDPKTTSLSSSPLTTYVLEEVEGEPVSIAVHPTGDDIVCSTSNGGCKLFELYGQESNAKLLAKELAPLKGIGPQKCLAFSVDGSKLATGGVDGHLRILEWPSLRIILDEPRAHKSVRDLDFSLDSEFLASTSTDGAARIWKMEDCVPLTTLTRNSDEKIELCRFSKDATKPFLFCTVQKGDKALTAVWDISTWTKIGHKRLLKKSASVMSVSKDGKYLALGSKDGDICVVEVKKMEICHWSKRLHLGTCIETLEFCPTERVVLTTSNEWGAVVTKLTVPADWKEWQIYMVLLGLFLASAVAFYIFFENSDSFWNFPLGRNQPAKPHLGAFLRDSKSSDDRNIWGPVDM >ONI23003 pep chromosome:Prunus_persica_NCBIv2:G2:21373968:21376529:-1 gene:PRUPE_2G163600 transcript:ONI23003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHFSLDPTGFLLRQAVSTVMQAQKGARYCDLCVSPNGVTFGISVIDPSTGIPNIYFTLPMEPLNFYTIRCRGILYLKVDIRNMHDQLLEATAYDFLSLSGHVTLGHIDFELVDARTKQIRATEISVISSKYGRIAIPRLFREYQVIVGIPAETFRIMIINLHHIGFQAYAEVRENVVFLGVGDQAVTLPKDERCIIEGDVGILLFSIENIKPLLSASILSKMVWLLGQSGGPYAALNFPFGKLGNMLFYFGPVF >ONI21639 pep chromosome:Prunus_persica_NCBIv2:G2:11979155:11984245:1 gene:PRUPE_2G077600 transcript:ONI21639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFHNDETDETSEESVVGFTPPPAPASEPSPPQLNQPSLSQTKSFEKFSIKLRKRMARLANEVVKLTGCVHWGIPLKEPFTGHSNVELALVYMCFCPFQLC >ONI24552 pep chromosome:Prunus_persica_NCBIv2:G2:26314578:26319467:-1 gene:PRUPE_2G246400 transcript:ONI24552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTERGLKKEKSKLGTIEQMLQVIKHEGWERLYGGLAPSLVGTAASQGVYYYFYQIFRNKAEAAALERRKLGVGDGSVGMLSSLVVAALSGCVNVLFTNPIWVVVTRMQTYKKNLKKSEPGPELSTAQDEAVLAAAEPPPFGTTHAIQEVYDEAGVLGFWRGVFPTLIMVSNPSMQFMLYETMLKKLKQRRALSKKDNSGVTALEIFLLGALAKLGATVMTYPLLVVKSRLQAKQVTTGDKRHHYKGTSDAMLKMIRYEGLYGFYKGMGTKIVQSVLAAAVLFMIKEELVKGARFLLTNKVKSKPP >ONI24563 pep chromosome:Prunus_persica_NCBIv2:G2:26352976:26354011:-1 gene:PRUPE_2G247300 transcript:ONI24563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFSERQPIGTSAQTQDEGKDYKEPPPAPLFEPGELTSWSFYRAGIAEFIATFLFLYITILTVMGVVKSPSKCSTVGIQGIAWAFGGTIFALVYSTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQTLGAIAGAAVVKGFEKSRNFELLGGGANSVAHGYTKGSGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKKHAWDDHVSLSD >ONI24562 pep chromosome:Prunus_persica_NCBIv2:G2:26352321:26354153:-1 gene:PRUPE_2G247300 transcript:ONI24562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFSERQPIGTSAQTQDEGKDYKEPPPAPLFEPGELTSWSFYRAGIAEFIATFLFLYITILTVMGVVKSPSKCSTVGIQGIAWAFGGTIFALVYSTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQTLGAIAGAAVVKGFEKSRNFELLGGGANSVAHGYTKGSGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKKHAWDDHWIFWVGPFIGAALAALYHVVVIRAIPFKSKS >ONI24564 pep chromosome:Prunus_persica_NCBIv2:G2:26353191:26354011:-1 gene:PRUPE_2G247300 transcript:ONI24564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVKLGANKFSERQPIGTSAQTQDEGKDYKEPPPAPLFEPGELTSWSFYRAGIAEFIATFLFLYITILTVMGVVKSPSKCSTVGIQGIAWAFGGTIFALVYSTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQTLGAIAGAAVVKGFEKSRNFELLGGGANSVAHGYTKGSGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPVCMLIKCLIIFLSLAGSC >ONI21899 pep chromosome:Prunus_persica_NCBIv2:G2:15243042:15244056:1 gene:PRUPE_2G097400 transcript:ONI21899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGRGREVERIKDFATEKQRRVQLNGKYSALRYLVPNPTKLVEKKRCGRERHQTEQDGGAGDGESCKPLGDPQDQSYNNGSLRSSWLRRKYKDTEVDIRIIDDEVSINLVQTKKVNSLLYVSKLLDELQLDLHHAEGGHIGNSYSCLFNTKMHEGSFLYAKAIGDKLIEVLDGQYAAVPPTSSY >ONI22346 pep chromosome:Prunus_persica_NCBIv2:G2:17955206:17958782:1 gene:PRUPE_2G123100 transcript:ONI22346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMVLSFIRGTTYSREKLRRRRLGSFCKNTEITAENAKSFVRRDTLRKIEEKIQNLWKEHDVYRAKSREKPPEPGEKFFGNSPFPYMNGFLHLGHAFSLSKLEFSARYHRLRGANVLLPFGFQETGMPIKASADKIAQEIQQFGHPPVFPSNKEEQGNHQEAEDANSGAPTDNKFKGKKSKATSKSSGQAYHEITKFCEASEGLNFFPPMAMEDLKDFGLGCDWSRSFITTDRNPYFDKFVGWQMRKLKDMDKSVNKYLKDWIDIMRKKLEKYKSNLDKVDKNGAPVTSLVQGKKLIGLIYVTEQFDEWKTECLRILQNNFNIETRTFAPDRVILEALQSSSLGQAKGLRQIQNLCMPFVKLKKKDAVQLGAQALDLKLPFGEVQVLEENIDLIKKQLVLEGVQVLSATNPDDRAKVGPHVKQIEQNPPFPGSPTTIFLTR >ONI22119 pep chromosome:Prunus_persica_NCBIv2:G2:16668044:16670226:1 gene:PRUPE_2G108400 transcript:ONI22119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVLGVGRELGSSMWVVLLCSLGALVALKWLLQNANSWYYETPLGEKKYSLPPGDLGWPFIGNMWSFLRAFKSSNPEAFLNSMVSRFGRTGIYKTFMFGSPSIIVTTPEASKKVLTDDEAFKPGWPISTEELIGKNSFTSISFEEHKRLRKLTAAPVNGYEALSVYTTYIEERVISSLEKWSKMGEIEFLTQLRKLTFRIIMYIFLSSESEPVMEALEKEYTILNYGVRAMAINLPGFAYHKALKARKNLVSAFQSIVDDRRAQRKAGNYVAKKKDMMDALLDVVDDDGRKLTDAEIIDVLLMYLNAGHESSGHTMMWAAVFLQKHPKFFQKAKAEQEEIVKRRPPTQKGMTFKEYREMEYLSQVIDETLRVVTFSLTVFREAKKDVNINGYSVPKGWKVLVWFRSIHYDSEIYPNPLEFNPDRWDVSSEALLMY >ONI22118 pep chromosome:Prunus_persica_NCBIv2:G2:16667967:16670952:1 gene:PRUPE_2G108400 transcript:ONI22118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVLGVGRELGSSMWVVLLCSLGALVALKWLLQNANSWYYETPLGEKKYSLPPGDLGWPFIGNMWSFLRAFKSSNPEAFLNSMVSRFGRTGIYKTFMFGSPSIIVTTPEASKKVLTDDEAFKPGWPISTEELIGKNSFTSISFEEHKRLRKLTAAPVNGYEALSVYTTYIEERVISSLEKWSKMGEIEFLTQLRKLTFRIIMYIFLSSESEPVMEALEKEYTILNYGVRAMAINLPGFAYHKALKARKNLVSAFQSIVDDRRAQRKAGNYVAKKKDMMDALLDVVDDDGRKLTDAEIIDVLLMYLNAGHESSGHTMMWAAVFLQKHPKFFQKAKAEQEEIVKRRPPTQKGMTFKEYREMEYLSQVIDETLRVVTFSLTVFREAKKDVNINGYSVPKGWKVLVWFRSIHYDSEIYPNPLEFNPDRWDNYTPKPLTFLPFGAGSRLCPGNDLAKLEIAIFLHHFLLNYKMEPTNPDGPLMYLPHTRPKDNCLARIKKCGSA >ONI24935 pep chromosome:Prunus_persica_NCBIv2:G2:27437033:27438614:1 gene:PRUPE_2G269900 transcript:ONI24935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAISASLQTVCSSRQATKKQQPKSRPAARSLGTKEATHHVVALDVKAQNGLNIEKQEKSALRIDRPEAEDAADYKSQHGLGTELPVVKFNDERWKNGTWDLNMFSKDGKLDWDGIILAEAKRRKFLELHPESATNDDPVVFRSSIIPWWAWLMRSYLPEAELINGRAAMVGFFMAYVVDALTGLGVVGQTGNFICKAGFFVAVIAIIFIRRTQDFENLKKLADEATFYDKQWQASWIDRNATSGSLDQTGKKI >ONI25288 pep chromosome:Prunus_persica_NCBIv2:G2:28592822:28594053:-1 gene:PRUPE_2G293800 transcript:ONI25288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTIVGRLSDGLCLAKGPSSLNEEHENFSFYKRQGEFILREISRGALPPSKMTIRADHHCFNYMVENGIAFITLCDSSYPRKLAFHYLQDLQKEFENFDSSLIKKVTRPYCLVKFDGVIGNIRKQYIDTRTQANLSKLNANRKQDLDIATENLSEIIERWRYSEILEGPSTSTSQAVSPIWCSPRLEVIAMKWTPITVTIFVVTVVLWATIIHADDNFMILN >ONI21263 pep chromosome:Prunus_persica_NCBIv2:G2:6723102:6725188:1 gene:PRUPE_2G056400 transcript:ONI21263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNCFIKRYPLCFFVASAIRRTALIANYDGESVLSIRYEKPVVSSVRYEQHQISSTRDFCASVQPERLCWEGSSHAVVLKRLEKALKEHQVNEAWESFIDFKRLHGFPEDFVIRKLITELCYSSDPYWLQKACDIVWVILKERSDLLQSDILAKLSLSLAILMDKQNLPAMKVLYLVVLHMVKTEVGTLLASNFLVQICHCFQCSSVNKSDHAKLMQPDTMIFNLVLDACVRFKLSFKGQWIMELMAQTGVVADALSIIIIALIHELNGQRDEIKKYKSHIDQVSAPLMRHYRQFYDSLLSLHFKFNDIEEATELVLQIEISQRSYLVPIGSHNLKSGLNMQILPELLQNHSVLKIEGKQELVLYWNAKLVLINRALAKLINGYKKVGDTCKLSELLLKIQKELCSLRGSDLCSDVIDACIHLGWLETAHDLLDDMDAAVAPMGLTTFMSLLEAYYRGNMFRKAKALLKQMRKAGLLPNLSDEMVVSKCQPILDISATCTNVSSSTSKSDLANALVQEMSDEQKEIPFVVYRFNSSINFFCKAKMMDDALKTYRRMQEMKIQPTEQTLTYMLYGYSSLGMFRTITIFGNLMVRRDIYEYLLLNFLRGGYFERVMEVIDFMKEHGMYTDKCLYRIEFVKLHKNLYRNLKASEARTEAQRK >ONI21460 pep chromosome:Prunus_persica_NCBIv2:G2:9472210:9473735:1 gene:PRUPE_2G067200 transcript:ONI21460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMTTYYLPYHNPLKQAPGILRGLSPLKLVAWRAVYLLGVSKGLYVGASPKNHPKSPTLASLACLAMADPNTRNLGSSILFEFFWPNHSQISV >ONI22242 pep chromosome:Prunus_persica_NCBIv2:G2:17461458:17464626:-1 gene:PRUPE_2G116300 transcript:ONI22242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFILYSCIGLTHSGNDTDRLALLEVKATITHDPFGVLTTWNETVHFCRWHGVTCGRRHQRVTRLDLQSLELAGSISPHVGNLSFLREIYLQNNSLSSEIPQEIGRLRRLQNLQLENNSLSGEIPSNLSGCSQLLILLLGFNFLVGRIPEELGTLSKLRVLAIHRNSLTGAVPHTLSNLSSLESLSASSNNLNGTVPDFFGHLTNMTYLGLSENTLSGMIPPSIFNISSIRFFYVVGNRIQGTLPSNLGTAFPGLEYFHIDNNQFSGFIPVSISNSSNIVQLGMSGNQLHGTVPSLIKLSRLEKLVLGINHLGSGGVGDLNFFCDLTNATSLQVLQINKNNFGGMLPQCTANLSSSLVLFFVSANKLFGRIPYGIGNLLNLWNLRLSANQFSGHIPLDLGKLQKLYELDMAMNSFAGNVPSSLGNLTQLTKLYLSYNNLQGNIPLSLAKCHNLMLLSLAYNNLSGFIPQEIIAMSPSYVALYLQNNRFSGSLPQEVGNLINLEYLSVSENMLSGKIPANLGQCVRLEYLEMQRNFFQGEIPSSLSSLRGILEVHLSQNNLSGTIPEFLARFEFLQYLNLSYNNFEGMVPIEGVFKNATVTSVKGNRKLCGGIPEFQLPKCKLQHSNKRRLSTTLKLIISLIGGLLGITFALTSLYLCWFQRKRKVHTSRDSEKFLKVSYQSLLKATDGFSSANLLGTGSFGSVYKGLLEHGETTVAIKVLNLVRSGASKSFFAECEALKNIRHRNLVKVLSACSGSDYRGFDFKALVYEFMVNGSLDAWLHPAPTVGEANQRSRSLTFSQRLNIAIDVAMALNYLHHQCEAPIVHCDVKPSNVLLNADMIGHLGDFGLARFLPRAAKNFSGNQSSSVGVKGTIGYTPPEYGMGHEVWTKGDAYSYGILLLEMFTGKTPTDIMFQGTSNLPNFVKAALPHHVVEVLDPILCHENEDWEMSTNNASCEDSLRVHNKMEESLISILEIGVACSAELPRERLDICDVVTGMCLIRNKLRAYRICE >ONI21568 pep chromosome:Prunus_persica_NCBIv2:G2:11088248:11090122:-1 gene:PRUPE_2G073400 transcript:ONI21568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLRRNKEGIYNTAQNYHRKFSAITRVVRTDRPRPQECLVLVEARDTNTPLLHQILQSCARTGAPMEGKACHGQIIRVGLQADTLTSNMLINMYSKCSLVDCAGKVFDEMPERSLVSWNTMIGSLTQSGEEEKALGLFLQMRREANHFSEFTVSSVLCACAAKCAVFECKQLHALAVKLAMNLNVYVGTALLDVYAKSGLIKDASSVFASLPERSLAALIEGKQVHAVLCKTGFGLNKFIVSSLIDMYAKCGSIKEAYHVFRDMDETNTVLWNTMISGFARHACSLEVMILFEKMQQMGMFPSEVTHVSVLTACSHMGLVESARKYFNLMITEHNVSPNVVHYSCMVDLFGRSGLLLEAYNLIEEMPFDATASMWGSLLASCRIYGKLHLAEVAAKHLSEIEPNNAGNHILLSNIYAANKKWDEVARIRKLLKESELKKERGKSWIEIKDKVHSFMVGERKHARIAEIYSKLDCLIEELKIMGYKAETEHDLHYVGENRKHELLRHHSEKLALTLGLMCLPSNASIRIMKNLRICGDCHSFMKFASSCTGKEIIVRDTNRFHHFKNGCCSCREFW >ONI24013 pep chromosome:Prunus_persica_NCBIv2:G2:24804022:24804760:1 gene:PRUPE_2G219000 transcript:ONI24013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLDQSMNRLSLMHGGGLLPRIHALQISFVGSHGPSSTGGDDKLGSSSECLVLRYDFRIFCCFGPNLCVL >ONI25128 pep chromosome:Prunus_persica_NCBIv2:G2:28075305:28079199:-1 gene:PRUPE_2G282600 transcript:ONI25128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKLKPSKTHHGPQTWKRISSPPMFRRARILIYSRHKKGGRLKEKELKQSILEPPVCSSICICKMLQCMREARKLWYDAVKTDRRLLRF >ONI25134 pep chromosome:Prunus_persica_NCBIv2:G2:28108577:28110965:1 gene:PRUPE_2G283200 transcript:ONI25134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVLCFVIEKLVSLLIISTEAKLSRDVRKEVGCIRDELESIQSFLKDADAKIAVEGEMDDSIKTWFRQIREAAYYIEDAIDEYLLCITQHHQDRGFLHKITWLVKKMKPQDEMASKIEAMKTLVSEIKARHEGYGFNSSEQGQSSGEMTVPWHDPRVASLFIEEAQVVGVESARDELINWLVEGASKHELREVIMVLGMGGLGMTTLAKEVYDSQIVMAHLIAMLGIQFLSCLLTLLKICIRLIRLWMAEGLVKSKKGKTSEEVEEEYLTELIHRSLVQVSRVYIDGKARSCRVHDLLCEVLLRRGMESSFCHMLSEHESNFTPVTRRFSIDSSSSDALRSIEQSHIRSVLTFNQEEWPKSFLNTLSGNFKLLKVLDFADAPKYQLPKYMGDLYLLKYLSLRNTKVKLLPDSIGNLQNLETPDLKQCLVYEIPAKIISFLRVKIHDGIGCLQALQKLYHVEANHGGINLIKALGKLRQLRRLGLKNLKSEDGGALCASIEKMNHLESLEVSTLSEDEVLDLQSLSTPPKFIRFLYLKGPLEQLPSWIPQLQQLVKLRIFWSRLRDSPLKALQNLPHLLELGFSYKAYDGVQLHFEGGFEKLRVLKLKDLKGLSSLIIDNGVMPDLQELQIGPSPQLKEVPSGIHHLRNLTTLRFVDMPKEFPRNMDPNDGQHYWVVEHIKYVLFSYKFGPRCGVFETHTLRDSSL >ONI21764 pep chromosome:Prunus_persica_NCBIv2:G2:13829770:13831191:-1 gene:PRUPE_2G087400 transcript:ONI21764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTLPRYMVLKSKYNAKYLSYVKEDVQIHGFLKFSGEEVVSLYSKFHVEMAKGGTGLVHIRCCYNNKYWVRCSDNYYWIVAGANEPEEDQSKWFCTLFEPVYIDNNDPAQGVRFRHVQLGHYACLGREAPPKYSCLFAGSAAPNRELRDACLIVDWETLLVLPKHVSFKGDNGKYLSARKFKRHPFLQFSSTDVGESSVGNEVFSNGDGSVRIKSNLFGKFWRRSPNWIWADSDLDGNESNKDMLFWPIKLGNDNKVALRNLGNDNFCFRLTKDGFVSCLNAGGPNIVGEAYMEVGELVVSRSIYNVNFRLLDSRIYSQSVVSMATGDAVNRTQEENKINLTLSYKESKSRTWNSSVSMKLGIETKVQTGVPLIAEGQIEISAEFATEFQWGKTNTSESELGTVHEVVVPPMSRVKVSLMATRGSCDVPFSYTQRDTLIDGTTVTQDMDDGVYTGVNSYNFKFETKQESLCD >ONI23850 pep chromosome:Prunus_persica_NCBIv2:G2:24406695:24410864:1 gene:PRUPE_2G211900 transcript:ONI23850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSSLLGIFGFGIGIPLGLLVGFFLFIYSKPKEVEDPVSRPLYELDELSLQDLLPEIPLWVKNPNYHSVDWLNKFISDLWPYLDKEIGGMIRSVAQPIFSEYIGKFQIESIEFEKINLGTLPPIFYGLQVYETNEKELILEPSIKWAGNPNIILVVKVLSVPITIQLVDLQVFLAPRIALKPLVPAFPCFANIVVSLMEKPHVDFGMKILGGDIMSIPGLYHFVQETIKKQVASLYLWPQTLEIPVLDISTVAVKKPVGILHVNVVRATKLLRKDILGSSDPYVKLSLTGDGLPAKKTTIKMKNLNPEWNEKFKLIVKDPKSQVLQLQVYDWDKVGGHDRIGMQLVPLKQLTPHEMKEFKLDLLKDTNITDSHSKKQRGQLVVELTFVPFKEDTSKYSGKFSGPIEAYRRHESEIDMSMSSDEGTLGGSGVLSVMIQGAEDVEGKCHNNPYALLLFRGEKKKTHMLRKTRDPRWNEEFQFMLDEPPLDQKVHIEVLSKRTGLSFRLKESLGHVEINLRDVVHNGRINQKYHLINSKNGMIHVEISWKMI >ONI20424 pep chromosome:Prunus_persica_NCBIv2:G2:1325738:1329518:1 gene:PRUPE_2G014800 transcript:ONI20424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSHFLSILLIAFLASFSFSFSSNVPEDPLVLDHHHHQINSSGVHDEYRKPSPTISRTSRLAILSWATAAYHSASAKNIVVNVDSFGAKGNGGDDSQAFRKAWKKACSSQRGVDLVVPKNGNYHLKPINFSGPCKSPITLKIYGTIKASPNRSDYKNDRRHWIVFENVTNFRVEGGGTIDGNGRIWWQNSCKVNESLPCTIAPNAMTFFGCINLQVDNIRFQNPQKMHLSFHECVNVKASNLIVTAPEDSPNTDGIHVTGTQNIQIKNCLIRTGDDCISIVSGSRNVRATDIICGPGHGISIGSLGAGNSEAEVSDVMVSRAKMMGTTNGLRIKTWQGGSGYASNIVFKNIMMYNVSNPIIINQYYCDQDEPCQEQKSAVKINNVVYDNVKGTSASKVAVKFDCSKRFGCQGILLRNVNIKPLGEGTAEASCENVSVIHRGRVSPKCSSTI >ONI23288 pep chromosome:Prunus_persica_NCBIv2:G2:22354591:22356120:1 gene:PRUPE_2G180100 transcript:ONI23288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLPWASREKIGKSITNISGACNFQMSVLVALIPIKFPGISMLSSPFETHCTTTMVAIASLLAYSSAVAARLRFPTYSPTNFRLAIIFSALLSVASLLSLLFPDSWHHIPYVIFVFYYFMAEVSSVLYIPFSFLFLCNLFFFQVLTPYHLSKTCILIKLDTSAHNLKLC >ONI23306 pep chromosome:Prunus_persica_NCBIv2:G2:22427572:22428683:-1 gene:PRUPE_2G181400 transcript:ONI23306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALFTKFCSQALTIFLLLLPALFSQSYAGVVVVYWGQNGGEGSLTDTCNTGKYRIVNIGFLSKFGNGQKPEINLAGHCNPASNGCQGVGAGIKNCQSKGIKVLLSIGGGAGNYGLSSDADANSVADYLWNNFLGGQSNSRPLGSAVLDGIDFDIEKGGPHYDALARRLSDYGKRGKKIYLSAAPQCPFPDQYLNGALSTGLFDYVWVQFYNNPQCEFTTSNPNAFKNSWNKWTSSIKAGQFFVGLPASRQAAGSGFVNPNDLKNQVLPFVKGSPKYGGVMLYDKFNDDKSGYSSQIRGSV >ONI24319 pep chromosome:Prunus_persica_NCBIv2:G2:25672617:25673320:1 gene:PRUPE_2G234000 transcript:ONI24319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRQVSVLPQKTSRFAILGLRVLTTILLVVPLAILVSNVLSVDRDQKYHFYDFVPYRYMAASSVIGIAFSVFQTGVAVVRLRRENHGNILLDFYCDKVMSTLLATGSVAGFVMTAQLQKQWGEAVSDYYHKYFKRSYAADGLVFLAFVCTFILSVLSSYALPKKF >ONI25850 pep chromosome:Prunus_persica_NCBIv2:G2:29959862:29962852:-1 gene:PRUPE_2G323400 transcript:ONI25850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSSSRGIVSLGKRVVNEIRARDSTQLSALTLRRAAHASVYDKNPDEQSQPSIVPDNVIPPQPDKYWAPHPQTGVFGPAAEHNHTAGGKDGSSPADIGEGASVLEQKAWFRPTSIEDLEKPHLP >ONI24135 pep chromosome:Prunus_persica_NCBIv2:G2:25252007:25252545:1 gene:PRUPE_2G225700 transcript:ONI24135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMQGLFLIIYLLTLNELGFICNVYPSMSCWKYFSKFIVWAGQSNYYNYFNLYVIKGKNIHFKCNLYMNYKGHLQRCAPPKIGVCHLKRRAHGIL >ONI20347 pep chromosome:Prunus_persica_NCBIv2:G2:961854:963112:-1 gene:PRUPE_2G010600 transcript:ONI20347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMISRRFSSKFARLSHPYSSWSVSSAYLATQNLQDSTPKPFTHSSNSTFSQTPSNPTTIVKDYKTAPNPKPINLNVSWVPTRKSSTQNPEFMHQRVFDPQPKKPNFGFTQSCSSFGTNLWLSDQRPRFLSTSSSPPSESEKPQNPSEYPSQNPDFKHQEIEGPTVERDLSSLANETREVLEGMAKNMYNLSRAFALLGLVQLGVGAWISYITKSTPIPEVSIQSILAFGLPISLAFMLRQSLKPIYFFKKMEEQGRLQILTLTLQVAKNLNVFFVRVRGVSFLCIAGLSVGVLFAVLTR >ONI20763 pep chromosome:Prunus_persica_NCBIv2:G2:3493512:3498481:1 gene:PRUPE_2G032400 transcript:ONI20763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSEQRRQKAEGRRQEVRGTRGLRHGTWIGGKRNKGSRRYLPQKNYVAYALLITLYRGTENVNEFMPSGLSHMPIMSEKGKGKLGHFASSKRNEMFRLFRPDPLALFLVTIYVTFIFPVFVDNVVWCGLKKLMYLVESDPNTSEAAESMETDCFTTEMLEGFDVQRTIGLTDTLKTYAYLTQAITQYYNSEFSEEQYIRAEEPSACPFNCYNSEFSFETDSEKMVNKLYTLLQSCMQVSQLTEEVVIVVLDLYLTLLSLTHAYSLLEGDVCAQEEMLRTQSNNAVNAGASKNIFHLVWGN >ONI21628 pep chromosome:Prunus_persica_NCBIv2:G2:11773041:11775751:1 gene:PRUPE_2G076700 transcript:ONI21628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGFLLFILFSYIISINIHACNQIERCSLLSFASTLSSPPLNWTSLDCCHWKGITCDQDGWVTHLLLPSKRLKGGISHSSLRNLTHLTHLNLSHNSLYGSLETQFLLSLNRLEILDLSYNHLYGVLPLSLPSSKIRIVDLSSNHFFGAIPFSFFQQASNLTSFNVSNNTFTGYVPSSICLHYSPFVRLLDFSSNQFGGNLALGLGECSRLQVFRAGRNNLSGLLPEDIYNATKLEEIALPINSLRGAISDKIVNLTNLKILDLYLNQLSGELPLNLGKLSKLKFVTVDFNNLEGTIPTSLMNCTNLVELCLGFNNFEGDISMLNFSRFSQLTKLDLRFNNFTGMFPVSLYSCRYLKAIALTGNHLEGQIQIEILSLKSLSFLTLGYNLFTNLTGTMKILMSCKSLHALSLVGSFVGEGMPFDDGMVDFDGFQNLRLLNMAGSNITGEIPVWLSKLNNLEILILAFNQITGPIPSWLGNLPRLFFINLSYNRISGEFSKQLCRLPRFVYEPTASQVEQYEFELPVYSSVTANQSFLPFKLSLFPATIDLSNNNIVGDIPTEIGQLHLLSQLALHSNNISGVIPDQISTLKNLEVLDLSMNHLSGRIPLSLASLNFLKKFNVSYNNLGGPIPTSTQIQTFNTSAFEGNLKLCGAPLPNKCGPNKGIDEDDTNNKDLDKEPHQLPWFYIFTVLGFIVGFWGVCGSLVVNKTWRYVYFRFINNVQERLYVMVIMSINKMKRRLRG >ONI23899 pep chromosome:Prunus_persica_NCBIv2:G2:24587456:24587736:1 gene:PRUPE_2G214900 transcript:ONI23899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARGCGSTTLITSQTEKEATIFRINILNYEILKEEHEKIFKQNNQQEKGEKGDFIYYLQGNYIYQYCRQEFHSQLKR >ONI22434 pep chromosome:Prunus_persica_NCBIv2:G2:18580518:18583652:-1 gene:PRUPE_2G128500 transcript:ONI22434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREQVWRWSSLERKCLHLLQQTKTTPSLLKIHSFMLRHSLETNVNLLTKFITTCGSIALFASHQNPLALIRHGRHVFNYRPNKDDTFLSNSMIIARMDMRQFADSFTLYRNLRKDTGFKPDGYTFTALAKSCGLDVAIWEGQELHCHVIKVGLCLDLYVSTSLVDMYAKFGSMSCASKLFNEMTETSRLSWTALICGYARLGDMGNARRLFDQMPEKDLAAFNAMIDGYVKLGDMGPARSLFDEMTDRNVVSWTSMMYGYCHHGDVQSARSLFDAMAEKNLISWNVMIGGYSQNKQPHEALKLFHELQSHMSLELDGVTVVSILPAIADLGALDLGLWVHNKWPWQGGTGGIFGDAVQKVMPNNITFIGVLSACNHSGLVEEGKRWFKGMEGFGLIRQIEHYGCMVDLLGRAGCLEEVKKLIESMPYDANGIILSSFLFACGHAEDVTRANKVLKKAVKVEPWNDGNYVMLRNLYAKKRRWSDAEEIKRLMRKNQANKEVGCSVIEVDGRIKDFVAGDRVHAYFEAIHLTLRQTWKHLMGDFIENGMGMTMSFMELGGGKPSAEMFTFAMGRKISEILRSSTSPLLILYQAVFKQWKKAKEEDRKNLLIEFLKKNVKLGKVDDTTTTMITGILTPPMAMAAKRIVEIVLQLKMIEAIPDVLFIPSAAVLVLIYASNSIHNRDGATISDVLFVISEMLALIYAKISNMMTYSWIFQHHPRTSFNNSFTQT >ONI24256 pep chromosome:Prunus_persica_NCBIv2:G2:25553306:25555980:1 gene:PRUPE_2G231500 transcript:ONI24256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGLDMSLDELIAKRKKPGGYHGYFRGRGRGRGRGYGPGPTRRLMNRNTVRTAPYSAQPIMQVVRTTVEQEMEVSGGTDTEEGTKLYLSNLDYDVSNSDIELLFSEIGHVKRHTVHYDRSGRSKGTAEVIFVHHSDALAAIEKYNNVQLDGKPLKIELVGVNPVAPISVPPSTRSIPGNPNFVFQCRGRAGARGLFHGRGAGGFRLARGGGQVKKPSGKVKKPREKVTAEDLDADLENYRLKAMQI >ONI24255 pep chromosome:Prunus_persica_NCBIv2:G2:25553306:25555980:1 gene:PRUPE_2G231500 transcript:ONI24255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGLDMSLDELIAKRKKPGGYHGYFRGRGRGRGRGYGPGPTRRLMNRNTVRTAPYSAQPIMQVVRTTVEQEMEVSGGTDTEEGTKLYLSNLDYDVSNSDIELLFSEIGHVKRHTVHYDRSGRSKGTAEVIFVHHSDALAAIEKYNNVQLDGKPLKIELVGVNPVAPISVPPSTRSIPGNPNFVFQCSRGRAGARGLFHGRGAGGFRLARGGGQVKKPSGKVKKPREKVTAEDLDADLENYRLKAMQI >ONI21278 pep chromosome:Prunus_persica_NCBIv2:G2:7069875:7071281:1 gene:PRUPE_2G057500 transcript:ONI21278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEEIYEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSQSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELAKTVATFIVQKILLDDVGLDYICTTAERITCGSRLLKHIIRCYLRLSDNARACDALRSCLPEALRDATFSGCLREDPTTRRWLQQLLHNVSVSRVPALQAGGGFDHMMVN >ONI25856 pep chromosome:Prunus_persica_NCBIv2:G2:29977989:29982890:-1 gene:PRUPE_2G323900 transcript:ONI25856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWTRFSTKVYKATAADSKCGSSFCYTRKPHQKAIHDTQRGSLPATSWPQDGVGAATTAATDFRDFEQRFIVARNVIWRFKGNSMWSKQSPVSLSRQFGHKAGTGRDPDLTRDFLVQLWVADRKTEHSKRKRRGKAVKYRKETPYHYSSYGWYPDAFFNAADPSGQPPLSQSISGFLKPESPEEVQVMPLLARSNMLITRDIEWANLVLGFEQENRYGIVDVCYPESPVGLIREQSHVITRQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYAEINGKEVGVVHRRWHLWKRVYDLYLGDKQFAVVENPGFWNWTFTLKDIDGEVLAQIDRDWRGFGFEIFTDAGQYVIRFGSSDPSSKTGAASLIEELEVRRPLTLLERAVTVALAISLDNDYFSRHGGWGLPFVEVGE >ONI20825 pep chromosome:Prunus_persica_NCBIv2:G2:3850627:3861624:1 gene:PRUPE_2G035600 transcript:ONI20825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPGLFVFLLENGHHVWEDQSLIKWRKRDAHVPLRCHDSIEGSLKYLYERNKVNFLVSNSAVWDDDAVPGALDSAALWVKDLPFVKSLSGYWKFFLASSPRNVPVNFYDTAFQDSEWETLPVPSNWQMHGFDRPIYTNVVYPFPLDPPVVPVDNPTGCYRTYFHIPKEWKGRRILLHFEAVDSAFCAWLNGVPIGYSQDSRLPAEFEITDYCYPSDMDKKNVLAVQVFRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQVFIADYFFKSTLAEDFSYADIQVEVKIDNSRETSKDSVLANYVIEAALFDTACWYSIDGYGDLHLSYVASIKLNLSSSTSLGFHGYLLPSLYALAVTLKDASGNLLDCESSLVGIRQVSKAPKQLLVNGHPIIIRGVNRHEHHPRLGKTNIESCMVKDLVLMKQYNINAVRNSHYPQHPRWYELCDLFGMYMIDEANIGTHGFDLSDHVKHPTLEPSWATAMMDRVIGMVERDKNHACIISWSLGNEAGYGPNHSALADIVCPMYMRVWDMMKISRDPNETRPLILCEYSHAMGNSNGNLHEYWERIDSTFGLQGGFIWDWVDQALLKDNADGSKHWAYGGDFGDVPNDLNFCLNGLIWPDRTPHPALHEVKYVYQPIKVSFSKETLRITNTHFYKTTQGLEFSWDVHGDGCKLGSGILPFPLIEPQKSYDIKWRLALWYPLWTSSSAEEYFLTITAKLLRSTRWVEAGHVISSTQVQLPSKREIVPHVIKTEDATFVSETLGDKIRVSRHSFWEIILSVQTGTVDSWTVEGVPLMTKGIFPCFWRASTDNDKGGGASSYFSLWKAAHIDNLHHITQSCSIQNKTDHLVKIVVAFHGVPKSEDALYKRKKIKIEVDVIYTIYGSGDVVVECNVRPSSNLRLLPRVGVEFHLDKSMDQIKWYGRGPFECYPDRKAAAHVAVYEQKVDDMHVPYIVPMECSGRADVRWVTFQNKDGFGIYASVYGSSTPMQINASYYTTAELDRATHNEDLIKGDDIEVHLDHKHMGLGGDDSWSPCVQHEYRVHADPYSFSIRLCPITPATSGQVMYKTQLQK >ONI22068 pep chromosome:Prunus_persica_NCBIv2:G2:16171310:16174947:-1 gene:PRUPE_2G104800 transcript:ONI22068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQSRKWMILVAATWIQAFTGTNFDFSSYSSDLKSVLGISQVQLNYLSVASDMGKALGWCSGVSLMYFPLWAVMFMAAFMGLFGYGLQWFVIQRLITLPYVLVFILCLLAGCSICWFNTVCYVLCIKHFQANRALALSLTVSFNGVSAALYTLIANAINPNDDTIYLFLNALVPLFTSSVALIPVLRQPPIQSLPADATRRDSIIFLCLNILAVITGLYLLLLNSLSSHVSKARMLLVGALFLLILPLCLPGIAYGREWARRNFPSRFPSDNSSTFNLVDPDDLELHKVLIAGSESTNATSATNANSLGMTDTEGFFRCFKCFGKVMEKGRLTVLGEEHSAKLLVRRRDFWLYYAAYFCGGTIGLVYSNNLGQISQSLGYSSLTSSLVTLYSSCSFFGRLLSAAPDFLRDKIYFARTGWLAVALVPTPIAFFLLAASGSEAMLRAGTGLIGISSGFVFSAAVSVTSELFGPNSAGVNHNILITNIPIGSLLYGLLAALVYDSNEGSSIIGVSLLKDATLCMGRSCYRQTFIWWGCISIVGLASSLFLFLRTRTAYNRFERNRNRTQVMQSYSQSSSQSSSQSSS >ONI22437 pep chromosome:Prunus_persica_NCBIv2:G2:18596267:18602612:-1 gene:PRUPE_2G128800 transcript:ONI22437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSIALDAIIKEAVDLENVPLEEVFDHLKCTRDGLSSDEVQERLDLFGYNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIIAIAFTQGGSKPADYHDFFGILVLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWAEEDAAVLVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQQVLTSIGNFCICSIAVGMVIEIVVIYGIHGREYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMVEVFTKGVDKDQVILMAARASRLENQDAIDTAIVSMLADPKEARAGIREIHFLPFNPTDKRTALTYTDAAGKMHRVSKGAPEQILHLAHNKSEIEKKVHTMIDKFAERGLRSLGVARQEVPAGTKDSPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGESKDGDLATIPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLAVFWKFDFPPFMVLVIAVLNDGTIMTISKDRVKPSPFPDSWKLSEIFVTGIALGGYLGLTTVLFFWISYETNFFPEKFGVGDFNQHHFNMTNKKVAAKLNDQMSSALYLQISTISQALIFVTRSRGWSFTERPGFLLVIAFIVAQLVATVISATATWKFTNIRSIGWGWTGIIWLYNIIIYMLLDPIKFAVRYALSGQAWGLVVDQRTAFTSKNDFGKEAREAAWATEQRTLHGLQSVERKMFSERNTFRDINLMAEEAKRRAEIARLRELHTLKGKVESFAKLKGLDIDAINQHYTV >ONI25290 pep chromosome:Prunus_persica_NCBIv2:G2:28598200:28599121:-1 gene:PRUPE_2G294000 transcript:ONI25290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQELTEIRKEVIQCRVNTWETKQKAKVDNKADKMKAINEEKRNASEIDLEALGKKIETKVEKLRHKELEKMKNKEAHSIKVIEDTKVKIEAKRTHGLQKVEKKAEKFRGSNSLPTKCFGVCVDE >ONI23219 pep chromosome:Prunus_persica_NCBIv2:G2:22131976:22133904:-1 gene:PRUPE_2G175800 transcript:ONI23219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPSLLRSATSSFHGQFPVVVSPPPLRLSYGNPRNGGGLVMPVKASAVVLVEKSEAEKVSRLKTTYLEKIVPQLKEEFSYTNIHQVPKIEKVVVNCGIGDAQQNAKGLEAAMNDLALITGQRPIKTRAKVSLATFKIREGQPLGIAVTLRGNVMYSFLDRLINLGLPRTRDFQGLNPNSFDGNGNYAVGIKEQSVFPEIRFDLGKGRGMDVCIRTTAKTDKEAQTLLALMGMPFRETGPVSAVRKKKLKSHHFSSKGRGRR >ONI24527 pep chromosome:Prunus_persica_NCBIv2:G2:26259487:26263264:1 gene:PRUPE_2G245100 transcript:ONI24527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESSSTLCELLIQLSEPISESLSKAQCTQPEGNNVSLKALLEPLLPHKQPPPSPNFNDTQLHSSIRDFTFACALLSSSQSSIHDLLSWIPKHLSAAADLAFLKLSKAYSMAYSKKNNAKISELGLNCGSVPEEKRLLIELMPEVLPLLKNRIKESSIDKSEDCDEVSAASARVPVGFAIAAAYQFRWFVTQIDYPHLGKLSALVIPCALTALDHWSPEIKGQGMLSFIHIAKNVYAAELGWYQDVILDACCQNIASSDEIWHLVVEMSVLIVTCTQQSNPRSAWFDRMLNEMLSHLERQPRNKERRVAWLRHIEPLFNGVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKQIETIIKLTWIRNTQYVERLVDELAALYKEAALKRSREEIRNLVIRILILLHQCKGMQFETVWGKHRDDPNLASIVPSLGETKTTMVAQ >ONI24528 pep chromosome:Prunus_persica_NCBIv2:G2:26259487:26263264:1 gene:PRUPE_2G245100 transcript:ONI24528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESSSTLCELLIQLSEPISESLSKAQCTQPEGNNVSLKALLEPLLPHKQPPPSPNFNDTQLHSSIRDFTFACALLSSSQSSIHDLLSWIPKHLSAAADLAFLKLSKAYSMAYSKKNNAKISELGLNCGSVPEEKRLLIELMPEVLPLLKNRIKESSIDKSEDCDEVSAASARVPVGFAIAAAYQFRWFVTQIDYPHLGKLSALVIPCALTALDHWSPEIKGQGMLSFIHIAKNVYAAELGWYQDVILDACCQNIASSDEIWHLVVEMSVLIVTCTQQSNPRSAWFDRMLNEMLSHLERQPRNKERRVAWLRHIEPLFNGVGLVLLAHFRRIFPLFFQWMHADDDETVLLVGG >ONI24505 pep chromosome:Prunus_persica_NCBIv2:G2:26228665:26230126:1 gene:PRUPE_2G244400 transcript:ONI24505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFIIFSCNFPLIFLLSSFYFLTLQPISSSSLKSLNNNPNFDSEIALFGDAEPVDAGSYVNITRPSVSSSGLLLRQKPFKFLDANLSSPTSFSTEFTFSMTPGSGDGLLLVLASKDFGSTFSGKGPFGLNGENRFLGIEFDTEMNGNVNDLNANHIGVDVGSFVSLTVGNVSSLNLELNSGEKLKSWIDYVASSKRLEIRLSKLGEPRPYNPITAYGIDLLGMWKNEDVYVGISSSNSNGNSSQISSVYSWSFRLRNIPNSMHSLPVNPRGYLDEHGEHLSVHKRRVCPLTVLAGMIFVTGCGALVAFMVLFLWAIIISRHTVFPAEFPVKPVDFRYEKINVVVEKDADSVKM >ONI20533 pep chromosome:Prunus_persica_NCBIv2:G2:2093076:2094374:1 gene:PRUPE_2G021400 transcript:ONI20533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGYILNRLDDTLYDVYAAFKTAREVWESLEKKYKNKDAGSKKFGVDRFLVFKMVESKPVVKQVEDLWKIIHEILA >ONI20346 pep chromosome:Prunus_persica_NCBIv2:G2:954474:961529:1 gene:PRUPE_2G010500 transcript:ONI20346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDRVDDRTFRVNLTGDSVVKLRASVREKLKEFMGDYTDDTLVEYVIVLLRNGRRKEEAKNELNVFLGDDSDSFVSWLWDHLASNLDLHVQSQDSDMEDASKIKTTSGDQTGNTDGYHLGSEPEREKSNKLTRSRHNREWKGLARDAAEPPPFLSSEIGDIHVDEKSHSKVIRAKSPLRQPAAQRKRIRPDERHNTEREPVSQVNIDAPRRLLQFAMRDALTTSRQSNSTMQPTLKRLRSVVSTPTVDSSVADRPRRIQSVARVPNPMSTVMKAVAEAAENVTRVKSSGSVFDRLGRGMDVSEMSDPLASFREAATEDGVYEDAIQIREQMRSTYLKKSEHSGQFVRNAILESETGLASDCISDNEGFDDVNVMGRRVTDVSQTGTSGGSKGADDVMRISRNKDQGQPTAPANSAHKIVNISVNVNTWKPPHYQEPKEVADSDDWTSVQDGEVGVPKSDLRVMKENSNPVTVSNGNANPAADSQRMLSSSTGVYAAGRPLEDAESRTIFVNNVHFAATKDSLSRHFNKFGEVLKVVILTDAATGQPKGSAYVEFTRKEAADNALSLDGTSFMSRILKVVKRGAAHQEAAPVITWPRIARGSPFATARFARSPFPRGMSGAYRPRPLIKPGARSMQWKRDTQATPGESGPPVTGNPVPSPTARSLTYVRTEPKPEGN >ONI20683 pep chromosome:Prunus_persica_NCBIv2:G2:3030921:3035156:1 gene:PRUPE_2G029100 transcript:ONI20683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSVTEPRGTNQPLNRWWSKFYNRFADCRSKSTTVCAVASKREREREREREQICASAASNEEEMVCDGCEERTRRMASN >ONI25849 pep chromosome:Prunus_persica_NCBIv2:G2:29954562:29957410:1 gene:PRUPE_2G323300 transcript:ONI25849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQSILGRKSPSLSSTGAFTPLPLLCSSCLKYSDTYKFHGSFTHSKRRKGVKEVKFIALTDQNHATTNCPNGEELSGESFNHLISDFCRAGQIDKAMALLAEMEALGVRPNSMSYAHLIDALGSTGRTSEADMLFQEMISFGLRPRIKLYNVLLRGFLKKGLLGLAIRVLAVMGDFGAEKNQETYEILLDYYVNAGRLEDTWSMINEMKRKRFRLSSFVYSKVIGLYRDNGMWKKAMDIVGEIREKGMTLDKQIYNSVIDTFGKYGEVDEALEVFVKMKQEGVKADITTFNSLIRWHCKAGDISKALELLTEMQEQGLYPDPKIFVTVISRLGEQGKWDMIQKTFENMKRRGHEKSGTIYAALVDIYGQYGKFKDAEECISALKAEGLIPSASMFCVLANAYAQQGLCGQTVKVLQLMEAEGIELNVIMLNVLMNAFGVAGRHLEALSIYHHINESGFSPDVVTYTTLMKACIRARKFDKVPEVYKEMEHAGCTPDRKARQMLQVALMVLQQRNCE >ONI24087 pep chromosome:Prunus_persica_NCBIv2:G2:25057462:25057847:1 gene:PRUPE_2G223100 transcript:ONI24087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKEAVPNELIVLVENFKFGKIIMLSYTYECVVPYVNLNWISSYIRYVCKLELDTHPPHITTHVTVNKVDSN >ONI23737 pep chromosome:Prunus_persica_NCBIv2:G2:23992314:23994130:-1 gene:PRUPE_2G205100 transcript:ONI23737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDESLVEAALRVLNTADPFEKARVGDSVAAQWLGGAITRAYDASVDLPVPDRPARLSNVKLVAPGLMPKLGKGGSLQSRQAIVHSLAHTESWAIDLSWDIIARFGKQEAMPSEFFTDFVKVAQDEGRHFTLLAARLEEMGSFYGALPAHDGLWASAAATSKDLTARLAVEHCVHEARGLDVLPTTISRFRKGGDDTTADLLESVVYPEEITHCAAGVKWFKYLCMRLVSDEEEDEVIKKFHAIVRTYFRGPLKPPFNEEARKAAGFGPRWYEPLAVKEQGTNPTQHEGA >ONI21510 pep chromosome:Prunus_persica_NCBIv2:G2:10791468:10796378:-1 gene:PRUPE_2G071100 transcript:ONI21510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISGYVPHGPSSSFSSFRAYLRALAQTPARLARRARSVSTSYDEMSGVRARSGSDMQKTLRWFDLVGLGVGGMVGAGVFVTTGHASRLYAGPAIVISYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFSAFLTGANLVMDYVMSNAAVSRGFTAYLGTAIGVPAAKWRFTLSALPDGFNQIDVVAVVVVLTITLIICYSTRESSVLNMVLTAVHIIFIMFMVILGFCTGDWKNFTEPADPAHRAGFFPNGVSGVFNGASMVYLSYIGYDAVSTMAEEVKNPVKDIPIGVSGSVLLVTVLYCLMAASMSMLLPYDLIDPEAPFSAAFRGKWESNLIGAGASFGILTSLLVAMLGQARYMCVLGRSSVVPVWFARVHPKTSTPVNASAFLGILTAAIALFTDLNVLLNLVSIGTLFVFYMVANAVIFRRYVVVGTTNPRPTLSFLCSFSLTSIIFSFIWQFMPPGKLKAFMLCVTAAIAISMLQIFHCMVPQVRKPEFWGVPLMPWLPSISIFLNIFLLGSLDRPSYIRFGVFSAVAVLVYVFYSVHASFDAERSGSLSQKNGEIHKESAQEESNDPRLAV >ONI21511 pep chromosome:Prunus_persica_NCBIv2:G2:10792617:10796387:-1 gene:PRUPE_2G071100 transcript:ONI21511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISGYVPHGPSSSFSSFRAYLRALAQTPARLARRARSVSTSYDEMSGVRARSGSDMQKTLRWFDLVGLGVGGMVGAGVFVTTGHASRLYAGPAIVISYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFSAFLTGANLVMDYVMSNAAVSRGFTAYLGTAIGVPAAKWRFTLSALPDGFNQIDVVAVVVVLTITLIICYSTRESSVLNMVLTAVHIIFIMFMVILGFCTGDWKNFTEPADPAHRAGFFPNGVSGVFNGASMVYLSYIGYDAVSTMAEEVKNPVKDIPIGVSGSVLLVTVLYCLMAASMSMLLPYDLIDPEAPFSAAFRGKWESNLIGAGASFGILTSLLVAMLGQARYMCVLGRSSVVPVWFARVHPKTSTPVNASAFLGLCECAYACNAWISQVHTHCPMSLFVVVWLVL >ONI22077 pep chromosome:Prunus_persica_NCBIv2:G2:16348659:16350938:1 gene:PRUPE_2G105600 transcript:ONI22077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANLLAELGEEAKVPVLSLSELRTSPFGAPGEYPFFVEIAQDETSQVTAISGLIEMFKWRDVILLYENTDYGRDIIPFFINSFEEANVTIVYKSCIAASSADEQIIEELRNLTKLKTTVFVVHVSHFLVPRLFLNAKKLGLLSEGYAWIMTSTSMNFLHSSMDPSVIESTQGVLGLKSYTPASTRLHNLTSRLRRIFYMQDPNIEVSAVIPDGIWAYDATWALAEAVERTSSSTGLNLVNLNNITSSKHGLLLLQEILQTRFKGLSGEEIQYPNGKLVSSAFEIVNVIGKGERRVGFWPCEEKHTCTRDSYPLNNRRNLLSTNDLETIIWPGGSSTIPRGSKMQLSNSSEIKLRVGVPVKKGFNELVHMNLDIQTNRTRFTGFCIDVFEAAIRALPYEVKYDFVPFEIGINASYNDLVYQVFLQTFDAVVGDTTITWERSQYVGFTIPYTDLGVGMLVSNENGDMWIFLKPLSADLWITIAGFFILTGFVVWVIERPVNPEFQGTPSQQIGTILWFAFSTLVYAHREKLLNNLAKFVVIIWVFAVLILTSSYTATLTSIMTVNQIRLNSRGDYIGYQSGSFTQGLVKNLNFKGLKPYNLVEEYALALSRGSKHGGVSAIVDELPYMKVFLAHYPTGYSMIKPESTLLQKSKKTT >ONI24970 pep chromosome:Prunus_persica_NCBIv2:G2:27592444:27593140:1 gene:PRUPE_2G272900 transcript:ONI24970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKPEEISHPPMDQLQDLEYCIDSNPSWGTAVMIPSFLVPFMGGTDCCVGCFVKAFGRMDASYFLQGYYLYVYFCDGL >ONI25289 pep chromosome:Prunus_persica_NCBIv2:G2:28594515:28596280:-1 gene:PRUPE_2G293900 transcript:ONI25289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEEPKKLEFESLSDLPPAPAPAPAPAEEEKPVVEAPKDPQPTKDKSETPPPPAPEHKAEADHSKVLAIVEKPSEPAAEEKSKEGSVNRDAVLARVATEKRLSLIRAWEESEKSKAENKAHKKLSAVGSWENTKKATVEAELKKIEEKLEKKKAEYVEQMKNKIALIHKAAEEKRAAIEAKRGEDLLKAEETAAKYRATGNAPKKLLGCFSG >ONI21837 pep chromosome:Prunus_persica_NCBIv2:G2:14635754:14637020:-1 gene:PRUPE_2G092600 transcript:ONI21837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRDLAREAVRRSLVLLKNGKDPMEPFLPLERKAKRILIAGTHADDLGNQCGGWTATKYGSSGRITIGTTILEAIKKAVGDDTEIIYEKYPSTETLARQDITFAIVAVGEAPYAEGKGDNSKLVIPLNGADIISSVADKIPSLVILISGRPLVLEPWLLEKIDALVAAWLPGTEGDGIADVIFGDHDFEGQLPVTWFKRVEQLPVNAGDNSYDPLYPLGFGLACNKERG >ONI24057 pep chromosome:Prunus_persica_NCBIv2:G2:24947769:24955840:-1 gene:PRUPE_2G221500 transcript:ONI24057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSATKVSLNPLVAYEHKRDAYGFAVRPQHVQRYREYATIYKEEEEERSERWKSFLELQAESAQLPAVGLSKEQDNKALLSEASEHEPDSNSEKGVDGDDLSDQKAGSDSLTKNDNEKEELEAKDTKTHGIQIWNEIRPSLHAIETMMSVRIKKKNNLSKHEQDTGTGKPLTPLEEARSPKGASEEDSEDEFYDVERSDQDVLSSDSVSASATGAASDTVPSESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKARRVDNYYKDLLASETNAGNNVELNSLDSDRNSKLSATDSVCAPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVHERFPRLVNHLDYLGVQVAWVSGPWFLTIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNETRLQELRNKHRPAVLIAIEERSKGLRAWKDSQGLASKLFNFKQDPKSMIIETKKGERLVDAQTNGDLSRSESGSNNADLISLNGDGEVESVPDLQEQFYVQVVWLKVELCKLLEEKRSAELRAEELETALMEMVKQDNRRQLSARVEQLEQEVAELRQALSDKQEQESVMLQVLMRVEQEQRLTEDARRFSEQDAAAQRYAAQVLQEKYEEATAALAEMEKRVVMAESMLEATLQYQSGQQKTQPSPRSLSLPVQTNQDQTQEFPARKISLLSRPFGLGWRDRNKGKPANNEEPNDSKSISEGQSPTAEVKETNGLQVEDKE >ONI24056 pep chromosome:Prunus_persica_NCBIv2:G2:24947745:24956008:-1 gene:PRUPE_2G221500 transcript:ONI24056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSATKVSLNPLVAYEHKRDAYGFAVRPQHVQRYREYATIYKEEEEERSERWKSFLELQAESAQLPAVGLSKEQDNKALLSEASEHEPDSNSEKGVDGDDLSDQKAGSDSLTKNDNEKEELEAKDTKTHGIQIWNEIRPSLHAIETMMSVRIKKKNNLSKHEQDTGTGKPLTPLEEARSPKGASEEDSEDEFYDVERSDQDVLSSDSVSASATGAASDTVPSESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKARRVDNYYKDLLASETNAGNNVELNSLDSDRNSKLSATDSVCAPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVHERFPRLVNHLDYLGVQVAWVSGPWFLTIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNETRLQELRNKHRPAVLIAIEERSKGLRAWKDSQGLASKLFNFKQDPKSMIIETKKGERLVDAQTNGDLSRSESGSNNADLISLNGDGEVESVPDLQEQVVWLKVELCKLLEEKRSAELRAEELETALMEMVKQDNRRQLSARVEQLEQEVAELRQALSDKQEQESVMLQVLMRVEQEQRLTEDARRFSEQDAAAQRYAAQVLQEKYEEATAALAEMEKRVVMAESMLEATLQYQSGQQKTQPSPRSLSLPVQTNQDQTQEFPARKISLLSRPFGLGWRDRNKGKPANNEEPNDSKSISEGQSPTAEVKETNGLQVEDKE >ONI23911 pep chromosome:Prunus_persica_NCBIv2:G2:24650445:24653132:-1 gene:PRUPE_2G215800 transcript:ONI23911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFFKVANEDCVPSDLDILRCPFLRNINEPTNFSFASSLAFPVPGRGGKGPIFEDGPNFDMAFRLFHGSDGVVPLSGRSFVHSDMVEPQPAPSMFNPLAAKAATISLSSFGGPFSFDAFSEKWKNQKRKSNSSKKDYSSKGGNSNHEALSNEWLQSGSCPIAKSYRAVSGVIPLVAKAFQPPPGMKIKCPPAIVAARAALARTAFAKNLRPQPLPEKVLVIGALGMAANVPLGVWREHTKKFSPSWFAAVHAAVPFIAILRKSVLMPKSAMAFTIAASVLGQVIGSRAERYRLKAVAAKKLSVTETSVGGTSELQVLKAKTGHCNDIEEWNSVALQVTPSSSTDVFC >ONI25305 pep chromosome:Prunus_persica_NCBIv2:G2:28633151:28636295:1 gene:PRUPE_2G294900 transcript:ONI25305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAARFSAIFVVLFVLVGALICTRLLNYNTETLLGAISGQARTSQSYPHKTGEIPKKPRGKLEIPLNCPAYDLRGTCPSNYPTTFHPEQNPERPSPPTCPEYFRWIHEDLRPWARTGITREMVERANRTANFKFVIVNGKAYVEQYEKAFQTRDVFTVWGFLQLLRRYPGQVPDLELMFDCVDWPVIPSHEYSGPNATAPPPLFRYCADDNTLDIVFPDWSFWGWAEINIRPWEVLFEELKEGNKRKTWLEREPYAYWKGNPDIAETRQDLIKCNVSEEHDWNARLYAQDWDRESKEGYNKSDLASQCIHRYKIYIEGSAWSVSEKYILACDSVTLIVKPRYYDFFTRRLMPVEHYWPIKDDDKCRSIKFSVDWGNTHRRKAQAIGKASSNLIQEELKMEYVYDYMFHLLNEYAKLLQFKPTVPKKAVELCSEAMACQAEGTEKKFMLQSLVKGPAVSEPCAMPPPYDPSSLFAVLRRKENSIKQVETWERNYWESQSKKS >ONI25306 pep chromosome:Prunus_persica_NCBIv2:G2:28634917:28636295:1 gene:PRUPE_2G294900 transcript:ONI25306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRLLCSLCRAEINIRPWEVLFEELKEGNKRKTWLEREPYAYWKGNPDIAETRQDLIKCNVSEEHDWNARLYAQDWDRESKEGYNKSDLASQCIHRYKIYIEGSAWSVSEKYILACDSVTLIVKPRYYDFFTRRLMPVEHYWPIKDDDKCRSIKFSVDWGNTHRRKAQAIGKASSNLIQEELKMEYVYDYMFHLLNEYAKLLQFKPTVPKKAVELCSEAMACQAEGTEKKFMLQSLVKGPAVSEPCAMPPPYDPSSLFAVLRRKENSIKQVETWERNYWESQSKKS >ONI25726 pep chromosome:Prunus_persica_NCBIv2:G2:29691829:29693861:1 gene:PRUPE_2G316800 transcript:ONI25726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISSTYILIYVIYVCVHVSVMYGGEEGFEVQLEYSMITPFSFFPYDLFESKTSPPFVTDTDIDWHELILPPILRMPVFAFLISMSTFT >ONI23623 pep chromosome:Prunus_persica_NCBIv2:G2:23634834:23636644:-1 gene:PRUPE_2G199100 transcript:ONI23623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLERKIHPKINHAEPEDEITKEEEDESFCYAIQLVGSSVLSMSLQSAIELGVFDIIGKEGPGAKLSSSEIAAKIGAKNPEAPMMIDRILRLLTSHSVLHCSLVSANEDENGGGSDFQRVYSLGPVSKYFVNDEEGGSLGPVLTFTQDKVYMESWSQLKDAVVEGGIPFNRVHGTHAFEYPGLDPRFNQAFNTAMFNHTTIVIKKLLHIYKGLEDKNLTQLVDVGGGLGMTLNLITSRYQHIKGINFDLPHVVNHAPSYPGVEHVGGDMFASVPNGDAIFMKVIFEFLKH >ONI23622 pep chromosome:Prunus_persica_NCBIv2:G2:23634242:23636644:-1 gene:PRUPE_2G199100 transcript:ONI23622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLERKIHPKINHAEPEDEITKEEEDESFCYAIQLVGSSVLSMSLQSAIELGVFDIIGKEGPGAKLSSSEIAAKIGAKNPEAPMMIDRILRLLTSHSVLHCSLVSANEDENGGGSDFQRVYSLGPVSKYFVNDEEGGSLGPVLTFTQDKVYMESWSQLKDAVVEGGIPFNRVHGTHAFEYPGLDPRFNQAFNTAMFNHTTIVIKKLLHIYKGLEDKNLTQLVDVGGGLGMTLNLITSRYQHIKGINFDLPHVVNHAPSYPGVEHVGGDMFASVPNGDAIFMKWILHDWSDEHCLKLLKNCYKAIPDNGKVIVVEALLPAMPDTSTAVKSTSQLDVMMMIQYPGGKERSEEEFMALATGAGFNGIRYECFVCNFWVMEFYK >ONI20627 pep chromosome:Prunus_persica_NCBIv2:G2:2715656:2716460:1 gene:PRUPE_2G026300 transcript:ONI20627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKYYHKGAFFRSEADDYAATVGTDGIYTRDFSAPTGEDNKMNKTILLKVMQVKHFGHSGRTKWTHLVNEDTTNWKNPLSFKHLFAFLYPLNVAPVR >ONI22479 pep chromosome:Prunus_persica_NCBIv2:G2:19035259:19036946:-1 gene:PRUPE_2G132100 transcript:ONI22479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSIKPVTTPNPSTLIVPTQKFKTIISCCCTLTQSPTSSTPNQATFTQNLPNWEQLLNPVSLSSLTLTQPITPITTTTSVTATKQPIRKIWEEYQGVKNWEGLLDPLDDNLRGEILRYGQFVDAAYKAFDFDPDSPSYATCKYPKSSLFENCELPATGYRLTKNLRATSGIQLPRWIEKAPSWVATQSSWIGYVAVCQDKNEITRLGRRDVVISFRGTATCLEWLENLRATLTHLPSRTNNNSCLNECEPMVESGFLSLYTSGTHLMPSLQQMVREEIARLLQSYGDEPLSLTITGHSLGAALATLAAYDIKTTFNRSPLVTVMSFGGPRVGNRSFRRHLEKKGAKVLRIVNSDDVITKVPGFVIDENERRHVACDRGAHKRVEIQNWLQKKVEDTQWVYAEVGKELRLSSKDSPYVNGTNVSTCHELSTYLHLVDNFVSRKCPFKATAKRLLGRHY >ONI23601 pep chromosome:Prunus_persica_NCBIv2:G2:23464025:23466889:1 gene:PRUPE_2G197700 transcript:ONI23601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSATNLAQPLQLNTATPRSNDKPSLLLPKTSSFLGSTRNFRPTSLSANPSHSNAHRRSAVVAVSEAVKEKKLHASTNLLITKEEGLELYEDMVLGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLTKKEDSVVSTYRDHVHSLSKGVPAREVMSELFGKATGCCRGQGGSMHMFSKEYNVLGGFAFIGEGIPVATGAAFSSRYRREVLKQADCDHVTLAFFGDGTANNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKAHYAARDPITALKKYILENNLATEQELKAIHKKIDELVEDAVEFADESPLPPRSQLLENVFADPKGFGIGPDGSYRCEDPKFTQGTAHV >ONI24711 pep chromosome:Prunus_persica_NCBIv2:G2:26877631:26880247:1 gene:PRUPE_2G257100 transcript:ONI24711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLKLGGTEMINRTQTILAIRRTVCVPVQRIDSEGIWFKDNPLDFTYPLMLFQIILLVLISRALYCLLRPLGQTKFVCNLLGGIILGPSLVGHNKALRDKLMFGGKEVGLSDTLARVGVIYSVFLISVKYDMATFKMKAKNGWKISLVGFLFPSAVTSSLVYTNASTISGLSGGTFFLLALTFSLSFTYFPVVAEALDELNLMTSELGQLAMSSATINDIIQWIFTVVHLIVIQRSINYGAKTLVSLLALILFTVFVIQPVIKWIVKRTPEGQEVKDGYVIAMQLMPLVMAFLSDIIGMRPEAGPVLLGLVVPNGPPLGAALVQKTEFVVSEIFLPLFFFRVGLTVNVYSVDDWSSFRKLQLMLTMSYVAKIIAVTVIALCCKIRLRNAFLLSMMMSMKGLIELIVYDAWKAVKDIDEQFFTHIVLSALVMTMIASPLVLFMYTPPRASETILSRIRNIQSMPSNSDMFRILCCFHNEESIPNIISLLEASNPTPSSPIYAYVVHAVELVGRAVPRLVAFNIEKQNKKYTRQNSATRQMVRAFDNYLKISSGPVIIQAYTMIAPYKCMHESLFRLADDKFVPLIIIPFHENHPGVVGPSMVAAIRHFNANVQLYSQCTVGILVDRGMSCPLSSIHFSCNVALFFIGGADDREALAFTARMSGNPNVGMTVFRIALRSKLKERNEEEQVDAKLDESLMDEFRLRNIENDLLDWREIEVDDNVQLMDAVMNSQGSYDLVMVGRRHADMTLRDEEMTEFVENAELGVIGDIVASSDFCGGQMNVLVIQESRELGYGAFRSGL >ONI25183 pep chromosome:Prunus_persica_NCBIv2:G2:28284289:28289943:-1 gene:PRUPE_2G286900 transcript:ONI25183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLRLHNLLSPTFRPKPASVSNASLSPPNPSLSLLFSSLPHLPLRTSHSLTIKATSSSSPSSPTTVADQQGIEINLVPTKPIEGQKTGTSGLRKKVKVFQQDNYLANWIQALFNSLPPEDYKNGLLVLGGDGRYFNREAAQIIIKIAAGNGVGKILVGKEGILSTPAVSAVIRKRKANGGFIMSASHNPGGPDYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKIADIADVDLSTLGVIKYGNFSVEVVDPVSDYLELLENVFDFQLIKSLLQLEFRFLFDAMHAVTGAYAKPIFVDKLGASPDSISNGVPLEDFGHGHPDPNLTYAKDLVNILYSENGPDFGAASDGDGDRNMILGRGFFVTPSDSVAIIAANAQEAIPYFRSGPKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKDKKPGEKLVSVSDVVKEHWATYGRNFFSRYDYEECESAGANKMVDYLRELISSSKPGDKYGNYVLQFADDFTYTDPVDGSVVSKQGVRFVFTDGSRIIYRLSGTGSAGATVRIYIEQYEPDASKHDADAQTALKPLIDLALFVSKLKDFTGREKPTVIT >ONI25109 pep chromosome:Prunus_persica_NCBIv2:G2:28025741:28026880:-1 gene:PRUPE_2G281500 transcript:ONI25109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACWSAENATKAYLKTLKMGQKANEPDVVEFISALAAGNNAQQMVVACAGAADSTILALVAAAQQTGGRVVCILRGNEEMQLSEKILGINVCHIEFVIGEAQNLLLNYYKEADFVLIDCNLKNHEAILRAVQMGKKQNGAIVAGNNAFGKGSWRSGGSRTQLLPIGGGLLVTRIAAPKNESSKMVGINGSGKKSHWVVKVDKCTGEEHVFRVRSSFPQGKGIAA >ONI22478 pep chromosome:Prunus_persica_NCBIv2:G2:19020929:19022221:-1 gene:PRUPE_2G132000 transcript:ONI22478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAFGFRAFANSPTSPPLDSPNLSFATKTDRSEKFRKNSNLAFSAATSSSLKQLDSTFMQCSSVDTTQPSPKLGKRWMEYQGSNNWECLLDPLDHTLRSEILRYGQFVEAAYRSFDFDPMSPTYATCRFPRNSLLTRSGFEQTGYRVTKNLRATCGVQMPRWIGGVPSWMSTQSSWIGYVAICQDKEEIARLGRRDVVIAFRGTITCLEWVENLRATLTEVPDYVGPKSGGPMVERGFLSLYTSRTATSSSLQDMIRREISKIIELYGDEPLSLTITGHSLGAALAILSAYDITATFAKAPMVTVVSFGGPRVGNRSLGCQLDKSGTRILRIVNSDDPIPKVPGFVFEDGDDVESTKNVHVANLPRWILKCVEDRQWVYADMGQELRLSSKESPYLNKSNLATCHDLKTYLHLVNGFVSSKCPFNEMMA >ONI20820 pep chromosome:Prunus_persica_NCBIv2:G2:3793716:3794925:1 gene:PRUPE_2G035300 transcript:ONI20820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWQRNLQSLISHIGKRVSDANFSSSSHFQRLWRQSPQIFVTPLYHYFQRLGISSPRNLLADLSVESDGESNEEHNQKLLNPHKFNLFEGFQEILSFFFLGIFLNHLDIKHIFS >ONI20431 pep chromosome:Prunus_persica_NCBIv2:G2:1343821:1347707:-1 gene:PRUPE_2G015100 transcript:ONI20431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAEKSLVKRNFLRDNEVKIQMLWKEHDVYRAESCEKPPEPGEKFFGNFPFPYMNGSLHHAHAFSLSKLEFSARYHRLRGADVLLPFAFHGTGMPIKASADKLAREIKQFGNPPVFPQSDKEEQGNQQEAEDEKAGAPPDNKLKGKNEIPEFQEPSKWLNYFPPLAVEYLKAFGLGCDWSRSFVTTDLNPYFDKFVRWQMWKLKEIGKIVKDKRYTIYSPLDGKPCADHDRATGEGVQPQEYTIIKMEVVAPFPAKLGVLEGRKVFLAAATLRPETMCGQTNAWVLPNGKYGAFEINDTDVFVLTQRAALNLAYQEYSRVPEKPTCLVDLTGYDLIGLPLKSPLALNQIIYALPMLTILTDKGTGIVTSVPSDAPDDFMALHDLKLKPAFRSKYDKAAEKVCVDLKIKSQNDREKLAEAKRLTYLKGFTEGTMLVGEFNGRKVQEAKALLRSKLIEAGDAIMYSEPEKRVVPRSGDECLVACTEPWYITYGEAEWKKQAQEYLSSMNFYSDMTRHGFEHTLTWLNQWACSRSFGLGTRIPWDEKYFVESLSDSTIYMAYYTIAHLLHNEDMYGGSSTSGVTPEQMTNEVWDFIFCDGPFPKSSEVSQLILNKMKQEFEYWYPFDLRVSGKDLIQNHLTFCIYNDTAIMSKKHWPRGFRCNGHLMLDSMKMSKSTGNFMTLREAIAEYSADATRFSLADAGDGVDDANFVSSTANKAILDLSKEISWMEEQLGADTLRIGPPSTFADRVFANEMNIAVKMTEQNYQACKFREALITGFVGLQAARKWHRISCGSQEMNRDLVWRFMDVQTRLIAPICPHYTEYVWRELLKKDGFVVNAGWPAADAPDLTLRSAKKYVEDLIGSMMKLYNKQKANLTNKKVIGLICVKEQFDEWKIECLRILQNNFNRETRFAADSVILEALQSSSVNQGKDFRQTQKLCMPFLKSKKKDAVELGAQALDLKLPFGEIEVLQQNLDLVKRQVKLEEVEVLSATNPDDRAKAGSHVKQIEQNPPSPGSPTTIFLTCSLAIIFLVDIYNLLTELSLFLEYSPEHHIVLQIGENNLENLAKVTELAEPYKYDKINFNCGCPSPRVAGHGCFGLYKESLLLSLCQ >ONI25625 pep chromosome:Prunus_persica_NCBIv2:G2:29484497:29486645:1 gene:PRUPE_2G312300 transcript:ONI25625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESGGEMRRQSFVIETKNGSRKRLKVRRLKYTCQTKVEVEITGGHKEYGSSELKREQKDVGVPVKVLSVSFSSTENDIVLSSSSGSGSDERRKTSGGESLERLGRGTYGVVSVQGRRKVMEDAVRAEVGFAVNGSRGKFDFFGVYDGHGGADVARACRDRMHEVVAEAVELERINNSSNNNNKKDPVDWDWERVMEGCFGKMDEEVSGIAAARTVGATAVVAVVTEDQVVVGNCGDCRAVLSRGGVALALSSDHKPDRVDELKRIEAAGGKVINWNGNRVLGVLATSRSIGDKYMRPYVISKPEVTVTKRTEEDEFLILASDGLWDVISNEVACRVVNKCLDGKIRRISIHGEDHVQSHTTSEAAAVLADLAMARGSKDNISVIVVALSKTS >ONI23149 pep chromosome:Prunus_persica_NCBIv2:G2:21896075:21899297:-1 gene:PRUPE_2G172300 transcript:ONI23149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTNMVGVRCCFKLLYAIVVLILLHMNNPCIGCIERERQALLALKQGLVGDYSDRLLSWEGEDCCEWDWVHCSKQTGHVVQLYLEEQSSRGKISPKLIELQHLQYLSFSGNNFSRSQIPDFIGSLSNLIYLDLSNANFGGLIPYQLGNLRNLHYLDLGCRYHPSCSTYSIYAKNLYWLPNLSRLKYLDLSVVNLSDVVGWLEAVNMLPKLTDLRLPMCNLPPPVISSVSLLNSSKSLVHVDLSENNLNSSVFQWLPGTYTNLVYLDLSENKLGGRIPESIGQMSNLEVIYLRMNSLEGVISETHFSKLSKLSILDLSSNSLVLNFSFDWVPPFQLRDIRLGSCKMGPYFPKWLQTQRSYYRLDISDAGISDTIPTWLRGLRNEVGHMDLSNNQLRGNLRSELAVNLNVSWNQLEGPIPSILSLPSMLTQGGSFLDLSNNNFSGSASFLCTTEVRNLSYLDLSSNHVSGELPDCWIHFQQLVFLDLSNNSLSGKIPTTMGYLFRMETLRASLALLCCENNRCHICCERNQLLINGLGFSKFLKNHLSPEISNAKQALRLNNNRFVGELPSQLKNCRELTLVDVGENKLSGLIPEWLGENLLNLSIIILRSNQFYRSIPPQLCHLTQLQILDLSMNNISGIIPKCLNNWTTLAQNGHSSPSIVHDNIIQSGGFSLDLPYDDEASLTWKGVRSKYRSILGLVKSIDLSCNKLSGEIPTEITYLVGLVSLNLSRNRLTGQIPSRIGNLQELDSLDLSRNQINGRIPISISRINRIGYLDLSENNLTCPEDEKGPGQSLLVNEDDKDGLITQGFYICMGLGFAIGFWGVCGTLLLNRSCRYTYFNFLTFLNDWFAGTFETTCFPSVEDGCDEGLLFLLVFEYLSDDKGSH >ONI25427 pep chromosome:Prunus_persica_NCBIv2:G2:28984304:28985953:1 gene:PRUPE_2G302600 transcript:ONI25427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRAIGRPLMAKVKQTTGIVGLDVVPNAREVLIELYSKTLKEIQAVPEDEGYRKAVESFTRQRLKVCREEEDWEAIEKKLGCGQVEELIEEARDELTLIGKMIEWDPWGVPDDYECEVIENDAPVPKHVPLHRPGPLPEEFYKTLEGLTTNQAKLDEAKATSIGSESKE >ONI22837 pep chromosome:Prunus_persica_NCBIv2:G2:20801006:20803832:-1 gene:PRUPE_2G153900 transcript:ONI22837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQHQRKPCPIERRPRMLLKDFLNENSNSCSSSGFKSFPRKRSPFNPRSPQKNLIEFDPKPTSSNSKNNPTITSKLLRSRSKAASTTISAFQSLMNAVKNIPFTTVKSPSFLPRSLSRRLLQSKFQSSSRKQSQNQVQITVRVKDIIRWTSFRDEMLPPPPPLDYASSPLHCTTSTTITGSTTTTCTTCSSSSNGSSWCDSDFTAEFLPSLVGSNSDPHADEEVGKKYLPCVGKDFMEEEASTTGTGSCNIALGPQVEILLGDEDEQHSPVSVLDCQFGEDEDDSFTSTFDQSLANVGDEDEEMAMELLNYVKATSSSPDSCEEGHLEDKLLLDFFREEMSVQRNQTDDGFQWEMVSKAKAWVSGEHNELEWGLEHKKEACVRDMHKGGRWNKFEYEQEEMALEIETAMTDFLMEELLVDLLSR >ONI24710 pep chromosome:Prunus_persica_NCBIv2:G2:26873930:26877114:1 gene:PRUPE_2G257000 transcript:ONI24710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTLRITSPSAGAGLVPTAICQPQESISSKGIWFRDNPLDYSFPLIMAQVSLVLLTSRALYYLLRPLGQTKFVCNLLGGIILGPSLLGHKKVIKEKLFRDKDAHLFEILSLQGVIYSMFIIAVKLDKNMLRRTAKNAWKIGLPGFLVPLAVSLGLVHPIGSTLPGPKGGMFFIYFSFSSLSFTFFPVIAQALNELNLMTSELGHLAMSSALITEAIQWLTVVVHIIYAQKSLIHGILALVSLFALTVLIFFIVRPLILLIIKNTPQGKEVKEVYVVAVLLGVLVSAGISDALGATPLAGPVLLGLVIPDGPPLGATLIQKTEFLVSELFLPVFFFRVGFMTDVYSFRDWGSLGKLQMVILLIYGAKIVTVTVAAVCCKIRFKNSFLLSLIMNIKGIVDLVVFSRWRFSGMLDQQSYTQIVLSMLLVTLIATPVVRFLYQPQIRLEPSSKHSRTRNIQSPRYDSDFSILCCVHNEESVRNITSLLESSNPTEQSPILAYVVRAVELIGRAAPLLLPCKKKQQEEGGTKKLKRTNTPTHQLMRAFWNYSKNSKGPVSIHAFTMIAPYNTMHETVCRLAEDNNIPLILLPFHENNQYTVGANVMAGIRQFNVNVQTYSPCTVGILVERGLPARLTVSHFSYNVGVFFIGGPDDREALAYASRMSGNPDVGITVFRIILRNELKEGNTNEEEVEETLDESLVDEFKIRNMGNDCVIWKDIEVYDSVQIMDAIRNSQGDYDLVLVGRRHTEISLRDEEMAEFVQNAELGVVGDMLASVDFCGGMVNVLVLQENVELGNGAFRANSAKRSAKWTKVSG >ONI25951 pep chromosome:Prunus_persica_NCBIv2:G2:30205466:30206344:1 gene:PRUPE_2G328600 transcript:ONI25951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDEKGRRRRVFTYGTLKRGFWNHSLMQELMRSGDAVFLGNYRSEEKYPLVCGPYRVPFLLNLPGLGQRVMGELYAVSSHGLARMDELEGTSRGHYEMLPIKLEAEEEAAAAANAYFAHSSYAMELWIKNGRMGLSTYSEKEARGYVKRQDRPQHLTFLDHIHLFLSSSSSSSSS >ONI25403 pep chromosome:Prunus_persica_NCBIv2:G2:28918402:28921314:1 gene:PRUPE_2G301000 transcript:ONI25403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSGAIFFILGLFFLRAAECRTPANWGTVKYSALSCRKHSALLTDFGAVGDGKTSNTKAFKAAIHHLSQSASDGGAQLIVPPGKWLTGSFNLTSHFTLFLHKDAVILATQDESEWPLVSVLPSYGRGRDAAGGRFSSLIFGTNLTDVIITGNNGTIDGQGASWWKKFKAGQLNETRPYMIEIMYSNQIQISNLTLVNSPSWFVHPIYSSNITIQGLTILAPINSPNTDGIDPDSCSQTRIEDCFIVSGDDCIAVKSGWDQYGIKVGIPTEHLVIRRLTCISPDSATIALGSEMSGGIRDVRAEDITALSTQSSVRIKTAQGRGGYVKDIFVRRMTLKTMKYVFWMTGSYGSHPDPGFDPKALPLIQNINYKQIEAENVTYSARLEGIPNDHFKGICISNVTITLTEKPKKLQWNCTDIAGVTSNVTPKACDLLPEKKEVIDCPFPEDRLAIEDVKLVTCSASLPFF >ONI21697 pep chromosome:Prunus_persica_NCBIv2:G2:12804351:12808907:-1 gene:PRUPE_2G081900 transcript:ONI21697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKCQEDVDGGSGNKGKQVVIRKMVEVEEVISMRFLKIMKGRRYRPMAQMRDFGEVLGDCGLSTVERWLVQLRLDRMVANAELWLQFSEINTHHLNSIVSYHLLILTFFEAFKSRLGKRHFMFEEMWSAVEGCEETITSAWARNTGTVVEKLKDCQGFLQQWNKDSVGYLPSHICLVQEELEKALGKIFPTKSLRVDVGDDVIDFYLSILNRRGSVQKINHTLLTLIPKTECPTQVAEYRPISLCAVLYKIISKTLVNRMKQIMPAITYEYHSAFMVLKLDMSNAYDHVEWLFLERMMQHLGFNVRWVALIMGCITTVMYYVQVRGVTSGMNTPSLGECLLFLIHFFVDDSLLFCNTHLSDFSNPLHILKLYERALGLKINFDKSALGKRSIHLLGWRKLCQPKCFWGLGFKNFEAINKAMVAKQSWGILEHASSLLARMMKARYFPIGSFLQAELESSPSLIWRALLWGRDVLHDGLVWQVGNGNSIRVFQDKWTPTVYFQAVDEQRIKLGCEGCKFIYFFRKLESTYAIWKLGLPNKVKLLLWRACSNILSTAQNLFRRRIAYTPICLLCGQVEKGTWHALWECKYNFFILASDSQEAVSMLKGMDGWWSNVGNIVEDVRRLMADAAVLYVVFQP >ONI25432 pep chromosome:Prunus_persica_NCBIv2:G2:29000740:29002575:-1 gene:PRUPE_2G303100 transcript:ONI25432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADAPAPLPPSKTYELTAFSISYTKSTTATTTFSPFHFLFKPCTAPTPTYILKDISLTAYPSEILAIVGPSGAGKSTLLDILASRTSPTGGALLLNSSPINPSSFRKLSAYVPQHDACLPLLTVFETFAFAASLLVPNSSATNIADVVASLLNELRLTHLANTRLGHGLSGGERRRVSIGLSLLHDPAVLLLDEPTSGLDSTSAFNVIQTLKSICTSRHRTVVLSIHQPSNKILSAIDKILLLSKGTVVHHGTLSSLEGFLLSNGFTVPPQLNALEYTMEILNRLPALKPITSAPSSPPDIPSSTANPHNSDQVNQETRQVMRYKSSRIQEVLALYNRFWKIIYRTRQLLLTNTLEALLVGLVLGTIYINIGFDKQGIEKRFGLFAFTLTFLLSSTTETLPIFINERPILLRETSGGIYRLSSYLIANTLVFLPYLLAIAIIYSVSVYFLVGLCASWQAFAYFVLVIWVIVLMANSFVLFLSSLAPNYIAGTSLVTILLGGFFLFSGYFISKDNMPKYWLFMHFFSMYKYALDALLINEYGCLVSRCLIWYEENNGNNRECMVTGGDVLQKRGLHERQRWSNIYILIGFFVLYRVLCLMVLIRRVSRSNK >ONI20605 pep chromosome:Prunus_persica_NCBIv2:G2:2466831:2468653:1 gene:PRUPE_2G024800 transcript:ONI20605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEMSNFFKVWLSVYLCLCYCYATAKLVPKGCTRLLCLLPIVCLFLYLPLFLSSIHLVGVTSFFISWLANFKLFLLAFGKGPLSSDPSISLGRFVAVACLPIKIQQSNPPQNSLDKHKNTQNDSIPSLPNQNNQFKENSSPAKSHQNTQNENSQQQHKENPPANHQNGPQKSHIDGQFKQNPHPSPPKSHKNGQNKENPIPQKPKQGHRVPLNNVTKGLLFGILLRAYDYSDQIHPKALLLLYSLHIYLLLELILAVAATLARALLAIELEPQFNEPYLSTSLQDFWGRRWNLMVTSILRPTVYEPTLDISRRVVDRKWAPLPAVLATFVVSAFMHEIVFYHMGRMRPTWGVTCFFLLHGICLTVEIALKKAWSAGRWRLPRLVAGLLTVGFVMGTCFWLFLPQFFRFGAHVKAFEEYAAVGKLFRDLISPFVSRVR >ONI23510 pep chromosome:Prunus_persica_NCBIv2:G2:23150028:23153678:-1 gene:PRUPE_2G192400 transcript:ONI23510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYVKAVPPADLNRNTEWFMYPGVWTTYILILFFSWLLVLSIFGCSPGMAWTIVNLSHFLVTYHFFHWKKGTPFAEDQGIYNGLTWWEQMDSGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFFNSLAVIVLVIAKFPNMHKVRIFGINGDK >ONI23511 pep chromosome:Prunus_persica_NCBIv2:G2:23150847:23153678:-1 gene:PRUPE_2G192400 transcript:ONI23511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYVKAVPPADLNRNTEWFMYPGVWTTYILILFFSWLLVLSIFGCSPGMAWTIVNLSHFLVTYHFFHWKKGTPFAEDQGIYNGLTWWEQMDSGKQLTRNRKFLTVVPVVLTPTCGVNQE >ONI24648 pep chromosome:Prunus_persica_NCBIv2:G2:26641592:26643692:1 gene:PRUPE_2G252500 transcript:ONI24648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFYSAVGFNSMLKAKYQSMSQFSAGACIPTASQFTSSFGTFRPKRDISKKRWGLCLSVADSNRLTTETDDKASGNTASSPSDDRLPIVNSSSLGSSANDSQFQTSVLDQSGTQTSEPSNGSSVSSSQERDAPLSPNVQSKSKRLPLTARERLRAAKVLRRYNTETKPTKKSERGKNVLDALRDSERGKKRSGLPEAPTNIFDDSKRGMPKQGLTWDFPGGADLFLIIFSFVFISTVMFATTYVVWKLGAIHFNEN >ONI21454 pep chromosome:Prunus_persica_NCBIv2:G2:9250786:9255955:1 gene:PRUPE_2G066600 transcript:ONI21454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDVGGNGRGMVGIWGASGIGKTTIAKAIFNAVAHKFGGSCFLPNVRENSMPHGGLIQLQETLLQEILGGQKLKIASADKGISIIHKLLRHKKILLILDDVNQLEQLDNLAGVGWFGEGSRVIITTQDSGLLKCHGIELIYGVQKLFYYQALELFSLNAFRINEPPNDYLELAQRAIAFADGLPLALTILGSHLRNRDKTFWQVILDGFKGEPYTHIERILQKSYDALDDYAKEVFFDIACFFNGEKKDYVLRIVPKNCIEVLVDNAMITTEWDDRILMHDLLANLGKDIVHKESPNDPGQRSRLWFYEDVKQVLTKSTGTRNIKGIMVKLPEITFNPECFHNMVNLEIFINRNASLCGHINYLPNALRFIDWGRCQLQSLPPNFQGNRLVVLNMPHSDIKQLERFKHLRNLTSMNLSYCQFLEKIPDLSGIPNMKYLDLSECTRLVEVDGSVGLLDKLVELDLGGCSNLTRFATALRLKSLKKLDLRYCEGLESFPEIEVEMESLWSLDISGSGVRELPSSFAYLTGLGKLYLGGCFNLTRFVTSFRMKSLEELDLRDCKRLESFPEIEVEIESLRSLDISGSGIRELPPSIAYLTELRELYLNGCFNFTRFATAFRLKSLEILHLCDWERLESFPKSPLLSTNSNISFPLPIPLYCWSTLQELDLTGTNFVTLPECISKFVSLYMLYLRDCKSLLEIPQEACPPRVGRVFLDNCTSLDKIPKLPLSSKFAHLSLINCVRLRGYDIIENIFLDQVSVSSVSLSLCLSLYVIWLR >ONI23391 pep chromosome:Prunus_persica_NCBIv2:G2:22736348:22737374:1 gene:PRUPE_2G186400 transcript:ONI23391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRCNGQVDEDVAIISGGECHGSHISECCRDSEVGSAVGHVDEDVDIISGGECHGSHLDKNFQGQYEHAGPFVLRIVGKSEVNVWHSLCHRLARHLTILNGLGLGSALSNIEGRYSDAGMHGLRPHAMHRRLCGTFGIHMSPRLGRHASITACVYSLRMWAIVDAKGMTNRSVGKPMVCWIAMS >ONI23052 pep chromosome:Prunus_persica_NCBIv2:G2:21569675:21571187:-1 gene:PRUPE_2G167100 transcript:ONI23052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNDLARRKRQHEFELKREKEEREKKAQKLQAKKSKMKVDGSGKNKKGGFQVGKRKLKTKLTATAKAKAAQAMEVDK >ONI21668 pep chromosome:Prunus_persica_NCBIv2:G2:12166631:12167162:-1 gene:PRUPE_2G079600 transcript:ONI21668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNSQIHLQNCYIIRQNEMLRKKAQVLNQENQALLSELKQKLSKANTKLRGSPDIDVSSASSSDSISSSKP >ONI24995 pep chromosome:Prunus_persica_NCBIv2:G2:27649147:27650828:1 gene:PRUPE_2G273800 transcript:ONI24995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISEDILQYVFSWLPAKPIYRFKAVCKSSSEFSTNKLFAWTQARNLHVKDDSGFFLQPSLSTGFKHRDKVEYHSLPGEQLFSGVPQKSLQSLQNTGSKVLASSNGLILCQNTKTPDDRNLFLCNPTTQTWRTIPTPQDLGGVNWPKGVVLECNINNPISDPDHQDYMLLIIHPPRDWLPHYECKIYSPKEGRWKEKKVHKFGPRTLHLDMPVYHNGAVHFVSDCRDPSVRRGSVYYRPYIVAYDINNDTTRKLRLPNDARKGSDDTVHNTKLGIFKWGSRKSSSESICLVRLKKYVFTAWVLRDYDSVSWIRIMKIRVRAMGLMEPNPNHIAGFTVMNGKTLLFATRKKVYSYNMMGRSQQIEQVCEHQCQRQYDNYCNVCFTSYSNTLHPLGIGAATLPDI >ONI21237 pep chromosome:Prunus_persica_NCBIv2:G2:6457424:6458968:-1 gene:PRUPE_2G054600 transcript:ONI21237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHLKFHHIFCTVQSKRSETLNPFTLLIRYCHFQSEPGFNSSSPTSCLPPQKIPEIAIFWDLDTKPPKSVSPYEAAVKLKTAASSFRLVRHMIAYANRHALDYVPQVVRERKERNRVVIRDGELNVCRVCGRRFYTNEKLLNHFKIHEKEHMKRLSQIESARGSRRVKLVGKYSMKMEKYKNAARDVLTPKAGRGLADEVKRAGFWVRSVVDKPQRADAALRNHIVDMMDHRRAECLMLCVG >ONI21669 pep chromosome:Prunus_persica_NCBIv2:G2:12168328:12173736:-1 gene:PRUPE_2G079700 transcript:ONI21669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVVEDLGAPESWEVAGLDEAMNRLMLSSNKDSKPQDLNDVSPLVSSASDASDKFSEDALNQVDQFLREALQNPRERLSILRMEQDVEKFIRDPIQQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNNLPDGSGSRIIVRKTSECRLPQIRLADIPVSLPSEDGGVTKVAIKQRPQKRSQTANNANSNSAKANSSKTVEERKEEYNRARARIFNSSINMSGSSSGKSESEPRMQDTPQHVPLVMPKVEDKSSVSDLHSGRGLIESSTSSSRTARSRPEKEPVGRYKPNNRVAIFRDREIDRKDPDYDRSYDRYMQRFDPGFGFTGGPYTIQPMYTPALNYNTEFPQLGAAHRPQISTEHQPRPLPQHLPGPWVAPSVPAGIGYGHPETIITPFNANHVGARSTSGIYLHSSQYPCQRPGMPFLHPHEHIHQPFSQSHQQQPDASFGLARPR >ONI21670 pep chromosome:Prunus_persica_NCBIv2:G2:12169020:12173515:-1 gene:PRUPE_2G079700 transcript:ONI21670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVVEDLGAPESWEVAGLDEAMNRLMLSSNKDSKPQDLNDVSPLVSSASDASDKFSEDALNQVDQFLREALQNPRERLSILRMEQDVEKFIRDPIQQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNNLPDGSGSRIIVRKTSECRLPQIRLADIPVSLPSEDGGVTKVAIKQRPQKRSQTANNANSNSAKANSSKTVEERKEEYNRARARIFNSSINMSGSSSGKSESEPRMQDTPQHVPLVMPKVEDKSSVSDLHSGRGLIESSTSSSRTARSRPEKEPVGRYKPNNRVAIFRDREIDRKDPDYDRSYDRYMQRFDPGFGFTGGPYTIQPMYTPALNYNTEFPQLGAAHRPQISTEHQPRPLPQHLPGPWVAPSVPAGIGYGHPETIITPFNANHVGARSTSGIYLHSSQYPCQRPGMPFLHPHEHIHQPFSQVLINSNLMQVLG >ONI21455 pep chromosome:Prunus_persica_NCBIv2:G2:9272422:9274383:-1 gene:PRUPE_2G066700 transcript:ONI21455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYANTSLKGLSSSVGDPLPTNSDEFNLASELSNATRTAQSGVTQLNKGPNPKDSLEKDALVRQMGVTLKICLVKTVQNGKLSIMIVRIICFVTIARKFGSFRQLNLGLT >ONI22121 pep chromosome:Prunus_persica_NCBIv2:G2:16698233:16700871:1 gene:PRUPE_2G108600 transcript:ONI22121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFLRAFKSSNPEAFLNSMVSRFGRTGIYKTFMFGSPSIIVTTPEASKKVLTDDEAFKPGWPISTEELIGKNSFTSISFEEHKRLRKLTAAPVNGYEALSVYTTYIEERVISSLEKWSKMGEIEFLTQLRKLTFRIIMYIFLSSESEPVMEALEKEYTILNYGVRAMAINLPGFAYHKALKARKNLVSTFQSIVDDRRAQRKAGNYVAKKKDMMDALLDVVDDDGRKLTDAEIIDVLLMYLNAGHESSGHTMMWAAVFLQKHPKFFQKAKAEQEGIVKRRPPTQKGMTFKEYREMEYLSQVIDETLRVVTFSLTVFREAKKDVNINGYSVPKGWKVLVWFRSIHYDSEIYPNPLEFNPDRWDNYTPKPLTFLPFGAGSRLCPGNDLAKLEIAIFLHHFLLNYKMEPTNPDGPLMYLPHTRPKDNCLARIKKCGSA >ONI22122 pep chromosome:Prunus_persica_NCBIv2:G2:16698704:16700871:1 gene:PRUPE_2G108600 transcript:ONI22122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSPSIIVTTPEASKKVLTDDEAFKPGWPISTEELIGKNSFTSISFEEHKRLRKLTAAPVNGYEALSVYTTYIEERVISSLEKWSKMGEIEFLTQLRKLTFRIIMYIFLSSESEPVMEALEKEYTILNYGVRAMAINLPGFAYHKALKARKNLVSTFQSIVDDRRAQRKAGNYVAKKKDMMDALLDVVDDDGRKLTDAEIIDVLLMYLNAGHESSGHTMMWAAVFLQKHPKFFQKAKAEQEGIVKRRPPTQKGMTFKEYREMEYLSQVIDETLRVVTFSLTVFREAKKDVNINGYSVPKGWKVLVWFRSIHYDSEIYPNPLEFNPDRWDVSSEALLMY >ONI25431 pep chromosome:Prunus_persica_NCBIv2:G2:28996634:28999088:1 gene:PRUPE_2G303000 transcript:ONI25431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAIVLYPSPPIGHLVAMVELGQLILTRHPSLSIHILITTPPYRADDTAKYIASVSTTNPSLIFHHLSTVSLPPSLTSSSNHESLTLEILRLNNPNVRQALLSISTNFSVRAFVLDFFCAIGLSVAADLNIPGYFFFTSGAACLASFLYFPTLHTTTDKSFKDLNALIGIPGVTPVPSSDMAKPILDRNDVAYQCFLENSRQFPKSAGIIINTYESLEPRAIRAISDGLCLPENVTTPPIYCIGPLIIAHNKKGGGGDDVSECLTWLDSQPSGSVVFLCFGSLGLFSKKQLQEIAIGLERSGQRFLWVVRNPPAENNTGVAITEQPDPELESLLPDGFLGRTKNRGLVVKSWAPQVAVLNHESVGGFVCHCGWNSVLEAVCAGVPIVAWPLYAEQRFNRIVLVEEIKIALTMDESEDGFVSCDVVERRVRELMDSEEGDSVRKRTKALQREAHAALSEGGSSRVALTELFEKWNQLG >ONI21436 pep chromosome:Prunus_persica_NCBIv2:G2:9011027:9012812:-1 gene:PRUPE_2G065600 transcript:ONI21436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRQRFGGISSTRPRLSSRSIYEEFKPHFELKEEQEAHVIHVHLPGFVKEQVRITFAASPGVIKVDGQRPLGNNKWSRFNQTFPIQENCDVSKIHGKFNNQILTITIPKLGITQVGPKEAAKPSQQAPPTSSKTPTASAAEAKPPKLPEDLIPSKPGLTATAGPQKISSSEPKAQKGQEVISQAATSAAQDEKQRDKRSAPPAAGPQEAAAEPKAQNSTFSARDEKERDDKNGGHPLGLPKSVAETKVHKDKDEALPKAISAIQNEKQRDEKRVIPLTSPEGGISEPKSQRGKDEIPPKIIDEKSLEFTAEHVDPKGQSGKPAEPKNAERVAETSLQKEREANERSKEAGAVPKILEKAKRKSKSSGSAVDREKHTEEDSIAANVMAAAKEGMRNLGEGVNDEEKQMLINMGAAVLVLLALGASASYSLWSSGKTMN >ONI21435 pep chromosome:Prunus_persica_NCBIv2:G2:9010591:9013440:-1 gene:PRUPE_2G065600 transcript:ONI21435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRQRFGGISSTRPRLSSRSIYEEFKPHFELKEEQEAHVIHVHLPGFVKEQVRITFAASPGVIKVDGQRPLGNNKWSRFNQTFPIQENCDVSKIHGKFNNQILTITIPKLGITQVGPKEAAKPSQQAPPTSSKTPTASAAEAKPPKLPEDLIPSKPGLTATAGPQKISSSEPKAQKGQEVISQAATSAAQDEKQRDKRSAPPAAGPQEANGGHPLGLPKSVAETKVHKDKDEALPKAISAIQNEKQRDEKRVIPLTSPEGGISEPKSQRGKDEIPPKIIDEKSLEFTAEHVDPKGQSGKPAEPKNAERVAETSLQKEREANERSKEAGAVPKILEKAKRKSKSSGSAVDREKHTEEDSIAANVMAAAKEGMRNLGEGVNDEEKQMLINMGAAVLVLLALGASASYSLWSSGKTMN >ONI22606 pep chromosome:Prunus_persica_NCBIv2:G2:19624156:19625135:1 gene:PRUPE_2G139000 transcript:ONI22606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFATIALLLSLNLVFFTTVSSDHHVPTRSPTPPKTPKHPPLSTPTSTLTQSPLTLIPTPEQPPSTLTLTPTLTPSPSPSPPKASCPKDTLKLGVCGDLLNDLLHPVLGTLPKTPCCSLIVGLADVDAAVCLCTAIKANVLGINLNVPVSLNLLLKYCGKSVPKDFQCT >ONI23821 pep chromosome:Prunus_persica_NCBIv2:G2:24291411:24298484:1 gene:PRUPE_2G210200 transcript:ONI23821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSNIDMLSTLDNNLQRPNGNLEDALQSELELILREQRNQHAIHRERDLNIYRSGSAPPTVEGSLTAVGSLFGNSDFRDVTSRISNNNGVLSEDEIRSHPTYLSYYYSHDNINPRLPPPLLSREDWRIAQRFQVGGSSFEGVGDWRKKKLVDDGDSLSLFSAQLGLSVQKAENDLMELRNGNGSNLPKQTSSEWLDRGSDGLIGLSTSGLGARRKSFADILQEGLDPPASLTRPSSRIAFSDIMDSTGMADARAVGLCNGVESAEGLHNGAASCGLVGVQSHGTAASHSFASAVGPSLSRSRTPEQLFGRSPSAGLPPVGSRVFPVEKKNVAGPDMPKDNSSGMNDLDISANLSGLSLSKGRSVDEDSRIQSQLHLDLDKQRDFLFNMPNGHNQRLQQQLIEKSNAESFSLASNYPHLAKQNGIMTNRNTSDGQANFGRRTSASFYSKGSSSGFGTLEGSNVHYQDANTPGMEFHGHSGAYPVNPKLNMTINNHLDAAALPGSGDGHSMNRLGNKVGSGLHSSVMDPSYIQFLQRADYATRNVNSPSGYPPSKNHFGTLHGDLEGLQKAYLEALLAQQKQQYELSLLGKSGGFNHGYYGNPSYGLGMTYPGNQMANSVHPSVGSSSPMFQNEKIERFNSMLRSSMGGSVSSWQSEIGNDLEGRHASSLLDEFKNNKNKSFELADIVDHVVEFSTDQYGSRFIQQKLETATVEEKMKIFPETIPHARTLMTDVFGNYVIQKFFEHGTESQRKELSSQLTGHVLPLSLQMYGCRVIQKALEVVDVDQQTQMVAELDGSVMKCVRDQNGNHVIQKCIECVPQDQIQFIITSFYGQVVTLSTHPYGCRVIQRVLEHCDDSNTQQIIMDEIMQSVCILAQDQYGNYVIQHVLEHGKPHERSEIIHKLAGQIVKMSQQKFASNVVEKCLTFGSPEERQFLVNEMLGSTDENEPLQAMMKDPFGNYVVQKVLETCDDQSLELILSRIKVHLTALKKYTYGKHIVSRVEKLITTGERRIGMSASHSS >ONI21874 pep chromosome:Prunus_persica_NCBIv2:G2:15016452:15027324:-1 gene:PRUPE_2G095400 transcript:ONI21874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSSSPPAILDDNGGAGGNDLGFHSIRGRLPSKRNPNPSHHPDRARAYTDRSFQRPRSHNTRFYRKGLLWLFPFKGKSVFYVVLIFAVFVFAVATMVLQSSMTLVFRQGSERGRLLREGLKFGSAFRFVPGRVSKRFVEGDGLDRVRMEARIGVRPPRLALILGNMKKDPQSLMLITVMKNIKKLGYELKIFSVAKGKAYKMWEQLGGHISILAPEHSGLIDWSIFGGVIVDSLEAKESMSSLMQEPFCSVPLIWIIQEDTLANRLQLYGEMGLKHLVSHWKRAFNRANVVVFPDFTLPMLYSVLDTGNFFVIPGSPVDVWAAERYSKTHSKDQLRKSNGFEEDDMLVVVVGSSFLYNELSWDYAVAMHAIGPLLLKYARREDAGGSFKFVFLCGNSSDGYDDAFQEVASPLGLPRGSVRHFGLNGDVNSMLLMADIVLYGSFQDVQGFPPLLIRAMTFGIPVIAPDFPVLKKYVTDGVHINTFPNHNPDALMKSFSLMISNGKLSKFARTVASSGRLLAMNLLASECITGYARVLENALNFPSDALLPGPISELQRGTWEWNLFGNEIDYTTGDMQGIDEQSSLESTSVVYALEEEFSGLAYSTNISDNGTWESAQDIPTQLDWDLLTEIENSEEYERVEMEELSERMERDPGLWDDIYRNARKVEKFRFEANERDEGELERTGQSVCIYEIYSGSGTWPFLHHGSLYRGLSLSIRARRSTSDDVDAVDRLPILNETHYRNILCEIGGMFAIANKVDSVHKRPWIGFQSWRAAGRKVSLSKKAEKVLEEAIQDNREGDVIYFWGRLNMNGGMTGSKDALTFWSACDILNGGHCRNVFEHAFRWMYALPNNTEALPPMPEDGGHWSALHSWVMPTHSFLEFVMFSRMFVNSLDALHTNNSGQSMCLLGSSELEQKHCYCRVLEVLVNVWAYHSARKLVYIDPISGSMEEQHRIDQRQAFMWAKYFNATLLKSMDEDLAEAADDGDHPRENWLWPLTGEVHWQGIYEREREVRYRLKMDKKRKTKEKLLERMKYGYKQKTLGG >ONI21873 pep chromosome:Prunus_persica_NCBIv2:G2:15016599:15027305:-1 gene:PRUPE_2G095400 transcript:ONI21873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSSSPPAILDDNGGAGGNDLGFHSIRGRLPSKRNPNPSHHPDRARAYTDRSFQRPRSHNTRFYRKGLLWLFPFKGKSVFYVVLIFAVFVFAVATMVLQSSMTLVFRQGSERGRLLREGLKFGSAFRFVPGRVSKRFVEGDGLDRVRMEARIGVRPPRLALILGNMKKDPQSLMLITVMKNIKKLGYELKGKAYKMWEQLGGHISILAPEHSGLIDWSIFGGVIVDSLEAKESMSSLMQEPFCSVPLIWIIQEDTLANRLQLYGEMGLKHLVSHWKRAFNRANVVVFPDFTLPMLYSVLDTGNFFVIPGSPVDVWAAERYSKTHSKDQLRKSNGFEEDDMLVVVVGSSFLYNELSWDYAVAMHAIGPLLLKYARREDAGGSFKFVFLCGNSSDGYDDAFQEVASPLGLPRGSVRHFGLNGDVNSMLLMADIVLYGSFQDVQGFPPLLIRAMTFGIPVIAPDFPVLKKYVTDGVHINTFPNHNPDALMKSFSLMISNGKLSKFARTVASSGRLLAMNLLASECITGYARVLENALNFPSDALLPGPISELQRGTWEWNLFGNEIDYTTGDMQGIDEQSSLESTSVVYALEEEFSGLAYSTNISDNGTWESAQDIPTQLDWDLLTEIENSEEYERVEMEELSERMERDPGLWDDIYRNARKVEKFRFEANERDEGELERTGQSVCIYEIYSGSGTWPFLHHGSLYRGLSLSIRARRSTSDDVDAVDRLPILNETHYRNILCEIGGMFAIANKVDSVHKRPWIGFQSWRAAGRKVSLSKKAEKVLEEAIQDNREGDVIYFWGRLNMNGGMTGSKDALTFWSACDILNGGHCRNVFEHAFRWMYALPNNTEALPPMPEDGGHWSALHSWVMPTHSFLEFVMFSRMFVNSLDALHTNNSGQSMCLLGSSELEQKHCYCRVLEVLVNVWAYHSARKLVYIDPISGSMEEQHRIDQRQAFMWAKYFNATLLKSMDEDLAEAADDGDHPRENWLWPLTGEVHWQGIYEREREVRYRLKMDKKRKTKEKLLERMKYGYKQKTLGG >ONI25024 pep chromosome:Prunus_persica_NCBIv2:G2:27731643:27733496:-1 gene:PRUPE_2G275300 transcript:ONI25024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFGGKSMGGGGGGGGSMFRAVSRAAVTRSVAGGPPIQEPLSSSNSNSSATNTTTTATTTSRHTQKPSSSNNLSLSSPSSPFASYNLPLSANSGIPSWPSSPHFDDIDWVTVDNGSSEEDDERRYGFLEDFVLGPVPSRDEVQNAVSALQQVFSPSSHAQFVRDKYASELERDVADQISSASAGLVDRVSSVGSELDWMEPSAYLCNSKMLQPHASERVYDAFHLLQTESSVQRMVISLSSDRAVWDAVMNNEVVRELRESFYAAEDNSSQSPNEDTDDKNKATNIVKWIFQNTMAKVMEVIEKITKVLGDLIQPPGDEKANAGASNRFEEKLRTSFMLSVVVLLVVVVSRSHKA >ONI25023 pep chromosome:Prunus_persica_NCBIv2:G2:27731184:27733889:-1 gene:PRUPE_2G275300 transcript:ONI25023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFGGKSMGGGGGGGGSMFRAVSRAAVTRSVAGGPPIQEPLSSSNSNSSATNTTTTATTTSRHTQKPSSSNNLSLSSPSSPFASYNLPLSANSGIPSWPSSPHFDDIDWVTVDNGSSEEDDERRYGFLEDFVLGPVPSRDEVQNAVSALQHPSSHAQFVRDKYASELERDVADQISSASAGLVDRVSSVGSELDWMEPSAYLCNSKMLQPHASERVYDAFHLLQTESSVQRMVISLSSDRAVWDAVMNNEVVRELRESFYAAEDNSSQSPNEDTDDKNKATNIVKWIFQNTMAKVMEVIEKITKVLGDLIQPPGDEKANAGASNRFEEKLRTSFMLSVVVLLVVVVSRSHKA >ONI23018 pep chromosome:Prunus_persica_NCBIv2:G2:21417290:21418487:1 gene:PRUPE_2G164500 transcript:ONI23018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIGILGFSGTRFFCAQIQCLTQVYRKYKLRKGLQLHLYISQLPCGVASPSSLLSPSKDISPTERGSSLDELNVSINEKALPNTNSDASQLIGSVQRKPGRGDTTLSVSCSDKMARWNVVGVQGALLSFFLQPVYLSSITVGQSPHGSEMVLVVDRLKQALHDRNWKLSRWELGPNVLGVHS >ONI25383 pep chromosome:Prunus_persica_NCBIv2:G2:28839194:28842111:-1 gene:PRUPE_2G299300 transcript:ONI25383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLLDTAAGSDQLSTKPQDLYEGGNEDYAPVRSVDALRLMFWIETVKLWKIAGPTVITMLCMYGTNSVIIIFVGHLGAVELSAVSISLSVISTFAYGFLLGMGSALETLCGQAFGAGEIHMLGIYMQRSWIILFVTSLCILPIYIFGTPVLKLLGQEDDIANLAGEFTIQIIPSLFSLAIIFPSQKFLQAQRKVKVLAWIAVLGLIIQIGMLCLFILVFGWGTLGAAVAFDIVRWGMAIAQVVYIMGWCRDGWTGFSWLAFKEIWAFVRLSLASAVMLCLEIWYFMSILILTGHLDNAVIAVGSLSICMNINGFELMLFVGINVAISVRVSNELGSGRPRAAKYSVYVTVFQCFLMGIFLMIVILITKDRFSLIFTSDKDLQQAVAKLAYLLGITMLLNSIQPIISGVAIGGGWQALVAYINLGCYYIFGLSLGYLLGYTANLGVMGVWGGMICGTALQTLLLLIVLYKTNWNKEVEQAAKRVRKWGGQDVTAQST >ONI20813 pep chromosome:Prunus_persica_NCBIv2:G2:3747787:3748290:1 gene:PRUPE_2G034900 transcript:ONI20813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRDEGILGLWSGAAPTVMRNGTNQAAMFTAKNAFDVLLWKKHEGDGRVLLPWQSMISGFLAGTASPVCTGPFDVVKTRLMAQSRGVDGQMKYKGMIHAIRTIYAEEGLLALWKGLLPRLMRIPPGQAIVWTVADQVIGLYERLAAWQESMRLVWSYMLYGPSNSA >ONI24906 pep chromosome:Prunus_persica_NCBIv2:G2:27389365:27391438:1 gene:PRUPE_2G268900 transcript:ONI24906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKDERGVIRSRSEPALNPNRLKDKSLAPSTSTSLAANYRNIDASYDQGESAETSCKGSDVRCLDDEDASWISWFCNQKGNEFFCEVDDDYILDDFNRSGLRNQVPFYDYALDLILDVESSNDGTLTDDEQNNVIESAAEMLYGLIHGRYIATNKGLSAMLEKYRNYDFGRCPRIHCNKQRCLPVGQSDIPRLSTVKIYCPKCEDIYAPQSKHQDQIDGAYFGTTFPHLFFLTYGHLKPQKASQNYVRRIYGFKVHKEE >ONI22297 pep chromosome:Prunus_persica_NCBIv2:G2:17757268:17760221:1 gene:PRUPE_2G119800 transcript:ONI22297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVTAMVQQAMQFIDQTPDLETRIELIKTLNNISAGKIYVEIERGRLIKRLAKIKEEQGLIAEAADLMQEIAVETFGAMAKTEKIAFILEQVRLCLDCQDYVRAQILSRKISPRVFDIDPAKEKKKSKEGDNIVEEAPADIPSLLELKRIYYELMIWYYFHNNDYLEICRCYKAIYDIPSVRENPAQWIPICWYLVLAPYDPMQSSLLNSTLKDKNLTEIPYFRYVVTNEVIKWTSLWNTYKDEFESEKSMLGGSLGDKAAEDLRQRIIEHNILVVSKYYSRITLKRVAELLCLSVQEAEKHLSDMVVSKAPVAKIDRPIGIICFQTPKDSNNVLNSWATNLEKLLDLVEKSCHQIHKETMVHKAALKV >ONI20782 pep chromosome:Prunus_persica_NCBIv2:G2:3573102:3574367:1 gene:PRUPE_2G033300 transcript:ONI20782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICINRKCNDDPDVGTHICSKPPPPPPMTAGGCNPSGTVHCNNVSYPTYTCSPPVTSSTIAKLDISEFNKGGDGGGAAECDEQYHENTERIVGLSTGWYNGGKMCGRMIIITAGNGRSVTAKVVDECDSRVGCDAEHAGQPPCENNVVVASEAVWKALQLDVQGEGVQVTWSLHSNCSEAINCGGIGGLSYPFWGVNRASYCGLPGFEVACLDNVPVINMSNINYRILKTNSSRAPPSVTVARQDYWKTICPPSFVNTVCANNLTGEYSLLDPSTFDLPSLSSSIPVKKTGVNRPHPGGVGQRPSDA >ONI25005 pep chromosome:Prunus_persica_NCBIv2:G2:27660033:27662512:-1 gene:PRUPE_2G274200 transcript:ONI25005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELAIITSEDEHYNGKITLLVVTSCMVAATGGIIYGYDIGISGGVTSMDPFLKKFFPEVYTKMKDDTKTSNYCKFDSQLLTTFTSSLYIAGLVASFFASSVTRAFGRKPSILVAGALFLSGLALGGAATNIVMLISGRILLGVGVGFANQSVPLYLSEMALPRHRGAFNIGFQLCVGIGVLSANLINFGTEKITGGSGWRISLSLAAVPASILTLGALFLPETPNSFIQSTNDHQIAKSMLQRTRGCEDVQAELDDLIKASDNSKTIKYPFKKLMQRKYRPQLVMAIAMPFFTQVTGINVFSFYAPILFRTLGLSESMSLLSAVMSTGAAGTSATFISMLMVDKLGRRALFAIGGIQMFVSQVIVGSIMAAELGDIGGMNKGYAYLVLIFVCVYVAAFAWSWGPLGWLIPSEIFPLEIRSAGQSVTVAVNFVSTFVIAQSFLSMLCHFKSGIFFFFGGWVMVMTGFVYLLLPETANIPIEKMDRVWREHWFWNRYVGQVREDSN >ONI25385 pep chromosome:Prunus_persica_NCBIv2:G2:28854320:28858539:1 gene:PRUPE_2G299500 transcript:ONI25385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASLRLPSLTSLFSPSISGRYPAVLLPRYHRWFPVFAAGFSAQSTVSVSNEDAVHSQKSNRVQDRGVTPRSQDFNAWYLDVIEQAKLADYGPVRGTMVIRPYGYAIWEAIQDYLNMKFKETGRSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKDLEEKLVVRPTSETIVNYMFTQWIHSYCDLPLMAIQMIDVYTKFSYEQAAIPVIAGRKSKVETFAGAIRTYAIEAMMGDRKALQAGTSHNLGQNFSRAFETQFTDESGQRQHVWQTSWAVSTRFVGAVIIPIWKKDDDRAGVLNAASSVKEALQAAGIKVKLDESDQRSPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVVSRRDVPGKQGKVFGISMEPSNLEAYVKDKLDEIQSSLLRRAESFRDRNIVDVSSYDELKVAISQGKWARGPWSASNEDELKVKEETGATIRCFPFEQPLGIKRCLMVILQKKLPFLQSHTKFLGFPRITTPNRYRYYSVCVLSNFLSIDYRRSTKQWHIHL >ONI25435 pep chromosome:Prunus_persica_NCBIv2:G2:29011965:29014224:-1 gene:PRUPE_2G303400 transcript:ONI25435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLHFLKFWKPNNASTIAVPSPLVETDHEVEEEEEEDSFFDLELSFHIMNKNDTNKACTDDATQQESNRLDSIPKSNNSAKKAGCTEPCLSKSPLSLSPSDPISKRKILPIEPIFKPQSPIALLKSAPKFGAFMLKKPKATKTTAKKTGEAESKVLMETQKQKSKEGNLFGVKLLNTEEHQNPSKLTRENSSRRNGSRQQNQSSEDSKTERFSKDMIQKYLNLIKPLYMKVSKKCSEKVKPSGNIPMSSPNCSPAMPVLSMCSPKKEKQGNIPAGIRVVCKNLGKSKSATTMAGAPQVKRRDDSLLLQHDGIQSAILHCKRSFNSRDSSSLSRSASDSSSMNSYSTDSSLLSRFASESHDRSARSSIEEGVCAEI >ONI22356 pep chromosome:Prunus_persica_NCBIv2:G2:18010965:18014546:1 gene:PRUPE_2G123800 transcript:ONI22356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTYKRDASPHHFKLEIKSYSSLSTTLARKYESSAFEAGGHKWRLSLYPNEMINGHCYISLYLATAKSSVPSLSSMFSRICAPRSLMSEYNGISDGEHVYATFRLFVFDQTQQEYFTVEDGAGILSRFDRVTTEVGFAKFLLRDTFEHPSEGYLLNDCCTFGAEVFVNTSGRTSYGESISRIHKSILSPPFRYDLVKFSSQFGLEHLCPNLISFGERKWQLCVYPKGYGQHKDVSLSLYLRSADDLYTLPSVYVEFKLRVVDRAFNLHHIERTGKHWFVSSDNTFGWLDFMPLRTLNDPSKGFLGDDILSVVVEMLVKHGSQK >ONI21180 pep chromosome:Prunus_persica_NCBIv2:G2:5972467:5974452:-1 gene:PRUPE_2G051500 transcript:ONI21180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRGRRRQRGEHEHDSVHLATGQDVSIAEYSDSELIFNNISDPFGFVVKRKSDGLNIAFHKAKFGSGIPSKTLWAYAICSSSEHAVISFGGCARSRG >ONI25184 pep chromosome:Prunus_persica_NCBIv2:G2:28291541:28294650:1 gene:PRUPE_2G287000 transcript:ONI25184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVVLERIENKINRQVTFSKRRNGLLKKAYELSVLCDAQVALIISSSSGKLYEFGSTDVNKILERYRQCCYSLQGNVAENETQNLYQEVSKLKVKYESLQLSQRHLLGEDLEKLRLKELVNLENQLDKTLSKARQRKTEMMYDRLEELRQKENDLGEKNQQLKSELEEEGHGQATLQGPGELPLTKDNRIESEHPTLRLQIWDHQPVPQEKAADDDQGRTMVGGSKSNCNQRGWLL >ONI25185 pep chromosome:Prunus_persica_NCBIv2:G2:28292032:28294650:1 gene:PRUPE_2G287000 transcript:ONI25185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDFVLRAYATPMGLDWSVNKILERYRQCCYSLQGNVAENETQNLYQEVSKLKVKYESLQLSQRHLLGEDLEKLRLKELVNLENQLDKTLSKARQRKTEMMYDRLEELRQKENDLGEKNQQLKSELEEEGHGQATLQGPGELPLTKDNRIESEHPTLRLQIWDHQPVPQEKAADDDQGRTMVGGSKSNCNQRGWLL >ONI21458 pep chromosome:Prunus_persica_NCBIv2:G2:9341601:9344200:-1 gene:PRUPE_2G067000 transcript:ONI21458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSWWRSHSYHGFDLSCTNDNKIVLKMPASSNNLFVERIDYTSQEIEIYYRSNHPPREFFDLSLSSSPLQFMPGPHNYNYTLFSCPYLEERLSYGFCLIKLGLYYLDNPENQTYAVNTQCSVDRIALVSCTKLHDYTSIPDISTFAMKKIMKLHWSKPSCRIAKRWARHRITSQFKDKLGQEAYGTVFKGKLSSELLVAAKILNNSNENNGEDFTNEMGTMGLVHHVNVVRLVGFCADGFIRALVYEFLPNGSLQNFLSSADNKNSFLGWDKLQDIALGIAKGIEYLHQGCDHRILHFDIKPHNILLDQNFTPKVSDFGLAKLCSRDQSALSMTTVRGTMGYIAPEVFSRNFGNVSYKSDVYSFRTLLLEMVGGRKNFKVIEDSTSQVYFPEWIYNLLEQGDDLRIHIEDQGDAKIAEKLAIVGLWCVQWHPIDRPPMKNVVHMLEREGDNLAIPPNPFCSTSN >ONI23696 pep chromosome:Prunus_persica_NCBIv2:G2:23883009:23884343:-1 gene:PRUPE_2G202600 transcript:ONI23696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKCNRKTTGRVSVPVGFRFHPTEEELVNHYLRKKKQDKDFKVNHIIPEIAFCKHEPWDLPGLLFTESGSWEEANVGGGGASMTKRPRNEEGEEGAIVPPPTIHPERMKDFLDTARLVLSGEMSWEV >ONI23272 pep chromosome:Prunus_persica_NCBIv2:G2:22298350:22299127:1 gene:PRUPE_2G179000 transcript:ONI23272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSQRRGKLLRNEASLTLSIKPSQTHAKSYDAQISLSLLCVLNPWLHFFFQNLKIDECAFFSGMLFLTSLVFLRSHLNTLICLLLNEERK >ONI22231 pep chromosome:Prunus_persica_NCBIv2:G2:17366341:17368993:-1 gene:PRUPE_2G115800 transcript:ONI22231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIKGVFRNATATSLKGNSKLCGSIPEFRLPNCKLQHANKRRLSPTMKLIISLVCGILGVILVLTFLYLQCLRRGKKESASSDSDKFLKVSYQSLLKATDAFSSTNLIGMGSFGSVYKGVLEEGGTTIAIKVFNLVRRGAYKSFTAECEALKNIRHRNLVKVLSVCSGSDYRGNDFKALIYEFMGNGSLEEWLHPAGTNGEINDGQRSLTFCQRLNIAIDVAMALEYLHHHCHTPTVHCDLKPSNVLLDDDMIGHVGDFGLAKFLPITSQTSSGNQSSSLGIKGTIGYTPPANAEYGMGHNVWIQGDVYSYGILLLEMFTGKKPIDNLFQGTLTLHNFVKAAVPEQLVEIVDPVLVQEMVQVEMSTSNHRNENNARLRMKTEECLISILEIGLACSAELPGERLDISDVVAQMCQIRKKHTVQLLAPFILVRRRASKSFTAECEAHYNLVKVISACSGSDYGGHDFKALIYEVMVNGRLEEWLHPIQTIEVPRERLDISDAMVEMCRIRNALQANRIYELIRI >ONI24900 pep chromosome:Prunus_persica_NCBIv2:G2:27373431:27375014:-1 gene:PRUPE_2G268300 transcript:ONI24900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIFTILITTLLILLTATIAMANYNTQLPADHSQVNTWFNNNVKPYTARNGTLDSTLAMAEASQKVIKVNKDGRGQFKTIADAVNSNPVGNTKRVIVYIGGVYNEKITIPQNKPFVTFYGSPTTMSTLTFAGTAQKYGTVDSTTVIAESDYFVAAITLLLRYNSSPRPDGKRVGEQALALRVSSNKSALFNCRLIGFQDTLCDDRGNHFFKDCFIEGTLHVLGNNEMTVITAQARDSTSEDTGDSFVHCNITEIGNGTYLGKVWRTSPRVVFAYTSITVFYGEYKCSSPGSSMVERVKYAKQLTGEQIKPFLSLDYIQGSKWLLPPPNPKV >ONI25491 pep chromosome:Prunus_persica_NCBIv2:G2:29170481:29172474:1 gene:PRUPE_2G306400 transcript:ONI25491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSILCPIKYTEHRNTTKKLTKPRKKPTTESTSSSIPRIVRISMTDPDATDSSSGEEDEFFGRQRVKHYVDEIIIETDFRNTLVLPNNGRKRSTVQPPARRRTVKNSVSQTNGSRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTFETAEEAAMVYDNAAIKLRGPDALTNFVSPLPKEEEKPELPVEPLIEKAEPVIESLEVEITNGTTSGSGYDSGSESHNNLSSPTSVLHFRTQPKMEEAHEPQKLQVFDQVMQECEDETCTNFVSDDLGNYLPLDLPYLDDVFSFPAPDSPLFFDTPMFFDDDATAAAATLPECLLKEDFSDMFRDTYDTSLSPTTSSMCQGGEDYFQDILFGSDPLVVL >ONI20658 pep chromosome:Prunus_persica_NCBIv2:G2:2910211:2912286:-1 gene:PRUPE_2G028100 transcript:ONI20658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTIFAVIGLLCSMSNNLMELQGCQGDMMGLVTNCMMYVQKVLPLPNMNASKACCNALNTADIPCPCHGISGQLEQTIIDTAKIVSVAESCGKPLAHGTQCGNFIVP >ONI20214 pep chromosome:Prunus_persica_NCBIv2:G2:381329:381913:-1 gene:PRUPE_2G003600 transcript:ONI20214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMVKHFSHRHELCSYQVQEDDEIICSSCELPLDLMMNSGTSAYKCTKSKCNFYLHDLCFELPQEIKHKSHPKHPLTLSTPPYEYGEFTCDACGEFGTCFTFHCTHCKYDLHVQCATLPETLSHHHHHHLLTLLYSLPDHHENEGKLNICDFCQGTFPRGCWLYSCRDCDYSIHLGCSTAESDQDYPEDQIIISS >ONI24548 pep chromosome:Prunus_persica_NCBIv2:G2:26293078:26297121:-1 gene:PRUPE_2G246000 transcript:ONI24548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRNVRSGMSDVVIGCVMPYLHDAKDRDAVSLVCRRWYELDALTRKHVTIALCYTTSPDRLRRRFQHLESLKLKGKPRAAMFNLIPEDWGGFVTPWVKEIAESFNRLKSLHFRRMIVKDSDLELLAQSRGRVLQALKLDKCSGFSTDGLLHIGRSCRNLRTLFLEESSIDENDGQWLHELALNNSVLETLNFYMTDLIKVKFEDLELIAKNCRSLTSVKTSDCEILELVGFFRSASVLEEFCGGFFNEQSERYSVVSLPQKLCRLGLTYMGKNEMPIVFPYATLLKKLDLLYALLDTEDHCTLIQRCPNLEVLETRNVIGDRGLEVLARSCKRLRRLRIERGADEQGMEDEEGVVSQRGLIALAQGCLELEYLAVYVSDITNASLEFIGTYSKNLCDFRLVLLDREETITDLPLDNGVRALLRGCDKLRRFALYLRAGGLTDLGLSYVGQYSQNVRWMLLGYVGESDAGLLEFSKGCPSLQKLEMRGCCFSERALADAVMQLTSLRYLWVQGYRGSASGRDVLAMARPYWNIELIPPRRVVDQQGEGVVMEHPAHILAYYSLAGQRTDYPNTVIPVDPASFITS >ONI23777 pep chromosome:Prunus_persica_NCBIv2:G2:24130198:24130633:1 gene:PRUPE_2G207500 transcript:ONI23777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTSAFHKKWKVRSRLFIKKINKKEVCRRLKDENTFHFRENLTEIPTQECLGEFINSLVCLIFFSLSVLLDEL >ONI22187 pep chromosome:Prunus_persica_NCBIv2:G2:17099320:17103427:-1 gene:PRUPE_2G112800 transcript:ONI22187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISYTPSKLHYKETKKNMASVPGILTEWPWKALGSLKYVILAPWIIHSTWLFVANDAKERDVSYFLLLGVVLWRIIHNQIWISLSRYRTAKGNGRILDRGLEFEQVDRENNWDDQILFNALLFYTGSRYLPGAQKLPLWRAHGVLLTIVLHAGPVEFLYYWFHRALHHHYLYSRYHSHHHSSIVTQPITSVIHPFAEHVVYSALFFIPILGTMLTRTLSVVSFTAYITYIDFMNNMGHCNFELIPNWLFSLFPPLKYFMYTPSYHSLHHTQFRTNYSLFMPIYDYIYETIDKSSDTLYKTSLKREEETPDVLHLTHLTTPESIYHLPLGFASLASQPHTSKWYLWLMWPVTLWSMILTWIYGRTFVVERQRFDNLILQTWAIPKYNLQYYLQWQNEAINSLIEEAIIQAEEKGVKVLCLGLLNQGEELNRYGGVYVHRHPHLKIRIVDGSSLAVAITLNTIPKGTTQVLLRGNLTKVAHAVAFALCQKGIQITTLHHDEYLKLTKSLSGMESSLVLAKSYAHKIWLVGDGLSEEEQLRAPKGTLFVPFSQFPPKKLRKDCFYHYTPAMKTPPSLENVHACENWLPRRVMSAWRIAGIVHALEGWKEHECGYNMSNIDKVWQATLQHGFQPLIISTTHTKN >ONI25392 pep chromosome:Prunus_persica_NCBIv2:G2:28877872:28880699:-1 gene:PRUPE_2G300000 transcript:ONI25392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMTSRLSIVPAPPPRPALPALQRSNLSTRQNPSLSVRVSPSPSTITSSSSVADPLNNRRQSFLSPPAPPNLGDRSVAVRFQLNAPLISSHDQWGTWTALFATGAFGIWSEKNTKVGAALSGALVSTLIGLAASNLGIISSNAPAFSIVLEFLLPLAVPLLLYRADLRRVIKSTGALLLAFLLGSVATTVGTVVAYLLVPMRSLGQDSWKIAAALMGRHIGGAVNYVAIADALGVSPSILAAGLAADNVICAVYFSTLFALASKVPPEPSTSDDGIRKDASSEPGNKLPLIQTAAALSVSLAICKSGHYLTKYFGIQGGILPAVTAIVVTLATVFPKQFAYLAPTGEAMAVILMQVFFAVVGASGNIWSVINTAPSIFFFALIQIAVHLVVILGLGKLLGFDLKLLLIASNANVGGPTTACGMATAKEWNSMIVPGILAGIFGIAIATFIGIAFGLAVLKYM >ONI23369 pep chromosome:Prunus_persica_NCBIv2:G2:22621955:22624638:1 gene:PRUPE_2G184800 transcript:ONI23369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQPRPPHIAILPSPGMGHLIPLAEFAKRVVHHHNFTVTFIVPCDGPPTKAQKSVLDALPIAIDHVFLPPVSFDDLPQGSKIETLISLTVSRSLTSLRDAIKSLISRANLVGLVVDLFGTDAFDVAKEFNLSKYIFFPSTAMALSLFLYLPKLDETTSCEYRELGDPVTIPGCIPIHGRDLLDPVQDRKDEAYKWVLHHAKRYRLADGIMVNSFAELEPGALRALQESEPGKPPVYPVGPLVKMEFSNALDEQSSKCLKWLDEQPRGSVLYVSFGSGGTLSYDQINELALGLEMSEQRFLWVVRSPSDKAANATYFSVHSQNDPLEFLPKGFLGRTQGRGLVVPNWAPQAQILGHMSTGGFLTHCGWNSALESVVNGVPLVAWPLYAEQKMNAVMFTEDIKVALRPKASENGLVGREEIALVVQALMEGEDGKRLRNRMKDLKDAAAKALSENGASTKALAHVVTKWKTQFSN >ONI24923 pep chromosome:Prunus_persica_NCBIv2:G2:27407906:27411172:1 gene:PRUPE_2G269300 transcript:ONI24923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPVQCSKCHKNVQLLPPGPPSMCCHVATYRAPYSYSHHYPPHQLPLTALPPPPYSHLPPRPPLFAHVCKRAVICAVSYKNSKHELKGCINDANCMRYLLVNRFHFPESSILMLTEEEADPDRHPSKQNMIKALYWLVQDCQPGDSLVFHYSGHGKRKKNYTGDEVDGYDEYLCPLDFKTGELISDNEINAIIVRPLPHGVKLHAFIDACHSGTALDLPFLCRMDRSRRYLWEDHRPPSGVWKGTNGGKAICFSGCDDHQTSADASALSNTTPTGAMTFSFIQAIEHGHGTTYGDILSSMQYTIRNAENEDTDTGTSPPLNMTRRGSLAAGFTQEPQLTASEKFKLKKPFSL >ONI24670 pep chromosome:Prunus_persica_NCBIv2:G2:26715239:26719673:-1 gene:PRUPE_2G254100 transcript:ONI24670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSDSENQNPNNDHDDQRPSNVTVDDEPEPDEVDDDEDDEGEDEDDQEPSLPRNPLSEEARLRAEKYKLENLVHRMSTERVDLRVHDVLIKGNTKTKEHLIEAELEGIKKATTMQELLEAAGIANAKLQRLEIFDSVRITLDSGPPELPGTANVIVEVVETKSPISGEIGAYTKPAARSWTAEGSVKFKNLLGYGDLWDGSLAYGPSQTSELSAGVFLPRFKGFLTPVTARAFLLSQDWLEFSSYKERMLGLSLGLFSSKHHDLVYNLGWRTLADPSQMASRSIRRQLGHGLLSSIKYTFKIDRRNSTLRPTQGYSFVSSSHIGGISPDHRSMRFLRQEFDLRFAVPFGFYHAALNFGISAGVIFPWGTGFLNKPSSLPERFFLGGDFSPVCTVGGPTTVWGFKTRGLGPTEPRRHVTDNSTDENSESSGRDSIGGDLAVSAFADISFDLPLRWLKEHGVHGHIFAGAGNLAKLTENEFRNFSVPKFLQSFRSSVGAGVVIPTKFFRLECNYYYILKQFEHDRGKTGFRFSISAPS >ONI24669 pep chromosome:Prunus_persica_NCBIv2:G2:26716279:26719527:-1 gene:PRUPE_2G254100 transcript:ONI24669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSDSENQNPNNDHDDQRPSNVTVDDEPEPDEVDDDEDDEGEDEDDQEPSLPRNPLSEEARLRAEKYKLENLVHRMSTERVDLRVHDVLIKGNTKTKEHLIEAELEGIKKATTMQELLEAAGIANAKLQRLEIFDSVRITLDSGPPELPGTANVIVEVVETKSPISGEIGAYTKPAARSWTAEGSVKFKNLLGYGDLWDGSLAYGPSQTSELSAGVFLPRFKGFLTPVTARAFLLSQDWLEFSSYKERMLGLSLGLFSSKHHDLVYNLGWRTLADPSQMASRSIRRQLGHGLLSSIKYTFKIDRRNSTLRPTQGYSFVSSSHIGGISPDHRSMRFLRQEFDLRFAVPFGFYHAALNFGISAGVIFPWGTGFLNKPSSLPERFFLGGDFSPVCTVGGPTTVWGFKTRGLGPTEPRRHVTDNSTDENSESSGRDSIGGDLAVSAFADISFDLPLRWLKEHGVHGHIFAGAGNLAKLTENEFRNFSVPKFLQSFRSSVGAGVVIPTKFFRLECNYYYILKQFEHDRGKTGFRFSISAPS >ONI25177 pep chromosome:Prunus_persica_NCBIv2:G2:28259068:28260346:-1 gene:PRUPE_2G286300 transcript:ONI25177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFQMSSVLRLRLRWISHILTGPPPWIYDLSSNQPLVSNPMCICEIVKKFSSISGKVFLCVRVNCDCFVINTLQSVKKNI >ONI22438 pep chromosome:Prunus_persica_NCBIv2:G2:18612580:18617172:-1 gene:PRUPE_2G128900 transcript:ONI22438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DRWRGVLKVPLNPNPRTYYRVAASLCLSRTYANPIFFNGDRVAGTGNPVIERLSDLQNIAEIMVSKIVALIDASVFNGPFAVYRHFVPSVNQWGEPKSYCPVGSPAFEGIYRPDVVVFLASLFFLVKNDIAREKELLVPGISASHFNLPKTLMFGFRKGGTVFNQLVTELGFAVKPSGDPPLLEEKESGLEDEIHIISITKQSLLNSIREIHYVDVGLNSPGAYVTDHSVIENISECLIQGARGISFVLHGTLPGNEKDKLVYLLESESQRSGGKLQVCEKFYFPDRPPDLQMHFEVIDKLDLS >ONI25932 pep chromosome:Prunus_persica_NCBIv2:G2:30172721:30174873:-1 gene:PRUPE_2G327800 transcript:ONI25932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIESSSDAAAAQRFRIGYAFAPKKEQSFIQPSLLDHASQNGIDFVPISITDSSSEEEAAEALIQQGPFHCIIHKLYGHRWNHQLKQYSSKYPQTLILDPPDSIERLHNRVSMLQVVSAIKLNSQFNISVSVPKQVVLQTPQSPDSIHNNTNDGAVEFPVIAKPLLANGSAKSHEMYLVFDPKGLQTLLPTNTTTSASTQPILLQQFVNHGEVVFKVYVIGEYVQCVKRSSLPDISEQQLTASEGQVLRFSQISNSPQPQEEDEHGLGLAHDPHEMPPSDFVEELARGIRLGLKLNFFNFDVIRDSGNPHSYFVIDINYFPGYAKLPSYEQVLTNFLLALLTTPTQKDHQLEPATTEEEEEEEEKEESDHDHLGDGEGGGDASLLP >ONI25216 pep chromosome:Prunus_persica_NCBIv2:G2:28398585:28399274:-1 gene:PRUPE_2G289500 transcript:ONI25216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFCPYYVDPQTCGASSEVPESSSASDNSSARPVSVNFSDEELLLASTYPKKRAGRKKFKETRHPVYRGVRRRNSGKWVCEVREPNKKTRIWLGTFPTAEMAARAHDVAAIKLRGRSACLNFADSAWRLPVPASANAKDIQTTASEAAEAFRPPAEGSVEVSGASAVAASESKSASEQVFYMDEEAVFGMPGLLANMAEGMLLSPPLYTEDDDDVEFYADVPLWSYSI >ONI21657 pep chromosome:Prunus_persica_NCBIv2:G2:12111923:12114331:-1 gene:PRUPE_2G079000 transcript:ONI21657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRLTFLLLVGLLAWAYQAIQPPPPKLCGSPSGPLVTASRIKLRDGRYLAYKEHGVPKEVARYKIIYVHAFGSTRHDALIATTVTKEVLEDLGVYIVSFDRPGYGESDPDPKRTRKSLALDIEELGDQLGLGSKFYVIGYSMGGQVIWKCLRYIPHRLAGATLIAPVVNYWWPGLPANLSSAYYKQPPRDQWALRVAHHIPWLIYWWNTQKLFPTSSVVSSSNPNMNIFSRQDLEIIRNANVSERDNKVQAKQQGEFESVLRDMMVGFGTWEFDPLDLKNPFAGKEGSVHLWQGDEDLLVPVELQRYIAEKLPWIHYHELPGAGHLFPAADGISEAILKALLIEEK >ONI21656 pep chromosome:Prunus_persica_NCBIv2:G2:12112167:12114076:-1 gene:PRUPE_2G079000 transcript:ONI21656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRLTFLLLVGLLAWAYQAIQPPPPKLCGSPSGPLVTASRIKLRDGRYLAYKEHGVPKEVARYKIIYVHAFGSTRHDALIATTVTKEVLEDLGVYIVSFDRPGYGESDPDPKRTRKSLALDIEELGDQLGLGSKFYVIGYSMGGQVIWKCLRYIPHRLAGATLIAPVVNYWWPGLPANLSSAYYKQPPRDQWALRVAHHIPWLIYWWNTQKLFPTSSVVSSSNPNMNIFSRQDLEIIRNANVSERDNKVQAKQQGEFESVLRDMMVGFGTWEFDPLDLKNPFAGKEGSVHLWQGDEDLLVPVELQRYIAEKLPWIHYHELPGAGHLFPAADGISEAILKALLIEEK >ONI21655 pep chromosome:Prunus_persica_NCBIv2:G2:12111923:12115024:-1 gene:PRUPE_2G079000 transcript:ONI21655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRLTFLLLVGLLAWAYQAIQPPPPKLCGSPSGPLVTASRIKLRDGRYLAYKEHGVPKEVARYKIIYVHAFGSTRHDALIATTVTKEVLEDLGVYIVSFDRPGYGESDPDPKRTRKSLALDIEELGDQLGLGSKFYVIGYSMGGQVIWKCLRYIPHRLAGATLIAPVVNYWWPGLPANLSSAYYKQPPRDQWALRVAHHIPWLIYWWNTQKLFPTSSVVSSSNPNMNIFSRQDLEIIRNANVSERDNKVQAKQQGEFESVLRDMMVGFGTWEFDPLDLKNPFAGKEGSVHLWQGDEDLLVPVELQRYIAEKLPWIHYHELPGAGHLFPAADGISEAILKALLIEEK >ONI22116 pep chromosome:Prunus_persica_NCBIv2:G2:16654043:16656622:-1 gene:PRUPE_2G108300 transcript:ONI22116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKDGAKEKDKDKEKDEKKEKEKDGGVINAVYKVNLHCRQCGREIKKPLMATPGVHNVEVDMEKGEIKAKGVFDPVQIQKRLEKLCKKKIELVSPKIQIKETPVIEKKIVKEPKEPISRTILVKVNMHCNKCEQDLKKKLIKRKVEGTIEPEKLVSYLRKKVHKHAEIVPPKPEKKEETKEKEKGASKPEEKKEETKEKEKGASKPAEKKEETKEKENGASKPAEKQEEKKEKEKEKDSGEKSSEPITRVVEAKEDMQVVEVKPKESNAPYFIHYVYAPQTFSDENPNACYIM >ONI22117 pep chromosome:Prunus_persica_NCBIv2:G2:16654126:16656622:-1 gene:PRUPE_2G108300 transcript:ONI22117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKDGAKEKDKDKEKDEKKEKEKDGGVINAVYKVNLHCRQCGREIKKPLMATPGVHNVEVDMEKGEIKAKGVFDPVQIQKRLEKLCKKKIELVSPKIQIKETPVIEKKIVKEPKEPISRTILVKVNMHCNKCEQDLKKKLIKRKGIHNVKTDMKAQTLTVEGTIEPEKLVSYLRKKVHKHAEIVPPKPEKKEETKEKEKGASKPEEKKEETKEKEKGASKPAEKKEETKEKENGASKPAEKQEEKKEKEKEKDSGEKSSEPITRVVEAKEDMQVVEVKPKESNAPYFIHYVYAPQTFSDENPNACYIM >ONI24191 pep chromosome:Prunus_persica_NCBIv2:G2:25463019:25467003:1 gene:PRUPE_2G229700 transcript:ONI24191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQGYPPATLPHYLPLVYFPDPTLPPPWTGMFDGNLAVVYYWNPQTNVAQYEHPASSSYPTTHLINSPIPQFWNSHLPLDYTTNSPHRLTTSTPTDLAAPSNVGTGSVAHPLHTSLEIPDPNSKPQSLKVLLDSATHKPRLSKREKRRKFLALRDSEAVSGSGSGGNFEENKDEEDKREVGSEDLGDEKKEEKKKKRKRKRDKKEENGSLSSEENEVVKEEAKKPKKKNKKKKRKEAKNEEEKKDGELGTEEQSVKETDNSSDRQANGDVPTKVFVGGIPYYSTEDDIQSYFESCGTITEVDCLRFREGGKFRGIAIISFKTEAAAKQALDLDGAEMGELFLKIQPYKATRANKVSDFAPRIVEGYNRIYVGNLSWDITEDDLKKLFSDCKISSMHFGMDKETGEFRGYAPVNFSDNLSLKLALKLDQKVVCGRPVKISCAVPLKRAGTPSNYAPTNSSTHSISVAPTTGANSIPVATTTDTGADNTESSTVSGKIKRRTCYRCGEKGHLLSACPISATMFSSTHSISIATTRSTHSIPVATTTSTNSIPVATTTRTNSIPVAATTRTNSIPVTTTTRTNSIPVTTTSTGADNGGLSAVSGKIKRRTCYRCGEKGHLLSACPISATMFSSTRSISIATTTSTDSIPLATTTSTNSIPVATTMRTNSIPVATTTGTEADNGGLSAISGKIKRRMCYRCGEKGHLLSACPISAMFSSIHSYNYKY >ONI23404 pep chromosome:Prunus_persica_NCBIv2:G2:22792437:22792778:-1 gene:PRUPE_2G187100 transcript:ONI23404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLIGFLAVLLFLSSSANSAPSCPTVTQQVAPCLSFVKGGSDTKPSEECCKGVKELSVNANSRPDREAVCKCLKQVLSSVGNYNPSQVSLLPKKCGLSLNLPPIDKNTDCSK >ONI22185 pep chromosome:Prunus_persica_NCBIv2:G2:17061213:17068962:1 gene:PRUPE_2G112600 transcript:ONI22185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPGILTDWPWTPLGNFKHVILAPWIIHGAYSFVVNDGKEKDLSYFLIFPFMLWRMIHNQIWITLSRYQTAKGNGRIVDKPLEFEQVDRERNWDDQIVFNGILFCLGSRHMPGAQNLPLWRTDGVLLTILLHAGPVEYLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFVLFAIPMLTTTLTGTASIISFAGYVTYIDFMNNMGHCNFELIPNWLFSIFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTMDKSSDSLYETSLKRKEETPDVLHLTHLTTPESIYHLPLGFASLSSKPHTSHWYLWLMWPVTLWSAMLTWIYGRTFVVERQRFDKLRLQAWVIPKYSLQYFLQWQNEAINGLIEEAILEAEEKGVKVLSLGLLNQGEELNRYGGLYVHRYPELKMKVVDGSSLAVAVILNSIPKGTTEVLLRGNLTKVAYATAFALCQKGIQVATLNQTEYLKLTKSLNVTDSRLVLARSYAQKIWLVGDGLSEEEQLRAPKGTLFVPFSQFPQKKLRKDCFYHYTPAMKTPPSLENVHACENWLPRRVMSAWRIAGIVHALEGWKEHECGYNMSNIDKVWQATLQHGFQPLMISTTHTKN >ONI22697 pep chromosome:Prunus_persica_NCBIv2:G2:20218568:20223742:1 gene:PRUPE_2G145400 transcript:ONI22697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGTEVKPGKPFTHIFDGLKGRLHISVATLGTGTATKKSILQCNVGNKSPVYLCSLFPEKAESLQINVEFEESEEVIFSVIGPRSVHLSGYYLGGHRHRNLDDNSESYGEDIADSETQRSINSEEDKYDDSFIDDDDPEVYPSSPVSDSGDSGSGYPEEVSNKKKPQNGKGGRRRLRKMYQLSESEMESEDEDRCPIASLKTKSASKSTTEEVEEKVEKRTVDTSSKKTEDGVFNATESIKDGDDVDVGGQSKRQFDLPVDPVSPSSEVGPENGGTPKRKRKERPKEEKSLEAGLLEKEDEAQKDEARADNRTQNLCVEDGQELKVSNNSEAKVPDNFSKPLTEVVPENGERPKKKRRKRVEEKTCEVVSTNPDNVVKEDKGQQDESKGDMCQDLPVRSEQNQKSAKDGSSGHYSDRFVDGQSDEKKVKKKKKSKTQAHGEAVNTDVPPLVAEGNADAKPSQIRTFPSGLSIEELEAGKPDGKIATSGKKISVHYVGKLKENGRVIDSTVGSAPSKFRLGQGKVIDGWDVGLDGMRVGEKRRLVIPPPMGFGSNGDGKGVPPNAWLVYDVELLKVR >ONI23212 pep chromosome:Prunus_persica_NCBIv2:G2:22113141:22116437:-1 gene:PRUPE_2G175400 transcript:ONI23212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNTELSKPTSIFGLRLWVVIGILVGSLIVLTLFLLSLCLTSRHRSKAHKAQPKPTTDSPTPVISKEIQEIVHDLHVEIGKPDHRVVVYSDRASSGESKGTLNSGCETASYGSGNVVGPEVSHLGWGRWYTLRELEAATNGLCEENVIGEGGYGIVYSGILSDGTKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNVILGTAKGLAYLHEGLEPKVVHRDVKSSNILVDRQWNPKVSDFGLAKLLCSENSYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGILIMEIISGRSPVDYSRQQGEVNLVEWLKTMVGKRKAEEIVDPKLPEMPASKALKRALLVALRCVDPDATKRPKMGHVIHMLEADDLLVRDERRTGRDTSRSDPDYQQDDHVVVKIADKHLNEGTSDTSEGDTGRNHHQPTRWR >ONI25452 pep chromosome:Prunus_persica_NCBIv2:G2:29058198:29060182:1 gene:PRUPE_2G304300 transcript:ONI25452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSKNLDSEKENSFELPSLIRCASSNEVSGFDNAEENRFLNDRYQPSALQLTSPSAQGIETDALECALDESEPQIHQSVLSVSISMPTSAIELHLQDNKRMLFSGETSFNNGIPASSATLKSASSSTLPKGLKFHPQPMPKSSAFEEATKTGHFPDHSSIERLKDKRFDTFKTWSRKLDRQITLLGGKTPGETESENVIVQIEEVERLPADRYFDALEGPELDTLRASEEILLPADKQWPFLLRYPVSSFSICLGVSSQAILWKTLSTSASMKFLHLSLMTNLVLWCISVALIAIVAFIYLLKVSLYFEAVRREYYHPIRMNFFFAPWIVLLFLALGVPPSFANNLHPAIWYILMTPILGLELKIYGQWMSGGQRRLSMVANPFNHLAIVGNFVGALLGASMGLKEGPLFFFAVGLAHYIVLFVTLYQRLPTNETVIPKDLHPVFFLFVAAPSVASMAWAKIQGSFDYSSRIAYFIALFLYLSLVVRVNFFRGFKYDITFISLN >ONI25450 pep chromosome:Prunus_persica_NCBIv2:G2:29057982:29060906:1 gene:PRUPE_2G304300 transcript:ONI25450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSKNLDSEKENSFELPSLIRCASSNEVSGFDNAEENRFLNDRYQPSALQLTSPSAQGIETDALECALDESEPQIHQSVLSVSISMPTSAIELHLQDNKRMLFSGETSFNNGIPASSATLKSASSSTLPKGLKFHPQPMPKSSAFEEATKTGHFPDHSSIERLKDKRFDTFKTWSRKLDRQITLLGGKTPGETESENVIVQIEEVERLPADRYFDALEGPELDTLRASEEILLPADKQWPFLLRYPVSSFSICLGVSSQAILWKTLSTSASMKFLHLSLMTNLVLWCISVALIAIVAFIYLLKVSLYFEAVRREYYHPIRMNFFFAPWIVLLFLALGVPPSFANNLHPAIWYILMTPILGLELKIYGQWMSGGQRRLSMVANPFNHLAIVGNFVGALLGASMGLKEGPLFFFAVGLAHYIVLFVTLYQRLPTNETVIPKDLHPVFFLFVAAPSVASMAWAKIQGSFDYSSRIAYFIALFLYLSLVVRVNFFRGFKFSMAWWVYTFPMTGAASATIRYSNEVTNVVTQALAVTLSLIATITVIALLITTILHGLVLGNLFPNDIAIAISDRKPNPETQKKWFHLHGSSEAKDIENFLKSEETTSENKDLEAAKPSDFE >ONI25451 pep chromosome:Prunus_persica_NCBIv2:G2:29058107:29060902:1 gene:PRUPE_2G304300 transcript:ONI25451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSKNLDSEKENSFELPSLIRCASSNEVSGFDNAEENRFLNDRYQPSALQLTSPSAQGIETDALECALDESEPQIHQSVLSVSISMPTSAIELHLQDNKRMLFSGETSFNNGIPASSATLKSASSSTLPKGLKFHPQPMPKSSAFEEATKTGHFPDHSSIERLKDKRFDTFKTWSRKLDRQITLLGGKTPGETESENVIVQIEEVERLPADRYFDALEGPELDTLRASEEILLPADKQWPFLLRYPVSSFSICLGVSSQAILWKTLSTSASMKFLHLSLMTNLVLWCISVALIAIVAFIYLLKVSLYFEAVRREYYHPIRMNFFFAPWIVLLFLALGVPPSFANNLHPAIWYILMTPILGLELKIYGQWMSGGQRRLSMVANPFNHLAIVGNFVGALLGASMGLKEGPLFFFAVGLAHYIVLFVTLYQRLPTNETVIPKDLHPVFFLFVAAPSVASMAWAKIQGSFDYSSRIAYFIALFLYLSLVVRVNFFRGFKFSMAWWVYTFPMTGAASATISARKPLPQ >ONI25895 pep chromosome:Prunus_persica_NCBIv2:G2:30063711:30066271:-1 gene:PRUPE_2G325200 transcript:ONI25895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMRILISLQLLGLTLLCVGIFHCQASPRYTFVVEETPYRRLCSTKNILTVNGQFPGPTLYVRSGDIIIVDVYNKGNRNITIHWHGVRQLRNPWSDGPDYITQCPIQPGGSFTQTIMFSSEEGTLWWHAHSEWDRATVHGAIVIYPNKGATYPFPKPHAEFPIILGEWWKEDIGQLYKKAIQSGGEPNISSAFLINGQPGDLYPCSKPDTFKLMVDYGKTYLLRLINSAVQDILFFSIANHKVTVVGSDASYTKPFSSDYVTISPGQTIDLLFTADQSPNHYYIAAKAYVGGAGIVYDNTTTTAILQYNGNYTSTSIPSLPNLPSHNDTKASVHFTGSLRSLADQNHPVDVPRKITTPLFYTLSVNTLPCLNNSCAGPNGTRLSASVNNISFVDPSIDILQAYYYHVNGVFGTRFPNFPPLLFNFTAQDLPLYLQTPKQGTEVKILKYNATVEIVFQGTNLVAGDDHPMHLHGFSFYVVGWGLGNFDKDKDPLTYNLVDPPLQNTIAVPVNGWTTVRFKADNPGVWFMHCHLDRHMSWGMDVTFIVKNGKGLGAQILPPPPGMPPC >ONI20182 pep chromosome:Prunus_persica_NCBIv2:G2:253649:254152:1 gene:PRUPE_2G001600 transcript:ONI20182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSECSINKRCYDISMSKRTRKSFHYSVPVPDANRTTCGEIIPALESFLDGNGGDQSSPSKSRVEDGDQDNDNRKSFKQLIDGDEKAKLIIKSGDQGSRARSSLGQHFTDEENHLQLVKKQQKAVSLQGVKLKKLVSRCAKVFRHLVKAESDPGLREPRQAVLRLTM >ONI25311 pep chromosome:Prunus_persica_NCBIv2:G2:28648262:28649566:-1 gene:PRUPE_2G295300 transcript:ONI25311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSPSSNDYKIALPTNASPQPNKHVPPPPPPPPPPSFLQLATSSILFHYKYMASNMSLKTLAVLALALAVCVQATLGAITCEDLDKDTCAFAVSSSSKRCVLEKHVRRSGEEAYTCRTSEIEADKLKDWVESEQCIKSCGLDRKSYGICSDSLLESRFTQKLCSPQCYNTCPNIVDLYFNLAAGEGVFLPKLCEAQGANARRQMSEIRSSGFVAPGPIHPANLVAPGPIKSANLVASTPTEVAPAQPPYYN >ONI22712 pep chromosome:Prunus_persica_NCBIv2:G2:20263940:20269790:-1 gene:PRUPE_2G146300 transcript:ONI22712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNQRSSNQFVHLETKFEFLLQELQKIWDEVGVPDVERDTMILTIEQECMEVFRTKVDDAKKCKALLQQEIASYEAELVDICSALGEQPPHFDQNARGSLKKKLETIIPQLGDMKMRKVERRDEFLVVLDQLQKISIQICRFTEDSLYKMVVEETDLSLKRLEELRRQLLDYQDEKRNRVNLVMDHLNILNSLCLVLGMDFKHTIHEIHPTLDDSEGEKDVTNNTVDSLANLIQRLRKVKIQRWQTLQNHASALLEMWNLMDTPIEQQTKFQNVTRHIAASESEITEPNMLSTDFLNHVEEEVSRLQQLKSSKLKEIFQKKRLELEEICRQSHMVTETLSAMEYSNEVLESGTVDPACLLEQIELQITRAKEEALSRKEILEKFEKWLGACQEECWLEEYNRDDNRYNAGRGAHLTLKRAEKARALVNKIPGLVEALTSKTTAWEGERGLEFLYDGGRLLSMLTEYSTLRQEKEQEKQRQRDMKRFQGQVLAEQEVLYGSKRSPSKSGKKASNRKMSLGGALIQNQNFEKATPLVRPTKKGNCLNRSSSHQRYGGVDALSSGRRISEISGHSVKKHPSSAGKALELDSPLIRKPLSPVLSEVSSKVNIANFQEGQKTQQKTNMQAAHLFSEMPIMTPSKPVFVGDEENRTPKTTPIPVPLTPKTMSVQMLTATTPATPFTSGAYKVEKSGQPVEYSFEEVRAGFIHAKSYCHDASLTN >ONI22711 pep chromosome:Prunus_persica_NCBIv2:G2:20263934:20269791:-1 gene:PRUPE_2G146300 transcript:ONI22711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNQRSSNQFVHLETKFEFLLQELQKIWDEVGVPDVERDTMILTIEQECMEVFRTKVDDAKKCKALLQQEIASYEAELVDICSALGEQPPHFDQNARGSLKKKLETIIPQLGDMKMRKVERRDEFLVVLDQLQKISIQICRFTEDSLYKMVVEETDLSLKRLEELRRQLLDYQDEKRNRVNLVMDHLNILNSLCLVLGMDFKHTIHEIHPTLDDSEGEKDVTNNTVDSLANLIQRLRKVKIQRWQTLQNHASALLEMWNLMDTPIEQQTKFQNVTRHIAASESEITEPNMLSTDFLNHVEEEVSRLQQLKSSKLKEIFQKKRLELEEICRQSHMVTETLSAMEYSNEVLESGTVDPACLLEQIELQITRAKEEALSRKEILEKFEKWLGACQEECWLEEYNRDDNRYNAGRGAHLTLKRAEKARALVNKIPGLVEALTSKTTAWEGERGLEFLYDGGRLLSMLTEYSTLRQEKEQEKQRQRDMKRFQGQVLAEQEVLYGSKRSPSKSGKKASNRKMSLGGALIQNQNFEKATPLVRPTKKGNCLNRSSSHQRYGGVDALSSGRRISEISGHSVKKHPSSAGKALELDSPLIRKPLSPVLSEVSSKVNIANFQEGQKTQQKTNMQAAHLFSEMPIMTPSKPVFVGDEENRTPKTTPIPVPLTPKTMSVQMLTATTPATPFTSGAYKVEKSGQPVEYSFEEVRAGFIHAKSYCHDASLTN >ONI25069 pep chromosome:Prunus_persica_NCBIv2:G2:27893607:27895071:1 gene:PRUPE_2G278500 transcript:ONI25069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWHSSTDSDFDGIFNRKLTNMGWLLEIVRFDTEKYMQEDLLKQTSNINKEPNCPAAERESEIAEAAHPVAPSMVSSESKGEGLMKEKAFKNPALIEPKKQRSSDGPKLMHTAIASARKTNSLKRKFQSRDDDDSTNWAPVECKKQRMMMMLKKKNANKGTSSINKITPKKKEELSSLSSSAHHDLPEAFKRKIASLGGTKVALVIQKKLRKTDLSKSGNRMSMPLNQISSTDFLEDDERGRLEKEETMKVQLIDPGLVQGDINLRRWNMKKMNGKNSKIYVLRTQWSDFAKRNHLKEKDLVQVWSFRVNGALYLALALVNESCGGDQKESDDGAYKSSVVDGEISSGGSHGGAEVIEERRDGNNIGESSVTNGSNHTISPSHSESGSKHEQAARMEDRRRKVF >ONI20463 pep chromosome:Prunus_persica_NCBIv2:G2:1597374:1597911:-1 gene:PRUPE_2G017000 transcript:ONI20463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VWVFYVVFSQRAPQKRRIVNSTLCNERSSRSHCMIILDVPTVGARFMLVDMAGSEKFEQAGQIGYEAKMQVMSW >ONI22171 pep chromosome:Prunus_persica_NCBIv2:G2:17015308:17018250:-1 gene:PRUPE_2G112000 transcript:ONI22171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVFGLLCMSVFLLTHNSVLASFDYGDALTKSLLYYEAQRSGKLPPNQRVQWRGDSGLKDGSDAGVDLVGGYYDAGDNVKFGFPMAFTITMLSWSTIEFGSKLEAKSELSNALDAIKWGTDYLIKAHAAPNVLYGEIGDGDSDHECWQRPEDMTTPRTTFKIDEQHPGADLAGETAAALAAASIAFKDKDSKYASELLTHAKELFEFARDHSGVYQNSINVAGKFYSSSGYEDELLWAAAWLHRATDEKTYLDYLGQAGNTGGARTVFSWDDKFIGAQVLVAKKGNSNVKKTPGGLLWFLPWNNLQYTSTAVFVANVYSEYLNAKHAAIQCPGGIVQPKDLADLAQSQVDYILGSNPGGTSFMVGFGTKYPTQVHHRAASIVSIKKDAAPVSCKGGFDSWFNNNSPNPNVLDGAIVGGPDENDAYTDSRSNYQMAEPATVSTAPLVGVLAKLA >ONI23069 pep chromosome:Prunus_persica_NCBIv2:G2:21625299:21628357:-1 gene:PRUPE_2G168200 transcript:ONI23069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKCQKVGLDSPYRLLYCSSKGDKAGVMHELEKGVKPNLADYDKRTALHLASCEGCTEIVDLLLHKGADVNSTDRWGRTPLSDARSFSHEAICKILEARGGIDPVGLDSQLPCYEIEHTEVNMDEATLIGEGSYGEIYLVKWRGTEVAAKTIRSSIASNPTVKTIFLKELALWQKLRHPNIVQFLGVLRHTDRLIFLTEYLCNGSLHDILKRKGRLDLQTVVSYALDIARGMNYLHQHKPRPIIHRDLTPRNVLQDEAGRLKVTDFGLSKIAQQNDVSGYKMTGRTGSYRYMAPEVYRRESYGTSIDIFSFALIVHEMFLGGPPNLAEDPEKIADKRAYEDSRPPLFSYLYPEPIRTLLKECWHQNPDCRPTFEEIILQLETIQENFQNKKRMGTCISACAIS >ONI25647 pep chromosome:Prunus_persica_NCBIv2:G2:29514812:29516901:-1 gene:PRUPE_2G312900 transcript:ONI25647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASDKADGQTQWEFSCDLEVDYESEESASIVYAALAVDKELQPDKVKRQMSVSNGKLSVHFEAVEARFLRASYSAFVDVLTLATKTIEEFGKGMEL >ONI25648 pep chromosome:Prunus_persica_NCBIv2:G2:29515190:29516009:-1 gene:PRUPE_2G312900 transcript:ONI25648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLTLFKKIGDLEVDYESEESASIVYAALAVDKELQPDKVKRQMSVSNGKLSVHFEAVEARFLRASYSAFVDVLTLATKTIEEFGKGMEL >ONI21658 pep chromosome:Prunus_persica_NCBIv2:G2:12119767:12121405:-1 gene:PRUPE_2G079100 transcript:ONI21658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEIEDVEGIDGGKETTRVIIAREKREGCEGELKYFVKKITVVEQLDQWVGEALVEEQLDRGLANMDVMSSFVHVVCHHLIISSSDHKPLLLELLIDPPGVASHHKKKGHRVQFEEIWTLRKDNVLVAFETMHSVKKLKDDQQVTMTTKLDMAKAYDRVEWCFLEAMILRLGFASNFVVRIMDCLQYVSFSIIIDVMANVLGIPVVACHERYLGLPTMVSRGHWELSNSVHDRIWSRLNGWKEKSLWTGGNEVLLKAVVQAISSYSMSAFHVPKGFCKELSSLCANFWWVKGAIKEGYIGLNEVLFVVIKIWWLGFSRFRLF >ONI22169 pep chromosome:Prunus_persica_NCBIv2:G2:17003896:17010678:-1 gene:PRUPE_2G111800 transcript:ONI22169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFLTFGAEGILTRAASLAEQELSLLWGFKGELTSLRDSLSKLEAMLRDAQHLQVRGERVEMWVKDLEGIAHEADDVLDEYEYELLRRKVEIQNQIKKKVLNFFSRHNPIAFRLKMAHKIKNINASLANLKNEAASIGLVDRSTLVNATSHDIGGLDRETVSNFDQDEKDIVGRTEVASDIVTTLINSGKNQENYLSVMAIVGMGGLGKTTLAKSVYNDPEIGRHFDQKIWVCVSTPFEVKKILSEILECLKPEKAGIKGKATICENLQEDLKGKTYLLVLDDVWNDDRNKWDDLMSCLLNATSTKASKILVTTRNVSVSSIVQTLPTCVLGKLSEDQCWCILKYKAFLDTSVVLTEDQERIGREIAKKCAGVPLVAKVLGNMMRSQDIDGWRSILESRIWDLPEGEERILSVLKLSFDKLKSPYLKQCFAYCSMFVKDFQIQKDDLINLWMAQGLLYPSPPNRRNLEMEDIGNEYFNILLNNSFFQDVEKDWYGNITSCKMHDLVHDLAEHVSKRKSNNSNETRHMTHIPTSVLQGVPERSAHKLRSLFLNVEVLGDILPNFRGLRVLNLYQADIKELPIAIGKLKHLRYLDVSYTNIKALPKSVGKLYNLQTLRMEGVELEEFPKELQNLINLRHIYFYPYDMKFPAGMGRLTNLRTLQYFIVGKETGRGIEELAGLNLLKGQLYISNLEHMRDGEEAKKAKLVKKTNISKLKFQWAEDRSSITNDEEVLEGLQPHPSKLEFLQLFNFMGDKCPSWIMSSSFPVLKRLKIYNARNLTEWLESGIVVFPCLEELVLRNCDKLRSAPSHFPSLKTLKIHSMGSGMPIANISNKLTTLTSLTIRKISGLVSLPEGMLKNNKNLTYLEIEDCQELTRIASPDVVGSCALLDSVRISNCPILAYLPDGLLTTSLKKLVVQDCNGLQLLPVTQALPSLCKLEITGCQELSSLPSGLDYYTSLQELAISNCDMLTSALIHSLPSLRKLSIFGCSMSPESVPSLLGFTCLRELRIEDSHGLTSLPIRLESCSCLEVLRISKLPNVESITSLDNLTNLQELGIFSCDGLKSLPSGLAITSCLNHLKTLEIGGFWKELDSFPAFQVTSQLKTLKLWGWPKLKSLPEQIQHFTSLTFFKVESFDGMEALPEWLRNLTSLKYLDIYYCKNMMYLPTLEAMQCLTKLERIWILHCPLLKERCNKKSGSEWPKISRIPRIYVDHVRL >ONI22867 pep chromosome:Prunus_persica_NCBIv2:G2:20909798:20913310:1 gene:PRUPE_2G155700 transcript:ONI22867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQQQTKDSGSKKLGMVAPQDKSSKEMKSSKKMKFASSSAETEPTSQTTISDDSKTGRGMSTMPRVVKRKLHKLRPIVEYNKRGKGVGQAHIEMQSYIGVLARSRIPLVDKKWSQIPKDIKEQIWEAVDMAFVVGQGGKTSVLASASKKWKDFKSTLTRHYILPYTNDREKLSQPPETYKFIEKAQWDAFYNHRLSRKGYAGLEDQLEETMPGVEIDRSTLWKRARQDKHGNIPDPKDELQKQVSEGKVRVDGSNDVLTMALGPEHPGRVRGVGAGISPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSNMEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIMNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI22741 pep chromosome:Prunus_persica_NCBIv2:G2:20388675:20391721:-1 gene:PRUPE_2G147900 transcript:ONI22741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLWRVQAVIDIESEEENPMIVNRTENFEEDLLGKVVSTEEEAYNLYNSYATRTGFSVRRGQKRYNTKKVLRQFSYFCSKEGFRLDSDPSEVSMANKLETRTGCEARIRFAFQNDNGMWKVSHFVYEHNHELAMPEERQFLRSNRKVSEAHLGVIKTMVDAGIRTTNTYSYLSEEVGGSQNVGFTKRDCYNVVNKEKMVMIEAGDAQSLINLFKRKQAEDPMFFYTVQVDQENRMTNFFWRDGRSRIDYDCFGDVKIDSFIWLFETFLESMGGRKPKTIFTDQCQAMANGIEKVFPGVCHHLCSWHISQNAARNLGSYYGNPEFKHMFNKCLQGYCETELEFQSTWDDLLAKFNLTGNLWLKTLYGLRAKWCPVFSQHIFTAKIKSSQRSESTNNVFHQMSTKTMSLTQFVHHYDKQAEKMRSSELEESFRCNQGLSSRIAKSSGLMNHAATIYTRKIFKLFEKEFVDSLGVMMHEVGSDGTIHSFELNEEGHKRVYIVQLNSLNCSISCSCKMFESMGLLCRHTLRVLNVKCWSQIPKQYILKRWTKDANKGLEASEHGELLQTKGKSSVTLRRNTLMRTAYDVLTKASETENTTRIALQKLREIAGLIEKEMIKSKGEVNAKIHDSLDDCNATTFDETPVRNPSCVRPKGISNARLKSVMEKRRRKTSKDIVSSRKTKQPSSIGPSYNSSHAPLSSNFLHPDGVPMSTNINNHVYPAISLLTTNGHAYLPPSNQVQELFMLGNLDSF >ONI21881 pep chromosome:Prunus_persica_NCBIv2:G2:15122931:15129196:-1 gene:PRUPE_2G096100 transcript:ONI21881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLQNLPGFSVLPLRSFRCNPRKPSFCITTLAISGSTSSAETSGAKAEEEKSEMYSTNMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPKDVDKLRSIGVKTIFCLQQDSDLEYFSVDIGAIREYAKTFDDIEHLRAEIRDFDAYDLRLRLPAVVSKLYKAINRNGGVTYVHCTAGLGRAPAAALAYMFWVQGYKLTDAVNVLLSKRSCCPKLDAIKNATADILTGMTKRLVTLTWGNHNCTTVEISGLDIGWGQSIPLEFNKEQGSWILKRELPEGRYEYKYIIDGAWTHNKYELFTSPNKDGHVNNYVQVVEDDPDSTSAAIRKRLTGEDPRLTTEERRKIRQFLETCPGDE >ONI21882 pep chromosome:Prunus_persica_NCBIv2:G2:15122766:15129335:-1 gene:PRUPE_2G096100 transcript:ONI21882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLQNLPGFSVLPLRSFRCNPRKPSFCITTLGMMSSTDLPRRMAVKAISGSTSSAETSGAKAEEEKSEMYSTNMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPKDVDKLRSIGVKTIFCLQQDSDLEYFSVDIGAIREYAKTFDDIEHLRAEIRDFDAYDLRLRLPAVVSKLYKAINRNGGVTYVHCTAGLGRAPAAALAYMFWVQGYKLTDAVNVLLSKRSCCPKLDAIKNATADILTGMTKRLVTLTWGNHNCTTVEISGLDIGWGQSIPLEFNKEQGSWILKRELPEGRYEYKYIIDGAWTHNKYELFTSPNKDGHVNNYVQVVEDDPDSTSAAIRKRLTGEDPRLTTEERRKIRQFLETCPGDE >ONI22143 pep chromosome:Prunus_persica_NCBIv2:G2:16809592:16809945:1 gene:PRUPE_2G110000 transcript:ONI22143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELMAMMIQVLVLYDLLGIYTTSVNLGFNKSKPIYSHKVTCYRKTTNYQLLQPYKKIVLHKSFKQKNSEIHPQNQELLLSSILFKQQRIQLATTKTTGHHIESHKQTAIEYNGNRP >ONI22672 pep chromosome:Prunus_persica_NCBIv2:G2:20091749:20094267:1 gene:PRUPE_2G143800 transcript:ONI22672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLKHLDNSPPTPGKFKMDKSPYIHRLRWHGSLAKLTFWSFIFLGLILIFFFRSPSSNSLPSDPSRRSLRTYNWGGPAWEKRVRSSARVRSRHGMSVLVTGAAGFVGTHVSAALKRRGDGVLGLDCFNDYYDPSLKRARQALLERSGVFIVEGDINDSALLSKLFEVVAFTHVMHLAAQAGVRYAMENPGSYVHSNIAGLVNLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKTIPIFEAANHGTVARDFTYIDDIVKGCLASLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVSDLVTILERLLKVKAKRNIMKLPRNGDVPFTHANISSAQRELGYKPTTDLQTGLKKFVRWYVSYYSGGKKASG >ONI24222 pep chromosome:Prunus_persica_NCBIv2:G2:25489512:25494335:1 gene:PRUPE_2G230300 transcript:ONI24222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWFRAGSRVAKLAIRRTLSNSGSYAGRRRLLPSQNRHFHTTLCKSKAPVPCPVSLSRITDSFLDGTSSVYLEGLQRAWEADPNSVDESWDNFFRNFVGHHASTSPGVSGQTIQESMRLLLLVKAYQVNGHMKAKLDPLGLEERAIPDDLDPGLYGFSEADLDREFFLGVWRMAGFLAENRPVQTLRSILTRLEQAYCGTIGYEYMHIADRNRCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPMRQIFSEFSGGTKPVEEVGGLYTGTGDVKYHLGTSYDRPTRGGNRIHLSLLANPSHLEAVDPVVVGKTRAKQYCSSDADRTKNMAVLIHGDGSFAGQGVVFETLHLSALPNYTTGGTIHIVVNNQVAFTTDPMSGRSSQYCTDVAKALSAPIFHVNADDMEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNELDEPSFTQPKMYKVIRNHPSALTIYQNKLLESGQVTKEDIERIQNKVNSILNEEFLASKDHVPQKRDWLSSHWSGFKSPEQISRILNTGVKPEILKSVGRAVTALPETFKPHRAVKKNYEQRAQMIETGEGIDWAVAEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEKYCPLDHIMENQDEEMFTVSNSSLSEFGVLGFELGYSMESPNALVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVLLLPHGYLGQGPEHSSARLERFLQMSDDNPVAIPEMDPTHRKQIQECNWQVVNVTTPANYFHVLRRQLHREFRKPLIVMAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKLYYELDEERRNVEAKDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYIAPRLCAAMKSLSRGTIDDIKYVGRAPSASTATGFPQVHEKEQSEILHNAVQPEPIEYHII >ONI22372 pep chromosome:Prunus_persica_NCBIv2:G2:18085645:18086877:1 gene:PRUPE_2G124800 transcript:ONI22372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASQMAKKTDQSEKKRNDPVKFSKHWCIYRIPSKLRNVKDEAYTPQLISIGPFHHGNPKLADMESHKKKYYENFCQRTSRKKEELETFIREKEDDILRCYAGSIEPDIDFVKVILIDACFILELFLRNCQTEKHENYYILRSPLLRKAVEQDLILFENQLPYPLLQGLYDFANPTYSSSNPRKNVQEKEEADDLQHCLPCFQPCFPFSDATPSRLRKPKSIYDVRKLKEAGVKFRPNEGSERFVIKRGEDHKCNFKMACFRNMNLKLTKFWARYEVECVIRNVMALEQFLYPKKAYVCSYFLMLDQLVDTVEDVNALIESEVIVNLLGSSNAVAKLINSLCEQTMDDRSCYEDICKQLNEHYKISFCNRNISILKRVYFKDLWTGSSTIVGLFVLFFSIIGTIKSLM >ONI21976 pep chromosome:Prunus_persica_NCBIv2:G2:15508158:15514713:1 gene:PRUPE_2G099300 transcript:ONI21976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKEKEEARRLEAMRNQILANATESLPLPTTDHEKPANRPLIQKKKSKPAAIAPNGVAPAKAVENIEEEEEENQQHTVPELDSVECDNVEEVESTYIEEKSDIAESVKKNGMEGEAEEEIDDVDEWDAKSWDDSAVNLSLKHGFSDEEIDSKPEPVVKKHIKSAGAKPTVSAQKNVPTQSIKSQNVENKKKSRKEDVVVEKETATSDAAHKESEDNLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADVKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAVLVVDIMHGLEPQTIESLNLLKMRNTKFIVALNKMRFIMVDRLYGWKTCCNAPIEKAMKQQSKDVKIQFETQLVQIITQFKEQGLNTELNNMDKEMRETYRIVPTSAISGEGIPDLLLCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVVCGIQGPIVTSIRALLTPHPMNELRVKGTYQNHRAIKGAQGIKITAPCLEHAIAGAALYVVGPHDDLEEVNETAMGDMKSVLSRIDKSGEGVCVQASTLGSLEALLEFLKTPEVNIPVSGISKGTVHKKDVMKASVMLEKKKEFATILAFDVKVTPEAWKLADDLGVKMFMADIIYHLFDQFKGYINNLKEEKKKESADEAVFPSMIKILPNSVFNKKDPILLGNWGLDNSQGASQEFSERLSLYFTHFVQVGTPICFPQRDFITIGRIASIKINHRPVDIAKKGIKVSIKIVGTNPDEQQKMYGRDNIIN >ONI22997 pep chromosome:Prunus_persica_NCBIv2:G2:21351576:21353878:-1 gene:PRUPE_2G163000 transcript:ONI22997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLELSLNQGSVLLRQAVKPFTQMGFDWGEIYAAPDEVILTPFDPTSLIPTFTLALWMKSSMFETCINHQNVWLAFDVHSLYHNNLCQVRDDDDFVGLYVDDENDNFGGFELSNYRTRRFIEGEIPLISVHLRDLAVPTPQFQHEYHVIVGIRSNEFRRLITYLGHFGVLVAARVTDTKVKFSVGDVEVVYSKELRQCIIGGDVGEEDPVFLLFNLEHARAIETAAELSQMVWLLGQSNGLYVVLLCPVTQLVNLMFCFGPPQA >ONI21189 pep chromosome:Prunus_persica_NCBIv2:G2:6026253:6030923:1 gene:PRUPE_2G051800 transcript:ONI21189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDFRKSNCNRKLIGARYYNVPWTRDGNQSSLARTKGSPRDSVGHGTHTASTAAGVQVLNASYYGLAQGTARGGLPSARIACYKACSDVGCSGATILKAIDDAIRDGVDIISISIGMSSLFQSDYLNDPIAIGAFHAEQMGVMVICSGGNDGPDPYTIVNTAPWIFTVAASNIDRDFQSNIVLGNGKNFTGSAINFSNLTRSRTYPLVFGKDVAGYYTPVSEARNCYPGSLDPKKVVGKIVVCVDDDPAVSRKIKKLVVEDAKAKGLILIDEAEKSVPFDSGIFPYTEVGNIAGFQILQYINSTKNPTATILPTVDVPRYRPAPAVAYFSSRGPAELTENILKPDIMAPGVAILAAIAPKNETGTVPNGKKPSTFSIKSGTSMACPHVTGAAAFIKSVHRRWTSSMIKSALMTTATVFNNMKKPLTNSSNTFANPHEVGVGEINPLKALSPGLVFETTTENYLEFLCYYGYPEKNIRSMSNTKFICPKSSIDELISNVNYPSISISKLNRHQPAKTIQRTATNVAALNSTYIAKVHAPAGLIVKVLPEKLVFAEGVRRVSFQVSFYGKEAPRGYNFGSITWFDGRHSVRTVFSVNVE >ONI21188 pep chromosome:Prunus_persica_NCBIv2:G2:6022119:6030923:1 gene:PRUPE_2G051800 transcript:ONI21188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLQLLLPFLSLPFLLLCGATTSNQIPKHHVIYMGSSLSNGNGRVLGAEDAAESAYLQMLSSIIPSHEIERLSIIHKYNHAFRGFSAMLTETEASVLSGHDDVVSIFPDSILELHTTRSWDFLEAESGRLPSNKYQRGLSSDVIIGMIDTGIWPESSSFNDEGIGAVPSRWKGVCMEGSDFRKSNCNRKLIGARYYNVPWTRDGNQSSLARTKGSPRDSVGHGTHTASTAAGVQVLNASYYGLAQGTARGGLPSARIACYKACSDVGCSGATILKAIDDAIRDGVDIISISIGMSSLFQSDYLNDPIAIGAFHAEQMGVMVICSGGNDGPDPYTIVNTAPWIFTVAASNIDRDFQSNIVLGNGKNFTGSAINFSNLTRSRTYPLVFGKDVAGYYTPVSEARNCYPGSLDPKKVVGKIVVCVDDDPAVSRKIKKLVVEDAKAKGLILIDEAEKSVPFDSGIFPYTEVGNIAGFQILQYINSTKNPTATILPTVDVPRYRPAPAVAYFSSRGPAELTENILKPDIMAPGVAILAAIAPKNETGTVPNGKKPSTFSIKSGTSMACPHVTGAAAFIKSVHRRWTSSMIKSALMTTATVFNNMKKPLTNSSNTFANPHEVGVGEINPLKALSPGLVFETTTENYLEFLCYYGYPEKNIRSMSNTKFICPKSSIDELISNVNYPSISISKLNRHQPAKTIQRTATNVAALNSTYIAKVHAPAGLIVKVLPEKLVFAEGVRRVSFQVSFYGKEAPRGYNFGSITWFDGRHSVRTVFSVNVE >ONI22549 pep chromosome:Prunus_persica_NCBIv2:G2:19379017:19382301:-1 gene:PRUPE_2G136200 transcript:ONI22549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSPDRKSGSPTKSQVLKRPRPLSLPDQALKYVIMKMQLQSHHHYSSLSPSTASPSPHSEPDLSSGFNSLTLDPPGPDSTSLLSDELLLSIFAKLPISQYLPNSLVCKRWLYLHGRLVQSVKVFDWGFLDSGRVFARFPNLTEFDIVHACIRSPRNSGILVTRKALTVHIDSLFSPNGFIEESDVLKNSVVDDGLRLIASSYPNLRRIAVIGASENGLLRIAEDCQTLQELELHCCGDLALKGIRACRNLQIVKLIACVDGFYKAVISDIGLTILAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELTLNDHRMDGGWLASLPFCGNLKTLTLQSCKDIDSSPGPDEHLGFCPTIEELHLRRCQVRDKHGVRALFLVCEAVRDIVLQDCWGLEDEVFAFASICRRVKLISLQGCSLLTTGGLESVLLCWKELQRLRVVSCNNITDSETTPALATLFSVLKELTWRPNTRSLLSSSLAGTGVGLKGGRFFKSLKP >ONI22550 pep chromosome:Prunus_persica_NCBIv2:G2:19378835:19382301:-1 gene:PRUPE_2G136200 transcript:ONI22550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSPDRKSGSPTKSQVLKRPRPLSLPDQALKYVIMKMQLQSHHHYSSLSPSTASPSPHSEPDLSSGFNSLTLDPPGPDSTSLLSDELLLSIFAKLPISQYLPNSLVCKRWLYLHGRLVQSVKVFDWGFLDSGRVFARFPNLTEFDIVHACIRSPRNSGILVTRKALTVHIDSLFSPNGFIEESDVLKNSVVDDGLRLIASSYPNLRRIAVIGASENGLLRIAEDCQTLQELELHCCGDLALKGIRACRNLQIVKLIACVDGFYKAVISDIGLTILAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELTLNDHRMDGGWLASLPFCGNLKTLTLQSCKDIDSSPGPDEHLGFCPTIEELHLRRCQVRDKHGVRALFLVCEAVRDIVLQDCWGLEDEVFAFASI >ONI20441 pep chromosome:Prunus_persica_NCBIv2:G2:1459707:1466296:-1 gene:PRUPE_2G015800 transcript:ONI20441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFSMSTSPSIHASCSCSDKGKQPAEPCGSLHKPASEGDGEGAKDIMEKDEIIVGASISKGSSTALHVVAGVRHADFVKKLVTLVDDINDKPATSGEDLRNEFFTCIKTGVDGATRHKPSRNESLARKGRPPLRLPITAEDRRLRGPLYEAALEGDWERAEEIIKKNPTIVGASIAKGSYTALHVAAGARRVDFVEKLLTFINDEIKLPSNYLINDLKLQVENEGANDAALYLRHVLRLQDETGNTALCLAAAAGSEKIAEIMIGKDQDLPNQPGSGGMRPLYFAALFGHQEMATCLFKLYKPPPGLSGEESEQELLGVFFSCIKTGLYGLASEIFRKKDNFASKRDNDRKTALHLLAKKPSAFNAKNPADELVNNLWNKVGEEKNPETFIALYTDPSHLLFDAVESGNSKFVTLLLEACPALIWDTNDKNWSIIHAAVKHRDESVFSKIYEIGLIKDIIAASKDNKNGNTLLHLAAELAPESRLNELPGAAFQIQRELVWFEEVKKVMQPSYKEMKNKYDKTADELFTSEHSDLLKEGRKWMNGTARSCTIVSTLIAGALFSAGITVSLGGAHDFKKASFQIFIISDAIAFLLALGAILMFLAILTSTYAERDFRQDLPNKLIGGVILLLFSIIAMMVALSAAFFIAYGKYYLPILVTLCAALPVILYVVQIVPLFSLFRQKKFGDVKRERKKGSPFTLVWQKKFGEAKGKERKEVEQKLKKAEATSPLEP >ONI24130 pep chromosome:Prunus_persica_NCBIv2:G2:25223989:25225403:-1 gene:PRUPE_2G225200 transcript:ONI24130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPNLTGLQIEATSFPPSVKPPGSANTLFLGGAGVRGLEIQGNFVKFTAIGVYLEDKAVPLLAVKWKGKTAQELTESVEFLREIVTGPFEKFTQVTTILPLTGQQYSEKVSENCVAIWKSIGIYTDAEAKAIEKFLEVFKDQNFPPGASILFTQSPNGSLTISFSKDASVPEAGNVVIENKLLSEAVLESIIGKHGVSPGARQSVAARLSELLK >ONI20836 pep chromosome:Prunus_persica_NCBIv2:G2:3927007:3931722:1 gene:PRUPE_2G036300 transcript:ONI20836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGASSPPWVLRTSLLTAPSSSSSSSSKFSKYQSCPSPRLLCRAQANVGSDFKFVLHDALDSSGTPTSLAREAREGFCSQIRHLSGIERQTSISINRCVDLGKTALYIAAEDDSLVSHSSVPLPVDAFISRFGDLSMDYCSHYNSSFRSSPERLLESLENYLYVNKAFRRTNARHHSEPRALYLHSVLTHRSGSPAMLSLIYSEILKMLRLWGFLDFDVEIFFPHDRHSLPRGYHKQKSKESDNAHILTSQGLLVEILRNLKDAFWPFQHDQPGNLFLRAARAANFIDRSNINEDSGFQLASAKAAQHRLDRGVWTSVHFGDMRRALSACERLILLEADPKELRDYSILLYHCGFYEQSLEYLKLYQDTKSRPLRRQPSDSLAILEEDAVEKLMIRLNLILMEDGWSRPSYVRNFLGNNSEPW >ONI20837 pep chromosome:Prunus_persica_NCBIv2:G2:3927007:3931723:1 gene:PRUPE_2G036300 transcript:ONI20837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGASSPPWVLRTSLLTAPSSSSSSSSKFSKYQSCPSPRLLCRAQANVGSDFKFVLHDALDSSGTPTSLAREAREGFCSQIRHLSGIERQTSISINRCVDLGKTALYIAAEDDSLVSHSSVPLPVDAFISRFGDLSMDYCSHYNSSFRSSPERLLESLENYLYVNKAFRRTNARHHSEPRALYLHSVLTHRSGSPAMLSLIYSEILKMLRLWGFLDFDVEIFFPHDRHSLPRGYHKQKSKESDNAHILTSQGLLVEILRNLKDAFWPFQHDQPGNLFLRAARAANFIDRSNINEDSM >ONI21416 pep chromosome:Prunus_persica_NCBIv2:G2:8678318:8678524:-1 gene:PRUPE_2G064500 transcript:ONI21416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSVVSVLISLISDTWANGPRDIKLISLRGGSSTVACYWVSRVSPKCCTIAWAWHTLTKLVEIFPDLFC >ONI20728 pep chromosome:Prunus_persica_NCBIv2:G2:3398219:3402772:1 gene:PRUPE_2G031400 transcript:ONI20728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFLGKLLITAAVLLPSAVVLCSFPATLTLERAFPTNHRVELSQLRARDRVRHGRMLQQSSSGGGGVINFPVGGTFDPYIVGLYFTSVKLGTPATEFYVQIDTGSDVLWVGCNSCNGCPKSTGLKIQLKSFDPKSSSTASLVSCSDKICSLGLQTQDSSCDAKDKQCTYGFKYGDGSGTSGYYVSDLLHFDTAGGGQSVTSNSSANIVFGCSTSATGVLQKTDRAVDGIFGFGQQEFSVISQLSSQGVAPNVFSHCFKGDDTGGGILVLGEIVDPNIVYSPLVQNQPHYNLNLESISVNGQTLAIDPSVFSTSSNQGTIVDSGTTLAYLAEDAYDPFVNAITSAVSQSVTPVTSQGEQCYIVTSSITDIFPQVSLNFAGNASMILRPEDYLLQQNSVSGDEVWCIGIQKSDGQDITVLGDLILKDKIIVYDLANQRIGWTQYDCSTSVSVSTNTSKGTASVNAGSINVSSSACSEPFKLVPISMVAFLVHISVIYIFPFL >ONI23001 pep chromosome:Prunus_persica_NCBIv2:G2:21362439:21364802:-1 gene:PRUPE_2G163400 transcript:ONI23001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLSIDQGAVRLLRRAVAPFIQMPCFYGKMYISPDDLTFIAASTPNPTVHNPSVVTTLRLRPSFFSNFNCDESLCLVVDLNHLYHILCLARDDDYLGIYGNGTHDRISIVLLGAATRDFRDADMDVVSIHHGQMNVPQFQYEYQVIVGIPSMLFRTSIVELHQFGVTVFAEVTDTQVKFSVGNEQVVFSKELEQCVIGGAVSEDPVSLVFSLWHVQAMVHASAVSDRVWLLGQSNGLHVMLNCPVGESGNRMFYFG >ONI20906 pep chromosome:Prunus_persica_NCBIv2:G2:4353210:4355326:-1 gene:PRUPE_2G040000 transcript:ONI20906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSHLLSIISQKMGGKRTQTDSGSRSSSSSILQDDQEGLSFSSSKAELLFNNAPIVSSYNDKIRPMLDAIDKLRVLMVMEEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQHHSDPKPELSLEYNGRVEQTDEENISEDIVNATNLIAGDKKGISDTPLTLLVKKNGVPDLTMVDLPGITRVPVHGQPENINDQIKDMIMKYIKPDESIILNVLSASTDFSTCESISMSRSVDKTGERTLAVVTKVDMAPEGLLEKVTADDVDIGLGYVCVRNRIRDETYEEARAIADRLFKTHPLLSKIDKSMVGIQVLADKLVQIQAVSIARNLPVIVKKINDKLNSYLSELNKLPKTLSTVAEAMTVFMQIIGASKESLKKIVLMGEFDAFPDDEMHCTARLVEMRCSISAHINFTSAMRVTPKEIAILEEAKGINLPNFVQRNAFLIILRGKVKGISSIPLGFVEQVWNYIENVVLSVLMRTTEEYYQLQLSARRACENLIQRMKERSIHWMTEILEMEKLTDYTCNPEYVSEWHRLMTQQETFITKVFRNSHDLGLGPHTEIKINVEGIGMVEVGGLMKYPHVLLSQAFDLKMLMTAYWNVVLKRLIDSVALHLQLSISNLVNNDLETEIVSELMGPNHGDGIERMMEEPPSIAVKREKLIKSIKKLKESKEVVCKIMDGIVTFAN >ONI22617 pep chromosome:Prunus_persica_NCBIv2:G2:19679708:19682219:-1 gene:PRUPE_2G140000 transcript:ONI22617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVPSNLLPHWLDVLLSEKFYNACIIHEEAKKNEKNIYCLDCCMSLCPHCLNPHRPHRLLQIRRYVYHDVVRLDDAAKLIDCSSVQSYISNSAKVVFLNQRPQTRNCRGSGNICSTCDRSLQDPYLFCSLYCKIDYVLRTEGGLSKYLLECKFMSLPESGLDDGQMTPDSVLEPAGSTRTSSGSCGFGEVGCLALACTATTEIVRKKRSSMSTYRTACRPVFSPVSEISAGLMNRRKGTPHRAPLY >ONI21037 pep chromosome:Prunus_persica_NCBIv2:G2:5460999:5461966:1 gene:PRUPE_2G047000 transcript:ONI21037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHLIYSFARVGGMKTRKPVSRFSSSQGPDFRQESEAKRKLAFSSHLLERLSLSSGCGVLHDERASTTCNME >ONI21866 pep chromosome:Prunus_persica_NCBIv2:G2:14936444:14937500:1 gene:PRUPE_2G094800 transcript:ONI21866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSHHHQHYHHHSTLFLTMKLRSSRRRFQPSHCQTSRVFQPTSLSYTNCHLGQPQINPNPLCHCQTPPF >ONI25711 pep chromosome:Prunus_persica_NCBIv2:G2:29659911:29663167:-1 gene:PRUPE_2G315900 transcript:ONI25711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSDRETKFLQELILYAASAALSCLVLFAGLRHLDPNREASKKAMEHKKEIAKRLGRPLIHTNSYEDVIACDVINPDHIEVEFESIGGLESIKQSLFELVILPLRRPDLFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALTNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGYPDRRERAEILKVILKGERVEDNIDYDHIAGLTEGYTGSDLLELCKKAAYFPIRDLLDEEKSGKRSSAPRPLAQSDLEHVLATSRHTKVAANDYTGLNSSQLSPGWSRNRDTGDYQPVPDAINELSRLVVSHILNIQTDAQDP >ONI20898 pep chromosome:Prunus_persica_NCBIv2:G2:4211827:4215963:1 gene:PRUPE_2G039200 transcript:ONI20898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFQTQTAPPIHSYGFSSKTHSHSPMVLSFHFPPPSRLVTHFSVKTHQQNSLFSIQNTPLSLSTVTRKLLCRPPQGKHVREDYLVKKVSAQEVQELVKGERNVPLVVDFFATWCGPCILMAQELEMLAVEYESNVKIIKVDTDDEYEFARDMQVRGLPTLLFVSPDPSKDAIRTEGLIPLQMMRDIIDNEM >ONI22342 pep chromosome:Prunus_persica_NCBIv2:G2:17938795:17948484:1 gene:PRUPE_2G122900 transcript:ONI22342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEHDRKRRHFSSLSPTAATAKKQPFLPISEDKKLDIAVLQYQNQKLLQKLETQKVEYSGLENKFSQMKDKQKPYDTTLSVVNKSWEEVVNDLESCSIHSRESSCQHDVKDKSIMDDGAPSALQDAFLNRLAQAGATESSCTYNISNQMEEGRGTTFEKTKNIIGNVIAAIDNQWHVKDALHDALLKELPDEGTSRQKTSSDFKNEVKNLRLAFSDMFVKHKLLARELQSHRDMDAKNKAELRRLKGELEAAVSELADSNCQLATLKAESDAAKGAVFPVLNFANKHVDRVRDKQKDLQDMESTLKELMDQASSRLMDIKGLHEERIKILQQLSSLQNMLKNVKCISSSQAYQLVRDQIEKSKSEVFECQALFEKLQVEKDNLLWRERELNVKNDIADVFRRSSAVVDSRISDLGIEIQKQIEERKMIEAKLEEASREPGRKEIIEEFKALVSSFPEEMGTMQGQLRKYKEAASDFHSLQADVQSLSSILDRKVKECETLSARSADQVAEIQNLNAVVQDLKESESELKLILEMYRHELTDPRDVLEARDLECKAWAHVESLKSSLDEHTLELRVKTANEAEAISQQRLAAAEAEIADLRQKFEDSKRDILRLSDALKSKNEENEAYLSEIETIGQAYDDMQTQNQHLLQQITERDDYNIKLVLEGVRAKQLQKAVLMDKRKMEREIQQGNASLNFYNMKAVRIEDQLKICRDQIQKLAEDKFQRAITLENTQKRLSDVRKSSQQAREALEESQSKVDRSRMGLSELQIELERERFEKKRIEEELEILKRKASRLRAQTEGSSIVEKLQQELGEYREILKCDVCLDRTKQVVITKCYHLFCNPCVQKVIESRQRKCPRCSMSFGPNDVKSVYI >ONI23345 pep chromosome:Prunus_persica_NCBIv2:G2:22545579:22546717:1 gene:PRUPE_2G183300 transcript:ONI23345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFEGVKNDLQFSHQDSMGFHGWLNNETRENSRSCKFCSEPAGYCDFAEAPFEERKRLVDIRNSVKEVENMFMVLQRMGSWQQMDRHAAFTNLEESRVSLSAKVAEHKGRALDVVTELKACFGNENNVPFNWDFKETLKEKAEPAAHSRRFLTDCIRKLFISRKWQRVGFAVKLVMVSASIFSLMAAYHIRQLLYNSARKRIPFVASKDAGKIASLLTISKSPLDVFCGRG >ONI22766 pep chromosome:Prunus_persica_NCBIv2:G2:20511083:20512042:-1 gene:PRUPE_2G149700 transcript:ONI22766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFDPYAHLNISHDPATDTLTRPATTPTTPTNTDPTPGDPVVFKDVTLNPETRTWARIFRPTKLPSNDNTVARLPIIIYFHHGGWILLSAADAAAHTNCSQITSEVPVIIVSVNYRLAPESRLPAQHHDALDAIHWVRAQAQDPKGENWIRDYADVSRCYLYGCGCGGNIVFFSGLKAYQLQLEPLKISGIIMNQPMFGGLQRTNSELRFATDQLLPLPVLDLMWDMALPKSTDRNHPYCNPMADEVHRDLIKRLGRCLVIGFGGDPMIDRQQEFVTMLVACGVRVDARFDDLGFHNVDFVDSRRAAAVLNIVKEFII >ONI23422 pep chromosome:Prunus_persica_NCBIv2:G2:22874062:22876782:1 gene:PRUPE_2G188700 transcript:ONI23422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCNSKKERAKVGQNAKPASGLEHPALLASKTPFSVSEVESLYELFKKLSSSVVKDGLIHKEELQLELLQNSSKNLFLDRVFDLFDVNNNGHIEFEEFVQTLSVFHPKTPDAVKITYAFKLYDLKHTGYIEREELKEMVLALLNESDLILSDDIVEMIVDKTFMEADRKADGRIDEEEWKEYVADNPSLLKNMTLPYLMDISLAFPSFVLNSKA >ONI25077 pep chromosome:Prunus_persica_NCBIv2:G2:27905933:27908470:1 gene:PRUPE_2G278800 transcript:ONI25077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGATAASSRFDYADALSKSILFFEGQRSGRLPPSQRMTWRKSSALRDGFDVHRDLVGGYYDAGDNVKFNFPMAFTTTMLSWSVIEFGQLMGPELQHALEAIRWGTDYLLKATRVPDSVVGAVGDPNADHGCWQRPEDMDTPRTSYVVNKQKPGSEVSAEIAAALAASSMVFRHSDRAYAAVLLRRAMQVFEFADKYRGSYNDSIAAGVCPFYCDFNGYMDELIWGASWLYKATKAPNYWNYVKNNINYLESNNIVVRNVNGNPVPSSQGGSISEFGWDAKHAGINILVSQWVMKDPYNSNPFIPKADQFICSILPESPAPKSVSYSRGGLLFKPGGSNLQHATSISFLLLVYGRYMKLANKVVDCGNNVHVTPARLVSFTRGQVDYILGSNPLGMSYMVGFGQKFPQNVHHRGSVLPSVAKHPQHIDCHVGYSYFNGHDPNQNVLTGAIVGGPAEDDTFKDSRFDAPQSEPTTYINAPFVGVLAFFKALHH >ONI20470 pep chromosome:Prunus_persica_NCBIv2:G2:1620129:1620795:1 gene:PRUPE_2G017400 transcript:ONI20470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTQGPKKKLCMQHRKQSYRSEIQNDKFEICNYGFSSSSMILPALKTISSNGKSRKNKTSPKYVHFPASIHKQKAKKLFDISKGFHISQNKENNRKQFNIDIDSQISPKISM >ONI21707 pep chromosome:Prunus_persica_NCBIv2:G2:13114973:13122098:-1 gene:PRUPE_2G082800 transcript:ONI21707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAVSADAAVVEVLNGRNYVDWSVLVKTYLLAQDLWDVVEEDEEEEEEADDKFKAWRKKNATALHTIQISCGQEAFSLIRNTTSAKRAWDTLAEEFKPKPRYYNEYNQYKPLFDAVWSGDWNKAKEFLTLHPNAIRATIPSTNDTALHVATELEHEHIVEELVQLMSEEDLEITDNNGWTALALAADTGNVKMVECMVRKSKKLLSIPTDSDKTPILCASVSEQWDVVHYLYSVTPLQDLIPEKGPYGAALLHDFIIGMKFGIAWELIQRCPQLVFTKGLNGVFPMGGFMPSAFPSGIRLKFWQRWIYNCIHIERAISDIRVSVQNEGNEECNRMKITWSAVGFLQALKSNLLELLGINRIREIKQAHIQSLELLHRMCEMIKHSHSCDYVLGAMFTAIERGMFEFADSVLQARPDIVWRSNKMGRDPFMFAVECRQEKIYSLIYRFDNRKRTSIGNLADMSNNCVLHVAGMLSPLAKFDNIPGAALQMQRELQWFKEVETIVLPRLKEHMNDNRMTPRQLFTKSHKQLVKEGERWMKETATSCTVVGTLIITIMFAAAFTVPGGNNGETGFPIFLHKNLFMAFIVSDSISLFSSTTSVLMFLGILTSRYAEDDFLKSLPKKMIIGLSTLFISIATVMVAFSSALFIMIHEQSWIVIPMIFLASVPVTLFIGMQFPLLVEMYISTYGRGIFDRKVKSRA >ONI20727 pep chromosome:Prunus_persica_NCBIv2:G2:3390496:3391070:-1 gene:PRUPE_2G031300 transcript:ONI20727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLALLFRILNKYFNILINLVISFLSFVFFLDGFSATMLSLGLHVTMFDHTHTHSNFSRSFTPDGSKEN >ONI23238 pep chromosome:Prunus_persica_NCBIv2:G2:22202265:22205502:-1 gene:PRUPE_2G176900 transcript:ONI23238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSATSNRLLLSKIATSEGHGENSPYFDGWKAYDRNPFHPTKNPEGVIQMGLAENQLSFDLIEDWIKKNPKASICTPEGVEEFKNVAIFQDYHGFPEFRKAVAMFMSKARGGRVTFDPNRVVMSGGATGANELVMFCLADPGDAFLVPSPYYPAFYRDLGWRTGVQIVPVDCDSSNNFQITKEALAAAYEKAQKNNINVKGLIITNPSNPLGTTLDRDTLESLVEFINQKNIHLVCDEIYAATVFSSPTFTCISEVIQNMNCNPNLIHIVYSLSKDMGLPGLRVGIVYSYNDDVVNIGRKMSSFGLVSSQTQHMLASMLLDEEFVARFLETSSKRLAKRHGVFTKGLEEVGINCLKSNAGLFCWMDLRRLLKDQTFDGEMVLWRVIVNEVGLNVSPGSSFKCVEPGWFRVCFANMDDETVEVALKRIRTFVRQGKKAQDQAVQVKSPKRWKSNLRLSFSSSSTRRFDQDSVNVLSPHMMSPHSPLVRAKT >ONI22375 pep chromosome:Prunus_persica_NCBIv2:G2:18156986:18160146:1 gene:PRUPE_2G125100 transcript:ONI22375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDENADDKSPKHNPNHDAEHTPPLKCLSLGGRRTKTTSQITEKTENEEECQVRVLDKPDKWCIYRVPSKLRKVNEAAYTPQLLSIGPFHHGKPELKDMETHKKIYYENFLARFKKSDDELKQFIKTRQENILRCYAGTIELNKDFEEIIAVDACFIIELFLMNFNDPKNHENDYILRSPWLRKAVEQDLILFENQLPYSLLQELYQNFAVPPSSNFQPRKEVQEQADRQSSTNHDLPHCSPCCRHCLPCCWWIPSKDHSIEIVQVEPDNDDPLLKLTYEFFKDYSRGKSVKNGVRPKHFTDLVRHFLRPDKEMVFKHSSTPIKNIYAARKLKASGVKFRPLKDGHFIIEKDEATKCKLNLACFRNMDLKLTQFCVKDETECVIRNIMALEQFLYPNKPYICNYFLLMDQLVDIVEDVDLLVENKVILNMLGSNEAVAKLVNRLCEQIMDDKSCYFDICEQLNKHHENFWNLHVATLKRVYFKDLWTGSSTVVGVFVLLFSIIGTIKSLMS >ONI21039 pep chromosome:Prunus_persica_NCBIv2:G2:5466375:5468252:-1 gene:PRUPE_2G047200 transcript:ONI21039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRDEDDYEPDQVLDGDEEEEHEEEQGGQISRQKRRRSDFRDDDEAYGGGVSRKRRNKRPIGSKFFDIEAEVDNDEEEEEEDEGEDDFIVDTGADLPEEEHDGRRMHRHRPLRPQEDEQEEDVEALERRIQARYARSSPSRAEYDEEETTDLVVNQQALLPCVRDPKLWMVKCAIGREREAVVCLMQKYIDKPKLNIKSAVALDHLKSFIYIEADNEAHVREACKGLRNILALHKVNRVPIGEMTDVLSVESKAIDVSRGTWVRMKMGTYKGDLAKVVGVDDVRQRVRVKLIPRIDLQAIANKLEGRQVVKKKAFVPPPRFMNIDEAKQLHIRVERRRDPVTGDYFESIDGMLFKDGFLYKAVSMKSISSQNIRPTLDELEKFRKPGENGARLSTLFSNRKKGPFVKGDTVIVVKGDLKNLKGWVEKVEGEIVHIRPEIKQLPKTLALNEKELCKYFEPGNHVKVVSGTLEGATGMVVKVEQHVLIILSDITKEHIPVFADDVVESSEVTSASCYSDAGASTRLSKSTWAR >ONI20400 pep chromosome:Prunus_persica_NCBIv2:G2:1184982:1185814:1 gene:PRUPE_2G013200 transcript:ONI20400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSGNRNENGKLQDFVLCDVTPLSLGIVNGKEGSMGVFILRNSGTPITVNRISTTTFDNKCSGRVIIYEGESATGELILDNFPPCPQGVARTIFPFILMKMVSLVSPLKKIPPVRGKCLELTVMEEMGDIGGEGFGGHGEILKKATRVWWSSWFC >ONI21594 pep chromosome:Prunus_persica_NCBIv2:G2:11293882:11294772:-1 gene:PRUPE_2G074700 transcript:ONI21594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPLWLAQISPILCSHDLFGYVDGSVPCPPKHLLATNTSILNPAYLNWVQQDQTILNWINNSLSLTLLATVTLSPTSRKTWESLERRYASTSHNWILHLRNELLRTTKRDMSISEFLDKMNLISDNLPLVGSPLTYDDLVSVIMNNVRAAFEVTVSVAQACDAPITYEALGALLLSAERRIGEQSQLIPNLNTGITTFVASRGHGNRGRSPSCNFTCNRNYATTRGPSQFRSNFPNQRSFSTPCESSSSIPGRSIFQICNKPNHQALDCFNKMNTAYEGQIPASRLSAMATQPNIAN >ONI24745 pep chromosome:Prunus_persica_NCBIv2:G2:26992105:26993238:1 gene:PRUPE_2G259800 transcript:ONI24745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEHRLVSSIVKRWLYVVIEIAQFVKHLFIGHCFNYNFVVKLCDCSIKCLLFESERTLGLCFSNLHGR >ONI20395 pep chromosome:Prunus_persica_NCBIv2:G2:1119058:1122205:1 gene:PRUPE_2G012900 transcript:ONI20395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELQFCHSLTHSLSHSLSLSLSLSLSLSLSLSLMEHDQDQEALFHSYPFAYYVQSPSTVSHANSADIRNTIPESEFQSPTRAEPTNPTLHEEASRFTLSHYSSSRGSSHSFLHQKKISYDARSNGTGTENGVNRLIIVDGGGKGDDGGDEDDDEEYYYGVRKTSRWWKTYCSYRNSDSCVWICMQISWRAMLSLCVALLVFYIATKPPPPKVSIKMAGIGEFGLGEGVDGSGVTTKILTCNCSINLIIDNKSKLFGLHIHPPTIQMRFGRLPFALSHGPKLYAAESGSSKFKLYAGTRNKPMYGAGRSMEDMLESGKGLPLVLRVSLHSSFHVVWNLIKPKFHHQAECLLVLHRAYDKKHRTQTYNSTCIMT >ONI25749 pep chromosome:Prunus_persica_NCBIv2:G2:29758336:29760562:1 gene:PRUPE_2G318000 transcript:ONI25749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSNSNSGTHVQFGDTSHTKIFVGGLPWETQRDTMKRYFEQFGEILEAVVITDKITARSKGYGFVTFKDPEAAGRACENPNPIIDGRRANCNLAALGAQKNHSATPQPHERETEEGMKKSRASSRPFINTTSTYLYFHQHNPQYAFPYYSAYGYPVYQQDNYAMSYNYNGYGGGGGGRGQHHCYPNSSNAAGGPGGYHPFYFYSPSPMMILVQQQQPQQRGPTTSNAKLEPTASSHTLTLTGKQQQHPLRQDPTRRRN >ONI25748 pep chromosome:Prunus_persica_NCBIv2:G2:29758020:29760890:1 gene:PRUPE_2G318000 transcript:ONI25748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSNSNSGTHVQFGDTSHTKIFVGGLPWETQRDTMKRYFEQFGEILEAVVITDKITARSKGYGFVTFKDPEAAGRACENPNPIIDGRRANCNLAALGAQKNHSATPQPHERETEEGMKKSRASSRPFINTTSTYLYFHQHNPQYAFPYYSAYGYPVYQQDNYAMSYNYNGYGGGGGGRGQHHCYPNSSNAAGGPGGYHPFYFYSPSPMMILVQQQQPQQRGPTTSNAKLEPTASSHTLTLTGKQQQHPLRQDPTRRRN >ONI25144 pep chromosome:Prunus_persica_NCBIv2:G2:28155480:28156392:1 gene:PRUPE_2G283900 transcript:ONI25144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVSTAGLTSCGHRKPSMEGLKLSSRKAMTFGTQLAYPVSSSSGCGYSRRMKPMVVVKAEAQPINPEIRKSEEKVVDSVVVSELSKPLTVYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKKQ >ONI25546 pep chromosome:Prunus_persica_NCBIv2:G2:29279853:29283597:1 gene:PRUPE_2G308800 transcript:ONI25546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLLLSNGSSNSTGCDLEENAEEKFPKSNANGIHCSGKSFPAVDLVADKLHKLLGPGFEWIMIIREALKSCYGVLAFGLGFKNKFVNPQELVLLQRWNKVLQVLCVVSLSLDPLFCYLLIVNDENKCLRLDTRLGNIAILLRSVIDFFHLFRIIFHFRASITIITPSQASGTGRNESVTEPPALVGTYLLLWFLLDILVILPLPQVVVFFIIPRLNDSRVFNATKSLNFIVILQYVLRVLRISSWLKKATRISGFLAETAGTKAASNLFLYMLASHVVGAFWYLFSIERKAACWRQVCKNPAGADFVQKISYCFWWGLQNLSSLGQSLKTSTYVWEIYFSVVISISGLVLFAFLIGNMQLKKRIRQYEKYKWQETRGVDVENFLNNLPRDLRRDTKLHLCSGPLSKVPVLQNMNKRLLDAICEHLKPVLYIEHSFIFRKEDPLDEMLFITRGKVLTYTASTCCEGANSLEKGDFYGEELLDWVLKTCPAPTLSNLPFSTKTVQAGTKVEVFVLKAFDLKHVVSKFWWLFSKELRNSNSFGLKQWQPRAACVLQTAWRRHKREKLQKSPRLAKEGSLSAADHLAVSETQHK >ONI24959 pep chromosome:Prunus_persica_NCBIv2:G2:27545719:27547072:1 gene:PRUPE_2G272000 transcript:ONI24959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMFHHPISQAPYYLHVWLATQITPSPPLIPRPVHQGYYELPNPYLSQYPYQYQYQYYPGHLMGPAQYNDPIMDNAIYGSDEFRMYAYKVKRCPRMRSHDWTECPYAHRGEKAQRRDPRQYSYAAIACPAYRNGRCHKGDLCDLSHGVFEYWLHPDRYRTRACNGTPHCMRRVCFFAHTPDELRPEPEFYPRKFYVPHPYDQYPRRPYRPHNCAYRAVQAGRGMYGGGHRGGRSATVEEYEMILKNKKIMDDSFGKVESFLNSLRSLTLSDEPFEFEDEIEAMDIYEMVEAAPSVSELPEFDWVTELLQ >ONI20861 pep chromosome:Prunus_persica_NCBIv2:G2:4049713:4052361:-1 gene:PRUPE_2G037600 transcript:ONI20861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPEKNRREISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRNYLNLPSEIVPATLKKQAKPPGRPLGPSGDRPRGPPRFDGGERRFGDRDGYRSGPRAPGGDFGDKGGAPADYRPSFGGSRPGFGRGAGGSGGAGGFGAGPASSDLS >ONI20862 pep chromosome:Prunus_persica_NCBIv2:G2:4049713:4051969:-1 gene:PRUPE_2G037600 transcript:ONI20862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPEKNRREISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRNYLNLPSEIVPATLKKQAKPPGRPLGPSGDRPRGPPRFDGGERRFGDRDGYRSGPRAPGGDFGDKGGAPADYRPSFGGSRPGFGRGAGGSGGAGGFGAGPASSDLS >ONI25025 pep chromosome:Prunus_persica_NCBIv2:G2:27734567:27736278:1 gene:PRUPE_2G275400 transcript:ONI25025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYYKYTSELGRKKQSDVMRALQRLRAFEYRHQPSIVRVTHPTCPDKAHRLGYKAKQGYVVYRVRVKRGGRKRPVAKGIVYGKPTNQGVSQLKFQRSKRSVAEERAGRKLAGLKVLNSYWVNEDSTYKYFEVILVDAAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKNRGLCGKGHLHHKARPSRRANWKSNNTLSLPRYR >ONI25378 pep chromosome:Prunus_persica_NCBIv2:G2:28818742:28822326:-1 gene:PRUPE_2G298900 transcript:ONI25378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLLPAVNGDGVRDYGPVTSWKDARSVTWTETKKLWKIGGPIAFTIICNFGTNSVSTMFVGHLGNLQLSAVSISLSVISVFSFGFMLGMGSALETLCGQAFGAGRVNMLGVYMQRSWIILLASCVILTPIYVFSAPILKLIGQDKEVADLAGNFTVCVIPQLFSLAIMFPSQKFLQAQSKVAVLAWIGFLALIIHIGWLFLFIYVLDWGIYGAAIAFDITGWELAICQVIYIIGWCNEGWSGFSWLAFKDIWAFVRLSLESAVMLCLEVWYMMSIIILTGHLSNAVISIDSLSICMNLNGWEGMLFIGINAAVSVRVSNELGLGRPRAAKFAVFVTVVESLLIGIVCMIVVLIAKDYFSVIFTSNAELQQAVAKLAFLLGITMVLNSVQPVISGVAIGGGWQGLVAYINLGCYYIFGLPFGYLLGYTAKLGVKGIWGGMICGTALQTLLLLIVLYRTNWNKEVEQSSERMKKWGGQNVEDEKIANNRI >ONI21253 pep chromosome:Prunus_persica_NCBIv2:G2:6600515:6601705:1 gene:PRUPE_2G055500 transcript:ONI21253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPTNVQRRKLPISFVIYFALFFPQLVCVIDENFLCQWSTFTYDVFLNFRGEDTSTNFTDHLDKALSDKGIYTFIDGELTRGDQISPALVKAIEESRISLIVFSENYASSRWCLDELVEIFRCKESKQQIVLPIFNKVDPSHVRDQTSKFGDAFKGLIESKFKDNEEKVLIWREALTKAANLSGHPFKDGQYISIFIIFKYEATFINNIVDGILSQVLRRTYWNVAKHPVGIQSHVQDVKKLLDVDGNGRRMIGIWGTSGIGKTTIAKAIWNAIAHEFEGTCFLENVRENSPHGGLIQLQKTLLHKYLGKKLKI >ONI21640 pep chromosome:Prunus_persica_NCBIv2:G2:11987885:11992581:1 gene:PRUPE_2G077700 transcript:ONI21640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDGRCLKLFLALSILFLQNVKGGEVGHSHSHSHSLRDAKVTVRRCIERERQALLAFKRGLVDDSEVDESNPLSTWGSEAEKQDCCRWEGVYCSNQTGHVIQLHLEYSSYDEMILGYSFQGKMISPKLIELQHLQYLHLASIDFYESQIPDFIGSLTNLRNLSLSSCNLVGQIPSSFGNLTQLQYLDLSYNYQLQPENLNWLPALSSLTYLDLSVIDFNGSQIPDFIGSLTNLRNLSLRFCDLVGPIPSSFGNLTQLQHLNLGYNQLQPENLNWLPALSSLTFLDLSENFNGSQIPDFIGSLTNLRYLSLSFCNLVGPIPSSFGNLTQLQHLNLGYNQLQPENLNWLPALSSLTDLYLAGNFNGSQIPDFIGSLTNLRNLSLRFCDLVGPIPSSFGNLTQLQHLDLSYNQLQPENLNWLPALSSLTFLDLSENFNGSQIPDFIGSLTNLRYLSLSFCNLVGQIPSSFGNLTQLQNLDLSNNQLQPENLNWLPALSSLTDLDLSRNNLSTVFDWPEAVLNKLPKLVVLALENCSLPPPPPPPTLYKTNSSTSLAYVDLSDNHLTSSIFLWLSNYSTSLVALGLSNNHLSGFIPNFIGNMSSLVDLDLSNNNLTGFIPDFIGNMSSLVHLDLSDNHIEGANPNSFARLCNLQTLSLQTNHLSGQLSKFVQLLPRCAQNSLKDLQLSENVLAGSLNNLTSFSSLGFLNLSANQLSGKIPESIGQMSKLWCIDFSMNSLEGVVSETHFSKLSDLYQLDLSYNSLVLNFHSDWVPPFQLNYIYLASCNVGPLFPKWLQTQNDSYHLDISNAGISDILPSWFWSNFRNAEIINLSQNQIRGIEGSIPSIPSEVHHLDLSNNNISGSLSFLCASADMSLTILNLSSNSFSGELPDCWSHLETLVMLDLSYNAFSGKMPMTIGSLFQMQTLKLRRNRFVGELPSSLKNCASLEVIDLGDNKLSGPIPAWLGVSFKNLVILMLSTNHFNGSMPSQLCHLTHIQIMDFSMNNISGSIPKCLKNLTTLAQKGNPSLSSTRIHGGSEVNGSIAPTNYDNDASFIWKGRMQTYKSTLGLVKRIDLSSNRLTGEIPGEIMHLVGLISLNLSRNQLMGQITPEIGNLESLDSLDLSRNRIDGRIPTSLAQIYRLSFLDLSYNNLSGKIPTGTQLQSFDPLDYAGNPQLCGPPLKKMCADQNEPTDLSNEEDKDEFITLGFYISMAIGFAVGFWGVCGTLIFNRSWRYAYLKFLNGLNDWLYVKIALSKRQLKPT >ONI22262 pep chromosome:Prunus_persica_NCBIv2:G2:17574568:17575169:1 gene:PRUPE_2G117800 transcript:ONI22262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSLRLPNPLSVSFVRFLRHRRSSGNSVISPCSRSLYILGQLNTMFHGIGKPLKRESTDREWRCLNMMFVPFSFSSPPQITPNFLILVSLTKSYMSDDA >ONI21859 pep chromosome:Prunus_persica_NCBIv2:G2:14871791:14876121:1 gene:PRUPE_2G094200 transcript:ONI21859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKALAKSNRAHAQRHSKKHHPNQKAKAPAVDGGKASDAGPAKKPLGKQVKEKTNPTHGASALPTNWDRYEEEFEAGSEEPASDGLNRAPDVAVPMSKGADYRHLIAEAQAQSELTIYSDPFPSLDNVLPGDWNEGIGSMLSVRGESILSRIGDDNFVVEDKTAAHHEVSFLSLNLHALAEQLEKIALPERLFVEAELLPPELHVEGQEATCSQSSDPMQATCNEEATRGMPEESISEKVQVADHDIEITMSGSTGSGHPDLILPNLGSVSAIQGNIDPSKLGKSDYQSKLSESETQFSVKSFEASTAEAELDMLLDSFGETKINDSSGFSSVKTVSVQEAAFMAPLQLPRKAPDSSVLMTANFDDELDDLINETSIQIRQSGPSHAQKERAVHEFRSSHSGTKSEEQDDFDNWLDTI >ONI22112 pep chromosome:Prunus_persica_NCBIv2:G2:16632856:16636587:-1 gene:PRUPE_2G108000 transcript:ONI22112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSIISRSSSFPRLKKTWPSQYTLPEDVPHANRSACSAPTLKIASVKLSWGRKIRRLIGRRGNSELTEQSLEVYNKTLVAENVVNHHKRVGQISLDDYYKGEIDDERSSKYSPYYIRGLTYPSIIVPKDPIANTPGHESNSSAVTWTSISTFFSGFKVREWSSCLGSRTKEYNKNLRPEMYTTIKPSTHSTVNASPKNSETSLVEESIERKEVMKSSNEYNKEKPLRERVRAATRPKNEIKVMNEAQKEYAWADKYQPLCLEDFICNKDKATQLQALARGGACGHFIFEGPPGVGKRTMIWAMLREAFGRDTIHAREEFKAFSLKGEMVGSIEVHVKQSPQHVEVNLSELKGYEKHVIVELMRETQDKTTNKALPCGLDNCRAIILYEADKLSTDALLYIKWLLERYKGCNKVFFCCSDVSKLQAIKSLCTVVELLPPSKTETVAVLKFIAKQEGIDLPQELAERFAENSKNNFCLAIRSFEATWKKCYPFKENQVILTGWEDNIADIAKNMIEEQSPKQLYIIRGKLQNLIVHGVCPEFIFQSLVAELKKHLDDSLQDRIESMYDEYNRNDENMFESDKYDHEEMSKRLNDHSRKSIKQFLRIEEFIAKFMSCYKGCTNTKSLPHDGPT >ONI24355 pep chromosome:Prunus_persica_NCBIv2:G2:25770211:25773454:1 gene:PRUPE_2G236000 transcript:ONI24355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKFFVGGNWKCNGTFDEVKKIVKILNEGQVPSQDVVEVVVSPPYVFLPVVKSSLRPDFHVAAQNCWVKKGGAFTGEVSAEMLVNLEVPWVILGHSERRLILGESNEFVADKVAYALAQGLKVIACVGETLEQRESGSTVEVVAAQTKAIAAKVSDWTNVVLAYEPVWAIGTGKVASPAQAQEVHFELRKWLQANVSPEVAATTRIIYGGSVNGANSKELAGQPDVDGFLVGGASLKPEFIDIIKSAEVKKSA >ONI24354 pep chromosome:Prunus_persica_NCBIv2:G2:25770134:25773649:1 gene:PRUPE_2G236000 transcript:ONI24354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKFFVGGNWKCNGTFDEVKKIVKILNEGQVPSQDVVEVVVSPPYVFLPVVKSSLRPDFHVAAQNCWVKKGGAFTGEVSAEMLVNLEVPWVILGHSERRLILGESNEFVADKVAYALAQGLKVIACVGETLEQRESGSTVEVVAAQTKAIAAKVSDWTNVVLAYEPVWAIGTGKVASPAQAQEVHFELRKWLQANVSPEVAATTRIIYGGSVNGANSKELAGQPDVDGFLVGGASLKPEFIDIIKSAEVKKSA >ONI24751 pep chromosome:Prunus_persica_NCBIv2:G2:27006355:27007576:-1 gene:PRUPE_2G260300 transcript:ONI24751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDNISSTTKKLIDMYEDKDNARKEEIAAIGGQAETAAGTNVFFSAFYDRLREIREYYRKHPAAAWGVFDAANEEEDEEEDALLLKIMAEFSGEEAFGRYVDLHELYNQYINSKFGQPIEYSAYLDVFSQPQSQNIPRKLKLLRQYKEYLQNLVAYLIYFFQRTEPLQDLDAIFSKVEETEFEDQESGHLLQQDQLTLIDLDCYSRVEEVMEVGPEKLKEALASLGLKTGGTVWERAERLFLTKDTPLAKLERKHFAKGSGGGSEKQVVINLKEIALLEGKVKKLCGLLKEAVERTKDNIVKKQALTYDEIKAEVKSRKKSKPKVMTRRMSSRFTTHSNCQWVRMGRQYLTGFISFMVLGQEFKREICGDSSYFGQLLRCILMKCHQRGMRCLGIPNTKSFNEIT >ONI24167 pep chromosome:Prunus_persica_NCBIv2:G2:25343726:25345338:-1 gene:PRUPE_2G227700 transcript:ONI24167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDNNCSAKDDGGGGKDLSPQERVGYDTDESLWNSILKSRENKSKPPMTAEQRRFEDLMTYFVNNVSPNVDFLKAPDPPIPAGECRYCLKVDDHITQLCPYKYDVPKNAILGKGCSVQCVVCGCRFRDSCCAKCGHTRGRAILMDCRICGKSYDHWPDMCPQRDLNSSFTCDPYTGYISF >ONI21453 pep chromosome:Prunus_persica_NCBIv2:G2:9232898:9234006:1 gene:PRUPE_2G066500 transcript:ONI21453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMLGFVEGVLEAEEVDLSQDVQQWDALSDSEKHFVSQVLSCFAAADGVILENFAARFLNVVQIPEARAFYGFQLAMENIHSEMYSSLLETYIKDSRKKHILFNAIESIPCVSRKAKWPWIGYTGTCTFFFCIPGISKDGTSLGLECFVIANEGNYISCVVGPVCLELCRRAISMKRKSHMQ >ONI22864 pep chromosome:Prunus_persica_NCBIv2:G2:20870879:20873464:-1 gene:PRUPE_2G155400 transcript:ONI22864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWEKYQKCRVRILGKSNLGNTLYKIVVSRNFKLAVRGLNDKVMQKLESEKQHALTQMKMLPHLVVSSLNDMRDVLKVKKVNEWEGNKISVNYDPNSDEYAQISKIAVDNELEVVPFDHEVEMDRNRNVGNGYGHPEWKR >ONI21694 pep chromosome:Prunus_persica_NCBIv2:G2:12761587:12763387:-1 gene:PRUPE_2G081700 transcript:ONI21694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGREALRDVINKSSIGENAIEVNKGDSSVGINRIREMKQAHIQSRELLHHMCEVIKHPHCHDYVLPAIFKAIERGMFEFTDSVLQARPDLMWIPNQTGRVPFQFAIECRQEKIYSLIYRLNKRKRTLTGNLADMSDNCALHVAGMLSPLAKLNNISGAALQMQREVQWFKVRTYSISQAHSIVIY >ONI21695 pep chromosome:Prunus_persica_NCBIv2:G2:12761346:12762224:-1 gene:PRUPE_2G081700 transcript:ONI21695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQAHIQSRELLHHMCEVIKHPHCHDYVLPAIFKAIERGMFEFTDSVLQARPDLMWIPNQTGRVPFQFAIECRQEKIYSLIYRLNKRKRTLTGNLADMSDNCALHVAGMLSPLAKLNNISGAALQMQREVQWFKVRTYSISQAHSIVIY >ONI23046 pep chromosome:Prunus_persica_NCBIv2:G2:21557573:21558241:1 gene:PRUPE_2G166800 transcript:ONI23046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLAIFSKGSIGLLVILLATICLVTEAQQCRPSGRIRGRKAPAGQCNQENDSDCCVAGKMYPTYTCSPPLSESTKAYLTLNSFEAGGDGGGPSECDNKYHNDNTPVVALSTGWYNNGGRCHNNITISANGRSVVAMVVDECDSTEGCDADHDYQPPCPNNIVDASKAVWKALGVPEDNWGGLDITWSDA >ONI20414 pep chromosome:Prunus_persica_NCBIv2:G2:1236084:1239017:-1 gene:PRUPE_2G014000 transcript:ONI20414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFNFAEGIIDRLTSRAFQEMGLIWGVKDELLKLQETVAQIQAVLLDAEQKQATHAVKLWLQSLEDVVDEADDVLDEFYAEAQWRQMMPGNNHVLKQVCIFFSSSNQLAFRLKMGHKIKSIKERLNVIASRRNFHLEVSREDTRFRRVTHSFAPTETIIGRNEDKNAIKQLLFDTISEENVSIISIVGFGGLGKTALAQLVFNDLEVQTYFELKMWICVSNVFELYILVKQIIQSATNNIAKSLEIDLLQKELRKIIDGKRYLLVLDDVWNDNREKWFGLQNLLMGGGKGSKILITTRSEKVAKITDTSKPYNLRGLSEEQSWFLFKKMAFQDGKEPTSSTIKALGEEIARKCKGVPLAIRTIGRMLYTRDPETEWSAFKNNKLSTIRQEENDIFPTLQLSYDVLPSHLKHCFAYCSLFPPDYKIPVEKLIRLWVAQGFVKSSNPNECLEDVGYEYYNELVWRSFFQEEEKDEFGIIRSCKMHDLMNELAVKVAGEGSRIIDRNKTDFDAKRLLHVSFDFDVDFSESESESDSESESKIPTSLLESNKLRTFLLLRQDQWRNKFMKSIHRPLHKSFCATIALNLKSLRILSLNGLRIPKLPKCLRKMKHLRYLDLSVNAIVRLPNWIVKFQNLETLNLHLILRQCYQLAWIPRGLGELICLRTLSRFVLSIDNSMLRDRAGLNELGRLKDLRGKLNSRNLRHKKDMMSELNYDDAILKEKRHLYSLTLHWMHIERENSDAVEEESDVIIKSMEALQPHSSLKDLTLEFYMGARFASWFHSLTNIVNLRISDCTKLASLPEGMGNFKSLQLLGIWACPNLASLPEGLLCLASLKKLIIENCPILKQKYQKETGEDWSKIAHIPEISIYDSEDYSF >ONI22232 pep chromosome:Prunus_persica_NCBIv2:G2:17393642:17394147:1 gene:PRUPE_2G115900 transcript:ONI22232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGAFTFSIPSTDELFCFNVADTVNCVAPPPGPSFGFLRISLLGPLKSIVHALGSLQSTMNAKNSSPIFLTSNIPARVPTSDCCNSSGLLTITAPQALAIRLLSVFRNLLIPLTPALLK >ONI21044 pep chromosome:Prunus_persica_NCBIv2:G2:5480958:5487065:-1 gene:PRUPE_2G047600 transcript:ONI21044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNAVHLKHCVQSYNVFDFLRDIVSRVPDYGHGHSDAAGEDRAISKRRKPPGDECIDSDEELKRSKMLEVNHGSSSGRGRGRGRGRGRGRGRASERETLHHETESEPCTSLQHATKPNPNPGMVVDDASESKEEMKENAVIPDESDPAVRNFDLNADVIENEDTKAAATAAQAATAAQASSTATQAAATASQAAATASQAAATAAPTSSAGPTSEIKHEEYPGWSLSDVDKMAIDPLQLAHLSGRIDEDEEDYDEEG >ONI24956 pep chromosome:Prunus_persica_NCBIv2:G2:27522647:27525923:1 gene:PRUPE_2G271800 transcript:ONI24956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKADLTANKVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMAAEIKNRMASQPMNNARPPTVQIRGQPVNQKSGCCSS >ONI24955 pep chromosome:Prunus_persica_NCBIv2:G2:27522595:27525939:1 gene:PRUPE_2G271800 transcript:ONI24955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKADLTANKVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMAAEIKNRMASQPMNNARPPTVQIRGQPVNQKSGCCSS >ONI24131 pep chromosome:Prunus_persica_NCBIv2:G2:25226598:25233739:1 gene:PRUPE_2G225300 transcript:ONI24131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIRSAVSKAVEAGNKNNLTRTVKNYADSVVQHAGQAVAEGAKRFQDRMGTRSFKSVKKSIQRLEEAAVSCRGAERLEILRRWVILLREVERLKLSPGSVEAKDNAVEQPTASEDANDIRRRISLVLYYDSDVGGEPMTFREVFLQSQALEGITLSMILEGPNDEEVALLMEMFRLCLTGGKEVHNAIVSSIQDLEKAFSSYEDEVLVKREELLQFAQGAITGLKINADVIRIDEEVSSLRKKLDATTTPLKPSTEGHDKASEETKLETIEALKEALAQVRACSRLEGLLLKKKLLNNGDSPEIHAQKVDKLKVLSESLASSSAKAENRISDHRLQKEEALKVRVARASEVSEREKEITAEITELEKERDDLEAQLKKVNISLAAANARLRNTREEREQFEEANNKIVSHCETKEDELSKSIASCRAEADIIKTWVNFLEDTWVLQRSYTDMKEKQVNDELEKHEDYFLNLSIDHLSAYKKELGPSISRIGKFVENLKNLSEGSRMASTAESEDSKVSNPINNLEEEYLDHETKIITTFSVVDNIKEQFYGPRAEISRKDDPRVKELFDDIEKLREQFEAIERPNLQLENPTPKSETSSSEKRQSGPSTLPTESTGAQKADTDKHPRSGAVKAEQMLDTEAELAKLESEFGKVGQDYSAEEIGDWEFDELERELRSGDSSKAK >ONI24170 pep chromosome:Prunus_persica_NCBIv2:G2:25389357:25395504:1 gene:PRUPE_2G228000 transcript:ONI24170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLFLILFVFSHSLCVLQTQGLNSADEVNDSLTYLWPLPSEFTFGNKTLSVHPQLSLVVGGNGGNSSILRLGFDRYKAIIFKNSHGVSSFDRIRGRRLSYDVTKLKVVVHSDSEDLQLGVDESYTLFVLKKDGQSIVGEATIEANTVYGALRALETFSQLCTFDYGSKSVQVYRAPWYIRDSPRFAYRGLLLDTSRHYLPVDVIKQVIESMSYAKLNVLHWHVIDRESFPLEVPSYPKLWKGSYTKWERYTVEDAIEIVSFAKTRGINVMAEVDVPGHAESWGAGYPNLWPSTSCKEPLDVSKSSTFDVVSGILTDMRKIFPFELFHLGGDEVNTTCWSSTRHVKQWLEQHNMTTKDAYQYFVLKAQEIAISKNWTPVNWEETFNTFPTKLNPKTVVHNWLGPGVCPKAVAKGFRCIFSNQGVWYLDHLDVPWEDAYNAEPLEGIDDVSQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERLWSRREATSARNGNLTALPRLHYFRCLLNRRGVQAAPVTNLIARSPPIWSGSCYDQ >ONI25933 pep chromosome:Prunus_persica_NCBIv2:G2:30174874:30179221:-1 gene:PRUPE_2G327900 transcript:ONI25933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMGPMKTFRPFASAFEGEVHGGCRVDLNFPTTLMDSNTLTLTATSTLEPHDAMEFESHEAAYTFYKAYAKSVGFGTAKLSSRRSRASKEFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRPNGNWYVYSFVKEHNHELLPAQAHFFRSHRNTDPLNNDVRIRRRKNLAAVSSLFSAYQNVDCLESYLRNQHDKGRSLVLEAGDAQVLLEYFMCMQEENPKFFYAVDLNEEHRLRNVFWVDAKGMEDYTNFNDVVFFDTTYFTNKYKIPLVLFIGVNHHIQPTLLGCALIADETVYTFVWLMQTWFIAMGEQAPRVILTDQNNAIKAAIAAVFPGTSHCFCLWHIMEKIHRHLEFLSMWHDSFVGKFNKCIFKSWSEQQFEKRWWKLLDRFNLREVEWMQSLYEDRTHWVPTFMRDISFAGLSPTSRSESLNSSFDKYIHGETSLREFMERYRVILEDRYEEEAKSNFDAWHETPELKSPSPFEKQMSLVYTHEVFKNFQVEVLGAAACHLKKENEDGTSTTYSVKDFEDNQNYVVEWNESKSDIYCSCHSFEYKGYLCRHAIVVLQMSGVFTIPSKYILQRWTNAAMSRHAIGERLDEVQSKVRRYNDLCRRAIILGEEGSLSQESYDVALCAIKEALKQCASLNNAVENNAKPNDSAIHGICGVDGENQCSTASGDKLFGPKVSNANKTPRRAGSGKEVARNENTASKKGKVPHLEATSVGTQDGFHQMQLPDTRAMQLRNTVPSTMFQNVASAAQFHNVASTHVHENHLPQ >ONI24103 pep chromosome:Prunus_persica_NCBIv2:G2:25103473:25107779:1 gene:PRUPE_2G223800 transcript:ONI24103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHHDSLPRESFLQNWKKIFVASCLFAVLLDPLFLYVPMMKDDIKCLQFDRNLKIAALLLRSLTDLSYLFDIIFQIYTSRNYSDFMHEYRRRHYYRSKIRYWTKFFVPTITKTMLGSYNILIDILAILPLPQVAILTFFSKLRNLRSLNTIRMVTMNLFVLLQYVPRVFRIYLLCEEFKQRKTGEIAIWVKGVLNFFMYILASHVLGAIWYFFAIQRMAICWHDACRKENKCNTSSFGCRDHHAFRNITFLNDICPISPVNVGIYITVLQSGIPGSTNFFQKFSNCFWWGLRNLSSLGSNLEPSVDGWENLFAAFISITGLLLFLYLIGNVQTYMQLDTQRIEAHRHKMKMEQKMEEKGRETELWLSKNGIPKKFKSQIMGKVRQELEENRDADLDYIFTILPLDLQTRIKMEQKMEESEIELWLSKNGIPKSFKSQIMGKARQERDENRDADLDYILTILPLDLQTRIKNCMPMARLKQVPMLQNMNEHVLRSICSYLKHREFDDNSIIIKKGEPLEMMLFIVDGHVSIEKRDGSSSNNNLQQRTRGAGEVCGEELLLSPSWILYPWFERPLATESAKAIGHVEALVLTASDLGGVVRMHHFVGMIRRIFRAKELEKATENYHENTIIHEDRYATFYKGVLLMPPDDETVVAIKKYTVIYHGDVAIEAAVASQTKHINVVRFLGGCLEPETRALVFEYIPNGTLFEHIHKEEAAGSFVGSSSPPTTLLSLELRLKIASETAGALAYLHSFSPPYIHLFLSSKHILLDHHYTAKLSAIGLSLYEFWNFDCSLNSREYFDPYFQGDRLAEKSDVYSFGVILAELLTSQKPASPNSKGEEPPLATSLLSSMEEGRLNQILDGEIIVDETTSETAKKERPSMEQVAVELEGLRKFMAKYQRGEPSFSNRPTSLQP >ONI22870 pep chromosome:Prunus_persica_NCBIv2:G2:20954278:20956411:1 gene:PRUPE_2G156000 transcript:ONI22870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMTKCVEKGIVVRWWRRPSHHLSNPRTSSKLGTTQSSSEQSEDQQQAGVPSDSDFLIHRIGRDNSISCLIRCSRSAYGLIA >ONI21328 pep chromosome:Prunus_persica_NCBIv2:G2:7461088:7467237:1 gene:PRUPE_2G059600 transcript:ONI21328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEEVKVLGQHLLLGFPSGFFWPILHTLDWLYDWRISSQISLWCVTFIHKLFSFLPVMEYSRNCMHAGYSIELPPPATTSFGSTNSQNVYQLMYPPLGTIHCHNTLV >ONI21592 pep chromosome:Prunus_persica_NCBIv2:G2:11251494:11254613:-1 gene:PRUPE_2G074500 transcript:ONI21592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTNDLFTLFLYKFLKIYFRLLPKNPAESTLYFDQTQSLPPVKQSQKSYQFLMFNKISEHFYTNLQDLQRVTTNSYTLVVGRKLG >ONI25395 pep chromosome:Prunus_persica_NCBIv2:G2:28884219:28887563:1 gene:PRUPE_2G300200 transcript:ONI25395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKNLHFLSLTLLSLLHFSASFTPLDNYLLNCGSLSNTSLFNRVFAGDSYKPGSGSISLTNQNPPPNSPALYHTARVFKRISSYSFGIKKYGTHMVRFHFSPFVAQGFDLKAANFSVSVDRLVLLTDVHVRDNVLREYIMKIDTNVLEIVFTPLDNSGFAYVSAIEVFSAPEDLIVDYGAKLVSADIVEYKNLSSQVLETIYRINVGGSKLTPFNDTLWRDWVPDVDYLVLKSAAKRASTSHIPNYQRGGATREIAPDNVYMTAQEMNRDKAITDARFNITWEFPVGSNSGHLVRLHFCDIVSPALNLLYFNIYINGYAAYRDVDLSVLATNELASPLYIDFVVDSDVSGVIQISIGPSDLSSSVRMNAILNGAEIMRMVNVSHLQTEAGSKKKSIWILVATVVGGFVILCFAVVAFLLALKRRKKKLKPAPAESVGWTPLRIYGGSSHSRMSERTALASPGPNGYHFLKIPFAELQLATNNFDKNLIVGSGGFGMVYKGVLRDNTKVAVKRGVPGSRQGLPEFQTEITVLSQIRHQHLVSLVGYCEEQSEMILVYEYMEKGPLKKHLYGSGLPPLSWKQRLEICIGSARGLHYLHTGFAQGIIHRDIKSTNILLDENYVPKVADFGLSRSGPCLNETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPLVDREQVNLGEWAMQWQKKGMLEKIIDPHLVGQIKPGSLKKFGETAEKCLAEYGADRPTIGDVLWNLEYALQLQESRPQREVHEDGDINELPTNTIVPGDPATNARTEEGDGNGSLEINTSQVFSQLMTNDGR >ONI21445 pep chromosome:Prunus_persica_NCBIv2:G2:9077117:9083604:1 gene:PRUPE_2G066000 transcript:ONI21445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIYNPLKLQILCFRCFLRNMSSSSSSLSSSSASSSSSLSSSSASSSSIAIPSHKHIAHLLLDQKSASQALQTFKWASKLPNFIHSPSTYRALIHKLCTFHCFDTVHQLLDEMPTSIGQPPDEDIFVTIIQGLGRAHMVKQVINVLDLIYKYEKMPSLKVFNSILDVLVKEDIDIAREFYRKKMMESGVQGDDYTFGILMKGLCLTNRIGDGFKLLQAMKSRGITPNTVVYNTLLHALCKNKKVGRARSLMNEMEAPNDVTFNVLISGYCGEENLVQALVLLEKCFGLGFVPDIVTVTKVLEILCSDGRVMEAVKVIGRVENKGGLVDVVAYNTLIKGFCRLGKAKLGLRIVKEMERKGCLPNVDTYNVLISGFCESGMLDMALDMFNDMKTDGINWNFVTYDTLIRYLCSGGRTKKGFEILELMNERKGGSLGQISPYNSVLYGLYKEHRLDEALEFLTNMGKLFPRAVDRSLRILGFCEEGDTENAKRVYNQMLMERGVPSVFIYDCLIHRYCQEGCIREAFELLNEMIAHGYFPLALTFNSLISGFCEQGKVGSALKLLEDMVGRGCSPDARSYSPLVAALCHMGDFQKALRLVLQMVEKGVIPDYFTWNSLLICLSQETVWLKGKSILDVNNLVHCIIEN >ONI21254 pep chromosome:Prunus_persica_NCBIv2:G2:6636553:6641016:1 gene:PRUPE_2G055600 transcript:ONI21254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLANQQGHPSFSSSTTTNFFTRDPWTYDVFLSFRGKDTRTNFTDHLYKALSDKGIYTFIDRELIGGEKISPSLLKAIEESQISLIVFSENYASSRWCLDELVEILRCKTSTKQIVWPIFYKVDPSHVRNQTNSFGDAFADINCRFKDSTEKVQRWRSALRQAASLKGYTCKAGESEATFINHIVEEILIVVLNRTFWNVAKYPVGIQSHVQDVEMLLDVGGNDRFMVGIWGASGIGKTTIAKAIWNEIAHKFEGSCFLPNVRENSMPQYGGLIQLQETLLQEILGGKKLKIASTDKGISIIHKLLRPKKILLILDDVNQLEQLDNLAGVGWFGEGSRVIITTQDSGLLKCHGIELIYEVQKLFDYQALELFSLNAFGIKEPPNDYLELAQRAIAYAQGLPLAITLLGSHLRNKGIHRWQTILDGYEGEPYLVFLDIACFFKGEDKDYVIHVLRSCKQKVSQDCIEVLVEKAIITIECNRIWMHDLLEKMGKDIVHEECPIEPGKRSRLWFHEDVYHVLTGNTGTGKIKGIMMEFPKPNAITLKATSFSGMDNLEIFINRNAILSGHIKYLPNDLRFLDWGQCQLRSLPSKFYAMHLAVFNMPCGSMRKLQKFKGFDNLPIYVFVSQYMPKLKSLNLSGCQFLKKIPDLSGIPNIKWLNLSNCTSLVEVDDSVGLLDKLVELNLDGCVKLTRFATTLRLKSLERLCLRNCGRLESFPEIEDKLESLVILNIGGSGIRGLPSSVAYLTGITFMSAGYCDNLTFTSLRSIYGLQRLTTFGDKVNSDSNISLALPKLVFFNLQGCNLSESNFVLPLDCLFTFTFLDLSGNNFVRHPGCISKFVNLWFLRLNGCKGLQEIPELLRPSVDRVLLPNCTSLEKISKLPQGVRWLDLVNCHRLGGYEITENILLNEVPHSVFEIILRGNAFPKWVSCCKNATVCRYPDVEDKEEYIGGCEFSFEIPPNLERETSRLVLCVSYHYPYFDDAKILINGKLVNEIWIGDTSATETEDTHVWLKCVPLLDPQKLRVGEEPTHLQQGNMCQVIFHLQGAGPIPVISCGVHLLGHQVADVSWSAMAGDDDITMNESTSCVGKRPRGSDITAVDDHDHQEQSLCSSSEPTDHPKRRHIDIEDEK >ONI23262 pep chromosome:Prunus_persica_NCBIv2:G2:22275084:22276253:1 gene:PRUPE_2G178400 transcript:ONI23262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHKDQHQQQQPHGSKREEEEVITTFSPTIFSPKSFPRRRRIKYCGIVIALVLLQIVVLGVLCLTVFRFRDPNVKLAAISVENFSPALGTSTAINMTLRAEIKVKNQNWGKLKYDESVLVVSHEGSTVGEGTIPKGSIKMRHSKQVSVSVEAKLDGISSSSSDISSGVLNLKSYAKLSGKVSMVGLVKKRRSGEMNCSLTISLARQAIQDFHCQ >ONI21050 pep chromosome:Prunus_persica_NCBIv2:G2:5505978:5513596:1 gene:PRUPE_2G048000 transcript:ONI21050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKARNKALFRARLNAQKKEKRIDSPLVRYNESDQPVCRVCDIALKSEFQWEAHQASRKHHEAINNLKATAAGLNRVNNAKTEVRPELLKPEPEHPPELHIPRPERSTELPRPQTSSGLPPDFFDNHEAQKRNTGTDSVTVAETDSYKKIETRKTEPARDLTQTSSQIASSEAKQIKGALPEGFFDNKEADLSARGIKLVKPDVKDEYKEFEKLIQEDLKEVDNRLEEEEIDAAEMIEEAESVEQRTYREKVELLRKKKMELKAASASKRRRVSEIVKKEPSHDESLSDSDSDENFAVDWRAQHL >ONI25512 pep chromosome:Prunus_persica_NCBIv2:G2:29230035:29232194:-1 gene:PRUPE_2G307900 transcript:ONI25512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVGTWKRSRWKPNVKQLSTLYQPAKTLVSDIVSTNVCITRYSKCGQLDVARKVFDEMPIRTVVSWNAMVSGYSKWGRFSEALSLVSIMHHGNVRLNETTFLTTLGVCARSGSLSEGRELHCSVLKTGTECFELVGSSLLHFYSNCLKIEDAKRVFDELRGGNELLWSLMLVGYVQCNLMGDAIELFLKMPKRDVVAWTTLISGYAKSEDGCERALELFQWMRGSGEVVPNEFTLDCVVRAYGRLGVLCAGKAVHGLLIKYGFESEESIGGALIEFYCDSEAVHCAKRVYDRLENPCLNASNSLIGRLVLMDRIEDAERIFSRLKEKDPVSYNLMIKGYAMSGQVDQSKRLFENMKHRTIISSNTMISVYSRIGDIDMAFKLFEETKRERDPVTWNAMISGHIQNHQHEEALELYVTMHRLSIDRTRSTFSALFHACSCLGSLQLGQVLHAQLIKTPFESNVYVGTSLIDMYSKCGSITDAETSFICIRSPNVAALTALINGYAQYGLGSEAMLLFEQMLKQGVIPNAATFVGILSACSRAGLVDEGMKIFHMMEGSYGVNPTLEHYACIVDLLGRSGHLQEAMEFIDEMPTEPDGVIWGALLNACWFWMDMELGEKVAEKMFSLDPKPISAYIILSNIYAVLGKWGAKMNARKRLRSLEVKKDPGCSWIELNRKVHVFSVEDITHPYCNLIYETLEFLTANVNSIVQFDCLYETYSH >ONI25067 pep chromosome:Prunus_persica_NCBIv2:G2:27886643:27888606:-1 gene:PRUPE_2G278300 transcript:ONI25067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPSLLLSILIFSFIFQTALSRSHHHSSRIRTWCQKTPHPEPCNYCMSHSRHRLVPKHTSEFRKMMVQVALERALNAKSYASQFGQNCQNNQQKAAWADCLKLFEDTVHQLNITLEGLGTKRNCSNFDAQTWLSAALTNIHTCQVGSMELNVSDFIAPIYTSNNNVSELISNGLAIGSQLLGTEENYADEEYPNWVSKHERRLLQASKIKANLVVAKDGSGHFRTVQAAIDAAAKRKITSRFIIHVKKGVYRENIEVSNTNNNIMLIGASMRYTIITASRSVNGGSTTYNSATAGIDGPGFIARGITFSNTAGPLKGQAVALRSASDLSVFYRCAFQGYQDTLMVHSQRQFYRECYIYGTIDFIFGNAAAVFQSCIIYVRRPLKGQVNVITAQARNDPYQNTAISIHNSQVRAAADLKPVVSAFKTYLGRPWMQYSRVVFMKCYLDSLVNPVGWLEWQRSNFALSTLYYAEYKNFGPASSTRYRVKWPGFHVITSANVASKFTVSSLITGRSWLPATGVPYTAGL >ONI23349 pep chromosome:Prunus_persica_NCBIv2:G2:22559377:22563124:1 gene:PRUPE_2G183700 transcript:ONI23349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRKIGEDLGSLKALMVFQDNIQINQRQCFLLLDIFSSAYESIAEEMKYNLRFEEKHTKWRVLEQPLRELHRIFKEGEAYIRHCLETKDWWAKAITLYQNSDCIEFHIHNLLSCMPIVIEAIDIAGEISGWGQDEIQRKKTVFADKYKDDYRDWKLFKWRFGKQYLITQDFCNRFDTTWKEDRWTLLHKIREKKLSGSTKYGKRLIDLLFKSLDGSESQPLNGKLLPSSILVGSKDYQVRRRLGGGSQYKEILWLGESFASRHFFGDIEPLLPEISSLLSLSHPNIVHFLCGFTDEEKKECFLIMELMSRDLCSHIKEICGPRKRLPFSLPVAVDLMLQIARGMEYLHSKKIYHGELNPCNILVKARGISTDGYLQAKVSGFGLTSAKSPSQKNLSNQNGSLPFIWYAPEVLEEHEQTKSSEKKYTEKSDVYSFGMVCFELLTGKVPFEDSHLQGEKMSRNIRAGERPLFPFYSLRYVTNLTKKCWHSDPNLRPSFSSICRILRYIKRFLAMNPDYNSQLDPPVPMVDYCEIESGILRKIPSWKSCEQPPVSQIPFQMFVYRIAERERTTLKDTSESGSDGASICGDEMVTTPDEPFPPAPERKCLTSPDSMKKKLPFLKKSSDVKANRLPGTPRGRSVRPPQMSPCGRSISLRMSSESQLMAMTPRIRRTSSGHASDSELS >ONI23350 pep chromosome:Prunus_persica_NCBIv2:G2:22559132:22563124:1 gene:PRUPE_2G183700 transcript:ONI23350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRKIGEDLGSLKALMVFQDNIQINQRQCFLLLDIFSSAYESIAEEMKYNLRFEEKHTKWRVLEQPLRELHRIFKEGEAYIRHCLETKDWWAKAITLYQNSDCIEFHIHNLLSCMPIVIEAIDIAGEISGWGQDEIQRKKTVFADKYKDDYRDWKLFKWRFGKQYLITQDFCNRFDTTWKEDRWTLLHKIREKKLSGSTKYGKRLIDLLFKSLDGSESQPLNGKLLPSSILVGSKDYQVRRRLGGGSQYKEILWLGESFASRHFFGDIEPLLPEISSLLSLSHPNIVHFLCGFTDEEKKECFLIMELMSRDLCSHIKEICGPRKRLPFSLPVAVDLMLQIARGMEYLHSKKIYHGELNPCNILVKARGISTDGYLQAKVSGFGLTSAKSPSQKNLSNQNGSLPFIWYAPEVLEEHEQTKSSEKKYTEKSDVYSFGMVCFELLTGKVPFEDSHLQGEKMSRNIRAGERPLFPFYSLRYVTNLTKKCWHSDPNLRPSFSSICRILRYIKRFLAMNPDYNSQLDPPVPMVDYCEIESGILRKIPSWKSCEQPPVSQIPFQMFVYRIAERERTTLKDTSESGSDGASICGDEMVTTPDEPFPPAPERKCLTSPDSMKKKLPFLKKSSDVKANRLPGTPRGRSVRPPQMSPCGRSISLRMSSESQLMAMTPRIRRTSSGHASDSELS >ONI21565 pep chromosome:Prunus_persica_NCBIv2:G2:11061096:11061736:-1 gene:PRUPE_2G073100 transcript:ONI21565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGAGICVEDGNLMVFGNKVLSDVHDNVVVTPASGGALTNGAFIGVQSDQIGSRRVFPIGKLDGLHFMCVFRFKLWWMTQRMGTSGQDVPFETQFLIVETKEGSDFGEGSKDGVDQSAVYTVFLPILEGDFRAVLQGNELNEIEICLESGDPAVAGCCGRCLFSDYGVEIRAARLVEGPRKPFNHRNRRLCL >ONI23034 pep chromosome:Prunus_persica_NCBIv2:G2:21496980:21497755:1 gene:PRUPE_2G165700 transcript:ONI23034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSDCCVAGKMYPTYKCSPPLSGNTKAYLTLNSFEKNGDGGGPSECDNQYHNDNTPVVALSTGWYNNGGRCHNHIRINGNGRSVVAMVVDECNSTEGCDADHDYQPPCPNNIVDASKAVWKALGVPEDNWGGLDITWSDA >ONI24132 pep chromosome:Prunus_persica_NCBIv2:G2:25236133:25237810:1 gene:PRUPE_2G225400 transcript:ONI24132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDHVSCDHADDDYIDMEVSSYSAYLCHSMSSPPHPREFEFQMSSISLEREPPSTSPADELFYKGKLLPLHLPPRLQMVEKLLQNSTSAFDSRKDMFEEFYSTPLMNTAAPTPTTTSTPFESCNISPSESCQVSRELNPEEYILEYATEVSGFIHENPKKSWTRKFKQSLLGSKLKASRAYLKSLFGKSGCSDENSATAAKNADGGMVLKSEDLSKYVKAAKKNPFGQIQKDKCRMSASGTRSFNKDKIIEDGAGIHRRSFSLAIKRHSTKNSTSSSSSSGSSSSSFSNHSNGTQELQFLKRCNSASSEIESSIQGAIAHCKQSQQPLRSRKTVSEVGFYSTSASRIAACEDQERPDLCRG >ONI24313 pep chromosome:Prunus_persica_NCBIv2:G2:25649873:25652738:-1 gene:PRUPE_2G233500 transcript:ONI24313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPVNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVTYKGEERQFAAEEISSMVLIKMREIAEAYLGLSVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDDKIASKLDAADKKKIEDAIEQAIQWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGAGGPDVGGGMDEDVPPAGGSGAGPKIEEVD >ONI21739 pep chromosome:Prunus_persica_NCBIv2:G2:13467127:13469459:-1 gene:PRUPE_2G085000 transcript:ONI21739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSDQRSLTILLLPWLAHGHISPYLELAKKLTTKRNFHIFICSTPVNLSSIKPKLSQKYSHCIEFVELHLPHDDLPELPPHYHTTNGLPPHLMSTLKTAFSMSSNNFSNILKTLSPDLLIYDVLQPWAPSLASLQNFPSIEFTTMGAAFTSFSIQHLKNPSVKFPFPSIYLQHYEAEKFNNLLESSANGIKDGDRVQQCSARSCNIILVKTSSEIEEKYIDYLSDLTGKKIVPVGTLVQEPMDQKVDEETWIMKWLNKMERSSVVYVCFGSEYFLSKEQIEEIAHGLELSKVSFIWVIRFSKEERSTRVEEVLPEGFLQRVGEKGVIMEGWAPQAKILQHSSVGGFVSHCGWNSVLESIKFGVPIIAMPMHLDQPINARLVEEVGVGVEVKRTGEGSLQREEVAKVIRDVVVEKFGEGVRKKALKISDNVNKKEDEEIDGVVEELIQVCTGRESK >ONI23711 pep chromosome:Prunus_persica_NCBIv2:G2:23920848:23923178:-1 gene:PRUPE_2G203500 transcript:ONI23711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCCEQWKPIVAMLVINFALAMVNVLLKKTLDQGLNHLIIVTYRQSISAVFLAPIAFFWERKSRPELTFRIICQLFFSALIGVTFTQYLFLIGLQYTSATYSCAFINMVPVFTFLLALPFGLEKVNIKSKGGIAKILGALICIAGALSLTLYKGMPLTNPNSQDTTQMQNHVNTMTSAKKTGRWAVGSVLLLVGCLLWSSWFLIQAKIGKSYPFQYSSTAILSFFGAIQAAILYLITERNLTMSMWAMKGKLEILSVTYAGAVGSGLCYVGMSWCVKQKGALFTAAFTPTIQIFVAMVDFSFFHEQIYLGSVVGSVLVIIGMYILLWGKSNDPKLIVIKHTQAAEDEDAECGPMPQNK >ONI20268 pep chromosome:Prunus_persica_NCBIv2:G2:533324:539881:-1 gene:PRUPE_2G005500 transcript:ONI20268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSQLQKLNHAPSFSLLPKSSIHTNYLIRRGSPSLINTLPRHPVCQNHNNKKNSSSCVVIRASSDDQLGSSASAVTATVPTTSTENKSVSVKAVVTVQETAGGLLSSIVTGPLDGFTDLFGKSLLLELVSAELDPRTGLEKDRIKGYANKASHKDDEVIYESNFTIPAGFGAVGAIEVENEHHNEIFIKTIDLQGFPNGSVNVPCNSWVHAKFDNPQKRIFFTNKSYIPSETPSGLKRLRELELENLRGNGEGERKTSDRIYDYDTYNDLGDPDSKEELARPVLGSKEHPYPRRCRTGRPRTKKDPLSEKRSSSVYVPRDEAFSEVKQLTFSGKTLKSVLHALLPSLETALINPDLGFPYFTAIDSLFNEGVTLPKPKTGGFFQTVIPRLVKTITEGGDDILLFETPEIINRDRFAWFRDEEFSRQTLAGLNPYSIELVTEWPLKSKLDPEIYGPPESLITTELVEKEIRGCMTVDEALKRKKMFILDYHDLYMPYVNKVREIEGTTLYGSRTLLFLTEDGTLRPVAIELTRPPVGDNPQWKQVFTPTWDATGRWLWRLAKAHVCAHDAGYHQLVIHWLRTHCATEPYIIAANRQLSAMHPIYRLLHPHFRYTMEINALARESLINAGGVIESSFSPAKYSMELSSAAYDQLWRFDMQALPADLIRRGMAVEDPTAEHGLKLTIEDYPFANDGLILWDAIKEWVGDYVNHYYPDPTLVESDTELQGWWTEVRTKGHADKKDEPWWPVLKTPENLIHILTTIIWVTAGHHAAVNFGQYMYGGYFPNKPTIARTNMPTENPSEEFFKNFLKRPEMALLMCFPSQIQATTVMAVLDVLSNHSPDEEYVGEKLESSWAENPVINAAFERFNGNLKRLEGIIDERNTNLKLKNRVGAGVVPYELLKPFSTPGVTGMGVPNSISI >ONI25146 pep chromosome:Prunus_persica_NCBIv2:G2:28163543:28165218:1 gene:PRUPE_2G284100 transcript:ONI25146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINDLRFFVEDAFSTKLSSSQDACFSSTPPHSASHFLSERRKQSNMIKALSLLLLQVAFLFTLSEAKSSIIQPEPAKDFNISQIQNAGTCSYTAIITTSCSSTRYTRDQISISFGDAYGNQIYAPRLDDASSRTFERCSTDTFEIYGPCAYQICYLYVYRTGPDGWKPENVKIYGHNSRAVTFSYNTFIPSDLWYGFNLCNTASSSAQPSAQKWLIYLIVGLLVFLQL >ONI20556 pep chromosome:Prunus_persica_NCBIv2:G2:2168499:2172354:1 gene:PRUPE_2G022200 transcript:ONI20556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLIEGNRLRQSTYLFIFGGWFCMCSRIAQPMIPDSISTKSSWCQPSSIHLGASHHPFIFVCLCLPPKAKAKTRKRNN >ONI23822 pep chromosome:Prunus_persica_NCBIv2:G2:24298928:24300160:-1 gene:PRUPE_2G210300 transcript:ONI23822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNKPTCRTLKNLIFPSEQTIFSFLRHPHNPPHTYTQKFNYVDVYMKWKKDSYYDSIEQIHKSIMLKPIISLKNCISQDPGGCIPISDVSKRGIQLEVPMKVARFLRLYPSIFEEFTGPQYNLPWFRLTPEADEIDREEKRVYEDCREDLRDRLKKFILMSKEKVLPLKIIRGMQWYLGLPDDFLQHPDKNLDESFRIVEMEDGLKGLAVESGEKVLSTVQRNAMKKGVYVGGPMEAIEFPLFPSKGLRLRRKIVDWLKEFQKLPYVSPYEEFSHLDPDSDISEKRVVGLLHELLSLFVEHSAERKKLLCLKKHMGLPQKVHKAFERHPSMFYLSLRNKTCTAILKEAYCDESAIQRHPLLSVRNKYINLMRESATIFKTRRVNSRFADRWDSGSENEDGKEVSDVLSR >ONI24653 pep chromosome:Prunus_persica_NCBIv2:G2:26654416:26657823:-1 gene:PRUPE_2G253000 transcript:ONI24653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEHPLSPPAAPPHHPPQDNDNNNKSPDSTTASTATTPTETNNKKCKGKGGPDNNKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFATAEDAARAYDRAAIILYGSRAQLNLQPSGANSQSSTRGSSSSSSSCNSSAQTLRPLLPRPSGFGLTLPFPSSAHPVPLMASGFVPYGVGVGVYPSVAAAATSSVQLSQHHPHHINMRGQDNLQNNPLLHQQQQQQQQVVVQQFHHQYPVSDGGGGDTSTSYQNPNPSHDQYQQLLHHNQNNQADSCSFDDGNSIMGSGLSLSSSQPMPVAPGCSDPMSGVGPMSPLMWPLTSEEECAPSLWDYGDPFFLDFKGFDS >ONI24232 pep chromosome:Prunus_persica_NCBIv2:G2:25523540:25527838:1 gene:PRUPE_2G231000 transcript:ONI24232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLLNLNQIWASNRNHYSGPFDLLWSSGAKDSFTCHLKSKAVPNFHPFRTMIVKHGLRRPYHAFASAAASEIPSLPSTTTNNYPRYLNLLSSCRDLKSLLQIHAHLIVSGLQQDNSTLTHLINSYSLFKKSGLASLVFDSAQNPSVILWNSMIRAYTRANKYKEARKMYHSMLEQGVEPDNYTFNFVLKACTAALDFEEGVLVHREVARKQLDSDVFIGTSLIDMYCKMGELTCAREVFDILPKKDVVVCNAMIAGLSQSEDPYEALEFFRGIQLWGLEPNLVSLLNLVPAVSRLADIDSCMCIHGYVFRRGFSSVFSNGLIDMYSKCGDVDAARQVFDLMQDRDDVSWGTMMAGYASNGLFVEVLELFDWMKGDNTKMNKVTIISTLLAATEMRDSEKGKEIHFCASQQELDSDVSVATSILTMYAKCGEIEKAKQIFEGLRKRDLVSWSALISACVQSGYPEVALSLFRDKQNEILKPSGITLISVLSACAELSYLKLGKSIHCYAVKGNIASDISLGTALVSMYAKCGFFTSALILFNRMPCKDVVTWNALINAYTQIGDAFHAIDMFHELWSSGIKPDAGSMVGFMSACSILNDLDQGTCIHGQIIKHGFEHDVPVKNALIGMYCKCGNIYSAELLFNRTKFMKDVVSWNVIIAGYMQGGYASEAICSFHQMKLENFQPNIVTFVSILPAVAYLAALREGMAFHACIIQTGFLSNTLVGNGLIDMYSKCGQLNYSEKCFNEMEHKDKVSWNAMLAAYAVHGQGVDAVSLFSLMEESLVQVDSVSFISVLSACRHAGLVKEGKKIFQAMHEKHHLEPELEHYACMVDLLSRAGLFDETLNLINTMPVVPDAGVWGALLGACRMYSNVKLGEVALSHLVKLEPRNAANYIVLSDIHAHSARWGDSGKTRSMMNGLGLKKTPGCSWLEGQNMVHLFEVGDYNYHAPT >ONI23611 pep chromosome:Prunus_persica_NCBIv2:G2:23560361:23563617:-1 gene:PRUPE_2G198400 transcript:ONI23611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMQLRSFLLGILLLIGFAFTNSEADIRAPPTHFDTASLNRSSFPEGFVFGVGSGSYQYEGAAKEGGRGPSIWDTFTHKYPEKINDSSNGDITVDQYHRYKEDVGIMKNMGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKHWMTLNEPYTFSNYGYATGSQAPGRCSTWQQLNCTGGDSSTEPYLVTHHQLLAHAAAVKLYKNRYQASQNGVIGITLVSDWFEPLSEEKENKNAALRALDFMFGWFAEPLTSGDYPQSMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYTGYYASDAPRNNSVYASYTTDAGVNLSSERNGVLIGPKGASEWLNVYPQGIQHLLLYTKKKYHNPIIYITENGVDELNDPKLSLAEALNDTHRIDFYNRHLHYVQSSIDNGVKVKGFFPWTLLDDFEWSSGFSIRFGITYVDYNDRLKRHPKLSAHWFKSFLKKY >ONI21701 pep chromosome:Prunus_persica_NCBIv2:G2:12895580:12899779:-1 gene:PRUPE_2G082200 transcript:ONI21701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWDTLAEKCKPKPFLPRYDKSLYKPLFDAVWFGDWNEAKEFLTLHPDAIRARHPYSNKTALYMATELEHENIVEELVQLMSEEDLEITDHDGWTALALAAQRGNIKMVECMVGKSKKILGITTNQNLTPILLASIYDQWDVVHYLYSVTPIEDLLPEKGPYGAGLLYYCIAKRKFGMARELILCCPQLVLTKDHYGVIPIEAFRPSTFLSGTRLKFWQQWIYDNGNDFLIPAVFRATELGMFEFIDRVLQARPNLVWACNSMRRNLFQFAIECRQEKVYNLFYYKLSKRQRIAIGNIADRDNNCALHVAGTLSPLARLDNISGAALKMQRELQWFKEVENIVLPLVKESLNMEHKIPREMFTKNHNQLVKEGEKWMKETASSCTVVGALIITIMFAAAFTIPGGNNGQTGFPIFLHKKLFTAFIVSDAISLFSSTTSVLMFLGILTSRYAEDDFLTSLPTKMIIGLSTLFISIATMMVAFSSALFIMIHEQLWIVIPMIVVAIIPVTLFIWMQFPLLVEMCISTYGRGIFDRKVKSKA >ONI21846 pep chromosome:Prunus_persica_NCBIv2:G2:14787339:14789899:1 gene:PRUPE_2G093300 transcript:ONI21846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCRICVLVIVSVFLLGFSALFVYILYGLVCITGTSITKSHGSPESPESPDKCVPSACGNINNIISPFRLANDTDQSNCTNWDYYNYNLYCDYNLTVLTIDWGKYYVQAINYDNFTIRVVDPGIRNNDFSSIPRYSLSIYNLTSHLRLASSTTPITFFKCAKAVNSSVMRTYNYVKHGNITASNMEDGCRIEWTTLMSKSFLYEKERNFSYHDIHSALGYGFELQFRFLKTRRRFVIYNWKILGTYFLIKSIFGVPFLAAFLIYKRRRMHLSMYSSIEDFLQSDNNLIPIRYSYSDIKKMTSRFNEKLGEGGYGSVFKGKLRSGRFVAVKMLEKPKANGQDFISEVATIGRIHHFNMVQLVGYCVEGSKRALIYNFMPNGSLDKYIYCKEGSNPLSCRKMYEISLGVAQGIEYLHQGCDMQILHFDIKPHNILLDENFIPKISDFGLAKLYPVGNTIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASRRKNLNTSIEHSSQIYFPLWVSNQFCMGKEFEMDDATEEEKKIIKKMIIAALWCIQLKPSDRPSMNKVIEMLEGEVECLQLPPKLLLCPQQEMPRDNLHGNSNPMCSNTELTCSTLSAR >ONI21847 pep chromosome:Prunus_persica_NCBIv2:G2:14787311:14789899:1 gene:PRUPE_2G093300 transcript:ONI21847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCRICVLVIAINYDNFTIRVVDPGIRNNDFSSIPRYSLSIYNLTSHLRLASSTTPITFFKCAKAVNSSVMRTYNYVKHGNITASNMEDGCRIEWTTLMSKSFLYEKERNFSYHDIHSALGYGFELQFRFLKTRRRFVIYNWKILGTYFLIKSIFGVPFLAAFLIYKRRRMHLSMYSSIEDFLQSDNNLIPIRYSYSDIKKMTSRFNEKLGEGGYGSVFKGKLRSGRFVAVKMLEKPKANGQDFISEVATIGRIHHFNMVQLVGYCVEGSKRALIYNFMPNGSLDKYIYCKEGSNPLSCRKMYEISLGVAQGIEYLHQGCDMQILHFDIKPHNILLDENFIPKISDFGLAKLYPVGNTIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASRRKNLNTSIEHSSQIYFPLWVSNQFCMGKEFEMDDATEEEKKIIKKMIIAALWCIQLKPSDRPSMNKVIEMLEGEVECLQLPPKLLLCPQQEMPRDNLHGNSNPMCSNTELTCSTLSAR >ONI24475 pep chromosome:Prunus_persica_NCBIv2:G2:26101403:26105202:1 gene:PRUPE_2G242000 transcript:ONI24475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVPWSRKEFFLVVWFLLLSFTCTLLVDVTHSAAHPDTRKVYIVYMGDKPKIDIPTTTTLPLHLNMLQNVVGSSNIEQEPLLLHSYKRSFNGFAAKLTEEEAQKMAGMAGVVSVFPSRKQKLHTTRSWNFIGFHENVKRSTVESDIIVGMIDSGVWPESASFSDAGFGPPPKKWKGTCQGLSNFTCNNKIIGARYYHNGRPFIKGDIKSPRDSDGHGTHTASTAAGNLVSKASLFVLGSGTARGGVPSARIAVYKVGWSDGISDDDILAAFDDAIADGVDILSLSLGKAEDDYFRDSISIGAFHALRKGILTSTAAGNDGPGPKTIANFAPWFLSVAATTIDREFVTKVQLGNQKIYEGIVTNTFDLKGKFYPLIHAGDAPNRKAGYDGSTSMKCKPGTLDHNLVKGKIVLCDGKNGYGAYFAGAVGVILQNRPVADVLDPLPMPASCLGLDSGNSIYHYINSTRNPTATIFKSTEDIDTLSPYVPSFSSRGPNPVTPNILKPDIAAPGASILAAWPPIAPVSAYPGDDRVASYNVISGTSMACPHATGVAAYVKSFHPNWTPAAIQSALITTAKPLSPDLNPEAEFAYGAGQIDPVRAPYPGLVYDATELDYIEFLCAQGYSTKLLQSITGHKSCCSSKTNYGALSDNLNYPSFALSSSNPNSISGVFNRTATNVGSPRSTYKAKVIGATKGLEIKVNPSILSFSSLGQKLSFQVTIKGSIHRKSSVSASLVFDDGTFQVRSPIVVYAIY >ONI21760 pep chromosome:Prunus_persica_NCBIv2:G2:13742267:13745831:-1 gene:PRUPE_2G087000 transcript:ONI21760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSEENANIRDHHRRLLAAKYELRRNLYKALCKDPSLPSDLREENRFKLSKLPRNSSFTRVRNRCIFSGRARAVYETFRMSRIVFRTLASKGMLNGIKKASW >ONI23864 pep chromosome:Prunus_persica_NCBIv2:G2:24464517:24467954:1 gene:PRUPE_2G212900 transcript:ONI23864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPNFIDEIDCGSFFDTIDDLLDFPNDDVESGLGPAPDCNAAFNNSLWPNQSGSLPAPNDAVFSAGNSASDLSAELSVPIEDIVQLEWLSNFVEDSFSGGSLTINKPDSFINKDTSHRQFQTSSPISVLDSSSSCSGDKNVPQSPGPVAAPGKRGRARSKRPRPATFNPRSAIQLISPASSVTEAEGPQAEPFLAPKIPSDSENFAESRPVIKIPKQASGEQKKKKKLKVSLPLAPFEGNQNSGPTQAAVRKCLHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVLEMRTKGGELVVFGETATAMNETPELIPNTNSSISMDYM >ONI23863 pep chromosome:Prunus_persica_NCBIv2:G2:24464517:24467954:1 gene:PRUPE_2G212900 transcript:ONI23863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPNFIDEIDCGSFFDTIDDLLDFPNDDVESGLGPAPDCNAAFNNSLWPNQSGSLPAPNDAVFSAGNSASDLSAELSVPIEDIVQLEWLSNFVEDSFSGGSLTINKPDSFINKDTSHRQFQTSSPISVLDSSSSCSGDKNVPQSPGPVAAPGKRGRARSKRPRPATFNPRSAIQLISPASSVTEAEGPQAEPFLAPKIPSDSENFAESRPVIKIPKQASGEQKKKKKLKVSLPLAPFEGNQNSGPTQAAVRKCLHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVLEMRTKGGELVVFGETATAMNETPELIPNTNSSISMDYM >ONI25549 pep chromosome:Prunus_persica_NCBIv2:G2:29291175:29292866:-1 gene:PRUPE_2G309000 transcript:ONI25549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEPVKEACVCATPKLSLFSLPNQPPEPPGMLTPPLQTSVSVPFQWEEAPGKPRHCSTTESKAKCARSLELPPRLLNEAAKTTNMPSPTTVLEGPDVGRTLSFSFRVRSPDSLGSKRIGKESGRGGGFGSMKWGSFRKNKEVVDHGGFDFLPPAGGSGRGGAGETKVKITRVRRRASFMSVTHTKSHTWASIYESFKQVVPWRRRQEKLRKMAS >ONI22637 pep chromosome:Prunus_persica_NCBIv2:G2:19775492:19776319:1 gene:PRUPE_2G141600 transcript:ONI22637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKSRAKPVAKQRMDKLDTAFCCPFCNHGSSVECDIDMKNMIGEALCSVCQESYSTTITALTEPIDVYSEWIDECERVNTIIADDQ >ONI24673 pep chromosome:Prunus_persica_NCBIv2:G2:26730979:26731266:-1 gene:PRUPE_2G254400 transcript:ONI24673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLIILISTLLIPLAFPSIAATEYDPKKPEEAQKKIDVVVEGMIYCQSCDHYGTWSLTDAEPIPSAKVSVICNNHKDQVSFYKAFVTDCLCK >ONI24609 pep chromosome:Prunus_persica_NCBIv2:G2:26482910:26485788:1 gene:PRUPE_2G249500 transcript:ONI24609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPARPLFVLFGSSIVQMSYDHGGWGAILSDIYSRRADILLRGYFGWNSRRAVEVLDQVFPKDAAVQPSLVIVYFGGNDSMGSHSSGLGPHVPLPEYIDNMRKIASHVKSLSASTRTIFLSCPPVNEAIIRGSTSGIFSEIVRTNELCQQYSEACIKLCQEMDIKVVDLFTAFQKTDDWLNACFTDGIHLSAEGSKIVVEEILKVLREADWKPSLHWKSMPLEFAEDSPYDLVAADGKTTLNPSSWTFYREIQWD >ONI24610 pep chromosome:Prunus_persica_NCBIv2:G2:26483002:26485519:1 gene:PRUPE_2G249500 transcript:ONI24610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPARPLFVLFGSSIVQMSYDHGGWGAILSDIYSRRADILLRGYFGWNSRRAVEVLDQVFPKDAAVQPSLVIVYFGGNDSMGSHSSGLGPHVPLPEYIDNMRKIASHVKSLSASTRTIFLSCPPVNEAIIRGSTSGIFSEIVRTNELCQQYSEACIKLCQEMDIKVVDLFTAFQKTDD >ONI24184 pep chromosome:Prunus_persica_NCBIv2:G2:25445747:25447856:-1 gene:PRUPE_2G229200 transcript:ONI24184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSSLSLSWVSTTIPDKFQLTLPNHNNELPRATTTAYFSSKNITCSAEPASIDESHCKRRPLLLGVGALTTSLLQATSLFAEEIPEKYRAFVDKVDGYSYYYPYDWRDFEFRAHDSAFKDRYMQLHNVRVRFLPTNKTDIHELGPMEEVVSDLVRYKLASPNQYATIFGMQERNIDGKNYYTVEYGLQTPNFATNSFATVAIGNGRFYTLIVVANERRWKRYRNQLKVVADSFRMLDI >ONI23559 pep chromosome:Prunus_persica_NCBIv2:G2:23291003:23293035:-1 gene:PRUPE_2G195000 transcript:ONI23559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAITIATKIKANKGNIKSGSSGHENGNYHINERWLHGSNQQKQLKNIFMRFDMDSDGSLTQLELADLLWSLGVKSTSYQLPAPSPLANGNRTTIKFDELVTAMEVFQSFERKRMRVKAALVGLVRSK >ONI23857 pep chromosome:Prunus_persica_NCBIv2:G2:24434945:24438443:-1 gene:PRUPE_2G212500 transcript:ONI23857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVKLGSKSEVFHLDGQTWLCSTGLPSDIVVEVGETSFHLHKFPLLSRSGLLENVIGEVSSEDEQECIVQLHDIPGGAKSFLLVAKFCYGVRIELNASNVVSLRCAAEYLRMGEDYGEGNLMTKAEDFLNEIFSNWSDSIKALETCEELLPHAEELHLVSRCINSLAMKASADPGLFSWPVSGKDATESSEGVAFWNGICTTAKPHPVSEDWWYEDVCSLKFPLYKRLILAVESGSMKPERIAGSIMHYAKRHLSLLGRQSSLRNGNHAASLSTVSAPSNPDQRNLLEEIVELLPDQKGVTPTNFLLRLLRTSMILQASTSCRENLERQVGAQLDQAALEDLLIPNMGYSVETLYDTDCVQRILDHFMLMDRDSIECTSSCIVDEGQLMGASHSLTPMTMVANLVDGYLAEVAPDVNLKLQKFQSLAAVIPEYARPLDDGIYRAIDIYLKAHPWLTDSEREQVCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSVASWFFVSDNLENSQNQSGNLVLGRNEASAHAGTARRDHTVTVDDMRERVSELEKECLSMKQEMEKLVKTKGSWNTFLKRFGLRLKSKSDAKKASKPRSDSKEAPTSIAPPLMKGKQDFENNELRE >ONI25380 pep chromosome:Prunus_persica_NCBIv2:G2:28827874:28831281:-1 gene:PRUPE_2G299100 transcript:ONI25380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLLDTAAGADQLSTKPHDLYEGGNEDYAPVRSFDALRCMFWIETVKLWKMAGPAVITMLCMYGTNSAVVLFVGHLGTVELSAVSISLAVISTFAFGFLFGMGSALETLCGQAFGAGEIHMLGIYMQRSWIILLVTSLFILPIYIFGTPVLKLLGQEDDIANLAGEFTIQTIPSLFSLAIIFPAQKFLQAQRKVMVLAWIAVFGLITEIGLLCLFILVFGWGTLGAAIAFDIVRWGMAIAQVVYIMGWCRDGWTGFSWLAFKEIWAFVRLSLASAVMLCLEIWYTMSILILTGHLDNAVIAVGSLSICVNINGFELMLFIGINVAISVRVSNELGSGRPRAAKYSVYVTVFQCLLIGIFFMIVILITKDSFSQLFTSDKDLQQAVAKLAYLLCITMLLNSIQPIISGVAIGGGWQALVAYINLGCYYIFGLPLGYLLGYTANLGVMGLWGGMICGTALQTLLLMIVLYKTNWNKEVEQATKRVRKWGGQDVTAENGAQSKGKAEAADDDYAAVRSFEEAMWVSWKEAAKLGRIAAPVAFTTLFQYLVVSVTTVFVGHLGDLELSAISLSVIVISGIPFGLLVCIYLHCFCFYFYFYIYVYICIIYVHVKCDCLHVHIVLS >ONI25247 pep chromosome:Prunus_persica_NCBIv2:G2:28507802:28510512:1 gene:PRUPE_2G291700 transcript:ONI25247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSTNLDSVKLNSPELPSLIRYISSNEVAGFDNVEENRFLHGSCQPSHLQPISPSAKGIEAAALECAFDGSEPPIHQRVHSVSISMPSSPTGIHLHNSKNVIFSEIPNSSAATETAGSKLPKAVKFHSQPMPKSSALEEAISTGHFSYHPSIERLKDKRFDTFKTWSGKLERQITLLRGKTPRETEPENANLQNAEVECLPADRYFDALEGPELETLRDSEEILLPEDKQWPFLLRYPVSSFSICLGVSSQAILWKTLPTSASTKFLHLSLIPNLVLWCVSVALVAIVACIYLLKVILYFEAVRREYYHPVRVNFFFSPWIALLFLALGVPPSFANNLHPALWYILMTPILCLELKIYGQWMSGGQRRLSKVANPVNHLAIVGNFVGALLGASMGLKEGPIFFFAVGLAHYMILFVTLYQRLPTNETVIPKDLHPVFFLFVAAPSVASMAWGRIQGSFNYGSRIFYFISLFLYLSLAVRVNFFRGFKFSLTWWAYTFPMTGAAIATIRYSNEVTNAVTQTLAVILSLTATIIVTILLITTILHCFVIQDLFPNDIAIAISDRKLKPNRTWFQLRHGSSDSKDIEKLLKSATSSETKDLEATKL >ONI25193 pep chromosome:Prunus_persica_NCBIv2:G2:28315091:28320984:-1 gene:PRUPE_2G287500 transcript:ONI25193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKIEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAQVSVIIFSQKGRLYEFSSSDMQDTIKRYHKHAKAGQTNKIEVEEYVEQLKHESTAMAKKIENLEASQRKLLGHGLDSCSVEELQEITGQLERSVRKIRERKAHLFAEQMEQLRAKERLLIEENAKLSEEFGAQPRQLLLQQQLSVEEKGAVSYWSQSSLSSEVETELFIGPPVTRC >ONI25085 pep chromosome:Prunus_persica_NCBIv2:G2:27928937:27932081:-1 gene:PRUPE_2G279500 transcript:ONI25085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTMGATNHNQLNDIPPNKMKLQDVSTPSSRKPKAKKKDSDVVMSSVGPDQSAIQDHQIHRAEGLSISECEQSIHAALAVMAHNQMPKQLYKEEIIERILEFSRHQIMDVMCAYDPSYHALHRPIEEDEDPDAEIGSASKKRHSIKTVKVHKSSFNRVAAAVNNILQKMCTILGLLKDLFLIERLSDGCILQLVKTSFTTFMLFTQWEFSLDADYPTKGHEAATEACCHFWTRVLQRFASVKTQEASELKVMMENLVTVLLTTLNLPEYPASAPILQVLCVLLLQNAGLKSKDIGARTRAIDLLGTIAARLKRDSALCSKDKFWILQELVSVDGDDQTDPKNACSVCLEDRVEKNFFVCQGCFDTRHLIAKGKKKIV >ONI20448 pep chromosome:Prunus_persica_NCBIv2:G2:1517651:1523332:-1 gene:PRUPE_2G016300 transcript:ONI20448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAENSDSCVPLYRAALKNDWKAAKKIIDDEPSIVRANIAQGSYTALHVAAGAGHVRFVEKLMGRMVLNDLRLLDERGNTAFCVAAAVGNVKIAKIMMRRDPGLPKQRGFEGHTPLYIAALFGHQKMVSYLYSEPTYRDSQEDLPRLFFTSIDSGLYGLASKLLEDHPDFALKRDRNRKTALHLLAQKPKPSSYPRGSPGIFASFKRYKNPEQALVKQLWFEVAKHGKAKLIDVLTSPSHLLFDAMEIGNCEFVAQLIYECPGLVWERNSKGWTIIHAAVWHRHETIFSLIYEVGIVKNVIATFKDKEDGSTLLHLAARSAPVSQLNRLAGAGFQIRRELLWFEEVKKIVQPSYIQMKNSKGETPQELFTSEHATLLKDGQTWMNGTAQSCTIVSTLIASALFAAEVTVIMGNHQVKSAPFRIFIISDAIAFLLALAATLTFSAILTSRYAERDFLSALSWRLKMGLALLFFSITAMMFTFSSAFFIAYGHKDVFSILVTVCAGVPVLLYVFLQFPLLKDIFASTFSCTSIFKQSEPVLL >ONI23564 pep chromosome:Prunus_persica_NCBIv2:G2:23320912:23322266:-1 gene:PRUPE_2G195400 transcript:ONI23564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKPPTMKEDYQKKLTPFKLLKPIAYLLLLLLSFTLGYLSSPSSPTTPSFSPPTPQLPQLVNLPTQLDHFRLTTHCATPLPSHLIRQTILDRLFNSTSPFVNFPPPYATPLLRRSRVKGWGSKGAVFQNLIQKVQPKTIIEIGTFLGASAIHMARLTSQLGLKDTQILCLDDFRGWPGFRNRFGGIGLLNGDVLLLYQFMQNVVSVNATETVLPIPFSTGSGLDWLCEMGVYGDLIEVDAGHDFNSAWSDIIRAYRILRPGGVIFGHDYFTAADDHGVRRAVTLFARVYGLKIKLDGQHWVIESS >ONI25413 pep chromosome:Prunus_persica_NCBIv2:G2:28941804:28943190:1 gene:PRUPE_2G301600 transcript:ONI25413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLELYDEKVKKKAMRAVSGLEGLDSISMDMKDKKLTVTGDIDPVNLVSKLRKLCHTDIVSVGPAKEEKKKEEPKKDDPKKGDEKKKDPKDEMAELIKAYQAHYPPMPAYYYVRSQEEDPNSCIIS >ONI22676 pep chromosome:Prunus_persica_NCBIv2:G2:20115693:20119767:1 gene:PRUPE_2G144000 transcript:ONI22676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFTFSILLLLLFPSLCFSSLTELPALMAMKASLDPQNQFLTSWTPHSEPCSGAFEGVACNEQGLVTNISLQGKGLWGQIPPAVGGLKSLTGLYLHFNALSGKIPKEIARLNQLSDLYLNVNNLSGGIPREIGNMPNLQVLQLCYNKLTGGLPTQLGDLKRLSVLAVQSNQLTGAIPASLGELGTLTRLDLSFNSFFGPIPARLAHAPMLQVLDVRSNSLSGNIPLALKRLNGGFQYENNPSLCGVGFSGLKICTATTTRNPNKPQPFEPGNFSAKNLPDSPTAKEIPESANLESNCSQTHCSRASKSQQIGIVFGVIGVIAALAVSGLFAFSWYRRRKQKIGSTLDTSDSRHSTDQAKEVYKKNASPLINLEYSNGWDPLAKGSAGYSQEVLESFMFNLEEVERATQSFSEGNLLRKSNFSAIYKGILRDGSVVAINCISKTSCKPDEAEFLKGLKILPSLKHENLVRLRGFCCSKGRGEWFLIYDFVPNESLLQYLDIKVGSGEVLEWSTRVSIITGIAKGIGYLHGSMGNKPAIVHQTISAEKVLIDSHYNPLLSDSGLHKLLADDIVFSMLKASAAMGYLAPEYTITGRFTAKSDIYAFGMIVFQILSGKRKITQVNRQGAEAGRFEDFIDANLEGNFSESEATKLGRLALLCTQESPSYRPSIENVVKELSGFICT >ONI20236 pep chromosome:Prunus_persica_NCBIv2:G2:437412:442589:1 gene:PRUPE_2G004300 transcript:ONI20236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGGTGGTANHDATTTTHTNNNTNNNNSNNSEPELQDQTTTFTSSWGTWEELLLACAVKRHGINDWDSVAMELQAKTSLPHLLTTAYNCKLKYHDLRRRFNSQHQNDDVALPSPPPPDHHHHHHDTPSIPWLDDLRKLRVAQLRQELHRNDVSIVSLQLKVKRLEEEREDSSKDDEAKPDLEEEDSKGHRSENDKNIQDEPDRSKPDQENQSVNGSNSTCSKSLDNKPSQEDRVASEPEPHPVLDGSREPTKGTGAGGHSESESDKGSSETVAKKRSREGKGGGDSAGEMRESSEAQSSASLTRKWNRKRRRRVEVPEQQRNNEELLLVKSQPLVGLLQMIRAHEHSSLFERRLPTQESDKYKSMVQQHVDLETIQSKLHKDAYSSCALSFYRDLLLLFNNAVVFFPKSSLESLTAHQLRRLVLNEMKKNNLITRPPPNPSPSSEPSDSLPAAAVHAPPPTTTPPCPPPPTQPPKRPDQLERSDSLLAKHKSTAPIIVCRKRSSISSKPSAAASIFDVKPKGEQQQPKQQEEGDEKKKATAFDVKTPINNNKASSKDDVAEEHKGGGAGAKAKDNPVTTGTRSSRRTHHENNNNKDGSGSTKKQTGTPKADKKSKTEAAALDKKRSAAADFLKRIKRNAPASDTLKSGSGSGSGTSTRSGGGEQKRRGGGKVDKVKERVLRQSGGDKKKQAKEESSSPSKRSVGRPPKKAAAVTANVVASSKRPRENGGKQEASRRPRKRSRR >ONI23675 pep chromosome:Prunus_persica_NCBIv2:G2:23822934:23824118:-1 gene:PRUPE_2G201700 transcript:ONI23675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIHGRCCEKNPTAKLYHKTRLEKLQSIALLSI >ONI24726 pep chromosome:Prunus_persica_NCBIv2:G2:26936343:26938093:1 gene:PRUPE_2G258400 transcript:ONI24726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLLLGHCYKPTTTGGDSESLGPHGISAATLGVWALVYDLFNFEITSQVPEDLSQHVVSSKKAQANWYRKLVETWREAKQPPRTEEEAARLVIQTLKGHKKADVQGLLDFYGLPRPHSLVKVPAGVDAKAVPDGDGVTVYVSTADPRESSCVMSDVQIAAIQRSEARADKNYTKADELHQKIIDAGYRVLNVQNEEILARKYRIRLRGIDAPEILMPYGQVAKEELVKLLEGKCLRVLVYGEERYGRCVADVYCNGIFAQEVMLKKGGAWHYSAYDAPNLQEQIGLWASSNPEKPWDWRKDRRQRQMTYW >ONI22294 pep chromosome:Prunus_persica_NCBIv2:G2:17735156:17738480:1 gene:PRUPE_2G119500 transcript:ONI22294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKMILSVLLGGFVVLFLYLYESLVLKPKRLRSKLEKQGIRGPSPSSVLLGNIPEMKSIKLKVMKKSEEVSSIKSKDHHPSLAHDWPSTIFPHLVQWTNEYGPIFMYSTGSIQLVSVTDIDMVKEVSLCTSLKLGKPAYLSKDRKPLLGQGILASNGPTWSHQRKIIAPEFYSDKVKGMVNLMVDSTTSMLRSWESKIESEGGMAVFRVDEDLRSLSADIISRTSFGSDYSQGKEIFLKLRTLQKVMSQLGNIGIPGLRYLPTKANREIKRLEKEIHSMILRVANQRSTEATHEKDLLQMILEGAKKYDDADSLFSAGISQEDFIVDNCKNIYFAGHETTAITASWSLMLLAANLEWQVRVRDEVLEICGDGIPDADMLRSMRTLNMVIQETLRLYPPAVFVIRQALEDIKLKDILLPKGMNIQIPIPILHQLHDLWGPDALNFNPKRFENGVLGACKFPQAYMPFGVGARVCLGQHLAMTELKVILSLVLSKFSFSLSPAYQHCPAFRLVIEPENGVNLHVRRV >ONI24550 pep chromosome:Prunus_persica_NCBIv2:G2:26311575:26312294:-1 gene:PRUPE_2G246200 transcript:ONI24550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLVIASADPKSISSNQPVKFTKSVTQWFTKDGILVEGLFWKDVEALINEYQKEPKKSK >ONI21735 pep chromosome:Prunus_persica_NCBIv2:G2:13384031:13385857:1 gene:PRUPE_2G084700 transcript:ONI21735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMKSLGSISNNGRLSTEENEDEEVSRLAISAWEAREEEIERKKMEVKEKVELQLGRAEEETRRLAQIWEELEVMGDPLRKELANVRKKIDMVNRELKPLGLSYQKKEKEYKEALESFNEKNKEKAQLVTTLMEMLTESERLRMQKLEELSKNIEPTH >ONI22883 pep chromosome:Prunus_persica_NCBIv2:G2:21016859:21021713:1 gene:PRUPE_2G156800 transcript:ONI22883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLNLPASKRSPRQWRLLDLVSAAFFGIVIVFFLLVFTPLGDSLAASGRQALLLSTNADPRQRHRLVALVELGQHQQPIEACPVDAVDHMPCEDPRRNSQLSREMNFYRERHCPLPEETPLCLIPPPNGYKIPVQWPDSLHKIWHSNMPHNKIADRKGHQGWMKLEGPHFIFPGGGTMFPDGAIQYIEKLGQYIPISDGVLRTALDMGCGVASFGGYLLSKDILAMSFAPRDSHKSQIQFALERGIPAFVAMLGTRRLPFPAFSFDLVHCSRCLIPFTAYNATYFLEVDRLLRPGGYLVISGPPVQWTNQDKEWADLQGVARALCYELIAVDGNTAVWKKPAGDSCLPNQNEFGLELCDESDDPSDAWYYNLKKCMSRTSSVKGEYAVGMISKWPERLTKAPARATLMRNGIDVFEADTRRWVRRVAYYKSSLNLKLGTPAVRNVMDMNAFFGGFAAALKSDVVWVMNVVPARKPSTLSVIFDRGLIGVYHDWCEPFSTYPRSYDFIHVTGIESLIKHPGSTKNSCNLVDLMVEMDRMLRPEGTVLVRDSPEVIEKVARIAHAVRWTASIHEKEPESHGREKILVATKTFWTLPSASN >ONI20326 pep chromosome:Prunus_persica_NCBIv2:G2:870631:871851:1 gene:PRUPE_2G009400 transcript:ONI20326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFLAMLLCLLLASTPKAHASVFDVTSATYGAKPGSDVSTALAKAWSDACASPSASKVVVPSGTYKLKEATFRGPCKAPIEMQVQGTLEAPADASQLTRPDTWVGFQYIDMLTLSGGGTFDGQGALSWNQNDCHKNKNCKPLPVNLRFEFLTNSKVQDITSLNSKFFHMHVFRCNHTTFQQLTITAPDESRNTDGIHIGASTAINITHSKIGTGDDCISIGDDSHEITVTDVTCGPGHGISIGSLGKYKEEKDVTGIIVKNCTLTNTENGVRIKTFPDSPSPSTASGIHYEDIIMVNVSNPILIDQLYCPYTQCEQKPPSKVKINNVSFKNIKGSSFSPLAIKLVCTTGIPCENVELTDIDLTYGGNKGPLTSMCSNVKPTITGVTKALGCATSSLAPLPLSKK >ONI25731 pep chromosome:Prunus_persica_NCBIv2:G2:29702174:29706912:-1 gene:PRUPE_2G317200 transcript:ONI25731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCCSKNVSVADNEPTGRQQSKHSPVAPVPPSPSTTDVHSGKETPANSFSASPLQSPLPAGIAPSPARTPGRKFRWPLPPPSPAKPIMAALRRRQGREAKPKDGPIPEEHQGDQVEADRALDKSFGYGKNFGAKFELGKEVGRGHFGHTCWAKGKKGQLKGQPVAVKIIAKAKMTTAIAIEDVRREVKILKALSGHKNLVRFYDAFEDANNVYIVMELCEGGELLDKILSRGGRYTEGDAKTIVVQILSVTAFCHLQGVVHRDLKPENFLFTTRNEDAPMKVIDFGLSDFIRPDQRLNDIVGSAYYVAPEVLHRSYSLEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFNDTPWPAVSSEAKDFLKRLLNKDHRKRMTAAQALTHPWLRDEKHDVPLDILIYKLVKSYVRATPFKHAALKALSKAITEDELYYLRAQFRLLDPKHGYVSLDNFRTKNAVGPHEKFN >ONI25730 pep chromosome:Prunus_persica_NCBIv2:G2:29702091:29706992:-1 gene:PRUPE_2G317200 transcript:ONI25730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCCSKNVSVADNEPTGRQQSKHSPVAPVPPSPSTTDVHSGKETPANSFSASPLQSPLPAGIAPSPARTPGRKFRWPLPPPSPAKPIMAALRRRQGREAKPKDGPIPEEHQGDQVEADRALDKSFGYGKNFGAKFELGKEVGRGHFGHTCWAKGKKGQLKGQPVAVKIIAKAKMTTAIAIEDVRREVKILKALSGHKNLVRFYDAFEDANNVYIVMELCEGGELLDKILSRGGRYTEGDAKTIVVQILSVTAFCHLQGVVHRDLKPENFLFTTRNEDAPMKVIDFGLSDFIRPDQRLNDIVGSAYYVAPEVLHRSYSLEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFNDTPWPAVSSEAKDFLKRLLNKDHRKRMTAAQALTHPWLRDEKHDVPLDILIYKLVKSYVRATPFKHAALKALSKAITEDELYYLRAQFRLLDPKHGYVSLDNFRTALMRNSTDAMKESRVHDIINVMEPLSHKKLDFEEFCVAAISTYQLEAHEGWEKIASTAFEYFENEGNRVISVEELAQEMNLGPTSYPLLNDWIRTSDGKLTFLGYTKFLHGVTVRSSNTRQR >ONI21034 pep chromosome:Prunus_persica_NCBIv2:G2:5408587:5413667:1 gene:PRUPE_2G046700 transcript:ONI21034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALVGEAFLYASVQVLCNKIGSHEFRDLFREKKLDESLVKKLKITLLSLNAVLNDAEEKQFTNTYVKEWLDELQDAVFDADDLLDEVNAEVLRCKVEAEYRTVKTQVWNFLSTSLNPFYQGMNGRIQELFDRLEHLAKQKDFLGLREGVVGGKVSQRTPTTSLVDESCVYGRDGDKEKLMKLLLSDDASDKDVSVITIVGMGGVGKTTLAQLLYNDEKVKEHFNLRTWAYVSEAFDVTRVTKSLLESVSSKAYDNKDLSFLQVELGQQIKGKKFLFVLDDLWNENYGDLSLLQRPFASGAKGSWVIVTTRNESVASLVRTIPIHFLKPLSDEDCWLLLSKHAFENGNSSAHLDLEEVGKKIASECNGLPLAAETLGGLLRFNTNYEQWNSILNSNIWELPPEKCNTIPALRLSYHYLPTDLKRCFAYCSIFPKGFEFRKEDIVLLWVAESLIPQAESEKSMEELTKKYFDDLLSRSFFQRSTNEKFTMHDLINDLAMSVSKESCLRWKGGESHEVLKKVRHLSYAIGQFDCAAKFEPLYEVKHLRTFLPLRSKEWWFEHHVISKRVLPELLPNLTCLRVLTLSEYDNIVELPNSIGNLVHLRYLDLSNTGIKRLPSIVCTLYSLQTLLLASCRSLFELPADMRKLINLRHLDCSGTQIEEMPVQMGRLKSLRTLTTFVVGKSTGLTIGELGEFSHLGGKLSILKLNNVVDGRDALQANLKNKQDLKELELAWGSKDADHSEKVRDVLDNLQPCMNLEKLAIKLYSGTSFPNWLGDSAFNKIKVMRLEGCHYCFKLPPLGQLPALKDLFICKMKFLGTLGHELYGQPFQSFQSLEKLEFEEMAEWEEWVPSGSGGPDFPRLQELILKKCPKLRRSLPCDLPCLKKLVVKGCGVLHDQRVTATTSTSTSLNYNCLEELEIEDGCQTGLLSLLETKLLSRLYVRRFNDIQCLPNINRLQRLALSTCPTLSSFPEDGLPTSLTSLNIYSCRRLEFLPHEMLAQLTSLVSLTLLNSCDSMRSFPLGIFPKLTTLYFWNCENLESFCLIEEEGAVENLSHLNYLNIAGCPNLVCFPHGGLPTPNLTYLEFSRCEKLKSLPERIHTLTALRYLYIRDLPSLESIADGGLPPNLRYFIIENCERLRASSSSVGDYCNWGLQALVSLKQFKICGRGSDEILETLLKQQLLPTTLCTLGIEDLSTLKSLDGKGLAHLTSLQQLFINRCDSLEFLPGEALQHLTYLQELHISNCPSLQLLPEEGLPPSLSYLRIYNCSALEKRFQNKTGEDHWDNISHIPCIKINDEVII >ONI21049 pep chromosome:Prunus_persica_NCBIv2:G2:5499507:5500408:1 gene:PRUPE_2G047900 transcript:ONI21049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMKKKPGQGRQKIPIAKIAKRSNLQVTFCKRRSGLFKKASELCTVCGVEIAIVVFSPANKPFSFGHPHVESILDRFLCTPKPSTDSDFTSQQLLVDQAQGYMSDSGVHELNMVLIQTQKHLEAEKKRGQELDEMSKAGQGSSRRCWWENPIDEMGLHEIQILKAAMKEVKKNVIEQVNKILKIQSCAAINSQYHSSDEW >ONI20783 pep chromosome:Prunus_persica_NCBIv2:G2:3577697:3588244:1 gene:PRUPE_2G033400 transcript:ONI20783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHYTSSGLTNLTLYYGCNTSTSTTTTTPELTSTTQVCSRRNSGNITVSYVTPSTRVDPVAPGACKNRVIVPVSTTAAAALETNRTAIQDAVDGGFELELQIHTDQCNTCTQSGGKCGLDTASGGFSCFCQDNRAYATRCNITTNISNPPPPRPKGSNSKAKYEIGISAGVSGIFIIIFCIVCISRKRISGFFKKDIRDEFDVEAFIRNYGSLTPKRYSYADVKKLTDSFKDKIGKGGFGTVYKGRLPDGLVVAVKVLSESNGNAEDFINEVASIGRTSHVNIVTLSGFCYERDKRALIYEFMPNGSLDKFIQKQGSEAKCRLEWKTLSEIAVGIARGLEYLHRGCNTRILHLDIKPQNILLDKDFCPKIADFGLAKLCKPKESIVSMMGTRGTAGYIAPEVFNRNFGGVSHKSDVYSYGMLVLEMVGARKNLDSEASHTSELFPHYVYKDLELDNDENVFGAITEEEKEIARKMVIISMWCIQTNPSNRPSMSKVVEMLEGPLHTLKIAPQPFLFSPAQGSMTTSQPAEIDTEAILFHEQISGN >ONI24294 pep chromosome:Prunus_persica_NCBIv2:G2:25619148:25621073:-1 gene:PRUPE_2G232700 transcript:ONI24294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPPQQPHRNGETTPYPIPNHPFHSTVSLRKLRRFNYLILVFRIASFSFSLASFIFMLSTSRGPNSPRWYHFDAFRLVVGANAIVALYSLFEMVASVWEISRGATVFSEVLQVWFDFGHDQVFAYLLLSADSAGTALARVLKGRDTCTAWNAFCIQADVAIALGFVGFLFLGFSSLLSGFRVACFIIKGSRFHL >ONI20812 pep chromosome:Prunus_persica_NCBIv2:G2:3745135:3746007:-1 gene:PRUPE_2G034800 transcript:ONI20812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDEVDTSNQNRNPWWLCSLNQVEEVKLVLRLIPIWLSCLMFGVIQAQLHTFFTNKVAQQSDQSVPISKSLQHHFKDLIGIGLVISILNMVVSAQVEAKRLNVAKHYNLIDKPKAIIPMKVWWLLPQYLICGVSDAFTTVGLQELFYDQMPEQMRSMGAAAFISVIGVGSFISSGIISIVQSITSRNGEKWLGDNINRAHLDYFYWVLAVLSTLSLCVYVLITRAFVYRKIGGEEKLKAEMSLFAKNHDGGET >ONI25294 pep chromosome:Prunus_persica_NCBIv2:G2:28609689:28616738:-1 gene:PRUPE_2G294300 transcript:ONI25294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRYLNIDYFTVTPIQALETVTFLHLPIPHLAHSHLSTFNGLHFLHFDSVLEFSLQIERLPIETALSKFFSDVLPQSIDLDAPDFEIVASSPTSRSFGSGSHGLQFSEKAEVLKREKELENQLTFASGPLEIEIRKKDNGRTDEEKDAIKYNVLQFETQELDVFLENAYIYEKEEIQIISEVQETQINLETLNLTIEYPWEVHESVHTIEDFHSEYPMDQKAYLFEDDGSYKDQMHFYQTFPLFEANEITLQTLTGLSVEDELFSVYENIEPQHWAQKDKLNGKELLGTKEYGILEQCLRSDVGSLDITPEMDLLSMVEMSQIQGNIAYQGVSLGACFQSMSPVVFQEFQILDLDTSQNFEVLFTAQTANEPETCHWMFNSDVNFSTLIVSHELALVDETFKSLPVPVLSDHEKISSLYVVIEETLNDLKPQPLSASDRIYLDWHLLEKDKCNFQTYSSHQKMLEDMSSLSTCFDWDSYDEGKLVYDFAFSDDTVDGLNTEENKEIQELLSDGIPMLAGHLGDGSAKLSDDNFPQPKRGEDRDKRSAERASSFFESMSQFSDFDFFLNPRKASTGENSNCAVTKVDNTATFTEGEQSHSTSAPVGNINDQKSKELLNIFPGEEKNDMRSKETANEIEARSMPLPNPSMPSAMDTELTQQNMMSFPEMVIIVNTQNLDKEMIVSRRSTYQKILAKEKEGAQVVERDSDLPVDIIISSAICLVWYDCRNIGKKATALDEASSCLPLCIEDIATNVLTLLSFTFSGCIMVFEGEQSFLSTVMESSDGLYAAAASLGIDLQLFNSYSSELTDEIILSCMGYATKLIRWIYPRMPESETLAESFLTKFPSVNSLTAHAILSSGGLLKEFLEWSYETRICAIQKYQVPDESITLFSALCKYGELEDSKSIMTDCSSSVSSGPDSGRFRKRRKYNGSPDKYEIQMNSLLHLEQLNRFTDGILDPSTISKLPDSDSCMSKSPKRHDEFRRPKFSQNDLLDQEQGLDMDMMMSPFRVLETYDSQIAKGPQSLNEIKRSCLSSEGKLSGQKHRSNMPIMNKFDLNTMKNSEILHEDLRGEVIDLTESPVLDEDFSSIANSMKFSSLMPELEIDSTRKSKAARKLSFDSSSHRTFPTAAEINSSSTVWHSVKDPRKSSQVGANNNSDTDLEHDVFSLRHRNKPLEESFMQRSGGKSQGLHLHENDISQYGGTPLKNALRSGNSQQNTPWTIEFLNRIKEKSRLRQQSLPHDLSGPSLGYLGNVSKVTKRRSPSIIEFFKYQGGNTPRKLPETKRQKRPLQSSSSSKKEKGSAPPLTAWTPADKRARQTLSFAMNDSGSQTKLVWSDGSHGLRKKF >ONI20449 pep chromosome:Prunus_persica_NCBIv2:G2:1546510:1546990:-1 gene:PRUPE_2G016400 transcript:ONI20449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKLIWDHSPGVNIFKLLMRIRRDELPQIPENLCEEGKDFLGKCFVKDLRKLKSYFGGSPFPRGNFDFLDWVSMASYGISLGFGDFFGFGVLDLGISPEEAQALPHPLQHCLLLSATEPATTTLQTQPLAWPLL >ONI24370 pep chromosome:Prunus_persica_NCBIv2:G2:25803863:25805408:1 gene:PRUPE_2G236600 transcript:ONI24370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEDFLMQRSEEKQKRFDLEEEVMKLQSELDGQQTLNRFLHCALHGPLLSHPCLLSLLPPQVQVLFSELAMVEEEISRLERKVEELKLRLYQEREHTKEWEVQRRQGQQNHLLCRVGNQSVLNEERCSRSQNYEALRKERRMKNRRASVDSASDFQRWNFPKSDGEIAEMSRKLSGRSRNQGNVDINETGISLKPNEISEEVLKCLIGIFLDLKQTSLDKEGSSVVPKLTLSCMNSKGFMAKTSFNCKSSTVFFNYNTSNVDPYCILPDIDGAVRDVGPYKNFIQIKRSSLDISRLSDCLTGIGKLRLLTAT >ONI20843 pep chromosome:Prunus_persica_NCBIv2:G2:3949476:3951530:1 gene:PRUPE_2G036600 transcript:ONI20843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFNSRTLTTEHLGISLLSKLRLRTTGYLAIIPDDHPILFTKRSIACISFFSETIIHCGFFLKAERTSSSDQPHILRSSRLLFHTNLFLPHNARRAFTEVDFSRLNPISLTSPTS >ONI24938 pep chromosome:Prunus_persica_NCBIv2:G2:27459749:27462886:1 gene:PRUPE_2G270200 transcript:ONI24938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVLLLIRFLTIATTTVSIGLCNGNMAGPCNEGEKQALLTFKQHLKDPANRLSSWVGEEDSNCCNWTGVVCDNLTGHVLELHLGNSNSLLNSNTSLGGKVSRSLLSLKHLNYLDLSNNDFQGIQIPKFFGSLISLRYLNLSKAGFEGIIPHQLGNLTSLRYLCLGDYKLKVENLQWVSGLSHLEHLDMSSADLSKASDWLQVTNMLPSLKELHLFGCGLYHIPSLPLINFNSLAILDLSANVFTSLMPKWVFSLRNLVSLSLNNCGFQGPIPSNPQNITSLREIDFSWNNLSLPIPAWLFNHKDLTSLNLGYNFLGGTIPDGIANMTGLKVLNLETNLFTSTIPKWLYSFSNLESLILSGNHLQGEILSSIGNLTSIVTLRLNDNQFEGKIPKSLVKLCKLVDLDLSMNNFTVGKASEIIESLSSVCPSDRMKSLSLRYCNLSGHLTEKIRDFKNLSYLDLSGNSISGPIPVSLGNLSFLVKLDISDNQFNGTLPETIGQLKMLTNLDISYNSLEGVVSEVHFTYLSRLEEFSAKGNSMTLNTSRSWLPPFQLQHLYLDSWHLGPELPNWLQGQALLWTLSLPNTGVSGIVPTWFWNLSSQLVYLNISHNQLCGEVQDMVVGPSVVIDLGSNQFNGSLPLVSSTVHMLDLSNSSFSGSVSRFFCHNMHEPKQLFLLHLGKNLLTGKIPECWMNWQNLEVVNFEGNHLTGNIPRSMGYLLNLKSLQLRNNHLSGELPSSLQNCTKLSVVDLGGNKFVGSLPLWIGSLSDLLVLNFRSNKLQGSIPSELCNLINLQILDLADNNISGTIPRCFHKFSAMATLSKSNSPNILLYDIYSCGRYIVEAILVTKGREVEYREILGLVTSMDLSNNIISGDIPEELTSLLRLRTLNLSENLLTGRIPSNIGNMRRVESLDFSMNQLDGEIPQSMTSLTFLSHLNLSHNNLTGRIPESTQLQSLDESSFIGNKLCGPPLEEKCGAKSVIPAAVEQDRGYNLVEDKWFYLSLGLGFRFGFWSFLGFLLTNMPWSIVFSRFLNRIVQKIYGVIC >ONI24177 pep chromosome:Prunus_persica_NCBIv2:G2:25419570:25420992:-1 gene:PRUPE_2G228700 transcript:ONI24177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIGIMNIAGSCTSCYLTTGPFSRSAVNYNARCKTTMSNVVMAIAVMFTLLFLTSLFHYTPLVVLSAIIIAAMLGLIDYEAAIHLWKVDTLDFVVCMSACIGVVFGSIEIRLVLVETFRMPYMVYRNVEQYPNASNVLGILILEIDAPIYFANTNYLRERITRWINDGEDRIKSAGESSLQYVILDMTAVDNIDTSGISMFEEVKKLVNRRGLQLILTNPGSEVMKKMNKSELIENTCQEWIYLTVAEAVAACNLMLHSTKPNPGKDQEPAAWNNVGWLWLATT >ONI23610 pep chromosome:Prunus_persica_NCBIv2:G2:23539043:23542314:-1 gene:PRUPE_2G198300 transcript:ONI23610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLRSFLLGILLLIGLAFTNSEADIRAPPTHFDTASLNRSSFPEGFVFGVGSGSYQYEGAAKEGGRGPSIWDTFTHKYPEKINDSSNGDITVDQYHRYKEDVGIMKNMGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKHWMTLNEPYTFSNYGYATGSQAPGRCSTWQQLNCTGGDSSTEPYLVTHHQLLAHAAAVKLYKNRYQASQNGVIGITLVSDWFEPLSEEKENKNAALRALDFMFGWFAEPLTSGDYPQSMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYTGYYASDAPRNNSVYASYTTDAGVNLSSERNGVLIGPKGASEWLNVYPQGIQHLLLYTKKKYHNPIIYITENGVDELNDPKLSLAEALNDTHRIDFYNRHLHYVQSSIDNGVKVKGFFPWTLLDDFEWSSGFSIRFGITYVDYNDRLKRHPKLSAHWFKSFLKKY >ONI23505 pep chromosome:Prunus_persica_NCBIv2:G2:23123357:23125423:-1 gene:PRUPE_2G192000 transcript:ONI23505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVTAPAPWKQLLLNAMESNAHLRHSSYIQLATIACNGRPSNRTVVFRGFQEDSDKIQINTDGRSRKIEELKHCPFAEICWYFTDSWEQFRINGTVDIIDGSNPDPIKLQQRDKSWASSSLKSRLQYLGPQPGLPNLSEEPPKEVSVDPSTGPVGAFCVLVLDPQQVDYLNLKSNQRLTFASTGSPNEEKCWTSERVNP >ONI23504 pep chromosome:Prunus_persica_NCBIv2:G2:23123047:23127136:-1 gene:PRUPE_2G192000 transcript:ONI23504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVTAPAPWKQLLLNAMESNAHLRHSSYIQLATIACNGRPSNRTVVFSDKIQINTDGRSRKIEELKHCPFAEICWYFTDSWEQFRINGTVDIIDGSNPDPIKLQQRDKSWASSSLKSRLQYLGPQPGLPNLSEEPPKEVSVDPSTGPVGAFCVLVLDPQQVDYLNLKSNQRLTFASTGSPNEEKCWTSERVNP >ONI20193 pep chromosome:Prunus_persica_NCBIv2:G2:305727:308320:1 gene:PRUPE_2G002200 transcript:ONI20193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLSQLWSLLGLLTVLQNVLPSQLLSLLHSLYQSLQDMLCPYSYFDVPEFNGYCGVHLNDLYRHVNLYLNSLVNVNVNVTSNPSSSSFNARLTLSRSNSSNRISFTVAPNHSVSDSFGGHILSWTHHVDTVQDSLDEKRSFVLKLPKRHRHALLHPYLHHLTARAEEFERVSRERRLFTNNGHASYDSGWVSVPFRHPSTFDTLALEPQLKSQLTGDLKAFANGKDFYHRVGRAWKRGYFLYGPPGSGKSSLIAAMANYLCYDVYDLELTKVSDNSELRALLIQTTNRSIVVIEDIDCSLDLTADRQQLRLSMNTKSTRSKARVRSRQQKQEDEDCDEQNAGRVTLSGLLNFTDGLWSCCGEERIIIFTTNHRDNVDPALLRCGRMDVHVNLGNCGPHAFRAMAKNYLGLDSHPLFEAVDRCVRLGGALTPAQVGEILLRNRGDADVAMKDVVSAMQARINLDGGGGGGQDQLAELYSSCDDQTVMMRATSSPESVLVGVGGGSPENWVSSSPPGSGRKKKAAEGDQCQRMKMKFLVRLRSLTKSDSGRRGVCLTCPNS >ONI22698 pep chromosome:Prunus_persica_NCBIv2:G2:20224049:20225009:-1 gene:PRUPE_2G145500 transcript:ONI22698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTKVAVIFFFLMAMDLCHYSSIAEDSFENKNLITSSDNTLEDACNNENPHIEQVIQDPKTSMANDQNSSGWEDDKIEVNTKSTDPSTSNGASHLQDQVFSTSNGNAVGPEMIIILALVTVFGGLYFFAPYVLLVAVIFGFGFIVFVSMNSR >ONI22209 pep chromosome:Prunus_persica_NCBIv2:G2:17234772:17241650:1 gene:PRUPE_2G114300 transcript:ONI22209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMESLIGLVNRIQRACTVLGDYGGSDSSFSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDEGTQEYAEFLHLPKRRFTDFAVVRKEIQDETDRITGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVEDIENMVRSYVEKPNSIILAISPANQDIATSDAIKLAREVDPTGDRTFGVLTKLDLMDKGTNALDVIEGRSYRLQQPWVGVVNRSQADINKNTDMVLARRKEREYFATSADYGHLASRMGSEYLAKLLSKHLESVIRARIPSITSMINKSIDELESEMDHLGRPIAVDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIEGSLNYFRGPAEASVDAVHFVLKELVRKSLAETQELKRFPTLQAEIAAACNEALERFRDDSKKTTLRLVEMESSYLTVDFFRRLPQEVEKPGNPGTTTSPAVDRYAEGHFRRIGSNVSSYVGMVSETLRNTIPKAVVHCQVREAKMSLLNHFYIQIGKREAKQLSQLLDEDPSLMEKRQQCAKRLELYKSARDEIDSVSWAR >ONI20249 pep chromosome:Prunus_persica_NCBIv2:G2:470054:473058:-1 gene:PRUPE_2G004700 transcript:ONI20249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILWKVGVELDFPKAKVQCFGSAQRKQVQSVCLSILDFYTIINVRFKVKDSSAWQSPSGTFAFGFRRIADQDLFLLAIWYDKIPDKTIVWYANGDNPAPKGSKLELTTDGQLTLTGPRSQEIWKPESVLSGRVAYAVMLDTGNFVLANNNADYLWQSFKDLKDTVLPTQVLEIGEKLNSRQTANSYSQGRFQLQLKSDGRLVLYPIALPTEFAYQPYYQSSTSDAVDEMNSGYQLSFNESGYLNVVRRNGNIDKLINKTLLPIRDYYYRATLDSDGLFTQYAHPKSPKNGSWTSWLPVWSIPENICFEANGDLGSGPCGYNSYCRLDANTRPICECLPGFSSLDPNNKLSGCKQNRIQSCDEQGNSKPEDLYVMHELSNTFWPTSSNFEQLQPMNEDDCSRSCLYDCYCMVAVIKEGSCWKKKLPLSHGRQDWNSYGKALIKLPKSDASLDDPLSPQSNTGRKDRKTLILVGALLLGSSVFLNFFFVAAISLVFLYTYQKRHNVTTSTSSIMEANLRSFRYKDLEEATDGFREELGRGAFGTVYKGIISSLSSKNYVAIKKLDKVAQEGEKEFKAEVSAIARTHHKNLVRLLGFCDEGANRLLVYEFMSNGTLASFLFGISRPDWNKRIQIAFGIARGIMYLHEECSTQIIHCDIKPHNILLDDSFTARISDFGLAKLLLSDQTLTHTVIRGTRGYVAPEWFRNVPITAKVDVYSYGVMLLEIICCRRSLEMERENEEEVILTDWVYDCYKEKTLNKLIEDDEEAINDMKRLERLVKVAIWCIQEDPSLRPTMKKVTQMLEGVVDVSVPPCPSPFSSIC >ONI25347 pep chromosome:Prunus_persica_NCBIv2:G2:28747833:28750415:-1 gene:PRUPE_2G297300 transcript:ONI25347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPGTLPETQIDGPDPPNSTEISDHDLRTELESLRQSHQSLLSKTAAMEEDFSLVQKQRDEALAHNADLVKVIGEISGERDSLRDEIHGLEASLRESEDGFASKIDEELKTKEVLEHEVQVSRETVERLELERKERDEFLLKCLDALQSTKEGLIGIIDGVGDEKVENGVEQIEGTSEESQLDLEWRAVWEEIMAVTSLATSAAVKVNEYKELTNKEKKELEDSVVSLTEENRDISSLLRIALVEKEAVERRLKGNSEQKRVAILQIAERGLQRVGFGFMMGSGSNEQSLESSGDKLDATGSTTGSKSDSSECEEGVVSLASTVEKIMKNLRLEITRLRRSLEESRSDTERLHSLTEKQAQEIAENVQYIKELEDRERLLTQNVEELLIEIKESEAEVARWREACELEVEAGKNEIGEREKVIAILKQELEKTKAALDMSNGKLKLKEELAATAMAAQAAAERSLQLADSRAAGLRLRIEELSRQVEEAEGRERNNRRVRCICWPWRPLKVNPNAQNRVGAVRRLLPEMQALLNYNG >ONI24312 pep chromosome:Prunus_persica_NCBIv2:G2:25646283:25649883:1 gene:PRUPE_2G233400 transcript:ONI24312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANKATVLTFAEKCKSILSSNWQGQLNTIKADAKGSKEDIYRSKVKYICKRGKPYIWVPEKELHNVNVIVDERSSFAVANPIPGPLASLLRSIKKSPARIALIGDVVPLTDAKVKSATEVLKEVILSEQKAISESSYTVSGVLSSSDHSRTSRSENLKEVLEGDEKYIVYKFNQRSCMYVDGNGDTHEIDQEDMNASKADPLALLSAKLIDGINQSAARRRALMLFCLTYFNTNAKDAYMLSIDRKGFEVLSKVPSPAMKDGYGQFQWKQFRLSLKEEARDVEAFCRQLVEMEEEAVKEVSGHSGLA >ONI21571 pep chromosome:Prunus_persica_NCBIv2:G2:11113877:11115007:-1 gene:PRUPE_2G073600 transcript:ONI21571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVKGKFLKKLKSIRPVGYLKPQDRILQVSASDGYADSYPKISDLNVQAQLVSMETEQKKMKENVLTEQEPDVIDVDELMKDLEDEESGSEDDLDDKENIRPKMKAKNSMAFKENLMRTEHNLGENRALEASEESLPGPDRGRQIPLSEIDISSFRRPDLNSGSLFDPNLLAAFQQAVMEYMKLSEAERISRRSEKQNLDSNVVEPEPEPPSNEEPLLCFEEKCVPGSSDSSVILYSTTLRGIRKTFDDCNSVRFLLESFRVVFYERDVSMHMEFREELWQVLDCKAVPPKLFIKGRYIGGAEEVLTLHEQGKLKPLFHGVPIDRSIGPCEACDGVRFVVCFKCSGSCKVIGQEGQSDKCSVCNENGLIICPLCC >ONI23047 pep chromosome:Prunus_persica_NCBIv2:G2:21559187:21560074:1 gene:PRUPE_2G166900 transcript:ONI23047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWRETQVGMERKMFPRGSTVLLVILLSTICLVTEAQQCRPSGRIRGRQPPPGQCNQENDSDCCVEGKMYPTYRCSPPLSRHTKAYLTLNSFEAGGDGGGPSECDNKYHNDSNRVVALSTGWYNNGGRCHNHIRINGNGRSVVAMVVDECDSMEGCDVDHDYQPPCPNNIVDASKAVWEALGVPRGQWGGLDITWSDA >ONI22587 pep chromosome:Prunus_persica_NCBIv2:G2:19514410:19514933:-1 gene:PRUPE_2G137800 transcript:ONI22587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSSSKAKLVAKKPREKLDTVFCCPFCNHGSSVECDIDMKNMIGEALCSICQESFSTTITALTEPIDVYSEWIDECERVNTIVFDDLA >ONI24696 pep chromosome:Prunus_persica_NCBIv2:G2:26804499:26804792:1 gene:PRUPE_2G255900 transcript:ONI24696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPFVDMELLIPSADIFSRLVFRTIINLVFDLQKYAPVTYLNWLCSSKLANDFANFFPQQLTVFAFDMIPFTFDMSLRPYSQFTEAKNKPSMTKLP >ONI23307 pep chromosome:Prunus_persica_NCBIv2:G2:22435808:22436865:-1 gene:PRUPE_2G181500 transcript:ONI23307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALFTKFFSQAFTIFLMILPALFSKTNAGVIVVYWGQNAGEGSLTNTCNTGKYRVVNIGFLSKFGNGQRPQINLAGHCNPASNGCQGVRAGIKNCQRKGIKVLLSIGGGAGNYGLSSDADANSVAVYLWNNFLGGQSNSRPLGSAVLDGIDFNIEKGGPHYAALARRLSDYSKRGKKVYLSAAPQCPFPDQYLNGALSTGLFDYVWVQFYNNPQCQFTTSNPNAFRDSWNKWTSIKAGQFFVGLPASRQAAGSGFVNPNDLKNQVFPFVKGSPKYGGVMLYDKFNDDRSGYSSQIRGSV >ONI25757 pep chromosome:Prunus_persica_NCBIv2:G2:29782412:29784730:1 gene:PRUPE_2G318600 transcript:ONI25757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSVPASKSGSNWLDRLRSNKGLPTGDNLDLDHFLSRNTNSSSEVPTPNVSSSTESTRPGSDRVVNQSTTSCPNRDNQGEAFIGLVNNVLSELFFMGGSDERSKLLGKKIRRKQANPRVCVTSTANYDSNAATANATEEKSSDWGRNDEHVLDKAACLDSQNGSLMKNKDLGNVGGEEGEEVEEEEEEEKEELRELKGYSISEVTVIDTSCGVWKTEKVVFRRKNVWKVREKKAKVRKFGRRKRKVVDEEVGVEGGDDIDKKKAKVSALKEADGDECIALKSTEGQNSQNDTSNEACEDTTDSLNERGEEFGKDMPDAKSKDAKKGQVHLQVLSDFNILLLLVCDNDLFCSYRMSCRFPLNRSPRKMKKGDSSVILIKGIPTDNKNGGKLLRTCLKDIQKQYTA >ONI20723 pep chromosome:Prunus_persica_NCBIv2:G2:3337955:3338611:1 gene:PRUPE_2G030900 transcript:ONI20723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWLLYKWFSFHNWYRRMTRLLEGWNLEDWNRWREWLLEEGLWWKWLLYNGNLR >ONI21410 pep chromosome:Prunus_persica_NCBIv2:G2:8584755:8586945:-1 gene:PRUPE_2G064000 transcript:ONI21410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRIEGDDVVRDIVRVAPKLFAELNELRGSFRVFSFFIWCILCKSADHVFLSCRFSWKL >ONI24702 pep chromosome:Prunus_persica_NCBIv2:G2:26838444:26842784:1 gene:PRUPE_2G256500 transcript:ONI24702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGGGFMKWVLVILAILERVNSVSANCHFSVVDDNKLYDYSLGSPIPLFPHGVQSEDGFYKVAANETVLWFQLCDGMIFNHDPPRCVDCWDCGGPSRCGMGCSALVANNIGGYDVCTTIGRASSIDINIIDKKNPNSGVIVKMSNSGLKLNCSLSVSVICDPNRVQGPHSLEKTGKCDYATVLTHPSGCAKVVHVHGHWWGWFGTLGTIVLCLFGAYLLAGAVYQYFSLGVRGIHVIPNLDFWTSLPQRTQSLFASLLRKFRGSSSQGHRSSYSPVNF >ONI24694 pep chromosome:Prunus_persica_NCBIv2:G2:26794361:26796506:-1 gene:PRUPE_2G255700 transcript:ONI24694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDWESLPDELLDLVFKRLVLHLDSLRFAAVCKSWNWVSKNNQINSVPMLLIPDRQKNTWNFCDVTNDKVLKMQLKWMKRRFCGSSKGWLIVCDENFVVTLINPSFRVKGRKKKKNSIIRLPPLNPPGKHHTGACAKHCEYFVYKSAISADPISNANDCIVVVIYGARCELAFIRLGDNKWTYFDRSCSIFEDIAQIGDKSYVLTSFKRQLFILEYTTQRILNINLVAAPLYETDIYIKAYLVGSNEEELLLVHRYDTYTHHGRVTNGFRVFKLDDDKYAWIEKNDLGDFALFVGDNSSISVVASKIQGCESNCIYFYDDCDLSLEYCSFTDFGVYNVKSQRILKPAHIMTLMKKLKQSPIWFLPSVYL >ONI21331 pep chromosome:Prunus_persica_NCBIv2:G2:7505398:7505914:-1 gene:PRUPE_2G059900 transcript:ONI21331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRKRKIVTTLVAVELDKQHLCASMMSVTVIYTLTDGTMKNTITASDQWSGWRDSK >ONI24542 pep chromosome:Prunus_persica_NCBIv2:G2:26274645:26275987:1 gene:PRUPE_2G245600 transcript:ONI24542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNEQLAFIFGLIGNIVSVMVFLAPIPTFYKIYKNKSSEGFQSTPYVVALLSAMLLLFYGVLKTNAALIISINVIGCVIEITYLIFYFVYASKKDKITTMIQILVLNVAAFGSVVAVTFLLVGEDKRVSTVGWICAVFGIAVFAAPLLIMVIFVQINFQKFCITRIVIRTKSVEYMPFYLSFSLTICATLWFFLRMSATSSSRNQTTAAYSSFLLHN >ONI25090 pep chromosome:Prunus_persica_NCBIv2:G2:27947184:27948743:-1 gene:PRUPE_2G280000 transcript:ONI25090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTLQPLSFHTAKLSICSSKSKKNKKQKQVSQNQSNNSLSFPKTIPTPLIICHKPHSQTKLQALDAVVNDLEAAIGKGINVDTETFASLLETCYQFQAMDYGLRVHRLIPRSVLRRNVGISSKLLRLYASHGYIEEAHQVFDEMPKRDVSAFAWNSLISGYAELGLYEDAMALYFQMEEEGVEPDRFTFPRVLKACGGIGFIQIGEAVHRHIVRLGLLNDRFVLNALVDMYAKCGDIVKARKVFDKITSRDHVSWNTMLTSYMRHGLLSQALDIFHEMLHEGHQADSVAISTILGAAESSLEIVIQIHGWVIRQGVEWNLSIANALIAAYSNHRKLNRARWLFCHMSERDVITWNTMISAHSKSPEALLFFEQMESSGALPDSITFVSILSTCAHLGLVKDGERLYSVMKNRYRISPIMEHYACMVNLYGRAGRIREAYGIIVDGMEFEAGPTVWGALLYACYLHGNVDIGEVAAERLFELEPDNEYNFELLIKIYGNVGRLEDVERVRLMMVERGLD >ONI22168 pep chromosome:Prunus_persica_NCBIv2:G2:16996435:17001837:-1 gene:PRUPE_2G111700 transcript:ONI22168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFLTFGAEGILTRVASLAEQELSLLWGFKGELTTLRDSLFKLEAMLRDAQHLQVRGERVEMWVKDLEGIAHEADDVLDEYEYELLRRKVEIQNQIKNKVLNFFSRHNPIAFRRKMAHKIKNINASLANLKNEAASIGLVDRSTLVNATSHDIGGLDRETVSNFDQDEKYIVGRKEVASDIVTTLINSGKNQDNCLSVMAIVAMGGLGKTTLAKSVYNDPEIGRHFDQKIWVCVSTPFEVKKILSEILECLKPEKAGIKGKATICENLQEDLKGKTYLLVLDDVWNDDRSKWDDLMSCLLNATSTKASKILVTTRNVSVSSIVQTLPTCVLGKLSEDQCWCILKYKAFLDASVVLTEDQERIGREIAKKCAGVPLVAKVLGNMMRSQDIDGCRSILESRIWDLPEGEERILSVLKLSFDKLKSPYLKQCFAYCSMFVKDFEIEKDDLIQLWMAQGLLYPSPPNRRNLEMEDIGNEYFNILLNNSFFQDVEKDWYGNITSCKMHDLVHDLAELVSKTKSNDSNETRHMAHIPTSVLHGVPERGAHKLRSLFLNVEVLGDILPNFRGLRVLNLYQTYMKELPIAIGKLKHLRYLDVSYTKIKALPKSIGKLYNLQTLRMKEVELEEFPKELQNLINLRHIYFYPYGMKFPARIGRLTNLRTLKYFIVGKETGRGIEELAGLNLLKGRLTIYNLEHVRDGEEAKKAKLVKKTNISKLKFQWAEDRSSITNDEEVLEGLQPHPSKLEFLQFFNFMGDKCPSWIMSSSFPVLKRLKIYNARNLTEWPESGIVVFPCLEELVLRNCDKLRSAPSHFPSLKTLEIDSMGSGMPIANISNKLTTLTSLAIRNISGLVSLPEGMLKNNKNLAYLEIKDCQELTCIALDVVGSCALLESVRISKCPILAYFPDGLLTTSLKNLIVEDCGSLELIPVTQPLSSLCELKITGCQELSSLPSGLDYYTSLQELAISNCDMLTSALIHSLPSLRKLSIFRCNRRPKFVPSLLGFTCLRELRIKDSHGLTSLPIGLESCSSLEVLIISKLPNVESITSLDNLTNLHELGIFSCDGLKSLPNGLAITSCLTHLKTLEIGGFWKELDSFPAFQVTSQLETLKLWGWPKLKSLPEQIQHLTSLTCLEVQCFDGMEALPEWLRNLTSLEYLYIHLCKNMMYLPTLEAMQCLTKLKRIFILDCPLLKERCNKESGSEWPKISHIPQIYVDWVRWQLPNSI >ONI20642 pep chromosome:Prunus_persica_NCBIv2:G2:2774115:2776962:1 gene:PRUPE_2G026900 transcript:ONI20642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWRHRPPRRIYRQEKLPSVYPLPYHPEPPPPSGFCKDGIPYWEKQFCTLVGSIPWWKVADAKRYMYGNSNVLNWNDSAGEEAFQNAKQRFWADINGLSCDISLPDPSIYIDEIDWYPLIDPELMKEVDCEYFAPDEEEGNGKFGRRNKNTKYSAVVPPDGHNRVPNNSRNPWECDNIQGSGDLKNTVQGRNQWNTNGDNPWESGSTQGNGSMEKNAWEDSRDKSWGWNQMGDNITLSKGWDNDVKPWEGGCQGVASVEGNRWVDPICKSWGYNQQESKNVDHSENRWEGGPSQNNKSLKDRGWRDRGGDEWGWKQWGSQNNQKNNLDFRIVNSGSGARNQGGHKRERPHEYISGYKSSRFQGSDYQTGNCWSRGNNRKRVSFARV >ONI20790 pep chromosome:Prunus_persica_NCBIv2:G2:3644172:3650688:1 gene:PRUPE_2G033900 transcript:ONI20790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECMVVKNRNLLDMVEESHGLTPILFAAKNDLWDIVHYLYPLTIEDLKPEKGPYGAGLVCFCFYAKQFDIALDLLQRCPRLAITKGPTGESPIFVLADIPSVFPSGTLLKFWQRWIYDCIHIQPPAIPDVSVNVQNPEIELGSQRNISFSGLLQGLSSTLHNLLGINHICEMKLIHDRSLYILDHMLYRAVKDVDIQEREDGLVYEAVFQAVRRGIIEFVIRLCKVDPDVLWRNNSMGRNIFHYSIECRQEKVYSLIYGVRQRNLIATFSDAFGNDMLHLAGMLSPTEKLDRISGAALQMQRERQWFKEVKSTVVLPSGVRAFNKEGKRPHELFTQNHKKLKEEGEKWMKDAATSCTVVGALTITIMFAAAFTVPGGNNGGTGFPIFLHEKMFMVFIVSDAISLFSSITSVLMFLGILTSRYAEDDFLKSLPTKMIIGLSTLLISIATMMVAFCSALFLMLHERLQIVIPIIFLASIPVALFIGMQFPLLVEIFISTYGGGIFDKKVKRWI >ONI21830 pep chromosome:Prunus_persica_NCBIv2:G2:14498495:14502159:1 gene:PRUPE_2G092100 transcript:ONI21830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPADQPSIKFGTQEALSHVRALTDVGAMTRLLHECIAYQRSLDLDLDSLLSQRTDLDKQLLSLHSSSQVLHIVKADSDHVLANVTSTCDLADQVSAKVRELDLAQSRVKSTLLRLDAIVERGNCIDGVKQALDAQDYESAAKYVQRFIQIDSEYKNSGGSEQREQLMESKRQLESIVRRKLSEAVDQREHPTVLRFIRLYTPLGLETEGLQVYVGYLRKVIGMRSRLEFEHLVELMEQNNPTQAVNFVGCLTNLFKDIVLAVEDNDEILRGLCGEDGVVYAICELQEECDTRGSLILKKYMEYRRLPKLSSEINSQNKNLLDVGGVGSEGPDPREVELFLEEILSLMQLGEDYTEFMVSKIKGLTNVDPDLGPRATKAFRSGSFSKVVQEITGFYVILEGFFVVENVRKAIRIDEHVLDSLTTSMVDDVFYVLQSCLRRAISTLNISSVIAVLSVASSLLSNEYHEALQQKMREPNLGAKLFLGGVGVQKTGTEIATVLNNMDVSSEYVLKLKHEIEEQCLEVFPAPVDREKVKSCLSELGDMSNTFKQALNAGLEQLVGTVAPRLRPVLDYVGTISYELTEAQYADNEVNDPWVQRLLHAVETNVAWLQPLMTANNYDSFVHLVLDFIVKRLEATMIQKRFSQLGGLQLDRDARALVSHFSSMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVDFKPEAISALKL >ONI25095 pep chromosome:Prunus_persica_NCBIv2:G2:27965662:27966375:1 gene:PRUPE_2G280400 transcript:ONI25095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTMDISDQKVHCKGNSSFDNRKSLTEHFDTIHDKTHKLCGEKLLCKEPVYPKHEIHICIPYGVSRINKNELKKKEKKNQEE >ONI24481 pep chromosome:Prunus_persica_NCBIv2:G2:26139244:26143077:1 gene:PRUPE_2G242400 transcript:ONI24481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPHLFQVVRFLGIVVRPPIMDLVPQWPEGFFHVVWFLLLSLTCTLLVDVTHSAAHTDTRKVYIVYMGDKPKLDIPTTTTLPLHVDMLQNVVGSSNIEQEPLLLHSYKRSFNGFAAKLTEEEAQKMAGMAGVVSVFPSGKQKLHTTRSWNFIGFHENVKRSTVESDIIVGVIDSGVWPESASFSDAGFGPPPKKWKGTCQGSSNFTCNNKIIGARYYRNNEPFVKDDIKSPRDSGGHGTHTASTAAGNLVSKASLFGLGSGTARGGVPSARIAVYKVCWPSDCDDVDILAAFDDAIADGVDILSVSLGPASPEDYFRTPITIGAFHALRKGILTSTAAGNDGPGPKTISNFAPWFLSVAATTIDREFVTKVQLGNQKIYEGIVTNTFDLKGKFYPLIYAGDAPNRTAGYDESTSKTCEPGTLDHNLVKGKIVLCDGTTGYGAYFAGAVGVILQSRPVADVLDPLPMPASCLGLDSGNSIYYYITSTRNPTATIFKSTEDIDTLSPYVPSFSSRGPNPVTPNILKPDIAAPGASILAAFPPIAPVSFVQGDDRVASYNFVSGTSMACPHATGVAAYVKSFHPNWSPAAIQSAIITTAKPLSPDLNPEAEFAYGAGQIDPVRAPYPGLVYDATELDYIEFLCGQGYSTKLLQSITGHKSSCSSKTNYGALSDNLNYPSFALSSSNPNSISGVFNRTATNVGSPRSTYKAKVIVATKGLEIKVNPSILSFSSLGQKLSFQVTVKGSIHHKSSVSASLVWDDGTFQVRSPIVVYAIY >ONI20781 pep chromosome:Prunus_persica_NCBIv2:G2:3563544:3565292:-1 gene:PRUPE_2G033200 transcript:ONI20781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLENRLELSGKPKPTALFFNRSLSMYPTPMDAPKKPHFHASLDHHTNSFKKFYNSIETVRSASSSFKGKVKKLCSFFESDKPGSRNPDESQSLMNVKLRPSKSMAPSKSIAPDFRILSIRLPGTDDRIVVYFTSLRGIRRTYEDCYAVRMIFKGFGVWVDERDISMDSAYRKELQSVLGEKNVSLPQVFIRGKYVGGAEVIKQLFETGELAKILQGIPIRKPGFVCEGCGDARFVPCMNCSGSRKVFDEDEGMLKRCPECNENGLTRCRDCSS >ONI24876 pep chromosome:Prunus_persica_NCBIv2:G2:27315893:27319205:1 gene:PRUPE_2G267100 transcript:ONI24876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVSTSRGIHSPARLGTYESPDAGASGSFSQLEPDINDGETELLSVSWNQDYGCFSAGTSNGFRIFNCEPFKETFRRDLKSGGFKIVEMLFRCNILVLVGSGDNSQYPPNKVMIWDDHQSRCIGEFSFRSEVRSVRLRRDRIVVVLEHKIYVYNFMDLKLLHQIETVANPRGLCCLSHHPNTSVLACPGLQRGQVRIEHFGLNMTKLINAHDSHIACFTLTMDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGVDRAEIYSIALSPNVQWLAASSDKGTVHIFSLRVRVFGEDLSAHSNSAQGPAMFQQNSSNALDPLISQNTGANSSSSLAFMRGVLPKYFSSEWSFAQFHLPEDTQFITAFGSQNTVIVVGLDGSFYRCSFDPVHGGEMLQQEYVRFLKTDSRPR >ONI24877 pep chromosome:Prunus_persica_NCBIv2:G2:27315822:27319309:1 gene:PRUPE_2G267100 transcript:ONI24877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVSTSRGIHSPARLGTYESPDAGASGSFSQLEPDINDGETELLSVSWNQDYGCFSAGTSNGFRIFNCEPFKETFRRDLKSGGFKIVEMLFRCNILVLVGSGDNSQYPPNKVMIWDDHQSRCIGEFSFRSEVRSVRLRRDRIVVVLEHKIYVYNFMDLKLLHQIETVANPRGLCCLSHHPNTSVLACPGLQRGQVRIEHFGLNMTKLINAHDSHIACFTLTMDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGVDRAEIYSIALSPNVQWLAASSDKGTVHIFSLRVRVFGEDLSAHSNSAQGPAMFQQNSSNALDPLISQNTGANSSSSLAFMRGVLPKYFSSEWSFAQFHLPEDTQFITAFGSQNTVIVVGLDGSFYRCSFDPVHGGEMLQQEYVRFLKTDSRPR >ONI25309 pep chromosome:Prunus_persica_NCBIv2:G2:28637939:28640507:-1 gene:PRUPE_2G295100 transcript:ONI25309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKRQRDARKRFRAENPELVKQPTPPKDPSKKKGKKSTFKRKKAPNGPDKPTKTSHSKHPLRVPGMRPGESCFICKATNHIAKLCPERAGWDKHKICLYCRQRGHSIKDCLNKNDDTVAVKLCYNCGETGHSLSTCPLPLQEGGTKFAKCFICNETGHLSKDCSKNTHGIYPKGGSCKICGGVTHLARDCPNKGNRDSMAVGGAFHSWNENQRPRGKVTKLVSGDDLDDDFNFVDKKRGGATDESVESPASHAQESPVKSKKKQGPKVVNFVG >ONI25765 pep chromosome:Prunus_persica_NCBIv2:G2:29808239:29808763:-1 gene:PRUPE_2G319400 transcript:ONI25765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLLNLFGIKLHHSSKRGQYQKDNYILDPQPEINPSTQWLVPLPVHCIAESVKNQLPVVQYRDFLKIKTGQPAEAEDDYNNNDHMCIVCMNSMEGSQGVRKLCNCSHAFHKECLDVWIDEGQLTCPLCRSNLLPNSTPKSNHHHHHKEVGEFGEDPWRAERMIYLFGEDELA >ONI21983 pep chromosome:Prunus_persica_NCBIv2:G2:15585362:15588499:1 gene:PRUPE_2G099900 transcript:ONI21983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQIHNAPNDAMTTHHLRKPIKPIKLPCCSSSNKPKFLFLISMASFLFLALLSLTIFLSLTSAARNHQPPRSHVISPNPQIQQACKATRFPDSCQASLAGLVTNPNTTPIQIIQSAIQVSTDGLQTAQKMVKSILDSSAGNENRTTAAKNCLDVLHNSEYRISLSTDSLSRGSIRNARASMSAALLYQYDCWSALKYANDTQMVNQTMSFLDSLTGKSSNALSMMFSYDNFGNDTKSWAPPKTERDGYWERVEGGGSGQEVRFGVPSGLKADVTVCKEESEKCYRTVQEAVKAAPDNAGAKKFVIRIKAGVYDEIVRVPLEKRNVVFLGDGMGKTVITGSLNVGQPGISTYNTATVGVLGDGFMASGLTIQNTAGPDVHQAVAFRSDSDLSVIENCEFLGNQDTLYAHGNRQFYKSCNIQGNVDFIFGNSAAVFQDCNILVRPRQQKPEKGENNAVTAHGRTDPAQLTGFVFQNCLINGTEEYMKLYRSKPQVHKNYLGRPWKEYSRTVFINCNLEALITPEGWMPWSGDFALKTLFYGEVGNSGAGSDLSKRVSFSSKIPSERVNTYSVQNFIQGNEWIST >ONI24470 pep chromosome:Prunus_persica_NCBIv2:G2:26085890:26088255:-1 gene:PRUPE_2G241700 transcript:ONI24470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEGFEAFHVPQQSRRDKLRVTLQNNPPQRFTPTLAMPAANTSFTFHSHTPTSNPPFSHSFFSDSHQNDDALRRPNELRRSVPLGPFTGYASVLKRSRFLKPAQQLLEDFCGSGRSDSNPTPPNYLSGRVKDPIPDDRVEVQLKNSRLTIMLEEVYKKYKLYCQQMESVVASFETIDGLGDAAPYISFAIKAILKHFGCLKNAILDKLQTRGVKPLADDFGHVKDEARASSEGGQNPSNLSLTNNPHQSALRCQRGLPEHAVAVLRTWLFEHFLHPYPSDSEKQMLAQQTGLSRTQVSNWFINSRVRIWKPMVEEIHILETQQAQTTSDASLHPCLELPLQLGILPPTTSTQTKRSRKNDHRGVPEQSDQTQRRNSAYGGSHEVSLVLSLQAKK >ONI22693 pep chromosome:Prunus_persica_NCBIv2:G2:20206841:20207290:1 gene:PRUPE_2G145200 transcript:ONI22693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPMCAKGCGFYGCVENKNMCSKCYKDHVKQENLNAQSTTVASSVEKTDLGSIARGISLLSFQDSDDSVSNTNTSDIDNNSGSKKNRCESCNRKVGVLGFECRCGGVFCGKHRYPETHSCNLDLKKAGRDVLAKQNPLCKGDKLQCRI >ONI22763 pep chromosome:Prunus_persica_NCBIv2:G2:20492637:20498684:1 gene:PRUPE_2G149400 transcript:ONI22763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGILMGMVFGIALMAGWRHMMRYRSTKRVAKAVDIKLLGSLNRDDLKKICGDNFPEWISFPVFEQVKWLNKQLTKLWPYVADAAEMVIKDSVEPLLEEYRPTGITSLKFSKLSLGTVAPKIEGIRVQSLKKGQITMDIDFRWGGDPNIVLGVEAALVASIPIQLKDLQVFTVVRVIFQLAEEIPCVSAVVVALLAEPKPRIDYTLKAVGGSLTALPGISDMIDDTVESIVTDMLQWPHRIVVPIGGVPVDTSELELKPQGKLTLTVVRANDLKNMEMIGKSDPYVVVYIRPLFKVKTKVIDNNLNPVWDQTFELIAEDKETQSLILEVFDKDIGQDKRLGVTKLPLIDLEAETVKEIELRLQPSLNMLKIKEKKDRGTITIKVFYHEFNKEEQLISLEEEKRILEEESN >ONI22762 pep chromosome:Prunus_persica_NCBIv2:G2:20492136:20499435:1 gene:PRUPE_2G149400 transcript:ONI22762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGILMGMVFGIALMAGWRHMMRYRSTKRVAKAVDIKLLGSLNRDDLKKICGDNFPEWISFPVFEQVKWLNKQLTKLWPYVADAAEMVIKDSVEPLLEEYRPTGITSLKFSKLSLGTVAPKIEGIRVQSLKKGQITMDIDFRWGGDPNIVLGVEAALVASIPIQLKDLQVFTVVRVIFQLAEEIPCVSAVVVALLAEPKPRIDYTLKAVGGSLTALPGISDMIDDTVESIVTDMLQWPHRIVVPIGGVPVDTSELELKPQGKLTLTVVRANDLKNMEMIGKSDPYVVVYIRPLFKVKTKVIDNNLNPVWDQTFELIAEDKETQSLILEVFDKDIGQDKRLGVTKLPLIDLEAETVKEIELRLQPSLNMLKIKEKKDRGTITIKVFYHEFNKEEQLISLEEEKRILEEETSVVGSGVGLVGTGLGAGAGLVGSGVTAGAGLVGSGVTAGAGLVGTGVNSGVGMVGSGFGAVGSGLSKAGKFMGRTITGQSSHSRRRSGSTTPVGSVQENGGAKPR >ONI21638 pep chromosome:Prunus_persica_NCBIv2:G2:11963394:11965311:-1 gene:PRUPE_2G077500 transcript:ONI21638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAITAGFFFHGARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >ONI24277 pep chromosome:Prunus_persica_NCBIv2:G2:25578221:25579128:-1 gene:PRUPE_2G232000 transcript:ONI24277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONI24729 pep chromosome:Prunus_persica_NCBIv2:G2:26941908:26943284:-1 gene:PRUPE_2G258600 transcript:ONI24729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTASLCSDNSFPFVGRALVVDLQRQHRRRNRPGGTKVKFLFAYQEIAKGDWCIVASCLHVNALFN >ONI25135 pep chromosome:Prunus_persica_NCBIv2:G2:28112855:28116671:1 gene:PRUPE_2G283300 transcript:ONI25135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVVCFVIEKLVSLLISTEAKLSRDVRKEVGCIRDELESIRSFLKDADAKEAVEGEMDDSIKTWVRQVREAAYYIEDAIDEYLLCITRHHQDRGFLHKITWLVKKMKPQDEIASKVEAMKTLVSEIKARHERYGFNSSEQGQGRREMTVPWHDPRVASLFIEEAEVVGVESARDELINWLVEGASKHERREVISVLGMGGLGKTTLAKKVYDNQKVMAHFDCCAWITVSQSYHVEDLLRMMIRQFCKSRKEYIPEGTDQMDQESLIVKSREYLRQKRYVVVFDDVWKVDFWGAIEHALPDDNAGRIMITTRIKDVADFCKKSCFVHVHHLQPLPPNKAWELFCRKAFQFEPEGICPEELEELSLEIVRKCEGLPLAIVSVGGLLSTKDKILSGWQKLYSSLSSELESNPHLTSLTRILSFSYHHLPYYLKSCALYFGIFPSISCIRLIQLWIAEGFVKSKKGKTLEEVGEEYLTELIHRSLVQVSRVCIDGKARSCRVHDLLREVLLRKGMESSFCHMLSEHGSNFTPITRRLSIDSSPSDALVSIQQSHIRSVFTFNQEEWPESFLNTLNGNFKLLKVLDFEDVPINQLPKYVGDLYLLKYLSLRHTKVKFLPESIGNLQNLETLDLRHCLVYEIPAKINKLLKLRHFSAHYCDYSTNFSMTYERGVKIHDGIGCLQALQKLYHVEANHGGINLIKALGKLRQLRRLGLKNLKSEDGGDLCASIEKMNHLESLEVSTLSEDEVLDLQSLSTPPKFIRFLYLKGPLEQLPSWIPQLQQLVKLRIFWSRLRDSPLKALQNLPHLLELGFSYKAYDGVQLHFEGGFEKLRVLKLKDLKGLSSLIIDNGVMPDLQELQIGPSPQLKEVPSGIHHLRNLTTLRFVDMPKEFPRNMDPNDGQHYWVVEHIKYVLFSYKFGPRCGVFETHTLRDSSL >ONI21717 pep chromosome:Prunus_persica_NCBIv2:G2:13277517:13282658:-1 gene:PRUPE_2G083700 transcript:ONI21717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNILQWHAVASWTWDAQDETCGICRMAFDGCCPACKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >ONI25237 pep chromosome:Prunus_persica_NCBIv2:G2:28469429:28472344:1 gene:PRUPE_2G290800 transcript:ONI25237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNYDRPYHPTSKPSKPSLFPWKKPNVYTLPVVLFLCICSYFFGLWQHGGTSMPTFKLPCKSSNQIHPATTTSTTLDFSSHHTPNLTASTSSHSVKPEVYPPCDLKFSEYTPCEDAKRSLKFDRDRLIYRERHCPEKNELLKCRVPAPHGYKTVFSWPMSRDLAWYANVPHKELTVEKAVQNWIIYEGDRNRLRFPGGGTMFPNGADAYIDDIGKLINLKDGSIRTAIDTGCGVASWGAYLLSRNILTMSFAPRDTHVSQVQFALERGVPALIGVIASKRLPYPSRAFDMAHCSRCLIPWGQHDGVYLTEVDRVLRPGGYWILSGPPIRWQKYWKGWERTKEDLNAEQTSIEDVAKSLCWKKLVEKDDIAIWQKPNNHLTCQNNRKLGKSRNFCPANDPDKAWYTKLDTCLTPLPEVADNEQVAGGKLAKWPQRLNAIPPRINKGTVKGVTAEVFKQDSELWKKRVSYYKSVNNQLGNPGRYRNLLDMNAYLGGFAAALVDLPVWVMNVVPVDVKANTLGVIYERGLIGTYQNWCEAMSTYPRTYDLIHADSVFTLYADRCEMDDILLEMDRILRPEGSVIIRDDVDMLVKIKSIIDAMEWDSQIVDHEDGPQEREKLLFAVKKYWTAPAAPEDDETSS >ONI21676 pep chromosome:Prunus_persica_NCBIv2:G2:12261664:12276219:-1 gene:PRUPE_2G080300 transcript:ONI21676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTVVPADAIVVEVLNKDNYEHWSALVTNYLVAQDLWEVVEATAEPPKPEQEAEADDQFKAWRKKNATALHTIQVSCGPDAFSMIKEISSAKIAWDTLAKKLMPQPSLLINSFVQSANSSSNPDAAIAAKHDPYQPFFAAVKLGDWRKAKEFLTRDPNAIRARYSTGGTALHIATTFGHEHIVEELVQLMTPEDLEMQDVTWTALHLAARLNLKMVECMVTKNRKLLGIVEESHGLTPILFAAKNDLWAIVRYLYSLTPIEDLKPENGPYGAGLVCFCFYAKQFDIAWELLQRCPRLVIAKSPWGGSPIFDLADIPSAFPSGTRLKFWEKWIYDGIHIQHPPAMHDVHVNVENLEEKLGNQNISFSVFGFTQGPSSSLCKLLGINRICEMKLIHARSLDILDYMGEVLKHLDTQEMVDGLVYAAIFRAVRSGIIEFIIRLCKVDPDILWQINSMGRNIFHYSIECRQEKVYSLIYGVGQRNLIATFADASGNDMLHLAGMLSPTEKLDRISGAALQMQRERQWFKEVKSLVVLPSGVGAFNKQGMRPHELFTQNHNKLKEEGEKWMKDTATSCTVVGALTITIMFAAAFTVPGGNNGGTGFPLFLDEKMFMVFIVSDAISLFSSTTSVLMFLGILTSRYAEDDFLKSLPTKMIIGLSTLLISIASMMVAFCSALFLMLHERLWIVIPIIFLASVPVTLFIWMQFPLLVEIFISTYGGGIFDKKVRRWI >ONI22611 pep chromosome:Prunus_persica_NCBIv2:G2:19659941:19660650:1 gene:PRUPE_2G139500 transcript:ONI22611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMAIATIALLLSLNLVFFTTVSSNHHVPNCPPPPKTPKHPPPSTPIPPAKASCPKDTLKLGVCGALLNGLVHLVVGTPPKTPCCSLIGGLADVEAAVCLCTAIKANVLGINLNVPVSLSLLLNYCGKSVPTGFQCA >ONI20643 pep chromosome:Prunus_persica_NCBIv2:G2:2779285:2783106:1 gene:PRUPE_2G027000 transcript:ONI20643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQSPPPKPQNFTFFHGHRKPSQNRPRVRGGLFSNRVSLPNRRYPIAAPQPQPFELSKWDPHLPQSSPSTSSSNPADTTLLSFLSPIARFILDAFRKNQNHWGPPVVSELRKLRRVTPDLVAEVLKVQNDPVSASKFFHWAGKQKGFKHTYASYNALAYCLNRSNRFRSADQVPELMDSQGKPPSEKQFEILIRMHSDANRGLRVYYVYEKMKKFGVKPRVFLYNRIMDALVKSGYLDLALSVYEDFRGDGLVEESVTFMILIKGLCKMGRMDEMLQLLERMRVNLCKPDVFAYTAMVKVLISEGNLDGCLRVWEEMKRDRVGADVMAYATLVTGLCKGGRVEKGYKLFREMKVKGFLIDRAIYGVLIEGFVADRKVGAACDLLKDLMDSGYRADLGIYNSLIEGLCNAKRVDKAYKIFRVTVQEGLQPDFATVNPILVSYAEMRRMDNFCDMLAEMEKFDFPVIDDLSKFFSFMVGKEDGVPLALEVFGELKVKGYYSVGIYNILMGSLHKSGKVKKALSLFNEMKDVDLQPDASTYSIAIMCFVEDEDIHEACASHNKIIEMSCVPSISAYCSLARGLCKVGEIDTVMLLVRDCLASVTSGPMEFKYSLTILHACKSNNAEKVIEVLNEMMQQGCPLDDVIYSAIISGMCKHGTIEEAMKIFSNLKERKLLTEANMFVYDEVLIEHVKKKTADLVVSGLKFFGLESKLKAKGCKLLSG >ONI20644 pep chromosome:Prunus_persica_NCBIv2:G2:2779285:2782556:1 gene:PRUPE_2G027000 transcript:ONI20644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQSPPPKPQNFTFFHGHRKPSQNRPRVRGGLFSNRVSLPNRRYPIAAPQPQPFELSKWDPHLPQSSPSTSSSNPADTTLLSFLSPIARFILDAFRKNQNHWGPPVVSELRKLRRVTPDLVAEVLKVQNDPVSASKFFHWAGKQKGFKHTYASYNALAYCLNRSNRFRSADQVPELMDSQGKPPSEKQFEILIRMHSDANRGLRVYYVYEKMKKFGVKPRVFLYNRIMDALVKSGYLDLALSVYEDFRGDGLVEESVTFMILIKGLCKMGRMDEMLQLLERMRVNLCKPDVFAYTAMVKVLISEGNLDGCLRVWEEMKRDRVGADVMAYATLVTGLCKGGRVEKGYKLFREMKVKGFLIDRAIYGVLIEGFVADRKVGAACDLLKDLMDSGYRADLGIYNSLIEGLCNAKRVDKAYKIFRVTVQEGLQPDFATVNPILVSYAEMRRMDNFCDMLAEMEKFDFPVIDDLSKFFSFMVGKEDGVPLALEVFGELKVKGYYSVGIYNILMGSLHKSGKVKKALSLFNEMKDVDLQPDASTYSIAIMCFVEDEDIHEACASHNKIIEMSCVPSISAYCSLARGLCKVGEIDTVMLLVRDCLASVTSGPMEFKYSLTILHACKSNNAEKVIEVLNEMMQQGCPLDDVIYSAIISGMCKHGTIEEAMKIFSNLKERKLLTEANMFVYDEVLIEHVKKKTADLVVSGLKFFGLESKLKAKGCKLLSG >ONI20277 pep chromosome:Prunus_persica_NCBIv2:G2:620738:620926:-1 gene:PRUPE_2G006100 transcript:ONI20277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSAFSNCFMPSLSSQRVSDDAGGSSGVKVSKESKCKSKSSSEAPLVVSYFPSNSYLSRL >ONI20563 pep chromosome:Prunus_persica_NCBIv2:G2:2200196:2204945:1 gene:PRUPE_2G022600 transcript:ONI20563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSDIRALMLNVNQDLAVVSIKANMIWNKSNRTAGVTYNFLIVHFGFGCNLSKDHDHVGLGASLTGNFAVGILLKAGIKNCIRNLITEFIRVSLIHRLRSKQESVQIALDFHSYPMHMQRYQSRRLTHAVNVRLVARNVRIIHD >ONI23589 pep chromosome:Prunus_persica_NCBIv2:G2:23423747:23424314:-1 gene:PRUPE_2G196900 transcript:ONI23589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVFKGFGEAEEMVKVVTSSGGIMELYAPITANAITTEFPGHAIFKSRDLFAQPLLHNEELHPKELYYLLPLNPYKSTPKDHENINVNPNGAVSTPYRMSCDSQGLLRKRADPEVFPRYNSSGVWKVKLVISPEQLSEILSQEARTEALIESVRTVAKCGTGGNSSAAGSDQWSVSSSWKESAS >ONI24586 pep chromosome:Prunus_persica_NCBIv2:G2:26413754:26417481:-1 gene:PRUPE_2G248400 transcript:ONI24586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPPSMIIRVWSIFMQLFLLTSASSRLVGHEVDRLSLLAFKDEIVSDTMGILSSWNESLHLCEWPGIFCGRRHQRVTGLDLRSSRLQGRLSPHIGNLSFLRTLRLENNLFHHTIPQEIGRLFRLQMLSFDNNSFSGAIPSSISRCSNLQKLSLFGNTLSGKIPIQIGSLSKLQVLNLGTNNLSGEIPSAFSNLSSLEMLDVQQNNLHGGIPSSLGQLKSLKYLALGTNNLYGTIPPSIYNLSSIKLISVLANRLHGTLPPGLGHTIFPNLESFSYHMNRFSGPIPIAISNASNLSLFGISGNMFTGRVPSLARMSNLFRVEMDNNGLGNNEDGDLDFLSSLVNCTNLERLDISGNNFGGLLPDSISNLSTKLTVMKLGTNHIRGSIPVGIGNLINLGVIDFKVNLLRGGIPSSICNLNNLYDLLLNHNELSGALPSSLGNLTKLGRLNLMSNHLQGSIPPSLRECRNLLALVLSNNNLTGPIPHEVISLSSLSQVLDLSRNRFTDSIPFGVGLLLQLASLDLSNNQLSGEIPNSIGNCISLESLHLEGNLLQGTIPEDWSSLRGIEDFDLSGNYLSGRIPNYLESFLFLQYLNLSFNDLEGAVPMKGFFQNTSALYIMGNKRLCGGTPQLRLPRCISNQSNQEPKPELFPWLKLLTSIACGGVIGLVLLLFFVLLHPSRKALRFVLLSRKARVKPTSGSSWGVSLLKVSYGDLLKATNGFSSRNLIGAGSFGSVYRGILNEEERIVAVKVLNVQSSRESFIAECEALKNIRHRNLVKLLTVCASIDFQGNDFKALVYEFMMNGSLEEWLHTSVHRVAGAPIVQGHLNLIQRINIAIDVANALNYLHNHSHMPIVHCDLKPSNVLLEGDMTACVADFGLARYLPDASLSLPTHESTSNVIMGSIGYIAPEYGMGNQVSTYGDVYSYGILLLEMLTGKRPTDDMFKDGMNLHNFVRMALPERVEEICDPVLLQKKESSTRSNATNNRNNIEDDQGQRIRKCLVIIARIGVACSADFPRERMDIGNVVDGLYLVRDVLTGTWIPRNHVTA >ONI20903 pep chromosome:Prunus_persica_NCBIv2:G2:4318854:4319494:1 gene:PRUPE_2G039700 transcript:ONI20903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNERSINWMTEIVEMEKLTDYTCNPEYISEWHRLMTEQETFIQRVLRDAEININVKRIGMVEVELNKMPKKLSFVAEAMTAVMQIIGTSKESLKKILVRGEFDEFPDDKHMLCKARLVEMLNKCSDQLHEGNVSDPKSNFLLEEIAILEEAKGINLPNLFRAMLFL >ONI22605 pep chromosome:Prunus_persica_NCBIv2:G2:19605235:19608246:1 gene:PRUPE_2G138900 transcript:ONI22605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQRWEDLEMDCLVKVLEEVGMESLLLDVPFVCKSWYKAALNPSCWQSLIFPDNECMEVWPWDVSECPNFQNLMDRFASEYQIDGDRCSVSAFLKFVINRSSGNAIVLKLPKRCTVEPLNLLQMCKFSHFHLFNKTS >ONI24324 pep chromosome:Prunus_persica_NCBIv2:G2:25690637:25694960:1 gene:PRUPE_2G234400 transcript:ONI24324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGHAIGIDLETTYVAVWQHDNIEVMVNDQGNKTTPSYVAFTNTERLIDAKRLIGRRFSDDCVQIDMKHWPFKVIQGTGDKPMIVVTHERQEQQFSAEEISSMVLLKMRDIAEAYLGSTVKNTVITVPDYFNISQLRIINEPTAAAISYGLEKKAGWYGKRIVMIFDLGGGTLDVSLLAIGDGVFEVKATAGDTHLGGVDFDNRMVSYCVKEFKRKHKLDISGNSKALWRLKIECEKAKKRLSFATTTDFEIDSLHQGIDFHITITRAKFEQLISDFFDKCMEPVHKCLMDAKMDICRVQDVVLAGGSSRIPKVQQVLQNVFNGKKLCKGINPDEAVAYGAAVQAAVLGDANLIGELENFTLLDVTPLSLGVKVGYEGDMSVIIPRNKRTPLKIKKDGFTTMYDYQRIGRVSIYEGESTVALDNNFLGEFILDEISPAPEGIPKIRNCFAIDSNSILSVYAEDKSIRRKKRITINCDRRN >ONI25284 pep chromosome:Prunus_persica_NCBIv2:G2:28576823:28578343:1 gene:PRUPE_2G293400 transcript:ONI25284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVSSQFNFPTQGANEKERSSSGTCEWDFNLSTIVSSSGGSTGGAVSNTIGVIEFDPSNAVVATGGIARKIRVYSFNSLLPSDRFCQANLLDHVTACAYTLCTPAKLSSLRWRPGSDGRVLCSGDYDGVVTEYDLETRLPIFERDEHGGRRVWSVDYSHSDPFLGASGSDDGTLQMWDPRCDGGECVASVQPSKAGNPVCCLEFDPFGGALVAVGCADRRAYGYDMRKMVDPVLVFDGHRKTVTYVRFIDGCTVVTAGTDGCLKLWGTEDSREIRTYKGHTNNRSFVGLSVWRNGKLIGCGSEDNRVYVYDKRWGEPIWLHGFGAVGEGSSGGCDEELGFVSSVCWRQVGEEQCTLIAGGSDGDLQVFSGRRKPLMLDY >ONI20565 pep chromosome:Prunus_persica_NCBIv2:G2:2215637:2217706:1 gene:PRUPE_2G022800 transcript:ONI20565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLCPWIASVWFGSAIGYRVDLQSFTSLDRWLGSLLTWETTRSRACLAVSEFWNVTKKLVLGSVGMPIQISSLHILLIGYPPFLLMLRLILMGLGSLLLLWLELELLFGRLLVLVLGVLLLRYQVLAGVIEQRILQPLLYQHKIILSAICFAVRTGNTFLGSLIWVDYARWVGIQKIRD >ONI20566 pep chromosome:Prunus_persica_NCBIv2:G2:2215637:2216536:1 gene:PRUPE_2G022800 transcript:ONI20566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLCPWIASVWFGSAIGYRVDLQSFTSLDRWLGSLLTWETTRSRACLAVSEFWNVTKKLVLGSVGMPIQISSLHILLIGYPPFLLMLRLILMGLGSLLLLWLELELLFGRLLVLVLGVLLLRGMFLPLIGSCILSLRILGLLKPISQI >ONI22225 pep chromosome:Prunus_persica_NCBIv2:G2:17304426:17305119:1 gene:PRUPE_2G115300 transcript:ONI22225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDLEDVVSEPESEPEYREEEDVKLTKPSKNVFYTQALEGARRLEDYYAKMVKLDSHMEKQNGFPGGDKGSELDLAFEDGKPFEKSSNKRPGVSRGDRFSGKARQGGKVTKPKKREIKDSKFGFGGRKGSKKQNVAETTNDLRGFNRDTLSRNKKRKR >ONI22449 pep chromosome:Prunus_persica_NCBIv2:G2:18725941:18726418:1 gene:PRUPE_2G129900 transcript:ONI22449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTIYAKLFQIPRGSKSGFPSIRKNFIGYCHFTTKKSKMGCFFSTSRELTIFYLIDPPQIEDTVQTPADVVRGISL >ONI21244 pep chromosome:Prunus_persica_NCBIv2:G2:6491806:6493521:1 gene:PRUPE_2G055100 transcript:ONI21244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFVFSAGVGGSLKDGPIAGEKRARTQEMLDVGGLESDEPSPPLPPIPMSFKDKVSGDFGMAEDQLVFSDDDVVIKQGSIPSIQFSDKVKSSLYRPWRSAVIIKLMGRPLAYTFLRSLLLLRWALKGPMSLIDLENNYFIVKFLYEEDMRYVLTGGPWQIAGQYIVAQKWKPGFNAKEEKITHMTAWVRINGLNVEYFRADVMEKIGNLVGATDKVDAHTLSQARGKFARICVELDLAKPLTPFIEIEGRTYGVVYEGINLVCFECGCFGHGRDSCPIILQAKHQVPESDNADCMEDISTVQVNMNLGTATKEAEVPAKMHGEWMLLKPRNFRKNSMNDVGKGAELSKGNTKNTGTKAISPVFGSRFNMLTEEVGREEDMEGSPPVMTSDSSSKKQGSFANTYSTKAKCAGVKSASSRDSGTWVFKKPLKDISNSVVANSSGGGAKSGSVLRRPWKNRAGAKSFSCHDIEPVGLQVKGSDVQDDVRGKFSFNLGGSIFPTLPLGKGGLFFGHDPPDISKMGINEVEPSNACDMDHQGNFSEHYESDTGLEADSSFEHDGLEAVEAEHVQI >ONI24226 pep chromosome:Prunus_persica_NCBIv2:G2:25502677:25505302:1 gene:PRUPE_2G230500 transcript:ONI24226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSMAQMINRAKPSVAVTLMQFGFAGMSIISKFALNRGMSQHVLVVYRHAVATVFIAPFAVVFDRKVRPKMTFSVLTKILLLGLLEPVIDQNLFYTGMKLTTATFTSAMCNVLPAFAFIMAWIFRLENINFRSLHSLAKILGTIVTVGGAMLMTLINGPMLNLPWTRRNIHQESTSATDHQDPIKGALMIGAGCFCWAGFVNLQAITLKSYPAELSLAAWICLMGTVQGTVVALGFEWDNPAAWSIHWDSKLLAAVYSGIICSGVAYYVQGLVMKERGPVFVTAFSPLSMIIVAVMSSFMLAEIMYLGRVIGAMVIVIGLYMVLWGKSKDQIPSESEKDDLVPPV >ONI23706 pep chromosome:Prunus_persica_NCBIv2:G2:23898817:23899503:-1 gene:PRUPE_2G203000 transcript:ONI23706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEVFYGSSQPSRDTGGIFRYQISPQSASSVNVAPKPQTDRVQLKSDTSQEAHGPRHQSGPIIDTSADEYYAKEKTRPRTYPPAKPKQPAYRRTAADLPQKQISRTNSSQDKKVAQGKNAEKGREQTQNRTTVSNWKGSFITWQTSSLTTSPPSAYISKIFLGLSLFYFCVREVVLFGEWS >ONI25550 pep chromosome:Prunus_persica_NCBIv2:G2:29295363:29300340:-1 gene:PRUPE_2G309100 transcript:ONI25550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVVSNSYAFVSEEKSDSLYPMYFGVSCAFFALRLLSIPDMQDERLSEVREKMLRGSAQLWGLLVWKAQKDGRSAQYYELLHKLETAEIEIGGLKRLRHEDAKANEKVVSIFAAQEQCWLNERKKLRQHIRALINAFKVREKKEDETISDMNDKMKDMELLVQSKDKALGELEQKLKETEEKLTKAESVAEELRENAQRAAQEHSSELLKHKTAFFELVSNQRRLDADMGRALRQVEASKREINVVLDQKEESVVMVQKLSAEIVKMHKDLEQKDKILSAMLRKSKLDTTEKHMLLKEIKLSKAKRKQAELETERWKVVSESRHERHSLRSMLEKANSRFEIALNERGANSSATGASHLHIVKTIPQPADALLGYEHSEFRNESDGYSFEAKKDLADIKQLEGWVRSEAERYAAVIEQRHHLEMDAFVEQLRLKDEKLETYRWRLLSMELESKRLESHVEGLNKDMAHLRHNKMKLEALLLEREEELTSLKEQFASQLRFLNSQKNLNSTAYDSSVVNDALWHKFNIISRKADEEDHTKRTLMEQSQEQDIKEEEETPSSSQCKDVILKIQSPDKEFEEDKDVAYEGTNQEGSESSVAVNGTEKLASPTHASSTNNSLWRMDLQALGVSYKIKRLKQQLLMLERFTGKHEGAEDHTESNDDGQSGIKGFLLLMSLLNKQVGRYQSFQGKVDDLCHRMHDNGLDQNGRRGDSDTARTKDKTKTLEHFLDETFQLQRYMVATGQKLMEIQPKIASGLVGVAEELETCASFDMNRFTDFIRTLFQEVQRGLEVRIARIIGDLEGTLACDGMIQLRRL >ONI25743 pep chromosome:Prunus_persica_NCBIv2:G2:29725413:29727710:-1 gene:PRUPE_2G317600 transcript:ONI25743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSINSKHTNYSNKKLLYPKEIPTLHIIGSVGFTIIVVFEFLPVNIFMGKIGGGWWLTAVKRAFRSPTKTERSNTRRREELHEQEDHEEKKRGKRRWIFRKPVSQETVIHVQHSEARSLSPTTSADARAATQTATMAAQHEASSDALAMAVPTTAVATVQAAVEAVRLTKSNCRPSIFVRDYAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKRTKMTLKCMQALVRVQARVLDQRTKRLSYEGSADSIFSDPNSLWGSHFSDRRKSISKDEESGTVDDLIHWDDVHPKTLEQIQAMLHKTKQAALKRERSTISCAFSNQKWKTGSGDQDVGHEHEELEEKFNLLDGWTRRKQCENFAGRASCDQQRDPIKTVEMDTSRPYSYSSTPNSKRADHQYNFQSQLHYHQQQQRVPLTPQSYDPVASALHQGQTSPSLQSPITPFASIPRNLQVNSASPRCSVRVRGDQRNHPTPPHTPNFNLGSTNFNNGFVGVMSGSEVPNYMAATASAKARLRSQSAPRQRHSTHDREKPGSARKRLSFPVPDNGTSDSNLKSPRYNNNMNGGHAVRLEHRASMSSCFTDGETSPTSSGDLKRYWLR >ONI25742 pep chromosome:Prunus_persica_NCBIv2:G2:29724724:29727888:-1 gene:PRUPE_2G317600 transcript:ONI25742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSINSKHTNYSNKKLLYPKEIPTLHIIGSVGFTIIVVFEFLPVNIFMGKIGGGWWLTAVKRAFRSPTKTERSNTRRREELHEQEDHEEKKRGKRRWIFRKPVSQETVIHVQHSEARSLSPTTSADARAATQTATMAAQHEASSDALAMAVPTTAVATVQAAVEAVRLTKSNCRPSIFVRDYAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKRTKMTLKCMQALVRVQARVLDQRTKRLSYEGSADSIFSDPNSLWGSHFSDRRKSISKDEESGTVDDLIHWDDVHPKTLEQIQAMLHKTKQAALKRERSTISCAFSNQKWKTGSGDQDVGHEHEELEEKFNLLDGWTRRKQCENFAGRASCDQQRDPIKTVEMDTSRPYSYSSTPNSKRADHQYNFQSQLHYHQQQQRVPLTPQSYDPVASALHQGQTSPSLQSPITPFASIPRNLQVNSASPRCSVRVRGDQRNHPTPPHTPNFNLGSTNFNNGFVGVMSGSEVPNYMAATASAKARLRSQSAPRQRHSTHDREKPGSARKRLSFPVPDNGTSDSNLKSPRYNNNMNGGHAVRLEHRASMSSCFTDGETSPTSSGDLKRYWLSCHIVGDVDISSA >ONI25744 pep chromosome:Prunus_persica_NCBIv2:G2:29725413:29727710:-1 gene:PRUPE_2G317600 transcript:ONI25744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSINSKHTNYSNKKLLYPKEIPTLHIIGSVGFTIIVVFEFLPVNIFMGKIGGGWWLTAVKRAFRSPTKTERSNTRRREELHEQEDHEEKKRGKRRWIFRKPVSQETVIHVQHSEARSLSPTTSADARAATQTATMAAQHEASSDALAMAVPTTAVATVQAAVEAVRLTKSNCRPSIFVRDYAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKRTKMTLKCMQALVRVQARVLDQRTKRLSYEGSADSIFSDPNSLWGSHFSDRRKSISKDEESGTVDDLIHWDDVHPKTLEQIQAMLHKTKQAALKRERSTISCAFSNQKWKTGSGDQDVGHEHEELEEKFNLLDGWTRRKQCENFAGRASCDQQRDPIKTVEMDTSRPYSYSSTPNSKRADHQYNFQSQLHYHQQQQRVPLTPQSYDPVASALHQGQTSPSLQSPITPFASIPRNLQVNSASPRCSVRVRGDQRNHPTPPHTPNFNLGSTNFNNGFVGVMSGSEVPNYMAATASAKARLRSQSAPRQRHSTHDREKPGSARKRLSFPVPDNGTSDSNLKSPRYNNNMNGGHAVRLEHRASMSSCFTDGETSPTSSGDLKRYWLR >ONI21056 pep chromosome:Prunus_persica_NCBIv2:G2:5586540:5592668:1 gene:PRUPE_2G048500 transcript:ONI21056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSLSIVRPLASPPGLQISPPQLPPRPNRLRVCCFSRGISTEKTLTQIESSGVIACLRANSAELAIEAACAALHGGISVLEIVMSTPGVFEVLQQLVHDHPTRVLGVGTVLNVEDAKRAMIAGAKFLMSPAMVKDILDDFQYSGVLYIPGVMTPTEILSAYDAGAKIVKVYPVSALGGSQYISAIKKPFPHISMVASQGITTDSVGDYIAQGASSVVLSDAIFDKEAMGQKNFTKIYQLANAAALHGNEAVDRGQQYTSN >ONI21772 pep chromosome:Prunus_persica_NCBIv2:G2:13912975:13917019:-1 gene:PRUPE_2G088100 transcript:ONI21772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSLALSRWVLIVAFLVVGLARETCNAKDESTKCTSSCGNIHNISYPFRLKHDPKHCGNVKYTLSCENDNTLVDVPSSGRYYVRAINYHNQTIRLVDPGLQNNNCSSMPRNFLPGLRFPYSIVNTRSSPLSTPIFYIMCSNPVNSSLYVETAPCLNTSATSLVQQKTYSYVKVGAMVVGDLNRGCSVGYASALLNYSKDYMPSYKSIHSALMYGFELGVYWPYEKCPGQWTSNSKCFPRTIPGLIRFRWEVTKVFFSRGDVYVARHPCFAFQSIRRRWGPLICLGSFFAARLIFGVPCMIVFVIYTWRRRHLSMYSVIEDFLQSDSNFLPIRYSYSEIKKMTNKFKDKLGEGGYGSVFKGKLRSGSFVAIKVLGKPKGNGQDFTSEVATIGRIHHANVVQLIGYCVEGSNRALVFDFMPNSSLDKYIYSKEKSMPLSCKKMYEISLGVARGIEYLHQGCEMQILHFDIKPHNILLDENFNPKISDFGLAKLYSVDDSIVSLTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMEMASRRKNFNSMVERSSQTYFPSWAYDQYNKGNDLEMGDFNEEENKIIKKMVITALWCIQMKPSDRPNMNKVIEMLGGDVECLKMPIRPFLYPQEMHAGDVQENLNSIGPNGELTWTLSGR >ONI22412 pep chromosome:Prunus_persica_NCBIv2:G2:18483761:18486245:-1 gene:PRUPE_2G127400 transcript:ONI22412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTEEFVEADNAEAIITRIEQKSRKIESLLKQYKPVEALKTALEGSPPNTRDERCKSANWIMVHRAIMAIKDVDGMFSSLDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTERAGLGCILRSLADTVNTV >ONI23778 pep chromosome:Prunus_persica_NCBIv2:G2:24134001:24135971:1 gene:PRUPE_2G207600 transcript:ONI23778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVQVDDQVLVQETLFVRTLTLNRPRQLNTLSLEMISRLSELFQAYVDDNNAKLIILKGRGRAFCAGGDLVAMHRHFLEGNLKYGENFAQKILNLTYLIATHSKPQVSIMNGIAMGAGVCISVHGKFRVATENSIFAMPENALGLFPDVGHFLSRLPGFFGEYLGLTGTMLDGAEMLACGLATHFVPSAKLSLLEKALISRAASATSSCDLAFISAIIDEYSLQQPVLNEKSALRKMDVIDKCFSRPTVEDILSALEKEVTTTDANRAGDEWLASTIRSLKKASPMSLKICLRSIREGRVQAMDECLVREYRIACHVMRGQISKDFREGCRAILWDKDKKPKWKPSSLDLITEHMVDHCFSRLDGDEELKLPQRCNLKVFANAKL >ONI20360 pep chromosome:Prunus_persica_NCBIv2:G2:1006033:1011245:-1 gene:PRUPE_2G011400 transcript:ONI20360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFNIAQGIIERLGSRAFEEMGLVSGVNVELRKLKLVVSQLRAVLLDAEQKQANNEAVKEWLLSVEDAVYEADDVLDEFYTEAQWRQMVPGNNKVSKQVRIFFSSSNQLVFGLKIGHKIKHLNKRLHEIASNTTFGQLQVNHEDARFVIRERVTHSFVREDNIIGRDEDKRAIIQLLLHPIPTENTENTENVSTISIVGFGGMGKTALAQLVFNDEEVQKHFEPKMWTCVSNSFQLDILVKKILKTDMFDMDQLQNDLRKKIDGKRYLLVLDDVWNDNREKWLALKDLLMGGARGSKILITTRIDTVAKITNTTKPYKLRGLNEEQSWSLFKKMAFQDGKEPTSSTIKVTGEEIARKCKGVPLAIRTIGRMLYTRDPETEWSAFKNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLFPPDYEIPVENLIKLWVAQGFVKSTNPNECLEDVGYEYYNELVWRSFFQEEQNDEFGIIKSCKMHDLMNELAVKVAGEGSTIIDRNKTDIDAKLLLHVSFDFNVDLWKLKVQKSLLESNKLRTLLFLRQERWGKSFHKSFCATIASNFKSLRMLSLNQLKIKKLPKCLRKMIHLRYLDLSFNLIGRLPDWIVKLQNLETLDLSGCDSLVELPRDTKKLINLRHLILQDCDNLAWIPRGLGELTHLRTLSTFVLSKNNSMLRDSARLNELGKLNDLRGKLKIKNLRYKKDMVSELNCDGAVLKEKRHLYLLTLHWMQIERENSDAVEEESDVIIKSMEALEPHSSLKELTLECYMGARFASWFHSLTNIVKLRLSHCDRCQNLPPLDHLPFLKSLNLSGLRNLEHISAEDMVKDFASDETMMMSAASPSTTFFPSLESLYLIGCPNLKGWWRNETASTSVSSFPCLSTLSICDCPNLTFMPLYPNLDQLWLERSSWKVLPSSFVLSKLKSLKIKGVDDIEYMPEEWIGNLTLLQELVFHHCPNLTSLPEGIGNLTLLQDLLIKDCPNLVSLPEVIGNLTLLRALLIKDCPNLASLPEGIGNFTLLQYLIISDCPNLVSLPEGFRSLISLRRLHISNCPKLASFPEGLRCLASLKHLHIWKCPILKQRCQKETSEDWSKIAHIPRLFIAWDLVRVLETCSAGRVWFKVCSSQQLRELHYPKCGIFCCSVEQRSSVLISCFSIYFLFCFVLFPIPNQIGTDLECSCTCNLLIQLVKNPSNLVDVAQL >ONI21323 pep chromosome:Prunus_persica_NCBIv2:G2:7351771:7352880:1 gene:PRUPE_2G059100 transcript:ONI21323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKAWYGWLHIVVCNFVRRHRNLLSTHLLDLFMESIDNIVSGFHNTLAILESEEVEVVKVEELEELHAEPFLMNEFDKRKIMQESPWNFDRALLLFGAKYGWVDPIILPLDSPCFWVERIGNFLGTCIAVGCGLNGDCLGCFLRIRVNLKITEPLKRHVTLRLAPDEPGKQY >ONI20527 pep chromosome:Prunus_persica_NCBIv2:G2:2067865:2068370:1 gene:PRUPE_2G020900 transcript:ONI20527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHCMMFMQHSRRLEKLGNLLRKNIKLKTSHFISVDEFNPQMEQLHALLAELSTEMKDRGYKQQTKVVLYDLDEEEKERIVLGHSEKLAVTFGLIKTKRGETIRISKNLRLCEDCHYVTKFISKFADRKILVQDVNHFHHFRDGVCSCGDYW >ONI21884 pep chromosome:Prunus_persica_NCBIv2:G2:15172209:15172913:-1 gene:PRUPE_2G096300 transcript:ONI21884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSEVSCCCRLSTLAVIEPETDLPCTQFLITVKSTTFTFNHASDEENDAVSPPTSEYYSHELQLDLLMDENASRAKLAEALLSELHCPPELCAAMVDKVANGAVAEEASSRPFSDFMLVHIEACLDVFVEQFGGSEEEEATAPKFVPASRAAISKLETATVEESAVCAVCLEEMAVGSDSTCMPCSHLYHRGCIVEWLQKSRVCPMCRFSLPADLSGRWSGRQTGSSILLPD >ONI20780 pep chromosome:Prunus_persica_NCBIv2:G2:3559080:3561221:-1 gene:PRUPE_2G033100 transcript:ONI20780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLCKAIDDEDRKPYIVYLGSLPNDEAFSPLSHQIGILERVVESTSASDFLIRSYKRSFNGFAAKLTDQEIERLANMKEVVSVFPSTNFQLHTTRSWDFTGLNDETKRNSTTESDVVIGVIDTGIWPESQSFNDEGFSPAPKKWKGVCEGGKNFTCNNKIIGARRYNSSSARDEIGHGSHTASTAAGNAVKGASFYGLAQGTARGGVPSARIAAYKVCEFDKCPGEAIMAAFDDAIADGVDIITISLGGTFVTSFDKDPIAIGSFHAMKKGILTAHSAGNSGPEEGTVVSVEPWVLTVAASGTDRRIIDKVVLGNGRTLIGNSVNSFTSNGTSYPLVYGKDATSHCSNFDAQSCLAGCIDSDLVKGKILVCDASDGDIVARQAGARGSIVISPSEDVAFIVPLPATGLSIKDYEGLKSYLNSTKHAKANILKSEAIKDTAAPIVVSFSSRGPNSILPEIIKPDISAPGVDILAAFSPVAAITDSPDDRRHVKYSLLSGTSMACPHAAAAAAYIRTFHPEWSPAAIKSSLMTTAWPMNHTDDVSPAEFAHGSGHINPVTALDPGLVYETSEGDHIKLLCSFLDDARVKLISGENSSCPKGSEKGSPKDFNYPSLAAVVKPVTSFTINFNRTVKNVGLANSTYKAKILPDSKVDIKVVPQVLSFKSLNEEKTFTVTVVGKGLPVGSHVSASLVWYDGTHRVRSPILVHSKAASW >ONI20494 pep chromosome:Prunus_persica_NCBIv2:G2:1866841:1869073:-1 gene:PRUPE_2G019100 transcript:ONI20494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSFCMVLLTSLFFVFLFSIQALRSKANPKRHLLPPGPKPLPFIGNLLELGNKPHLDFTKLSQIYGPIITLQLGQVTTVVVSSSNTAKQVLQTHDRFLSNRTVPDAIQASDLRGDSLPWIPTSSKWRSLRKICNSHLFAPKILDANQPTRRMKVEELISDVNESLVKGEAVDIGRAAFKTTLNLLSRTIFSVDLADASSEMAREFKETVWGSMEEAGKPNWADFFPVLRKIDPQGIRRRMIKHVRKIEQVFDCIISQRLESRKAHDYVTTNDMLDTLLNISGVNSEDMDMTKLQHLLLILFTAGIDTTSATLEWAMAELLRNPEKLSKAQQELEKIIGKGKPVEDGDIARLPYFQAIIKETFRLHPATPLLLPRKADSDVEICGYIVPKGAQVFVNAWAIGRDPSIWDNPSSFVPERFLGLDDQIDVTGKNFELIPFGAGRRICPGLPWAMRILPLMLGSLINSFEWKLEDGVLPETLNMEEKFGLSLQMAHPLRAVPKSFCEI >ONI23715 pep chromosome:Prunus_persica_NCBIv2:G2:23945953:23949420:1 gene:PRUPE_2G203900 transcript:ONI23715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRLLKKVLKEQEDQRIESDHEEKEEEEEAQQLNGKASINPFDLLNDDDHDLDHQEDESETFDEPSIVPQLKTKVGGVVSTSNQKSKKKKKKKGKEVSSSSSTSNVEKPLDAILESLSLDAPGKSADTKDCDNLGKPCAPSTLQVDPKFLNAENEMRRIFGSKVVRSFEKHERSGSSRMARGGRRSAYIPRKTILITPSEHWPRWDGSLSMEFLHVSEGYHHFRYVHSSSYRQAQSEFEAAKAIHDINAIASVLLYHPYHLDSLITVAEYYKFTGEHQMSAELISKCLYALECAWNPMFTPLQGNCQVKYSYETNKPLFTVLFTHMKNMDMRGCHRCALEVCKLLLSLDSDDPMGAMFCVDYFSLRSMEYAWLERFSEEYKSDNSLWLFPNFSYSLAICRSYLEREEPSKDALVKTAKATSTDLMKQALMLHPTVLKKLVDKVPLKDKVWADILKNAFFRADQVGIPSLDHLINIYVERNSLIWRLPELQNLLRDAAQLVIERLRHNSSDKKDWACVRKEAFSSEKNEYAHLLVSDFASSAPSAPPEVLQHFMLDPRMRDAAQHPVGDPMEGGHAPRNVANRNTLAVLLESMLPWVHYGDGDGGVEEENQLNGHGAGNE >ONI23716 pep chromosome:Prunus_persica_NCBIv2:G2:23945953:23949448:1 gene:PRUPE_2G203900 transcript:ONI23716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRLLKKVLKEQEDQRIESDHEEKEEEEEAQQLNGKASINPFDLLNDDDHDLDHQEDESETFDEPSIVPQLKTKVGGVVSTSNQKSKKKKKKKGKEVSSSSSTSNVEKPLDAILESLSLDAPGKSADTKDCDNLGKPCAPSTLQVDPKFLNAENEMRRIFGSKVVRSFEKHERSGSSRMARGGRRSAYIPRKTILITPSEHWPRWDGSLSMEFLHVSEGYHHFRYVHSSSYRQAQSEFEAAKAIHDINAIASVLLYHPYHLDSLITVAEYYKFTGEHQMSAELISKCLYALECAWNPMFTPLQGNCQVKYSYETNKPLFTVLFTHMKNMDMRGCHRCALEVCKLLLSLDSDDPMGAMFCVDYFSLRSMEYAWLERFSEEYKSDNSLWLFPNFSYSLAICRSYLEREEPSKDALVKTAKATSTDLMKQALMLHPTVLKKLVDKVPLKDKVWADILKNAFFRADQVGIPSLDHLINIYVERNSLIWRLPELQNLLRDAAQLVIERLRHNSSDKKDWACVRKEAFSSEKNEYAHLLVSDFASSAPSAPPEVLQHFMLDPRMRDAAQHPVGDPMEGGHAPRNVANRNTLAVLLESMLPWVHYGDGDGGVEEENQLNGHGAGNE >ONI22170 pep chromosome:Prunus_persica_NCBIv2:G2:17011424:17014545:-1 gene:PRUPE_2G111900 transcript:ONI22170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLASSHLLQYRRLFSDHFPKTHLQKSTVHRQNLTQLGSAFVSRASVSSNAHPIESCFRQKGVPRKSSLAFLSPLEAILFDIDGTLCDSDPLHYYAFREMLQEVGFNGGVPITEEFFSDNLSGMHNEKLCSILFPEWDIQRARNFFEDKEAMFRRLASEQLEPVKGLHKLRQWIENQGLKRAAVTNAPRPNGELLISTVELSNFFEIVVVGDECDRAKPFPDPYLKALQALQVSHKHAFAFEDSVSGVKAGVAAGMPVVALGTRNPEISLINAGAAFVIKDFEDPKLWEALEEFERKAM >ONI24345 pep chromosome:Prunus_persica_NCBIv2:G2:25735786:25738846:-1 gene:PRUPE_2G235200 transcript:ONI24345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVIVWTMVELLFALILIVALGFVSAVFFEAYRRKNNHAHVEAPAIFEDPKSLKQVRCPSIFDPAEKYISLIVPAFNEELRLPGALEETMNYLQQRAAKDKSFSYEVVIVDDGSVDETKRVAFEFVKKYSVDNVRVILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATKVNDAEKLENQIHAAAKEEFKFGDSPASNSSFRISDIPIAAFGSRAHLEEKALATRKWHRNFLMKGFHLVVLLAAGPGIRDTQCGFKMFTRAAARKLFTNIRLNRWCFDVELVYLCKWFRIRMIEISVNWSEIPGSKVNPLSIPNMLWELVLMSVGYRTGMWQIRS >ONI21714 pep chromosome:Prunus_persica_NCBIv2:G2:13266923:13270648:-1 gene:PRUPE_2G083500 transcript:ONI21714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSLDCYDGASPVMVPQHTAHGHESTAAVKLQKVYRSYRTRRRLADSAVVAEELWWQAIDYARLNHSTISFFDYHKSETAASRWSRISLFASKLGKGLSKDAKAQKLAFQHWIEAIDPRHRYGHNLNYYYDEWCRGDAGQPFFYWLDVGDGKEVDLKDCPRSKLQQECIKYLGPQEREHFEYIIFEGTLVHRGTGYLLDTNQGMEDSKWIFVMSTSKKLYAGEKKGLFHHSSFLAGGATLAAGRLRAEHGKLKSVSAYSGHYRPTNENLGSFLIFLKESGVALDGVQVLSPMEDNESYGLSKSIQPGRTYGQTKAENPELHASRVEESNQQSESCTFSETKRISSYQRTISRNLFNLRTSVPKKELLQRINSKKEASSCQLGDQLSLKWSTGAGPRIGSVADYPLKLRLQALEFVSLSPRVSLAASPSEPPSGLTSFTTSCRIM >ONI21715 pep chromosome:Prunus_persica_NCBIv2:G2:13267351:13270409:-1 gene:PRUPE_2G083500 transcript:ONI21715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSLDCYDGASPVMVPQHTAHGHESTAAVKLQKVYRSYRTRRRLADSAVVAEELWWQAIDYARLNHSTISFFDYHKSETAASRWSRISLFASKLGKGLSKDAKAQKLAFQHWIEAIDPRHRYGHNLNYYYDEWCRGDAGQPFFYWLDVGDGKEVDLKDCPRSKLQQECIKYLGPQEREHFEYIIFEGTLVHRGTGYLLDTNQGMEDSKWIFVMSTSKKLYAGEKKKGLFHHSSFLAGGATLAAGRLRAEHGKLKSVSAYSGHYRPTNENLGSFLIFLKESGVALDGVQVLSPMEDNESYGLSKSIQPGRTYGQTKAENPELHASRVEESNQQSESCTFSETKRISSYQRTISRNLFNLRTSVPKKELLQRINSKKEASSCQLGDQLSLKWSTGAGPRIGSVADYPLKLRLQALEFVSLSPRVSLAASPSEPPSGLTSFTTSCRIM >ONI21706 pep chromosome:Prunus_persica_NCBIv2:G2:13100055:13107191:-1 gene:PRUPE_2G082700 transcript:ONI21706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPVVPADANSSSNPGHDDRVYDYNQCKPLFDAVCTGDWNKAKEFLTLHPNAIRARLTSANKIALHYAAELEHEHIVEELVQLMSEEDLELTDKWGWTALAYAAKRANLKMVECMVRKSKKILSIPNGTDNMTPILLASFQEQWDVVHYLYSATPLQDLMPEKGPYGSTILRSFIIGMKFGIALELIQHCPQLVLTKGHDGAFPMEGFMPSAFLSGTRLKFWQRWIYNCIRIEHAISDIRVSVQNEGNDECNRMKITWSVVGFLKGLKSNLLELLGINRIREIKQAHIQSLELLHHMCEVIKHSPGQYYIRRAIFRSINRGMFEFTDSVLQARPDIMWINNEIGRTLFQFAIECRQEKIYSLIYKLDKRKRTVIGNFADRSKNCALHVAGILSPLAKLDNISGAALQMQREVQWFKEVETIALPRIKESINNDSMTPRELFTKSHKELVKEGERWMKESATSCTVVGALIITIMFAAAFTVPGGNNEETGFPIFLHKNLFMAFIVSDAVSLFSSTTSVLMFLGILTSRYAEDDFLKSLPTKMIIGLSTLFISIATMMVAFSSALFIMIHEQSWIVIPMIFLASVPVTLFIWMQFPLLVEMYISTYGGGIFDKKVKSRA >ONI21459 pep chromosome:Prunus_persica_NCBIv2:G2:9378132:9381637:1 gene:PRUPE_2G067100 transcript:ONI21459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSLLFAVHIALLLLLLPPSFCFQTSTVEDSALFCGNINIRSPFDLNGDLKKCGYNTFELYCEENVTIICLYSGKYHVKAINYSDWTIQVVDAGVQKKDNYFSNPRYSLTSLNLSDGNPFSYALQFTDTSIQELRLYSLVIREVPIIFISCANPMHSPRLVDTAPCINNSTNSSLSSTLRMFSYVIIGLNGSIISPFDLGESCKITQMVVVSSSTSTDLHQNLSCEGILHIVIYVFGFPCATALIIYKWKRRHLSMHDNIEDFLQNNKLMPVRYSYSNIKKMAKGFKEKLGEGGYGSVYKAKLRSGRLVAIKMLGKSNANGQDFINEVATIGRIHHVNVEQLIGFCVEGSKRALVYDFMPSGSLDKYLFSQQGVISLNCEKMFEIALGVARGIEYLHRGCEMQILHFDIKPHNILLDDNFLPKVSDFGLARLCPLDNSIVSLMAARETLGYIAPELFYKNIGGISYKADVYSFRMLLMEMACRRKNLNATIEKSSQIYFPTLAFDQLSDGKDIKIGDATDEEEKIIKKMIIVALWCVQMKPSDRPSMNKAVEMLEGEIESLEMPPKPFLYPQQMPEVVPGDNSSTTSASTVTNSTKIVSIVDAD >ONI22998 pep chromosome:Prunus_persica_NCBIv2:G2:21354411:21356635:-1 gene:PRUPE_2G163100 transcript:ONI22998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFTLDPCALLLRQAVTPFIEAEAYNGEIYVSPTVVTLIAEASGSVVLVLRMKPCTFNSFTCTKNLSLGLDLRILYSNLLRAKTHAFLRLSGDETSGHIDFGLLDTRTLQGYRTHIPLLSRSNEMLPVPHLQYQFQVRVAIPAEQFRVIIMKLSQFEVAVSASVTDTQVRFLNGNGKIIPNPILKKPEQCIIEGDVGAAPVSLVLNLRHARAIMNASVMSNMVWLLGQSNGSSVMLDCPFGKLGNLNYYFPKPEA >ONI23025 pep chromosome:Prunus_persica_NCBIv2:G2:21459483:21461910:1 gene:PRUPE_2G165000 transcript:ONI23025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSCLETPSSALSSSSKIVWGEKVSDKKGKPQGREVTVLAAFLVSSPSQELEVVALGTGTKCLGRSLLSSNGDVCMVLIGILGFSGTRFFYAQIQCFTQVYSKQSDSNGSIQLQNDDAKNLPFELDPNGDGQGKYKLRKGLQLQLYISQLPCDVSQLIGSVQRMPGCGDTTLSVSCSDKMARWRALLSFYLQPVYLSSITVGQSPHGSEMVLVVDLLKQALHDRILPLSNELMSPFQVNQKVDKKRLLQIFLSLRLECPAKIPVNQISYREIKEMAQEFNLTSKILKRRPPFSNWPLKLPHFEAFSAMI >ONI23655 pep chromosome:Prunus_persica_NCBIv2:G2:23750995:23754079:1 gene:PRUPE_2G200600 transcript:ONI23655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLYLASFLLLGLFNCLSISHSLHEHQKLEQPNLLHNQLCHEKCGQLQLPFPFHLNKSCSSVSDAFHLSCLNSTALFLNIGSESYRVLEFFSDGLLVDFPGSSSCRQYNDLNSFDFLGNDHFGVSVENVIGLYDCEDSSLCKTECETNDLPGCDGNVNGSPACCYPLSDHSVWHLGEKFSVFSKFGCRGFSSWVVQRGSNLGKRGVKLEWAVPRNSSKGVCASNAYITNATVVEAGVRCICEDGFLGDGFATGEGCIKSCIKDRKEAYGNDCLKKKRGGKKLVILAGVLAPVFIIASLIALLCLLKYPAKPGTFDPAQKPDFQSTISFRKASRTRLFTYHELEEATKGFEEGQKLLSGNNGTIFAGVLGDGSHIAVHKVECENEKDLIQVLSQIEVLSAVLHRNIARLLGCCIDLAYTPLLVYEYPANSTLEEHLHQRGGQNVALDWYKRLSIAAETASVLAFLQYEISPPIFHCDLKPAYIFIDENFSSKVAGFGLLITSLGDGSQSHNHEDSRFHKNDVYAFGVMLLEIIAGSNCLDLPTVALQKIRSGKLEEIVDPHLYYHEQPSYRREQIEIVADLAMRCLLFGGDGKLGMYDVARELVHIRRDSSDGGSKRGPALEETFSNSSLLQMISMSPDSTYVP >ONI24763 pep chromosome:Prunus_persica_NCBIv2:G2:27051157:27053346:1 gene:PRUPE_2G261300 transcript:ONI24763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRKTRKRVHGIPRAADGSAFQKCNTCGASVPIALAGMHECDLNPKVKRFKGVCLDVQQQSFYDQLIVMSPFHFFMKEFTKTSKAGNWVAINREGFEAWKNMSEKERQPYVAEADKVDKAYEKALLEEVDDKLKVDDEADSAMAGNNEKAGEFYGDYENSDGTDELWDNYGRAGSWQTYARPIGYEFPSGKWSMIFEDSQV >ONI24497 pep chromosome:Prunus_persica_NCBIv2:G2:26200161:26201299:1 gene:PRUPE_2G243800 transcript:ONI24497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLFGGRRSNVFDPFSLDIWDPLEGLGTLANIPPSARETTAIANTRIDWKETPEAHIFIADLPGLKKEEVKVEVDDGKVLQISGERSREQEEKNDKWHRIERSTGKFSRRFRLPDNAKIDQVKASMENGVLTVTVPKEEEKRPQVKAIDISG >ONI25913 pep chromosome:Prunus_persica_NCBIv2:G2:30117530:30122890:1 gene:PRUPE_2G326400 transcript:ONI25913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEVVILWNLHDFSCMKTVTTYEVLEGVCAIHSGPLLSSCLSSCKQKSGKKSGLPAICFITVGERGVVRIWNSEGAVCLFEQKSSDVTPSSDGDESKRGFTAAVLLPSDKGLLCVTADQEFLLYSPVKVPEGTLEFVLSKRLVGYNDEIVDMKFLGDEEQFLAVATNIEQVRVYDVASMSCSYVLAGHTGIVLCLDTCVSSCGRTLIVTGSKDNTVRLWESESRCCLGLGIGHMGSIGAIAFSKKRKDFFVSGSSDRTLKVWNLDGLPDNGEKPINLKAKAGVAAHDKDINSVAVAPNDSLVCSGSQDRTACVWRLPDLVPVVVLKGHKRGVWSVEFSPVDQCVITASGDKTIKIWAISDGSCLKTFEGHTTSVLRASFLTRGTQFVSCGADGLLKLWMVKTDECIATYDQHENKVYALAVGKNTEMLATGSSDAVINLWYDCTASDKEEAFRREEEGVLKNQELENAVLDADFTKAIQVAFELRKPHKLYECFSQVWRKRKNEKQIEKSLQTLGKEELKLLFEYVREWNTKPKLCHVAHFVLSKVFSILNPIEITEIKGIDEVLEGLLSYSQRHFSRMDRHVTSTFLVNYTLTGMSVIEPETDTRVMDDRSLMHSVGDDENGTLIQELEDEEQKQTSQGLKEKAVSKKRKSKKSKDGVNKKVKGSSL >ONI25912 pep chromosome:Prunus_persica_NCBIv2:G2:30116258:30122890:1 gene:PRUPE_2G326400 transcript:ONI25912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLKKNYRCVPSLQQFYSGGPFVVSSDGSFIACKCGDSIKIVDTSNASIRSTIEGDSEDVTALALSPDDKLLFSSGHSRQIRIWDLATFKCVRSWKGHDGPVMGMACHPSGGLLATAGADRKVLVWDVDGSFCTHYFKGHKGVVSSVLFHPDPTKSLLFSASDDTTVHVWDLLAKKCVATLNGHHSTVTSMALSEDGTTLLTAGRDKVVILWNLHDFSCMKTVTTYEVLEGVCAIHSGPLLSSCLSSCKQKSGKKSGLPAICFITVGERGVVRIWNSEGAVCLFEQKSSDVTPSSDGDESKRGFTAAVLLPSDKGLLCVTADQEFLLYSPVKVPEGTLEFVLSKRLVGYNDEIVDMKFLGDEEQFLAVATNIEQVRVYDVASMSCSYVLAGHTGIVLCLDTCVSSCGRTLIVTGSKDNTVRLWESESRCCLGLGIGHMGSIGAIAFSKKRKDFFVSGSSDRTLKVWNLDGLPDNGEKPINLKAKAGVAAHDKDINSVAVAPNDSLVCSGSQDRTACVWRLPDLVPVVVLKGHKRGVWSVEFSPVDQCVITASGDKTIKIWAISDGSCLKTFEGHTTSVLRASFLTRGTQFVSCGADGLLKLWMVKTDECIATYDQHENKVYALAVGKNTEMLATGSSDAVINLWYDCTASDKEEAFRREEEGVLKNQELENAVLDADFTKAIQVAFELRKPHKLYECFSQVWRKRKNEKQIEKSLQTLGKEELKLLFEYVREWNTKPKLCHVAHFVLSKVFSILNPIEITEIKGIDEVLEGLLSYSQRHFSRMDRHVTSTFLVNYTLTGMSVIEPETDTRVMDDRSLMHSVGDDENGTLIQELEDEEQKQTSQGLKEKAVSKKRKSKKSKDGVNKKVKGSSL >ONI23573 pep chromosome:Prunus_persica_NCBIv2:G2:23347783:23350217:1 gene:PRUPE_2G196000 transcript:ONI23573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAHASSNSSIDMDNERLTAEMAFKDSSSAVIKIRQRLPDFLQSVKLKYVKLGYGYSCNAATILLFLFILPLFVSVVIQLTGLELNRITELWTNQAVRLESIDAATRLAGSGVLLFFLGLYWAKRSRPVYLVDFSCYKPDDQHKLSVDSFLKMTEESGAFAQDTFQFQTRIANRSGLGDETYLPPGITSKPPQLSMEQARSEAETVMFGALNSLFEKTGVKPSEIDILIVNCSLFNPTPSLSAMIVNHYKLKTDIKSYNLGGMGCSAGLISIDLAKDLLKANPNSYAVVVSTENITLNWYFGNDKSMLLCNCIFRMGGAAVLLSNKSRDRTRSKYELVHTVRTHKGADDRSYNCVYQREDDKGTIGVSLARELMAVAGDSLKTNITTLGPLVLPFSEQVLFFITLIRKKVFKAKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLTEWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRVGRGDRVWQIAFGSGFKCNSAVWRALRPISVGDGLGNPWMDSIDRYPVKVSIR >ONI20826 pep chromosome:Prunus_persica_NCBIv2:G2:3861876:3869706:1 gene:PRUPE_2G035700 transcript:ONI20826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKVSPKDTAVKFMIDLLVCSEHCSFYHSCAEKRSDSPCLMFFLQATDDLETTTTLQYYADLICPVLLETIIKGDPRIGSANIIWIDPDTTTWIRSPNKSHKVIKQSGDAWRTVLDSCLPVLHLIDTRRSIPYAIKQIQELLGVSCAFDQAVQRLSTAVTMMAKGVLKEHLILLANSMTCAGNFVGFNSNGYKALSRALNIQVPFTEATLFTPRKCFERAAEKCHMDSLASIVASCSWGKHVAVGTGARFDVLWDTREVELTQEGGLDVFNFLHMVSTANVEEATTGALGAEVDDLMLVDEMADSSFSPELNSSFDRPVFEDLVEFDDKLGDLPEKSNWEKDSSFHTDSNGGKDWSVDKNVGTVAVPDVWSSWGTDKGENDWCGRGVGEDDFVSLSGKKSGVLNTSSAWATNTAREDAASAWGTNPAKENTTSTWGTTTASENDWCGRWVGQDDSASLSVKKSSVLDTSSAWATNTAREDAASACGKQPAKENATSTWGTTLASENDWCGRGVGHDDSASLSGKKSSVLNTSSVWATNTAREDATSAWGENPAKENTTSTWGTTTASENDWCGREAGKVEPVDFQPTKPQDDSASLSGWDSPTGDGNSGERNHQWGQHRGDQTKKSRFEGARNWVSSPGEWKNKNRPPKSPGMVNDNSTMGALYTVTRPRLDMFTSEEHVLSNIEPVMRSLRRIMHQSGYNDGDPLSGDDESFVLDNVNRHGSFQDSRCFFVVSTDSRTEDFSYWNMTFEKFPNVAETFIGKYFSSRGNRERNPTLSQTSTPEQTENAETQ >ONI22636 pep chromosome:Prunus_persica_NCBIv2:G2:19766805:19767085:1 gene:PRUPE_2G141500 transcript:ONI22636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSSRAKPVAKKRMEKLDTAFCCPFCNHGSSALCSVCQESFSTTITPLTEPIDVYSEWIDECERVNTIFVADDHDDR >ONI22892 pep chromosome:Prunus_persica_NCBIv2:G2:21084445:21085815:-1 gene:PRUPE_2G157500 transcript:ONI22892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKEANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI20484 pep chromosome:Prunus_persica_NCBIv2:G2:1715582:1723679:-1 gene:PRUPE_2G018400 transcript:ONI20484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKTIGRELAMVSQGGFGQSKEFLHLVKSIGEARSKAEEERISDNYLVVCAALNAVCKLINDETVPAVLPQVVDVLAHPKDAVRKKAIMALHRFYQKSPSSRLCDNDPGVMGATLCPLFDLITIDVNSYKDLVVSFVSILKQVAERRLPNHQLPAPFIQILLTFNEIRLLKILALLGSGDKQSSEKMYMVVGDIFRKCDSTSNIGNAVLYECICCVSAIYPNPKLLEQAAQVISRFLKISPEGAEQHQLAVIDWLEDPDDTLKRKTFELLYKMTKSSNAEVIVDPMIEYMISINDNHYKTYIGSRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNVKVAHNLMKLIAEGFGEDDDSADSFSFFLPLSSTVPDKFSIGDIMMHSPFYSTAICVTFEDYILVVCLQHFVHCSLLHHLQHFVESYLRIIGEPKLPSVFLQVICWVLGEYGTADGKYSASYIIGKSCDVAEAYSNEEPVKYAFEISAQRKVDILPECQSLVDELSASHATDLQQRAYELQAVISLDAPAVESIMPSDASCEDIEIDKSLSFLNGYVQQALEKGAQPYIPENERSGMLNISNFSNQDQREALTHGLRFEAYELPKPAVPSRIPPAAVASSTELVPVPEPSYAREIRQPASLPPVSDAGSSELELRLDGVQRKWGRPTYSSPAFEWGLTQIDSVSTSNSKALDTYESRRPQVEISPEKQKLASSLFGGSSKIERRPSSANHKVSKANIHASEKPQVPKAAAVHTEVNHEPAPELLDLGDSTSSTASTVDPFKQLEGLLDQTEVALTANHGAAGAAKTPDIMGLYADTSLSGLSSSVGDPLPTNRDEFNLASELSNATRTAQSGVTQLNKGPNPKDSLEKDALVRQMGVTPTSQNPNLYKDLLG >ONI21705 pep chromosome:Prunus_persica_NCBIv2:G2:13015499:13016068:-1 gene:PRUPE_2G082600 transcript:ONI21705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLILLLKLTNTIHGRQSSPQAPLQGWRPPPAGSYKINVDGAVKSGDFVRGVGVVVRNANGEFMGACVRRIQASYGARQTELMATIEGLRYAIDMGFTAAILEMDAQDCLNSILSTEEYNGIDDLLIEEVNYLLNNFRAVVCHWTPRCGNKVAHTLAQFAFHCNEFVTWIEEAPSWLLPVLEADVLSLEC >ONI22311 pep chromosome:Prunus_persica_NCBIv2:G2:17816946:17818467:-1 gene:PRUPE_2G120700 transcript:ONI22311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKDIPHEILLDIIAKLPVKSLLKFRCVCKSWHALISSPSFISAHLERTAMKSGCDYLLVHSGNRDCLSRTYLWNPSIRKFKRLPKSLNRDKYRYSIATIALGFGLDVGGNDYKVVRIARFSHGICVEVYSLGLDSWRIINALPPVTSDVWNEKWAYLNGVVYWIVQEFSPDWRDIISFDMENEVFQRIMLPDRLLTVTDSISIRVLEKSLSLFHHRKEWDRGYRYYYDIWVLAMDSWKMIPTISLPAKGKIAWPLAFTANGGVHFTTRYENWQHRKLELCDPKSEQVTDTGIKLGTYSRTRRRIYGYSESLILLN >ONI25120 pep chromosome:Prunus_persica_NCBIv2:G2:28056791:28057481:1 gene:PRUPE_2G282200 transcript:ONI25120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTTMLDSTLDLITQAASNSLFIFCFCNLIIVIILVGSKPISNNDERSAIHLTMPASKHVDDEQGTRAKQVCKKNNALMEVSQVSNAQTAISDNSKVSSANSDNGETEGDDELRTRAEEFIEKVNKAWKAELLRNSRLF >ONI23743 pep chromosome:Prunus_persica_NCBIv2:G2:24009748:24013187:1 gene:PRUPE_2G205600 transcript:ONI23743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPSGDRRRPPWLIGPATLLMFLVLAVSAEDIFLDWHVTLDTNIKPDQPVIAINGLFPGPLLNGTTDDVFHVNVFNQMDEPLLITWNGIQQRLNSWQDGVSGTNCPILPGENWSYMFQFKDQIGTFSYFPSLNFQKAGGAFGPIRINNRPVIQVPFPKPEAEFDLLIGDWYDRSFKDVRSMMSTNLMAYNSTPDKILMNGKAAYSALPTEAHESFTVAKGKTYRIRISNVGTAWSFNFRIQKHKMVLVETEGSYTNQITLDSLDVHVGQSYSVLVTADQNASDYYMVASPKMFNASDVTRFGIGVLHYDKSTTPPNGSLPRGPDPFNQKFSIHQAQSIRWNLTTGAARPNPQGTFNVHNVTLSQTFILRASIAEINGLPQFTVNNVSYLAPDTPLKLADQFLNGSGVYKLDEFSTNSSNFKTVRGVFVASGKHKGWIELVFHNDLEGMDAWHLDGFGFYVVGFGTGKWSQKSRSKYNLHDPVVRSTVQVYPGGWTAVYAYLDNPGMWNLRSQHLKNWYLGEELYLRVYDADPNPAKEKPAPNNLLLCGEFAPFAPPTPVVPPPPLQPSPPAPGASSAQSLQTAAGFHIAIICIVATFFQISRSSSHFL >ONI22141 pep chromosome:Prunus_persica_NCBIv2:G2:16807264:16807473:1 gene:PRUPE_2G109800 transcript:ONI22141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKPTSPPYIKTLKLCYKTKPCEAKDIYVEEADGGQRTTMEQISETKVLALQGVTQGMFELNKATSSL >ONI25787 pep chromosome:Prunus_persica_NCBIv2:G2:29845811:29848488:-1 gene:PRUPE_2G320300 transcript:ONI25787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAKQEPAQAEAKPEEKAEQKTEEKKEEKAEEKEEPKPPSPFVLFVDLHCMGCAKKIERSIMRLRGVEGVMIDTAKNEVTIKGIVEPQAVCNKIMKKTKRKAKVLSPLPAAEGEPMPEVVASQVSGLVTVELQVNMHCEACAEQLKKKILKLRGVQSAVTDHNSGKVMVTGTMDGDKLVDYVYRRTKKQARIVPQPEPEPEKKEENKEGDDKAAEEAKPSEDKKEENAEEKPAEEAKKEEGGGDGGEESKNKEEEKGGGEENKVVEEMSGSYVNSGMDEESMKRMMQYYYQPLYVIERIPPPQLFSDENPNACCIS >ONI24250 pep chromosome:Prunus_persica_NCBIv2:G2:25547267:25548309:-1 gene:PRUPE_2G231300 transcript:ONI24250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHQEQYYPPPSTSSSLPLLPPHPSYLFPSSSTSMNPPPLPEPHHQQQAQLPDIDWVSLLSGHHDHQINNNEPAAMVEVNNNENNVIEAAQEEKGSNKRKGGEGRKISVMKKASRPRFAFQTRSADDILDDGYRWRKYGQKAVKNSLYPRSYYRCTHHTCSVKKQVQRLSKDTSIVVTTYEGVHNHPCEKLMETLTPLLKQMQFLSRF >ONI20874 pep chromosome:Prunus_persica_NCBIv2:G2:4117441:4120653:1 gene:PRUPE_2G038300 transcript:ONI20874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTGTRSYAGESYTVFADSKDELRACYNKTISPTGLWRVENPLMQALPVTVIKLVMAMVSTRLAMLLLKPFYQPRIVADILGGFLMSRNMRGDLYPQLFPISNTVVAETLANLALVYHMFLVGLELDFKPILRARRKAVSIALTGMVFSFLTGWVLYRYILLKDFAKQTKNMGTKDGSFFWGITLATTNFLDLAKLLADLKLLYSDVGGLALSASVISDLCSWILLLLGMAIVKSRPLLTVGSTLAFVGLCVFVVRPALVRIINRRRGAQENGNDNELACYVMAGVVLCGLITDACGSHSIVGPFVLGIIMPKGEFSSMLTENMGNFVREILMPFFYLINSRRLSFSDILNTKDPEEAKTGTNIYRVVLINLVSYAAKIVSTFVACVLNKMSPRDSLTVGVLMNTKGLLALIILNSARDLKILNKQSFTLMTVVIWIMTFFVGPFLALFYKSSARPLVQYKQRNVRSVGPNTELRILACTHTSRKMSGIIDLIDSSNPTEKSPIHVIVTHLVELTGHASAMLVVHNTCKPSSTNTTTNDAHSTDYDSSNGFQLYAQQREGIVTVQTITAVSPYATMHEDICNLAEENRVSLIIIPFHKQSAISDGGAAIQDSNYSHLKCLNNNLIAHARCSVAVFVDHGLGTSNSGHHFAILFIGGEDDREALAYAGRMVGHPRVMITVIRFNFNSNKGAPKVYSNDNDDVDDSDSDSDGDGDDEILVAMTSSGKQKKLDDLFIDEFRLRSISDDSLEFLEKSVTSWEQTLTLISAMEGDYDMFIVGRSHGSNSIDTSTMLLECSDANEMGVLGDALASSTFSGSTSILVVQQGEDLDIV >ONI21265 pep chromosome:Prunus_persica_NCBIv2:G2:6748263:6756668:1 gene:PRUPE_2G056600 transcript:ONI21265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNPEEVALPPPPAIIPPNVVPIVSEDQRLELMKKASNPKRVPMARPGIGSKGQRIPLLTNHFKVGVNKSGGYFFHYSIDMLYEDGTPVGEKGIGRKVLDKVKETYGSELGHKEFAYDGEKSLFTVGSLPRNRLDFVIVLDGISSIRRTGSAGGSSNPTDSAGDRKRVKKQYQSKTLKVQVNFATKIPMQAIVNALRGQDSEHFQEAVRVLDIVLRQNAAKQGCLLVRQSFFHNNQSFKTLGGGVQSCSGFHSSFRATQGGLSLNMDVSTTMIVKPGSVLDFLLENQNVKNNDQIDWIKAKRMLKNLRITAHPSNMEYRITGLSEKSCKEQRFFLKTKKGQDGDGEEITVFDYFAAYKNLPVRKSADFPCINVGKPKRPSYFPVEFCDLVSLQRYTKALSSTQRALLVEQSRKNPQEQMTVLRNALKTSKYDADLMLHSSGISISAEFVQVEGRVLPAPKLQVGNGQEFFPRNGRWNFNNKTLVEPVKIGRWAIVNFSARCDIRYLVNSMLNCAEMKGITIEDPLFVFEENYQNRRYPAHVRVEKMFDDIPSKPKPQLLLCILPERKNSDIYGPWKRKNLSERGIVTQCIAPTKLNDQYITNVLLKINAKLGGMNSLLTVDRSPSMHSVSKWPTLILGMDVSHGSPGRSDVPSIAAVVSSRNWPSVSHYRAAVRTQSPRVEMIASLFKPVSDKDDEGIIRELLKDFYASSKGRKPDQIIIFRDGVSESQFNQVLNVELDQIIQACKFLDESWSPKFMVIIAQKNHHTKFFQTRSPQNVPPGTIIDNKVCHPKNNDFYLCAHAGMIGTTRPAHYHVLYDELGFSADNVQELVHSLSYVYQRSTTAVSVVAPICYAHLAAAQISQFIKFDDV >ONI22518 pep chromosome:Prunus_persica_NCBIv2:G2:19231219:19239637:1 gene:PRUPE_2G134500 transcript:ONI22518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSRPSTPRLLYTLYTSPSKTVQSPSPSPSPSPSPASSLLLGSFHFRQFSSGNLARAKEDKEPWWKDSMDKLRNIGISAHIDSGKTTLTERVLFYTGKIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARAKLRHHSAAMQVPIGLEEDFKGLVDLVQMKALYFHGSSGEKIVIEEVPADMEALVTEKRRELIEVVSEVDDKLAEAFLADEPISSTDLEEAVRRATIAQKFIPVFMGSAFKNKGVQPLLNAVLSYLPCPIEVSNYALDQTKNEEKVALGGTPDGPLVALAFKLEEGRFGQLTYLRIYEGVIRKGDFIFNINTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETVTQRAEFDYLHKKQSGGQGQYGRVCGYVEPLPAGSPTKFEFENMIVGQAIPSNFIPAIEKGFKEAANSGSLIGHPVEHVHVVLTDGAAHAVDSSELAFKLAAIYAFRKCYAAAKPVILEPVMLVELKVPMEFQGTVAGDINKRKGVIIGNDQEGDDSVITAHVPLNNMFGYSTALRSMTQGKGEFTMEYKEHSPVSHDVQTQLINNYKGTHAAE >ONI24017 pep chromosome:Prunus_persica_NCBIv2:G2:24813443:24819257:-1 gene:PRUPE_2G219200 transcript:ONI24017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQKEKDVLVGTGSESTVTAGGHVAGETLAGSEACVSEGQVEGSFTEDAGEDDGGSCNGDDIMVEVLGSNVYVGGVCTSGDGEKSDDEVDRDESDEVDMGSERNVGSLGGDGGGVGEPDSIGGETQVVHIEEAEVVAREVVNSQEVNASDEKEDNSTAENGIGGSSAGALCSETQVVQNEVTVIESVEVSGRGLVEVVEQETKSVVGGVDALHDLKTQKASVSDDEVWNPGIEKAAVIINEEGSNPKPLSEQTQVPAATGDVAGEDRVDTLTSQVAGKETDKIDENSSHSVEEQLVKIEPVGVSTHSSSNGPAHSVSSSLPAQEVHGGEIAVKGEHDLLTFEKDQFLKPEESVENMVHDISLVESTSVSLPTEVVPGGVVSVTDGGSPSNSVKDQHSKHEESIDKNMVHDIAQIESNTGQEMEVDSQVNDAGQVGLDGGCGEHLMSNNDVPDPAEKEQPLKSEESLEKTATAYVAPVHPNMDMEGVKEQVTDAEDVLYGGEQIEDKGQYTIGGSTEIAAADDNVLLHPNGQNLKTETLYRSSQTDIQVTDSGDIAPMDTEEVFNYASVAETNVVHEAGLKEQVTDAELDGLHGGHYTEVETEATEQPKFSEEEIIMEEAMQPGSSDILLQPRYELPPENEGLFSASDLVWGKVKSHPWWPGQIFDYTVASEKAMKYHKKDCFLVAYFGDRTFAWNEPSSLKPFRSYFPQAEKQCNSEAFQNAVNCALEEVSRRVELGLACSCIPEDVYEKIRFQIVGNAGICQESSRRDEVDESASASSLECNKLLEYIKALARFPSGGSDQLELVIAKAHLLAFYRLKGYCSLPEFQFCGDLLENRTDSSLSEDKINVGERDEHTIEKVTFSGPDIVKVQSSNSNKRKHNLRDGVYSKIKERSLSELMEGGIDSLDGDDWLDGKDSGGLVSPSSGKRRKGFEYHADDLTVQDGRKGLSVAKVSNTTHVPKQSFKIGECIQRVASQLTGSPIVKSNSDRPAGDTSDVAFQSSGDGHRGRAIDPTEYASLGELLSQLQSAAEDPRNEYHFLNTIVSFFTDFRNSVAVGQQAGVELLAVDKVGGKRRKSSNSGLGLPETFEFDDMNDTYWTDRVIQNGAEEPASRRGRKINFQPVVLAQPEKSPQEGRRPYSRRRYSQGNNALPAEKPVGYVDENAPAELVLNFSEVNSVPSETKLNKMFRRFGPLRESETEVDRESSRARVVFKRSSDAEVACNSAGKFNIFGPILVNYQLNYTLSQLNYTPSIQFSASPSATTQDQEMQLVLSPHDHEMHLDLSAHDQMQLDLSTHDQMQLDLSTHDQMQLDLSTHDQMQLDLSTHDQMQLDLSTFENLV >ONI24016 pep chromosome:Prunus_persica_NCBIv2:G2:24813443:24819018:-1 gene:PRUPE_2G219200 transcript:ONI24016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQKEKDVLVGTGSESTVTAGGHVAGETLAGSEACVSEGQVEGSFTEDAGEDDGGSCNGDDIMVEVLGSNVYVGGVCTSGDGEKSDDEVDRDESDEVDMGSERNVGSLGGDGGGVGEPDSIGGETQVVHIEEAEVVAREVVNSQEVNASDEKEDNSTAENGIGGSSAGALCSETQVVQNEVTVIESVEVSGRGLVEVVEQETKSVVGGVDALHDLKTQKASVSDDEVWNPGIEKAAVIINEEGSNPKPLSEQTQVPAATGDVAGEDRVDTLTSQVAGKETDKIDENSSHSVEEQLVKIEPVGVSTHSSSNGPAHSVSSSLPAQEVHGGEIAVKGEHDLLTFEKDQFLKPEESVENMVHDISLVESTSVSLPTEVVPGGVVSVTDGGSPSNSVKDQHSKHEESIDKNMVHDIAQIESNTGQEMEVDSQVNDAGQVGLDGGCGEHLMSNNDVPDPAEKEQPLKSEESLEKTATAYVAPVHPNMDMEGVKEQVTDAEDVLYGGEQIEDKGQYTIGGSTEIAAADDNVLLHPNGQNLKTETLYRSSQTDIQVTDSGDIAPMDTEEVFNYASVAETNVVHEAGLKEQVTDAELDGLHGGHYTEVETEATEQPKFSEEEIIMEEAMQPGSSDILLQPRYELPPENEGLFSASDLVWGKVKSHPWWPGQIFDYTVASEKAMKYHKKDCFLVAYFGDRTFAWNEPSSLKPFRSYFPQAEKQCNSEAFQNAVNCALEEVSRRVELGLACSCIPEDVYEKIRFQIVGNAGICQESSRRDEVDESASASSLECNKLLEYIKALARFPSGGSDQLELVIAKAHLLAFYRLKGYCSLPEFQFCGDLLENRTDSSLSEDKINVGERDEHTIEKVTFSGPDIVKVQSSNSNKRKHNLRDGVYSKIKERSLSELMEGGIDSLDGDDWLDGKDSGGLVSPSSGKRRKGFEYHADDLTVQDGRKGLSVAKVSNTTHVPKQSFKIGECIQRVASQLTGSPIVKSNSDRPAGDTSDVAFQSSGDGHRGRAIDPTEYASLGELLSQLQSAAEDPRNEYHFLNTIVSFFTDFRNSVAVGQQAGVELLAVDKVGGKRRKSSNSGLGLPETFEFDDMNDTYWTDRVIQNGAEEPASRRGRKINFQPVVLAQPEKSPQEGRRPYSRRRYSQGNNALPAEKPVGYVDENAPAELVLNFSEVNSVPSETKLNKMFRRFGPLRESETEVDRESSRARVVFKRSSDAEVACNSAGKFNIFGPILVNYQLNYTLSQLNYTPSIQFSASPSATTQDQEMQLVLSPHDHEMHLDLSAHDQMQLDLSTHDQMQLDLSTHDQMQLDLSTHDQMQLDLSTHDQMQLDLSTFENLV >ONI23074 pep chromosome:Prunus_persica_NCBIv2:G2:21651120:21652238:1 gene:PRUPE_2G168600 transcript:ONI23074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQQGKGKISYNLWTSKENNMLLELMVDAANRGWCDSNGLLIKQIVKSKILPSRLKWFKARYHSFTQLMRHSSGFGWDSISKKFTATEEVWQDYFKSHPSQVHLQRDTFADYEDLVIAIGNGTAAGKNSIGLGDDTNARTYEVGESRTTRLQDTNEAFVPSQNETSYQSLSSGNFISSPFVDTNLEAPLEKLPQRKKPKTESEANNSVETLTRAELVEKVYVGMDSIAAITTEIRGMHSLMGGEREREREREREREREREREREREREKTNNVWDAIKETPNLDNRARYKALGLVHKLGMKNAFLKMLLKECSEWILYNME >ONI21787 pep chromosome:Prunus_persica_NCBIv2:G2:14083704:14085348:1 gene:PRUPE_2G089100 transcript:ONI21787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRPNVKPKRITNQFADKLGERAYETVYKGKLSSEIFVTVKFINEVGTMGHVHHVNVVRLVGFCADGFRRALVYEFFSSVFSRNFRNVSHKSDVYSFGMLLLEMVGGGTNYPKWIYNLLEEEDDLRIHIGEEGYGKIPNKLAILGFWCIQWYPVNHPSMKVVVQMMGGENLNTPPNPFASTGGAQTNACRPARNLNIQLEAIPELK >ONI22454 pep chromosome:Prunus_persica_NCBIv2:G2:18764599:18767698:-1 gene:PRUPE_2G130400 transcript:ONI22454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHLSIRMLSKLLVLLLFHLVVANFVDSLQQPSCHDEERSALLQFKESFIIDKSASRYDGAYPKVSSWKPAGGGNSSCCSWDGVECDEMTGHVIGLNLSSSYLYGSLDFNSSLFSLVHLQRLSLSDNNFNYSQIPSSIRNFPSLTHLDLSASVFSGQVPSEVSYLSKLTYLDLCCNFREIETSPDDPQGLLKLQPSNMRSLVQNLTSLETLSLDFVNISSIIPVSLTNLSFLTSLYLKECNLFGEFPVGIFNLQNLKVLSVRYNQDLIGYFPEFNRSSPLVVLKVAFTRFFGQIPSSFEKLNSLQELDVAQCNFSEGLVPSALGNLRQLTYLDISANKFGGPIPDSLANLTQLTVFRISTSYLTGPIPSWLGNFRKLVYLDFAFNHLTGSIPASFSNLTNLEILYLHVNNLSGVVDFQMFEKQQNLYQLQLSWNNFEFVAESNIMNATVQQFTILGLSSCNLKEVPYFLRNQTGLERLEMSGNKIHGELPNWMWNIGKETLVFLDISGNLISGEFPAVFPWVNLIGLRLSVNNFHGRLPIPPPSLLEYGADNNNFTGEISPLLCNMSSLRFLDVSKNNLSGMLPQCFGNFSDGLILLLLGSNSFQGMMPQSYNNGSNLQMIDVSHNQLEGQLPRSLANCVKLEYLVLSNNQFSDVFPIWLGTLPELKLLAMRHNRFNGVIGQSRTNVDFPKLRILDLSYNNFTGEIPPLFPDITVNKSTYMYAGVGYEINGFYVGRSVDYSITLAIKGLDLYYSKVREGFAAIDISNNKFEEQAVRTDPPTTDEAYIPWEL >ONI22588 pep chromosome:Prunus_persica_NCBIv2:G2:19515366:19516270:1 gene:PRUPE_2G137900 transcript:ONI22588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASSNEIRAPLKPPMSFMLPHDIFHGPKPAHEWYEHMDNHDYDDNDEEEEEEEEVGYCHFHNDYCYHNDGDGDGDEDYDDGYDEDDEDDEEDDDDDDDDDDDDDSVFSLLVQDEIDQHEFESFTSDHYYTPSLDGHDQDPTVDQDDDQDPTLYLDGDYDEGYLTVPLSPPRSVLYFDCNMCMKVAREPVVTSCGHLYCWPCLYSWLNIYSAHRECLVCKSKVFDSLITPIYNCRDINSGFKVPPRPEPKGLRLS >ONI23327 pep chromosome:Prunus_persica_NCBIv2:G2:22505153:22506793:1 gene:PRUPE_2G182600 transcript:ONI23327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFKSWHILWLLFSLSFSSSSSSFVQALYGYDPESLSTFFHDYANTSLRNPHTGTLYNISLPANFSGMEASFVRVLSAKFWSRGANFSSFQIPPRVIPMPYRRRLAIVFENLGNWSSSYYQMSNYTLVAPVVGFMAYDSPNATPIGNQKLNFTTQGDPITIRFPHIDDVQGKNVTPKCVQFGAAGSFEIKNMTKENECITHGLGHFSIVVPSPPRPAPTPTPTPEKKKRHRKGLGKGFVVGIVFGLVLLGLVMIAIFKLWWRKKLKAMEKQSEKDVAFDTFWVGRSKMPSASMTRTQPYLEHEYVP >ONI22612 pep chromosome:Prunus_persica_NCBIv2:G2:19661006:19661588:-1 gene:PRUPE_2G139600 transcript:ONI22612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRGSQAVVLFILFNIICLSLVSSNKVPCPPTSPSSPASVPKKQDKCPRDTLKFGVCGSWLGLVTEVIGTKPSKECCTLIKGLADLEAALCLCTAIKANVLGIVKLEVPVALSLLVNACGGKVPQGFVCA >ONI20481 pep chromosome:Prunus_persica_NCBIv2:G2:1703725:1706976:1 gene:PRUPE_2G018100 transcript:ONI20481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCRSRALGEVEIQTRTSSGGCELQANLCVPQLPGQGCSFHSFLTNTYKVTFMEFPSGIKIILVTHPRTRDLRESLKYIYNLYVEYVVKNPLYTSGTPISLCYRSGLLSIS >ONI23083 pep chromosome:Prunus_persica_NCBIv2:G2:21690367:21691061:-1 gene:PRUPE_2G169400 transcript:ONI23083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDTDAKPVDREKSSSTIDRDAYDSTDPYCIHHSDHPGIVLASIPLTGDNYSTWSRKVRISLSLKNKTGFIEGPFYWDELGSYNDTEVCSCGAKKSLAEREEQQRLMQFLMGLNESYAAIRGQILLMEPLPSVRMAYSLLS >ONI24596 pep chromosome:Prunus_persica_NCBIv2:G2:26444151:26447021:1 gene:PRUPE_2G249000 transcript:ONI24596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSKGKGPVRKEKKEVLKPVVEDRKVGKRKAAIEADKSRRKLAKNAKLGKKDPNKPKRPASAFFVFLEEFRTIYKQEHPNVKAVSAVGKAGGEKWKSMSHAEKAPYEAKAAKRKSEYEKLMKAYNNKEEDTDDEEEEVAERSKPIVKDDEEEESGEEDDEEEDDDDDED >ONI24595 pep chromosome:Prunus_persica_NCBIv2:G2:26443996:26447038:1 gene:PRUPE_2G249000 transcript:ONI24595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSKGKGPVRKEKKEVLKPVVEDRKVGKRKAAIEADKSRRKLAKNAKLGKKDPNKPKRPASAFFVFLEEFRTIYKQEHPNVKAVSAVGKAGGEKWKSMSHAEKAPYEAKAAKRKSEYEKLMKAYNNKEEDTDDEEEEVAERSKPIVKDDEEEESGEEDDEEEDDDDDED >ONI24948 pep chromosome:Prunus_persica_NCBIv2:G2:27504001:27505966:-1 gene:PRUPE_2G271100 transcript:ONI24948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQVESTSPEAMPRMIDFMSSVLERVAKSNDLSRGFEPTQRLSLFHSLTTPTITIHSYLQRIFKYADCSPSCFVVAYVYLDRFTQTQPLLPIDSFNVHRLLIASVLVSAKFMDDIYYNNAYYARVGGISTAEMNLLEVDFLFGLGFQLNVTPATFYTYCSYLQREMLLQSPLHVAESPLNLARRLKLHCSFNEDESAHQKQLAV >ONI24904 pep chromosome:Prunus_persica_NCBIv2:G2:27382587:27385151:-1 gene:PRUPE_2G268700 transcript:ONI24904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRNITLFCLLFAGLVLFLMFNQTTDGSKPLFVFQTHQCQSSTKSNTIGPGDGLESALSEASMANKTVIIAIVNKAYVEGDKPMLDMFLDGFWLGEYTRGLISHLLLVAVDQTSFERCKFLHLHCYKLKADGADFETEELYMSQDFIKMMWRRTLFLKEVLRRGYSFVFTDIDVLWLRNPFPRLTIFNENIDLQISVDKFNGDEWSQANPINTGFYMVRSNNRTISLFEEWYARRNNSTGLKEQDVLNNMMQEGFFRELGLSVRFLDTLYFSGFCEVSKDFNVVRTVHANCCRTISAKVADLTAAIHDWKRFKSLSDSNQPSTLTWTSHVNCEGSWKNFNVTLG >ONI22744 pep chromosome:Prunus_persica_NCBIv2:G2:20406775:20409510:-1 gene:PRUPE_2G148200 transcript:ONI22744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKGQESRRREWSLRDFEIGKPLGKGKFGRVYVAREAKVIFKEQIEKYKIQHQLRREMEIQTSLRHPNILRLYGWFHDDERIFLILEYAHGGELYGLLRKTNYLSEEQAATYILSLTQALAYCHEKNVIHRDIKPENLLLDHEGRLKIADFGWSVQSRSKRQTMCGTLDYLAPEMVENRPHDYAVDNWTLGILCYEFLYGIPPFEAESQTDTFKRIIKVDLNFPSAPQTSAEAKHLITRLLVKDSSKRLSLQRIMEHPWIVKNADPSGICK >ONI22745 pep chromosome:Prunus_persica_NCBIv2:G2:20407137:20409138:-1 gene:PRUPE_2G148200 transcript:ONI22745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKGQESRRREWSLRDFEIGKPLGKGKFGRVYVAREAKSKYIVALKVIFKEQIEKYKIQHQLRREMEIQTSLRHPNILRLYGWFHDDERIFLILEYAHGGELYGLLRKTNYLSEEQAATYILSLTQALAYCHEKNVIHRDIKPENLLLDHEGRLKIADFGWSVQSRSKRQTMCGTLDYLAPEMVENRPHDYAVDNWTLGILCYEFLYGIPPFEAESQTDTFKRIIKVDLNFPSAPQTSAEAKHLITRLLVKDSSKRLSLQRIMEHPWIVKNADPSGICK >ONI21051 pep chromosome:Prunus_persica_NCBIv2:G2:5522156:5523190:1 gene:PRUPE_2G048100 transcript:ONI21051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESRVERLSSTSEASNISAASDGPPPTQKDGPENLDQKMKMKLKEKIVIDDSDDDDTQQPRQQPPPPPVVRSSSSPSPSSRLRLDLKLCNDNSARGSGSTSRLELSLFNTSNPGSSNPGSSNPVSRYSQPNETTDENPRGSDDKRSQPRVFTCNFCKREFSTSQALGGHQNAHKQERALAKRRQGGLENMGGVLGHSPFSYYNPYSSFSSPSLYGSFNRSLGVRMDSMIHKPSYPWSSTPGFGRFGHGSGAWSSRAGGLLNFQSTIDRLNLEGLHANAPSGILGHPGTSSAPRFDQEISAVRNFGGSSSNFGINRPFLGDLIRREPPTSDTDAAGLDLSLKL >ONI23090 pep chromosome:Prunus_persica_NCBIv2:G2:21707840:21714005:-1 gene:PRUPE_2G169700 transcript:ONI23090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESVIEFLGCVPLLQRLPSSSLKKVARLVILKHYDSGEYVVREGETGDGVYFIWDGEAEVTGSVNADEGNRPEFKLKRYDYFGYGFAALEHQADVIALSKLTCLVLPHEHCSLLQPKSIWNADKAHDTCSLVENILHLEPIEVNIFQGITLPDAPRFGQVFGGQLVGQALAAATKTVDCLKLVHSLHAHFLLVGDFNTPIIYQVHRVRDGKSFATRRVDAIQKGINIFTILASFKKEEEGSVHQEAKMPSVPDPDTLLSMEELREKRLLDPRLPRIYRIKAAAKKFVPWPIEIRFCEPSSSTNQTKSPPSLRFWFRAKGKLSDDQALHRCVVAYASDLIFSGVSLNPHRRRGVKSSQVSLDHAMWFHRPVRADDWLLYVIVSPIAYNARGFVSGQMFNRNGELIVSLTQESLNRPIRPISAPTSKL >ONI23089 pep chromosome:Prunus_persica_NCBIv2:G2:21707703:21714002:-1 gene:PRUPE_2G169700 transcript:ONI23089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESVIEFLGCVPLLQRLPSSSLKKVARLVILKHYDSGEYVVREGETGDGVYFIWDGEAEVTGSVNADEGNRPEFKLKRYDYFGYGFAALEHQADVIALSKLTCLVLPHEHCSLLQPKSIWNADKAHDTCSLVENILHLEPIEVNIFQGITLPDAPRFGQVFGGQLVGQALAAATKTVDCLKLVHSLHAHFLLVGDFNTPIIYQVHRVRDGKSFATRRVDAIQKGINIFTILASFKKEEEGSVHQEAKMPSVPDPDTLLSMEELREKRLLDPRLPRIYRIKAAAKKFVPWPIEIRFCEPSSSTNQTKSPPSLRFWFRAKGKLSDDQALHRCVVAYASDLIFSGVSLNPHRRRGVKSSQVSLDHAMWFHRPVRADDWLLYVIVSPIAYNARGFVSGQMFNRNGELIVSLTQESLNRPIRPISAPTSKL >ONI23088 pep chromosome:Prunus_persica_NCBIv2:G2:21707618:21714002:-1 gene:PRUPE_2G169700 transcript:ONI23088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESVIEFLGCVPLLQRLPSSSLKKVARLVILKHYDSGEYVVREGETGDGVYFIWDGEAEVTGSVNADEGNRPEFKLKRYDYFGYGFAALEHQADVIALSKLTCLVLPHEHCSLLQPKSIWNADKAHDTCSLVENILHLEPIEVNIFQGITLPDAPRFGQVFGGQLVGQALAAATKTVDCLKLVHSLHAHFLLVGDFNTPIIYQVHRVRDGKSFATRRVDAIQKGINIFTILASFKKEEEGSVHQEAKMPSVPDPDTLLSMEELREKRLLDPRLPRIYRIKAAAKKFVPWPIEIRFCEPSSSTNQTKSPPSLRFWFRAKGKLSDDQALHRCVVAYASDLIFSGVSLNPHRRRGVKSSQVSLDHAMWFHRPVRADDWLLYVVACGG >ONI21505 pep chromosome:Prunus_persica_NCBIv2:G2:10688313:10690763:-1 gene:PRUPE_2G070600 transcript:ONI21505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQETAPCWSSMAQSASAPWTRFEDKLFEQALVRFSEDLPDRWERIAGEVPGKTPEEVLEHYETLVHDVLEIDSGRVELPSYADDSVVGWDTASQISFGSKLNKHGEPERKKGTPWTEQEHKLFLIGLKKFGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQSSVKKERKRSSIHDITTVESNSVAVPADRNWIPPPGVPAQQPPLQQPQGHLPDQGGSMGYQDFGYPM >ONI20329 pep chromosome:Prunus_persica_NCBIv2:G2:896447:902329:-1 gene:PRUPE_2G009600 transcript:ONI20329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGVTPSLFVNDGSFMERFKQLQQEKEKDKGPIADESTPSKVVSGSSTPNLTTGKTSAELKPNDTRKAAAAASGGKLAFSLKQKSKIVAPPVKLGADEDEDEADAANFSADAPTKRQKLGQPDASEESPGQVDVAPPSPNDSTVKIVADKLASFVARHGRKFEHITRQRNPGDTPFKFLFDESCADYKYYEYQLAIEEKVLQQTIDSQTSRNGGTNTSASKSTSSSQRSILKHPNYQTPASALYDATEGRTGELTAPTGADPIAMMEYYVKKAAQEERKRQPKQSKDEMPPPASLQAPSLKKGHHMGDYIPQEELEKFMAACNDAASQKAAKEAADRAKIQADNVGHKLLSKMGWKEGEGLGSSRRGISDPIMAGNVKKDNLGVGAQQPGEVTPEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >ONI20330 pep chromosome:Prunus_persica_NCBIv2:G2:896904:901166:-1 gene:PRUPE_2G009600 transcript:ONI20330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGVTPSLFVNDGSFMERFKQLQQEKEKDKGPIADESTPSKVVSGSSTPNLTTGKTSAELKPNDTRKAAAAASGGKLAFSLKQKSKIVAPPVKLGADEDEDEADAANFSADAPTKRQKLGQPDASEESPGQVDVAPPSPNDSTVKIVADKLASFVARHGRKFEHITRQRNPGDTPFKFLFDESCADYKYYEYQLAIEEKVLQQTIDSQTSRNGGTNTSASKSTSSSQRSILKHPNYQTPASALYDATEGRTGELTAPTGADPIAMMEYYVKKAAQEERKRQPKQSKDEMPPPASLQAPSLKKGHHMGDYIPQEELEKFMAACNDAASQKAAKEAADRAKIQADNVGHKLLSKMGWKEGEGLGSSRRGISDPIMAGNVKKDNLGVGAQQPGEVTPEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >ONI20328 pep chromosome:Prunus_persica_NCBIv2:G2:896904:901166:-1 gene:PRUPE_2G009600 transcript:ONI20328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGVTPSLFVNDGSFMERFKQLQQEKEKDKGPIADESTPSKVVSGSSTPNLTTGKTSAELKPNDTRKAAAAASGGKLAFSLKQKSKIVAPPVKLGADEDEDEADAANFSADAPTKRQKLGQPDASEESPGQVDVAPPSPNDSTVKIVADKLASFVARHGRKFEHITRQRNPGDTPFKFLFDESCADYKYYEYQLAIEEKVLQQTIDSQTSRNGGTNTSASKSTSSSQRSILKHPNYQTPASALYDATEGRTGELTAPTGADPIAMMEYYVKKAAQEERKRQPKQSKDEMPPPASLQAPSLKKGHHMGDYIPQEELEKFMAACNDAASQKAAKEAADRAKIQADNVGHKLLSKMGWKEGEGLGSSRRGISDPIMAGNVKKDNLGVGAQQPGEVTPEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >ONI20271 pep chromosome:Prunus_persica_NCBIv2:G2:579302:584802:-1 gene:PRUPE_2G005800 transcript:ONI20271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSRLQNTKAFSLLPKSSIHNTDYLRTSHCSPSFINILPRHHVHKQNYSMVIRATSSKFRPSSEATSKENEALTIKAVVKVQVTAGGFISTIGLTRPLVDFTDLGGKTLLLELVSTQLDPKTGLEKETIKGYANKASQKDDEVIYESSFSIPADFGEVGAVEVENEYHKEIFIKSIHLHGFPNGSVNVPCNSWTHSKFDNPQKRIFFTNKSYIPSETPSGLKRIREMELENLRGNGEGERKPFDRIYDYDTYNDLGDPDSNNELARPVLGSKQHPYPRRCRTGRPRSKKDRLSEERSSSVYVPRDEAFAEVKQLTFSEKTLKSALAAVPSQLQTALANPDQGFPYFTAIDSLFNERVKLPEPKTGEGLQKIIPRIVKTITGGQDNPLLFETPEIIDRDRFSWSRDAEFSRQTLAGLNPYSIELVTEWPLKSKLDPGIYGPPESLITTELVEKDIGGCMTVNEALKRKKMFILDYHGLLMPYVNKVRQIEGTTLYGSRTLFFLTEDGILKPVAIELTRPPIGDKPQWKQVFTPTLDATGCWLWMLAKAHVCAHDAGLHQLVNHWLRTHCCAEPYIIAANRQLSVIHPVYRLLHPHFRYTMEINALAREILINAGGIVESNFSPAKYCFELSSAAYDQLWRFDMEALPTDLIRRGMAVEDPTAEHGLKLTIEDYPFANDGLILWDAIKEWVSDYVKHYYPDPTLVESDKELQGWWTEVRTKGHADKKDEPWWPVLKTPENLIHILTTIIWVTAGHHAAVNFGQYTYGGYFPNKPTIARTNMPTEDPSDEAFNNFLKRPEMTLLNCYPSQIQATQMMVVMDVLSNHSPDEEYLSEKLESSWAENPVIKAAFERFNGNLKKLEGIIDERNTNLNLKNRVGAGVVPYELLKPFSTPGVTGMGVPNSISI >ONI21027 pep chromosome:Prunus_persica_NCBIv2:G2:5258519:5259942:1 gene:PRUPE_2G046100 transcript:ONI21027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWGGMKTRRPVSRLSSSQGDDFRQVSEAKRKNDPIIFQQTQEHCML >ONI25649 pep chromosome:Prunus_persica_NCBIv2:G2:29517701:29521539:-1 gene:PRUPE_2G313000 transcript:ONI25649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAHFLPRIPADLLDHIRGYAQTQRIAKFSCSFPASEQNAECLTSQPFSKTFFTCSFIIKTMPYGEVGSRQNATGHANLNNGIKIFYRTYGQGPTKVLLIIGLAGTHDSWGPQIQGLTGSVTPNDDDERRAADGCDNEAGFSGGGIEVCAFDNRGMGRSSVPTKKSEYTTKTMAKDAIALMDHLGWREAHVFGHSMGAMIACKLAAMVPDRILSLALLNVTGGGFECLPRLDRHTLSIAVRFLKAKTPEQRAAVDLDTHYSKGYLEEYVGSNTRRAILYQEYVKGISATGMQSNHGFEGQVNACWTHKMKQMEIELIRSAGFLVSVIHGRHDVIAQIYYARRLAEKLHPVARMVDLPGGHLVSHERTEEVNKALIELIKASEAKTEPHDWTNLPEKSLGWMATRMSLIGKRTDEGNCVSFMCNMFEKLHLCLLYLFGLFALAFEKARRTFRSIKPARIGHSIT >ONI25650 pep chromosome:Prunus_persica_NCBIv2:G2:29517874:29521491:-1 gene:PRUPE_2G313000 transcript:ONI25650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAHFLPRIPADLLDHIRGYAQTQRIAKFSCSFPASEQNAECLTSQPFSKTFFTCSFIIKTMPYGEVGSRQNATGHANLNNGIKIFYRTYGQGPTKVLLIIGLAGTHDSWGPQIQGLTGSVTPNDDDERRAADGCDNEAGFSGGGIEVCAFDNRGMGRSSVPTKKSEYTTKTMAKDAIALMDHLGWREAHVFGHSMGAMIACKLAAMVPDRILSLALLNVTGGGFECLPRLDRHTLSIAVRFLKAKTPEQRAAVDLDTHYSKGYLEEYVGSNTRRAILYQEYVKGISATGMQSNHGFEGQVNACWTHKMKQMEIELIRSAGFLVSVIHGRHDVIAQIYYARRLAEKLHPVARMVDLPGGHLVSHERTEEVNKALIELIKASEAKTEPHDWTNLPEKSLGWMATRMSLIGKRTDEGNCVSFMCNMFEKLHLCLLYLFGLFALAFEKARRTFRSIKPARIGHSIT >ONI22514 pep chromosome:Prunus_persica_NCBIv2:G2:19221211:19223484:-1 gene:PRUPE_2G134300 transcript:ONI22514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTMHIDLPTIHIKHSSSSSSSSSKLPVSSSYVATVKPSCSATYCFCGSESCRKLELAYGKEDKRASGSNLSSVFGPVPSQFEVQNAITALLNFMQGITSSQPEFQWLQQILDCCVTRKLLSYGQRRVHEAFQLLQTDPCVKRLVVSLSSDKALWEAVTNNELVKKLQEPIFLGLPSSENEEPELSTRIMRWIMDVTKAKIMQLIETFQSLVNEMFLPPETPPSENPTADDEDQFEAKVRSSLLLSVVILLIVVVTRFHKA >ONI21227 pep chromosome:Prunus_persica_NCBIv2:G2:6309628:6311069:1 gene:PRUPE_2G053900 transcript:ONI21227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEALISASVQVLCDRITSPEFVDLFWHKKLDEPLLRKLRTTLLALNLVLNDAEGKQLVNRDVKKWLDELRHAVFDAEDLLDEIDTEALRCKLEEGEDQTHKFTNKVRNLLFSPRSHFYQSMNDKIKELLARLENFVQLKSALGLGEVAGRKVSQRTQTTSLVLEPYVYGRDEVKEKLSKVLLSDEAGKDPVSFLTIVGMGGVGKTTLARMLYNDDKVKGHFKLKAWACVSDYDDYIKITKTLLEAVTSKPCNTANLNLLQKDLREQLRGRKFLFVLTYGMRIMRI >ONI22777 pep chromosome:Prunus_persica_NCBIv2:G2:20559122:20559836:1 gene:PRUPE_2G150600 transcript:ONI22777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVRRKRGGEDEGILGEYSPVRLFAQTLSLPYPFYSNVHELAANNDALIICCALTDQTHHMINKEVLSAMGREGVIVNVGRGAIVDVKELVRFLVHGEIGGAGLDVFENEPHVPKELVASDNVALSPHKAVHTTESFQSSNEFILANLEAFFSNKPYSTH >ONI22397 pep chromosome:Prunus_persica_NCBIv2:G2:18403381:18407339:1 gene:PRUPE_2G126600 transcript:ONI22397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILERLSADHVVLPVFYDVDPSDVRNQTGSLAKAFARHQKTQPSNRVKEWRKALAEVADLAGMVLQNQADGHESKFINKIVQVIGEKLRRRPLSVPHIMIGRHSRIHELNLWLQDGSDDVGILVIYGMSGIGKTTIAKYVYNTDFERFEGSSFIENIREISQQPNGLVQIQTHLLSDILYGRKVKIQSVSKGMAEIEDAISSKKVFLVLDDVDHISQLDVVLRMKDQFYPGSKIIITTRRAGLLKAHQDTKVHAVETLTQEESLELFSWHAFGQDHPIEDYIEYSEKLVDHCRGLPLALQVLGSSLLGESIGVWKSALEKLKLRVSYDSLQDDHDKKLFLHIACFFIGKDKDYIAKILDGCDFYTIVGIQNLIDRCLVIINGWDKVQMHDLIRGMGREIVRLESNEPWKRSRVWHHKDSFKILTEKNGTERIEGLVLNMHMCPAINSNEKVLETNAFSRMRELKLLHLSHVQLNGSYAEFCTGLIWLCWTKFPLDSIPVDFPLESVIILEMQYSGLRQVFKGTKYLPSLKILDLSHSHSLTETIDFSYCPNLEKLVLVDCTSLIYVHGSIGNLERLIYLNMKDCKNLRMLPKNICMLKSLETFIISGCSNLNELSIEMLRNMDSLKVLETDGIPIIELSLWGCNLSDDAFPMDFSHLSSLQRLNLGNNPISSLPNCIKGLTRLHKLSFNKCTSLKSLLRVPKLYDLDITECISLEKITYQYPRLSWERCTRLCCNYNLVDWEYRYKLQPIGSVDVEMINLLGLCNLLESIAPIRMYALYRNLQEDDPIPIQGLYERGIFSTFFGGNEVPGQFSHKSRGSSISFTVPLLDNHRTRGLIVFVVYVNADYDSPIIHHSYLSHIIVKNKSNGLRGAYCPSHYGIPGEGEDMIWLSHWNLDDQLQGGDEVVVSVIMISGLLVKELGIQLVQVQQEENHNMMSISTDSSYDDRIWYYKLLGDSDEEDRRDEEEEQQDDITVTTTTGRNNSGVLRGWKVLVTAACFFLTLSLITRSSLSGRKKGPSTSPG >ONI24717 pep chromosome:Prunus_persica_NCBIv2:G2:26914420:26915637:-1 gene:PRUPE_2G257700 transcript:ONI24717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPTLPISNNQSTVGAQSQPPIATPAFRAFLSRFSSSIRYGFSQRRPWYELLDRSSLARPDSLSDAYSRIRKNFTYFRVNYVSLLALVLALSLLTHPFSLLVLLSLLGAWAFLYLFRPSDQPLVIVGRTFSDAETLVGLVVLTVVVVFVSSVGSLLISALLIGFAIVCAHGAFRVPEDLFLDDQEPANAGFLSFLGGATSTAAASVAARV >ONI23912 pep chromosome:Prunus_persica_NCBIv2:G2:24653799:24657154:-1 gene:PRUPE_2G215900 transcript:ONI23912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQKQQQQQGSNNNNANGPLETTRAERAVWLMKCPPLVAKSLKPDASSTSASASSPSDQQSSRPVAKVVLSIDPLNSNDDSSSPQFTMELAATESGNMPKCYSLDMSKDFIPMSVFSELSQGKLSVEGKILNKFDMKPHNENLENYGKLCRERTKKYMKNRKIQVIDNDNGAHMRPMPGMIGFIAPGPSEKKKMPTKGSDTKRTRRDRGEMEAIMFKLFERQPNWTLRQLIQETDQPEQFLKDILKELCVYNNKGANQGTYELKPEYRKSVEEPTPE >ONI22664 pep chromosome:Prunus_persica_NCBIv2:G2:20017041:20018243:-1 gene:PRUPE_2G143100 transcript:ONI22664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAEIQSYFNEVIYTITPEVFHLYTKSPDILEITRTSPEVMNKSSARNSNHHDHDILAFIIPILLTFVQIRYLAGHQDLFQTHPLTMMVMLSSLLPYCFAFSLLIRLSGSGSRMYNWCRLAMMVFGFLSVASLLCLLFIPNYSNLTLCIFFLLLLVALGLNRLVRRLWQHIRTVQNRGRTCPLLPSTLMDIEQG >ONI24010 pep chromosome:Prunus_persica_NCBIv2:G2:24798366:24799182:1 gene:PRUPE_2G218700 transcript:ONI24010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGTNQTARPKSDTCQSAPHVALPEFAVCHLYVTDKGSSFSYISSTSLSLKVNLILSVHIILSTSIRSSTKFSTTGLIIFIIFIIMGSETFLEVILAIILPPVGVFLRYGCGVEFWICLLLTLLGYIPGIIYALYVLVG >ONI22898 pep chromosome:Prunus_persica_NCBIv2:G2:21106472:21111100:1 gene:PRUPE_2G157700 transcript:ONI22898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLPSSLHRLSLLSKPSPPQNHRLLVTPTRPELSRFECRAGQTGFFTRLGRLIKEKAKSDVEKLFSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPKITIKIVESLREDIVAGKLKSGSEIKEALKKSVLDLLTTKGSKTELQLGYRKPAVVMIVGVNGGGKTTSLGKLAYRLKKEGAKVLMAAGDTFRAAACDQLEIWAGRTGCEIVVAEKEKAKAASVISQAVKRGKEQGYDIVLCDTSGRLHTNYSLMEELIACKKAVSKVIPGAPNEILQVLDGTTGLNMLPQAREFNEIVGITGLILTKLDGSARGGCVVSVVNELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFS >ONI22290 pep chromosome:Prunus_persica_NCBIv2:G2:17710910:17711726:1 gene:PRUPE_2G119200 transcript:ONI22290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYKMKNNHFNKQRGGTHPPFLLSLCDQAHSSPSLYDSLSLSLSLSLRPNPSAPFYSDLESIDELCDLGSRFGVKGQ >ONI25239 pep chromosome:Prunus_persica_NCBIv2:G2:28476326:28480734:1 gene:PRUPE_2G291000 transcript:ONI25239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREDDDFGSLHEDSMDVGLQATNRLDLNVEQDSRSPKVVYVNGTQSNPPSIGADSKDSVLEVGTEFESDEHAYKSYNKYARLVGFNVRKDWVNRSKVHGQVVSRKFTCSKEGYRRKDKRDVNVKKHRKETRTGCLAHMIITRQPDGKYRVTQFEEQHNHGNVNSSIAQALPEQHNHDNVNLSIAQALPEQRECTVPEAADADSVKELGSLSKSALDSMNRGFRVRESVDSFALDFENYLQSERTRDMKEGEVGRLLHYFQRQHFENPSFFYAIQVDTDDKVSNILWADDNMVSDYDHFGDVVCLDTVCRADKNCLPFVQFVGVNNHKQVVIFSAALLYDDTVQSYKWLFQTFVESMSGKKPKAILTDQDAAIVEAINSVLPETDHRICTWQMCQNALKHLNHIVKDTESFANDFKSCIYDEKDEDGFVYAWGNMLDNYGLQQNDWLKWMFREREKWAVVYGRNTFFVDRKGSHLVESLFHDLRNYLYSDLDVLDFVKYFERLVDEQRYKEIEANDEMNRCMPRLMGNVILLKHASDVYTPRAFEVFQRGYEKCLNIVVNQCSENGPLFEYKTNIFGKSREHTVTFNSSDDAVICSCKKFDSVGFLCSHALKVLDHMNIKVVPSKYILKRWTKNARLGSARENDVSSIRDNPKLVVASRYKNMCGRIIMLSAKASASEEAFQFAVGQLDEVMEGVEKILTLKPQDAQAFTSSSTANASDSERAVVFPDGNAIEDQDDSVVKGAKEKETAVFDKGQLTNVNGEFSSTKRIQNVDTSLQNTDSCISSPSLYVSPEGTTANPIMQGLYNFEANQVVQCMYQQDNLVLEEHSNPNMYQPLNFFSNQHDSPGHSQLLQEPLINGTYQEPVSSTPELRQAMDLDVQHPHSSSFLLLDRG >ONI22620 pep chromosome:Prunus_persica_NCBIv2:G2:19698475:19698920:1 gene:PRUPE_2G140300 transcript:ONI22620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLPFVIRNDNTHTLLAGAKNIGVNSITVVECLALRDGLAHVVHHGWRNIIIEEMLYPWSILQHLQDVSHLASFCNLIKLKHAFREANSVADALASLSHSMTPYKLWVDSLPLSVVNSFYLDLFGPAFPCASKFV >ONI25094 pep chromosome:Prunus_persica_NCBIv2:G2:27960676:27962943:1 gene:PRUPE_2G280300 transcript:ONI25094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDWESLPEELLDLVFKRLVLHLDSLRFAAVCKSWYWVSKNKQINSVPMLLIPDRKKDTWNLCDVTNDKVLKMQLKWMKKRFCGSSKGWLIVCDDNFVVTLINPSFRVKGRKKKKNSIIRLPPLNPPGEYHKGACAKHCEYFVYKAAISADPISNANDCIVVVIYNPWCELAFIRLGDNKWTYCDRYCPDCSFFEDIAQIGNKSYVLTSIKRQLFIFEYTTQRILNINLVAPLYETELYIKAYLVGSSEEELLLVHRYGTYTHHCRVTNRFRVFKLDDDKYEWIEKNDLGDFALFVGDNSSISVVASKIQGCESNCIYFYDDCDLTIRDCSFTDLGCSMSKVKGF >ONI21443 pep chromosome:Prunus_persica_NCBIv2:G2:9050564:9050902:1 gene:PRUPE_2G065800 transcript:ONI21443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGKKKITLKSSDDEIFEVKEAVANGENGEEILKNWDAKFMNSLLDLTCEVVADMIKGKTPEEIHKTFNIMNDFTSEEEEEICKENQWAFE >ONI25198 pep chromosome:Prunus_persica_NCBIv2:G2:28332482:28335201:1 gene:PRUPE_2G288000 transcript:ONI25198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNQRQSLEESNELEQHSNSSSNDNTTSASDPSVADPPEKIFPLTAPTMKEELTDTVQELDEGSLPMGLIQVPVPTSSEKQVVAAKRSSKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIMQATGTGTVPAIAVSVGGTLKIPTTSPARPNGEITEIPRKRRKRGSNSEFVDVHEQSSVSSGLAPMSYGGGGGGSGGGAHGLVPMWQVGATGAAGPFFMFPNNGAMNTNQPQLWAVPAADAATPIFNFQARPISNFLSAFQPGVHVVGGDVQLQASSGSISSGATSGSGGSCSSSLGPSLGSASGTRANKNTISTGSGTGASAAAAGATTTQMLRDFSLEIYDKRELQFMGANSQTPYSKP >ONI20267 pep chromosome:Prunus_persica_NCBIv2:G2:527325:528449:-1 gene:PRUPE_2G005400 transcript:ONI20267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKWVVGIWCRLFKHSIEELDLRGESYVEAEWIAYIGAFRGVLIISWCNNLVCVKS >ONI23459 pep chromosome:Prunus_persica_NCBIv2:G2:22924974:22925844:1 gene:PRUPE_2G189700 transcript:ONI23459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRLALLFINVILLRLLVSMGRTTFSGQSLYSSIFIRSICCPSHAQDQRLLLKNGCMLIIGATYYIGLFVNPIYIYIYIYI >ONI24047 pep chromosome:Prunus_persica_NCBIv2:G2:24935744:24936317:-1 gene:PRUPE_2G221100 transcript:ONI24047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAFAGQETCENHNTHIISLSLSLSLSLSLSLSPHIQNIYHPISISLYYIVTIIQIFCVCFTYIQIGRCCHGMQVQIQLMYDLALSSLSVET >ONI21348 pep chromosome:Prunus_persica_NCBIv2:G2:7664034:7664440:1 gene:PRUPE_2G060800 transcript:ONI21348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATGQTEKPNDYNGQGKLFWFKDSTVTRGSSPRGVIPVASCLTVKGAEDVLNKQYAFELFTRSDNMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSNKCWGRRPAW >ONI24950 pep chromosome:Prunus_persica_NCBIv2:G2:27510620:27511453:-1 gene:PRUPE_2G271300 transcript:ONI24950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLLPVLVFGLAVLGFALTCSATTYTVGDTSGWDISTDLNTWSNDKKFNVGDILWFQYSSSNSVSQVSKESFESCNTTNVVKSYTGGNTTVTLTHAGDWYFVSGNKLYCLGGMKLHAEVENNQAYAPAGAPQAATGSGQGDHLPQPTSKSNVPTSSAFINCGPNVFLLAILGLIASMLYTDVKM >ONI21474 pep chromosome:Prunus_persica_NCBIv2:G2:9775291:9776753:1 gene:PRUPE_2G068500 transcript:ONI21474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQKYIKVEKVPGGQLEDSVVRKGVMNNKDVIAPGKMRRKIFNQRIILLDWPLEYKKGENQTNAELLKEEDWGVLLQLEEEYIERLCVQILKFKPDVVITEKGLSDLACHYFSKAGVSGMRRLRKTDNNRIAKACGAVIVNRPDELQQSDVGTRAGIFEVKKIGDEFFAFIVDCKEPKACTILLRGPSKDLLNEVERNLYV >ONI21473 pep chromosome:Prunus_persica_NCBIv2:G2:9775291:9776753:1 gene:PRUPE_2G068500 transcript:ONI21473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQKYIKVEKVPGGQLEDSVVRKGVMNNKDVIAPGKMRRKIFNQRIILLDWPLEYKKGENQTNAELLKEEDWGVLLQLEEEYIERLCVQILKFKPDVVITEKGLSDLACHYFSKAGVSGMRRLRKTDNNRIAKACGAVIVNRPDELQQSDVGTRAGIFEVKKIGDEFFAFIVDCKEPKACTILLRGPSKDLLNEVERNLYV >ONI23677 pep chromosome:Prunus_persica_NCBIv2:G2:23827555:23834195:-1 gene:PRUPE_2G201900 transcript:ONI23677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTTEAWVLDLQRGLRYHPHEEEMVNLLKKQEEGKASQARHIIPYIDFYKYEPRELAGLMLPDSPYQPRMWFSFSRPHHKYNNSPRCNRLTAKGFWKITGKPREIKSRQLSKSVTCKKRTLTFHLGRPSKWSKTGWVKQEYYLTSTVPGSNLSGLVLFRMKNKSAHYESDTKQQQDVSIFDESADPAIGGDMASDSQDDQAAANHMIPEAEEHLLGSGNHNDGEPGGFVSSDFDIMQELCAQLGLEPPQPHQLPQLGNVPGTGDYLASNSDNQAAAIQPMITDEEELLAYKELERVLLDSGNPDNGEPGSCVSSDMRQESCAQPGEDLDSPVLSPRPPELGNAPDVYTDECGSWPSQIEDNDSSLPNKDNIPTNYDSKPISNTASDFENQTKDERIPEVYSESEENLQLFFRSLEVEDYTLPSSILYVEQGDVLHANNYIGCNESQDAALFPQSS >ONI23282 pep chromosome:Prunus_persica_NCBIv2:G2:22325621:22328702:1 gene:PRUPE_2G179700 transcript:ONI23282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFLYQRPIHNPLSDPYPISPRSSSNSQKSYSIFSPTALLVLLSLMVVMGVFFPWVGMRESLFSVTKPSISKWRDYTLAQAVSFVAQNGTVIVCAVSQPYLPFLNNWLISITRQKHQDKVLVIAEDYATLYKVNERWPGHAVLVPPALDSQTAHKFGSQGFFNFTSRRPRHLLHILELGYNVMYNDVDMVWLADPFPYLKGKHDVYFTDDMTAVKPLYHSHDLPPPGKKGRTYICSCMIFLRPTSGAKLVMKKWIEEMKDQPWSRAKKANDQPAFNWALDKLANKVDLYLLPQAAFPTGGLYFKNKTWVQETKGMHVIIHNNYILGFEKKIKRFHDYGLWLVDDHALESPLGRI >ONI23634 pep chromosome:Prunus_persica_NCBIv2:G2:23714385:23715535:1 gene:PRUPE_2G200000 transcript:ONI23634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGVGIYMLVRRVFLSLVSYTLIPPGSLDVLALKLAPSMRWNLPVALFNDHADLLYVASIFPTKG >ONI23771 pep chromosome:Prunus_persica_NCBIv2:G2:24108488:24110268:1 gene:PRUPE_2G207200 transcript:ONI23771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFQLDDQVLVQETMFVRTLTLNRPRQLNTLSLEMISQLSELFLAYEDDANAKLIILKGRGRAFCAGGNLAAVHRHFLEGNLKYGEKVSQKIINLTYLIATYSKPQVSILNGIAMGAGVGISVHGKFRVATENSIFATPENGLGFFPNVGASYFLSRLPGFFGEYLGLTGARLDGAEMLACGLATHFVPSAKLPLLEKALISRAASVTSSSFDLAFISAIIDEHSLRQPALNEKSALHKMDVIDKCFSRPTVEEILSALEREAAEAHMADDDHRHEWLALTILLLKKASPMSLKICLRSIREGRVQAIDECLVREYRITSHILQGQISKDFREGCRAIVWDKDKKPKWKPSSLELITDHMVDHYFSKLDGDEELKLPQRSNLAAFANAKL >ONI24193 pep chromosome:Prunus_persica_NCBIv2:G2:25472721:25474438:-1 gene:PRUPE_2G229900 transcript:ONI24193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDECKLKFLELKAKRTYRFIVYKIEEKQKQVIVEKVGEPADSYEDFSASLPADECRYAVYDFDYVTEENCQKSRIIFIAWSPDTSKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAT >ONI20399 pep chromosome:Prunus_persica_NCBIv2:G2:1170646:1174355:1 gene:PRUPE_2G013100 transcript:ONI20399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQDLHAPVSKWKMPVGVFFKDARRVFKWDILGKEILDIALPAALATAADPIASLIDTAFIGHIGPVELAAAGVSIALFNQASRITVFPLVSITTSFVAEEDTVAKMNMKSEKGEQLQKADMLDDLEKGAAKPNGTAKENGKHLGNGKMEEASAEDDEHVAAGKGAPEAKGESSDNGLLESSEANKAIEENEKIKKQKRHIASASTALIFGTILGLLQAIFIIFSTKVLLGVMGVKSDSPMLAPAQKYLKIRSIGAPAVLLTLAMQGIFRGFKDTRTPLYVIVVGYGVNIALDPLLIFVCGLGIRGAALAHVLSQYLMALVLFIILTKKIDLLPPSIKDLQFGRFLRNGTLLLARVVAVTFCVTLAASLAAREGPTPMAAFQTCLQVWLTSSLLADGLAVAGQAILACAFAEKDYKKAIATATRVLQMSFILGVGLTFVVGIGLYFGAGIFSSDVNVLHLIRIGLPFVAATQPINSLSFVFDGVNFGASDFAYCAYSLVLVAIASIVSLFLLSKSHGFIGIWIALTIYMALRTFAGVWRMGTGTGPWRFLKGRSTP >ONI21509 pep chromosome:Prunus_persica_NCBIv2:G2:10757753:10759515:-1 gene:PRUPE_2G071000 transcript:ONI21509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLRRNKEGIYNTAQNYHRKFSAIAKGARANRPRHQECLVLVETRDTYTPLLHQILQSCARTGAPMEAMNLNVYVGIALLDVYSKSGLIKDASCVFASLPERSEVTWSSMVAGYVQNGLYEEALMFFHRAKMMGLLQNQFTISSAICACVGLAALIEGKQVHAVLCKTGFGLNIFIVSSLIDMYAKCGSIKEAYHAGDDLFEKMQEMGRFPSEVTYVYVLTACSHMGLIESERKYFNLTEHNVSPTVVHYSCMIDLFVLASCRIHGKLHLAEVAAKHLSEIEPNNAGKSWIEIKDKIHSFMVGEFRIIAGIYSKLDCLIEELKIMGYAAETEHDLHYMGENRKHEPLRHHSEKLALTLGLMRLPSNASIRIMKNLRICGDCHSFMKIASSCTGKEIIVRDTNMFHHFKNGCCSCREFW >ONI23727 pep chromosome:Prunus_persica_NCBIv2:G2:23970051:23970995:-1 gene:PRUPE_2G204500 transcript:ONI23727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMASKTISVCVAFFLIFVLLSQNTHSKSTHDQHDPFKFIQHLEGCHKGQNVSGLQELKKYLTKFGYLNYDHSKHANDEEFDDILESAIKSYQKSYHLKVTGTLDTTTGKQMMMPRCGVPDVVNGTRKGSKKHNHKHKSIHGVAHYEFFFPGPRRWSKTHLTYRFSSSVNQVPGTQNVRSICAQAFQRWAQVTSFTFEEVPATSAADITIGFHRGNHGDGSAFDGPRGTFAHANPPRGGNFHYDADERWSSNPGPNEVDLESVAVHEIGHLLGLNHNPDLPDAIMYPYFNYGSIKRNLHRDDIDGIRALYGLQ >ONI20623 pep chromosome:Prunus_persica_NCBIv2:G2:2684722:2689792:1 gene:PRUPE_2G026000 transcript:ONI20623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFARIQNLDPENASRIMGLLLIQDHGEKEMIRLAFGPEAFLHSVILKARKELGVPISNSPSTPSTPSSPSPFLSTNPITISRQNSTSSSSRLSLSIPNPSSSSASASWAALSELRNQEENNLIMSPSSNIALNGSSSSSSMMNSSLPFYGNGGTDVIDEFQLQEQLSFLNDGSPALGPKSTDFFYPQTDLSSSPTNGSDPALFSSYHGSANWGGGGGGPLHRRSCSVSDVCSEDPNSAGFGWKPCLYFARGYCKNGTSCRFLHGGGLGDSVVDGGGQMAVGSPSKLEMMDQSCHEALLRSKTAQQQQQRLAAASQLMASANSFPYSSKCMNFLLQQQQTDAQRAAAAAALMMGDDMHKFSRSRLERTDFSMNGGGAGMVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVQDKKQQQQVDRGDLSPCGTPTGLDSRDPYDIQLGARMFYNPQDMLWRRKLEEQAELQQALEIQSRRLMGLQLLDVKKHHHRSLSSSSPIQSPTQSPSMFNQNLMFPSIPNGPEVPQENSTPMPPTASEVDDDQLLKEAAHVVLGKEVMANNDEKGSGCGSGNANANGNGEESPRDNVNNLLESLEHNLPDSPFASPTKGAGEYTSSFPSGTTEANDSDASAANMNLATSLLPAASTLDMASFKSFNCQIPRLSSGHGTIGGMYGGTGAGPKCPVGTS >ONI20624 pep chromosome:Prunus_persica_NCBIv2:G2:2684764:2689770:1 gene:PRUPE_2G026000 transcript:ONI20624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRIVFARIQNLDPENASRIMGLLLIQDHGEKEMIRLAFGPEAFLHSVILKARKELGVPISNSPSTPSTPSSPSPFLSTNPITISRQNSTSSSSRLSLSIPNPSSSSASASWAALSELRNQEENNLIMSPSSNIALNGSSSSSSMMNSSLPFYGNGGTDVIDEFQLQEQLSFLNDGSPALGPKSTDFFYPQTDLSSSPTNGSDPALFSSYHGSANWGGGGGGPLHRRSCSVSDVCSEDPNSAGFGWKPCLYFARGYCKNGTSCRFLHGGGLGDSVVDGGGQMAVGSPSKLEMMDQSCHEALLRSKTAQQQQQRLAAASQLMASANSFPYSSKCMNFLLQQQQTDAQRAAAAAALMMGDDMHKFSRSRLERTDFSMNGGGAGMVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDARVLVKPYKEKGKVQDKKQQQQVDRGDLSPCGTPTGLDSRDPYDIQLGARMFYNPQDMLWRRKLEEQAELQQALEIQSRRLMGLQLLDVKKHHHRSLSSSSPIQSPTQSPSMFNQNLMFPSIPNGPEVPQENSTPMPPTASEVDDDQLLKEAAHVVLGKEVMANNDEKGSGCGSGNANANGNGEESPRDNVNNLLESLEHNLPDSPFASPTKGAGEYTSSFPSGTTEANDSDASAANMNLATSLLPAASTLDMASFKSFNCQIPRLSSGHGTIGGMYGGTGAGPKCPVGTS >ONI24379 pep chromosome:Prunus_persica_NCBIv2:G2:25831484:25837347:1 gene:PRUPE_2G237100 transcript:ONI24379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKKRLDFGFDGFEVPSIPRAPRSARRRGPHKKSVDNGQICAFELLASLAGKLLQESASSSASSNASEGNSKPAFGKDVKQERQDGHKPLKEECLDQGSSEESVSLELTSQNINRKFILKEFPHAESDTVLEHTSVITNSDSSAKAGGHMKSVICSSRPIFQSYSSKVEGGFQGIGESCYGNVANGAEVQKDSERLETGALTRANPCSSKNPIELRAKYPAMLNGDNNVKLSLFRDPIPNASFSRHRNDIELGSRDDDENISRCNKPSNKVKVFRPSPCIGDRRIRKLLTSKYWKVAPKLRDCEHYRFDGGIKPIYHKRKTLYSRERSQRDTLYKRRKLVDRSSVVTSDGGFSGESVSNSPDNGNKSGPAENGVSASVIGHQASSHSKESHVKFRIKSFRVPELFIEVPETETVGSLKRTVMEAVNSILRGGLSVGVLVHGKKVRDDNRTLLQTGISCKDNLDSLGFTLEPSLVQAPPSLCSGDPPPSLSCETSVLLTRSPGTPVLDSVMSDPLSEPPPLTHSGSLPESNHELVSSHIDILTNKTMLESQALVAIPEMSMEPLAVVPVNQKTKRSELVQRRTRRPFSVSEVEALVQAVEELGTGRWRDVKLRAFENADHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLDRVLAAHSYWCQHQAKQHGKHQGGIMKITEAPTDKTWS >ONI24816 pep chromosome:Prunus_persica_NCBIv2:G2:27153717:27157490:-1 gene:PRUPE_2G263700 transcript:ONI24816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPANPKPDPSSSSQASQRPRMTTPFPDASPSLSFRGSYHRRAQSEVQFRIPDDLDLLQDPFDGPSGSFEELGGSEDDLFCTYMDIEKLGSKLDDGPSDPKVENPGGSAETGVELGGEMSARPRHRHSNSVDASLDSIEAKKAMAPDKLAELWTVDPKRAKRILANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENSELKLRVQAMEQQAQLRDALNDALKKELERLKIATGEVLTHTDSYNMGMHQSPYSQSPFFPSQSQAGQRDSQNIHMPQFHPFQPNMSAPHQPMLSAAQSHACSDMLQQDSIGRFQGLDISNRGSHLVRPEGPSISAGESNRRF >ONI23255 pep chromosome:Prunus_persica_NCBIv2:G2:22254504:22258954:1 gene:PRUPE_2G177700 transcript:ONI23255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALHAPPSLIRSLLYVPSPSLSLSLRTLPLFLVTKLHCFNCSQRSVRTVTAMSLPNATSGESSPDHVAGKWFSVPELRLRDHRFTVPLDHSVGLKASSKISIFAREVVSVGKEEQPLPYLLYLQGGPGFEAPRPTEPSGWIRKACEEFRVILMDQRGTGLSTPLTASSMSQLKSEVDLADYLKHFRADNIVNDAEFIRVRLVPDAGPWTILGQSFGGFCAVTYLSFAPQGLKQVLLTGGIPPIGNGCTADAVYKACFEQIIHQNEKYYQRYPQDIEVVREVVNYLSKSEGGGVQLPSGGFLTPKGLQILGLTGLGSSAGFERLHYMFERAWDPIIVPGASKEISYYFLDAFDKWSSFDTNPLYALLHEPIYCQGGSSRWAAQRIRAENEGKFDAVRAAKEGRPIFFTGEMIFPWMFDEIHALRKFKGAAHILAEKKDWPPLYDITALNNNKVPVAAAVYYEDMFVNFKLVMETASQIAGIRLWITNEFMHSGLRDAGSQVFDHLMGMLDGKKPLF >ONI24590 pep chromosome:Prunus_persica_NCBIv2:G2:26428950:26433060:1 gene:PRUPE_2G248700 transcript:ONI24590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKTKKSEASSSSSRNSSRSSNHRGESVVVDYGKNKSSCGYCKSSARSSISHGLGTQSITINDYQDLLDRGWRRSGCFLYKPEMEKTCCPAYTIRLKAGDFVPSKEQLRVSRRMQRFLEGTLDCKRPVESVEDPNASKDTCSHTHLGVSSLAVKESSSNNNVEKDGEENIMRFLSDQVGNIVHACSESGEFPDIQFPKASVKKVSQAKRKILVEGPEDLLYSTNIAFQIAATIKRSLPDQKDGNQGRLSRNITDENGPSPKLIAEKLESFLNQLAKTSGLSVRACNGHLNFYSAAKHASAYESSQTVSVSKGSAASSESKGCSLKNSSENTQVKRRRLEIHLKRSSFDSEEYALYRRYQMMVHNDTPDHVTESSYKRFLVDTPLIFVPPTGDGTVPPCGFGSFHQQYVIDGKLVAVGVIDILPRCLSSKYLFWDPDFAFLSPGKYSALQEINWVKENQAHCSTLQYYYLGYYIHSCSKMRYKAAYSPSELLCPLRYQWVPFAIAKPLLDRKPYVVLSDFSTLQDRESLLPHVSEDVMEVQHDDIGKEDTNDFLMYDDEGMMEPEYGSSDNEQHLPSVDVEDGDVNNILIGLKGSRVKFKDLKFAFRPSERSYLEFQLRRYMRVVGEALAERMVYSLE >ONI21986 pep chromosome:Prunus_persica_NCBIv2:G2:15595319:15602522:1 gene:PRUPE_2G100100 transcript:ONI21986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEMKKITLKSEDGEIFEMKKIILKSDDNQTFEVEVAVAMRSQTIKHMVEDDCVNDAIPLSNVTSSALAKVIEYCKKHHEEDADVKNKESLKSWDAEFVKVDLSKLFDLILAANYLDIKSLLDLTCQAVADMIKDKIPEEIRVIFNIENEWAFE >ONI21651 pep chromosome:Prunus_persica_NCBIv2:G2:12074502:12078313:1 gene:PRUPE_2G078600 transcript:ONI21651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDGRCLKLFLALSILFLQNVKGGEVGHSHNHSHSHSLRDAKVTVRCIERERQALLAFKRGLVDEFNLLSTWGSEAEKQDCCRWEGVYCSNQTGHVIQLHLGYSFLDEMFQPAFEYSFQGKMISPKLIELQHLQYLHLASIDFNESQIPDFIGSLTNLRYLSFHSCHLVGQIPSSFGNLTQLQYLDLSYNYQLQPENLNWLVALSSLTDLGLASFDFNWSQIPDFIGSLTNLRNLKLSSCNLVGPIPSSFGNLTQLQHLDLANNQLQPENLNWPPALSSLTDLDLSGNNQNTVLDLASIDFNGSQIPDFIGSLANLRYLSLSSCNLVGQIPSLFGNLTQLQHLDLSGNHLQAENLNWLPALSSLTYLDLSGANLSTVFDWPEAVLNKLPKLEELTLVNCSLPPPPPPPPTLYKTNSSTSLATSLVALGLSNNHLSGFIPNFIGNMSSLVDLDLSDNQIKGANPNSFARLCNLQTLQLQRNHLSGQLSQLLPRCAQNSLEELYLSNNVLAGSLNNLTSFSSLEVLHLSANQLSGKIPESVGQMSQLYDIDFSMNSLEGVVSETHFSKLSKLEYLDLSSNSLVLNFSSNWVPPFQLRYINLTSCKVGPLFPKWLQTQKHFSLLDISNAGISDSLPSWFWSNFRSADIINLSQNLIRGILTNLTAEFPFYAELHLSSNQIEGPIPSILSQASYLDLSNNNISGSLSFLCASADMSYLNLSSNSFSGELPDCWSHLENNLVMLDLSNNAFSGKIPMTIGSLFQMQTLKLRSNRFVGELPSSLKNCTSLEVIDLGDNKLSGPIPTWLGVSFNNLVILMLSSNHFNGSMPSQLCHLTRIQIMDFSVNNISGSIPKCLNNLTTLAQKGNPSLSSRHSYTRLMGNNTAASANYEDDASFIWKGRMQTYKSTLGLVKRIDLSSNRLTGEIPSEITHLVELVSLNLSRNRLTGQITPEIGNLQSLDSLDLSRNQIDGRIPTSLARIDRLSFLDLSYNNLSGKIPTGTQLQSFDPLDYAENPQLCGPPLKKMCADQNEPLSNEEDKDEFITLGFYISMGIGFAAGFWGVCGTLIFNRSWRYAYLKFLNGLNDWLYVKIALSKRQLKLAYA >ONI24443 pep chromosome:Prunus_persica_NCBIv2:G2:26029984:26032789:1 gene:PRUPE_2G240500 transcript:ONI24443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAIGVSEVVLAINYQPEVMMTFLKEFETKVGIKITCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPFKQMIEFHKSHGGEASIMVTKVDEPSKYGVVVMEESTGKVQKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPNIAAENKLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKNSSSKLARGSNVVGNVLVDETAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHACISGSIIGWHSTVGQWARVENMTILGEDVHVSDEIYSNGGVVLPHKEIKSSILKPEIVM >ONI25354 pep chromosome:Prunus_persica_NCBIv2:G2:28765945:28771085:-1 gene:PRUPE_2G297900 transcript:ONI25354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGVMAVVKPEMKSYIWLQTADGSIQQVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGRSNKERKTFDEKFIRMDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKLKNVEVEEERVDERSVDDLLTFINGGSGESKGVKTNKNKKKNRRRKDHPKDSSTSVNGSHNKDLDALSSDYHDGDIINVESPSPSSSKLLDSTSVTFSPKLEFDDCDIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSLGQERKLAPININGNGSAHRYTSLDRR >ONI25353 pep chromosome:Prunus_persica_NCBIv2:G2:28765920:28771097:-1 gene:PRUPE_2G297900 transcript:ONI25353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGVMAVVKPEMKSYIWLQTADGSIQQVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGRSNKERKTFDEKFIRMDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKLKNVEVEEERVDERSVDDLLTFINGGSGESKGVKTNKNKKKNRRRKDHPKDSSTSVNGSHNKDLDALSSDYHDGDIINVESPSPSSSKLLDSTSVTFSPKLEFDDCDIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSLGQERKLAPININGNGSAHRYTSLDRR >ONI25355 pep chromosome:Prunus_persica_NCBIv2:G2:28766441:28769579:-1 gene:PRUPE_2G297900 transcript:ONI25355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGVMAVVKPEMKSYIWLQTADGSIQQVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGRSNKERKTFDEKFIRMDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKLKNVEVEEERVDERSVDDLLTFINGGSGESKGVKTNKNKKKNRRRKDHPKDSSTSVNGSHNKDLDALSSDYHDGDIINVESPSPSSSKLLDSTSVTFSPKLEFDDCDIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSLGQERKLAPININGNGSAHRYTSLDRR >ONI21770 pep chromosome:Prunus_persica_NCBIv2:G2:13881811:13885616:1 gene:PRUPE_2G087900 transcript:ONI21770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDVLSVPFGTWVGGDSSILRFKWSEPNCTECEAEGKRCRLNKNGIKSEVECIHLRKASKTMEFVATGATLGSSFLLVLVIAVYRVYSADQKEKENQLKIERFLEDYKALKPSRYSYADIKRITNQFKDKLGQGAYGTVFKGKLSSEFFVAVKVLNNSKGNGEEFVNEVGMMGHIHHVNVVRLVGFCADGFRRALVYEFFPNGSLQDFISSADSKNSFLGWEKLHDIAVGIAKGIEYLHQGCDQRILHFDIKPHNVLLDHNFTPKISDFGLAKLCSKDQSMVSMTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIGSSAKDTNEIYYPEWIYNLLEGGDDLRIHIGDDGDGKIPKTLAIVGLWCIQWHPVDRPSMQIAVHMLEVGDNLVMPPNPFVSAGPTTTHASIPARRLELEAIPELE >ONI22793 pep chromosome:Prunus_persica_NCBIv2:G2:20652032:20652664:1 gene:PRUPE_2G151600 transcript:ONI22793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWYKPIPIIFHDGLLMVAASLIFTLFPVLAVLSSAFMLLSLFIGNSNLCAFGYGTEFELCPISICLLAIPYCLFLDSSNLLPDRIAFSNET >ONI23768 pep chromosome:Prunus_persica_NCBIv2:G2:24093418:24097919:-1 gene:PRUPE_2G206900 transcript:ONI23768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSSHFVLVDLQNSWHSANQIPTSTLCYLQNSNFISTVSSSFRRKSRAHKPIASSKSSSPSIPSPEIRRPSDRFFSGNGSLSNSPNSNSASTSQPQAASELEMLLELLPMRMKSELYGHEEIGELVEVVMDLGRSPIARFPSGDWVISEKPVNHEDLRHAVSKVSEFSDDNRSGINNSLHRISALRNRKLQIIGLTCRVGRAVSGSAEVIRDLVEGGGSILVIGPPGVGKTTLIREIARMLADDQMKRVVIVDTSNEIGGDGDVPHAGIGRARRMQVPNVNMQHNVMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVGTAHGMTIDNIMKNPSLQILVGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVEIISKTEYRVHHRLDATVDAILAGKTPLFEVRRIDAEANDSHKSIPLPEKNCLKISNFTVNEDIDASADIESDGEDLDHSPNWSKNHSKRPGSNISLKKQRLPVCIYTYKILEADLLQVAKVMGLEDEIEVTDDIGTADAIIASSSEMKQNPWIRGVAKFHQLPVFVMKSNTMAQMVKAVRMILEMESVGSLPKQLIKNPFDIEIEDDAPKRKPSLEEIDALEEVRLAIEYIVIPGGEPVELLPRRSEIIARQLELVASYQLAAENSGTEMNPRLQILPKRLNKKKSSSKAAKSSSSFLKVINSKSLTGGGGGTSVTRLPLLSDQ >ONI23140 pep chromosome:Prunus_persica_NCBIv2:G2:21856068:21858189:1 gene:PRUPE_2G171600 transcript:ONI23140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKKPLWSEGTTTPKASAESDSNPPATAVSDLVNSLTTQRVYREVTLALRSGLRDVRAEFSFLRVRGLRSLLKFLRSVAESDSTINLFSQTQSLPELQVVPVLFQNSLKDAEDEIVRNLDHIFGVEPLKITSPSSDSEVSLALRVLEGCCLLHPDSAVLAHQHKAIQVLMNILPTRGVIEQSACLDALIAIMLDSSANQMDFEAFHGIEEVAELIRDKQVDENLRLKCGEFLLLLIGHVNGRDRPPLATVHEDIRRLLGEKSASLIWAASQFGSTLDPEQRLTALHIQARRVIESLDLY >ONI25089 pep chromosome:Prunus_persica_NCBIv2:G2:27943416:27944548:-1 gene:PRUPE_2G279900 transcript:ONI25089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASFFLLLLPIFYFVGTANSTATTSDTNFIKSSCSATTYPQVCIQSLSSYATSIQQSPRQLAQAALSVSLTRAQKAKTFVTKLAKFKGLKAREYGAVKDCLEEMGDTVDRLSKSVQELKNMGKSKGQDFVWHMSNVETWVSAALTDDNTCLDGFSGKALDGKIKASIRAQVLNVAQCTSNALALCNRFASKH >ONI21190 pep chromosome:Prunus_persica_NCBIv2:G2:6031545:6032535:-1 gene:PRUPE_2G051900 transcript:ONI21190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRYVQLLIIFVLALATYKRVLASDPDILSSYIVPENNKVDANFSTYTGFWDIFDDVPGTLNITKAALAEFPALDGQNVSYAMLKYPANEDSSDWKEFNLHPHTHPHAAELLFLVGSLEVGFMDTKNVLYTQKLKVEDLFVFPKGLVHCQYNSFANLPTHADSAFGSANAGTVSVLIYMFVFGTGIDNAILVKSFKTDVGTVKKLKAGLTTHK >ONI25020 pep chromosome:Prunus_persica_NCBIv2:G2:27719325:27720427:1 gene:PRUPE_2G275000 transcript:ONI25020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLINKLETKMSSRGVTLTLIMITLFLSTASGDSHDKCVYTIYIKTGSLMKAGTDSKISLSLGDFSGSSVWVPDLESWGLMSPYHDYFERGNLDVFSVRGRCMDGPVCRLSLTCDGSGAHPGWYCDHVEVAATGPDTGCSKSMFYVQQWLSSDVPPFELTASVDACNPWNINAVADEQEKCGKFVVVNPARYE >ONI20618 pep chromosome:Prunus_persica_NCBIv2:G2:2645518:2648335:1 gene:PRUPE_2G025700 transcript:ONI20618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHDLIHDLAQSVSGKTFFRLEENAENNSEPTKTRHLSYVRKHEDVFQKFEPFSKVECLRTFLPLDPLQGFNLSSLTHKVPHDMMPKLRFLRVLSFSGYLIAKLPDSIGNLKNLRYLNLSHCEIKELPESTSNLYNLQTLILFRCVSLTTLPTDMGNLRNLRHLNILGTRLKSMPLRMGRLINLQTLSDFVVGKHIGSGIAELKDLSHLRGSLSISGLHEVSVGDAIRAKMQVKQHLDELVMEWSSDDDSRNEQIETDLHLKGCAKCTSLPSLGQLPSLKDLVIEAMDGINHVGLDFYGDGDAFTIPFPSLETLKFENMKEWELWSSLEDGRLQGIPGLRELSIFRCPKLRKFSHGFASLKKLRIKYCGALTSFSHHPKLGNLEPVQFPSLQQLVLVGCKELEDLPVTLPSLEGLEIDGCEKLAALPRLMGLCTLSVSDSNAELLGCMNELTSLSSLRLNHISHVKSLPEGFIRLSTKLEELSLDSFSELNQLSNEQVGLTDLASLQRLTISNCPQFVAFPNEADKLPPVLNYLSLKHSESLLKFPDELYKLKFLTELRIEWCPKIESFPDTGFPSMLKRLVIGDCGGLKSLPKEVLHNNNCLEYLDIHKCSSLISFLEEGNLPTTLKHVKVYYCKILTSLPGGLMIKDNMTLQFLEIDNCPSLMSFPSGELPTTLERLEIGDCSKLQTLPLNLVNLVNLETLEVIRCPLLEHFPVGGLPTNMKSVRIFECEKLKFLPEFIYKLKRLQKLEISFCPSLISLPKSGLPTNLRLLTVTDCEMLNPVDEWKLHKLKSLHDLTIGGFPGLVSFSNEYLLPHTLTSLVVQRLPDLESISEALQNLDSLQKLVISECDKLQSLPMNGLPAMLGDLSIRYCHLLQHRCERDKGEDWSKIENIPYVHLR >ONI25362 pep chromosome:Prunus_persica_NCBIv2:G2:28776025:28782427:-1 gene:PRUPE_2G298100 transcript:ONI25362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNMPSWWGKSSSKEAKKKAGKESFIDSLHRKFKFSSESRVNGRSGGSQGHCNDTISEKGCQSPVESRSPSPSKNVSRCQSFAERTNAQPLPLPSLHPAHVGRTDSGISISTKPRSEKGSKPLLFLPLPMPGCIGSRSNPTELDGDMVTASVFSESSVDSDDPADSCHRSPQATDYDNGNRTAAGSPSSSMLKDQIFTVAPIKSREPKKSAISFSNNISPTSPKRRPLRSHVPNLQVPYHGAFCSAPDSSKSSPSRSPMRAFGNEQVVNTAFWAAKTYTDVTLVGSGHCSSPGSGHNSGHNSMGGDMSGQLFWQQSRGSPEYSPVPSPRMTSPGPGSRIHSGAVTPIHPRAGGTPNETQTSWADDGKQQSHRLPLPPVTISNASPFSHSNSAATSPSVPRSPGRAENPASPGSRWKKGKLLGRGTFGHVYVGFNSESGEMCAMKEVTLFSDDAKSKESAKQLMQEITLLSRLRHPNIVQYYGSESVGDRLYIYLEYVSGGSIYKLLQEYGQFGELAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSSGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSRELPAIPDHLLDHGKDFIRQCLQRNPLHRPTAAQLLEHPFVKYAAPLERPILGLEPSDPPSGITNGVKALGIGQARNFSNLDSDRLAIHSSRVSKTNNHTSEIHIPRNISCPVSPIGSPLLHSRSPPHLNGRMSPSPISSPRTTSGSSTPLTGGSGAIPFIHMKQSINLQEGFGGISKPMNGFYVNGPSYHDSCPDMFRGKQPGSHIFSELMPCENDVLGKQFVRPAHAEQYDGQSVLADRVSRQLLKDHVKMNLSLDLSPNSPLPSRTNGV >ONI25361 pep chromosome:Prunus_persica_NCBIv2:G2:28775956:28782447:-1 gene:PRUPE_2G298100 transcript:ONI25361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNMPSWWGKSSSKEAKKKAGKESFIDSLHRKFKFSSESRVNGRSGGSQGHCNDTISEKGCQSPVESRSPSPSKNVSRCQSFAERTNAQPLPLPSLHPAHVGRTDSGISISTKPRSEKGSKPLLFLPLPMPGCIGSRSNPTELDGDMVTASVFSESSVDSDDPADSCHRSPQATDYDNGNRTAAGSPSSSMLKDQIFTVAPIKSREPKKSAISFSNNISPTSPKRRPLRSHVPNLQVPYHGAFCSAPDSSKSSPSRSPMRAFGNEQVVNTAFWAAKTYTDVTLVGSGHCSSPGSGHNSGHNSMGGDMSGQLFWQQSRGSPEYSPVPSPRMTSPGPGSRIHSGAVTPIHPRAGGTPNETQTSWADDGKQQSHRLPLPPVTISNASPFSHSNSAATSPSVPRSPGRAENPASPGSRWKKGKLLGRGTFGHVYVGFNSESGEMCAMKEVTLFSDDAKSKESAKQLMQEITLLSRLRHPNIVQYYGSESVGDRLYIYLEYVSGGSIYKLLQEYGQFGELAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSSGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSRELPAIPDHLLDHGKDFIRQCLQRNPLHRPTAAQLLEHPFVKYAAPLERPILGLEPSDPPSGITNGVKALGIGQARNFSNLDSDRLAIHSSRVSKTNNHTSEIHIPRNISCPVSPIGSPLLHSRSPPHLNGRMSPSPISSPRTTSGSSTPLTGGSGAIPFIHMKQSINLQEGFGGISKPMNGFYVNGPSYHDSCPDMFRGKQPGSHIFSELMPCENDVLGKQFVRPAHAEQYDGQSVLADRVSRQLLKDHVKMNLSLDLSPNSPLPSRTNGV >ONI24641 pep chromosome:Prunus_persica_NCBIv2:G2:26601639:26605269:1 gene:PRUPE_2G251900 transcript:ONI24641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFISFLYFLLSPSATPSLTTSSYSTLSLSLSLSLSLSLSLSLMQLPRRKTAFPLESVSMKARKNNNLPIFVVVFSIFLFGIFMYNEDVKSIAEFPFSTPKAQELQEDESKQSNPVQESKQSNPGQETKENTPVQETKQSDSVQETKPSEIQHRVSLSSRAQLEETQLENSSEETQEPVDLKSITEKDDEQKIELLGSQQPEEEEEEEEIELPPEDCDLFNGDWVFDPVTHPLYKEDECEFLTAQVTCMRNGRKDSLYQHWRWQPRDCNLPKFNARLLLEKLRNKRLMFVGDSLNRNQWESMICFAQSIIPPGRKNLTKNGSLSVFRIEDYNATVEFYWAPFLVESNSDDPLMHSILNRIIMPKSIKKHGENWKGVDFLIFNTYIWWMNTFKMKVLRGSFDQGATEFDEIERPVAYRKVMMTWAKWVDKNIDPNRTTVFFTSMSPLHIKSLDWDNPDGIKCAKETTPVLNATTPLEVGTDRRLFVIASNITRSMKVPVYFLNITTLSEFRKDAHTSVHTIRQGKMLTPEQQADPETYADCIHWCLPGLPDTWNEFLYTRIISRS >ONI20804 pep chromosome:Prunus_persica_NCBIv2:G2:3680932:3693059:1 gene:PRUPE_2G034300 transcript:ONI20804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYPDPQQQHHQQQHQHQQHQQQGGEFHRGPPPQAPMMRQPSASSTNMAPEYHHPSGPAPPLPPYDAHPDGFAAKRMRKLTQRRAVDYTSTVVRYMQIRMWQRDARDRTVLQPTPAAAIDMLPTVAYSDNPSTSFAAKFVHTSLNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGSIKYWQNNMNNVKANKSAHKESVRDLSFCKTDLKFCSCSDDTTVKVWDFARCQEERTLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGRELCSFHGHKNMVLSVKWNQNGNWVLTASKDQIIKVYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIYHWLVGHDTPQVEIPNAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDTGRDKFNIGQNQGFGEQNPAFGGRMTGNFPVPEGPPTPGPFPPGLTRNEGTIPGVGVAMPLSLDASTQGEQQQPHALSMPSGAPPLPPGPHPSLLAANQQQQYQQNPQQIPQQQHQQHQAHPQQMPPMPLPPPNMPHLQPPSHLPLLPHPHLHRPPPQMPPLGMPSSVPGSLPMPSSVPTSHSMQLGMQGTMNQMVHPLPQGHYMGMNPMHSGSLPTSGASAPVGGFANGMPNMQGPSNASGGQMYPQGGAFNRGQPGQIPMHPGYNPYQTRGQSGMPQPPPGPPPHGQAPQ >ONI25746 pep chromosome:Prunus_persica_NCBIv2:G2:29731674:29732147:-1 gene:PRUPE_2G317800 transcript:ONI25746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFVLSKPEKVLRVVKTDGKVLEFRAPTLVKDMLMNFSGSGISESKQASELLSPNYKLKLGKVYYMIPLLGSVAKKDRAATRRIKIVITKQQLQELLTKQISVQEVLSTSGLEQKSCSSNDSSTNWKPKLESIPELEGSE >ONI22338 pep chromosome:Prunus_persica_NCBIv2:G2:17922878:17926608:1 gene:PRUPE_2G122500 transcript:ONI22338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPISQGQGLAVFRKQLFSPSLKSNSQPDSFLRCYSSQKTENHNESDEQQHRAKQPKSSTPKTKTAKDMARLVNTNTWSSELESSLSTISSSLSKTTVHQTLHLIKTPHKALQFFKWVEVMGFSHNDQSYFLMLEILGRARNLNAARNLLFSIEKRSNGAVKLEDRFFNSLIRNYGRAGLFQESIKLFTTMKSLGVSPSVVSFNSLLSILLKKGRTNMAKNVYDEMLSMYGVTPDTYTFNILIRGFCMNSMVDEGYRFFKDMSGFRCDPDVITYNTLVDGLCRAGKVEIAHNVVNGMSKRSGDLTPNVVTYTTLIRGYCVKQEIDKALSILEEMTTRGLKPNGFTYNTLIKGLCEAQKLDKIKEIFEGTMIGGEFTPDTCTFNTLMHSHCNAGNLDEALKVFAKMSELKVPPDSATYSVLICSLCQRGDYPRAEELFDELSKKEILLRDDGCKPLVASYNPIFGYLSSNGKTQKAEEVFRQLMRRGTQDPLSYKTLIMGNCKEGTYEAGYELLVWMLRRDFVPDEEIYVSLIDGLLQKGKPLLAQQTLEKMLKSSHLPQTSTFHSLLAELLKQHCAHESASFVTLMLEKKIRQNINLSTHLVRLLFSHGLRDKAFEIVGMLYENGYSIKMEELVCFLCQSRKLLEACEMLQFSLQKHQSVDIDNFNQVIVGLCDINKLSEAFGLYYELVENKGYQQLPCLDSLKSALEVAGRSVEAEFLSKRIPRQQLLDKFGKSRLQL >ONI21503 pep chromosome:Prunus_persica_NCBIv2:G2:10116854:10117228:-1 gene:PRUPE_2G070400 transcript:ONI21503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFRKKMQHDTRRKGKTKVVLPQEHEEDEEEEEQEEGEEEEEEDQEQDEEEEEEDQEQDEEDEEDDEDEGWLDEKEDESEDEEEDGHEEGATSKGKPDAKQHSTEENRKGEKSLCSRNPKRKTTK >ONI26012 pep chromosome:Prunus_persica_NCBIv2:G2:30257291:30261797:-1 gene:PRUPE_2G329500 transcript:ONI26012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKALAQHQQQLMLQQQQQQHQQQQHQQQQQQQHHQHHQHQQQQQQQHQQQQLLLLQQLQRQQQQAQQAAAISRFPSNIDAHLRPLRGLNLQPNLIPNPNPNPNPNPNPNPNPNSAPNLQQNPVANPQQQPQQQQQQQQQQQQQRAIRPGNQAELQMAYQDAWRVCHPDFKRAFSSLEDACERLLPYHVVADYEAEEDDRILDSDTTGLIPSRSQQWDHNISAKVAEFTATFEKQALAFNIISRKRALGEFRSEERLMVEQALCQEEKRTCLELKAELDSREKAGREAKLRMAAIVQAEQARVESQAHAEMLARAPIRASALGSQGNDVAIGHDMREQEHGVNPEEMINGWGNNMQRDEKEPSEDFLNDEETENGSTDMQDGWREVGEFDLNTR >ONI22314 pep chromosome:Prunus_persica_NCBIv2:G2:17827189:17830150:1 gene:PRUPE_2G120900 transcript:ONI22314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKPRRRSKFRWATMAICSVDANGDGKISVSELGNVLKALGSSVSADELQRVMGDRDTDCDGA >ONI20699 pep chromosome:Prunus_persica_NCBIv2:G2:3135091:3136010:-1 gene:PRUPE_2G029700 transcript:ONI20699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYQKLRRAFCNTVADVVIIGLEINDNERVCQMKKGMPVGKSSSVRTTDTSEVSRMTPQNPHISPLDVNVKRIL >ONI24149 pep chromosome:Prunus_persica_NCBIv2:G2:25300148:25300987:-1 gene:PRUPE_2G226900 transcript:ONI24149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHKIFSDTAYLLFLTLTIFSATSARTLEEEQLPVPVVPPVASNTDETPIINANVADQHTLIFFMHDILGGSNPTARAMTGIVNSPAANGQLPFAKPNGAVLPFNNGVPQNNNNNGLINNNNIPFLTGLSGTTSNVAQNNGINGGNFPINGGQLQPGSVLQNLMFGTMSVFDDELTEGHELSSGLVGKAQGFYVVSSEDGTSQTMGFTAMFESGSYADSLSFFGVHRTAVSESHLAIMGGTGKYVNAKGFAMVKTFPASNQQTDGAETLLQFTVYLTY >ONI24339 pep chromosome:Prunus_persica_NCBIv2:G2:25712865:25714087:1 gene:PRUPE_2G234600 transcript:ONI24339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQIFGENSNRIVDGYSEEFEQSFLDLMKRSHRFSRIAATVVYNEYINDRHHVHMNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEEKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRKIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGFVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQIGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKGVYDGRVLKAVEYEDICKLA >ONI23565 pep chromosome:Prunus_persica_NCBIv2:G2:23323510:23325682:-1 gene:PRUPE_2G195500 transcript:ONI23565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAEASNKQSHLNKSPLQRKHPKNHDSSELKTSSAEAFSGPKSAEMKMSSPAEASNKPDVTEKKIASPAEASNSGKKTPRSLKGKAKIVNGKRRRNRCNNESSNKREEEAKKMNSKREKQENITNGEHAEKSHQAQKTPGKIDESEKSRQKQKGTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYSIMGVSMGEKDLVLGIKPGLKLFLFDFDLKLLYGVYKASSSGGLELEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQEFQAYYGLRGERRNVSPRIVPPALEALHREYEREHLARQPNLIYGEAVPAHRERVALAFKS >ONI21204 pep chromosome:Prunus_persica_NCBIv2:G2:6148624:6151209:1 gene:PRUPE_2G053000 transcript:ONI21204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRRSLSTSLLTSLIKPDRTLSASEYSLLSIKRDASLASTRCRRKVRFSGKSEDQMCLILCLIFLAKKILFLVSKASLQTWHKRLGHPHSTVLKTIVTSNKLPRGVVDTDEEGVKGSLVALPRIQSVQWGLEKLVDIECCSFLSLISYDTFH >ONI22439 pep chromosome:Prunus_persica_NCBIv2:G2:18618533:18621196:1 gene:PRUPE_2G129000 transcript:ONI22439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSYTLGSQSRCPLEERLLQKKNSRENLDRFIPNRSAMDFDFAHYMLTQGRKGKENPSRYGRDYRKQLAEAMNMNRTRILAFKNKPPAPVELFPSYFSSLPQDKPANPKPHRHIPQTSERTLDAPDLIDDYYLNLLDWGSSNVIAIALANTVYLWDATDCSTSELARFDVERGPVTSVSWAIDGRHIAIGLDNSEVQLWDSTAKQYLRTLRGCHRSRVGSLAWNNHILTTGGMDGRIVNNDVRIRSHIVETYRGHEREVCRLKWSASGQQLASGGNDNLLHIWDNRSVAPTQWLHRLEDHTAAVKALAWCPFQRNLLASGGGGNDRCIKFWNTHTGACLNSVDTGSQVCALLWNMNERELLSSHGFTQNQLTLWKYPSMVRIAELTGHTSRVLHMAQSPNGCTVASAGGETLKLWNVFGDPEEVKPAPRPPKEPFAQVSRIR >ONI22440 pep chromosome:Prunus_persica_NCBIv2:G2:18618533:18621196:1 gene:PRUPE_2G129000 transcript:ONI22440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDFAHYMLTQGRKGKENPSRYGRDYRKQLAEAMNMNRTRILAFKNKPPAPVELFPSYFSSLPQDKPANPKPHRHIPQTSERTLDAPDLIDDYYLNLLDWGSSNVIAIALANTVYLWDATDCSTSELARFDVERGPVTSVSWAIDGRHIAIGLDNSEVQLWDSTAKQYLRTLRGCHRSRVGSLAWNNHILTTGGMDGRIVNNDVRIRSHIVETYRGHEREVCRLKWSASGQQLASGGNDNLLHIWDNRSVAPTQWLHRLEDHTAAVKALAWCPFQRNLLASGGGGNDRCIKFWNTHTGACLNSVDTGSQVCALLWNMNERELLSSHGFTQNQLTLWKYPSMVRIAELTGHTSRVLHMAQSPNGCTVASAGGETLKLWNVFGDPEEVKPAPRPPKEPFAQVSRIR >ONI21270 pep chromosome:Prunus_persica_NCBIv2:G2:6819680:6824258:1 gene:PRUPE_2G056900 transcript:ONI21270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTVSITANPATTTRRRTVVAVEKKSTNIELVSAEKADGKAETGGNSKDLSHHSIRGEPGLDRSAHGKKTGPNSTISPPSNRRSRRSVAVDPNPRWVTVLRIFAKNFILLLLIVGLFQIVRRLALRSGDGVPMAFSDLEGRIAEVESFVKKTTKMVQVQVEVVDRKIESEVGGLKREVEKKIEDKGVALERDLRKLEARNEGLERSVDDLRSVEWLSKQEFERVYEELKKAAKSGEDGEFGARLDDIRAYARNVVEKEIEKHAADGLGRVDYALASSGAFVVKHSEPYLVGKASNWVFLKSTKNGVHGDADKMLRPSFGEPGQCFPLKGSSGFVQIKLRTPIIPEAITLEHVAKSVAYDRRSAPKDCRVSGWLRAHDDLEVDTEKMFSLAEFTYDLEKSNAQTFDVLDSAVSGLVDTVRLDFTSNHGSASHTCIYRLRVHGHEPDAVSMMTMQLS >ONI22156 pep chromosome:Prunus_persica_NCBIv2:G2:16913576:16914676:-1 gene:PRUPE_2G110900 transcript:ONI22156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRLKMELIANERSRKTTFQKRSKGMMKKAYEFSTLCEVDVCIIIYGPKLTDRPPELQTWPQNSEQVDRIINKYKASTMSKPAKKTFDLSDLLMDRKNKVYADIYRARKEMYEAKYPTWDERIESFSENQLEALLDKLDAKLESGKRTLLNKRNGAAHRLLVTKKEWMGGNPNMGESSSQKEPCNSYMQDHYHEEDQNPTSSLNNNTVDMLQPFAVSSFDHHQPSDQTLPFDNSDQHLNNLMAPNPSSMAMWMLMEGNYNYSNLQYRAGDSSSAHSQSPLEGYHCNYSDQMNMNMMMTNNNIGPLSSSSMSHYYARLMQPAVPYMHQMMQPSISSQQLQVHTSQVKEDQYEDINQYLVMNNKMG >ONI22341 pep chromosome:Prunus_persica_NCBIv2:G2:17935785:17936690:1 gene:PRUPE_2G122800 transcript:ONI22341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFTVVSMFVLRVLSWFLLRYDLQFVWVSKDYDFAHRMLLLTIGMFCGPLLWVYYLGFLWFSHVGLLCWSLIAVLVGGFCVRFCF >ONI23084 pep chromosome:Prunus_persica_NCBIv2:G2:21697345:21700140:1 gene:PRUPE_2G169500 transcript:ONI23084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEARCCFKLLYAIVVVLLLHMSSPCIGCSERDRQALLAFKQGLVGDDGDRLLSWGREAQNKNCCQWEGVYCSSNQTGHVVKLDLEDQSLRGKISPELVKLQHLEYLDLSFNNFSGSKIPDFIGSLSNLRHLDLSSANFGGQIPNQLGNLTHLQYLDLSSYGYGGIRTVNSIHAKNLNWLPNISGLKHLDLSFTNLSDVVGWLEAVNMLPKLRKLILSACKLPPPIISSVSLMNSSNSLVHVDLSSNNLNSSIFQWLSGTHTNLVYLDLSWNKFRGSSIPASFGNMSSLAHLSLHRSQLEGGIPNSFAKLCRLRELDLWGNSLTGQLSDFVETLSKCAQKTLESLDISHNHDISGSLPDLTNFLSLKSLFLEKNNLSGRIPENIGQMSKLETIGFGWNSLEGVISETHFSKLSKLSYLSLSSNSLLLNFSFDWIPPFQLRAISLKSCKMWPSSFPKWLQTQKNYTWLDISDAGISDTIPSWFWDLSQKLEIMDISHNQLRGTVGIITLEFAPSLNLSWNQLQGPIPSILSKASVLDLSHNNFSGAASFLCATKDSNLTFLDLSSNHVSGELPDCWIHFKKLVFLDLSNNYLFGKIPTTMGHLFCIKTLRLSNNRFVGELPSQLKNCTKLTLFDLGENNLSCSIPEWLGASLPNLTILILRGNQFYGSIPPQLCHLTNVQILDLSMNNISGTIPKCLNLTVLAQKGNSSRIIQNSYSAKLGEVGYTWNYEEEASLTWKGVRSKYKSTLGLVKSIDLSSNKLTGEIPSEITDLVGLVSLNLSRNQLTGQIPPRIGMLQELDFLDLSRNQINGRIPNSLSRIDRIGYLDLSENDLSGKIPIGTQLQSFGPSSYGGNPLLCGLPLLRTCDEEEAGPGQTVLVNQQDDKDGLITQGFYISLGLGFPVGFFVALFYSTGHADIHTSISGLVSQIDYT >ONI22564 pep chromosome:Prunus_persica_NCBIv2:G2:19459329:19461468:1 gene:PRUPE_2G137100 transcript:ONI22564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCQRLAALPAQTDLFLPFPIGTVPKVRNAPTSIQLPLTKNRKSGPRISAISTDEIPPNALRRKRDPNWRGGFSVGVDLGMSRTGLALSKGFNFRPLTVLSLRGQKLEDQLLEIAKQQEADEFIVGLPKSSDGKETPQSNKVRSVAGRLAVSAAQRGWRVYLQDENGTSIEAMDRMINVGLGKSDRQSKVDAYAAVMVLERYFSMSGQGTELVVPKNLDLQDKLRRGPPKDIDFYPEDYED >ONI20929 pep chromosome:Prunus_persica_NCBIv2:G2:4568807:4569633:1 gene:PRUPE_2G041600 transcript:ONI20929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLNFKSNRTATGRSRIPSNSIPQALCPDPITNLVQMAAVKAREAAEAYFTLRHFDCAIMQARAAKELYPEFPGMDNYMAAYQIHSAVSHKKNWYHILGIPNPAIADSEAIKKQYKRLALALHPDKNWSIAADGAFKYVKAAWDVLSDRAKREAYDKSLSPRSQGARGSNNSKPAQQQRSNACSRKRAGPDANSTGGDGSFYKKTIKIVRKSNPGQRATVVMLSVCRVA >ONI23000 pep chromosome:Prunus_persica_NCBIv2:G2:21359654:21361941:-1 gene:PRUPE_2G163300 transcript:ONI23000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTFTLNPTTLFLRQAVGTFVQMDFREGVICVAPDDVTLVAGVPGVGVPVLALRMKPYVFVSFSCTESVCLAFDLTVFYQNLFKVLKGDLLQLSGSANAYWIDFDLLNYSEKLETRQVLATQFPMLSTHIGRMNVPQLRNHYQVAVGIPAEDFRLLIMKLSQFGELVYASITATAVEFSVRNEKVIFKQPKQCTIKGAVGEDPVVLVFNLIHSDAIVNASILSNVVLLFGQTQTHGFSVALKFFFGRLGSLEYYFR >ONI24089 pep chromosome:Prunus_persica_NCBIv2:G2:25060965:25064557:1 gene:PRUPE_2G223300 transcript:ONI24089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLELTLAIEEEEDEDEETSLVQDSDEEQVTDSSLGSNLQPSITTWENLFAAFVSIIGLLLFIYLIGNLQMYMQFDAAREEARKERVNQEMKTERRLKEKDREIAFWLSENVNIPQNEKDKVKSQIMRTVKHELEELRGGDVEEILSILPSELQSYLNSHMMWKKLQRVPKLDSLDEQVLKAIWKHSKTLKYAAKTNIIKENEPIDKMFFIIEGVVKIESSRTTDRSSAQLKAGDFFGEELVDWATIAVFPNLLPLSTSSAKATKDVKARVLLASDLCDVVSLFRDHFRKRKRPPPDLAGFNCASAPPPPHRTVSGLTAYRPW >ONI25286 pep chromosome:Prunus_persica_NCBIv2:G2:28584597:28586327:-1 gene:PRUPE_2G293600 transcript:ONI25286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLELNLSKVLPQCTFRQLKQIHALIVTTFLNQNIQIFSKFLRRSTEFGTMEYPNLIFSQLGPELSNDIMIQNAMIRGYDTNGPFEKCVSLYDEMPLRGLKPHNFTYPYVLNSCTKMGWYRKGKQVHCHIVKHGFESYLAVANALFNMYVKKLDSCDPAVVDQGTSNDARRVFDDMCVKPVEVWNRMISRYVSVCDVQSARKLFDVMPERDVVSWNSMVSGYAEVRDVGNARDLFERMPEKDVVSWTLMIGVYANAGDLITARKFFETMPCKNVVSWNSMISSYTQHVQYEEALDLFVQMQSKDVVPDAQTFVSVLSACSHLGALEFGKWTHYLIEDWSHFGTILGTALIDMYAKCGDINRAFTLFIKMGKRDVFCWNVMIRSVAIHGRTEDAMKVYSLMQKEGLKPNDFTFTAALFACSHGGLVEEGERIFYAMEREFGVVPKLEHFGCLIDLLSRNGQLEEALRIVKEMPYKPDIAIWGALLGGCRLRSHFKLAEEVMERATGLETNESGVYVLLSNTHASAGKWPEAQSARENMDEKKIWKKTGCSHVYASNVTLEPRSRSYLDYNGEEKI >ONI23055 pep chromosome:Prunus_persica_NCBIv2:G2:21581784:21582993:1 gene:PRUPE_2G167400 transcript:ONI23055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVSEDAGAKDTSKQAPLTPNHSGIGLDNLLCPTSMTTKLVQFFKDEGNCPTNLLLLNLSVSIRNRDPIVVGIFPDKAL >ONI20722 pep chromosome:Prunus_persica_NCBIv2:G2:3317730:3318888:-1 gene:PRUPE_2G030800 transcript:ONI20722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLRSLEKVVPDKAFSELQTEAAELNEERKFAVLPPKPFFKKPPVHKIPFVKKPFPPKPSFKKPPLRKIPTVKKPFPPPVPIFKKPLAPPVPIFKIPPFKKLGHPPVPVVERKNGDPGYRIPEYPTRPFPPPVFK >ONI22854 pep chromosome:Prunus_persica_NCBIv2:G2:20834737:20837163:-1 gene:PRUPE_2G154700 transcript:ONI22854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGMNLVTTVIGFGMSATFIVFVCTRIICGRLRGGESRPMFEIESRIDVEQPEHQASGLEQVVVSAIPTMKFDHKAFSSLEDAQCSICLGEYQEKEVLRIMPKCGHSFHLSCIDTWLRKQSTCPVCRLPLKESLGIKHVRSATFSMPRSFDDSEVSTEHSQLWLLPGSERSAGNVSNQGHSESAPGNPSEPTPSREPETTPR >ONI22291 pep chromosome:Prunus_persica_NCBIv2:G2:17712065:17715816:-1 gene:PRUPE_2G119300 transcript:ONI22291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHKKSEAQIGKESIGVSSDFNPISGAAPPPPPSFSSSISHQFNHISQFPHYPSQHLPQNDPPIPQTTPYKRPLLTQTHSSLSKSPTLYKFPPPPKNPPLFSPSLAAKTAFFRLIRRVNRLRRLLLLLCLPFFYFLVSHPSHSFFLDFLSAFAFSSALLFSLNLALPRLPSIRLFLARSFPIKLKTSSSLSRPPLPVFWSIGSRPKAENRGNSGCWVQVYGNGDVYEGEFHKGQCSGSGVYYYYMSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRYGFGVYRFYTGDVYAGEWSNGQTHGCGIHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYRFANGHRYEGAWHEGRRQGLGMYTFRTGETQAGHWQNGVLDVPSTQNATYPVSPVAVNHSKVLNAVQEARIVAEKAYDVAKVDERVNRAVTAANRAANAARVAAVKAVQKQMQHNSSSDSIPIPIL >ONI22700 pep chromosome:Prunus_persica_NCBIv2:G2:20230894:20233562:1 gene:PRUPE_2G145700 transcript:ONI22700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAYPTSSSYNYKNYNKAYRSFTTPHILVSQQQQRNDQKPSDPIGRREIILRSSELAVVGAIFNLSGKKPDYLGVQKNQQALALCPATKNCISTSENVSDLTHYAPPWNYNGGRKKPVNREVAMEELLQVIKSTKPDKFTPRIMEIKNDYVRVEYEGPILGFVDDVEFWFPPGKDSLVEYRSASRLGSFDFDFNRKRIKALRQELEKKGWASKDSF >ONI22701 pep chromosome:Prunus_persica_NCBIv2:G2:20230894:20233562:1 gene:PRUPE_2G145700 transcript:ONI22701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAYPTSSSYNYKNYNKAYRSFTTPHILVSQQQQRNDQKPSDPIGRREIILRSSELAVVGAIFNLRNYNGGRKKPVNREVAMEELLQVIKSTKPDKFTPRIMEIKNDYVRVEYEGPILGFVDDVEFWFPPGKDSLVEYRSASRLGSFDFDFNRKRIKALRQELEKKGWASKDSF >ONI22331 pep chromosome:Prunus_persica_NCBIv2:G2:17901940:17902524:-1 gene:PRUPE_2G122000 transcript:ONI22331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGTMQRPSTTSTSTLPTTPHPHEPKDTTRKTRNDFKLLFPFNIPATPEAAAVRIIRNLGYFRLYYILFIWTILSITLLPKRKVSLIFLVAMTAVTCLYLVLLRVVPNSVVLQKIIDRRLVLALLAIVTMVELILTRAALHLFLTLGCGTPVVLVHAVLRVRDDLFVEEEACAAGELVPLRPTSEPKLSDAV >ONI24363 pep chromosome:Prunus_persica_NCBIv2:G2:25793596:25796944:1 gene:PRUPE_2G236300 transcript:ONI24363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTATSTSLIQRVCSVPLARAVRTRAMAVVSMNLSTTPARSQALRADSRVLLGLSEPELQQLALDLKQQSYRGKQLHHLIYKRKIKDIQDFSQVPLAFRNELEEAGWKVGRSPIYQSVTAADGTVKLLIKLEDNRLIETVGIPVKDEKGVMRLTACVSSQVGCPLRCSFCATGKGGFSRNLKMHEIVEQVLAVEEIFNHRVTNVVFMGMGEPMMNLKSVLAAHQCLNKDVQIGQRMITISTVGVPNTIKKLASHKLQSTLAVSLHAPNQKLRETIVPSAKAYPLSALMKDCRDYFVETSRRVSFEYALLAGVNDAVEHAVELAELLHEWGRGYHVNLIPFNPIEGSEYQRPSKKAVQAFAAALESNRVTVSTRQTRGLDADAACGQLRNKFQKSPLPTDSDNLQPEEDVAVAC >ONI24560 pep chromosome:Prunus_persica_NCBIv2:G2:26343294:26344183:-1 gene:PRUPE_2G247100 transcript:ONI24560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGMSGFCIKAASFRGSGGGGNGNNNGTKCGRWNPTTEQVKVLTDLFRSGLRTPSTDQIQKISSQLSFYGKIESKNVFYWFQNHKARERQKRRKVSIDDKDFTRRDHDKISSPKQLNQVSEPARVIETLQLFPVNSFDESEAEKMRFHANEYCKEATAFAYRVGTEMDHPPLDLRLSFL >ONI22043 pep chromosome:Prunus_persica_NCBIv2:G2:15936467:15941143:-1 gene:PRUPE_2G103500 transcript:ONI22043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLASLSSSSSSSSVAPAILRLSMAATIAFNAVGAPVRLSRQLSHPQSSYPLVGGIRLRCVAPTRSLSSFSGSGIRAQVAAIEQSSAAVPQNRNVEAPVVIVTGASRGIGKAVALALGKSGCKVLVNYARSSKEAELVSKEIEASGGQALTFGGDVSKEEDVVDAWGTVDVLVNNAGITRDGLLMRMKTSQSQWREVIDLNLTGMFLCTQPNYSAAKAGVIGFTKSVAKEYSSRSINVNAVAPGFIASDMTAKLGEDIEKKILGTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTNDH >ONI22003 pep chromosome:Prunus_persica_NCBIv2:G2:15739190:15740100:1 gene:PRUPE_2G101400 transcript:ONI22003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKLFRTWSSPFALRIIWALKLKGLLQYNPIHKKVPVLVHNGKPIVESFVVLEYIDETWKENPLLPEDPLQRAAARLWAKFGDDKVLPSIWESFTNDGKEQEEAIVKAKENLKYLEEELKGKKFFWGEKLGFADIALGWLAHYESVFEEVAGMKLLTEEEFPLLSAWKLTFADAPIIKDNWPSRDKLVAKFQAIREDQLLKKAPK >ONI23707 pep chromosome:Prunus_persica_NCBIv2:G2:23902536:23903399:-1 gene:PRUPE_2G203100 transcript:ONI23707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFSRAAEEEGDLSLPVGFRFRPTDEELVDYYLKNKVQGMDFHAEGIIDEIDILKFEPWNLVAKSLMKPDDENGFFFSQPEYTQKKNTKRSTEVGFWKITGREHEIRTRDRRRTVIGKKRILTFYIGHGRNSDKTDWVMHEYYIPKAHPNANQRCRLALEVTSFDFLLPLLSLHNWFSNLIICRGTSFSVTVT >ONI25606 pep chromosome:Prunus_persica_NCBIv2:G2:29424231:29425175:1 gene:PRUPE_2G311200 transcript:ONI25606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASHDELMRKDYLVLFFPLRSDLVDQAVAFIDIFLLQPHHHLLLLAFRRRPNSTTISIYALKFYFQRNSLSHRSNSRVRKLWSIR >ONI21857 pep chromosome:Prunus_persica_NCBIv2:G2:14862168:14862856:1 gene:PRUPE_2G094000 transcript:ONI21857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNCAENLKRKFGSRSQGYKFISSCQMTFRPFKCCLSRRVKWMHTYKEKNRNYKRAILFHTQETN >ONI22825 pep chromosome:Prunus_persica_NCBIv2:G2:20759905:20762726:-1 gene:PRUPE_2G153300 transcript:ONI22825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVVRKHTNRPERSVYARPAGGPSKTVCAFWAAGRCTREFCRFLHADAPPSSMNPQISKKSSLLWTKEGTATGEGNACNATQKSNAPQKINAPQESNAAQTQKSNAPKKSNAPQKSNAAQKSNPTPKNVCKFWVDGNCVKGDRCLYLHNWFRGEEFSMLAKLQGHTKAVTGIALPERTNKLYSTSKDGTARVWDCHTGECGSAIDLGGEAGSLISEGPWVFAGVPNLVKVWNTETNSEFNLDGPVGQVHAMVVGNEMLFAGTQNGDICVWKGSTETNPPFYPAATLKGHTGAVVCLTVGRNRLYSGSVDHTIKVWDLYTLQGVLTLNGHSGVVMSLLCWDQFLLSCSLDDTIKVWAATEGGGLEVTYTHNEEQGVLDLAGMTDAESKPILLSSCNDNSVRIYELPSFTERGRLFAKQEVRTVEVGPGGLFFSGDATGVLSVWKWMDPPAVKVESS >ONI22824 pep chromosome:Prunus_persica_NCBIv2:G2:20759905:20762744:-1 gene:PRUPE_2G153300 transcript:ONI22824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVVRKHTNRPERSVYARPAGGPSKTVCAFWAAGRCTREFCRFLHADAPPSSMNPQISKKSSLLWTKEGTATGEGNACNATQKSNAPQKINAPQESNAAQTQKSNAPKKSNAPQKSNAAQKSNPTPKNVCKFWVDGNCVKGDRCLYLHNWFRGEEFSMLAKLQGHTKAVTGIALPERTNKLYSTSKDGTARVWDCHTGECGSAIDLGGEAGSLISEGPWVFAGVPNLVKVWNTETNSEFNLDGPVGQVHAMVVGNEMLFAGTQNGDICVWKGSTETNPPFYPAATLKGHTGAVVCLTVGRNRLYSGSVDHTIKVWDLYTLQGVLTLNGHSGVVMSLLCWDQFLLSCSLDDTIKVWAATEGGGLEVTYTHNEEQGVLDLAGMTDAESKPILLSSCNDNSVRIYELPSFTERGRLFAKQEVRTVEVGPGGLFFSGDATGVLSVWKWMDPPAVKVESS >ONI24557 pep chromosome:Prunus_persica_NCBIv2:G2:26337370:26338405:1 gene:PRUPE_2G246900 transcript:ONI24557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRILRNPIAFVWWAVLVCCFLIVTISMLRLPEIPLGLQYPTTKTSKQTSENDKFSIGRFGKMMLEMLPEDLAFTVFVPSEEAFERDLRLSPNESLVGEKMNDDTYAIMSRILGFSAVPRRLASVNVPIDKELSYDSISGFVLYISKEEDGALTVNRVRSRRVDLRKKGSVVHIMDGVIMDAEFQQSVQPEEQD >ONI21622 pep chromosome:Prunus_persica_NCBIv2:G2:11598628:11599809:-1 gene:PRUPE_2G076100 transcript:ONI21622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMERKGFTSSTSTTVIVEAPGERAEQALKQDGSPNEAVKLLKEKHEKEFGGSGKRTEQELPQNKKSEAANTNGTNDKPVSIHRNPVKKATNSHHVGVFECNNRAQGTGCDIEDNEVNAEDSEFVGVFNCGNEPKKPN >ONI21621 pep chromosome:Prunus_persica_NCBIv2:G2:11598458:11599523:-1 gene:PRUPE_2G076100 transcript:ONI21621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGFTSSTSTTVIVEAPGERAEQALKQDGSPNEAVKLLKEKHEKEFGGSGKRTEQELPQNKKSEAANTNGTNDKPVSIHRNPVKKATNSHHVGVFECNNRAQGTGCDIEDNEELAKRLVCFFVSVIPLAVKTHTPTD >ONI24066 pep chromosome:Prunus_persica_NCBIv2:G2:24974995:24975525:1 gene:PRUPE_2G222000 transcript:ONI24066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMSILTVFAIFFIISMPINAMQPNNYGFQGSKTNCTYSIEIETTCAQSAGTTDHVSVRFGDSEGNLIIVKHLNNPKLLYAPKGGLRRRGYGGFGRCAKDMFEASGPCMSQWVCSLYLKKVGSDDWRPGRVKVLHQQDGSRVVPVSYVFYFRTFVPENVWYGFNYC >ONI24709 pep chromosome:Prunus_persica_NCBIv2:G2:26865400:26867788:-1 gene:PRUPE_2G256900 transcript:ONI24709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYDQGSNEVALTLDSTRKRKTRSRRDGTSVAETLEKWKEYNKQLESDNNEGKTRKVPAKGSKKGCMKGKGGPDNSRCNYRGVRQRTWGKWVAEIREPNRGSRLWLGTFPTALDAALAYDEAAKAMYGPAARLNLPNVANYSSWKESSQETSSATTPSGSSAVATPGCSGSTSSSNHSEVCADEDSKGFLDVKTENGEGESAIHAWSNAVNQALVKEETKDEFTEGYFHNDQPAVKPEAYVGDFNWAGGQYTGDYLENFTEEEVFDVDELLSPLDDTPVRNPEPEQSLGSDVGQPGCSGMHPYECDRPSNLSYQLQFPDAKLLGSLNHMEQGPSGGEYNFDFLKRDDVTGEDDQGYYNLGLSDLGLGDFTGGTMQPEDGSYNFSMDM >ONI23560 pep chromosome:Prunus_persica_NCBIv2:G2:23298646:23302811:1 gene:PRUPE_2G195100 transcript:ONI23560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLFRSKSCHLVGLTEFNIAPPSPFSHHHNNKDVDGEDEEEEEEEEEEMEELEYEDLGYEDEVQNNPMSAPFLYPTSRAHGSNKGRDFHAHNGHQQQNQFAVLDILVAALRKSLITCSVERDDVASSIDISSPTEVRHVSHVTFDRFNGFLGLPTELEPEVPRKAPSASASVFGVSAKSMQCSYDNKGNSVPTILLMMQKRLYSGGGLKAEGIFRINAENGQEERVRDQLNKGVVPHGIDVHCLAGLIKAWFRELPSRVLDPLTPEQVLRCNTEDDCTRLVKTLPPTEASLLDWAINLMADVAQNEQHNKMNARNIAMVFAPNMTEMADPLTALIHAVQVMNFLKTLILKILREREESAAEATLHSPSLKSPNHNSDTVYSFMGESFGQNLNSCAPKGPVDDISFRTTSLDSPKADIDEKLWCSPVLSDGEDELESNSHSSMSTKYELDCSENGCRSGYEAGDWLSLRKGVRKLCSHPVFQLSKPAKKTENLGIVNTREREF >ONI20850 pep chromosome:Prunus_persica_NCBIv2:G2:4003954:4006013:1 gene:PRUPE_2G037300 transcript:ONI20850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFNSRTLTTEHLGISLLSKLRLRTTGYLAIIPDDHPILFTKRSIACISFFSETIIHRGFFLKAERKSSSDQPHVLRTSRLLLHTNLFLPHNAHMAFTEVDFSRLNPISLTSLTS >ONI22715 pep chromosome:Prunus_persica_NCBIv2:G2:20297644:20301214:1 gene:PRUPE_2G146600 transcript:ONI22715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATNPSGSGGGGGGSSSSGGGGGGGPCGACKFLRRKCVLGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVSLQAELSYLQAHLATLELPSPPPPPPPPSIITPPPLSISDLPLAASSMPATYDLSSLFEPMVIQQPWVVQHRALHDPRHHQFGGGSSGSGSSSTGGGGGGGGDLQSLARELLHRHGQSLSPGSLPCSEASPSQSPSK >ONI22233 pep chromosome:Prunus_persica_NCBIv2:G2:17408511:17412014:-1 gene:PRUPE_2G116000 transcript:ONI22233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKLISVLFVSYFCILHVFILHSCLALRLSGNETDRLALLEIKASITNDPLGALTSWNETNHFCNWRGVTCGRRHKRVTILDLEFLKLSGSLSPHVGNMSFLRGIYLNNNNLSHRIPPEIGRLSRLQDIVWENNSLSGEIPSNLSHCSRLFRIAFAGNFLEGRLPAELGTLSKLRTISVHYNKLTGSIPYTFANLSSLELVTAASNNFYGSIPDIFGRLTNFKQLGLGSNNLSGVIPPSLLNLSSISALAVSENKIQGTLPRNLGIVFPSLEHLNVGNNQFSGTIPVSLSNASNLNYLGLQVSNFVGQVPSLKNLKHLNTLNLAYNNLGSGEIGGDLGFLCDLTNATRLQRLLIDTNNFGGMLPQCIANLSSSLFLFHVSTNKITGSIPNAIGNLHNLESVWMSENRFSGHIPLDIGKLQNLYEIDIASNSLSGNIPSTFGNLSQLGELHLYSNNLQANIPSSFVGCHNLKLLFLEDNNLSGIIPPQIIAHSSYLGLDLSQNRLTGSLPVEIGNLINLEYLNVSQNMLSGDIPASLSSCIMIEYLDLQGNFFQGTIPSSLGSLRGIKALDLSGNNLSGMIPEFLEHFEVLQLLNLSDNNFEGMVPMKGVFKNATATSVRGNSKLCGGIPEFQLPKCKLQHSNKRGLSPTMKLKISLVCAVLGVTFTLAFLYFRYSRRAKKDPTSSDSEKFITVSYQSLLKSTDGFSSANLIGMGSFGSVYKGVLERAETTIAIKVLNLVHRGAYKSFTAECEALKNIRHRNLVKVLSACSGSDYQGNDFKALIYEFMVNGSLDEWLHPTQKIGEINERPKSLTFCERLNIVIDVAMALDYLHHHCETPIVHCDLKPSNILLDEDMVGHVGDFGLARFLIKPFENSSGYQSSSIGVKGTIGYTPPEYGMGHEVWTQGDVYSYGILLLEMFTGKRPTDDMFQGTSNLHGFVKEALPEQVIEIVDPVLVQEKVDREMSSANNRLNEDSKSAHIRIEESWISVLEIGVACSAELPRERLDITDAMAEMCRIRNKLRANKICQ >ONI25312 pep chromosome:Prunus_persica_NCBIv2:G2:28653477:28654461:1 gene:PRUPE_2G295400 transcript:ONI25312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEPHEHDDLELTIETSQGIASIQYQISTLTMLIWLIRSMRSLWKPPPALVYKICILQNSLKKQLSHISACDSKTHPLFGGNMIYTDVHIYIYIYIYIHMVP >ONI20749 pep chromosome:Prunus_persica_NCBIv2:G2:3432594:3435518:-1 gene:PRUPE_2G031600 transcript:ONI20749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETLEEWLSAKVASDGLVTNSIKNPSVYGWNNFKVKLEKQFSATLGRSSYMSMCYKVDDIGVNGNDKWSTVGIVSSMNEVFEHHLVRTLQEKRMGCYYAVFVRQFGLLFFIAGYLDIFCYIKSKPLNVL >ONI22511 pep chromosome:Prunus_persica_NCBIv2:G2:19210902:19211563:-1 gene:PRUPE_2G134100 transcript:ONI22511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQPRGRGRCGRRGRGAVRGRGARGKGAATGNGDVDTTSVNASGTTASGTTTVRGVKRGRGVVSEQQQTQARPKFNVKRGAQAPYVIRKTNLFADSQAGQSSQAPSGPAPSSQAPSGPAPSKQAPSQSVPHSQGPSQPAPRSQAPPQPAQSSQAHQGSSSQPQPMVTSPKRPRLKSPAKRIRPWRV >ONI22906 pep chromosome:Prunus_persica_NCBIv2:G2:21134227:21135502:1 gene:PRUPE_2G158200 transcript:ONI22906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNLLTKLITSEIGSKKASCFALHKMKVSTRQTNTMGLSQAGIHTAPFSQTQIKKRSKLNSIEANPKKLFQNQILYEAKGHKSFKLRVYVMLRSTNLQKVKDIKLNFTLCTHEPAPVHNFKPS >ONI25675 pep chromosome:Prunus_persica_NCBIv2:G2:29564501:29566513:-1 gene:PRUPE_2G314200 transcript:ONI25675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLPNFASSGCCVLLLLVSIFIQGGVQGSIGVNYGTVANDLPPPTHVAHFLLESTIINRVRLFDANPDILQAFAHTGIAVTITVPNDQIPHLTKLSFAQQWLKSNVQPYVQATNIVRILIGNEVLSTTNKLFIAGLVPAMQTLHAALVDASLDRKIKVSTPHSLGILSSSSPPSSGKFRQGYDVHVLKPLLSFLKATNSPFMVNPYPYFGFSADTLDYALFRPNSGVFDDKTKLLYTNMLDAQLDAVFSAMKLLGFVDLEIVIAETGWPSECDPAQVGVDPQSAADYNGNLIRHVTSGNGTPLMPNRTFETYIFALFNENLKPGPTCERNFGLFRPDMTPVYNVGILRHTASSSTPLNPGPGPGPVPVPGLAPASPSPPHGEKLWCLPKRGADAEALQRNIDYVCGLGLNCGPIKQGGPCFMPNTVRAHAAYAMNRYFQAMGRNGYDCEFEGTGATTAVDPSYGKCKY >ONI21226 pep chromosome:Prunus_persica_NCBIv2:G2:6279090:6292484:1 gene:PRUPE_2G053800 transcript:ONI21226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEALISATIQTLCDKIASPEFTDLFRQKKLDEQLLNKLKTTLLTLSVVLNDAEEKQIEEPLVRDWLDNLRHNVLAAEDLLDEIDTEALRCKLEEGEGQTHNLTKKVRDFYQRMNVEMKDLLERLEQFVQEKSALGLREGAGRKVSRRTTTSLVHEPCVYGRDEVKENLLPILLSDDASKDDVSVLTIVGMGGIGKTTLARLLYNDDEVKEHFPLHAWVCVTEDYDSNRITKTLLESVTSKSSNMTDLNLLQVELKEQLKGKKFLFVLDDLWNEKYGDWKRLQTPFTSGARGSKVIVTTRSQHVVSVLQSVHVHHLEPLSHEDCWFLLAKHAFGNENCSDPNLEEIGKKIAHKFNGLPLAAETLGGLLRCNIDSEEWNTILNSSIWELPYDKCDILPALGLSYHYLSSQLKRCFVYCSIFPKDYEFKKEDIVQFWMAEGLIPKAENGKSIEAVARKYFDELLARSLFQKSSKSGFTMHDLINDLAMFMCKAFCLRLEGGESHVVEKVRHFSYAMERFDAAPKFEPLHRAKFMRTFLPISLNFVSTSYVTKKVLQDLLPSLRCLRVLSLSHYQNVTVLPDSIANLIHLRYLDLSGTAIERLPGVLCNLYNLQTLLLSKCFSLLELPADIRKLTNLQKLTLLGCSSLNKLPAGMKELTNLHHLDVSGTKIEEMPVQMGRLKSLRTLTAFVVGKSTGLGIRELRQFPQLRGKLSILKLQNVVDARDALHANMKHKKDLKELKFSWGAEDADDSQKEKDVLDKLQPCVNLEKLSIKFYGGTNFPNWLGDSSFSNIQVMHLSDCSYCWLLPPVGRLPALKELCIKRMKSLRTIGVEFYGRNGAYLTQPFRSLEKLEFRGMPEWEEWVPSGSASGGEYGPDFPRLQKLILNECPKLRGSLPCELPCLKKLTVYGCKVLHDGRTATATTNSVNYKSLEELDISGGCQTLLSLLETKLLSRLRIRNVVDIQCLPNCNRLQRLTLCLTLSSFPKDGLPTTLTSLYINNCRKLEFLPHEMLAKLTSLDYLCVQNSCDSMRSFPLGIFPKLTTLQIRGCENLESFSLIEEEGAVDNLSHLNSLRVYNCPKMVCFHEGELPTPNLSHFVVIGCENLKSLPERLHTLTALRSLNIWNLPNLESFAEDGGLPPNLRSFIIRNCKRLRALDSVGLQALVYLQIDGSDHVLETLLLPTTLHTLCISDLSTLKSLDGKGLGHLTSLQTLKIYSCPSLQCLPEEGLPPSLSHLSIRCCPTLEERYKNKTGQDWAKISHIPCIEIGEEVII >ONI20805 pep chromosome:Prunus_persica_NCBIv2:G2:3693193:3697569:-1 gene:PRUPE_2G034400 transcript:ONI20805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIGKTTLAQLVYNDDRVDEHFDLRIWMFVSDHFDAVRVTKTILESVTTGKVDLDDLNLLQVCLKEKLAGKRFLLVLDDVWNKRNNDWDLLWIPLKSGKSGSKIIVTTQNSDVASSMGTVRAHNLRGLSFEDCWLLFRSQAFENRIVDVNFEAIGKEIVKRCDGLPLAVKRFGILLHTRMEEDEWKDILSRKIWDLPDDESNILQTLRLSYNHLPAHLKPCFAYCSLFPMEFEFDRDSVVLLWMAEGFLEQPKGNKKLEAVGCEYFQELVSRSFFQQSIHDTSRFIMHNLMKELAQFVYGEFCFRLEDKMKDSEVFEKARHSSYIRHQREVTTRFESFSRLEYLRTFLSFDPTDGIGVSYLANKVPCDLLPRLRYLRVLSFNACRITDLPDTIGNLKHLRYLDLSRTAIERLPDSISLLCNLQTLLLVECRSLTKLPEEMGNLTSLRHLHITGSRLKEMPPRMCRLKNLQTLSKFMVCRDGGWGIRDLRDMLQLKGSLLISGLQNVVNFVDAMEANMKGKEELDHLVFQWSDSFDYSLTHTDEEETPNMPQLHNNPEDLSIRGQSVGRFPSFKETMDAYNQEAIELRLRQRNLDDSRNERVEMVVLEMLQPHKNIKGVTIKDYGGTRFPGWIESPLFSNIVVLKLSDCKKCMQLPALGQLPSLKDLIVEGMEGIKSIGPEFYGDCNSPILPFPSLETLKFDNMINWEDWSSSGVEGREDFCHLQKIEILNCPKLRKFSQHFSALREMKIKCCEELIALPNLSRAYDSLEQGTEFPYLRELSIWTCPNLKELPSLFPSLQVLEINECQELAELPKLPSIRELEFEKCNKGVLQNIVGLTSVTYLHLNQIPQIPCLPEGFLQHLTALEELQIAHFSEITTLSNEIGFPNLLHLKRLEILGCPFLHELPQCLHKLSSLKEFKVSRCSSLVSFPGTGLPSMLRGLEIKGCEALQFLPEWKMQNSNKLLFPLEYLVIEDCSSLKSFPRGELPSTLKQLEIRNCINLECLPKDMIHNNTCLEILKISGCHSVTSFPKGTFGLPAVTSAMVMNLKQLVINNCDNFRLLPEGLHNLMHLHHLEVTECPLLQSIAEFGLPNSMLQSIKISGCGSLKSLPNRMHSLTSLQELCIESCSNLVSFPEGGLPTNLSSLSILDCENFKPSFEWGLHRLTCLNSLAFGGCQGLVSFPEDWLLPTSLSSLQLQRLPNLEFLPKRLNLTSLDNLEMSECDKLQTLSEEEQPKMLQNFEILGCPLTSGFV >ONI23256 pep chromosome:Prunus_persica_NCBIv2:G2:22259561:22261720:1 gene:PRUPE_2G177800 transcript:ONI23256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHQEPNYPPPLTPSSLISLPFLLPPQNPLFTPSSASTAPSSSSQLISPPLLEPQQHQVLPDIDWVSLLSGSPAAVFDGQEINNYKPLVENNNVGASAENINEADREGKGANSKRKGGGRMRKPASRPRFAFQTRSADDILDDGYRWRKYGQKAVKNNLYPRSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGTHNHPCEKLMETLTPLLKQMQFLSRF >ONI22395 pep chromosome:Prunus_persica_NCBIv2:G2:18366911:18371938:1 gene:PRUPE_2G126400 transcript:ONI22395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRAQQTSSNSRYSRYHVFLSFRGEDTRKNFTDHLCRAFVNAGFRTFRDNDELERGEDIKPELRKAIKQSRTSVIVFSKDYASSPWCLDELLMILERKRISADHVILPVFYDVDPSHVRKQTGSLAKAFARHQKTQPLQKVTAWREALAEVACLAGMVLQNQADGYESKFIEKIIKVIGDKLSRTPLSVGPNMIGMQSRVENINLWLQQGSTDVGILVIYGISGIGKTTIAKYVYNSNFRRFKGSSFLENIKEISQQPNGLVQIQTQLLYDILNGRKVKIHSVSQGVTEIEDAISSKRVLLVLDDVDHEDQLDALLRMKDQFCPGSKIIITTRRARLKTHLVTEVHAVESLDQNESLELFSWHAFGQNHPVEDHIEYSKKIVDHCGGLPLALKVLGSSLLGESIDVWKSAFEKLEAIPNGEIINKLRVSYDSLQDDNDQNVFLHISCFFIGKDKDYIVKILDGCEFFTNVAIQNLIDRCLVTIDSCDKVQMHDLIRGMGREIVRLESKEPWKRSRVWRNKDSFKILTEKNGTGTIEGLVLDMHMHPTNSPIYSNEKVLETNAFGRMRELKLLHLSHVQLDGCYAEFCTELRWLCWVKFPLDSIPSDFPLGSLIVLEMQYSNLRQVCQGTKCLPLLKILDLRHCDSLTNATDFSCCPSLEKLILLDCESLVEVNESIGNLERLVYLSLGDCKNLKMLPKNIPMLKLLETLIVSGCTNLNELSLEMLSNMESLRVLETDGIPIGDELWPGRSSRILSSLPCSLVYLSLQDCNLSDDSFPRDFNNLSSLQRLNLGNNPIRSLPNCIQGLRGLSELSFWECRRLKSLVGLPRVGNLNVECCVLLKKIAYQSGQFRKHGTKCFYNDNLVEWENNYKLEPIGRVDVEIINLLGLCKLESMAPIRIRKPYQSQIDDDRCPVQGLYERGIFSTFFAGNEVPGQFSHKSTKSSISFVVPLLPNHRIGGLKVFAVYTKQANDSPWALPGPLITRVRNKSKGLKWIYAPSHCGIPAEGEDMIWLSHWKLEEEVHLDGGDEVLVSVIMEPWLQVKEFGIQLLQPLQEESHNNMTSAQHKTIDPYYAACATSGDSDEYKPGVYLLSRRSVPIKDPIWFNKILGDSDEEDKEEEQQDGHTIAAAKTDGNINSRGLLCGWKVLITAVCFFLTLLLSLLFFTFSQKEGIV >ONI22769 pep chromosome:Prunus_persica_NCBIv2:G2:20523410:20525829:1 gene:PRUPE_2G149900 transcript:ONI22769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGNHSELPQVLVLLPPGCFTLLESNYSHKFKFLKAWDSPLPQDQFLATHAGSVQALLSSVKGPTITANILQMLPSLKVIVTTGAGIDHLDLAECRSRGVAIASTPKIFTEDVADMAVGLLLDVMRKISAGDRYVRDGLWATRGDYALGSKIGGNRVGIVGLGNIGVEVAKRLEAFGCNILYNSRTEKPFVSYPFYSDICELAANSDALVICCALTAETHHLINKKVSLKLGRDGVIVNVGRGAIIDEKEMVRCLVKGEIGGAGLDVFEDEPEVPEELFALDNVVLSPHYATYTPECFMALCELVAGNFEAFFSNKPLLSPAVDN >ONI22770 pep chromosome:Prunus_persica_NCBIv2:G2:20523410:20525862:1 gene:PRUPE_2G149900 transcript:ONI22770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGNHSELPQVLVLLPPGCFTLLESNYSHKFKFLKAWDSPLPQDQFLATHAGSVQALLSSVKGPTITANILQMLPSLKVIVTTGAGIDHLDLAECRSRGVAIASTPKIFTEDVADMAVGLLLDVMRKISAGDRYVRDGLWATRGDYALGSKIGGNRVGIVGLGNIGVEVAKRLEAFGCNILYNSRTEKPFVSYPFYSDICELAANSDALVICCALTAETHHLINKKVSLKLGRDGVIVNVGRGAIIDEKEMVRCLVKGEIGGAGLDVFEDEPEVPEELFALDNVVLSPHYATYTPECFMALCELVAGNFEAFFSNKPLLSPAVDN >ONI22350 pep chromosome:Prunus_persica_NCBIv2:G2:17986139:17986964:-1 gene:PRUPE_2G123500 transcript:ONI22350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLISTFNHGLVGKLFSESFTKLNSGKAAAVGLGKQDKAGYSTQAKQESHFYDGAFVDAFLMKMKNNKDLLMNKKIWSRRSTILPEFVGSTVRIYNGKVHFRCKITEEKVGHKFGEFAMTRKRRVHAKTTGPARPTKPGKKAGKK >ONI22351 pep chromosome:Prunus_persica_NCBIv2:G2:17986139:17986966:-1 gene:PRUPE_2G123500 transcript:ONI22351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLISTFNHGLVGKLFSESFTKLNSGKAAAVGLGKQDKAGYSTQAKQDRSHFYDGAFVDAFLMKMKNNKDLLMNKKIWSRRSTILPEFVGSTVRIYNGKVHFRCKITEEKVGHKFGEFAMTRKRRVHAKTTGPARPTKPGKKAGKK >ONI22277 pep chromosome:Prunus_persica_NCBIv2:G2:17648813:17650197:1 gene:PRUPE_2G118400 transcript:ONI22277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGMFSYTTYEDQQIEPHFLDACFLCRKPLGNNSDIFMYRGNTPFCSKDCRQEQIQVDEAKQKSWKVSSSSSSSRGALRKSDTNNNPTPDKSVRRTGTVAVA >ONI22276 pep chromosome:Prunus_persica_NCBIv2:G2:17648857:17649749:1 gene:PRUPE_2G118400 transcript:ONI22276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGMFSYTTYEDQQIEPHFLDACFLCRKPLGNNSDIFMYRGNTPFCSKDCRQEQIQVDEAKQKSWKVSSSSSSSRGALRKSDTNNNPTPDKSVRRTGTVAVA >ONI22228 pep chromosome:Prunus_persica_NCBIv2:G2:17346686:17347455:-1 gene:PRUPE_2G115600 transcript:ONI22228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSTFSLTSLPLFLFFFFFFTLKAITAKNLIPDTCKKCVQDDPNLSYKFCVTSLQAAPNSSSADVRQLGIISMNLIRQNMTSTRQYIKQLMKNRKLDKFLRAYLEVCLELYSDAIPDIKQALRYYKAKQYRDANVRVTGVYDAPVTCEDGFHERKGLVSPLTKRNNDAVQLSAIALSIIDMLGSLGFKLI >ONI23591 pep chromosome:Prunus_persica_NCBIv2:G2:23434135:23435144:1 gene:PRUPE_2G197100 transcript:ONI23591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWVGRYKDLVRPSEDHKEIRHRTSPNSLSVKVRMTTTQLKELMAQVDMMNMAKDRNSEELGRVILQECLEGRLTACIVAAAAEHHGDQVSKYARSNWMLSTICEGKEEQHEHSL >ONI22891 pep chromosome:Prunus_persica_NCBIv2:G2:21059991:21060521:-1 gene:PRUPE_2G157400 transcript:ONI22891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSRKLEIRVISAENLQLDRKPIKKNASATVRAEKDSQFRTTYMDTEGGAYPKWNEKLVLDLPLHARSFTVEIQCKTSYGVRTIGTAKIPVSDFVGGFVPEGYLHFLSYRLRDNRGERNGIVNISVRMQVPELKACATTSSHSSMGFPVGDSSFGGGGVATGVPVWYGAYQKNY >ONI25154 pep chromosome:Prunus_persica_NCBIv2:G2:28183282:28184148:-1 gene:PRUPE_2G284700 transcript:ONI25154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSATNPVKGNRWWLTAEDPSQYLAASINLLEALNNPSPHTYCHFSFAHPSHQDGSCNGLQHYAALGRDSVRGFVHVNVIAL >ONI22685 pep chromosome:Prunus_persica_NCBIv2:G2:20157822:20163475:-1 gene:PRUPE_2G144500 transcript:ONI22685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGVTMAWNVFKFCTALRGLGSVMILLVLGVVGVTYYTVVLTNYGPALYNGGLDSLIALVVLILFHCLLVMLLWSYFSVVLTDPGCVPPNWRPAVDEERGEADPLTGSDFSGLQTDPSNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSVVTLSLLPHFISFFSEGEIPGTPSTLATTFLAFVLNLAFALSVLGFLIMHISLVAANTTTIEAYEKKTSPKWRYDLGRKKNFEQVFGMDKRYWFIPAYSEEDLRRIPALQGLEYPSRPEFNSQEF >ONI23044 pep chromosome:Prunus_persica_NCBIv2:G2:21553604:21555387:1 gene:PRUPE_2G166600 transcript:ONI23044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQYSPTYHMSPKTQLSKLHCRVILFSTICLVTGAQQCHPSGRIKGRKAPAGQCNQENDSDCCVAGKMYPTYTCSPPFSEAGGDGGGPSECDNKYYNDNTPVVALSTGWYNNGGRCHNNITISTNGRSVVAMVVDECDSTEGCDADHDYQPPCPNNIVDASKAVWKALGVPEDNWGGLDITWSDA >ONI23841 pep chromosome:Prunus_persica_NCBIv2:G2:24371500:24376242:1 gene:PRUPE_2G211300 transcript:ONI23841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKPPEAAPPSSPPLKPLSLQDWESLIDDFQHGGARQHKWTSAHPIRLSLLDQALSSLARRDFPLKLHVITFLEEFCDPLFTTASSGTDIVSLRKVLHRLIETLRALIQTPPDGVHITFALKEQMMLSVTSIVVSLDDDDGVVPIATVEGLVELLLTVINRPNHGIDRQARALACECLRELEKSRPCLLSEIGGHLWSLSQNERTHAAQSYILLFTTVVHNIVVRNLGVSILNTTVPLVPFSAPQNGTGLGGLNHKELRRAMAFLLEWPHVLTPCAMVEFLALIMPIAAALDLQASVLKVQFFGMVYSSDPMLAHVVLTMYPRFWDAFDGQEGDIVSRLVLLSRESQHHLVFRLLAVHWLLGFGQLVLKREAKKVNTIVDMGSRFYPSVFDPLALKAMKLDLLAFCSVCADVLKSETVLVENGGVKDKLVVKLFEDGLVCVSAFKWLPPGSTETAVAFRTLHRFLIGASSHSDNDPSTTRSLMDSTTFSTIQGMLVDLMLECRRLVPVVVALTDRLLGCQKHRWLGERLLQTFDRHLLPKVKLDYNLVSFFPIFDRIAESDTIPPRGLLELLIKFMAFLVGKHGPYTGLRSWSQGSRVLGICRTLLMHHNSSRLFLRLSRLLAFTCLYFPDLEVRDNARIYLRILICVPGKKLRDMLNLGEQLGISPSSHSSFNVQAPRFSQSLKKSRNISSYVHFERVIPLLVKQSWSLSLSSLGVGSTEPGYIEGIRDIEPIIEDSEIGDGSNVEDSSNVQIIEEAPIIDRPQEPLRVTDSKISEILGTLRRHFSCIPDFRHMPGLKVRLSCSLRFESEPFSRIWGVDSPAGVSDELDALPALYATVLKFSSSASYGPIASYHIPFLLGEPPRKTDVSGQTASLAIVPVENGSGEEESFRAPVAIELEPREPTPGLIDVSIETNAENGQIISGQLHSITVGIEDMFLKSIVPPDIQEDATPVYYLDLFTALWEACGTANTARETFQLKGGKGVTAISGTRSVKLLEVPASSLIQATERYLAPFVVSVIGEPLVNIVKDAGIIRNVIWKDAASDSSLDITSSGTDFDRGPLHLTYTDDEDERDSPVNIRKRNMGCFLILIFLPPRFHLLFQMEVSDVSTLVRIRTDHWPCLAYTDDYLEALFLA >ONI25909 pep chromosome:Prunus_persica_NCBIv2:G2:30098048:30099914:1 gene:PRUPE_2G326100 transcript:ONI25909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFRTLIRSSKLGSAGTRNFSLVRSQISNHTAKWMQDTSKKSPMELINEVPPIKVEGRIVACEGDIDPALGHPIEFICLDLDEPAICKYCGLRYVQDHHHH >ONI20237 pep chromosome:Prunus_persica_NCBIv2:G2:442720:445432:-1 gene:PRUPE_2G004400 transcript:ONI20237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASFQYLNSCIQNQSYGILDFRAKKYSKIRSYCVRCKSSLDPTLDEEKDGKRKFKILIAGFEVEVFEKDLSLVRGEGQHRGPIQLMSSALAVLEAIDENVAKQIMAAGCVTGNRTNGFVDGVSGEWFTKFDLSSPAVSRGLPITQVICRMELQDILVNAVGLDIVRNNSEVVDFIEEPSKVTVILEDGRQYHGDVLVGADGIWSNVRKKLFGRREAKYSNDTCYSGITKLIPPYIASVGYRVFLGLNQYFAALDIGNGNMQWFAFHKQPPMSTDPPGGKKKLLEEKFGKWCDEVIALIQETPESMILQREIYDRDMICSWGIGRVALLGDAAHPLQPNLGQGGCMAIEVSGLLSTFYRFHIRKTEGVVSHELPCCRVYVQDCYQLIDELDQVPNTGTDAQISDAICLALRQYAKKRIRRVGIVHAATRMASKMLAMYQPCTQLKTGTLAHLSSLQITYPAFRMGQAFLQFLLPKFMTWMIAGHGLSLKSQREREREAKQT >ONI20238 pep chromosome:Prunus_persica_NCBIv2:G2:442590:445449:-1 gene:PRUPE_2G004400 transcript:ONI20238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALAVLEAIDENVAKQIMAAGCVTGNRTNGFVDGVSGEWFTKFDLSSPAVSRGLPITQVICRMELQDILVNAVGLDIVRNNSEVVDFIEEPSKVTVILEDGRQYHGDVLVGADGIWSNVRKKLFGRREAKYSNDTCYSGITKLIPPYIASVGYRVFLGLNQYFAALDIGNGNMQWFAFHKQPPMSTDPPGGKKKLLEEKFGKWCDEVIALIQETPESMILQREIYDRDMICSWGIGRVALLGDAAHPLQPNLGQGGCMAIEDCYQLIDELDQVPNTGTDAQISDAICLALRQYAKKRIRRVGIVHAATRMASKMLAMYQPCTQLKTGTLAHLSSLQITYPAFRMGQAFLQFLLPKFMTWMIAGHGLSLKSQREREREAKQT >ONI20927 pep chromosome:Prunus_persica_NCBIv2:G2:4540092:4542639:1 gene:PRUPE_2G041400 transcript:ONI20927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKKIRIGKTANRPKCLPKFDFILITHNKFHQRTKDIRQSLSTTQESHPLSWTPYLLHMADAHQFCSIGNLQSHLTPSLFFLLWVSHKKPNELIINRDTKFAQIQMRKFQIFVS >ONI23191 pep chromosome:Prunus_persica_NCBIv2:G2:22021910:22022464:-1 gene:PRUPE_2G174100 transcript:ONI23191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVGYTEVFFPNIFLHTLSLLGFIRNLIFSLFYFLGLSEFLETDVVWPETRTRMPENPPVSALLIREFLPVIKFRDLAGDRPENCAVCLYEFEVEEEIRCLTNCKHIFHRACLDRWMDHDQKTCPLCRTPFVPDEMSDEFNQRLWAASEIHHEFYSEYSSV >ONI20970 pep chromosome:Prunus_persica_NCBIv2:G2:4777900:4781154:1 gene:PRUPE_2G043500 transcript:ONI20970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLFGMYQVNVPIISFSVTSPSLYSLRSSYFFQFAQNDSSQVKALSVFVKALSVFVKAFGWRQLVPVYIDNEFGEGVIPFLTDSLEEVDARVPYRSAISPSATDGQILKELYNLMTMQTRVFIVHMRTDLSSKLFAKAREIGMMTEGYVWLTTNGIPNALRLSKFFSHQFHARCFGIQTYVPQTVKLVEFTPRWKQQFQQDNPTIIYASIDVFGLWAYDSAFALAMAIEEVGTATFGFQKTNASFNSVVLESLEVISRGIGFWTPQNGRVKKLGSSANSSIFSTPRRKLGLGPIIWPGDSLSVPKGWENPTNGKKLRVGVPMKDGFTELDKVTKDPSTNMTDVTGFGIDVFKAVVEMLLYALPNEFIPFAKSDRTSGGTYNDLVNQIYLRNFDAVVGDTTIRGNRSLCVDFTMPYTESSVVMVVPVIDMRNQNAWVFLKPLTWDLWLTTCCFFFFIGFVVWILEHRINEDFRGTLSHQVGTSVWFSFSTMVFVMLILTQSYTASLASLLTVQQLQPTVSNIKDNTYINELWKQLGFDDSKIKMFRSFEECDELLSKGSANGGIAAAVDETPSMKLFLAKYLHTAFPKRSPLILDLSQAVLNVTQGEVIMNIENKRYSVEKNCIDNSNPKVARYSLGLASFLGLFLIARVASILALIICVASFLHKYRHILMHPNDSRASG >ONI22286 pep chromosome:Prunus_persica_NCBIv2:G2:17697788:17698137:1 gene:PRUPE_2G118800 transcript:ONI22286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKRFKDSVLLLAMVLKNLFFTCPLSFFWIQSKSTCSSKEGIDGFLAFDCLKYSHAVALLGRKYDVQFMFETFCIWNSIWYV >ONI20654 pep chromosome:Prunus_persica_NCBIv2:G2:2887990:2888436:1 gene:PRUPE_2G027800 transcript:ONI20654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKCPSKAGLQLRKRCPLNQEAKIWTTDCLLKYPNENFFGKIDMDNRVYLINPDFYENTQFLSYARDLFTQLCLKASSGPLYAQGKQKNLNGQTFFGSVEGTKDLSGTHCKSCLDVATNEFLSRVHEIRGGRAIFGNCYIRLKLYRYF >ONI23199 pep chromosome:Prunus_persica_NCBIv2:G2:22051358:22055301:1 gene:PRUPE_2G174600 transcript:ONI23199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVRLSILKSLCKSTSCSYAKFGSYQYIRPAYAFVNRSYYSKETQSLKTFAKMHSRALGSESLANIQSYRTKPISAFSPTLSNSHIRSATPLFLVNPILNSRSYSSSVGSNADKAGQPGLGVPAASGGSEVDIGNSGIVGSDWVAKVKDAWQSAVDAATYSGQKAKETSVELSPYVQQLLDSYPHLRNVVVPVGCTLAGTIFAWLVMPRLFRRCHKYASQGRTALLSGRLSEEPVPYEKSFWGALEDPVRYLVTFMAFSQIGMLVAPTTIASQYLAPAWRGAVILSFVWFLHRWKTNVFTRVLATQSLPGLDRDKLLAIDNISSIGLFVIGIMALAEACGVAVQSILTVGGIGGVATAFAARDVLGNVLSGFSMQFSKPFSLGDTIKAGAIEGQVVEMGLTTTSLLNAEKFPVIVPNSLFSSQVIVNKSRAQWRAIVTKIPLQINDLDKIPQISNDIKSMLRSHSKVFLGKEAPYCFLSRLESSFAELTLGCNLKHMSKGELYSTEEEIILRSAQIIKEHGARLGSTFQDMTTQ >ONI23200 pep chromosome:Prunus_persica_NCBIv2:G2:22050857:22055301:1 gene:PRUPE_2G174600 transcript:ONI23200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVRLSILKSLCKSTSCSYAKFGSYQYIRPAYAFVNRSYYSKETQSLKTFAKMHSRALGSESLANIQSYRTKPISAFSPTLSNSHIRSATPLFLVNPILNSRSYSSSVGSNADKAGQPGLGVPAASGGSEVDIGNSGIVGSDWVAKVKDAWQSAVDAATYSGQKAKETSVELSPYVQQLLDSYPHLRNVVVPVGCTLAGTIFAWLVMPRLFRRCHKYASQGRTALLSGRLSEEPVPYEKSFWGALEDPVRYLVTFMAFSQIGMLVAPTTIASQYLAPAWRGAVILSFVWFLHRWKTNVFTRVLATQSLPGLDRDKLLAIDNISSIGLFVIGIMALAEACGVAVQSILTVGGIGGVATAFAARDVLGNVLSGFSMQFSKPFSLGDTIKAGAIEGQVVEMGLTTTSLLNAEKFPVIVPNSLFSSQVIVNKSRAQWRAIVTKIPLQINDLDKIPQISNDIKSMLRSHSKVFLGKEAPYCFLSRLESSFAELTLGCNLKHMSKGELYSTEEEIILRSAQIIKEHGARLGSTFQDMTTQ >ONI24734 pep chromosome:Prunus_persica_NCBIv2:G2:26957959:26959754:-1 gene:PRUPE_2G259100 transcript:ONI24734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTDNGNHKEEIEESNGSEVKAQIPFDVSISQKTLLSNVKSPKRFPDAVPNRIRFLKFGSASARFKRIAEERDEISRSVVSIGHGFKERINGVFSRKIDWVSLMKMSKQWIRNPMNMALFVWITCVAVSGAILFLVMTGMLNKAIPKKSQRNAWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWKPEDISRLRKIYCKNGTYKPHEWAHMMVVVGLLHLNCFGQYALCGLNLGYRRSQRPPIGVGICITFAIGAPAFAGIYNIISPLGKDYDSELVDEEAQVRIGTDEGQERLRLKSFERKYSFAARTEQRVVENRPQWSGGLLDIWDDISLAYLSLFCGFCVFGWNMERLGFGNMYVHIMTFVLFCMAPFWIFNLAAVNIDNETVREALGVTGLVLCVFGLLYGGFWRIQMRKRFSLPSYNFCFGEPALADCTLWLCCCWCSLAQEVRTGNSYDIVEEKFYRKQTDSGSQLPISPLAREDGVVEFRSAPNSPLGSYSSPTKFSVGGSPSPSRLSKGFYSPDRPLSTVKEESYVRGKDETMTPPAPSLIEREGTEPHK >ONI22474 pep chromosome:Prunus_persica_NCBIv2:G2:19000832:19001875:1 gene:PRUPE_2G131800 transcript:ONI22474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTMHRKRMMLVALPSLVIPLLLFIIFFNVQVIRSPSIPSSKTTILQTQFSLLIGILTRADNYDRRHFLRLIYGIQSSPIAKIDVKFIFCNLTKPEQRILISLEILRFNDIIILNCTENMNNGKTYTYFSSLPGILPRKYDYVMKADDDVFIRLKPLALSLKPLPRVDMYYGFVIPCASMNPFVEYMSGMGFLLSWDLVEWIGESDIPRKEMFGPEDKMVGKWLKMGRKARNRFSNKPAMYDYPGTNGKCSHELIPETVAVHRLKRWDRWLHVLEFFNVTKQVL >ONI21230 pep chromosome:Prunus_persica_NCBIv2:G2:6334818:6339771:1 gene:PRUPE_2G054200 transcript:ONI21230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTISKSFHTCLQTCISKFCKPWNITSSKSPSKAGKMAAALIGEALISASIELLCDRVTSAEFIDLFQQKKLDETLLMNLKTTLLILFAVLNDSEEKQIVNPAVREWLNSSSMLSLMQRTYLMRLTLKLCDASWKEKEYEAFCRNCGSVFLINLTFQLWWPFSHFSFGGRMRERPAKTWPTGIAVAREEREREIFIKPFLPKLRLCN >ONI24019 pep chromosome:Prunus_persica_NCBIv2:G2:24824288:24827114:1 gene:PRUPE_2G219400 transcript:ONI24019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTVLAVNLFDHFHYYKPRTSTIKTQWRPSATKSMATLSPRFLFSKGVVSQPANTPPVTSFLETHPGAYTTSRTHKDASFVLFWERHLKRLVDSVAILYNSNPQLLFGPNKTTSLSLPSLSSDSPLLQSEVRELVNRSMKRVLPIALNERSEGEELSITALVSGNLEKLSENESEGLDHERFGNGVFDVSIYIGTYVPTVFGIEGNGAHLAVVGRGRDDASAKYSDWVRIRKSLERLRPPNGTELLLSNDGDRILEGTVSNFFVVCRKDNDEAKGQSVHCFEVQTAPIIDHVLPGIIRQLVIEVCLSKGIPFREVAPSWSESEFWAEAFITSSLRLLQHAETISFPSSWESLNSKSWGEISWKDKHFEEGPGMVTTIIQKEVMEKAASEGYFLS >ONI22388 pep chromosome:Prunus_persica_NCBIv2:G2:18229988:18233615:1 gene:PRUPE_2G125700 transcript:ONI22388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPGQLPIHPQIQMPPTTLIPIPGSEPAQSAALKRRREDEYPPVLAGPEPQEPGAKRRAKAQDVIFRIVVPSRQIGKVIGKEGCRIQKIREETKANIKIADAIARHEERVIIISSKDSDNASTDAEKALQQIAGLILKEDDSGAEAAKVGAGHVAANTIRLLIAGSQAGSLIGMSGQNIEKLRNSSGATITILAPNQLPLCASAHESDRVVQVSGDVPAVLKALEEIGDQLRENPPRQVISISPAYNYTTIRPPQVQPYMDPTSAEYITFEMVISETLVGGLIGRCGSNIQRIRNESGAMIKVYGGKGAQKHRQIQFCGSAQQVALAKQRVDEYIYSQLIQQAGAQQPALQ >ONI21758 pep chromosome:Prunus_persica_NCBIv2:G2:13736857:13737666:-1 gene:PRUPE_2G086800 transcript:ONI21758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNMYPLLVILLLASTSRMIFTTFAIPTFETSNSKILDECTKHISINCAREVGESIFEGGTVTDDCCHKLVSVGKNCHALFFNSALASIPNVDKSRALAKSTQVWNRCVGIALSPASSITIPNSKTSKSKIVDECKKHISTKCAREVGGSIFESGSVTYGCCYELVSAGKTCHDLFFNSVLASKPIVDKSRALVESTQVWDQCVEITISPAPSIAIPTPKALNSETLVECKHISINCAWEVGGSIFEGGMVTGDCCDDLLFEGKNMP >ONI21918 pep chromosome:Prunus_persica_NCBIv2:G2:15403839:15406088:-1 gene:PRUPE_2G098500 transcript:ONI21918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSPICSYLPKGSKEILDLSYNHLYGELPLSLPSSKIRKVDLSSNHFFGAIPSSFFQQASNLISFNVSNNTFTGYVPSSICLHYSPFVRLLDFSSNQFSGNLALGLGECSELQVFRAGHNNLSGLLPEDIYNATKLKEIALPINSLRGAISDKIVNLTNLKILDLNLNQLSGKLPLNLGKLSKLKFLTVDFNNLEGTIPTSLMNCTNLVELCLGINNFEGDISMLNFSRFSQLTKLDLRYNNFTGMFPVSLYSCRYLKAIALTGNHIEGQIQIEILSLKSLSFLTLGSNRFTNLTGTMKILMSCKSLQTLSLVGSFVGEGMPFDDDMVDFDGFQNLRALNMAGTNLTGEIPVWLSKLKNLEILILAFNQITGPIPSWLGNLPRLFFINLSYNRISGEFPKQLCRLPRLVYEPIASQVDQYEFELPVYSSLTTNRNFQPYKFSLFPTMIDLSNNNIVGDIPTEIGQLHLLRQLALYSNNFSGVIPDQISNLQNLEVLDLSMNHLSGRIPLSMASLTFLKKFNVSYNNLGGPIPTSTQIQTFNTSAFEGNPKLCGAPLPNKCGSNKGIDEDDTNNEDLDNEPHQLLWFYIFTALGFIVGFWGVCGSLVVNKTWRYIYFQFIDNIQDRLYVMIIMRINTMKRRLRG >ONI20899 pep chromosome:Prunus_persica_NCBIv2:G2:4218299:4219523:-1 gene:PRUPE_2G039300 transcript:ONI20899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQCMSLGSVLVLAGLALFMVVLPLLLPPLPPPPLMLLFFPVGIMAALMFLAFSPAETAGNGVVHYSV >ONI24962 pep chromosome:Prunus_persica_NCBIv2:G2:27560942:27562375:1 gene:PRUPE_2G272300 transcript:ONI24962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSDNNQSLMPCLTEQWGDLPFKVDDSEDMIVYSSLNDALSFGWLPDHSLYEYVKPEPLLYDPFDHHNALPNLFTQFQQYHDVNNAPIILPFEHHHHHDQKQVQVADAADQNKAPKSGVARGRHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETSEEAALAYDRAAYRMRGSKALLNFPHRIGLNEPPPVRVTGKRKEPEAEAAAAADASTTTPKSAKRTKASSVAEHDHHQANVCLTRQKTSMGLLPLGEQLLVS >ONI25348 pep chromosome:Prunus_persica_NCBIv2:G2:28751541:28756037:1 gene:PRUPE_2G297400 transcript:ONI25348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRDIVRMGSAEEGEEEARVLNVDETIFVAVGKDVKQSETTLLWAVKNFAGKNICLLHVHKPSRVLSLSEGNGPAGKLKQGAIKVYQALERTKLRKLLDQYLLILIRAGVQANEVWIEMQNVEEGIVELIARHNIRWLVMGAAADEYYSERLAEIKSRKAFFVCNKAASSCHIWFVCKGHLIYTRGDREDESEIEIAPPLLLTSSASGAEQPEHLKSESTHWLRNLASTRQVTLLQSSADAEEDTSELEEISKRLTPLLIDEEEKAACESYHLVEPNIVDTNKNSKQKEYEGTVKRWKEEANAMEAKCKAKAFESLCTKEMSQRKEMEEALARVRQEIDGLKDERNGFIQQLQMAQDNKLALGSQLGDSQCMVKQLEEKILSAVELLITFKDRRDKLQIEHRDAIKKVKWLRTSIKGEAASFCRAEFPVFSFMEINEATHNFDPSWKIGEGRYGSVYKGILRHMHVAIKMLPSYGSKTQLDFQDEVEILSRVRHPNLVTLIGNCPESRSLVYEYLRNGCLEDRLACKGNTPPLPWKIRTCIATEICSALVFLHSNIPCLVHGNVKPSNILFDANFVSKLGDLGIVGLIPQNENPANFARIHNDPNGTDVYMDPEYLETGNLTPESDVYSLGVILLQLLTARPLLGIVKDVKSALENDNIDALLDISAGDWPLEQAKELAYLALRCCEKNRLNRPDLWVVHEAMGASCAASASCLISKKLIRTPSHFVCPIFQEVMKDPHIAADGFTYEEEAIRGWLKGGHNTSPMTNLKLEHCNLVPNYALQYAIQQWQLEL >ONI20614 pep chromosome:Prunus_persica_NCBIv2:G2:2516989:2517783:-1 gene:PRUPE_2G025300 transcript:ONI20614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFPAIHHDQQLFRFRIQDITAQLHNLHHVHNQSRSSVQPVQFHVKLVHQSQYKYINHSLNTETTVIEFTKTKTANRRFDMDMLKNYCEAHLILSSMLEIVGINRRDAFSIHIVEQIIEQGLLIGSRVSNVGHKVLGLCVHMKKVHLKHRYEPCMQLIERILMSNGINEGMVPASDSAIEKVLKRVRVGDGDEEEEEGRRERKRRQICASESDSCTVCMEEFNGGSEIACMPCSHLFHEKCIVTWLKQSHYCPVCRFEVPTDG >ONI22227 pep chromosome:Prunus_persica_NCBIv2:G2:17342373:17345145:1 gene:PRUPE_2G115500 transcript:ONI22227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAALLRHVRIPAQTLNPALTLTGGKWGPPSLRWMSSHDDHLTKSEVTERVLSVVKSFPKVDPSTVTSDVHFQKDLGLDSLDNVEIVMALEEEFKLEIPDKEADKIDSTNLAIEYIYNHPMAG >ONI22359 pep chromosome:Prunus_persica_NCBIv2:G2:18037303:18039723:-1 gene:PRUPE_2G124100 transcript:ONI22359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRREEGIRKGPWKAEEDEVLLNHVKKCGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGCKFSLEEERLVIDLQAQFGNKWARIATYLPGRTDNDVKNFWSSRQKRLARILQASAPSKSHKNKREGPVYDEVLTLEGPKFSCSSEGESSSQAQSCSSPPCFGNSEIIKMVPLPDLVKPKLLALEANPVQQELAPTEKNPWIEPQPLIPFPQNPQPQTDLEFSLETQELLARFDPYFDLLTPLVASELGNGGEQLLMEPPFLEPVGSCGSGERDKIGNPITPDCVFDDLPVDMFDQIEQLPNSSEQH >ONI22374 pep chromosome:Prunus_persica_NCBIv2:G2:18124178:18126338:1 gene:PRUPE_2G125000 transcript:ONI22374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVLELESPFLGFSGRRWRKKEKIKIEIQVKLVKEYSIVEMNGMGEIYAREIALVMQRKNFGENILDVITNRKKQGETSFSSFSSRVSATFPDARSGSLRIGQIYTMPLKDEVPVQLLLHDSLSRNPFSPFFLLQTSFYQNSTTPILAPLSPLGSYERALQDKCGLGEWWSLLGSSLKVEDYFGAVK >ONI24045 pep chromosome:Prunus_persica_NCBIv2:G2:24910680:24913535:1 gene:PRUPE_2G220900 transcript:ONI24045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNRVLRLRAASSFSVRSFRSSSFLNSETDLKASSSVIAQNSLPLQRDVKDRNVQWVFLGCPGVGKGTYASRLSTLLGVPHIATGDLVRDELSSSGPFALQLAEVVNQGKLVSDEIIINLLSKRLEASAAKGETGFILDGFPRTIRQAEILEGVTDIDLVVNLKLREEALLAKCLGRRICSECGGNYNVACIDIKGEDGKPGMYMAPLLPPPHCASKLITRSDDTEEVVKERLRIYNDKSQPVEGFYCSRGKLLEFDLPGGIPESWPKLLQALNLEDHEDKQSAAA >ONI25931 pep chromosome:Prunus_persica_NCBIv2:G2:30169885:30171302:1 gene:PRUPE_2G327700 transcript:ONI25931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSASASGWCDEKSSSVKKGPWTPEEDEKLVEYIKRYGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGNFSQEEEQTIINLHALVGNKWSAIANNLPGRTDNEIKNFWNTHLKKKLLQMGIDPVTDRPITANNSDNIHNLYYLMINHILSSLAPQLLASSGTCSSLANNNLMMNMNPWGADLMRLHYSDAKIQVLRNILVQQLLSSTSTPNAEAAFNYLLPSYSSSSVHDHIDHEYSRVSINHYNYFPHLQGFGNGGAPIGFVPQNPTHASAQPSNLSSNISGLLKALHDLDHSQPPRAPPVLVPSSGSGTGTSDKLLGYDDHATVFSSTTGSNKICTNNNNNNNRDHSQQLQLGGSSSSYATPTDQLPLLVRSAAPSPETSAANPTVENNISNTTYSTTFEAWGNPPDLMDHDDDATNHSYWKDIFME >ONI23344 pep chromosome:Prunus_persica_NCBIv2:G2:22540883:22542310:1 gene:PRUPE_2G183200 transcript:ONI23344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTKYTEHRNVTTKFVKTLTKHSPESNIVAPKIVRISFTDGDATDSSGDEGEERIVVKRTINEIRIEDCSAPRLFSKPKAPRCRANDESNNVSPNGKKFRGVRQRRWGRWAAEIRNPTSRARVWLGTFDTAEEAGLAYDRAAIAFKGHLAFTNFIKPPEIAVPATPDNDCKPISWETSPNAAVIGECDHSLRSPTSVLSPGEAEIEWRPVGISERDDFGAFESEFLLNDCFEPEAPAPIFFDEMRVVPEMLLKDEDFSDLSLDFRSCKWDDVEDYFEGACDESGAL >ONI20838 pep chromosome:Prunus_persica_NCBIv2:G2:3932062:3934266:1 gene:PRUPE_2G036400 transcript:ONI20838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMIPQKREMYLTCYNHHKLGETDLEILWWNHLPKMVWRLCLAFSNIQFICLSGCSYPSSLPPLGQLPVLQELTIKSMKSVTTVGVEFYSCNGSSVFRPFQSLKKLKFDEMPEWEEWLPSPGGGNY >ONI24699 pep chromosome:Prunus_persica_NCBIv2:G2:26822009:26822789:1 gene:PRUPE_2G256200 transcript:ONI24699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQELPEHQRADAVSSLVYEANARMRDPVYGCVGAISYLQNQVSELQMQLAVAQAEILCIQMQHEPTVPTPQQILDTDEKSYFLPNNFPQYLNFTSSSSNVIHDSLKRESIFGQDMVS >ONI22136 pep chromosome:Prunus_persica_NCBIv2:G2:16776547:16777026:1 gene:PRUPE_2G109500 transcript:ONI22136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKQIDLAYIKNDVARKTTFKKRKSGLMKKVSELSILCGTDACAVIYGQHQANPDVSPCPDGALRTITRFKQMSKMERSRNILNQESFLRQTIFKADEKLKKQMSDNQEKEMMIQAIYGSLPADWLQKLSHVDLNDLWLSVEKNIEAIAEMMTSLEKP >ONI22217 pep chromosome:Prunus_persica_NCBIv2:G2:17278914:17280575:1 gene:PRUPE_2G114900 transcript:ONI22217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHLCDLTSAVGVCSSCLRERLTALIEAQTKAQAQLSRPHSRTSVPPDEPKRKSDPNPPPLIFPRSVSPYVSRRKSDDSTWHHNHRPDHQNQQQQHRIRFYSTPQVGPTYNSATSTTIEGSCRKTKTKFSLFSSLFRSRSDKFWSDPSSSTVQPTSSSSASSPSWFSAIFSGKRRNRSKQLYADESNNTGGQRPRGLSPDITPDPFEDCERSRSGSGDSSGATPEWKRTPALAPSSTRRTRVGQGKNNVSGLAFCLSPLVRASPNRNWGQKGLPPEFAGEIRVAAAFCKNRSRKLVDFGRANPNR >ONI23921 pep chromosome:Prunus_persica_NCBIv2:G2:24667563:24670565:1 gene:PRUPE_2G216300 transcript:ONI23921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTWLSTSQSKEKKCVDTFQNMIDSNVAESLRQVTKSIDEVLGMIQVQQQHHLHRHNNVTAAPGSDPDPYDPALSRTADVTLSQNETQVSHAYGPSLDAIAAAPSHSQKQFVIFVKKGVYKEYAKIDKTKTNLVLIGEGLSVTTISGDRSNASGWATMKSATFAPLDKCSFACIQKLFGEDHGTCAKLHASEDDENSTNMVITYYVRAQGFIAMDIGFENTAGPNTTSPTRLHPDPQSMFKITSSNFFIFIFFHGYKFGQRFSLVVKNSTQLPNTRFPSSKSHAMTAITWATRRRKKL >ONI25405 pep chromosome:Prunus_persica_NCBIv2:G2:28923976:28927428:-1 gene:PRUPE_2G301200 transcript:ONI25405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSLRRKLNKRSDPRPYTSMAARPKSSNYRKTSLILSVVLIVGVFILLGISSNILINVSAVSASIFSIEVVNEFPHDPNAFTQGLLYAGNDSLFESTGLYGKSSVRKVALQTGKVEVLQKMDASYFGEGLTLLGERLFQVTWLTNYGFIYDRKNLSKFEKFTHKMHDGWGLATDGKVLFGSDGSSVLYKIDPQTLKVTDKHIVKYKDHEVYNLNELEFVKGEVWANVYQTDCIARISHEDGRVLGWILLPNLREGLLASGNHGIDVLNGIAWDSDKKRIFVTGKLWPKLYEIKLHPIKKHFRDGAIEELCLRRPFHF >ONI20603 pep chromosome:Prunus_persica_NCBIv2:G2:2442843:2453463:1 gene:PRUPE_2G024600 transcript:ONI20603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKGVNRSASVALAPDAPYMAAGTMAGAVDLSFSSSANIEIFKLDFQSDDRDLPVVGESTSSEKFNRLSWARPTGSGSQEFGLGLIAGGLVDGTIDIWNPQTLIRPEAGVSASVGHLTRHKGPVLGLEFNAIAPNLLASGADDGEICIWDLANPAEPSHFPPLKGSGSAAQGEVSFLSWNSKVQHILASTSYNGSTVIWDLKKQKPVISFTDSVRRRCSVLQWNPDIATQLVVASDEDGSPALRLWDMRNVMSPVKEFVGHTKGVIAMSWCPNDSSYLLTCAKDNRTICWDTVSAEIVCEVPGGTNWNFDVHWYPKVPGVISASSFDGKIGIYNIEGCSRYGVGDSDFGGGPLRAPKWYKRPVGASFGFGGKIVSFQHGSSGVSEVYVHSLVTEHSLVNRSSEFEAAIQNGEKSLLRALCEKKSQESESEDDQETWGLLRVMLEDDGTARTKLITHLGFSIPEETNESVPDDLSQEVNVLGLEDTTSDKVGLGSDKETTIFPTDNGEDFFNNLPSPKADTPVSTSGDKFSEGDTVPVANEMQQEPDGLEESADPSFDESVQHALVVGDYKGAVAKCISANKMADALVIAHAGGASLWESTRDQYLKMSHSPYLKIVSAMVSNDLLSLVNTRPLKFWKETLALLCSFASRDEWTVLCDTLASKLIVAGNTLAATICYICAGNIDKTVEIWSRCLTTEHEGRSYVDLLQELMEKTIVLALASGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSDELSPELVILRDRIALSTEPENVSKNAAYGNQPAASGPVYGADQSNFGVVGASSPYYQETVPSQLQPGVPGSQYGESYQEPVNSPYGRGYGAPAPYQAASQPHMFLPSQAPQVPQEKFSVPPVSSQPAVRPFIPSTPPVLKNVEQYQQPTLGSQLYPGTTIPSFQPMQPGPGSAAPLTSQVAPVPGNKPHVVAPSPPPRGFMPVTNSGVVQGPHPGSLQPPSPTHQAPARASMAAAAPPPTIQTVDTSNVPAQQKSVITTLTRLFNETSEALGGSRANPGKKREIEDNSRKIGALFAKLNSGDISRNAADKLVQLCQALDNGDFGTALQIQVLLTTSEWDECNFWLATLKRMIKTRQNVRLS >ONI22563 pep chromosome:Prunus_persica_NCBIv2:G2:19454966:19458602:1 gene:PRUPE_2G137000 transcript:ONI22563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSYPQKHQEDHTQYQQYQQYQQQQQQQEAAAAYDPSRIQAYDHSYQSYYAYNHQQQQYEYDHHQYQQYYNPTQDYRNSYDTTTATTQHQFHQEPTSIHPPGVPIPPEPPHNADPGQTHLQNAYYAHGVVEDQQQQQMNSVSGGLIPAAVAALSQLTQLSANMDAAQRATQPPIGQTPYRGGGRRGNRPFRGGGRGHFGYHGSRPDGSAHPFRGRGRGQGGGRHFPQYGAASNNLNSASVPAEGVAALMQPPSALVPGQAPLPVPTQVSSTSFWRPPRMAWCELCRVDCNTPEILEQHKNGKRHKKYMQVYEELQKLNKVKTEQQNAQMPNTELKPEVGQPVKVEGFEEKQPLQENLTSEVITDNNRNETDQKDTGANSEASAGPGNKSGDHFAARGRGFKRRMRGGRGGKYMRTNEGSRRSVEPPKPKQVIPFICELCNIKCESQVVFDSHLSGKKHLATLKRFHGHRALYGEVGLQALYPSNFNAASTSATPTSAAPTVQQGDNDPQALLAQLLMTYVLTQTQAQGSSPAPAPASAVAPVGTHNQLELIQGLQTMCQDGSQNAVILELKRQLQCAAAGNPETNTGNGTSEFEAKEVSVPISRTSEFEAKEVSVPISRTSEFEAKEVSVPINTSVVAPAENPVTSEQVSQTASDKECGAAPSDPIFQPKAENQMQEPESKKEERTE >ONI21671 pep chromosome:Prunus_persica_NCBIv2:G2:12196363:12202474:1 gene:PRUPE_2G079800 transcript:ONI21671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLRRLVGRSLSSDRGCEASGQFHGFRFISRRTYLLSAYSPATTSYLGHHNSPFSSSESPFTSMKWGFLGGQKRSMFIQTQSTPNPASLMFYPGKPVMEVGSADFPNARSAMNSPLAKALYGIDGITRVFYGSDFVTVTKSEDASWDLLKPEIFAAIMDFYSSGQSLFLDSKTASAMDTAIQEDDSEIIAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLRMQGACSGCPSSSVTLKSGIENMLMHYVPEVKSVEQEHDADDEGAALTSQME >ONI21294 pep chromosome:Prunus_persica_NCBIv2:G2:7293570:7297473:1 gene:PRUPE_2G058500 transcript:ONI21294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEEMGFCGELDFLSTTSGEGDGDGVDAAPEHEPEATVEEDYSDEEMDVDELEKRMWRDRMLLKKLKEQNKGKERVDNARQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAISKYQADHSILGKDEDWSGVASTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPTGNEVWWPQLNLPKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARSLYPDRCPPLLAGGSGSFAISGTSDYDVEGIDDEQNVEIEDCKPHVNHFHIGTAGQRDRPLPQIKGELIEINSDFGQKRKQLAEEPPIMLNPNIYTCEYPQCPYHDYRRAFLDITARNNHQLNCAYRNNSLQVFGMSSFQLNNEKPAVFSLPLGQPTQAVQQPVSQAGSFNASGFGLADDGQKMISELMSFYDSNIQQNKNSSPGNLNVVEGHNQQQAEFRFAMNDNFFGQRVDMGRNMSEPTPMPVDMGCNISETTPMPMHRQAFPSTEVQFDQCTIFDSPFGDNPNDTVDLRFDSPLHLAPADYNVLDPPKQDASFWFP >ONI20161 pep chromosome:Prunus_persica_NCBIv2:G2:114108:116101:1 gene:PRUPE_2G000300 transcript:ONI20161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSVGESSLWSDSSSSSSAIKPLVIMFQQALLSYLFIIDINKIRILDIILFISTFTIISLSTFIINLLIYVFFQLCLFRLGTLMFEFMTTTMVLVL >ONI25083 pep chromosome:Prunus_persica_NCBIv2:G2:27924656:27925370:-1 gene:PRUPE_2G279300 transcript:ONI25083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNSATVSLKLLIDTKKQQTIKEVVNFLFTLLSLHVATVTRLLLSNGGMVGCLGKLYKSLENLSDTYMQPNLNKDYLLKPKTTISGANILHLPANNDSNAPKRFYLCANCKRHISDSPVTTCPTCISLKISTQVFYVAPPPEPTGVTTGNIKSRYDIMYMIMDDLEVKPMSTVSSITLLKTLNFKTVDAFVKFVLFTINSLKLVKASLE >ONI21011 pep chromosome:Prunus_persica_NCBIv2:G2:5041799:5042068:1 gene:PRUPE_2G045100 transcript:ONI21011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGYLSFYSFLESVNYQGFFDFDESSPDEFDSANPYKDPVSMLDTREHTVREKWIDIEKAKIIREKLYWCYCIEGVNHLQKYYHLVH >ONI25145 pep chromosome:Prunus_persica_NCBIv2:G2:28159578:28162567:1 gene:PRUPE_2G284000 transcript:ONI25145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSISEHPSKEPQLKRPKSPDPLNSFNSLLQLIHHPRTCLLFAILALQVMLLFTLRSLPFSRQHFSPPNSPKPEPLLVPEPEDRCGSGRVFVYDLPKTLNQEILQNCDDLNPWSSRCKALANEGLGQQATGIAGVVPENLAPAWYWTDQFVSEVIFHNRILNHKCRVMEPESATAFYIPFYAGLAVGKYLWSNSSTAQDRDRHCEMMLRWVQDQPYYKRSEGWDHFITMGRITWDFRRSNDQDWGSRCIYLPGMRNITRLLIERNPWDYFDVGVPYPTGFHPRSDSDVAEWQSFVRTRNRTKLFCFAGAKRGAIKNDFRGLLLSHCQSESESCRVVDCAGTKCSNGTSAILETFLDSDFCLQPRGDSFTRRSIFDCMVAGSIPVFFWKRTAYIQYEWFLPGEPESYSVYIDRNAVTNGTSIKNVLQGFSREEVEKMRGKVIDYIPKFLYAKPQEGLESVKDAFDIALEGVMRRFKEHGEWGFRWK >ONI21179 pep chromosome:Prunus_persica_NCBIv2:G2:5945009:5963952:1 gene:PRUPE_2G051400 transcript:ONI21179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPNPGLPSTHHRRVACSFRDQSLFQIFQISLTSLRQLETNVASRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSAWRSVLEDPSTLQVFFDYYAITKGALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADHDNYHEYCRLLGRFRVNYLLSELVNVEGYSDWIRLVAEFTLKSLQSWKWASSSVYYLLGLWSRLVTSVPYLKGDAPSLLDEFVPKITEGFITSRFNSVQDGSPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSSLYIINIVEPILQIYTERARVQTSDNSDLSVIEAKLAWIVHIVAAILKIKQCTGCSAESQEVLDAELSARILQLINVTDSGVHSQRYGEISKQRLDRAILTFFQHFRKSYVGDQAMHSSKQLYARLSELLGLHDHLLMLNAIVGKIATNLKCYTESEEVIGHTLSLFLELASGYMTGKLLLKLDTVKFIVANHTREHFPFLEEYRCSRSRTTFFYTIGWLIFMEDSPVKFKSSMDPLLQVFINLESTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGILHWSDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILSLPNVADIYAFKYKGIWISLTILTRALAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFAFLEVLFNSHIVYILNLDTTTFMHIVGSLESGLKGLDTSISSQCASAVDNLAAFYFNNITMGEAPTLPTAVNLARHISDCPNLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQMFSDLKVRILASQPADQHQRLSQCFDKLMADVTRSLDSKNRDKFTQNLTVFRHEFHVK >ONI23507 pep chromosome:Prunus_persica_NCBIv2:G2:23136859:23138043:1 gene:PRUPE_2G192200 transcript:ONI23507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNILFLNRNSQEGHDYSLQAQPGGYLDQSHMLFNNGGGAVNNTINQRKRGRETSAATEISPSILPFSLQQQSQPPPPQLIDLSQLYSNNHDHNNPNVVSTGLRLSFGWLRVGTCTRVWLREGWVTGEGWLKGGVE >ONI22457 pep chromosome:Prunus_persica_NCBIv2:G2:18909939:18912797:-1 gene:PRUPE_2G130700 transcript:ONI22457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISHCLFNVSIRKLSKLLVLLLFHLLAANFVYSLQQPSCHDEERSALLQFKESFIIDKSASRYDGAYPKVSSWKPAGGGNSSCCLWDGVECDEKTGHVIGLNLSSSYLYGSFDSNSSLFSLVHLQKLSLSDNNFNYSQIPSSIRNFPSLTHLDLSASVFSGQVPSEISHLSKLTYLDLCCNLEIETSSDDPQGLLKLQPSDMRSLVQNLTSLETLSLSFINISSIIPVSLTNLSFLTSLTLKECNLFGEFPVGIFNLQNLKVLSVRYNQDLTGYFPEFNRSSPLILLKVAYTRFFGQIPSSFEKLNSLQELDVAQCNFSEGLVPSALGNLRQLTYLDISANKFGGPIPDSLANLTQLTVFRISTSYLTGPIPSWLGNFSKLVYLDFAFNRLIGSIPASFSNLKNLEILYLHSNNLSGVVEFQMFRNLQNLYQLQLNRNNLEFVTESNIVMNATLPQFNILGLNECNLREFPSFLRYQTNLERLDLSRNKIHGQVPNWMWNISKETLIFLDISENFLSDELPVFIPWVNLLCLRLSLNNFHGRLPIPPPSLLEYGATNNNFTGEISPLLCNMSSLRYLDLSKNNLSGMLPQCLGNFSDGLILLLLGSNSFHGMMPQSYNSRSSLRMIDVSHNQLQGQLPKSLANCVMLEYLVLSNNQFSDVFPIWLGTLPELKLLAMRHNRFNGVVGQSRTNVDFPKLRILDLSFNNFTGEIPHLFPDITVNKSTYMSIDVVYQVNGFYIVQSVAYSITIAIKGLDLYYSKIQEGFAAIDISSNKFEGKIPEFIGNLTELRSLNISNNILTGSIPSSLGKLTNLESLDLSQNKLSGQIPQQLTQLTFLGNFDASHNNLTGPIPQGTQLTSFNSTSYEGNPGLCGDPLPNKCGNQEAPQQPFSTEEDSDSGSSRTLEYDLIFGLAGVGSGLVLGVVLADVVVTRRQEWFLKIVGMVRLMIWKR >ONI21207 pep chromosome:Prunus_persica_NCBIv2:G2:6180417:6180865:1 gene:PRUPE_2G053300 transcript:ONI21207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAGEDDLLSSGKFTWRIDHFSKLTNIKHYSGGNKEVEHLAVYLDVAEASSLPSGWSRYANFSFTLVNQLDTKKSTRKSILIQIYVRISGDGLSLIY >ONI21836 pep chromosome:Prunus_persica_NCBIv2:G2:14568844:14571663:1 gene:PRUPE_2G092500 transcript:ONI21836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTCRLCVLVIVSVLVFGPTAFFPFFLFVTFTKSHFNSTSITKSHGKCIPSACGNIHNIISPFRLANDPNQSKCTNWNYHYLFCHNNLTVLTVDWGDYSVQAINYDNFTIRVVDPGIRNNDFSSIPRYSLSIYNLTYSHLRLSSSTTPITFFKCAKAENSSVMRTYNYVKQGNITASDMEDGCRIEWTTLMSKSFLYGKDRNFSYHDIHNALGYGFELQFGVPRFSYILGIDLLLTYGIELLLSYGAFFPIRSIFGFPFLAALLIYKQRRMHLSMYSNIEDFLQSDNNLSPIRYSYSDIKKMTSRFNEKLGEGGYGTVFKGKLRSGRFVAVKMLEKPKANGQDFISEVATIGRIHHFNMVQLVGYCVEGSKRALIYNFMPNGSLDKYIYCKEGSNPLSCMKMYEISLGVAQGIEYLHRGCDMQILHFDIKPHNILLDENFIPKISDFGLAKLYPVGNTIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLMEMASRRKNLNASTEHSSQIYFPRWVSDQFCMGKEFEMDDATEEEKKILKKMIITALWCIQLKPSDRPSMNKVIEMLEGEVECLQLPPKLLLYPQQEIPRDNLHGNSNPMCSNTELTCSTLSAR >ONI24349 pep chromosome:Prunus_persica_NCBIv2:G2:25751804:25752756:1 gene:PRUPE_2G235600 transcript:ONI24349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLFSSMACRGDSPRSGTPQVDAAPCHIHLLRLYIPRGIASWLFLGWVVEERRMPTRRLYKHITKRRKKLELTENLQQASEAARGMSPLSTTVHKIEELARRRERKLPFSAVFLDFCSSLI >ONI21405 pep chromosome:Prunus_persica_NCBIv2:G2:8495458:8499778:1 gene:PRUPE_2G063500 transcript:ONI21405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRGRGRGRHYDQSSGSDAPSFHRGGDGRRRDGGAPPSFPSESGPSQLGRGRAGGSGAVIAWWFCLFRPDRVKALVNMSVAFSPRNPKRKPVDGIRALPWMNSTMNSGTSSAS >ONI22633 pep chromosome:Prunus_persica_NCBIv2:G2:19754635:19757309:1 gene:PRUPE_2G141200 transcript:ONI22633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSVVITTFFLLLAMAASTFCLQQPNPIQVALDRVLQATNWVQSSIKLHELGSDDTSNDGGVALRDCAQLYDESAARLMVLLSNNESYSGDDARTWLSGLLANQRTCLDGLDEKGFNKTDEHRVVAENLTMVLGEALAFYGKGMHEGRRVPPREQEPKPKQNEGLLVSWSLGTSRADFVVAKDGSGTHRTINEAVAALVRMGHRRPPRVIIYVKPGVYQEKVELHRNIVNVMLVGAGIDKTIITGSHSYRGGLTTRNSATFGVSGDGFWARDITFENTAGPEMHQAVALRVSSDITVFYRCSIKGYQDTLYVHANRQFYRDCQIYGTIDFIFGNAIAVLQNCDIFVRRPMNSQSNMITAQGRDKESETTGIVIHGCRVRPAPEFARVKGSFRSYLGRPWKRFSRTVFMKTDLDGLIDPKGWTEWRGSYGLSTLFYGEYMNTGTGASTEQRVKWPGFHVLNSPQEASPFTVNKFIQGQFWLPATGVPFWPGI >ONI22688 pep chromosome:Prunus_persica_NCBIv2:G2:20171667:20174320:1 gene:PRUPE_2G144800 transcript:ONI22688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKGRVCVTGGSGFIGSWLVMRLLDHGYSVNTTVRSDPENKKDLSFLTSLPGASARLKIFNADLSIPESFNAAVEGCIGLFHVATPVDFQDKEPEEVVTKRSIDGALGILKACLNSKTVKRVVYTSSASAVMLGSKDYVEELDESFWSDIDYIKAVKPYGGSYMISKTLTERAVLEFSEKYGLDVVTVIPSFVVGPFICPKLPGSVQTILSLAFGDFDQLSFIINTSVVHVDDVARAHIFLFEHHEANGRYNCSADVITLVQIAELISAKYPEARIPSVDDLSRVEGPKFSGLSSKKLLDAGFKFKYGVDEMFSDAIQCCREKHYL >ONI20361 pep chromosome:Prunus_persica_NCBIv2:G2:1012591:1017796:-1 gene:PRUPE_2G011500 transcript:ONI20361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVLFNVAEGIIGRLGSLAFQEIALLWGVKDDLQKLKEKVAQLQAVLLDAEQKQANNNEVKVWLQSVEDAVYEADDVLDEFYTEAQWRQMVPGNNIVSKQVRNFFSSSNQLVFGLKIGHMIKDLDKRLHEITSNRTFGQLKVNHEDARFVIRERVTHSFVREDNIIGRDEDKRAIIQLLLDLDPIPTKNVSSISIVGFGGLGKTTLAQLVLNDEMVEKHFELKMWICVSNVFELDILVKKIIQSATNDIAKSLEIDQLQKELRKIIDGKRYLLVLDDVWNDNREKWFGLQNLLMGGGKGSKILITTRSETIAKITDTSKPYKLRGLSEERSWYLFKKMAFQDGKEPTSSTIKALGKEIARKCKGVPLAIRTIGRMMYTRDPETEWSAFKNNKLSTIRQEENDILPTLQLSYDVLPSHLKHCFAYCSLFPPDYEIPVENLIKLWVAQGFVKSSNPNECLEDVGYEYYNELVWRSFFQEEEKDEFGIIKSCKMHDLMNELAVKVAGEGSRIIDVNQTDFDAKRLLHVSFNFEVDLLKWKIPTSLLESNKLRTFLFLSQKEWGMSFRTSFCATIASNFKSLRMLSLNGLDITKLPKCLRKMIHLRYLDLSDNKEMERLPNWIVKLQNLETLDLSRCESLVELPRDIKKLINLRHLILKRCYKLARIPRGLGELTRLCTLSRFVLSEKKSMLRDCAGLSELGKLKDLRGELEIKNLRYKKDMVSELNYDGAVLKEKRHLYSLTLYWMHIERENSDVVEEESDVIIKSMEALQPHSSLKELTLKYYMGAGFASWFHSLPNIVNLTIWHCPKLASLPEGMGNLKSLQFLLIWDCPNLASLPEGLRWLASLKNLRIKRCPILKQRCQRETGEDWSKIAHIPDVHIGL >ONI21798 pep chromosome:Prunus_persica_NCBIv2:G2:14228195:14228892:1 gene:PRUPE_2G089800 transcript:ONI21798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKSKSFQQKLIFLNLIQTSTSVNMIHENKRSRQNKYEVIHEDPHKKNSMKMIQTSTFHHCNFSGRKKITMKKNNYATTS >ONI22332 pep chromosome:Prunus_persica_NCBIv2:G2:17903262:17911262:-1 gene:PRUPE_2G122100 transcript:ONI22332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYTKVEESFNVQAMHDILYHRHHLDNYDHLEFPGVVPRTFLGALLVSSLAAPSVLAMDLLHVSKIYSLFAVRLALGGVILSTLRFFRLQVRHKFGLQVEAFFVILTAVQFHLLFYCTRPLPNVLALGVVNLAYGYWLKEKCYAAINCLIFATVIFRCDILLLLCPLGLELLLTRRISLWKALKCCSLCAILCIGLTVLVDSIMWKRLLWPEFEVFWFNSVLNRSSEWGTHPFHWYFTSALPRLLLAAYPLFLLGVLLDRRILLFILPVFSFVLLYSKLPHKELRFILSSVPIFNLSAAIAATRVYNNRKKTYWKFLYPIMLGLLLISLGCTVITFMASNENYPSGHALKHLHETRQFANRTNELWVHIDTFSAMNGISRFCENKFPWRYSKEEGIPPEELYQRNFTYLINEHPAINGFKCLLAENGFSRVRLRTGFPPILLVKEPKIYIHGNVRNKDVMRRNWPGCS >ONI24688 pep chromosome:Prunus_persica_NCBIv2:G2:26777663:26780711:-1 gene:PRUPE_2G255200 transcript:ONI24688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHAPNLECRMYEARYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLGIDLEELYVHIAWPLYRKYGHAFEAFKIIVTDPDSVLSALTREVKETGPDGQEVTKVVPAVSEEVKESLVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKDAMRKAEAAGNNDCPVKIKLVAPPLYVLTTQTLNKEQGIAVLSNAIEACTQEIEHHKGKLAVKEAPRVVSERDDKLLAEHMIKLRQENEEVSGDEGSEEEEDTGMGEVNVEGAGPGVVD >ONI21506 pep chromosome:Prunus_persica_NCBIv2:G2:10722991:10723291:1 gene:PRUPE_2G070700 transcript:ONI21506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKEVISSSKACTSANSHALIKNWENLIDYKHSGSNLTQYGSWNSHLQVCLGSPQTEHKYHQIILHENYHTQTKTAGN >ONI23855 pep chromosome:Prunus_persica_NCBIv2:G2:24428623:24431270:-1 gene:PRUPE_2G212300 transcript:ONI23855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVVTSSYFSSHRVSKLRTCENTLTTPCFPFVRCKSPFCLAENIHTTLTRKPNGILRSTVTNCTKPNYQSFTGEDAVFLDLGDEDETGSPWEGAVIYKRNTSISHVEYCTTLERLGLGNLSTEVSKSKASVMGLRVTKAVKDYPQGTPVQISIDITRKKQKLRLDGIIKTVIALTCSRCEDPAAECIFSNFSLLLTDEPIEEPEIINMGVIYGDTGISGQGEEDDEGTIDFEDQLYFRPGDKEIDISKHIRDMVHLEITITATCNPSCKGLCLSCGKNLNTGSCNCSKQQAKKGFGPLGNLKKQLQQQKK >ONI22368 pep chromosome:Prunus_persica_NCBIv2:G2:18049195:18050248:1 gene:PRUPE_2G124400 transcript:ONI22368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAQKVKEAKITEQDSLLLKYLLITSILHCWGWQDNADQRCWESLCLWKELKMVL >ONI21781 pep chromosome:Prunus_persica_NCBIv2:G2:13984000:13984776:-1 gene:PRUPE_2G088700 transcript:ONI21781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQYGWLYRPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKVRRNYLHTFHVVFCIINDGRVKLTSLNGYTTSVMKNIVVCD >ONI25643 pep chromosome:Prunus_persica_NCBIv2:G2:29504818:29508416:-1 gene:PRUPE_2G312700 transcript:ONI25643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSITVAADPENLTDKTDGPVKTRMYAIMKKICHPEGQYRHIWTKIFVTSCVFGVSLDPLFFYILIIDQQNKCLQMDTMLQTVALLLRSFTDIIFLVHFIYEICDGVKTQRAKKSYGANLASNALKNMAPTPNTQKNGPSTNSKVIRVAKKIAHKMSWLSASIVVEFFALLPIPQLLIVVTFYNMRGSPYFEHEKVLNFFLLGQYIPRILRIHMSSKQLRRTNEMWIKGLFYFYLYILTSHILGALWYFFSIQREMSCWHWACVNYSTDPSGCMDTFYCNSRIVSRNVTFLNEHCPTDTPDSASAPFNFGIFLDALKNNNTAHVEFSTKALYCFWWGLRNISNFGTNLTTSTYMWENVFAILISISSFLLILYVMGTAQNNMAMQDSMRIAKSEKIMTKKLDILSWLSTKDLPRDLKKEIKHNIKQKLEEHDKTFPQNDLFSILPVETRKSLRRCLCMEPLRTVKMLKDMDERVLHLMCDHLKHVTYNENSFVFRKGDPLDCMFFIVEGNVWTYSTAGENEAGKATPSSMTMATKTLEKGHIYGEELLNWASDNFTELPLCRQHVKSQTKVDAFVLMARDLATVVSRYQLLWNFNKCNIPQEVKEVAASTILRRFRHNQRLMMSRRLAVNYYLKKR >ONI22191 pep chromosome:Prunus_persica_NCBIv2:G2:17141136:17142354:-1 gene:PRUPE_2G113100 transcript:ONI22191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGRRYVKLRCVNCFGLVENEGCDESIILGMLWIAMDWRFLQVPRWNIPAFQIVTPGSRIPEWFNNQSVGYSLIVELPSCTTSIWIAFCDVFEEGAPVDHPNPPQYLSTNSQIECRPREGACVRSNPITKGHLVSPHLWVSCVYHYVVDKECSQMKISFHSFSDAYPDRIYYSGIKKCGFRLVHKQDVEELNQIMMMNHSINIITKATSPHNSHTSADAKH >ONI24753 pep chromosome:Prunus_persica_NCBIv2:G2:27013596:27014191:1 gene:PRUPE_2G260500 transcript:ONI24753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRGEGWKTAPVIFLICNVTSEGILGDTLRKFSIWDLYITFVLAVGRFIRLQCSDLRMRIPYEKLPSYDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSTHMLLEYTKPD >ONI21477 pep chromosome:Prunus_persica_NCBIv2:G2:9807590:9809792:1 gene:PRUPE_2G068800 transcript:ONI21477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAYLLRAMKARGRRSMFKFRDDEEDERKMMRYSDSALTRKKNRRLFKNHDGFHFDRRCFLVVYFTFCSSVDRHMVLPCTFVYIYTAVYRTLIIIMLYEVNFCFCFCCRDICHKFYLVNIVSCLFYYEKPLVIFGG >ONI24977 pep chromosome:Prunus_persica_NCBIv2:G2:27615712:27619151:1 gene:PRUPE_2G273200 transcript:ONI24977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLKIFLFFPLLSLAFSQETFTSHLLPRPLIIEYPENTETNFRELEEEFKLHCTSWRFSVEANNINPWKTIPQECAKYVKDYVTGRAYGFDLERVSKEAGVYAKAVELSGDGKDVWIFDIDDTLLSNLPYYADHGYGLEVFDHLEFDRWVDKAMAPAIKSSLKLYEEVLGLGIKVFLLTGRSDGKRKATIENLINAGFRDWHKLILRAPDEQGKLATVYKSEKRNEMEKEGYRILGNSGDQWSDILGTSMSIRSFKLPNPMYYIP >ONI23803 pep chromosome:Prunus_persica_NCBIv2:G2:24217214:24224379:1 gene:PRUPE_2G209100 transcript:ONI23803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLNSLREQSQKELVNILKNIRGKKCLVIDPKLSGSLSSIIQTAILKEHGIELRHLSVDPIQTDCSKLVYLVRSELSLMRFISSHVHNDTSKGLQREYYVYFVPRRSVACEKILEEEKVHNLLTIGEYPLYFVPLDEDVLSFELDLANKECLVDGDTSSLWHIAKAIHKLEFSFGVIPNVRAKGKSSVRVADILNRMQAEEPVNSPDMVVPEINTLVLLDREVDMVTPMCSQLTYEGLLDEFLHINNGAVELDASVMGVQQEGKKIKVPLNSSDKLFKEIRDLNFEVVGQILRQKATSMKQDYTEVTTNNQTVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKPSFLGQLDMEHTIIEAQSYDICFEYIEEMIHKQEPLVNVLRLLILFSITNSGLPKKNFDYLRRELLHSYGFEHMVTLNSLEKAGLVKKQESKSNWLTVKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAVRSGWRPIEEILKLLPGPHSETKRGRFSSSPSLDTLQGPSANVDKVVDGRRSLVLVVFIGGVTFAEISALRFLSAQEGMAYDLIIGTTKIAGGRTFTETFVGK >ONI20341 pep chromosome:Prunus_persica_NCBIv2:G2:938685:940019:-1 gene:PRUPE_2G010200 transcript:ONI20341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTADPIENSFIKSISRYIILHQGQRTTHFTVKTNEATKKILLELYETQNNLRKERENKSQELQHQLPLFCLFVLLDHTTLPMNISSQTHLSSFSLAKNITG >ONI23668 pep chromosome:Prunus_persica_NCBIv2:G2:23798315:23802572:-1 gene:PRUPE_2G201300 transcript:ONI23668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTGSSSSSAAAADDPALALPSVLNVKLDPTNYPIWLAQIVPLLRSRRLISFVDGTSICPPAFLLGPDGKPSNAINPAYEDWIQMDQLVLSWINGSLSHPVLATVASSISARATWLALAALLSTPVPVSDHGTAAMVGSGGCGSGGGEMSSSSTTGEGDSKQMDLPGYKFSPTEEELVGFYLKKTIEGKDAKFRDRIPVIDVCNHKPSDLPEYFFSKPNYMNTNSNRRSRTTDEGFYKSTGKVREIKAEQSQAVIGNKRILSYYEGRLPKAKKTKHVMHEYSLTKTKLAQLGAQNNQQRELFLCHLTNKSAKSEKLKDDSICGDELAEPAIGSEISYNSEDDQAAATDMIQGPDEHLADKDVLVGTENRNECAESESPNGFSPTDNNDISTCVCFGSPGSFDVPGGFFLSDFDDLFNEPSCADLGENMDSTFISPEQLAATSATTPTSATSFGGFGVGSPDGFLLSDFDDGFLLSDFDVLFKEPFCADQPDQPPHPPHPHQPSPAPHPHQPPSAPQSHQPPPPSQPQNYCSSTLPSALCTKQRNVPGLYNDDCSKQLSPTGNNISTNYHYEPVSNTAYGVQNGATDERFSEVYKTSTNDHNESVSSEAYFQQEENLGSIFHPIWPQDYTPLSPMNTELGDVMHASNYIGM >ONI24829 pep chromosome:Prunus_persica_NCBIv2:G2:27198924:27200540:1 gene:PRUPE_2G264500 transcript:ONI24829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSASSSSSSSSSKGFMENVEQPHVLAVDDSIIDRKLIEKLLKNLSCKVTTAENGLRALEFLGLGDHQNSSLEGNISKVNLVITDYCMPGMTGYELLKKIKESSLMKEVPVVIMSSEYVPNRINKCLEEGAQMFMLKPLRQSDIKKLRCHLMKLERT >ONI25255 pep chromosome:Prunus_persica_NCBIv2:G2:28530389:28531462:-1 gene:PRUPE_2G292100 transcript:ONI25255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSVEPNGGESTSGESSQRALPTPFLTKTYQLVDDPTIDDVISWNDDGSSFVVWNPTVFARDLLPKYFKHNNFSSFVRQLNTYGFRKVIPDRWEFSNDCFRRGEKRLLCEIQRRRIMPPAPSVAVSPMATAAVVPNAKPMISPSNSGEEQVISSSSSPIRAPSELMDENEKLRKENMQLTKELAEVKSLCNNIFSMVSNYAYAQSESGFPYVKPLDLMPEKRFSGDGEKEEEEASPKLFGVAIGAKRARETVGDGVEEDETGLRLQQPSGGGDVKSEPIDMDRQETPWLNQRHRANQRVCN >ONI24139 pep chromosome:Prunus_persica_NCBIv2:G2:25272576:25274970:1 gene:PRUPE_2G226100 transcript:ONI24139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPYADVDFSLRALSGRAEGFGRFAIGGLHGPLYFVTTLADDGPGSLREGCRKQEPLWIVFEVSGTIHLSSYLSVSSYKTIDGRGQRVKLTGKGLRLKECEHIIVCNLEFQGGRGHDVDGIQIKPNSRHIWIDRCSLRDYDDGLIDITRQSTDITISRCYFAQHDKTMLIGADPSHVGDRCIRVTIHHCFFDGTRQRQPRLRFGKVHLYNNYTRNWGIYAVCASVESQIYSQCNIYEAGNKKKTFEYYTEKAADREEAKSGFISSEGDLFLNGAQPCALTGFNQECMFHPSEFYPHWTMEAASDSLKTILQILTGWQSIHRPAEQAQAVTV >ONI20420 pep chromosome:Prunus_persica_NCBIv2:G2:1285333:1293724:1 gene:PRUPE_2G014500 transcript:ONI20420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPAKSKDEDPHIEHGAQTVLESAIYAQPWWRGVGNNSSSGESAPASSLVDHRDSMVMNGAMQSQANARLDGGANFNKELRTTGGSQSDQKNGCKNQQIKSVSSSVVPTMGEHLDPNSQMELVGHSIVLTSYPYSDPQYGGMLTPYGAQAMLPSHFYGIHHGRMPLPLEMEEEPVYVNAKQYHGILRRRQSRAKTELEKKLIKARKPYLHESRHLHALRRARGCGGRFLNTKKQDDNDENSSPEKGLSLDANDSAQSAKSSFQCFPNTSNGSLDSSNVQQEGSDPWFRAHKNHTLSSDNGNGNGRGPSSAYPSTFGDSKESVFLGQQRENMQLNGSSRGAIPSK >ONI20759 pep chromosome:Prunus_persica_NCBIv2:G2:3480179:3484045:1 gene:PRUPE_2G032200 transcript:ONI20759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEEKIKQTKWKRRSSSSSSSSKSSSCLINNLDDGCLMHIFSFLPPIPDRYNTALVCHRWLFLACHPRLWLRVERSVKDRLEEPGVFPDIETAVAAARPGDTILIAAGGRHLASNIQIKKRLCLIGGGELPDETTLFCSRASDSALELLSTCKLANLTVKAELGCCLLHRNGRLTIEGCVLQCESNPLDHLSCPIVSTASAQTVFPSSVKCSKDGVSVFRTRIEGGAKAVLTSGDLTLQRVRVIYARTSIFFWFDVEHQ >ONI21239 pep chromosome:Prunus_persica_NCBIv2:G2:6467920:6478013:1 gene:PRUPE_2G054800 transcript:ONI21239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNSSNNPIVGHKAQNDPLYFVICLLPNLSFFRQILEHPKVRASLAEAESFSLYLSVADVSTLPPGWTTCAHFRLTMVNQLDTKKSIAKPAKVFKKGSSEWGFRSFMPCSELYDCSAGYLVNDICIVEAKVHIPIKSQDHGPGVSATIRSSIKKEQKWLEPSNVNSVQVPDSLVAPKTPCSELVPALQDTPGSGKAFIVNPTNNSPFEPSLNKVEEDFNGIDMDSEMGYERP >ONI24643 pep chromosome:Prunus_persica_NCBIv2:G2:26606179:26619790:-1 gene:PRUPE_2G252000 transcript:ONI24643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLGVRHLTVLGEFKPFGLIAEAVDGKPADNVTDKYDYFLFDHETVRERDETDEASASALSDRCDHELFIRGNRIIWSTGPRVFKRFTLPSPVIMACWCRLGDMTEAHLCVLQIASLTIYNTSGEVVSVPLPRTIKSIWPLPFGLLLQHAAEVNSTAPAPSSSSNPLFGLRDLSRPRRESGHSPQHNFNSVTALDHIAKGEAISMPSHVILKDPLEEPHLAYNEERGKLNIMKEFDETTIWTSDRIPLMASYNTGRMQHSVWVAETSNSNHEMANASLLDAVPPGVLAKQFSFRRIWQGKGAHTAACKVFLATDDDSAPIICFLHHEQKKLLCVRLQSVEINNEILFDIKPDMSWSIPAVAAAPVIVTRPRVKVGLLPYSDMLVLAPENVLLLYSGKHCLCRYMLPCCLSKGRFSHKLEFPETTSVSQGLKIIGLADAVEGRINVTVNNGQMFRCVLRRSPASSLANDCITAMAEGLSSNFYSHFLSLLWKDGDLAYLSEADSNVPSEWDSFCSIMMEICGSSATSKKISSPMPQSSWEFLIHSKFHNNYCKHNLITQNSSVMSLDVQRLDSSWLNSDGTQRPERTFYYELLMESLHCLHAVYENLKLNSLRKRDLELLGFLSCYIAKFLGEESYVDHYIRDFPGLSGSVGICDTSISQENPPSLFRWLENCLLHGYNSANINDLPPLTCKDGSSVVSWARKIVSFYSLLSGAKPIGKKLSSGVYCNIATGSYDTNEELTVLAMVGEKFGLQQLDSLPSGVSLPLRHALGKCRESPPIGWPAAAYVLLGREDLALSYLARSCKSKELETQTNVNLISMSAPYMLHLHPVTIPSAVSDTIGFDNTKFEDADSADGSMTDGMEHIFNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSASDQDLQQAQLWHLAQRTTALPFGRGAFTLATIYTLLTEAFVVPKLVLAGRLPAQQNATVNLDPNVRNIQEIKSWPEFNNAVAAGLRLAPLQGKMSRMWIIYNKPEEPNAIHAGLILALGLHGYLRVLTITDIYQYLYQEHEITTVGMMLGLAASYRGTMQPAISKCLYVHIPARNPPSFEVELQTLVQSAGLMSVGLLYEGSAHPQTMQILLTEIGRRSAGDNVLEREGYAVSAGFALGLVALGRGEDALGFMDTMVDKLFHYIGGKEVHNDRANSSKLSADEHNRAAAQMMDGTAVNVDATAPGATIALALMFLKTESQAIVSKLSIPHTRFELQYVRPDFIMLRVIARNLIMWSRVHPSQDWIQSQIPDIVKNGVNCLGDDTDDIDEMDAEAFVQAYVNIVAGACISLGLRFAGTKNGNAQELLYNYAVYFLNEIKPVSATSGTFPRGLSHYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFKLLRFLRNRNSADGHVNYGVQMAVSLAIGFLFLGGGTQTFSTSNSSVAALLITLYPRLPTGPNDNRCHLQAFRHLYVLATEARWIQTVDVDTGLPVYAPLEVTIRETEHYAETSFCEVTPCLLPERAILKAIRICGPRYWPQVIDLVPEDKPWWTPGDKNSPFNSGVLYIKRKVGACSYIDDPIGCQSLLSRAMHKVFGLTSLKASDSCSTGDNGPGSVTVDQLVATFSSDPSLIAFAQLCCDPSWKSRSDIDFQEFCLQVLFECVSKDRPALLQVYLSLYTAIGSMARQLSSDSVVLCDSLAISNLKLALAYNEALLSGRLTSSRGGNVQSNFIACLRKQVEELLNCSQDLKDDFCNYVHSGRWPNGESQGDKRRLLLSWYVQWFGVPSPSVIKVAVEKVKPKLKSSSLVPLLHLLFPRTHINAIAEIDKLFSS >ONI24642 pep chromosome:Prunus_persica_NCBIv2:G2:26605836:26619956:-1 gene:PRUPE_2G252000 transcript:ONI24642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLGVRHLTVLGEFKPFGLIAEAVDGKPADNVTDKYDYFLFDHETVRERDETDEASASALSDRCDHELFIRGNRIIWSTGPRVFKRFTLPSPVIMACWCRLGDMTEAHLCVLQIASLTIYNTSGEVVSVPLPRTIKSIWPLPFGLLLQHAAEVNSTAPAPSSSSNPLFGLRDLSRPRRESGHSPQHNFNSVTALDHIAKGEAISMPSHVILKDPLEEPHLAYNEERGKLNIMKEFDETTIWTSDRIPLMASYNTGRMQHSVWVAETSNSNHEMANASLLDAVPPGVLAKQFSFRRIWQGKGAHTAACKVFLATDDDSAPIICFLHHEQKKLLCVRLQSVEINNEILFDIKPDMSWSIPAVAAAPVIVTRPRVKVGLLPYSDMLVLAPENVLLLYSGKHCLCRYMLPCCLSKGRFSHKLEFPETTSVSQGLKIIGLADAVEGRINVTVNNGQMFRCVLRRSPASSLANDCITAMAEGLSSNFYSHFLSLLWKDGDLAYLSEADSNVPSEWDSFCSIMMEICGSSATSKKISSPMPQSSWEFLIHSKFHNNYCKHNLITQNSSVMSLDVQRLDSSWLNSDGTQRPERTFYYELLMESLHCLHAVYENLKLNSLRKRDLELLGFLSCYIAKFLGEESYVDHYIRDFPGLSGSVGICDTSISQENPPSLFRWLENCLLHGYNSANINDLPPLTCKDGSSVVSWARKIVSFYSLLSGAKPIGKKLSSGVYCNIATGSYDTNEELTVLAMVGEKFGLQQLDSLPSGVSLPLRHALGKCRESPPIGWPAAAYVLLGREDLALSYLARSCKSKELETQTNVNLISMSAPYMLHLHPVTIPSAVSDTIGFDNTKFEDADSADGSMTDGMEHIFNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSASDQDLQQAQLWHLAQRTTALPFGRGAFTLATIYTLLTEAFVVPKLVLAGRLPAQQNATVNLDPNVRNIQEIKSWPEFNNAVAAGLRLAPLQGKMSRMWIIYNKPEEPNAIHAGLILALGLHGYLRVLTITDIYQYLYQEHEITTVGMMLGLAASYRGTMQPAISKCLYVHIPARNPPSFEVELQTLVQSAGLMSVGLLYEGSAHPQTMQILLTEIGRRSAGDNVLEREGYAVSAGFALGLVALGRGEDALGFMDTMVDKLFHYIGGKEVHNDRANSSKLSADEHNRAAAQMMDGTAVNVDATAPGATIALALMFLKTESQAIVSKLSIPHTRFELQYVRPDFIMLRVIARNLIMWSRVHPSQDWIQSQIPDIVKNGVNCLGDDTDDIDEMDAEAFVQAYVNIVAGACISLGLRFAGTKNGNAQELLYNYAVYFLNEIKPVSATSGTFPRGLSHYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFKLLRFLRNRNSADGHVNYGVQMAVSLAIGFLFLGGGTQTFSTSNSSVAALLITLYPRLPTGPNDNRCHLQAFRHLYVLATEARWIQTVDVDTGLPVYAPLEVTIRETEHYAETSFCEVTPCLLPERAILKAIRICGPRYWPQVIDLVPEDKPWWTPGDKNSPFNSGVLYIKRKVGACSYIDDPIGCQSLLSRAMHKVFGLTSLKASDSCSTGDNGPGSVTVDQLVATFSSDPSLIAFAQLCCDPSWKSRSDIDFQEFCLQVLFECVSKDRPALLQVYLSLYTAIGSMARQLSSDSVVLCDSLAISNLKLALAYNEALLSGRLTSSRGGNVQSNFIACLRKQVEELLNCSQDLKDDFCNYVHSGRWPNGESQGDKRRLLLSWAAGEAWMVQTICYRMFISRLQVLFCAPHSSKTKYRGANHIHLQGIDPIG >ONI21752 pep chromosome:Prunus_persica_NCBIv2:G2:13649272:13654389:-1 gene:PRUPE_2G086200 transcript:ONI21752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSGINLVMTVIGFAVSTMFIVFVCTRLVCARIHLNVSRRSFPIASRSDLSILERGLHGVEPVVVANFPTKKFSDAFFSVVEDAQCTVCLMEYHGDDVLRILPYCGHSFHVTCIDIWLQQHSTCPVCRISLREFPERKRRMQPLFSSAIRSHYGRESFNTHSYRYMLNNRGSRTHDNRGMDPIQEDNLPSEGDAADTRENAPPLTENIQVSKDLANKRVESPSNP >ONI23722 pep chromosome:Prunus_persica_NCBIv2:G2:23958848:23959795:-1 gene:PRUPE_2G204200 transcript:ONI23722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMASKTISVCVAFFLIFVLLSQNTHSKSTHDQHDPFKFIQHLEGCHKGQNVSGLQELKKYLTKFGYLNYDHSKHANDEEFDDILESAIKSYQKSYHLKVTGTLDTTTGKQMMMPRCGVPDVVNGTRKGSKKHNHKHKSIHGVAHYEFFPGPRRWSKTHLTYRFSSSVNQVPGTQNVRSICAQAFQRWAQVTSFTFEEVPATSAADITIGFHRGNHGDGPGNAFDGPRGTLAHANPPRGGNFHYDADERWSSNPGPNEVDLESVTVHEIGHLLGLNHNPDLPDAIMYPYFDHGIIKRDLHRDDIDGIRALYGLQ >ONI22584 pep chromosome:Prunus_persica_NCBIv2:G2:19485530:19486033:-1 gene:PRUPE_2G137500 transcript:ONI22584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPREKLDTVFCCPFCNHGSSIECDIDMKNMIGEAFCSVCQESYSTTITALTEPIDVYSEWIDKCERVNTIEEEEED >ONI22109 pep chromosome:Prunus_persica_NCBIv2:G2:16530412:16534114:-1 gene:PRUPE_2G107700 transcript:ONI22109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLERERERERERERESMDIKRLGEDEVNEAAVLTNGEFRYSNDGSDARMTILPSALMGGQIQRSGIISVSEINNEHDHVPPPPPPPAEPSPNPSAEGWRKKLMEPSPNPSVEGWRKKFMECVKYPNKWLEEDRGLLMVVATMISTMTFQAVANPPGGVWQENNTNTSILLKDGSNYTVCSEEYLCVAGTAVLGHVFPTEFLRFIKFNTISFLASLSVILLLVSGCPLRNRICMWLLSMALCVTLTFMALTYLQVLLLVVVPFGDLNKSSDKMYKICFELWIGLLVMVSVMITIRFLIWVVKKSRPAYSGLQKIISNRSFCRNV >ONI23808 pep chromosome:Prunus_persica_NCBIv2:G2:24246806:24246991:-1 gene:PRUPE_2G209600 transcript:ONI23808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSQGQGHVSNSNFCCRHVREPCRSFGRRCSMLVKEQRARFYILRRCVTMLLCWHERGDL >ONI20946 pep chromosome:Prunus_persica_NCBIv2:G2:4646622:4650777:-1 gene:PRUPE_2G042500 transcript:ONI20946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDQQAVVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDIFSISFITKLLGRVYYTEQGAKKPGTLPPNVSAAVSSVALCGTLAGQLCFGWLGDKLGRKKVYGITLMLMVISSIASGLSFGSTPNGAMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTAGIVSIIVSSAFDHAYKAPPYSVDPKASLVPQADYVWRIILMFGALPAALTYYWRSKMPETARYTALVENNAKQAAVDMSKVLQVNLESEEGNVEEKVIEQQHPDKASFGLFTKEFAHRHGLHLLGTTTTWFLLDIAYYSQNLFQKDIFSAIGWIPAAETMNAIEELYTIARAQTLIALCSLVPGYWFTVAFIDHIGRFPIQLMGFFFMTVFMFALAIPYHHWTLKPNRIGFVVLYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGGFGFLYAAQSTNPAKTDPGYPPGIGMKNSLIMLGTINFFGMLFTLLLPESKGKTLEELSGENEE >ONI24748 pep chromosome:Prunus_persica_NCBIv2:G2:27001730:27002802:-1 gene:PRUPE_2G260100 transcript:ONI24748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIQSWVAEHKLKAIGAVWVSGIGASLAYSQARKPLKPSLRLIHARMHAQALTLVVLSGAAAYHYYEKHEADRQKEN >ONI22544 pep chromosome:Prunus_persica_NCBIv2:G2:19335980:19340125:-1 gene:PRUPE_2G135800 transcript:ONI22544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPLPENSSEEKPAISPNDSISHSLHTLEQSATPWIDYAVEQARVYQKTIEETFESAIEASRSRLSEIRSTSAAHFSQTINSLEDIKSDYGTYEDMFFGKIKEGVLIAASHPMITGGVAAGLGLLVLKRPRRLLYHKTLRLFVSEESLLSRADAKVKELRHSIDLLKAEGEKLEKRASHAEEELIRGRTKLRQTGKQIESVIRSAYKIERQAAGLKDILGELPRREASMFRSQVSKLATEAKQERNALTKEVTKISNYGISV >ONI24657 pep chromosome:Prunus_persica_NCBIv2:G2:26678270:26680989:1 gene:PRUPE_2G253400 transcript:ONI24657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALVPPLMVSTGFLPTGSLLQSLIHICNEVCSTEKLPVLQARNISTMIRRIKLLTSLFEEIQESNGPLPPSSILCLTELFSVIRRAKFLIQDCKDGSFLWGLMQTELVSNQFYVLVKELGRALDILPLSLLKVTADIREQIELLHRQAKRVELCIDLKELERREELLVLMGSNSEKNKKNKGFIDLVKVKDILCSIGLRNTMDYEEETLKLEAEAHKQAGSGGLIVVSNIHNLTSLVSYCKSIIFIDDEFEKPSKEDLKVQSTPSNRYYDHSSSSQSLIPNVPDEFRCPISLDLMKDPVIVASGHTYDRNSIAQWINSGHQTCPKSGQKLIHMALIPNYALKSLMQQWCEENNVPQVQSSPSSSSDLERSNSKRDLYEHAVDHISVVKAAVDAVKLTAEFLVGKLATGSPDIQKQAAYELRLLAKTGMDNRRIIAEAGAIPFLVTLLRSHEPRIQENAVTALLNLSIYNNNKILIMAAGAIDSIVNVLESGNTMEARENAAAAIFSLSMIDDCKVTIGKRPRAIPALVGLLKEGTPAGKKDAAIALFNLAVYNANKVSVVFAGAVPLLIELLMDDKAGITDEALAVLALLLGCSEGLEEIGKSRILVPILIDLLRFGSPKGKENSITLLLGLCKDGGEEVARRLLMNPRSIPSLQGLGADGSLKARRKADALLRLLNRCCFQSQNQIG >ONI21596 pep chromosome:Prunus_persica_NCBIv2:G2:11341437:11344311:1 gene:PRUPE_2G074900 transcript:ONI21596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPKTSSTTGGAGGRGFRARMEHYMYSGEKKHVFAGIVLVRAVFAVPWYLMTRGAEHQSHQDYLEKADKARSQRLSSGASSAPRTRCSRPKTANFNT >ONI24728 pep chromosome:Prunus_persica_NCBIv2:G2:26942250:26946019:1 gene:PRUPE_2G258700 transcript:ONI24728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKWHLPPVGGVKINFDGSELSLAEAQITSKEHCKMGLLGKLVKFLLGPCLKPTTTGGDSESLGPYGVSAATVGVSALAHDLFNFEITSQVPEGLSQHVVSSKKAQANWYRKLVEAWREAQPPPRTAEEAARLVIQTLNRQQKAVEGLLAFYGLPLPHTVVQVPAEVPTSWPQGVQFEFQTLPVDARAVADGDGLTVYVSTADPTESSRVPNEVLMAAVRRAKARAKKNYTKANELHKKITDAGYRVLNIQNEEILARKCRIRLRGIDAPENAMPYGQEAKQELVRLLEGKCLRVLVYGEDQFERTVADLHCNGIFVQEELLKKGAAWHYAAYDQRPEFARWEKEARGRRVGLWASSNPEKPWEWRKHRRSGRS >ONI21334 pep chromosome:Prunus_persica_NCBIv2:G2:7609098:7614809:-1 gene:PRUPE_2G060200 transcript:ONI21334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSPSSCCSSSSSSSSSFAGPETPHLNQAENQKPKAKRIRKNQSNPTEKCQNGTNPNTGGGRRSSIYRGVTRHRWTGRFEAHLWDKSSWNNIQNKKGRQVYLGAYDSEEAAAHTYDLAALKYWGPGTTLNFPIETYTKELEEMQKASREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTQEEAAAAYDMAAIEYRGANAVTNFDINNYIDKFKKESEETPPKCFDAGLPEDEKVKHKVQQLQPQQQQQQEQQEEQIVSTQPQYPHLLHCIESNTMDQVMDAADEHKFTWNFLDTGLMAQLPVPDIPLDNKPLELPDLFEDLGFEENFDLIFGAAGDADLGGLMESAGCGVDVGVPRNLEDNGPSSSPSSTTTSISF >ONI25189 pep chromosome:Prunus_persica_NCBIv2:G2:28301938:28305522:1 gene:PRUPE_2G287200 transcript:ONI25189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSNPSLLPEIGADGLAREASVITYTEKVIEEEQNQLRKYIQENYTKIRDVERELANLSMEMKLTAGPKKSALEHLRKKIEMSTERIHAAKLKEEQARKAWEAAVQVVKDEEAIKQSLCEDLNQLVQESSSSQFTRLEELKRRLEALNPSRSSISVSYDRKSMGVAQSGTAPDASTVPRSTESGRGTENTPNPGNGGNVSVTNGHNQQPSAEGEGRLKKKSQFQGKGRGIGAVPKGRGSPAPGWTGAGFDA >ONI25201 pep chromosome:Prunus_persica_NCBIv2:G2:28338969:28340859:1 gene:PRUPE_2G288300 transcript:ONI25201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNMCMRSKDTGEGGRESNRQKWTTKSAFCIVAGIIGAGQLASGLSFEVFFKAPLIVPLSKLCMPIVIANFIFFYFIKCTNCLCLLIIV >ONI24947 pep chromosome:Prunus_persica_NCBIv2:G2:27502679:27503773:1 gene:PRUPE_2G271000 transcript:ONI24947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLMLAFPTTRSFNSNTQKTPNSKMHLNSFQFTLFLFLFISATSFSWASSAAVFGGKKGSGSKDMSSELNAENGPPTSGSGSAHGPNWGYSWGWGATPGGGWGYGSGSGRSPAGFGRGSGFGFGSGSGSGGGGGHGGGYGSGYGSAGGGAGEGGGGGGAGGGGGNNHG >ONI20607 pep chromosome:Prunus_persica_NCBIv2:G2:2479625:2482309:-1 gene:PRUPE_2G025000 transcript:ONI20607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLSRTFPSVKHPNPKSPKISSFPRSLPIKSEEATLNSPSKSRRSWSVYLILSTNTPIKTYVGVTTDFSRRLKQHNGELKGGAKASCAGRPWICACLIHGFKDQSEACEFESKWKSLSRSLSRKRKNDNKVEQVKDLSLPLLQHRQAALNRVKGLLDCTHLEIDWKLGPL >ONI20608 pep chromosome:Prunus_persica_NCBIv2:G2:2479625:2481667:-1 gene:PRUPE_2G025000 transcript:ONI20608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHIFIHSTPVDCASFLIILLKFFCSLKQHNGELKGGAKASCAGRPWICACLIHGFKDQSEACEFESKWKSLSRSLSRKRKNDNKVEQVKDLSLPLLQHRQAALNRVKGLLDCTHLEIDWKLGPL >ONI21488 pep chromosome:Prunus_persica_NCBIv2:G2:9967692:9968316:-1 gene:PRUPE_2G069500 transcript:ONI21488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMLWTERNNILFGGNPLSVVELVMQAKNDHMELKAAEVGCHRSTSLPAPTVRWQPPQQGFLKLNVDAAVDMKGLVSAVSMQAPGRVSFLATELYAMKIGLSFALEAAVVPFVVESDSLLAVQLVAVQHISRNANMLAHRIAKFNLRVRGFDFWMEARPRWLMDCVL >ONI22390 pep chromosome:Prunus_persica_NCBIv2:G2:18242320:18243483:-1 gene:PRUPE_2G125900 transcript:ONI22390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTIDFRRLDEGFGGKTYKRKRNPEPTANEDALVFGPVGAAMEIDDTCLPPSKRSAVPSSDNPDKPSFGKPSYDGVIAGRVSGRKWKQPRKQRASATQVSHKGTTFEERAKEKEIKRAYRERMAELKGDIKKNKEEKRKKKEERDKKKQENILRSGTKLQVISNPKTLKKIAKSKDRKLLKVVPDDLINKNKKKKKNNNDD >ONI20827 pep chromosome:Prunus_persica_NCBIv2:G2:3872019:3883568:1 gene:PRUPE_2G035800 transcript:ONI20827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPGQLVFLLENGHHVWEDQSLIKWRKRDAHVPLRCHDSIEGSLKYWYERNKVNFLVSNSAVWDDDAVPGALDSAALWVKDLPFVKSLSGYWKFFLASSPRNVPVNFYDTAFQDSEWETLPVPSNWQMHGFDRPIYTNVVYPFPLDPPFVPVDNPTGCYRTYFHIPKEWKGRRILLHFEAVDSAFCAWLNGVPIGYSQDSRLPAEFEITDYCYPSDMDKKNVLAVQVFRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQVFIADYFFKSTLAEDFSYADIQVEVKIDNSRETSKDSVLANYVIEAALFDTACWYSIDRYADLHLSNVASIKLNLSSSTSLGFHGYLLVGRLDMPRLWSAEQPSLYTLAVTLKDASGNLLDCESSLVGIRQVSKAPKQLLVNGHPIIIRGVNRHEHHPRLGKTNIESCMVKDLVLMKQYNINAVRNSHYPQHPRWYELCDLFGMYMIDEANIETHGFDLSGHVKHPTLEPSWATAMMDRVIGMVERDKNHACIISWSLGNEAGYGPNHSALAGWVRGKDPSRLVHYEGGGSRTSSTDIICPMYMRVWDMLQISRDPNETRPLILCEYSHAMGNSNGNLHEYWEVIDSTFGLQGGFIWDWVDQALLKDNADGSKHWAYGGDFGDVPNDLNFCLNGLTWPDRTPHPALHEVKYVYQPIKVSFSKETLRITNTHFYKTTQGLEFSWDVHGDGCKLGSGILPFPLIEPQKSYDIKWRSALWYPLWTSSSAEEYFLTITAKLLRSTRWVEAGHVISSTQVQLPSKREIVPHVIKTEDAVFVSETLGDKIRVSRHSFWEIIFSVQTGTVDSWTVEGVPLMTKGIFPCFWRAPTDNDKGGGASSYFSLWKAAHIDNLHYITQSCSIQNKTDHLVKIAVAFHGVPKEEGALYKGKKIKIEVDVIYTIYGSGDVVVECNVRPSSNLRLLPRVGVEFHLDKSMDQIKWYGRGPFECYPDRKAAAHVAVYEQKVEDMHVPYIVPGECSGRADVRWVTFQNKDGFGIYASVYGSSTPMQINASYYTTAELDRATHNEDLIKGDDIEVHLDHKHMGLGGDDSWSPCVHDKYLVHAVPYSFSIRLCPITPATSGQAVYKTQLQN >ONI21015 pep chromosome:Prunus_persica_NCBIv2:G2:5077131:5078165:-1 gene:PRUPE_2G045300 transcript:ONI21015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRENKKLVEEVSGWLRVFNDGSVDRTWTGPPEVKFMSEPVAPHKEFVNGVATEDVIVDEPSGLRVRIYLPEQKQEGHDDNKLPIILHFHGGGFCISQADWYMYYHIYTKLARATNAIVVSVYQRLAPEHRLPAAIDDGYTALLWLRKLARGEQKEPWLLSYADFSRVFLIGDSSGGNIVHHVAARAGTVDLSPLRLAGGIPIHPGFVRAERSKSELEQPESPFLTLDMVDKFLGLAIPVGSTKDHPVTCPMGPGAPLLDGLKLPPFLLCIASKDLIIDTEMEYFEAMKKANKDVELLINKGMTHSFYLNKIALDMDPETASETEILITRIKEFIKNH >ONI23252 pep chromosome:Prunus_persica_NCBIv2:G2:22241673:22243743:1 gene:PRUPE_2G177400 transcript:ONI23252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDWDLQAVVRGCSTGTTTSNRSTATTNSNNSTCFKISNFHSSPAFSSSFGTATLQPGLQQPQFQVLFSLPDPIKPRNAIEDLHELYKPFFPKSQPPLSSQITPPPNLSPLTSLTPLTAPKDQTHPIQQHQHQNHYQQQQQQQQSKPSSHSVSSTTTPRSKKRKNQLKKVCQVPAESLSADIWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERNRSDPNMFIVTYTAEHNHPAPTHRNSLAGSTRQKPFSPQTVTGSDSTKPTSPATSASVDEDPVVPQSTTMESFKEEKGSPMVDDDDDELFGMCDSVVSDDFFVGLEGLAGDYFSDHSPTSFGVPWVSSNAATAAGSI >ONI21578 pep chromosome:Prunus_persica_NCBIv2:G2:11177144:11178328:1 gene:PRUPE_2G073900 transcript:ONI21578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLVFSPYNEYWRHLRKITVMELFSVNRVWSFRSIREEEVWDLIEFIASSEGRSINLSDKIYTMTSFNIADLYPSLAFLCLILENIVGEHKMKRKASNNTSGDERDLVDTLLNYEEANKPEFHLTTNQIKVVAMGRPDIYFAGSESFATTIEWEMSELLRNLRVMEKAQSEFRVAPTRCRCRICLGISFATSNIELGLAQLLYRFNWKLPNDTKSEALDMAKNFGITDSRRNNLHVITTIHIPFRK >ONI25854 pep chromosome:Prunus_persica_NCBIv2:G2:29972651:29975736:1 gene:PRUPE_2G323700 transcript:ONI25854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSMSSSSILKSDSRCPLREPFLQKRNSRENLDRFIPNRSAMDFDFAHFMLTEGRKGKENPAMVSSPSREAYRKQLAEAMNMNRTRILAFKNKPPAPVELFPREFSSLPQDKPAKPRRHIPQTSERTLDAPDLVDDYYLNLLDWGSCNVLAIALANTVYLWDATNGSTSELATFEDEVGPVTSVSWAPDGRHIAIGLDNSEVQLWDSTANKQLRTLRGCHRSRVGSLAWNNHILTTGGMDGCIVNNDVRVRSHIVETYRGHEQEVCGLKWSASGQQLASGGNDNLLHIWDRSVASSNSPTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMAKIAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPEVAKPAPKSNPEPFAHLNRIR >ONI20557 pep chromosome:Prunus_persica_NCBIv2:G2:2179436:2184302:1 gene:PRUPE_2G022300 transcript:ONI20557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTSFSNFSTTQTLFLFSPNNPQHFSISPKSLSLTLISRSKVTTTPSLSFTTSRLTRFSFIASSSAAYGGGPPAGGGVGGGGNDNNNQDNGGGDDGGEDRERNKVEAVAAIAEAGRSSESLPKDLAAAIEAGRVPGSIVRRFFELEKSAVFRWLLGFDGFKERLLADDLFLTKVAIECGVGIFTKTAAELERRRENFTKELDFVFADVVMAIIADFMLVWLPAPTVSLRPPLTVSAGRLAKFFYGCPDNAFQVALAGTSYSLLQRIGAIVRNGAKLFAVGTGASLVGTGVTNALINARKAIDKSFAGEAEDVPILSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHQHKIILSAICFAVRTGNTFLGSLMWVDYARWVGIQKIRD >ONI24966 pep chromosome:Prunus_persica_NCBIv2:G2:27579605:27583630:-1 gene:PRUPE_2G272700 transcript:ONI24966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGVVENGVCSSASVNGSHDVWSSNESDSSSADHLVVMVHGIMGSAADWKFGAEQFVKMLPDKVFVHCSERNGSRLTLDGVDVMGERLTEEVVELTQRKPNLRKISFIGHSVGGLVARYAIGRLYRPPKNENSEHSSPNGSEEDPRSTLCGLEPMNFITVATPHLGSRGNKQVPFLFGVPAFEKVASAVIHLIFRRTGRHLFLNDDDDGKPPLLKRMIEDYDECYFMSALRSFRRRVVYSNVGYDHIVGWRTSSIRRNSELPKWEDTVDEKYPHIVYEEHCKAYDAEQCEPTSVENDGSDKLEVELLTGLSRVCWEKVDVSFHCSRHRFAAHSVIQVKDQSVHIEGEDVIRHMIDRFLK >ONI24320 pep chromosome:Prunus_persica_NCBIv2:G2:25673908:25674859:1 gene:PRUPE_2G234100 transcript:ONI24320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLLSSTSMRIITLVLRIVTAVTLLASLGLLLIISHPVKDLNERGEVKETWIMRFYHRVPFRYTAATIIIGAAYSIFQIVCAIIRLAKRNEGNVMLDFFGEEVLSKLLVSGAVAGFLGSSELVKAWEEYGVNREDINSFTNKTYAANGLVLLSFVFSFVLSVLSSYALARNISLSET >ONI20286 pep chromosome:Prunus_persica_NCBIv2:G2:675955:676793:-1 gene:PRUPE_2G007000 transcript:ONI20286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPFSFMIISTAGLLLRIPCLIHFCLFMSALMSLSTLLQVGHSAFEDQGNGSPNDFRNLSKDIFDNDVMTLFLK >ONI22288 pep chromosome:Prunus_persica_NCBIv2:G2:17701972:17705289:-1 gene:PRUPE_2G119000 transcript:ONI22288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMLVNCSNCRTPLQLPPGAESIRCALCQAVTLIADPRTLPPPASSHAPTPPSSYSHAPSHHSPYSQAPPPPSPYSHAPPGPPPNAHGRKKAVICGISYKYSRHELKGCINDAKCMRFLLINKFHFPEDSIVMLTEDNNGEQPMPDLPDQDPSSSDSDSDSGLEDGDQAQKNLQLQTLEAELSINRGNYNGHVQYIKILRQMADIVKLRQAMEAMNELFPLTPSMWIGSTGSHAFPAIEKLYEQGVFEYLSISLWHDYLYFVQQNDPSVRECSSAGILKARNLFERALTAAGLHVSEGNKLWEGYREFEQAIFCYETDNQAREKQIQLIRNLFHHQLSVPHVDMRSTLLDYKAWEVERGNILDAGSSDLDGISSHVASVYQKALELYNASFHLEKQICRQGIYLWLEYTHYLDETLKVGSIITNVYSRAVKNCPCVGELCVRYLLLLERGHASEKEISTVFEKSLQCILTLNEYLNLYLTRVDGLRRRLSCPVEGENVLDYSLIRETFQNASDYFSANLKITDGLLRLHAYWACLELNLPKDLLAAREVWESLLKIRDSMLEAWQGYIAMETELGHFSLYVGDAKRFPATGSEDICHLWLCFEREFGSLDDFDHAVQKVSPRLEGQQLFKSQQETKFTGEGDDYFKKNACDKRKQVSEIPDEQYPAKWQKNAAQKIKKAHGKDSEILNSFEQNTEEKIKAKGDKPDVENEQPMKEPVSEKTKVHADQCTAFVLNFPSKANEEHLHQIFGDVGGVVAIRILHDKFTGKPREMAFVDFSDDAHLVAAVAKNKQRLLGKKLSIGKKEHARGSESRESAETSNGSRAHRALQSTHTRGSDNVQLRGRNTFATPRNILSLGQTANKPKTEEQGDEKPKSNDELRNMFLKG >ONI22608 pep chromosome:Prunus_persica_NCBIv2:G2:19648136:19649607:-1 gene:PRUPE_2G139200 transcript:ONI22608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIKVHELRNKTKAELLGQLKDLKAELALLRVTKVTCGAPQQSLQNQGGEAFNSIGVDNDFPKSCSKISLQEQEVSSTRFAPKEDQGHQKASDQASGIFKDIKGEEEEYVLSIEEVCNRSIMLDPIMKEIMAFRP >ONI21629 pep chromosome:Prunus_persica_NCBIv2:G2:11798848:11803544:1 gene:PRUPE_2G076800 transcript:ONI21629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPLNSKSHIHARSNNLPSTSHPSVTEFEENLCRLRASETLFIIILIHSLSRLRDLHECVENFLLLPLTQQALAQECEDKWINELLDGSLRLLDVCGIIKDALLQTKECMHELQSIMHRRRELGKPKRVACEGEEVANTNELEKVDAALQSLVSHKSKKYDNTMQVEDVQIWLQDLEANIQDIEEGLECLFTHLIKTRVSLLNIFSH >ONI20681 pep chromosome:Prunus_persica_NCBIv2:G2:3008435:3008983:-1 gene:PRUPE_2G028900 transcript:ONI20681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLFLYCSLFVFCSTLFHSTDGVLIRQFGRSDKTENVSHLHFFFHDILSGKNPTSVRIIGPPNGSSAGFGSTMMIDNALTEEQDPKSKIIGRAQGFYSMAAQNDIALLMVMTLVFEDGKYKGSTISILGRNPVLNDVREMPIVGGTGQFRFARGYVLAHTVWFDANTGDATVEYNVYVSQS >ONI23530 pep chromosome:Prunus_persica_NCBIv2:G2:23179980:23183022:-1 gene:PRUPE_2G193200 transcript:ONI23530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFVGNFEYETRQSELERLFSKHGRVDRVDMKSGFAFVYFEDERDAEDAIQNLDNKPFGYDRRRLSVEWAKGERGRHHDGSKSVANQRPTKTLFVINFDPLRTKVRDIERHFEPYGKVLHVRIRRNFAFVQFDTQEEATKALEATHASKILDRVVSVEYALRDDGERGDRYHDSPKRGSYGRRGDSPYGRSLSPVYRRRPSPDYGRPRSPAYDRYNGPMYDRHRSPDYGRNESPEYGRYRSRSPVRRSRT >ONI22243 pep chromosome:Prunus_persica_NCBIv2:G2:17465306:17468126:-1 gene:PRUPE_2G116400 transcript:ONI22243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFCNWHGVTCGGRHQRVTMWKFEFLARDSSATFNNLNGSIPDIFGQKTSLCYLALDGNSLSGMIPPSIFNLSSLSLFSVSINKLQGTLPTNLGIAFPSLEYVGLNANQFSGPISNALNLVHLAISENQLHGKVPSLHNLYRLELSSFSVNKFGGILLHCIGNSTFSLLYFYFGDNKISGSIPNAIGNVVNLERNRLSGLIPLDLGKLQKLYHGIKPPEVIAASSSYTVLDLSQNHFTGSIPKELSGEIPTSLGSCIKLEILRMDGNFCQGTIPSSLSSLRGLEELYLQRNNLSGMIPEFLEEFLQSFSRMQLHHQSKGRIEPDHEIDNLSHFWSFWSHFALVVLYLHCSRRERIKEHTKSDSEKFLTVSYQSLLKATDWFSSANLIGTVSFGSIYEGVVEQGETTVVVKVLNLVHRGALKSFASESEALKNIRHRKVLSACSGFNHRGKRPTDDTFQGSSNLQNFVKEALPTKQVVQIVQEKLEGEMSANNHLVEDSRRIHIKIEESLTSILEIGVACSAMVGHYAAMAGMCQIRKTLQESRIFE >ONI24655 pep chromosome:Prunus_persica_NCBIv2:G2:26671085:26673381:1 gene:PRUPE_2G253200 transcript:ONI24655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGKLPYKPLNSQYILTVAARFFTTQSPNFNTFPDIPTSAYYDDQVNAANRSRDFDDLPHLLNKRVKDNCFNTTNTFKFITKTDASLSVLNDLCRTLARSLCVVEMMARENHGLNACSFHPILNVVMEEAWGVVDLMRRLGASPDLTAYNYLLIAYCFFGDLDLTTAVLKRIEEKGMSADTRTYDALVLGACKVGKVEGALVLLRRMVDDGVPMQLSTHVYMIDSLLNASFYDQAVKFVRSFSGRDTWLNKKNFGSLGYRLIKLKSPVFFLIFRSTPNPSLLPTPKNPAVSLFLHHRPEPNPKPFMHRPTRTRAPGGRDDCWSEGATSTLITAWGDRYLQLNRGNLRQNDWKEVADAVNSGLNGGVKAPKTDVQCKNRIDTLKKKYKLEKSKPSPSTWPFYHRLHSLIGSSPDAAVSTKRKPSIPTLTVKSPDLKPKPPGGSRESSIGCEDGEDCNGDSVRKRRMEAVGLSGGAACRELAGAILKFGELYERMENLKQEQMMELEKQRMEFTKELEFQRLNMFKDAQVELEKMKRPKYAPCRGKKL >ONI22287 pep chromosome:Prunus_persica_NCBIv2:G2:17698478:17700033:-1 gene:PRUPE_2G118900 transcript:ONI22287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHWLVQGCQPGDSLLFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINAVIVRPIPHGVKLHAIIDACHSGTVLDLPFLCRMDRNGRYVWEDHRPRSGVWKGTSGGEVISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGQAGTYGSILNSMRSTLRSTGSGSGLGGGVTSLLGGGGGGVTSLLGMLLTGGSDTGGLRQEPQLTACEPFDVYTKPFSL >ONI20255 pep chromosome:Prunus_persica_NCBIv2:G2:480125:480573:1 gene:PRUPE_2G004900 transcript:ONI20255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKATVETKILPKINEKLRCHKTYSQYQSQLKYFKREYQTSPSQRIFGIFKSRFTIFKSAPPFLYATQTEIVLACAGLHNFLRKECRSDEFPVELKDESSSSSSLPVNEWDPELVFQTQEQQ >ONI25950 pep chromosome:Prunus_persica_NCBIv2:G2:30201632:30205095:1 gene:PRUPE_2G328500 transcript:ONI25950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSCSFVVGPISSPPHKIAPTSPPHVSSPPLYSPTFPRISFFLYPPTTSPFPLPDPHGHSNSITSTSSASAFAFLHHPPAAKRLSSASMTRGPHGFLRASSCCSFRGDAGAQFETGSGADDEQDKSAADEEEEEDDDDDDLIVEEEEAGRDFGSSLLPERWDVLGLGQAMVDFSGIVDDEFLEKLGLEKGTRKLVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGSRSIGGSPLNVAMAGSVGSDPLGGFYRSKLRRANLHFLSAPITDGTTGTVIVLTTSDAQRTMLAYQGTSSTVNYDPCLASTVCNTKILVVEGYLFELPDTIKTITKACEEARRSGAVVAVTASDVSCIERHYDDFWEIVGNYADIVFANSDEARAFCHFSSKESPISATRYLSHFVPLASVTDGPRGSYIGVKGEAVYIPPSPCVPVDTCGAGDAYASGVLYGILRGVSDLKGIGTLAARVAATVVGQQGTRLRIQDAVELAESFAFNLDSSTIRSDIGSDHISSF >ONI25204 pep chromosome:Prunus_persica_NCBIv2:G2:28353583:28354443:-1 gene:PRUPE_2G288600 transcript:ONI25204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPMADAASWYCATILLALILFASIRENDSMPDNDPVRGAKHFVINRPCDEIYVVGEGETLHTISDKCSDPFIVERNPHIHDPDDVFPGLVIKITPSRP >ONI25157 pep chromosome:Prunus_persica_NCBIv2:G2:28197631:28198935:-1 gene:PRUPE_2G285000 transcript:ONI25157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSYWKLNGKGKSAERSNEDILQLEAEATDKNEWKREITKKLHQKVATGSENLNIYKVPKNLRKVKDEAYNSRIVSIGPFHLGNHALVAMRHHKWHYMQYFYARIEDHPQSLQCLEVCTNAIYDLDEKVHGCYSEKIDHIDKNVLAEIMLLDVALYWNFSSALRHDLILLENQIPFFILKSLYDAMKSHLKGTPNSVTSLALKFFEMNQKSTKEEPDTKNHHLLHLLHKFYLPNLDSITTNHQLKRRIPRPEQAAATNIKTWGFNFSASNLLRAGIEFEKGLSEDHLLNITFVKGIIRIPPVDIHLTSDSLFRNLIAFEQCSLRSTHHITRI >ONI22103 pep chromosome:Prunus_persica_NCBIv2:G2:16475915:16478143:1 gene:PRUPE_2G107200 transcript:ONI22103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGKQEQKIILKSPALLKYILDTSCYPREHEQLKQLREATVEKYKYWSIMNVPVDEGLLISMLLKIMNAKKTLELGVFTGYSLLTTALALPHDGKIIAIDPDNEAYEFGLPFIRKAGVDHKINFFHTDALSVLNDLITNGKEEGSFDYAFVDANKDNYIEYHELMLKLVKVGGIIAYDNTLLFGSVTEPEETVEETLRLPRKHTRDLNSFLATDSRIELAHVTTGDGLALCRRLE >ONI22719 pep chromosome:Prunus_persica_NCBIv2:G2:20307724:20317710:1 gene:PRUPE_2G146800 transcript:ONI22719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEADSRLREVVAPALDKIIKNASWRKHAKLASECKAVLERLSNPSKSKPDSNSDPESSGPGPLHDGGSEEYSLADSESILSPIINAAGSGVLKIADPAVDCIQKLIAHGYLRGEADASGGGAEAKLLTKLIESVCKCHDLGDDQMELLVLKTLLSAVTSISLRIHGDCLLQIVRTCYDIYLGSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPIHPIVVAELMDPIEKSDADGSMTMFVQGFITKIMSDIDGVLNPTTPTKVSLRGHDGAFETTTVETTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGELERDEDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPELMKGKIVALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASTLMIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLEKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGVATTLLPPQEATMKLEAMKCLVGVLRSIGDWMNKQLRIPDPHSNKKFDATENSLESGGLPMANGNSEEPVEGSDTHSEASSEASDALTIEQRRAYKLELQEGISLFNRKPKKGIEFLINANKVGDSPEEIAAFLKNASGLNKTLIGDYLGEREDLSLKVMHAYVDSFEFQGLEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAECYCKCNPKAFTSADTAYVLAYSVILLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEEYLRSLFERISRNEIKMKEYELAPQQIQSVNPNRLLGLDSILNIVIRKRGEELETSDDLIKHMQEQFKEKARKSESVYYAATDVVILRFMVEVCWAPMLAAFSVPLDQSDDEVVISLCLEGFRHAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNESEKSKQAKSTILPVLKKKGPGRMQYAASAVLRGSYDSAGIGGNASGMVTSEQMNNLVSNLNMLEQVGEMSRIFTRSQKLNSEAIIDFVRALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSNFFVTIGCSENLSIAIFAMDSLRQLSMKFLDREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLRFCATKLADGGLGSSSRNKDKEASGKISPSSPQAGKDGKQENGEMPDKDDHLYFWFPLLAGLSELSFDPRPEIRKSALQVLFETLRNHGHLFSLPLWERVFDSVLFPIFDYVRHAIDPSGEGSPGQGIDGDISDLDQDAWLYETCTLALQLVVDLFVKFYNTVNPLLKKVLVLLVSFIRRPHQSLAGIGIAAFVRLMSNAGDLFSDEKWLEVVSSLKEAANSTLPDFSFILSGDSIIGNYEPALSREDNGGSTVSGRPDDDSERLRTNYLYAGISDVKCRAAVQLLLIQAVMEIYTMYRSHLSAKNTLVLFDALHDVATHAHKINTDTTLRARLQEFGSMTQMQDPPLLRIENESYQICLTFLQNLVEDRPPGYDEEEVESYIVDLCREVLHFYIEAASSGKISESSSGHHHWLIPLGSGRRRELAQRAPLIVATLQTICSLGETSFENNLSEFFPLLSSLISCEHGSNEVQIALSDMLRSSVGPVLLRSC >ONI25788 pep chromosome:Prunus_persica_NCBIv2:G2:29848903:29850205:1 gene:PRUPE_2G320400 transcript:ONI25788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLSEQKDFLLSYQFRKRRPNLPWWLHVGVSQQRDTNRSPKLRSLVNDHNHSI >ONI23407 pep chromosome:Prunus_persica_NCBIv2:G2:22801189:22803346:-1 gene:PRUPE_2G187400 transcript:ONI23407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILDIFFALLFLFPHIYARDDCPVSVCGYTGFPIRFPFRLQARQPENCGYPGFNLTCNSQGLTVIKLPLSGEFFVRAISYATQEIQLYDPNNCLPQKLLSLSLAGSPFVAAVYQNYTFLSCPASFTKSRFTPIDCLSNSTTSVLATPSTALANSMSTTCRILATLAVPVTRQVQTEDGFSTNLDSDLFLTWYEPACSACEIQGGICGLLSNTSQELVCDYNSTAGGSNKGFQVFRIICVSITVPALTCAVGIACFACIKDRVPGGSAQRSATAVAAEPQPQEPTIVTMGLDQTTIESYQMLVLGESRRLPGPNDTTCAICLSEYLTKETVRCIPECKHCFHAACVDEWLRLNSTCPVCRNNPSPAHADSNSNNV >ONI25345 pep chromosome:Prunus_persica_NCBIv2:G2:28742209:28742400:-1 gene:PRUPE_2G297100 transcript:ONI25345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERASETKNIERKKIMSACDVEALKKCLEENKGDYVKCQSQIEAFKSSCALKKPNPSLASVP >ONI22510 pep chromosome:Prunus_persica_NCBIv2:G2:19206109:19209643:1 gene:PRUPE_2G134000 transcript:ONI22510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPLTTTIRCFMANPWPTPFDHGLNQKTKRPSENESVNPKTPTLKYLGEKRRGRREQGEERRGKTMSGFPFGSSSSASSQFTPLPLTKPPVISPATSTGFSWSSAPAFGSSSPSSAAAHSFGFRSSAATSAPSSWFCSSASTVSASSSPLIGSASASASSRFSSISFTLSAPSSLFSSSTVSSTPLFSSASSSSASTTPSFPRFSFQPASRLSKPTTSTPITVTPPATASSFSCAPSTSFGSQPSFVFSDTASSPAPISFAKPTSQSFSTRSVPLFSTVTTTSVSSTPAASTTTKASFSMPRFGATPTTRFLRKKQTPVAAMTTTQTSTSLVESSTSGTTSTVSTKISTAPKLPSEITGKTVEEAPLTSFKHETSYPITTSGPSATSDCSKLVEQSTLAAEVQTSNAISPVQPSSLDFDALDLETQLALICGGSSSSGFTPSVNAEQAISRIKLWQAKDFAVEEGHQSVGELINNLQILAEENLIPKSIFSSGVTSAQEFQQAADLWGTIHTSFEQAITCRNVYKRSTENLEKDRSQCFAQDFELQYLKNEAQEVEAEIAKLQTQLKAIKTKEKEVRIKLQRNLRDAWELQKQITTAQPGLEESHALILRGSKLRADMDAKFRVLKVILT >ONI25151 pep chromosome:Prunus_persica_NCBIv2:G2:28175194:28176179:1 gene:PRUPE_2G284400 transcript:ONI25151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMGFNAFCLPGRKIVVFTELLKHFRSDAEIATIIGHEVGHAVARHSAGGITKNLWFAIMQLIRYKFVMPDVVNAMSNLFLRLPFSRRYSTVHLLPTFSVITSYD >ONI21982 pep chromosome:Prunus_persica_NCBIv2:G2:15578434:15583556:1 gene:PRUPE_2G099800 transcript:ONI21982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLISKRQVIKSSCPAKYMLSQEGQEAARECLMGSSMENPIEKTANAKVLSVLDVDNISYQELAHRDSATEMILLSPTVSRWKKSFDIPRLYIVKSHPVPKSRSDDFKASSNVFSLPPLSFGETFEDAYEVILISDDREQFATQGSRPGRIIENVRTQFKIKIEVRRLPVGDGI >ONI24767 pep chromosome:Prunus_persica_NCBIv2:G2:27058197:27061686:1 gene:PRUPE_2G261500 transcript:ONI24767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCIHSYGSIASFSVPSSPSFTRRSRCASKPKLLCALGGSVAEPKVTSVAEPLLLNAVRGDDVERPPVWLMRQAGRYMKSYQTLCERYPSFRERSENVDLIVEISLQPWKVFKPDGVILFSDILTPLSGMNIPFDIVKGRGPVIFDPLHTAEDVDQVREFVPQESVPYVGEALTILRKEVLHALLQKFTTSMTKYIRYQADSGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDGVKETHPDLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRRRLGPNIAVQGNVDPGVLFGSKEFITSRINDTVTKAGRGRHILNLGHGIVVGTPEENVAHFFEVAKGIRY >ONI24766 pep chromosome:Prunus_persica_NCBIv2:G2:27058197:27061686:1 gene:PRUPE_2G261500 transcript:ONI24766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCIHSYGSIASFSVPSSPSFTRRSRCASKPKLLCALGGSVAEPKVTSVAEPLLLNAVRGDDVERPPVWLMRQAGRYMKSYQTLCERYPSFRERSENVDLIVEISLQPWKVFKPDGVILFSDILTPLSGMNIPFDIVKGRGPVIFDPLHTAEDVDQVREFVPQESVPYVGEALTILRKEVDNQAAVLGFVGAPFTLASYVVEGGSSKHFTKIKRLAFSQPKVLHALLQKFTTSMTKYIRYQADSGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDGVKETHPDLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRRRLGPNIAVQGNVDPGVLFGSKEFITSRINDTVTKAGRGRHILNLGHGIVVGTPEENVAHFFEVAKGIRY >ONI24810 pep chromosome:Prunus_persica_NCBIv2:G2:27139919:27141149:1 gene:PRUPE_2G263300 transcript:ONI24810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLRTLPLTPPHGKFPSNFGVAECLSNRGGFVSKSSQFRVLAKTEKGEKGDKEEPKKTKQSLFSSVTEALDFSQTRSAEDALLIEDAREATKSGEKMSREQYGALRRKIGGTYKDFFKSYVDVDGQYVEEGWVDKTCKVCKKDTGGEPRQVDKLGRYVHVACMEKSKSGNFFTRLFSG >ONI23328 pep chromosome:Prunus_persica_NCBIv2:G2:22506940:22510062:-1 gene:PRUPE_2G182700 transcript:ONI23328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRLPKACDLQKLFLAGMAMVATLSCLSHSKCEFEAIFNFGDSNSDTGGFWAAFPAQSGPYGMTYFKRPVGRATDGRLMLDFLAQALGLPFISPYLQSIGSDYRHGANYATLASTVLLPNTSLFVTGISPFSLAIQLNQMKAFKAQVEEHSWDQQESKRLPSWDIFGKSLYTFYIGQNDFTSNLAAIGVGGVKQYLPQVVSQIAGTIKELYALGGRAFLVLNLAPVGCYPSFLVGLPPSELDAFGCSISYNNAVVDYNNMLKETLRQTRGSLPNASLIYVDTSSVLLELFRHPTSHGLKYGTKACCGHGGGAHNFDPRVFCGNTKVINGRTVTATASSDPYNYVSWDGVHATEAANKLVTWAILNGSYSDPPFPFHDFCDLQPLN >ONI23908 pep chromosome:Prunus_persica_NCBIv2:G2:24635249:24643038:1 gene:PRUPE_2G215600 transcript:ONI23908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPLPWDRKDFFKERKHERSESLGSVARWRDSPHHAPRDFNRWPSADFRRPPGHGKQGGWHLFSEDSGHGYASSRSGDKMLEDESCRPSFSRGDGRYGRNSRDNRGSYSQRECKGHSWETSSGSPNTPGRPNDVINEQRTQDDMLTYSSHQHSDFGSTWDQIQLKDQLDRMGGSTGLGAGQKCERENSLGSIDWKPLKWTRSGSMSSRGSGFSHSSSSKSIGAIDFNEAKVESQPKNATPVQSPSGEATTCVTSAAPSEETTSRKKPRLGWGEGLAKYEKKKVEVPDGSMNKDGAVCSVGNMEPVHSLSSNLADKSPRVTVFSDCASPATPSSVACSSSPGVEEKSFGKTANVDNNNRNFCGSPSPMSQSHHEGFTFNLEKLDCNSIANLGSSLRELLQSDDPSSVDSGIVRPTAMNKLLIWKGEISKVLEVTESEIDSLENELKVLNSDSGASCPRPATSSSLPVEDNDKSFKEQVTVTNLITRPAPLQIHSSGDADVEKMCLGNGDQVEFCGIVKDEDIDSPGTATSKFVEPLLKVVSSSDVMSHNDCSGDLDPIETTKGEAKCLVPGKDEVKTDLSACGNSSMLLGSEIVAPVSGGLGFCFSVVDTICNSICSSNKESANRSFEVFNKLLPREHYKVDISGVSISSSGKNDSLIKEKFAMRKRRLRFMERVLTLKYKAFQHLWKEDLRLLSIRKYRPKSHKKFELSLRATNNGYQKHRSSIRSRFSTPGNLSLVPTTEIINFTNKLLSDSQVKRYRNSLKMPALILDKKEKMVTRFISSNGLVEDPCVVEKERALMNPWTPEEKELFIEKLTTCGKDFRKIASFLDHKTTADCVEFYYKHHKSVCFEKTKKKADMTKQGKSSAKTYLISNGKKWNREMNAASLDILGAASAIAAHADGSTRSRQAFSGRLYLGGYRNTNPSRGDDTTVERSCSFDAIGNERETVAADVLAGICGSLSSEAVSSCITSSIDPGEGYREWKCQKVDSLARRPLTPDVMQNVDDETCSEESCGEMDPSDWTDAEKSSFIQAVSSYGKDFAMISRCVRTRSQHQCKVFFSKARKCLGLDLVHPVAGNGTSVGDDVNGGGSDTEDACVLETGSGISSDKSGCRMNEDMPLSVINMDDESDPAETMNLQTGPLRSEEKNVMGQLDHEGGKTLKSLASDAVETEDRPNLVLDDADCVRDAQKSRVFSADALKDDAAEEGILIAESEPVGGGINFDPTNPGMDGEKLMGELPSDGNTDTSRCSLPGSVHDSNSSGNASALAGGGSCSGFSLNPECLHQVSVGLNSMQKPSVISMPHENRHAPADSVSPDSAKIECEKAFNQDILSSTLDLQEGREPKSVGIDECNKHLPGLPIYTNVESSQVLKGYPLQMPTKKDTNGDVTSGNLSEVQNFSKPDRKINGHYMTKDGFLQFGNCKPQCSEVDFPLAPRKVEQPVGPPKAHSWSSSDSDKPSRNGDVKLFGKILSNPSSLSKSSSNIHENEEKGAHNHKLSNTSSNLKFTGHHNADGNSSLLKFDCSSYVGIEKVPRRSYGFWEGNKVHAGYPSFSDSAILLAKYPAAFGNFPTTSSKMEQQPLQAVVKNNDRNINGVSVFPSREISGSNGVVDYPVFSRSRDGAKVPPFTVDVKQQQRQDVFDMPRRNGFDTISSLQQQGRGIVGMNVVGRGGILVGGPCTGVSDPVAAIRMHYAKTEQYGGQPGSMIREEESWRGGKGDVGR >ONI23907 pep chromosome:Prunus_persica_NCBIv2:G2:24635294:24643038:1 gene:PRUPE_2G215600 transcript:ONI23907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPLPWDRKDFFKERKHERSESLGSVARWRDSPHHAPRDFNRWPSADFRRPPGHGKQGGWHLFSEDSGHGYASSRSGDKMLEDESCRPSFSRGDGRYGRNSRDNRGSYSQRECKGHSWETSSGSPNTPGRPNDVINEQRTQDDMLTYSSHQHSDFGSTWDQIQLKDQLDRMGGSTGLGAGQKCERENSLGSIDWKPLKWTRSGSMSSRGSGFSHSSSSKSIGAIDFNEAKVESQPKNATPVQSPSGEATTCVTSAAPSEETTSRKKPRLGWGEGLAKYEKKKVEVPDGSMNKDGAVCSVGNMEPVHSLSSNLADKSPRVTVFSDCASPATPSSVACSSSPGVEEKSFGKTANVDNNNRNFCGSPSPMSQSHHEGFTFNLEKLDCNSIANLGSSLRELLQSDDPSSVDSGIVRPTAMNKLLIWKGEISKVLEVTESEIDSLENELKVLNSDSGASCPRPATSSSLPVEDNDKSFKEQVTVTNLITRPAPLQIHSSGDADVEKMCLGNGDQVEFCGIVKDEDIDSPGTATSKFVEPLLKVVSSSDVMSHNDCSGDLDPIETTKGEAKCLVPGKDEVKTDLSACGNSSMLLGSEIVAPVSGGLGFCFSVVDTICNSICSSNKESANRSFEVFNKLLPREHYKVDISGVSISSSGKNDSLIKEKFAMRKRRLRFMERVLTLKYKAFQHLWKEDLRLLSIRKYRPKSHKKFELSLRATNNGYQKHRSSIRSRFSTPAGNLSLVPTTEIINFTNKLLSDSQVKRYRNSLKMPALILDKKEKMVTRFISSNGLVEDPCVVEKERALMNPWTPEEKELFIEKLTTCGKDFRKIASFLDHKTTADCVEFYYKHHKSVCFEKTKKKADMTKQGKSSAKTYLISNGKKWNREMNAASLDILGAASAIAAHADGSTRSRQAFSGRLYLGGYRNTNPSRGDDTTVERSCSFDAIGNERETVAADVLAGICGSLSSEAVSSCITSSIDPGEGYREWKCQKVDSLARRPLTPDVMQNVDDETCSEESCGEMDPSDWTDAEKSSFIQAVSSYGKDFAMISRCVRTRSQHQCKVFFSKARKCLGLDLVHPVAGNGTSVGDDVNGGGSDTEDACVLETGSGISSDKSGCRMNEDMPLSVINMDDESDPAETMNLQTGPLRSEEKNVMGQLDHEGGKTLKSLASDAVETEDRPNLVLDDADCVRDAQKSRVFSADALKDDAAEEGILIAESEPVGGGINFDPTNPGMDGEKLMGELPSDGNTDTSRCSLPGSVHDSNSSGNASALAGGGSCSGFSLNPECLHQVSVGLNSMQKPSVISMPHENRHAPADSVSPDSAKIECEKAFNQDILSSTLDLQEGREPKSVGIDECNKHLPGLPIYTNVESSQVLKGYPLQMPTKKDTNGDVTSGNLSEVQNFSKPDRKINGHYMTKDGFLQFGNCKPQCSEVDFPLAPRKVEQPVGPPKAHSWSSSDSDKPSRNGDVKLFGKILSNPSSLSKSSSNIHENEEKGAHNHKLSNTSSNLKFTGHHNADGNSSLLKFDCSSYVGIEKVPRRSYGFWEGNKVHAGYPSFSDSAILLAKYPAAFGNFPTTSSKMEQQPLQAVVKNNDRNINGVSVFPSREISGSNGVVDYPVFSRSRDGAKVPPFTVDVKQQQRQDVFDMPRRNGFDTISSLQQQGRGIVGMNVVGRGGILVGGPCTGVSDPVAAIRMHYAKTEQYGGQPGSMIREEESWRGGKGDVGR >ONI25150 pep chromosome:Prunus_persica_NCBIv2:G2:28170945:28173787:1 gene:PRUPE_2G284300 transcript:ONI25150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYRRAKLALDAVRGFTARIVPKVVAKEPISRAFSTESSTLASNKDKFSGFSWCSSLSQKSIPQLGFTRRTRYTSPFLDSAKRYYYVDRNSVHHFKPRGPRKWFQSPRNVFIVVLVGSGVFITVYFGNLETIPYTKRTHFVILSKALEKKMGESQFEQLKASFKGKILPAIHPDSVRVRLISKDIIEALQRGLRHEVGWTDLEYASDRFEPAHEGSGHDTLMALKDVGEEVKKWSREDEILDDEWIQKSRKTGQERGTKTATSHLDHLNWEVLVVDEPVVNAFCLPGGKIVVFTGLLKHFRSDAEIATIIGHEVGHAVARHSAEGITKNLWFAILQLILYQFVMPDVVNTMSNLFLKLPFSRRMEIEADHIGLLLVASAGYDPRVAPTVYEKLGKISGESALRDYLSTHPSGKKRAQLLAQAKIMEEALAIYRDVRAGRGVEGFL >ONI21275 pep chromosome:Prunus_persica_NCBIv2:G2:6927176:6929174:1 gene:PRUPE_2G057200 transcript:ONI21275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICFQTNLTWFSLRVRWNCRSKTTSSSDMTLFLTNSTNKHRRTITVSKRLMRLTKNTLSTITKLRRRIGELLRRIRIRLILGIKA >ONI24683 pep chromosome:Prunus_persica_NCBIv2:G2:26769087:26770777:1 gene:PRUPE_2G254900 transcript:ONI24683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSFSPSHKTPPPSFRQFTNLQACYPMEKKLVQVSEQEVRIDFALNCKCRANVRLTSLCATAPVAFKVQTSSPHKFLVKPPTGLIPPLCHVTFQVILKPQAQLPPTFPRSPSDRFLIRTSEFTRDSESESINQWFSSCSRGSSHDLKLKVAFVGPFLLRHAVTCGDYNAARNIIKRQRTILTEFPPGDAESLLQVATELVNPEDMINLLLEAGLRIDARVRSEQVNYEVDSKWASNGHEEPQVAAACDRLDFGMGCRNGVCDNRRSIQHAACDKDKLEMGELVLMAARRGDLKHVELLLQNGADINCCDQYGLTSLHASAIKGHKDIALMLIEFGLELECRDSEGHAPLHLAVVGGSLETVEMLVQNGANVNAKSNSGATPLYMATAMGYDDITEFLISRGG >ONI21457 pep chromosome:Prunus_persica_NCBIv2:G2:9328847:9333951:1 gene:PRUPE_2G066900 transcript:ONI21457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPTSLEISFNTCLEVSSGDLDLVQILLPLGTTFSIVLTVLEDKEGSNPSLFTSLPKSSPTCLKASNLDPLEVPLAFLPLPSLKLVLNFLLG >ONI21396 pep chromosome:Prunus_persica_NCBIv2:G2:8406529:8407310:-1 gene:PRUPE_2G063200 transcript:ONI21396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFIMTSFLPRRTTCNYLKNFSAMASWPSSSNPSNHSWLLLYMPMFFRSVSFAIFSTLFLLN >ONI20778 pep chromosome:Prunus_persica_NCBIv2:G2:3543491:3544515:1 gene:PRUPE_2G032900 transcript:ONI20778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILGTHVNISGFDSPEVRYMQINFFPCRMKKKNSNIPNIQILYISGLCVVLNIQILCNKKECTDRRQLTITKKKVRFKQLVLCCEDPFIILCKNP >ONI22968 pep chromosome:Prunus_persica_NCBIv2:G2:21266914:21267957:1 gene:PRUPE_2G161200 transcript:ONI22968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSLLLFAKVKQVVKQLDSAQVNNAGIGGSTADPDAFRAVVESGAFGRGEVDWSKLSTETYELAEECLQINYYGAKRTAEALIPLLQLSDSPRIVNVSSFMGKLNNIPSGWAKVVFTDAENLTEERLDEVLTELLKAFKEGSLESKGFPSSLSAYIVSKAALNAYTRILAKKYPTFRINSLCPGFVKTDINYNVGVLPVEEGAARVMKLALLPNDGPSGSFFVEYEVSDF >ONI21293 pep chromosome:Prunus_persica_NCBIv2:G2:7259767:7263776:1 gene:PRUPE_2G058400 transcript:ONI21293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEEMGFCGNLDFLSAPPGEGEAAPEHDPEATAEEDNSDEEMDVDELERRMWRDRMLLKRLKEQSKGKEGVDNARQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLREWWKEKVRFDRNGPAAISKYQADHSIPGKNEDCSAVASTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPTGNEEWWPQLNLPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDISKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARRLYPDRCPPPSAVGSGSFTISGTSDYDVEGVDDEQNVEVEDCKPLVNHFNIGTAGQRERMVPQIKGELIETNSDFGQKRKQLAEEPQMMLNQKIYTCEYPQCPYHDCRLGFLDITARNNHQLNCAYRGNSSQVFGMSGFHLNNDKPVGFSLPITQPKPAIQQPVNQTSSFNASGLGLAEDGQKMISQLMSFYDSNVQQNKNSNPGNLNVVEDHNQQQVKFQFPMEDNFYGQGLVIGRNMSEPTSLPMLHSVFPSTEIQFDPCKLFDSPYGNHPNDPVNLGFGTHLNSVDYNDDSMLKQDAFWI >ONI24175 pep chromosome:Prunus_persica_NCBIv2:G2:25416844:25417155:1 gene:PRUPE_2G228500 transcript:ONI24175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSASVSLKLLIDTKSHKVLFAEASKEVVDFLFSFLSLHVATVTRLLSTDGIVGYLGNLYQSAESLSVSSYLPLNLRPISFNCHCRPTMTRIFLNCSTFV >ONI24554 pep chromosome:Prunus_persica_NCBIv2:G2:26322626:26323883:1 gene:PRUPE_2G246600 transcript:ONI24554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRPPPPKHLDLDLTIVSAKHLKNVNWKNGDLKPYAVFWVDSDRRLATKSDDSGSTRPVWNERFTVPLTLPVHDSFLTLEIFHSKPSDTPKPLVGTLRVPLKDLPDPDDSTRIRTFQLVRPSGRPQGKIRVKLAVRERPLPPDYHMTPPPSYFYSGAPMPPPSVRDYRSYSPSPYSSLQASAPTPSASPPPPPPYHYNSYSDPYSSYYPAYYSSAPPPPPPRPFFDRQAGYSGPGGPGGPGGPSAPLDYSNYDQKPKSGKMGLGTGLAVGAVAGGIGGLALDEGLRYEEDKIAERVENELRERDDYSNYRADY >ONI23027 pep chromosome:Prunus_persica_NCBIv2:G2:21467089:21469886:1 gene:PRUPE_2G165200 transcript:ONI23027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNNAKHLCDFLDGTGLHRHFVGTSWRPLKKITAATIIFLLLIFFVAALVPAGWIDAFIFSGAYSKKSITTTTNTSTPKRPEFPLQCTEGINVTQACPRTYPTTHDPTNPSRPSNLTCPSYFRWIHEDLRPWKETGITRDMIEKARRTAHFRLLIVDGKAYIEKYRQSFQTRDMFTLWGILQLLRVYSGRLPDLELMFNCGDRPVIPSKDFQGPNAGPPPLFRYCSDEGSLDIVFPDWSFWGWPEVNIKPWRSLLQSIKEGNKRTKWEDRVPYAYWKGNPNVAPTRMGLLKCNDSDKNDWNTRLYIQIWFYRYKIYIEGLAWSVSEKYIMACDSMTLYVRPRYHDFFIRGMEPLQHFWPIRDNSKCTSLKFAVEWGNNHEDKAKAIGEAASNFIQEDLEMDYVYDYMFHVLNKYAKLLKFKPTIPPNAVELCSEKMACHATGTCKKFMVESMVESPSDELPCTLPPPYDPLALRDFLERKANSTRQVEAWENEYWQSIDKKQ >ONI25475 pep chromosome:Prunus_persica_NCBIv2:G2:29117325:29122802:-1 gene:PRUPE_2G305600 transcript:ONI25475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAIVSGCLGIVYLFLGIWTLEEKLRNTHTALPLNWWLLTLCQGFTWLLVGLTISIRGKQLPRQPSRLLSILAFLFSGIVYALLLFLVIFRKEFSVVTVLDVLSFPAATLLLLSVHKGYKYDDGYSSLDSNNLFMPLNGETNQISKDDHVTPFSKAGFFSKASLWWLNSLMKNGREKTLDNEDIPKLRKEDRAESCYLKFLEQLNKQTQIEPSSQPSVLKTIIICHLKEIFLSGFFALLKILTLSAAPLLLNAFILVAEGKESFRYEGYVLTLSLFLSKTIESLSQRQWYFQSRLIGMKIRSLLTAAIYKKQLRLSNAAKLIHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTILQLCLALVIFFRAVGLATFASLVVIVLTVVCNAPLAKLQHKFQSKLMVAQDERLKASSEALVNMKVLKLYAWETHFKNAIEKLRKEEQKWLSVVQLRKAYNTYLFWSSPVLVSAATFGACYFLKNPIRYIPEVIGVVIQAKVAFERIVKFLEAPELQTTYVWKSNMENVNHSIIIKSASFSWKENLSKTTLRNISLDIRPGERVAICGEVGSGKSSLLAAILGEVPNVAGNIQALGKIAYVSQTAWIQTGTIQENILFGSAMASQRYRETLERCSLVKDLELLPYGDVTEIGERGVNLSGGQKQRIQLAHALYQNADIYLLDDPFSAVDAHTTTNLFNEYVMEALSGKTVLLVTHQVDFLPAFDSVLLMLDGEILHAAPYHHLLASSQEFQDLVNAHKETAGSERLADASSAKSTMSYREIKKKYVKKQLRASKGDQLIKQEEKETGDIGLKPFIQYLKQKSGLLYFSTAVFLHLIFVISQIVQNSWMATNVDNPDVSTLRLIVVYLLIGFSATFVLLFRSLTTVFLGLEASKSLFSQLLNSLFRAPTSFYDSTPLGRILCWVSPDLSIVDLDIPFNLVFACGAAINASSNLIVLAVVTWQVLLVCIPMFYLAIRLQKYYFSTAKELMRINGTTKSFVANHLVESVSGAITIGAFNEEERFLAKNFDIIDMNASPFFHSFSANEWFIQRLEIISAAVLASAALCMSLLPPGTFSSGFIGMALSYGLSLNISLMYSIQNQCTIANYIISVERLNQYTHIPSEAPEIVEGNRPQANWPDVGKVEIQNLQDRTPLVLRGISCIFEGGHKIGIVGRWKSTLIGALFRLVEPAGGKIIVDGIDISTIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHSDQEIWEVLGKCQLRDAVQEKGGLDSSGKF >ONI20989 pep chromosome:Prunus_persica_NCBIv2:G2:4952987:4953711:1 gene:PRUPE_2G044300 transcript:ONI20989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETDSTILKQSVEGMTNNGAWSILPTILEIRRLANSFQRVEWSWIPRSINKAAHAAASIGIRAVAQICWAERPPPSLQGVLEADGLQDHQTNVLIYILY >ONI25577 pep chromosome:Prunus_persica_NCBIv2:G2:29363695:29365662:-1 gene:PRUPE_2G310200 transcript:ONI25577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKPTFLTHLRTLAKPPNCHHPTTPPSFISLRFLSFATPEEAAAERRRRKRRLRIEPPLSSLHRNQQQQQQQQSPKPQQNPNAPKLPEPVSALSGNRLNLHNRILTLVRQNDLEEAALYTRHSIYSNCRPTIFTVNSVLTAQLRQSKYSDLLSLHRFITQAGVAPNIITHNLIFQTYLDCRKPDTAMENYKQLINDAPFNPSPTTYRILIKGLVDNNKLDRAMELKEEIDAKGFAPDPVVYHYLMVGCVKNSDSDGVFRLYEELKEKLGGVVEDGIVYGNLMKGYFMRGMEKEAMECYEESFGESSKVKTSAVAYNSVLDALSKNGKFDEALRLFDRMVAEHNPPRRLAVNLGSFNVMADGYCVQGRFKEAIEVFRKMGDYRCSPDTLSFNNLIEQLCKNGMLSEAEELYGEMSDKGVYPDEFTYVLLMDTCFEENRADDAAEYFRKMVDAKLRPNLAVYNRLVDGLIKVGKVDEAKSFFDLMVKKLKMDIPSYQFIMKTLSEAGKLDEVLNVVDTMLDDDGVEFNEELQEFVKGELRKEGREDEVGKLMEEKERQKAEAKAKEAEAAEAAKRSARAAVSSLLPSKLFGNKESETGSTQATENAGEAASTQPAEAATVSGDAQAGQEERGGEEGKNSAQIESKSDGATEQVLA >ONI24147 pep chromosome:Prunus_persica_NCBIv2:G2:25297676:25299082:1 gene:PRUPE_2G226700 transcript:ONI24147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLLSTSKPLKATLYILVLAIILGYANSARILDEALPKASNPLPTPVPTSNPQTNPTTSLPSGQIPAIAPATTTVDDTEDDADSPIPETDVAPPVVPPVTTVPEADKPQPETEEPTTVPAPIPDVAPVAGAAPGVGPAVTSPSTPIPVPTPVAGPIPTSPTGPNPTAAAAGSTVAKPGAENPHLSFFMHDILGGSHPSVRVVTGLVANTVFNAPFSKANNNIFPVSGGTPLTNNNLNGFLDNNKNNIPSIAGLTGLTNSQSSTVIQNSGNNNVVSGGSNQPFVTAGQLPTGATLQKLMFGSVTVIDDELTEGHELGSAVLGKAQGFYLASSLDGNSHTMAFTVLLHGEHGAHDEVEDTISLFGVHRTASPVSHIAVIGGTGKYEMATGYAAIESLHQEDQHTTDGVDTIMQISVYLSE >ONI21794 pep chromosome:Prunus_persica_NCBIv2:G2:14187115:14188485:-1 gene:PRUPE_2G089500 transcript:ONI21794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKKANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI24818 pep chromosome:Prunus_persica_NCBIv2:G2:27162472:27163918:-1 gene:PRUPE_2G263900 transcript:ONI24818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVVLVDDVPFPSQITTTKPLSLLGHGITDIEIHFLQIKFTAIGVYLDPEVVSHLQQWKTKKANELAEDDDFFDALISAPVEKFLRVVVIKEIKGSQYGVQLESAVRDRLAADDKYEEEEEEALEKIVEFFQSKYFKKDSTITFHFPATSATAEISFHTEGKEESKIKVENANVVENIKKWYLGGTRGVSPSTISSLANTLSAELTK >ONI22216 pep chromosome:Prunus_persica_NCBIv2:G2:17262091:17262396:1 gene:PRUPE_2G114800 transcript:ONI22216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAIDEWTREENKAFEIAIATYNIECIEKGEWEKVSLSVPGKSIDQIKLHFMHLLMDVEAIESDQGPLPDYEYDEDENDGDDGNDGDHGDNKEKEMSIVP >ONI24030 pep chromosome:Prunus_persica_NCBIv2:G2:24850732:24853364:-1 gene:PRUPE_2G219900 transcript:ONI24030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKRKEVEEVETCSEDAEDHPQRGGWKTFPFISGSVLGLSVAAGGWASNLTVFLITKFNVKSISATQINNIILGTNNLFPIAGAFVADSFLGSFSVVSIFSFISLLGMIMLTLIATIHSLRPSSCPPGSLTCEGPSKFQYSVLYAALTLASLGLGGTRFTIATMGADQFRKPHDQGVFFNWYFMALYVANDNVGWGLGFGICFIANVIGLLVFLLGKRFYRQVKPKGSPFMSIARVLVASVWKMKISLAASRDSDYFYGDGALVDNTVPTKPFRFLNRAALKTEEDKQFDGSYEKTWKLCTVKEVKDLKTLIKIMPLWSTGIFLSTPIGISSSLTILQALTMDRHLGPHFTIPAGSFLVFNLLATTTSIFIVDRFILHKLRPLHKLRPLQRIGVGHVINIVALVGSALIERRRLEVVRAHNLTNQPGTVVPMSALWLVAPLSVVGIGEAFHFPGQVALYYEEFPKPLKSTSTAMSSLLIGIGYYLSTAITDLVDRTTGWLPNDINQGRLDNVFWMLAVIGVVNFVYYLICAKFFKYQNQNV >ONI22749 pep chromosome:Prunus_persica_NCBIv2:G2:20417672:20420119:1 gene:PRUPE_2G148400 transcript:ONI22749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILYIILTFISFSVLFLFLTFCFLILRIFTGKSIRNPIYPPVKGTVFDQLFYFNRLYDYQTEMAKEHPTVRLLAPEQSEVYTTNTRNIEHVLKTNFSKYSKGKYNQDIVSDIFGQGIFVVDGEKWKQQRKLASFEFSTRVLRDFSCSVFRRNAAKLVRAVFEISGATKSFDMQDILMRCTLDSIFKVGFGIDLNCLEGSSKEGTAFMKAFDDSTALAYWRYVDPFWKLKRFLNIGSEAALRKNVKVIDDFVHQLIRSKRKLLAGQKDPNDKEDILSRFLLEGEKDPEEMNDTYLRDIILNFMIAGKDTSANTLSWFLYMLCKNPLIQEKVAQEVRDVVGGQVGDPDELVANITDAALEKMHYLHAAITETLRLYPAVPVDGRCAEIDDILPDGFRLKKGDGIYYMAYAMGRMPYIWGEDAEDFRPERWLKNGVFQPESPFKFVAFHAGPRICLGKDFAYRQMKIVSTALLSFFRFKLADETKTVTYKTMFTLHMDGGLPLRAVARTAS >ONI22699 pep chromosome:Prunus_persica_NCBIv2:G2:20225464:20229947:-1 gene:PRUPE_2G145600 transcript:ONI22699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNKDAMVLLIDVSPSMHKALPEIEKVCSMLAEKKLIYSKYDEVAVVLFGTEETENELTKEVGGYEHVVVLQNIKVVDGQLVETLQQLPRGTRDGDFLDAIIVGMDMLIKKYGETYKGKKRLCLLTNAQFPIKYPLEGTKEDQVSTIAEHMNTHGMRMESIVVRGSLTGEANKSVMDENDNLLGIFSKKTCAKLVHVESPTSLLGALRTRKISPVTIFRGDLELSPKMKIKVWVYKKTSEEKFPTLKKYSDKAPPTDKFATHEVKVDFEYKSVEDPSKVVPPDQRIKGYRYGPQVIPISSAEWDAVKFKPEKGVKLLGFSDAKNIMRHYYMKDVNVFIPEPGNTRAILAVSALARAMQDMNKVAILRCVWRQGQGNVVVGVLTPNVSDNDNIPDSFYFNVLPFAEDVREFQFPSFNNFPASWQPNEQQQEAADDFVRMFDLAPPGKEEALPPGLTPNPVLERFYRHLELKSRHPDAAVPPLDETLRMITEPDKELLSQNGSVLDVFRSRFEVKENPKLKKSSRRFLRDKPTGSNEGHADISDEPNSSEYTSAVKVEKVGDATPVQDFEAMINRRDSPQWVGKAIKDMKNKIHDLVEDSYEGDNYPKALECLIALRKGCILEQEPKQFNDFLRTLCIFCQEKALSSFCEFLASKELTLISKTEAIDSEVTDDEAKNFLVKSEPKME >ONI25032 pep chromosome:Prunus_persica_NCBIv2:G2:27771170:27773667:1 gene:PRUPE_2G275900 transcript:ONI25032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYREVAEKDHHARTMSLGAEKPNTKTSPLPPSKKRTSSWSDIWLKNTTPLKNVIFAMQLASPKDSKFKPTHKTLIPNWANIDRTSLLSDEILLKILAKLPESQRKPNSLVCKRWLNLQGRLVRSLKVLDWSFLQSGRLISRFPNLNQVDMLYGSSISDQNSGILLSYRMFSFHTGYEFSPKQRVSESNFKLLPPEVVDRGLDALASGCPNLRKLVVIGASEMGLLSVAEECPTLQELELHKCSDNVLRGIAACENLQVLKLVANVEGLYSSVVSDIGLTILAQGCTRLVKLELCGCEGSFDGIKAIGQCCQMLEELTFCDHRMDGGWLAALSYCENLKTLRFQSCKRIETIPGPEEYLGACPALERLHLQKCQLRDKKSVRALFMVCGAAREIVFQDCWGLDNDMFRLASTCRRVKFLSLEGCSVLTTEGLESVILPWKELECLRVVSCKNIKDKEISPALSTLFSTLKELQWRPDTKSLLPSSVFGTSIGKKGSRFFRKTRDLKMLFDDQNLLLDFIHR >ONI21878 pep chromosome:Prunus_persica_NCBIv2:G2:15086444:15087877:-1 gene:PRUPE_2G095800 transcript:ONI21878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHINCNNEKEKPELNLMTIVALPPEILIDILSRLPVNSICCMRCVSKAFLKTVEDLSLAKMHMQRRFLTTCSTTTTEVPRLVVLDESPCDNYDLLYPLKYDGHDLLTKSKQATVSYFGSRRRFYCATFVFCNLFGFTGLNPEHKRSCFTRLNLEHGRSCLLVNPFKGEVLILPSMSDVQVQSNSLCDVDWYGMGFDNITNSFKIVRVSTNKKDYMVAEVLVLGTSSWRELPTVPPCFPTCKSTYAHGDMHWLVHGDDKSSVRILSFNFQKEEFYLTPPPASLGKKPDLWKFLHLLNFRGSLALVNVYSPEDRDIKTWGRQVVEIWGLKNYDNKEWMLHYKLDLKQDLFVPLKPTSLSKCGEWKHGIFFNDDSDCSTYTFFVDLRHISMKYVLLKGKMAIHSCTNSLICLKNYGDLVEAEEPKIKKNKNKGINEFPMSQRTWRNLIKAAEVSGRDLFYLKTKTKSARISYDENDLFD >ONI22193 pep chromosome:Prunus_persica_NCBIv2:G2:17151739:17152569:-1 gene:PRUPE_2G113300 transcript:ONI22193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPLSFQISISIFVIFQAIYDQLSALIFEYLISEVLVSLSSIYSRSSRFPMALSTQRASAFLPSADQSAPPQWNYDVFLSFRGVDTRNSFLSHLYHELQHRCIKTFMDDPKLESVSLQPKPPE >ONI25028 pep chromosome:Prunus_persica_NCBIv2:G2:27755081:27756034:-1 gene:PRUPE_2G275700 transcript:ONI25028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHRICKICNKRFANGKAMGGHMRSHLAKLPLPAKPQSPQQQQQLSNSPESSPPLSSTNRAMHSSPSLDSAIHDGDSDTESHPRNPTRRRSKRRRKVIGKAAEAALEAEQVSSVSDAFSTEDVARCLIMLSMDKWGKWEKAKLKKQVDESVDEDEDEDEDESAFQAQTGALTQGKYKCETCNKAFRSYQALGGHRASHKKIIKTQVFDVEDDDDDDFEEEEEEDDDVIGNNGNSGNLVVDQQRTFECSFCFKQFDSGQALGGHKKVHYYNNLTHNARNVSSSSTNFVDNLVIDLNLPAPEEEDGELSQLEFSTVSD >ONI23054 pep chromosome:Prunus_persica_NCBIv2:G2:21575101:21581590:1 gene:PRUPE_2G167300 transcript:ONI23054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQFSKLIPCCWNSQFKAAVLEAPDIDNEEKSDVDYLPRFCEFTFEQLKNATSGFAVEYIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRMAWPDARQFLEEARLVGQLRNRRLVNLLGCCCEGDERLLVAEYMPNETLAKHLFHWETQPMKWAMRLRVVLHLAQALEYCTSKGRALYHDLNAYRILFDEDGNPRISTFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQLSDDATELVRLASRCLQSEPRERPNPKSLVASLTPLQKETEVPSFVLMGIPNSTSCSPLSPLGEACSRRDLTAIHEVLENIGYKDDGMTNELSFQMWTDQMQETLDSKEKGDSAFKQKDFRTTIECYSQFIDVGTMVSPTIFARRGLSYLMNDMPQEALNDAMQAQVISPIWHIASYLQAAALSALGMDNEAQAALKEGTMLEAKRNAAAAKK >ONI21422 pep chromosome:Prunus_persica_NCBIv2:G2:8935412:8936224:1 gene:PRUPE_2G065100 transcript:ONI21422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVATSKTDRVVEEDSGSAIHHTAICLRRVSNCSSVITCTYNTPPFPFPFACPSISCSFCCSFSHSIILKIPTNTQLSLSLSLSLSLSLSLCVQTETVLVLSKEEEQR >ONI21870 pep chromosome:Prunus_persica_NCBIv2:G2:15007768:15012857:-1 gene:PRUPE_2G095200 transcript:ONI21870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAIKGGWAGRTFALAKNNESEGRKSRIRRSKEERKAMVESFIKTYQKLNNGSFPSLNLTHKEVGGSFYTVREIVRDIIQENRVLGPAKFTAEEQTIDHFLEQNPLGSIATEPPNTLSISLNQSQFISNQNQGRIEELVFTSDGHLATLERQNFDNGKIINGTQVEVNNKEFELKCTELRVKGPVETEKNVAEESVVHNGDCIGPEYQMVDNGLINGNQVDLKDKKTEELPCTELQTIEPLEAEKNVEEVPETSRSKVTPIAADVIVETFPLKPANETSESLDGRLQEVTDLAISTEDRVEENLSSPLLENNSGSLDEEALGNARDPSLESSNCSTFNDGVVREKGSTDLNVKAPHKDVPTSEILVQSQLTAGPKAIKAPDSLHTNHINSTGGSSELSKTKEVLVIEDEVDVQSSGSSQKGSSPTLDRINLESWEGRSQKSAKPEGNPLWDVFKAFIDAFVKFWSE >ONI23460 pep chromosome:Prunus_persica_NCBIv2:G2:22926086:22927959:-1 gene:PRUPE_2G189800 transcript:ONI23460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDTSHITLIFAAIIACIIYQRLKCRSSPKPQKLPPGPPRWPILGNLLQLGPLPHRDLASLCDKYGPLVYLKLGSVDAITTNDPEIIREILLKQDEVFASRPRTLAAVHLAYGCGDVALAPFGPRWKRMRRICMEHLLTTKRLDSFTRHRAEEAQHLVRDVWAMAQTGKAVNLRDVLGGFSMNNVTRMLLGKQYFGAGSAGPQEAMEFMHITHELFWLLGLIYLGDYLPIWRWVDPYGCEKKMREVEKRVDDFHTNIIEEHRRRAREEKGKKTRGQEGGEMDFVDVLLSLPGEDGKGHMDDVEIKALIQDMIAAATDTSAVTNEWAMAEVIKHPHVLRKIQEELDSVVGPKRMVNESDLAHLNYLRCVVRETFRMHPAGPFLIPHESLRATWIDGYHIPAKTRVFINTHGLGRNTKIWDNVEEFRPERHWLADGSRVEISHGADFKILPFSAGKRKCPGAPLGVTLVLMALARLFHGFDWAPPDGLRPQDIETKEVYGMTMPKAQPLMAIAKPRLPNHMYH >ONI22329 pep chromosome:Prunus_persica_NCBIv2:G2:17896151:17897099:1 gene:PRUPE_2G121800 transcript:ONI22329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFQNLFTWAVFMITLLAQAMGKKITVGANAAHNDWDNAHATFYGDMGGAETMQGACGYGDLFQQGYGLETTALSTALFNNGLTCGACFEVMCVDDPQWCIPNSGTIKITATNFCPPNWDPAPDHWCNPPMKHFDLSMAMFTKLAQAKAGIIPIKYRRVPCSKKGGVKFELKGNPFWLAVLVYNVGRAGDVTSVRIRGSKTDWLQMSRNWGQIWQIGSNMVGQSLSFQVTTSDGRTRKFDNVAPGDWQFGQTYEGKNNF >ONI22211 pep chromosome:Prunus_persica_NCBIv2:G2:17249670:17251897:1 gene:PRUPE_2G114500 transcript:ONI22211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFNMVVSSSAKMRKSGGGGGATTIDNAVVSGVINPWTGMPYSQSYYEILEKRKTLPVWQQKDEFLKALKANQCIILVGETGSGKTTQIPQFVLESVNAEIPGGKRMMIACTQPRRVAAMSVSRRVAEEMDVKIGEEVGYTIRFEDCSSASKTLLKYLTDGMLLREAMADPLLERYKVIILDEAHERTLATDVLFGLLKKVLRNRPDLKLVVMSATLEAEKFQGYFSGAHCMKVPGRLHPVEILYSEEPEKNYVEATIRTVVQIHMFEGPGDVLVFLTGEEEIEDVCCKIDKEIAKFGDQSGPVKVLPLYSTLPPAVQQKIFEPVRSGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYSPSGGVESLLVSPISKASACQRAGRAGRTRPGKCFRLYTEKSFHNHLEAQTCPEILRSNLANTVLTLKKLGIDDLVHFDLMDPPAPETLMRALEVLYSLGALDDEGNLTKLGEIMSEFPLDPQMSKMLIMSPGFHCSNEILSICSMLSVPNCFLRPREARKAADEAKAKFGHMDGDHITLLNVYHAYKNNEDPVWCYQNFVNEKVLKAADNVRQQLARIMARFNLKLCSTDFKSGDYYINIRKALLAGYFMQVAHLESNTGHYSTVKDNQVVHLHPSSCLDHKPEWIVYHEYVLTSRNFIRTVTNICGDWLAEIMIALEKSGKKLGYGGLNLKDRKQTT >ONI25769 pep chromosome:Prunus_persica_NCBIv2:G2:29820652:29826021:1 gene:PRUPE_2G319600 transcript:ONI25769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKVLSSGFKYENLPEGYVRPESERPRLSEVSECKNIPVINLASENRAETVQQVGDACKSYGFFQVINHGVSTEAVEKMLGTATEFFSLPVEEKMKLYSDDPSKTMRLSTSFNVKKEKVHNWRDYLRLHCYPLEKYVPEWPSNPSSFKDIVSKYSEEVRELGFRLQELISESLGLEKDYIKSTLGEQGQHMAVNFYPPCPQPELTYGLPGHTDPNALTILLQDLEVAGLQVLKDGKWIAVNPHPNAFVINLGDQLQALSNGIYRSVWHRAITNTDRARLSVASFLCPQDDALISPAKALTDDGSAAIYRGYTYTEYYKKFWTRDLNQEHCLELFKTDQ >ONI21324 pep chromosome:Prunus_persica_NCBIv2:G2:7379195:7384343:-1 gene:PRUPE_2G059200 transcript:ONI21324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLASYSSSSSSSRDPKWTYDVFLNFRGQDTRKNFVDHLHTALDQKGLFAFRDVESNWGQSIPVKILEAIEESRCAVVIFSRNYADSTWCLDELSKIVECNEGRSGHMILPVFYDVDPSDVRKQRGSFKEAFHRQEDRFRDPERVQRWRAALTVVANLAGWNLREGCEPEVIQHIVERIAKLSQTTTNVSKALVGMDSRLEKVLSYLDFGLCKDVHSLGIWGMGGIGKTTLAQVVFDTIRDKFEACSFLNNVREVTEKQGLVRLQEKLLLDLLQSNVNIRNTDMGKNVIRHRLRAKMVLIILDDVDRLEQLEALCNRSWFGLGSRIIITSRDKHLLRTFGVDKIHDVEVLTDDEALKLFSWKAFKNYQVKEEYLELSKNVLKYANGLPLALEILGSFLCMKSVHEWTIVLNRLIKERDVHRRIVDVIKISFNGLMESDKKIFLDIACFFKGEDIDRVTRILQSCGYHPNIGIPVLIEKALVTVSRGKLWMHDLIQELGWDIVRRECRDEPGRRSRLWLPEDIIHVLVNNEVTDAVEGIALDSLKLEEVRCNSEAFSKMHNLRFLQIRNVHMTEGPKFLSNALVVLEWSEYPTKFLPQSFQPVKLCELNLCYSSIEQLWNGEKCLEKLRFINLSWSQNLTMTPDFTGTPNLESLILEGCTKLAVVDHSIAGLKRLKVLNLKGCRSLESLPNKIETKCLEILILSSCSRIKKIPEFAEPMEHLMELSLNETAIEKLPASTELLIGLTLLNLRDCYYLECLPHDISKLSSLKSLNICRCFKLKELPESLGQLDCLEELDVSGTSLSTLPSSIFLMKNLKILSLRGCKGLPLESENTSCNYLSMPRKRTRLVGLQFPISTSGLSSLTELNLSDCNLQEGTLTENLACLFSLVSLNLSKNNFLSLPKSISQLSKLHSLNLESCKLLQQMPDLSPKVNFGVGSEGSNSLERLSSCFNYINCSELVKNQGCNNIAIALLTRFIQGIPYPGHRFETIIPGSEISEWFIDRSARSGVSMDLPEDWCKNNWRGYALCAVFGLGRRIPAGNLLGKWKYEQETYSTAHGLRCEVKPNNLGSGGWCPFFGCSPELGQIESDHIWLSFVGCEHFGTAWQDSCRRLEFLFKTLGPSLEVKKCGVRLIYEQDIGLGPNP >ONI24833 pep chromosome:Prunus_persica_NCBIv2:G2:27207884:27211874:-1 gene:PRUPE_2G264800 transcript:ONI24833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRESVRFDLCSKGYIIILMLMHLIILAAASIHEYQDDSFIRRSNSFFFHGGSEGLYASKLDSDNGKSASEDKPLIGKSFIRFESIIIRRTKESAEKKNEMQQRTGLVEAIVLEVRDREKIGGSYLNSEAICCTPELSKDGSCKVGEVIIQQNPDNPDGPKRMQTFFEGKNEEANMDIQTIEINSTGMYYLYFMFCDPELVGTLISGRTVWRNPDGYLPGKMSPLMTFFGLMSLAYLVLGLFWFLRFLQYWKDIIQLHYHISAVIGLGMCEMALWYFEYANFNSTGTRPMGITIWAVTFSAVKKTVSRLLLLVVSMGYGVVRPTLGGITSKVLLLGVTYFVASEALELVEHLGNINDFSGKARLFLVLPVALLDACFILWIFSSLSKTLEKLQIRRSMAKLELYRRFTNSLAVSVLLSVAWIGYELYFNATDPLSELWRRAWVIPAFWTLLAYLLLVVICVLWAPSHNPTGYAYSEETGDDFDEEGISLTGSGIKVAGSDLATKLERKERKASSAAEHHVFGLGEDLEEDKRE >ONI25039 pep chromosome:Prunus_persica_NCBIv2:G2:27795373:27799010:-1 gene:PRUPE_2G276500 transcript:ONI25039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKTLSSFLSPFTLPYHLTIPKTKLLNLHSKSTLIFCRFSQPQKTHQKATVSETQSLDPPPEPDGTGAAAPTPGDRFLERHRSFEAAKVLLKEKKKKKKEKPVKVSTNVACCYGCGAPLQTSEPDAPGYSDPETYALKKKHHQLKTVICGRCKLLSHGHMITAVGGNGGYSGGKQFIMAEQLREKLAHLRHERALIVKLVDIVDFNGSFLARVRDLTGANPIILVITKVDLLPKGTDFNCIGDWVVEATAKKKLNVISVHLTSSKSLVGVTGVASEIQKEKKGRDVYVLGSANVGKSAFISALLKMMAENDPVAASAQKYKPIQSAVPGTTLGPIQINAFLGGGKLYDTPGVHLHHRQAAVVHSEDLAALAPQSRLRGQSFPNSQVSSGNGIAGNDNSNGLNGYSIFWGGLVRVDVLKVLPETRLTFYGPKSLQIHMVPSDKADEFYQKELGVLLTPPTGKQRADEWRGLETERQLQIKIEDVERPACDVAISGLGWITVEPLCKSSASSNSRTEAAAGELHLAVHVPKPVEIFVRPPLPVGKSGAEWYQYRELTEKEEEARPKWHF >ONI23259 pep chromosome:Prunus_persica_NCBIv2:G2:22268316:22269180:1 gene:PRUPE_2G178100 transcript:ONI23259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELLEKAKNFAAEKVGHAKKPEAEVTDVDYKTLSLGSVEYLAKISVTNPYGVDLPICDITYTLKSVGREITSGTVPDPGSIEGKDITVLEVLLKVPHNILLTLAKDIGADWDIDYELDIGLTIDLPVFGNFTIPLNKKGAIKLPSVF >ONI25240 pep chromosome:Prunus_persica_NCBIv2:G2:28481156:28484328:1 gene:PRUPE_2G291100 transcript:ONI25240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFRRLFGPKRPKNTTSPTANHNKENRTTSASNKQKLTTESTSSAAQEWVGLDANKHAIAVAAATAAVAEAALAAAHAAAEVVRLTNGAGPGTSEHVSLPVAASATFSRHLAAVKIQSAFRRYLARRALRALKALVKLQALVRGHIVRKQSADMLRRMQTLVRVQARARASRTLMSDSPHSSRKSSLSHHPLPESPDKIGYQPRACSSKFDGPSILKRCGSNSNVRDVVNLDRRRLASGWLDRWMEESARNNRRDASLRYEQADDVRGDKILEVDTWKPHLGSQRRPQTFQTAHHVLTSNRYNPTFMTFDSPSKHSMKEPNPIPNQASMDVLSLSSLKYAIATDEAAFRTAENSPQAFSASSRPGSSGRRGHHFTPARSECSWGFINGYAGYPNYMANTESSRAKVRSQSAPRQRLEFEKYGLTKKSVQEFWDAGTCSDTSFAQDSDCRNKSVFSSSRLNRLGSASPR >ONI21249 pep chromosome:Prunus_persica_NCBIv2:G2:6575813:6576085:1 gene:PRUPE_2G055300 transcript:ONI21249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFFLPAICIRLEIFLRNSLISLCHNSFIDYILLFSHFSGVNLIELSCIARNFGYHVSYKLQMKFRRKTMNFIFIRKLVCFEFKFVFS >ONI21248 pep chromosome:Prunus_persica_NCBIv2:G2:6574946:6577891:1 gene:PRUPE_2G055300 transcript:ONI21248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNYEVLICLAEYDGGDKIRVLLCCDEFHMECIDKWLKEKQGNFGYHVSYKLQMKFRRKTMNFIFIRKLVCFEFKFVFS >ONI21812 pep chromosome:Prunus_persica_NCBIv2:G2:14318935:14321195:1 gene:PRUPE_2G090600 transcript:ONI21812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAESWFRSLWKTKRKHEGPEKAVVGVLAFEVASLMSKLVHLWQFLSDKQVTKLREEITNSVGIKKLVSDDDDFIVGLICAELIENMVRVAKSVARLAKNCTDPALKSFENAFTEWINNGIDPYGWEFSWKKMERKAKKMEKFISANANLYEEMEVLTELEQNLRRMKGNDDLDGVNLLEFQKKVVWKQQEVKNLKEVSLWNRTYDYIVLLLARSLFTMYSRINHVFGIQQMVDAGDTKDSGIINSDHINRSNSVSALMQSSVRPPKNGLPRFASGPLGRFSAISGPILETNKTNICYSGPLGDSITKSGPISGKNRDVNFFSGPLGRSTTKSGAIAATNRTIRKLWNHSHTNHGKKSHTKSNRLTQVGPFKGCMITANNSPITNCYLSSSDVRSAILNVDILASGNKSHPSTSIFSSKHRLLDAPPETLGAAALALHYANVIIIIEKLVASPHLIGLDARDDLYNMLPASVRATLRARLKPYSKSLTSSVYDTVLAGEWNEAMAGILEWLAPLAHNMIRWQSERSFEQQSLVSRTHVLLAQTLYFANQQKTEATITELLVGLNYVWRFGREVNAKALLESGSSRIYDEFLDLDG >ONI24075 pep chromosome:Prunus_persica_NCBIv2:G2:25003535:25004592:1 gene:PRUPE_2G222400 transcript:ONI24075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPWWFCENTCSQSDDLKSVLSDFTLPTDSDQPRDWLTILKNVERLETMDEEVLTEICGYLELRTYEDAYIIQKDKLIEMMFFIMRGVVSVTDGSSKHYRNEGGRPNHSGDDLIEHWLQSKSTSVPAKLPTSPFSFWAIGEVEVLVLKAEDMAKVQPGDHIR >ONI22964 pep chromosome:Prunus_persica_NCBIv2:G2:21257333:21258492:1 gene:PRUPE_2G160900 transcript:ONI22964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSLLLFAKVKQVVKQLDSAQVNNAGIGGSTADPDAFRAVVESGAFGRGEVDWSKLSTETYELAEECLQINYYGAKRTAEALIPLLQLSDSPRIVNVSSFMGKLNNIPSGWAKVVFTDAENLTEERLDEVLTELLKAFKEGSLESKGFPSSLSAYIVSKAALNAYTRILAKKYPTFRINSLCPGFVKTDINYNVGVLPVEEGAARVMKLALLPNDGPSGSFFVEYEVSDF >ONI20350 pep chromosome:Prunus_persica_NCBIv2:G2:972507:973128:1 gene:PRUPE_2G010900 transcript:ONI20350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLSSSVMKMKVLVFAFAVVAFFAAVSSAQDFDAAPAPAPAQDKGAAHSVVASRAMFLCLPFFVSMVALLNH >ONI22509 pep chromosome:Prunus_persica_NCBIv2:G2:19199656:19203584:1 gene:PRUPE_2G133900 transcript:ONI22509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANPNPFQIIPKQIFPSLIKLPPVHQSPSPVPTKPPRAISISTPNETNRGPITISTLDVVDDSVAAFWDYQFLFVSQRSEQTEPIALRVVDGSVPSDFPSGTYYLTGPGLFADDHGSTVHPLDGHGYLRAFKFDGFGEDVKFMAKYVKTEAQVEEHDPLTDTWRFTHRGPFSVLKGGQKVGNTKVMKNVANTSVLRWGQRLLCLWEGGDPYEIESETLDTIGRVGLIDGCDSEVEIRDRGGGVWDVAARLLKPILYGIFKMPPRRLLSHYKLDARRNRLLMVSCNAEDMLLPCSNFTFYEYDSNFKVLGKQEFSIPDHLMIHDWAFTDTHYILFANRIKLDVVGAMTAVCGTSPMITALSVNPSKATSPIYLLPRSPNENGRDWRVPIEASSQLWLLHVANAYENLDENGNLEIEIHASACSYEWLNFQKLFGYDWQSGKLDPSIMNINGSQSKTLPHLIQVSINLDVNGSCQRCDVEPLNLWNKSSDFPVINPAFSGSKNTYIYAAASSGSRSALPHFPFDMVAKLNVSTKSVLTWSVGSRRFIGEPTFIPRGSEEDDGYILVVEYAVSVQRCYLVILDSKRIGGEDALVARLEVPKHLNFPLGFHGFWATAE >ONI23871 pep chromosome:Prunus_persica_NCBIv2:G2:24495426:24498306:-1 gene:PRUPE_2G213200 transcript:ONI23871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIPLGISVSPSSINSSSKTTFLNSLNLKRPNPLPLTSTSTSSRVPASTSTSTEDDDFSFLSFSKSGVCRASQVADLFPTVSPEIVVREARLEDCWEVAETHCSSFFPEYSFPLDFVLRIDRLVAMLAGFSLPNGCKRTCLVAVIGNSIDETFLFGSEDFKIGGFDGKFSLNRRYVAGILTVDTVADFLPRKGPLRQRRTGVAYVSNVAVRERFRRRGIAKRLIAKAEAQARSWDCRAIALHCDLNNPGAIKLYKGQGFRSIKVPEGANWPQPKTAPDVRFNFMMKLLNTKAAT >ONI25902 pep chromosome:Prunus_persica_NCBIv2:G2:30082554:30085325:-1 gene:PRUPE_2G325700 transcript:ONI25902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTSTGGSGPSNPPKILLAKPGLVTGAPVAGKFGRAGTGDEYTTSLRSRLPSSIGSLNLLSDSWDFHIDRFLPFLTENTEFTVVGVIGPPGVGKSTIMNELYGFDATSPGMLPPFAIETEEGKAMARHCSMGIEPRVSAERLILLDTQPVFSPSVLAEMMRPDGSSTVPVLTTAESLSAELAHELMGIQLGVLLTSICHILLVISEGVHDHSTWRLMSTVDLLKHGIPDPSSPTLSNSLSSNIGPEKDSRDKAHEGGEYMATPVFVHTKLRDHDLSPHNFVQLRKALAQYLSTSSFMRPENGNMSKSRDPDSMAPGTQSRDPDSLVPSLFVIPTKNKDDSPGDQYESYTSMLWKLRDKVLSMKSPPFGRTVSEREWLKNSAKIWELVKNSPIIAEYSRTLQSCGMFRR >ONI22371 pep chromosome:Prunus_persica_NCBIv2:G2:18082723:18085231:1 gene:PRUPE_2G124700 transcript:ONI22371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIILDLESQFSGFSERRWRKKGKIKIEIQVKLVKDYSIVEMSGMGEIDAREIALVMQRKEY >ONI20632 pep chromosome:Prunus_persica_NCBIv2:G2:2722405:2727231:-1 gene:PRUPE_2G026500 transcript:ONI20632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTSDAVTHNAYSNGLIQHSNDSVEEKLDELRCLMGKTEGDPLRIVGVGAGAWGSVFAAMLQDSYGHFREKVQIRIWRRPGRSVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADELLKDGFCINMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRVVFEEISRYWKERVTMPVIISLSKGVEAELEPEPRIITPTQIINRATGVPNENILYLGGPNIASEIYNNEYANARICGSEKWRKPLAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPERLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGMIQGVSAVKAFFELLSQPSLSILHPEENEPIAPVELCPILKMLYRILIIREFPPQAILQALRDETMNDPRDRIAIAQTHAFYRPSLLGQKF >ONI23424 pep chromosome:Prunus_persica_NCBIv2:G2:22877795:22880466:1 gene:PRUPE_2G188900 transcript:ONI23424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGIAALTPAHSEPMFSQSCLSSNESIMIFLSVSGSTTPMSVLESDSVESVKFRIQTYKGFVAKKQKLVCGGRELARSDSILREYGVTDGNVLHLVLRLSDLQEINVRTTCGKEFTFHVGRGKDVGYVKQKIAKKSKEFVDLEEQEVVCDGKLLEDQRLIDDICKHNDAVLHLLIRKSAKVRAKPVEKNFELSVVASHLNDGKSYEVDGDNVKRQYDVGEQDFRRGYEVDEEVVPRKPPDRDFLFEPVIVNPKIKLPSLIWDMVNETSNGLDSGSYPIRSMEGTGGAYFMLDSTGQKYVSVFKPIDEEPMAPNNPRGLPLSLDGEGLKKGTRVGEGAFREVAAYLLDHPKGGHRMLFGNAKGFAGVPPTFIVKCFHSAFNHPEDAIVKIGSLQKFMENNGSCEDMGPAAFPVEEVHKISVLDIRLANADRHAGNILLSKESEGGQTVLIPIDHGYCLPESFEDITFDWLYWPQARKPYADEVIDYIKSLDAEEDIALLKFHGWDMPPKCARTLRISTMLLKKGVERRLSPFAIGSIMCRKTLNKESIIEEIVQEAEDSVLPDSSEDAFLETISEIMDCRLDEIARSPS >ONI24715 pep chromosome:Prunus_persica_NCBIv2:G2:26903470:26907842:1 gene:PRUPE_2G257500 transcript:ONI24715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQENHGDEGANLHASQDDMESLVLDEPPPSSNGQSSPSASTSVERHSTTATTSSTSQNFNSILEPPSYADAIFTSFDSSSSNGHENPKQPSYQSDPPTRSEFLKIWVSDPEKELELTNSLVPGGTSYYTYLITTRTNMPEYGGPGSEFTVRRRFKDVVTLSDRLSESYRGFVIPIRPDKSVVESQVMQIEQFVEQRRAALAKYLNKLAVHPTLKKSEELRLFLVVRGKPPLAKSIDMASRMLDGAVRLPRQLTGEAAAVTDVNEAAQPAKGGRDLLRIFRELRQSVVNDWGGVKPMVVEEDKEFIERKEKVAEFEQQLSNLSQQAESLVKAQQDMGETMGELGLAFVKLTKLETEGAMFESQTIRATDMKNVATAAVKASRLYRELNAQTVKHLDKLHEYLGVILAVNSAFSDRSSALLTVQTLSSELVSLQSRIEKLEAAASKIFGGDRSRMRKIEELKETLKVSEDAKSCAVREYERIKENNRSELERVDRERHEDFMGMLKGFVLNQAGYAEKMANAWEKLAEETSAYKRDGN >ONI23415 pep chromosome:Prunus_persica_NCBIv2:G2:22849177:22851337:1 gene:PRUPE_2G188100 transcript:ONI23415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIFQAPFDGGIELVNQAHHLMEAYEDQKNCSKVWGKYFTKEDIRWLIGCLWSLHFRSIVEEELHLTFSLQPHCCVLSSLPLSFSFAACFFFFLSIIFLLFIY >ONI20564 pep chromosome:Prunus_persica_NCBIv2:G2:2207838:2209570:1 gene:PRUPE_2G022700 transcript:ONI20564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLCPWIASVWFGSAIGYRVDLQSFTSLDRWLGSLLTWETTRSRACLAVSEFWNVTKKLVLGSVGMPIQISSPHILLIGYPPFLTDGSWKSASAMAGVGVIIRKIAGSCIGGLVAQVRAQSPLMVEVLALKHGLLRAKELNLVNVVVESNSQVAINSVQRDVSSFNWELHPVIKDIRFIKAYFTNLNWAWVLREANQSADAVASLAKKGMCLELWLV >ONI22340 pep chromosome:Prunus_persica_NCBIv2:G2:17930287:17935660:1 gene:PRUPE_2G122700 transcript:ONI22340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDAKPNSSESAPLMAQNDTLRNDGVIPQLFTSVPSLNEAASYLSQTTSLFTRCFSDYSADLSSSDSGVSNEHAQELVTFSSGQPRGSFATSGDHASSSGNHSASAESSSNATDAPSVLDGTLRSSAGDSSQNSSVLVKSDPSGPGGISIFQGLVDRVRRTVRGSADDIGWLQRDPTMPPVEDGTERFIEILGDIRHGVHRLPNSMVCLLLPGLFSNHGPLYFVNTKMSLSKMGLACHIAKIHSEASVEKNAQEIKEYIEEFYWGSKKRVLLLGHSKGGIDAAAALSLYWPDLKDKVAGLVLAQSPYGGSPIATDILREGQLGDYVNLRKLMEILICKVIKGDLQALEDLTYEKRREFLKKHPLPKELPVVSFHTEAAISPAVLATLSRVAHAELPMVAPLSTGQPAKLPVVIPLGAAMAACAQLLQVRYGKKSDGLVTCCDAEVPGSIVVRPKRKLDHAWMVYSSLTDDPSEADASQVCEALLTLLVEVGKKKSDHADMEQKKSNHADIGQKKSDHAKDE >ONI25693 pep chromosome:Prunus_persica_NCBIv2:G2:29611065:29614562:1 gene:PRUPE_2G315100 transcript:ONI25693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIHSGTMGASEEENDDCPIKQVELTVPKTDDPNMPVLTFRMWVLGLASCILLSFVNQFFWYRTQPLSITSISAQIAVLPIGHLMARTLPTRLIFKGTRFEFTMNPGPFNIKEHVLITIFANSGAGSVYATHILSAVKLFYKRKLTFIPALLVLFTTQVLGFGWAGIFRRILVDPVQMWWPSNLVQVSLFRALHEKEKRPKGGMSRTQFFLVVMICSFAYYIFPGYLFIMITSFSWVCWLAPKSVLVQQLGSGLRGLGIGSFGIDWSTISSYLGSPLASPWFATANIAFGFALVMYVMTPITYWLDFYNAKKFPIFSSDLFMSNGTKYDVLSIIDSKFQLDHGMYTKTGPVNLSTFFAMTYGLGFATLPATLMHVLLFNISDLTNAYRRQKKLDIHARLMKHYKSVPTWWFLAILVVNIALIFFACQYYSESLQLPWWGVLLACAIAIVYTVPIGIITATTNQQPGLNIITEYVIGYLYPEHPVANMCFKVYGYISMTQALTFLADFKLGHYMKIPPRAMFTAQVVGTIVAVIVYLGTAWWLMGDIPNLCDTSKLPQDSPWTCPMDRVFFDASVIWGLVGPRRIFGNLGEYMNVNWFFLGGAIAPALVKLAHLAFPKHNWICFINMPILLGATSMMPPASAVNFTSWILVGFLFGFVVYRYRPDVWSRYNYVLSGGLDAGTAFVTILMFLTLQSRNIGLDWWGNRGEGCPLAACPTAKGVVVDGCPVF >ONI21465 pep chromosome:Prunus_persica_NCBIv2:G2:9656862:9658027:1 gene:PRUPE_2G067700 transcript:ONI21465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTATSSGKVKGGRGQNKRCWTTKEDNTLMECLMELHQNTNWRGDSGFKNGYLNKLETMLEVKLLNSGLKASPHIESRVKTLKGKYGALANALSQCGFGWNEEEMMLNKKDASGLYGKSFPHYYALGEIYGKERAIGTNAGNADDDEEDVRRADSSVHQNRSVGDYFIEEMFSHPNEKDLVDMQAKLGGELKKIEFQSPLQVFRITNVLAKEHDLLRIFFTMTDEEKKDYAFNLMEHGLQCL >ONI22020 pep chromosome:Prunus_persica_NCBIv2:G2:15794456:15796192:-1 gene:PRUPE_2G102000 transcript:ONI22020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILIGSSAHWVLDPNEGRFQEKTGKELIGHTELLCQTSNMPQSENKHQMGVLSNLSPSSSPLAVLLITPTTLQLLGHLTSQFFRDLHGPPHPTDMEIQAPVGLVQEPQPRRRWTGRELKVGLKPSLPLFVPIRDLGSRVYGVDLGLSQLIRVVGVGVGSDLGQDSIGLLDDVLVDVVGLGATQVNGFAVAELHRNAVKGTVAPL >ONI23883 pep chromosome:Prunus_persica_NCBIv2:G2:24525277:24527755:1 gene:PRUPE_2G213800 transcript:ONI23883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWPSATIRDSFKLAYLRKLEWNLQRMKSEKESSASVNKKLLDSQEGGVQQSNSQEGEVNGKVAEVPNPKAHGVVLGLCREILMLLSCCFCCFCCGACAGEDADPVF >ONI21057 pep chromosome:Prunus_persica_NCBIv2:G2:5593453:5599651:1 gene:PRUPE_2G048600 transcript:ONI21057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPPGPTPANPQLIQQFLSSVLSQRGPSSLPYSEDTKWHIRNHLVSLTTAYPSLDPKTATFTHNDGRSVNLLQAEGTVPMSFQGVTYNIPVIIWLMESYPRQPPVVYVNPTRDMIIKRPHAHVTPSGLVSIPYLHNWVYPSSNLVELAKNLSAVFGQDPPLFSQRRPNPNTNPNPNPNLGHSSSAISNSGYSSYSGYSGSSGSVTPARPAIPPRAYPPSPYGSSGSFSRVQTEDPNEVFKRNAISKLVEIVHGDVAGLRKTREAEMEGLFNAQAELRQRSEQLSKGLKEMGDEKEGLEQQLQMVLMNSDVLEGWLRENEGKARGRLNGVDVDDAFECIDVLSKQMLDCTASDLAIEDVVYSLDKALQDGAIPVDEYLKNVRSLSRKQFYDRATAAKVRAVQMQSQVANMASRLSHYVAS >ONI20447 pep chromosome:Prunus_persica_NCBIv2:G2:1504362:1512795:1 gene:PRUPE_2G016200 transcript:ONI20447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKGMTALISIFKQWDMQALPISGGEQCIGFAINGSEFEKPENNPAITCDCTYDKNTTCHITKLKLDLNYFTGPLPAFIGNMSALTVLSIAHNSFSGPIPKDLGNLKELTMLSFGSNNFSGTLPSELGSLVNLGLFYMDSCGLGGEIPSTFAKLIKMKILYASDNPFLGKIPSFIGNWRKLTSLRFQGNSFEGPIPTSFSQLTSLKSLRISHIYYVSSSLDFIRNLKNLTDLVLRNTLINGSIPADFGEYQRLQILDLGFNNLTGELPSSLFNMSSLTYLFLGNNSLSGPLPSQKSNQRQTIDLSYKYFSGSFPPWLTTISQLLPGLNCLQRNFPCNRNTPRYTNFSTKCGGPQMRGNDGILYEAEDSALGPATFNVTSTQKWAVSNAVSPGSLRYYGLGLQNGPYTVTLQFAETVSASRDTQTSQSLGRRVLDIYIQGTCCIPEQGDSDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSIASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGSHRILVDEYLALIRHFLVGRNDLHLDWPTRFNILLGTARGLACLHEVNILLDTELSPKISEFGWAKLYDDKKTHMSTRVAGIIGYLAPEYAMRGRLTEKADVFGFGVVALEILGGRLNSDDNLDPEKIYLLEWSLGLVDPRLTEFDEKDATRSLICTQASPMMRPSMSLVVAILSGDIETSTVMSKPCYLVDWDFKDVTTSSFLVDDDTSSTESNPEGSTTGASPGIDPTPSPVNVTGSMLTGIIGEEM >ONI20616 pep chromosome:Prunus_persica_NCBIv2:G2:2545502:2546595:-1 gene:PRUPE_2G025500 transcript:ONI20616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFKCCLSWLFLFGSFTQDFGPILSYPSKNNLSSKMQNASEASALDFM >ONI25026 pep chromosome:Prunus_persica_NCBIv2:G2:27744001:27748279:1 gene:PRUPE_2G275500 transcript:ONI25026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLSSSSLSLFPFITFFFFFFFLQTSTVLCSPPPQSFQLSALISLKSSLKDPLSTFHDWVVPSTSHPNDPVWCSWSGVKCHPNTSQIVTLDLSQRNLSGLIPPQIRYLSSLIHLNFSRNKFSGPLQPAIFQLSNLRILDISHNDFNSTFPPGISKLTFLRIFTAYSNSFTGPLPQEFIKLRFLEQLNLGGSYFDGEIPEGYGTFPRLQFLYLAGNVLKGPIPPQLGLLSELTRMEIGYNQLSGEVPVELVLLSNLTYLDISNNFLSGSLPPELGNLTRLDTLLLFKNRFSGTIPQSLGLLQGLKSLDLSDNGLNGSIPPGIATLKELTMISLMDNFLVGEIPDKIGELPNLEHLLLWNNSLTGVLPQSLGFSEKLVRVDVSSNSLTGPIPPNLCRGNKLVKLLLFSNKFINPLPNTLTNCTSLLRFRIQNNQINGSIPTGFGFLPNLTYVDLSSNNFTGTIPEDLGNAENLAYLNISQNPLHTVLPSNIWKAKNLQIFSASSSKLTGKIPDFIGCRNFYRIELQRNDFNGTIPWDIGHCEKLLYLNLSRNSLTGIIPWEISALPSITDLDLSHNFLSGTIPSNFENCSTLETFNVSFNLLTGPIPASGSIFPNLHPTSFTGNEGLCGGVLAKPCAADTLSAGAVEVRGHEQPKKTAGAIVWIMAAAFGIGLFVLVAGTRCFHANYSRQMDESQQIGPWKLTAFQRLNFTADDVLECLEMSDKIIGMGSTGTVYRAEMPGGEIIAVKKLWGKQKENSSILIRRRRGVLAEVEVLGNVRHRNIVRLLGCCCNRDCTMLLYEYMPNGNLDDLLHGKNKAQNLVADWVTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQSDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEILSGKRSVDAEFGDGNSIVDWVRTKIKTKDGINDVLDKNAGAGCAPVREEMMQMLRIALLCTSRNPADRPSMRDVVLMLLEAKPKRKLLASVGGRDHNGVGGGGADDIPLPQKPSAEC >ONI21675 pep chromosome:Prunus_persica_NCBIv2:G2:12244766:12247372:1 gene:PRUPE_2G080200 transcript:ONI21675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQTTIASCLFLLYIATFTVAEVLEAHHHLHQHNSHGSVKLFIFGDSYVDTGNIRKSVSPSWKEPYGITFPGKPAGRFSNGRVLTDYIASFLGIRSPVPYALRKFVKKSKLESGMNFAFGGTGVFDTLVSGPNLTTQIDFFEHLLQQKVYVKNDVVNSSIALVSIAGNDYAAHFGRPGHDTKDLAMFTKSIIKQLTVDLKRIHNLGVQKIAVTTIEPLGCLPGITSSLSYQNCNEFANMASMFHNQILLQKLEELNKEIKNSAFVDLDLYNAFISAVKPQKHHQGYSTFQINTLKPCCVGVSNEYSCGSVDQTGAKKYIVCDNPDVSFFWDMVHPSQNGWHEVYATIKPSLHQLYL >ONI21494 pep chromosome:Prunus_persica_NCBIv2:G2:10013450:10014068:1 gene:PRUPE_2G069800 transcript:ONI21494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRLVTLLPSPSLESLSDDLAYPTWLFLLSCWFFADVDYFGPTNPKPEPTYLQLTSSSRHSTETRETLNPISNLNQMRAPFSSCGKRARVLTSWTDTNPSRRFCDCANYGVSLLWLD >ONI22258 pep chromosome:Prunus_persica_NCBIv2:G2:17541114:17545805:-1 gene:PRUPE_2G117400 transcript:ONI22258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVVSFVLEQLTDSVIQELKFLGGVRDQVKLAQTQLQLMRGYLKDADSRQGESEAIRIWVANIKDAAYDLDDAIETFVLKMASKRNASLFKRIAYICVGGVYLYKIGSEIKKITTKISELRSSLPPGDLRESGGDTSFQRQRERRIAYPHIIEPHVVGLAGGTEILATHLIKEKGPRVVSIWGMGGLGKTTLAKQVYHHGKVKRHFDCFAWVCISQQCQGREVLKEILTKLISPTNEQRQKIADLGKDQIAEWLWNTQRERKCLVVLDDIWTRDAWRSLEVGFPMTEETESRILLTTRNKEVASYVDKNGFLFEPQPLNDDESWELFEKRAMFETEDTNHKIYEQKKELGTEMLQNCKGLPLAITVLAGLLARKDTVDEWNTVHKNVYAYIRRGTDLGPDYKGEGYEGVSWLLELSYDNLPYYLKLCFLYLAHFPEDYEIPVGTLTKLWMAEGFMSSASAEVMEDVSYMCLSELVGRCMVQVGKHGSRKKIKTCHLHDLMRDLCMLKAKEGNFLHIINYSAAVETNETPNGRVRRLAIYLDKTVDTYGPEKDENYGHIRSLLYFVPEYYFMNSKALWSVFKYFTLFGVLKFEGLSVRSLFKNFTLLRVLKFEGMSVGKHKLPSEIGNLVHLRFLSVKDSDIRAIPSSIATLVCLQTLDLRTMWGTEIPNGNVFSKMEKLRHIYLPKYHSARRLLFATEVVNLHTVVNIYIQASSDLDDFVKLTNLRKLGVITFDGGEKKEKGTNIIFKHLQSLSVDSTLFSGGLFSGTSTFLPIPWNIVLSCPNIYKLRLRGEIAELPEDLMCLTNLTKLTLREFGNLKDDHIKVFEKLPSLRMLSASCGNFPEFLVCSKGGFPFLEFLSLHLVEELKEWKVEKGAMPSLCRLHIDHCLVLEAVPDGLQYITSLKELTIKSMLPEFCSRLREGGEDFYKIQHVQSVIISYD >ONI25621 pep chromosome:Prunus_persica_NCBIv2:G2:29473137:29474275:1 gene:PRUPE_2G311900 transcript:ONI25621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINLGRLFSSTTVLSTPSRAEPLTRAAINSVSRLLCSSATQSQSQHQNPSKEQREPTDEKLESTENQADDDDDDDEDDGYMNKETGEIGGPKGPEPTRFGDWERNARNHTQNGTQKKKLVK >ONI21627 pep chromosome:Prunus_persica_NCBIv2:G2:11745953:11746387:-1 gene:PRUPE_2G076600 transcript:ONI21627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNHFYVLNIGMNINHNFNVIYLFNNLNLQLRNTLYDHDILAFIIPTLLTLVQIRYPADQDLFQTHPMSMSVVLSSLLAYCLAFSLWVLSIRHYARGQNLAYAHFWCPMAMRLFGSASVTSLFSTFYFPMTPPGSLSFTFCG >ONI25009 pep chromosome:Prunus_persica_NCBIv2:G2:27673787:27677776:-1 gene:PRUPE_2G274600 transcript:ONI25009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAIRVHELGGPEVLKWEDVEVGEPKEGEVRVKNKAIGLNFVDVYFRKGVYKAATVPYIPGVEACGVVTAVGPGLTGRQVGDLVAYAGQPMGSYAEEQILPANRIVPVPPSIDPTVAASLLLKGMTAQFLLRSCFKVEPGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTKEKAAQAKEDGCHYVIIYKEEDFVARVKEITSDNGVEVVYDSVGKDTFEGSLACLKTRGYMVSFGQSSGAPDPVPLSAIAVKSLFLTRPRLFNYAVTRDELLGMAGEVFGNVQSGVLRVRVNHTYPLSQAAQAHEDLENRKTSGSVVLIP >ONI22899 pep chromosome:Prunus_persica_NCBIv2:G2:21116328:21117536:-1 gene:PRUPE_2G157800 transcript:ONI22899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FHGGAVAAVAEAVSIACARTVVAKDKEIFLEELSISYLASAKKNAEVIVDACVVRSGRNLSVIALEFKLKKTGDLIYTARATFYHMPAAKL >ONI22034 pep chromosome:Prunus_persica_NCBIv2:G2:15885514:15886779:-1 gene:PRUPE_2G103000 transcript:ONI22034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRDSPASPLYNQSESRWQRVSNALQRSHHRMISHFSRRNSALRAPSPVAESHLIYIGGEYLVNISIGTPPKTFIGIVDTGGDLTWTQCKPCMDCFKQNPPLFDPSSSSTYKPLSCLSKECHLLNEASCSDTNFCYYNYTYGDMSYTTGNLSLDTVTLASSTTGKPISIPNTIFGCGHHNGGIFGGIESGIVGLGSGAVSFLSRIGYAVGGKSFSYCLVPTTYEQSDSDPILGAKQGQREISSTIYFGDKVSGHDVVSTPLLSNGSETYYYLELQGISVGADNFKLDADNSQSFKGNIIIDSGTTSTFLPTKLYQSFESAIRKAVRLEVTKDPTGILQLCYKTKSEIEEPIVVTFHFNGADVKLKPVNLFVRVREDIVCLSFSPTNDVAIYGNVAQMNFLVGYDLDNRTLSFKSTDQCTW >ONI20269 pep chromosome:Prunus_persica_NCBIv2:G2:551064:552284:-1 gene:PRUPE_2G005600 transcript:ONI20269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFLAMLLCLLLASTPKAHASVFDVTSATYGAKPGSDVSTALAKAWSDACASPSASKVVIPSGTYNLKEATFRGPCKAPIEMQVQGILQAPADASQLTRPDTWVGFQYIDMLTLLGGGTFDGQGALSWNQNDCHKNKNCKPLPVNLRFEFLTNSKVQDITSLNSKFFHMHVFRCNHTTFQQLTITAPDESRNTDGIHIGASTAINITHSKIGTGDDCISIGDDSHEITVTDVTCGPGHGISIGSLGKYKEEKDVTGIIVKNCTLANTENGVRIKTFPDSPSPSTASGIHYEDIIMVNVSNPILIDQLYCPYTQCEQKPPSKVKINNVSFKNIKGSSFTPLAVKLVCTTGIPCENVELTDIDLTYGGDKGPLTSMCSNVKPTITGVTKALGCATSSLAPLPLSKK >ONI20750 pep chromosome:Prunus_persica_NCBIv2:G2:3436653:3439556:-1 gene:PRUPE_2G031700 transcript:ONI20750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFFGRLRSTSSVHKQNSSSAISFSEEDFKLTGPMGTVKVPEPVPSPDNDCQRLKKAFEGLGTDEEAVIWILGHRNQSQRRKIKDTYQQLYNKSLLDDLHSELSGDFRKAIILWAYDPPERDAKLARDALKAKKQGIKHLKVVVEIACASSPHHLMAVRQVYASLFDCSLEEDIASTVSLPLRKILVGLVSSYRYDRQLVDSGIAGSEVSRLHEAIERKQLDDDHVVRILSTRNLFQLRATFECYKQKYGNSFDQDIKACGNGDLESLLIAVFWCIESPEKYFAKVIKNSIVGLGTDEDSLNRTIITRAEIDMIKIKEEYSKVCKSSLVDDVKSDTSGDYKKFLLTLLGERL >ONI20811 pep chromosome:Prunus_persica_NCBIv2:G2:3741026:3742992:-1 gene:PRUPE_2G034700 transcript:ONI20811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSAEAQFHVLAVDDSVIDRKLIERLLKTSSYQVTAVDSGSKALEFLGLHDQDDQIDADIPSLSPDNHQQDVEVNLIITDYCMPGMTGYDLLRKIKESHVLKDIPVVIMSSENEPSRINRCLEEGAEEFFLKPVQLSDVNKLKPHMLKGRAMEDQSNNNKRKVMEQTQTPERTRTKYNDLEVTS >ONI25448 pep chromosome:Prunus_persica_NCBIv2:G2:29052662:29054520:-1 gene:PRUPE_2G304100 transcript:ONI25448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHHEYKSNQTENYVNRNYSRAAIRGCMYTDFQLKGNRLSVQRLKLQHLKTKRIRPEVIPANEIGVTFADIGALDDIKESLQEPELFKGGLLKPCRGILLFGTPGTGKTMLATKAIANEAGASFIYVSMSTVTSKWFGEDEKKVRALFTVAPDHDRRHSIASGTLVYAFTDPVCLWLLSATSISMHSLGPKNLKNFTTNCDHKSKLW >ONI24606 pep chromosome:Prunus_persica_NCBIv2:G2:26469472:26471992:-1 gene:PRUPE_2G249300 transcript:ONI24606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSSKEEKSKRVLRGVKTLFFLITMLISLLLFSAPLLLVIADTLLPSAILSASSSSSLISLEALSSHFTNYDFRYSLVDIPLISIIRSAVIFCVYSFCDGPRLSHGPYLGITTMCSLLSLVFVSLKASYVFGASGVERAEYVRATEVALFICSLVLAVGHIVVAYRTSCRERRKLWVYKIDIEAVSACKNGFPRYQKLLQEERVK >ONI22072 pep chromosome:Prunus_persica_NCBIv2:G2:16207422:16211149:1 gene:PRUPE_2G105100 transcript:ONI22072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYDSPLVVEEIHVGVILDMKSREGRIVLSCISAAVSDFYQLHKNYSTRVVLHSRDSKGEPLHALSAALNLLNDIKVEALIGAQTSMEAHLLADLGEAAKVPVMSLSEPSPPPLNDNKYPFRIGIIPDQTSLAMAISAIFDIFKWKDVTLVYDENTDYGKNIIPAVVNSFQETNVCIVHTSSIAASSTNEQIIEELHKLMELKTKVFLVHISHLLVPRLFLNAKKLGMMSDGYVWFMTSSSMNFLHSMDSNVIESMEGVLGLKSHIPASTGLQNLTSRLRRKFYIEYPNMEVTELSPYGIWAYDATWVLAEAVERTRSKNYTKSFSKHGVVLLREILQTGFKGLSGEVQYPDGKLTSGGFEIVNVVGKEERTVGFWPCKEEKTTKESCMPLSNRRNLLFTDNLETINWPGRSKRQLSSEIKLRIGVPVKVGFKELVGVEHDLQTNRTYVTGISIDVFETAIKALPYKVHYEFFPFENANGVMAGAYNDLVYQVYLKNYDAVVGDTTITSNRSQYVDFTIPYTDLGVGMLVPNEKDNMWIFLKPLSTGLWITSAGFFILTGFVVWLIERPVNEEFQGTRWQQIGTIFWFSFSTLVFAHREKLLNNLAKFVVIIWVFVVLILTSSYTATLASMMTVKQIQLNSVGSYIGYQSGSLGVIMNLNFKGIKPYRSVEEYANALSKGSKHGGVSAIIDEVPYINIFLAKYSADYSMIKTRSTTNGFAFVFPKGSKLVHDVSRQIEHMREEGKLIEMEKTWSLRKTTLMSEEATTTDPSTLDLYSFRGLFLVTGISSAFALFLFLIFSTTFRNLIRKQLQLIGRQLQRLRMYSCPI >ONI23540 pep chromosome:Prunus_persica_NCBIv2:G2:23218601:23220328:1 gene:PRUPE_2G193800 transcript:ONI23540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATLSLLKLPILPPKPKQCLSKLQHSSIPKKPSIAKDSASPQKLITTTIHHLKSTSLPLTAATLPFLLDTKDALAVGGEFGILEGRTFALIHPIAMGGLFVFTLWTGYLGWQWRRVRTIQNEINELKKQVKPTPVTPEGTPVEEPPSPFALKIQQLSEERKELVKGSYRDRHFNAGSILLAFGVFESIGGGVNTWFRTGKLFPGPHLFAGAAITVLWAAAAALTPAMQKGSETARNLHITLNVINVLLFVSQIPTGWEIVLKVFEFTKWP >ONI24611 pep chromosome:Prunus_persica_NCBIv2:G2:26487793:26489079:1 gene:PRUPE_2G249600 transcript:ONI24611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATFHHIGLNINTNFKKRKNLTWAIAQVVHSRGPSLLWIIIRVCLSLSSTLFMDLCVRSTNNILLPPRLLSPWKNIPCSHSPKWKNPRRRSPKTKRCAYIYIFCNLASTHVSSYHHNFSIPIPISLYLTKKHPLGPKNP >ONI24556 pep chromosome:Prunus_persica_NCBIv2:G2:26334254:26336359:1 gene:PRUPE_2G246800 transcript:ONI24556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSNSPTSLTQTQMVAKSTVFPDQPSTLGDLKLSVSDLPMLSCHYIQKGLLFPLPPFPIQHSLIPLLKASLSQSLSRFPPLAGRLTTYSDGHVHITCNDAGVDFIHASAPSLSTRDILGPTDVPNCVKDLFPFDRTVSYTGHHNSILAVQVTELSDAVFIGCALNHAVTDGTSFWNFFNTFAELCRLSSSSSPNENKKLTIAKQPDFSRSSVIISPAVLRFPEGGPKVTFSLTEPLRERIFSFSTEAIQNLKSRTNSKKWAEFQNDDALISAVEILGKQSNDPYEINNSNGARVTSIIENWFKNSNAKTAPEISSFQSLCALLWRSVTRARKLPSSKTTTFRMAVNCRHRLEPKLDAYYFGNAIQSIPTYATAGEVLSNDTRWCAEQLNKNVKEHDNDKVRGVVEDWEMDPRVFPLGNFDGATMTMGSSPRFPMYDNDFGWGRPLAIRSGRANKFDGKISAFPGREGAGSVDLEVVLAPDTMALLETDSEFMQYVSS >ONI23837 pep chromosome:Prunus_persica_NCBIv2:G2:24365252:24366451:-1 gene:PRUPE_2G211100 transcript:ONI23837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWTKKSTQAIEPKSPNKSRTPKSSSSFPVAEPYSTTFSANENNSSYNFSSKSSTSSIASLKSLKSSLPENPIIYDMSEIRSATANFLARRLSSSSTSSSWRCSLRGKDVVVFQRKSRLPISLADLQHRLAQISKSNHTSIIKLLGASLSGSYVYLVYEFVAGATLADCLRNPKNPNYTVLPTWLSRMQVATDLAHGLDYIHHSFGLNSTFVHNHIKSSSIIVSDQEHVVLNAKICHFGTAELCGESHARSTKVEGTRGYMAPEFQLSGTVTQKCDVYAFGVVLLELISGEEPLKYMMDDNGGDGVYRRVSAIETAREAIRIGGGGVRRWVDRRLKDSFPMDVAEKMVMLALECVAEDPDRRPDMGRVAGLVSKLFLESQSWAEKMGVPVDMSITFGPR >ONI22556 pep chromosome:Prunus_persica_NCBIv2:G2:19413039:19414571:1 gene:PRUPE_2G136500 transcript:ONI22556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLQPSLSMFTLVTLFSILLNLNPSSCFNPKLFSVSRLQSDSDWAAAGATWYGNPDGAGTDGGACGYGNAVENSPFSKMVSAGGPSLFKSGKGCGACYQVKCTTNAACSGNSATVVITDECPGCTSESVHFDLSGTAFGAMAVSGQEGQLRNAGVLQIQYKRVDCNYPGVTLIFRVDSGSNPNYFATLIEYEDGAGDLTEVHLKQSGGDSDSWLLMQESWGAVWKLDSGSALQAPFSIRLTASNGQALVANNVIPAGWQAGQTYRSLVNF >ONI20542 pep chromosome:Prunus_persica_NCBIv2:G2:2121683:2125491:1 gene:PRUPE_2G021800 transcript:ONI20542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFTSISTSTLCLSTSIRHCGPSSSSKHFSHPIIASSLSSPASPDSKSTTPNQTILSSSNDTSPNPYTSASSRPPEPPGFNYALANGNPFVRFARSAESSIERTIFDFRFLALFAVGGSLAGSLLCFLNGCVYIMDAYKVYWTSCVKGHHTGHMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNVPHDVPSNNDRALKGSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVQITTGLDLLSYSVCIFLSSASLYILHNLHK >ONI20541 pep chromosome:Prunus_persica_NCBIv2:G2:2121646:2125491:1 gene:PRUPE_2G021800 transcript:ONI20541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFTSISTSTLCLSTSIRHCGPSSSSKHFSHPIIASSLSSPASPDSKSTTPNQTILSSSNDTSPNPYTSASSRPPEPPGFNYALANGNPFVRFARSAESSIERTIFDFRFLALFAVGGSLAGSLLCFLNGCVYIMDAYKVYWTSCVKGHHTGHMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNVPHDVPSNNDRALKGSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVQITTGLDLLSYSVCIFLSSASLYILHNLHKKGLNG >ONI23041 pep chromosome:Prunus_persica_NCBIv2:G2:21531889:21532436:1 gene:PRUPE_2G166400 transcript:ONI23041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQEFMIILEKKIFTKIKLEKTNYIVALCSSDQSRRRSLPLFTNSPLFIVVSCKPLSSDRKSLTTQDKKFLLYQEIANCHNPHRNQLAQYIIAANFTN >ONI25459 pep chromosome:Prunus_persica_NCBIv2:G2:29071864:29072763:-1 gene:PRUPE_2G304600 transcript:ONI25459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPTMSLALLSLVVVLVLAVGANAGGIAIYWGQNGNEGTLAETCASGNYQFVNIAFLSSFGNGQTPVINLAGHCDPSTNECTKLSPQIKSCQAKGIKVILSIGGGAGSYSLTSADDARQVATYLWNNFLGGHSSSRPLGAAVLDGIDFDIEGGTDQHWDDLARYLSGYSKKGKKVYLTAAPQCPFPDAWVGNALKTGLFDNVWVQFYNNPPCQYTSGDVANLEDSWKQWTSAIPAQKIFLGLPAAPQAAGSGFIPATDLNSQILPAIKKSAKYGGVMLWSKYYDDITGYSSSIKNQV >ONI20262 pep chromosome:Prunus_persica_NCBIv2:G2:496543:499981:1 gene:PRUPE_2G005100 transcript:ONI20262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGNHRLLLCLITLALSTAAAHGAAVKPSHDSMPFNRTSFPAGFVFGAGSAAYQSEGAALTHGRGLSIWDTFTRKHPEKISDGSNGDEANDFYHHYKEDVKLIKKIGLDSFRFSISWPRILPKGTVKGGVNQEGVKFYNNLIDELLSNGIAPFVTLFHWDLPQTLEDEYDGFLSPKIVDDFQDYANFCFKTFGDRVKHWVTLNEPVTYCVNGYNGGTYAPGRCSNYVGNCATGNSATEPYIVGHHLLLAHAYAVKLYRDKYQAFQKGQIGISVVTFWYLPKSGTAASKRAASKALDFILGWFAHPVTFGEYPQSMRSSVGDRLPKFSAAELKLLKGSIDFLGVNYYTASYADPPSLSAATSTVNQSFYGDMDISLSTDKNGVPLGTPTALSWLHIYPKGIRELMLHIKEKYNDPEIYITENGVGDANNSSLPIKTVLKDSTRIRYHYLHLSYLSEAIKEGVKVKGYFAWAFADVYEWNSGYTVRFGLAYVDYKNKFKRTLKYSAYWFKMFLLK >ONI24152 pep chromosome:Prunus_persica_NCBIv2:G2:25308494:25311008:1 gene:PRUPE_2G227100 transcript:ONI24152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEIAVKAAVGAPDVLGDCPFCQRVLLTLEEKKVPYKFHLISFSDKPKWFTEVNPEGKVPVVKFDDKWVADSDVIVGIIEEKYPEPSLKTPPEFASVGSKIFGSFVTFVKSKDPSDGSEQALVNELKALDEHLKAHGPYIAGEKITAADLSLAPKLFHLKVALGHFKKWTVPEDLTSYYKYTELLFSKESFVKTKTEEKYVIAGWESKVNP >ONI21709 pep chromosome:Prunus_persica_NCBIv2:G2:13137557:13138106:1 gene:PRUPE_2G083000 transcript:ONI21709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYLHSIITCSASHQSRTLFRKFSLTSRSKCRLFLAAIATVTSLIRLFLDGFQSFSKAWPALHTNKTWWRCF >ONI25671 pep chromosome:Prunus_persica_NCBIv2:G2:29553217:29558167:-1 gene:PRUPE_2G313900 transcript:ONI25671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTQVLLNAQAIDGTVRKHAEESLKQFQEQDLPLFLLSLSRELANEERPVESRKLAGLILKNALDAKEQHRKLDLVQRWLALETSVKTQIKMCLLQTLSSPVSDARSTTSQVIAKVAGIELPQKQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVMDQDQVNKILTAVVQGMNASEGNNDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSSEVKIRQAAFECLVSISSTYYEKLAPYMQDIFTITAKAVREGQEPVALQAIEFWSSICDEEIDILEDYVGDFSGDSDIPCFYFIKQALPALVPMLLETLLKQEEDQEQEDGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSANKLTPIVNVALTFMLSALTKDPNNHVKDTTAWTLGRIFEFLHGSTMDTPIITPANCQQIITVLLQSMKDVPNVAEKACGALYFLAQGYEDFGPSSPLAPFFQEIVQALLTVTHRADAGESRLRTAAYEALNEVVRCSSEETAPMVLQLVPVIMIELHKTLEGQKVASDEIERQSELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYTTGPDFAKYMPEFYKYLEMGLQNFEEYQICAVTVGVVGDICRAIEDKVLPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGDNFEKYLMYAMPMIQSAAEMSVHTAGADDEMTEYTNSLRNGILEAYSGIFQGFKNSPKTQLLISYAPHILQFLDSIYMGKDMDEVVMKTAIGVLGDLADTLGSNAGSLIQQSQSCRDFLNECLSSEDNLIKESAEWAKSAISRAISV >ONI23197 pep chromosome:Prunus_persica_NCBIv2:G2:22044617:22046256:-1 gene:PRUPE_2G174400 transcript:ONI23197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPYRKLILFLSILSLSTLSALSHSPFSPVDNFLVDCGSTVGSTVDNRLFVPDSAKRDSSLSSSTRSITLRNENPPPNSPQIYSTARAFRRPSKYKFLIRDEGTHMVRLHFQTFNSLKFDWNNSQFHVLVNGFVALADFRAGGVQSPIVMEFLIWVSTGKLVIEFVPAEKSNFAFVNAIEVISAPKDLVADTAKFVSSDKVEDFNGLAKQALQVVHRVNVGGSKVTPFNDSIWRTWITDDEYLEPNSGSKRVYFGGRIKYQDGGASREVGPDNVYNSARVIHSTNASIPKVNMTWVFPAIAGYKYLVRMHFCDIASISIGLLYFNVYVNGNLAYENLDLSMASNYILASPFYADFVVDGDDSGVLRVSVGPSNMSVPYAIDGVLNGIEIMKLNNSMGSLDGDYCAGWVLRNWPSGHVGFVVPLVAAACLLLSISLVMRRKMNAEGFVAWSRLPMDVSEANAKHGNAHPSS >ONI20969 pep chromosome:Prunus_persica_NCBIv2:G2:4773919:4776216:-1 gene:PRUPE_2G043400 transcript:ONI20969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPSQYRSLFTTLIQYSRQKDLQKGKALHAQIIKTGPSSCVYIANSLVNLYSKCGDLPKAKLVFEAIPDKDVVSWNSLINGYSQQGQNGSSHVMELFQRMRAENAFPNAHTFAGVFTAASYASPDVFGGRQAHALAIKADSFYDVFVGSSLLNVYCKAGLVLDARKCLIELLETNSVKEEVNEFVLTSVLSALALPQFVGTGKQIHCLAVKIGLISFVSVENALVTMYAKCGSLDDALRTFKTSGDKNSITWSAMITGFAQSGDSQKALDLFSHMHFSGVMPSEFTFVGVINACSDIGALEEGKQVHSYSLKLGFEFQIYIMTALVDMYAKCGNVSDARKGFDYLREPDIVLWTSMIGGYVQNGENEASLTLYCRMQREGMMPNELTMASVLKACSSLSAFEQGRQIHARTIKYGFSLEVPIGSALSTMYAKCGNLEDGNMVFRRMPMRDTVSWNAMISGLSQNGRGTEALELFEEMRLEGAKPDYITFVNVLSACSHMGLVERGWIYFNMMSNEFGIGPRVDHYACMVDVLSRAGKLDEAKEFIESATIDHGMCLWRILLSACRNYHNYELGAYVGEKLMELGSQESSAYVLLSSIFTALGRSKDVERVRSLMKLRGVSKEPGCSWIELKSQVHVFVVGDEMHPQIENIRHEIRRLIKHMKDKDYQPSSTIF >ONI25661 pep chromosome:Prunus_persica_NCBIv2:G2:29529527:29532547:1 gene:PRUPE_2G313300 transcript:ONI25661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFPLLKLQEMVAFLLELCINQMVSFLSGSYDYVRYLAPPPSPLLSGNHSFTMSGDHGNIMICSQSLVDGYWCS >ONI25774 pep chromosome:Prunus_persica_NCBIv2:G2:29831471:29833093:1 gene:PRUPE_2G319900 transcript:ONI25774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAPLVHTEAADEVLSTDQSLKNSHQNKGEDEVVVEDVKDDNDRDEDDEDDDDDDDDDDKEDGAQGGNESSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNILFFISKPDIFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDMSSVMTKSEISAAAAGAQADEEEEEVDEAGVEPRDIDLVMTQAGVSRSKAVKALKTHSGDIVSAIMELTT >ONI20596 pep chromosome:Prunus_persica_NCBIv2:G2:2386807:2392264:1 gene:PRUPE_2G024000 transcript:ONI20596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLAGDKKRPRRSSRARAPTTVGSFQQDILCIIFSFLDCFDLASCSVVCKSWSAIINKSKLLQLLYRKQWQTGLLGSSNWSKECPEKSWKDYLEEMAVERHRLALQEGRIRVDHLRGHSVGGEQCRTKMGLLLTGVGDKVMRLWSLESYKCVGEYPIPDRVRLVDFDFDESKIVGLVGTRICIWRQNGISRIFPSHEGTFSKGLCMRYCDPEAVVGCEDGTVRVFDMYSRKCSQIIRAHEGPVKCLCLSDDQLILSGSSLGDVALSALSSGERVVKLRSQDSSGIKTLCFNPCSHQVFAGTATGYGLCWDLRMGLLWKTRVSQNSVYSMQHQRNDASTLAVGGIDGVLRLLNQNTGEVISRCILDGYLSPSSQNTLGATQRTRGRRLSEDTAIDSILRSCRPPITCLAVGMKKVITTHNSKYIRIWKFDRG >ONI23625 pep chromosome:Prunus_persica_NCBIv2:G2:23650951:23653781:-1 gene:PRUPE_2G199300 transcript:ONI23625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLERKSHPKINHVEPGDEITREEEEESFYYAMQLVGSSVLSISLQSAIELGVFDIIDKEGLGAKLSSSGIAAKIGTKNSEAPMMMDRILRLLTSHSVLHCSLVAANEDENEGGSLGPLMALDQDKVFMKSRSQLKAAVVEGGIPFNKVHGMHAFEYPCLDPRFNQVFNTAMFNHTTIVTKKLLHIYKGLEDKNLTQLVDVGGGLGVWNMFASVPSGDAIFMKWILHDWSDEHCLKLLKNCYKAIPDNGKVIVVEALLPAMPETS >ONI24495 pep chromosome:Prunus_persica_NCBIv2:G2:26196192:26197727:1 gene:PRUPE_2G243600 transcript:ONI24495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSRGLRLILFPLPFQGHINPMLELGNLLHSKGFSITIVHTKFNSLNPSSHPHFTFHSIPVDLSESEASMKEAGRLLSLINAKCVEPFRECLATLLSDAEEDPVACLISDPLLHFTRSVAESFELPRILLRTGGAASFAVYAAFPLLKEKGYLPIQDSRLEEPVTELSPFKVKDLPRLDTCDPESFYQLITNMANEPKASSGLIFNTFEDLEQHALATLRQDSYPNIPIFPIGPFHKCDSAASSSSTGLLTEDQSCISWLNTQAPKSVVYVSFGSIAAVKEAQFLEIAWGLANSNQPFLWVVRPGFVHGSEPFPRGFVESLNGRGHIVQWAPQKQVLAHPAVGAFWTHNGWNSTLESVCEGVPMICMPCFTDQLVNARYASDVWKVGLQLEDGMERCMIEKTIRKLMVEKEGEEIRDRALKLMEKANLCFNRGGSSCQSLDGLVKHILSLEPFVFKTQSQ >ONI23366 pep chromosome:Prunus_persica_NCBIv2:G2:22611106:22614750:1 gene:PRUPE_2G184500 transcript:ONI23366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEMRFESKPVSSFHKHNRLLVKFAASFLLLGLAFRLFYSDSIGLSVEPPPLADHETEPSSVPSFPPQTPPGPVDFPGNSSQTSQNVAVKCDIFTGDWVPDSSGPRYTNESCHVMESHQNCMKNGRPDSGYIYWRWKPTDCELRKFNPQRFLALMRNKSWAFVGDSISRNHVQSLICILSQVEQAVEVYHDKEYRSKRWHFPSYNFTLSVIWTPFLIGADIFEDMNGVSTSEIQLYLDKIDKTWTNQYNSFDYVVIGVGKWFLKTAIYHENNTVSGCHSCPGKNLTELGFEYSYRSALRVVFNFITRSDHKASVFFRTTTPDHFENGEWFSGGHCNRSVPFKEGEVDLKDVDNVMRNIELEEFEKAAKGSEEGVNLKLLDTTHLSLLRSDGHPGPYRQFQPFAKDKNAKVQNDCLHWCLPGPIDTWNDLVMELLVNGDKYR >ONI22888 pep chromosome:Prunus_persica_NCBIv2:G2:21044272:21047916:-1 gene:PRUPE_2G157100 transcript:ONI22888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFNSRVLNFSHKANQFKHKELAHLIHSIVDSTQSKFQANLLLNDLSKSGRLDEARQLFDKMPSRDEFSWNTMIAAYANSGRLNEAKQLFDATPSKTPITWSSLISGYCRNECESEAFVLFWQMQLEGHRPSQYTLGSVLRLCSTLVLLQSGELVHGYVIKTQFDTNAFVVTGLVDMYAKCKRISEAEYLFETLPDRKNHVLWTVMLTGYSQNGDGFKAMKCFRDMRAEGVESNQFTFPSILTASALILANSFGAQVHGCIVQSGFGANVFVQSALVDMYVKCGDHNSAKKALKSMEVDDVVSWNSMIVGCVRQGFTEEALSLFKEMRSRELKIDHFTYPSVLNSLAALKDMKNAMVIHCLIVKTGFEVYQLVGNALVDMYAKQGNIDCALEVFKHMSDKDVISWTSLVTGYAHNGSHEKALRLFCEMRTAGIYPDQFVIASVLIACAELTVLEFGQQIHANFIKSGLQASLSVDNSFVTMYAKCGCIEDANRVFDSMQVQNVITWTALIVGYAQNGRGKESLKFYNQMIATGTQPDFITFIGLLFACSHAGLLEKGQYYFESMNRVYGIQPGPEHYACMIDLLGRSGKLKEAEALVNQMVVEPDGTVWKALLSACRVHGNIELGERAATNLFKMEPLNAVPYVQLSNMYSAAARWEDAARIRRLMKSKGILKEPGCSWIEMNSQVHTFMSEDRSHSRTAEIYSKIDEIMMLIKEAGYVADMNFALHDMEKEGKELGLAYHSEKLAVAFGLLTTPLGAPIRIFKNLRVCGDCHNAMKYISKVFLRHIILRDSNCFHHFKEGNCSCDDYW >ONI21384 pep chromosome:Prunus_persica_NCBIv2:G2:8271909:8272660:-1 gene:PRUPE_2G062600 transcript:ONI21384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEKIVYVLEQAPDIEPPAEDISQEVRAKYDKHKQHAANIISYLQELYGEGTRNRRFSTVCELLKTMMVKGAPVHKHGLKMIGLIEHLESLSSPLDQNLATIIFFESISDSFSQFVMNYNMGKMEHTFSMLLNMCVTLKKPSREKEEMGPLLFLRRGGIKKKQEERKEANGQCFHYRKDEHWKMNCRSYLARMKDNRAEGTA >ONI21407 pep chromosome:Prunus_persica_NCBIv2:G2:8547981:8548699:-1 gene:PRUPE_2G063700 transcript:ONI21407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCSYIFIPVLDRIGSHWYLLVVLMGDKKVELWDSLPAAKYNASRYQLVERIMKVLDYIYKDEIVKHFDKGWQFANFNIVRTDKARRQLNGCDCGIFVMNWLEDIECTSHGSNKFQHASERVRVALSLLKNPKNKRLKEVRESARRVVDEELDMLAQHGPSIPHHPIARKPMTRSQAK >ONI22759 pep chromosome:Prunus_persica_NCBIv2:G2:20459330:20459946:1 gene:PRUPE_2G149100 transcript:ONI22759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGEIVSIQFSSHRLPITFKYLGIVRLETPIPAQLNFINAKVFPFVQSAISCSGGLSTDQLPSFRRNLNESEVSEIASLLSLLENVCIHSSRINSRKWGLDTTGSHSNKCYYCYLSNSGSESSFNPHGLLWLSRTPSKVKILSWLMAHRKVNTCDMV >ONI21172 pep chromosome:Prunus_persica_NCBIv2:G2:5931183:5932759:-1 gene:PRUPE_2G051200 transcript:ONI21172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRILTFPKGNQEVEHLAVYLDVAEASSLPSGWSRYANFSFTLVNQLDTKKSTRKDSSGHEFVATEDWGFPLFIRLSELCNHDKGYLVNDTCVFEAEVSVHNVKGKILKDPETGRLMDLRSLGQTEKQYNGREPSAPPSEIGSLVDPSALKELTPTGQHLDFRGLGLIDKAFVPLLEEVCSWYPSLIACQQKRSRKVSKCAFTAFGELLHFLKTTTTTTKSEKDDQKTTTV >ONI21696 pep chromosome:Prunus_persica_NCBIv2:G2:12783724:12785129:1 gene:PRUPE_2G081800 transcript:ONI21696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQICNQRDNFLEVDDRTFLRQRVEARLASLLMESKEYSEALSVLLGLIKEVRRLVDKLLLVDIDLMRVSSISL >ONI25042 pep chromosome:Prunus_persica_NCBIv2:G2:27805933:27806649:-1 gene:PRUPE_2G276800 transcript:ONI25042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDTVDKLVIFLAKRDGIDKLVKTFQYVSKLVHWHVEAKNPEIAQRAKQWEVASGLSRKAFRTGRFLTGFNALRRSPGSTPTLRFLAVLANAGEMVYFFFDHFLWLSRIGTLNPNLARKMSFISAFGESFGYIFFIISDFIALKEGLEAERELAALEDKSTDKKIESLRKIRSDRVMRLMAVAANVADLFIAVADIEPNPFCNHTVTLGISGLVSAWAGWYRNWPS >ONI20617 pep chromosome:Prunus_persica_NCBIv2:G2:2644956:2645332:-1 gene:PRUPE_2G025600 transcript:ONI20617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIASKSQGGDTSLTLELVECCCLAVPKFCYSRCHSKNSHPVEVQRAGDPQVPLLPKATLYTSLLFSCQSLSSFDRHLNSCFQTQFVQIMTDNHFPTVPSNGKVEQCPQVMQLFESVL >ONI23735 pep chromosome:Prunus_persica_NCBIv2:G2:23987662:23989315:1 gene:PRUPE_2G204900 transcript:ONI23735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYFGLDPTEEQLVSFLREKKQIDHIIPEIYIGNYVPWDVPGLYAGLFAEPDSPHQPMFFFSPRDYRYIDNNYARTNSATARGFWKTTGKERVIKARGSILRERTLIFYEGRVPPFNQTNWIMHEYSLIEDEANPTPELAQQRGFVLCCLKKIQEKKDSSIFAQPDEWPLISEEYPQPEEPE >ONI24964 pep chromosome:Prunus_persica_NCBIv2:G2:27571734:27572894:1 gene:PRUPE_2G272500 transcript:ONI24964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHANQLSCSHIKIATPTHEEQDESTLELIRQHLLGDFTFTDGFFSHPNFQIPDDDFSYDFQPLVVKPECSLSESESTSPISDPHCHNPQPCNFETKPETIISPSIKVEPLNLSSPTKGKTTTSDCATPSSPDDKFQQVSGSGEVLRHYRGVRRRPWGKYAAEIRDPARKGTRVWLGTFDTDVDAAKAYDCAAFKLRGRKAILNFPLEAGQSSQPPVNTSRKRRRSVKQEEEELPETELLKP >ONI22743 pep chromosome:Prunus_persica_NCBIv2:G2:20403542:20406569:1 gene:PRUPE_2G148100 transcript:ONI22743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEEEGGGKVKLGLGIGVDCYGDDHNGTEEVVVGKKKKKYGGGGLTASQLHELEQQALIYKHFAANLPVPFHLVLPIWKSVAASFGSSNAAAINRQYPSWFSATGFDYRDHEPGRCRRTDGKKWRCNKNVVPDQKYCQQHMHRGRQRSRKPVENSEVASPSSTKTPKNSEIELGNSKTNLQISTPKGLQLMAQSYNYVSVSQGTTMASSGYHECKKNIRPVTTTSIANIPANRSATSTNPAAVATTTIAGPTTTTNSSTNENSLNIGVKYNSSNHAGGNCMIRGSSMNVVNISPGLGFSPKSVLQALLGCNGLYFDNGSGVELEPGRCRRTDGKKWRCRRDVLPDQKYCGQHIHRGAKRQMKDVQPVAVPSSSAAAMNTTRLSRTTAICRKTNCAIPNTNLSISIPANPPPGRNDERINSSSDSDTTLTDTSLTACDSSHVSS >ONI21779 pep chromosome:Prunus_persica_NCBIv2:G2:13965219:13968688:-1 gene:PRUPE_2G088500 transcript:ONI21779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YEAKFINKVVEEISVQVLNNTYLDGPAYPVGIMPCVTDVNKLLSVDGNGHCMVGIWGSPGIGKTTIAKAVYNSIANKFEGSCFLADVREKSMYGGLIKLQEALLCQVLGSINFQLFSLDKGINVIKNRLSRKRILLILDDVNQLDQLEKLAGTGWFGEGSRVIVTTRDRGLLARHGIELIYEVKKLAHRDALELFSMISFQRKEPQGDYLALARRAIAYAQHLPLALTILGSHLRNQKLEYWHATLGSYEADPYLDIQKILRTSYDGLNYHVQQVFLDIACFFKGEDVDYVIQVLKGPKLNFPENCIRVLVENAIITIECNMILMHDLLEQMGKDIVHEESPNEPGKRSRLWFHEDVREVLTDNSGTNTLKGIIVNLPKPDEIPLNAKCFSAMKNLEFFINHNASLSGDTVDYFSNKLRVIHWGNCQLQYLPSSFQPKDLVLFSMPCSRIKQLGDGCKNLAKLMSLNLTDCKFLAKIPDLSGMENLKYLTLSGCKSLIEVDSSIGFLDKLATLDLSRCSNLVNFPPMIRLKSLEMLILSGCKRLENFPEIVDKMDSLRELEIQESGIRELPSSIAYLSGLESLWAYGCESLTNISSSVYDLQNLSDIDLRECPKLGTFQNMINSEISSSAGSMPLSANSNISQDKCNLSKSGPFLSLNLSGNNFVALPVCISKLVNLDKLYLFGCKLLREIPEALPEKLNDLNLADCKSLEKFSKLPSIFEHNELPELQGLDLTNCQRLCGDKGDYYLAKLEIFFTISRNLKRSRFEIVLPGNEVPKWFSCCVVHEPVLEFPSSRFIKIPRNLMWEKAGLVVCVVFEYTKRDDRFTESGEYRLMCDVHVRINGRLVESFPHGHYLTMTESTHVWFTYTPWNISWGQPDGGSCCEVTFTFDWVGRSKCVKFLSCGVHLLYPQDGDGNGDDTHVNDHEPSAVMMVGDDCGDDNDNTNVNTELSLSFGRTSPRKRPHGAMTTPNVNVDHQDDQEQRHSLSSDQPRNNLRKRRHIDFDIASTSHPNL >ONI22471 pep chromosome:Prunus_persica_NCBIv2:G2:18972827:18973204:1 gene:PRUPE_2G131600 transcript:ONI22471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAASLVQQKIRLFVQKLGKGFHSKKAYKKLEHASESSKNKRKDGDQVQVPEGYVAVYVGEERRKYKVSLKCLSCPAFQELIIESMSDVLDVKIEGPIMLSSYPTERFDVFIRLHAWDIACMEV >ONI23901 pep chromosome:Prunus_persica_NCBIv2:G2:24600603:24601013:1 gene:PRUPE_2G215100 transcript:ONI23901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKATAAIVLLQRYQSLQRPWIKDTDMWALQHHQVRGTAAPPGKGHYQHPQVRGIDM >ONI20204 pep chromosome:Prunus_persica_NCBIv2:G2:341133:343084:1 gene:PRUPE_2G003000 transcript:ONI20204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASVLAAAQARLSSQLLRPIPQNQIPITHSLKRSAVKAAPFDFSLERNVLRLKIRESWHAVSASNSNPSSGDSVEDKSGGVKTNDAAQGPPLLTIVAGFVVFFLLFWILGSTLMWLIGLVVRFPPPK >ONI22752 pep chromosome:Prunus_persica_NCBIv2:G2:20431538:20435190:1 gene:PRUPE_2G148700 transcript:ONI22752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFYIIFTFILFFLFLTVSLFILRIFTGKSIRNPNYPPVKGTVLHQLLYFNRLYDYQTEVAKEHTTYRLLAPEHSAIYTTDTRNIEHVLKTNFGSYSKGEYNQAILSDVFGQGIFVVDGEKWKQQRKLASFEFSTRVLRDVSCSVFRRNAAKLVKVIFEISVARRVFDMQDLLMRCTLDSIFEVGFGIDLNCLEGSSKEGTAFMKAFDESTALTYFRYVDPFWKLKRFLNLGSEASLKKYVKVIDDFVHQVIRSKRKFLEEQKDVNDKEDILSRFLLESKKDPEEMNDKYLRDIILNFMIAGKDTSANTLSWFFYLLSKNPLIQEKVVQEVRDVVGNQVGEAKIDEFVENITDGTLEQMHYLHAALTKTLRLYPAVPIDGRCAEVDDILPDGFRVRKGDGVNYMAYAMGRMPYVWGKDADDFRPERWLNNGIFQPESPFKFVTFHAGPRICLGKDFAYRQMKIVATALLCFFRFKLSDETKAVTYRTMFTLHIDGSLPMLAVPRATS >ONI21810 pep chromosome:Prunus_persica_NCBIv2:G2:14291672:14293830:-1 gene:PRUPE_2G090400 transcript:ONI21810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSHLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGDYSNMEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFEYKYAKGNQEASYPQEAIDEVRNE >ONI23308 pep chromosome:Prunus_persica_NCBIv2:G2:22441607:22442509:-1 gene:PRUPE_2G181600 transcript:ONI23308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFFTKFCSQAAIVFLLVLPSLVSKSDAGSIVVYWGQNGGEGTLTETCNTGRYQIVNIGFLSKFGRGQAPEINLAGHCNPKSNGCHRASIGIKNCQRKGIKVLLSIGGGFGSYGLSSENDAKNVANYIWNNFLGGRSNSRPLGDAILDGVDFDIEKGGPHYVTLARMLAAHSTRGRKVYLSAAPQCPFPDQHLNAALSTGLFDYVWVQFYNNPQCEFSSRNANAFKRSWNRWSSSIRAKIFVGLPASRAVVVSGFVPANDLINEVLPFVKRSPKYGGVMLYNKFNDDKSGYSPKIRGRV >ONI24129 pep chromosome:Prunus_persica_NCBIv2:G2:25222277:25223806:-1 gene:PRUPE_2G225100 transcript:ONI24129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNFWVPVLLLVFHLFTASSQITIEWLNIDCGNNALRVDKNSLRWVTDNDYTQAGINKQVPQKQKLEEMNTLRSFPNKAQQNCYALPFSEETQRVLVRAGFYYGNYDGLSKPPSFDIHINGRNWSTVNTSTVVEGPIYHEAMYVNQGAGSLNVCIVQDESGVVPFISSIEAVPISVTLYPEMETNYAYNLVSRINYGGGEMLQLIHQRLIITHTLSILLDYDMLDFDRECTKIAECRFPGILGEEYNRVWTGGTIPPNCTEVTTLPDPLSFPENDPPISVLTDSIESMNLADPITLSIDLPQTTPQLAYLVLYFTETVTLPKPNDSRIIQIYINGQMKSTVTSEYNKCKVITVYPVTVVGPTINVTLASANGSTLPPIISAMEVFTRIEANKGDKSSSPPSSTPSHGTQGHFFYAYINSIYCICLLLLFIA >ONI20965 pep chromosome:Prunus_persica_NCBIv2:G2:4745897:4748253:1 gene:PRUPE_2G043100 transcript:ONI20965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLCGSVNQLRLEFLPHEMLAKLTSLERLGIIESCDSMRTFPLGIFPKLWFLNFRKCQNLESFSIEGVDKNLRDLYIEDCPNLGGLPASNLNHFMINGCNNLKSFPERIHTLTALRGLVIYDLPNLVSFAECGLPPNLRDFSIDNCCERLRPSSVGEYWGLQGLFSLEEFSIGGRGSDDILERLFKEQLLPPNLHTLHINTLSSLKSLDINGLGHLTSLQQLHISGCDSLEFLPRLRHLTCFQQLHIYSCPSLEFLPEEGLPPSLSSLSISDCSALEKRTGYLLLESFSFARLLYCHFWGRVYHCI >ONI24664 pep chromosome:Prunus_persica_NCBIv2:G2:26689440:26691136:1 gene:PRUPE_2G253600 transcript:ONI24664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKTNLLLILLFSFASLLTLPIPTTASQPISAVFAFGDSTLDSGNNNRLPFTLFKSDHKPYGKDLPNHVPSGRFSNGKLATDFMVSKLGLKDLLPAYYDPKLTDRDLLTGVSFASGGGGLDDLTLAVSKATSMSKQLEAFDEALGRMRKTVGEQNCSEIVKNAVFVISAGTNDMVFNMYELPVTVRKIAFSPSRYQDFLLRALGSFVQNLYKRGGRRFQVASLPPIGCLPMQLTIGSIFNGLRRVCVDKQNKDSQAYNTKLQDLISRLQASLSGSRLAYFDTYNPIMDMFSNPDKYGFSQTHEGCCGTGLVELGPLCGELSLTCTDASKFLFWDSMHPSQAAYSVLADMAQKTVLPYLIA >ONI24007 pep chromosome:Prunus_persica_NCBIv2:G2:24791043:24791608:1 gene:PRUPE_2G218400 transcript:ONI24007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEALQVAKVYRHLLRAVKKHVAKEDRAAKHLTQKIKLAHNYTFLPSSVHEQQHLTLYNGYAGPIISLHRSYEMKKVLGKSAASVGLQPYQP >ONI22908 pep chromosome:Prunus_persica_NCBIv2:G2:21139447:21140805:-1 gene:PRUPE_2G158400 transcript:ONI22908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVKEFDGNLKEEGLVSLSPSVSGSTCDNAVLNLASLQGRMAGPIRRSTKGGWTEEEDEILAHAVQKFNGRNWKKIGVYLHMTLFFFISL >ONI25068 pep chromosome:Prunus_persica_NCBIv2:G2:27889544:27892576:-1 gene:PRUPE_2G278400 transcript:ONI25068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKLHHNRHLPEEIVVQILCRLPIQPLIRFSSVSERWHSLIIEDPQFAQSHFKLASDRKTLTQRLLISTDYQLRSLDPETPSFADNNSSLNNLTFAHFSNLLGCCNGLVCVDVDGYEKHDLSLWNPSTGFKRELPDSDFVCAVKNLSRFAESDIGRSGLGYVSSTDDYKIFIDADVYTRPSQTFMEIYSSRLNSWKPIHVREHDPPCSFRSPTTTGLGALTNEALHWFYRKVGEVEPTILAFDLAKEEFREVPFPTFDGDADDVDVHDMGVQVVSRGGGECLCVSIARRRGGVNFMEFWVMREYGVGESWSMLFKFNTDHVFESVGGNLCGYEAIFVTEGGTVIFRLRFRDRLVRIECRREAEPVCSTPFNVNDGDGPVYDVIPYNETLFSVPKLGLHEMNEADVS >ONI23371 pep chromosome:Prunus_persica_NCBIv2:G2:22634253:22636034:1 gene:PRUPE_2G185000 transcript:ONI23371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTKVCVTGGSSYLGSSLVKRLLEKGYSVHATLRNLGDPFKGGLLKGLPNAQERLRLFEADIYNPYEFEPAIQGCKYIFHLATPLQHEPKDTQFKNTSEAAVAGLNSIVRSCIKSGTVKRLIYTASVEAAAPIKDSGNGFQKYMDESCWTPLNLLYPLANQTLMLRYIASRICMWAMGLLISQIASDERSYQMLRFLEDLLGKVPIVHIEDVCEAHILCIGKPCISGRFLCTSAYLSSAKIASHWKKYHPDIRIAEEFVEDLGREIVWGSTKLEKIGFEYKFDAKVILDETLKWAQKMGEFGSSQEIIVSK >ONI24693 pep chromosome:Prunus_persica_NCBIv2:G2:26791833:26794294:1 gene:PRUPE_2G255600 transcript:ONI24693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIQDIAQGMQEFKIEAISCTFPQEIIQEILLRLTVKSVIKCISVCKTWRSMIINQSFIRTHLNPTVHVNNLNASHLFLIHRVAGKRSVTMFHKALVEDVLEEVYSLHYDNKAFDEYSKIEFPIAPKQELYNRHLRVVGTCNGLICFADDIFRYGYDIFIWNPAIRKLVTLPWPGVTYMTHGGYDASIGFGFDANTNDYKVVRLVTYVILFHHP >ONI22773 pep chromosome:Prunus_persica_NCBIv2:G2:20537836:20539452:1 gene:PRUPE_2G150200 transcript:ONI22773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFQSQDLPQLLIIQPPLCLAIAESQLSQKFHLLKAWESELPLDQFLTTYACSVQAMLCYPFTQVNADLLRLLPALKLVVSPTAGVNNIDVVECRRRGISVTTSGSAFSEDVADTAVGLLIDVHRRISAADRYVKGLWTSKGDYPLGSKLGGKRVGIVGLGSIGSEIAKRLEAFGCIVSYNSRSKNPSLTYPFYSSVHELAANTDALIICCALTDQTRHMINKEVLSALGREGVIVNVGRGAIIDEKELVRFLVHGEIGGAGLDVFENEPHVPEELFALDNVVLSPHNAAFTPESVESSSQIVIANLEAFFSNEPLVTPFMDD >ONI22184 pep chromosome:Prunus_persica_NCBIv2:G2:17050202:17050814:-1 gene:PRUPE_2G112500 transcript:ONI22184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSPDYNGAEAVLNLQPSSSIPITYHPPFGPHDDLILLELDQKLLRDVLHQRVTVRGQPEEDAVLCTESKTYAIKSVGTSNSVFLIPPSGQFNSLESPICCDENYHDPQSVASVIKIATGNMELVEVAPKLDKLRSLLFENPYISEEDVENGGTGRDGRKEYRTV >ONI20904 pep chromosome:Prunus_persica_NCBIv2:G2:4342434:4344491:1 gene:PRUPE_2G039800 transcript:ONI20904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIRRTETDSGSRSSSFSILQDDQEGFSPTSSNALVLVQNAPIVSSYNERIRPMLDAIDKLRNLMVMDEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQHHSDPEPELSLEYNGRVEHTDEDNISEDIVNATNLIAGDSKGISDTPLTLLVKKNGVPDLTMVDLPGITRVPVRGQPENIYDQIKDIIMKYIKPEESIILNVLSASADFSTCESISMSRSVDKTGERTLAVVTKVDMAPEGLLEKVTADDVHIGLGYVCVRNRIRDETYQEARAIADRLFETDPFLSKIDKSMVGIQVLADKLVQIQAASIARNLPDIVKKINDKLNSYLSELNKMPKKLSSVAEAMTAVTQIIGTSKESLKKILVRGEFDEFPDDKHMHCTARLVQMLNKCSDQLHECNESDNKSNFLLEEIAILEEAKGINLPNFVPRNAFLIVLQGKVKGISSIPIGFVEQVWSYIEDVVLSVLMRNTENYYHVQLSARRAGHNLIKKKKERSINWMTEIVEMEKITDYTCNPEYLSEWHRLMTEQETFIQKVLRDAEININVKGIGMVEVGGLRKYTHVLLSQAFDLKMRMTAYWNIVLRRLIDIMGLHLQLSVSNLVNKDLEMEIMNELLGPNHGGGIERMLEEPSSMAVKRQKLIKSIKKLKESKEVVCKIMDDRFSYADYLV >ONI22142 pep chromosome:Prunus_persica_NCBIv2:G2:16808930:16809437:-1 gene:PRUPE_2G109900 transcript:ONI22142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGWMQTKQIRHFMDKARLGNGAPEEVTRESLIAISYPEPEKIITSNLSSGKLNGGKLNGGKLNGEALVKTIVSDGEDKFRSELISISYTQSPEVGSLPVNHGRT >ONI24341 pep chromosome:Prunus_persica_NCBIv2:G2:25730925:25731500:1 gene:PRUPE_2G234800 transcript:ONI24341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTAEIEGSPASQPMDAFSATTDCPFAFDESICFPILSRKRIKIYYLRNKEHTIK >ONI25622 pep chromosome:Prunus_persica_NCBIv2:G2:29475335:29477881:1 gene:PRUPE_2G312000 transcript:ONI25622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHARCDIYIGIGIGSVAIVPAIPSSKKMNIFIAIFSSFLFFLSFSYLASSSSSSSSENCLQEDVCFKGEPNIKFPFGITKSQPQSCTYPGFELSCDITNQTILNFPYSGDFTIQGIDYAEQQIWINDPNNCLPQRILTLNLSGSPFHGVTNETFTFFNCSLDYLKYKLNPIACLSGSTYTVFATTSTKVIDYLSSSPTCNRTGTFVVPVDVPLYDEVMSSDLTDHLRLTWDMPGCGRCAARGGQCGFKTNSSHQVVCSNLPQRGIPRSARYAITVGVGVPAILCILGLLCCVCSKVKSFTRGRRTLPEFNSIVAPQPTVVMGLDRPRLEAYPKIILGESRRLPKPDDNTCPICLSEYRPKETLKTIPKCQHCFHADCIDEWLQMNATCPICRNSPP >ONI23326 pep chromosome:Prunus_persica_NCBIv2:G2:22500877:22501232:1 gene:PRUPE_2G182500 transcript:ONI23326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRAKTINECNQSSLGSAIPKREKKSHCKIILNIMFICVSWITCTELQQILSKIRDWSIETPTLTVGFSQYGVPKG >ONI20925 pep chromosome:Prunus_persica_NCBIv2:G2:4528127:4529124:-1 gene:PRUPE_2G041200 transcript:ONI20925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLCENHMKSPPKQSKRRKSKGVKVVYISSPMKVKTSASKFRALVQELTGRDSDAERFMETKGAHYQNVHELTHEQQLKGVDHDHDHDHGLLPQLPFSNSFFEFPNCSDALFEPFSGHFELDVLRNVDQI >ONI23100 pep chromosome:Prunus_persica_NCBIv2:G2:21748793:21750272:1 gene:PRUPE_2G170300 transcript:ONI23100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGTLEVLLVDAEGIRHTNLLGRASYYVIIECGTREYRSKESSSEDDIISWNEKFTFEFPLSHWKNLTHLKFRIMDTELFTDAGFVGETIVHLGGIITEGKDKGFIELKPAPYNVVLEDDTYKGEIKIGFRFITNKEAHVIETREFLAEDKTKPRGSIRRSIANLWRILWWRFLFCHKTDSKNKHKHK >ONI22809 pep chromosome:Prunus_persica_NCBIv2:G2:20715745:20716635:-1 gene:PRUPE_2G152600 transcript:ONI22809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTEKRELEPDQATPSVDLRINGGEESDSEEPAGPSQALMLAPKDERDVAMPVAVHAPKRASTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGALKIPTSAPDPRPGEDPPDKKKRKRNSNSEYVDLNDGVSASAGLAPLTTERHHQQPPTAAVQAVVPQGVLPMWAIPSNGVVPGAFFMVPSASTQPHIFTFPTTVAAAPFINISARPISSFVGPSSAAATTHMAASTAPQTLRDFSLEIYDKKELQFMSGSSNH >ONI20682 pep chromosome:Prunus_persica_NCBIv2:G2:3021531:3023659:-1 gene:PRUPE_2G029000 transcript:ONI20682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKNISTLEEDVIALHKVNETFFNVCFSSPPNMEFGGNWDDVFKSPVPLVRASHLVPLLELQIVVIFVITQTGILLGPSIKDKSGKESLFPLLSQDAIGTASVFGYAFFMFLTGVKMDVGMIKKTGHKALTIGILSLVVPIICGLLTAFACAYVFKEEEDDKVFFVAATHSLTSFAVVSSLLQDLKLLNSELGRLGLSSGLVSDMINVIATSTASFIRVSMGTGQPIYKIYGDIFSLIAYILAVVFIIRPALFWIVKHTPAGRPVKDVYIYAIFLMVLLSGVLSDMFDQTVLLGPFILGLAVPDGPPLGAAIVKKFDWFFSGVFTPLFVTICAMKADLSSIGDDRKLLTLDIVLLVVTFLSKVMASFVPSLICKMPFNDALAIGFVMSSKGVVELASYSLFHDYKTIDDQTFAVLLVSVLIIAILVPSMVNYLYDPSRKYAGYQKRNIIDMKPNAELRILACIHSQYDVAPVINLLDVSCPTGGSPISVNALHLIELVGRASPVFIAHHIHEKVTFNVHFSEKVIGPFNQYQLNNQGAVSANIFTAISPSNIMHEDICTLLEV >ONI25947 pep chromosome:Prunus_persica_NCBIv2:G2:30193071:30196494:-1 gene:PRUPE_2G328300 transcript:ONI25947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDKGRLCRRCKQSYSPASNTPSSCRFHPSFFVCRRHDDQKRYYELGPKDPPYAAKFYDCCGAEDPEASGCTTSFHVSYDDD >ONI25243 pep chromosome:Prunus_persica_NCBIv2:G2:28486169:28489074:-1 gene:PRUPE_2G291300 transcript:ONI25243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEVEDVENRGVKDRARPSYSSSSYVIEDSETQWTSWLVPMVVVANVGVFLVVMFVNNCPKHNSRIEGKCEARFLGRFSFQPLKENPLFGPSSSTLEKMGALDWDKVEHKHQGWRLFTCIWLHAGVIHLVANMLSLVFIGIRIEQQFGFVRVGIIYLLSGFGGSILSSLFIRKNISVGASGALFGLLGAMLSELITNWTIYTNKVAALLTLLVIIAINLGIGILPHVDNFAHIGGFLTGFLIGFVLMPRPRFGWLEQPNLPARVNVKSKYKAYQYMLWLLSLVLLIVGFTAALVMLFHGENGNDHCHWCHYLSCVPSSRWHCEEN >ONI21020 pep chromosome:Prunus_persica_NCBIv2:G2:5141441:5147279:-1 gene:PRUPE_2G045700 transcript:ONI21020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKPSSLSPSRTTCASLLRELQLIWDEIGEGDSERDKMLLQLEQECLDIYRKKVEMTRKYRADLHQSLADGQAQITAIASALGEAASYSRERGTLKDQLSAVKPILDDLSLKKEKRVKDFSAVQSQIVRISAEIAGNGVSKNVGDPGVNERDLSVRRLGELQSHLEELHKEKVLRLQKVNTHVNTIHELSVVMSIDFSKTVNEVHPSLSDHSSAHSKSISNDTLARLTALTNSLKQEKQQRLQKLQDPGSKLIELWNLMETPIDEQKIFDHVTMLISSSVNDVSRQGCLSLDVIEQAEVEVERLNILKASKMKELVFKRQNELEEIYRGVHMDVDSDAARQILSSLIDSGNVNLSDLLSSMDDQIIKAKEQALSRKDILDKVEKCIFAFEEEKWLDEYEKDENRYSAGRGAHKNLKRAEKARILSGKIPSIVETLTAKVKAWEIEKEIPFLYDKVPLKHTLEEYTAQRQEREEEKRKSREQKRLQEQHNAEQEALFGSRPSTKKPLGQSTNANTMVGTPISRRTPLGRHGVSAGKERRESGRVHNLTPVNYVALPKDDSVSRRN >ONI22470 pep chromosome:Prunus_persica_NCBIv2:G2:18966838:18967203:1 gene:PRUPE_2G131500 transcript:ONI22470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAASLVQQKIRLFVKKLGKGFHSKNTYTKLEHASESSKNKHKHGDIQVAPKGYVFLYVGEERKRYTVSLKCLSCPAFEEAMVESQPDVFDVKIEGPIELLSCTTEDFHKLMSKIKKEGH >ONI23682 pep chromosome:Prunus_persica_NCBIv2:G2:23847156:23849075:-1 gene:PRUPE_2G202100 transcript:ONI23682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWAAGTKLKGSFTFLEESPSSRKPSSSCIVLLACFCSTVMIIIEVALCTEASATWMLESQVTILV >ONI25502 pep chromosome:Prunus_persica_NCBIv2:G2:29192162:29195964:-1 gene:PRUPE_2G307000 transcript:ONI25502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVECKDTHEVVCENELDGCGTSEFDNRSKGAEERCVELESEILRRKSEYEALEAKFRALEVEKLAMEEVIKAMKRESDGIKEQDNSGGDEKNKFFGGEKGTERIVDLTEDKWEEDKVFQLMIENKVLECEKKKAENEVEAWKEKFRELELGILKLDNNLVLKGGKVPLAERIRLEDGSPNVNSPEDSRTTQPNKRIKLEDGLHVERDLECSRDKDIVVDLVDVGSTCHSLGKGICDLQSAGSPPDGTLCKHRDGIKEEKKGVCVEYTNSRQARKQLKFEEDGSPCKKMAPSTPGGGVPSSLSVINISDSDDELNITHCHTLLPTDDKGTKGVCISLGSVLGETVGCEKDMTIKNCIKQTDTDHNVEEDTDDSNEAFLLASTPKRKRASNIVTSDSENSDDNIPIRKLKKMHLQEKIHDQVGSASVVDNATGAATPRKRRLIRLRKCGEGGGAERNYSNEDVEDDELLEEVGSDSEGESLGGFIVNSSEDSKGNDASTESEDSSDDNVNFDEILSKFQRNQDHKSKWEFEADMLAAFGKDPYLCMKAVCALYRQQTCEEKISKGSLCNNYRGFSKFDALRGSVLAEFLTGGDPNGDVNKTVKELKEYDPRGIEQCRTLASRYSKQLFEIYNNKEDPLFLPSF >ONI23078 pep chromosome:Prunus_persica_NCBIv2:G2:21670217:21671495:1 gene:PRUPE_2G169000 transcript:ONI23078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFISFACMLTFVLYIFPKEFPLFVLIITVANMVEARCCFKLLYAIIVVLLLHMSSPCVGCSERDRQALLALKQGLVGDDGDRLLSWGRDAQNKDCCQWDGVYCNNQTGHVVKLDLGDQSLQGKISPKLVHLQHLEYFYLSFNNFNWSKIPDFIGSMSNLRHLDLSSANFGGEIPYQLENLTHLQYLDLSSNGYSIIHAKNLNWLPNRSGLKHLDLTYTNLSDVVGWLEAVNTLPKLRNLILMGCKLPRPIISSASLMNSSNSLVHVDLSQNNLNSSIFQWLSGTRTM >ONI22980 pep chromosome:Prunus_persica_NCBIv2:G2:21292696:21293943:-1 gene:PRUPE_2G162000 transcript:ONI22980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLGSFNLSSRSKREGDEAEDKGKMGYLTMEDFKGMNFDSLCPYDQLTLVATVLVEKTEQEKKQLKNEEHQIQSRPSSSPQGHRRSNNYGLKRKSNDDHDDRDKDWAVPKKKKEKKVYIKNKKGPTLDHRPDLPENFKKMIIGQMNGTELRCLIQKELFRSDVNGSLNRFSLPPNQVLCNDFLTPSEIEALKERKGKKNHDQGSLKSPSPSLKVPLIDPSLKLEDKEGINLTMWTLSNSETKTYVLRTTWGKVVRENKLVPGDVLQVWSFRANNNQLHLAIVVAKRAGKIGANQSERSSSKRGNIVDIGDECGGQGSREVEGSSEVMYRSAHKIHLSDKQENEDF >ONI20196 pep chromosome:Prunus_persica_NCBIv2:G2:321445:322004:1 gene:PRUPE_2G002500 transcript:ONI20196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTIMESSIADVLVKVAMFILIQGLVYLILSNSSNIFSKTTRRSHSFKPARSVSIRRILAALADLPAGGELSPSSNYSRDNLQSPPSQENATPRYS >ONI23805 pep chromosome:Prunus_persica_NCBIv2:G2:24228310:24232043:-1 gene:PRUPE_2G209300 transcript:ONI23805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTGEDPRNSVLSRLAESVKTISELPECRNAFRKIYGNFVRRVKLLSPLFEELRDSDKALGVEEIIALESLGEALNSAKELIKSVNQGSKLYQALQRDKIIGKFHQMTVKIEAALSKIPYDKFDMSEEVCEQIELVHTQFKRAKERKDTLDSQLEMDLSTVVGDNEPDPVIIKRLSEMLHLRTINDLKKESLAFHELIITSDGDVGDHFEKMQALLKKLKDLVLTENPEVDNSERDKSMIKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTAITPNYVLKSLIALWCESNGVELPKKQGNGKNKKAGCSVSDCDRASIASLLEKLAKGNSEEQRAAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSSSDPRTQEHAVTALLNLSINESNKGAIVNAGAIPDIVDVLKNGSMEARENAAATLFSLSVVDENKVAIGAAGAIPSLIKLLCEGTPRGKKDAATAIFNLSIYQGNKARAIRAGIVAPLMKLLKDAGSGMVDEALAILAILASHQEGKMAIAQAEPISVLVEVIRTGFPRNRENAAAVLWSLCTGDIQQLKLARELGAEEALKELSENGTDRAKRKAGNVLEQLQRVEDSIDL >ONI21751 pep chromosome:Prunus_persica_NCBIv2:G2:13646428:13647738:1 gene:PRUPE_2G086100 transcript:ONI21751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKTNNTNASNTLRSIWNSKSSANLCTSSSISSFFSSSIQNIGKSSVISMERPEGYPQVDDVSTCIEAPSTSTSTSFLGTKNDMSLLESGLLRRNFSDVGENYYRFQSKVGKSVDPRLLALVEFFRELYFRRLELFKKIFPGGHDDKFLEVPKKLGLILAKVKPDQTKAVQTMQRSLSIGSPRDFKGYESEMRLERFKVRTIDVDDGGVQGGQGNEIKSNGSK >ONI22965 pep chromosome:Prunus_persica_NCBIv2:G2:21259623:21262803:1 gene:PRUPE_2G161000 transcript:ONI22965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKRYAVVTGANKGIGLETVRQLASNGFTVVLTARDEKRGLEAVEKLKESGLSGQVVFHQLDVVDPATVASLADFIKTQFGKLDILVNNAGVIGSIVDGDAFKASVASGATERGGVDFSKLVTETYELTEECLQINYYGAKRTAEALIPLLLLSDSPRIVNVSSVMGMLNNIPSDWARGVFTDAENLTEERVDEVLTELLKDFKEGSLESKGWPSSMPAYIVSKAALNAYTRILGKKYPTFRINSVCPGFVKTDINFNAGVLPVEEGGARIVKLALLPNDGPTGSFFVHNEVSDF >ONI20910 pep chromosome:Prunus_persica_NCBIv2:G2:4361489:4364488:-1 gene:PRUPE_2G040200 transcript:ONI20910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLSKLKSLRLVCLLSPSTFASRAEILIARDLKPSHFSVQNRILCRQFTSEISEDQHNFTVNYLITSCGLSLEDAISTSKWIKLQSPENADTVLGLLRNHGFSIIQISKFCKTRPQLLLARPEQTLLPKLEFFSSLGVSREDLAKTLVLNPNLLQRSLEKQLVPTYNFLRSLLPEKNIVSVFKYNSSIFLQAHTKKVVPNIAILRELGMPESCISLLLAHCTHALIHDTEKFRQVVKEVKEMGFNLEKSTSVLAISIMCRSYYKRILKRNCEVYSRWGWSEADVLSAFRRRPECIALSEKKIMQTMDLLVNKMGWSSEIILKSPYVLNYSLQKRIIPRCSVVRVLLSKGLINTEKLSLHSVFGPVEKEFLERFVDSYQGEVPQLLCVYQGKVDIQDV >ONI25426 pep chromosome:Prunus_persica_NCBIv2:G2:28979421:28981847:1 gene:PRUPE_2G302500 transcript:ONI25426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDWDLYAVVRSCKSATHATTTNTSAAGAPTNPITPPSAEEEYSFWEWLKSEEETDPLFSFPNLLEPRANAFQELQQFYEPLLPNPTTTNNPSTNNNTFVPPIIPNSPIISDFGGSASSGQHHLQQQQHHFLPTNTPASPHFNPAIFPPGFGEFHGQQQPLLLPKPETQILPQQLPTQQGFMRPRAMVPALPPPLPRAPFTMPINSPRPRKRKCQQKRQVCQVSAENLSADLWAWRKYGQKPIKGSPHPRNYYRCSSSKGCSARKQVERSTADPNIFVVTYTGDHTHPRPTHRNSLAGSTRNKLSAMAGQNQPINNDSGSPPAQADQDVVANNVNSDNSLDKENEELDEDEEERDENEIEDEDVEEDDVLIPNTAMSDEIFLGLKQLGCTS >ONI21149 pep chromosome:Prunus_persica_NCBIv2:G2:5832216:5836205:1 gene:PRUPE_2G050300 transcript:ONI21149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGDCKVNPLGRNGGMNKDKPFKMFVGYDQREDIAYEVCRHSILKRSSIPVEIIPLKQSDLRKEGLYWRERGQLESTEFSFTRFLTPYLANYEGWAVFVDCDFLYLADIKELRDLVDEKYAIMCVQHDYTPKETTKMDGALQTVYPRKNWSSMVLYNCEHPKNRVLTPEVVNTQTGAFLHRFQWLEDEEIGSIPFVWNFLEGHNQVVEGNPRTFPKAIHYTRGGPWFEAWKNCEFADLWLNEMETYLQETKKKVEN >ONI21879 pep chromosome:Prunus_persica_NCBIv2:G2:15107794:15109381:-1 gene:PRUPE_2G095900 transcript:ONI21879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPPEILIDILSRLPVNSICCIRCVSKALLKMVDDLSFATLDMRRRFLTTCSTPRLVVLNESSYDKYDMLYPVTYDGHYLLTKSKDAIVSYFESKRRFYSFAFVFCNLFGFTGLNPKRGRSCLLVNPFKGEVLMLPSASDVQVPANCLCTVDMYSMGFDNITNSFKVLRVSTNKKDYLAAEVLVLGKSSWRELPTVPPCFPTYKSVYAHGDMHCLVYGDYASSARILSFDFKKEEFYLTPLPTPLEKDPDLWKCLHLINFRGSMALVYASSPEDEYVKMWGYRQCVEVWGLKNCDNKEWELKYKIDTKQDLPIHWEHTSFSKCGEWDHGIYLNQEGSFNNCIFFVHLGDGSMECVLLKGQLIVHSCTGSMISLNNCGDLVEAEEEQVNIPAYFFSGKKINRIQGKGGNCDPYSDTNNSKKLKQAFVVQESHR >ONI25476 pep chromosome:Prunus_persica_NCBIv2:G2:29127955:29129103:1 gene:PRUPE_2G305700 transcript:ONI25476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFDWASRNLKPTQLPDVFPVEVFDSLVICASQILQKEANCVTIDNLASESTVVVVGDLHGQLHDLLFLLRDAGLPSENRFFVFNGNYVDRGAWGFETFLIVLAWKVFMPKRVYPLRGNHESKYCTSIYGFEKEVLTKYSDRGKHMYRRCLGCFKSLPLASLIGKHVYTAHGGVFRHIPVIPRKSKGMKSRRMAFNPESNSLSLGSFEELNKARRSVLDPSWEGSNLIPGDVMWSDPSRTPGLSFNIERGIGLTWGPDCTDNFLKKFQLKLIIRSHEGPDARKKRPSLGGMDEGYTVDHIVESGKLITLFSAPDYPQFQGTEERYKNKGAYIILEPPNFDDPVFRSFEAITPRPKADPFYNFEDVTDADEELGLASMVTSS >ONI22299 pep chromosome:Prunus_persica_NCBIv2:G2:17770472:17774001:1 gene:PRUPE_2G120000 transcript:ONI22299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAAAAKVIVSVLLGGFLVLFLYLCELVLLRPRGLRSKLGKQGIRGPPPSFLLGNLSAIKRLQSKGHSTATKTADPTKLHHDGDVASIDHEWHSKIFPYIEQWRNEYGQIFMYASAHLQQLCITDVEVVKEIGLCKSLNLGKASLTSKVVGPLLGKGLLSSNGVIWAHQRGIIAPELYLDKVKGMVDLIVDSTTSMLRSWEDEIDSKGGIAEIKVDKYLRNLAADIISRACFNNRYDQVEDIFNKLRALQKVLTKGIMSLPGLRLLPTKDNRETWRLEKEAESMILKLVKQRIEASGEEDLFQMLLAGAGDSKGLSQKKFIVDNCKTIFFAGHETTAIAASWSLMLLAAHPYWQARARAEVLEICGDNPLDGDMLRNMKVLKMVIQEVLRLYPPAFFVTREAFETVTLKNIVIPKGVILQIPIPFLQHNPDIWGPDAHEFNPERFANGTFQACRSPQAYMPFGTGPRICVGQHLVMTELKVLLASVLSKFCFSLSPAYQHAPLFSLVTEPGHGVILHVRKV >ONI22929 pep chromosome:Prunus_persica_NCBIv2:G2:21182403:21185804:-1 gene:PRUPE_2G159300 transcript:ONI22929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKSKCLLYTQNRQSSLPQKIQLKTHLPSVLSSSCKFKNLPNQAKQKLNPELILRKDQVPFQLLPVTALFCSSRMETRGFRRIFSFSGQALFNHLVPIAGLVLERLSQREFEFICILGLCKIEACDFVCVLGLCKIEACEHGW >ONI21421 pep chromosome:Prunus_persica_NCBIv2:G2:8852887:8853781:-1 gene:PRUPE_2G065000 transcript:ONI21421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNNSFTPDPNKPSKFEGLHFKRWRQKMLFYPTTKKLASVCTSDKPYASDNPTPEQTWALQTWTENDFLCKNYILNGLSDDLYDYYSSYDTAKDLWDALQKNYNTEEAGAKKFAVSRYLKFQMIDEKSVEAQSHELQKNAHEIIIEGMNLDEQFQISRMHCVSKEFSLESLITRLRIEEEARKHDMKEEVLLVSNNKKNHNSTKNQTPAALKTNAKNMKNQNRNCNNNDQNRNGQHDQSRNPQHYQNRNLHQNQNRSQPPSCNDDLGQFLCYNCHKLGAPCP >ONI24639 pep chromosome:Prunus_persica_NCBIv2:G2:26598010:26598549:-1 gene:PRUPE_2G251700 transcript:ONI24639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPYSHWNGTFIVQHLRKVLVCLMCWLDNCTEPACYSHQKVPQYCPSNFSSGEALERSVSSVFFQILKHRKHNSYYEEHIHEHLDSHLHMNPDHANRIKNLLKKSLTRSLNKKST >ONI25851 pep chromosome:Prunus_persica_NCBIv2:G2:29964639:29966612:1 gene:PRUPE_2G323500 transcript:ONI25851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAVVAAAASSSSSCSATFTRHQYHHSIKTPQLLTSPKLLPSNQCQKSSFQGLSLGEAKRGVFGSFVAVAEAEKRSNARTRTGLGIITAKTAGASKTIEAEVDKPLGLTLGQKPGGGVTITAVDGGGNAAKAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAVQAKPDSVYFVVSRGGASVDVKRLPKRPAPPRFGRKLTEAQKARATHICIDCGFIYTLQKPFEEQPDAYVCPQCRAPKKRFARYDVNTGKAIGGGLPPIGVIIGLLAGLAGVGALLVYGLQ >ONI20168 pep chromosome:Prunus_persica_NCBIv2:G2:154696:155295:-1 gene:PRUPE_2G000600 transcript:ONI20168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSHVWAEDSEVAWIDGEVKEIHGRDVTIITTNGKTVS >ONI25554 pep chromosome:Prunus_persica_NCBIv2:G2:29307480:29313100:-1 gene:PRUPE_2G309400 transcript:ONI25554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKFTSLIPCKSSVNLIPTTKFVNHEVIGQVGFVPLWKRRSTRPAFRLRAQVSGGSGGAQDGASALEDQREEKGVLLGAETDSSGSVIGFNLIPPNGELKVPDSPPEDATSDRLDETEDIDGKEKSKAKVTRNIVFVTAEAAPYSKTGGLGDVCGSLPTVLAARGHRVMVVSPRYQNGTAAADQKFSGALDLDTRIKIYCFGGDQEVGFFHEYREGVDWVFVDHPSFHRPGNPYGDSFGAFGDNQFRFTLLCHAACEAPLVLPLGGYTYGEKCLFMVNDWHAGLVPLLLAAKYRPYGVYKDARSVVVIHNLAHQGVEPAVTFKNLGIPPEWYGALEWVFPTWARTHALDTGEAVNILKGAIVTSDRILTVSEGYSWEITTVEGGYGLNELLSSRKSIITGITNGVDVVEWDPSSDVHIASHYSADDLSGKVQCKLALQKELGLPIRPDCPLIGFIGRLDYQKGIDLIQSGMPQLMEDDVQFVMLGSGDPLCEDWMRAAEATYKDKFRGWVGFNVPVSHRITAGCDILLMPSRFEPCGLNQLYAMRYGTVPVVHSTGGLRDTVLNFNPYAQGGQGDGTGWTFSPLTKESMLAALRLACRTFTEYKPSWEGLMKRGMERDFTWESAAVKYEQVFEWAFIDPPYIC >ONI23077 pep chromosome:Prunus_persica_NCBIv2:G2:21668876:21669103:-1 gene:PRUPE_2G168900 transcript:ONI23077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYSASVLLLQLPLGSPTPINQNRPPLDPQAPASTLGVASPISVWYFNFYLLLMCFNFFTMNFLCFLPLVCDLM >ONI25202 pep chromosome:Prunus_persica_NCBIv2:G2:28345526:28349342:-1 gene:PRUPE_2G288400 transcript:ONI25202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSCMITFFGAFLSGFLFFLPISYSDKAPDYTFVKEATSAPAVVYYDYIIIGGGTAGCPLAATLSHGATILVLERGGSPYGNPNITNIANFGPTLLDTSPTSPAQQFTSEDGVHNIRARVLGGGSAVNAGFYTRASTRYVKEVGWNHNLVNQSYEWVEKVVAFKPEILQWENALRDGLLEVGVLPNNEFTYDHLYGTKVGGTIFDAEGHRHTAADLLQYADPRKITVYLHATVQKILFRYIPGRASPQAYGVIYKDAYEVRHQAYLKRNSNKNEIILSAGAIGSPQLLMLSGVGPAYQLRAHGIKVVVDHPMVGQGMADNPMNVLLIPSPIPVEVSLVQVVGITKFNSYIEGASGLSLSISLAHRLSNNFKRFLSQTEHPPFRVLPEAIARAAEMVHGIANRTIRAGVILEKIMGPLSTGHLALRNTNPDDNPFVTFNYFKEPEDLRKCIEGMRTIIDVVDSKAYSKFRYKNMPVEALIDLMLTLPVNRRRKHANATFSLEQFCIDTVMTIWHYHGGCQVGRVVDKGYRVLGIDSLRVIDGSTFYHTPGANPQATVMMLGRYMGQRILHDRIVHGSKKKN >ONI25397 pep chromosome:Prunus_persica_NCBIv2:G2:28892774:28894091:-1 gene:PRUPE_2G300400 transcript:ONI25397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMECNKLQPVVRKVKKKQVKDELDRQKQAEKKKRRLEKALATSAAIISELEKKKQKQKEEQQRLDEEGAAIAEAVALHVLLGEDSDETCEIVLNKDEVLNPWDCPGDIDIFMAGRRACFPYQDSAKCSLERIGWVSNAYRSGCKWGGLGNSQLSFSSGPYGRDYHEQFCEEAGWGTTGFAAGLIAAQAVSSLQIAEEAHEGTMVLDGMLRR >ONI22334 pep chromosome:Prunus_persica_NCBIv2:G2:17912700:17914709:-1 gene:PRUPE_2G122300 transcript:ONI22334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVVENLTGTLFYVQVGDKGTVADLKREIEVQQKLPYDRLIFILDTDDHDHHRCHLIKDDVDGVLLVDYGVQDGSHVYMFVNPLDDGSTDHPFELNWFDSVLG >ONI24143 pep chromosome:Prunus_persica_NCBIv2:G2:25287132:25290401:1 gene:PRUPE_2G226400 transcript:ONI24143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDMEGVKYEEEFILNSRGLKLFTCRWLPKNTTPKALIFICHGYAMECSITMNSTAIRLARAGFALYGIDYEGHGKSAGLEGYVKSFDDVVDDCTNHFTNICESRENKGKMRYLLGESMGGAVALLVHRKKPKFWDGAVLVAPMCKIADELRPSPFVISALTKLCKFIPTWKIIPTNDIIDVAFKVPEIREQIRENPYCYKGRPRLQTGYELLRVSSDLEQRLEEVTLPFLVLHGEDDKVTDKSVSKQLHDVASSQDKTLKMYPNMWHGLLYGETPDNIEIVFSDIISWLENRSAFGNSRLEGELKRGNDDLSK >ONI25809 pep chromosome:Prunus_persica_NCBIv2:G2:29882127:29882893:-1 gene:PRUPE_2G321400 transcript:ONI25809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCASKPKEFDTARPEALPTESTRPEKADREAETTTDSTNVAANQGAEDTTNTTNVEAEAPLVDLSEPREEEEEEAAPVVVPAAAAAEAKPEAAAAAEVVPTQKPKEEKVADQVEVAARQLAEVNLVEAAKETKEKELLPAQEIKPKAKEEQPAAEIKPKAKEEEPAADIKPKAAPASEGKNKDAPILTV >ONI23148 pep chromosome:Prunus_persica_NCBIv2:G2:21892534:21894691:1 gene:PRUPE_2G172200 transcript:ONI23148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPSLFNGVDSTLEDWVVEQMHIVRPVVETGYENLLLVRLLLEARIPSIRKSSVAEGITVEGILEKWSELKPVIMEEWGEERDALINLFGKVRDEWMDEGLTTWIGANRLYPGVPDALKFASSTIYIVTTKQSRFADALLRELAGVTIPPERIFGLGSGPKVEVLKQLQKKPEHQGLKLHFVEDRLATLKNVIKEPELDGWNLYLGDWGYNTQKEREEAATIPRIRILELSDFSNKLK >ONI22772 pep chromosome:Prunus_persica_NCBIv2:G2:20535505:20536331:1 gene:PRUPE_2G150100 transcript:ONI22772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKLMNFEKFCFLPLLVLNILWPYNFDNFFIASVAFLFLRRGVRVVTFITVILRGLTILVCHILRTRQFLGIILPKEDSDNDKAAIGED >ONI25602 pep chromosome:Prunus_persica_NCBIv2:G2:29414481:29422377:1 gene:PRUPE_2G311000 transcript:ONI25602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKKNLKSQMPSLKRCKLGESAGEDEDNSGRKKRKTNGYYPLNLLGEVAAGIIPASLHGLLGSVGAEKGFSASWCTEVSCSPEVELKSKSRESAKAKTNQTAEVSRPPLVRTSRGRVQVLPSRFNDSVIENWKKESKTSLRDYSIDEEMECKKEKASFKAPKQGSQNAKKTRNAERIGYNSKKYSGLCEEEDEVEEEGSMRFRSLDIRKYSSSRSTLTSVHEQLVEDDKCPVAEIDEQDDLVGTVRAPKERKDGLYGPEDFYSGDTVWAKPGRKEPFWPAIVIDPISQAPELVLRACIPDAACVMFFGYSGNENQRDYAWVGRGMIFPFMDYVDRFQAQSELNSCEPCEFQMAIEEAFLVEQGFTEKLIADINMAAMYDDSLLGGVQEATGSNHDLDCQLLNQDVYGKKRDIRPCEGCGVYLPFKMTKKMKVSTPGDQFLCKTCAKLTKSKHYCGICKKIWNHSDSGSWVRCDGCKVWVHAECDKISSNLFKNLGGTEYYCPTCKVKFNFELSDSEKGQPKVKLSKNNGQLVLPNKVTVLCNGVEGIYFPSLHSVVCKCGFCGAEKQALSEWERHTGSKSRNWRTSVKVKGSLLPLEQWMLQLAEYHENAIVSSKPPKRPSIKERKQKLLTFLQEKYEPVHVKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNVRDFTSWVCKACETPAVKRECCLCPVKGGALKPTDIETLWVHVTCAWFRPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCLEKNGKQITKMISYCAYHRAPNPDTVLIIQTPLGVFSAKSLLQNKKRPGSRLISSNRTKLEEVSTVETTEPEPLSAARCRVFKRLKNNKKRVEEDAVAHQVMGHSHHPLGALRSLNTFRIVEEPPTFSSFRERLYHLQRTEHDRVCFGRSGIHGWGLFARRDIQEGEMVLEYRGEQVRRSVADLREARYRSEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDEESRIVLIAKADVTSGDELTYDYLFDPNEPDEFKVPCLCKAPNCRKFMN >ONI25402 pep chromosome:Prunus_persica_NCBIv2:G2:28913934:28916390:1 gene:PRUPE_2G300900 transcript:ONI25402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKSKMSNVSGAIFFILGLFFLRAAECRTPANGGTVKYSALSCRKHSALLTDFGAVGDGKTSNTKAFKAAIHHLSQNASEGGAQLIVPPGKWLTGSFNLTSHFTLFLHKDAVILATQDESEWPLVPALPSYGRGRDAPGGRFSSFIFGTNLTDVVITGNNGTIDGQGASWWKKFKAGQLNETRPYMIEIMYSNQIQISNITLVNSPSWFVHPTYSSNITIHGLTILAPIDSPNTDGINPDSCSQTRIEDCFIVSGDDCIAVKSGWDQYGIKVGIPTEHLVIRRLTCISPDSATIALGSEMSGGIRDVRAEDITALSTQSSVRIKTAQGRGGYVKDIFVRRMTLKTMKYVFWMTGSYGSHPDPGFDPKALPLIQNINYKQVEAENVTYSARLEGIPNDHFKGICISNVTITLTEKPKKLQWNCTDIAGVTSNVTPKACDLLPESKEVVDCPFPEDRLAIEDVKLVTCSTSLPFF >ONI21757 pep chromosome:Prunus_persica_NCBIv2:G2:13727779:13728588:-1 gene:PRUPE_2G086700 transcript:ONI21757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNMYPMLVILLLASTSRMIFTTFAISTFETSNSKILDECKKHISINCTREVGESIFENGTVTDDCCHKLVSVGKNCHAIFFNSALASIPNVDKSRALAKSTQVWNRCVGIALSPTSSITIPNSKASKSKTVDECKKHISTKCAREVGESIFESGSVTYGCCYELVFAGKTCHDLFFNYGLASKPVVDKSRAFVESAQVWDRCVEITISPAPSITIPTPKALNSETLVECKHISINCAWEVGGSIFEGGMVTGDCCDDLLFEGKNMP >ONI24754 pep chromosome:Prunus_persica_NCBIv2:G2:27016907:27018850:-1 gene:PRUPE_2G260600 transcript:ONI24754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRLAYCKREVFNMASNYSLLLLFLVFAGTFLETKGKLTPKFYSSKCPKALSIVQEEVVAAIKNETRIGASLLRLHFHDCFVNGCDASVLLDDTSSFVGEKTAAPNNNSIRGFEVVDHIKAKLEKACPGVVSCADLLALAARDSVVYLGGPSWKVRLGRRDSTTASRSAANTSIPPPTSNISSLISNFAAQNLSLRDLVALSGSHTIGLARCTSFRSRIYNESTIDAAFANSLQGSCPRSGNDDNLANLDHQTPTHFDNLYYKNLLKVKGLLHSDQELFNGTSSADKLVKIYANNTFAFFEHFAKAMINMGNIEPLTGSQGEIRTNCRKVN >ONI22099 pep chromosome:Prunus_persica_NCBIv2:G2:16459027:16461592:-1 gene:PRUPE_2G106900 transcript:ONI22099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNVFRVFRGCRALMAPARSSSSTAGAAVSASKAATAAEPKPKAKPKAKPKAAADSTKPKIPNRSLGIMKPTPISPALGSFLGASESSRAEAVKQIWAHIKLHNLQNPANKREIHCDDKLKAIFEGKEKVGFLEIGKLLSRHFVKTE >ONI25553 pep chromosome:Prunus_persica_NCBIv2:G2:29304976:29306605:-1 gene:PRUPE_2G309300 transcript:ONI25553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNPNLIYYACIAHKTTIIGEFSKEPGLGALAQQCIEITPPHHSMYTHTVRKRTYTFLIHDPFVYFAIFDDDLDQSEALSFLNRLKCDFEEANGSGSILVRDNYASHCFQAQFDSIIRKIMASDLELPNSPPASRNLSLSSSKGKKLVLTPLLGKKTSEGLKKKKRLSGELNGDAGKDVTTMEKKVDVCDDVNGGFRDFSLQTQKNGPLLSGDRQKAKQVWRKHVWVVLMLDLFVCAILFGIWLWVCRGFKCIDG >ONI20972 pep chromosome:Prunus_persica_NCBIv2:G2:4838449:4839514:1 gene:PRUPE_2G043700 transcript:ONI20972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLPTASQNLKPTTPRTVHTPSPISLQPSTKKSPSKPSKLFRRFRAVFRSFPIISPSCKIPVSLHGSRMGEGHTHMHIHGGTRMTGTLFGYRKARINLVIQESPRCLPILVLELGIQTGKLLQDMGMGLVRIALECEKRPGDKTKIVDEPIWSLYCNGKKSGYGVRREATEDDLSVMQVLHAVSMGAGVLPNETELPEGDLMYMRAQFERVVGSRDSETYYMMNPDGNNGPELSVFFVRI >ONI20905 pep chromosome:Prunus_persica_NCBIv2:G2:4346885:4348939:1 gene:PRUPE_2G039900 transcript:ONI20905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQRILTDSGSRSSSSFILQDDQEGFSPTSSNALVLVQNAPIVSSYNERIRPILDAIDKLRSLMVMEEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGRGICTRVPLVMRLQHHSDPEPELSLEYNGRVEHTDEDNISEDIVNATNLIAGDSKGISDTPLTLLVKKNGVPDLTMVDLPGITRVPVRGQPENIYDQIKDIIMKYIKPEESIILNVLSASADFSTCESISMSRSVDKTGERTLAVVTKVDMAPEGLLEKVTADDVDIGLGYVCVRNRIRDETFEEARAIADRLFETDPFLSKIDKSMVGIQVLADKLVQIQAASIARNLPDIVKKINDKLNSYLSELNKMPKKLSSVPEAMTAVMQIIGTSKESLKKILVGGEFDEFPDDKHMHCKARLVEMLNKCSDQLHECNVSDPKSNFLLEEIAILEEAKGINLPNFVPRNAFLIVLQGKVKGISSIPIGFVEQVWSYIEDVVLSVLMRNTENYYHVQLSARRAGHNLIEKMKERSINWMTEIVEMEKLTDYTCNPEYLSEWHRLMTEQETFIQKVLRDAEININVKGIGMVEVGGLRKYTHVLLSQAFDLKMRMTAYWNIVLRRLIDIVGLHLQLSVSNLVTKDLEMEIMNELLGPNHGGGIERMLEEPSSMAVKRQKLIKSIKKLKESKEVVCKIMDDRFSYADYLV >ONI22702 pep chromosome:Prunus_persica_NCBIv2:G2:20233198:20235890:-1 gene:PRUPE_2G145800 transcript:ONI22702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQHANWSPYDNNGGSCVAIAGANYCVIAADTRMSTGYSILTRDYSKICKLADKAVLASSGFQADVKALQKHLAAKHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERVGYSSQGSGSTLIIPFLDNQLKSPSPLLLPAVDAVTPLSEAEAIDLVKTVFASATERDIYTGDRLEIVVLNADGTRYEYMELRKD >ONI25066 pep chromosome:Prunus_persica_NCBIv2:G2:27882912:27884981:-1 gene:PRUPE_2G278200 transcript:ONI25066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKMISFLLCFSFALLFSPALSNILNTGINSWCSKTPYPETCKYTLTHAQKYSVPTRMSDFKKLAVQVTMQQALKAQSHNKWLGPKCRNKIEKAAWADCLSLYQDTIMLLNQTIDPATKCTDYDAQTWLSTALTNLDTCRAGFVELGVSDFVLPLMSNNVSKLISNTLSIGNGSNVPAVTNRYKEGFPTWVSPGDRKLLQSSPAADVVVAQDGSGNYKTIKEGLAAAEKRSGSNRFVIHVKRGVYKENLEIKLKNIMLLGDGLRYTIITGSRSVVGGSTTFNSATVAVTGDGFIARGITFRNTAGPENHQAVALRSGADLSVFYRCGFEGYQDTLYVHSQRQFYKECYVYGTVDFIFGNAAVVLQNCMIYARRPMNGQKNTITAQGRTDPNQNTGISIHDSRVMAGSDLKPVLSSVKTYLGRPWKEYSRTVFLQSYLDTLVDPAGWLEWDGNFALKTLYYGEYKNTGPGSSTSGRVNWGGYHVITSSSEASKFTVGNFIAGSSWLPATNVPFTAGL >ONI23142 pep chromosome:Prunus_persica_NCBIv2:G2:21864920:21866524:-1 gene:PRUPE_2G171800 transcript:ONI23142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGTALLVLTAITAYLLWFTFISRSLKGPGVWPLLGSLPGLIENSDRLHDWICDNLRACGGTYQTCICAIPFLARKQGLVTVTCDPKNLEHILKTRFDNYPKGPTWQSVFHDLLGEGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVSRSIKLRFCPILQSAELESKPVDLQDLLLRLTFDNICGLTFGKDPKTCAPGFPDNGFASAFDRATEASLQRFILPEVLWKLKKWLGLGMEVTLSRSLVHIEEYLSDVIASRKLELLNQQKDGNPHDDLLSRFMKKKENYSDTFLQHVALNFILAGRDTSSVALSWFFWLVTLNPIIEEKILHEICTVLIETRGDDVVSWLEEPLEFEEIDRLIYLKAALSESLRLYPSVPEDSKHVVRDDILPDGTFVPAGSSVTYSIYATGRMKSTWGDDCLEFRPERWLSLDGKKFIMHDSNKYVAFNAGPRICLGKDLAYLQMKSVVASVLLRHRLTLVPGHKVEQKMSLTLFMKHGLMVNVHPRELGAIVARAKKDIEAKLQGQELCWG >ONI24713 pep chromosome:Prunus_persica_NCBIv2:G2:26893321:26895890:1 gene:PRUPE_2G257300 transcript:ONI24713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFPAASSRGIWFGENPLDDTHTLMLAQIILVVVTYQLLYFLLRPLGQTKFVCNLLSGIILGSSALGGTKGFKDRLFAAKVIPLFDSMATVGAIYTLFLATLKFDALRFERTSKKDTVKIGLVCCILPSAVTSTLLFQLGGPGTIPGIGKGAAAGAIYITSFFSLTFFHGLAQALDDLNLMTSELGQFAMSSALLNDVIYWIEIIFYFLFARARAGHGIQSFMSLLGLMLFTFYVIRPTIMWIINNIPQGQEAKEVHVVAIQLGVLVMAFISDAIGLAMDTGPILLGAAIPGGPPLGAAIVHKTEYLVSQLLMPIFFYRIGYRLNVFSIRDWTSFSTLQSIIVVSYVSKIVTVVAAAMWCKIGFKNSLKLSIAMSIKGIIDVLIYSRWRATKLVDEQAFTQMVLSMLGVTLVATPLLQLSYNPKIPLRSASTKHPGFKSIQSMPTNSETFRILCCFHNQESIHNLINLLEASYPTQASPIITYMVHTVELMGRAAPLLIPYKRLEHTNASSSTNESSSIHQMMRAFENYSENSRGPVTIHVYNMIASYKSMHDTILRLAHDKVVPLIILPFHDHRGTVDHNLIAPIRQFNINVQTNSPCTVGILVDRGLACQLSSTYYSFNVVVIFIGGADDREALAYAARMSGNPDVGMTVLRIILRSKLEGGSQEQEIEAKLDQSLIDEFKLANTGDECLNWHDIEVDDSAQAMSAIKKLQGNYDLVMVGRRHVEMSLRDEEMEEFVEHPELGVIGDMLASSNFWDGMVNVLVMQESRGLGYGAFRSDSARFSENIYE >ONI22753 pep chromosome:Prunus_persica_NCBIv2:G2:20436882:20440091:1 gene:PRUPE_2G148800 transcript:ONI22753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLSNPIFLTALVLALSIFTAQILAGKLTKAKKKHPPIAGTVLHQLLNFNRVHDYMTDLAGKHGTYRLLGLFRNEIYTSNPANVEYILKTNFDNYGKGWYNYNILKDLLGEGIFAVDGEKWRQQRKISSHDFSTKMLRDFSSVIFRKNAAKLADKVSEIATSNQAIDIQDLFMKSSLDSIFQVAFGIELDNMCGSNEEGKSFGDAFDNSSALTLWRYVDVFWRIKKFLNLGSEAALRKNTKIVNDFVFKLIHNKIEQMQKKDNCPATVDREDILSRFLQVTGTDPIYLRDIILNFIIAGKDTTATTLAWFFYLLCKHPSVQEKIVQEVKQATGMKKITNFSEFASGVSEDALEKMQYLHAAITETLRIYPAVPEDAKICFSDDTLPDGYSVRKGDMIAYQPYAMGRMKVIWGDDAEKFRPERWLNENGVFQPESPFKFSAFQAGPRICLGKEFAYRQMKIFSAVLLSCFMFKISDENKVVTYRTMINLHILGGLEVRAFHR >ONI23075 pep chromosome:Prunus_persica_NCBIv2:G2:21653562:21656656:1 gene:PRUPE_2G168700 transcript:ONI23075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTNIDHEKQIYCFKVFRSFLVVLLLRMNNPCIGCSEREMQALLTLKQGLVGDDSDRLLSWGREAQNRNCCQWEGVYCSSNQTGHVVELDLEDQSLQGKISPELVKLQHLEYLNLRFNNLSRSQIPDFIGSLSNLRHLDLSSANFGGEIPNQLGNLTHLQYLDLSSPGLPENSIHAKNLNWLPSLSGLKHLDLSSTNLSDVVGWLEAVNMLPKLTNLILDGCNLPPIIFSVAIINSSNSLVHVDLSRNNLNSSIFQWLSGTHTNLVYLDLSMNYFRGSSIPAAFGNMSSLEYLIIYGSELEGGFPNSFAKLCRLRYLELFRNSLSGQLTKFVEILSECDQNTLEYLDISYNKDIVGSLPDLTNFLSLKHLFLGGNNLSGRIPESIGRMSELETIHFAWNSLEGVISETHFSKLSKLSYLDLSSNSLLLNFSFDWIPPFQLQGIKLKSCKMWPSSFPKWLQTQKNYTSLDISDAGISDTIPSWFWDLSQKLEDMDISHNQMRGTVGNTRLEYAQYLNLSWNQLKGPLPSVLSKVAALDLSHNNFSGAASFFIETLKLSNNRFVGEMPSQLKNCRKLALLDLGENNLSSSIPKWLGASLPNLGILILRGNQFYRSIPPQLCHLTRIQILDLSRNKISGTIPKCLNNLIPLAQKGNSSLTIQHHYTFQLGEGLSSWLYDDEASLTWKGVRSKYQSTLGLVKSIDLSSNKLTGEIPSEITDLVGLVSLNLSRNQLTGQIPSRIGMLQELDSLDLSRNHINGRIPNSLSRIDRIGYLDLLENELSGKIPIGTQLQSFGPSSYGGNPLLCGLPLLRTCNEEEKGPGQTVLVNQEDKDGLITQGFYISLGLGFAVGFWGVFGTLLFNRSCRYTYFNFWTCFTNWLYVKAPLR >ONI21420 pep chromosome:Prunus_persica_NCBIv2:G2:8837323:8840389:1 gene:PRUPE_2G064900 transcript:ONI21420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLLCCLACLISYVQLLSSPNIAFASSLEAEALLKWKASFQNQTKNNLTSWAYHPKVNIIPCNVWTGISCNTAASVNRINLTNSGIQGTLYEFPFLSLPNLEYIDLSLNQLFGAIPSQISSLSKLIYFDLSYNQLSGRIPPEIGLLNNLQALHLNTNQLNGSIPQEIGNLKSLVELCIDDNHLSGPIPSSLGDLTNLTRLCLFKNNLSGTIPKEIGNLKSILVLELSQNQFNGSIPTSLGDLSNLEILFLRDNQLSGSIPQEIENLMKLTVLELDTNNFSGYLPQNICQGGSLQKFTVNSNHFIGTIPKGLQNCKSLVRVRLEGNQLTGNISENFGAYPNLHFIDLSHNNLHGEISQLWGQCPQLATLRIAGNKLTGSIPPEISHATQIHVLDLSSNSLVGVIPKDFGRLTSLVNLMLNGNQLWGPIPSEFGSLTDIEYLDLSTNKFNEPIPGILGNLLKLNYLNLRNNKFSQEIPFQLGKLVHLSQLDLSHNSLGGKIPSEMSSMQSLEKLNLSYNNLTGLIPTTFDEMHGLYDIDISYNQLQGPIPNNKAFQNALMEGNNGLCGDVGGLKPCNHSVEHKHTSRKAFLIIFPILGTLLLAFLAFVLIGRRRSRRRQEQEIEQMHESFFSITNFDGRKMYGQIMEATNGFDVVHCIGKGGQGSVYKAKLPSGSIVAVKKFHRTLDGEEASRKEFFNEIRALTQIRHRNIVKFLGFCSSSHHSFLAYEYLEKGNLAAILSNEHEAKKLDWSTRVRIVKGVAHALCYMHHDCAPPIVHRDITSSNILLHCDYEPCVSDFGTAKLLNPDSSNWTALVGTYGYVAPELAYTMKVTEKCDVYSFGVLALEVIMGKQLGNFVSSFSFPSTTYANIFLKDVLDQSLPPPTPQLEDELITIARLSIACRHSHPQSRPTMHMVSQALSFPTASSNRRSNDITLEQLIMI >ONI25163 pep chromosome:Prunus_persica_NCBIv2:G2:28216057:28218247:-1 gene:PRUPE_2G285300 transcript:ONI25163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLWCFLSTVTLFQLCTFTFSRLELVPTPPLPILPLPSYAQLKWQQRELIMFLHFGVNTFTDSEWGTGHENPAIFNPVGLNANQWLSTAADAGISLVILTAKHHDGFCLWPSKYTDHSVEKSPWKNGHGDVVQELVNAAKPLGVDVGLYLSPWDRHDQRYGHEIAYNQYYLAQLQELLNRYGSVREIWFDGSKGKNAPNMSYYFTDWFSMVKELQSSINIFSDAGPDVRWVGNEKGFAGSTCWSTINRTSLSIGNASIEDYLNNGDPKGTDWLPAECDVSIRQGWFWHKSQTPKSLSRLLEIYYNSVGRNCVLLLNVPPNSTGLISEVDVQRLREFRRAIDTIFSTNLAEKCSVKVSSQRGGKGGGFGPENVLDSDHLWTYWAPRDDDKEGHWIEIRGTGTDGGLRFNVIRIQEAIGLGQRIKRHDIYVDGKRVANGTTVGYKRLHRLEEGVIHGKIVKIRIEESKGLPLISSIGLHFDPFWHPNPNGERPQEKGMK >ONI24153 pep chromosome:Prunus_persica_NCBIv2:G2:25313758:25315422:-1 gene:PRUPE_2G227200 transcript:ONI24153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSSASYIHMVQHLIEKCLIYHMSKEECMEALSKHANIEPVITSTVWNELEKVNKEFFEAYAQSQNKGDRMSEEETSQLIQKMISDSKDSDD >ONI21826 pep chromosome:Prunus_persica_NCBIv2:G2:14470829:14473000:1 gene:PRUPE_2G091700 transcript:ONI21826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEEFSGDDQLYTDGSMNGFDAKTGIYHALPNLGHLHKIPTRPDLDTATFVLSQFPPHHQAKSRVALIDSATNQRVTYAQLHHSIQCLASGLYQGLGVRKGDVVFLLSPNSLLYPTICLAVLSIGAVLTTANPLNTKSEIGKQVRDSGAKLAISAPEELHKLAPTGVPTILTSRPLLSGDNSLCIEELIEGCDPIPTELIQARPTQSDTAAILYSSGTTGTSKGVVLTHANFIAVMTTLRWTVDGTSAQDDVFLCFIPMFHIYGLAFFGLGLFCSGITTVLMQKFEFNTMLDAIKTHKVSNIPAVPPVILGLVKYASKAACDLSSLRRVSSGAAPLSKEVVDEFRERFPWVQMRPGYGLTESCGAATFFISDEQARGHPASCGLLLPTFCAKIVDIETGEALPPYKEGELWMKSPTIMKEYLGNVEATAATIDSDGWLKTGDLCYFDENGLLYIVDRIKELIKHNGYQVAPAELEAILLSHPQILDAAVIPVEDEEAGQIPMAYVVRAASPDGLTEDQVIQFVAGQVAPYKKVRRVGFISAIPRSPASKILRKELVNLQSKQQIVSRL >ONI25761 pep chromosome:Prunus_persica_NCBIv2:G2:29798027:29799494:1 gene:PRUPE_2G319000 transcript:ONI25761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQALTKSPKHCGNKQGLSIGKLYKKLFLVFSTLSTTILSIILLVWLILHPTKPEFSLKEADIYQLNLSGGHLLNSSVQLTLLSKNPNQKVGIYYDELKVYAAYKGQQITVYTSLPPFYQGHEDSNVLTASLVGTGLPVAPSFGYEVGRDQTAGRLVLNLKVIGRLRWKVGTWVSGKYRVNVDCLAVMAFGPSIPTGPLTSRPMVMRRETQKRDVAIDSFLSSGFNFGKARMDEELLLFCFATLLLCLCLWA >ONI25665 pep chromosome:Prunus_persica_NCBIv2:G2:29536378:29541379:-1 gene:PRUPE_2G313500 transcript:ONI25665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSRSKRYYYDQDYDTETVGRTRPRYNHHYATNNHRHRGSGGGGGGGGGGGRPSKPQQDPSITVTTTYRILCHDMKAGGVIGKSGSIIKSIRQHTGAWINVHELIPGDEERIIEISDTRRRDPEGRMPAFSPAQEALFLIHERILESDVAGFGGEEEDEYGGGVRGGGGGGGGGGGGTRVATRLVVSRMHVGSLLGKGGKIIEQMRVETKTQIRVLPRDHNLPRCVSMSEEIVQVVGEANCVKNAVATISSRLRESQHRDRSHFQGRLHSPERFFPPDDDYIPHMNNTSRKSPMDGAAFGARLPTSNIRNNNYASRSSGFNIEPGSAPMTDNLQPFYGEDLVFRILCPIDKVDLVVGDSDGIIELLQNEIGVDVKATDPVAGADEQIIIISSEEGPDDELFPAQEALLHIQTRIVDLIPDKDNIITTRLLVPTSDIGCLEGRDGLLSDMRRLTGANIQILPREELPVCVSGDDVIVQIIGEIKAARDALVELTSRIRSYLYRELFRKDTTPPVSAPALEISPNNAFPLREAHTGNNPPMTTYQNLQTGAIALASKDTGGISSELVKPNENERREDVLSALNRIPVTLVTRSTLEVVIPDQAVSKLITKSRNKLAQISELSGANVTLVEDRPEETQKIIQISGTPEQAERAQSLLQGFILSTQEDGP >ONI23251 pep chromosome:Prunus_persica_NCBIv2:G2:22238268:22238946:1 gene:PRUPE_2G177300 transcript:ONI23251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGNLFGMKTTRIGKDFMHLHQSVKFQQKQSRMLATFNFFVFLIHILILQCCITLKKRSLFFFYFCQKIKLTKNHLVI >ONI24870 pep chromosome:Prunus_persica_NCBIv2:G2:27298714:27301299:-1 gene:PRUPE_2G266600 transcript:ONI24870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKFAGKDTFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRADYVKYKKENRIQPDGVNAKLFGCHGPLANRKPGKAFLSAPLSA >ONI24568 pep chromosome:Prunus_persica_NCBIv2:G2:26365839:26368505:-1 gene:PRUPE_2G247600 transcript:ONI24568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLDWKAKMVSSDIPSKSPKLSNKLHVSIPGSFRAAQVQTDISEASEMACSTYEHYLRLPELRNLWSSNDFPCWRNESVLKPSLQALEISFRFISTVLSDPRPYSNRREWKRRLESLTTGQIQLIAMLIEDDEEDSETRGAAPIVDLSTSYGELARNGSSAEVWSSGETTVVSRTSEASLLPRLATWQKSEGMAQKILYSIECEMRSCPYTLGLGEPNLAGKPNLDYDAVCRPSELHSLKKSPYDHIDNYENQTVYTTHQVLESWVFVCQELLKRVTRRIEARDFEKAASDCYLIERIWKLLAEIEDLHLLMDPDDFLRLKNQLSIKTVDDTESFCFRSKGLVDVTKLCKELRHRVPYILDVEVDPKGGPRIQEAAMRLYSEKKIGSDSDSEKIHVLQALQAIESALKRFYYAYKQVLVVLMGSLEAKGNRVVVSTEICDSLSQIFLEPTYFPSLDAAKTFLGDSLNHEHGGGGVRRSRRKQ >ONI25279 pep chromosome:Prunus_persica_NCBIv2:G2:28556305:28556574:-1 gene:PRUPE_2G292900 transcript:ONI25279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHKLSPVFLCFVVILALVQVSSCRCISPRCPRSEDSASRLTAKFSSLFARRLISGSHSSAGFGYKKINQINAVAHQAVPSGPNPLHN >ONI24148 pep chromosome:Prunus_persica_NCBIv2:G2:25299402:25299985:1 gene:PRUPE_2G226800 transcript:ONI24148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQAQSPAKKQETRTSIPFSAFWLRSSVVSVLISLISDTWANGPHDIKLISLGGGPTTVACYWGSRASPKRCTIAWAWRTPPNLV >ONI21063 pep chromosome:Prunus_persica_NCBIv2:G2:5639396:5642670:1 gene:PRUPE_2G049000 transcript:ONI21063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQLLHYTALLPITNSITPPPLTPSRARPPISAPQFQAFHTLSQRTHIPSHVYTHPAAILLELCTSIKELNQIIPLIIKNGLYNEHLFQTKLVSLFCNYGSPSEAFRVFETVEDKLEVFYHTLLKGYAKNSSLGDAMSFFCRMKSDGVRPVVYNFTYLLKVCGDNADLRRGKEIHAHLISSGFATNLFAMTAVVNMYAKCRQINEAYKMFDRMPERDLVSWNTIIAGYAQNGLAKIALELVIRMQEEGQKPDSITLVTLLPAVADYGSLIIGKSIHAYVLRASFESLVNISTALLDMYSKCGSVGTARLIFNRMKQKTAVSWNSMIDGYVQNEDAEEAMEIFQKMLDEGFQPTNVTIMEALHACADLGDLERGKFVHKLVDQLKLGSDVSVMNSLMSMYSKCKRVDIAAKIFKNLLGKTLVSWNTMILGYAQNGRVSEALSHFCQMQSQNMKPDSFTMVSVIPALAELSVTRQAKWIHGLVIRTCFDKNIFVMTALVDMYAKCGAVHTARKLFDMMDERHVTTWNAMIDGYGTNGLGKAAVDLFNEMEKGTIKPNDITFLCVISACSHSGLVEEGLQYFASMKEDYGLEPAMDHYGAMVDLLGRAGQLSEAWDFIQKMPMEPGITVFGAMLGACRTHKNVELGERAADKIFELNPVEGGYHVLLANIYSTASLWDKVAKVRKMMEMKGLQKTPGCSLVDLRNEVHTFYSGSTSHPQSKRIYTFLETLGDEIKAAGYVPDTNSIHDVEADVKEQLLNSHSEKLAIAFGLLNTTPGTTIHIRKNLRVCGDCHNATKYISLVTGREIIVRDMHRFHHFKNGTCSCGDYW >ONI23632 pep chromosome:Prunus_persica_NCBIv2:G2:23702014:23707524:-1 gene:PRUPE_2G199800 transcript:ONI23632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLERKSHPKINHVETEDEITKEEEEEILCYALQLVGSFALSISLQSAIELGVFDIIAREGPGAKLSSSEIAAKIGTKNSEAPMMMDRILRLLTSHSVLHCSLVAANEDENGASDFQRVYSLGPVSKYFVNDEEGGSLGPMMALTQDKVFTESWSQLKDAVVEGGIPFNRVHGMQTFEFLGLDPRFNQVFNTAMFNHTTIVIKKLLHIYKGFEDKNLTQLVDVGGGFGVTLNLVTSRYPHIRGINYDLPHVVNHAPSYPGVEHVGGDMFASVPSGDAIFVKWILHNWSDEHCLKLLKNCYKAIPDNGKVIVVEELLPAMPDTSTAVKATSQLDMIMMTQIPGAKERSEEEFMALATGAGFSGIRYECFVCNLWVMELFK >ONI23806 pep chromosome:Prunus_persica_NCBIv2:G2:24234360:24234785:-1 gene:PRUPE_2G209400 transcript:ONI23806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSASKSRVVRLRSANNEIFEVEEAIVALSEPIKNLIDVIGVNHVIPMPDVRSKTLAMVIEWCKYHAASDQGPRNTKELIKEWEEELDQADLVIDLLCTASFLCIKDLQDQMFQRVADLIKVKTLEEICELFHVKNDFSS >ONI25222 pep chromosome:Prunus_persica_NCBIv2:G2:28415356:28416570:1 gene:PRUPE_2G289900 transcript:ONI25222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLGASSSSMSSSAGFEISASSAYQFPPSLLKNNGDDQQQNVPVLPPPAGNINDQCGLTNMNVGGGNVENSHSGVMDNTITTDAPPTLINNNNISHEQELVGDIDALMLSNLFMDQQNNYEPSPPPFEQQDGDQDQLPPDFASFLYQVEVPDPSADQVPNPDSYHVEDNAANEQSWNQQQEGGDQQVVNPEFGGNVFDSEDYSILFDPEHPFQDCDDDFLNAILDADM >ONI22797 pep chromosome:Prunus_persica_NCBIv2:G2:20680964:20684718:1 gene:PRUPE_2G152000 transcript:ONI22797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIFITHRLLLFVEASRATMIIIRTFLFFLVIMATTKIALMEEQTYIIHMDKTKITDSDHQQYYQAVIDSITKLSSQEEEEENKTPTPQLLYIYETAISGFAAKLSTNQLKSLNQVDGFLFATPDELLSLHTTHTPQFLGLQNGKGLWSASNSASDVIVGLVDTGIWPEHVSFQDSGMSRVPSRWKGTCEEGTRFSFSNCNKKLIGARAFVQGYEAIVGRVNETVDYRSPRDSNGHGTHTASTAAGNFVNQASLFGLAKGSASGMKYTARIAAYKACWTLGCANSDVMAAIESAVADGVDILSLSLGGVSKPYYKDNIAIASFGAIQHGVSVSCSAGNSGPSRSSVSNAAPWIMTVAASYSDRSFPTAVKLGDGQIFEGSSLYSGKKTKQLPLVYNRTAGSQGAEYCFEGSLVKKLVKGKIVVCEGGIYSQTGVGEKVKKAGGAGMLLLNSEDEGEELLADAHILPATSLGASAAKAIRKYVGSAKKPSALIVFQGTVYGNTAPVMAAFSSRGPNSAGPDVIKPDVTAPGVDILAAWPPNISPSMLESDNRSVLFNIISGTSMSCPHVSGLASLLKSVHRDWSPAAIKSALMTTAYTLNNKGAPIADIGSTSTSKSATPFAFGSGHVDPENAADPGLVYDITAEDYLFYLCSLSYNSSQIALFSSGVNFTCPKNAVLQPGDLNYPSFSVLFSKDARNMSVTYKRTVKNVGKIPSTYAVQVKEPTGVSVTVEPRSLRFKKMGEKLSYKVSFVALGGPTLTNSSFGTLTWVSGKYRVGSPIAVTWL >ONI24000 pep chromosome:Prunus_persica_NCBIv2:G2:24768922:24770351:-1 gene:PRUPE_2G218000 transcript:ONI24000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNTSTPSASSPPLSISQLSSPTASFPSPNNSLQLSNLSSPNYAVKNNINTNVNNPTSPSPPPPPPPPPPVVLSPCAACKILRRRCAEKCVLAPYFPPTEPLKFTIAHRVFGASNIIKLLQELPESHRADAVSSMVYEANARIRDPVYGCAGAICQLQKHVSELQAQLAKTQAELLNMQCQQGNLIALICMDMTQSKDPILQQQQQQSYLDTSCFLDETNLGTAWEPLWT >ONI20192 pep chromosome:Prunus_persica_NCBIv2:G2:297393:301012:1 gene:PRUPE_2G002100 transcript:ONI20192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSITLSALSISPSTSQLHRNPSASSPSLPCHLPPYNLSTTFMGKKLSIRVPNLNHLASKHRTAVATVLFSLPTAKPDRNSTGKSPKWSARAIKSFAMGELEARKLKYPNTGTEALLMGILVEGTSLAAKFLRANGITLFKVRDETVNLLGKSDLYFFSPEHPPLTEPAQRALDWAVDQKLKSGENGEITVTHLLLGIWSEKESAGHKILASLGFDEEKAKELSKSMDSDYVPSF >ONI24134 pep chromosome:Prunus_persica_NCBIv2:G2:25241977:25245952:-1 gene:PRUPE_2G225600 transcript:ONI24134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIEQGGGDRDLEKGLMTPTQNQNPLAEPSPSPSPSPSPSSTASAPALVLSNSGKRMDQAGKKKYVKQVTGRHNDTELHLAAQRADLAAVQKILGDIDSQMVGTVSGPEFDSEVAEVRAAVVNEVNELGETALFTAADRGHLDVVKELLKYSNKDSVTKKNRSGFDPLHIAACRGHHAIVQVLLDHDPGLSKTIGPSNATPLISAAQRGHIAVVDELLSKDCTLLEISKSNGKNALHLAARGGHVEIVRALLSKDPQLARRTDKKGQTALHMAVKGTNCDVVKLLLEADSAIVMLPDKFGNTALHVATRKKRAEIVNELLLLPDSNVNALNRETKTALDIADALPPSEESSDIRGCLYRYGAVKANELNQPRDELRKTVTQIKNDVHIQLEQTKKTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDKDDGTAVVVKSPPFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKRVVEIINKLMWLASVCTSVAFMASSYIVVGRHHKWAAILVTVVGGMIMAAVLGTMTYYVVKSKRIRSTRKKEKHARRSGSNSWMPSDYSNSEIDRIYAL >ONI23250 pep chromosome:Prunus_persica_NCBIv2:G2:22227700:22237312:1 gene:PRUPE_2G177200 transcript:ONI23250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESRDGIRSGRHSKSSSVSSLPLILDIDDFKGEFSFDALFGNLVNDLLPSFQEEETDISEGHSNISGHDGLSNGHMRAPSDAAKFAQGLSDPLFPEVDKILSLFKDSCKELVDLQKQIDGRLNNLKKEVSVQDSKHRKTLAELEKGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRDTASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAAKIAQKLRAFAEEDIGRQGIAVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNADTRLVLGDEGSQASPSNVARGLSSLYKEITDTVRKEAATIMAVFPSPNEVMSILVQRVLEQRVTALLDKLLVKPSLVNIPPIEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSSHKDGYPEHEQGSLRQLYQAKMAELRAESQQISESSGTIGRSKGAAVASSHQQISVTVVTEFVRWNEEAITRCTLFSSQPATLAANVKAVFTSLLDQVSQYITEGLERARDSLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFSNSISRLLLPVDGAHAASCEEMATAMSSAESAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPEDGFAPDHRPTNACTRVVAYLSRVLESAFTALEGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSTLFEGTPSIRKDAQRFIELREDYKSAKIAARLSSLWTSSS >ONI22275 pep chromosome:Prunus_persica_NCBIv2:G2:17642037:17645617:1 gene:PRUPE_2G118300 transcript:ONI22275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRATALLTRPVISLRARPFSTDVSEIPVADTSFYDAWKKVIPTLEPPHTPLTFMKPRPPTPSSIPTKLTVNFVLPYNSELAAKEVDMVIIPASTGHMGVLPGHVATIAELKPGVLSVHEGTDVTKYFVSSGFAFIHANSYADIIAVEAVPLDRVDASLVQKGLAEFTQKLSSASTDLEKAEAQIGVDVHSALNAALTG >ONI23024 pep chromosome:Prunus_persica_NCBIv2:G2:21455239:21458920:1 gene:PRUPE_2G164900 transcript:ONI23024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNNAKHMCDFLDGTGLHRHIAGTSWRPLKKRTATTTLIFLLLLLIFFVAALVSAGWIDAFIFSGATTTTNTSTPKRPEFPLQCTEGINVTQGCPRTYPTRHDPTNPSRPSNLTCPSYFRWIHEDLRPWKETGITRDMIERARRTAHFRLLIVDGKAYIEKYRESIQTRDMFTLWGILQLLRVYPGRLPDLELMFDCDDRPVVRSKDFRSPNAGPPPLFRYCSDEGSLDIVFPDWSFWGWAETNIKPWRSLLPSIKEGNKRTKWKDRVPYAYWKGNPNVAPTRKDLLKCNVSDKNDWNTRLYIQNWEQESKQGYKDSNLENQCKHRYKIYIEGWAWSVSEKYIMACDAMTLYVRPRYYDFFMRGMEPLQHFWPIRDNSKCTSLKFAVEWGNNHKDKAKAIGEAASNFIQEDLKMDYVYDYMFHVLNEYAKLLKFKPTIPSNAVELCSEKMACHATGTWKKFMVESMVEHPTDELPCTLPPPYDPPALHDFLERRANSTRQVETWENEYWRSIEKKKTIVL >ONI21745 pep chromosome:Prunus_persica_NCBIv2:G2:13542130:13546754:-1 gene:PRUPE_2G085600 transcript:ONI21745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKDYPASMISHKNDGAYSVSEFTGEHPIDTVMLWHNAIKRELNEILEKAKTIHISEAYDLSVFSDKLHFIAEICIFHSVAESKVIYPAVYGKNLSFQEHSKEEGVFNQFRCLIESIQKAGTISSSVAELNSNICSHVDQIMETLTRHFHDEEVQVLPLVRKHISITRQRELLHQTLCVMPLKLIESALPWLVNSMTANEARNFVENMQLAASDIALVQLFCGWASKPFNDSFCSSAIGCCSVKRFGNRDEDFGQSSGTCASALSTRDICCAAYQETNAFNSRGSGCIPCPGLNINSPGLSTFSKVKSISFLHLNSIGPSHSVWETYNSFSATGLTEQPIDVVFQVHKAIRRDLEYLDNESQRLSNCDEIFLQQFIGCFCLLWSLYKAHSDADDYIVHPALESRDALHNVSHSYTLDHKQEEQAFQNISDVLFELSHLHASTIGFSSTQCSESSDRVQKFYELAISVHVMFKTLRMMVNQHMSREELELWPLIGKHFSVEEQNKMVGFILGTTGAEVLKSMLPWVTSALTADEQSKMMNNFKQVTKNTMFNEWLTECWNETSLSTSQTGKLETRISPKGSLRRIDHTFKSRKKNNLKNLMTSYWMAAQQSLPQALAEDRFDGDDSVGQSPEFRDPEKRVYGCKHYKRNCKLHAACCGRLFTCRYCHDMSSDHTIDWKATTEMMCMQCLNIQPVGPICSTPSCNGFPMAKSYCSTCKLFDDDRNVYHCPFCNLCRVGKGLGIDYFHCMTCNCCLGIGLVNHKCREKCLETNCPICNEFLFTSTASIRALPCGHFMHSACLEACSQSHYSCRVCSKSSGNTTMASAQSSILVGGDETMEFFNCDQRAQMETSWTNTNPEGRFIGRAWFWVNMLYHSLLWFELHNVKHPRFLQGLKRGLENAVAGQKTKDRFLMCCLALCVLVLFFVLSAVLILLWFKALGRNKYNIFMW >ONI23390 pep chromosome:Prunus_persica_NCBIv2:G2:22727168:22727419:1 gene:PRUPE_2G186300 transcript:ONI23390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYKYILGRLGPCPNRNRMNQIESDRSGLVWISVPILSKIGPNRTDLYFRIRFYFFPKTGPNRTVPTPTDTSLESVWYLFDF >ONI23588 pep chromosome:Prunus_persica_NCBIv2:G2:23419271:23419609:-1 gene:PRUPE_2G196800 transcript:ONI23588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSISVSVNGECKVPPGFRFQPTEEELLLYYLRKRVSCEKIDLDIICDIDINKFEPWDIQEKCKIGSMPQNDCYFFSHKDKKYASGT >ONI21741 pep chromosome:Prunus_persica_NCBIv2:G2:13477160:13478565:-1 gene:PRUPE_2G085200 transcript:ONI21741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSEHKSITVLMLPWLAHGHISPFLELAKKLTSKRNFHIFICSTPVNLTSIKPKLSPKYSHCIEFVELHLPHEELPELPPHYHTTNGLPPHLMSTLKRAFDMSSNNFSNILTTLKPDLLIYDFIPPWAPSQASLQNIPSVRFITTSAALSSLRVHHLKNPRVKFPFPSIYLRDYEAKKFNNLLEPSSNDINDGDRVQQCSARSCNIILVKTSREIEAKYVDYLSGLMGKKIVPVGPLVQEPMDLKVDEETWIMKWMNKRERSSVVYVCFGSEYFLSREQIEEVAHGLELSKVSFIWVIRFPKEEKGKRVEEVLPEGFLERVGEKGIIVEGWAPQANILKHSRVGGFVSHCGWSSVLESIKFGVPIIAMPMHLDQPINARLVEEVGVGVEVKRTGEGILQREEMAKVIRKVVVEKIGEGMRKKALELRDNMKNKDGEIDGVVEELMQFVGEGKQISTFK >ONI23216 pep chromosome:Prunus_persica_NCBIv2:G2:22125839:22128026:-1 gene:PRUPE_2G175600 transcript:ONI23216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFSYASITPHLTARHNKKYVNFQPNHRYSVYRFRCSGEKLNQTSDSPTDKQSQPEKALLKVAWYSSELLGIATSFFRPPSKAEAPETAALELARDGSGAVDRAMVVKSIKQDFERSYFVTGNLTLDAYEEDCEFADPASSFKGLQRFKRNCTNFGSLLTKSNMKLMKWEDFGDKGIGHWRFSCILSFPWKPILSASGYTEYYFDEFSGKVCRHVEHWNVPKMVLLKQILKPSRGFWLKKTGG >ONI20359 pep chromosome:Prunus_persica_NCBIv2:G2:1005382:1005889:1 gene:PRUPE_2G011300 transcript:ONI20359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLSSIVMKMKVLVFAFAVVAFFAAVSSTQDFDAAPAPAPAQDKGAAHSVVASRAMILCLPFFMSMVALLNN >ONI21811 pep chromosome:Prunus_persica_NCBIv2:G2:14302373:14306482:1 gene:PRUPE_2G090500 transcript:ONI21811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVAPNLMEVSATATASVHKENGVVANPPKELDAGALFVLKSQGSWLHCGYHLTTSIVAPVLLSLPFALTLLGWVGGLICLALAGLVTFYSYNLLSLVLEHQEKLGHRQLRFRDMARDILGPGWGKYFVGPLQFWICYGAVIACTLLGGQSLKFIYLLSNPDGKMKLYQFITMFGGVTLLLAQMPSFHSLRHINLVSLILCLAFSACVTAGSIYIGHSNKAPVRDYTVKGSAKDRSFGIFNAISIIATTYASGIIPEIQATLAPPVKGKMFKGLCVCYSVIVTTYFSVAISGYWAFGNQAMGTVLSNFMGDEKPLLPTWFLLMTNVFTLSQVSAVTVVYLQPTNEVFEKKFADPKMPQFSIRNVVPRLILRSLSVVVATIFAAMLPFFGDIMALFGAFGCIPLDFILPMIFYNVTFKPSKQSLIFWVNTLIAGASFLLVGVGAVASVRQIVLDAKTYRLFANM >ONI25928 pep chromosome:Prunus_persica_NCBIv2:G2:30161523:30163694:1 gene:PRUPE_2G327400 transcript:ONI25928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHKWKEKFMCKFSKSIKFLQGIDHTIPMVNPKLSRRPTISYRPIQPSDLEILEQIHADLFPIRYEAEFFQNVVNGHDIVSWAAVDRSRPNGQSDELIGFVTARIVLAKESEIGDLLSYEPSKSDQTLIYILTLGVVESFRNLGIASALIREVIKYASSIPTCRGIYLHVISYNNAAIHLYKKMSFMCVRRLQGFYLINGQHYDSYLFVYYVNGGRSPCSPLELVTGTLNLMRNGLKSVFARLRKKEDRKVSKWGKCKETHCLISTTQSRRNLTTECTGYECV >ONI22115 pep chromosome:Prunus_persica_NCBIv2:G2:16651246:16652628:1 gene:PRUPE_2G108200 transcript:ONI22115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGSASRFVHQQLQIPDLHLQRPPDSDDDHNTPNRNNLFSSDHHQNDVDDDNPHHQGLDLVTPNPGSGDSGGRRSRGRPPGSRNKPKPPVIITRESANTLRAHILEVSSGCDVFDSVGTYARKRQRGICVLSGSGMVTNVSLRQPAAAGAVVTLHGRFEILSLTGSFLPPPAPPGATSLTIFLAGGSGQVMGGNVVGALIASGPVIVIASSFTNVAYERLPLEEEDQLQMQQPVPQSSGGGGSGGGGGGGGVTNPFPDPSSGLPFFNLPLNNMPHQLQVDSWGGNSAGRPPY >ONI22670 pep chromosome:Prunus_persica_NCBIv2:G2:20077870:20082136:1 gene:PRUPE_2G143600 transcript:ONI22670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTSVTLSQALLARAISHHGSSSTSDRVSLSAALTLPTFSGLKSTSSSPSSSSSTSSKLSRFQRRRLGANRPVRAAAVETLDKTTETSLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEIMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKGFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARYNKPDSEIVDHYTYVILGDGCQMEGISNEAASLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDTRFEGLGWHVIWVKNGNTGYDEIRAAIKEAKAVTDRPTLIKVTTTIGFGSPNKANSYSVHGAALGAKEVDATRKNLGWPYEPFHVPEDVKSHWSRHTAEGSALEAEWNAKFAEYEKKYKEEAAELKSIISGELPAGWEKALPTYTPENPADATRNLSQANLNALAKVLPGLLGGSADLASSNMTLLKSFGDFQKNTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAIRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYRVAVLNRKRPSILALSRQKLPNLPGTSIEGVEKGGYIISDNSSGNKPDVILIATGSELEIVFKAGEELRKEGKAVRVVSFVSWELFDGQSDAYKESVLPAAVTARVSIEAGSTFGWQKLVGSKGKAIGIDHFGASAPAGKIYKEFGLTVEAVIAAAKEVS >ONI23542 pep chromosome:Prunus_persica_NCBIv2:G2:23233979:23236950:1 gene:PRUPE_2G194000 transcript:ONI23542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFNWVHKRLHQRVVKDGFAGNVKKSELETNDKDTQAFLKQVGLVNVDGLDGWRDGILTIGTFGFDPLKPSTHQNEYFVLESEEDDQESHGFSHSGNDDDDDDDEHYDHSVEDEELNPLMFTTFEHSFEDIGSNFDAIVQKPADVILTVDGVPLTPFEGSSEISTKPDQSANDQSKNKKGQRITLADLFQADVPDVGQLKLDSGKVQPEMEKKMNARTRSGLAFAKKLIPRVKDDSSPIKNMQRLMRRMLKRKIHPAELEVKIHKSDGQKQPSAVELISNVENDAYESVSLLPIQGAPCVH >ONI21470 pep chromosome:Prunus_persica_NCBIv2:G2:9726003:9732826:1 gene:PRUPE_2G068200 transcript:ONI21470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLPVHAMSDDCCISASAEKSPSSISHLISNFRKRLCDNDLGVMGVMLCPLFDLITIDVKILMRIWWKCDSTSNIGNAVLYECICWGVVELLISRLTMPFVFHIPQLKVNLLSVHQLCKDNNCYSVFDVFGFLILDKVTHQCQSLVEELSASHSTDLQQRAYELQAIISLDSPAVESIMLSDASFEDIEIDKSLSFLNGYVQQALEKGAQPYIPENERSGMLNISNFSNQDQHEALTRGLRFEAYEHPKPAVPSGIPPAAVASSTELVPVPEPSYAREIRQQPASLPPMSDAGLSELKLRLDCVQRKWALSISNSSSCSSQKSANGVTQIDSMSTSNPKARDSYESRRPQVSKANIHASEKTQVPKAAIVHTEVNHEPAPDLLDLGDSTSSTASTVDPFKQLESFLIKLKH >ONI24817 pep chromosome:Prunus_persica_NCBIv2:G2:27158594:27160599:1 gene:PRUPE_2G263800 transcript:ONI24817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLHHRPHRLLLDPSGSSSSSSSSSSSSTTPDPANGSRTRGTYTNEANFDTNMVIILAALLCALIFALGLNSIVRCALRCSRRFSFETPDETAARLAATGLKKSTLRQIPIVAYGSGANIPATDCPICLGEFQDGQKVRLLPKCNHGFHVRCIDTWFLSHSSCPTCRHSLLEQPAAASDSAEVADARHTAGNASSGGQGDVPISVDEAS >ONI23417 pep chromosome:Prunus_persica_NCBIv2:G2:22856240:22858206:-1 gene:PRUPE_2G188300 transcript:ONI23417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRAQTINQDCSKRCNFCPVSEQKTRSDSLLLIVCAQVFLHLLFFVGIGTSYINLMGAMGMMDWSAASASNAYFDTLKLCNDRKRQSDSWKTQEPGSNEFVSALAAGMKAKLIVEVASSVSPSTIALAAAAKHTGGRLVCIVPEPVLDESKKVIKDSGLKDLVEFRTGDPSELLSNYENIDFSLVDCKNDEYTRLLQLLDVNPRKSVVVANNLVGERKGLGGHMEVKEERVVVRSTKHPIGKGMEVTMIGKNKEIGKGDRGGGRKKRSGKSKWVVKVDEKSGEEHIFRVPG >ONI24881 pep chromosome:Prunus_persica_NCBIv2:G2:27326921:27328846:-1 gene:PRUPE_2G267400 transcript:ONI24881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKWVSRRSRGGKIQMEKTMKTPSESKPKAPATLQALVPVFISTNPSHVNPDDLSDLFIACNLSCHRFPNYVDAGGGRCVVEAVDLHKLRVALSHSSVLVSVFCKPNDVIGCSSSFLKEKQQQQKKKIVGFGELLQNVVMPVTPLNSQLVGFGRAVSDLGLTASIYDVMVLPSLRGMGIGRMIVKRIIRMLTSRDIYDIAALCSENERSFFKVCGFGDDILGSTAMMYTRSSVSTNPQDNQIVKRAGRKLLLVPPLSKTLPYSKTMKS >ONI22629 pep chromosome:Prunus_persica_NCBIv2:G2:19734307:19736652:-1 gene:PRUPE_2G140800 transcript:ONI22629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEPKSQSLKEEDNESQSFKEQPKSQSLKEEPKSQSLDEEDAKSQSLKEDLQSLSLEGRDGFAFPGINENSLQFLDSIDGYLTLLDSLSWTLRQGWLQLASARHSMGESRVSSAVLDLKSHPASTFLEVTQQSNPGVGQIHHFTLHKWASSDNDNGAPLMDTSPQIRQRKDKDGAPPPVKAEADHQHQLQKERSKSLLMFGGLVSPKLRAAQLSFESALDALVEIANMRSLMLSTFHKVREEVEAAKAK >ONI23278 pep chromosome:Prunus_persica_NCBIv2:G2:22311054:22312397:-1 gene:PRUPE_2G179400 transcript:ONI23278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERKYITCEELKEHNKPGDLWISIQGKVYNVSDWAKEHPGGDTILFNLAGQEVTDAFIAYHPSTAWQYLNKLFTGYYLKDFKVSEVSKDYRRMASEFSRLGLFEKKGHGAMYSILIITTLLHLVVYGVLKSESVLVHLVCGMMLGALWIEGAYIGHDAGHYQIMSSRKCNDIAQILAGNCLTGISIAWWKWTHNAHHIACNSLDYDPDLQHIPVFAVSTKFFNSITSIFYGRELKFDPVARFLISYQHFTYYPVMVVGRVNLFIQTFLLLFSRGNVPHRALNIMGILVFWTWFPLLVSCLPTWSERVMFVLASFTVTALQHIQFTLNHFSGDTYLGPPTSSDWFEKQAAGTLDISCSTRMDWFFGGLQFQLEHHLFPRLPRCQLRTIAPTVKDLCKKHNLPYRSLSFWEANMSTIRTLRTAALQARDLSNPVPKNLVWEALNTHG >ONH89456 pep supercontig:Prunus_persica_NCBIv2:scaffold_192:1959:2330:-1 gene:PRUPE_I002500 transcript:ONH89456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSGRKKGSAKEHSFFFGLALILQLDIEGIRTLFHTFFRLPTWVSLAPLCPDLMLFAMYMFVIAPNILRNRHLLSDPTGATVIRTYLTL >ONH89457 pep supercontig:Prunus_persica_NCBIv2:scaffold_192:3783:4235:-1 gene:PRUPE_I002600 transcript:ONH89457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGADIIPPEFQTRVGDRGLVWRGWAPQLKILGHSSVGGFLTHCGWSSVIEALGFGRVLILFSGANSDQGLIARLLHGKQVGLEIPRDEQDGSFTRDSVAELTRRVMVDKEGESLRSNAWAMKEIFGNKELNKKCLDEFTRFLETWPAST >ONH89484 pep supercontig:Prunus_persica_NCBIv2:scaffold_53:3766:5243:-1 gene:PRUPE_I005300 transcript:ONH89484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCESAVNLPVGFKFRPRDDQLLGYYLLNKVRGTSFMYENVIPEMDLYGKIEPWDIWHEYGGHNLAKGEDLYFFTKLKSLSDKDSRAARTIGSGTWKGENSGTTVSDLENKENDLGIWKRFHYENPKSVQDGCWIMHEYSLHPSLVKPKPNSTNQFVLCRIRKNDRGKRKLRTAEEDNETDTPVQSQNKRQRPQKVTSFEELIGDCTPMSEATGVGGSVSYLPTGLTQSQPDSSIAYPTTVVSSQARANNTDDVSQFHGGGDGDALMSDFLLSDTAQPFTEQALGSYAVCNQERASDVYETQQGLVLTDNNIGYWPSPFGSEEDQVNALDFSMDYDLLDHLIDCDDDNDGLRQSSTAQFMGMGMENTTTASSEANMVIID >ONH89479 pep supercontig:Prunus_persica_NCBIv2:scaffold_71:24:1362:-1 gene:PRUPE_I005700 transcript:ONH89479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVEEVRKAQRAEGPATVLAIGTATPPNCVDQATYPDYYFRITNSEHKVELKEKFQRMCDKSMIKKRYMYLTEDILKENPTMCEYMAPSLDARQDMVVVEIPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPNDTHLDSLVGPGLIWVMVQQLLLWVRTQFPRSRAPCLKW >ONH89478 pep supercontig:Prunus_persica_NCBIv2:scaffold_71:12:1373:-1 gene:PRUPE_I005700 transcript:ONH89478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVEEVRKAQRAEGPATVLAIGTATPPNCVDQATYPDYYFRITNSEHKVELKEKFQRMCDKSMIKKRYMYLTEDILKENPTMCEYMAPSLDARQDMVVVEIPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPNDTHLDSLVGPGLIWVMVQQLLLWVRTQFPRSRAPCLKWCQQP >ONH89480 pep supercontig:Prunus_persica_NCBIv2:scaffold_71:8940:10574:-1 gene:PRUPE_I005800 transcript:ONH89480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHKTELKEKFQRMCDKSMIKKRYMYLTEEILKENPSMCEYMAPSLDARQDMVVVEIPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRSSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAIIVGSDPIPEIEKPLFEVVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLNEAFQPLGISDWNSLFWIAHPGGPAILDQVESKLALKPEKLEATRHILSEYGNMSSACVLFILDEVRKRATKKGLKTTGDGLDWGVLFGFGPGLTVETVVLHSVGLNA >ONH89454 pep supercontig:Prunus_persica_NCBIv2:scaffold_271:54:2967:1 gene:PRUPE_I003700 transcript:ONH89454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQGSVATMPQSNMTSLQQSSMSALSGVSTAQQNMMNSLPPSSSMDSGQGNALNSLQQVPVGSNQQTPVSAPQQANMNALSSQSGVNMLQANMNSIQSNSGMLQHQHLKQQQEHQMFQNQLKQQFQHRQMQQQLMQKQQLLQHQQQQLQQLQLQAEQQLPAQLQAHQQQMPQLHQMNDVNDLKMRQGMGVKPGVFQQHLSVDSWFVMQTGFLVIKLMKEMYLPESEKIATKLLQQLDKLKMFRTMLERLISVLQISKSSISPGLKDKLFFHEKQIVNFINAYEASFCKASMDSTAAPPT >ONH89473 pep supercontig:Prunus_persica_NCBIv2:scaffold_97:5:4778:-1 gene:PRUPE_I006100 transcript:ONH89473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAQPSPLKRREASASREDDKLIITPLGAGNEVGRSCVYMSYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSIIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTYATKAIYKLLLTDYVKVSKVSVEDMLFDEQDINASMDKIEVIDFHQTVEVEGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAETPQFSPDICIIESTYGVQHHQPRHIREKRFTDVIHSTVSQGGRVLIPAFALGRAQELLLILDEYWANHPELQNIPIYYASPLAKRCLSVYETYTLSMNDRIRNAKSNPFIFKYVSPLKSIENFKDVGPSVVMASPGGLQSGLSRQLFDMWCSDKKNACVIPGYVVEGTLAKTIINEPKEVTLMNGLSAPLNMQVHYISFSAHADSVQTTAFLEELRPPNIILVHGEANEMGRLKQKLMTQFADRNTKILTPKNCQSVEMYFNSQKMAKAIGRLAEKTPEVGESVSGLLVKKGFSYQIMASDDLHVFSQLCTANVTQRITIPFASGFTVIKHRLRQIYESVESSVDEESGVPTLRVHDRVTVKQDTDKHISVHWSSDPISDMVSDSIVALILNINR >ONH89474 pep supercontig:Prunus_persica_NCBIv2:scaffold_97:5:4785:-1 gene:PRUPE_I006100 transcript:ONH89474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAQPSPLKRREASASREDDKLIITPLGAGNEVGRSCVYMSYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSIIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTYATKAIYKLLLTDYVKVSKVSVEDMLFDEQDINASMDKIEVIDFHQTVEVEGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAETPQFSPDICIIESTYGVQHHQPRHIREKRFTDVIHSTVSQGGRVLIPAFALGRAQELLLILDEYWANHPELQNIPIYYASPLAKRCLSVYETYTLSMNDRIRNAKSNPFIFKYVSPLKSIENFKDVGPSVVMASPGGLQSGLSRQLFDMWCSDKKNACVIPGYVVEGTLAKTIINEPKEVTLMNGLSAPLNMQVHYISFSAHADSVQTTAFLEELRPPNIILVHGEANEMGRLKQKLMTQFADRNTKILTPKNCQSVEMYFNSQKMAKAIGRLAEKTPEVGESVSGLLVKKGFSYQIMASDDLHVFSQLCTANVTQRITIPFASGFTVIKHRLRQIYESVESSVDEESGVPTLRVHDRVTVKQDTDKHISVHWSSDPISDMVSDSIVALILNINR >ONH89475 pep supercontig:Prunus_persica_NCBIv2:scaffold_97:5637:7829:-1 gene:PRUPE_I006200 transcript:ONH89475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDEQDINASMDKIEVIDFHQTVEVEGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAETPQFSPDVCIIESTYGVQHHQPRHIREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWANHPELQNIPIYYASPLAKRCLSVYETYTLSMNDRIRNAKSNPFVFKYISPLKSIENFKDVGPSVVMASPSGLQSGLSRQLFDMWCSDKKNACVIPGYVVEGTLAKTIINEPKEVTLLNGLTAPLNMQNCQSVEMYFNSQKMAKAIGRLAEKTPEVGESVGGLLVKKGFSYQIMASDDLHVFSQLCTANVTQRITIPFASGFTVIKHRLSQIYESVDSSVDEESGVPTLRVHDQVTVKQDTDKHISLHWSSDPISDMVSDTIVALILNINREVPKVVVESEDVQTEEENGKKVEKVIHALLVSLFGDVKPGGNGKLVIRVDGNVAQLDKQSGDVESENEGLKEKVKVAFRRIQSAVKPIPLSAT >ONH89504 pep supercontig:Prunus_persica_NCBIv2:scaffold_24:8384:8946:-1 gene:PRUPE_I003400 transcript:ONH89504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELLRHPRIMEKLQNEVRGIVGKKTDIIREDDLVEMHYLKAVIKETLRLHPSIPLLLPRLSTQDAQINGYDIKANTQVIVNAWQIGRDPKSYNKPEEFEPERFLDSAIDYKGNYFHDIPFGAGRRVCPGIQFAMAVQEIALANLVHKGEDLDMTESTGASVRRVYPLKVVAISYLG >ONI03844 pep chromosome:Prunus_persica_NCBIv2:G6:26474438:26476533:1 gene:PRUPE_6G285300 transcript:ONI03844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKTPLQTHPEASPKPNKKSFVTTFMEATTLRTPSFKEDTYFVSQLKSSEKKALQELKDKLMGSEASDGSMWGVPLLGNDEKADVILLKFLRARDFRVPDAFAMLLKCLAWRKEFGADGVVEEDLGFKELEGVVAYMHGFDKQGHPVCYNAYGVFKDRDMYERIFGDDEKLKKFLRWRVQVLERGINALHFKPGGINSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSALYSLFSPFLTQRTKSKFVISKEGNVAETLYKFIRPEDVPVQYGGLSRLSDLQNGPPKPASEFTVKGGEKVNIQIEGIEVH >ONI03843 pep chromosome:Prunus_persica_NCBIv2:G6:26474184:26476653:1 gene:PRUPE_6G285300 transcript:ONI03843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKTPLQTHPEASPKPNKKSFVTTFMEATTLRTPSFKEDTYFVSQLKSSEKKALQELKDKLMGSEASDGSMWGVPLLGNDEKADVILLKFLRARDFRVPDAFAMLLKCLAWRKEFGADGVVEEDLGFKELEGVVAYMHGFDKQGHPVCYNAYGVFKDRDMYERIFGDDEKLKKFLRWRVQVLERGINALHFKPGGINSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSALYSLFSPFLTQRTKSKFVISKEGNVAETLYKFIRPEDVPVQYGGLSRLSDLQNGPPKPASEFTVKGGEKVNIQIEGIEADATITWDIVVGGWDLEYSAEFVPNAEGSYIIQVDKPRKVMPSEEAIHNSFTPREAGKMVFSVDNSASRRRKVAAYRYIVRKSASI >ONI04776 pep chromosome:Prunus_persica_NCBIv2:G6:29367572:29370423:-1 gene:PRUPE_6G339100 transcript:ONI04776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANVVEANDGTISVASAFAAHQQVVQDRDHKFLTEAVGEAYKGVECGDGGPFGAVVVRNDEIVVSCHNMVLKNTDPTAHAEVTAIREACKKLNQIELADCEIYASCEPCPMCFGAIHLSRLKRLVYGAKAEAAIAIGFDDFIADALRGTAYYQKAHLEIKKADGNGAVIAEQVFEKTKAKFPMY >ONI04775 pep chromosome:Prunus_persica_NCBIv2:G6:29367389:29370678:-1 gene:PRUPE_6G339100 transcript:ONI04775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANVVQDRDHKFLTEAVGEAYKGVECGDGGPFGAVVVRNDEIVVSCHNMVLKNTDPTAHAEVTAIREACKKLNQIELADCEIYASCEPCPMCFGAIHLSRLKRLVYGAKAEAAIAIGFDDFIADALRGTAYYQKAHLEIKKADGNGAVIAEQVFEKTKAKFPMY >ONI04777 pep chromosome:Prunus_persica_NCBIv2:G6:29367572:29370423:-1 gene:PRUPE_6G339100 transcript:ONI04777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADANVNPPPLVVVEANDGTISVASAFAAHQQVVQDRDHKFLTEAVGEAYKGVECGDGGPFGAVVVRNDEIVVSCHNMVLKNTDPTAHAEVTAIREACKKLNQIELADCEIYASCEPCPMCFGAIHLSRLKRLVYGAKAEAAIAIGFDDFIADALRGTAYYQKAHLEIKKADGNGAVIAEQVFEKTKAKFPMY >ONI04778 pep chromosome:Prunus_persica_NCBIv2:G6:29367389:29370326:-1 gene:PRUPE_6G339100 transcript:ONI04778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKNTDPTAHAEVTAIREACKKLNQIELADCEIYASCEPCPMCFGAIHLSRLKRLVYGAKAEAAIAIGFDDFIADALRGTAYYQKAHLEIKKADGNGAVIAEQVFEKTKAKFPMY >ONI04898 pep chromosome:Prunus_persica_NCBIv2:G6:29707539:29707919:-1 gene:PRUPE_6G347000 transcript:ONI04898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLHSHHQPQSDQSKKPTKAKAPTIKYISSPMMVQASNASEFRAIVQQLTGQDSNIEVLDDQQGTHDEQGSWVSSSNHEASKADRVMRFSEGPPLPVAVLDQLSDENNLWEAVAESLSAFQSPCVYV >ONI02457 pep chromosome:Prunus_persica_NCBIv2:G6:20640932:20647567:1 gene:PRUPE_6G199500 transcript:ONI02457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITASVSADLFIGKFVAILESEAASIAGVADQVDEIKRELEFMKAFLADADEGNKAHTQVNEVWIRSVLDSAKDVENIMDEFMYHVYEQQIGRRFAKWIHKTIHFPKHLLYKRKIANKLQKIAMAIRAITERNQRYGCGAAVEGKSDKNLLMGSLTNEEQCQTVVSVVGMGGSGKTTLVARTFKDEIVKRHFECYAWITVSQSYVIEDLLRRLIKEFHKAKKEEVPADMNAMSYNELLEILVNYLETKTYLVVLDNVWDVHLWEKIRFSFLDKQLGSRVMLTTRREDIASSSFGVESRVHKIQPLEKGDAWELFRMKAFSLTAYTLIFSSYPNKSCSTEILPLARELVEKCEGLPLAIVGLSGLMSSKKSLTEWSTVYSSLNWHLTNNPLRLMIWNIAL >ONI04720 pep chromosome:Prunus_persica_NCBIv2:G6:29228978:29230916:-1 gene:PRUPE_6G336400 transcript:ONI04720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGETFESPTFCSTLRQAEHAAAEVALSTLAKRGPSRALAARVLDETGVYKNLLQETAHRAGLNLPVYTTVRSGPGHVPVFSCTVELAGMSFMGEPSRTKKQAQKNAAMAAWTALKRLAGRGSTSSAESRGNEEQEQVIIARILGSFQPSESKNTQSDRRHGQQTYIPIYNKSTLPSPSLYPMQFQNWAYSNYSPMYELWQQEQLLQQQNRLLPFPVSLATPSIPQMFPFMQSVLHPDHCLYFPARGQQSTSAGPRITIATSGPSFCFSNHLVPNPIRGKSTVTIQEIQEEKPEESPEYSLSVVSDPFSPGNSGTELRIQEQVQDEKQNLGELGSKVGRLQLECNPTGQFGWPHPRMMDPSFKPVDFGLQRPHGFDSCRPNLRPQNPPRVSTPISLRPQFAADPVTMRTVRPTSSLGSRPQNFPNRIPAPPRMRTGIPSSSAAPMPERIELGGLRPSFMAPAVRIRSVVPVCSAPPARKMPSSSQRRELPNMEKKDTNQEDTSKAS >ONI00974 pep chromosome:Prunus_persica_NCBIv2:G6:8279756:8285471:1 gene:PRUPE_6G114600 transcript:ONI00974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWWLYRSSNRVEDPSKPWPIILWLQGGPGASGVGIGNFEEIGPLDTNLNPRNSTWLQEADLLFVDNPVGTGFSFVEEKSLFVKNDLEAATDLTTLLEEIFNRNENLQKSPLFIVAESYGGKFAVTLGLSALKAIEAGKLKLRLGGVALGDSWISPEDFVLSWGPLLKDVSRLDDNGLKEANSVAQRIKQQIQNGQLVEATNSWSELEQIISSNSNSVDFYNFMLDSGMDPVSSLATIEVSKGIALKKYSRYLSSLRSSSSAGGGDGVGDLDTLLNGVIKKKLKIIPDNVTWGGQSDHVFTAMAGDFMKPRINEVDELLAKGVNVTIYNGQVDVICATKGAEAWVAKLKWEGLQNYLSKSRSPLSCGNDRFTKGFTRSYKNLHFYWILGAGHFVPVDQPCIALNMVADITQSPAASSTSKEKKRKTQ >ONI00972 pep chromosome:Prunus_persica_NCBIv2:G6:8279415:8285471:1 gene:PRUPE_6G114600 transcript:ONI00972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNISSWSSWCPVFALRMEKHSLSLVLSLLLFVPLFHGSITEAAGTLDGSEEWGYVEVRPKAHMFWWLYRSSNRVEDPSKPWPIILWLQGGPGASGVGIGNFEEIGPLDTNLNPRNSTWLQEADLLFVDNPVGTGFSFVEEKSLFVKNDLEAATDLTTLLEEIFNRNENLQKSPLFIVAESYGGKFAVTLGLSALKAIEAGKLKLRLGGVALGDSWISPEDFVLSWGPLLKDVSRLDDNGLKEANSVAQRIKQQIQNGQLVEATNSWSELEQIISSNSNSVDFYNFMLDSGMDPVSSLATIEVSKGIALKKYSRYLSSLRSSSSAGGGDGVGDLDTLLNGVIKKKLKIIPDNVTWGGQSDHVFTAMAGDFMKPRINEVDELLAKGVNVTIYNGQVDVICATKGAEAWVAKLKWEGLQNYLSKSRSPLSCGNDRFTKGFTRSYKNLHFYWILGAGHFVPVDQPCIALNMVADITQSPAASSTSKEKKRKTQ >ONI00973 pep chromosome:Prunus_persica_NCBIv2:G6:8279415:8281882:1 gene:PRUPE_6G114600 transcript:ONI00973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNISSWSSWCPVFALRMEKHSLSLVLSLLLFVPLFHGSITEAAGTLDGSEEWGYVEVRPKAHMFWWLYRSSNRVEDPSKPWPIILWLQGGPGASGVGIGNFEEIGPLDTNLNPRNSTWLQEADLLFVDNPVGTGFSFVEEKSLFVKNDLEAATDLTTLLEEIFNRNENLQKSPLFIVAESYGGKFAVTLGLSALKAIEAGKLKLRLGGVALGDSWISPEDFVLSWGPLLKDVSRLDDNGLKEANSVAQRIKQQIQNGQLVEATNSWSELEQIISSNSNSVDFYNFMLDSGMDPVSSLATIEVSKGIALKKYSRYLSSLRSSSSAGGGDGVGDLDTLLNGVIKKKLKIIPDNVTWGGQSDHVFTAMAGDFMKPRINEVLILPVQKSKIIVHIDYIINNLSLATYMCFTQ >ONI02560 pep chromosome:Prunus_persica_NCBIv2:G6:21439709:21440776:-1 gene:PRUPE_6G206100 transcript:ONI02560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVGRNVTKFKVGDIAGVGCVVGSCRSCDNCTQDLENYCPKMVWTYNKHHEDGSRTFGGYSDKTVVDEHFVVRIPKNLPLQGTAPMLCAGITVYSPMRYFGLMEPGKHLGVVGLGGLGHMAVKFAKVVGAKVTVISTSPNKKKEAVEQLGFDEFLISHDQEQLQAAMGTMDGIIDTVSAAHPLLHLVGLLKTNGKLILVGAPIQPPELPVFPLILGRKLVAGSAIGGMKETQEMIDFAAKHNITADVEVIPMDINTYIIQQNPQLTRN >ONI02466 pep chromosome:Prunus_persica_NCBIv2:G6:20712848:20713764:1 gene:PRUPE_6G200100 transcript:ONI02466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLQSLLRIESEGNIIRLIGSMTQLKFLGITNVKERDEEDLCASIQEMKVLSRLFLFVSHGEEFLRVDALSSPSPYLDRLELVGKLEKVPHWFCSLHSLTYMNLQGSRLEEDLLPHIEALPSLLSLSLNNASVRKELCFNRGFVKLRHLWLWNLALLNKITIEKGAMPNLEFLSIRRCLTLETLPQGIEHLTKLQRFRFYIVSEKFRESIKEGGVDHPRMLLVDERCKKYTNKSWD >ONI03058 pep chromosome:Prunus_persica_NCBIv2:G6:23667982:23672151:1 gene:PRUPE_6G235800 transcript:ONI03058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILSFLFEIIGFGIGIPIGLLLGFFIFIYSEPDDVKDPIIKPLNEIDTGSLIDLLHEIPPWVKHPDYERIDWFNKALYDMWPYLDKAICGIIRSTAKPIFAEYIGQYQIKSIEFETLTLGSLPPTVYGMRVHESNENELVFEPAIRWAGNPHITVVLKLLSFRISAQEFIRRQVASLYLWPQTLEIPILDGSLSGAIKKPVGILHVKVVRALKLLKMDIIGTSDPYVKIKLSGERLPSKKTTIKMRNLNPEWNENFKLTVKDPQSQVLQFHLYDWEQIGTHDFLGMQIVPLKVLAPLEKKELTLDLVKNTNPYDPQNKKGRGQLVVELTYNPFIDDNAKYSGPLDASVAGENIGRSRKASIDFSCLSSLEAAGLLSVTVQGAEGVGGKNHTNPNPYCLVSFGSETKITKMLRKTQRPSWNEEFQFVLEEASLKDKIHIEVKSKRRFGFRRYESLGYIEISFSDVVHNGRIRGKYNLINSKNGVLHIEIQWNST >ONI03057 pep chromosome:Prunus_persica_NCBIv2:G6:23667983:23672150:1 gene:PRUPE_6G235800 transcript:ONI03057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILSFLFEIIGFGIGIPIGLLLGFFIFIYSEPDDVKDPIIKPLNEIDTGSLIDLLHEIPPWVKHPDYERIDWFNKALYDMWPYLDKAICGIIRSTAKPIFAEYIGQYQIKSIEFETLTLGSLPPTVYGMRVHESNENELVFEPAIRWAGNPHITVVLKLLSFRISAQLVDVQIFAAPRIILRPLVPSFPCFANITATLIDKPHVDFGLKIQGGDVMAIPGLYQFVQEFIRRQVASLYLWPQTLEIPILDGSLSGAIKKPVGILHVKVVRALKLLKMDIIGTSDPYVKIKLSGERLPSKKTTIKMRNLNPEWNENFKLTVKDPQSQVLQFHLYDWEQIGTHDFLGMQIVPLKVLAPLEKKELTLDLVKNTNPYDPQNKKGRGQLVVELTYNPFIDDNAKYSGPLDASVAGENIGRSRKASIDFSCLSSLEAAGLLSVTVQGAEGVGGKNHTNPNPYCLVSFGSETKITKMLRKTQRPSWNEEFQFVLEEASLKDKIHIEVKSKRRFGFRRYESLGYIEISFSDVVHNGRIRGKYNLINSKNGVLHIEIQWNST >ONI03059 pep chromosome:Prunus_persica_NCBIv2:G6:23668267:23672150:1 gene:PRUPE_6G235800 transcript:ONI03059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIMEVIKYLFLHLIMQDPIIKPLNEIDTGSLIDLLHEIPPWVKHPDYERIDWFNKALYDMWPYLDKAICGIIRSTAKPIFAEYIGQYQIKSIEFETLTLGSLPPTVYGMRVHESNENELVFEPAIRWAGNPHITVVLKLLSFRISAQLVDVQIFAAPRIILRPLVPSFPCFANITATLIDKPHVDFGLKIQGGDVMAIPGLYQFVQEFIRRQVASLYLWPQTLEIPILDGSLSGAIKKPVGILHVKVVRALKLLKMDIIGTSDPYVKIKLSGERLPSKKTTIKMRNLNPEWNENFKLTVKDPQSQVLQFHLYDWEQIGTHDFLGMQIVPLKVLAPLEKKELTLDLVKNTNPYDPQNKKGRGQLVVELTYNPFIDDNAKYSGPLDASVAGENIGRSRKASIDFSCLSSLEAAGLLSVTVQGAEGVGGKNHTNPNPYCLVSFGSETKITKMLRKTQRPSWNEEFQFVLEEASLKDKIHIEVKSKRRFGFRRYESLGYIEISFSDVVHNGRIRGKYNLINSKNGVLHIEIQWNST >ONI02827 pep chromosome:Prunus_persica_NCBIv2:G6:22891134:22898589:-1 gene:PRUPE_6G224000 transcript:ONI02827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKAKMAREKNLEKQKAAGKGSQLKTNEKAMSIQCKVCMQAFMCTTSEVKCREHAEAKHPKSDVYACFPHLKK >ONI02826 pep chromosome:Prunus_persica_NCBIv2:G6:22897347:22898492:-1 gene:PRUPE_6G224000 transcript:ONI02826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKAKMAREKNLEKQKAAGKGSQLKTNEKAMSIQCKVCMQAFMCTTSEVKCREHAEAKHPKSDVYACFPHLKK >ONI02825 pep chromosome:Prunus_persica_NCBIv2:G6:22896988:22898589:-1 gene:PRUPE_6G224000 transcript:ONI02825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKAKMAREKNLEKQKAAGKGSQLKTNEKAMSIQVCMQAFMCTTSEVKCREHAEAKHPKSDVYACFPHLKK >ONI04604 pep chromosome:Prunus_persica_NCBIv2:G6:28817183:28818460:1 gene:PRUPE_6G329600 transcript:ONI04604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDAVVFPQDPFSCATNKDLYSYWSSFDDDHHNFGFDNDQDHHDQLQHQASLDFLGYQTDHNPPNYGTNYYSSAPLNSMVPHFNELQLSNPNPDVSDTTSPTDDQPEFHQLPLDQTITMADSMPSTRAKRRRAKSRKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRSLMPDSYVQRELEQEVHFLGTQKPNNGVPFSQFFTFPQYSTRSSGDHESAAAAMAELPLMECKLSNIAADIEVTMVESHASLKVRAKRIPKQLLKIVSGLHGMHLTILHLNVVTADDIVLYSLSLKVEDECTLASVDEIATAVHQMLATIQEEAMLNLN >ONI04603 pep chromosome:Prunus_persica_NCBIv2:G6:28817059:28818795:1 gene:PRUPE_6G329600 transcript:ONI04603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDAVVFPQDPFSCATNKDLYSYWSSFDDDHHNFGFDNDQDHHDQLQHQASLDFLGYQTDHNPPNYGTNYYSSAPLNSMVPHFNELQLSNPNPDVSDTTSPTDDQPEFHQLPLDQTITMADSMPSTRAKRRRAKSRKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRSLMPDSYVQRGDQASIIGGAINFVKELEQEVHFLGTQKPNNGVPFSQFFTFPQYSTRSSGDHESAAAAMAELPLMECKLSNIAADIEVTMVESHASLKVRAKRIPKQLLKIVSGLHGMHLTILHLNVVTADDIVLYSLSLKVEDECTLASVDEIATAVHQMLATIQEEAMLNLN >ONI02623 pep chromosome:Prunus_persica_NCBIv2:G6:21954960:21959349:1 gene:PRUPE_6G211200 transcript:ONI02623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYDRDEYGQSVEEYDDYEDEGEGYEEEEDGEEYEEEAEEEDPKPTKEALEYLELRQRLKEQFRKQMKKEGGSSLANSSDKKKKLPYDNYGSFFGPSQPIISERVIQESKSLLETQHLASRVSSSLHSSKKSSGSTSAGSKPVAYNQKPRVINEAKNKVQKLKDTRDYSFLLSDDVELPASANDRPPRSVSVPNSEVRSSQMAPKSKLPMANNGRHAHGGRDERKPASMNGHSHGGRDERRPVSMNGPSNGGRDERRPVSMNGHAHGGRDERRPVSMNGQVHSKGGPNKLSSASRRPDSTSVDSRKQFGSNNGNGPSRPLGPKGSKMPASTAERKASAPGVKNSFSGVHKPLPSKLQSSIPKQHLQQRKEVREPNEPKVLPRQSAGLTKPQINKPQMQRQISSRPISQEHRPKRKPLRRHPDDEYDDEVDYRSMIRNMFKYNPDKFAGDDDCSDMEANFEDIMREEKRSARIARQEDEEQARLIEEEERREQMAKRNRLLKKRKLGHH >ONI02624 pep chromosome:Prunus_persica_NCBIv2:G6:21954960:21959349:1 gene:PRUPE_6G211200 transcript:ONI02624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEGGSSLANSSDKKKKLPYDNYGSFFGPSQPIISERVIQESKSLLETQHLASRVSSSLHSSKKSSGSTSAGSKPVAYNQKPRVINEAKNKVQKLKDTRDYSFLLSDDVELPASANDRPPRSVSVPNSEVRSSQMAPKSKLPMANNGRHAHGGRDERKPASMNGHSHGGRDERRPVSMNGPSNGGRDERRPVSMNGHAHGGRDERRPVSMNGQVHSKGGPNKLSSASRRPDSTSVDSRKQFGSNNGNGPSRPLGPKGSKMPASTAERKASAPGVKNSFSGVHKPLPSKLQSSIPKQHLQQRKEVREPNEPKVLPRQSAGLTKPQINKPQMQRQISSRPISQEHRPKRKPLRRHPDDEYDDEVDYRSMIRNMFKYNPDKFAGDDDCSDMEANFEDIMREEKRSARIARQEDEEQARLIEEEERREQMAKRNRLLKKRKLGHH >ONH99557 pep chromosome:Prunus_persica_NCBIv2:G6:2702609:2705277:-1 gene:PRUPE_6G035900 transcript:ONH99557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRNPGNGYRSNSMGMGMGMAPNSRISPETSLRGHSFYSSEHRNFNRGFGRPKSFQPPPAPPPPPPAPPRKGDIFMEAGRLAAEYLVSQGLLPPNALSAKWQNTSLKKQVGEFQEADHLQIASEGRTSALARLGNSVSDGGSRRGRFGVDEFSSNTRNHFKGKRRNGAGSYRGGYGSDWGRDYGRSGSFSDRHSRASSDREGDEGSVSGHHEEQKLSKDAGNNALQQNSSPSELRPKSEDAVDSESKLNKGQYPDDDMSSKPSSSGPWKDGPNETDEVGEEPAKVSDKEMKDQDCANNEETEKQGVSENLLMQLGAAEAEGEGDSSGKNGTDLLTLCKFAKVPTRIRSSLTHRGPKVDDPLPNTQEGTTSDAGVEHKERQALVKNGSVDVSLGTTLLDKTHYDNCLNPETSKAQSSHSAENLVESGPEYGSIEQDRYARSQSLPGRAFVNVQESTQGPVGLRSSSFVVKERGEKRVLEEHDQLEEAKKPRQWLPYMVTEADDCFGLSNLSEKKVNSLEENGSGEKLIIAVDQESSVMNESQSQKDGGEACIEFVPEKKPFQNSFKICDLNLMQVCDAHENRDTDPIIDYRANSGMKKKVAPVDVDLSMNNSNVSGENSRHTVDVKEIEVIDLENDSIQENKAFNDAERKPQTEFTPLEGFPNHAQNTSDIPDVQDGYGLMISELLGNDFPNCSSVPEDLNSMHNEIGLHNGEETLADDDAIYMALGEIPLSMPHF >ONH99552 pep chromosome:Prunus_persica_NCBIv2:G6:2699829:2705785:-1 gene:PRUPE_6G035900 transcript:ONH99552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRNPGNGYRSNSMGMGMGMAPNSRISPETSLRGHSFYSSEHRNFNRGFGRPKSFQPPPAPPPPPPAPPRKGDIFMEAGRLAAEYLVSQGLLPPNALSAKWQNTSLKKQVGEFQEADHLQIASEGRTSALARLGNSVSDGGSRRGRFGVDEFSSNTRNHFKGKRRNGAGSYRGGYGSDWGRDYGRSGSFSDRHSRASSDREGDEGSVSGHHEEQKLSKDAGNNALQQNSSPSELRPKSEDAVDSESKLNKGQYPDDDMSSKPSSSGPWKDGPNETDEVGEEPAKVSDKEMKDQDCANNEETEKQGVSENLLMQLGAAEAEGEGDSSGKNGTDLLTLCKFAKVPTRIRSSLTHRGPKVDDPLPNTQEGTTSDAGVEHKERQALVKNGSVDVSLGTTLLDKTHYDNCLNPETSKAQSSHSAENLVESGPEYGSIEQDRYARSQSLPGRAFVNVQESTQGPVGLRSSSFVVKERGEKRVLEEHDQLEEAKKPRQWLPYMVTEADDCFGLSNLSEKKVNSLEENGSGEKLIIAVDQESSVMNESQSQKDGGEACIEFVPEKKPFQNSFKICDLNLMQVCDAHENRDTDPIIDYRANSGMKKKVAPVDVDLSMNNSNVSGENSRHTVDVKEIEVIDLENDSIQENKAFNDAERKPQTEFTPLEGFPNHAQNTSDIPDVQDGYGLMISELLGNDFPNCSSVPEDLNSMHNEIGLHNGEETLADDDAIYMALGEIPLTFLRPWEQPTPQEYEKPF >ONH99558 pep chromosome:Prunus_persica_NCBIv2:G6:2702609:2705277:-1 gene:PRUPE_6G035900 transcript:ONH99558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRNPGNGYRSNSMGMGMGMAPNSRISPETSLRGHSFYSSEHRNFNRGFGRPKSFQPPPAPPPPPPAPPRKGDIFMEAGRLAAEYLVSQGLLPPNALSAKWQNTSLKKQVGEFQEADHLQIASEGRTSALARLGNSVSDGGSRRGRFGVDEFSSNTRNHFKGKRRNGAGSYRGGYGSDWGRDYGRSGSFSDRHSRASSDREGDEGSVSGHHEEQKLSKDAGNNALQQNSSPSELRPKSEDAVDSESKLNKGQYPDDDMSSKPSSSGPWKDGPNETDEVGEEPAKVSDKEMKDQDCANNEETEKQGVSENLLMQLGAAEAEGEGDSSGKNGTDLLTLCKFAKVPTRIRSSLTHRGPKVDDPLPNTQEGTTSDAGVEHKERQALVKNGSVDVSLGTTLLDKTHYDNCLNPETSKAQSSHSAENLVESGPEYGSIEQDRYARSQSLPGRAFVNVQESTQGPVGLRSSSFVVKERGEKRVLEEHDQLEEAKKPRQWLPYMVTEADDCFGLSNLSEKKVNSLEENGSGEKLIIAVDQESSVMNESQSQKDGGEACIEFVPEKKPFQNSFKICDLNLMQVCDAHENRDTDPIIDYRANSGMKKKVAPVDVDLSMNNSNVSGENSRHTVDVKEIEVIDLENDSIQENKAFNDAERKPQTEFTPLEGFPNHAQNTSDIPDVQDGYGLMISELLGNDFPNCSSVPEDLNSMHNEIGLHNGEETLADDDAIYMALGEIPLSMPHF >ONH99553 pep chromosome:Prunus_persica_NCBIv2:G6:2700565:2705785:-1 gene:PRUPE_6G035900 transcript:ONH99553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRNPGNGYRSNSMGMGMGMAPNSRISPETSLRGHSFYSSEHRNFNRGFGRPKSFQPPPAPPPPPPAPPRKGDIFMEAGRLAAEYLVSQGLLPPNALSAKWQNTSLKKQVGEFQEADHLQIASEGRTSALARLGNSVSDGGSRRGRFGVDEFSSNTRNHFKGKRRNGAGSYRGGYGSDWGRDYGRSGSFSDRHSRASSDREGDEGSVSGHHEEQKLSKDAGNNALQQNSSPSELRPKSEDAVDSESKLNKGQYPDDDMSSKPSSSGPWKDGPNETDEVGEEPAKVSDKEMKDQDCANNEETEKQGVSENLLMQLGAAEAEGEGDSSGKNGTDLLTLCKFAKVPTRIRSSLTHRGPKVDDPLPNTQEGTTSDAGVEHKERQALVKNGSVDVSLGTTLLDKTHYDNCLNPETSKAQSSHSAENLVESGPEYGSIEQDRYARSQSLPGRAFVNVQESTQGPVGLRSSSFVVKERGEKRVLEEHDQLEEAKKPRQWLPYMVTEADDCFGLSNLSEKKVNSLEENGSGEKLIIAVDQESSVMNESQSQKDGGEACIEFVPEKKPFQNSFKICDLNLMQVCDAHENRDTDPIIDYRANSGMKKKVAPVDVDLSMNNSNVSGENSRHTVDVKEIEVIDLENDSIQENKAFNDAERKPQTEFTPLEGFPNHAQNTSDIPDVQDGYGLMISELLGNDFPNCSSVPEDLNSMHNEIGLHNGEETLADDDAIYMALGEIPLTFLRPWEQPTPQEYEKPF >ONH99561 pep chromosome:Prunus_persica_NCBIv2:G6:2702609:2705277:-1 gene:PRUPE_6G035900 transcript:ONH99561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRNPGNGYRSNSMGMGMGMAPNSRISPETSLRGHSFYSSEHRNFNRGFGRPKSFQPPPAPPPPPPAPPRKGDIFMEAGRLAAEYLVSQGLLPPNALSAKWQNTSLKKQVGEFQEADHLQIASEGRTSALARLGNSVSDGGSRRGRFGVDEFSSNTRNHFKGKRRNGAGSYRGGYGSDWGRDYGRSGSFSDRHSRASSDREGDEGSVSGHHEEQKLSKDAGNNALQQNSSPSELRPKSEDAVDSESKLNKGQYPDDDMSSKPSSSGPWKDGPNETDEVGEEPAKVSDKEMKDQDCANNEETEKQGVSENLLMQLGAAEAEGEGDSSGKNGTDLLTLCKFAKVPTRIRSSLTHRGPKVDDPLPNTQEGTTSDAGVEHKERQALVKNGSVDVSLGTTLLDKTHYDNCLNPETSKAQSSHSAENLVESGPEYGSIEQDRYARSQSLPGRAFVNVQESTQGPVGLRSSSFVVKERGEKRVLEEHDQLEEAKKPRQWLPYMVTEADDCFGLSNLSEKKVNSLEENGSGEKLIIAVDQESSVMNESQSQKDGGEACIEFVPEKKPFQNSFKICDLNLMQVCDAHENRDTDPIIDYRANSGMKKKVAPVDVDLSMNNSNVSGENSRHTVDVKEIEVIDLENDSIQENKAFNDAERKPQTEFTPLEGFPNHAQNTSDIPDVQDGYGLMISELLGNDFPNCSSVPEDLNSMHNEIGLHNGEETLADDDAIYMALGEIPLSMPHF >ONH99556 pep chromosome:Prunus_persica_NCBIv2:G6:2698673:2705785:-1 gene:PRUPE_6G035900 transcript:ONH99556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRNPGNGYRSNSMGMGMGMAPNSRISPETSLRGHSFYSSEHRNFNRGFGRPKSFQPPPAPPPPPPAPPRKGDIFMEAGRLAAEYLVSQGLLPPNALSAKWQNTSLKKQVGEFQEADHLQIASEGRTSALARLGNSVSDGGSRRGRFGVDEFSSNTRNHFKGKRRNGAGSYRGGYGSDWGRDYGRSGSFSDRHSRASSDREGDEGSVSGHHEEQKLSKDAGNNALQQNSSPSELRPKSEDAVDSESKLNKGQYPDDDMSSKPSSSGPWKDGPNETDEVGEEPAKVSDKEMKDQDCANNEETEKQGVSENLLMQLGAAEAEGEGDSSGKNGTDLLTLCKFAKVPTRIRSSLTHRGPKVDDPLPNTQEGTTSDAGVEHKERQALVKNGSVDVSLGTTLLDKTHYDNCLNPETSKAQSSHSAENLVESGPEYGSIEQDRYARSQSLPGRAFVNVQESTQGPVGLRSSSFVVKERGEKRVLEEHDQLEEAKKPRQWLPYMVTEADDCFGLSNLSEKKVNSLEENGSGEKLIIAVDQESSVMNESQSQKDGGEACIEFVPEKKPFQNSFKICDLNLMQVCDAHENRDTDPIIDYRANSGMKKKVAPVDVDLSMNNSNVSGENSRHTVDVKEIEVIDLENDSIQENKAFNDAERKPQTEFTPLEGFPNHAQNTSDIPDVQDGYGLMISELLGNDFPNCSSVPEDLNSMHNEIGLHNGEETLADDDAIYMALGEIPLTFLRPWEQPTPQEYEKPF >ONH99554 pep chromosome:Prunus_persica_NCBIv2:G6:2700051:2705785:-1 gene:PRUPE_6G035900 transcript:ONH99554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRNPGNGYRSNSMGMGMGMAPNSRISPETSLRGHSFYSSEHRNFNRGFGRPKSFQPPPAPPPPPPAPPRKGDIFMEAGRLAAEYLVSQGLLPPNALSAKWQNTSLKKQVGEFQEADHLQIASEGRTSALARLGNSVSDGGSRRGRFGVDEFSSNTRNHFKGKRRNGAGSYRGGYGSDWGRDYGRSGSFSDRHSRASSDREGDEGSVSGHHEEQKLSKDAGNNALQQNSSPSELRPKSEDAVDSESKLNKGQYPDDDMSSKPSSSGPWKDGPNETDEVGEEPAKVSDKEMKDQDCANNEETEKQGVSENLLMQLGAAEAEGEGDSSGKNGTDLLTLCKFAKVPTRIRSSLTHRGPKVDDPLPNTQEGTTSDAGVEHKERQALVKNGSVDVSLGTTLLDKTHYDNCLNPETSKAQSSHSAENLVESGPEYGSIEQDRYARSQSLPGRAFVNVQESTQGPVGLRSSSFVVKERGEKRVLEEHDQLEEAKKPRQWLPYMVTEADDCFGLSNLSEKKVNSLEENGSGEKLIIAVDQESSVMNESQSQKDGGEACIEFVPEKKPFQNSFKICDLNLMQVCDAHENRDTDPIIDYRANSGMKKKVAPVDVDLSMNNSNVSGENSRHTVDVKEIEVIDLENDSIQENKAFNDAERKPQTEFTPLEGFPNHAQNTSDIPDVQDGYGLMISELLGNDFPNCSSVPEDLNSMHNEIGLHNGEETLADDDAIYMALGEIPLTFLRPWEQPTPQEYEKPF >ONH99555 pep chromosome:Prunus_persica_NCBIv2:G6:2700587:2705785:-1 gene:PRUPE_6G035900 transcript:ONH99555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRNPGNGYRSNSMGMGMGMAPNSRISPETSLRGHSFYSSEHRNFNRGFGRPKSFQPPPAPPPPPPAPPRKGDIFMEAGRLAAEYLVSQGLLPPNALSAKWQNTSLKKQVGEFQEADHLQIASEGRTSALARLGNSVSDGGSRRGRFGVDEFSSNTRNHFKGKRRNGAGSYRGGYGSDWGRDYGRSGSFSDRHSRASSDREGDEGSVSGHHEEQKLSKDAGNNALQQNSSPSELRPKSEDAVDSESKLNKGQYPDDDMSSKPSSSGPWKDGPNETDEVGEEPAKVSDKEMKDQDCANNEETEKQGVSENLLMQLGAAEAEGEGDSSGKNGTDLLTLCKFAKVPTRIRSSLTHRGPKVDDPLPNTQEGTTSDAGVEHKERQALVKNGSVDVSLGTTLLDKTHYDNCLNPETSKAQSSHSAENLVESGPEYGSIEQDRYARSQSLPGRAFVNVQESTQGPVGLRSSSFVVKERGEKRVLEEHDQLEEAKKPRQWLPYMVTEADDCFGLSNLSEKKVNSLEENGSGEKLIIAVDQESSVMNESQSQKDGGEACIEFVPEKKPFQNSFKICDLNLMQVCDAHENRDTDPIIDYRANSGMKKKVAPVDVDLSMNNSNVSGENSRHTVDVKEIEVIDLENDSIQENKAFNDAERKPQTEFTPLEGFPNHAQNTSDIPDVQDGYGLMISELLGNDFPNCSSVPEDLNSMHNEIGLHNGEETLADDDAIYMALGEIPLTFLRPWEQPTPQEYEKPF >ONH99560 pep chromosome:Prunus_persica_NCBIv2:G6:2702609:2705277:-1 gene:PRUPE_6G035900 transcript:ONH99560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRNPGNGYRSNSMGMGMGMAPNSRISPETSLRGHSFYSSEHRNFNRGFGRPKSFQPPPAPPPPPPAPPRKGDIFMEAGRLAAEYLVSQGLLPPNALSAKWQNTSLKKQVGEFQEADHLQIASEGRTSALARLGNSVSDGGSRRGRFGVDEFSSNTRNHFKGKRRNGAGSYRGGYGSDWGRDYGRSGSFSDRHSRASSDREGDEGSVSGHHEEQKLSKDAGNNALQQNSSPSELRPKSEDAVDSESKLNKGQYPDDDMSSKPSSSGPWKDGPNETDEVGEEPAKVSDKEMKDQDCANNEETEKQGVSENLLMQLGAAEAEGEGDSSGKNGTDLLTLCKFAKVPTRIRSSLTHRGPKVDDPLPNTQEGTTSDAGVEHKERQALVKNGSVDVSLGTTLLDKTHYDNCLNPETSKAQSSHSAENLVESGPEYGSIEQDRYARSQSLPGRAFVNVQESTQGPVGLRSSSFVVKERGEKRVLEEHDQLEEAKKPRQWLPYMVTEADDCFGLSNLSEKKVNSLEENGSGEKLIIAVDQESSVMNESQSQKDGGEACIEFVPEKKPFQNSFKICDLNLMQVCDAHENRDTDPIIDYRANSGMKKKVAPVDVDLSMNNSNVSGENSRHTVDVKEIEVIDLENDSIQENKAFNDAERKPQTEFTPLEGFPNHAQNTSDIPDVQDGYGLMISELLGNDFPNCSSVPEDLNSMHNEIGLHNGEETLADDDAIYMALGEIPLSMPHF >ONH99559 pep chromosome:Prunus_persica_NCBIv2:G6:2702609:2705277:-1 gene:PRUPE_6G035900 transcript:ONH99559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHRNPGNGYRSNSMGMGMGMAPNSRISPETSLRGHSFYSSEHRNFNRGFGRPKSFQPPPAPPPPPPAPPRKGDIFMEAGRLAAEYLVSQGLLPPNALSAKWQNTSLKKQVGEFQEADHLQIASEGRTSALARLGNSVSDGGSRRGRFGVDEFSSNTRNHFKGKRRNGAGSYRGGYGSDWGRDYGRSGSFSDRHSRASSDREGDEGSVSGHHEEQKLSKDAGNNALQQNSSPSELRPKSEDAVDSESKLNKGQYPDDDMSSKPSSSGPWKDGPNETDEVGEEPAKVSDKEMKDQDCANNEETEKQGVSENLLMQLGAAEAEGEGDSSGKNGTDLLTLCKFAKVPTRIRSSLTHRGPKVDDPLPNTQEGTTSDAGVEHKERQALVKNGSVDVSLGTTLLDKTHYDNCLNPETSKAQSSHSAENLVESGPEYGSIEQDRYARSQSLPGRAFVNVQESTQGPVGLRSSSFVVKERGEKRVLEEHDQLEEAKKPRQWLPYMVTEADDCFGLSNLSEKKVNSLEENGSGEKLIIAVDQESSVMNESQSQKDGGEACIEFVPEKKPFQNSFKICDLNLMQVCDAHENRDTDPIIDYRANSGMKKKVAPVDVDLSMNNSNVSGENSRHTVDVKEIEVIDLENDSIQENKAFNDAERKPQTEFTPLEGFPNHAQNTSDIPDVQDGYGLMISELLGNDFPNCSSVPEDLNSMHNEIGLHNGEETLADDDAIYMALGEIPLSMPHF >ONI00717 pep chromosome:Prunus_persica_NCBIv2:G6:7144892:7154065:1 gene:PRUPE_6G102600 transcript:ONI00717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLTALSASSPVESVLDKENFTLDELLDEEEIIQECKALNTRLINFLRDRAQVEQLLRYIVEEPPEDAESKRAFKFPFIACEVFTCEIDVIFKTLVDEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMIRKTVPLMNYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLAESNLLEMIVDKLSPSNSPEVHANAAETLSAITRNAPSALANKLSSPSFVARIFGHALEDSHSKSSLVNSLSVCISLLDPKRSAVSSPLFHSFRSQHMYESPIPVNPDTVSAMLPKLGDLLMLLNVSSDEKTLPTTYGELRPPLGKYRLKIVEFIAVLLRSGNEDAEKELVSSGTIQRIIDLFFEYPYNNSLHHHVDSIISSCLESKSDAIVDHLLRECDLIGKFLQTDKHPLLSGDTSKPTVPAAGKSAPRAGNLGHITRISNKLIQLGNSQSRVKACLQEHSEWSEWQTTVLQERNAVENVYRWACGRPTALQDRTRDSDDDDMNDRDYDVAALANNLSQAFRYKIYGNEDAGEDHGTLDRDDEDVYFDDESAEVVISSLRLGDDQGSSLFTNSNWFAFQDDRMSNAPVGTSEMEEVNLNGTANGGNSSSDDEVVVGEDDELAGSKDSVDGTSTSNKNLMNGFNGNSGNMNPDGLCPNGWDGVNHQICKSVVQV >ONI00715 pep chromosome:Prunus_persica_NCBIv2:G6:7144892:7154065:1 gene:PRUPE_6G102600 transcript:ONI00715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLTALSASSPVESVLDKENFTLDELLDEEEIIQECKALNTRLINFLRDRAQVEQLLRYIVEEPPEDAESKRAFKFPFIACEVFTCEIDVIFKTLVDEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMIRKTVPLMNYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLAESNLLEMIVDKLSPSNSPEVHANAAETLSAITRNAPSALANKLSSPSFVARIFGHALEDSHSKSSLVNSLSVCISLLDPKRSAVSSPLFHSFRSQHMYESPIPVNPDTVSAMLPKLGDLLMLLNVSSDEKTLPTTYGELRPPLGKYRLKIVEFIAVLLRSGNEDAEKELVSSGTIQRIIDLFFEYPYNNSLHHHVDSIISSCLESKSDAIVDHLLRECDLIGKFLQTDKHPLLSGDTSKPTVPAAGKSAPRAGNLGHITRISNKLIQLGNSQSRVKACLQEHSEWSEWQTTVLQERNAVENVYRWACGRPTALQDRTRDSDDDDMNDRDYDVAALANNLSQAFRYKIYGNEDAGEDHGTLDRDDEDVYFDDESAEVVISSLRLGDDQGSSLFTNSNWFAFQDDRMSNAPVGTSEMEEVNLNGTANGGNSSSDDEVVVGEDDELAGSKDSVDGTSTSNKNLMNGFNGNSGNMNPDGENASASHDMGGFFRFEATDNEDLFGDRPLPEWVGWSESSDLQVGGASINPFEDHDDSDVNPSSHAEVVVPDASVPSSGESALSNGSPSSTASTAGSPGSDGSQRSAAVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKKNIMPKVPEKDNSDDGGPANKEFNDANYWRVDQEVAVLE >ONI00716 pep chromosome:Prunus_persica_NCBIv2:G6:7144892:7154065:1 gene:PRUPE_6G102600 transcript:ONI00716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLTALSASSPVESVLDKENFTLDELLDEEEIIQECKALNTRLINFLRDRAQVEQLLRYIVEEPPEDAESKRAFKFPFIACEVFTCEIDVIFKTLVDEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMIRKTVPLMNYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLAESNLLEMIVDKLSPSNSPEVHANAAETLSAITRNAPSALANKLSSPSFVARIFGHALEDSHSKSSLVNSLSVCISLLDPKRSAVSSPLFHSFRSQHMYESPIPVNPDTVSAMLPKLGDLLMLLNVSSDEKTLPTTYGELRPPLGKYRLKIVEFIAVLLRSGNEDAEKELVSSGTIQRIIDLFFEYPYNNSLHHHVDSIISSCLESKSDAIVDHLLRECDLIGKFLQTDKHPLLSGDTSKPTVPAAGKSAPRAGNLGHITRISNKLIQLGNSQSRVKACLQEHSEWSEWQTTVLQERNAVENVYRWACGRPTALQDRTRDSDDDDMNDRDYDVAALANNLSQAFRYKIYGNEDAGEDHGTLDRDDEDVYFDDESAEVVISSLRLGDDQGSLFTNSNWFAFQDDRMSNAPVGTSEMEEVNLNGTANGGNSSSDDEVVVGEDDELAGSKDSVDGTSTSNKNLMNGFNGNSGNMNPDGENASASHDMGGFFRFEATDNEDLFGDRPLPEWVGWSESSDLQVGGASINPFEDHDDSDVNPSSHAEVVVPDASVPSSGESALSNGSPSSTASTAGSPGSDGSQRSAAVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKKNIMPKVPEKDNSDDGGPANKEFNDANYWRVDQEVAVLE >ONI00718 pep chromosome:Prunus_persica_NCBIv2:G6:7144892:7154065:1 gene:PRUPE_6G102600 transcript:ONI00718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKLTALSASSPVESVLDKENFTLDELLDEEEIIQECKALNTRLINFLRDRAQVEQLLRYIVEEPPEDAESKRAFKFPFIACEVFTCEIDVIFKTLVDEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMIRKTVPLMNYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLAESNLLEMIVDKLSPSNSPEVHANAAETLSAITRNAPSALANKLSSPSFVARIFGHALEDSHSKSSLVNSLSVCISLLDPKRSAVSSPLFHSFRSQHMYESPIPVNPDTVSAMLPKLGDLLMLLNVSSDEKTLPTTYGELRPPLGKYRLKIVEFIAVLLRSGNEDAEKELVSSGTIQRIIDLFFEYPYNNSLHHHVDSIISSCLESKSDAIVDHLLRECDLIGKFLQTDKHPLLSGDTSKPTVPAAGKSAPRAGNLGHITRISNKLIQLGNSQSRVKACLQEHSEWSEWQTTVLQERNAVENVYRWACGRPTALQDRTRDSDDDDMNDRDYDVAALANNLSQAFRYKIYGNEDAGEDHGTLDRDDEDVYFDDESAEVVISSLRLGDDQGSLFTNSNWFAFQDDRMSNAPVGTSEMEEVNLNGTANGGNSSSDDEVVVGEDDELAGSKDSVDGTSTSNKNLMNGFNGNSGNMNPDGLCPNGWDGVNHQICKSVVQV >ONI04815 pep chromosome:Prunus_persica_NCBIv2:G6:29444773:29448201:-1 gene:PRUPE_6G340800 transcript:ONI04815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTEETQLNRLENQVDNGGGGAWEYLCLVRKLKVRRSEKVLKHGLSILNDIKKRSSLGPDEWTLYEQVAIAAMDCQCLDVAKDCIRLLRKSFPESKRVGRLEAMLLEAKGAWAEAEKAYSSFLEENPLDQVIHKRRVAMAKAQGNISGAIEWLNKYLEIFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTVPLHHLAYADVLYTLGGLENLQTAKKYYASVIDLTGGKNIRALFGINLCTSAIGQLAKGRNKEDKESSELQSLAATALEKDYKQRAPDKLSLLTTALKSLKVSS >ONI04015 pep chromosome:Prunus_persica_NCBIv2:G6:27094569:27097703:-1 gene:PRUPE_6G296400 transcript:ONI04015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRNARESLVGGRNIPFGSQHRRGLSLNLAKESDEGSLDLFSKNRRTLSVTSSDESSDVSVKLGRLSIGSAKVGRTGIDDLLSSAEGGKHDYDWLLTPPETPLFPSSDGSESQPTLAAPRNSLSRSGSASKPSRLSVSQSESNHPSRPARSSSVTRSSTSASLYNNYSSNRNSNILNTSSASVSSYTRPSSPITRSPSTARPSTPTSRPSLSRSTTPSRPRTTSTSSSIEKPRSVQSSRPSTPSSTRPQIPANLNSHASRPNSRPSTPTRRSSLPSLSPASSPSPSAGRVLSNGRSSAPSSRPSSPSPRIRPPPQPVVPPDFPLDTPPNLRTTLPDRPISAGRSRPGAVVSMKGKPEPPAAVVVPRRQSSPIASRGRLTEPPGRGRVHPTGHLPDVPEPRKATLIPDLGMRKPVKTSTTTATESTGFGRNISKKSLDMAIRHMDIRNGTGNGRQLSGSTLFPQSIRSSSTPKPQSVRGLSVPASARTNGSLQTGSNGVISENGNIMNRPVDNGSEADSGRYSAKLSEADVYESSRYDAILLKEDLKSTNWLHSLDDKLDQGPIFDNGFEHLPEPFGLL >ONI04014 pep chromosome:Prunus_persica_NCBIv2:G6:27094442:27098269:-1 gene:PRUPE_6G296400 transcript:ONI04014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRNARESLVGGRNIPFGSQHRRGLSLNLAKESDEGSLDLFSKNRRTLSVTSSDESSDVSVKLGRLSIGSAKVGRTGIDDLLSSAEGGKHDYDWLLTPPETPLFPSSDGSESQPTLAAPRNSLSRSGSASKPSRLSVSQSESNHPSRPARSSSVTRSSTSASLYNNYSSNRNSNILNTSSASVSSYTRPSSPITRSPSTARPSTPTSRPSLSRSTTPSRPRTTSTSSSIEKPRSVQSSRPSTPSSTRPQIPANLNSHASRPNSRPSTPTRRSSLPSLSPASSPSPSAGRVLSNGRSSAPSSRPSSPSPRIRPPPQPVVPPDFPLDTPPNLRTTLPDRPISAGRSRPGAVVSMKGKPEPPAAVVVPRRQSSPIASRGRLTEPPGRGRVHPTGHLPDVPEPRKATLIPDLGMRKPVKTSTTTATESTGFGRNISKKSLDMAIRHMDIRNGTGNGRQLSGSTLFPQSIRSSSTPKPQSVRGLSVPASARTNGSLQTGSNGVISENGNIMNRPVDNGSEADSGRYSAKLSEADVYESSRYDAILLKEDLKSTNWLHSLDDKLDQGPIFDNGFEHLPEPFGLL >ONI04013 pep chromosome:Prunus_persica_NCBIv2:G6:27094450:27098269:-1 gene:PRUPE_6G296400 transcript:ONI04013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRNARESLVGGRNIPFGSQHRRGLSLNLAKESDEGSLDLFSKNRRTLSVTSSDESSDVSVKLGRLSIGSAKVGRTGIDDLLSSAEGGKHDYDWLLTPPETPLFPSSDGSESQPTLAAPRNSLSRSGSASKPSRLSVSQSESNHPSRPARSSSVTRSSTSASLYNNYSSNRNSNILNTSSASVSSYTRPSSPITRSPSTARPSTPTSRPSLSRSTTPSRPRTTSTSSSIEKPRSVQSSRPSTPSSTRPQIPANLNSHASRPNSRPSTPTRRSSLPSLSPASSPSPSAGRVLSNGRSSAPSSRPSSPSPRIRPPPQPVVPPDFPLDTPPNLRTTLPDRPISAGRSRPGAVVSMKGKPEPPAAVVVPRRQSSPIASRGRLTEPPGRGRVHPTGHLPDVPEPRKATLIPDLGMRKPVKTSTTTATESTGFGRNISKKSLDMAIRHMDIRNGTGNGRQLSGSTLFPQSIRSSSTPKPQSVRGLSVPASARTNGSLQTGSNGVISENGNIMNRPVDNGSEADSGRYSAKLSEADVYESSRYDAILLKEDLKSTNWLHSLDDKLDQGPIFDNGFEHLPEPFGLL >ONI02616 pep chromosome:Prunus_persica_NCBIv2:G6:21881984:21886834:1 gene:PRUPE_6G210500 transcript:ONI02616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGGEEEGQSNGSMVASSRSMDCISHNGSGLKERNYLGLSDCSSVDSSTVSSLSEEKKNKLNFQATELRLGLPGSQTPEREPDLCLLSSGKLDEKPLFPLLPSKDGICSSSQKNVVSGNKRGFADTMDGYSEVKSSVYTEGNWMFHAAGSDSESPESVGQGKFPVNSINVMLSSRPSGTQPTMTKEELTKVLQEQSHATNGATRNPLGASNNSSAPAAKAQVVGWPPIRSFRKNSLATTSKNNDEVNGKPGPGGLFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTIGQYGSHGAPGRERLSESKLRDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDSCKRLKIMKGSDAIGLAPRAMEKSKNRN >ONI02615 pep chromosome:Prunus_persica_NCBIv2:G6:21882747:21887163:1 gene:PRUPE_6G210500 transcript:ONI02615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGGEEEGQSNGSMVASSRSMDCISHNGSGLKERNYLGLSDCSSVDSSTVSSLSEEKKNKLNFQATELRLGLPGSQTPEREPDLCLLSSGKLDEKPLFPLLPSKDGICSSSQKNVVSGNKRGFADTMDGYSEVKSSVYTEGNWMFHAAGSDSESPESVGQGKFPVNSINVMLSSRPSGTQPTMTKEELTKVLQEQSHATNGATRNPLGASNNSSAPAAKAQVVGWPPIRSFRKNSLATTSKNNDEVNGKPGPGGLFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTIGQYGSHGAPGRERLSESKLRDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDSCKRLKIMKGSDAIGLAPRAMEKSKNRN >ONI02612 pep chromosome:Prunus_persica_NCBIv2:G6:21881652:21887163:1 gene:PRUPE_6G210500 transcript:ONI02612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGGEEEGQSNGSMVASSRSMDCISHNGSGLKERNYLGLSDCSSVDSSTVSSLSEEKKNKLNFQATELRLGLPGSQTPEREPDLCLLSSGKLDEKPLFPLLPSKDGICSSSQKNVVSGNKRGFADTMDGYSEVKSSVYTEGNWMFHAAGSDSESPESVGQGKFPVNSINVMLSSRPSGTQPTMTKEELTKVLQEQSHATNGATRNPLGASNNSSAPAAKAQVVGWPPIRSFRKNSLATTSKNNDEVNGKPGPGGLFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTIGQYGSHGAPGRERLSESKLRDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDSCKRLKIMKGSDAIGLAPRAMEKSKNRN >ONI02614 pep chromosome:Prunus_persica_NCBIv2:G6:21882471:21887163:1 gene:PRUPE_6G210500 transcript:ONI02614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGGEEEGQSNGSMVASSRSMDCISHNGSGLKERNYLGLSDCSSVDSSTVSSLSEEKKNKLNFQATELRLGLPGSQTPEREPDLCLLSSGKLDEKPLFPLLPSKDGICSSSQKNVVSGNKRGFADTMDGYSEVKSSVYTEGNWMFHAAGSDSESPESVGQGKFPVNSINVMLSSRPSGTQPTMTKEELTKVLQEQSHATNGATRNPLGASNNSSAPAAKAQVVGWPPIRSFRKNSLATTSKNNDEVNGKPGPGGLFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTIGQYGSHGAPGRERLSESKLRDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDSCKRLKIMKGSDAIGLAPRAMEKSKNRN >ONI02613 pep chromosome:Prunus_persica_NCBIv2:G6:21881526:21887147:1 gene:PRUPE_6G210500 transcript:ONI02613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLLGGEEEGQSNGSMVASSRSMDCISHNGSGLKERNYLGLSDCSSVDSSTVSSLSEEKKNKLNFQATELRLGLPGSQTPEREPDLCLLSSGKLDEKPLFPLLPSKDGICSSSQKNVVSGNKRGFADTMDGYSEVKSSVYTEGNWMFHAAGSDSESPESVGQGKFPVNSINVMLSSRPSGTQPTMTKEELTKVLQEQSHATNGATRNPLGASNNSSAPAAKAQVVGWPPIRSFRKNSLATTSKNNDEVNGKPGPGGLFVKVSMDGAPYLRKVDLRTYSTYQELSSALEKMFSCFTIGQYGSHGAPGRERLSESKLRDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDSCKRLKIMKGSDAIGLAPRAMEKSKNRN >ONI03580 pep chromosome:Prunus_persica_NCBIv2:G6:25504747:25506797:-1 gene:PRUPE_6G266900 transcript:ONI03580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKQPHVIIFPFPLQGHMKPLLCLAELLCHAGLHVTYVNTHHNHQRLANRQALSTHFPTLHFESISDGLPEDDPRTLNSQLLIALKTSIRPHFRELLKTISLKAESNDTLVPPPSCIMTDGLVTFAFDVAEELGLPILSFNVPCPRYLWTCLCLPKLIENGQLPFQDDDMNVEITGVPGMEGLLHRQDLPGFCRVKQADHPSLQFAINETQTLKRASALILDTVYELDAPCISHMALMFPKIYTLGPLHALLNSQIGDMSRGLASHGSLWKSDLNCMTWLDSQPSKSIIYVSFGTLVHLTRAQVIEFWYGLVNSGHPFLWVMRSDITSGDHQIPAELENGTKERGCIVDWVSQEEVLAHKSVGGFLTHSGWNSTLESIVAGLPMICWPKLGDHYIISSTVCRQWKIGLQLNENCDRSNIESMVQTLMGSKREEIQSSMDAISKLSRDSVAEGGSSHNNLEQLIEYIRNFRLLKFICLVRVG >ONI01162 pep chromosome:Prunus_persica_NCBIv2:G6:9531537:9533200:1 gene:PRUPE_6G125200 transcript:ONI01162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMMRLGVFLVILLMLVAAEAGTSHPKPKKVQCKNQDYPDCYCLELTCPSACPEQCEVDCVTCSPVCNCNKPGAVCQDPRFIGGDGITFYFHGKKDQDFCIVSDSNLHINAHFIGKRNQNMKRDFTWVQSLGILFGNHKLFIGAKTTSTWDDSNDRLSLSIDGEPINLPDSEGANWQSILSPGALSITRTKNTNSIEIEAEGNFKIKAVVVPITEKDSMIHKYGVTQEDCFAHLDLSFKFYALSGEVNGVLGQTYASNYVSRVKMGVVMPVLGGDKEFASSSIFASDCAVSRFSGEFVKNNSSDSFEYMNCASGTDGRGVVCKR >ONI02802 pep chromosome:Prunus_persica_NCBIv2:G6:22741053:22743282:-1 gene:PRUPE_6G222400 transcript:ONI02802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRYTGEILKHLEKQSELLKEAKISMSEELHQLKVEEEMLMRKFYEIMSAHGKVKKIPVKFQMMEKLETPLR >ONI02796 pep chromosome:Prunus_persica_NCBIv2:G6:22741127:22742902:-1 gene:PRUPE_6G222400 transcript:ONI02796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRYTGEILKHLEKQSELLKEAKISMSEELHQLKVEEEMLMRKFYEIMSAHGKVKKNEDPSKVSDDGEIGNSTAIVTTSSNEECR >ONI02799 pep chromosome:Prunus_persica_NCBIv2:G6:22741127:22743230:-1 gene:PRUPE_6G222400 transcript:ONI02799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRYTGEILKHLEKQSELLKEAKISMSEELHQLKVEEEMLMRKFYEIMSAHGKVKKNEDPSKVSDDGEIGNSTAIVTTSSNEECR >ONI02801 pep chromosome:Prunus_persica_NCBIv2:G6:22741470:22742591:-1 gene:PRUPE_6G222400 transcript:ONI02801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRYTGEILKHLEKQSELLKEAKISMSEELHQLKVEEEMLMRKFYEIMSAHGKVKKIPVKFQMMEKLETPLR >ONI02798 pep chromosome:Prunus_persica_NCBIv2:G6:22741057:22743282:-1 gene:PRUPE_6G222400 transcript:ONI02798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRYTGEILKHLEKQSELLKEAKISMSEELHQLKVEEEMLMRKFYEIMSAHGKVKKNEDPSKVSDDGEIGNSTAIVTTSSNEECR >ONI02797 pep chromosome:Prunus_persica_NCBIv2:G6:22741438:22742591:-1 gene:PRUPE_6G222400 transcript:ONI02797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRYTGEILKHLEKQSELLKEAKISMSEELHQLKVEEEMLMRKFYEIMSAHGKVKKNEDPSKVSDDGEIGNSTAIVTTSSNEECR >ONI02800 pep chromosome:Prunus_persica_NCBIv2:G6:22741127:22742863:-1 gene:PRUPE_6G222400 transcript:ONI02800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRYTGEILKHLEKQSELLKEAKISMSEELHQLKVEEEMLMRKFYEIMSAHGKVKKIPVKFQMMEKLETPLR >ONI03820 pep chromosome:Prunus_persica_NCBIv2:G6:26435795:26438550:-1 gene:PRUPE_6G284600 transcript:ONI03820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMENKFCPFQIMHGFNNLFMFMYALLFVQLLALTYQPVLGQQGDGVVVTKSDYQALRAFKRELIDFNGVLRSWNDSGYGACSGGWAGIKCVKGQVIAIQLPWKRLGGRISEKIGQLQALRKLSLHDNVLAGPVPWSLGFLRNLRGVYLFHNRLSGSIPPSIGNCPLLQTLDLSNNSLTGTIPSSLANSTKLFRLNLSFNSLSGTIPPSLTKSPSLTILALQHNNLSGSVPSTWGTGAGNRSYLLAILTLDHNLISGTIPSSLSKLGFLEEISVNNNQITGTIPNELGGLTRLQKLDLSNNAINGSFPSSFSNLSSLVSLNLEGNRLDNHIPEGLDRLQNLSVLNLRKNNFSGHIPASIGNISGIYQVDLSENKFSGEIPASLGSLANLTSFNVSHNNLSGPVPSLLSKKFNSSSFVGNLQLCGYSTSTPCSSPPPQILPSPPTRPLKKKHHHKLSTKDIILIAAGALLAVLLLLCCILLVCLVRKRSASKGKNDKTVKQAAAGSTDKAAPATTGVEYGGEAGGKLVHFDGPFVFTADDLLCATAEIMGKSTYGTAYKATLEEGNQVAVKRLREKTTKGQKEFETEAAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPNGSLASFLHARGPETIIDWPTRMNIAIGVTRGLCHLHNQENIIHGNLTSSNILLDEQTNGHIADFGLSRLMTAAANTNVIATAGTLGYNAPELSKAKKSTTKTDVYSLGVIILELLTGKSPGEPMNGMDLPQWVASIVKEEWTNEVFDLELMRDVPTIGDVLLNTLKLSLHCVDPSPAARPEAQQVLHQLEEIQQPEANVGSAEEGTEEVPPPSTTD >ONI03249 pep chromosome:Prunus_persica_NCBIv2:G6:24345960:24348116:-1 gene:PRUPE_6G246900 transcript:ONI03249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVRQQSFSEKKMTKQLTGKREDTPLHLAARQGNLGLVIEIISNCGEADLNEVLSKQNHSGETALYVAAECGYVDLVKEMMKYYDIGSAGIKARNGFDAFHIASKQGNLEVLTVLMEAIPELSMTVDHSNSTALHTAAAQGHIEVVNFLLETGCSLVSIAQRNGKTALHSAARKGHLEVVKALLSKETGIATRNDKKGQTALHMAVKGQNVELVNELTKADPSLINVVDNKGNTALHIATQKALVQIVRVLLSYKGVAETTINKSGETALDTAERSGHSLIAAILVEHGIESAKSIKPPTLNTNRELKQTVSEIKHEVHDQLKHTRQTRKQVHGMVKRLSKMHSEGLNNAINSTTVVAVLIATVAFAAIFTLPGQYPKPPEKAPPGNIALEEVPPGYSPGEANIAPRTEFVVFFIFDSFALFISLAVVVVQTSIVVIERKAKEKLMTVINKLMWMACVMVSVAFLALSCIIVGEEKWLAVGVTAIGTVIMGTTLGTMCYWMIAQRVEASKMRSSTRRSSMSSWSHSHSCSFSVMSDSELLNTQFKSKKVYAI >ONI03247 pep chromosome:Prunus_persica_NCBIv2:G6:24345738:24349239:-1 gene:PRUPE_6G246900 transcript:ONI03247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVRQQSFSEKKMTKQLTGKREDTPLHLAARQGNLGLVIEIISNCGEADLNEVLSKQNHSGETALYVAAECGYVDLVKEMMKYYDIGSAGIKARNGFDAFHIASKQGNLEVLTVLMEAIPELSMTVDHSNSTALHTAAAQGHIEVVNFLLETGCSLVSIAQRNGKTALHSAARKGHLEVVKALLSKETGIATRNDKKGQTALHMAVKGQNVELVNELTKADPSLINVVDNKGNTALHIATQKALVQIVRVLLSYKGVAETTINKSGETALDTAERSGHSLIAAILVEHGIESAKSIKPPTLNTNRELKQTVSEIKHEVHDQLKHTRQTRKQVHGMVKRLSKMHSEGLNNAINSTTVVAVLIATVAFAAIFTLPGQYPKPPEKAPPGNIALEEVPPGYSPGEANIAPRTEFVVFFIFDSFALFISLAVVVVQTSIVVIERKAKEKLMTVINKLMWMACVMVSVAFLALSCIIVGEEKWLAVGVTAIGTVIMGTTLGTMCYWMIAQRVEASKMRSSTRRSSMSSWSHSHSCSFSVMSDSELLNTQFKSKKVYAI >ONI03248 pep chromosome:Prunus_persica_NCBIv2:G6:24345645:24348533:-1 gene:PRUPE_6G246900 transcript:ONI03248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVRQQSFSEKKMTKQLTGKREDTPLHLAARQGNLGLVIEIISNCGEADLNEVLSKQNHSGETALYVAAECGYVDLVKEMMKYYDIGSAGIKARNGFDAFHIASKQGNLEVLTVLMEAIPELSMTVDHSNSTALHTAAAQGHIEVVNFLLETGCSLVSIAQRNGKTALHSAARKGHLEVVKALLSKETGIATRNDKKGQTALHMAVKGQNVELVNELTKADPSLINVVDNKGNTALHIATQKALVQIVRVLLSYKGVAETTINKSGETALDTAERSGHSLIAAILVEHGIESAKSIKPPTLNTNRELKQTVSEIKHEVHDQLKHTRQTRKQVHGMVKRLSKMHSEGLNNAINSTTVVAVLIATVAFAAIFTLPGQYPKPPEKAPPGNIALEEVPPGYSPGEANIAPRTEFVVFFIFDSFALFISLAVVVVQTSIVVIERKAKEKLMTVINKLMWMACVMVSVAFLALSCIIVGEEKWLAVGVTAIGTVIMGTTLGTMCYWMIAQRVEASKMRSSTRRSSMSSWSHSHSCSFSVMSDSELLNTQFKSKKVYAI >ONI03250 pep chromosome:Prunus_persica_NCBIv2:G6:24345738:24349239:-1 gene:PRUPE_6G246900 transcript:ONI03250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVRQQSFSEKKMTKQLTGKREDTPLHLAARQGNLGLVIEIISNCGEADLNEVLSKQNHSGETALYVAAECGYVDLVKEMMKYYDIGSAGIKARNGFDAFHIASKQGNLEVLTVLMEAIPELSMTVDHSNSTALHTAAAQGHIEVVNFLLETGCSLVSIAQRNGKTALHSAARKGHLEVVKALLSKETGIATRNDKKGQTALHMAVKGQNVELVNELTKADPSLINVVDNKGNTALHIATQKALVQIVRVLLSYKGVAETTINKSGETALDTAERSGHSLIAAILVEHGIESAKSIKPPTLNTNRELKQTVSEIKHEVHDQLKHTRQTRKQVHGMVKRLSKMHSEGLNNAINSTTVVAVLIATVAFAAIFTLPGQYPKPPEKAPPGNIALEEVPPGYSPGEANIAPRTEFVVFFIFDSFALFISLAVVVVQTSIVVIERKAKEKLMTVINKLMWMACVMVSVAFLALSCIIVGEEKWLAVGVTAIGTVIMGTTLGTMCYWMIAQRVEASKMRSSTRRSSMSSWSHSHSCSFSVMSDSELLNTQFKSKKVYAI >ONI03253 pep chromosome:Prunus_persica_NCBIv2:G6:24345960:24348116:-1 gene:PRUPE_6G246900 transcript:ONI03253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVRQQSFSEKKMTKQLTGKREDTPLHLAARQGNLGLVIEIISNCGEADLNEVLSKQNHSGETALYVAAECGYVDLVKEMMKYYDIGSAGIKARNGFDAFHIASKQGNLEVLTVLMEAIPELSMTVDHSNSTALHTAAAQGHIEVVNFLLETGCSLVSIAQRNGKTALHSAARKGHLEVVKALLSKETGIATRNDKKGQTALHMAVKGQNVELVNELTKADPSLINVVDNKGNTALHIATQKALVQIVRVLLSYKGVAETTINKSGETALDTAERSGHSLIAAILVEHGIESAKSIKPPTLNTNRELKQTVSEIKHEVHDQLKHTRQTRKQVHGMVKRLSKMHSEGLNNAINSTTVVAVLIATVAFAAIFTLPGQYPKPPEKAPPGNIALEEVPPGYSPGEANIAPRTEFVVFFIFDSFALFISLAVVVVQTSIVVIERKAKEKLMTVINKLMWMACVMVSVAFLALSCIIVGEEKWLAVGVTAIGTVIMGTTLGTMCYWMIAQRVEASKMRSSTRRSSMSSWSHSHSCSFSVMSDSELLNTQFKSKKVYAI >ONI03245 pep chromosome:Prunus_persica_NCBIv2:G6:24345738:24349239:-1 gene:PRUPE_6G246900 transcript:ONI03245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVRQQSFSEKKMTKQLTGKREDTPLHLAARQGNLGLVIEIISNCGEADLNEVLSKQNHSGETALYVAAECGYVDLVKEMMKYYDIGSAGIKARNGFDAFHIASKQGNLEVLTVLMEAIPELSMTVDHSNSTALHTAAAQGHIEVVNFLLETGCSLVSIAQRNGKTALHSAARKGHLEVVKALLSKETGIATRNDKKGQTALHMAVKGQNVELVNELTKADPSLINVVDNKGNTALHIATQKALVQIVRVLLSYKGVAETTINKSGETALDTAERSGHSLIAAILVEHGIESAKSIKPPTLNTNRELKQTVSEIKHEVHDQLKHTRQTRKQVHGMVKRLSKMHSEGLNNAINSTTVVAVLIATVAFAAIFTLPGQYPKPPEKAPPGNIALEEVPPGYSPGEANIAPRTEFVVFFIFDSFALFISLAVVVVQTSIVVIERKAKEKLMTVINKLMWMACVMVSVAFLALSCIIVGEEKWLAVGVTAIGTVIMGTTLGTMCYWMIAQRVEASKMRSSTRRSSMSSWSHSHSCSFSVMSDSELLNTQFKSKKVYAI >ONI03246 pep chromosome:Prunus_persica_NCBIv2:G6:24345960:24348116:-1 gene:PRUPE_6G246900 transcript:ONI03246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVRQQSFSEKKMTKQLTGKREDTPLHLAARQGNLGLVIEIISNCGEADLNEVLSKQNHSGETALYVAAECGYVDLVKEMMKYYDIGSAGIKARNGFDAFHIASKQGNLEVLTVLMEAIPELSMTVDHSNSTALHTAAAQGHIEVVNFLLETGCSLVSIAQRNGKTALHSAARKGHLEVVKALLSKETGIATRNDKKGQTALHMAVKGQNVELVNELTKADPSLINVVDNKGNTALHIATQKALVQIVRVLLSYKGVAETTINKSGETALDTAERSGHSLIAAILVEHGIESAKSIKPPTLNTNRELKQTVSEIKHEVHDQLKHTRQTRKQVHGMVKRLSKMHSEGLNNAINSTTVVAVLIATVAFAAIFTLPGQYPKPPEKAPPGNIALEEVPPGYSPGEANIAPRTEFVVFFIFDSFALFISLAVVVVQTSIVVIERKAKEKLMTVINKLMWMACVMVSVAFLALSCIIVGEEKWLAVGVTAIGTVIMGTTLGTMCYWMIAQRVEASKMRSSTRRSSMSSWSHSHSCSFSVMSDSELLNTQFKSKKVYAI >ONI03252 pep chromosome:Prunus_persica_NCBIv2:G6:24345960:24348116:-1 gene:PRUPE_6G246900 transcript:ONI03252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVRQQSFSEKKMTKQLTGKREDTPLHLAARQGNLGLVIEIISNCGEADLNEVLSKQNHSGETALYVAAECGYVDLVKEMMKYYDIGSAGIKARNGFDAFHIASKQGNLEVLTVLMEAIPELSMTVDHSNSTALHTAAAQGHIEVVNFLLETGCSLVSIAQRNGKTALHSAARKGHLEVVKALLSKETGIATRNDKKGQTALHMAVKGQNVELVNELTKADPSLINVVDNKGNTALHIATQKALVQIVRVLLSYKGVAETTINKSGETALDTAERSGHSLIAAILVEHGIESAKSIKPPTLNTNRELKQTVSEIKHEVHDQLKHTRQTRKQVHGMVKRLSKMHSEGLNNAINSTTVVAVLIATVAFAAIFTLPGQYPKPPEKAPPGNIALEEVPPGYSPGEANIAPRTEFVVFFIFDSFALFISLAVVVVQTSIVVIERKAKEKLMTVINKLMWMACVMVSVAFLALSCIIVGEEKWLAVGVTAIGTVIMGTTLGTMCYWMIAQRVEASKMRSSTRRSSMSSWSHSHSCSFSVMSDSELLNTQFKSKKVYAI >ONI03251 pep chromosome:Prunus_persica_NCBIv2:G6:24345738:24349252:-1 gene:PRUPE_6G246900 transcript:ONI03251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVRQQSFSEKKMTKQLTGKREDTPLHLAARQGNLGLVIEIISNCGEADLNEVLSKQNHSGETALYVAAECGYVDLVKEMMKYYDIGSAGIKARNGFDAFHIASKQGNLEVLTVLMEAIPELSMTVDHSNSTALHTAAAQGHIEVVNFLLETGCSLVSIAQRNGKTALHSAARKGHLEVVKALLSKETGIATRNDKKGQTALHMAVKGQNVELVNELTKADPSLINVVDNKGNTALHIATQKALVQIVRVLLSYKGVAETTINKSGETALDTAERSGHSLIAAILVEHGIESAKSIKPPTLNTNRELKQTVSEIKHEVHDQLKHTRQTRKQVHGMVKRLSKMHSEGLNNAINSTTVVAVLIATVAFAAIFTLPGQYPKPPEKAPPGNIALEEVPPGYSPGEANIAPRTEFVVFFIFDSFALFISLAVVVVQTSIVVIERKAKEKLMTVINKLMWMACVMVSVAFLALSCIIVGEEKWLAVGVTAIGTVIMGTTLGTMCYWMIAQRVEASKMRSSTRRSSMSSWSHSHSCSFSVMSDSELLNTQFKSKKVYAI >ONI04646 pep chromosome:Prunus_persica_NCBIv2:G6:28941419:28948549:1 gene:PRUPE_6G332400 transcript:ONI04646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNQNNNNSKLVQTNHHHHSNTNGFLPNSLKFISSCIKTASSGVRSAGASVAASISTDPHDCRDQVLWACFDRVELGPSSFKHVLLLGYSNGFQVLDVEDASNVNELASRRDDPVTFLQMQPLPAKCEGQEGFRSSHPLLMVVACDESKSSGMTQTGREGLVNGHTEPQTGNSPLSPTAVRFYSLKSCNYVHVLRFRSTVYMVRCSPQIVAVGLASQIYCFDAVTLENKFSVLTYPVPQLGVQGLVGVNIGYGPMAVGPRWLAYASNNPLLSNTGRLSPQSLTPPGVSPSTSPSSGSLMARYAMESSKQLATGLLNLGDMGYKTLSKYYQEFIPDGSSSPVSSNSSWKVGRVASHSTETDIAGMVVLKDFLSRAVVSQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSCSHNGSGTQSYDWTSSHVHLYKLHRGMTSAVIQDICFSQYSQWIAIVSSRGTCHIFALSPFGGDAILQIQNSHVNGPTLSPVPSAPWWSTPYFMTNQQPFSPPPAVTLSVVSRIKNNNSGWLNTVSNAASSAAGKASIPSGAVATVFHSSLPHDLQSSHAKVTALEHLLVYTPSGYAIQYKLLPSVGGEPGEAASRTGPGSSVQIQDEDLRVRVEPLQWWDVCRRNDWPEREECISGIMLGKQEYVETVMDSSECDDNDIGDKELVKPLERSHLYLSNAEVQINSGRIPIWQKSKIYFYTMNPLGASELNFTKDLTGGEMEIEKVPVHEVEIRRKDLLPVVHPFHRFQSEWSGRRAVGGYSSSSSDSHEAKENFQEKGGISDDKVAPTGSAENPDVGDSYPSIHQPGSGGTVINEGDLFWCHLTHLY >ONI00672 pep chromosome:Prunus_persica_NCBIv2:G6:7051670:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQNLHVMLLGRKCESEKCSLQLFFLNPFSSYKFVLHLKAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00689 pep chromosome:Prunus_persica_NCBIv2:G6:7051535:7057209:-1 gene:PRUPE_6G101300 transcript:ONI00689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00669 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057209:-1 gene:PRUPE_6G101300 transcript:ONI00669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00690 pep chromosome:Prunus_persica_NCBIv2:G6:7051535:7057673:-1 gene:PRUPE_6G101300 transcript:ONI00690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00681 pep chromosome:Prunus_persica_NCBIv2:G6:7051546:7057845:-1 gene:PRUPE_6G101300 transcript:ONI00681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00688 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057845:-1 gene:PRUPE_6G101300 transcript:ONI00688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00679 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057209:-1 gene:PRUPE_6G101300 transcript:ONI00679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00668 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057209:-1 gene:PRUPE_6G101300 transcript:ONI00668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00667 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057844:-1 gene:PRUPE_6G101300 transcript:ONI00667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00696 pep chromosome:Prunus_persica_NCBIv2:G6:7052328:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00686 pep chromosome:Prunus_persica_NCBIv2:G6:7051933:7057665:-1 gene:PRUPE_6G101300 transcript:ONI00686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00673 pep chromosome:Prunus_persica_NCBIv2:G6:7051670:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQNLHVMLLGRKCESEKCSLQLFFLNPFSSYKFVLHLKAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00670 pep chromosome:Prunus_persica_NCBIv2:G6:7051545:7057844:-1 gene:PRUPE_6G101300 transcript:ONI00670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00687 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057673:-1 gene:PRUPE_6G101300 transcript:ONI00687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00691 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057845:-1 gene:PRUPE_6G101300 transcript:ONI00691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00666 pep chromosome:Prunus_persica_NCBIv2:G6:7051670:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQNLHVMLLGRKCESEKCSLQLFFLNPFSSYKFVLHLKAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00682 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057669:-1 gene:PRUPE_6G101300 transcript:ONI00682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQNLHVMLLGRKCESEKCSLQLFFLNPFSSYKFVLHLKAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00697 pep chromosome:Prunus_persica_NCBIv2:G6:7051535:7057665:-1 gene:PRUPE_6G101300 transcript:ONI00697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00695 pep chromosome:Prunus_persica_NCBIv2:G6:7052328:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00683 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057670:-1 gene:PRUPE_6G101300 transcript:ONI00683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQNLHVMLLGRKCESEKCSLQLFFLNPFSSYKFVLHLKAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00698 pep chromosome:Prunus_persica_NCBIv2:G6:7052611:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPENLIYTQLSTNCQD >ONI00665 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057665:-1 gene:PRUPE_6G101300 transcript:ONI00665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00676 pep chromosome:Prunus_persica_NCBIv2:G6:7051670:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQNLHVMLLGRKCESEKCSLQLFFLNPFSSYKFVLHLKAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00674 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057673:-1 gene:PRUPE_6G101300 transcript:ONI00674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQNLHVMLLGRKCESEKCSLQLFFLNPFSSYKFVLHLKAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00694 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057629:-1 gene:PRUPE_6G101300 transcript:ONI00694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00680 pep chromosome:Prunus_persica_NCBIv2:G6:7051670:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00671 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7056429:-1 gene:PRUPE_6G101300 transcript:ONI00671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQNLHVMLLGRKCESEKCSLQLFFLNPFSSYKFVLHLKAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00684 pep chromosome:Prunus_persica_NCBIv2:G6:7051670:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQNLHVMLLGRKCESEKCSLQLFFLNPFSSYKFVLHLKAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00692 pep chromosome:Prunus_persica_NCBIv2:G6:7052328:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00675 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057665:-1 gene:PRUPE_6G101300 transcript:ONI00675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00685 pep chromosome:Prunus_persica_NCBIv2:G6:7051670:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQNLHVMLLGRKCESEKCSLQLFFLNPFSSYKFVLHLKAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00677 pep chromosome:Prunus_persica_NCBIv2:G6:7051546:7057845:-1 gene:PRUPE_6G101300 transcript:ONI00677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI00699 pep chromosome:Prunus_persica_NCBIv2:G6:7052611:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPENLIYTQLSTNCQD >ONI00693 pep chromosome:Prunus_persica_NCBIv2:G6:7052328:7056240:-1 gene:PRUPE_6G101300 transcript:ONI00693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELIKEIFREK >ONI00678 pep chromosome:Prunus_persica_NCBIv2:G6:7051547:7057844:-1 gene:PRUPE_6G101300 transcript:ONI00678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFWWQQLPLLLLIQLLEPSRTGYKIYAEALQTFKSRVGGPQLFNGQIGRCPCLRQWKIVNCHKDRRFSGICSVPRRANTVNLSPFSPRLVVAHSSIQLQKELHKPRNRRIAAIVDGDNGAPTLTPVQEARGNNPRKQKVAALIGGVAAALLVVIIVVLVYICLMRVKRFIRRTSETGSSAPSPSVEWERGNMSPYAVALSLYNTQNLRQLTILELEQATCNFNEINIIGQCRFGLVYKGLLQDGSIVAIKRRLHAPTQYFFHEVKRIAHVNHVHVLRLIGYCQDASQQLLVYEYLPNGNVGNHLYDAEGLPIGKLGIRQRLSIALGAAKGLAHLHNLVPPLLHMYFRTSNVLLDENFTAKVSDYGLTKLLVKGHHAGSSSTIDYFRDPELDLSKKFSEKSDVYSFGVFLLELISGREANGRIQLSSGDNLILQAKGCNDLGRFVDKTLGDNSMQAAKQMMELALMCIDASQQRLQMQIVVEELERIHRASEISHFHVKVDEEIGAVTLGSELFK >ONI02947 pep chromosome:Prunus_persica_NCBIv2:G6:23345531:23350120:-1 gene:PRUPE_6G230500 transcript:ONI02947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSTLQQSYLNRRSNSFRGSGPLDSSSDGVIKSPATVFWLVLHGLCCLISLVLGFRFSRLVFFFLFSTSSSNLYPVPFRSASELAGTLDLPANPITNLEFTLNRNTTTASSSSRVVVGRHGIRIRPWPHPNPTETMKAHRIIETVQREQRRQFGVNNPKTVIAVTPTYARTFQALHLTGVMHSLMLVPYDVVWIVVEAGGVTNETTSIVSKSGLRIIHVGFDQRMPNTWEGRHLLEARMRLHALRIVREQKLDGIVMFADDSNMHSMELFDEMQNVKWFGAVSVGIVAHSENAYDLSDSTIHKKEDGENPSMPVQGPACNSSNKLVGWHTFNSLPYVGKSANYIDDRAPVLPRKLEWAGFVLNSRLLWNRAEDKPEWVKDLDSIGGADEDIGSPLFLLKDLSMVEPLGSCGRQVLIWWLRVEARFDSKFPPRWTIDPPLEITVPSKRTPWPDAPPELPSDGKVETGVEERIVKHSTKIRTTRVKKSSRSKRKRETKMIDMQATARHTEQN >ONI02740 pep chromosome:Prunus_persica_NCBIv2:G6:22557440:22562665:1 gene:PRUPE_6G219400 transcript:ONI02740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEPGRISACVLWLLFFSFPFLSSVEPSSELSLVAAESARLQLSHGMPVKNSPGSKPGTLVLCERVHIRGLSRLKYLGKFANTAKLKISATNSSIRIPAIEVCLHRNTSLGIGMCPHSQWEKVAKVSWSGSMSPFEHKLLDIRTAGSSLESFEVSIEEEFFRYRLIFLILGIIIMSLASLLSKSLVFYYSSGMAIGVVLVILIVLFQGMKLLPTGRKNSLAIFVYSSLVGLGSFLLRYIPGLLRSILTEIGVSEDMYNPLAIFLLAFVFLAGAWLGFWAVRKLVLTEDGSIDIMTSQFVYWSIQIVGALMTFQSSADHLLAAEATVFGFLVSVILKKIFRWRFLRRVYRYLSFPFWSVVMAFHYYDLPSFPSSISTM >ONI02741 pep chromosome:Prunus_persica_NCBIv2:G6:22557518:22560674:1 gene:PRUPE_6G219400 transcript:ONI02741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEPGRISACVLWLLFFSFPFLSSVEPSSELSLVAAESARLQLSHGMPVKNSPGSKPGTLVLCERVHIRGLSRLKYLGKFANTAKLKISATNSSIRIPAIEVCLHRNTSLGIGMCPHSQWEKVAKVSWSGSMSPFEHKLLDIRTAGSSLESFEVSIEEEFFRYRLIFLILGIIIMSLASLLSKSLVFYYSSGMAIGVVLVILIVLFQGMKLLPTGRKNSLAIFVYSSLVGLGSFLLRYIPGLLRSILTEIGVSEDMYNPVRCAYNSALLHYSYHLGF >ONI02739 pep chromosome:Prunus_persica_NCBIv2:G6:22557440:22562665:1 gene:PRUPE_6G219400 transcript:ONI02739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEPGRISACVLWLLFFSFPFLSSVEPSSELSLVAAESARLQLSHGMPVKNSPGSKPGTLVLCERVHIRGLSRLKYLGKFANTAKLKISATNSSIRIPAIEVCLHRNTSLGIGMCPHSQWEKVAKVSWSGSMSPFEHKLLDIRTAGSSLESFEVSIEEEFFRYRLIFLILGIIIMSLASLLSKSLVFYYSSGMAIGVVLVILIVLFQGMKLLPTGRKNSLAIFVYSSLVGLGSFLLRYIPGLLRSILTEIGVSEDMYNPLAIFLLAFVFLAGAWLGFWAVRKLVLTEDGSIDIMTSQFVYWSIQIVGALMTFQSSADHLLAAEATVFGFLVSVILKKIFRWRFLRRVYRYLSFPFWSVVMAFHYYDLPSFPSSISTMKLSKSPRKNSRRLEIPDSPPSPPSPLSDSGLFASTFHTTPERRKFSKEEWEMFTAETTNNALQELASSPDFHRWCSSNVDRISVAPRSTRKVADQPRRWWLL >ONH99074 pep chromosome:Prunus_persica_NCBIv2:G6:724000:727959:1 gene:PRUPE_6G009200 transcript:ONH99074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTERSSNAQRGFRVQAPLVDSVSCYCKVDASLKTVAGARKFVPGSKLCIQPDINPNAHRGKNLRREKTRIQPPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLAGNFFYSLRKSLGMAEEWVYVIKRERDGRISWHAFDPTYQLWQPLPPVPGEYSAALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECDGIQRTLRSAEIYDPNKNRWSFISDMSTAMVPFIGVVHEGMWFLKGLGTQREVMSEAYSPEANTWTPISEGMVADWRNPSISLNGQLYGLGCPDGCKLKVYDRVTDSWNKFIDSKLHLGRSFAFKAAALVPLNGKLCIIRNNMSISLVDISSPDKPVEGNPHLWENIAGKGHFRTLVSNLWSTIAARTGLKSHIVHCQVLQA >ONH99073 pep chromosome:Prunus_persica_NCBIv2:G6:724000:727959:1 gene:PRUPE_6G009200 transcript:ONH99073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTERSSNAQRGFRVQAPLVDSVSCYCKVDASLKTVAGARKFVPGSKLCIQPDINPNAHRGKNLRREKTRIQPPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLAGNFFYSLRKSLGMAEEWVYVIKRERDGRISWHAFDPTYQLWQPLPPVPGEYSAALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECDGIQRTLRSAEIYDPNKNRWSFISDMSTAMVPFIGVVHEGMWFLKGLGTQREVMSEAYSPEANTWTPISEGMVADWRNPSISLNGQLYGLGCPDGCKLKVYDRVTDSWNKFIDSKLHLGRSFAFKAAALVPLNGKLCIIRNNMSISLVDISSPDKPVEGNPHLWENIAGKGHFRTLVSNLWSTIAARTGLKSHIVHCQVLQA >ONI00907 pep chromosome:Prunus_persica_NCBIv2:G6:7954024:7956242:1 gene:PRUPE_6G110900 transcript:ONI00907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMSSSKASNGSRKNSELLPFAVHVVQGRWFSVFASFLIMAGAGATYLFGVYSKQIKSSLGYDQTTLNLLGFFKDLGANVGVLSGLIGEVTPTWFVLLIGSAMNFAGYFMIWLAVTAKIPKPKVWHMCLYICIGANSQNFANTGALVTCVKNFPASRGVMLGLLKGFTGLSGAIFTQIYLAVYGNNSKSMILLIAWLPAALSVVFVYTIRPMKLVRQPNELRVFYHFLYVSIALALFLMAMIIVQKQVSFSQAAYAGSVTVVCVFLFVPLGIAIREELLLWNLKKQPGDPPTELTIEKAPQTIEAKEDALPLNSSKEQKQDTKISCFADIFNKPERGEDYTILQALLSVDMLILFIATLCGLGSSLTAVDNLGQIGESLGYPTKTISSFVSLVSIWNYFGRVFSGFVSESLLVKWKVPRPLMMTFVLLLSCAGHLLIAFPAPGSVYVASVIIGFSFGAQLPLLFAIISELFGLKYYSTLFNCGQLASPLGSYILNVKVTGMLYDREALKELAKKGMARSSVKELICLGSQCYRLAFTILASITFFGALVSLVLVIRTREFYKGDIYKKFTEEAEDA >ONI02143 pep chromosome:Prunus_persica_NCBIv2:G6:18492728:18494773:1 gene:PRUPE_6G179600 transcript:ONI02143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVGLTGGIASGKSTVSNLFKAHDFPVVEADLVARDVLKKGKTGWKKVVSAFGDDILQPDGEVDRPKLGQIIFSNPEKRKLLNRVLAPYIWFGIFWEVLKLWMEGFKIIVVDVPLLFEAKMEKWTKPIVLVWVDSETQLQRLMLRDSTSEDDAQNRINAQMSLDLKKTKADLVIDNTGSLKDLREQFRSILVIITKPLTWTEFGFSRRGVALFLLSIVVGVLMYFQRSLYTSSL >ONI02142 pep chromosome:Prunus_persica_NCBIv2:G6:18492861:18494773:1 gene:PRUPE_6G179600 transcript:ONI02142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKRMRIVGLTGGIASGKSTVSNLFKAHDFPVVEADLVARDVLKKGKTGWKKVVSAFGDDILQPDGEVDRPKLGQIIFSNPEKRKLLNRVLAPYIWFGIFWEVLKLWMEGFKIIVVDVPLLFEAKMEKWTKPIVLVWVDSETQLQRLMLRDSTSEDDAQNRINAQMSLDLKKTKADLVIDNTGSLKDLREQFRSILVIITKPLTWTEFGFSRRGVALFLLSIVVGVLMYFQRSLYTSSL >ONH99992 pep chromosome:Prunus_persica_NCBIv2:G6:4237915:4240751:-1 gene:PRUPE_6G061200 transcript:ONH99992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCSNPYNTLTICAKEPTPFLNFGTKKKISNWLNLKRVKNWVLCVIQRSFNAIMHGVLRLQDRLLKVPELILDNCTDNRWRWFKKHLGALDGTYIRVRVPKQDKPRYRTQKGVVTTNVLGVCVNVCILRSASNSRVL >ONI04885 pep chromosome:Prunus_persica_NCBIv2:G6:29667179:29668553:1 gene:PRUPE_6G346000 transcript:ONI04885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTEKVRVSCDLLCVCLITHICRYVKEERLLRPHNSIGFVGAFVGDQRHERDERERRRDMRISIKQNKRSSTNAILVLRGLTPRWPPWIHDRIRSRAYS >ONI04886 pep chromosome:Prunus_persica_NCBIv2:G6:29667179:29669776:1 gene:PRUPE_6G346000 transcript:ONI04886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTEKVRVSCDLLCVCLITHICRYVKEERLLRPHNSIGFVGAFVGDQRHERDERERRRDMRISIKQNKRSSTNAILVLRGLTPRWPPWIHDRIRSRAYS >ONH99091 pep chromosome:Prunus_persica_NCBIv2:G6:799060:801808:-1 gene:PRUPE_6G010900 transcript:ONH99091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRQIQLLIQRSSSAAHLLQLHSLVLKTGLDHNPNFVSHYIYAACSISVEFSKLVFDHIPIKPPLFAWNSIIRAFTNSSNPLESLNFFFQLHRIGLKPDNFTYPFVLKACGRCSIVGVGGPVHSLILKVGFDSDRYIRNTLLRMYAACGAIRLARRVFDEMTVRDVVSWSSMIAGYVACNCPLDAFKVFQDMKLANENPNSVTLVSLLSACTRLLNFRAGESIHCYIVVNCIGIDVALGTALLEMYSKCGHVEKAFQVFNSMSEKNLQSWTIMISGLPDHGHGKDAISLFTRMEQTGLVPDSMSFSAILSACSHLGLVHKGRQFFNQMVRTYGIQPTLEHYGCLVDLLGRAGLIEEAYEIIKNMPMEPNSVILRSFLCACRNHGVAITLDDKLRKLLISEPNLGANYVLAASVSSLSGCWNDAADLRVAMKQNGLVKVPGCSWVEVGGGGSTAATINEPLV >ONI03011 pep chromosome:Prunus_persica_NCBIv2:G6:23534361:23536263:-1 gene:PRUPE_6G233200 transcript:ONI03011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAHTERAVEDKHSQPHAIMVPMPLQGHLLPHTHLAMKLASNGFTITFVNTQHIHHQISKSQPNDTEDQDDIFATARKSGLDIRYKTVSDGFPLAFNRFQNHNQAVEGLLYVFPAHVDELVGNLVQSDPSVTCLIVDTFHPWSATIANKYNLVNISFWTEPALVLSIYYHLDLLRERGHYGSHDNREDTVDYIPGVQAIEPKDLMSHLQEADISTPMHRALHKAFHEVQRADFILCNTVQELESNTLSALQEKQHTYAIGPVSPNNPTKSIVATNLMSEFDCTEWLNTKPNGSVLFVSFGSYVLVTKNDIEEIAHGLLLSKVSFIWVLRPNTTSYDETYILPVGFENEIKDRGLMVPWCSQIEVLSHPATGGFLTHCGWNSILESMWCGVPMLCFPLWTDQVTNRKLVVDDWGIGLNLCDRVKPITRVEVAEKINRLMSGKLGDGLQKQSKKVKQTLEDALAVNGSSQKNLSQFIGDVKAEIQKRV >ONI03558 pep chromosome:Prunus_persica_NCBIv2:G6:25373275:25375045:-1 gene:PRUPE_6G264700 transcript:ONI03558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPMKFICILGLVAVICTSCLERVDGASACGKASPDDEAIKLAPCASAAQDANAPVSSGCCQQVKRLGQNPSCLCAVLLSDTAKNSGVKAEVAITIPKRCNFANRPVGYKCGPYTLP >ONI01690 pep chromosome:Prunus_persica_NCBIv2:G6:13397315:13405219:-1 gene:PRUPE_6G153900 transcript:ONI01690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRGETGLTDSSTKPEVVSVEEALETVLRVVQRLPPVTVPLHDALGKVLAEDIRARDPLPPYPASVKDGYAVVASDGPGEYPVIAEARAGDDGLGITVTPGTVAYVSTGGQIPDGADAVVQVEDTEQIEGLSLESRKVRILAQTSKGVDIRPVGCDIEKDDIVLISGQRIGASEIGLLATVGATVVKVYPAPTIGVLSTGDELVEPTTGCLSRGQIRDSNRAMILAASVQHHCKVLDLGIARDDEEVLENIMNTVISSGVDILLTSGGVSMGDKDYVKLLFRKRGTVHFSKVWMKPGKPLNFAEINSEPAESMTMKKIFAFGLPGNPVSSLVCFHLFVVPTIRRLAGWANPHLLRVHARLLQPIKTDPTRPEFHRAIIRWELNDGFGNPGFVAESTGHQRSSRILSMKSANALLELPATGSVIAAGTSVPAIIISDISSTAIFESSSSPGSASPLQRFKMQETTVAEWQNAEFRVAILTVSDTVASGAGPDRSGPRGVSVVNSCSERLGGARVVSTAVVPDDVSQIKDALSRWSDVDKMDLILTLGGTGFTPRDVTPEATKQLIEKETPGLVHVMMQASLKVTPTAMLSRSAAGIRGSTLHVVSIKADVGS >ONI01689 pep chromosome:Prunus_persica_NCBIv2:G6:13396493:13405318:-1 gene:PRUPE_6G153900 transcript:ONI01689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRGETGLTDSSTKPEVVSVEEALETVLRVVQRLPPVTVPLHDALGKVLAEDIRARDPLPPYPASVKDGYAVVASDGPGEYPVIAEARAGDDGLGITVTPGTVAYVSTGGQIPDGADAVVQVEDTEQIEGLSLESRKVRILAQTSKGVDIRPVGCDIEKDDIVLISGQRIGASEIGLLATVGATVVKVYPAPTIGVLSTGDELVEPTTGCLSRGQIRDSNRAMILAASVQHHCKVLDLGIARDDEEVLENIMNTVISSGVDILLTSGGVSMGDKDYVKLLFRKRGTVHFSKVWMKPGKPLNFAEINSEPAESMTMKKIFAFGLPGNPVSSLVCFHLFVVPTIRRLAGWANPHLLRVHARLLQPIKTDPTRPEFHRAIIRWELNDGFGNPGFVAESTGHQRSSRILSMKSANALLELPATGSVIAAGTSVPAIIISDISSTAIFESSSSPGSASPLQRFKMQETTVAEWQNAEFRVAILTVSDTVASGAGPDRSGPRGVSVVNSCSERLGGARVVSTAVVPDDVSQIKDALSRWSDVDKMDLILTLGGTGFTPRDVTPEATKQLIEKETPGLVHVMMQASLKVTPTAMLSRSAAGIRGSTLIINMPGNPNAVAECMEALLPALKHGLKQIKGGKREKHPRHVPHAQSASMDVWEQSHRLASATGREHGCSCCQ >ONI03559 pep chromosome:Prunus_persica_NCBIv2:G6:25375941:25379620:-1 gene:PRUPE_6G264800 transcript:ONI03559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAFTHQEERERTQQLQNQQLKLCLPFVRFRGFNNHNPLSIFGFWASKFLRNLHCRARLSNSCCLGRLQYNGMVVNNSAFCESPGFIEEGKAAMEGLVERNEGIIEGKREDSGECLGQVGTFGDLIEEKGRDSSSSSEFLTSETTGHEEQSHSSSDEETSSAPSLGWPIQKAEASDCTSQNGSEAAERTHLDDRNLEKQGSTISETELMKERFSKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQLWRLEPLPQEKKLMWRREMEWLLCVSDHIVELIPSWQTFPDGSKLEVMTCRPRSDLYVNLPALRKLDNMLLEILDSFVNTEFWYVDQGILAPDADGSSSFRRAFQRQEEKWWLPVPRVPPGGLHENTKRQLQHKRDCTSQILKAAMAINSITLADMEVPESYLEALPKNGRASLGDLIYRYISSDQFSPECLLDCLDLSSEHQAIEIANRVEASIYLWRKKANSKPTNNATRFSSRSSWEMVKELMVDAEKKELLPERAESLLMCLRQRFPGLPQTALDMSKIQYNKDVGKSILESYSRVLESLAFNIVARIDDLLYVDDLTRHSDQFSSISKVSIISHKSTPNPYSVPISSTPYKTAFTTPSFSPGQLVSPLRGDRSPFITSGKIPQRGLGVKKVLTDYLSIDAKGKDYSDPIEKPDSVSNTMQETLGSQMGMDSYQCTKASTSPASRDSITQE >ONH99767 pep chromosome:Prunus_persica_NCBIv2:G6:3503192:3505121:-1 gene:PRUPE_6G049300 transcript:ONH99767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKDQPTSVCHVVAVPYPSRGHINPMMNLCKLLAPHKADILITFVVTEEWHGFIGSEAKPENVRFATVPNVIPSELVRAADMDGFIEAIMTNMEAPFERLLGRLEQPPVLIVADTFLPWAIRVENRRNVPAASFWPMPTSVFSVFQHFNLLAENGHFPVDLLERGNERVDYIPGVSSTRLVDLPHFMDGSFPNILRHIHEDFSWVPKAQYLLFPSIYELETQVLDVLRAKFSLPWLDSQPCSSVLYISMGSFLSVSSAQMDEIAAGLRESGVRFIWVARGETGRLKEVCGDMGLVVPWCDQLRVLCHSSVGGFLTHCGWNSVREGVFAGVAFLAFPLLMDQGMVSNLIVEDWKVGLRVKKAEVKIDHLVTREEIAGLVKKFMDLEDEEGKEMRRRARELKKICHGAIAEGGSSETNINAFIRSISQGHEH >ONH98986 pep chromosome:Prunus_persica_NCBIv2:G6:355503:372224:1 gene:PRUPE_6G003300 transcript:ONH98986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDERHVLLACVISGTLFSVLGSASFSILWLVNWRPWRIYSWIFARKWPDIFHGPQLDIVCGFLSLSAWILVISPVLVLIIWGSWLVIILDRHIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTAGSKASQRYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRKAYKFAYSDCIEVGPVACLPEPPDPNELYPRQSSRASHLGLLYLGSLVVLLVYSILYGLTAKESRWLGAITSSAVIILDWNMGACLYGFQLLQSRVAALFVAGTSRIFLICFGVHYWYLGHCISYAVVASVLLGASVSRHLSVTNPLAARRDALQSTVIRLREGFRKKEQNSSSSSSDGCGSSMKRSSSVEVGCLGNVVEASNRSTAQCTVDANNWTNVLLRTASSHEGINSDKSIDSGRPSLALRSSSCRSVIQEPEVGTSCTDKNFDHNNTLAVCSSSGLESQGCESSASNSANQQTLDLNLAFALQERLNDPRITSMLKKRARQGDLELVNLLQDKGLDPNFAMMLKEKSLDPTILALLQRSSLDADRDHRDNTDITIVDSNSVDNALPNQISLSEELRLHGLEKWLQLSRLLLHHVVGTPERAWVLFSFVFILETIAVAIFRPKTIKIINATHQQFEFGFAVLLLSPVVCSIMAFLQSLKAEEMTMTSKPRKYGFVAWLLSTSVGLLLSFLSKSSVLLGLSLTVPFMVACLSVAIPIWIRNGYQFWVPQLQCAGPAGNHQIRGTKEGVILVLSTTLFAASVLALGAIVSAKPLDDLGYKGWTGEQKSFTSPYASSVYIGWAMASAIALMVTGILPIVSWFATYRFSLSSAVCVGIFTVVLVTFCGASYMEVVKSRDDQVPTSGDFLAALLPLICSPALLSLCSGLHKWKDDDWRLSRGVYIFVTIGLLLLLGAISAVIVVVKPWTIGVAFLLVLLMIVLAIGAIHHWASNNFYLTRTQMFFVCFLAFLLALAAFLVGWFEDKPFVGASVGYFLFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLKIFPPFAGASVSAITLVVAFGFAFSRPCLTLKMMEDAVHFLSKETVVQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPTVMRDRAGNFVLPRADVMKLRDRLRNEELVAGSFFCRKRYGRTFRHEPTNDVDHRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFADLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEELLMQRREEEGKGKERRKALLEKEERKWKEIEASLISSIPNAGSREAAAMAAAVRAVGGDSVLDDSFARERVSSIARRIRTAQLARRALQTGISGAVCVLDDEPTTSGRHCGQIDPTICQSQKISFSVAVMIQPVSGPVCLFGTEFQKQICWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHLVTMTIDADLGEATCYLDGGFDGYQTGLPLHVGNTIWEQGTEVWVGVRPPTDMDAFGRSDSEGAESKMHIMDVFLWGRCLTEDDIAALHSAIGSTDSNMIDFPEDNWQWADSPSRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSERDGVLVDVDSFARRFRKPRMETREEINQRMLSVELAVKEALSARGEIHFTDQEFPPNDQSLFVDPENPPLKLQVVSEWVRPAEIVKDSRLDAHPCLFSGTANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSRKGNELWVSLLEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGYKLIQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRIYPPEMRYSVHGQWRGYSAGGCQDYETWHQNPQFRLRATGPDAALPIHVFITLTQGVSFSRTVAGFRNYQSSHDSMMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASITLEAL >ONH98985 pep chromosome:Prunus_persica_NCBIv2:G6:355456:372240:1 gene:PRUPE_6G003300 transcript:ONH98985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDERHVLLACVISGTLFSVLGSASFSILWLVNWRPWRIYSWIFARKWPDIFHGPQLDIVCGFLSLSAWILVISPVLVLIIWGSWLVIILDRHIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTAGSKASQRYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRKAYKFAYSDCIEVGPVACLPEPPDPNELYPRQSSRASHLGLLYLGSLVVLLVYSILYGLTAKESRWLGAITSSAVIILDWNMGACLYGFQLLQSRVAALFVAGTSRIFLICFGVHYWYLGHCISYAVVASVLLGASVSRHLSVTNPLAARRDALQSTVIRLREGFRKKEQNSSSSSSDGCGSSMKRSSSVEVGCLGNVVEASNRSTAQCTVDANNWTNVLLRTASSHEGINSDKSIDSGRPSLALRSSSCRSVIQEPEVGTSCTDKNFDHNNTLAVCSSSGLESQGCESSASNSANQQTLDLNLAFALQERLNDPRITSMLKKRARQGDLELVNLLQDKGLDPNFAMMLKEKSLDPTILALLQRSSLDADRDHRDNTDITIVDSNSVDNALPNQISLSEELRLHGLEKWLQLSRLLLHHVVGTPERAWVLFSFVFILETIAVAIFRPKTIKIINATHQQFEFGFAVLLLSPVVCSIMAFLQSLKAEEMTMTSKPRKYGFVAWLLSTSVGLLLSFLSKSSVLLGLSLTVPFMVACLSVAIPIWIRNGYQFWVPQLQCAGPAGNHQIRGTKEGVILVLSTTLFAASVLALGAIVSAKPLDDLGYKGWTGEQKSFTSPYASSVYIGWAMASAIALMVTGILPIVSWFATYRFSLSSAVCVGIFTVVLVTFCGASYMEVVKSRDDQVPTSGDFLAALLPLICSPALLSLCSGLHKWKDDDWRLSRGVYIFVTIGLLLLLGAISAVIVVVKPWTIGVAFLLVLLMIVLAIGAIHHWASNNFYLTRTQMFFVCFLAFLLALAAFLVGWFEDKPFVGASVGYFLFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLKIFPPFAGASVSAITLVVAFGFAFSRPCLTLKMMEDAVHFLSKETVVQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPTVMRDRAGNFVLPRADVMKLRDRLRNEELVAGSFFCRKRYGRTFRHEPTNDVDHRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFADLSAKKIKKWMPEDRRQFEIIQESYIREKEMEEELLMQRREEEGKGKERRKALLEKEERKWKEIEASLISSIPNAGSREAAAMAAAVRAVGGDSVLDDSFARERVSSIARRIRTAQLARRALQTGISGAVCVLDDEPTTSGRHCGQIDPTICQSQKISFSVAVMIQPVSGPVCLFGTEFQKQICWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHLVTMTIDADLGEATCYLDGGFDGYQTGLPLHVGNTIWEQGTEVWVGVRPPTDMDAFGRSDSEGAESKMHIMDVFLWGRCLTEDDIAALHSAIGSTDSNMIDFPEDNWQWADSPSRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSERDGVLVDVDSFARRFRKPRMETREEINQRMLSVELAVKEALSARGEIHFTDQEFPPNDQSLFVDPENPPLKLQVVSEWVRPAEIVKDSRLDAHPCLFSGTANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSRKGNELWVSLLEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGYKLIQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRIYPPEMRYSVHGQWRGYSAGGCQDYETWHQNPQFRLRATGPDAALPIHVFITLTQGVSFSRTVAGFRNYQSSHDSMMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASITLEAL >ONI00155 pep chromosome:Prunus_persica_NCBIv2:G6:4852268:4855184:-1 gene:PRUPE_6G070900 transcript:ONI00155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLERVQRHGDSETTTTHEPKKLTTSTSPTMEHYLRGGEALKSSPASSSSSSPLGYHDQEDDHGHHHKKSVLTKVKEKAKKLRHSLSHKKKHMEGDNSTPTLGASMNEHEDEEQDAEYLGAPMYESELAPEGYKETAKLHPRAVPVISEKHVLPSSVNQDAEQDKEKPLSPNKTVTETAAVKSLSPNETVTETVAKKPPSPSKSVAETVKPPSPKKTITETVTEKLGPAYATVSDTTHAIASKIEGLTVSAPAAVADATHAIASKVGLTVAAPTPSENNESLEAPHTSLAVSAPKNSSSQAAQQTLAASEAPQPLSAPAAPQGGKHAGSSDQIWDKGVSVKEYLAQKFEPGEDERALSRVISDVMSPRTSPSGEVGMVGKVKGAITSLLRNDESPKTTTSQSAMTTSPSSQPAMTSSSPRSQSAMTSSSPHSQSAKASSPRIPVSTNAYEVVEEENKGRILQTN >ONI02708 pep chromosome:Prunus_persica_NCBIv2:G6:22401061:22402743:-1 gene:PRUPE_6G216900 transcript:ONI02708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILFYTFLSLIFILFTFNFFLRRTERCRPNHKILPPTPPSLLPLLGHLQIINEGFAHNGAKHLENFLPILNWFSKEGYEKKFVRIAERKSQPEHYTDQINKGLTLTFPNHISETLQLYPPGPLLAPRFSSGDCTTVGFNIPRDTILLANVWAIHRDPKPWEDAKSFKPERFEGNQNMSYKSTSTS >ONI00915 pep chromosome:Prunus_persica_NCBIv2:G6:7995983:7996456:-1 gene:PRUPE_6G111400 transcript:ONI00915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSLSSYIHVSLAARHLLDTPAAPPPALTVPTIPSLPKPTLPTFSPLPSLPTLPKAALPPLPSVPTLPKATLPPLPSVPTLPKATLPTLPTNPTLQPLPSSQVPSLPKPALPTLPTTPKVTLPPLPANPILPTFPTTIPSIPTIPSIPFFSPPPSN >ONI01679 pep chromosome:Prunus_persica_NCBIv2:G6:13288500:13289846:1 gene:PRUPE_6G153000 transcript:ONI01679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATQALLSLFAASLPTKHRPLTIRASKPATTNPPIAGRKLRVAVIGAGPAGSSAAEALAAGGVETFLFERSGPSAAKPCGGAIPLCMLDEFDIPRHLIDRHVTRMRIFSPSNLAVDFGKTLRPDEFIAMLRREVLDSFLRSRAQSCGADLISALVTDLEVPTSNDAPYVIRYISNNSQKALAVDVVIGADGANSRVAKSIKAGGYACAIAFQERIKLTDDKMEYYEDLAEMYVGNDVSPDFYAWVFPKCDHVAVGTGTVCSKQDIKVFQRAIRARANSKISGGKVIKVEAHPIPEHPRPTRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRLCGQAIVKASEGGQRMINEEDLRREYLKEWDAKYITTFRFLDLLQKVFYGSDAAREALVELCGDEYVQRMTFESYLYKKLADGNRWEDAKMVCNTIGSLMRCNILGKKMESLKL >ONI02312 pep chromosome:Prunus_persica_NCBIv2:G6:19772020:19775166:1 gene:PRUPE_6G190400 transcript:ONI02312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCTLRADDIPRACHSSHGPVCTVNMDRFRPLFNASPGSNLPVFVEKTEQTVTALLFTV >ONI00111 pep chromosome:Prunus_persica_NCBIv2:G6:4682762:4685168:1 gene:PRUPE_6G068000 transcript:ONI00111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRPTFENVQRWLRELRDHADSNIVIMMTGNKSDLNHLRSVSEDDGQTLAEREGLSFLETSALEATNVEKAFQTILTEIYHIVSKKALAAQEAVSTTLPGQGTTINVSDASGNNNKRGCCST >ONH99302 pep chromosome:Prunus_persica_NCBIv2:G6:1923574:1926088:1 gene:PRUPE_6G024000 transcript:ONH99302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKLLVFWVVFMSLFFFFTDPPFASAENVNFDFPSFNFRNLTFLGDSHIRNGVVGLTRELTVPSSSAGTVIYNNPIKFFDPEANITASFSTRFSFSITNVNPSSYGDGMSFFFSPSNRILGSPGGYLGLVNSSQLTKNKFVAIEFDTRLDLHFNDPNDNHVGLDIESLTSIKTADPILQDVEFKSGNSITAWIDYKNDQERLKVYLSYSGFKPGKPALSVDIDLSEYLKEAMYVGFSASTEGSTEVHLIENWSFHTFGFVPTRPRLQPHNVSDTYVVVSPRIPVSDSTNKHHKRLGLGLGIAGPAFFCVALAVFGYVSVSKWMNMRRQKSFKAEVIAGPREFGYKELKSATGGFHSSRIIGHGAFGTVYKAFFVSSGTISAVKRSKHSHEGKTEFLSELSIIAGLRHKNLVQLQGWCVEKGELLLVYDFMPNGSLEKVLYQESGQGTLLDWYHRLNVAVGLASVLTYLHQECEQQVIHRDIKTGNVLLDGNFNARLGDFGLAKLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATEKTDVFSYGVVILEVACGRRPIEREPGSLKMVNLVDWVWGLHSEGRIIEAADKRLNGEFEVEEMRKLLLVGLSCANPDSAERPTMRRVLQILSNEAELLGVPRVKPSLSFSSGLPLSIDDIVSDCEECSSPTSSMCEIIIN >ONI00284 pep chromosome:Prunus_persica_NCBIv2:G6:5466969:5469651:-1 gene:PRUPE_6G080300 transcript:ONI00284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQLKLNMRRQTPIHLLLVWLLLAASQYHYTINVQAIETVQFKHNSAQPGSGSGSGTRYVLPTWVSGRKIHKTPSGPNPVGNHRPPSKQ >ONI00285 pep chromosome:Prunus_persica_NCBIv2:G6:5467571:5467977:-1 gene:PRUPE_6G080300 transcript:ONI00285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQLKLNMRRQTPIHLLLVWLLLAASQYHYTINVQAIETVQFKHNSAQPGSGSGSGTRYVLPTWVCIELNQHSCT >ONI03542 pep chromosome:Prunus_persica_NCBIv2:G6:25324061:25327248:-1 gene:PRUPE_6G263800 transcript:ONI03542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVGVVVDGKQRALNSAQGSVVDGGARKFLQQQSQAKQSMNQSAQVGTVNQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSDVAALSKASIWHEASRIVNEEGFRAFWRGNLVTIAHRLPYSSVSFYAYERYKQLLHSLLGENLRGNASTDMCVHFLGGGMAGLTAASATYPLDLVRTRLAAQRNALYYRGIGHAFHTICREEGFLGLYKGLGATLLGVGPSIAISFSVYEALRSFWQSERPNDSTVMVSLACGSLSGIASSTATFPLDLVRRRMQLEGAGGRARIYNTGLVGTFKHIIRTEGLRGLYRGILPEYYKVVPSVGIVFMTYETLKMLLSRIQASD >ONI03544 pep chromosome:Prunus_persica_NCBIv2:G6:25324064:25327250:-1 gene:PRUPE_6G263800 transcript:ONI03544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVGVVVDGKQRALNSAQGSVVDGGARKFLQQQSQAKQSMNQSAQVGTVNQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSDVAALSKASIWHEASRIVNEEGFRAFWRGNLVTIAHRLPYSSVSFYAYERYKQLLHSLLGENLRGNASTDMCVHFLGGGMAGLTAASATYPLDLVRTRLAAQRNALYYRGIGHAFHTICREEGFLGLYKGLGATLLGVGPSIAISFSVYEALRSFWQSESNIPSGSCEAKDAVGRGWWSSSHL >ONI03543 pep chromosome:Prunus_persica_NCBIv2:G6:25324064:25327244:-1 gene:PRUPE_6G263800 transcript:ONI03543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVGVVVDGKQRALNSAQGSVVDGGARKFLQQQSQAKQSMNQSAQVGTVNQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSDVAALSKASIWHEASRIVNEEGFRAFWRGNLVTIAHRLPYSSVSFYAYERYKQLLHSLLGENLRGNASTDMCVHFLGGGMAGLTAASATYPLDLVRTRLAAQRNALYYRGIGHAFHTICREEGFLGLYKGLGATLLGVGPSIAISFSVYEALRSFWQSERPNDSTVMVSLACGSLSGIASSTATFPLDLVRRRMQLEGAGGRARIYNTGLVGTFKHIIRTEGLRGLYRGILPEYYKVVPSVGIVFMTYETLKMLLSRIQASD >ONH99032 pep chromosome:Prunus_persica_NCBIv2:G6:544255:545838:-1 gene:PRUPE_6G006200 transcript:ONH99032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKLTHSLTKKKKKKKTSLLQKLHLCFPAKIASLLCFKVHFFANMWNLKLTQLFPGLPEELGLECLTRLPYSAHPVASQVCRPWRTLLESQQFYHHRKKNGHTHKVACLVQALPHEPLVSESDGPKSNDSPSYGIAVFDPVSCSWQRVDPVPKYPNGLPLFCQLASCEGKLVAMGGWDPVSYDPVTDVFVYDFTNSQWRKCNDMPSKRSFFAIGSYSGRVYVAGGHDENKNALKSAWVYDLRLDEWTELTQMSQERDECQGVVIGDEFWVVSGYGTESQGVFEGGAEALEFGSGRWRRVDGAWEVGQCPRQCVGVGREGKLTSWSELDSAVRVGTCGVTVGGGTLVMGSEYQGAKQGFYMVEEMKGGQNGKLEKISVPDEFSGFVQSGCCVEI >ONH99326 pep chromosome:Prunus_persica_NCBIv2:G6:2000316:2004155:-1 gene:PRUPE_6G025000 transcript:ONH99326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEGYLPLFETKRAKGIVLYRIFAASIFAGICLIWFYRVSHIPKAGEDGRFGWIGLLGAELWFGFYWILTQASRWSPVYRHPFKDRLSQRYESELPGVDVFVCTADPTIEPPMMVINTVLSVMAYDYPPEKLSVYLSDDGGSEITYFALLEAAKFAKHWIPYCKKYNVEPRSPAAYFVSSDDAVDADHNQAADLAGIKKLYKDMENEVEDTVKLGRISEEVRSKHKGFSQWDTYSSRRDHDTILQIVIDGRDLNATDVEGCVLPTLVYLAREKRPQYHHNFKAGAMNALIRVSSNISNGQVLLNVDCDMYSNNSQAVRDALCFLMDEAEGNEIAYVQFPQNFENVTKNDLYSNSLRVISEVEFHGLDGYGGPLYIGSGCFHRRDTLCGRKFIKGCKSEMKWEISRKREETGIHELEENSRSLASCAFEENTEWGKEMGLKYGCPVEDVITGISIQCHGWKSVYCNPTRKAFLGIATTTLSQTLVQHKRWSEGDFQILLSKYSPAWYAHGNISLGLQLGYCCYCFWASNSLATLFYSSIPSLYLLRGVSLFPQVSSPWLIPFAYVIIAKYTWSFVEFLWSGGTILGWWNDQRIWLYKRTSSYLFAFIDTILNSLGHSDSAFVITAKVSDEDVSHRYEKEVMEFGASSPMFTILATLALLNLFCFLGVVKEAIMGEGMTKLYVTMPLQILLCGVLILINLPLYQALYLRKDKGKMPSSIAFKSMAFSVFACICFKYLY >ONH99327 pep chromosome:Prunus_persica_NCBIv2:G6:2000316:2002835:-1 gene:PRUPE_6G025000 transcript:ONH99327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEVEDTVKLGRISEEVRSKHKGFSQWDTYSSRRDHDTILQIVIDGRDLNATDVEGCVLPTLVYLAREKRPQYHHNFKAGAMNALIRVSSNISNGQVLLNVDCDMYSNNSQAVRDALCFLMDEAEGNEIAYVQFPQNFENVTKNDLYSNSLRVISEVEFHGLDGYGGPLYIGSGCFHRRDTLCGRKFIKGCKSEMKWEISRKREETGIHELEENSRSLASCAFEENTEWGKEMGLKYGCPVEDVITGISIQCHGWKSVYCNPTRKAFLGIATTTLSQTLVQHKRWSEGDFQILLSKYSPAWYAHGNISLGLQLGYCCYCFWASNSLATLFYSSIPSLYLLRGVSLFPQVSSPWLIPFAYVIIAKYTWSFVEFLWSGGTILGWWNDQRIWLYKRTSSYLFAFIDTILNSLGHSDSAFVITAKVSDEDVSHRYEKEVMEFGASSPMFTILATLALLNLFCFLGVVKEAIMGEGMTKLYVTMPLQILLCGVLILINLPLYQALYLRKDKGKMPSSIAFKSMAFSVFACICFKYLY >ONI00902 pep chromosome:Prunus_persica_NCBIv2:G6:7924363:7925565:-1 gene:PRUPE_6G110400 transcript:ONI00902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGKHGKSGLVALNLDMAQVAEFVKQRLGKEAEMGGCKVPITTFIVEPFMPHDQEFYLSTVSERLGSTLSFLECGGIEIEENWDKVRDKIGDFIKGVFIVFQDLGFSFLEMNPFTLVNGEPYPLDMRGNWMKSIFSKKGIAAQL >ONH99640 pep chromosome:Prunus_persica_NCBIv2:G6:3028037:3035240:1 gene:PRUPE_6G040800 transcript:ONH99640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNRREDVEVNASRMKYDITKDPPTIPPKMVAPLNSVEFNQARAESGFREEIASPKKVMPNHNKSFNELNSQPDNCRTDTDQQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEYVVQTFKDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99643 pep chromosome:Prunus_persica_NCBIv2:G6:3028017:3035240:1 gene:PRUPE_6G040800 transcript:ONH99643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNRREDVEVNASRMKYDITKDPPTIPPKMVAPLNSVEFNQARAESGFREEIASPKKVMPNHNKSFNELNSQPDNCRTDTDQQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99639 pep chromosome:Prunus_persica_NCBIv2:G6:3028037:3035240:1 gene:PRUPE_6G040800 transcript:ONH99639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNRREDVEVNASRMKYDITKDPPTIPPKMVAPLNSVEFNQARAESGFREEIASPKKVMPNHNKSFNELNSQPDNCRTDTDQQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGRRCFQDETSFIEWEHDLSWKRPSEMNCDSVDNFMHRTYKTPDFTFEGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99642 pep chromosome:Prunus_persica_NCBIv2:G6:3028235:3035240:1 gene:PRUPE_6G040800 transcript:ONH99642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNRREDVEVNASRMKYDITKDPPTIPPKMVAPLNSVEFNQARAESGFREEIASPKKVMPNHNKSFNELNSQPDNCRTDTDQQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEYVVQTFKDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99644 pep chromosome:Prunus_persica_NCBIv2:G6:3027979:3035240:1 gene:PRUPE_6G040800 transcript:ONH99644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGRRCFQDETSFIEWEHDLSWKRPSEMNCDSVDNFMHRTYKTPDFTFEGPFSPLRSATDITDKFDILGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEYVVQTFKDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99638 pep chromosome:Prunus_persica_NCBIv2:G6:3028017:3035240:1 gene:PRUPE_6G040800 transcript:ONH99638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNRREDVEVNASRMKYDITKDPPTIPPKMVAPLNSVEFNQARAESGFREEIASPKKVMPNHNKSFNELNSQPDNCRTDTDQQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGRRCFQDETSFIEWEHDLSWKRPSEMNCDSVDNFMHRTYKTPDFTFEGPFSPLRSATDITDKFDILGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99636 pep chromosome:Prunus_persica_NCBIv2:G6:3027979:3035240:1 gene:PRUPE_6G040800 transcript:ONH99636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNRREDVEVNASRMKYDITKDPPTIPPKMVAPLNSVEFNQARAESGFREEIASPKKVMPNHNKSFNELNSQPDNCRTDTDQQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGRRCFQDETSFIEWEHDLSWKRPSEMNCDSVDNFMHRTYKTPDFTFEGPFSPLRSATDITDKFDILGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEYVVQTFKDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99641 pep chromosome:Prunus_persica_NCBIv2:G6:3027979:3035240:1 gene:PRUPE_6G040800 transcript:ONH99641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNRREDVEVNASRMKYDITKDPPTIPPKMVAPLNSVEFNQARAESGFREEIASPKKVMPNHNKSFNELNSQPDNCRTDTDQQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEYVVQTFKDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99646 pep chromosome:Prunus_persica_NCBIv2:G6:3028033:3035240:1 gene:PRUPE_6G040800 transcript:ONH99646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99647 pep chromosome:Prunus_persica_NCBIv2:G6:3031945:3035240:1 gene:PRUPE_6G040800 transcript:ONH99647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGRRCFQDETSFIEWEHDLSWKRPSEMNCDSVDNFMHRTYKTPDFTFEGPFSPLRSATDITDKFDILGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99645 pep chromosome:Prunus_persica_NCBIv2:G6:3027979:3035240:1 gene:PRUPE_6G040800 transcript:ONH99645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEYVVQTFKDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99635 pep chromosome:Prunus_persica_NCBIv2:G6:3028235:3035240:1 gene:PRUPE_6G040800 transcript:ONH99635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNRREDVEVNASRMKYDITKDPPTIPPKMVAPLNSVEFNQARAESGFREEIASPKKVMPNHNKSFNELNSQPDNCRTDTDQQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGRRCFQDETSFIEWEHDLSWKRPSEMNCDSVDNFMHRTYKTPDFTFEGPFSPLRSATDITDKFDILGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEYVVQTFKDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONH99637 pep chromosome:Prunus_persica_NCBIv2:G6:3028037:3035240:1 gene:PRUPE_6G040800 transcript:ONH99637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQWMGGSRRKVATSRKSTQQRQKQYFEQRRRQQQHQGQTTGLETYADGINISGQHHKEHRSLDILSFLNLSTTAQEHKSACPNRREDVEVNASRMKYDITKDPPTIPPKMVAPLNSVEFNQARAESGFREEIASPKKVMPNHNKSFNELNSQPDNCRTDTDQQFSVVDFFGDDGLNDYVEGSPVQEAHVAFSVEGLGKVGMETPVHSPKQPARTFTYDCSSPLNAARQPKSFKNHKNLDDFETEMDAMMQDINMPRCSSGLEFSTNSMDSFGYSKQKLSRHDGHSGKLDSYYGSRRIFDHAENCSKDKWDGRRCFQDETSFIEWEHDLSWKRPSEMNCDSVDNFMHRTYKTPDFTFEGPFSPLRSATDITDKFDILGAPSYSKHQRSEYDHDFMISNGARHHTVGRSFDFGGATNQPDWSCFVTDERDNLSLLSEESCSSSAVRVNAIDNSLSKSTRNRSKRRHDNAYAGPDYHANVTSTGKTHDKSRHDVHPENIAHGSGKCTKMSNSSKLKPSHYSNSPFDEKLNPNSNWFTEERYMSVNINSVCSSFHQKSDTNCPSAGSKLLFGDPFSASPVPELHLDPRSPSKHSEPVASSPSGSFITEKFEFHDSPKTSKIGVGSTKTELFRYSPGNTPSPDLSAQESVSKVEGRKAESQRSEEFKLEEESCIMDNGLFTENNDEMGALTSKSKNSEFKEAKDAAPGLKASVKSTYFPDHAEKASSSLKTLGKFESKIDGKEYVVQTFKDHHGYEIPLPCQHRTKEMEDAEPQERKKETKQQGDFVDSSCRVMMLQSYVQFLCVQKVLKEAAAQTGIKKK >ONI04714 pep chromosome:Prunus_persica_NCBIv2:G6:29195252:29204008:1 gene:PRUPE_6G335900 transcript:ONI04714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQKKNASRGSEDPVELARVPLQAILLADSFTTKFRPITLERPKVLLPLVNVPMINYTLAWLESAGVEEVFVFCCAHSKQVIDYLESSEWFSQPNFTVTTIESHNSVSAGDALRLIYERNVINGDFVLISGDTVSNMSLTQVLQEHKERRKKDSNAVMTVVIKRSKPSQITHQSRLGTDELFVAIDPNTKQLLYYEDKADHAKGSIYLDKLLLADNPSITLHNDKQDCYIDICSPEVLSLFTDNFDYQHLRRHFLKGLLVDDIMGYKIFTHEIHSSYAARIDNFRSYDTISKDIIQRWTYPLVPDVKFFGNSSIKLERQGMYRASEIGQSRSAQIGPFTVIGSGTKIGNTTKISNSVIGEGCSIGSNVSIEGSYIWDNVTIEDGCKLRHAIACDGVIVKSGAVLEPGVVLSFKVVVGQQFIVPSYSKVSLLQQPIKQDSDEELEYADSSGGIAEISSITHTVDKLNGEITNQPFETQCWPTSELGTGGAGYVWSICEGGHDEEWRHSVAPIPADKLSEAIHAADDDLELTQDGRSLPPSGELKPDSNDSEYDDDGESRDDSIYFGKEVEATFLRAVHENITVDHVILEVNSLRLSYNKVAADCAGDLFYSLMKLALETPQDSASELVQATTNVLAKWKKLLKYYLAEIDEEIEVILKLEEICESTKEFAPVFDKILYQLYDLEVIQEEAILRWDDEKKDADESDKVFVKQAEKFIQWLREASEEDEEEDEE >ONI00439 pep chromosome:Prunus_persica_NCBIv2:G6:6099693:6103066:1 gene:PRUPE_6G089100 transcript:ONI00439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLLSSPAFPTFFLFSLIALCLVPQYALASITRHYKFEIKQQNVTRLCHTKSIVTVNGQFPGPRIVAREGDRLLIKVVNHVQHNISIHWHGIRQLRTGWADGPAYVTQCPIQTGNSYVYNFTIVGQRGTLWWHAHISWLRSTLYGPIVILPKLGVPYPFTKPYKEVPIIFGEWWNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPLYNCSAKDAFKLKVKSGKTYLLRLINAALNDELFFSIANHTLKVVDADAVYVKPFETDTILITPGQTTNVLLKTKPHFPNATFFMTARPYVTGLGTFDNSTVAGILEYESPSKTLHSTLPMKKLPLFKPILPALNDTSFATKFSNKLRSLANAQFPANVPKRVDKHFFFTVGLGTNPCNKHNQTCQGPNGTMFAASVNNVSFVMPTTALLQAHFSGQSNRVYSSNLPSSPVIPFNYTGTPPNNTMVSNGTKLVVLPFNTSVELIMQDTSILGAESHPLHLHGYNFFVVGQGFGNFDQNKDPANFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEIHTSWGLKMAWVVLDGKLPNQKLLPPPADLPTC >ONI01368 pep chromosome:Prunus_persica_NCBIv2:G6:10796946:10798768:1 gene:PRUPE_6G135700 transcript:ONI01368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLREMSKSFLRHTSHPNPYIPPAHFLFSRGFSSKLLVKGISFTSTEESLTQAFSPFGKVLDANIVRNKVTNKSKGFGYVTFETEEDAEKALVNMNGKMVDQRVVLVDKAWPDNRKAKNVG >ONI01370 pep chromosome:Prunus_persica_NCBIv2:G6:10797325:10798810:1 gene:PRUPE_6G135700 transcript:ONI01370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCISFTSTEESLTQAFSPFGKVLDANIVRNKVTNKSKGFGYVTFETEEDAEKALVNMNGKMVDQRVVLVDKAWPDNRKAKNVG >ONI01369 pep chromosome:Prunus_persica_NCBIv2:G6:10796946:10798769:1 gene:PRUPE_6G135700 transcript:ONI01369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLREMSKSFLRHTSHPNPYIPPAHFLFSRGFSSKLLVKANIVRNKVTNKSKGFGYVTFETEEDAEKALVNMNGKMVDQRVVLVDKAWPDNRKAKNVG >ONI03721 pep chromosome:Prunus_persica_NCBIv2:G6:26056982:26061216:-1 gene:PRUPE_6G277300 transcript:ONI03721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALVIVAIILCVIVFVFNVYLLVNYQHPDDKNQAYFPKFVVVLGLSVAAISILMLPADVANRQACRHAIYNGACNLTLPMKDMWLAIYILDAVLVFFIIPFAMFYYEGDQDKSVGKRIRSALLWVVVTAIVCALVLGILYGLVGKVDFTVRHLSSSTTSFPSSWSFSSSQECISGSHQCSAYSASDSSEKTWTMRTTFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKAKELKKAADALHQEERSGSKGRKWRKNVKAVEKELLQLEEDVKLLEEVYPQGEKAETTWAMTVLGYLAKLVLGILGLIVSVAWIAHIVIYLLISPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGMKLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCSTAFAYYAQATAAQEIFGHTLQSLRGIRYLYKYNVFQIAFIVLAGLTFVYYAAFGWRRKKPSGRFQLSS >ONH99928 pep chromosome:Prunus_persica_NCBIv2:G6:4061805:4066158:1 gene:PRUPE_6G058100 transcript:ONH99928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRFPISFENSLITPFKPRPSRFQTPTVQSLPRLSSSLSLPRATTAGVVLKEEDRELSNNRFAPRGDFPPGGEPLPAGLQRELMPRHVAVIMDGNVRWERRRGLPAGSGHEAGARSLRELVELCWKWGIRVLTVFAFSYDNWVRPQVEVDFLMNLFEKMTSSEIDSFARAICIVLLGCGGIGKFVPFVVSYSTTEEDMAPYRASKPFLLPRGLQPVGWYSNFGHWGFVKAPQLFTETDK >ONH99929 pep chromosome:Prunus_persica_NCBIv2:G6:4062049:4062993:1 gene:PRUPE_6G058100 transcript:ONH99929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRFPISFENSLITPFKPRPSRFQTPTVQSLPRLSSSLSLPRATTAGVVLKEEDRELSNNRFAPRGDFPPGGEPLPAGLQRELMPRHVAVIMDGNVRWERRRGLPAGSGHEAGARSLRELVELCWKWGIRVLTVFAFSYDNWVRPQVEVDFLMNLFEKMTSSEIDSFARAICIVLLGCGGIGKFVPFVVSYSTTEEDMAPYRASKPFLLPRGLQPVVSISSKDFFCTFSLVSGAFLHGKL >ONH99927 pep chromosome:Prunus_persica_NCBIv2:G6:4061805:4066158:1 gene:PRUPE_6G058100 transcript:ONH99927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRFPISFENSLITPFKPRPSRFQTPTVQSLPRLSSSLSLPRATTAGVVLKEEDRELSNNRFAPRGDFPPGGEPLPAGLQRELMPRHVAVIMDGNVRWERRRGLPAGSGHEAGARSLRELVELCWKWGIRVLTVFAFSYDNWVRPQVEVDFLMNLFEKMTSSEIDSFARDGIRISVIGDLSKLPNSLQKLISDAEDRTKGNFRLHLIVAVSYSGKYDIVQACKNISQKVKDGLIQVDDVNESFIEQELETNCTEFPYPDLLIRTSGELRVSNFLLWQLAYTEFFFVSALWPDFGKAEFVEALISFQQRQRRYGGRDL >ONI00404 pep chromosome:Prunus_persica_NCBIv2:G6:5894494:5901664:1 gene:PRUPE_6G087200 transcript:ONI00404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDELLELQRQFEFAQQAKSSIRLSDRNVVELVQKLQELHIIDFELLHTVSGKEYITPDQLRHEILAEVSKLGRVSVIDLADTTGVDLYHVEKQAQRIVSDDPGLMLIQGEIISQSYWDSIAEEVNDRLQECSQIALAELAAQLHVSSEMVASVLEPRLGTVVKGRLEGGQLYTPAYVARVTAMVRGAARGITVPTNLSVLWSSLQQLLQEMDGASGVAVEGSFFQSLFNGLVKEGEILGSLRAGVHWTPNVFASAQKESIDSFFSQNSFISYEVLHKLRIPQPIQFLQSRYPEGMPLVTTFVHPSMIEMLDAATEDALERSSWIDSLSMLPMSFGSQDASKLLSLCPSIQQGLKSDKAKIFGESYVFSNGFIKDVYDRLEKEMETFNVSGASGTVVSDDLRETKAGHDTSRLTESTENVSDSSGNKQAMEKGSKKKKSKGAGNMMTGPAENELDNQDRAPTKSKKNQRKGKNISSEQVAESKAAAKLVKIKEENLNIPSEDWVMKKIATLVPDFEEQGLDDPQTILRPLANYLRPMLINSWKERRKALFSENAERMKQLLDSLQKKFDESFLNMQLYEKALDLFEDDQSTSVILHRHLLRTTATTIVDMLLQNLDVHNKLKNGDEVAEPQISESISLNPGERTSIAKTLPGSLSNKALAVVEALEGKRVETFMTALRDIAEESGLLLKKLDKKLERTLLHAYQKDLVSQVSAEMDPVSLLAKVVSLIYVQVHHKALQAPGRAIAVAVSRLKDKLDDSAHKILTDYQTATVTLLALISAASGDVYIISRTFGMISWICSAN >ONI00403 pep chromosome:Prunus_persica_NCBIv2:G6:5894428:5902707:1 gene:PRUPE_6G087200 transcript:ONI00403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDELLELQRQFEFAQQAKSSIRLSDRNVVELVQKLQELHIIDFELLHTVSGKEYITPDQLRHEILAEVSKLGRVSVIDLADTTGVDLYHVEKQAQRIVSDDPGLMLIQGEIISQSYWDSIAEEVNDRLQECSQIALAELAAQLHVSSEMVASVLEPRLGTVVKGRLEGGQLYTPAYVARVTAMVRGAARGITVPTNLSVLWSSLQQLLQEMDGASGVAVEGSFFQSLFNGLVKEGEILGSLRAGVHWTPNVFASAQKESIDSFFSQNSFISYEVLHKLRIPQPIQFLQSRYPEGMPLVTTFVHPSMIEMLDAATEDALERSSWIDSLSMLPMSFGSQDASKLLSLCPSIQQGLKSDKAKIFGESYVFSNGFIKDVYDRLEKEMETFNVSGASGTVVSDDLRETKAGHDTSRLTESTENVSDSSGNKQAMEKGSKKKKSKGAGNMMTGPAENELDNQDRAPTKSKKNQRKGKNISSEQVAESKAAAKLVKIKEENLNIPSEDWVMKKIATLVPDFEEQGLDDPQTILRPLANYLRPMLINSWKERRKALFSENAERMKQLLDSLQKKFDESFLNMQLYEKALDLFEDDQSTSVILHRHLLRTTATTIVDMLLQNLDVHNKLKNGDEVAEPQISESISLNPGERTSIAKTLPGSLSNKALAVVEALEGKRVETFMTALRDIAEESGLLLKKLDKKLERTLLHAYQKDLVSQVSAEMDPVSLLAKVVSLIYVQVHHKALQAPGRAIAVAVSRLKDKLDDSAHKILTDYQTATVTLLALISAASGDGEDCTSDRILNKRELLENQMTALKGLVLGTSKS >ONI02855 pep chromosome:Prunus_persica_NCBIv2:G6:22928719:22931060:1 gene:PRUPE_6G224600 transcript:ONI02855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIMFTSVMLLFLLGLCHLSTAAAVDKNDVAKPKTTASTTYIVHMAKSEMPASFEHHTHWYDSSLKTVSDSAEMMYIYSNAIHGFSTKLTPAQAESLQSQPGVLSVLPELKYELHTTRTPEFLGLGQTTETIPQSNSESDVIIGVLDTGVWPESKSFDDTGLGPVPGSWKGACESGTNFNSSNCNRKLIGARYFAKGYEATRGPIETSKESKSPRDDDGHGTHTASTAAGSVVSGASLFGYALGTARGMAPRARIAAYKVCWVGGCFSSDIVAAIDQAIADNVNVLSMSLGGGMSDYFRDSVAIGAFSAMEKGILISCSAGNAGPSAYSLSNSAPWITTVGAGTLDRDFPAFVSLGNGKNFSGVSLYRGNSNAAPTALTPFVYAANASNATSGNLCMMGTLIPEQVKGKIVMCDRGVNARVQKGAVVKAAGGVGMVLANTAANGEELVADAHLLPATSVGLQNADVIKSYLFKDPNPTATILFEGTKVGVQPSPVVAAFSSRGPNSVTPDVLKPDIVAPGVNILAGWSGAIGPTGLAIDARRVAFNIISGTSMSCPHVSGLAALLKGAHPEWSPAAIRSALMTTAYTAYKNGQKLQDVATGKPSTPFDHGAGHVDPISALNPGLVYDLTVDDYLNFLCALNYSATEINSLAKRSYTCDEKKKYSVRDLNYPSFAVNFESRYGGGTTSSNVVRYTRTLTNVGPSGTYKASVTSESQLVKISVEPETLSFSQANEKKGYTVTLSAVGSVPANAENSFGRVEWSDGKHIVGSPIAISWN >ONI04098 pep chromosome:Prunus_persica_NCBIv2:G6:27445532:27446535:1 gene:PRUPE_6G302600 transcript:ONI04098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDKLWTINKKIIDPVCPRHTAIVEERRVLLTLINGPEKPFVRIIPRHKKYEGAGEKATTYTRTIWLESADAESIKVDEEVTLMDWGNAIVKGIEKDQDGNLKLTGVLNLEGSVKTTKLKLTWLPQTDELVKLCLMEFDYLITKKKVEEGEDFLDVLNPCTEKETAALGDSNMRNLKRGDILQLERKGYYRCDVPYIRSSKPIVLFLIPDGRQQTGFK >ONI04097 pep chromosome:Prunus_persica_NCBIv2:G6:27442031:27446838:1 gene:PRUPE_6G302600 transcript:ONI04097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMERDGETILSEIKVLSFAAENPPLSVLVAAKLAGISLPTDNSLSADSPHLSHFSDGLKLHGTFVLLRYIGRIANLYGENAIQAARIDEWLEYAPLLSQGSAFENACKQLDDYLGSRSFFVDHSFSISDIAIWSGLAGTGQRWESLRKSKKYQNLSRWFNSLLAEYGDALNEVTATFVGKRGLGKPTETKSKGTQANQESKSVNGDVSEKGKAGSRPTFEVDLPEAEMGKVRLRFAPEPSGFLHIGHSKAALLNQYFAQRYQGQLIVRFDDTNPAKESNEFVDNLLKDIETLGIKYETVTYTSDYFPQLMEMVENLIHQGKAYVDDTPREEMQKERMDGIESKCRNNSVEENLKLWKEMIAGSDRGLQCCVRGKLDMQDPNKSLRDPVYYRCNPMPHHRIGSKYKIYPTYDFACPFVDSIEGITHALRSSEYHDRNAQYYRIQEDMGLRKVHIYEFSRLNMVYTLLSKRKLLWFVQNEKVNGWDDPRFPTVQGIVRRGLKVEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAIVEERRVLLTLINGPEKPFVRIIPRHKKYEGAGEKATTYTRTIWLESADAESIKVDEEVTLMDWGNAIVKGIEKDQDGNLKLTGVLNLEGSVKTTKLKLTWLPQTDELVKLCLMEFDYLITKKKVEEGEDFLDVLNPCTEKETAALGDSNMRNLKRGDILQLERKGYYRCDVPYIRSSKPIVLFLIPDGRQQTGFK >ONI04175 pep chromosome:Prunus_persica_NCBIv2:G6:27671499:27678921:1 gene:PRUPE_6G306800 transcript:ONI04175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFTTRPATAPFAAAPQTMMPFSSSGPVVGQEASGFRPPPHVTQQTPFSSYGPVVGSDASTFRPAPPVAPHTNAPFSSSGSAVGPQTSPFRPTPPARFNDPSVPPPPTSSVPPTVGSFSRFPTPQYPLTAQAPPPRGPPVGQLPFQPPAGQAPFQRPQQQIPSVPMGAPPQSINSAPPSVNVFQSPSDSSFPAPPPNVHASFPGFAHKQSSADPQAPPVQSPFLTHQGNYAAAPPAVSSPFAAHQGGYAPPTPGAAPLGYQSRDHMQHPGSGPPLGAVQTLTEDFSSLSIGSVPGTIEPGLDPKALPRPLSGDVEPKSLAQLYPMNCHPRFLRLTTGAIPSSQSLSSRWHLPLGAVVCPLAEPPDGEEVPIVNFGSAGIIRCRRCRTYVNPYVTFTDAGRKWRCNICALLNDVPGDYFAHLDATGRRIDLDQRPELTQGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGYPRTQIGFATFDSTIHFYNMKSSLTQPQMMVVSDLDDVFVPLPDDLLVNLSESRSVVETFLDSLPSMFQDNVNMESAFGPALKASLMLMSQLGGKLLIFQNTLPSLGVGRLKLRGDDLRVYGTDKEHPLRLPEDPFYKQMAAEFTKFQIGVDVYAFSDKYTDIASLGTLAKYTGGQVYYYPNFQSTIHGEKLRHELARDLTRETAWEAVMRIRCGKGVRFTSYHGNFMLRSTDLLALPAVDCDKAFAMQLSLEETLLTIQTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVTLLSRLAIEKTLSHKLEDARNSLQLRIVKALKEFRNLYAVQHRLGGKMIYPESLKFLPLYGLALCKSAPLRGGYADVSLDERCAAGHTMMTLPVKKLLKLLYPSLIRLDEYLLKAYAEADDFQSIENRLPLVAESLDSRGLYIFDDGFRYVLWFGRVLPPDIAKNLLGTDFAAELSKVTLCERDNEMSKKLMRILKKFRESDASYYQLCHLVRQGEQPREGHLVLANLVEDQMGGTNGYVDWIIQVHRQVQQNP >ONI04173 pep chromosome:Prunus_persica_NCBIv2:G6:27671497:27678921:1 gene:PRUPE_6G306800 transcript:ONI04173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFTTRPATAPFAAAPQTMMPFSSSGPVVGQEASGFRPPPHVTQQTPFSSYGPVVGSDASTFRPAPPVAPHTNAPFSSSGSAVGPQTSPFRPTPPARFNDPSVPPPPTSSVPPTVGSFSRFPTPQYPLTAQAPPPRGPPVGQLPFQPPAGQAPFQRPQQQIPSVPMGAPPQSINSAPPSVNVFQSPSDSSFPAPPPNVHASFPGFAHKQSSADPQAPPVQSPFLTHQGNYAAAPPAVSSPFAAHQGGYAPPTPGAAPLGYQSRDHMQHPGSGPPLGAVQTLTEDFSSLSIGSVPGTIEPGLDPKALPRPLSGDVEPKSLAQLYPMNCHPRFLRLTTGAIPSSQSLSSRWHLPLGAVVCPLAEPPDGEEVPIVNFGSAGIIRCRRCRTYVNPYVTFTDAGRKWRCNICALLNDVPGDYFAHLDATGRRIDLDQRPELTQGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGYPRTQIGFATFDSTIHFYNMKSSLTQPQMMVVSDLDDVFVPLPDDLLVNLSESRSVVETFLDSLPSMFQDNVNMESAFGPALKASLMLMSQLGGKLLIFQNTLPSLGVGRLKLRGDDLRVYGTDKEHPLRLPEDPFYKQMAAEFTKFQIGVDVYAFSDKYTDIASLGTLAKYTGGQVYYYPNFQSTIHGEKLRHELARDLTRETAWEAVMRIRCGKGVRFTSYHGNFMLRSTDLLALPAVDCDKAFAMQLSLEETLLTIQTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVTLLSRLAIEKTLSHKLEDARNSLQLRIVKALKEFRNLYAVQHRLGGKMIYPESLKFLPLYGLALCKSAPLRGGYADVSLDERCAAGHTMMTLPVKKLLKLLYPSLIRLDEYLLKAYAEADDFQSIENRLPLVAESLDSRGLYIFDDGFRYVLWFGRVLPPDIAKNLLGTDFAAELSKVTLCERDNEMSKKLMRILKKFRESDASYYQLCHLVRQGEQPREGHLVLANLVEDQMGGTNGYVDWIIQVHRQVQQNP >ONI04174 pep chromosome:Prunus_persica_NCBIv2:G6:27672585:27678470:1 gene:PRUPE_6G306800 transcript:ONI04174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTENPGRPNFTTRPATAPFAAAPQTMMPFSSSGPVVGQEASGFRPPPHVTQQTPFSSYGPVVGSDASTFRPAPPVAPHTNAPFSSSGSAVGPQTSPFRPTPPARFNDPSVPPPPTSSVPPTVGSFSRFPTPQYPLTAQAPPPRGPPVGQLPFQPPAGQAPFQRPQQQIPSVPMGAPPQSINSAPPSVNVFQSPSDSSFPAPPPNVHASFPGFAHKQSSADPQAPPVQSPFLTHQGNYAAAPPAVSSPFAAHQGGYAPPTPGAAPLGYQSRDHMQHPGSGPPLGAVQTLTEDFSSLSIGSVPGTIEPGLDPKALPRPLSGDVEPKSLAQLYPMNCHPRFLRLTTGAIPSSQSLSSRWHLPLGAVVCPLAEPPDGEEVPIVNFGSAGIIRCRRCRTYVNPYVTFTDAGRKWRCNICALLNDVPGDYFAHLDATGRRIDLDQRPELTQGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIRSCLDELPGYPRTQIGFATFDSTIHFYNMKSSLTQPQMMVVSDLDDVFVPLPDDLLVNLSESRSVVETFLDSLPSMFQDNVNMESAFGPALKASLMLMSQLGGKLLIFQNTLPSLGVGRLKLRGDDLRVYGTDKEHPLRLPEDPFYKQMAAEFTKFQIGVDVYAFSDKYTDIASLGTLAKYTGGQVYYYPNFQSTIHGEKLRHELARDLTRETAWEAVMRIRCGKGVRFTSYHGNFMLRSTDLLALPAVDCDKAFAMQLSLEETLLTIQTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAIVTLLSRLAIEKTLSHKLEDARNSLQLRIVKALKEFRNLYAVQHRLGGKMIYPESLKFLPLYGLALCKSAPLRGGYADVSLDERCAAGHTMMTLPVKKLLKLLYPSLIRLDEYLLKAYAEADDFQSIENRLPLVAESLDSRGLYIFDDGFRYVLWFGRVLPPDIAKNLLGTDFAAELSKVTLCERDNEMSKKLMRILKKFRESDASYYQLCHLVRQGEQPREGHLVLANLVEDQMGGTNGYVDWIIQVHRQVQQNP >ONI00611 pep chromosome:Prunus_persica_NCBIv2:G6:6812235:6817197:-1 gene:PRUPE_6G097800 transcript:ONI00611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGSASASSRSLQDTPTWALATVCLVFIFLSIFIEHLIDLLSQWLKKRKKNALFEAIEKLKSVLMLLGFMSLILTVSQRSISKICIPTKIAYTMLPCRKTAPTKTTKALGLEPIQFMERRLAATSSVDHCESQGKTSFISQGGLNQLNNFIFVLAVMQIVYSVLTMALGRAKMRRWEAWEKETQTVEYQVANDPNRFRFTRQTTFGRRHMTSRTGTGTSIQMWIRCFFRQFFHSVEKVDYLTLRHGFVSTHLSTNKNAFDFQNYIQRSLEDDFKAVVGISPFMWFIVVIFIFLDVHGWQVYLWVSFLPLIIVLVLGTKLEVVVAQMAHQLHDQNNVIKGTPLVQPKDSHFWFSQPQFVLTLVHLTLFMNAFELAFFIWVTLQFGIHSCYHEHIQIIIIRVVLAVTVQVMCSYITLPLYALVTQMGSNFKSAAVLEEQTANVMKQWHAEVKKKRKKKQDSSQSGHDEDSTTVGSSRGIMNSPDSSSHRRQLTFAELTQNFPGKTETVDDNQEIVEDHQQRVGQNEIELASNVSSSEVYMEMPEVTTTQT >ONI00613 pep chromosome:Prunus_persica_NCBIv2:G6:6812199:6817208:-1 gene:PRUPE_6G097800 transcript:ONI00613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGSASASSRSLQDTPTWALATVCLVFIFLSIFIEHLIDLLSQWLKKRKKNALFEAIEKLKSVLMLLGFMSLILTVSQRSISKICIPTKIAYTMLPCRKTAPTKTTKALGLEPIQFMERRLAATSSVDHCESQGKTSFISQGGLNQLNNFIFVLAVMQIVYSVLTMALGRAKMRRWEAWEKETQTVEYQVANDPNRFRFTRQTTFGRRHMTSRTGTGTSIQMWIRCFFRQFFHSVEKVDYLTLRHGFVSTHLSTNKNAFDFQNYIQRSLEDDFKAVVGISPFMWFIVVIFIFLDVHGWQVYLWVSFLPLIIVLVLGTKLEVVVAQMAHQLHDQNNVIKGTPLVQPKDSHFWFSQPQMHLNLLSSFGSRYNLGYTLATMNTYRLSLYGWS >ONI00612 pep chromosome:Prunus_persica_NCBIv2:G6:6812127:6817287:-1 gene:PRUPE_6G097800 transcript:ONI00612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGSASASSRSLQDTPTWALATVCLVFIFLSIFIEHLIDLLSQWLKKRKKNALFEAIEKLKSVLMLLGFMSLILTVSQRSISKICIPTKIAYTMLPCRKTAPTKTTKALGLEPIQFMERRLAATSSVDHCESQGKTSFISQGGLNQLNNFIFVLAVMQIVYSVLTMALGRAKMRRWEAWEKETQTVEYQVANDPNRFRFTRQTTFGRRHMTSRTGTGTSIQMWIRCFFRQFFHSVEKVDYLTLRHGFVSTHLSTNKNAFDFQNYIQRSLEDDFKAVVGISPFMWFIVVIFIFLDVHGWQVYLWVSFLPLIIVLVLGTKLEVVVAQMAHQLHDQNNVIKGTPLVQPKDSHFWFSQPQFVLTLVHLTLFMNAFELAFFIWVTLQFGIHSCYHEHIQIIIIRVVLAVTVQVMCSYITLPLYALVTQMGSNFKSAAVLEEQTANVMKQWHAEVKKKRKKKQDSSQSGHDEDSTTVGSSRGIMNSPDSSSHRRQLTFAELTQNFPGKTETVDDNQEIVEDHQQRVGQNEIELASNVSSSEVYMEMPEVTTTQT >ONI04364 pep chromosome:Prunus_persica_NCBIv2:G6:28224414:28227958:-1 gene:PRUPE_6G317700 transcript:ONI04364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIKSGALVSLQDLHPSSPYFKQGASLRLTGKLQECSVETAIATIVDGSDSLKINTQHLRDLSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQADHLKTKLPSTPNPSNNAK >ONI04367 pep chromosome:Prunus_persica_NCBIv2:G6:28224414:28226139:-1 gene:PRUPE_6G317700 transcript:ONI04367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKLQECSVETAIATIVDGSDSLKINTQHLRDLSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQADHLKTKLPSTPNPSNNAK >ONI04365 pep chromosome:Prunus_persica_NCBIv2:G6:28224227:28227958:-1 gene:PRUPE_6G317700 transcript:ONI04365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIKSGALVSLQDLHPSSPYFKQGASLRLTGKLQECSVETAIATIVDGSDSLKINTQHLRDLSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQADHLKTKLPSTPNPSNNAK >ONI04370 pep chromosome:Prunus_persica_NCBIv2:G6:28224384:28225907:-1 gene:PRUPE_6G317700 transcript:ONI04370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKLQECSVETAIATIVDGSDSLKINTQHLRDLSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQADHLKTKLPSTPNPSNNAK >ONI04368 pep chromosome:Prunus_persica_NCBIv2:G6:28224851:28225486:-1 gene:PRUPE_6G317700 transcript:ONI04368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKLQECSVETAIATIVDGSDSLKINTQHLRDLSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQADHLKTKLPSTPNPSNNAK >ONI04366 pep chromosome:Prunus_persica_NCBIv2:G6:28224227:28227958:-1 gene:PRUPE_6G317700 transcript:ONI04366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIKSGALVSLQDLHPSSPYFKQGASLRLTGKLQECSVETAIATIVDGSDSLKINTQHLRDLSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQADHLKTKLPSTPNPSNNAK >ONI04369 pep chromosome:Prunus_persica_NCBIv2:G6:28224437:28227959:-1 gene:PRUPE_6G317700 transcript:ONI04369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKLQECSVETAIATIVDGSDSLKINTQHLRDLSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQADHLKTKLPSTPNPSNNAK >ONI04371 pep chromosome:Prunus_persica_NCBIv2:G6:28224851:28225486:-1 gene:PRUPE_6G317700 transcript:ONI04371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKLQECSVETAIATIVDGSDSLKINTQHLRDLSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQADHLKTKLPSTPNPSNNAK >ONI04363 pep chromosome:Prunus_persica_NCBIv2:G6:28224228:28228058:-1 gene:PRUPE_6G317700 transcript:ONI04363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIKSGALVSLQDLHPSSPYFKQGASLRLTGKLQECSVETAIATIVDGSDSLKINTQHLRDLSFRVGSIYQFIGELLIQPDNEAVLQARVGRNVDGIDLNLYYQSLQLLRQFQADHLKTKLPSTPNPSNNAK >ONI05120 pep chromosome:Prunus_persica_NCBIv2:G6:30273034:30275308:1 gene:PRUPE_6G357000 transcript:ONI05120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITSRSQPPYDDLISIARLLGAEASSVASCNNTSSNDHAGAKLKVEVGCACPSAKQMENGVISLLTYMVTWNDTVTLIGEKFGVDVHSVLDANMFSWSSTIYPFTPILVPLKHEICTANPVGTKSLCPGWGDSGDFGCICGSKRFKGSQVILGVCLGTVFLCMFPLGYKLHQFLKRRRCKIRKEKFFKQNGGYLLQQKFHANNTTVLAKIFTAEELQEAADNLNESRFLGQGGYGTVYKGMLLDGSTVAVKRSKCGDTSCLYLIPNKSPKHTLSWDTRLRIACEVAGAVAYMHSAASSSILHRDIKSPNVLLDDKFSAKGTFGYIDPEYFQSNRFTEKSDVYSFGRRDDGRNLMMHFVSLAKENQLHQILDPRLAGEAEPKDIDAIARLATRCLRSNGKRRPTMREDSIVSTYELGFTSTLESARCGRVFM >ONI01562 pep chromosome:Prunus_persica_NCBIv2:G6:12154481:12162594:-1 gene:PRUPE_6G146700 transcript:ONI01562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKSEKSQSSERDENRTAGNKFRHRRKNSIEIDSRIQCEFDADAPPPVGVSSTQLNIDTVGSPSGSLQVHDVQSERNVREQWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRIALESQTVQQRLQQQLANEAHVREIEEGWCDSVGSVQEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQPVPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMVHENESIEGKNGGISQLKSAGKRPLSSNIQSNLSSQQVGPFNSDGCDSSPSKSAGMLEASTTQMGKPKSKPYLEDPVEEGNSKPGISSRSHSNPKERSTESDKQAKKRLSLPNSRGGLGYQTSRHPIRSNVKGTPGSQKPIKTRSKPSGRGDSNPTKSVSQAVDA >ONI01563 pep chromosome:Prunus_persica_NCBIv2:G6:12154481:12163887:-1 gene:PRUPE_6G146700 transcript:ONI01563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKSEKSQSSERDENRTAGNKFRHRRKNSIEIDSRIQCEFDADAPPPVGVSSTQLNIDTVGSPSGSLQVHDVQSERNVREQWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRIALESQTVQQRLQQQLANEAHVREIEEGWCDSVGSVQEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQPVPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMVHENESIEGKNGGISQLKSAGKRPLSSNIQSNLSSQQVGPFNSDGCDSSPSKSAGMLEASTTQMGKPKSKPYLEDPVEEGNSKPGISSRSHSNPKERSTESDKQAKKRLSLPNSRGGLGYQTSRHPIRSNVKGTPGSQKPIKTRSKPSGRGDSNPTKSVSQAVDA >ONI01564 pep chromosome:Prunus_persica_NCBIv2:G6:12154481:12163886:-1 gene:PRUPE_6G146700 transcript:ONI01564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKSEKSQSSERDENRTAGNKFRHRRKNSIEIDSRIQCEFDADAPPPVGVSSTQLNIDTVGSPSGSLQVHDVQSERNVREQWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRIALESQTVQQRLQQQLANEAHVREIEEGWCDSVGSVQEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQPVPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMVHENESIEGKNGGISQLKSAGKRPLSSNIQSNLSSQQVGPFNSDGCDSSPSKSAGMLEASTTQMGKPKSKPYLEDPVEEGNSKPGISSRSHSNPKERSTESDKQAKKRLSLPNSRGGLGYQTSRHPIRSNVKGTPGSQKPIKTRSKPSGRGDSNPTKSVSQAVDA >ONI01561 pep chromosome:Prunus_persica_NCBIv2:G6:12154981:12162376:-1 gene:PRUPE_6G146700 transcript:ONI01561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKSEKSQSSERDENRTAGNKFRHRRKNSIEIDSRIQCEFDADAPPPVGVSSTQLNIDTVGSPSGSLQVHDVQSERNVREQWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRIALESQTVQQRLQQQLANEAHVREIEEGWCDSVGSVQEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQPVPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMVHENESIEGKNGGISQLKSAGKRPLSSNIQSNLSSQQVGPFNSDGCDSSPSKSAGMLEASTTQMGKPKSKPYLEDPVEEGNSKPGISSRSHSNPKERSTESDKQAKKRLSLPNSRGGLGYQTSRHPIRSNVKGTPGSQKPIKTRSKPSGRGDSNPTKSVSQAVDA >ONI01565 pep chromosome:Prunus_persica_NCBIv2:G6:12155302:12162376:-1 gene:PRUPE_6G146700 transcript:ONI01565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKSEKSQSSERDENRTAGNKFRHRRKNSIEIDSRIQCEFDADAPPPVGVSSTQLNIDTVGSPSGSLQVHDVQSERNVREQWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRIALESQTVQQRLQQQLANEAHVREIEEGWCDSVGSVQEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQPVPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMVHENESIEGKNGGISQLKSAGKRPLSSNIQSNLSSQQVGPFNSDGCDSSPSKSAGMLEASTTQMGKPKSKPYLEDPVEEGNSKPGISSRSHSNPKERSTESDKQAKKRLSLPNSNRCICL >ONI01560 pep chromosome:Prunus_persica_NCBIv2:G6:12154481:12163886:-1 gene:PRUPE_6G146700 transcript:ONI01560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKWIKALVGLKKSEKSQSSERDENRTAGNKFRHRRKNSIEIDSRIQCEFDADAPPPVGVSSTQLNIDTVGSPSGSLQVHDVQSERNVREQWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRIALESQTVQQRLQQQLANEAHVREIEEGWCDSVGSVQEIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQPVPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMVHENESIEGKNGGISQLKSAGKRPLSSNIQSNLSSQQVGPFNSDGCDSSPSKSAGMLEASTTQMGKPKSKPYLEDPVEEGNSKPGISSRSHSNPKERSTESDKQAKKRLSLPNSRGGLGYQTSRHPIRSNVKGTPGSQKPIKTRSKPSGRGDSNPTKSVSQAVDA >ONI02405 pep chromosome:Prunus_persica_NCBIv2:G6:20400861:20403768:-1 gene:PRUPE_6G196300 transcript:ONI02405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSFDCANSNLLCAENSDTCFDDLNSNTIDDLGLFPSMPHIINNTHNQDPSFNHNRSKSMIDFPLQSEEGVSSMVKRESEHFPRDDYLKRLRNGDLDLGSRREALDWISKARAHYSFGPLSVCLSMNYLDRFLSLYELPRGKAWAVQLLAVACLSIAAKVEETTVPQSVDLQVGDPKFVFEAKTILRMELLVMSTLKWRMQACTPYSFIDYFLSKISDDQHPSTSSICRSEQLILSTIRGIDFLEFRPSEIAAAVAICISGETQAVDIDKAISCFMHVDKVRVLKCLELMKDLSLISGSANRGSASASSVPQSPVGVLDAACLSYKSDEFTVGSCANSSHKSPDIKRRKPDNPSKMDSQS >ONI02406 pep chromosome:Prunus_persica_NCBIv2:G6:20401480:20402673:-1 gene:PRUPE_6G196300 transcript:ONI02406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLDRFLSLYELPRGKAWAVQLLAVACLSIAAKVEETTVPQSVDLQVGDPKFVFEAKTILRMELLVMSTLKWRMQACTPYSFIDYFLSKISDDQHPSTSSICRSEQLILSTIRGIDFLEFRPSEIAAAVAICISGETQAVDIDKAISCFMHVDKVRVLKCLELMKDLSLISGSANRGSASASSVPQSPVGVLDAACLSYKSDEFTVGSCANSSHKSPDIKRRKPDNPSKMDSQS >ONI02404 pep chromosome:Prunus_persica_NCBIv2:G6:20400861:20403820:-1 gene:PRUPE_6G196300 transcript:ONI02404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSFDCANSNLLCAENSDTCFDDLNSNTIDDLGLFPSMPHIINNTHNQDPSFNHNRSKSMIDFPLQSEEGVSSMVKRESEHFPRDDYLKRLRNGDLDLGSRREALDWISKRGKAWAVQLLAVACLSIAAKVEETTVPQSVDLQVGDPKFVFEAKTILRMELLVMSTLKWRMQACTPYSFIDYFLSKISDDQHPSTSSICRSEQLILSTIRGIDFLEFRPSEIAAAVAICISGETQAVDIDKAISCFMHVDKVRVLKCLELMKDLSLISGSANRGSASASSVPQSPVGVLDAACLSYKSDEFTVGSCANSSHKSPDIKRRKPDNPSKMDSQS >ONI04289 pep chromosome:Prunus_persica_NCBIv2:G6:28051401:28055510:1 gene:PRUPE_6G313800 transcript:ONI04289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSEGLTQLLIPLAALVGLAFALLQWFLVSRVKVSGSYGEGNGYKDKLIGEAEEGVDSLEVTIKVAEIQNAISIGATSFLFTQYRYLSIFVGVFSAIIFLFLGSVKGFSTKSEPCTYNTGNTCKPALANALFTTIAFLLGALTSVLSGFFGMKIATYANARTTLEARRGVGKAFITAFRSGAVMGFLLAANGLLVLYITINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGISHDYTAMSYPLIISSMGIVVCLITTLFATDLFEIKKVNEIEPSLKRQLLISTVLMTAGIAAVTFVALPSEFTLFSFGTNKAVKNWYLFFCVAIGLWAGLVIGYTTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPVFAIAFAIYVSFSLAAMYGIAVAALGMLSTISTGLAIDAYGPISDNAGGIAEMAGMSHEIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIETVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASLKEMIPPGALVMLTPLIAGTFFGVETLAGILAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHAKSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGVLFKWL >ONI04220 pep chromosome:Prunus_persica_NCBIv2:G6:27809293:27812705:1 gene:PRUPE_6G309600 transcript:ONI04220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKQLTGQRVDRSICAWFWEHTISQGDFPKLQPVIASPTHYLFQILREGITFLACTQVEMPPLMAIEFLCRVADVLSDYLGGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVNKMLSVVTGNSSNMSDTLPGATSSCIPWRTADPKYANNEVYVDLVEEMDAIVNRDGVLVKCEIYGEVQVNSHLSGVPDLTLSFANPSILDDVRFHPCVRFRPWESQQILSFVPPDGQFKLMSYRVRKLKSSPIYVKPQLTSDAGTCRVSVLVGIRNDPGKTIDSITVQFQLPPCILSADLTSNYGTVNILANKTCSWSIGRIPKDKAPAMSGTLVLETGLERLHVFPTFQVGFRIMGVALSGLQIDKLDLKNLPKPPYKGFRALTRAGEFEVRS >ONI04218 pep chromosome:Prunus_persica_NCBIv2:G6:27809304:27812703:1 gene:PRUPE_6G309600 transcript:ONI04218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIFLLSDSGEVMLEKQLTGQRVDRSICAWFWEHTISQGDFPKLQPVIASPTHYLFQILREGITFLACTQVEMPPLMAIEFLCRVADVLSDYLGGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVNKMLSVVTGNSSNMSDTLPGATSSCIPWRTADPKYANNEVYVDLVEEMDAIVNRVRKLKSSPIYVKPQLTSDAGTCRVSVLVGIRNDPGKTIDSITVQFQLPPCILSADLTSNYGTVNILANKTCSWSIGRIPKDKAPAMSGTLVLETGLERLHVFPTFQVGFRIMGVALSGLQIDKLDLKNLPKPPYKGFRALTRAGEFEVRS >ONI04216 pep chromosome:Prunus_persica_NCBIv2:G6:27809196:27812736:1 gene:PRUPE_6G309600 transcript:ONI04216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIFLLSDSGEVMLEKQLTGQRVDRSICAWFWEHTISQGDFPKLQPVIASPTHYLFQILREGITFLACTQVEMPPLMAIEFLCRVADVLSDYLGGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVNKMLSVVTGNSSNMSDTLPGATSSCIPWRTADPKYANNEVYVDLVEEMDAIVNRDGVLVKCEIYGEVQVNSHLSGVPDLTLSFANPSILDDVRFHPCVRFRPWESQQILSFVPPDGQFKLMSYRVRKLKSSPIYVKPQLTSDAGTCRVSVLVGIRNDPGKTIDSITVQFQLPPCILSADLTSNYGTVNILANKTCSWSIGRIPKDKAPAMSGTLVLETGLERLHVFPTFQVGFRIMGVALSGLQIDKLDLKNLPKPPYKGFRALTRAGEFEVRS >ONI04217 pep chromosome:Prunus_persica_NCBIv2:G6:27809304:27812703:1 gene:PRUPE_6G309600 transcript:ONI04217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIFLLSDSGEVMLEKQLTGQRVDRSICAWFWEHTISQGDFPKLQPVIASPTHYLFQILREGITFLACTQVEMPPLMAIEFLCRVADVLSDYLGGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVNKMLSVVTGNSSNMSDTLPGATSSCIPWRTADPKYANNEVYVDLVEEMDAIVNRDGVLVKCEIYGEVQVNSHLSGVPDLTLSFANPSILDDVRFHPCVRFRPWESQQILSFVPPDGQFKLMSYRVRKLKSSPIYVKPQLTSDAGTCRVSVLVGIRNDPGKTIDSITVQFQLPPCILSADLTSNYGTVNILANKTCSWSIGRIPKDKAPAMSGTLVLETGLERLHVFPTFQVGFRIMGVALSGLQIDKLDLKNLPKPPYKGFRALTRAGEFEVRS >ONI04219 pep chromosome:Prunus_persica_NCBIv2:G6:27809304:27812703:1 gene:PRUPE_6G309600 transcript:ONI04219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIFLLSDSGEVMLEKQLTGQRVDRSICAWFWEHTISQGDFPKLQPVIASPTHYLFQILREGITFLACTQVEMPPLMAIEFLCRVADVLSDYLGGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVNKMLSVVTGNSSNMSDTLPGATSSCIPWRTADPKYANNEVYVDLVEEMDAIVNRVRKLKSSPIYVKPQLTSDAGTCRVSVLVGIRNDPGKTIDSITVQFQLPPCILSADLTSNYGTVNILANKTCSWSIGRIPKDKAPAMSGTLVLETGLERLHVFPTFQVGFRIMGVALSGLQIDKLDLKNLPKPPYKGFRALTRAGEFEVRS >ONH99541 pep chromosome:Prunus_persica_NCBIv2:G6:2679116:2680128:-1 gene:PRUPE_6G035700 transcript:ONH99541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSHSVTPPTYTSIPISVSGSDAITRSIKNLNDAVSRRRQWPEFASSLDRPDSFSAALTRIRTNANHFRVNYLLLIGACGALSLIGSPGWLVVTAAVVGLWLVIYFFREDPLEVWGHHVSDWAVLVGLGLISVLVVWASGGLGSVLLGLVVGLVLCGVHGLLRNPEGLFLNENDAASQGLIGPTSPSG >ONH99315 pep chromosome:Prunus_persica_NCBIv2:G6:1974865:1979892:1 gene:PRUPE_6G024500 transcript:ONH99315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSTTRGKQFSLISHRISKFRAFHAFFQDIKTNLGDDDARNIAHKKSTQTSGTEMEKSIYAILTIDRWESLNHMDYRLASLRPVHGRLALKFLNWVIKQPGLELNHLTHILSVTTHILVRARMYDSAKSILGHLLQMGIAPKPVFGALMDTYSLCNSNPSVFDLLIRVYLREGMVDYAVETSYLMGFRGFRPSTCTCNMILAWLAKDQKAGSVWSFFKEMLANKICPDVATFNILISLLCVEGKLKKASYLLRKMEKSGYVPNIVSYNTLLNWYCKKGRYKTAFELIDHMGSKGIEADVCTYNMLIGDLCRNNRSAKGYLLLKKMRRKKLSPNEVTYNILINGFVMEGKLGVATRVFDEMSTFNLSPNFVTFNALIGGLCQNGKLEEAFRLLDMMEAMGLRPNEVSYGALLNGLCKHAKFDLARSLFERMRMNGIVISCTIYTAIMDGLCKNGLLDEAMQLFNMMVQDGVDPDIIAFSVLVNGLCRAGKMKHAREILCKIYKAGLAPNRIICSTLIYNSCKMGNIVEALKIYAVMNHNGHGADRFTCNILVASLCEAGKVEVAEDFMRHMGSMGLDPDSVTYDCIINGHGNMGNGLKSFSMFDEMIKSGHHPTPFTYGSILKGLCKGGNFGEARKFLKKLHGIPSVVDTVIYNTIIYETCKSGNLQEAVSLLDEMVENNVLPDDYTYGSLLAGLCRKGKMVAAILLFGKLMGKVTCSQSAIMYTCLVDGLFKTGQSKAALYLFEEMENKGLYLDTVACNVMIDGYSRMGKLMKANELFSTMRSSRLCPNLATYNILLHGYSKNRDLVKCSMLYNNMIRARLFPDKLTCHSLILGLCESGMLDVGHKMLNKMIMEGAIADHLTVNMLVSKYSETGKMVKAFELVSVLNLLRVSANIDTHVAILNGLFRSQDFQASRALLYEMLEKGFTPKDTHYFTLINGMCRVGDIQGAFELKDHIEALGVTTSDIAESALVRGLAKCGKIEEAMLVLDRMLRMKLIPTTATFTTLMHMFCKQANLAVALKLRGTMECCGVKLDVPVFNVLISGLCANGDVVVAFELYEEMKQRGLMPNTTTYTLLIGAVSSHNNLIKAEQLLGDLSERGLISGNLDGSAQMLHEGLMNAMGRLNSLRRIKGTNTKER >ONH99314 pep chromosome:Prunus_persica_NCBIv2:G6:1974865:1979965:1 gene:PRUPE_6G024500 transcript:ONH99314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSTTRGKQFSLISHRISKFRAFHAFFQDIKTNLGDDDARNIAHKKSTQTSGTEMEKSIYAILTIDRWESLNHMDYRLASLRPVHGRLALKFLNWVIKQPGLELNHLTHILSVTTHILVRARMYDSAKSILGHLLQMGIAPKPVFGALMDTYSLCNSNPSVFDLLIRVYLREGMVDYAVETSYLMGFRGFRPSTCTCNMILAWLAKDQKAGSVWSFFKEMLANKICPDVATFNILISLLCVEGKLKKASYLLRKMEKSGYVPNIVSYNTLLNWYCKKGRYKTAFELIDHMGSKGIEADVCTYNMLIGDLCRNNRSAKGYLLLKKMRRKKLSPNEVTYNILINGFVMEGKLGVATRVFDEMSTFNLSPNFVTFNALIGGLCQNGKLEEAFRLLDMMEAMGLRPNEVSYGALLNGLCKHAKFDLARSLFERMRMNGIVISCTIYTAIMDGLCKNGLLDEAMQLFNMMVQDGVDPDIIAFSVLVNGLCRAGKMKHAREILCKIYKAGLAPNRIICSTLIYNSCKMGNIVEALKIYAVMNHNGHGADRFTCNILVASLCEAGKVEVAEDFMRHMGSMGLDPDSVTYDCIINGHGNMGNGLKSFSMFDEMIKSGHHPTPFTYGSILKGLCKGGNFGEARKFLKKLHGIPSVVDTVIYNTIIYETCKSGNLQEAVSLLDEMVENNVLPDDYTYGSLLAGLCRKGKMVAAILLFGKLMGKVTCSQSAIMYTCLVDGLFKTGQSKAALYLFEEMENKGLYLDTVACNVMIDGYSRMGKLMKANELFSTMRSSRLCPNLATYNILLHGYSKNRDLVKCSMLYNNMIRARLFPDKLTCHSLILGLCESGMLDVGHKMLNKMIMEGAIADHLTVNMLVSKYSETGKMVKAFELVSVLNLLRVSANIDTHVAILNGLFRSQDFQASRALLYEMLEKGFTPKDTHYFTLINGMCRVGDIQGAFELKDHIEALGVTTSDIAESALVRGLAKCGKIEEAMLVLDRMLRMKLIPTTATFTTLMHMFCKQANLAVALKLRGTMECCGVKLDVPVFNVLISGLCANGDVVVAFELYEEMKQRGLMPNTTTYTLLIGAVSSHNNLIKAEQLLGDLSERGLISGNLDGSAQMLHEGLMNAMGRLNSLRRIKGTNTKER >ONI04472 pep chromosome:Prunus_persica_NCBIv2:G6:28498432:28501557:1 gene:PRUPE_6G323300 transcript:ONI04472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSCHPKCRLGRLMSWLQIVLGGLVIIFSICSLFKFYSAGFFLHNEDICRQFYGVREAYEGFDLKALSDRVGEVLNRLESLQEKLESAVQQMEKNKVDLHTTNITKLEYKKYLEEEVIRPLYSAHISLRLIRLPKPEGIRNSTMKEEPLINTFVIEEIRKYITPKQSRVGKITIYGTERISNTIGHACVLYKKELEEYMSYDIGSYCKDDWNLAQKLMLNGCDPLPRRRCLTRASMVYQKPYPINESLWRLPDDRNVRWSKYLCRNFKCLMGNNSRGYSKCVGCFEMEKEKLKWVTNSSLPIDFLINDVLAIKLGEIRIGLDFGIGTGTFAARMREHNVTIVSTALNLGAPFNEMIALRGLIPLYLTLNQRLPFFDNIMDMIHTSGFLDGWIDLQLLDFILFDWDRILRPGGLLWIDRFFCQRKDLDVFMYMFLQLRYKKHKWAISPKSKDEVYFSALLEKPPRAI >ONI00255 pep chromosome:Prunus_persica_NCBIv2:G6:5342532:5348352:-1 gene:PRUPE_6G078700 transcript:ONI00255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQPSHPLHGSAGESLSGFSSPVSFRRGFDRPPTTSHLAEIFEEEDNGGGVDKVHVAVGKSVEKAVSLLHWTFKHFGCKEICILHVHQPSQLIPTLLGKLPASQANAEVVSAFRREEGERKMKILQNYLRVCSRAKVKASIAMVEANEIQKGIVDLVNRHGVRKLVMGAVPENCMKVKKNSSKANYAAKYAALFCEIWFVNKGKHVWTRDASEGQSSPPSCRQLQIAKVLPRENKEFHQESAASPTLSCSTNTSLPYNIHNSISSSTSSGSGYNSAEGMMPSDSDINVEEQSLYGRLAEATLEAEASKDNAFAELLKRKHLESEAMKAIYKVKQFELAHACEVKLRTEAEDALRTTIGEQQKLLQEKEEVSREIRRTMTNIALLDSRAQEANRRFNEASGEVKLIQTSIATLQQEKQSIQRQKMEALRWLERWRNHRQAGAANCNGLVGSAEELPKLSEFSLSDLQTATCNFSESFKIGQGGYGCVFKGEMMGRTVAIRKLHPHNMQGQAEFQQEVQVLGKLQHPHLVTLLGVCPEAWSLVYEHLSNGSLQDHLFRKSNGSSVPWKTRTRIIAEISSALCFLHSSKPEKIVHGDLKPQNILLDSELSCKICDFGICRLVTEDNLYCRSFRRGTEPKGAFPYTDPELQRIGVLTPKSDIYSFGLIILQLVTRRPPVGLASEVRKAVLCGNLASILDSSAGEWPITVARRLVDLGLQCCELNSSERPEITPALVRELHQLHAPEERPVPSFFLCPILQEIMHDPQVAADGFTYEGEALRGWLQNCRETSPMTNLKLSNLHLTPNHALRHAIQDWLCKS >ONI00256 pep chromosome:Prunus_persica_NCBIv2:G6:5342336:5348607:-1 gene:PRUPE_6G078700 transcript:ONI00256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKKNSSKANYAAKYAALFCEIWFVNKGKHVWTRDASEGQSSPPSCRQLQIAKVLPRENKEFHQESAASPTLSCSTNTSLPYNIHNSISSSTSSGSGYNSAEGMMPSDSDINVEEQSLYGRLAEATLEAEASKDNAFAELLKRKHLESEAMKAIYKVKQFELAHACEVKLRTEAEDALRTTIGEQQKLLQEKEEVSREIRRTMTNIALLDSRAQEANRRFNEASGEVKLIQTSIATLQQEKQSIQRQKMEALRWLERWRNHRQAGAANCNGLVGSAEELPKLSEFSLSDLQTATCNFSESFKIGQGGYGCVFKGEMMGRTVAIRKLHPHNMQGQAEFQQEVQVLGKLQHPHLVTLLGVCPEAWSLVYEHLSNGSLQDHLFRKSNGSSVPWKTRTRIIAEISSALCFLHSSKPEKIVHGDLKPQNILLDSELSCKICDFGICRLVTEDNLYCRSFRRGTEPKGAFPYTDPELQRIGVLTPKSDIYSFGLIILQLVTRRPPVGLASEVRKAVLCGNLASILDSSAGEWPITVARRLVDLGLQCCELNSSERPEITPALVRELHQLHAPEERPVPSFFLCPILQEIMHDPQVAADGFTYEGEALRGWLQNCRETSPMTNLKLSNLHLTPNHALRHAIQDWLCKS >ONH99457 pep chromosome:Prunus_persica_NCBIv2:G6:2402246:2405595:1 gene:PRUPE_6G030700 transcript:ONH99457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLEALLLLHYVAKPESLSLHCCLHVQVQGMVSSCRHSCWSWWDWDWISLSQLLQVLVKRATRGRSDQSWSVPAEAYQSGSET >ONI02148 pep chromosome:Prunus_persica_NCBIv2:G6:18522272:18527236:-1 gene:PRUPE_6G180000 transcript:ONI02148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATNHGEKANWVLHPPRPPGRWREFMEFSGDQETSPMDILPSSPKHFKQPSTASLAVETPTAEEKLDLEKNSSPVQRSEWVLNGPEPPGLWHELMDSVRETASYCGNKYSSLKNQPALKSVVSIQQEIFPILVWGRSYNISKFKHDLLAGLTIASLCIPQSIGYATLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLPSMIQKLQDPGADSIAYTKLVLTATFFTGIFQASFGLFRLGFLVDFLSHAAIVGFVAGAAIIIGLQQLKGLLGITHFPTNTDVISVMEAVWTSFHHPWSPHNFILGCSFLCFILISRYLGKKNKKLFWLPAVAPLLSVILSTLIVYLTRGDKHGIKIVKHIKDGLNPSSVNLLELNGPYVGDVAKVGLIVALVALTEAIAVGRSFSSIKGYHMDGNKEMMAMGFMNIVGSFTSCYVATGSFSRTAVNYSAGCETPVSNIVMAITVIISLQFLTKLLYYTPTAILASIILSALPGLVDLNKVYRIWKVDKLDFLACIGAFFGVLFASVEIGLLVAVTISFTKIILISIRPGTETLGKLPGTEMFCDTAQYPMAIKIPGVMIIRVKSALFCFANANFVKERIVRWITAQKAADTKGQTKDKEATHLVILDMSNLINIDTSGIATLEELQKNLISEGIELAIANPRWQVIHKLKLSNFVGKIGGRVFVTVGEAVDASFGGKIATAC >ONI05217 pep chromosome:Prunus_persica_NCBIv2:G6:30527148:30532906:-1 gene:PRUPE_6G363000 transcript:ONI05217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRKQLDQLMGANRNGDVREVNRKYYDREVCRLYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKAKGTDDYDRDLEDVIDRLIVECDRKIARALKRLEDDDAKAAIAISVSEVTQTPEVLELSKQIKEKLKEVDQFDLEGKTDLKIRAMEIVDELRGKRADKQSMLLLDAFNKDRASLPQPLPNPPPLAPLPVVVPDARTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPILDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLAELQEERNKIRKVDRSEDRRSKERSKERDRESSRDRERGDSRDRGRDHDRRSRDRDRYYDRDRGYDRERDRDSDRSRNYDSRSRRRSRSRSRERSRDYDRHRRYDRY >ONI02043 pep chromosome:Prunus_persica_NCBIv2:G6:17871055:17881895:-1 gene:PRUPE_6G174100 transcript:ONI02043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRLTELIVFILSHITSAEDAEFFDLSLRRHGQSLEKVNRGMILAPLVGIILNLLNASEQMECMEHNDVVSIFASMGCLDSFHCRFQYLLDYNWAGTFRGDAYLVKLAQLENFLSLLSQSQSQENTIYRGETDGNDDMCCICYACEADAEFSPCSHRSCYGCITRHLLNSHRCFFCNATVVDVVRISEKS >ONI02050 pep chromosome:Prunus_persica_NCBIv2:G6:17872932:17881895:-1 gene:PRUPE_6G174100 transcript:ONI02050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVY >ONI02038 pep chromosome:Prunus_persica_NCBIv2:G6:17870827:17882988:-1 gene:PRUPE_6G174100 transcript:ONI02038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRSLRRHGQSLEKVNRGMILAPLVGIILNLLNASEQMECMEHNDVVSIFASMGCLDSFHCRFQYLLDYNWAGTFRGDAYLVKLAQLENFLSLLSQSQSQENTIYRGETDGNDDMCCICYACEADAEFSPCSHRSCYGCITRHLLNSHRCFFCNATVVDVVRISEKS >ONI02039 pep chromosome:Prunus_persica_NCBIv2:G6:17870827:17882122:-1 gene:PRUPE_6G174100 transcript:ONI02039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRSLRRHGQSLEKVNRGMILAPLVGIILNLLNASEQMECMEHNDVVSIFASMGCLDSFHCRFQYLLDYNWAGTFRGDAYLVKLAQLENFLSLLSQSQSQENTIYRGETDGNDDMCCICYACEADAEFSPCSHRSCYGCITRHLLNSHRCFFCNATVVDVVRISEKS >ONI02048 pep chromosome:Prunus_persica_NCBIv2:G6:17872735:17881895:-1 gene:PRUPE_6G174100 transcript:ONI02048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRLTELIVFILSHITSAEDAEFFDL >ONI02040 pep chromosome:Prunus_persica_NCBIv2:G6:17870827:17882988:-1 gene:PRUPE_6G174100 transcript:ONI02040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRSLRRHGQSLEKVNRGMILAPLVGIILNLLNASEQMECMEHNDVVSIFASMGCLDSFHCRFQYLLDYNWAGTFRGDAYLVKLAQLENFLSLLSQSQSQENTIYRGETDGNDDMCCICYACEADAEFSPCSHRSCYGCITRHLLNSHRCFFCNATVVDVVRISEKS >ONI02046 pep chromosome:Prunus_persica_NCBIv2:G6:17872448:17882681:-1 gene:PRUPE_6G174100 transcript:ONI02046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRLTELIVFILSHITSAEDAEFFDL >ONI02045 pep chromosome:Prunus_persica_NCBIv2:G6:17870805:17882988:-1 gene:PRUPE_6G174100 transcript:ONI02045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRLTELIVFILSHITSAEDAEFFDLSLRRHGQSLEKVNRGMILAPLVGIILNLLNASEQMECMEHNDVVSIFASMGCLDSFHCRFQYLLDYNWAGTFRGDAYLVKLAQLENFLSLLSQSQSQENTIYRGETDGNDDMCCICYACEADAEFSPCSHRSCYGCITRHLLNSHRCFFCNATVVDVVRISEKS >ONI02037 pep chromosome:Prunus_persica_NCBIv2:G6:17871184:17881895:-1 gene:PRUPE_6G174100 transcript:ONI02037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRSLRRHGQSLEKVNRGMILAPLVGIILNLLNASEQMECMEHNDVVSIFASMGCLDSFHCRFQYLLDYNWAGTFRGDAYLVKLAQLENFLSLLSQSQSQENTIYRGETDGNDDMCCICYACEADAEFSPCSHRSCYGCITRHLLNSHRCFFCNATVVDVVRISEKS >ONI02044 pep chromosome:Prunus_persica_NCBIv2:G6:17870825:17882988:-1 gene:PRUPE_6G174100 transcript:ONI02044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRLTELIVFILSHITSAEDAEFFDLSLRRHGQSLEKVNRGMILAPLVGIILNLLNASEQMECMEHNDVVSIFASMGCLDSFHCRFQYLLDYNWAGTFRGDAYLVKLAQLENFLSLLSQSQSQENTIYRGETDGNDDMCCICYACEADAEFSPCSHRSCYGCITRHLLNSHRCFFCNATVVDVVRISEKS >ONI02049 pep chromosome:Prunus_persica_NCBIv2:G6:17872735:17881895:-1 gene:PRUPE_6G174100 transcript:ONI02049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRLTELIVFILSHITSAEDAEFFDL >ONI02036 pep chromosome:Prunus_persica_NCBIv2:G6:17870827:17882699:-1 gene:PRUPE_6G174100 transcript:ONI02036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRSLRRHGQSLEKVNRGMILAPLVGIILNLLNASEQMECMEHNDVVSIFASMGCLDSFHCRFQYLLDYNWAGTFRGDAYLVKLAQLENFLSLLSQSQSQENTIYRGETDGNDDMCCICYACEADAEFSPCSHRSCYGCITRHLLNSHRCFFCNATVVDVVRISEKS >ONI02047 pep chromosome:Prunus_persica_NCBIv2:G6:17872448:17882988:-1 gene:PRUPE_6G174100 transcript:ONI02047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRLTELIVFILSHITSAEDAEFFDL >ONI02041 pep chromosome:Prunus_persica_NCBIv2:G6:17870805:17882122:-1 gene:PRUPE_6G174100 transcript:ONI02041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRLTELIVFILSHITSAEDAEFFDLSLRRHGQSLEKVNRGMILAPLVGIILNLLNASEQMECMEHNDVVSIFASMGCLDSFHCRFQYLLDYNWAGTFRGDAYLVKLAQLENFLSLLSQSQSQENTIYRGETDGNDDMCCICYACEADAEFSPCSHRSCYGCITRHLLNSHRCFFCNATVVDVVRISEKS >ONI02042 pep chromosome:Prunus_persica_NCBIv2:G6:17871184:17881895:-1 gene:PRUPE_6G174100 transcript:ONI02042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSLRIGGFSSGLAVILNDEDSKENSSKTHLVSYCDDFGHQSVERTLEYVLGLPNKSFGLLPSPIDSNLVRCIIQKEFSKLHANSSALVRNRDGVCIPGNGCGPHIVGLDEFSIRGDIRPIKPPLLVESLAMFSSARANAFVWKCKWMYEVILETSGIQQLGWATVSCPFTDHKGVGDADDSYAFDGRRVRKWNKEAEPYGQSWVVGDAIGCCIDLDCNEISFYRNGVSLGAAFHGIRKMGPVSGYYPAISLSQGERCELNFGARPFRFPIEGYLPLQEPPSLIPVATQLLCCLSRLLGMHSVEQAKHSSVQKWRRLKRFVSHEELFYPASHGICEEFFSVLGVDVWSIEYIAWGPFLSFMMEVFGQQVPHDYSSLDRVLDVFLEFEGSRMLFEHFINALACGCKIAPLVLKECPCSGSYPYLALACHILRRQELMVLWWKSPDFEFLFEGFLSRKNPNKHDLESMMPSVSWPGSCEDVSYESTMALTTKALSEAVSKIEEKHRDLCRLVIQFIPPVTPPQLPGSVFRTFLQNILLKNRGADRNLPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLKSSENGPDVGFLHRGGQRSFPVGLFLRNDLHRNENSRLGGSFSHLSKSNPVNDEEAEVIRWEEGCMDDEETRVTHSSTKKPCCCSCYNDDFTRISKYPIRYTAKGSRVHCSPIPERSAHVATECSTGNLNDELADKPSSSYQSESEFSYCPVQQLRFVPRESNMSSATLREEELLDVLLLLYHIGLAPNFKQASYYMSHQSQSISLLEEADKQIREKASNEQLKRLKEARNSYREEVINCVRQCAWYRITLISRWKQRGMYATCMWTVQLLLVLSKVDLLFLYIPEYYLEALVDCFHVLRKSDPPFVPSSIFIKQGLASFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYKEYLAIFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSVVFQRLLGETCVSDEELFSAFLNRLFNTLSWTMTEFSVSVREMQEKYQVLEFQQKKCSVIFDLSCNLARVLEFCTHAIPRAFLSGAETNLRRLTELIVFILSHITSAEDAEFFDLSLRRHGQSLEKVNRGMILAPLVGIILNLLNASEQMECMEHNDVVSIFASMGCLDSFHCRFQYLLDYNWAGTFRGDAYLVKLAQLENFLSLLSQSQSQENTIYRGETDGNDDMCCICYACEADAEFSPCSHRSCYGCITRHLLNSHRCFFCNATVVDVVRISEKS >ONI04423 pep chromosome:Prunus_persica_NCBIv2:G6:28397216:28397943:-1 gene:PRUPE_6G321200 transcript:ONI04423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLCCVSFLLLICASILLMGSSSSVIASGDHHPNLSWMPTNNSACKGSIAECLAAGDEEFDLDSEINRRILATSKYISYGAMQRNTVPCSRRGASYYNCQPGAQSNPYSRGCSAITRCRS >ONH99095 pep chromosome:Prunus_persica_NCBIv2:G6:828640:831152:-1 gene:PRUPE_6G011300 transcript:ONH99095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGKSNQSNNPLLYTAFFSPLFLHDLLGKVVSTEEEAYNLYNSYATRTGFSVRRGQKRYNTKKVLRQFSYFCSKEGFRLDSDPSEVSMANKLETRTGCEARIRFAFQNDNGMWKVSHFVYEHNHELAMPEERQFLRSNRKVSEAHLDCYNVVNKEKMVMIEAGDAQSLINLFKRKQAEDPMFFYTVQVDQENRMTNFFWRDGRSRIDYDCFGDVVVFDTTYRTNRYNMICAPFVGVNHHWKNVLFGCAFLLDEKIDSFIWLFETFLESMGGRKPKTIFTDQCQAMANGIEKVFPGVCHHLCSWHISQNAARNLGSYYGNPEFKHMFNKCLQGYCETELEFQSTWDDLLAKFNLTGNLWLKTLYGLRAKWCPVFSQHIFTAKIKSSQRSESTNNVFHQMSTKTMSLTQFVHHYDKQAEKMRSSELEESFRCNQGLSSRIAKSSGLMNHAATIYTRKIFKLFEKEFVDSLGVMMHEVGSDGTIHSFELNEEGHKRVYIVQLNSLNCSISCSCKMFESMGLLCRHTLRVLNVKCWSQIPKQYILKRWTKDANKGLEASEHGELLQTKGKSSVTLRRNTLMRTAYDVLTKASETENTTRIALQKLREIAGLIEKEMIKSKGEVNAKIHDSLDDCNATTFDETPVRNPSCVRPKGISNARLKSVMEKRRRKTSKDIVSSSKKVSYFAY >ONI02514 pep chromosome:Prunus_persica_NCBIv2:G6:21051434:21056719:1 gene:PRUPE_6G203000 transcript:ONI02514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASNWLSFSLMSPMEMLRSSESESPFVPYDTSSTASPHYFLDNFYANGWTNPKSQGFFADGEDHNNQSKQGHADSPNLTSFIDPQSHHQPIPKLEDFLGDSSSMVRYSESQTETQDSSSLTHMYDQSSAYFGDHQQQQDLKAITGFQAFSTNSGSEVEDSATMARTTQLTGGDFTGHSIESNGNELGGGFSSCGTNALSLGVTTQSSSQSKAIVPADSDGSKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEDKAARAYDLAALKYWGPTATTNFPVSTYSKELEDMKHVTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGINAVTNFEMNRYDVEAIGKSSLPVGGAAKRLKLSLEAEEQKPSVNHDQQRPQCSSSGSNNINFTSMQPAVQSIPCGIPYDAAAATAYYHHNLFQQFQPNYYGACDSAGLTPNIATQMTMMPQQPAEFYIWPHHQSN >ONI00895 pep chromosome:Prunus_persica_NCBIv2:G6:7852061:7855310:-1 gene:PRUPE_6G109700 transcript:ONI00895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMMNMIKPKPNPQQQLRDWQRKLRQECRNIERQIRDIQREEKSVQKAIKDAAKRNDMGSAKSLAKEIVRSRRTVNRLHENKAQLNSISMHLGESVAIARTVGHLSKSSEVMKLVNNLMKAPEVAVTMQEFSKEMTKAGVIEEMVNDSLDTALDSEDIEDEIEEEVDKVLTSIAGETAAQLPEAVRKERLKQPATAQAAQEEEAIAEGADDEEELEEIRARLAKVRS >ONI01331 pep chromosome:Prunus_persica_NCBIv2:G6:10476653:10477279:1 gene:PRUPE_6G133400 transcript:ONI01331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTSSLKFFSLLFASFAIVQMAMAGDPDIISDFLLPANGTVHANFFTYTGFRVLVGGGPPTTFKVLKATLAEFPALDGQSVSYAILEFPSGTTNPPHTHPRSAELLFLVDGTLEVGFIDTKNNLFTQTLQSGDLFVFPKGLVHFQYNADAQNPALAISAFGSANAGTVSLPNTLFTTGIDNNVLAISFKTDVATIQKLKAGLAAKS >ONI01335 pep chromosome:Prunus_persica_NCBIv2:G6:10493492:10495441:-1 gene:PRUPE_6G133800 transcript:ONI01335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVILRNNKTPKSQTPNCCLPSILVSVLWKSKRYALTVVLGILMGQRVGNGSGFGFVGGNNLVVDLNPSPYAIRPPDPRFEVGAMYETSKEVSSMPKVQPLSSGGQVVGRSASSLGFRNEDKGVEVWAVHRKESSAGGGIFMEYEIVHTCSLDETELHNCLQEQESVGLLDRGPICQHTHVPLFISCSSYLYKCHKEEKYSILNRLRVFHLERPGLGLKEIAQEYNLNWDSSNTEVGSSILNLEFF >ONH99614 pep chromosome:Prunus_persica_NCBIv2:G6:2952845:2955426:1 gene:PRUPE_6G039200 transcript:ONH99614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVKGNSRLSIAMERTGQWIFSQEIPSDVMVEVGEANFSLHKFMLVAKSNHIRKLVLESTEPDLTRINLSDIPGGPETFEKAAKFCYGVNFEITVQNVAALRCAAEYLEMTDKYCDNNLTGRTEDFLTQVALSSLSGAIVVLKSCEELLPISEDLKIVQRCVDVATSKASIEASFPSRTPTNWWTEELAILDIEFFGRFITAMKLRGAKSLIVASAIITYAEKWLRDLVRDHSGTGANSAFSDDSDLRIRQRELLEAIVSLLPSEKAALPINFLCCLLRSATFVKASSACKTELEKRISVILEHVTVDDLLVLSFTYDGERLFDLESVRKIISGFVEKEKSVAVFNAGDFREVCSAAMVRVAKTVDAYLGEIAPCVDLSISKFNGIANLVPKGARKVDDDLYRAVDIYLKAHPNLDEIEREKVCSVMDALKLSYEARVHASQNKRLPVQIVLHALYYDQLKLRSGVDENDMMQDAVTTRSQVQQDVSLARENESLRTELLRMKMYITDLQKSGPAAKGSLGSATTSSGKGGGGPRKGTFFSSVSKKLGKLNPFKQGSKDTSNIMDEGVDITKPRRRRFSIS >ONH99613 pep chromosome:Prunus_persica_NCBIv2:G6:2952817:2955446:1 gene:PRUPE_6G039200 transcript:ONH99613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQALPHPPPPPMASSVKGNSRLSIAMERTGQWIFSQEIPSDVMVEVGEANFSLHKFMLVAKSNHIRKLVLESTEPDLTRINLSDIPGGPETFEKAAKFCYGVNFEITVQNVAALRCAAEYLEMTDKYCDNNLTGRTEDFLTQVALSSLSGAIVVLKSCEELLPISEDLKIVQRCVDVATSKASIEASFPSRTPTNWWTEELAILDIEFFGRFITAMKLRGAKSLIVASAIITYAEKWLRDLVRDHSGTGANSAFSDDSDLRIRQRELLEAIVSLLPSEKAALPINFLCCLLRSATFVKASSACKTELEKRISVILEHVTVDDLLVLSFTYDGERLFDLESVRKIISGFVEKEKSVAVFNAGDFREVCSAAMVRVAKTVDAYLGEIAPCVDLSISKFNGIANLVPKGARKVDDDLYRAVDIYLKAHPNLDEIEREKVCSVMDALKLSYEARVHASQNKRLPVQIVLHALYYDQLKLRSGVDENDMMQDAVTTRSQVQQDVSLARENESLRTELLRMKMYITDLQKSGPAAKGSLGSATTSSGKGGGGPRKGTFFSSVSKKLGKLNPFKQGSKDTSNIMDEGVDITKPRRRRFSIS >ONH99581 pep chromosome:Prunus_persica_NCBIv2:G6:2818043:2822293:1 gene:PRUPE_6G037300 transcript:ONH99581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQESKPTTGQETVQSRNTEQNFTLQRNYRRTIKPTQYPQSSQLFTALEDFSFSLVYRLTRLISLLSFEGYHKLRKAQRVEWNNRGFSTVHAIAVAFSSFYLLLLSDTFHEDHRDELIISRRSTLSDTTLGISIGYFLADLGMIFWHFPALGGLEYVLHHALSMFSIFLSLVSGKGQIYILMVLFSESTTPFVNLRWYLDVAGKKNSNLYVINGVALFLGWLVARILLFIYFFIHMFIHFDQVKTIFPLGFYSLLLVPPVLAVMNLVWFWKIVKGLIKTVSKATHSQ >ONH99584 pep chromosome:Prunus_persica_NCBIv2:G6:2818043:2822293:1 gene:PRUPE_6G037300 transcript:ONH99584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFPFPLGLISSITGYASLSEEFHWLASASIGIILCNIVYRLTRLISLLSFEGYHKLRKAQRVEWNNRGFSTVHAIAVAFSSFYLLLLSDTFHEDHRDELIISRRSTLSDTTLGISIGYFLADLGMIFWHFPALGGLEYVLHHALSMFSIFLSLVSGKGQIYILMVLFSESTTPFVNLRWYLDVAGKKNSNLYVINGVALFLGWLVARILLFIYFFIHMFIHFDQVKTIFPLGFYSLLLVPPVLAVMNLVWFWKIVKGLIKTVSKATHSQ >ONH99585 pep chromosome:Prunus_persica_NCBIv2:G6:2818095:2822293:1 gene:PRUPE_6G037300 transcript:ONH99585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFPFPLGLISSITGYASLSEEFHWLASASIGIILCNIVYRLTRLISLLSFEGYHKLRKAQRVEWNNRGFSTVHAIAVAFSSFYLLLLSDTFHEDHRDELIISRRSTLSDTTLGISIGYFLADLGMIFWHFPALGGLEYVLHHALSMFSIFLSLVSGKGQIYILMVLFSESTTPFVNLRWYLDVAGKKNSNLYVINGVALFLGWLVKTIFPLGFYSLLLVPPVLAVMNLVWFWKIVKGLIKTVSKATHSQ >ONH99583 pep chromosome:Prunus_persica_NCBIv2:G6:2818114:2822293:1 gene:PRUPE_6G037300 transcript:ONH99583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFPFPLGLISSITGYASLSEEFHWLASASIGIILCNIVYRLTRLISLLSFEGYHKLRKAQRVEWNNRGFSTVHAIAVAFSSFYLLLLSDTFHEDHRDELIISRRSTLSDTTLGISIGYFLADLGMIFWHFPALGGLEYVLHHALSMFSIFLSLVSGKGQIYILMVLFSESTTPFVNLRWYLDVAGKKNSNLYVINGVALFLGWLVARILLFIYFFIHMFIHFDQVKTIFPLGFYSLLLVPPVLAVMNLVWFWKIVKGLIKTVSKATHSQ >ONH99582 pep chromosome:Prunus_persica_NCBIv2:G6:2818659:2821758:1 gene:PRUPE_6G037300 transcript:ONH99582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFPFPLGLISSITGYASLSEEFHWLASASIGIILCNIVYRLTRLISLLSFEGYHKLRKAQRVEWNNRGFSTVHAIAVAFSSFYLLLLSDTFHEDHRDELIISRRSTLSDTTLGISIGYFLADLGMIFWHFPALGGLEYVLHHALSMFSIFLSLVSGKGQIYILMVLFSESTTPFVNLRWYLDVAGKKNSNLYVINGVALFLGWLVARILLFIYFFIHMFIHFDQVKTIFPLGFYSLLLVPPVLAVMNLVWFWKIVKGLIKTVSKATHSQ >ONH99586 pep chromosome:Prunus_persica_NCBIv2:G6:2818114:2822293:1 gene:PRUPE_6G037300 transcript:ONH99586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFPFPLGLISSITGYASLSEEFHWLASASIGIILCNIVYRLTRLISLLSFEGYHKLRKAQRVEWNNRGFSTVHAIAVAFSSFYLLLLSDTFHEDHRDELIISRRSTLSDTTLGISIGYFLADLGMIFWHFPALGGLEYVLHHALSMFSIFLSLVSGKGQIYILMVLFSESTTPFVNLRWYLDVAGKKNSNLYVINGVALFLGWLVKTIFPLGFYSLLLVPPVLAVMNLVWFWKIVKGLIKTVSKATHSQ >ONH99655 pep chromosome:Prunus_persica_NCBIv2:G6:3056436:3058635:-1 gene:PRUPE_6G041300 transcript:ONH99655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLKPESLQNYIKQMDELTKIMLLRETENKDTIKAVVTMKKLTFNIASSILFGIKDEHTREVLFDDFSLAFKAGWSVPINFPGTVYWRGLRARSRIVSRILPILKERREEILQGKLSPTSNVFSCLLALRDENEQPISDDLILDNYVTLMIASHDTSATLMSLMIWKLSTDSEIYKKVLDEQMDILRKREEGAEDRLTWAEIQKMKYTWRVAQELMRIIPPVFGSFRKALKDTEYGGYDIPKGWQVYCVSHGTHMNKEIFEKPTEFDPSRFENPSKPIPPYAYIPFGGGLHTCIGNEFARVEVLTTIHNLVTMFEWSQVFPDEIITRQPMPYPSMGLPIKIRPRKL >ONH99654 pep chromosome:Prunus_persica_NCBIv2:G6:3056436:3058864:-1 gene:PRUPE_6G041300 transcript:ONH99654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNFILVIAITLIVAFFLSKFMFKGQTKNLPKGSLGYPLIGETFSFLRAQKQDRGPEWLEERTSKHGPVFKTSLMGSPTVVAVGQAGNKFVLGTEEDVLSAKKPVALVAIAGKQNIFELTGSRYRLVKGAMVSFLKPESLQNYIKQMDELTKIMLLRETENKDTIKAVVTMKKLTFNIASSILFGIKDEHTREVLFDDFSLAFKAGWSVPINFPGTVYWRGLRARSRIVSRILPILKERREEILQGKLSPTSNVFSCLLALRDENEQPISDDLILDNYVTLMIASHDTSATLMSLMIWKLSTDSEIYKKVLDEQMDILRKREEGAEDRLTWAEIQKMKYTWRVAQELMRIIPPVFGSFRKALKDTEYGGYDIPKGWQVYCVSHGTHMNKEIFEKPTEFDPSRFENPSKPIPPYAYIPFGGGLHTCIGNEFARVEVLTTIHNLVTMFEWSQVFPDEIITRQPMPYPSMGLPIKIRPRKL >ONI00433 pep chromosome:Prunus_persica_NCBIv2:G6:6042590:6047070:-1 gene:PRUPE_6G088700 transcript:ONI00433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTSCSGWSISPLKLVPEFMAIEKNNFKVSRFDSEFSPGSRKSMSSDEDELQQRSSAAESDDDDEFDDADSGAGSDDFDLLELGETGVEFCQVGSQTCSIPFELYDIPSLEDILSVDVWNECLSEEEQFGLTKYLPDLDQETFMITLKELFTGCNFHFGSPVKKLFDMLKGGLCEPRVALYREGLNFFQKRQHYNILRKHQNNMVSNLCQIRDAWLNCKGYSIEERLRVLNIMRIQKSLMGEKMEDMETDSSERESGEGLQINKIKDRKVAQKIARYSPYGVGTNVDFASRGRSSAMELAKYGKQNPKGILKMAGSKTSSAKELASHSGPYSSAVALPQQIKAGGYDSRATLRMRDQLISGDDVEDTTYGIGVQRDRSVSRSSLMDKSGVFKVGKKLDLLRGDELITDTLLGVPVSSKTDVHAYGRNRNANLLSESKVITAKPPNLRTPYDFGKKAKYPENVQQFTVGDQMKSLKSRLPQPPLRGDRADSSDRAELFWHNRNEGETFPMDSPLRADDWNVRSKKWKIGRESPDLNYKSYRASPPQMNDRFLSSEFKAKPFQEKIRGNRVQNGGSDMAALKSNRMFVKNEDTESDSSEQFEDDEDSNPLLRSKLAYPSGVMEASPSSLLKPALDAKRGKYVKKEAKDSLRALDGINYPSNKMGGFVEHGHMRSLENYTAKAKQKGKMRDNSPMHNSSTRVLEERYISGLGKFHDEDDDYDERKQIYKLGKNAQFEGEAGERLHIPSWKTYPTTGKQKREVGHDHSVPESRYFVDEEDDSLEMRSLANGSGHGRFRKKGQNTEAYVSDRHERIEVPLLGCNLMTKKRKGKEDSDTGRGDDDGDLQSNHLQRIVDSNSSKKRAKRKVENDNVSSDVEISDPPITEMGATDMEPETKPQKKPFIPITPTVHTGFSFSIVHLLSAVRLAMITPLSEDAFDVGGPIDEQNKNHEGCVNGVLSRQKVDANNSELAGEVNMPSLTVQEIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKTLAAYEKATKSWSWTGPVFHGSSDHDTSDEVTSPEAWGLPHKMLVKLVDSFANWLKCGQETLQQIGILPEPPLELMQLNLDEKERFRDLRAQKSLNTINPSSEEVRAYFRKEEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDSAEQPDQGAVTVAYHGTGEQAGYDLCSDLNVEPSSCLDDVRQDVDDNVDTNHGSEQDEMHQDDPILWEEGLGLNPMRENKLLCQENSTNEDFDDETFGRERTVGLLSASLL >ONI05017 pep chromosome:Prunus_persica_NCBIv2:G6:29976438:29981222:-1 gene:PRUPE_6G351800 transcript:ONI05017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKVNMEEIIAYNMKAKSYCPYDLSVLRMPINICTEEQSFLTYESWRCFFTAKDLQEAWPVLKSALKEYGISCALDLDHCSSWRLRKFKCPNLLCHILEHSCTFVFKAVKVLNGMPCYLVYTGISMFSGKKLLMSLPVQELEKLTCCNIYLCPEVAVVEDCIVHNMPPAPRVRRLANYIQAIKGVEALRL >ONI05019 pep chromosome:Prunus_persica_NCBIv2:G6:29976903:29980906:-1 gene:PRUPE_6G351800 transcript:ONI05019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKVNMEEIIAYNMKAKSYCPYDLSVLRMPINICTEEQSFLTYESWRCFFTAKDLQEAWPVLKSALKEYGISCALDLAVKVLNGMPCYLVYTGYVFDGFCQKFDIKRDKYVFRKKTAHESPGTGT >ONI05018 pep chromosome:Prunus_persica_NCBIv2:G6:29976438:29981222:-1 gene:PRUPE_6G351800 transcript:ONI05018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKVNMEEIIAYNMKAKSYCPYDLSVLRMPINICTEEQSFLTYESWRCFFTAKDLQEAWPVLKSALKEYGISCALDLDHCSSWRLRKFKCPNLLCHILEHSCTFVFKAVKVLNGMPCYLVYTGISMFSGKKLLMSLPVQELEKLTCCNIYLCPEVAVVEDCIVHNMPPAPRVRRLANYIQAIKGVEALRL >ONI05023 pep chromosome:Prunus_persica_NCBIv2:G6:29979637:29980906:-1 gene:PRUPE_6G351800 transcript:ONI05023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKVNMEEIIAYNMKAKSYCPYDLSVLRMPINICTEEQSFLTYESWRCFFTAKDLQEAWPVLKSALKEYGISCALDLDHCSSWRLRKFKCPNLLCHILEHSCTFVFKAISLIVLKVKGKHLFPFCFTLTTMLLRELSSKMSTL >ONI05020 pep chromosome:Prunus_persica_NCBIv2:G6:29976903:29980906:-1 gene:PRUPE_6G351800 transcript:ONI05020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKVNMEEIIAYNMKAKSYCPYDLSVLRMPINICTEEQSFLTYESWRCFFTAKDLQEAWPVLKSALKEYGISCALDLAVKVLNGMPCYLVYTGYVFDGFCQKFDIKRDKYVFRKKTAHESPGTGT >ONI05016 pep chromosome:Prunus_persica_NCBIv2:G6:29976409:29981222:-1 gene:PRUPE_6G351800 transcript:ONI05016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKVNMEEIIAYNMKAKSYCPYDLSVLRMPINICTEEQSFLTYESWRCFFTAKDLQEAWPVLKSALKEYGISCALDLAVKVLNGMPCYLVYTGISMFSGKKLLMSLPVQELEKLTCCNIYLCPEVAVVEDCIVHNMPPAPRVRRLANYIQAIKGVEALRL >ONI05022 pep chromosome:Prunus_persica_NCBIv2:G6:29976903:29980906:-1 gene:PRUPE_6G351800 transcript:ONI05022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKVNMEEIIAYNMKAKSYCPYDLSVLRMPINICTEEQSFLTYESWRCFFTAKDLQEAWPVLKSALKEYGISCALDLDHCSSWRLRKFKCPNLLCHILEHSCTFVFKAVKVLNGMPCYLVYTGYVFDGFCQKFDIKRDKYVFRKKTAHESPGTGT >ONI05015 pep chromosome:Prunus_persica_NCBIv2:G6:29976434:29981222:-1 gene:PRUPE_6G351800 transcript:ONI05015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKVNMEEIIAYNMKAKSYCPYDLSVLRMPINICTEEQSFLTYESWRCFFTAKDLQEAWPVLKSALKEYGISCALDLAVKVLNGMPCYLVYTGISMFSGKKLLMSLPVQELEKLTCCNIYLCPEVAVVEDCIVHNMPPAPRVRRLANYIQAIKGVEALRL >ONI05021 pep chromosome:Prunus_persica_NCBIv2:G6:29976903:29980906:-1 gene:PRUPE_6G351800 transcript:ONI05021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKVNMEEIIAYNMKAKSYCPYDLSVLRMPINICTEEQSFLTYESWRCFFTAKDLQEAWPVLKSALKEYGISCALDLDHCSSWRLRKFKCPNLLCHILEHSCTFVFKAVKVLNGMPCYLVYTGYVFDGFCQKFDIKRDKYVFRKKTAHESPGTGT >ONI01640 pep chromosome:Prunus_persica_NCBIv2:G6:13014166:13017298:-1 gene:PRUPE_6G150500 transcript:ONI01640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRPSSSFLLVGLLWLCCWGAMARAGAEYMAYKDPKQPLNRRIKDLMGRMTLEEKIGQMTQLDRANVTAEIMRDFSLGSVLSGGGSVPREQASPQDWINMFNEFQKGALSSRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPELVKKIGAATALEVRATGINYAFAPCIAVCRDPRWGRCYESYSEDPAVVIQMTDVILGLQGEIPAGSRKGVPYVGGKDKVAACAKHFVGDGGTTRGINENNTVIDRHGLLSIHMPAYYHSIIKGVSTIMVSYSSLNGEKMHANHELVTRFLKDTLKFRGFVISDWQGIDKINYPLHSNYPEAVLAGVQAGIDMVMVPFNHTEFIGIVTDHVNNKRIPMSRIDDAVRRILKVKFVMGLFENPLADESFVDKLGSQAHRDLAREAVRKSLVLLKNGENAHTPVLPLPKKTKRILVAGTHANNLGYQCGGWSLTWQGVSGNNYTAGTTILSAITAAVDPSTEIVFSENPEADFLKSNNFSYAIVVVGEQPYAETKGDSLNLTIAEPGPQTITNVCGAVKCVVVVVSGRPVVIEPYVSSMDALVAAWLPGTEGQGVTDVLFGDYGFSGKLPRTWFKTVDQLPMNVGDAHYDPLFPFDFGLTTDSVEQL >ONI04495 pep chromosome:Prunus_persica_NCBIv2:G6:28574200:28578896:-1 gene:PRUPE_6G324300 transcript:ONI04495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCKYLSPLSFRTKAPCGARMNSVVAAATINNPDHATSPNGLLPMTMKFFPGFLRMTDVARSQPLTVASSAKPSQNITVDPHPKDGGKKIKGRVVLMKKNVLELNDLKASFLDRVHELWGKVVSLQLISSVNGDPENGRGKVGKPAYLEDWVTTITPLTAGECTFEVTFDWDEEIGVPGAFIIRNDHHSEFYLKTLTLEDVPGEGRIHFVCNSWVYPAKNYKKDRVFFANKTYLLSDTPGPLKKFREEELVNLRGDDDDETELQEWDRVYGYAYYNDLGKPHKGPKYARPILGGSSKFPYPRRGRTGRRPTKEDPESETPMMLLLSLFIYVPRDERFGHLKMSDLIAYALKSISQLLRPDELASILVGPQKHFDSLEDVLKLYEGGIELPEGILKSVRDNIPAETIKELFRTDGEKFLKFPVPQVIKVDKSAWKTDEEFAREMLAGINPVVIRRLQEFPPASKLDQNIYGDQTSQITKEHIGHNLDGLSIDEAIKNKKLFILDHHDALMPYLRRINTTSTKTYASRTLLFLENDGTLKPLAIELSLPHPGGDQFGCISKVYTPSSQGVESSIWQLAKAYVNVNDSGYHQLISHWLRTHAVMEPFVIATNRQLSVLHPIHKLLHPHFRDTMNVNAVARQVLINAGGILEATVFPAKFSMEWSSVMYKSWVFPEQALPIDLIKRGMAVEDPNSSHGVRLLIEDYPYAADGLEIWSAIKTWVKDYCSFYYKTDEMVQKDSELQSWWKELREEGHGDKKDEPWWPKMQTCEELIESCTIIIWIASAHHAAINFGQYPFGGYPPNRPSISLQFMPEEGTPEYEELKTNPEKAFLKTITPQLQTLLGMASIEILSRHSADELYLGQRDAPEWTADNDILQASKKFRKKLEEIEENMKRMNKDEKLKNRVGPAKMPYTLLHPSSEAGLTGKGIPNSVSI >ONI04302 pep chromosome:Prunus_persica_NCBIv2:G6:28084869:28087309:1 gene:PRUPE_6G314700 transcript:ONI04302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDEGLANVPFLILGNKIDIPYAASEEELRFHLGLNNFTTGKGKVNLTDSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >ONI01097 pep chromosome:Prunus_persica_NCBIv2:G6:9010413:9017689:-1 gene:PRUPE_6G121200 transcript:ONI01097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLGPPSSGKTTFLKALSGKLDDDLRVTGKVTYCGHELSEFVPKRTCAYISQHDLHYGEMTVRETLDFSGRCLGVGTRYEMLVEASRREKQEDIKPDPEIDAFMKATAVAGQKTSLITDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKVFFMDEISTGLDSSTTFQIIKYMKQMVHIMDVTMVISLLQPAPETFDLFDDIILLSEGQIVYQGPRENVLEFFEHMGFQCPQRKGVADFLQEVTSKKDQEQYWFRKNQPYKYISVTEFAHAFNSFHIFQKLSEDLRVPYDRSRVHHAALVRAKYGISHWELFKACFSREWLLMKRNSFVYIFKTTQITIMATIALTVFLRTQMRAGHLEDAPKFWGALFFSLINVMFNGMAELAMTVFRLPLFFKQRDALFYPAWAFGLPICLLRIPISLLESGIWIILTYYTIGFAPAASRFFKQFLAFFGVHQMALSLFRFIAAVGRTEIVASTIGTFTLLMVFVLGGFIVSKNDIKPWMIWGYYISPMMYGQNAIAINEFLDKRWSTPINGSSQPTVGKTLLKERGLFVDEYWYWICIGALMGYSLLFNILFIAALTFFKPLVDSKAVIADENSESNTKKQVTSNPEGTDNLVRNARGTASSISSSNNQSRRGMVLPFQPLSLAFDHVNYYVDMPAEMKSQGVVENRLQLLQDVSGAFRPGVLTALVGVSGAGKTTLMDVLSGRKTGGYIEGSISISGYTKNQATFARVSGYCEQNDIHSPYVTIFESLLYSSWLRLASDVKKETREMFVEEVMELVELYPLRNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGKVIYAGPLGRHSHKLVEYFEAIPGVQKIKEGYNPATWMLEISSTAVEAQLKIDFAQVYANSDLYRRNQELIKELSTPQPGSNDLYFATRYSQSFITQCKACFWKQHWSYWRNSRYNAIRFFMTIVIGILFGVIFWGKGDQIHKQQDLINLLGATYAAVLFLGASNASAVQSVVAIERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTFIYALLLYSMIGYDWKVEKFLYFYYFIFMCFTYFSMYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRPLIPIWWRWYYWASPVAWTIYGIFTSQVGDKKTLLEIPGSAPKPVDAFLKEFLGYDYDFLVPVVLAHVGWVLLFFFVFAYGIRFLNFQRR >ONI01096 pep chromosome:Prunus_persica_NCBIv2:G6:9010413:9018477:-1 gene:PRUPE_6G121200 transcript:ONI01096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALAGDGLVRSASNMSWRTISLKDMWNEQPDVFQRSGAAEEEEEELKWAAIERLPTYERMRKGVMRQVMSNGRVVHDEVDVTKLRTEDKKQLMDSILKVVEDDNEKLLKRLRDRTDRVGIEVPKIEVRFEHLSVEGDAYVGTRALPTLYNSTLNAIEGVLGLVGLSPSKKRVVKILQDVSGIVRPSRMCLLLGPPSSGKTTFLKALSGKLDDDLRVTGKVTYCGHELSEFVPKRTCAYISQHDLHYGEMTVRETLDFSGRCLGVGTRYEMLVEASRREKQEDIKPDPEIDAFMKATAVAGQKTSLITDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKVFFMDEISTGLDSSTTFQIIKYMKQMVHIMDVTMVISLLQPAPETFDLFDDIILLSEGQIVYQGPRENVLEFFEHMGFQCPQRKGVADFLQEVTSKKDQEQYWFRKNQPYKYISVTEFAHAFNSFHIFQKLSEDLRVPYDRSRVHHAALVRAKYGISHWELFKACFSREWLLMKRNSFVYIFKTTQITIMATIALTVFLRTQMRAGHLEDAPKFWGALFFSLINVMFNGMAELAMTVFRLPLFFKQRDALFYPAWAFGLPICLLRIPISLLESGIWIILTYYTIGFAPAASRFFKQFLAFFGVHQMALSLFRFIAAVGRTEIVASTIGTFTLLMVFVLGGFIVSKNDIKPWMIWGYYISPMMYGQNAIAINEFLDKRWSTPINGSSQPTVGKTLLKERGLFVDEYWYWICIGALMGYSLLFNILFIAALTFFKPLVDSKAVIADENSESNTKKQVTSNPEGTDNLVRNARGTASSISSSNNQSRRGMVLPFQPLSLAFDHVNYYVDMPAEMKSQGVVENRLQLLQDVSGAFRPGVLTALVGVSGAGKTTLMDVLSGRKTGGYIEGSISISGYTKNQATFARVSGYCEQNDIHSPYVTIFESLLYSSWLRLASDVKKETREMFVEEVMELVELYPLRNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGKVIYAGPLGRHSHKLVEYFEAIPGVQKIKEGYNPATWMLEISSTAVEAQLKIDFAQVYANSDLYRRNQELIKELSTPQPGSNDLYFATRYSQSFITQCKACFWKQHWSYWRNSRYNAIRFFMTIVIGILFGVIFWGKGDQIHKQQDLINLLGATYAAVLFLGASNASAVQSVVAIERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTFIYALLLYSMIGYDWKVEKFLYFYYFIFMCFTYFSMYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRPLIPIWWRWYYWASPVAWTIYGIFTSQVGDKKTLLEIPGSAPKPVDAFLKEFLGYDYDFLVPVVLAHVGWVLLFFFVFAYGIRFLNFQRR >ONI00799 pep chromosome:Prunus_persica_NCBIv2:G6:7500446:7501329:1 gene:PRUPE_6G105800 transcript:ONI00799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSVLLVSLSLILINIITLPLLSTAISSCNGACKTLNDCAGQLICINGKCNDDPDIGSNECGGGGGSSSPPPTTNNCQPSGTLVCQGKSYPKYTCSPPVTSSTKASLTLNDFSEGGDGGGPSYCDEKYHANSERVVALSTGWFDNKSRCLKMIRIRASNGKSVTAKVVDECDSRAGCDAEHAGQPPCRNDIVDGSAAVWNALGLNQDLGVVPVTWSMA >ONI04044 pep chromosome:Prunus_persica_NCBIv2:G6:27225168:27227470:-1 gene:PRUPE_6G298600 transcript:ONI04044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEGFQVAMICWSLVLIGIISVLMRLVKEMWLKPARIRSALWRQGIRGPAPSFIFGNVSEMKKIQSSNININQKPSGVKRVQHNWVPSFFPYLQQWEQQYGQVYMYSTGSKQHLYVGDPKLLRELKLHNSLDLGRPTYLSKPMQPLLGDSVIRANGEEWAYQKKIIAPEFFLDKVKGMVGLMEESTKAIIKTWESRILESEEGIVDITIDEDLKSLSADIISRACFGSSYSQGNQIFAKIATLQDTLSHPSLLFGFLNFRFLPTENDKKVRSLKKEVDALLLKLVRDRQAESQSCGTSEKDLLQMILESAASSTEMPSHKTDQFILDNCRTIYFAGSETTALAASWTLMLLALQPEWQDRVRAEIFEVCGDDDQLHHCLQDMDTLRKLKTLTMVIQESLRLYGPGVIMAREALTNMKLGDLDVPEGIHIWTFIPALHRDPENWGSDADEFKPERFENGVSESCKYPQAYMPFGYGSRLCMGQTFAMLQLKIVLSLILSKFSFSLSPNYQHCPVYKILLLPQHGIKLLVRRVQ >ONH99486 pep chromosome:Prunus_persica_NCBIv2:G6:2502118:2506874:1 gene:PRUPE_6G032600 transcript:ONH99486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKLQGLEIQSQLEMESLDNSSIHEFEDESPFTPGAEAQKTHSMQAVGECSFSRGSQASGDKVWRNICRSIKTVVFSTKLNLLMPFGPLAIFVYKLTGHNGWVFFLSLLGITPLAERLGYATEQLAFYTGATVGGLLNATFGNATELIISIYALKRGMLRVVQQSLLGSILSNMLLVLGCAFFSGGLVFHEKEQVFNKATAVVNSGLLLMAVMGLLFPAVLHYTHTEVHFGKSELALSRFSSCIMLVAYATYLFFQLKSQKNLYVPLNEEVSQNEENSDDDEAPEISKWESIIWLSIMTAWISILSEYLVNAIEGASVAWNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVVGWIMGRPMDLNFQLFETATLFITVLVVAFMLQDGTSNYFKGLMLILCYLIVAASFFVHVDPSPADETQSKT >ONH99485 pep chromosome:Prunus_persica_NCBIv2:G6:2502544:2506857:1 gene:PRUPE_6G032600 transcript:ONH99485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKLQGLEIQSQLEMESLDNSSIHEFEDESPFTPGAEAQKTHSMQAVGECSFSRGSQASGDKVWRNICRSIKTVVFSTKLNLLMPFGPLAIFVYKLTGHNGWVFFLSLLGITPLAERLGYATEQLAFYTGATVGGLLNATFGNATELIISIYALKRGMLRVVQQSLLGSILSNMLLVLGCAFFSGGLVFHEKEQVFNKATAVVNSGLLLMAVMGLLFPAVLHYTHTEVHFGKSELALSRFSSCIMLVAYATYLFFQLKSQKNLYVPLNEEVSQNEENSDDDEAPEISKWESIIWLSIMTAWISILSEYLVNAIEGASVAWNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVVGWIMGRPMDLNFQLFETATLFITVLVVAFMLQDGTSNYFKGLMLILCYLIVAASFFVHVDPSPADETQSKT >ONH99484 pep chromosome:Prunus_persica_NCBIv2:G6:2502116:2506923:1 gene:PRUPE_6G032600 transcript:ONH99484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKLQGLEIQSQLEMESLDNSSIHEFEDESPFTPGAEAQKTHSMQAVGECSFSRGSQASGDKVWRNICRSIKTVVFSTKLNLLMPFGPLAIFVYKLTGHNGWVFFLSLLGITPLAERLGYATEQLAFYTGATVGGLLNATFGNATELIISIYALKRGMLRVVQQSLLGSILSNMLLVLGCAFFSGGLVFHEKEQVFNKATAVVNSGLLLMAVMGLLFPAVLHYTHTEVHFGKSELALSRFSSCIMLVAYATYLFFQLKSQKNLYVPLNEEVSQNEENSDDDEAPEISKWESIIWLSIMTAWISILSEYLVNAIEGASVAWNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVVGWIMGRPMDLNFQLFETATLFITVLVVAFMLQDGTSNYFKGLMLILCYLIVAASFFVHVDPSPADETQSKT >ONI02868 pep chromosome:Prunus_persica_NCBIv2:G6:22986315:22991087:-1 gene:PRUPE_6G225600 transcript:ONI02868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKGVMEISLFKALLKNISSFFHLSSNDNINLDPVLKYYKRAEEILKLLKTILDAIVDSEIASYEVLNKPFEELGHYIDELREQIEDWQPLLSKVNLVLQVESLITKIWTSGLDIFQLLKTCQQHLPDELGLASLERCIQKLKNMVDEQMSTVIKDSVSDQVEGVGPSSEILVKIAEGLSLRSNQEILIEAVALEKLKENAEQSEKIEEAEYIEHMISLVTRMHERLVTIKQSQSCSPVPIPADFCCPLSLELMTDPVIVASGQTYERTFIKHWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPTKSMGLNKATQLLGQAEHGAPKDSPPFPHSRVNQPMSPESARSMGSPTKNLFSSGPLHRERSSPLHPRSTSEGSLSGVVGNGQVLDIARITLANSEDRSANLEERSTDLASQHSMSPSLDEFPNSIEAEQSSQSHNRTASASSILSNANGTQGTPVNANGVLQVPSNLSGYSSDASGELKSEPQAVTVLATQQRETELPTRMAEVRPRSQMWRRPSGSLVPRIVSSPAVETRPDLSGLEAQVRSLVEDLKSTSLDTQREATLQIRLLAKHNMDNRIVIANCGAISLLVDLLHSTDTRIQENAVTALLNLSINDNNKTAIATANAIEPLIHVLETGSAEAKENSAATLFSLSVIEDNKVRIGRSGAIVPLVDLLGNGTPRGRKDAATALFNLSIFHENKGRIVQAGAVRYLVELMDPAAGMVDKAVAVLANLSTIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTNSNRYCSMVLQEGAVPPLVVLSQSGTPRAKEKAQTLLSYFRNHRHGNAGRG >ONI02869 pep chromosome:Prunus_persica_NCBIv2:G6:22986003:22992639:-1 gene:PRUPE_6G225600 transcript:ONI02869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKGVMEISLFKALLKNISSFFHLSSNDNINLDPVLKYYKRAEEILKLLKTILDAIVDSEIASYEVLNKPFEELGHYIDELREQIEDWQPLLSKVNLVLQVESLITKIWTSGLDIFQLLKTCQQHLPDELGLASLERCIQKLKNMVDEQMSTVIKDSVSDQVEGVGPSSEILVKIAEGLSLRSNQEILIEAVALEKLKENAEQSEKIEEAEYIEHMISLVTRMHERLVTIKQSQSCSPVPIPADFCCPLSLELMTDPVIVASGQTYERTFIKHWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPTKSMGLNKATQLLGQAEHGAPKDSPPFPHSRVNQPMSPESARSMGSPTKNLFSSGPLHRERSSPLHPRSTSEGSLSGVVGNGQVLDIARITLANSEDRSANLEERSTDLASQHSMSPSLDEFPNSIEAEQSSQSHNRTASASSILSNANGTQGTPVNANGVLQVPSNLSGYSSDASGELKSEPQAVTVLATQQRETELPTRMAEVRPRSQMWRRPSGSLVPRIVSSPAVETRPDLSGLEAQVRSLVEDLKSTSLDTQREATLQIRLLAKHNMDNRIVIANCGAISLLVDLLHSTDTRIQENAVTALLNLSINDNNKTAIATANAIEPLIHVLETGSAEAKENSAATLFSLSVIEDNKVRIGRSGAIVPLVDLLGNGTPRGRKDAATALFNLSIFHENKGRIVQAGAVRYLVELMDPAAGMVDKAVAVLANLSTIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTNSNRYCSMVLQEGAVPPLVVLSQSGTPRAKEKAQTLLSYFRNHRHGNAGRG >ONI02874 pep chromosome:Prunus_persica_NCBIv2:G6:22985993:22993259:-1 gene:PRUPE_6G225600 transcript:ONI02874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLFKALLKNISSFFHLSSNDNINLDPVLKYYKRAEEILKLLKTILDAIVDSEIASYEVLNKPFEELGHYIDELREQIEDWQPLLSKVNLVLQVESLITKIWTSGLDIFQLLKTCQQHLPDELGLASLERCIQKLKNMVDEQMSTVIKDSVSDQVEGVGPSSEILVKIAEGLSLRSNQEILIEAVALEKLKENAEQSEKIEEAEYIEHMISLVTRMHERLVTIKQSQSCSPVPIPADFCCPLSLELMTDPVIVASGQTYERTFIKHWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPTKSMGLNKATQLLGQAEHGAPKDSPPFPHSRVNQPMSPESARSMGSPTKNLFSSGPLHRERSSPLHPRSTSEGSLSGVVGNGQVLDIARITLANSEDRSANLEERSTDLASQHSMSPSLDEFPNSIEAEQSSQSHNRTASASSILSNANGTQGTPVNANGVLQVPSNLSGYSSDASGELKSEPQAVTVLATQQRETELPTRMAEVRPRSQMWRRPSGSLVPRIVSSPAVETRPDLSGLEAQVRSLVEDLKSTSLDTQREATLQIRLLAKHNMDNRIVIANCGAISLLVDLLHSTDTRIQENAVTALLNLSINDNNKTAIATANAIEPLIHVLETGSAEAKENSAATLFSLSVIEDNKVRIGRSGAIVPLVDLLGNGTPRGRKDAATALFNLSIFHENKGRIVQAGAVRYLVELMDPAAGMVDKAVAVLANLSTIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTNSNRYCSMVLQEGAVPPLVVLSQSGTPRAKEKAQTLLSYFRNHRHGNAGRG >ONI02871 pep chromosome:Prunus_persica_NCBIv2:G6:22986003:22993243:-1 gene:PRUPE_6G225600 transcript:ONI02871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSGVMEISLFKALLKNISSFFHLSSNDNINLDPVLKYYKRAEEILKLLKTILDAIVDSEIASYEVLNKPFEELGHYIDELREQIEDWQPLLSKVNLVLQVESLITKIWTSGLDIFQLLKTCQQHLPDELGLASLERCIQKLKNMVDEQMSTVIKDSVSDQVEGVGPSSEILVKIAEGLSLRSNQEILIEAVALEKLKENAEQSEKIEEAEYIEHMISLVTRMHERLVTIKQSQSCSPVPIPADFCCPLSLELMTDPVIVASGQTYERTFIKHWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPTKSMGLNKATQLLGQAEHGAPKDSPPFPHSRVNQPMSPESARSMGSPTKNLFSSGPLHRERSSPLHPRSTSEGSLSGVVGNGQVLDIARITLANSEDRSANLEERSTDLASQHSMSPSLDEFPNSIEAEQSSQSHNRTASASSILSNANGTQGTPVNANGVLQVPSNLSGYSSDASGELKSEPQAVTVLATQQRETELPTRMAEVRPRSQMWRRPSGSLVPRIVSSPAVETRPDLSGLEAQVRSLVEDLKSTSLDTQREATLQIRLLAKHNMDNRIVIANCGAISLLVDLLHSTDTRIQENAVTALLNLSINDNNKTAIATANAIEPLIHVLETGSAEAKENSAATLFSLSVIEDNKVRIGRSGAIVPLVDLLGNGTPRGRKDAATALFNLSIFHENKGRIVQAGAVRYLVELMDPAAGMVDKAVAVLANLSTIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTNSNRYCSMVLQEGAVPPLVVLSQSGTPRAKEKAQTLLSYFRNHRHGNAGRG >ONI02873 pep chromosome:Prunus_persica_NCBIv2:G6:22985993:22993289:-1 gene:PRUPE_6G225600 transcript:ONI02873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLFKALLKNISSFFHLSSNDNINLDPVLKYYKRAEEILKLLKTILDAIVDSEIASYEVLNKPFEELGHYIDELREQIEDWQPLLSKVNLVLQVESLITKIWTSGLDIFQLLKTCQQHLPDELGLASLERCIQKLKNMVDEQMSTVIKDSVSDQVEGVGPSSEILVKIAEGLSLRSNQEILIEAVALEKLKENAEQSEKIEEAEYIEHMISLVTRMHERLVTIKQSQSCSPVPIPADFCCPLSLELMTDPVIVASGQTYERTFIKHWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPTKSMGLNKATQLLGQAEHGAPKDSPPFPHSRVNQPMSPESARSMGSPTKNLFSSGPLHRERSSPLHPRSTSEGSLSGVVGNGQVLDIARITLANSEDRSANLEERSTDLASQHSMSPSLDEFPNSIEAEQSSQSHNRTASASSILSNANGTQGTPVNANGVLQVPSNLSGYSSDASGELKSEPQAVTVLATQQRETELPTRMAEVRPRSQMWRRPSGSLVPRIVSSPAVETRPDLSGLEAQVRSLVEDLKSTSLDTQREATLQIRLLAKHNMDNRIVIANCGAISLLVDLLHSTDTRIQENAVTALLNLSINDNNKTAIATANAIEPLIHVLETGSAEAKENSAATLFSLSVIEDNKVRIGRSGAIVPLVDLLGNGTPRGRKDAATALFNLSIFHENKGRIVQAGAVRYLVELMDPAAGMVDKAVAVLANLSTIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTNSNRYCSMVLQEGAVPPLVVLSQSGTPRAKEKAQTLLSYFRNHRHGNAGRG >ONI02870 pep chromosome:Prunus_persica_NCBIv2:G6:22986003:22993289:-1 gene:PRUPE_6G225600 transcript:ONI02870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSGVMEISLFKALLKNISSFFHLSSNDNINLDPVLKYYKRAEEILKLLKTILDAIVDSEIASYEVLNKPFEELGHYIDELREQIEDWQPLLSKVNLVLQVESLITKIWTSGLDIFQLLKTCQQHLPDELGLASLERCIQKLKNMVDEQMSTVIKDSVSDQVEGVGPSSEILVKIAEGLSLRSNQEILIEAVALEKLKENAEQSEKIEEAEYIEHMISLVTRMHERLVTIKQSQSCSPVPIPADFCCPLSLELMTDPVIVASGQTYERTFIKHWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPTKSMGLNKATQLLGQAEHGAPKDSPPFPHSRVNQPMSPESARSMGSPTKNLFSSGPLHRERSSPLHPRSTSEGSLSGVVGNGQVLDIARITLANSEDRSANLEERSTDLASQHSMSPSLDEFPNSIEAEQSSQSHNRTASASSILSNANGTQGTPVNANGVLQVPSNLSGYSSDASGELKSEPQAVTVLATQQRETELPTRMAEVRPRSQMWRRPSGSLVPRIVSSPAVETRPDLSGLEAQVRSLVEDLKSTSLDTQREATLQIRLLAKHNMDNRIVIANCGAISLLVDLLHSTDTRIQENAVTALLNLSINDNNKTAIATANAIEPLIHVLETGSAEAKENSAATLFSLSVIEDNKVRIGRSGAIVPLVDLLGNGTPRGRKDAATALFNLSIFHENKGRIVQAGAVRYLVELMDPAAGMVDKAVAVLANLSTIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTNSNRYCSMVLQEGAVPPLVVLSQSGTPRAKEKAQTLLSYFRNHRHGNAGRG >ONI02872 pep chromosome:Prunus_persica_NCBIv2:G6:22985992:22993289:-1 gene:PRUPE_6G225600 transcript:ONI02872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGRYYFENYFVYAFPLLLKTLSLFLSFCKETIFTCLYIDTGVMEISLFKALLKNISSFFHLSSNDNINLDPVLKYYKRAEEILKLLKTILDAIVDSEIASYEVLNKPFEELGHYIDELREQIEDWQPLLSKVNLVLQVESLITKIWTSGLDIFQLLKTCQQHLPDELGLASLERCIQKLKNMVDEQMSTVIKDSVSDQVEGVGPSSEILVKIAEGLSLRSNQEILIEAVALEKLKENAEQSEKIEEAEYIEHMISLVTRMHERLVTIKQSQSCSPVPIPADFCCPLSLELMTDPVIVASGQTYERTFIKHWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPTKSMGLNKATQLLGQAEHGAPKDSPPFPHSRVNQPMSPESARSMGSPTKNLFSSGPLHRERSSPLHPRSTSEGSLSGVVGNGQVLDIARITLANSEDRSANLEERSTDLASQHSMSPSLDEFPNSIEAEQSSQSHNRTASASSILSNANGTQGTPVNANGVLQVPSNLSGYSSDASGELKSEPQAVTVLATQQRETELPTRMAEVRPRSQMWRRPSGSLVPRIVSSPAVETRPDLSGLEAQVRSLVEDLKSTSLDTQREATLQIRLLAKHNMDNRIVIANCGAISLLVDLLHSTDTRIQENAVTALLNLSINDNNKTAIATANAIEPLIHVLETGSAEAKENSAATLFSLSVIEDNKVRIGRSGAIVPLVDLLGNGTPRGRKDAATALFNLSIFHENKGRIVQAGAVRYLVELMDPAAGMVDKAVAVLANLSTIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTNSNRYCSMVLQEGAVPPLVVLSQSGTPRAKEKAQTLLSYFRNHRHGNAGRG >ONI05228 pep chromosome:Prunus_persica_NCBIv2:G6:30547993:30557964:-1 gene:PRUPE_6G363600 transcript:ONI05228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVSTLYSFSFIPSIMHHLEALLLAVNLKKIVLDNCMQNIIIPTTKVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05225 pep chromosome:Prunus_persica_NCBIv2:G6:30547686:30558666:-1 gene:PRUPE_6G363600 transcript:ONI05225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05239 pep chromosome:Prunus_persica_NCBIv2:G6:30547687:30555712:-1 gene:PRUPE_6G363600 transcript:ONI05239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05227 pep chromosome:Prunus_persica_NCBIv2:G6:30547993:30557964:-1 gene:PRUPE_6G363600 transcript:ONI05227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVSTLYSFSFIPSIMHHLEALLLAVNLKKIVLDNCMQNIIIPTTKVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05237 pep chromosome:Prunus_persica_NCBIv2:G6:30547993:30557964:-1 gene:PRUPE_6G363600 transcript:ONI05237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQQAEALKMHTDLKVGMYWGDMGVDFWEADMWKQQIEKYEVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVSTLYSFSFIPSIMHHLEALLLAVNLKKIVLDNCMQNIIIPTTKVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05231 pep chromosome:Prunus_persica_NCBIv2:G6:30547687:30558597:-1 gene:PRUPE_6G363600 transcript:ONI05231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQQAEALKMHTDLKVGMYWGDMGVDFWEADMWKQQIEKYEVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05230 pep chromosome:Prunus_persica_NCBIv2:G6:30547687:30558665:-1 gene:PRUPE_6G363600 transcript:ONI05230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQQAEALKMHTDLKVGMYWGDMGVDFWEADMWKQQIEKYEVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05233 pep chromosome:Prunus_persica_NCBIv2:G6:30547993:30557964:-1 gene:PRUPE_6G363600 transcript:ONI05233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQQAEALKMHTDLKVGMYWGDMGVDFWEADMWKQQIEKYEVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVSTLYSFSFIPSIMHHLEALLLAVNLKKIVLDNCMQNIIIPTTKVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05235 pep chromosome:Prunus_persica_NCBIv2:G6:30547993:30557964:-1 gene:PRUPE_6G363600 transcript:ONI05235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQQAEALKMHTDLKVGMYWGDMGVDFWEADMWKQQIEKYEVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVSTLYSFSFIPSIMHHLEALLLAVNLKKIVLDNCMQNIIIPTTKVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05229 pep chromosome:Prunus_persica_NCBIv2:G6:30547687:30558597:-1 gene:PRUPE_6G363600 transcript:ONI05229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQQAEALKMHTDLKVGMYWGDMGVDFWEADMWKQQIEKYEVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05236 pep chromosome:Prunus_persica_NCBIv2:G6:30547687:30558597:-1 gene:PRUPE_6G363600 transcript:ONI05236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQQAEALKMHTDLKVGMYWGDMGVDFWEADMWKQQIEKYEVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVSTLYSFSFIPSIMHHLEALLLAVNLKKIVLDNCMQNIIIPTTKVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05226 pep chromosome:Prunus_persica_NCBIv2:G6:30547686:30558666:-1 gene:PRUPE_6G363600 transcript:ONI05226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05234 pep chromosome:Prunus_persica_NCBIv2:G6:30547664:30558665:-1 gene:PRUPE_6G363600 transcript:ONI05234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQQAEALKMHTDLKVGMYWGDMGVDFWEADMWKQQIEKYEVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVSTLYSFSFIPSIMHHLEALLLAVNLKKIVLDNCMQNIIIPTTKVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05238 pep chromosome:Prunus_persica_NCBIv2:G6:30547993:30557964:-1 gene:PRUPE_6G363600 transcript:ONI05238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQQAEALKMHTDLKVGMYWGDMGVDFWEADMWKQQIEKYEVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRCTEFLRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVSTLYSFSFIPSIMHHLEALLLAVNLKKIVLDNCMQNIIIPTTKVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI05232 pep chromosome:Prunus_persica_NCBIv2:G6:30547993:30557964:-1 gene:PRUPE_6G363600 transcript:ONI05232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAEATEPVDMEMDGIQLSADPLPFARSYQLEALEAAIKQNTIVFLETGSGKTLIAIMLLRSYAYMLRKPSPFVAVFLVPQVVLVKQQAEALKMHTDLKVGMYWGDMGVDFWEADMWKQQIEKYEVLVMTPAILLSNLRHSFFKLSMIKVLILDECHHARGNHPYACIMKDFFHRQLHSDAAELPRIFGMTASPIKSKGGKSESFYWKIIDELEALMNSKVYTCVSESVLAEFIPHSIPKFKYYRHKEIPYALYAHITNQLKNLKEKHELSLKSLDLSQSTSESISRKMMKFFSALTFCLDELGVWLASKAAWSFSHKETDLFSWEKLDVLGDQIVRNFSFEAYQAFASFLPSDPKWTIADDVTYDLDKGFLTSKVVCLIQLLLEYRGLTDLRCIIFVERVITAVVIESLLSNFLPKHNDWKTKYIAGNNSGMQSQTRKKQNEIVEEFRNGMVNIIVATSILEEGLDVQSCNLVIRFDPSSTVCSFIQSRGRARMQNSDYVLMVKSGDRNTHSRLQNYLASGDIMRKESLLHSSLPCTSLEINLQDDDFYRVESTGASLTLGSSIQLMYFYCSRLPSDGYFKPAPRWDKETCTLHLPKSCPIPDVHVEGNVKILKQIACFEACKQLHQIGALTDNLVPDIVEEEGTQELGCEPYDDVQSSYVPVELVKPFCSNDASISYHCYLIELNQNFGYDIPVHDIVLGMRSELDCDIANMHFDLEVGRGTLTMNFKYVGEIHLSSEQVLLCRKFQITIFRILMDHNLNKLEEVLDGLCLGGQIGVDYLLLPGTKVPQRPLIIDWKCITSVLFPCEEYSKDHVDCSLPNWIYTKSGVVCTCMIQNSLVCTPHNGTLYCITGLLGELNGNSLLSLRDGRALTYKKYYEERHRINLCFDQQLLLKGRRVFQVQNYLQRCRQQTEKESSHTSVELPPELCSIIMSPISVLEAITTKKCQEKFHLESLEALGDSFLKYAASHQLFKTYQTNHEGLLSVKKDKIVSNAALCKLGCERKLPGFIRNESFDPKKWIIPGDYSESHLLNEELLSNERRIYFRERRKVKSKSVADVVEALIGAFLSTGGEIAAMYFMNWVGIKVDSVHIPYGRHFQVQPEKLVNVRHVESLLNYSFRDPSLLVEALTHGSYMLPEIPGCYQRLEFLGDAVLDHLITIYLYNKYPGMSPGILTDMRSASVNNDCYARSAIKAGLHKHILHASHKLHKDIVYTIENFERLSSESTFGWESETSFPKVLGDVIESLAGAIFVDSGYDKKIVFQSISPLIQPLVTPETMRLHPVRELNEHCQKMHYNLKKPVKSFQNNVATVTIEVEANGYTYKHSSTASNKKTALKLACKEVLRSLKESI >ONI01586 pep chromosome:Prunus_persica_NCBIv2:G6:12408135:12409559:1 gene:PRUPE_6G147800 transcript:ONI01586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVKMLNRDRDWNGIPTPIRSIATTRRSLSHPQAASSVSIFRGRRKSGSSG >ONI02677 pep chromosome:Prunus_persica_NCBIv2:G6:22210608:22216091:1 gene:PRUPE_6G214200 transcript:ONI02677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAVLGGLQPNFPLCPSRNPSSTPLLRPFSTSHSSPSLSVKLQKQLPLASYQAASPSPFRRYSTLSNTFVNETSELADIEWDSLGFAFLATDYMYVMKCAQGENFSKGELQRFGNIELSPSAGVLNYGQGLFEGMKAYRKQDGNILLFRPEENALRMRLGAERMCMPSPSVEQFVEAVKATVLANKRWVPPSGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPINLIVEHELHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKRYLEEVSSCNIFVVKDNIISTPEIKGTILPGITRKSIIDVARSEGFQVEERQVAVDELLDADEVFCTGTAVVVSPVGSITYHGKRVSYGEGGFGAVSEKLYSVLTRLQMGLTQDKMDWTVEVRQKTY >ONI02678 pep chromosome:Prunus_persica_NCBIv2:G6:22210608:22214729:1 gene:PRUPE_6G214200 transcript:ONI02678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAVLGGLQPNFPLCPSRNPSSTPLLRPFSTSHSSPSLSVKLQKQLPLASYQAASPSPFRRYSTLSNTFVNETSELADIEWDSLGFAFLATDYMYVMKCAQGENFSKGELQRFGNIELSPSAGVLNYGQGLFEGMKAYRKQDGNILLFRPEENALRMRLGAERMCMPSPSVEQFVEAVKATVLANKRWVPPSGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPINLIVEHELHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKRYLEEVSSCNIFVVKDNIISTPEIKGTILPGLLLLI >ONI02314 pep chromosome:Prunus_persica_NCBIv2:G6:19784276:19786429:1 gene:PRUPE_6G190600 transcript:ONI02314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTRLGSKKLHAVFVPYPAQGHVSPMMQLAKLLHSRDFHITFVNTEFNHMRLVRSKGPDSIKGLPDFRFETIPDGLPPSDKDATQDIPALCDSTRKTCLGPFKELVIKLNNSSSETPPVTCVVTDGLMGFGSKAAKELGIPEVQFWTASACGFMAYLQYGELVKRGIVPFKDENFMHNGTLDTPIDWIPGMKDVRLKDIPTFIRVTDVNDIMFDFMRSETQNCLNSSAIIFNTFEEFEHEVLEVLSTISPKIYTIGPLKLLGRHFPESKLTNSLASSLWKEDTKCLEWLDKKKPNSVVYVNYGSITMMTAEYLKEFAWGLANSKHPFLWIVRPDVVKGDLVILSDEFFEEIKDRGYITNWCPQDQVLAHPSVGAFLTHAGWNSTIETISEGVPVICWPFFSEQQTNCRYACTDWEIGMEVSPDVKRDEIEALVKEMLDGEKGMKMREKAKQWKKKAVEATDIGGSSYSNLDRLIKDLQYGE >ONI01954 pep chromosome:Prunus_persica_NCBIv2:G6:17326173:17345744:-1 gene:PRUPE_6G168600 transcript:ONI01954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRIVLDQSSFMGVHVLWESQLEYHVCHNDWEEVSRLLDLIPPHILVVGSLQVSLDGLQPASNFGCSRGPDYGDYLCSLEELDAVCTDVPEIKVFRFSCNIMCSMWLRMLMEEKLARKLIFLKEYWEGTLDILPLLARSGFITSKYEMPSKDDKIESLSEPQFPDDSGTFNVSTMQALHKLLIHHCARYNLPYLLDLYLDQHELVLDNDSLSSLQEAAGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNALSDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSLCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01944 pep chromosome:Prunus_persica_NCBIv2:G6:17326657:17348851:-1 gene:PRUPE_6G168600 transcript:ONI01944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLGDKGPAILQLHKWGSSQAQLNLSEFREAFISPTRQLLLLLSYQCEALLIPLITGNSTASNNLESNSDESLQSPGSSAFCSQDLTAPGGSDSGRGDMPCTSGSTLDFDNDFTFQREISRSKTYPFVGDVNSLAWGICEDTYNQHKDALFSEILFVSGKQGVMVHAFVESTGNTAGTRNALEGRWVEWGPSVSLVDNMDIEEPSSLSCEATGNIDLNRANGNSVASKRWLQSFLTKVENVEYNGSMLTRFPEKSLFPCSAKVVSFALFNSNLPILDFLSNTGSVPSMGCGQERGHTSESDKSVNLHLTSSGQHFKSEILSNIFGVGMNTSYKCSRVFSSNSHYFIGFVFTQTDPASDESERSNNKNVLLVARLDRWGIQWVSSVKLDEGPKIRSVVEWIDFHFSDNLLVCLNASGLIVFYAVMSGEYVAHLDILQTLGLYPQLDFQKQETVSVGSEKHSLQVDGVDYKPVLQCGDYSGRRIFKRLIAASHTSLIAAVDDFGVIYVISAGDYIPDKYYTNEKLLPHGQHLGLGMLAAWEVGGSDIGHQRVYSNISASQKSIIPSMKNERSSFLDDCGNNVLKQEGKGSSCLSGFSASSKVTDQKCYDSEKKSHLMRKIFLPTYRFSEDDSICFSPLGITRLIKNHNLKDPRGSQIVHLNLHAEPAVHDDNFLNSGCEMVHLQGKEESFIGEAVGCTFQGCFYLVTEGGLSVVLPSVSVSSNFLPVEVIGCRQLCIDSGIGYPVKNAREIKESKQPWSPWNVEILDRVLLYESAEEADRLCLENGWNLKISRMRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNALSDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSVMPLAIINFEDSVLVASCALFLELCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01949 pep chromosome:Prunus_persica_NCBIv2:G6:17326657:17348851:-1 gene:PRUPE_6G168600 transcript:ONI01949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLGDKGPAILQLHKWGSSQAQLNLSEFREAFISPTRQLLLLLSYQCEALLIPLITGNSTASNNLESNSDESLQSPGSSAFCSQDLTAPGGSDSGRGDMPCTSGSTLDFDNDFTFQREISRSKTYPFVGDVNSLAWGICEDTYNQHKDALFSEILFVSGKQGVMVHAFVESTGNTAGTRNALEGRWVEWGPSVSLVDNMDIEEPSSLSCEATGNIDLNRANGNSVASKRWLQSFLTKVENVEYNGSMLTRFPEKSLFPCSAKVVSFALFNSNLPILDFLSNTGSVPSMGCGQERGHTSESDKSVNLHLTSSGQHFKSEILSNIFGVGMNTSYKCSRVFSSNSHYFIGFVFTQTDPASDESERSNNKNVLLVARLDRWGIQWVSSVKLDEGPKIRSVVEWIDFHFSDNLLVCLNASGLIVFYAVMSGEYVAHLDILQTLGLYPQLDFQKQETVSVGSEKHSLQVDGVDYKPVLQCGDYSGRRIFKRLIAASHTSLIAAVDDFGVIYVISAGDYIPDKYYTNEKLLPHGQHLGLGMLAAWEVGGSDIGHQRVYSNISASQKSIIPSMKNERSSFLDDCGNNVLKQEGKGSSCLSGFSASSKVTDQKCYDSEKKSHLMRKIFLPTYRFSEDDSICFSPLGITRLIKNHNLKDPRGSQIVHLNLHAEPAVHDDNFLNSGCEMVHLQGKEESFIGEAVGCTFQGCFYLVTEGGLSVVLPSVSVSSNFLPVEVIGCRQLCIDSGIGYPVKNAREIKESKQPWSPWNVEILDRVLLYESAEEADRLCLENGWNLKISRMRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRIVLDQSSFMGVHVLWESQLEYHVCHNDWEEVSRLLDLIPPHILVVGSLQVSLDGLQPASNFGCSRGPDYGDYLCSLEELDAVCTDVPEIKVFRFSCNIMCSMWLRMLMEEKLARKLIFLKEYWEGTLDILPLLARSGFITSKYEMPSKDDKIESLSEPQFPDDSGTFNVSTMQALHKLLIHHCARYNLPYLLDLYLDQHELVLDNDSLSSLQEAAGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNALSDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSVMPLAIINFEDSVLVASCALFLELCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01942 pep chromosome:Prunus_persica_NCBIv2:G6:17326173:17349918:-1 gene:PRUPE_6G168600 transcript:ONI01942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLGDKGPAILQLHKWGSSQAQLNLSEFREAFISPTRQLLLLLSYQCEALLIPLITGNSTASNNLESNSDESLQSPGSSAFCSQDLTAPGGSDSGRGDMPCTSGSTLDFDNDFTFQREISRSKTYPFVGDVNSLAWGICEDTYNQHKDALFSEILFVSGKQGVMVHAFVESTGNTAGTRNALEGRWVEWGPSVSLVDNMDIEEPSSLSCEATGNIDLNRANGNSVASKRWLQSFLTKVENVEYNGSMLTRFPEKSLFPCSAKVVSFALFNSNLPILDFLSNTGSVPSMGCGQERGHTSESDKSVNLHLTSSGQHFKSEILSNIFGVGMNTSYKCSRVFSSNSHYFIGFVFTQTDPASDESERSNNKNVLLVARLDRWGIQWVSSVKLDEGPKIRSVVEWIDFHFSDNLLVCLNASGLIVFYAVMSGEYVAHLDILQTLGLYPQLDFQKQETVSVGSEKHSLQVDGVDYKPVLQCGDYSGRRIFKRLIAASHTSLIAAVDDFGVIYVISAGDYIPDKYYTNEKLLPHGQHLGLGMLAAWEVGGSDIGHQRVYSNISASQKSIIPSMKNERSSFLDDCGNNVLKQEGKGSSCLSGFSASSKVTDQKCYDSEKKSHLMRKIFLPTYRFSEDDSICFSPLGITRLIKNHNLKDPRGSQIVHLNLHAEPAVHDDNFLNSGCEMVHLQGKEESFIGEAVGCTFQGCFYLVTEGGLSVVLPSVSVSSNFLPVEVIGCRQLCIDSGIGYPVKNAREIKESKQPWSPWNVEILDRVLLYESAEEADRLCLENGWNLKISRMRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSVMPLAIINFEDSVLVASCALFLELCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01953 pep chromosome:Prunus_persica_NCBIv2:G6:17326657:17344161:-1 gene:PRUPE_6G168600 transcript:ONI01953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRIVLDQSSFMGVHVLWESQLEYHVCHNDWEEVSRLLDLIPPHILVVGSLQVSLDGLQPASNFGCSRGPDYGDYLCSLEELDAVCTDVPEIKVFRFSCNIMCSMWLRMLMEEKLARKLIFLKEYWEGTLDILPLLARSGFITSKYEMPSKDDKIESLSEPQFPDDSGTFNVSTMQALHKLLIHHCARYNLPYLLDLYLDQHELVLDNDSLSSLQEAAGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSVMPLAIINFEDSVLVASCALFLELCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01946 pep chromosome:Prunus_persica_NCBIv2:G6:17326173:17349901:-1 gene:PRUPE_6G168600 transcript:ONI01946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLGDKGPAILQLHKWGSSQAQLNLSEFREAFISPTRQLLLLLSYQCEALLIPLITGNSTASNNLESNSDESLQSPGSSAFCSQDLTAPGGSDSGRGDMPCTSGSTLDFDNDFTFQREISRSKTYPFVGDVNSLAWGICEDTYNQHKDALFSEILFVSGKQGVMVHAFVESTGNTAGTRNALEGRWVEWGPSVSLVDNMDIEEPSSLSCEATGNIDLNRANGNSVASKRWLQSFLTKVENVEYNGSMLTRFPEKSLFPCSAKVVSFALFNSNLPILDFLSNTGSVPSMGCGQERGHTSESDKSVNLHLTSSGQHFKSEILSNIFGVGMNTSYKCSRVFSSNSHYFIGFVFTQTDPASDESERSNNKNVLLVARLDRWGIQWVSSVKLDEGPKIRSVVEWIDFHFSDNLLVCLNASGLIVFYAVMSGEYVAHLDILQTLGLYPQLDFQKQETVSVGSEKHSLQVDGVDYKPVLQCGDYSGRRIFKRLIAASHTSLIAAVDDFGVIYVISAGDYIPDKYYTNEKLLPHGQHLGLGMLAAWEVGGSDIGHQRVYSNISASQKSIIPSMKNERSSFLDDCGNNVLKQEGKGSSCLSGFSASSKVTDQKCYDSEKKSHLMRKIFLPTYRFSEDDSICFSPLGITRLIKNHNLKDPRGSQIVHLNLHAEPAVHDDNFLNSGCEMVHLQGKEESFIGEAVGCTFQGCFYLVTEGGLSVVLPSVSVSSNFLPVEVIGCRQLCIDSGIGYPVKNAREIKESKQPWSPWNVEILDRVLLYESAEEADRLCLENGWNLKISRMRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRIVLDQSSFMGVHVLWESQLEYHVCHNDWEEVSRLLDLIPPHILVVGSLQVSLDGLQPASNFGCSRGPDYGDYLCSLEELDAVCTDVPEIKVFRFSCNIMCSMWLRMLMEEKLARKLIFLKEYWEGTLDILPLLARSGFITSKYEMPSKDDKIESLSEPQFPDDSGTFNVSTMQALHKLLIHHCARYNLPYLLDLYLDQHELVLDNDSLSSLQEAAGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSVMPLAIINFEDSVLVASCALFLELCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01952 pep chromosome:Prunus_persica_NCBIv2:G6:17326173:17345744:-1 gene:PRUPE_6G168600 transcript:ONI01952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRIVLDQSSFMGVHVLWESQLEYHVCHNDWEEVSRLLDLIPPHILVVGSLQVSLDGLQPASNFGCSRGPDYGDYLCSLEELDAVCTDVPEIKVFRFSCNIMCSMWLRMLMEEKLARKLIFLKEYWEGTLDILPLLARSGFITSKYEMPSKDDKIESLSEPQFPDDSGTFNVSTMQALHKLLIHHCARYNLPYLLDLYLDQHELVLDNDSLSSLQEAAGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSLCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01943 pep chromosome:Prunus_persica_NCBIv2:G6:17326657:17348851:-1 gene:PRUPE_6G168600 transcript:ONI01943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLGDKGPAILQLHKWGSSQAQLNLSEFREAFISPTRQLLLLLSYQCEALLIPLITGNSTASNNLESNSDESLQSPGSSAFCSQDLTAPGGSDSGRGDMPCTSGSTLDFDNDFTFQREISRSKTYPFVGDVNSLAWGICEDTYNQHKDALFSEILFVSGKQGVMVHAFVESTGNTAGTRNALEGRWVEWGPSVSLVDNMDIEEPSSLSCEATGNIDLNRANGNSVASKRWLQSFLTKVENVEYNGSMLTRFPEKSLFPCSAKVVSFALFNSNLPILDFLSNTGSVPSMGCGQERGHTSESDKSVNLHLTSSGQHFKSEILSNIFGVGMNTSYKCSRVFSSNSHYFIGFVFTQTDPASDESERSNNKNVLLVARLDRWGIQWVSSVKLDEGPKIRSVVEWIDFHFSDNLLVCLNASGLIVFYAVMSGEYVAHLDILQTLGLYPQLDFQKQETVSVGSEKHSLQVDGVDYKPVLQCGDYSGRRIFKRLIAASHTSLIAAVDDFGVIYVISAGDYIPDKYYTNEKLLPHGQHLGLGMLAAWEVGGSDIGHQRVYSNISASQKSIIPSMKNERSSFLDDCGNNVLKQEGKGSSCLSGFSASSKVTDQKCYDSEKKSHLMRKIFLPTYRFSEDDSICFSPLGITRLIKNHNLKDPRGSQIVHLNLHAEPAVHDDNFLNSGCEMVHLQGKEESFIGEAVGCTFQGCFYLVTEGGLSVVLPSVSVSSNFLPVEVIGCRQLCIDSGIGYPVKNAREIKESKQPWSPWNVEILDRVLLYESAEEADRLCLENGWNLKISRMRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNALSDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSVMPLAIINFEDSVLVASCALFLELCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01950 pep chromosome:Prunus_persica_NCBIv2:G6:17326657:17348851:-1 gene:PRUPE_6G168600 transcript:ONI01950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLGDKGPAILQLHKWGSSQAQLNLSEFREAFISPTRQLLLLLSYQCEALLIPLITGNSTASNNLESNSDESLQSPGSSAFCSQDLTAPGGSDSGRGDMPCTSGSTLDFDNDFTFQREISRSKTYPFVGDVNSLAWGICEDTYNQHKDALFSEILFVSGKQGVMVHAFVESTGNTAGTRNALEGRWVEWGPSVSLVDNMDIEEPSSLSCEATGNIDLNRANGNSVASKRWLQSFLTKVENVEYNGSMLTRFPEKSLFPCSAKVVSFALFNSNLPILDFLSNTGSVPSMGCGQERGHTSESDKSVNLHLTSSGQHFKSEILSNIFGVGMNTSYKCSRVFSSNSHYFIGFVFTQTDPASDESERSNNKNVLLVARLDRWGIQWVSSVKLDEGPKIRSVVEWIDFHFSDNLLVCLNASGLIVFYAVMSGEYVAHLDILQTLGLYPQLDFQKQETVSVGSEKHSLQVDGVDYKPVLQCGDYSGRRIFKRLIAASHTSLIAAVDDFGVIYVISAGDYIPDKYYTNEKLLPHGQHLGLGMLAAWEVGGSDIGHQRVYSNISASQKSIIPSMKNERSSFLDDCGNNVLKQEGKGSSCLSGFSASSKVTDQKCYDSEKKSHLMRKIFLPTYRFSEDDSICFSPLGITRLIKNHNLKDPRGSQIVHLNLHAEPAVHDDNFLNSGCEMVHLQGKEESFIGEAVGCTFQGCFYLVTEGGLSVVLPSVSVSSNFLPVEVIGCRQLCIDSGIGYPVKNAREIKESKQPWSPWNVEILDRVLLYESAEEADRLCLENGWNLKISRMRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRIVLDQSSFMGVHVLWESQLEYHVCHNDWEEVSRLLDLIPPHILVVGSLQVSLDGLQPASNFGCSRGPDYGDYLCSLEELDAVCTDVPEIKVFRFSCNIMCSMWLRMLMEEKLARKLIFLKEYWEGTLDILPLLARSGFITSKYEMPSKDDKIESLSEPQFPDDSGTFNVSTMQALHKLLIHHCARYNLPYLLDLYLDQHELVLDNDSLSSLQEAAGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNALSDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSVMPLAIINFEDSVLVASCALFLELCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01947 pep chromosome:Prunus_persica_NCBIv2:G6:17326173:17349930:-1 gene:PRUPE_6G168600 transcript:ONI01947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLGDKGPAILQLHKWGSSQAQLNLSEFREAFISPTRQLLLLLSYQCEALLIPLITGNSTASNNLESNSDESLQSPGSSAFCSQDLTAPGGSDSGRGDMPCTSGSTLDFDNDFTFQREISRSKTYPFVGDVNSLAWGICEDTYNQHKDALFSEILFVSGKQGVMVHAFVESTGNTAGTRNALEGRWVEWGPSVSLVDNMDIEEPSSLSCEATGNIDLNRANGNSVASKRWLQSFLTKVENVEYNGSMLTRFPEKSLFPCSAKVVSFALFNSNLPILDFLSNTGSVPSMGCGQERGHTSESDKSVNLHLTSSGQHFKSEILSNIFGVGMNTSYKCSRVFSSNSHYFIGFVFTQTDPASDESERSNNKNVLLVARLDRWGIQWVSSVKLDEGPKIRSVVEWIDFHFSDNLLVCLNASGLIVFYAVMSGEYVAHLDILQTLGLYPQLDFQKQETVSVGSEKHSLQVDGVDYKPVLQCGDYSGRRIFKRLIAASHTSLIAAVDDFGVIYVISAGDYIPDKYYTNEKLLPHGQHLGLGMLAAWEVGGSDIGHQRVYSNISASQKSIIPSMKNERSSFLDDCGNNVLKQEGKGSSCLSGFSASSKVTDQKCYDSEKKSHLMRKIFLPTYRFSEDDSICFSPLGITRLIKNHNLKDPRGSQIVHLNLHAEPAVHDDNFLNSGCEMVHLQGKEESFIGEAVGCTFQGCFYLVTEGGLSVVLPSVSVSSNFLPVEVIGCRQLCIDSGIGYPVKNAREIKESKQPWSPWNVEILDRVLLYESAEEADRLCLENGWNLKISRMRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRIVLDQSSFMGVHVLWESQLEYHVCHNDWEEVSRLLDLIPPHILVVGSLQVSLDGLQPASNFGCSRGPDYGDYLCSLEELDAVCTDVPEIKVFRFSCNIMCSMWLRMLMEEKLARKLIFLKEYWEGTLDILPLLARSGFITSKYEMPSKDDKIESLSEPQFPDDSGTFNVSTMQALHKLLIHHCARYNLPYLLDLYLDQHELVLDNDSLSSLQEAAGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSVMPLAIINFEDSVLVASCALFLELCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01941 pep chromosome:Prunus_persica_NCBIv2:G6:17326173:17349901:-1 gene:PRUPE_6G168600 transcript:ONI01941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLGDKGPAILQLHKWGSSQAQLNLSEFREAFISPTRQLLLLLSYQCEALLIPLITGNSTASNNLESNSDESLQSPGSSAFCSQDLTAPGGSDSGRGDMPCTSGSTLDFDNDFTFQREISRSKTYPFVGDVNSLAWGICEDTYNQHKDALFSEILFVSGKQGVMVHAFVESTGNTAGTRNALEGRWVEWGPSVSLVDNMDIEEPSSLSCEATGNIDLNRANGNSVASKRWLQSFLTKVENVEYNGSMLTRFPEKSLFPCSAKVVSFALFNSNLPILDFLSNTGSVPSMGCGQERGHTSESDKSVNLHLTSSGQHFKSEILSNIFGVGMNTSYKCSRVFSSNSHYFIGFVFTQTDPASDESERSNNKNVLLVARLDRWGIQWVSSVKLDEGPKIRSVVEWIDFHFSDNLLVCLNASGLIVFYAVMSGEYVAHLDILQTLGLYPQLDFQKQETVSVGSEKHSLQVDGVDYKPVLQCGDYSGRRIFKRLIAASHTSLIAAVDDFGVIYVISAGDYIPDKYYTNEKLLPHGQHLGLGMLAAWEVGGSDIGHQRVYSNISASQKSIIPSMKNERSSFLDDCGNNVLKQEGKGSSCLSGFSASSKVTDQKCYDSEKKSHLMRKIFLPTYRFSEDDSICFSPLGITRLIKNHNLKDPRGSQIVHLNLHAEPAVHDDNFLNSGCEMVHLQGKEESFIGEAVGCTFQGCFYLVTEGGLSVVLPSVSVSSNFLPVEVIGCRQLCIDSGIGYPVKNAREIKESKQPWSPWNVEILDRVLLYESAEEADRLCLENGWNLKISRMRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSVMPLAIINFEDSVLVASCALFLELCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01945 pep chromosome:Prunus_persica_NCBIv2:G6:17326173:17349918:-1 gene:PRUPE_6G168600 transcript:ONI01945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLGDKGPAILQLHKWGSSQAQLNLSEFREAFISPTRQLLLLLSYQCEALLIPLITGNSTASNNLESNSDESLQSPGSSAFCSQDLTAPGGSDSGRGDMPCTSGSTLDFDNDFTFQREISRSKTYPFVGDVNSLAWGICEDTYNQHKDALFSEILFVSGKQGVMVHAFVESTGNTAGTRNALEGRWVEWGPSVSLVDNMDIEEPSSLSCEATGNIDLNRANGNSVASKRWLQSFLTKVENVEYNGSMLTRFPEKSLFPCSAKVVSFALFNSNLPILDFLSNTGSVPSMGCGQERGHTSESDKSVNLHLTSSGQHFKSEILSNIFGVGMNTSYKCSRVFSSNSHYFIGFVFTQTDPASDESERSNNKNVLLVARLDRWGIQWVSSVKLDEGPKIRSVVEWIDFHFSDNLLVCLNASGLIVFYAVMSGEYVAHLDILQTLGLYPQLDFQKQETVSVGSEKHSLQVDGVDYKPVLQCGDYSGRRIFKRLIAASHTSLIAAVDDFGVIYVISAGDYIPDKYYTNEKLLPHGQHLGLGMLAAWEVGGSDIGHQRVYSNISASQKSIIPSMKNERSSFLDDCGNNVLKQEGKGSSCLSGFSASSKVTDQKCYDSEKKSHLMRKIFLPTYRFSEDDSICFSPLGITRLIKNHNLKDPRGSQIVHLNLHAEPAVHDDNFLNSGCEMVHLQGKEESFIGEAVGCTFQGCFYLVTEGGLSVVLPSVSVSSNFLPVEVIGCRQLCIDSGIGYPVKNAREIKESKQPWSPWNVEILDRVLLYESAEEADRLCLENGWNLKISRMRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRIVLDQSSFMGVHVLWESQLEYHVCHNDWEEVSRLLDLIPPHILVVGSLQVSLDGLQPASNFGCSRGPDYGDYLCSLEELDAVCTDVPEIKVFRFSCNIMCSMWLRMLMEEKLARKLIFLKEYWEGTLDILPLLARSGFITSKYEMPSKDDKIESLSEPQFPDDSGTFNVSTMQALHKLLIHHCARYNLPYLLDLYLDQHELVLDNDSLSSLQEAAGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSLCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01948 pep chromosome:Prunus_persica_NCBIv2:G6:17326657:17348851:-1 gene:PRUPE_6G168600 transcript:ONI01948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLGDKGPAILQLHKWGSSQAQLNLSEFREAFISPTRQLLLLLSYQCEALLIPLITGNSTASNNLESNSDESLQSPGSSAFCSQDLTAPGGSDSGRGDMPCTSGSTLDFDNDFTFQREISRSKTYPFVGDVNSLAWGICEDTYNQHKDALFSEILFVSGKQGVMVHAFVESTGNTAGTRNALEGRWVEWGPSVSLVDNMDIEEPSSLSCEATGNIDLNRANGNSVASKRWLQSFLTKVENVEYNGSMLTRFPEKSLFPCSAKVVSFALFNSNLPILDFLSNTGSVPSMGCGQERGHTSESDKSVNLHLTSSGQHFKSEILSNIFGVGMNTSYKCSRVFSSNSHYFIGFVFTQTDPASDESERSNNKNVLLVARLDRWGIQWVSSVKLDEGPKIRSVVEWIDFHFSDNLLVCLNASGLIVFYAVMSGEYVAHLDILQTLGLYPQLDFQKQETVSVGSEKHSLQVDGVDYKPVLQCGDYSGRRIFKRLIAASHTSLIAAVDDFGVIYVISAGDYIPDKYYTNEKLLPHGQHLGLGMLAAWEVGGSDIGHQRVYSNISASQKSIIPSMKNERSSFLDDCGNNVLKQEGKGSSCLSGFSASSKVTDQKCYDSEKKSHLMRKIFLPTYRFSEDDSICFSPLGITRLIKNHNLKDPRGSQIVHLNLHAEPAVHDDNFLNSGCEMVHLQGKEESFIGEAVGCTFQGCFYLVTEGGLSVVLPSVSVSSNFLPVEVIGCRQLCIDSGIGYPVKNAREIKESKQPWSPWNVEILDRVLLYESAEEADRLCLENGWNLKISRMRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRIVLDQSSFMGVHVLWESQLEYHVCHNDWEEVSRLLDLIPPHILVVGSLQVSLDGLQPASNFGCSRGPDYGDYLCSLEELDAVCTDVPEIKVFRFSCNIMCSMWLRMLMEEKLARKLIFLKEYWEGTLDILPLLARSGFITSKYEMPSKDDKIESLSEPQFPDDSGTFNVSTMQALHKLLIHHCARYNLPYLLDLYLDQHELVLDNDSLSSLQEAAGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNALSDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSLCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01955 pep chromosome:Prunus_persica_NCBIv2:G6:17326657:17344161:-1 gene:PRUPE_6G168600 transcript:ONI01955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRIVLDQSSFMGVHVLWESQLEYHVCHNDWEEVSRLLDLIPPHILVVGSLQVSLDGLQPASNFGCSRGPDYGDYLCSLEELDAVCTDVPEIKVFRFSCNIMCSMWLRMLMEEKLARKLIFLKEYWEGTLDILPLLARSGFITSKYEMPSKDDKIESLSEPQFPDDSGTFNVSTMQALHKLLIHHCARYNLPYLLDLYLDQHELVLDNDSLSSLQEAAGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNALSDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSVMPLAIINFEDSVLVASCALFLELCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONI01951 pep chromosome:Prunus_persica_NCBIv2:G6:17326657:17348851:-1 gene:PRUPE_6G168600 transcript:ONI01951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLGDKGPAILQLHKWGSSQAQLNLSEFREAFISPTRQLLLLLSYQCEALLIPLITGNSTASNNLESNSDESLQSPGSSAFCSQDLTAPGGSDSGRGDMPCTSGSTLDFDNDFTFQREISRSKTYPFVGDVNSLAWGICEDTYNQHKDALFSEILFVSGKQGVMVHAFVESTGNTAGTRNALEGRWVEWGPSVSLVDNMDIEEPSSLSCEATGNIDLNRANGNSVASKRWLQSFLTKVENVEYNGSMLTRFPEKSLFPCSAKVVSFALFNSNLPILDFLSNTGSVPSMGCGQERGHTSESDKSVNLHLTSSGQHFKSEILSNIFGVGMNTSYKCSRVFSSNSHYFIGFVFTQTDPASDESERSNNKNVLLVARLDRWGIQWVSSVKLDEGPKIRSVVEWIDFHFSDNLLVCLNASGLIVFYAVMSGEYVAHLDILQTLGLYPQLDFQKQETVSVGSEKHSLQVDGVDYKPVLQCGDYSGRRIFKRLIAASHTSLIAAVDDFGVIYVISAGDYIPDKYYTNEKLLPHGQHLGLGMLAAWEVGGSDIGHQRVYSNISASQKSIIPSMKNERSSFLDDCGNNVLKQEGKGSSCLSGFSASSKVTDQKCYDSEKKSHLMRKIFLPTYRFSEDDSICFSPLGITRLIKNHNLKDPRGSQIVHLNLHAEPAVHDDNFLNSGCEMVHLQGKEESFIGEAVGCTFQGCFYLVTEGGLSVVLPSVSVSSNFLPVEVIGCRQLCIDSGIGYPVKNAREIKESKQPWSPWNVEILDRVLLYESAEEADRLCLENGWNLKISRMRRLQLALDYLKFDEIERSLEMLVGVNFAEEGVLRLLFAAVYLMIHKVGNDNEISAASRLLALATCFSTKMIRKYWLLEHKTDAYEYARTQMLLLPPVVPQKVQDEISNSRRLREMAHFLEIIRNLQSRLGSKYKRPGQEFVESGEESTVVDNDLSQDESQLSIISVDPKSLETSKQHEAYFPVSTSGFNYSEKLALTPVDPSVHLDSEDLSEVSALVPQGVLPLENPKEMIARWKIDNLDLKAVVNDALLSGRLPLAVLQLHLHRSRDSFSGKEPHDTFTEVRDIGRAIAYDLFLKGESGLAVATLQRLGEDVEASLKQLLFGTVRRSLRMQITEEMSRYGYLGPYEWKILDRISLIERLYPSSSFWKTLHGRQKELMRFPASSSLPKRYNLHLLDSHAFNNFSIECDDIDGVVFGSWTNVNENPSVPMVDEDNAYAGYWAAAAVWFSFYDQRIIDRIVLDQSSFMGVHVLWESQLEYHVCHNDWEEVSRLLDLIPPHILVVGSLQVSLDGLQPASNFGCSRGPDYGDYLCSLEELDAVCTDVPEIKVFRFSCNIMCSMWLRMLMEEKLARKLIFLKEYWEGTLDILPLLARSGFITSKYEMPSKDDKIESLSEPQFPDDSGTFNVSTMQALHKLLIHHCARYNLPYLLDLYLDQHELVLDNDSLSSLQEAAGDCEWARWLLLSRVKGCEYKASFSNARAIMSCNLVPGSNLSVPEMDEIIRTVDDIAEGGGELAALATLMYASVPIQSCLSSGSVKRNSSTSAQCTLENLRPTLQRFPTLWQAFVSACFGQDATSNFLGPKAKNALSDYLNWRDNIFFSSVRDTSLLQMLPCWFPKAVRRLIQLYAQGPLGWQSVSGLPVGEGLLHRDIDFVMNVDEDAEISAISLEATIQKHIEEELYNSALEENSLGLEHHLHRGRALAAFNHLLTVRVQKLKSEAQTHGQTNVQADVQTLLGPITESEKSLLSSVMPLAIINFEDSVLVASCALFLELCGFSASMLRIDIAALRRMSSFYKSSENIESLKQLSTKGSAFHAVSHGSDLTESLARALADEHLHQDNSSTAKQKGASNLAAGKQPSRALMLVLQHLEKASLPPMVDGKTCGSWLLSGNGDGIELRSQQKAASHHWNLVTIFCQMHHLPLSTKYLSVLARDNDWVGFLSEAQIGGYPFDTVVQVASKEFSDPRLRIHISTVLKGMQLRRKASSSSYSDTTEKKNEASFPDENFCVPVELFRILAECEKQKFPGEAVLMKAKELSWSILAMIASCFSDVSPISCLTVWLEITAARETSSIKVNDIASRIANNVGAAVEATNSLPSGTKALTFHYNRQNSKRRRLLEPISRDPSAVAISDISNSPVDAQIFDSQDPSSKGERNVESGESINVSSDSDEGPALLSKMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARFKEESTRLQSNVGREVQIGTSWISSTAIKAADAMLLTCPSPYEKRCLLQLLAATDFGDGGSAAACYRRLFWKINLAEPLLRKDDILHLGSETLDDVSLATALEDNRHWEQARNWARQLEASGGPWKSAVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFIRYSFPALQVAGLFFLKHAEALEKDLPARELHELLLLSLQWLSGMITLASPVYPLHLIREIETKVWLLAVESEAHVKSEGDFNLSSSSRDPALKNSSSIIDRTASIITKMDNHIGTFKNRTIEKHDPREHSLAYHKNQVLDASFPLTTGGVQRQTEGKGYMPLRRPPLDSAEKNTDLDNGSNSLNTVNELQSQDENLKMELSFSRWEERVGPAELERAVLSLLEFGQIAAAKQLQHKLSPVKVPSEFVLVDAALKLAAMSTPSKKVSILMLDEEVHSIIQSYNILTDQHQVDPIQVLESLATNFTEGCGRGLCKRIIAVAKAAAILGISFSEAFDKQPIELLQLLSLKAQESFEEAHLLVRTHSMPAASIAQILSESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEVPHACEVELLILSHHFYKLSSCLDGVDVLVALAATRVEAYVSEGDFSCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAADANAGTAEAVRGFRMAVLTSLKHFNPNDLDAFAMVYNHFDMKHETAALLESRAEQSSEQWFSHYDKDQNEDLLDSMRYYIEAAEVHKSIDAGNKTRRACAQASLVSLQIRMPDFHWLYRSETNARRALVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPEVLEEFVAEFVAVLPLQPSMLADLARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLKLRLQLATVATGFGDVMDACMKSLDRVPDNVGPLVLRKGHGGAYLPLM >ONH99341 pep chromosome:Prunus_persica_NCBIv2:G6:2037499:2041407:-1 gene:PRUPE_6G025600 transcript:ONH99341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASKSGARTSPESQRKLIGSQTTEGNFRRPSPLQITKTSKSEPVTPKKLPRHVQQTASKEVSAQTTEEKNCKIPYLKESTKSLADQLGSTLSLGDAKQVPADVCPAVSDTRNLLEGGDQEKKISENGVSPAPAKVSDGTSSLAKTSGSAKISDRADFVESGKSSICRGSTSSDVSDESTCSSLSSAINKPHKANDIHWEAIQAVRARDGVFGLGHFRLLKKLGCGDIGSVYLSELSGTKCYFAMKVMDKASLANRKKLLRAQTEREILQCLDHPFLPTLYTHFETEKYSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSSAPESEPFRRNSAYCVQPACIEPSCIQPSCVVPTTCFSPRFFSSKSKKDRKPKNEIGNQVRPLPELMAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPETPVVSFSAKDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVEFDRISAPTVSTSDKATVAASHPDQNNYLEFDFF >ONH99340 pep chromosome:Prunus_persica_NCBIv2:G6:2037499:2041309:-1 gene:PRUPE_6G025600 transcript:ONH99340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASKSGARTSPESQRKLIGSQTTEGNFRRPSPLQITKTSKSEPVTPKKLPRHVQQTASKEVSAQTTEEKNCKIPYLKESTKSLADQLGSTLSLGDAKQVPADVCPAVSDTRNLLEGGDQEKKISENGVSPAPAKVSDGTSSLAKTSGSAKISDRADFVESGKSSICRGSTSSDVSDESTCSSLSSAINKPHKANDIHWEAIQAVRARDGVFGLGHFRLLKKLGCGDIGSVYLSELSGTKCYFAMKVMDKASLANRKKLLRAQTEREILQCLDHPFLPTLYTHFETEKYSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSSAPESEPFRRNSAYCVQPACIEPSCIQPSCVVPTTCFSPRFFSSKSKKDRKPKNEIGNQVRPLPELMAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPETPVVSFSAKDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVEFDRISAPTVSTSDKATVAASHPDQNNYLEFDFF >ONH99344 pep chromosome:Prunus_persica_NCBIv2:G6:2037752:2040137:-1 gene:PRUPE_6G025600 transcript:ONH99344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASKSGARTSPESQRKLIGSQTTEGNFRRPSPLQITKTSKSEPVTPKKLPRHVQQTASKEVSAQTTEEKNCKIPYLKESTKSLADQLGSTLSLGDAKQVPADVCPAVSDTRNLLEGGDQEKKISENGVSPAPAKVSDGTSSLAKTSGSAKISDRADFVESGKSSICRGSTSSDVSDESTCSSLSSAINKPHKANDIHWEAIQAVRARDGVFGLGHFRLLKKLGCGDIGSVYLSELSGTKCYFAMKVMDKASLANRKKLLRAQTEREILQCLDHPFLPTLYTHFETEKYSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSSAPESEPFRRNSAYCVQPACIEPSCIQPSCVVPTTCFSPRFFSSKSKKDRKPKNEIGNQVRPLPELMAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPETPVVSFSAKDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVEFDRISAPTVSTSDKATVAASHPDQNNYLEFDFF >ONH99342 pep chromosome:Prunus_persica_NCBIv2:G6:2037432:2041407:-1 gene:PRUPE_6G025600 transcript:ONH99342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASKSGARTSPESQRKLIGSQTTEGNFRRPSPLQITKTSKSEPVTPKKLPRHVQQTASKEVSAQTTEEKNCKIPYLKESTKSLADQLGSTLSLGDAKQVPADVCPAVSDTRNLLEGGDQEKKISENGVSPAPAKVSDGTSSLAKTSGSAKISDRADFVESGKSSICRGSTSSDVSDESTCSSLSSAINKPHKANDIHWEAIQAVRARDGVFGLGHFRLLKKLGCGDIGSVYLSELSGTKCYFAMKVMDKASLANRKKLLRAQTEREILQCLDHPFLPTLYTHFETEKYSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSSAPESEPFRRNSAYCVQPACIEPSCIQPSCVVPTTCFSPRFFSSKSKKDRKPKNEIGNQVRPLPELMAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPETPVVSFSAKDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVEFDRISAPTVSTSDKATVAASHPDQNNYLEFDFF >ONH99343 pep chromosome:Prunus_persica_NCBIv2:G6:2037432:2041309:-1 gene:PRUPE_6G025600 transcript:ONH99343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASKSGARTSPESQRKLIGSQTTEGNFRRPSPLQITKTSKSEPVTPKKLPRHVQQTASKEVSAQTTEEKNCKIPYLKESTKSLADQLGSTLSLGDAKQVPADVCPAVSDTRNLLEGGDQEKKISENGVSPAPAKVSDGTSSLAKTSGSAKISDRADFVESGKSSICRGSTSSDVSDESTCSSLSSAINKPHKANDIHWEAIQAVRARDGVFGLGHFRLLKKLGCGDIGSVYLSELSGTKCYFAMKVMDKASLANRKKLLRAQTEREILQCLDHPFLPTLYTHFETEKYSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKSSAPESEPFRRNSAYCVQPACIEPSCIQPSCVVPTTCFSPRFFSSKSKKDRKPKNEIGNQVRPLPELMAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPETPVVSFSAKDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVEFDRISAPTVSTSDKATVAASHPDQNNYLEFDFF >ONI02284 pep chromosome:Prunus_persica_NCBIv2:G6:19630229:19630860:1 gene:PRUPE_6G187900 transcript:ONI02284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLQNCEFLAISQIVVQGIERGPAIYNDLQSLHLIAITVQLYTTTSYNCHHDSIIHSHFKYTQSQFNCQMVALTMDTLALLIP >ONI03509 pep chromosome:Prunus_persica_NCBIv2:G6:25190199:25192346:1 gene:PRUPE_6G261600 transcript:ONI03509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAIRFFELNTGAKIPSLGLGTWQAEPGVVAAAVTTAIKVGYRHIDCAQAYGNEKEIGFALKKLYDDGVVKREDLWITSKLWCNNHEPEDVPKALDSTLQDLQLDYLDLYLIHWPVKMKKGSTGFKTENLARPDIASTWKAMEALYDSRKARAIGVSNFSTKKLGDLLTVARVPPAVNQVELHPQWQQPKLKEFCKSKGVHLTGYSPLGSPGTSSIKGDVLKNPILTSVAEKLGKTPAQVAIRWGLQSGHSVLPKSTKEARIKENFDVFDWSIPEDLFAKFAEAKQERLLKGTGFVHETSEGYKTIEELWDGEL >ONI02757 pep chromosome:Prunus_persica_NCBIv2:G6:22604234:22607930:1 gene:PRUPE_6G220300 transcript:ONI02757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISNNGVLVPFVVFLVSTSVFSPTWVVFGEQSSFKRPDPLRHLKSYNGGYDLKNKHYWASAAFTGVHGYVIAGVWMICGLVFMICIAFLKNSSIRSTCPIKDFLDRHFISIFMLALLFTILAIVASSLALVENQRSMKTTDKLKGAILSQAQNARRTIRKVTNAMRHMQYLLLPYDPALAMSFNLTSRQLGKDSQNIQLFLDKNGRTIDRVLQTPYVVHLVVVAVNLVLLVSALVLLLLHWYPGLVVAIFLCWILTTLIWVLTGFDFFLHNFAKDTCSALKDFQQNSHNSSLSSILPCLDPERSEKLMGQIGYTIHSFINQLNSKVTEIANSLGIDEQNDDLVGLLRICNPFSGPPNYSYIPSSCSDAIPVGKLPEAISGITCYLNDMETCRKSGKLISRASYNMAWAYSHSVQDFLDIYPTLLSLSECSFVKDGISDVVSQHCRPFKTALRLLWASMLSLAIVMVFLITVLVTKVFQDRGRSFTKFAITPCLPP >ONI02758 pep chromosome:Prunus_persica_NCBIv2:G6:22604138:22607930:1 gene:PRUPE_6G220300 transcript:ONI02758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGLVFMICIAFLKNSSIRSTCPIKDFLDRHFISIFMLALLFTILAIVASSLALVENQRSMKTTDKLKGAILSQAQNARRTIRKVTNAMRHMQYLLLPYDPALAMSFNLTSRQLGKDSQNIQLFLDKNGRTIDRVLQTPYVVHLVVVAVNLVLLVSALVLLLLHWYPGLVVAIFLCWILTTLIWVLTGFDFFLHNFAKDTCSALKDFQQNSHNSSLSSILPCLDPERSEKLMGQIGYTIHSFINQLNSKVTEIANSLGIDEQNDDLVGLLRICNPFSGPPNYSYIPSSCSDAIPVGKLPEAISGITCYLNDMETCRKSGKLISRASYNMAWAYSHSVQDFLDIYPTLLSLSECSFVKDGISDVVSQHCRPFKTALRLLWASMLSLAIVMVFLITVLVTKVFQDRGRSFTKFAITPCLPP >ONH99873 pep chromosome:Prunus_persica_NCBIv2:G6:3856832:3860790:-1 gene:PRUPE_6G054900 transcript:ONH99873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFCSQSIGSCLTILCLVLVQCRSALILSMKKHHHSYHHHRTAPMFQTNQSNCALFAGTWVQDDDYPMYQSSECPLIIDPEFNCQMYGRPDTGYLKYRWRPLNCELPRFNGLEFLDKMRGKTVMFVGDSLGRNQWESLVCLIYSAAPQTQTQMVKGDPLSTFSFLDYGVTIQFYRAPYLVDIDMMQGKRVLRLEDISENSKAWRNADVLSFNTGHWWSHNGGLQGWDYMESGGKYYRDMDRLAALEKGMRTWANWVDSNIDTTRTRVFFLSISPTHYNPNEWNGGATPATTKNCYGETAPMTISGVTYPGTTYPDQMRVVDNVIRGMSNPASLLDITMLSELRKDGHPSIYSGDLSPQERANPERSADCSHWCLPGLPDTWNQLFYTALLY >ONH99874 pep chromosome:Prunus_persica_NCBIv2:G6:3857856:3860671:-1 gene:PRUPE_6G054900 transcript:ONH99874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFCSQSIGSCLTILCLVLVQCRSALILSMKKHHHSYHHHRTAPMFQTNQSNCALFAGTWVQDDDYPMYQSSECPLIIDPEFNCQMYGRPDTGYLKYRWRPLNCELPRFNGLEFLDKMRGKTVMFVGDSLGRNQWESLVCLIYSAAPQTQTQMVKGDPLSTFSFLDYGVTIQFYRAPYLVDIDMMQGKRVLRLEDISENSKAWRNADVLSFNTGHWWSHNGGLQGWDYMESGGKYYRDMDRLAALEKGMRTWANWVDSNIDTTRTRVFFLSISPTHYKWPK >ONH99412 pep chromosome:Prunus_persica_NCBIv2:G6:2195054:2198655:1 gene:PRUPE_6G028000 transcript:ONH99412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRYLFPSEPMCNSAGSAVSFSSTSPGDDRTAVSVIRTRSSRAPPSSFLMRMAMRISRARWFIFLRRVFHYQNGSRSDLGSNPFNSSTWMMLEFIALVVQISITTITLAVSKKERPVWPMRIWIVGYDIGCFLNLLVLFGRYRLLYLSQGDGFNLSDMEQQRSTEESRTTHLMNRCRTSLELFFAIWFVMGNVWVFDSRFSSFPGAPKLHVLCISLLAWNAISYSFPFLLFVLLCCCVPLMSSLLGYNMNMGSIDKAASDDQISQLPSWRYKEVNTKVELGNDCDSGCLANEDPDCCICLAKYKDTEEVRQLPCSHMFHLKCVDQWLRIISCCPLCKQELQR >ONH99413 pep chromosome:Prunus_persica_NCBIv2:G6:2196480:2197604:1 gene:PRUPE_6G028000 transcript:ONH99413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRYLFPSEPMCNSAGSAVSFSSTSPGDDRTAVSVIRTRSSRAPPSSFLMRMAMRISRARWFIFLRRVFHYQNGSRSDLGSNPFNSSTWMMLEFIALVVQISITTITLAVSKKERPVWPMRIWIVGYDIGCFLNLLVLFGRYRLLYLSQGDGFNLSDMEQQRSTEESRTTHLMNRCRTSLELFFAIWFVMGNVWVFDSRFSSFPGAPKLHVLCISLLAWNAISYSFPFLLFVLLCCCVPLMSSLLGYNMNMGSIDKAASDDQISQLPSWRYKEVNTKVELGNDCDSGCLANEDPVSHSYTYKTKSGLALQIVCVLLGVSSHMRILVSPL >ONI00457 pep chromosome:Prunus_persica_NCBIv2:G6:6147060:6154396:-1 gene:PRUPE_6G089800 transcript:ONI00457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEPDTTPPSLIPPFPRDSRGSLEVFNPSSSSTFSTSTSPFRSQHTWQSWIDPLGGTTLEPETVPKLTSKSTRADDITTSWLALKDDDAPPTAPSPPSIHHTISAVDGNDKSSAPSDDAAQRAAEWGLVLKTDTETGRLQGVSARTSGGPEDPNPKPGQSAAASSRRTSNNSVQSSGEFSSDDVFGGKERGIPRASNDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAQIREALERNTSYCGRLLNYKKDGTPFWNLLTIAPIKDETGKVLKFIGMQVEVSKHTEGSKDKMLRPNGLPESLIRYDARQKEMASNSVSELVQAVKRPRSLSESMNHPLFRKSGGGRTEERTEVLARRNSESVAPPRRNSRGDHPKISMQRISELPEKKQKKTSRLSFMGRIRKSQTIEESFDTGVPVDTYESENDEERPDSLDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIRDAIDNQTEVTVQLINYTKSGKKFWNVFHLQPMRDQKGEVQYFIGVQLDGSEHIEPVNNSIPEDTVKESEKLVRATAENVDDAARELPDANMKPEDLWMNHSKVVHPKPHRKNSPSWRAIEKILVSGEQIGLKHFRPIKPLGSGDTGSVHLVELCGTGHYFAMKAMDKGVMLNRNKVHRACAEREILDVLDHPFLPALYASFQTKTHVCLITDYYPGGELFVLLDRQPTKVLKEDSVRFYVAEVVVALEYLHCLAFTPKHKRKEKTT >ONI00452 pep chromosome:Prunus_persica_NCBIv2:G6:6145009:6154844:-1 gene:PRUPE_6G089800 transcript:ONI00452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEPDTTPPSLIPPFPRDSRGSLEVFNPSSSSTFSTSTSPFRSQHTWQSWIDPLGGTTLEPETVPKLTSKSTRADDITTSWLALKDDDAPPTAPSPPSIHHTISAVDGNDKSSAPSDDAAQRAAEWGLVLKTDTETGRLQGVSARTSGGPEDPNPKPGQSAAASSRRTSNNSVQSSGEFSSDDVFGGKERGIPRASNDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAQIREALERNTSYCGRLLNYKKDGTPFWNLLTIAPIKDETGKVLKFIGMQVEVSKHTEGSKDKMLRPNGLPESLIRYDARQKEMASNSVSELVQAVKRPRSLSESMNHPLFRKSGGGRTEERTEVLARRNSESVAPPRRNSRGDHPKISMQRISELPEKKQKKTSRLSFMGRIRKSQTIEESFDTGVPVDTYESENDEERPDSLDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIRDAIDNQTEVTVQLINYTKSGKKFWNVFHLQPMRDQKGEVQYFIGVQLDGSEHIEPVNNSIPEDTVKESEKLVRATAENVDDAARELPDANMKPEDLWMNHSKVVHPKPHRKNSPSWRAIEKILVSGEQIGLKHFRPIKPLGSGDTGSVHLVELCGTGHYFAMKAMDKGVMLNRNKVHRACAEREILDVLDHPFLPALYASFQTKTHVCLITDYYPGGELFVLLDRQPTKVLKEDSVRFYVAEVVVALEYLHCLGIIYRDLKPENVLIQSNGHVSLTDFDLSCLTSCKPQLLLPSINEKKKQHKGQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILIYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSISASLQAKQLMYRLLHRDPKNRLGSQEGANEIKRHPFFKGVNWALVRCMKPPQLDVPLFAKTEAEKEANAVDPEMQDLQTNIF >ONI00456 pep chromosome:Prunus_persica_NCBIv2:G6:6147102:6154339:-1 gene:PRUPE_6G089800 transcript:ONI00456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEPDTTPPSLIPPFPRDSRGSLEVFNPSSSSTFSTSTSPFRSQHTWQSWIDPLGGTTLEPETVPKLTSKSTRADDITTSWLALKDDDAPPTAPSPPSIHHTISAVDGNDKSSAPSDDAAQRAAEWGLVLKTDTETGRLQGVSARTSGGPEDPNPKPGQSAAASSRRTSNNSVQSSGEFSSDDVFGGKERGIPRASNDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAQIREALERNTSYCGRLLNYKKDGTPFWNLLTIAPIKDETGKVLKFIGMQVEVSKHTEGSKDKMLRPNGLPESLIRYDARQKEMASNSVSELVQAVKRPRSLSESMNHPLFRKSGGGRTEERTEVLARRNSESVAPPRRNSRGDHPKISMQRISELPEKKQKKTSRLSFMGRIRKSQTIEESFDTGVPVDTYESENDEERPDSLDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIRDAIDNQTEVTVQLINYTKSGKKFWNVFHLQPMRDQKGEVQYFIGVQLDGSEHIEPVNNSIPEDTVKESEKLVRATAENVDDAARELPDANMKPEDLWMNHSKVVHPKPHRKNSPSWRAIEKILVSGEQIGLKHFRPIKPLGSGDTGSVHLVELCGTGHYFAMKAMDKGVMLNRNKVHRACAEREILDVLDHPFLPALYASFQTKTHVCLITDYYPGGELFVLLDRQPTKVLKEDSVRFYVAEVVVALEYLHCLGIIYRDLKPENVLIQSNGHVSLTDFDLSCLTSCKPQLLLPSINEKKKQHKGQQNPIFMAEPMRASNSFVGTEEYIAPEIIQLLVIHEKSPCLVA >ONI00453 pep chromosome:Prunus_persica_NCBIv2:G6:6145088:6154339:-1 gene:PRUPE_6G089800 transcript:ONI00453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEPDTTPPSLIPPFPRDSRGSLEVFNPSSSSTFSTSTSPFRSQHTWQSWIDPLGGTTLEPETVPKLTSKSTRADDITTSWLALKDDDAPPTAPSPPSIHHTISAVDGNDKSSAPSDDAAQRAAEWGLVLKTDTETGRLQGVSARTSGGPEDPNPKPGQSAAASSRRTSNNSVQSSGEFSSDDVFGGKERGIPRASNDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAQIREALERNTSYCGRLLNYKKDGTPFWNLLTIAPIKDETGKVLKFIGMQVEVSKHTEGSKDKMLRPNGLPESLIRYDARQKEMASNSVSELVQAVKRPRSLSESMNHPLFRKSGGGRTEERTEVLARRNSESVAPPRRNSRGDHPKISMQRISELPEKKQKKTSRLSFMGRIRKSQTIEESFDTGVPVDTYESENDEERPDSLDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIRDAIDNQTEVTVQLINYTKSGKKFWNVFHLQPMRDQKGEVQYFIGVQLDGSEHIEPVNNSIPEDTVKESEKLVRATAENVDDAARELPDANMKPEDLWMNHSKVVHPKPHRKNSPSWRAIEKILVSGEQIGLKHFRPIKPLGSGDTGSVHLVELCGTGHYFAMKAMDKGVMLNRNKVHRACAEREILDVLDHPFLPALYASFQTKTHVCLITDYYPGGELFVLLDRQPTKVLKEDSVRFYVAEVVVALEYLHCLGIIYRDLKPENVLIQSNGHVSLTDFDLSCLTSCKPQLLLPSINEKKKQHKGQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILIYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSISASLQAKQLMYRLLHRDPKNRLGSQEGANEIKRHPFFKGVNWALVRCMKPPQLDVPLFAKTEAEKEANAVDPEMQDLQTNIF >ONI00450 pep chromosome:Prunus_persica_NCBIv2:G6:6145009:6155911:-1 gene:PRUPE_6G089800 transcript:ONI00450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEPDTTPPSLIPPFPRDSRGSLEVFNPSSSSTFSTSTSPFRSQHTWQSWIDPLGGTTLEPETVPKLTSKSTRADDITTSWLALKDDDAPPTAPSPPSIHHTISAVDGNDKSSAPSDDAAQRAAEWGLVLKTDTETGRLQGVSARTSGGPEDPNPKPGQSAAASSRRTSNNSVQSSGEFSSDDVFGGKERGIPRASNDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAQIREALERNTSYCGRLLNYKKDGTPFWNLLTIAPIKDETGKVLKFIGMQVEVSKHTEGSKDKMLRPNGLPESLIRYDARQKEMASNSVSELVQAVKRPRSLSESMNHPLFRKSGGGRTEERTEVLARRNSESVAPPRRNSRGDHPKISMQRISELPEKKQKKTSRLSFMGRIRKSQTIEESFDTGVPVDTYESENDEERPDSLDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIRDAIDNQTEVTVQLINYTKSGKKFWNVFHLQPMRDQKGEVQYFIGVQLDGSEHIEPVNNSIPEDTVKESEKLVRATAENVDDAARELPDANMKPEDLWMNHSKVVHPKPHRKNSPSWRAIEKILVSGEQIGLKHFRPIKPLGSGDTGSVHLVELCGTGHYFAMKAMDKGVMLNRNKVHRACAEREILDVLDHPFLPALYASFQTKTHVCLITDYYPGGELFVLLDRQPTKVLKEDSVRFYVAELLLPSINEKKKQHKGQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILIYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSISASLQAKQLMYRLLHRDPKNRLGSQEGANEIKRHPFFKGVNWALVRCMKPPQLDVPLFAKTEAEKEANAVDPEMQDLQTNIF >ONI00454 pep chromosome:Prunus_persica_NCBIv2:G6:6145453:6154339:-1 gene:PRUPE_6G089800 transcript:ONI00454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEPDTTPPSLIPPFPRDSRGSLEVFNPSSSSTFSTSTSPFRSQHTWQSWIDPLGGTTLEPETVPKLTSKSTRADDITTSWLALKDDDAPPTAPSPPSIHHTISAVDGNDKSSAPSDDAAQRAAEWGLVLKTDTETGRLQGVSARTSGGPEDPNPKPGQSAAASSRRTSNNSVQSSGEFSSDDVFGGKERGIPRASNDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAQIREALERNTSYCGRLLNYKKDGTPFWNLLTIAPIKDETGKVLKFIGMQVEVSKHTEGSKDKMLRPNGLPESLIRYDARQKEMASNSVSELVQAVKRPRSLSESMNHPLFRKSGGGRTEERTEVLARRNSESVAPPRRNSRGDHPKISMQRISELPEKKQKKTSRLSFMGRIRKSQTIEESFDTGVPVDTYESENDEERPDSLDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIRDAIDNQTEVTVQLINYTKSGKKFWNVFHLQPMRDQKGEVQYFIGVQLDGSEHIEPVNNSIPEDTVKESEKLVRATAENVDDAARELPDANMKPEDLWMNHSKVVHPKPHRKNSPSWRAIEKILVSGEQIGLKHFRPIKPLGSGDTGSVHLVELCGTGHYFAMKAMDKGVMLNRNKVHRACAEREILDVLDHPFLPALYASFQTKTHVCLITDYYPGGELFVLLDRQPTKVLKEDSVRFYVAEVVVALEYLHCLGIIYRDLKPENVLIQSNGHVSLTDFDLSCLTSCKPQLLLPSINEKKKQHKGQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILIYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSISASLQAKQLMYRLLHRDPKNRLGSQEGANEIKRHPFFKGVNWALVRCMKPPQLDVPLFAKTEAEKEANAVDPEMQDLQTNIF >ONI00451 pep chromosome:Prunus_persica_NCBIv2:G6:6145009:6156611:-1 gene:PRUPE_6G089800 transcript:ONI00451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEPDTTPPSLIPPFPRDSRGSLEVFNPSSSSTFSTSTSPFRSQHTWQSWIDPLGGTTLEPETVPKLTSKSTRADDITTSWLALKDDDAPPTAPSPPSIHHTISAVDGNDKSSAPSDDAAQRAAEWGLVLKTDTETGRLQGVSARTSGGPEDPNPKPGQSAAASSRRTSNNSVQSSGEFSSDDVFGGKERGIPRASNDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAQIREALERNTSYCGRLLNYKKDGTPFWNLLTIAPIKDETGKVLKFIGMQVEVSKHTEGSKDKMLRPNGLPESLIRYDARQKEMASNSVSELVQAVKRPRSLSESMNHPLFRKSGGGRTEERTEVLARRNSESVAPPRRNSRGDHPKISMQRISELPEKKQKKTSRLSFMGRIRKSQTIEESFDTGVPVDTYESENDEERPDSLDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIRDAIDNQTEVTVQLINYTKSGKKFWNVFHLQPMRDQKGEVQYFIGVQLDGSEHIEPVNNSIPEDTVKESEKLVRATAENVDDAARELPDANMKPEDLWMNHSKVVHPKPHRKNSPSWRAIEKILVSGEQIGLKHFRPIKPLGSGDTGSVHLVELCGTGHYFAMKAMDKGVMLNRNKVHRACAEREILDVLDHPFLPALYASFQTKTHVCLITDYYPGGELFVLLDRQPTKVLKEDSVRFYVAEVVVALEYLHCLGIIYRDLKPENVLIQSNGHVSLTDFDLSCLTSCKPQEIITGAGHTSAVDWWALGILIYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSISASLQAKQLMYRLLHRDPKNRLGSQEGANEIKRHPFFKGVNWALVRCMKPPQLDVPLFAKTEAEKEANAVDPEMQDLQTNIF >ONI00449 pep chromosome:Prunus_persica_NCBIv2:G6:6145009:6156611:-1 gene:PRUPE_6G089800 transcript:ONI00449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEPDTTPPSLIPPFPRDSRGSLEVFNPSSSSTFSTSTSPFRSQHTWQSWIDPLGGTTLEPETVPKLTSKSTRADDITTSWLALKDDDAPPTAPSPPSIHHTISAVDGNDKSSAPSDDAAQRAAEWGLVLKTDTETGRLQGVSARTSGGPEDPNPKPGQSAAASSRRTSNNSVQSSGEFSSDDVFGGKERGIPRASNDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAQIREALERNTSYCGRLLNYKKDGTPFWNLLTIAPIKDETGKVLKFIGMQVEVSKHTEGSKDKMLRPNGLPESLIRYDARQKEMASNSVSELVQAVKRPRSLSESMNHPLFRKSGGGRTEERTEVLARRNSESVAPPRRNSRGDHPKISMQRISELPEKKQKKTSRLSFMGRIRKSQTIEESFDTGVPVDTYESENDEERPDSLDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIRDAIDNQTEVTVQLINYTKSGKKFWNVFHLQPMRDQKGEVQYFIGVQLDGSEHIEPVNNSIPEDTVKESEKLVRATAENVDDAARELPDANMKPEDLWMNHSKVVHPKPHRKNSPSWRAIEKILVSGEQIGLKHFRPIKPLGSGDTGSVHLVELCGTGHYFAMKAMDKGVMLNRNKVHRACAEREILDVLDHPFLPALYASFQTKTHVCLITDYYPGGELFVLLDRQPTKVLKEDSVRFYVAELLLPSINEKKKQHKGQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILIYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSISASLQAKQLMYRLLHRDPKNRLGSQEGANEIKRHPFFKGVNWALVRCMKPPQLDVPLFAKTEAEKEANAVDPEMQDLQTNIF >ONI00455 pep chromosome:Prunus_persica_NCBIv2:G6:6145576:6154339:-1 gene:PRUPE_6G089800 transcript:ONI00455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEPDTTPPSLIPPFPRDSRGSLEVFNPSSSSTFSTSTSPFRSQHTWQSWIDPLGGTTLEPETVPKLTSKSTRADDITTSWLALKDDDAPPTAPSPPSIHHTISAVDGNDKSSAPSDDAAQRAAEWGLVLKTDTETGRLQGVSARTSGGPEDPNPKPGQSAAASSRRTSNNSVQSSGEFSSDDVFGGKERGIPRASNDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAQIREALERNTSYCGRLLNYKKDGTPFWNLLTIAPIKDETGKVLKFIGMQVEVSKHTEGSKDKMLRPNGLPESLIRYDARQKEMASNSVSELVQAVKRPRSLSESMNHPLFRKSGGGRTEERTEVLARRNSESVAPPRRNSRGDHPKISMQRISELPEKKQKKTSRLSFMGRIRKSQTIEESFDTGVPVDTYESENDEERPDSLDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIRDAIDNQTEVTVQLINYTKSGKKFWNVFHLQPMRDQKGEVQYFIGVQLDGSEHIEPVNNSIPEDTVKESEKLVRATAENVDDAARELPDANMKPEDLWMNHSKVVHPKPHRKNSPSWRAIEKILVSGEQIGLKHFRPIKPLGSGDTGSVHLVELCGTGHYFAMKAMDKGVMLNRNKVHRACAEREILDVLDHPFLPALYASFQTKTHVCLITDYYPGGELFVLLDRQPTKVLKEDSVRFYVAEVVVALEYLHCLGIIYRDLKPENVLIQSNGHVSLTDFDLSCLTSCKPQLLLPSINEKKKQHKGQQNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILIYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSISASLQAKQLMYRLLHRDPKNRLGSQEGANEIKRHPFFKGVNWALVRCMKIQ >ONI00755 pep chromosome:Prunus_persica_NCBIv2:G6:7255303:7259788:-1 gene:PRUPE_6G103700 transcript:ONI00755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSAFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVECLQRVSLKQKKQCIESMQAMIVDLLLGMCQHQGTCK >ONI00752 pep chromosome:Prunus_persica_NCBIv2:G6:7254114:7259157:-1 gene:PRUPE_6G103700 transcript:ONI00752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSADLKTTPKYLVSFTVGINQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRDMVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00740 pep chromosome:Prunus_persica_NCBIv2:G6:7254114:7259157:-1 gene:PRUPE_6G103700 transcript:ONI00740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSAFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRDMVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00747 pep chromosome:Prunus_persica_NCBIv2:G6:7254114:7259157:-1 gene:PRUPE_6G103700 transcript:ONI00747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSAFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRDMVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00754 pep chromosome:Prunus_persica_NCBIv2:G6:7255303:7259788:-1 gene:PRUPE_6G103700 transcript:ONI00754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSAFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVECLQRVSLKQKKQCIESMQAMIVDLLLGMCQHQGTCK >ONI00742 pep chromosome:Prunus_persica_NCBIv2:G6:7253773:7259789:-1 gene:PRUPE_6G103700 transcript:ONI00742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSADLKTTPKYLVSFTVGINQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00743 pep chromosome:Prunus_persica_NCBIv2:G6:7254114:7259157:-1 gene:PRUPE_6G103700 transcript:ONI00743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSADLKTTPKYLVSFTVGINQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRDMVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00749 pep chromosome:Prunus_persica_NCBIv2:G6:7253773:7259788:-1 gene:PRUPE_6G103700 transcript:ONI00749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSADLKTTPKYLVSFTVGINQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00751 pep chromosome:Prunus_persica_NCBIv2:G6:7254114:7259157:-1 gene:PRUPE_6G103700 transcript:ONI00751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSADLKTTPKYLVSFTVGINQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRDMVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00753 pep chromosome:Prunus_persica_NCBIv2:G6:7254414:7259788:-1 gene:PRUPE_6G103700 transcript:ONI00753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSADLKTTPKYLVSFTVGINQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRDMVY >ONI00741 pep chromosome:Prunus_persica_NCBIv2:G6:7253773:7259789:-1 gene:PRUPE_6G103700 transcript:ONI00741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSADLKTTPKYLVSFTVGINQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00748 pep chromosome:Prunus_persica_NCBIv2:G6:7254114:7259157:-1 gene:PRUPE_6G103700 transcript:ONI00748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSAFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRDMVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00745 pep chromosome:Prunus_persica_NCBIv2:G6:7253773:7259788:-1 gene:PRUPE_6G103700 transcript:ONI00745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSAFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00746 pep chromosome:Prunus_persica_NCBIv2:G6:7253773:7259788:-1 gene:PRUPE_6G103700 transcript:ONI00746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSAFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00750 pep chromosome:Prunus_persica_NCBIv2:G6:7253773:7259788:-1 gene:PRUPE_6G103700 transcript:ONI00750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSADLKTTPKYLVSFTVGINQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00756 pep chromosome:Prunus_persica_NCBIv2:G6:7255471:7259157:-1 gene:PRUPE_6G103700 transcript:ONI00756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSADLKTTPKYLVSFTVGINQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVECLQRVSLKQKKQCIESMQAMIVDLLLGMCQHQGTCK >ONI00744 pep chromosome:Prunus_persica_NCBIv2:G6:7254114:7259157:-1 gene:PRUPE_6G103700 transcript:ONI00744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSADLKTTPKYLVSFTVGINQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRDMVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00757 pep chromosome:Prunus_persica_NCBIv2:G6:7255471:7259157:-1 gene:PRUPE_6G103700 transcript:ONI00757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSGVGKKSNDSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSADLKTTPKYLVSFTVGINQKKNIDAAVKKFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVECLQRVSLKQKKQCIESMQAMIVDLLLGMCQHQGTCK >ONI00739 pep chromosome:Prunus_persica_NCBIv2:G6:7253773:7259788:-1 gene:PRUPE_6G103700 transcript:ONI00739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVHRSARLIFTTTLGAVFGFFIGVSLPAVSLTKIRFPSSIITSLDVAFTELRRPNPPPNRSLEDEGSEGVPKIYVPTNPRGAETLPPGIIVPQSDLYLRRLWGEPSAFSENFAILLFHYDGRTSEWDEFEWSKRAIHVSVKRQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFDGEKYIQLVKKYGLEISQPGLEPNNGLTWEMTKRRGDREAHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCAWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGDPEDGKAPWEGVRTRCKNEWAEFQARLLGADQAYLSQRGRG >ONI00985 pep chromosome:Prunus_persica_NCBIv2:G6:8355867:8366493:1 gene:PRUPE_6G115300 transcript:ONI00985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVTRSSIYASQILRPKENKELYLLPPSQHFLAQRSCNRFFDIYQLGNKEAIEKERARLADELNRGYFADMSELKKHGGKISVANKILIPATVAVKFPDLEVNYSDGKISKLPIGSNGNGNVAAANKAEIPKASLVCLSFRASSQGMIHSWSAPFLDAFSGSRDVQFYEVSLIDSWFLCRSPIKKLLLRIMRKSKHDESNEPKRQIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGSGLATEEESSSLLSCAKLLLEDK >ONI00986 pep chromosome:Prunus_persica_NCBIv2:G6:8356450:8366095:1 gene:PRUPE_6G115300 transcript:ONI00986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKKHGGKISVANKILIPATVAVKFPDLEVNYSDGKISKLPIGSNGNGNVAAANKAEIPKASLVCLSFRASSQGMIHSWSAPFLDAFSGSRDVQFYEVSLIDSWFLCRSPIKKLLLRIMRKSKHDESNEPKRQIVYSFGDHYYFRKELKILNLLTGYKSSLLPLNFMVL >ONH99894 pep chromosome:Prunus_persica_NCBIv2:G6:3947958:3951358:1 gene:PRUPE_6G056100 transcript:ONH99894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVTRGQADFDPSAPPPFKIAEIRDAIPKHCWVKNPWRSLSYAVRDIFVILALAAIAKYSSTWYVWPFYWAAQGTMFWAVFVIGHDCGHGSFSDSRWLNSFVGHILHSAILVPFHGWRISHKTHHQNHGHVENDESWVPMTESLYKSLPSSTKTLRFTVPFPFFSFPLYLWYRTPGKEGTHFHPSSDLFSPNERSYVITSTVCWIIMAALLFHLSIVFGPIQMLKLYFVPYWIFVMWLDIVTYLHHHGYETKLPWYRGEEWSYLRGGLTTIDRDYGWFNKIHHDIGTHVTHHLFPQIPHYHLVEATNAAKHVLGKYYREPEKSGPIPFHLAKILTASMKEDHYVSDTGDIVYYQTDSKRLTFYEKMKSS >ONI01720 pep chromosome:Prunus_persica_NCBIv2:G6:13594858:13600357:-1 gene:PRUPE_6G155700 transcript:ONI01720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAANSKVEEDKALQLCRERKKYVKQALDGRCSLAAAHLMYIQSLKNTGTALRKFVDLGAPIESSLYTSTNATPEPLVQTEKSLSHFSFSSPSMSQHIDAAETFSPSPSPPNSTRFHLNHMKFRGSFSKKVEEKPPTPVTATVTSSSTPQNTTPRSAEKPEVSPFEASSHPPQTPPWDYFDFFHPIDHQFSFQEGKGVNQVFENNDDMRRLREEEGIPELEDEEEKVSFLGREESEDSEDEFDEPATDTLVRSFENLNRVHDHVEASASPTMPSAESVTSETELLNGEKSNSPDLSPLRATSSVFAVETDSNRTPVKEDCIESKVAPKDFFSSMKDIEVLFIKAFESGKEIPRMLEANKFHFRPLFPAKETGGSISSTFLKACFSCGDDPSQVQEEPPQTAVKYLTWHRTTSSRSSSSRNPLGANSRDDIEDLTGNLFDNFCMNSGSHASTLDRLYAWERKLYDEVKASEMVRRDYDIKCKILRELESKGETSQKIDKTRAVVKDLHSRIRVAIHRIHSISKRIEDLRDKELQPQLEELIEGLSRMWEVMLECHKLQFHIISIAYNNGNTKFSVQSESRRQITIHLEDELSSLSSSFMKWFGAQKSYLKSIDGWLLKCVSFPQKASKKKRRPQEIPFRYYGPPIYVTCGIWLEKLEKLEKPPAKELVDSIKSLAAETSRFLPRQEKKEGKDANQSDLTSWKHDNGSDSAVNMLRDEVSQDGDSGFDNFQISLAGFCCKLNNFAESSVKMYLDLQEAIQRAKSNYEQFKAQSSV >ONI01719 pep chromosome:Prunus_persica_NCBIv2:G6:13594467:13601872:-1 gene:PRUPE_6G155700 transcript:ONI01719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAANSKVEEDKALQLCRERKKYVKQALDGRCSLAAAHLMYIQSLKNTGTALRKFVDLGAPIESSLYTSTNATPEPLVQTEKSLSHFSFSSPSMSQHIDAAETFSPSPSPPNSTRFHLNHMKFRGSFSKKVEEKPPTPVTATVTSSSTPQNTTPRSAEKPEVSPFEASSHPPQTPPWDYFDFFHPIDHQFSFQEGKGVNQVFENNDDMRRLREEEGIPELEDEEEKVSFLGREESEDSEDEFDEPATDTLVRSFENLNRVHDHVEASASPTMPSAESVTSETELLNGEKSNSPDLSPLRATSSVFAVETDSNRTPVKEDCIESKVAPKDFFSSMKDIEVLFIKAFESGKEIPRMLEANKFHFRPLFPAKETGGSISSTFLKACFSCGDDPSQVQEEPPQTAVKYLTWHRTTSSRSSSSRNPLGANSRDDIEDLTGNLFDNFCMNSGSHASTLDRLYAWERKLYDEVKASEMVRRDYDIKCKILRELESKGETSQKIDKTRAVVKDLHSRIRVAIHRIHSISKRIEDLRDKELQPQLEELIEGLSRMWEVMLECHKLQFHIISIAYNNGNTKFSVQSESRRQITIHLEDELSSLSSSFMKWFGAQKSYLKSIDGWLLKCVSFPQKASKKKRRPQEIPFRYYGPPIYVTCGIWLEKLEKLEKPPAKELVDSIKSLAAETSRFLPRQEKKEGKDANQSDLTSWKHDNGSDSAVNMLRDEVSQDGDSGFDNFQISLAGFCCKLNNFAESSVKMYLDLQEAIQRAKSNYEQFKAQSSV >ONI01714 pep chromosome:Prunus_persica_NCBIv2:G6:13594467:13601872:-1 gene:PRUPE_6G155700 transcript:ONI01714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAANSKVEEDKALQLCRERKKYVKQALDGRCSLAAAHLMYIQSLKNTGTALRKFVDLGAPIESSLYTSTNATPEPLVQTEKSLSHFSFSSPSMSQHIDAAETFSPSPSPPNSTRFHLNHMKFRGSFSKKVEEKPPTPVTATVTSSSTPQNTTPRSAEKPEVSPFEASSHPPQTPPWDYFDFFHPIDHQFSFQEGKGVNQVFENNDDMRRLREEEGIPELEDEEEKVSFLGREESEDSEDEFDEPATDTLVRSFENLNRVHDHVEASASPTMPSAESVTSETELLNGEKSNSPDLSPLRATSSVFAVETDSNRTPVKEDCIESKVAPKDFFSSMKDIEVLFIKAFESGKEIPRMLEANKFHFRPLFPAKEKPPQTAVKYLTWHRTTSSRSSSSRNPLGANSRDDIEDLTGNLFDNFCMNSGSHASTLDRLYAWERKLYDEVKASEMVRRDYDIKCKILRELESKGETSQKIDKTRAVVKDLHSRIRVAIHRIHSISKRIEDLRDKELQPQLEELIEGLSRMWEVMLECHKLQFHIISIAYNNGNTKFSVQSESRRQITIHLEDELSSLSSSFMKWFGAQKSYLKSIDGWLLKCVSFPQKASKKKRRPQEIPFRYYGPPIYVTCGIWLEKLEKLEKPPAKELVDSIKSLAAETSRFLPRQEKKEGKDANQSDLTSWKHDNGSDSAVNMLRDEVSQDGDSGFDNFQISLAGFCCKLNNFAESSVKMYLDLQEAIQRAKSNYEQFKAQSSV >ONI01716 pep chromosome:Prunus_persica_NCBIv2:G6:13594858:13600357:-1 gene:PRUPE_6G155700 transcript:ONI01716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAANSKVEEDKALQLCRERKKYVKQALDGRCSLAAAHLMYIQSLKNTGTALRKFVDLGAPIESSLYTSTNATPEPLVQTEKSLSHFSFSSPSMSQHIDAAETFSPSPSPPNSTRFHLNHMKFRGSFSKKVEEKPPTPVTATVTSSSTPQNTTPRSAEKPEVSPFEASSHPPQTPPWDYFDFFHPIDHQFSFQEGKGVNQVFENNDDMRRLREEEGIPELEDEEEKVSFLGREESEDSEDEFDEPATDTLVRSFENLNRVHDHVEASASPTMPSAESVTSETELLNGEKSNSPDLSPLRATSSVFAVETDSNRTPVKEDCIESKVAPKDFFSSMKDIEVLFIKAFESGKEIPRMLEANKFHFRPLFPAKESGSISSTFLKACFSCGDDPSQVQEEPPQTAVKYLTWHRTTSSRSSSSRNPLGANSRDDIEDLTGNLFDNFCMNSGSHASTLDRLYAWERKLYDEVKASEMVRRDYDIKCKILRELESKGETSQKIDKTRAVVKDLHSRIRVAIHRIHSISKRIEDLRDKELQPQLEELIEGLSRMWEVMLECHKLQFHIISIAYNNGNTKFSVQSESRRQITIHLEDELSSLSSSFMKWFGAQKSYLKSIDGWLLKCVSFPQKASKKKRRPQEIPFRYYGPPIYVTCGIWLEKLEKLEKPPAKELVDSIKSLAAETSRFLPRQEKKEGKDANQSDLTSWKHDNGSDSAVNMLRDEVSQDGDSGFDNFQISLAGFCCKLNNFAESSVKMYLDLQEAIQRAKSNYEQFKAQSSV >ONI01715 pep chromosome:Prunus_persica_NCBIv2:G6:13594467:13601872:-1 gene:PRUPE_6G155700 transcript:ONI01715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAANSKVEEDKALQLCRERKKYVKQALDGRCSLAAAHLMYIQSLKNTGTALRKFVDLGAPIESSLYTSTNATPEPLVQTEKSLSHFSFSSPSMSQHIDAAETFSPSPSPPNSTRFHLNHMKFRGSFSKKVEEKPPTPVTATVTSSSTPQNTTPRSAEKPEVSPFEASSHPPQTPPWDYFDFFHPIDHQFSFQEGKGVNQVFENNDDMRRLREEEGIPELEDEEEKVSFLGREESEDSEDEFDEPATDTLVRSFENLNRVHDHVEASASPTMPSAESVTSETELLNGEKSNSPDLSPLRATSSVFAVETDSNRTPVKEDCIESKVAPKDFFSSMKDIEVLFIKAFESGKEIPRMLEANKFHFRPLFPAKEKPPQTAVKYLTWHRTTSSRSSSSRNPLGANSRDDIEDLTGNLFDNFCMNSGSHASTLDRLYAWERKLYDEVKASEMVRRDYDIKCKILRELESKGETSQKIDKTRAVVKDLHSRIRVAIHRIHSISKRIEDLRDKELQPQLEELIEGLSRMWEVMLECHKLQFHIISIAYNNGNTKFSVQSESRRQITIHLEDELSSLSSSFMKWFGAQKSYLKSIDGWLLKCVSFPQKASKKKRRPQEIPFRYYGPPIYVTCGIWLEKLEKLEKPPAKELVDSIKSLAAETSRFLPRQEKKEGKDANQSDLTSWKHDNGSDSAVNMLRDEVSQDGDSGFDNFQISLAGFCCKLNNFAESSVKMYLDLQEAIQRAKSNYEQFKAQSSV >ONI01722 pep chromosome:Prunus_persica_NCBIv2:G6:13594858:13600357:-1 gene:PRUPE_6G155700 transcript:ONI01722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAANSKVEEDKALQLCRERKKYVKQALDGRCSLAAAHLMYIQSLKNTGTALRKFVDLGAPIESSLYTSTNATPEPLVQTEKSLSHFSFSSPSMSQHIDAAETFSPSPSPPNSTRFHLNHMKFRGSFSKKVEEKPPTPVTATVTSSSTPQNTTPRSAEKPEVSPFEASSHPPQTPPWDYFDFFHPIDHQFSFQEGKGVNQVFENNDDMRRLREEEGIPELEDEEEKVSFLGREESEDSEDEFDEPATDTLVRSFENLNRVHDHVEASASPTMPSAESVTSETELLNGEKSNSPDLSPLRATSSVFAVETDSNRTPVKEDCIESKVAPKDFFSSMKDIEVLFIKAFESGKEIPRMLEANKFHFRPLFPAKETGGSISSTFLKACFSCGDDPSQVQEEPPQTAVKYLTWHRTTSSRSSSSRNPLGANSRDDIEDLTGNLFDNFCMNSGSHASTLDRLYAWERKLYDEVKASEMVRRDYDIKCKILRELESKGETSQKIDKTRAVVKDLHSRIRVAIHRIHSISKRIEDLRDKELQPQLEELIEGLSRMWEVMLECHKLQFHIISIAYNNGNTKFSVQSESRRQITIHLEDELSSLSSSFMKWFGAQKSYLKSIDGWLLKCVSFPQKASKKKRRPQEIPFRYYGPPIYVTCGIWLEKLEKLEKPPAKELVDSIKSLAAETSRFLPRQEKKEGKDANQSDLTSWKHDNGSDSAVNMLRDEVSQDGDSGFDNFQISLAGFCCKLNNFAESSVKMYLDLQEAIQRAKSNYEQFKAQSSV >ONI01718 pep chromosome:Prunus_persica_NCBIv2:G6:13594438:13601872:-1 gene:PRUPE_6G155700 transcript:ONI01718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAANSKVEEDKALQLCRERKKYVKQALDGRCSLAAAHLMYIQSLKNTGTALRKFVDLGAPIESSLYTSTNATPEPLVQTEKSLSHFSFSSPSMSQHIDAAETFSPSPSPPNSTRFHLNHMKFRGSFSKKVEEKPPTPVTATVTSSSTPQNTTPRSAEKPEVSPFEASSHPPQTPPWDYFDFFHPIDHQFSFQEGKGVNQVFENNDDMRRLREEEGIPELEDEEEKVSFLGREESEDSEDEFDEPATDTLVRSFENLNRVHDHVEASASPTMPSAESVTSETELLNGEKSNSPDLSPLRATSSVFAVETDSNRTPVKEDCIESKVAPKDFFSSMKDIEVLFIKAFESGKEIPRMLEANKFHFRPLFPAKESGSISSTFLKACFSCGDDPSQVQEEPPQTAVKYLTWHRTTSSRSSSSRNPLGANSRDDIEDLTGNLFDNFCMNSGSHASTLDRLYAWERKLYDEVKASEMVRRDYDIKCKILRELESKGETSQKIDKTRAVVKDLHSRIRVAIHRIHSISKRIEDLRDKELQPQLEELIEGLSRMWEVMLECHKLQFHIISIAYNNGNTKFSVQSESRRQITIHLEDELSSLSSSFMKWFGAQKSYLKSIDGWLLKCVSFPQKASKKKRRPQEIPFRYYGPPIYVTCGIWLEKLEKLEKPPAKELVDSIKSLAAETSRFLPRQEKKEGKDANQSDLTSWKHDNGSDSAVNMLRDEVSQDGDSGFDNFQISLAGFCCKLNNFAESSVKMYLDLQEAIQRAKSNYEQFKAQSSV >ONI01721 pep chromosome:Prunus_persica_NCBIv2:G6:13594467:13601555:-1 gene:PRUPE_6G155700 transcript:ONI01721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAANSKVEEDKALQLCRERKKYVKQALDGRCSLAAAHLMYIQSLKNTGTALRKFVDLGAPIESSLYTSTNATPEPLVQTEKSLSHFSFSSPSMSQHIDAAETFSPSPSPPNSTRFHLNHMKFRGSFSKKVEEKPPTPVTATVTSSSTPQNTTPRSAEKPEVSPFEASSHPPQTPPWDYFDFFHPIDHQFSFQEGKGVNQVFENNDDMRRLREEEGIPELEDEEEKVSFLGREESEDSEDEFDEPATDTLVRSFENLNRVHDHVEASASPTMPSAESVTSETELLNGEKSNSPDLSPLRATSSVFAVETDSNRTPVKEDCIESKVAPKDFFSSMKDIEVLFIKAFESGKEIPRMLEANKFHFRPLFPAKETGGSISSTFLKACFSCGDDPSQVQEEPPQTAVKYLTWHRTTSSRSSSSRNPLGANSRDDIEDLTGNLFDNFCMNSGSHASTLDRLYAWERKLYDEVKASEMVRRDYDIKCKILRELESKGETSQKIDKTRAVVKDLHSRIRVAIHRIHSISKRIEDLRDKELQPQLEELIEGLSRMWEVMLECHKLQFHIISIAYNNGNTKFSVQSESRRQITIHLEDELSSLSSSFMKWFGAQKSYLKSIDGWLLKCVSFPQKASKKKRRPQEIPFRYYGPPIYVTCGIWLEKLEKLEKPPAKELVDSIKSLAAETSRFLPRQEKKEGKDANQSDLTSWKHDNGSDSAVNMLRDEVSQDGDSGFDNFQISLAGFCCKLNNFAESSVKMYLDLQEAIQRAKSNYEQFKAQSSV >ONI01717 pep chromosome:Prunus_persica_NCBIv2:G6:13594457:13601872:-1 gene:PRUPE_6G155700 transcript:ONI01717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAANSKVEEDKALQLCRERKKYVKQALDGRCSLAAAHLMYIQSLKNTGTALRKFVDLGAPIESSLYTSTNATPEPLVQTEKSLSHFSFSSPSMSQHIDAAETFSPSPSPPNSTRFHLNHMKFRGSFSKKVEEKPPTPVTATVTSSSTPQNTTPRSAEKPEVSPFEASSHPPQTPPWDYFDFFHPIDHQFSFQEGKGVNQVFENNDDMRRLREEEGIPELEDEEEKVSFLGREESEDSEDEFDEPATDTLVRSFENLNRVHDHVEASASPTMPSAESVTSETELLNGEKSNSPDLSPLRATSSVFAVETDSNRTPVKEDCIESKVAPKDFFSSMKDIEVLFIKAFESGKEIPRMLEANKFHFRPLFPAKESGSISSTFLKACFSCGDDPSQVQEEPPQTAVKYLTWHRTTSSRSSSSRNPLGANSRDDIEDLTGNLFDNFCMNSGSHASTLDRLYAWERKLYDEVKASEMVRRDYDIKCKILRELESKGETSQKIDKTRAVVKDLHSRIRVAIHRIHSISKRIEDLRDKELQPQLEELIEGLSRMWEVMLECHKLQFHIISIAYNNGNTKFSVQSESRRQITIHLEDELSSLSSSFMKWFGAQKSYLKSIDGWLLKCVSFPQKASKKKRRPQEIPFRYYGPPIYVTCGIWLEKLEKLEKPPAKELVDSIKSLAAETSRFLPRQEKKEGKDANQSDLTSWKHDNGSDSAVNMLRDEVSQDGDSGFDNFQISLAGFCCKLNNFAESSVKMYLDLQEAIQRAKSNYEQFKAQSSV >ONI02187 pep chromosome:Prunus_persica_NCBIv2:G6:18873107:18875831:1 gene:PRUPE_6G182000 transcript:ONI02187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNSKDGFCKTRPVLGDLTNRPIKRGFSMISADSKPKSGDGYGNNVDSENGDSKFAKQVSLGCENIVREKCKTKIGAECNPEALSSPKGTQDSEFSPTYTATDDSSNDNSDSVTLTMSNDIAEKSSDTGDNASSVMGVADALMDNCTSIVSNSKCSGLCKNDCCDGGEKCQYGKAEQTSDVAQSIPLYEGLVTVVRGNDEKDLDDGNLASNKHGYANSSRLPGSHCCSKSHEFEKLERCTTLKGDNVAGLNVGDDFLKGCSCSFCLKDLQYQDIKGRISALKKSQKEANIFVEKSFRGKEINTEGWPHPNKTSKLEYDLSSQWRSLFLHVEDMLVHESNHLQDSYVTLKDLRDNCKTELEMTSGMPSEKQ >ONI02186 pep chromosome:Prunus_persica_NCBIv2:G6:18873107:18875831:1 gene:PRUPE_6G182000 transcript:ONI02186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNSKDGFCKTRPVLGDLTNRPIKRGFSMISADSKPKSGDGYGNNVDSENGDSKFAKQVSLGCENIVREKCKTKIGAECNPEALSSPKGTQDSEFSPTYTATDDSSNDNSDSVTLTMSNDIAEKSSDTGDNASSVMGVADALMDNCTSIVSNSKCSGLCKNDCCDGGEKCQYGKAEQTSDVAQSIPLYEGLVTVVRGNDEKDLDDGNLASNKHGYANSSRLPGSHCCSKSHEFEKLERCTTLKGDNVAGLNVGDDFLKGCSCSFCLKAAHILSDLQYQDIKGRISALKKSQKEANIFVEKSFRGKEINTEGWPHPNKTSKLEYDLSSQWRSLFLHVEDMLVHESNHLQDSYVTLKDLRDNCKTELEMTSGMPSEKQ >ONI00914 pep chromosome:Prunus_persica_NCBIv2:G6:7993064:7995208:-1 gene:PRUPE_6G111300 transcript:ONI00914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKASSNAMGCSEIGRFSRYMLTGRWFSVFASFLIMAGAGATYLYGTYSKEIKSTLGYDQTEINMLGFSKDFGANVGVLSGLIGEVTPVWFVLIIGAVMNFVGYFMIWLAVTGRIPKPKVWQMCVYICIGANSQNFANTGALVTCVKNFPESRGVMLGLLKGFTGLSGAILTQIYLAVYGNDSKSLILLIGWLPAAVSIVFVYTIRPMKVIRQPNELKVFYHFLYISIILAVFLMVMNLLQKYINFSHAAYAGSATVAVLLLFLPLGISIREELVLWNLQKQPVDPPTEVKVEKPQEIEEKKNEPKEKELETPEPGCFSDIWNKPTRGEDYTILQALLSTDMLILFISTLCGLGSSLTAVDNLGQIGESLGYPTKTIKTFVSLTSIWNYFGRVFSGFISESLLIRWKIPRPLVMTFALVLSCVGLLLIAFPVPGSVYVASVIIGFSFGAQLPLLFAIISELFGLKHFATLFNCGQIASPLGSYLFNVKLTGALYDKEAMKELTKRGLTRSQVKDLTCLGNHCYRLSFTILAAATFFGALVSLILVFRTRKFYKGDIYKKYRV >ONH99281 pep chromosome:Prunus_persica_NCBIv2:G6:1823076:1828340:-1 gene:PRUPE_6G022700 transcript:ONH99281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNFSSESSEDDVRGERDKYRSRIDSRSNNLHEPGDEIDSSDSDGGNRTKQQERRDGHRSDDMDNERSSKRDLKDKGGRWLDDRQDRKGSGESSDEDGELKEKDWDTQPKERKHDNGHRRRENGKGDRVRESFRSSEEDDEQVRRHRHEREREKPRRHESGHRRPETEDGHRYRSRHSRHYSDHKDEREEHRTLEQKKDKRDDDQRRRYRNQDDDGDLKYRRNNEVKERREDSRSLRQNDERDSRMLENSKPQGQSKLQEGNMSTDVSNLGKSGGVYIPPFKLARMMKDVEDKSSVQYQRLTWDALRKSINGLVNKVNAANIKNIIPEIFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGELLLRRIVLQLKRAFKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSILQDLSPKGLHGIFERFRGILHEGEIDKRVQYLIENLFATRKAKFQGHPAVRPELDLVEQEDQLTHELSLEEEIDPEITLDIFKPDPDFLENEKRYEELKKTILGEESEDEEGSDAVSDGEDDDEDDDDEESEEEDEEQMQIRDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCVMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLTDPSMQDSYDSIFPKDNPKNTRFAINFFTSIGLGGITENLREYLKHMPRLIMQQQKAVSDSESESSGSSDSDSESESEPSSSDESDSDSDRRHKKRRSESDRKERHKKRRRD >ONH99282 pep chromosome:Prunus_persica_NCBIv2:G6:1823076:1828905:-1 gene:PRUPE_6G022700 transcript:ONH99282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNFSSESSEDDVRGERDKYRSRIDSRSNNLHEPGDEIDSSDSDGGNRTKQQERRDGHRSDDMDNERSSKRDLKDKGGRWLDDRQDRKGSGESSDEDGELKEKDWDTQPKERKHDNGHRRRENGKGDRVRESFRSSEEDDEQVRRHRHEREREKPRRHESGHRRPETEDGHRYRSRHSRHYSDHKDEREEHRTLEQKKDKRDDDQRRRYRNQDDDGDLKYRRNNEVKERREDSRSLRQNDERDSRMLENSKPQGQSKLQEGNMSTDVSNLGKSGGVYIPPFKLARMMKDVEDKSSVQYQRLTWDALRKSINGLVNKVNAANIKNIIPEIFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGELLLRRIVLQLKRAFKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSILQDLSPKGLHGIFERFRGILHEGEIDKRVQYLIENLFATRKAKFQGHPAVRPELDLVEQEDQLTHELSLEEEIDPEITLDIFKPDPDFLENEKRYEELKKTILGEESEDEEGSDAVSDGEDDDEDDDDEESEEEDEEQMQIRDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCVMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLTDPSMQDSYDSIFPKDNPKNTRFAINFFTSIGLGGITENLREYLKHMPRLIMQQQKAVSDSESESSGSSDSDSESESEPSSSDESDSDSDRRHKKRRSESDRKERHKKRRRD >ONI00176 pep chromosome:Prunus_persica_NCBIv2:G6:4949562:4951202:-1 gene:PRUPE_6G072500 transcript:ONI00176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSMFLHSKTIPFCSAFTDRPNFSLRPFRKHLQGNSINHSSHLHHSLKPLKNPSNLCLSQNPFSSSPTRTRRSTHLLPPLKCSYSRTSSSESQTPLLKLLKNISFDSFKATLFKLTPFDVIKWSGIFSIAIAATKGTVNLLLSPFFWMYFSWTWMFWPWFLALSIGVYGLYCFRKHLQGEASIFEQLAIVTSVFSWLTLVPPAHFNGFLEGWPYVFFFVYHYFFFFNVSVRKRLYGDYYARPHDPKWDVSPPKWLRLLFCAGVMAGHWLAAFEGPELHRIPGGWSNVGIWILILVTLLVQYNSTLYLAKYSEKVVVPTAVVQFGPYRWVRHPIYSSTMLLFATYFIALRAPLSLLFIVAVCSLYYDQKAKLEEALMVETFGERDEPKT >ONI02267 pep chromosome:Prunus_persica_NCBIv2:G6:19583469:19588642:-1 gene:PRUPE_6G187400 transcript:ONI02267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSIFSWVF >ONI02268 pep chromosome:Prunus_persica_NCBIv2:G6:19583469:19588642:-1 gene:PRUPE_6G187400 transcript:ONI02268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSIFSWVF >ONI02269 pep chromosome:Prunus_persica_NCBIv2:G6:19583469:19588642:-1 gene:PRUPE_6G187400 transcript:ONI02269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSIFSWVF >ONI02277 pep chromosome:Prunus_persica_NCBIv2:G6:19582289:19588376:-1 gene:PRUPE_6G187400 transcript:ONI02277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAIIFSSLHLGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKQGVSQTGKPKSRWETYASSIQPWHFKR >ONI02266 pep chromosome:Prunus_persica_NCBIv2:G6:19582289:19586413:-1 gene:PRUPE_6G187400 transcript:ONI02266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILTKKRSKTSGH >ONI02262 pep chromosome:Prunus_persica_NCBIv2:G6:19582289:19588826:-1 gene:PRUPE_6G187400 transcript:ONI02262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILTKKRSKTSGH >ONI02278 pep chromosome:Prunus_persica_NCBIv2:G6:19585670:19588785:-1 gene:PRUPE_6G187400 transcript:ONI02278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHSSVVQAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRGV >ONI02275 pep chromosome:Prunus_persica_NCBIv2:G6:19582289:19589077:-1 gene:PRUPE_6G187400 transcript:ONI02275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTWVTTLSEGVSQTGKPKSRWETYASSIQPWHFKR >ONI02272 pep chromosome:Prunus_persica_NCBIv2:G6:19583125:19586413:-1 gene:PRUPE_6G187400 transcript:ONI02272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSIFSWVF >ONI02276 pep chromosome:Prunus_persica_NCBIv2:G6:19585202:19588642:-1 gene:PRUPE_6G187400 transcript:ONI02276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTWVTTLSEGVSQTGKPKSRWETYASSIQPWHFKR >ONI02256 pep chromosome:Prunus_persica_NCBIv2:G6:19582289:19588785:-1 gene:PRUPE_6G187400 transcript:ONI02256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHSSVVQAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILSSLGTLVLIYLTLRVRQSADGFIHV >ONI02265 pep chromosome:Prunus_persica_NCBIv2:G6:19583125:19588785:-1 gene:PRUPE_6G187400 transcript:ONI02265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHSSVVQAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILTKKRSKTSGH >ONI02254 pep chromosome:Prunus_persica_NCBIv2:G6:19582254:19588794:-1 gene:PRUPE_6G187400 transcript:ONI02254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILSSLGTLVLIYLTLRVRQSADGFIHV >ONI02258 pep chromosome:Prunus_persica_NCBIv2:G6:19582254:19588812:-1 gene:PRUPE_6G187400 transcript:ONI02258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTSIALLAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILSSLGTLVLIYLTLRVRQSADGFIHV >ONI02255 pep chromosome:Prunus_persica_NCBIv2:G6:19582253:19589877:-1 gene:PRUPE_6G187400 transcript:ONI02255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILSSLGTLVLIYLTLRVRQSADGFIHV >ONI02257 pep chromosome:Prunus_persica_NCBIv2:G6:19582278:19588376:-1 gene:PRUPE_6G187400 transcript:ONI02257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAIIFSSLHLGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILSSLGTLVLIYLTLRVRQSADGFIHV >ONI02270 pep chromosome:Prunus_persica_NCBIv2:G6:19583469:19588642:-1 gene:PRUPE_6G187400 transcript:ONI02270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSIFSWVF >ONI02260 pep chromosome:Prunus_persica_NCBIv2:G6:19582255:19589077:-1 gene:PRUPE_6G187400 transcript:ONI02260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILSSLGTLVLIYLTLRVRQSADGFIHV >ONI02274 pep chromosome:Prunus_persica_NCBIv2:G6:19585093:19588642:-1 gene:PRUPE_6G187400 transcript:ONI02274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSIL >ONI02264 pep chromosome:Prunus_persica_NCBIv2:G6:19583185:19588642:-1 gene:PRUPE_6G187400 transcript:ONI02264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILTKKRSKTSGH >ONI02271 pep chromosome:Prunus_persica_NCBIv2:G6:19583469:19588642:-1 gene:PRUPE_6G187400 transcript:ONI02271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHSSVVQAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSIFSWVF >ONI02261 pep chromosome:Prunus_persica_NCBIv2:G6:19582289:19588861:-1 gene:PRUPE_6G187400 transcript:ONI02261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILTKKRSKTSGH >ONI02259 pep chromosome:Prunus_persica_NCBIv2:G6:19582278:19587602:-1 gene:PRUPE_6G187400 transcript:ONI02259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILSSLGTLVLIYLTLRVRQSADGFIHV >ONI02273 pep chromosome:Prunus_persica_NCBIv2:G6:19584944:19588785:-1 gene:PRUPE_6G187400 transcript:ONI02273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSIL >ONI02263 pep chromosome:Prunus_persica_NCBIv2:G6:19582289:19588826:-1 gene:PRUPE_6G187400 transcript:ONI02263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASDEGSVDQSCSIPVSCSNFKLLKQTINEVDQHCSLDILPLLLEKAPLPAKLKCPFHSSHAPDVYSISMLPEEGNSLRCASVLGYLNFLKVYNSSKSQMCMDAQSSCQNGIDSQANMADSHPPCIIEIDLEKGCIQAPESEEEAIESLKREGLLTRVFRRQASLKVGGKLMHLLFNHGTSRDNPVPEKVHETPNNRWRRCKRTASFDSRKVVFLFSILTKKRSKTSGH >ONI04281 pep chromosome:Prunus_persica_NCBIv2:G6:28022948:28031965:1 gene:PRUPE_6G313300 transcript:ONI04281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSSSSCLQARVHSLGPNLGLRPGPTELASPFLRVLGSLTGLTRPNSSRRAFFCSDASDGSDQVVEVEAKKAGTEGEAESKSSSAIVPTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSVVSGSETDKSISDLKGKELFNRLHEVGTLAQISSIQGDQVVLIGHRRLRITEMVDEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQQVLEELDVYKRLKLTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLEADDKTTLSAKFRERLEPNREKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTSIPWGNYSDENFDVLRAQKILDEDHYGLSDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVEMIPNPLLDRMEVISIAGYITDEKMHIARDFLEKTTREACGIKPEQVEVTNAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGVSDEPEVAHQIESPTSQIDGPNESAVVEAQVAETDEAKVEDVDKLDQNVVASESQTASESLETDLPVEPAVGEVQVADTDEPMDSKDAKKTDKIQENETTKTVDKVLVDESNVADFVGKPVFHAERLYDQTPVGVVMGLAWTAMGGSTLYIETTQVEEGEGKGSLNVTGQLGDVMKESTQIAHTVARAILLNKEPDNPFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMKKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRGEVRTIIFPAANRKDFDELAPNVKEGLDVHFVDDYNQIFDLAFSDDQSKLK >ONI00207 pep chromosome:Prunus_persica_NCBIv2:G6:5114858:5120321:1 gene:PRUPE_6G074900 transcript:ONI00207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLIFMDLAYNMLVGKIPPQIGSLSKLQILVVQFNNLTGEIPPSLGNLSSLEVLATAYNNLVGIIPTSLGQLKKLTLLSLGLNKLFGTFPSSIYNLSALFTLSIIQNQIQGTLPSDLEYGMGSEVSTYGDVYSFGILLLEMFTGKRTTDEMFRGGLNLRNFVQIFTLTSLCIFNAIILVCCVSSNDTDMHALLAFKAQIKEDPYGAMSSWNESTNFCIWHGVTCSRRHHQRVTMLDLPSQNLVGSLSPHVGNLSFLRILNLDNNSLSHEIPPGIGHLHRLQVLRLHNNSFSGPIPSNISHCFNLEYVNLGYNKLVGNIPSEIGSFPKLYILVFQHNNLTGEIPPSLGNLSSLEVFAASDNNFTGSIPSSLGQLKKLTFLTLGVNYLSGTFPPSLCNISSLQTLMMQFNQIEGSVPSYCGKYLPNLEAFSIAENQFTGSIPLSISNATSLWMFQFGYNKLTGQVPDLRKLHNLKDFNIQGNRLGSGRDGDLSFLSDLTNARELRKLVMTNNNFGGSLPTSISNLSTKLEFFLVQKNRIDGSIPAGLGNLISMEALAMYENSLTGNIPTDIGKLANLVEFDISMNKLSGSIPSSLGDLTKLSRLYLEGNYLQGFIPSSLGECHGLQLLDLSYNNLNGTIPEKVFGLRSLSIYLDLSNNYFTGSLPTEVGNLGTLSRLDISGNRLSGELPNSLGSCVSLGVLHLQGNFFNGSIPSSMTSLRGIQDLDLSRNNLSGEIPKFLEDFFSLKNLNLSFNGFWGSVPIGGVFGNASATSIVGNTRLCGGIGKLQLPKCKSKRGGSSRSLKLIIPLVSGLALLGIAMVLSYFFLCSSRKKTKEISLTTLAKSILQVSYNTLSKATDGFSSTNLIGRGSFGSVYKGVLAYDDIADQLVAVKVFNLSRRGAFKSFIAECESLRNIRHRNLVKIITACSSVDFHGNDFKALVYQFMDNGSLEEWLHPTTGEEVRDHAPKNLNLLQRLDIVIHVACALDYLHNHCETPIVHCDLKPSNVLLDNELIGHVSDFGLARILSQISNNDISENQISSIGIRGTVGYAAPEYGMGSEVSINGDVYSFGILVLEMFTGKRPTDNMFSGDLNLHNFVKMSFPDRVGEIAESSFFQEGTNETPDQYRVRVQKFE >ONI02062 pep chromosome:Prunus_persica_NCBIv2:G6:17965298:17968153:1 gene:PRUPE_6G175200 transcript:ONI02062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVASICLCRKMSRGWAKSGGWAAFDLKQRQKQGLEPQTDTDHFPPILTTLPSLHPCENVSRNNDLSGRPFSCVLHPVDFPTSTENRDGKRPLLYGDSSGTSMEDNRSSKKKIMDLYPWADDSLIEDIMAAVGDDITKASTLLKAMVSPSSFEENKETDISKINSNSDIYQSDKTKHTSFPLESAADIADLNSTFEKCLEENNIELLNAHDFCGKNLPNDAATMKLTLGSLESVPVEPEWEEDDVYLRHRKDALRMMRSASQHSKAATNAFVRGDHFSAQRHSNKAREEWLAAESLNNKAAKKILNIRNSKNDVWKLDLHGLHASEAIQALREHLQRIETKVLSNHSVSPNKVRMEKRIIRSSSLESFNCMDTEKLDQQKAPSTQRPTSLQVITGIGNHSRGQAALPTAVGSFLNDNGYRFEELRPGVITVRPKFRHR >ONI02064 pep chromosome:Prunus_persica_NCBIv2:G6:17964805:17968153:1 gene:PRUPE_6G175200 transcript:ONI02064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGWAKSGGWAAFDLKQRQKQGLEPQTDTDHFPPILTTLPSLHPCENVSRNNDLSGRPFSCVLHPVDFPTSTENRDGKRPLLYGDSSGTSMEDNRSSKKKIMDLYPWADDSLIEDIMAAVGDDITKASTLLKAMVSPSSFEENKETDISKINSNSDIYQSDKTKHTSFPLESAADIADLNSTFEKCLEENNIELLNAHDFCGKNLPNDAATMKLTLGSLESVPVEPEWEEDDVYLRHRKDALRMMRSASQHSKAATNAFVRGDHFSAQRHSNKAREEWLAAESLNNKAAKKILNIRNSKNDVWKLDLHGLHASEAIQALREHLQRIETKVLSNHSVSPNKVRMEKRIIRSSSLESFNCMDTEKLDQQKAPSTQRPTSLQVITGIGNHSRGQAALPTAVGSFLNDNGYRFEELRPGVITVRPKFRHR >ONI02063 pep chromosome:Prunus_persica_NCBIv2:G6:17964805:17968156:1 gene:PRUPE_6G175200 transcript:ONI02063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGWAKSGGWAAFDLKQRQKQGLEPQTDTDHFPPILTTLPSLHPCENVSRNNDLSGRPFSCVLHPVDFPTSTENRDGKRPLLYGDSSGTSMEDNRSSKKKIMDLYPWADDSLIEDIMAAVGDDITKASTLLKAMVSPSSFEENKETDISKINSNSDIYQSDKTKHTSFPLESAADIADLNSTFEKCLEENNIELLNAHDFCGKNLPNDAATMKLTLGSLESVPVEPEWEEDDVYLRHRKDALRMMRSASQHSKAATNAFVRGDHFSAQRHSNKAREEWLAAESLNNKAAKKILNIRNSKNDVWKLDLHGLHASEAIQALREHLQRIETKVLSNHSVSPNKVRMEKRIIRSSSLESFNCMDTEKLDQQKAPSTQRPTSLQVITGIGNHSRGQAALPTAVGSFLNDNGYRFEELRPGVITVRPKFRHR >ONI02844 pep chromosome:Prunus_persica_NCBIv2:G6:22920638:22924600:-1 gene:PRUPE_6G224500 transcript:ONI02844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSKYWLFEPRCGMHDIGGWYIETFGRDKKGRMLPSQRYWDGFDAGKQFER >ONI02841 pep chromosome:Prunus_persica_NCBIv2:G6:22919225:22924578:-1 gene:PRUPE_6G224500 transcript:ONI02841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSKDKKGRMLPSQRYWDGFDAGKQFERRLHPAMYLLALAYRTLDLEDAKRRKSAFRDVVDKRIFKFLNWCKRLV >ONI02838 pep chromosome:Prunus_persica_NCBIv2:G6:22919206:22924535:-1 gene:PRUPE_6G224500 transcript:ONI02838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSKYWLFEPRCGMHDIGGWYIETFGRDKKGRMLPSQRYWDGFDAGKQFERTLDLEDAKRRKSAFRDVVDKRIFKFLNWCKRLV >ONI02843 pep chromosome:Prunus_persica_NCBIv2:G6:22919234:22923166:-1 gene:PRUPE_6G224500 transcript:ONI02843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSKYWLFEPRCGMHDIGGWYIETFGRDKKGRMLPSQRYWDGFDAGKQFERRLHPAMYLLALAYRTLDLEDAKRRKSAFRDVVDKRIFKFLNWCKRLV >ONI02854 pep chromosome:Prunus_persica_NCBIv2:G6:22919225:22924546:-1 gene:PRUPE_6G224500 transcript:ONI02854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGETHVLV >ONI02853 pep chromosome:Prunus_persica_NCBIv2:G6:22919038:22924535:-1 gene:PRUPE_6G224500 transcript:ONI02853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGETHVLV >ONI02848 pep chromosome:Prunus_persica_NCBIv2:G6:22921119:22924181:-1 gene:PRUPE_6G224500 transcript:ONI02848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSNLCEQILAV >ONI02851 pep chromosome:Prunus_persica_NCBIv2:G6:22921252:22924565:-1 gene:PRUPE_6G224500 transcript:ONI02851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSK >ONI02849 pep chromosome:Prunus_persica_NCBIv2:G6:22921119:22923028:-1 gene:PRUPE_6G224500 transcript:ONI02849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSNLCEQILAV >ONI02845 pep chromosome:Prunus_persica_NCBIv2:G6:22919333:22924565:-1 gene:PRUPE_6G224500 transcript:ONI02845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSKWLVY >ONI02852 pep chromosome:Prunus_persica_NCBIv2:G6:22921594:22924181:-1 gene:PRUPE_6G224500 transcript:ONI02852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSK >ONI02850 pep chromosome:Prunus_persica_NCBIv2:G6:22921147:22924181:-1 gene:PRUPE_6G224500 transcript:ONI02850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSNFHN >ONI02842 pep chromosome:Prunus_persica_NCBIv2:G6:22919234:22924535:-1 gene:PRUPE_6G224500 transcript:ONI02842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSKYWLFEPRCGMHDIGGWYIETFGRDKKGRMLPSQRYWDGFDAGKQFERRLHPAMYLLALAYRTLDLEDAKRRKSAFRDVVDKRIFKFLNWCKRLV >ONI02840 pep chromosome:Prunus_persica_NCBIv2:G6:22919234:22924535:-1 gene:PRUPE_6G224500 transcript:ONI02840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSKYWLFEPRCGMHDIGGWYIETFGRDKKGRMLPSQRYWDGFDAGKQFERRLHPAMYLLALAYRTLDLEDAKRRKSAFRDVVDKRIFKFLNWCKRLV >ONI02846 pep chromosome:Prunus_persica_NCBIv2:G6:22919408:22924535:-1 gene:PRUPE_6G224500 transcript:ONI02846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSNLCEQILAV >ONI02837 pep chromosome:Prunus_persica_NCBIv2:G6:22919222:22924579:-1 gene:PRUPE_6G224500 transcript:ONI02837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSKDKKGRMLPSQRYWDGFDAGKQFERRLHPAMYLLALAYRTLDLEDAKRRKSAFRDVVDKRIFKFLNWCKRLV >ONI02847 pep chromosome:Prunus_persica_NCBIv2:G6:22920873:22924554:-1 gene:PRUPE_6G224500 transcript:ONI02847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSNLCEQILAV >ONI02839 pep chromosome:Prunus_persica_NCBIv2:G6:22919064:22924637:-1 gene:PRUPE_6G224500 transcript:ONI02839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPGDLLRHRPFPLLSIRPNPRCYLLFIFNQSTGTRWLSSRTESARAEFTSENAYEVLGVSETSSFAEIKASFHKLAKQTHPDLAESKNDSDASRRFVQILAAYEILSDCEKRAHYDRDLLSQRNFMQKNSGCGMTLHMYKRHLTTYKQMEVVEWLKWYRLAINDVLSERKVVVGTGYFDVLEGDFYSAIHAAYYGPLIESMDLLPDCFEAEERSVYETPEVLHFVSGRDLFGMVCLVNIVPELSSYSNKKLSYSTSAGLGICQSVQDLRICMNSGGVDDAGNAHVRTWDVTDETSDAYKDLELHISGRVVATATRAPPKSYSDGMQNEESDHIRVFLSSNENPVHTSEGFTEDSFSGAAVGSRILLGTITGLGTSSEEGSGLVYNSSGTKTHVIMKHRTLLVKHMFWYELGEKVSVCECRCSRAQLPPSKYWLFEPRCGMHDIGGWYIETFGRDKKGRMLPSQRYWDGFDAGKQFERRLHPAMYLLALAYRTLDLEDAKRRKSAFRDVVDKRIFKFLNWCKRLV >ONI02108 pep chromosome:Prunus_persica_NCBIv2:G6:18206907:18213020:-1 gene:PRUPE_6G177200 transcript:ONI02108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSTQFVTSRRMGAYEPIHQISMWEESFKSNGNFNASASMIVDADTKLDNQSEDASHGILGPSSKYDQEATKPTDKVQRRLAQNREAARKSRMRKKAYVQQLETSRLKLIQLEQELDRARQQGIYIGSGLDASPLGFSGTINSGVTTFEMEYGHWVEEQNRQINELRTALHAHISDIELRFLVDSGMSHYFELFSMKLTAAKADVFYVMSGMWKTSAERFFSWIGGFRPSEILKVLQPHLDPLTDQQVLDVYNLRQSCQQAEDALSQGMDKLQLTLADTVAAGQLGEGSYVPQMANAMQKLEELVSFVQQADNLRRGTLQQMSCILTIRQAARGLLALGEYFQRLRALSSLWATRPREPA >ONI02107 pep chromosome:Prunus_persica_NCBIv2:G6:18206907:18213647:-1 gene:PRUPE_6G177200 transcript:ONI02107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSTQFVTSRRMGAYEPIHQISMWEESFKSNGNFNASASMIVDADTKLDNQSEDASHGILGPSSKYDQEATKPTDKVQRRLAQNREAARKSRMRKKAYVQQLETSRLKLIQLEQELDRARQQGIYIGSGLDASPLGFSGTINSGVTTFEMEYGHWVEEQNRQINELRTALHAHISDIELRFLVDSGMSHYFELFSMKLTAAKADVFYVMSGMWKTSAERFFSWIGGFRPSEILKVLQPHLDPLTDQQVLDVYNLRQSCQQAEDALSQGMDKLQLTLADTVAAGQLGEGSYVPQMANAMQKLEELVSFVQQADNLRRGTLQQMSCILTIRQAARGLLALGEYFQRLRALSSLWATRPREPA >ONI02111 pep chromosome:Prunus_persica_NCBIv2:G6:18207747:18212217:-1 gene:PRUPE_6G177200 transcript:ONI02111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSTQFVTSRRMGAYEPIHQISMWEESFKSNGNFNASASMIVDADTKLDNQSEDASHGILGPSSKYDQEATKPTDKVQRRLAQNREAARKSRMRKKAYVQQLETSRLKLIQLEQELDRARQQQGIYIGSGLDASPLGFSGTINSGVTTFEMEYGHWVEEQNRQINELRTALHAHISDIELRFLVDSGMSHYFELFSMKLTAAKADVFYVMSGMWKTSAERFFSWIGGFRPSEILKVLQPHLDPLTDQQVLDVYNLRQSCQQAEDALSQGMDKLQLTLADTVAAGQLGEGSYVPQMANAMQKLEELVSFVQQADNLRRGTLQQMSCILTIRQAARGLLALGEYFQRLRALSSLWATRPREPA >ONI02110 pep chromosome:Prunus_persica_NCBIv2:G6:18207747:18212217:-1 gene:PRUPE_6G177200 transcript:ONI02110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSTQFVTSRRMGAYEPIHQISMWEESFKSNGNFNASASMIVDADTKLDNQSEDASHGILGPSSKYDQEATKPTDKVQRRLAQNREAARKSRMRKKAYVQQLETSRLKLIQLEQELDRARQQQGIYIGSGLDASPLGFSGTINSGVTTFEMEYGHWVEEQNRQINELRTALHAHISDIELRFLVDSGMSHYFELFSMKLTAAKADVFYVMSGMWKTSAERFFSWIGGFRPSEILKVLQPHLDPLTDQQVLDVYNLRQSCQQAEDALSQGMDKLQLTLADTVAAGQLGEGSYVPQMANAMQKLEELVSFVQQADNLRRGTLQQMSCILTIRQAARGLLALGEYFQRLRALSSLWATRPREPA >ONI02112 pep chromosome:Prunus_persica_NCBIv2:G6:18207747:18212217:-1 gene:PRUPE_6G177200 transcript:ONI02112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSTQFVTSRRMGAYEPIHQISMWEESFKSNGNFNASASMIVDADTKLDNQSEDASHGILGPSSKYDQEATKPTDKVQRRLAQNREAARKSRMRKKAYVQQLETSRLKLIQLEQELDRARQQQGIYIGSGLDASPLGFSGTINSGVTTFEMEYGHWVEEQNRQINELRTALHAHISDIELRFLVDSGMSHYFELFSMKLTAAKADVFYVMSGMWKTSAERFFSWIGGFRPSEILKVLQPHLDPLTDQQVLDVYNLRQSCQQAEDALSQGMDKLQLTLADTVAAGQLGEGSYVPQMANAMQKLEELVSFVQQADNLRRGTLQQMSCILTIRQAARGLLALGEYFQRLRALSSLWATRPREPA >ONI02109 pep chromosome:Prunus_persica_NCBIv2:G6:18206907:18213647:-1 gene:PRUPE_6G177200 transcript:ONI02109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSTQFVTSRRMGAYEPIHQISMWEESFKSNGNFNASASMIVDADTKLDNQSEDASHGILGPSSKYDQEATKPTDKVQRRLAQNREAARKSRMRKKAYVQQLETSRLKLIQLEQELDRARQQGIYIGSGLDASPLGFSGTINSGVTTFEMEYGHWVEEQNRQINELRTALHAHISDIELRFLVDSGMSHYFELFSMKLTAAKADVFYVMSGMWKTSAERFFSWIGGFRPSEILKVLQPHLDPLTDQQVLDVYNLRQSCQQAEDALSQGMDKLQLTLADTVAAGQLGEGSYVPQMANAMQKLEELVSFVQQADNLRRGTLQQMSCILTIRQAARGLLALGEYFQRLRALSSLWATRPREPA >ONI04223 pep chromosome:Prunus_persica_NCBIv2:G6:27815656:27819789:1 gene:PRUPE_6G309800 transcript:ONI04223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSLLCHWTMKPTCRILTSCRNSALFGFPPAKCYHGLAKNGNLSNFCVNFEQISQFHTNPFRVSASGHVFDDALKASQVPSWSFGQSGVISRSYSVGTTSRGVSVIARLASKFRNLSTSIETRVNENNFERIYVQGGINVKPVTVERIDKDENVVREEESRIEVSDEKQNISNQEGLDEAKVVNAQREYSDIEKDAWKLLRDSVVTYCGTPVGTVAANDPGDKQTLNYDQVFIRDFVPSALAFLLKGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGNKYEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGLKMILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLALNDGSNILVRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTEATNKFNIYPEQIPLWLMDWIPEEGGYFIGNLQPAHMDFRFFTLGNLWSIVSSLGTPKQNDSVLNLIEAKWDDLVGHMPLKICYPALEFEEWRIITGSDPKNTPWSYHNSGSWPTLLWQFTLACLKMGRIDLAQKAADLAEKRLRSDRWPEYYDTRTGKFIGKQSRLYQTWTIAGYLTTKMLLENPEKAALLFWDEDYELLEICVCALSKSGRKKCSRGAAKSQILI >ONH99533 pep chromosome:Prunus_persica_NCBIv2:G6:2645553:2650295:1 gene:PRUPE_6G035100 transcript:ONH99533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIWMTSFVVEKWAAQILMLMNMTTCKAADTTAAQARKGKDIQGIPWDRLSITREKYRQTRLEQYKNYENIPNSGEGSGKDGKDTKKGSLYYEFRRNARSVKSTILHFQLRNLVWATSKHDVYLMSHFSVIHWSSLRCTKSEVLNVSGHVAPSEKHPGSLLEGFSQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAVEIYDSPSGAVHFTASNNDCGVRDFDMEKFQLTKHFRFPWPVNHTSLSPDGKLLVIVGDNPDGMLVNSQTGKTVMPLSGHLDFSFASAWHPDGITFATGNQDKTCRIWDVRNLSKSVAVLKGNLGAIRSIRYTSDGRYMMMAEPADFVHVYDVKSGYEKEQEIDFFGEISGISFSPDTESLFIGVWDRTYGSLLEYGRYRNYSYLDSLI >ONH99531 pep chromosome:Prunus_persica_NCBIv2:G6:2645735:2650501:1 gene:PRUPE_6G035100 transcript:ONH99531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYAGYVEDEYEMEDVDDDMDDEFRGREMGGSDSDVDEYDYLNSKAADTTAAQARKGKDIQGIPWDRLSITREKYRQTRLEQYKNYENIPNSGEGSGKDGKDTKKGSLYYEFRRNARSVKSTILHFQLRNLVWATSKHDVYLMSHFSVIHWSSLRCTKSEVLNVSGHVAPSEKHPGSLLEGFSQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAVEIYDSPSGAVHFTASNNDCGVRDFDMEKFQLTKHFRFPWPVNHTSLSPDGKLLVIVGDNPDGMLVNSQTGKTVMPLSGHLDFSFASAWHPDGITFATGNQDKTCRIWDVRNLSKSVAVLKGNLGAIRSIRYTSDGRYMMMAEPADFVHVYDVKSGYEKEQEIDFFGEISGISFSPDTESLFIGVWDRTYGSLLEYGRYRNYSYLDSLI >ONH99532 pep chromosome:Prunus_persica_NCBIv2:G6:2645325:2650501:1 gene:PRUPE_6G035100 transcript:ONH99532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYAGYVEDEYEMEDVDDDMDDEFRGREMGGSDSDVDEYDYLNSKAADTTAAQARKGKDIQGIPWDRLSITREKYRQTRLEQYKNYENIPNSGEGSGKDGKDTKKGSLYYEFRRNARSVKSTILHFQLRNLVWATSKHDVYLMSHFSVIHWSSLRCTKSEVLNVSGHVAPSEKHPGSLLEGFSQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAVEIYDSPSGAVHFTASNNDCGVRDFDMEKFQLTKHFRFPWPVNHTSLSPDGKLLVIVGDNPDGMLVNSQTGKTVMPLSGHLDFSFASAWHPDGITFATGNQDKTCRIWDVRNLSKSVAVLKGNLGAIRSIRYTSDGRYMMMAEPADFVHVYDVKSGYEKEQEIDFFGEISGISFSPDTESLFIGVWDRTYGSLLEYGRYRNYSYLDSLI >ONH99208 pep chromosome:Prunus_persica_NCBIv2:G6:1314509:1316528:-1 gene:PRUPE_6G018000 transcript:ONH99208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCTVETCTVETSDGVKLSTRLFKPREEINKGNPVVVLVHPYSVLGGCQGLLRGIAAGLADRGYKAVTFDMRGVGRSTGRASLTGFAEIKDVIAVCKWVCENLSADRILLVGSSAGAPIAGSAVDQIEQVVGYVSLGYPFGMIASILFGRHHKAVLQSPKPKIFIMGTKDGFTSVQQLKNKLRSAAGRVETHLIEGVSHFQMEGPAYDAQMVNLILEFIASL >ONH99207 pep chromosome:Prunus_persica_NCBIv2:G6:1313874:1316677:-1 gene:PRUPE_6G018000 transcript:ONH99207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCTVETCTVETSDGVKLSTRLFKPREEINKGNPVVVLVHPYSVLGGCQGLLRGIAAGLADRGYKAVTFDMRGVGRSTGRASLTGFAEIKDVIAVCKWVCENLSADRILLVGSSAGAPIAGSAVDQIEQVVGYVSLGYPFGMIASILFGRHHKAVLQSPKPKIFIMGTKDGFTSVQQLKNKLRSAAGRVETHLIEGVSHFQMEGPAYDAQMELSWFAYSVPSQCKIKLLSQLIWHLATQ >ONH99602 pep chromosome:Prunus_persica_NCBIv2:G6:2880489:2885370:1 gene:PRUPE_6G038300 transcript:ONH99602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAEGLWGLADYQEQRGEIGKAVKCLEAICQSDVSFFPIVEVKTRLRIATLLLKHSHNVNHAKSHLERAQLLLKSIPSCFDLKCRAYSLLSQCYHLVGAIPPQKQVLHKALELSVSAGHEITVKLWSCNFNSQLANALIIEGDYRSSISALEAGFACATEICYPELQMFFATCMLHVHLMQWDDENTVQLAVTKCDEVWESLDPQKRQQCLGLLFYNELLHIFYRLRICDYKNATPHVERLDAAMKADLQQMQHVQQLARELDAVNQSLSRSDLHHRERSALSEKQARLQHQLSSLSTWSSTAKGSLEPAYFGNMKRTYGDKLELAPPPIDGEWLPKSAVYALVDLMMVASGRPKGNFKECAKRIQSGMLTIQEELVKLGITDGVREVNLQHSAIWMAGVYLMLLMQFLENKVAMELTRSEFVEAQEALVQMKNWFMRFPTILQTCESIIEMLRGQYAHSVGCYNEAAFHYIEAAKLTESKSMQAIYQIYAAVSYICIGDSESSTQALDLIGPVYRMMDSFVGVREKTTALFAYGLLLMKQQDLQEARNRLAKGLQLTHTHLGNLQLVSQYLTILGSLALALHDPGQAREILRSSLTLAKKLSDIPAQIWVLSVMTALYKELGERGHELENLEFQKRREDDLQKRLVDAHSSIHHIELVRATHLFVLAL >ONH99601 pep chromosome:Prunus_persica_NCBIv2:G6:2880489:2885370:1 gene:PRUPE_6G038300 transcript:ONH99601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAEGLWGLADYQEQRGEIGKAVKCLEAICQSDVSFFPIVEVKTRLRIATLLLKHSHNVNHAKSHLERAQLLLKSIPSCFDLKCRAYSLLSQCYHLVGAIPPQKQVLHKALELSVSAGHEITVKLWSCNFNSQLANALIIEGDYRSSISALEAGFACATEICYPELQMFFATCMLHVHLMQWDDENTVQLAVTKCDEVWESLDPQKRQQCLGLLFYNELLHIFYRLRICDYKNATPHVERLDAAMKADLQQMQHVQQLARELDAVNQSLSRSDLHHRERSALSEKQARLQHQLSSLSTWSSTAKGSLEPAYFGNMKRTYGDKLELAPPPIDGEWLPKSAVYALVDLMMVASGRPKGNFKECAKRIQSGMLTIQEELVKLGITDGVREVNLQHSAIWMAGVYLMLLMQFLENKVAMELTRSEFVEAQEALVQMKNWFMRFPTILQTCESIIEMLRGQYAHSVGCYNEAAFHYIEAAKLTESKSMQAIYQIYAAVSYICIGDSESSTQALDLIGPVYRMMDSFVGVREKTTALFAYGLLLMKQQDLQEARNRLAKGLQLTHTHLGNLQLVSQYLTILGSLALALHDPGQAREILRSSLTLAKKLSDIPAQIWVLSVMTD >ONH99600 pep chromosome:Prunus_persica_NCBIv2:G6:2880450:2885734:1 gene:PRUPE_6G038300 transcript:ONH99600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAEGLWGLADYQEQRGEIGKAVKCLEAICQSDVSFFPIVEVKTRLRIATLLLKHSHNVNHAKSHLERAQLLLKSIPSCFDLKCRAYSLLSQCYHLVGAIPPQKQVLHKALELSVSAGHEITVKLWSCNFNSQLANALIIEGDYRSSISALEAGFACATEICYPELQMFFATCMLHVHLMQWDDENTVQLAVTKCDEVWESLDPQKRQQCLGLLFYNELLHIFYRLRICDYKNATPHVERLDAAMKADLQQMQHVQQLARELDAVNQSLSRSDLHHRERSALSEKQARLQHQLSSLSTWSSTAKGSLEPAYFGNMKRTYGDKLELAPPPIDGEWLPKSAVYALVDLMMVASGRPKGNFKECAKRIQSGMLTIQEELVKLGITDGVREVNLQHSAIWMAGVYLMLLMQFLENKVAMELTRSEFVEAQEALVQMKNWFMRFPTILQTCESIIEMLRGQYAHSVGCYNEAAFHYIEAAKLTESKSMQAIYQIYAAVSYICIGDSESSTQALDLIGPVYRMMDSFVGVREKTTALFAYGLLLMKQQDLQEARNRLAKGLQLTHTHLGNLQLVSQYLTILGSLALALHDPGQAREILRSSLTLAKKLSDIPAQIWVLSVMTALYKELGERGHELENLEFQKRREDDLQKRLVDAHSSIHHIELIDKVKVEVQQFHEFDINRATMGPSMSANLDIPESVGLSAQLPAPSSSRLVDLDMGRRGKRKV >ONH99603 pep chromosome:Prunus_persica_NCBIv2:G6:2880489:2885370:1 gene:PRUPE_6G038300 transcript:ONH99603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAEGLWGLADYQEQRGEIGKAVKCLEAICQSDVSFFPIVEVKTRLRIATLLLKHSHNVNHAKSHLERAQLLLKSIPSCFDLKCRAYSLLSQCYHLVGAIPPQKQVLHKALELSVSAGHEITVKLWSCNFNSQLANALIIEGDYRSSISALEAGFACATEICYPELQMFFATCMLHVHLMQWDDENTVQLAVTKCDEVWESLDPQKRQQCLGLLFYNELLHIFYRLRICDYKNATPHVERLDAAMKADLQQMQHVQQLARELDAVNQSLSRSDLHHRERSALSEKQARLQHQLSSLSTWSSTAKGSLEPAYFGNMKRTYGDKLELAPPPIDGEWLPKSAVYALVDLMMVASGRPKGNFKECAKRIQSGMLTIQEELVKLGITDGVREVNLQHSAIWMAGVYLMLLMQFLENKVAMELTRSEFVEAQEALVQMKNWFMRFPTILQTCESIIEMLRGQYAHSVGCYNEAAFHYIEAAKLTESKSMQAIYQIYAAVSYICIGDSESSTQALDLIGPVYRMMDSFVGVREKTTALFAYGLLLMKQQDLQEARNRLAKGLQLTHTHLGNLQLVSQYLTILGSLALALHDPGQAREILRSSLTLAKKLSDIPAQIWVLSVMTALYKELGERGHELENLEFQKRREDDLQKRLVDAHSSIHHIELVRATHLFVLAL >ONI05202 pep chromosome:Prunus_persica_NCBIv2:G6:30472894:30475849:1 gene:PRUPE_6G361800 transcript:ONI05202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELSPGLKILWVWTLGTAAVLVTSVVRTRVRDMENLMNAEQQQPQQQQDTTATDTDTLLVDTSPQSSELIREEKP >ONI05201 pep chromosome:Prunus_persica_NCBIv2:G6:30472894:30474168:1 gene:PRUPE_6G361800 transcript:ONI05201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELSPGLKILWVWTLGTAAVLVTSVVRTRVRDMENLMNAEQQQPQQQQDTTATDTDTLLVDTSPQSSELIREEKP >ONI02956 pep chromosome:Prunus_persica_NCBIv2:G6:23382393:23394210:-1 gene:PRUPE_6G231200 transcript:ONI02956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASENCCVKVALHIRPLIDDERLQGCKECVTVTPGKPQIKIGPHSFTFDHVYGSGGGPSPAIFEDCISPLIHGLFQGYNATVLAYGQTGSGKTYTMGTGSGDGCQTGLIPQVMNALFNKIEILKDQTEFQMQVSYIEILNEEVCDLLDSLSMKIETTNGHATKVGRHPIQIREKSNGAITLAGLTEVAVNSLQEMATCLDQGSLNRATGSTNMNNQSSRSHAIFTITLEQMRKIRSAFPGNDTPDEDIGEEYFCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPIVNRDVISNEMQKMQEQLKLLQAELCARGGAPSDEVLVLKERIAWLEATNENLCRELHGYRSRCAVVQQCETDVQEGPVCFPKSDGLKRGFQSMDSSDYQMVEITSGENSKEIDEVKKEWEHQLLQNTMDIELNELNKRLEQKESEMRLFGGIDTEALKQHFGKKVLELEEEKRIVQRERDRLLAEVESLATNSDIQGQKMQDVHAQKLKFLETQILDLKKKQENQVHLLKAKQKSEEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKTVLQRKTEVAATATKRLKELLEARKSAVRDNSVNPNRHTSASQSNERSLQRWLDHELEVMVHMYEVRFEYEKQKQVRAALEKELALLKQADQLSSEGQSTQRGKSGYSRVLSMSLDARMARIASLENMLGMSSNVLVVMASQLSEAEERERSLSGRGRWNQLRSMGDAKNLLQYIFNAAAEARCQLWEKNMEIKDMQDQLNELVTLLRKSEAQRKELVKEQKVTEQAVAVASSTSALGNSRTSLKHFVDDMSGRLSPRSLPAPKQLKFTPGIVSGSIQESATFLNQTRKMVPIGQLSMKKLATVGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIKHSDETIIRSRPRPQAQIDIM >ONI02955 pep chromosome:Prunus_persica_NCBIv2:G6:23382325:23394740:-1 gene:PRUPE_6G231200 transcript:ONI02955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASENCCVKVALHIRPLIDDERLQGCKECVTVTPGKPQIKIGPHSFTFDHVYGSGGGPSPAIFEDCISPLIHGLFQGYNATVLAYGQTGSGKTYTMGTGSGDGCQTGLIPQVMNALFNKIEILKDQTEFQMQVSYIEILNEEVCDLLDSLSMKIETTNGHATKVGRHPIQIREKSNGAITLAGLTEVAVNSLQEMATCLDQGSLNRATGSTNMNNQSSRSHAIFTITLEQMRKIRSAFPGNDTPDEDIGEEYFCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPIVNRDVISNEMQKMQEQLKLLQAELCARGGAPSDEVLVLKERIAWLEATNENLCRELHGYRSRCAVVQQCETDVQEGPVCFPKSDGLKRGFQSMDSSDYQMVEITSGENSKEIDEVKKEWEHQLLQNTMDIELNELNKRLEQKESEMRLFGGIDTEALKQHFGKKVLELEEEKRIVQRERDRLLAEVESLATNSDIQGQKMQDVHAQKLKFLETQILDLKKKQENQVHLLKAKQKSEEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKTVLQRKTEVAATATKRLKELLEARKSAVRDNSVNPNRHTSASQSNERSLQRWLDHELEVMVHMYEVRFEYEKQKQVRAALEKELALLKQADQLSSEGQSTQRGKSGYSRVLSMSLDARMARIASLENMLGMSSNVLVVMASQLSEAEERERSLSGRGRWNQLRSMGDAKNLLQYIFNAAAEART >ONI02958 pep chromosome:Prunus_persica_NCBIv2:G6:23384527:23393877:-1 gene:PRUPE_6G231200 transcript:ONI02958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASENCCVKVALHIRPLIDDERLQGCKECVTVTPGKPQIKIGPHSFTFDHVYGSGGGPSPAIFEDCISPLIHGLFQGYNATVLAYGQTGSGKTYTMGTGSGDGCQTGLIPQVMNALFNKIEILKDQTEFQMQVSYIEILNEEVCDLLDSLSMKIETTNGHATKVGRHPIQIREKSNGAITLAGLTEVAVNSLQEMATCLDQGSLNRATGSTNMNNQSSRSHAIFTITLEQMRKIRSAFPGNDTPDEDIGEEYFCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPIVNRDVISNEMQKMQEQLKLLQAELCARGGAPSDEVLVLKERIAWLEATNENLCRELHGYRSRCAVVQQCETDVQEGPVCFPKSDGLKRGFQSMDSSDYQMVEITSGENSKEIDEVKKEWEHQLLQNTMDIELNELNKRLEQKESEMRLFGGIDTEALKQHFGKKVLELEEEKRIVQRERDRLLAEVESLATNSDIQGQKMQDVHAQKLKFLETQILDLKKKQENQVHLLKAKQKSEEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKTVLQRKTEVAATATKRLKELLEARKSAVRDNSVNPNRHTSASQSNERSLQRWLDHELEVMVHMYEVRFEYEKQKQVRAALEKELALLKQADQLSSEGQSTQRGKSGYSRVLSMSLDARMARIASLENMLGMSSNVLVVMASQLSEAEERERSLSGRGRWNQLRSMGDAKNLLQYIFNAAAEARCQLWEKNMEIKDMQDQLNELVTLLRKSEAQRKELVKEQKVTEQAVAVASSTSALGNSRTSLKHFVDDMSGRLSPRSLPAPKQLKFTPGIVSGSIQESATFLNQTRKMVPIGQLSMKKLATVGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIKHSDETIIRSRPRPQAQIDIM >ONI02959 pep chromosome:Prunus_persica_NCBIv2:G6:23385558:23394741:-1 gene:PRUPE_6G231200 transcript:ONI02959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASENCCVKVALHIRPLIDDERLQGCKECVTVTPGKPQIKIGPHSFTFDHVYGSGGGPSPAIFEDCISPLIHGLFQGYNATVLAYGQTGSGKTYTMGTGSGDGCQTGLIPQVMNALFNKIEILKDQTEFQMQVSYIEILNEEVCDLLDSLSMKIETTNGHATKVGRHPIQIREKSNGAITLAGLTEVAVNSLQEMATCLDQGSLNRATGSTNMNNQSSRSHAIFTITLEQMRKIRSAFPGNDTPDEDIGEEYFCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPIVNRDVISNEMQKMQEQLKLLQAELCARGGAPSDEVLVLKERIAWLEATNENLCRELHGYRSRCAVVQQCETDVQEGPVCFPKSDGLKRGFQSMDSSDYQMVEITSGENSKEIDEVKKEWEHQLLQNTMDIELNELNKRLEQKESEMRLFGGIDTEALKQHFGKKVLELEEEKRIVQRERDRLLAEVESLATNSDIQGQKMQDVHAQKLKFLETQILDLKKKQENQVHLLKAKQKSEEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKTVLQRKTEVAATATKRLKELLEARKSAVRDNSVNPNRHTSASQSNERSLQRWLDHELEVMVHMYEVRFEYEKQKQVRAALEKELALLKQADQLSSEGQSTQRGKSGYSRVLSMSLDARMARIASLENMLGMSSNVLVVMASQLSEAEERERSLSGRGRWNQLRSMGDAKNLLQYIFNAAAEARCLYNLHRI >ONI02957 pep chromosome:Prunus_persica_NCBIv2:G6:23382393:23394740:-1 gene:PRUPE_6G231200 transcript:ONI02957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASENCCVKVALHIRPLIDDERLQGCKECVTVTPGKPQIKIGPHSFTFDHVYGSGGGPSPAIFEDCISPLIHGLFQGYNATVLAYGQTGSGKTYTMGTGSGDGCQTGLIPQVMNALFNKIEILKDQTEFQMQVSYIEILNEEVCDLLDSLSMKIETTNGHATKVGRHPIQIREKSNGAITLAGLTEVAVNSLQEMATCLDQGSLNRATGSTNMNNQSSRSHAIFTITLEQMRKIRSAFPGNDTPDEDIGEEYFCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPIVNRDVISNEMQKMQEQLKLLQAELCARGGAPSDEVLVLKERIAWLEATNENLCRELHGYRSRCAVVQQCETDVQEGPVCFPKSDGLKRGFQSMDSSDYQMVEITSGENSKEIDEVKKEWEHQLLQNTMDIELNELNKRLEQKESEMRLFGGIDTEALKQHFGKKVLELEEEKRIVQRERDRLLAEVESLATNSDIQGQKMQDVHAQKLKFLETQILDLKKKQENQVHLLKAKQKSEEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKTVLQRKTEVAATATKRLKELLEARKSAVRDNSVNPNRHTSASQSNERSLQRWLDHELEVMVHMYEVRFEYEKQKQVRAALEKELALLKQADQLSSEGQSTQRGKSGYSRVLSMSLDARMARIASLENMLGMSSNVLVVMASQLSEAEERERSLSGRGRWNQLRSMGDAKNLLQYIFNAAAEARCQLWEKNMEIKDMQDQLNELVTLLRKSEAQRKELVKEQKVTEQAVAVASSTSALGNSRTSLKHFVDDMSGRLSPRSLPAPKQLKFTPGIVSGSIQESATFLNQTRKMVPIGQLSMKKLATVGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIKHSDETIIRSRPRPQAQIDIM >ONI02960 pep chromosome:Prunus_persica_NCBIv2:G6:23385678:23393877:-1 gene:PRUPE_6G231200 transcript:ONI02960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASENCCVKVALHIRPLIDDERLQGCKECVTVTPGKPQIKIGPHSFTFDHVYGSGGGPSPAIFEDCISPLIHGLFQGYNATVLAYGQTGSGKTYTMGTGSGDGCQTGLIPQVMNALFNKIEILKDQTEFQMQVSYIEILNEEVCDLLDSLSMKIETTNGHATKVGRHPIQIREKSNGAITLAGLTEVAVNSLQEMATCLDQGSLNRATGSTNMNNQSSRSHAIFTITLEQMRKIRSAFPGNDTPDEDIGEEYFCAKLHLVDLAGSERAKRTGSDGLRLKEGIHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEESLNTLKYANRARNIQNKPIVNRDVISNEMQKMQEQLKLLQAELCARGGAPSDEVLVLKERIAWLEATNENLCRELHGYRSRCAVVQQCETDVQEGPVCFPKSDGLKRGFQSMDSSDYQMVEITSGENSKEIDEVKKEWEHQLLQNTMDIELNELNKRLEQKESEMRLFGGIDTEALKQHFGKKVLELEEEKRIVQRERDRLLAEVESLATNSDIQGQKMQDVHAQKLKFLETQILDLKKKQENQVHLLKAKQKSEEAAKRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLEALNQRQKTVLQRKTEVAATATKRLKELLEARKSAVRDNSVNPNRHTSASQSNERSLQRWLDHELEVMVHMYEVRFEYEKQKQVRAALEKELALLKQADQLSSEGQSTQRGKSGYSRVLSMSLDARMARIASLENMLGMSSNVLVVMASQLSEAEERERSLSGRGRWNQLRSMGDAKNLLQYIFNAAAEARCLYNLHRI >ONI02217 pep chromosome:Prunus_persica_NCBIv2:G6:19303817:19306629:1 gene:PRUPE_6G184500 transcript:ONI02217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTQSQSPYCYNDKGNYTTKSTYQTNLNTLLSSLSSPSNNGNGYGFYNSSYGENPDQVYAIGLCRGDVTVDNCRGCLSNATQQLTQGCPNQKEAFGVIEQCTLRYSNRSIYGAMETFPALMWYNPQNVTSDVDGFFQELKTLLEDLRGQAAGNGSLRKFAAATATAPNFQTIHGLAQCTPDLTEQVCSDCLGSSLADIPKYFQGMQGALISKPSCNVRYETYRFVDPTTIRPLPSSPPPLSSPPSLSTSTGGSKSNRSQTVIIIIVPIVVYVIFFCICLRVRRTKKKLETGKLIQANKLGQGGFGSVYRGRLSNGKDIAVKRLSTNSGQGDFEFKNEVLLVAKLQHRNLVTLLGFCLEGNERLLIYEYVPNASLDHIIFDPTKRAQLDWVRRYKIIVGTARGLLYLHEDSRLKIIHRDLKASNILIDAEMNPKISDFGMARLFVPDQTQGNTSRIVGTFGYMAPEYAMRGHFSVKSDVYSFGVLVLEIVSGQKNSGFQHGENAEDLLSFAWRSWREGTSSNLIDPTLKTGSRNEIMRCIHIGLLCVQENVADRPTMASVILMMNSYSFTLPVPSQPAFYLHSIGSDMSLGSEYNSGATRSDRSKSNSVMVMEYDTFTEPHPR >ONI03050 pep chromosome:Prunus_persica_NCBIv2:G6:23653178:23660540:-1 gene:PRUPE_6G235600 transcript:ONI03050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESANPSANNMLGVLHRLLPVVGPALLISVGYLDPGKWAATAEAGARFGSDLAALMLIFNFAAILCHYLSARIGVVTGRDLAQICSEEYDKGTCIFLGVQTEVSVILSDLTMILGIAHGLNLLFGWDLFTCVFLTAVNAVLYPLFSTLLETCKAKVLCVCIAGFIQLSFVLGVIISQPEMSFSMNGMLTKLSGESAFALMSLLGASIMPHSLYLHSSIVQYQCQPTVSRDALCHHHLVAILCIFSGIYLVNYALMTSAENEYSGLGLLTFQDVMSLIGQVFWGPIVSGAFLLVLFVSNQITTLSWSLGGQVVLNDFLKLDLPGWLHCATIRIIAIVPALYFVWSSGAEGMYQLLIFTQVLAALLLPSSVIPLFRIAASRPIMGVHKVSQFVEFLSLITLIGMLGLKIIFVVEVIVGNSDWVNNLRSNAGSSMSVPCVLLLTACATFCLMIWLAATPLKSASARLEAQVWNWDMHMGSPDSITKKEEINISEPKYHREVSVQKHEPSPSFGRALDSDSEVASFDLDLPETITEPDEEHHLTTVVENGSRITFPHSPKCHMEGSTSTVESTPVSTVVNEVSDVTLEGTSALKIESTEPIEKTVGVEGVEGDLPNEKDDDEGDTWEPEDSLKGVSESTAPLTSEGPGSFRSLSGKGDEGGSSAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGNVIQEAKAKKLDLLLGLDSKAASSSLKVDTSAKELSGYFPSAGGRGSDPIMNSSLYDSPKQQRVQSSLESYGVQRGSSALLPSRVQLLDAYVQNSSRSVIDSGERRYSSVRSLPSSESWDYQPATIHSYHPSYLNRIAKDRGFDNLNGQMESAALQSASSLGAANYRDSLAFTMGQKLQNGLGSGQASIFQNHTVSRNSPLQSERPYYDLHPSGIAENVVSSANAKKYHSLPDIHRDLYMPEKSANWESPVGYGSSTGITNYESSLYSNSGARTGAPLAFDQLSPSQVYRDAFSSQQNSSFNTGSLWSRQPFEQFGVADNNRTIGSGGFGYRAGSVSQEATSVADSEAKLLQSFRHCIVKLLKLEGSDWLFTQNDGVDEDLIDRVAAREKFLYEAETREMNRTVHMGEPQYHPSDRKSVSALKNNDANCTSFMVPTCGEGCIWRSDLIVSFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIDSAFSKPRTPMSPCFCLQISAVHQLKSSPSFSNGIPPAAKPARGKCTTAVTLLDIIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLTNKTAGAHEGPGSRKVQTSAPYGS >ONI03051 pep chromosome:Prunus_persica_NCBIv2:G6:23652910:23661870:-1 gene:PRUPE_6G235600 transcript:ONI03051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESANPSANNMLGVLHRLLPVVGPALLISVGYLDPGKWAATAEAGARFGSDLAALMLIFNFAAILCHYLSARIGVVTGRDLAQICSEEYDKGTCIFLGVQTEVSVILSDLTMILGIAHGLNLLFGWDLFTCVFLTAVNAVLYPLFSTLLETCKAKVLCVCIAGFIQLSFVLGVIISQPEMSFSMNGMLTKLSGESAFALMSLLGASIMPHSLYLHSSIVQYQCQPTVSRDALCHHHLVAILCIFSGIYLVNYALMTSAENEYSGLGLLTFQDVMSLIGQVFWGPIVSGAFLLVLFVSNQITTLSWSLGGQVVLNDFLKLDLPGWLHCATIRIIAIVPALYFVWSSGAEGMYQLLIFTQVLAALLLPSSVIPLFRIAASRPIMGVHKVSQFVEFLSLITLIGMLGLKIIFVVEVIVGNSDWVNNLRSNAGSSMSVPCVLLLTACATFCLMIWLAATPLKSASARLEAQVWNWDMHMGSPDSITKKEEINISEPKYHREVSVQKHEPSPSFGRALDSDSEVASFDLDLPETITEPDEEHHLTTVVENGSRITFPHSPKCHMEGSTSTVESTPVSTVVNEVSDVTLEGTSALKIESTEPIEKTVGVEGVEGDLPNEKDDDEGDTWEPEDSLKGVSESTAPLTSEGPGSFRSLSGKGDEGGSSAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGNVIQEAKAKKLDLLLGLDSKAASSSLKVDTSAKELSGYFPSAGGRGSDPIMNSSLYDSPKQQRVQSSLESYGVQRGSSALLPSRVQLLDAYVQNSSRSVIDSGERRYSSVRSLPSSESWDYQPATIHSYHPSYLNRIAKDRGFDNLNGQMESAALQSASSLGAANYRDSLAFTMGQKLQNGLGSGQASIFQNHTVSRNSPLQSERPYYDLHPSGIAENVVSSANAKKYHSLPDIHRDLYMPEKSANWESPVGYGSSTGITNYESSLYSNSGARTGAPLAFDQLSPSQVYRDAFSSQQNSSFNTGSLWSRQPFEQFGVADNNRTIGSGGFGYRAGSVSQEATSVADSEAKLLQSFRHCIVKLLKLEGSDWLFTQNDGVDEDLIDRVAAREKFLYEAETREMNRTVHMGEPQYHPSDRKSVSALKNNDANCTSFMVPTCGEGCIWRSDLIVSFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIDSAFSKPRTPMSPCFCLQISAVHQLKSSPSFSNGIPPAAKPARGKCTTAVTLLDIIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLTNKTAGAHEGPGSRKVQTSAPYGS >ONI03049 pep chromosome:Prunus_persica_NCBIv2:G6:23652876:23662218:-1 gene:PRUPE_6G235600 transcript:ONI03049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESANPSANNMLGVLHRLLPVVGPALLISVGYLDPGKWAATAEAGARFGSDLAALMLIFNFAAILCHYLSARIGVVTGRDLAQICSEEYDKGTCIFLGVQTEVSVILSDLTMILGIAHGLNLLFGWDLFTCVFLTAVNAVLYPLFSTLLETCKAKVLCVCIAGFIQLSFVLGVIISQPEMSFSMNGMLTKLSGESAFALMSLLGASIMPHSLYLHSSIVQYQCQPTVSRDALCHHHLVAILCIFSGIYLVNYALMTSAENEYSGLGLLTFQDVMSLIGQVFWGPIVSGAFLLVLFVSNQITTLSWSLGGQVVLNDFLKLDLPGWLHCATIRIIAIVPALYFVWSSGAEGMYQLLIFTQVLAALLLPSSVIPLFRIAASRPIMGVHKVSQFVEFLSLITLIGMLGLKIIFVVEVIVGNSDWVNNLRSNAGSSMSVPCVLLLTACATFCLMIWLAATPLKSASARLEAQVWNWDMHMGSPDSITKKEEINISEPKYHREVSVQKHEPSPSFGRALDSDSEVASFDLDLPETITEPDEEHHLTTVVENGSRITFPHSPKCHMEGSTSTVESTPVSTVVNEVSDVTLEGTSALKIESTEPIEKTVGVEGVEGDLPNEKDDDEGDTWEPEDSLKGVSESTAPLTSEGPGSFRSLSGKGDEGGSSAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGNVIQEAKAKKLDLLLGLDSKAASSSLKVDTSAKELSGYFPSAGGRGSDPIMNSSLYDSPKQQRVQSSLESYGVQRGSSALLPSRVQLLDAYVQNSSRSVIDSGERRYSSVRSLPSSESWDYQPATIHSYHPSYLNRIAKDRGFDNLNGQMESAALQSASSLGAANYRDSLAFTMGQKLQNGLGSGQASIFQNHTVSRNSPLQSERPYYDLHPSGIAENVVSSANAKKYHSLPDIHRDLYMPEKSANWESPVGYGSSTGITNYESSLYSNSGARTGAPLAFDQLSPSQVYRDAFSSQQNSSFNTGSLWSRQPFEQFGVADNNRTIGSGGFGYRAGSVSQEATSVADSEAKLLQSFRHCIVKLLKLEGSDWLFTQNDGVDEDLIDRVAAREKFLYEAETREMNRTVHMGEPQYHPSDRKSVSALKNNDANCTSFMVPTCGEGCIWRSDLIVSFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIDSAFSKPRTPMSPCFCLQISAVHQLKSSPSFSNGIPPAAKPARGKCTTAVTLLDIIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLTNKTAGAHEGPGSRKVQTSAPYGS >ONI03054 pep chromosome:Prunus_persica_NCBIv2:G6:23653178:23660540:-1 gene:PRUPE_6G235600 transcript:ONI03054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESANPSANNMLGVLHRLLPVVGPALLISVGYLDPGKWAATAEAGARFGSDLAALMLIFNFAAILCHYLSARIGVVTGRDLAQICSEEYDKGTCIFLGVQTEVSVILSDLTMILGIAHGLNLLFGWDLFTCVFLTAVNAVLYPLFSTLLETCKAKVLCVCIAGFIQLSFVLGVIISQPEMSFSMNGMLTKLSGESAFALMSLLGASIMPHSLYLHSSIVQQYQCQPTVSRDALCHHHLVAILCIFSGIYLVNYALMTSAENEYSGLGLLTFQDVMSLIGQVFWGPIVSGAFLLVLFVSNQITTLSWSLGGQVVLNDFLKLDLPGWLHCATIRIIAIVPALYFVWSSGAEGMYQLLIFTQVLAALLLPSSVIPLFRIAASRPIMGVHKVSQFVEFLSLITLIGMLGLKIIFVVEVIVGNSDWVNNLRSNAGSSMSVPCVLLLTACATFCLMIWLAATPLKSASARLEAQVWNWDMHMGSPDSITKKEEINISEPKYHREVSVQKHEPSPSFGRALDSDSEVASFDLDLPETITEPDEEHHLTTVVENGSRITFPHSPKCHMEGSTSTVESTPVSTVVNEVSDVTLEGTSALKIESTEPIEKTVGVEGVEGDLPNEKDDDEGDTWEPEDSLKGVSESTAPLTSEGPGSFRSLSGKGDEGGSSAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGNVIQEAKAKKLDLLLGLDSKAASSSLKVDTSAKELSGYFPSAGGRGSDPIMNSSLYDSPKQQRVQSSLESYGVQRGSSALLPSRVQLLDAYVQNSSRSVIDSGERRYSSVRSLPSSESWDYQPATIHSYHPSYLNRIAKDRGFDNLNGQMESAALQSASSLGAANYRDSLAFTMGQKLQNGLGSGQASIFQNHTVSRNSPLQSERPYYDLHPSGIAENVVSSANAKKYHSLPDIHRDLYMPEKSANWESPVGYGSSTGITNYESSLYSNSGARTGAPLAFDQLSPSQVYRDAFSSQQNSSFNTGSLWSRQPFEQFGVADNNRTIGSGGFGYRAGSVSQEATSVADSEAKLLQSFRHCIVKLLKLEGSDWLFTQNDGVDEDLIDRVAAREKFLYEAETREMNRTVHMGEPQYHPSDRKSVSALKNNDANCTSFMVPTCGEGCIWRSDLIVSFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIDSAFSKPRTPMSPCFCLQISAVHQLKSSPSFSNGIPPAAKPARGKCTTAVTLLDIIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLTNKTAGAHEGPGSRKVQTSAPYGS >ONI03052 pep chromosome:Prunus_persica_NCBIv2:G6:23652869:23662260:-1 gene:PRUPE_6G235600 transcript:ONI03052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESANPSANNMLGVLHRLLPVVGPALLISVGYLDPGKWAATAEAGARFGSDLAALMLIFNFAAILCHYLSARIGVVTGRDLAQICSEEYDKGTCIFLGVQTEVSVILSDLTMILGIAHGLNLLFGWDLFTCVFLTAVNAVLYPLFSTLLETCKAKVLCVCIAGFIQLSFVLGVIISQPEMSFSMNGMLTKLSGESAFALMSLLGASIMPHSLYLHSSIVQQYQCQPTVSRDALCHHHLVAILCIFSGIYLVNYALMTSAENEYSGLGLLTFQDVMSLIGQVFWGPIVSGAFLLVLFVSNQITTLSWSLGGQVVLNDFLKLDLPGWLHCATIRIIAIVPALYFVWSSGAEGMYQLLIFTQVLAALLLPSSVIPLFRIAASRPIMGVHKVSQFVEFLSLITLIGMLGLKIIFVVEVIVGNSDWVNNLRSNAGSSMSVPCVLLLTACATFCLMIWLAATPLKSASARLEAQVWNWDMHMGSPDSITKKEEINISEPKYHREVSVQKHEPSPSFGRALDSDSEVASFDLDLPETITEPDEEHHLTTVVENGSRITFPHSPKCHMEGSTSTVESTPVSTVVNEVSDVTLEGTSALKIESTEPIEKTVGVEGVEGDLPNEKDDDEGDTWEPEDSLKGVSESTAPLTSEGPGSFRSLSGKGDEGGSSAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGNVIQEAKAKKLDLLLGLDSKAASSSLKVDTSAKELSGYFPSAGGRGSDPIMNSSLYDSPKQQRVQSSLESYGVQRGSSALLPSRVQLLDAYVQNSSRSVIDSGERRYSSVRSLPSSESWDYQPATIHSYHPSYLNRIAKDRGFDNLNGQMESAALQSASSLGAANYRDSLAFTMGQKLQNGLGSGQASIFQNHTVSRNSPLQSERPYYDLHPSGIAENVVSSANAKKYHSLPDIHRDLYMPEKSANWESPVGYGSSTGITNYESSLYSNSGARTGAPLAFDQLSPSQVYRDAFSSQQNSSFNTGSLWSRQPFEQFGVADNNRTIGSGGFGYRAGSVSQEATSVADSEAKLLQSFRHCIVKLLKLEGSDWLFTQNDGVDEDLIDRVAAREKFLYEAETREMNRTVHMGEPQYHPSDRKSVSALKNNDANCTSFMVPTCGEGCIWRSDLIVSFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIDSAFSKPRTPMSPCFCLQISAVHQLKSSPSFSNGIPPAAKPARGKCTTAVTLLDIIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLTNKTAGAHEGPGSRKVQTSAPYGS >ONI03055 pep chromosome:Prunus_persica_NCBIv2:G6:23652869:23662221:-1 gene:PRUPE_6G235600 transcript:ONI03055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESANPSANNMLGVLHRLLPVVGPALLISVGYLDPGKWAATAEAGARFGSDLAALMLIFNFAAILCHYLSARIGVVTGRDLAQICSEEYDKGTCIFLGVQTEVSVILSDLTMILGIAHGLNLLFGWDLFTCVFLTAVNAVLYPLFSTLLETCKAKVLCVCIAGFIQLSFVLGVIISQPEMSFSMNGMLTKLSGESAFALMSLLGASIMPHSLYLHSSIVQQYQCQPTVSRDALCHHHLVAILCIFSGIYLVNYALMTSAENEYSGLGLLTFQDVMSLIGQVFWGPIVSGAFLLVLFVSNQITTLSWSLGGQVVLNDFLKLDLPGWLHCATIRIIAIVPALYFVWSSGAEGMYQLLIFTQVLAALLLPSSVIPLFRIAASRPIMGVHKVSQFVEFLSLITLIGMLGLKIIFVVEVIVGNSDWVNNLRSNAGSSMSVPCVLLLTACATFCLMIWLAATPLKSASARLEAQVWNWDMHMGSPDSITKKEEINISEPKYHREVSVQKHEPSPSFGRALDSDSEVASFDLDLPETITEPDEEHHLTTVVENGSRITFPHSPKCHMEGSTSTVESTPVSTVVNEVSDVTLEGTSALKIESTEPIEKTVGVEGVEGDLPNEKDDDEGDTWEPEDSLKGVSESTAPLTSEGPGSFRSLSGKGDEGGSSAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGNVIQEAKAKKLDLLLGLDSKAASSSLKVDTSAKELSGYFPSAGGRGSDPIMNSSLYDSPKQQRVQSSLESYGVQRGSSALLPSRVQLLDAYVQNSSRSVIDSGERRYSSVRSLPSSESWDYQPATIHSYHPSYLNRIAKDRGFDNLNGQMESAALQSASSLGAANYRDSLAFTMGQKLQNGLGSGQASIFQNHTVSRNSPLQSERPYYDLHPSGIAENVVSSANAKKYHSLPDIHRDLYMPEKSANWESPVGYGSSTGITNYESSLYSNSGARTGAPLAFDQLSPSQVYRDAFSSQQNSSFNTGSLWSRQPFEQFGVADNNRTIGSGGFGYRAGSVSQEATSVADSEAKLLQSFRHCIVKLLKLEGSDWLFTQNDGVDEDLIDRVAAREKFLYEAETREMNRTVHMGEPQYHPSDRKSVSALKNNDANCTSFMVPTCGEGCIWRSDLIVSFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIDSAFSKPRTPMSPCFCLQISAVHQLKSSPSFSNGIPPAAKPARGKCTTAVTLLDIIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLTNKTAGAHEGPGSRKVQTSAPYGS >ONI03053 pep chromosome:Prunus_persica_NCBIv2:G6:23652910:23661871:-1 gene:PRUPE_6G235600 transcript:ONI03053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLESANPSANNMLGVLHRLLPVVGPALLISVGYLDPGKWAATAEAGARFGSDLAALMLIFNFAAILCHYLSARIGVVTGRDLAQICSEEYDKGTCIFLGVQTEVSVILSDLTMILGIAHGLNLLFGWDLFTCVFLTAVNAVLYPLFSTLLETCKAKVLCVCIAGFIQLSFVLGVIISQPEMSFSMNGMLTKLSGESAFALMSLLGASIMPHSLYLHSSIVQQYQCQPTVSRDALCHHHLVAILCIFSGIYLVNYALMTSAENEYSGLGLLTFQDVMSLIGQVFWGPIVSGAFLLVLFVSNQITTLSWSLGGQVVLNDFLKLDLPGWLHCATIRIIAIVPALYFVWSSGAEGMYQLLIFTQVLAALLLPSSVIPLFRIAASRPIMGVHKVSQFVEFLSLITLIGMLGLKIIFVVEVIVGNSDWVNNLRSNAGSSMSVPCVLLLTACATFCLMIWLAATPLKSASARLEAQVWNWDMHMGSPDSITKKEEINISEPKYHREVSVQKHEPSPSFGRALDSDSEVASFDLDLPETITEPDEEHHLTTVVENGSRITFPHSPKCHMEGSTSTVESTPVSTVVNEVSDVTLEGTSALKIESTEPIEKTVGVEGVEGDLPNEKDDDEGDTWEPEDSLKGVSESTAPLTSEGPGSFRSLSGKGDEGGSSAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGNVIQEAKAKKLDLLLGLDSKAASSSLKVDTSAKELSGYFPSAGGRGSDPIMNSSLYDSPKQQRVQSSLESYGVQRGSSALLPSRVQLLDAYVQNSSRSVIDSGERRYSSVRSLPSSESWDYQPATIHSYHPSYLNRIAKDRGFDNLNGQMESAALQSASSLGAANYRDSLAFTMGQKLQNGLGSGQASIFQNHTVSRNSPLQSERPYYDLHPSGIAENVVSSANAKKYHSLPDIHRDLYMPEKSANWESPVGYGSSTGITNYESSLYSNSGARTGAPLAFDQLSPSQVYRDAFSSQQNSSFNTGSLWSRQPFEQFGVADNNRTIGSGGFGYRAGSVSQEATSVADSEAKLLQSFRHCIVKLLKLEGSDWLFTQNDGVDEDLIDRVAAREKFLYEAETREMNRTVHMGEPQYHPSDRKSVSALKNNDANCTSFMVPTCGEGCIWRSDLIVSFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIDSAFSKPRTPMSPCFCLQISAVHQLKSSPSFSNGIPPAAKPARGKCTTAVTLLDIIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLTNKTAGAHEGPGSRKVQTSAPYGS >ONI01974 pep chromosome:Prunus_persica_NCBIv2:G6:17545704:17547039:-1 gene:PRUPE_6G170200 transcript:ONI01974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEERKIESKTSSASSCTLSYLPREGQYTIQLLDSFSLIEVNWRFEANKTRKETSNPTMKKQSKSSSKYMPRQASTNIQTKPPLYPSPTPPPPNSTWSPWMDGFTKAESKDEKDKIDAYINYALYDPKKHFAVHTPTKIRRLPIFEKIAL >ONH99906 pep chromosome:Prunus_persica_NCBIv2:G6:3990985:3994808:-1 gene:PRUPE_6G056900 transcript:ONH99906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYRMCLCFTRKFRVMEAEPPSDVKETFNKYAGDGTHMTAEQLRHFLVELQAEGGSTSASEAERIVEQVLQKRHNIAKLISRGTLLTLDDFHHYLFSSDLNPPIRAQVHQDMTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIEALKRGVRVVELDIWPNSEKDNVHVLHGRTLTTPVELIKCLKSIKEHAFSESPYPVIITLEDHLTPDLQAKVAQMLIETFEDMLFYPESEFLKEFPSPEQLKYRIIISTKPPREYLKAQNGNGRGDDLHMSEEEDLWGKEPSELNTDEREEDDDTSDSDSSEDNNGGNIGGLSSPGAREYKHLIAIHAGKPKGGLKEVLKIELNKVRRLSLSEQALEKAAESYGTDIVRFTQKNILRVYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGYVKKPDFVMKNLDNQVFYPKANLPVKKTLKVKVYMGDGWHLDFKHTHFDLYSPPDFYTRVGIAGVPADEIMKQTKKKEDIWTPSWEEEFTFQLKVPELALLRVEVHEHDLSEKDDFGGQTCFPISELKQGIRAVPLFDRKGNKYNTIRLLMRFHFI >ONH99907 pep chromosome:Prunus_persica_NCBIv2:G6:3990985:3994701:-1 gene:PRUPE_6G056900 transcript:ONH99907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNLVHQDMTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIEALKRGVRVVELDIWPNSEKDNVHVLHGRTLTTPVELIKCLKSIKEHAFSESPYPVIITLEDHLTPDLQAKVAQMLIETFEDMLFYPESEFLKEFPSPEQLKYRIIISTKPPREYLKAQNGNGRGDDLHMSEEEDLWGKEPSELNTDEREEDDDTSDSDSSEDNNGGNIGGLSSPGAREYKHLIAIHAGKPKGGLKEVLKIELNKVRRLSLSEQALEKAAESYGTDIVRFTQKNILRVYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGYVKKPDFVMKNLDNQVFYPKANLPVKKTLKVKVYMGDGWHLDFKHTHFDLYSPPDFYTRVGIAGVPADEIMKQTKKKEDIWTPSWEEEFTFQLKVPELALLRVEVHEHDLSEKDDFGGQTCFPISELKQGIRAVPLFDRKGNKYNTIRLLMRFHFI >ONH99908 pep chromosome:Prunus_persica_NCBIv2:G6:3990985:3993757:-1 gene:PRUPE_6G056900 transcript:ONH99908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIEALKRGVRVVELDIWPNSEKDNVHVLHGRTLTTPVELIKCLKSIKEHAFSESPYPVIITLEDHLTPDLQAKVAQMLIETFEDMLFYPESEFLKEFPSPEQLKYRIIISTKPPREYLKAQNGNGRGDDLHMSEEEDLWGKEPSELNTDEREEDDDTSDSDSSEDNNGGNIGGLSSPGAREYKHLIAIHAGKPKGGLKEVLKIELNKVRRLSLSEQALEKAAESYGTDIVRFTQKNILRVYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGYVKKPDFVMKNLDNQVFYPKANLPVKKTLKVKVYMGDGWHLDFKHTHFDLYSPPDFYTRVGIAGVPADEIMKQTKKKEDIWTPSWEEEFTFQLKVPELALLRVEVHEHDLSEKDDFGGQTCFPISELKQGIRAVPLFDRKGNKYNTIRLLMRFHFI >ONH99905 pep chromosome:Prunus_persica_NCBIv2:G6:3990985:4000070:-1 gene:PRUPE_6G056900 transcript:ONH99905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGVVTVYGKGAIYETTTKSSPFSVKVGLAQMLRGGVIMDVVNPAQARIAEEAGACAVMALERVPADIRSQGGVARMSDPQLIKEIKRSVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDEHHINKHNFRVPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIVEAVRHVRQVMGDIRVLRNMDDDEVFAYAKRIAAPYDLMMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQASTHYSDPDVLAEISCGLGEAMVGINLNDEKVERMCLCFTRKFRVMEAEPPSDVKETFNKYAGDGTHMTAEQLRHFLVELQAEGGSTSASEAERIVEQVLQKRHNIAKLISRGTLLTLDDFHHYLFSSDLNPPIRAQVHQDMTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIEALKRGVRVVELDIWPNSEKDNVHVLHGRTLTTPVELIKCLKSIKEHAFSESPYPVIITLEDHLTPDLQAKVAQMLIETFEDMLFYPESEFLKEFPSPEQLKYRIIISTKPPREYLKAQNGNGRGDDLHMSEEEDLWGKEPSELNTDEREEDDDTSDSDSSEDNNGGNIGGLSSPGAREYKHLIAIHAGKPKGGLKEVLKIELNKVRRLSLSEQALEKAAESYGTDIVRFTQKNILRVYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGYVKKPDFVMKNLDNQVFYPKANLPVKKTLKVKVYMGDGWHLDFKHTHFDLYSPPDFYTRVGIAGVPADEIMKQTKKKEDIWTPSWEEEFTFQLKVPELALLRVEVHEHDLSEKDDFGGQTCFPISELKQGIRAVPLFDRKGNKYNTIRLLMRFHFI >ONI00945 pep chromosome:Prunus_persica_NCBIv2:G6:8124029:8128738:-1 gene:PRUPE_6G112700 transcript:ONI00945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPNPNPNPNPSSMRVLVRPPPSPATFTPTSYSPSQTFPAPSSSDPPSSDGVVVVGFIGRSPDDSAQLINRILDFNVFGSGNLDKSLCLEKEELRDWFRWRRISYFHEQQKGILFLQFCSTRCPAMDDGFSESGSGFDSPVEEHDFGDLQGLLFMFSVCHVIIYIQEGSRFESELLKNFRVLQAAKHALAPFVRSQTLQPTPSRPPSSLSSARPTTSTTSTNSSSQGRSGSILNRNASSISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVPNPSSNVEESSDTSSHNQSSSLGSLARPSLPVKGSGSVVVLARPVSKSEGSFRKKLQSSLEAQIRFLIKKCRTLSGSETSHAGSRSGGASSSAPLFSLDASRAVLLLDRCTNQRGESLEFATGLVEDVLNGKGTSDSLLLESHGQSASKEDIISVKEFIVRQSDILRGRGGLVSNSSSGGVGMAAVAAAVAAASAASATSGKTFSTPELPNFQIWLSSSQQILHGVLSAKGGCIDETEFSKRKPRLRNNVPQIVEGISSKGLDPLDLAVYWLESGKKLNTKFSTLWCERTLPAAKEVYLKDLPVCYATSQHEAHLEKALHAFHSMVKGRAVQRFAKKLEDECTSIWKSGRQLCDAISLTGKPCMHQRHEVETSESLSGAPVKQHSSGYVFLHACSCGRSRRLRSDPFDFESANITFNCFPDCDKHLPTLQLPEVNNTGPIQPSSWSLIRIGGAKYYEPSKGLLQSGFSSSQKFLLKWTIFLEKQKSPNDLPVGTVHQGSVDRSDTNLKFESKADVQLYTGDLKSGVGSLRKPAEDIVSDDNKISFGKGLPNFTMRKAFSEVVAGTAGADSGFPSIQQRKTSSGLDNSINKTRTRDQIVERTSDKGPWKSEDVVSVQEKFSRISSTNGDPYLRIGSNVVPVNLNGSERLKMNPSLKHVVVYVGFEHECPHGHRFLLNPEHLSELGSSYQLPEESLENSDHSLAEAFKINRNGFHAKVHRNSNRTTVTAANKERRVNKSKDIVTNGNLNFDGLIQFSGPAKEQNQTSFSASALPNFSKLLEGSFQSISLDDGGSAFSMLNRNLPIYMNCPHCRHSRDKQNPPKTKFAGTISQLQRIFVVTPPFPVILATCPVIQFEASCLPTSVPEREQKLQFTLGCQVVLPPESFITLRLPFVYGVQLEDGSSRSLNCLEHQPEVTAWIIKGTTLQVMSKRNCVGQDEFLT >ONI00941 pep chromosome:Prunus_persica_NCBIv2:G6:8123714:8128995:-1 gene:PRUPE_6G112700 transcript:ONI00941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPNPNPNPNPSSMRVLVRPPPSPATFTPTSYSPSQTFPAPSSSDPPSSDGVVVVGFIGRSPDDSAQLINRILDFNVFGSGNLDKSLCLEKEELRDWFRWRRISYFHEQQKGILFLQFCSTRCPAMDDGFSESGSGFDSPVEEHDFGDLQGLLFMFSVCHVIIYIQEGSRFESELLKNFRVLQAAKHALAPFVRSQTLQPTPSRPPSSLSSARPTTSTTSTNSSSQGRSGSILNRNASSISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVPNPSSNVEESSDTSSHNQSSSLGSLARPSLPVKGSGSVVVLARPVSKSEGSFRKKLQSSLEAQIRFLIKKCRTLSGSETSHAGSRSGGASSSAPLFSLDASRAVLLLDRCTNQRGESLEFATGLVEDVLNGKGTSDSLLLESHGQSASKEDIISVKEFIVRQSDILRGRGGLVSNSSSGGVGMAAVAAAVAAASAASATSGKTFSTPELPNFQIWLSSSQQILHGVLSAKGGCIDETEFSKRKPRLRNNVPQIVEGISSKGLDPLDLAVYWLESGKKLNTKFSTLWCERTLPAAKEVYLKDLPVCYATSQHEAHLEKALHAFHSMVKGRAVQRFAKKLEDECTSIWKSGRQLCDAISLTGKPCMHQRHEVETSESLSGAPVKQHSSGYVFLHACSCGRSRRLRSDPFDFESANITFNCFPDCDKHLPTLQLPEVNNTGPIQPSSWSLIRIGGAKYYEPSKGLLQSGFSSSQKFLLKWTIFLEKQKSPNDLPVGTVHQGSVDRSDTNLKFESKADVQLYTGDLKSGVGSLRKPAEDIVSDDNKISFGKGLPNFTMRKAFSEVVAGTAGADSGFPSIQQRKTSSGLDNSINKTRTRDQIVERTSDKGPWKSEDVVSVQEKFSRISSTNGDPYLRIGSNVVPVNLNGSERLKMNPSLKHVVVYVGFEHECPHGHRFLLNPEHLSELGSSYQLPEESLENSDHSLAEAFKINRNGFHAKVHRNSNRTTVTAANKERRVNKSKDIVTNGNLNFDGLIQFSGPAKEQNQTSFSASALPNFSKLLEGSFQSISLDDGGSAFSMLNRNLPIYMNCPHCRHSRDKQNPPKTKFAGTISQLQRIFVASCLPTSVPEREQKLQFTLGCQVVLPPESFITLRLPFVYGVQLEDGSSRSLNCLEHQPEVTAWIIKGTTLQVMSKRNCVGQDEFLT >ONI00938 pep chromosome:Prunus_persica_NCBIv2:G6:8122959:8128995:-1 gene:PRUPE_6G112700 transcript:ONI00938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPNPNPNPNPSSMRVLVRPPPSPATFTPTSYSPSQTFPAPSSSDPPSSDGVVVVGFIGRSPDDSAQLINRILDFNVFGSGNLDKSLCLEKEELRDWFRWRRISYFHEQQKGILFLQFCSTRCPAMDDGFSESGSGFDSPVEEHDFGDLQGLLFMFSVCHVIIYIQEGSRFESELLKNFRVLQAAKHALAPFVRSQTLQPTPSRPPSSLSSARPTTSTTSTNSSSQGRSGSILNRNASSISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVPNPSSNVEESSDTSSHNQSSSLGSLARPSLPVKGSGSVVVLARPVSKSEGSFRKKLQSSLEAQIRFLIKKCRTLSGSETSHAGSRSGGASSSAPLFSLDASRAVLLLDRCTNQRGESLEFATGLVEDVLNGKGTSDSLLLESHGQSASKEDIISVKEFIVRQSDILRGRGGLVSNSSSGGVGMAAVAAAVAAASAASATSGKTFSTPELPNFQIWLSSSQQILHGVLSAKGGCIDETEFSKRKPRLRNNVPQIVEGISSKGLDPLDLAVYWLESGKKLNTKFSTLWCERTLPAAKEVYLKDLPVCYATSQHEAHLEKALHAFHSMVKGRAVQRFAKKLEDECTSIWKSGRQLCDAISLTGKPCMHQRHEVETSESLSGAPVKQHSSGYVFLHACSCGRSRRLRSDPFDFESANITFNCFPDCDKHLPTLQLPEVNNTGPIQPSSWSLIRIGGAKYYEPSKGLLQSGFSSSQKFLLKWTIFLEKQKSPNDLPVGTVHQGSVDRSDTNLKFESKADVQLYTGDLKSGVGSLRKPAEDIVSDDNKISFGKGLPNFTMRKAFSEVVAGTAGADSGFPSIQQRKTSSGLDNSINKTRTRDQIVERTSDKGPWKSEDVVSVQEKFSRISSTNGDPYLRIGSNVVPVNLNGSERLKMNPSLKHVVVYVGFEHECPHGHRFLLNPEHLSELGSSYQLPEESLENSDHSLAEAFKINRNGFHAKVHRNSNRTTVTAANKERRVNKSKDIVTNGNLNFDGLIQFSGPAKEQNQTSFSASALPNFSKLLEGSFQSISLDDGGSAFSMLNRNLPIYMNCPHCRHSRDKQNPPKTKFAGTISQLQRIFVVTPPFPVILATCPVIQFEASCLPTSVPEREQKLQFTLGCQVVLPPESFITLRLPFVYGVQLEDGSSRSLNCLEHQPEVTAWIIKGTTLQDLTSILAEFAKADLVRSITFRHVFEPVGGWCLREAF >ONI00943 pep chromosome:Prunus_persica_NCBIv2:G6:8124029:8128738:-1 gene:PRUPE_6G112700 transcript:ONI00943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPNPNPNPNPSSMRVLVRPPPSPATFTPTSYSPSQTFPAPSSSDPPSSDGVVVVGFIGRSPDDSAQLINRILDFNVFGSGNLDKSLCLEKEELRDWFRWRRISYFHEQQKGILFLQFCSTRCPAMDDGFSESGSGFDSPVEEHDFGDLQGLLFMFSVCHVIIYIQEGSRFESELLKNFRVLQAAKHALAPFVRSQTLQPTPSRPPSSLSSARPTTSTTSTNSSSQGRSGSILNRNASSISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVPNPSSNVEESSDTSSHNQSSSLGSLARPSLPVKGSGSVVVLARPVSKSEGSFRKKLQSSLEAQIRFLIKKCRTLSGSETSHAGSRSGGASSSAPLFSLDASRAVLLLDRCTNQRGESLEFATGLVEDVLNGKGTSDSLLLESHGQSASKEDIISVKEFIVRQSDILRGRGGLVSNSSSGGVGMAAVAAAVAAASAASATSGKTFSTPELPNFQIWLSSSQQILHGVLSAKGGCIDETEFSKRKPRLRNNVPQIVEGISSKGLDPLDLAVYWLESGKKLNTKFSTLWCERTLPAAKEVYLKDLPVCYATSQHEAHLEKALHAFHSMVKGRAVQRFAKKLEDECTSIWKSGRQLCDAISLTGKPCMHQRHEVETSESLSGAPVKQHSSGYVFLHACSCGRSRRLRSDPFDFESANITFNCFPDCDKHLPTLQLPEVNNTGPIQPSSWSLIRIGGAKYYEPSKGLLQSGFSSSQKFLLKWTIFLEKQKSPNDLPVGTVHQGSVDRSDTNLKFESKADVQLYTGDLKSGVGSLRKPAEDIVSDDNKISFGKGLPNFTMRKAFSEVVAGTAGADSGFPSIQQRKTSSGLDNSINKTRTRDQIVERTSDKGPWKSEDVVSVQEKFSRISSTNGDPYLRIGSNVVPVNLNGSERLKMNPSLKHVVVYVGFEHECPHGHRFLLNPEHLSELGSSYQLPEESLENSDHSLAEAFKINRNGFHAKVHRNSNRTTVTAANKERRVNKSKDIVTNGNLNFDGLIQFSGPAKEQNQTSFSASALPNFSKLLEGSFQSISLDDGGSAFSMLNRNLPIYMNCPHCRHSRDKQNPPKTKFAGTISQLQRIFVVTPPFPVILATCPVIQFEASCLPTSVPEREQKLQFTLGCQVVLPPESFITLRLPFVYGVQLEDGSSRSLNCLEHQPEVTAWIIKGTTLQVMSKRNCVGQDEFLT >ONI00944 pep chromosome:Prunus_persica_NCBIv2:G6:8122959:8128995:-1 gene:PRUPE_6G112700 transcript:ONI00944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPNPNPNPNPSSMRVLVRPPPSPATFTPTSYSPSQTFPAPSSSDPPSSDGVVVVGFIGRSPDDSAQLINRILDFNVFGSGNLDKSLCLEKEELRDWFRWRRISYFHEQQKGILFLQFCSTRCPAMDDGFSESGSGFDSPVEEHDFGDLQGLLFMFSVCHVIIYIQEGSRFESELLKNFRVLQAAKHALAPFVRSQTLQPTPSRPPSSLSSARPTTSTTSTNSSSQGRSGSILNRNASSISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVPNPSSNVEESSDTSSHNQSSSLGSLARPSLPVKGSGSVVVLARPVSKSEGSFRKKLQSSLEAQIRFLIKKCRTLSGSETSHAGSRSGGASSSAPLFSLDASRAVLLLDRCTNQRGESLEFATGLVEDVLNGKGTSDSLLLESHGQSASKEDIISVKEFIVRQSDILRGRGGLVSNSSSGGVGMAAVAAAVAAASAASATSGKTFSTPELPNFQIWLSSSQQILHGVLSAKGGCIDETEFSKRKPRLRNNVPQIVEGISSKGLDPLDLAVYWLESGKKLNTKFSTLWCERTLPAAKEVYLKDLPVCYATSQHEAHLEKALHAFHSMVKGRAVQRFAKKLEDECTSIWKSGRQLCDAISLTGKPCMHQRHEVETSESLSGAPVKQHSSGYVFLHACSCGRSRRLRSDPFDFESANITFNCFPDCDKHLPTLQLPEVNNTGPIQPSSWSLIRIGGAKYYEPSKGLLQSGFSSSQKFLLKWTIFLEKQKSPNDLPVGTVHQGSVDRSDTNLKFESKADVQLYTGDLKSGVGSLRKPAEDIVSDDNKISFGKGLPNFTMRKAFSEVVAGTAGADSGFPSIQQRKTSSGLDNSINKTRTRDQIVERTSDKGPWKSEDVVSVQEKFSRISSTNGDPYLRIGSNVVPVNLNGSERLKMNPSLKHVVVYVGFEHECPHGHRFLLNPEHLSELGSSYQLPEESLENSDHSLAEAFKINRNGFHAKVHRNSNRTTVTAANKERRVNKSKDIVTNGNLNFDGLIQFSGPAKEQNQTSFSASALPNFSKLLEGSFQSISLDDGGSAFSMLNRNLPIYMNCPHCRHSRDKQNPPKTKFAGTISQLQRIFVVTPPFPVILATCPVIQFEASCLPTSVPEREQKLQFTLGCQVVLPPESFITLRLPFVYGVQLEDGSSRSLNCLEHQPEVTAWIIKGTTLQVMSKRNCVGQDEFLT >ONI00946 pep chromosome:Prunus_persica_NCBIv2:G6:8124029:8128738:-1 gene:PRUPE_6G112700 transcript:ONI00946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPNPNPNPNPSSMRVLVRPPPSPATFTPTSYSPSQTFPAPSSSDPPSSDGVVVVGFIGRSPDDSAQLINRILDFNVFGSGNLDKSLCLEKEELRDWFRWRRISYFHEQQKGILFLQFCSTRCPAMDDGFSESGSGFDSPVEEHDFGDLQGLLFMFSVCHVIIYIQEGSRFESELLKNFRVLQAAKHALAPFVRSQTLQPTPSRPPSSLSSARPTTSTTSTNSSSQGRSGSILNRNASSISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVPNPSSNVEESSDTSSHNQSSSLGSLARPSLPVKGSGSVVVLARPVSKSEGSFRKKLQSSLEAQIRFLIKKCRTLSGSETSHAGSRSGGASSSAPLFSLDASRAVLLLDRCTNQRGESLEFATGLVEDVLNGKGTSDSLLLESHGQSASKEDIISVKEFIVRQSDILRGRGGLVSNSSSGGVGMAAVAAAVAAASAASATSGKTFSTPELPNFQIWLSSSQQILHGVLSAKGGCIDETEFSKRKPRLRNNVPQIVEGISSKGLDPLDLAVYWLESGKKLNTKFSTLWCERTLPAAKEVYLKDLPVCYATSQHEAHLEKALHAFHSMVKGRAVQRFAKKLEDECTSIWKSGRQLCDAISLTGKPCMHQRHEVETSESLSGAPVKQHSSGYVFLHACSCGRSRRLRSDPFDFESANITFNCFPDCDKHLPTLQLPEVNNTGPIQPSSWSLIRIGGAKYYEPSKGLLQSGFSSSQKFLLKWTIFLEKQKSPNDLPVGTVHQGSVDRSDTNLKFESKADVQLYTGDLKSGVGSLRKPAEDIVSDDNKISFGKGLPNFTMRKAFSEVVAGTAGADSGFPSIQQRKTSSGLDNSINKTRTRDQIVERTSDKGPWKSEDVVSVQEKFSRISSTNGDPYLRIGSNVVPVNLNGSERLKMNPSLKHVVVYVGFEHECPHGHRFLLNPEHLSELGSSYQLPEESLENSDHSLAEAFKINRNGFHAKVHRNSNRTTVTAANKERRVNKSKDIVTNGNLNFDGLIQFSGPAKEQNQTSFSASALPNFSKLLEGSFQSISLDDGGSAFSMLNRNLPIYMNCPHCRHSRDKQNPPKTKFAGTISQLQRIFVVTPPFPVILATCPVIQFEASCLPTSVPEREQKLQFTLGCQVVLPPESFITLRLPFVYGVQLEDGSSRSLNCLEHQPEVTAWIIKGTTLQVMSKRNCVGQDEFLT >ONI00942 pep chromosome:Prunus_persica_NCBIv2:G6:8122959:8128995:-1 gene:PRUPE_6G112700 transcript:ONI00942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPNPNPNPNPSSMRVLVRPPPSPATFTPTSYSPSQTFPAPSSSDPPSSDGVVVVGFIGRSPDDSAQLINRILDFNVFGSGNLDKSLCLEKEELRDWFRWRRISYFHEQQKGILFLQFCSTRCPAMDDGFSESGSGFDSPVEEHDFGDLQGLLFMFSVCHVIIYIQEGSRFESELLKNFRVLQAAKHALAPFVRSQTLQPTPSRPPSSLSSARPTTSTTSTNSSSQGRSGSILNRNASSISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVPNPSSNVEESSDTSSHNQSSSLGSLARPSLPVKGSGSVVVLARPVSKSEGSFRKKLQSSLEAQIRFLIKKCRTLSGSETSHAGSRSGGASSSAPLFSLDASRAVLLLDRCTNQRGESLEFATGLVEDVLNGKGTSDSLLLESHGQSASKEDIISVKEFIVRQSDILRGRGGLVSNSSSGGVGMAAVAAAVAAASAASATSGKTFSTPELPNFQIWLSSSQQILHGVLSAKGGCIDETEFSKRKPRLRNNVPQIVEGISSKGLDPLDLAVYWLESGKKLNTKFSTLWCERTLPAAKEVYLKDLPVCYATSQHEAHLEKALHAFHSMVKGRAVQRFAKKLEDECTSIWKSGRQLCDAISLTGKPCMHQRHEVETSESLSGAPVKQHSSGYVFLHACSCGRSRRLRSDPFDFESANITFNCFPDCDKHLPTLQLPEVNNTGPIQPSSWSLIRIGGAKYYEPSKGLLQSGFSSSQKFLLKWTIFLEKQKSPNDLPVGTVHQGSVDRSDTNLKFESKADVQLYTGDLKSGVGSLRKPAEDIVSDDNKISFGKGLPNFTMRKAFSEVVAGTAGADSGFPSIQQRKTSSGLDNSINKTRTRDQIVERTSDKGPWKSEDVVSVQEKFSRISSTNGDPYLRIGSNVVPVNLNGSERLKMNPSLKHVVVYVGFEHECPHGHRFLLNPEHLSELGSSYQLPEESLENSDHSLAEAFKINRNGFHAKVHRNSNRTTVTAANKERRVNKSKDIVTNGNLNFDGLIQFSGPAKEQNQTSFSASALPNFSKLLEGSFQSISLDDGGSAFSMLNRNLPIYMNCPHCRHSRDKQNPPKTKFAGTISQLQRIFVASCLPTSVPEREQKLQFTLGCQVVLPPESFITLRLPFVYGVQLEDGSSRSLNCLEHQPEVTAWIIKGTTLQVMSKRNCVGQDEFLT >ONI00940 pep chromosome:Prunus_persica_NCBIv2:G6:8124029:8128738:-1 gene:PRUPE_6G112700 transcript:ONI00940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPNPNPNPNPSSMRVLVRPPPSPATFTPTSYSPSQTFPAPSSSDPPSSDGVVVVGFIGRSPDDSAQLINRILDFNVFGSGNLDKSLCLEKEELRDWFRWRRISYFHEQQKGILFLQFCSTRCPAMDDGFSESGSGFDSPVEEHDFGDLQGLLFMFSVCHVIIYIQEGSRFESELLKNFRVLQAAKHALAPFVRSQTLQPTPSRPPSSLSSARPTTSTTSTNSSSQGRSGSILNRNASSISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVPNPSSNVEESSDTSSHNQSSSLGSLARPSLPVKGSGSVVVLARPVSKSEGSFRKKLQSSLEAQIRFLIKKCRTLSGSETSHAGSRSGGASSSAPLFSLDASRAVLLLDRCTNQRGESLEFATGLVEDVLNGKGTSDSLLLESHGQSASKEDIISVKEFIVRQSDILRGRGGLVSNSSSGGVGMAAVAAAVAAASAASATSGKTFSTPELPNFQIWLSSSQQILHGVLSAKGGCIDETEFSKRKPRLRNNVPQIVEGISSKGLDPLDLAVYWLESGKKLNTKFSTLWCERTLPAAKEVYLKDLPVCYATSQHEAHLEKALHAFHSMVKGRAVQRFAKKLEDECTSIWKSGRQLCDAISLTGKPCMHQRHEVETSESLSGAPVKQHSSGYVFLHACSCGRSRRLRSDPFDFESANITFNCFPDCDKHLPTLQLPEVNNTGPIQPSSWSLIRIGGAKYYEPSKGLLQSGFSSSQKFLLKWTIFLEKQKSPNDLPVGTVHQGSVDRSDTNLKFESKADVQLYTGDLKSGVGSLRKPAEDIVSDDNKISFGKGLPNFTMRKAFSEVVAGTAGADSGFPSIQQRKTSSGLDNSINKTRTRDQIVERTSDKGPWKSEDVVSVQEKFSRISSTNGDPYLRIGSNVVPVNLNGSERLKMNPSLKHVVVYVGFEHECPHGHRFLLNPEHLSELGSSYQLPEESLENSDHSLAEAFKINRNGFHAKVHRNSNRTTVTAANKERRVNKSKDIVTNGNLNFDGLIQFSGPAKEQNQTSFSASALPNFSKLLEGSFQSISLDDGGSAFSMLNRNLPIYMNCPHCRHSRDKQNPPKTKFAGTISQLQRIFVASCLPTSVPEREQKLQFTLGCQVVLPPESFITLRLPFVYGVQLEDGSSRSLNCLEHQPEVTAWIIKGTTLQVMSKRNCVGQDEFLT >ONI00939 pep chromosome:Prunus_persica_NCBIv2:G6:8122959:8128995:-1 gene:PRUPE_6G112700 transcript:ONI00939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPNPNPNPNPSSMRVLVRPPPSPATFTPTSYSPSQTFPAPSSSDPPSSDGVVVVGFIGRSPDDSAQLINRILDFNVFGSGNLDKSLCLEKEELRDWFRWRRISYFHEQQKGILFLQFCSTRCPAMDDGFSESGSGFDSPVEEHDFGDLQGLLFMFSVCHVIIYIQEGSRFESELLKNFRVLQAAKHALAPFVRSQTLQPTPSRPPSSLSSARPTTSTTSTNSSSQGRSGSILNRNASSISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVPNPSSNVEESSDTSSHNQSSSLGSLARPSLPVKGSGSVVVLARPVSKSEGSFRKKLQSSLEAQIRFLIKKCRTLSGSETSHAGSRSGGASSSAPLFSLDASRAVLLLDRCTNQRGESLEFATGLVEDVLNGKGTSDSLLLESHGQSASKEDIISVKEFIVRQSDILRGRGGLVSNSSSGGVGMAAVAAAVAAASAASATSGKTFSTPELPNFQIWLSSSQQILHGVLSAKGGCIDETEFSKRKPRLRNNVPQIVEGISSKGLDPLDLAVYWLESGKKLNTKFSTLWCERTLPAAKEVYLKDLPVCYATSQHEAHLEKALHAFHSMVKGRAVQRFAKKLEDECTSIWKSGRQLCDAISLTGKPCMHQRHEVETSESLSGAPVKQHSSGYVFLHACSCGRSRRLRSDPFDFESANITFNCFPDCDKHLPTLQLPEVNNTGPIQPSSWSLIRIGGAKYYEPSKGLLQSGFSSSQKFLLKWTIFLEKQKSPNDLPVGTVHQGSVDRSDTNLKFESKADVQLYTGDLKSGVGSLRKPAEDIVSDDNKISFGKGLPNFTMRKAFSEVVAGTAGADSGFPSIQQRKTSSGLDNSINKTRTRDQIVERTSDKGPWKSEDVVSVQEKFSRISSTNGDPYLRIGSNVVPVNLNGSERLKMNPSLKHVVVYVGFEHECPHGHRFLLNPEHLSELGSSYQLPEESLENSDHSLAEAFKINRNGFHAKVHRNSNRTTVTAANKERRVNKSKDIVTNGNLNFDGLIQFSGPAKEQNQTSFSASALPNFSKLLEGSFQSISLDDGGSAFSMLNRNLPIYMNCPHCRHSRDKQNPPKTKFAGTISQLQRIFVVTPPFPVILATCPVIQFEASCLPTSVPEREQKLQFTLGCQVVLPPESFITLRLPFVYGVQLEDGSSRSLNCLEHQPEVTAWIIKGTTLQDLTSILAEFAKADLVRSITFRLRFLWINIMVRMMIDKFQPQNTYELSHL >ONH99565 pep chromosome:Prunus_persica_NCBIv2:G6:2736900:2742321:-1 gene:PRUPE_6G036300 transcript:ONH99565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDDNVAIIGDWVPPSTSPRAFFSSMLVDDIGSRSMLEPPSSNKTAEFFLGSQEGDTNGKNLSQGNASGEELNEVGSFSEYKSNSRGGLVERIAARAGFNAPRLNTESIRSSDLSLNSDIRSPYLTIPPGLSPTILLDSPVFLSNSLAQPSPTTGKFPFVSNGHSRSSTLMTEGLDKTNFFEDINTSFAFKPIAESGSFFLGPTSKMGSTSFPQQSFPSIEVSVQSENSSQSIEPTKVQNQNTNNLQLQADFSRTSTEKDNGANSADPRAFDTVGGSTEHSPTLDEQPDEEGDQRGSGDSMAAAAGGTPSEDVYNWRKYGQKQVKEIIYKGAHNHPKPPPNRRSAAIGSSNPLNDMRPDIPEQGGPQSGADGDLVWASTQKANVGAPDWKHENLEVTSSASVGPDYCNQSSSMQAQNGTHLESGDVVDASSTFSNDEDEDDRGTHGSVSLAYDGEGDESESKRRKIEAYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGPSNTMSGQASSAGIQTHPHRPEPSQVHNSMARFERPSSLGSFSLPGRHQLGPSHGFSFGMNQPGLANLAMAGLGPGQPKLPVMPVHHPYFAQQRQVNEMGFMLPKGEPKVEPMSESGLNMSNGSSVYQQLMSRLPLGPQM >ONH99566 pep chromosome:Prunus_persica_NCBIv2:G6:2737270:2740609:-1 gene:PRUPE_6G036300 transcript:ONH99566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDDNVAIIGDWVPPSTSPRAFFSSMLVDDIGSRSMLEPPSSNKTAEFFLGSQEGDTNGKNLSQGNASGEELNEVGSFSEYKSNSRGGLVERIAARAGFNAPRLNTESIRSSDLSLNSDIRSPYLTIPPGLSPTILLDSPVFLSNSLAQPSPTTGKFPFVSNGHSRSSTLMTEGLDKTNFFEDINTSFAFKPIAESGSFFLGPTSKMGSTSFPQQSFPSIEVSVQSENSSQSIEPTKVQNQNTNNLQLQADFSRTSTEKDNGANSADPRAFDTVGGSTEHSPTLDEQPDEEGDQRGSGDSMAAAAGGTPSEDVYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSAAIGSSNPLNDMRPDIPEQGGPQSGADGDLVWASTQKANVGAPDWKHENLEVTSSASVGPDYCNQSSSMQAQNGTHLESGDVVDASSTFSNDEDEDDRGTHGSVSLAYDGEGDESESKRRKIEAYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGPSNTMSGQASSAGIQTHPHRPEPSQVHNSMARFERPSSLGSFSLPGRHQLGPSHGFSFGMNQPGLANLAMAGLGPGQPKLPVMPVHHPYFAQQRQVNEMGFMLPKGEPKVEPMSESGLNMSNGSSVYQQLMSRLPLGPQM >ONH99567 pep chromosome:Prunus_persica_NCBIv2:G6:2737270:2740609:-1 gene:PRUPE_6G036300 transcript:ONH99567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDDNVAIIGDWVPPSTSPRAFFSSMLVDDIGSRSMLEPPSSNKTAEFFLGSQEGDTNGKNLSQGNASGEELNEVGSFSEYKSNSRGGLVERIAARAGFNAPRLNTESIRSSDLSLNSDIRSPYLTIPPGLSPTILLDSPVFLSNSLAQPSPTTGKFPFVSNGHSRSSTLMTEGLDKTNFFEDINTSFAFKPIAESGSFFLGPTSKMGSTSFPQQSFPSIEVSVQSENSSQSIEPTKVQNQNTNNLQLQADFSRTSTEKDNGANSADPRAFDTVGGSTEHSPTLDEQPDEEGDQRGSGDSMAAAAGGTPSEDVYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSAAIGSSNPLNDMRPDIPEQGGPQSGADGDLVWASTQKANVGAPDWKHENLEVTSSASVGPDYCNQSSSMQAQNGTHLESGDVVDASSTFSNDEDEDDRGTHGSVSLAYDGEGDESESKRRKIEAYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGPSNTMSGQASSAGIQTHPHRPEPSQVHNSMARFERPSSLGSFSLPGRHQLGPSHGFSFGMNQPGLANLAMAGLGPGQPKLPVMPVHHPYFAQQRQVNEMGFMLPKGEPKVEPMSESGLNMSNGSSVYQQLMSRLPLGPQM >ONH99568 pep chromosome:Prunus_persica_NCBIv2:G6:2736900:2740726:-1 gene:PRUPE_6G036300 transcript:ONH99568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDDNVAIIGDWVPPSTSPRAFFSSMLVDDIGSRSMLEPPSSNKTAEFFLGSQEGDTNGKNLSQGNASGEELNEVGSFSEYKSNSRGGLVERIAARAGFNAPRLNTESIRSSDLSLNSDIRSPYLTIPPGLSPTILLDSPVFLSNSLAQPSPTTGKFPFVSNGHSRSSTLMTEGLDKTNFFEDINTSFAFKPIAESGSFFLGPTSKMGSTSFPQQSFPSIEVSVQSENSSQSIEPTKVQNQNTNNLQLQADFSRTSTEKDNGANSADPRAFDTVGGSTEHSPTLDEQPDEEGDQRGSGDSMAAAAGGTPSEDVYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSAAIGSSNPLNDMRPDIPEQGGPQSGADGDLVWASTQKANVGAPDWKHENLEVTSSASVGPDYCNQSSSMQAQNGTHLESGDVVDASSTFSNDEDEDDRGTHGSVSLAYDGEGDESESKRRKIEAYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGPSNTMSGQASSAGIQTHPHRPEPSQVHNSMARFERPSSLGSFSLPGRHQLGPSHGFSFGMNQPGLANLAMAGLGPGQPKLPVMPVHHPYFAQQRQVNEMGFMLPKGEPKVEPMSESGLNMSNGSSVYQQLMSRLPLGPQM >ONH99013 pep chromosome:Prunus_persica_NCBIv2:G6:478433:482702:1 gene:PRUPE_6G005000 transcript:ONH99013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSPALSVSKLDFPFLSSQRNITFSKENLHQVKMPRRMSKFSGFESNGFILFSSLSGQIGLGSFPSPEATANNNAPEVQVQSSIWNWRGYSIRYQHAGNSGPALVLIHGFGANSDHWRKNIPVLAKSHRVYSIDLIGYGYSDKPNPRQFGESSFYTFETWGTQLNDFCIDVVKDEAFFICNSIGVFLGLHTGLVGLQAAVMEPQLCKGVMLLNISLRMLHIKKQPWYGRPLIRSFQNLLRNTDVGKYFFKIVATPESVRNILCQCYHDTSQVTEELVQKILLPGLEPGAVDVFLEFICYSGSPLPEELLPQVKCPVLIGWGEKDPWEPIELGRAYGKFVSVEDFVVLPNVGHCPQDEAPDLVNPLVESFVARHAALSASISTAT >ONH99814 pep chromosome:Prunus_persica_NCBIv2:G6:3619271:3627312:-1 gene:PRUPE_6G051700 transcript:ONH99814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRMESDGDDEAEAEEEEVEHFDDFTLASSWERFISDIEAVCRQWMADGPKNLLKKDAREVSEDLYKVKSELKFSAKNYCMEYYFGNKNEGKVIDWNSTLHDLQLCFGVKEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNCSCLWPAFVPVHVPSRKAYIGIQNMGTVFTRRFEADHIGSQVPVKLMHLEGLYELFVSKFAYSTLDFSMHLFKVHFTMKLTYRSLPHDDDVQGDDPNVTESEIDLGGDTHNRTQWDDDCPWSEWYSAEDPIKGFELVAIWSEKVVESSLEMAELENYSPHEAQNWILSPDMSSSLTDSSKGNRIGFASQLCLLLEALNMSFEAQFMEDFVSVENPGSDNLKSSLVIPPPTVIDRVLKELFHDGARFPDVAAAENKTARAIKGAPLESLFAQFCLHSLWFGNCNIRAIAVIWIEFVREVRWCWEESQPLPLMPTTGPIDLSTCLINQKLHMLAICIERKRQLNEDFQDCIGSQDHSSPQIEEDGLDEDSSSIMQTPGENFDGKRDSPATSDDSQHSENSVSIVSTKSEDAEPTNLKPSDCIRRGSAGVAGPMMLLKSYQSMHAPFTQEAPLMTEDMHEERLHAVEAFGDSYNFSAQLEKEILASDMSAFKAANPDSVFEDFIRWHSPGDWESDDTKETGSSKSPAIEGSKADWPPQGRLSKRMSEHGNLWRKIWNDAPALPASEQKPVMDPNREGEKILHYLETVRPHQLLEQMVCTAFRASASTLNQTSYGGLKQMATKMDQLYITMTSALRPLQANPLSPGSETIEDIRRLCGVFEHVEKLLAIAASLHRKFLQAPRLSEAIFSDCCSFYFPRMGTSSSGDNAQKEFDKKQPVRAHERLVVSNMFTPPTANQSWRKVLSLGNLLNGHEPILREIIFSKRDKISGNHYAARTPTIYQEEVETYRMYTCGTSNDLRVALSVVSCD >ONH99818 pep chromosome:Prunus_persica_NCBIv2:G6:3619690:3627046:-1 gene:PRUPE_6G051700 transcript:ONH99818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRMESDGDDEAEAEEEEVEHFDDFTLASSWERFISDIEAVCRQWMADGPKNLLKKDAREVSEDLYKVKSELKFSAKNYCMEYYFGNKNEGKVIDWNSTLHDLQLCFGVKEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNCSCLWPAFVPVHVPSRKAYIGIQNMGTVFTRRFEADHIGSQVPVKLMHLEGLYELFVSKFAYSTLDFSMHLFKVHFTMKLTYRSLPHDDDVQGDDPNVTESEIDLGGDTHNRTQWDDDCPWSEWYSAEDPIKGFELVAIWSEKVVESSLEMAELENYSPHEAQNWILSPDMSSSLTDSSKGNRIGFASQLCLLLEALNMSFEAQFMEDFVSVENPGSDNLKSSLVIPPPTVIDRVLKELFHDGARFPDVAAAENKTARAIKGAPLESLFAQFCLHSLWFGNCNIRAIAVIWIEFVREVRWCWEESQPLPLMPTTGPIDLSTCLINQKLHMLAICIERKRQLNEDFQDCIGSQDHSSPQIEEDGLDEDSSSIMQTPGENFDGKRDSSPATSDDSQHSENSVSIVSTKSEDAEPTNLKPSDCIRRGSAGVAGPMMLLKSYQSMHAPFTQEAPLMTEDMHEERLHAVEAFGDSYNFSAQLEKEILASDMSAFKAANPDSVFEDFIRWHSPGDWESDDTKETGSSKSPAIEGSKADWPPQGRLSKRMSEHGNLWRKIWNDAPALPASEQKPVMDPNREGEKILHYLETVRPHQLLEQMVCTAFRASASTLNQTSYGGLKQMATKMDQLYITMTSALRPLQANPLSPGSETIEDIRRLCGVFEHVEKLLAIAASLHRKFLQAPRLSEAIFSDCCSFYFPRMGTSSSGDNAQKVKGV >ONH99817 pep chromosome:Prunus_persica_NCBIv2:G6:3619690:3627046:-1 gene:PRUPE_6G051700 transcript:ONH99817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRMESDGDDEAEAEEEEVEHFDDFTLASSWERFISDIEAVCRQWMADGPKNLLKKDAREVSEDLYKVKSELKFSAKNYCMEYYFGNKNEGKVIDWNSTLHDLQLCFGVKEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNCSCLWPAFVPVHVPSRKAYIGIQNMGTVFTRRFEADHIGSQVPVKLMHLEGLYELFVSKFAYSTLDFSMHLFKVHFTMKLTYRSLPHDDDVQGDDPNVTESEIDLGGDTHNRTQWDDDCPWSEWYSAEDPIKGFELVAIWSEKVVESSLEMAELENYSPHEAQNWILSPDMSSSLTDSSKGNRIGFASQLCLLLEALNMSFEAQFMEDFVSVENPGSDNLKSSLVIPPPTVIDRVLKELFHDGARFPDVAAAENKTARAIKGAPLESLFAQFCLHSLWFGNCNIRAIAVIWIEFVREVRWCWEESQPLPLMPTTGPIDLSTCLINQKLHMLAICIERKRQLNEDFQDCIGSQDHSSPQIEEDGLDEDSSSIMQTPGENFDGKRDSPATSDDSQHSENSVSIVSTKSEDAEPTNLKPSDCIRRGSAGVAGPMMLLKSYQSMHAPFTQEAPLMTEDMHEERLHAVEAFGDSYNFSAQLEKEILASDMSAFKAANPDSVFEDFIRWHSPGDWESDDTKETGSSKSPAIEGSKADWPPQGRLSKRMSEHGNLWRKIWNDAPALPASEQKPVMDPNREGEKILHYLETVRPHQLLEQMVCTAFRASASTLNQTSYGGLKQMATKMDQLYITMTSALRPLQANPLSPGSETIEDIRRLCGVFEHVEKLLAIAASLHRKFLQAPRLSEAIFSDCCSFYFPRMGTSSSGDNAQKVKGV >ONH99815 pep chromosome:Prunus_persica_NCBIv2:G6:3619251:3627331:-1 gene:PRUPE_6G051700 transcript:ONH99815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRMESDGDDEAEAEEEEVEHFDDFTLASSWERFISDIEAVCRQWMADGPKNLLKKDAREVSEDLYKVKSELKFSAKNYCMEYYFGNKNEGKVIDWNSTLHDLQLCFGVKEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNCSCLWPAFVPVHVPSRKAYIGIQNMGTVFTRRFEADHIGSQVPVKLMHLEGLYELFVSKFAYSTLDFSMHLFKVHFTMKLTYRSLPHDDDVQGDDPNVTESEIDLGGDTHNRTQWDDDCPWSEWYSAEDPIKGFELVAIWSEKVVESSLEMAELENYSPHEAQNWILSPDMSSSLTDSSKGNRIGFASQLCLLLEALNMSFEAQFMEDFVSVENPGSDNLKSSLVIPPPTVIDRVLKELFHDGARFPDVAAAENKTARAIKGAPLESLFAQFCLHSLWFGNCNIRAIAVIWIEFVREVRWCWEESQPLPLMPTTGPIDLSTCLINQKLHMLAICIERKRQLNEDFQDCIGSQDHSSPQIEEDGLDEDSSSIMQTPGENFDGKRDSSPATSDDSQHSENSVSIVSTKSEDAEPTNLKPSDCIRRGSAGVAGPMMLLKSYQSMHAPFTQEAPLMTEDMHEERLHAVEAFGDSYNFSAQLEKEILASDMSAFKAANPDSVFEDFIRWHSPGDWESDDTKETGSSKSPAIEGSKADWPPQGRLSKRMSEHGNLWRKIWNDAPALPASEQKPVMDPNREGEKILHYLETVRPHQLLEQMVCTAFRASASTLNQTSYGGLKQMATKMDQLYITMTSALRPLQANPLSPGSETIEDIRRLCGVFEHVEKLLAIAASLHRKFLQAPRLSEAIFSDCCSFYFPRMGTSSSGDNAQKEFDKKQPVRAHERLVVSNMFTPPTANQSWRKVLSLGNLLNGHEPILREIIFSKRDKISGNHYAARTPTIYQEEVETYRMYTCGTSNDLRVALSVVSCD >ONH99816 pep chromosome:Prunus_persica_NCBIv2:G6:3619271:3626820:-1 gene:PRUPE_6G051700 transcript:ONH99816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGPKNLLKKDAREVSEDLYKVKSELKFSAKNYCMEYYFGNKNEGKVIDWNSTLHDLQLCFGVKEFLVIAPQSASGVVLDAPEASKLLSAVAIALSNCSCLWPAFVPVHVPSRKAYIGIQNMGTVFTRRFEADHIGSQVPVKLMHLEGLYELFVSKFAYSTLDFSMHLFKVHFTMKLTYRSLPHDDDVQGDDPNVTESEIDLGGDTHNRTQWDDDCPWSEWYSAEDPIKGFELVAIWSEKVVESSLEMAELENYSPHEAQNWILSPDMSSSLTDSSKGNRIGFASQLCLLLEALNMSFEAQFMEDFVSVENPGSDNLKSSLVIPPPTVIDRVLKELFHDGARFPDVAAAENKTARAIKGAPLESLFAQFCLHSLWFGNCNIRAIAVIWIEFVREVRWCWEESQPLPLMPTTGPIDLSTCLINQKLHMLAICIERKRQLNEDFQDCIGSQDHSSPQIEEDGLDEDSSSIMQTPGENFDGKRDSSPATSDDSQHSENSVSIVSTKSEDAEPTNLKPSDCIRRGSAGVAGPMMLLKSYQSMHAPFTQEAPLMTEDMHEERLHAVEAFGDSYNFSAQLEKEILASDMSAFKAANPDSVFEDFIRWHSPGDWESDDTKETGSSKSPAIEGSKADWPPQGRLSKRMSEHGNLWRKIWNDAPALPASEQKPVMDPNREGEKILHYLETVRPHQLLEQMVCTAFRASASTLNQTSYGGLKQMATKMDQLYITMTSALRPLQANPLSPGSETIEDIRRLCGVFEHVEKLLAIAASLHRKFLQAPRLSEAIFSDCCSFYFPRMGTSSSGDNAQKEFDKKQPVRAHERLVVSNMFTPPTANQSWRKVLSLGNLLNGHEPILREIIFSKRDKISGNHYAARTPTIYQEEVETYRMYTCGTSNDLRVALSVVSCD >ONI02863 pep chromosome:Prunus_persica_NCBIv2:G6:22977135:22981883:1 gene:PRUPE_6G225400 transcript:ONI02863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSFQSVHFTCSVRCPISTCLTGPLFTHTTLPLHLHSENQTRRRRRSRGVMAISSLQARYLDSCKKHEVQPNSAVLAWFTQAKIRSSRNEKCRITISLDQLKDADILPLTNVLLSSDSADIDAVDIFLEFDSDLAKENVMALIHAVNQKLQVVDLRDLSLGNEFLGDLFQGCLACQVFNLRSTHVQKLNIAGSFLRLHTLNLDFCTSLASLHKDCFSCMPNLMRLSMCETRIANLLTTTGVLSKLPALMELRFQSCLCCNDTGPCPDLQRQHNLQSEVQEKDGFLASDLKNATFTLKYITHHRSPLCFEKHYWDYMISSLPGLEVLDNFPIRKMEKELAMMASAKYYEYLPYNRQLKESVVSVLHKREMGTNGVHCQKPFKPKQLHPYRNGQNFFLRSLCAAKLGSSMWPLLHPLSNFSHTFKAESKKLRPRQFEYHPSNPSLMVFGTLDGEVIVVNHENGKIVGYVPSIGAMSSVLGLCWLNKNPSKLVAGSDNGSLKLLDINYMSPEVSDSCCSSSVATFDDFEQLTSLHVNSTDNQLLASGYSKGVALYDIASGRRIQQFTNIHQEPINVAKFAHHSPYMFATSSFDHDVKMWDLRQNPMRPCYTASSSRGNVMVCFSPDDLYLLVSAVDNEVKQLLAADGKLHMNFDIASTGSSHNYTRSYYMNGRDYIVSGSCDEDVVRICCAQTGRRLRDVYLEDKESGNSLFVQSLRGDPFRHFHMSILATSTRPSSKCEIIKVNLLGSSYYAEEYSYGQCLHPSCCQGG >ONI02865 pep chromosome:Prunus_persica_NCBIv2:G6:22977902:22981883:1 gene:PRUPE_6G225400 transcript:ONI02865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIHAVNQKLQVVDLRDLSLGNEFLGDLFQGCLACQVFNLRSTHVQKLNIAGSFLRLHTLNLDFCTSLASLHKDCFSCMPNLMRLSMCETRIANLLTTTGVLSKLPALMELRFQSCLCCNDTGPCPDLQRQHNLQSEVQEKDGFLASDLKNATFTLKYITHHRSPLCFEKHYWDYMISSLPGLEVLDNFPIRKMEKELAMMASAKYYEYLPYNRQLKESVVSVLHKREMGTNGVHCQKPFKPKQLHPYRNGQNFFLRSLCAAKLGSSMWPLLHPLSNFSHTFKAESKKLRPRQFEYHPSNPSLMVFGTLDGEVIVVNHENGKIVGYVPSIGAMSSVLGLCWLNKNPSKLVAGSDNGSLKLLDINYMSPEVSDSCCSSSVATFDDFEQLTSLHVNSTDNQLLASGYSKGVALYDIASGRRIQQFTNIHQEPINVAKFAHHSPYMFATSSFDHDVKMWDLRQNPMRPCYTASSSRGNVMVCFSPDDLYLLVSAVDNEVKQLLAADGKLHMNFDIASTGSSHNYTRSYYMNGRDYIVSGSCDEDVVRICCAQTGRRLRDVYLEDKESGNSLFVQSLRGDPFRHFHMSILATSTRPSSKCEIIKVNLLGSSYYAEEYSYGQCLHPSCCQGG >ONI02866 pep chromosome:Prunus_persica_NCBIv2:G6:22977578:22981883:1 gene:PRUPE_6G225400 transcript:ONI02866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIHAVNQKLQVVDLRDLSLGNEFLGDLFQGCLACQVFNLRSTHVQKLNIAGSFLRLHTLNLDFCTSLASLHKDCFSCMPNLMRLSMCETRIANLLTTTGVLSKLPALMELRFQSCLCCNDTGPCPDLQRQHNLQSEVQEKDGFLASDLKNATFTLKYITHHRSPLCFEKHYWDYMISSLPGLEVLDNFPIRKMEKELAMMASAKYYEYLPYNRQLKESVVSVLHKREMGTNGVHCQKPFKPKQLHPYRNGQNFFLRSLCAAKLGSSMWPLLHPLSNFSHTFKAESKKLRPRQFEYHPSNPSLMVFGTLDGEVIVVNHENGKIVGYVPSIGAMSSVLGLCWLNKNPSKLVAGSDNGSLKLLDINYMSPEVSDSCCSSSVATFDDFEQLTSLHVNSTDNQLLASGYSKGVALYDIASGRRIQQFTNIHQEPINVAKFAHHSPYMFATSSFDHDVKMWDLRQNPMRPCYTASSSRGNVMVCFSPDDLYLLVSAVDNEVKQLLAADGKLHMNFDIASTGSSHNYTRSYYMNGRDYIVSGSCDEDVVRICCAQTGRRLRDVYLEDKESGNSLFVQSLRGDPFRHFHMSILATSTRPSSKCEIIKVNLLGSSYYAEEYSYGQCLHPSCCQGG >ONI02864 pep chromosome:Prunus_persica_NCBIv2:G6:22977128:22981883:1 gene:PRUPE_6G225400 transcript:ONI02864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSFQSVHFTCSVRCPISTCLTGPLFTHTTLPLHLHSENQTRRRRRSRGVMAISSLQARYLDSCKKHEVQPNSAVLAWFTQAKIRSSRNEKCRITISLDQLKDADILPLTNVLLSSDSADIDAVDIFLEFDSDLAKENVMALIHAVNQKLQVVDLRDLSLGNEFLGDLFQGCLACQVFNLRSTHVQKLNIAGSFLRLHTLNLDFCTSLASLHKDCFSCMPNLMRLSMCETRIANLLTTTGVLSKLPALMELRFQSCLCCNDTGPCPDLQRQHNLQSEVQEKDGFLASDLKNATFTLKYITHHRSPLCFEKHYWDYMISSLPGLEVLDNFPIRKMEKELAMMASAKYYEYLPYNRQLKESVVSVLHKREMGTNGVHCQKPFKPKQLHPYRNGQNFFLRSLCAAKLGSSMWPLLHPLSNFSHTFKAESKKLRPRQFEYHPSNPSLMVFGTLDGEVIVVNHENGKIVGYVPSIGAMSSVLGLCWLNKNPSKLVAGSDNGSLKLLDINYMSPEVSDSCCSSSVATFDDFEQLTSLHVNSTDNQLLASGYSKGVALYDIASGRRIQQFTNIHQEPINVAKFAHHSPYMFATSSFDHDVKMWDLRQNPMRPCYTASSSRGNVMVCFSPDDLYLLVSAVDNEVKQLLAADGKLHMNFDIASTGSSHNYTRSYYMNGRDYIVSGSCDEDVVRICCAQTGRRLRDVYLEDKESGNSLFVQSLRGDPFRHFHMSILATSTRPSSKCEIIKVNLLGSSYYAEEYSYGQCLHPSCCQGG >ONI04622 pep chromosome:Prunus_persica_NCBIv2:G6:28859923:28864208:1 gene:PRUPE_6G330700 transcript:ONI04622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFRSLHRIRALHRTAQISESSHLLGSSRSYASGISTVPELYCRNKGHGALPWTHGSSITLRSAMAVELPIFWNDTRLLSTQVKAPAQARQMGALKVAMLSPGFIYEPYTPREKISFLKRWFTRSGWRRTKEDTVLELKSAYAITKLRKKGYSKNLFYKEAIQLYKEINTLMANGDKNSLRKAVTEKMFSELKNEIKQRESIWNKVYWEMVEPVVKIRTLRARLIGVDRNDLEKVFIQLTLEFLTKQKFEAYDSNGSIVSGDKSKEVLVRDIWVFEKSLFHDKAFWRLCGRISL >ONI04623 pep chromosome:Prunus_persica_NCBIv2:G6:28859923:28864208:1 gene:PRUPE_6G330700 transcript:ONI04623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFRSLHRIRALHRTAQISESSHLLGSSRSYASGISTVPELYCRNKGHGALPWTHGSSITLRSAMAVELPIFWNDTRLLSTQVKAPAQARQMGALKVAMLSPGFIYEPYTPREKISFLKRWFTRSGWRRTKEDTVLELKSAYAITKLRKKGYSKNLFYKEAIQLYKEELKNEIKQRESIWNKVYWEMVEPVVKIRTLRARLIGVDRNDLEKVFIQLTLEFLTKQKFEAYDSNGSIVSGDKSKEVLVRDIWVFEKSLFHDKAFWRLCGRISL >ONI04993 pep chromosome:Prunus_persica_NCBIv2:G6:29898711:29902419:-1 gene:PRUPE_6G350700 transcript:ONI04993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLNQKSLERAVSQKALQMGSSFPCQICVVGFLCGVCLTSLFLATLTSFGTFEFGGFSFSTISEGASAENSSSEIISMVAGTNCSFKPIIRTERIVDSLRSEEMINNESVSLLYSVWSALLTESESGESDDLQRLGVSTSRVPNAPHLENCKLKTQVNERLDKRAENETYPPWTSWKGLLAKYPAAATNEHQATSEGAYAPWITGSDEENYPLTRKVQRDIWMHQHPLNCRDPSVKFLVADWERLPGFGIGAQIAGMCGLLAIAINEKRVLVTNYYNRADHDGCKDSSRSSWSCYFFPETSQECRDRAFELMGSEEAWKKGTIKAKESYNSKQIWAGPTPRTWGDPWSHLQPTTDINGSLVAYHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNVARHTAFGKEAANMVVTTFEGKWPKDAMVDRRSDIEEFVWSSHKPWMPRPLLSMHVRMGDKACEMKVVEFEEYMGLAGRIRKRFPQLKSVWLSTEMQEVIDKSRSYRHWDFYYTNVTRQVGNMTMATYETSLGRETSTNYPLVNFLMASEADFFVGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >ONI04991 pep chromosome:Prunus_persica_NCBIv2:G6:29898711:29902419:-1 gene:PRUPE_6G350700 transcript:ONI04991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLNQKSLERAVSQKALQMGSSFPCQICVVGFLCGVCLTSLFLATLTSFGTFEFGGFSFSTISEGASAENSSSEIISMVAGTNCSFKPIIRTERIVDSLRSEEMINNESVSLLYSVWSALLTESESGESDDLQRLGVSTSRVPNAPHLENCKLKTQVNERLDKRAENETYPPWTSWKGLLAKYPAAATNEHQATSEGAYAPWITGSDEENYPLTRKVQRDIWMHQHPLNCRDPSVKFLVADWERLPGFGIGAQIAGMCGLLAIAINEKRVLVTNYYNRADHDGCKDSSRSSWSCYFFPETSQECRDRAFELMGSEEAWKKGTIKAKESYNSKQIWAGPTPRTWGDPWSHLQPTTDINGSLVAYHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNVARHTAFGKEAANMVVTTFEGKWPKDAMVDRRSDIEEFVWSSHKPWMPRPLLSMHVRMGDKACEMKVVEFEEYMGLAGRIRKRFPQLKSVWLSTEMQEVIDKSRSYRHWDFYYTNVTRQVGNMTMATYETSLGRETSTNYPLVNFLMASEADFFVGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >ONI04989 pep chromosome:Prunus_persica_NCBIv2:G6:29898470:29903772:-1 gene:PRUPE_6G350700 transcript:ONI04989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLNQKSLERAVSQKALQMGSSFPCQICVVGFLCGVCLTSLFLATLTSFGTFEFGGFSFSTISEGASAENSSSEIISTNCSFKPIIRTERIVDSLRSEEMINNESVSLLYSVWSALLTESESGESDDLQRLGVSTSRVPNAPHLENCKLKTQVNERLDKRAENETYPPWTSWKGLLAKYPAAATNEHQATSEGAYAPWITGSDEENYPLTRKVQRDIWMHQHPLNCRDPSVKFLVADWERLPGFGIGAQIAGMCGLLAIAINEKRVLVTNYYNRADHDGCKDSSRSSWSCYFFPETSQECRDRAFELMGSEEAWKKGTIKAKESYNSKQIWAGPTPRTWGDPWSHLQPTTDINGSLVAYHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNVARHTAFGKEAANMVVTTFEGKWPKDAMVDRRSDIEEFVWSSHKPWMPRPLLSMHVRMGDKACEMKVVEFEEYMGLAGRIRKRFPQLKSVWLSTEMQEVIDKSRSYRHWDFYYTNVTRQVGNMTMATYETSLGRETSTNYPLVNFLMASEADFFVGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >ONI04988 pep chromosome:Prunus_persica_NCBIv2:G6:29898470:29903772:-1 gene:PRUPE_6G350700 transcript:ONI04988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLNQKSLERAVSQKALQMGSSFPCQICVVGFLCGVCLTSLFLATLTSFGTFEFGGFSFSTISEGASAENSSSEIISTNCSFKPIIRTERIVDSLRSEEMINNESVSLLYSVWSALLTESESGESDDLQRLGVSTSRVPNAPHLENCKLKTQVNERLDKRAENETYPPWTSWKGLLAKYPAAATNEHQATSEGAYAPWITGSDEENYPLTRKVQRDIWMHQHPLNCRDPSVKFLVADWERLPGFGIGAQIAGMCGLLAIAINEKRVLVTNYYNRADHDGCKDSSRSSWSCYFFPETSQECRDRAFELMGSEEAWKKGTIKAKESYNSKQIWAGPTPRTWGDPWSHLQPTTDINGSLVAYHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNVARHTAFGKEAANMVVTTFEGKWPKDAMVDRRSDIEEFVWSSHKPWMPRPLLSMHVRMGDKACEMKVVEFEEYMGLAGRIRKRFPQLKSVWLSTEMQEVIDKSRSYRHWDFYYTNVTRQVGNMTMATYETSLGRETSTNYPLVNFLMASEADFFVGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >ONI04987 pep chromosome:Prunus_persica_NCBIv2:G6:29898470:29903821:-1 gene:PRUPE_6G350700 transcript:ONI04987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLNQKSLERAVSQKALQMGSSFPCQICVVGFLCGVCLTSLFLATLTSFGTFEFGGFSFSTISEGASAENSSSEIISTNCSFKPIIRTERIVDSLRSEEMINNESVSLLYSVWSALLTESESGESDDLQRLGVSTSRVPNAPHLENCKLKTQVNERLDKRAENETYPPWTSWKGLLAKYPAAATNEHQATSEGAYAPWITGSDEENYPLTRKVQRDIWMHQHPLNCRDPSVKFLVADWERLPGFGIGAQIAGMCGLLAIAINEKRVLVTNYYNRADHDGCKDSSRSSWSCYFFPETSQECRDRAFELMGSEEAWKKGTIKAKESYNSKQIWAGPTPRTWGDPWSHLQPTTDINGSLVAYHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNVARHTAFGKEAANMVVTTFEGKWPKDAMVDRRSDIEEFVWSSHKPWMPRPLLSMHVRMGDKACEMKVVEFEEYMGLAGRIRKRFPQLKSVWLSTEMQEVIDKSRSYRHWDFYYTNVTRQVGNMTMATYETSLGRETSTNYPLVNFLMASEADFFVGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >ONI04992 pep chromosome:Prunus_persica_NCBIv2:G6:29898711:29902419:-1 gene:PRUPE_6G350700 transcript:ONI04992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLNQKSLERAVSQKALQMGSSFPCQICVVGFLCGVCLTSLFLATLTSFGTFEFGGFSFSTISEGASAENSSSEIISMVAGTNCSFKPIIRTERIVDSLRSEEMINNESVSLLYSVWSALLTESESGESDDLQRLGVSTSRVPNAPHLENCKLKTQVNERLDKRAENETYPPWTSWKGLLAKYPAAATNEHQATSEGAYAPWITGSDEENYPLTRKVQRDIWMHQHPLNCRDPSVKFLVADWERLPGFGIGAQIAGMCGLLAIAINEKRVLVTNYYNRADHDGCKDSSRSSWSCYFFPETSQECRDRAFELMGSEEAWKKGTIKAKESYNSKQIWAGPTPRTWGDPWSHLQPTTDINGSLVAYHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNVARHTAFGKEAANMVVTTFEGKWPKDAMVDRRSDIEEFVWSSHKPWMPRPLLSMHVRMGDKACEMKVVEFEEYMGLAGRIRKRFPQLKSVWLSTEMQEVIDKSRSYRHWDFYYTNVTRQVGNMTMATYETSLGRETSTNYPLVNFLMASEADFFVGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >ONI04990 pep chromosome:Prunus_persica_NCBIv2:G6:29898711:29902419:-1 gene:PRUPE_6G350700 transcript:ONI04990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLNQKSLERAVSQKALQMGSSFPCQICVVGFLCGVCLTSLFLATLTSFGTFEFGGFSFSTISEGASAENSSSEIISMVAGTNCSFKPIIRTERIVDSLRSEEMINNESVSLLYSVWSALLTESESGESDDLQRLGVSTSRVPNAPHLENCKLKTQVNERLDKRAENETYPPWTSWKGLLAKYPAAATNEHQATSEGAYAPWITGSDEENYPLTRKVQRDIWMHQHPLNCRDPSVKFLVADWERLPGFGIGAQIAGMCGLLAIAINEKRVLVTNYYNRADHDGCKDSSRSSWSCYFFPETSQECRDRAFELMGSEEAWKKGTIKAKESYNSKQIWAGPTPRTWGDPWSHLQPTTDINGSLVAYHRKMDRRWWRAQAVRYLMRFQTEYTCGLLNVARHTAFGKEAANMVVTTFEGKWPKDAMVDRRSDIEEFVWSSHKPWMPRPLLSMHVRMGDKACEMKVVEFEEYMGLAGRIRKRFPQLKSVWLSTEMQEVIDKSRSYRHWDFYYTNVTRQVGNMTMATYETSLGRETSTNYPLVNFLMASEADFFVGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >ONH99493 pep chromosome:Prunus_persica_NCBIv2:G6:2512859:2514626:1 gene:PRUPE_6G032700 transcript:ONH99493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTGIAYVITTATSMRAIQRSNCYHKEGHQASCEYGTSLYMLLFGLVQIVVSQIPDFHNMEWLSTIAAIMSFTYAFIGLGLGFAKVIENGRIQGSLGGVPTSNVADKLWLAFQALGDIAFAYPYSIILLEIQDTLKSPPAENKTMKKASMTAIFVTTFFYLCCGCFGYAAFGDDTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQVYSQPVFAVAERWFSKKYPNSGFVNNFYSIKFPLLPRFQANPFRLCFRTVYVVSTTGIAMLFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGSWTRKWIVLKAFSLVCFLVTVVGVIGSVQGLISAKLS >ONH99491 pep chromosome:Prunus_persica_NCBIv2:G6:2511587:2514728:1 gene:PRUPE_6G032700 transcript:ONH99491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQHPLELANNGSCDDDGHPLRTGTLWSGVAHIITAVIGSGVLSLAWSTSQLGWIGGPVALLCFAIVTYVSSFLLSDCYRSPDPVTGTRNKCYMDAVKVNLNSRKQSWFCGFLLYFSMYGTGIAYVITTATSMRAIQRSNCYHKEGHQASCEYGTSLYMLLFGLVQIVVSQIPDFHNMEWLSTIAAIMSFTYAFIGLGLGFAKVIENGRIQGSLGGVPTSNVADKLWLAFQALGDIAFAYPYSIILLEIQDTLKSPPAENKTMKKASMTAIFVTTFFYLCCGCFGYAAFGDDTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQVYSQPVFAVAERWFSKKYPNSGFVNNFYSIKFPLLPRFQANPFRLCFRTVYVVSTTGIAMLFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGSWTRKWIVLKAFSLVCFLVTVVGVIGSVQGLISAKLS >ONH99490 pep chromosome:Prunus_persica_NCBIv2:G6:2511512:2514721:1 gene:PRUPE_6G032700 transcript:ONH99490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQHPLELANNGSCDDDGHPLRTGTLWSGVAHIITAVIGSGVLSLAWSTSQLGWIGGPVALLCFAIVTYVSSFLLSDCYRSPDPVTGTRNKCYMDAVKVNLNSRKQSWFCGFLLYFSMYGTGIAYVITTATSMRAIQRSNCYHKEGHQASCEYGTSLYMLLFGLVQIVVSQIPDFHNMEWLSTIAAIMSFTYAFIGLGLGFAKVIENGRIQGSLGGVPTSNVADKLWLAFQALGDIAFAYPYSIILLEIQDTLKSPPAENKTMKKASMTAIFVTTFFYLCCGCFGYAAFGDDTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQVYSQPVFAVAERWFSKKYPNSGFVNNFYSIKFPLLPRFQANPFRLCFRTVYVVSTTGIAMLFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGSWTRKWIVLKAFSLVCFLVTVVGVIGSVQGLISAKLS >ONH99492 pep chromosome:Prunus_persica_NCBIv2:G6:2511924:2514721:1 gene:PRUPE_6G032700 transcript:ONH99492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQHPLELANNGSCDDDGHPLRTGTLWSGVAHIITAVIGSGVLSLAWSTSQLGWIGGPVALLCFAIVTYVSSFLLSDCYRSPDPVTGTRNKCYMDAVKVNLNSRKQSWFCGFLLYFSMYGTGIAYVITTATSMRAIQRSNCYHKEGHQASCEYGTSLYMLLFGLVQIVVSQIPDFHNMEWLSTIAAIMSFTYAFIGLGLGFAKVIENGRIQGSLGGVPTSNVADKLWLAFQALGDIAFAYPYSIILLEIQDTLKSPPAENKTMKKASMTAIFVTTFFYLCCGCFGYAAFGDDTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQVYSQPVFAVAERWFSKKYPNSGFVNNFYSIKFPLLPRFQANPFRLCFRTVYVVSTTGIAMLFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGSWTRKWIVLKAFSLVCFLVTVVGVIGSVQGLISAKLS >ONH99488 pep chromosome:Prunus_persica_NCBIv2:G6:2511332:2514721:1 gene:PRUPE_6G032700 transcript:ONH99488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMTGLISHSSIIGMESWKVQIRLAIQRSNCYHKEGHQASCEYGTSLYMLLFGLVQIVVSQIPDFHNMEWLSTIAAIMSFTYAFIGLGLGFAKVIENGRIQGSLGGVPTSNVADKLWLAFQALGDIAFAYPYSIILLEIQDTLKSPPAENKTMKKASMTAIFVTTFFYLCCGCFGYAAFGDDTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQVYSQPVFAVAERWFSKKYPNSGFVNNFYSIKFPLLPRFQANPFRLCFRTVYVVSTTGIAMLFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGSWTRKWIVLKAFSLVCFLVTVVGVIGSVQGLISAKLS >ONH99487 pep chromosome:Prunus_persica_NCBIv2:G6:2508156:2514721:1 gene:PRUPE_6G032700 transcript:ONH99487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGEAEEDEQLPLLISSSSTNELSQQPLKRTGTIWTAVAHIITGVIGAGVLSLAWSVAQLGWLAGPLFMLVFAAVTILSNNLLCDCYRYPDSDGPTRSRSYMEAVKIYLGEKRRTVSAIFIHESLYGTCIAYIITAASSVRAIQRSNCYHKEGHQASCEYGTSLYMLLFGLVQIVVSQIPDFHNMEWLSTIAAIMSFTYAFIGLGLGFAKVIENGRIQGSLGGVPTSNVADKLWLAFQALGDIAFAYPYSIILLEIQDTLKSPPAENKTMKKASMTAIFVTTFFYLCCGCFGYAAFGDDTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQVYSQPVFAVAERWFSKKYPNSGFVNNFYSIKFPLLPRFQANPFRLCFRTVYVVSTTGIAMLFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGSWTRKWIVLKAFSLVCFLVTVVGVIGSVQGLISAKLS >ONH99489 pep chromosome:Prunus_persica_NCBIv2:G6:2511590:2514721:1 gene:PRUPE_6G032700 transcript:ONH99489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQHPLELANNGSCDDDGHPLRTGTLWSGVAHIITAVIGSGVLSLAWSTSQLGWIGGPVALLCFAIVTYVSSFLLSDCYRSPDPVTGTRNKCYMDAVKVNLNSRKQSWFCGFLLYFSMYGTGIAYVITTATSMRAIQRSNCYHKEGHQASCEYGTSLYMLLFGLVQIVVSQIPDFHNMEWLSTIAAIMSFTYAFIGLGLGFAKVIENGRIQGSLGGVPTSNVADKLWLAFQALGDIAFAYPYSIILLEIQDTLKSPPAENKTMKKASMTAIFVTTFFYLCCGCFGYAAFGDDTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQVYSQPVFAVAERWFSKKYPNSGFVNNFYSIKFPLLPRFQANPFRLCFRTVYVVSTTGIAMLFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGSWTRKWIVLKAFSLVCFLVTVVGVIGSVQGLISAKLS >ONI03041 pep chromosome:Prunus_persica_NCBIv2:G6:23623506:23623883:1 gene:PRUPE_6G234900 transcript:ONI03041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKFVRVCANKWRKIGSRGSLPSSTCCENCCQWALWSSMHEENSIPKDVPKGHLVVYVGENQKRFVIKVTLLNHPLFRALLDQAREEYDFHADSKLYIPCDESLFLDVVRCASSPVDRRFPLCL >ONI05051 pep chromosome:Prunus_persica_NCBIv2:G6:30062643:30088744:-1 gene:PRUPE_6G353600 transcript:ONI05051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTESLITIAGSVSTPSTRKRVRIFRDEISSILNNSEMGTEPALLLVDIIFKTLYIYDDRGSRKAVDDIITKGLQEVAFMKSFAAALVQVMERQVRVQSHVGCYRLLQWSCLLFSKSNFATVSKNALCKVATVQASLIHIVMQRSFRERRACKKTFCHLFSQSPDIYKMYIEELKDARIPYKDSPELIWLLMEFSSTSSKLSSLFEQCKPMFLDIYLKAILNAREKPAKGLSEAFHPLFRHMLHEDFQNIVLPSAVKMLKRNPEIVLESVGILLNSVNLDLSKYAIEILSVALPQARHADEGRRVGALAIIRCLSQKSSNPDALEAMFNAVKSVIGGSEGRLTFPYQRIGMINALQEMCNAPDGKHLNSLSQTLCSFLLSCYKDEGNEEVKLAILSALGLWAARSADAIQSDVVLFFSSGLKEKEALRRGHLRCLRAICKNTDAVFRISSLLEPLIQLVKTGFTKAAQRLDGIYALLLVVKIAAVDIKAEETVVKDKIWSLISQNEPSLVPISMASKMLTEDCMACVDLLEVMLVEHLQRVLDSFSLRLLSQLIIFFICHPCWEVRRMTYDATRRIVPAAPQLTEYLLVEFTNFMSVVAEKLRISNSSETDNSLDTQVPFLPSVEVSVKALVVISSAALPAAPRASMRVLFCAHHPYIVGTAKRDAVWRRVQKCLHTCGFDVISNILADVENLCKTLLGPMWLSSSNSFEQQAAISSLSTLMSIAPGETYAEFEKHLKSLPYRYSHDTLSENDVQIFHTPEGLLSSEQGVYIAETVAAKNMKQAKGRFRMYEDATDHGGSNHSAKVEPANGSTGKRETGKSAKKPDKGRTAKEEARELQLREESSIREKVQEIQKNLSSILKALGEMAIANPIFAHSQLPSLVNYVDPLLRSPIVSDVAFETVVKLARCTAPPLCNWALDIATALRLVVTEEVRLVGDMIPSVGEAEANEKPYLSLFERIINGLSVSCKSGPLPVDSFTFVFPIMERILLCSKKTGLHDDVLRILYLHMDPLLPLPRLQMISVLYHVLGVVPAYQASVGPALNELCLGLRPDEVAPALYGVYAKDVHVRMACLSAVKCIPAVASHSLPQNVEVATSIWVALHDPEKSVAEAAEDLWDRYGYDFGTDYSGLFKALSHINYNVRFAAAEALAAALDECPDTIQESLSTLFSVYIRDAGLTEDNVDAGWLGRQGVALALHSSADVLRTKDLPVVMTFLISRALADPNADVRGRMITAGIMIIDKHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHTVVEKLLDVLNTPSEAVQRAVSACLSPLMQSKQDDGPALVSRLLDKLMKSDKYGERRGAAFGLAGVVKGFGISCLKKYGIVTLLQEGLVDRSSAKCREGALLGFECLCESLGRLFEPYVIQMLPLLLVSFSDQVVAVREGAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEIASLVPTLLLGLTDPNDYTKYSLDILLQTTFINTIDAPSLALLVPIVHRGLRERSAETKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARALGSLIRGMGEDHFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFEHVLPDVIRNCSHQKASVRDGYLTLFKYLPRSLGVQFQNYLQQVLPSILDGLADENESVREAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDSWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGREKRDEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMNTLIASLASSSSERRQVAGRSLGELVRKLGERVLPLIIPILSQGLKDSDTSRRQGVCIGLSEVMASAGKNQLLSFMDELIPTIRTALSDSMPEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDQTSDTALDGLKQILSVRITAVLPHILPKLVHLPLTAFNAHALGAVAEVAGPGLNSHLGTVIPALLSAMGADEKEVQTLAREAAETVVLVIDEEGVESLISELVRAVSDSQASIRRSSSYLIGYFFKNSKLYLVDEAPNMISTLIVLLSDSDSATVAISWEALSRVVSSVPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPILIPGFCLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQALKEFVIPITGPLIRIIGDRFPWQVKSAILSTLAIMIRKGGMALKPFLPQLQTTFVKCLQDNTRIVRSSAALALGKLSALSTRVDPLVGDLLSSLQASDSGVREASLSALEGVLKHAGKSLSSAVRTRVYLHLKDLIRNDDDQVRISAASILGITSQYVEDDQLSELLQELSNLPLSLSWSARHGSILTISSMLRHNPSVICTSPEFPSILDQLKSALTDEKFPLRETSTKAFGRLLIHKFRSDPSNSSVHSDIISSLVSALHDDSSEVRRKALSAIKAGSKENSSAILAHMNIIGPALAECLKDGSTPVRLAAERCALHAFQLTKGPENVQAAQKFITGLDARRISKIPENSDDSDSEDLASG >ONI05054 pep chromosome:Prunus_persica_NCBIv2:G6:30072750:30088602:-1 gene:PRUPE_6G353600 transcript:ONI05054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTESLITIAGSVSTPSTRKRVRIFRDEISSILNNSEMGTEPALLLVDIIFKTLYIYDDRGSRKAVDDIITKGLQEVAFMKSFAAALVQVMERQVRVQSHVGCYRLLQWSCLLFSKSNFATVSKNALCKVATVQASLIHIVMQRSFRERRACKKTFCHLFSQSPDIYKMYIEELKDARIPYKDSPELIWLLMEFSSTSSKLSSLFEQCKPMFLDIYLKAILNAREKPAKGLSEAFHPLFRHMLHEDFQNIVLPSAVKMLKRNPEIVLESVGILLNSVNLDLSKYAIEILSVALPQARHADEGRRVGALAIIRCLSQKSSNPDALEAMFNAVKSVIGGSEGRLTFPYQRIGMINALQEMCNAPDGKHLNSLSQTLCSFLLSCYKDEGNEEVKLAILSALGLWAARSADAIQSDVVLFFSSGLKEKEALRRGHLRCLRAICKNTDAVFRISSLLEPLIQLVKTGFTKAAQRLDGIYALLLVVKIAAVDIKAEETVVKDKIWSLISQNEPSLVPISMASKMLTEDCMACVDLLEVMLVEHLQRVLDSFSLRLLSQLIIFFICHPCWEVRRMTYDATRRIVPAAPQLTEYLLVEFTNFMSVVAEKLRISNSSETDNSLDTQVPFLPSVEVSVKALVVISSAALPAAPRASMRVLFCAHHPYIVGTAKRDAVWRRVQKCLHTCGFDVISNILADVENLCKTLLGPMWLSSSNSFEQQAAISSLSTLMSIAPGETYAEFEKHLKSLPYRYSHDTLSENDVQIFHTPEGLLSSEQGVYIAETVAAKNMKQAKGRFRMYEDATDHGGSNHSAKVEPANGSTGKRETGKSAKKPDKGRTAKEEARELQLREESSIREKVQEIQKNLSSILKALGEMAIANPIFAHSQLPSLVNYVDPLLRSPIVSDVAFETVVKLARCTAPPLCNWALDIATALRLVVTEEVRLVGDMIPSVGEAEANEKPYLSLFERIINGLSVSCKSGPLPVDSFTFVFPIMERILLCSKKTGLHDDVLRILYLHMDPLLPLPRLQMISVLYHVLGVVPAYQASVGPALNELCLGLRPDEVAPALYGVYAKDVHVRMACLSAVKCIPAVASHSLPQNVEVATSIWVALHDPEKSVAEAAEDLWDRYGYDFGTDYSGLFKALSHINYNVRFAAAEALAAALDECPDTIQESLSTLFSVYIRDAGLTEDNVDAGWLGRQGVALALHSSADVLRTKDLPVVMTFLISRALADPNADVRGRMITAGIMIIDKHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHTVVEKLLDVLNTPSEAVQRAVSACLSPLMQSKQDDGPALVSRLLDKLMKSDKYGERRGAAFGLAGVVKGFGISCLKKYGIVTLLQEGLVDRSSAKCREGALLGFECLCESLGRLFEPYVIQMLPLLLVSFSDQVVAVREGAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEIASLVPTLLLGLTDPNDYTKYSLDILLQTTFINTIDAPSLALLVPIVHRGLRERSAETKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARALGSLIRGMGEDHFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFEHVLPDVIRNCSHQKASVRDGYLTLFKYLPRSLGVQFQNYLQQVLPSILDGLADENESVREAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDSWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGREKRDEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMNTLIASLASSSSERRQVAGRSLGELVRKLGERVLPLIIPILSQGLKDSDTSRRQSRS >ONI05053 pep chromosome:Prunus_persica_NCBIv2:G6:30072691:30088744:-1 gene:PRUPE_6G353600 transcript:ONI05053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTESLITIAGSVSTPSTRKRVRIFRDEISSILNNSEMGTEPALLLVDIIFKTLYIYDDRGSRKAVDDIITKGLQEVAFMKSFAAALVQVMERQVRVQSHVGCYRLLQWSCLLFSKSNFATVSKNALCKVATVQASLIHIVMQRSFRERRACKKTFCHLFSQSPDIYKMYIEELKDARIPYKDSPELIWLLMEFSSTSSKLSSLFEQCKPMFLDIYLKAILNAREKPAKGLSEAFHPLFRHMLHEDFQNIVLPSAVKMLKRNPEIVLESVGILLNSVNLDLSKYAIEILSVALPQARHADEGRRVGALAIIRCLSQKSSNPDALEAMFNAVKSVIGGSEGRLTFPYQRIGMINALQEMCNAPDGKHLNSLSQTLCSFLLSCYKDEGNEEVKLAILSALGLWAARSADAIQSDVVLFFSSGLKEKEALRRGHLRCLRAICKNTDAVFRISSLLEPLIQLVKTGFTKAAQRLDGIYALLLVVKIAAVDIKAEETVVKDKIWSLISQNEPSLVPISMASKMLTEDCMACVDLLEVMLVEHLQRVLDSFSLRLLSQLIIFFICHPCWEVRRMTYDATRRIVPAAPQLTEYLLVEFTNFMSVVAEKLRISNSSETDNSLDTQVPFLPSVEVSVKALVVISSAALPAAPRASMRVLFCAHHPYIVGTAKRDAVWRRVQKCLHTCGFDVISNILADVENLCKTLLGPMWLSSSNSFEQQAAISSLSTLMSIAPGETYAEFEKHLKSLPYRYSHDTLSENDVQIFHTPEGLLSSEQGVYIAETVAAKNMKQAKGRFRMYEDATDHGGSNHSAKVEPANGSTGKRETGKSAKKPGRTAKEEARELQLREESSIREKVQEIQKNLSSILKALGEMAIANPIFAHSQLPSLVNYVDPLLRSPIVSDVAFETVVKLARCTAPPLCNWALDIATALRLVVTEEVRLVGDMIPSVGEAEANEKPYLSLFERIINGLSVSCKSGPLPVDSFTFVFPIMERILLCSKKTGLHDDVLRILYLHMDPLLPLPRLQMISVLYHVLGVVPAYQASVGPALNELCLGLRPDEVAPALYGVYAKDVHVRMACLSAVKCIPAVASHSLPQNVEVATSIWVALHDPEKSVAEAAEDLWDRYGYDFGTDYSGLFKALSHINYNVRFAAAEALAAALDECPDTIQESLSTLFSVYIRDAGLTEDNVDAGWLGRQGVALALHSSADVLRTKDLPVVMTFLISRALADPNADVRGRMITAGIMIIDKHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHTVVEKLLDVLNTPSEAVQRAVSACLSPLMQSKQDDGPALVSRLLDKLMKSDKYGERRGAAFGLAGVVKGFGISCLKKYGIVTLLQEGLVDRSSAKCREGALLGFECLCESLGRLFEPYVIQMLPLLLVSFSDQVVAVREGAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEIASLVPTLLLGLTDPNDYTKYSLDILLQTTFINTIDAPSLALLVPIVHRGLRERSAETKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARALGSLIRGMGEDHFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFEHVLPDVIRNCSHQKASVRDGYLTLFKYLPRSLGVQFQNYLQQVLPSILDGLADENESVREAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDSWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGREKRDEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMNTLIASLASSSSERRQVAGRSLGELVRKLGERVLPLIIPILSQGLKDSDTSRRQSRS >ONI05050 pep chromosome:Prunus_persica_NCBIv2:G6:30062642:30088753:-1 gene:PRUPE_6G353600 transcript:ONI05050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTESLITIAGSVSTPSTRKRVRIFRDEISSILNNSEMGTEPALLLVDIIFKTLYIYDDRGSRKAVDDIITKGLQEVAFMKSFAAALVQVMERQVRVQSHVGCYRLLQWSCLLFSKSNFATVSKNALCKVATVQASLIHIVMQRSFRERRACKKTFCHLFSQSPDIYKMYIEELKDARIPYKDSPELIWLLMEFSSTSSKLSSLFEQCKPMFLDIYLKAILNAREKPAKGLSEAFHPLFRHMLHEDFQNIVLPSAVKMLKRNPEIVLESVGILLNSVNLDLSKYAIEILSVALPQARHADEGRRVGALAIIRCLSQKSSNPDALEAMFNAVKSVIGGSEGRLTFPYQRIGMINALQEMCNAPDGKHLNSLSQTLCSFLLSCYKDEGNEEVKLAILSALGLWAARSADAIQSDVVLFFSSGLKEKEALRRGHLRCLRAICKNTDAVFRISSLLEPLIQLVKTGFTKAAQRLDGIYALLLVVKIAAVDIKAEETVVKDKIWSLISQNEPSLVPISMASKMLTEDCMACVDLLEVMLVEHLQRVLDSFSLRLLSQLIIFFICHPCWEVRRMTYDATRRIVPAAPQLTEYLLVEFTNFMSVVAEKLRISNSSETDNSLDTQVPFLPSVEVSVKALVVISSAALPAAPRASMRVLFCAHHPYIVGTAKRDAVWRRVQKCLHTCGFDVISNILADVENLCKTLLGPMWLSSSNSFEQQAAISSLSTLMSIAPGETYAEFEKHLKSLPYRYSHDTLSENDVQIFHTPEGLLSSEQGVYIAETVAAKNMKQAKGRFRMYEDATDHGGSNHSAKVEPANGSTGKRETGKSAKKPGRTAKEEARELQLREESSIREKVQEIQKNLSSILKALGEMAIANPIFAHSQLPSLVNYVDPLLRSPIVSDVAFETVVKLARCTAPPLCNWALDIATALRLVVTEEVRLVGDMIPSVGEAEANEKPYLSLFERIINGLSVSCKSGPLPVDSFTFVFPIMERILLCSKKTGLHDDVLRILYLHMDPLLPLPRLQMISVLYHVLGVVPAYQASVGPALNELCLGLRPDEVAPALYGVYAKDVHVRMACLSAVKCIPAVASHSLPQNVEVATSIWVALHDPEKSVAEAAEDLWDRYGYDFGTDYSGLFKALSHINYNVRFAAAEALAAALDECPDTIQESLSTLFSVYIRDAGLTEDNVDAGWLGRQGVALALHSSADVLRTKDLPVVMTFLISRALADPNADVRGRMITAGIMIIDKHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHTVVEKLLDVLNTPSEAVQRAVSACLSPLMQSKQDDGPALVSRLLDKLMKSDKYGERRGAAFGLAGVVKGFGISCLKKYGIVTLLQEGLVDRSSAKCREGALLGFECLCESLGRLFEPYVIQMLPLLLVSFSDQVVAVREGAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEIASLVPTLLLGLTDPNDYTKYSLDILLQTTFINTIDAPSLALLVPIVHRGLRERSAETKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARALGSLIRGMGEDHFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFEHVLPDVIRNCSHQKASVRDGYLTLFKYLPRSLGVQFQNYLQQVLPSILDGLADENESVREAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDSWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGREKRDEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMNTLIASLASSSSERRQVAGRSLGELVRKLGERVLPLIIPILSQGLKDSDTSRRQGVCIGLSEVMASAGKNQLLSFMDELIPTIRTALSDSMPEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDQTSDTALDGLKQILSVRITAVLPHILPKLVHLPLTAFNAHALGAVAEVAGPGLNSHLGTVIPALLSAMGADEKEVQTLAREAAETVVLVIDEEGVESLISELVRAVSDSQASIRRSSSYLIGYFFKNSKLYLVDEAPNMISTLIVLLSDSDSATVAISWEALSRVVSSVPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPILIPGFCLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQALKEFVIPITGPLIRIIGDRFPWQVKSAILSTLAIMIRKGGMALKPFLPQLQTTFVKCLQDNTRIVRSSAALALGKLSALSTRVDPLVGDLLSSLQASDSGVREASLSALEGVLKHAGKSLSSAVRTRVYLHLKDLIRNDDDQVRISAASILGITSQYVEDDQLSELLQELSNLPLSLSWSARHGSILTISSMLRHNPSVICTSPEFPSILDQLKSALTDEKFPLRETSTKAFGRLLIHKFRSDPSNSSVHSDIISSLVSALHDDSSEVRRKALSAIKAGSKENSSAILAHMNIIGPALAECLKDGSTPVRLAAERCALHAFQLTKGPENVQAAQKFITGLDARRISKIPENSDDSDSEDLASG >ONI05052 pep chromosome:Prunus_persica_NCBIv2:G6:30072635:30088744:-1 gene:PRUPE_6G353600 transcript:ONI05052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTESLITIAGSVSTPSTRKRVRIFRDEISSILNNSEMGTEPALLLVDIIFKTLYIYDDRGSRKAVDDIITKGLQEVAFMKSFAAALVQVMERQVRVQSHVGCYRLLQWSCLLFSKSNFATVSKNALCKVATVQASLIHIVMQRSFRERRACKKTFCHLFSQSPDIYKMYIEELKDARIPYKDSPELIWLLMEFSSTSSKLSSLFEQCKPMFLDIYLKAILNAREKPAKGLSEAFHPLFRHMLHEDFQNIVLPSAVKMLKRNPEIVLESVGILLNSVNLDLSKYAIEILSVALPQARHADEGRRVGALAIIRCLSQKSSNPDALEAMFNAVKSVIGGSEGRLTFPYQRIGMINALQEMCNAPDGKHLNSLSQTLCSFLLSCYKDEGNEEVKLAILSALGLWAARSADAIQSDVVLFFSSGLKEKEALRRGHLRCLRAICKNTDAVFRISSLLEPLIQLVKTGFTKAAQRLDGIYALLLVVKIAAVDIKAEETVVKDKIWSLISQNEPSLVPISMASKMLTEDCMACVDLLEVMLVEHLQRVLDSFSLRLLSQLIIFFICHPCWEVRRMTYDATRRIVPAAPQLTEYLLVEFTNFMSVVAEKLRISNSSETDNSLDTQVPFLPSVEVSVKALVVISSAALPAAPRASMRVLFCAHHPYIVGTAKRDAVWRRVQKCLHTCGFDVISNILADVENLCKTLLGPMWLSSSNSFEQQAAISSLSTLMSIAPGETYAEFEKHLKSLPYRYSHDTLSENDVQIFHTPEGLLSSEQGVYIAETVAAKNMKQAKGRFRMYEDATDHGGSNHSAKVEPANGSTGKRETGKSAKKPDKGRTAKEEARELQLREESSIREKVQEIQKNLSSILKALGEMAIANPIFAHSQLPSLVNYVDPLLRSPIVSDVAFETVVKLARCTAPPLCNWALDIATALRLVVTEEVRLVGDMIPSVGEAEANEKPYLSLFERIINGLSVSCKSGPLPVDSFTFVFPIMERILLCSKKTGLHDDVLRILYLHMDPLLPLPRLQMISVLYHVLGVVPAYQASVGPALNELCLGLRPDEVAPALYGVYAKDVHVRMACLSAVKCIPAVASHSLPQNVEVATSIWVALHDPEKSVAEAAEDLWDRYGYDFGTDYSGLFKALSHINYNVRFAAAEALAAALDECPDTIQESLSTLFSVYIRDAGLTEDNVDAGWLGRQGVALALHSSADVLRTKDLPVVMTFLISRALADPNADVRGRMITAGIMIIDKHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHTVVEKLLDVLNTPSEAVQRAVSACLSPLMQSKQDDGPALVSRLLDKLMKSDKYGERRGAAFGLAGVVKGFGISCLKKYGIVTLLQEGLVDRSSAKCREGALLGFECLCESLGRLFEPYVIQMLPLLLVSFSDQVVAVREGAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEIASLVPTLLLGLTDPNDYTKYSLDILLQTTFINTIDAPSLALLVPIVHRGLRERSAETKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARALGSLIRGMGEDHFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGTEYFEHVLPDVIRNCSHQKASVRDGYLTLFKYLPRSLGVQFQNYLQQVLPSILDGLADENESVREAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDSWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGREKRDEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMNTLIASLASSSSERRQVAGRSLGELVRKLGERVLPLIIPILSQGLKDSDTSRRQLVPHLGCCSTSAHVVAV >ONI03749 pep chromosome:Prunus_persica_NCBIv2:G6:26188696:26190513:1 gene:PRUPE_6G279800 transcript:ONI03749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGANFMNRVVSYLVNEVLVNSLANSRAFQRFAVRTSKRIEDISSIAAKKKEQLAEQMKDLSRNMDSFKDR >ONI03751 pep chromosome:Prunus_persica_NCBIv2:G6:26188454:26190513:1 gene:PRUPE_6G279800 transcript:ONI03751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGANFMNRVVSYLVNEVLVNSLANSRAFQRFAVRTSKRIEDISSIAAKKKEQLAEQMKDLSRNMDSFKDR >ONI03750 pep chromosome:Prunus_persica_NCBIv2:G6:26188684:26190513:1 gene:PRUPE_6G279800 transcript:ONI03750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGANFMNRVVSYLVNEVLVNSLANSRAFQRFAVRTSKRIEDISSIAAKKKEQLAEQMKDLSRNMDSFKDR >ONI02526 pep chromosome:Prunus_persica_NCBIv2:G6:21188646:21191110:1 gene:PRUPE_6G204100 transcript:ONI02526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRRRSQLNHLKYLTSTAQSCFDASFKNTRLTEASQFYSSLVQQCLHTRSLLDVGIVQTHMIKFGYIHLHIGNKLIDASLKCGSISYARKLFDELPNRHIVMWNSMISSYISHKKSKEGIGLYERMVLDGVYPDEYTFSCVFKAFSNLGLINEGRRAHGLSVVLGLEVSNVFVGSALVDMYAKFGRMKDARLVSNRVVDKDVVLFTALIVGYSQQGDNGEALEVFGSMINQGIKANAYTFASILITCGNLEDLTNGKLVHGLVIKSGYEPAVASQTSLLTMYARCGLIDDSLRIFKRFPNPNHVTWTSLIVGLVRNGREELALKKFRKMICKSIVPNSFTLSSALQACSNLAMIDEGRQIHAMVTKFGLDRDVYAGAALVNLYGKCGSTEMARSVFDALIDIDVVSMNSMIYSYAQNGFGHEALELFNSMKELGLEPNEVTILSVLLACNNSGLVHEGCQIFATITNNQTIELTRDHYACMVDLLGRSGRLEEAEALVKQVRNPDVVLWRTLLSACKLHGEVEMAERAVNKVLELAPGDEGSHILLTNVYASTGNWSQVIGIKSTMRDLKFKKNPAMSWVDVDREVHTFMAGDLSHRRSREINETLEKLIEKVKLLGYVPDTRFVLQDLDEELKKRSLYYHSEKLAISFALLVSSNKNTIIRIFKNLRVCGDCHSWIKFVTKVSSREIIARDAKRFHHFKDGLCSCGDYW >ONI02527 pep chromosome:Prunus_persica_NCBIv2:G6:21188646:21191110:1 gene:PRUPE_6G204100 transcript:ONI02527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRRRSQLNHLKYLTSTAQSCFDASFKNTRLTEASQFYSSLVQQCLHTRSLLDVGIVQTHMIKFGYIHLHIGNKLIDASLKCGSISYARKLFDELPNRHIVMWNSMISSYISHKKSKEGIGLYERMVLDGVYPDEYTFSCVFKAFSNLGLINEGRRAHGLSVVLGLEVSNVFVGSALVDMYAKFGRMKDARLVSNRVVDKDVVLFTALIVGYSQQGDNGEALEVFGSMINQGIKANAYTFASILITCGNLEDLTNGKLVHGLVIKSGYEPAVASQTSLLTMYARCGLIDDSLRIFKRFPNPNHVTWTSLIVGLVRNGREELALKKFRKMICKSIVPNSFTLSSALQACSNLAMIDEGRQIHAMVTKFGLDRDVYAGAALVNLYGKCGSTEMARSVFDALIDIDVVSMNSMIYSYAQNGFGHEALELFNSMKELGLEPNEVTILSVLLACNNSGLVHEGCQIFATITNNQTIELTRDHYACMVDLLGRSGRLEEAEALVKQVRNPDVVLWRTLLSACKLHGEVEMAERAVNKVLELAPGDEGSHILLTNVYASTGFG >ONI03802 pep chromosome:Prunus_persica_NCBIv2:G6:26373264:26374537:-1 gene:PRUPE_6G283200 transcript:ONI03802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLHIAGSQISNSTMASAHLFFTSLIACSIFLTTFSSDAVENGRFGVSRIEAQKVTLSVYYETLCPFCATFIVKNLAQIFDNNLITILNLRLVPWGKASTNSSKNSTVCQHGPDECRLNSVEACAINVLHDVNKYFALIYCIEFLAIEGRQKEWQTCFSSLGLPSKPILDCYKSGNGTKIEQKYANETMHLNPPLKFLPWLVLNNQPIGNP >ONI03801 pep chromosome:Prunus_persica_NCBIv2:G6:26372837:26374650:-1 gene:PRUPE_6G283200 transcript:ONI03801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLHIAGSQISNSTMASAHLFFTSLIACSIFLTTFSSDAVENGRFGVSRIEAQKVTLSVYYETLCPFCATFIVKNLAQIFDNNLITILNLRLVPWGKASTNSSKNSTVCQHGPDECRLNSVEACAINVLHDVNKYFALIYCIEFLAIEGRQKEWQTCFSSLGLPSKPILDCYKSGNGTKIEQKYANETMHLNPPLKFLPWLVLNNQPIGNDYENFAAYVCKAYKGNKVPLACQSVHLKQKTE >ONI01247 pep chromosome:Prunus_persica_NCBIv2:G6:10064570:10066884:1 gene:PRUPE_6G129700 transcript:ONI01247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDTLREGISTIMAASKEKNRKFTETIELQIGLKNYDPQKDKRFSGSVRLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEGLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKENFQPWLLTKNLSSLRLLRQKQPLSSN >ONI01246 pep chromosome:Prunus_persica_NCBIv2:G6:10064456:10067410:1 gene:PRUPE_6G129700 transcript:ONI01246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDTLREGISTIMAASKEKNRKFTETIELQIGLKNYDPQKDKRFSGSVRLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEGLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVAETKATIKFQLKKVLCMGVAVGNASMEEKQIFQNVQLSVNFLVSLLKKNWQNVKCLNLKTTMGKPYRIY >ONI05207 pep chromosome:Prunus_persica_NCBIv2:G6:30495849:30500723:-1 gene:PRUPE_6G362200 transcript:ONI05207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFFILSITVCMHMLFMSLVIVEAAPESSLITQLPGFNGTFPSKHYSGYISIDGKNLFYYLVVSERSPANDPVVLWLNGGPGCSSFDGFVYEHGPFNFAQGPPKGGLPVLHLNPYSWSKVSNIIYLDSPAGVGFSYSQDESKYQTGDLQTASDTHAFLLKWFEQFPEFLSNPFYIAGESYAGVYVPTLASQVAKGIYNGTKPTLNLKGYLVGNGVTDGKFDGNALVPFAHGMALISDQIFEQVTAACGGDFHNPPNRTQCGKKFRLVYEAIDGLNIYDILEPCYHGPGTNSNNNQNNGKGNTSLPLSFQQLGTTTEKPLGVRKRMFGRAWPFRAPVEDGIVPLWPQLSNSLGVPCFSDEVATKWLNNPAVREAIHAEPESVTGPWELCTGRISYSHDAGSMIPYHRNLTTQGYRALIYSGDHDMCVPYTGSQAWTRSLGYQIVDEWRSWQSNEQVAGYLQAYQNNLTFLTVKGAGHTVPEYKPREALDFYTRWLEGKPI >ONI01960 pep chromosome:Prunus_persica_NCBIv2:G6:17414152:17418419:-1 gene:PRUPE_6G169000 transcript:ONI01960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAACSLQPNTELPHTKILPHTLCCKSIKPSFLNSHYIQKPLTLPFKFLSTRSSSNSNLRRLSSHSTSLTLPFCKLSHSYSAFQPNKNLSRFFIEKIVGFVIGSVFFVGCFNVRAAVALPAQTSSSSANFEEKRETQKGKSEDEEMYEKILEEDPTNVGALKVVLYGAMRRGNTKQAVNYVEKLIDVEPDEVEWRLLMALCYEIMGQLSTAKRLFQEILEERPLLLRALHGLALVMHKNHEGPAVFVMLNKALEIAQREKRVIEERNIRILIAQMHVVKGELEEGLNKFQDLVKADPRDFRPYLCQSSGSKEGSCRTV >ONI01959 pep chromosome:Prunus_persica_NCBIv2:G6:17414887:17418250:-1 gene:PRUPE_6G169000 transcript:ONI01959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAACSLQPNTELPHTKILPHTLCCKSIKPSFLNSHYIQKPLTLPFKFLSTRSSSNSNLRRLSSHSTSLTLPFCKLSHSYSAFQPNKNLSRFFIEKIVGFVIGSVFFVGCFNVRAAVALPAQTSSSSANFEEKRETQKGKSEDEEMYEKILEEDPTNVGALKVVLYGAMRRGNTKQAVNYVEKLIDVEPDEVEWRLLMALCYEIMGQLSTAKRLFQEILEERPLLLRALHGLALVMHKNHEGPAVFVMLNKALEIAQREKRVIEERNIRILIAQMHVVKGELEEGLNKFQDLVKADPRDFRPYLCQGIIYSLLDQKKEAAEQFETYRALAPEEFPQRGFLDDVVLAAKTKSGKQFQNEFDAEFSNRK >ONH99242 pep chromosome:Prunus_persica_NCBIv2:G6:1597457:1607825:-1 gene:PRUPE_6G020100 transcript:ONH99242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLRSLLLCVLLLLLGFALANTSASKTDRPIVCATLNRTDFDSLVPGFTFGTATASYQLEGAAKLDGRGPSIWDTFTHNHPEKIDDHKNGDVAIDQYHRYKEDVAIMKDMGLDAYRFSISWSRILPNGTVSGGINKKGIEYYNNLTNELLRNGIEPLVTLFHWDVPQALEEEYGGFLSTHIIDHFKEYAELCFKEFGDRVKHWTTLNEPYTISNHGYTIGIHAPGRCSAWYDTNCLGGDSATEPYMVTHHLLLAHAAVVKLYKEKYQAFQKGVIGITVVSHWFEPASKTRKDIDAAFRALDFMYGWFLDPLTRGDYPHSMQSIVGDRLPKFTKQESKLLSGSFDYIGINYYSARYASDVSTNYFVPPNYLTDLRVNVTTELNGVPIGQRAASDWLYIYPKGLYDLILYTKKKYNDPVIYITENGMDEFNNPELPLEKALDDSNRIDYYYRHLCYLQAAIKKGAKVKGYFAWSLLDNFEWNKGYTVRFGINYVEYDNGLKRHPKRSTHWFKNFLKKSSSSTKKIRVCGDDNAKATNVCIKFES >ONI01188 pep chromosome:Prunus_persica_NCBIv2:G6:9743561:9746593:1 gene:PRUPE_6G126600 transcript:ONI01188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSILGYLQNKTILVTGATGFLGMVFVEKILRVQPDVKKIYLLLRASDTKSATDRMHNQIIGKELFRVLREKWGSEFDSFIAKKVVAVPGDVTFDDLGVKEFKLREEMWTDIEIILNSAGTTNFDERYDIALSVNTFGVQHVLSFAKKCLKLEILLHVSTAYICGEREGLILEDSSCMEEMEKEITTFDFKVQEKNLVEEKLNELKAQNATEEVITTTMKDFGIERAKLHGWPNTYVFTKAMGEILLRHSKDNLSVVIIRPTVVTSTYKEPFPGWVQGFRTIDSVIAGYCKGKLTCLLVDPKSVFDMVPVDMVVNSITVAMVANANKSSSIIYHVGSSLRNPINFLTIHCFVFRYFTKNPWIDKDGKPVKVGKGKIFKTMATFRMYMQIRFMLPLEGLKFVNKAFGEYFQDLYVNYNQKLKLVMRLVELYEPYMLFKGIFDDNNAEDLRRITRESFVESETFNFDPKCIDWEDYIMHTHIPGLQKHVMLKR >ONI02770 pep chromosome:Prunus_persica_NCBIv2:G6:22647879:22651992:-1 gene:PRUPE_6G220900 transcript:ONI02770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQHSKDRMFITKTEWATEWGGAKAKSTSTPFKRLPFYCCALTFTPFEDPVCTADGSVFDILNIIPYIRKYGKHPVTGTPLKQEELIPLTFHKNADGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAVKELNIKTKNWKELLTDEPFSRQDLITIQNPNALDSKVLVEFDHVKNSLKIDDEEFKRMSSDPTYNINVTGDIKQMLKELGTEKGRQTALHGGGGSKAQNERAAALEAILAARSRIKEDPKSNSNGEAPQAYSIVDAASASVHGRSAAAAKATSSDKTAARIAMHKAGERAPVNAKMVKSKFTTGAASRSFTSTAFDPVTENEFEYVKVEKNPKKKGYVQLHTTHGDLNIELHCDITPRACENFITLCERGYYNGVAFHRSIRNFMIQGGDPTGTGKGGESIWGKPFNDELNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLATMEKVPVDDNDKPLEEIKITGVTIFVNPYTEPDEEEEEAKAEEKAEDEDKDKIGSWYSNPVTGTVESGSAGGGGVGKYLKARSALPESAAVNAGSTELASTKKRKLGVSATNYNNFSSW >ONH99146 pep chromosome:Prunus_persica_NCBIv2:G6:978660:1009179:-1 gene:PRUPE_6G014200 transcript:ONH99146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVEERWEHLVRAVLSRERMGADAYGRHATGIAGNVPSSLANNRDIDEILRAADEIQDEDPNISRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGGTIDRSQDIARLQEFYKLYRQKNNVEKLREEEMKLRESGAFSGNLGELEKKTVKRKRVFATLRVLGTVLGQLTEEIPEELKRVMESDAAMTEDLIAYNIIPLDAPSITNVIVSLPEVQAAVSALKYFRGLPNLPTDFSIPATRDPDMLDFLHYIFGFQKDNVSNQREHIVHLLANEQSRLRIPEETEPKLDEAAVRNVFLKSLENYIKWCDYLCIQPIWSNLESVSTEKKLLYISVYFLVWGEAANVRFLPECLCYIFHHMAREMDEILRQQIAQPANSCSSENGVSFLDQVIYPLYEVVAAEAANNDNGRAPHSAWRNYDDFNEYFWSLHCFELSWPWRKGSSFFQKPIRGSKNILKSGRSQHRGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLTIIAFNNGQLNAKCIREVLSLGPTFVVMKFLESVLDILMMYGAYSTTRSLAVSRIFLRFLWFSTASVVISFLYVKALQEESKRNGNQVIFRLYQIVIGVYAGIQFFISVFMRIPACHSLTNQCDRWPLIRFVKWMRQERHYVGRGMYERTTDFIKYMLFWLVILSGKFAFAYFLQIKPLVKPTKTIVTLGPIRYSWHDFVSKNNHNALTVASLWAPVICIYLLDLHVFYTLISGVWGFLLGARDRLGEIRSLEALHQLFEQFPRAFMGTLHVPLPNRTSGQASSEVMEKNKVDAGRFSPFWNEIIRNLREEDYITNLEMELLAMPKNSGKLPMVQWPLFLLSSKIFVAKDIAVESRDSQDELLERISRDDYMKYAVQECFLTLKLILSEILDGEGSMWVEQVYKDIHESIAKKSIHVDFQLNKLPLVISRVTALMGILKGGGTSELEKGAVKAVQDLYDVVHHDVLSVNMRGNYETWKLLSNARTEGRLFAKLKWPKDPELRAQVKRLHSLLTIKDSAANIPKNLEARSRLEFFTNSLFMEMPEPKPVREMLSFSVFTPYYAEIVLYSMAELQKKNEDGISILFYLQKIYPDEWKNFLARIGRDENALDSELFDNATDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERMNSAVSDVEAAISSNDTADTRAFELSPEARAQADLKFTYVVTCQIYGKQKEGQKPEAADIALLMQRNEALRVAFIDEVETLKDAKVHKEFYSKLVKADINGKDKEIYSIKLPGNPKLGEGKPENQNHAIVFTRGSAIQTIDMNQDNYFEEALKMRNLLEEFHCDHGMRNATILGVREHVFTGSVSSLASFMSNQETSFVTLAQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIFAGFNSTLRQGNVTHHEYIQVGKGRDVGLNQIAVFEGKVAGGNGEQVLSRDVYRLGQQFDFFRMLSFYFTTVGYYFCTMLTVLMVFIFLYGKAYLALSGVEGELQDRALVTKNTALTAALNTQFLIQIGIFTAVPMILGCILEQGFLRAIVSFLTMQLQLCAVFFTFSLGTKTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVVLLLVVYLAYGYNDGGALAYVLLTVTSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLLYRGGIGVKGEESWEAWWEEELAHIRTFGGRIAETILSLRFFIFQYGIVYKLHVKGDDTSLTVYGVSWAVLAVLIVLFKVFTFSQKISVNFQLLLRFIQGVSFLLALAGLAVAVKLSDLSVADVFASILAFIPTGWGILSIAIAWKPLMKKLGLWKSIRSIALLYDAGMGMLIFIPIALSSWFPFVSTFQTRLMFNQAFSRGLEISLVLAGNNPNTGV >ONH99145 pep chromosome:Prunus_persica_NCBIv2:G6:978278:1010301:-1 gene:PRUPE_6G014200 transcript:ONH99145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVEERWEHLVRAVLSRERMGADAYGRHATGIAGNVPSSLANNRDIDEILRAADEIQDEDPNISRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGGTIDRSQDIARLQEFYKLYRQKNNVEKLREEEMKLRESGAFSGNLGELEKKTVKRKRVFATLRVLGTVLGQLTEEIPEELKRVMESDAAMTEDLIAYNIIPLDAPSITNVIVSLPEVQAAVSALKYFRGLPNLPTDFSIPATRDPDMLDFLHYIFGFQKDNVSNQREHIVHLLANEQSRLRIPEETEPKLDEAAVRNVFLKSLENYIKWCDYLCIQPIWSNLESVSTEKKLLYISVYFLVWGEAANVRFLPECLCYIFHHMAREMDEILRQQIAQPANSCSSENGVSFLDQVIYPLYEVVAAEAANNDNGRAPHSAWRNYDDFNEYFWSLHCFELSWPWRKGSSFFQKPIRGSKNILKSGRSQHRGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLTIIAFNNGQLNAKCIREVLSLGPTFVVMKFLESVLDILMMYGAYSTTRSLAVSRIFLRFLWFSTASVVISFLYVKALQEESKRNGNQVIFRLYQIVIGVYAGIQFFISVFMRIPACHSLTNQCDRWPLIRFVKWMRQERHYVGRGMYERTTDFIKYMLFWLVILSGKFAFAYFLQIKPLVKPTKTIVTLGPIRYSWHDFVSKNNHNALTVASLWAPVICIYLLDLHVFYTLISGVWGFLLGARDRLGEIRSLEALHQLFEQFPRAFMGTLHVPLPNRTSGQASSEVMEKNKVDAGRFSPFWNEIIRNLREEDYITNLEMELLAMPKNSGKLPMVQWPLFLLSSKIFVAKDIAVESRDSQDELLERISRDDYMKYAVQECFLTLKLILSEILDGEGSMWVEQVYKDIHESIAKKSIHVDFQLNKLPLVISRVTALMGILKGGGTSELEKGAVKAVQDLYDVVHHDVLSVNMRGNYETWKLLSNARTEGRLFAKLKWPKDPELRAQVKRLHSLLTIKDSAANIPKNLEARSRLEFFTNSLFMEMPEPKPVREMLSFSVFTPYYAEIVLYSMAELQKKNEDGISILFYLQKIYPDEWKNFLARIGRDENALDSELFDNATDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERMNSADVEAAISSNDTADTRAFELSPEARAQADLKFTYVVTCQIYGKQKEGQKPEAADIALLMQRNEALRVAFIDEVETLKDAKVHKEFYSKLVKADINGKDKEIYSIKLPGNPKLGEGKPENQNHAIVFTRGSAIQTIDMNQDNYFEEALKMRNLLEEFHCDHGMRNATILGVREHVFTGSVSSLASFMSNQETSFVTLAQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIFAGFNSTLRQGNVTHHEYIQVGKGRDVGLNQIAVFEGKVAGGNGEQVLSRDVYRLGQQFDFFRMLSFYFTTVGYYFCTMLTVLMVFIFLYGKAYLALSGVEGELQDRALVTKNTALTAALNTQFLIQIGIFTAVPMILGCILEQGFLRAIVSFLTMQLQLCAVFFTFSLGTKTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVVLLLVVYLAYGYNDGGALAYVLLTVTSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLLYRGGIGVKGEESWEAWWEEELAHIRTFGGRIAETILSLRFFIFQYGIVYKLHVKGDDTSLTVYGVSWAVLAVLIVLFKVFTFSQKISVNFQLLLRFIQGVSFLLALAGLAVAVKLSDLSVADVFASILAFIPTGWGILSIAIAWKPLMKKLGLWKSIRSIALLYDAGMGMLIFIPIALSSWFPFVSTFQTRLMFNQAFSRGLEISLVLAGNNPNTGV >ONH99400 pep chromosome:Prunus_persica_NCBIv2:G6:2169601:2175640:-1 gene:PRUPE_6G027900 transcript:ONH99400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEVPTPDSISPTRHANGVDWQEEVYQEHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99410 pep chromosome:Prunus_persica_NCBIv2:G6:2169912:2175640:-1 gene:PRUPE_6G027900 transcript:ONH99410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEVPTPDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQLF >ONH99408 pep chromosome:Prunus_persica_NCBIv2:G6:2169154:2175772:-1 gene:PRUPE_6G027900 transcript:ONH99408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQLF >ONH99395 pep chromosome:Prunus_persica_NCBIv2:G6:2169601:2175640:-1 gene:PRUPE_6G027900 transcript:ONH99395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEVPTPDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99399 pep chromosome:Prunus_persica_NCBIv2:G6:2169154:2175787:-1 gene:PRUPE_6G027900 transcript:ONH99399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99397 pep chromosome:Prunus_persica_NCBIv2:G6:2169154:2175706:-1 gene:PRUPE_6G027900 transcript:ONH99397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99404 pep chromosome:Prunus_persica_NCBIv2:G6:2169154:2175772:-1 gene:PRUPE_6G027900 transcript:ONH99404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEGSLLTELVPTFLDQSSEDNIDLKKRSSEDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQLF >ONH99407 pep chromosome:Prunus_persica_NCBIv2:G6:2169153:2175925:-1 gene:PRUPE_6G027900 transcript:ONH99407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQLF >ONH99394 pep chromosome:Prunus_persica_NCBIv2:G6:2169154:2175925:-1 gene:PRUPE_6G027900 transcript:ONH99394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99411 pep chromosome:Prunus_persica_NCBIv2:G6:2169912:2175640:-1 gene:PRUPE_6G027900 transcript:ONH99411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEVPTPDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQLF >ONH99391 pep chromosome:Prunus_persica_NCBIv2:G6:2169154:2175925:-1 gene:PRUPE_6G027900 transcript:ONH99391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEGSLLTELVPTFLDQSSEDNIDLKKRSSEDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99406 pep chromosome:Prunus_persica_NCBIv2:G6:2169153:2175925:-1 gene:PRUPE_6G027900 transcript:ONH99406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEDSISPTRHANGVDWQEEVYQEHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQLF >ONH99405 pep chromosome:Prunus_persica_NCBIv2:G6:2169154:2175772:-1 gene:PRUPE_6G027900 transcript:ONH99405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEGSLLTELVPTFLDQSSEDNIDLKKRSSEVPTPDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQLF >ONH99402 pep chromosome:Prunus_persica_NCBIv2:G6:2169601:2175640:-1 gene:PRUPE_6G027900 transcript:ONH99402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEVPTPDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99403 pep chromosome:Prunus_persica_NCBIv2:G6:2169601:2175640:-1 gene:PRUPE_6G027900 transcript:ONH99403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEVPTPDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99396 pep chromosome:Prunus_persica_NCBIv2:G6:2169601:2175640:-1 gene:PRUPE_6G027900 transcript:ONH99396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEDSISPTRHANGVDWQEEVYQEHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99409 pep chromosome:Prunus_persica_NCBIv2:G6:2169154:2175925:-1 gene:PRUPE_6G027900 transcript:ONH99409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEVPTPDSISPTRHANGVDWQEEVYQEHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQLF >ONH99392 pep chromosome:Prunus_persica_NCBIv2:G6:2169601:2175640:-1 gene:PRUPE_6G027900 transcript:ONH99392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEGSLLTELVPTFLDQSSEDNIDLKKRSSEVPTPDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99401 pep chromosome:Prunus_persica_NCBIv2:G6:2169601:2175640:-1 gene:PRUPE_6G027900 transcript:ONH99401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEVPTPDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99393 pep chromosome:Prunus_persica_NCBIv2:G6:2169601:2175640:-1 gene:PRUPE_6G027900 transcript:ONH99393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEGSLLTELVPTFLDQSSEDNIDLKKRSSEVPTPDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99390 pep chromosome:Prunus_persica_NCBIv2:G6:2169601:2175640:-1 gene:PRUPE_6G027900 transcript:ONH99390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEGSLLTELVPTFLDQSSEDNIDLKKRSSEDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONH99398 pep chromosome:Prunus_persica_NCBIv2:G6:2169154:2175925:-1 gene:PRUPE_6G027900 transcript:ONH99398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNEKPRPPQGGSSEPSMDTDKMYADDNESPSEDEDGEPSMSPSEDEDGEPSMSPSEDEDGEPSMSPSGFGLPLMSPSGDGDPSMGTGDWRNQLQPDTRQKIVNKIMETLKRHLPFSSQEGLQELKKIAVRFEEKIYAAATSQSDYLRKISLKMLTMEIKSQNTMANSLQSYSDESFLTELVPIFLDQSSEGNIDRKKESSEVSFFTELGPIFLHQSSEGNIEHKKKSSEGSLLTELVPTFLDQSSEDNIDLKKRSSEDSISPTRHANGVDWQEEVYQEIEVMKEMYLPELSEMYQRFASYLLQHNSLPQPPKSKQLDKLNFFKAMLERLISVLQISKSSISPGLKDKLVLYEKQIVNFININGRRKPVSSLHQGQLPPSRMHSMQLSQSHITRVQSHENQINPQMLLMNLQGSAATLQQNNMARLQQSSMSSLSGTAQQNMMYWLQPSSNMFWLHPSYNLDSGQGNTLNSLQQFALGSAPQTPVSASQQANVNALSSQSGVSILQNISSEWTFLTASFVLEILSAACEQLSSRNKPLYAQGGLLFAIAAFLICIWDLVDKVIKDRIVLRRREMLRILHYPSPRNTPCFNLADYYGLAGAVYQLFSATFEWFHVRKGVDNPIKFSIMPLVFLVLLACSRARVERCTIDETLEDIV >ONI03097 pep chromosome:Prunus_persica_NCBIv2:G6:23812658:23817344:-1 gene:PRUPE_6G238400 transcript:ONI03097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWSCSFAMDMVAWRGLMYTGFILHFVFVCQLLLLQPLVSALDGKPGNAAELFERVSQSIKVKRYSEALNDLNAAIEADPTLSEAYYHRASVLRHICRYEDSEKSYKKFLELKPRESVAEKELSQLIQSQNALETALTLSESGDYAKSLEYVEKVVLVFSPACSKAKLLKVRLLLATKDHSGVIAEAGYILKEDEDNLEALLLRGRAYYYLADHDVAQRHYQKGLRLDPEHVELKKAYFGLKNLLKKTKSAEDNVNKGKLRLAVEEYKAALALDPNHLAHNVHLHLGLCKALVKLGRGKDALSSCNEALKIDEELLEALVQRGEAKLLTEDWEGAVEDLKSAAQRSPQDMNIREALMKAEKALKMSKRKDWYKILGVSKTASISEIKKAYKKLALQWHPDKNVENRQEAEEKFREIAAAYEVLGDEDKRTKYDRGEDIEDMGMGGGGGGFNPFGGGSQQFTFTFEGGFPGGGGGGFGGGFPGGFDFQF >ONI02386 pep chromosome:Prunus_persica_NCBIv2:G6:20177042:20181483:-1 gene:PRUPE_6G194700 transcript:ONI02386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGVIDIYNASSLRLTNISISRRPSCGTEGNKRTVVAMASTTPVQKKVSEVQQRLTGDSFIRPHLRNLSPYQSILPFEVLSAQLGRKPEDIIKLDANENPYGPPPEVFEALGALKFPYIYPDPESRRLRAALAKDSGLESDYILVGCGADELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAAVNGASVIKVPRKSDFSLDVDVISEVVVQEKPKCIFLTSPNNPDGSIISDEILLKVLELPVLVVLDEAYIEFSGLESRMQWVKKHENLIVLRTFSKRAGLAGLRVGYGAFPLTIIEYLWRAKQPYNVSVTAEVSACAALQNPTYLETVKDALLQERERLYNLLKELPFLNPYPSYSNFILCEVTSGVDAKKLKEDLAKMGVMIRHYNNKELRGYVRISVGKPEHTDTLIDCLRRLS >ONH99792 pep chromosome:Prunus_persica_NCBIv2:G6:3570279:3572650:1 gene:PRUPE_6G050900 transcript:ONH99792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLSPSSSSVTPQKSSFGGPALARPSKSNNFLNVGGRKNGNVSSGRLVIFAKNEANNRATMEAVFEPFEEVKKELLLVPTAPHASLARQKYSDQSEAAINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFQESSEEERQHAEMLMDYQNKRGGKVKLQSMLMPFSEFDHAEKGEALHAMELALALEKLNNEKLLKLHRIANENNDVHLADYVESEFLTEQVEAIKKISEYVAQLRRIGAGHGVWHFDQMLLNDMA >ONI00951 pep chromosome:Prunus_persica_NCBIv2:G6:8165030:8168456:-1 gene:PRUPE_6G113200 transcript:ONI00951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASTLSWSSSSLLQSFAANTNEACKLSDRRTGLVVFAQKKAKKARTIILKEDIADLGKKGELRSVKAGYYRNYLLPLGKAQLVTPVLLKEMKMEDERIEAEKIRVKEEAQQLAIIFETVGGFKVKRKGGKGKLIFGSVTPQDLVDIIKAQLNRDVDKRIVSLPDIREIGEYIAELKLHPEVTAKVRVIVSAN >ONI02533 pep chromosome:Prunus_persica_NCBIv2:G6:21277274:21279336:1 gene:PRUPE_6G204600 transcript:ONI02533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPRLSYLNHLKYLTSTAQSCFDASFKNTSLTEASQFYSSLIQQCNKLIDPSLKCGSINYGRKLFDELPNRHVFMWNSMISSYISHKKSKEGIGLYERMVLDGVYLDEYTFSCVFKAFSNLGLINEGRRGHGLSVVLGLEVSNAFVRSGLVDMYAKFGRMKDARLLSNRAVDKDVVLFMSLIVGYSQHGDDDLTNGKLVHGLVIKSGYEPAVASQTSLLTMYARCGLIGDSLRIFKRFPNPNHVTWTSLIVGLVRNGREELALTKFRIMIRNSIIPNCLTLSRKQIHTMVTKFGLDRDIYAGAALVNLYGKCGSTEMASYAQNGFGHEAPELFNSMKELGLEPNEVTILSVLLACNNSGLVHEGCQIFATITNNQTTELEEAEALVKQVGNPDVVLWRTLLSACKLHGEVEMAERAVNKFKKNPAMSWVDVDREVHTFMAGDLSHPRSREINETLEKLIGKVKLLGYVPDTRFVLQDMDEELKKRSLYYHSEKLAISFALLMSSNKNTIIRIFKNLRVCGDCHSWIKFVTKVSGREIIARDAKRFHHFKDGLCSCGDYW >ONI02329 pep chromosome:Prunus_persica_NCBIv2:G6:19853762:19854929:1 gene:PRUPE_6G191300 transcript:ONI02329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRKIWFRPRIVRKTNVSHLKKKKKNHTRPLKFKNSQCGLFSFAIDASFSPLLVDYDSLNAIQLLLKEETCYAAEWVLVEEIRRLLVSTPSYSIRFAPRTTNGVADRLGLPTHHLGLKIVCVRIILIFLTFDSLVISDICGTFFSDILSLWVFLREVLTRPSYNDLIIY >ONH99918 pep chromosome:Prunus_persica_NCBIv2:G6:4028939:4030266:-1 gene:PRUPE_6G057600 transcript:ONH99918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLAPEKRHSFVHNGQKVFEWDQTLEEVNMYINLPQNVPSKQFFCKIQSKHVEVGIKGNPPYLNHDLTSPVKTDCSFWTLEDDIMHITLQKRDKGQTWASPIVGEGQLDPYSTDLEQKRLMLQRFQEENPGFDFSQAQFNGGCPDPRTFMGGIRSD >ONH99917 pep chromosome:Prunus_persica_NCBIv2:G6:4027226:4030366:-1 gene:PRUPE_6G057600 transcript:ONH99917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLAPEKRHSFVHNGQKVFEWDQTLEEVNMYINLPQNVPSKQFFCKIQSKHVEVGIKGNPPYLNHDLTSPVKTDCSFWTLEDDIMHITLQKRDKGQTWASPIVGEGQLDPYSTDLEQKRLMLQRFQEENPGFDFSQAQFNGGCPDPRTFMGGIRSD >ONI00787 pep chromosome:Prunus_persica_NCBIv2:G6:7440458:7448105:-1 gene:PRUPE_6G105100 transcript:ONI00787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSGFRPELHVAQQSRRDKLRVHQTSSPPHHLDSEKLPIHPGLNPDIVHVRNVRNANLLYDPTVFSSEMLNFSINTNALSGQGSGESENFGNWRSLNPPQSLDWVTNYTSGSVGSGSNNQNHMFGSRESNNNMSPSTPHLLKPSSFHGYQDVQSSLANQSAEISSHHVSQKHLGTMHFSSPPLNYLNTLQDVVTSASTGAQDQLEMASLVQQRIMENELVLLPSYVNQSNTLRFDNASSNSWMNRQPVENRHHWSSGGGGGMGFSTAKNVDEDMRNGMNNDSNQQGLSLSLSSNPPSNNKLPAAQFGSQDLHASSHDDHAFKDVQSPKTGKSSADYLCSIAKPSIISKACGKSLQDIVGTSTSACRSTGPLGPFTGYATILKSSKFLKPAQQLLDEFCRNSDSKLTKTREASERMSGDVSASASVSVSTDAANAVETEAVTKGNNSGASSSTFYGSNEITSDGGAASISSGSFGPEYQQKKAKLLYMQEEVSNWFINARVRVWKPMVEEIHMLETRGGSVEASQDPTKKDGNSLTEGTSSRPDTEHQLGINNMMHDRQLECSGDEEQQYQEIKRSRIECQVPSSMDGGLMGFVPYQRSGLEVGGLGAVSLTLGLRHGVESAQQQQQQQLQQQEDQLRRQLGSQMIRDFVG >ONI00790 pep chromosome:Prunus_persica_NCBIv2:G6:7441616:7448105:-1 gene:PRUPE_6G105100 transcript:ONI00790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSGFRPELHVAQQSRRDKLRVHQTSSPPHHLDSEKLPIHPGLNPDIVHVRNVRNANLLYDPTVFSSEMLNFSINTNALSGQGSGESENFGNWRSLNPPQSLDWVTNYTSGSVGSGSNNQNHMFGSRESNNNMSPSTPHLLKPSSFHGYQDVQSSLANQSAEISSHHVSQKHLGTMHFSSPPLNYLNTLQDVVTSASTGAQDQLEMASLVQQRIMENELVLLPSYVNQSNTLRFDNASSNSWMNRQPVENRHHWSSGGGGGMGFSTAKNVDEDMRNGMNNDSNQQGLSLSLSSNPPSNNKLPAAQFGSQDLHASSHDDHAFKDVQSPKTGKSSADYLCSIAKPSIISKACGKSLQDIVGTSTSACRSTGPLGPFTGYATILKSSKFLKPAQQLLDEFCRNSDSKLTKTREASERMSGDVSASASVSVSTDAANAVETEAVTKGNNSGASSSTFYGSNEITSDGGAASISSGSFGPEYQQKKAKLLYMQEEVCRRYKQYHQQMQMVVSSFESVAGLSSATPYISMALNTVSRHFRCLTNAIKDQLKHIRKALGEEYLSSAITTGTTGCSSSKGDKNLAKLKFMGLGFQKHNKSGGGAHLGFSEPQQHVWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQNHRNGAFSLH >ONI00791 pep chromosome:Prunus_persica_NCBIv2:G6:7441724:7446778:-1 gene:PRUPE_6G105100 transcript:ONI00791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSGFRPELHVAQQSRRDKLRVHQTSSPPHHLDSEKLPIHPGLNPDIVHVRNVRNANLLYDPTVFSSEMLNFSINTNALSGQGSGESENFGNWRSLNPPQSLDWVTNYTSGSVGSGSNNQNHMFGSRESNNNMSPSTPHLLKPSSFHGYQDVQSSLANQSAEISSHHVSQKHLGTMHFSSPPLNYLNTLQDVVTSASTGAQDQLEMASLVQQRIMENELVLLPSYVNQSNTLRFDNASSNSWMNRQPVENRHHWSSGGGGGMGFSTAKNVDEDMRNGMNNDSNQQGLSLSLSSNPPSNNKLPAAQFGSQDLHASSHDDHAFKDVQSPKTGKSSADYLCSIAKPSIISKACGKSLQDIVGTSTSACRSTGPLGPFTGYATILKSSKFLKPAQQLLDEFCRNSDSKLTKTREASERMSGDVSASASVSVSTDAANAVETEAVTKGNNSGASSSTFYGSNEITSDGGAASISSGSFGPEYQQKKAKLLYMQEEVCRRYKQYHQQMQMVVSSFESVAGLSSATPYISMALNTVSRHFRCLTNAIKDQLKHIRKALGEEYLSSAITTGTTGCSSSKGDKNLAKLKFMGLGFQKHNKSGGGAHLGFSEPQQHVWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVIFTESQKWGL >ONI00792 pep chromosome:Prunus_persica_NCBIv2:G6:7440488:7448105:-1 gene:PRUPE_6G105100 transcript:ONI00792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSGFRPELHVAQQSRRDKLRVHQTSSPPHHLDSEKLPIHPGLNPDIVHVRNVRNANLLYDPTVFSSEMLNFSINTNALSGQGSGESENFGNWRSLNPPQSLDWVTNYTSGSVGSGSNNQNHMFGSRESNNNMSPSTPHLLKPSSFHGYQDVQSSLANQSAEISSHHVSQKHLGTMHFSSPPLNYLNTLQDVVTSASTGAQDQLEMASLVQQRIMENELVLLPSYVNQSNTLRFDNASSNSWMNRQPVENRHHWSSGGGGGMGFSTAKNVDEDMRNGMNNDSNQQGLSLSLSSNPPSNNKLPAAQFGSQDLHASSHDDHAFKDVQSPKTGKSSADYLCSIAKPSIISKACGKSLQDIVGTSTSACRSTGPLGPFTGYATILKSSKFLKPAQQLLDEFCRNSDSKLTKTREASERMSGDVSASASVSVSTDAANAVETEAVTKGNNSGASSSTFYGSNEITSDGGAASISSGSFGPEYQQKKAKLLYMQEEKVQAISSTNANGGLFLRVGCRSKFCHTLHIHGSQYSVKTLQVSHECHQRPAQAHKESFGRGIFVISYNYWYYWV >ONI00789 pep chromosome:Prunus_persica_NCBIv2:G6:7440410:7448105:-1 gene:PRUPE_6G105100 transcript:ONI00789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSGFRPELHVAQQSRRDKLRVHQTSSPPHHLDSEKLPIHPGLNPDIVHVRNVRNANLLYDPTVFSSEMLNFSINTNALSGQGSGESENFGNWRSLNPPQSLDWVTNYTSGSVGSGSNNQNHMFGSRESNNNMSPSTPHLLKPSSFHGYQDVQSSLANQSAEISSHHVSQKHLGTMHFSSPPLNYLNTLQDVVTSASTGAQDQLEMASLVQQRIMENELVLLPSYVNQSNTLRFDNASSNSWMNRQPVENRHHWSSGGGGGMGFSTAKNVDEDMRNGMNNDSNQQGLSLSLSSNPPSNNKLPAAQFGSQDLHASSHDDHAFKDVQSPKTGKSSADYLCSIAKPSIISKACGKSLQDIVGTSTSACRSTGPLGPFTGYATILKSSKFLKPAQQLLDEFCRNSDSKLTKTREASERMSGDVSASASVSVSTDAANAVETEAVTKGNNSGASSSTFYGSNEITSDGGAASISSGSFGPEYQQKKAKLLYMQEEVCRRYKQYHQQMQMVVSSFESVAGLSSATPYISMALNTVSRHFRCLTNAIKDQLKHIRKALGEEYLSSAITTGTTGCSSSKGDKNLAKLKFMGLGFQKHNKSGGGAHLGFSEPQQHVWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETRGGSVEASQDPTKKDGNSLTEGTSSRPDTEHQLGINNMMHDRQLECSGDEEQQYQEIKRSRIECQVPSSMDGGLMGFVPYQRSGLEVGGLGAVSLTLGLRHGVESAQQQQQQQLQQQEDQLRRQLGSQMIRDFVG >ONI00788 pep chromosome:Prunus_persica_NCBIv2:G6:7440534:7448105:-1 gene:PRUPE_6G105100 transcript:ONI00788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSGFRPELHVAQQSRRDKLRVHQTSSPPHHLDSEKLPIHPGLNPDIVHVRNVRNANLLYDPTVFSSEMLNFSINTNALSGQGSGESENFGNWRSLNPPQSLDWVTNYTSGSVGSGSNNQNHMFGSRESNNNMSPSTPHLLKPSSFHGYQDVQSSLANQSAEISSHHVSQKHLGTMHFSSPPLNYLNTLQDVVTSASTGAQDQLEMASLVQQRIMENELVLLPSYVNQSNTLRFDNASSNSWMNRQPVENRHHWSSGGGGGMGFSTAKNVDEDMRNGMNNDSNQQGLSLSLSSNPPSNNKLPAAQFGSQDLHASSHDDHAFKDVQSPKTGKSSADYLCSIAKPSIISKACGKSLQDIVGTSTSACRSTGPLGPFTGYATILKSSKFLKPAQQLLDEFCRNSDSKLTKTREASERMSGDVSASASVSVSTDAANAVETEAVTKGNNSGASSSTFYGSNEITSDGGAASISSGSFGPEYQQKKAKLLYMQEEVCRRYKQYHQQMQMVVSSFESVAGLSSATPYISMALNTVSRHFRCLTNAIKDQLKHIRKALGEEYLSSAITTGTTGCSSSKGDKNLAKLKFMGLGFQKHNKSGGGAHLGFSEPQQHVWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETRGGSVEASQDPTKKDGNSLTEGTSSRPDTEHQLGINNMMHDRQLECSGDEEQQYQEIKRSRIECQVPSSMDGGLMGFVPYQRSGLEVGGLGAVSLTLGLRHGVESAQQQQQQQLQQQEDQLRRQLGSQMIRDFVG >ONI00262 pep chromosome:Prunus_persica_NCBIv2:G6:5386189:5390178:-1 gene:PRUPE_6G079100 transcript:ONI00262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCRQSDEHGLFRAVQFGELDTVEALLEREPTLLRHSTVYDRHSALHIAAANGQIEILSMLLERSVNPDALNRHKQTPLMLAAMHGKISCVEKLLEAGANVLMFDSLHGRTCLHYAAYYGHSDCLQAIISSAHSSPVAVSWGFSRFVNIRDGRGATPLHLAARRSRPECVHILLDNGALVCAATGGYCCPASTPLHLAARGGSLDCIRALLAWGADRIQRDSSGRIPYIVALKHKHGACAAMLNPSSAEPLVWPAPLKFISELNQEAKALLEQALMEANREREKNILKGTAYSLPSPSHSDVGMDDNLSVESDLDLCCICFEQVCTIEVQNCGHQMCAQCTLALCCHNKPNPTTLCVTPPVCPFCRSTIGHLVVAKIKTYDDADHDTGDNNSSKLRKARRSRNFSEGSSSFKGLSALGSFGKMGVRGSGRILAENEWVDKP >ONH99764 pep chromosome:Prunus_persica_NCBIv2:G6:3496521:3499390:1 gene:PRUPE_6G049100 transcript:ONH99764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPTFRASVPKSHPLLIHSLSSALPLTDEASKKKRLLSCCASSELNPVRPELSFCVGTHLIPHPNKVEKGGEDAFFVSSYNGGIIAVADGVSGWAEQDVDPSLFPKELMAHVSCLVGDEEQVNNNPQILIGKAHAATSSTGSATVIVAMLERNGILKIANVGDCGLKVIREGKINYSTSPQEHYFDCPYQLSSEAVSQTYLDAMVSSIELMEGDTIVTGSDGLFDNVFDHEIVSTLARYRNVAEAAKALANLASNHSLDSNFDSPYSMEARSRGFEAPLWKKILGMKLTGGKPDDITVIVGQVVSL >ONH99765 pep chromosome:Prunus_persica_NCBIv2:G6:3496521:3499468:1 gene:PRUPE_6G049100 transcript:ONH99765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPTFRASVPKSHPLLIHSLSSALPLTDEASKKKRLLSCCASSELNPVRPELSFCVGTHLIPHPNKVEKGGEDAFFVSSYNGGIIAVADGVSGWAEQDVDPSLFPKELMAHVSCLVGDEEVNNNPQILIGKAHAATSSTGSATVIVAMLERNGILKIANVGDCGLKVIREGKINYSTSPQEHYFDCPYQLSSEAVSQTYLDAMVSSIELMEGDTIVTGSDGLFDNVFDHEIVSTLARYRNVAEAAKALANLASNHSLDSNFDSPYSMEARSRGFEAPLWKKILGMKLTGGKPDDITVIVGQVVSL >ONH99772 pep chromosome:Prunus_persica_NCBIv2:G6:3534659:3535639:1 gene:PRUPE_6G049800 transcript:ONH99772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGHFVATTPKPIRLIQSSYLLAKPNPTIFFPPSNLRHQKSAIHYRARRKLCFTMSAQEAADSQNPIVIPSVRVAEKLSRKKSERFTYLVAAGGNVPLSEMLGTFALSVGAAVGMEFWARWAHKALWHAYGICTRPREGPFELNDGLKQRKKR >ONI05132 pep chromosome:Prunus_persica_NCBIv2:G6:30302834:30304203:-1 gene:PRUPE_6G357700 transcript:ONI05132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKVLLTYKRKRQSRTDPVQGHECHNSLFVAPDDTSLSKPPDLQVHLIDKRSSEHYNRNSAVCHVCFVCCVGGNLKHCGKCLQSYHLQCLHKPHKEKKHIEVSGTRQIPIKTFPSSLDEVPTQRDAYGNKSSGKKVGSSSNANAGALVDDNNVGGRSVSQLVMNSAVITADFVRQKSSSAAAAFERKSSSECDGSSPRLNTSNLEDTDSFSRNKLDKLGGDSAAQNKLTTPLVTFCRRNKRKKDMDESNIQRKSLPVENSCSLITKLNNCVCTNTSSYEETSPENCSVDHEADLKHSRE >ONI03165 pep chromosome:Prunus_persica_NCBIv2:G6:24074829:24077628:-1 gene:PRUPE_6G242400 transcript:ONI03165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLSFVCNDVVSSSDNNLLHLPATTSPIQSSASFNSSSNLTATGDDEDLNFLSPNDVAADDNAHCDARTIQLFPLAQSVRSSSSSSSSSRKQWLGLSSNSGLEVEPSYYAPAEQIVPLQHKVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGTEADINFNVSDYEDDIKQMSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPNSYDGQIMSEAHNGGSDKSLDLNLGIAPPLVSELQKNNSNLSSFPVQLGCDDIPIHMRTRNENCAPAPMRAQLSHGSMVASEEPPIMSNINSSFFPIQMERATEKRMDVNSFPNWAWQLQGLNGGATPMPLFSAAASSGFPSSTATSPPAAVTQLHFPNTTILHHHFSPSTVTNNIPGFYCRS >ONI03164 pep chromosome:Prunus_persica_NCBIv2:G6:24074260:24078025:-1 gene:PRUPE_6G242400 transcript:ONI03164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLSFVCNDVVSSSDNNLLHLPATTSPIQSSASFNSSSNLTATGDDEDLNFLSPNDVAADDNAHCDARTIQLFPLAQSVRSSSSSSSSSRKQWLGLSSNSGLEVEPSYYAPAEQIVPLQHKVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGTEADINFNVSDYEDDIKQMSNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPNSYDGQIMSEAHNGGSDKSLDLNLGIAPPLVSELQKNNSNLSSFPVQLGCDDIPIHMRTRNENCAPAPMRAQLSHGSMVASEEPPIMSNINSSFFPIQMERATEKRMDVNSFPNWAWQLQGLNGGATPMPLFSAAASSGFPSSTATSPPAAVTQLHFPNTTILHHHFSPSTVTNNIPGFYCRS >ONI04701 pep chromosome:Prunus_persica_NCBIv2:G6:29124534:29129097:1 gene:PRUPE_6G335400 transcript:ONI04701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQILEIQPRELKFLFELKKQSSCSVALTNMTNRHVAFKVKTTSPKKYCVRPNVGVILPKSTSEFSVTMQAPRAAPPDMECRDKFLIQSTIVSSGTTDEDITASMFAKDDGKYIEEKKLRVTLISPPNSPMLSPIKVDLKQGLGHEALNDQVFGGVAILPQQNMYAMKQVTRDAKFTSVNSKESKPITDAELKPAKDVELKPAKDVELKPAKGVELKPAKVVELKPAKDVELRPEKDVELRPEKDVELRPAKDVELKPTQDVELKPSKAVELKLARDVELNAEKIVEDLKLVKDIQEIKSKLNELELKLSQAEVTILKLREERSSSIQERKKLQEQLAQLNQRGVSVKRVQVGFPLLYVCMVALISVALGYCLHP >ONI04708 pep chromosome:Prunus_persica_NCBIv2:G6:29125764:29129097:1 gene:PRUPE_6G335400 transcript:ONI04708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRHVAFKVKTTSPKKYCVRPNVGVILPKSTSEFSVTMQAPRAAPPDMECRDKFLIQSTIVSSGTTDEDITASMFAKDDGKYIEEKKLRVTLISPPNSPMLSPIKVDLKQGLGHEALNDQVFGGVAILPQQNMYAMKQVTRDAKFTSVNSKESKPITDAELKPAKDVELKPAKDVELKPAKGVELKPAKVVELKPAKDVELRPEKDVELRPEKDVELRPAKDVELKPTQDVELKPSKAVELKLARDVELNAEKIVEDLKLVKDIQEIKSKLNELELKLSQAEVTILKLREERSSSIQERKKLQEQLAQLNQRGVSVKRVQVGFPLLYVCMVALISVALGYCLHP >ONI04705 pep chromosome:Prunus_persica_NCBIv2:G6:29124404:29129097:1 gene:PRUPE_6G335400 transcript:ONI04705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQILEIQPRELKFLFELKKQSSCSVALTNMTNRHVAFKVKTTSPKKYCVRPNVGVILPKSTSEFSVTMQAPRAAPPDMECRDKFLIQSTIVSSGTTDEDITASMFAKDDGKYIEEKKLRVTLISPPNSPMLSPIKVDLKQGLGHEALNDQVFGGVAILPQQNMVTRDAKFTSVNSKESKPITDAELKPAKDVELKPAKDVELKPAKGVELKPAKVVELKPAKDVELRPEKDVELRPEKDVELRPAKDVELKPTQDVELKPSKAVELKLARDVELNAEKIVEDLKLVKDIQEIKSKLNELELKLSQAEVTILKLREERSSSIQERKKLQEQLAQLNQRGVSVKRVQVGFPLLYVCMVALISVALGYCLHP >ONI04704 pep chromosome:Prunus_persica_NCBIv2:G6:29124431:29129097:1 gene:PRUPE_6G335400 transcript:ONI04704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQILEIQPRELKFLFELKKQSSCSVALTNMTNRHVAFKVKTTSPKKYCVRPNVGVILPKSTSEFSVTMQAPRAAPPDMECRDKFLIQSTIVSSGTTDEDITASMFAKDDGKYIEEKKLRVTLISPPNSPMLSPIKVDLKQGLGHEALNDQVFGGVAILPQQNMYAMKQVTRDAKFTSVNSKESKPITDAELKPAKDVELKPAKDVELKPAKGVELKPAKVVELKPAKDVELRPEKDVELRPEKDVELRPAKDVELKPTQDVELKPSKAVELKLARDVELNAEKIVEDLKLVKDIQEIKSKLNELELKLSQAEVTILKLREERSSSIQERKKLQEQLAQLNQRGVSVKRVQVGFPLLYVCMVALISVALGYCLHP >ONI04706 pep chromosome:Prunus_persica_NCBIv2:G6:29124404:29129097:1 gene:PRUPE_6G335400 transcript:ONI04706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQILEIQPRELKFLFELKKQSSCSVALTNMTNRHVAFKVKTTSPKKYCVRPNVGVILPKSTSEFSVTMQAPRAAPPDMECRDKFLIQSTIVSSGTTDEDITASMFAKDDGKYIEEKKLRVTLISPPNSPMLSPIKVDLKQGLGHEALNDQVFGGVAILPQQNMVTRDAKFTSVNSKESKPITDAELKPAKDVELKPAKDVELKPAKGVELKPAKVVELKPAKDVELRPEKDVELRPEKDVELRPAKDVELKPTQDVELKPSKAVELKLARDVELNAEKIVEDLKLVKDIQEIKSKLNELELKLSQAEVTILKLREERSSSIQERKKLQEQLAQLNQRGVSVKRVQVGFPLLYVCMVALISVALGYCLHP >ONI04709 pep chromosome:Prunus_persica_NCBIv2:G6:29126258:29129097:1 gene:PRUPE_6G335400 transcript:ONI04709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFAFTMQAPRAAPPDMECRDKFLIQSTIVSSGTTDEDITASMFAKDDGKYIEEKKLRVTLISPPNSPMLSPIKVDLKQGLGHEALNDQVFGGVAILPQQNMYAMKQVTRDAKFTSVNSKESKPITDAELKPAKDVELKPAKDVELKPAKGVELKPAKVVELKPAKDVELRPEKDVELRPEKDVELRPAKDVELKPTQDVELKPSKAVELKLARDVELNAEKIVEDLKLVKDIQEIKSKLNELELKLSQAEVTILKLREERSSSIQERKKLQEQLAQLNQRGVSVKRVQVGFPLLYVCMVALISVALGYCLHP >ONI04707 pep chromosome:Prunus_persica_NCBIv2:G6:29124496:29129097:1 gene:PRUPE_6G335400 transcript:ONI04707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQILEIQPRELKFLFELKKQSSCSVALTNMTNRHVAFKVKTTSPKKYCVRPNVGVILPKSTSEFSVTMQAPRAAPPDMECRDKFLIQSTIVSSGTTDEDITASMFAKDDGKYIEEKKLRVTLISPPNSPMLSPIKVDLKQGLGHEALNDQVFGGVAILPQQNMVTRDAKFTSVNSKESKPITDAELKPAKDVELKPAKDVELKPAKGVELKPAKVVELKPAKDVELRPEKDVELRPEKDVELRPAKDVELKPTQDVELKPSKAVELKLARDVELNAEKIVEDLKLVKDIQEIKSKLNELELKLSQAEVTILKLREERSSSIQERKKLQEQLAQLNQRGVSVKRVQVGFPLLYVCMVALISVALGYCLHP >ONI04703 pep chromosome:Prunus_persica_NCBIv2:G6:29124496:29129097:1 gene:PRUPE_6G335400 transcript:ONI04703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQILEIQPRELKFLFELKKQSSCSVALTNMTNRHVAFKVKTTSPKKYCVRPNVGVILPKSTSEFSVTMQAPRAAPPDMECRDKFLIQSTIVSSGTTDEDITASMFAKDDGKYIEEKKLRVTLISPPNSPMLSPIKVDLKQGLGHEALNDQVFGGVAILPQQNMYAMKQVTRDAKFTSVNSKESKPITDAELKPAKDVELKPAKDVELKPAKGVELKPAKVVELKPAKDVELRPEKDVELRPEKDVELRPAKDVELKPTQDVELKPSKAVELKLARDVELNAEKIVEDLKLVKDIQEIKSKLNELELKLSQAEVTILKLREERSSSIQERKKLQEQLAQLNQRGVSVKRVQVGFPLLYVCMVALISVALGYCLHP >ONI04702 pep chromosome:Prunus_persica_NCBIv2:G6:29124496:29129097:1 gene:PRUPE_6G335400 transcript:ONI04702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQILEIQPRELKFLFELKKQSSCSVALTNMTNRHVAFKVKTTSPKKYCVRPNVGVILPKSTSEFSVTMQAPRAAPPDMECRDKFLIQSTIVSSGTTDEDITASMFAKDDGKYIEEKKLRVTLISPPNSPMLSPIKVDLKQGLGHEALNDQVFGGVAILPQQNMYAMKQVTRDAKFTSVNSKESKPITDAELKPAKDVELKPAKDVELKPAKGVELKPAKVVELKPAKDVELRPEKDVELRPEKDVELRPAKDVELKPTQDVELKPSKAVELKLARDVELNAEKIVEDLKLVKDIQEIKSKLNELELKLSQAEVTILKLREERSSSIQERKKLQEQLAQLNQRGVSVKRVQVGFPLLYVCMVALISVALGYCLHP >ONI03114 pep chromosome:Prunus_persica_NCBIv2:G6:23901116:23904120:-1 gene:PRUPE_6G239800 transcript:ONI03114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITERSKKRVHLWKKAVVHFALCFVMGFFTGFAPTGKASIFARPAVISTNISDHLSPRPVESPQQVAADVNRSLMAETPIVAMAAAPARPKEHTENSRFISEKEEQQEEEKEPKLTPRRFIIIVTPTSTKNKFKSVLLRRLANTIRLVPQPLLWIVVEAKTESNGVSEALRKTGIMYRHLVFKENFTDTEAEMDHQRNIALKHIEQHKLSGIVHFAGLSNVYDLGFFDQLREIEVFGTWPMALLAANREKVIIEGPVCDSSQVIGWHLKKMNNQTDPRPPIHISSFAFNSSILWDPERWGRTSSLQSSSQNSIKFVKEVVLEDETKLKGIPPEDCSKIMLWSLRFHAAGGATTTKHQINQ >ONI02761 pep chromosome:Prunus_persica_NCBIv2:G6:22613288:22617741:1 gene:PRUPE_6G220400 transcript:ONI02761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRNKYRKPTTFHCNAGSRCSTSAVVWSLVGCFLMFQLYSLVHQNDRMRGEMQFRSTHHPQIHELEEVEEENIQIPPPRKRSPRAAKRKPRRPTTLIDEFLDENSQIRHVFFPGQKHVIDPMKDTGNDSYYYYPGRIWLDTDGNPIQAHGGGILYDDKLRTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSRDLWKWKNEGIVLAAEKTNETHDLHELNVLERPKVIYNERTGKYVMWMHIDDVNYTKAAVGIAISDYPTGPFDYLYSKRPHGFESRDMTIFKDDDGVAYLIYSSEDNSELHIGPLTEDYLDVTNIMRRVLVGQHREAPALFKYEGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCAGGNKVSRLTTFFAQSTFVVPVPAFPGSFIFIADRWNPADLRDSRYVWLPLIVGGPADRPLDYNFGFPLWSRVSIYWHRKWRLPRGWSSSK >ONI02759 pep chromosome:Prunus_persica_NCBIv2:G6:22613226:22617741:1 gene:PRUPE_6G220400 transcript:ONI02759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNSVQLMLQLFSGSRCSTSAVVWSLVGCFLMFQLYSLVHQNDRMRGEMQFRSTHHPQIHELEEVEEENIQIPPPRKRSPRAAKRKPRRPTTLIDEFLDENSQIRHVFFPGQKHVIDPMKDTGNDSYYYYPGRIWLDTDGNPIQAHGGGILYDDKLRTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSRDLWKWKNEGIVLAAEKTNETHDLHELNVLERPKVIYNERTGKYVMWMHIDDVNYTKAAVGIAISDYPTGPFDYLYSKRPHGFESRDMTIFKDDDGVAYLIYSSEDNSELHIGPLTEDYLDVTNIMRRVLVGQHREAPALFKYEGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCAGGNKVSRLTTFFAQSTFVVPVPAFPGSFIFIADRWNPADLRDSRYVWLPLIVGGPADRPLDYNFGFPLWSRVSIYWHRKWRLPRGWSSSK >ONI02762 pep chromosome:Prunus_persica_NCBIv2:G6:22613287:22617741:1 gene:PRUPE_6G220400 transcript:ONI02762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRNKYRKPTTFHCNAGSRCSTSAVVWSLVGCFLMFQLYSLVHQNDRMRGEMQFRSTHHPQIHELEEVEEENIQIPPPRKRSPRAAKRKPRRPTTLIDEFLDENSQIRHVFFPGQKHVIDPMKDTGNDSYYYYPGRIWLDTDGNPIQAHGGGILYDDKLRTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSRDLWKWKNEGIVLAAEKTNETHDLHELNVLERPKVIYNERTGKYVMWMHIDDVNYTKAAVGIAISDYPTGPFDYLYSKRPHGFESRDMTIFKDDDGVAYLIYSSEDNSELHIGPLTEDYLDVTNIMRRVLVGQHREAPALFKYEGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCAGGNKVSRLTTFFAQSTFVVPVPAFPGSFIFIADRWNPADLRDSRYVWLPLIVGGPADRPLDYNFGFPLWSRVSIYWHRKWRLPRGWSSSK >ONI02760 pep chromosome:Prunus_persica_NCBIv2:G6:22613268:22617741:1 gene:PRUPE_6G220400 transcript:ONI02760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRNKYRKPTTFHCNAGSRCSTSAVVWSLVGCFLMFQLYSLVHQNDRMRGEMQFRSTHHPQIHELEEVEEENIQIPPPRKRSPRAAKRKPRRPTTLIDEFLDENSQIRHVFFPGQKHVIDPMKDTGNDSYYYYPGRIWLDTDGNPIQAHGGGILYDDKLRTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSRDLWKWKNEGIVLAAEKTNETHDLHELNVLERPKVIYNERTGKYVMWMHIDDVNYTKAAVGIAISDYPTGPFDYLYSKRPHGFESRDMTIFKDDDGVAYLIYSSEDNSELHIGPLTEDYLDVTNIMRRVLVGQHREAPALFKYEGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCAGGNKVSRLTTFFAQSTFVVPVPAFPGSFIFIADRWNPADLRDSRYVWLPLIVGGPADRPLDYNFGFPLWSRVSIYWHRKWRLPRGWSSSK >ONI02763 pep chromosome:Prunus_persica_NCBIv2:G6:22614004:22617750:1 gene:PRUPE_6G220400 transcript:ONI02763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRNKYRKPTTFHCNAGSRCSTSAVVWSLVGCFLMFQLYSLVHQNDRMRGEMQFRSTHHPQIHELEEVEEENIQIPPPRKRSPRAAKRKPRRPTTLIDEFLDENSQIRHVFFPGQKHVIDPMKDTGNDSYYYYPGRIWLDTDGNPIQAHGGGILYDDKLRTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSRDLWKWKNEGIVLAAEKTNETHDLHELNVLERPKVIYNERTGKYVMWMHIDDVNYTKAAVGIAISDYPTGPFDYLYSKRPHGFESRDMTIFKDDDGVAYLIYSSEDNSELHIGPLTEDYLDVTNIMRRVLVGQHREAPALFKYEGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCAGGNKVSRLTTFFAQSTFVVPVPAFPGSFIFIADRWNPADLRDSRYVWLPLIVGGPADRPLDYNFGFPLWSRVSIYWHRKWRLPRGWSSSK >ONI02764 pep chromosome:Prunus_persica_NCBIv2:G6:22613288:22617741:1 gene:PRUPE_6G220400 transcript:ONI02764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLYSLVHQNDRMRGEMQFRSTHHPQIHELEEVEEENIQIPPPRKRSPRAAKRKPRRPTTLIDEFLDENSQIRHVFFPGQKHVIDPMKDTGNDSYYYYPGRIWLDTDGNPIQAHGGGILYDDKLRTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSRDLWKWKNEGIVLAAEKTNETHDLHELNVLERPKVIYNERTGKYVMWMHIDDVNYTKAAVGIAISDYPTGPFDYLYSKRPHGFESRDMTIFKDDDGVAYLIYSSEDNSELHIGPLTEDYLDVTNIMRRVLVGQHREAPALFKYEGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCAGGNKVSRLTTFFAQSTFVVPVPAFPGSFIFIADRWNPADLRDSRYVWLPLIVGGPADRPLDYNFGFPLWSRVSIYWHRKWRLPRGWSSSK >ONI01541 pep chromosome:Prunus_persica_NCBIv2:G6:11924232:11925458:-1 gene:PRUPE_6G145300 transcript:ONI01541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVDIPSHFLCPISLEIMRDPVTISTGITYDRESIERWLFSGKNKACPVTKQPLFDGTDLTPNHTLRRIIQAWCTLNASQGVERIPTPKSPLDKSQIVKLIEQAKKLPNTNLHCLRRLRSIAITQSERTKSCLEAAGAVEYVASIINKAPSDDPSIIEVAVEVLFLLKGSEAGLKDLISNDGDNNIVESLVKALKFKNFQSRAHAIILLKSVFKVADPIQLMSAKVECFHEILHVLDDRISQQASKAALKLLVELCPWGRNRIKAVEGGAVAVLIEALLETHEKRASELILILLDQLCGCAEGRAELLKHAAGLAIVSKKILRVSHVASDRAVRILSSICRFSATCGVLQEMLQVGVVAKLCLVLQVDCRFKTKEKAREVLKLHSRVWKNSSCIPGHLLPFYPSSSS >ONI00348 pep chromosome:Prunus_persica_NCBIv2:G6:5659104:5661170:-1 gene:PRUPE_6G083800 transcript:ONI00348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNKGFWMPKGAGLVNDGDATYGNPSRIEPKRPHQWFVDAAEPELFPNKKQAVHIPNSKLGSGMSNENVSSWENASSFQSVPHQFIDRLFGSDTASSVNFAERNISPVGSDNWNIRKGIDDQFGEDSPVSLSVSHAMEDPETCLNYAGIRKVKVNQVRDSDNGMHASREHGSNRGSNSNLSSSQAFDRVNETAFLSVGQAYDKEHGSVTLIGHPYNHGDAHVRPIDTNYGKGDENAISVGDNCSKGNANMISFGGFPDEQDIIPIGRPVGNYDQLYHPDSVQTLETSYEKDLDASNASAVDNTASLAKPRLESVSKNKPEIKPSRKPAPNSFPSNVRSLISTGMLDGVPVKYVSLAREELRGIIKGVGYLCGCQSCNYAKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTLQTVFGAPINQKSFHSWKESFQAATRELQRIYGKEELNL >ONI00349 pep chromosome:Prunus_persica_NCBIv2:G6:5659104:5661170:-1 gene:PRUPE_6G083800 transcript:ONI00349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNKGFWMPKGAGLVNDGDATYGNPSRIEPKRPHQWFVDAAEPELFPNKKQAVHIPNSKLGSGMSNENVSSWENASSFQSVPHQFIDRLFGSDTASSVNFAERNISPVGSDNWNIRKGIDDQFGEDSPVSLSVSHAMEDPETCLNYAGIRKVKVNQVRDSDNGMHASREHGSNRGSNSNLSSSQAFDRVNETAFLSVGQAYDKEHGSVTLIGHPYNHGDAHVRPIDTNYGKGDENAISVGDNCSKGNANMISFGGFPDEQDIIPIGRPVGNYDQLYHPDSVQTLETSYEKDLDASNASAVDNTASLAKPRLESVSKNKPEIKPSRKPAPNSFPSNVRSLISTGMLDGVPVKYVSLAREGYIPPRNKELRGIIKGVGYLCGCQSCNYAKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTLQTVFGAPINQKSFHSWKESFQAATRELQRIYGKEELNL >ONI00346 pep chromosome:Prunus_persica_NCBIv2:G6:5658808:5661691:-1 gene:PRUPE_6G083800 transcript:ONI00346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNKGFWMPKGAGLVNDGDATYGNPSRIEPKRPHQWFVDAAEPELFPNKKQAVHIPNSKLGSGMSNENVSSWENASSFQSVPHQFIDRLFGSDTASSVNFAERNISPVGSDNWNIRKGIDDQFGEDSPVSLSVSHAMEDPETCLNYAGIRKVKVNQVRDSDNGMHASREHGSNRGSNSNLSSSQAFDRVNETAFLSVGQAYDKEHGSVTLIGHPYNHGDAHVRPIDTNYGKGDENAISVGDNCSKGNANMISFGGFPDEQDIIPIGRPVGNYDQLYHPDSVQTLETSYEKDLDASNASAVDNTASLAKPRLESVSKNKPEIKPSRKPAPNSFPSNVRSLISTGMLDGVPVKYVSLAREVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTLQTVFGAPINQKSFHSWKESFQAATRELQRIYGKEELNL >ONI00347 pep chromosome:Prunus_persica_NCBIv2:G6:5659104:5661170:-1 gene:PRUPE_6G083800 transcript:ONI00347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNKGFWMPKGAGLVNDGDATYGNPSRIEPKRPHQWFVDAAEPELFPNKKQAVHIPNSKLGSGMSNENVSSWENASSFQSVPHQFIDRLFGSDTASSVNFAERNISPVGSDNWNIRKGIDDQFGEDSPVSLSVSHAMEDPETCLNYAGIRKVKVNQVRDSDNGMHASREHGSNRGSNSNLSSSQAFDRVNETAFLSVGQAYDKEHGSVTLIGHPYNHGDAHVRPIDTNYGKGDENAISVGDNCSKGNANMISFGGFPDEQDIIPIGRPVGNYDQLYHPDSVQTLETSYEKDLDASNASAVDNTASLAKPRLESVSKNKPEIKPSRKPAPNSFPSNVRSLISTGMLDGVPVKYVSLARELRGIIKGVGYLCGCQSCNYAKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESLLFDTLQTVFGAPINQKSFHSWKESFQAATRELQRIYGKEELNL >ONI03510 pep chromosome:Prunus_persica_NCBIv2:G6:25193270:25195242:1 gene:PRUPE_6G261700 transcript:ONI03510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAFQFFELNTGAKMPSVGLGTYRADTHLIGDVLSAAIKVGYRQIDCAQMYGNEKEIGFALKKLFSDGAVKREDLWITSKLWCTDHEPDDVPKAFDKTLEELQLDYLDLYLIHWPVSMKKGSVGSDPQNLTQPNIPSTWKAMEALFDSGKARAIGVSNFSSKKLGDLLEVARIPPAVNQVELHPHWQQPKLHTFCKSKGVQLSGYCPLARNKVLKNLIVNLVAEKLGKTPAQVTLRWGLQMGHSVVPKSTNQARIQENFDLFDWCIPDDLFAKFSEIKQVKLVPGTGFVHATLGAYRTLEELWDGEL >ONI05108 pep chromosome:Prunus_persica_NCBIv2:G6:30235794:30239411:1 gene:PRUPE_6G356400 transcript:ONI05108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHSLPAPDTSLSLTEYVLDLAIGQEFPDVETCRRRLKNIALVQHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTVRTLHGEHTCEGVLNLHHQQASVGWVARSVEARVRDNPRYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSIASVFAIGQENCFHRLFISYRASIYGFIHACRPLLELDRAHLKGKYLGSLLCAAAVDADDALFPLAIAIVDVESDENWMWFMSELRKLLGVSTDNMPRLTILSERQRGVVEAVETHFPSAFHGFCLRYVSENFRDTFKNAKLVNIFWNAVYALTAVEFDSKIAEMAEISQDVIPWFQHFPPQLWAVAYFEGVRYGHFTLGVTELLYNWALECHELPIVQMMEHIRHQLSSWYNDRRDMGMRWASILVPSAEKRVLEAMADARCYQVLRANEVEFEIVSTERTNIVDIQTRVCSCRRWHIYGLPCAHAAAALMSCGHNVHLFAEPWFTVASYRESYSQMINPIPDKSLWKEPGEGTEGGSANVDITIRPPKTRRPPGRPKKKVLRVENFKRPKRVVQCGRCHMLGHSQKKCTLPS >ONI05107 pep chromosome:Prunus_persica_NCBIv2:G6:30236291:30239450:1 gene:PRUPE_6G356400 transcript:ONI05107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHSLPAPDTSLSLTEYVLDLAIGQEFPDVETCRRRLKNIALVQHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTVRTLHGEHTCEGVLNLHHQQASVGWVARSVEARVRDNPRYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSIASVFAIGQENCFHRLFISYRASIYGFIHACRPLLELDRAHLKGKYLGSLLCAAAVDADDALFPLAIAIVDVESDENWMWFMSELRKLLGVSTDNMPRLTILSERQRGVVEAVETHFPSAFHGFCLRYVSENFRDTFKNAKLVNIFWNAVYALTAVEFDSKIAEMAEISQDVIPWFQHFPPQLWAVAYFEGVRYGHFTLGVTELLYNWALECHELPIVQMMEHIRHQLSSWYNDRRDMGMRWASILVPSAEKRVLEAMADARCYQVLRANEVEFEIVSTERTNIVDIQTRVCSCRRWHIYGLPCAHAAAALMSCGHNVHLFAEPWFTVASYRESYSQMINPIPDKSLWKEPGEGTEGGSANVDITIRPPKTRRPPGRPKKKVLRVENFKRPKRVVQCGRCHMLGHSQKKCTLPS >ONI05106 pep chromosome:Prunus_persica_NCBIv2:G6:30236362:30239440:1 gene:PRUPE_6G356400 transcript:ONI05106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHSLPAPDTSLSLTEYVLDLAIGQEFPDVETCRRRLKNIALVQHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTVRTLHGEHTCEGVLNLHHQQASVGWVARSVEARVRDNPRYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSIASVFAIGQENCFHRLFISYRASIYGFIHACRPLLELDRAHLKGKYLGSLLCAAAVDADDALFPLAIAIVDVESDENWMWFMSELRKLLGVSTDNMPRLTILSERQRGVVEAVETHFPSAFHGFCLRYVSENFRDTFKNAKLVNIFWNAVYALTAVEFDSKIAEMAEISQDVIPWFQHFPPQLWAVAYFEGVRYGHFTLGVTELLYNWALECHELPIVQMMEHIRHQLSSWYNDRRDMGMRWASILVPSAEKRVLEAMADARCYQVLRANEVEFEIVSTERTNIVDIQTRVCSCRRWHIYGLPCAHAAAALMSCGHNVHLFAEPWFTVASYRESYSQMINPIPDKSLWKEPGEGTEGGSANVDITIRPPKTRRPPGRPKKKVLRVENFKRPKRVVQCGRCHMLGHSQKKCTLPS >ONI05105 pep chromosome:Prunus_persica_NCBIv2:G6:30235716:30239481:1 gene:PRUPE_6G356400 transcript:ONI05105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHWVVKPKRKNQKKKVLSSLVYPLELPDSESLERRRPETGKHHQLSRSTIPLSPTRCLQYTFLANFQSLSGCGEPLESCDTSGTARGYMEDHSLPAPDTSLSLTEYVLDLAIGQEFPDVETCRRRLKNIALVQHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTVRTLHGEHTCEGVLNLHHQQASVGWVARSVEARVRDNPRYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSIASVFAIGQENCFHRLFISYRASIYGFIHACRPLLELDRAHLKGKYLGSLLCAAAVDADDALFPLAIAIVDVESDENWMWFMSELRKLLGVSTDNMPRLTILSERQRGVVEAVETHFPSAFHGFCLRYVSENFRDTFKNAKLVNIFWNAVYALTAVEFDSKIAEMAEISQDVIPWFQHFPPQLWAVAYFEGVRYGHFTLGVTELLYNWALECHELPIVQMMEHIRHQLSSWYNDRRDMGMRWASILVPSAEKRVLEAMADARCYQVLRANEVEFEIVSTERTNIVDIQTRVCSCRRWHIYGLPCAHAAAALMSCGHNVHLFAEPWFTVASYRESYSQMINPIPDKSLWKEPGEGTEGGSANVDITIRPPKTRRPPGRPKKKVLRVENFKRPKRVVQCGRCHMLGHSQKKCTLPS >ONI05111 pep chromosome:Prunus_persica_NCBIv2:G6:30235785:30239480:1 gene:PRUPE_6G356400 transcript:ONI05111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHSLPAPDTSLSLTEYVLDLAIGQEFPDVETCRRRLKNIALVQHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTVRTLHGEHTCEGVLNLHHQQASVGWVARSVEARVRDNPRYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSIASVFAIGQENCFHRLFISYRASIYGFIHACRPLLELDRAHLKGKYLGSLLCAAAVDADDALFPLAIAIVDVESDENWMWFMSELRKLLGVSTDNMPRLTILSERQRGVVEAVETHFPSAFHGFCLRYVSENFRDTFKNAKLVNIFWNAVYALTAVEFDSKIAEMAEISQDVIPWFQHFPPQLWAVAYFEGVRYGHFTLGVTELLYNWALECHELPIVQMMEHIRHQLSSWYNDRRDMGMRWASILVPSAEKRVLEAMADARCYQVLRANEVEFEIVSTERTNIVDIQTRVCSCRRWHIYGLPCAHAAAALMSCGHNVHLFAEPWFTVASYRESYSQMINPIPDKSLWKEPGEGTEGGSANVDITIRPPKTRRPPGRPKKKVLRVENFKRPKRVVQCGRCHMLGHSQKKCTLPS >ONI05109 pep chromosome:Prunus_persica_NCBIv2:G6:30235818:30239458:1 gene:PRUPE_6G356400 transcript:ONI05109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHSLPAPDTSLSLTEYVLDLAIGQEFPDVETCRRRLKNIALVQHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTVRTLHGEHTCEGVLNLHHQQASVGWVARSVEARVRDNPRYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSIASVFAIGQENCFHRLFISYRASIYGFIHACRPLLELDRAHLKGKYLGSLLCAAAVDADDALFPLAIAIVDVESDENWMWFMSELRKLLGVSTDNMPRLTILSERQRGVVEAVETHFPSAFHGFCLRYVSENFRDTFKNAKLVNIFWNAVYALTAVEFDSKIAEMAEISQDVIPWFQHFPPQLWAVAYFEGVRYGHFTLGVTELLYNWALECHELPIVQMMEHIRHQLSSWYNDRRDMGMRWASILVPSAEKRVLEAMADARCYQVLRANEVEFEIVSTERTNIVDIQTRVCSCRRWHIYGLPCAHAAAALMSCGHNVHLFAEPWFTVASYRESYSQMINPIPDKSLWKEPGEGTEGGSANVDITIRPPKTRRPPGRPKKKVLRVENFKRPKRVVQCGRCHMLGHSQKKCTLPS >ONI05110 pep chromosome:Prunus_persica_NCBIv2:G6:30235800:30239450:1 gene:PRUPE_6G356400 transcript:ONI05110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHSLPAPDTSLSLTEYVLDLAIGQEFPDVETCRRRLKNIALVQHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFTVRTLHGEHTCEGVLNLHHQQASVGWVARSVEARVRDNPRYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYCEQIRKTNPGSIASVFAIGQENCFHRLFISYRASIYGFIHACRPLLELDRAHLKGKYLGSLLCAAAVDADDALFPLAIAIVDVESDENWMWFMSELRKLLGVSTDNMPRLTILSERQRGVVEAVETHFPSAFHGFCLRYVSENFRDTFKNAKLVNIFWNAVYALTAVEFDSKIAEMAEISQDVIPWFQHFPPQLWAVAYFEGVRYGHFTLGVTELLYNWALECHELPIVQMMEHIRHQLSSWYNDRRDMGMRWASILVPSAEKRVLEAMADARCYQVLRANEVEFEIVSTERTNIVDIQTRVCSCRRWHIYGLPCAHAAAALMSCGHNVHLFAEPWFTVASYRESYSQMINPIPDKSLWKEPGEGTEGGSANVDITIRPPKTRRPPGRPKKKVLRVENFKRPKRVVQCGRCHMLGHSQKKCTLPS >ONH99863 pep chromosome:Prunus_persica_NCBIv2:G6:3802973:3814157:-1 gene:PRUPE_6G054300 transcript:ONH99863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAPMTMKETLTLPSIGINPQFITFTHVTMESDKYICVRETSPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQVQGTTQDHLQIFNIEMKAKMKSHQMPEQIVFWKWITPKMLGLVTQTSVYHWLIEGDSEPTKVFERTANLANNQIINYRCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVEQKRSQALEAHSASFATFKVPGNENPSILICFASKSFNAGQVTSKLHVIELGAQPGKPSYTKKQADLFFPPDFADDFPVSMQISEKYGLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASSIGGFYAINRRGQVLLATINEQTIVPFVSGQLNNLGLAVSLAKRGNLPGAEDLVVQRFQELFSQTKYKEAAELAAESPMGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMAQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQSKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYLRALQHYTELPDIKRVIVNTHAIDPQALVEFFGTLSEEWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGVDKCIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKAKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSGNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDDDLWAKVLDPDNEYRRQLIDQVVSTALPESKSPEQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGLMAVEAQLFEEAFAIFKKFNANVDAVNVLLDNIQSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRAAEDANVYHDLVRYLLMVRQKTKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEALYEAAKIIFAFISNWAKLACTLVKLNQFQGAVDAARKANSSKTWKFVCFACVDAEEYRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVIVKVASVELYYRAVHFYLQEHPDLINDVLNVIALRVDHTRVVDIMRKAGHIRLVKPYMVAVQSSNVTAVNEALNEIYIEEEDYDRLRESIDLHDSFDQIGLAQKLEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYKDCMETCSQSGDHELSEELLIYFIEQGKKECFASCLFVCYDLIRPDVALELAWMNNIIDFALPYLLQNMYAQLLPALPAPPGMGGGYAPPMPPMGGMGMPPMPPFGMPQMGPSY >ONH99865 pep chromosome:Prunus_persica_NCBIv2:G6:3802973:3813729:-1 gene:PRUPE_6G054300 transcript:ONH99865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLMIECDNGDVDLNVCGLQLPSIGINPQFITFTHVTMESDKYICVRETSPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQVQGTTQDHLQIFNIEMKAKMKSHQMPEQIVFWKWITPKMLGLVTQTSVYHWLIEGDSEPTKVFERTANLANNQIINYRCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVEQKRSQALEAHSASFATFKVPGNENPSILICFASKSFNAGQVTSKLHVIELGAQPGKPSYTKKQADLFFPPDFADDFPVSMQISEKYGLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASSIGGFYAINRRGQVLLATINEQTIVPFVSGQLNNLGLAVSLAKRGNLPGAEDLVVQRFQELFSQTKYKEAAELAAESPMGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMAQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQSKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYLRALQHYTELPDIKRVIVNTHAIDPQALVEFFGTLSEEWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGVDKCIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKAKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSGNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDDDLWAKVLDPDNEYRRQLIDQVVSTALPESKSPEQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGLMAVEAQLFEEAFAIFKKFNANVDAVNVLLDNIQSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRAAEDANVYHDLVRYLLMVRQKTKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEALYEAAKIIFAFISNWAKLACTLVKLNQFQGAVDAARKANSSKTWKFVCFACVDAEEYRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVIVKVASVELYYRAVHFYLQEHPDLINDVLNVIALRVDHTRVVDIMRKAGHIRLVKPYMVAVQSSNVTAVNEALNEIYIEEEDYDRLRESIDLHDSFDQIGLAQKLEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYKDCMETCSQSGDHELSEELLIYFIEQGKKECFASCLFVCYDLIRPDVALELAWMNNIIDFALPYLLQFIREYTGKVDELVKDKIEAQNEVKAKEKEEKELVAQQNMYAQLLPALPAPPGMGGGYAPPMPPMGGMGMPPMPPFGMPQMGPSY >ONH99866 pep chromosome:Prunus_persica_NCBIv2:G6:3802973:3810838:-1 gene:PRUPE_6G054300 transcript:ONH99866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMAQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQSKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYLRALQHYTELPDIKRVIVNTHAIDPQALVEFFGTLSEEWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGVDKCIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKAKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSGNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDDDLWAKVLDPDNEYRRQLIDQVVSTALPESKSPEQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGLMAVEAQLFEEAFAIFKKFNANVDAVNVLLDNIQSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRAAEDANVYHDLVRYLLMVRQKTKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEALYEAAKIIFAFISNWAKLACTLVKLNQFQGAVDAARKANSSKTWKFVCFACVDAEEYRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVIVKVASVELYYRAVHFYLQEHPDLINDVLNVIALRVDHTRVVDIMRKAGHIRLVKPYMVAVQSSNVTAVNEALNEIYIEEEDYDRLRESIDLHDSFDQIGLAQKLEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYKDCMETCSQSGDHELSEELLIYFIEQGKKECFASCLFVCYDLIRPDVALELAWMNNIIDFALPYLLQFIREYTGKVDELVKDKIEAQNEVKAKEKEEKELVAQQNMYAQLLPALPAPPGMGGGYAPPMPPMGGMGMPPMPPFGMPQMGPSY >ONH99864 pep chromosome:Prunus_persica_NCBIv2:G6:3803505:3813880:-1 gene:PRUPE_6G054300 transcript:ONH99864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAPMTMKETLTLPSIGINPQFITFTHVTMESDKYICVRETSPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQVQGTTQDHLQIFNIEMKAKMKSHQMPEQIVFWKWITPKMLGLVTQTSVYHWLIEGDSEPTKVFERTANLANNQIINYRCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVEQKRSQALEAHSASFATFKVPGNENPSILICFASKSFNAGQVTSKLHVIELGAQPGKPSYTKKQADLFFPPDFADDFPVSMQISEKYGLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASSIGGFYAINRRGQVLLATINEQTIVPFVSGQLNNLGLAVSLAKRGNLPGAEDLVVQRFQELFSQTKYKEAAELAAESPMGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMAQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQSKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYLRALQHYTELPDIKRVIVNTHAIDPQALVEFFGTLSEEWALECMKDLLLVNLRGNLQIIVQTAKEYSEQLGVDKCIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKAKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSGNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDDDLWAKVLDPDNEYRRQLIDQVVSTALPESKSPEQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGLMAVEAQLFEEAFAIFKKFNANVDAVNVLLDNIQSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIRAAEDANVYHDLVRYLLMVRQKTKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEALYEAAKIIFAFISNWAKLACTLVKLNQFQGAVDAARKANSSKTWKFVCFACVDAEEYRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVIVKVASVELYYRAVHFYLQEHPDLINDVLNVIALRVDHTRVVDIMRKAGHIRLVKPYMVAVQSSNVTAVNEALNEIYIEEEDYDRLRESIDLHDSFDQIGLAQKLEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYKDCMETCSQSGDHELSEELLIYFIEQGKKECFASCLFVCYDLIRPDVALELAWMNNIIDFALPYLLQFIREYTGKVDELVKDKIEAQNEVKAKEKEEKELVAQQNMYAQLLPALPAPPGMGGGYAPPMPPMGGMGMPPMPPFGMPQMGPSY >ONI00268 pep chromosome:Prunus_persica_NCBIv2:G6:5396299:5400116:-1 gene:PRUPE_6G079200 transcript:ONI00268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKEASSSPCFSSGFRFLQHSLYLGLLRLCYQTSCATTKRRRFQRILTSDPGIVTNGSASSDQPLNSSVSQVDNHDEELELPCHESTEGSGLGTRVRYCRTCKAYIKGLDHHCPAFGNCIVAAKSGILDRPSMEPTLSENLAVSTILFMILQLVWQVVFVIWHIYCVCVNIRTDEWIKWKKYPEFQLLVQPQPGQSFANIRFRNPYDKGILQNVEEFLALRA >ONI00266 pep chromosome:Prunus_persica_NCBIv2:G6:5396705:5399161:-1 gene:PRUPE_6G079200 transcript:ONI00266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETQDQRKHLSQSKSIGRCIVSCIFVFLTQLVLTLVPRFFSASSFLTQLAFSALLLLLFLGFGGWCRRRLLQVRASAPAFVFFNILFIWGFYVSVIRQAVPLLNDAVFSGEVVFLVIGLYSILTSDPGIVTNGSASSDQPLNSSVSQVDNHDEELELPCHESTEVIGSGLGTRVRYCRTCKAYIKGLDHHCPAFGNCIGQNNHLLFLILLFGFISTEASYLVCASLFAAKSGILDRPSMEPTLSENLAVSTILFMILQLVWQVVFVIWHIYCVCVNIRTDEWIKWKKYPEFQLLVQPQPGQSFANIRFRNPYDKGILQNVEEFLALRA >ONI00270 pep chromosome:Prunus_persica_NCBIv2:G6:5397668:5400116:-1 gene:PRUPE_6G079200 transcript:ONI00270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETQDQRKHLSQSKSIGRCIVSCIFVFLTQLVLTLVPRFFSASSFLTQLAFSALLLLLFLGFGGWCRRRLLQVRASAPAFVFFNILFIWGFYVSVIRQAVPLLNDAVFSGEVVFLVIGLYSILTSDPGIVTNGSASSDQPLNSSVSQVDNHDEELELPCHESTEGSGLGTRVRYCRTCKAYIKGLDHHCPAFGNCIGKGHSLSTSILHVSCI >ONI00267 pep chromosome:Prunus_persica_NCBIv2:G6:5396305:5400116:-1 gene:PRUPE_6G079200 transcript:ONI00267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKEASSSPCFSSGFRFLQHSLYLGLLRLCYQTSILTSDPGIVTNGSASSDQPLNSSVSQVDNHDEELELPCHESTEGSGLGTRVRYCRTCKAYIKGLDHHCPAFGNCIGQNNHLLFLILLFGFISTEASYLVCASLFAAKSGILDRPSMEPTLSENLAVSTILFMILQLVWQVVFVIWHIYCVCVNIRTDEWIKWKKYPEFQLLVQPQPGQSFANIRFRNPYDKGILQNVEEFLALRA >ONI00269 pep chromosome:Prunus_persica_NCBIv2:G6:5396705:5398967:-1 gene:PRUPE_6G079200 transcript:ONI00269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKEASSSPCFSSGFRFLQHSLYLGLLRLCYQTSCATTKRRRFQRILTSDPGIVTNGSASSDQPLNSSVSQVDNHDEELELPCHESTEGSGLGTRVRYCRTCKAYIKGLDHHCPAFGNCIGQNNHLLFLILLFGFISTEASYLVCASLFAAKSGILDRPSMEPTLSENLAVSTILFMILQLVWQVVFVIWHIYCVCVNIRTDEWIKWKKYPEFQLLVQPQPGQSFANIRFRNPYDKGILQNVEEFLALRA >ONI00265 pep chromosome:Prunus_persica_NCBIv2:G6:5396705:5399161:-1 gene:PRUPE_6G079200 transcript:ONI00265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETQDQRKHLSQSKSIGRCIVSCIFVFLTQLVLTLVPRFFSASSFLTQLAFSALLLLLFLGFGGWCRRRLLQVRASAPAFVFFNILFIWGFYVSVIRQAVPLLNDAVFSGEVVFLVIGLYSILTSDPGIVTNGSASSDQPLNSSVSQVDNHDEELELPCHESTEGSGLGTRVRYCRTCKAYIKGLDHHCPAFGNCIGQNNHLLFLILLFGFISTEASYLVCASLFAAKSGILDRPSMEPTLSENLAVSTILFMILQLVWQVVFVIWHIYCVCVNIRTDEWIKWKKYPEFQLLVQPQPGQSFANIRFRNPYDKGILQNVEEFLALRA >ONI00263 pep chromosome:Prunus_persica_NCBIv2:G6:5396305:5400116:-1 gene:PRUPE_6G079200 transcript:ONI00263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETQDQRKHLSQSKSIGRCIVSCIFVFLTQLVLTLVPRFFSASSFLTQLAFSALLLLLFLGFGGWCRRRLLQVRASAPAFVFFNILFIWGFYVSVIRQAVPLLNDAVFSGEVVFLVIGLYSILTSDPGIVTNGSASSDQPLNSSVSQVDNHDEELELPCHESTEGSGLGTRVRYCRTCKAYIKGLDHHCPAFGNFAAKSGILDRPSMEPTLSENLAVSTILFMILQLVWQVVFVIWHIYCVCVNIRTDEWIKWKKYPEFQLLVQPQPGQSFANIRFRNPYDKGILQNVEEFLALRA >ONI00264 pep chromosome:Prunus_persica_NCBIv2:G6:5396705:5399161:-1 gene:PRUPE_6G079200 transcript:ONI00264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETQDQRKHLSQSKSIGRCIVSCIFVFLTQLVLTLVPRFFSASSFLTQLAFSALLLLLFLGFGGWCRRRLLQVRASAPAFVFFNILFIWGFYVSVIRQAVPLLNDAVFSGEVVFLVIGLYSILTSDPGIVTNGSASSDQPLNSSVSQVDNHDEELELPCHESTEGSGLGTRVRYCRTCKAYIKGLDHHCPAFGNCIVAAKSGILDRPSMEPTLSENLAVSTILFMILQLVWQVVFVIWHIYCVCVNIRTDEWIKWKKYPEFQLLVQPQPGQSFANIRFRNPYDKGILQNVEEFLALRA >ONI02504 pep chromosome:Prunus_persica_NCBIv2:G6:21014620:21019112:1 gene:PRUPE_6G202500 transcript:ONI02504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSCSLILILSCVLVFAFMNNSSAVASSGINNYRVVNLGAKPDGKTDSTKAFLSAWDKACASVKPAVVHVPRGTFLLGNAIFRGPCNNKATTFRISEGTLVAPSDYRVLGNAGNWLSFQDVHGLNISSSNGILDGQGTGLWDCKASPGKSNCPTGAISLGFVNSSNIVVSGLLSRNSQLVHIIIRGCHNVNMHGIRVSASEKSPNTDGIHIQMSSDITILNSDFSTGDDCVSIGPGTVNLWIEKVTCGPGHGISVGSLGWEMQEPGVQNVTVKTATFKNTLNGVRIKSWSRPSTGFARHILFQHAVMVDVKNPIIIDQNYCPNNKDCPRQVSGIEITDVTYQDIQGTSATEVAVNFDCSSKNPCTGIRLEDVKLTYQNQPSEASCSHADGTAAGIVQPTSCL >ONH99381 pep chromosome:Prunus_persica_NCBIv2:G6:2162928:2166831:-1 gene:PRUPE_6G027700 transcript:ONH99381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNWRPPQVGEPPIDAGDWRSQLQPDSRQRIVNKITDTLKRHLPFSGQEGLQEIRKIAVRFEEKIHTAATSQSDYLRKISLKMLSMETNSQHRMANSSQSNSADLMDTHNERLLQGGELPMVAGNWRSQLLPDSRRRIVDKILNTLKRHLLVSGQEGIDELRRIAERFEERTYDSSSSQSDYLRRISLKMLTFEAKYQWTAPTAAPSNLKRHRVFP >ONH99383 pep chromosome:Prunus_persica_NCBIv2:G6:2162993:2166736:-1 gene:PRUPE_6G027700 transcript:ONH99383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNWRPPQVGEPPIDAGDWRSQLQPDSRQRIVNKITDTLKRHLPFSGQEGLQEIRKIAVRFEEKIHTAATSQSDYLRKISLKMLSMETNSQHRMANSSQSNSAGSFGVQPQVPYQGQLLSMQLSANQSLARQQLLSQNIPNNIPPASDLMDTHNERLLQGGELPMVAGNWRSQLLPDSRRRIVDKILNTLKRHLLVSGQEGIDELRRIAERFEERTYDSSSSQSDYLRRISLKMLTFEAKYQWTAPTAAPSNLKRHRVFP >ONH99384 pep chromosome:Prunus_persica_NCBIv2:G6:2163196:2166274:-1 gene:PRUPE_6G027700 transcript:ONH99384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNWRPPQVGEPPIDAGDWRSQLQPDSRQRIVNKITDTLKRHLPFSGQEGLQEIRKIAVRFEEKIHTAATSQSDYLRKISLKMLSMETNSQHRMANSSQSNSAGSFGVQPQVPYQGQLLSMQLSANQSLARQQLLSQNIPNNIPPASGLPQTPIPNLMDTHNERLLQGGELPMVAGNWRSQLLPDSRRRIVDKILNTLKRHLLVSGQEGIDELRRIAERFEERTYDSSSSQSDYLRRISLKMLTFEAKYQWTAPTAAPSNLKRHRVFP >ONH99382 pep chromosome:Prunus_persica_NCBIv2:G6:2162928:2166848:-1 gene:PRUPE_6G027700 transcript:ONH99382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNWRPPQVGEPPIDAGDWRSQLQPDSRQRIVNKITDTLKRHLPFSGQEGLQEIRKIAVRFEEKIHTAATSQSDYLRKISLKMLSMETNSQHRMANSSQSNSADLMDTHNERLLQGGELPMVAGNWRSQLLPDSRRRIVDKILNTLKRHLLVSGQEGIDELRRIAERFEERTYDSSSSQSDYLRRISLKMLTFEAKYQWTAPTAAPSNLKRHRVFP >ONH99385 pep chromosome:Prunus_persica_NCBIv2:G6:2162928:2166831:-1 gene:PRUPE_6G027700 transcript:ONH99385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNWRPPQVGEPPIDAGDWRSQLQPDSRQRIVNKITDTLKRHLPFSGQEGLQEIRKIAVRFEEKIHTAATSQSDYLRKISLKMLSMETNSQHRMANSSQSNSAGSFGVQPQVPYQGQLLSMQLSANQSLARQQLLSQNIPNNIPPASGLPQTPIPNLMDTHNERLLQGGELPMVAGNWRSQLLPDSRRRIVDKILNTLKRHLLVSGQEGIDELRRIAERFEERTYDSSSSQSDYLRRISLKMLTFEAKYQWTAPTAAPSNLKRHRVFP >ONH99386 pep chromosome:Prunus_persica_NCBIv2:G6:2163196:2166274:-1 gene:PRUPE_6G027700 transcript:ONH99386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNWRPPQVGEPPIDAGDWRSQLQPDSRQRIVNKITDTLKRHLPFSGQEGLQEIRKIAVRFEEKIHTAATSQSDYLRKISLKMLSMETNSQHRMANSSQSNSAVGSFGVQPQVPYQGQLLSMQLSANQSLARQQLLSQNIPNNIPPASGLPQTPIPNLMDTHNERLLQGGELPMVAGNWRSQLLPDSRRRIVDKILNTLKRHLLVSGQEGIDELRRIAERFEERTYDSSSSQSDYLRRISLKMLTFEAKYQWTAPTAAPSNLKRHRVFP >ONH99387 pep chromosome:Prunus_persica_NCBIv2:G6:2163196:2166274:-1 gene:PRUPE_6G027700 transcript:ONH99387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNWRPPQVGEPPIDAGDWRSQLQPDSRQRIVNKITDTLKRHLPFSGQEGLQEIRKIAVRFEEKIHTAATSQSDYLRKISLKMLSMETNSQHRMANSSQSNSAVGSFGVQPQVPYQGQLLSMQLSANQSLARQQLLSQNIPNNIPPASGLPQTPIPNLMDTHNERLLQGGELPMVAGNWRSQLLPDSRRRIVDKILNTLKRHLLVSGQEGIDELRRIAERFEERTYDSSSSQSDYLRRISLKMLTFEAKYQWTAPTAAPSNLKRHRVFP >ONI01248 pep chromosome:Prunus_persica_NCBIv2:G6:10086495:10089771:-1 gene:PRUPE_6G129800 transcript:ONI01248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEVVAGAFLSSFLSVLFHRMSSRQVIDFIRGRKKTNKLLGMLKIKQRSVDALLDDAEEKQIRNTAVREWLDELKDAVYEADDLLDEINIKALQCNLEAESGSSSTSKITILNSTSFDEIKNAIEPRIAKILDRLELILKEKVDLCIKTSVKGRQQATLPTTSLVEDNSVYGRDGDKDTIIELLLSNDGENNKISIIPIVGMGGIGKTTLAQLVYKDLRVKQHFDLQVWVCVSEEFDVVRITQIIYGAVSSQTCDMTDLNLLQVKLQEALTGKKFLFVCDDVWNENYIQWDLLRRPFESGAHGSKIIVTTRNEGVASIMGTLPTHHLMEISDDNCWLLFAKHAFKIEGLNENSKLEVIGREIVKKCKGLPLAAKALGGLLRSKANEDEWKNILKSDIWELLDKNVNILPALWLSYHYLPPHLKRCFAYCSLFPKDHNFKKSKLVMLWMAEDLLQPRKKKMAEEVGEEYFDDLVSRSFFQQSSSVQSFFTMHDLINDLAKFVSGKFCVRLEDSDSLNTAIKTRKFRMLDLYHLLHKLQYVRVLNLSRYDIRELPDSISNLIHLRYLDMSYTLIQKLPDSVCILYNLQTLLLSWCLALAELPTDLGKLINLRHLDIRGTKLEKMPPKMGELKDLQTLSDFVLDKDHGDDIAELKEFHHLHGTLRIAGLQNIVHAEDALKSNMREKEHLNELILQWGCNSNDSEKDRQVLNNLQPHANLKELTICSYGSTSFSRWLVHCSSNLVCLRLKRCENILLLPPLGQLPLLKELEIDGLNGVVSIDNEFYADDTCAIRPSFQCLQMLKIKNMLEWEKWSYEGGGFPNLRELRLLKCPKLTGILTLDYFPRLNMLKLCGAGLESVTNISQELILTDLTEIYINECKKLRSLPEQMQALLPSLQSMSIENCPEMHSFFEGGLPSKLKSVSIRSCKKLIANRVQWSLPRLTSLRHLTVSFEECEAVDSFPEEGLLPSSLTSLWISSLLNLRTIGGELTHLTSLQELTIQMCPELQWLPDEGLQTSLSHLQISECPLLKQRCQRETGEDWPKIAHINNIEIDGKQI >ONH99750 pep chromosome:Prunus_persica_NCBIv2:G6:3432074:3432605:-1 gene:PRUPE_6G047800 transcript:ONH99750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEISHSVTAGEILEANPNHVLSKPSSQGVVRRILILSPETELKRGSIYFLIPTSSIPEKKKKSKTSGVKKPSKKSTKNHTESTDRYLMEIVTEKKSSSRRDRRAGRATVWRPHLESITED >ONI03689 pep chromosome:Prunus_persica_NCBIv2:G6:25968140:25973910:-1 gene:PRUPE_6G275400 transcript:ONI03689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSVVFHGDLCLGELDAIPVKDQKFQFPNNEIRINRISQQSERCPPLSILQTISSFSVRCKLESTSPVEQPHLINLHACCFYEFKTAVVVVGGEEIHLVAMPSKQKKFPCFWCYAVPVGLYSASLRMLNLRCLSIVFDLDETLIVANTMKSFDDRIEALRSWIARESDMVRIAGMSAEMKRYMDDRWLLKQYIDNDCVVDNGKVYKVQQEDVPPLSDNHEKIVRPIIRLPDKNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPESHLIGSKQLLDRVVCVKTGSRKSLLNVFQHGVCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETASPVPVLCVARNVACNVRGCFFKEFDEILLRRISEVFYEDEVVNLPPAPDVSNYLMSEDAGLATNGNVNAPVSEGMNGGEVARRINQSDDKFGTDSVAHSLKNHAEARSDNSPAPVAILPNVVGAASSRPVMPSQKPGLLGPPVRRDSFSDRDYEMKRGLLGTNPGLDMRNQTSAELPHLSRVPAQMPASSIHAQGGWLVDDDNNRGPPSNRPSGFVQPPDIIKSEKLVHQNPFNPATPSSTPSGPSNRPSGFVQPPDIIKSEKLVHQNPFSPATPSSTPSGLLSHKSDVKREEVCSGQDLQKQNLPPPSQLSEAGASQNQASSFNRESHLESAKVNLLPSPLSIGVLQEIGRRCSSKVEFRSVVSTSNDLQFSVEVLFTGEKIGFGMGRTRKDAQQQAAENALHSLADKYVAYLAPRSGAVDRDIDKVSVGNENGFVLDIVGPELTELLMEDGMPKESTSEAAEVEPGSTYITVSQQVQKRASSPR >ONI03693 pep chromosome:Prunus_persica_NCBIv2:G6:25967259:25974105:-1 gene:PRUPE_6G275400 transcript:ONI03693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSVVFHGDLCLGELDAIPVKDQKFQFPNNEIRINRISQQSERCPPLSILQTISSFSVRCKLESTSPVEQPHLINLHACCFYEFKTAVVVVGGEEIHLVAMPSKQKKFPCFWCYAVPVGLYSASLRMLNLRCLSIVFDLDETLIVANTMKSFDDRIEALRSWIARESDMVRIAGMSAEMKRYMDDRWLLKQYIDNDCVVDNGKVYKVQQEDVPPLSDNHEKIVRPIIRLPDKNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPESHLIGSKQLLDRVVCVKTGSRKSLLNVFQHGVCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETASPVPVLCVARNVACNVRGCFFKEFDEILLRRISEVFYEDEVVNLPPAPDVSNYLMSEDAGLATNGNVNAPVSEGMNGGEVARRINQSDDKFGTDSVAHSLKNHAEARSDNSPAPVAILPNVVGAASSRPVMPSQKPGLLGPPVRRDSFSDRDYEMKRGLLGTNPGLDMRNQTSAELPHLSRVPAQMPASSIHAQGGWLVDDDNNRGPPSNRPSGFVQPPDIIKSEKLVHQNPFNPATPSSTPSGPSNRPSGFVQPPDIIKSEKLVHQNPFSPATPSSTPSGLLSHKSDVKREEVCSGQDLQKQNLPPPSQLSEAGASQNQASSFNRESHLESAKVNLLPSPLSIGVLQEIGRRCSSKVEFRSVVSTSNDLQFSVEVLFTGEKIGFGMGRTRKDAQQQAAENALHSLADKYVAYLAPRSGAVDRDIDKVSVGNENGFVLDIVGPELTELLMEDGMPKESTSEVGIFDHAFSS >ONI03690 pep chromosome:Prunus_persica_NCBIv2:G6:25968074:25974105:-1 gene:PRUPE_6G275400 transcript:ONI03690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSVVFHGDLCLGELDAIPVKDQKFQFPNNEIRINRISQQSERCPPLSILQTISSFSVRCKLESTSPVEQPHLINLHACCFYEFKTAVVVVGGEEIHLVAMPSKQKKFPCFWCYAVPVGLYSASLRMLNLRCLSIVFDLDETLIVANTMKSFDDRIEALRSWIARESDMVRIAGMSAEMKRYMDDRWLLKQYIDNDCVVDNGKVYKVQQEDVPPLSDNHEKIVRPIIRLPDKNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPESHLIGSKQLLDRVVCVKTGSRKSLLNVFQHGVCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETASPVPVLCVARNVACNVRGCFFKEFDEILLRRISEVFYEDEVVNLPPAPDVSNYLMSEDAGLATNGNVNAPVSEGMNGGEVARRINQSDDKFGTDSVAHSLKNHAEARSDNSPAPVAILPNVVGAASSRPVMPSQKPGLLGPPVRRDSFSDRDYEMKRGLLGTNPGLDMRNQTSAELPHLSRVPAQMPASSIHAQGGWLVDDDNNRGPPSNRPSGFVQPPDIIKSEKLVHQNPFNPATPSSTPSGPSNRPSGFVQPPDIIKSEKLVHQNPFSPATPSSTPSGLLSHKSDVKREEVCSGQDLQKQNLPPPSQLSEAGASQNQASSFNRESHLESAKVNLLPSPLSIGVLQEIGRRCSSKVEFRSVVSTSNDLQFSVEVLFTGEKIGFGMGRTRKDAQQQAAENALHSLADKYVAYLAPRSGAVDRDIDKVSVGNENGFVLDIVGPELTELLMEDGMPKESTSEAAEVEPGSTYITVSQQVQKRASSPR >ONI03688 pep chromosome:Prunus_persica_NCBIv2:G6:25967259:25974105:-1 gene:PRUPE_6G275400 transcript:ONI03688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSVVFHGDLCLGELDAIPVKDQKFQFPNNEIRINRISQQSERCPPLSILQTISSFSVRCKLESTSPVEQPHLINLHACCFYEFKTAVVVVGGEEIHLVAMPSKQKKFPCFWCYAVPVGLYSASLRMLNLRCLSIVFDLDETLIVANTMKSFDDRIEALRSWIARESDMVRIAGMSAEMKRYMDDRWLLKQYIDNDCVVDNGKVYKVQQEDVPPLSDNHEKIVRPIIRLPDKNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPESHLIGSKQLLDRVVCVKTGSRKSLLNVFQHGVCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETASPVPVLCVARNVACNVRGCFFKEFDEILLRRISEVFYEDEVVNLPPAPDVSNYLMSEDAGLATNGNVNAPVSEGMNGGEVARRINQSDDKFGTDSVAHSLKNHAEARSDNSPAPVAILPNVVGAASSRPVMPSQKPGLLGPPVRRDSFSDRDYEMKRGLLGTNPGLDMRNQTSAELPHLSRVPAQMPASSIHAQGGWLVDDDNNRGPPSNRPSGFVQPPDIIKSEKLVHQNPFNPATPSSTPSGPSNRPSGFVQPPDIIKSEKLVHQNPFSPATPSSTPSGLLSHKSDVKREEVCSGQDLQKQNLPPPSQLSEAGASQNQASSFNRESHLESAKVNLLPSPLSIGVLQEIGRRCSSKVEFRSVVSTSNDLQFSVEVLFTGEKIGFGMGRTRKDAQQQAAENALHSLADKYVAYLAPRSGAVDRDIDKVSVGNENGFVLDIVGPELTELLMEDGMPKESTSEAAEVEPGSTYITVSQQVQKRASSPRLLQSLPNKRLKEEILHGSQSLSSSRPQKNVPSDKP >ONI03691 pep chromosome:Prunus_persica_NCBIv2:G6:25968860:25973910:-1 gene:PRUPE_6G275400 transcript:ONI03691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSVVFHGDLCLGELDAIPVKDQKFQFPNNEIRINRISQQSERCPPLSILQTISSFSVRCKLESTSPVEQPHLINLHACCFYEFKTAVVVVGGEEIHLVAMPSKQKKFPCFWCYAVPVGLYSASLRMLNLRCLSIVFDLDETLIVANTMKSFDDRIEALRSWIARESDMVRIAGMSAEMKRYMDDRWLLKQYIDNDCVVDNGKVYKVQQEDVPPLSDNHEKIVRPIIRLPDKNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPESHLIGSKQLLDRVVCVKTGSRKSLLNVFQHGVCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETASPVPVLCVARNVACNVRGCFFKEFDEILLRRISEVFYEDEVVNLPPAPDVSNYLMSEDAGLATNGNVNAPVSEGMNGGEVARRINQSDDKFGTDSVAHSLKNHAEARSDNSPAPVAILPNVVGAASSRPVMPSQKPGLLGPPVRRDSFSDRDYEMKRGLLGTNPGLDMRNQTSAELPHLSRVPAQMPASSIHAQGGWLVDDDNNRGPPSNRPSGFVQPPDIIKSEKLVHQNPFNPATPSSTPSGPSNRPSGFVQPPDIIKSEKLVHQNPFSPATPSSTPSGLLSHKSDVKREEVCSGQDLQKQNLPPPSQLSEAGASQNQASSFNRESHLESAKVNLLPSPLSIGVLQEIGRRCSSKVEFRSVVSTSNDLQFSVEVLFTGEKIGFGMGRTRKDAQQQAAENALHSLADKYVAYLAPRSGAVDRDIDKVSVGNENGFVLDIVGPELTELLMEDGMPKESTSEVGIFDHAFSS >ONI03692 pep chromosome:Prunus_persica_NCBIv2:G6:25968860:25973910:-1 gene:PRUPE_6G275400 transcript:ONI03692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFKSVVFHGDLCLGELDAIPVKDQKFQFPNNEIRINRISQQSERCPPLSILQTISSFSVRCKLESTSPVEQPHLINLHACCFYEFKTAVVVVGGEEIHLVAMPSKQKKFPCFWCYAVPVGLYSASLRMLNLRCLSIVFDLDETLIVANTMKSFDDRIEALRSWIARESDMVRIAGMSAEMKRYMDDRWLLKQYIDNDCVVDNGKVYKVQQEDVPPLSDNHEKIVRPIIRLPDKNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPESHLIGSKQLLDRVVCVKTGSRKSLLNVFQHGVCHPKMAMVIDDRSKVWEDKDQPRVHVVPAFTPYYAPQAETASPVPVLCVARNVACNVRGCFFKEFDEILLRRISEVFYEDEVVNLPPAPDVSNYLMSEDAGLATNGNVNAPVSEGMNGGEVARRINQSDDKFGTDSVAHSLKNHAEARSDNSPAPVAILPNVVGAASSRPVMPSQKPGLLGPPVRRDSFSDRDYEMKRGLLGTNPGLDMRNQTSAELPHLSRVPAQMPASSIHAQGGWLVDDDNNRGPPSNRPSGFVQPPDIIKSEKLVHQNPFNPATPSSTPSGPSNRPSGFVQPPDIIKSEKLVHQNPFSPATPSSTPSGLLSHKSDVKREEVCSGQDLQKQNLPPPSQLSEAGASQNQASSFNRESHLESAKVNLLPSPLSIGVLQEIGRRCSSKVEFRSVVSTSNDLQFSVEVLFTGEKIGFGMGRTRKDAQQQAAENALHSLADKYVAYLAPRSGAVDRDIDKVSVGNENGFVLDIVGPELTELLMEDGMPKESTSEVGIFDHAFSS >ONI03885 pep chromosome:Prunus_persica_NCBIv2:G6:26678307:26678940:1 gene:PRUPE_6G288400 transcript:ONI03885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFKDVMSTAMAVIFLRKSIYVYEEFGVLLIDFVSVVTSTIQVSGFVFHRSTRVSNSTGRTSPMSSMLARPDR >ONI01165 pep chromosome:Prunus_persica_NCBIv2:G6:9607996:9610134:1 gene:PRUPE_6G125500 transcript:ONI01165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTAINLRKQRQTQGSADQPDPLEHLITMENQRNLPTEIVSDILSRVPVKSLCRFRCVSKPWLSLITHPDFVKLHYNRAFEKEGILHQRRRVLIDVPGSNSLFSFGIDEFLKRNDHGGDAEVEESDIDCVYCHEELDSGVMLVVPCNGLLFFTVSNLDLYLLNPATRESKKLPGPEGFGMDGCSHHLFGFGFDHSADDYKVVYGRCFDDGVDFGVYMLKTGSWRVIEERYPYKRAVTDDSKGILVNGGFHWLSRRIGDQSIMIMAFLLAEEEVREIEAPPDFTESDLIYFSLGAFRECLCVSQWILQDAGNHEFWVMKDYGVSESWTKIKLSIVMLDSGNILPAPYRTKSHDLLFAKWISDFYLYNFDDHTFRTMSITLFQEFRDAEVYLESLVPLN >ONI01362 pep chromosome:Prunus_persica_NCBIv2:G6:10778240:10784505:1 gene:PRUPE_6G135500 transcript:ONI01362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSSSGSSSNPSRPSSLSGSSSGWAQPQVNSPQVVSVVKEEEIGEEAQEHVIVDELSEYCGSGDNKAVKNDDLLTESIHNDDNVSSSDELAEGEKVSKNDIADPGEMMKGLGGLRISEKVTVENVDSSRDSLQNAIGSSQPPPPPVPPPKLSSANSNSRRSVSGSSNSVRIGPSRRAVAWPVVSTRTSPTGSRPSSPRSHGEGEGYNSADEQSPCFVSSYDDVERERQFEIDIRRAKGFEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDYFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYDTDTPPVRLSYHHGNHYNSLVDPRRQTIGAGLGFSCLRGMGANVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYHADDTFKQQLGRRESSTSSAEPSSSGARSSGSETKLGVRGHGFQDSPLSSSMQVVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETSSSSRRKGKATE >ONI01364 pep chromosome:Prunus_persica_NCBIv2:G6:10779136:10783882:1 gene:PRUPE_6G135500 transcript:ONI01364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSSSGSSSNPSRPSSLSGSSSGWAQPQVNSPQVVSVVKEEEIGEEAQEHVIVDELSEYCGSGDNKAVKNDDLLTESIHNDDNVSSSDELAEGEKVSKNDIADPGEMMKGLGGLRISEKVTVENVDSSRDSLQNAIGSSQPPPPPVPPPKLSSANSNSRRSVSGSSNSVRIGPSRRAVAWPVVSTRTSPTGSRPSSPRSHGEGEGYNSADEQSPCFVSSYDDVERERQFEIDIRRAKGFEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDYFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYDTDTPPVRLSYHHGNHYNSLVDPRRQTIGAGLGFSCLRGMGANVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYHADDTFKQQLGRRESSTSSAEPSSSGARYSFGCSLLCVCQMT >ONI01355 pep chromosome:Prunus_persica_NCBIv2:G6:10778169:10784505:1 gene:PRUPE_6G135500 transcript:ONI01355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSSSGSSSNPSRPSSLSGSSSGWAQPQVNSPQVVSVVKEEEIGEEAQEHVIVDELSEYCGSGDNKAVKNDDLLTESIHNDDNVSSSDELAEGEKVSKNDIADPGEMMKGLGGLRISEKVTVENVDSSRDSLQNAIGSSQPPPPPVPPPKLSSANSNSRRSVSGSSNSVRIGPSRRAVAWPVVSTRTSPTGSRPSSPRSHGEGEGYNSADEQSPCFVSSYDDVERERQFEIDIRRAKGFEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDYFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYDTDTPPVRLSYHHGNHYNSLVDPRRQTIGAGLGFSCLRGMGANVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYHADDTFKQQLGRRESSTSSAEPSSSGARSSGSETKLGVRGHGFQDSPLSSSMQVVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETSSSSRRKGKATE >ONI01365 pep chromosome:Prunus_persica_NCBIv2:G6:10778240:10784505:1 gene:PRUPE_6G135500 transcript:ONI01365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSSSGSSSNPSRPSSLSGSSSGWAQPQVNSPQVVSVVKEEEIGEEAQEHVIVDELSEYCGSGDNKAVKNDDLLTESIHNDDNVSSSDELAEGEKVSKNDIADPGEMMKGLGGLRISEKVTVENVDSSRDSLQNAIGSSQPPPPPVPPPKLSSANSNSRRSVSGSSNSVRIGPSRRAVAWPVVSTRTSPTGSRPSSPRSHGEGEGYNSADEQSPCFVSSYDDVERERQFEIDIRRAKGFEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDYFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYDTDTPPVRLSYHHGNHYNSLVDPRRQTIGAGLGFSCLRGMGKC >ONI01359 pep chromosome:Prunus_persica_NCBIv2:G6:10778240:10785330:1 gene:PRUPE_6G135500 transcript:ONI01359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSSSGSSSNPSRPSSLSGSSSGWAQPQVNSPQVVSVVKEEEIGEEAQEHVIVDELSEYCGSGDNKAVKNDDLLTESIHNDDNVSSSDELAEGEKVSKNDIADPGEMMKGLGGLRISEKVTVENVDSSRDSLQNAIGSSQPPPPPVPPPKLSSANSNSRRSVSGSSNSVRIGPSRRAVAWPVVSTRTSPTGSRPSSPRSHGEGEGYNSADEQSPCFVSSYDDVERERQFEIDIRRAKGFEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDYFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYDTDTPPVRLSYHHGNHYNSLVDPRRQTIGAGLGFSCLRGMGANVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYHADDTFKQQLGRRESSTSSAEPSSSGARSSGSETKLGVRGHGFQDSPLSSSMQVVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETSSSSRRKGKATE >ONI01360 pep chromosome:Prunus_persica_NCBIv2:G6:10778169:10784505:1 gene:PRUPE_6G135500 transcript:ONI01360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSSSGSSSNPSRPSSLSGSSSGWAQPQVNSPQVVSVVKEEEIGEEAQEHVIVDELSEYCGSGDNKAVKNDDLLTESIHNDDNVSSSDELAEGEKVSKNDIADPGEMMKGLGGLRISEKVTVENVDSSRDSLQNAIGSSQPPPPPVPPPKLSSANSNSRRSVSGSSNSVRIGPSRRAVAWPVVSTRTSPTGSRPSSPRSHGEGEGYNSADEQSPCFVSSYDDVERERQFEIDIRRAKGFEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDYFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYDTDTPPVRLSYHHGNHYNSLVDPRRQTIGAGLGFSCLRGMGANVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYHADDTFKQQLGRRESSTSSAEPSSSGARSSGSETKLGVRGHGFQDSPLSSSMQVVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETSSSSRRKGKATE >ONI01361 pep chromosome:Prunus_persica_NCBIv2:G6:10778240:10784505:1 gene:PRUPE_6G135500 transcript:ONI01361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSSSGSSSNPSRPSSLSGSSSGWAQPQVNSPQVVSVVKEEEIGEEAQEHVIVDELSEYCGSGDNKAVKNDDLLTESIHNDDNVSSSDELAEGEKVSKNDIADPGEMMKGLGGLRISEKVTVENVDSSRDSLQNAIGSSQPPPPPVPPPKLSSANSNSRRSVSGSSNSVRIGPSRRAVAWPVVSTRTSPTGSRPSSPRSHGEGEGYNSADEQSPCFVSSYDDVERERQFEIDIRRAKGFEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDYFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYDTDTPPVRLSYHHGNHYNSLVDPRRQTIGAGLGFSCLRGMGANVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYHADDTFKQQLGRRESSTSSAEPSSSGARSSGSETKLGVRGHGFQDSPLSSSMQVVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETSSSSRRKGKATE >ONI01357 pep chromosome:Prunus_persica_NCBIv2:G6:10778117:10784505:1 gene:PRUPE_6G135500 transcript:ONI01357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSSSGSSSNPSRPSSLSGSSSGWAQPQVNSPQVVSVVKEEEIGEEAQEHVIVDELSEYCGSGDNKAVKNDDLLTESIHNDDNVSSSDELAEGEKVSKNDIADPGEMMKGLGGLRISEKVTVENVDSSRDSLQNAIGSSQPPPPPVPPPKLSSANSNSRRSVSGSSNSVRIGPSRRAVAWPVVSTRTSPTGSRPSSPRSHGEGEGYNSADEQSPCFVSSYDDVERERQFEIDIRRAKGFEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDYFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYDTDTPPVRLSYHHGNHYNSLVDPRRQTIGAGLGFSCLRGMGANVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYHADDTFKQQLGRRESSTSSAEPSSSGARSSGSETKLGVRGHGFQDSPLSSSMQVVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETSSSSRRKGKATE >ONI01363 pep chromosome:Prunus_persica_NCBIv2:G6:10779136:10783882:1 gene:PRUPE_6G135500 transcript:ONI01363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSSSGSSSNPSRPSSLSGSSSGWAQPQVNSPQVVSVVKEEEIGEEAQEHVIVDELSEYCGSGDNKAVKNDDLLTESIHNDDNVSSSDELAEGEKVSKNDIADPGEMMKGLGGLRISEKVTVENVDSSRDSLQNAIGSSQPPPPPVPPPKLSSANSNSRRSVSGSSNSVRIGPSRRAVAWPVVSTRTSPTGSRPSSPRSHGEGEGYNSADEQSPCFVSSYDDVERERQFEIDIRRAKGFEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDYFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYDTDTPPVRLSYHHGNHYNSLVDPRRQTIGAGLGFSCLRGMGANVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYHADDTFKQQLGRRESSTSSAEPSSSGARYSFGCSLLCVCQMT >ONI01356 pep chromosome:Prunus_persica_NCBIv2:G6:10778240:10784585:1 gene:PRUPE_6G135500 transcript:ONI01356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSSSGSSSNPSRPSSLSGSSSGWAQPQVNSPQVVSVVKEEEIGEEAQEHVIVDELSEYCGSGDNKAVKNDDLLTESIHNDDNVSSSDELAEGEKVSKNDIADPGEMMKGLGGLRISEKVTVENVDSSRDSLQNAIGSSQPPPPPVPPPKLSSANSNSRRSVSGSSNSVRIGPSRRAVAWPVVSTRTSPTGSRPSSPRSHGEGEGYNSADEQSPCFVSSYDDVERERQFEIDIRRAKGFEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDYFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYDTDTPPVRLSYHHGNHYNSLVDPRRQTIGAGLGFSCLRGMGANVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYHADDTFKQQLGRRESSTSSAEPSSSGARSSGSETKLGVRGHGFQDSPLSSSMQVVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETSSSSRRKGKATE >ONI01358 pep chromosome:Prunus_persica_NCBIv2:G6:10778240:10784582:1 gene:PRUPE_6G135500 transcript:ONI01358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILVQRGSSSGSSSNPSRPSSLSGSSSGWAQPQVNSPQVVSVVKEEEIGEEAQEHVIVDELSEYCGSGDNKAVKNDDLLTESIHNDDNVSSSDELAEGEKVSKNDIADPGEMMKGLGGLRISEKVTVENVDSSRDSLQNAIGSSQPPPPPVPPPKLSSANSNSRRSVSGSSNSVRIGPSRRAVAWPVVSTRTSPTGSRPSSPRSHGEGEGYNSADEQSPCFVSSYDDVERERQFEIDIRRAKGFEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDYFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYDTDTPPVRLSYHHGNHYNSLVDPRRQTIGAGLGFSCLRGMGANVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYHADDTFKQQLGRRESSTSSAEPSSSGARSSGSETKLGVRGHGFQDSPLSSSMQVVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETSSSSRRKGKATE >ONI02459 pep chromosome:Prunus_persica_NCBIv2:G6:20664098:20667421:1 gene:PRUPE_6G199700 transcript:ONI02459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAATDLFIGKFVAILESEAASIAGVRDQVDEIKQELVFMKSFLEDADGGEQAHTQVEKAWVASVRDLANDVENTIDEFMYRVYEQRNGGRFSRWFHKTIHFPKHLWYKRRIANKLQKIAVAIRAIPERNQRYHGAAAVEVKSTSEDTRRWVRNQAESSLYQKEDELVGIEGDKNMLLGWLMDEAKHQIVVSVVGMGGSGKTTLVARIFKDDIVKRDFECYAWITVSQSYVIEDLLRRLIKEFHKGKREEVPADINAMSYNELLEILVNYLETKRYLIVLDDVWDVHLWDKIRFSFPDKQLGSRVMLTTRREDIASSSFGVESHVHKIQPLERGDAWELFSMKAFSSYQNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLKEWSTVYNSLNWHLTNNSLLEPMKMRILLFSFNDLPYRLKQCFLSCSLFPEDHVIVNNRLITLWIAEGFVEHVEGLTPEEVANSYLMELIFRNMLQQRFLGSLPACKMHDLLREIALSIAKEEKFCVVHDGGEIVEETGALRLSIQTTNGEIRSCTGISRFRSFLVFATSVSSFSFPNKLPFDLKLLKVLDLEDVPIDNLPDNLTSLFNLKYLNLSGTPITELPESIGQLRNLQTLNINLTKIEALPRGISKLLNLRHLLVSRSIYGKAIGVRIPSSISKMKKLQTLAYIESEGNIIRLIGSMTQLTFLGITNVKERDEEDLCASIQEMKVLSRLFLSVADGEEFLRVDALSSRTPYLDRLELVGKLEKVPHWFCSLHSLASLNLSGSRLEEDLLPHIEALPSLRSLWLRNASVRKELCFNRGFVKLRHLWVSDLALLNKITIEKGAMPNLEFIRIHDCLTLETLPQGIEDLTNLQVFRFDNVSEKFRESIKEGGVDHPRMLLVDERCKKYANKSWD >ONI03846 pep chromosome:Prunus_persica_NCBIv2:G6:26486991:26488108:-1 gene:PRUPE_6G285500 transcript:ONI03846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYFLGSASGPQTPWLMLLSIQQNRTHNYNDTTRCFYSFQEKKLYTIEGAFQDFDNAWCVGSSHGWLVILDKRANPHLLNPISGRRIQLPLFWPVDRSIDENLRKTYIATAILSSAPSGNYNNFVVAIIYGRLLDLPAPLELAFCKYGGTWTSLEGKHRAYSDIIFHNNQLIAFAEHGSVEVWDFKNTSFPIRTINLRRPSLGSLQDRSNILKFRSWEFSTRTYLVESLGDILFVGRVKGNFLDRQGRDVDPLSPGDEDCFPYRTMQFYIFKLNLSAKKWEKVECLPDRALFLGGNQSISLSTIDFPEFEANSIYFTDDKWDEISFKFHGFANDYVVKPFDQFGKWRIDPPPFWIVPNPW >ONI01475 pep chromosome:Prunus_persica_NCBIv2:G6:11455307:11456194:-1 gene:PRUPE_6G141500 transcript:ONI01475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMFCGDITESALHVLAMCPFAVATWNISLLTRHAHQVHCHTMKDWLREMLEGTSKAQRDHIITLLWAIWGNRNALLWQGVQRSPHEVVGFAQQYVHEFITANDTPSKVTDRVRDPVRWAAPPSGRLKFNFDGAFDPTSGRGAVGVVARDADGGFVAAVAKSVGEVLSAEHAEILAAREGVALALSLGTASPIFEGDSAVVVSAIKRAGQDYSNIGTIVEDVKHLQQQFPSSLFQFTPREANGVAHRLARFGLHNVDNFIWFEVPPDLIQDALLCDVLSRGQAHCPPRCHSSLYQ >ONI05126 pep chromosome:Prunus_persica_NCBIv2:G6:30283882:30289145:-1 gene:PRUPE_6G357400 transcript:ONI05126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKVLLTYKRKRQSRTDPVQGHECHNSLFVAPDDTSLSKSPDLPVHLIDKRSSEHYNRNSAVCRVCFVCCVGGNLKHCGKCLQSYHLQCLDKPHKEKKHTEVSGTRQIPIKTFPTSLDEVPTQRDAYGNKSSGKKVGSSSNANVGGRSVSQLVMNSAVITADFVRQKSSSSAAAFERKSSSECDGSSPRLNTSNLEDTDSFSRNKLDKLGGDSAAQNKLTTPLVTFCRRNKRKKDMDESNIQRKSLPVENSCSLITKLNNCVCTNTSSYEETSPENCSVDHEADLKHSREIFDFRYAHAGSAAAGTKILMHEEEQLHDEEKTGSDALQQAGKVRGQNAQSAMDVEVLCTDHLRKSSDTRDSSSEAVTLCKPGKTHALIRDEAQDLSSDDLKATETPHLGRSLPYLDLSVIPTEFLLADSCGTVECNVDLNLSSQKQPDLAVPKTTWDSLDSTSRNNATVLHELSPPEMSAARIEGVETHASRLHKDAFEFLEVGDSCKDDDKVSPLFSKEITQKNQCLQLFSEEKTSDIFRPVTTQPVAASSIASEILQLGGKNNQPEQESPLLLGLSLPENPLTAGCATNTCFSAFPFLNSVIETREFIRDAALQSSSSHLSSVLRHRLMHDSIASRARAFNEWSSFHDKCKPYSTMWSEEELDFLWIGVRRHGRDNWDAMLRDPRLHFSSWRVARDLAERWEEEQSKLLSGICVPQFKYSIAQGSSLDYHYFLGPKTGIWKENTADEPGLSFCNVDACRGGNAWRGPLFQPAYTCSNGNEHLQRPISYTKRTSHFGFLREKYDEDEFSILSRSRSMAKGYLLSTNGPTTCVGAKGNLPHWLREAVVASPVSLTEPMPPSTVSLIAHPDMLNVTYPDFDRQESHFVPRNETRFTMGVFRENNLQPLSTSPLSNYPSGIGLGGLGMANLRRDYSRHGGKQEDLIVIDSDASSEETISDDRSARV >ONH99375 pep chromosome:Prunus_persica_NCBIv2:G6:2135211:2139581:-1 gene:PRUPE_6G027400 transcript:ONH99375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSFYFTKNTSLTVLGRIVFHCSYRFCRQCFFKIITLIIKFCTILCAYIDTYFCIASPDSAAQTGHATRGDWQEVVYQKANMNSIQSNSGMLQHQHIKQQQEQEINYVNELKMRRAAGQCAFSHQQLKSGSPFPISSTTQFLQAASPKISRHSSPQNDQQNLLAHTKAGTSSSPFVIPSPSTPMAPSSVPGDSEKPSSLANAGKIGQLQATVVGSQIQSLAIGTPGISASPLLAEFSVPDGTHVNALSTISGKSNVTEQPLERLIKAVKSMSSNALSASVSDIGSVVSMSRIAGSAPGKGSRDAVGEDLITMTKCCLQGRNVLTRDGTNGSRKIRRFTSAVPLNVVPSAGNDCFRQLASSETSDLESTVTSRIKRPRIEANHALLEEIREIRQRLINTVVDISDQDDDPSAPAADGGKGTIFKCFFDAVAFGLDLKSQYASAQMSPIHPLRLLVPSNYPNCSPILLDKFPVEVSKEYEDLSVKAKSKFSISLRSISEPMSLGEIARTWDVCACAVISEHAQQSGGGSFSSRYGTWENCLSAA >ONH99376 pep chromosome:Prunus_persica_NCBIv2:G6:2135267:2145546:-1 gene:PRUPE_6G027400 transcript:ONH99376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNWRPPQVREPSMDAGNWGSQLQLESRPSIVNMIMDALKRHFPCSGQESSPELKKIAVRFEEMIYTAATSESDYFEKIALKIYSLETRSQNAIENSSPINSAGNINRPPDPDSAAQTGHATRGDWQEVVYQKANMNSIQSNSGMLQHQHIKQQQEQEINYVNELKMRRAAGQCAFSHQQLKSGSPFPISSTTQFLQAASPKISRHSSPQNDQQNLLAHTKAGTSSSPFVIPSPSTPMAPSSVPGDSEKPSSLANAGKIGQLQATVVGSQIQSLAIGTPGISASPLLAEFSVPDGTHVNALSTISGKSNVTEQPLERLIKAVKSMSSNALSASVSDIGSVVSMSRIAGSAPGKGSRDAVGEDLITMTKCCLQGRNVLTRDGTNGSRKIRRFTSAVPLNVVPSAGNDCFRQLASSETSDLESTVTSRIKRPRIEANHALLEEIREIRQRLINTVVDISDQDDDPSAPAADGGKGTIFKCFFDAVAFGLDLKSQYASAQM >ONH99374 pep chromosome:Prunus_persica_NCBIv2:G6:2135755:2140973:-1 gene:PRUPE_6G027400 transcript:ONH99374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNWRPPQVREPSMDAGNWGSQLQLESRPSIVNMIMDALKRHFPCSGQESSPELKKIAVRFEEMIYTAATSESDYFEKIALKIYSLETRSQNAIENSSPINSAGNINRPPDPDSAAQTGHATRGDWQEVVYQKANMNSIQSNSGMLQHQHIKQQQEQEINYVNELKMRRAAGQCAFSHQQLKSGSPFPISSTTQFLQAASPKISRHSSPQNDQQNLLAHTKAGTSSSPFVIPSPSTPMAPSSVPGDSEKPSSLANAGKIGQLQATVVGSQIQSLAIGTPGISASPLLAEFSVPDGTHVNALSTISGKSNVTEQPLERLIKAVKSMSSNALSASVSDIGSVVSMSRIAGSAPGKGSRDAVGEDLITMTKCCLQGRNVLTRDGTNGSRKIRRFTSAVPLNVVPSAGNDCFRQLASSETSDLESTVTSRIKRPRIEANHALLEEIREIRQRLINTVVDISDQDDDPSAPAADGGKGTIFKCFFDAVAFGLDLKSQYASAQMSPIHPLRLLVPSNYPNCSPILLDKFPVEVSKEYEDLSVKAKSKFSISLRSISEPMSLGEIARTWDVCACAVISEHAQQSGGGSFSSRYGTWENCLSAA >ONH99373 pep chromosome:Prunus_persica_NCBIv2:G6:2135267:2141119:-1 gene:PRUPE_6G027400 transcript:ONH99373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNWRPPQVREPSMDAGNWGSQLQLESRPSIVNMIMDALKRHFPCSGQESSPELKKIAVRFEEMIYTAATSESDYFEKIALKIYSLETRSQNAIENSSPINSAGNINRPPDPDSAAQTGHATRGDWQEVVYQKANMNSIQSNSGMLQHQHIKQQQEQEINYVNELKMRRAAGQCAFSHQQLKSGSPFPISSTTQFLQAASPKISRHSSPQNDQQNLLAHTKAGTSSSPFVIPSPSTPMAPSSVPGDSEKPSSLANAGKIGQLQATVVGSQIQSLAIGTPGISASPLLAEFSVPDGTHVNALSTISGKSNVTEQPLERLIKAVKSMSSNALSASVSDIGSVVSMSRIAGSAPGKGSRDAVGEDLITMTKCCLQGRNVLTRDGTNGSRKIRRFTSAVPLNVVPSAGNDCFRQLASSETSDLESTVTSRIKRPRIEANHALLEEIREIRQRLINTVVDISDQDDDPSAPAADGGKGTIFKCFFDAVAFGLDLKSQYASAQMSPIHPLRLLVPSNYPNCSPILLDKFPVEVSKEYEDLSVKAKSKFSISLRSISEPMSLGEIARTWDVCACAVISEHAQQSGGGSFSSRYGTWENCLSAA >ONH99794 pep chromosome:Prunus_persica_NCBIv2:G6:3577672:3579910:-1 gene:PRUPE_6G051000 transcript:ONH99794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVVSILMQRHILVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEESKFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLETNKITDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTILEEAKKRQAAAQAAATA >ONH99793 pep chromosome:Prunus_persica_NCBIv2:G6:3577672:3579727:-1 gene:PRUPE_6G051000 transcript:ONH99793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVVSILMQRHILVDGKIHFCIIFSDVVSIPKTNENFRLLYDTKGRFRLHSIRDEESKFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLETNKITDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTILEEAKKRQAAAQAAATA >ONH99795 pep chromosome:Prunus_persica_NCBIv2:G6:3577672:3579727:-1 gene:PRUPE_6G051000 transcript:ONH99795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVVSILMQRHILVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEESKQFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLETNKITDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTILEEAKKRQAAAQAAATA >ONI00731 pep chromosome:Prunus_persica_NCBIv2:G6:7221396:7232895:-1 gene:PRUPE_6G103400 transcript:ONI00731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSQTDQRMEGWLYLVRSNRIGLQYSRKRYFVLEGHLLKSFKSVPVSNNEDPVRSAIIDSCIRVTDNGRESIQRKVFFIFTLYNTSNHNDQLKLGASSPEEAARWIHSFQEVALKGGPDLGDNFVDCSNNIWQSFSIHARRLAGSSRRSRINSIDWSLCSSTQTDAVTSDVIAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGNVVEHLDGHTDIIHKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKGYVRACLKSGGYVISPVNQGKQSVVKHMLAIDWKFWKSYLQTSSARSMTIKMLGRVAALRELFRAKLGNYSSSEFSSGELRRNFRLHQNEGDGEADVPTLTGNERTKENIAEEVEKSPSEHASLISLNDASDEFFDVPEPPYSDQSETDWTPDFGSEMYSQFELQDTHHPKLSTAAGFVKRLHDLAVQKRGYVDLQEMAREDNMSCYYGSTLPKDSTCNLACSWTASDPSTFLIRGKNYLEDNKKVKAKGTLMQMVAADWLRSDKREDDLGGRPGSIVQKYAAQGGREFFFIVNIQVPGSTTYSLALYYMMNSPVEDAPLLESFIKGDDAYRNSRFKLIPYISKELGASGFYLLSSLVNRSKGHPAFIYCLV >ONI00733 pep chromosome:Prunus_persica_NCBIv2:G6:7224216:7232895:-1 gene:PRUPE_6G103400 transcript:ONI00733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSQTDQRMEGWLYLVRSNRIGLQYSRKRYFVLEGHLLKSFKSVPVSNNEDPVRSAIIDSCIRVTDNGRESIQRKVFFIFTLYNTSNHNDQLKLGASSPEEAARWIHSFQEVALKGGPDLGDNFVDCSNNIWQSFSIHARRLAGSSRRSRINSIDWSLCSSTQTDAVTSDVIAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGNVVEHLDGHTDIIHKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKGYVRACLKSGGYVISPVNQGKQSVVKHMLAIDWKFWKSYLQTSSARSMTIKMLGRVAALRELFRAKLGNYSSSEFSSGELRRNFRLHQNEGDGEADVPTLTGNERTKENIAEEVEKSPSEHASLISLNDASDEFFDVPEPPYSDQSETDWTPDFGSEMYSQDTHHPKLSTAAGFVKRLHDLAVQKRGYVDLQEMAREDNMSCYYGSTLPKDSTCNLACSWTASDPSTFLIRGKNYLEDNKKVKAKGTLMQMVAADWLRSDKREDDLGGRPGSIVQKYAAQGGREFFFIVNIQVPGSTTYSLALYYMMNSPVEDAPLLESFIKGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFHGKNYLELGIDIGSSTVARGVVSLVLGYLNNLVIEMAFLIQANTPEELPEYLLGTCRLNHLDASKSVLAKPS >ONI00735 pep chromosome:Prunus_persica_NCBIv2:G6:7224358:7230214:-1 gene:PRUPE_6G103400 transcript:ONI00735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGNVVEHLDGHTDIIHKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKGYVRACLKSGGYVISPVNQGKQSVVKHMLAIDWKFWKSYLQTSSARSMTIKMLGRVAALRELFRAKLGNYSSSEFSSGELRRNFRLHQNEGDGEADVPTLTGNERTKENIAEEVEKSPSEHASLISLNDASDEFFDVPEPPYSDQSETDWTPDFGSEMYSQDTHHPKLSTAAGFVKRLHDLAVQKRGYVDLQEMAREDNMSCYYGSTLPKDSTCNLACSWTASDPSTFLIRGKNYLEDNKKVKAKGTLMQMVAADWLRSDKREDDLGGRPGSIVQKYAAQGGREFFFIVNIQVPGSTTYSLALYYMMNSPVEDAPLLESFIKGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFHGKNYLELGIDIGSSTVARGVVSLVLGYLNNLVIEMAFLIQANTPEELPEYLLGTCRLNHLDASKSVLAKPS >ONI00736 pep chromosome:Prunus_persica_NCBIv2:G6:7224216:7232895:-1 gene:PRUPE_6G103400 transcript:ONI00736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSQTDQRMEGWLYLVRSNRIGLQYSRKRYFVLEGHLLKSFKSVPVSNNEDPVRSAIIDSCIRVTDNGRESIQRKVFFIFTLYNTSNHNDQLKLGASSPEEAARWIHSFQEVALKGGPDLGDNFVDCSNNIWQSFSIHARRLAGSSRRSRINSIDWSLCSSTQTDAVTSDVIAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGNVVEHLDGHTDIIHKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKGYVRACLKSGGYVISPVNQGKQSVVKHMLAIDWKFWKSYLQTSSARSMTIKMLGRVAALRELFRAKLGNYSSSEFSSGELRRNFRLHQNEGDGEADVPTLTGNERTKENIAEEVEKSPSEHASLISLNDASDEFFDVPEPPYSDQSETDWTPDFGSEMYSQFELQDTHHPKLSTAAGFVKRLHDLAVQKRGYVDLQEMAREDNMSCYYGSTLPKDSTCNLACSWTASDPSTFLIRGKNYLEDNKKVKAKGTLMQMVAADWLRSDKREDDLGGRPGSIVQKYAAQGGREFFFIVNIQVPGSTTYSLALYYMMNSPVEDAPLLESFIKGDDAYRNSRVHGLLSRVWERKRASLVKHLKLIISMGRTTWSLVLTLGRPPLQGAWLVLSLGT >ONI00734 pep chromosome:Prunus_persica_NCBIv2:G6:7224727:7232612:-1 gene:PRUPE_6G103400 transcript:ONI00734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSQTDQRMEGWLYLVRSNRIGLQYSRKRYFVLEGHLLKSFKSVPVSNNEDPVRSAIIDSCIRVTDNGRESIQRKVFFIFTLYNTSNHNDQLKLGASSPEEAARWIHSFQEVALKGGPDLGDNFVDCSNNIWQSFSIHARRLAGSSRRSRINSIDWSLCSSTQTDAVTSDVIAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGNVVEHLDGHTDIIHKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCPPQKGYVRACLKSGGYVISPVNQGKQSVVKHMLAIDWKFWKSYLQTSSARSMTIKMLGRVAALRELFRAKLGNYSSSEFSSGELRRNFRLHQNEGDGEADVPTLTGNERTKENIAEEVEKSPSEHASLISLNDASDEFFDVPEPPYSDQSETDWTPDFGSEMYSQFELQDTHHPKLSTAAGFVKRLHDLAVQKRGYVDLQEMAREDNMSCYYGSTLPKDSTCNLACSWTASDPSTFLIRGKNYLEDNKKVKAKGTLMQMVAADWLRSDKREDDLGGRPGSIVQKYAAQGGREFFFIVNIQVPGSTTYSLALYYMMNSPVEDAPLLESFIKGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFHGKNYLELGIDIGSSTVARGVVSLVLGYLNNLVIEMAFLIQANTPEELPEYLLGTCRLNHLDASKSVLAKPS >ONI01936 pep chromosome:Prunus_persica_NCBIv2:G6:17250911:17252517:1 gene:PRUPE_6G168300 transcript:ONI01936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQESQKRKLDETGNEASKAPIMCVNNCGFFGSEKTNNLCSKCYKDFLLKQSKTAADDTAVVGKKVSAGDQDLENKRHVQQVLEEVKQSQVEEGTTSENPEKRPANRCNFCRKRVGLTGFKCRCGQTFCSLHRYSNKHNCVFDYKSAGQEAIAKANPVVKAEKVDKIR >ONI01935 pep chromosome:Prunus_persica_NCBIv2:G6:17250985:17253536:1 gene:PRUPE_6G168300 transcript:ONI01935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQESQKRKLDETGNEASKAPIMCVNNCGFFGSEKTNNLCSKCYKDFLLKQSKTAADDTAVVGKKVSAGDQDLENKRHVQQVLEEVKQSQVEEGTTSENPEKRPANRCNFCRKRVGLTGFKCRCGQTFCSLHRYSNKHNCVFDYKSAGQEAIAKANPVVKAEKVDKIR >ONI01934 pep chromosome:Prunus_persica_NCBIv2:G6:17250985:17253777:1 gene:PRUPE_6G168300 transcript:ONI01934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQESQKRKLDETGNEASKAPIMCVNNCGFFGSEKTNNLCSKCYKDFLLKQSKTAADDTAVVGKKVSAGDQDLENKRHVQQVLEEVKQSQVEEGTTSENPEKRPANRCNFCRKRVGLTGFKCRCGQTFCSLHRYSNKHNCVFDYKSAGQEAIAKANPVVKAEKVDKIR >ONI04492 pep chromosome:Prunus_persica_NCBIv2:G6:28554316:28558211:-1 gene:PRUPE_6G324100 transcript:ONI04492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCKHLLTPFSFKRKVVAVATPNNLTSINHRALLLPKLPGFLSMDDVTRSQPLPLASTAAKASQNNTIDPHPKYEDKKIKGRVVLMKKNVLDLNDLTASVLDRVDELVGKAVSLQLISSVNGDPEKGSPGKVGKPAYLENWITTITPLTAGDSAFDITFDWDEEIGVPGAFIIRNDHHSEFYLKTLTLEDVPGEGRIHFVCNSWVYPADKYKKDRIFFTNKTYLSSDTPKPLQKFREEELVNLRGDDDDKTRELQEWDRVYDYAYYNDLGNPDKGQEYARPVLGGSSEFPYPRRGRTGRPPTKTDPNTESQLKLIMSLNIYVPRDERFGPLKLSDLLAYGLKTIPQVLKPELAALLVGSHNEFNNMQEILMLYEGGIELPDGILKYIRDSIHEELFKELFPTDGENFLKFPVPQVIQDNKSAWRTDEEFAREMLAGVNPVAIRRLQEFPPASKLDQKAYGDQTSQITKEHIEHNLKGLSIDEAIKNNKLFILDHHDALMPYLRRINTTSTKTYSSRTLLFLENDGTLKPLAIELSLPHPDGDQFGCISKVYTPSSQGVESSIWQLAKAYVNVNDSGHHQLISHWLKTHAVIEPFVIAANRQLSVLHPIHKLLHPHFRETMNANAIAREVLTNAGGIIEETVFPAKFSMEWSSVMYKNWVFPEQALPVDLIKRGMAVEDPKSSHSVRLLIEDYPYAADGLEIWSAIKTWVKEFCSFYYKNDEMVQNDSELQSWWKELREEGHGDKKDEPWWPKMQTCEELIESCTIIIWLSSAYHAAINYGQYSIGGYVPNRPSISLHFMPEEGTPEYEELKTNPDKAFLKTFTPQLQTLLGMASIEILSRHPVDELYLGQRGTPEWTTDANMLQASEDFRKKLEGIEKRIIKMNKDEKLKNRVGPAKIPYTLLYPSSEPGLTGKGIPNSVNI >ONI04491 pep chromosome:Prunus_persica_NCBIv2:G6:28554097:28558317:-1 gene:PRUPE_6G324100 transcript:ONI04491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCKHLLTPFSFKRKVVAVATPNNLTSINHRALLLPKLPGFLSMDDVTRSQPLPLASTAAKASQNNTIDPHPKYEDKKIKGRVVLMKKNVLDLNDLTASVLDRVDELVGKAVSLQLISSVNGDPEKGSPGKVGKPAYLENWITTITPLTAGDSAFDITFDWDEEIGVPGAFIIRNDHHSEFYLKTLTLEDVPGEGRIHFVCNSWVYPADKYKKDRIFFTNKTYLSSDTPKPLQKFREEELVNLRGDDDDKTRELQEWDRVYDYAYYNDLGNPDKGQEYARPVLGGSSEFPYPRRGRTGRPPTKTDPNTESQLKLIMSLNIYVPRDERFGPLKLSDLLAYGLKTIPQVLKPELAALLVGSHNEFNNMQEILMLYEGGIELPDGILKYIRDSIHEELFKELFPTDGENFLKFPVPQVIQDNKSAWRTDEEFAREMLAGVNPVAIRRLQEFPPASKLDQKAYGDQTSQITKEHIEHNLKGLSIDEAIKNNKLFILDHHDALMPYLRRINTTSTKTYSSRTLLFLENDGTLKPLAIELKTHAVIEPFVIAANRQLSVLHPIHKLLHPHFRETMNANAIAREVLTNAGGIIEETVFPAKFSMEWSSVMYKNWVFPEQALPVDLIKRGMAVEDPKSSHSVRLLIEDYPYAADGLEIWSAIKTWVKEFCSFYYKNDEMVQNDSELQSWWKELREEGHGDKKDEPWWPKMQTCEELIESCTIIIWLSSAYHAAINYGQYSIGGYVPNRPSISLHFMPEEGTPEYEELKTNPDKAFLKTFTPQLQTLLGMASIEILSRHPVDELYLGQRGTPEWTTDANMLQASEDFRKKLEGIEKRIIKMNKDEKLKNRVGPAKIPYTLLYPSSEPGLTGKGIPNSVNI >ONI03224 pep chromosome:Prunus_persica_NCBIv2:G6:24260845:24262623:1 gene:PRUPE_6G245400 transcript:ONI03224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGILFQASFSFRKKLSQYILQTHSSHSKPFFSSPNLTRSSQETKKLHNALRVLDLITPKPTLTARRRQGHLRLIQDFLQSDSEHFSNASAFSDSNSPIKISTLLDELFDSSSVDSPSCAERFPIDASVLSHAISSYGSSRNLHGGIPYHCAAIRSGLVANVYIGSSLVSFYGRCNELQNAYRVFEEMPVRNVVSWTAIISGFAQEWQVDACLQLFSEMRHSSKPNDFTYASILSACTGSGALGHGRSAHCHTIRMGFDLYIHIANALISMYCKCGDVKDALCIFKNLDGKDNVSWNSMIAGYAQHGLASQAIDLFEEMKQQCVEPDAITLLGVLSSCRHAGLVQEGRSYFNSMIKEHGFMGVCGSALRLQRAGYCWSQSALLPMYN >ONI03223 pep chromosome:Prunus_persica_NCBIv2:G6:24261041:24262612:1 gene:PRUPE_6G245400 transcript:ONI03223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGILFQASFSFRKKLSQYILQTHSSHSKPFFSSPNLTRSSQETKKLHNALRVLDLITPKPTLTARRRQGHLRLIQDFLQSDSEHFSNASAFSDSNSPIKISTLLDELFDSSSVDSPSCAERFPIDASVLSHAISSYGSSRNLHGGIPYHCAAIRSGLVANVYIGSSLVSFYGRCNELQNAYRVFEEMPVRNVVSWTAIISGFAQEWQVDACLQLFSEMRHSSKPNDFTYASILSACTGSGALGHGRSAHCHTIRMGFDLYIHIANALISMYCKCGDVKDALCIFKNLDGKDNVSWNSMIAGYAQHGLASQAIDLFEEMKQQCVEPDAITLLGVLSSCRHAGLVQEGRSYFNSMIKEHGIQPELDHYSCVIDLLGRAGCLEEAQCFIEKMPIRPNAIIWGSLLSSCRVHGSVWIGIEAAESRLLLEPECASTHVQLANLYASVGCWDDAARVRKLMKDKGIKTSPGFSWIQISNEVHRFRAEDCSNPKMIEIIAVLDSLVEHNKTLRYEPEMQEEEVDDALYI >ONH99254 pep chromosome:Prunus_persica_NCBIv2:G6:1726935:1729946:1 gene:PRUPE_6G021300 transcript:ONH99254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVRAVVLPQQPRGNDKVKNEAQRRNRRVLGDIGNNLEAAHLVEGKPPVQISRPMTRSFHAQLLAKAQAKAEKNGNPVLPVLEDRAAALNRKRGPAKKAAVAPKKAIEKPISETLVVISSDEEEEKDKQVNRCKPIEGPSRKEVKTLTSILTARSKAMACGVDIKPKEKIVDFDSADVSDELAVVEYIDDLYQFYKLTEDDSRVHDYMESQPEINPKMRSILIDWLVEVHRKFELMPETFYLTVNIIDRYLSMKIVSRRELQLVGISSMVIASKYEEIWAPQVNDFVCLSDYAYTGDQILLMEKAILGKLEWYLTVPTPYVFLSRYIKASVSPGEEVKNMVFFLAELGIMHYPTTVRYSPSLIAAAAVYAARCTLNKAPLWTETLKHHTGYSEEQLRDCAKLLVGFHLNAAESNLQAVYRKFSKPEHVAVARIPPAKSFLSSSS >ONI02212 pep chromosome:Prunus_persica_NCBIv2:G6:19197385:19198006:1 gene:PRUPE_6G184000 transcript:ONI02212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYQQCLKTSSMLVNVDLLIGICIGYMALKYIMYGQFSIKLNVYSFGVLILEIVSEQKNSCFRCGENVEDLLKNVADKPTMAFVIVMLNSYSLSLPVPSQPFDMSLGSEGNSRATGLDQYKNNSNKAPENEASLIYEKEIILM >ONI03872 pep chromosome:Prunus_persica_NCBIv2:G6:26588055:26589441:1 gene:PRUPE_6G287600 transcript:ONI03872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTVPVPAAGPSAQPLANPVTVVSPQFHATYPVDLVITEKMMSLKEGTFTVSDVNGNLMFKIKGSLFSLHDRRVLVDGADTPILSFRQKILTAHRRWHVYRGESSEAKDLLFTAKKSSFFQLKTELDVFLAANTKEEQYDFKVKGSWGERSCTIYTGDNTIIAQMHKKHDVKSVLFGRDAFGVTVYPHVDYAFIVAVVVILHEINMDRGGED >ONI03997 pep chromosome:Prunus_persica_NCBIv2:G6:27079810:27081952:-1 gene:PRUPE_6G296100 transcript:ONI03997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >ONI03996 pep chromosome:Prunus_persica_NCBIv2:G6:27079817:27081906:-1 gene:PRUPE_6G296100 transcript:ONI03996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >ONI03998 pep chromosome:Prunus_persica_NCBIv2:G6:27080243:27081601:-1 gene:PRUPE_6G296100 transcript:ONI03998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >ONI01504 pep chromosome:Prunus_persica_NCBIv2:G6:11610819:11615037:1 gene:PRUPE_6G143200 transcript:ONI01504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQAWSQGGGNDSCLQQSFWGEIYDRGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSLTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVDRKGADLLVEVIPEVCRYPNVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNHMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRCATQSVHLNCMLVQMWL >ONI01509 pep chromosome:Prunus_persica_NCBIv2:G6:11611453:11613886:1 gene:PRUPE_6G143200 transcript:ONI01509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFSGDGGGGNDSCLQQSFWGEIYDRGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSLTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVDRKGADLLVEVIPEVCRYPNVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNHMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRCATQSVHLNCMLVQMWL >ONI01510 pep chromosome:Prunus_persica_NCBIv2:G6:11611299:11615037:1 gene:PRUPE_6G143200 transcript:ONI01510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFSGDGGGGNDSCLQQSFWGEIYDRGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSLTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVDRKGADLLVEVIPEVCRYPNVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNHMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRCATQSVHLNCMLVQMWL >ONI01501 pep chromosome:Prunus_persica_NCBIv2:G6:11610794:11615037:1 gene:PRUPE_6G143200 transcript:ONI01501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQAWSQGGGNDSCLQQSFWGEIYDRGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSLTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVDRKGADLLVEVIPEVCRYPNFFNRSFFIAILEAASCGLLTVSTCVGGVPEVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNHMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRCATQSVHLNCMLVQMWL >ONI01507 pep chromosome:Prunus_persica_NCBIv2:G6:11610822:11615037:1 gene:PRUPE_6G143200 transcript:ONI01507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFSGDGGGGNDSCLQQSFWGEIYDRGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSLTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVDRKGADLLVEVIPEVCRYPNFFNRSFFIAILEAASCGLLTVSTCVGGVPEVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNHMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRCATQSVHLNCMLVQMWL >ONI01506 pep chromosome:Prunus_persica_NCBIv2:G6:11611299:11615037:1 gene:PRUPE_6G143200 transcript:ONI01506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFSGDGGGGNDSCLQQSFWGEIYDRGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSLTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVDRKGADLLVEVIPEVCRYPNFFNRSFFIAILEAASCGLLTVSTCVGGVPEVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNHMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRCATQSVHLNCMLVQMWL >ONI01500 pep chromosome:Prunus_persica_NCBIv2:G6:11611073:11614626:1 gene:PRUPE_6G143200 transcript:ONI01500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWKRHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKLRGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSLTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVDRKGADLLVEVIPEVCRYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVELLGAVQHSQFFNRSFFIAILEAASCGLLTVSTCVGGVPEVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNHMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRSWAGKVFCLVMIIDFLLCHLLQLWKPAEDIEEVPDFILSHDQDEGISQDNENQSLR >ONI01508 pep chromosome:Prunus_persica_NCBIv2:G6:11611453:11613886:1 gene:PRUPE_6G143200 transcript:ONI01508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFSGDGGGGNDSCLQQSFWGEIYDRGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSLTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVDRKGADLLVEVIPEVCRYPNFFNRSFFIAILEAASCGLLTVSTCVGGVPEVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNHMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRCATQSVHLNCMLVQMWL >ONI01502 pep chromosome:Prunus_persica_NCBIv2:G6:11610794:11615037:1 gene:PRUPE_6G143200 transcript:ONI01502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQAWSQGGGNDSCLQQSFWGEIYDRGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSLTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVDRKGADLLVEVIPEVCRYPNFFNRSFFIAILEAASCGLLTVSTCVGGVPEVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNHMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRCATQSVHLNCMLVQMWL >ONI01503 pep chromosome:Prunus_persica_NCBIv2:G6:11610811:11615037:1 gene:PRUPE_6G143200 transcript:ONI01503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQAWSQGGGNDSCLQQSFWGEIYDRGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSLTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVDRKGADLLVEVIPEVCRYPNFFNRSFFIAILEAASCGLLTVSTCVGGVPEVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNHMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRCATQSVHLNCMLVQMWL >ONI01505 pep chromosome:Prunus_persica_NCBIv2:G6:11610819:11615037:1 gene:PRUPE_6G143200 transcript:ONI01505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQAWSQGGGNDSCLQQSFWGEIYDRGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSLTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVDRKGADLLVEVIPEVCRYPNVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNHMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRCATQSVHLNCMLVQMWL >ONI01584 pep chromosome:Prunus_persica_NCBIv2:G6:12351760:12357902:-1 gene:PRUPE_6G147600 transcript:ONI01584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDLMASERVCYVHCNFCNTILAVSVPCNSLQNMVTVRCGHCANLLSVNMGASLQSNAFPSQDPQLQKHHLSSEDSNKSCGSSSSSKCSKFSHPFESVELHDQPSISPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNVSHGLITKKRRHHVSQLFHIWEVL >ONI01581 pep chromosome:Prunus_persica_NCBIv2:G6:12351745:12357902:-1 gene:PRUPE_6G147600 transcript:ONI01581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDLMASERVCYVHCNFCNTILAVSVPCNSLQNMVTVRCGHCANLLSVNMGASLQSNAFPSQDPQKHHLSSEDSNKSCGSSSSSKCSKFSHPFESVELHDQPSISPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGSKQPKLDQTFAGGDQGTQKSSGFY >ONI01582 pep chromosome:Prunus_persica_NCBIv2:G6:12351745:12358088:-1 gene:PRUPE_6G147600 transcript:ONI01582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDLMASERVCYVHCNFCNTILAVSVPCNSLQNMVTVRCGHCANLLSVNMGASLQSNAFPSQDPQLQKHHLSSEDSNKSCGSSSSSKCSKFSHPFESVELHDQPSISPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGSKQPKLDQTFAGGDQGTQKSSGFY >ONI01583 pep chromosome:Prunus_persica_NCBIv2:G6:12351745:12355784:-1 gene:PRUPE_6G147600 transcript:ONI01583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVRCGHCANLLSVNMGASLQSNAFPSQDPQLQKHHLSSEDSNKSCGSSSSSKCSKFSHPFESVELHDQPSISPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGSKQPKLDQTFAGGDQGTQKSSGFY >ONI03374 pep chromosome:Prunus_persica_NCBIv2:G6:24716495:24717692:-1 gene:PRUPE_6G253600 transcript:ONI03374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQDEGWPLGLRPLNARVGLIRSRDFSAGSVSFSTLVSGSPTSSIAASSSSSSSDLDTESTGSFFHDKSITLGSLLGVSGIIGLSRRSTRRRAVETSKGKKNHKSKPWLFSLCSKLTSDSVNESNNTPSLGHFLEVERRASNNNINRRNHGGPIEYGTDNFSPAVPVSDPNRLFVDGLVAAGQPSSSHRADGGARSNRKLLESGNGFGTPLVSSCLCGQLVK >ONI03371 pep chromosome:Prunus_persica_NCBIv2:G6:24716495:24717692:-1 gene:PRUPE_6G253600 transcript:ONI03371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQDEGWPLGLRPLNARVGLIRSRDFSAGSVSFSTLSTGSFFHDKSITLGSLLGVSGIIGLSRRSTRRRAVETSKGKKNHKSKPWLFSLCSKLTSDSVNESNNTPSLGHFLEVERRASNNNINRRNHGGPIEYGTDNFSPAVPVSDPNRLFVDGLVAAGQPSSSHRADGGARSNRKLLESGNGFGTPLVSSCLCGQLVK >ONI03372 pep chromosome:Prunus_persica_NCBIv2:G6:24716222:24717953:-1 gene:PRUPE_6G253600 transcript:ONI03372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQDEGWPLGLRPLNARVGLIRSRDFSAGSVSFSTLVSGSPTSSIAASSSSSSSDLDTESTGSFFHDKSITLGSLLGVSGIIGLSRRSTRRRAVETSKDPNRLFVDGLVAAGQPSSSHRADGGARSNRKLLESGNGFGTPLVSSCLCGQLVK >ONI03369 pep chromosome:Prunus_persica_NCBIv2:G6:24716222:24717953:-1 gene:PRUPE_6G253600 transcript:ONI03369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQDEGWPLGLRPLNARVGLIRSRDFSAGSVSFSTLSTGSFFHDKSITLGSLLGVSGIIGLSRRSTRRRAVETSKDPNRLFVDGLVAAGQPSSSHRADGGARSNRKLLESGNGFGTPLVSSCLCGQLVK >ONI03370 pep chromosome:Prunus_persica_NCBIv2:G6:24716495:24717692:-1 gene:PRUPE_6G253600 transcript:ONI03370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQDEGWPLGLRPLNARVGLIRSRDFSAGSVSFSTLSTGSFFHDKSITLGSLLGVSGIIGLSRRSTRRRAVETSKGHFLEVERRASNNNINRRNHGGPIEYGTDNFSPAVPVSDPNRLFVDGLVAAGQPSSSHRADGGARSNRKLLESGNGFGTPLVSSCLCGQLVK >ONI03373 pep chromosome:Prunus_persica_NCBIv2:G6:24716495:24717692:-1 gene:PRUPE_6G253600 transcript:ONI03373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQDEGWPLGLRPLNARVGLIRSRDFSAGSVSFSTLVSGSPTSSIAASSSSSSSDLDTESTGSFFHDKSITLGSLLGVSGIIGLSRRSTRRRAVETSKGHFLEVERRASNNNINRRNHGGPIEYGTDNFSPAVPVSDPNRLFVDGLVAAGQPSSSHRADGGARSNRKLLESGNGFGTPLVSSCLCGQLVK >ONI03314 pep chromosome:Prunus_persica_NCBIv2:G6:24561552:24563266:-1 gene:PRUPE_6G250500 transcript:ONI03314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISSFRRVLGGSSSALQSQFVCIRHNSTLTSPKLFISGLSRLTTDEKLQEAFSPFGQIIDAKVVIDRASGRSKGFGFITYTSIEEAEQARQGMNAKFLDGWVIFVDPAKPREPRPPPQAQPSPSETGFRTNKTIGWCG >ONI04741 pep chromosome:Prunus_persica_NCBIv2:G6:29266610:29269569:1 gene:PRUPE_6G337300 transcript:ONI04741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNSSRILSNTLCKKNSNLLLSFIGYSYNDCHLAPLFCRSIFSTTHLDNSWVNKIKGVFTGNKTSSDETNPGSSPESFTLLRFADEMKNARRIGAFKQYIVGRSSEATFADAFEKQEAIIRYLGGFDSTGENLNVTQKQEAAKHCNCTIADVENALAKFTWAREAQKKMENLQKEGKPMPKNINEVQKLMGSTPFDLAKSNLAKSGQISRNALCPCGSKKRYKRCCGKD >ONH99754 pep chromosome:Prunus_persica_NCBIv2:G6:3449637:3454756:-1 gene:PRUPE_6G048200 transcript:ONH99754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSEKQGIEEAQLYASKEEMENLVLDDPLNGNKSYSSYRSTMSSLSDTHHPLSSSSPLVSATTAAAPADADPLISPLPYRDLRNPNSAPDNSTYLDPPAYADVIFSPFDADTIAEINGIESPSKSSDNSSSYSLSRSPSSTSEYIKITVSNPQKEQETTNSIVPGGNTYVTYLITTRTNIPDFGGSEFGVRRRFRDVVTLSDRLAESYRGFFIPPRPDKSVVESQVMQKQEFVEQRRVALEKYLRRLASHPVIKRSDELKVFLQVQGRLPLPLTTDVASRMLDGAVKLPKQLFGESGAVLAPQEVVQPAKGGRDLLRLFKELKQSMSNDWGASKPLVGEEDKEFLEKKEKMQELEQQLSNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEALFNSQRVRAADMKNVATAAVKASRFYRELNAQTVKHLDTFHEYLGLMLAVNSAFSDRSSALLTVQTLLSELDMLQSRAEKLEVQSNKIFGGDKSRIRKLEELNETIRVTEDAKNVAIREYERIKENNKSELERLDNERHADFLNMLKGFVLNQVGYAEKISNVWSKVAEETSSYSREST >ONH98991 pep chromosome:Prunus_persica_NCBIv2:G6:381784:398517:-1 gene:PRUPE_6G003600 transcript:ONH98991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTNVFKIGGLHQISWFQFLPHDSELIPQPDKSSVKDAATQLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSSYADPAQAAVSKLRVVASGLWMSPGDSEEVATALSQALRNRIERALSGLAYMRFGDVFSKFHASQSEELLRRGQPTVEFIFAATEEGIFVHALVSAKHIRALSSDDLERVQKHSSQNSCYGLPVIVSPHGICGRLTGCCPGDLVKQVYFSSSKLKTSNFIGLPNHVSQGSGSQLTWQNCYVEVTLGCPRPRSDRALQSNSNSFRNVVKHHPVESPSLGRGDQKGSLDNISVYEKTFVYPAEAVLVPSLQVAGSSLKRFWLQNWIGPSMPGSSFFMLCSDSIEPMEECNETNGIRTQRGYNSSSNSNSSSISSISSSSSDSDDKMATGASELEADADSLTCRQSGLSFNNRLGNDISKLGFKRPRDGMAESFAEVGTATSASLQDTYNSDFGSMEVNNSAITVVTNDQIGSLWDWDGDDRYGTDIHALINEFGDFGDLFESDVLPFGEPPGTTESQALMFSAPDFGDVVDNPVGVMDVSDQLLLSEGFASFESFIPPPPAAMEETLIKNQEAINSALSSGPVNCSSASNISEFDHIIKAEALMTFAPEYGAVETPTSEVSSSIFRSPYLPKSRKAESSNSSPSIYTYGPTPPSSCFDGFDEKTGIPSNSKPFAGKKDASNIFRSKNYYTHVESGKEQQDRRLLTSSNGIVVAHDGVAQSPFPVLNSTNAVKAAQRKMTEGTFESENSFLSMRTIPATEIECILFQASMCRIRHTLLSSSSLSSIGFSRLPGDQTVMPENISGKYEARRKESIPVRIAGDIDGGMIDGHLNAPVGVWRSVGAPRVPKPTSSSSMEISSSLPHTSFNDESMLSYGRQPLQELLDGLSLLVQQATSFVDLALDSDCSDGPYGWLALQEQWRKGFSCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSADVHASSVISLLQSDIKTALKSAFGILDGPLPVTDWCRGRNQSGESTVDGYSAESTISECKDSSSIVAPSIIEPLSPSPSCSAGSTCLKVSSAMDGAKVDETSQRRSNQENCTSESDLQMSSRLRPTLFVIPLPAILVGYQDDWLKTSASSLQLWEKAPLEPYALQKPITYYVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHLPQSFGSQMEVDSGRRPSSGFVLLDCPQAMKIESRNASLVGSLSDYFLSISNGWDLTSYLKSLSKALKALKLGHCLSTNAKEGSSGPSTVIYVVCPFPEPIAILQTVIESSVAIGSVIFQSDRERRSILYSQVSKALSYSATVDEASISNILVLSGFGIPKLVLQIVTVDAIFKVTSPSLNELVILKETAFTVYNKARRISRGASSDAVQSSLSSRSHTVLSQMSSPTPGTWKDCGGPRITGHSLPREGEMDASLRTGSWDSSWQTTRTGAANCDPNRIGDFFSQDETRYMFEPLFILAEPGSLERAFSPLAFGNLPSEPSKALSDDISGGFMQSTSLGGSADSGSGSQADGSELDKIPPSLHCCYGWTEDWRWLICIWTDSRGELLDSHIFPFGGISSRQDTKGLECLFVQVLQQGCQILQACSSDTGVAKPRDFVIARIGSFYELEYQEWQKAINSVGGSEVKKWHLQLRRSVSDGVSASSNGPSLQQQEMSLIQERTLPSSPGPLYGSSHSKISGFMKGGLGQPSVRKQLMGTQLIDSSRSLLQWVQSISFITIAIDHSLHLVFPADTQSPGAQGGVGVGSSGYLEGFTPVKSLGSTPAAYILIPSPSMRFLPPTPLQLPTCLTAESPPLAHLLHSKGSAIPLSTCFVVSKAVPTMRRDYRSNLKEEWPSTLLVSLIDHYGGNNFSQEKLMRGNTKQVGRSPSSEARELEIETHVILESLAAELHALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKDLSRHQEKP >ONH98992 pep chromosome:Prunus_persica_NCBIv2:G6:381824:391699:-1 gene:PRUPE_6G003600 transcript:ONH98992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESFAEVGTATSASLQDTYNSDFGSMEVNNSAITVVTNDQIGSLWDWDGDDRYGTDIHALINEFGDFGDLFESDVLPFGEPPGTTESQALMFSAPDFGDVVDNPVGVMDVSDQLLLSEGFASFESFIPPPPAAMEETLIKNQEAINSALSSGPVNCSSASNISEFDHIIKAEALMTFAPEYGAVETPTSEVSSSIFRSPYLPKSRKAESSNSSPSIYTYGPTPPSSCFDGFDEKTGIPSNSKPFAGKKDASNIFRSKNYYTHVESGKEQQDRRLLTSSNGIVVAHDGVAQSPFPVLNSTNAVKAAQRKMTEGTFESENSFLSMRTIPATEIECILFQASMCRIRHTLLSSSSLSSIGFSRLPGDQTVMPENISGKYEARRKESIPVRIAGDIDGGMIDGHLNAPVGVWRSVGAPRVPKPTSSSSMEISSSLPHTSFNDESMLSYGRQPLQELLDGLSLLVQQATSFVDLALDSDCSDGPYGWLALQEQWRKGFSCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSADVHASSVISLLQSDIKTALKSAFGILDGPLPVTDWCRGRNQSGESTVDGYSAESTISECKDSSSIVAPSIIEPLSPSPSCSAGSTCLKVSSAMDGAKVDETSQRRSNQENCTSESDLQMSSRLRPTLFVIPLPAILVGYQDDWLKTSASSLQLWEKAPLEPYALQKPITYYVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHLPQSFGSQMEVDSGRRPSSGFVLLDCPQAMKIESRNASLVGSLSDYFLSISNGWDLTSYLKSLSKALKALKLGHCLSTNAKEGSSGPSTVIYVVCPFPEPIAILQTVIESSVAIGSVIFQSDRERRSILYSQVSKALSYSATVDEASISNILVLSGFGIPKLVLQIVTVDAIFKVTSPSLNELVILKETAFTVYNKARRISRGASSDAVQSSLSSRSHTVLSQMSSPTPGTWKDCGGPRITGHSLPREGEMDASLRTGSWDSSWQTTRTGAANCDPNRIGDFFSQDETRYMFEPLFILAEPGSLERAFSPLAFGNLPSEPSKALSDDISGGFMQSTSLGGSADSGSGSQADGSELDKIPPSLHCCYGWTEDWRWLICIWTDSRGELLDSHIFPFGGISSRQDTKGLECLFVQVLQQGCQILQACSSDTGVAKPRDFVIARIGSFYELEYQEWQKAINSVGGSEVKKWHLQLRRSVSDGVSASSNGPSLQQQEMSLIQERTLPSSPGPLYGSSHSKISGFMKGGLGQPSVRKQLMGTQLIDSSRSLLQWVQSISFITIAIDHSLHLVFPADTQSPGAQGGVGVGSSGYLEGFTPVKSLGSTPAAYILIPSPSMRFLPPTPLQLPTCLTAESPPLAHLLHSKGSAIPLSTCFVVSKAVPTMRRDYRSNLKEEWPSTLLVSLIDHYGGNNFSQEKLMRGNTKQVGRSPSSEARELEIETHVILESLAAELHALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKDLSRHQEKP >ONH98989 pep chromosome:Prunus_persica_NCBIv2:G6:381784:398543:-1 gene:PRUPE_6G003600 transcript:ONH98989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTNVFKIGGLHQISWFQFLPHDSELIPQPDKSVKDAATQLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSSYADPAQAAVSKLRVVASGLWMSPGDSEEVATALSQALRNRIERALSGLAYMRFGDVFSKFHASQSEELLRRGQPTVEFIFAATEEGIFVHALVSAKHIRALSSDDLERVQKHSSQNSCYGLPVIVSPHGICGRLTGCCPGDLVKQVYFSSSKLKTSNFIGLPNHVSQGSGSQLTWQNCYVEVTLGCPRPRSDRALQSNSNSFRNVVKHHPVESPSLGRGDQKGSLDNISVYEKTFVYPAEAVLVPSLQVAGSSLKRFWLQNWIGPSMPGSSFFMLCSDSIEPMEECNETNGIRTQRGYNSSSNSNSSSISSISSSSSDSDDKMATGASELEADADSLTCRQSGLSFNNRLGNDISKLGFKRPRDGMAESFAEVGTATSASLQDTYNSDFGSMEVNNSAITVVTNDQIGSLWDWDGDDRYGTDIHALINEFGDFGDLFESDVLPFGEPPGTTESQALMFSAPDFGDVVDNPVGVMDVSDQLLLSEGFASFESFIPPPPAAMEETLIKNQEAINSALSSGPVNCSSASNISEFDHIIKAEALMTFAPEYGAVETPTSEVSSSIFRSPYLPKSRKAESSNSSPSIYTYGPTPPSSCFDGFDEKTGIPSNSKPFAGKKDASNIFRSKNYYTHVESGKEQQDRRLLTSSNGIVVAHDGVAQSPFPVLNSTNAVKAAQRKMTEGTFESENSFLSMRTIPATEIECILFQASMCRIRHTLLSSSSLSSIGFSRLPGDQTVMPENISGKYEARRKESIPVRIAGDIDGGMIDGHLNAPVGVWRSVGAPRVPKPTSSSSMEISSSLPHTSFNDESMLSYGRQPLQELLDGLSLLVQQATSFVDLALDSDCSDGPYGWLALQEQWRKGFSCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSADVHASSVISLLQSDIKTALKSAFGILDGPLPVTDWCRGRNQSGESTVDGYSAESTISECKDSSSIVAPSIIEPLSPSPSCSAGSTCLKVSSAMDGAKVDETSQRRSNQENCTSESDLQMSSRLRPTLFVIPLPAILVGYQDDWLKTSASSLQLWEKAPLEPYALQKPITYYVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHLPQSFGSQMEVDSGRRPSSGFVLLDCPQAMKIESRNASLVGSLSDYFLSISNGWDLTSYLKSLSKALKALKLGHCLSTNAKEGSSGPSTVIYVVCPFPEPIAILQTVIESSVAIGSVIFQSDRERRSILYSQVSKALSYSATVDEASISNILVLSGFGIPKLVLQIVTVDAIFKVTSPSLNELVILKETAFTVYNKARRISRGASSDAVQSSLSSRSHTVLSQMSSPTPGTWKDCGGPRITGHSLPREGEMDASLRTGSWDSSWQTTRTGAANCDPNRIGDFFSQDETRYMFEPLFILAEPGSLERAFSPLAFGNLPSEPSKALSDDISGGFMQSTSLGGSADSGSGSQADGSELDKIPPSLHCCYGWTEDWRWLICIWTDSRGELLDSHIFPFGGISSRQDTKGLECLFVQVLQQGCQILQACSSDTGVAKPRDFVIARIGSFYELEYQEWQKAINSVGGSEVKKWHLQLRRSVSDGVSASSNGPSLQQQEMSLIQERTLPSSPGPLYGSSHSKISGFMKGGLGQPSVRKQLMGTQLIDSSRSLLQWVQSISFITIAIDHSLHLVFPADTQSPGAQGGVGVGSSGYLEGFTPVKSLGSTPAAYILIPSPSMRFLPPTPLQLPTCLTAESPPLAHLLHSKGSAIPLSTCFVVSKAVPTMRRDYRSNLKEEWPSTLLVSLIDHYGGNNFSQEKLMRGNTKQVGRSPSSEARELEIETHVILESLAAELHALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKDLSRHQEKP >ONH98990 pep chromosome:Prunus_persica_NCBIv2:G6:381860:398509:-1 gene:PRUPE_6G003600 transcript:ONH98990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTNVFKIGGLHQISWFQFLPHDSELIPQPDKSVKDAATQLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSSYADPAQAAVSKLRVVASGLWMSPGDSEEVATALSQALRNRIERALSGLAYMRFGDVFSKFHASQSEELLRRGQPTVEFIFAATEEGIFVHALVSAKHIRALSSDDLERVQKHSSQNSCYGLPVIVSPHGICGRLTGCCPGDLVKQVYFSSSKLKTSNFIGLPNHVSQGSGSQLTWQNCYVEVTLGCPRPRSDRALQSNSNSFRNVVKHHPVESPSLGRGDQKGSLDNISVYEKTFVYPAEAVLVPSLQVAGSSLKRFWLQNWIGPSMPGSSFFMLCSDSIEPMEECNETNGIRTQRGYNSSSNSNSSSISSISSSSSDSDDKMATGASELEADADSLTCRQSGLSFNNRLGNDISKLGFKRPRDGMAESFAEVGTATSASLQDTYNSDFGSMEVNNSAITVVTNDQIGSLWDWDGDDRYGTDIHALINEFGDFGDLFESDVLPFGEPPGTTESQALMFSAPDFGDVVDNPVGVMDVSDQLLLSEGFASFESFIPPPPAAMEETLIKNQEAINSALSSGPVNCSSASNISEFDHIIKAEALMTFAPEYGAVETPTSEVSSSIFRSPYLPKSRKAESSNSSPSIYTYGPTPPSSCFDGFDEKTGIPSNSKPFAGKKDASNIFRSKNYYTHVESGKEQQDRRLLTSSNGIVVAHDGVAQSPFPVLNSTNAVKAAQRKMTEGTFESENSFLSMRTIPATEIECILFQASMCRIRHTLLSSSSLSSIGFSRLPGDQTVMPENISGKYEARRKESIPVRIAGDIDGGMIDGHLNAPVGVWRSVGAPRVPKPTSSSSMEISSSLPHTSFNDESMLSYGRQPLQELLDGLSLLVQQATSFVDLALDSDCSDGPYGWLALQEQWRKGFSCGPSMVHAGCGGTLASCHSLDIAGVELVDPLSADSITFVPQVHASSVISLLQSDIKTALKSAFGILDGPLPVTDWCRGRNQSGESTVDGYSAESTISECKDSSSIVAPSIIEPLSPSPSCSAGSTCLKVSSAMDGAKVDETSQRRSNQENCTSESDLQMSSRLRPTLFVIPLPAILVGYQDDWLKTSASSLQLWEKAPLEPYALQKPITYYVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHLPQSFGSQMEVDSGRRPSSGFVLLDCPQAMKIESRNASLVGSLSDYFLSISNGWDLTSYLKSLSKALKALKLGHCLSTNAKEGSSGPSTVIYVVCPFPEPIAILQTVIESSVAIGSVIFQSDRERRSILYSQVSKALSYSATVDEASISNILVLSGFGIPKLVLQIVTVDAIFKVTSPSLNELVILKETAFTVYNKARRISRGASSDAVQSSLSSRSHTVLSQMSSPTPGTWKDCGGPRITGHSLPREGEMDASLRTGSWDSSWQTTRTGAANCDPNRIGDFFSQDETRYMFEPLFILAEPGSLERAFSPLAFGNLPSEPSKALSDDISGGFMQSTSLGGSADSGSGSQADGSELDKIPPSLHCCYGWTEDWRWLICIWTDSRGELLDSHIFPFGGISSRQDTKGLECLFVQVLQQGCQILQACSSDTGVAKPRDFVIARIGSFYELEYQEWQKAINSVGGSEVKKWHLQLRRSVSDGVSASSNGPSLQQQEMSLIQERTLPSSPGPLYGSSHSKISGFMKGGLGQPSVRKQLMGTQLIDSSRSLLQWVQSISFITIAIDHSLHLVFPADTQSPGAQGGVGVGSSGYLEGFTPVKSLGSTPAAYILIPSPSMRFLPPTPLQLPTCLTAESPPLAHLLHSKGSAIPLSTCFVVSKAVPTMRRDYRSNLKEEWPSTLLVSLIDHYGGNNFSQEKLMRGNTKQVGRSPSSEARELEIETHVILESLAAELHALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKDLSRHQEKP >ONI00371 pep chromosome:Prunus_persica_NCBIv2:G6:5734619:5737799:1 gene:PRUPE_6G085100 transcript:ONI00371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLSNLYLLAYNSLQAVSWAVCLALSLSSFVSTKAVNGAYASAGDLIYFLQMVQFLEVIHGAVGLVPSGVVFPLMQWAGRAHFLFVLRQTHEVQESPSVFITFVAWSLSEVIRYPHYAFNCMGSCPSWITYLRYTAFIVLYPPGMAGETWLMYQALPFVKKTSLFPDLFAGLSYYNFLRVLLVCYPFLCLKLYLHMFKQRRSKLGKHPKKKKR >ONI00370 pep chromosome:Prunus_persica_NCBIv2:G6:5734619:5739382:1 gene:PRUPE_6G085100 transcript:ONI00370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLSNLYLLAYNSLQAVSWAVCLALSLSSFVSTKAVNGAYASAGDLIYFLQMVQFLEVIHGAVGLVPSGVVFPLMQWAGRAHFLFVLRQTHEVQESPSVFITFVAWSLSEVIRYPHYAFNCMGSCPSWITYLRYTAFIVLYPPGMAGETWLMYQALPFVKKTSLFPDLFAGLSYYNFLRVLLVCYPFLCLKLYLHMFKQRRSKLGKHPKKKKR >ONI00369 pep chromosome:Prunus_persica_NCBIv2:G6:5734619:5739340:1 gene:PRUPE_6G085100 transcript:ONI00369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLSNLYLLAYNSLQAVSWAVCLALSLSSFVSTKAVNGAYASAGDLIYFLQMVQFLEVIHGAVGLVPSGVVFPLMQWAGRAHFLFVLRQTHEVQESPSVFITFVAWSLSEVIRYPHYAFNCMGSCPSWITYLRYTAFIVLYPPGMAGETWLMYQALPFVKKTSLFPDLFAGLSYYNFLRVLLVCYPFLCLKLYLHMFKQRRSKLGKHPKKKKR >ONI00047 pep chromosome:Prunus_persica_NCBIv2:G6:4486554:4491135:1 gene:PRUPE_6G064800 transcript:ONI00047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECILSIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSSTRACPYDGYLVTEADAKPLIESNKSLAETIGKIAVHCLYHRSGCTWQGPLSDCTSHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPGVQPQAQQVEGALDTSASGTSATADQTQAATQSGLATSQAQVSQTTSVTAPGPDPNQKANSSSQAVVQAAVPSAEQWYQHQQQYQQYYQQYPGYDPYQQQYQNYYPYQQPAVSQSQQQHLQSHPPYGTVQHQSQTYLQSQPQTQPPLQPQLSQSQAQAQPQPQIQPQHLQAPVAAQTQNQAQVNQQQQLHPVVQTYPAAHGQPHPQPLPYFQAPSHSQPHPQHVQMPHNQQAQIQQHTQSQLLPQQHPISQPQPHSQPQQQAQLQQHPQPNPQLHPSQPMNGTIQPQTLHPSSHAVTGNHLYLQPHLHQPVQSGAPQQHTMHLQSHGMPHSQSQTPVQIQSQFPQQPPLMRPPPSHTTVPNQQQPALLPSPGQIQNINPAQQQPVHSYGHPPGNTVHQRPHMQAVQQPIPQQYFHHQPFVQQQPPTQLRPQGQSHSFPQHIHASTQSQQNVTLSQGIQHTQSNLGGRPMMPIHGVQSQTYAQTAGGVYMRPMHPAANLSSTNQNNMVRTNNLGQSGANSGPTTSERQAEQESEFSAQQNAKKVVHDVGTASAVVADAEVKTAKSETDMKSIDNENKPTGEDKTIQGDTSSKEIPDIHALENGESVSKSILKEEGVDGTLDHSSNGKLGEVVAEGVKDVSISDMKQRELKEIPSEEAQLREEQGWMLQKDASGDPQPFIGTDEGSQAVSTSAPISDQGKHLPHHGPTTLPQRPGAPLLLQVPPGPPCHTQGPGHHLRPPGPAHVPGQPFHSSEHFQPHGGNLGFGASSGRASQYGPQGSIELQSVTPHGPYNEGHLPLPPTSAFDSHGGMMSRAAPIGQPSGIHPNMLRMNGTPGLDSSSTHGPRDERFKAFPGERLNPFPVDPTRHVIDRVEFEDDLKQFPRPSYLDSEPVAKFGNYSSRPFDRAPHGFKYDSGPHTDPLAGTAPSRFLSPYRLGGSVHGNDAGDFGRMEPTHGHPDFVGRRLVDGLAPRSPVRDYPGLPPHGFRGFGPDDFDGREFHRFGDPLGNQFHEGRFSNLPGHFRRGEFEGPGNLRMVDHRRNDFIGQDGHPGHLRRGDHLGPHNLREPLGFGSRHSHMGDMAGPGNFEPFRGNRPNHPRLGEPGFRSSFSLQRFPNDGTYTGDLESFDHSRKRKPASMGWCRICKVDCETVEGLDLHSQTREHQKMAMDMVRSIKQNAKKQKLTSGDQSLLEDANKSKIPVLRAGEKSID >ONI01611 pep chromosome:Prunus_persica_NCBIv2:G6:12644942:12654968:-1 gene:PRUPE_6G149300 transcript:ONI01611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLYPDYVVYRDSYCTEPQLTFSDSCIKVSGSKTSEPFDFEWGVDDLITFECQRFPKAEFVMIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVYFSPFKITKFSNFLNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01610 pep chromosome:Prunus_persica_NCBIv2:G6:12644651:12655417:-1 gene:PRUPE_6G149300 transcript:ONI01610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLYPDYVVYRDSYCTEPQLTFSDSCIKVSGSKTSEPFDFEWGVDDLITFECQRFPKAEFVMIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVYFSPFKITKFSNFLNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01613 pep chromosome:Prunus_persica_NCBIv2:G6:12644651:12655392:-1 gene:PRUPE_6G149300 transcript:ONI01613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLYPDYVVYRDSYCTEPQLTFSDSCIKVSGSKTSEPFDFEWGVDDLITFECQRFPKAEFVMIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNVLSCSDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01616 pep chromosome:Prunus_persica_NCBIv2:G6:12644942:12654829:-1 gene:PRUPE_6G149300 transcript:ONI01616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLLLSVRGFQNLLHYIQAEFVMIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVYFSPFKITKFSNFLNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01618 pep chromosome:Prunus_persica_NCBIv2:G6:12644942:12654829:-1 gene:PRUPE_6G149300 transcript:ONI01618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLLLSVRGFQNLLHYIQAEFVMIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNVLSCSDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVYFSPFKITKFSNFLNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01612 pep chromosome:Prunus_persica_NCBIv2:G6:12644651:12657272:-1 gene:PRUPE_6G149300 transcript:ONI01612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLYPDYVVYRDSYCTEPQLTFSDSCIKVSGSKTSEPFDFEWGVDDLITFECQRFPKAEFVMIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVYFSPFKITKFSNFLNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01614 pep chromosome:Prunus_persica_NCBIv2:G6:12644942:12654968:-1 gene:PRUPE_6G149300 transcript:ONI01614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLYPDYVVYRDSYCTEPQLTFSDSCIKVSGSKTSEPFDFEWGVDDLITFECQRFPKAEFVMIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNVLSCSDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVYFSPFKITKFSNFLNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01620 pep chromosome:Prunus_persica_NCBIv2:G6:12644942:12654690:-1 gene:PRUPE_6G149300 transcript:ONI01620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVYFSPFKITKFSNFLNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01608 pep chromosome:Prunus_persica_NCBIv2:G6:12644651:12655417:-1 gene:PRUPE_6G149300 transcript:ONI01608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLYPDYVVYRDSYCTEPQLTFSDSCIKVSGSKTSEPFDFEWGVDDLITFECQRFPKAEFVMIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01617 pep chromosome:Prunus_persica_NCBIv2:G6:12644651:12655392:-1 gene:PRUPE_6G149300 transcript:ONI01617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLLLSVRGFQNLLHYIQAEFVMIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNVLSCSDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01619 pep chromosome:Prunus_persica_NCBIv2:G6:12644651:12655417:-1 gene:PRUPE_6G149300 transcript:ONI01619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01609 pep chromosome:Prunus_persica_NCBIv2:G6:12644651:12657273:-1 gene:PRUPE_6G149300 transcript:ONI01609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLYPDYVVYRDSYCTEPQLTFSDSCIKVSGSKTSEPFDFEWGVDDLITFECQRFPKAEFVMIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI01615 pep chromosome:Prunus_persica_NCBIv2:G6:12644651:12655416:-1 gene:PRUPE_6G149300 transcript:ONI01615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLLLSVRGFQNLLHYIQAEFVMIKLRVISKDAPQEDIADGVSGFEELKIAVVEPYWSEKEERIASLNAKYLNAWVLLQDMGLETDEDDSPGQGHHFPNFDEPFEDVVYPKGEADAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIQSREKHRFHFFNSFFFRKLADLDKDPSSVSDGRAAFQRVRKWTRKVDLFEKDYIFIPVNFNLHWSLIVICHPGEVPRLNDGDSGKSHKVPCILHMDSIKGSHTGLKNLIQSYLWEEWKERKKEASEEMSSKFHNLRFVPLELNPDWFLPSEASLKRTLIQRLIFELLENRCREVSSAASSDEDQAKFPECNEHETGVQSFSGRCGPAIACQENISNSQAGQGIEITLLSTPSLKSSECVSDAGLVLREFFEPGATPGSLFGQYQSFDQKSSFYLLNGAIPPIEEDTENGEHFAFTPTGDSGFQQITGITSQTCGIPYTSRTYGAETSYDLGISAQEENGNIDSSPKPSNCASDHSEDLGVMEDHPVGEKDLGLSQKEEMDVNNPAMENVTCLTDGLVSAPGNPDASIIEGSQDHDKVHDGNRSGVSQDHDKVHYNNGYGGSQDPDKVHEGNGKGSSQDHDKVHDGDENGGSQDHDEVHNGAENEGSRDCDKVHDGNENEGSWDRVKVNDGNENGAPFSSRQENPDIPAYQDSNMVDNRTVSCDDVQMFVDDRMPEPQEQPAAKRLRLTQPLEGEKCVT >ONI02381 pep chromosome:Prunus_persica_NCBIv2:G6:20165462:20172192:1 gene:PRUPE_6G194500 transcript:ONI02381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVLTKWVCTFLLCSWLIFSGVYSVEGLHGDSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTQVQLKSVTLEKYVSAENGGGMNVSVSRDVASSWETFTLWRVSESEFQFRTLQGQFLTCDGEGCSFSATAESPSTLETFYIEKYNNDRVHIKTMSGTYLQATVENQLIADYPGKPGWDDNAATFEMTIVSNNLHGDYQLANGYAHNKAKEVLKRHRNSFITIGDFNFLYKHGINTVRIPVGWWIAYDPDPPAPFIGGTLEALDNAFSWAQAYNIKCIIDLHAAPGSQNGMEHSASRDGSSDWPNPDYISQTLHVIDFLASRYARHPALLGIELLNEPSAATVPLDILVSYYNQGYQTVRKHSSTAYVIVCQRIGNADPSEVYHANIGSRNLVVDLHYYNLFDNFFVNMSAVDNIQFIYKSRETQLEALNSANGPLVFIGEWVNEWNVTSGSQKDYQEFGRVQLEVYNAASFGWAYWTLKNDRPHWDFEWNIRNNYLQLGNSPKKQNVNGLVLLGLMYVLFCLHHTL >ONH99667 pep chromosome:Prunus_persica_NCBIv2:G6:3110792:3112912:1 gene:PRUPE_6G042300 transcript:ONH99667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGAVSRRGLAGLSRNSGGLGLGLGLVTVRGLKTFTLPDLSYDYGELEPYISGEIMQLHHQKHHQTYVTNFNKALEHLDQAMAKGHSPTIVKLQSAIKFNGGGGGEPPKDSLARAVENQFGSLDSLIQKVNVEGAALQGSGWVWLALDKDQKRLSIETTFNQDPLVAKGSSYVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASDVYEKECP >ONH99666 pep chromosome:Prunus_persica_NCBIv2:G6:3110792:3112912:1 gene:PRUPE_6G042300 transcript:ONH99666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGAVSRRGLAGLSRNSGGLGLGLGLVTVRGLKTFTLPDLSYDYGELEPYISGEIMQLHHQKHHQTYVTNFNKALEHLDQAMAKGHSPTIVKLQSAIKFNGGGHINHSVFWKNLTPVREGGGEPPKDSLARAVENQFGSLDSLIQKVNVEGAALQGSGWVWLALDKDQKRLSIETTFNQDPLVAKGSSYVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASDVYEKECP >ONI00420 pep chromosome:Prunus_persica_NCBIv2:G6:6002303:6004801:-1 gene:PRUPE_6G088100 transcript:ONI00420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHNSTAFPRAADFQFQGSPPAHPLLYHEQLLVSAEFSNSCSSGSSSYCASPISSGTGGGATLIQRSVSSHSLQKTSCGTHRLVSDLLESETGPVRRVYSTGDLDLQQLQYCGYNRSSSETSPLSSESSMIIEGMSKACPYNPEEKKERIERYRNKRNLRNFNKTIKVVITDVQPSIVLLFGRLFEIVNFPKRECMLAGRRWRTAGHASEDDLQGMMKLRRTLLFSGVT >ONI00423 pep chromosome:Prunus_persica_NCBIv2:G6:6003631:6004801:-1 gene:PRUPE_6G088100 transcript:ONI00423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHNSTAFPRAADFQFQGSPPAHPLLYHEQLLVSAEFSNSCSSGSSSYCASPISSGTGGGATLIQRSVSSHSLQKTSCGTHRLVSDLLESETGPVRRVYSTGDLDLQQLQYCGYNRSSSETSPLSSESSMIIEGMSKACPYNPEEKKERIERYRNKRNLRNFNKTIKVTSIIYLFICFNYFILFFICFKI >ONI00422 pep chromosome:Prunus_persica_NCBIv2:G6:6002303:6004801:-1 gene:PRUPE_6G088100 transcript:ONI00422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHNSTAFPRAADFQFQGSPPAHPLLYHEQLLVSAEFSNSCSSGSSSYCASPISSGTGGGATLIQRSVSSHSLQKTSCGTHRLVSDLLESETGPVRRVYSTGDLDLQLINNMNNGMPQQLQYCGYNRSSSETSPLSSESSMIIEGMSKACPYNPEEKKERIERYRNKRNLRNFNKTIKVVITDVQPSIVLLFGRLFEIVNFPKRECMLAGRRWRTAGHASEDDLQGMMKLRRTLLFSGVT >ONI00419 pep chromosome:Prunus_persica_NCBIv2:G6:6002217:6004801:-1 gene:PRUPE_6G088100 transcript:ONI00419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHNSTAFPRAADFQFQGSPPAHPLLYHEQLLVSAEFSNSCSSGSSSYCASPISSGTGGGATLIQRSVSSHSLQKTSCGTHRLVSDLLESETGPVRRVYSTGDLDLQLINNMNNGMPQQLQYCGYNRSSSETSPLSSESSMIIEGMSKACPYNPEEKKERIERYRNKRNLRNFNKTIKYACRKTLADSRPRIRGRFARNDEIEKNSPVQWSHISGEEDEEDCDSWINLLDAFSSIESNSMI >ONI00421 pep chromosome:Prunus_persica_NCBIv2:G6:6001995:6004996:-1 gene:PRUPE_6G088100 transcript:ONI00421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHNSTAFPRAADFQFQGSPPAHPLLYHEQLLVSAEFSNSCSSGSSSYCASPISSGTGGGATLIQRSVSSHSLQKTSCGTHRLVSDLLESETGPVRRVYSTGDLDLQLINNMNNGMPQQLQYCGYNRSSSETSPLSSESSMIIEGMSKACPYNPEEKKERIESMLAGRRWRTAGHASEDDLQGMMKLRRTLLFSGVT >ONI00418 pep chromosome:Prunus_persica_NCBIv2:G6:6001995:6004996:-1 gene:PRUPE_6G088100 transcript:ONI00418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHNSTAFPRAADFQFQGSPPAHPLLYHEQLLVSAEFSNSCSSGSSSYCASPISSGTGGGATLIQRSVSSHSLQKTSCGTHRLVSDLLESETGPVRRVYSTGDLDLQQLQYCGYNRSSSETSPLSSESSMIIEGMSKACPYNPEEKKERIERYRNKRNLRNFNKTIKYACRKTLADSRPRIRGRFARNDEIEKNSPVQWSHISGEEDEEDCDSWINLLDAFSSIESNSMI >ONI00424 pep chromosome:Prunus_persica_NCBIv2:G6:6003631:6004801:-1 gene:PRUPE_6G088100 transcript:ONI00424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHNSTAFPRAADFQFQGSPPAHPLLYHEQLLVSAEFSNSCSSGSSSYCASPISSGTGGGATLIQRSVSSHSLQKTSCGTHRLVSDLLESETGPVRRVYSTGDLDLQLINNMNNGMPQQLQYCGYNRSSSETSPLSSESSMIIEGMSKACPYNPEEKKERIERYRNKRNLRNFNKTIKVTSIIYLFICFNYFILFFICFKI >ONI03822 pep chromosome:Prunus_persica_NCBIv2:G6:26442067:26444199:-1 gene:PRUPE_6G284800 transcript:ONI03822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNISANVSLEGVDTSSILSEATSTVAKIISKPEAYVMIVLKGSVPIAFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLSVPKSRFFLKFYDTKGSNFGWNGSTF >ONI03824 pep chromosome:Prunus_persica_NCBIv2:G6:26442911:26444098:-1 gene:PRUPE_6G284800 transcript:ONI03824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNISANVSLEGVDTSSILSEATSTVAKIISKPEAYVMIVLKGSVPIAFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLSVPKSRFFLKFYDTKAHQSQEYAQCLHALHQN >ONI03823 pep chromosome:Prunus_persica_NCBIv2:G6:26442843:26444199:-1 gene:PRUPE_6G284800 transcript:ONI03823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNISANVSLEGVDTSSILSEATSTVAKIISKPEAYVMIVLKGSVPIAFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLSVPKSRFFLKFYDTKAHQSQEYAQCLHALHQN >ONI00480 pep chromosome:Prunus_persica_NCBIv2:G6:6234297:6238669:1 gene:PRUPE_6G091100 transcript:ONI00480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNVVGSGPNDVESCGTQMDESGTSNSSVVNADASSTNDDSCSTRAARYDAVTTFNFDILKVRGGEDEEDDVVVTKELFPVTGGLSNWPGQGQSSASSSLVRKNLMELGFDHGGTGEVRLVQQKQQQPAAPPPQQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINYNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSRYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMISEAGNEDGDHNLDLNLGISPPSFGNCQKEVEGHLQFHSGPYDGHNGKRMEHNVNATMSDPPFKGLVMTSQHPPLWNGVYPSCFSNQERATEKRIALGSQGPPNWAWQMHGQVSATPMPLFSTAASSGFSFSATTPPAAVHPLQPSTPTALNLCFTSPATAAANTSQ >ONI00482 pep chromosome:Prunus_persica_NCBIv2:G6:6234297:6238669:1 gene:PRUPE_6G091100 transcript:ONI00482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNVVGSGPNDVESCGTQMDESGTSNSSVVNADASSTNDDSCSTRAARYDAVTTFNFDILKVRGGEDEEDDVVVTKELFPVTGGLSNWPGQGQSSASSSLVRKNLMELGFDHGGTGEVRLVQQKQQQPAAPPPQQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINYNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSRYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMISEAGNEDGAQCKCNDE >ONI00481 pep chromosome:Prunus_persica_NCBIv2:G6:6234297:6238669:1 gene:PRUPE_6G091100 transcript:ONI00481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNVVGSGPNDVESCGTQMDESGTSNSSVVNADASSTNDDSCSTRAARYDAVTTFNFDILKVRGGEDEEDDVVVTKELFPVTGGLSNWPGQGQSSASSSLVRKNLMELGFDHGGTGEVRLVQQKQQQPAAPPPQQQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINYNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSRYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEMISEAGNEDGDHNLDLNLGISPPSFGNCQKEVEGHLQFHSGPYDGHNGKRMEHNVNATMSDPPFKGLVMTSQHPPLWNGVYPSCFSNQERATEKRIALGSQGPPNWAWQMHGQVSATPMPLFSTAASSGFSFSATTPPAAVHPLQPSTPTALNLCFTSPATAAANTSQ >ONI01114 pep chromosome:Prunus_persica_NCBIv2:G6:9111662:9118756:1 gene:PRUPE_6G122500 transcript:ONI01114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNKLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKIISKVVETYLPGVIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDSELPNEIPENEYIKYFSPDFSLRIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPNVQMQEFP >ONI01111 pep chromosome:Prunus_persica_NCBIv2:G6:9111662:9118756:1 gene:PRUPE_6G122500 transcript:ONI01111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNKLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKEVGEREGKFYAINVPLKDGIDDTSFNRLFKTIISKVVETYLPGVIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDSELPNEIPENEYIKYFSPDFSLRIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPNVQMQEVPPDFYIPDFDEDAQNPDERVDQHTQDKHIQRDDEYYEGDNDNDHNMDDV >ONI01115 pep chromosome:Prunus_persica_NCBIv2:G6:9111662:9118756:1 gene:PRUPE_6G122500 transcript:ONI01115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDRVSDNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNKLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKEVGEREGKFYAINVPLKDGIDDTSFNRLFKTIISKVVETYLPGVIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDSELPNEIPENEYIKYFSPDFSLRIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPNVQMQEVPPDFYIPDFDEDAQNPDERVDQHTQDKHIQRDDEYYEGDNDNDHNMDDV >ONI01112 pep chromosome:Prunus_persica_NCBIv2:G6:9111662:9118756:1 gene:PRUPE_6G122500 transcript:ONI01112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNKLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKIISKVVETYLPGVIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDSELPNEIPENEYIKYFSPDFSLRIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPNVQMQEVPPDFYIPDFDEDAQNPDERVDQHTQDKHIQRDDEYYEGDNDNDHNMDDV >ONI01113 pep chromosome:Prunus_persica_NCBIv2:G6:9111800:9117750:1 gene:PRUPE_6G122500 transcript:ONI01113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKDKIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQHLFSNELARYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNKLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKEVGEREGKFYAINVPLKDGIDDTSFNRLFKTIISKVVETYLPGVIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDSELPNEIPENEYIKYFSPDFSLRIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPNVQMQEFP >ONI01116 pep chromosome:Prunus_persica_NCBIv2:G6:9111662:9118756:1 gene:PRUPE_6G122500 transcript:ONI01116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDRVSDNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNKLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKEVGEREGKFYAINVPLKDGIDDTSFNRLFKTIISKVVETYLPGVIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDSELPNEIPENEYIKYFSPDFSLRIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPNVQMQEVPPDFYIPDFDEDAQNPDERVDQHTQDKHIQRDDEYYEGDNDNDHNMDDV >ONI01117 pep chromosome:Prunus_persica_NCBIv2:G6:9112692:9117750:1 gene:PRUPE_6G122500 transcript:ONI01117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDRVSDNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNKLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDMFFPGTGDVKEVGEREGKFYAINVPLKDGIDDTSFNRLFKTIISKVVETYLPGVIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDSELPNEIPENEYIKYFSPDFSLRIPNGHIENLNSKSYISTIKMQVLENLRCIQHAPNVQMQEFP >ONI05266 pep chromosome:Prunus_persica_NCBIv2:G6:30626215:30628368:-1 gene:PRUPE_6G364900 transcript:ONI05266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDRDPLVVGRVVGDVLDPFTRSVSLRVTYGMKEVNNGCELKPSQVVQQPRVDTGGDDLRTFYTLVMVDPDAPSPSDPNLKEYLHWLVTDIPATTAASFGQEIVCYESPRPTVGIHRFVLVLFRQLGRQTVYAPGWRQNFNTRDFAELYNLGLPVSAVYFNCQRESGSGGRRR >ONI04724 pep chromosome:Prunus_persica_NCBIv2:G6:29239233:29244035:-1 gene:PRUPE_6G336800 transcript:ONI04724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIIGFSGPHFCVLNSENKPRFQVQVRSLGSKDSPVLSSDSVAVNGASVIKEREERRSLIDEGNERLRHKVNEEKRVKDGVLESLEPLWDDGYGTVTVKDYFDATKEMIKPDGGPPRWFCPVACGAPLKDSPILFFLPGLDGTGWGLILHHKALGKAFEVRCLHIPINDRTPFEGLVKFVEETIRLEHASSPNKPIYLVGDSFGGCLSLAVAARNPTIDLVLILVNSASSIERSQLQPLFPILEAIPDELHTAIPYLLSFVMGDPTKMAMVNIESRLPPSLKLTQLSRNLVALLPCLSSLADIIPRATLLWKLKLLKSAAAYANSRLHAVKAEVLVLASGKDNMVPSRDEAQRLMSSLQNCTVRHFNDNGHTLLLEDGINLLTVIKGTCKYRRSRKRDYVSDFLPPSMSELKYTADEVFGVLRLATGSVMFSTLEDGKIVRGLAGVPHEGPVLIVGYHNLMGLELNSLVEEFLREKNIMVRGAAHPELFWGKSASSASFDWLKVFGAVPVTAKNLFKLLSSKSHVLLYPGGAREALHNKGEEYKLFWPNQPEFVRMAAQFGATIVPFAAVGEDDLLELVFDYNDLKKIPVISDYIKESNRDAIRLRDETSGEVANTDLFFPGILPKLPGRYYYLFGKPIVTKGKKEILKDKENANKLYLEIQSDIENSLAYLLKKREEDPYRSVTDRTAYRAIYSPMHEIPTFEP >ONI04725 pep chromosome:Prunus_persica_NCBIv2:G6:29239244:29244335:-1 gene:PRUPE_6G336800 transcript:ONI04725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIIGFSGPHFCVLNSENKPRFQVQVRSLGSKDSPVLSSDSVAVNGASVIKEREERRSLIDEGNERLRHKVNEEKRVKDGVLESLEPLWDDGYGTVTVKDYFDATKEMIKPDGGPPRWFCPVACGAPLKDSPILFFLPGLDGTGWGLILHHKALGKAFEVRCLHIPINDRTPFEGLVKFVEETIRLEHASSPNKPIYLVGDSFGGCLSLAVAARNPTIDLVLILVNSASSIERSQLQPLFPILEAIPDELHTAIPYLLSFVMGDPTKMAMVNIESRLPPSLKLTQLSRNLVALLPCLSSLADIIPRATLLWKLKLLKSAAAYANSRLHAVKAEVLVLASGKDNMVPSRDEAQRLMSSLQNCTVRHFNDNGHTLLLEDGINLLTVIKGTCKYRRSRKRDYVSDFLPPSMSELKYTADEVFGVLRLATGSVMFSTLEDGKIVRGLAGVPHEGPVLIVGYHNLMGLELNSLVEEFLREKNIMVRGAAHPELFWGKSASSASFDWLKVFGAVPVTAKNLFKLLSSKSHVLLYPGGAREALHNKGEEYKLFWPNQPEFVRMAAQFGATIVPFAAVGEDDLLELVFDYNDLKKIPVISDYIKESNRDAIRLRDETSGEVANTDLFFPGILPKLPGRYYYLFGKPIVTKGKKEILKDKENANKLYLEIQSDIENSLAYLLKKREEDPYRSVTDRTAYRAIYSPMHEIPTFEP >ONI04727 pep chromosome:Prunus_persica_NCBIv2:G6:29239516:29243675:-1 gene:PRUPE_6G336800 transcript:ONI04727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIIGFSGPHFCVLNSENKPRFQVQVRSLGSKDSPVLSSDSVAVNGASVIKEREERRSLIDEGNERLRHKVNEEKRVKDGVLESLEPLWDDGYGTVTVKDYFDATKEMIKPDGGPPRWFCPVACGAPLKDSPILFFLPGLDGTGWGLILHHKALGKAFEVRCLHIPINDRTPFEGLVKFVEETIRLEHASSPNKPIYLVGDSFGGCLSLAVAARNPTIDLVLILVNSASSIERSQLQPLFPILEAIPDELHTAIPYLLSFVMGDPTKMAMVNIESRLPPSLKLTQLSRNLVALLPCLSSLADIIPRATLLWKLKLLKSAAAYANSRLHAVKAEVLVLASGKDNMVPSRDEAQRLMSSLQNCTVRHFNDNGHTLLLEDGINLLTVIKGTCKYRRSRKRDYVSDFLPPSMSELKYTADEVFGVLILKYMNVRVLRLATGSVMFSTLEDGKIVRGLAGVPHEGPVLIVGYHNLMGLELNSLVEEFLREKNIMVRGAAHPELFWGKSASSASFDWLKVFGAVPVTAKNLFKLLSSKSHVLLYPGGAREALHNKGEEYKLFWPNQPEFVRMAAQFGATIVPFAAVGEDDLLELVFDYNDLKKIPVISDYIKESNRDAIRLRDETSGEVANTDLFFPGILPKLPGRYYYLFGKPIVTKGKKEILKDKENANKLYLEIQSDIENSLAYLLKKREEDPYRSVTDRTAYRAIYSPMHEIPTFEP >ONI04726 pep chromosome:Prunus_persica_NCBIv2:G6:29239244:29244035:-1 gene:PRUPE_6G336800 transcript:ONI04726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIIGFSGPHFCVLNSENKPRFQVQVRSLGSKDSPVLSSDSVAVNGASVIKEREERRSLIDEGNERLRHKVNEEKRVKDGVLESLEPLWDDGYGTVTVKDYFDATKEMIKPDGGPPRWFCPVACGAPLKDSPILFFLPGLDGTGWGLILHHKALGKAFEVRCLHIPINDRTPFEGLVKFVEETIRLEHASSPNKPIYLVGDSFGGCLSLAVAARNPTIDLVLILVNSASSIERSQLQPLFPILEAIPDELHTAIPYLLSFVMGDPTKMAMVNIESRLPPSLKLTQLSRNLVALLPCLSSLADIIPRATLLWKLKLLKSAAAYANSRLHAVKAEVLVLASGKDNMVPSRDEAQRLMSSLQNCTVRHFNDNGHTLLLEDGINLLTVIKGTCKYRRSRKRDYVSDFLPPSMSELKYTADEVFGVLILKYMNVRVLRLATGSVMFSTLEDGKIVRGLAGVPHEGPVLIVGYHNLMGLELNSLVEEFLREKNIMVRGAAHPELFWGKSASSASFDWLKVFGAVPVTAKNLFKLLSSKSHVLLYPGGAREALHNKGEEYKLFWPNQPEFVRMAAQFGATIVPFAAVGEDDLLELVFDYNDLKKIPVISDYIKESNRDAIRLRDETSGEVANTDLFFPGILPKLPGRYYYLFGKPIVTKGKKEILKDKENANKLYLEIQSDIENSLAYLLKKREEDPYRSVTDRTAYRAIYSPMHEIPTFEP >ONI00032 pep chromosome:Prunus_persica_NCBIv2:G6:4407561:4408929:-1 gene:PRUPE_6G063800 transcript:ONI00032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLKALVEKRSNKVIFIESDNDFVDVLFSFMTIPMGTIIRRACQNSVPLEIGCIKNLYASVENADKRLFQTKGCREMLLRPRNGVQSYCENLKLKINNGDPTPTRYFFCSRACTSSHKLFSHYEDVLCECGEPMNREMSLSVGKEVRVGGLHKVPMWDFVQVVVVATRVLGIRFGS >ONI00031 pep chromosome:Prunus_persica_NCBIv2:G6:4407561:4408843:-1 gene:PRUPE_6G063800 transcript:ONI00031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLKALVEKRSNKVIFIESDNDFVDVLFSFMTIPMGTIIRRACQNSVPLEIGCIKNLYASVENADKRLFQTKGCREMLLRPRNGVQSYCENLKLKINNGDPTPTRYFFCSRACTSSHKLFSHYEDVLCECGEPMNREMSLSVGKEVRVGGLHKVPMWDFVQVVVVATRVLGIRFGS >ONI02587 pep chromosome:Prunus_persica_NCBIv2:G6:21710273:21713530:-1 gene:PRUPE_6G208600 transcript:ONI02587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNDRRRDTVIDIGKPAIFTGGLEFSALTYTVTKKSKNEEGKWFKHEVDLLHKITGFAPKGCITAVMGPSGAGKSTFLDGLAGRIASGSLQGKVSMDGKEMNPSLIKRTSAYIMQDDRLFPALTVYETFMFAADLRLGPVSVTDKKQRVEKLIQQLGLSSARNTIIGDEGSRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAHSVIEKVHHIARAGSTVILTIHQPSSRIQLLLDHLIILARGQLMYQGSPKDVAIHLGRMGRKVHKEESPIEYLIDVIQQYDQSELGVEALAEFAHTGIKPPLLVDGDISFATILPTPTPPRHGGHGHRGEGHEDKGRSGKRLPLQTSTHITNDFDHSVRSPYNTNSRSWTPTRSGVMQKLHSFTPSRQRADQKMQSPMSASPGYNYSSEILPSTPTPHSSDYTVNENDYLTPDIGPNTKSYHHLGPKFANSFFPETWILMRRNFINIRRTPELFLSRLVVLTFMGFLMATMFKNPPQNSQGITNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFVRETAHNAYRASSYTIAGLITYLPFLALQASVYAGIVWFALGLRGPFLYFLVVLYVSLLSTNSFVVFVSSVVPNYILGYAAVIAFTALFFLFCGYFLNSHDIPQGWKWMNHVSTMTYPYEGLIINQYQTNDTFGNNTDGTNITGFNILEGLRISYGKPHTLSGSKKWEKVFIMLGMTVLYRILFYLVIRFLSKNQRT >ONI03947 pep chromosome:Prunus_persica_NCBIv2:G6:26966866:26972173:-1 gene:PRUPE_6G293400 transcript:ONI03947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRTCVNSACGTSSSIEWKKGWALRSGGFANLCPKCWSAYEQSIFCDIFHSKESGWRECILCGKRLHCGCIASMFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQDTHLTAIHNGAHSSETFFSGVFENLPVISGYPGLLQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03958 pep chromosome:Prunus_persica_NCBIv2:G6:26967008:26972173:-1 gene:PRUPE_6G293400 transcript:ONI03958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRTCVNSACGTSSSIEWKKGWALRSGGFANLCPKCWSAYEQSIFCDIFHSKESGWRECILCGKRLHCGCIASMFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQDTHLTAIHNGAHSSETFFSGVFENLPVISGYPGLLQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHLDV >ONI03948 pep chromosome:Prunus_persica_NCBIv2:G6:26966866:26972173:-1 gene:PRUPE_6G293400 transcript:ONI03948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRTCVNSACGTSSSIEWKKGWALRSGGFANLCPKCWSAYEQSIFCDIFHSKESGWRECILCGKRLHCGCIASMFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQDTHLTAIHNGAHSSETFFSGVFENLPVISGYPGLLQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHVSMMSLVQVASLPLETYLKHNGLTSLMSEQQATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03954 pep chromosome:Prunus_persica_NCBIv2:G6:26966866:26970598:-1 gene:PRUPE_6G293400 transcript:ONI03954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQDTHLTAIHNGAHSSETFFSGVFENLPVISGYPGLLQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHVSMMSLVQVASLPLETYLKHNGLTSLMSEQQATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03957 pep chromosome:Prunus_persica_NCBIv2:G6:26967008:26972173:-1 gene:PRUPE_6G293400 transcript:ONI03957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRTCVNSACGTSSSIEWKKGWALRSGGFANLCPKCWSAYEQSIFCDIFHSKESGWRECILCGKRLHCGCIASMFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHLDV >ONI03953 pep chromosome:Prunus_persica_NCBIv2:G6:26966645:26972755:-1 gene:PRUPE_6G293400 transcript:ONI03953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHVSMMSLVQVASLPLETYLKHNGLTSLMSEQQATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03943 pep chromosome:Prunus_persica_NCBIv2:G6:26966645:26972755:-1 gene:PRUPE_6G293400 transcript:ONI03943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRTCVNSACGTSSSIEWKKGWALRSGGFANLCPKCWSAYEQSIFCDIFHSKESGWRECILCGKRLHCGCIASMFLLDLLDGGGVKCIKCAKSSEPQPKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03949 pep chromosome:Prunus_persica_NCBIv2:G6:26966645:26972755:-1 gene:PRUPE_6G293400 transcript:ONI03949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03945 pep chromosome:Prunus_persica_NCBIv2:G6:26966574:26972755:-1 gene:PRUPE_6G293400 transcript:ONI03945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRTCVNSACGTSSSIEWKKGWALRSGGFANLCPKCWSAYEQSIFCDIFHSKESGWRECILCGKRLHCGCIASMFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03946 pep chromosome:Prunus_persica_NCBIv2:G6:26966866:26972173:-1 gene:PRUPE_6G293400 transcript:ONI03946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRTCVNSACGTSSSIEWKKGWALRSGGFANLCPKCWSAYEQSIFCDIFHSKESGWRECILCGKRLHCGCIASMFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHVSMMSLVQVASLPLETYLKHNGLTSLMSEQQATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03952 pep chromosome:Prunus_persica_NCBIv2:G6:26966866:26971188:-1 gene:PRUPE_6G293400 transcript:ONI03952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQDTHLTAIHNGAHSSETFFSGVFENLPVISGYPGLLQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHVSMMSLVQVASLPLETYLKHNGLTSLMSEQQATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03951 pep chromosome:Prunus_persica_NCBIv2:G6:26966645:26972755:-1 gene:PRUPE_6G293400 transcript:ONI03951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQDTHLTAIHNGAHSSETFFSGVFENLPVISGYPGLLQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03950 pep chromosome:Prunus_persica_NCBIv2:G6:26966866:26971188:-1 gene:PRUPE_6G293400 transcript:ONI03950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHVSMMSLVQVASLPLETYLKHNGLTSLMSEQQATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03944 pep chromosome:Prunus_persica_NCBIv2:G6:26966645:26972755:-1 gene:PRUPE_6G293400 transcript:ONI03944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRTCVNSACGTSSSIEWKKGWALRSGGFANLCPKCWSAYEQSIFCDIFHSKESGWRECILCGKRLHCGCIASMFLLDLLDGGGVKCIKCAKSSEPQPKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQDTHLTAIHNGAHSSETFFSGVFENLPVISGYPGLLQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHATSSTSHVPPQATNESEDQHNENQCQEQESGGEERPDQGQDDPL >ONI03955 pep chromosome:Prunus_persica_NCBIv2:G6:26967008:26972173:-1 gene:PRUPE_6G293400 transcript:ONI03955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRTCVNSACGTSSSIEWKKGWALRSGGFANLCPKCWSAYEQSIFCDIFHSKESGWRECILCGKRLHCGCIASMFLLDLLDGGGVKCIKCAKSSEPQPKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHLDV >ONI03956 pep chromosome:Prunus_persica_NCBIv2:G6:26967008:26972173:-1 gene:PRUPE_6G293400 transcript:ONI03956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRTCVNSACGTSSSIEWKKGWALRSGGFANLCPKCWSAYEQSIFCDIFHSKESGWRECILCGKRLHCGCIASMFLLDLLDGGGVKCIKCAKSSEPQPKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQDTHLTAIHNGAHSSETFFSGVFENLPVISGYPGLLQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHLDV >ONI03960 pep chromosome:Prunus_persica_NCBIv2:G6:26967008:26971188:-1 gene:PRUPE_6G293400 transcript:ONI03960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQDTHLTAIHNGAHSSETFFSGVFENLPVISGYPGLLQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHLDV >ONI03959 pep chromosome:Prunus_persica_NCBIv2:G6:26967008:26971188:-1 gene:PRUPE_6G293400 transcript:ONI03959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLDLLDGGGVKCIKCAKSSEPQPILSDEKPDGLGISKISELQPTAQDNQLDGTNVEKLKLIQLGNNKDCNGFRNLLQFQNNDANGLLQKMKHADTPPPVGEIGGTCLSNFNLASNGSSEAPKAEVFKANLGINDIYDSLPQTNLSMSLGAPLGKANPVPAAIFDEREHSKTSSPLLPGARSRNLFPKPPKLALGAGLEENSTIASHARVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKMLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKASNSVAMQSLKGSMDPHLNALSKHLTTASGDISWHKSEKQEGRTREGMLLPSLLVPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPAAKPSTIMIEDLEFEEYEEPPVFGKRSIFIVRSTGEHEQWAQCDSCSKWRRLPVDVLLPSKWTCADNAWDQSRRSCSAPDELAPRELESFLRLSKEFKKRRTVADNRPTQEHESSGLDALANAAILGDNAADPGTASVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPNCTCNVCNTVKRRFKTLMINKKKRQSEREAEIAYRNQHAWGPRDEAEVDSTSRHVSSHVDPSDNEARSANESDSKSQSKVAETGKGILDLNCHPGREGELQAGPDHLDV >ONI03686 pep chromosome:Prunus_persica_NCBIv2:G6:25962896:25966451:1 gene:PRUPE_6G275300 transcript:ONI03686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLVSPSSRVVVDKHWDREKNAFVHRLEACFLRPKRSVDFRFLNLVCFLQQSKPVKPVKPVICLSSKTQTELEVTDDQIQETHHTKTIHVKFQLQKECSFGQQFLIVGDDPMFGLWDPSSAIPMNWSDGNVWTVELDIPVGKSVQFKFILKEITGNILWQPGPDRIFQTWETKNTITVCEDWADAELQKIIEENQANQSVGSNVNSDMSIVAENLTLPEELALNITKEPTIADSSTDLAEKPLVEPWKEQIVADKVSPSQEEPKTTVAENVTPKWPKSYMEPCEEQIAARTKVVDEERVIFPSEEYAAISNKELLVADNIFGNNGRAATERNLSSTHIEGSLINYEEGHVLVPGLTASPAIPTEEAKKEKVEKQMSFDDGSVGAFEAKDLKMPEIQLGLKQEPYSDPPQAETTAIIKDKVQSFDDELEQSPAKNAEHSNSESANGSVLHNDPPQEETNAMINDNEEKSEDDQLNQMHHPAKWADQSDSEPINDNVLDNDVQWGRKMLQKFLNNFRLL >ONI03687 pep chromosome:Prunus_persica_NCBIv2:G6:25962890:25965398:1 gene:PRUPE_6G275300 transcript:ONI03687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLVSPSSRVVVDKHWDREKNAFVHRLEACFLRPKRSVDFRFLNLVCFLQQSKPVKPVKPVICLSSKTQTELEVTDDQIQETHHTKTIHVKFQLQKECSFGQQFLIVGDDPMFGLWDPSSAIPMNWSDGNVWTVELDIPVGKSVQFKFILKEITGNILWQPGPDRIFQTWETKNTITVCEDWADAELQKIIEENQANQSVGSNVNSDMSIVAENLTLPEELALNITKEPTIADSSTDLAEKPLVEPWKEQIVADKVSPSQEEPKTTVAENVTPKWPKSYMEPCEEQIAARTKVVDEERVIFPSEEYAAISNKELLVADNIFGNNGRAATERNLSSTHIEGSLINYEEGHVLVPGLTASPAIPTEEAKKEKVEKQMSFDDGSVGAFEAKDLKMPEVTA >ONI04247 pep chromosome:Prunus_persica_NCBIv2:G6:27881548:27882640:-1 gene:PRUPE_6G311200 transcript:ONI04247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLGSKESDLDFDLESGETESTSEEDGNERSGSDGKCSRKTMARARSGYLYVDGSIAASDGLSAGNNVSSIGQNMDMLASSMGQMAEGRTEKRTVEKQKKIPKKHPKPPRPPTGPSLHAADIEFVKEISKRSRLRRARRERMNALKKMKSDKTSSSKINFLAMIVTTIFCFVIIFHGIFGAHA >ONI04246 pep chromosome:Prunus_persica_NCBIv2:G6:27880639:27883523:-1 gene:PRUPE_6G311200 transcript:ONI04246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLGSKESDLDFDLESGETESTSEEDGNERSGSDGKCSRKTMARARSGYLYVDGSIAASDGLSAGNNVSSIGQNMDMLASSMGQMAEGRTEKRTVEKQKKIPKKHPKPPRPPTGPSLHAADIEFVKEISKRSRLRRARRERMNALKKMKSDKTSSSKINFLAMIVTTIFCFVIIFHVWPPNAMGIDY >ONI04248 pep chromosome:Prunus_persica_NCBIv2:G6:27880795:27883337:-1 gene:PRUPE_6G311200 transcript:ONI04248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLGSKESDLDFDLESGETESTSEEDGNERSGSDGKCSRKTMARARSGYLYVDGSIAASDGLSAGNNVSSIGQNMDMLASSMGQMAEGRTEKRTVEKQKKIPKKHPKPPRPPTGPSLHAADIEFVKEISKRSRLRRARRERMNALKKMKSDKTSSSKINFLAMIVTTIFCFVIIFHGIFGAHA >ONI00025 pep chromosome:Prunus_persica_NCBIv2:G6:4365490:4367817:1 gene:PRUPE_6G063300 transcript:ONI00025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRLVQGSLLKKVLESIKDLVNDANFDCSATGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNISMGMNLTNMSKMLKCAGNDDIITIKADDGSDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPEAEYHAIVKMPSAEFARICKDLSGIGDTVVISVTKEGVKFSTRGDIGTANIVCRQNTTVDKPEEATVIDMNEPVSLTFALRYMNSFTKATTLSNTVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEDETKPEV >ONI00892 pep chromosome:Prunus_persica_NCBIv2:G6:7845458:7847975:1 gene:PRUPE_6G109500 transcript:ONI00892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKPTTMLCTVLAIDHTDFSYRVCSVCERTLPDNPTSLCKFCSVNAFNPRFSRSKRLFRLLMSIASDTKVFTVICFDRAAKVLFGCSADEFFDFAKFHPFAAVNVSRILEGEMFKMTLSKPKNGNAQHLRAVQVIPLRSGFQPAIVTLRELYGVCEEQRK >ONI00893 pep chromosome:Prunus_persica_NCBIv2:G6:7845415:7846548:1 gene:PRUPE_6G109500 transcript:ONI00893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKPTTMLCTVLAIDHTDFSYRVCSVCERTLPDNPTSLCKFCSVNAFNPRFSRSKRLFRLLMSIASDTKVFTVICFDRAAKVLFGCSADEFFDFAKFHPFAAVNVSRILEGEMFKMTLSKPKNGNAQHLRAVQVIPLRSGFQPAIVTLRELYGVRSS >ONH99142 pep chromosome:Prunus_persica_NCBIv2:G6:963587:967352:1 gene:PRUPE_6G013900 transcript:ONH99142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVTAAAPPNLTSLRFHGWREKPTFSISPTTLRFFRNSSRTKHLTQVLALVDEQQQQQQVSFTEEENSLVEALIGIQGRGRSASPQQLTDVERAVQALESLQGIPDPTSSSLIEGRWQLMFTTRPGTASPIQRTFVGVDFFSVFQEVFLRTNDPRVSNIVKFSDAIGELKVEAAASIKDRKRILFRFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSQSGNLRISRGNKGTTFVLQKKTEPRQRLLSTISTGTGVKEAIDEFISFNQNKGIGEPELQEGEWEMLWSSQIVKENGQIKFVVDILLGLKFSITGTFVKSGTTTYDITMDDAAIIGGQFGYPVELESKFKLELLYSDDKIRITRGYNKIVFVHLRTDGTGQK >ONH99141 pep chromosome:Prunus_persica_NCBIv2:G6:963587:967352:1 gene:PRUPE_6G013900 transcript:ONH99141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVTAAAPPNLTSLRFHGWREKPTFSISPTTLRFFRNSSRTKHLTQVLALVDEQQQQQQVSFTEEENSLVEALIGIQGRGRSASPQQLTDVERAVQALESLQGIPDPTSSSLIEGRWQLMFTTRPGTASPIQRTFVGVDFFSVFQEVFLRTNDPRVSNIVKFSDAIGELKVEAAASIKDRKRILFRFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSQSGNLRISRGNKGTTFVLQKKTEPRQRLLSTISTGTGVKEAIDEFISFNQNKGIGEPELQEGEWEMLWSSQIVKENGQIKFVVDILLGLKFSITGTFVKSGTTTYDITMDDAAIIGGQFGYPVELESKFKLELLYSDDKIRITRGYNKIVFVHLRTDGTGQK >ONH99139 pep chromosome:Prunus_persica_NCBIv2:G6:963587:967352:1 gene:PRUPE_6G013900 transcript:ONH99139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVTAAAPPNLTSLRFHGWREKPTFSISPTTLRFFRNSSRTKHLTQVLALVDEQQQQQQVSFTEEENSLVEALIGIQGRGRSASPQQLTDVERAVQALESLQGIPDPTSSSLIEGRWQLMFTTRPGTASPIQRTFVGVDFFSVFQEVFLRTNDPRVSNIVKFSDAIGELKVEAAASIKDRKRILFRFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSQSGNLRISRGNKGTTFVLQKKTEPRQRLLSTISTGTGVKEAIDEFISFNQNKGIGEPELQEGEWEMLWSSQEETDSWLENAANGLMGKQIVKENGQIKFVVDILLGLKFSITGTFVKSGTTTYDITMDDAAIIGGQFGYPVELESKFKLELLYSDDKIRITRGYNKIVFVHLRTDGTGQK >ONH99140 pep chromosome:Prunus_persica_NCBIv2:G6:963587:967352:1 gene:PRUPE_6G013900 transcript:ONH99140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVTAAAPPNLTSLRFHGWREKPTFSISPTTLRFFRNSSRTKHLTQVLALVDEQQQQQQVSFTEEENSLVEALIGIQGRGRSASPQQLTDVERAVQALESLQGIPDPTSSSLIEGRWQLMFTTRPGTASPIQRTFVGVDFFSVFQEVFLRTNDPRVSNIVKFSDAIGELKVEAAASIKDRKRILFRFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSQSGNLRISRGNKGTTFVLQKKTEPRQRLLSTISTGTGVKEAIDEFISFNQNKGIGEPELQEGEWEMLWSSQEETDSWLENAANGLMGKQIVKENGQIKFVVDILLGLKFSITGTFVKSGTTTYDITMDDAAIIGGQFGYPVELESKFKLELLYSDDKIRITRGYNKIVFVHLRTDGTGQK >ONI03695 pep chromosome:Prunus_persica_NCBIv2:G6:25976323:25979215:-1 gene:PRUPE_6G275600 transcript:ONI03695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCGCFGGVFNRSKEGNASGSSVQAQGITTSNVRLFSYNSLRSATRNFHPSSRIGGGGYGVVYRGVLRDGTQVAIKCLSAESKQGANEFLTEINVISSMRHPNLVELIGCCVEDNHRILVYEYLENNSLASSLLGSRSKYVFLDWPKRAAICLGTATGLAFLHEEAEQHIVHRDIKASNILLDANFHPKIGDFGLAKLFPDNVTHLSTRVAGTEGYLAPEYALLGQLTKKADVYSFGVVLLEIISGRSSSKAAFGEQLQVLVEWTWKLREEKRLLEIVDPELTAYSEAEVMRFIKVALFCTQGAAQQRPTMKQVVEMLSKEVHINENALLEPPKPREQASRKFGGPSSSGTSSSHSGKRKQSSANPDITSTRSLIYDDTETQMLPR >ONI03696 pep chromosome:Prunus_persica_NCBIv2:G6:25976198:25979955:-1 gene:PRUPE_6G275600 transcript:ONI03696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGRPSRHKGVLRDGTQVAIKCLSAESKQGANEFLTEINVISSMRHPNLVELIGCCVEDNHRILVYEYLENNSLASSLLGSRSKYVFLDWPKRAAICLGTATGLAFLHEEAEQHIVHRDIKASNILLDANFHPKIGDFGLAKLFPDNVTHLSTRVAGTEGYLAPEYALLGQLTKKADVYSFGVVLLEIISGRSSSKAAFGEQLQVLVEWTWKLREEKRLLEIVDPELTAYSEAEVMRFIKVALFCTQGAAQQRPTMKQVVEMLSKEVHINENALLEPPKPREQASRKFGGPSSSGTSSSHSGKRKQSSANPDITSTRSLIYDDTETQMLPR >ONI04068 pep chromosome:Prunus_persica_NCBIv2:G6:27282126:27283531:1 gene:PRUPE_6G300400 transcript:ONI04068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLTNSKNPIKLVPFLIFTALLAITPAIAAGSHADEYQPIPNQPAGTLQPVGTTEYRLLIDVLKAPPHDHDDIQRRQRRRRLAPYQLCLLCKCCSSTTCTSMPCCFGINCQLPNKPFGVCAFVPRTCNCTNCTTSV >ONI04689 pep chromosome:Prunus_persica_NCBIv2:G6:29087911:29094288:-1 gene:PRUPE_6G334700 transcript:ONI04689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIESDLKESEFKQRSLAKAYDIIHAQANSFLIFTVQWKDLEDHFEWTRNSLETRFQELEAREEDIGVRETKLEAKEWNFRSEKEAKACELRGLDRLIDQKLKEVTDCKNHLHSLLSLIQEHSDEVIVQENRLMEVEKFVREKEMEFDSIDRRVKERMKKLNWVEKIVEEKEMEFDSIDQRVKEGTENLNWVEKILEEKSKLAESKEEEVKRFQEALNKYVEDIELKKRQLNEILGSIEKHKKEFDLKEELVEATKRSIEECDRELILKEEKLKLIQKSLVECSNTLESREKNIKEMDLKERDFGMLKNSMEEWSCKLDFRARELELMDKRVIERFNKVKLKEKNLDELQKSIRDGEKHLDKMSKGLQMKEGQLEDQVKELALRQKEVDSIKKSNEERTQNLESKERQLEDQAKELELKQKELFSIKKSTEEHTLTLKLKERQLEDQAQELALKQKEFILIKNSTEEHNGILKAKERQLEDQAKELELKQKEFDSIRKSSEELLRNLKSKERQLEDQAKELELKQKEFDSIKKSTEEHTRNLKSKEMQLEDQAKELELKQKEFDSMKKSTEEHTRNLKAKERQLEVQAKELELKQKEFDSIRKSTEELIQNMKERQLEQKEFDSIRKSCEEHIQNMKSKKRQIEDQAKGIELKQKEFDLIKKSTQEHTRNLKAKEKINALHSQVKIEQLEYIPSNQAFVPSSAINQSSIYRDGRGLQLFMNEHLKRIDLVGSEISAVLEASLDPAKLVLDAMQGFYPSNSTVDNRECNFDLRVIRRSCILLLEALKKVSPQINPLVREEAIKLAGDWKAKMTGATENWLEILGFLRLVTTYEITSEYDGKELQSLVATIAEYEQATELSQALGSTEKGSASIIFSPVKTEKPESSLTKNAAGVSSPNLQLTATTDATNLQGFVHELARGNHLIQSETLAALQTSLDPAKFVLDVMQNSFAQYWGNGDVHSKETVMLSYINLLEQLICVSPHVGPHVKDDARKLAIQWKAKMGADTQNSLEHLGFLQFIATYGLFSTFPRYDMVSLLGRISQDKQTRELCQKLSFADKIPEKFVENTKNWNRRICKKKKSLDEKVKVLDNEIADLRAVIQCIKDCNLESVYQSGRIELQIAMVEKIKEGQRHSETSLACKVGRQEENKSLVCEVEQHEQSKLIVIRTEQQEANKFEQQKQTNWNKRRGAQPHQQQQHPNKFQRTGGSAARLYRMPTSCPGYQHRSVPSWQHDNYRHPGQFGMAAANEYGIGTNCGHPGQFGMYANDYNTGAMQNSGIHRPHHFTPSPPPHGTYQP >ONI04688 pep chromosome:Prunus_persica_NCBIv2:G6:29087840:29094288:-1 gene:PRUPE_6G334700 transcript:ONI04688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIESDLKESEFKQRSLAKAYDIIHAQANSFLIFTVQWKDLEDHFEWTRNSLETRFQELEAREEDIGVRETKLEAKEWNFRSEKEAKACELRGLDRLIDQKLKEVTDCKNHLHSLLSLIQEHSDEVIVQENRLMEVEKFVREKEMEFDSIDRRVKERMKKLNWVEKIVEEKEMEFDSIDQRVKEGTENLNWVEKILEEKSKLAESKEEEVKRFQEALNKYVEDIELKKRQLNEILGSIEKHKKEFDLKEELVEATKRSIEECDRELILKEEKLKLIQKSLVECSNTLESREKNIKEMDLKERDFGMLKNSMEEWSCKLDFRARELELMDKRVIERFNKVKLKEKNLDELQKSIRDGEKHLDKMSKGLQMKEGQLEDQVKELALRQKEVDSIKKSNEERTQNLESKERQLEDQAKELELKQKELFSIKKSTEEHTLTLKLKERQLEDQAQELALKQKEFILIKNSTEEHNGILKAKERQLEDQAKELELKQKEFDSIRKSSEELLRNLKSKERQLEDQAKELELKQKEFDSIKKSTEEHTRNLKSKEMQLEDQAKELELKQKEFDSMKKSTEEHTRNLKAKERQLEVQAKELELKQKEFDSIRKSTEELIQNMKERQLEQKEFDSIRKSCEEHIQNMKSKKRQIEDQAKGIELKQKEFDLIKKSTQEHTRNLKAKEKINALHSQVKIEQLEYIPSNQAFVPSSAINQSSIYRDGRGLQLFMNEHLKRIDLVGSEISAVLEASLDPAKLVLDAMQGFYPSNSTVDNRECNFDLRVIRRSCILLLEALKKVSPQINPLVREEAIKLAGDWKAKMTGATENWLEILGFLRLVTTYEITSEYDGKELQSLVATIAEYEQATELSQALGSTEKGSAGVSSPNLQLTATTDATNLQGFVHELARGNHLIQSETLAALQTSLDPAKFVLDVMQNSFAQYWGNGDVHSKETVMLSYINLLEQLICVSPHVGPHVKDDARKLAIQWKAKMGADTQNSLEHLGFLQFIATYGLFSTFPRYDMVSLLGRISQDKQTRELCQKLSFADKIPAHFILNLIERGQLIEAVRLICTFKLIDTFPPVPLLEKFVENTKNWNRRICKKKKSLDEKVKVLDNEIADLRAVIQCIKDCNLESVYQSGRIELQIAMVEKIKEGQRHSETSLACKVGRQEENKSLVCEVEQHEQSKLIVIRTEQQEANKFEQQKQTNWNKRRGAQPHQQQQHPNKFQRTGGSAARLYRMPTSCPGYQHRSVPSWQHDNYRHPGQFGMAAANEYGIGTNCGHPGQFGMYANDYNTGAMQNSGIHRPHHFTPSPPPHGTYQP >ONI04687 pep chromosome:Prunus_persica_NCBIv2:G6:29087827:29094288:-1 gene:PRUPE_6G334700 transcript:ONI04687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIESDLKESEFKQRSLAKAYDIIHAQANSFLIFTVQWKDLEDHFEWTRNSLETRFQELEAREEDIGVRETKLEAKEWNFRSEKEAKACELRGLDRLIDQKLKEVTDCKNHLHSLLSLIQEHSDEVIVQENRLMEVEKFVREKEMEFDSIDRRVKERMKKLNWVEKIVEEKEMEFDSIDQRVKEGTENLNWVEKILEEKSKLAESKEEEVKRFQEALNKYVEDIELKKRQLNEILGSIEKHKKEFDLKEELVEATKRSIEECDRELILKEEKLKLIQKSLVECSNTLESREKNIKEMDLKERDFGMLKNSMEEWSCKLDFRARELELMDKRVIERFNKVKLKEKNLDELQKSIRDGEKHLDKMSKGLQMKEGQLEDQVKELALRQKEVDSIKKSNEERTQNLESKERQLEDQAKELELKQKELFSIKKSTEEHTLTLKLKERQLEDQAQELALKQKEFILIKNSTEEHNGILKAKERQLEDQAKELELKQKEFDSIRKSSEELLRNLKSKERQLEDQAKELELKQKEFDSIKKSTEEHTRNLKSKEMQLEDQAKELELKQKEFDSMKKSTEEHTRNLKAKERQLEVQAKELELKQKEFDSIRKSTEELIQNMKERQLEQKEFDSIRKSCEEHIQNMKSKKRQIEDQAKGIELKQKEFDLIKKSTQEHTRNLKAKEKINALHSQVKIEQLEYIPSNQAFVPSSAINQSSIYRDGRGLQLFMNEHLKRIDLVGSEISAVLEASLDPAKLVLDAMQGFYPSNSTVDNRECNFDLRVIRRSCILLLEALKKVSPQINPLVREEAIKLAGDWKAKMTGATENWLEILGFLRLVTTYEITSEYDGKELQSLVATIAEYEQATELSQALGSTEKGSDATNLQGFVHELARGNHLIQSETLAALQTSLDPAKFVLDVMQNSFAQYWGNGDVHSKETVMLSYINLLEQLICVSPHVGPHVKDDARKLAIQWKAKMGADTQNSLEHLGFLQFIATYGLFSTFPRYDMVSLLGRISQDKQTRELCQKLSFADKIPAHFILNLIERGQLIEAVRLICTFKLIDTFPPVPLLEKFVENTKNWNRRICKKKKSLDEKVKVLDNEIADLRAVIQCIKDCNLESVYQSGRIELQIAMVEKIKEGQRHSETSLACKVGRQEENKSLVCEVEQHEQSKLIVIRTEQQEANKFEQQKQTNWNKRRGAQPHQQQQHPNKFQRTGGSAARLYRMPTSCPGYQHRSVPSWQHDNYRHPGQFGMAAANEYGIGTNCGHPGQFGMYANDYNTGAMQNSGIHRPHHFTPSPPPHGTYQP >ONI04690 pep chromosome:Prunus_persica_NCBIv2:G6:29087834:29094290:-1 gene:PRUPE_6G334700 transcript:ONI04690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIESDLKESEFKQRSLAKAYDIIHAQANSFLIFTVQWKDLEDHFEWTRNSLETRFQELEAREEDIGVRETKLEAKEWNFRSEKEAKACELRGLDRLIDQKLKEVTDCKNHLHSLLSLIQEHSDEVIVQENRLMEVEKFVREKEMEFDSIDRRVKERMKKLNWVEKIVEEKEMEFDSIDQRVKEGTENLNWVEKILEEKSKLAESKEEEVKRFQEALNKYVEDIELKKRQLNEILGSIEKHKKEFDLKEELVEATKRSIEECDRELILKEEKLKLIQKSLVECSNTLESREKNIKEMDLKERDFGMLKNSMEEWSCKLDFRARELELMDKRVIERFNKVKLKEKNLDELQKSIRDGEKHLDKMSKGLQMKEGQLEDQVKELALRQKEVDSIKKSNEERTQNLESKERQLEDQAKELELKQKELFSIKKSTEEHTLTLKLKERQLEDQAQELALKQKEFILIKNSTEEHNGILKAKERQLEDQAKELELKQKEFDSIRKSSEELLRNLKSKERQLEDQAKELELKQKEFDSIKKSTEEHTRNLKSKEMQLEDQAKELELKQKEFDSMKKSTEEHTRNLKAKERQLEVQAKELELKQKEFDSIRKSTEELIQNMKERQLEQKEFDSIRKSCEEHIQNMKSKKRQIEDQAKGIELKQKEFDLIKKSTQEHTRNLKAKEKINALHSQVKIEQLEYIPSNQAFVPSSAINQSSIYRDGRGLQLFMNEHLKRIDLVGSEISAVLEASLDPAKLVLDAMQGFYPSNSTVDNRECNFDLRVIRRSCILLLEALKKVSPQINPLVREEAIKLAGDWKAKMTGATENWLEILGFLRLVTTYEITSEYDGKELQSLVATIAEYEQATELSQALGSTEKGSASIIFSPVKTEKPESSLTKNAAGVSSPNLQLTATTDATNLQGFVHELARGNHLIQSETLAALQTSLDPAKFVLDVMQNSFAQYWGNGDVHSKETVMLSYINLLEQLICVSPHVGPHVKDDARKLAIQWKAKMGADTQNSLEHLGFLQFIATYGLFSTFPRYDMVSLLGRISQDKQTRELCQKLSFADKIPAHFILNLIERGQLIEAVRLICTFKLIDTFPPVPLLEKFVENTKNWNRRICKKKKSLDEKVKVLDNEIADLRAVIQCIKDCNLESVYQSGRIELQIAMVEKIKEGQRHSETSLACKVGRQEENKSLVCEVEQHEQSKLIVIRTEQQEANKFEQQKQTNWNKRRGAQPHQQQQHPNKFQRTGGSAARLYRMPTSCPGYQHRSVPSWQHDNYRHPGQFGMAAANEYGIGTNCGHPGQFGMYANDYNTGAMQNSGIHRPHHFTPSPPPHGTYQP >ONI00474 pep chromosome:Prunus_persica_NCBIv2:G6:6213437:6217138:1 gene:PRUPE_6G090900 transcript:ONI00474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLINQMGLPKSIANVFAARNITTAKEALSLTEFELMEVLDVSLADVTSAIARISEITCPPYQTALTLMEQRVQKEHMGGHLPTRLKGLDNALCGGIPFGVLTELVGPAGIGKTQLCLKLALLASLPTAYGGLGGRVIYIDVESKFSSKRMIEMGSKSFPDMFYTKGMAQEMAGRILVLQPASLSEFTESLQQLKISLLQNQVKLLIIDSMAALISGEYGQGTARQHLLGWHISFIKSLAEFSRIPVVVTNQVRSQTRDEACQYSFQGQSREKAVEDHTGYDSHLVAALGIHWAHAVTIRLVLDSKSGLPNICFSLKMIKLS >ONI00475 pep chromosome:Prunus_persica_NCBIv2:G6:6213437:6217138:1 gene:PRUPE_6G090900 transcript:ONI00475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRVQKEHMGGHLPTRLKGLDNALCGGIPFGVLTELVGPAGIGKTQLCLKLALLASLPTAYGGLGGRVIYIDVESKFSSKRMIEMGSKSFPDMFYTKGMAQEMAGRILVLQPASLSEFTESLQQLKISLLQNQVKLLIIDSMAALISGEYGQGTARQHLLGWHISFIKSLAEFSRIPVVVTNQVRSQTRDEACQYSFQGQSREKAVEDHTGYDSHLVAALGIHWAHAVTIRLVLDSKSGKRFIKLAKSPISPPLAFPYNITSSGITLLDDDGIELTGPEINSIHCQGHSDIINFDGERFQ >ONI00473 pep chromosome:Prunus_persica_NCBIv2:G6:6213437:6217138:1 gene:PRUPE_6G090900 transcript:ONI00473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLINQMGLPKSIANVFAARNITTAKEALSLTEFELMEVLDVSLADVTSAIARISEITCPPYQTALTLMEQRVQKEHMGGHLPTRLKGLDNALCGGIPFGVLTELVGPAGIGKTQLCLKLALLASLPTAYGGLGGRVIYIDVESKFSSKRMIEMGSKSFPDMFYTKGMAQEMAGRILVLQPASLSEFTESLQQLKISLLQNQVKLLIIDSMAALISGEYGQGTARQHLLGWHISFIKSLAEFSRIPVVVTNQVRSQTRDEACQYSFQGQSREKAVEDHTGYDSHLVAALGIHWAHAVTIRLVLDSKSGKRFIKLAKSPISPPLAFPYNITSSGITLLDDDGIELTGPEINSIHCQGHSDIINFDGERFQ >ONI00476 pep chromosome:Prunus_persica_NCBIv2:G6:6213437:6217138:1 gene:PRUPE_6G090900 transcript:ONI00476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRVQKEHMGGHLPTRLKGLDNALCGGIPFGVLTELVGPAGIGKTQLCLKLALLASLPTAYGGLGGRVIYIDVESKFSSKRMIEMGSKSFPDMFYTKGMAQEMAGRILVLQPASLSEFTESLQQLKISLLQNQVKLLIIDSMAALISGEYGQGTARQHLLGWHISFIKSLAEFSRIPVVVTNQVRSQTRDEACQYSFQGQSREKAVEDHTGYDSHLVAALGIHWAHAVTIRLVLDSKSGKRFIKLAKSPISPPLAFPYNITSSGITLLDDDGIELTGPEINSIHCQGHSDIINFDGERFQ >ONI00478 pep chromosome:Prunus_persica_NCBIv2:G6:6213909:6215810:1 gene:PRUPE_6G090900 transcript:ONI00478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRVQKEHMGGHLPTRLKGLDNALCGGIPFGVLTELVGPAGIGKTQLCLKLALLASLPTAYGGLGGRVIYIDVESKFSSKRMIEMGSKSFPDMFYTKGMAQEMAGRILVLQPASLSEFTESLQQLKISLLQNQVKLLIIDSMAALISGEYGQGTARQHLLGWHISFIKSLAEFSRIPVVVTNQVRSQTRDEACQYSFQGQSREKAVEDHTGYDSHLVAALGIHWAHAVTIRLVLDSKSGLPNICFSLKMIKLS >ONI00477 pep chromosome:Prunus_persica_NCBIv2:G6:6213437:6217138:1 gene:PRUPE_6G090900 transcript:ONI00477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRVQKEHMGGHLPTRLKGLDNALCGGIPFGVLTELVGPAGIGKTQLCLKLALLASLPTAYGGLGGRVIYIDVESKFSSKRMIEMGSKSFPDMFYTKGMAQEMAGRILVLQPASLSEFTESLQQLKISLLQNQVKLLIIDSMAALISGEYGQGTARQHLLGWHISFIKSLAEFSRIPVVVTNQVRSQTRDEACQYSFQGQSREKAVEDHTGYDSHLVAALGIHWAHAVTIRLVLDSKSGLPNICFSLKMIKLS >ONI02590 pep chromosome:Prunus_persica_NCBIv2:G6:21730701:21737219:1 gene:PRUPE_6G208900 transcript:ONI02590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRKGLIKKAKELAILCDAEVGLMIFSSTGKLFEFSSNSMKSVIEKYNKSKEGQQQLLNQASEMKFWQREVANLRQQLQSLKEHHRQCMGEQLYGLSVKELKGLESQLEMSLQGIRMQKEQILTDEIEELNEKSNLVHQQNMELFKKVYGTTAVNSESRNACIPYGMSISDDSHVPNQLQLRRPNQQT >ONH99550 pep chromosome:Prunus_persica_NCBIv2:G6:2683050:2688575:-1 gene:PRUPE_6G035800 transcript:ONH99550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVENLPPDPPCHPQALIKDSSDDEKASQTPSFPEVVDLSKPPLPKFSIRDYVFTSRSKDIQTNWPFSQKNLQLCLKHGVKDLLPPFQSLDAAKNQSIKRCTVENENESNLDIAESSGHDDHVVLDSSNNTILKEKLAEACTDTTTTSCRSEGENDFPSTTTSISQSEIEESVPTNRQSSPLLRTGTSLEAASVEVKAVSLPVVVANKRESKTRPSGKKCRLVVKFSSHSERSSTEDIASNCTAVSETMTSKICPVCKTFSSSSNTTLNAHIDQCLSGESTPKWTVDSNKLTRHRIKPRKTKLMVDIYTTAQHCTLEDLDRRNGSSWATSVSSFPTQDNEHSEMPVEEKRQRVSSAHPDDIDVGAVYVDANGTKVRILSKFDDAPSPSVPKVVEHLRPRKPLKRGKGSKFLSAKKQKRHASKHHKYLKLAPQSKNFFSSKAHSSQIHGSQESYGVKESSKDEGQQMEKQANSCNPGALRRWACSKRTGVVKKFNKKHVSQNFLVEGDQGGLDNCLVERNRAIKPMNFSGDQNSSPEKSGSTENVYYEAQDSDKSDCSPGRKRAGSPFPGADISDNLERSLQRNSNQFSEDRNFAPDSCNLNLTNSDGNFAPLSNNKVGSAAGLSENFDSPPDASTKPSKSRDASRSNAMKSPLSKKNVLSVGGGLSLTESNSIVAKSPAVKNQVHERVEVDKEVAPRNSEPDQRYDFMYNCAGKRSRRGDITDEISICRNTVLQRRQNRGSISISGRKETMALKSSQFASECYGHDEREKMDSSVRIDGLGDAQENQILGNDIVTETSSLIGVGETVTSFCNTVDPELHIPSGRFKAKSDCQKYKGPFSESEALASPADPRNSNEQEMFSADEVEDAPLGQNLSNADEMDSEVGQGSYFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRVQSSQDQLDFIDGDSSDSPLSTTSTISNSTGTKCDLKYSEPLSSIGPQSVQDNIRSGLSHAIIDPCVEINAAAAQQITAIAAERLAFDRENFKVNKTSLERGPLSFKGNDQPCCCQRKERTFQGVALNYQESPLLRRRAMALPAMGKQVVCNPNTRTNNVETRSDMTDTFPNGFPTSRSEQMVFPVTKSSAGPIPLKGSPDGKGKLSGHSDCDSVSPSASNSILRLMGKNLMVVNRDEDASAPPVQAQSHAPINHLTSQFPTFSGVVPGNQNQFYHSFHHSLPHGSVIFGQDPHNKVGECFDTAHFNSFRTYSNPKTPQVVARGPVSLFSQQHTDVGFVASMESHEYKGDYNFPIPQNKNISKPIGAPAFQMERVMNTPDHRRRNSDSASSANKEIIIIDDPESEPDLACNVSNYSEGSREGQVVCSGIPVPAAPSYNSQRVNPFSCYESQDPSLLCGSPGLYNTALHTIPSRRGNASPARWSCTSEGSGVLQRTPILAASSSSRSHLRPTVYNSPSFS >ONH99548 pep chromosome:Prunus_persica_NCBIv2:G6:2683050:2688575:-1 gene:PRUPE_6G035800 transcript:ONH99548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVENLPPDPPCHPQALIKDSSDDEKASQTPSFPEVVDLSKPPLPKFSIRDYVFTSRSKDIQTNWPFSQKNLQLCLKHGVKDLLPPFQSLDAAKNQSIKRCTVENENESNLDIAESSGHDDHVVLDSSNNTILKEKLAEACTDTTTTSCRSEGENDFPSTTTSISQSEIEESVPTNRQSSPLLRTGTSLEAASVEVKAVSLPVVVANKRESKTRPSGKKCRLVVKFSSHSERSSTEDIASNCTAVSETMTSKICPVCKTFSSSSNTTLNAHIDQCLSGESTPKWTVDSNKLTRHRIKPRKTKLMVDIYTTAQHCTLEDLDRRNGSSWATSVSSFPTQDNEHSEMPVEEKRQRVSSAHPDDIDVGAVYVDANGTKVRILSKFDDAPSPSVPKVVEHLRPRKPLKRGKGSKFLSAKKQKRHASKHHKYLKLAPQSKNFFSSKAHSSQIHGSQESYGVKESSKDEGQQMEKQANSCNPGALRRWACSKRTGVVKKFNKKHVSQNFLVEGDQGGLDNCLVERNRAIKPMNFSGDQNSSPEKSGSTENVYYEAQDSDKSDCSPGRKRAGSPFPGADISDNLERSLQRNSNQFSEDRNFAPDSCNLNLTNSDGNFAPLSNNKVGSAAGLSENFDSPPDASTKPSKSRDASRSNAMKSPLSKKNVLSVGGGLSLTESNSIVAKSPAVKNQVHERVEVDKEVAPRNSEPDQRYDFMYNCAGKRSRRGDITDEISICRNTVLQRRQNRGSISISGRKETMALKSSQFASECYGHDEREKMDSSVRIDGLGDAQENQILGNDIVTETSSLIGVGETVTSFCNTVDPELHIPSGRFKAKSDCQKYKGPFSESEALASPADPRNSNEQEMFSADEVEDAPLGQNLSNADEMDSEVGQGSYFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRVQSSQDQLDFIDGDSSDSPLSTTSTISNSTGTKCDLKYSEPLSSIGPQSVQDNIRSGLSHAIIDPCVEINAAAAQQITAIAAERLAFDRENFKVNKTSLERGPLSFKGNDQPCCCQRKERTFQGVALNYQESPLLRRRAMALPAMGKQVVCNPNTRTNNVETRSDMTDTFPNGFPTSRSEQMVFPVTKSSAGPIPLKGSPDGKGKLSGHSDCDSVSPSASNSILRLMGKNLMVVNRDEDASAPPVQAQSHAPINHLTSQFPTFSGVVPGNQNQFYHSFHHSLPHGSVIFGQDPHNKVGECFDTAHFNSFRTYSNPKTPQVVARGPVSLFSQQHTDVGFVASMESHEYKGDYNFPIPQNKNISKPIGAPAFQMERVMNTPDHRRRNSDSASSANKEIIIIDDPESEPDLACNVSNYSEGSREGQVVCSGIPVPAAPSYNSQRVNPFSCYESQDPSLLCGSPGLYNTALHTIPSRRGNASPARWSCTSEGSGVLQRTPILAASSSSRSHLRPTVYNSPSFS >ONH99543 pep chromosome:Prunus_persica_NCBIv2:G6:2683050:2688575:-1 gene:PRUPE_6G035800 transcript:ONH99543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVENLPPDPPCHPQALIKDSSDDEKASQTPSFPEVVDLSKPPLPKFSIRDYVFTSRSKDIQTNWPFSQKNLQLCLKHGVKDLLPPFQSLDAAKNQSIKRCTVENENESNLDIAESSGHDDHVVLDSSNNTILKEKLAEACTDTTTTSCRSEGENDFPSTTTSISQSEIEESVPTNRQSSPLLRTGTSLEAASVEVKAVSLPVVVANKRESKTRPSGKKCRLVVKFSSHSERSSTEDIASNCTAVSETMTSKICPVCKTFSSSSNTTLNAHIDQCLSGESTPKWTVDSNKLTRHRIKPRKTKLMVDIYTTAQHCTLEDLDRRNGSSWATSVSSFPTQDNEHSEMPVEEKRQRVSSAHPDDIDVGAVYVDANGTKVRILSKFDDAPSPSVPKVVEHLRPRKPLKRGKGSKFLSAKKQKRHASKHHKYLKLAPQSKNFFSSKAHSSQIHGSQESYGVKESSKDEGQQMEKQANSCNPGALRRWACSKRTGVVKKFNKKHVSQNFLVEGDQGGLDNCLVERNRAIKPMNFSGDQNSSPEKSGSTENVYYEAQDSDKSDCSPGRKRAGSPFPGADISDNLERSLQRNSNQFSEDRNFAPDSCNLNLTNSDGNFAPLSNNKVGSAAGLSENFDSPPDASTKPSKSRDASRSNAMKSPLSKKNVLSVGGGLSLTESNSIVAKSPAVKNQVHERVEVDKEVAPRNSEPDQRNTVLQRRQNRGSISISGRKETMALKSSQFASECYGHDEREKMDSSVRIDGLGDAQENQILGNDIVTETSSLIGVGETVTSFCNTVDPELHIPSGRFKAKSDCQKYKGPFSESEALASPADPRNSNEQEMFSADEVEDAPLGQNLSNADEMDSEVGQGSYFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRVQSSQDQLDFIDGDSSDSPLSTTSTISNSTGTKCDLKYSEPLSSIGPQSVQDNIRSGLSHAIIDPCVEINAAAAQQITAIAAERLAFDRENFKVNKTSLERGPLSFKGNDQPCCCQRKERTFQGVALNYQESPLLRRRAMALPAMGKQVVCNPNTRTNNVETRSDMTDTFPNGFPTSRSEQMVFPVTKSSAGPIPLKGSPDGKGKLSGHSDCDSVSPSASNSILRLMGKNLMVVNRDEDASAPPVQAQSHAPINHLTSQFPTFSGVVPGNQNQFYHSFHHSLPHGSVIFGQDPHNKVGECFDTAHFNSFRTYSNPKTPQVVARGPVSLFSQQHTDVGFVASMESHEYKGDYNFPIPQNKNISKPIGAPAFQMERVMNTPDHRRRNSDSASSANKEIIIIDDPESEPDLACNVSNYSEGSREGQVVCSGIPVPAAPSYNSQRVNPFSCYESQDPSLLCGSPGLYNTALHTIPSRRGNASPARWSCTSEGSGVLQRTPILAASSSSRSHLRPTVYNSPSFS >ONH99547 pep chromosome:Prunus_persica_NCBIv2:G6:2683050:2688575:-1 gene:PRUPE_6G035800 transcript:ONH99547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVENLPPDPPCHPQALIKDSSDDEKASQTPSFPEVVDLSKPPLPKFSIRDYVFTSRSKDIQTNWPFSQKNLQLCLKHGVKDLLPPFQSLDAAKNQSIKRCTVENENESNLDIAESSGHDDHVVLDSSNNTILKEKLAEACTDTTTTSCRSEGENDFPSTTTSISQSEIEESVPTNRQSSPLLRTGTSLEAASVEVKAVSLPVVVANKRESKTRPSGKKCRLVVKFSSHSERSSTEDIASNCTAVSETMTSKICPVCKTFSSSSNTTLNAHIDQCLSGESTPKWTVDSNKLTRHRIKPRKTKLMVDIYTTAQHCTLEDLDRRNGSSWATSVSSFPTQDNEHSEMPVEEKRQRVSSAHPDDIDVGAVYVDANGTKVRILSKFDDAPSPSVPKVVEHLRPRKPLKRGKGSKFLSAKKQKRHASKHHKYLKLAPQSKNFFSSKAHSSQIHGSQESYGVKESSKDEGQQMEKQANSCNPGALRRWACSKRTGVVKKFNKKHVSQNFLVEGDQGGLDNCLVERNRAIKPMNFSGDQNSSPEKSGSTENVYYEAQDSDKSDCSPGRKRAGSPFPGADISDNLERSLQRNSNQFSEDRNFAPDSCNLNLTNSDGNFAPLSNNKVGSAAGLSENFDSPPDASTKPSKSRDASRSNAMKSPLSKKNVLSVGGGLSLTESNSIVAKSPAVKNQVHERVEVDKEVAPRNSEPDQRYDFMYNCAGKRSRRGDITDEISICRNTVLQRRQNRGSISISGRKETMALKSSQFASECYGHDEREKMDSSVRIDGLGDAQENQILGNDIVTETSSLIGVGETVTSFCNTVDPELHIPSGRFKAKSDCQKYKGPFSESEALASPADPRNSNEQEMFSADEVEDAPLGQNLSNADEMDSEVGQGSYFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRVQSSQDQLDFIDGDSSDSPLSTTSTISNSTGTKCDLKYSEPLSSIGPQSVQDNIRSGLSHAIIDPCVEINAAAAQQITAIAAERLAFDRENFKVNKTSLERGPLSFKGNDQPCCCQRKERTFQGVALNYQESPLLRRRAMALPAMGKQVVCNPNTRTNNVETRSDMTDTFPNGFPTSRSEQMVFPVTKSSAGPIPLKGSPDGKGKLSGHSDCDSVSPSASNSILRLMGKNLMVVNRDEDASAPPVQAQSHAPINHLTSQFPTFSGVVPGNQNQFYHSFHHSLPHGSVIFGQDPHNKVGECFDTAHFNSFRTYSNPKTPQVVARGPVSLFSQQHTDVGFVASMESHEYKGDYNFPIPQNKNISKPIGAPAFQMERVMNTPDHRRRNSDSASSANKEIIIIDDPESEPDLACNVSNYSEGSREGQVVCSGIPVPAAPSYNSQRVNPFSCYESQDPSLLCGSPGLYNTALHTIPSRRGNASPARWSCTSEGSGVLQRTPILAASSSSRSHLRPTVYNSPSFS >ONH99546 pep chromosome:Prunus_persica_NCBIv2:G6:2682607:2690007:-1 gene:PRUPE_6G035800 transcript:ONH99546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVENLPPDPPCHPQALIKDSSDDEKASQTPSFPEVVDLSKPPLPKFSIRDYVFTSRSKDIQTNWPFSQKNLQLCLKHGVKDLLPPFQSLDAAKNQSIKRCTVENENESNLDIAESSGHDDHVVLDSSNNTILKEKLAEACTDTTTTSCRSEGENDFPSTTTSISQSEIEESVPTNRQSSPLLRTGTSLEAASVEVKAVSLPVVVANKRESKTRPSGKKCRLVVKFSSHSERSSTEDIASNCTAVSETMTSKICPVCKTFSSSSNTTLNAHIDQCLSGESTPKWTVDSNKLTRHRIKPRKTKLMVDIYTTAQHCTLEDLDRRNGSSWATSVSSFPTQDNEHSEMPVEEKRQRVSSAHPDDIDVGAVYVDANGTKVRILSKFDDAPSPSVPKVVEHLRPRKPLKRGKGSKFLSAKKQKRHASKHHKYLKLAPQSKNFFSSKAHSSQIHGSQESYGVKESSKDEGQQMEKQANSCNPGALRRWACSKRTGVVKKFNKKHVSQNFLVEGDQGGLDNCLVERNRAIKPMNFSGDQNSSPEKSGSTENVYYEAQDSDKSDCSPGRKRAGSPFPGADISDNLERSLQRNSNQFSEDRNFAPDSCNLNLTNSDGNFAPLSNNKVGSAAGLSENFDSPPDASTKPSKSRDASRSNAMKSPLSKKNVLSVGGGLSLTESNSIVAKSPAVKNQVHERVEVDKEVAPRNSEPDQRNTVLQRRQNRGSISISGRKETMALKSSQFASECYGHDEREKMDSSVRIDGLGDAQENQILGNDIVTETSSLIGVGETVTSFCNTVDPELHIPSGRFKAKSDCQKYKGPFSESEALASPADPRNSNEQEMFSADEVEDAPLGQNLSNADEMDSEVGQGSYFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRVQSSQDQLDFIDGDSSDSPLSTTSTISNSTGTKCDLKYSEPLSSIGPQSVQDNIRSGLSHAIIDPCVEINAAAAQQITAIAAERLAFDRENFKVNKTSLERGPLSFKGNDQPCCCQRKERTFQGVALNYQESPLLRRRAMALPAMGKQVVCNPNTRTNNVETRSDMTDTFPNGFPTSRSEQMVFPVTKSSAGPIPLKGSPDGKGKLSGHSDCDSVSPSASNSILRLMGKNLMVVNRDEDASAPPVQAQSHAPINHLTSQFPTFSGVVPGNQNQFYHSFHHSLPHGSVIFGQDPHNKVGECFDTAHFNSFRTYSNPKTPQVVARGPVSLFSQQHTDVGFVASMESHEYKGDYNFPIPQNKNISKPIGAPAFQMERVMNTPDHRRRNSDSASSANKEIIIIDDPESEPDLACNVSNYSEGSREGQVVCSGIPVPAAPSYNSQRVNPFSCYESQDPSLLCGSPGLYNTALHTIPSRRGNASPARWSCTSEGSGVLQRTPILAASSSSRSHLRPTVYNSPSFS >ONH99545 pep chromosome:Prunus_persica_NCBIv2:G6:2682607:2690088:-1 gene:PRUPE_6G035800 transcript:ONH99545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVENLPPDPPCHPQALIKDSSDDEKASQTPSFPEVVDLSKPPLPKFSIRDYVFTSRSKDIQTNWPFSQKNLQLCLKHGVKDLLPPFQSLDAAKNQSIKRCTVENENESNLDIAESSGHDDHVVLDSSNNTILKEKLAEACTDTTTTSCRSEGENDFPSTTTSISQSEIEESVPTNRQSSPLLRTGTSLEAASVEVKAVSLPVVVANKRESKTRPSGKKCRLVVKFSSHSERSSTEDIASNCTAVSETMTSKICPVCKTFSSSSNTTLNAHIDQCLSGESTPKWTVDSNKLTRHRIKPRKTKLMVDIYTTAQHCTLEDLDRRNGSSWATSVSSFPTQDNEHSEMPVEEKRQRVSSAHPDDIDVGAVYVDANGTKVRILSKFDDAPSPSVPKVVEHLRPRKPLKRGKGSKFLSAKKQKRHASKHHKYLKLAPQSKNFFSSKAHSSQIHGSQESYGVKESSKDEGQQMEKQANSCNPGALRRWACSKRTGVVKKFNKKHVSQNFLVEGDQGGLDNCLVERNRAIKPMNFSGDQNSSPEKSGSTENVYYEAQDSDKSDCSPGRKRAGSPFPGADISDNLERSLQRNSNQFSEDRNFAPDSCNLNLTNSDGNFAPLSNNKVGSAAGLSENFDSPPDASTKPSKSRDASRSNAMKSPLSKKNVLSVGGGLSLTESNSIVAKSPAVKNQVHERVEVDKEVAPRNSEPDQRNTVLQRRQNRGSISISGRKETMALKSSQFASECYGHDEREKMDSSVRIDGLGDAQENQILGNDIVTETSSLIGVGETVTSFCNTVDPELHIPSGRFKAKSDCQKYKGPFSESEALASPADPRNSNEQEMFSADEVEDAPLGQNLSNADEMDSEVGQGSYFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRVQSSQDQLDFIDGDSSDSPLSTTSTISNSTGTKCDLKYSEPLSSIGPQSVQDNIRSGLSHAIIDPCVEINAAAAQQITAIAAERLAFDRENFKVNKTSLERGPLSFKGNDQPCCCQRKERTFQGVALNYQESPLLRRRAMALPAMGKQVVCNPNTRTNNVETRSDMTDTFPNGFPTSRSEQMVFPVTKSSAGPIPLKGSPDGKGKLSGHSDCDSVSPSASNSILRLMGKNLMVVNRDEDASAPPVQAQSHAPINHLTSQFPTFSGVVPGNQNQFYHSFHHSLPHGSVIFGQDPHNKVGECFDTAHFNSFRTYSNPKTPQVVARGPVSLFSQQHTDVGFVASMESHEYKGDYNFPIPQNKNISKPIGAPAFQMERVMNTPDHRRRNSDSASSANKEIIIIDDPESEPDLACNVSNYSEGSREGQVVCSGIPVPAAPSYNSQRVNPFSCYESQDPSLLCGSPGLYNTALHTIPSRRGNASPARWSCTSEGSGVLQRTPILAASSSSRSHLRPTVYNSPSFS >ONH99549 pep chromosome:Prunus_persica_NCBIv2:G6:2682607:2690133:-1 gene:PRUPE_6G035800 transcript:ONH99549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVENLPPDPPCHPQALIKDSSDDEKASQTPSFPEVVDLSKPPLPKFSIRDYVFTSRSKDIQTNWPFSQKNLQLCLKHGVKDLLPPFQSLDAAKNQSIKRCTVENENESNLDIAESSGHDDHVVLDSSNNTILKEKLAEACTDTTTTSCRSEGENDFPSTTTSISQSEIEESVPTNRQSSPLLRTGTSLEAASVEVKAVSLPVVVANKRESKTRPSGKKCRLVVKFSSHSERSSTEDIASNCTAVSETMTSKICPVCKTFSSSSNTTLNAHIDQCLSGESTPKWTVDSNKLTRHRIKPRKTKLMVDIYTTAQHCTLEDLDRRNGSSWATSVSSFPTQDNEHSEMPVEEKRQRVSSAHPDDIDVGAVYVDANGTKVRILSKFDDAPSPSVPKVVEHLRPRKPLKRGKGSKFLSAKKQKRHASKHHKYLKLAPQSKNFFSSKAHSSQIHGSQESYGVKESSKDEGQQMEKQANSCNPGALRRWACSKRTGVVKKFNKKHVSQNFLVEGDQGGLDNCLVERNRAIKPMNFSGDQNSSPEKSGSTENVYYEAQDSDKSDCSPGRKRAGSPFPGADISDNLERSLQRNSNQFSEDRNFAPDSCNLNLTNSDGNFAPLSNNKVGSAAGLSENFDSPPDASTKPSKSRDASRSNAMKSPLSKKNVLSVGGGLSLTESNSIVAKSPAVKNQVHERVEVDKEVAPRNSEPDQRYDFMYNCAGKRSRRGDITDEISICRNTVLQRRQNRGSISISGRKETMALKSSQFASECYGHDEREKMDSSVRIDGLGDAQENQILGNDIVTETSSLIGVGETVTSFCNTVDPELHIPSGRFKAKSDCQKYKGPFSESEALASPADPRNSNEQEMFSADEVEDAPLGQNLSNADEMDSEVGQGSYFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRVQSSQDQLDFIDGDSSDSPLSTTSTISNSTGTKCDLKYSEPLSSIGPQSVQDNIRSGLSHAIIDPCVEINAAAAQQITAIAAERLAFDRENFKVNKTSLERGPLSFKGNDQPCCCQRKERTFQGVALNYQESPLLRRRAMALPAMGKQVVCNPNTRTNNVETRSDMTDTFPNGFPTSRSEQMVFPVTKSSAGPIPLKGSPDGKGKLSGHSDCDSVSPSASNSILRLMGKNLMVVNRDEDASAPPVQAQSHAPINHLTSQFPTFSGVVPGNQNQFYHSFHHSLPHGSVIFGQDPHNKVGECFDTAHFNSFRTYSNPKTPQVVARGPVSLFSQQHTDVGFVASMESHEYKGDYNFPIPQNKNISKPIGAPAFQMERVMNTPDHRRRNSDSASSANKEIIIIDDPESEPDLACNVSNYSEGSREGQVVCSGIPVPAAPSYNSQRVNPFSCYESQDPSLLCGSPGLYNTALHTIPSRRGNASPARWSCTSEGSGVLQRTPILAASSSSRSHLRPTVYNSPSFS >ONH99544 pep chromosome:Prunus_persica_NCBIv2:G6:2682607:2690117:-1 gene:PRUPE_6G035800 transcript:ONH99544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVENLPPDPPCHPQALIKDSSDDEKASQTPSFPEVVDLSKPPLPKFSIRDYVFTSRSKDIQTNWPFSQKNLQLCLKHGVKDLLPPFQSLDAAKNQSIKRCTVENENESNLDIAESSGHDDHVVLDSSNNTILKEKLAEACTDTTTTSCRSEGENDFPSTTTSISQSEIEESVPTNRQSSPLLRTGTSLEAASVEVKAVSLPVVVANKRESKTRPSGKKCRLVVKFSSHSERSSTEDIASNCTAVSETMTSKICPVCKTFSSSSNTTLNAHIDQCLSGESTPKWTVDSNKLTRHRIKPRKTKLMVDIYTTAQHCTLEDLDRRNGSSWATSVSSFPTQDNEHSEMPVEEKRQRVSSAHPDDIDVGAVYVDANGTKVRILSKFDDAPSPSVPKVVEHLRPRKPLKRGKGSKFLSAKKQKRHASKHHKYLKLAPQSKNFFSSKAHSSQIHGSQESYGVKESSKDEGQQMEKQANSCNPGALRRWACSKRTGVVKKFNKKHVSQNFLVEGDQGGLDNCLVERNRAIKPMNFSGDQNSSPEKSGSTENVYYEAQDSDKSDCSPGRKRAGSPFPGADISDNLERSLQRNSNQFSEDRNFAPDSCNLNLTNSDGNFAPLSNNKVGSAAGLSENFDSPPDASTKPSKSRDASRSNAMKSPLSKKNVLSVGGGLSLTESNSIVAKSPAVKNQVHERVEVDKEVAPRNSEPDQRNTVLQRRQNRGSISISGRKETMALKSSQFASECYGHDEREKMDSSVRIDGLGDAQENQILGNDIVTETSSLIGVGETVTSFCNTVDPELHIPSGRFKAKSDCQKYKGPFSESEALASPADPRNSNEQEMFSADEVEDAPLGQNLSNADEMDSEVGQGSYFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRVQSSQDQLDFIDGDSSDSPLSTTSTISNSTGTKCDLKYSEPLSSIGPQSVQDNIRSGLSHAIIDPCVEINAAAAQQITAIAAERLAFDRENFKVNKTSLERGPLSFKGNDQPCCCQRKERTFQGVALNYQESPLLRRRAMALPAMGKQVVCNPNTRTNNVETRSDMTDTFPNGFPTSRSEQMVFPVTKSSAGPIPLKGSPDGKGKLSGHSDCDSVSPSASNSILRLMGKNLMVVNRDEDASAPPVQAQSHAPINHLTSQFPTFSGVVPGNQNQFYHSFHHSLPHGSVIFGQDPHNKVGECFDTAHFNSFRTYSNPKTPQVVARGPVSLFSQQHTDVGFVASMESHEYKGDYNFPIPQNKNISKPIGAPAFQMERVMNTPDHRRRNSDSASSANKEIIIIDDPESEPDLACNVSNYSEGSREGQVVCSGIPVPAAPSYNSQRVNPFSCYESQDPSLLCGSPGLYNTALHTIPSRRGNASPARWSCTSEGSGVLQRTPILAASSSSRSHLRPTVYNSPSFS >ONH99551 pep chromosome:Prunus_persica_NCBIv2:G6:2682607:2690134:-1 gene:PRUPE_6G035800 transcript:ONH99551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVENLPPDPPCHPQALIKDSSDDEKASQTPSFPEVVDLSKPPLPKFSIRDYVFTSRSKDIQTNWPFSQKNLQLCLKHGVKDLLPPFQSLDAAKNQSIKRCTVENENESNLDIAESSGHDDHVVLDSSNNTILKEKLAEACTDTTTTSCRSEGENDFPSTTTSISQSEIEESVPTNRQSSPLLRTGTSLEAASVEVKAVSLPVVVANKRESKTRPSGKKCRLVVKFSSHSERSSTEDIASNCTAVSETMTSKICPVCKTFSSSSNTTLNAHIDQCLSGESTPKWTVDSNKLTRHRIKPRKTKLMVDIYTTAQHCTLEDLDRRNGSSWATSVSSFPTQDNEHSEMPVEEKRQRVSSAHPDDIDVGAVYVDANGTKVRILSKFDDAPSPSVPKVVEHLRPRKPLKRGKGSKFLSAKKQKRHASKHHKYLKLAPQSKNFFSSKAHSSQIHGSQESYGVKESSKDEGQQMEKQANSCNPGALRRWACSKRTGVVKKFNKKHVSQNFLVEGDQGGLDNCLVERNRAIKPMNFSGDQNSSPEKSGSTENVYYEAQDSDKSDCSPGRKRAGSPFPGADISDNLERSLQRNSNQFSEDRNFAPDSCNLNLTNSDGNFAPLSNNKVGSAAGLSENFDSPPDASTKPSKSRDASRSNAMKSPLSKKNVLSVGGGLSLTESNSIVAKSPAVKNQVHERVEVDKEVAPRNSEPDQRYDFMYNCAGKRSRRGDITDEISICRNTVLQRRQNRGSISISGRKETMALKSSQFASECYGHDEREKMDSSVRIDGLGDAQENQILGNDIVTETSSLIGVGETVTSFCNTVDPELHIPSGRFKAKSDCQKYKGPFSESEALASPADPRNSNEQEMFSADEVEDAPLGQNLSNADEMDSEVGQGSYFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRVQSSQDQLDFIDGDSSDSPLSTTSTISNSTGTKCDLKYSEPLSSIGPQSVQDNIRSGLSHAIIDPCVEINAAAAQQITAIAAERLAFDRENFKVNKTSLERGPLSFKGNDQPCCCQRKERTFQGVALNYQESPLLRRRAMALPAMGKQVVCNPNTRTNNVETRSDMTDTFPNGFPTSRSEQMVFPVTKSSAGPIPLKGSPDGKGKLSGHSDCDSVSPSASNSILRLMGKNLMVVNRDEDASAPPVQAQSHAPINHLTSQFPTFSGVVPGNQNQFYHSFHHSLPHGSVIFGQDPHNKVGECFDTAHFNSFRTYSNPKTPQVVARGPVSLFSQQHTDVGFVASMESHEYKGDYNFPIPQNKNISKPIGAPAFQMERVMNTPDHRRRNSDSASSANKEIIIIDDPESEPDLACNVSNYSEGSREGQVVCSGIPVPAAPSYNSQRVNPFSCYESQDPSLLCGSPGLYNTALHTIPSRRGNASPARWSCTSEGSGVLQRTPILAASSSSRSHLRPTVYNSPSFS >ONH99542 pep chromosome:Prunus_persica_NCBIv2:G6:2683050:2688575:-1 gene:PRUPE_6G035800 transcript:ONH99542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVENLPPDPPCHPQALIKDSSDDEKASQTPSFPEVVDLSKPPLPKFSIRDYVFTSRSKDIQTNWPFSQKNLQLCLKHGVKDLLPPFQSLDAAKNQSIKRCTVENENESNLDIAESSGHDDHVVLDSSNNTILKEKLAEACTDTTTTSCRSEGENDFPSTTTSISQSEIEESVPTNRQSSPLLRTGTSLEAASVEVKAVSLPVVVANKRESKTRPSGKKCRLVVKFSSHSERSSTEDIASNCTAVSETMTSKICPVCKTFSSSSNTTLNAHIDQCLSGESTPKWTVDSNKLTRHRIKPRKTKLMVDIYTTAQHCTLEDLDRRNGSSWATSVSSFPTQDNEHSEMPVEEKRQRVSSAHPDDIDVGAVYVDANGTKVRILSKFDDAPSPSVPKVVEHLRPRKPLKRGKGSKFLSAKKQKRHASKHHKYLKLAPQSKNFFSSKAHSSQIHGSQESYGVKESSKDEGQQMEKQANSCNPGALRRWACSKRTGVVKKFNKKHVSQNFLVEGDQGGLDNCLVERNRAIKPMNFSGDQNSSPEKSGSTENVYYEAQDSDKSDCSPGRKRAGSPFPGADISDNLERSLQRNSNQFSEDRNFAPDSCNLNLTNSDGNFAPLSNNKVGSAAGLSENFDSPPDASTKPSKSRDASRSNAMKSPLSKKNVLSVGGGLSLTESNSIVAKSPAVKNQVHERVEVDKEVAPRNSEPDQRNTVLQRRQNRGSISISGRKETMALKSSQFASECYGHDEREKMDSSVRIDGLGDAQENQILGNDIVTETSSLIGVGETVTSFCNTVDPELHIPSGRFKAKSDCQKYKGPFSESEALASPADPRNSNEQEMFSADEVEDAPLGQNLSNADEMDSEVGQGSYFPEVDPIPIPGPPGSFLPSPRDMGSDDFQGNSSLTTSRVQSSQDQLDFIDGDSSDSPLSTTSTISNSTGTKCDLKYSEPLSSIGPQSVQDNIRSGLSHAIIDPCVEINAAAAQQITAIAAERLAFDRENFKVNKTSLERGPLSFKGNDQPCCCQRKERTFQGVALNYQESPLLRRRAMALPAMGKQVVCNPNTRTNNVETRSDMTDTFPNGFPTSRSEQMVFPVTKSSAGPIPLKGSPDGKGKLSGHSDCDSVSPSASNSILRLMGKNLMVVNRDEDASAPPVQAQSHAPINHLTSQFPTFSGVVPGNQNQFYHSFHHSLPHGSVIFGQDPHNKVGECFDTAHFNSFRTYSNPKTPQVVARGPVSLFSQQHTDVGFVASMESHEYKGDYNFPIPQNKNISKPIGAPAFQMERVMNTPDHRRRNSDSASSANKEIIIIDDPESEPDLACNVSNYSEGSREGQVVCSGIPVPAAPSYNSQRVNPFSCYESQDPSLLCGSPGLYNTALHTIPSRRGNASPARWSCTSEGSGVLQRTPILAASSSSRSHLRPTVYNSPSFS >ONI01150 pep chromosome:Prunus_persica_NCBIv2:G6:9311723:9314629:-1 gene:PRUPE_6G124400 transcript:ONI01150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMTGPPSPVIPPEIASHVLEGVDMCDGILRNLFLCLQINDIEPFCQDELVMYKQCAEKRDRELRKRLQDSERKLGLSMPLNEAKERASQLEKEVTSLDRRLILASGLEGIEGFRQRWSLHGRLTDTNFCAGKGWSP >ONI01148 pep chromosome:Prunus_persica_NCBIv2:G6:9311157:9314825:-1 gene:PRUPE_6G124400 transcript:ONI01148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMTGPPSPVIPPEIASHVLEGVDMCDGILRNLFLCLQINDIEPFCQDELVMYKQCAEKRDRELRKRLQDSERKLGLSMPLNEAKERASQLEKEVTSLDRRLILASGLEGIEGFRQRWSLHGRLTDTKKRLESLKQGMETRKKD >ONI01149 pep chromosome:Prunus_persica_NCBIv2:G6:9311157:9315171:-1 gene:PRUPE_6G124400 transcript:ONI01149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMTGPPSPVIPPEIASHVLEGVDMCDGILRNLFLCLQINDIEPFCQDELVMYKQCAEKRDRELRKRLQDSERKLGLSMPLNEAKERASQLEKEVTSLDRRLILASGLEGIEGFRQRWSLHGRLTDTKKRLESLKQGMETRKKD >ONI04476 pep chromosome:Prunus_persica_NCBIv2:G6:28510532:28514804:-1 gene:PRUPE_6G323600 transcript:ONI04476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFQTSTHRAKWIFTPEELVGKYKAANQRAIQTLEKYGATLMEVDVDGTISYPEPQVIAKDNADKHSRPKPISIEEEQFMRVFYENKLQEVCKNFHFPHKVQATALIYFKRFYLQWSVMQHHPKNIMLTCIYAACKIEENHVSAEELGKGISQDHQMILNNEMIVYQSLEFDLIVYAPYRSIEGFIDDMEEFCGINDDRLQILQNLLHAAKMEVDKIMLTDAPLLFPPGQLALAALRSANQVHKVVDFERYLRSILSRQSSEHTVSELVEFLNAIDSWARKYKFPSDKDLKHINRKLKSCWGLSSHDESKKRDKKSKHKSKRSSNEVQPVPSHTEM >ONI04475 pep chromosome:Prunus_persica_NCBIv2:G6:28510098:28514983:-1 gene:PRUPE_6G323600 transcript:ONI04475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFQTSTHRAKWIFTPEELVGKYKAANQRAIQTLEKYGATLMEVDVDGTISYPEPQVIAKDNADKHSRPKPISIEEEQFMRVFYENKLQEVCKNFHFPHKVQATALIYFKRFYLQWSVMQHHPKNIMLTCIYAACKIEENHVSAEELGKGISQDHQMILNNEMIVYQSLEFDLIVYAPYRSIEGFIDDMENLLHAAKMEVDKIMLTDAPLLFPPGQLALAALRSANQVHKVVDFERYLRSILSRQSSEHTVSELVEFLNAIDSWARKYKFPSDKDLKHINRKLKSCWGLSSHDESKKRDKKSKHKSKRSSNEVQPVPSHTEM >ONI04477 pep chromosome:Prunus_persica_NCBIv2:G6:28510532:28513793:-1 gene:PRUPE_6G323600 transcript:ONI04477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDVDGTISYPEPQVIAKDNADKHSRPKPISIEEEQFMRVFYENKLQEVCKNFHFPHKVQATALIYFKRFYLQWSVMQHHPKNIMLTCIYAACKIEENHVSAEELGKGISQDHQMILNNEMIVYQSLEFDLIVYAPYRSIEGFIDDMEEFCGINDDRLQILQNLLHAAKMEVDKIMLTDAPLLFPPGQLALAALRSANQVHKVVDFERYLRSILSRQSSEHTVSELVEFLNAIDSWARKYKFPSDKDLKHINRKLKSCWGLSSHDESKKRDKKSKHKSKRSSNEVQPVPSHTEM >ONI00362 pep chromosome:Prunus_persica_NCBIv2:G6:5704076:5706292:-1 gene:PRUPE_6G084700 transcript:ONI00362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSRGRPSHLQIPSKWRDSETSPNRTSIIETPNLAMTPRKVPVVYYLSRNGQLEHPHFMEVPLSSPRGLFLRDVINRLNLLRGKGMASLYSWSSKRSYKTGFVWHDLSENDFIHPSHGHEYVLKGSELLDPPVNSLALESASSRSLRPPPEAEKSGDDFDSPLIVRRRNQSWSSIDLNEYKVYKTESVGESAGKAAADASTQTDDKRHRRRVVREERDDKVQGQSQQEVLQIHSQSTELSRGEISPPPSDSSPETLESLMKADGRLVICTNGASDEGLHRTAEVCPGGKMKASSVLMQLLSCGSISFRDCGSTAVRGEGFSLIGHYKARLPRGGAGNQVRTETVISNVAGVKLEDKEYFSGSLIETKKEAVPALKRSSSYNADR >ONI00361 pep chromosome:Prunus_persica_NCBIv2:G6:5703520:5706517:-1 gene:PRUPE_6G084700 transcript:ONI00361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSRGRPSHLQIPSKWRDSETSPNRTSIIETPNLAMTPRKVPVVYYLSRNGQLEHPHFMEVPLSSPRGLFLRDVINRLNLLRGKGMASLYSWSSKRSYKTGFVWHDLSENDFIHPSHGHEYVLKGSELLDPPVNSLALESASSRSLRPPPEAEKSGDDFDSPLIVRRRNQSWSSIDLNEYKVYKTESVGESAGKAAADASTQTDDKRHRRRVVREERDDKVQGQSQQEVLQIHSQSTELSRGEISPPPSDSSPETLESLMKADGRLVICTNGASDEGLHRTAEVCPGGKMKASSVLMQLLSCGSISFRDCGSTAVRGEGFSLIGHYKARLPRGGAGNQVRTETVISNVAGVKLEDKEYFSGSLIETKKEAVPALKRSSSYNADRKCTSSGFFTWLSGDSGQNFILIL >ONI00360 pep chromosome:Prunus_persica_NCBIv2:G6:5702521:5706517:-1 gene:PRUPE_6G084700 transcript:ONI00360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSRGRPSHLQIPSKWRDSETSPNRTSIIETPNLAMTPRKVPVVYYLSRNGQLEHPHFMEVPLSSPRGLFLRDVINRLNLLRGKGMASLYSWSSKRSYKTGFVWHDLSENDFIHPSHGHEYVLKGSELLDPPVNSLALESASSRSLRPPPEAEKSGDDFDSPLIVRRRNQSWSSIDLNEYKVYKTESVGESAGKAAADASTQTDDKRHRRRVVREERDDKVQGQSQQEVLQIHSQSTELSRGEISPPPSDSSPETLESLMKADGRLVICTNGASDEGLHRTAEVCPGGKMKASSVLMQLLSCGSISFRDCGSTAVRGEGFSLIGHYKARLPRGGAGNQVRTETVISNVAGVKLEDKEYFSGSLIETKKEAVPALKRSSSYNADRSAQLQLEETEIGGVRAKCIPRKQKALPSKKESNYITSSNSVSSNDQGAGSKRHE >ONI04464 pep chromosome:Prunus_persica_NCBIv2:G6:28478320:28484253:1 gene:PRUPE_6G322900 transcript:ONI04464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHTEANVLQEGSESSEETGGQTCHQCRRNDRDTVIWCLRCDRRGYCDSCISTWYSDIPLEDIQRSCPACRGTCNCRVCLRRDNLVKVRIREIPVLDKLQYLHRLLSSVLPIVKQIHQEQCFEVELEKKLRGTDIDLVRTKLNADEQMCCNFCRIPIIDYHWHCSNCAYDVCLHCCRDLREASMPGVEGEVEDNQISEKSQEKETKLQQPKLSKVRLNLSDKFSDWKANSDGSIPCPPKEYGGCGYSSLNLSRIFKMNWVAKLVKNAEEMVSGCRVNDAVSVENFGHDDPRICQYAHREDNNNFLYCPSSEDLKSDGIDHFKRHWLSGEPIIVKQVFDSSSISSWDPMVIWKGIRETADEKLKDEDRMVKAIDFFDWSEVDVELGQFIKGYSEGRINENGCPEMLKLKDWPSPSASEEFLLYQRPEFISKLPLLEFIHSKFGLLNVAAKLPHYSLQNDVGPKIFMSYGTYEELSGGNSVTNLHFNMRDMVYLLVHACEVKPKGLQKTKIKSTQKSLEESEVKESPGDLKMGLGEDTNPDLSLLSQSVENDYGARSDTDKDESVADHGHETTPTVEGDTRSCELSEREGGDVSEKTHMGVLWDVYRRKDVPKLTEYLRMHWKEFGKLNSETYNFVTWPLYDGTLFLNGYHKRKLKEEFGIEPWSFEQHLGQAVFIPAGCPFQVRNLQSTVQLGLDFLSPESLGEAVRLADEIRCLPNDHEAKLQVLEVGKISLYAASSAIKEIQKLVLDPKFGAELGFEDPNLTAAVSENLEKMIKRRQITCT >ONI04463 pep chromosome:Prunus_persica_NCBIv2:G6:28476650:28484313:1 gene:PRUPE_6G322900 transcript:ONI04463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPRSGNGEENVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRANLKKAKRKSLGETEIYLESKSDDFDVPLASMKSQDKKYMDKASKNHFRYSPESPPTRGLSMRNPPKPNDERDLEQYEESWRSYKSPPVSALESSRNRPQRSFDANAMTVSEGSESSEETGGQTCHQCRRNDRDTVIWCLRCDRRGYCDSCISTWYSDIPLEDIQRSCPACRGTCNCRVCLRRDNLVKVRIREIPVLDKLQYLHRLLSSVLPIVKQIHQEQCFEVELEKKLRGTDIDLVRTKLNADEQMCCNFCRIPIIDYHWHCSNCAYDVCLHCCRDLREASMPGVEGEVEDNQISEKSQEKETKLQQPKLSKVRLNLSDKFSDWKANSDGSIPCPPKEYGGCGYSSLNLSRIFKMNWVAKLVKNAEEMVSGCRVNDAVSVENFGHDDPRICQYAHREDNNNFLYCPSSEDLKSDGIDHFKRHWLSGEPIIVKQVFDSSSISSWDPMVIWKGIRETADEKLKDEDRMVKAIDFFDWSEVDVELGQFIKGYSEGRINENGCPEMLKLKDWPSPSASEEFLLYQRPEFISKLPLLEFIHSKFGLLNVAAKLPHYSLQNDVGPKIFMSYGTYEELSGGNSVTNLHFNMRDMVYLLVHACEVKPKGLQKTKIKSTQKSLEESEVKESPGDLKMGLGEDTNPDLSLLSQSVENDYGARSDTDKDESVADHGHETTPTVEGDTRSCELSEREGGDVSEKTHMGVLWDVYRRKDVPKLTEYLRMHWKEFGKLNSETYNFVTWPLYDGTLFLNGYHKRKLKEEFGIEPWSFEQHLGQAVFIPAGCPFQVRNLQSTVQLGLDFLSPESLGEAVRLADEIRCLPNDHEAKLQVLEVGKISLYAASSAIKEIQKLVLDPKFGAELGFEDPNLTAAVSENLEKMIKRRQITCT >ONI04461 pep chromosome:Prunus_persica_NCBIv2:G6:28476632:28484319:1 gene:PRUPE_6G322900 transcript:ONI04461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPRSGNGEENVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRANLKKAKRKSLGETEIYLESKSDDFDVPLASMKSQDKKYMDKASKNHFRYSPESPPTRGLSMRNPPKPNDERDLEQYEESWRSYKSPPVSALESSRNRPQRSFDANAMTVSEGSESSEETGGQTCHQCRRNDRDTVIWCLRCDRRGYCDSCISTWYSDIPLEDIQRSCPACRGTCNCRVCLRRDNLVKVRIREIPVLDKLQYLHRLLSSVLPIVKQIHQEQCFEVELEKKLRGTDIDLVRTKLNADEQMCCNFCRIPIIDYHWHCSNCAYDVCLHCCRDLREASMPGVEGEVEDNQISEKSQEKETKLQQPKLSKVRLNLSDKFSDWKANSDGSIPCPPKEYGGCGYSSLNLSRIFKMNWVAKLVKNAEEMVSGCRVNDAVSVENFGHDDPRICQYAHREDNNNFLYCPSSEDLKSDGIDHFKRHWLSGEPIIVKQVFDSSSISSWDPMVIWKGIRETADEKLKDEDRMVKAIDFFDWSEVDVELGQFIKGYSEGRINENGCPEMLKLKDWPSPSASEEFLLYQRPEFISKLPLLEFIHSKFGLLNVAAKLPHYSLQNDVGPKIFMSYGTYEELSGGNSVTNLHFNMRDMVYLLVHACEVKPKGLQKTKIKSTQKSLEESEVKESPGDLKMGLGEDTNPDLSLLSQSVENDYGARSDTDKDESVADHGHETTPTVEGDTRSCELSEREGGDVSEKTHMGVLWDVYRRKDVPKLTEYLRMHWKEFGKLNSETYNFVTWPLYDGTLFLNGYHKRKLKEEFGIEPWSFEQHLGQAVFIPAGCPFQVRNLQSTVQLGLDFLSPESLGEAVRLADEIRCLPNDHEAKLQVLEVGKISLYAASSAIKEIQKLVLDPKFGAELGFEDPNLTAAVSENLEKMIKRRQITCT >ONI04462 pep chromosome:Prunus_persica_NCBIv2:G6:28477355:28484253:1 gene:PRUPE_6G322900 transcript:ONI04462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPRSGNGEENVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRANLKKAKRKSLGETEIYLESKSDDFDVPLASMKSQDKKYMDKASKNHFRYSPESPPTRGLSMRNPPKPNDERDLEQYEESWRSYKSPPVSALESSRNRPQRSFDANAMTVSEGSESSEETGGQTCHQCRRNDRDTVIWCLRCDRRGYCDSCISTWYSDIPLEDIQRSCPACRGTCNCRVCLRRDNLVKVRIREIPVLDKLQYLHRLLSSVLPIVKQIHQEQCFEVELEKKLRGTDIDLVRTKLNADEQMCCNFCRIPIIDYHWHCSNCAYDVCLHCCRDLREASMPGVEGEVEDNQISEKSQEKETKLQQPKLSKVRLNLSDKFSDWKANSDGSIPCPPKEYGGCGYSSLNLSRIFKMNWVAKLVKNAEEMVSGCRVNDAVSVENFGHDDPRICQYAHREDNNNFLYCPSSEDLKSDGIDHFKRHWLSGEPIIVKQVFDSSSISSWDPMVIWKGIRETADEKLKDEDRMVKAIDFFDWSEVDVELGQFIKGYSEGRINENGCPEMLKLKDWPSPSASEEFLLYQRPEFISKLPLLEFIHSKFGLLNVAAKLPHYSLQNDVGPKIFMSYGTYEELSGGNSVTNLHFNMRDMVYLLVHACEVKPKGLQKTKIKSTQKSLEESEVKESPGDLKMGLGEDTNPDLSLLSQSVENDYGARSDTDKDESVADHGHETTPTVEGDTRSCELSEREGGDVSEKTHMGVLWDVYRRKDVPKLTEYLRMHWKEFGKLNSETYNFVTWPLYDGTLFLNGYHKRKLKEEFGIEPWSFEQHLGQAVFIPAGCPFQVRNLQSTVQLGLDFLSPESLGEAVRLADEIRCLPNDHEAKLQVLEVGKISLYAASSAIKEIQKLVLDPKFGAELGFEDPNLTAAVSENLEKMIKRRQITCT >ONI04460 pep chromosome:Prunus_persica_NCBIv2:G6:28476735:28484253:1 gene:PRUPE_6G322900 transcript:ONI04460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPRSGNGEENVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSAMRANLKKAKRKSLGETEIYLESKSDDFDVPLASMKSQDKKYMDKASKNHFRYSPESPPTRGLSMRNPPKPNDERDLEQYEESWRSYKSPPVSALESSRNRPQRSFDANAMTVSEGSESSEETGGQTCHQCRRNDRDTVIWCLRCDRRGYCDSCISTWYSDIPLEDIQRSCPACRGTCNCRVCLRRDNLVKVRIREIPVLDKLQYLHRLLSSVLPIVKQIHQEQCFEVELEKKLRGTDIDLVRTKLNADEQMCCNFCRIPIIDYHWHCSNCAYDVCLHCCRDLREASMPGVEGEVEDNQISEKSQEKETKLQQPKLSKVRLNLSDKFSDWKANSDGSIPCPPKEYGGCGYSSLNLSRIFKMNWVAKLVKNAEEMVSGCRVNDAVSVENFGHDDPRICQYAHREDNNNFLYCPSSEDLKSDGIDHFKRHWLSGEPIIVKQVFDSSSISSWDPMVIWKGIRETADEKLKDEDRMVKAIDFFDWSEVDVELGQFIKGYSEGRINENGCPEMLKLKDWPSPSASEEFLLYQRPEFISKLPLLEFIHSKFGLLNVAAKLPHYSLQNDVGPKIFMSYGTYEELSGGNSVTNLHFNMRDMVYLLVHACEVKPKGLQKTKIKSTQKSLEESEVKESPGDLKMGLGEDTNPDLSLLSQSVENDYGARSDTDKDESVADHGHETTPTVEGDTRSCELSEREGGDVSEKTHMGVLWDVYRRKDVPKLTEYLRMHWKEFGKLNSETYNFVTWPLYDGTLFLNGYHKRKLKEEFGIEPWSFEQHLGQAVFIPAGCPFQVRNLQSTVQLGLDFLSPESLGEAVRLADEIRCLPNDHEAKLQVLEVGKISLYAASSAIKEIQKLVLDPKFGAELGFEDPNLTAAVSENLEKMIKRRQITCT >ONH99021 pep chromosome:Prunus_persica_NCBIv2:G6:510219:512943:1 gene:PRUPE_6G005500 transcript:ONH99021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAHIPTKSSALIAMIADEDTVTGFLLAGVGNVDLRRKTNYLIVDSKTTVKAIEDAFKEFTTKEEIAIVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSTESVASGRR >ONI05264 pep chromosome:Prunus_persica_NCBIv2:G6:30609046:30614774:-1 gene:PRUPE_6G364700 transcript:ONI05264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRTTVIAASLSVSAGSANPSKSNYSRLLGLNNNLSYTASNPVIVSSNSNFASFAWNTSNNSKYTKSRMERFTTRASPQPLTNADELIGSVQTFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLKSINFPKDKKVYVIGEEGILKELELAGYQYLGGPEDGGKKIDLKPGFLMEHDENVGAVVVGFDRNVNYYKIQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAIRGSTQREPLVVGKPSTFMMDYLANDHRFAWLGTD >ONI05262 pep chromosome:Prunus_persica_NCBIv2:G6:30609259:30614471:-1 gene:PRUPE_6G364700 transcript:ONI05262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRTTVIAASLSVSAGSANPSKSNYSRLLGLNNNLSYTASNPVIVSSNSNFASFAWNTSNNSKYTKSRMERFTTRASPQPLTNADELIGSVQTFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLKSINFPKDKKVYVIGEEGILKELELAGYQYLGGPEDGGKKIDLKPGFLMEHDENVGAVVVGFDRNVNYYKIQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAIRGSTQREPLVVGKPSTFMMDYLANEFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTTLSVLQSPNNSIQPDFYTNKISDFLSLKATTV >ONI05263 pep chromosome:Prunus_persica_NCBIv2:G6:30609046:30614775:-1 gene:PRUPE_6G364700 transcript:ONI05263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRTTVIAASLSVSAGSANPSKSNYSRLLGLNNNLSYTASNPVIVSSNSNFASFAWNTSNNSKYTKSRMERFTTRASPQPLTNADELIGSVQTFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLKSINFPKDKKVYVIGEEGILKELELAGYQYLGGPEDGGKKIDLKPGFLMEHDENVGAVVVGFDRNVNYYKIQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAIRGSTQREPLVVGKPSTFMMDYLANDQLNLINDRFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTTLSVLQSPNNSIQPDFYTNKISDFLSLKATTV >ONI05261 pep chromosome:Prunus_persica_NCBIv2:G6:30608697:30614774:-1 gene:PRUPE_6G364700 transcript:ONI05261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRTTVIAASLSVSAGSANPSKSNYSRLLGLNNNLSYTASNPVIVSSNSNFASFAWNTSNNSKYTKSRMERFTTRASPQPLTNADELIGSVQTFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLKSINFPKDKKVYVIGEEGILKELELAGYQYLGGPEDGGKKIDLKPGFLMEHDENVGAVVVGFDRNVNYYKIQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAIRGSTQREPLVVGKPSTFMMDYLANEFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTTLSVLQSPNNSIQPDFYTNKISDFLSLKATTTST >ONI02742 pep chromosome:Prunus_persica_NCBIv2:G6:22567571:22569204:1 gene:PRUPE_6G219500 transcript:ONI02742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQAPVGVPPPQGYPPKDAYPPPGYPSQGYPQQEYPPPQGYPQQGYPQQGYAPQYAQQPPPRKESAGLLEGCLAALCCCCLLDACF >ONI02743 pep chromosome:Prunus_persica_NCBIv2:G6:22567631:22569204:1 gene:PRUPE_6G219500 transcript:ONI02743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQAPVGVPPPQGYPPKDAYPPPGYPSQGYPQQEYPPPQGYPQQGYPQQGYAPQYAQQPPPRKESAGLLEGCLAALCCCCLLDACF >ONI03403 pep chromosome:Prunus_persica_NCBIv2:G6:24798246:24803027:-1 gene:PRUPE_6G254900 transcript:ONI03403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSVLIVALLFFVPILCLQGTYAYRIVRDAHRIRRDVSASASASAPASVLIVALLFCVPILCLQSIYAYRIGRDASASASASASASASASASCAIGGFGNGNWDSHGDDEERTAAPRKKRCEWKKSLGEKFMLAITQIGLGNATPKRIIEFMNEPDLTTKNVASHLQKYRIFLKGKEAAAGDEDKLERLSRSSFARGHPELLFNNNERDQPHSQLLNQPQMKTSIGSTFQVQPSAGIGSTPPLTAVASNSHGSIHAPLIRSGQSSLLNNNLANFPRQQRTLGNRNGDQLFIQENRPAAFEMQQLSNNFENGGMSFDPMNNVGLTNNNIGKNNLMQVYPLQSQPGTNNPFSYNLATSVNQNGSNLTPMSSSFHNLWSHFNDVNQCEVDWQSLCSAGFETGASSAYQFPPNLPDNKGDLDPQQNVPVLPPPEGNFNDQCGLLNINVGGGNVENSNRYLHDIYMKPDSLFTDEQDNCQPPSQVHMHQKFMIFFHSC >ONI03402 pep chromosome:Prunus_persica_NCBIv2:G6:24799894:24802348:-1 gene:PRUPE_6G254900 transcript:ONI03402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSVLIVALLFFVPILCLQGTYAYRIVRDAHRIRRDVSASASASAPASVLIVALLFCVPILCLQSIYAYRIGRDASASASASASASASASASCAIGGFGNGNWDSHGDDEERTAAPRKKRCEWKKSLGEKFMLAITQIGLGNATPKRIIEFMNEPDLTTKNVASHLQKYRIFLKGKEAAAGDEDKLERLSRSSFARGHPELLFNNNERDQPHSQLLNQPQMKTSIGSTFQVQPSAGIGSTPPLTAVASNSHGSIHAPLIRSGQSSLLNNNLANFPRQQRTLGNRNGDQLFIQENRPAAFEMQQLSNNFENGGMSFDPMNNVGLTNNNIGKNNLMQVYPLQSQPGTNNPFSYNLATSVNQNGSNLTPMSSSFHNLWSHFNDVNQCEVDWQSLCSAGFETGASSAYQFPPNLPDNKGDLDPQQNVPVLPPPEGNFNDQCGLLNINVGGGNVENSNRYLHDIYMKPDSLFTDEQDNCQPPSQVHMHQKFMIFFHSC >ONH99087 pep chromosome:Prunus_persica_NCBIv2:G6:791419:792118:1 gene:PRUPE_6G010500 transcript:ONH99087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISPILAFQLIISSLFSSFAMIPVSGENRGFVGSIDPKLFGLQEEKLTHIQLYWHDLLNGPQPSAIDVVPSSTKSPTNYGLVRMFDNALTEGPELSSELVARAQGFYASAARKGLSKLMVQNFAFVKGQFNGSTINLIGRNSILNKVRELPVVGGSGVFRLARGYALATTHTGDATVEYNIYVLHY >ONI04661 pep chromosome:Prunus_persica_NCBIv2:G6:28996166:28996735:1 gene:PRUPE_6G333500 transcript:ONI04661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSQICNSTMEILLMLFCSERVSCRHFFNSAKRRCGSINQHAASRKLTHQSFENVCILEGNCSQPWYFSCWPNILNALSTIQTCSFCSYKNQRLLA >ONI00701 pep chromosome:Prunus_persica_NCBIv2:G6:7059983:7062241:1 gene:PRUPE_6G101400 transcript:ONI00701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISILAQERLLGAALGSIFTGIVVFEQRRCIYNSISGTKSQSVTQSQIREPIFGSKSRAEFAHLWNKAVDQTFRPVIESLSSSGW >ONI00700 pep chromosome:Prunus_persica_NCBIv2:G6:7060013:7062073:1 gene:PRUPE_6G101400 transcript:ONI00700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISILAQERLLGAALGSIFTGIVVFEQRRCIYNSISGTKSQSVTQSQAYPIDHNNQIMRIWEDQIREPIFGSKSRAEFAHLWNKAVDQTFRPVIESLSSSGW >ONI05259 pep chromosome:Prunus_persica_NCBIv2:G6:30594779:30597576:1 gene:PRUPE_6G364500 transcript:ONI05259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAILMLELFLCLTVYAASEEFEFSSAKSSSHRRVIDVKGGPESLVWVVQLSDLHFSVHHPDRALDFKNLVGPALSMINPSLVLITGDLTDGKSKDLLTMKQNEEEWVEYQNMMEDVIKRSGLDRSIFFDLRGNHDNFGVPVLGGSFDFFSKYSINGQLGRTGNINSVTLQTGERKHLFVGVDSTMSVGLRGPTNLFGHPTDQLLAELDMELSQWDSQSEKPLSKISFGHFPLSFSAISYSGKSLKDIFLNHSISAYICGHLHTRFGKNLKRHHQFSRHFLSLQKFFQLNVHQFSFHGTANCSIEAPAAREFWEWEMGDWRKSRAMRILAIDRGHVSYVDIDFMSGTKKTIILPTFPLDSRFMSTSSSHHKYECHAMVSYETVRALVFSVSPIVSVMTRIYDSRPGYLNLVFEAPMRKLVDNTSRGDLYVAPWNYRAFEDPIPNRYWLQIEATDFMGRSTSTDLRPFSINGLSTMISWTWKEFMVMGCQWAALYYPMFWCAVYFILSILLIPKALLIFSIRHFTYKNKGFLNGIGFVLQELCRVPFTWFGFLGYLFYLILFPWFFGKVFTDGKDKGYMTYMGWVVKSFNQKGKHEYAGSPDIMVVVLPHLFFVVFPAILLTGALATEKQISREKFLSLTGKKEDDYDQEERSSLWYDYQGSRKSNSCVGNRWIRKVLLVLCLAVCWKHFMVRFLSPIYFCLKYM >ONI05255 pep chromosome:Prunus_persica_NCBIv2:G6:30594695:30599209:1 gene:PRUPE_6G364500 transcript:ONI05255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAILMLELFLCLTVYAASEEFEFSSAKSSSHRRVIDVKGGPESLVWVVQLSDLHFSVHHPDRALDFKNLVGPALSMINPSLVLITGDLTDGKSKDLLTMKQNEEEWVEYQNMMEDVIKRSGLDRSIFFDLRGNHDNFGVPVLGGSFDFFSKYSINGQLGRTGNINSVTLQTGERKHLFVGVDSTMSVGLRGPTNLFGHPTDQLLAELDMELSQWDSQSEKPLSKISFGHFPLSFSAISYSGKSLKDIFLNHSISAYICGHLHTRFGKNLKRHHQFSRHFLSLQKFFQLNVHQFSFHGTANCSIEAPAAREFWEWEMGDWRKSRAMRILAIDRGHVSYVDIDFMSGTKKTIILPTFPLDSRFMSTSSSHHKYECHAMVSYETVRALVFSVSPIVSVMTRIYDSRPGYLNLVFEAPMRKLVDNTSRGDLYVAPWNYRAFEDPIPNRYWLQIEATDFMGRSTSTDLRPFSINGLSTMISWTWKEFMVMGCQWAALYYPMFWCAVYFILSILLIPKALLIFSIRHFTYKNKGFLNGIGFVLQELCRVPFTWFGFLGYLFYLILFPWFFGKVFTDGKDKGYMTYMGWVVKSFNQKGKHEYAGSPDIMVVVLPHLFFVVFPAILLTGALATEKQISREKFLSLTGKKEDDYDQEERSSLWYDYQGSRKSNSCVGNRWIRKVLLVLCLAVCWKHFMNCRALIKAYEMNPILNFPGYSLTIPLQLAYTVYKTRSI >ONI05253 pep chromosome:Prunus_persica_NCBIv2:G6:30594695:30599209:1 gene:PRUPE_6G364500 transcript:ONI05253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAILMLELFLCLTVYAASEEFEFSSAKSSSHRRVIDVKGGPESLVWVVQLSDLHFSVHHPDRALDFKNLVGPALSMINPSLVLITGDLTDGKSKDLLTMKQNEEEWVEYQNMMEDVIKRSGLDRSIFFDLRGNHDNFGVPVLGGSFDFFSKYSINGQLGRTGNINSVTLQTGERKHLFVGVDSTMSVGLRGPTNLFGHPTDQLLAELDMELSQWDSQSEKPLSKISFGHFPLSFSAISYSGKSLKDIFLNHSISAYICGHLHTRFGKNLKRHHQFSRHFLSLQKFFQLNVHQFSFHGTANCSIEAPAAREFWEWEMGDWRKSRAMRILAIDRGHVSYVDIDFMSGTKKTIILPTFPLDSRFMSTSSSHHKYECHAMVSYETVRALVFSVSPIVSVMTRIYDSRPGYLNLVFEAPMRKLVDNTSRGDLYVAPWNYRAFEDPIPNRYWLQIEATDFMGRSTSTDLRPFSINGLSTMISWTWKEFMVMGCQWAALYYPMFWCAVYFILSILLIPKALLIFSIRHFTYKNKGFLNGIGFVLQELCRVPFTWFGFLGYLFYLILFPWFFGKVFTDGKDKGYMTYMGWVVKSFNQKGKHEYAGSPDIMVVVLPHLFFVVFPAILLTGALATEKQISREKFLSLTGKKEDDYDQEERSSLWYDYQGSRKSNSCVGNRWIRKVLLVLCLAVCWKHFMNCRALIKAYEMNPILNFPGYSLTIPLQLAYTVYKTRSI >ONI05256 pep chromosome:Prunus_persica_NCBIv2:G6:30594695:30599209:1 gene:PRUPE_6G364500 transcript:ONI05256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAILMLELFLCLTVYAASEEFEFSSAKSSSHRRVIDVKGGPESLVWVVQLSDLHFSVHHPDRALDFKNLVGPALSMINPSLVLITGDLTDGKSKDLLTMKQNEEEWVEYQNMMEDVIKRSGLDRSIFFDLRGNHDNFGVPVLGGSFDFFSKYSINGQLGRTGNINSVTLQTGERKHLFVGVDSTMSVGLRGPTNLFGHPTDQLLAELDMELSQWDSQSEKPLSKISFGHFPLSFSAISYSGKSLKDIFLNHSISAYICGHLHTRFGKNLKRHHQFSRHFLSLQKFFQLNVHQFSFHGTANCSIEAPAAREFWEWEMGDWRKSRAMRILAIDRGHVSYVDIDFMSGTKKTIILPTFPLDSRFMSTSSSHHKYECHAMVSYETVRALVFSVSPIVSVMTRIYDSRPGYLNLVFEAPMRKLVDNTSRGDLYVAPWNYRAFEDPIPNRYWLQIEATDFMGRSTSTDLRPFSINGLSTMISWTWKEFMVMGCQWAALYYPMFWCAVYFILSILLIPKALLIFSIRHFTYKNKGFLNGIGFVLQELCRVPFTWFGFLGYLFYLILFPWFFGKVFTDGKDKGYMTYMGWVVKSFNQKGKHEYAGSPDIMISREKFLSLTGKKEDDYDQEERSSLWYDYQGSRKSNSCVGNRWIRKVLLVLCLAVCWKHFMNCRALIKAYEMNPILNFPGYSLTIPLQLAYTVYKTRSI >ONI05257 pep chromosome:Prunus_persica_NCBIv2:G6:30594695:30597914:1 gene:PRUPE_6G364500 transcript:ONI05257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAILMLELFLCLTVYAASEEFEFSSAKSSSHRRVIDVKGGPESLVWVVQLSDLHFSVHHPDRALDFKNLVGPALSMINPSLVLITGDLTDGKSKDLLTMKQNEEEWVEYQNMMEDVIKRSGLDRSIFFDLRGNHDNFGVPVLGGSFDFFSKYSINGQLGRTGNINSVTLQTGERKHLFVGVDSTMSVGLRGPTNLFGHPTDQLLAELDMELSQWDSQSEKPLSKISFGHFPLSFSAISYSGKSLKDIFLNHSISAYICGHLHTRFGKNLKRHHQFSRHFLSLQKFFQLNVHQFSFHGTANCSIEAPAAREFWEWEMGDWRKSRAMRILAIDRGHVSYVDIDFMSGTKKTIILPTFPLDSRFMSTSSSHHKYECHAMVSYETVRALVFSVSPIVSVMTRIYDSRPGYLNLVFEAPMRKLVDNTSRGDLYVAPWNYRAFEDPIPNRYWLQIEATDFMGRSTSTDLRPFSINGLSTMISWTWKEFMVMGCQWAALYYPMFWCAVYFILSILLIPKALLIFSIRHFTYKNKGFLNGIGFVLQELCRVPFTWFGFLGYLFYLILFPWFFGKVFTDGKDKGYMTYMGWVVKSFNQKGKHEYAGSPDIMVVVLPHLFFVVFPAILLTGALATEKQISREKFLSLTGKKEDDYDQEERSSLWYDYQGSRKSNSCVGNRWIRKVLLVLCLAVCWKHFMRDLFNPWW >ONI05258 pep chromosome:Prunus_persica_NCBIv2:G6:30594779:30597576:1 gene:PRUPE_6G364500 transcript:ONI05258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAILMLELFLCLTVYAASEEFEFSSAKSSSHRRVIDVKGGPESLVWVVQLSDLHFSVHHPDRALDFKNLVGPALSMINPSLVLITGDLTDGKSKDLLTMKQNEEEWVEYQNMMEDVIKRSGLDRSIFFDLRGNHDNFGVPVLGGSFDFFSKYSINGQLGRTGNINSVTLQTGERKHLFVGVDSTMSVGLRGPTNLFGHPTDQLLAELDMELSQWDSQSEKPLSKISFGHFPLSFSAISYSGKSLKDIFLNHSISAYICGHLHTRFGKNLKRHHQFSRHFLSLQKFFQLNVHQFSFHGTANCSIEAPAAREFWEWEMGDWRKSRAMRILAIDRGHVSYVDIDFMSGTKKTIILPTFPLDSRFMSTSSSHHKYECHAMVSYETVRALVFSVSPIVSVMTRIYDSRPGYLNLVFEAPMRKLVDNTSRGDLYVAPWNYRAFEDPIPNRYWLQIEATDFMGRSTSTDLRPFSINGLSTMISWTWKEFMVMGCQWAALYYPMFWCAVYFILSILLIPKALLIFSIRHFTYKNKGFLNGIGFVLQELCRVPFTWFGFLGYLFYLILFPWFFGKVFTDGKDKGYMTYMGWVVKSFNQKGKHEYAGSPDIMVVVLPHLFFVVFPAILLTGALATEKQISREKFLSLTGKKEDDYDQEERSSLWYDYQGSRKSNSCVGNRWIRKVLLVLCLAVCWKHFMVRFLSPIYFCLKYM >ONI05254 pep chromosome:Prunus_persica_NCBIv2:G6:30594695:30599209:1 gene:PRUPE_6G364500 transcript:ONI05254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAILMLELFLCLTVYAASEEFEFSSAKSSSHRRVIDVKGGPESLVWVVQLSDLHFSVHHPDRALDFKNLVGPALSMINPSLVLITGDLTDGKSKDLLTMKQNEEEWVEYQNMMEDVIKRSGLDRSIFFDLRGNHDNFGVPVLGGSFDFFSKYSINGQLGRTGNINSVTLQTGERKHLFVGVDSTMSVGLRGPTNLFGHPTDQLLAELDMELSQWDSQSEKPLSKISFGHFPLSFSAISYSGKSLKDIFLNHSISAYICGHLHTRFGKNLKRHHQFSRHFLSLQKFFQLNVHQFSFHGTANCSIEAPAAREFWEWEMGDWRKSRAMRILAIDRGHVSYVDIDFMSGTKKTIILPTFPLDSRFMSTSSSHHKYECHAMVSYETVRALVFSVSPIVSVMTRIYDSRPGYLNLVFEAPMRKLVDNTSRGDLYVAPWNYRAFEDPIPNRYWLQIEATDFMGRSTSTDLRPFSINGLSTMISWTWKEFMVMGCQWAALYYPMFWCAVYFILSILLIPKALLIFSIRHFTYKNKGFLNGIGFVLQELCRVPFTWFGFLGYLFYLILFPWFFGKVFTDGKDKGYMTYMGWVVKSFNQKGKHEYAGSPDIMVVVLPHLFFVVFPAILLTGALATEKQISREKFLSLTGKKEDDYDQEERSSLWYDYQGSRKSNSCVGNRWIRKVLLVLCLAVCWKHFMNCRALIKAYEMNPILNFPGYSLTIPLQLAYTVYKTRSI >ONI01700 pep chromosome:Prunus_persica_NCBIv2:G6:13488504:13496572:-1 gene:PRUPE_6G154800 transcript:ONI01700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEPDGNGANGVNGANGVNGADGVNEADGVNGAEHALPPPPPVIPPDVVPLRPEADNIPEPVKKKNVRVPIARRGLGTKGTKIPLVTNHFKVNVTNIDGYFFHYSVSVSYEDGRPLDGKGAGRRIIDRVHETYHSELGGKDFAYDGEKSLFTVGSLPRNKLEFAVVLEDMPSNRNNGNASPDGHGSPNESDRKRLRRPNRSKTFNVEISYAAKIPMKAIGDALRGQESENSQEALRVLDIILRQHASKQGCLLVRQSFFHNDPKNFADVGGGVLGCRGFHSSFRTTQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWMKAKRTLKNLRVKTSPSNLEYKITGLSEKPCREQTFTLRNKHAKDGEDGEIEVTVYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRASLVEKSRQKPQERMSVLSNALKINNYDAEPMLRSCGVSISSGFTQVEGRVLPAPRLKVGNGDDFFPRNGRWNFNNKKLVKPTKIEKWAVVNFSARCDLKGLVRDLIKCGEMKGISIEPPFDVFEENPQSRRAPPLVRVERMFEDIQSKLPGQPQFLLCLLPERKNSALYGPWKRKNLAEYGIVTQCIAPTRVNDQYLTNVLLKINAKLGGLNSLLAVEYSPSIPVVSKAPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKVEMIDSLYKRISESEDDGIMRELLLDFYTSSGKQKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDENWNPKFVVIIAQKNHHTKFFQPPSPDNVPPDNKVCHPRNNDFYLCAQAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPVCYAHLAATQMGQFMKFEDASETSSSHGGVTSAGAVPVPQLPRLKENVSSSMFFC >ONI01701 pep chromosome:Prunus_persica_NCBIv2:G6:13488457:13497136:-1 gene:PRUPE_6G154800 transcript:ONI01701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEPDGNGANGVNGANGVNGADGVNEADGVNGAEHALPPPPPVIPPDVVPLRPEADNIPEPVKKKNVRVPIARRGLGTKGTKIPLVTNHFKVNVTNIDGYFFHYSVSVSYEDGRPLDGKGAGRRIIDRVHETYHSELGGKDFAYDGEKSLFTVGSLPRNKLEFAVVLEDMPSNRNNGNASPDGHGSPNESDRKRLRRPNRSKTFNVEISYAAKIPMKAIGDALRGQESENSQEALRVLDIILRQHASKQGCLLVRQSFFHNDPKNFADVGGGVLGCRGFHSSFRTTQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWMKAKRTLKNLRVKTSPSNLEYKITGLSEKPCREQTFTLRNKHAKDGEDGEIEVTVYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRASLVEKSRQKPQERMSVLSNALKINNYDAEPMLRSCGVSISSGFTQVEGRVLPAPRLKVGNGDDFFPRNGRWNFNNKKLVKPTKIEKWAVVNFSARCDLKGLVRDLIKCGEMKGISIEPPFDVFEENPQSRRAPPLVRVERMFEDIQSKLPGQPQFLLCLLPERKNSALYGPWKRKNLAEYGIVTQCIAPTRVNDQYLTNVLLKINAKLGGLNSLLAVEYSPSIPVVSKAPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKVEMIDSLYKRISESEDDGIMRELLLDFYTSSGKQKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDENWNPKFVVIIAQKNHHTKFFQPPSPDNVPPGTIIDNKVCHPRNNDFYLCAQAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPVCYAHLAATQMGQFMKFEDASETSSSHGGVTSAGAVPVPQLPRLKENVSSSMFFC >ONI01703 pep chromosome:Prunus_persica_NCBIv2:G6:13488725:13494203:-1 gene:PRUPE_6G154800 transcript:ONI01703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNRNNGNASPDGHGSPNESDRKRLRRPNRSKTFNVEISYAAKIPMKAIGDALRGQESENSQEALRVLDIILRQHASKQGCLLVRQSFFHNDPKNFADVGGGVLGCRGFHSSFRTTQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWMKAKRTLKNLRVKTSPSNLEYKITGLSEKPCREQTFTLRNKHAKDGEDGEIEVTVYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRASLVEKSRQKPQERMSVLSNALKINNYDAEPMLRSCGVSISSGFTQVEGRVLPAPRLKVGNGDDFFPRNGRWNFNNKKLVKPTKIEKWAVVNFSARCDLKGLVRDLIKCGEMKGISIEPPFDVFEENPQSRRAPPLVRVERMFEDIQSKLPGQPQFLLCLLPERKNSALYGPWKRKNLAEYGIVTQCIAPTRVNDQYLTNVLLKINAKLGGLNSLLAVEYSPSIPVVSKAPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKVEMIDSLYKRISESEDDGIMRELLLDFYTSSGKQKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDENWNPKFVVIIAQKNHHTKFFQPPSPDNVPPGTIIDNKVCHPRNNDFYLCAQAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPVCYAHLAATQMGQFMKFEDASETSSSHGGVTSAGAVPVPQLPRLKENVSSSMFFC >ONI01702 pep chromosome:Prunus_persica_NCBIv2:G6:13488457:13497280:-1 gene:PRUPE_6G154800 transcript:ONI01702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEPDGNGANGVNGANGVNGADGVNEADGVNGAEHALPPPPPVIPPDVVPLRPEADNIPEPVKKKNVRVPIARRGLGTKGTKIPLVTNHFKVNVTNIDGYFFHYSVSVSYEDGRPLDGKGAGRRIIDRVHETYHSELGGKDFAYDGEKSLFTVGSLPRNKLEFAVVLEDMPSNRNNGNASPDGHGSPNESDRKRLRRPNRSKTFNVEISYAAKIPMKAIGDALRGQESENSQEALRVLDIILRQHASKQGCLLVRQSFFHNDPKNFADVGGGVLGCRGFHSSFRTTQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWMKAKRTLKNLRVKTSPSNLEYKITGLSEKPCREQTFTLRNKHAKDGEDGEIEVTVYDYFVNHRNIQLRYSADLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRASLVEKSRQKPQERMSVLSNALKINNYDAEPMLRSCGVSISSGFTQVEGRVLPAPRLKVGNGDDFFPRNGRWNFNNKKLVKPTKIEKWAVVNFSARCDLKGLVRDLIKCGEMKGISIEPPFDVFEENPQSRRAPPLVRVERMFEDIQSKLPGQPQFLLCLLPERKNSALYGPWKRKNLAEYGIVTQCIAPTRVNDQYLTNVLLKINAKLGGLNSLLAVEYSPSIPVVSKAPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRASVRTQSPKVEMIDSLYKRISESEDDGIMRELLLDFYTSSGKQKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDENWNPKFVVIIAQKNHHTKFFQPPSPDNVPPGTIIDNKVCHPRNNDFYLCAQAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPVCYAHLAATQMGQFMKFEDASETSSSHGGVTSAGAVPVPQLPRLKENVSSSMFFC >ONI00660 pep chromosome:Prunus_persica_NCBIv2:G6:7033349:7039812:1 gene:PRUPE_6G101000 transcript:ONI00660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTVPASFTGLKGRDSSIGFAKSMDFVRVCDLKRFKSGRTRISVIRNSNPGSDIAELKPASEGSPLLVPRQKYCESIHKTVRRKTRTVLVGNVAIGSEHPIRIQTMTTTDTKDVAATVEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICQKLDYHNFLFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEAPEEEIDPCRRLANLGKRAADIQQGVAPFEEKHRHYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLNQLKTPELLYKSLAAKLVVGMPFKDLATVDSILLRQLPPVDDNDSRLALKRLIDVSMGVITPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSVRGDEPYEVLDILKGIDATMLLHDLPFSEDKVSRVHAARRLFEYLGDNSLNFPVIHHIQFPSGIHRDDLVIAAGTNVGALLVDGLGDGLLLEAPDKDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVRRAIEMEHATDALIQLIKDHGRWVDPPVEE >ONI00659 pep chromosome:Prunus_persica_NCBIv2:G6:7033007:7039812:1 gene:PRUPE_6G101000 transcript:ONI00659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTVPASFTGLKGRDSSIGFAKSMDFVRVCDLKRFKSGRTRISVIRNSNPGSDIAELKPASEGSPLLVPRQKYCESIHKTVRRKTRTVLVGNVAIGSEHPIRIQTMTTTDTKDVAATVEQVMRIADKGADLVRITVQGKKEADACFDIKNTLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEDDYQKELEHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICQKLDYHNFLFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEAPEEEIDPCRRLANLGKRAADIQQGVAPFEEKHRHYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLNQLKTPELLYKSLAAKLVVGMPFKDLATVDSILLRQLPPVDDNDSRLALKRLIDVSMGVITPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSVRGDEPYEVLDILKGIDATMLLHDLPFSEDKVSRVHAARRLFEYLGDNSLNFPVIHHIQFPSGIHRDDLVIAAGTNVGALLVDGLGDGLLLEAPDKDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVRRAIEMEHATDALIQLIKDHGRWVDPPVEE >ONI00658 pep chromosome:Prunus_persica_NCBIv2:G6:7033018:7039812:1 gene:PRUPE_6G101000 transcript:ONI00658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTVPASFTGLKGRDSSIGFAKSMDFVRVCDLKRFKSGRTRISVIRNSNPGSDIAELKPASEGSPLLVPRQKYCESIHKTVRRKTRTVLVGNVAIGSEHPIRIQTMTTTDTKDVAATVEQVMRIADKGADLVRITVQGKKEADACFDIKNTLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEDDYQKELEHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICQKLDYHNFLFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEAPEEEIDPCRRLANLGKRAADIQQGVAPFEEKHRHYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLNQLKTPELLYKSLAAKLVVGMPFKDLATVDSILLRQLPPVDDNDSRLALKRLIDVSMGVITPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSVRGDEPYEVLDILKGIDATMLLHDLPFSEDKVSRVHAARRLFEYLGDNSLNFPVIHHIQFPSGIHRDDLVIAAGTNVGALLVDGLGDGLLLEAPDKDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVRRAIEMEHATDALIQLIKDHGRWVDPPVEE >ONI00661 pep chromosome:Prunus_persica_NCBIv2:G6:7033018:7039812:1 gene:PRUPE_6G101000 transcript:ONI00661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTVPASFTGLKGRDSSIGFAKSMDFVRVCDLKRFKSGRTRISVIRNSNPGSDIAELKPASEGSPLLVPRQKYCESIHKTVRRKTRTVLVGNVAIGSEHPIRIQTMTTTDTKDVAATVEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICQKLDYHNFLFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEAPEEEIDPCRRLANLGKRAADIQQGVAPFEEKHRHYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLNQLKTPELLYKSLAAKLVVGMPFKDLATVDSILLRQLPPVDDNDSRLALKRLIDVSMGVITPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSVRGDEPYEVLDILKGIDATMLLHDLPFSEDKVSRVHAARRLFEYLGDNSLNFPVIHHIQFPSGIHRDDLVIAAGTNVGALLVDGLGDGLLLEAPDKDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVRRAIEMEHATDALIQLIKDHGRWVDPPVEE >ONI00662 pep chromosome:Prunus_persica_NCBIv2:G6:7034082:7039812:1 gene:PRUPE_6G101000 transcript:ONI00662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTDTKDVAATVEQVMRIADKGADLVRITVQGKKEADACFDIKNTLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEDDYQKELEHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICQKLDYHNFLFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEAPEEEIDPCRRLANLGKRAADIQQGVAPFEEKHRHYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLNQLKTPELLYKSLAAKLVVGMPFKDLATVDSILLRQLPPVDDNDSRLALKRLIDVSMGVITPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSVRGDEPYEVLDILKGIDATMLLHDLPFSEDKVSRVHAARRLFEYLGDNSLNFPVIHHIQFPSGIHRDDLVIAAGTNVGALLVDGLGDGLLLEAPDKDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVRRAIEMEHATDALIQLIKDHGRWVDPPVEE >ONI05025 pep chromosome:Prunus_persica_NCBIv2:G6:29986999:29995782:1 gene:PRUPE_6G352000 transcript:ONI05025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTILAFRPLPNCFAPLRNHPFSIFTTNRRIRIQTFASKFPNSPRNPIPIPYNPRSFSFREASRSSKEEQRPLLSAECIARQLVLALFCFAIGFAPFRTARAIAAPVVSEAVLDKEVNSKGHEYSKYTKRLLETVSVLLKSIEEVRRGNGDVKLVEAAWKAVREKKEELQEEILDGLDGELRELRRDKQVLVKRSDDVFAEVVKVKRDLDKLVGNVGKEKVKERAEGMLGRLEEEYNEVWERVGEIEDRILRSETSAMSFGVRELCFIERECEQLVQSFTRQMRRKGTESVPKDPVTKLSKSDIQKDLENAQRKHLEQMILPNVLEVDDLGPLFYSTDFAQRIKQGLQDSRELQKKTEAQIRKNMKKFGSERRFLVKTPEDEVVKGFPEVELKWMFGDKEVVAPKAVGLHLYHGWKKWREEAKADLKRNLLENVDFGKQYVAQRQELILLDRDRVVSKTWHNEEKNRWEMDPVAIPFAVSKKLVEHARIRHDWAAMYIALKGDDKEYYVDIKEYEMLFEDCGGFDGLYMKMIACGIPTAVHLMWIPLSELDFHQQFLLTLRLSHQCFNALWKTRVVSYARDWALQKFRNINDDIMMTIVFPIVELILPYSVRIQLGMAWPEEIDQAVASTWYLKWQSEAEMNYKSRRTDDIQWYFWFLIRSVIYGYVCFHLFRFMKRKIPRLLGYGPLRIDPNMQKLKKVKFYLNYRVRKIKGNKKAGVDPITRAFDQMKRVKNPPIPLKDFASIESMKEEINEVVAFLKNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAQAKVPVVNIKAQELEAGLWVGQSASNVRELFQTARELAPVIIFVEDFDLFAGVRGKFIHTKNQDHEAFINQLLVELDGFEKQDGVVLMATTGNLKQIDEALQRPGRMDRVFHLQRPTQAEREKILHIAAKETMDNELIDFVDWRKVAEKTALLRPIELKLVPASLEGGAFRSKFLDTDELMSYCSWFVTFSTVIPEGMRKTKIVKKLSKMLVNHLGLTLTKEDLQSVVDLMEPYGQITNGIELLNPPLEWTMDTKFPHAVWAAGRGLIALLLPNFDVVDNIWLEPLSWQGIGCTKITKVRNEGSVNANSESRSYLEKKLVFCFGSHVAAQMLLPFGEENFLSSSELTQSQEIATRMVIQYGWGPDDSPAIYYHTNAATALSMGNNHEYDVAAKVEKIYDLAYYKAQEMLHKNRRVLEKIVEELLEFEILTAKDLQRIFEDNGGVREKEPFFLSGSHDRELQSGSFLEGGNVSGTALLSGAA >ONH99831 pep chromosome:Prunus_persica_NCBIv2:G6:3688313:3693065:1 gene:PRUPE_6G052400 transcript:ONH99831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRWRFDGLEEHRMGVVVVMVFLLLFHHLLSPCWSLNVEGLALLRFRDRVVRDPYGALSNWNKDGGEDDPCSWFGVECSDGKVVILNLKDLCLGGALAPELGKLAYIKSIILRNNSFSGNIPKEIAELMELEVLDLGYNNFSGPFPSDFSNNPSLTTLLLDNNRFLGCISPQLHELKMLSECQEDQDQLTDATCLTLSMSRSTSWNTAQPEDTAYRRLQQVADEKEPSRVRRAKGNEHGLLSTSASPSSSPPLNQPSSPSPLPFSVSMPPSLFSSPSSNSPLPSPSSNSPSASPSSSFLTPSPSSLVAPTPASPVPINPPTTVSPAPLSNQEPMPSPASSPSQGVKTSSSKSRHRVVMICAGIVGCSLFILMSVIGIILVRSSKVVTVKPWVTGLSGQLQKAFVSGVPKLNRSELEAACEDFSNIIGSFSDGNVYKGTLSSGVEIAVTCITMTSAVDWSKNLEAQFRKKIETLSKVNHKNFVNLIGYCEEEKPFTRMMVFEYAPNGTLFEHLHIKEAEHLDWGMRLRIAMGMAYCLEYMHQLTPPIAQKNLLSSSVYLTEDYAAKISDFTFLNEVTAAKMGSADKKLRENMSADPESNVYSFGVILFEMITGRTPYSVENGSLVDWAAHYMKGDKSLREIVDPTLKSFQEEELGELFQVIKDCVHPEPKQRPKMTEITGRLKEITAMGPDGAIPKLSPLWWAELEIMSTEGS >ONH99832 pep chromosome:Prunus_persica_NCBIv2:G6:3688313:3693065:1 gene:PRUPE_6G052400 transcript:ONH99832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEVLDLGYNNFSGPFPSDFSNNPSLTTLLLDNNRFLGCISPQLHELKMLSECQEDQDQLTDATCLTLSMSRSTSWNTAQPEDTAYRRLQQVADEKEPSRVRRAKGNEHGLLSTSASPSSSPPLNQPSSPSPLPFSVSMPPSLFSSPSSNSPLPSPSSNSPSASPSSSFLTPSPSSLVAPTPASPVPINPPTTVSPAPLSNQEPMPSPASSPSQGVKTSSSKSRHRVVMICAGIVGCSLFILMSVIGIILVRSSKVVTVKPWVTGLSGQLQKAFVSGVPKLNRSELEAACEDFSNIIGSFSDGNVYKGTLSSGVEIAVTCITMTSAVDWSKNLEAQFRKKIETLSKVNHKNFVNLIGYCEEEKPFTRMMVFEYAPNGTLFEHLHIKEAEHLDWGMRLRIAMGMAYCLEYMHQLTPPIAQKNLLSSSVYLTEDYAAKISDFTFLNEVTAAKMGSADKKLRENMSADPESNVYSFGVILFEMITGRTPYSVENGSLVDWAAHYMKGDKSLREIVDPTLKSFQEEELGELFQVIKDCVHPEPKQRPKMTEITGRLKEITAMGPDGAIPKLSPLWWAELEIMSTEGS >ONH99830 pep chromosome:Prunus_persica_NCBIv2:G6:3688313:3693065:1 gene:PRUPE_6G052400 transcript:ONH99830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRWRFDGLEEHRMGVVVVMVFLLLFHHLLSPCWSLNVEGLALLRFRDRVVRDPYGALSNWNKDGGEDDPCSWFGVECSDGKVVILNLKDLCLGGALAPELGKLAYIKSIILRNNSFSGNIPKEIAELMELEVLDLGYNNFSGPFPSDFSNNPSLTTLLLDNNRFLGCISPQLHELKMLSECQEDQDQLTDATCLTLSMSRSTSWNTAQPEDTAYRRLQQVADEKEPSRVRRAKGNEHGLLSTSASPSSSPPLNQPSSPSPLPFSVSMPPSLFSSPSSNSPLPSPSSNSPSASPSSSFLTPSPSSLVAPTPASPVPINPPTTVSPAPLSNQEPMPSPASSPSQGVKTSSSKSRHRVVMICAGIVGCSLFILMSVIGIILVRSSKVVTVKPWVTGLSGQLQKAFVSGVPKLNRSELEAACEDFSNIIGSFSDGNVYKGTLSSGVEIAVTCITMTSAVDWSKNLEAQFRKKIETLSKVNHKNFVNLIGYCEEEKPFTRMMVFEYAPNGTLFEHLHIKEAEHLDWGMRLRIAMGMAYCLEYMHQLTPPIAQKNLLSSSVYLTEDYAAKISDFTFLNEVTAAKMGSADKKLRENMSADPESNVYSFGVILFEMITGRTPYSVENGSLVDWAAHYMKGDKSLREIVDPTLKSFQEEELGELFQVIKDCVHPEPKQRPKMTEITGRLKEITAMGPDGAIPKLSPLWWAELEIMSTEGS >ONI01241 pep chromosome:Prunus_persica_NCBIv2:G6:10028575:10031374:1 gene:PRUPE_6G129200 transcript:ONI01241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHILKVPRQCQSRLTLHLRARAGATQLSHSTSGRKCNYVNPSSLQYTCPIANTMLNLSIEHIHKISLQHISKVPRQCGTLLMPSANFLCN >ONI02052 pep chromosome:Prunus_persica_NCBIv2:G6:17891256:17892414:-1 gene:PRUPE_6G174300 transcript:ONI02052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRNRRQKQQKLVNLDWTELPRGILEIIFERLTVSVTDCISVSDVCKAWRHVVAQELAGWQSRGVPWLMMSGKDREVRTCISVLQKQHWDIVLPEAYGRYCWGSYQDWLILVKHIGCFYLEISLLNPFSRNKTDLPKTWNFYHKIVLSGLPILENYVLNGKFSQKQSARSLTWDIIEILVPAVLSRKKIKKLKNKKNKRDVFG >ONI03671 pep chromosome:Prunus_persica_NCBIv2:G6:25901741:25903697:1 gene:PRUPE_6G274100 transcript:ONI03671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQSEEETHQLEGEEDEEETLKTKWVLEIKERLGTVKEAEESCIYQVPSKLRKVREDAYNPRVVSIGPFHRKNTDLVAAMREHKWRYMLSFLQQTDDPDESHECLEHCMDAIYNLDKEVRQCYAENIDYEKNELARIMLLDGCFILELFLRYYAKKVEKEDGPDPVLKSAWMIAALQHDLALLENQIPFFILKRLYDIVQPRVVINGHEAPHSVAGLALMFFQPLSRKPIAKDQHELGTDFKHLLDLLHKFYFLRAGVPGHEVSIKVKVNHVELVSKVKSQQSACLFFRKIFKRRKQQTASCLPEPDEPATNNKWGFNYCASELLESGIQFQIGSWEDNLLNITFSNGVISVPQLIIDEATSSVFRNLLAFEQYSLSSTHGVTSYAFLMKSLIRSSADTKLLREKGIIRHNRIGDHEYLSQFKDILDEVVVKDDFYFDTLRDQVNEYCTSWCSLSKLQVFLRVRFLREIRVLFSTYFSSTWSFTSFLAAFALLILTSMQTYYTIHPRR >ONH99896 pep chromosome:Prunus_persica_NCBIv2:G6:3955835:3959283:-1 gene:PRUPE_6G056300 transcript:ONH99896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGSKRKPSSTDLIMEREKQEGMRYSFSILLELSASDDLDGFKRAVEEEGHDVDEASYWYGRLIGSKKMGFEERTPLMIAAMFGSKNVLNYILQTCLVDVNRACGSDRATALHCAAAGGSSASAEVVKLLLATSADASSLDANGNQAGDLIAPAYSSTFNSRKKALEVMLKGVPSIDEPFDFSEQMVYETEGQEQQEITTPCASKDGTEKKEYPIDLSLPDIKNGIYSTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGACRQGDACEYAHGIFECWLHPAQYRTRICKDETGCTRRVCFFAHKPEELRPLYASTGSAVPSPRSFSANASSLDMGSISPLSLNSPSMLIPPASTPPMTPSGASSPRSGNMWQNQPNIAPPTLQLPGSRLKSTLSARDMDLDIEVLSLESHRRRQQRLIDEISGSPSSWNTGLSSPSAFAASGNRTGELNRIGGVNPTNLDDIFGSLDPAILPQYNGPASQLHSPTGIQMRQNMNLQPRPSYSASLSSSPVRASPLFGADASSAAAVFSSRSAAFAKRSQSFIERSAGNRNPGFSSPADSGTLMPSNISDWGSPGGKLDWGMQGEELNKLRKSASFGFRSSNAPTRMPGTADEPDVSWVQSLVKDAPPPSQLGQYGFEDQQQPQCHPNNGGPEMLPAWVEQLYLEQEQMVA >ONI01471 pep chromosome:Prunus_persica_NCBIv2:G6:11440260:11443128:-1 gene:PRUPE_6G141300 transcript:ONI01471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDNTVEIPRVKLGNQGLEVSKLGFGCMGLTGVYNSPVADEDGISIIKDAFSKGITFFDTSDVYGPHLNEVLVGKALKQLPREKIQLATKFGITGTVDPPTIVIKGTPEYVRSACEASLKRLGVDYIDLYYQHRVDTSVPIEETIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWTRDIEEEIIPLCRELGIGIVPYSPLGRGFFGGKAVLESVPANSFLASNPRFIGENLEKNKNIYNRIESLAKKHQSSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIGSLRVKLGEEDLKEVSDAVPINQVAGDRTYSGMTHLQWNFANTPPKV >ONI01473 pep chromosome:Prunus_persica_NCBIv2:G6:11440260:11442736:-1 gene:PRUPE_6G141300 transcript:ONI01473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFQVSKLGFGCMGLTGVYNSPVADEDGISIIKDAFSKGITFFDTSDVYGPHLNEVLVGKALKQLPREKIQLATKFGITGTVDPPTIVIKGTPEYVRSACEASLKRLGVDYIDLYYQHRVDTSVPIEETIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWTRDIEEEIIPLCRELGIGIVPYSPLGRGFFGGKAVLESVPANSFLASNPRFIGENLEKNKNIYNRIESLAKKHQSSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIGSLRVKLGEEDLKEVSDAVPINQVAGDRTYSGMTHLQWNFANTPPKV >ONI01472 pep chromosome:Prunus_persica_NCBIv2:G6:11440773:11443039:-1 gene:PRUPE_6G141300 transcript:ONI01472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDNTVEIPRVKLGNQGLEVSKLGFGCMGLTGVYNSPVADEDGISIIKDAFSKGITFFDTSDVYGPHLNEVLVGKALKQLPREKIQLATKFGITGTVDPPTIVIKGTPEYVRSACEASLKRLGVDYIDLYYQHRVDTSVPIEETIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWTRDIEEEIIPLCRHLGIGIVPYSPLGRGFFGGKAVLESVPANSFLASNPRFIGENLEKNKNIYNRIESLAKKHQSSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIGSLRVKLGEEDLKEVSDAVPINQVAGDRTYSGMTHLQWNFANTPPKV >ONI05212 pep chromosome:Prunus_persica_NCBIv2:G6:30508257:30510508:1 gene:PRUPE_6G362500 transcript:ONI05212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTAAALSPRSISSSTMLPCAAKHPIIYSSTSSSSCFLSQAQRKNVAVGFSGVTTSKRKRACASTVKSSLDTVGPTVNVGQVTEVDKDTFWPIVKAAGDKTVVLDMYTQWCGPCKVIAPKYQELSKKYLDVVFLKLDCNQENKPLAKELGIRVVPTFKILKDNQVVKEVKGAKFDDLVAAIDVVRSS >ONI05211 pep chromosome:Prunus_persica_NCBIv2:G6:30508257:30510511:1 gene:PRUPE_6G362500 transcript:ONI05211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTAAALSPRSISSSTMLPCAAKHPIIYSSTSSSSCFLSQAQRKNVAVGFSGVTTSKRKRACASTVKSSLDTVGPTVNVGQVTEVDKDTFWPIVKAAGDKTVVLDMYTQWCGPCKVIAPKYQELSKKYLDVVFLKLDCNQENKQPLAKELGIRVVPTFKILKDNQVVKEVKGAKFDDLVAAIDVVRSS >ONI00608 pep chromosome:Prunus_persica_NCBIv2:G6:6797477:6804487:-1 gene:PRUPE_6G097700 transcript:ONI00608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFISQKNLVSGDAVLFLRGENGELRLGIRRAVRPRNGLPDSIVGNQNSYPSVLSLLANAISTKSMFHVFYSPRASHAEFVIPYQKYVRSIANPVTTGTRFKMRFDRDDSPERSGVVTGISDLDPYGWPNSKWRCLMVRWDEDIGNDHQERVSLWEIDPSVSLPPLSIQSSPRLMKKLRTSLQTTPPNNSITAGGGGFMDFEESVKSSKVLQGQENIGFISPLYGCDTVNRPQDFEMQAPAHPSLALNATQKATIGELMRARHSTYTGFAESDRFPKVLQGQEICPLRSLTGKANFTLGDWESNLGCTSYNIYQAPKPNFFSLASESLPNIYFPYGDIRRAGQDPVMCSNATNLPRENMKINPYSMQMGVARNEVGRPNKPSEHKPQESSSAPPTLVQNPRNPNDEDFNGTVTGCKLFGFSLTGENPTPNSQSSSKRSCTKVHKQGSLVGRAIDLSKLNGYGDLLSELERLFSMEGLLRDSDKGWRILYTDSENDVMVVGDDPWHEFCNVVSKIHIYTQEEVEKMTIGMISDDTQSCLEQAPVMLEMSKSSSVSQPDSSPTVIRV >ONI00606 pep chromosome:Prunus_persica_NCBIv2:G6:6797477:6804537:-1 gene:PRUPE_6G097700 transcript:ONI00606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHAVTEVEKSAYCNGDCDKVGGGCVYCLSSSTSSSSSNSSSAPVASSIYLELWHACAGPLISLPKKGNAVVYFPQGHLEQVASSSPFSSMEMPTFDLQPQIFCKVVNVQLLANKENDEVYTHVTLLPQPELVGTNLDGKELQELGVDEGDGGSPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFISQKNLVSGDAVLFLRGENGELRLGIRRAVRPRNGLPDSIVGNQNSYPSVLSLLANAISTKSMFHVFYSPRASHAEFVIPYQKYVRSIANPVTTGTRFKMRFDRDDSPERSGVVTGISDLDPYGWPNSKWRCLMVRWDEDIGNDHQERVSLWEIDPSVSLPPLSIQSSPRLMKKLRTSLQTTPPNNSITAGGGGFMDFEESVKSSKVLQGQENIGFISPLYGCDTVNRPQDFEMQAPAHPSLALNATQKATIGELMRARHSTYTGFAESDRFPKVLQGQEICPLRSLTGKANFTLGDWESNLGCTSYNIYQAPKPNFFSLASESLPNIYFPYGDIRRAGQDPVMCSNATNLPRENMKINPYSMQMGVARNEVGRPNKPSEHKPQESSSAPPTLVQNPRNPNDEDFNGTVTGCKLFGFSLTGENPTPNSQSSSKRSCTKVHKQGSLVGRAIDLSKLNGYGDLLSELERLFSMEGLLRDSDKGWRILYTDSENDVMVVGDDPWHEFCNVVSKIHIYTQEEVEKMTIGMISDDTQSCLEQAPVMLEMSKSSSVSQPDSSPTVIRV >ONI00610 pep chromosome:Prunus_persica_NCBIv2:G6:6797705:6803856:-1 gene:PRUPE_6G097700 transcript:ONI00610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHAVTEVEKSAYCNGDCDKVGGGCVYCLSSSTSSSSSNSSSAPVASSIYLELWHACAGPLISLPKKGNAVVYFPQGHLEQVASSSPFSSMEMPTFDLQPQIFCKVVNVQLLANKENDEVYTHVTLLPQPELVGTNLDGKELQELGVDEGDGGSPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFISQKNLVSGDAVLFLRGENGELRLGIRRAVRPRNGLPDSIVGNQNSYPSVLSLLANAISTKSMFHVFYSPRASHAEFVIPYQKYVRSIANPVTTGTRFKMRFDRDDSPERRCSGVVTGISDLDPYGWPNSKWRCLMVRWDEDIGNDHQERVSLWEIDPSVSLPPLSIQSSPRLMKKLRTSLQTTPPNNSITAGGGGFMDFEESVKSSKVLQGQENIGFISPLYGCDTVNRPQDFEMQAPAHPSLALNATQKATIGELMRARHSTYTGFAESDRFPKVLQGQEICPLRSLTGKANFTLGDWESNLGCTSYNIYQAPKPNFFSLASESLPNIYFPYGDIRRAGQDPVMCSNATNLPRENMKINPYSMQMGVARNEVGRPNKPSEHKPQESSSAPPTLVQNPRNPNDEDFNGTVTGCKLFGFSLTGENPTPNSQSSSKRSCTKVHKQGSLVGRAIDLSKLNGYGDLLSELERLFSMEGLLRDSDKGWRILYTDSENDVMVVGDDPWQ >ONI00609 pep chromosome:Prunus_persica_NCBIv2:G6:6797794:6802987:-1 gene:PRUPE_6G097700 transcript:ONI00609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFISQKNLVSGDAVLFLRGENGELRLGIRRAVRPRNGLPDSIVGNQNSYPSVLSLLANAISTKSMFHVFYSPRASHAEFVIPYQKYVRSIANPVTTGTRFKMRFDRDDSPERRCSGVVTGISDLDPYGWPNSKWRCLMVRWDEDIGNDHQERVSLWEIDPSVSLPPLSIQSSPRLMKKLRTSLQTTPPNNSITAGGGGFMDFEESVKSSKVLQGQENIGFISPLYGCDTVNRPQDFEMQAPAHPSLALNATQKATIGELMRARHSTYTGFAESDRFPKVLQGQEICPLRSLTGKANFTLGDWESNLGCTSYNIYQAPKPNFFSLASESLPNIYFPYGDIRRAGQDPVMCSNATNLPRENMKINPYSMQMGVARNEVGRPNKPSEHKPQESSSAPPTLVQNPRNPNDEDFNGTVTGCKLFGFSLTGENPTPNSQSSSKRSCTKVHKQGSLVGRAIDLSKLNGYGDLLSELERLFSMEGLLRDSDKGWRILYTDSENDVMVVGDDPWHEFCNVVSKIHIYTQEEVEKMTIGMISDDTQSCLEQAPVMLEMSKSSSVSQPDSSPTVIRV >ONI00607 pep chromosome:Prunus_persica_NCBIv2:G6:6797794:6803856:-1 gene:PRUPE_6G097700 transcript:ONI00607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLNHAVTEVEKSAYCNGDCDKVGGGCVYCLSSSTSSSSSNSSSAPVASSIYLELWHACAGPLISLPKKGNAVVYFPQGHLEQVASSSPFSSMEMPTFDLQPQIFCKVVNVQLLANKENDEVYTHVTLLPQPELVGTNLDGKELQELGVDEGDGGSPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFISQKNLVSGDAVLFLRGENGELRLGIRRAVRPRNGLPDSIVGNQNSYPSVLSLLANAISTKSMFHVFYSPRASHAEFVIPYQKYVRSIANPVTTGTRFKMRFDRDDSPERRCSGVVTGISDLDPYGWPNSKWRCLMVRWDEDIGNDHQERVSLWEIDPSVSLPPLSIQSSPRLMKKLRTSLQTTPPNNSITAGGGGFMDFEESVKSSKVLQGQENIGFISPLYGCDTVNRPQDFEMQAPAHPSLALNATQKATIGELMRARHSTYTGFAESDRFPKVLQGQEICPLRSLTGKANFTLGDWESNLGCTSYNIYQAPKPNFFSLASESLPNIYFPYGDIRRAGQDPVMCSNATNLPRENMKINPYSMQMGVARNEVGRPNKPSEHKPQESSSAPPTLVQNPRNPNDEDFNGTVTGCKLFGFSLTGENPTPNSQSSSKRSCTKVHKQGSLVGRAIDLSKLNGYGDLLSELERLFSMEGLLRDSDKGWRILYTDSENDVMVVGDDPWHEFCNVVSKIHIYTQEEVEKMTIGMISDDTQSCLEQAPVMLEMSKSSSVSQPDSSPTVIRV >ONI05004 pep chromosome:Prunus_persica_NCBIv2:G6:29932325:29938662:-1 gene:PRUPE_6G351300 transcript:ONI05004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNPRVLKAFKATRALGIPDRLVKPVLKNLLAVYDKNWELIEEDNYRTLVDAYFESQDNKGEEDKTEVDTGHGGSERPTKKLHLANDEDHGESEIPSRMVSRQASMESSEPCLRDGRAGSSSQIPLKQSRDKGKNLTSSAVSRGNHKKDFLIPKTEESTNCVPVSAQPAPMRRPGSSGGSTGGNSVTSLASSEVGTSGRDALHVSGPSKGPCRIEHSQVDLNGITREGKKPIKSLDDISKSTEKVKISLADEIGNESLPKFNYIPDNIVYQHANVNISLARISDEDCCIGCSGDCLSSEFPCACAQETGGDFVYTKQGLLKEDFLTACMREPDKQNFFYCQDCPHERLKNEYMPEKCKGHHIRKFIKECWRKCGCDLWCGNRVVQRGISCKLQVFLTPEGKGWGVRTLEVLQKGTFVCEYVGEILTNTELYERNTHSSGAERHTYPVTLDADWGSERVLRDEDALCLDATFHGNVARFINHRCCDANLIDIPVQVETPDRHYYHLAFFTTRTVSDFEELTWDYGIDFDDLEHPIEAFQCNCGSVACRSKRQKGRKVR >ONI05009 pep chromosome:Prunus_persica_NCBIv2:G6:29932546:29938552:-1 gene:PRUPE_6G351300 transcript:ONI05009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNPRVLKAFKATRALGIPDRLVKPVLKNLLAVYDKNWELIEEDNYRTLVDAYFESQDNKGEEDKTEVDTGHGGSERPTKKLHLANDEDHGESEIPSRMVSRQASMESSEPCLRDGRAGSSSQIPLKQSRDKGKNLTSSAVSRGNHKKDFLIPKTEESTNCVPVSAQPAPMRRPGSSGGSTGGNSVTSLASSEVGTSGRDALHVSGPSKGPCRIEHSQVDLNGITREGKKPIKSLDDISKSTEKVKISLADEIGNESLPKFNYIPDNIVYQHANVNISLARISDEDCCIGCSGDCLSSEFPCACAQETGGDFVYTKQGLLKEDFLTACMREPDKQNFFYCQDCPHERLKNEYMPEKCKGHHIRKFIKECWRKCGCDLWCGNRVVQRGISCKLQVFLTPEGKGWGVRTLEVLQKGTFVCEYVGEILTNTELYERNTHSSGAERHTYPVTLDADWGSERVLRDEDALCLDATFHGNVARFINHR >ONI05008 pep chromosome:Prunus_persica_NCBIv2:G6:29932364:29937328:-1 gene:PRUPE_6G351300 transcript:ONI05008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNPRVLKAFKATRALGIPDRLVKPVLKNLLAVYDKNWELIEEDNYRTLVDAYFESQDNKGEEDKTEVDTGHGGSERPTKKLHLANDEDHGESEIPSRMVSRQASMESSEPCLRDGRAGSSSQIPLKQSRDKGKNLTSSAVSRGNHKKDFLIPKTEESTNCVPVSAQPAPMRRPGSSGGSTGGNSVTSLASSEVGTSGRDALHVSGPSKGPCRIEHSQVDLNGITREGKKPIKSLDDISKSTEKVKISLADEIGNESLPKFNYIPDNIVYQHANVNISLARISDEDCCIGCSGDCLSSEFPCACAQETGGDFVYTKQGLLKEDFLTACMREPDKQNFFYCQDCPHERLKNEYMPEKCKGHHIRKFIKECWRKCGCDLWCGNRVVQRGISCKLQVFLTPEGKGWGVRTLEVLQKGTFVCEYVGEILTNTELYERNTHSSGAERHTYPVTLDADWGSERVLRDEDALCLDATFHGNVARFINHSLPFLQPGQ >ONI05007 pep chromosome:Prunus_persica_NCBIv2:G6:29932325:29938661:-1 gene:PRUPE_6G351300 transcript:ONI05007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNPRVLKAFKATRALGIPDRLVKPVLKNLLAVYDKNWELIEEDNYRTLVDAYFESQDNKGEEDKTEVDTGHGGSERPTKKLHLANDEDHGESEIPSRMVSRQASMESSEPCLRDGRAGSSSQIPLKQSRDKGKNLTSSAVSRGNHKKDFLIPKTEESTNCVPVSAQPAPMRRPGSSGGSTGGNSVTSLASSEVGTSGRDALHVSGPSKGPCRIEHSQVDLNGITREGKKPIKSLDDISKSTEKVKISLADEIGNESLPKFNYIPDNIVYQHANVNISLARISDEDCCIGCSGDCLSSEFPCACAQETGGDFVYTKQGLLKEDFLTACMREPDKQNFFYCQDCPHERLKNEYMPEKCKGHHIRKFIKECWRKCGCDLWCGNRVVQRGISCKLQVFLTPEGKGWGVRTLEVLQKGTFVCEYVGEILTNTELYERNTHSSGAERHTYPVTLDADWGSERVLRDEDALCLDATFHGNVARFINHRCCDANLIDIPVQVETPDRHYYHLAFFTTRTVSDFEELTWDYGIDFDDLEHPIEAFQCNCGSVACRSKRQKGRKVR >ONI05006 pep chromosome:Prunus_persica_NCBIv2:G6:29932325:29938695:-1 gene:PRUPE_6G351300 transcript:ONI05006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNPRVLKAFKATRALGIPDRLVKPVLKNLLAVYDKNWELIEEDNYRTLVDAYFESQDNKGEEDKTEVDTGHGGSERPTKKLHLANDEDHGESEIPSRMVSRQASMESSEPCLRDGRAGSSSQIPLKQSRDKGKNLTSSAVSRGNHKKDFLIPKTEESTNCVPVSAQPAPMRRPGSSGGSTGGNSVTSLASSEVGTSGRDALHVSGPSKGPCRIEHSQVDLNGITREGKKPIKSLDDISKSTEKVKISLADEIGNESLPKFNYIPDNIVYQHANVNISLARISDEDCCIGCSGDCLSSEFPCACAQETGGDFVYTKQGLLKEDFLTACMREPDKQNFFYCQDCPHERLKNEYMPEKCKGHHIRKFIKECWRKCGCDLWCGNRVVQRGISCKLQVFLTPEGKGWGVRTLEVLQKGTFVCEYVGEILTNTELYERNTHSSGAERHTYPVTLDADWGSERVLRDEDALCLDATFHGNVARFINHRCCDANLIDIPVQVETPDRHYYHLAFFTTRTVSDFEELTWDYGIDFDDLEHPIEAFQCNCGSVACRSKRQKGRKVR >ONI05005 pep chromosome:Prunus_persica_NCBIv2:G6:29932325:29938416:-1 gene:PRUPE_6G351300 transcript:ONI05005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNPRVLKAFKATRALGIPDRLVKPVLKNLLAVYDKNWELIEEDNYRTLVDAYFESQDNKGEEDKTEVDTGHGGSERPTKKLHLANDEDHGESEIPSRMVSRQASMESSEPCLRDGRAGSSSQIPLKQSRDKGKNLTSSAVSRGNHKKDFLIPKTEESTNCVPVSAQPAPMRRPGSSGGSTGGNSVTSLASSEVGTSGRDALHVSGPSKGPCRIEHSQVDLNGITREGKKPIKSLDDISKSTEKVKISLADEIGNESLPKFNYIPDNIVYQHANVNISLARISDEDCCIGCSGDCLSSEFPCACAQETGGDFVYTKQGLLKEDFLTACMREPDKQNFFYCQDCPHERLKNEYMPEKCKGHHIRKFIKECWRKCGCDLWCGNRVVQRGISCKLQVFLTPEGKGWGVRTLEVLQKGTFVCEYVGEILTNTELYERNTHSSGAERHTYPVTLDADWGSERVLRDEDALCLDATFHGNVARFINHRCCDANLIDIPVQVETPDRHYYHLAFFTTRTVSDFEELTWDYGIDFDDLEHPIEAFQCNCGSVACRSKRQKGRKVR >ONH99238 pep chromosome:Prunus_persica_NCBIv2:G6:1555072:1558621:-1 gene:PRUPE_6G019700 transcript:ONH99238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFRSLLLCVVLLLLGFALANTNAARTDPPVVCATLNRTHFDTLFPGFTFGAATAAYQLEGAANIDGRGPSVWDNFTHEHPEKITDGSNGDVAIDQYHRYKEDVAIMKDMGLDAYRFSISWSRLLPNGKLSGGINKKGIEYYNNLTNELLRNGIEPLVTLFHWDVPQALVDEYGGLLSPRIVDDFKAYADLCYKEFGDRVKHWTTLNEPYTISNHGYTIGIHAPGRCSDWYNQNCLGGDSGIEPYLVTHYLLLAHAAAVKLYREKYQACQNGVIGITVVSHWFEPASESQQDKDAAFQALDFMYGWFMDPLTRGDYPQIMRSILGARLPNFTEEQSKSLSGSYDYIGINYYSARYASAYPKDYSVTTPPSYLTDVHVNVTTADLNGVPIGPRAASDWLYVYPKGLYDLVLYTKEKYNDPIMYITENGMDEFNNPKLSLEQALNDANRIDYYYRHLCYLQAAMKEGANVQGYFAWSLLDNFEWSEGYTVRFGINYIDYDNGLERHSKLSTHWFKSFLKRSSISKKKIRRCGNNNGRANKFVYQI >ONH99236 pep chromosome:Prunus_persica_NCBIv2:G6:1555072:1558621:-1 gene:PRUPE_6G019700 transcript:ONH99236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFRSLLLCVVLLLLGFALANTNAARTDPPVVCATLNRTHFDTLFPGFTFGAATAAYQLEGAANIDGRGPSVWDNFTHEHPEKITDGSNGDVAIDQYHRYKEDVAIMKDMGLDAYRFSISWSRLLPNGKLSGGINKKGIEYYNNLTNELLRNGIEPLVTLFHWDVPQALVDEYGGLLSPRIVDDFKAYADLCYKEFGDRVKHWTTLNEPYTISNHGYTIGIHAPGRCSDWYNQNCLGGDSGIEPYLVTHYLLLAHAAAVKLYREKYQACQNGVIGITVVSHWFEPASESQQDKDAAFQALDFMYGWFMDPLTRGDYPQIMRSILGARLPNFTEEQSKSLSGSYDYIGINYYSARYASAYPKDYSVTTPPSYLTDVHVNVTTDLNGVPIGPRAASDWLYVYPKGLYDLVLYTKEKYNDPIMYITENGMDEFNNPKLSLEQALNDANRIDYYYRHLCYLQAAMKEGANVQGYFAWSLLDNFEWSEGYTVRFGINYIDYDNGLERHSKLSTHWFKSFLKRSSISKKKIRRCGNNNGRANKFVYQI >ONH99237 pep chromosome:Prunus_persica_NCBIv2:G6:1554672:1558646:-1 gene:PRUPE_6G019700 transcript:ONH99237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFRSLLLCVVLLLLGFALANTNAARTDPPVVCATLNRTHFDTLFPGFTFGAATAAYQLEGAANIDGRGPSVWDNFTHEHPEKITDGSNGDVAIDQYHRYKEDVAIMKDMGLDAYRFSISWSRLLPNGKLSGGINKKGIEYYNNLTNELLRNGIEPLVTLFHWDVPQALVDEYGGLLSPRIVDDFKAYADLCYKEFGDRVKHWTTLNEPYTISNHGYTIGIHAPGRCSDWYNQNCLGGDSGIEPYLVTHYLLLAHAAAVKLYREKYQACQNGVIGITVVSHWFEPASESQQDKDAAFQALDFMYGWFMDPLTRGDYPQIMRSILGARLPNFTEEQSKSLSGSYDYIGINYYSARYASAYPKDYSVTTPPSYLTDVHVNVTTDLNGVPIGPRAASDWLYVYPKGLYDLVLYTKEKYNDPIMYITENGMDEFNNPKLSLEQALNDANRIDYYYRHLCYLQAAMKEGANVQGYFAWSLLDNFEWSEGYTVRFGINYIDYDNGLERHSKLSTHWFKSFLKRSSISKKKIRRCGNNNGRANKFVYQI >ONI00106 pep chromosome:Prunus_persica_NCBIv2:G6:4652706:4657147:-1 gene:PRUPE_6G067600 transcript:ONI00106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHRALLFYHASIILILTLSLFCKATSEDRKVYIVYLGSLPDWVFSPSSHHLSILQRVVQENGSAENLLVRSYKRSFNGFAAKLTDQEREKLSNMKEVVSVFPSRPLQLQTTRSWDFMGFNEKVKRNAGVEGDIIVGVIDSGIWPESDSFKDEGFGPPPKKWKGACEGGKNFTCNNKVIGARYYLTESARDEWGHGTHTASTAAGNAVKDVSFYGLAQGTARGGVPAARIAVYKVCSREKSCSAHNTLGAFDDAIADGVDIITISVSFTAIRDFDEDPIAIGAFHAMEKGILTSNSAGNNGPSGATVSSVAPWMLTVGASSMDRRIIDKVVLGDGRTLVGNSVNTFGLNGTSFPLIHGKDVSRNCTEKSAGCEQGCLDSDLVKGKIVLCDRYTSGVSEAYKVGALGSILTNYINIDDASFVLPLPASTLNNAEYNEVMSYMNSTRDPQANILKSEVIKDLVAPIVASLSARGPNLILPDIIKPDISAPGVEILAAYSPNASISIPQDMRRVKYNIMTGTSMACPHATAVAAYVKTFHPDWSPAAIKSSIMTTAWPMNETNSNISSGEFAYGSGHINPVKAIDPGLVYEVFKEDYVNLLCMKYEESMVRLISGDNSSCPTGSEKGSPVDLNYPSMGAKVAAVEPFTVKFHRTVKNVGLANSTYKAIMSPNAKVDIKVVPEVISFASLNEEKTFDVTVAGSGLPYELRVSASLVWSDGTHSVRSPIVVYTVPKIMSKET >ONI00107 pep chromosome:Prunus_persica_NCBIv2:G6:4652951:4656530:-1 gene:PRUPE_6G067600 transcript:ONI00107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHRALLFYHASIILILTLSLFCKATSEDRKVYIVYLGSLPDWVFSPSSHHLSILQRVVQENGSAENLLVRSYKRSFNGFAAKLTDQEREKLSNMKEVVSVFPSRPLQLQTTRSWDFMGFNEKVKRNAGVEGDIIVGVIDSGIWPESDSFKDEGFGPPPKKWKGACEGGKNFTCNNKVIGARYYLTESARDEWGHGTHTASTAAGNAVKDVSFYGLAQGTARGGVPAARIAVYKVCSREKSCSAHNTLGAFDDAIADGVDIITISVSFTAIRDFDEDPIAIGAFHAMEKGILTSNSAGNNGPSGATVSSVAPWMLTVGASSMDRRIIDKVVLGDGRTLVGNSVNTFGLNGTSFPLIHGKDVSRNCTEKSAGSCEQGCLDSDLVKGKIVLCDRYTSGVSEAYKVGALGSILTNYINIDDASFVLPLPASTLNNAEYNEVMSYMNSTRDPQANILKSEVIKDLVAPIVASLSARGPNLILPDIIKPDISAPGVEILAAYSPNASISIPQDMRRVKYNIMTGTSMACPHATAVAAYVKTFHPDWSPAAIKSSIMTTAWPMNETNSNISSGEFAYGSGHINPVKAIDPGLVYEVFKEDYVNLLCMKYEESMVRLISGDNSSCPTGSEKGSPVDLNYPSMGAKVAAVEPFTVKFHRTVKNVGLANSTYKAIMSPNAKVDIKVVPEVISFASLNEEKTFDVTVAGSGLPYELRVSASLVWSDGTHSVRSPIVVYTVPKIMSKET >ONI03661 pep chromosome:Prunus_persica_NCBIv2:G6:25829775:25831540:1 gene:PRUPE_6G273100 transcript:ONI03661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGVEIKGTRRASYERQWSIKWPADTFDEVATFDNLSIFHVPNKLRKVDDNAYSPRIISIGPYHRKKGNHELVTMIEHKCRYMRYFFDQIKDPQESLQVPLEFHFPVYNLDTTVRRSYAGGARDHDAESLEHMVSFDGIFILELFLRYDQYCKKVPLIDQSDAIFNNAWMIPALRRDLTLLENQIPFFVLQELYDVVKPRIVNYKPPHSITCLALNFFEPMNEKEMIKDEPEGTEYKHLLDLLHKLYHPASCLLKDEPRSNFENWGFDFCACDLLEAGLVFLCDSDHSYNITFTKGVMRIPQVYIDDRTTSLLRNLIAYEQCSLSSTQHITSYAILMKSLIRSPHDVTLLREQGIMNQNWIKDEEYLTYFNGILDEVVVKDFCFGKLCTQVNAYASKYWFRRRVRCLYNTYFSTAWSMISFVAAVCLFVLTIAQTYFAMHQAHQ >ONI03492 pep chromosome:Prunus_persica_NCBIv2:G6:25088522:25091234:1 gene:PRUPE_6G260100 transcript:ONI03492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRSIGPWLEHMSPNFNTITTSPSSNSPLSLLSTISSSLPSLYISQTQSTKTSSSATALGSMGLLPQATSSKSAPNAYTSEAVLALPVLGSSGAK >ONH99745 pep chromosome:Prunus_persica_NCBIv2:G6:3393477:3397252:1 gene:PRUPE_6G047300 transcript:ONH99745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGTVTGPYTEEFLVCQSIHKICPKSLWHDIHIFDYALPVLLVQTILIFFVSRFIYFVLRPVQQSMMMAQLLAGIIIGPTILGRYVTFMRYLFPPEGRVTLQIFANLGFMLHFFILGVQLNANLLKKVGTNAALIGSLAFAMPYVIGGLSYLTMRSLMPMDQTLRTGLVVVVTANSLSSFPVIISLLSELNILNSELGHLAIYTSMVSDLCCVCMATTLTTVGAFREHSKWNSLSSMFWMVFFVFVVLFVLRPFILWLTKNTPEGQQLEEFHFFVILVLVFGCAFCSQYLGRQSAFGPLLLGIVLPEGPPIATTVVKKLDTVTNGLFIPVFFAISGLTTDLMAFRGRRALAITELVIIMGYVGKFVGTLLPALYFGVPFQDATSLALIMCCKGIIDVAIYNAWRDGKIMDDLCFGLLLITMLIVTGIARIMVGRLYDPSRRYTAYGRRTILNSSNNSRLRILVCIQNEEHVPGLINLLEASNPTRFNPITVFVLQLSELTGHAVASLVPHYHLKKVTSQATSSEHIVNAFNRYEQRQQGSALVQHFNAIAPYSSMHDDVCSLALDKSTTIVILPYHKQWTIFGSVGATNRSIKYVNGKIMNKAPCSVGFLIDRGQLGGNPSVVIGKTFYRIALLFFGGVDDLEALAYGRRMAEHPNISFTLVRFKHEKVPTKKGEVNPEHELLREYMIRAAMSKEKNQYREETVKDGSETTQIIRSMEDGFDLVIVGCHHDPESPLLLGLMNADWVECPELGVIGDILAATDFTFSVLVVQQQPPTSTCSRSVQSRVFPASFDDEGDCPPPHSTNPISSDESKRCPV >ONI02191 pep chromosome:Prunus_persica_NCBIv2:G6:18929711:18932438:1 gene:PRUPE_6G182400 transcript:ONI02191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQNVVVSDAEAEIMNMAISVAVSNSSIFTAVAEKPPVGPPGYISISRKRFLNLKNLDSINGAERINSWVDSMRASSPTHLKSSSFLKEDQSSWILQHPSALDMFEQIIDASKGKQIVMFMDYDGTLSPIVEDPDRAFMSDAMRKTVKKVARCFPTAIVSGRCRDKVYKFVKLAELYYAGSHGMDIKGPAKGSKYKTGSQGVLCQPASEFLPMIDEVYKLLVEKTKSTPGAKVENNKFCLSVHFRCVDEKKWTELALQVRSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANCTDVFPVYIGDDRTDEDAFKILRERGQGFGILVSKTPKETTATYSLQEPAEVMDFLQRLVEWKRQSIRAHSML >ONI02909 pep chromosome:Prunus_persica_NCBIv2:G6:23227985:23229882:-1 gene:PRUPE_6G228400 transcript:ONI02909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADKEEIRVLLVVALQAHMNPMLKFARCLASKGVFITLAMTETARDTLLNLNFNTFTTQNPKINLEFFSDGLTAEFNREKGGEALTTLQISGSKNLSNLIKNLTFVKKLTISCIIFNPFVPWVADVAAELGIPCALLWNQSCATFSILYRYSKKINPFDPNFEMNPSEILGCPGIPNLEVNDLPSTILPSTPFHFKKLISDSVQSSEKASWVLGNSFYELEKDIKDSMDSLIPIRPIGPLVSSFILGRTEEDDNNTLHIDMWEAEDSCIEWLNKQPISSVIYASFGRVTVLSQIQIDNIAMALKTSKRPFLWVLKAPTEGSKMKLGELPSGFLEETRERGMVVNWCSQEKVLMHKAVACFMTHGGWSSTLETVASGVPVVVYPEWTDQPTNAKLLADVFRVGVRIRVGEDGIAGSEEMGRCIEEVVDGPRAKEMKKRALELKEAAKKAVEDGGSSDLNINQFIREISGKSCQDYFLGTVRI >ONI03760 pep chromosome:Prunus_persica_NCBIv2:G6:26218524:26222545:1 gene:PRUPE_6G280500 transcript:ONI03760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLSSPEVVTKYKSAAEIVNKALQLVISECKPKAKIVDLCEKGDSYIREQTGNMYKNVKKKIERGVAFPTCISVNNTVCHFSPLASDETVLEEGDILKIDLGCHIDGFIAIVAHTHALQAGPVTGKAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSASNPDTRVDEAEFEENEVYSIDIVTSTGEGKPKLLDEKQTTVYKRAVDKNYHLKMKASRFIFSEIREKFPIMPFTARFLWKRKGLGWVYLNASTTSFCSHILFFTRNLVIWLPISSSLSC >ONI03759 pep chromosome:Prunus_persica_NCBIv2:G6:26218524:26222545:1 gene:PRUPE_6G280500 transcript:ONI03759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLSSPEVVTKYKSAAEIVNKALQLVISECKPKAKIVDLCEKGDSYIREQTGNMYKNVKKKIERGVAFPTCISVNNTVCHFSPLASDETVLEEGDILKIDLGCHIDGFIAIVAHTHALQAGPVTGKAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSASNPDTRVDEAEFEENEVYSIDIVTSTGEGKPKLLDEKQTTVYKRAVDKNYHLKMKASRFIFSEIREKFPIMPFTARALEEKRARLGLLECVNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITSHPLQELQPTKQIDDPEIKAWLALGTKTKKKGGGKKKKGKKSDKAEESTEAEPMDATTNGAESQA >ONI01844 pep chromosome:Prunus_persica_NCBIv2:G6:15380319:15387056:1 gene:PRUPE_6G162400 transcript:ONI01844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPRWRNLFLLKNSLISSNPTATRFAWFHSTPTSCEKWKNKWTFNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHHKKTKRKFKRESFSEDDDNNPESIFRATFGKRWYTWSFDSCRDSSFKDSESGFEWTEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAFHLSALKWHPDKHEGPSQAMAAEKFKVCVNAYKSLCNALSPA >ONI01847 pep chromosome:Prunus_persica_NCBIv2:G6:15380319:15385465:1 gene:PRUPE_6G162400 transcript:ONI01847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPRWRNLFLLKNSLISSNPTATRFAWFHSTPTSCEKWKNKWTFDVKSSQQPSKNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHHKKTKRKFKRESFSEDDDNNPESIFRATFGKRWYTWSFDSCRDSSFKDSESGFEWTEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAII >ONI01845 pep chromosome:Prunus_persica_NCBIv2:G6:15379708:15387056:1 gene:PRUPE_6G162400 transcript:ONI01845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPRWRNLFLLKNSLISSNPTATRFAWFHSTPTSCEKWKNKWTFNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHHKKTKRKFKRESFSEDDDNNPESIFRATFGKRWYTWSFDSCRDSSFKDSESGFEWTEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAFHLSALKWHPDKHEGPSQAMAAEKFKVCVNAYKSLCNALSPA >ONI01843 pep chromosome:Prunus_persica_NCBIv2:G6:15379708:15387056:1 gene:PRUPE_6G162400 transcript:ONI01843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPRWRNLFLLKNSLISSNPTATRFAWFHSTPTSCEKWKNKWTFDVKSSQQPSKNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHHKKTKRKFKRESFSEDDDNNPESIFRATFGKRWYTWSFDSCRDSSFKDSESGFEWTEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAFHLSALKWHPDKHEGPSQAMAAEKFKVCVNAYKSLCNALSPA >ONI01846 pep chromosome:Prunus_persica_NCBIv2:G6:15379708:15385465:1 gene:PRUPE_6G162400 transcript:ONI01846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPRWRNLFLLKNSLISSNPTATRFAWFHSTPTSCEKWKNKWTFDVKSSQQPSKNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHHKKTKRKFKRESFSEDDDNNPESIFRATFGKRWYTWSFDSCRDSSFKDSESGFEWTEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAII >ONI01849 pep chromosome:Prunus_persica_NCBIv2:G6:15379708:15385465:1 gene:PRUPE_6G162400 transcript:ONI01849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPRWRNLFLLKNSLISSNPTATRFAWFHSTPTSCEKWKNKWTFNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHHKKTKRKFKRESFSEDDDNNPESIFRATFGKRWYTWSFDSCRDSSFKDSESGFEWTEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAII >ONI01848 pep chromosome:Prunus_persica_NCBIv2:G6:15380319:15385465:1 gene:PRUPE_6G162400 transcript:ONI01848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPRWRNLFLLKNSLISSNPTATRFAWFHSTPTSCEKWKNKWTFNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHHKKTKRKFKRESFSEDDDNNPESIFRATFGKRWYTWSFDSCRDSSFKDSESGFEWTEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAII >ONI01842 pep chromosome:Prunus_persica_NCBIv2:G6:15380307:15387056:1 gene:PRUPE_6G162400 transcript:ONI01842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPRWRNLFLLKNSLISSNPTATRFAWFHSTPTSCEKWKNKWTFDVKSSQQPSKNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHHKKTKRKFKRESFSEDDDNNPESIFRATFGKRWYTWSFDSCRDSSFKDSESGFEWTEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAFHLSALKWHPDKHEGPSQAMAAEKFKVCVNAYKSLCNALSPA >ONI02765 pep chromosome:Prunus_persica_NCBIv2:G6:22618882:22622028:-1 gene:PRUPE_6G220500 transcript:ONI02765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEADVIVEDDADAGNMEEDIDGDLADLETLNYDDLDSVSKLQKTQRYTDIMQKVEEALEKGSDMSSHGIVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRPKFPELESLVHHPIDYARVVKKIGNEMDVTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLTKTNEACDRALALDSSKKKVLDFVESRMGFIAPNLSAIVGSAVAAKLMGTAGGLVSLAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYVEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSTRGDPSGNTGRAFREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSMGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELSNPQAHAHQLGGGTQSTYFSETGTFSKIKRI >ONI02766 pep chromosome:Prunus_persica_NCBIv2:G6:22618571:22622571:-1 gene:PRUPE_6G220500 transcript:ONI02766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDELSDNEADVIVEDDADAGNMEEDIDGDLADLETLNYDDLDSVSKLQKTQRYTDIMQKVEEALEKGSDMSSHGIVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRPKFPELESLVHHPIDYARVVKKIGNEMDVTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLTKTNEACDRALALDSSKKKVLDFVESRMGFIAPNLSAIVGSAVAAKLMGTAGGLVSLAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYVEQTEIFQTTPPSLRMRACRLLAAKSTLAARVDSTRGDPSGNTGRAFREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSMGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELSNPQAHAHQLGGGTQSTYFSETGTFSKIKRI >ONI00003 pep chromosome:Prunus_persica_NCBIv2:G6:4304768:4306176:1 gene:PRUPE_6G062100 transcript:ONI00003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRKVNKSLQLTQEESESVSQLVIPAKGYGESSFYEAFALRGIRLDRVEPGVLVVCSFKVPSRLADRSGNLANGAIANLVDIVGAAVAYVPGQSLNVSVDISISYISTAKLHDELEITSRMLGRVGGCSGTIVILRNKTTGEIIAEGRHSLFRSHVGPIPKL >ONI00004 pep chromosome:Prunus_persica_NCBIv2:G6:4304835:4306095:1 gene:PRUPE_6G062100 transcript:ONI00004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRKVNKSLQLTQEESESVSQLVIPAKGYGESSFYEAFALRGIRLDRVEPGVLVVCSFKDRSGNLANGAIANLVDIVGAAVAYVPGQSLNVSVDISISYISTAKLHDELEITSRMLGRVGGCSGTIVILRNKTTGEIIAEGRHSLFRSHVGPIPKL >ONI02095 pep chromosome:Prunus_persica_NCBIv2:G6:18112579:18116425:-1 gene:PRUPE_6G176600 transcript:ONI02095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKAGE >ONI02082 pep chromosome:Prunus_persica_NCBIv2:G6:18112579:18119060:-1 gene:PRUPE_6G176600 transcript:ONI02082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02081 pep chromosome:Prunus_persica_NCBIv2:G6:18112579:18119060:-1 gene:PRUPE_6G176600 transcript:ONI02081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02083 pep chromosome:Prunus_persica_NCBIv2:G6:18112579:18118998:-1 gene:PRUPE_6G176600 transcript:ONI02083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02091 pep chromosome:Prunus_persica_NCBIv2:G6:18112500:18116763:-1 gene:PRUPE_6G176600 transcript:ONI02091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFGLEEPFDTLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02093 pep chromosome:Prunus_persica_NCBIv2:G6:18112499:18116764:-1 gene:PRUPE_6G176600 transcript:ONI02093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFGLEEPFDTLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02079 pep chromosome:Prunus_persica_NCBIv2:G6:18112579:18118998:-1 gene:PRUPE_6G176600 transcript:ONI02079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02080 pep chromosome:Prunus_persica_NCBIv2:G6:18112579:18118946:-1 gene:PRUPE_6G176600 transcript:ONI02080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02086 pep chromosome:Prunus_persica_NCBIv2:G6:18112579:18116215:-1 gene:PRUPE_6G176600 transcript:ONI02086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFGLEEPFDTLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02089 pep chromosome:Prunus_persica_NCBIv2:G6:18112967:18115439:-1 gene:PRUPE_6G176600 transcript:ONI02089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFGLEEPFDTLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02084 pep chromosome:Prunus_persica_NCBIv2:G6:18112579:18118946:-1 gene:PRUPE_6G176600 transcript:ONI02084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFGLEEPFDTLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02088 pep chromosome:Prunus_persica_NCBIv2:G6:18112967:18115439:-1 gene:PRUPE_6G176600 transcript:ONI02088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFGLEEPFDTLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02085 pep chromosome:Prunus_persica_NCBIv2:G6:18112967:18115439:-1 gene:PRUPE_6G176600 transcript:ONI02085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFGLEEPFDTLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02087 pep chromosome:Prunus_persica_NCBIv2:G6:18112967:18115439:-1 gene:PRUPE_6G176600 transcript:ONI02087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFGLEEPFDTLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02094 pep chromosome:Prunus_persica_NCBIv2:G6:18112967:18115439:-1 gene:PRUPE_6G176600 transcript:ONI02094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFGLEEPFDTLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02090 pep chromosome:Prunus_persica_NCBIv2:G6:18112967:18115439:-1 gene:PRUPE_6G176600 transcript:ONI02090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFGLEEPFDTLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02092 pep chromosome:Prunus_persica_NCBIv2:G6:18112967:18115439:-1 gene:PRUPE_6G176600 transcript:ONI02092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRAKKTVKQVALSPGTDFNVAEEKEPQNAKQEAQFTDPDVERQISAIRAIRDVETEHLLTNLRLLRSYFNKEQQRTPVLQYFKENFQNLSIVRNGENGQMEVQWIDKDGNVSMNDGMDLHETLLRRLSIAYSGCSAAIPSLGGFEFSSKADKTSLLGADNLQISDFGLEEPFDTLMLGKQDTLQTPGVSSQRLSIGMTPKTRRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >ONI02876 pep chromosome:Prunus_persica_NCBIv2:G6:22995429:22999944:-1 gene:PRUPE_6G225700 transcript:ONI02876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPSHLISTSISIILLIFSSLMEFLVLASANPTSPALLLHSLPAMVLPLYLSTPNSSSRTSSNPRRLLQRSESLNRPNARMRLYDDLLRNGYYTTRLWIGTPPQRFALIVDTGSTVTYVPCASCEMCGRHQDPKFDPEESSTYKAVKCNIDCTCDSDKVNCIYERQYAEMSTSSGVLGEDLVSFGNQSELAPQRAVFGCENLETGDLYSQHADGIMGLGRGDLSVVDQLVDKGVISDSFSLCYGGMDIGGGSMVLGGFTTPSDMVFIHSNPVRSPYYNLDLKEIHIAGKRLSLNPSVFDGKHGTVLDSGTTYAYLPEAAFLAFKDGIMKELSSLKQIRGPDPNYNDICFSTDESEVSHPSDTFPTVDMVFGSGKKLTLSPENYLFRHSKVRGAYCLGFFQNGKDPTTLLGGIVVRNTLVTYDRENSKIGFWKTNCSELWERLHQSVSPPAMPPASGDKNSTPGVTPTLAPTGAPPYVLPGELQIGKITFDMSLNISYSDLKPHITELAEFIAQELEVNTSQLRVPNLNGLFQVHMLKFAANGNDSLISWAVFPADSTESISNTTAAVIVARLAEHHLQFPVMFGSYELLGWRVEPKEKRSWWQHSYVVILSILGILVIALSVVGLLFLLRHRQRTVNPYKPVNAAVPEQELQPL >ONI02877 pep chromosome:Prunus_persica_NCBIv2:G6:22995124:23000119:-1 gene:PRUPE_6G225700 transcript:ONI02877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPSHLISTSISIILLIFSSLMEFLVLASANPTSPALLLHSLPAMVLPLYLSTPNSSSRTSSNPRRLLQRSESLNRPNARMRLYDDLLRNGYYTTRLWIGTPPQRFALIVDTGSTVTYVPCASCEMCGRHQDPKFDPEESSTYKAVKCNIDCTCDSDKVNCIYERQYAEMSTSSGVLGEDLVSFGNQSELAPQRAVFGCENLETGDLYSQHADGIMGLGRGDLSVVDQLVDKGVISDSFSLCYGGMDIGGGSMVLGGFTTPSDMVFIHSNPVRSPYYNLDLKEIHIAGKRLSLNPSVFDGKHGTVLDSGTTYAYLPEAAFLAFKDGIMKELSSLKQIRGPDPNYNDICFSTDESEVSHPSDTFPTVDMVFGSGKKLTLSPENYLFRHSKVRGAYCLGFFQNGKDPTTLLGGIVVRNTLVTYDRENSKIGFWKTNCSELWERLHQSVSPPAMPPASGDKNSTPGVTPTLAPTGAPPYVLPGSYVEICC >ONI02878 pep chromosome:Prunus_persica_NCBIv2:G6:22996734:23000124:-1 gene:PRUPE_6G225700 transcript:ONI02878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPSHLISTSISIILLIFSSLMEFLVLASANPTSPALLLHSLPAMVLPLYLSTPNSSSRTSSNPRRLLQRSESLNRPNARMRLYDDLLRNGYYTTRLWIGTPPQRFALIVDTGSTVTYVPCASCEMCGRHQDPKFDPEESSTYKAVKCNIDCTCDSDKVNCIYERQYAEMSTSSGVLGEDLVSFGNQSELAPQRAVFGCENLETGDLYSQHADGIMGLGRGDLSVVDQLVDKGVISDSFSLCYGGMDIGGGSMVLGGFTTPSDMVFIHSNPVRSPYYNLDLKEIHIAGKRLSLNPSVFDGKHGTVLDSGTTYAYLPEAAFLAFKDGIMKELSSLKQIRGPDPNYNDICFSTDESEVSHPSDTFPTVDMVFGSGKKLTLSPENYLFRHSKVRGAYCLGFFQNGKDPTTLLGGIVVRNTLVTYDRENSKIGFWKTNCSELWERLHQSVSPPAMPPASGDKNSTPGVTPTLAPTGAPPYVLPGSIPSLSFHWHGCLIFLLVLKIISVISFSSYSCVGLLIQLLRGYV >ONI02875 pep chromosome:Prunus_persica_NCBIv2:G6:22995122:23000222:-1 gene:PRUPE_6G225700 transcript:ONI02875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPSHLISTSISIILLIFSSLMEFLVLASANPTSPALLLHSLPAMVLPLYLSTPNSSSRTSSNPRRLLQRSESLNRPNARMRLYDDLLRNGYYTTRLWIGTPPQRFALIVDTGSTVTYVPCASCEMCGRHQDPKFDPEESSTYKAVKCNIDCTCDSDKVNCIYERQYAEMSTSSGVLGEDLVSFGNQSELAPQRAVFGCENLETGDLYSQHADGIMGLGRGDLSVVDQLVDKGVISDSFSLCYGGMDIGGGSMVLGGFTTPSDMVFIHSNPVRSPYYNLDLKEIHIAGKRLSLNPSVFDGKHGTVLDSGTTYAYLPEAAFLAFKDGIMKELSSLKQIRGPDPNYNDICFSTDESEVSHPSDTFPTVDMVFGSGKKLTLSPENYLFRHSKVRGAYCLGFFQNGKDPTTLLGGIVVRNTLVTYDRENSKIGFWKTNCSELWERLHQSVSPPAMPPASGDKNSTPGVTPTLAPTGAPPYVLPGELQIGKITFDMSLNISYSDLKPHITELAEFIAQELEVNTSQVHMLKFAANGNDSLISWAVFPADSTESISNTTAAVIVARLAEHHLQFPVMFGSYELLGWRVEPKEKRSWWQHSYVVILSILGILVIALSVVGLLFLLRHRQRTVNPYKPVNAAVPEQELQPL >ONI04737 pep chromosome:Prunus_persica_NCBIv2:G6:29257559:29261562:1 gene:PRUPE_6G337000 transcript:ONI04737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRRIREPISAGSEKIVVKSAGTRKGFDFDKVFPQETSQEDVFAEVKPILRSALDGHNVCIFAHGQTGTGKTFTMDGTSEQPGIIPRALKELFCQASLDSSSYITFSMSMLEVYMGSLRDLLSPKPACRPHEAVTRCNLNIQTDPKGLVEIEGLTEVQIVDFAKARWWYNRGRRVRSTSWTNVNEASSRSHCLTRITMFRHGDASEAKREVGKLWMVDLGGSERLLKTGATGLTLDEGRAINLSLSALGDVIAALRRKRGHVPYRNSKLTQILKDSLGAGSKVLMLVHVSPCEEDVGETICSLSFAKRARAAECNRELSEDLKSHREKRVKELEEDMREAEEECHKLKNQIQKVDLLLCENRKLFSTTYGPLEDEKAPISPEEYLKEAVEMPRKLEKTSKGNVSNSLPRFMTSTAASRQRRSAAEREVVGKARSLRSVTRSSIQFTASQSMSYSDPQIKAILQNSNRKSRYAPEAADTLPAESPKCNVSESSKTRSKLVTSSDPNLRVTLGRHRRWMSDLI >ONI04736 pep chromosome:Prunus_persica_NCBIv2:G6:29257559:29261562:1 gene:PRUPE_6G337000 transcript:ONI04736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSTENEQISLVSVSAKESFQTSHMESNPDSIDGLPPVSYFSDSPPLPIICTDVNVIPEHEKNELQQSISNLEGEISQLKLRQRLWDEKRREALNKIIDIKGSIRVFCRVRPFLLMDKRRIREPISAGSEKIVVKSAGTRKGFDFDKVFPQETSQEDVFAEVKPILRSALDGHNVCIFAHGQTGTGKTFTMDGTSEQPGIIPRALKELFCQASLDSSSYITFSMSMLEVYMGSLRDLLSPKPACRPHEAVTRCNLNIQTDPKGLVEIEGLTEVQIVDFAKARWWYNRGRRVRSTSWTNVNEASSRSHCLTRITMFRHGDASEAKREVGKLWMVDLGGSERLLKTGATGLTLDEGRAINLSLSALGDVIAALRRKRGHVPYRNSKLTQILKDSLGAGSKVLMLVHVSPCEEDVGETICSLSFAKRARAAECNRELSEDLKSHREKRVKELEEDMREAEEECHKLKNQIQKVDLLLCENRKLFSTTYGPLEDEKAPISPEEYLKEAVEMPRKLEKTSKGNVSNSLPRFMTSTAASRQRRSAAEREVVGKARSLRSVTRSSIQFTASQSMSYSDPQIKAILQNSNRKSRYAPEAADTLPAESPKCNVSESSKTRSKLVTSSDPNLRVTLGRHRRWMSDLI >ONI03498 pep chromosome:Prunus_persica_NCBIv2:G6:25109881:25110715:-1 gene:PRUPE_6G260600 transcript:ONI03498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYHASNNIEKFDQIKWSSSDDQISGSGQAKSYICAFCKRSFSNAQALGGHMNIHRRDRARIRQFSEDQNQLANISSTDGITKNPSAHHSRANSEDKNSIQMELNETNIPRNPILSHPFTRDEDDDVVIIDAANKGKVSIEEVQVQLQLPLFVEEPSGLACTVDINKNTVECTSGGCRDEETMKRAEELDLELRLGPEPIETPSTLSTREFFI >ONI03681 pep chromosome:Prunus_persica_NCBIv2:G6:25933717:25937527:1 gene:PRUPE_6G274900 transcript:ONI03681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQIFFKFLHGYGFFLLRMSLSTFGYESDHLALLDLKRRITEDPLHLMSTWNGSINFCSWVGVTCNHSNKRVMTLNLEAQKLAGSLPPSIGNLTYLTGINLIDNNFHGEIPQEMGRLLRLQYLNLSSNSFGGKIPSNISHCTQLKVLDLGVNKLIGSIPDQLSSLLNLTHLWVDENNLTGTIPDWIGNFSSLYAISLAHNNFQGSIPNELGRLTSLGSFVIPGNQLSGMVPSSIYNISSIYYITVTDNQLHGELPKDVGITLPNLEIFAGGVNKFTGSIPVSLSNASRLRKLDFAENGLTGKLPAENFGSLQSLSRLNFDDNRLGSGKTGDLSSLSFLANCTNLEVLSFSRNRFGGELPESISNLSTKIRIFTMGGNLIQGSIPIGIANLVNLTNLGMEQNYFGGSLPDVIGKLQKLQGLYLNLNKFSGPIPSSLGNLTSVTRLFMEGNRFEGSIPPSLGNCQSLLMFNLSSNRLSGTIPKEVVGLSSLSISLSMSNNSLTGSLPSEVGELVNLSELDVSGNNLSGEIPITLGSCTSLVSLHLEGNELEGNIPETLTKLRGVEEIDISRNHLSGKIPEFLGKFRALKQLNLSYNDFESALPEEGIFLNASGVSVHGNNRLCGGIPELLLPVCSNKKPHSSQGLLSPKVVIPVTCAIGFIALSCFIAACRMVKRSRGPLLTSPSYGDWKLAVSYLELAQSTNRFSLDNLIGSGSFGSVYRGVLSSNGMVVAVKVLNLNQEGASKSFIDECKALRSIRHRNLLKIITACSSIDNQGNEFKSLVSEFMENGSLDLWLHPRDEEQSQSKRLSLIQRLNVAIDVASALDYLHHDCETCIVHCDLKPSNVLLDEDMVAHVGDFGLARFLLEVSNNPTKTQTMSVGLKGSIGYIPPEYGMGGQVSTLGDVYSYGILLLEMFTGKRPTDDMFKDGLSIHQFTAMACPDHVMDLVEPSLLLETDDENDEDDKYGNRKEERPVAGYRDPGPVKAKRLEECLDSLMQIGLSCSATSPRDRMSMDVVVNKMNAIRDSYLNLRSRRRRRSKSTR >ONI00052 pep chromosome:Prunus_persica_NCBIv2:G6:4512894:4516034:-1 gene:PRUPE_6G065300 transcript:ONI00052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRFCLCFRPSNNINEFSDIEAGNKNEKNSLSVFSLDQLGAATSGFSSHNVVSEHVEKAPNVVYRRKLEDGSLIAVKRFTLSAWPDSRPFLEEARAVGRLSNERLANLIGCCIEDDERLLVAEFMPNETLSKHLFQWETQPMKWAMRLRVALYVAHALEYCSSKGQALYHDLNAHRVLFDQDGNPRLSCFGLMKNSKDGKRFTNLAFTPAEFLRTGRVIPESLVYSFGTLLLNLLSDRHIPPSHMVRLASQCLQYEPHNWPDFKYIVSALFPLQEQTEVPSYVLMGFPHGNIPSKKTVLSPLREACSKLDLARIHEILLMIGYKEDEEAQTELSFQMWTDQMNERLNFKKQGDAAFRAKEFASAIEFYTEFIDHGSIESVTLFARRCLCYLMSDMAREALGDAMQALVQHPEWPTALYLQAAALKSLGLTNEAEQTLKDGSSLEVKKT >ONI04734 pep chromosome:Prunus_persica_NCBIv2:G6:29246112:29252164:-1 gene:PRUPE_6G336900 transcript:ONI04734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNTANSTRPRKEKRLTYVLSDADDTKHCAGINCLAVLKASVSGCDYLFTGSRDGTLKRWALAEDAATCPATFESHVDWVNDAVLAGDNTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNSNVVASGGLGGEVFVWDLEAALTPVSKSGDSMEDDSSNVVNGSGNALPITSLRTISSSNSISVHTTQSHGYVPVPAKGHKESVYALAMNDSGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESLLLCTGEHPILQLALHDDSIWAATTDSSVHRWPAEGRNPQKIIQRGGAFLAGNLSFSRARVSLEGSTLVPVYKEPIFTIPGTPGIVQHEILNNRRHVLTKDTAGSVKLWEITRGVVIEDYGKVTFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSVDLNIVGKPEDDKVNLARETLKGLLAHWLAKRKQRFGVQASANGDAPSGKEVTTRSITHSRIEVDSNTENDSMVYPPFEFSTASPPSVITEGSQGGPWRKKITDLDGTEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSANPDTTLAPGVAGGPVQLSAIGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSEDLILNYRVVQGR >ONI04730 pep chromosome:Prunus_persica_NCBIv2:G6:29245170:29254560:-1 gene:PRUPE_6G336900 transcript:ONI04730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNTANSTRPRKEKRLTYVLSDADDTKHCAGINCLAVLKASVSGCDYLFTGSRDGTLKRWALAEDAATCPATFESHVDWVNDAVLAGDNTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNSNVVASGGLGGEVFVWDLEAALTPVSKSGDSMEDDSSNVVNGSGNALPITSLRTISSSNSISVHTTQSHGYVPVPAKGHKESVYALAMNDSGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESLLLCTGEHPILQLALHDDSIWAATTDSSVHRWPAEGRNPQKIIQRGGAFLAGNLSFSRARVSLEGSTLVPVYKEPIFTIPGTPGIVQHEILNNRRHVLTKDTAGSVKLWEITRGVVIEDYGKVTFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSVDLNIVGKPEDDKVNLARETLKGLLAHWLAKRKQRFGVQASANGDAPSGKEVTTRSITHSRIEVDSNTENDSMVYPPFEFSTASPPSVITEGSQGGPWRKKITDLDGTEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSANPDTTLAPGVAGGPVQLSAIGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSEDLILNYRVVQGR >ONI04731 pep chromosome:Prunus_persica_NCBIv2:G6:29245173:29254560:-1 gene:PRUPE_6G336900 transcript:ONI04731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNTANSTRPRKEKRLTYVLSDADDTKHCAGINCLAVLKASVSGCDYLFTGSRDGTLKRWALAEDAATCPATFESHVDWVNDAVLAGDNTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNSNVVASGGLGGEVFVWDLEAALTPVSKSGDSMEDDSSNVVNGSGNALPITSLRTISSSNSISVHTTQSHGYVPVPAKGHKESVYALAMNDSGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESLLLCTGEHPILQLALHDDSIWAATTDSSVHRWPAEGRNPQKIIQRGGAFLAGNLSFSRARVSLEGSTLVPVYKEPIFTIPGTPGIVQHEILNNRRHVLTKDTAGSVKLWEITRGVVIEDYGKVTFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSVDLNIVGKPEDDKVNLARETLKGLLAHWLAKRKQRFGVQASANGDAPSGKEVTTRSITHSRIEVDSNTENDSMVYPPFEFSTASPPSVITEGSQGGPWRKKITDLDGTEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSANPDTTLAPGVAGGPVQLSAIGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSEDLILNYRVVQGR >ONI04732 pep chromosome:Prunus_persica_NCBIv2:G6:29246112:29252164:-1 gene:PRUPE_6G336900 transcript:ONI04732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNTANSTRPRKEKRLTYVLSDADDTKHCAGINCLAVLKASVSGCDYLFTGSRDGTLKRWALAEDAATCPATFESHVDWVNDAVLAGDNTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNSNVVASGGLGGEVFVWDLEAALTPVSKSGDSMEDDSSNVVNGSGNALPITSLRTISSSNSISVHTTQSHGYVPVPAKGHKESVYALAMNDSGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESLLLCTGEHPILQLALHDDSIWAATTDSSVHRWPAEGRNPQKIIQRGGAFLAGNLSFSRARVSLEGSTLVPVYKEPIFTIPGTPGIVQHEILNNRRHVLTKDTAGSVKLWEITRGVVIEDYGKVTFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSVDLNIVGKPEDDKVNLARETLKGLLAHWLAKRKQRFGVQASANGDAPSGKEVTTRSITHSRIEVDSNTENDSMVYPPFEFSTASPPSVITEGSQGGPWRKKITDLDGTEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSANPDTTLAPGVAGGPVQLSAIGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSEDLILNYRVVQGR >ONI04733 pep chromosome:Prunus_persica_NCBIv2:G6:29245164:29254560:-1 gene:PRUPE_6G336900 transcript:ONI04733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNTANSTRPRKEKRLTYVLSDADDTKHCAGINCLAVLKASVSGCDYLFTGSRDGTLKRWALAEDAATCPATFESHVDWVNDAVLAGDNTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNSNVVASGGLGGEVFVWDLEAALTPVSKSGDSMEDDSSNVVNGSGNALPITSLRTISSSNSISVHTTQSHGYVPVPAKGHKESVYALAMNDSGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESLLLCTGEHPILQLALHDDSIWAATTDSSVHRWPAEGRNPQKIIQRGGAFLAGNLSFSRARVSLEGSTLVPVYKEPIFTIPGTPGIVQHEILNNRRHVLTKDTAGSVKLWEITRGVVIEDYGKVTFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSVDLNIVGKPEDDKVNLARETLKGLLAHWLAKRKQRFGVQASANGDAPSGKEVTTRSITHSRIEVDSNTENDSMVYPPFEFSTASPPSVITEGSQGGPWRKKITDLDGTEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSANPDTTLAPGVAGGPVQLSAIGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSEDLILNYRVVQGR >ONI04728 pep chromosome:Prunus_persica_NCBIv2:G6:29245805:29254560:-1 gene:PRUPE_6G336900 transcript:ONI04728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNTANSTRPRKEKRLTYVLSDADDTKHCAGINCLAVLKASVSGCDYLFTGSRDGTLKRWALAEDAATCPATFESHVDWVNDAVLAGDNTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNSNVVASGGLGGEVFVWDLEAALTPVSKSGDSMEDDSSNVVNGSGNALPITSLRTISSSNSISVHTTQSHGYVPVPAKGHKESVYALAMNDSGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESLLLCTGEHPILQLALHDDSIWAATTDSSVHRWPAEGRNPQKIIQRGGAFLAGNLSFSRARVSLEGSTLVPVYKEPIFTIPGTPGIVQHEILNNRRHVLTKDTAGSVKLWEITRGVVIEDYGKVTFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSVDLNIVGKPEDDKVNLARETLKGLLAHWLAKRKQRFGVQASANGDAPSGKEVTTRSITHSRIEVDSNTENDSMVYPPFEFSTASPPSVITEGSQGGPWRKKITDLDGTEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSANPDTTLAPGVAGGPVQLSAIGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSEDLILNYRVVQGR >ONI04729 pep chromosome:Prunus_persica_NCBIv2:G6:29246112:29252164:-1 gene:PRUPE_6G336900 transcript:ONI04729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNTANSTRPRKEKRLTYVLSDADDTKHCAGINCLAVLKASVSGCDYLFTGSRDGTLKRWALAEDAATCPATFESHVDWVNDAVLAGDNTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNSNVVASGGLGGEVFVWDLEAALTPVSKSGDSMEDDSSNVVNGSGNALPITSLRTISSSNSISVHTTQSHGYVPVPAKGHKESVYALAMNDSGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESLLLCTGEHPILQLALHDDSIWAATTDSSVHRWPAEGRNPQKIIQRGGAFLAGNLSFSRARVSLEGSTLVPVYKEPIFTIPGTPGIVQHEILNNRRHVLTKDTAGSVKLWEITRGVVIEDYGKVTFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSVDLNIVGKPEDDKVNLARETLKGLLAHWLAKRKQRFGVQASANGDAPSGKEVTTRSITHSRIEVDSNTENDSMVYPPFEFSTASPPSVITEGSQGGPWRKKITDLDGTEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSANPDTTLAPGVAGGPVQLSAIGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSEDLILNYRVVQGR >ONI04735 pep chromosome:Prunus_persica_NCBIv2:G6:29245165:29254560:-1 gene:PRUPE_6G336900 transcript:ONI04735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNTANSTRPRKEKRLTYVLSDADDTKHCAGINCLAVLKASVSGCDYLFTGSRDGTLKRWALAEDAATCPATFESHVDWVNDAVLAGDNTLVSCSSDTTLKTWNCLSDGTCTRTLRQHSDYVTCLAAAEKNSNVVASGGLGGEVFVWDLEAALTPVSKSGDSMEDDSSNVVNGSGNALPITSLRTISSSNSISVHTTQSHGYVPVPAKGHKESVYALAMNDSGSLLVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESLLLCTGEHPILQLALHDDSIWAATTDSSVHRWPAEGRNPQKIIQRGGAFLAGNLSFSRARVSLEGSTLVPVYKEPIFTIPGTPGIVQHEILNNRRHVLTKDTAGSVKLWEITRGVVIEDYGKVTFEEKKEELFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSVDLNIVGKPEDDKVNLARETLKGLLAHWLAKRKQRFGVQASANGDAPSGKEVTTRSITHSRIEVDSNTENDSMVYPPFEFSTASPPSVITEGSQGGPWRKKITDLDGTEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSANPDTTLAPGVAGGPVQLSAIGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKSEDLILNYRVVQGR >ONI01517 pep chromosome:Prunus_persica_NCBIv2:G6:11648779:11654069:-1 gene:PRUPE_6G143800 transcript:ONI01517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGWRWRLCNFLVLCLFMVAYGATFPGDLKILNDFRKGLENSELLNWPENGLDPCGPPSWPHVFCSGDRVTQIQVQNMGLKGPLPQNFNQLSKLYNLGLQRNNFNGKLPTFSGLSELEYAYLDQNLFDTIPSDFFNGLSSLRVLALDHIPLNASTGWSLPNELAKSVQLQNISLIECNLVGPLPEFLGGLPSLTVLQLSFNKLTGEIPLNFGQSLVQILWLNNQDGGMTGPIDVIASMSSLTQVWLHGNQFTGTIPENIGDLSSLKELNLNGNHLVGLIPQTLADMELDKLDLGNNQLMGPIPKFKSGNVTYNSNSFCQPDPGVQCAPEVTALLDFLGDLNYPSSLASGWSGNNPCEGGWLGLSCNPESKVSVINLPRHKLNGTLSPALAKLDSLVNVRLSGNNIIGKVPTNFTELKSLRLLDISGNNIEPPLPKFPDSLKVIAEGNPLLVANQTAQPPLSTRSPPPRNSLQPPSDSPSSGSGKPPKSPLSQSPPSPITHPDHNSSGAIQVDVQPQSSKRPKPVIIVAGIAVVGVAAFLLICLSIYCCKKRKNILEAPASVVIHPRDPSDPENLYKIAVASNTTGSLSTKTRTTVSHNSGGTENSHMIEAGNLVISVQVLRKVTKNFAPENELGRGGFGTVYKGELEDGTQLAVKRMEGGVISSKALDEFEAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYLSQGALSRHLFHWKSLNLKPLSWTRRLTIVLDVARAMEYLHNLARQTFIHRDLKSSNILLDDNFHAKVSDFGLVKLAPDGEKSIATKLAGTFGYLAPEYAVMGKITTKVDVFSFGVVLMELLTGLMALDENRPEESRYLAEWFWRIKSSKEKLMAAIDPALEVNEETFESISIITELAGHCTAREPSHRPDMGHAVNVLSLLVEKWKPVEDESDCLSGIDYNQPLPQMLKVWQEAESRGISYTSLEDSKSSIPARPNGFAESFTSADGR >ONI01522 pep chromosome:Prunus_persica_NCBIv2:G6:11648956:11654069:-1 gene:PRUPE_6G143800 transcript:ONI01522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPIDVIASMSSLTQVWLHGNQFTGTIPENIGDLSSLKELNLNGNHLVGLIPQTLADMELDKLDLGNNQLMGPIPKFKSGNVTYNSNSFCQPDPGVQCAPEVTALLDFLGDLNYPSSLASGWSGNNPCEGGWLGLSCNPESKVSVINLPRHKLNGTLSPALAKLDSLVNVRLSGNNIIGKVPTNFTELKSLRLLDISGNNIEPPLPKFPDSLKVIAEGNPLLVANQTAQPPLSTRSPPPRNSLQPPSDSPSSGSGKPPKSPLSQSPPSPITHPDHNSSGAIQVDVQPQSSKRPKPVIIVAGIAVVGVAAFLLICLSIYCCKKRKNILEAPASVVIHPRDPSDPENLYKIAVASNTTGSLSTKTRTTVSHNSGGTENSHMIEAGNLVISVQVLRKVTKNFAPENELGRGGFGTVYKGELEDGTQLAVKRMEGGVISSKALDEFEAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYLSQGALSRHLFHWKSLNLKPLSWTRRLTIVLDVARAMEYLHNLARQTFIHRDLKSSNILLDDNFHAKVSDFGLVKLAPDGEKSIATKLAGTFGYLAPEYAVMGKITTKVDVFSFGVVLMELLTGLMALDENRPEESRYLAEWFWRIKSSKEKLMAAIDPALEVNEETFESISIITELAGHCTAREPSHRPDMGHAVNVLSLLVEKWKPVEDESDCLSGIDYNQPLPQMLKVWQEAESRGISYTSLEDSKSSIPARPNGFAESFTSADGR >ONI01518 pep chromosome:Prunus_persica_NCBIv2:G6:11649283:11654069:-1 gene:PRUPE_6G143800 transcript:ONI01518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGWRWRLCNFLVLCLFMVAYGATFPGDLKILNDFRKGLENSELLNWPENGLDPCGPPSWPHVFCSGDRVTQIQVQNMGLKGPLPQNFNQLSKLYNLGLQRNNFNGKLPTFSGLSELEYAYLDQNLFDTIPSDFFNGLSSLRVLALDHIPLNASTGWSLPNELAKSVQLQNISLIECNLVGPLPEFLGGLPSLTVLQLSFNKLTGEIPLNFGQSLVQILWLNNQDGGMTGPIDVIASMSSLTQVWLHGNQFTGTIPENIGDLSSLKELNLNGNHLVGLIPQTLADMELDKLDLGNNQLMGPIPKFKSGNVTYNSNSFCQPDPGVQCAPEVTALLDFLGDLNYPSSLASGWSGNNPCEGGWLGLSCNPESKVSVINLPRHKLNGTLSPALAKLDSLVNVRLSGNNIIGKVPTNFTELKSLRLLDISGNNIEPPLPKFPDSLKVIAEGNPLLVANQTAQPPLSTRSPPPRNSLQPPSDSPSSGSGKPPKSPLSQSPPSPITHPDHNSSGAIQVDVQPQSSKRPKPVIIVAGIAVVGVAAFLLICLSIYCCKKRKNILEAPASVVIHPRDPSDPENLYKIAVASNTTGSLSTKTRTTVSHNSGGTENSHMIEAGNLVISVQVLRKVTKNFAPENELGRGGFGTVYKGELEDGTQLAVKRMEGGVISSKALDEFEAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYLSQGALSRHLFHWKSLNLKPLSWTRRLTIVLDVARAMEYLHNLARQTFIHRDLKSSNILLDDNFHAKVSDFGLVKLAPDGEKSIATKLAGTFGYLAPEYAVMGKITTKVDVFSFGVVLMELLTGLMALDENRPEESRYLAEWFWRIKSSKEKLMAAIDPALEVNEETFESISIITELAGHCTAREPSHRPDMGHAVNVLSLLVEKWKPVEDESDCLSGIDYNQPLPQMLKVWQEAESRGISYTSLEDSKSSIPARPNGFAESFTSADGR >ONI01519 pep chromosome:Prunus_persica_NCBIv2:G6:11648391:11654069:-1 gene:PRUPE_6G143800 transcript:ONI01519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGWRWRLCNFLVLCLFMVAYGATFPGDLKILNDFRKGLENSELLNWPENGLDPCGPPSWPHVFCSGDRVTQIQVQNMGLKGPLPQNFNQLSKLYNLGLQRNNFNGKLPTFSGLSELEYAYLDQNLFDTIPSDFFNGLSSLRVLALDHIPLNASTGWSLPNELAKSVQLQNISLIECNLVGPLPEFLGGLPSLTVLQLSFNKLTGEIPLNFGQSLVQILWLNNQDGGMTGPIDVIASMSSLTQVWLHGNQFTGTIPENIGDLSSLKELNLNGNHLVGLIPQTLADMELDKLDLGNNQLMGPIPKFKSGNVTYNSNSFCQPDPGVQCAPEVTALLDFLGDLNYPSSLASGWSGNNPCEGGWLGLSCNPESKVSVINLPRHKLNGTLSPALAKLDSLVNVRLSGNNIIGKVPTNFTELKSLRLLDISGNNIEPPLPKFPDSLKVIAEGNPLLVANQTAQPPLSTRSPPPRNSLQPPSDSPSSGSGKPPKSPLSQSPPSPITHPDHNSSGAIQVDVQPQSSKRPKPVIIVAGIAVVGVAAFLLICLSIYCCKKRKNILEAPASVVIHPRDPSDPENLYKIAVASNTTGSLSTKTRTTVSHNSGGTENSHMIEAGNLVISVQVLRKVTKNFAPENELGRGGFGTVYKGELEDGTQLAVKRMEGGVISSKALDEFEAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYLSQGALSRHLFHWKSLNLKPLSWTRRLTIVLDVARAMEYLHNLARQTFIHRDLKSSNILLDDNFHAKVSDFGLVKLAPDGEKSIATKLAGTFGYLAPEYAVMGKITTKVDVFSFGVVLMELLTGLMALDENRPEESRYLAEWFWRIKSSKEKLMAAIDPALEVNEETFESISIITELAGHCTAREPSHRPDMGHAVNVLSLLVEKWKPVEDESDCLSGIDYNQPLPQMLKVWQEAESRGISYTSLEDSKSSIPARPNGFAESFTSADGR >ONI01520 pep chromosome:Prunus_persica_NCBIv2:G6:11649361:11653495:-1 gene:PRUPE_6G143800 transcript:ONI01520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGWRWRLCNFLVLCLFMVAYGATFPGDLKILNDFRKGLENSELLNWPENGLDPCGPPSWPHVFCSGDRVTQIQVQNMGLKGPLPQNFNQLSKLYNLGLQRNNFNGKLPTFSGLSELEYAYLDQNLFDTIPSDFFNGLSSLRVLALDHIPLNASTGWSLPNELAKSVQLQNISLIECNLVGPLPEFLGGLPSLTVLQLSFNKLTGEIPLNFGQSLVQILWLNNQDGGMTGPIDVIASMSSLTQVWLHGNQFTGTIPENIGDLSSLKELNLNGNHLVGLIPQTLADMELDKLDLGNNQLMGPIPKFKSGNVTYNSNSFCQPDPGVQCAPEVTALLDFLGDLNYPSSLASGWSGNNPCEGGWLGLSCNPESKVSVINLPRHKLNGTLSPALAKLDSLVNVRLSGNNIIGKVPTNFTELKSLRLLDISGNNIEPPLPKFPDSLKVIAEGNPLLVANQTAQPPLSTRSPPPRNSLQPPSDSPSSGSGKPPKSPLSQSPPSPITHPDHNSSGAIQVDVQPQSSKRPKPVIIVAGIAVVGVAAFLLICLSIYCCKKRKNILEAPASVVIHPRDPSDPENLYKIAVASNTTGSLSTKTRTTVSHNSGGTENSHMIEAGNLVISVQVLRKVTKNFAPENELGRGGFGTVYKGELEDGTQLAVKRMEGGVISSKALDEFEAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYLSQGALSRHLFHWKSLNLKPLSWTRRLTIVLDVARAMEYLHNLARQTFIHRDLKSSNILLDDNFHAKVSDFGLVKLAPDGEKSIATKLAGTFGYLAPEYAVMGKITTKVDVFSFGVVLMELLTGLMALDENRPEESRYLAEWFWRIKSSKEKLMAAIDPALEVNEETFESISIITELAGHCTAREPSHRPDMGHAVNVLSLLVEKWKPVEDESDCLSGIDYNQPLPQMLKVWQEAESRGISYTSLEDSKSSIPARPNGFAESFTSADGR >ONI01521 pep chromosome:Prunus_persica_NCBIv2:G6:11648454:11654069:-1 gene:PRUPE_6G143800 transcript:ONI01521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPIDVIASMSSLTQVWLHGNQFTGTIPENIGDLSSLKELNLNGNHLVGLIPQTLADMELDKLDLGNNQLMGPIPKFKSGNVTYNSNSFCQPDPGVQCAPEVTALLDFLGDLNYPSSLASGWSGNNPCEGGWLGLSCNPESKVSVINLPRHKLNGTLSPALAKLDSLVNVRLSGNNIIGKVPTNFTELKSLRLLDISGNNIEPPLPKFPDSLKVIAEGNPLLVANQTAQPPLSTRSPPPRNSLQPPSDSPSSGSGKPPKSPLSQSPPSPITHPDHNSSGAIQVDVQPQSSKRPKPVIIVAGIAVVGVAAFLLICLSIYCCKKRKNILEAPASVVIHPRDPSDPENLYKIAVASNTTGSLSTKTRTTVSHNSGGTENSHMIEAGNLVISVQVLRKVTKNFAPENELGRGGFGTVYKGELEDGTQLAVKRMEGGVISSKALDEFEAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYLSQGALSRHLFHWKSLNLKPLSWTRRLTIVLDVARAMEYLHNLARQTFIHRDLKSSNILLDDNFHAKVSDFGLVKLAPDGEKSIATKLAGTFGYLAPEYAVMGKITTKVDVFSFGVVLMELLTGLMALDENRPEESRYLAEWFWRIKSSKEKLMAAIDPALEVNEETFESISIITELAGHCTAREPSHRPDMGHAVNVLSLLVEKWKPVEDESDCLSGIDYNQPLPQMLKVWQEAESRGISYTSLEDSKSSIPARPNGFAESFTSADGR >ONI05062 pep chromosome:Prunus_persica_NCBIv2:G6:30123585:30124995:1 gene:PRUPE_6G354300 transcript:ONI05062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRQIHNMENPFPDVTKETVQDKGQLIYYYDYTEEEDAKRRKTTTKGGGQKHNWMISIDRISHLPDEILVSILSLLSLKEAAITSLLSKRWQHLWASTDTLDFDSKLDVGNNVRLFRRLTPELRHKKSLGYVDWVSRVMEQHEGPNMKRFRACFYLDRRFTTSIDRWIQIAKTKGVEILELEFFLGDGVIDKGHYAFPYKVLGLEKGPNCFGHNIIGFKSLKVVNFKHVDVAEEILQYLLSNCPGLEQLTVYASSNLANLRVVGSSSAALKYLAIKHCCCLESIQIREVANLVSFTYHGGSVRLLLSDVPLLTEVSIEEADWGTVDSIRVVLPQLSCCILSQLEILMLDITPMTLDDDDFGPLQNHAFPILANLKHLELIVEADYCWSLHHLSSFMKVSPYLQRLVLKVYI >ONI05061 pep chromosome:Prunus_persica_NCBIv2:G6:30123561:30125669:1 gene:PRUPE_6G354300 transcript:ONI05061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRQIHNMENPFPDVTKETVQDKGQLIYYYDYTEEEDAKRRKTTTKGGGQKHNWMISIDRISHLPDEILVSILSLLSLKEAAITSLLSKRWQHLWASTDTLDFDSKLDVGNNVRLFRRLTPELRHKKSLGYVDWVSRVMEQHEGPNMKRFRACFYLDRRFTTSIDRWIQIAKTKGVEILELEFFLGDGVIDKGHYAFPYKVLGLEKGPNCFGHNIIGFKSLKVVNFKHVDVAEEILQYLLSNCPGLEQLTVYASSNLANLRVVGSSSAALKYLAIKHCCCLESIQIREVANLVSFTYHGGSVRLLLSDVPLLTEVSIEEADWGTVDSIRVVLPQLSCCILSQLEILMLDITPMTLDDDDFGPLQNHAFPILANLKHLELIVEADYCWSLHHLSSFMKVSPYLQRLVLKMKFVTWRRPKIKTAAKCPHPYLRVVEIVGYRGRACAAKHVMYLMKNAISLEKIVIDPVLNHSTDKRVEEVKEEVFAKYHAMQHIKNKVPSTIEFVCI >ONH99696 pep chromosome:Prunus_persica_NCBIv2:G6:3206446:3208357:-1 gene:PRUPE_6G044200 transcript:ONH99696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRSFLVIICFVVVAATSMMPTSAQLSSGFYNKVCPQALPAIRKVVKRAISHEPRIGASLLRLHFHDCFVNGCDGSVLLDDTANFTGEKTAFPNLNSIRGFNVIDDIKKAVDKACYKSVVSCADILAVAARDSVSILGGPDYEVQLGRRDARTASVNDANRNLPPPVFSFSQLLSNFQAHGLNLKDLIVLSAAHTIGLARCTTFRARIYNDTNIDPKFAASAKYNCPTTGGDNNTRPLDSTTRRFDNVYFKALLQQKGLLHSDQELYKNNGTDSDKLVLKYSRNSESFAKDFANSMIKMGNIKPLTGNNGEVRLNCRKIN >ONI01054 pep chromosome:Prunus_persica_NCBIv2:G6:8758401:8765827:-1 gene:PRUPE_6G118900 transcript:ONI01054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQDSPKPTESPLKDQESDQNPDPDEEKENLSSDSESEYDSDESSEYEGEGGGGGGEADDTLNYIRPGEELPESDNTPDVNVKLFSQVLQGKRVRRRQEEEDKSYVFYEDLFDFPEDPEDWREEDLKELWGDAPLEMTKPGWDPVWADEEEWDIVREEIKAGKDPPIAPFYIPYRRPYPAIPDDHHDISNPKAVIEELDRIEEFLKWVSYVFPDGSSYEGTVWDDLAHGKGVYVAEQGLVRYEGEWLQNNMEGHGVVEVDVPDIEPIPGSKLEAEMRAEGHIISRDYMTPEDKEWLEMDIEDSIRQAGGQYEVPFYERDDWITQFGRKPEKGRYRYAGQWKHARMHGCGVYEVNERPIYGRFYFGELLEDSTGCDEDVSALHAGIAEVAAAKARMFVNKPDGMVREERGPYGDPQHPYFYEDEDVWMAPGFINQFYEVPDYWKTYVHEVDEEREMWLNSFYKAPLRIPMPAELEYWWSKDETPEFVLVNKEPEPDPADPSKLVYTEDPLILHTRTGRLINYIYDEKYGVRLFWQPPLKEGEDVDPKKAQFLPLGFDEFYGKNIGVKKQNFWMRLVSGVENACKPVLDKVEKWTEEKRKASEMKMKLIEKELELIEAELRLEEVIEDMDEELKRREKEEEKVEMGLQKEEDTSALANQDEKPLVEEDEEEEEEEDEDDVAPSSFGSVTADQDATKNGQKGNKPGKSPFSTSSLAFASSSFVSGVPSRLQQSFLSWKDSRPMPKAAISSCSEASSSLLKAVDSVIFPPVVGPKVNLKATRQRHFNFQAKTSSNGRLCRLRPISQICSPHSASMNTRISPKESRSRNHRWPHAAPERESDSILSFHTPVNYFEPHEETIHGEPLSPLLT >ONI01055 pep chromosome:Prunus_persica_NCBIv2:G6:8758084:8765913:-1 gene:PRUPE_6G118900 transcript:ONI01055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHGVVEVDVPDIEPIPGSKLEAEMRAEGHIISRDYMTPEDKEWLEMDIEDSIRQAGGQYEVPFYERDDWITQFGRKPEKGRYRYAGQWKHARMHGCGVYEVNERPIYGRFYFGELLEDSTGCDEDVSALHAGIAEVAAAKARMFVNKPDGMVREERGPYGDPQHPYFYEDEDVWMAPGFINQFYEVPDYWKTYVHEVDEEREMWLNSFYKAPLRIPMPAELEYWWSKDETPEFVLVNKEPEPDPADPSKLVYTEDPLILHTRTGRLINYIYDEKYGVRLFWQPPLKEGEDVDPKKAQFLPLGFDEFYGKNIGVKKQNFWMRLVSGVENACKPVLDKVEKWTEEKRKASEMKMKLIEKELELIEAELRLEEVIEDMDEELKRREKEEEKVEMGLQKEEDTSALANQDEKPLVEEDEEEEEEEDEDDVAPSSFGSVTADQDATKNGQKGNKPGKSPFSTSSLAFASSSFVSGVPSRLQQSFLSWKDSRPMPKAAISSCSEASSSLLKAVDSVIFPPVVGPKVNLKATRQRHFNFQAKTSSNGRLCRLRPISQICSPHSASMNTRISPKESRSRNHRWPHAAPERESDSILSFHTPVNYFEPHEETIHGEPLSPLLT >ONI01526 pep chromosome:Prunus_persica_NCBIv2:G6:11685042:11687839:1 gene:PRUPE_6G144100 transcript:ONI01526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAVRDQNNRNEGENRDPGFCGKLMNKVVEFPRKLKKLGQDDPRRIVHSLKVGLAVLLVSLLYYFQPLYDGLGATAMWAVLTVVVVFEFSVGATLGRGLNRILATFLAGALGFGVHHLANLSGKTAHPILIGVFVFLLAASVTFLRFFPRLKARYDYGLLIFILTFCLISVSGYRDEEILEMAHKRVSTILIGAFTAVFVCVLICPVWAGDDLHNSVANNIEKLGSFLEGFGDEYFKVAGNAESNKALLQGYSSVLNSKQSEESQANFARWEPRHGKFRYRHPWKHYLKIGTLTRQCAYRIDTLNGYLNSEIQTPLNIQSNKVQELCMKMSSESGKALKELAWALKTMTKPCSAASCHITKSRAAANNLKSLLKTSAALLGSQGIHLLDIVPAITVASLLSDVVSYAEQIEKSIHELSSFSHVQFKSAEPTKALQPCNSSASSTNDNVPHLVITIHKLPSQQEIGSKQGAAN >ONI03636 pep chromosome:Prunus_persica_NCBIv2:G6:25690430:25694916:1 gene:PRUPE_6G270800 transcript:ONI03636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLRSPSGRDNQESAKKPSFPLADQLQKRKSPFGFYTDSDMLVWLKGLALDPCDQKASPDSAQQLWKQSLKVRKLLLLGNVVCPRRKRKLHQFLNSSKFAGASGLATEKSDVHTVKKMGRVHSVSCVTNTNANSEIFEPLLLCNSRSSGSLLTFEDEDQHKPGYTDLAIMDVENIGPYCKDNSASPLIDTDESNNGLNTLSPKNLNFCDATNVNLNDTAESSDSSSLDENSSELECALRSLVSSDDYLPRSIPVREIDGSAHHSDPLRSKEQNSKVREAVKIMFSDGGVLRAVVPIGPGFQVEVPEWTGPVDRKNLYGSDGDSKVSRWLGKRTWPIKGKSAGAAVKELGKGRSDSCSCVSPGSVGCVKHHIHEARLCLQYEIGPAFRSWKFDEMGEFVSASWTSSEQRSFESLVRMNPLSNEASFWRTAFKRFPSKCRKSILNYYYNVFIPRRMSIQTRSSLDEIDSDDDQTKEEQLTGCKSRRVA >ONI03635 pep chromosome:Prunus_persica_NCBIv2:G6:25687117:25694916:1 gene:PRUPE_6G270800 transcript:ONI03635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLRSPSGRDNQESAKKPSFPLADQLQKRKSPFGFYTDSDMLVWLKGLALDPCDQKASPDSAQQLWKQSLKVRKLLLLGNVVCPRRKRKLHQFLNSSKFAGASGLATEKSDVHTVKKMGRVHSVSCVTNTNANSEIFEPLLLCNSRSSGSLLTFEDEDQHKPGYTDLAIMDVENIGPYCKDNSASPLIDTDESNNGLNTLSPKNLNFCDATNVNLNDTAESSDSSSLDENSSELECALRSLVSSDDYLPRSIPVREIDGSAHHSDPLRSKEQNSKVREAVKIMFSDGGVLRAVVPIGPGFQVEVPEWTGPVDRKNLYGSDGDSKVSRWLGKRTWPIKGKSAGAAVKELGKGRSDSCSCVSPGSVGCVKHHIHEARLCLQYEIGPAFRSWKFDEMGEFVSASWTSSEQRSFESLVRMNPLSNEASFWRTAFKRFPSKCRKSILNYYYNVFIPRRMSIQTRSSLDEIDSDDDQTKEEQLTGCKSRRVA >ONI03637 pep chromosome:Prunus_persica_NCBIv2:G6:25690414:25694916:1 gene:PRUPE_6G270800 transcript:ONI03637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLRSPSGRDNQESAKKPSFPLADQLQKRKSPFGFYTDSDMLVWLKGLALDPCDQKASPDSAQQLWKQSLKVRKLLLLGNVVCPRRKRKLHQFLNSSKFAGASGLATEKSDVHTVKKMGRVHSVSCVTNTNANSEIFEPLLLCNSRSSGSLLTFEDEDQHKPGYTDLAIMDVENIGPYCKDNSASPLIDTDESNNGLNTLSPKNLNFCDATNVNLNDTAESSDSSSLDENSSELECALRSLVSSDDYLPRSIPVREIDGSAHHSDPLRSKEQNSKVREAVKIMFSDGGVLRAVVPIGPGFQVEVPEWTGPVDRKNLYGSDGDSKVSRWLGKRTWPIKGKSAGAAVKELGKGRSDSCSCVSPGSVGCVKHHIHEARLCLQYEIGPAFRSWKFDEMGEFVSASWTSSEQRSFESLVRMNPLSNEASFWRTAFKRFPSKCRKSILNYYYNVFIPRRMSIQTRSSLDEIDSDDDQTKEEQLTGCKSRRVA >ONI03638 pep chromosome:Prunus_persica_NCBIv2:G6:25690414:25694916:1 gene:PRUPE_6G270800 transcript:ONI03638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLRSPSGRDNQESAKKPSFPLADQLQKRKSPFGFYTDSDMLVWLKGLALDPCDQKASPDSAQQLWKQSLKVRKLLLLGNVVCPRRKRKLHQFLNSSKFAGASGLATEKSDVHTVKKMGRVHSVSCVTNTNANSEIFEPLLLCNSRSSGSLLTFEDEDQHKPGYTDLAIMDVENIGPYCKDNSASPLIDTDESNNGLNTLSPKNLNFCDATNVNLNDTAESSDSSSLDENSSELECALRSLVSSDDYLPRSIPVREIDGSAHHSDPLRSKEQNSKVREAVKIMFSDGGVLRAVVPIGPGFQVEVPEWTGPVDRKNLYGSDGDSKVSRWLGKRTWPIKGKSAGAAVKELGKGRSDSCSCVSPGSVGCVKHHIHEARLCLQYEIGPAFRSWKFDEMGEFVSASWTSSEQRSFESLVRMNPLSNEASFWRTAFKRFPSKCRKSILNYYYNVFIPRRMSIQTRSSLDEIDSDDDQTKEEQLTGCKSRRVA >ONI01658 pep chromosome:Prunus_persica_NCBIv2:G6:13095755:13107376:-1 gene:PRUPE_6G151400 transcript:ONI01658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVYLQINMEQDGVSVPCFLKDVLIPLVRAGQQLQVLVKLLELCTFVATNDHTYEGFLPCWTGFSGNCPYYSSPLTFIKGNVEAMLLSRDRYYRRMQEKLENLSAKLEFRYQQVVRPGTLPVLLDNGGRSSTNPGLFALDDNFIPSPTNDKRESNGVHDLDSGELSARDGLSDLTDSYESSECSFDSTSAEQNVSEQMVELPNHIVGMEQKYLSALSFSMSMPVDNLQKAHVREESCHIVSDQSRLCERRDALAHSHHKGVFTSQISVPIKPKESNLSAMSDVQFADCLSDKDWPEGGLFDSYSAIDEEYKDGRRSHPMDSPSKVNERILEALKEGTSYFRKRVGTNSALIEEAYGKDQPQNVTYTSSDLFTLQQWKVNSHNNFLSMNPMLTKNNLLHLITKPGERYGREFGHSLPCFEFSLIKDPFKVCLEKLPAGLVDFNASVTSVKSDRFGKQDFGGDSVSIDKTKVSDSLPFSDSKDHDQENANLTNVSGGSCWESLLGRFSDTVVNRVEDHGQSLSEIFEIPLDFIIDKCLLQEIMLQYKYVSKLTIKLLEEGFDLQEHLLALRRYHFMELADWADLFIMSLWHHKWCVTEADHRLSEIQGFLESSVQRSSCERDPHKDRLFVYMKGHDAMPLSASVIGVHSFNFLGLGYRVDWPISIILSPSALKMYAEIFSFLIQVKLAIFSLTDVWRQLKDLVHSISQNNDSEQNEREVSHFNALVKMRHQVNHFVSTLQQYVESQLSHVSWCRFLYSLKHKVKDMMDLQSVHLAYLIDSLDICFLSDETRPIARIIESILQCALDFRSCLTGEMWDVGTSQGNLIARLSGINISQVVVIKQMFDKNMKELHLCYLKSPKHGKFGLSHFWEYLNYNKYYSDVGNEMAYYAFSV >ONI01656 pep chromosome:Prunus_persica_NCBIv2:G6:13095755:13107385:-1 gene:PRUPE_6G151400 transcript:ONI01656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDTNFASSLFENLNLEDPWLPPTTWESIPSESGNFHLRNPKSSSSHSLYHASTVSEASLVRLAMNALQGVETALVSIQKLSAAFCSDPADRTFHQIPSLWSRSSSTHALGNILQPIGCSGLLVFLLRKFVDYFSNLNVESEDHVDGEAQVKQCPPYSLVNHAFAVSVGKVVEGYMCALDTLYASVGLRRSSCPSSVVGCLNSVVYSVLTLLEFYLHTKELRTQIEALTNLCNLYQFSSCFSVSSLEELITKANLEFCNFYRGGDLLSYLYTQLQVADPAHRPLLKFLFLRTCEPYCGFIRSWIFKAEISDPYKEFVVEYADSLSPNQHGKADISIDFPLATIREQDGVSVPCFLKDVLIPLVRAGQQLQVLVKLLELCTFVATNDHTYEGFLPCWTGFSGNCPYYSSPLTFIKGNVEAMLLSRDRYYRRMQEKLENLSAKLEFRYQQVVRPGTLPVLLDNGGRSSTNPGLFALDDNFIPSPTNDKRESNGVHDLDSGELSARDGLSDLTDSYESSECSFDSTSAEQNVSEQMVELPNHIVGMEQKYLSALSFSMSMPVDNLQKAHVREESCHIVSDQSRLCERRDALAHSHHKDCLSDKDWPEGGLFDSYSAIDEEYKDGRRSHPMDSPSKVNERILEALKEGTSYFRKRVGTNSALIEEAYGKDQPQNVTYTSSDLFTLQQWKVNSHNNFLSMNPMLTKNNLLHLITKPGERYGREFGHSLPCFEFSLIKDPFKVCLEKLPAGLVDFNASVTSVKSDRFGKQDFGGDSVSIDKTKVSDSLPFSDSKDHDQENANLTNVSGGSCWESLLGRFSDTVVNRVEDHGQSLSEIFEIPLDFIIDKCLLQEIMLQYKYVSKLTIKLLEEGFDLQEHLLALRRYHFMELADWADLFIMSLWHHKWCVTEADHRLSEIQGFLESSVQRSSCERDPHKDRLFVYMKGHDAMPLSASVIGVHSFNFLGLGYRVDWPISIILSPSALKMYAEIFSFLIQVKLAIFSLTDVWRQLKDLVHSISQNNDSEQNEREVSHFNALVKMRHQVNHFVSTLQQYVESQLSHVSWCRFLYSLKHKVKDMMDLQSVHLAYLIDSLDICFLSDETRPIARIIESILQCALDFRSCLTGEMWDVGTSQGNLIARLSGINISQVVVIKQMFDKNMKELHLCYLKSPKHGKFGLSHFWEYLNYNKYYSDVGNEMAYYAFSV >ONI01657 pep chromosome:Prunus_persica_NCBIv2:G6:13096248:13107301:-1 gene:PRUPE_6G151400 transcript:ONI01657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDTNFASSLFENLNLEDPWLPPTTWESIPSESGNFHLRNPKSSSSHSLYHASTVSEASLVRLAMNALQGVETALVSIQKLSAAFCSDPADRTFHQIPSLWSRSSSTHALGNILQPIGCSGLLVFLLRKFVDYFSNLNVESEDHVDGEAQVKQCPPYSLVNHAFAVSVGKVVEGYMCALDTLYASVGLRRSSCPSSVVGCLNSVVYSVLTLLEFYLHTKELRTQIEALTNLCNLYQFSSCFSVSSLEELITKANLEFCNFYRGGDLLSYLYTQLQVADPAHRPLLKFLFLRTCEPYCGFIRSWIFKAEISDPYKEFVVEYADSLSPNQHGKADISIDFPLATIREQDGVSVPCFLKDVLIPLVRAGQQLQVLVKLLELCTFVATNDHTYEGFLPCWTGFSGNCPYYSSPLTFIKGNVEAMLLSRDRYYRRMQEKLENLSAKLEFRYQQVVRPGTLPVLLDNGGRSSTNPGLFALDDNFIPSPTNDKRESNGVHDLDSGELSARDGLSDLTDSYESSECSFDSTSAEQNVSEQMVELPNHIVGMEQKYLSALSFSMSMPVDNLQKAHVREESCHIVSDQSRLCERRDALAHSHHKGVFTSQISVPIKPKESNLSAMSDVQFADCLSDKDWPEGGLFDSYSAIDEEYKDGRRSHPMDSPSKVNERILEALKEGTSYFRKRVGTNSALIEEAYGKDQPQNVTYTSSDLFTLQQWKVNSHNNFLSMNPMLTKNNLLHLITKPGERYGREFGHSLPCFEFSLIKDPFKVCLEKLPAGLVDFNASVTSVKSDRFGKQDFGGDSVSIDKTKVSDSLPFSDSKDHDQENANLTNVSGGSCWESLLGRFSDTVVNRVEDHGQSLSEIFEIPLDFIIDKCLLQEIMLQYKYVSKLTIKLLEEGFDLQEHLLALRRYHFMELADWADLFIMSLWHHKWCVTEADHRLSEIQGFLESSVQRSSCERDPHKDRLFVYMKGHDAMPLSASVIGVHSFNFLGLGYRVDWPISIILSPSALKMYAEIFSFLIQVKLAIFSLTDVWRQLKDLVHSISQNNDSEQNEREVSHFNALVKMRHQVNHFVSTLQQYVESQLSHVSWCRFLYSLKHKVKDMMDLQSVHLAYLIDSLDICFLSDETRPIARIIESILQCALDFRSCLTGEMWDVGTSQGNLIARLSGINISQVVVIKQMFDKNMKELHLCYLKSPKHGKFGLSHFWEYLNYNKYYSDVGNEMAYYAFSV >ONI00120 pep chromosome:Prunus_persica_NCBIv2:G6:4712425:4714743:-1 gene:PRUPE_6G068800 transcript:ONI00120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEICCGVVSESDTSSNPCEQSSRAARRRRMEIRRYKFVSGVASPPENAEKRKKVEACTTSVSRDLDNALECSGSSDEEKVVASLKIAAKCRPSTSSNIPEAFSEFPKYGLASVCGRRRDMEDAVSIHPSFCRRSRETDGKLHYFGVYDGHGCSHVATRCRERLHELVRDEVESMEEWRTAMERSFVRMDKEVVAWSEGGGGVSHCRCELQTPESDAVGSTAVVAVVTPDKIVVANCGDSRAVLCRNGKAFPLSVDHKPDRPDELKRIEEAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVSCEPEVTITDRTAEDECLILASDGLWDVVSNDTACGVARMCLRGKRLAAAEAETDGSSSSDRACSDASMLLTKLALARHSTDNVSVVVVNLRRNTCP >ONI03513 pep chromosome:Prunus_persica_NCBIv2:G6:25229207:25231927:1 gene:PRUPE_6G262000 transcript:ONI03513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDIGSFELNTGARIPSLGLGTWQSSPGLVGDAVATAVKAGYRHIDCAQRYGNEKEIGLVLKKLFEDGVVNREDLWITSKLWCTDHAPEDVLEALDRTLRDLQLDYVDLYLIHWPVRLKKGSVSFAPENLVEPDIPCTWRAMETLYDSGKARAIGVSNFSTKKLSDLLDVARVPPAVVQVECHPSWQQAKLHSFCKSKGIHVSGYSPLGSPGTTWIKGDVLQNPILHMVAEKLRKTPAQVALRWGLQMGHSALPKSTNEARIKENSDVFGWSIPEDLLAKLSEIEQARLVRGTNFVHDTFGPYRSVEELWDGEI >ONI00856 pep chromosome:Prunus_persica_NCBIv2:G6:7665310:7668161:-1 gene:PRUPE_6G107600 transcript:ONI00856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELAVHKLSFSSLPQINVKLQEQRRRPSLVSAALPETAASIAIAAAVVGTAATILVRRTKASEAAEVPMKICEACGGSGICPECKGEGFVLKRLSDESAERARLASKNMATRYTAGLPKKWSYCTKCSSARSCLTCSGRGKIVLL >ONI00855 pep chromosome:Prunus_persica_NCBIv2:G6:7665240:7668161:-1 gene:PRUPE_6G107600 transcript:ONI00855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELAVHKLSFSSLPQINVKLQEQRRRPSLVSAALPETAASIAIAAAVVGTAATILVRRTKASEAAEVPMKICEACGGSGICPECKGEGFVLKRLSDESAERARLASKNMATRYTAGLPLWKI >ONI00857 pep chromosome:Prunus_persica_NCBIv2:G6:7665701:7667984:-1 gene:PRUPE_6G107600 transcript:ONI00857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELAVHKLSFSSLPQINVKLQEQRRRPSLVSAALPETAASIAIAAAVVGTAATILVRRTKASEAAEVPMKICEACGGSGICPECKGEGFVLKRLSDESAERARLASKNMATRYTAGILP >ONI04746 pep chromosome:Prunus_persica_NCBIv2:G6:29277920:29284115:-1 gene:PRUPE_6G337600 transcript:ONI04746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIFKKLHIGSNHDPSRSNEPSTSVASPSCAADHRTVSGQTSGNPPGSPPSSSPTPASTVQTPGAATVDSTTTVNRPDYMMSEEDFQVQLALAISASNSDFRDDPEKDQIRAATLLSLGGHQIDSSRDKVEAAAAETLSRHYWECNVLDYEEKVVDGFYDVYGLSTDSAIQGKMPSLTNLETNLGSSGFEVSLVNRTVDPALEELVQIAQCIALDCPVTNVSVLVQRLAELVSGHMGGPVKDANIMLARWMERSRELRTSQQTSVLPIGSITIGLSRHRALLFKVLADNIKMPCRLLKGIHYTGVEDGAVNVIKLEDDREFLVDLMADPGTLVPTDIPSAKDTAFQPYHPNLSKNPTVHSYIDTEVAYSGPKPLHGEGSSQNSAAESSLALERRPISENIESLPTFSGASSDTGVGSSRIPNRATQLDHLPSSAFENYRGSRGAHAVEGVTRMNVNVVPYTQNNSEDSKNLFADLNPFQIKGPVKASMYNKPVENKVEELQRQRNNVASGRPPASLMWKNKYAFNEVPKRKENDNYMDGIFPRVNREPNGYNPSSAASTSSTVSEQINPGGFKSTAHSNMSDRDGDAKNYRGEQPRAKGYLQNGTIDVNEHQNNEIGFHDPRKFTHDRFMETNLKLKDPESCSSSFDSISSRVDQVFDDVDVGESEIPWEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMRKLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYRIIHRPHCQIDEKRRIKMALDVARGMNCLHASTPTIVHRDLKSPNLLVDKNWNVKVCDFGLSRLKHNTFLSSKSTAGTLA >ONI04744 pep chromosome:Prunus_persica_NCBIv2:G6:29277348:29284115:-1 gene:PRUPE_6G337600 transcript:ONI04744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIFKKLHIGSNHDPSRSNEPSTSVASPSCAADHRTVSGQTSGNPPGSPPSSSPTPASTVQTPGAATVDSTTTVNRPDYMMSEEDFQVQLALAISASNSDFRDDPEKDQIRAATLLSLGGHQIDSSRDKVEAAAAETLSRHYWECNVLDYEEKVVDGFYDVYGLSTDSAIQGKMPSLTNLETNLGSSGFEVSLVNRTVDPALEELVQIAQCIALDCPVTNVSVLVQRLAELVSGHMGGPVKDANIMLARWMERSRELRTSQQTSVLPIGSITIGLSRHRALLFKVLADNIKMPCRLLKGIHYTGVEDGAVNVIKLEDDREFLVDLMADPGTLVPTDIPSAKDTAFQPYHPNLSKNPTVHSYIDTEVAYSGPKPLHGEGSSQNSAAESSLALERRPISENIESLPTFSGASSDTGVGSSRIPNRATQLDHLPSSAFENYRGSRGAHAVEGVTRMNVNVVPYTQNNSEDSKNLFADLNPFQIKGPVKASMYNKPVENKVEELQRQRNNVASGRPPASLMWKNKYAFNEVPKRKENDNYMDGIFPRVNREPNGYNPSSAASTSSTVSEQINPGGFKSTAHSNMSDRDGDAKNYRGEQPRAKGYLQNGTIDVNEHQNNEIGFHDPRKFTHDRFMETNLKLKDPESCSSSFDSISSRVDQVFDDVDVGESEIPWEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMRKLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYRIIHRPHCQIDEKRRIKMALDVARGMNCLHASTPTIVHRDLKSPNLLVDKNWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNENSNEKCDVYSFGVILWELATLKLPWSGMNPMQVVGAVGFQNRRLEIPKELDPLVARIILECWQTDPNLRPSFSELTVALKPLQRLVIPSNLDHPSSHLRQEISVNSTP >ONI04745 pep chromosome:Prunus_persica_NCBIv2:G6:29276605:29284436:-1 gene:PRUPE_6G337600 transcript:ONI04745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPGTLVPTDIPSAKDTAFQPYHPNLSKNPTVHSYIDTEVAYSGPKPLHGEGSSQNSAAESSLALERRPISENIESLPTFSGASSDTGVGSSRIPNRATQLDHLPSSAFENYRGSRGAHAVEGVTRMNVNVVPYTQNNSEDSKNLFADLNPFQIKGPVKASMYNKPVENKVEELQRQRNNVASGRPPASLMWKNKYAFNEVPKRKENDNYMDGIFPRVNREPNGYNPSSAASTSSTVSEQINPGGFKSTAHSNMSDRDGDAKNYRGEQPRAKGYLQNGTIDVNEHQNNEIGFHDPRKFTHDRFMETNLKLKDPESCSSSFDSISSRVDQVFDDVDVGESEIPWEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMRKLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYRIIHRPHCQIDEKRRIKMALDVARGMNCLHASTPTIVHRDLKSPNLLVDKNWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNENSNEKCDVYSFGVILWELATLKLPWSGMNPMQVVGAVGFQNRRLEIPKELDPLVARIILECWQTDPNLRPSFSELTVALKPLQRLVIPSNLDHPSSHLRQEISVNSTP >ONH99017 pep chromosome:Prunus_persica_NCBIv2:G6:489324:491542:-1 gene:PRUPE_6G005200 transcript:ONH99017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESNPATEPIGQNLIKLISNLCFSVFVFSVLIITVIAITYQPPDPWLESAPALTKLFTESENATFKNDNSILKTGEDLLAPAVPPASEDRITEAVIEKSEANITNSTPSQLNCEDLERVNCSDPRALIAVERFNLRLFKSIVFLEYQTPVNGSKPDECDVLWRFRNKKEKSWRKYRDFRRFKLGFGVKCTYKVVHAGGWHSGINARRPRRISNSTRGAKIAPSVRDEQINDTIPSLGSDTNFRKGKYLYYSRGGDYCKGMNQYQWSFLCGLGEAMFLNRTFVMDLSVCLAASYTPSNKDKEGKDFRYYFDFEHLKESASIVEEGEFLRDWKKWDRSHKRKVPVKKVASHKITPMQLKKEKSTVIWRQFDGPEPENYWYRVCEGQAAKYVQRPWHALWKSKRLMNIVTEISGRMDWDFDAVHVVRGEKAQNKDLWPHLDYDTSPDMLLEKVKRMVQPWRNLYIATNEPFYNYFDKLRSQYKVHLLDDYKELWSNASEWYNETRILNDGRPVEFDGYMRVEVDTEVLYRAKTRVETFYNLTGDCKDGINTC >ONH99221 pep chromosome:Prunus_persica_NCBIv2:G6:1367718:1372450:1 gene:PRUPE_6G018700 transcript:ONH99221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKDIVLDGLPYGSVHLSCNSWVHSKYDNPEKRVFFTNKSYLPSQTPNGLVRLREEELVTLRGNGQGERKFFERIYDYDVYNDLGEPDKNLRLERPVLGGIEFPYPRRCRTGRPQCETDSLSEKRSNKWYVPRDEAFSEVKQLTFSAKTVYSVMHALVPSLETAMADNDHGFAYFTAIDSLFNEGINLPPFKEQGILKTLLPRLVNVVASGDDVLRFVPPETMNRDKFFWFRDEEFARQTLAGLNPCSLKLVTKWPLKSELDPEIYGPPESAITKEIIEQEIRGFPTVQEAIREKKLFILDYHDLFLPYVSKVRKIEGTTLYGSRTLFFLTREGTLRPLAIELTRPPMDGKPQWKQVFQPSWNATGVWLWRLAKAHVLAHDSGYHQLVSHWLRTHCVTEPYIIATSRQLSVMHPIYRFLHPHFRYTMEINALARESLINAGGIIESSFSPGKYSLELSAVAYGKEWRFDQEALPADLIRRGMAVEDPTAPHGLKLTIEDYPFANDGLLMWDAIKQWVTDYVNHYYPDSSLVQTDGELQAWWTEIKTVGHADKKDEPWWPELNTPEDLIGIITTMVWVTSGHHAAVNFGQYVYAGYFPNRPTIARTNMPTEDPSPEFWKSFLKKPEVALLRCFPSQIQATRIMAVLDILSNHSPDEEYIGEKMEAAWAEEPVIKAAFERFKGRLLVIEGIIDDRNANSELKNRNGAGVVPYELLKPFSQPGVTGMGVPYSISI >ONH99220 pep chromosome:Prunus_persica_NCBIv2:G6:1366973:1372450:1 gene:PRUPE_6G018700 transcript:ONH99220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPQLHQSRSTQTLFLHKPFIHGSRRSTSLPIWSRPSLLNKQRNVRVGLIPSNTKAVSSSSSSSSDSSSTPTTTTKTTSTTTMTTTPTTIQTPTTPTTTTTTTTIVTTEVVTEKFISVKATITVTLTVGGFLSHLGLKRGLDDITDMLGQSLLLELVSAELDPKTGLEKEKVAGFAHKSRRKEGEIIYETDFKVPVHFGEVGAVLVENEHHKEMFLKDIVLDGLPYGSVHLSCNSWVHSKYDNPEKRVFFTNKSYLPSQTPNGLVRLREEELVTLRGNGQGERKFFERIYDYDVYNDLGEPDKNLRLERPVLGGIEFPYPRRCRTGRPQCETDSLSEKRSNKWYVPRDEAFSEVKQLTFSAKTVYSVMHALVPSLETAMADNDHGFAYFTAIDSLFNEGINLPPFKEQGILKTLLPRLVNVVASGDDVLRFVPPETMNRDKFFWFRDEEFARQTLAGLNPCSLKLVTKWPLKSELDPEIYGPPESAITKEIIEQEIRGFPTVQEAIREKKLFILDYHDLFLPYVSKVRKIEGTTLYGSRTLFFLTREGTLRPLAIELTRPPMDGKPQWKQVFQPSWNATGVWLWRLAKAHVLAHDSGYHQLVSHWLRTHCVTEPYIIATSRQLSVMHPIYRFLHPHFRYTMEINALARESLINAGGIIESSFSPGKYSLELSAVAYGKEWRFDQEALPADLIRRGMAVEDPTAPHGLKLTIEDYPFANDGLLMWDAIKQWVTDYVNHYYPDSSLVQTDGELQAWWTEIKTVGHADKKDEPWWPELNTPEDLIGIITTMVWVTSGHHAAVNFGQYVYAGYFPNRPTIARTNMPTEDPSPEFWKSFLKKPEVALLRCFPSQIQATRIMAVLDILSNHSPDEEYIGEKMEAAWAEEPVIKAAFERFKGRLLVIEGIIDDRNANSELKNRNGAGVVPYELLKPFSQPGVTGMGVPYSISI >ONH99222 pep chromosome:Prunus_persica_NCBIv2:G6:1366973:1372450:1 gene:PRUPE_6G018700 transcript:ONH99222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKDIVLDGLPYGSVHLSCNSWVHSKYDNPEKRVFFTNKSYLPSQTPNGLVRLREEELVTLRGNGQGERKFFERIYDYDVYNDLGEPDKNLRLERPVLGGIEFPYPRRCRTGRPQCETDSLSEKRSNKWYVPRDEAFSEVKQLTFSAKTVYSVMHALVPSLETAMADNDHGFAYFTAIDSLFNEGINLPPFKEQGILKTLLPRLVNVVASGDDVLRFVPPETMNRDKFFWFRDEEFARQTLAGLNPCSLKLVTKWPLKSELDPEIYGPPESAITKEIIEQEIRGFPTVQEAIREKKLFILDYHDLFLPYVSKVRKIEGTTLYGSRTLFFLTREGTLRPLAIELTRPPMDGKPQWKQVFQPSWNATGVWLWRLAKAHVLAHDSGYHQLVSHWLRTHCVTEPYIIATSRQLSVMHPIYRFLHPHFRYTMEINALARESLINAGGIIESSFSPGKYSLELSAVAYGKEWRFDQEALPADLIRRGMAVEDPTAPHGLKLTIEDYPFANDGLLMWDAIKQWVTDYVNHYYPDSSLVQTDGELQAWWTEIKTVGHADKKDEPWWPELNTPEDLIGIITTMVWVTSGHHAAVNFGQYVYAGYFPNRPTIARTNMPTEDPSPEFWKSFLKKPEVALLRCFPSQIQATRIMAVLDILSNHSPDEEYIGEKMEAAWAEEPVIKAAFERFKGRLLVIEGIIDDRNANSELKNRNGAGVVPYELLKPFSQPGVTGMGVPYSISI >ONH99223 pep chromosome:Prunus_persica_NCBIv2:G6:1366973:1372450:1 gene:PRUPE_6G018700 transcript:ONH99223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKDIVLDGLPYGSVHLSCNSWVHSKYDNPEKRVFFTNKSYLPSQTPNGLVRLREEELVTLRGNGQGERKFFERIYDYDVYNDLGEPDKNLRLERPVLGGIEFPYPRRCRTGRPQCETDSLSEKRSNKWYVPRDEAFSEVKQLTFSAKTVYSVMHALVPSLETAMADNDHGFAYFTAIDSLFNEGINLPPFKEQGILKTLLPRLVNVVASGDDVLRFVPPETMNRDKFFWFRDEEFARQTLAGLNPCSLKLVTKWPLKSELDPEIYGPPESAITKEIIEQEIRGFPTVQEAIREKKLFILDYHDLFLPYVSKVRKIEGTTLYGSRTLFFLTREGTLRPLAIELTRPPMDGKPQWKQVFQPSWNATGVWLWRLAKAHVLAHDSGYHQLVSHWLRTHCVTEPYIIATSRQLSVMHPIYRFLHPHFRYTMEINALARESLINAGGIIESSFSPGKYSLELSAVAYGKEWRFDQEALPADLIRRGMAVEDPTAPHGLKLTIEDYPFANDGLLMWDAIKQWVTDYVNHYYPDSSLVQTDGELQAWWTEIKTVGHADKKDEPWWPELNTPEDLIGIITTMVWVTSGHHAAVNFGQYVYAGYFPNRPTIARTNMPTEDPSPEFWKSFLKKPEVALLRCFPSQIQATRIMAVLDILSNHSPDEEYIGEKMEAAWAEEPVIKAAFERFKGRLLVIEGIIDDRNANSELKNRNGAGVVPYELLKPFSQPGVTGMGVPYSISI >ONI04905 pep chromosome:Prunus_persica_NCBIv2:G6:29725931:29729851:1 gene:PRUPE_6G347300 transcript:ONI04905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHENAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTFYTLAFFERLNMYDNASLSSLFNSYNPTLLMSTAYYRTDLYQQHLEEVPVTNFFGSVMETIHTDSTYKAPSIKSFSRAEIKMPLDQPANDNERRILADSNGQDQISNPKKEEQQGAFRHLWNTINDKVEKIEDVDSFVLYGLLVMLPVLLLPTLLSW >ONI04904 pep chromosome:Prunus_persica_NCBIv2:G6:29725931:29729851:1 gene:PRUPE_6G347300 transcript:ONI04904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLFKSIVVAAALLLLGPCTVTKCMAFGSPPSSETTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHENAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTFYTLAFFERLNMYDNASLSSLFNSYNPTLLMSTAYYRTDLYQQHLEEVPVTNFFGSVMETIHTDSTYKAPSIKSFSRAEIKMPLDQPANDNERRILADSNGQDQISNPKKEEQQGAFRHLWNTINDKVEKIEDVDSFVLYGLLVMLPVLLLPTLLSW >ONI04869 pep chromosome:Prunus_persica_NCBIv2:G6:29619430:29620936:-1 gene:PRUPE_6G344900 transcript:ONI04869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEQCRPPTSYSSSLSLSLSSSEEELQHMPLAPPKMLNRKRLSKQLSMCETPRDIAWEKRRRQILRQERRRNGINDSDDMVLTDEDLHELKGCIELGFGFNEEEGQRLCPTLPALDLYFAVNRQFSPSPVSTPNSARHSTSSLGGRSSSFGSPRSDTDAWKICSPGDNPQQVKTKLRHWAQAVACSVMQSS >ONI01293 pep chromosome:Prunus_persica_NCBIv2:G6:10325823:10328907:-1 gene:PRUPE_6G131500 transcript:ONI01293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01299 pep chromosome:Prunus_persica_NCBIv2:G6:10325779:10329020:-1 gene:PRUPE_6G131500 transcript:ONI01299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSLVSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01280 pep chromosome:Prunus_persica_NCBIv2:G6:10325823:10329319:-1 gene:PRUPE_6G131500 transcript:ONI01280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01279 pep chromosome:Prunus_persica_NCBIv2:G6:10325779:10329320:-1 gene:PRUPE_6G131500 transcript:ONI01279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVELDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01298 pep chromosome:Prunus_persica_NCBIv2:G6:10325779:10329308:-1 gene:PRUPE_6G131500 transcript:ONI01298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01296 pep chromosome:Prunus_persica_NCBIv2:G6:10325823:10329308:-1 gene:PRUPE_6G131500 transcript:ONI01296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01292 pep chromosome:Prunus_persica_NCBIv2:G6:10326164:10328377:-1 gene:PRUPE_6G131500 transcript:ONI01292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSLVSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01288 pep chromosome:Prunus_persica_NCBIv2:G6:10326164:10328377:-1 gene:PRUPE_6G131500 transcript:ONI01288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01286 pep chromosome:Prunus_persica_NCBIv2:G6:10325823:10328808:-1 gene:PRUPE_6G131500 transcript:ONI01286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01285 pep chromosome:Prunus_persica_NCBIv2:G6:10325779:10329320:-1 gene:PRUPE_6G131500 transcript:ONI01285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01294 pep chromosome:Prunus_persica_NCBIv2:G6:10325785:10329320:-1 gene:PRUPE_6G131500 transcript:ONI01294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSYDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01282 pep chromosome:Prunus_persica_NCBIv2:G6:10325823:10329320:-1 gene:PRUPE_6G131500 transcript:ONI01282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01290 pep chromosome:Prunus_persica_NCBIv2:G6:10325796:10328661:-1 gene:PRUPE_6G131500 transcript:ONI01290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSLVSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01301 pep chromosome:Prunus_persica_NCBIv2:G6:10325779:10329315:-1 gene:PRUPE_6G131500 transcript:ONI01301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSLVSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01300 pep chromosome:Prunus_persica_NCBIv2:G6:10325779:10328808:-1 gene:PRUPE_6G131500 transcript:ONI01300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSLVSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01295 pep chromosome:Prunus_persica_NCBIv2:G6:10325779:10329317:-1 gene:PRUPE_6G131500 transcript:ONI01295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01287 pep chromosome:Prunus_persica_NCBIv2:G6:10325796:10329320:-1 gene:PRUPE_6G131500 transcript:ONI01287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01284 pep chromosome:Prunus_persica_NCBIv2:G6:10326164:10328377:-1 gene:PRUPE_6G131500 transcript:ONI01284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSYDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01283 pep chromosome:Prunus_persica_NCBIv2:G6:10325823:10329318:-1 gene:PRUPE_6G131500 transcript:ONI01283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSYDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01289 pep chromosome:Prunus_persica_NCBIv2:G6:10326164:10328377:-1 gene:PRUPE_6G131500 transcript:ONI01289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSLVSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01297 pep chromosome:Prunus_persica_NCBIv2:G6:10325779:10328988:-1 gene:PRUPE_6G131500 transcript:ONI01297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01281 pep chromosome:Prunus_persica_NCBIv2:G6:10325823:10329317:-1 gene:PRUPE_6G131500 transcript:ONI01281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSFLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01291 pep chromosome:Prunus_persica_NCBIv2:G6:10326164:10328377:-1 gene:PRUPE_6G131500 transcript:ONI01291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWYLYPKTYPTLNETNRNALFFCYIDTGLYDLAMKMLEDDTTLAMARNSNAETALHVLARRPLEFGGRSTLGMCSRLMNSLVSGIEDSYKSSKQTKALELVECLWNQILKHNDDDVMCLITEPSEVLFDATRLGNYEFLSVLINAYPDLLWETDDENRTIFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPVSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYQLLCDMFLSTYYSSLIFQPRKHMIQ >ONI03768 pep chromosome:Prunus_persica_NCBIv2:G6:26244736:26248199:-1 gene:PRUPE_6G280900 transcript:ONI03768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPGSGKSTFCEHVMRSSTRPWVRVCQDTIKSGKAGTKAQCIDSAINALKDGKSVFIDRCNLEIEQRNEFVKLGGPQVDVHAVVLDLPAKLCITRSVKRTGHEGNLQGGRAAAVVNRLLQKKELPKLSEGFARITSCQNESDVQSAIDAYSGLGPLDTLPNGYFGQKNPGAKIQLGIMKFLKKTDAPASSESISKSIPDSNASQITEEKDACLKGTGSLSENAGRELKEGEEPVVGSAGGDVSLKDAPTLAFPSISTADFQFDLEKASDIIVDKVAKFVNKLGNARLVLVDLSHKSKILSLVRTKASEKNIDSNKFFTFVGDITRLHSEGGLHCNVIANAANWRLKPGGGGVNAAIFSAGGQALEVATKEQAKSLLPGNAVVVPLPSTSPLFCREGVTHVIHVVGPNMNPQRPNCLNNDYIKGCKVLQEAYTSLFEGFASIVRSQSKLPKGSIENLQSKMTESQDHPDGIPKDHFTNSDQKNKREGLHKSERSKRSKGYRDETEDASDSNAGKVNLSNKSDGSRTKSCGSWAQALYNIAMQPEKHRDAVLEISDDVVVLNDLYPKAQRHVLVVARYEGLDCLADVRKEHLQLLRTMHALGLKWAEKFLHDDSSLVFRLGYHSEPSMRQLHLHVISQDFDSTHLKNKKHWNSFNTAFFRDSVDVMEEVSSNGKAILKDEDSMLSMELRCHRCRSAHPNIPRLKSHVTNCRASFPSTLLQKGRLVLTPCNVSIDA >ONI03766 pep chromosome:Prunus_persica_NCBIv2:G6:26244736:26248602:-1 gene:PRUPE_6G280900 transcript:ONI03766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHISHTFLKNHPPVREASGRRSDRWFQAVDSKSDRVSLKMDIDETAKGEEKHGKPIVVILMGAPGSGKSTFCEHVMRSSTRPWVRVCQDTIKSGKAGTKAQCIDSAINALKDGKSVFIDRCNLEIEQRNEFVKLGGPQVDVHAVVLDLPAKLCITRSVKRTGHEGNLQGGRAAAVVNRLLQKKELPKLSEGFARITSCQNESDVQSAIDAYSGLGPLDTLPNGYFGQKNPGAKIQLGIMKFLKKTDAPASSESISKSIPDSNASQITEEKDACLKGTGSLSENAGRELKEGEEPVVGSAGGDVSLKDAPTLAFPSISTADFQFDLEKASDIIVDKVAKFVNKLGNARLVLVDLSHKSKILSLVRTKASEKNIDSNKFFTFVGDITRLHSEGGLHCNVIANAANWRLKPGGGGVNAAIFSAGGQALEVATKEQAKSLLPGNAVVVPLPSTSPLFCREGVTHVIHVVGPNMNPQRPNCLNNDYIKGCKVLQEAYTSLFEGFASIVRSQSKLPKGSIENLQSKMTESQDHPDGIPKDHFTNSDQKNKREGLHKSERSKRSKGYRDETEDASDSNAGKVNLSNKSDGSRTKSCGSWAQALYNIAMQPEKHRDAVLEISDDVVVLNDLYPKAQRHVLVVARYEGLDCLADVRKEHLQLLRTMHALGLKWAEKFLHDDSSLVFRLGYHSEPSMRQLHLHVISQDFDSTHLKNKKHWNSFNTAFFRDSVDVMEEVSSNGKAILKDEDSMLSMELRCHRCRSAHPNIPRLKSHVTNCRASFPSTLLQKGRLVLTPCNVSIDA >ONI03770 pep chromosome:Prunus_persica_NCBIv2:G6:26244736:26248505:-1 gene:PRUPE_6G280900 transcript:ONI03770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLKKTDAPASSESISKSIPDSNASQITEEKDACLKGTGSLSENAGRELKEGEEPVVGSAGGDVSLKDAPTLAFPSISTADFQFDLEKASDIIVDKVAKFVNKLGNARLVLVDLSHKSKILSLVRTKASEKNIDSNKFFTFVGDITRLHSEGGLHCNVIANAANWRLKPGGGGVNAAIFSAGGQALEVATKEQAKSLLPGNAVVVPLPSTSPLFCREGVTHVIHVVGPNMNPQRPNCLNNDYIKGCKVLQEAYTSLFEGFASIVRSQSKLPKGSIENLQSKMTESQDHPDGIPKDHFTNSDQKNKREGLHKSERSKRSKGYRDETEDASDSNAGKVNLSNKSDGSRTKSCGSWAQALYNIAMQPEKHRDAVLEISDDVVVLNDLYPKAQRHVLVVARYEGLDCLADVRKEHLQLLRTMHALGLKWAEKFLHDDSSLVFRLGYHSEPSMRQLHLHVISQDFDSTHLKNKKHWNSFNTAFFRDSVDVMEEVSSNGKAILKDEDSMLSMELRCHRCRSAHPNIPRLKSHVTNCRASFPSTLLQKGRLVLTPCNVSIDA >ONI03767 pep chromosome:Prunus_persica_NCBIv2:G6:26245037:26248374:-1 gene:PRUPE_6G280900 transcript:ONI03767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHISHTFLKNHPPVREASGRRSDRWFQAVDSKSDRVSLKMDIDETAKEIPVLKGEEKHGKPIVVILMGAPGSGKSTFCEHVMRSSTRPWVRVCQDTIKSGKAGTKAQCIDSAINALKDGKSVFIDRCNLEIEQRNEFVKLGGPQVDVHAVVLDLPAKLCITRSVKRTGHEGNLQGGRAAAVVNRLLQKKELPKLSEGFARITSCQNESDVQSAIDAYSGLGPLDTLPNGYFGQKNPGAKIQLGIMKFLKKTDAPASSESISKSIPDSNASQITEEKDACLKGTGSLSENAGRELKEGEEPVVGSAGGDVSLKDAPTLAFPSISTADFQFDLEKASDIIVDKVAKFVNKLGNARLVLVDLSHKSKILSLVRTKASEKNIDSNKFFTFVGDITRLHSEGGLHCNVIANAANWRLKPGGGGVNAAIFSAGGQALEVATKEQAKSLLPGNAVVVPLPSTSPLFCREGVTHVIHVVGPNMNPQRPNCLNNDYIKGCKVLQEAYTSLFEGFASIVRSQSKLPKGSIENLQSKMTESQDHPDGIPKDHFTNSDQKNKREGLHKSERSKRSKGYRDETEDASDSNAGKVNLSNKSDGSRTKSCGSWAQALYNIAMQPEKHRDAVLEISDDVVVLNDLYPKAQRHVLVVARYEGLDCLADVRKEHLQLLRTMHALGLKWAEKFLHDDSSLVFRLGYHSEPSMRQLHLHVISQDFDSTHLKNKKHWNSFNTAFFRDSVDVMEEVSSNGKAILKDEDSMLSMELRCHRCRSAHPNIPRLKSHVTNCRASFPSTLLQKGRLVLTPCNVSIDA >ONI03769 pep chromosome:Prunus_persica_NCBIv2:G6:26245037:26247393:-1 gene:PRUPE_6G280900 transcript:ONI03769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLKKTDAPASSESISKSIPDSNASQITEEKDACLKGTGSLSENAGRELKEGEEPVVGSAGGDVSLKDAPTLAFPSISTADFQFDLEKASDIIVDKVAKFVNKLGNARLVLVDLSHKSKILSLVRTKASEKNIDSNKFFTFVGDITRLHSEGGLHCNVIANAANWRLKPGGGGVNAAIFSAGGQALEVATKEQAKSLLPGNAVVVPLPSTSPLFCREGVTHVIHVVGPNMNPQRPNCLNNDYIKGCKVLQEAYTSLFEGFASIVRSQSKLPKGSIENLQSKMTESQDHPDGIPKDHFTNSDQKNKREGLHKSERSKRSKGYRDETEDASDSNAGKVNLSNKSDGSRTKSCGSWAQALYNIAMQPEKHRDAVLEISDDVVVLNDLYPKAQRHVLVVARYEGLDCLADVRKEHLQLLRTMHALGLKWAEKFLHDDSSLVFRLGYHSEPSMRQLHLHVISQDFDSTHLKNKKHWNSFNTAFFRDSVDVMEEVSSNGKAILKDEDSMLSMELRCHRCRSAHPNIPRLKSHVTNCRASFPSTLLQKGRLVLTPCNVSIDA >ONH99829 pep chromosome:Prunus_persica_NCBIv2:G6:3681379:3684309:1 gene:PRUPE_6G052300 transcript:ONH99829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRPWGKSTVQIHNTSSPSSPFSFSSFKDIQNLCADENEHSQQPTNAAARRTSAIFHRVRVANSLLRAWSTRPPTQPQDENSAKQSEPSISSIPGAEKRIVVYFTSLRVVRPTFEDCRTVRSILRGFRVSLDERDLAMDHGFLTELQQILGQHTKLTLPRVFIGGRYIGGADEVRTLHETGELKKFVEGLPAQEPGVCDTCGGYRFILCVECSGSHKLYTEKNGFKSCTSCNENGLIRCPSCSCAPL >ONI01435 pep chromosome:Prunus_persica_NCBIv2:G6:11295882:11298583:-1 gene:PRUPE_6G139100 transcript:ONI01435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVEAGFGDLGDVSLVQSKLPKKLKKRRPIVTKDGPAGYEEYIDYSFPEEAHTQNLKILEAAYMWNKRKLKRKSDAADYEAESSDRSAAPGPGYTEVVTSPLQTPLSSKVGKANKTSRLTKCSRSGPQTLACNVGSPSAANLTPAGPCRFDSALGDYFPICCNVFYVKDLQIAQVENLSDEERRLDQQIREMQERLRDLSEDESNKKWLFVTEEDIKGLPSGSRF >ONI03939 pep chromosome:Prunus_persica_NCBIv2:G6:26953071:26954092:1 gene:PRUPE_6G293000 transcript:ONI03939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGQLLKLVCLVAVMCCMAVGGPKAMAAVSCGQVVNNLTPCINYVANGGALNPSCCTGVRSLYSLAQTTADRQSICNCLKQAVNGIPYTNANAGLAAGLPGKCGVNIPYKISPSTDCKSIK >ONI01787 pep chromosome:Prunus_persica_NCBIv2:G6:14282220:14284973:-1 gene:PRUPE_6G158500 transcript:ONI01787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDDVPWVVMGDLNEVAWHFEKEGGAIWNPKRKRYLVDFMADNNLMDLGVIQLSVNKGKKNFKFEAKWLEDSDCEVIVKNSLNKELHGPYQKQWIGKLRNCKYALQNWRKGKVNNTRVQINHLISELDNIQVSRTTVSSDAHQRVIRASLSDLWKMEEIIGINAQKSTSSKQPVITQEMNANLTNPFTEDEILAASKQLGALKVPRLDGFPSIFYHKFWSTMKKEVIGTTKDMYIGLSNLQDLNQTHIALIPKVAAPDKANQFRPISLCNSSYKILSKILANRLKSILPLIISENQNEFLQERQIQDISFWLMRLSITSETKKKKRKREKKGKCFEAGLKMDMNKAFDRVEWDFLRSAMSKMGFADFWIRLVMGCITTVSFSVLLNDKKKLALSFIRERIQKKIMGWRESTLSIAGKETILKAVAKAIPTYSMMCFRFPTSLCKDINSDMAKFWWHNTQSNTGIHWKSWKHLCQSKQQGGLGFRDLAELNLALLGKQSWQILNNPNAYWVRVLKVRFFPSVEDFWQAKVGHRASWAWASLIARRDFLISKARKQIFSGNDTCIWNDRWLPLPHVGFIQATCQIPDTAPQLVCDIMDSHNRAWNLDDIRPFIDQNTLETIKKIPIGVTSSAYRFIWPWSSDGHYSVKSGYHYLHSETMSISTLPANSSHRVHPLVWKGIWKIKTLLKIKFFC >ONI01231 pep chromosome:Prunus_persica_NCBIv2:G6:10005037:10012704:1 gene:PRUPE_6G129000 transcript:ONI01231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRESIFKLDKYREALSSKKRQRSDLSSSERSNGANLVKLGSQIHKNPQENMTQRLEDRAKSVGFNKRARTSVADVRADVRSAATSRQQVTTDKDENKLQAVSGASARIEEKTRRLLAGGEGLDHKIKKKRSVGAVSNRIIGGERDIKRATHPKLSGDSKLRICDAQGFRLKSSLGVGGINKLAEPSFEPSNLSTCAVLKNELESAPVPKDRSAVLEQRVVLKGNIKLNPQEDNRAGSPNPVIKGKVSRAPRTGSVMNIDSSPNVHPSSGAFQGLEQPTGQNKVQAASVMNNQKCATSNGSSVHPMAQWVGQRPHKSSRTRRTNLVSPVTNNAEAQISYQGAATSDFSARTSNVGTNGSQVTSSLDNHTTKSKRELQNVSSPYGLSGSEESGAGEKKLKEKGMDRGDIALAADEKVGDHLLSMKKNKSPTNDIGDGVRRQGRSGRGPSLTRPGIPPVMEKPENSPTTKPLHGMKPMSDKNRSKTGRPPSKKLKDRKGSTRVGPITYNDSPDFTGESDDDHEELYVAANSARNASKLASSAPFWKKMESVFGSLSSEDISYLQRQGVFMHREFPNCSGERQGNHFNQDSSKTDALCENFDTRRLEKATPLYQRVLSALIEEDESEELYHHSEGKNLHLRCASDDSHCGSCNQIDVEPKDWDRIESEVESQGDFQTQKNSLLDRLSCDRSAATNTFRNRSMPSSVHNDEQWQADEDVSHSDVGHACEICPTDLGHLQPRELKTTNLPSSECQYQLMCLDDRLLLELQSIGLCPETLPDLTEGEEVINQDIMGLKQGLHQQIATKKKHLAKIDKIVQKERAAERRRIELVAMDQLIEIAYRKQLACRGSSGSKSAVRKVSKQVALSFLKRTLARCRKFEEKGISCFTDPALQNVIFSELSCNNAAKSIDCVGSGTASNTCNEGSHQAEVRGSGSSHQAEVRGSGAVSSAFGRYDSPSENHDRGSSGVLHAVIDSSGQASSKHGSMSNLNKGRKREVLITDVVGLGSASSRLTSTLDSTVHDAKGNRGERNKDQTLDNLRNTSPSGVGNTSLDSSRSERKTKGRSKQKNTHSSSQSVPNAGNKKHRTGPPLRSDAPTLPSSKEADEPTDIANLQLHELDSLEENQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSELMLM >ONI01227 pep chromosome:Prunus_persica_NCBIv2:G6:10003664:10012703:1 gene:PRUPE_6G129000 transcript:ONI01227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSGNNVNRGSATPSSAMPPLPQCLPLEPIILGNPKYTRSGELRRVLGIPHGSISDDHSFGVAHPKPPPPVATEELKHFKESVQDASRKARDRAKMLRESIFKLDKYREALSSKKRQRSDLSSSERSNGANLVKLGSQIHKNPQENMTQRLEDRAKSVGFNKRARTSVADVRADVRSAATSRQQVTTDKDENKLQAVSGASARIEEKTRRLLAGGEGLDHKIKKKRSVGAVSNRIIGGERDIKRATHPKLSGDSKLRICDAQGFRLKSSLGVGGINKLAEPSFEPSNLSTCAVLKNELESAPVPKDRSAVLEQRVVLKGNIKLNPQEDNRAGSPNPVIKGKVSRAPRTGSVMNIDSSPNVHPSSGAFQGLEQPTGQNKVQAASVMNNQKCATSNGSSVHPMAQWVGQRPHKSSRTRRTNLVSPVTNNAEAQISYQGAATSDFSARTSNVGTNGSQVTSSLDNHTTKSKRELQNVSSPYGLSGSEESGAGEKKLKEKGMDRGDIALAADEKVGDHLLSMKKNKSPTNDIGDGVRRQGRSGRGPSLTRPGIPPVMEKPENSPTTKPLHGMKPMSDKNRSKTGRPPSKKLKDRKGSTRVGPITYNDSPDFTGESDDDHEELYVAANSARNASKLASSAPFWKKMESVFGSLSSEDISYLQRQLSFAEELGESLSQMFGDEYNVSGVFMHREFPNCSGERQGNHFNQDSSKTDALCENFDTRRLEKATPLYQRVLSALIEEDESEELYHHSEGKNLHLRCASDDSHCGSCNQIDVEPKDWDRIESEVESQGDFQTQKNSLLDRLSCDRSAATNTFRNRSMPSSVHNDEQWQADEDVSHSDVGHACEICPTDLGHLQPRELKTTNLPSSECQYQLMCLDDRLLLELQSIGLCPETLPDLTEGEEVINQDIMGLKQGLHQQIATKKKHLAKIDKIVQKERAAERRRIELVAMDQLIEIAYRKQLACRGSSGSKSAVRKVSKQVALSFLKRTLARCRKFEEKGISCFTDPALQNVIFSELSCNNAAKSIDCVGSGTASNTCNEGSHQAEVRGSGSSHQAEVRGSGAVSSAFGRYDSPSENHDRGSSGVLHAVIDSSGQASSKHGSMSNLNKGRKREVLITDVVGLGSASSRLTSTLDSTVHDAKGNRGERNKDQTLDNLRNTSPSGVGNTSLDSSRSERKTKGRSKQKNTHSSSQSVPNAGNKKHRTGPPLRSDAPTLPSSKEADEPTDIANLQLHELDSLEENQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSELMLM >ONI01228 pep chromosome:Prunus_persica_NCBIv2:G6:10003664:10012703:1 gene:PRUPE_6G129000 transcript:ONI01228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSGNNVNRGSATPSSAMPPLPQCLPLEPIILGNPKYTRSGELRRVLGIPHGSISDDHSFGVAHPKPPPPVATEELKHFKESVQDASRKARDRAKMLRESIFKLDKYREALSSKKRQRSDLSSSERSNGANLVKLGSQIHKNPQENMTQRLEDRAKSVGFNKRARTSVADVRADVRSAATSRQQVTTDKDENKLQAVSGASARIEEKTRRLLAGGEGLDHKIKKKRSVGAVSNRIIGGERDIKRATHPKLSGDSKLRICDAQGFRLKSSLGVGGINKLAEPSFEPSNLSTCAVLKNELESAPVPKDRSAVLEQRVVLKGNIKLNPQEDNRAGSPNPVIKGKVSRAPRTGSVMNIDSSPNVHPSSGAFQGLEQPTGQNKVQAASVMNNQKCATSNGSSVHPMAQWVGQRPHKSSRTRRTNLVSPVTNNAEAQISYQGAATSDFSARTSNVGTNGSQVTSSLDNHTTKSKRELQNVSSPYGLSGSEESGAGEKKLKEKGMDRGDIALAADEKVGDHLLSMKKNKSPTNDIGDGVRRQGRSGRGPSLTRPGIPPVMEKPENSPTTKPLHGMKPMSDKNRSKTGRPPSKKLKDRKGSTRVGPITYNDSPDFTGESDDDHEELYVAANSARNASKLASSAPFWKKMESVFGSLSSEDISYLQRQGVFMHREFPNCSGERQGNHFNQDSSKTDALCENFDTRRLEKATPLYQRVLSALIEEDESEELYHHSEGKNLHLRCASDDSHCGSCNQIDVEPKDWDRIESEVESQGDFQTQKNSLLDRLSCDRSAATNTFRNRSMPSSVHNDEQWQADEDVSHSDVGHACEICPTDLGHLQPRELKTTNLPSSECQYQLMCLDDRLLLELQSIGLCPETLPDLTEGEEVINQDIMGLKQGLHQQIATKKKHLAKIDKIVQKERAAERRRIELVAMDQLIEIAYRKQLACRGSSGSKSAVRKVSKQVALSFLKRTLARCRKFEEKGISCFTDPALQNVIFSELSCNNAAKSIDCVGSGTASNTCNEGSHQAEVRGSGSSHQAEVRGSGAVSSAFGRYDSPSENHDRGSSGVLHAVIDSSGQASSKHGSMSNLNKGRKREVLITDVVGLGSASSRLTSTLDSTVHDAKGNRGERNKDQTLDNLRNTSPSGVGNTSLDSSRSERKTKGRSKQKNTHSSSQSVPNAGNKKHRTGPPLRSDAPTLPSSKEADEPTDIANLQLHELDSLEENQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSELMLM >ONI01225 pep chromosome:Prunus_persica_NCBIv2:G6:10002912:10012794:1 gene:PRUPE_6G129000 transcript:ONI01225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSGNNVNRGSATPSSAMPPLPQCLPLEPIILGNPKYTRSGELRRVLGIPHGSISDDHSFGVAHPKPPPPVATEELKHFKESVQDASRKARDRAKMLRESIFKLDKYREALSSKKRQRSDLSSSERSNGANLVKLGSQIHKNPQENMTQRLEDRAKSVGFNKRARTSVADVRADVRSAATSRQQVTTDKDENKLQAVSGASARIEEKTRRLLAGGEGLDHKIKKKRSVGAVSNRIIGGERDIKRATHPKLSGDSKLRICDAQGFRLKSSLGVGGINKLAEPSFEPSNLSTCAVLKNELESAPVPKDRSAVLEQRVVLKGNIKLNPQEDNRAGSPNPVIKGKVSRAPRTGSVMNIDSSPNVHPSSGAFQGLEQPTGQNKVQAASVMNNQKCATSNGSSVHPMAQWVGQRPHKSSRTRRTNLVSPVTNNAEAQISYQGAATSDFSARTSNVGTNGSQVTSSLDNHTTKSKRELQNVSSPYGLSGSEESGAGEKKLKEKGMDRGDIALAADEKVGDHLLSMKKNKSPTNDIGDGVRRQGRSGRGPSLTRPGIPPVMEKPENSPTTKPLHGMKPMSDKNRSKTGRPPSKKLKDRKGSTRVGPITYNDSPDFTGESDDDHEELYVAANSARNASKLASSAPFWKKMESVFGSLSSEDISYLQRQLSFAEELGESLSQMFGDEYNVSGVFMHREFPNCSGERQGNHFNQDSSKTDALCENFDTRRLEKATPLYQRVLSALIEEDESEELYHHSEGKNLHLRCASDDSHCGSCNQIDVEPKDWDRIESEVESQGDFQTQKNSLLDRLSCDRSAATNTFRNRSMPSSVHNDEQWQADEDVSHSDVGHACEICPTDLGHLQPRELKTTNLPSSECQYQLMCLDDRLLLELQSIGLCPETLPDLTEGEEVINQDIMGLKQGLHQQIATKKKHLAKIDKIVQKERAAERRRIELVAMDQLIEIAYRKQLACRGSSGSKSAVRKVSKQVALSFLKRTLARCRKFEEKGISCFTDPALQNVIFSELSCNNAAKSIDCVGSGTASNTCNEGSHQAEVRGSGSSHQAEVRGSGAVSSAFGRYDSPSENHDRGSSGVLHAVIDSSGQASSKHGSMSNLNKGRKREVLITDVVGLGSASSRLTSTLDSTVHDAKGNRGERNKDQTLDNLRNTSPSGVGNTSLDSSRSERKTKGRSKQKNTHSSSQSVPNAGNKKHRTGPPLRSDAPTLPSSKEADEPTDIANLQLHELDSLEENQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSELMLM >ONI01226 pep chromosome:Prunus_persica_NCBIv2:G6:10003949:10012703:1 gene:PRUPE_6G129000 transcript:ONI01226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSGNNVNRGSATPSSAMPPLPQCLPLEPIILGNPKYTRSGELRRVLGIPHGSISDDHSFGVAHPKPPPPVATEELKHFKESVQDASRKARDRAKMLRESIFKLDKYREALSSKKRQRSDLSSSERSNGANLVKLGSQIHKNPQENMTQRLEDRAKSVGFNKRARTSVADVRADVRSAATSRQQVTTDKDENKLQAVSGASARIEEKTRRLLAGGEGLDHKIKKKRSVGAVSNRIIGGERDIKRATHPKLSGDSKLRICDAQGFRLKSSLGVGGINKLAEPSFEPSNLSTCAVLKNELESAPVPKDRSAVLEQRVVLKGNIKLNPQEDNRAGSPNPVIKGKVSRAPRTGSVMNIDSSPNVHPSSGAFQGLEQPTGQNKVQAASVMNNQKCATSNGSSVHPMAQWVGQRPHKSSRTRRTNLVSPVTNNAEAQISYQGAATSDFSARTSNVGTNGSQVTSSLDNHTTKSKRELQNVSSPYGLSGSEESGAGEKKLKEKGMDRGDIALAADEKVGDHLLSMKKNKSPTNDIGDGVRRQGRSGRGPSLTRPGIPPVMEKPENSPTTKPLHGMKPMSDKNRSKTGRPPSKKLKDRKGSTRVGPITYNDSPDFTGESDDDHEELYVAANSARNASKLASSAPFWKKMESVFGSLSSEDISYLQRQLSFAEELGESLSQMFGDEYNVSGVFMHREFPNCSGERQGNHFNQDSSKTDALCENFDTRRLEKATPLYQRVLSALIEEDESEELYHHSEGKNLHLRCASDDSHCGSCNQIDVEPKDWDRIESEVESQGDFQTQKNSLLDRLSCDRSAATNTFRNRSMPSSVHNDEQWQADEDVSHSDVGHACEICPTDLGHLQPRELKTTNLPSSECQYQLMCLDDRLLLELQSIGLCPETLPDLTEGEEVINQDIMGLKQGLHQQIATKKKHLAKIDKIVQKERAAERRRIELVAMDQLIEIAYRKQLACRGSSGSKSAVRKVSKQVALSFLKRTLARCRKFEEKGISCFTDPALQNVIFSELSCNNAAKSIDCVGSGTASNTCNEGSHQAEVRGSGSSHQAEVRGSGAVSSAFGRYDSPSENHDRGSSGVLHAVIDSSGQASSKHGSMSNLNKGRKREVLITDVVGLGSASSRLTSTLDSTVHDAKGNRGERNKDQTLDNLRNTSPSGVGNTSLDSSRSERKTKGRSKQKNTHSSSQSVPNAGNKKHRTGPPLRSDAPTLPSSKEADEPTDIANLQLHELDSLEENQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSELMLM >ONI01229 pep chromosome:Prunus_persica_NCBIv2:G6:10002961:10012727:1 gene:PRUPE_6G129000 transcript:ONI01229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSGNNVNRGSATPSSAMPPLPQCLPLEPIILGNPKYTRSGELRRVLGIPHGSISDDHSFGVAHPKPPPPVATEELKHFKESVQDASRKARDRAKMLRESIFKLDKYREALSSKKRQRSDLSSSERSNGANLVKLGSQIHKNPQENMTQRLEDRAKSVGFNKRARTSVADVRADVRSAATSRQQVTTDKDENKLQAVSGASARIEEKTRRLLAGGEGLDHKIKKKRSVGAVSNRIIGGERDIKRATHPKLSGDSKLRICDAQGFRLKSSLGVGGINKLAEPSFEPSNLSTCAVLKNELESAPVPKDRSAVLEQRVVLKGNIKLNPQEDNRAGSPNPVIKGKVSRAPRTGSVMNIDSSPNVHPSSGAFQGLEQPTGQNKVQAASVMNNQKCATSNGSSVHPMAQWVGQRPHKSSRTRRTNLVSPVTNNAEAQISYQGAATSDFSARTSNVGTNGSQVTSSLDNHTTKSKRELQNVSSPYGLSGSEESGAGEKKLKEKGMDRGDIALAADEKVGDHLLSMKKNKSPTNDIGDGVRRQGRSGRGPSLTRPGIPPVMEKPENSPTTKPLHGMKPMSDKNRSKTGRPPSKKLKDRKGSTRVGPITYNDSPDFTGESDDDHEELYVAANSARNASKLASSAPFWKKMESVFGSLSSEDISYLQRQGVFMHREFPNCSGERQGNHFNQDSSKTDALCENFDTRRLEKATPLYQRVLSALIEEDESEELYHHSEGKNLHLRCASDDSHCGSCNQIDVEPKDWDRIESEVESQGDFQTQKNSLLDRLSCDRSAATNTFRNRSMPSSVHNDEQWQADEDVSHSDVGHACEICPTDLGHLQPRELKTTNLPSSECQYQLMCLDDRLLLELQSIGLCPETLPDLTEGEEVINQDIMGLKQGLHQQIATKKKHLAKIDKIVQKERAAERRRIELVAMDQLIEIAYRKQLACRGSSGSKSAVRKVSKQVALSFLKRTLARCRKFEEKGISCFTDPALQNVIFSELSCNNAAKSIDCVGSGTASNTCNEGSHQAEVRGSGSSHQAEVRGSGAVSSAFGRYDSPSENHDRGSSGVLHAVIDSSGQASSKHGSMSNLNKGRKREVLITDVVGLGSASSRLTSTLDSTVHDAKGNRGERNKDQTLDNLRNTSPSGVGNTSLDSSRSERKTKGRSKQKNTHSSSQSVPNAGNKKHRTGPPLRSDAPTLPSSKEADEPTDIANLQLHELDSLEENQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSELMLM >ONI01230 pep chromosome:Prunus_persica_NCBIv2:G6:10005038:10012703:1 gene:PRUPE_6G129000 transcript:ONI01230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRESIFKLDKYREALSSKKRQRSDLSSSERSNGANLVKLGSQIHKNPQENMTQRLEDRAKSVGFNKRARTSVADVRADVRSAATSRQQVTTDKDENKLQAVSGASARIEEKTRRLLAGGEGLDHKIKKKRSVGAVSNRIIGGERDIKRATHPKLSGDSKLRICDAQGFRLKSSLGVGGINKLAEPSFEPSNLSTCAVLKNELESAPVPKDRSAVLEQRVVLKGNIKLNPQEDNRAGSPNPVIKGKVSRAPRTGSVMNIDSSPNVHPSSGAFQGLEQPTGQNKVQAASVMNNQKCATSNGSSVHPMAQWVGQRPHKSSRTRRTNLVSPVTNNAEAQISYQGAATSDFSARTSNVGTNGSQVTSSLDNHTTKSKRELQNVSSPYGLSGSEESGAGEKKLKEKGMDRGDIALAADEKVGDHLLSMKKNKSPTNDIGDGVRRQGRSGRGPSLTRPGIPPVMEKPENSPTTKPLHGMKPMSDKNRSKTGRPPSKKLKDRKGSTRVGPITYNDSPDFTGESDDDHEELYVAANSARNASKLASSAPFWKKMESVFGSLSSEDISYLQRQLSFAEELGESLSQMFGDEYNVSGVFMHREFPNCSGERQGNHFNQDSSKTDALCENFDTRRLEKATPLYQRVLSALIEEDESEELYHHSEGKNLHLRCASDDSHCGSCNQIDVEPKDWDRIESEVESQGDFQTQKNSLLDRLSCDRSAATNTFRNRSMPSSVHNDEQWQADEDVSHSDVGHACEICPTDLGHLQPRELKTTNLPSSECQYQLMCLDDRLLLELQSIGLCPETLPDLTEGEEVINQDIMGLKQGLHQQIATKKKHLAKIDKIVQKERAAERRRIELVAMDQLIEIAYRKQLACRGSSGSKSAVRKVSKQVALSFLKRTLARCRKFEEKGISCFTDPALQNVIFSELSCNNAAKSIDCVGSGTASNTCNEGSHQAEVRGSGSSHQAEVRGSGAVSSAFGRYDSPSENHDRGSSGVLHAVIDSSGQASSKHGSMSNLNKGRKREVLITDVVGLGSASSRLTSTLDSTVHDAKGNRGERNKDQTLDNLRNTSPSGVGNTSLDSSRSERKTKGRSKQKNTHSSSQSVPNAGNKKHRTGPPLRSDAPTLPSSKEADEPTDIANLQLHELDSLEENQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSELMLM >ONI02160 pep chromosome:Prunus_persica_NCBIv2:G6:18726068:18727494:-1 gene:PRUPE_6G180700 transcript:ONI02160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGKRPRPPMRRTTSMTGITVDLNNVEGEEPTDVHAAAAAAAAAAMVVGPQQVPAAAVNNNNNIESMDMKNNNFGYHEQHRFLAMLSPRNNHRTTSAAHDVVETAHFLRTCGLCKRHLASGRDIYMYRGDTAFCSLECREQQMKQDERVEKCKAVSSKKDDRHASRSTSTNSKASGKSQTVAAA >ONI01513 pep chromosome:Prunus_persica_NCBIv2:G6:11626816:11631566:1 gene:PRUPE_6G143400 transcript:ONI01513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALGRERKKVVVIGGGVAGSLLAKSLQFLADFTLIDEKEYFEIPWASLRAMVEPSFAERSVINHRDYLTNGQIIASRAINVSDTEVLTATGRLIPYDYLVIATGHADRVPKTKTERLSEFQEENQKIRSANSILIVGGGPTGVELAGEIAVDYPDKKVTLVHTGSRLLEFIGPKAASKTLNWLKSRRVEVILEQSVSLNNISDGSKTYQTSEGGTFEADCHFLCTGKRLGSAWLKETVLENSMDVNGRLMVDENLRVKGRKNIFAIGDITNIPEIKQGYLAQKQALVAAKNLKLLLAGGKESKLATYEPHSVMALVSLGRKHGVAQFPLTTISGRIPGLIKSKDLFVGKTRKQLGLEPHPVHD >ONH99227 pep chromosome:Prunus_persica_NCBIv2:G6:1404220:1407057:-1 gene:PRUPE_6G019000 transcript:ONH99227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMGLDAYRFSISWPRLLPNGKLSGGVNEEGIKYYNSFINELLHNGLKPFVTLFHWDLPQALEDEYGGFLSPHIINHFRDYAELCYKEFGDRVKYWITLNEPWSYSVGGYAKGEFGPGRCSDWQKLNCTGGDSSTEPYVVAHNQLLAHATAVELYRKKYQASQKGLIGITLLSHWFVPISEAKHHKKAALRSLDFMFGWFAEPITSGDYPHSMRSLVGNRLPNFTKAESKLLNGSFDFLGLNYYTTYYAAYASRQNSVNASYLTDARANFSFELNGVSIGPKAASDWLYVYPRGIEDLLLYTKRKYNDPLIYITENGIDEFSHPKLSLEEALNDSQRIDYYYLHLYYVRRAIKHGVHVKGFFAWSLLDNFEWYSGYTLRFGMNFVDYKNGLKRYPKLSAHWFKKFLSTREIELQTLFVTK >ONH99226 pep chromosome:Prunus_persica_NCBIv2:G6:1403580:1408243:-1 gene:PRUPE_6G019000 transcript:ONH99226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSSIINPVTGKAKLSRSPKPQVMALQLGYLLLGNYFLQLIGFALANSEATIPVTPSQYDTAFLNRSSFPAGFVFGSASSSYQYEGAAGGGGKGPSIWDTYTHKHPERIQDGSNGDVATDEYHHYKEDVEIMKNMGLDAYRFSISWPRLLPNGKLSGGVNEEGIKYYNSFINELLHNGLKPFVTLFHWDLPQALEDEYGGFLSPHIINHFRDYAELCYKEFGDRVKYWITLNEPWSYSVGGYAKGEFGPGRCSDWQKLNCTGGDSSTEPYVVAHNQLLAHATAVELYRKKYQASQKGLIGITLLSHWFVPISEAKHHKKAALRSLDFMFGWFAEPITSGDYPHSMRSLVGNRLPNFTKAESKLLNGSFDFLGLNYYTTYYAAYASRQNSVNASYLTDARANFSFELNGVSIGPKAASDWLYVYPRGIEDLLLYTKRKYNDPLIYITENGIDEFSHPKLSLEEALNDSQRIDYYYLHLYYVRRAIKHGVHVKGFFAWSLLDNFEWYSGYTLRFGMNFVDYKNGLKRYPKLSAHWFKKFLSTREIELQTLFVTK >ONI01578 pep chromosome:Prunus_persica_NCBIv2:G6:12274393:12281919:1 gene:PRUPE_6G147300 transcript:ONI01578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGSGGITNSNFIRSVWGSSCEAGSLGLTGLQNLGNTCFMNSSIQCLAHTPKLVDYFLGDYSREINPDNPLGMDGEIALAFGDLLRKLWAPGATPVAPRTFKSKLSRFAPQFNGFNQHDSQELLAFLLDGLHEDLNRVKCKPYVEVKDGDGRSDKEVADEYWRNHLARNDSIIVDVCQGQYKSTLVCPVCKKVSVTFDPFMYLSLPLPSTMMRTMTLTVVNTDGNSQPSQYTITVPKHGKFEDLIQGLSVACSLGVNETFLVAEIYNNCILRYLEEPSDSLSLIRDQDQLVAFRFIKDVEQFPLVVFMHQLMEDQYMHGKMTSSFGIPLVSRLCNSASGSDICNLYLKLLKPFQTPRNDSQECLDSSESATLEEVSGTQDTTPPVLGGGVNSCYGNGVDSPLDFGFQFYITDEKGTSKDKKIGMNEIVEKEESKQLNVLVCWPKKYIGEYDTRLLSSLAEVFKSSLFAKKPQESVSLYKCLEAFLKEEPLGPEDMWYCPGCKKHCQASKKLDLWRLPEILVIHLKRFSYSRCFKNKLETYVDFPDDNLDLSTYIAYRSDQLCNRYMLYAVSNHYGSMGGGHYTAFVHHGADRWYDFDDSHVNPVSQDKIKSSAAYVLFYRRVIEV >ONI01576 pep chromosome:Prunus_persica_NCBIv2:G6:12267473:12281919:1 gene:PRUPE_6G147300 transcript:ONI01576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVALSEDLSDSTQRSDSDSKQQIYFIPYSWWRDAQDSVLGDSDGKRGILYVTSQASSYAGPMKIINNIFNSDLVFNLKREEDTVHSGENGEVGVSGRDYALVPAEMWVQALRWHSDSKAAVKDGKCFSAAEDDMTDVYPLQLRLSAPRETNSLGVRITKKDNGVEFFKRACKIFSVESEMLRIWDFSGQTSLFFTNEKSKFPSYQRQSEGIPLELQVYGLSDSFKCREGKKDERAAQHSTIASSCCGSSVMMNGSGGITNSNFIRSVWGSSCEAGSLGLTGLQNLGNTCFMNSSIQCLAHTPKLVDYFLGDYSREINPDNPLGMDGEIALAFGDLLRKLWAPGATPVAPRTFKSKLSRFAPQFNGFNQHDSQELLAFLLDGLHEDLNRVKCKPYVEVKDGDGRSDKEVADEYWRNHLARNDSIIVDVCQGQYKSTLVCPVCKKPSQYTITVPKHGKFEDLIQGLSVACSLGVNETFLVAEIYNNCILRYLEEPSDSLSLIRDQDQLVAFRFIKDVEQFPLVVFMHQLMEDQYMHGKMTSSFGIPLVSRLCNSASGSDICNLYLKLLKPFQTPRNDSQECLDSSESATLEEVSGTQDTTPPVLGGGVNSCYGNGVDSPLDFGFQFYITDEKGTSKDKKIGMNEIVEKEESKQLNVLVCWPKKYIGEYDTRLLSSLAEVFKSSLFAKKPQESVSLYKCLEAFLKEEPLGPEDMWYCPGCKKHCQASKKLDLWRLPEILVIHLKRFSYSRCFKNKLETYVDFPDDNLDLSTYIAYRSDQLCNRYMLYAVSNHYGSMGGGHYTAFVHHGADRWYDFDDSHVNPVSQDKIKSSAAYVLFYRRVIEV >ONI01575 pep chromosome:Prunus_persica_NCBIv2:G6:12267473:12281919:1 gene:PRUPE_6G147300 transcript:ONI01575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVALSEDLSDSTQRSDSDSKQQIYFIPYSWWRDAQDSVLGDSDGKRGILYVTSQASSYAGPMKIINNIFNSDLVFNLKREEDTVHSGENGEVGVSGRDYALVPAEMWVQALRWHSDSKAAVKDGKCFSAAEDDMTDVYPLQLRLSAPRETNSLGVRITKKDNGVEFFKRACKIFSVESEMLRIWDFSGQTSLFFTNEKSKFPSYQRQSEGIPLELQVYGLSDSFKCREGKKDERAAQHSTIASSCCGSSVMMNGSGGITNSNFIRSVWGSSCEAGSLGLTGLQNLGNTCFMNSSIQCLAHTPKLVDYFLGDYSREINPDNPLGMDGEIALAFGDLLRKLWAPGATPVAPRTFKSKLSRFAPQFNGFNQHDSQELLAFLLDGLHEDLNRVKCKPYVEVKDGDGRSDKEVADEYWRNHLARNDSIIVDVCQGQYKSTLVCPVCKKVSVTFDPFMYLSLPLPSTMMRTMTLTVVNTDGNSQPSQYTITVPKHGKFEDLIQGLSVACSLGVNETFLVAEIYNNCILRYLEEPSDSLSLIRDQDQLVAFRFIKDVEQFPLVVFMHQLMEDQYMHGKMTSSFGIPLVSRLCNSASGSDICNLYLKLLKPFQTPRNDSQECLDSSESATLEEVSGTQDTTPPVLGGGVNSCYGNGVDSPLDFGFQFYITDEKGTSKDKKIGMNEIVEKEESKQLNVLVCWPKKYIGEYDTRLLSSLAEVFKSSLFAKKPQESVSLYKCLEAFLKEEPLGPEDMWYCPGCKKHCQASKKLDLWRLPEILVIHLKRFSYSRCFKNKLETYVDFPDDNLDLSTYIAYRSDQLCNRYMLYAVSNHYGSMGGGHYTAFVHHGADRWYDFDDSHVNPVSQDKIKSSAAYVLFYRRVIEV >ONI01577 pep chromosome:Prunus_persica_NCBIv2:G6:12272351:12281919:1 gene:PRUPE_6G147300 transcript:ONI01577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIWDFSGQTSLFFTNEKSKFPSYQRQSEGIPLELQVYGLSDSFKCREGKKDERAAQHSTIASSCCGSSVMMNGSGGITNSNFIRSVWGSSCEAGSLGLTGLQNLGNTCFMNSSIQCLAHTPKLVDYFLGDYSREINPDNPLGMDGEIALAFGDLLRKLWAPGATPVAPRTFKSKLSRFAPQFNGFNQHDSQELLAFLLDGLHEDLNRVKCKPYVEVKDGDGRSDKEVADEYWRNHLARNDSIIVDVCQGQYKSTLVCPVCKKVSVTFDPFMYLSLPLPSTMMRTMTLTVVNTDGNSQPSQYTITVPKHGKFEDLIQGLSVACSLGVNETFLVAEIYNNCILRYLEEPSDSLSLIRDQDQLVAFRFIKDVEQFPLVVFMHQLMEDQYMHGKMTSSFGIPLVSRLCNSASGSDICNLYLKLLKPFQTPRNDSQECLDSSESATLEEVSGTQDTTPPVLGGGVNSCYGNGVDSPLDFGFQFYITDEKGTSKDKKIGMNEIVEKEESKQLNVLVCWPKKYIGEYDTRLLSSLAEVFKSSLFAKKPQESVSLYKCLEAFLKEEPLGPEDMWYCPGCKKHCQASKKLDLWRLPEILVIHLKRFSYSRCFKNKLETYVDFPDDNLDLSTYIAYRSDQLCNRYMLYAVSNHYGSMGGGHYTAFVHHGADRWYDFDDSHVNPVSQDKIKSSAAYVLFYRRVIEV >ONH99430 pep chromosome:Prunus_persica_NCBIv2:G6:2238965:2241862:1 gene:PRUPE_6G028800 transcript:ONH99430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMAQEWFSQSGSGADDSQNTSSSLLADWNSYAASQSTDESSSLGLGFDLESAVRSANDTVSGTFSVVSKGVRDLPGNLQSATSTVPSGKALMYFGLFLATGVFFVFIAFTMFLPVMVLMPQKFAICFTLGCAFIIASFFALKGPKNQLAHMSSRERLPFTFGFLGSMAGTIYVSMVLHSYILSVLFSVIQVLALAYYAVSYFPGGSAGLKFLSSALTSSVMRCFGR >ONI03845 pep chromosome:Prunus_persica_NCBIv2:G6:26481396:26482762:-1 gene:PRUPE_6G285400 transcript:ONI03845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTNPSRKVHDWSSLHQDIVDSIAKRIVSRADLIAFAAVCKAWRSAAIKEYFTSRSTLKSPVLMIQAKNKEKGTLTPEFYNSSKSTWSKGTFHKLGLLAPKKTKQSFYSSLGWLVAVSEDLKVDLLHPLSHAQIELPDINKVRNHHQQQSFSGVPYEFIASKFVLSSSPSWTCGYIVTVIYRILGGWGFWRPGEDEWTSVGRNIMDLAYYKGQFYAVDFDGNIMVCEIAEPEQPKMRIVVPKVPMEPMCASVDQSLYLVESQGALLVVLRLRQWFSSTTEFRVFSVPLEGCGKCWCHWSDLQVKNLGDSTLFLGRHNSSFSIECKNNKNFVCLGNSICFTVDFCECLALTNGDMDASYFVFNMTDGKLKPCLGKRLNLRAPYLWIQAPF >ONI01818 pep chromosome:Prunus_persica_NCBIv2:G6:14963011:14966929:1 gene:PRUPE_6G161100 transcript:ONI01818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFQRVEKPKTEAAPINENEIRITAQGRMRNYITYATTLLQEKGSDEIILKAMGRAINKTVMIAELIKRRIAGLHQNTSIGSTDITDVYEPLEEGLDRVESTRHVSMITITLSKTELHTSSTGYQPPLPADQVKPLNDFEDNGEGSPRFRGRSRGGRGRARDRGNYNGVGDYNGDGWDGGRGYGGRGRGRGRGGSFRGRGRGYGQSGGYYDYVDGAPAQGRGLAGRGRGRGRGRGRGRNTRLEGQAPAA >ONI01819 pep chromosome:Prunus_persica_NCBIv2:G6:14963011:14966929:1 gene:PRUPE_6G161100 transcript:ONI01819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFQRVEKPKTEAAPINENEIRITAQGRMRNYITYATTLLQEKGSDEIILKAMGRAINKTVMIAELIKRRIAGLHQNTSIGSTDITDVYEPLEEGLDRVESTRHVSMITITLSKTELHTSSTGYQPPLPADQVKPLNDFEDNGEGSPRFRGRSRGGRGRARDRGNYNGVGDYNGDGWDGGRGYGGRGRGRGRGGSFRGRGRGYGQSGGYYDYVDGAPAQGRGRGRGRGRGRGRGRNTRLEGQAPAA >ONI01637 pep chromosome:Prunus_persica_NCBIv2:G6:12925371:12928070:-1 gene:PRUPE_6G150200 transcript:ONI01637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTSSSVLLVGLLCLCCWEAMITKVEAEYMAYKDPNKPINIRIKDLMDRMTLAEKIGQMTQLDRQNVTAEIMRDYSIGSLLSGGGSVPRLRANPQDWINMVNDFQNGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPELVKKIGAATALELRATGITYAFAPCIAVCRDPRWGRCYESYSEDPEIVKQMTDIILGLQGDIPAGSRKGVPYVGGKDKVVACAKHFVGDGGTIKGINENNTVIDMHGLMSIHMPAYYHSIIKGVSTIMVSYSSWNGKKMHANHELVTRFLKDTLKFKGFVISDWQGIDKISYPLHSDYPNSVLVGIQAGIDMVMVPYNYTEFIGIVTDHVNNKRIPISRIDDAVRRILRVKFMMGLFENPLADQSFVDQLGSQAHRDLAREAVRKSLVLLKNGENADTPVLPLPKKASRILVAGTHANNLGYQCGGWSLTWQGVSGNNYTAGTTILGAIASAVNETTDIVFSINPDPNFVTASNFDYAVVAVGEVPYAETRGDSLNLTIPEPGPSIITNVCGAVKCVVIVVSGRPVVIEPYLSSIDALVAAWLPGTEGQGISDVLFGDYGFSGKLPRTWFKTVDQLPMNVGDRHYDPLFPFGFGLTTDPLDQ >ONH99970 pep chromosome:Prunus_persica_NCBIv2:G6:4195488:4197976:1 gene:PRUPE_6G060600 transcript:ONH99970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATPLSLSFFTSSIFLTSSSHKTLSTLIPNNSFKTPKPLTISSELATLPVLSFTGDKVGETFLDLKAALPDTARAVVHRAIITDLQNKRRGTASTLTRAEVRGGGRKPYPQKKTGRARQGSTRTPLRPGGGVVFGPKPRDWSIKINRKEKRLAISTAVASAAENTIVVEDFSDEFEKPKTKEFIAAMKRWGLDPNEKTTFLMREVADNVRLSSRNIGTLKMLTPRTLNLFDILNADKLILTPEIVDYLNARYGLNYEGDDEDEEGAEEQDEEEGEGGSEVQGAVSEESSDAAE >ONI02621 pep chromosome:Prunus_persica_NCBIv2:G6:21946438:21950181:1 gene:PRUPE_6G211000 transcript:ONI02621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSRVIQDTMQFTFSTYFIHFIFYLNLIFDHNFACMSHYKSLINRTFNLSNKKNGNKRRLNALSNQKLSFAALCALHFKIPTKKMAFGETETQPQTQTPEVVQAKKYDQNDVDKVSHFTPTICVSDSALKFTNVLYNLTPAELYEHAVKYEKGSFITSTGALATRSGAKTGRSPRDKRVVKDATTQDDLWWGKGSPNIEMDEHTFMINRERAVDYLNCLDKIFVNDQFLNWDSENKIKVRIVSARAYHSLFMHNMCIRPTEEELENFGTPDFTIYNAGKFPCNRYTHYMTSSTSVDLHIARKEMVILGTMYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKSGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDKGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGAVLENVVFDDYTRLVNYADKSVTENTRAAYPIEFIPNALIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFLMLHPTKYAAMLAEKMQTHGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSGSLLKTEYKKTKVFGLEIPSEVEEVPSEILDPVNTWSDKNAYNETLMKLAGLFKNNFETFTNYQIGEDNKLTEEILAAGPHC >ONI01755 pep chromosome:Prunus_persica_NCBIv2:G6:13963521:13970925:1 gene:PRUPE_6G156900 transcript:ONI01755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSDTGEPVALKIIDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLFEVMGSKTKIFIVMEFVTGGELFDKIVNNGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDTYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADMWSCGVILFVLLAGYLPFDDSNLMTLYKKISAAEFTCPPWLSFGAMKLIARILDPNPMTRITIAEILEDEWFKKDYKSLVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEQPAAMNAFELISMSKGLNLGNLFDVEQEFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKLRLENMKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMQKVK >ONI01756 pep chromosome:Prunus_persica_NCBIv2:G6:13963211:13970925:1 gene:PRUPE_6G156900 transcript:ONI01756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSDTGEPVALKIIDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLFEVMGSKTKIFIVMEFVTGGELFDKIVNNGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDTYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADMWSCGVILFVLLAGYLPFDDSNLMTLYKKISAAEFTCPPWLSFGAMKLIARILDPNPMTRITIAEILEDEWFKKDYKSLVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEQPAAMNAFELISMSKGLNLGNLFDVEQEFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKLRLENMKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMQKVK >ONI01758 pep chromosome:Prunus_persica_NCBIv2:G6:13963211:13970925:1 gene:PRUPE_6G156900 transcript:ONI01758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSDTGEPVALKIIDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLFEVMGSKTKIFIVMEFVTGGELFDKIVNNGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDTYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADMWSCGVILFVLLAGYLPFDDSNLMTLYKKISAAEFTCPPWLSFGAMKLIARILDPNPMTRITIAEILEDEWFKKDYKSLVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEQPAAMNAFELISMSKGLNLGNLFDVEQEFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKLRLENMKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMQKVK >ONI01757 pep chromosome:Prunus_persica_NCBIv2:G6:13963211:13970925:1 gene:PRUPE_6G156900 transcript:ONI01757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSDTGEPVALKIIDKEKVLKHKMAEQIKREIATMKLIKHPNVVRLFEVMGSKTKIFIVMEFVTGGELFDKIVNNGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDTYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADMWSCGVILFVLLAGYLPFDDSNLMTLYKKISAAEFTCPPWLSFGAMKLIARILDPNPMTRITIAEILEDEWFKKDYKSLVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEQPAAMNAFELISMSKGLNLGNLFDVEQEFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKLRLENMKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLEDVVWKTEEDMQKVK >ONI02709 pep chromosome:Prunus_persica_NCBIv2:G6:22407811:22411275:1 gene:PRUPE_6G217000 transcript:ONI02709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGGMGLEGLVGPEGGATGAAHSLASDPETKPKGHAPNVPHGSGSVKQQRSWPAEDDWRTSKMPKNDDLPAPKTMPLQYQGTPLLRSNSLLPADTHRQEQMLSFSNNKSEVTFLSKDGMLERTIQGPDFAYYQRTPALTPSAYTRNAAAYGSGSINPSMHGPFAGVRGPFTPSQWIELEHQALIYKYMTSNVPVPSNLLIPLKKSLYPYGLSGSTGNLAPNSLAWGSYHLGFSGNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHINRGRHRSRKPVEGQPGQAASGTSNSKGVPMTSSMSTPVMPSTGTSNNVATMQNQFKSLQPAGAANPSADAFVNRMQDPRGLSVMSASTIKPKASDSRFNMPKQEIPVEESSQSEFGLVSTDSLLNPSHRNSYISKDFGGSFLDFSDQEAQDQHPLRQFIDDWPKDQSSHSVSTWPDEIKSDWTQLSMSIPMTSSEFSSSSSSPTQEKRALSPLRLSREFEPAQMNLGASNELSESSQKTNWIPISYGNSMGGPLGEVLTNTTSSGKMCNNSVSPLNLINEGWDGSPQLGSSPTGVLQKSTFCSLSNSSSGSSPRGENKKNLDGASIYDDVLGSTFASSSVPSL >ONH99189 pep chromosome:Prunus_persica_NCBIv2:G6:1184159:1184802:-1 gene:PRUPE_6G016500 transcript:ONH99189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVRVSYSFFPFGSSSNLDPGSESLGIAVCVVLSLLLSKLWLLSKSGYSSGYCYHKLSLFTNHAIITELMV >ONI00012 pep chromosome:Prunus_persica_NCBIv2:G6:4320126:4323704:1 gene:PRUPE_6G062500 transcript:ONI00012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTPSPHNLHSNRRKPISNFQYEDANNNKPKHQRFAALKAAVKKVAGVFKILLFGQREAIPKGAAVIDTRTNTRISGISSSTDISTWSDTKSSSKFKLSQSNNSSSALSGVIETKNFSYEEIFKATEKFSPANKIGEGAFGTVYKGRLGDGSLVAVKRAKKATYDKLIALEFKNEILTLSMIEHLNLVRLYGYLEHGDERIIVVEYVGNGTLREHLDGTLGNGLEAGERLDIAIDVAHAITYLHMYTDPPIIHRDIKSLNILITEKFRAKVADFGFARLSADPNATHISTQIKGTAGYLDPEYLKTYQLTEKSDVYSFGVLLVELMTGRRPIEPQKPANERLTTRWAMQMLKRGDAILVMDPKLRRNPASTMALEKILMLAKQCLAPLRQSRPSMQKCAEILWGIRKDFREKALSASSTPHYSANFPVRDAKKTRQTSFGIEDGDSYKFISA >ONI01261 pep chromosome:Prunus_persica_NCBIv2:G6:10160992:10167536:-1 gene:PRUPE_6G130600 transcript:ONI01261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAKANASSLDKPVEPEKPIESDEKVDLDGDNDPEEAMDEEVEYEEVEEEIEEEVEEEEEEEEVEEVEEEVEDEDSNHATGTDVGKSHSSDEVMSDDEDEKKKHAGLLALPPHGSEVYIGGIPHDASQEDLKVFCEAVGKVTEVRIMKGKDSGENKGFAFVTFKNVEMASDAIEELNNTEFKGKRIKCSTSKAKHRLFIGNVPRSWGEEDLRKVVMKIGPGVTGVELVKDMKNTSNNRGFAFVDYYNHACADYSRQKMVDPKFKLDNNAPTVSWADPKNAESSAASQVKAVYVKNLPQNVTQDHLKELFEHHGKITKVVLPAAKSGQEQSRIGFVHFAERSSAMKALKNTENYELDGQLLECSLARPQADQKSGGSNSHRSGLLPSYPPRVVYGMAGGAYGALGAGYGAAGFAQPLMSGPGPAPAGMGMMPMLLPDGRIGYVLQQPGAQPHPSPSHQRSSSRGGGRSGSSSRSSGGPNKGRYSNDTGHGRRYNPY >ONI01263 pep chromosome:Prunus_persica_NCBIv2:G6:10160973:10167536:-1 gene:PRUPE_6G130600 transcript:ONI01263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAKANASSLDKPVEPEKPIESDEKVDLDGDNDPEEAMDEEVEYEEVEEEIEEEVEEEEEEEEVEEVEEEVEDEDSNHATGTDVGKSHSSDEVMSDDEDEKKKHAGLLALPPHGSEVYIGGIPHDASQEDLKVFCEAVGKVTEVRIMKGKDSGENKGFAFVTFKNVEMASDAIEELNNTEFKGKRIKCSTSKAKHRLFIGNVPRSWGEEDLRKVVMKIGPGVTGVELVKDMKNTSNNRGFAFVDYYNHACADYSRQKMVDPKFKLDNNAPTVSWADPKNAESSAASQVKAVYVKNLPQNVTQDHLKELFEHHGKITKVVLPAAKSGQEQSRIGFVHFAERSSAMKALKNTENYELDGQLLECSLARPQADQKSGGSNSHRSGLLPSYPPRVVYGMAGGAYGALGAGYGAAGFAQPLMSGPGPAPAGMGMMPMLLPDGRIGYVLQQPGAQPHPSPSHQRSSSRGGGRSGSSSRSSGGPNKGRYSNDTGHGRRYNPY >ONI01265 pep chromosome:Prunus_persica_NCBIv2:G6:10160992:10167536:-1 gene:PRUPE_6G130600 transcript:ONI01265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAKANASSLDKPVEPEKPIESDEKVDLDGDNDPEEAMDEEVEYEEVEEEIEEEVEEEEEEEEVEEVEEEVEDEDSNHATGTDVGKSHSSDEVMSDDEDEKKKHAGLLALPPHGSEVYIGGIPHDASQEDLKVFCEAVGKVTEVRIMKGKDSGENKGFAFVTFKNVEMASDAIEELNNTEFKGKRIKCSTSKAKHRLFIGNVPRSWGEEDLRKVVMKIGPGVTGVELVKDMKNTSNNRGFAFVDYYNHACADYSRQKMVDPKFKLDNNAPTVSWADPKNAESSAASQVKAVYVKNLPQNVTQDHLKELFEHHGKITKVVLPAAKSGQEQSRIGFVHFAERSSAMKALKNTENYELDAVGVFSCKATGRSKVWWIKLA >ONI01262 pep chromosome:Prunus_persica_NCBIv2:G6:10160992:10167617:-1 gene:PRUPE_6G130600 transcript:ONI01262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAKANASSLDKPVEPEKPIESDEKVDLDGDNDPEEAMDEEVEYEEVEEEIEEEVEEEEEEEEVEEVEEEVEDEDSNHATGTDVGKSHSSDEVMSDDEDEKKKHAGLLALPPHGSEVYIGGIPHDASQEDLKVFCEAVGKVTEVRIMKGKDSGENKGFAFVTFKNVEMASDAIEELNNTEFKGKRIKCSTSKAKHRLFIGNVPRSWGEEDLRKVVMKIGPGVTGVELVKDMKNTSNNRGFAFVDYYNHACADYSRQKMVDPKFKLDNNAPTVSWADPKNAESSAASQVKAVYVKNLPQNVTQDHLKELFEHHGKITKVVLPAAKSGQEQSRIGFVHFAERSSAMKALKNTENYELDGQLLECSLARPQADQKSGGSNSHRSGLLPSYPPRVVYGMAGGAYGALGAGYGAAGFAQPLMSGPGPAPAGMGMMPMLLPDGRIGYVLQQPGAQPHPSPSHQRSSSRGGGRSGSSSRSSGGPNKGRYSNDTGHGRRYNPY >ONI01259 pep chromosome:Prunus_persica_NCBIv2:G6:10160973:10167677:-1 gene:PRUPE_6G130600 transcript:ONI01259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAKANASSLDKPVEPEKPIESDEKVDLDGDNDPEEAMDEEVEYEEVEEEIEEEVEEEEEEEEVEEVEEEVEDEDSNHATGTDVGKSHSSDEVMSDDEDEKKKHAGLLALPPHGSEVYIGGIPHDASQEDLKVFCEAVGKVTEVRIMKGKDSGENKGFAFVTFKNVEMASDAIEELNNTEFKGKRIKCSTSKAKHRLFIGNVPRSWGEEDLRKVVMKIGPGVTGVELVKDMKNTSNNRGFAFVDYYNHACADYSRQKMVDPKFKLDNNAPTVSWADPKNAESSAASQVKAVYVKNLPQNVTQDHLKELFEHHGKITKVVLPAAKSGQEQSRIGFVHFAERSSAMKALKNTENYELDGQLLECSLARPQADQKSGGSNSHRSGLLPSYPPRVVYGMAGGAYGALGAGYGAAGFAQPLMSGPGPAPAGMGMMPMLLPDGRIGYVLQQPGAQPHPSPSHQRSSSRGGGRSGSSSRSSGGPNKGRYSNDTGHGRRYNPY >ONI01264 pep chromosome:Prunus_persica_NCBIv2:G6:10160984:10167539:-1 gene:PRUPE_6G130600 transcript:ONI01264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEVEYEEVEEEIEEEVEEEEEEEEVEEVEEEVEDEDSNHATGTDVGKSHSSDEVMSDDEDEKKKHAGLLALPPHGSEVYIGGIPHDASQEDLKVFCEAVGKVTEVRIMKGKDSGENKGFAFVTFKNVEMASDAIEELNNTEFKGKRIKCSTSKAKHRLFIGNVPRSWGEEDLRKVVMKIGPGVTGVELVKDMKNTSNNRGFAFVDYYNHACADYSRQKMVDPKFKLDNNAPTVSWADPKNAESSAASQVKAVYVKNLPQNVTQDHLKELFEHHGKITKVVLPAAKSGQEQSRIGFVHFAERSSAMKALKNTENYELDGQLLECSLARPQADQKSGGSNSHRSGLLPSYPPRVVYGMAGGAYGALGAGYGAAGFAQPLMSGPGPAPAGMGMMPMLLPDGRIGYVLQQPGAQPHPSPSHQRSSSRGGGRSGSSSRSSGGPNKGRYSNDTGHGRRYNPY >ONI01260 pep chromosome:Prunus_persica_NCBIv2:G6:10160973:10167647:-1 gene:PRUPE_6G130600 transcript:ONI01260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAKANASSLDKPVEPEKPIESDEKVDLDGDNDPEEAMDEEVEYEEVEEEIEEEVEEEEEEEEVEEVEEEVEDEDSNHATGTDVGKSHSSDEVMSDDEDEKKKHAGLLALPPHGSEVYIGGIPHDASQEDLKVFCEAVGKVTEVRIMKGKDSGENKGFAFVTFKNVEMASDAIEELNNTEFKGKRIKCSTSKAKHRLFIGNVPRSWGEEDLRKVVMKIGPGVTGVELVKDMKNTSNNRGFAFVDYYNHACADYSRQKMVDPKFKLDNNAPTVSWADPKNAESSAASQVKAVYVKNLPQNVTQDHLKELFEHHGKITKVVLPAAKSGQEQSRIGFVHFAERSSAMKALKNTENYELDGQLLECSLARPQADQKSGGSNSHRSGLLPSYPPRVVYGMAGGAYGALGAGYGAAGFAQPLMSGPGPAPAGMGMMPMLLPDGRIGYVLQQPGAQPHPSPSHQRSSSRGGGRSGSSSRSSGGPNKGRYSNDTGHGRRYNPY >ONH99338 pep chromosome:Prunus_persica_NCBIv2:G6:2026747:2029476:1 gene:PRUPE_6G025400 transcript:ONH99338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYRRLTHFDVDTFVEEVLVKDGEWLPGTPETLKGSYVFVCSHGSRDRRCGVCGPPLITRFREEIELHGLQGKVSVSPCSHIGEHKYAGNVIIFGPNFNRKVTGHWYGYVAPEDVPVLLEQHIGKGEILDWLWRGQMGLSEEQQKKSQELRLHLNGETNVGKSATELTQPKEREMNTSVCRSQVEIGGCCQENRNSSCCQNAVFIEKLNSPDLNEMAAKETTDKKKSSRNLLSGINSGKGASTRKVCAMPTWFQSWEREDTYAAFAVVCAAVSVGIAYSCYKQLR >ONH99337 pep chromosome:Prunus_persica_NCBIv2:G6:2026892:2029476:1 gene:PRUPE_6G025400 transcript:ONH99337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGEWLPGTPETLKGSYVFVCSHGSRDRRCGVCGPPLITRFREEIELHGLQGKVSVSPCSHIGEHKYAGNVIIFGPNFNRKVTGHWYGYVAPEDVPVLLEQHIGKGEILDWLWRGQMGLSEEQQKKSQELRLHLNGETNVGKSATELTQPKEREMNTSVCRSQVEIGGCCQENRNSSCCQNAVFIEKLNSPDLNEMAAKETTDKKKSSRNLLSGINSGKGASTRKVCAMPTWFQSWEREDTYAAFAVVCAAVSVGIAYSCYKQLR >ONH99336 pep chromosome:Prunus_persica_NCBIv2:G6:2025597:2029476:1 gene:PRUPE_6G025400 transcript:ONH99336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARDRDDSLTFTNPSTSSSPIIISDPFDSFLSDPNSHIGSASGSFQNEGLLADTSSDAEFGFSRPEFRTSQLAGTVEFYQRHVFLCYKNPQVWPPRIEAAEFDRLPRLLYAAVMARRGDMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGEWLPGTPETLKGSYVFVCSHGSRDRRCGVCGPPLITRFREEIELHGLQGKVSVSPCSHIGEHKYAGNVIIFGPNFNRKVTGHWYGYVAPEDVPVLLEQHIGKGEILDWLWRGQMGLSEEQQKKSQELRLHLNGETNVGKSATELTQPKEREMNTSVCRSQVEIGGCCQENRNSSCCQNAVFIEKLNSPDLNEMAAKETTDKKKSSRNLLSGINSGKGASTRKVCAMPTWFQSWEREDTYAAFAVVCAAVSVGIAYSCYKQLR >ONI00339 pep chromosome:Prunus_persica_NCBIv2:G6:5636266:5637186:-1 gene:PRUPE_6G083500 transcript:ONI00339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ONI04873 pep chromosome:Prunus_persica_NCBIv2:G6:29637622:29638636:1 gene:PRUPE_6G345300 transcript:ONI04873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETDVKGKKARSPPSHPPFVEMITEAIVALKERTGSSQYAITKFVEEKNKNLPQTFRKLLLYNLKKLVASGKLVKVKNSFKLPPGRSAPVKEKPDAPKPKKSAEAVVKPRPKPKTVAKPKANVAAKPKPKAAAKPKLKAVAAKPKAAVKPKAKPAAAKPAAKVARTSTRTSPGKKVEVKAKAKKPVAPARAVKKPKSVKSPVKKKAQAKKGKK >ONI02250 pep chromosome:Prunus_persica_NCBIv2:G6:19552855:19556036:1 gene:PRUPE_6G187000 transcript:ONI02250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKHKAPVRSSEKMNSRVWLFSLLLTLQYGAQPLISKRCTRREVIVTSSVLTCEIAKVVCALIFMARDGSLKKVYKEWTLVGALTASGLPAAIYALQNSLLQISYKNLDSLTFSMLNQTKIIFTALFTYLILRQKQSIQQIGALFLLILAAILLSFGEGSKKGSSASNSDQILFNGIIPVMVASVLSGLASTLCQWASQVKKHSSYLMTVEMSIVGSLCLLASTFKSPDGEAIAKHGLFYGWTLMTWIPVMSNALGGILVGLVTSYAGGVKKGFVIVSALLVTALLQFIFEGKPPSLYCLVALPLVVSSISIYQKYPYRVKRKEL >ONI03086 pep chromosome:Prunus_persica_NCBIv2:G6:23773387:23777054:1 gene:PRUPE_6G237500 transcript:ONI03086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSGSRSNGARRRHGARQNHPSPPPPAPPQPEISPNRYVFAAATPYPTQYQNHNPNPNPNPPQYYPGYYPPPPMPMPLPAPYDHHHRVPHPHMDPAHPNWAGGRYRCGPVMQAPTPYVEHQKAVTIRNDVNLKKESLKVEPDEENPGSVLVSFTFDATVAGSITIIFFAKESEDCNLTPTKSNLPPVTVHFQQGLGQKFRQPSGTGINFSMFEETELLKVTDLDIYPIAVKAEASSPNQDGSEGNPVSVTTNSQITQAIFEREKGEFQVRVVKQILWVNGMRYELQEIYGIGNSVEGDLDGNDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVNNGLEE >ONI03085 pep chromosome:Prunus_persica_NCBIv2:G6:23773327:23777370:1 gene:PRUPE_6G237500 transcript:ONI03085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSGSRSNGARRRHGARQNHPSPPPPAPPQPEISPNRYVFAAATPYPTQYQNHNPNPNPNPPQYYPGYYPPPPMPMPLPAPYDHHHRVPHPHMDPAHPNWAGGRYRCGPVMQAPTPYVEHQKAVTIRNDVNLKKESLKVEPDEENPGSVLVSFTFDATVAGSITIIFFAKESEDCNLTPTKSNLPPVTVHFQQGLGQKFRQPSGTGINFSMFEETELLKVTDLDIYPIAVKAEASSPNQDGSEGNPVSVTTNSQITQAIFEREKGEFQVRVVKQILWVNGMRYELQEIYGIGNSVEGDLDGNDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVNNGLEE >ONH99294 pep chromosome:Prunus_persica_NCBIv2:G6:1889862:1891379:-1 gene:PRUPE_6G023600 transcript:ONH99294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRIALRLKSHLLRITTPIHPRPFIQSPPLIFSPLFDPHLQRSTNFLLPFTLRFLSTSRRGPIRPKPVDIAGRARQLQTRRLWTYALTFSCIAGFIVIVLNSFQDQLVFYVTPTDALEKYSANPSKSKFRIGGLVLEGSVLQPASSPEMEFVVTDLMTDILVRYKGSLPDLFREGHSVVVEGFVKPFTDEIRREISTKSVSEKARSGECYFAATEVLAKHDEKYMPGEVAEAIEKNKKLLEAGRAGGGESENPKSE >ONH99295 pep chromosome:Prunus_persica_NCBIv2:G6:1889862:1891378:-1 gene:PRUPE_6G023600 transcript:ONH99295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRIALRLKSHLLRITTPIHPRPFIQSPPLIFSPLFDPHLQRSTNFLLPFTLRFLSTSRRGPIRPKPVDIAGRARQLQTRRLWTYALTFSCIAGFIVIVLNSFQDQLVFYVTPTDALEKYSANPSKSKFRIGGLVLEGSVLQPASSPEMEFVVTDLMTDILVRYKGSLPDLFREGHSVVVEGFVKPFTDEIRREISTKSVSEKARSGECYFAATEVLAKHDEKYMPGEVAEAIEKNKKLLEAGRAGGGESENPKSE >ONI04648 pep chromosome:Prunus_persica_NCBIv2:G6:28955712:28960717:-1 gene:PRUPE_6G332600 transcript:ONI04648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRVLWMLITVTGLLLNPVGAQPHRILVDTDVNIDDVLALFYLLKQNNTQFDLKAITINANGWNDAGHAVNYLYDILFMMDRDDGASTAGGCRYRQEVPPGQDGRLDVNTNYGIRKALLPQGERKYVPLQQPTAQQVMKDAISAGPITVFLMGAHTNLAIFLMSNPHLKNNIKHIYAMGGAIRSTCSENATSSEAGLCGDIGNLYSQDSNPYAEYNIFVDPFAAYTVLHSGIPITVIPLDATRTIPVDEKFFSAFELKQETYEAHYSFQSLKMIRDTWPNKEFHKEFCMWDFFMVGVALSQMQSLERDEGENEFAKMEWRNISVITSNRPYGISDGSNPLIDGRSIPKFNVQKNGVHSGHVQLGMQDPFCLVKGRKGKCQDGYTKEVNGPEAVSVLVATEAKANRDVDSILAKEFYKSFLDVINRPKQIGQLNTRAGFPLYQSVLQKPDFGQELMGKPIVFDMDMSAGDFLALLYLLKLPVELINLKGILVSANGWATAATIEVVYDILHMMGRDDIPVGLGNVFAVGQSHSEFPSLGDCRYSSSIPQGSGGSLDSDTLYGFARDLPRSPRRYRPETTDYPGLGQPTALDVWKSIVKSLHPGSKITLLTNGPLTNLAQIILSENTDSVVQDVYIVGGHIPHDNEKGNLFTVPSNEYAEFNMFLDPLASKAVLDSKLNITLIPLRIQKQVSSFQNILVELQLTDKTPEAAFAQHLLSRLWELKQNHHRYLHMDTFLGEILGAVILAGGHPLLNQTFEFMPLKVVAEGDVSIIGQLLVDENQTKMVKILCSINPEAYYEQFANILGAKQLSAVIASFVEEKGTWATQPNKTCTINHLAN >ONI04649 pep chromosome:Prunus_persica_NCBIv2:G6:28955826:28960636:-1 gene:PRUPE_6G332600 transcript:ONI04649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRVLWMLITVTGLLLNPVGAQPHRILVDTDVNIDDVLALFYLLKQNNTQFDLKAITINANGWNDAGHAVNYLYDILFMMDRDDVPVGVGGEGGILPNGTILPNVGGYLPIIDQGASTAGGCRYRQEVPPGQDGRLDVNTNYGIRKALLPQGERKYVPLQQPTAQQVMKDAISAGPITVFLMGAHTNLAIFLMSNPHLKNNIKHIYAMGGAIRSTCSENATSSEAGLCGDIGNLYSQDSNPYAEYNIFVDPFAAYTVLHSGIPITVIPLDATRTIPVDEKFFSAFELKQETYEAHYSFQSLKMIRDTWPNKEFHKEFCMWDFFMVGVALSQMQSLERDEGENEFAKMEWRNISVITSNRPYGISDGSNPLIDGRSIPKFNVQKNGVHSGHVQLGMQDPFCLVKGRKGKCQDGYTKEVNGPEAVSVLVATEAKANRDVDSILAKEFYKSFLDVINRPKQIGQLNTRAGFPLYQSVLQKPDFGQELMGKPIVFDMDMSAGDFLALLYLLKLPVELINLKGILVSANGWATAATIEVVYDILHMMGRDDIPVGLGNVFAVGQSHSEFPSLGDCRYSSSIPQGSGGSLDSDTLYGFARDLPRSPRRYRPETTDYPGLGQPTALDVWKSIVKSLHPGSKITLLTNGPLTNLAQIILSENTDSVVQDVYIVGGHIPHDNEKGNLFTVPSNEYAEFNMFLDPLASKAVLDSKLNITLIPLRIQKQVSSFQNILVELQLTDKTPEAAFAQHLLSRLWELKQNHHRYLHMDTFLGEILGAVILAGGHPLLNQTFEFMPLKVVAEGDVSIIGQLLVDENQTKMVKILCSINPEAYYEQFANILGAKQLSAVIASFVEEKGTWATQPNKTCTINHLAN >ONH99771 pep chromosome:Prunus_persica_NCBIv2:G6:3532385:3534283:-1 gene:PRUPE_6G049700 transcript:ONH99771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGAPFELLLDRLEPPVSAVIADIEVRWGVGFGIRRNIPVSLFWTMSATFLSMLHRFNVFSQNKNIPVEFFDCGDQIPGISSTDLADLGEVFKGNDPKVIKLALECISWVPKAQYLLFTSVYELEPQIFDSLLKEVEVSQLSNVGLFTFTFSHLQAEFPFPVYPIGPAIPYLEPEHNCSVSAADNSIDYLKWLDYQPKGSVLYISLGSFLSVSSTQMDEIAAGLRNSGIRYLWVARGEASRLKESCNGEMGLVVSWCEQLKVLCHSSVGGFWTHCGWNSTLEAVFAGVPMLTFPLFLDQLPNSRQIVEELRIGGRVKTEVQDEKLMTREEIAELVKRFMDLENSDGKEMRKRAKERSDLCHQAIAKGGSSDTNLDNFIRDIGKAATF >ONI01081 pep chromosome:Prunus_persica_NCBIv2:G6:8964473:8968016:-1 gene:PRUPE_6G120600 transcript:ONI01081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGETTLEYTPTWVVAAYVKKKTHKNVFEALHKIKQGPPPSTDIKLIDIEYLKLHGHRYLYITAELVLGIIAVLLSVFQDRLGKICITEKQASHWLPCKKRGPLSKGDCSKGKVPLLTATAWQHLQLFIFVVATVHATFSILTILFARASIREWKHWEDSVANEYYTEEVRRRQSTHARLCIRVRGGNNPAFLSWLLAFFKQFFGSVTKADYKMVRLGFIDDHLCRGSKFNFYNYMIRVLEADFKRVVGISWYLWFYVVISLLLNIWGWHAYFWISIIPLIVSVGANFPTRIFGSKNSPFFFTASFSLQNKTNKRTTPEGCWPKALRCLSQFNRSVEKR >ONI02181 pep chromosome:Prunus_persica_NCBIv2:G6:18850463:18855278:1 gene:PRUPE_6G181700 transcript:ONI02181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGANSKTEKDEALRLCRERKRFIKQAIDSRYALAASHVSYINSLRNIGIALRRYAEAEVLIESSLSTSDKTPSHSSYPSPSPSPMAEASDSPMHSERPISPPVATLSYMRSGGGAAVTVRFNPLSSSYMDDDIPLPPPPPPLPEEDSSWDYFDPVDESESFRFVGNSGVDVNFDDIRGWRQVRGEETNHSVVEETRRWAKVGLDGNNEHHEGSKRLIIEQRASEGSGHSMTQNDSVEHNGNLMNSGGVDGSLQAGHGEARQLNMGRNANGAARNLTGQVALEQSGSKRREKDLCAEREDPSEFITHRAKDFLSSIKDIEHRFFRAGESGREVSRMLESNKIRVGYSEAKGRSSALAVVIAFQIVFCRGKTALVSHEPTQHATKVITWKRTTSSRSSSSRNALATASKDDVDDSGSDFVEEFCMIAGSHSSTLERLYAWERKLYDEVKASESIRKVYDQKCDQLRNQFAKDCSSQVIDKTRAVVKDLHSRIRVAIHAVDSISKRIEKMRDEELHPQLLELTQGLTRMWKAMLECHHAQYITISLAYHSKSSTVTSQGDSRRLIMAQLLDEIECFGLSFANWINSHTSYVEALNGWLHNCIMQPRERSKSRRPFSPRRVVAPPIFVLFRDWAVGIQALPSNELTDAIRTFLSDLRHLMAQQADSQKNQRTADANNGESENKDDENSEESSPNLSCIHSSLTKVLDRLTKFSEESLKMYEDIRQKSEAARIAYLNCRPIRY >ONI02183 pep chromosome:Prunus_persica_NCBIv2:G6:18850462:18855279:1 gene:PRUPE_6G181700 transcript:ONI02183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGANSKTEKDEALRLCRERKRFIKQAIDSRYALAASHVSYINSLRNIGIALRRYAEAEVLIESSLSTSDKTPSHSSYPSPSPSPMAEASDSPMHSERPISPPVATLSYMRSGGGAAVTVRFNPLSSSYMDDDIPLPPPPPPLPEEDSSWDYFDPVDESESFRFVGNSGVDVNFDDIRGWRQVRGEETNHSVVEETRRWAKVGLDGNNEHHEGSKRLIIEQRASEGSGHSMTQNDSVEHNGNLMNSGGVDGSLQAGHGEARQLNMGRNANGAARNLTGQVALEQSGSKRREKDLCAEREDPSEFITHRAKDFLSSIKDIEHRFFRAGESGREVSRMLESNKIRVGYSEAKGRSSALAVVIAFQIVFCRGKTALVSHEPTQHATKVITWKRTTSSRSSSSRNALATASKDDVDDSGSDFVEEFCMIAGSHSSTLERLYAWERKLYDEVKASESIRKVYDQKCDQLRNQFAKDCSSQVIDKTRAVVKDLHSRIRVAIHAVDSISKRIEKMRDEELHPQLLELTQGLTRMWKAMLECHHAQYITISLAYHSKSSTVTSQGDSRRLIMAQLLDEIECFGLSFANWINSHTSYVEALNGWLHNCIMQPRERSKSRRPFSPRRVVAPPIFVLFRDWAVGIQALPSNELTDAIRTFLSDLRHLMAQQADSQKNQRTADANNGESENKDDENSEESSPNLSCIHSSLTKVLDRLTKFSEESLKMYEDIRQKSEAARIAYLNCRPIRY >ONI02182 pep chromosome:Prunus_persica_NCBIv2:G6:18850463:18855278:1 gene:PRUPE_6G181700 transcript:ONI02182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGANSKTEKDEALRLCRERKRFIKQAIDSRYALAASHVSYINSLRNIGIALRRYAEAEVLIESSLSTSDKTPSHSSYPSPSPSPMAEASDSPMHSERPISPPVATLSYMRSGGGAAVTVRFNPLSSSYMDDDIPLPPPPPPLPEEDSSWDYFDPVDESESFRFVGNSGVDVNFDDIRGWRQVRGEETNHSVVEETRRWAKVGLDGNNEHHEGSKRLIIEQRASEGSGHSMTQNDSVEHNGNLMNSGGVDGSLQAGHGEARQLNMGRNANGAARNLTGQVALEQSGSKRREKDLCAEREDPSEFITHRAKDFLSSIKDIEHRFFRAGESGREVSRMLESNKIRVGYSEAKGRSSALAVVIAFQIVFCRGKTALVSHEPTQHATKVITWKRTTSSRSSSSRNALATASKDDVDDSGSDFVEEFCMIAGSHSSTLERLYAWERKLYDEVKASESIRKVYDQKCDQLRNQFAKDCSSQVIDKTRAVVKDLHSRIRVAIHAVDSISKRIEKMRDEELHPQLLELTQGLTRMWKAMLECHHAQYITISLAYHSKSSTVTSQGDSRRLIMAQLLDEIECFGLSFANWINSHTSYVEALNGWLHNCIMQPRERSKSRRPFSPRRVVAPPIFVLFRDWAVGIQALPSNELTDAIRTFLSDLRHLMAQQADSQKNQRTADANNGESENKDDENSEESSPNLSCIHSSLTKVLDRLTKFSEESLKMYEDIRQKSEAARIAYLNCRPIRY >ONH99442 pep chromosome:Prunus_persica_NCBIv2:G6:2288775:2294021:1 gene:PRUPE_6G029400 transcript:ONH99442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGSNSVVDMIEASSGVHFSGFHMDGLEQRQKVEQPTTSAHENMHKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELKRVHEYNFDHPDAFDTEKLLSSMDKLKHGQAVDIPNYDFKSYKNSVFPARRVNPSDVIILEGILVFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGTVLDQYSNFVKPAFDDFILPTKKYADIIIPRGGDNHIAVDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAQTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYIGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPNDISERHVLLLDPILGTGNSAVQAISLLLKKGVPESNIIFLNLISAPQGVHMVCKRFPRIKIVTSEIESGLNEDFRVVPGMGEFGDRYFGTDDDDQQVVSPSV >ONH99441 pep chromosome:Prunus_persica_NCBIv2:G6:2287598:2294027:1 gene:PRUPE_6G029400 transcript:ONH99441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGSNSVVDMIEASSGVHFSGFHMDGLEQRQKVEQPTTSAHENMHKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELKRVHEYNFDHPDAFDTEKLLSSMDKLKHGQAVDIPNYDFKSYKNSVFPARRVNPSDVIILEGILVFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGTVLDQYSNFVKPAFDDFILPTKKYADIIIPRGGDNHIAVDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAQTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYIGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPNDISERHVLLLDPILGTGNSAVQAISLLLKKGVPESNIIFLNLISAPQGVHMVCKRFPRIKIVTSEIESGLNEDFRVVPGMGEFGDRYFGTDDDDQQVVSPSV >ONH99440 pep chromosome:Prunus_persica_NCBIv2:G6:2287512:2294027:1 gene:PRUPE_6G029400 transcript:ONH99440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGSNSVVDMIEASSGVHFSGFHMDGLEQRQKVEQPTTSAHENMHKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELKRVHEYNFDHPDAFDTEKLLSSMDKLKHGQAVDIPNYDFKSYKNSVFPARRVNPSDVIILEGILVFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGTVLDQYSNFVKPAFDDFILPTKKYADIIIPRGGDNHIAVDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAQTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYIGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPNDISERHVLLLDPILGTGNSAVQAISLLLKKGVPESNIIFLNLISAPQGVHMVCKRFPRIKIVTSEIESGLNEDFRVVPGMGEFGDRYFGTDDDDQQVVSPSV >ONH99439 pep chromosome:Prunus_persica_NCBIv2:G6:2287656:2294021:1 gene:PRUPE_6G029400 transcript:ONH99439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGSNSVVDMIEASSGVHFSGFHMDGLEQRQKVEQPTTSAHENMHKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELKRVHEYNFDHPDAFDTEKLLSSMDKLKHGQAVDIPNYDFKSYKNSVFPARRVNPSDVIILEGILVFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGTVLDQYSNFVKPAFDDFILPTKKYADIIIPRGGDNHIAVDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAQTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYIGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPNDISERHVLLLDPILGTGNSAVQAISLLLKKGVPESNIIFLNLISAPQGVHMVCKRFPRIKIVTSEIESGLNEDFRVVPGMGEFGDRYFGTDDDDQQVVSPSV >ONH99443 pep chromosome:Prunus_persica_NCBIv2:G6:2287749:2294069:1 gene:PRUPE_6G029400 transcript:ONH99443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGSNSVVDMIEASSGVHFSGFHMDGLEQRQKVEQPTTSAHENMHKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELKRVHEYNFDHPDAFDTEKLLSSMDKLKHGQAVDIPNYDFKSYKNSVFPARRVNPSDVIILEGILVFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGTVLDQYSNFVKPAFDDFILPTKKYADIIIPRGGDNHIAVDLIVQHIRTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAQTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYIGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPNDISERHVLLLDPILGTGNSAVQAISLLLKKGVPESNIIFLNLISAPQGVHMVCKRFPRIKIVTSEIESGLNEDFRVVPGMGEFGDRYFGTDDDDQQVVSPSV >ONI02983 pep chromosome:Prunus_persica_NCBIv2:G6:23449546:23452337:-1 gene:PRUPE_6G231900 transcript:ONI02983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSELVYGGPRATALPSVLLRHSRAGPRCSYSSATPDHVSFIKEVAATQPPQHLSQLLTMLKTKGAKEGLIPLAIPLAKNSSGAVTALLRWPTAPSGMDMPVVEVRKHGVWLLAKNVDQLIHRILVEEDAKNSGESNDELFRASADVGEKLYKKGDFAKSQISKLDIYLLRKVGLFPDVLERKVKWHFEEGDHVSALVTGEFYTKKEHFPGFARPYVFNAEVLLKFVGNFSRLGVLLKQKMLLGELLNHHGGLWVAATRKLLI >ONI02981 pep chromosome:Prunus_persica_NCBIv2:G6:23449546:23452337:-1 gene:PRUPE_6G231900 transcript:ONI02981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSELVYGGPRATALPSVLLRHSRAGPRCSYSSATPDHVSFIKEVAATQPPQHLSQLLTMLKTKGAKEGLIPLAIPLAKNSSGAVTALLRWPTAPSGMDMPVVEVRKHGVWLLAKNVDQLIHRILVEEDAKNSGESNDELFRASADVGEKLYKKGDFAKSQISKLDIYLLRKVGLFPDVLERKVKWHFEEGDHVSALVTGEFYTKKEHFPGFARPYVFNAEVLLKVGRTVEAKDAARGALKSPWWTLGCSYQEVADIAQWEDEQIEYIKEKVTDEGRLHWMKQLFCWI >ONI02984 pep chromosome:Prunus_persica_NCBIv2:G6:23450295:23452264:-1 gene:PRUPE_6G231900 transcript:ONI02984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSELVYGGPRATALPSVLLRHSRAGPRCSYSSATPDHVSFIKEVAATQPPQHLSQLLTMLKTKGAKEGLIPLAIPLAKNSSGAVTALLRWPTAPSGMDMPVVEVRKHGVWLLAKNVDQLIHRILVEEDAKNSGESNDELFRASADVGEKLYKKGDFAKSQISKLDIYLLRKVGLFPDVLERKVKWHFEEGDHVSALVTGEFYTKKEHFPGFARPYVFNAEVLLKFVGNFSRLGVLLKQKMLLGELLNHHGGLWVAATRKLLI >ONI02982 pep chromosome:Prunus_persica_NCBIv2:G6:23449546:23452337:-1 gene:PRUPE_6G231900 transcript:ONI02982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSELVYGGPRATALPSVLLRHSRAGPRCSYSSATPDHVSFIKEVAATQPPQHLSQLLTMLKTKGESIISPGAKEGLIPLAIPLAKNSSGAVTALLRWPTAPSGMDMPVVEVRKHGVWLLAKNVDQLIHRILVEEDAKNSGESNDELFRASADVGEKLYKKGDFAKSQISKLDIYLLRKVGLFPDVLERKVKWHFEEGDHVSALVTGEFYTKKEHFPGFARPYVFNAEVLLKVGRTVEAKDAARGALKSPWWTLGCSYQEVADIAQWEDEQIEYIKEKVTDEGRLHWMKQLFCWI >ONI02980 pep chromosome:Prunus_persica_NCBIv2:G6:23449976:23452264:-1 gene:PRUPE_6G231900 transcript:ONI02980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSELVYGGPRATALPSVLLRHSRAGPRCSYSSATPDHVSFIKEVAATQPPQHLSQLLTMLKTKGESIISPGAKEGLIPLAIPLAKNSSGAVTALLRWPTAPSGMDMPVVEVRKHGVWLLAKNVDQLIHRILVEEDAKNSGESNDELFRASADVGEKLYKKGDFAKSQISKLDIYLLRKVGLFPDVLERKVKWHFEEGDHVSALVTGEFYTKKEHFPGFARPYVFNAEVLLKVGRTVEAKDAARGALKSPWWTLGCSYQEVADIAQWEDEQIEYIKEKVTDEGRQADLKKGKAPAQVALDEAAFLLDLASIEGTWDDHVEQVADRYREAGLTDFARFVQYRD >ONI02985 pep chromosome:Prunus_persica_NCBIv2:G6:23450295:23452264:-1 gene:PRUPE_6G231900 transcript:ONI02985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSELVYGGPRATALPSVLLRHSRAGPRCSYSSATPDHVSFIKEVAATQPPQHLSQLLTMLKTKGESIISPGAKEGLIPLAIPLAKNSSGAVTALLRWPTAPSGMDMPVVEVRKHGVWLLAKNVDQLIHRILVEEDAKNSGESNDELFRASADVGEKLYKKGDFAKSQISKLDIYLLRKVGLFPDVLERKVKWHFEEGDHVSALVTGEFYTKKEHFPGFARPYVFNAEVLLKFVGNFSRLGVLLKQKMLLGELLNHHGGLWVAATRKLLI >ONI02986 pep chromosome:Prunus_persica_NCBIv2:G6:23450295:23452264:-1 gene:PRUPE_6G231900 transcript:ONI02986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSELVYGGPRATALPSVLLRHSRAGPRCSYSSATPDHVSFIKEVAATQPPQHLSQLLTMLKTKGESIISPGAKEGLIPLAIPLAKNSSGAVTALLRWPTAPSGMDMPVVEVRKHGVWLLAKNVDQLIHRILVEEDAKNSGESNDELFRASADVGEKLYKKGDFAKSQISKLDIYLLRKVGLFPDVLERKVKWHFEEGDHVSALVTGEFYTKKEHFPGFARPYVFNAEVLLKFVGNFSRLGVLLKQKMLLGELLNHHGGLWVAATRKLLI >ONI02979 pep chromosome:Prunus_persica_NCBIv2:G6:23449976:23452264:-1 gene:PRUPE_6G231900 transcript:ONI02979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSELVYGGPRATALPSVLLRHSRAGPRCSYSSATPDHVSFIKEVAATQPPQHLSQLLTMLKTKGAKEGLIPLAIPLAKNSSGAVTALLRWPTAPSGMDMPVVEVRKHGVWLLAKNVDQLIHRILVEEDAKNSGESNDELFRASADVGEKLYKKGDFAKSQISKLDIYLLRKVGLFPDVLERKVKWHFEEGDHVSALVTGEFYTKKEHFPGFARPYVFNAEVLLKVGRTVEAKDAARGALKSPWWTLGCSYQEVADIAQWEDEQIEYIKEKVTDEGRQADLKKGKAPAQVALDEAAFLLDLASIEGTWDDHVEQVADRYREAGLTDFARFVQYRD >ONI04240 pep chromosome:Prunus_persica_NCBIv2:G6:27848308:27852437:-1 gene:PRUPE_6G310800 transcript:ONI04240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPGTRTEVRKKSYKTGVDADQARRRREDNLVEIRKNKREDNLLKKRREGLPPSQQQLLDGTQTAVVFEKRLESIPSMVQGVWSDDPALQLEATTQFRKLLSIERSPPIDEVIKAGAVPRFVEFLGRHDMPQLQFEAAWALTNVASGTSDHTRVVIEHGAVPMFVQLLSSGSDDVREQAVWALGNVAGDSPSCRDLVLGQGALMPLLAQLNEHSKLSMLRNATWTLSNFCRGKPATPFDQVKPALPILRQLIYLNDEEVLTDACWALSYLSDGPNDKIQAVIEAGVCQRLMELLTHPSPTVLIPALRTVGNIVTGDDSQTQFVIDNQVLPHLHQLLTQNHKKSIKKEACWTISNITAGNKIQIQAVIEADIILPLVQVLQHAEFDIKKEAAWAISNATSGGSHEQIQIDSTIFGVLPH >ONI04238 pep chromosome:Prunus_persica_NCBIv2:G6:27847400:27852437:-1 gene:PRUPE_6G310800 transcript:ONI04238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPGTRTEVRKKSYKTGVDADQARRRREDNLVEIRKNKREDNLLKKRREGLPPSQQQLLDGTQTAVVFEKRLESIPSMVQGVWSDDPALQLEATTQFRKLLSIERSPPIDEVIKAGAVPRFVEFLGRHDMPQLQFEAAWALTNVASGTSDHTRVVIEHGAVPMFVQLLSSGSDDVREQAVWALGNVAGDSPSCRDLVLGQGALMPLLAQLNEHSKLSMLRNATWTLSNFCRGKPATPFDQVKPALPILRQLIYLNDEEVLTDACWALSYLSDGPNDKIQAVIEAGVCQRLMELLTHPSPTVLIPALRTVGNIVTGDDSQTQFVIDNQVLPHLHQLLTQNHKKSIKKEACWTISNITAGNKIQIQAVIEADIILPLVQVLQHAEFDIKKEAAWAISNATSGGSHEQIQHLASRGCIKPLCDLLICPDPRIITVCLEGLENILKVGEADKEMGMNDGINLYAQLVDECEGLDKIENLQTHDNNEIYEKAVKILERYWAEEEEGQNIQENGDGNQHDFTFGANQPNPPPGGFKF >ONI04241 pep chromosome:Prunus_persica_NCBIv2:G6:27848942:27852426:-1 gene:PRUPE_6G310800 transcript:ONI04241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPGTRTEVRKKSYKTGVDADQARRRREDNLVEIRKNKREDNLLKKRREGLPPSQQQLLDGTQTAVVFEKRLESIPSMVQGVWSDDPALQLEATTQFRKLLSIERSPPIDEVIKAGAVPRFVEFLGRHDMPQLQFEAAWALTNVASGTSDHTRVVIEHGAVPMFVQLLSSGSDDVREQAVWALGNVAGDSPSCRDLVLGQGALMPLLAQLNEHSKLSMLRNATWTLSNFCRGKPATPFDQVKPALPILRQLIYLNDEEVLTDACWALSYLSDGPNDKIQAVIEAGVCQRLMELLTHPSPTVLIPALRTVGNIVTGDDSQTQFVIDNQVLPHLHQLLTQNHKKSIKKEACWTISNITAGNKIQIQGGCLVFHNHLYK >ONI04239 pep chromosome:Prunus_persica_NCBIv2:G6:27847538:27852573:-1 gene:PRUPE_6G310800 transcript:ONI04239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPGTRTEVRKKSYKTGVDADQARRRREDNLVEIRKNKREDNLLKKRREGLPPSQQQLLDGTQTAVVFEKRLESIPSMVQGVWSDDPALQLEATTQFRKLLSIERSPPIDEVIKAGAVPRFVEFLGRHDMPQLQFEAAWALTNVASGTSDHTRVVIEHGAVPMFVQLLSSGSDDVREQAVWALGNVAGDSPSCRDLVLGQGALMPLLAQLNEHSKLSMLRNATWTLSNFCRGKPATPFDQVKPALPILRQLIYLNDEEVLTDACWALSYLSDGPNDKIQAVIEAGVCQRLMELLTHPSPTVLIPALRTVGNIVTGDDSQTQFVIDNQVLPHLHQLLTQNHKKSIKKEACWTISNITAGNKIQIQAVIEADIILPLVQVLQHAEFDIKKEAAWAISNATSGGSHEQIQHLASRGCIKPLCDLLICPDPRIITVCLEGLENILKVGEADKEMGMNDGINLYAQLVDECEGLDKIENLQTHDNNEIYEKAVKILERYWAEEEEGQNIQENGDGNQHDFTFGANQPNPPPGGFKF >ONI03816 pep chromosome:Prunus_persica_NCBIv2:G6:26417799:26421495:1 gene:PRUPE_6G284300 transcript:ONI03816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLSHHLLCIYSSKTNPPFSKTHSLIRNPKRSIGLRTLLKSSSPKNSNTSLQSSQEEPKPIVTPKQTNRPGPNPPSKLSYFDSFFIRLRRLRDESFMFDGIAVDILSIALPAALALAADPITSLVDTAFVGHLGSVELAAVGVSASVFNLVSKLFNVPLLNITTSFVAEEQALAIKAEDSSNSIGPDDCQGDSQSKKLLPSVSTSLGLAATIGIAEAVALFLGSGVLMNVMGIPADSPMRIPAEQFLALRAFGAPPIVIALAAQGTFRGFKDTKTPLYAVVAGNILNAILDVILIFIFDFGIRGAAIATVISEYLIAAILLWKLNSKVFLVPSYIDGRRIIGYLQSGGLLIGRSLAVVLTTTLATSVAAREGPIPMAGHQICIQVWLAISLLTDALALAGQTLLASGYSQQNYDQARRVIYRVLQLGLVMGTGLAVILFISFKPFSSLFSTDPQVLTIAWSGILFVAGSQPINALAFVLDGLYYGVSDFGYASYSMVLVGLISSIFILVASPAFGLAGVWTGLFLFMALRVIAGMWRLGTKSGPWKLVWDKRKLES >ONI00795 pep chromosome:Prunus_persica_NCBIv2:G6:7469751:7470804:1 gene:PRUPE_6G105400 transcript:ONI00795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLVLMLVSLSLILINIISLPLPTMAISSCKGPCKTPNDCAGQLTCINRKCNDDPAVGTHICSGGGGGGGGGGGGGGGNCKSSGTLNCGGKSFPQFTCSPPVTSSTSAKLTLNDFSEGGEGGDPSECDGRFHSNSERVVALSTGWYDEGSRCGRLIRITASNGRSVTAKVVDECDSVNGCDREHAGLPPCRNNIVDGSAAVWNVLGLNKDLGIVDVTWSLA >ONI00010 pep chromosome:Prunus_persica_NCBIv2:G6:4315754:4319953:1 gene:PRUPE_6G062400 transcript:ONI00010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAIRSSYLTLTRDRSVPIPETPKLQPFPRFFDSTNRNNRFRDVSSGSSLLRTRLRHDSAEFRTALSHRVGVSSKNAQFDSFPDENEAQAPSFAEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLSNGWSRSFAGIVQSSFLWGYLISPIAGGTLVDYYGGKVVMAWGVALWSLATFLTPWAAETSLWALLAMRALLGIAEGVALPCMNNMVARWFPQTERARAVGIAMAGFQLGSSVGLMLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSATSSTPDRNPQISKYELEYILNERKKSLVENKPKTTKVIPPFRRLLSKLPTWSLIIANAMHSWGFFIFLSWMPIYFNSVYHVDLRQAAWFSAVPWTVMAIMGYIGGLWSDIMIRNGMSVTLTRKIMQSIGFLGPGIALVGLTTAKSPAVASAWLTLAVGLKSFSHSGFLVNLQEIAPQYSGVLHGISNTGGTLAAILGTVGAGFFVELVGSFQGFLLFTSLLYVLAALFYNVFSTGERVNFDEPRLMSIT >ONI00009 pep chromosome:Prunus_persica_NCBIv2:G6:4315755:4319953:1 gene:PRUPE_6G062400 transcript:ONI00009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAIRSSYLTLTRDRSVPIPETPKLQPFPRFFDSTNRNNRFRDVSSGSSLLRTRLRHDSAEFRTALSHRVGVSSKNAQFDSFPDENEAQAPSFAEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLSNGWSRSFAGIVQSSFLWGYLISPIAGGTLVDYYGGKVVMAWGVALWSLATFLTPWAAETSLWALLAMRALLGIAEGVALPCMNNMVARWFPQTERARAVGIAMAGFQLGSSVGLMLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSATSSTPDRNPQISKYELEYILNERKKSLVENKPKTTKVIPPFRRLLSKLPTWSLIIANAMHSWGFFIFLSWMPIYFNSVYHVDLRQAAWFSAVPWTVMAIMGYIGGLWSDIMIRNGMSVTLTRKIMQSIGFLGPGIALVGLTTAKSPAVASAWLTLAVGLKSFSHSGFLVNLQEIAPQYSGVLHGISNTGGTLAAILGTVGAGFFVELVGSFQGFLLFTSLLYVLAALFYNVFSTGERVNFDEPRLMSIT >ONI00008 pep chromosome:Prunus_persica_NCBIv2:G6:4315755:4319953:1 gene:PRUPE_6G062400 transcript:ONI00008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAIRSSYLTLTRDRSVPIPETPKLQPFPRFFDSTNRNNRFRDVSSGSSLLRTRLRHDSAEFRTALSHRVGVSSKNAQFDSFPDENEAQAPSFAEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLSNGWSRSFAGIVQSSFLWGYLISPIAGGTLVDYYGGKVVMAWGVALWSLATFLTPWAAETSLWALLAMRALLGIAEGVALPCMNNMVARWFPQTERARAVGIAMAGFQLGSSVGLMLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSATSSTPDRNPQISKYELEYILNERKKSLVENKPKTTKVIPPFRRLLSKLPTWSLIIANAMHSWGFFIFLSWMPIYFNSVYHVDLRQAAWFSAVPWTVMAIMGYIGGLWSDIMIRNGMSVTLTRKIMQSIGFLGPGIALVGLTTAKSPAVASAWLTLAVGLKSFSHSGFLVNLQEIAPQYSGVLHGISNTGGTLAAILGTVGAGFFVELVGSFQGFLLFTSLLYVLAALFYNVFSTGERVNFDEPTHE >ONI00011 pep chromosome:Prunus_persica_NCBIv2:G6:4315755:4319953:1 gene:PRUPE_6G062400 transcript:ONI00011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAIRSSYLTLTRDRSVPIPETPKLQPFPRFFDSTNRNNRFRDVSSGSSLLRTRLRHDSAEFRTALSHRVGVSSKNAQFDSFPDENEAQAPSFAEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLSNGWSRSFAGIVQSSFLWGYLISPIAGGTLVDYYGGKVVMAWGVALWSLATFLTPWAAETSLWALLAMRALLGIAEGVALPCMNNMVARWFPQTERARAVGIAMAGFQLGSSVGLMLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSATSSTPDRNPQISKYELEYILNERKKSLVENKPKTTKVIPPFRRLLSKLPTWSLIIANAMHSWGFFIFLSWMPIYFNSVYHVDLRQAAWFSAVPWTVMAIMGYIGGLWSDIMIRNGMSVTLTRKIMQSIGFLGPGIALVGLTTAKSPAVASAWLTLAVGLKSFSHSGFLVNLQEIAPQYSGVLHGISNTGGTLAAILGTVGAGFFVELVGSFQGFLLFTSLLYVLAALFYNVFSTGERVNFDEPRLMSIT >ONI00007 pep chromosome:Prunus_persica_NCBIv2:G6:4315755:4319953:1 gene:PRUPE_6G062400 transcript:ONI00007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAIRSSYLTLTRDRSVPIPETPKLQPFPRFFDSTNRNNRFRDVSSGSSLLRTRLRHDSAEFRTALSHRVGVSSKNAQFDSFPDENEAQAPSFAEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLSNGWSRSFAGIVQSSFLWGYLISPIAGGTLVDYYGGKVVMAWGVALWSLATFLTPWAAETSLWALLAMRALLGIAEGVALPCMNNMVARWFPQTERARAVGIAMAGFQLGSSVGLMLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSATSSTPDRNPQISKYELEYILNERKKSLVENKPKTTKVIPPFRRLLSKLPTWSLIIANAMHSWGFFIFLSWMPIYFNSVYHVDLRQAAWFSAVPWTVMAIMGYIGGLWSDIMIRNGMSVTLTRKIMQSIGFLGPGIALVGLTTAKSPAVASAWLTLAVGLKSFSHSGFLVNLQEIAPQYSGVLHGISNTGGTLAAILGTVGAGFFVELVGSFQGFLLFTSLLYVLAALFYNVFSTGERVNFDEPTHE >ONI00359 pep chromosome:Prunus_persica_NCBIv2:G6:5695207:5698780:-1 gene:PRUPE_6G084600 transcript:ONI00359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVRGVRKRKKADKKPEENASGSGSSEKEGPVDWWDEFSKRINGLQSPAKGLDKFESVFKVSRKTFDYICSLVNEDMLAKSAHFVFTNGKPLCLYDQVAVALRRLSSGESLVTIGDAFGVNHSTVSQVTWRFVEAMEERGLHHLQWPSTEAEMSEIKSKFQKIRGFPNCSGVVDTTHIMMCLPASDPTSDAWLDQEKNHSMVLQAIVDPDMRFRDIVTGWPGKMKDWTVFQSSNFYELCDRGERLNGKILDLSKELDIREYIIGDLGFPLLPYLVTPYEGKELPEPKAEFNKRHYATRMVAHRALARLKDKWRIIQGVMWRPDKHRLPRIILVCCLLHNIVIDMEDEVQDEMPLSHKHDSAYHQQICGTVDVNGVHLRDKLSLYLSGRMPMPP >ONI02693 pep chromosome:Prunus_persica_NCBIv2:G6:22304756:22306608:1 gene:PRUPE_6G215600 transcript:ONI02693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTILALLLVFLSALNAQPDISRTILVDKRGGGNFTTLKQAIDSIPSNNLLWTRITTVEKIVIPKDKPYIILQGDLKYPSVVEYGDGGNVVESPTFKLEADNFVARNIVFKNTYNRPNNGKKIIQAPAASLTGDKASFYHCKFISVQDTLHDGLGRHYFNDCFVEGAIDFIWGNGQSIYEKCKIISVTDRIGRAGFITAQGRSAANEPTGYVFNDCHVNGTGPIFLGRPYRNYSRVVFASTYMENIITPEGWSEWLTGPL >ONI03105 pep chromosome:Prunus_persica_NCBIv2:G6:23851139:23856276:-1 gene:PRUPE_6G239000 transcript:ONI03105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKEGRSLEETPTWAVALVCFVLVLISILIEYVIHLIGKWLTRKHKRALYEALEKIKSELMLLGFLSLLLTVGQGPISDICISKAVGATWHPCSKKEEIDDDKDKSSSSDGGDRRRLLSVAGAGARRVLAAAGKDKCAAKNKVPFVSFYGIHQLHILIFVLAVFHVLYCIITLVLGRAKMRRWKIWESETRTADYQFSHDPERFRFARDTSFGRRHLSFWSRSPISLWIVCFFRQFVRSVPKVDYLTLRHGFIIAHLAPQSQAKFDFQKYINRSLEEDFKVVVGISPTIWFFAVVFLMSNTHGSRSYLWLPFLPLIMILLVGTKLQVIITKMGLKLKERGEVVKGTPLVEPGDHLFWFNNPRLILYLIHFVLFQNAFQLAFFAWTWYEFGLKSCFHEKLEDVILRVSMGVIIQILCSYVTLPLYALVTQMGSTMKPTIFNDRVANAIKKWHLSAKKHLKHNKNSPTSVPGTPLHSMSPVHLLRHYQSEHDVDSAHTLQRMSYFDADGPNSSPSPHQDDLSWSNQGRSHGQEEEISAHESNAMNNLKAGYGSRSQHEIQIHSAEFTFEKSERS >ONI00042 pep chromosome:Prunus_persica_NCBIv2:G6:4478657:4485294:1 gene:PRUPE_6G064700 transcript:ONI00042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMAGSSGCAFGNSPVVCNRCGIQIVLRQAQEHAQNCPGVHPQAQQADGALDTSASGTSATADQTQAATQSGVAMSQVQIFQITIVTPPGSDPDQEANSSSQAVVQAAVQTAEQWYQHQQQYQQYYQAAVQQSQQRHLQSHPPYGTVQHQPRAYLQSRPQTQPSLRAQLSQSQAQPKPQIQPPHVQVPVASQTQNQAQVNKQQQLRPAVQTYPAARRQPLPQPQYFQAPSHSQPHPQHVQMPHNQQAQIQQHTHSKIHPQHHPISLPQPHSQPQPHPQLQRLPQPHPQLHPSQPMNTTVQPQTQHPSSHAVTGNHLYPQPHLHQPVQSGAPQQRTMDMQSHGVPHSQSQTPVQIQSQPPQQPPVMRLPPSHIPNQQQPALLPSPGQIRNINPAQQQPVHSYAQQPGNTVQQRPLMHAVQRSIPRQYLHHQPYVQQQPPTQLHPRGQSHSFPLHVHAYTQSQRNIALSQGIQLSQSNLGGSRRPMMPIHGVQSQTSVQTAGGLHMRPVHPTVNLPSTNHSNMVRTKNLVQSGASWRPTTSERHAEEESESSAQQIAKNVTHDVGTASAVVGDAEVKTVKSDMDMKSIDNENKPTGEDKTNHGDTSSKEIPDIHALENGESVSQSMLNAADQNKVRKSGVARGRHYRGVRQRRFGKFTAEIRDPAKKVSRKRVSLGCYETSEEAALAYDSAAQRIHGSKALLNFPI >ONI00044 pep chromosome:Prunus_persica_NCBIv2:G6:4479257:4485047:1 gene:PRUPE_6G064700 transcript:ONI00044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMAGSSGCAFGNSPVVCNRCGIQIVLRQAQEHAQNCPGVHPQAQQADGALDTSASGTSATADQTQAATQSGVAMSQVQIFQITIVTPPGSDPDQEANSSSQAVVQAAVQTAEQWYQHQQQYQQYYQAAVQQSQQRHLQSHPPYGTVQHQPRAYLQSRPQTQPSLRAQLSQSQAQPKPQIQPPHVQVPVASQTQNQAQVNKQQQLRPAVQTYPAARRQPLPQPQYFQAPSHSQPHPQHVQMPHNQQAQIQQHTHSKIHPQHHPISLPQPHSQPQPHPQLQRLPQPHPQLHPSQPMNTTVQPQTQHPSSHAVTGNHLYPQPHLHQPVQSGAPQQRTMDMQSHGVPHSQSQTPVQIQSQPPQQPPVMRLPPSHIPNQQQPALLPSPGQIRNINPAQQQPVHSYAQQPGNTVQQRPLMHAVQRSIPRQYLHHQPYVQQQPPTQLHPRGQSHSFPLHVHAYTQSQRNIALSQGIQLSQSNLGGSRRPMMPIHGVQSQTSVQTAGGLHMRPVHPTVNLPSTNHSNMVRTKNLVQSGASWRPTTSERHAEEESESSAQQIAKNVTHDVGTASAVVGDAEVKTVKSDMDMKSIDNENKPTGEDKTNHGDTSSKEIPDIHALENGESVSQSMLNAADQNKVRKSGVARGRHYRGVRQRRFGKFTAEIRDPAKKVSRKRVSLGCYETSEEAALAYDSAAQRIHGSKALLNFPI >ONI00045 pep chromosome:Prunus_persica_NCBIv2:G6:4479094:4482554:1 gene:PRUPE_6G064700 transcript:ONI00045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMAGSSGCAFGNSPVVCNRCGIQIVLRQAQEHAQNCPGVHPQAQQADGALDTSASGTSATADQTQAATQSGVAMSQVQIFQITIVTPPGSDPDQEANSSSQAVVQAAVQTAEQWYQHQQQYQQYYQAAVQQSQQRHLQSHPPYGTVQHQPRAYLQSRPQTQPSLRAQLSQSQAQPKPQIQPPHVQVPVASQTQNQAQVNKQQQLRPAVQTYPAARRQPLPQPQYFQAPSHSQPHPQHVQMPHNQQAQIQQHTHSKIHPQHHPISLPQPHSQPQPHPQLQRLPQPHPQLHPSQPMNTTVQPQTQHPSSHAVTGNHLYPQPHLHQPVQSGAPQQRTMDMQSHGVPHSQSQTPVQIQSQPPQQPPVMRLPPSHIPNQQQPALLPSPGQIRNINPAQQQPVHSYAQQPGNTVQQRPLMHAVQRSIPRQYLHHQPYVQQQPPTQLHPRGQSHSFPLHVHAYTQSQRNIALSQGIQLSQSNLGGSRRPMMPIHGVQSQTSVQTAGGLHMRPVHPTVNLPSTNHSNMVRTKNLVQSGASWRPTTSERHAEEESESSAQQIAKNVTHDVGTASAVVGDAEVKTVKSDMDMKSIDNENKPTGEDKTNHGDTSSKEIPDIHALENGESVSQSMLNAADQNKVRKSGVARGRHYRGVRQRRFGKFTAEIRDPAKKVSRKRVSLGCYETSEEAALAYDSAAQRIHGSKALLNFPI >ONI00043 pep chromosome:Prunus_persica_NCBIv2:G6:4478680:4485047:1 gene:PRUPE_6G064700 transcript:ONI00043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMAGSSGCAFGNSPVVCNRCGIQIVLRQAQEHAQNCPGVHPQAQQADGALDTSASGTSATADQTQAATQSGVAMSQVQIFQITIVTPPGSDPDQEANSSSQAVVQAAVQTAEQWYQHQQQYQQYYQAAVQQSQQRHLQSHPPYGTVQHQPRAYLQSRPQTQPSLRAQLSQSQAQPKPQIQPPHVQVPVASQTQNQAQVNKQQQLRPAVQTYPAARRQPLPQPQYFQAPSHSQPHPQHVQMPHNQQAQIQQHTHSKIHPQHHPISLPQPHSQPQPHPQLQRLPQPHPQLHPSQPMNTTVQPQTQHPSSHAVTGNHLYPQPHLHQPVQSGAPQQRTMDMQSHGVPHSQSQTPVQIQSQPPQQPPVMRLPPSHIPNQQQPALLPSPGQIRNINPAQQQPVHSYAQQPGNTVQQRPLMHAVQRSIPRQYLHHQPYVQQQPPTQLHPRGQSHSFPLHVHAYTQSQRNIALSQGIQLSQSNLGGSRRPMMPIHGVQSQTSVQTAGGLHMRPVHPTVNLPSTNHSNMVRTKNLVQSGASWRPTTSERHAEEESESSAQQIAKNVTHDVGTASAVVGDAEVKTVKSDMDMKSIDNENKPTGEDKTNHGDTSSKEIPDIHALENGESVSQSMLNAADQNKVRKSGVARGRHYRGVRQRRFGKFTAEIRDPAKKVSRKRVSLGCYETSEEAALAYDSAAQRIHGSKALLNFPI >ONI00046 pep chromosome:Prunus_persica_NCBIv2:G6:4478651:4485216:1 gene:PRUPE_6G064700 transcript:ONI00046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMAGSSGCAFGNSPVVCNRCGIQIVLRQAQEHAQNCPGVHPQAQQADGALDTSASGTSATADQTQAATQSGVAMSQVQIFQITIVTPPGSDPDQEANSSSQAVVQAAVQTAEQWYQHQQQYQQYYQAAVQQSQQRHLQSHPPYGTVQHQPRAYLQSRPQTQPSLRAQLSQSQAQPKPQIQPPHVQVPVASQTQNQAQVNKQQQLRPAVQTYPAARRQPLPQPQYFQAPSHSQPHPQHVQMPHNQQAQIQQHTHSKIHPQHHPISLPQPHSQPQPHPQLQRLPQPHPQLHPSQPMNTTVQPQTQHPSSHAVTGNHLYPQPHLHQPVQSGAPQQRTMDMQSHGVPHSQSQTPVQIQSQPPQQPPVMRLPPSHIPNQQQPALLPSPGQIRNINPAQQQPVHSYAQQPGNTVQQRPLMHAVQRSIPRQYLHHQPYVQQQPPTQLHPRGQSHSFPLHVHAYTQSQRNIALSQGIQLSQSNLGGSRRPMMPIHGVQSQTSVQTAGGLHMRPVHPTVNLPSTNHSNMVRTKNLVQSGASWRPTTSERHAEEESESSAQQIAKNVTHDVGTASAVVGDAEVKTVKSDMDMKSIDNENKPTGEDKTNHGDTSSKEIPDIHALENGESVSQSMLNAADQNKVRKSGVARGRHYRGVRQRRFGKFTAEIRDPAKKVSRKRVSLGCYETSEEAALAYDSAAQRIHGSKALLNFPI >ONI00870 pep chromosome:Prunus_persica_NCBIv2:G6:7762696:7764905:-1 gene:PRUPE_6G108800 transcript:ONI00870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNKSGGENNNNDVAEKKNGDGGEKNSGAGGEKKKEDGPIPVVLKVDMHCEGCATKIVKCVKDFQGVESVKSEFQANKLTVVGNVDPTQLKDKLAAKTKKKVDLISPQPKKDNKDDAKKNQPEKANDDNKKPKEAPVTTAVLKLSLHCQGCIGKIQKTVSKTKGFHDMTIDRQKDLVTVKGSMDMKELAETLKEKLKRPVDIVPPKKEKEKEKGENNGGGGGGGDKKKKEEEGGNGGGKMEGHKMEYPAGLPGFGQVPYGMVYGPGFGAGYGYPPHALPPQTLPPQAPYIGNPLHAPQMFSDENPNACSIM >ONI00257 pep chromosome:Prunus_persica_NCBIv2:G6:5350087:5353679:-1 gene:PRUPE_6G078800 transcript:ONI00257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >ONI04825 pep chromosome:Prunus_persica_NCBIv2:G6:29484629:29489352:-1 gene:PRUPE_6G341700 transcript:ONI04825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSFQVHHNDSTYGVDYDTGDGLEVFKIQIFSLTSIPPDEQKLIGVDENRVLSDDSDLVAISEKLRLVSINEEQQEKSTAENDELLKSDEELARMLQYEDLQRQEAARKTVPIEELEEKALVSLAKEGNSTPSKNEQDHAFLLQLLFWFKQSFRWVNAPPCDGCGKETVFHGMADALPSEIRYGASRVEIYRCNFCPIGSRFPRYNDPLKLVETRRGRCGEWANCFTLYCRAFGYESRLILDFTDHVWTECFSQSLGRWMHLDPCEGVYDKPLLYESGWNKKLNYVIGIAKDGVCDVTKRYTRKWHEVISRRNIITEPALSAVLANVTKDCRRGFTSQVLSVLEDRDEKERQELESSLHSTDNASTSLPGRRSGDKEWRKSRLECGSDESCSLSGSSCPVRACVDKHVTEIHNAFLPILSHFVKEKYPKSRAVEVLETLKGILVDLKKSPFKTRRATINSVSQSLVHQLLPSFTELLNALSMSGKADADGRFDISLAGNAVKTSLALPVALDALDDTINNLNICDNFVEDSLCLPLLKLNRIHSGSVLASGEEIPFGIAMSAFDGLRTSKWEEPNGARGCWIKYKVSDNLMHELVAYEIMSANDAPERDPMDWVVEGSNDGESSWHLLDKQTSQIFDSRFQRKTFKISCQGFLSNVFRFRFLTVRDVLSTSRLQLGSIDLYSRSS >ONI04828 pep chromosome:Prunus_persica_NCBIv2:G6:29484332:29489452:-1 gene:PRUPE_6G341700 transcript:ONI04828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSFQVHHNDSTYGVDYDTGDGLEVFKIQIFSLTSIPPDEQKLIGVDENRVLSDDSDLVAISEKLRLVSINEEQQEKSTAENDELLKSDEELARMLQYEDLQRQEAARKTVPIEELEEKALVSLAKEGNSTPSKNEQDHAFLLQLLFWFKQSFRWVNAPPCDGCGKETVFHGMADALPSEIRYGASRVEIYRCNFCPIGSRFPRYNDPLKLVETRRGRCGEWANCFTLYCRAFGYESRLILDFTDHVWTECFSQSLGRWMHLDPCEGVYDKPLLYESGWNKKLNYVIGIAKDGVCDVTKRYTRKWHEVISRRNIITEPALSAVLANVTKDCRRGFTSQVLSVLEDRDEKERQELESSLHSTDNASTSLPGRRSGDKEWRKSRLECGSDESCSLSGSSCPVRACVDKHVTEIHNAFLPILSHFVKEKYPKSRAVEVLETLKGILVDLKKSPFKTRRATINSVSQSLVHQLLPSFTELLNALSMSGKADADGRFDISLAGNAVKTSLALPVALDALDDTINNLNICDNFVEDSLCLPLLKLNRIHSGSVLASGEEIPFGIAMSAFDGLRTSKWEEPNGARG >ONI04826 pep chromosome:Prunus_persica_NCBIv2:G6:29484629:29489352:-1 gene:PRUPE_6G341700 transcript:ONI04826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSFQVHHNDSTYGVDYDTGDGLEVFKIQIFSLTSIPPDEQKLIGVDENRVLSDDSDLVAISEKLRLVSINEEQQEKSTAENDELLKSDEELARMLQAEEEALLFQQYAVPEDNGKFEGRVGPYVSQVLMYEDLQRQEAARKTVPIEELEEKALVSLAKEGNSTPSKNEQDHAFLLQLLFWFKQSFRWVNAPPCDGCGKETVFHGMADALPSEIRYGASRVEIYRCNFCPIGSRFPRYNDPLKLVETRRGRCGEWANCFTLYCRAFGYESRLILDFTDHVWTECFSQSLGRWMHLDPCEGVYDKPLLYESGWNKKLNYVIGIAKDGVCDVTKRYTRKWHEVISRRNIITEPALSAVLANVTKDCRRGFTSQVLSVLEDRDEKERQELESSLHSTDNASTSLPGRRSGDKEWRKSRLECGSDESCSLSGSSCPVRACVDKHVTEIHNAFLPILSHFVKEKYPKSRAVEVLETLKGILVDLKKSPFKTRRATINSVSQSLVHQLLPSFTELLNALSMSGKADADGRFDISLAGNAVKTSLALPVALDALDDTINNLNICDNFVEDSLCLPLLKLNRIHSGSVLASGEEIPFGIAMSAFDGLRTSKWEEPNGARGCWIKYKVSDNLMHELVAYEIMSANDAPERDPMDWVVEGSNDGESSWHLLDKQTSQIFDSRFQRKTFKISCQGFLSNVFRFRFLTVRDVLSTSRLQLGSIDLYSRSS >ONI04829 pep chromosome:Prunus_persica_NCBIv2:G6:29484332:29489452:-1 gene:PRUPE_6G341700 transcript:ONI04829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSFQVHHNDSTYGVDYDTGDGLEVFKIQIFSLTSIPPDEQKLIGVDENRVLSDDSDLVAISEKLRLVSINEEQQEKSTAENDELLKSDEELARMLQAEEEALLFQQYAVPEDNGKFEGRVGPYVSQVLMYEDLQRQEAARKTVPIEELEEKALVSLAKEGNSTPSKNEQDHAFLLQLLFWFKQSFRWVNAPPCDGCGKETVFHGMADALPSEIRYGASRVEIYRCNFCPIGSRFPRYNDPLKLVETRRGRCGEWANCFTLYCRAFGYESRLILDFTDHVWTECFSQSLGRWMHLDPCEGVYDKPLLYESGWNKKLNYVIGIAKDGVCDVTKRYTRKWHEVISRRNIITEPALSAVLANVTKDCRRGFTSQVLSVLEDRDEKERQELESSLHSTDNASTSLPGRRSGDKEWRKSRLECGSDESCSLSGSSCPVRACVDKHVTEIHNAFLPILSHFVKEKYPKSRAVEVLETLKGILVDLKKSPFKTRRATINSVSQSLVHQLLPSFTELLNALSMSGKADADGRFDISLAGNAVKTSLALPVALDALDDTINNLNICDNFVEDSLCLPLLKLNRIHSGSVLASGEEIPFGIAMSAFDGLRTSKWEEPNGARG >ONI04827 pep chromosome:Prunus_persica_NCBIv2:G6:29484629:29488608:-1 gene:PRUPE_6G341700 transcript:ONI04827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEDLQRQEAARKTVPIEELEEKALVSLAKEGNSTPSKNEQDHAFLLQLLFWFKQSFRWVNAPPCDGCGKETVFHGMADALPSEIRYGASRVEIYRCNFCPIGSRFPRYNDPLKLVETRRGRCGEWANCFTLYCRAFGYESRLILDFTDHVWTECFSQSLGRWMHLDPCEGVYDKPLLYESGWNKKLNYVIGIAKDGVCDVTKRYTRKWHEVISRRNIITEPALSAVLANVTKDCRRGFTSQVLSVLEDRDEKERQELESSLHSTDNASTSLPGRRSGDKEWRKSRLECGSDESCSLSGSSCPVRACVDKHVTEIHNAFLPILSHFVKEKYPKSRAVEVLETLKGILVDLKKSPFKTRRATINSVSQSLVHQLLPSFTELLNALSMSGKADADGRFDISLAGNAVKTSLALPVALDALDDTINNLNICDNFVEDSLCLPLLKLNRIHSGSVLASGEEIPFGIAMSAFDGLRTSKWEEPNGARGCWIKYKVSDNLMHELVAYEIMSANDAPERDPMDWVVEGSNDGESSWHLLDKQTSQIFDSRFQRKTFKISCQGFLSNVFRFRFLTVRDVLSTSRLQLGSIDLYSRSS >ONH99332 pep chromosome:Prunus_persica_NCBIv2:G6:2016439:2018105:1 gene:PRUPE_6G025200 transcript:ONH99332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDIPSLSFLCAGIHLVSSLHQSNYTWSPYKVRAYLQRQWKQILSGRVSLQDFVSAKEVRLGTYQLALFLFWQDKQTMLLLMGNSSSPSLAKIQRKL >ONH99330 pep chromosome:Prunus_persica_NCBIv2:G6:2015242:2017930:1 gene:PRUPE_6G025200 transcript:ONH99330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKCRYIYNQIHTQWFCHEASTMMRDIPSLSFLCAGIHLVSSLHQSNYTWSPYKVRAYLQRQWKQILSGRVSLQDFVSAKEVRLGTYQLALFLFWQDKQTMLLLMGNSSSPSLAKIQRKL >ONH99331 pep chromosome:Prunus_persica_NCBIv2:G6:2016521:2018072:1 gene:PRUPE_6G025200 transcript:ONH99331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDIPSLSFLCAGIHLVSSLHQSNYTWSPYKVRAYLQRQWKQILSGRVSLQDFVSAKEVRLGTYQLALFLFWQDKQTMLLLMGNSSSPSLAKIQRKL >ONH99333 pep chromosome:Prunus_persica_NCBIv2:G6:2016439:2018105:1 gene:PRUPE_6G025200 transcript:ONH99333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDIPSLSFLCAGIHLVSSLHQSNYTWSPYKVRAYLQRQWKQILSGRVSLQDFVSAKEVRLGTYQLALFLFWQDKQTMLLLMGNSSSPSLAKIQRKL >ONI03941 pep chromosome:Prunus_persica_NCBIv2:G6:26959675:26960695:1 gene:PRUPE_6G293200 transcript:ONI03941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEKQQAWKISVQAKAKNFHFKLKATQIAPSTWKLHRLSISLKLRKLFLGVNAESTGHQKTKKNSQFTSLLVPGQQQKETLKSKFLIFVKKFRFRSTKKPQRFSYEELISAGNLVNGGLAFLPQSIIRVLVILLLLMVAAAFASPAVLDFNNYLKYCGKIRGAWSKLLT >ONI00229 pep chromosome:Prunus_persica_NCBIv2:G6:5267535:5270525:-1 gene:PRUPE_6G076800 transcript:ONI00229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNEALLLIQKMVKSPPLKAFSIFNSSTLQGFQHTHQSISFILHRLLSFNMPTHAQSLILQVLTGRLSSPFFTPSSLLHRLTQPHLSSNPLCSHLFETIINAHVQSQLPEQALYFLKQMVDQGLVPRSNTFNNLLGSLVKSKDFGKAWWVFNEFKGRVELDVYSFGIMIKSCCEAGDLDRGFELLVQLEEMVLSPNVVIYSTLIDGCCKNGDLERAKKIFGKMEELGLVANQYTYTSLIDGLFKKGHKKDGFELYDKMKSNGVVPNVCTYNCLINECCNDGKMSRALELFDEMRERGVACNVVAYNTVICGLCREIRMWEAEKFFNQMIREGISPNTVTFNTLIDGFCNLGKLDKALSLFDQLKSNGQSPSLVTYNVLIQGFARAQNSAGVADLVREMNDRGVSPSKVTYTILIDALVRSGDMERAFQIFFSMEKAGMVPDTHTYGVLIHGLCMKGDMKEASKLFKSISDTHLEPNDVIYNMMIHGYCKEGSSYRALRLLKEMRKNRMIPNVASYSSTIGVLCNDGKWEEAEFLLKEMIESDLRPSVSLLTYFLKRKVIVLVKL >ONI03229 pep chromosome:Prunus_persica_NCBIv2:G6:24285369:24286854:1 gene:PRUPE_6G245900 transcript:ONI03229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFPSKCKPKIIPRIRVTRRMAILSDFFMPQMAFMLLFTLPGAFYLLQLTVSFIVVSSIAVGEVPISPTLTKGALIFSEPVSTAIIW >ONI03269 pep chromosome:Prunus_persica_NCBIv2:G6:24392417:24393559:1 gene:PRUPE_6G247700 transcript:ONI03269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNFKPSEIDEEHEDLLALSLSTPHSQYMHSNSSQPPPLLASTPPPQRLPLFSAVPQPPPPFDFHLYHLPHSPPAFHHDLPGPSRPTRTRRSPARTLSQGKTETIPAPYPWATTKRATVHNLHYLQSNHVNTITGLVQCKKCDQSFEISYNFPKKFLEVAKFVSEHKSAMHDRAPEFWMDPTLPDCNHCHQSKCMKPVISKKRSINWLFLLLGQMLGCCKLSELKYFCKHTKNHRTGAKDRVLYLTYLGICKQVDPSGPFDA >ONI03217 pep chromosome:Prunus_persica_NCBIv2:G6:24234540:24237612:1 gene:PRUPE_6G244900 transcript:ONI03217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETQKLSDTNPALENPKKTLDPSPIPPTATNPDRPEDPPTDTPSDPAPSSEVVSEENGSKADSEDPKTAAGSEAGDGAAPANSIQKKMRRAERFGISVQMTEEEKRNSRAERFGTVSTSHGSEASKKSEEQKRKARAERFGLSGPAVAGDENAKKKARLARFAPISKTDTKTDPMEEEKRKARALRFSKASTGSLSQVNDKGNIEPKAAIAGSAGGGV >ONI03218 pep chromosome:Prunus_persica_NCBIv2:G6:24234540:24237587:1 gene:PRUPE_6G244900 transcript:ONI03218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETQKLSDTNPALENPKKTLDPSPIPPTATNPDRPEDPPTDTPSDPAPSSEVVSEENGSKADSEDPKTAAGSEAGDGAAPANSIQKKMRRAERFGISVQMTEEEKRNSRAERFGTVSTSHGSEASKKSEEQKRKARAERFGLSGPAVAGDENAKKKARLARFAPISKTDTKTDPMEEEKRKARALRFSKASTGSLSQVNDKGNIEPAAIAGSAGGGV >ONI03896 pep chromosome:Prunus_persica_NCBIv2:G6:26723410:26724872:1 gene:PRUPE_6G289300 transcript:ONI03896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDRKKAVLYHQLQQLRAATNSNALNKASIIVDASNYIKELKQKVDTLRQGVGTSTAPNPSPAVTVETLERGFLINVLSDKNSPGLLVSILEAFEELGLDVLDARVSCSDTFQLEAVGGENQGQADSIDAHVVKQAVLQAIQNWSQSSEQD >ONI03895 pep chromosome:Prunus_persica_NCBIv2:G6:26723282:26724895:1 gene:PRUPE_6G289300 transcript:ONI03895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDRKKAVLYHQLQQLRAATNSNALNKASIIVDASNYIKELKQKVDTLRQGVGTSTAPNPSPAQVTVETLERGFLINVLSDKNSPGLLVSILEAFEELGLDVLDARVSCSDTFQLEAVGGENQGQADSIDAHVVKQAVLQAIQNWSQSSEQD >ONI03735 pep chromosome:Prunus_persica_NCBIv2:G6:26128615:26131136:1 gene:PRUPE_6G278600 transcript:ONI03735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHHRHHHQQQQQHHQPLQHQTHQVHLQQQQQQQQQQQQLQQQQLQQPLPSTISVNVDASDRFPQWSIQETKELLVIRAELDQTFMETKRNKLLWEVIATKMKEKGHHRSAEQCKCKWKNLVTRYKGCETMEPEAMRQQFPFYNELQAIFAARMQRMLWAEESGAASGSKKKALSSDEEEDNEDSEAENKASTVRKKKKKVKMSNIGISSTSGSGSGNNVREILEEFMKQQMQMEMQWREEFQARENERRLKEMEWRQTMEALENERIMMERRWREREEQRRMREEARAEKRDALITALLNKLRREDM >ONI01682 pep chromosome:Prunus_persica_NCBIv2:G6:13338258:13340299:-1 gene:PRUPE_6G153300 transcript:ONI01682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFHLIARYGTMTNLDPSLTSKVMLTTRNREVALHADARSTPHEPRMLTEDESLELFRKKALPGMDHFPSDLENLGREMVTKCGGLPLALVVLGGLLSRKMKTREEWELVLQNISWHLIDQDRVSAILALSYKDLPFYLKSCFLHLGLFPEDFSIPKTQLMRLWVAEGFLPQQGEDTAEGVAENCLNELINRCMIQVGTLTSLGRVKTISIHDLLRDFSLSVSREENFLGIYTGGEVESSVSPSTKSRRIALNSNPTQHGFLHSPFLNPYAPHLRSLHFFNRFQHPEFYFIKKDFKLLKVLDLKHAMGSTHTPSAIGILIQLRYLGVSQIFKNCYIPPSIGNLKNLETLDLGTSFSPIPNVIWKMKRLRHLLLCDKSQPNRVKLRLDTLSHLQTLKTIRAGRWIEDGGLANMISLRRLGIERLSQERVNLVISILRRMCYLQSLSLEVMNNETFPTSMGLSHFQHLHKLCLKGKIEKLPHVREFPPNLVKLSLIGSELQKDSIVQLERLPYLKMLVLGNQSYKWRELVSSSEGFPQLQVLHLVSLMELEEWTVEENAMMKLKHLKIEDCPRLKIPERLKLSNTVKILEVVTEYDPFKRWRSPEWTKASKNRLDCFKCFQD >ONI00232 pep chromosome:Prunus_persica_NCBIv2:G6:5276914:5278089:-1 gene:PRUPE_6G077100 transcript:ONI00232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLAINIYLLIRNLYVNQRADQTLTLLDIEFGAPTTTNLRRTRRPSRLISYGVPFIHQVGKRIGICSDECVICMEEFKEGENCRFLSNCRHAFHQKCIDDWLATASNCPVCRDCVWVVQGDQNQVHTTVNIYYV >ONH99077 pep chromosome:Prunus_persica_NCBIv2:G6:729581:732075:-1 gene:PRUPE_6G009400 transcript:ONH99077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIAQISFYLLILTFFSETHFGLSLRDLKSDPNRPSTTAQSITDVHDLLPKYGLPKGLLPDNVNSYTLSEDGSFEIYLESPCYVHFDQLVYYNKNIKGKLSYGSVSDVSGIQAKKLFIWVSVTGIQVDQGSDSVEFYVGALSEKLPAKQFEDIPVCKSKACQGTYVDSI >ONI03121 pep chromosome:Prunus_persica_NCBIv2:G6:23918181:23921304:1 gene:PRUPE_6G240200 transcript:ONI03121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSHKAVTLTHVRYQRGDKLGYFLAWISLVPVFISLGGFVSHFIFRRELQGMFFALGLIISQFVNEFIKTSVQQARPETCALLEMCDSHGWPSSHSQYMFFFAIYFTLMTYKGIGLWHTKNKFTVIFLPWALALLTMYSRVYLGYHTVAQVLAGSALGVFLGALWFWVVNSVLYSYFPVIEESWFGRAFYIKDTSHIPNVLKFEYDNARDARNKLAAKSN >ONI03430 pep chromosome:Prunus_persica_NCBIv2:G6:24937920:24944846:-1 gene:PRUPE_6G256600 transcript:ONI03430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRAVSSCSIFRSASTSSSSPTLFAFRCSPCHFSRRFSQFSIRFPIFRSDKLVPGHGGLQSSSVHSLVDSVMEELGALRRRRRVRAAAKVELTSSGGIVEDKLVNRTLQQGLLLEFKKDSERVLLAVAQRPDGKKNWMVSDQNGVTSSIKPQQITYIVPGVENFDHAEISMFVQRAQENSDSALLEFAWVELLEKNKRVTAEELAEMIFGSVEPLECYCAHVMLSEDEVYFTVLETKGSRSIYGPRPAVQVEELLRRKLAKEAAEKELQEFVQLLKSAKAMPLDAKPPKSSWMVEEKIRQKIKSLESYAIDACTNDDQRKTAGMILRAMGMVKTASSALNLLINIGFFPVHVNLDLLKFNTRTDHSDEVISAAESLLSDSYDPDEIERKDLTHLKVYAIDVDEADELDDALSATRLQDGRIKIWIHVADATRFVQPGSIVDREAMRRGTSVFLPTATYPMFPEKLAMEGMSLQQGENCNAVTVSVVLHSDGSIAEYSVDNSIIRPTYMLTYESASELLHLNLEEETELKILSEAATLRSIWRRQQGAIDTATLEARIKVVNPEDPEPIINLYVENQADPAMRLVTEMMILCGEVVATFGSSNNIPLPYRGQPQSNIDTSAFAHLPEGPVRSSALVKLMRAAEIDFRKPIRHGILGLPGYVQFTSPIRRYMDLLAHYQVKAFLIGKSPPFSAGQLEGMASIVNMNARVAKKLFSSSLRYWILEFLRRQSKEKRYRALILRFIKDRIAAILLVEVGLQSSVWVSVGADVGDEVLVRVEEAHPRDDVLFLKEIVIER >ONI03431 pep chromosome:Prunus_persica_NCBIv2:G6:24937920:24944846:-1 gene:PRUPE_6G256600 transcript:ONI03431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQNGVTSSIKPQQITYIVPGVENFDHAEISMFVQRAQENSDSALLEFAWVELLEKNKRVTAEELAEMIFGSVEPLECYCAHVMLSEDEVYFTVLETKGSRSIYGPRPAVQVEELLRRKLAKEAAEKELQEFVQLLKSAKAMPLDAKPPKSSWMVEEKIRQKIKSLESYAIDACTNDDQRKTAGMILRAMGMVKTASSALNLLINIGFFPVHVNLDLLKFNTRTDHSDEVISAAESLLSDSYDPDEIERKDLTHLKVYAIDVDEADELDDALSATRLQDGRIKIWIHVADATRFVQPGSIVDREAMRRGTSVFLPTATYPMFPEKLAMEGMSLQQGENCNAVTVSVVLHSDGSIAEYSVDNSIIRPTYMLTYESASELLHLNLEEETELKILSEAATLRSIWRRQQGAIDTATLEARIKVVNPEDPEPIINLYVENQADPAMRLVTEMMILCGEVVATFGSSNNIPLPYRGQPQSNIDTSAFAHLPEGPVRSSALVKLMRAAEIDFRKPIRHGILGLPGYVQFTSPIRRYMDLLAHYQVKAFLIGKSPPFSAGQLEGMASIVNMNARVAKKLFSSSLRYWILEFLRRQSKEKRYRALILRFIKDRIAAILLVEVGLQSSVWVSVGADVGDEVLVRVEEAHPRDDVLFLKEIVIER >ONI03429 pep chromosome:Prunus_persica_NCBIv2:G6:24937920:24944846:-1 gene:PRUPE_6G256600 transcript:ONI03429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRAVSSCSIFRSASTSSSSPTLFAFRCSPCHFSRRFSQFSIRFPIFRSDKLVPGHGGLQSSSVHSLVDSVMEELGALRRRRRVRAAAKVELTSSGGIVEDKLVNRTLQQGLLLEFKKDSERVLLAVAQRPDGKKNWMVSDQNGVTSSIKPQQITYIVPGVENFDHAEISMFVQRAQENSDSALLEFAWVELLEKNKRVTAEELAEMIFGSVEPLECYCAHVMLSEDEVYFTVLETKGSRSIYGPRPAVQVEELLRRKLAKEAAEKELQEFVQLLKSAKAMPLDAKPPKSSWMVEEKIRQKIKSLESYAIDACTNDDQRKTAGMILRAMGMVKTASSALNLLINIGFFPVHVNLDLLKFNTRTDHSDEVISAAESLLSDSYDPDEIERKDLTHLKVYAIDVDEADELDDALSATRLQDGRIKIWIHVADATRFVQPGSIVDREAMRRGTSVFLPTATYPMFPEKLAMEGMSLQQGENCNAVTVSVVLHSDGSIAEYSVDNSIIRPTYMLTYESASELLHLNLEEETELKILSEAATLRSIWRRQQGAIDTATLEARIKVVNPEDPEPIINLYVENQADPAMRLVTEMMILCGEVVATFGSSNNIPLPYRGQPQSNIDTSAFAHLPEGPVRSSALVKLMRAAEIDFRKPIRHGILGLPGYVQFTSPIRRYMDLLAHYQVKAFLIGKSPPFSAGQLEGMASIVNMNARVAKKLFSSSLRYWILEFLRRQSKEKRYRALILRFIKDRIAAILLVEVGLQSSVWVSVGADVGDEVLVRVEEAHPRDDVLFLKEIVIER >ONI03432 pep chromosome:Prunus_persica_NCBIv2:G6:24938723:24943865:-1 gene:PRUPE_6G256600 transcript:ONI03432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVQRAQENSDSALLEFAWVELLEKNKRVTAEELAEMIFGSVEPLECYCAHVMLSEDEVYFTVLETKGSRSIYGPRPAVQVEELLRRKLAKEAAEKELQEFVQLLKSAKAMPLDAKPPKSSWMVEEKIRQKIKSLESYAIDACTNDDQRKTAGMILRAMGMVKTASSALNLLINIGFFPVHVNLDLLKFNTRTDHSDEVISAAESLLSDSYDPDEIERKDLTHLKVYAIDVDEADELDDALSATRLQDGRIKIWIHVADATRFVQPGSIVDREAMRRGTSVFLPTATYPMFPEKLAMEGMSLQQGENCNAVTVSVVLHSDGSIAEYSVDNSIIRPTYMLTYESASELLHLNLEEETELKILSEAATLRSIWRRQQGAIDTATLEARIKVVNPEDPEPIINLYVENQADPAMRLVTEMMILCGEVVATFGSSNNIPLPYRGQPQSNIDTSAFAHLPEGPVRSSALVKLMRAAEIDFRKPIRHGILGLPGYVQFTSPIRRYMDLLAHYQVKAFLIGKSPPFSAGQLEGMASIVNMNARVAKKLFSSSLRYWILEFLRRQSKEKRYRALILRFIKDRIAAILLVEVGLQSSVWVSVGADVGDEVLVRVEEAHPRDDVLFLKEIVIER >ONI03433 pep chromosome:Prunus_persica_NCBIv2:G6:24939541:24944733:-1 gene:PRUPE_6G256600 transcript:ONI03433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRAVSSCSIFRSASTSSSSPTLFAFRCSPCHFSRRFSQFSIRFPIFRSDKLVPGHGGLQSSSVHSLVDSVMEELGALRRRRRVRAAAKVELTSSGGIVEDKLVNRTLQQGLLLEFKKDSERVLLAVAQRPDGKKNWMVSDQNGVTSSIKPQQITYIVPGVENFDHAEISMFVQRAQENSDSALLEFAWVELLEKNKRVTAEELAEMIFGSVEPLECYCAHVMLSEDEVYFTVLETKGSRSIYGPRPAVQVEELLRRKLAKEAAEKELQEFVQLLKSAKAMPLDAKPPKSSWMVEEKIRQKIKSLESYAIDACTNDDQRKTAGMILRAMGMVKTASSALNLLINIGFFPVHVNLDLLKFNTRTDHSDEVISAAESLLSDSYDPDEIERKDLTHLKVYAIDVDEADELDDALSATRLQDGRIKIWIHVADATRFVQPGSIVDREAMRRGTSVFLPTATYPMFPEKLAMEGMSLQQGENCNAVTVSVVLHSDGSIAEYSVDNSIIRPTYMLTYESASELLHLNLEEETELKILSEAATLRSIWRRQQGAIDTATLEARIKVVNPEDPEPIINLYVENQADPAMRLVTEMMILCGEVVATFGSSNNIPLPYRGQPQSNIDTSAFAHLPEGPVRSSALVKLMRAAEIDFRKPIRHGILGLPGYVQFTSPIRRYMDLLAHYQVSVM >ONI04276 pep chromosome:Prunus_persica_NCBIv2:G6:27997064:27997448:1 gene:PRUPE_6G312800 transcript:ONI04276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFCFNYLSKWSLDIILSFPLVHVYNKWYIYDHTHDVCAYVCMVMFSCHGMLSNYEVRPLIRQIMSKCVIMLSEFKI >ONI01042 pep chromosome:Prunus_persica_NCBIv2:G6:8692248:8698625:1 gene:PRUPE_6G118300 transcript:ONI01042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIWELIKAPLIVPLLRICVYISLAMSLMLFFERLYMGIVIILVKLFWKKPEKRYKYEAIQEDLELGSSNFPVVLIQIPMFNEREVYKISIGAACGLSWPSDRLVIQVLDDSTDPTIKQMVELECQRWASKGINIRYQIRETRGGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDYLRRAIPFLVHNPDIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQVKSELPSTFNAFRFQQHRWSCGPANLFRKMVMEIVKTKKVTLWKKFYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVYVPIWGAVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLLETGRANEWVVTEKLGDIVKNKAAEAAKKPAPKFFKRPKFKFGNRLHLLELGFGAFLFVCGCYDYVHGKNNYFVYLFLQTITFLICGFGYVGTIIPSS >ONI01041 pep chromosome:Prunus_persica_NCBIv2:G6:8692248:8698625:1 gene:PRUPE_6G118300 transcript:ONI01041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTTKVLIPESFQGGTYDIAGQMGLIWELIKAPLIVPLLRICVYISLAMSLMLFFERLYMGIVIILVKLFWKKPEKRYKYEAIQEDLELGSSNFPVVLIQIPMFNEREVYKISIGAACGLSWPSDRLVIQVLDDSTDPTIKQMVELECQRWASKGINIRYQIRETRGGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDYLRRAIPFLVHNPDIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQVKSELPSTFNAFRFQQHRWSCGPANLFRKMVMEIVKTKKVTLWKKFYVIYSFFFVRKIIAHMVTFFFYCVVLPLTILVPEVYVPIWGAVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLLETGRANEWVVTEKLGDIVKNKAAEAAKKPAPKFFKRPKFKFGNRLHLLELGFGAFLFVCGCYDYVHGKNNYFVYLFLQTITFLICGFGYVGTIIPSS >ONI04383 pep chromosome:Prunus_persica_NCBIv2:G6:28265133:28269116:1 gene:PRUPE_6G318400 transcript:ONI04383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLQPSPCRTQSSPSHHNMSLSSGGSEIPGNMSARSFSEISEVENFKVGLDLVSAARRNIGFLRTVAESQWLHQQPTVIEAIRRYNELWMPLVSDLTVESTTPPAIHPPIDIEWVWFCHTLNPVYYRQYCESKFSKLIGKATIFDEENEEYALMRCRELWVRRYPNEPFENEVDSDSDVRVPEAANEEELLEEVKKNRFLHSKFSEPYRAEIVYLIAAKQRYKRFLFMVQSTIDLCSSLVPASDIMLMWLSHQSYPTVYAEDLKEMEGDLGKVVSMWATVKEKEVEETKKLWERTFDQPYEKAGGEIALELDGGVSFKPTVYWEVSDTDVNTKYKPMHPRFLLEVCVFVRLRDKMKEMQEDMKRNVLRLRMVRCHRELKLEKPVSDFPHSSWRKAWHLYCEFGTKGVIFEIRKRGGSCFKGSSVQETVTFHWNDLLRAPSLTLEKEDQQVKIVASITPPVQAPYLLKCVPDRVTDDSGAMISDLILRMNQYRPQEGRWLSRTVLDHAGRDCFVIRIRVGAGFWRRGGETPSAVKWEDRIIEIREGSWSYVAGSIGRAPVKLVGTAIPKEPPEQWKAAWNFSTGDELMIQWELSSSKSGLSFGLKNQAAESTVKLLKGRKMQYQVKKKKSVTKDEECQNEEEGEEEEEDEEEEGFLTLVRYTEDNPNGRATALLNWKLLVAELMPEEDAVLVLLLCISILRSVSEMKKEDVGCLLIRRRLKEVKLGTRDWGSVVLHPSSSSSISSPYLQPWYWNAKAIIASDGAGHITRQPSISYSPEEGGDKFYKRGILA >ONI04384 pep chromosome:Prunus_persica_NCBIv2:G6:28265133:28269116:1 gene:PRUPE_6G318400 transcript:ONI04384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRELWVRRYPNEPFENEVDSDSDVRVPEAANEEELLEEVKKNRFLHSKFSEPYRAEIVYLIAAKQRYKRFLFMVQSTIDLCSSLVPASDIMLMWLSHQSYPTVYAEDLKEMEGDLGKVVSMWATVKEKEVEETKKLWERTFDQPYEKAGGEIALELDGGVSFKPTVYWEVSDTDVNTKYKPMHPRFLLEVCVFVRLRDKMKEMQEDMKRNVLRLRMVRCHRELKLEKPVSDFPHSSWRKAWHLYCEFGTKGVIFEIRKRGGSCFKGSSVQETVTFHWNDLLRAPSLTLEKEDQQVKIVASITPPVQAPYLLKCVPDRVTDDSGAMISDLILRMNQYRPQEGRWLSRTVLDHAGRDCFVIRIRVGAGFWRRGGETPSAVKWEDRIIEIREGSWSYVAGSIGRAPVKLVGTAIPKEPPEQWKAAWNFSTGDELMIQWELSSSKSGLSFGLKNQAAESTVKLLKGRKMQYQVKKKKSVTKDEECQNEEEGEEEEEDEEEEGFLTLVRYTEDNPNGRATALLNWKLLVAELMPEEDAVLVLLLCISILRSVSEMKKEDVGCLLIRRRLKEVKLGTRDWGSVVLHPSSSSSISSPYLQPWYWNAKAIIASDGAGHITRQPSISYSPEEGGDKFYKRGILA >ONH98994 pep chromosome:Prunus_persica_NCBIv2:G6:402413:406992:-1 gene:PRUPE_6G003700 transcript:ONH98994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARASRKLLRDFLFRRACTTPIAGARHCSSAAETAPKIPHFSKKGRLLTGTTLGLVIGGGAYVSTVDEATFCGWLFSATKLVNPFFALLDPEVAHRLGVSAAARGWVPREKRPDPSNLGLDVWGRKFSNPVGLAAGFDKNAEAVDGLLGLGFGFVEIGSVTPVPQEGNPKPRIFRLREEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLDETTSSSSSSGEEVKPGGKAGPGILGVNLGKNKTSEDASADYVQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKRVQAARDEMQWGEEGSPPLLVKIAPDLSKEDLEDIAAVALALRLDGLIISNTTVSRSDPVTTNPAASEAGGLSGKPLFNISTSLLKEMYILTRGKIPLIGCGGISSGEDAYKKIRAGASLVQLYTAFAYGGPALIPQIKAELSECLERDGFKSVSEAVGADCRVATPKV >ONH98996 pep chromosome:Prunus_persica_NCBIv2:G6:403233:407321:-1 gene:PRUPE_6G003700 transcript:ONH98996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARASRKLLRDFLFRRACTTPIAGARHCSSAAETAPKIPHFSKKGRLLTGTTLGLVIGGGAYVSTVDEATFCGWLFSATKLVNPFFALLDPEVAHRLGVSAAARGWVPREKRPDPSNLGLDVWGRKFSNPVGLAAGFDKNAEAVDGLLGLGFGFVEIGSVTPVPQEGNPKPRIFRLREEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLDETTSSSSSSGEEVKPGGKAGPGILGVNLGKNKTSEDASADYVQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKRVQAARDEMQWGEEGSPPLLVKIAPDLSKEDLEDIAAVALALRLDGLIISNTTVSRSDPVTTNPAASEAGGLSGKPLFNISTSLLKEMYILTRVRCPFVTHVTCYSQYLHWGRLHRTYLYKMPLIFMLYY >ONH98995 pep chromosome:Prunus_persica_NCBIv2:G6:402075:407321:-1 gene:PRUPE_6G003700 transcript:ONH98995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLSATKLVNPFFALLDPEVAHRLGVSAAARGWVPREKRPDPSNLGLDVWGRKFSNPVGLAAGFDKNAEAVDGLLGLGFGFVEIGSVTPVPQEGNPKPRIFRLREEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLDETTSSSSSSGEEVKPGGKAGPGILGVNLGKNKTSEDASADYVQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKRVQAARDEMQWGEEGSPPLLVKIAPDLSKEDLEDIAAVALALRLDGLIISNTTVSRSDPVTTNPAASEAGGLSGKPLFNISTSLLKEMYILTRGKIPLIGCGGISSGEDAYKKIRAGASLVQLYTAFAYGGPALIPQIKAELSECLERDGFKSVSEAVGADCRVATPKV >ONH98993 pep chromosome:Prunus_persica_NCBIv2:G6:402075:407321:-1 gene:PRUPE_6G003700 transcript:ONH98993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARASRKLLRDFLFRRACTTPIAGARHCSSAAETAPKIPHFSKKGRLLTGTTLGLVIGGGAYVSTVDEATFCGWLFSATKLVNPFFALLDPEVAHRLGVSAAARGFGFVEIGSVTPVPQEGNPKPRIFRLREEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLDETTSSSSSSGEEVKPGGKAGPGILGVNLGKNKTSEDASADYVQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKRVQAARDEMQWGEEGSPPLLVKIAPDLSKEDLEDIAAVALALRLDGLIISNTTVSRSDPVTTNPAASEAGGLSGKPLFNISTSLLKEMYILTRGKIPLIGCGGISSGEDAYKKIRAGASLVQLYTAFAYGGPALIPQIKAELSECLERDGFKSVSEAVGADCRVATPKV >ONI03320 pep chromosome:Prunus_persica_NCBIv2:G6:24590706:24594426:1 gene:PRUPE_6G250900 transcript:ONI03320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGSRTAWNCALWCLRLRSAFQTILACSIVYTTTLYGPQPLRQLVAYPAYSYLTTILIVSDATLGDSLRGFWHALCACVQVMTLSILSLLLIGPAHFSYFISALAVALCTFAVALPGATHLMAKRIAFGQIVIVYVGTAIHCAEAGELVLMHPIQVALSTMLGASASVMAMLFPNLFFYQKASVAAFLSPYLAYHEVRDMCQKYAENARKRLNFYSDAILSQDSSAALGFILQAQPLAQVGEKLLQSIKHKQEALMWERPKLRNILKPTCMDLGEKLQDIEIPLRGIAIAATSCPSFPVALIKKVQPDALHDMKRRIHDKLEQLEHFAPLCILKKKKKSLDESFWPLETIFREDLLAFFFLDCLKLLQENTEAVEECRESYSENESSHGSENQSHGGIKWSWSISCIIPSKTSLFFAVKCSVSLGLAVLLGLLFNEREAYWAGLTIAISFVRGRQATFTIANARAQSTAMGSIYGILCCFIFRKVENLSFLPLLPWLVFTSFLRHSRMYGQAGGISAAVGALLILGRRHYGPPLDFAIARITEAVIGLICFITMEILIYPARAATLAKHKLSLSLGTLQECIKDVVLFDNQNNMQASIFPKLRQKQKKLKSHVNKLQKFIEEAELEPNFWFIPFNGSCYRKLLRSLSKMGDLSLFMSNKTEFLSVVLQRCEVDSEDLQKPLKCIKNDIELFKKKVETSLQCLQELSSKKSLAVHDKHDIELGTSPKANECRCLETEKDEMECILNSFLQHSNEVSDRVGTNTEGEDQKLKSQVIVCLAGLGFCISSLLREVREMEKEFQELVNLENPSTHVIV >ONI03322 pep chromosome:Prunus_persica_NCBIv2:G6:24592141:24594426:1 gene:PRUPE_6G250900 transcript:ONI03322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQKYAENARKRLNFYSDAILSQDSSAALGFILQAQPLAQVGEKLLQSIKHKQEALMWERPKLRNILKPTCMDLGEKLQDIEIPLRGIAIAATSCPSFPVALIKKVQPDALHDMKRRIHDKLEQLEHFAPLCILKKKKKSLDESFWPLETIFREDLLAFFFLDCLKLLQENTEAVEECRESYSENESSHGSENQSHGGIKWSWSISCIIPSKTSLFFAVKCSVSLGLAVLLGLLFNEREAYWAGLTIAISFVRGRQATFTIANARAQSTAMGSIYGILCCFIFRKVENLSFLPLLPWLVFTSFLRHSRMYGQAGGISAAVGALLILGRRHYGPPLDFAIARITEAVIGLICFITMEILIYPARAATLAKHKLSLSLGTLQECIKDVVLFDNQNNMQASIFPKLRQKQKKLKSHVNKLQKFIEEAELEPNFWFIPFNGSCYRKLLRSLSKMGDLSLFMSNKTEFLSVVLQRCEVDSEDLQKPLKCIKNDIELFKKKVETSLQCLQELSSKKSLAVHDKHDIELGTSPKANECRCLETEKDEMECILNSFLQHSNEVSDRVGTNTEGEDQKLKSQVIVCLAGLGFCISSLLREVREMEKEFQELVNLENPSTHVIV >ONI03321 pep chromosome:Prunus_persica_NCBIv2:G6:24592184:24594426:1 gene:PRUPE_6G250900 transcript:ONI03321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQKYAENARKRLNFYSDAILSQDSSAALGFILQAQPLAQVGEKLLQSIKHKQEALMWERPKLRNILKPTCMDLGEKLQDIEIPLRGIAIAATSCPSFPVALIKKVQPDALHDMKRRIHDKLEQLEHFAPLCILKKKKKSLDESFWPLETIFREDLLAFFFLDCLKLLQENTEAVEECRESYSENESSHGSENQSHGGIKWSWSISCIIPSKTSLFFAVKCSVSLGLAVLLGLLFNEREAYWAGLTIAISFVRGRQATFTIANARAQSTAMGSIYGILCCFIFRKVENLSFLPLLPWLVFTSFLRHSRMYGQAGGISAAVGALLILGRRHYGPPLDFAIARITEAVIGLICFITMEILIYPARAATLAKHKLSLSLGTLQECIKDVVLFDNQNNMQASIFPKLRQKQKKLKSHVNKLQKFIEEAELEPNFWFIPFNGSCYRKLLRSLSKMGDLSLFMSNKTEFLSVVLQRCEVDSEDLQKPLKCIKNDIELFKKKVETSLQCLQELSSKKSLAVHDKHDIELGTSPKANECRCLETEKDEMECILNSFLQHSNEVSDRVGTNTEGEDQKLKSQVIVCLAGLGFCISSLLREVREMEKEFQELVNLENPSTHVIV >ONI03494 pep chromosome:Prunus_persica_NCBIv2:G6:25093054:25095030:-1 gene:PRUPE_6G260200 transcript:ONI03494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASISGIIAAVNRAPLKLNGSGAATSYPSSAFLGNSLKKATSKITHLKISSRNFKVSAEYDEEKQTSKDRWGGLAFDTSDDQQDITRGKGMVDTLFQAPMGSGTHYAVMSSYDYISTGLRQLDNIMDGYYIAPAFMDKLVVHITKNFLNLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIISKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDCIGVCTGIFRADNVPSDDIVKLVDTFPGQSIDFFGAIRARVYDDEVRKWVASVGVESIGKRLVNSKEGPPTFNQPKMTLAKLLEYGNLLVQEQDNVKRVQLSEKYLKEAALGDANDDAIKSGNFYG >ONI03493 pep chromosome:Prunus_persica_NCBIv2:G6:25092579:25095350:-1 gene:PRUPE_6G260200 transcript:ONI03493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASISGIIAAVNRAPLKLNGSGAATSYPSSAFLGNSLKKATSKITHLKISSRNFKVSAEYDEEKQTSKDRWGGLAFDTSDDQQDITRGKGMVDTLFQAPMGSGTHYAVMSSYDYISTGLRQLDNIMDGYYIAPAFMDKLVVHITKNFLNLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIISKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDCIGVCTGIFRADNVPSDDIVKLVDTFPGQSIDFFGAIRARVYDDEVRKWVASVGVESIGKRLVNSKEGPPTFNQPKMTLAKLLEYGNLLVQEQDNVKRVQLSEKYLKEAALGDANDDAIKSGNFYGKAAQLVNLPVPEGCTDPSAANYDPTARSDNGSCLYQF >ONI03697 pep chromosome:Prunus_persica_NCBIv2:G6:25981000:25984270:-1 gene:PRUPE_6G275700 transcript:ONI03697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVESRARERSFGKVVNVNDRDEELALFLEMRRREKDKEKITNFLLIPNKNADELDAIAAPLDSNNRGGSEVSRIVGPVAPQRKSRMDEFLNSENEKSDYDWLLTPPATPLFPSLDSEALKTAISQTEVPNDRVSGPKSRLANIKPERTSRGNIASKHPTLTSGLNSSSIGNRRPSSAGGPAAAPRRSATPTGRSSLPSSTKPSRSSTPNSRATLSSVKPMASTVRSSTPSRSIARSSTPTARPSVPASKSTSRSATPTHRPSSSIAPTLSAPPGRSSSATKPRPTSSKNPVPSRGSSPTVKPRPVKSSEMPGFSLDAPPNLRTSLPQRPASASRDRPGAPSFRSSSVIAGNSNGKPRQPSPSSGRASYGSATANGNSHRVISRPSSNDSDDVNPVLIGTQMVERVVNSRKLAPPKQYDHHTTQNNSAGKSLSSESSGFGRNLSKKSFDMAMRHMDIRRSMTGNLRPVLTNVPASSVYSVRTRPAKSKTTSATDSPLATCSNASSEPSVSNIPVSLEGCEIEDVDLGSEGGNSSPASHQGR >ONI03435 pep chromosome:Prunus_persica_NCBIv2:G6:24951448:24955736:1 gene:PRUPE_6G256800 transcript:ONI03435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKDPKQSGGFFASMASTLTNLGSAMTKSVNGIVGYEGLEVINPDGSSEDLEDEARKGRWKQEDRDGYWKVMQKYIGSDVTSLVTLPVLIFEPMTMLQKMAELMEYSHLLDLADECEDPYMRLVYASSFFISVYFAFQRTWKPFNPILGETYEMVNHGGITFISEQVSHHPPMSAGHAENEHFIYDITSKVKTKFLGNSIDIYPVGRTRLTLKKDGVVLDLAPPPTKVNNLIFGRTWVDSPGDMVLTNLTTGDKVVLYFQPCGWFGSGRYEVDGYVYNSAEEPKILMTGKWNESMSYQPCDSEGEPLPGTELKEVRVADVPENDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEQGDLSKAGFEKSSLEERQRDEKKNRVAKGQEFTPRWFDKTDEICPTTWDDLEVYRYNGKYTEHRAAVDNSDSVEAIDVKSIEFNPWQYENLAAA >ONI03436 pep chromosome:Prunus_persica_NCBIv2:G6:24951370:24955736:1 gene:PRUPE_6G256800 transcript:ONI03436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKDPKQSGGFFASMASTLTNLGSAMTKSVNGIVGYEGLEVINPDGSSEDLEDEARKGRWKQEDRDGYWKVMQKYIGSDVTSLVTLPVLIFEPMTMLQKMAELMEYSHLLDLADECEDPYMRLVYASSFFISVYFAFQRTWKPFNPILGETYEMVNHGGITFISEQVSHHPPMSAGHAENEHFIYDITSKVKTKFLGNSIDIYPVGRTRLTLKKDGVVLDLAPPPTKVNNLIFGRTWVDSPGDMVLTNLTTGDKVVLYFQPCGWFGSGRYEVDGYVYNSAEEPKILMTGKWNESMSYQPCDSEGEPLPGTELKEVWRVADVPENDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEQGDLSKAGFEKSSLEERQRDEKKNRVAKGQEFTPRWFDKTDEICPTTWDDLEVYRYNGKYTEHRAAVDNSDSVEAIDVKSIEFNPWQYENLAAA >ONI00412 pep chromosome:Prunus_persica_NCBIv2:G6:5944933:5948421:1 gene:PRUPE_6G087700 transcript:ONI00412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGYRKFDLFIWVLSVLCLGWLCSGFNPADNYLIDCGSPANTSVGDRVYVADKLASKFLSTPKDVVANISLKSITSFDDSPLYQTARIFTESSKYTFSISQSGRHWIRLYFYPFVFGGYDLSKAKFSVSTQNHVLLGDFSVQNASLVKEFSVNITSDSLVITFTPSNNSFAFLNAIEVVSVPDQLITDDAYTGSVKFQGLTTQALETSWRVNMGGPTVSFENDTLWRTWVPDQSFLVNANLAKDVSNIAAVNYDAGSALATKDIAPQTVYGTLTEMKSADDPNSNFNVSWEFTVDPGFQYLVRFHFCDVVSKSLYQLYFNVYLDSLIVARDLDLSTLATNKLAVPYYTDYVTTSAAVSNKLRISIGPSPLNNAYPNAILNGLEIMKLNNSAGSLSGANSLVPSSNSSSKGNVGVIVGASIGSFIAVVLAAILFVLCRRKRLADQGHSKTWLPFSINGTNSHTMGSKYSYGTTASAASNYSYRFPFGVVQEATNNFDESWVIGIGGFGKVYKGVLNDGTKVAVKRGNPRSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDDKSEMILIYEYMENGTLKSHLYGSGYPSLSWKQRLEVCIGSARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQKKGQLEQIIDSTLAGKIRPDSLRKFGETAEKCLADYGVDRPSMGDVLWNLEYALQLQEAEIPGDAEENSTNMIGELSPQVNNFNNVDANDSAAQFEVSSVDDLSGVSMSRVFSQLVKSEGR >ONI00413 pep chromosome:Prunus_persica_NCBIv2:G6:5944933:5948425:1 gene:PRUPE_6G087700 transcript:ONI00413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPTVSFENDTLWRTWVPDQSFLVNANLAKDVSNIAAVNYDAGSALATKDIAPQTVYGTLTEMKSADDPNSNFNVSWEFTVDPGFQYLVRFHFCDVVSKSLYQLYFNVYLDSLIVARDLDLSTLATNKLAVPYYTDYVTTSAAVSNKLRISIGPSPLNNAYPNAILNGLEIMKLNNSAGSLSGANSLVPSSNSSSKGNVGVIVGASIGSFIAVVLAAILFVLCRRKRLADQGHSKTWLPFSINGTNSHTMGSKYSYGTTASAASNYSYRFPFGVVQEATNNFDESWVIGIGGFGKVYKGVLNDGTKVAVKRGNPRSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDDKSEMILIYEYMENGTLKSHLYGSGYPSLSWKQRLEVCIGSARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQKKGQLEQIIDSTLAGKIRPDSLRKFGETAEKCLADYGVDRPSMGDVLWNLEYALQLQEAEIPGDAEENSTNMIGELSPQVNNFNNVDANDSAAQFEVSSVDDLSGVSMSRVFSQLVKSEGR >ONI00885 pep chromosome:Prunus_persica_NCBIv2:G6:7840093:7844503:-1 gene:PRUPE_6G109400 transcript:ONI00885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRLNSPTTFTMNLEVLGHDLQFAQDPNSKHLGTTVWDASLVFVKFLEKNCRKGRFSPAKLKGKRVIELGAGCGVAGFGMALLGCDVVMTDQVEVLPLLMRNVERNTSRITQMNSDSFGSIEAAELSWGDKDHIRAVGPPFDYIIGTDVMDSTYQHPDIQLYIMTLKPPEGYADNAVEVIDEKDDEVETGEEEAAKVIDQKVDEVETIPENTVKVISKKDDEVESSKEKGENSSLDEEVEEDCEPGRRPQSGKLTDWEARRYGSMAARLLHDIKIT >ONI00888 pep chromosome:Prunus_persica_NCBIv2:G6:7840316:7843945:-1 gene:PRUPE_6G109400 transcript:ONI00888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRLNSPTTFTMNLEVLGHDLQFAQDPNSKHLGTTVWDASLVFVKFLEKNCRKGRFSPAKLKGKRVIELGAGCGVAGFGMALLGCDVVMTDQVEVLPLLMRNVERNTSRITQMNSDSFGSIEAAELSWGDKDHIRAVGPPFDYIIGTDVVYKENLLEPLLQTMFALSGPKTTILIGYEIRSTSVHEQMLQMWRRNFDVKIVPNSKMDSTYQHPDIQLYIMTLKPPEGYADNAVEVIDEKDDEVETGEEEAAKVIDQKVDEVETIPENTVKVISKKDDEVESSKEKGENSSLDEEVEEDCEPGRRPQSGKLTDWEARRYGSMAARLLHDIKIT >ONI00887 pep chromosome:Prunus_persica_NCBIv2:G6:7840316:7843945:-1 gene:PRUPE_6G109400 transcript:ONI00887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRLNSPTTFTMNLEVLGHDLQFAQDPNSKHLGTTVWDASLVFVKFLEKNCRKGRFSPAKLKGKRVIELGAGCGVAGFGMALLGCDVVMTDQVEVLPLLMRNVERNTSRITQMNSDSFGSIEAAELSWGDKDHIRAVGPPFDYIIGTDVIGYEIRSTSVHEQMLQMWRRNFDVKIVPNSKMDSTYQHPDIQLYIMTLKPPEGYADNAVEVIDEKDDEVETGEEEAAKVIDQKVDEVETIPENTVKVISKKDDEVESSKEKGENSSLDEEVEEDCEPGRRPQSGKLTDWEARRYGSMAARLLHDIKIT >ONI00881 pep chromosome:Prunus_persica_NCBIv2:G6:7840093:7844503:-1 gene:PRUPE_6G109400 transcript:ONI00881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRLNSPTTFTMNLEVLGHDLQFAQEKNCRKGRFSPAKLKGKRVIELGAGCGVAGFGMALLGCDVVMTDQVEVLPLLMRNVERNTSRITQMNSDSFGSIEAAELSWGDKDHIRAVGPPFDYIIGTDVVYKENLLEPLLQTMFALSGPKTTILIGYEIRSTSVHEQMLQMWRRNFDVKIVPNSKMDSTYQHPDIQLYIMTLKPPEGYADNAVEVIDEKDDEVETGEEEAAKVIDQKVDEVETIPENTVKVISKKDDEVESSKEKGENSSLDEEVEEDCEPGRRPQSGKLTDWEARRYGSMAARLLHDIKIT >ONI00883 pep chromosome:Prunus_persica_NCBIv2:G6:7840093:7844503:-1 gene:PRUPE_6G109400 transcript:ONI00883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRLNSPTTFTMNLEVLGHDLQFAQDPNSKHLGTTVWDASLVFVKFLNCRKGRFSPAKLKGKRVIELGAGCGVAGFGMALLGCDVVMTDQVEVLPLLMRNVERNTSRITQMNSDSFGSIEAAELSWGDKDHIRAVGPPFDYIIGTDVVYKENLLEPLLQTMFALSGPKTTILIGYEIRSTSVHEQMLQMWRRNFDVKIVPNSKMDSTYQHPDIQLYIMTLKPPEGYADNAVEVIDEKDDEVETGEEEAAKVIDQKVDEVETIPENTVKVISKKDDEVESSKEKGENSSLDEEVEEDCEPGRRPQSGKLTDWEARRYGSMAARLLHDIKIT >ONI00890 pep chromosome:Prunus_persica_NCBIv2:G6:7840093:7844503:-1 gene:PRUPE_6G109400 transcript:ONI00890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRLNSPTTFTMNLEVLGHDLQFAQDPNSKHLGTTVWDASLVFVKFLEKNCRKGRFSPAKLKGKRVIELGAGCGVAGFGMALLGCDVVMTDQVEVLPLLMRNVERNTSRITQMNSDSFGSIEAAELSWGDKDHIRAVGPPFDYIIGTDVVYKENLLEPLLQTMFALSGPKTTILIGYEIRSTSVHEQMLQMWRRNFDVKIVPNSKMDSTYQHPDIQLYIMTLKPPEGYADNAVEVIDEKDDEVETGEEEAAKVIDQKVDEVETIPENTVKVISKKDDEVESSKEKGENSSLDEEVEEDCEPGRRPQSGKLTDWEARRYGSMAARLLHDIKIT >ONI00889 pep chromosome:Prunus_persica_NCBIv2:G6:7840316:7843945:-1 gene:PRUPE_6G109400 transcript:ONI00889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRLNSPTTFTMNLEVLGHDLQFAQDPNSKHLGTTVWDASLVFVKFLEKNCRKGRFSPAKLKGKRVIELGAGCGVAGFGMALLGCDVVMTDQVEVLPLLMRNVERNTSRITQMNSDSFGSIEAAELSWGDKDHIRAVGPPFDYIIGTDVVYKENLLEPLLQTMFALSGPKTTILIGYEIRSTSVHEQMLQMWRRNFDVKIVPNSKMDSTYQHPDIQLYIMTLKPPEGYADNAVEVIDEKDDEVETGEEEAAKVIDQKVDEVETIPENTVKVISKKDDEVESSKEKGENSSLDEEVEEDCEPGRRPQSGKLTDWEARRYGSMAARLLHDIKIT >ONI00886 pep chromosome:Prunus_persica_NCBIv2:G6:7840316:7843945:-1 gene:PRUPE_6G109400 transcript:ONI00886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRLNSPTTFTMNLEVLGHDLQFAQDPNSKHLGTTVWDASLVFVKFLEKNCRKGRFSPAKLKGKRVIELGAGCGVAGFGMALLGCDVVMTDQVEVLPLLMRNVERNTSRITQMNSDSFGSIEAAELSWGDKDHIRAVGPPFDYIIGTDVIGYEIRSTSVHEQMLQMWRRNFDVKIVPNSKMDSTYQHPDIQLYIMTLKPPEGYADNAVEVIDEKDDEVETGEEEAAKVIDQKVDEVETIPENTVKVISKKDDEVESSKEKGENSSLDEEVEEDCEPGRRPQSGKLTDWEARRYGSMAARLLHDIKIT >ONI00882 pep chromosome:Prunus_persica_NCBIv2:G6:7840316:7843945:-1 gene:PRUPE_6G109400 transcript:ONI00882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRLNSPTTFTMNLEVLGHDLQFAQEKNCRKGRFSPAKLKGKRVIELGAGCGVAGFGMALLGCDVVMTDQVEVLPLLMRNVERNTSRITQMNSDSFGSIEAAELSWGDKDHIRAVGPPFDYIIGTDVVYKENLLEPLLQTMFALSGPKTTILIGYEIRSTSVHEQMLQMWRRNFDVKIVPNSKMDSTYQHPDIQLYIMTLKPPEGYADNAVEVIDEKDDEVETGEEEAAKVIDQKVDEVETIPENTVKVISKKDDEVESSKEKGENSSLDEEVEEDCEPGRRPQSGKLTDWEARRYGSMAARLLHDIKIT >ONI00884 pep chromosome:Prunus_persica_NCBIv2:G6:7840093:7844503:-1 gene:PRUPE_6G109400 transcript:ONI00884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRLNSPTTFTMNLEVLGHDLQFAQDPNSKHLGTTVWDASLVFVKFLEKNCRKGRFSPAKLKGKRVIELGAGCGVAGFGMALLGCDVVMTDQVEVLPLLMRNVERNTSRITQMNSDSFGSIEAAELSWGDKDHIRAVGPPFDYIIGTDVMDSTYQHPDIQLYIMTLKPPEGYADNAVEVIDEKDDEVETGEEEAAKVIDQKVDEVETIPENTVKVISKKDDEVESSKEKGENSSLDEEVEEDCEPGRRPQSGKLTDWEARRYGSMAARLLHDIKIT >ONI00891 pep chromosome:Prunus_persica_NCBIv2:G6:7840316:7843945:-1 gene:PRUPE_6G109400 transcript:ONI00891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRLNSPTTFTMNLEVLGHDLQFAQDPNSKHLGTTVWDASLVFVKFLEKNCRKGRFSPAKLKGKRVIELGAGCGVAGFGMALLGCDVVMTDQVEVLPLLMRNVERNTSRITQMNSDSFGSIEAAELSWGDKDHIRAVGPPFDYIIGTDVVYKENLLEPLLQTMFALSGPKTTILIGYEIRSTSVHEQMLQMWRRNFDVKIVPNSKMDSTYQHPDIQLYIMTLKPPEGYADNAVEVIDEKDDEVETGEEEAAKVIDQKVDEVETIPENTVKVISKKDDEVESSKEKGENSSLDEEVEEDCEPGRRPQSGKLTDWEARRYGSMAARLLHDIKIT >ONI02023 pep chromosome:Prunus_persica_NCBIv2:G6:17814144:17817827:-1 gene:PRUPE_6G173200 transcript:ONI02023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSSLSLLPSTLSPKLSNSSSIPTSTHFQPKTRIPFFRHFQMTNGVFLTPVSVVSTSASAVVGVGDDLPPDYGDVFPQADPSERRRAGVVLHPTSFRGPHGIGDFGEEAFRFIDWLHEAGCSLWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLEELVNDGLLTKEELPKPVDSDRVNYSTVADLKDPLIAKAAERLIRSEGELKSELEDFRNDPDISSWLEDAAYFAAIDSSLNAFSWYEWPEPLKNRHLAALEDIYQSKQHFINIFIAEQFLFQRQWQKVRKYAQMKGISIMGDMPIYVGYHSADVWANKKHFLLNKNGFPLEVSGVPPDAFSETGQLWDSPLYDWKAMEKEGFSWWIRRMRRAQNIYDEFRIDHFRGLAGFWAVPSEAKVAMVGRWKAGPGKSFFDAIFRAAGKINIIAEDLLKLWESVQTKKLYHGIGAGGPDLKPYNYNLYVS >ONI02020 pep chromosome:Prunus_persica_NCBIv2:G6:17812562:17820993:-1 gene:PRUPE_6G173200 transcript:ONI02020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCSPRQIRASLSNSSSIPTSTHFQPKTRIPFFRHFQMTNGVFLTPVSVVSTSASAVVGVGDDLPPDYGDVFPQADPSERRRAGVVLHPTSFRGPHGIGDFGEEAFRFIDWLHEAGCSLWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLEELVNDGLLTKEELPKPVDSDRVNYSTVADLKDPLIAKAAERLIRSEGELKSELEDFRNDPDISSWLEDAAYFAAIDSSLNAFSWYEWPEPLKNRHLAALEDIYQSKQHFINIFIAEQFLFQRQWQKVRKYAQMKGISIMGDMPIYVGYHSADVWANKKHFLLNKNGFPLEVSGVPPDAFSETGQLWDSPLYDWKAMEKEGFSWWIRRMRRAQNIYDEFRIDHFRGLAGFWAVPSEAKVAMVGRWKAGPGKSFFDAIFRAAGKINIIAEDLLKLWESVQTKKLYHGIGAGGPDLKPYNYNLYGVITEDVIQLRKSVGAPGMAVLQFAFGSDAANPHLPHNHEPNQVVYTGTHDNDTIRGWWDVLKQEEKSNVLKYLSIAEEDDISWALAKAALSSVARTAIIPMQDILRLGSSARMNIPATQFGNWGWRIPSSRSFDSLETEAMQLREMLSMYGRL >ONI02021 pep chromosome:Prunus_persica_NCBIv2:G6:17812409:17817828:-1 gene:PRUPE_6G173200 transcript:ONI02021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSSLSLLPSTLSPKLSNSSSIPTSTHFQPKTRIPFFRHFQMTNGVFLTPVSVVSTSASAVVGVGDDLPPDYGDVFPQADPSERRRAGVVLHPTSFRGPHGIGDFGEEAFRFIDWLHEAGCSLWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLEELVNDGLLTKEELPKPVDSDRVNYSTVADLKDPLIAKAAERLIRSEGELKSELEDFRNDPDISSWLEDAAYFAAIDSSLNAFSWYEWPEPLKNRHLAALEDIYQSKQHFINIFIAEQFLFQRQWQKVRKYAQMKGISIMGDMPIYVGYHSADVWANKKHFLLNKNGFPLEVSGVPPDAFSETGQLWDSPLYDWKAMEKEGFSWWIRRMRRAQNIYDEFRIDHFRGLAGFWAVPSEAKVAMVGRWKGVITEDVIQLRKSVGAPGMAVLQFAFGSDAANPHLPHNHEPNQVVYTGTHDNDTIRGWWDVLKQEEKSNVLKYLSIAEEDDISWALAKAALSSVARTAIIPMQDILRLGSSARMNIPATQFGNWGWRIPSSRSFDSLETEAMQLREMLSMYGRL >ONI02019 pep chromosome:Prunus_persica_NCBIv2:G6:17812412:17821308:-1 gene:PRUPE_6G173200 transcript:ONI02019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCSPRQIRASLSNSSSIPTSTHFQPKTRIPFFRHFQMTNGVFLTPVSVVSTSASAVVGVGDDLPPDYGDVFPQADPSERRRAGVVLHPTSFRGPHGIGDFGEEAFRFIDWLHEAGCSLWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLEELVNDGLLTKEELPKPVDSDRVNYSTVADLKDPLIAKAAERLIRSEGELKSELEDFRNDPDISSWLEDAAYFAAIDSSLNAFSWYEWPEPLKNRHLAALEDIYQSKQHFINIFIAEQFLFQRQWQKVRKYAQMKGISIMGDMPIYVGYHSADVWANKKHFLLNKNGFPLEVSGVPPDAFSETGQLWDSPLYDWKAMEKEGFSWWIRRMRRAQNIYDEFRIDHFRGLAGFWAVPSEAKVAMVGRWKAGPGKSFFDAIFRAAGKINIIAEDLGVITEDVIQLRKSVGAPGMAVLQFAFGSDAANPHLPHNHEPNQVVYTGTHDNDTIRGWWDVLKQEEKSNVLKYLSIAEEDDISWALAKAALSSVARTAIIPMQDILRLGSSARMNIPATQFGNWGWRIPSSRSFDSLETEAMQLREMLSMYGRL >ONI02022 pep chromosome:Prunus_persica_NCBIv2:G6:17812369:17822244:-1 gene:PRUPE_6G173200 transcript:ONI02022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSSLSLLPSTLSPKLSNSSSIPTSTHFQPKTRIPFFRHFQMTNGVFLTPVSVVSTSASAVVGVGDDLPPDYGDVFPQADPSERRRAGVVLHPTSFRGPHGIGDFGEEAFRFIDWLHEAGCSLWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLEELVNDGLLTKEELPKPVDSDRVNYSTVADLKDPLIAKAAERLIRSEGELKSELEDFRNDPDISSWLEDAAYFAAIDSSLNAFSWYEWPEPLKNRHLAALEDIYQSKQHFINIFIAEQFLFQRQWQKVRKYAQMKGISIMGDMPIYVGYHSADVWANKKHFLLNKNGFPLEVSGVPPDAFSETGQLWDSPLYDWKAMEKEGFSWWIRRMRRAQNIYDEFRIDHFRGLAGFWAVPSEAKVAMVGRWKAGPGKSFFDAIFRAAGKINIIAEDLGVITEDVIQLRKSVGAPGMAVLQFAFGSDAANPHLPHNHEPNQVVYTGTHDNDTIRGWWDVLKQEEKSNVLKYLSIAEEDDISWALAKAALSSVARTAIIPMQDILRLGSSARMNIPATQFGNWGWRIPSSRSFDSLETEAMQLREMLSMYGRL >ONI01238 pep chromosome:Prunus_persica_NCBIv2:G6:10020222:10026146:-1 gene:PRUPE_6G129100 transcript:ONI01238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISATCPDSSFFLEYKDENGLRKSCMASHRVGETATGLSESGPSNHHVPYAVLHGMNAPSTSFLNQEGSAFDFGELEEAIARQVRNDEAQAPLFTGRPAATLEMFPSWPMRFHQTPRGSSKSGGESTDSGSQVNTLTSKGEGGQLEPESPISKKASSSDHQQTFDQKHLQFQQQQQLQAQDMAISDSSRGGAVGVGASQSQSAAKPSQEKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQDLQRARAQGLFLGGCGGGLGNISSGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDSDLRVIVDGYISHYDEIFQLKGVAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKMLTAQLDPLTEQQFMGIYSLQQSSQQAEEALTQGLEQLHQSLVDTIAGGPVIDGMQQMAVALGKLTNLEGFVRQGCHYHALGQIFRQMIYDIIQIIDWSHPLHACHVCSWLYWVIIIS >ONI01235 pep chromosome:Prunus_persica_NCBIv2:G6:10019190:10026146:-1 gene:PRUPE_6G129100 transcript:ONI01235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHRVGETATGLSESGPSNHHVPYAVLHGMNAPSTSFLNQEGSAFDFGELEEAIARQVRNDEAQAPLFTGRPAATLEMFPSWPMRFHQTPRGSSKSGGESTDSGSQVNTLTSKGEGGQLEPESPISKKASSSDHQQTFDQKHLQFQQQQQLQAQDMAISDSSRGGAVGVGASQSQSAAKPSQEKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQDLQRARAQGLFLGGCGGGLGNISSGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDSDLRVIVDGYISHYDEIFQLKGVAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKMLTAQLDPLTEQQFMGIYSLQQSSQQAEEALTQGLEQLHQSLVDTIAGGPVIDGMQQMAVALGKLTNLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESMISDDNSCQTTTDLQMVQPSQNHFSSF >ONI01234 pep chromosome:Prunus_persica_NCBIv2:G6:10019190:10026149:-1 gene:PRUPE_6G129100 transcript:ONI01234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHRVGETATGLSESGPSNHHVPYAVLHGMNAPSTSFLNQEGSAFDFGELEEAIARQVRNDEAQAPLFTGRPAATLEMFPSWPMRFHQTPRGSSKSGGESTDSGSQVNTLTSKGEGGQLEPESPISKKASSSDHQQTFDQKHLQFQQQQQLQAQDMAISDSSRGGAVGVGASQSQSAAKPSQEKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQDLQRARAQGLFLGGCGGGLGNISSGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDSDLRVIVDGYISHYDEIFQLKGVAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKMLTAQLDPLTEQQFMGIYSLQQSSQQAEEALTQGLEQLHQSLVDTIAGGPVIDGMQQMAVALGKLTNLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESMISDDNSCQTTTDLQMVQPSQNHFSSF >ONI01236 pep chromosome:Prunus_persica_NCBIv2:G6:10019490:10025545:-1 gene:PRUPE_6G129100 transcript:ONI01236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHRVGETATGLSESGPSNHHVPYAVLHGMNAPSTSFLNQEGSAFDFGELEEAIARQVRNDEAQAPLFTGRPAATLEMFPSWPMRFHQTPRGSSKSGGESTDSGSQVNTLTSKGEGGQLEPESPISKKASSSDHQQTFDQKHLQFQQQQQLQAQDMAISDSSRGGAVGVGASQSQSAAKPSQEKRKGAGSTSENKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQDLQRARAQGLFLGGCGGGLGNISSGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDSDLRVIVDGYISHYDEIFQLKGVAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKMLTAQLDPLTEQQFMGIYSLQQSSQQAEEALTQGLEQLHQSLVDTIAGGPVIDGMQQMAVALGKLTNLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESMISDDNSCQTTTDLQMVQPSQNHFSSF >ONI01233 pep chromosome:Prunus_persica_NCBIv2:G6:10019490:10025626:-1 gene:PRUPE_6G129100 transcript:ONI01233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISATCPDSSFFLEYKDENGLRKSCMASHRVGETATGLSESGPSNHHVPYAVLHGMNAPSTSFLNQEGSAFDFGELEEAIARQVRNDEAQAPLFTGRPAATLEMFPSWPMRFHQTPRGSSKSGGESTDSGSQVNTLTSKGEGGQLEPESPISKKASSSDHQQTFDQKHLQFQQQQQLQAQDMAISDSSRGGAVGVGASQSQSAAKPSQEKRKGAGSTSENKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQDLQRARAQGLFLGGCGGGLGNISSGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDSDLRVIVDGYISHYDEIFQLKGVAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKMLTAQLDPLTEQQFMGIYSLQQSSQQAEEALTQGLEQLHQSLVDTIAGGPVIDGMQQMAVALGKLTNLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESMISDDNSCQTTTDLQMVQPSQNHFSSF >ONI01239 pep chromosome:Prunus_persica_NCBIv2:G6:10020448:10025626:-1 gene:PRUPE_6G129100 transcript:ONI01239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISATCPDSSFFLEYKDENGLRKSCMASHRVGETATGLSESGPSNHHVPYAVLHGMNAPSTSFLNQEGSAFDFGELEEAIARQVRNDEAQAPLFTGRPAATLEMFPSWPMRFHQTPRGSSKSGGESTDSGSQVNTLTSKGEGGQLEPESPISKKASSSDHQQTFDQKHLQFQQQQQLQAQDMAISDSSRGGAVGVGASQSQSAAKPSQEKRKGAGSTSENKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQDLQRARAQGLFLGGCGGGLGNISSGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDSDLRVIVDGYISHYDEIFQLKGVAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKMLTAQLDPLTEQQFMGIYSLQQSSQQAEEALTQGLEQLHQSLVDTIAGGPVIDGMQQMAVALGKLTNLEGFVRQGCHYHALGQIFRQMIYDIIQIIDWSHPLHACHVCSWLYWVIIIS >ONI01237 pep chromosome:Prunus_persica_NCBIv2:G6:10019190:10026267:-1 gene:PRUPE_6G129100 transcript:ONI01237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHRVGETATGLSESGPSNHHVPYAVLHGMNAPSTSFLNQEGSAFDFGELEEAIARQVRNDEAQAPLFTGRPAATLEMFPSWPMRFHQTPRGSSKSGGESTDSGSQVNTLTSKGEGGQLEPESPISKKASSSDHQQTFDQKHLQFQQQQQLQAQDMAISDSSRGGAVGVGASQSQSAAKPSQEKRKGAGSTSENKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQDLQRARAQGLFLGGCGGGLGNISSGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDSDLRVIVDGYISHYDEIFQLKGVAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKMLTAQLDPLTEQQFMGIYSLQQSSQQAEEALTQGLEQLHQSLVDTIAGGPVIDGMQQMAVALGKLTNLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESMISDDNSCQTTTDLQMVQPSQNHFSSF >ONI01232 pep chromosome:Prunus_persica_NCBIv2:G6:10019190:10026146:-1 gene:PRUPE_6G129100 transcript:ONI01232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISATCPDSSFFLEYKDENGLRKSCMASHRVGETATGLSESGPSNHHVPYAVLHGMNAPSTSFLNQEGSAFDFGELEEAIARQVRNDEAQAPLFTGRPAATLEMFPSWPMRFHQTPRGSSKSGGESTDSGSQVNTLTSKGEGGQLEPESPISKKASSSDHQQTFDQKHLQFQQQQQLQAQDMAISDSSRGGAVGVGASQSQSAAKPSQEKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQDLQRARAQGLFLGGCGGGLGNISSGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDSDLRVIVDGYISHYDEIFQLKGVAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKMLTAQLDPLTEQQFMGIYSLQQSSQQAEEALTQGLEQLHQSLVDTIAGGPVIDGMQQMAVALGKLTNLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESMISDDNSCQTTTDLQMVQPSQNHFSSF >ONI03503 pep chromosome:Prunus_persica_NCBIv2:G6:25148454:25151225:1 gene:PRUPE_6G261100 transcript:ONI03503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRVASLLILTMAILCCTGHTAATVKHCPDCGHMRVPYPLSTSPDCGNQQYKVRCNAGVLWLDTLNSSSYLITSINPLTQRLIIRPPGLANNVTCMAADFKSQGILLDNNLPFNISSGNTVIGMNCSNEMLTLSQNCSSNSLCHDYVKHNPMAASACRKFPLCCLYKTGGSNNAYKIRVRKERCSAYVSFVNLDTSSGALSSWPEPGVEIMWELPPEPECKLPIDCGDLMNSVCLADRFGQRRCLCKAGFQWDAINAICHNIKCPNWRRCKRRKKLAPLIGGLVFAAVAMLIGAIIGSVVYKRRDQNVARSAHFSLTKFREDMLNANNSAGKSAKIFTGKEITRATNNFSKDNVLGSGGFGEVFKGVLDDGTITAVKRAKPGNTKGMDQIFNEVRILCQVNHRSLVKLMGCCLELEQPLLIYEYIRNGTLFEHLHTGNFNTSKRVSLTWPMRLTIARQTAEGLAYLHDSVVPRIYHCDIKSSNILLDDKLNAKVADFGLSRLAMTESSHITTCAQGTLGYLDPEYYLNFQLTDKSDVYSFGVVLLELLTSKKVIDFNRQEEDVNLVVYVKNILREERLMDAIDPRIKDGAGIVELETMKALGSLASACLDERRQNRPSMKEVADEIEYIISIVTNQVSAT >ONI01852 pep chromosome:Prunus_persica_NCBIv2:G6:15428703:15429873:1 gene:PRUPE_6G162700 transcript:ONI01852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRIPSISATLLQSPLIIRITSCESNSTSKLKNPLCRQKPNSSRIASASAASAVPHQPIFRPPAHKSSPPSARITQPTPPLFICASQAPSQLALTTPDGGFYQVLLRALGLSGGLGSSLMGILVFNRASLYSLTCRSQHLTNSKGSKGYFSNTTAFLLFQILHKPKATIWRISSI >ONI00839 pep chromosome:Prunus_persica_NCBIv2:G6:7584298:7588492:-1 gene:PRUPE_6G106700 transcript:ONI00839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSPKMIVRNPTGKSRKKLTLQIMKRREKFFEDDKMGEAAKRFQCSEDSDVDNNGEGDKKKMKKKEKREKVDGEWVQKIITDGKEAGVESEHSDGKIMEKGGFMKKEKRNHRKKSGSQMRETVVEKLGTESSRIEGNNFLENDGNVQTTGDETSNSNIEVVYVEDSNKRKKRATSKKHVNVGDCNEDEKEINKSDKGSLKTPKNIRAPDLSENSTPRKPSKRVSFSDDVQVVYVEDSNKRKKRAKSKKHVNVGDCNEDKKEIAKSDEGSLKAQKNVFPQCDAPKDAENGLVQGKRFSEEEDKLVKEAVLTYIEEHRLGDEGIDKVLNCRSNPQVKNCWKDIGAALPWRPSKSIYYRAHILFEQGKERNWTPEEYEEVRRAAMESKDKGEAKPNWRKVGNELGKHRIHVKDAWRRIKLPNMKKGHWSQEEYKTLFDLVNKDLQMRTLEEKKSKHGMLRDNIKWESISETLGTRTNPACCQKWYYQLTSPLVAEGVWADADDYGLLYALDSLDACCMEDVDWDNVLEQRSGDVCRKRWNQMVKHIGQYGTKSFAEKVEILSKRYCADALEARAVFDSKPAVDSGLFGIKVADVLGTREEALL >ONI00840 pep chromosome:Prunus_persica_NCBIv2:G6:7584728:7586611:-1 gene:PRUPE_6G106700 transcript:ONI00840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSPKMIVRNPTGKSRKKLTLQIMKRREKFFEDDKMGEAAKRFQCSEDSDVDNNGEGDKKKMKKKEKREKVDGEWVQKIITDGKEAGVESEHSDGKIMEKGGFMKKEKRNHRKKSGSQMRETVVEKLGTESSRIEGNNFLENDGNVQTTGDETSNSNIEVVYVEDSNKRKKRATSKKHVNVGDCNEDEKEINKSDKGSLKTPKNIRAPDLSENSTPRKPSKRVSFSDDVQVVYVEDSNKRKKRAKSKKHVNVGDCNEDKKEIAKSDEGSLKAQKNVRAPNLSEKSTPRKPSKRVSFSDDVQVFPQCDAPKDAENGLVQGKRFSEEEDKLVKEAVLTYIEEHRLGDEGIDKVLNCRSNPQVKNCWKDIGAALPWRPSKSIYYRAHILFEQGKERNWTPEEYEEVRRAAMESKDKGEAKPNWRKVGNELGKHRIHVKDAWRRIKLPNMKKGHWSQEEYKTLFDLVNKDLQMRTLEEKKSKHGMLRDNIKWESISETLGTRTNPACCQKWYYQLTSPLVAEGVWADADDYGLLYALDSLDACCMEDVDWDNVLEQRSGDVCRKRWNQMVKHIGQYGTKSFAEKVEILSKRYCADALEARAVFDSKPAVDSGLFGIKVADVLGTREEALL >ONI00841 pep chromosome:Prunus_persica_NCBIv2:G6:7584728:7586611:-1 gene:PRUPE_6G106700 transcript:ONI00841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSPKMIVRNPTGKSRKKLTLQIMKRREKFFEDDKMGEAAKRFQCSEDSDVDNNGEGDKKKMKKKEKREKVDGEWVQKIITDGKEAGVESEHSDGKIMEKGGFMKKEKRNHRKKSGSQMRETVVEKLGTESSRIEGNNFLENDGNVQTTGDETSNSNIEVVYVEDSNKRKKRATSKKHVNVGDCNEDEKEINKSDKGSLKTPKNIRAPDLSENSTPRKPSKRVSFSDDVQVVYVEDSNKRKKRAKSKKHVNVGDCNEDKKEIAKSDEGSLKAQKNVRAPNLSEKSTPRKPSKRVSFSDDVQVFPQCDAPKDAENGLVQGKRFSEEEDKLVKEAVLTYIEEHRLGDEGIDKVLNCRSNPQVKNCWKDIGAALPWRPSKSIYYRAHILFEQGKERNWTPEEYEEVRRAAMESKDKGEAKPNWRKVGNELGKHRIHVKDAWRRIKLPNMKKGHWSQEEYKTLFDLVNKDLQMRTLEEKKSKHGMLRDNIKWESISETLGTRTNPACCQKWYYQLTSPLVAEGVWADADDYGLLYALDSLDACCMEDVDWDNVLEQRSGDVCRKRWNQMVKHIGQYGTKSFAEKVEILSKRYCADALEARAVFDSKPAVDSGLFGIKVADVLGTREEALL >ONI02001 pep chromosome:Prunus_persica_NCBIv2:G6:17709761:17710652:1 gene:PRUPE_6G172100 transcript:ONI02001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSSSHGLRCPLCGVEMKLLLSTTKQHYNKYFWKCTRKYVRYDFESVLFYDMDVVVMQSGCMMYGGAGCKGFVWCDDVDKTK >ONI01249 pep chromosome:Prunus_persica_NCBIv2:G6:10094080:10094651:-1 gene:PRUPE_6G129900 transcript:ONI01249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFALPEDLKMKIVELVGSNVKPTLVRDLTFCEHDFGNRLVLVLPESLSGVPINLKKKQSLTVRMIGPSLNVFDMTLSRSADGGHVLKDWNSVMQIEDFEEGDTVYLWSFKDPGDILWFVMHA >ONI01594 pep chromosome:Prunus_persica_NCBIv2:G6:12489558:12490432:1 gene:PRUPE_6G148400 transcript:ONI01594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLAEGIQAYNQAMMEYNVGQALTEKIQSTNHILQAHLHICHEGKQELDKLKEEQKKIEARKSTILAKIDNAVQDSQPHQVELEKFMQQ >ONI03174 pep chromosome:Prunus_persica_NCBIv2:G6:24120325:24125688:1 gene:PRUPE_6G243100 transcript:ONI03174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFIILGYSASSSSSIATLPNPHGSNHSTKPYKDKPLSLPKLSPSKSSPPISTLHSRPPPLFAVRWDPTKTHLSYFADLASKLARDGKFQDFAMVVESVVLSGVRGSEFTAALKLELVAKGISGLLKEGKVRSVVEVLGKVNELGVPPLKLFDGYAMELLGRQCSRLLKCKQVQELVELMEALAGYRFPIKELLEPSEVIKLCVDKCCPKLAIRYACIFPHAHILFCNIIYEFGKRKALEPALAAYEASKENLNGSNMYVYRTIIDVCGLCKDYMKSRYIYEDLLKQKVTPNIYVFNSLMNVNAHDLNYTFHVYKSMQNLGVRADMACYNILLKACCLAGRVDLAQDIYSEVQHLESTGVLKLDVFTYSTIVKVFADAKLWHMALNVKEDMLSAGVTPNTVTWSSLISACANAGIVEKAIQLFEEMLLAGSEPNSQCFNILLHACVEANQYDRAFRLFQSLKSTKVQETFGKKYKGLSFKPTTTTYNTLMKACGTDYYHAKALLDEMRAVGLYPNQISWSILADICGGSGNVEGALQILKNMRAAGMKPDVVAYTTAIKVCVENENLELALSLFGEMKKYQIHPNLVTYNTLLRARSRYGSVSEVQQCLAIYQDMRKAGYKSNDYYLEQLIEEWCEGVIQDSNAKQEEFSSCNKTDIGRPGSLLLEKVAEHLQTHIAETLAVDLQGLTKVEARIVVLAVLRMIKENYTLGHSVKDDMLIVVGEVDGGSTTQNLEVKDAITKLLQDELGLKVLAAGAKVGLDTTIERGNTTDSDQDLDEMSGRDELPAELIYSTRRPVALERLKVTRGSLQHWLRRRSAPRR >ONI02543 pep chromosome:Prunus_persica_NCBIv2:G6:21304106:21315909:-1 gene:PRUPE_6G205000 transcript:ONI02543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQSQIEVTKLNKMLPPQPGAFEDREDLIKYVRDFGASQGYVVTIKKSRKDRRVILGCDRGGVYRNRRKIDESKRKRKASSRLINCPFEAIGKKEDDLWVLAIKNGDHNHDALKDMSEHPYSRRFTEEEVRQIKQMTEAGVKPRQVLKTLKQINPELQSTPRHLYNLKAKIRQGNLSEKSFKSWRPDRSALVSTSATTSGGSSEQNNQPLKVPNFIGGKFVDSQGCSIIDVVNPATQEVVSHVPLTTYEEFKAAVSSAKQAFPSWKNTPITTRQRIMFKLQELIRRDIDKLAMNITIEQGKTLKGAENDVLRGLEVVEHACGMATLQMGEFVPNASNGIDTYCIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPCENNPGASMILAALAKEAGLPNGVLNIVHGTHDVINYVCDDDDIKAVSLVGSNTAWMHIYARAVARGKRVQSNIGGKNHAIIMPDASMDATLNALVTAGFGAAGQRCMTLNTAVFVGGSRPWESEILEHVRALKVNVGTDPSADLGPVITKEVKDCICRLVQSSVESGARLLLDGRNVRVPGYENGNFIGPTILCDVTTNMDCFKEEIFGPVLLCMQAASLEEAISIINRNRYGNGASIFTTSGIAARKFQNEVEAGLVGINVPVPIPLPFSSFDGSKASFGSDLNFCGKAGVQFYTQIKTVAQQWKDLPSLEVSLPLPPSSETDLTGRGVSSALPSTSERDSPSQRVSPDMHPESESDSPSHGAPLSITPTSEADLPNPGVLSVSPTAYRNLSSQGVPLVRPATSERDLSSAEISLATHPEPERDIPSQGVSMRPTQSSERMYMPQTSRWMEASILTPRRTENMPQTSHWMETSIPASQRTQNIPSSERNHVPTSQRNGNKALTSQRTDTSMALTSGRVYVPASHDNMVPISHRNDGISATSQRMDTTLHPASERVYMLAGSQLNDSMGQTFQSNDTTMFSTSERLYMPETSHRHDHMGSTSQRTDITLHPTSERIYMSTASQRNDDLAVASQHADAVPSTSERLYMSPLVQRNPGMSPTSERLYIPGAPQRMFPQNSMVSMDEFPSQGASLTLPTSQRI >ONI02542 pep chromosome:Prunus_persica_NCBIv2:G6:21303991:21316342:-1 gene:PRUPE_6G205000 transcript:ONI02542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQSQIEVTKLNKMLPPQPGAFEDREDLIKYVRDFGASQGYVVTIKKSRKDRRVILGCDRGGVYRNRRKIDESKRKRKASSRLINCPFEAIGKKEDDLWVLAIKNGDHNHDALKDMSEHPYSRRFTEEEVRQIKQMTEAGVKPRQVLKTLKQINPELQSTPRHLYNLKAKIRQGNLSEKSFKSWRPDRSALVSTSATTSGGSSEQNNQPLKVPNFIGGKFVDSQGCSIIDVVNPATQEVVSHVPLTTYEEFKAAVSSAKQAFPSWKNTPITTRQRIMFKLQELIRRDIDKLAMNITIEQGKTLKGAENDVLRGLEVVEHACGMATLQMGEFVPNASNGIDTYCIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPCENNPGASMILAALAKEAGLPNGVLNIVHGTHDVINYVCDDDDIKAVSLVGSNTAWMHIYARAVARGKRVQSNIGGKNHAIIMPDASMDATLNALVTAGFGAAGQRCMTLNTAVFVGGSRPWESEILEHVRALKVNVGTDPSADLGPVITKEVKDCICRLVQSSVESGARLLLDGRNVRVPGYENGNFIGPTILCDVTTNMDCFKEEIFGPVLLCMQAASLEEAISIINRNRYGNGASIFTTSGIAARKFQNEVEAGLVGINVPVPIPLPFSSFDGSKASFGSDLNFCGKAGVQFYTQIKTVAQQWKDLPSLEVSLPLPPSSETDLTGRGVSSALPSTSERDSPSQRVSPDMHPESESDSPSHGAPLSITPTSEADLPNPGVLSVSPTAYRNLSSQGVPLVRPATSERDLSSAEISLATHPEPERDIPSQGVSMRPTQSSERMYMPQTSRWMEASILTPRRTENMPQTSHWMETSIPASQRTQNIPSSERNHVPTSQRNGNKALTSQRTDTSMALTSGRVYVPASHDNMVPISHRNDGISATSQRMDTTLHPASERVYMLAGSQLNDSMGQTFQSNDTTMFSTSERLYMPETSHRHDHMGSTSQRTDITLHPTSERIYMSTASQRNDDLAVASQHADAVPSTSERLYMSPLVQRNPGMSPTSERLYIPGAPQRMFPQNSMVSMDEFPSQGASLTLPTSQRI >ONI02540 pep chromosome:Prunus_persica_NCBIv2:G6:21304345:21315997:-1 gene:PRUPE_6G205000 transcript:ONI02540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQSQIEVTKLNKMLPPQPGAFEDREDLIKYVRDFGASQGYVVTIKKSRKDRRVILGCDRGGVYRNRRKIDESKRKRKASSRLINCPFEAIGKKEDDLWVLAIKNGDHNHDALKDMSEHPYSRRFTEEEVRQIKQMTEAGVKPRQVLKTLKQINPELQSTPRHLYNLKAKIRQGNLSEKSFKSWRPDRSALVSTSATTSGGSSEQNNQPLKVPNFIGGKFVDSQGCSIIDVVNPATQEVVSHVPLTTYEEFKAAVSSAKQAFPSWKNTPITTRQRIMFKLQELIRRDIDKLAMNITIEQGKTLKGAENDVLRGLEVVEHACGMATLQMGEFVPNASNGIDTYCIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPCENNPGASMILAALAKEAGLPNGVLNIVHGTHDVINYVCDDDDIKAVSLVGSNTAWMHIYARAVARGKRVQSNIGGKNHAIIMPDASMDATLNALVTAGFGAAGQRCMTLNTAVFVGGSRPWESEILEHVRALKVNVGTDPSADLGPVITKEVKDCICRLVQSSVESGARLLLDGRNVRVPGYENGNFIGPTILCDVTTNMDCFKEEIFGPVLLCMQAASLEEAISIINRNRYGNGASIFTTSGIAARKFQNEVEAGLVGINVPVPIPLPFSSFDGSKASFGSDLNFCGKAGVQFYTQIKTVAQQWKDLPSLEVSLPLPPSSETDLTGRGVSSALPSTSERDSPSQRVSPDMHPESESDSPSHGAPLSITPTSEADLPNPGVLSVSPTAYRNLSSQGVPLVRPATSERDLSSAEISLATHPEPERDIPSQGVSMRPTQSSERMYMPQTSRWMEASILTPRRTENMPQTSHWMETSIPASQRTQNIPSSERNHVPTSQRNGNKALTSQRTDTSMALTSGRVYVPASHDNMVPISHRNDGISATSQRMDTTLHPASERVYMLAGSQLNDSMGQTFQSNDTTMFSTSERLYMPETSHRHDHMGSTSQRTDITLHPTSERIYMSTASQRNDDLAVASQHADAVPSTSERLYMSPLVQRNPGMSPTSERLYIPGAPQRMFPQNSMVSMDEFPSQGASLTLPTSQRI >ONI02541 pep chromosome:Prunus_persica_NCBIv2:G6:21303971:21316153:-1 gene:PRUPE_6G205000 transcript:ONI02541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQSQIEVTKLNKMLPPQPGAFEDREDLIKYVRDFGASQGYVVTIKKSRKDRRVILGCDRGGVYRNRRKIDESKRKRKASSRLINCPFEAIGKKEDDLWVLAIKNGDHNHDALKDMSEHPYSRRFTEEEVRQIKQMTEAGVKPRQVLKTLKQINPELQSTPRHLYNLKAKIRQGNLSEKSFKSWRPDRSALVSTSATTSGGSSEQNNQPLKVPNFIGGKFVDSQGCSIIDVVNPATQEVVSHVPLTTYEEFKAAVSSAKQAFPSWKNTPITTRQRIMFKLQELIRRDIDKLAMNITIEQGKTLKGAENDVLRGLEVVEHACGMATLQMGEFVPNASNGIDTYCIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPCENNPGASMILAALAKEAGLPNGVLNIVHGTHDVINYVCDDDDIKAVSLVGSNTAWMHIYARAVARGKRVQSNIGGKNHAIIMPDASMDATLNALVTAGFGAAGQRCMTLNTAVFVGGSRPWESEILEHVRALKVNVGTDPSADLGPVITKEVKDCICRLVQSSVESGARLLLDGRNVRVPGYENGNFIGPTILCDVTTNMDCFKEEIFGPVLLCMQAASLEEAISIINRNRYGNGASIFTTSGIAARKFQNEVEAGLVGINVPVPIPLPFSSFDGSKASFGSDLNFCGKAGVQFYTQIKTVAQQWKDLPSLEVSLPLPPSSETDLTGRGVSSALPSTSERDSPSQRVSPDMHPESESDSPSHGAPLSITPTSEADLPNPGVLSVSPTAYRNLSSQGVPLVRPATSERDLSSAEISLATHPEPERDIPSQGVSMRPTQSSERMYMPQTSRWMEASILTPRRTENMPQTSHWMETSIPASQRTQNIPSSERNHVPTSQRNGNKALTSQRTDTSMALTSGRVYVPASHDNMVPISHRNDGISATSQRMDTTLHPASERVYMLAGSQLNDSMGQTFQSNDTTMFSTSERLYMPETSHRHDHMGSTSQRTDITLHPTSERIYMSTASQRNDDLAVASQHADAVPSTSERLYMSPLVQRNPGMSPTSERLYIPGAPQRMFPQNSMVSMDEFPSQGASLTLPTSQRI >ONI02544 pep chromosome:Prunus_persica_NCBIv2:G6:21304324:21313817:-1 gene:PRUPE_6G205000 transcript:ONI02544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLQELIRRDIDKLAMNITIEQGKTLKGAENDVLRGLEVVEHACGMATLQMGEFVPNASNGIDTYCIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPCENNPGASMILAALAKEAGLPNGVLNIVHGTHDVINYVCDDDDIKAVSLVGSNTAWMHIYARAVARGKRVQSNIGGKNHAIIMPDASMDATLNALVTAGFGAAGQRCMTLNTAVFVGGSRPWESEILEHVRALKVNVGTDPSADLGPVITKEVKDCICRLVQSSVESGARLLLDGRNVRVPGYENGNFIGPTILCDVTTNMDCFKEEIFGPVLLCMQAASLEEAISIINRNRYGNGASIFTTSGIAARKFQNEVEAGLVGINVPVPIPLPFSSFDGSKASFGSDLNFCGKAGVQFYTQIKTVAQQWKDLPSLEVSLPLPPSSETDLTGRGVSSALPSTSERDSPSQRVSPDMHPESESDSPSHGAPLSITPTSEADLPNPGVLSVSPTAYRNLSSQGVPLVRPATSERDLSSAEISLATHPEPERDIPSQGVSMRPTQSSERMYMPQTSRWMEASILTPRRTENMPQTSHWMETSIPASQRTQNIPSSERNHVPTSQRNGNKALTSQRTDTSMALTSGRVYVPASHDNMVPISHRNDGISATSQRMDTTLHPASERVYMLAGSQLNDSMGQTFQSNDTTMFSTSERLYMPETSHRHDHMGSTSQRTDITLHPTSERIYMSTASQRNDDLAVASQHADAVPSTSERLYMSPLVQRNPGMSPTSERLYIPGAPQRMFPQNSMVSMDEFPSQGASLTLPTSQRI >ONI04640 pep chromosome:Prunus_persica_NCBIv2:G6:28922874:28923401:1 gene:PRUPE_6G332000 transcript:ONI04640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSSSKLALLVVLMVIASGLELCAEARNIAVIEPDCIYDPECANFCCANQKIEAHHTIPPPLSPMAMN >ONI01177 pep chromosome:Prunus_persica_NCBIv2:G6:9699111:9703299:1 gene:PRUPE_6G126200 transcript:ONI01177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHRATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQHLEEETIINFVSPEKDVDGFHPLNIGNLALRGREPLFIPCAPKACIELLLRYRVEIIGKNAVVIGRSKIAGLSTSLLLQRHHATVSTLHSFTNNPEQITRRADIVVSDVGIPNIVGCDWLMPGAVVVDMGTNSVKLNYLVSI >ONI01181 pep chromosome:Prunus_persica_NCBIv2:G6:9699111:9703299:1 gene:PRUPE_6G126200 transcript:ONI01181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHRATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQHLEEETIINFVSPEKDVDGFHPLNIGNLALRGREPLFIPCAPKACIELLLRYRVEIIGKNAVVIGRSKIAGLSTSLLLQSWTEAPRDG >ONI01180 pep chromosome:Prunus_persica_NCBIv2:G6:9699111:9703299:1 gene:PRUPE_6G126200 transcript:ONI01180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHRATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQHLEEETIINFVSPEKDVDGFHPLNIGNLALRGREPLFIPCAPKACIELLLRYRVEIIGKNAVVIGRSKIAGLSTSLLLQSWTEAPRDG >ONI01175 pep chromosome:Prunus_persica_NCBIv2:G6:9699111:9703299:1 gene:PRUPE_6G126200 transcript:ONI01175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHRATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQDPNSPRGFRTTDDVCYEEAIKVVSAITPVPGGVGPAVISMLLSNTLDSAKRAYGFT >ONI01179 pep chromosome:Prunus_persica_NCBIv2:G6:9699405:9700544:1 gene:PRUPE_6G126200 transcript:ONI01179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHPVKTGATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQHLEEETIINFVSPEKDVDGFHPLNIGNLALRGREPLFIPCAPKACIELLLRYRVEIIGKNAVVIGRSKIAGLSTSLLLQSWTEAPRDG >ONI01173 pep chromosome:Prunus_persica_NCBIv2:G6:9699105:9703299:1 gene:PRUPE_6G126200 transcript:ONI01173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHRATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQHLEEETIINFVSPEKDVDGFHPLNIGNLALRGREPLFIPCAPKACIELLLRYRVEIIGKNAVVIGRSKIAGLSTSLLLQRHHATVSTLHSFTNNPEQITRRADIVVSDVGIPNIVGCDWLMPGAVVVDMGTNSDPNSPRGFRTTDDVCYEEAIKVVSAITPVPGGVGPAVISMLLSNTLDSAKRAYGFT >ONI01183 pep chromosome:Prunus_persica_NCBIv2:G6:9699111:9703299:1 gene:PRUPE_6G126200 transcript:ONI01183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHRATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQHLEEETIINFVSPEKDVDGFHPLNIGNLALRGREPLFIPCAPKACIELLLRYRVEIIGKNAVVIGRSKIAGLSTSLLLQSWTEAPRDG >ONI01184 pep chromosome:Prunus_persica_NCBIv2:G6:9699405:9700492:1 gene:PRUPE_6G126200 transcript:ONI01184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHRATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQHLEEETIINFVSPEKDVDGFHPLNIGNLALRGREPLFIPCAPKACIELLLRYRVEIIGKNAVVIGRSKIAGLSTSLLLQVTHIFVLYCIDIRMFE >ONI01174 pep chromosome:Prunus_persica_NCBIv2:G6:9699105:9703299:1 gene:PRUPE_6G126200 transcript:ONI01174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHRATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQHLEEETIINFVSPEKDVDGFHPLNIGNLALRGREPLFIPCAPKACIELLLRYRVEIIGKNAVVIGRSKIAGLSTSLLLQDPNSPRGFRTTDDVCYEEAIKVVSAITPVPGGVGPAVISMLLSNTLDSAKRAYGFT >ONI01178 pep chromosome:Prunus_persica_NCBIv2:G6:9699405:9700544:1 gene:PRUPE_6G126200 transcript:ONI01178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHPVKTGATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQHLEEETIINFVSPEKDVDGFHPLNIGNLALRGREPLFIPCAPKACIELLLRYRVEIIGKNAVVIGRSKIAGLSTSLLLQSWTEAPRDG >ONI01176 pep chromosome:Prunus_persica_NCBIv2:G6:9699111:9703299:1 gene:PRUPE_6G126200 transcript:ONI01176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHRATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQHLEEETIINFVSPEKDVDGFHPLNIGNLALRGREPLFIPCAPKACIELLLRYRVEIIGKNAVVIGRSKIAGLSTSLLLQRHHATVSTLHSFTNNPEQITRRADIVVSDVGIPNIVGCDWLMPGAVVVDMGTNSKSTFEGLMVD >ONI01182 pep chromosome:Prunus_persica_NCBIv2:G6:9699405:9700544:1 gene:PRUPE_6G126200 transcript:ONI01182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFWHRLGNKGKALYSATLSPPCSSPLTLPHIWVPTQTPQRPLSSGFHRATGKTQSAAEIMSGKPIAKDINLRVAAEISRMKAAIGRLPGLAVVLVGYREDSHAYVHINLKACNEVGIKTSIVQLPQDCTQDRLIDVVSSFNSNPSVHGIIVQLPLPQHLEEETIINFVSPEKDVDGFHPLNIGNLALRGREPLFIPCAPKACIELLLRYRVEIIGKNAVVIGRSKIAGLSTSLLLQSWTEAPRDG >ONI02631 pep chromosome:Prunus_persica_NCBIv2:G6:21970490:21978339:1 gene:PRUPE_6G211400 transcript:ONI02631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSEQQQQHHHHHQPPAKPTQSSNPFNPQMTTSPISVSAPNPSWFSPKRLLVMLCTINMINYVDRGAIASNGVNGSIGICDDSGICSAGSGIQGDFKLSNFQDGVLSSAFMVGLLMASPIFASLAKSHNPFRLIGVGLSVWTFATAGCGSSIDFWTIAICRMLVGVGEASFISLAAPFIDDHAPAPQKTAWLAMFYMCIPTGIAVGYVYGGFVGDNFSWRYAFWGEAFCMLPFAVLAFAMNPLQLKGSDDSNINSCVIVSSDKASRSSPASKSLNQLSRFSQDMKELLRDKVYVVNILGYVSYNFVIGAYSYWGPKAGYSIYRMSNPDLLFGGITIVCGILGTLAGGLILDSVNATINNAFKLLSGATILGAIFCFSAFCVRSLYFFVVLFSMGELLVFATQAPVNYVSLRCVKPSLRPLSMAVSTVSIHIFGDVPSSPLAGILQDHVNNWRVTTLVVTSVLFFASGIWFIGIFISNSDKFNEDGKEEVSTVDRATKKPLFEENTIEGAQDSGEA >ONI02630 pep chromosome:Prunus_persica_NCBIv2:G6:21970490:21978339:1 gene:PRUPE_6G211400 transcript:ONI02630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSEQQQQHHHHHQPPAKPTQSSNPFNPQMTTSPISVSAPNPSWFSPKRLLVMLCTINMINYVDRGAIASNGVNGSIGICDDSGICSAGSGIQGDFKLSNFQDGVLSSAFMVGLLMASPIFASLAKSHNPFRLIGVGLSVWTFATAGCGSSIDFWTIAICRMLVGVGEASFISLAAPFIDDHAPAPQKTAWLAMFYMCIPTGIAVGYVYGGFVGDNFSWRYAFWGEAFCMLPFAVLAFAMNPLQLKGFAPAESRKFLEPNETTSPLIEGSDDSNINSCVIVSSDKASRSSPASKSLNQLSRFSQDMKELLRDKVYVVNILGYVSYNFVIGAYSYWGPKAGYSIYRMSNPDLLFGGITIVCGILGTLAGGLILDSVNATINNAFKLLSGATILGAIFCFSAFCVRSLYFFVVLFSMGELLVFATQAPVNYVSLRCVKPSLRPLSMAVSTVSIHIFGDVPSSPLAGILQDHVNNWRVTTLVVTSVLFFASGIWFIGIFISNSDKFNEDGKEEVSTVDRATKKPLFEENTIEGAQDSGEA >ONI02632 pep chromosome:Prunus_persica_NCBIv2:G6:21970525:21978339:1 gene:PRUPE_6G211400 transcript:ONI02632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSEQQQQHHHHHQPPAKPTQSSNPFNPQMTTSPISVSAPNPSWFSPKRLLVMLCTINMINYVDRGAIASNGVNGSIGICDDSGICSAGSGIQGDFKLSNFQDGVLSSAFMVGLLMASPIFASLAKSHNPFRLIGVGLSVWTFATAGCGSSIDFWTIAICRMLVGVGEASFISLAAPFIDDHAPAPQKTAWLAMFYMCIPTGIAVGYVYGGFVGDNFSWRYAFWGEAFCMLPFAVLAFAMNPLQLKGSDDSNINSCVIVSSDKASRSSPASKSLNQLSRFSQDMKELLRDKVYVVNILGYVSYNFVIGAYSYWGPKAGYSIYRMSNPDLLFGGITIVCGILGTLAGGLILDSVNATINNAFKLLSGATILGAIFCFSAFCVRSLYFFVVLFSMGELLVFATQAPVNYVSLRCVKPSLRPLSMAVSTVSIHIFGDVPSSPLAGILQDHVNNWRVTTLVVTSVLFFASGIWFIGIFISNSDKFNEDGKEEVSTVDRATKKPLFEENTIEGAQDSGEA >ONI02634 pep chromosome:Prunus_persica_NCBIv2:G6:21970525:21978339:1 gene:PRUPE_6G211400 transcript:ONI02634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSEQQQQHHHHHQPPAKPTQSSNPFNPQMTTSPISVSAPNPSWFSPKRLLVMLCTINMINYVDRGAIASNGVNGSIGICDDSGICSAGSGIHHNPFRLIGVGLSVWTFATAGCGSSIDFWTIAICRMLVGVGEASFISLAAPFIDDHAPAPQKTAWLAMFYMCIPTGIAVGYVYGGFVGDNFSWRYAFWGEAFCMLPFAVLAFAMNPLQLKGSDDSNINSCVIVSSDKASRSSPASKSLNQLSRFSQDMKELLRDKVYVVNILGYVSYNFVIGAYSYWGPKAGYSIYRMSNPDLLFGGITIVCGILGTLAGGLILDSVNATINNAFKLLSGATILGAIFCFSAFCVRSLYFFVVLFSMGELLVFATQAPVNYVSLRCVKPSLRPLSMAVSTVSIHIFGDVPSSPLAGILQDHVNNWRVTTLVVTSVLFFASGIWFIGIFISNSDKFNEDGKEEVSTVDRATKKPLFEENTIEGAQDSGEA >ONI02629 pep chromosome:Prunus_persica_NCBIv2:G6:21970525:21978339:1 gene:PRUPE_6G211400 transcript:ONI02629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSEQQQQHHHHHQPPAKPTQSSNPFNPQMTTSPISVSAPNPSWFSPKRLLVMLCTINMINYVDRGAIASNGVNGSIGICDDSGICSAGSGIQGDFKLSNFQDGVLSSAFMVGLLMASPIFASLAKSHNPFRLIGVGLSVWTFATAGCGSSIDFWTIAICRMLVGVGEASFISLAAPFIDDHAPAPQKTAWLAMFYMCIPTGIAVGYVYGGFVGDNFSWRYAFWGEAFCMLPFAVLAFAMNPLQLKGFAPAESRKFLEPNETTSPLIEGSDDSNINSCVIVSSDKASRSSPASKSLNQLSRFSQDMKELLRDKVYVVNILGYVSYNFVIGAYSYWGPKAGYSIYRMSNPDLLFGGITIVCGILGTLAGGLILDSVNATINNAFKLLSGATILGAIFCFSAFCVRSLYFFVVLFSMGELLVFATQAPVNYVSLRCVKPSLRPLSMAVSTVSIHIFGDVPSSPLAGILQDHVNNWRVTTLVVTSVLFFASGIWFIGIFISNSDKFNEDGKEEVSTVDRATKKPLFEENTIEGAQDSGEA >ONI02633 pep chromosome:Prunus_persica_NCBIv2:G6:21970525:21978339:1 gene:PRUPE_6G211400 transcript:ONI02633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSEQQQQHHHHHQPPAKPTQSSNPFNPQMTTSPISVSAPNPSWFSPKRLLVMLCTINMINYVDRGAIASNGVNGSIGICDDSGICSAGSGIHHNPFRLIGVGLSVWTFATAGCGSSIDFWTIAICRMLVGVGEASFISLAAPFIDDHAPAPQKTAWLAMFYMCIPTGIAVGYVYGGFVGDNFSWRYAFWGEAFCMLPFAVLAFAMNPLQLKGFAPAESRKFLEPNETTSPLIEGSDDSNINSCVIVSSDKASRSSPASKSLNQLSRFSQDMKELLRDKVYVVNILGYVSYNFVIGAYSYWGPKAGYSIYRMSNPDLLFGGITIVCGILGTLAGGLILDSVNATINNAFKLLSGATILGAIFCFSAFCVRSLYFFVVLFSMGELLVFATQAPVNYVSLRCVKPSLRPLSMAVSTVSIHIFGDVPSSPLAGILQDHVNNWRVTTLVVTSVLFFASGIWFIGIFISNSDKFNEDGKEEVSTVDRATKKPLFEENTIEGAQDSGEA >ONI01538 pep chromosome:Prunus_persica_NCBIv2:G6:11859858:11864071:-1 gene:PRUPE_6G145100 transcript:ONI01538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQLGYLLLGNYFLQLIGFALANSQATIPVTPSQYDTAFLNRSSFPAGFIFGSASSSYQYEGAARGGGRGPSIWDTYTHKHPERIQDGSNGDVAIDEYHRYKEDVGIMKNMGLDAYRFSISWPRLLPNGKLSGGVNKEGIKYYNSFINELLHNGLKPFVTLFHWDFPQALEDEYGGFLSPHIINHFRDYVELCYKEFGDRVKYWITFNEPWSYSVGGYAKGDFAPGRCSDWQKLNCIGGDSSTEPYMVAHNQLLAHATAVKLYKKKYQASQKGLIGITLLSHWFVPISEAKHHKNAALRALDFMFGWFAEPITSGDYPHSMRSLVGNRLPNFTKAESKLLNGSFDFLGLNYYTTYYAAYAPQHISVNASYLTDARANFSFELNGVSIGPKAASDWLYVYPRGIEDLLLYTKRKYNDPLIYVTENGIDEFSHPKLSLEEALNDSQRIDYYYHHLCYVQRAIKHGVHVKGYFAWSLLDNFEWNNGYTVRFGMNFVDYKNGLKRHPKLSAHWFKKFLSKVPKY >ONI00160 pep chromosome:Prunus_persica_NCBIv2:G6:4870998:4872638:1 gene:PRUPE_6G071300 transcript:ONI00160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFKGQILPATLQNNPIIHRPFQSTPDYYTKLIETYARDRELHPARLLHAHLITIGSARSTHLASKLIALYAACGQIAHARKVFDKIPQTNIRRWFALIGAYARCGFYQQAIDVFWEMQRKVSRPNKIVIPSVLKACGHLSDLKTGKKIHAVVLRFSFEFDAFVSSALIDMYSKNGRVEKAQQVFDMMVEKDSVALNAMVSGFAQHGLAREALRLVEKMQLLGLKPNLITWNSLVSGFSQKGDEALAYRIFNLMQVNGIEPDVVSWTSVISGFVQNFQNNKAFHTFKQMLSHGLCPTSNTISSLLPACAAVTDVKRGKEIHAYALAIGVEEDVYVRSALVDMYAKCGFIYEAKALFCKMSQKNTVTWNSMIFGYANHGYCNEAIELFNQMKMEDDKKLDYLTFMAVLTACCHAGMIELGESLFYLMQERYGIVPRLEHFACMVDLLGRAGKLTEAYDMIKAMPMEPDLFVWGALLGACRNYGNIDLAEVAAKHLSELEPESAGNNLLLSSLYADSGSWGNVARLKKMMMKNKLRKLPGRSWMEAA >ONI04425 pep chromosome:Prunus_persica_NCBIv2:G6:28399634:28404386:-1 gene:PRUPE_6G321300 transcript:ONI04425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVSGNALKTFARSITCLARVGNELAIQASSSQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04426 pep chromosome:Prunus_persica_NCBIv2:G6:28399586:28404386:-1 gene:PRUPE_6G321300 transcript:ONI04426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVSGNALKTFARSITCLARVGNELAIQASSSQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04430 pep chromosome:Prunus_persica_NCBIv2:G6:28401060:28403733:-1 gene:PRUPE_6G321300 transcript:ONI04430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04431 pep chromosome:Prunus_persica_NCBIv2:G6:28399753:28404166:-1 gene:PRUPE_6G321300 transcript:ONI04431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04434 pep chromosome:Prunus_persica_NCBIv2:G6:28400697:28404389:-1 gene:PRUPE_6G321300 transcript:ONI04434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04433 pep chromosome:Prunus_persica_NCBIv2:G6:28399754:28404376:-1 gene:PRUPE_6G321300 transcript:ONI04433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04437 pep chromosome:Prunus_persica_NCBIv2:G6:28399800:28404376:-1 gene:PRUPE_6G321300 transcript:ONI04437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04432 pep chromosome:Prunus_persica_NCBIv2:G6:28401060:28403733:-1 gene:PRUPE_6G321300 transcript:ONI04432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04429 pep chromosome:Prunus_persica_NCBIv2:G6:28399845:28404376:-1 gene:PRUPE_6G321300 transcript:ONI04429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVSGNALKTFARSITCLARVGNELAIQASSSQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGDRPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04438 pep chromosome:Prunus_persica_NCBIv2:G6:28399816:28404386:-1 gene:PRUPE_6G321300 transcript:ONI04438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVSGNALKTFARSITCLARVGNELAIQASSSQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRGHKLTVMAFHNVILATG >ONI04428 pep chromosome:Prunus_persica_NCBIv2:G6:28399845:28404376:-1 gene:PRUPE_6G321300 transcript:ONI04428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVSGNALKTFARSITCLARVGNELAIQASSSQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGDRPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04427 pep chromosome:Prunus_persica_NCBIv2:G6:28399586:28404380:-1 gene:PRUPE_6G321300 transcript:ONI04427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVSGNALKTFARSITCLARVGNELAIQASSSQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04424 pep chromosome:Prunus_persica_NCBIv2:G6:28399675:28404383:-1 gene:PRUPE_6G321300 transcript:ONI04424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVSGNALKTFARSITCLARVGNELAIQASSSQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04435 pep chromosome:Prunus_persica_NCBIv2:G6:28401060:28403733:-1 gene:PRUPE_6G321300 transcript:ONI04435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGDRPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI04436 pep chromosome:Prunus_persica_NCBIv2:G6:28401060:28403733:-1 gene:PRUPE_6G321300 transcript:ONI04436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLALHTLNSSRSAYHSSTFKPEFFDVYTISCNQVQCSVLLKAVCSVLRTPLASIDHLSIRLPDPDASKMQWTLECYSGMKKSYWIICNVEPDIQHLSLDRRRFPSSLVVRPRDLNRLLANFQSSLQEITIIATEPTSMPSDVASEIGGKAVELRSYIDPTKDSDSSLHTQLWIDPAEEFVQYTHTGDPIDVTFGVKELKAFLSFCEGCEVDIHLYFEKAGDRPILMAPKFGLDDGSTSNFDATLVLATMLVSQLHESNTSEPPQAATAMHIQEDHGTGSEPRRERCGTNVSEHPSDHTRIWSDLSGSGARSGSGAEAGQAQGERNLSANGQREIQRMSTMHISNPACARENEPVQEDHVEEPRDRSQINGDGFSQRHPSNWIDADEDDSDEDGEENELCIPSTPPYYEEH >ONI05180 pep chromosome:Prunus_persica_NCBIv2:G6:30416784:30418493:1 gene:PRUPE_6G360300 transcript:ONI05180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWGDVYKVVVAMMPLYVALILGFGSVRWWRIFTPEQCGAINRFVCFFTLPLFTFEFTAHVDPYKWNYRFIGADAISKLIIVAVLALWAKCSSKGSYSWSITSFSLCTLTNSLVVGVPLMKAMYGQVAVDLVVQSSVVQAIVWLTILLFVLEFRRTGSLDMHMISGTNTSQSVEQGEGGKAVEGLAAMSTMSSSRPSFWYLMKAVWLKLGMNPNSYAVFIGIGWAFISSRWHIELPSIMEGSVLIMSKAGTGTAMFSMGIFMALQEKFVACGTSLTIIGMVLRFIAGPAAMAIGSIAVGLHGDVLRVAIIQAALPQSITSFIFAKEYGLHAEVLSTAVIFGMIVSLPVLIAYYAVLEFVH >ONI05179 pep chromosome:Prunus_persica_NCBIv2:G6:30416377:30418493:1 gene:PRUPE_6G360300 transcript:ONI05179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWGDVYKVVVAMMPLYVALILGFGSVRWWRIFTPEQCGAINRFVCFFTLPLFTFEFTAHVDPYKWNYRFIGADAISKLIIVAVLALWAKCSSKGSYSWSITSFSLCTLTNSLVVGVPLMKAMYGQVAVDLVVQSSVVQAIVWLTILLFVLEFRRTGSLDMHMISGTNTSQSVEQGEGGKAVEGLAAMSTMSSSRPSFWYLMKAVWLKLGMNPNSYAVFIGIGWAFISSRWHIELPSIMEGSVLIMSKAGTGTAMFSMGIFMALQEKFVACGTSLTIIGMVLRFIAGPAAMAIGSIAVGLHGDVLRVAIIQAALPQSITSFIFAKEYGLHAEVLSTAVIFGMIVSLPVLIAYYAVLEFVH >ONI04070 pep chromosome:Prunus_persica_NCBIv2:G6:27288513:27292842:-1 gene:PRUPE_6G300600 transcript:ONI04070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEDGNSENARSWARFLATCLVGGVVVAVGVGFGVSLLGSQERHGLQLPLGFPWRRKKNRPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDAEIIVNKGPPVTPLDERMIMVNAVKWVDEVIPDAPYAITEEFMKKLFDEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSVSENQHHSSLQRQFSHGHSQKFEDGGSGSGTRVSHFLPTSRRIVQFSNGKGPQSDARIVYIDGAFDLFHAGHVEILRIARELGDFLLVGIHTDQTVSAHRGAHRPIMNLHERSLSVLACRHVDEVIIGAPWEISKDVITTFNISLVVHGTVAENNNFQKEQGNPYEVPISMGIFKVLDSPLDITTSTIIRRIVSNHEAYQIRNEKKAASEKKYYEGKSYVSGD >ONI00622 pep chromosome:Prunus_persica_NCBIv2:G6:6857507:6859210:-1 gene:PRUPE_6G098600 transcript:ONI00622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKESTFPSSALQLLPPGFKFHPSDEELIVHYLRNKVISHPLPAQLITEINLYKYNPWELPNNAFFGEDEWYFFSPRDRKYPNGVRPNRAAASGYWKAASSDKPILTSRGSKRIGVKKALVFYTGRAPKGVKTEWIMNEYRLLDDTVKPSRLKGSMRLDDWVLCRVQHRGNRSKNACNSQDSYSTEFWRYPPKVEQTQPTYANLHADIITDFPYKDCQLLASILSSQAPSPTETNSSVSFQGSKDSYEVRSNNMVKSTISISSSGIDSNTLKMKTTEENMKENHFPLINNRESKKIDENLPPSMRPAGNAINCYIPNHSQYDLLNAHPTDPTNFEEFNEMTFPGTYFE >ONI02521 pep chromosome:Prunus_persica_NCBIv2:G6:21104283:21106792:1 gene:PRUPE_6G203600 transcript:ONI02521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFSLFAIWDFNGAPKKGLIILEAYLQQENLPMVGRGGTPALMRMPGQTVYSHLFTIVNVVERTPDVNSPSMVNRDTNNDASNSQKASLCC >ONI03986 pep chromosome:Prunus_persica_NCBIv2:G6:27037607:27045741:-1 gene:PRUPE_6G295200 transcript:ONI03986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGQPLLSSSDSSSAPVIHSSSLTKNLACLCSNASFSSSSLDNNDDAQSDLFEVRDNAVVSGCSEKPLENFSTPAAATQFHPAGSQLFPRFPLENPTQDRTRLVSWGAMELHNANTNSGTLEISQAPSRVQEKLCQRIRHKSVQFDDNLLHDDNPRLIYINDPKRTNDKYEFTGNEIRTSKYTIITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVFQSGQFQPKTWKHIQVGEVLKICADDTIPCDVVLLGTSDPSGIAYIQTMNLDGESNLKTRYARQETTSAVSEGCTFSGLIRCEQPNRNIYEFTANMEFNGHKFPLSQSNIVLRGCQLKNTAWIIGVAVYAGQETKAMLNSAASPSKRSKLESYMNRETFWLSIFLFIMCAVVATGMGLWLIHHKHQIDTLAYYRKRYYLSGRDNGKTFRFYGIPMEIFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDRHMFDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTENKMEFRRASIFGRNFGTTLQEENDAGVDLGRRRWKLKSEIAVDHELMEFLHKDLSGDDRIAAHEFFLTLAACNTVVPIVSNGTSSISAKNELDDVEAIDYQGESPDEQALVSAASAYGYTLFERTSGHIVIDVNGEKLRLDVLGLHEFDSVRKRMSVVIRFPNNAVKVLVKGADTTMFSTLANDPERDDDVKHSTQSHLSEYSSQGLRTLVVAARDLTDEELQRWQCMYEDASTSLTDRSLKLRQTAATIECNLKLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEDECRNLLTDSMLKYGVTSSNTRDQSFKLKKNAENGYLEIPGNAKTSSVPQWNAGKEEETITAPLALIIDGNSLVYILEKDLESELFDLATSCSVVLCCRVAPLQKAGIVDLIKTRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYMVLYNFYRNAVFVMMLFWYILSTAFSTTSALTDWSSVFYSVIYTSLPTIVVGILDKDLSHRTLLQYPKLYGAGHRHEAYNLHLFWITMLDTLWQSLVLFYVPLFTYKDSSIDIWSMGSLWTIAVVVLVNVHLAMDIHRWVFITHIAVWGSIVITYACMVVLDSIPVFPNYWTIYRMAKSPTYWIAILLITVVALLPRFVLKVVHQIFWPSDIQIAREAEILSRQHKLLSSKQDEGSS >ONI03985 pep chromosome:Prunus_persica_NCBIv2:G6:27037584:27045741:-1 gene:PRUPE_6G295200 transcript:ONI03985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGQPLLSSSDSSSAPVIHSSSLTKNLACLCSNASFSSSSLDNNDDAQSDLFEVRDNAVVSGCSEKPLENFSTPAAATQFHPAGSQLFPRFPLENPTQDRTRLVSWGAMELHNANTNSGTLEISQAPSRVQEKLCQRIRHKSVQFDDNLLHDDNPRLIYINDPKRTNDKYEFTGNEIRTSKYTIITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVFQSGQFQPKTWKHIQVGEVLKICADDTIPCDVVLLGTSDPSGIAYIQTMNLDGESNLKTRYARQETTSAVSEGCTFSGLIRCEQPNRNIYEFTANMEFNGHKFPLSQSNIVLRGCQLKNTAWIIGVAVYAGQETKAMLNSAASPSKRSKLESYMNRETFWLSIFLFIMCAVVATGMGLWLIHHKHQIDTLAYYRKRYYLSGRDNGKTFRFYGIPMEIFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDRHMFDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTENKMEFRRASIFGRNFGTTLQEENDAGVDLGRRRWKLKSEIAVDHELMEFLHKDLSGDDRIAAHEFFLTLAACNTVVPIVSNGTSSISAKNELDDVEAIDYQGESPDEQALVSAASAYGYTLFERTSGHIVIDVNGEKLRFPNNAVKVLVKGADTTMFSTLANDPERDDDVKHSTQSHLSEYSSQGLRTLVVAARDLTDEELQRWQCMYEDASTSLTDRSLKLRQTAATIECNLKLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEDECRNLLTDSMLKYGVTSSNTRDQSFKLKKNAENGYLEIPGNAKTSSVPQWNAGKEEETITAPLALIIDGNSLVYILEKDLESELFDLATSCSVVLCCRVAPLQKAGIVDLIKTRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYMVLYNFYRNAVFVMMLFWYILSTAFSTTSALTDWSSVFYSVIYTSLPTIVVGILDKDLSHRTLLQYPKLYGAGHRHEAYNLHLFWITMLDTLWQSLVLFYVPLFTYKDSSIDIWSMGSLWTIAVVVLVNVHLAMDIHRWVFITHIAVWGSIVITYACMVVLDSIPVFPNYWTIYRMAKSPTYWIAILLITVVALLPRFVLKVVHQIFWPSDIQIAREAEILSRQHKLLSSKQDEGSS >ONI03984 pep chromosome:Prunus_persica_NCBIv2:G6:27037584:27045741:-1 gene:PRUPE_6G295200 transcript:ONI03984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGQPLLSSSDSSSAPVIHSSSLTKNLACLCSNASFSSSSLDNNDDAQSDLFEVRDNAVVSGCSEKPLENFSTPAAATQFHPAGSQLFPRFPLENPTQDRTRLVSWGAMELHNANTNSGTLEISQAPSRVQEKLCQRIRHKSVQFDDNLLHDDNPRLIYINDPKRTNDKYEFTGNEIRTSKYTIITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVFQSGQFQPKTWKHIQVGEVLKICADDTIPCDVVLLGTSDPSGIAYIQTMNLDGESNLKTRYARQETTSAVSEGCTFSGLIRCEQPNRNIYEFTANMEFNGHKFPLSQSNIVLRGCQLKNTAWIIGVAVYAGQETKAMLNSAASPSKRSKLESYMNRETFWLSIFLFIMCAVVATGMGLWLIHHKHQIDTLAYYRKRYYLSGRDNGKTFRFYGIPMEIFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDRHMFDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTENKMEFRRASIFGRNFGTTLQEENDADLGRRRWKLKSEIAVDHELMEFLHKDLSGDDRIAAHEFFLTLAACNTVVPIVSNGTSSISAKNELDDVEAIDYQGESPDEQALVSAASAYGYTLFERTSGHIVIDVNGEKLRLDVLGLHEFDSVRKRMSVVIRFPNNAVKVLVKGADTTMFSTLANDPERDDDVKHSTQSHLSEYSSQGLRTLVVAARDLTDEELQRWQCMYEDASTSLTDRSLKLRQTAATIECNLKLLGATAIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTADMQQIIINGNSEDECRNLLTDSMLKYGVTSSNTRDQSFKLKKNAENGYLEIPGNAKTSSVPQWNAGKEEETITAPLALIIDGNSLVYILEKDLESELFDLATSCSVVLCCRVAPLQKAGIVDLIKTRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYMVLYNFYRNAVFVMMLFWYILSTAFSTTSALTDWSSVFYSVIYTSLPTIVVGILDKDLSHRTLLQYPKLYGAGHRHEAYNLHLFWITMLDTLWQSLVLFYVPLFTYKDSSIDIWSMGSLWTIAVVVLVNVHLAMDIHRWVFITHIAVWGSIVITYACMVVLDSIPVFPNYWTIYRMAKSPTYWIAILLITVVALLPRFVLKVVHQIFWPSDIQIAREAEILSRQHKLLSSKQDEGSS >ONI03144 pep chromosome:Prunus_persica_NCBIv2:G6:23990853:23995530:-1 gene:PRUPE_6G241300 transcript:ONI03144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIELINKKADVQQRRLSLIDVSSEDDSLINSYAGDLRFSISEDQENRSFQLFEAMVANKLEDVPENLELSEQVTHPSESLEPEMTKRSGKYNLRKSLAWDSAFFTSAGVLDPEELSTMIEGEKSGKHMLPGIQEEIHRSTDSISTLESDTLTLESIEANLFEDVRASIQKSNNASGVVDESMEGSRVTATKISGSSKGVDFASQDKVIPRLPSKKPSINVQKPGKMKNLSTCPQISQSVSAREATTSLLKRTKVLGKPNPSPTGLTKRASLGGNLMKMEKDNVKSTTGRGAPLPKIPLSSRLVPRPGPLSRSSLGSSPTAKREVASSSFESSGSTSSNNIGKSPFNSKRKTDSRTGNPPSSGSTWETPSRIEPGNKSQSGKSHLSTNMVLETKLLTSTSPSSSVSEWSSESSSSISTLKKMSYSPRASLDIRSSKSSSVDGDPPEILDLQNYAYDQSSTGDETQVAELLSQCSVKAPIEKNGALPLASKPSGLRLPSPKIGFFDGVKSVLPSPNGSIQPHPVIPSGLPKSGARSITQGGGQNKAKPGKLQPARIVAKLGNKKPDAQNTSFNMKPKSPKPLQQASNAAKKVISPSRNSISPKVPSKGSRKSGRENHLKHEKVGFEGHGIDIDEQENCAAGPNDCLVFSKDKVSPALKGHSHVKAAKVTPIDGGSTTISDSSSTCDADKITPSEKVSEDATYEPRKAKETERTHIEDLVDGLSRQVGAMDIKAETQQMLAADSLS >ONI03145 pep chromosome:Prunus_persica_NCBIv2:G6:23991360:23995299:-1 gene:PRUPE_6G241300 transcript:ONI03145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIELINKKADVQQRRLSLIDVSSEDDSLINSYAGDLRFSISEDQENRSFQLFEAMVANKLEDVPENLELSEQVTHPSESLEPEMTKRSGKYNLRKSLAWDSAFFTSAGDTHFVNSGVLDPEELSTMIEGEKSGKHMLPGIQEEIHRSTDSISTLESDTLTLESIEANLFEDVRASIQKSNNASGVVDESMEGSRVTATKISGSSKGVDFASQDKVIPRLPSKKPSINVQKPGKMKNLSTCPQISQSVSAREATTSLLKRTKVLGKPNPSPTGLTKRASLGGNLMKMEKDNVKSTTGRGAPLPKIPLSSRLVPRPGPLSRSSLGSSPTAKREVASSSFESSGSTSSNNIGKSPFNSKRKTDSRTGNPPSSGSTWETPSRIEPGNKSQSGKSHLSTNMVLETKLLTSTSPSSSVSEWSSESSSSISTLKKMSYSPRASLDIRSSKSSSVDGDPPEILDLQNYAYDQSSTGDETQVAELLSQCSVKAPIEKNGALPLASKPSGLRLPSPKIGFFDGVKSVLPSPNGSIQPHPVIPSGLPKSGARSITQGGGQNKAKPGKLQPARIVAKLGNKKPDAQNTSFNMKPKSPKPLQQASNAAKKVISPSRNSISPKVPSKGSRKSGRENHLKHEKVGFEGHGIDIDEQENCAAGPNDCLVFSKDKVSPALKGHSHVKAAKVTPIDGGSTTISDSSSTCDADKITPSEKVSEDATYEPRKAKETERTHIEDLVDGLSRQVGAMDIKAETQQMLAADSLS >ONI03143 pep chromosome:Prunus_persica_NCBIv2:G6:23990937:23995412:-1 gene:PRUPE_6G241300 transcript:ONI03143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIELINKKADVQQRRLSLIDVSSEDDSLINSYAGDLRFSISDQENRSFQLFEAMVANKLEDVPENLELSEQVTHPSESLEPEMTKRSGKYNLRKSLAWDSAFFTSAGVLDPEELSTMIEGEKSGKHMLPGIQEEIHRSTDSISTLESDTLTLESIEANLFEDVRASIQKSNNASGVVDESMEGSRVTATKISGSSKGVDFASQDKVIPRLPSKKPSINVQKPGKMKNLSTCPQISQSVSAREATTSLLKRTKVLGKPNPSPTGLTKRASLGGNLMKMEKDNVKSTTGRGAPLPKIPLSSRLVPRPGPLSRSSLGSSPTAKREVASSSFESSGSTSSNNIGKSPFNSKRKTDSRTGNPPSSGSTWETPSRIEPGNKSQSGKSHLSTNMVLETKLLTSTSPSSSVSEWSSESSSSISTLKKMSYSPRASLDIRSSKSSSVDGDPPEILDLQNYAYDQSSTGDETQVAELLSQCSVKAPIEKNGALPLASKPSGLRLPSPKIGFFDGVKSVLPSPNGSIQPHPVIPSGLPKSGARSITQGGGQNKAKPGKLQPARIVAKLGNKKPDAQNTSFNMKPKSPKPLQQASNAAKKVISPSRNSISPKVPSKGSRKSGRENHLKHEKVGFEGHGIDIDEQENCAAGPNDCLVFSKDKVSPALKGHSHVKAAKVTPIDGGSTTISDSSSTCDADKITPSEKVSEDATYEPRKAKETERTHIEDLVDGLSRQVGAMDIKAETQQMLAADSLS >ONI02175 pep chromosome:Prunus_persica_NCBIv2:G6:18835097:18839395:1 gene:PRUPE_6G181400 transcript:ONI02175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIIRLMMELRPRMVANAFRHPVGVIQLHGSVGDSGSMSKCRQMSFRFISKNFAVDVGLLRKGQCGSRQCKLQVIRASSSQTSTTDPILSTSKAESALILIRHGESLWNEKNLFTGCVDVPLTKRGVDEAIEAGKRISNIPIDMIFTSSLIRAQMTAMLAMTQHRRKKVPIITHNESRQAKTWSQIYSGDTKKQSIPVVTAWQLNERMYGELQGLNKLETAERYGKEKVHEWRRSYDIPPPSGESLEMCSQRAVAYFKEHIEPKLQSGKNVLVAAHGNSLRSIIMYLERLTSQEVISLELSTGVPLLYIHKEEKFMRRGSPVGPTEPGVYAYTKSLALYRQELDKKSH >ONI02177 pep chromosome:Prunus_persica_NCBIv2:G6:18834687:18839534:1 gene:PRUPE_6G181400 transcript:ONI02177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANAFRHPVGVIQLHGSVGDSGSMSKCRQMSFRFISKNFAVDVGLLRKGQCGSRQCKLQVIRASSSQTSTTDPILSTSKAESALILIRHGESLWNEKNLFTGCVDVPLTKRGVDEAIEAGKRISNIPIDMIFTSSLIRAQMTAMLAMTQHRRKKVPIITHNESRQAKTWSQIYSGDTKKQSIPVVTAWQLNERMYGELQGLNKLETAERYGKEKVHEWRRSYDIPPPSGESLEMCSQRAVAYFKEHIEPKLQSGKNVLVAAHGNSLRSIIMYLERLTSQEVISLELSTGVPLLYIHKEEKFMRRGSPVGPTEPGVYAYTKSLALYRQELDKKSH >ONI02178 pep chromosome:Prunus_persica_NCBIv2:G6:18834682:18839533:1 gene:PRUPE_6G181400 transcript:ONI02178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANAFRHPVGVIQLHGSVGDSGSMSKCRQMSFRFISKNFAVDVGLLRKGQCGSRQCKLQVIRASSSQTSTTDPILSTSKAESALILIRHGESLWNEKNLFTGCVDVPLTKRGVDEAIEAGKRISNIPIDMIFTSSLIRAQMTAMLAMTQHRRKKVPIITHNESRQAKTWSQIYSGDTKKQSIPVVTAWQLNERMYGELQGLNKLETAERYGKEKVHEWRRSYDIPPPSGESLEMCSQRAVAYFKEHIEPKLQSGKNVLVAAHGNSLRSIIMYLERLTSQEVISLELSTGVPLLYIHKEEKFMRRGSPVGPTEPGVYAYTKSLALYRQELDKKSH >ONI02176 pep chromosome:Prunus_persica_NCBIv2:G6:18835097:18839395:1 gene:PRUPE_6G181400 transcript:ONI02176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIIRLMMELRPRMVANAFRHPVGVIQLHGSVGDSGSMSKCRQMSFRFISKNFAVDVGLLRKGQCGSRQCKLQVIRASSSQTSTTDPILSTSKAESALILIRHGESLWNEKNLFTGCVDVPLTKRGVDEAIEAGKRISNIPIDMIFTSSLIRAQMTAMLAMTQHRRKKVPIITHNESRQAKTWSQIYSGDTKKQSIPVVTAWQLNERMYGELQGLNKLETAERYGKEKVHEWRRSYDIPPPSGESLEMCSQRAVAYFKEHIEPKLQSGKNVLVAAHGNSLRSIIMYLERLTSQEVISLELSTGVPLLYIHKEEKFMRRGSPVGPTEPGVYAYTKSLALYRQELDKKSH >ONI01001 pep chromosome:Prunus_persica_NCBIv2:G6:8416609:8417711:-1 gene:PRUPE_6G115800 transcript:ONI01001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVADHQVRQCLCTCEVVPKCTRRYSWLICIALCLNLTSRSGVPPMLATTV >ONI01798 pep chromosome:Prunus_persica_NCBIv2:G6:14585367:14586458:-1 gene:PRUPE_6G159300 transcript:ONI01798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSIECPCVCPPYLAEGLDIFRRVRMKSGFCRQTRNWNQTSEFCAHALLAFEVLMHPRVLRLADFANAPSLSDSLRECVHKDLFVYESDHDDLYDSWLANSKEMEAQVSDLGKTMQAGEPSKTTTVHWDKTLYVDGSFSKETLVGSAQELTATIEDVEMRGNGDENMVESHQLQDSIV >ONH98934 pep chromosome:Prunus_persica_NCBIv2:G6:179620:184898:-1 gene:PRUPE_6G000900 transcript:ONH98934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAALPLLLCLVALLVAPQILSGFPLPSTSTVPAFLWSPHYHQVKAAINYQTISPKDLAKSVLSEGGWSNVLCSGNKFHQPLELALVFVGRELQSSDIPANKHADPALVDLLKGSFTGSNFSMAFPYVAAPEEDAMENSLVSGISETCGQDFGFSNVAFLESCSIEGENFQKLANLQSFHDYLGSRTEKRSNGEVDLVVFCHKESGSSKELDQTHTEGKIFSELISSMDQSGAKYGVLYVSDPSKSIQYPSYRELQRFLAESASGNASANSTACDEVCQIKSSLLEGLLVGIVLLIILISGICCMMGIDTPTRFEAPQES >ONH98935 pep chromosome:Prunus_persica_NCBIv2:G6:179551:185072:-1 gene:PRUPE_6G000900 transcript:ONH98935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAALPLLLCLVALLVAPQILSGFPLPSTSTVPAFLWSPHYHQVKAAINYQTISPKDLAKSVLSEGGWSNVLCSGNKFHQPLELALVFVGRELQSSDIPANKHADPALVDLLKGSFTGSNFSMAFPYVAAPEEDAMENSLVSGISETCGQDFGFSNVAFLESCSIEGENFQKLANLQSFHDYLGSRTEKRSNGEVDLVVFCHKESGSSKELDQTHTEGKIFSELISSMDQSGAKYGVLYVSDPSKSIQYPSYRELQRFLAESASGNASANSTACDEVCQIKSSLLEGLLVGIVLLIILISGICCMMGIDTPTRFEAPQES >ONH99160 pep chromosome:Prunus_persica_NCBIv2:G6:1083582:1086636:-1 gene:PRUPE_6G014900 transcript:ONH99160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVDLLNFTNEAARKQVREDNRCTGESETVNRNLYEVEQKVIAGKSKTSLIRKSTGGWKFASLLLVNQGLATLAFFGVGVNLVLFLTRVLDQENAVAANSVSKWTGTVYLCSLIGAFLSDSYWGRYLTCAIFQLIFVVGLVLLSLSSWLFLFHPSGCGDGEIVCMPASPVGVAIFYLSIYLVAFGYGGYQPTIATFGADQFDEANPKEGASKAVFFCYFYFALNVGSLFSNTILVYYEDTGKWTLGFVVSLGSAIIALLSFLLGTPGYRYLKPCGNPLPRVAQVFVAAARKWDIVPVNSDDLYEVEGPDSAIKGSRKIYHSNEIEFLDKAATITEDDLCGPKNPWRLCTVTQVEEAKCVLKMLPIWLCTIIYSVVFTQMASLFVEQGDVMKSNFGNFHLPAASMSAFDICSVLICTGIYRQVLVPLAGKLSGNTKGISELKRMGIGLVIGMLAMVAAGATEIARLKHVLPGEKISSLNIFWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASMSLGNYASSFLVNMVMGITARGKDPGWIPDDLNTGHLDRFYFLIAALTAFDFVIYVFCAKWYKSINLEGSEKEIQIIEIQQDVVLRRV >ONH99161 pep chromosome:Prunus_persica_NCBIv2:G6:1083582:1086449:-1 gene:PRUPE_6G014900 transcript:ONH99161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVDLLNFTNEAARKQQVREDNRCTGESETVNRNLYEVEQKVIAGKSKTSLIRKSTGGWKFASLLLVNQGLATLAFFGVGVNLVLFLTRVLDQENAVAANSVSKWTGTVYLCSLIGAFLSDSYWGRYLTCAIFQLIFVVGLVLLSLSSWLFLFHPSGCGDGEIVCMPASPVGVAIFYLSIYLVAFGYGGYQPTIATFGADQFDEANPKEGASKAVFFCYFYFALNVGSLFSNTILVYYEDTGKWTLGFVVSLGSAIIALLSFLLGTPGYRYLKPCGNPLPRVAQVFVAAARKWDIVPVNSDDLYEVEGPDSAIKGSRKIYHSNEIEFLDKAATITEDDLCGPKNPWRLCTVTQVEEAKCVLKMLPIWLCTIIYSVVFTQMASLFVEQGDVMKSNFGNFHLPAASMSAFDICSVLICTGIYRQVLVPLAGKLSGNTKGISELKRMGIGLVIGMLAMVAAGATEIARLKHVLPGEKISSLNIFWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASMSLGNYASSFLVNMVMGITARGKDPGWIPDDLNTGHLDRFYFLIAALTAFDFVIYVFCAKWYKSINLEGSEKEIQIIEIQQDVVLRRV >ONI01136 pep chromosome:Prunus_persica_NCBIv2:G6:9250450:9252503:1 gene:PRUPE_6G123700 transcript:ONI01136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGKDLSQQLEELISSLQEQGILTDYFDELRGLQDDNNPRLVDEIITIFLRVAEDYRAELTRNLSEPDVNYSEVHKLATWFKGSTTSNACGRVALACQELVDASEAKNKEGCLVALEAVNREYLVAKENLNRIVGMEREIYNMRLPKAT >ONH99075 pep chromosome:Prunus_persica_NCBIv2:G6:728329:728553:-1 gene:PRUPE_6G009300 transcript:ONH99075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRREVAASESHWIQKEKESKKKQKVNRRMNAADEQGDINEMADAFIKKFRNQLKIQREESFKRFQEIIARGV >ONH99978 pep chromosome:Prunus_persica_NCBIv2:G6:4198828:4201203:-1 gene:PRUPE_6G060700 transcript:ONH99978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHSALTSVVCKNGNHSSAAKFPSTTFLPGFDAVGRISSPYKKEICLNALSSDPRATLTFDPPATNSEKAKPPRHTVDPASPDFLPLPSFEQCFPKSTKEHREVTHEETGHVLKVPFRRVHLAGDEPAFDNYDTSGPQNISPRVGLPQLRKDWIERRDKLGGPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVIRGMDAMSAEFLAARKTVSGEQHGEIGGEIYLPESYVKSAER >ONH99973 pep chromosome:Prunus_persica_NCBIv2:G6:4197062:4202005:-1 gene:PRUPE_6G060700 transcript:ONH99973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHSALTSVVCKNGNHSSAAKFPSTTFLPGFDAVGRISSPYKKEICLNALSSDPRATLTFDPPATNSEKAKPPRHTVDPASPDFLPLPSFEQCFPKSTKEHREVTHEETGHVLKVPFRRVHLAGDEPAFDNYDTSGPQNISPRVGLPQLRKDWIERRDKLGGPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVIRGMDAMSAEFLAARKTVSGEQHGEIGGEIYLPESYVKSAER >ONH99971 pep chromosome:Prunus_persica_NCBIv2:G6:4197062:4202293:-1 gene:PRUPE_6G060700 transcript:ONH99971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHSALTSVVCKNGNHSSAAKFPSTTFLPGFDAVGRISSPYKKEICLNALSSDPRATLTFDPPATNSEKAKPPRHTVDPASPDFLPLPSFEQCFPKSTKEHREVTHEETGHVLKVPFRRVHLAGDEPAFDNYDTSGPQNISPRVGLPQLRKDWIERRDKLGGPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVIRGMDAMSAEFLAARKTVSGEQHGEIGGEIYLPESYVKSAERIA >ONH99974 pep chromosome:Prunus_persica_NCBIv2:G6:4197062:4202150:-1 gene:PRUPE_6G060700 transcript:ONH99974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHSALTSVVCKNGNHSSAAKFPSTTFLPGFDAVGRISSPYKKEICLNALSSDPRATLTFDPPATNSEKAKPPRHTVDPASPDFLPLPSFEQCFPKSTKEHREVTHEETGHVLKVPFRRVHLAGDEPAFDNYDTSGPQNISPRVGLPQLRKDWIERRDKLGGPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVIRGMDAMSAEFLAARKTVSGEQHGEIGGEIYLPESYVKSAER >ONH99975 pep chromosome:Prunus_persica_NCBIv2:G6:4197062:4202061:-1 gene:PRUPE_6G060700 transcript:ONH99975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHSALTSVVCKNGNHSSAAKFPSTTFLPGFDAVGRISSPYKKEICLNALSSDPRATLTFDPPATNSEKAKPPRHTVDPASPDFLPLPSFEQCFPKSTKEHREVTHEETGHVLKVPFRRVHLAGDEPAFDNYDTSGPQNISPRVGLPQLRKDWIERRDKLGGPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVIRGMDAMSAEFLAARKTVSGEQHGEIGGEIYLPESYVKSAER >ONH99980 pep chromosome:Prunus_persica_NCBIv2:G6:4198828:4201203:-1 gene:PRUPE_6G060700 transcript:ONH99980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHSALTSVVCKNGNHSSAAKFPSTTFLPGFDAVGRISSPYKKEICLNALSSDPRATLTFDPPATNSEKAKPPRHTVDPASPDFLPLPSFEQCFPKSTKEHREVTHEETGHVLKVPFRRVHLAGDEPAFDNYDTSGPQNISPRVGLPQLRKDWIERRDKLGGPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVIRGMDAMSAEFLAARKTVSGEQHGEIGGEIYLPESYVKSAER >ONH99977 pep chromosome:Prunus_persica_NCBIv2:G6:4197062:4202150:-1 gene:PRUPE_6G060700 transcript:ONH99977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHSALTSVVCKNGNHSSAAKFPSTTFLPGFDAVGRISSPYKKEICLNALSSDPRATLTFDPPATNSEKAKPPRHTVDPASPDFLPLPSFEQCFPKSTKEHREVTHEETGHVLKVPFRRVHLAGDEPAFDNYDTSGPQNISPRVGLPQLRKDWIERRDKLGGPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVIRGMDAMSAEFLAARKTVSGEQHGEIGGEIYLPESYVKSAER >ONH99979 pep chromosome:Prunus_persica_NCBIv2:G6:4198828:4201203:-1 gene:PRUPE_6G060700 transcript:ONH99979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHSALTSVVCKNGNHSSAAKFPSTTFLPGFDAVGRISSPYKKEICLNALSSDPRATLTFDPPATNSEKAKPPRHTVDPASPDFLPLPSFEQCFPKSTKEHREVTHEETGHVLKVPFRRVHLAGDEPAFDNYDTSGPQNISPRVGLPQLRKDWIERRDKLGGPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVIRGMDAMSAEFLAARKTVSGEQHGEIGGEIYLPESYVKSAER >ONH99976 pep chromosome:Prunus_persica_NCBIv2:G6:4197857:4202130:-1 gene:PRUPE_6G060700 transcript:ONH99976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHSALTSVVCKNGNHSSAAKFPSTTFLPGFDAVGRISSPYKKEICLNALSSDPRATLTFDPPATNSEKAKPPRHTVDPASPDFLPLPSFEQCFPKSTKEHREVTHEETGHVLKVPFRRVHLAGDEPAFDNYDTSGPQNISPRVGLPQLRKDWIERRDKLGGPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVIRGMDAMSAEFLAARKTVSGEQHGEIGGEIYLPESYVKSAER >ONH99981 pep chromosome:Prunus_persica_NCBIv2:G6:4198828:4200922:-1 gene:PRUPE_6G060700 transcript:ONH99981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKEHKRTQVEVTHEETGHVLKVPFRRVHLAGDEPAFDNYDTSGPQNISPRVGLPQLRKDWIERRDKLGGPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVIRGMDAMSAEFLAARKTVSGEQHGEIGGEIYLPESYVKSAER >ONH99972 pep chromosome:Prunus_persica_NCBIv2:G6:4197062:4202295:-1 gene:PRUPE_6G060700 transcript:ONH99972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHSALTSVVCKNGNHSSAAKFPSTTFLPGFDAVGRISSPYKKEICLNALSSDPRATLTFDPPATNSEKAKPPRHTVDPASPDFLPLPSFEQCFPKSTKEHREVTHEETGHVLKVPFRRVHLAGDEPAFDNYDTSGPQNISPRVGLPQLRKDWIERRDKLGGPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSPVPVGTVPIYQALEKVNGIAENLNWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMKKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQSWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVIRGMDAMSAEFLAARKTVSGEQHGEIGGEIYLPESYVKSAERIA >ONI00899 pep chromosome:Prunus_persica_NCBIv2:G6:7899872:7902205:-1 gene:PRUPE_6G110100 transcript:ONI00899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSQRASTSAPSASQCKYQVFLSFRGIDTRRGFTAHLYDRLWFRSITTFRDDNELKRGTTISPNLLKAIEESWFAIVILSPNYASSTWCLDEVSKIVECMKTDTILPVFYDVDPSDVRKQMGTFEEAFNKHKKNFKKEKEKIRRWRAALTKIGNIAGWTLKDRDESDLIKEIVEEVCNKVHPTILTLPGSTTKLVGIDFRLKKIELLLDREAKDVRFIGIWGDGGTGKTTIARLVYERISHHFDVSYFLANVREVCATHGVVHLQKQLLSPILKQKVNEVWDVHSGATMTKYCLCNKKVLLVIDDADQLNQLDVLAGKKHWFGLGSRIIITTRKEHLLIEHHIEERYKLLGLQDSEDFHPHRRDEFEGDLNFQLPKKLPNSIEDRKYDEPTKVQSKNDEQVGKVPHAVEQGVNMDSPPKFKVCVGCKRNIEHEEYKDCKGTHQWHPHCFRCHACDLPITGSKFSMHENHPYHMPCYRERHLRCGVCENLIPSNSDGNVESKLHPISLQRSCPSHEDDGTPRCCCCGRIKPRNTIYYLLNDGRHQCLECRDSAITEASECEALFLEIQKLFDLKFQEKNILIHFVEETEFLKRPEVRAIKIRSGLPRLATGYVMARTMMLAWLEVKCYRIRNMSPQVKIDMSHVLAHMWLEFVMNSGSDFEKKLGNSYQRRIESDRGERFSLGRKAVLKHGLRQTLDHIAMTGSFPLV >ONI03185 pep chromosome:Prunus_persica_NCBIv2:G6:24175149:24177708:-1 gene:PRUPE_6G244000 transcript:ONI03185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLSATLYSTSLPPKRNTNCPSTPVISNLNIGSLLPNLNSCKRLIKFSPRQSHVVGSAVSGSPGTESSLNGEDAASLLETVKVFDLNGNGIPISDLWKDRTAVIAFARHFGCVFCRKRADYLASKKDIMDASGVALVLIGPGSIDQGKAFAEQTKFKGEVYADPSHSSYEALRFVSGVLTTFTPKVRLKIIELYMEGYRQDWKLSFEQDTVARGGWQQGGILVAGPGKSNILYIHKDKEAGDDPDIKDILKACCEM >ONI03184 pep chromosome:Prunus_persica_NCBIv2:G6:24175149:24177708:-1 gene:PRUPE_6G244000 transcript:ONI03184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLSATLYSTSLPPKRNTNCPSTPVISNLNIGSLLPNLNSCKRLIKFSPRQSHVVGSAVSGSPGTESSLNGEDAASLLETVKVFDLNGNGIPISDLWKDRTAVIAFARHFGCVFCRKRADYLASKKDIMDASGVALVLIGPGSIDQGKAFAEQTKFKGEVYADPSHSSYEALRFVSGVLTTFTPKAGLKIIELYMEGYRQDWKLSFEQDTVARGGWQQGGILVAGPGKSNILYIHKDKEAGDDPDIKDILKACCEM >ONI03187 pep chromosome:Prunus_persica_NCBIv2:G6:24174855:24177827:-1 gene:PRUPE_6G244000 transcript:ONI03187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLSATLYSTSLPPKRNTNCPSTPVISNLNIGSLLPNLNSCKRLIKFSPRQSHVVGSAVSGSPGTESSLNGEDAASLLETVKVFDLNGNGIPISDLWKDRTAVIAFARHFGCVFCRKRADYLASKKDIMDASGVALVLIGPGSIDQGKAFAEQTKFKGEVYADPSHSSYEALRFVSGVLTTFTPKAARWNSSCGSW >ONI03183 pep chromosome:Prunus_persica_NCBIv2:G6:24175149:24177708:-1 gene:PRUPE_6G244000 transcript:ONI03183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLSATLYSTSLPPKRNTNCPSTPVISNLNIGSLLPNLNSCKRLIKFSPRQSHVVGSAVSGSPGTESSLNGEDAASLLETVKVFDLNGNGIPISDLWKDRTAVIAFARHFGCVFCRKRADYLASKKGKAFAEQTKFKGEVYADPSHSSYEALRFVSGVLTTFTPKAGLKIIELYMEGYRQDWKLSFEQDTVARGGWQQGGILVAGPGKSNILYIHKDKEAGDDPDIKDILKACCEM >ONI03186 pep chromosome:Prunus_persica_NCBIv2:G6:24174853:24177827:-1 gene:PRUPE_6G244000 transcript:ONI03186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLSATLYSTSLPPKRNTNCPSTPVISNLNIGSLLPNLNSCKRLIKFSPRQSHVVGSAVSGSPGTESSLNGEDAASLLETVKVFDLNGNGIPISDLWKDRTAVIAFARHFGCVFCRKRADYLASKKGKAFAEQTKFKGEVYADPSHSSYEALRFVSGVLTTFTPKAARWNSSCGSW >ONI04537 pep chromosome:Prunus_persica_NCBIv2:G6:28697188:28700392:1 gene:PRUPE_6G326800 transcript:ONI04537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGNYTSIDNQNVSGSVPAAVPDPGHLTVKFEDTFSKPVSGSDESQQSGWLRVFSVSSYKSYFDVDTSDVLERIKDSLLPFSGTFNEKTSNSPDLYGPFWICTTLIFVAAAIGTFVTYVAHKIKSKDWEYDINVVQWSAGLFYGYVTIVPLVLYVILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSIVPIEIFRWVVAGVAGVMSATFVAVNLRAHIVSAGERWFLIVAGIFLLQLALSVVLKLYLFTITV >ONI04538 pep chromosome:Prunus_persica_NCBIv2:G6:28697212:28699805:1 gene:PRUPE_6G326800 transcript:ONI04538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGNYTSIDNQNVSGSVPAAVPDPGHLTVKFEDSNLQTFPPSEAHGKIASGARPPRDADDTFSKPVSGSDESQQSGWLRVFSVSSYKSYFDVDTSDVLERIKDSLLPFSGTFNEKTSNSPDLYGPFWICTTLIFVAAAIGTFVTYVAHKIKSKDWEYDINVVQWSAGLFYGYVTIVPLVLYVILKYFSAPSGLVQLFCLYGYSLFVFIPALVSPWFSSIVLFN >ONI04536 pep chromosome:Prunus_persica_NCBIv2:G6:28697188:28700392:1 gene:PRUPE_6G326800 transcript:ONI04536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGNYTSIDNQNVSGSVPAAVPDPGHLTVKFEDSNLQTFPPSEAHGKIASGARPPRDADDTFSKPVSGSDESQQSGWLRVFSVSSYKSYFDVDTSDVLERIKDSLLPFSGTFNEKTSNSPDLYGPFWICTTLIFVAAAIGTFVTYVAHKIKSKDWEYDINVVQWSAGLFYGYVTIVPLVLYVILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSIVPIEIFRWVVAGVAGVMSATFVAVNLRAHIVSAGERWFLIVAGIFLLQLALSVVLKLYLFTITV >ONI02968 pep chromosome:Prunus_persica_NCBIv2:G6:23413249:23418563:-1 gene:PRUPE_6G231600 transcript:ONI02968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFVPEPSLLPNSTASVSSPANRLPCLLLCRPDRRLFSISVATCRALGLRRSFNVTASAALTSNSVPEKNGIHVVGDFMTPRKDLRVVKPTTTVDEAIELLVENRITGFPVIDDDWKLVGLVSDYDLLALDTISGQGRTDNSMFPEVDSSWKTFNEVQNLLSKTNGQVVGDLMTPAPVVVSETTNLEDVARLLLETKYRRLPVVDDSGKLVGIITRGNIIRAALQIKHASESKT >ONI02973 pep chromosome:Prunus_persica_NCBIv2:G6:23414343:23418137:-1 gene:PRUPE_6G231600 transcript:ONI02973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFVPEPSLLPNSTASVSSPANRLPCLLLCRPDRRLFSISVATCRALGLRRSFNVTASAALTSNSVPEKNGIHVVGDFMTPRKDLRVVKPTTTVDEAIELLVENRITGFPVIDDDWKLVGLVSDYDLLALDTISGQGRTDNSMFPEVDSSWKTFNEVQNLLSKTNGQVVGDLMTPAPVVVSETTNLEDVARLLLETKYRRLPVVDDSGKLVR >ONI02972 pep chromosome:Prunus_persica_NCBIv2:G6:23413249:23418214:-1 gene:PRUPE_6G231600 transcript:ONI02972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFVPEPSLLPNSTASVSSPANRLPCLLLCRPDRRLFSISVATCRALGLRRSFNVTASAALTSNSVPEKNGIHVVGDFMTPRKDLRVVKPTTTVDEAIELLVENRITGFPVIDDDWKLVGLVSDYDLLALDTISGQGRTDNSMFPEVDSSWKTFNEVQNLLSKTNGQVVGDLMTPAPVVVSETTNLEDVARLLLETKYRRLPVVDDSGKLELLQEETS >ONI02971 pep chromosome:Prunus_persica_NCBIv2:G6:23413249:23418197:-1 gene:PRUPE_6G231600 transcript:ONI02971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFVPEPSLLPNSTASVSSPANRLPCLLLCRPDRRLFSISVATCRALGLRRSFNVTASAALTSNSVPEKNGIHVVGDFMTPRKDLRVVKPTTTVDEAIELLVENRITGFPVIDDDWKLVGLVSDYDLLALDTISAVQIFYLIGQGRTDNSMFPEVDSSWKTFNEVQNLLSKTNGQVVGDLMTPAPVVVSETTNLEDVARLLLETKYRRLPVVDDSGRNYYKRKHHKSSPSNKTC >ONI02969 pep chromosome:Prunus_persica_NCBIv2:G6:23414181:23418137:-1 gene:PRUPE_6G231600 transcript:ONI02969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFVPEPSLLPNSTASVSSPANRLPCLLLCRPDRRLFSISVATCRALGLRRSFNVTASAALTSNSVPEKNGIHVVGDFMTPRKDLRVVKPTTTVDEAIELLVENRITGFPVIDDDWKLVGLVSDYDLLALDTISAVQIFYLIGQGRTDNSMFPEVDSSWKTFNEVQNLLSKTNGQVVGDLMTPAPVVVSETTNLEDVARLLLETKYRRLPVVDDSGKLVGIITRGNIIRAALQIKHASESKT >ONI02970 pep chromosome:Prunus_persica_NCBIv2:G6:23413249:23418564:-1 gene:PRUPE_6G231600 transcript:ONI02970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFVPEPSLLPNSTASVSSPANRLPCLLLCRPDRRLFSISVATCRALGLRRSFNVTASAALTSNSVPEKNGIHVVGDFMTPRKDLRVVKPTTTVDEAIELLVENRITGFPVIDDDWKLVGLVSDYDLLALDTISGQGRTDNSMFPEVDSSWKTFNEVQNLLSKTNGQVVGDLMTPAPVVVSETTNLEDVARLLLETKYRRLPVVDDSGRNYYKRKHHKSSPSNKTC >ONI03653 pep chromosome:Prunus_persica_NCBIv2:G6:25770645:25771184:-1 gene:PRUPE_6G272300 transcript:ONI03653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVFATLCYITAAKVETRKLGVVETEGFSDDATSIIPMTMFWLLPQYILLGLSEAFFEKCIAVFFSDELLPGANEGDEAAKGAKKKYIESFAQAVNGVGIILGVLIVYIVGEIQPTWFQSTVNKSRLDNYFWTLAALFAATLVLFGLFSCCLSVYEFNRRSTEDVEGNVTPATTTTTT >ONI01170 pep chromosome:Prunus_persica_NCBIv2:G6:9647314:9649016:-1 gene:PRUPE_6G125900 transcript:ONI01170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDGKAQTQPSELYPINNQMYLENIEDKRGMNHDAAAVRNPIRTAMVKRGNRHGRMSERDGFSGAGEKFGDNGNFERIDRSKQQLGLGFCFQYVIKFCQTRPFNLPDYPVNPNISHSLLRLALYNRLNSTRSPSHSIITLHF >ONI04131 pep chromosome:Prunus_persica_NCBIv2:G6:27543994:27548846:-1 gene:PRUPE_6G304600 transcript:ONI04131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHKYLLVAVYLWALTCSLVPAYGLVRIGLKKRPLDLQTIKAARAERLANYRGSLKGMNQNHYLGSSDEDIVPLNNYMDAQYYGEIGIGSPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHTKYKSSKSSTYTAIGTSAKITYGSGSISGFFSQDNVEVGDLVVKNQDFIEATKEGSLTFVLAKFDGLLGLGFQEISVGNATPVWQTMAEQGLLSDDVFSFWLNRDTTAEVGGELVFGGVDSKHYKGKHTYVPVTRKGYWQFEMGDIVIGNLSTGVCEGGCAAVVDSGTSLLTGPTTIVTEINHAIGAEGVVSAECKQVVSQYGDLIWDLLISGVQPDQVCKQLGLCVFNGAQYVSTGIETVVEKESREGSSAGDSALCTACEMAVVWAQNQLKQKGAKDRVLSYINELCESLPSPLGESIIDCNSISSMPNVTFTIGDKPFILTPEQYILKTGEGIAAICISGFGALDLAPPTGPLWILGDVFMGPYHTVFDFGDLQIGFAEAA >ONI04133 pep chromosome:Prunus_persica_NCBIv2:G6:27544993:27547872:-1 gene:PRUPE_6G304600 transcript:ONI04133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHKYLLVAVYLWALTCSLVPAYGLVRIGLKKRPLDLQTIKAARAERLANYRGSLKGMNQNHYLGSSDEDIVPLNNYMDAQYYGEIGIGSPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHTKYKSSKSSTYTAIGTSAKITYGSGSISGFFSQDNVEVGDLVVKNQDFIEATKEGSLTFVLAKFDGLLGLGFQEISVGNATPVWQTMAEQGLLSDDVFSFWLNRDTTAEVGGELVFGGVDSKHYKGKHTYVPVTRKGYWQFEMGDIVIGNLSTGVCEGGCAAVVDSGTSLLTGPTTIVTEINHAIGAEGVVSAECKQVVSQYGDLIWDLLISGVQPDQVCKQLGLCVFNGAQYVRGRSHLFEG >ONI04132 pep chromosome:Prunus_persica_NCBIv2:G6:27544153:27547173:-1 gene:PRUPE_6G304600 transcript:ONI04132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHKYLLVAVYLWALTCSLVPAYGLVRIGLKKRPLDLQTIKAARAERLANYRGSLKGMNQNHYLGSSDEDIVPLNNYMDAQYYGEIGIGSPPQNFTVIFDTGSSNLWVPSSKCYFSIACYFHTKYKSSKSSTYTAIGTSAKITYGSGSISGFFSQDNVEVGDLVVKNQDFIEATKEGSLTFVLAKFDGLLGLGFQEISVGNATPVWQTMAEQGLLSDDVFSFWLNRDTTAEVGGELVFGGVDSKHYKGKHTYVPVTRKGYWQFEMGDIVIGNLSTGVCEGGCAAVVDSGTSLLTGPTTIVTEINHAIGAEGVVSAECKQVVSQYGDLIWDLLISGVQPDQVCKQLGLCVFNGAQYVSTGIETVVEKESREGSSAGDSALCTACEMAVVWAQNQLKQKGAKDRVLSYINELCESLPSPLGESIIDCNSISSMPNVTFTIGDKPFILTPEQYILKTGEGIAAICISGFGALDLAPPTGPLWILGDVFMGPYHTVFDFGDLQIGFAEAA >ONH99577 pep chromosome:Prunus_persica_NCBIv2:G6:2778745:2789479:1 gene:PRUPE_6G036900 transcript:ONH99577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRELVTGGAACAVPGSSSSANPFGALANALIGSSSKTQERLKEIPQSTPTASEQQFYPDAHGHLQQLPGSEFEQPFMQPGTQSSDFLRNFNSVDGNRLLDNAWDEIQISQPPPMHVPQLDRIYDLGAAPQLQPTLDGPPQRVLSSFLHSFVESSRGGMPFRPAPLPVLGLSAGDKRCIRDRTSIMARHFFADKSEDFINGQVNALLSSLDIESDVNSKGPLPARFRELEDYWNESQAIMKPGAHATEGWVTEFNQHRVDHGDPNAWAHSFERQHGANGWASEFEQEQSQLMSADHMRGVNMANLAAMEQTRMLANTLAQNDDPKFQKSRFLQFVSKMSRGELIIDDNQVKPATSSASGDWAGEYQQQYNAGPNWADEYARGELSHGPNQWANEFASEQEQHGSVDDQWVNQFSKLHVDDWAEEFGNQVGEGILGDTSADTWANAYDEYLNEQVASKQHSDASRGVYVFSDMNPYVGHPNPLKEGQDMFHKGLLSEAVLALEAEVLKNPENAEGWRLLGIAHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHQKYGVLARPELSDSLYYADVARLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIESFRLALKLKPQDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEDSIRYYVRALTMNPKADNGWQYLRISLSCASRNDMLEACDSRNLDVLQKEFPL >ONH99419 pep chromosome:Prunus_persica_NCBIv2:G6:2207426:2209624:1 gene:PRUPE_6G028300 transcript:ONH99419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLTAASTIVSSPLLPAGQCPGRVKLSSCGPKRVCGDGRMVHFKIKPVGLGAQLSLLERRKPVIGSRRSASVICASASNARCGAEQTQTVTREAPTITHLPGKEKSPLLDDGGSGFPPGDDGDGGGGGGGGGGNWSGGFAFFGFLLFLSFLKDKESEGGYRENRRR >ONH99418 pep chromosome:Prunus_persica_NCBIv2:G6:2207093:2209762:1 gene:PRUPE_6G028300 transcript:ONH99418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLTAASTIVSSPLLPAVGQCPGRVKLSSCGPKRVCGDGRMVHFKIKPVGLGAQLSLLERRKPVIGSRRSASVICASASNARCGAEQTQTVTREAPTITHLPGKEKSPLLDDGGSGFPPGDDGDGGGGGGGGGGNWSGGFAFFGFLLFLSFLKDKESEGGYRENRRR >ONI02115 pep chromosome:Prunus_persica_NCBIv2:G6:18258984:18259241:1 gene:PRUPE_6G177500 transcript:ONI02115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAILVAEEYERRVKNARKAGSAEGDHQLETDLFSCVSVMAHSLHKKIGQEKMEFVKWVMEPKSQIGLEASNGFFSA >ONI04647 pep chromosome:Prunus_persica_NCBIv2:G6:28952054:28954198:1 gene:PRUPE_6G332500 transcript:ONI04647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVKLESETMADVVSHLQKQLVDYTASLFDEAFLDEQFNQLQQLQDENNPDFVVEVVSLFFKDSERLMDELNKALDQQTVDFKLVDKNIHQLKGSSSSIGVQRFQRACIAFRDCSEEQNVEGCLKSLQHVKHEYFLVKNKLETLFNLQKQLLAAGGPLPGK >ONI03725 pep chromosome:Prunus_persica_NCBIv2:G6:26075213:26086049:1 gene:PRUPE_6G277600 transcript:ONI03725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMMMVANSNERLASLMDSAILASDIPSKLDRLRQSKQDLVVQQDPALLSGLLPRLFELQSDRFSPVRKFATEMLGEIGLMHVELLPEIVPSLINVLSDGTPAVARQAITSGIHLFRCVLEKVSIQGLHSSELDSLLESSWAWVLKLKEEIYSIAFRPGSGGIRLLALKFVESVILLYTPDPNGSPEPPAHEGDLVEFNISWLRGGHLLLNVGDLSIEASKSLGLLLDQLRFPTVKSLGNLVIVVLINSLSAIAKKRPAFYGRILPVLLGFDPSSAVINGVHVTGAHHALKNAFLTCLKCTHKGAAPWRDRLVGALRKLKAGGLVEQAIPQASKINGSVEDGLDDSPITKEEKPTIKTSNAVQISSGRKRLGALDSSDLAEDEDVSGKRAKSTSSVSEESVKECDRNISVSQDDISSSGTTTSRGDSDSGPVQQLVAMFGALVAQGEKAVGSLEILISSISADLLAEVVMANMYNLPPNLPGAEGDESLVNMGIVGGDSRVKYPPSFIADVLSLTSTFPPIAALLDTHQSVSNDIVKLEVEEEQVASVVDSAVASTGMDYEAENSTLPTGLPSSSEAFLSEMEKGCQPVPSDVHDMEYLESEIPGLDSSACNSGLSEPFVASSSALMDVEDASQEQVTSSGQGTQLNVLPSLSADKSEELSPRAAVADVNSLVSSTATSVGLSSHLVLPKMSAPVVILADEEKDQLQKLAFSRIIEAYKQIAIAGGSQLRCSLLINLGVEFPLELDPWKLLQKHILADYTNNEGHELTLRVLYRLFGEAEEEHDFFSSTTATSVYETFLLNAAETLRDSFPASDKSLSRLLGEVPYLPNSVLKLLECMCSPGSSDTAEKETQGGDRVTQGLSTVWSLILLRPPFRDPCLKIALQSAVYHLEEVRMKAIRLVANKLYPLSSIAQRIEDFAIEMLLSVKCGDATERTDAEGSKTESQKDSDLEKHSNEPPAVSGNSKDISSDTHQSCNSQSVPSLSIAEAQRCLSLYFALCTKKHSLFRQIFAVYGSASKAVKQAVHRHIPILVRTMGSSPDLLEIISDPPSGSENLLMQVLHTLTDGIVPSRELVFTVRKLYDSKLKDVEILIPILPFLPKEEVMLIFPQLVNLQLDKFQAALTRTLQGSSNSGPLLAPAEILIAIHGIDPDRDGIPLKKVTDACNACFEQRQIFTQQVLAKVLNQLVEQIPLPLLFMRTVLQAIGAFPALVDFIMEILSRLVSKQIWKYPKLWVGFLKCAFLTKPQSFGVLLQLPPAQLENALKRTAALKAPLVAHASQPDIRSSLPRSILVVLGIVSDSQAQTSQSQAGDASNSDKEAVAEKSKESSSAC >ONI00079 pep chromosome:Prunus_persica_NCBIv2:G6:4613699:4616869:-1 gene:PRUPE_6G066800 transcript:ONI00079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00092 pep chromosome:Prunus_persica_NCBIv2:G6:4614832:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGIDH >ONI00093 pep chromosome:Prunus_persica_NCBIv2:G6:4614832:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGIDH >ONI00096 pep chromosome:Prunus_persica_NCBIv2:G6:4614832:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGIDH >ONI00084 pep chromosome:Prunus_persica_NCBIv2:G6:4613699:4616869:-1 gene:PRUPE_6G066800 transcript:ONI00084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00078 pep chromosome:Prunus_persica_NCBIv2:G6:4613699:4616869:-1 gene:PRUPE_6G066800 transcript:ONI00078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00097 pep chromosome:Prunus_persica_NCBIv2:G6:4614832:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGIDH >ONI00090 pep chromosome:Prunus_persica_NCBIv2:G6:4614832:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGIDH >ONI00094 pep chromosome:Prunus_persica_NCBIv2:G6:4614832:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGIDH >ONI00087 pep chromosome:Prunus_persica_NCBIv2:G6:4613657:4616869:-1 gene:PRUPE_6G066800 transcript:ONI00087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00091 pep chromosome:Prunus_persica_NCBIv2:G6:4614832:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGIDH >ONI00076 pep chromosome:Prunus_persica_NCBIv2:G6:4613699:4616869:-1 gene:PRUPE_6G066800 transcript:ONI00076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00077 pep chromosome:Prunus_persica_NCBIv2:G6:4614350:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00081 pep chromosome:Prunus_persica_NCBIv2:G6:4613699:4616869:-1 gene:PRUPE_6G066800 transcript:ONI00081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00082 pep chromosome:Prunus_persica_NCBIv2:G6:4614350:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00083 pep chromosome:Prunus_persica_NCBIv2:G6:4613659:4616869:-1 gene:PRUPE_6G066800 transcript:ONI00083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00095 pep chromosome:Prunus_persica_NCBIv2:G6:4614832:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGIDH >ONI00089 pep chromosome:Prunus_persica_NCBIv2:G6:4614832:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGIDH >ONI00088 pep chromosome:Prunus_persica_NCBIv2:G6:4614350:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00075 pep chromosome:Prunus_persica_NCBIv2:G6:4613699:4616869:-1 gene:PRUPE_6G066800 transcript:ONI00075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00080 pep chromosome:Prunus_persica_NCBIv2:G6:4614350:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00086 pep chromosome:Prunus_persica_NCBIv2:G6:4613699:4616869:-1 gene:PRUPE_6G066800 transcript:ONI00086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI00085 pep chromosome:Prunus_persica_NCBIv2:G6:4614350:4616593:-1 gene:PRUPE_6G066800 transcript:ONI00085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGLELLDDALHNKHVLSTGREGIDLLLGGGLREGQLTEIVGPSSCGKTQVCLLAASNVATKQMGNVVYLDTGNSFSPQRIAQFVGHIAGCAFDEAGKRIFQRIMNSIVCHSVFDIFTMFNVLHRLVINFPSQKGGQVRLLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPGPWTDLEEHPTCEASTFW >ONI04233 pep chromosome:Prunus_persica_NCBIv2:G6:27836407:27840939:-1 gene:PRUPE_6G310400 transcript:ONI04233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVLGRLLQRASLRQAIGRRAYSIKKSVDIGQPSPASHPQLLKEGEITPGITSEEYTLRRNKLLEVLPEKGLAIFAAAPVKMMTDVVPYTYRQDADYLYITGCQQPGGVAVLGHECGFCMFMPEATSHDVIWQGQIAGVSVALEVFKADRAYPMSKLQQILPDIMKGSSELFHNVQTAVPTYMELDAFQKAASSGKVKDVSVFTHELRLIKSPAELKLMRESASIACQALLQTMFHSKTHPYEGRLSAKVEYECKMKGAQRMAFNPVVGGGPNASVIHYSRNDQKIKNGDLVLMDVGCELHGYVSDITRTWPPYGSFSSTQEELYDLILQTNKDCVELCKPGASIREIHSFSVEMLIKGLNEIGILKDSRSSSYHQLNPTSIGHYLGMDVHDCSIVGYDRPLKPGVVITIEPGIYIPLSSNGPKRYFWA >ONI04229 pep chromosome:Prunus_persica_NCBIv2:G6:27835577:27840720:-1 gene:PRUPE_6G310400 transcript:ONI04229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVLGRLLQRASLRQAIGRRAYSIKKSVDIGQPSPASHPQLLKEGEITPGITSEEYTLRRNKLLEVLPEKGLAIFAAAPVKMMTDVVPYTYRQDADYLYITGCQQPGGVAVLGHECGFCMFMPEATSHILPDIMKGSSELFHNVQTAVPTYMELDAFQKAASSGKVKDVSVFTHELRLIKSPAELKLMRESASIACQALLQTMFHSKTHPYEGRLSAKVEYECKMKGAQRMAFNPVVGGGPNASVIHYSRNDQKIKNGDLVLMDVGCELHGYVSDITRTWPPYGSFSSTQEELYDLILQTNKDCVELCKPGASIREIHSFSVEMLIKGLNEIGILKDSRSSSYHQLNPTSIGHYLGMDVHDCSIVGYDRPLKPGVVITIEPGIYIPLSSNGPKRYRGIGIRIEDEVLITETGYEVLTGSMPKEVKHIESLLNNFPHGKYNAEPQQ >ONI04230 pep chromosome:Prunus_persica_NCBIv2:G6:27835577:27840720:-1 gene:PRUPE_6G310400 transcript:ONI04230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVLGRLLQRASLRQAIGRRAYSIKKSVDIGQPSPASHPQLLKEGEITPGITSEEYTLRRNKLLEVLPEKGLAIFAAAPVKMMTDVVPYTYRQDADYLYITGCQQPGGVAVLGHECGFCMFMPEATSHDVIWQGQIAGVSVALEVFKADRAYPMSKLQQILPDIMKGSSELFHNVQTAVPTYMELDAFQKAASSGKVKDVSVFTHELRLIKSPAELKLMRESASIACQALLQTMFHSKTHPYEGRLSAKVEYECKMKGAQRMAFNPVVGGGPNASVIHYSRNDQKIKNGDLVLMDVGCELHGYVSDITRTWPPYGSFSSTQEELYDLILQTNKDCVELCKPGASIREIHSFSVEMLIKGLNEIGILKDSRSSSYHQLNPTSIGHYLGMDVHDCSIVGYDRPLKPGVVITIEPGIYIPLSSNGPKRYRGIGIRIEDEVLITETGYEVLTGSMPKEVKHIESLLNNFPHGKYNAEPQQ >ONI04231 pep chromosome:Prunus_persica_NCBIv2:G6:27835293:27840939:-1 gene:PRUPE_6G310400 transcript:ONI04231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVLGRLLQRASLRQAIGRRAYSIKKSVDIGQPSPASHPQLLKEGEITPGITSEEYTLRRNKLLEVLPEKGLAIFAAAPVKMMTDVVPYTYRQDADYLYITGCQQPGGVAVLGHECGFCMFMPEATSHILPDIMKGSSELFHNVQTAVPTYMELDAFQKAASSGKVKDVSVFTHELRLIKSPAELKLMRESASIACQALLQTMFHSKTHPYEGRLSAKVEYECKMKGAQRMAFNPVVGGGPNASVIHYSRNDQKIKNGDLVLMDVGCELHGYVSDITRTWPPYGSFSSTQEELYDLILQTNKDCVELCKPGASIREIHSFSVEMLIKGLNEIGILKDSRSSSYHQLNPTSIGHYLGMDVHDCSIVGYDRPLKPGVVSRDRNKD >ONI04232 pep chromosome:Prunus_persica_NCBIv2:G6:27835293:27840939:-1 gene:PRUPE_6G310400 transcript:ONI04232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVLGRLLQRASLRQAIGRRAYSIKKSVDIGQPSPASHPQLLKEGEITPGITSEEYTLRRNKLLEVLPEKGLAIFAAAPVKMMTDVVPYTYRQDADYLYITGCQQPGGVAVLGHECGFCMFMPEATSHDVIWQGQIAGVSVALEVFKADRAYPMSKLQQILPDIMKGSSELFHNVQTAVPTYMELDAFQKAASSGKVKDVSVFTHELRLIKSPAELKLMRESASIACQALLQTMFHSKTHPYEGRLSAKVEYECKMKGAQRMAFNPVVGGGPNASVIHYSRNDQKIKNGDLVLMDVGCELHGYVSDITRTWPPYGSFSSTQEELYDLILQTNKDCVELCKPGASIREIHSFSVEMLIKGLNEIGILKDSRSSSYHQLNPTSIGHYLGMDVHDCSIVGYDRPLKPGVVSRDRNKD >ONI04408 pep chromosome:Prunus_persica_NCBIv2:G6:28346432:28352252:-1 gene:PRUPE_6G320100 transcript:ONI04408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSDEEVVVEDSDGVGGGGGCGGKSYGGSVSCSICLEVVADNGDRSWAKLQCGHQFHLDCIGSAFNIKGAMQCPNCRKIEKGQWLYANGYRSIQEFGVDDWTHDEDLYDLGYSEMSFGVHWCPFGSLARLPSSFEEGEFSPTSYHDLLGQHAIFAEHTAVSSAGHPCPYIAYFGPIHPSSSNSSGSVSEASNFNHHWSGTSVPSEIPNSYAFPAMDLHYHSWEHHSPPPFSTTNNHIGGAEQGSIPSVTQRSARPSSDLPRSGSFMHPFLVGHSNARARDRVQALQAYYQQQQPSNSPTMRTPIIQGARRSSSQRGVAQVGPVASSSDQNGGFYFFPSGSSGRNYQEAENPLPNRFHAWERDHLPSFSMNQVDRDQGWAAVHQGGSGSDSAMRGNSFRQRHGSERTPSQNRS >ONI04409 pep chromosome:Prunus_persica_NCBIv2:G6:28346108:28352356:-1 gene:PRUPE_6G320100 transcript:ONI04409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSDEEVVVEDSDGVGGGGGCGGKSYGGSVSCSICLEVVADNGDRSWAKLQCGHQFHLDCIGSAFNIKGAMQCPNCRKIEKGQWLYANGYRSIQEFGVDDWTHDEDLYDLGYSEMSFGVHWCPFGSLARLPSSFEEGEFSPTSYHDLLGQHAIFAEHTAVSSAGHPCPYIAYFGPIHPSSSNSSGSVSEASNFNHHWSGTSVPSEIPNSYAFPAMDLHYHSWEHHSPPPFSTTNNHIGGAEQGSIPSVTQRSARPSSDLPRSGSFMHPFLVGHSSSARAGSSVTSSMIPPYPGSNARARDRVQALQAYYQQQQPSNSPTMRTPIIQGARRSSSQRGVAQVGPVASSSDQNGGFYFFPSGSSGRNYQEAENPLPNRFHAWERDHLPSFSMNQVDRDQGWAAVHQGGSGSDSAMRGNSFRQRHGSERTPSQNRS >ONI03317 pep chromosome:Prunus_persica_NCBIv2:G6:24580966:24583107:1 gene:PRUPE_6G250700 transcript:ONI03317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDDCKLKFLELKAKRTYRSIVFKIEEKQKQVVVESVGEPTETYEQFAGKLPADECRYAVFDFDFLTPEGVQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQIELQATDPTEIGLDVIKSRAS >ONI03318 pep chromosome:Prunus_persica_NCBIv2:G6:24582092:24583107:1 gene:PRUPE_6G250700 transcript:ONI03318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHDDCKLKFLELKAKRTYRSIVFKIEEKQKQVVVESVGEPTETYEQFAGKLPADECRYAVFDFDFLTPEGVQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQIELQATDPTEIGLDVIKSRAS >ONI03316 pep chromosome:Prunus_persica_NCBIv2:G6:24581000:24583107:1 gene:PRUPE_6G250700 transcript:ONI03316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVHDDCKLKFLELKAKRTYRSIVFKIEEKQKQVVVESVGEPTETYEQFAGKLPADECRYAVFDFDFLTPEGVQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQIELQATDPTEIGLDVIKSRAS >ONI03703 pep chromosome:Prunus_persica_NCBIv2:G6:25997046:25998631:-1 gene:PRUPE_6G276200 transcript:ONI03703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAGAAATSSFIGTRFPDVYSNSGRVQARFGFGTKKPKKASKSTFSTDRPLWYPGAKAPEWLDGSLVGDYGFDPFGLGKPAEYLQYDYDGLDQNLAKNLAGDVIGTRTETADVQSTPFQPYNEVFGLQRFRECELIHGRWAMLATLGALTVESVTGITWQDAGKVELIEGSSYLGQPLPFSITTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGKFFDPLGLASDPEKKATLQLAEIKHARLAMIGFLGFAVQAAVTGKGPLNNWATHLSDPLHTTIIDAFTSS >ONH99847 pep chromosome:Prunus_persica_NCBIv2:G6:3740204:3742755:-1 gene:PRUPE_6G053300 transcript:ONH99847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRSKVGVENDAFDRQIDWELRPGGMLVQKRDIGDASSGPMIKIKVSHGSYYHDVIVHAQSTFGDLKRVLAHETGLEPKEQRLLFTGKEKEDNECLHMAGVKDMSKIILLEDPASKEKKLEEMKKNQGVLKAYEEVAKVRAEVDKLSQKIVDFEATLLSGTKVSDKEIGVLTELLMVELLKLDAIAADGEAKVQRRVEVRRVQSFVDTLDKLKARNSNPFSNKSSNAVSVTTKRERFELGVGSLNAPTPLPSSTKITQDWELFD >ONH99845 pep chromosome:Prunus_persica_NCBIv2:G6:3739131:3742755:-1 gene:PRUPE_6G053300 transcript:ONH99845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRSKVGVENDAFDRQIDWELRPGGMLVQKRDIGDASSGPMIKIKVSHGSYYHDVIVHAQSTFGDLKRVLAHETGLEPKEQRLLFTGKEKEDNECLHMAGVKDMSKIILLEDPASKEKKLEEMKKNQGVLKAYEEVAKVRAEVDKLSQKIVDFEATLLSGTKVSDKEIGVLTELLMVELLKLDAIAADGEAKVQRRVEVRRVQSFVDTLDKLKSLMQR >ONH99848 pep chromosome:Prunus_persica_NCBIv2:G6:3741518:3742530:-1 gene:PRUPE_6G053300 transcript:ONH99848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRSKVGVENDAFDRQIDWELRPGGMLVQKRDIGDASSGPMIKIKVSHGSYYHDVIVHAQSTFGDLKRVLAHETGLEPKEQRLLFTGKEKEDNECLHMAGVKDMSKIILLEDPASKEKKLEEMKKNQGVLKAYEEVAKVRAEVDKLSQKWLLDC >ONH99846 pep chromosome:Prunus_persica_NCBIv2:G6:3739288:3742755:-1 gene:PRUPE_6G053300 transcript:ONH99846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRSKVGVENDAFDRQIDWELRPGGMLVQKRDIGDASSGPMIKIKVSHGSYYHDVIVHAQSTFGDLKRVLAHETGLEPKEQRLLFTGKEKEDNECLHMAGVKDMSKIILLEDPASKEKKLEEMKKNQGVLKAYEEVAKVRAEVDKLSQKIVDFEATLLSGTKVSDKEIGVLTELLMVELLKLDAIAADGEAKVQRRVEVRRVQSFVDTLDKLKVEKQIFFF >ONI04410 pep chromosome:Prunus_persica_NCBIv2:G6:28356375:28356932:1 gene:PRUPE_6G320200 transcript:ONI04410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFLWVVDIVDTFRLQEQPTMDKKQFVTFTKSFVGESMHDDGSIVFAYYKEGATDPTCIYLAYGLKEIVC >ONI04819 pep chromosome:Prunus_persica_NCBIv2:G6:29465616:29469971:1 gene:PRUPE_6G341100 transcript:ONI04819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEMELISKLRNPFIVEYKDSWVEKGCYVCIIIGYCAGGDMAEAIKKANGLLFPEEKLCKWLVQLLMALDYLHLNHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSHKAAFKAFDIQALINKINRSIVAPLPTKYSAAFRGLIKSMLRKNPELRPSAAELLGHPHLQPYVHKVHLKINIPRHGTLAVNWADPGYLKKTRFAEPEDARFFTYQDKRHTLSNDRTLNPSISGGLSAGRTFRKAAISKQPIASKTSNIVKTRAPAKASATPKRWMEPKNRSSLPVSCTSKKAVSTTRRASLPLPTSAATHQSPFRPNIGVLHCIKSPDVSVNSPRIDRIAEFPLASYEEQLYEEQFLPIHRRTSSHSVQGSSGSPQSDDRSIMKDKCTVQTCERASRKLSFTGAWQGIQSSMFQAERDDQSDECSDQNATAGASSRTSSDTRRRRFDTKSFQQRAEALEGLLEFSARLLQHQRYDELGVLLKPFGPGKVSPRETAIWLTKSIKENTVKHDD >ONI04818 pep chromosome:Prunus_persica_NCBIv2:G6:29465616:29469971:1 gene:PRUPE_6G341100 transcript:ONI04818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEMELISKLRNPFIVEYKDSWVEKGCYVCIIIGYCAGGDMAEAIKKANGLLFPEEKLCKWLVQLLMALDYLHLNHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSHKAAFKAFDIQALINKINRSIVAPLPTKYSAAFRGLIKSMLRKNPELRPSAAELLGHPHLQPYVHKVHLKINIPRHGTLAVNWADPGYLKKTRFAEPEDARFFTYQDKRHTLSNDRTLNPSISGGEQDSLCSTQEMHDKPNYLKQQLAGLSAGRTFRKAAISKQPIASKTSNIVKTRAPAKASATPKRWMEPKNRSSLPVSCTSKKAVSTTRRASLPLPTSAATHQSPFRPNIGVLHCIKSPDVSVNSPRIDRIAEFPLASYEEQLYEEQFLPIHRRTSSHSVQGSSGSPQSDDRSIMKDKCTVQTCERASRKLSFTGAWQGIQSSMFQAERDDQSDECSDQNATAGASSRTSSDTRRRRFDTKSFQQRAEALEGLLEFSARLLQHQRYDELGVLLKPFGPGKVSPRETAIWLTKSIKENTVKHDD >ONI02401 pep chromosome:Prunus_persica_NCBIv2:G6:20383852:20385150:-1 gene:PRUPE_6G196100 transcript:ONI02401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGKCNNSEILDFSTLQSNEATNLINSTGAWLLNEKPGAFISEEELKIRSEVEKDIERDLEEEIKDGICHLALRLHRLYQHQNERRSSSAAALAARDERKMEKAFCEVNINIKMEGGTKIEIKETKKPAPPDQKGSGPNWSGTRSENYMQPFVKMARNSKKFDWAKTLRSNVGPVAFTKKPWQPT >ONI02402 pep chromosome:Prunus_persica_NCBIv2:G6:20383971:20385086:-1 gene:PRUPE_6G196100 transcript:ONI02402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQFGDTRFFNAAVERGHEFNQQHRCLAEEELKIRSEVEKDIERDLEEEIKDGICHLALRLHRLYQHQNERRSSSAAALAARDERKMEKAFCEVNINIKMEGGTKIEIKETKKPAPPDQKGSGPNWSGTRSENYMQPFVKMARNSKKFDWAKTLRSNVGPVAFTKKPWQPT >ONH98944 pep chromosome:Prunus_persica_NCBIv2:G6:238371:240722:1 gene:PRUPE_6G001500 transcript:ONH98944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEARYWCHVCSQMVDPIMEVEMKCPFCQSGFIEEMNSNTRDSHEADSDFGSDRALSLWAPILLGMMNNPHRRRRLRRLDFDEDEDDDNDDGEARHGGDTELDRELESIIRRRRRNSATILQLLQGIRAGSASESENSESDRDRDRDRDRERERERERERVILINPFSQTIIVQGSYDSNQGQNNNHTPMGSLGDYFIGPGLDLLLQHLAENDPNRYGTPPAQKEAVEALPTVTIKENLQCSVCLDDFEAGVEAKEMPCKHKFHSGCILPWLELHSSCPVCRFQLPADESKRDSDNSRNSSDQRESENIGGDGGGVEGDGDGRNGSGRRFSIPWPFNGLFSNSGSQSSGSGGNSSSAANATGSGSASRTDEN >ONH98945 pep chromosome:Prunus_persica_NCBIv2:G6:238371:240722:1 gene:PRUPE_6G001500 transcript:ONH98945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEARYWCHVCSQMVDPIMEVEMKCPFCQSGFIEEMNSNTRDSHEADSDFGSDRALSLWAPILLGMMNNPHRRRRLRRLDFDEDEDDDNDDGEARHGGDTELDRELESIIRRRRRNSATILQLLQGIRAGSASESENSESDRDRDRDRDRERERERERERVILINPFSQTIIVQGSYDSNQGQNNNHTPMGSLGDYFIGPGLDLLLQHLAENDPNRYGTPPAQKEAVEALPTVTIKENLQCSVCLDDFEAGVEAKEMPCKHKFHSGCILPWLELHSSCPVCRFQLPADESKRDSDNSRNSSDQRESENIGGDGGGVEGDGDGRNGSGRRFSIPWPFNGLFSNSGSQSSGSGGNSSSAANATGSGSASRTDEN >ONI00818 pep chromosome:Prunus_persica_NCBIv2:G6:7548442:7552869:-1 gene:PRUPE_6G106200 transcript:ONI00818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGSKQTKLYTSWTSSQVMKLIEGQMA >ONI00825 pep chromosome:Prunus_persica_NCBIv2:G6:7550329:7552869:-1 gene:PRUPE_6G106200 transcript:ONI00825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRSTCNTHAMSSFATNECNALPPKEKIDY >ONI00814 pep chromosome:Prunus_persica_NCBIv2:G6:7547650:7553016:-1 gene:PRUPE_6G106200 transcript:ONI00814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGQMA >ONI00826 pep chromosome:Prunus_persica_NCBIv2:G6:7549734:7554992:-1 gene:PRUPE_6G106200 transcript:ONI00826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRSTCNTHAMSSFATNECNALPPKEKIDY >ONI00809 pep chromosome:Prunus_persica_NCBIv2:G6:7547650:7554987:-1 gene:PRUPE_6G106200 transcript:ONI00809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGQMA >ONI00827 pep chromosome:Prunus_persica_NCBIv2:G6:7549734:7553016:-1 gene:PRUPE_6G106200 transcript:ONI00827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRSTCNTHAMSSFATNECNALPPKEKIDY >ONI00821 pep chromosome:Prunus_persica_NCBIv2:G6:7547650:7554992:-1 gene:PRUPE_6G106200 transcript:ONI00821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPTLRIARTTDS >ONI00819 pep chromosome:Prunus_persica_NCBIv2:G6:7547650:7554905:-1 gene:PRUPE_6G106200 transcript:ONI00819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKSQQGNSSVYVFFSSLSQVVVVIWSALIIAVRVTNLAIYLLICVVWLLAFDFLMDFLYPLTFQSCKTSDHSNINLQSVIAVLLQWCVFFFFFPYTNDRIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGQMA >ONI00813 pep chromosome:Prunus_persica_NCBIv2:G6:7548442:7552869:-1 gene:PRUPE_6G106200 transcript:ONI00813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGQMA >ONI00806 pep chromosome:Prunus_persica_NCBIv2:G6:7547650:7554905:-1 gene:PRUPE_6G106200 transcript:ONI00806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGSKQTKLYTSWTSSQVMKLIEGQMA >ONI00822 pep chromosome:Prunus_persica_NCBIv2:G6:7547650:7554992:-1 gene:PRUPE_6G106200 transcript:ONI00822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPTLRIARTTDS >ONI00815 pep chromosome:Prunus_persica_NCBIv2:G6:7548442:7552869:-1 gene:PRUPE_6G106200 transcript:ONI00815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGQMA >ONI00812 pep chromosome:Prunus_persica_NCBIv2:G6:7548442:7552869:-1 gene:PRUPE_6G106200 transcript:ONI00812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGSKQTKLYTSWTSSQVMKLIEGQMA >ONI00807 pep chromosome:Prunus_persica_NCBIv2:G6:7547650:7554991:-1 gene:PRUPE_6G106200 transcript:ONI00807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGQMA >ONI00810 pep chromosome:Prunus_persica_NCBIv2:G6:7547650:7554991:-1 gene:PRUPE_6G106200 transcript:ONI00810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGQMA >ONI00816 pep chromosome:Prunus_persica_NCBIv2:G6:7548442:7552869:-1 gene:PRUPE_6G106200 transcript:ONI00816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGSKQTKLYTSWTSSQVMKLIEGQMA >ONI00808 pep chromosome:Prunus_persica_NCBIv2:G6:7547647:7554991:-1 gene:PRUPE_6G106200 transcript:ONI00808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGSKQTKLYTSWTSSQVMKLIEGQMA >ONI00820 pep chromosome:Prunus_persica_NCBIv2:G6:7548442:7552869:-1 gene:PRUPE_6G106200 transcript:ONI00820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKSQQGNSSVYVFFSSLSQVVVVIWSALIIAVRVTNLAIYLLICVVWLLAFDFLMDFLYPLTFQSCKTSDHSNINLQSVIAVLLQWCVFFFFFPYTNDRIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGSKQTKLYTSWTSSQVMKLIEGQMA >ONI00804 pep chromosome:Prunus_persica_NCBIv2:G6:7547646:7554991:-1 gene:PRUPE_6G106200 transcript:ONI00804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRSREI >ONI00817 pep chromosome:Prunus_persica_NCBIv2:G6:7547650:7554988:-1 gene:PRUPE_6G106200 transcript:ONI00817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGSKQTKLYTSWTSSQVMKLIEGQMA >ONI00824 pep chromosome:Prunus_persica_NCBIv2:G6:7548719:7552869:-1 gene:PRUPE_6G106200 transcript:ONI00824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPTLRIARTTDS >ONI00803 pep chromosome:Prunus_persica_NCBIv2:G6:7547646:7554988:-1 gene:PRUPE_6G106200 transcript:ONI00803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPYPRRKRSREI >ONI00823 pep chromosome:Prunus_persica_NCBIv2:G6:7548719:7552869:-1 gene:PRUPE_6G106200 transcript:ONI00823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPTLRIARTTDS >ONI00805 pep chromosome:Prunus_persica_NCBIv2:G6:7547650:7554992:-1 gene:PRUPE_6G106200 transcript:ONI00805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGQMA >ONI00811 pep chromosome:Prunus_persica_NCBIv2:G6:7548442:7552869:-1 gene:PRUPE_6G106200 transcript:ONI00811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGTDNAVCHGRISLPTCQEYLWEAKHSDKVFKSEGGPDSCLQNAGLESHSPGVYKEYCGAEMSDLSCESTPRLGYKDNRSSLLLDHMSVQERQLVFRNMFRYETPVIDKQWPKHSISPGLQNFFGLESGSNLAECGLMTTKNEVKTVLSSSIEFFGKDTGTIKGSLSDEGMSRDLQVKWKKLADLESLKTSNSRVSEIELCSSDERDKAGAFAMQKRSRRPPRRYIEELAESKSKFYSRKCGVACKRSKNSFLHVRSDKNNRQKETQEETLLCQDKSYEVGCIQVPFGLPVEAGQLEEHNSSLNSEDCKDHRLLRSSEKLEIEYSPAKSREEISDDECVLRRSTQKDSKQIKHYTSWTSSEVMKLIEGVSQCGVGRWSAMKRLLFSSCSHRTAVDIKDKWRNLLKASCTQLQKERKIKRDISKQASDHVPESVLQRVRELAVIYPIFSKIPRYRDRTGQLQCLESTFCIQGICCNNNSEDCKDHRLLGSSEKLEIEYSPAKSREEISEDESVSRINTRKGSKQTKLYTSWTSSQVMKLIEGQMA >ONI02553 pep chromosome:Prunus_persica_NCBIv2:G6:21355208:21357252:-1 gene:PRUPE_6G205400 transcript:ONI02553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQELTGAEEQAVKAYGWAARDSSGVLSPFHFTRRGNGDNDISMKILYCGICHSDLHLAKDEVGMTIYPIVPGHEIVGEVTKVGRNVTKFKVGDIAGVGCMVGSCRSCDNCTQDLENYCPKMVWTYNKHHEDGSRTFGGYSDKIVVDEHFVVQIPKNLPLQGTAPMLCAGITVYSPMRYFGLMEPGKHLGVVGLGGLGHMAVKFAKAVGAKVTVISTSPNKKKEAVEQLGVDEFLISHDQEQLQAAMGTMDGIIDTVSAAHPLLHLVGLLKTNGKLILVGAPIQPPELPVFPLILGRKLVAGSATGGMKETQEMIDFAAKHNITADVEVIPMDYVNTALERVAKTDVKYRFVIDVANTIKSPY >ONI00407 pep chromosome:Prunus_persica_NCBIv2:G6:5920398:5923146:1 gene:PRUPE_6G087400 transcript:ONI00407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMMIRTTTAATSSSCYCWNSRGMNCLHSNSSFLVFVNNYFAFFHSQPSKPIKSTRTQLEQPKRDLPKITNVEDAFNVFDRMLQMRPLPSVVRFNKFLGQVAKLKHYSAVISFYNQMVVSRIGHDVCILTILINCYCHLNQMGFSLSVLGKFFKLGLEPNVFTFTTLINGFLLENRVAEAAGIFNKMIAGGNCQPNVVTYGTLVKGFCMKGNNSAAIQLLRKMEEGACKPDLVVYSTIIDSLCKDTLVDDALNFFSEMMCKGISPDVITYNSLLNGVCKLGEWKEAARLLNDMVGKNIFPDVCTFSVLVDTFCKEGMAGEAEGVVEMMIERDIEPDRVTYNSLMDGYCLRGEMSKAGKVFKLMLSKGSMVDVVCCNTLINGYCKHKMMDEAMMLLREMTCKGLVPDIVTYNTLVDSCCKVGKLGDAQQLFSEMQACGQLPDVQTYAILLDGLCKNQQISTAIQLFKEMEGKKLDADIVIYTILIEGLCIAEKIESAKELFCSLSSRGLQPNVRTYTIMINGLCIGGLTSEAEKLLIEMEEKGCSPNGWTYNVIIRGFINNNETVTVTGLIQQMVEKGFSADAWTTELIVDLLVKDKVDPALLPLMQKENYELNLPQLKLNRSSDHPNKH >ONI00409 pep chromosome:Prunus_persica_NCBIv2:G6:5920640:5923148:1 gene:PRUPE_6G087400 transcript:ONI00409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGACKPDLVVYSTIIDSLCKDTLVDDALNFFSEMMCKGISPDVITYNSLLNGVCKLGEWKEAARLLNDMVGKNIFPDVCTFSVLVDTFCKEGMAGEAEGVVEMMIERDIEPDRVTYNSLMDGYCLRGEMSKAGKVFKLMLSKGSMVDVVCCNTLINGYCKHKMMDEAMMLLREMTCKGLVPDIVTYNTLVDSCCKVGKLGDAQQLFSEMQACGQLPDVQTYAILLDGLCKNQQISTAIQLFKEMEGKKLDADIVIYTILIEGLCIAEKIESAKELFCSLSSRGLQPNVRTYTIMINGLCIGGLTSEAEKLLIEMEEKGCSPNGWTYNVIIRGFINNNETVTVTGLIQQMVEKGFSADAWTTELIVDLLVKDKVDPALLPLMQKENYELNLPQLKLNRSSDHPNKH >ONI00408 pep chromosome:Prunus_persica_NCBIv2:G6:5920330:5923148:1 gene:PRUPE_6G087400 transcript:ONI00408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGGNCQPNVVTYGTLVKGFCMKGNNSAAIQLLRKMEEGACKPDLVVYSTIIDSLCKDTLVDDALNFFSEMMCKGISPDVITYNSLLNGVCKLGEWKEAARLLNDMVGKNIFPDVCTFSVLVDTFCKEGMAGEAEGVVEMMIERDIEPDRVTYNSLMDGYCLRGEMSKAGKVFKLMLSKGSMVDVVCCNTLINGYCKHKMMDEAMMLLREMTCKGLVPDIVTYNTLVDSCCKVGKLGDAQQLFSEMQACGQLPDVQTYAILLDGLCKNQQISTAIQLFKEMEGKKLDADIVIYTILIEGLCIAEKIESAKELFCSLSSRGLQPNVRTYTIMINGLCIGGLTSEAEKLLIEMEEKGCSPNGWTYNVIIRGFINNNETVTVTGLIQQMVEKGFSADAWTTELIVDLLVKDKVDPALLPLMQKENYELNLPQLKLNRSSDHPNKH >ONI00406 pep chromosome:Prunus_persica_NCBIv2:G6:5920330:5923148:1 gene:PRUPE_6G087400 transcript:ONI00406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPKRKLEGGLSALLLRDAEDDDTDYNCCYFFFLLLLEQQRVAEAAGIFNKMIAGGNCQPNVVTYGTLVKGFCMKGNNSAAIQLLRKMEEGACKPDLVVYSTIIDSLCKDTLVDDALNFFSEMMCKGISPDVITYNSLLNGVCKLGEWKEAARLLNDMVGKNIFPDVCTFSVLVDTFCKEGMAGEAEGVVEMMIERDIEPDRVTYNSLMDGYCLRGEMSKAGKVFKLMLSKGSMVDVVCCNTLINGYCKHKMMDEAMMLLREMTCKGLVPDIVTYNTLVDSCCKVGKLGDAQQLFSEMQACGQLPDVQTYAILLDGLCKNQQISTAIQLFKEMEGKKLDADIVIYTILIEGLCIAEKIESAKELFCSLSSRGLQPNVRTYTIMINGLCIGGLTSEAEKLLIEMEEKGCSPNGWTYNVIIRGFINNNETVTVTGLIQQMVEKGFSADAWTTELIVDLLVKDKVDPALLPLMQKENYELNLPQLKLNRSSDHPNKH >ONI01499 pep chromosome:Prunus_persica_NCBIv2:G6:11581339:11586667:1 gene:PRUPE_6G143100 transcript:ONI01499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDESFVNPNESFVNPDESFVNPDSFIQSTGKRPPAKGEGNSASKKWPTAKEIFDPQGPFLPLWNKIFVIACVIAVSLDPLFFYIPNINEKYKCLGKDKKLRTAALLLRSLMDLTFVTHMVHQIRVTAKIVASEQVSRRGKSDWLCRRRELVKAMPWFSILIDFLAALPIPQLAIGVVFFRRKSSQYFRKRRIMTFLLLFQYLPRVYRIYLTYEELTRIKRWVRGAFNFFLYILASHTYIQLATTKSENICQKMAMKDLEIQLWMSRNGLPEDMKTVIMKNVKQRLEQDKDADVENMFSILSQNNRKSIKRYLCMDTLKKVPMLQSTDERVLKVICNYLKPVIYSENSYVIRAGEPLDLMLFITQGIIWTFAGTHVDGSGKLNSSSSSSTSSITRCLEKGDFYGEELLSRISTYISFSDLPICTENVKCHTKVEAFALLAKDLKTVVTEFWWYFPTLNNSELEEKLALSSVRAVRRRNREKKATRSPKLAADHREQRLAYQRLHASC >ONI01496 pep chromosome:Prunus_persica_NCBIv2:G6:11581484:11586667:1 gene:PRUPE_6G143100 transcript:ONI01496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDESFVNPNESFVNPDESFVNPDSFIQSTGKRPPAKGEGNSASKKWPTAKEIFDPQGPFLPLWNKIFVIACVIAVSLDPLFFYIPNINEKYKCLGKDKKLRTAALLLRSLMDLTFVTHMVHQIRVTAKIVASEQVSRRGKSDWLCRRRELVKAMPWFSILIDFLAALPIPQLAIGVVFFRRKSSQYFRKRRIMTFLLLFQYLPRVYRIYLTYEELTRIKRWVRGAFNFFLYILASHVLGGFWYFFSIQRETSCWHHACKRSTETFCVTNYHFHCDDYSLGDDITTSSKVKFLNKFCPLDPPNSSIFDFGIFVDALKSGSTPFMQKFFHSYWWGLRNLSNFGTNLETSSYVWENCFAILISVIGLLLFLYLIGNVQTYIQLATTKSENICQKMAMKDLEIQLWMSRNGLPEDMKTVIMKNVKQRLEQDKDADVENMFSILSQNNRKSIKRYLCMDTLKKVPMLQSTDERVLKVICNYLKPVIYSENSYVIRAGEPLDLMLFITQGIIWTFAGTHVDGSGKLNSSSSSSTSSITRCLEKGDFYGEELLSRISTYISFSDLPICTENVKCHTKVEAFALLAKDLKTVVTEFWWYFPTLNNSELEEKLALSSVRAVRRRNREKKATRSPKLAADHREQRLAYQRLHASC >ONI01497 pep chromosome:Prunus_persica_NCBIv2:G6:11581291:11586673:1 gene:PRUPE_6G143100 transcript:ONI01497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDESFVNPNESFVNPDESFVNPDSFIQSTGKRPPAKGEGNSASKKWPTAKEIFDPQGPFLPLWNKIFVIACVIAVSLDPLFFYIPNINEKYKCLGKDKKLRTAALLLRSLMDLTFVTHMVHQIRVTAKIVASEQVSRRGKSDWLCRRRELVKAMPWFSILIDFLAALPIPQLAIGVVFFRRKSSQYFRKRRIMTFLLLFQYLPRVYRIYLTYEELTRIKRWVRGAFNFFLYILASHVLGGFWYFFSIQRETSCWHHACKRSTETFCVTNYHFHCDDYSLGDDITTSSKVKFLNKFCPLDPPNSSIFDFGIFVDALKSGSTPFMQKFFHSYWWGLRNLSNFGTNLETSSYVWENCFAILISVIGLLLFLYLIGNVQTYIQLATTKSENICQKMAMKDLEIQLWMSRNGLPEDMKTVIMKNVKQRLEQDKDADVENMFSILSQNNRKSIKRYLCMDTLKKVPMLQSTDERVLKVICNYLKPVIYSENSYVIRAGEPLDLMLFITQGIIWTFAGTHVDGSGKLNSSSSSSTSSITRCLEKGDFYGEELLSRISTYISFSDLPICTENVKCHTKVEAFALLAKDLKTVVTEFWWYFPTLNNSELEEKLALSSVRAVRRRNREKKATRSPKLAADHREQRLAYQRLHASC >ONI01498 pep chromosome:Prunus_persica_NCBIv2:G6:11581486:11586667:1 gene:PRUPE_6G143100 transcript:ONI01498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDESFVNPNESFVNPDESFVNPDSFIQSTGKRPPAKGEGNSASKKWPTAKEIFDPQGPFLPLWNKIFVIACVIAVSLDPLFFYIPNINEKYKCLGKDKKLRTAALLLRSLMDLTFVTHMVHQIRVTAKIVASEQVSRRGKSDWLCRRRELVKAMPWFSILIDFLAALPIPQLAIGVVFFRRKSSQYFRKRRIMTFLLLFQYLPRVYRIYLTYEELTRIKRWVRGAFNFFLYILASHVLGGFWYFFSIQRETSCWHHACKRSTETFCVTNYHFHCDDYSLGDDITTSSKVKFLNKFCPLDPPNSSIFDFGIFVDALKSGSTPFMQKFFHSYWWGLRNLSNFGTNLETSSYVWENCFAILISVIGLLLFLYLIGNVQTYIQLATTKSENICQKMAMKDLEIQLWMSRNGLPEDMKTVIMKNVKQRLEQDKDADVENMFSILSQNNRKSIKRYLCMDTLKKVPMLQSTDERVLKVICNYLKPVIYSENSYVIRAGEPLDLMLFITQGIIWTFAGTHVDGSGKLNSSSSSSTSSITRCLEKGDFYGEELLSRISTYISFSDLPICTENVKCHTKVEAFALLAKDLKTVVTEFWWYFPTLNNSELEEKLALSSVRAVRRRNREKKATRSPKLAADHREQRLAYQRLHASC >ONI03018 pep chromosome:Prunus_persica_NCBIv2:G6:23551356:23556354:1 gene:PRUPE_6G233700 transcript:ONI03018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVKREDEERLNEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVVRIHTKNMKLAEDVDLEKIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDEEIDAEILNSMAVTNEHFKTALGTSNPSALRETVVEVPNVSWEDIGGLDNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRFQIFKSCLRKSPVSKDVDIRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDVDDEVAEIRAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADNPSGTAAGSDPFATVAAGADEDDLYN >ONI03017 pep chromosome:Prunus_persica_NCBIv2:G6:23551356:23556354:1 gene:PRUPE_6G233700 transcript:ONI03017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKAESSDSKGTKRDFSTAILERKKAANRLVVDDAINDDNSVVALHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCEEPKIRMNKVVRSNLRVRLGDVVSVHQCADVKYGKRVHILPVDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVKREDEERLNEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVVRIHTKNMKLAEDVDLEKIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDEEIDAEILNSMAVTNEHFKTALGTSNPSALRETVVEVPNVSWEDIGGLDNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRFQIFKSCLRKSPVSKDVDIRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDVDDEVAEIRAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADNPSGTAAGSDPFATVAAGADEDDLYN >ONI02077 pep chromosome:Prunus_persica_NCBIv2:G6:18098508:18099112:-1 gene:PRUPE_6G176400 transcript:ONI02077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEYCCSSSSSSSTTTTTSIEKGKQRQNQQQQQQVGGNHDHRHQEKQYRGIRMRKEGKWVAEIREPNKRSRIWLGSYTTPVAATRAYETTVCPYTPQLHNMSAASIRNKATEVGAKVDAVETAHCSPTSQSKTTSSLVNSQKPNLNKYLDPENSDEKLIEKKRGRKREGKEIIYIYIL >ONI03347 pep chromosome:Prunus_persica_NCBIv2:G6:24656135:24660337:-1 gene:PRUPE_6G252300 transcript:ONI03347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTTMGDYQTQSSWRPRLGSALRTALACTIVGCTTLYGPPQLRKYLTYPSFSYMTTILIVPDAKLGDVLRSCWHVMYATVQVMVPSVLTLWLVGPKNFDILLAAVAVAVSAFVVALPESTHLMSKRIAFGQLVNVYVGTVVHGAQTGVVMHPLGVAASTALGALASVLALLFPYPRLAYFEVKKSWRMYAENASQRLTHFVEAVSAQDKRGALEFISQEQSLSKAAAKLHQSISNNLVGMVWERPHMKFLKPNYMKLGEQLQETEIPLRGMEIALSSCSSFPLNLIDEELRGHLQSSEVQISLRLLQSRYSMPSDATTAPETNREILDNAPWIGKPTTTNHDNMAASFFLYCMELLLENQPIARNPGNTLKSNPNQEPSGAQNQAHCNFQRVWKNIMPSLRSLVFALKCSLALGLAVLFGLIYNKENGYWAGLTIAIGFVTGRQATFTVTNARAQGTAMGSVYGVICLFLFQGIEHFRLLPLIPWIFFTHFLRHSKMYGQAGGISAAIGALLILGRENYGPPSEFAIARMTEACIGLICFVLVEIVFYPLRAVTLARNELSKSMGALRDCIKDINLCVPASAGLREKQRKLKSHLKKLENFLQEAETEPNFWFLPFKGASYSKVLGSLSKMADLLLFVACETEFLSQVTQKLGGASEELRQHMNADVELLKEKINSSLKCLEEVTSIKSVAAFETQAQDDYHDSELGKPANPFRILGAGDEEVEIIVSILLQHFEEVADNVHNSDSEAKRKSQTVLCLASLGFCIRSLTSETMEMEKQVRKLVKWESPSRHKKFLNICCKADALDAHT >ONI01639 pep chromosome:Prunus_persica_NCBIv2:G6:12982138:12985268:-1 gene:PRUPE_6G150400 transcript:ONI01639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRPSSSFLLVGLLWLCCWGAMARAGAEYMAYKDPKQPLNRRIKDLMGRMTLEEKIGQMTQLDRANVTAEIMRDFSLGSVLSGGGSVPREQASPQDWINMFNEFQKGALSSRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPELVKKIGAATALEVRATGINYAFAPCIAVCRDPRWGRCYESYSEDPAVVIQMTDVILGLQGEIPAGSRKGVPYVGGKDKVAACAKHFVGDGGTTRGINENNTVIDRHGLLSIHMPAYYHSIIKGVSTIMVSYSSLNGKKMHAHHELVTKFLKDTLKFRGFVISDWQGIDKINYPLHSNYPEAVLAGVQAGIDMVMVPFNHTEFIGIVTDHVNNKRIPMSRIDDAVRRILRVKLVMGLFENPLADESFVDKLGSQAHRDLAREAVRKSLVLLKNGENADTPVLPLPKKTKRILVAGTHANNLGYQCGGWSLTWQGVGGNNYTAGTTILSAITAAVDPSTEIVFSDNPDADFLKSNNFSYAIVVVGEQPYAETKGDSLNLTIAEPGPQTITNVCGAVQCVVVVVSGRPVVIEPYVSSMDALVAAWLPGTEGQGVSDVLFGDYGFSGKLPRTWFKTVDQLPMNVGDAHYDPLFPFDFGLTTDSVEQL >ONI00652 pep chromosome:Prunus_persica_NCBIv2:G6:7004322:7009888:1 gene:PRUPE_6G100600 transcript:ONI00652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPTTEPSSVTQLLNPHSPLNGHDSTDQPQPLPPQDPENQPKDDAVPGDKRKREENDDDDPKPSVVPPLWKTSLCSYFRRESASCSHGSGCRYAHGEAELRPRPDNTWDPTSERAKKQKLEEEDGDQCAAPDNVMMTEAVDDDDDDGDGDVGGLDPELSKCLVHLPRNWKSEKLKDFLSEKGVQFKSAKKKKGMTLGFVSFQSVEQLQTAVKELDGISIGNKNIKVADVIPRSFEKKTKSAMALPQNLQKTTEVELDGENVEDSVVANGAEDGNGTSGRSARKVVTPLAHMPYGDQLEHKKNSLMQILKRLTRNARKACPDGIPLPEWILKSREIGGLPCSLEGILASPVVNGYRNKCEFSVGYSLQGKITVGFMLGNFREGVTAVEEPFDCPNVSRISCKYASIFQEFLQHSSLPVWNRFKNIGFWRQLTVREGRRPGMASDVENCEANIAEVMLIVQVSSTGFDDAQITSDFERLAQAFSAGATACSPYLPLTALVIQDHQGISNAAPADAPLRSLSISKGEGSSEMQATDNVVEAKIHDYISNLRFCISPTAFFQVNTLAAEKLYSLAGDWAELGPDTLLFDVCCGTGTIGLTLAHHVGMVIGIEMNASAVSDARRNAEINGITNCRFVSAKAEDVMRSLLEEYINAPQKQENNVIEGIDEVVATDEEKPISTDNKPNPEVNSSHELESGKTASEGLETDKQELRSQLQSSCTSENGNAPVKRFSNVVAIVDPPRSGLHPTVIKALRTHPGLRKLVYISCNPESLVANAIELCTPSPEKIEKNKNNRAWRNMSSAGLARHRAKSMPASEPFRPVKAMAVDLFPHTIHCELVMLLER >ONI02803 pep chromosome:Prunus_persica_NCBIv2:G6:22750493:22755966:1 gene:PRUPE_6G222500 transcript:ONI02803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDFFQTDGDNIRYVATELATDLVVNVGDVKFYLHKFPLLSKSARLQKLVATTNENSDEIHIHDIPGGPAAFEICAKFCYGMTVTLNAYNVVATRCAAEYLEMYETVEKGNLVYKVEVFLNSSIFRSWKDSIIVLQTTRSLLPWSEEVKVVSHCLDSIASKASIDTSKVEWSYTYNLKKLPSDNGNEHWNGVGKQQVVPKDWWVEDLCGLQLDLYKRVINIIKTKGKLSGDVIGEALKAYSTRRLSGFSKGMIQGGDVIKNRSLVETVIHLLPAGSVPCSFLLKLLKAAISLECGEMEKSELISKIGQQLDEATVSDLLIQAPTEEALMYEVDIVQNLIEEFVTLDRSAQTDPSMEAEFQEIRSPRFLSDTSKVKVAKLVDGYLTEVSRDPNLPASKFVRLAEMVSNFSRPTHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSTDACMHAVQNERLPLRVVVQVLFFEQIRASASGGNSTPDLQGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEEIKALKGELATLRLGDANRGVGNDRNANHGATNNSEKVAASKMKGLLMSKKIFSKLWSSKERNGEITSSNTSESPGSTSTNAEETKSTRSRSRRHSVS >ONI02804 pep chromosome:Prunus_persica_NCBIv2:G6:22750657:22755965:1 gene:PRUPE_6G222500 transcript:ONI02804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDFFQTDGDNIRYVATELATDLVVNVGDVKFYLHKFPLLSKSARLQKLVATTNENSDEIHIHDIPGGPAAFEICAKFCYGMTVTLNAYNVVATRCAAEYLEMYETVEKGNLVYKVEVFLNSSIFRSWKDSIIVLQTTRSLLPWSEEVKVVSHCLDSIASKASIDTSKVEWSYTYNLKKLPSDNGNEHWNGVGKQQVVPKDWWVEDLCGLQLDLYKRVINIIKTKGKLSGDVIGEALKAYSTRRLSGFSKGMIQGGDVIKNRSLVETVIHLLPAGSVPCSFLLKLLKAAISLECGEMEKSELISKIGQQLDEATVSDLLIQAPTEEALMYEVDIVQNLIEEFVTLDRSAQTDPSMEAEFQEIRSPRFLSDTSKVKVAKLVDGYLTEVSRDPNLPASKFVRLAEMVSNFSRPTHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSTDACMHAVQNERLPLRVVVQVLFFEQIRASASGGNSTPDLQGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEEIKALKGELATLRLGDANRGVGNDRNANHGATNNSEKVAASKMKGLLMSKKIFSKLWSSKERNGEITSSNTSESPGSTSTNAEETKSTRSRSRRHSVS >ONI02805 pep chromosome:Prunus_persica_NCBIv2:G6:22750657:22755965:1 gene:PRUPE_6G222500 transcript:ONI02805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDFFQTDGDNIRYVATELATDLVVNVGDVKFYLHKFPLLSKSARLQKLVATTNENSDEIHIHDIPGGPAAFEICAKFCYGMTVTLNAYNVVATRCAAEYLEMYETVEKGNLVYKVEVFLNSSIFRSWKDSIIVLQTTRSLLPWSEEVKVVSHCLDSIASKASIDTSKVEWSYTYNLKKLPSDNGNEHWNGVGKQQVVPKDWWVEDLCGLQLDLYKRVINIIKTKGKLSGDVIGEALKAYSTRRLSGFSKGMIQGGDVIKNRSLVETVIHLLPAGSVPCSFLLKLLKAAISLECGEMEKSELISKIGQQLDEATVSDLLIQAPTEEALMYEVDIVQNLIEEFVTLDRSAQTDPSMEAEFQEIRSPRFLSDTSKVKVAKLVDGYLTEVSRDPNLPASKFVRLAEMVSNFSRPTHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSTDACMHAVQNERLPLRVVVQVLFFEQIRASASGGNSTPDLQGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEEIKALKGELATLRLGDANRGVGNDRNANHGATNNSEKVAASKMKGLLMSKKIFSKLWSSKERNGEITSSNTSESPGSTSTNAEETKSTRSRSRRHSVS >ONI02807 pep chromosome:Prunus_persica_NCBIv2:G6:22750657:22755965:1 gene:PRUPE_6G222500 transcript:ONI02807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTLNAYNVVATRCAAEYLEMYETVEKGNLVYKVEVFLNSSIFRSWKDSIIVLQTTRSLLPWSEEVKVVSHCLDSIASKASIDTSKVEWSYTYNLKKLPSDNGNEHWNGVGKQQVVPKDWWVEDLCGLQLDLYKRVINIIKTKGKLSGDVIGEALKAYSTRRLSGFSKGMIQGGDVIKNRSLVETVIHLLPAGSVPCSFLLKLLKAAISLECGEMEKSELISKIGQQLDEATVSDLLIQAPTEEALMYEVDIVQNLIEEFVTLDRSAQTDPSMEAEFQEIRSPRFLSDTSKVKVAKLVDGYLTEVSRDPNLPASKFVRLAEMVSNFSRPTHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSTDACMHAVQNERLPLRVVVQVLFFEQIRASASGGNSTPDLQGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEEIKALKGELATLRLGDANRGVGNDRNANHGATNNSEKVAASKMKGLLMSKKIFSKLWSSKERNGEITSSNTSESPGSTSTNAEETKSTRSRSRRHSVS >ONI02806 pep chromosome:Prunus_persica_NCBIv2:G6:22750657:22755965:1 gene:PRUPE_6G222500 transcript:ONI02806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTLNAYNVVATRCAAEYLEMYETVEKGNLVYKVEVFLNSSIFRSWKDSIIVLQTTRSLLPWSEEVKVVSHCLDSIASKASIDTSKVEWSYTYNLKKLPSDNGNEHWNGVGKQQVVPKDWWVEDLCGLQLDLYKRVINIIKTKGKLSGDVIGEALKAYSTRRLSGFSKGMIQGGDVIKNRSLVETVIHLLPAGSVPCSFLLKLLKAAISLECGEMEKSELISKIGQQLDEATVSDLLIQAPTEEALMYEVDIVQNLIEEFVTLDRSAQTDPSMEAEFQEIRSPRFLSDTSKVKVAKLVDGYLTEVSRDPNLPASKFVRLAEMVSNFSRPTHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSTDACMHAVQNERLPLRVVVQVLFFEQIRASASGGNSTPDLQGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEEIKALKGELATLRLGDANRGVGNDRNANHGATNNSEKVAASKMKGLLMSKKIFSKLWSSKERNGEITSSNTSESPGSTSTNAEETKSTRSRSRRHSVS >ONI01901 pep chromosome:Prunus_persica_NCBIv2:G6:16790745:16795106:-1 gene:PRUPE_6G166100 transcript:ONI01901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSCSDALKVGGEGMMRRNSTLVCVPIMAESVGEMVTDMGKAKGLGADVVEIRLDYLKTFNHNEDLKRLVKECPLPTLFSYRPKWEGGQYDGDEQNRLDALRLAMDLGADYVDVELQVAREFISSIEGKKPERLKVIVSSYNFEETPSVESIGNLVAAMQATGADIVKIATTCLDITDVARTFQIAVHSQVPLIGLGLGERGLISRILSAKFGGYLTYGMLEPGTVSAPSQPTIKDLIHLYNFRQIGPYTKVFGVISKPVSHSKSPKLYNEVFKSVGFDGVYAHLLVDDIQKFFQTYSSMDFAGFSVGIPHKEAALMCCDEVDPVAKSIGAINCIIRRPADGKLFGFNTDYVGAISAIEEGLKGSHNNSGKNTTSGSALAGRLFVVIGAGGASKALAYGAKQRGARLVIANRTYDRARELADIVGGDALSLDDLPNIRPEDGMILANTTSIGMQPKVDDTPISKHALRSYSLVFDAIYTPRVTRLLREAAECGVTVVSGLEMFIGQAYEQFEKFTGLPAPKELFRKVMENHS >ONI01900 pep chromosome:Prunus_persica_NCBIv2:G6:16790816:16795024:-1 gene:PRUPE_6G166100 transcript:ONI01900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSCSDALKVGGEGMMRRNSTLVCVPIMAESVGEMVTDMGKAKGLGADVVEIRLDYLKTFNHNEDLKRLVKECPLPTLFSYRPKWEGGQYDGDEQNRLDALRLAMDLGADYVDVELQVAREFISSIEGKKPERLKVIVSSYNFEETPSVESIGNLVAAMQATGADIVKIATTCLDITDVARTFQIAVHSQVPLIGLGLGERGLISRILSAKFGGYLTYGMLEPGTVSAPSQPTIKDLIHLYNFRQIGPYTKVFGVISKPVSHSKSPKLYNEVFKSVGFDGVYAHLLVDDIQKFFQTYSSMDFAGFSVGIPHKEAALMCCDEVDPVAKSIGAINCIIRRPADGKLFGFNTDYVGAISAIEEGLKGSHNNSGKNTTSGSALAGRLFVVIGAGGASKALAYGAKQRGARLVIANRTYDRARELADIVGGDALSLDDLPNIRPEDGMILANTTSIGMQPKVDDTPISKHALRSYSLVFDAIYTPRVTRLLREAAECGVTVVSGLEMFIGQAYEQFEKFTGLPAPKELFRKVMENHS >ONI01902 pep chromosome:Prunus_persica_NCBIv2:G6:16790745:16795104:-1 gene:PRUPE_6G166100 transcript:ONI01902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSCSDALKVGGEGMMRRNSTLVCVPIMAESVGEMVTDMGKAKGLGADVVEIRLDYLKTFNHNEDLKRLVKECPLPTLFSYRPKWEGGQYDGDEQNRLDALRLAMDLGADYVDVELQVAREFISSIEGKKPERLKVIVSSYNFEETPSVESIGNLVAAMQATGADIVKIATTCLDITDVARTFQIAVHSQVSRVPLIGLGLGERGLISRILSAKFGGYLTYGMLEPGTVSAPSQPTIKDLIHLYNFRQIGPYTKVFGVISKPVSHSKSPKLYNEVFKSVGFDGVYAHLLVDDIQKFFQTYSSMDFAGFSVGIPHKEAALMCCDEVDPVAKSIGAINCIIRRPADGKLFGFNTDYVGAISAIEEGLKGSHNNSGKNTTSGSALAGRLFVVIGAGGASKALAYGAKQRGARLVIANRTYDRARELADIVGGDALSLDDLPNIRPEDGMILANTTSIGMQPKVDDTPISKHALRSYSLVFDAIYTPRVTRLLREAAECGVTVVSGLEMFIGQAYEQFEKFTGLPAPKELFRKVMENHS >ONI00800 pep chromosome:Prunus_persica_NCBIv2:G6:7503828:7504757:1 gene:PRUPE_6G105900 transcript:ONI00800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVFMFVPLSLMLFNIIFLLPHPSMAQSTCKGPCQPQASSQPPSSDDCGPSGTLACDDGQNFPTYTCSPPVMPPTSAKLTLNNFSKGGEGGAPSECDNQFHDNTERVVALSTGWFSNEARCNMTIIITAVSNGMSVEAKVVDQCDSQYGCDEEHGFLPPCENNIVDGSLAVWEALSLDTNPGSAEVTWSTA >ONI00574 pep chromosome:Prunus_persica_NCBIv2:G6:6659520:6662875:1 gene:PRUPE_6G096100 transcript:ONI00574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAIPYASFEDETKKKRKRKRGKTPSESTGAKESELQNPKEVIDLASLSLREPIFIDVDDGRTKAINAGLQHGYCIVPSEKRFILLYSFLMKNQSKKVVVFYSSCKSVKFYSDLLRCVNVDCFDIHGGQKQQQRTTTFLDFCTAEKGILLCTDVAARGLDIPAVDVIVQFDPPDDPKEYIHRVGRTARGEGGKGKAFIFLIPEEMQFIHYLTAEKVPVAEQQIRKNRLKNVQSKLEKMVEGNYYLHQSAREAYKSYLLAYNSHSMKDIFNVHRLDLRAVAASFCFCSPHKVNLNLSSSASKLRKKMRMGGS >ONI03010 pep chromosome:Prunus_persica_NCBIv2:G6:23532427:23534360:-1 gene:PRUPE_6G233100 transcript:ONI03010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKHSKPHAIMVPLPRQGHVIPFTHLALKLASNGFTITFVNTQIIHHQITKSESNGSEGQEDIFAAARKSGLDIRYRTVSDGFPLAFNRSQNLDQYLEGLLHVFPAHVDELVGNLVQSDPSITCLIADTFHTWPATIANKYNLVHISFWTETAFVLCIYYHMDLLRKNGHFGSHDNREDTIDYIPGVQAIEPKDLVSHLQATNTSTAAHRILYKAFQQVINANFILCNTVQELESQSLLALQEKQPAYAIGPVFPSKATKSVVVATNLISEFDCTEWLNAKPPGSVLYISFGSYAQVTKNVVEEIAHGLLLSRVSFIWVLRPDTISHGELETSILPVGFEDEIKDRGLIVPWCSQIEVLSNPAIGGFLTHCGWNSILESMWCGVPMLCYPILTDQTTNRKLVVDDWGIGLNLCDRIKPITRMEVAEKINRLMSGRLGDGLQKEIKKMRQKLEDGLAVNGSSQKNLCQFISDVKAKIQTRI >ONH99257 pep chromosome:Prunus_persica_NCBIv2:G6:1730267:1738291:-1 gene:PRUPE_6G021400 transcript:ONH99257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTANPYPKKKKKKKKKGPLSPKTQTLILTLNTLMDKYLVPQKPSSQNPSRPPPRWSRSLIELNGRLHPNYRHSVSGLLMESYSELRAFPHLYHINGGPCQTHLNWIDNGAFLYDQPSIGKSVIILIHIYTCVQGISALDFDRKGIYLVSVTKSGCLTVHDFESLYCQSNEPLLRLQEDEAKHVVHLSLPQQLDFVQWNLANQDEVVCTSMKRNEVLIFDIGYISSKPSKVLRTRPSVSVLGSNIHKGLTDIVTSLDDSRILASDTYGVINVWDRRMSEFPCLELTTDSRGTLNSIQLNAEDQIIFGAGKRGFVYVWDLRGGRTSSALQSNKEIRHPPLTSLKLSSMLEKIGPLKAQSDIVSKEIHSINIDPSCPHQLAFHLDDGWSGVLDVYNFEVTHIHCPPPAWLNDPGVSADLLYLRKPSWLPINSIYVVGSSTDNGIHILDFYPDSSSPSHVDYKEDIQSLSGVKKQDKKNRFVPLSEGVTACAVHPLNSTIIAGTRHSSLLMISQSHKSC >ONH99256 pep chromosome:Prunus_persica_NCBIv2:G6:1730267:1738291:-1 gene:PRUPE_6G021400 transcript:ONH99256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTANPYPKKKKKKKKKGPLSPKTQTLILTLNTLMDKYLVPQKPSSQNPSRPPPRWSRSLIELNGRLHPNYRHSVSGLLMESYSELRAFPHLYHINGGPCQTHLNWIDNGAFLYDQPSIGKQGISALDFDRKGIYLVSVTKSGCLTVHDFESLYCQSNEPLLRLQEDEAKHVVHLSLPQQLDFVQWNLANQDEVVCTSMKRNEVLIFDIGYISSKPSKVLRTRPSVSVLGSNIHKGLTDIVTSLDDSRILASDTYGVINVWDRRMSEFPCLELTTDSRGTLNSIQLNAEDQIIFGAGKRGFVYVWDLRGGRTSSALQSNKEIRHPPLTSLKLSSMLEKIGPLKAQSDIVSKEIHSINIDPSCPHQLAFHLDDGWSGVLDVYNFEVTHIHCPPPAWLNDPGVSADLLYLRKPSWLPINSIYVVGSSTDNGIHILDFYPDSSSPSHVDYKEDIQSLSGVKKQDKKNRFVPLSEGVTACAVHPLNSTIIAGTRWFNEFQFTHPHTIKRPNTLDYCFQHSSLLMISQSHKSC >ONH99255 pep chromosome:Prunus_persica_NCBIv2:G6:1730247:1738370:-1 gene:PRUPE_6G021400 transcript:ONH99255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTANPYPKKKKKKKKKGPLSPKTQTLILTLNTLMDKYLVPQKPSSQNPSRPPPRWSRSLIELNGRLHPNYRHSVSGLLMESYSELRAFPHLYHINGGPCQTHLNWIDNGAFLYDQPSIGKQGISALDFDRKGIYLVSVTKSGCLTVHDFESLYCQSNEPLLRLQEDEAKHVVHLSLPQQLDFVQWNLANQDEVVCTSMKRNEVLIFDIGYISSKPSKVLRTRPSVSVLGSNIHKGLTDIVTSLDDSRILASDTYGVINVWDRRMSEFPCLELTTDSRGTLNSIQLNAEDQIIFGAGKRGFVYVWDLRGGRTSSALQSNKEIRHPPLTSLKLSSMLEKIGPLKAQSDIVSKEIHSINIDPSCPHQLAFHLDDGWSGVLDVYNFEVTHIHCPPPAWLNDPGVSADLLYLRKPSWLPINSIYVVGSSTDNGIHILDFYPDSSSPSHVDYKEDIQSLSGVKKQDKKNRFVPLSEGVTACAVHPLNSTIIAGTRHSSLLMISQSHKSC >ONI00458 pep chromosome:Prunus_persica_NCBIv2:G6:6159614:6162228:1 gene:PRUPE_6G089900 transcript:ONI00458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFRATLTWVFLTVSVLYVLYSSNHLLYDRQDCPTTAARHLEGLSNVTTSDIKLVENKEEEASQITALAQRPQRFDTELKHIMFGIAASSNLWEKRKEYIKVWWRPKETRGVVWLDKRVRIRRNEGLPEIRISGDTRGFKYTNRQGQRSALRISRVVSETLRLGVKDVRWFVMGDDDTVFMVENVVRILNKYDHTQFYYVGSSSESHIQNIFFSYSMAYGGGGFAISYPLAVELEKMQDRCIQRYPGLYGSDDRMQACMTELGVPLTKETGFHQFDVYGDLLGLLSAHPVTPLVSLHHLDVVEPIFPHMTRVKALHHLFQTVKLDSASVMQQTICYDKDRYWSISISWGYVVQIFRGIISPRELETPSRTFLNWYRRADYTAYAFNTRPVYKHPCQKAFIFYMNSTRYDEGRKKIIAHYTRQKFPVPHCRWKMASPETVDTVVVFKRPDPLRWQKSPRRDCCRILPSQKKTTMYLMIDYCRVGEVSQL >ONI04452 pep chromosome:Prunus_persica_NCBIv2:G6:28444401:28446753:-1 gene:PRUPE_6G322300 transcript:ONI04452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTISSSPDLLTTPQMAHPQPLHAASEPEPDDSSSLSTQNTSPCPNPNPTQIPTLLHLSFNQDHGCFSVATDVGFRVYNCDPVREIFRREFGPGGGLGMVQMLFRCNVLALVGGGPDPLYSPNKVMIWEDNESRCICELSFRSEVKGVRLRRDRIVVVLVQKVFVYNFTDLKLLHQIETIANPKGLCEVSNLSGHPVLVCPGLQKGQVRIENYGSKRTKFVIAHDSRLACLALTQDGRLLATASSKGTLIRIFNTLDGSLLQEVRRGADRAEIYSLAFSSTAQWLAVSSDKGTVHVFSLKVDSGSLANNRSCSASEISPPNPSGISSLSFMKGVLPRYFSSEWSVAKYHLQEGLQYTVAFGHQKNTVVILGMDGSFYRCQFDPVNGGEMTQLEYYNFLKPEETF >ONI04467 pep chromosome:Prunus_persica_NCBIv2:G6:28492210:28497131:-1 gene:PRUPE_6G323200 transcript:ONI04467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESAQPDRVGDCIKETSIKHLFYPGTPDISNVFGEQQVSPRVGDEYQVEIPLMNVEAEKLKLLTNPADSKVVDVSHDFLVGLPVPIIWSDEVKNIEDKGLESPTNPDDAVNAKRSQETRNGKKNRTRIKKKSSELKVEPLEFGLAQGEESRAENLGSRLVEEDSNQSIRSKCCYPVPGSSRSPWSDAEVDGFLLGLYIFGKNFYQVQRFIEHKDMGEILSFYYGKFYRSESHRRWSECRKIRRKKCITGEKIFTGWRQRELLSRLVPHVPEEFQKTLSEGYKSFAEGKTSLEEYVSLLKSTVGIHVLVETIGIGKGKEDLTGFAMEPGKNNQDFPVCPKLPTGKAFSSLTFSEIMKCLTGGFRLSKARCNDIFWEAVWPRLLANGWHSEQPKNHGYVSFKHSLVFLMPGIKKFSRKKLIKGEHYFDSVSDVLSKVASEPELLRLEAEEGPVGSWNEEGGWVPEATSDQDDQSNYRRHCYLKPRVATSNPNHMKFTVVDTSLVHGGKSCGIVQLRCSPVEFEINSTQTNCSNENEVDACENKLNEYENDNAEMRLSPKTNMAKHLNQRRFTVVDTSLVHGGKSSKVRELRCSPAVVTSVSKSTGLLQEAEGNSKDLLGKHMPDATDISLNDEVNNFSSNCRTDTTVIGGTNQMATINNTDTAEKLESQLDKETRMSDNNQPKKTALHQFKRRAKYSHSNSIGPLKRRRLTACVKAETSCLIKNCSEDLESESHGTLNSLDGVELVVSLVGPQEKESSITSLAPVKESSLGTLRGNSSGVHMSHGENEKHQTPESSNLNLPQDSMDSRNSENFVVVSQETNADSPCLSSSGMKHVDDDAMGASNMNSRRQSTRNRPPTTRALEALADGFFSVKRRKKGTEVPIREQPPSRSSRKARSRVKVTSSHADTVSGVVASKEEKEVNEAFNVNKETVSKPLDQIGEKWLTSY >ONI04470 pep chromosome:Prunus_persica_NCBIv2:G6:28492543:28496608:-1 gene:PRUPE_6G323200 transcript:ONI04470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSQMESAQPDRVGDCIKETSIKHLFYPGTPDISNVFGEQQVSPRVGDEYQVEIPLMNVEAEKLKLLTNPADSKVVDVSHDFLVGLPVPIIWSDEVKNIEDKGLESPTNPDDAVNAKRSQETRNGKKNRTRIKKKSSELKVEPLEFGLAQGEESRAENLGSRLVEEDSNQSIRSKCCYPVPGSSRSPWSDAEVDGFLLGLYIFGKNFYQVQRFIEHKDMGEILSFYYGKFYRSESHRRWSECRKIRRKKCITGEKIFTGWRQRELLSRLVPHVPEEFQKTLSEGYKSFAEGKTSLEEYVSLLKSTVGIHVLVETIGIGKGKEDLTGFAMEPGKNNQDFPVCPKLPTGKAFSSLTFSEIMKCLTGGFRLSKARCNDIFWEAVWPRLLANGWHSEQPKNHGYVSFKHSLVFLMPGIKKFSRKKLIKGEHYFDSVSDVLSKVASEPELLRLEAEEGPVGSWNEEGGWVPEATSDQDDQSNYRRHCYLKPRVATSNPNHMKFTVVDTSLVHGGKSCGIVQLRCSPVEFEINSTQTNCSNENEVDACENKLNEYENDNAEMRLSPKTNMAKHLNQRRFTVVDTSLVHGGKSSKVRELRCSPAVVTSVSKSTGLLQEAEGNSKDLLGKHMPDATDISLNDEVNNFSSNCRTDTTVIGGTNQMATINNTDTAEKLESQLDKETRMSDNNQPKKTALHQFKRRAKYSHSNSIGPLKRRRLTACVKAETSCLIKNCSEDLESESHGTLNSLDGVELVVSLVGPQEKESSITSLAPVKESSLGTLRGNSSGVHMSHGENEKHQTPESSNLNLPQDSMDSRNSENFVVVSQETNADSPCLSSSGMKHVDDDAMGASNMNSRRQSTRNRPPTTRALEALADGFFSVKRRKKGTEVPIREQPPSRSSRKARSRVKVTSSHADTVSGVVASKEEKEVNEAFNVNKETVSKPLDQIGEKWLTSY >ONI04468 pep chromosome:Prunus_persica_NCBIv2:G6:28492235:28497131:-1 gene:PRUPE_6G323200 transcript:ONI04468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESAQPDRVGDCIKETSIKHLFYPGTPDISNVFGEQQVSPRVGDEYQVEIPLMNVEAEKLKLLTNPADSKVVDVSHDFLVGLPVPIIWSDEVKNIEDKGLESPTNPDDAVNAKRSQETRNGKKNRTRIKKKSSELKVEPLEFGLAQGEESRAENLGSRLVEEDSNQSIRSKCCYPVPGSSRSPWSDAEVDGFLLGLYIFGKNFYQVQRFIEHKDMGEILSFYYGKFYRSESHRRWSECRKIRRKKCITGEKIFTGWRQRELLSRLVPHVPEEFQKTLSEGYKSFAEGKTSLEEYVSLLKSTVGIHVLVETIGIGKGKEDLTGFAMEPGKNNQDFPVCPKLPTGKAFSSLTFSEIMKCLTGGFRLSKARCNDIFWEAVWPRLLANGWHSEQPKNHGYVSFKHSLVFLMPGIKKFSRKKLIKGEHYFDSVSDVLSKVASEPELLRLEAEEGPVGSWNEEGGWVPEATSDQDDQSNYRRHCYLKPRVATSNPNHMKFTVVDTSLVHGGKSCGIVQLRCSPVEFEINSTQTNCSNENEVDACENKLNEYENDNAEMRLSPKTNMAKHLNQRRFTVVDTSLVHGGKSSKVRELRCSPAVVTSVSKSTGLLQEAEGNSKDLLGKHMPDATDISLNDEVNNFSSNCRTDTTVIGGTNQMATINNTDTAEKLESQLDKETRMSDNNQPKKTALHQFKRRAKYSHSNSIGPLKRRRLTACVKAETSCLIKNCSEDLESESHGTLNSLDGVELVVSLVGPQEKESSITSLAPVKESSLGTLRGNSSGVHMSHGENEKHQTPESSNLNLPQDSMDSRNSENFVVVSQETNADSPCLSSSGMKHVDDDAMGASNMNSRRQSTRNRPPTTRALEALADGFFSVKRRKKGTEVPIREQPPSRSSRKARSRVKVTSSHADTVSGVVASKEEKEVNEAFNVNKETVSKPLDQIGEKWLTSY >ONI04471 pep chromosome:Prunus_persica_NCBIv2:G6:28492543:28496608:-1 gene:PRUPE_6G323200 transcript:ONI04471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSQMESAQPDRVGDCIKETSIKHLFYPGTPDISNVFGEQQVSPRVGDEYQVEIPLMNVEAEKLKLLTNPADSKVVDVSHDFLVGLPVPIIWSDEVKNIEDKGLESPTNPDDAVNAKRSQETRNGKKNRTRIKKKSSELKVEPLEFGLAQGEESRAENLGSRLVEEDSNQSIRSKCCYPVPGSSRSPWSDAEVDGFLLGLYIFGKNFYQVQRFIEHKDMGEILSFYYGKFYRSESHRRWSECRKIRRKKCITGEKIFTGWRQRELLSRLVPHVPEEFQKTLSEGYKSFAEGKTSLEEYVSLLKSTVGIHVLVETIGIGKGKEDLTGFAMEPGKNNQDFPVCPKLPTGKAFSSLTFSEIMKCLTGGFRLSKARCNDIFWEAVWPRLLANGWHSEQPKNHGYVSFKHSLVFLMPGIKKFSRKKLIKGEHYFDSVSDVLSKVASEPELLRLEAEEGPVGSWNEEGGWVPEATSDQDDQSNYRRHCYLKPRVATSNPNHMKFTVVDTSLVHGGKSCGIVQLRCSPVEFEINSTQTNCSNENEVDACENKLNEYENDNAEMRLSPKTNMAKHLNQRRFTVVDTSLVHGGKSSKVRELRCSPAVVTSVSKSTGLLQEAEGNSKDLLGKHMPDATDISLNDEVNNFSSNCRTDTTVIGGTNQMATINNTDTAEKLESQLDKETRMSDNNQPKKTALHQFKRRAKYSHSNSIGPLKRRRLTACVKAETSCLIKNCSEDLESESHGTLNSLDGVELVVSLVGPQEKESSITSLAPVKESSLGTLRGNSSGVHMSHGENEKHQTPESSNLNLPQDSMDSRNSENFVVVSQETNADSPCLSSSGMKHVDDDAMGASNMNSRRQSTRNRPPTTRALEALADGFFSVKRRKKGTEVPIREQPPSRSSRKARSRVKVTSSHADTVSGVVASKEEKEVNEAFNVNKETVSKPLDQIGEKWLTSY >ONI04469 pep chromosome:Prunus_persica_NCBIv2:G6:28492210:28497131:-1 gene:PRUPE_6G323200 transcript:ONI04469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSQMESAQPDRVGDCIKETSIKHLFYPGTPDISNVFGEQQVSPRVGDEYQVEIPLMNVEAEKLKLLTNPADSKVVDVSHDFLVGLPVPIIWSDEVKNIEDKGLESPTNPDDAVNAKRSQETRNGKKNRTRIKKKSSELKVEPLEFGLAQGEESRAENLGSRLVEEDSNQSIRSKCCYPVPGSSRSPWSDAEVDGFLLGLYIFGKNFYQVQRFIEHKDMGEILSFYYGKFYRSESHRRWSECRKIRRKKCITGEKIFTGWRQRELLSRLVPHVPEEFQKTLSEGYKSFAEGKTSLEEYVSLLKSTVGIHVLVETIGIGKGKEDLTGFAMEPGKNNQDFPVCPKLPTGKAFSSLTFSEIMKCLTGGFRLSKARCNDIFWEAVWPRLLANGWHSEQPKNHGYVSFKHSLVFLMPGIKKFSRKKLIKGEHYFDSVSDVLSKVASEPELLRLEAEEGPVGSWNEEGGWVPEATSDQDDQSNYRRHCYLKPRVATSNPNHMKFTVVDTSLVHGGKSCGIVQLRCSPVEFEINSTQTNCSNENEVDACENKLNEYENDNAEMRLSPKTNMAKHLNQRRFTVVDTSLVHGGKSSKVRELRCSPAVVTSVSKSTGLLQEAEGNSKDLLGKHMPDATDISLNDEVNNFSSNCRTDTTVIGGTNQMATINNTDTAEKLESQLDKETRMSDNNQPKKTALHQFKRRAKYSHSNSIGPLKRRRLTACVKAETSCLIKNCSEDLESESHGTLNSLDGVELVVSLVGPQEKESSITSLAPVKESSLGTLRGNSSGVHMSHGENEKHQTPESSNLNLPQDSMDSRNSENFVVVSQETNADSPCLSSSGMKHVDDDAMGASNMNSRRQSTRNRPPTTRALEALADGFFSVKRRKKGTEVPIREQPPSRSSRKARSRVKVTSSHADTVSGVVASKEEKEVNEAFNVNKETVSKPLDQIGEKWLTSY >ONH99804 pep chromosome:Prunus_persica_NCBIv2:G6:3589178:3593017:1 gene:PRUPE_6G051300 transcript:ONH99804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTDEVVCVTGGSGCIGSWLVRLLLDRNYTVHATVKDLNDEGETKHLEALEGASTRLRLFQIDLLDYNSIFAAINGCSGVFHLASPCIVDQVQDPEKELLDPAIKGTLNVLTAAKQAGVRRVVLTSSNAAIIPSPCWPSDKIKGEDCWTDIDYCKQKGLWYSLSKTLAEKAAWEFAKEKGLDVVVVNPGTVLGDVISPRLNSSMVTLVRLLEGCTETYENIFMGSVHFKDVALAHILVYENKSATGRHLCVEAISHYGDFVAKVAELYPEYKVPSLPKDTQPGLLREKNGAKKLMNLGLEFIPMDQIIKDAVESLKSKGFIS >ONH99803 pep chromosome:Prunus_persica_NCBIv2:G6:3589106:3593017:1 gene:PRUPE_6G051300 transcript:ONH99803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTDEVVCVTGGSGCIGSWLVRLLLDRNYTVHATVKDLNDEGETKHLEALEGASTRLRLFQIDLLDYNSIFAAINGCSGVFHLASPCIVDQVQDPEKELLDPAIKGTLNVLTAAKQAGVRRVVLTSSNAAIIPSPCWPSDKIKGEDCWTDIDYCKQKGLWYSLSKTLAEKAAWEFAKEKGLDVVVVNPGTVLGDVISPRLNSSMVTLVRLLEGCTETYENIFMGSVHFKDVALAHILVYENKSATGRHLCVEAISHYGDFVAKVAELYPEYKVPSLPKDTQPGLLREKNGAKKLMNLGLEFIPMDQIIKDAVESLKSKGFIS >ONH99802 pep chromosome:Prunus_persica_NCBIv2:G6:3589178:3592821:1 gene:PRUPE_6G051300 transcript:ONH99802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTDEVVCVTGGSGCIGSWLVRLLLDRNYTVHATVKDLNDEGETKHLEALEGASTRLRLFQIDLLDYNSIFAAINGCSGVFHLASPCIVDQVQDPEKELLDPAIKGTLNVLTAAKQAGVRRVVLTSSNAAIIPSPCWPSDKIKGEDCWTDIDYCKQKGLWYSLSKTLAEKAAWEFAKEKGLDVVVVNPGTVLGDVISPRLNSSMVTLVRLLEGCTETYENIFMGSVHFKDVALAHILVYENKSATGRHLCVEAISHYGDFVAKVAELYPEYKVPSLPKDTQPGLLREKNGAKKLMNLGLEFIPMDQIIKDAVESLKSKGFIS >ONI00770 pep chromosome:Prunus_persica_NCBIv2:G6:7324806:7328781:-1 gene:PRUPE_6G104200 transcript:ONI00770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGAAPSTYSPSPTTQKTKSRIFGNDDVDWVRSDGREFHQCRPAFFKTGAVNAAAGSAYAEFGNTKVIVSVFGPRESKKAMMYSDIGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIILETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVTSVSVSGLGKNLVIDPILEEESYQDGSLMLTCMPSRYEVTQLTITGEWSTPKINEGMQICLDACSKLAAVMRACLKGPDSTLEE >ONH99285 pep chromosome:Prunus_persica_NCBIv2:G6:1870674:1874036:1 gene:PRUPE_6G023000 transcript:ONH99285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMNSNNWLSFPLSPTHSSLPSQLHPSQSHQFSLGLVNDSMDNPFQNQEWNLINTQGSNEVPKVADFLGVSKSENHSDLVAFNDIQANDSVSDYLFPNNSIVPVQDTVVANSSSYDFQEKSNSLQSLTLSMGSGKGSSACETSTENTSIATVEAPPRRILDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEVEEMKNMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESNTLPIGGGAAKRLKEAQALESSRKREEMIALGSTFQYAAAGATSSSTSAGRNLQAYSLMQQQQSTFDQAVQQPSQPLLSLQNHDISQYSHHHDPSSYQNYIQTQLQLHQAQQQYQPTQNPHHQFYNSYGLQSTHPALLQGLMDMGSASGVMDHNNGSSSGSYSAGGYLGNNGIGLASNSSASNAVGSAEELALVKVDYDMPNGGGAYGYFYNVE >ONH99498 pep chromosome:Prunus_persica_NCBIv2:G6:2533203:2537413:-1 gene:PRUPE_6G033200 transcript:ONH99498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMEETTVPFKNLTSREYPGHKKKGKVKDIELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCAQLAELSGENINITYKPDGTHIAVGNRDDELTILDVRKFKPIHKRKFNYEVNEIAWSTTSEIFFLTTGNGTVEVLAYPSLRPVDTLMAHTAGCYCIAIDPLGRYFAVGSADSLVSLWDISEMLCVRTFTKLESPVRTISFNNTGEYLASASEDLFIDISNVQTGRTVHQIPCRAAMNSVEWNPKSNLLAYAGDDKNKYQTDEGVFRIFGFKNSA >ONH99500 pep chromosome:Prunus_persica_NCBIv2:G6:2534389:2537113:-1 gene:PRUPE_6G033200 transcript:ONH99500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHCEKVHSVAWNCTGTKLASGSVDQTARVWHIEPHGHGKVKDIELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCAQLAELSGENINITYKPDGTHIAVGNRDDELTILDVRKFKPIHKRKFNYEVNEIAWSTTSEIFFLTTGNGTVEVLAYPSLRPVDTLMAHTAGCYCIAIDPLGRYFAVGSADSLVSLWDISEMLCVRTFTKLESPVRTISFNNTGEYLASASEDLFIDISNVQTGRTVHQIPCRAAMNSVEWNPKSNLLAYAGDDKNKYQTDEGVFRIFGFKNSA >ONH99499 pep chromosome:Prunus_persica_NCBIv2:G6:2534389:2537291:-1 gene:PRUPE_6G033200 transcript:ONH99499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMEETTVPFKNLTSREYPGHKKKVHSVAWNCTGTKLASGSVDQTARVWHIEPHGHGKVKDIELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCAQLAELSGENINITYKPDGTHIAVGNRDDELTILDVRKFKPIHKRKFNYEVNEIAWSTTSEIFFLTTGNGTVEVLAYPSLRPVDTLMAHTAGCYCIAIDPLGRYFAVGSADSLVSLWDISEMLCVRTFTKLESPVRTISFNNTGEYLASASEDLFIDISNVQTGRTVHQIPCRAAMNSVEWNPKSNLLAYAGDDKNKYQTDEGVFRIFGFKNSA >ONI04791 pep chromosome:Prunus_persica_NCBIv2:G6:29393568:29396899:-1 gene:PRUPE_6G339800 transcript:ONI04791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLCYSCTASVRLPMLLQSKTIRGTRCSSAAPDNNNHKRDTPQVLKIAVSGVTELLRLLSSFNKTTRLNGVSDKGRDEFSVSGIDEVLMILKSDYENAYFVTGIFTSAIYAEDCIFEDPTIRFRGKELYSRNLKLLVPFFESPSIGLEKIEKGINSEASFVLATWKLRTYLKLPWRPLISIDGSTVYDLDDKFKIVRHAESWNVSAIEAIGQLFTPSYGRSNE >ONI03384 pep chromosome:Prunus_persica_NCBIv2:G6:24740133:24743192:1 gene:PRUPE_6G254200 transcript:ONI03384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIAVAAIVSLWVVPISILVNHIVSEPYMDEIFHVPQAQQYCKGNFRSWDPMITTPPGLYYLSLAHVAYLFPGMSFIKAATSFSEVCSTSILRSFNGVLALLCSVLVYEIITHLRPALDERKATLYAVVLALYPLHWFFTFLYYTDVASLGAVLAMYLACLKKKYWFSALLGVLAVVIRQTNIVWMLFVCCCEVINITLGGQRDKIDVDDTDFSIRKNVELTPANSITLGSNLRKRKLRSSVDNGIHLMSTRSFSSQKLTSGFLDEIKAIFLRLWQMKLEALVSFSPFILVLAAFIAFICWNGSVVLGAKEAHAVSPHFAQIMYFGLFSALMAPMHCSLSQAVDLSRSMWRNKFLTLFQLSLALGAGFISIHFFSIVHPYLLADNRHYPFYLWRKVIKAHWSMKYLLIPLYVYSWLSIITRLGKFQRKIWVLAFFLATAAVLVPAPLIEFRYYTIPFFFLMLHSHTDDFRSLLFTGFLYITINVFTMMMFLYRPFHWSHEPGTQRFIW >ONI03385 pep chromosome:Prunus_persica_NCBIv2:G6:24740163:24743183:1 gene:PRUPE_6G254200 transcript:ONI03385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIKAATSFSEVCSTSILRSFNGVLALLCSVLVYEIITHLRPALDERKATLYAVVLALYPLHWFFTFLYYTDVASLGAVLAMYLACLKKKYWFSALLGVLAVVIRQTNIVWMLFVCCCEVINITLGGQRDKIDVDDTDFSIRKNVELTPANSITLGSNLRKRKLRSSVDNGIHLMSTRSFSSQKLTSGFLDEIKAIFLRLWQMKLEALVSFSPFILVLAAFIAFICWNGSVVLGAKEAHAVSPHFAQIMYFGLFSALMAPMHCSLSQAVDLSRSMWRNKFLTLFQLSLALGAGFISIHFFSIVHPYLLADNRHYPFYLWRKVIKAHWSMKYLLIPLYVYSWLSIITRLGKFQRKIWVLAFFLATAAVLVPAPLIEFRYYTIPFFFLMLHSHTDDFRSLLFTGFLYITINVFTMMMFLYRPFHWSHEPGTQRFIW >ONH99961 pep chromosome:Prunus_persica_NCBIv2:G6:4154903:4156810:-1 gene:PRUPE_6G059800 transcript:ONH99961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLNKVVLSSYNFNAGVSLMFYQNLISSVIVVLLGFSGVVTVEKLNWKLVRVWIPVNIIFISMLVSGMYSLKYVNIAMVTILKNVTNILTAIGELYLFRKHQSQKVWTAMFLMIISAISSGITDISFDTLGYAWQISNCILTASYSLTLRRVMDEAKKYTRSGSLNEVSMVLLNNLLSLPFAAFLIIMFDEWEYIKSVDVIKLPMFWVIATASGLLGLAISFTSMWFLHQTGPTTYSLVGSLNKIPISLAGLVLFKVPLSLPNLFSILFGLFAGIFFAKAKMS >ONH99959 pep chromosome:Prunus_persica_NCBIv2:G6:4154356:4158112:-1 gene:PRUPE_6G059800 transcript:ONH99959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDFKLDAVKGHDSEDPEVGPLNGVHTILPERRATTLSDRLFRGSRAGHGEIVSIPNEKRGHGSAKKSGPLLSGTAYCISSCSMILLNKVVLSSYNFNAGVSLMFYQIISAISSGITDISFDTLGYAWQISNCILTASYSLTLRRVMDEAKKYTRSGSLNEVSMVLLNNLLSLPFAAFLIIMFDEWEYIKSVDVIKLPMFWVIATASGLLGLAISFTSMWFLHQTGPTTYSLVGSLNKIPISLAGLVLFKVPLSLPNLFSILFGLFAGIFFAKAKMS >ONH99958 pep chromosome:Prunus_persica_NCBIv2:G6:4154734:4157361:-1 gene:PRUPE_6G059800 transcript:ONH99958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDFKLDAVKGHDSEDPEVGPLNGVHTILPERRATTLSDRLFRGSRAGHGEIVSIPNEKRGHGSAKKSGPLLSGTAYCISSCSMILLNKVVLSSYNFNAGVSLMFYQNLISSVIVVLLGFSGVVTVEKLNWKLVRVWIPVNIIFISMLVSGMYSLKYVNIAMVTILKNVTNILTAIGELYLFRKHQSQKVWTAMFLMIISAISSGITDISFDTLGYAWQISNCILTASYSLTLRRVMDEAKKYTRSGSLNEVSMVLLNNLLSLPFAAFLIIMFDEWEYIKSVDVIKLPMFWVIATASGLLGLAISFTSMWFLHQTGPTTYSLVGSLNKIPISLAGLVLFKVYLPEYSSPRPRCPDPGLDFRIEVKELTHAQIKERQTACTNSFWAWKKYWIYFFSFFLMEKAKILFVLQA >ONH99957 pep chromosome:Prunus_persica_NCBIv2:G6:4154356:4158063:-1 gene:PRUPE_6G059800 transcript:ONH99957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDFKLDAVKGHDSEDPEVGPLNGVHTILPERRATTLSDRLFRGSRAGHGEIVSIPNEKRGHGSAKKSGPLLSGTAYCISSCSMILLNKVVLSSYNFNAGVSLMFYQNLISSVIVVLLGFSGVVTVEKLNWKLVRVWIPVNIIFISMLVSGMYSLKYVNIAMVTILKNVTNILTAIGELYLFRKHQSQKVWTAMFLMIISAISSGITDISFDTLGYAWQISNCILTASYSLTLRRVMDEAKKYTRSGSLNEVSMVLLNNLLSLPFAAFLIIMFDEWEYIKSVDVIKLPMFWVIATASGLLGLAISFTSMWFLHQTGPTTYSLVGSLNKIPISLAGLVLFKVYLPEYSSPRPRCPDPGLDFRIEVKELTHAQIKERQTACTNSFWAWKKYWIYFFSFFLMEKAKILFVLQA >ONH99960 pep chromosome:Prunus_persica_NCBIv2:G6:4154903:4157361:-1 gene:PRUPE_6G059800 transcript:ONH99960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDFKLDAVKGHDSEDPEVGPLNGVHTILPERRATTLSDRLFRGSRAGHGEIVSIPNEKRGHGSAKKSGPLLSGTAYCISSCSMILLNKVVLSSYNFNAGVSLMFYQNLISSVIVVLLGFSGVVTVEKLNWKLVRVWIPVNIIFISMLVSGMYSLKYVNIAMVTILKNVTNILTAIGELYLFRKHQSQKVWTAMFLMIISAISSGITDISFDTLGYAWQISNCILTASYSLTLRRVMDEAKKYTRSGSLNEVSMVLLNNLLSLPFAAFLIIMFDEWEYIKSVDVIKLPMFWVIATASGLLGLAISFTSMWFLHQTGPTTYSLVGSLNKIPISLAGLVLFKVPLSLPNLFSILFGLFAGIFFAKAKMS >ONI02301 pep chromosome:Prunus_persica_NCBIv2:G6:19727934:19728293:1 gene:PRUPE_6G189300 transcript:ONI02301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQLCKSHSLPTQGAQQRRQKVRCLIPTILVPHQGQVICDIERTIFIKYSQFTAAPWASNQPYNNRVLIVCARLEEKGRISLRHENVRS >ONH99010 pep chromosome:Prunus_persica_NCBIv2:G6:465388:467032:1 gene:PRUPE_6G004700 transcript:ONH99010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPSSSSSSSSSKAAAAAATATSIPTKITSSSSSKAAGTSGGSKTPCCIKVGLKRGPWTPEEDELLSNYINKEGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGQIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLNPDIHSSATVAAADMDSNINKAATVSSSKTNRFSSNTNPSPPPTSEPSEPVAHHHGAAPNTNGNNGNIVTNIANKENGYLVDDQELGTVVHGYANMTSSDHASAAAMGTLSLRSNGSNNGGALLGGNEEDDDMNCCADDVFSSFLNSLINEDPFSGQHHLQQQVLLQNGNNAHNAAAADGSDHHVPLVSSGAGATAPSTFGWESAVLMSSAFIQNDHHRVLNDQTE >ONI01409 pep chromosome:Prunus_persica_NCBIv2:G6:11230598:11236096:1 gene:PRUPE_6G138200 transcript:ONI01409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKMSTAQPLKKLVSCVILDLDGTLLNTDGIVSDVLRVYLGKYGKQWDGREIKKIVGKTPLEAASAVVEDYELSCTTSELLSEIAPMFSNQWCNIKALPGANRLIKHLSGHRVPMALASNSPRENIETKISYHQGWKESFSVIIGGDEVRLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTANLSTEGYGSLLSEHPSGVYFGWAGLSKRGVFKMVMSIGWNPYFNNTEKTIEPWLLHNFDEDFYGEELRLIIVGYIRPEANFSSLQSLIEKIHHDRKVAEEALDLPLFSKYKDDPYLKSST >ONI01411 pep chromosome:Prunus_persica_NCBIv2:G6:11230598:11236096:1 gene:PRUPE_6G138200 transcript:ONI01411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKMSTAQPLKKLVSCVILDLDGTLLNTDGIVSDVLRVYLGKYGKQWDGREIKKIVGKTPLEAASAVVEDYELSCTTSELLSEIAPMFSNQWCNIKALPGANRLIKHLSGHRVPMALASNSPRENIETKISYHQGWKESFSVIIGGDEVRLGKPSPEICLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTANLSTEGYGSLLSEHPSGVYFGWAGLSKRGVFKMVMSIGWNPYFNNTEKTIEPWLLHNFDEDFYGEELRLIIVGYIRPEANFSSLQSLIEKIHHDRKVAEEALDLPLFSKYKDDPYLKSST >ONI01415 pep chromosome:Prunus_persica_NCBIv2:G6:11230598:11236096:1 gene:PRUPE_6G138200 transcript:ONI01415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGMDGKLKKLLEKHHLKLQVLLLKIMSYLVQRVNCFQRLPPCSPISWKESFSVIIGGDEVRLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTANLSTEGYGSLLSEHPSGVYFGWAGLSKRGVFKMVMSIGWNPYFNNTEKTIEPWLLHNFDEDFYGEELRLIIVGYIRPEANFSSLQSLIEKIHHDRKVAEEALDLPLFSKYKDDPYLKSST >ONI01419 pep chromosome:Prunus_persica_NCBIv2:G6:11231422:11236096:1 gene:PRUPE_6G138200 transcript:ONI01419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASNSPRENIETKISYHQGWKESFSVIIGGDEVRLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTANLSTEGYGSLLSEHPSGVYFGWAGLSKRGVFKMVMSIGWNPYFNNTEKTIEPWLLHNFDEDFYGEELRLIIVGYIRPEANFSSLQSLIEKIHHDRKVAEEALDLPLFSKYKDDPYLKSST >ONI01408 pep chromosome:Prunus_persica_NCBIv2:G6:11230598:11236096:1 gene:PRUPE_6G138200 transcript:ONI01408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKMSTAQPLKKLVSCVILDLDGTLLNTDGIVSDVLRVYLGKYGKQWDGREIKKIVGKTPLEAASAVVEDYELSCTTSELLSEIAPMFSNQWCNIKALPGANRLIKHLSGHRVPMALASNSPRENIETKISYHQALFAYCISYAGWKESFSVIIGGDEVRLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTANLSTEGYGSLLSEHPSGVYFGWAGLSKRGVFKMVMSIGWNPYFNNTEKTIEPWLLHNFDEDFYGEELRLIIVGYIRPEANFSSLQSLIEKIHHDRKVAEEALDLPLFSKYKDDPYLKSST >ONI01414 pep chromosome:Prunus_persica_NCBIv2:G6:11230598:11236096:1 gene:PRUPE_6G138200 transcript:ONI01414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGMDGKLKKLLEKHHLKLQVLLLKIMSYLVQRVNCFQRLPPCSPISWKESFSVIIGGDEVRLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTANLSTEGYGSLLSEHPSGVYFGWAGLSKRGVFKMVMSIGWNPYFNNTEKTIEPWLLHNFDEDFYGEELRLIIVGYIRPEANFSSLQSLIEKIHHDRKVAEEALDLPLFSKYKDDPYLKSST >ONI01418 pep chromosome:Prunus_persica_NCBIv2:G6:11232850:11235386:1 gene:PRUPE_6G138200 transcript:ONI01418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNQWCNIKALPGANRLIKHLSGHRVPMALASNSPRENIETKISYHQGWKESFSVIIGGDEVRLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTGVLLSAYPVRIFIQLYMSSDETMLIYPQKDMDLFFQNIPQEYILVGLDYLNEVSLKWL >ONI01413 pep chromosome:Prunus_persica_NCBIv2:G6:11232401:11235386:1 gene:PRUPE_6G138200 transcript:ONI01413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKMSTAQPLKKLVSCVILDLDGTLLNTDGIVSDVLRVYLGKYGKQWDGREIKKIVGKTPLEAASAVVEDYELSCTTSELLSEIAPMFSNQWCNIKALPGANRLIKHLSGHRVPMALASNSPRENIETKISYHQGWKESFSVIIGGDEVRLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTGVLLSAYPVRIFIQLYMSSDETMLIYPQKDMDLFFQNIPQEYILVGLDYLNEVSLKWL >ONI01412 pep chromosome:Prunus_persica_NCBIv2:G6:11230598:11236096:1 gene:PRUPE_6G138200 transcript:ONI01412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKMSTAQPLKKLVSCVILDLDGTLLNTGWKESFSVIIGGDEVRLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTANLSTEGYGSLLSEHPSGVYFGWAGLSKRGVFKMVMSIGWNPYFNNTEKTIEPWLLHNFDEDFYGEELRLIIVGYIRPEANFSSLQSLIEKIHHDRKVAEEALDLPLFSKYKDDPYLKSST >ONI01417 pep chromosome:Prunus_persica_NCBIv2:G6:11230598:11236096:1 gene:PRUPE_6G138200 transcript:ONI01417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNQWCNIKALPGANRLIKHLSGHRVPMALASNSPRENIETKISYHQGWKESFSVIIGGDEVRLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTANLSTEGYGSLLSEHPSGVYFGWAGLSKRGVFKMVMSIGWNPYFNNTEKTIEPWLLHNFDEDFYGEELRLIIVGYIRPEANFSSLQSLIEKIHHDRKVAEEALDLPLFSKYKDDPYLKSST >ONI01410 pep chromosome:Prunus_persica_NCBIv2:G6:11230598:11236096:1 gene:PRUPE_6G138200 transcript:ONI01410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKMSTAQPLKKLVSCVILDLDGTLLNTDGIVSDVLRVYLGKYGKQWDGREIKKIVGKTPLEAASAVVEDYELSCTTSELLSEIAPMFSNQWCNIKALPGANRLIKHLSGHRVPMALASNSPRENIETKISYHQGWKESFSVIIGGDEVRLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTANLSTEGYGSLLSEHPSGVYFGWAGLSKRGVFKMVMSIGWNPYFNNTEKTIEPWLLHNFDEDFYGEELRLIIVGYIRPEANFSSLQSLIEKIHHDRKVAEEALDLPLFSKYKDDPYLKSST >ONI01416 pep chromosome:Prunus_persica_NCBIv2:G6:11232850:11235822:1 gene:PRUPE_6G138200 transcript:ONI01416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNQWCNIKALPGANRLIKHLSGHRVPMALASNSPRENIETKISYHQALFAYCISYAGWKESFSVIIGGDEVRLGKPSPEIFLEVAKRLNVDPSSCLVIEDSLPGVTAGRAAGMEVVAVPSIPKQPHLYTLADEVINSLLDLRPEKWGLPPFQDWIKDTLPLEPWHIGGPVVKGFGRGSKVLGIPTANLSTEGYGSLLSEHPSGVYFGWAGLSKRGVFKMVMSIGWNPYFNNTEKTIEPWLLHNFDEDFYGEELRLIIVGYIRPEANFSSLQSLIEKIHHDRKVAEEALDLPLFSKYKDDPYLKSST >ONI05088 pep chromosome:Prunus_persica_NCBIv2:G6:30194273:30195690:-1 gene:PRUPE_6G355600 transcript:ONI05088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDYYKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESADAYVRSADLYAVNHLNKPKPSRKQAATTRVGTREKRGQRAHVMEQKKQQAKVCDVTQPPTKHYEYQVQQSNRTRSNDAVPRVPPRFPTRPPKPVDEDLYKIPPELLHKNKRKKRRKEDVSLMHAMH >ONI05090 pep chromosome:Prunus_persica_NCBIv2:G6:30194365:30195690:-1 gene:PRUPE_6G355600 transcript:ONI05090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYYKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESADAYVRSADLYAVNHLNKPKPSRKQQAATTRVGTREKRGQRAHVMEQKKQQAKVCDVTQPPTKHYEYQVQQSNRTRSNDAVPRVPPRFPTRPPKPVDEDLYKIPPELLHKNKRVCVFLLLLYIIFYFS >ONI05092 pep chromosome:Prunus_persica_NCBIv2:G6:30194365:30195690:-1 gene:PRUPE_6G355600 transcript:ONI05092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDYYKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESADAYVRSADLYAVNHLNKPKPSRKQQAATTRVGTREKRGQRAHVMEQKKQQAKVCDVTQPPTKHYEYQVQQSNRTRSNDAVPRVPPRFPTRPPKPVDEDLYKIPPELLHKNKRVCVFLLLLYIIFYFS >ONI05089 pep chromosome:Prunus_persica_NCBIv2:G6:30194273:30195690:-1 gene:PRUPE_6G355600 transcript:ONI05089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDYYKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESADAYVRSADLYAVNHLNKPKPSRKQQAATTRVGTREKRGQRAHVMEQKKQQAKVCDVTQPPTKHYEYQVQQSNRTRSNDAVPRVPPRFPTRPPKPVDEDLYKIPPELLHKNKRKKRRKEDVSLMHAMH >ONI05086 pep chromosome:Prunus_persica_NCBIv2:G6:30194082:30195690:-1 gene:PRUPE_6G355600 transcript:ONI05086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDYYKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESADAYVRSADLYAVNHLNKPKPSRKQQAATTRVGTREKRGQRAHVMEQKKQQAKVCDVTQPPTKHYEYQVQQSNRTRSNDAVPRVPPRFPTRPPKPVDEDLYKIPPELLHKNKRKKRRKEDKKMLGLLCCLVPACAS >ONI05085 pep chromosome:Prunus_persica_NCBIv2:G6:30193764:30196399:-1 gene:PRUPE_6G355600 transcript:ONI05085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDYYKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESADAYVRSADLYAVNHLNKPKPSRKQQAATTRVGTREKRGQRAHVMEQKKQQAKVCDVTQPPTKHYEYQVQQSNRTRSNDAVPRVPPRFPTRPPKPVDEDLYKIPPELLHKNKRKKMLGLLCCLVPACAS >ONI05084 pep chromosome:Prunus_persica_NCBIv2:G6:30193764:30196399:-1 gene:PRUPE_6G355600 transcript:ONI05084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDYYKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESADAYVRSADLYAVNHLNKPKPSRKQAATTRVGTREKRGQRAHVMEQKKQQAKVCDVTQPPTKHYEYQVQQSNRTRSNDAVPRVPPRFPTRPPKPVDEDLYKIPPELLHKNKRKKMLGLLCCLVPACAS >ONI05087 pep chromosome:Prunus_persica_NCBIv2:G6:30194273:30195690:-1 gene:PRUPE_6G355600 transcript:ONI05087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYYKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESADAYVRSADLYAVNHLNKPKPSRKQQAATTRVGTREKRGQRAHVMEQKKQQAKVCDVTQPPTKHYEYQVQQSNRTRSNDAVPRVPPRFPTRPPKPVDEDLYKIPPELLHKNKRKKRRKEDVSLMHAMH >ONI05082 pep chromosome:Prunus_persica_NCBIv2:G6:30193764:30196399:-1 gene:PRUPE_6G355600 transcript:ONI05082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYYKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESADAYVRSADLYAVNHLNKPKPSRKQQAATTRVGTREKRGQRAHVMEQKKQQAKVCDVTQPPTKHYEYQVQQSNRTRSNDAVPRVPPRFPTRPPKPVDEDLYKIPPELLHKNKRKKMLGLLCCLVPACAS >ONI05091 pep chromosome:Prunus_persica_NCBIv2:G6:30193764:30196399:-1 gene:PRUPE_6G355600 transcript:ONI05091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDYYKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESADAYVRSADLYAVNHLNKPKPSRKQAATTRVGTREKRGQRAHVMEQKKQQAKVCDVTQPPTKHYEYQVQQSNRTRSNDAVPRVPPRFPTRPPKPVDEDLYKIPPELLHKNKRVCVFLLLLYIIFYFS >ONI05083 pep chromosome:Prunus_persica_NCBIv2:G6:30193764:30196399:-1 gene:PRUPE_6G355600 transcript:ONI05083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYYKRSGQIPAFGDWDYANELPITQYFECARQAGLIRFSSSSGESADAYVRSADLYAVNHLNKPKPSRKQQAATTRVGTREKRGQRAHVMEQKKQQAKVCDVTQPPTKHYEYQVQQSNRTRSNDAVPRVPPRFPTRPPKPVDEDLYKIPPELLHKNKRKKRRKEDKKMLGLLCCLVPACAS >ONI01152 pep chromosome:Prunus_persica_NCBIv2:G6:9337648:9339500:1 gene:PRUPE_6G124600 transcript:ONI01152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVVGMVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDEQNLCNIGDRVRLDPSRPLSKRKHWVVAEILTKARIYQPPLPSDSPSVSPHSTS >ONI01153 pep chromosome:Prunus_persica_NCBIv2:G6:9337936:9338953:1 gene:PRUPE_6G124600 transcript:ONI01153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVVGMVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDEQNLCNIGDRVRLDPSRPLSKRKHWVVAEILTKARIYQPPLPSDSPSVSPHSTS >ONH99652 pep chromosome:Prunus_persica_NCBIv2:G6:3050415:3052390:1 gene:PRUPE_6G041100 transcript:ONH99652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKATLAKARMELEDMYQGIPDESVNLTFQDFAELQLNRIASSEKKKPNPILLDPISKLKYTTQPSSPSPLTKLPSLDFNRALQASNINHSHHHVDHAGPYGGHVNHHLDHHQMNSHHDLGHDHGMHHGHASRGSHGHNHDQGHGHDGHVINNHHMNSPTGPTCHAGGGLRHGLETSMGAYDHDVSGISMASGVYNRQQDRGGRRRPGIPHSNICTLCSTYIYIFRHRCLVCGRVYCRQCVMLGMGEMTEGRKCVECLGRRFSQRYIQRAGKVGCFSRYPLIVKQAELKWAEKGPRRSGGNNGAYGGSTMMSPRSRSPMAPVTPRTPNTAHNTSSSPNSFVMNSSSYSPYSPTHRHHLPF >ONI04473 pep chromosome:Prunus_persica_NCBIv2:G6:28504283:28505052:1 gene:PRUPE_6G323400 transcript:ONI04473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEQDGLLPIANVGRIMKQILPQRAKISKEAKQRMQECATEFLSFVTAEASDKCHKENRKTVNGDDICWALSALGFDNYAQATIRYLHKYREAERDKAAANANNNNQNKAADIILMSSGQDMNDIGDRAASIYMASQQGLQVGEQTQTPDLEFRLLGKGDGTKPSVDQEHN >ONI03286 pep chromosome:Prunus_persica_NCBIv2:G6:24469969:24475574:1 gene:PRUPE_6G249000 transcript:ONI03286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFAPPKATCPFQITGTKSTPLQIILHSLETDNLSSTSTLAPGNYKKLMEEEKLDQISSLPFELKQRIISFLPLKEAVRASVLSTHWKSLWSPIQVSLNFDPNPVNHEGSGQEFNQVMGMCMRSYACLEQWKLCLNVVDSNEALILKATKGVDRELHLEFFETKKVSRDFKSEPTSTSACLCLHSHHPTQTESFAGVKLLQLRSVTNLTKTLVSEVFSNCHVLESLKLEKCRGLESLDVKTDSLRSLVVADCSNMAAITISAQNLKSFWFHGALPQIITLKNTRSLVDVVLNLKDGPINNEFDCEEILSILFSFKDVEAFTISGWLLEWLCSAGVIFGRLDFQFDKLKALTWIDSLINKDKRDSLACFLNACPLLEKLLVEIDSNLSTIPCPLFYQYWHEPHLWMDFTSVKSNTSQLEHLKSIELLGFAGRDHELQLMDLLLEKAIRVNSLTLTCPEFLS >ONI03289 pep chromosome:Prunus_persica_NCBIv2:G6:24469944:24472545:1 gene:PRUPE_6G249000 transcript:ONI03289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFAPPKATCPFQITGTKSTPLQIILHSLETDNLSSTSTLAPGNYKKLMEEEKLDQISSLPFELKQRIISFLPLKEAVRASVLSTHWKSLWSPIQVSLNFDPNPVNHEGSGQEFNQVMGMCMRSYACLEQWKLCLNVVDSNEALILKATKGVDRELHLEFFETKKVSRDFKSEPTSTSACLCLHSHHPTQTESFAGVKLLQLRSVTNLTKTLVSEVFSNCHVLESLKLEKCRGLESLDVKTDSLRSLVVADCSNMAAITISAQNLKSFWFHGALPQIITLKNTRSLVDVVLNLKDGPINNEFDCEEILSILFSFKDVEAFTISGWLLEWLCSAGVIFGRLDFQFDKLKALTWIDSLINKDKRDSLACFLNACPLLEKLLVEIDSNLSTIPCPLFYQYWHEPHLWMDFTSVKSNTSQLEHLKSIELLGFAGRDHELQLMDLLLEKAIRVNSLTLTCPEFLS >ONI03288 pep chromosome:Prunus_persica_NCBIv2:G6:24469969:24475574:1 gene:PRUPE_6G249000 transcript:ONI03288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFAPPKATCPFQITGTKSTPLQIILHSLETDNLSSTSTLAPGNYKKLMEEEKLDQISSLPFELKQRIISFLPLKEAVRASVLSTHWKSLWSPIQVSLNFDPNPVNHEGSGQEFNQVMGMCMRSYACLEQWKLCLNVVDSNEALILKATKGVDRELHLEFFETKKVSRDFKSEPTSTSACLCLHSHHPTQTESFAGVKLLQLRSVTNLTKTLVSEVFSNCHVLESLKLEKCRGLESLDVKTDSLRSLVVADCSNMAAITISAQNLKSFWFHGALPQIITLKNTRSLVDVVLNLKDGPINNEFDCEEILSILFSFKDVEAFTISGWLLEWLCSAGVIFGRLDFQFDKLKALTWIDSLINKDKRDSLACFLNACPLLEKLLVEIDSNLSTIPCPLFYQYWHEPHLWMDFTSVKSNTSQLEHLKSIELLGFAGRDHELQLMDLLLEKAIRVNSLTLTCPEFLS >ONI03287 pep chromosome:Prunus_persica_NCBIv2:G6:24469805:24472545:1 gene:PRUPE_6G249000 transcript:ONI03287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFAPPKATCPFQITGTKSTPLQIILHSLETDNLSSTSTLAPGNYKKLMEEEKLDQISSLPFELKQRIISFLPLKEAVRASVLSTHWKSLWSPIQVSLNFDPNPVNHEGSGQEFNQVMGMCMRSYACLEQWKLCLNVVDSNEALILKATKGVDRELHLEFFETKKVSRDFKSEPTSTSACLCLHSHHPTQTESFAGVKLLQLRSVTNLTKTLVSEVFSNCHVLESLKLEKCRGLESLDVKTDSLRSLVVADCSNMAAITISAQNLKSFWFHGALPQIITLKNTRSLVDVVLNLKDGPINNEFDCEEILSILFSFKDVEAFTISGWLLEWLCSAGVIFGRLDFQFDKLKALTWIDSLINKDKRDSLACFLNACPLLEKLLVEIDSNLSTIPCPLFYQYWHEPHLWMDFTSVKSNTSQLEHLKSIELLGFAGRDHELQLMDLLLEKAIRVNSLTLTCPEFLS >ONI03467 pep chromosome:Prunus_persica_NCBIv2:G6:25032611:25034918:-1 gene:PRUPE_6G258600 transcript:ONI03467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQELVKSDPWQVPEKFLVDRNEEDEPKSDLSSKIPIIDLSLLSRGQKEELDKLDQACKEWGFFQVVNHGVVAEVLQEMKYATAKFFQLPLEEKNKIRMASGGFQGYGQVDGVTRGETMDWSDQLVLTLYPAQYRMLNSWPTEPEGYKEAIEAYSSEVKRVGEELPRSLSLIMGMEKHTLLELHKELLQTLRVNYIPPCSMPDKVLGLSPHSDASTITILMQEDNVTGLHIRKEGEWVPVKPIPNALVVNVGDAIEIWSNGKYKSIEHRAVANSGKLRISYASFLFPHVDVEVGPFDHMVESSRTYKKVKYGDYLTTALKNKLKGKTHTEMAKTGS >ONI02414 pep chromosome:Prunus_persica_NCBIv2:G6:20447365:20453072:1 gene:PRUPE_6G197100 transcript:ONI02414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPGILGFQGLLLLLVLACFLVIRHKWKNAVVNKEEIMRLVAMASEETAVAEFQATSPYGPVVQPVSLPYHCAVCYASTTMRCSKCKAVRYCSGKCQIIHWRQGHKDECQPSIDAVRFQHRSDFHGEAISHHDGKGSQANLTTFSTASSDAREKLLSDGVAPDQLENMSSPSGCAKLANIQEDISHANKLSKMKSSHIDKEVESTPKIPKAKKNISGDAQPENIGSRKLTRRPISSEKKLTDACKSKSSSSNDTEDESKEEESLSHSVSIDRPSSTTGEHMYSSSRYEKIEAYHALPANEKVGSIPNLPQNARNGLKTSVKKVVQQFKSSKQLKSNLSGRGEEIVGKYKVIFPYELFVKLYSYDNVELCPFGLMNCGNSCYANAVLQCLAFTRPLASYLLQGLHSRACQKHDWCFICEFEILLVKAREGKSLLSPIRILSKIHKIGSHLGHGREEDAHEFLRYAVDTMQFVCLKEAGAVGPLAEETTLVGMTFGGYLRSKITCMKCLGKSERFEQMMDLTVEIDGEIGTLEEALAQFTATETLDGKNRYHCSRCKSYEKAKKKLTVMEAPNILTIVLKRFQSRNFEKLNKSVRFPEVLNMSPYMGGTSDRSALYSLYAVVVHLDIMNAAYSGHYVCYVKNNQGEWFKIDDSSVEPVDLKRVLSQGAYMLLYARRTPRPPAFLGSTAVSNGEKLKRRNLEAVPSSHTKSKSRSNSVVPSLISNQSLNQDPDDWRFHSTHRFPALDSSSESSSIFSSSDASSCSTVSTKDSSSNEDFSDYIFGEMGPDWYSQYSRHSSAAMTGLYGERASTVLCSDRSRHRRKSTSD >ONI02417 pep chromosome:Prunus_persica_NCBIv2:G6:20447365:20452168:1 gene:PRUPE_6G197100 transcript:ONI02417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPGILGFQGLLLLLVLACFLVIRHKWKNAVVNKEEIMRLVAMASEETAVAEFQATSPYGPVVQPVSLPYHCAVCYASTTMRCSKCKAVRYCSGKCQIIHWRQGHKDECQPSIDAVRFQHRSDFHGEAISHHDGKGSQANLTTFSTASSDAREKLLSDGVAPDQLENMSSPSGCAKLANIQEDISHANKLSKMKSSHIDKEVESTPKIPKAKKNISGDAQPENIGSRKLTRRPISSEKKLTDACKSKSSSSNDTEDESKEEESLSHSVSIDRPSSTTGEHMYSSSRYEKIEAYHALPANEKVGSIPNLPQNARNGLKTSVKKVVQQFKSSKQLKSNLSGRGEEIVGKYKVIFPYELFVKLYSYDNVELCPFGLMNCGNSCYANAVLQCLAFTRPLASYLLQGLHSRACQKHDWCFICEFEILLVKAREGKSLLSPIRILSKIHKIGSHLGHGREEDAHEFLRYAVDTMQFVCLKEAGAVGPLAEETTLVGMTFGGYLRSKITCMKCLGKSERFEQMMDLTVEIDGEIGTLEEALAQFTATETLDGKNRYHCSRCKSYEKAKKKLTVMEAPNILTIVLKRFQSRNFEKLNKSVRFPEVLNMSPYMGGTSDRSALYSLYAVVVHLDIMNAAYSGHYVCYVKNNQGEWFKIDDSSVCIPFISHISVLVRALC >ONI02415 pep chromosome:Prunus_persica_NCBIv2:G6:20447365:20453080:1 gene:PRUPE_6G197100 transcript:ONI02415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPGILGFQGLLLLLVLACFLVIRHKWKNAVVNKEEIMRLVAMASEETAVAEFQATSPYGPVVQPVSLPYHCAVCYASTTMRCSKCKAVRYCSGKCQIIHWRQGHKDECQPSIDAVRFQHRSDFHGEAISHHDGKGSQANLTTFSTASSDAREKLLSDGVAPDQLENMSSPSGCAKLANIQEDISHANKLSKMKSSHIDKEVESTPKIPKAKKNISGDAQPENIGSRKLTRRPISSEKKLTDACKSKSSSSNDTEDESKEEESLSHSVSIDRPSSTTGEHMYSSSRYEKIEAYHALPANEKVGSIPNLPQNARNGLKTSVKKVVQQFKSSKQLKSNLSGRGEEIVGKYKVIFPYELFVKLYSYDNVELCPFGLMNCGNSCYANAVLQCLAFTRPLASYLLQGLHSRACQKHDWCFICEFEILLVKAREGKSLLSPIRILSKIHKIGSHLGHGREEDAHEFLRYAVDTMQFVCLKEAGAVGPLAEETTLVGMTFGGYLRSKITCMKCLGKSERFEQMMDLTVEIDGEIGTLEEALAQFTATETLDGKNRCKSYEKAKKKLTVMEAPNILTIVLKRFQSRNFEKLNKSVRFPEVLNMSPYMGGTSDRSALYSLYAVVVHLDIMNAAYSGHYVCYVKNNQGEWFKIDDSSVEPVDLKRVLSQGAYMLLYARRTPRPPAFLGSTAVSNGEKLKRRNLEAVPSSHTKSKSRSNSVVPSLISNQSLNQDPDDWRFHSTHRFPALDSSSESSSIFSSSDASSCSTVSTKDSSSNEDFSDYIFGEMGPDWYSQYSRHSSAAMTGLYGERASTVLCSDRSRHRRKSTSD >ONI02416 pep chromosome:Prunus_persica_NCBIv2:G6:20447365:20453072:1 gene:PRUPE_6G197100 transcript:ONI02416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPGILGFQGLLLLLVLACFLVIRHKWKNAVVNKEEIMRLVAMASEETAVAEFQATSPYGPVVQPVSLPYHCAVCYASTTMRCSKCKAVRYCSGKCQIIHWRQGHKDECQPSIDAVRFQHRSDFHGEAISHHDGKGSQANLTTFSTASSDAREKLLSDGVAPDQLENMSSPSGCAKLANIQEDISHANKLSKMKSSHIDKEVESTPKIPKAKKNISGDAQPENIGSRKLTRRPISSEKKLTDACKSKSSSSNDTEDESKEEESLSHSVSIDRPSSTTGEHMYSSSRYEKIEAYHALPANEKVGSIPNLPQNARNGLKTSVKKVVQQFKSSKQLKSNLSGRGEEIVGKYKVIFPYELFVKLYSYDNVELCPFGLMNCGNSCYANAVLQCLAFTRPLASYLLQGLHSRACQKHDWCFICEFEILLVKAREGKSLLSPIRILSKIHKIGSHLGHGREEDAHEFLRYAVDTMQFVCLKEAGAVGPLAEETTLVGMTFGGYLRSKITCMKCLGKSERFEQMMDLTVEIDGEIGTLEEALAQFTATETLDGKNRYHCSRCKSYEKAKKKLTVMEAPNILTIVLKRFQSRNFEKLNKSVRFPEVLNMSPYMGGTSDRSALYSLYAVVVHLDIMNAAYSGHYVCYVKNNQGEWFKIDDSS >ONI02418 pep chromosome:Prunus_persica_NCBIv2:G6:20447933:20451897:1 gene:PRUPE_6G197100 transcript:ONI02418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPGILGFQGLLLLLVLACFLVIRHKWKNAVVNKEEIMRLVAMASEETAVAEFQATSPYGPVVQPVSLPYHCAVCYASTTMRCSKCKAVRYCSGKCQIIHWRQGHKDECQPSIDAVRFQHRSDFHGEAISHHDGKGSQANLTTFSTASSDAREKLLSDGVAPDQLENMSSPSGCAKLANIQEDISHANKLSKMKSSHIDKEVESTPKIPKAKKNISGDAQPENIGSRKLTRRPISSEKKLTDACKSKSSSSNDTEDESKEEESLSHSVSIDRPSSTTGEHMYSSSRYEKIEAYHALPANEKVGSIPNLPQNARNGLKTSVKKVVQQFKSSKQLKSNLSGRGEEIVGKYKVIFPYELFVKLYSYDNVELCPFGLMNCGNSCYANAVLQCLAFTRPLASYLLQGLHSRACQKHDWCFICEFEILLVKAREGKSLLSPIRILSKIHKIGSHLGHGREEDAHEFLRYAVDTMQFVCLKEAGAVGPLAEETTLVGMTFGGYLRSKITCMKCLGKSERFEQMMDLTVEIDGEIGTLEEALAQFTATETLDGKNRYHCSRCKSYEKAKKKLTVMEAPNILTIVLKRFQSRNFEKLNKSVRFPEVLNMSPYMGGTSDRSALYSLYAVVVHLDIMNAAYSGHYVCYVKNNQGEWFKIDDSSVCIPFISHISVLVRALC >ONI03878 pep chromosome:Prunus_persica_NCBIv2:G6:26623162:26635201:-1 gene:PRUPE_6G288100 transcript:ONI03878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRFSQSSVVVVPNHLDDCASSHEDGDLSSQRRESETASSSYGNATATTATSMAYLPQTIVLCELRHDAFEACVPLGPSDSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALEKIGKTLSEQYERWQPKARYKVQLDPTVEEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMIINSFIELHDWGGSSSSGSTRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDYSLIDKIPGRQNDRRTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPLLVEDPNAGFQQSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQALSVGIFPYVLKLLQTMTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSMEAYPEQRAMAAFVLAVIVDTHRRGQEACIEAGLIHVCLKHLQGPTPNDTQTEPLFLQWLCLCLGKLWEDFTEAQIFGLQADAPAICAPLLSEPQPEVRASAVFALGTLLDVGSGSCRDGVGGDEEYDDDEKIRAEISIVRSLLSVASDGSPLVRAEVAVALGRFAFGHNKHLKSIAAAYWKPQSSSLLNSLPSLSHIKGSVVSSQIGPLLRVTNDNSLVVRDGRVSTSSPLASSGIMHGSPLSDDSSQHSDSGILNDGVSNGGVNLSPPKPLDNAMYSQCVLAMCTLAKDPSPRIASLGRQVLAIIGIEQVVAKPLKSSNNSVRPGESITASPGLARSSSWFDMNGGHLPLTFRTPPVSPPRPNYLTGMRRVYSLEFRPHLMSPDSGLADPLLGSGGTSGASERSVPPQSTIYNWSCGHFSKPLLIAADDSKEILTRREEREKFALEHIAKCQHSSVSKLNNQIASWDTKFETGTKTILLEPFSPIVIAADENERIRVWNYQEAKEATLLNSFDNHDFPDKGISKLCLVNELDDSLLLAASSDGNIRIWKDYTLKGRQKLVTAFSSIQGHKPGVRSLNAVVDWQQQSGYLYASGEISSIMVWDLDKEQLVNSIPSSSDCSISALSASQVHGGQLAAGFVDGSVRLYDVRTPEMLVCATRPHTQKVERVVGIGFQPGLDPAKIVSASQAGDIQFLDIRNDREAYLTIEAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLEGEQLGTIRYYPSFMAQKIGPVSCLAFHPYEVLLAAGAADACASIYADDNSQAR >ONI03879 pep chromosome:Prunus_persica_NCBIv2:G6:26623790:26634688:-1 gene:PRUPE_6G288100 transcript:ONI03879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRFSQSSVVVVPNHLDDCASSHEDGDLSSQRRESETASSSYGNATATTATSMAYLPQTIVLCELRHDAFEACVPLGPSDSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALEKIGKTLSEQYERWQPKARYKVQLDPTVEEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMIINSFIELHDWGGSSSSGSTRDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDYSLIDKIPGRQNDRRTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPLLVEDPNAGFQQSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTMTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSMEAYPEQRAMAAFVLAVIVDTHRRGQEACIEAGLIHVCLKHLQGPTPNDTQTEPLFLQWLCLCLGKLWEDFTEAQIFGLQADAPAICAPLLSEPQPEVRASAVFALGTLLDVGSGSCRDGVGGDEEYDDDEKIRAEISIVRSLLSVASDGSPLVRAEVAVALGRFAFGHNKHLKSIAAAYWKPQSSSLLNSLPSLSHIKGSVVSSQIGPLLRVTNDNSLVVRDGRVSTSSPLASSGIMHGSPLSDDSSQHSDSGILNDGVSNGGVNLSPPKPLDNAMYSQCVLAMCTLAKDPSPRIASLGRQVLAIIGIEQVVAKPLKSSNNSVRPGESITASPGLARSSSWFDMNGGHLPLTFRTPPVSPPRPNYLTGMRRVYSLEFRPHLMSPDSGLADPLLGSGGTSGASERSVPPQSTIYNWSCGHFSKPLLIAADDSKEILTRREEREKFALEHIAKCQHSSVSKLNNQIASWDTKFETGTKTILLEPFSPIVIAADENERIRVWNYQEAKEATLLNSFDNHDFPDKGISKLCLVNELDDSLLLAASSDGNIRIWKDYTLKGRQKLVTAFSSIQGHKPGVRSLNAVVDWQQQSGYLYASGEISSIMVWDLDKEQLVNSIPSSSDCSISALSASQVHGGQLAAGFVDGSVRLYDVRTPEMLVCATRPHTQKVERVVGIGFQPGLDPAKIVSASQAGDIQFLDIRNDREAYLTIEAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLEGEQLGTIRYYPSFMAQKIGPVSCLAFHPYEVLLAAGAADACASIYADDNSQAR >ONI03140 pep chromosome:Prunus_persica_NCBIv2:G6:23986191:23990799:1 gene:PRUPE_6G241200 transcript:ONI03140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKAKIGSLEVPQRKSPATPRTTHQLKTPRSEPDSVSSPNVATRTPKNRSPKVIERRSPRSPVAEKKQPKRVSDLESQLTQLQEDLKRTKDQLNSSESLKRRFQQEAEEAKNQIMAMSAKLEDSQQQLQELSTSEDARVQELRKLSQDRDRAWESELEAVKKQRSMDSTALASAINEIQKLKGQLERVAESEATQSRHAESAYAEIQNLRMELSETLSLVEKLKTELNDCKDSEARALEVIQNTHMQLEAANATIEMLKSDGIKATESGNSLSLELEQSKARVKSLEGLVSKLQAELINRNNVKPVQEDEENEERKQLKAEVSSLKYEVGQLKSALEAAEVRYQEEYIQSTLQIRSAYEEVDSAKSESSQREAELTAELKKTKAHIEELRAQLMDKETELQSLSEANDGVALKIEKNVSTENESELALELKKSEAAVVELKASLLDKETEFQNIAEENEMLKMKLENKEMERNKVNDEAVVWTEAARAAEHEALMKLGYLTEEADKSTRKAERVTEQLDAAQNANMEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKLVERTGSLDSSYNPLSSPYSEDLDDDSPKKKNGNMLKKIGVLWKKGQK >ONI03137 pep chromosome:Prunus_persica_NCBIv2:G6:23986255:23990799:1 gene:PRUPE_6G241200 transcript:ONI03137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKANRIGSLEVPQRKSPATPRTTHQLKTPRSEPDSVSSPNVATRTPKNRSPKVIERRSPRSPVAEKKQPKRVSDLESQLTQLQEDLKRTKDQLNSSESLKRRFQQEAEEAKNQIMAMSAKLEDSQQQLQELSTSEDARVQELRKLSQDRDRAWESELEAVKKQRSMDSTALASAINEIQKLKGQLERVAESEATQSRHAESAYAEIQNLRMELSETLSLVEKLKTELNDCKDSEARALEVIQNTHMQLEAANATIEMLKSDGIKATESGNSLSLELEQSKARVKSLEGLVSKLQAELINRNNVKPVQEDEENEERKQLKAEVSSLKYEVGQLKSALEAAEVRYQEEYIQSTLQIRSAYEEVDSAKSESSQREAELTAELKKTKAHIEELRAQLMDKETELQSLSEANDGVALKIEKNVSTENESELALELKKSEAAVVELKASLLDKETEFQNIAEENEMLKMKLENKEMERNKVNDEAVVWTEAARAAEHEALMKLGYLTEEADKSTRKAERVTEQLDAAQNANMEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKLVERTGSLDSSYNPLSSPYSEDLDDDSPKKKNGNMLKKIGVLWKKGQK >ONI03138 pep chromosome:Prunus_persica_NCBIv2:G6:23986190:23990799:1 gene:PRUPE_6G241200 transcript:ONI03138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKANRIGSLEVPQRKSPATPRTTHQLKTPRSEPDSVSSPNVATRTPKNRSPKVIERRSPRSPVAEKKQPKRVSDLESQLTQLQEDLKRTKDQLNSSESLKRRFQQEAEEAKNQIMAMSAKLEDSQQQLQELSTSEDARVQELRKLSQDRDRAWESELEAVKKQRSMDSTALASAINEIQKLKGQLERVAESEATQSRHAESAYAEIQNLRMELSETLSLVEKLKTELNDCKDSEARALEVIQNTHMQLEAANATIEMLKSDGIKATESGNSLSLELEQSKARVKSLEGLVSKLQAELINRNNVKPVQEDEENEERKQLKAEVSSLKYEVGQLKSALEAAEVRYQEEYIQSTLQIRSAYEEVDSAKSESSQREAELTAELKKTKAHIEELRAQLMDKETELQSLSEANDGVALKIEKNVSTENESELALELKKSEAAVVELKASLLDKETEFQNIAEENEMLKMKLENKEMERNKVNDEAVVWTEAARAAEHEALMKLGYLTEEADKSTRKAERVTEQLDAAQNANMEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKLVERTGSLDSSYNPLSSPYSEDLDDDSPKKKNGNMLKKIGVLWKKGQK >ONI03139 pep chromosome:Prunus_persica_NCBIv2:G6:23986255:23990799:1 gene:PRUPE_6G241200 transcript:ONI03139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKAKIGSLEVPQRKSPATPRTTHQLKTPRSEPDSVSSPNVATRTPKNRSPKVIERRSPRSPVAEKKQPKRVSDLESQLTQLQEDLKRTKDQLNSSESLKRRFQQEAEEAKNQIMAMSAKLEDSQQQLQELSTSEDARVQELRKLSQDRDRAWESELEAVKKQRSMDSTALASAINEIQKLKGQLERVAESEATQSRHAESAYAEIQNLRMELSETLSLVEKLKTELNDCKDSEARALEVIQNTHMQLEAANATIEMLKSDGIKATESGNSLSLELEQSKARVKSLEGLVSKLQAELINRNNVKPVQEDEENEERKQLKAEVSSLKYEVGQLKSALEAAEVRYQEEYIQSTLQIRSAYEEVDSAKSESSQREAELTAELKKTKAHIEELRAQLMDKETELQSLSEANDGVALKIEKNVSTENESELALELKKSEAAVVELKASLLDKETEFQNIAEENEMLKMKLENKEMERNKVNDEAVVWTEAARAAEHEALMKLGYLTEEADKSTRKAERVTEQLDAAQNANMEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKLVERTGSLDSSYNPLSSPYSEDLDDDSPKKKNGNMLKKIGVLWKKGQK >ONI03142 pep chromosome:Prunus_persica_NCBIv2:G6:23988106:23990799:1 gene:PRUPE_6G241200 transcript:ONI03142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSAKLEDSQQQLQELSTSEDARVQELRKLSQDRDRAWESELEAVKKQRSMDSTALASAINEIQKLKGQLERVAESEATQSRHAESAYAEIQNLRMELSETLSLVEKLKTELNDCKDSEARALEVIQNTHMQLEAANATIEMLKSDGIKATESGNSLSLELEQSKARVKSLEGLVSKLQAELINRNNVKPVQEDEENEERKQLKAEVSSLKYEVGQLKSALEAAEVRYQEEYIQSTLQIRSAYEEVDSAKSESSQREAELTAELKKTKAHIEELRAQLMDKETELQSLSEANDGVALKIEKNVSTENESELALELKKSEAAVVELKASLLDKETEFQNIAEENEMLKMKLENKEMERNKVNDEAVVWTEAARAAEHEALMKLGYLTEEADKSTRKAERVTEQLDAAQNANMEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKLVERTGSLDSSYNPLSSPYSEDLDDDSPKKKNGNMLKKIGVLWKKGQK >ONI03136 pep chromosome:Prunus_persica_NCBIv2:G6:23986255:23990799:1 gene:PRUPE_6G241200 transcript:ONI03136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKANRIGSLEVPQRKSPATPRTTHQLKTPRSEPDSVSSPNVATRTPKNRSPKVIERRSPRSPVAELQKKQPKRVSDLESQLTQLQEDLKRTKDQLNSSESLKRRFQQEAEEAKNQIMAMSAKLEDSQQQLQELSTSEDARVQELRKLSQDRDRAWESELEAVKKQRSMDSTALASAINEIQKLKGQLERVAESEATQSRHAESAYAEIQNLRMELSETLSLVEKLKTELNDCKDSEARALEVIQNTHMQLEAANATIEMLKSDGIKATESGNSLSLELEQSKARVKSLEGLVSKLQAELINRNNVKPVQEDEENEERKQLKAEVSSLKYEVGQLKSALEAAEVRYQEEYIQSTLQIRSAYEEVDSAKSESSQREAELTAELKKTKAHIEELRAQLMDKETELQSLSEANDGVALKIEKNVSTENESELALELKKSEAAVVELKASLLDKETEFQNIAEENEMLKMKLENKEMERNKVNDEAVVWTEAARAAEHEALMKLGYLTEEADKSTRKAERVTEQLDAAQNANMEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKLVERTGSLDSSYNPLSSPYSEDLDDDSPKKKNGNMLKKIGVLWKKGQK >ONI03141 pep chromosome:Prunus_persica_NCBIv2:G6:23988024:23990799:1 gene:PRUPE_6G241200 transcript:ONI03141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSAKLEDSQQQLQELSTSEDARVQELRKLSQDRDRAWESELEAVKKQRSMDSTALASAINEIQKLKGQLERVAESEATQSRHAESAYAEIQNLRMELSETLSLVEKLKTELNDCKDSEARALEVIQNTHMQLEAANATIEMLKSDGIKATESGNSLSLELEQSKARVKSLEGLVSKLQAELINRNNVKPVQEDEENEERKQLKAEVSSLKYEVGQLKSALEAAEVRYQEEYIQSTLQIRSAYEEVDSAKSESSQREAELTAELKKTKAHIEELRAQLMDKETELQSLSEANDGVALKIEKNVSTENESELALELKKSEAAVVELKASLLDKETEFQNIAEENEMLKMKLENKEMERNKVNDEAVVWTEAARAAEHEALMKLGYLTEEADKSTRKAERVTEQLDAAQNANMEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKLVERTGSLDSSYNPLSSPYSEDLDDDSPKKKNGNMLKKIGVLWKKGQK >ONI00276 pep chromosome:Prunus_persica_NCBIv2:G6:5426930:5428727:-1 gene:PRUPE_6G079600 transcript:ONI00276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWSLERHGIFLKCVNLAEVEREYRDPSFSILATDVKCAACQAFLGYKIRRVEDPTIEFPKEGQFVMFLHQLDAAFQVHANDAAPP >ONI02283 pep chromosome:Prunus_persica_NCBIv2:G6:19627887:19632844:-1 gene:PRUPE_6G187800 transcript:ONI02283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYSSNKSTGDAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAAKSDQETSSPPEKMVNGPKNAQANGSHKDPLVTWVHKNFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDAESEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLGTSNKS >ONI00378 pep chromosome:Prunus_persica_NCBIv2:G6:5765319:5771197:1 gene:PRUPE_6G085700 transcript:ONI00378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAPLSIVTQPSKLWKGVFAVAGIMTTLVTYGVLQEKIMRVPYGDDKAFFRYSLFLVFCNRIATSAISAGALLASKKALDPVAPVYKYSLVSVSNILTTTCQYEALKYVSFPIQTLAKCAKMIPVMVWGTIIMQKKYKGQDYLLAFLVTVGCSIFILYPAGSSEIGPSDIYGRGRENTVWGISLMIGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTAFCSCVLSLTGLILQGQLLPAIHFVSIHNDCFFDIALLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSIMLSCLWFSHPLSREQWIGAVVVFGTLYAKSFLRSAPKPSPSQQMQNGASLPVKGNP >ONI04654 pep chromosome:Prunus_persica_NCBIv2:G6:28979019:28980522:1 gene:PRUPE_6G333000 transcript:ONI04654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVMHCYAGSSYRPQLQLSLRFPSFGIICSSEVSVSRQQVLKQVDKELDKGDERAALSLVKDVQGKPGGLRCFGAARQVPQRLYSLDELKLNGIEASSLLSPVDTTLGSIERNLQLAAVLGGVSAWNVFGFSAQQIFYISLGLLFLWTLDAVSFNGGVSSLVIDTIGHTFSQKYHNRVIQHEAGHFLIAYLVGILPKGYTLSSLEALKKEGSLNVQAGTAFVDFEFVEEVNAGKVSATVCFYPSPLKFLLPFEMRNFHELGMHLIRR >ONI04655 pep chromosome:Prunus_persica_NCBIv2:G6:28979019:28982312:1 gene:PRUPE_6G333000 transcript:ONI04655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVMHCYAGSSYRPQLQLSLRFPSFGIICSSEVSVSRQQVLKQVDKELDKGDERAALSLVKDVQGKPGGLRCFGAARQVPQRLYSLDELKLNGIEASSLLSPVDTTLGSIERNLQLAAVLGGVSAWNVFGFSAQQIFYISLGLLFLWTLDAVSFNGGVSSLVIDTIGHTFSQKYHNRVIQHEAGHFLIAYLVGILPKGYTLSSLEALKKEGSLNVQAGTAFVDFEFVEEVNAGKVSATVCFYPSPLKFLLPFEMRNFHELGMHLIRR >ONI04653 pep chromosome:Prunus_persica_NCBIv2:G6:28978794:28981120:1 gene:PRUPE_6G333000 transcript:ONI04653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVMHCYAGSSYRPQLQLSLRFPSFGIICSSEVSVSRQQVLKQVDKELDKGDERAALSLVKDVQGKPGGLRCFGAARQVPQRLYSLDELKLNGIEASSLLSPVDTTLGSIERNLQLAAVLGGVSAWNVFGFSAQQIFYISLGLLFLWTLDAVSFNGGVSSLVIDTIGHTFSQKYHNRVIQHEAGHFLIAYLVGILPKGYTLSSLEALKKEGSLNVQAGTAFVDFEFVEEVNAGKVSATTLNRFACVALAGVAAEYILYGYAEGGLADINQLDSLYRSLGFTQKKTDSQVRWSVLNTVLILRRHEVVRAKLAEAMSEGKSVGSCIDVIEDTIGNADI >ONI03587 pep chromosome:Prunus_persica_NCBIv2:G6:25528023:25533472:1 gene:PRUPE_6G267300 transcript:ONI03587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGRESSKLWKRICAEVITEINLLAANWKYLLAGLVCQYIHGLAARGVHYIHRPGPTLQDAGFFLLPELGQDRAYISETLFSFIFLSFVLWTFHPFIFKSKKIYTVLLWCRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLARLPPPDSVFEVLLINFPRGVLYGCGDLIFSSHMIFSLVFVRTYQKYGTQRFIKQLAWLLVVIQSFLIVASRKHYTVDVVVAWYTVNLVVFFIDKKLAELPDRTNGAASLLLPLSTKDKDSKTKEENHKLLNGISGDPADRRQRTQVNGKTLDEVNTVHVDATMNGA >ONH99125 pep chromosome:Prunus_persica_NCBIv2:G6:893508:895262:-1 gene:PRUPE_6G012700 transcript:ONH99125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKKGSKKRAAAPDPDLPTVKDIVELGNGEAADGVLVEDDLNELTMGEKLESLNLLDNDGPKSQDKEDSSLNAKPPSADSVHILLKQALHAEDRALLLDCLYTQDEKVIAKSISLLNPSGVLKLLQSLISIIQSRGAILACALPWLRSLLLQHASGIVSQESSLSALNSLYQLIESRVSTFQSSLQLSSVLDLLYTGVLDDVDETGTTIPVVYEDNDESDEEESEDAMDTDQDDEDEEESDAALDGVSDFEGIENISD >ONI03678 pep chromosome:Prunus_persica_NCBIv2:G6:25917544:25918158:1 gene:PRUPE_6G274600 transcript:ONI03678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSEITEEKDDDSSCLVDPEVQKERIRQIIEYQKSLYRSSSSSSSSSSSAASCSSFSSARKSSSLLGLMKVGNTSLRRLFDMEHTSLANHFEDFSGSSIIKPILLWGSDTDNENEMHDPWQSIKQFGPINESRIDGPSKFPSDGSFRDGERGFRNTEVRIGNRKLTRKKSFRRLPGFGLWRCRGFRLRLRLRRLRIAFCGRKY >ONI03933 pep chromosome:Prunus_persica_NCBIv2:G6:26936982:26937583:1 gene:PRUPE_6G292600 transcript:ONI03933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSAMTKLALVVALCMVVSVPIAQAITCGQVSSSLAPCIPYVRGGGAVPPACCNGIRNVNNLARTTPDRQAACNCLKQLSASVPGVNPNNAAALPGKCGVSIPYKISASTNCATYLDMGQVFSAADNIRESE >ONI03935 pep chromosome:Prunus_persica_NCBIv2:G6:26936907:26937904:1 gene:PRUPE_6G292600 transcript:ONI03935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSAMTKLALVVALCMVVSVPIAQAITCGQVSSSLAPCIPYVRGGGAVPPACCNGIRNVNNLARTTPDRQAACNCLKQLSASVPGVNPNNAAALPGKCGVSIPYKISASTNCATVK >ONI03934 pep chromosome:Prunus_persica_NCBIv2:G6:26936982:26937528:1 gene:PRUPE_6G292600 transcript:ONI03934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSAMTKLALVVALCMVVSVPIAQAITCGQVSSSLAPCIPYVRGGGAVPPACCNGIRNVNNLARTTPDRQAACNCLKQLSASVPGVNPNNAAALPGKCGVSIPYKISASTNCATY >ONI02412 pep chromosome:Prunus_persica_NCBIv2:G6:20435099:20436950:-1 gene:PRUPE_6G196900 transcript:ONI02412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKGFVEGGIASIIAGCTTHPLDLIKVRMQLQGETHAPNPNPNAAVQAVRPAYAAVHTTAARRVSIPLPPPPPPAARAGPISVGVRIIQQEGVAAMFSGVSATMLRQTLYSTTRMGLYDILKQKWTDPTTHNMPLPSKITAGLIAGAIGAAVGNPADVAMVRMQADGRLPVAERRNYKSVIDAIARMGKQEGVTSLWRGSSLTINRAMLVTASQLASYDQIKETILDNGILRDGLGTHVTASFAAGFVASVASNPVDVIKTRIMNMKVEAGAEPPYSGALDCALKTVRSEGPLALYKGFVPTISRQGPFTVVLFVTLEQVRKLLKDF >ONH99819 pep chromosome:Prunus_persica_NCBIv2:G6:3635532:3639618:1 gene:PRUPE_6G051800 transcript:ONH99819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALECWSSRASTDEDMVEQVLMRTQDRSEGATSEPESSAGAGVKESSAMQKRLQKLSRNVSEAIASLKNSLNLDSTSDSATAPFNKIESSRKLVWGSVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFEMKEVFLHIKLIEQASVEGHPAMLIQEVSENETQGSVFKLTFACNSSISWPVMSSALDSESICYKKIQIFEKKGCTIGVVLLLVEGGEEKLFRNRIEIALKSAIKKPKPSTVKLPFGLCGCQEENTKGREVGDIEEDIGEQNYRNGVDISSQKIQLPNPLPTSSFVVSVDEWQTIQSGGDEMGKWLLNSDNLDFVDQIGPTSYKGVYKGKKVGIEKLKGCDKGNSYDFELRRDLLELMTCGNKNILQFYGVCIDENHGLCVVTKLMEGGSVYDMMLKSKKLQIKDILRIAVDVAEGIKFMNDHGVAYRDLNTQRILLDRHGNACLGDMGIVAACKSVGEATEYETDGYRWLAPEIIAGDPESVSETWMSNVYSFGMVIWEMVTGEAAYATCSPVQAAVGIAACGLRPEIPKDCPQMLKSLMTKCWNNSPSKRPQFSEILSTLLRTNNNSNRCRKA >ONH99820 pep chromosome:Prunus_persica_NCBIv2:G6:3635767:3639036:1 gene:PRUPE_6G051800 transcript:ONH99820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALECWSSRASTDEDMVEQVLMRTQDRSEGATSEPESSAGAGVKESSAMQKRLQKLSRNVSEAIASLKNSLNLDSTSDSATAPFNKIESSRKLVWGSVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFEMKEVFLHIKLIEQASVEGHPAMLIQEVSENETQGSVFKLTFACNSSISWPVMSSALDSESICYKKIQIFEKKGCTIGVVLLLVEGGEEKLFRNRIEIALKSAIKKPKPSTVKLPFGLCGCQEENTKGREVGDIEEDIGEQNYRNGVDISSQKIQLPNPLPTSSFVVSVDEWQTIQSGGDEMGKWLLNSDNLDFVDQIGPTSYKGVYKGKKVGIEKLKGCDKGNSYDFELRRDLLELMTCGNKNILQFYGVCIDENHGLCVVTKLMEGGSVYDMMLKSKKLQIKDILRIAVDVAEGIKFMNDHGVAYRDLNTQRILLDRHGNACLGDMGIVAACKSVGEATEYETDGYRWLAPEIIAGDPESVSETWMSNVYSFGMVIWEMVTGEAAYATCSPVQAAVGIAACGLRPEIPKDCPQMLKSLMTKCWNNSPSKRPQFSEILSTLLRTNNNSNR >ONI00801 pep chromosome:Prunus_persica_NCBIv2:G6:7504689:7506250:1 gene:PRUPE_6G106000 transcript:ONI00801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLMLIWFSLSLIIFNPIFLPLSSTAISSCNGPCKTVNDCAMVDECDSRNGCDAEHAGQPPFHRKGLKPSNRLGILLLLMVAEGRALVVHMGLGRLGMGLCLAIRIAHDVSPKLGRRRPWGPTLGGLN >ONI02654 pep chromosome:Prunus_persica_NCBIv2:G6:22107162:22108722:1 gene:PRUPE_6G212900 transcript:ONI02654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTTNNHTHSKPPKPNSIVTTTTKQPLVCFSFAAYAKSLINHLKSSPLNIPVEQGLTDPELASIEATFDFAFPPDLRAILQEGLPVGPTFPNWRSSSLQQLRILLSLPSFSVLRRVSGGSLWCQSWGAKPPPGDQDHAAVAKQLLEKAPVLVPVHRNCYVPSRPGVAGNPVLYVDAENVTVLSCDVTRFFCQEFGFAHVPVWAPKKTRRIDFWSEVVARGETSGWWSGDECLGGCLEEVFWRLREGGWAEEEVREMMMMDGCDGKIEKSGGLHLMGDSEDEAGVGWRVRLLSMVLSRGGWTREDIVDSLGLEDECLCDNWLVDPSSDYIHL >ONH99065 pep chromosome:Prunus_persica_NCBIv2:G6:677755:679680:-1 gene:PRUPE_6G008500 transcript:ONH99065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVQKLSCWLFNGQAVLVLKKQFHVGHHTRGEIGLLANIIQHLQPDCTARVEHDLCDKQEAQDVSFPRSLREQELKGTCLGLNRGISLSVAVSHPNLLNIFIYENQRTSNHSSQEKAKTMSMQLSRR >ONH99672 pep chromosome:Prunus_persica_NCBIv2:G6:3124533:3130125:1 gene:PRUPE_6G042600 transcript:ONH99672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTDRAGYVETDPTARYGRFEEVLGKGAMKTVYKAIDELLGMEVAWNQVKLNEVLRSPEDLQRLYSEVHLLSTLNHDSIIRFYTSWIDVDHKTFNFITEMFTSGTLREYRKKYKHVDIRAIKNWARQILRGLVYLHGNHPPVIHRDLKCDNIFVNGHLGQVKIGDLGLATILRGSQSAHSVIGTPEFMAPELYDENYNELVDVYSFGMCVLEMLTSEYPYSECVNPAQIYKKVTSGKLPGAFYRIQDLEAQRFIGKCLVNASKRLPAKELLLDPFLECGKDEPLPLGKLGRPKPFLNDKEMEKLQLSDDQTRTDMTITGKLNPEDDTIFLKVQIADKDGSFRNIYFPFDILNDTPFDVATEMVKELEITDWEPFEIANMIEWEISALVPNWKTEAYHTINYQDDDDGPQRPSHSHSSCSSSHTSLSGLISSHGINGVTNGCDWLQDDFLDETSSQSSSHSGTYSNLNFICGNEHGTNTIPTGGDKHPISKCHKSTRFCPEENRNTGQSMAKKYYERCKAFLASGSKDKRIMDSRRLMRNKSLVDVRSHLLHRSLVEEVNRRRLFKTVGAVENIGFQAPCEVSKKL >ONH99674 pep chromosome:Prunus_persica_NCBIv2:G6:3125658:3130125:1 gene:PRUPE_6G042600 transcript:ONH99674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVYKAIDELLGMEVAWNQVKLNEVLRSPEDLQRLYSEVHLLSTLNHDSIIRFYTSWIDVDHKTFNFITEMFTSGTLREYRKKYKHVDIRAIKNWARQILRGLVYLHGNHPPVIHRDLKCDNIFVNGHLGQVKIGDLGLATILRGSQSAHSVIGTPEFMAPELYDENYNELVDVYSFGMCVLEMLTSEYPYSECVNPAQIYKKVTSGKLPGAFYRIQDLEAQRFIGKCLVNASKRLPAKELLLDPFLECGKDEPLPLGKLGRPKPFLNDKEMEKLQLSDDQTRTDMTITGKLNPEDDTIFLKVQIADKDGSFRNIYFPFDILNDTPFDVATEMVKELEITDWEPFEIANMIEWEISALVPNWKTEAYHTINYQDDDDGPQRPSHSHSSCSSSHTSLSGLISSHGINGVTNGCDWLQDDFLDETSSQSSSHSGTYSNLNFICGNEHGTNTIPTGGDKHPISKCHKSTRFCPEENRNTGQSMAKKYYERCKAFLASGSKDKRIMDSRRLMRNKSLVDVRSHLLHRSLVEEVNRRRLFKTVGAVENIGFQAPCEVSKKL >ONH99673 pep chromosome:Prunus_persica_NCBIv2:G6:3125350:3129360:1 gene:PRUPE_6G042600 transcript:ONH99673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTDRAGYVETDPTARYGRFEEVLGKGAMKTVYKAIDELLGMEVAWNQVKLNEVLRSPEDLQRLYSEVHLLSTLNHDSIIRFYTSWIDVDHKTFNFITEMFTSGTLREYRKKYKHVDIRAIKNWARQILRGLVYLHGNHPPVIHRDLKCDNIFVNGHLGQVKIGDLGLATILRGSQSAHSVIGTPEFMAPELYDENYNELVDVYSFGMCVLEMLTSEYPYSECVNPAQIYKKVTSGKLPGAFYRIQDLEAQRFIGKCLVNASKRLPAKELLLDPFLECGKDEPLPLGKLGRPKPFLNDKEMEKLQLSDDQTRTDMTITGKLNPEDDTIFLKVQIADKDGSFRNIYFPFDILNDTPFDVATEMVKELEITDWEPFEIANMIEWEISALVPNWKTEAYHTINYQDDDDGPQRPSHSHSSCSSSHTSLSGLISSHGINGVTNGCDWLQGMMIFLMRPALKALHTQEHIPT >ONI00122 pep chromosome:Prunus_persica_NCBIv2:G6:4739007:4741640:-1 gene:PRUPE_6G069000 transcript:ONI00122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQANSNGKDSRWSLHGMTALVTGGTKGIGYAIVEELAGLGATIHTCSRNEVQLNDCLSQWKMKGFDQVTGSVCDVVSKAQREELINKVSSLFHGKLNILINNVGTTDLKPAIESTTEDYSFIMSTNLESAYHFSQLAHPLLKASGAGNIIFLSSVAGVVSVGEGGSIYAATKGAINQLAKNLACEWAKDNIRTNSVAPWFIRTPLVEPLLSNQNFLEAVNSRCPLGRTGEPKEVSALVAFLCFPAASYITGQTICVDGGFTVNGLLFQGP >ONI00123 pep chromosome:Prunus_persica_NCBIv2:G6:4739054:4741640:-1 gene:PRUPE_6G069000 transcript:ONI00123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQANSNGKDSRWSLHGMTALVTGGTKGIGYAIVEELAGLGATIHTCSRNEVQLNDCLSQWKMKGFDQVTGSVCDVVSKAQREELINKVSSLFHGKLNILINNVGTTDLKPAIESTTEDYSFIMSTNLESAYHFSQLAHPLLKASGAGNIIFLSSVAGVVSVGEGGSIYAATKGAINQLAKNLACEWAKDNIRTNSVAPWFIRTPLVEPLLSNQNFLEAVNSRCPLGRTGEPKEVSALVAFLCFPAASYITGQTICVDGGFTVNGLLFQGP >ONI00124 pep chromosome:Prunus_persica_NCBIv2:G6:4739054:4740834:-1 gene:PRUPE_6G069000 transcript:ONI00124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFDQVTGSVCDVVSKAQREELINKVSSLFHGKLNILINNVGTTDLKPAIESTTEDYSFIMSTNLESAYHFSQLAHPLLKASGAGNIIFLSSVAGVVSVGEGGSIYAATKGAINQLAKNLACEWAKDNIRTNSVAPWFIRTPLVEPLLSNQNFLEAVNSRCPLGRTGEPKEVSALVAFLCFPAASYITGQTICVDGGFTVNGLLFQGP >ONI01017 pep chromosome:Prunus_persica_NCBIv2:G6:8523644:8529484:-1 gene:PRUPE_6G116700 transcript:ONI01017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILRFRKLCYVEPCSSLKFQSFETPKIENRDEGGVGSKTQNLLEKKQRKNKNKKQHREWRCIDSCCWVIGYMCTTCWVLLFLYHSLPATLTGFPVPESPGTRLKAEGLTPLHPVVLVPGIVTGGLELWEGRPCAEGLFRKRLWGGGFTEIFKRPLCWLEHLSLHNETGLDPPGIRVRAVPGLVAADYFAPGYFVWAVLIENLAKIGYEGKNMHMAAYDWRLSFQNTEIRDQALTRLKSKIELMYVTNGHKKVVVVPHSMGVIYFLHFMKWVESPPPMGGGGGPSWCAKHIKAIMNIGPAFLGVPKAVSNIFSAEGKDVAYIRAMDPGVLDSEILRLQTLEHVMRASRTWDSIVSLLPKGGDAIWGNLDWSPEDGHSCDLAKKGYLQTSGDTNFNNRDENRVFQVKEPVKYGRIISFGKETSQISSPQLPRHDFKDLPHKDSATNFTSSCGEVWTEYDELSRESIRKITENKAYTAQTLFDLLRFVAPKMMQRAEAHFSHGIADNLDDPKYAHYKYWSNPLETKLPVAPDMEIYSLYGVGIPTERSYVYKMSPSDKCKSIPFRIDGSAEGEAGSCLKNGVYFVDGDDSVPVLSAGLMCAKGWRGRTRFNPSGIATYIREYQHKPPASLLEGRGIESGAHVDIMGNVALIEDVLRISAGATGAQVGGDRIYSDIMRMSERINLQL >ONI01018 pep chromosome:Prunus_persica_NCBIv2:G6:8524975:8529484:-1 gene:PRUPE_6G116700 transcript:ONI01018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILRFRKLCYVEPCSSLKFQSFETPKIENRDEGGVGSKTQNLLEKKQRKNKNKKQHREWRCIDSCCWVIGYMCTTCWVLLFLYHSLPATLTGFPVPESPGTRLKAEGLTPLHPVVLVPGIVTGGLELWEGRPCAEGLFRKRLWGGGFTEIFKRPLCWLEHLSLHNETGLDPPGIRVRAVPGLVAADYFAPGYFVWAVLIENLAKIGYEGKNMHMAAYDWRLSFQNTEIRDQALTRLKSKIELMYVTNGHKKVVVVPHSMGVIYFLHFMKWVESPPPMGGGGGPSWCAKHIKAIMNIGPAFLGVPKAVSNIFSAEGKDVAYIRAMDPGVLDSEILRLQTLEHVMRASRTWDSIVSLLPKGGDAIWGNLDWSPEDGHSCDLAKKGYLQTSGDTNFNNRDENRVFQVKEPVKYGRIISFGKETSQISSPQLPRHDFKDLPHKDSATNFTSSCGEVWTEYDELSRESIRKITENKAYTAQTLFDLLRFVAPKMMQRAEAHFSHGIADNLDDPKYAHYKYWSNPLETK >ONI01557 pep chromosome:Prunus_persica_NCBIv2:G6:12132476:12138336:1 gene:PRUPE_6G146500 transcript:ONI01557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAEFRRLLDLFPIVRSRDHHAELEQSKESTSKSAQYEEVKEWQDAWDEGDKKEVEKQGLDQHGAFWEKLKLVAERKVGEAEAERFCKAFQQIHKKLVYEELSLDAAQKFLNSS >ONI02432 pep chromosome:Prunus_persica_NCBIv2:G6:20518281:20520274:1 gene:PRUPE_6G198300 transcript:ONI02432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADRIGGPSFSQVHGKELSSRIKIMDAIRRYPPFSWDGLDEIRTAVKIEDKIYDVALSQTDYLRTISLKMIMIASRPESLGRMRPSNLKRRRILARDL >ONI04845 pep chromosome:Prunus_persica_NCBIv2:G6:29545141:29548929:1 gene:PRUPE_6G343100 transcript:ONI04845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMFSVEEMSDQLWSSSAPPVNEADDDLSKMNRSASEWAFQRFLQETSPYSPSPSPPQPPPPPSSSSSSTHHDHNDVAEIKMINAHHPNPTHAPNNNNNNNNNSNDYNSNNTPSFSLQPPNVPVDSEEYQAFLKTKLNLACAAVALSRVKGSLVDSQDSAVLAGSGSQASNTSHLGSQAPSKGAGYDLSRPHDKNANAPVGIPSLPATQHRSVVPVRQATSGSSRELSDDEDIEGETAITENVDPADVKRVRRMLSNRESARRSRRRKQAHLSELEAQASQLRVENSSLLKRLTDADRKYNEAAVDNRVLKADIETLRAKVKMAEETVKRITGLNMFHAVSEISSIGMPPFDGSPSETSTDAAVPVQDDPNHHFCQPASNSPTPTHDLRVKHDSADIPSVENVQQNSAATSADAVGNKMGRTPSLHRVASLEHLQKRIRGGNPSGPHSNGEQ >ONI01119 pep chromosome:Prunus_persica_NCBIv2:G6:9128872:9135532:1 gene:PRUPE_6G122600 transcript:ONI01119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEAVRQLLSGALPRVGCFDLSLSNVNGVISVQSGINNTRKRSSVCAQVHKHSRISQDRRRICAFQAKNGVFHGKNDVSRLNSMSCKCQKAESLTGATAEDQHRDLLVDDSDKATSIPPNGITSPGINEFEVDQQLKHEKGGLGSNGKPATAGKHKESRQKVRTNSIEDEAWKLLKNSMVYYCNNPIGTIAANNPNSTSTLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCYSPGQGLMPASFKVRTVPLDGDDFATEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDASADLMRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVGFMPSTGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATLDQSHAILDLIEAKWDELVADMPFKICYPALEGQEWQIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKLNRPEIAAKAVELAEKRISLDNWPEYYDTKRARFIGKQAQLFQTWSAAGYLVAKILLANPSAAKNLVNEEDSELANIFSCMISSSPRRKRGWKKQILV >ONI01118 pep chromosome:Prunus_persica_NCBIv2:G6:9128385:9135532:1 gene:PRUPE_6G122600 transcript:ONI01118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEAVRQLLSGALPRVGCFDLSLSNVNGVISVQSGINNTRKRSSVCAQVHKHSRISQDRRRICAFQAKNGVFHGKNDVSRLNSMSCKCQKAESLTGATAEDQHRDLLVDDSDKATSIPPNGITSPGINEFEVDQQLKHEKGGLGSNGKPATAGKHKESRQKVRTNSIEDEAWKLLKNSMVYYCNNPIGTIAANNPNSTSTLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCYSPGQGLMPASFKVRTVPLDGDDFATEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDASADLMRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVGFMPSTGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATLDQSHAILDLIEAKWDELVADMPFKICYPALEGQEWQIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKLNRPEIAAKAVELAEKRISLDNWPEYYDTKRARFIGKQAQLFQTWSAAGYLVAKILLANPSAAKNLVNEEDSELANIFSCMISSSPRRKRGWKKQILV >ONH99162 pep chromosome:Prunus_persica_NCBIv2:G6:1088830:1089900:1 gene:PRUPE_6G015000 transcript:ONH99162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDAASSMISRVQRNVALETSGSNDNDFGLLHPNKRARLDNDVSFAKFKGVVPQQNGHWGAQIYANHQRIWLGTFKSEKEAAMAYDSAAIKLRNGKDLHRNFPWTNFTIQEPDFQNHYTTDAIIKMIRDGSYPSKFDAFIRSQKQSQTEEMGKGNNSIRVHGDGKILSRQLFQKELTPSDVGKLNRLVIPKKYAVEYFPCICENVEENGVDDIELVFYDKLMRVWKFRYCYWKSSQSFVFTRGWNRFVKENNLKANDVITFYTCENDDQLREEDRESATFWLIDVMHIEGESKRSCLLEQEINKHECEDLQVKLELNLGGSSSYKFQQGECKYSEDHKASMADQKGFRLFGVNIN >ONI02251 pep chromosome:Prunus_persica_NCBIv2:G6:19566740:19567402:1 gene:PRUPE_6G187100 transcript:ONI02251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLGRRVIHFANLPIKLLMPTNFTNIREIALKTIPSASKVEIKRVLESLYGFQVEKVRTLNMEGKKKKRGGLLFARPNYKKAYVTLKNPLSLSQDLYPIGVVEQERKQTSKQSKSSVVEEGETEKHWLYGKDKEEPWRVKNDKGSAVFGDGVAKFPWSTMRFR >ONI04570 pep chromosome:Prunus_persica_NCBIv2:G6:28767433:28772166:-1 gene:PRUPE_6G328700 transcript:ONI04570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKEHPYPIDCANRILNSYNDLTKIYSNRDCDGRSSCFGRNVEKMEKMGINIMFGDSPAMEYEISDQQKKRKPAASSTSASKRAQRIIKEEIQEPLDEKPHIVKGLVGDDEDKDCCSIERIVAALETVPGIDDELFLEASLILEDDKKAKMFVAMDVAARKKWLYRKLRQ >ONI04572 pep chromosome:Prunus_persica_NCBIv2:G6:28767433:28772166:-1 gene:PRUPE_6G328700 transcript:ONI04572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKEHPYPIDCANRILNSYNDLTKIYSNRDCDGRSSCFGRNVEKMEKMGINIMFGDSPAMEYEISDQQKKRKPAASSTSASKRAQRIIKEEIQEPLDEKPHIVKGLVGDDEDKDCCSIERIVAALETVPGIDDELFLEASLILEDDKKAKMFVAMDVAARKKWLYRKLRQ >ONI04578 pep chromosome:Prunus_persica_NCBIv2:G6:28767258:28770235:-1 gene:PRUPE_6G328700 transcript:ONI04578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVCNHLFNGNYVLTTFWWIEGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKEHPYPIDCANRILNSYNDLTKIYSNRDCDGRSSCFGRNVEKMEKMGINIMFGDSPAMEYEISDQQKKRKPAASSTSASKRAQRIIKEEIQEPLDEKPHIVKGLVGDDEDKDCCSIERIVAALETVPGIDDELFLEASLILEDDKKAKMFVAMDVAARKKWLYRKLRQ >ONI04577 pep chromosome:Prunus_persica_NCBIv2:G6:28767433:28772046:-1 gene:PRUPE_6G328700 transcript:ONI04577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIEGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKEHPYPIDCANRILNSYNDLTKIYSNRDCDGRSSCFGRNVEKMEKMGINIMFGDSPAMEYEISDQQKKRKPAASSTSASKRAQRIIKEEIQEPLDEKPHIVKGLVGDDEDKDCCSIERIVAALETVPGIDDELFLEASLILEDDKKAKMFVAMDVAARKKWLYRKLRQ >ONI04575 pep chromosome:Prunus_persica_NCBIv2:G6:28767433:28772166:-1 gene:PRUPE_6G328700 transcript:ONI04575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIEGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKEHPYPIDCANRILNSYNDLTKIYSNRDCDGRSSCFGRNVEKMEKMGINIMFGDSPAMEYEISDQQKKRKPAASSTSASKRAQRIIKEEIQEPLDEKPHIVKGLVGDDEDKDCCSIERIVAALETVPGIDDELFLEASLILEDDKKAKMFVAMDVAARKKWLYRKLRQ >ONI04586 pep chromosome:Prunus_persica_NCBIv2:G6:28767184:28772835:-1 gene:PRUPE_6G328700 transcript:ONI04586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIEGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKQQRL >ONI04576 pep chromosome:Prunus_persica_NCBIv2:G6:28767433:28772046:-1 gene:PRUPE_6G328700 transcript:ONI04576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIEGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKEHPYPIDCANRILNSYNDLTKIYSNRDCDGRSSCFGRNVEKMEKMGINIMFGDSPAMEYEISDQQKKRKPAASSTSASKRAQRIIKEEIQEPLDEKPHIVKGLVGDDEDKDCCSIERIVAALETVPGIDDELFLEASLILEDDKKAKMFVAMDVAARKKWLYRKLRQ >ONI04580 pep chromosome:Prunus_persica_NCBIv2:G6:28767184:28772835:-1 gene:PRUPE_6G328700 transcript:ONI04580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKQQRL >ONI04582 pep chromosome:Prunus_persica_NCBIv2:G6:28767184:28772835:-1 gene:PRUPE_6G328700 transcript:ONI04582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKQQRL >ONI04581 pep chromosome:Prunus_persica_NCBIv2:G6:28767184:28772835:-1 gene:PRUPE_6G328700 transcript:ONI04581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKQQRL >ONI04573 pep chromosome:Prunus_persica_NCBIv2:G6:28767433:28772166:-1 gene:PRUPE_6G328700 transcript:ONI04573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKEHPYPIDCANRILNSYNDLTKIYSNRDCDGRSSCFGRNVEKMEKMGINIMFGDSPAMEYEISDQQKKRKPAASSTSASKRAQRIIKEEIQEPLDEKPHIVKGLVGDDEDKDCCSIERIVAALETVPGIDDELFLEASLILEDDKKAKMFVAMDVAARKKWLYRKLRQ >ONI04585 pep chromosome:Prunus_persica_NCBIv2:G6:28767851:28772166:-1 gene:PRUPE_6G328700 transcript:ONI04585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIEGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKQQRL >ONI04584 pep chromosome:Prunus_persica_NCBIv2:G6:28767184:28772835:-1 gene:PRUPE_6G328700 transcript:ONI04584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIEGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKQQRL >ONI04574 pep chromosome:Prunus_persica_NCBIv2:G6:28767433:28772166:-1 gene:PRUPE_6G328700 transcript:ONI04574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIEGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKEHPYPIDCANRILNSYNDLTKIYSNRDCDGRSSCFGRNVEKMEKMGINIMFGDSPAMEYEISDQQKKRKPAASSTSASKRAQRIIKEEIQEPLDEKPHIVKGLVGDDEDKDCCSIERIVAALETVPGIDDELFLEASLILEDDKKAKMFVAMDVAARKKWLYRKLRQ >ONI04579 pep chromosome:Prunus_persica_NCBIv2:G6:28767433:28769860:-1 gene:PRUPE_6G328700 transcript:ONI04579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVCNHLFNGNYVLTTFWWIEGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKEHPYPIDCANRILNSYNDLTKIYSNRDCDGRSSCFGRNVEKMEKMGINIMFGDSPAMEYEISDQQKKRKPAASSTSASKRAQRIIKEEIQEPLDEKPHIVKGLVGDDEDKDCCSIERIVAALETVPGIDDELFLEASLILEDDKKAKMFVAMDVAARKKWLYRKLRQ >ONI04587 pep chromosome:Prunus_persica_NCBIv2:G6:28767184:28772835:-1 gene:PRUPE_6G328700 transcript:ONI04587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIEGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKQQRL >ONI04571 pep chromosome:Prunus_persica_NCBIv2:G6:28767433:28772166:-1 gene:PRUPE_6G328700 transcript:ONI04571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKEHPYPIDCANRILNSYNDLTKIYSNRDCDGRSSCFGRNVEKMEKMGINIMFGDSPAMEYEISDQQKKRKPAASSTSASKRAQRIIKEEIQEPLDEKPHIVKGLVGDDEDKDCCSIERIVAALETVPGIDDELFLEASLILEDDKKAKMFVAMDVAARKKWLYRKLRQ >ONI04583 pep chromosome:Prunus_persica_NCBIv2:G6:28767851:28772166:-1 gene:PRUPE_6G328700 transcript:ONI04583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREGMGSQNPTNSERLRTSWTLPMERYFIDLMLDQVHRGNRMGHTFNKQAWNDMLVMFNANFGSPYDVNILKSHYTSLWKQFNDIKNLLDQNGFSWDNTQQMVIADRYAWDAYVKVHPDAQFYRNKALMTFNDLCLIYAHTKADGRYSLSSHDIDFDDDIHCMADGVGMNSPTLAPGTGLVPPPASKVQTNTDWKPPMDRFFLKLMLDQLGKGSKTNNSFKKQAWKDMVTLFNAKFGSQYRKSFLKQLYKKLLKYFTDVRSILAIKGFYWDEKEQMIVADDDVWDNYIKALPDARLYRKKPLLNYQDLNLIYGNEISNVLRSHLHQGTNSEDDILEYMTGEEREGHSVYGNGHLASFEDLDSEGQVLMIGEESEDTHIHGNNDFSRTDWTPPMDRFLIDLMLKQLQKVNKIDYSFDDQAWMDVLVLFKERFGLQQDKDLLRRRYKSLEKQYHDTKDLLDQRGFWWDDTQQMVTAYDDIWDAYIKEHPDAESYRTKSKPNYNDLCLIYGKSKSGERLNQSGQAMGCNGDAAKYNHSYHCRTDWTPPMDRYFIDLMLEQVRNGSMVNSKFSRLAWTEMVSKFRAEFGFQHDKDVLKSRFFNLRKQFNGMKSLLDESGFAWDEMQHMVTADDHLWDAYVKEHPDVRSYRNRALPNFNDLYLIYGDGDIFKRESISSHTMDDDLGVNLGDETQRTISSEDDVWEACIKQQRL >ONI03525 pep chromosome:Prunus_persica_NCBIv2:G6:25253799:25254476:-1 gene:PRUPE_6G262600 transcript:ONI03525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVWKIILIVWFVVAAVFVALGLFFRSRTWVGFGGMETGGHRHHHRAGGDVGGGAAFAGGADFGGGGGADFGGGGGADCGGGGGGAHGYFPFYFTFKFYYPNPIIKPNPVQEDGNVENHRLSLACCCILCLPFALWTLS >ONI01134 pep chromosome:Prunus_persica_NCBIv2:G6:9208004:9209179:-1 gene:PRUPE_6G123500 transcript:ONI01134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVDVDCPVSHFFKHGWWDVDKLRNFLAEDTVQKITSLAVDFDGRLEDIRIWKYTDNGSFTVKSAYNLLFKRPDWPDPWWKVPWQMRIHPKLQIFCWLVYQGKILSNEQRVGTHLTFDSSCQCCGWPIEPRGDAARFFQANFKAWLVSNLCSKSVYDLQPWLFIWKWRNSRVFNVEAELPFHPKRIIASAVSEWLQTCPNSISKRTQVQIMLAWEPPMNGVFKLNVDGSRKGGIGCIGAGGIIRDSFGDWMGGFAVNLGIGQTLDDELWGLFFGLKLVAAKGVARLSIEMDSMTDVQLIKQHVPSCLHPCTGVIASCVALISKFEFVELTHVYRERNAAADCLANWSLNMDLGGCFFYEAPF >ONH99998 pep chromosome:Prunus_persica_NCBIv2:G6:4275373:4277277:1 gene:PRUPE_6G061800 transcript:ONH99998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNEGGKPSMEKAKRSLELTDDESEAVSRLAVPPHRAGAGPSFYEYFALRGIQVDRVEPRLVVCTFKVPPHLSDRSGRLANGAIANLVDEVGGAVVHVEGLPMNVSVDMCISYMSTAKLHDELEITSRVLGQRGGYSGTIVLMRNKVTGEVIAEGRHSLFGRHASKL >ONI01554 pep chromosome:Prunus_persica_NCBIv2:G6:12096690:12099324:-1 gene:PRUPE_6G146200 transcript:ONI01554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVAADLFIDKFVSILESEAVSIAGVRDQVDEIKRELVIMKSFLEDDDGGKKAHTEVQKACVASIRDLANDVENIIDEFMHNKYKQQRGGPCPKWLLKTIHFPKNLWYMGQIAKKLKKIAGRIRAIPERNKRYGGAVAVEGKCTSEDIRRWVQNQAESSLYHKENELVGIEDDKKMLMGWVMNNEQHQIVVSVVGMGGSGKTTLVARTFTNEVVKKHFECYAWITVSQSYVIEDLLRRLIKEFHKARREEVSADMNAMCYIELVEILVNYLETKRYLVVLDDVWDILLWERIRLSFPDKQLGSRVMLTTRREDIDSTAGKERCFFSMKAFSSYHNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLTDWSEVYNSLNWHLTNNSLLEPMKSILLLSFNDLPYRLKQCFLYCSLFPEDEVIINQRLIKLWIAEGFVEHVKGVTPEEVANSYVMELIFRNMLQERYRECQQACKMHDLMREIAMSIAEKEKFCVVLDGSETMEETGALRLSIQTTNGEIGSRKGMSRLRSFLVFATGVSSFSFSKKFPFDSPLLRVLDLEGVPIDRLPDELTYLFNLKYLNLRKTRIKELPESIRRLSKLQTLDLTIEALPVGISKLLNLRHLVMWHSKSGSEVVGVKLPSSISKMKKLQSLGCIELEGNIIRLIGSMTQLKVLGITNVKERDEKDLCASIQEMKVLSHLHLLVADGEEFLRVDALSSPSSYLDRLYLIGKLEKVPHWFCSLQCLTSLHLHGSRLEEDLRPHIEALPSLLSLCLNDSYVGKELCFSRGFVKLRYLELRNLSLLNKMTIEKQVMPNLEFLGIYRFLGLETLPQGIEHLTKLQGYTFECVSEKFVESI >ONI05080 pep chromosome:Prunus_persica_NCBIv2:G6:30188611:30191682:1 gene:PRUPE_6G355500 transcript:ONI05080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAKAVEIKKQLERLVKAIVDEDDYRVETADEAIRALSSLKGLKLKKSLSFKPDGHGNLLDVPEDFRCPISGELMKDPVVLATGQTYDRPFIQRWLNEGNRTCPQTKQVLSHTVLTPNHLVQEMISQWCREHGMELPKPVQDIDEEVVSNADRGYLNSLLQKMSSSLSDQKEAAKELRLLTKRSSPFRALFGESIETIPQLLSPLSPGQADTDPDLQEDLITTLLNLSIHDNNKRLVAEHPLVIPLLIESLISGTIQTKSNAAATFFTLAAIDSNKIIIGTSGALNPLIDLLDEGHPLAMKDVASAIFSLCSVLENKGRAINAGAVKVILQKIMDRVLVAELLAILAMLSSHQKAVQEMCELGTVSCLFGIIRESDCEHNKENCIAILYTICFADRTKLKEIKEEEMANGTVSRLARTGTSRAKRKASGILERLNKTGSITHTA >ONI05077 pep chromosome:Prunus_persica_NCBIv2:G6:30188611:30191779:1 gene:PRUPE_6G355500 transcript:ONI05077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTGVLEETETETESDSSMVVAKAVEIKKQLERLVKAIVDEDDYRVETADEAIRALSSLKGLKLKKSLSFKPDGHGNLLDVPEDFRCPISGELMKDPVVLATGQTYDRPFIQRWLNEGNRTCPQTKQVLSHTVLTPNHLVQEMISQWCREHGMELPKPVQDIDEEVVSNADRGYLNSLLQKMSSSLSDQKEAAKELRLLTKRSSPFRALFGESIETIPQLLSPLSPGQADTDPDLQEDLITTLLNLSIHDNNKRLVAEHPLVIPLLIESLISGTIQTKSNAAATFFTLAAIDSNKIIIGTSGALNPLIDLLDEGHPLAMKDVASAIFSLCSVLENKGRAINAGAVKVILQKIMDRVLVAELLAILAMLSSHQKAVQEMCELGTVSCLFGIIRESDCEHNKENCIAILYTICFADRTKLKEIKEEEMANGTVSRLARTGTSRAKRKASGILERLNKTGSITHTA >ONI05081 pep chromosome:Prunus_persica_NCBIv2:G6:30190040:30191725:1 gene:PRUPE_6G355500 transcript:ONI05081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYDRPFIQRWLNEGNRTCPQTKEHGMELPKPVQDIDEEVVSNADRGYLNSLLQKMSSSLSDQKEAAKELRLLTKRSSPFRALFGESIETIPQLLSPLSPGQADTDPDLQEDLITTLLNLSIHDNNKRLVAEHPLVIPLLIESLISGTIQTKSNAAATFFTLAAIDSNKIIIGTSGALNPLIDLLDEGHPLAMKDVASAIFSLCSVLENKGRAINAGAVKVILQKIMDRVLVAELLAILAMLSSHQKAVQEMCELGTVSCLFGIIRESDCEHNKENCIAILYTICFADRTKLKEIKEEEMANGTVSRLARTGTSRAKRKASGILERLNKTGSITHTA >ONI05078 pep chromosome:Prunus_persica_NCBIv2:G6:30188611:30191795:1 gene:PRUPE_6G355500 transcript:ONI05078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTGVLEETETETESDSSMVVAKAVEIKKQLERLVKAIVDEDDYRVETADEAIRALSSLKGLKLKKSLSFKPDGHGNLLDVPEDFRCPISGELMKDPVVLATGQTYDRPFIQRWLNEGNRTCPQTKEHGMELPKPVQDIDEEVVSNADRGYLNSLLQKMSSSLSDQKEAAKELRLLTKRSSPFRALFGESIETIPQLLSPLSPGQADTDPDLQEDLITTLLNLSIHDNNKRLVAEHPLVIPLLIESLISGTIQTKSNAAATFFTLAAIDSNKIIIGTSGALNPLIDLLDEGHPLAMKDVASAIFSLCSVLENKGRAINAGAVKVILQKIMDRVLVAELLAILAMLSSHQKAVQEMCELGTVSCLFGIIRESDCEHNKENCIAILYTICFADRTKLKEIKEEEMANGTVSRLARTGTSRAKRKASGILERLNKTGSITHTA >ONI05079 pep chromosome:Prunus_persica_NCBIv2:G6:30188611:30191795:1 gene:PRUPE_6G355500 transcript:ONI05079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTGVLEETETETESDSSMVVAKAVEIKKQLERLVKAIVDEDDYRVETADEAIRALSSLKGLKLKKSLSFKPDGHGNLLDVPEDFRCPISGELMKDPVVLATGQTYDRPFIQRWLNEGNRTCPQTKEHGMELPKPVQDIDEEVVSNADRGYLNSLLQKMSSSLSDQKEAAKELRLLTKRSSPFRALFGESIETIPQLLSPLSPGQADTDPDLQEDLITTLLNLSIHDNNKRLVAEHPLVIPLLIESLISGTIQTKSNAAATFFTLAAIDSNKIIIGTSGALNPLIDLLDEGHPLAMKDVASAIFSLCSVLENKGRAINAGAVKVILQKIMDRVLVAELLAILAMLSSHQKAVQEMCELGTVSCLFGIIRESDCEHNKENCIAILYTICFADRTKLKEIKEEEMANGTVSRLARTGTSRAKRKASGILERLNKTGSITHTA >ONI05130 pep chromosome:Prunus_persica_NCBIv2:G6:30293288:30297859:1 gene:PRUPE_6G357600 transcript:ONI05130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSANDRRSHSGSSSDNSVYFEAEVSPPNVDNATASSGIVERDLQTERTSGELSAVGTSSHSSSSSSQLTASARLTHNPALAAILAKLFDHRTPFRKKRKYINRLARVQDDGTVQFDVPGDIKPQQLDFGTGVVHGEPCDEIPSSGETEAEVLDIRPLQIVMLIVGTRGDVQPFVAIGKSLQEYGHRVRLATHANFKDFVLTAGLEFFPLGGDPKVLAGYMVKNKGFLPSGPSEISIQRNQIKEIIFSLLPACKEPDPDSEVPFKADAIIANPPAYGHSDVAEALKVPLHIFFTMPWTPTSEFPHPLSRVKQPIGYRLSYQIVDALIWLGIRDMINEFRKKMLKLRPITYLSGYYSSPPDVPYGYIWSPHLVPKPKDWGPKVDVVGFCFLDLASNYEPPDSLVEWLEAGEQPVYIGFGSLVSLLQLSLFMFNILFPVLSQSSSVLSLP >ONI05131 pep chromosome:Prunus_persica_NCBIv2:G6:30293292:30297806:1 gene:PRUPE_6G357600 transcript:ONI05131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSANDRRSHSGSSSDNSVYFEAEVSPPNVDNATASSGIVERDLQTERTSGELSAVGTSSHSSSSSSQLTASARLTHNPALAAILAKLFDHRTPFRKKRKYINRLARVQDDGTVQFDVPGDIKPQQLDFGTGVVHGEPCDEIPSSGETEAEVLDIRPLQIVMLIVGTRGDVQPFVAIGKSLQEYGHRVRLATHANFKDFVLTAGLEFFPLGGDPKVLAGYMVKNKGFLPSGPSEISIQRNQIKEIIFSLLPACKEPDPDSEVPFKADAIIANPPAYGHSDVAEALKVPLHIFFTMPWTPTSEFPHPLSRVKQPIGYRIGDRRLMLLVFVFLTLLQIMNPQIHLWSGLKLVNSLSILDLVAL >ONI05128 pep chromosome:Prunus_persica_NCBIv2:G6:30293292:30299570:1 gene:PRUPE_6G357600 transcript:ONI05128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSANDRRSHSGSSSDNSVYFEAEVSPPNVDNATASSGIVERDLQTERTSGELSAVGTSSHSSSSSSQLTASARLTHNPALAAILAKLFDHRTPFRKKRKYINRLARVQDDGTVQFDVPGDIKPQQLDFGTGVVHGEPCDEIPSSGETEAEVLDIRPLQIVMLIVGTRGDVQPFVAIGKSLQEYGHRVRLATHANFKDFVLTAGLEFFPLGGDPKVLAGYMVKNKGFLPSGPSEISIQRNQIKEIIFSLLPACKEPDPDSEVPFKADAIIANPPAYGHSDVAEALKVPLHIFFTMPWTPTSEFPHPLSRVKQPIGYRLSYQIVDALIWLGIRDMINEFRKKMLKLRPITYLSGYYSSPPDVPYGYIWSPHLVPKPKDWGPKVDVVGFCFLDLASNYEPPDSLVEWLEAGEQPVYIGFGSLPLEEPEKMTNIILQALEITGQRGIINRGWGGLGNLAEPSDSVYLVDNCPHDWLFQRCSAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPAPIPADEFSLEKLVDAIHFMLDPKVKERAVEIAKAMDGEDGVTGAVNAFHRHFPHNKSEDKPESLPARRGLFSIRRCFGYSSSYT >ONI05129 pep chromosome:Prunus_persica_NCBIv2:G6:30293473:30298591:1 gene:PRUPE_6G357600 transcript:ONI05129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSANDRRSHSGSSSDNSVYFEAEVSPPNVDNATASSGIVERDLQTERTSGELSAVGTSSHSSSSSSQLTASARLTHNPALAAILAKLFDHRTPFRKKRKYINRLARVQDDGTVQFDVPGDIKPQQLDFGTGVVHGEPCDEIPSSGETEAEVLDIRPLQIVMLIVGTRGDVQPFVAIGKSLQEYGHRVRLATHANFKDFVLTAGLEFFPLGGDPKVLAGYMVKNKGFLPSGPSEISIQRNQIKEIIFSLLPACKEPDPDSEVPFKADAIIANPPAYGHSDVAEALKVPLHIFFTMPWTPTSEFPHPLSRVKQPIGYRLSYQIVDALIWLGIRDMINEFRKKMLKLRPITYLSGYYSSPPDVPYGYIWSPHLVPKPKDWGPKVDVVGFCFLDLASNYEPPDSLVEWLEAGEQPVYIGFGSLPLEEPEKMTNIILQALEITGQRGIINRGWGGLGNLAEPSDSVYLVDNCPHDWLFQRCSAVATPGFSLDMKKFLRCIMGVLGQLLLV >ONI04284 pep chromosome:Prunus_persica_NCBIv2:G6:28038758:28042345:1 gene:PRUPE_6G313400 transcript:ONI04284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVIEENQPGILEIELKQPPSFHRESNPLPRKHTVWNVATDFTNGEALIHRRHYVQFAPGVLDKHYEKLVQRELRFFELSQKPFPSRRSPYFQSDSNDGIAEISFNFDGHGPEIPSNFQSTLSPCVQTRPTQKIRRYEQAKPSLRIKDSTSPISDEANSFQAVENPHMPYWDQGRITETTNFAEFFGGEQLPGLVSVAAAPQMNPTISFQNFNAYDQALERANLESQILASIENKLLFESEVEFSDEQFMTQVNSLIGFPEQVNPAVGTGTRHTNYGQQMTGNGNLVPSATVNALQPHPKSWVPPPPQPASWGVPPPPQPASWGLPPPPQPASWGLPPPPQPASWGVPPPPQPASWGVPPPPQPASWGVPLPPQPASWGVPPPPQPASWGVPPPPQPASWGVPPPPQPASWEVPPQGSAENSGIHMAGHNSLHSPFSQNQRMEDFNNLANRWNPQI >ONI04282 pep chromosome:Prunus_persica_NCBIv2:G6:28038778:28042345:1 gene:PRUPE_6G313400 transcript:ONI04282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGIGSDGIFRWNDDFDGGGGFSDASGASEWSQNSESLKRDIGSSSAHEHNISEAANKRLKEVPIDSNEKAQLAQTLLGLRPLGLNLGKTPSFLDLTERIVPRKNKIIRAPEIIHNDFQLMSDKEKLKASNFGATLLRIGSFQRVTQHEGDLVAKCYYAKRKLVWEILDQGLKSKIEVQWSDILSMRAVIEENQPGILEIELKQPPSFHRESNPLPRKHTVWNVATDFTNGEALIHRRHYVQFAPGVLDKHYEKLVQRELRFFELSQKPFPSRRSPYFQSDSNDGIAEISFNFDGHGPEIPSNFQSTLSPCVQTRPTQKIRRYEQAKPSLRIKDSTSPISVMDFSSTLDEANSFQAVENPHMPYWDQGRITETTNFAEFFGGEQLPGLVSVAAAPQMNPTISFQNFNAYDQALERANLESQILASIENKLLFESEVEFSDEQFMTQVNSLIGFPEQVNPAVGTGTRHTNYGQQMTGNGNLVPSATVNALQPHPKSWVPPPPQPASWGVPPPPQPASWGLPPPPQPASWGLPPPPQPASWGVPPPPQPASWGVPPPPQPASWGVPLPPQPASWGVPPPPQPASWGVPPPPQPASWGVPPPPQPASWEVPPQGSAENSGIHMAGHNSLHSPFSQNQRMEDFNNLANRWNPQI >ONI04283 pep chromosome:Prunus_persica_NCBIv2:G6:28038778:28042290:1 gene:PRUPE_6G313400 transcript:ONI04283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGIGSDGIFRWNDDFDGGGGFSDASGASEWSQNSESLKRDIGSSSAHEHNISEAANKRLKEVPIDSNEKAQLAQTLLGLRPLGLNLGKTPSFLDLTERIVPRKNKIIRAPEIIHNDFQLMSDKEKLKASNFGATLLRIGSFQRVTQHEGDLVAKCYYAKRKLVWEILDQGLKSKIEVQWSDILSMRAVIEENQPGILEIELKQPPSFHRESNPLPRKHTVWNVATDFTNGEALIHRRHYVQFAPGVLDKHYEKLVQRELRFFELSQKPFPSRRSPYFQSDSNDGIAEISFNFDGHGPEIPSNFQSTLSPCVQTRPTQKIRRYEQAKPSLRIKDSTSPISVMDFSSTLDEANSFQAVENPHMPYWDQGRITETTNFAEFFGGEQLPGLVSVAAAPQMNPTISFQNFNAYDQALERANLESQILASIENKLLFESEVEFSDEQFMTQVNSLIGFPEQVNPAVGTGTRHTNYGQQMTGNGNLVPSATVNALQPHPKSWVPPPPQPASWGVPPPPQPASWGLPPPPQPASWGLPPPPQPASWGVPPPPQPASWGVPPPPQPASWGVPLPPQPASWGVPPPPQPASWGVPPPPQPASWGVPPQGSAENSGIHMAGHNSLHSPFSQNQRMEDFNNLANRWNPQI >ONI01515 pep chromosome:Prunus_persica_NCBIv2:G6:11635708:11637986:1 gene:PRUPE_6G143600 transcript:ONI01515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRGLIPFYANLLEACSLSKNLQTVKQLHAKTIRLCISRHDFIRTKLVFSYASCAQLNQANLLFSFCNRQSTFLFNTLIRAHSSQGLFSQSLSIFIRMLAAIKAFDRHTLPVVLKSCAGLLALRLGKQVHGAILVNGFALDLANLNALISMYAKCGELVAARKVFDGMLIRNEISWSAILAGYGMHGVFGEVFELFDRMVEAGERPDAVTFTTILTACSHGGFTEKGREYFGMMEQRFGVKPRLEHYTCMVDMLGRVGRVEEAEELVLGMTVEPDAALWGALLGACRIHGKVEVAERVEQMLYGRLLGVVSLRHLQ >ONI04951 pep chromosome:Prunus_persica_NCBIv2:G6:29823471:29829045:1 gene:PRUPE_6G349500 transcript:ONI04951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKTVDLRSDTVTKPTETMRAAMASAEVDDDVLGNDPTAFRLESEMAKITGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGHNSHIHIYENGGISTIGGVHPRTVRNNKDGTMDLDSIEAAIRDPRGELVYPTTRLICLENSHANCGGRCLTAEYTDRVGEIAKEHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGILCAAALVALHENVAKLETDHKRAKILAEGLNQIKGLRVDIDTVETNIIYIHVVEGSNISAEKLLMNLEEHGILMMRESSSSVRIVLHHQISASDVQYTLSCFQRALTGGHDENNGN >ONI04950 pep chromosome:Prunus_persica_NCBIv2:G6:29823471:29829045:1 gene:PRUPE_6G349500 transcript:ONI04950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKTVDLRSDTVTKPTETMRAAMASAEVDDDVLGNDPTAFRLESEMAKITGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGHNSHIHIYENGGISTIGGVHPRTVRNNKDGTMDLDSIEAAIRDPRGELVYPTTRLICLENSHANCGGRCLTAEYTDRVGEIAKEHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGILCAAALVALHENVAKLETDHKRAKILAEGLNQIKGLRVDIDTVETNIIYIHVVEGSNISAEKLLMNLEEHGILMMRESSSSVRIVLHHQISASDVQYTLSCFQRALTGGHDENNGN >ONI04944 pep chromosome:Prunus_persica_NCBIv2:G6:29823471:29829045:1 gene:PRUPE_6G349500 transcript:ONI04944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRVSSAKMVTKTVDLRSDTVTKPTETMRAAMASAEVDDDVLGNDPTAFRLESEMAKITGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGHNSHIHIYENGGISTIGGVHPRTVRNNKDGTMDLDSIEAAIRDPRGELVYPTTRLICLENSHANCGGRCLTAEYTDRVGEIAKEHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGILCAAALVALHENVAKLETDHKRAKILAEGLNQIKGLRVDIDTVETNIIYIHVVEGSNISAEKLLMNLEEHGILMMRESSSSVRIVLHHQISASDVQYTLSCFQRALTGGHDENNGN >ONI04949 pep chromosome:Prunus_persica_NCBIv2:G6:29823571:29829018:1 gene:PRUPE_6G349500 transcript:ONI04949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKTVDLRSDTVTKPTETMRAAMASAEVDDDVLGNDPTAFRLESEMAKITGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGHNSHIHIYENGGISTIGGVHPRTVRNNKDGTMDLDSIEAAIRDPRGELVYPTTRLICLENSHANCGGRCLTAEYTDRVGEIAKEHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGILCAAALVALHENVAKLETDHKRAKILAEGLNQIKGLRVDIDTVETNIIYIHVVEGSNISAEKLLMNLEEHGILMMRESSSSVRIVLHHQISASDVQYTLSCFQRALTGGHDENNGN >ONI04947 pep chromosome:Prunus_persica_NCBIv2:G6:29824981:29829018:1 gene:PRUPE_6G349500 transcript:ONI04947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKTVDLRSDTVTKPTETMRAAMASAEVDDDVLGNDPTAFRLESEMAKITGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGHNSHIHIYENGGISTIGGVHPRTVRNNKDGTMDLDSIEAAIRDPRGELVYPTTRLICLENSHANCGGRCLTAEYTDRVGEIAKEHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGILCAAALVALHENVAKLETDHKRAKILAEGLNQIKGLRVDIDTVETNIIYIHVVEGSNISAEKLLMNLEEHGILMMRESSSSVRIVLHHQISASDVQYTLSCFQRALTGGHDENNGN >ONI04946 pep chromosome:Prunus_persica_NCBIv2:G6:29824711:29829018:1 gene:PRUPE_6G349500 transcript:ONI04946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKTVDLRSDTVTKPTETMRAAMASAEVDDDVLGNDPTAFRLESEMAKITGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGHNSHIHIYENGGISTIGGVHPRTVRNNKDGTMDLDSIEAAIRDPRGELVYPTTRLICLENSHANCGGRCLTAEYTDRVGEIAKEHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGILCAAALVALHENVAKLETDHKRAKILAEGLNQIKGLRVDIDTVETNIIYIHVVEGSNISAEKLLMNLEEHGILMMRESSSSVRIVLHHQISASDVQYTLSCFQRALTGGHDENNGN >ONI04952 pep chromosome:Prunus_persica_NCBIv2:G6:29825019:29829023:1 gene:PRUPE_6G349500 transcript:ONI04952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKTVDLRSDTVTKPTETMRAAMASAEVDDDVLGNDPTAFRLESEMAKITGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGHNSHIHIYENGGISTIGGVHPRTVRNNKDGTMDLDSIEAAIRDPRGELVYPTTRLICLENSHANCGGRCLTAEYTDRVGEIAKEHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGILCAAALVALHENVAKLETDHKRAKILAEGLNQIKGLRVDIDTVETNIIYIHVVEGSNISAEKLLMNLEEHGILMMRESSSSVRIVLHHQISASDVQYTLSCFQRALTGGHDENNGN >ONI04948 pep chromosome:Prunus_persica_NCBIv2:G6:29824710:29829023:1 gene:PRUPE_6G349500 transcript:ONI04948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKTVDLRSDTVTKPTETMRAAMASAEVDDDVLGNDPTAFRLESEMAKITGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGHNSHIHIYENGGISTIGGVHPRTVRNNKDGTMDLDSIEAAIRDPRGELVYPTTRLICLENSHANCGGRCLTAEYTDRVGEIAKEHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGILCAAALVALHENVAKLETDHKRAKILAEGLNQIKGLRVDIDTVETNIIYIHVVEGSNISAEKLLMNLEEHGILMMRESSSSVRIVLHHQISASDVQYTLSCFQRALTGGHDENNGN >ONI04945 pep chromosome:Prunus_persica_NCBIv2:G6:29824860:29829018:1 gene:PRUPE_6G349500 transcript:ONI04945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAENNFFSSAKMVTKTVDLRSDTVTKPTETMRAAMASAEVDDDVLGNDPTAFRLESEMAKITGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGHNSHIHIYENGGISTIGGVHPRTVRNNKDGTMDLDSIEAAIRDPRGELVYPTTRLICLENSHANCGGRCLTAEYTDRVGEIAKEHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGILCAAALVALHENVAKLETDHKRAKILAEGLNQIKGLRVDIDTVETNIIYIHVVEGSNISAEKLLMNLEEHGILMMRESSSSVRIVLHHQISASDVQYTLSCFQRALTGGHDENNGN >ONI04953 pep chromosome:Prunus_persica_NCBIv2:G6:29825170:29829018:1 gene:PRUPE_6G349500 transcript:ONI04953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKTVDLRSDTVTKPTETMRAAMASAEVDDDVLGNDPTAFRLESEMAKITGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGHNSHIHIYENGGISTIGGVHPRTVRNNKDGTMDLDSIEAAIRDPRGELVYPTTRLICLENSHANCGGRCLTAEYTDRVGEIAKEHGLKLHIDGARIFNASVALGVPVDRLVQAADSVSVCLSKGIGAPVGSVIVGSKSFITKARRLRKTLGGGMRQVGILCAAALVALHENVAKLETDHKRAKILAEGLNQIKGLRVDIDTVETNIIYIHVVEGSNISAEKLLMNLEEHGILMMRESSSSVRIVLHHQISASDVQYTLSCFQRALTGGHDENNGN >ONI05029 pep chromosome:Prunus_persica_NCBIv2:G6:30018000:30018691:1 gene:PRUPE_6G352400 transcript:ONI05029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTVKEHTSKCIIKIKTRKKTTEIQSYISFAAQPGGANIPQFISFSSINFLANKPRIRRKGVGIRPNRN >ONI03962 pep chromosome:Prunus_persica_NCBIv2:G6:26975395:26978425:1 gene:PRUPE_6G293500 transcript:ONI03962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMATSFNVSSASSILSRPSQLSSLSFSSKPFFLPMRSSARTPLRLRCPRIMAFSSNDIKVGSNIEVDGAPWRVIEFLHVKPGKGAAFVRTKMRNYISGNTVEKTFRAGSTTTYEETRVNESSIGDKTKWLKEGMDCNLLLWNDRLIDVDIPITVKLTVVDVDPGLKGDTAQGGSKPATLDTGAIVNVPLFVNIGDEIMVDTRTGQYMNRA >ONI03961 pep chromosome:Prunus_persica_NCBIv2:G6:26975395:26978425:1 gene:PRUPE_6G293500 transcript:ONI03961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMATSFNVSSASSILSRPSQLSSLSFSSKPFFLPMRSSARTPLRLRCPRIMAFSSNDIKVGSNIEVDGAPWRVIEFLHVKPGKGAAFVRTKMRNYISGNTVEKTFRAGSTINEANIYKETKQFTYKDGPQFVFMDLTTYEETRVNESSIGDKTKWLKEGMDCNLLLWNDRLIDVDIPITVKLTVVDVDPGLKGDTAQGGSKPATLDTGAIVNVPLFVNIGDEIMVDTRTGQYMNRA >ONI00382 pep chromosome:Prunus_persica_NCBIv2:G6:5786478:5791071:1 gene:PRUPE_6G086100 transcript:ONI00382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVDTGKESVKEMAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGMELLDDALYNKHVLSTGCEGIDLLLGGGLREGQLTELVGPSSCGKTQVCLLAASNVATKHMGNVVYLDTGNSFSPQRIAQFVGHIAGRAFDEAGKRIFQRIMNGIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRMLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPALGQTWKSIPHVRLLLSGDHGNNVRSISVLRHPSMDFFTAGTDTSAISMKWALAELINHPNVLKKEGEEINRVVGNK >ONI00389 pep chromosome:Prunus_persica_NCBIv2:G6:5786501:5789591:1 gene:PRUPE_6G086100 transcript:ONI00389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVDTGKESVKEMAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGMELLDDALYNKHVLSTGCEGIDLLLGGGLREGQLTELVGPSSCGKTQVCLLAASNVATKHMGNVVYLDTGNSFSPQRIAQFVGHIAGRAFDEAGKRIFQRIMNGIVCHSVFDIFTMFNVLHRLVINFPSQKGGQVRMLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPALGQTWKSIPHVRLLLSGDHGNNVRSISVLRHPSMASSKAAKFSI >ONI00387 pep chromosome:Prunus_persica_NCBIv2:G6:5786622:5789239:1 gene:PRUPE_6G086100 transcript:ONI00387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVDTGKESVKEMAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGMELLDDALYNKHVLSTGCEGIDLLLGGGLREGQLTELVGPSSCGKTQVCLLAASNVATKHMGNVVYLDTGNSFSPQRIAQFVGHIAGRAFDEAGKRIFQRIMNGIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRMLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPALGQTWKSIPHVRLLLSGDHGNNVRSISVLRHPSMASSKAAKFSI >ONI00386 pep chromosome:Prunus_persica_NCBIv2:G6:5786622:5789239:1 gene:PRUPE_6G086100 transcript:ONI00386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVDTGKESVKEMAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGMELLDDALYNKHVLSTGCEGIDLLLGGGLREGQLTELVGPSSCGKTQVCLLAASNVATKHMGNVVYLDTGNSFSPQRIAQFVGHIAGRAFDEAGKRIFQRIMNGIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRMLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPALGQTWKSIPHVRLLLSGDHGNNVRSISVLRHPSMASSKAAKFSI >ONI00388 pep chromosome:Prunus_persica_NCBIv2:G6:5786502:5789513:1 gene:PRUPE_6G086100 transcript:ONI00388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVDTGKESVKEMAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGMELLDDALYNKHVLSTGCEGIDLLLGGGLREGQLTELVGPSSCGKTQVCLLAASNVATKHMGNVVYLDTGNSFSPQRIAQFVGHIAGRAFDEAGKRIFQRIMNGIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRMLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLASSKAAKFSI >ONI00383 pep chromosome:Prunus_persica_NCBIv2:G6:5786496:5791039:1 gene:PRUPE_6G086100 transcript:ONI00383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVDTGKESVKEMAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGMELLDDALYNKHVLSTGCEGIDLLLGGGLREGQLTELVGPSSCGKTQVCLLAASNVATKHMGNVVYLDTGNSFSPQRIAQFVGHIAGRAFDEAGKRIFQRIMNGIVCHSVFDIFTMFNVLHRLVINFPSQKGGQVRMLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPALGQTWKSIPHVRLLLSGDHGNNVRSISVLRHPSMDFFTAGTDTSAISMKWALAELINHPNVLKKEGEEINRVVGNK >ONI00385 pep chromosome:Prunus_persica_NCBIv2:G6:5786528:5791039:1 gene:PRUPE_6G086100 transcript:ONI00385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVDTGKESVKEMAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGMELLDDALYNKHVLSTGCEGIDLLLGGGLREGQLTELVGPSSCGKTQVCLLAASNVATKHMGNVVYLDTGNSFSPQRIAQFVGHIAGRAFDEAGKRIFQRIMNGIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRMLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLLGQR >ONI00390 pep chromosome:Prunus_persica_NCBIv2:G6:5786517:5789121:1 gene:PRUPE_6G086100 transcript:ONI00390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVDTGKESVKEMAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGMELLDDALYNKHVLSTGCEGIDLLLGGGLREGQLTELVGPSSCGKTQVCLLAASNVATKHMGNVVYLDTGNSFSPQRIAQFVGHIAGRAFDEAGKRIFQRIMNGIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRMLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPALGQTWKSIPHVRLLLSGDHGNNVRSISVLRHPSMVNLSTFIVIAVHKLFKPDLRIALLLLLVFLPPCK >ONI00384 pep chromosome:Prunus_persica_NCBIv2:G6:5786497:5791039:1 gene:PRUPE_6G086100 transcript:ONI00384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVDTGKESVKEMAPLKALEAEYPILDPNFQAFCASHGIFSVEDFLIHDLYELAAFAEQQPTSEKLKQGITQVLSIIDTQHQPWLNGMELLDDALYNKHVLSTGCEGIDLLLGGGLREGQLTELVGPSSCGKTQVCLLAASNVATKHMGNVVYLDTGNSFSPQRIAQFVGHIAGRAFDEAGKRIFQRIMNGIVCHSVFDIFTMFNVLHRLVINFPSQLQKGGQVRMLIVDSISSLITPILGNSGSQGRALMISAGYMLKKLAHEHNVAVLVTNHTVGGERGIPKPALGQTWKSIPHVRLLLSGDHGNNVRSISVLRHPSMLGQR >ONI03795 pep chromosome:Prunus_persica_NCBIv2:G6:26336926:26341133:1 gene:PRUPE_6G282600 transcript:ONI03795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEITQFLLAAQSADARIRTEAEANLRQFQEQNVPSFLLSLSVELANNEKPTESRTLAGIVLKNSLDAKDAVTKEHLARQWMAIDISIISQIKDLLLRTLGSPVSEARHTSAQVIAKIASIDIPRKQWTGLIGSLLNNMTQRDSPAGLKQSTLETLGYVCEEISHQDLGQDEVNNVLTAVVQGMNLAENSPEVRLAATRALYNALEFAQTNFENEMERNYIMKMICETALSKEVDIRQAAFECLASIASRYYEVLEPYMQALFELTSNAVKGDEEAVALQAIEFWSSICDEEIELQEFESGESGDSVPHSRFIEKALTSLVPMLLETLLKQEENLDQDDNIWNIAMAGGTCLELVARTVGDAILPLVMPFVEANIVKPDWHCREAATFAFGSIIEGPTIEQLSGLVHAGLDFLLRLMKDENNHVKDTTAWTLSRIFEFLHHPARGFSVISPDNLPRVVEVLLEGTKDAPNVAEKVCCAIYHLCQGYEEAGTSSSLFTPYVPAIIECLLSTASRPDGDDSRLRSTAYESVNAVVRCSNIVETSPIIVQLLPVIMNKLSQTLELQIVSSDDKEKQGDLQASFCGVLQVIIQKLSSVEETKRFILEAADQIMLLFLRVFACRSSTVHEEAMLAIGALAYATGSHFEKYLPELYKYLEMGLQNFEEYQVCAITVGVVGDICRALDDKALQYCDGIMNHLMKDLSSEALHRSVKPPIFSVFGDIALAIGEHFEKYTPYAVQMMQGAAELCARMDSSANDDELLEYGNQLKCSIFEAYSGILQGFKNSKPHVMLPYAQHILQFVELVLRETHRDDSVTNAAVAALGDVADVLGPNIKPLFGDLAFIDAFLQECLQSDDEQLRTTAAWTLERIRRIMES >ONI03794 pep chromosome:Prunus_persica_NCBIv2:G6:26336833:26341135:1 gene:PRUPE_6G282600 transcript:ONI03794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEITQFLLAAQSADARIRTEAEANLRQFQEQNVPSFLLSLSVELANNEKPTESRTLAGIVLKNSLDAKDAVTKEHLARQWMAIDISIISQIKDLLLRTLGSPVSEARHTSAQVIAKIASIDIPRKQWTGLIGSLLNNMTQRDSPAGLKQSTLETLGYVCEEISHQDLGQDEVNNVLTAVVQGMNLAENSPEVRLAATRALYNALEFAQTNFENEMERNYIMKMICETALSKEVDIRQAAFECLASIASRYYEVLEPYMQALFELTSNAVKGDEEAVALQAIEFWSSICDEEIELQEFESGESGDSVPHSRFIEKALTSLVPMLLETLLKQEENLDQDDNIWNIAMAGGTCLELVARTVGDAILPLVMPFVEANIVKPDWHCREAATFAFGSIIEGPTIEQLSGLVHAGLDFLLRLMKDENNHVKDTTAWTLSRIFEFLHHPARGFSVISPDNLPRVVEVLLEGTKDAPNVAEKVCCAIYHLCQGYEEAGTSSSLFTPYVPAIIECLLSTASRPDGDDSRLRSTAYESVNAVVRCSNIVETSPIIVQLLPVIMNKLSQTLELQIVSSDDKEKQGDLQASFCGVLQVIIQKLSSVEETKRFILEAADQIMLLFLRVFACRSSTVHEEAMLAIGALAYATGSHFEKYLPELYKYLEMGLQNFEEYQVCAITVGVVGDICRALDDKALQYCDGIMNHLMKDLSSEALHRSVKPPIFSVFGDIALAIGEHFEKYTPYAVQMMQGAAELCARMDSSANDDELLEYGNQLKCSIFEAYSGILQGFKNSKPHVMLPYAQHILQFVELVLRETHRDDSVTNAAVAALGDVADVLGPNIKPLFGDLAFIDAFLQECLQSDDEQLRTTAAWTLERIRRIMES >ONI03518 pep chromosome:Prunus_persica_NCBIv2:G6:25237207:25244161:-1 gene:PRUPE_6G262300 transcript:ONI03518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVDPTRAFVNRVKRIVVKVGTAVVTRGDGRLALGRLGALCEQLKDINAQGYEVILVTSGAVGLGRQRLRYRRLANSSFADLQNPQNDFDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVTDSVFRDEAFRKQLSETVKSLLKLRVVPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYDGPPTDPKSKLIHTYVKEIHHGEITFGDKSRVGRGGMTAKVNAAVCAAYGGIPVVITSGYATDNIKKVLRGDRIGTVFHQDAHLWTLVKEEGARDLAVSARESSRQLRALGSKDRRKILLDVADALVANEGQIIAENEADIAVAQENGYEKALISRLALKPGKIAALATSIRKLADMEEPIGRVLQKTELAEGLVLEKVSCPLGVLLVVFESRPDALVQIASLAIQSRNGLLLKGGKEAKRSNAILHKVITSAIPENVGGKLIGLVNTRDEIPDLLKLDDVIDLVIPRGSNKLVSQIKESTKIPVLGHADGICHVYIDKSANKDMAKRIVLDAKTDYPAACNAMETLLVHKDLVTDDGGFKQIISGLQDLGVTLYGGPKASTVLNIPETSSFHHEYSSLACAVEIVDDVTAAINHIHEHGSAHTDCIVTEDHEVAETFLSQVDSAAIFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGNGQVVDSDRGVKYTHKDLLTST >ONI03520 pep chromosome:Prunus_persica_NCBIv2:G6:25237453:25243700:-1 gene:PRUPE_6G262300 transcript:ONI03520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVDPTRAFVNRVKRIVVKVGTAVVTRGDGRLALGRLGALCEQLKDINAQGYEVILVTSGAVGLGRQRLRYRRLANSSFADLQNPQNDFDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVTDSVFRDEAFRKQLSETVKSLLKLRVVPIFNENDAVSTRKAPYEFCLQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYDGPPTDPKSKLIHTYVKEIHHGEITFGDKSRVGRGGMTAKVNAAVCAAYGGIPVVITSGYATDNIKKVLRGDRIGTVFHQDAHLWTLVKEEGARDLAVSARESSRQLRALGSKDRRKILLDVADALVANEGQIIAENEADIAVAQENGYEKALISRLALKPGKIAALATSIRKLADMEEPIGRVLQKTELAEGLVLEKVSCPLGVLLVVFESRPDALVQIASLAIQSRNGLLLKGGKEAKRSNAILHKVITSAIPENVGGKLIGLVNTRDEIPDLLKLDDVIDLVIPRGSNKLVSQIKESTKIPVLGHADGICHVYIDKSANKDMAKRIVLDAKTDYPAACNAMETLLVHKDLVTDDGGFKQIISGLQDLGVTLYGGPKASTVLNIPETSSFHHEYSSLACAVEIVDDVTAAINHIHEHGSAHTDCIVTEDHEVAETFLSQVDSAAIFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGNGQVVDSDRGVKYTHKDLLTST >ONI03522 pep chromosome:Prunus_persica_NCBIv2:G6:25237453:25243700:-1 gene:PRUPE_6G262300 transcript:ONI03522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVDPTRAFVNRVKRIVVKVGTAVVTRGDGRLALGRLGALCEQLKDINAQGYEVILVTSGAVGLGRQRLRYRRLANSSFADLQNPQNDFDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVTDSVFRDEAFRKQLSETVKSLLKLRVVPIFNENDAVSTRKAPYEFCLQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYDGPPTDPKSKLIHTYVKEIHHGEITFGDKSRVGRGGMTAKVNAAVCAAYGGIPVVITSGYATDNIKKVLRGDRIGTVFHQDAHLWTLVKEEGARDLAVSARESSRQLRALGSKDRRKILLDVADALVANEGQIIAENEADIAVAQENGYEKALISRLALKPGKIAALATSIRKLADMEEPIGRVLQKTELAEGLVLEKVSCPLGVLLVVFESRPDALVQIASLAIQSRNGLLLKGGKEAKRSNAILHKVITSAIPENVGGKLIGLVNTRDEIPDLLKLDDVIDLVIPRGSNKLVSQIKESTKIPVLGHADGICHVYIDKSANKDMAKRIVLDAKTDYPAACNAMETLLVHKDLVTDDGGFKQIISGLQDLGVTLYGGPKASTVLNIPETSSFHHEYSSLACAVEIVDDVTAAINHIHEHGSAHTDCIVTEDHEVAETFLSQVDSAAIFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGNGQVVDSDRGVKYTHKDLLTST >ONI03519 pep chromosome:Prunus_persica_NCBIv2:G6:25237208:25244145:-1 gene:PRUPE_6G262300 transcript:ONI03519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVDPTRAFVNRVKRIVVKVGTAVVTRGDGRLALGRLGALCEQLKDINAQGYEVILVTSGAVGLGRQRLRYRRLANSSFADLQNPQNDFDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVTDSVFRDEAFRKQLSETVKSLLKLRVVPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYDGPPTDPKSKLIHTYVKEIHHGEITFGDKSRVGRGGMTAKVNAAVCAAYGGIPVVITSGYATDNIKKVLRGDRIGTVFHQDAHLWTLVKEEGARDLAVSARESSRQLRALGSKDRRKILLDVADALVANEGQIIAENEADIAVAQENGYEKALISRLALKPGKIAALATSIRKLADMEEPIGRVLQKTELAEGLVLEKVSCPLGVLLVVFESRPDALVQIASLAIQSRNGLLLKGGKEAKRSNAILHKVITSAIPENVGGKLIGLVNTRDEIPDLLKLDDVIDLVIPRGSNKLVSQIKESTKIPVLGHADGICHVYIDKSANKDMAKRIVLDAKTDYPAACNAMETLLVHKDLVTDDGGFKQIISGLQDLGVTLYGGPKASTVLNIPETSSFHHEYSSLACAVEIVDDVTAAINHIHEHGSAHTDCIVTEDHEVAETFLSQVDSAAIFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGNGQVVDSDRGVKYTHKDLLTST >ONI03517 pep chromosome:Prunus_persica_NCBIv2:G6:25237207:25244145:-1 gene:PRUPE_6G262300 transcript:ONI03517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVDPTRAFVNRVKRIVVKVGTAVVTRGDGRLALGRLGALCEQLKDINAQGYEVILVTSGAVGLGRQRLRYRRLANSSFADLQNPQNDFDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVTDSVFRDEAFRKQLSETVKSLLKLRVVPIFNENDAVSTRKAPYEDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYDGPPTDPKSKLIHTYVKEIHHGEITFGDKSRVGRGGMTAKVNAAVCAAYGGIPVVITSGYATDNIKKVLRGDRIGTVFHQDAHLWTLVKEEGARDLAVSARESSRQLRALGSKDRRKILLDVADALVANEGQIIAENEADIAVAQENGYEKALISRLALKPGKIAALATSIRKLADMEEPIGRVLQKTELAEGLVLEKVSCPLGVLLVVFESRPDALVQIASLAIQSRNGLLLKGGKEAKRSNAILHKVITSAIPENVGGKLIGLVNTRDEIPDLLKLDDVIDLVIPRGSNKLVSQIKESTKIPVLGHADGICHVYIDKSANKDMAKRIVLDAKTDYPAACNAMETLLVHKDLVTDDGGFKQIISGLQDLGVTLYGGPKASTVLNIPETSSFHHEYSSLACAVEIVDDVTAAINHIHEHGSAHTDCIVTEDHEVAETFLSQVDSAAIFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGNGQVVDSDRGVKYTHKDLLTST >ONI03521 pep chromosome:Prunus_persica_NCBIv2:G6:25237453:25243700:-1 gene:PRUPE_6G262300 transcript:ONI03521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVDPTRAFVNRVKRIVVKVGTAVVTRGDGRLALGRLGALCEQLKDINAQGYEVILVTSGAVGLGRQRLRYRRLANSSFADLQNPQNDFDGKACAAVGQSSLMALYDTMFSQLDVTSSQLLVTDSVFRDEAFRKQLSETVKSLLKLRVVPIFNENDAVSTRKAPYEFCLQDSSGIFWDNDSLAGLLALELKADLLVLLSDVEGLYDGPPTDPKSKLIHTYVKEIHHGEITFGDKSRVGRGGMTAKVNAAVCAAYGGIPVVITSGYATDNIKKVLRGDRIGTVFHQDAHLWTLVKEEGARDLAVSARESSRQLRALGSKDRRKILLDVADALVANEGQIIAENEADIAVAQENGYEKALISRLALKPGKIAALATSIRKLADMEEPIGRVLQKTELAEGLVLEKVSCPLGVLLVVFESRPDALVQIASLAIQSRNGLLLKGGKEAKRSNAILHKVITSAIPENVGGKLIGLVNTRDEIPDLLKLDDVIDLVIPRGSNKLVSQIKESTKIPVLGHADGICHVYIDKSANKDMAKRIVLDAKTDYPAACNAMETLLVHKDLVTDDGGFKQIISGLQDLGVTLYGGPKASTVLNIPETSSFHHEYSSLACAVEIVDDVTAAINHIHEHGSAHTDCIVTEDHEVAETFLSQVDSAAIFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGNGQVVDSDRGVKYTHKDLLTST >ONI01873 pep chromosome:Prunus_persica_NCBIv2:G6:15743504:15748820:1 gene:PRUPE_6G164000 transcript:ONI01873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHGNSHSEAAKMEQIITEFYAKSLHIILESRTPYMSSRNYSGEQALSSPSSSSSSSSSVRPRDKWFNLALRECPAALENLDLWRQSHLEPLVVDVILVQRPLDCEPVKCSPKRDLVRNLSLKERYPYCCNYEQEEFGCEAKSEKIIERWVVQYDSRKIRDTNSGSRRLSSNTLHSLYKKSMLLLRSLYVTVRLLPAYKVYRDLNSSGQIRPFALTHRVASFAEPFTCREEAAMQRFGFTPVDTACGRLCLSVLYCSSLSDVSSESSTPMSPQLIPDYVGSPLTDPLKRFPSLPVTGSVAHAFPPSSSFSRRHSWSFDHYRASPPSVSFSPSPTYSEPHALISNQSSRFPPTIMPPHPPETSLVYKKDTSFDEYSPAFSPSPSSASPSLPIFIPGSHHSKPLLQSESAPFSERTAKLANSPAFCNKLNLPLRGIGSGSSKTDKTGGFMEAGTAVEKFSSLGKDDARQYSEVKISSSSSSRSFPDDLDDSDFPCPFDVDDEDVTDPGSRPESFGKNVPLCEPHVPGGLFPIKKSQDAAVGALVRMLRKAPPLRQDNSNSVKFCPEIWGNSSQEPNQPFKGQASVQRDDSSSVISSGLITSKTTTVALEELQSYREMKNLLLGQGNFLLNFGSPSMDSQPLN >ONI01870 pep chromosome:Prunus_persica_NCBIv2:G6:15743495:15748820:1 gene:PRUPE_6G164000 transcript:ONI01870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHGNSHSEAAKMEQIITEFYAKSLHIILESRTPYMSSRNYSGEQALSSPSSSSSSSSSVRPRDKWFNLALRECPAALENLDLWRQSHLEPLVVDVILVQRPLDCEPVKCSPKRDLVRNLSLKERYPYCCNYEQEEFGCEAKSEKIIERWVVQYDSRKIRDTNSGSRRLSSNTLHSLYKKSMLLLRSLYVTVRLLPAYKVYRDLNSSGQIRPFALTHRVASFAEPFTCREEAAMQRFGFTPVDTACGRLCLSVLYCSSLSDVSSESSTPMSPQLIPDYVGSPLTDPLKRFPSLPVTGSVAHAFPPSSSFSRRHSWSFDHYRASPPSVSFSPSPTYSEPHALISNQSSRFPPTIMPPHPPETSLVYKKDTSFDEYSPAFSPSPSSASPSLPIFIPGSHHSKPLLQSESAPFSERTAKLANSPAFCNKLNLPLRGIGSGSSKTDKTGGFMEAGTAVEKFSSLGKDDARQYSEVKISSSSSSRSFPDDLDDSDFPCPFDVDDEDVTDPGSRPESFGKNVPLCEPHVPGGLFPIKKSQDAAVGALVRMLRKAPPLRQDNSNSVKFCPEIWGNSSQEPNQPFKGQASVQRDDSSSVISSGLITSKTTTVALEELQSYREMKNLLLGQGNFLLNFGSPSMDSQPLN >ONI01872 pep chromosome:Prunus_persica_NCBIv2:G6:15743504:15748820:1 gene:PRUPE_6G164000 transcript:ONI01872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHGNSHSEAAKMEQIITEFYAKSLHIILESRTPYMSSRNYSGEQALSSPSSSSSSSSSVRPRDKWFNLALRECPAALENLDLWRQSHLEPLVVDVILVQRPLDCEPVKCSPKRDLVRNLSLKERYPYCCNYEQEEFGCEAKSEKIIERWVVQYDSRKIRDTNSGSRRLSSNTLHSLYKKSMLLLRSLYVTVRLLPAYKVYRDLNSSGQIRPFALTHRVASFAEPFTCREEAAMQRFGFTPVDTACGRLCLSVLYCSSLSDVSSESSTPMSPQLIPDYVGSPLTDPLKRFPSLPVTGSVAHAFPPSSSFSRRHSWSFDHYRASPPSVSFSPSPTYSEPHALISNQSSRFPPTIMPPHPPETSLVYKKDTSFDEYSPAFSPSPSSASPSLPIFIPGSHHSKPLLQSESAPFSERTAKLANSPAFCNKLNLPLRGIGSGSSKTDKTGGFMEAGTAVEKFSSLGKDDARQYSEVKISSSSSSRSFPDDLDDSDFPCPFDVDDEDVTDPGSRPESFGKNVPLCEPHVPGGLFPIKKSQDAAVGALVRMLRKAPPLRQDNSNSVKFCPEIWGNSSQEPNQPFKGQASVQRDDSSSVISSGLITSKTTTVALEELQSYREMKNLLLGQGNFLLNFGSPSMDSQPLN >ONI01875 pep chromosome:Prunus_persica_NCBIv2:G6:15743504:15748270:1 gene:PRUPE_6G164000 transcript:ONI01875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHGNSHSEAAKMEQIITEFYAKSLHIILESRTPYMSSRNYSGEQALSSPSSSSSSSSSVRPRDKWFNLALRECPAALENLDLWRQSHLEPLVVDVILVQRPLDCEPVKCSPKRDLVRNLSLKERYPYCCNYEQEEFGCEAKSEKIIERWVVQYDSRKIRDTNSGSRRLSSNTLHSLYKKSMLLLRSLYVTVRLLPAYKVYRDLNSSGQIRPFALTHRVASFAEPFTCREEAAMQRFGFTPVDTACGRLCLSVLYCSSLSDVSSESSTPMSPQLIPDYVGSPLTDPLKRFPSLPVTGSVAHAFPPSSSFSRRHSWSFDHYRASPPSVSFSPSPTYSEPHALISNQSSRFPPTIMPPHPPETSLVYKKDTSFDEYSPAFSPSPSSASPSLPIFIPGSHHSKPLLQSESAPFSERTAKLANSPAFCNKLNLPLRGIGSGSSKTDKTGGFMEAGTAVEKFSSLGKDDARQYSEVKISSSSSSRSFPDDLDDSDFPCPFDVDDEDVTDPGSRPESFGKNVPLCEPHVPGGLFPIKKSQDAAVGALVRMLRKAPPLRQDNSNSVKFCPEIWGNSSQEPNQPFKGQASVQRDDSSSVISSGLITSKTTTVALEELQSYREMKNLLLGQGSKSHM >ONI01871 pep chromosome:Prunus_persica_NCBIv2:G6:15743466:15748820:1 gene:PRUPE_6G164000 transcript:ONI01871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHGNSHSEAAKMEQIITEFYAKSLHIILESRTPYMSSRNYSGEQALSSPSSSSSSSSSVRPRDKWFNLALRECPAALENLDLWRQSHLEPLVVDVILVQRPLDCEPVKCSPKRDLVRNLSLKERYPYCCNYEQEEFGCEAKSEKIIERWVVQYDSRKIRDTNSGSRRLSSNTLHSLYKKSMLLLRSLYVTVRLLPAYKVYRDLNSSGQIRPFALTHRVASFAEPFTCREEAAMQRFGFTPVDTACGRLCLSVLYCSSLSDVSSESSTPMSPQLIPDYVGSPLTDPLKRFPSLPVTGSVAHAFPPSSSFSRRHSWSFDHYRASPPSVSFSPSPTYSEPHALISNQSSRFPPTIMPPHPPETSLVYKKDTSFDEYSPAFSPSPSSASPSLPIFIPGSHHSKPLLQSESAPFSERTAKLANSPAFCNKLNLPLRGIGSGSSKTDKTGGFMEAGTAVEKFSSLGKDDARQYSEVKISSSSSSRSFPDDLDDSDFPCPFDVDDEDVTDPGSRPESFGKNVPLCEPHVPGGLFPIKKSQDAAVGALVRMLRKAPPLRQDNSNSVKFCPEIWGNSSQEPNQPFKGQASVQRDDSSSVISSGLITSKTTTVALEELQSYREMKNLLLGQGNFLLNFGSPSMDSQPLN >ONI01876 pep chromosome:Prunus_persica_NCBIv2:G6:15743504:15748272:1 gene:PRUPE_6G164000 transcript:ONI01876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHGNSHSEAAKMEQIITEFYAKSLHIILESRTPYMSSRNYSGEQALSSPSSSSSSSSSVRPRDKWFNLALRECPAALENLDLWRQSHLEPLVVDVILVQRPLDCEPVKCSPKRDLVRNLSLKERYPYCCNYEQEEFGCEAKSEKIIERWVVQYDSRKIRDTNSGSRRLSSNTLHSLYKKSMLLLRSLYVTVRLLPAYKVYRDLNSSGQIRPFALTHRVASFAEPFTCREEAAMQRFGFTPVDTACGRLCLSVLYCSSLSDVSSESSTPMSPQLIPDYVGSPLTDPLKRFPSLPVTGSVAHAFPPSSSFSRRHSWSFDHYRASPPSVSFSPSPTYSEPHALISNQSSRFPPTIMPPHPPETSLVYKKDTSFDEYSPAFSPSPSSASPSLPIFIPGSHHSKPLLQSESAPFSERTAKLANSPAFCNKLNLPLRGIGSGSSKTDKTGGFMEAGTAVEKFSSLGKDDARQYSEVKISSSSSSRSFPDDLDDSDFPCPFDVDDEDVTDPGSRPESFGKNVPLCEPHVPGGLFPIKKSQDAAVGALVRMLRKAPPLRQDNSNSVKFCPEIWGNSSQEPNQPFKGQASVQRDDSSSVISSGLITSKTTTVALEELQSYREMKNLLLGQGSKSHM >ONI01874 pep chromosome:Prunus_persica_NCBIv2:G6:15743451:15748270:1 gene:PRUPE_6G164000 transcript:ONI01874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHGNSHSEAAKMEQIITEFYAKSLHIILESRTPYMSSRNYSGEQALSSPSSSSSSSSSVRPRDKWFNLALRECPAALENLDLWRQSHLEPLVVDVILVQRPLDCEPVKCSPKRDLVRNLSLKERYPYCCNYEQEEFGCEAKSEKIIERWVVQYDSRKIRDTNSGSRRLSSNTLHSLYKKSMLLLRSLYVTVRLLPAYKVYRDLNSSGQIRPFALTHRVASFAEPFTCREEAAMQRFGFTPVDTACGRLCLSVLYCSSLSDVSSESSTPMSPQLIPDYVGSPLTDPLKRFPSLPVTGSVAHAFPPSSSFSRRHSWSFDHYRASPPSVSFSPSPTYSEPHALISNQSSRFPPTIMPPHPPETSLVYKKDTSFDEYSPAFSPSPSSASPSLPIFIPGSHHSKPLLQSESAPFSERTAKLANSPAFCNKLNLPLRGIGSGSSKTDKTGGFMEAGTAVEKFSSLGKDDARQYSEVKISSSSSSRSFPDDLDDSDFPCPFDVDDEDVTDPGSRPESFGKNVPLCEPHVPGGLFPIKKSQDAAVGALVRMLRKAPPLRQDNSNSVKFCPEIWGNSSQEPNQPFKGQASVQRDDSSSVISSGLITSKTTTVALEELQSYREMKNLLLGQGSKSHM >ONI03625 pep chromosome:Prunus_persica_NCBIv2:G6:25643841:25644119:1 gene:PRUPE_6G270000 transcript:ONI03625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKVHTNKGAWTKEEDQRLIDYIRVHGKGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGKAPLKL >ONH99628 pep chromosome:Prunus_persica_NCBIv2:G6:3005500:3006483:-1 gene:PRUPE_6G040200 transcript:ONH99628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGIAAASSRFSKLPRPQSSDVKAVAMWGVAAGTGALWFIQPFDWIKKTFLEKPVTNEGQ >ONI02993 pep chromosome:Prunus_persica_NCBIv2:G6:23454606:23461595:-1 gene:PRUPE_6G232000 transcript:ONI02993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSAVSSSLLILHKPFARVIRFPIPKLPLSPNPRPLSSLSPAASALTPNAVGAGGRAGALSPAPITEDLQKIDVNPPKGTRDFPPEDMRLRNWLFYHFREVSRLFGFEEVDFPVLESEALYIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPVKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVHEVSAEAELISSIVTFFKRLGITASDVGFKVSSRKVLQEVLRHYSIPEALFGKVCIIIDKIEKIPVDDIKNELKSAGVSEEAIEQLLQVLSIKSLTKLEEILGDAGEAVADLKQLFSLAEKFGYSEWIQFDASIVRGLAYYTGF >ONI02988 pep chromosome:Prunus_persica_NCBIv2:G6:23454467:23461595:-1 gene:PRUPE_6G232000 transcript:ONI02988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSAVSSSLLILHKPFARVIRFPIPKLPLSPNPRPLSSLSPAASALTPNAVGAGGRAGALSPAPITEDLQKIDVNPPKGTRDFPPEDMRLRNWLFYHFREVSRLFGFEEVDFPVLESEALYIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPVKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVHEVSAEAELISSIVTFFKRLGITASDVGFKVSSRKVLQEVLRHYSIPEALFGKVCIIIDKIEKIPVDDIKNELKSAGVSEEAIEQLLQVLSIKSLTKLEEILGDAGEAVADLKQLFSLAEKFGYSEWIQFDASIVRGLAYYTGIVFEGFDRGGKLRAICGGGRYDRLLSTFGGDDIPACGFGFGDAVIVELLKEKGLLPELSLQVENIVCALDPGLQGAAATVATILRGKGQSVDLVLENKPLKWVFKRAARINANRLILVGDSEWQRASQSQHIH >ONI02989 pep chromosome:Prunus_persica_NCBIv2:G6:23456349:23461095:-1 gene:PRUPE_6G232000 transcript:ONI02989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSAVSSSLLILHKPFARVIRFPIPKLPLSPNPRPLSSLSPAASALTPNAVGAGGRAGALSPAPITEDLQKIDVNPPKGTRDFPPEDMRLRNWLFYHFREVSRLFGFEEVDFPVLESEALYIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPVKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVHEVSAEAELISSIVTFFKRLGITASDVGFKVSSRKVLQEVLRHYSIPEALFGKVCIIIDKIEKIPVDDIKNELKSAGVSEEAIEQLLQVLSIKSLTKLEEILGDAGEAVADLKQLFSLAEKFGYSEWIQFDASIVRGLAYYTGIVFEGFDRGGKLRAICGGGRYDRLLSTFGGDDIPACGFGFGDAVIVELLKEKGLLPELSLQVENIVCALDPGLQGAAATVATILRGKGQSVDLVLENKPLKWVFKRAARINANRLILVGDSEWQRGMVGVKILSSGEQHEIKLDELE >ONI02991 pep chromosome:Prunus_persica_NCBIv2:G6:23456349:23461095:-1 gene:PRUPE_6G232000 transcript:ONI02991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSAVSSSLLILHKPFARVIRFPIPKLPLSPNPRPLSSLSPAASALTPNAVGAGGRAGALSPAPITEDLQKIDVNPPKGTRDFPPEDMRLRNWLFYHFREVSRLFGFEEVDFPVLESEALYIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPVKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVHEVSAEAELISSIVTFFKRLGITASDVGFKVSSRKVLQEVLRHYSIPEALFGKVCIIIDKIEKIPVDDIKNELKSAGVSEEAIEQLLQVLSIKSLTKLEEILGDAGEAVADLKQLFSLAEKFGYSEWIQFDASIVRGLAYYTGIVFEGFDRGGKLRAICGGGRYDRLLSTFGGDDIPACGFGFGDAVIVELLKEKGLLPELSLQVENIVCALDPGLQGAAATVATILRGKGQSVDLVLENKPLKWVFKRAARINANRLILVGDSEWQRGMVGVKILSSGEQHEIKLDELE >ONI02992 pep chromosome:Prunus_persica_NCBIv2:G6:23455516:23461595:-1 gene:PRUPE_6G232000 transcript:ONI02992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSAVSSSLLILHKPFARVIRFPIPKLPLSPNPRPLSSLSPAASALTPNAVGAGGRAGALSPAPITEDLQKIDVNPPKGTRDFPPEDMRLRNWLFYHFREVSRLFGFEEVDFPVLESEALYIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPVKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVHEVSAEAELISSIVTFFKRLGITASDVGFKVSSRKVLQEVLRHYSIPEALFGKVCIIIDKIEKIPVDDIKNELKSAGVSEEAIEQLLQVLSIKSLTKLEEILGDAGEAVADLKQLFSLAEKFGYSEWIQFDASIVRGLAYYTGIVFEGFDRGGKLRAICGGGRYDRLLSTFGGDDIPACGFGFGDAVIVELLKEKGLLPELSLQVENIVCALDPGLQGAAATVATILRGKGQSVDLVLENKPLKWVFKRAARINANRLILVGDSEWQRGMVGVKILSSGEQHEIKLDELE >ONI02987 pep chromosome:Prunus_persica_NCBIv2:G6:23454404:23461595:-1 gene:PRUPE_6G232000 transcript:ONI02987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSAVSSSLLILHKPFARVIRFPIPKLPLSPNPRPLSSLSPAASALTPNAVGAGGRAGALSPAPITEDLQKIDVNPPKGTRDFPPEDMRLRNWLFYHFREVSRLFGFEEVDFPVLESEALYIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPVKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVHEVSAEAELISSIVTFFKRLGITASDVGFKVSSRKVLQEVLRHYSIPEALFGKVCIIIDKIEKIPVDDIKNELKSAGVSEEAIEQLLQVLSIKSLTKLEEILGDAGEAVADLKQLFSLAEKFGYSEWIQFDASIVRGLAYYTGIVFEGFDRGGKLRAICGGGRYDRLLSTFGGDDIPACGFGFGDAVIVELLKEKGLLPELSLQVENIVCALDPGLQGAAATVATILRGKGQSVDLVLENKPLKWVFKRAARINANRLILVGDSEWQRGMLLSHNTSISTIRL >ONI02990 pep chromosome:Prunus_persica_NCBIv2:G6:23454591:23461595:-1 gene:PRUPE_6G232000 transcript:ONI02990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSAVSSSLLILHKPFARVIRFPIPKLPLSPNPRPLSSLSPAASALTPNAVGAGGRAGALSPAPITEDLQKIDVNPPKGTRDFPPEDMRLRNWLFYHFREVSRLFGFEEVDFPVLESEALYIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPVKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVHEVSAEAELISSIVTFFKRLGITASDVGFKVSSRKVLQEVLRHYSIPEALFGKVCIIIDKIEKIPVDDIKNELKSAGVSEEAIEQLLQVLSIKSLTKLEEILGDAGEAVADLKQLFSLAEKFGYSEWIQFDASIVRGLAYYTGIVFEGFDRGGKLRAICGGGRYDRLLSTFGGDDIPACGFGFGDAVIVELLKEKGLLPELSLQVENIVCALDPGLQGAAATVATILRGKGQSVDLVLENKPLKWVFKRAARINANRLILVGDSEWQRGMVGVKILSSGEQHEIKLDELE >ONI01128 pep chromosome:Prunus_persica_NCBIv2:G6:9167439:9175505:1 gene:PRUPE_6G123000 transcript:ONI01128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENGKTIATDVEEPQNGKHVATDAVEPQSGNVSSAVAESEEEPLVGPGPAPRSRPKRPLQFEHAYLDALPSAQMYEKSYMHRDVVTHVAVSSAEFVVTGSVDGHLKFWKKKAIGIEFAKHFRSHLGPIEGLAVSVDGLLCCTISNDHSVKIYDVVNYDMMVMIRTRFVPGAVDWVYKQGDVKARLAISDRNSSFVHIFDARAGTNEPIISREIHLGPVKVMRYNPVFDTVISADQQGIIEYWSPATLEFPEDGVKFRVKSDTSLFEFLKCKTTVSSIEVSPDGKQFSITSPDRRIRVFWFSTGKLRRVYDESLEVAQDLQRSDVPLYQLEAIDFGRRMAVEKEIEKTESAPQPNAVFDESSNFLIYATLLGIKVVNLHTNKVARILGKVENNDRFLRIALYQGDRSSKKVRKIPAAAANVNESKEPLTDPTLICCAFKKHRIYLFSQREPEEPEDATKGRDIFNEKPPADELLAASDIGKSVTTSLPDNVILHTTMGDIHMKLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGENTNGSQFFITTVATPWLDNKHTVFGRVIKGMDVVQAIEKVKTDRGDRPQVDVKILNVTVPKS >ONI01127 pep chromosome:Prunus_persica_NCBIv2:G6:9167439:9175505:1 gene:PRUPE_6G123000 transcript:ONI01127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETENGKTIATDVEEPQNGKHVATDAVEPQSGNVSSAVAESEEEPLVGPGPAPRSRPKRPLQFEHAYLDALPSAQMYEKSYMHRDVVTHVAVSSAEFVVTGSVDGHLKFWKKKAIGIEFAKHFRSHLGPIEGLAVSVDGLLCCTISNDHSVKIYDVVNYDMMVMIRTRFVPGAVDWVYKQGDVKARLAISDRNSSFVHIFDARAGTNEPIISREVCCSIGRGLFFPAKFYCLLEKYICRLNKLNLQFYFLYSIPQIHLGPVKVMRYNPVFDTVISADQQGIIEYWSPATLEFPEDGVKFRVKSDTSLFEFLKCKTTVSSIEVSPDGKQFSITSPDRRIRVFWFSTGKLRRVYDESLEVAQDLQRSDVPLYQLEAIDFGRRMAVEKEIEKTESAPQPNAVFDESSNFLIYATLLGIKVVNLHTNKVARILGKVENNDRFLRIALYQGDRSSKKVRKIPAAAANVNESKEPLTDPTLICCAFKKHRIYLFSQREPEEPEDATKGRDIFNEKPPADELLAASDIGKSVTTSLPDNVILHTTMGDIHMKLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGENTNGSQFFITTVATPWLDNKHTVFGRVIKGMDVVQAIEKVKTDRGDRPQVDVKILNVTVPKS >ONH99266 pep chromosome:Prunus_persica_NCBIv2:G6:1774455:1776162:1 gene:PRUPE_6G022000 transcript:ONH99266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKMHDSSSSDDDEYRSSRNIAISLFRRYRNVVDRGGGANLKEFISAGVNAYALGCTDEGLRKELVDMKESGVEIEGMQSYGGTTSLKSKIASEEVEECILWLSIIFITILCTPQPTIVRWSSTPPVSDEERLKWKGFCALIANAYYVRGMAWLPVKTLQLEQMAVVGHAEEPSVVASRMRLVFSTLEVVSPQWPRV >ONH99264 pep chromosome:Prunus_persica_NCBIv2:G6:1773858:1776781:1 gene:PRUPE_6G022000 transcript:ONH99264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVYAVGAITCLDSNIQLHEALPCKTTHVNFLNKISICLSSSGAPCNSILSASCFSWRNRVQLNSPKKWLCRMHDSSSSDDDEYRSSRNIAISLFRRYRNVVDRGGGANLKEFISAGVNAYALGCTDEGLRKELVDMKESGVEIEGMQSYGGTTSLKSKIASEEVEECILWLSIIFITILCTPQPTIVRWSSTPPVSDEERLKWKGFCALIANAYYVRGMAWLPVKTLQLEQMAVVGHAEEPSVVASRMRLVFSTLEVVSPQWPRV >ONH99265 pep chromosome:Prunus_persica_NCBIv2:G6:1774493:1776052:1 gene:PRUPE_6G022000 transcript:ONH99265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVYAVGAITCLDSNIQLHEALPCKTTHVNFLNKISICLSSSGAPCNSILSASCFSWRNRVQLNSPKKWLCRMHDSSSSDDDEYRSSRNIAISLFRRYRNVVDRGGGANLKEFISAGVNAYALGCTDEGLRKELVDMKESGVEIEGMQSYGGTTSLKSKIASEEVEECILWLSIIFITILCTPQPTIVRWSSTPPVSDEERLKWKGFCALIANAYYVRGMAWLPVKTLQLEQMAVVGHAEEPSVVASRMRLVFSTLEVR >ONH99263 pep chromosome:Prunus_persica_NCBIv2:G6:1773846:1776781:1 gene:PRUPE_6G022000 transcript:ONH99263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVYAVGAITCLDSNIQLHEALPCKTTHVNFLNKISICLSSSGAPCNSILSASCFSWRNRVQLNSPKKWLCRMHDSSSSDDDEYRSSRNIAISLFRRYRNVVDRGGGANLKEFISAGVNAYALGCTDEGLRKELVDMKESGVEIEGMQSYGGTTSLKSKIASEEVEECILWLSIIFITILCTPQPTIVRWSSTPPVSDEERLKWKGFCALIANAYYVRGMAWLPVKTLQLEQMAVVGHAEEPSVVASRMRLVFSTLEVVSPQWPRV >ONI01254 pep chromosome:Prunus_persica_NCBIv2:G6:10122354:10124598:1 gene:PRUPE_6G130300 transcript:ONI01254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYTVLFKIILVLLSLSDSAVHVLSLSFGINYGQIANNLPSPSRVSVLLQTLNVSRVKLYDADPNVLQAFSNSQVDFIIGLGNENLQNMKDPLKAQAWIQQHVQPHLPQTKITCITVGNEILGGNDTQLMSYLLPAMQSVYRALVDLGLSKQVTVTTAHSLTILGNSYPPSSGSFKQDLAQYIQPILSFHAQVNSPFLINAYPYFAYKDNPGEVPLEYVLFQPNSGMVDSVTNLHYDNMLDAQIDAVYAAIKAMGHTDVEVRISETGWPSKGDPNEAGATPENAGLYNGNLMRKLEERKGTPAKPSVPIDIYVFALFNEDLKPGPASERNYGLYYPDGTPVYDIGFKGYLPELTFTADSNKNNVLSIFNFLIFLTVYLLLSD >ONI01255 pep chromosome:Prunus_persica_NCBIv2:G6:10122619:10124598:1 gene:PRUPE_6G130300 transcript:ONI01255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPLKAQAWIQQHVQPHLPQTKITCITVGNEILGGNDTQLMSYLLPAMQSVYRALVDLGLSKQVTVTTAHSLTILGNSYPPSSGSFKQDLAQYIQPILSFHAQVNSPFLINAYPYFAYKDNPGEVPLEYVLFQPNSGMVDSVTNLHYDNMLDAQIDAVYAAIKAMGHTDVEVRISETGWPSKGDPNEAGATPENAGLYNGNLMRKLEERKGTPAKPSVPIDIYVFALFNEDLKPGPASERNYGLYYPDGTPVYDIGFKGYLPELTFTADSNKNNVLSIFNFLIFLTVYLLLSD >ONI00203 pep chromosome:Prunus_persica_NCBIv2:G6:5088820:5090496:-1 gene:PRUPE_6G074500 transcript:ONI00203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKNLIDLLGNHNPGIAVNVTKDSGSVDSVSLPKEITESSSTKLRDEGHHINVVFNDQSGDFNLRTKDVFGQHSVSKKELEPDDHNDQARDLIENEAQSDKNLVEAVDPGKYIDQRIKSNSDSCLGKYIYVHDIPSKFYKDLLQKCGSLSNWTNMCDFASNSGLGPHLPNFERVYSNTGWFSTNQFLLEVIFHNRMKQYNCLTKDSSQASAIFVPYYAGLDVARYLWGSNMSMRDSGSLEIVKWLREKPEWNKMWGRDHFMVAGRITWDFRRWTDTDSEWGNKLMLLPESKNMTMLTIESSPWNSNDFAIPYPTYFHPSKDNEVFQWQNRMRRQRRRILFSFAGGPRPNLQSSIRNEIIDQCRAARRKCKLLECTSGPDKCHKPVFVMKMFQGSVFCLQPPGDSLTRRSIFDSILAGCIPVFFHPGSAYVQYLWHLRRDYTKYSVFISAFDIKNGRVSIESILQRIPRQKVVEMREGVIKLIPKVIYADPSSRLETLEDAFDIVVKGVLERVDTIRRDMREGKNTSFDYAEKVSWKYNLFGTVEEHEWDPFFERGKM >ONI00315 pep chromosome:Prunus_persica_NCBIv2:G6:5534797:5535448:-1 gene:PRUPE_6G081800 transcript:ONI00315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPFFHSLLRALTSRWPVFLYAATWTLFLTVTVAVASFSPEIAFVTAISPSSPFSKSCAGEGFVRIPLDYPREAMCFPTNMVRRSNLDFFVPTVFAALIVAGSAFVVRSLALWEGTERRREVDDGLMLFSRN >ONI04562 pep chromosome:Prunus_persica_NCBIv2:G6:28754055:28754933:-1 gene:PRUPE_6G328400 transcript:ONI04562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYIGPPTMHPSTTTDSDAREKLKPTVIILPPDSTREQWNKALETNKSGIVLTGAAARGQVGPIIGLVDIGENEDSYLFRVNLPGVLRDENFECSVDIDGEVFIKGVTTTGEKTVCKNSQVFKMQTQNLCPPGHFSISFQLPGPVECQKVTLSFETDGILEAIVQKKLP >ONI04560 pep chromosome:Prunus_persica_NCBIv2:G6:28751236:28758196:-1 gene:PRUPE_6G328400 transcript:ONI04560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGPTRFAGASKTNEPDHFDPQQPILDVKPLNSMPYIGPPTMHPSTTTDSDAREKLKPTVIILPPDSTREQWNKALETNKSGIVLTGAAARGQVGPIIGLVDIGENEDSYLFRVNLPGVLRDENFECSVDIDGEVFIKGVTTTGEKTVCKNSQVFKMQTQNLCPPGHFSISFQLPGPVECQKVTLSFETDGILEAIVQKKLP >ONI04565 pep chromosome:Prunus_persica_NCBIv2:G6:28754604:28758045:-1 gene:PRUPE_6G328400 transcript:ONI04565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGPTRFAGASKTNEPDHFDPQQPILDVKPLNSMPYIGPPTMHPSTTTDSDAREKLKPTVIILPPDSTREQWNKALETNKSGIVLTGAAARGQVGPIIGLVDIGENEDSYLFRVNLPGVLRDESEYDHLASFCLQRRLSLVR >ONI04564 pep chromosome:Prunus_persica_NCBIv2:G6:28754252:28758045:-1 gene:PRUPE_6G328400 transcript:ONI04564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGPTRFAGASKTNEPDHFDPQQPILDVKPLNSMPYIGPPTMHPSTTTDSDAREKLKPTVIILPPDSTREQWNKALETNKSGIVLTGAAARGQVGPIIGLVDIGENEDSYLFRVNLPGVLRDESVHTSLTKILNAALTLMEKYL >ONI04561 pep chromosome:Prunus_persica_NCBIv2:G6:28753628:28758045:-1 gene:PRUPE_6G328400 transcript:ONI04561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGPTRFAGASKTNEPDHFDPQQPILDVKPLNSMPYIGPPTMHPSTTTDSDAREKLKPTVIILPPDSTREQWNKALETNKSGIVLTGAAARGQVGPIIGLVDIGENEDSYLFRVNLPGVLRDENFECSVDIDGEVFIKGVTTTGEKTVCKNSQVFKMQTQNLCPPGHFSISFQLPGPVECQKVTLSFETDGILEAIVQKKLP >ONI04563 pep chromosome:Prunus_persica_NCBIv2:G6:28751236:28758196:-1 gene:PRUPE_6G328400 transcript:ONI04563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGPTRFAGASKTNEPDHFDPQQPILDVKPLNSMPYIGPPTMHPSTTTDSDAREKLKPTVIILPPDSTREQWNKALETNKSGIVLTGAAARGQILNAALTLMEKYL >ONI02449 pep chromosome:Prunus_persica_NCBIv2:G6:20565383:20569816:1 gene:PRUPE_6G199000 transcript:ONI02449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIQIKKIDNATARQVTFSKRRRGLFKKAQELSVLCDADIALIIFSSTGKLFEYASSSMKEILERHNLHAKNLSKIEQPSLELQLVENSNYSALSKEITAQSQQLRQIRGEEIQGLNLEELQQLEKSLEAGLGRVIEKKGEKIMKEISDLESNAMRLVEENERLRQQVLEKHNSQKPVRADSENIVMEEGQSSESVTTNLCNSNSAPQDYESSDTSLKLGLPYSG >ONI02447 pep chromosome:Prunus_persica_NCBIv2:G6:20565101:20570011:1 gene:PRUPE_6G199000 transcript:ONI02447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIQIKKIDNATARQVTFSKRRRGLFKKAQELSVLCDADIALIIFSSTGKLFEYASSSMKEILERHNLHAKNLSKIEQPSLELQLVENSNYSALSKEITAQSQQLRQIRGEEIQGLNLEELQQLEKSLEAGLGRVIEKKGEKIMKEISDLESNAMRLVEENERLRQQVLEKHNSQKPVRADSENIVMEEGQSSESVTTNLCNSNSAPQDYESSDTSLKLGLPYSG >ONI02450 pep chromosome:Prunus_persica_NCBIv2:G6:20565354:20570023:1 gene:PRUPE_6G199000 transcript:ONI02450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIQIKKIDNATARQVTFSKRRRGLFKKAQELSVLCDADIALIIFSSTGKLFEYASSSMKEILERHNLHAKNLSKIEQPSLELQLVENSNYSALSKEITAQSQQLRQIRGEEIQGLNLEELQQLEKSLEAGLGRVIEKKAMRLVEENERLRQQVLEKHNSQKPVRADSENIVMEEGQSSESVTTNLCNSNSAPQDYESSDTSLKLGLPYSG >ONI02448 pep chromosome:Prunus_persica_NCBIv2:G6:20565244:20570006:1 gene:PRUPE_6G199000 transcript:ONI02448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIQIKKIDNATARQVTFSKRRRGLFKKAQELSVLCDADIALIIFSSTGKLFEYASSSMKEILERHNLHAKNLSKIEQPSLELQLVENSNYSALSKEITAQSQQLRQIRGEEIQGLNLEELQQLEKSLEAGLGRVIEKKGEKIMKEISDLESNAMRLVEENERLRQQVLEKHNSQKPVRADSENIVMEEGQSSESVTTNLCNSNSAPQDYESSDTSLKLGLPYSG >ONI02451 pep chromosome:Prunus_persica_NCBIv2:G6:20565238:20570023:1 gene:PRUPE_6G199000 transcript:ONI02451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKIQIKKIDNATARQVTFSKRRRGLFKKAQELSVLCDADIALIIFSSTGKLFEYASSSMKEILERHNLHAKNLSKIEQPSLELQLVENSNYSALSKEITAQSQQLRQIRGEEIQGLNLEELQQLEKSLEAGLGRVIEKKAMRLVEENERLRQQVLEKHNSQKPVRADSENIVMEEGQSSESVTTNLCNSNSAPQDYESSDTSLKLGLPYSG >ONI00026 pep chromosome:Prunus_persica_NCBIv2:G6:4367135:4370250:-1 gene:PRUPE_6G063400 transcript:ONI00026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRFFTVGLITAWYSSNIGVLLLNKFLLSNYGFKYPIFLTLCHMLACSLLSYVAISWIKVVPMQSIKSRVQFLKISSLGFIFCLSVVGGNISLRYLAVSFNQAVGATTPFFTAVFAYLMTLKKEGWLTYVTLIPVVTGVVIASGGEPSFHVFGFIMCVGATAARALKSVLQGILLSSEGEKLNSMNLLMYMGPVAVAFLLPAALYMEEDVVGITIALARDDVSIVWYLVFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYSLTVTGVILYSEAKKRNR >ONI00027 pep chromosome:Prunus_persica_NCBIv2:G6:4368226:4370250:-1 gene:PRUPE_6G063400 transcript:ONI00027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRFFTVGLITAWYSSNIGVLLLNKFLLSNYGFKYPIFLTLCHMLACSLLSYVAISWIKVVPMQSIKSRVQFLKISSLGFIFCLSVVGGNISLRYLAVSFNQAVGATTPFFTAVFAYLMTLKKEGWLTYVTLIPVVTGVVIASGGEPSFHVFGFIMCVGATAARALKSVLQGILLSSEGEKLNSMNLLMYMGPVAVAFLLPAALYMEEDVVGITIALARDDVSIVWYLVFNSALAYFVNLTNFLVTKHTSALTLQVKILSLFAILNLFVTNALSKTKLKCGTNACLFAYLMLPSRISSQCSLRSEKNLCEVVHVT >ONI02529 pep chromosome:Prunus_persica_NCBIv2:G6:21222784:21228082:-1 gene:PRUPE_6G204300 transcript:ONI02529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTMKDKSRELESQGRIFSSRSTDAFVHALWSLLPSFCNYASDTAESFNDLEQALCSALQDEPEIRGIICLSLQILVQQNKKIVGEVNDLSDSEVGSARHRAVANYTPQVTADNLSVLKSSACKLLLVLSGVFLNTTKDDAGCLQSTIGEFASIADTEAVSALFRSTMLKLLMVIKRARKAQSYRDCNSKRAQLFDLTVSLLPGLNADEINVLFGVIKSALQDDEGLIQKKAYKVLSIILRDCDRFLSSNSSKLKELPESSNSSKLKELPESSNSSKLKELPESSKSSKLDELVDIMIEVQPCHSSAKRHRLDCLYLLVAHVLKSDTKQRRDDIIRFLTEIVLALKEANKKTRNRAYDILIQIGHAYGDEEKGGKRDDLLEFFYMVAGGLAGETPHMISAAMKALARLAYEFSDLVSTASNLLPSTFLLLQRKNKEIIKANLGLLKVLVAKSQTEGLQLHLKSLVEGLLKWQDATKTHFKAKVKLLLEMLVRKCGLDAVKAVVPQEHIKLLNNIRKIKERKEWKLGSNSEEARSQVSKATASRLSRWNHTKVFSDFDDEETENSDTDYMDAKTVAGRRGKASSQLKSKASSLRRTNKNLLDQLEDEPLDLLDRQRTRSALRSFENLKRKMEWDDGPEIDSDGRLIIRDEAESYKKKPSEPDSDARSESGSYLSANSKKTQKRRKTSESGWATTGKEYGSKKAGGDLKRKDKLEPYAYWPLDRKMMSRRPEHRATARKGISSVVKMTKRLEGKSVSSILSTKGLKFKNKSRVQKKGGSKRKSR >ONI02530 pep chromosome:Prunus_persica_NCBIv2:G6:21222785:21228082:-1 gene:PRUPE_6G204300 transcript:ONI02530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLMVIKRARKAQSYRDCNSKRAQLFDLTVSLLPGLNADEINVLFGVIKSALQDDEGLIQKKAYKVLSIILRDCDRFLSSNSSKLKELPESSNSSKLKELPESSNSSKLKELPESSKSSKLDELVDIMIEVQPCHSSAKRHRLDCLYLLVAHVLKSDTKQRRDDIIRFLTEIVLALKEANKKTRNRAYDILIQIGHAYGDEEKGGKRDDLLEFFYMVAGGLAGETPHMISAAMKALARLAYEFSDLVSTASNLLPSTFLLLQRKNKEIIKANLGLLKVLVAKSQTEGLQLHLKSLVEGLLKWQDATKTHFKAKVKLLLEMLVRKCGLDAVKAVVPQEHIKLLNNIRKIKERKEWKLGSNSEEARSQVSKATASRLSRWNHTKVFSDFDDEETENSDTDYMDAKTVAGRRGKASSQLKSKASSLRRTNKNLLDQLEDEPLDLLDRQRTRSALRSFENLKRKMEWDDGPEIDSDGRLIIRDEAESYKKKPSEPDSDARSESGSYLSANSKKTQKRRKTSESGWATTGKEYGSKKAGGDLKRKDKLEPYAYWPLDRKMMSRRPEHRATARKGISSVVKMTKRLEGKSVSSILSTKGLKFKNKSRVQKKGGSKRKSR >ONH99108 pep chromosome:Prunus_persica_NCBIv2:G6:876006:876236:1 gene:PRUPE_6G012200 transcript:ONH99108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYQSVYSEHTKIYPKLKKHLSQRRTMHSRNTCIQTDRTYCVFLFHFFGRVCLLLFKRQQVMSLILHVLVSFGVVN >ONI01681 pep chromosome:Prunus_persica_NCBIv2:G6:13329804:13332017:-1 gene:PRUPE_6G153200 transcript:ONI01681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAATSQSLWEKVVMTIHDHKVKRKIENIQSTIDHISKQKDSFGIASIASPKGGQGIISMNERLRWWRRPLTHTEEDDLVDLVEDTEALLTQLSRTEVPSGFYCTVQKKTLRRIIKDVNAQNIGDLEEVEEEMEVWESLEAAFPTSGMAGSKVMLTTRNREVALHADARSTPHEPRMLTEDESLELFRKKALLGMDHFPSDLKNLGREMVTKCGGLPLAAVVLGGLVSRKMKTREEWEHQKDSYPQQGEDKAEGVAENCLNELINRCMIQVGTLTSLGRVKTIGIHDLLRDFSLCVSREENFIGIILVVKLNHQFPHQPNLEELRYILTIRNMVSYTPFLNPYAPHLRSLHFFNCFQHPDFYFIKKDFKLLKVLDLKHAIGSSDTPSAIGILIQLRYLGVSQILKNCYIPPSIGNLKNLETLDLGSSYSPIPNVIWKMKRLRHLQTLKTTWAGRWIEDGGLANMISLRRLGIERLSLEKVNLVISILKRMCYLQSLWLEVMNKETFPTSMGLSHFQHLHKLCLKGKIEKLPHVCELQPNLVKLSLIGSELQKDSIVQLERLPYLKMLVLGNQSYKWRELEWAVEDNAMMKLEHLKIESCLKL >ONI04029 pep chromosome:Prunus_persica_NCBIv2:G6:27154501:27156204:1 gene:PRUPE_6G297300 transcript:ONI04029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFYPFAVFFAASRVNVEEFLSEEEEEPLPQEFVLIEKTEPDGTVEEILFSSGGDIDVYDLQALCDKVGWPRRSLSKLAAALKNSYMVATLHSVRKSPGSEGNDQKKLIGMARATSDHAFNATIWDALVDPGYQGQGLGKALVEKLIRALLQRDIGNISLFADSQVVEFYQNLGFEPDPEGIKGMFWYPKY >ONI04118 pep chromosome:Prunus_persica_NCBIv2:G6:27521957:27522825:-1 gene:PRUPE_6G303800 transcript:ONI04118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTPQAHCPMCRREWQFKG >ONI04116 pep chromosome:Prunus_persica_NCBIv2:G6:27521729:27524246:-1 gene:PRUPE_6G303800 transcript:ONI04116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTPQAHCPMCRREWQFKG >ONI04119 pep chromosome:Prunus_persica_NCBIv2:G6:27521957:27522825:-1 gene:PRUPE_6G303800 transcript:ONI04119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTPQAHCPMCRREWQFKG >ONI04117 pep chromosome:Prunus_persica_NCBIv2:G6:27519633:27524242:-1 gene:PRUPE_6G303800 transcript:ONI04117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTPQAHCPMCRREWQFKG >ONI05269 pep chromosome:Prunus_persica_NCBIv2:G6:30643868:30648068:1 gene:PRUPE_6G365100 transcript:ONI05269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLNSMMTFPSHNLPSKSHNLRSPKIFMASTLHSSPKETENLKKSYCPPRETHVQVTHSMPPEQIEIFTSLEGWAENNILVHLKPVEKCWQPQDFLPLPESDGFYEQVKELRERAKEIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAVWTRAWTAEENRHGDLLHKYLYLSGRVDMRQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFVSHGNTARQAKEHGDLKLAQICGTIASDEKRHETAYTKIVEKLFEIDPDGTVLALADMMKKKISMPAHLMYDGQDDNLFEHFSAVAQRLEVYTARDYADILEFLVGRWNIEKLTGLSGEGRRAQDFVCGLPPRIRRLEERAQGRAKQATTVPFSWIFGREIKV >ONI05270 pep chromosome:Prunus_persica_NCBIv2:G6:30644672:30648068:1 gene:PRUPE_6G365100 transcript:ONI05270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEQIEIFTSLEGWAENNILVHLKPVEKCWQPQDFLPLPESDGFYEQVKELRERAKEIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAVWTRAWTAEENRHGDLLHKYLYLSGRVDMRQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFVSHGNTARQAKEHGDLKLAQICGTIASDEKRHETAYTKIVEKLFEIDPDGTVLALADMMKKKISMPAHLMYDGQDDNLFEHFSAVAQRLEVYTARDYADILEFLVGRWNIEKLTGLSGEGRRAQDFVCGLPPRIRRLEERAQGRAKQATTVPFSWIFGREIKV >ONH99920 pep chromosome:Prunus_persica_NCBIv2:G6:4038700:4040262:1 gene:PRUPE_6G057800 transcript:ONH99920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEETKRPEAAGIEHVAAIFGVTGLVGKVLAKELISKSKWKVYGIARNPMIISNQQCSNFHFIQCDLLNPLETQQRLSLLQDVTHVFWVTWASQYQLDSIECCEQNKAMMSNVLNAIVPTAKALKHVSLQTGMKHYVSLQGPFDEAEARYYNENGPRVKAGCNFYYVLEDLLKERLDGTKKCWEETCIDGSDARLVAEQHIWAATNDDVNVQSTDGQSFNAINGPSFTWKEIWTALAEKFGLEVVPENAFSEDFWYSKAMADKKEVWKEIVAKERMMKTEMEDLANWAFLDVLFRCPFKLLGTRNKVDQLGFTVRYKTLDSILYWIDCMRDEKLIP >ONI02894 pep chromosome:Prunus_persica_NCBIv2:G6:23149852:23150986:1 gene:PRUPE_6G227200 transcript:ONI02894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKERRETPPATKQPREKENKDSYLSLSTNIEGSSLVKPKPIISKEEIDMAEGKGLTMPKVVPLEAMCRARSVMTKVLS >ONH99307 pep chromosome:Prunus_persica_NCBIv2:G6:1930431:1934176:-1 gene:PRUPE_6G024200 transcript:ONH99307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHRPVRALSRALSRALSNSSSSVQPSSTFPLKNVTKSNFEPALAELRRHVAAADFVAIDLEMTGITSAPWRDSLEFDRADVCYLKVKDSAEKFAVLQFGVCPFRWDSSKQYFIAHPHNFYIFPRQEILATNPAYEFLCQTTSIDFLAKYQFDFNICIHEGISYLSKGQEIEALRHLSLAYEDELLAKWSNLKEPVHMPVVKMADTLFTERMKNIFTEWRDGLLRNSNGELQLQGNSNDSQQQLRIIFFKMRPALSLSGFTSHQLRLIHLVIRRHFQDLAYVQINGEKTCQQRLVVRTDSKDDRELLMKEVKDEHCRQAEVKVQAAVGFRHVIDLLSSEQKLIVGHNCFLDIAHIYSKFVGPLPSTAEEFVSSVNKCFPYVVDTKMLLNANNVLQQRMKKSQTSLSSAFAMLCPQIALGKKSTDLAFQSCVKVEVQVDDLRSSNWNSGAKHEAGYDAFMTGCVFAQTCSHLGIDFQSFLPSEHLAHNEKLQKHINLLYLSWSNRDIIDLTSGKKNAVSLGYTNHKKRYPEILFENIALIWGFPSKLKARDIRECISKVFGPTSVTSIYHLDETAVFVQFNKEKFVSEFLILKETLERSDGPVSVLHPLAGLLEGGNTQAANYETYKDICSSPSSKILFADQADAVGTKWKNKLIESKAAMESLERESFGEKNEVNTAFVFC >ONH99308 pep chromosome:Prunus_persica_NCBIv2:G6:1930431:1934201:-1 gene:PRUPE_6G024200 transcript:ONH99308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVKMADTLFTERMKNIFTEWRDGLLRNSNGELQLQGNSNDSQQQLRIIFFKMRPALSLSGFTSHQLRLIHLVIRRHFQDLAYVQINGEKTCQQRLVVRTDSKDDRELLMKEVKDEHCRQAEVKVQAAVGFRHVIDLLSSEQKLIVGHNCFLDIAHIYSKFVGPLPSTAEEFVSSVNKCFPYVVDTKMLLNANNVLQQRMKKSQTSLSSAFAMLCPQIALGKKSTDLAFQSCVKVEVQVDDLRSSNWNSGAKHEAGYDAFMTGCVFAQTCSHLGIDFQSFLPSEHLAHNEKLQKHINLLYLSWSNRDIIDLTSGKKNAVSLGYTNHKKRYPEILFENIALIWGFPSKLKARDIRECISKVFGPTSVTSIYHLDETAVFVQFNKEKFVSEFLILKETLERSDGPVSVLHPLAGLLEGGNTQAANYETYKDICSSPSSKILFADQADAVGTKWKNKLIESKAAMESLERESFGEKNEVNTAFVFC >ONH99306 pep chromosome:Prunus_persica_NCBIv2:G6:1930312:1934274:-1 gene:PRUPE_6G024200 transcript:ONH99306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHRPVRALSRALSRALSNSSSSVQPSSTFPLKNVTKSNFEPALAELRRHVAAADFVAIDLEMTGITSAPWRDSLEFDRADVCYLKVKDSAEKFAVLQFGVCPFRWDSSKQYFIAHPHNFYIFPRQEILATNPAYEFLCQTTSIDFLAKYQFDFNICIHEGQEIEALRHLSLAYEDELLAKWSNLKEPVHMPVVKMADTLFTERMKNIFTEWRDGLLRNSNGELQLQGNSNDSQQQLRIIFFKMRPALSLSGFTSHQLRLIHLVIRRHFQDLAYVQINGEKTCQQRLVVRTDSKDDRELLMKEVKDEHCRQAEVKVQAAVGFRHVIDLLSSEQKLIVGHNCFLDIAHIYSKFVGPLPSTAEEFVSSVNKCFPYVVDTKMLLNANNVLQQRMKKSQTSLSSAFAMLCPQIALGKKSTDLAFQSCVKVEVQVDDLRSSNWNSGAKHEAGYDAFMTGCVFAQTCSHLGIDFQSFLPSEHLAHNEKLQKHINLLYLSWSNRDIIDLTSGKKNAVSLGYTNHKKRYPEILFENIALIWGFPSKLKARDIRECISKVFGPTSVTSIYHLDETAVFVQFNKEKFVSEFLILKETLERSDGPVSVLHPLAGLLEGGNTQAANYETYKDICSSPSSKILFADQADAVGTKWKNKLIESKAAMESLERESFGEKNEVNTAFVFC >ONH99309 pep chromosome:Prunus_persica_NCBIv2:G6:1930315:1934274:-1 gene:PRUPE_6G024200 transcript:ONH99309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHRPVRALSRALSRALSNSSSSVQPSSTFPLKNVTKSNFEPALAELRRHVAAADFVAIDLEMTGITSAPWRDSLEFDRADVCYLKVKDSAEKFAVLQFGVCPFRWDSSKQYFIAHPHNFYIFPRQEILATNPAYEFLCQTTSIDFLAKYQFDFNICIHEGISYLSKGQEIEALRHLSLAYEDELLAKWSNLKEPVHMPVVKMADTLFTERMKNIFTEWRDGLLRNSNGELQLQGNSNDSQQQLRIIFFKMRPALSLSGFTSHQLRLIHLVIRRHFQDLAYVQINGEKTCQQRLVVRTDSKDDRELLMKEVKDEHCRQAEVKVQAAVGFRHVIDLLSSEQKLIVGHNCFLDIAHIYSKFVGPLPSTAEEFVSSVNKCFPYVVDTKMLLNANNVLQQRMKKSQTSLSSAFAMLCPQIALGKKSTDLAFQSCVKVEVQVDDLRSSNWNSGAKHEAGYDAFMTGCVFAQTCSHLGIDFQSFLPSEHLAHNEKLQKHINLLYLSWSNRDIIDLTSGKKNAVSLGYTNHKKRKL >ONI04402 pep chromosome:Prunus_persica_NCBIv2:G6:28325632:28326631:-1 gene:PRUPE_6G319600 transcript:ONI04402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDDTVAGPRPDIGLGKLRKHKTFSFRSSSAKGSSDGGSVGSYGEDSTEEATRVTRSIMIVKPPGYGSGNSGSPPISPAGSTPPVSPFSGGSSMGRFRRRSASDAYEKASQVGGGGARSSPRSPFDV >ONI04401 pep chromosome:Prunus_persica_NCBIv2:G6:28325214:28326929:-1 gene:PRUPE_6G319600 transcript:ONI04401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDDTVAGPRPDIGLGKLRKHKTFSFRSSSAKGSSDGGSVGSYGEDSTEEATRVTRSIMIVKPPGYGSGNSGSPPISPAGSTPPVSPFSGSSMGRFRRRSASDAYEKASQVGGGGARSSPRSPFDV >ONI01189 pep chromosome:Prunus_persica_NCBIv2:G6:9767155:9769884:1 gene:PRUPE_6G126700 transcript:ONI01189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESILGYLQNKTILVTGATGFLGMVFVEKILRVQPDVKRLYLLLRASDIKSATDRMHDQIIGKELFRVLKDKWGTDFDSFIAEKVVAVPGDVTFDDLGVKEIKLREEMCNGIEIILNSAGATNFDERYDIALSVNTFGVQHVLSLAKKCLKLEMLLHVSTAFVCGERAGLILEDSSCMDEMEKEITKFDFKVQEMKLVEEKLNELKAQDATEQVITTTMKDIGIERAKSYGWPNTYVFTKAMGEIFLGESKDHLPIVIIRPSVITSSYKEPFPGWVQGFRTIDSVIAGYCKGKLTCLLVDPMSVLDMIPVDMVVHSMIVAMVANANKSSSIIYHVGSSLRNPINFLNIHSFIFRYFTKNPWIDKDGKPVKVGKLKFFKTMATFRMYMQIRFMLPLEGLKFVNKAFGGSFQDLYVNYNQKLKLVMRLVELYEPYMLFKGIFDDNNAEELRRITRERFVDAEAFNFDARCIDWEDYIMHTHIPGLQKYVMMK >ONI01190 pep chromosome:Prunus_persica_NCBIv2:G6:9767244:9769556:1 gene:PRUPE_6G126700 transcript:ONI01190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESILGYLQNKTILVTGATGFLGMVFVEKILRVQPDVKRLYLLLRASDIKSATDRMHDQIIGKELFRVLKDKWGTDFDSFIAEKVVAVPGDVTFDDLGVKEIKLREEMCNGIEIILNSAGATNFDERYDIALSVNTFGVQHVLSLAKKCLKLEMLLHVSTAFVCGERAGLILEDSSCMDEMEKEITKFDFKVQEMKLVEEKLNELKAQDATEQVITTTMKDIGIERAKSYGWPNTYVFTKAMGEIFLGESKDHLPIVIIRPSVITSSYKEPFPGWVQGFRTIDSVIAGYCKGKLTCLLVDPMSVLDMIPVDMVVHSMIVAMVANANKSSSIIYHVGSSLRNPINFLNIHSFIFRYFTKNPWIDKDGKPVKVGKLKFFKTMATFRMYMQIRFMLPLEGLKFVNKAFGGSFQDLYVNYNQKLKLVMRLVELYEPYMLFKGMYVYASSSLVIN >ONH99510 pep chromosome:Prunus_persica_NCBIv2:G6:2550775:2554106:-1 gene:PRUPE_6G033600 transcript:ONH99510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVVGKGDSPRQFSIHETKLEAKMVEAMKRRAVKGSVMKSFDSLILKFRKIDESLRKCKAIFEQFDEDSNGAIDQEEMKKCFYKLEVSFTDEEISDLFEACDINEDMGIKFNEFIVLICLVYLLKDDSNDVHTKLHMEMPDLEATFETLVDAFVFLDKNKDGYVSKSEMVQAINETTTGERSSGRIAMKRFEEMDWDKNGMVNFKEFLFAFTRWIGIDDLEDEDED >ONH99511 pep chromosome:Prunus_persica_NCBIv2:G6:2550741:2554143:-1 gene:PRUPE_6G033600 transcript:ONH99511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVVGKGDSPRQFSIHETKLEAKMVEAMKRRAVKGSVMKSFDSLILKFRKIDESLRKCKAIFEQFDEDSNGAIDQEEMKKCFYKLEVSFTDEEISDLFEACDINEDMGIKFNEFIVLICLVYLLKDDSNDVHTKLHMEMPDLEATFETLVDAFVFLDKNKDGYVSKSEMVQAINETTTGERSSGRIAMKRFEEMDWDKNGMVNFKEFLFAFTRWIGIDDLEDEDED >ONI01199 pep chromosome:Prunus_persica_NCBIv2:G6:9848686:9850829:1 gene:PRUPE_6G127500 transcript:ONI01199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSVENQDGPNTQSFKICTRLYLWPHLATPINPFSDFVLVPMKRSVRPLFSLLILIVFAATLSCRTAVRHSLSSIELEKKVLIQPPKPVFNATLLKYAAVDASEAQAKQEIEQLLEGNFASLGRYRTFASWRRFNHHDIRARTSVGLPVMLRSPQFYRYWLDFRRVLSDWSRNKRFHPDVMLDLVRLVRYPIDRHNGLVDSEQRRYSSCAVVGNSGILLKSNHGALIDSHEVVIRLNNARIQGFEGKVGSKTNISFVNSNILHLCARRDGCFCHPYGLNVPMIMYICQPLHFFDYTVCNISHKVPLLVTDPRFDVLCARIVKYYSLKRFVEEAGKSFDQWGAVHDGAMFHYSSGMQAIMLALGICDKVSVFGFGKSDSAKHHYHTNQKAELRLHDYQAEYDFYRDLVERPQVIPFISDKFKIPPVVLYQ >ONI04644 pep chromosome:Prunus_persica_NCBIv2:G6:28936483:28940347:1 gene:PRUPE_6G332300 transcript:ONI04644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFMADGEEQGPYCLWGAGKDPENLTVKAPDEVSKVKQKRRGVLLPCASAQENTIGKGGYSEVYKGCLKNGQLIAVKRLTKGTSDEKTAGFLSELGIIAHVDHPNTAKLIGCCVEGGMHLVFELSSLGSLGSLLHGLKANKLDWSKRYKIALGIADGLLYLHESCQRRIIHRDIKADNILLTEDFVPQICDFGLAKWLPKQWTHHNVSKSEGTFGYFAPEYFMHGIVDEKTDVYSFGVLLLELISGRPALDDSQKSVVIWAKPLLDNNEIKELVDPSIGDNYDQKEMDHVVLTASLCIEQSSILRPRMSQIVVLLRGDEYVSNCAKESKTRSHQRTYSEELLDAQEYNSTKYLGDLNRHKQVAFGS >ONI04645 pep chromosome:Prunus_persica_NCBIv2:G6:28936483:28940332:1 gene:PRUPE_6G332300 transcript:ONI04645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFMADGEEQGPYCLWGAGKDPENLTVKAPDEVSKVKQKRRDLRCLEIEKEKEDAQTPRGVLEVCIRSFESETSVSENGTTESEAPCPNSSSRSHWRKFFKSWSKRSIKRLASFPPLGVPKISSRRRIRSARENPGLANIYNFKSSLVTFTCSDLQRATNNFNNENTIGKGGYSEVYKGCLKNGQLIAVKRLTKGTSDEKTAGFLSELGIIAHVDHPNTAKLIGCCVEGGMHLVFELSSLGSLGSLLHGLKANKLDWSKRYKIALGIADGLLYLHESCQRRIIHRDIKADNILLTEDFVPQICDFGLAKWLPKQWTHHNVSKSEGTFGYFAPEYFMHGIVDEKTDVYSFGVLLLELISGRPALDDSQKSVVIWAKPLLDNNEIKELVDPSIGDNYDQKEMDHVVLTASLCIEQSSILRPRMSQIVVLLRGDEYVSNCAKESKTRSHQRTYSEELLDAQEYNSTKYLGDLNRHKQVAFGS >ONI04643 pep chromosome:Prunus_persica_NCBIv2:G6:28936483:28940332:1 gene:PRUPE_6G332300 transcript:ONI04643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFMADGEEQGPYCLWGAGKDPENLTVKAPDEVSKVKQKRRGVLLPCASAQDLRCLEIEKEKEDAQTPRGVLEVCIRSFESETSVSENGTTESEAPCPNSSSRSHWRKFFKSWSKRSIKRLASFPPLGVPKISSRRRIRSARENPGLANIYNFKSSLVTFTCSDLQRATNNFNNENTIGKGGYSEVYKGCLKNGQLIAVKRLTKGTSDEKTAGFLSELGIIAHVDHPNTAKLIGCCVEGGMHLVFELSSLGSLGSLLHGLKANKLDWSKRYKIALGIADGLLYLHESCQRRIIHRDIKADNILLTEDFVPQICDFGLAKWLPKQWTHHNVSKSEGTFGYFAPEYFMHGIVDEKTDVYSFGVLLLELISGRPALDDSQKSVVIWAKPLLDNNEIKELVDPSIGDNYDQKEMDHVVLTASLCIEQSSILRPRMSQIVVLLRGDEYVSNCAKESKTRSHQRTYSEELLDAQEYNSTKYLGDLNRHKQVAFGS >ONI03014 pep chromosome:Prunus_persica_NCBIv2:G6:23542567:23544574:-1 gene:PRUPE_6G233500 transcript:ONI03014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNQCTKPHAILVPLPLQGHVIPFTNLAMKLASNGFTITFVNTQYIHHQITKSQPNNKTEQDDIFAAARKSGLDIRYKTVSDGFPLAFNRFQNLDQCLEGHLHVYPAHVDELVGDLVQSDPSITCFIADTFHTWPETIANKYNLVNVSFWTEPALVLSIYYHLDLLRQNGHFGFHDKREDIIDYIPGVQAIEPKDLMSHLQATDLLSPMHRIIYKAFHEVKRADFILINTVQELESETLSALHEKQPTYAIGPVFPSKTTKSIVATNLMSEFDCTQWLNAKPHGSVLFISFGSYAQVTTKDIEEIAHGLLLSKVSFIWVLRPDTTSYEETNILPVGFDDEIKDRGMIVPWCSQVKVLSHPAVGGFLTHCGWNSILESMWCGVPMLCFPLWTDQITNRKLVVDDWGIGLNICDGVKPITRVEVAEKINHVMSGKLGDGLQKEIRNVRQTMEDALALNGSSQKNFFQFIIDVKTKVQTRN >ONI04148 pep chromosome:Prunus_persica_NCBIv2:G6:27577290:27581185:1 gene:PRUPE_6G305400 transcript:ONI04148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKIIAICQSGGEFLTEKDGTLSYRGGDAHAIDIDDQMTFNEFKTEVTEMFSCSNDNMSIKYFLPGNKKTLITVSNDKDLKRMIKFHSDFATVDIYVIEEIVAPDVSNMPASRSSRTTLSETVVPVDASLDVVDFVGDTTQPDIPLDASLDIVDDASPIDAHIDVPNEISPIFPLLGHNDEKHAKGAQQWQNAITGVGQRFSSVHEFRESLRKYAIAHQFAFRYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAVATTGHQATRSWVASIIKEKLKFLPNYKPKDIVNDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYNQLPFFCDKIMETNPGSLATFTTKEDSSFHRLFVSFHASLYGFQQGCRPLLFLDSIPLKSKYQGTLLAATAADGNDGVFPVAFTVVDAETDDNWHWFLLQLKSAFSITCPITFVADRQKGLKESIADIFKDSYHGYCLQYLTEQLIRDLKGQFSHEVKRLMVEDLYAAAYASRPENFQSCLESIKSISLEAYNWIVQSEPQNWANSFFQGARYNHMTSNFGELFYSWASDAHELPITQMVDVIRGKIMELIYTRRAESIQWLTRLTPSMEEKLDKETQKVRNLQVLLLVGNTFEVRGDSTEVVDVDRWDCSCRGWQITGLPCCHAIAVIGCLGRSPYDYCSRYFTTESYRLTYSESIHPVPNVDMPVVKASSQLAVTVTPPPTRRPPGRPTTKKYGPQEMSKRQLQCSRCKGLGHNKSTCKELL >ONI04145 pep chromosome:Prunus_persica_NCBIv2:G6:27576805:27581185:1 gene:PRUPE_6G305400 transcript:ONI04145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKIIAICQSGGEFLTEKDGTLSYRGGDAHAIDIDDQMTFNEFKTEVTEMFSCSNDNMSIKYFLPGNKKTLITVSNDKDLKRMIKFHSDFATVDIYVIEEIVAPDVSNMPASRSSRTTLSETVVPVDASLDVVDFVGDTTQPDIPLDASLDIVDDASPIDAHIDVPNEISPIFPLLGHNDEKHAKGAQQWQNAITGVGQRFSSVHEFRESLRKYAIAHQFAFRYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAVATTGHQATRSWVASIIKEKLKFLPNYKPKDIVNDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYNQLPFFCDKIMETNPGSLATFTTKEDSSFHRLFVSFHASLYGFQQGCRPLLFLDSIPLKSKYQGTLLAATAADGNDGVFPVAFTVVDAETDDNWHWFLLQLKSAFSITCPITFVADRQKGLKESIADIFKDSYHGYCLQYLTEQLIRDLKGQFSHEVKRLMVEDLYAAAYASRPENFQSCLESIKSISLEAYNWIVQSEPQNWANSFFQGARYNHMTSNFGELFYSWASDAHELPITQMVDVIRGKIMELIYTRRAESIQWLTRLTPSMEEKLDKETQKVRNLQVLLLVGNTFEVRGDSTEVVDVDRWDCSCRGWQITGLPCCHAIAVIGCLGRSPYDYCSRYFTTESYRLTYSESIHPVPNVDMPVVKASSQLAVTVTPPPTRRPPGRPTTKKYGPQEMSKRQLQCSRCKGLGHNKSTCKELL >ONI04147 pep chromosome:Prunus_persica_NCBIv2:G6:27576797:27581185:1 gene:PRUPE_6G305400 transcript:ONI04147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKIIAICQSGGEFLTEKDGTLSYRGGDAHAIDIDDQMTFNEFKTEVTEMFSCSNDNMSIKYFLPGNKKTLITVSNDKDLKRMIKFHSDFATVDIYVIEEIVAPDVSNMPASRSSRTTLSETVVPVDASLDVVDFVGDTTQPDIPLDASLDIVDDASPIDAHIDVPNEISPIFPLLGHNDEKHAKGAQQWQNAITGVGQRFSSVHEFRESLRKYAIAHQFAFRYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAVATTGHQATRSWVASIIKEKLKFLPNYKPKDIVNDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYNQLPFFCDKIMETNPGSLATFTTKEDSSFHRLFVSFHASLYGFQQGCRPLLFLDSIPLKSKYQGTLLAATAADGNDGVFPVAFTVVDAETDDNWHWFLLQLKSAFSITCPITFVADRQKGLKESIADIFKDSYHGYCLQYLTEQLIRDLKGQFSHEVKRLMVEDLYAAAYASRPENFQSCLESIKSISLEAYNWIVQSEPQNWANSFFQGARYNHMTSNFGELFYSWASDAHELPITQMVDVIRGKIMELIYTRRAESIQWLTRLTPSMEEKLDKETQKVRNLQVLLLVGNTFEVRGDSTEVVDVDRWDCSCRGWQITGLPCCHAIAVIGCLGRSPYDYCSRYFTTESYRLTYSESIHPVPNVDMPVVKASSQLAVTVTPPPTRRPPGRPTTKKYGPQEMSKRQLQCSRCKGLGHNKSTCKELL >ONI04146 pep chromosome:Prunus_persica_NCBIv2:G6:27577842:27580462:1 gene:PRUPE_6G305400 transcript:ONI04146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKIIAICQSGGEFLTEKDGTLSYRGGDAHAIDIDDQMTFNEFKTEVTEMFSCSNDNMSIKYFLPGNKKTLITVSNDKDLKRMIKFHSDFATVDIYVIEEIVAPDVSNMPASRSSRTTLSETVVPVDASLDVVDFVGDTTQPDIPLDASLDIVDDASPIDAHIDVPNEISPIFPLLGHNDEKHAKGAQQWQNAITGVGQRFSSVHEFRESLRKYAIAHQFAFRYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAVATTGHQATRSWVASIIKEKLKFLPNYKPKDIVNDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYNQLPFFCDKIMETNPGSLATFTTKEDSSFHRLFVSFHASLYGFQQGCRPLLFLDSIPLKSKYQGTLLAATAADGNDGVFPVAFTVVDAETDDNWHWFLLQLKSAFSITCPITFVADRQKGLKESIADIFKDSYHGYCLQYLTEQLIRDLKGQFSHEVKRLMVEDLYAAAYASRPENFQSCLESIKSISLEAYNWIVQSEPQNWANSFFQGARYNHMTSNFGELFYSWASDAHELPITQMVDVIRGKIMELIYTRRAESIQWLTRLTPSMEEKLDKETQKVRNLQVLLLVGNTFEVRGDSTEVVDVDRWDCSCRGWQITGLPCCHAIAVIGCLGRSPYDYCSRYFTTESYRLTYSESIHPVPNVDMPVVKASSQLAVTVTPPPTRRPPGRPTTKKYGPQEMSKRQLQCSRCKGLGHNKSTCKELL >ONH98932 pep chromosome:Prunus_persica_NCBIv2:G6:159653:161420:1 gene:PRUPE_6G000700 transcript:ONH98932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGELPFHPISAEVVSLLRLRLRQCSNLKHIEQAHGFMVAGGLHQDNRTLPRLIQACSSLGFSDYAYSVFTRTHGQPSIYLYNTIIKAKALSPSPSHAISLYNRIQLAALRPDTYSFPFVLKAVVRLAPPQVQRLVGAQIHCHIIGIGFDMDASVLTALIHMYSSCGCVSDARTLFDGAAAFAFAFRDVALWNAMIAGYAKSGDVDNARHLFELMPPRIRNVISWTALIAGYAQVDRPHEAITVFRRMQLENVQPDEISMLAALSACAHLGALHLGEWIHNYIEKHEFRKLVSLNNALIDMYAKSGNITKALQVFENTKCKTVVTWTTMIAGLALHGLGREALEMFAQMERVKIKPNDITFIAILSACSHGRLVEIGHWYFSIMVSKYRIKPKIVHYGCMIDLLARAGYLQEAHELVRQMPFEANAAIWGSLLAASNIHGDAELGQHALQHLIMLEPHNSGNYALLSNVYGSLGRWDESGMLRKVMRDTGVKKTPGRSSIEVNNRVHEFIAGDKSHFECTRIYEVLYKIFRQLKLAGHLQQDFGELLEFAE >ONI04759 pep chromosome:Prunus_persica_NCBIv2:G6:29315774:29319397:-1 gene:PRUPE_6G338100 transcript:ONI04759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKCAIRRDVTELIGNTPLVYLNNVVDGCVARIAAKLESMEPCSSVKDRIAFSMIKDAEDKGLITPGKTVLVEPTSGNTGIGLAFIAASKGYKLKITMPSSMSLERRIVLLAFGAEVYLTDPAKGIKGVLDKAEELLTSTPNSYMLQQFENPANPKVHYETTGPEIWRDSGGKVDALVSGIGTGGTVTGTGRFLKEQNPKIKVYGVEPVESAVLNGGRPGKHQIQGIGAGIIPQVLDVSLLNEVIQVTSEEAIDTAKLLALKEGLLVGISSGAAAAAAIKLAKKQENAGKLIVAVFPSFGERYLSSELFDSVRHEAENMTVY >ONI04760 pep chromosome:Prunus_persica_NCBIv2:G6:29315709:29319564:-1 gene:PRUPE_6G338100 transcript:ONI04760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKCAIRRDVTELIGNTPLVYLNNVVDGCVARIAAKLESMEPCSSVKDRIAFSMIKDAEDKGLITPGKTVLVEPTSGNTGIGLAFIAASKGYKLKITMPSSMSLERRIVLLAFGAEVYLTDPAKGIKGVLDKAEELLTSTPNSYMLQQFENPANPKVHYETTGPEIWRDSGGKVDALVSGIGTGGTVTGTGRFLKEQNPKIKVYGVEPVESAVLNGGRPGKHQIQGIGAGIIPQVLDVSLLNEVIQVTSEEAIDTAKLLALKEGLLVGISSGAAAAAAIKLAKKQENAGKLIVAVFPSFGERYLSSELFDSVRHEAENMTVY >ONI04761 pep chromosome:Prunus_persica_NCBIv2:G6:29315736:29319071:-1 gene:PRUPE_6G338100 transcript:ONI04761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKCAIRRDVTELIGNTPLVYLNNVVDGCVARIAAKLESMEPCSSVKDRIAFSMIKDAEDKGLITPGKTVLVEPTSGNTGIGLAFIAASKGYKLKITMPSSMSLERRIVLLAFGAEVYLTDPAKGIKGVLDKAEELLTSTPNSYMLQQFENPANPKVHYETTGPEIWRDSGGKVDALVSGIGTGGTVTGTGRFLKEQNPKIKVYGVEPVESAVLNGGRPGKHQIQGIGAGIIPQVLDVSLLNEVIQVTSEEAIDTAKLLALKEGLLVGISSGAAAAAAIKLAKKQENAGKLIVAVFPSFGERYLSSELFDSVRHEAENMTVY >ONI04762 pep chromosome:Prunus_persica_NCBIv2:G6:29315946:29318561:-1 gene:PRUPE_6G338100 transcript:ONI04762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKCAIRRDVTELIGNTPLVYLNNVVDGCVARIAAKLESMEPCSSVKDRIAFSMIKDAEDKGLITPGKTVLVEPTSGNTGIGLAFIAASKGYKLKITMPSSMSLERRIVLLAFGAEVYLTDPAKGIKGVLDKAEELLTSTPNSYMLQQFENPANPKVHYETTGPEIWRDSGGKVDALVSGIGTGGTVTGTGRFLKEQNPKIKVYGVEPVESAVLNGGRPGKHQIQGIGAGIIPQVLDVSLLNEVIQVSNQ >ONI01728 pep chromosome:Prunus_persica_NCBIv2:G6:13711821:13718592:1 gene:PRUPE_6G156000 transcript:ONI01728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKEFEKMLPSALSAEPKYQLRRISSHHQMAGRSCH >ONI01734 pep chromosome:Prunus_persica_NCBIv2:G6:13711822:13715291:1 gene:PRUPE_6G156000 transcript:ONI01734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKRRRPSLSLLHQWCVKCKKWSRV >ONI01737 pep chromosome:Prunus_persica_NCBIv2:G6:13712733:13714405:1 gene:PRUPE_6G156000 transcript:ONI01737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKGREE >ONI01740 pep chromosome:Prunus_persica_NCBIv2:G6:13711822:13718592:1 gene:PRUPE_6G156000 transcript:ONI01740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKGREE >ONI01738 pep chromosome:Prunus_persica_NCBIv2:G6:13711822:13715148:1 gene:PRUPE_6G156000 transcript:ONI01738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKGREE >ONI01729 pep chromosome:Prunus_persica_NCBIv2:G6:13711822:13718592:1 gene:PRUPE_6G156000 transcript:ONI01729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKEFEKMLPSALSAEPKYQLRRISSAFF >ONI01739 pep chromosome:Prunus_persica_NCBIv2:G6:13711822:13718592:1 gene:PRUPE_6G156000 transcript:ONI01739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKGREE >ONI01731 pep chromosome:Prunus_persica_NCBIv2:G6:13711822:13718592:1 gene:PRUPE_6G156000 transcript:ONI01731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKKKITKKCDVDTMVGLLEFYFGGDILRDGGK >ONI01735 pep chromosome:Prunus_persica_NCBIv2:G6:13711822:13718592:1 gene:PRUPE_6G156000 transcript:ONI01735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKGREE >ONI01733 pep chromosome:Prunus_persica_NCBIv2:G6:13711822:13715291:1 gene:PRUPE_6G156000 transcript:ONI01733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKIVFWIVDMVQRRRPSLSLLHQWCVKCKKWSRV >ONI01741 pep chromosome:Prunus_persica_NCBIv2:G6:13711822:13718592:1 gene:PRUPE_6G156000 transcript:ONI01741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKGREE >ONI01730 pep chromosome:Prunus_persica_NCBIv2:G6:13711822:13717423:1 gene:PRUPE_6G156000 transcript:ONI01730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKE >ONI01732 pep chromosome:Prunus_persica_NCBIv2:G6:13711822:13718592:1 gene:PRUPE_6G156000 transcript:ONI01732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKKKITKKCDVDTMVGLLEFYFGGDILRDGGK >ONI01736 pep chromosome:Prunus_persica_NCBIv2:G6:13712733:13714405:1 gene:PRUPE_6G156000 transcript:ONI01736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQTMASQGNGQQSHFQPSPLLRQPSWYSLTLDEVKNQLGDTGKPLGSMNLDELLQNLWTAEANQSIEMDIENTSSASSLQRQASLTLARALSGKTVDEVWREIQQGQKKRYGEDMKCQDTEITLGETTLEDFLVQAGLFAEASLSPAIALDTIEVAIPQSYPHNLGLSSSPSFGTHSDTTTPGRKRDASDAYEKTVERRLRRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKGREE >ONI03327 pep chromosome:Prunus_persica_NCBIv2:G6:24609123:24612387:-1 gene:PRUPE_6G251300 transcript:ONI03327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLCSSLSFTLQVSEMGIFALMTGRPGPSGFGSASTAEQVTEGVDASNLTAIVTGGASGIGFETARVLALRRAHVIIAARNIEAANEAKQAIIKENISARVDVLKLDLCSLKSVRAFVDSFNALDLPLNLLINNAGVMFCSHQLSEDGVEVQFATNHLGHFLLTNLLLDKMKNTARTTGVEGRIVILSSLAHTTPYQEGIRFDKINDRIGYSELKAYGQSKLANLLHSNELSRRLQEEGVNITVNSVHPGLIMTPLMRHSPLLMKTFKLFTFWMWKNVPQGAATTSYVALHPKMKGVTGKYFVDCNEMKPSSHAGDEKLAKKLWDFSNKLIDGASKS >ONI03328 pep chromosome:Prunus_persica_NCBIv2:G6:24609123:24612386:-1 gene:PRUPE_6G251300 transcript:ONI03328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFALMTGRPGPSGFGSASTAEQVTEGVDASNLTAIVTGGASGIGFETARVLALRRAHVIIAARNIEAANEAKQAIIKENISARVDVLKLDLCSLKSVRAFVDSFNALDLPLNLLINNAGVMFCSHQLSEDGVEVQFATNHLGHFLLTNLLLDKMKNTARTTGVEGRIVILSSLAHTTPYQEGIRFDKINDRIGYSELKAYGQSKLANLLHSNELSRRLQEEGVNITVNSVHPGLIMTPLMRHSPLLMKTFKLFTFWMWKNVPQGAATTSYVALHPKMKGVTGKYFVDCNEMKPSSHAGDEKLAKKLWDFSNKLIDGASKS >ONI02437 pep chromosome:Prunus_persica_NCBIv2:G6:20528818:20536707:-1 gene:PRUPE_6G198700 transcript:ONI02437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLLTCSKLTLFTIAGVAIQMVGLSIFVFGFFPVKPTLPGHSGPESFQAPKCNSIQNETERDLHPHHLGSLYKVKDTVQVDQNVSRHLGHELNKDDWDFLVVVSDHGMTESGNHGGSSYEETDSLALFIGLNNDISDYSSSTHNIIYQVDIAPTLALLFGVPIPKNNVGVLIAEIFGYLADDQQLKALELNSWQLLRLLQAQMPGLSCRNYLCDGFSDDQESKITKCSGSMGKMFCCLYTNAALLQNSWMSKEFSRSKSKEDYNSAVTAYYEFLRTASEWLSRSATDKPVSLLTLGIAAMLMSCLILLSLLFNMCKEVYIREKYLFDLESATQTWYLDETFVLGVILILIVSMVSSSMVEEEQYIWHFVASTLNLLLLRKAIQCPPCGGAQGLHTLSKEQNKISCFRLSSIFVVLVSGRILRGWHQGGVNWTNLPDISKWLEQAGTDNIKSIQLVSCLLLMTLSLYVLLLLGSNKKFVLVIGFSFLMSGLLVLQHVTKHQDGMFVSSSYSTTTLVQIIYATLGASTFGTVVALPWLVSFSISEMCSNQDLYMSTSAPNEVQNKSLMAKLRDSLYIIGWTYISCWCILQLLLQQTINSMPILLLLVQIFASMLYFSYSALHHKPWVEVAAFYFLGMAGHFALGNSNSLATIDVAGAFIGISSHSTVLSGILMFMITYASPMLFILSMVMYISVKETSYLPSPFSADSTQLLKIMLGFPCLVPLGLNSILLTAYTIILLLMRNHLFVWSVFSPKYIYVCTTTVCVYVGVSVVATTVTYTYLVLGFRRKMQGELSHQQ >ONI02440 pep chromosome:Prunus_persica_NCBIv2:G6:20527874:20536884:-1 gene:PRUPE_6G198700 transcript:ONI02440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLLTCSKLTLFTIAGVAIQMVGLSIFVFGFFPVKPTLPGHSGPESFQAPKCNSIQNETERDLHPHHLGSLYKELSGMPPAFDRLILMVIDGLPAELVLGKDGKPPSKALMEAMPYTQSLLANGMAIGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVALNFNTQAMLDDNLLDQFFKIGWKMVMLGDETWLKLFPGLFIRHDGVSSFFVKDTVQVDQNVSRHLGHELNKDDWDFLVVVSDHGMTESGNHGGSSYEETDSLALFIGLNNDISDYSSSTHNIIYQVDIAPTLALLFGVPIPKNNVGVLIAEIFGYLADDQQLKALELNSWQLLRLLQAQMPGLSCRNYLCDGFSDDQESKITKCSGSMGKMFCCLYTNAALLQNSWMSKEFSRSKSKEDYNSAVTAYYEFLRTASEWLSRSATDKPVSLLTLGIAAMLMSCLILLSLLFNMCKEVYIREKYLFDLESATQTWYLDETFVLGVILILIVSMVSSSMVEEEQYIWHFVASTLNLLLLRKAIQCPPCGGAQGLHTLSKEQNKISCFRLSSIFVVLVSGRILRGWHQGGVNWTNLPDISKWLEQAGTDNIKSIQLVSCLLLMTLSLYVLLLLGSNKKFVLVIGFSFLMSGLLVLQHVTKHQDGMFVSSSYSTTTLVQIIYATLGASTFGTVVALPWLVSFSISEMCSNQDLYMSTSAPNEVQNKSLMAKLRDSLYIIGWTYISCWCILQLLLQQTINSMPILLLLVQIFASMLYFSYSALHHKPWVEGISSHSTVLSGILMFMITYASPMLFILSMVMYISVKETSYLPSPFSADSTQLLKIMLGFPCLVPLGLNSILLTAYTIILLLMRNHLFVWSVFSPKYIYVCTTTVCVYVGVSVVATTVTYTYLVLGFRRKMQGELSHQQ >ONI02442 pep chromosome:Prunus_persica_NCBIv2:G6:20528818:20536707:-1 gene:PRUPE_6G198700 transcript:ONI02442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLLTCSKLTLFTIAGVAIQMVGLSIFVFGFFPVKPTLPGHSGPESFQAPKCNSIQNETERDLHPHHLGSLYKELSGMPPAFDRLILMVIDGLPAELVLGKDGKPPSKALMEAMPYTQSLLANGMAIGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVALNFNTQAMLDDNLLDQFFKIGWKMVMLGDETWLKLFPGLFIRHDGVSSFFVKDTVQVDQNVSRHLGHELNKDDWDFLILHYLGLDHVGHIGGRNSALMAPKLSEMDDVVKMIHMNSILNQKNDQGRTLLVVVSDHGMTESGNHGGSSYEETDSLALFIGLNNDISDYSSSTHNIIYQVDIAPTLALLFGVPIPKNNVGVLIAEIFGYLADDQQLKALELNSWQLLRLLQAQMPGLSCRNYLCDGFSDDQESKITKCSGSMGKMFCCLYTNAALLQNSWMSKEFSRSKSKEDYNSAVTAYYEFLRTASEWLSRSATDKPVSLLTLGIAAMLMSCLILLSLLFNMCKEVYIREKYLFDLESATQTWYLDETFVLGVILILIVSMVSSSMVEEEQYIWHFVASTLNLLLLRKAIQCPPCGGAQGLHTLSKEQNKISCFRLSSIFVVLVSGRILRGWHQGGVNWTNLPDISKWLEQAGTDNIKSIQLVSCLLLMTLSLYVLLLLGSNKKFVLVIGFSFLMSGLLVLQHVTKHQDGMFVSSSYSTTTLVQIIYATLGASTFGTVVALPWLVSFSISEMCSNQDLYMSTSAPNEVQNKSLMAKLRDSLYIIGWTYISCWCILQLLLQQTINSMPILLLLVQIFASMLYFSYSALHHKPWVEGISSHSTVLSGILMFMITYASPMLFILSMVMYISVKETSYLPSPFSADSTQLLKIMLGFPCLVPLGLNSILLTAYTIILLLMRNHLFVWSVFSPKYIYVCTTTVCVYVGVSVVATTVTYTYLVLGFRRKMQGELSHQQ >ONI02438 pep chromosome:Prunus_persica_NCBIv2:G6:20527874:20536883:-1 gene:PRUPE_6G198700 transcript:ONI02438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLLTCSKLTLFTIAGVAIQMVGLSIFVFGFFPVKPTLPGHSGPESFQAPKCNSIQNETERDLHPHHLGSLYKVKDTVQVDQNVSRHLGHELNKDDWDFLILHYLGLDHVGHIGGRNSALMAPKLSEMDDVVKMIHMNSILNQKNDQGRTLLVVVSDHGMTESGNHGGSSYEETDSLALFIGLNNDISDYSSSTHNIIYQVDIAPTLALLFGVPIPKNNVGVLIAEIFGYLADDQQLKALELNSWQLLRLLQAQMPGLSCRNYLCDGFSDDQESKITKCSGSMGKMFCCLYTNAALLQNSWMSKEFSRSKSKEDYNSAVTAYYEFLRTASEWLSRSATDKPVSLLTLGIAAMLMSCLILLSLLFNMCKEVYIREKYLFDLESATQTWYLDETFVLGVILILIVSMVSSSMVEEEQYIWHFVASTLNLLLLRKAIQCPPCGGAQGLHTLSKEQNKISCFRLSSIFVVLVSGRILRGWHQGGVNWTNLPDISKWLEQAGTDNIKSIQLVSCLLLMTLSLYVLLLLGSNKKFVLVIGFSFLMSGLLVLQHVTKHQDGMFVSSSYSTTTLVQIIYATLGASTFGTVVALPWLVSFSISEMCSNQDLYMSTSAPNEVQNKSLMAKLRDSLYIIGWTYISCWCILQLLLQQTINSMPILLLLVQIFASMLYFSYSALHHKPWVEGISSHSTVLSGILMFMITYASPMLFILSMVMYISVKETSYLPSPFSADSTQLLKIMLGFPCLVPLGLNSILLTAYTIILLLMRNHLFVWSVFSPKYIYVCTTTVCVYVGVSVVATTVTYTYLVLGFRRKMQGELSHQQ >ONI02441 pep chromosome:Prunus_persica_NCBIv2:G6:20528818:20536707:-1 gene:PRUPE_6G198700 transcript:ONI02441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLLTCSKLTLFTIAGVAIQMVGLSIFVFGFFPVKPTLPGHSGPESFQAPKCNSIQNETERDLHPHHLGSLYKELSGMPPAFDRLILMVIDGLPAELVLGKDGKPPSKALMEAMPYTQSLLANGMAIGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVALNFNTQAMLDDNLLDQFFKIGWKMVMLGDETWLKLFPGLFIRHDGVSSFFVKDTVQVDQNVSRHLGHELNKDDWDFLVVVSDHGMTESGNHGGSSYEETDSLALFIGLNNDISDYSSSTHNIIYQVDIAPTLALLFGVPIPKNNVGVLIAEIFGYLADDQQLKALELNSWQLLRLLQAQMPGLSCRNYLCDGFSDDQESKITKCSGSMGKMFCCLYTNAALLQNSWMSKEFSRSKSKEDYNSAVTAYYEFLRTASEWLSRSATDKPVSLLTLGIAAMLMSCLILLSLLFNMCKEVYIREKYLFDLESATQTWYLDETFVLGVILILIVSMVSSSMVEEEQYIWHFVASTLNLLLLRKAIQCPPCGGAQGLHTLSKEQNKISCFRLSSIFVVLVSGRILRGWHQGGVNWTNLPDISKWLEQAGTDNIKSIQLVSCLLLMTLSLYVLLLLGSNKKFVLVIGFSFLMSGLLVLQHVTKHQDGMFVSSSYSTTTLVQIIYATLGASTFGTVVALPWLVSFSISEMCSNQDLYMSTSAPNEVQNKSLMAKLRDSLYIIGWTYISCWCILQLLLQQTINSMPILLLLVQIFASMLYFSYSALHHKPWVEVAAFYFLGMAGHFALGNSNSLATIDVAGAFIGISSHSTVLSGILMFMITYASPMLFILSMVMYISVKETSYLPSPFSADSTQLLKIMLGFPCLVPLGLNSILLTAYTIILLLMRNHLFVWSVFSPKYIYVCTTTVCVYVGVSVVATTVTYTYLVLGFRRKMQGELSHQQ >ONI02443 pep chromosome:Prunus_persica_NCBIv2:G6:20528818:20536707:-1 gene:PRUPE_6G198700 transcript:ONI02443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLLTCSKLTLFTIAGVAIQMVGLSIFVFGFFPVKPTLPGHSGPESFQAPKCNSIQNETERDLHPHHLGSLYKELSGMPPAFDRLILMVIDGLPAELVLGKDGKPPSKALMEAMPYTQSLLANGMAIGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVALNFNTQAMLDDNLLDQFFKIGWKMVMLGDETWLKLFPGLFIRHDGVSSFFVKDTVQVDQNVSRHLGHELNKDDWDFLILHYLGLDHVGHIGGRNSALMAPKLSEMDDVVKMIHMNSILNQKNDQGRTLLVVVSDHGMTESGNHGGSSYEETDSLALFIGLNNDISDYSSSTHNIIYQVDIAPTLALLFGVPIPKNNVGVLIAEIFGYLADDQQLKALELNSWQLLRLLQAQMPGLSCRNYLCDGFSDDQESKITKCSGSMGKMFCCLYTNAALLQNSWMSKEFSRSKSKEDYNSAVTAYYEFLRTASEWLSRSATDKPVSLLTLGIAAMLMSCLILLSLLFNMCKEVYIREKYLFDLESATQTWYLDETFVLGVILILIVSMVSSSMVEEEQYIWHFVASTLNLLLLRKAIQCPPCGGAQGLHTLSKEQNKISCFRLSSIFVVLVSGRILRGWHQGGVNWTNLPDISKWLEQAGTDNIKSIQLVSCLLLMTLSLYVLLLLGSNKKFVLVIGFSFLMSGLLVLQHVTKHQDGMFVSSSYSTTTLVQIIYATLGASTFGTVVALPWLVSFSISEMCSNQDLYMSTSAPNEVQNKSLMAKLRDSLYIIGWTYISCWCILQLLLQQTINSMPILLLLVQIFASMLYFSYSALHHKPWVEVAAFYFLGMAGHFALGNSNSLATIDVAGAFIGISSHSTVLSGILMFMITYASPMLFILSMVMYISVKETSYLPSPFSADSTQLLKIMLGFPCLVPLGLNSILLTAYTIILLLMRNHLFVWSVFSPKYIYVCTTTVCVYVGVSVVATTVTYTYLVLGFRRKMQGELSHQQ >ONI02436 pep chromosome:Prunus_persica_NCBIv2:G6:20527874:20536883:-1 gene:PRUPE_6G198700 transcript:ONI02436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLLTCSKLTLFTIAGVAIQMVGLSIFVFGFFPVKPTLPGHSGPESFQAPKCNSIQNETERDLHPHHLGSLYKVKDTVQVDQNVSRHLGHELNKDDWDFLVVVSDHGMTESGNHGGSSYEETDSLALFIGLNNDISDYSSSTHNIIYQVDIAPTLALLFGVPIPKNNVGVLIAEIFGYLADDQQLKALELNSWQLLRLLQAQMPGLSCRNYLCDGFSDDQESKITKCSGSMGKMFCCLYTNAALLQNSWMSKEFSRSKSKEDYNSAVTAYYEFLRTASEWLSRSATDKPVSLLTLGIAAMLMSCLILLSLLFNMCKEVYIREKYLFDLESATQTWYLDETFVLGVILILIVSMVSSSMVEEEQYIWHFVASTLNLLLLRKAIQCPPCGGAQGLHTLSKEQNKISCFRLSSIFVVLVSGRILRGWHQGGVNWTNLPDISKWLEQAGTDNIKSIQLVSCLLLMTLSLYVLLLLGSNKKFVLVIGFSFLMSGLLVLQHVTKHQDGMFVSSSYSTTTLVQIIYATLGASTFGTVVALPWLVSFSISEMCSNQDLYMSTSAPNEVQNKSLMAKLRDSLYIIGWTYISCWCILQLLLQQTINSMPILLLLVQIFASMLYFSYSALHHKPWVEGISSHSTVLSGILMFMITYASPMLFILSMVMYISVKETSYLPSPFSADSTQLLKIMLGFPCLVPLGLNSILLTAYTIILLLMRNHLFVWSVFSPKYIYVCTTTVCVYVGVSVVATTVTYTYLVLGFRRKMQGELSHQQ >ONI02439 pep chromosome:Prunus_persica_NCBIv2:G6:20528818:20536707:-1 gene:PRUPE_6G198700 transcript:ONI02439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLLTCSKLTLFTIAGVAIQMVGLSIFVFGFFPVKPTLPGHSGPESFQAPKCNSIQNETERDLHPHHLGSLYKVKDTVQVDQNVSRHLGHELNKDDWDFLILHYLGLDHVGHIGGRNSALMAPKLSEMDDVVKMIHMNSILNQKNDQGRTLLVVVSDHGMTESGNHGGSSYEETDSLALFIGLNNDISDYSSSTHNIIYQVDIAPTLALLFGVPIPKNNVGVLIAEIFGYLADDQQLKALELNSWQLLRLLQAQMPGLSCRNYLCDGFSDDQESKITKCSGSMGKMFCCLYTNAALLQNSWMSKEFSRSKSKEDYNSAVTAYYEFLRTASEWLSRSATDKPVSLLTLGIAAMLMSCLILLSLLFNMCKEVYIREKYLFDLESATQTWYLDETFVLGVILILIVSMVSSSMVEEEQYIWHFVASTLNLLLLRKAIQCPPCGGAQGLHTLSKEQNKISCFRLSSIFVVLVSGRILRGWHQGGVNWTNLPDISKWLEQAGTDNIKSIQLVSCLLLMTLSLYVLLLLGSNKKFVLVIGFSFLMSGLLVLQHVTKHQDGMFVSSSYSTTTLVQIIYATLGASTFGTVVALPWLVSFSISEMCSNQDLYMSTSAPNEVQNKSLMAKLRDSLYIIGWTYISCWCILQLLLQQTINSMPILLLLVQIFASMLYFSYSALHHKPWVEVAAFYFLGMAGHFALGNSNSLATIDVAGAFIGISSHSTVLSGILMFMITYASPMLFILSMVMYISVKETSYLPSPFSADSTQLLKIMLGFPCLVPLGLNSILLTAYTIILLLMRNHLFVWSVFSPKYIYVCTTTVCVYVGVSVVATTVTYTYLVLGFRRKMQGELSHQQ >ONI04879 pep chromosome:Prunus_persica_NCBIv2:G6:29642869:29644472:-1 gene:PRUPE_6G345500 transcript:ONI04879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVTGVKVEKVEKVEKVEKKINGVVVSANGFSHGKVHVAPKISEESIESKDYKVKDRIKENKVVGESVENQDVLAVKSTNLDDDLTEGKNEKLGTQKSSDNKNSGSPALKSVALGNGHSNKAVGAETATSENSSNTESADATKSSQSPTATKSSLSPTATKSPQSPNAESPKSSQPTSPQSSSRKGSQPDNKKHADEEDNLSVTSSTAASVRTPKFKVTVGQAPSFRCYERAEKRKEFYSKLEEKHQALESERSQWEARAKEEQEAAIKALRKSMVFKANPVPNFYYQAPPPKTEPKKVANLIFLSCA >ONI04878 pep chromosome:Prunus_persica_NCBIv2:G6:29642131:29646158:-1 gene:PRUPE_6G345500 transcript:ONI04878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVTGVKVEKVEKVEKVEKKINGVVVSANGFSHGKVHVAPKISEESIESKDYKVKDRIKENKVVGESVENQDVLAVKSTNLDDDLTEGKNEKLGTQKSSDNKNSGSPALKSVALGNGHSNKAVGAETATSENSSNTESADATKSSQSPTATKSSLSPTATKSPQSPNAESPKSSQPTSPQSSSRKGSQPDNKKHADEEDNLSVTSSTAASVRTPKFKVTVGQAPSFRCYERAEKRKEFYSKLEEKHQALESERSQWEARAKEEQEAAIKALRKSMVFKANPVPNFYYQAPPPKTEPKKLPLTRPKSPKLNSLSRRKSCGDALSSSVEEKRRVCSREQRHSLGSQKEESTAPTMNNKAQSGRRNSMGSSKAKDQLKQEKEPTETSPKITDGLESFF >ONI00555 pep chromosome:Prunus_persica_NCBIv2:G6:6568967:6573655:1 gene:PRUPE_6G094600 transcript:ONI00555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPDSGPEFSAGRPRPWRPDDLLNANSNTERAAYGVVPGVVGANASQPVPPETVGGLVREFSKAAAEMAVEFGKGCRDIVRQSLGNRDSVLVRSFGKVRDSYLGKIVTRLRGKLRFINEYLPEDKEPLHAWSVIVFVSFLAFAALYVNSESTVSTPTPEIKKIYIHPPSAARIMLPDGRYIAYKEQGVPADRARFSIIAPHSFLSSRLAGIPGLKASLLEEFGIRLLSYDLPGFGESDPHPNRNLESSAMDMLLLADAVGINDKFWVVGYSSGSMHAWAALRYIPDRLAGAAMFAPMVNPYDSIMTREERRRTWEKWARSRKFLYFLARRFPRFLSYFYHRSFLSGKHGQIDKWLSLSLGRRDKALIEDPIYEEFWQRDLEESIRQGNVKPFVEEAVLQVSNWGFSLADLKLQKKQQGKGVLNWLKFVLSAAQEEYIGFLGPIHIWQGMDDKVVPPSMTDFVHRILPGVAVHKLPYEGHFTYIYFCDECHRQIFTTLFGTPQGALDITIEVDQSTLEGNTEEQKEVALGDSVPAQAET >ONH99595 pep chromosome:Prunus_persica_NCBIv2:G6:2844244:2845034:1 gene:PRUPE_6G037800 transcript:ONH99595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVATLGQACWAAAGVKVKKAKTAISQAQIQNPKPKSLALPLSANCSRNLFSFSPKAITKPSSSSSVRTSVAAVDSDQLSSSDSAEKQKASRYYFVVANAKFMLDEEEHFQEQLCERLRYYGERNREQDFWLVIEPKFLDKFPNITKRLGRPAVALVSTNGPWIT >ONH99594 pep chromosome:Prunus_persica_NCBIv2:G6:2844145:2845384:1 gene:PRUPE_6G037800 transcript:ONH99594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVATLGQACWAAAGVKVKKAKTAISQAQIQNPKPKSLALPLSANCSRNLFSFSPKAITKPSSSSSVRTSVAAVDSDQLSSSDSAEKQKASRYYFVVANAKFMLDEEEHFQEQLCERLRYYGERNREQDFWLVIEPKFLDKFPNITKRLGRPAVALVSTNGPWIT >ONH99593 pep chromosome:Prunus_persica_NCBIv2:G6:2844145:2847206:1 gene:PRUPE_6G037800 transcript:ONH99593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVATLGQACWAAAGVKVKKAKTAISQAQIQNPKPKSLALPLSANCSRNLFSFSPKAITKPSSSSSVRTSVAAVDSDQLSSSDSAEKQKASRYYFVVANAKFMLDEEEHFQEQLCERLRYYGERNREQDFWLVIEPKFLDKFPNITKRLGRPAVALVSTNGPWITFMKLRLDRVLAESFEAESLEEALASTPTNIEFEKPEKWVAPYSKYESGWWGPFLPPGSNEEAKV >ONI04164 pep chromosome:Prunus_persica_NCBIv2:G6:27630638:27634265:-1 gene:PRUPE_6G306300 transcript:ONI04164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDFSVFPADPMEGQVGLYWYHEGTQAFFDDPDHYKMIKAMCRLSCGVCDKINELRSEGSKRKGEFKNVELLKNHLFHRHGLFMCSLCLGSRKIFICEQKLYTKAQLDRHTETGDSEVDGSETERGGFNGHPMCDFCKNPFYGDNELYMHMSTDHYTCHMCQRQHPGQYEYYNKYDDLENHFSQAHFLCKDEDCLTKKFVVFATESEIKRHNAKEHGGNMSRSRRNIALQIPVSFRFRRSNEQELHGRGHGSHFDAADNQLSLALQASLDTATVERCHNTSSSTQEVFIRQETNELETIVGSFESLATAESEPSSRCSHELRENSRNELQQESAFPPLPVAQSDRRFRNGSEGLSGSTMASRIRRLNKVTVLNSSRSWSTTNRQPKSSASSSHQKKPSSASGLMSSSSSPVFSPSKTAPVRQAVTTGFVSSNFASSRNSTGTSKVTHSSSPPNLIKSSSLNSDFPSVSATQTNKEPASSRRLPRVGDVHTVNKSLVEKIRDALESDENKFSAFKEISAGYRKDIINTEEYLAYVYQFGLSHLVLELARLCPDAEKQRELVETYNFNMKSSGSHEINLCNGSSKSRSKSSKKGKEKCEDNGISSSKYALPDCPINPVRNLQSNHKPPVEDAAVFLKDAIHSAKGKSKISIEDVLPGNQMLTGQNGFQSTGGSSNKNLGTGGGGNKQRKKTPKFLRNRLGNDATELSELGDCEEKKDGDKDPPEGLPVHGVWQNGGGHRLVKMTMRDHIKR >ONI04166 pep chromosome:Prunus_persica_NCBIv2:G6:27630638:27635741:-1 gene:PRUPE_6G306300 transcript:ONI04166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQVGLYWYHEGTQAFFDDPDHYKMIKAMCRLSCGVCDKINELRSEGSKRKGEFKNVELLKNHLFHRHGLFMCSLCLGSRKIFICEQKLYTKAQLDRHTETGDSEVDGSETERGGFNGHPMCDFCKNPFYGDNELYMHMSTDHYTCHMCQRQHPGQYEYYNKYDDLENHFSQAHFLCKDEDCLTKKFVVFATESEIKRHNAKEHGGNMSRSRRNIALQIPVSFRFRRSNEQELHGRGHGSHFDAADNQLSLALQASLDTATVERCHNTSSSTQEVFIRQETNELETIVGSFESLATAESEPSSRCSHELRENSRNELQQESAFPPLPVAQSDRRFRNGSEGLSGSTMASRIRRLNKVTVLNSSRSWSTTNRQPKSSASSSHQKKPSSASGLMSSSSSPVFSPSKTAPVRQAVTTGFVSSNFASSRNSTGTSKVTHSSSPPNLIKSSSLNSDFPSVSATQTNKEPASSRRLPRVGDVHTVNKSLVEKIRDALESDENKFSAFKEISAGYRKDIINTEEYLAYVYQFGLSHLVLELARLCPDAEKQRELVETYNFNMKSSGSHEINLCNGSSKSRSKSSKKGKEKCEDNGISSSKYALPDCPINPVRNLQSNHKPPVEDAAVFLKDAIHSAKGKSKISIEDVLPGNQMLTGQNGFQSTGGSSNKNLGTGGGGNKQRKKTPKFLRNRLGNDATELSELGDCEEKKDGDKDPPEGLPVHGVWQNGGGHRLVKMTMRDHIKR >ONI04165 pep chromosome:Prunus_persica_NCBIv2:G6:27630638:27635741:-1 gene:PRUPE_6G306300 transcript:ONI04165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQVGLYWYHEGTQAFFDDPDHYKMIKAMCRLSCGVCDKINELRSEGSKRKGEFKNVELLKNHLFHRHGLFMCSLCLGSRKIFICEQKLYTKAQLDRHTETGDSEVDGSETERGGFNGHPMCDFCKNPFYGDNELYMHMSTDHYTCHMCQRQHPGQYEYYNKYDDLENHFSQAHFLCKDEDCLTKKFVVFATESEIKRHNAKEHGGNMSRSRRNIALQIPVSFRFRRSNEQELHGRGHGSHFDAADNQLSLALQASLDTATVERCHNTSSSTQEVFIRQETNELETIVGSFESLATAESEPSSRCSHELRENSRNELQQESAFPPLPVAQSDRRFRNGSEGLSGSTMASRIRRLNKVTVLNSSRSWSTTNRQPKSSASSSHQKKPSSASGLMSSSSSPVFSPSKTAPVRQAVTTGFVSSNFASSRNSTGTSKVTHSSSPPNLIKSSSLNSDFPSVSATQTNKEPASSRRLPRVGDVHTVNKSLVEKIRDALESDENKFSAFKEISAGYRKDIINTEEYLAYVYQFGLSHLVLELARLCPDAEKQRELVETYNFNMKSSGSHEINLCNGSSKSRSKSSKKGKEKCEDNGISSSKYALPDCPINPVRNLQSNHKPPVEDAAVFLKDAIHSAKGKSKISIEDVLPGNQMLTGQNGFQSTGGSSNKNLGTGGGGNKQRKKTPKFLRNRLGNDATELSELGDCEEKKDGDKDPPEGLPVHGVWQNGGGHRLVKMTMRDHIKR >ONI04162 pep chromosome:Prunus_persica_NCBIv2:G6:27630975:27634536:-1 gene:PRUPE_6G306300 transcript:ONI04162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCAVCAETLQWVAYGPCGHREVCSTCIIRLRFICGDSHCCICKSESRIVFVTKALGNYTRMINDFSVFPADPMEGQVGLYWYHEGTQAFFDDPDHYKMIKAMCRLSCGVCDKINELRSEGSKRKGEFKNVELLKNHLFHRHGLFMCSLCLGSRKIFICEQKLYTKAQLDRHTETGDSEVDGSETERGGFNGHPMCDFCKNPFYGDNELYMHMSTDHYTCHMCQRQHPGQYEYYNKYDDLENHFSQAHFLCKDEDCLTKKFVVFATESEIKRHNAKEHGGNMSRSRRNIALQIPVSFRFRRSNEQELHGRGHGSHFDAADNQLSLALQASLDTATVERCHNTSSSTQEVFIRQETNELETIVGSFESLATAESEPSSRCSHELRENSRNELQQESAFPPLPVAQSDRRFRNGSEGLSGSTMASRIRRLNKVTVLNSSRSWSTTNRQPKSSASSSHQKKPSSASGLMSSSSSPVFSPSKTAPVRQAVTTGFVSSNFASSRNSTGTSKVTHSSSPPNLIKSSSLNSDFPSVSATQTNKEPASSRRLPRVGDVHTVNKSLVEKIRDALESDENKFSAFKEISAGYRKDIINTEEYLAYVYQFGLSHLVLELARLCPDAEKQRELVETYNFNMKSSGSHEINLCNGSSKSRSKSSKKGKEKCEDNGISSSKYALPDCPINPVRNLQSNHKPPVEDAAVFLKDAIHSAKGKSKISIEDVLPGNQMLTGQNGFQSTGGSSNKNLGTGGGGNKQRKKTPKFLRNRLGNDATELSELGDCEEKKDGDKDPPEGLPVHGVWQNGGGHRLVKMTMRDHIKR >ONI04167 pep chromosome:Prunus_persica_NCBIv2:G6:27630975:27634067:-1 gene:PRUPE_6G306300 transcript:ONI04167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQVGLYWYHEGTQAFFDDPDHYKMIKAMCRLSCGVCDKINELRSEGSKRKGEFKNVELLKNHLFHRHGLFMCSLCLGSRKIFICEQKLYTKAQLDRHTETGDSEVDGSETERGGFNGHPMCDFCKNPFYGDNELYMHMSTDHYTCHMCQRQHPGQYEYYNKYDDLENHFSQAHFLCKDEDCLTKKFVVFATESEIKRHNAKEHGGNMSRSRRNIALQIPVSFRFRRSNEQELHGRGHGSHFDAADNQLSLALQASLDTATVERCHNTSSSTQEVFIRQETNELETIVGSFESLATAESEPSSRCSHELRENSRNELQQESAFPPLPVAQSDRRFRNGSEGLSGSTMASRIRRLNKVTVLNSSRSWSTTNRQPKSSASSSHQKKPSSASGLMSSSSSPVFSPSKTAPVRQAVTTGFVSSNFASSRNSTGTSKVTHSSSPPNLIKSSSLNSDFPSVSATQTNKEPASSRRLPRVGDVHTVNKSLVEKIRDALESDENKFSAFKEISAGYRKDIINTEEYLAYVYQFGLSHLVLELARLCPDAEKQRELVETYNFNMKSSGSHEINLCNGSSKSRSKSSKKGKEKCEDNGISSSKYALPDCPINPVRNLQSNHKPPVEDAAVFLKDAIHSAKGKSKISIEDVLPGNQMLTGQNGFQSTGGSSNKNLGTGGGGNKQRKKTPKFLRNRLGNDATELSELGDCEEKKDGDKDPPEGLPVHGVWQNGGGHRLVKMTMRDHIKR >ONI04163 pep chromosome:Prunus_persica_NCBIv2:G6:27630975:27634536:-1 gene:PRUPE_6G306300 transcript:ONI04163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCAVCAETLQWVAYGPCGHREVCSTCIIRLRFICGDSHCCICKSESRIVFVTKALGNYTRMINDFSVFPADPMEGQVGLYWYHEGTQAFFDDPDHYKMIKAMCRLSCGVCDKINELRSEGSKRKGEFKNVELLKNHLFHRHGLFMCSLCLGSRKIFICEQKLYTKAQLDRHTETGDSEVDGSETERGGFNGHPMCDFCKNPFYGDNELYMHMSTDHYTCHMCQRQHPGQYEYYNKYDDLENHFSQAHFLCKDEDCLTKKFVVFATESEIKRHNAKEHGGNMSRSRRNIALQIPVSFRFRRSNEQELHGRGHGSHFDAADNQLSLALQASLDTATVERCHNTSSSTQEVFIRQETNELETIVGSFESLATAESEPSSRCSHELRENSRNELQQESAFPPLPVAQSDRRFRNGSEGLSGSTMASRIRRLNKVTVLNSSRSWSTTNRQPKSSASSSHQKKPSSASGLMSSSSSPVFSPSKTAPVRQAVTTGFVSSNFASSRNSTGTSKVTHSSSPPNLIKSSSLNSDFPSVSATQTNKEPASSRRLPRVGDVHTVNKSLVEKIRDALESDENKFSAFKEISAGYRKDIINTEEYLAYVYQFGLSHLVLELARLCPDAEKQRELVETYNFNMKSSGSHEINLCNGSSKSRSKSSKKGKEKCEDNGISSSKYALPDCPINPVRNLQSNHKPPVEDAAVFLKDAIHSAKGKSKISIEDVLPGNQMLTGQNGFQSTGGSSNKNLGTGGGGNKQRKKTPKFLRNRLGNDATELSELGDCEEKKDGDKDPPEGLPVHGVWQNGGGHRLVKMTMRDHIKR >ONI01222 pep chromosome:Prunus_persica_NCBIv2:G6:9998112:10000923:1 gene:PRUPE_6G128900 transcript:ONI01222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIGCSLLHFLLPSSIEAYNQPHLRGLIIEHLFLQQHSPFYAKMVASDESGSIGYDNDNFEFEFEPEQPLEPQEESSQDDSHHSMGNNTKQNSIFSLTLDEIQCKSGRNFGSMNMDEFLANIWSVEEENQTQQQPSQCDQDASDKDTTINPSTLSRQGSFSIPIPLCKKTVDEVWSEIERSRPQHHDPDNNISDSVPPQRQQTIGEITLEDFLVKAGVVQESPSKSKPPPLKKMESTLIQQQCGDTNMGNEISICLDASFGIGRQLFGSGFFNLQNDVPSNLSGNGYAIGATYPMLGRQSKVIVGEPSCVSAIEKCHSLPESSSGGGAKNKKRIIDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENTKLKQNVAESEQKRKQEVTNIRGYLRFWSP >ONI01223 pep chromosome:Prunus_persica_NCBIv2:G6:9998119:10000066:1 gene:PRUPE_6G128900 transcript:ONI01223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIGCSLLHFLLPSSIEAYNQPHLRGLIIEHLFLQQHSPFYAKMVASDESGSIGYDNDNFEFEFEPEQPLEPQEESSQDDSHHSMGNNTKQNSIFSLTLDEIQCKSGRNFGSMNMDEFLANIWSVEEENQTQQQPSQCDQDASDKDTTINPSTLSRQGSFSIPIPLCKKTVDEVWSEIERSRPQHHDPDNNISDSVPPQRQQTIGEITLEDFLVKAGVVQESPSKSKPPPLKKMESTLIQQQCGDTNMGNEISICLDASFGIGRQLFGSGFFNLQNDVPSNLSGNGYAIGATYPMLGRQSKVIVGEPSCVSAIEKCHSLPESSSGGGAKNKKRIIDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENTKLKQNVVLHKKIYFLL >ONI01221 pep chromosome:Prunus_persica_NCBIv2:G6:9997930:10000920:1 gene:PRUPE_6G128900 transcript:ONI01221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIGCSLLHFLLPSSIEAYNQPHLRGLIIEHLFLQQHSPFYAKMVASDESGSIGYDNDNFEFEFEPEQPLEPQEESSQDDSHHSMGNNTKQNSIFSLTLDEIQCKSGRNFGSMNMDEFLANIWSVEEENQTQQQPSQCDQDASDKDTTINPSTLSRQGSFSIPIPLCKKTVDEVWSEIERSRPQHHDPDNNISDSVPPQRQQTIGEITLEDFLVKAGVVQESPSKSKPPPLKKMESTLIQQQCGDTNMGNEISICLDASFGIGRQLFGSGFFNLQNDVPSNLSGNGYAIGATYPMLGRQSKVIVGEPSCVSAIEKCHSLPESSSGGGAKNKKRIIDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENTKLKQNVAESEQKRKQELLGKKQPTRAQKLAEKLRTMRRAVSLAW >ONI01224 pep chromosome:Prunus_persica_NCBIv2:G6:9998112:10000920:1 gene:PRUPE_6G128900 transcript:ONI01224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASDESGSIGYDNDNFEFEFEPEQPLEPQEESSQDDSHHSMGNNTKQNSIFSLTLDEIQCKSGRNFGSMNMDEFLANIWSVEEENQTQQQPSQCDQDASDKDTTINPSTLSRQGSFSIPIPLCKKTVDEVWSEIERSRPQHHDPDNNISDSVPPQRQQTIGEITLEDFLVKAGVVQESPSKSKPPPLKKMESTLIQQQCGDTNMGNEISICLDASFGIGRQLFGSGFFNLQNDVPSNLSGNGYAIGATYPMLGRQSKVIVGEPSCVSAIEKCHSLPESSSGGGAKNKKRIIDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENTKLKQNVAESEQKRKQELLGKKQPTRAQKLAEKLRTMRRAVSLAW >ONH98956 pep chromosome:Prunus_persica_NCBIv2:G6:274241:277888:-1 gene:PRUPE_6G002000 transcript:ONH98956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRRFGRLVKWVGGNGRRPFSTSSSSSCDEMMVQQIASGKSLNLYSAINQALHIALETDPRAYVFGEDVSFGGVFRCTTGLADRFGKQRVFNTPLCEQGIVGFGIGLAAMGNRAVAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHAPGIKVVIPRSPRQAKGLLLSCIRDPNPVVFFEPKWLYRLSVEEVPEHDYMLPLSEAEEFILENWNCGTQIIREGNDITLVGWGAQLSIMEHACKDAEKEGISCELIDLQTLLPWDKDTVEASVRKTGRLLISHEAPVTGGFGAEISASIVERCFLRLEAPVARVCGLDTPFPLVFEPFYMPNKNKILDAIKSTVNY >ONH98955 pep chromosome:Prunus_persica_NCBIv2:G6:273296:278112:-1 gene:PRUPE_6G002000 transcript:ONH98955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRRFGRLVKWVGGNGRRPFSTSSSSSCDEMMVQQIASGKSLNLYSAINQALHIALETDPRAYVFGEDVSFGGVFRCTTGLADRFGKQRVFNTPLCEQGIVGFGIGLAAMGNRAVAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHAPGIKVVIPRSPRQAKGLLLSCIRDPNPVVFFEPKWLYRLSVEEVPEHDYMLPLSEAEIIREGNDITLVGWGAQLSIMEHACKDAEKEGISCELIDLQTLLPWDKDTVEASVRKTGRLLISHEAPVTGGFGAEISASIVERCFLRLEAPVARVCGLDTPFPLVFEPFYMPNKNKILDAIKSTVNY >ONH99857 pep chromosome:Prunus_persica_NCBIv2:G6:3781366:3784263:-1 gene:PRUPE_6G053900 transcript:ONH99857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDENAEIITFLKKELEDSLEKKGSLEKENHDLRQEVARLKAQITSLKAHNSERKSVLWKKFQNSMENNYTDASQQKQSAFVDISEQSPAKEKMCPRPDNTESQATKERPARLPTNAPPPPRPPPISPKEVKENKGLSAPAPPPPPPPSKSLLGSKGVRRVPEVIELYRSLTRKDPHMENKANPAGVHVFALTKNMIGEIENRSSYLSAIKSEVETQAEFINFLISEVESAKFTNIADVEAFVNWLDRQLSSLVDERAVLKHFPQWPERKADTLREAACNYRDLRNLKSEVTSFEDNMKEPMILALRRMEALQDRLERSVSSAERTRESASKKYRDFQIPWEWMLDTGLMGQMKLSSLRLAKEYMKRIIKEVQSSDCSREDNLLLQGVRFAFRVHQVVSIRRPYLHLRN >ONH99856 pep chromosome:Prunus_persica_NCBIv2:G6:3782111:3784076:-1 gene:PRUPE_6G053900 transcript:ONH99856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDENAEIITFLKKELEDSLEKKGSLEKENHDLRQEVARLKAQITSLKAHNSERKSVLWKKFQNSMENNYTDASQQKQSAFVDISEQSPAKEKMCPRPDNTESQATKERPARLPTNAPPPPRPPPISPKEVKENKGLSAPAPPPPPPPSKSLLGSKGVRRVPEVIELYRSLTRKDPHMENKANPAGVHVFALTKNMIGEIENRSSYLSAIKSEVETQAEFINFLISEVESAKFTNIADVEAFVNWLDRQLSSLVDERAVLKHFPQWPERKADTLREAACNYRDLRNLKSEVTSFEDNMKEPMILALRRMEALQDRLERSVSSAERTRESASKKYRDFQIPWEWMLDTGLMGQMKLSSLRLAKEYMKRIIKEVQSSDCSREDNLLLQGVRFAFRVHQFAGGFNSETVLTFEELKKIGTNSSRNGTL >ONI00656 pep chromosome:Prunus_persica_NCBIv2:G6:7027292:7029759:1 gene:PRUPE_6G100900 transcript:ONI00656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIPARVAASLPLLASPLLPSSSLSLQSFCSLISHHRVRKLGLVPTVQSRRLRRCVEEKMAWSLEKCDAGVETELGVVRPATGAHTEEAVEALRAGKVIAVPTDTLYGFACDACSLEAVNRIYEIKGRKHTSPLAICVGDVPDLKRFAATEHLPHGLLENLLPGPVTLVLNRGESSILEKSLNPGLDSIGVRVPDCNFIRDIARRLGSALALTSANLSGQPSSVSIKDFENLWEHCAYVYNGGVLPSGRAGSTVVDLTRLGKYKILRPGSAKEETVAILEMHSLEEEGAAT >ONI00657 pep chromosome:Prunus_persica_NCBIv2:G6:7027358:7029759:1 gene:PRUPE_6G100900 transcript:ONI00657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSLEKCDAGVETELGVVRPATGAHTEEAVEALRAGKVIAVPTDTLYGFACDACSLEAVNRIYEIKGRKHTSPLAICVGDVPDLKRFAATEHLPHGLLENLLPGPVTLVLNRGESSILEKSLNPGLDSIGVRVPDCNFIRDIARRLGSALALTSANLSGQPSSVSIKDFENLWEHCAYVYNGGVLPSGRAGSTVVDLTRLGKYKILRPGSAKEETVAILEMHSLEEEGAAT >ONI00114 pep chromosome:Prunus_persica_NCBIv2:G6:4691512:4696594:1 gene:PRUPE_6G068200 transcript:ONI00114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRHASLGRRTLEDIRQKRAAEKLSKTSSGPDLSKASIPIDHVGMRKSESASRLSESDVGVLVAQIQDLERKNAELEEQNKNLASMLETKEAGGDVLQKRLNDLEKNTVPSLRKALKDVAMEKDAAVVAREDLSAQLRTLKKRLKDAEEEQYRAEEDAASLRAELNMIQQQAMSGTLSAVTSIANSPDQIQTLEKELASLKFELQRESQLRQQERQQLAEEQARASTLTSEKQELEEKLAAISRKASEVSEKVAGKEFSLEDKEKLEKQLHDMAVVIEKLESSRQKLLIEIDSQSSEIERLFEENSNLSSSYQESMNISVHWENQVKDCLKQNEELRGTLDKLRTDQAKGTPESHEGRDNETGSAAYTTEVLSIKDQIAKEQSRAEALSAEVLQLSARLQQSTQAYNGLARLYKPVLRNIESSLIKLKQDGPVTVR >ONI03755 pep chromosome:Prunus_persica_NCBIv2:G6:26206757:26210565:1 gene:PRUPE_6G280100 transcript:ONI03755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLNTVSSIRLSKLNASRVRTQSNLAPISVSFTRRRLTVRAAETDTNEAVEPQVPDKAPAKNGSSFNQLLGIKGASQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWTFEDVAKSIVCMCMSGPFLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVITQIWVLLLGGLSCAGILDVWAGHKFPIVFYLALGGSFLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSVEGDRAMGLQSLPVAFGSETAKWICVGAIDITQLSIAVQVLSQRPCQV >ONI03754 pep chromosome:Prunus_persica_NCBIv2:G6:26206601:26210750:1 gene:PRUPE_6G280100 transcript:ONI03754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLNTVSSIRLSKLNASRVRTQSNLAPISVSFTRRRLTVRAAETDTNEAVEPQVPDKAPAKNGSSFNQLLGIKGASQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWTFEDVAKSIVCMCMSGPFLTGYTQTLNDWYDREIDAINEPYRPIPSGAISENEVITQIWVLLLGGLSCAGILDVWAGHKFPIVFYLALGGSFLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSVEGDRAMGLQSLPVAFGSETAKWICVGAIDITQLSIAGYLLGAGKPYYALALVALIAPQVFFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALAMSH >ONI01034 pep chromosome:Prunus_persica_NCBIv2:G6:8625800:8635058:1 gene:PRUPE_6G117800 transcript:ONI01034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHHLLHFPISLILEKQYQSYLNNLQYYCNTLSSLVLISTNQTLFYSPRTHLYLLHEKKEKRKKEKRTHLYLFLHLFFILKILTIFSLKIVKPLYYLTSTYTESSYACFIYRRLKEHIELIEEQNNMVSFPQTPQIDDEPPKDMDYFPQTPQTSQIESESRSNLDSNEEEEISVKRKMGTPMTYGELDHYALTVQTGEDVVSVMFSLS >ONI02836 pep chromosome:Prunus_persica_NCBIv2:G6:22915284:22919194:1 gene:PRUPE_6G224400 transcript:ONI02836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRADVYCSPLYSPPQVKLVKVGSSSSSWLIQYRSARRLPKGVSVKALKDEAGGETSGFRGQSWDPGSEIEVPYEQRPVNEYSSLKDGVLYSWGELGPGPFLLRLGGLWLVTFTTLGVPIAAASFNPSRDPLKFALAAGTGTLFVVSLIVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVTGALLFIFATPVEDFIHTTFGIKDNSSNVSASKISTKFSIRGVAKIAYGREV >ONI02835 pep chromosome:Prunus_persica_NCBIv2:G6:22915057:22921693:1 gene:PRUPE_6G224400 transcript:ONI02835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRADVYCSPLYSPPQVKLVKVGSSSSSWLIQYRSARRLPKGVSVKALKDEAGGETSGFRGQSWDPGSEIEVPYEQRPVNEYSSLKDGVLYSWGELGPGPFLLRLGGLWLVTFTTLGVPIAAASFNPSRDPLKFALAAGTGTLFVVSLIVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVTGALLFIFATPVEDFIHTTFGIKDNSSNVSASKISTKFSIRKEELLKLPTDVRSDDDLAAAAAEAADGRPVYCRDRFYRALAGGQYCKWEDLLK >ONH99997 pep chromosome:Prunus_persica_NCBIv2:G6:4271806:4273314:-1 gene:PRUPE_6G061700 transcript:ONH99997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYRQTHRLSTCLRHPTKPITGFCAPCLSERLAGLDSAAAAAHPQPPTRNPHSGSELRRSKSCSGQRPESSSANAPDPTRRKSCDVRARNTLSELFNIDDDRKGLPRKFEVELRGEEEKNDSGDEARVLDSDAEFKTMKELIDLEWERKKGAGRDLKEIAGTFWGTASVLSKKVRQWRRKQKTKKAENEGFVCQKRRETQSEVGEYALGRRSCDTDPVPGRMSLDEPRASWDGYLGPRAHHPRLTPMVSVVEESLERSPGGSAQTKDYYCSQRRRSFDRSAAEVDELKLVTNARVSPATTELFYGAKLLITEKELMGSGSKLKSVEDECEEEEEEEEGGVGVGVEAVCKNAAVESTNGVDHQKPNRRHKKWSFWGLMQRRSEKKCVDEDNADSWQKLRRVANGEANSGSVSQKLIRSYSVSCRNSCKMVDLFSNVNDVGVESKSNGLKTLQRNKSARYSPSNLDHGLLRFYLTPLRSYRKSESGKSRPSSNNLQSLPKNVL >ONI02704 pep chromosome:Prunus_persica_NCBIv2:G6:22386017:22386862:-1 gene:PRUPE_6G216500 transcript:ONI02704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGDSKVVLITGCAKGGIGYEYCKAFAEQNCRVFATDISQRMHDIDLNSSDNIEALELDVSSDESVALAVKKVMSKYGRIDVLVNNAGIGSTGPLAELPLETIRRAWEINTLGQLRVVQQVVPYMASRRSGSIVNIGSVVGKVPTPWAGSYCASKAAVHAMSNTLRVELKPFGIDVVLVVPGAVRSNFGSATVERLGGHDWKLYKDFKEAIAERARASQGSKATDASVFARHVANKVLGPKPPKLISFGHMTGLFAVLSCSPLWVRDLFFSTRFKLNKKL >ONH99596 pep chromosome:Prunus_persica_NCBIv2:G6:2851791:2854551:-1 gene:PRUPE_6G037900 transcript:ONH99596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPVDVDIADSDFLNNQLDNGDSSDSDIDNYCMSFTCKNRLFGRQKPLHVVLGAGVSADIVLWRNKQISAYIFMGATLTWLLFERTGYNLVVFVCHASLLSLTALFLWSNLGSFIYVSPPEIPETILPQHLFMRTAISLTATYNQALRSFRHVVFGTDIRDFLSVAVVLWVLSVVGRLCSFMSFLYIVFVILMIVPALYDNLEDSVDSFAERALIAINKQYAVLDEKVIQKLKKSVISSNNRKQQ >ONI04150 pep chromosome:Prunus_persica_NCBIv2:G6:27581249:27585792:-1 gene:PRUPE_6G305500 transcript:ONI04150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKLQPLTFSKRIPFWVCSGACISSASCAKTVECFPGKNQDPPNSSDFEQNIQSLRNKLVPDNLIRVLDNTDDLSSAVKVFKWASLQRRFNHTADTYYRIILKLGLAGKVEEMEGFCQNMVKDKCPGVEEALLALVDMFVKHCRQSEAIRVLVNMKAGGYRPSIETFNAVLGALVEDKRDFQDVLFVYKEMVTAGILPTIETLNYLLEALLETDHIESALGQYRRMKKKGCSPNCRTFEILIKAHIAKGRVDEAVIVLSEMLELGCQPDLSFYSTLIPLFCQNNRPEEGIRLFEMMRASNFMPDSLICGVLLQCLCKNLCLNDAIKVLEDMMETGLTPANDVFADVVNVFCKLGKIDDAMKFLEDKHILETSVCNVLLEGCCSAGKFLMAKDLLVKMSESDVADCNSWNILIRWLCENSRIRVASEILGRMVVSSSLPDCATYSALVIGNCKMSNYRNALDLFHHIRAKSWVLDPTSYSELVKGLCLVEMTHEATEVFCYMSSNRCSIQSSSFNMLIKGVCETGKVDEAIRLQKLAYYSGTSSTSSTYSAIMFGLSKVDNAKDLLVVLSKMLVEGCNLDLDAYCILIQSMSLQNRVKECILLFRMMVNKGLIPDSERLFNLLSCIANLSQLHVISDSIDKLASSSEALNSAIYNVLINGLWKEGYKHEACRLLDIMLEKGWVPDAKTHGLLIGSAVSEEVDWNVLDYDNCTVQDTVSNILVEGLDVT >ONI04149 pep chromosome:Prunus_persica_NCBIv2:G6:27581318:27585792:-1 gene:PRUPE_6G305500 transcript:ONI04149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKLQPLTFSKRIPFWVCSGACISSASCAKTVECFPGKNQDPPNSSDFEQNIQSLRNKLVPDNLIRVLDNTDDLSSAVKVFKWASLQRRFNHTADTYYRIILKLGLAGKVEEMEGFCQNMVKDKCPGVEEALLALVDMFVKHCRQSEAIRVLVNMKAGGYRPSIETFNAVLGALVEDKRDFQDVLFVYKEMVTAGILPTIETLNYLLEALLETDHIESALGQYRRMKKKGCSPNCRTFEILIKAHIAKGRVDEAVIVLSEMLELGCQPDLSFYSTLIPLFCQNNRPEEGIRLFEMMRASNFMPDSLICGVLLQCLCKNLCLNDAIKVLEDMMETGLTPANDVFADVVNVFCKLGKIDDAMKFLEDKHILETSVCNVLLEGCCSAGKFLMAKDLLVKMSESDVADCNSWNILIRWLCENSRIRVASEILGRMVVSSSLPDCATYSALVIGNCKMSNYRNALDLFHHIRAKSWVLDPTSYSELVKGLCLVEMTHEATEVFCYMSSNRCSIQSSSFNMLIKGVCETGKVDEAIRLQKLAYYSGTSSTSSTYSAIMFGLSKVDNAKDLLVVLSKMLVEGCNLDLDAYCILIQSMSLQNRVKECILLFRMMVNKGLIPDSERLFNLLSCIANLSQLHVISDSIDKLASSSEALNSAIYNVLINGLWKEGYKHEACRLLDIMLEKGWVPDAKTHGLLIGSAVSEEVDWNVLDYDNCTVQDTVSNILVEGLDVT >ONI03982 pep chromosome:Prunus_persica_NCBIv2:G6:27026680:27028743:-1 gene:PRUPE_6G295000 transcript:ONI03982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSWPESVLSNGERVKEELMQGRELAGQLCRVFDDHKSTLVSGFGGGGGGSAEDLVDKILGSFANTLLILNGKESDGEIVSGDQIQGISSGGGSADSSSWDANHTAAVIKSEDFYEESCKTTSTFKDRRGSYKRRKTSHSWTRDTHALTDDGHGWRKYGQKRILNSKHSRNYYRCTHKFEQSCKATKYVQQIQDHPPMFRTTYHGNHTCRDLDCTSPRESSNFIRFGDTKQNHPFFSFFTSVKKEELVFKDELIIKKEIPPTSDDRVMTSHNNYSWLCDYLVSPDLTTFESFGPSNGVSSTLDEFDHEDVISRLMMGSFDLDELLQYI >ONI03221 pep chromosome:Prunus_persica_NCBIv2:G6:24254391:24256443:1 gene:PRUPE_6G245200 transcript:ONI03221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSNKQEVEPSSAEIYELPGEPAIVINGMPEIPPSDGTLLTFSNTVHDAESHGKTNFGEWLEGREVRKLFGEQYYSGTVTEYDKESGWYRVVYEDGDFEDLDWTELKEVLLPLDIMVPLKTLALKTLRKSKKPVHKSRYAMARSRTHKAKTTGSKGKKASVPEDASVMNTKVPEDASVMNSNGS >ONI04910 pep chromosome:Prunus_persica_NCBIv2:G6:29736903:29737338:1 gene:PRUPE_6G347700 transcript:ONI04910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSWCATIGQLCSLLATNSLIYLCALLRSLNVQIQINFLSGLHLVNLRYGTAAVPKSENNNYHLKITVLV >ONH99724 pep chromosome:Prunus_persica_NCBIv2:G6:3319115:3335036:1 gene:PRUPE_6G045900 transcript:ONH99724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKGEAARSKARPSSSSLAASLVPSGSTVSVGFGGYVGGSRLEASLATEDSKPYLDVDSELALHLKRLARKDPTTKLKALASLSTLLKEKSTKDIIPIIPQWAFEYKRLVVDYNREVRRATHDTMNNLVTAVGRDLAPQLKSLMGPWWFSQFDPVSEVSQVAKRSLQGAFPAQEKRLDALILCTAEVFIYLEENLRLTPQSMSDKATALDELEEMHQQVISSSLLALATLLDVLVSLQAARPGTENITAQPKHALKARETAISFAEKLFTAHKYFLDFLKSPISAIRSATYSVLSSFIRNIPHAFNEGNMKALAAAIFGAFQEKDPACHSSMWDAVLLFSKRFPDSWTSINVQKIVLNRFWNFLRNGCFGSPKISYPALVPFLDTVPSNAVVGDTFLLEFFQNLWAGRNTSHSSNADRLAFFGAFKDCFLWGLRNASRYCDKVDSVSHFQVTLVKNVLVKLLWHDYLFSSSSKLKEKTFSSLSADSCESGLTSNKKTVETMNIMYPMSYLQELGNCIVGILSGIYLLEHDLLTAFSAEFQESCVGLFHNAGNLETESECAERVNQFISLLGEFAMQKGRSWPLFCLVGPMLAKSFPLMRSHDSPSCVKILSVAVSVFGSRKIVQQLLIQHNLSSCSHSTDGGDKEIEADLFMQMFKESIVPWCLRGNSCSLSARLDMLLALLDDEYFSEQWDTVIRYATNLEHSGSATSSLDSDHITILAMLLEKARDKIANRKEGDVSMGNPDHWHHELLESAAVAVACSPPAFGTSNSQFVCTVVGGSTKNNQTSFVSRDALVLIFEEVFKKLLSFILASSFTWVRNAGPLLSPNLLTSGANNIGPEFESSVTMFEMAQFALEVLDGTLFSLKTLGEESGLVSVILSAIFLIDWEFLVLVTIRDDSPDDESKEKLKSRLVFSELFHAFRCKISNQFWKSLSLHNRQALGSSLIQCMRSAIFNEDKLDTEKFTSLCCLWMLEVLDCLSQDQYEEQNLLDQLLCQGERWPLWIVPDFSSPEGLVAKNFSADVHDFGHRKFVSFIVKIISELGIDRVVAGYVKHSLPPSQETANEERTRSWLAAEILCTWKWPGGCAVASFLPSLSAYAKSRNYSSQESLLDFVFNILLDGALIHGGCGAQNFVYLGPASSEEVEDIEEPFLRALVAFLLTLFKDNIWETEKAMMLFELLVNKIFVGEAINTNCLRILPLIVNVLIRPLSQRSIRSHDSSRDTQPDSSGENRVPDVIASWLQKAISFPPLITWQTGQDMEDWFQLVISCYPFSTLGGLETPTLERNISSGESTLLLELFRKQRGPGTSTVINQLPVVQTLLSRLIVVSVGYCWKEFDEDDWEFVLYQLRRWIQSAVVMMEEIAENVNDTITSSFASHNLDSILNKLGTILYISDPFPIDIAKNALLSFSLSCGPFGLRQAEDADNINPLRMERWDPIKDRILEGILRLFFCTGIAEAIASSCCDEAASLISLSRFEHSQFWELVASSVVNSSTNARDRAVKSVEFWGLSKGPISSLYAILFSSKTIPLLQFAAYSIISSEPVLHLAIVEDKTYLDGVTNSEEDSSPHNMSTETSIHLKEEISCMIEKLPHQVLEMDLVAEQRVHVFLAWSLLLSHLWSLPSSSPARERLVQYIQDSADSVILDCLFQHIPLGLGMAHVIKKKDIELPAGIAEAAAAATRAITTGSLLFSVQSLWPVEPVKMASLSGAMFGLMLRILPAYVRQWFSDLRDRSTLSGIESFTRAWCSPPLIANELSLIKKNDLADENFSISVSKSANEVVATYTKDETGMDLVIHLPSSYPLRPVDVDCMRSLGISEVKQRKWLMSMSSFVRNQNGALAEAIKIWKSNFDKEFEGVEECPICYSVIHTTNHGLPRLPCRTCKHKFHSACLYKWFSTSHKSTCPLCQSPF >ONH99727 pep chromosome:Prunus_persica_NCBIv2:G6:3327781:3334392:1 gene:PRUPE_6G045900 transcript:ONH99727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMFKESIVPWCLRGNSCSLSARLDMLLALLDDEYFSEQWDTVIRYATNLEHSGSATSSLDSDHITILAMLLEKARDKIANRKEGDVSMGNPDHWHHELLESAAVAVACSPPAFGTSNSQFVCTVVGGSTKNNQTSFVSRDALVLIFEEVFKKLLSFILASSFTWVRNAGPLLSPNLLTSGANNIGPEFESSVTMFEMAQFALEVLDGTLFSLKTLGEESGLVSVILSAIFLIDWEFLVLVTIRDDSPDDESKEKLKSRLVFSELFHAFRCKISNQFWKSLSLHNRQALGSSLIQCMRSAIFNEDKLDTEKFTSLCCLWMLEVLDCLSQDQYEEQNLLDQLLCQGERWPLWIVPDFSSPEGLVAKNFSADVHDFGHRKFVSFIVKIISELGIDRVVAGYVKHSLPPSQETANEERTRSWLAAEILCTWKWPGGCAVASFLPSLSAYAKSRNYSSQESLLDFVFNILLDGALIHGGCGAQNFVYLGPASSEEVEDIEEPFLRALVAFLLTLFKDNIWETEKAMMLFELLVNKIFVGEAINTNCLRILPLIVNVLIRPLSQRSIRSHDSSRDTQPDSSGENRVPDVIASWLQKAISFPPLITWQTGQDMEDWFQLVISCYPFSTLGGLETPTLERNISSGESTLLLELFRKQRGPGTSTVINQLPVVQTLLSRLIVVSVGYCWKEFDEDDWEFVLYQLRRWIQSAVVMMEEIAENVNDTITSSFASHNLDSILNKLGTILYISDPFPIDIAKNALLSFSLSCGPFGLRQAEDADNINPLRMERWDPIKDRILEGILRLFFCTGIAEAIASSCCDEAASLISLSRFEHSQFWELVASSVVNSSTNARDRAVKSVEFWGLSKGPISSLYAILFSSKTIPLLQFAAYSIISSEPVLHLAIVEDKTYLDGVTNSEEDSSPHNMSTETSIHLKEEISCMIEKLPHQVLEMDLVAEQRVHVFLAWSLLLSHLWSLPSSSPARERLVQYIQDSADSVILDCLFQHIPLGLGMAHVIKKKDIELPAGIAEAAAAATRAITTGSLLFSVQSLWPVEPVKMASLSGAMFGLMLRILPAYVRQWFSDLRDRSTLSGIESFTRAWCSPPLIANELSLIKKNDLADENFSISVSKSANEVVATYTKDETGMDLVIHLPSSYPLRPVDVDCMRSLGISEVKQRKWLMSMSSFVRNQNGALAEAIKIWKSNFDKEFEGVEECPICYSVIHTTNHGLPRLPCRTCKHKFHSACLYKWFSTSHKSTCPLCQSPF >ONH99725 pep chromosome:Prunus_persica_NCBIv2:G6:3319115:3333503:1 gene:PRUPE_6G045900 transcript:ONH99725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKGEAARSKARPSSSSLAASLVPSGSTVSVGFGGYVGGSRLEASLATEDSKPYLDVDSELALHLKRLARKDPTTKLKALASLSTLLKEKSTKDIIPIIPQWAFEYKRLVVDYNREVRRATHDTMNNLVTAVGRDLAPQLKSLMGPWWFSQFDPVSEVSQVAKRSLQGAFPAQEKRLDALILCTAEVFIYLEENLRLTPQSMSDKATALDELEEMHQQVISSSLLALATLLDVLVSLQAARPGTENITAQPKHALKARETAISFAEKLFTAHKYFLDFLKSPISAIRSATYSVLSSFIRNIPHAFNEGNMKALAAAIFGAFQEKDPACHSSMWDAVLLFSKRFPDSWTSINVQKIVLNRFWNFLRNGCFGSPKISYPALVPFLDTVPSNAVVGDTFLLEFFQNLWAGRNTSHSSNADRLAFFGAFKDCFLWGLRNASRYCDKVDSVSHFQVTLVKNVLVKLLWHDYLFSSSSKLKEKTFSSLSADSCESGLTSNKKTVETMNIMYPMSYLQELGNCIVGILSGIYLLEHDLLTAFSAEFQESCVGLFHNAGNLETESECAERVNQFISLLGEFAMQKGRSWPLFCLVGPMLAKSFPLMRSHDSPSCVKILSVAVSVFGSRKIVQQLLIQHNLSSCSHSTDGGDKEIEADLFMQMFKESIVPWCLRGNSCSLSARLDMLLALLDDEYFSEQWDTVIRYATNLEHSGSATSSLDSDHITILAMLLEKARDKIANRKEGDVSMGNPDHWHHELLESAAVAVACSPPAFGTSNSQFVCTVVGGSTKNNQTSFVSRDALVLIFEEVFKKLLSFILASSFTWVRNAGPLLSPNLLTSGANNIGPEFESSVTMFEMAQFALEVLDGTLFSLKTLGEESGLVSVILSAIFLIDWEFLVLVTIRDDSPDDESKEKLKSRLVFSELFHAFRCKISNQFWKSLSLHNRQALGSSLIQCMRSAIFNEDKLDTEKFTSLCCLWMLEVLDCLSQDQYEEQNLLDQLLCQGERWPLWIVPDFSSPEGLVAKNFSADVHDFGHRKFVSFIVKIISELGIDRVVAGYVKHSLPPSQETANEERTRSWLAAEILCTWKWPGGCAVASFLPSLSAYAKSRNYSSQESLLDFVFNILLDGALIHGGCGAQNFVYLGPASSEEVEDIEEPFLRALVAFLLTLFKDNIWETEKAMMLFELLVNKIFVGEAINTNCLRILPLIVNVLIRPLSQRSIRSHDSSRDTQPDSSGENRVPDVIASWLQKAISFPPLITWQTGQDMEDWFQLVISCYPFSTLGGLETPTLERNISSGESTLLLELFRKQRGPGTSTVINQLPVVQTLLSRLIVVSVGYCWKEFDEDDWEFVLYQLRRWIQSAVVMMEEIAENVNDTITSSFASHNLDSILNKLGTILYISDPFPIDIAKNALLSFSLSCGPFGLRQAEDADNINPLRMERWDPIKDRILEGILRLFFCTGIAEAIASSCCDEAASLISLSRFEHSQFWELVASSVVNSSTNARDRAVKSVEFWGLSKGPISSLYAILFSSKTIPLLQFAAYSIISSEPVLHLAIVEDKTYLDGVTNSEEDSSPHNMSTETSIHLKEEISCMIEKLPHQVLEMDLVAEQRVHVFLAWSLLLSHLWSLPSSSPARERLVQYIQDSADSVILDCLFQHIPLGLGMAHVIKKKDIELPAGIAEAAAAATRAITTGSLLFSVQSLWPVEPVKMASLSGAMFGLMLRILPAYVRQWFSDLRDRSTLSGIESFTRAWCSPPLIANELSLIKKNDLADENFSISVSKSANEVVATYTKDETGMDLVIHLPSSYPLRPVDVDCMRSLGISEVKQRKWLMSMSSFVRNQMELR >ONI04023 pep chromosome:Prunus_persica_NCBIv2:G6:27112824:27115807:1 gene:PRUPE_6G296900 transcript:ONI04023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLTLVASYPLCPIVSFELCRQASNKLFFPCNISEKLAKASRVHKTYQLKAGFWESIKSGILNNNTTQVVEPPSTLPEEEEEPLPQEFVLIEKTEPDGTVEEIIFSSGGDIDVYDLQALCDKVGWPRRPLSKLAAALKNSYMVATLHSVRKSPGSEGNDQKKLIGMARATSDHAFNATIWDVLVDPGYQGQGLGKALVEKLIRALLQRDIGNISLFADSQVVEFYQNLGFEPDPEGIKGMFWYPKY >ONI05216 pep chromosome:Prunus_persica_NCBIv2:G6:30523072:30524798:1 gene:PRUPE_6G362900 transcript:ONI05216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIALLHLSATPKTLIPKSYVCSSFPSSTLVAPRSISFPQLVKRKSKPKSNITCLSSYNNNNTTPTPATDRLISAVAYTLPFFNSLQYGRYLFFQFPKLGLLFEPLIPLLSLYRSMPYSSFVAFFALYLGVVRNPSFSHYVRFNAMQAVTLDVLLVLPLLIQRIFSPGRAGLGYKLMVWGHNGIFVFSVFCFVYSVVSSILGRTPYLPFVADAAARQL >ONI00504 pep chromosome:Prunus_persica_NCBIv2:G6:6348612:6356883:-1 gene:PRUPE_6G092200 transcript:ONI00504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDVPLIEIAEEDDSLLQLIQDDNVSSSNRSKSTSDDDIFFCSPLQPCRSKPVVVNEKTQKPSSPSCRDSIDKENNGSNANKIEEQKLSLQPQQMKRKKGGGYNLRKSLAWDRAFFTDEGVLNSEELSLISGSANSNGLLSVIHEDTNSIADSEDLEVIEENLFKVFPESPSSKDRTVGGSLLPKRDSSAKDNAAPGSAAKRKVLSARDINRSGSKRSGCPRPLASSSLKRPANVNTMKSPIKEPRISTLPVRKPDPCLLSRTSKNVMVGANDLKRNQIAHPAGKLNNKKTGPNNAKVATGKSLTSKSSVRQARRNVASFVKGSPTKIPCPPTIEANNGFEVISKQTLPSTGHASYGHDDRSKKTAVPQMISKQALPSTGHASYGRNDRSRKTAAPEAISKQALPSIGHATYGCDDRSRKPAVPLPHTGVNLSRTQLPTSKPSGLRMPSPSLSFFHQPKASSLPSPLKTSSQPCIIPNLRQVGSVDPIHELRPPSSLGKKQNVINDRTVIGNVNVQCSSSGCSVSSTINSATKDKVEPFAQVTNMQKVELKVQSSSSSYDMTENQPKFNSIPVHEDQQSMGEVEFQRNDDKFLLQGGSCEQLVKDDNSVKAAFDMEKPHVTPHLSSAVKFPCFSGENDITVHQLLEDKSHDLPQKNCGVLLRFKYEEASSSGSVLISYDDQSSSDHNVNELHGAEADLFKPMTSEGNQISDEDQMIWENTGSFTKECQVSEDIQSYSSVKVAGVSPKVQNSSASELKRSDPLSDSRFKNQAKDDGSRFNSKSRNPHVEDAQMLALGGRMIDEMNDVDLSTSAPENCQLVITDCGNEKPEQLDLPNSCYVGEQVFQENKLHLNDCVLDGFSIFPEESQQKNVLQCVDSKEPEGTGACRSESDRTLSLIGNINVQCSSSCCSVSSTINSATKDKVEPFSQVTDMQKVELKVQSSSNSYDMTENQRKFNSIPVHEEQQSMGEVEFQRNVDKSLLQGGSCEQLDKDDNSVKAAFVLTPKSKDMDKPHVTPHLSSAVKFPCFSGENKITIHQLLEDKSHDLPQKNCGVLLKSKSEEASSSGGILIAYDDQSSRDHNVNELHGAEAELFRPMTCEGNQISDEDHMIWENTCSFTKECQVSEEIQSYSSVKVADVSPKVQNSSASELKRSDALSDPHVEDAQMLALGGRFMDEMNDADLSTSAPENCGRLIDEMIDVDMSTSAPENCQLVRTDCGNEKPEQPDLPNSCYVGEQVSQENKLHLNDCVLDGFSIFPEESQQKFFLQFVDSKVPEGTGTCRSESEVSRTVSLIPHGMLDFSSNVAEIVESQNVDHTASVSENNETVVESYNLDSQSMNDFQLHCQDCSLKLDSVGDFTSKLNVKVQVEGGSAIKDVIEPKDFGTKDCNLPVESCYGVPQLQTEDDKSYCQQYTSVEQSDKINLSSDIGVIREYQDINPGSCLNGTLLANNASCKVFEETVHEVGLDDCDACGIESKSSKVAAAMHDGRPDLEEKADYLQMEVAVTSSFKIEPSSENLQSCTKSSHDNKLSNQAGPVESDVSAKTTNEENSVSTLAYSSCEGELCSSVISDPRSSSGRILQEIEVNVLSRKTLAEESEINILEENHTLSSELQHELEDTLHPTEDNEATKEIKKSGSDRKQDAPVIKLPPDAVPFSDEWLAALEAAGEVKRKTNQVIGPFDCTKHTNNVPPSSQ >ONI00503 pep chromosome:Prunus_persica_NCBIv2:G6:6348612:6356883:-1 gene:PRUPE_6G092200 transcript:ONI00503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDVPLIEIAEEDDSLLQLIQDDNVSSSNRSKSTSDDDIFFCSPLQPCRSKPVVVNEKTQKPSSPSCRDSIDKENNGSNANKIEEQKLSLQPQQMKRKKGGGYNLRKSLAWDRAFFTDEGVLNSEELSLISGSANSNGLLSVIHEDTNSIADSEDLEVIEENLFKVFPESPSSKDRTVGGSLLPKRDSSAKDNAAPGSAAKRKVLSARDINRSGSKRSGCPRPLASSSLKRPANVNTMKSPIKEPRISTLPVRKPDPCLLSRTSKNVMVGANDLKRNQIAHPGKLNNKKTGPNNAKVATGKSLTSKSSVRQARRNVASFVKGSPTKIPCPPTIEANNGFEVISKQTLPSTGHASYGHDDRSKKTAVPQMISKQALPSTGHASYGRNDRSRKTAAPEAISKQALPSIGHATYGCDDRSRKPAVPLPHTGVNLSRTQLPTSKPSGLRMPSPSLSFFHQPKASSLPSPLKTSSQPCIIPNLRQVGSVDPIHELRPPSSLGKKQNVINDRTVIGNVNVQCSSSGCSVSSTINSATKDKVEPFAQVTNMQKVELKVQSSSSSYDMTENQPKFNSIPVHEDQQSMGEVEFQRNDDKFLLQGGSCEQLVKDDNSVKAAFDMEKPHVTPHLSSAVKFPCFSGENDITVHQLLEDKSHDLPQKNCGVLLRFKYEEASSSGSVLISYDDQSSSDHNVNELHGAEADLFKPMTSEGNQISDEDQMIWENTGSFTKECQVSEDIQSYSSVKVAGVSPKVQNSSASELKRSDPLSDSRFKNQAKDDGSRFNSKSRNPHVEDAQMLALGGRMIDEMNDVDLSTSAPENCQLVITDCGNEKPEQLDLPNSCYVGEQVFQENKLHLNDCVLDGFSIFPEESQQKNVLQCVDSKEPEGTGACRSESDRTLSLIGNINVQCSSSCCSVSSTINSATKDKVEPFSQVTDMQKVELKVQSSSNSYDMTENQRKFNSIPVHEEQQSMGEVEFQRNVDKSLLQGGSCEQLDKDDNSVKAAFVLTPKSKDMDKPHVTPHLSSAVKFPCFSGENKITIHQLLEDKSHDLPQKNCGVLLKSKSEEASSSGGILIAYDDQSSRDHNVNELHGAEAELFRPMTCEGNQISDEDHMIWENTCSFTKECQVSEEIQSYSSVKVADVSPKVQNSSASELKRSDALSDPHVEDAQMLALGGRFMDEMNDADLSTSAPENCGRLIDEMIDVDMSTSAPENCQLVRTDCGNEKPEQPDLPNSCYVGEQVSQENKLHLNDCVLDGFSIFPEESQQKFFLQFVDSKVPEGTGTCRSESEVSRTVSLIPHGMLDFSSNVAEIVESQNVDHTASVSENNETVVESYNLDSQSMNDFQLHCQDCSLKLDSVGDFTSKLNVKVQVEGGSAIKDVIEPKDFGTKDCNLPVESCYGVPQLQTEDDKSYCQQYTSVEQSDKINLSSDIGVIREYQDINPGSCLNGTLLANNASCKVFEETVHEVGLDDCDACGIESKSSKVAAAMHDGRPDLEEKADYLQMEVAVTSSFKIEPSSENLQSCTKSSHDNKLSNQAGPVESDVSAKTTNEENSVSTLAYSSCEGELCSSVISDPRSSSGRILQEIEVNVLSRKTLAEESEINILEENHTLSSELQHELEDTLHPTEDNEATKEIKKSGSDRKQDAPVIKLPPDAVPFSDEWLAALEAAGEEILTKKSGAVQNSPPNKSEPQIGPWSPVKRKTNQVIGPFDCTKHTNNVPPSSQ >ONI00506 pep chromosome:Prunus_persica_NCBIv2:G6:6348612:6356883:-1 gene:PRUPE_6G092200 transcript:ONI00506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEKTQKPSSPSCRDSIDKENNGSNANKIEEQKLSLQPQQMKRKKGGGYNLRKSLAWDRAFFTDEGVLNSEELSLISGSANSNGLLSVIHEDTNSIADSEDLEVIEENLFKVFPESPSSKDRTVGGSLLPKRDSSAKDNAAPGSAAKRKVLSARDINRSGSKRSGCPRPLASSSLKRPANVNTMKSPIKEPRISTLPVRKPDPCLLSRTSKNVMVGANDLKRNQIAHPGKLNNKKTGPNNAKVATGKSLTSKSSVRQARRNVASFVKGSPTKIPCPPTIEANNGFEVISKQTLPSTGHASYGHDDRSKKTAVPQMISKQALPSTGHASYGRNDRSRKTAAPEAISKQALPSIGHATYGCDDRSRKPAVPLPHTGVNLSRTQLPTSKPSGLRMPSPSLSFFHQPKASSLPSPLKTSSQPCIIPNLRQVGSVDPIHELRPPSSLGKKQNVINDRTVIGNVNVQCSSSGCSVSSTINSATKDKVEPFAQVTNMQKVELKVQSSSSSYDMTENQPKFNSIPVHEDQQSMGEVEFQRNDDKFLLQGGSCEQLVKDDNSVKAAFDMEKPHVTPHLSSAVKFPCFSGENDITVHQLLEDKSHDLPQKNCGVLLRFKYEEASSSGSVLISYDDQSSSDHNVNELHGAEADLFKPMTSEGNQISDEDQMIWENTGSFTKECQVSEDIQSYSSVKVAGVSPKVQNSSASELKRSDPLSDSRFKNQAKDDGSRFNSKSRNPHVEDAQMLALGGRMIDEMNDVDLSTSAPENCQLVITDCGNEKPEQLDLPNSCYVGEQVFQENKLHLNDCVLDGFSIFPEESQQKNVLQCVDSKEPEGTGACRSESDRTLSLIGNINVQCSSSCCSVSSTINSATKDKVEPFSQVTDMQKVELKVQSSSNSYDMTENQRKFNSIPVHEEQQSMGEVEFQRNVDKSLLQGGSCEQLDKDDNSVKAAFVLTPKSKDMDKPHVTPHLSSAVKFPCFSGENKITIHQLLEDKSHDLPQKNCGVLLKSKSEEASSSGGILIAYDDQSSRDHNVNELHGAEAELFRPMTCEGNQISDEDHMIWENTCSFTKECQVSEEIQSYSSVKVADVSPKVQNSSASELKRSDALSDPHVEDAQMLALGGRFMDEMNDADLSTSAPENCGRLIDEMIDVDMSTSAPENCQLVRTDCGNEKPEQPDLPNSCYVGEQVSQENKLHLNDCVLDGFSIFPEESQQKFFLQFVDSKVPEGTGTCRSESEVSRTVSLIPHGMLDFSSNVAEIVESQNVDHTASVSENNETVVESYNLDSQSMNDFQLHCQDCSLKLDSVGDFTSKLNVKVQVEGGSAIKDVIEPKDFGTKDCNLPVESCYGVPQLQTEDDKSYCQQYTSVEQSDKINLSSDIGVIREYQDINPGSCLNGTLLANNASCKVFEETVHEVGLDDCDACGIESKSSKVAAAMHDGRPDLEEKADYLQMEVAVTSSFKIEPSSENLQSCTKSSHDNKLSNQAGPVESDVSAKTTNEENSVSTLAYSSCEGELCSSVISDPRSSSGRILQEIEVNVLSRKTLAEESEINILEENHTLSSELQHELEDTLHPTEDNEATKEIKKSGSDRKQDAPVIKLPPDAVPFSDEWLAALEAAGEEILTKKSGAVQNSPPNKSEPQIGPWSPVKRKTNQVIGPFDCTKHTNNVPPSSQ >ONI00507 pep chromosome:Prunus_persica_NCBIv2:G6:6349331:6356352:-1 gene:PRUPE_6G092200 transcript:ONI00507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEKTQKPSSPSCRDSIDKENNGSNANKIEEQKLSLQPQQMKRKKGGGYNLRKSLAWDRAFFTDEGVLNSEELSLISGSANSNGLLSVIHEDTNSIADSEDLEVIEENLFKVFPESPSSKDRTVGGSLLPKRDSSAKDNAAPGSAAKRKVLSARDINRSGSKRSGCPRPLASSSLKRPANVNTMKSPIKEPRISTLPVRKPDPCLLSRTSKNVMVGANDLKRNQIAHPAGKLNNKKTGPNNAKVATGKSLTSKSSVRQARRNVASFVKGSPTKIPCPPTIEANNGFEVISKQTLPSTGHASYGHDDRSKKTAVPQMISKQALPSTGHASYGRNDRSRKTAAPEAISKQALPSIGHATYGCDDRSRKPAVPLPHTGVNLSRTQLPTSKPSGLRMPSPSLSFFHQPKASSLPSPLKTSSQPCIIPNLRQVGSVDPIHELRPPSSLGKKQNVINDRTVIGNVNVQCSSSGCSVSSTINSATKDKVEPFAQVTNMQKVELKVQSSSSSYDMTENQPKFNSIPVHEDQQSMGEVEFQRNDDKFLLQGGSCEQLVKDDNSVKAAFDMEKPHVTPHLSSAVKFPCFSGENDITVHQLLEDKSHDLPQKNCGVLLRFKYEEASSSGSVLISYDDQSSSDHNVNELHGAEADLFKPMTSEGNQISDEDQMIWENTGSFTKECQVSEDIQSYSSVKVAGVSPKVQNSSASELKRSDPLSDSRFKNQAKDDGSRFNSKSRNPHVEDAQMLALGGRMIDEMNDVDLSTSAPENCQLVITDCGNEKPEQLDLPNSCYVGEQVFQENKLHLNDCVLDGFSIFPEESQQKNVLQCVDSKEPEGTGACRSESDRTLSLIGNINVQCSSSCCSVSSTINSATKDKVEPFSQVTDMQKVELKVQSSSNSYDMTENQRKFNSIPVHEEQQSMGEVEFQRNVDKSLLQGGSCEQLDKDDNSVKAAFVLTPKSKDMDKPHVTPHLSSAVKFPCFSGENKITIHQLLEDKSHDLPQKNCGVLLKSKSEEASSSGGILIAYDDQSSRDHNVNELHGAEAELFRPMTCEGNQISDEDHMIWENTCSFTKECQVSEEIQSYSSVKVADVSPKVQNSSASELKRSDALSDPHVEDAQMLALGGRFMDEMNDADLSTSAPENCGRLIDEMIDVDMSTSAPENCQLVRTDCGNEKPEQPDLPNSCYVGEQVSQENKLHLNDCVLDGFSIFPEESQQKFFLQFVDSKVPEGTGTCRSESEVSRTVSLIPHGMLDFSSNVAEIVESQNVDHTASVSENNETVVESYNLDSQSMNDFQLHCQDCSLKLDSVGDFTSKLNVKVQVEGGSAIKDVIEPKDFGTKDCNLPVESCYGVPQLQTEDDKSYCQQYTSVEQSDKINLSSDIGVIREYQDINPGSCLNGTLLANNASCKVFEETVHEVGLDDCDACGIESKSSKVAAAMHDGRPDLEEKADYLQMEVAVTSSFKIEPSSENLQSCTKSSHDNKLSNQAGPVESDVSAKTTNEENSVSTLAYSSCEGELCSSVISDPRSSSGRILQEIEVNVLSRKTLAEESEINILEENHTLSSELQHELEDTLHPTEDNEATKEIKKSGSDRKQDAPVIKLPPDAVPFSDEWLAALEAAGEEILTKKSGAVQNSPPNKSEPQIGPWSPVKRKTNQVIGPFDCTKHTNNVPPSSQ >ONI00505 pep chromosome:Prunus_persica_NCBIv2:G6:6349331:6356510:-1 gene:PRUPE_6G092200 transcript:ONI00505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDVPLIEIAEEDDSLLQLIQDDNVSSSNRSKSTSDDDIFFCSPLQPCRSKPVVVNEKTQKPSSPSCRDSIDKENNGSNANKIEEQKLSLQPQQMKRKKGGGYNLRKSLAWDRAFFTDEGVLNSEELSLISGSANSNGLLSVIHEDTNSIADSEDLEVIEENLFKVFPESPSSKDRTVGGSLLPKRDSSAKDNAAPGSAAKRKVLSARDINRSGSKRSGCPRPLASSSLKRPANVNTMKSPIKEPRISTLPVRKPDPCLLSRTSKNVMVGANDLKRNQIAHPAGKLNNKKTGPNNAKVATGKSLTSKSSVRQARRNVASFVKGSPTKIPCPPTIEANNGFEVISKQTLPSTGHASYGHDDRSKKTAVPQMISKQALPSTGHASYGRNDRSRKTAAPEAISKQALPSIGHATYGCDDRSRKPAVPLPHTGVNLSRTQLPTSKPSGLRMPSPSLSFFHQPKASSLPSPLKTSSQPCIIPNLRQVGSVDPIHELRPPSSLGKKQNVINDRTVIGNVNVQCSSSGCSVSSTINSATKDKVEPFAQVTNMQKVELKVQSSSSSYDMTENQPKFNSIPVHEDQQSMGEVEFQRNDDKFLLQGGSCEQLVKDDNSVKAAFDMEKPHVTPHLSSAVKFPCFSGENDITVHQLLEDKSHDLPQKNCGVLLRFKYEEASSSGSVLISYDDQSSSDHNVNELHGAEADLFKPMTSEGNQISDEDQMIWENTGSFTKECQVSEDIQSYSSVKVAGVSPKVQNSSASELKRSDPLSDSRFKNQAKDDGSRFNSKSRNPHVEDAQMLALGGRMIDEMNDVDLSTSAPENCQLVITDCGNEKPEQLDLPNSCYVGEQVFQENKLHLNDCVLDGFSIFPEESQQKNVLQCVDSKEPEGTGACRSESDRTLSLIGNINVQCSSSCCSVSSTINSATKDKVEPFSQVTDMQKVELKVQSSSNSYDMTENQRKFNSIPVHEEQQSMGEVEFQRNVDKSLLQGGSCEQLDKDDNSVKAAFVLTPKSKDMDKPHVTPHLSSAVKFPCFSGENKITIHQLLEDKSHDLPQKNCGVLLKSKSEEASSSGGILIAYDDQSSRDHNVNELHGAEAELFRPMTCEGNQISDEDHMIWENTCSFTKECQVSEEIQSYSSVKVADVSPKVQNSSASELKRSDALSDPHVEDAQMLALGGRFMDEMNDADLSTSAPENCGRLIDEMIDVDMSTSAPENCQLVRTDCGNEKPEQPDLPNSCYVGEQVSQENKLHLNDCVLDGFSIFPEESQQKFFLQFVDSKVPEGTGTCRSESEVSRTVSLIPHGMLDFSSNVAEIVESQNVDHTASVSENNETVVESYNLDSQSMNDFQLHCQDCSLKLDSVGDFTSKLNVKVQVEGGSAIKDVIEPKDFGTKDCNLPVESCYGVPQLQTEDDKSYCQQYTSVEQSDKINLSSDIGVIREYQDINPGSCLNGTLLANNASCKVFEETVHEVGLDDCDACGIESKSSKVAAAMHDGRPDLEEKADYLQMEVAVTSSFKIEPSSENLQSCTKSSHDNKLSNQAGPVESDVSAKTTNEENSVSTLAYSSCEGELCSSVISDPRSSSGRILQEIEVNVLSRKTLAEESEINILEENHTLSSELQHELEDTLHPTEDNEATKEIKKSGSDRKQDAPVIKLPPDAVPFSDEWLAALEAAGEEILTKKSGAVQNSPPNKSEPQIGPWSPVKRKTNQVIGPFDCTKHTNNVPPSSQ >ONI04457 pep chromosome:Prunus_persica_NCBIv2:G6:28454964:28460157:-1 gene:PRUPE_6G322700 transcript:ONI04457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDFELDGKSGATVEVEEARARVSEGGAGSSKDEARVSTMEFDSGAPESEAGDSRVSRGGRSEEDRARVRVSPESDVRNVDKVMESKGSGIQLEKASVFVFHDDQEDVFDIGRVEIDEDYEKFDNENDEDDRADAEKDKSYEHRSLLSEFDEFVANEKSGVALGTSRALSYGFEVGDLVWGKVKSHPWWPGHIFNEAFASSQVRRTRREGHVLVAFFGDSSYGWFDPAELIPFDPHFAEKSLQTNHRTFVKAVEEAVDEANRRCGVGLACKCRNPYNFRATSVQGYFVVDVPDYEPGAVYSENQIKKVRDSFKPSEILSFLKQLAVLPHGDDQKSLNFNKNKATAFAFRKAVFEEYDETYAQAFGVHQGRSSRKLVAPVDPPRAPLSGPLVIAEVLGGRKNATKPMKVKDHSKKDKYVFKRRDEPSNLKTHLTSQGQASSSAPFAGLEGSIPLVDGDYTVQKRAPAVSTKTRVPAKHEQTDFIGRSSTVSNTDVYGKEAVIIDQATANSSLTTQDVTNDAKPSLDKERGALQEVKDGGTSTECLDLFGEGTKQRTKDGTSQPLKQEAEGLVEIKCEESAKLSGSHENFQQPSSSLKKVEGGYELNQVRDGRGVGDPSSVEAKSSGGMKAIGGVKKAKVLKRRAEDLRTEDSMMGDNRKKKKKKQLGSEASFRNPQKPLTSGKVHSSGSKVAGNSKDAGLAPREDIQVEHHKKDVVASNNSSETVGKFSIVGLGDVELELPQLVSDLQALALDPFHGFETNSPAIVRQFFLHFRSLVYQKSLVLSPPSETEPVEVRSSKSPSGVKASDISPTEQVRDLPFSKAAKPMFRSDDPTIAGRKRAPSDRQGDIAAKRSKKISDLKTLAAEKKASQRALESKRVEAKESAVPLLRRSIKPGFAKKTEPASKAVEPTMLVMKFPPKISLPSPAELKAKFARFGPMDQSGLRVFWKSATCRVVFLHKSDAQAALKFATANSSLFGNFSVRCQIREVGGPEVPDSGKGDNPSEIPRVKDSSVGQSPAMASALRQQQQALLPQSAVQLKSILKKSSGEEQGGQVTTGGNGNSKGTARVKFMLGGEESSRSTDQFMMAGNRNNFNNNNSSASFADGGAAAHSSSTSSIAMDFNTRNFQKVNAPPTFSSSPPILPPPLGPPLPPQYAKPPHNKFPQHHSEMAPPRNSQHLNTPTAFPSAPSVDISHQMLSLLTRCNDVVANVKGLLGYVPYHPL >ONI02523 pep chromosome:Prunus_persica_NCBIv2:G6:21132391:21133803:-1 gene:PRUPE_6G203800 transcript:ONI02523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTEPKKLHIAVFPWLAFGHIIPFFEVAKHVARRGHKVSFISTPRNIQRLPKIPQHLTPLINLVQIPLPRVENLPENAEATMDVPYHIIPYLKIAHDGLEQGISNFLQTHKPDWIIHDFAPHWLPPIASSLGISRAHFSIFNASTLCFIGPTSPEGLDRYGVRTLPEHFTVPPEWIPFPSNLVFRSFEAKKLFDATKQNASGVSDLFRVQSTVQGCQVYLIRSCREIEGEWLDLLQELQQFPVVPIGLLPPLVQTREDKEDWSRIYQWLDKQENGTVVYIALGSELNLSQEDFTELALGLELSGLPFFWVLRTPSWSGDSDSVKLPDGFEERTKGRGLVWTTWAPQTKILAHDSIGGFLTHCGWSSLIEALQYGRPLIMLPFLYDQGLIARFWDKKIGIEVPRNEEDGSFTRKSLAESLNLVVVDEEGKAYRDGAKEHGEVFKDKDLHDRYMDKCVEYLENHVHMHEV >ONI00128 pep chromosome:Prunus_persica_NCBIv2:G6:4763709:4764323:-1 gene:PRUPE_6G069400 transcript:ONI00128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSMRSALVTFFILAMVLSPISTSEAARSTFRDAKTVCPACVCCTPPPHGSCCKCCATPSSPSRSQSERSSP >ONI00425 pep chromosome:Prunus_persica_NCBIv2:G6:6013275:6016776:-1 gene:PRUPE_6G088200 transcript:ONI00425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQSRSLILGVVLLIGFALTNSKAALTVPPIHIDTPFNRSSFPPGFIFGSASGSYQYEGAASEGGRGPSIWDTYTHNHSERIADRSNGDIALDQYHKYKEDVGIMKDMGLDAYRFSISWSRLLPSGKLSDGVNEDGITYYNNLINELLRNGLKPFVTLFHWDLPQTLEDEYGGFLSPRVVNHFQDYAELCYKKFGDRVKYWTTLNEPFTYSSNGYAFGSMAPGRCSTWQKLNCTGGNSAIEPYLVTHHLLLAHAAAANLYKNKFQASQKGVIGLTLVSPWFVPLSEANEDQKAALRALDFTFGWFMEPLTSGNYPHIMQSLVQGRLPKFTEEQSKLLIGSFDFLGLNYYTGFYASDTSHNISAHASYNASDASHNISAHASYITDTRVNLTSERNGVPIGAKGASDWLNIYPIGIQDFLLYTKEKYNDPIIYITENGIDELNDPKLSLKEALYDTKRVDYHMLHLFYVQSAIKNGVKVKGYFLWSLLDNFEWSAGYTVRFGINFVDYNNGLRRLPKLSAHWFKSFLKKY >ONI02500 pep chromosome:Prunus_persica_NCBIv2:G6:21005892:21012817:1 gene:PRUPE_6G202400 transcript:ONI02500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGSSSEPLDKAERERGPRSDAAIGSVEPKISAFVPRRDHNPRELRSWAKRTGFVSNFSGETATSGSVRNDSAGFGLETGFDGRGGGGSSPKIEIDPVLGRTKPSKGIEIEPATGPRHGGVRNERDETVRGESKRRRTENEPVLGAKDDERRDGVNGNENRNGSGNGNGHGVTPVASAAEPKIIDDEQYERDVEMNYPDGEEPVHGEWGRPSAMKIGLRENPGFVPLMYYGLQHYLSLAGSLIFIPLIIVPAMGGTDKDTSTVISTMLLVSGMTTILHSYFGTRLPLVQGSSFVYLAPALVIMNAQEYRNLTEHKFRHIMRELQGAIIIGSIFQSLLGFSGLMSLLLRLIHPIIVAPTVAAVGLSFFSYGFPQAGSCVEISVPQILLILIFTLYLRGVSIFGHRFFQIYAVPLSVMIIWTYAFFLTAGGAYDYKGCSPDIPSSNILIDACRKHAYTMTHCRTDVSNAWRTAAWVRIPYPLQWGLPIFHFRTSIIMIFVSLVASVDSVGTYHTASVRINLQPPTPGIVSRGIALEGFCSILAGIWGSGTGSTTLTENVHTINITKVANRRAVQLGAVFLIFFSLIGKVGAILASIPLALAASVLCFTWALVVALGLSTLQYSSQAASFRNIMIVGVSLFLGLSIPAYFQQYQPESSLILPSYFVPYGAASNGPLHTGIKQLDFFINGLLSLNMVVTFLIAFVLENSVPGSRQERGVYIWSRPEDISTDPSLLEDYSLPRKLCRCLCREKHLGV >ONI02502 pep chromosome:Prunus_persica_NCBIv2:G6:21005892:21012817:1 gene:PRUPE_6G202400 transcript:ONI02502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNIEILRSILIHPIIVAPTVAAVGLSFFSYGFPQAGSCVEISVPQILLILIFTLYLRGVSIFGHRFFQIYAVPLSVMIIWTYAFFLTAGGAYDYKGCSPDIPSSNILIDACRKHAYTMTHCRTDVSNAWRTAAWVRIPYPLQWGLPIFHFRTSIIMIFVSLVASVDSVGTYHTASVRINLQPPTPGIVSRGIALEGFCSILAGIWGSGTGSTTLTENVHTINITKVANRRAVQLGAVFLIFFSLIGKVGAILASIPLALAASVLCFTWALVVALGLSTLQYSSQAASFRNIMIVGVSLFLGLSIPAYFQQYQPESSLILPSYFVPYGAASNGPLHTGIKQLDFFINGLLSLNMVVTFLIAFVLENSVPGSRQERGVYIWSRPEDISTDPSLLEDYSLPRKLCRCLCREKHLGV >ONI02501 pep chromosome:Prunus_persica_NCBIv2:G6:21007204:21012817:1 gene:PRUPE_6G202400 transcript:ONI02501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLMYYGLQHYLSLAGSLIFIPLIIVPAMGGTDKDTSTVISTMLLVSGMTTILHSYFGTRLPLVQGSSFVYLAPALVIMNAQEYRNLTEHKFRHIMRELQGAIIIGSIFQSLLGFSGLMSLLLRLIHPIIVAPTVAAVGLSFFSYGFPQAGSCVEISVPQILLILIFTLYLRGVSIFGHRFFQIYAVPLSVMIIWTYAFFLTAGGAYDYKGCSPDIPSSNILIDACRKHAYTMTHCRTDVSNAWRTAAWVRIPYPLQWGLPIFHFRTSIIMIFVSLVASVDSVGTYHTASVRINLQPPTPGIVSRGIALEGFCSILAGIWGSGTGSTTLTENVHTINITKVANRRAVQLGAVFLIFFSLIGKVGAILASIPLALAASVLCFTWALVVALGLSTLQYSSQAASFRNIMIVGVSLFLGLSIPAYFQQYQPESSLILPSYFVPYGAASNGPLHTGIKQLDFFINGLLSLNMVVTFLIAFVLENSVPGSRQERGVYIWSRPEDISTDPSLLEDYSLPRKLCRCLCREKHLGV >ONI02503 pep chromosome:Prunus_persica_NCBIv2:G6:21007204:21012817:1 gene:PRUPE_6G202400 transcript:ONI02503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNIEILRSILIHPIIVAPTVAAVGLSFFSYGFPQAGSCVEISVPQILLILIFTLYLRGVSIFGHRFFQIYAVPLSVMIIWTYAFFLTAGGAYDYKGCSPDIPSSNILIDACRKHAYTMTHCRTDVSNAWRTAAWVRIPYPLQWGLPIFHFRTSIIMIFVSLVASVDSVGTYHTASVRINLQPPTPGIVSRGIALEGFCSILAGIWGSGTGSTTLTENVHTINITKVANRRAVQLGAVFLIFFSLIGKVGAILASIPLALAASVLCFTWALVVALGLSTLQYSSQAASFRNIMIVGVSLFLGLSIPAYFQQYQPESSLILPSYFVPYGAASNGPLHTGIKQLDFFINGLLSLNMVVTFLIAFVLENSVPGSRQERGVYIWSRPEDISTDPSLLEDYSLPRKLCRCLCREKHLGV >ONI00240 pep chromosome:Prunus_persica_NCBIv2:G6:5302683:5303300:-1 gene:PRUPE_6G077900 transcript:ONI00240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSCGSNCSCGSDCKCGKKYPDLEYSETTSTQTIIAGVAPVKMYYEGSEMSYGAENDCKCGSSCSCSSCSCNK >ONI01309 pep chromosome:Prunus_persica_NCBIv2:G6:10361831:10366697:-1 gene:PRUPE_6G131800 transcript:ONI01309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGPQSTPASTKPKHPSRHLLEKSNREVYLKLCVPLHKAAIRGDWDTAKGILSIDPTLSSAGITKGWLTALHIAAGARHIHFVEELVKMMDEEDLALQDNKENTALSFAAGAGAIEIAKIMIQKNKLLPTIKTGEGLTPLFMAALLGRSEMAWYLYPKTYPMLKETDRNALFFSCIDTGLYDLAMKMLEDDTTLATAHNSNAETALHVLARRPLEFGGRSTLGMCSRLRKSFSGIEDSYKSSKQTKALELVECLWNQILKHDDDYVMRLIAEPSEVLFDATRLGNYEFLSVLINSYPDLLWETDDENRTMFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPLSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFVISDGVALFSSSTSTLMFLYILTSRYAENDFLKSLPLKLMAGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYPLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01311 pep chromosome:Prunus_persica_NCBIv2:G6:10362052:10364746:-1 gene:PRUPE_6G131800 transcript:ONI01311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGPQSTPASTKPKHPSRHLLEKSNREVYLKLCVPLHKAAIRGDWDTAKGILSIDPTLSSAGITKGWLTALHIAAGARHIHFVEELVKMMDEEDLALQDNKENTALSFAAGAGAIEIAKIMIQKNKLLPTIKTGEGLTPLFMAALLGRSEMAWYLYPKTYPMLKETDRNALFFSCIDTGLYDLAMKMLEDDTTLATAHNSNAETALHVLARRPLEFGGRSTLGMCSRLRKSLVSGIEDSYKSSKQTKALELVECLWNQILKHDDDYVMRLIAEPSEVLFDATRLGNYEFLSVLINSYPDLLWETDDENRTMFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPLSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFVISDGVALFSSSTSTLMFLYILTSRYAENDFLKSLPLKLMAGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYPLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01312 pep chromosome:Prunus_persica_NCBIv2:G6:10362052:10364746:-1 gene:PRUPE_6G131800 transcript:ONI01312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGPQSTPASTKPKHPSRHLLEKSNREVYLKLCVPLHKAAIRGDWDTAKGILSIDPTLSSAGITKGWLTALHIAAGARHIHFVEELVKMMDEEDLALQDNKENTALSFAAGAGAIEIAKIMIQKNKLLPTIKTGEGLTPLFMAALLGRSEMAWYLYPKTYPMLKETDRNALFFSCIDTGLYDLAMKMLEDDTTLATAHNSNAETALHVLARRPLEFGGRSTLGMCSRLRKSLVSGIEDSYKSSKQTKALELVECLWNQILKHDDDYVMRLIAEPSEVLFDATRLGNYEFLSVLINSYPDLLWETDDENRTMFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPLSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFVISDGVALFSSSTSTLMFLYILTSRYAENDFLKSLPLKLMAGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYPLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01310 pep chromosome:Prunus_persica_NCBIv2:G6:10362052:10364746:-1 gene:PRUPE_6G131800 transcript:ONI01310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGPQSTPASTKPKHPSRHLLEKSNREVYLKLCVPLHKAAIRGDWDTAKGILSIDPTLSSAGITKGWLTALHIAAGARHIHFVEELVKMMDEEDLALQDNKENTALSFAAGAGAIEIAKIMIQKNKLLPTIKTGEGLTPLFMAALLGRSEMAWYLYPKTYPMLKETDRNALFFSCIDTGLYDLAMKMLEDDTTLATAHNSNAETALHVLARRPLEFGGRSTLGMCSRLRKSLVSGIEDSYKSSKQTKALELVECLWNQILKHDDDYVMRLIAEPSEVLFDATRLGNYEFLSVLINSYPDLLWETDDENRTMFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPLSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFVISDGVALFSSSTSTLMFLYILTSRYAENDFLKSLPLKLMAGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYPLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01308 pep chromosome:Prunus_persica_NCBIv2:G6:10361831:10364885:-1 gene:PRUPE_6G131800 transcript:ONI01308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGPQSTPASTKPKHPSRHLLEKSNREVYLKLCVPLHKAAIRGDWDTAKGILSIDPTLSSAGITKGWLTALHIAAGARHIHFVEELVKMMDEEDLALQDNKENTALSFAAGAGAIEIAKIMIQKNKLLPTIKTGEGLTPLFMAALLGRSEMAWYLYPKTYPMLKETDRNALFFSCIDTGLYDLAMKMLEDDTTLATAHNSNAETALHVLARRPLEFGGRSTLGMCSRLRKSFSGIEDSYKSSKQTKALELVECLWNQILKHDDDYVMRLIAEPSEVLFDATRLGNYEFLSVLINSYPDLLWETDDENRTMFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPLSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFVISDGVALFSSSTSTLMFLYILTSRYAENDFLKSLPLKLMAGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYPLLCDMFLSTYYSSLIFQPRKHMIQ >ONI01307 pep chromosome:Prunus_persica_NCBIv2:G6:10361831:10366731:-1 gene:PRUPE_6G131800 transcript:ONI01307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGPQSTPASTKPKHPSRHLLEKSNREVYLKLCVPLHKAAIRGDWDTAKGILSIDPTLSSAGITKGWLTALHIAAGARHIHFVEELVKMMDEEDLALQDNKENTALSFAAGAGAIEIAKIMIQKNKLLPTIKTGEGLTPLFMAALLGRSEMAWYLYPKTYPMLKETDRNALFFSCIDTGLYDLAMKMLEDDTTLATAHNSNAETALHVLARRPLEFGGRSTLGMCSRLRKSFSGIEDSYKSSKQTKALELVECLWNQILKHDDDYVMRLIAEPSEVLFDATRLGNYEFLSVLINSYPDLLWETDDENRTMFHVAVLYRHASIFNLVHETGSIKDIIVTYTDDENNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPLSIDMKNKDGKTPRELFTSEHEGLLCKGESWMKNTANSCMLVATIITTVVFSAAFSIPGGIADNTGAPKFLKDTAFLIFVISDGVALFSSSTSTLMFLYILTSRYAENDFLKSLPLKLMAGLASLFISMTSMMIAFSTAFYLSCHYGLRFVSDFIFIFAFVPVVLFVFLQYPLLCDMFLSTYYSSLIFQPRKHMIQ >ONI00767 pep chromosome:Prunus_persica_NCBIv2:G6:7318962:7324627:-1 gene:PRUPE_6G104100 transcript:ONI00767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGATPSTYSPSPTTHKTKSLSFGNDDVLRPDDREWHQCRPAFFQIGVVAAAAGSAYADFGSTKVIVSVFGPRESKKGMMYSDIGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIILETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVTSVSVSYLGKNLVLDPTLEEESHQDGSLILTCMPSRDEVTQLTITGEWSTPKINKGMKICMDACSKFSQIMKSCLKGLDSALEEEDSNVD >ONI00768 pep chromosome:Prunus_persica_NCBIv2:G6:7320305:7324305:-1 gene:PRUPE_6G104100 transcript:ONI00768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMQTCLFGPRESKKGMMYSDIGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIILETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVTSVSVSYLGKNLVLDPTLEEESHQDGSLILTCMPSRDEVTQLTITGEWSTPKINKGMKICMDACSKFSQIMKSCLKGLDSALEEEDSNVD >ONI00765 pep chromosome:Prunus_persica_NCBIv2:G6:7318961:7324464:-1 gene:PRUPE_6G104100 transcript:ONI00765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGATPSTYSPSPTTHKTKSLSFGNDDVLRPDDREWHQCRPAFFQIGVVAAAAGSAYADFGSTKVIVSVFGPRESKKGMMYSDIGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIILETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVTSVSVSYLGKNLVLDPTLEEESHQDGSLILTCMPSRDEVTQLTITGEWSTPKINKGMKICMDACSKFSQIMKSCLKGLDSALEEEDSNVD >ONI00762 pep chromosome:Prunus_persica_NCBIv2:G6:7319319:7324523:-1 gene:PRUPE_6G104100 transcript:ONI00762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGATPSTYSPSPTTHKTKSLSFGNDDVLRPDDREWHQCRPACDLPVVISCASLALADAGIMMYDLVTSVSVSYLGKNLVLDPTLEEESHQDGSLILTCMPSRDEVTQLTITGEWSTPKINKGMKICMDACSKFSQIMKSCLKGLDSALEEEDSNVD >ONI00769 pep chromosome:Prunus_persica_NCBIv2:G6:7318961:7324627:-1 gene:PRUPE_6G104100 transcript:ONI00769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMQTCLFGPRESKKGMMYSDIGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIILETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVTSVSVSYLGKNLVLDPTLEEESHQDGSLILTCMPSRDEVTQLTITGEWSTPKINKGMKICMDACSKFSQIMKSCLKGLDSALEEEDSNVD >ONI00763 pep chromosome:Prunus_persica_NCBIv2:G6:7320305:7324468:-1 gene:PRUPE_6G104100 transcript:ONI00763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGATPSTYSPSPTTHKTKSLSFGNDDVLRPDDREWHQCRPASAAAGSAYADFGSTKVIVSVFGPRESKKGMMYSDIGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIILETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVTSVSVSYLGKNLVLDPTLEEESHQDGSLILTCMPSRDEVTQLTITGEWSTPKINKGMKICMDACSKFSQIMKSCLKGLDSALEEEDSNVD >ONI00764 pep chromosome:Prunus_persica_NCBIv2:G6:7319319:7324524:-1 gene:PRUPE_6G104100 transcript:ONI00764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGATPSTYSPSPTTHKTKSLSFGNDDVLRPDDREWHQCRPAFFQIGVVAAAAGSAYADFGSTKVIVSVFGPRESKKGMMYSDIGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIILETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVTSVSVSYLGKNLVLDPTLEEESHQDGSLILTCMPSRDEVTQLTITGEWSTPKINKGMKICMDACSKFSQIMKSCLKGLDSALEEEDSNVD >ONI00766 pep chromosome:Prunus_persica_NCBIv2:G6:7319804:7324481:-1 gene:PRUPE_6G104100 transcript:ONI00766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGATPSTYSPSPTTHKTKSLSFGNDDVLRPDDREWHQCRPAFFQIGVVAAAAGSAYADFGSTKVIVSVFGPRESKKGMMYSDIGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIILETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVTSVSVSYLGKNLVLDPTLEEESHQDGSLILTCMPSRDEVTQLTITGEWSTPKINKGMKICMDACSKFSQIMKSCLKGLDSALEEEDSNVD >ONH98958 pep chromosome:Prunus_persica_NCBIv2:G6:285783:290377:-1 gene:PRUPE_6G002200 transcript:ONH98958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAPPADQAADLLQKLSLDSQTKTLEIPEPTKKPSVNQYGSIDSGNAANGQIQSERSVTPLLPDFMDPSLCYLPNAYPSAYYYGGYDGTGNEWDDYSRYVNPEGVEMTSGVYGDNGSLLYHHGYGYAPYGPYSPAGSPVPTMGNDGQLYGPQHYQYPPYFQPLTPTSGPYTPSPAAPQSEVSTSVGADHKPLPVETVNGISNGIANGGSVKGNNVSAPLSTYQNSSFNSNGSYGRGALPGRVPTPGYQDPRYGFDGLRSPLPWLDAPLFSDGQPRPVTSTTITSSISNGNNIPSARNQNYRPNSHFMGLHHPRPLSGMGTAQGFINRMYPNKLYGQYGNTVRSGMGFGSHGYDSRTSGRAWLAVDSKYKPRGRNGGYYGYGNENMDGLNELNRGPRAKSSKNQKGFVPNALVIKGQVPTNLNNDEEKDKTSVPDREQYNKADFPEDYTDAKFFIIKSYSEDDVHKSIKYNVWASTPNGNKKLHAAYQEAQEKSGGCPVFLLFSVNTSGQFVGLAEMLGPVDFNKNLEYWQQDKWNGCFPVKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEPGLKMIKIFKEHLSKTCILDDFGFYESRQKTIQEKKAKQQQFQKQVWEGKAIDEKKEVANGQLKTQNSSEVPSDLIKESIPAVHASEEVKLAENGLIAAGDAPKGAKPVVSEKRVVANGVANGC >ONH98959 pep chromosome:Prunus_persica_NCBIv2:G6:285903:290371:-1 gene:PRUPE_6G002200 transcript:ONH98959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAPPADQAADLLQKLSLDSQTKTLEIPEPTKKPSVNQYGSIDSGNAANGQIQSERSVTPLLPDFMDPSLCYLPNAYPSAYYYGGYDGTGNEWDDYSRYVNPEGVEMTSVIYGDNGSLLYHHGYGYAPYGPYSPAGSPVPTMGNDGQLYGPQHYQYPPYFQPLTPTSGPYTPSPAAPQSEVSTSVGADHKPLPVETVNGISNGIANGGSVKGNNVSAPLSTYQNSSFNSNGSYGRGALPGRVPTPGYQDPRYGFDGLRSPLPWLDAPLFSDGQPRPVTSTTITSSISNGNNIPSARNQNYRPNSHFMGLHHPRPLSGMGTAQGFINRMYPNKLYGQYGNTVRSGMGFGSHGYDSRTSGRAWLAVDSKYKPRGRNGGYYGYGNENMDGLNELNRGPRAKSSKNQKGFVPNALVIKGQVPTNLNNDEEKDKTSVPDREQYNKADFPEDYTDAKFFIIKSYSEDDVHKSIKYNVWASTPNGNKKLHAAYQEAQEKSGGCPVFLLFSVNTSGQFVGLAEMLGPVDFNKNLEYWQQDKWNGCFPVKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEPGLKMIKIFKEHLSKTCILDDFGFYESRQKTIQEKKAKQQQFQKQVWEGKAIDEKKEVANGQLKTQNSSEVPSDLIKESIPAVHASEEVKLAENGLIAAGDAPKGAKPVVSEKRVVANGVANGC >ONI04195 pep chromosome:Prunus_persica_NCBIv2:G6:27743197:27745291:-1 gene:PRUPE_6G308000 transcript:ONI04195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVQGLSWADQSVGLIITLSGAVFLIVLSSSVDGQLCPTSPVQIFNFGDSNSDTGGLVAGLGFSVNPPNGRTFFGKSTGRLSDGRLVIDLLCQSLNMSLLSPYLDSLAGSIFSNGANFAVAASCTIPKRFPFSLSIQVMQFIHFKATAGSKHLINDEGFRKALYMIDIGQNDLSYSFTKNLSYVQVTETIPSVIEEIKSAVKALYDQGGRNFWIHNTGPLGCLPQKLSLVEKKDLDPYGCLSSYNAAARSFNEALLHLCNAMRSELKDAVIVYVDIYAIKYDLIANSTKYGFSTPLMACCGNGGPPYNFNAGRLCGRPGSHVCDEGSRFVSWDGIHYTEAANTIVASKILSTNYSTPRIPFDFFCCR >ONI04196 pep chromosome:Prunus_persica_NCBIv2:G6:27743890:27745291:-1 gene:PRUPE_6G308000 transcript:ONI04196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVQGLSWADQSVGLIITLSGAVFLIVLSSSVDGQLCPTSPVQIFNFGDSNSDTGGLVAGLGFSVNPPNGRTFFGKSTGRLSDGRLVIDLLCQSLNMSLLSPYLDSLAGSIFSNGANFAVAASCTIPKRFPFSLSIQVMQFIHFKATAGSKHLINDEGFRKALYMIDIGQNDLSYSFTKNLSYVQVTETIPSVIEEIKSAVKALYDQGGRNFWIHNTGPLGCLPQKLSLVEKKDLDPYGCLSSYNAAARSFNEALLHLCNAMRSELKDAVIVYVDIYAIKYDLIANSTKYGKPTYVKARK >ONI03564 pep chromosome:Prunus_persica_NCBIv2:G6:25400742:25403589:1 gene:PRUPE_6G265300 transcript:ONI03564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDMLDDPAFWLPTQILDDDDLPAMDLGSKTNSKTHHKSFGLGFDVDASKALFPFDFPYGGYGAFGVSSDLSSPVESVVGSSETESDEEDYLAELTRQMARSTFEKELKHSDAAFGSDNSKSWVVSGSPQSTLCPAGSGCGCGLGSSRGSPNAHSPPATWDLLHAAAGEVAKMHIDHSRGFLGPPRKPSPPVPAASLSSNPNNPDVGFYFHQQSLSHKHLQATQFQRLRQQQMLKEQSSGVWAAQPRYPQQQTQSQAQAQSHPMVQSRVRSNALNTRPLGLSPSAWPPLQQAQQQQQYQKNGSGMRAVFLGTQNAGAKRECAGTGVFLPRQIGTQSETRKKTGCSTVLVPARVVQALKLNIDDMCAPQPPHLQRRFNASYNPEHDVALRLRSNNGAISQQRRNVIRPQPPQVSNEIRLPQEWTY >ONI04344 pep chromosome:Prunus_persica_NCBIv2:G6:28185558:28188870:1 gene:PRUPE_6G316800 transcript:ONI04344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTEVSGMKATLVPLATLIGRELRSEKVEKPYLKYGQAAVAKKGEDCFLIKTDCQRTHSNPSTSFSVFAIFDGHNGISAAIFAKENLLANVLSAIPQGISRDEWLHALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENEEERARVTASGGEVGRLNVFGGSEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDLAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDHPVLPPTPMKKQNILASLIFGKKTRNSVNKRNKLSSVGVVEELFEEGSAMLAERLGKDSTLDANSGLFRCAVCQVDQPPVEGLSVNSGPFFSPASKPWEGLFLCAKCRNKKDAMEGRRLSTPTVILW >ONI04346 pep chromosome:Prunus_persica_NCBIv2:G6:28185602:28188778:1 gene:PRUPE_6G316800 transcript:ONI04346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTEVSGMKATLVPLATLIGRELRSEKVEKPYLKYGQAAVAKKGEDCFLIKTDCQRTHSNPSTSFSVFAIFDGHNGISAAIFAKENLLANVLSAIPQGISRDEWLHALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENEEERARVTASGGEVGRLNVFGGSEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDLAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDHPVLPPTPMKKQNILASLIFGKKTRNSVNKRNKLSSVGVVEELFEEGSAMLAERLGKDSTLDANSGLFRCAVCQVDQPPVEGLSVNSGPFFSPASKPWEGLFLCAKCRNKKDAMEGRRLSTPTVIL >ONI04343 pep chromosome:Prunus_persica_NCBIv2:G6:28185547:28188854:1 gene:PRUPE_6G316800 transcript:ONI04343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTEVSGMKATLVPLATLIGRELRSEKVEKPYLKYGQAAVAKKGEDCFLIKTDCQRTHSNPSTSFSVFAIFDGHNGISAAIFAKENLLANVLSAIPQGISRDEWLHALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENEEERARVTASGGEVGRLNVFGGSEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDLAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDHPVLPPTPMKKQNILASLIFGKKTRNSVNKRNKLSSVGVVEELFEEGSAMLAERLGKDSTLDANSGLFRCAVCQVDQPPVEGLSVNSGPFFSPASKPWEGLFLCAKCRNKKDAMEGRRLSTPTVILW >ONI04345 pep chromosome:Prunus_persica_NCBIv2:G6:28186448:28188459:1 gene:PRUPE_6G316800 transcript:ONI04345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTEVSGMKATLVPLATLIGRELRSEKVEKPYLKYGQAAVAKKGEDCFLIKTDCQRTHSNPSTSFSVFAIFDGHNGISAAIFAKENLLANVLSAIPQGISRDEWLHALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENEEERARVTASGGEVGRLNVFGGSEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDLAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDHPVLPPTPMKKQNILASLIFGKKTRNSVNKRNKLSSVGVVEELFEEGSAMLAERLGKDSTLDANSGLFRCAVCQVDQPPVEGLSVNSGPFFSPASKPWEGLFLCAKCRNKKDAMEGRRLSTPTVIL >ONI04348 pep chromosome:Prunus_persica_NCBIv2:G6:28186448:28188459:1 gene:PRUPE_6G316800 transcript:ONI04348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTEVSGMKATLVPLATLIGRELRSEKVEKPYLKYGQAAVAKKGEDCFLIKTDCQRTHSNPSTSFSVFAIFDGHNGISAAIFAKENLLANVLSAIPQGISRDEWLHALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENEEERARVTASGGEVGRLNVFGGSEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDLAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDHPVLPPTPMKKQNILASLIFGKKTRNSVNKRNKLSSVGVVEELFEEGSAMLAERLGKDSTLDANSGLFRCAVCQVDQPPVEGLSVNSGPFFSPASKPWEGLFLCAKCRNKKDAMEGRRLSTPTVIL >ONI04347 pep chromosome:Prunus_persica_NCBIv2:G6:28185931:28188853:1 gene:PRUPE_6G316800 transcript:ONI04347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTEVSGMKATLVPLATLIGRELRSEKVEKPYLKYGQAAVAKKGEDCFLIKTDCQRTHSNPSTSFSVFAIFDGHNGISAAIFAKENLLANVLSAIPQGISRDEWLHALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENEEERARVTASGGEVGRLNVFGGSEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDLAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDHPVLPPTPMKKQNILASLIFGKKTRNSVNKRNKLSSVGVVEELFEEGSAMLAERLGKDSTLDANSGLFRCAVCQVDQPPVEGLSVNSGPFFSPASKPWEGLFLCAKCRNKKDAMEGRRLSTPTVIL >ONI04342 pep chromosome:Prunus_persica_NCBIv2:G6:28185547:28188869:1 gene:PRUPE_6G316800 transcript:ONI04342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNVRSSLLDPSVTICVSSVHSKIFDGHNGISAAIFAKENLLANVLSAIPQGISRDEWLHALPRALVAGFVKTDIEFQQKGETSGTTVTFVVIDGWTVTVASVGDSRCILDTQGGVVSLLTVDHRLEENEEERARVTASGGEVGRLNVFGGSEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDLAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDHPVLPPTPMKKQNILASLIFGKKTRNSVNKRNKLSSVGVVEELFEEGSAMLAERLGKDSTLDANSGLFRCAVCQVDQPPVEGLSVNSGPFFSPASKPWEGLFLCAKCRNKKDAMEGRRLSTPTVIL >ONH99362 pep chromosome:Prunus_persica_NCBIv2:G6:2100008:2106576:1 gene:PRUPE_6G026700 transcript:ONH99362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGETRVSIPNNVKETIQDIREITKKQHSDDEIYAVLKECSMDPNETAQKLLYLDTFHEVKRRKDRRKENLKVRASEDSRMPPGTQRRVSRGGGQGNYSSSISSDAGGGRNASARRENGVSQVADRVSMPSSVKPVIQKTKNIAATSMPIASTGTPNGVTNLSNGSSIQSSAPKSPMSVDNNVREGGSAVDVKKLGAAASRPAPVTSTPTFGSLDHEKPVSIPAQLPISAPPASASSVYSSASDPAVSNHVQENKKGSVDAIGLESSKSVKRASRSANSVVVAEKNWNIKPSQPHFSSNDDGSLAKLPSKSVKQSQLESAVPLKVVTLEVATNAVEATSQVPRDSSLSVAKHVTFPNHIQVPEALKNVLTFGSIDATFGPRVDSVNGTGGDNFSIGAVESSQDTDETTKEPSPSNDILSSRVQGDFSENPPSIPNGLEKSLPTECNVSSSTDSKSELPKQESQLPPEGPQNQTALHAPSYNIGFFPPMLGSQLLQVEGHDNQAHETPRLPNFVGGNSAAAPSPNSTPPLPSSIPVSQQSVPLYRQTYPPNFYPYGHYLSPYYMPPIHQFLGHNGFPPQPSAGNIFLPPPPAAAAAGVKFPLPHFKTGVNAGNPTQYSIQSGGSFINTPGGYAPGSAVTSGSSVGNEDLGASQLKENHIYTTGQLTEGSTVWIHAPGQDMSSLQVSSMYNLPQGPRLTFSPMQAGHGGMAGIYPPGQTIASPTFLQQSQAVAGAAETIGPQSAAYQQPQHTQMTWN >ONH99363 pep chromosome:Prunus_persica_NCBIv2:G6:2100008:2107565:1 gene:PRUPE_6G026700 transcript:ONH99363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGETRVSIPNNVKETIQDIREITKKQHSDDEIYAVLKECSMDPNETAQKLLYLDTFHEVKRRKDRRKENLKVRASEDSRMPPGTQRRVSRGGGQGNYSSSISSDAGGGRNASARRENGVSQVADRVSMPSSVKPVIQKTKNIAATSMPIASTGTPNGVTNLSNGSSIQSSAPKSPMSVDNNVREGGSAVDVKKLGAAASRPAPVTSTPTFGSLDHEKPVSIPAQLPISAPPASASSVYSSASDPAVSNHVQENKKGSVDAIGLESSKSVKRASRSANSVVVAEKNWNIKPSQPHFSSNDDGSLAKLPSKSVKQSQLESAVPLKVVTLEVATNAVEATSQVPRDSSLSVAKHVTFPNHIQVPEALKNVLTFGSIDATFGPRVDSVNGTGGDNFSIGAVESSQDTDETTKEPSPSNDILSSRVQGDFSENPPSIPNGLEKSLPTECNVSSSTDSKSELPKQESQLPPEGPQNQTALHAPSYNIGFFPPMLGSQLLQVEGHDNQAHETPRLPNFVGGNSAAAPSPNSTPPLPSSIPVSQQSVPLYRQTYPPNFYPYGHYLSPYYMPPIHQFLGHNGFPPQPSAGNIFLPPPPAAAAAGVKFPLPHFKTGVNAGNPTQYSIQSGGSFINTPGGYAPGSAVTSGSSVGNEDLGASQLKENHIYTTGQLTEGSTVWIHAPGQDMSSLQVSSMYNLPQGPRLTFSPMQAGHGGMAGIYPPGQTIASPTFLQQSQAVAGAAETIGPQSAAYQQPQHTQMTWN >ONI04128 pep chromosome:Prunus_persica_NCBIv2:G6:27539924:27541359:1 gene:PRUPE_6G304500 transcript:ONI04128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYELLESERMGSNLNLLNVQPSRQIQITTFQPAKPICRHCPLAGHAHSQQNPFAGHASGLRCRDDPHHVVYPRRLAVYGQRREVICGIVVKYVHEFGKEVVWR >ONH99739 pep chromosome:Prunus_persica_NCBIv2:G6:3361663:3363992:-1 gene:PRUPE_6G046800 transcript:ONH99739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANVGFLTLCLAITLVRFLMKRYWHQSKINDNNNKAIKQHYPLPPTPKGLRPWPIVGNLPEMLMNKPTFRWIHKLMEESNTEIACIRLANVHVIPVSCPILSREILKKQDATFATRPLSISTFLITKGYITTVMVPFGEQWKKMRKVITSELLSPMRHKWLTDKRIEEADHLVRYVFNQCNNEEGSGIVDLRLATQHYCANVIKRMIFNQRYFTEEMKDGGPSVEEQNYVNAVFDMLRYIYAFSASDYISCLRGLDLDGHEKIIKDCIKLTRKRQDPVIEERIREHQKLGGNKVSVDLLDILISLKDASGQPLLSPDEIKGQVNEMIMAAVDNPSNAAEWAIAEMINQPHLFEKARQELDAVVGKERQVQESDLSQLNFVKACAREAFRLHPVAPFNVPHVSMADTTVGDYFIPKGSHVMLSRIGLGRNPKIWDEPLKYKPERHLKDDGSGVVLTESELRFISFSTGMRGCVASTLGTSMTVMLFARLLHGFTWEAPPNESRIDLTEADGELLLAKPLLALAKPRLPAHVYQT >ONI00158 pep chromosome:Prunus_persica_NCBIv2:G6:4866212:4869040:-1 gene:PRUPE_6G071200 transcript:ONI00158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLALPLTPHPQPHTLFFFNLSSTSRSLLYLQNRPKTSIPIFGKADLNLLVFSSRSSTPSFSNFNRKRGRFVTLLRASRRESPYQVLGVSPSATNDEIKRAYRKLALKYHPDVNKEANAQEKFMRIKHAYNTLLSSKSRGKYDSNFGSDYSYSSSQRSQSKNSQDEEEFYGFEDFFKDLQEEFQNWEASASSQGKPKSLWEELGEIGEEFVEFLEKELNITDPEVEENNNKEGNPFRSSGTQRTGSGNQNEAGKGSSIEENIDEIEATLAQLKKELGL >ONI00159 pep chromosome:Prunus_persica_NCBIv2:G6:4866667:4868779:-1 gene:PRUPE_6G071200 transcript:ONI00159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLALPLTPHPQPHTLFFFNLSSTSRSLLYLQNRPKTSIPIFGKADLNLLVFSSRSSTPSFSNFNRKRGRFVTLLRASRRESPYQVLGVSPSATNDEIKRAYRKLALKYHPDVNKEANAQEKFMRIKHAYNTLLSSKSRGKYDSNFGSDYSYSSSQRSQSKNSQDEEEFYGFGNFLRDVQITIEDFFKDLQEEFQNWEASASSQGKPKSLWEELGEIGEEFVEFLEKELNITDPEVEENNNKEGNPFRSSGTQRTGSGNQNEAGKGSSIEENIDEIEATLAQLKKELGL >ONI02452 pep chromosome:Prunus_persica_NCBIv2:G6:20576144:20579444:1 gene:PRUPE_6G199100 transcript:ONI02452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLFRLIRKKAIMATATTDLLIGKVVAILENEAASIAGVGDQVDEIKQELVFMKSFLEDVDGKKAHTQVEKAWVASVRDLAYDVEDIIDEFMYHVYEQESGGRFVRWINKTIHFPKKLWYKGRIANKLERITRTIKAFPERNQRYVLVFSLEGKSTSEDVHKWMQNKAEASLFHKEDELVGIEGKKQMLMGWLMDEGKHQTVVSVVGMGGSGKTTLVARTFTDDIVKSHFECYAWITVSQSYVIEDLFRRLIKEFYQARKEEVKADLNSMSYRELLEILVKYLEAKRYLVVLDDVWDIKLWEEIRLSFPDKQLGSRVMLTTRREDIASSVFGVESHVHRIQRLETIDAWELFCMKAFSSYHNKSCSPELQPLAREIVEKCEGLPLAIVALSGLMSSKKTFSEWSQVCNSLNWYLANNSLLEPMKSILLLSFNDLPYRLKQCFLYCCLFPEDYLIVNNKLIRLWIAEGFVEHVKGFTTEQVAESYLMELIFRSMIQERHYDTEPACKMHDLMRELALSIAAEEKFCAAYDGSEIITEEIGAIRLSMQTTNGEIEQRTGMSRLRSFLIFPTGIFSFSFSKTSPFEFKFLRVLDMEAVPVDILPDYVMYLFSLRYLSLRRTRIKELPESIGQLRNLQSLDIRETNIEALPRGISKLLNLRHLLMNRSTRDYKIFRNLIGMKAPSNINMLKLQALSFIESEGNILRLIGKMTQLTTLGITNVKAKDEKDLCASLQEMKVLCLLDLRAANEEEFLQVDALSSPPPLLDRLFLSGKLEKVPHWFCSLKSLTFLGLRWSKLEEDLLPHIEALPSLRWLCLNDSYVGTEMCFRRGFVKLRYLELFGFSLLNKVTIEKGAMPNLEFLSIKRCSSLVALPQGFEYLTKLEGYGFENVSEQLIESIQEGGADHPKVQHLRPKYKNKKSKN >ONI01534 pep chromosome:Prunus_persica_NCBIv2:G6:11814799:11824013:1 gene:PRUPE_6G144700 transcript:ONI01534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKMECATAGRFFGPSSSRLSNPRRRRRIVLNRNNGLSAHNLCSSSKFKIQAQTHLSSEVGIAKQGSLTDFGIQEFVWPSPTDEIPFWKKGFPSWDANLEVPSEVKKDSKLMHIIHVTAEMAPIAKVGGLGDVVTGLARACLSRGHRVDIMLPFYGCIQREQIDDLTLITTYNSYHDGKWIPTHAYSGTVSGIPVIFIEPSHQFFKGQHVYGGSYNELEAYLFFSRACLEWMQVTGTQPDIIHVHEWQTGALPLLYWDMYHYLSLQKPRIVLTIHNMEHYGECRQEQLNMCGLDGSLYATFDKAVDDRTVGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSGWLSNTLIRHRDKYVGIVNGIDTAMWNPSTDVFLPSKFHAQNFEGKKLCKYYVQRGLGLASGNHVPDTALKVPLVVCITRLVAQKGLHLIIHAIKQVEELGGQMVILGKAPDSRVESEFEGLVNLHNQGQSIRILLMYSEELSHLLYAAADFILVPSMYEPCGLAQMIGMRYGAVPVVRKTGGLADTVFDMDDEPNHEMANGFKY >ONI01533 pep chromosome:Prunus_persica_NCBIv2:G6:11814799:11826294:1 gene:PRUPE_6G144700 transcript:ONI01533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKMECATAGRFFGPSSSRLSNPRRRRRIVLNRNNGLSAHNLCSSSKFKIQAQTHLSSEVGIAKQGSLTDFGIQEFVWPSPTDEIPFWKKGFPSWDANLEVPSEVKKDSKLMHIIHVTAEMAPIAKVGGLGDVVTGLARACLSRGHRVDIMLPFYGCIQREQIDDLTLITTYNSYHDGKWIPTHAYSGTVSGIPVIFIEPSHQFFKGQHVYGGSYNELEAYLFFSRACLEWMQVTGTQPDIIHVHEWQTGALPLLYWDMYHYLSLQKPRIVLTIHNMEHYGECRQEQLNMCGLDGSLYATFDKAVDDRTVGHNPERLSLLKGGIVYSNAVVYVGIVNGIDTAMWNPSTDVFLPSKFHAQNFEGKKLCKYYVQRGLGLASGNHVPDTALKVPLVVCITRLVAQKGLHLIIHAIKQVEELGGQMVILGKAPDSRVESEFEGLVNLHNQGQSIRILLMYSEELSHLLYAAADFILVPSMYEPCGLAQMIGMRYGAVPVVRKTGGLADTVFDMDDEPNHEMANGFVFEGIDEGSLNRALGRAFACYRNKPDEWNGIVKKVMAVDNSWNNTAGRYIEIYESVRA >ONI01532 pep chromosome:Prunus_persica_NCBIv2:G6:11814799:11826294:1 gene:PRUPE_6G144700 transcript:ONI01532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKMECATAGRFFGPSSSRLSNPRRRRRIVLNRNNGLSAHNLCSSSKFKIQAQTHLSSEVGIAKQGSLTDFGIQEFVWPSPTDEIPFWKKGFPSWDANLEVPSEVKKDSKLMHIIHVTAEMAPIAKVGGLGDVVTGLARACLSRGHRVDIMLPFYGCIQREQIDDLTLITTYNSYHDGKWIPTHAYSGTVSGIPVIFIEPSHQFFKGQHVYGGSYNELEAYLFFSRACLEWMQVTGTQPDIIHVHEWQTGALPLLYWDMYHYLSLQKPRIVLTIHNMEHYGECRQEQLNMCGLDGSLYATFDKAVDDRTVGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSGWLSNTLIRHRDKYVGIVNGIDTAMWNPSTDVFLPSKFHAQNFEGKKLCKYYVQRGLGLASGNHVPDTALKVPLVVCITRLVAQKGLHLIIHAIKQVEELGGQMVILGKAPDSRVESEFEGLVNLHNQGQSIRILLMYSEELSHLLYAAADFILVPSMYEPCGLAQMIGMRYGAVPVVRKTGGLADTVFDMDDEPNHEMANGFVFEGIDEGSLNRALGRAFACYRNKPDEWNGIVKKVMAVDNSWNNTAGRYIEIYESVRA >ONI01486 pep chromosome:Prunus_persica_NCBIv2:G6:11536710:11538598:-1 gene:PRUPE_6G142400 transcript:ONI01486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPTRPVTGYPAPPYAQPNGGYPYSANPTQTQPRGPYSNAYNPRAAFIRRFVGAMIALFVIVGCILLVVWLILRPKVPDFRVDSLSLSNFNVSSASQSVTGTWSVGFMVYNPNKKLSIRYDDVESSIYYGPGFISGTRVPPFAQGTKDRTSVNATFSAANSFVGASVARGIDEARARGSMSFNVKLLARVEFRRGWWRLRRRLLRVLCSGVAVSLSSKGGLSSLAGGSRDCQVVV >ONI01485 pep chromosome:Prunus_persica_NCBIv2:G6:11536085:11538598:-1 gene:PRUPE_6G142400 transcript:ONI01485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPTRPVTGYPAPPYAQPNGGYPYSANPTQTQPRGPYSNAYNPRAAFIRRFVGAMIALFVIVGCILLVVWLILRPKVPDFRVDSLSLSNFNVSSASQSVTGTWSVGFMVYNPNKKLSIRYDDVESSIYYGPGFISGTRVPPFAQGTKDRTSVNATFSAANSFVGASVARGIDEARARGSMSFNVKLLARVEFRRGWWRLRRRLLRVLCSGVAVSLSSKGGLSSLAGGSRDCQVVV >ONH99425 pep chromosome:Prunus_persica_NCBIv2:G6:2222085:2226043:1 gene:PRUPE_6G028500 transcript:ONH99425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIEGLKHLCASLLRCCDLELYKQSRGLEDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ONH99422 pep chromosome:Prunus_persica_NCBIv2:G6:2222310:2225936:1 gene:PRUPE_6G028500 transcript:ONH99422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIEGLKHLCASLLRCCDLELYKQSRGLEDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ONH99426 pep chromosome:Prunus_persica_NCBIv2:G6:2222301:2225936:1 gene:PRUPE_6G028500 transcript:ONH99426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIEGLKHLCASLLRCCDLELYKQSRGLEDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ONH99423 pep chromosome:Prunus_persica_NCBIv2:G6:2222085:2226043:1 gene:PRUPE_6G028500 transcript:ONH99423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIEGLKHLCASLLRCCDLELYKQSRGLEDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ONH99424 pep chromosome:Prunus_persica_NCBIv2:G6:2222310:2225936:1 gene:PRUPE_6G028500 transcript:ONH99424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIEGLKHLCASLLRCCDLELYKQSRGLEDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ONI02608 pep chromosome:Prunus_persica_NCBIv2:G6:21855662:21856201:-1 gene:PRUPE_6G210100 transcript:ONI02608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGLRVGDGMVWYAWNQLMIHGKRRTMFSSCILHILQTSERHVAVGRRQGEKWKRSPLRVTSAQENEGKRKKGHQKACNGPTVTVTLLLIHSNQ >ONI00713 pep chromosome:Prunus_persica_NCBIv2:G6:7134203:7136318:-1 gene:PRUPE_6G102400 transcript:ONI00713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHGSVHPVEDVPTTDGAVPHPLRVRMKDLPGMPGTHGGLALRLSQLFFSAAALVVMATTSDFPSVTAFCYLVAAAGLQCLWSCSLAIVDVYALLVRRTLQNHRVVCFFTLGDGITSTLTFAAACASAGITVLIDNDLDSCAHNHCAQFETATAMAFISWFTALPSFLLNFWSLASR >ONH99796 pep chromosome:Prunus_persica_NCBIv2:G6:3581280:3584498:1 gene:PRUPE_6G051100 transcript:ONH99796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTENESTVSREVEKPPIEPIRLPSVEEIRGQDIWNNCAVRSVVSGVMGGGLGLMMGLLLGALDTPLMQDEMTGRQQFIYQAKQMGRRSWSSARAFAVMGFVFSAAECVVEKARAKHDTTNTVVAGCVTGGALSAKGGPQAACVGCAGFAAFSVLIEKFLDRHD >ONH99798 pep chromosome:Prunus_persica_NCBIv2:G6:3581280:3583247:1 gene:PRUPE_6G051100 transcript:ONH99798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTENESTVSREVEKPPIEPIRLPSVEEIRGQDIWNNCAVRSVVSGVMGGGLGLMMGLLLGALDTPLMQDEMTGRQQFIYQAKQMGRRSWSSARAFAVMGFVFSAAECVVEKARAKHDTTNTVVAGCVTGGALSAKEHLLI >ONH99797 pep chromosome:Prunus_persica_NCBIv2:G6:3581280:3584514:1 gene:PRUPE_6G051100 transcript:ONH99797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTENESTVSREVEKPPIEPIRLPSVEEIRGQDIWNNCAVRSVVSGVMGGGLGLMMGLLLGALDTPLMQDEMTGRQQFIYQAKQMGRRSWSSARAFAVMGFVFSAAECVVEKARAKHDTTNTVVAGCVTGGALSAKGGPQAACVGCAGFAAFSVLIEKFLDRHD >ONH99063 pep chromosome:Prunus_persica_NCBIv2:G6:671137:673301:1 gene:PRUPE_6G008300 transcript:ONH99063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKAQSGQLLVLVPCPYQGTINPMLKLGTFLHSKGFSISIVHTHFNSPNPSNHPEFTFFPIPDGLTADEISSGNVVAIVFAINANCKASFKQCLTDRVTEQEPQNKITCIIYDEFMYFSESVANDLNIPSILLRTQSATNFIARNALIQLHSKGCTPFPDSMLLNSVPELHPLRFKDLPIYIFDTVENYSKLLTNANNVRTSSAIIWNTLDCLEQSSLAQIKQQCQVPIFSIGPLHKVSTAATSSLLEEDTSCVAWLDKQSHNSVIYVSWGSLVSISEKELAEMAWGLTRSRQPFLWVIRPGSICGSDWIELLPQGFLEAVGERSCIVKWAPQMEVLAHGAVGGFWSHCGWNSTLESISEGVPMLCRPCFTDQTVNARCVSEVWKIGIQLENELERGEIERTVKKLMVDEDGKGMRVRAKELKEKIEVSMKGGSTYHSLNELVEFIRSF >ONH99476 pep chromosome:Prunus_persica_NCBIv2:G6:2465132:2466309:-1 gene:PRUPE_6G032000 transcript:ONH99476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDKRIGLQNPDKNPSPKSQDLKQRTLFLGLKFQGKRDSKHSKGSKDVLLSKQSLHAVHLFDTGGGFVFKNGFHKIKDTVNFCDPNVHGSYCGSTGRPFLGYTAPAPF >ONI00295 pep chromosome:Prunus_persica_NCBIv2:G6:5487521:5488656:-1 gene:PRUPE_6G080800 transcript:ONI00295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGSKMNTVFAYTVVYVKDVAKSTTFYSKAFGYNIRRIDDSNRWGELESGQTTIAFTPVHQHETDDLTGAVKTPSSGRERQPVEVCFAYPDVDAAYKRAVENGAVAVSEPEEKEWGQKVGYVRDPDGIVVRLGSYVNPPTAK >ONI03458 pep chromosome:Prunus_persica_NCBIv2:G6:25006029:25010553:-1 gene:PRUPE_6G257900 transcript:ONI03458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSLSFSLPVTVVVLAIAYIYFSTVFIFIDRWFGLMSSPGLLNAAVFTGVALMCVFNYTVSVFRDPGRVPSTYMPDVEDSGNPIHEIKRKGGDLRYCQKCSHYKPARAHHCRVCKRCVLRMDHHCIWINNCVGHANYKVFFIFVVYAVMACVYSLVLLIGSLTYDPEKDEEQTGDSFRTAYVISGLLLVPLCVALSVLLVP >ONI03457 pep chromosome:Prunus_persica_NCBIv2:G6:25006028:25010553:-1 gene:PRUPE_6G257900 transcript:ONI03457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSLSFSLPVTVVVLAIAYIYFSTVFIFIDRWFGLMSSPGLLNAAVFTGVALMCVFNYTVSVFRDPGRVPSTYMPDVEDSGNPIHEIKRKGGDLRYCQKCSHYKPARAHHCRVCKRCVLRMDHHCIWINNCVGHANYKVFFIFVVYAVMACVYSLVLLIGSLTYDPEKDEEQTGDSFRTAYVISGLLLVPLCVALSVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGQIYSHPYDLGAYENLTMVLGPNPCSWALPASGHIGSGLRFRTAYDYASAASMSE >ONI03459 pep chromosome:Prunus_persica_NCBIv2:G6:25007129:25010384:-1 gene:PRUPE_6G257900 transcript:ONI03459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSLSFSLPVTVVVLAIAYIYFSTVFIFIDRWFGLMSSPGLLNAAVFTGVALMCVFNYTVSVFRDPGRVPSTYMPDVEDSGNPIHEIKRKGGDLRYCQKCSHYKPARAHHCRVCKRCVLRMDHHCIWINNCVGHANYKVFFIFVVYAVMACVYSLVLLIGSLTYDPEKDEEQTGDSFRTAYVISGLLLVPLCVALSVLLGWHIYLILQNKTTIEVIRN >ONI03460 pep chromosome:Prunus_persica_NCBIv2:G6:25007129:25010384:-1 gene:PRUPE_6G257900 transcript:ONI03460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSLSFSLPVTVVVLAIAYIYFSTVFIFIDRWFGLMSSPGLLNAAVFTGVALMCVFNYTVSVFRDPGRVPSTYMPDVEDSGNPIHEIKRKGGDLRYCQKCSHYKPARAHHCRVCKRCVLRMDHHCIWINNCVGHANYKVFFIFVVYAVMACVYSLVLLIGSLTYDPEKDEEQTGDSFRTAYVISGLLLVPLCVALSVLLGWHIYLILQNKTTIEVIRN >ONI03546 pep chromosome:Prunus_persica_NCBIv2:G6:25327964:25333859:-1 gene:PRUPE_6G263900 transcript:ONI03546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEPYHVPQQSRREKLRVLAGQAHPGCLQPDSPPPPHHHLHPGNCAASGFLPLPYDPSSSFIASSDLLTCEPTPASPVKPEGQVHHHHHHHHPLMGFVSNSSSSSSASTFRNHLNYLDPQPSSINFPINPTSIHDINANPFLYPPQNLQTLRDFDHQADYGHDQVVVFKPEPLSLSLSSSQPPHHHTHQNNNNNNNNNNLQRYGASAVNYSSLNSGVNVASASNENIGSRSSVPLGPFTGYASILKGSRFLKPAQQLLEEFCEVGNREIYTAKVLADSSSFFDPPSIESFSPTHVVDDDDDPLSVEGDGGESRRKKSRLISMLDEVYRRYKQYYQQMQAVVTSFEYVAGLGNAAPYANLAIKAMTRHFKCLKNAITDQLQFRNRDATQLSHGKDESQLLRNTRGFYNQRSIHNSDFVEHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDSDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHTLETRQAQKTSQRGDQSANRSIDDHLPSPNSLASENPSTTTHRVQDSLSKRTRNELPDHITMGSSADQLNLSCNNLPSHLHVGHVGMNMAGGSSSGVSLTLGLHQNNGIGLSEPFPINAAQRFGLGLDGNNDGYAMGGFDSQNRHFGRDVMGGQLLHDFVG >ONI03545 pep chromosome:Prunus_persica_NCBIv2:G6:25327964:25333973:-1 gene:PRUPE_6G263900 transcript:ONI03545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEPYHVPQQSRREKLRVLAGQAHPGCLQPDSPPPPHHHLHPGNCAASGFLPLPYDPSSSFIASSDLLTCEPTPASPVKPEGQVHHHHHHHHPLMGFVSNSSSSSSASTFRNHLNYLDPQPSSINFPINPTSIHDINANPFLYPPQNLQTLRDFDHQADYGHDQVVVFKPEPLSLSLSSSQPPHHHTHQNNNNNNNNNNLQRYGASAVNYSSLNSGVNVASASNENIGSRSSVPLGPFTGYASILKGSRFLKPAQQLLEEFCEVGNREIYTAKVLADSSSFFDPPSIESFSPTHVVDDDDDPLSVEGDGGESRRKKSRLISMLDEVYRRYKQYYQQMQAVVTSFEYVAGLGNAAPYANLAIKAMTRHFKCLKNAITDQLQFRNRDATQLSHGKDESQLLRNTRGFYNQRSIHNSDFVEHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDSDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHTLETRQAQKTSQRGDQSANRSIDDHLPSPNSLASENPSTTTHRVQDSLSKRTRNELPDHITMGSSADQLNLSCNNLPSHLHVGHVGMNMAGGSSSGVSLTLGLHQNNGIGLSEPFPINAAQRFGLGLDGNNDGYAMGGFDSQNRHFGRDVMGGQLLHDFVG >ONI03547 pep chromosome:Prunus_persica_NCBIv2:G6:25327964:25333972:-1 gene:PRUPE_6G263900 transcript:ONI03547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEPYHVPQQSRREKLRVLAGQAHPGCLQPDSPPPPHHHLHPGNCAASGFLPLPYDPSSSFIASSDLLTCEPTPASPVKPEGQVHHHHHHHHPLMGFVSNSSSSSSASTFRNHLNYLDPQPSSINFPINPTSIHDINANPFLYPPQNLQTLRDFDHQADYGHDQVVVFKPEPLSLSLSSSQPPHHHTHQNNNNNNNNNNLQRYGASAVNYSSLNSGVNVASASNENIGSRSSVPLGPFTGYASILKGSRFLKPAQQLLEEFCEVGNREIYTAKVLADSSSFFDPPSIESFSPTHVVDDDDDPLSVEGDGGESRRKKSRLISMLDEVYRRYKQYYQQMQAVVTSFEYVAGLGNAAPYANLAIKAMTRHFKCLKNAITDQLQFRNRDATQLSHGKDESQLLRNTRGFYNQRSIHNSDFVEHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDSDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHTLETRQAQKTSQRGDQSANRSIDDHLPSPNSLASENPSTTTHRVQDSLSKRTRNELPDHITMGSSADQLNLSCNNLPSHLHVGHVGMNMAGGSSSGVSLTLGLHQNNGIGLSEPFPINAAQRFGLGLDGNNDGYAMGGFDSQNRHFGRDVMGGQLLHDFVG >ONI04057 pep chromosome:Prunus_persica_NCBIv2:G6:27255455:27259224:1 gene:PRUPE_6G299700 transcript:ONI04057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGRRISASPRPCSGRRILAKKKRARSDGIVNSVKKLQRREISSKRDRVFGLSNAQERFRNMHLKEEYDTHDPKGHSSPILSFLMKRTRVIEIVAARDIVFALAHSGVCAAFSREKNERICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKHVQEIKISPGIMLLIFNRASSHVPLKILSIEDGTVLKAFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNAELMEVSRTEFMTPSAFIFLYENQLFLTFRNRNVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDHWMEANAGSIHVSNILTGKCLAKINAGNGGPKVDECDSSAGSSSKRSNLSLVRSTVAGALEDITALFYDEERNEIYTGNRHGLVHVWSN >ONI04058 pep chromosome:Prunus_persica_NCBIv2:G6:27255455:27259224:1 gene:PRUPE_6G299700 transcript:ONI04058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGRRISASPRPCSGRRILAKKKRARSDGIVNSVKKLQRREISSKRDRVFGLSNAQERFRNMHLKEEYDTHDPKGHSSPILSFLMKRTRVIEIVAARDIVFALAHSGVCAAFSREKNERICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKHVQEIKISPGIMLLIFNRASSHVPLKILSIEDGTVLKAFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNAELMEVSRTEFMTPSAFIFLYENQLFLTFRNRNVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDHWMEANGNGGPKVDECDSSAGSSSKRSNLSLVRSTVAGALEDITALFYDEERNEIYTGNRHGLVHVWSN >ONI02315 pep chromosome:Prunus_persica_NCBIv2:G6:19788014:19791181:-1 gene:PRUPE_6G190700 transcript:ONI02315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTISLSPSSSSSSFLLSHTSIFRFRSPRHLSTSSSRRRLSVSVSATASSSSSLQALIFDCDGVILESEHLHRQAYNEAFAHFNVRCSSSASQPLNWDLHFYDQLQNQIGGGKPKMRWYFKEHGYPSSTIFEKPPEDDEGRAKIIDTLQDWKTERYKEIIKSGTVEPRPGVLRLMDEAKAAERFQGLDCFLAGDDVKQKKPDPSIYLTASKKLGIPEKDCLVVEDSVIGLQAATKAGMSCVITYTSSTADQDFKDAIAIYPDLSNIRLKDLELLLQNAVIAN >ONI02316 pep chromosome:Prunus_persica_NCBIv2:G6:19788015:19791181:-1 gene:PRUPE_6G190700 transcript:ONI02316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTISLSPSSSSSSFLLSHTSIFRFRSPRHLSTSSSRRRLSVSVSATASSSSSLQALIFDCDGVILESEHLHRQAYNEAFAHFNVRCSSSASQPLNWDLHFYDQLQNQIGGGKPKMRWYFKEHGYPSSTIFEKPPEDDEGRAKIIDTLQDWKTERYKEIIKSGTVEPRPGVLRLMDEAKAAGKKLAVCSAATKSSVILCLENLIGIERFQGLDCFLAGDDVKQKKPDPSIYLTASKKLGIPEKDCLVVEDSVIGLQAATKAGMSCVITYTSSTADQDFKDAIAIYPDLSNIRLKDLELLLQNAVIAN >ONI05288 pep chromosome:Prunus_persica_NCBIv2:G6:30708615:30711318:1 gene:PRUPE_6G365900 transcript:ONI05288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEECLVQVEENKQVIFRGFIEGTPKETDLQVMVGKKIELKAPPKGSGAFLVKNLYLSCDPYMRGRMRDFHDSYLPPFLPGQPLQGFGVSKVVDSDNPNFKPGDFISGITGWEEYSLIPTTELSRKIKPDDIPLSYHVGLLGMPGFTAYAGFYEVCSPKKGESVLVSAASGAVGQLVGQLAKLHGCYVVGSCGSSQKVDLLRNKLGFDEAFNYKEEPDLGAALKRYFPQGIDIYFDNVGGDMLDAALVNMKIHGRIAVCGMVSEKNLSDPQGIRNVYNLITKRIRMQGFLQSDYIHLFPRFLEHVISYYKQGKIVYIEDMNEGLESAPSAFVGLFLGENVGKQVIRVAHE >ONI01908 pep chromosome:Prunus_persica_NCBIv2:G6:16807762:16808035:1 gene:PRUPE_6G166300 transcript:ONI01908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKMPETCINKDIHPANESNLLTILDSPIKCQLTLTSAQFSQKATFIPRGTHCSIPTLYERNVHHGQSPKLQRPYQHYHSKSKR >ONI05174 pep chromosome:Prunus_persica_NCBIv2:G6:30394014:30396880:1 gene:PRUPE_6G359800 transcript:ONI05174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSGQIHPNLCKLPFLEHLVLSGNNFTCTIPLCFGTLRSLKTLHLGYNRFYGTVPDTLMRLWQLKELVLNGNKDLGGLIPWWVGNFSAQLEKLDIGFNSFHGEIPESLLYLKSLKYLDLGNNNLSGTLSDFHQSLVFLNLGSNQFSGTLPCFSACVQSLRVLNLANNSVMGGMPTCMASLQALKRLNLSFNHLSYELSPRLVFSEKLLVLDLSNNDLSGHLPSKIAETTEKSGLVLLDLSHNRFSGEIPLKITELKSLQALFLSNNLLVGEIPARIGNLTYLQVIDLSHNLLSGSIPLNIVGCFQLLALILNNNNLSGEIQPELDALDSLKILDISNNKISGEIPLTLAGCKSLEIVDFSSNNLSGTLSDAITKWSNLRYLSLAQNEFSGNLPSWLFTFQVIRMMDFSGNKFSGFIPDGNFNMSINFKNGELDKMQREPFGTMHNADTKVFIIVTGSTELSFSYVLSSVVGIDFSNNVLDGEIPVGLFGLRGLQYLNLSHNFLQGRVPDLEKMWRLRALDISHNSLSGHIPGNISSLQDLTLLDLSYNCFSGFVTKKQGYWRFPGAFAGNPDLCLESSDGGCDPASLPVVPGNALEGEEDEGWISVWVFCLSAFLSFYFGGLALFCSPRARNYILQTKA >ONI00644 pep chromosome:Prunus_persica_NCBIv2:G6:6965070:6966803:-1 gene:PRUPE_6G100000 transcript:ONI00644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKASYILMALFVVLIAMEATSVQGQGNGNGNGNGNTGSGNGNGNGNGNTGSGNGNGNGNGNGNTGSGNGNGNGNGNGNGNGNGNGNGNGNGNGNSGNGNGNGNGNGNGNGNGGNGNGSGNGNGNGNGGNGVNDSTNYDVLPPLESGQERCQCKALGACFYKTLVCPPECPQRKPKNNKKEKGCFVNCGSSCEVTCKVRRNNCDGYGSICYDPRFIGGDGVMFYFHGAKGGNFAIVSDDNLQINAHFIGTRPQGRTRDFTWIQAISVMFDTHTLVIAAKRVSKWDDKVDALMVKWDGETISIPTNGEAEWRTSDENREVIVERTDDTNYVRVIVTGIVDIAIRVRPIGEKEDRVHHYHIPDDDTFAHLETQFSFSNLSPLVEGVLGKTYRPGYVSPVKRGVPMPLMGGEDKYKTPSLFSPLCKVCRFQTTTQSAGLAITSEGLGDQY >ONH99143 pep chromosome:Prunus_persica_NCBIv2:G6:968233:971308:1 gene:PRUPE_6G014000 transcript:ONH99143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAHYKTTNTQRPREDLSLKETSPKITGRAASTTGPMTAFNLVEQMQFLYVRVVKARGLPQPCDPYVELKIGNYKGTTKHLEKNPYSEWNLVFSFNRERIQNTSVEILVKDKALAVEDGHEVIGRFAFNVSDAPTRVPPDSPLAPQWYRLMDQNKIKGELMLAFWIGTQADEVFPDAWHADTASVISGYGVSDTRSKVYISPRIWYLRVNAIEAQDLQVRDKNNRGQPVEIFVKATLGRLVLRSKVSQTKTTNVAWNQDIMFVVAEPFEEDLVVTVEENLINKEGSFTLGRCEIPLKNVEKRNTGSAAAAKWHDLWRTEVVENKEVRFASKIQMRISLDGGYHVLDEPAHSLSDLRPTAKILWKPTIGNLELGILSASGLQPMKPENCVNAYCVAKYGPKWVRTRTIVDSCDPKWNEQYTWDVYDPCTVITIAVFQNGYLHSWDKPGGPMDLPIGKVKIRLSTLVIDKIYTNSHPLVVMQPSGVKKMGEIQLAVRFSCTNKMNMLLTYGRPLLPKMHYLVPLSKDQISMLSEQAAYTLALRLSRAEPPLRKEVVDYVLDVKTNLWSLRKAKVNFDRIVKVMDGFFGVVKWFDGICKWTNPFSTVLVLIIFCVVVIYPGLILPALFFYFSKEGIWNFWKRPKKILHIDADLSHAYTALPDVLDEEFDPFPTRRTEEVLRRRYDRLRSMAGSIQTLLGDIAAQGERVQSILSWRDPRATAIFTSLCIIAGIIFWYVPFQCIPLLAGMYVLRHPWLRDQLPPYHYNFFRRMPAKTDIFL >ONH99867 pep chromosome:Prunus_persica_NCBIv2:G6:3816224:3817621:-1 gene:PRUPE_6G054400 transcript:ONH99867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVNFHGCSQSLSQSHTVSWVRGKCIGKGSFGTVSIGVSKSDGQVFAVKSVDRAACLPGQLESLENEIRILRSLSSPHVVKYLGDDVSCETTSSTASFRNLHMEYLPGGTVAADVDETTLRSRTWCVVSALRYVHSRGIVHCDVKGKNVLVGPAPDQAKLADFGSAMVLSDHTCTGPIVPRGSPLWMAPEVINREYQGPESDVWSLGCTVIEMVTGKPAWEDRGVDTLARIGLSGGLPRFPNQLSETGRDFLEKCLMRDPKERWSCDQLLQHPFLASVSPNAVADSSPRCVLDWVNSEFGDDHEEDCEASARERIGKLATNTGANWESDGWTAVRGADCCKVEVEADGASSCEEGTSWEYSDVRAVDLECKKFGGCDSVERARNYYCDGGRCAAVGSSGWCGWKRVCLAVERVGIRRSCGSLCNLCRSMLFLFLYSLVIFMNKLLIIFICYIVYVSLSWHGECT >ONI02781 pep chromosome:Prunus_persica_NCBIv2:G6:22697972:22701947:1 gene:PRUPE_6G221800 transcript:ONI02781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESYLQEFGEVKAKHSSEETLQKWRNLCSVVKNPKRRFRFTANITKRSEAAAMRRTNQEKLRIAVLVSKAAFQFIQGVQPSDYVVPREVTDAGFQICADELGSIVEGHDVKKLTFHGGVAGIAEKLSTSVKDGLNTESDLQTRRQEIYGINKFTESEQRGFWIFVWEALQDMTLMILGVCAFVSLIVGIATEGWPIGAHDGLGIVASILLVVLVTATSDYRQSLQFKDLDKEKKKIDIQVTRNGYRQKMSIYDLLPGDIVHLSIGDQVPADGLFVSGFSVLIDESSLTGESEPIMVTAENPFLLSGTKVQDGSGKMMVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVMVQGLFSHKLSEGTHWSWTGDDARQMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATNICSDKTGTLTTNRMTVVKSCICMNVKEVSKPSEASSLFSDLPESAKKLLLQSIFNNTGGDVVVNKEGKHEILGTPTDTALLEFGLSLGGNFQTERQASKLVKVEPFNSTKKRMGVILELPEGGLRAHTKGASEIVLASCEKVINTNGEIVPLDEASINHLKVTIEQFACEALRTLCLAYVELENGFSPQNPIPVSGYTCIGIVGIKDPVRPGVKESVAICRSAGIVVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFREKNQEELLSLIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVLILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTG >ONI02780 pep chromosome:Prunus_persica_NCBIv2:G6:22697507:22704065:1 gene:PRUPE_6G221800 transcript:ONI02780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESYLQEFGEVKAKHSSEETLQKWRNLCSVVKNPKRRFRFTANITKRSEAAAMRRTNQEKLRIAVLVSKAAFQFIQGVQPSDYVVPREVTDAGFQICADELGSIVEGHDVKKLTFHGGVAGIAEKLSTSVKDGLNTESDLQTRRQEIYGINKFTESEQRGFWIFVWEALQDMTLMILGVCAFVSLIVGIATEGWPIGAHDGLGIVASILLVVLVTATSDYRQSLQFKDLDKEKKKIDIQVTRNGYRQKMSIYDLLPGDIVHLSIGDQVPADGLFVSGFSVLIDESSLTGESEPIMVTAENPFLLSGTKVQDGSGKMMVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVMVQGLFSHKLSEGTHWSWTGDDARQMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATNICSDKTGTLTTNRMTVVKSCICMNVKEVSKPSEASSLFSDLPESAKKLLLQSIFNNTGGDVVVNKEGKHEILGTPTDTALLEFGLSLGGNFQTERQASKLVKVEPFNSTKKRMGVILELPEGGLRAHTKGASEIVLASCEKVINTNGEIVPLDEASINHLKVTIEQFACEALRTLCLAYVELENGFSPQNPIPVSGYTCIGIVGIKDPVRPGVKESVAICRSAGIVVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFREKNQEELLSLIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVLILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRPPVGKRQNFITNVMWRNILGQSLYQFTVIWLLQAKGTAMFGLDGPDSHVILNTLIFNTFVFCQVFNEISSREMEEIDVFKGILDNYVFVGVLSSTVLFQIIIVEFLGKFASTAPLTLAQWFITVFIGFLGMPIAAGIKKIPV >ONI02822 pep chromosome:Prunus_persica_NCBIv2:G6:22877971:22878992:-1 gene:PRUPE_6G223700 transcript:ONI02822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLISLALETLVRSWRWVQETSDGRTEAAELLGLSWLEVRGKLNTTKLSPGIQYEVVFVVKLQAKAYGWEVPVNLKLNVPHASENRWSKVNLTDKAREHWIEIPVGDFTASPKNLGTSSFHCMNMIRDGRLDLLSKVLSFGQFTNNLAYEQRDDVLVFSFL >ONI02385 pep chromosome:Prunus_persica_NCBIv2:G6:20170930:20174451:-1 gene:PRUPE_6G194600 transcript:ONI02385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLVFISVSLRFYQEYGSSKAAMKLSEFVRCPVKVQRCAGRVYQTELVVQIDQRDVVPGDIVIFEPGDLFPGDVRLLSSKHLVVSQSSLTGESWTTEKTADIREDQSTPLLDLKNICFMGTNVVSGGGTGLVVSTGSKTYMSTMFSNIGKKQPPNDFEDGVRRISYVLVAVMLVAVTVIVVIDYTTSHDLTASFLFGISVASALTPQMLPLIVNTSLAKGALAMAKDRCIIKSLSAIRDMGSMDILCFDKTGTLTMNRAIMVNHLDSLGLSKEKVLQFAFLSSYFKTDQKYPLDDAILAHVYTNGYRFQPSKWQKLDEIPFDFIRRRVSIIMEREAEDKSRHSFERVMVTKGALEEVMKICSSIEDVDSGTNITFTSERYQRILNMVEEISNEGLGVIGVAIKSLDTETSYQRKDNDETFESDMVFLGLITFFDPPKDSAKQALWRLAEKGVKAKVLTGDSLSLAIRVCKEVGIRTTHVVTGPELELLNQESFHETVKRATVLARLTPTQKLRVVQSLQTVGNHIVGFLGDGVNDSLALDAANVGISVDSAASVAKDFADIILLEKDLNVLIAGVEHGRLTFGNTMKYIKMSVIANLGSVLSILIATVCLKYEPLTPRQLLTQNFLYSVGQIAIPWDKMEEDAVKVPQKWSQKGLPMFILWNGPVCTIFDVSALLFLWFYYKADGVDDSMFFHSAWFIEGLLMQTLIIHLIRTEKIPFIQDLASWPVLCSTVLISAIGIAIPFTPIGDVMGFVELPLSYFGFLLVLFIGYLFVGQVVKRIYIMIYKRWL >ONI02384 pep chromosome:Prunus_persica_NCBIv2:G6:20170930:20174451:-1 gene:PRUPE_6G194600 transcript:ONI02384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLVFISVSLRFYQEYGSSKAAMKLSEFVRCPVKVQRCAGRVYQTELVVQIDQRDVVPGDIVIFEPGDLFPGDVRLLSSKHLVVSQSSLTGESWTTEKTADIREDQSTPLLDLKNICFMGTNVVSGGGTGLVVSTGSKTYMSTMFSNIGKKQPPNDFEDGVRRISYVLVAVMLVAVTVIVVIDYTTSHDLTASFLFGISVASALTPQMLPLIVNTSLAKGALAMAKDRCIIKSLSAIRDMGSMDILCFDKTGTLTMNRAIMVNHLDSLGLSKEKVLQFAFLSSYFKTDQKYPLDDAILAHVYTNGYRFQPSKWQKLDEIPFDFIRRRVSIIMEREAEDKSRHSFERVMVTKGALEEVMKICSSIEDVDSGTNITFTSERYQRILNMVEEISNEGLGVIGVAIKSLDTETSYQRKDNDETFESDMVFLGLITFFDPPKDSAKQALWRLAEKGVKAKVLTGDSLSLAIRVCKEVGIRTTHVVTGPELELLNQESFHETVKRATVLARLTPTQKLRVVQSLQTVGNHIVGFLGDGVNDSLALDAANVGISVDSAASVAKDFADIILLEKDLNVLIAGVEHGRLTFGNTMKYIKMSVIANLGSVLSILIATVCLKYEPLTPRQLLTQNFLYSVGQIAIPWDKMEEDAVKVPQKWSQKGLPMFILWNGPVCTIFDVSALLFLWFYYKADGVDDSMFFHSAWFIEGLLMQTLIIHLIRTEKIPFIQDLASWPVLCSTVLISAIGIAIPFTPIGDVMGFVELPLSYFGFLLVLFIGYLFVGQVVKRIYIMIYKRWL >ONI02382 pep chromosome:Prunus_persica_NCBIv2:G6:20170930:20175385:-1 gene:PRUPE_6G194600 transcript:ONI02382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFKIPSIFTSYKHTPLPYYNPARQNLVNKSETQKDGAANKVFRFLRRLMSGGKIDGGSRTEAEEKLYAWLYALAQSDKDMVFEYVRSTERGLSFAEAERRLKENGPNVPIDYSFPSWWHILWNAFFHPFNIILIVLSVISYFTSDSPNGCIMLVLVFISVSLRFYQEYGSSKAAMKLSEFVRCPVKVQRCAGRVYQTELVVQIDQRDVVPGDIVIFEPGDLFPGDVRLLSSKHLVVSQSSLTGESWTTEKTADIREDQSTPLLDLKNICFMGTNVVSGGGTGLVVSTGSKTYMSTMFSNIGKKQPPNDFEDGVRRISYVLVAVMLVAVTVIVVIDYTTSHDLTASFLFGISVASALTPQMLPLIVNTSLAKGALAMAKDRCIIKSLSAIRDMGSMDILCFDKTGTLTMNRAIMVNHLDSLGLSKEKVLQFAFLSSYFKTDQKYPLDDAILAHVYTNGYRFQPSKWQKLDEIPFDFIRRRVSIIMEREAEDKSRHSFERVMVTKGALEEVMKICSSIEDVDSGTNITFTSERYQRILNMVEEISNEGLGVIGVAIKSLDTETSYQRKDNDETFESDMVFLGLITFFDPPKDSAKQALWRLAEKGVKAKVLTGDSLSLAIRVCKEVGIRTTHVVTGPELELLNQESFHETVKRATVLARLTPTQKLRVVQSLQTVGNHIVGFLGDGVNDSLALDAANVGISVDSAASVAKDFADIILLEKDLNVLIAGVEHGRLTFGNTMKYIKMSVIANLGSVLSILIATVCLKYEPLTPRQLLTQNFLYSVGQIAIPWDKMEEDAVKVPQKWSQKGLPMFILWNGPVCTIFDVSALLFLWFYYKADGVDDSMFFHSAWFIEGLLMQTLIIHLIRTEKIPFIQDLASWPVLCSTVLISAIGIAIPFTPIGDVMGFVELPLSYFGFLLVLFIGYLFVGQVVKRIYIMIYKRWL >ONI02383 pep chromosome:Prunus_persica_NCBIv2:G6:20170557:20175669:-1 gene:PRUPE_6G194600 transcript:ONI02383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTRSFDFCAGLCLEEKLMEGQGLRQRRSSTLGYTPWRSLTRIWFSRLSFAEAERRLKENGPNVPIDYSFPSWWHILWNAFFHPFNIILIVLSVISYFTSDSPNGCIMLVLVFISVSLRFYQEYGSSKAAMKLSEFVRCPVKVQRCAGRVYQTELVVQIDQRDVVPGDIVIFEPGDLFPGDVRLLSSKHLVVSQSSLTGESWTTEKTADIREDQSTPLLDLKNICFMGTNVVSGGGTGLVVSTGSKTYMSTMFSNIGKKQPPNDFEDGVRRISYVLVAVMLVAVTVIVVIDYTTSHDLTASFLFGISVASALTPQMLPLIVNTSLAKGALAMAKDRCIIKSLSAIRDMGSMDILCFDKTGTLTMNRAIMVNHLDSLGLSKEKVLQFAFLSSYFKTDQKYPLDDAILAHVYTNGYRFQPSKWQKLDEIPFDFIRRRVSIIMEREAEDKSRHSFERVMVTKGALEEVMKICSSIEDVDSGTNITFTSERYQRILNMVEEISNEGLGVIGVAIKSLDTETSYQRKDNDETFESDMVFLGLITFFDPPKDSAKQALWRLAEKGVKAKVLTGDSLSLAIRVCKEVGIRTTHVVTGPELELLNQESFHETVKRATVLARLTPTQKLRVVQSLQTVGNHIVGFLGDGVNDSLALDAANVGISVDSAASVAKDFADIILLEKDLNVLIAGVEHGRLTFGNTMKYIKMSVIANLGSVLSILIATVCLKYEPLTPRQLLTQNFLYSVGQIAIPWDKMEEDAVKVPQKWSQKGLPMFILWNGPVCTIFDVSALLFLWFYYKADGVDDSMFFHSAWFIEGLLMQTLIIHLIRTEKIPFIQDLASWPVLCSTVLISAIGIAIPFTPIGDVMGFVELPLSYFGFLLVLFIGYLFVGQVVKRIYIMIYKRWL >ONI03502 pep chromosome:Prunus_persica_NCBIv2:G6:25144478:25145525:1 gene:PRUPE_6G261000 transcript:ONI03502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFVYRAIVEYKNGKQAGGALNSWFSESPTSASYIRLPGDSGRIQASDFQLFMSDYGMNSGSSASSSAAQIMKSSGVQSPIRHRVASN >ONI00024 pep chromosome:Prunus_persica_NCBIv2:G6:4360269:4364258:-1 gene:PRUPE_6G063200 transcript:ONI00024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAFVGDIPLFHKILAALLTGALAIIVANPTDLVKVRLQAEGKLPAGVPRRYSGAVDAYFTIVRQEGVGALWTGLGPNVARNAIINAAELASYDQVKETILKIPGFMDNIFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSTYKNTFDCFIKTLKYEGFLAFYKGFLPNFGRLGSWNVIMFLVLEQAKNIFRV >ONI00022 pep chromosome:Prunus_persica_NCBIv2:G6:4360269:4364258:-1 gene:PRUPE_6G063200 transcript:ONI00022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSPRSEISFLQTFLCSAFAACFAEFCTIPLDTAKVRLQLQKKAVVGDTTGSQKYRGLFGTMATIAREEGLAALWNGIIPGLQRQCIYGGLRIGLYDPVKIFLVGSAFVGDIPLFHKILAALLTGALAIIVANPTDLVKVRLQAEGKLPAGVPRRYSGAVDAYFTIVRQEGVGALWTGLGPNVARNAIINAAELASYDQVKEVKSRMMGDSTYKNTFDCFIKTLKYEGFLAFYKGFLPNFGRLGSWNVIMFLVLEQAKNIFRV >ONI00023 pep chromosome:Prunus_persica_NCBIv2:G6:4360601:4363966:-1 gene:PRUPE_6G063200 transcript:ONI00023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSPRSEISFLQTFLCSAFAACFAEFCTIPLDTAKVRLQLQKKAVVGDTTGSQKYRGLFGTMATIAREEGLAALWNGIIPGLQRQCIYGGLRIGLYDPVKIFLVGSAFVGDIPLFHKILAALLTGALAIIVANPTDLVKVRLQAEGKLPAGVPRRYSGAVDAYFTIVRQEGVGALWTGLGPNVARNAIINAAELASYDQVKETILKIPGFMDNIFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSTYKNTFDCFIKTLKYEGFLAFYKGFLPNFGRLGSWNVIMFLVLEQAKNIFRV >ONI02201 pep chromosome:Prunus_persica_NCBIv2:G6:19029393:19030668:1 gene:PRUPE_6G183000 transcript:ONI02201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPRQDLSFAHVCQSRPCSPLKMNRKSSQASFTLLWQLLGLLQLLGFFVFGLCCFWILQRSQHKRLIDSHHLRGPSYCPLRCWFCFVLVASCFASNKVRTFCNLLQRSTGLVIFVSCLTWDFSLLVNFEAQKVGVNHQLFTFCHLFFLQSADLEFCSAEGGLSM >ONH99078 pep chromosome:Prunus_persica_NCBIv2:G6:733550:735212:1 gene:PRUPE_6G009600 transcript:ONH99078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGVLLILLFSSIIYTNIIHACDQTEHSSLLFFSLGLSSPLLNWTSLNCYHWEGITCNQNGWVTGLHLPSKGIQGDHFSSSLGNLTHLSYPNLSRNSLYSSLHQSQFFLSLNCLQILDLNYILLYGELPVSLSSRNMIQMVFRAGYNNSSGLLPEDMYDATTLEQIALPVNSLYGVIILDLSSNGLSNMLPIDIGKLSNLKFMLLHTNKLRTRFFAALSLMSCTNLIELNMRFNNFKGDISALNSSRFSQLTKLDIMSIITSLNRLEGQIQPEIISLKSLSFLSMAHNRLTNVTGAMKILLKY >ONI00202 pep chromosome:Prunus_persica_NCBIv2:G6:5086113:5087912:-1 gene:PRUPE_6G074400 transcript:ONI00202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANSSFTPHIIGSTVPELSRNPRNGALFSVRISSSSNYPTTRDELAEGPYCIYVGPIETASKETLEALYRQARDAYYSGDPLIVDDMFDRVELKLRWYGSKCVVKYPRCSLRRQSTYADAEEDLSQVFALASIWILFLAFGSSACLVPMVYTVGLAYQEAFNPGFSYINQAFAFSMLNAILFMALGSVIGYPIASASVKVLEGLWRNDLVALKGACPNCGEEHLSAGVCICEIQSI >ONI00201 pep chromosome:Prunus_persica_NCBIv2:G6:5085898:5088034:-1 gene:PRUPE_6G074400 transcript:ONI00201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANSSFTPHIIGSTVPELSRNPRNGALFSVRISSSSNYPTTRDELAEGPYCIYVGPIETASKETLEALYRQARDAYYSGDPLIVDDMFDRVELKLRWYGSKCVVKYPRCSLRRQSTYADAEEDLSQVFALASIWILFLAFGSSACLVPMVYTVGLAYQEAFNPGFSYINQAFAFSMLNAILFMALGSVIGYPIASASVKVLEGLWRNDLVALKGACPNCGEEVFAFVKSNQSNSPHRANCHVCECLLEFRTKVEVT >ONI00200 pep chromosome:Prunus_persica_NCBIv2:G6:5085051:5088035:-1 gene:PRUPE_6G074400 transcript:ONI00200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANSSFTPHIIGSTVPELSRNPRNGALFSVRISSSSNYPTTRDELAEGPYCIYVGPIETASKETLEALYRQARDAYYSGDPLIVDDMFDRVELKLRWYGSKCVVKYPRCSLRRQSTYADAEEDLSQVFALASIWILFLAFGSSACLVPMVYTVGLAYQEAFNPGFSYINQAFAFSMLNAILFMALGSVIGYPIASASVKVLEGLWRNDLVALKGACPNCGEEVFAFVKSNQSNSPHRANCHVCECLLEFRTKVEKSISPLGRRWVYGSIYLVSRGRSRLQRRL >ONI02395 pep chromosome:Prunus_persica_NCBIv2:G6:20325386:20326960:1 gene:PRUPE_6G195600 transcript:ONI02395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPKRKNASMRPLPSVVRFNKILGQVAKLKHYSAVISFYNQMGVSRIGHDVCTLTILINSYCHLNQMGFSLSVLGKFFKLGLEPMSTFTTLINGFLLENRVVQAAELFNKMINAGNCQPDAVTYGTLVKGFCMKEMMSKGIAPDVITYTSLIHGVCKLGEWKEAKRFLNEMVSKSIFPDVRTYNVLVDTLCKEGMVLEADGVVEMMIQRGIEPDTVTYSSLMDGYCLRGEMSKARKVFKLMLSKGSMVDVICYSALINGYCKHKMMDEAMMLLREMTCKGLIPNIAIYNTLVDGYCKVGKLGDAQQLFSEMQACGQLPDVQTYAIYWMACVKTDKFLQQSNCLKRWKARRWMQIL >ONI04278 pep chromosome:Prunus_persica_NCBIv2:G6:28013640:28014936:-1 gene:PRUPE_6G313000 transcript:ONI04278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCHGDALVQRVCELYEQISSLESLKPSKDVNMLFTQLVLTCMPPSPIDVSKLCQGVQEIRSKLIRLCGEAEGLLENHFSTILGSYEHPLDHLTIFPYYSNYLKLSKLEFTILSQHFPHVPSKIAFVGSGPLPLTSIVLASNHLTTTSFHNYDIDPSANSKALGLVSSDPDLSKRMVFHTTDIMDVTNALKDYDVVFLAALVGMDKMEKLKIIDHLAKYMAPGATLMLRSAHGARAFLYPVIDPCDDLRGFEVLSVFHPTDEVINSVVIARKYALPAQHSSPIDSQGLNAAPIILPNKCSGIEAFNPLLNHGNMMIEELAFEEQLS >ONH99599 pep chromosome:Prunus_persica_NCBIv2:G6:2875617:2878901:1 gene:PRUPE_6G038200 transcript:ONH99599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLQVDAKMLMSLVLLGFIGLLMRLYNGLVAKPKKLRSLLTKQGISGPPATLLLGNIMEIKNSRGSGNVFREGEAPTTHNCAALLFPFFEKWRKQYGEVFMFALGNTQILYANQPDTVREITTCTSLDLGKPTYQYKERGPLLGQGILTSNGASWAHQRKVIAPELYMEKVKGMINLITESTTTLINSWNSRIEAEGGVADIKIDSYIRSFSGDVISRACFGSNYSKGEEIFQKLRNLQEAMSKKIFLTGVPGMRHLPTKSNREAWALEKEASTLILQVVKERQAAGYEKDLLQMILEGARNTDLSPEATDRFIVDNCKNIYLAGYETTAVSATWCLMLLASNQKWQERVRAEVLQICQGQIPDNEMVRKMKQLTMVIQESLRLYPPVTVVSREAFKDMKFGDINVPKGFNVWTTVVTLHTDPEIWGPDAYEFNPDRFANGITGACKLPHLYMPFGVGPRVCLGQNLAMVELKVLLALIVSNFSFSLSPKCKYGPALRLVVEPEHGVDLLVTKL >ONI04320 pep chromosome:Prunus_persica_NCBIv2:G6:28132247:28137591:1 gene:PRUPE_6G315700 transcript:ONI04320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVTLNQVEWEHFFRRVVREEVERVIIPFLKASPRPPLESGSTSGGRGLQLRFINKLPSTIFTGSRVEAEDGAPLQIILIDASTNNIVRSSPLSSIKIELLVLNGEFGSDDQEDWTEKEFNNYVVREREGKRPLVTGEINITLREGVGSLGDVVFTDNSSWIRCRKFRLAARVVAKAPSEVRIREARSEPFVVKDHRGELYRKHHPPSLSDEIWRLEKIAKEGAFHKRLSRFGIDTVKDFLQSYVKDPSGLRNIFEGISNRTWDTIIEHAKACEIDDHKFYAYHRTEQDVSLLFNSIYKVVGAMVNGQYCSLDELTPLQTNLVENLKQHAYRNVRDIVPVDTSAIFALSRPLSSLQAESFNVPNPDLQQIDFQLTQQDEPSMQLGFNQASTSTSCPYQPEVSNHLVVSLAQASHPMQVFTPALRNSFSMEDFNSMHYNGESSWPPLNGFQVPIVPSAHLGTENLFQVQTSTWSPTPTSTNVIWGQANSFCFGSSSNGTEAGHFPHISGLVHNSGTRKPKACWCKLRAAIKWWVSVRRDVAARRMARPLYLDC >ONI04318 pep chromosome:Prunus_persica_NCBIv2:G6:28132247:28137591:1 gene:PRUPE_6G315700 transcript:ONI04318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNLPFGYARTMSILNEFVPMSMAAKSVEGVGGSVVAEAISLGNWNLGFCDFDLEAKFAGSISSVVRDAMRDVTLNQVEWEHFFRRVVREEVERVIIPFLKASPRPPLESGSTSGGRGLQLRFINKLPSTIFTGSRVEAEDGAPLQIILIDASTNNIVRSSPLSSIKIELLVLNGEFGSDDQEDWTEKEFNNYVVREREGKRPLVTGEINITLREGVGSLGDVVFTDNSSWIRCRKFRLAARVVAKAPSEVRIREARSEPFVVKDHRGELYRKHHPPSLSDEIWRLEKIAKEGAFHKRLSRFGIDTVKDFLQSYVKDPSGLRNIFEGISNRTWDTIIEHAKACEIDDHKFYAYHRTEQDVSLLFNSIYKVVGAMVNGQYCSLDELTPLQTNLVENLKQHAYRNVRDIVPVDTSAIFALSRPLSSLQAESFNVPNPDLQQIDFQLTQQDEPSMQLGFNQASTSTSCPYQPEVSNHLVVSLAQASHPMQVFTPALRNSFSMEDFNSMHYNGESSWPPLNGFQVPIVPSAHLGTENLFQVQTSTWSPTPTSTNVIWGQANSFCFGSSSNGTEAGHFPHISGLVHNSGTRKPKACWCKLRAAIKWWVSVRRDVAARRMARPLYLDC >ONI04319 pep chromosome:Prunus_persica_NCBIv2:G6:28132679:28137640:1 gene:PRUPE_6G315700 transcript:ONI04319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKRHFHEEGGEDFEFPVPESKRRPTFKNVVRDAMRDVTLNQVEWEHFFRRVVREEVERVIIPFLKASPRPPLESGSTSGGRGLQLRFINKLPSTIFTGSRVEAEDGAPLQIILIDASTNNIVRSSPLSSIKIELLVLNGEFGSDDQEDWTEKEFNNYVVREREGKRPLVTGEINITLREGVGSLGDVVFTDNSSWIRCRKFRLAARVVAKAPSEVRIREARSEPFVVKDHRGELYRKHHPPSLSDEIWRLEKIAKEGAFHKRLSRFGIDTVKDFLQSYVKDPSGLRNIFEGISNRTWDTIIEHAKACEIDDHKFYAYHRTEQDVSLLFNSIYKVVGAMVNGQYCSLDELTPLQTNLVENLKQHAYRNVRDIVPVDTSAIFALSRPLSSLQAESFNVPNPDLQQIDFQLTQQDEPSMQLGFNQASTSTSCPYQPEVSNHLVVSLAQASHPMQVFTPALRNSFSMEDFNSMHYNGESSWPPLNGFQVPIVPSAHLGTENLFQVQTSTWSPTPTSTNVIWGQANSFCFGSSSNGTEAGHFPHISGLVHNSGTRKPKACWCKLRAAIKWWVSVRRDVAARRMARPLYLDC >ONH99676 pep chromosome:Prunus_persica_NCBIv2:G6:3145343:3146023:1 gene:PRUPE_6G042800 transcript:ONH99676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKAPIALRSKLLKPCRNLLQIFRFKLKKPFFIRALHSRPHYTKVEKAQKAPKKNRITGFLSAFCSTRKPKGMDRLAALKSFSEKGGDGRHYSSPITPAYLKAMAGEPVASGHEEVQDACKSFENYLVEMIIDEGKVRDLMDVEELLYCWKNLKSPVFIDLVGRFYGELCKDLFSTSSDESTKYSQ >ONI00470 pep chromosome:Prunus_persica_NCBIv2:G6:6196567:6198341:1 gene:PRUPE_6G090600 transcript:ONI00470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRNIAASSSSYCSSRRWRGMPCLHSNSTVVVFANDYFAFLHSQPSKPIKSTRTQLEQPVRNSSEITTVEDAFNVFDRMLQMRPPPSVVRFTQILGQVAKLKHYSAVISLYNQMGVSGIGPNVYTLNILINCYCHLNQMGFSLSVLGNFFKLGLEPDVFTFTTLINGFLLDNRVAEAATLLHKMMRGGNCQPNVVTYGTLVKGFCMKEMMCKGIAPDVITYNSLMNGVCKLGEWKEAARLLNEMVSKNIFPNVLTFNVLVDTLCKEGMIVEAEGVVEMMIKRDIDPDTVTYTTLMDGYCLRGEMGKAQEVFELMLSKGLVVNVVSYNILINGYCKNKKIDEAMMLFLDMSHKGLVPSTVTYSTLLDGFCKTGRIQDAQNLFSKMQACGQLPDAQTYSILLDGLCKNRQLSRAMQLFGEMEAKKLDIDIVIYSILIEGLCIAGKIESARDLFCGLSSKGLQPDVRTYTIMINGLCIGGLTSEAEKLLVEMEGKGCSPNGCTYNTIIRGLISNKETSRAMVLIQQMVEKGLSADASTTELIVQLLSKDEVDLTLLPLIKESL >ONI03659 pep chromosome:Prunus_persica_NCBIv2:G6:25800183:25802755:-1 gene:PRUPE_6G272900 transcript:ONI03659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARHRFEPISRCSTEGRSSQTVAADLDGTLLVSRTAFPYYMLLAIEAGSLLRGLVLLASVPFVYFTYLFLSETIAIKTFIFISISGLKMKDIEMVARSVLPKFYAEDVHPETWRVFNSFGKRYVVTANPRILVEPFVKNLLGADKVLGTELEVSKSGRATGFVKTPGVLVGELKREAILKEFGTNLPDLGLGDRETDHDFMSLCKEGYMIPRTKCEPLPRNKLLSPIIFHEGRLVQRPTPLVALLTFLWMPIGILLSLLRVYTNIPLPERIARYNYKLLGINLIVKGTPPPAPKKGQSGVLFICNHRTVLDPVVTAVALGRKISCVTYSISKFTELISPIKAVALSREREKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFYGTTVRGHKLLDPYFVFMNPMPTYEITFLNQLPAELTCRGGKSAIEVANYIQRVLAGTLGFECTNLTRKDKYAMMAGTDGRVSSPNKGKEKEKEKEKEKA >ONI02896 pep chromosome:Prunus_persica_NCBIv2:G6:23156716:23159755:1 gene:PRUPE_6G227400 transcript:ONI02896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDILFCTSLTVIFILFTFKFLVQPNRSRYKNIAPSPPSLPILGHLHLLRPPVHRTFHRLSQKYGPVFSLWFGSRRVVIVSSPSAVEECFTKNDIVLANRPRLLMGKHVGYNNTTMAGSPYGDHWRNLRRIGVTEIFSTARLQTFSGIRKDEVKHLLLKLSQNARDGFAKVELKSMFNELTFNIIMTMVAGKRYYGDDMSVDKEEAKQFRQIMSDAFLYGSAANPADFLPILNWVGRGGYEKKVKTLAKRTDAFLQGLIDEHRRKGKNGTTMIDHLLSLQESQPEYYNDQIIKGLILVLLLAGTDTSAMTLEWALSNLLNNPHVLKKVKAELDAQLGQENLVDEPDLSKLPYLQSIISETLRLCPAAPLLVPHLSSEDCIIGGFDVPRDTMVLINAWALHRDPQLWDDPESFMPERFESGDNFSHKLLPFGLGRRSCPGVGLAQRVVGLTLGSLIQCFEWERVSEKEIDMTEDKGLTMPKAVPLEAMCKSRLIARKVLS >ONH99113 pep chromosome:Prunus_persica_NCBIv2:G6:881551:885986:-1 gene:PRUPE_6G012400 transcript:ONH99113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGRFCEDVAESRMAFADSDVATSTGDVRTSPFRKAAPGLLGIPGMPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTIFNCFGRQFCLHFEAFHIGTAPVYMAFLRFMGDDDEAKQFTYSLEVSGSGRKLIWQGIPRSIRDSHRKVRDSQDGLIIPRNLALFFSGGNRQELKLKVAGRIWKEH >ONH99119 pep chromosome:Prunus_persica_NCBIv2:G6:881892:884083:-1 gene:PRUPE_6G012400 transcript:ONH99119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGRFCEDVAESRMAFADSDVATSTGDVRTSPFRKAAPGLLGIPGMPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTCRFLTVLGASFACILRLSTLELHLFTWPSFGSWVMMMRQSNSLIVSKLAAVAESLYGKEFLGASEIATEKFVTVKMD >ONH99118 pep chromosome:Prunus_persica_NCBIv2:G6:881892:884083:-1 gene:PRUPE_6G012400 transcript:ONH99118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGRFCEDVAESRMAFADSDVATSTGDVRTSPFRKAAPGLLGIPGMPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTCRFLTVLGASFACILRLSTLELHLFTWPSFGSWVMMMRQSNSLIVSKLAAVAESLYGKEFLGASEIATEKFVTVKMD >ONH99112 pep chromosome:Prunus_persica_NCBIv2:G6:881551:885959:-1 gene:PRUPE_6G012400 transcript:ONH99112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGRFCEDVAESRMAFADSDVATSTGDVRTSPFRKAAPGLLGIPGMPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTIFNCFGRQFCLHFEAFHIGTAPVYMAFLRFMGDDDEAKQFTYSLEVSGSGRKLIWQGIPRSIRDSHRKVRDSQDGLIIPRNLALFFSGGNRQELKLKVAGRIWKEH >ONH99120 pep chromosome:Prunus_persica_NCBIv2:G6:881892:884083:-1 gene:PRUPE_6G012400 transcript:ONH99120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGRFCEDVAESRMAFADSDVATSTGDVRTSPFRKAAPGLLGIPGMPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTCRFLTVLGASFACILRLSTLELHLFTWPSFGSWVMMMRQSNSLIVSKLAAVAESLYGKEFLGASEIATEKFVTVKMD >ONH99114 pep chromosome:Prunus_persica_NCBIv2:G6:881524:886035:-1 gene:PRUPE_6G012400 transcript:ONH99114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGRFCEDVAESRMAFADSDVATSTGDVRTSPFRKAAPGLLGIPGMPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTIFNCFGRQFCLHFEAFHIGTAPVYMAFLRFMGDDDEAKQFTYSLEVSGSGRKLIWQGIPRSIRDSHRKVRDSQDGLIIPRNLALFFSGGNRQELKLKVAGRIWKEH >ONH99116 pep chromosome:Prunus_persica_NCBIv2:G6:881523:885964:-1 gene:PRUPE_6G012400 transcript:ONH99116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTIFNCFGRQFCLHFEAFHIGTAPVYMAFLRFMGDDDEAKQFTYSLEVSGSGRKLIWQGIPRSIRDSHRKVRDSQDGLIIPRNLALFFSGGNRQELKLKVAGRIWKEH >ONH99115 pep chromosome:Prunus_persica_NCBIv2:G6:881524:886035:-1 gene:PRUPE_6G012400 transcript:ONH99115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGRFCEDVAESRMAFADSDVATSTGDVRTSPFRKAAPGLLGIPGMPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTIFNCFGRQFCLHFEAFHIGTAPVYMAFLRFMGDDDEAKQFTYSLEVSGSGRKLIWQGIPRSIRDSHRKVRDSQDGLIIPRNLALFFSGGNRQELKLKVAGRIWKEH >ONH99117 pep chromosome:Prunus_persica_NCBIv2:G6:881892:884083:-1 gene:PRUPE_6G012400 transcript:ONH99117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGRFCEDVAESRMAFADSDVATSTGDVRTSPFRKAAPGLLGIPGMPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTCRFLTVLGASFACILRLSTLELHLFTWPSFGSWVMMMRQSNSLIVSKLAAVAESLYGKEFLGASEIATEKFVTVKMD >ONH99111 pep chromosome:Prunus_persica_NCBIv2:G6:881524:885986:-1 gene:PRUPE_6G012400 transcript:ONH99111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGRFCEDVAESRMAFADSDVATSTGDVRTSPFRKAAPGLLGIPGMPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTIFNCFGRQFCLHFEAFHIGTAPVYMAFLRFMGDDDEAKQFTYSLEVSGSGRKLIWQGIPRSIRDSHRKVRDSQDGLIIPRNLALFFSGGNRQELKLKVAGRIWKEH >ONH99121 pep chromosome:Prunus_persica_NCBIv2:G6:881892:884083:-1 gene:PRUPE_6G012400 transcript:ONH99121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGGRFCEDVAESRMAFADSDVATSTGDVRTSPFRKAAPGLLGIPGMPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTCRFLTVLGASFACILRLSTLELHLFTWPSFGSWVMMMRQSNSLIVSKLAAVAESLYGKEFLGASEIATEKFVTVKMD >ONH99122 pep chromosome:Prunus_persica_NCBIv2:G6:881892:883942:-1 gene:PRUPE_6G012400 transcript:ONH99122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNHNVHDLLECPVCMNLMCPPIHQCPNGHTLCSSCKVRVHNCCPTCRNELGNIRCLALEKVAESLDLPCRYQIYGCQDIFPYYSKLKHEKICKYRPYNCPYAGADCSVTGDIQLLMMHLKNDHKVDMHDGCTFNHRYVKSNPQEVDNATWMLTCRFLTVLGASFACILRLSTLELHLFTWPSFGSWVMMMRQSNSLIVSKLAAVAESLYGKEFLGASEIATEKFVTVKMD >ONI01492 pep chromosome:Prunus_persica_NCBIv2:G6:11561830:11565411:1 gene:PRUPE_6G142800 transcript:ONI01492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDETFVNVEESFVNPDESFVNPESFVLSSGKKPPASGEGNSASKKWPTAKEIFDPQGAFLPLWNKIFVIACVVAVSLDPLFFYIPNINEQYKCLGKDKKLRTTALLLRSLMDLTFVIHMAHQIRVTAKIVASEQVARRGKADWLCRRQELVRAIPWFSILVDFLAALPIPQVAIGVVFFKRRSFEYFRKMGIMTSLILFQYLPRVYRIYVSYEELTRIKRWVRGAFNFFLYILASHVLGGFWYFFSIQREISCWHEACQRSTENDCIATYHFHCDDFSLRNDNMTSSKVKFLSEACPLDPRNSTRFDFGIFVHALKSESIPFLQKFFHSYWWGLRNLSNFGTNLETSNYVWENCFAILISVIGLLLFLYLIGNVQTYIQLATTKSEEICQKMMIKDLEIQLWMSRNGLPDDMKTVIMKNVKQRLEQDKDADVENLFSILSRNNRKSIKRHHYYKKAKRRR >ONI01493 pep chromosome:Prunus_persica_NCBIv2:G6:11562225:11565106:1 gene:PRUPE_6G142800 transcript:ONI01493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDETFVNVEESFVNPDESFVNPESFVLSSGKKPPASGEGNSASKKWPTAKEIFDPQGAFLPLWNKIFVIACVVAVSLDPLFFYIPNINEQYKCLGKDKKLRTTALLLRSLMDLTFVIHMAHQIRVTAKIVASEQVARRGKADWLCRRQELVRAIPWFSILVDFLAALPIPQVAIGVVFFKRRSFEYFRKMGIMTSLILFQYLPRVYRIYVSYEELTRIKRWVRGAFNFFLYILASHVLGGFWYFFSIQREISCWHEACQRSTENDCIATYHFHCDDFSLRNDNMTSSKVKFLSEACPLDPRNSTRFDFGIFVHALKSESIPFLQKFFHSYWWGLRNLSNFGTNLETSNYVWENCFAILISVIGLLLFLYLIGNVQVGKQSTSLLLLLLLLLFMYIFLRIKLSFRAYVSTTLYKLVCGETDVYTVGNYKIGGDMPEDDDKRLRDPIVDV >ONI00292 pep chromosome:Prunus_persica_NCBIv2:G6:5484085:5486265:1 gene:PRUPE_6G080700 transcript:ONI00292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPNNYPNSPPTVKFTSDIWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAVSDPLFYCQNSSLSELSSTSC >ONI00291 pep chromosome:Prunus_persica_NCBIv2:G6:5484056:5487386:1 gene:PRUPE_6G080700 transcript:ONI00291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPNNYPNSPPTVKFTSDIWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDRRDDFKKKVSRCVRKSQEML >ONI00294 pep chromosome:Prunus_persica_NCBIv2:G6:5484085:5487288:1 gene:PRUPE_6G080700 transcript:ONI00294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTISLSGASRLSDRRIHYRGFFNAIMSFPNNYPNSPPTVKFTSDIWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDRRDDFKKKVSRCVRKSQEML >ONI00293 pep chromosome:Prunus_persica_NCBIv2:G6:5484085:5487386:1 gene:PRUPE_6G080700 transcript:ONI00293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPNNYPNSPPTVKFTSDIWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRDRRDDFKKKVSRCVRKSQEML >ONI00289 pep chromosome:Prunus_persica_NCBIv2:G6:5484085:5487288:1 gene:PRUPE_6G080700 transcript:ONI00289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPNNYPNSPPTVKFTSDIWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAVRVERSERRFQEKGEPLCEKVTRNAMNEYDVLPNEAFSPLCTSVSSERMC >ONI00290 pep chromosome:Prunus_persica_NCBIv2:G6:5484085:5487288:1 gene:PRUPE_6G080700 transcript:ONI00290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPNNYPNSPPTVKFTSDIWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANVEAAVMERSERRFQEKGEPLCEKVTRNAMNEYDVLPNEAFSPLCTSVSSERMC >ONI01933 pep chromosome:Prunus_persica_NCBIv2:G6:17235761:17239886:-1 gene:PRUPE_6G168200 transcript:ONI01933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSQAMLNQQQGLFENEEGSNAQMGFFSIPPNLNFSSLGSLKAFGSSSIPSSTNLSETLLPSLTPLKHREDHSITTSSDFGGSQLLSLQRSTANLWAWGEVSDDHECLSSKRSNGGDDRHHHHMGVSAMKMKKMKAIRRKVREPRFCFKTMSEVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTMDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSHDLDQDSQQASSHLNNFFW >ONI04207 pep chromosome:Prunus_persica_NCBIv2:G6:27780390:27781669:1 gene:PRUPE_6G308900 transcript:ONI04207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTPRGCGCDSKYPVVPGHEIAGIVKEVGSNVQRFKVGDHMGVGTHVNSCRDCEYCNDSFNGVDVDGTIAKGGYSSHIVVHEGYCFSIPENYPLASAAPLLCAGITVYASMVRHMMNQHGHMAVKFGKTFGLNVTIFSTSISKKEEALWSQKIVEMMAHPIGLALVKSVDFIIDIASGDYPFDPYMELLKLVEFWSCMKTFSGSVTGGTNDIQEMIDFFASNGIHPMIEIIPIQSANEAVERLLKNVVIYGFVIDIENSLK >ONI04550 pep chromosome:Prunus_persica_NCBIv2:G6:28735186:28739596:-1 gene:PRUPE_6G327700 transcript:ONI04550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGSNYGAFMEKFVLQPHSSSHDLPLSGLTFAIKDIFDVAGYVTGFGNPDWARTHPASESTAPAVSAILRGGATCNGKTVMDEMAYSINGENKHYGTPINPCAPDRVPGGSSSGSAVVVGGDLADFSLGWFARNPAILNRVGRVLLQLSNMSPVGPTQLIIADDCFQLSSIPSNRVKQVLVDSVEKLFGGHVIKHANLGDVVKDKVPSLNCFLDKGNAGQEYNIPSLAALSSAMRLLQRYEFKNNHGEWVSTVRPDLGPGIAERVWEAVRTTDENVDVCHSVKTELRVALTDLLGDFGVLAIPTVPGAPPKLQTDPTTLETFRARAFSLLSIAGVSGFCQVSIPLGLHDDLPVSVSLLAKHGSDGLLLNLVETLYDTLKEQVGNLGLLTRL >ONI04551 pep chromosome:Prunus_persica_NCBIv2:G6:28735137:28739595:-1 gene:PRUPE_6G327700 transcript:ONI04551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGSNYGAFMEKFVLQPHSSSHDLPLSGLTFAIKDIFDVAGYVTGFGNPDWARTHPASESTAPAVSAILRGGATCNGKTVMDEMAYSINGENKHYGTPINPCAPDRVPGGSSSGSAVVVGGDLADFSLGTDTGGSVRVPASYCGIFGFRPSHGVISTSGVIPMAQSFDTVGWFARNPAILNRVGRVLLQLSNMSPVGPTQLIIADDCFQLSSIPSNRVKQVLVDSVEKLFGGHVIKHANLGDVVKDKVPSLNCFLDKGNAGQEYNIPSLAALSSAMRLLQRYEFKNNHGEWVSTVRPDLGPGIAERVWEAVRTTDENVDVCHSVKTELRVALTDLLGDFGVLAIPTVPGAPPKLQTDPTTLETFRARAFSLLSIAGVSGFCQVSIPLGLHDDLPVSVSLLAKHGSDGLLLNLVETLYDTLKEQVGNLGLLTRL >ONI04125 pep chromosome:Prunus_persica_NCBIv2:G6:27539266:27543941:1 gene:PRUPE_6G304400 transcript:ONI04125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRYKGRQETSTRGNQPNEKDQAYQDSLVDDLAEEFRLPINHRPTENVNLENVEQASLDTHIASSNIGFRLLQKMGWKGKGLGKDEQGITEPIKSGIRDPKLGVGKQEEDDYFTAEENIQRRKLDVEIEETEEHAKKREVLAEREQKIQTEVKEIHKVFYCDLCNKQYKLAVEFEAHLSSYDHNHRKRFKEMKEMHGSSSRDDRQKREQQRQEKEMAKFVQIADARKQQQQQQQQQQEEESGSAPASTELTSATTLADQDQRKALKFGFSSKGGTSKSSFSSVAKKPKVPVASVFGNDSDEE >ONI04127 pep chromosome:Prunus_persica_NCBIv2:G6:27538736:27543941:1 gene:PRUPE_6G304400 transcript:ONI04127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRYKGRQETSTRGNQPNEKDQAYQDSLVDDLAEEFRLPINHRPTENVNLENVEQASLDTHIASSNIGFRLLQKMGWKGKGLGKDEQGITEPIKSGIRDPKLGVGKQEEDDYFTAEENIQRRKLDVEIEETEEHAKKREVLAEREQKIQTEVKEIHKVFYCDLCNKQYKLAVEFEAHLSSYDHNHRKRFKEMKEMHGSSSRDDRQKREQQRQEKEMAKFVQIADARKQQQQQQQQQQEEESGSAPASTELTSATTLADQDQRKALKFGFSSKGGTSKSSFSSVAKKPKVPVASVFGNDSDEE >ONI04126 pep chromosome:Prunus_persica_NCBIv2:G6:27538765:27543941:1 gene:PRUPE_6G304400 transcript:ONI04126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRYKGRQETSTRGNQPNEKDQAYQDSLVDDLAEEFRLPINHRPTENVNLENVEQASLDTHIASSNIGFRLLQKMGWKGKGLGKDEQGITEPIKSGIRDPKLGVGKQEEDDYFTAEENIQRRKLDVEIEETEEHAKKREVLAEREQKIQTEVKEIHKVFYCDLCNKQYKLAVEFEAHLSSYDHNHRKRFKEMKEMHGSSSRDDRQKREQQRQEKEMAKFVQIADARKQQQQQQQQQQEEESGSAPASTELTSATTLADQDQRKALKFGFSSKGGTSKSSFSSVAKKPKVPVASVFGNDSDEE >ONI04129 pep chromosome:Prunus_persica_NCBIv2:G6:27538765:27543941:1 gene:PRUPE_6G304400 transcript:ONI04129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKGKGLGKDEQGITEPIKSGIRDPKLGVGKQEEDDYFTAEENIQRRKLDVEIEETEEHAKKREVLAEREQKIQTEVKEIHKVFYCDLCNKQYKLAVEFEAHLSSYDHNHRKRFKEMKEMHGSSSRDDRQKREQQRQEKEMAKFVQIADARKQQQQQQQQQQEEESGSAPASTELTSATTLADQDQRKALKFGFSSKGGTSKSSFSSVAKKPKVPVASVFGNDSDEE >ONI04130 pep chromosome:Prunus_persica_NCBIv2:G6:27542405:27543649:1 gene:PRUPE_6G304400 transcript:ONI04130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQVLAEREQKIQTEVKEIHKVFYCDLCNKQYKLAVEFEAHLSSYDHNHRKRFKEMKEMHGSSSRDDRQKREQQRQEKEMAKFVQIADARKQQQQQQQQQQEEESGSAPASTELTSATTLADQDQRKALKFGFSSKGGTSKSSFSSVAKKPKVPVASVFGNDSDEE >ONI04617 pep chromosome:Prunus_persica_NCBIv2:G6:28846433:28849551:1 gene:PRUPE_6G330300 transcript:ONI04617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKNSILQIFSLLSIFLPISKSKFYYPAVFNFGDSNSDTGELAGGLGFQLPPPYGQTYFKTPSGRFSDGRLMTDFLMNAMELPFLNAYLDSVGLPSFKKGCNFAAAGSTILPATATSICPFSFGIQVNQFLRFKARVLELLAKGKNFSKYLPTEDFFSKGLYMFDIGQNDLAGAFYSKTLDQILASIPTILAEFETGIKKLYDQGGRNFWIHNTAPLGCLTQNVAKFGTNPSRLDERGCVSSHNEAAKLFNLQLHALTKKLQGQYTDANVVYVDIFSIKYELISNYSRYGFEQAIMACCGYGGPPLNYDSQITCGQTKTLNGTSVTAKGCSDSTDYVSWDGIHYTEAANQFVSSKILTGKYSDPPFSDKMPFLLKLKF >ONI04615 pep chromosome:Prunus_persica_NCBIv2:G6:28845264:28849551:1 gene:PRUPE_6G330300 transcript:ONI04615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKNSVLQIIVTLLAIFLPVGKSIKFNYPAVFNFGDSNSDTGEMTAGRGWQLPLPYGQTYFKPPSSSGRFSDGRLLIDFLMNAMELPFLNAYLDSVGLPSFKKGCNFAAAGSTILPATATSICPFSFGIQVNQFLRFKARVLELLAKGKNFSKYLPTEDFFSKGLYMFDIGQNDLAGAFYSKTLDQILASIPTILAEFETGIKKLYDQGGRNFWIHNTAPLGCLTQNVAKFGTNPSRLDERGCVSSHNEAAKLFNLQLHALTKKLQGQYTDANVVYVDIFSIKYELISNYSRYGFEQAIMACCGYGGPPLNYDSQITCGQTKTLNGTSVTAKGCSDSTDYVSWDGIHYTEAANQFVSSKILTGKYSDPPFSDKMPFLLKLKF >ONI04618 pep chromosome:Prunus_persica_NCBIv2:G6:28846958:28849551:1 gene:PRUPE_6G330300 transcript:ONI04618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTRILQILTLISISLPLAKSIHFDHPAVFNFGDSNSDTGNLVSSGIETLLSPNGQIYFKNPSGRYSDGRLIVDFLMNAMELPFLNAYLDSVGLPSFKKGCNFAAAGSTILPATATSICPFSFGIQVNQFLRFKARVLELLAKGKNFSKYLPTEDFFSKGLYMFDIGQNDLAGAFYSKTLDQILASIPTILAEFETGIKKLYDQGGRNFWIHNTAPLGCLTQNVAKFGTNPSRLDERGCVSSHNEAAKLFNLQLHALTKKLQGQYTDANVVYVDIFSIKYELISNYSRYGFEQAIMACCGYGGPPLNYDSQITCGQTKTLNGTSVTAKGCSDSTDYVSWDGIHYTEAANQFVSSKILTGKYSDPPFSDKMPFLLKLKF >ONI04616 pep chromosome:Prunus_persica_NCBIv2:G6:28845250:28849551:1 gene:PRUPE_6G330300 transcript:ONI04616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGRGWQLPLPYGQTYFKPPSSSGRFSDGRLLIDFLMNAMELPFLNAYLDSVGLPSFKKGCNFAAAGSTILPATATSICPFSFGIQVNQFLRFKARVLELLAKGKNFSKYLPTEDFFSKGLYMFDIGQNDLAGAFYSKTLDQILASIPTILAEFETGIKKLYDQGGRNFWIHNTAPLGCLTQNVAKFGTNPSRLDERGCVSSHNEAAKLFNLQLHALTKKLQGQYTDANVVYVDIFSIKYELISNYSRYGFEQAIMACCGYGGPPLNYDSQITCGQTKTLNGTSVTAKGCSDSTDYVSWDGIHYTEAANQFVSSKILTGKYSDPPFSDKMPFLLKLKF >ONI05113 pep chromosome:Prunus_persica_NCBIv2:G6:30244368:30245702:1 gene:PRUPE_6G356600 transcript:ONI05113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSVVAANDSMKSNEEAQAAKKTRELPNLSECHSCHLRVDIANDNAKSKLNVLYSEWRVVLLCKKCFSRVESSELCSYCFSTSLSQESFSCRQCNRRVHRHCDSEYQSVVVLSDSSSATEFSVCADCRIPESLVKWRGVVSNRKGRRTGKRRVGLGLGKSRVSAMVDDGEIDDAFGGEEGSNSRRDEDAAVDDDGPSKEGEMSCSNGVDLESPTFCKQEECICISTPKEQSCNARVDPFYFKYRKRSTLDCYVLTYKKRRPKNFNPRALDIEEESEDDDGPLKEGEVSCSNGVDLESPTFCKQEQEECICITTPKEQRCNAKLDPFFLKYRKRSTLDRNVLTYKKRRPKNLNASSMLTYKRRKPKSLYACYTFTYKRRRPRILYARSTGSS >ONI02964 pep chromosome:Prunus_persica_NCBIv2:G6:23404086:23406795:1 gene:PRUPE_6G231400 transcript:ONI02964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMAAYYPPPPPPPGSVHYPYYQQPPPPQPPPSVAPPLQHHLVQPYFPQHPPPFSSYAPALVPQPSHDEVRTLFVAGLPEDVKPREIYNLFREFPGYESSHLRTPTEKSQPFAFAVFLDQQSAIGAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDERSGSDKKARGSSYSRGAPDSGVGSIHMPGMGNSAYNIIGYPSAPSHGNFNGIPVHETIAGNVNNSAAQHFPQNNTPCPTIFVANLGPTCTEQELIQVFSRCPGFIKLKMQSTYGAPVAFVDFQCTGEASSYRYNFQSPDPNASRAALQF >ONI02965 pep chromosome:Prunus_persica_NCBIv2:G6:23403988:23406462:1 gene:PRUPE_6G231400 transcript:ONI02965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMAAYYPPPPPPPGSVHYPYYQQPPPPQPPPSVAPPLQHHLVQPYFPQHPPPFSSYAPALVPQPSHDEVRTLFVAGLPEDVKPREIYNLFREFPGYESSHLRTPTEKSQPFAFAVFLDQQSAIGAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDERSGSDKKARGSSYSRGAPDSGVGSIHMPGMGNSAYNIIGYPSAPSHGNFNGIPVHETIAGNVNNSAAQHFPQNNTPCPTIFVANLGPTCTEQELIQVFSRCPGFIKLKMQSTYGAPVAFVDFQVPMSVRFYHPS >ONI02962 pep chromosome:Prunus_persica_NCBIv2:G6:23403988:23407290:1 gene:PRUPE_6G231400 transcript:ONI02962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMAAYYPPPPPPPGSVHYPYYQQPPPPQPPPSVAPPLQHHLVQPYFPQHPPPFSSYAPALVPQPSHDEVRTLFVAGLPEDVKPREIYNLFREFPGYESSHLRTPTEKSQPFAFAVFLDQQSAIGAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDERSGSDKKARGSSYSRGAPDSGVGSIHMPGMGNSAYNIIGYPSAPSHGNFNGIPVHETIAGNVNNSAAQHFPQNNTPCPTIFVANLGPTCTEQELIQVFSRCPGFIKLKMQSTYGAPVAFVDFQDTACSTGALSHLQGTILYSSTTGEGMRLEYAKSRMGMRKKPK >ONI02966 pep chromosome:Prunus_persica_NCBIv2:G6:23403988:23407290:1 gene:PRUPE_6G231400 transcript:ONI02966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMAAYYPPPPPPPGSVHYPYYQQPPPPQPPPSVAPPLQHHLVQPYFPQHPPPFSSYAPALVPQPSHDEVRTLFVAGLPEDVKPREIYNLFREFPGYESSHLRTPTEKSQPFAFAVFLDQQSAIGAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDERSGSDKKARGSSYSRGAPDSVMETSMAYLYMRQLPET >ONI02963 pep chromosome:Prunus_persica_NCBIv2:G6:23403988:23407290:1 gene:PRUPE_6G231400 transcript:ONI02963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMAAYYPPPPPPPGSVHYPYYQQPPPPQPPPSVAPPLQHHLVQPYFPQHPPPFSSYAPALVPQPSHDEVRTLFVAGLPEDVKPREIYNLFREFPGYESSHLRTPTEKSQPFAFAVFLDQQSAIGAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDERSGSDKKARGSSYSRGAPDSGVGSIHMPGMGNSAYNIIGYPSAPSHGNFNGIPVHETIAGNVNNSAAQHFPQNNTPCPTIFVANLGPTCTEQELIQVFSRILPAQLER >ONI04937 pep chromosome:Prunus_persica_NCBIv2:G6:29791792:29792807:1 gene:PRUPE_6G348900 transcript:ONI04937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNESPNLGQLMKEPVTCLYLKSTPKSLLSLLFSSLTTARATMDMIIGTDKEGYVELFVKWYEDIEILQMVKKRVVKAISTMDIDNVNNGIADTPKQKLKWYRIQKSSKYLRRCLALHCTKTSCLTPPRSSVAALIFKKMSSPFLRFSL >ONI01187 pep chromosome:Prunus_persica_NCBIv2:G6:9734792:9741471:1 gene:PRUPE_6G126500 transcript:ONI01187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPKKTKKKQTPETQFHSSLTLCSKHKDLPSAISLYESAVSQKTHFNHHHFNTLLYLCSNSVSDPSLKQLALDNGFRVFNQMLSIGTLPNEATITAVARLAAAKGDGDYAFGLVKGMDKYNVLPRLRTCDPALFCFCEKLEAEKAYEVEEHMGMVGVSLEEPEIAALLKVSAETGNGERVYGYLHKLRNVVRCVGESTAKIIEDWFLGGVACELGEANWDSGGVKEAVLRNGGGWHGQGWIGKGVWDVRRANADMSSSQCCSCGERLVCVDIDCGETQRFAESVAALAMEREVKSNFSEFQDWLDKHAEYEAIVDGANIGLYQQNFADGGFSLSQLDAVVKELYNKSGEKWPLVVMHNRRFRGLVENPSHRKLIEEWMDKGILYATPSGSNDDWYWLYAAVKLKCLLVTNDEMRDHIFELLGSSFFLKWKDRHQVRYTFVKGNLQLQMPPPYSSVIQESEIGSWHVPVADDSCQEPARTWLCITRPSVSKASGEVSHNLETSRTGGVCRNLESLNSCTTEFVASHNEKTTVVTGKRKERSPSPSQLNLSSA >ONI02522 pep chromosome:Prunus_persica_NCBIv2:G6:21122454:21123521:1 gene:PRUPE_6G203700 transcript:ONI02522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNDPKLHIAMFPWLAFGYMIPYLELAKLIAQKGHQIFFISTPRNIERLPQLPPNLSSLITFVKLPLPRVDDDFPEAAEATTDVPQNKVMKLKNAYDALQQPLTHFLESSNPDWLLFDFAAYWAPTTARNLGIPCAFFSIFIGACLVFLGPTSPEISPDDRKNQEDYTVPPKWVPFPSNVAFRLFEVGKVYIDSITGDESNVSDVYRNMEGMRGCDIIAIRDIHRKRVLLVGQLPTTNYEENDRWGQ >ONI00576 pep chromosome:Prunus_persica_NCBIv2:G6:6667562:6670038:1 gene:PRUPE_6G096300 transcript:ONI00576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNQVAKTRDAFPAVARLLSLDRDVLRDILSRLPVKSLIRCTSVCKTWIPIIKSQDLIRKHLIRALNSNDLLLLHTVSGEECKTVLHKTLVKELTEEVYSVRYDAEDLGLYCPIEFPISHKKKLHNSFLRVVGVCDGLVCLADDIFRYGSTFIIWNPCIRKSVTLPSPGVTFRKNGGYDATIGFGFDATTNDYKVVRLVVDQVGSPTIAEVYSLANGSWTSPRPVTPECEIDGAAFQASVNGALHWPAIPRKTVDDLCYFILAFDLGSELFREMPMPESFEWHTSLGLQLSVSGDRKSIALFVMDHRCANYENYFLDIWVMKDYGIYESWTRLIRLGPQGPERRLPRALGFRKSGEVLLSLCGERNHVDGRSPNELGSLDPASREYISTEITGYDYCVVDSYTESLLLLDKVSNKEKEQEISFYNSYVLGLSTT >ONI00577 pep chromosome:Prunus_persica_NCBIv2:G6:6667562:6670038:1 gene:PRUPE_6G096300 transcript:ONI00577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNQVAKTRDAFPAVARLLSLDRDVLRDILSRLPVKSLIRCTSVCKTWIPIIKSQDLIRKHLIRALNSNDLLLLHTVSGEECKTVLHKTLVKELTEEVYSVRYDAEDLGLYCPIEFPISHKKKLHNSFLRVVGVCDGLVCLADDIFRYGSTFIIWNPCIRKSVTLPSPGVTFRKNGGYDATIGFGFDATTNDYKVVRLVVDQVGSPTIAEVYSLANGSWTSPRPVTPECEIDGAAFQASVNGALHWPAIPRKTVDDLCYFILAFDLGSELFREMPMPESFEWHTSLGLQLSVSGDRKSIALFVMDHRCANYENYFLDIWVMKDYGIYESWTRLIRLGPQGPERRLPRALGFRKSGEVLLSLCGERNHVDGRSPNELGSLDPASREYISTEITGYDYCVVDSYTESLLLLDKVSNKEKEQEISFYNSYVLGLSTT >ONI03330 pep chromosome:Prunus_persica_NCBIv2:G6:24622772:24626605:1 gene:PRUPE_6G251500 transcript:ONI03330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRRLRDLQSQPGNKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNEQLNAFLASYGVSKETDIVTKYNTNAASVYRDRIQALAEGRPWRDPPVVKETLGFGKSKPPLAHGGGGGGSRGGNSGNNGGWDSWDDDGFRSSNDIRRNQSTGDFRAGGGGGHGGRGDGGYGGSMPARSRSTEDITRSQFEASAANKESFFARKIAENESRPDGLPPSQGGKYVGFGSSPAPSQNHQNVNAQGDVLSVVSQGIGKLSLVAASAAQSAASVVQAGTKELTTKVKEGGYDYKVNETVNVVTAKTTEIGHRTWGIMKGVMAMASQKVEEYTKDGTAWKADNNWQRNDSEQNGYYQEFKQENKQWNSSGGGQSSSGNFNSYGSSSWDDWDQKDNRKQETTKGTGSNSSDGWAGWDDGKDDGFDHYQSASVSKAAGHNGKSDSTWTGGGFN >ONI03331 pep chromosome:Prunus_persica_NCBIv2:G6:24622811:24626605:1 gene:PRUPE_6G251500 transcript:ONI03331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRRLRDLQSQPGNKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNEQLNAFLASYGVSKETDIVTKYNTNAASVYRDRIQALAEGRPWRDPPVVKETLGFGKSKPPLAHGGGGGGSRGGNSGNNGGWDSWDDDGFRSSNDIRRNQSTGDFRAGGGGGHGGRGDGGYGGSMPARSRSTEDITRSQFEASAANKESFFARKIAENESRPDGLPPSQGGKYVGFGSSPAPSQNHQNVNAQGDVLSVVSQGIGKLSLVAASAAQSAASVVQAGTKELTTKVKEGGYDYKVNETVNVVTAKTTEIGHRTWGIMKGVMAMASQKVEEYTKDGTAWKADNNWQRNDSEQNGYYQEFKQENKQWNSSGGGQSSSGNFNSYGSSSWDDWDQKDNRKQETTKGTGSNSSDGWAGWDDGKDDGFDHYQSASVSKAAGHNGKSDSTWTGGGFN >ONI04267 pep chromosome:Prunus_persica_NCBIv2:G6:27989533:27991241:-1 gene:PRUPE_6G312600 transcript:ONI04267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQFLETALVVHISHQSRGRDQNKIASAGINLKVQRSVRSMCSIMDG >ONI04270 pep chromosome:Prunus_persica_NCBIv2:G6:27989565:27990994:-1 gene:PRUPE_6G312600 transcript:ONI04270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQFLETVEFFQLGKALVVHISHQSRGRDQNKIASAGINLKVQRSVRSMCSIMDG >ONI04272 pep chromosome:Prunus_persica_NCBIv2:G6:27989518:27991247:-1 gene:PRUPE_6G312600 transcript:ONI04272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQFLETVEFFQLGKALVVHISHQSRGRDQNKIASAGINLKVQRSVRSMCSIMDG >ONI04268 pep chromosome:Prunus_persica_NCBIv2:G6:27989525:27991247:-1 gene:PRUPE_6G312600 transcript:ONI04268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQFLETVEFFQLGKALVVHISHQSRGRDQNKIASAGINLKVQRSVRSMCSIMDG >ONI04271 pep chromosome:Prunus_persica_NCBIv2:G6:27989565:27991241:-1 gene:PRUPE_6G312600 transcript:ONI04271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQFLETVEFFQLGKALVVHISHQSRGRDQNKIASAGINLKVQRSVRSMCSIMDG >ONI04269 pep chromosome:Prunus_persica_NCBIv2:G6:27989533:27991241:-1 gene:PRUPE_6G312600 transcript:ONI04269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQFLETVEFFQLGKALVVHISHQSRGRDQNKIASAGINLKVQRSVRSMCSIMDG >ONI01777 pep chromosome:Prunus_persica_NCBIv2:G6:14178208:14180511:1 gene:PRUPE_6G157800 transcript:ONI01777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATTLSQNPFSSFSRFPQTLRRNPLHLLSIKAIKAAEPDKTEKLKQTKTQESTNATQPSTTTASKPPKKPVYSMKKGQIVRVEKEKYLNSVNYLSVGHPPYYKGLDYIYEDRGEVLDLRIFETGEYALVAWVGVPTAPAWLPTEMLIKSEKLNYERL >ONI04177 pep chromosome:Prunus_persica_NCBIv2:G6:27684644:27688432:1 gene:PRUPE_6G307000 transcript:ONI04177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGVAPTSGLREPSGHAVGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDLNTRLPNGRFLPPLFNFKSHELKGVPVEILMKLIPEHARKQVPFLASD >ONI04181 pep chromosome:Prunus_persica_NCBIv2:G6:27684780:27688469:1 gene:PRUPE_6G307000 transcript:ONI04181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGVAPTSGLREPSGHAVGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDLNTRLPNGRFLPPLFNFKSHELKGVPVEILMKLIPEHARKQVPFLASD >ONI04178 pep chromosome:Prunus_persica_NCBIv2:G6:27684387:27688469:1 gene:PRUPE_6G307000 transcript:ONI04178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGVAPTSGLREPSGHAVGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDLNTRLPNGRFLPPLFNFKSHELKGVPVEILMKLIPEHARKQVPFLASD >ONI04182 pep chromosome:Prunus_persica_NCBIv2:G6:27684644:27688432:1 gene:PRUPE_6G307000 transcript:ONI04182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDLNTRLPNGRFLPPLFNFKSHELKGVPVEILMKLIPEHARKQVPFLASD >ONI04179 pep chromosome:Prunus_persica_NCBIv2:G6:27684384:27688470:1 gene:PRUPE_6G307000 transcript:ONI04179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGVAPTSGLREPSGHAVGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDLNTRLPNGRFLPPLFNFKSHELKGVPVEILMKLIPEHARKQVPFLASD >ONI04180 pep chromosome:Prunus_persica_NCBIv2:G6:27684387:27688469:1 gene:PRUPE_6G307000 transcript:ONI04180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGVAPTSGLREPSGHAVGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDLNTRLPNGRFLPPLFNFKSHELKGVPVEILMKLIPEHARKQVPFLASD >ONI00168 pep chromosome:Prunus_persica_NCBIv2:G6:4904579:4908359:1 gene:PRUPE_6G071900 transcript:ONI00168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGARADIESGFPGFIPERPAMRIHASRPVSTNSLAFLVTVILLFMLLNSHQMSPNFLLWLVVGVFLMATTLRMFATCQQLQAQARAHAAAASGLLNHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYDTLRALDSDNASTATSMSEEEINALPVHKHKVTDPEILDGSSLQQASSSSAPVELKKQDSKRVDGGMKDPEDELTCSICLEQVNGGDLVRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSRWPESRESESDGSDMV >ONI00170 pep chromosome:Prunus_persica_NCBIv2:G6:4904616:4908354:1 gene:PRUPE_6G071900 transcript:ONI00170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGARADIESGFPGFIPERPAMRIHASRPVSTNSLAFLVTVILLFMLLNSHQMSPNFLLWLVVGVFLMATTLRMFATCQQLQAQARAHAAAASGLLNHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYDTLRALDSDNASTATSMSEEEINALPVHKHKVTDPEILDGSSLQQASSSSAPVEYTVLFSHAADGIWP >ONI00169 pep chromosome:Prunus_persica_NCBIv2:G6:4904616:4908354:1 gene:PRUPE_6G071900 transcript:ONI00169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVFRGARADIESGFPGFIPERPAMRIHASRPVSTNSLAFLVTVILLFMLLNSHQMSPNFLLWLVVGVFLMATTLRMFATCQQLQAQARAHAAAASGLLNHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYDTLRALDSDNASTATSMSEEEINALPVHKHKVTDPEILDGSSLQQASSSSAPVELKKQDSKRVDGGMKDPEDELTCSICLEQVNGGDLVRSLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSRWPESRESESDGSDMV >ONI00119 pep chromosome:Prunus_persica_NCBIv2:G6:4706333:4711458:1 gene:PRUPE_6G068700 transcript:ONI00119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVESGWRYLITNFNDFQLACLGSFFLHESVFFLSGLPFIFLERAGWLNKYKIQTKNNSPAAQEKCITRLLLYHFCVNLPVMIISYPVFKFMGMRSSLPLPSWKVVSTQIIFYFILEDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPVYGGADFHDYHHRLLYTKSGNYSSTFVYMDRIFGTDTGYRKLKALKKTGVEDDGKEM >ONH99658 pep chromosome:Prunus_persica_NCBIv2:G6:3066124:3068370:-1 gene:PRUPE_6G041600 transcript:ONH99658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAVCGAAFIVVLLCVLMTTPYVINAAVTCGQVVTLLTPYIPFGVFGGTVPPECCAGIKGLHDAQNTAEDRRTACSCIQQGAAMIPGIDYDRINTLGDRCGSPCPYKVYPSTNCSELS >ONI03839 pep chromosome:Prunus_persica_NCBIv2:G6:26456286:26460658:-1 gene:PRUPE_6G285100 transcript:ONI03839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLEQAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONI03830 pep chromosome:Prunus_persica_NCBIv2:G6:26456065:26462311:-1 gene:PRUPE_6G285100 transcript:ONI03830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONI03833 pep chromosome:Prunus_persica_NCBIv2:G6:26456065:26462310:-1 gene:PRUPE_6G285100 transcript:ONI03833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLEQAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONI03832 pep chromosome:Prunus_persica_NCBIv2:G6:26456040:26462212:-1 gene:PRUPE_6G285100 transcript:ONI03832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONI03829 pep chromosome:Prunus_persica_NCBIv2:G6:26456040:26462311:-1 gene:PRUPE_6G285100 transcript:ONI03829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONI03836 pep chromosome:Prunus_persica_NCBIv2:G6:26455971:26462212:-1 gene:PRUPE_6G285100 transcript:ONI03836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLEQAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONI03834 pep chromosome:Prunus_persica_NCBIv2:G6:26455972:26462311:-1 gene:PRUPE_6G285100 transcript:ONI03834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLEQAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONI03835 pep chromosome:Prunus_persica_NCBIv2:G6:26456286:26460658:-1 gene:PRUPE_6G285100 transcript:ONI03835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLEQAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONI03838 pep chromosome:Prunus_persica_NCBIv2:G6:26456065:26462212:-1 gene:PRUPE_6G285100 transcript:ONI03838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLEQAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONI03831 pep chromosome:Prunus_persica_NCBIv2:G6:26456040:26462233:-1 gene:PRUPE_6G285100 transcript:ONI03831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONI03828 pep chromosome:Prunus_persica_NCBIv2:G6:26456286:26460658:-1 gene:PRUPE_6G285100 transcript:ONI03828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONI03837 pep chromosome:Prunus_persica_NCBIv2:G6:26456024:26462310:-1 gene:PRUPE_6G285100 transcript:ONI03837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTQTFTTPHPQDLVPYGANMRNLRKNHLGGVIFGCNKLTMEECLLKQLFGLPAAHFLHVKNISPGLPLFLFNYSDRKLHGIYEAASHGQMNINPYGWTTDGSEKTQFPAQVQIRVRLQCQPLLESQFKPIIVDNYYNQHHFWFELDHAQTSKLVSLLASVVVTPSTLVRQNTLKWRKNLQALPSDDRIEESEGLEPLTSEAKHVNHSSSNWDATVFFGSNNSFKHQKDVKEAEQVEQELIYMKLKELALKSNLKNEGQDLSLSGNVEDRAVSNELTLEDTDNPREQLGFEEKRGESPPSLSEQKSGESPCSLSVQKSGESPQSFSDNWAIIAKLVQEVEELKAFKAEQSMKIGYLEHKLEQAAMEIKHLKVCLKLESEPTPSMLESEPTPSMLESEPTPSMVHIDEKVAESCDLLPPDPNESMYLVGGYDGDSWLLALDAYYPYQDMIKSLRPMTSVRSYASVAQLNGDLYVIGGGNSHLWYDTVESYCPATDEWKLGPSLREKKGSLAAATTHNKIFAMGGGNGVDCFSDVEMLDLDVGRWIRTQSMLQKRFALAAVELNGVLYATGGYDGHSYLKSVDRFDPREHSWTKIASMDSNRGCHSLVVLNGKIYALGGFDGSAMVPSVEIYDPRLGSWMPGEPMNHCRGYSAAAVVKDAIYVIGGVKDGDSIAETVECYKEGQGWREMTTRAISQRCFMSAIAFSI >ONH99571 pep chromosome:Prunus_persica_NCBIv2:G6:2760026:2762306:1 gene:PRUPE_6G036500 transcript:ONH99571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALATAEACDTNTALLGSGDLRVLPPIFQIYGQCRAFSGPIVTLKVFEDNILVRQLLETRGEGRVLVIDGGGSMRCALVGGNLGQLAQNMGWAGIVVNGCIRDVDEINGCDIGVRALASHPVKSSKKGIGEKHIPINIAGTLIREGEWLYADSDGILISTSELSL >ONH99570 pep chromosome:Prunus_persica_NCBIv2:G6:2760037:2762304:1 gene:PRUPE_6G036500 transcript:ONH99570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIPNVVEVQLQTHLCIKKRNMAALATAEACDTNTALLGSGDLRVLPPIFQIYGQCRAFSGPIVTLKVFEDNILVRQLLETRGEGRVLVIDGGGSMRCALVGGNLGQLAQNMGWAGIVVNGCIRDVDEINGCDIGVRALASHPVKSSKKGIGEKHIPINIAGTLIREGEWLYADSDGILISTSELSL >ONI04621 pep chromosome:Prunus_persica_NCBIv2:G6:28855987:28859559:1 gene:PRUPE_6G330600 transcript:ONI04621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALESIQSQLPLQDSIFSTSTVTAICVFFTLLCACIIIGHLLEENRWANESITALLLGLVAGLVVLLVTKFQSSRILIFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTTILLFGIVGTVISFCLISLGAYFLFKRFGFTTLTLQDFLAIGAILSATDSVCTLQVLNQDETPFLYSVVFGEGVVNDATSIVLFNAVQSLDVSDIDALTALKLLGTFLYLFFTSTALGIAAGLLSAYIIKTLYFGRHSTDRECALMMLMAYLSYMLAELMGLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATMSFIAETFIFLYVGMDALDIDKWKASNASPGTSVGVSSLLLALVLIGRAAFVFPIANITNCFKKREGTKIEFRNQFIMWWAGLMRGAVTIALSYSQFADSSGSTSTRDSSLMITSTIIVVLFSTVVFGSITKPLISALLLQHAKPNISDATDIPSLEDLRLLFLEPGEPSGEGNSQPARKGSSFRLLMTHPTSTAHYLWRKFDDKFMRPVFGGRGFVPFVPGSPSGAAEAAEHTP >ONI03190 pep chromosome:Prunus_persica_NCBIv2:G6:24182775:24188253:1 gene:PRUPE_6G244200 transcript:ONI03190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDSDEDRQLLKLNHRSWDGKERVKNGVVDEEGRLVEEDESKSNGIAGDVNGGEGGAVQVQAVKQQLQGSTVCWERFLHVRSLKVLLVEYDDCTRHVVTALLRNCSYEVTAAANGLQAWKILEDLTNHVDLVLTEVVMPCLSGVGLLNKIMNHKTRKNVPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHIWRRCHSSSGSGTGSESGTQTQKSVRSKSVAKSENNSGSNDEEDNESTGLNVGDGSDNGSGTQSSWTKKAVEVDSPRQVFSWHQVAECPDSTCAQVVHSNAESLGNKLVPPAATRECQQQKEHDNFAMGKDLEIGLSSNRDLQRQHANDFSTKPAGTRQNNLIEIGSGEFDHQIDKGQLKFNYESLSSKPKYDGATITGVVTDPTDPLMDSTQFEASNRQYKALDRSTKANSNTHEPSVELSLKRLRGVKGTETTVQDDRNVLRRSDSSAFSRYNAASNANKAPSGHVGSNSPHDNSGEVTKKEFFRDIRPHSSDNPPNQSSNGGSNNIDMGSTTNNDVPKSQVINKSAAASTVQLLHPSSTFHPVKKDLKSATQQVLVDNANNVAATVLAQSRDAQKQLQIQNLHHDYDHCQVHRHVVHTMQGQHLPEHNDLSLKKLAVAALHCGSSNVLSGPVEGNPGNYSVNGSASGSNHGSNVQNGSSTGKNVGGTNMESDVAGKSGSGDASGNQSGNRVDENKVKQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRIRGQFVRQTVSENTVRTTDS >ONI03192 pep chromosome:Prunus_persica_NCBIv2:G6:24182775:24188253:1 gene:PRUPE_6G244200 transcript:ONI03192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDSDEDRQLLKLNHRSWDGKERVKNGVVDEEGRLVEEDESKSNGIAGDVNGGEGGAVQVQAVKQQLQGSTVCWERFLHVRSLKVLLVEYDDCTRHVVTALLRNCSYEVTAAANGLQAWKILEDLTNHVDLVLTEVVMPCLSGVGLLNKIMNHKTRKNVPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHIWRRCHSSSGSGTGSESGTQTQKSVRSKSVAKSENNSGSNDEEDNESTGLNVGDGSDNGSGTQSSWTKKAVEVDSPRQVFSWHQVAECPDSTCAQVVHSNAESLGNKLVPPAATRECQQQKEHDNFAMGKDLEIGLSSNRDLQRQHANDFSTKPAGTRQNNLIEIGVVTDPTDPLMDSTQFEASNRQYKALDRSTKANSNTHEPSVELSLKRLRGVKGTETTVQDDRNVLRRSDSSAFSRYNAASNANKAPSGHVGSNSPHDNSGEVTKKEFFRDIRPHSSDNPPNQSSNGGSNNIDMGSTTNNDVPKSQVINKSAAASTVQLLHPSSTFHPVKKDLKSATQQVLVDNANNVAATVLAQSRDAQKQLQIQNLHHDYDHCQVHRHVVHTMQGQHLPEHNDLSLKKLAVAALHCGSSNVLSGPVEGNPGNYSVNGSASGSNHGSNVQNGSSTGKNVGGTNMESDVAGKSGSGDASGNQSGNRVDENKVKQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRIRGQFVRQTVSENTVRTTDS >ONI03191 pep chromosome:Prunus_persica_NCBIv2:G6:24182369:24188552:1 gene:PRUPE_6G244200 transcript:ONI03191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDSDEDRQLLKLNHRSWDGKERVKNGVVDEEGRLVEEDESKSNGIAGDVNGGEGGAVQVQAVKQQLQGSTVCWERFLHVRSLKVLLVEYDDCTRHVVTALLRNCSYEVTAAANGLQAWKILEDLTNHVDLVLTEVVMPCLSGVGLLNKIMNHKTRKNVPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHIWRRCHSSSGSGTGSESGTQTQKSVRSKSVAKSENNSGSNDEEDNESTGLNVGDGSDNGSGTQSSWTKKAVEVDSPRQVFSWHQVAECPDSTCAQVVHSNAESLGNKLVPPAATRECQQQKEHDNFAMGKDLEIGLSSNRDLQRQHANDFSTKPAGTRQNNLIEIGSGEFDHQIDKGQLKFNYESLSSKPKYDGATITGVVTDPTDPLMDSTQFEASNRQYKALDRSTKANSNTHEPSVELSLKRLRGVKGTETTVQDDRNVLRRSDSSAFSRYNAASNANKAPSGHVGSNSPHDNSGEVTKKEFFRDIRPHSSDNPPNQSSNGGSNNIDMGSTTNNDVPKSQVINKSAAASTVQLLHPSSTFHPVKKDLKSATQQVLVDNANNVAATVLAQSRDAQKQLQIQNLHHDYDHCQVHRHVVHTMQGQHLPEHNDLSLKKLAVAALHCGSSNVLSGPVEGNPGNYSVNGSASGSNHGSNVQNGSSTGKNVGGTNMESDVAGKSGSGDASGNQSGNRVDENKVKQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRIRGQFVRQTVSENTVRTTDS >ONI03193 pep chromosome:Prunus_persica_NCBIv2:G6:24183379:24187692:1 gene:PRUPE_6G244200 transcript:ONI03193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDSDEDRQLLKLNHRSWDGKERVKNGVVDEEGRLVEEDESKSNGIAGDVNGGEGGAVQVQAVKQQLQGSTVCWERFLHVRSLKVLLVEYDDCTRHVVTALLRNCSYEVTAAANGLQAWKILEDLTNHVDLVLTEVVMPCLSGVGLLNKIMNHKTRKNVPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHIWRRCHSSSGSGTGSESGTQTQKSVRSKSVAKSENNSGSNDEEDNESTGLNVGDGSDNGSGTQSSWTKKAVEVDSPRQVFSWHQVAECPDSTCAQVVHSNAESLGNKLVPPAATRECQQQKEHDNFAMGKDLEIGLSSNRDLQRQHANDFSTKPAGTRQNNLIEIGSGEFDHQIDKGQLKFNYESLSSKPKYDGATITGVVTDPTDPLMDSTQFEASNRQYKALDRSTKANSNTHEPSVELSLKRLRGVKGTETTVQDDRNVLRRSDSSAFSRYNAASNANKAPSGHVGSNSPHDNSGEVTKKEFFRDIRPHSSDNPPNQSSNGGSNNIDMGSTTNNDVPKSQVINKSAAASTVQLLHPSSTFHPVKKDLKSATQQVLVDNANNVAATVLAQSRDAQKQLQIQNLHHDYDHCQVHRHVVHTMQGQHLPEHNDLSLKKLAVAALHCGSSNVLSGPVEGNPGNYSVNGSASGSNHGSNVQNGSSTGKNVGGTNMESDVAGKSGSGDASGNQSGNRVDENKVKQREAALTKFRQKRKERCFRKKEGLLN >ONI02720 pep chromosome:Prunus_persica_NCBIv2:G6:22465935:22469902:1 gene:PRUPE_6G217800 transcript:ONI02720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIYRPYIDPEFEFLIERIYPPWVCIDNDSYKDCTLVKVDSANKHGILLEMVQVLTDLDLVISKSYISSDGGWFMDVFHVTDQLGNKITDESLILYIQQALCASRGGISKEARPPGRNVTPGLKDLAVEMTSKDRPGLVSEISAVLVELGCNITTAVAWTHGTRAASIFYVEDGLEGGPITDPIRLAHVQEQLENVVKAHHEEGEKRSVRLTTPAGGHTHKERRLHQLMYADEDYESCRGCDGSGSSAHKKGCDRTHVNIESCKEKGYWIVNVRSRDRPKLLFDTVCALTDLQYVVFHAVVASRGTLADQEYFVRHKDGYTSNTESERHKLTLCLVAAIERRISQGLRLDLCAKNRMGLLSDITRVFRENGLSMSRIEIGTRGERAVGSIYVTDASGDEVNPNAVELVTKEIGESIIAVHKSHKWAPQASSSSRTSHKIHNRRIEEEEEDRPRFSLGSLVWSHLERLSSTFGAIKS >ONI02721 pep chromosome:Prunus_persica_NCBIv2:G6:22465318:22469999:1 gene:PRUPE_6G217800 transcript:ONI02721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIYRPYIDPEFEFLIERIYPPWVCIDNDSYKDCTLVKVDSANKHGILLEMVQVLTDLDLVISKSYISSDGGWFMDVFHVTDQLGNKITDESLILYIQQALCASRGGISKEARPPGRNVTPGLKDLAVEMTSKDRPGLVSEISAVLVELGCNITTAVAWTHGTRAASIFYVEDGLEGGPITDPIRLAHVQEQLENVVKAHHEEGEKRSVRLTTPAGGHTHKERRLHQLMYADEDYESCRGCDGSGSSAHKKGCDRTHVNIESCKEKGYWIVNVRSRDRPKLLFDTVCALTDLQYVVFHAVVASRGTLADQEYFVRHKDGYTSNTESERHKLTLCLVAAIERRISQGLRLDLCAKNRMGLLSDITRVFRENGLSMSRIEIGTRGERAVGSIYVTDASGDEVNPNAVELVTKEIGESIIAVHKSHKWAPQASSSSRTSHKIHNRRIEEEEEDRPRFSLGSLVWSHLERLSSTFGAIKS >ONI02719 pep chromosome:Prunus_persica_NCBIv2:G6:22465413:22469691:1 gene:PRUPE_6G217800 transcript:ONI02719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIYRPYIDPEFEFLIERIYPPWVCIDNDSYKDCTLVKVDSANKHGILLEMVQVLTDLDLVISKSYISSDGGWFMDVFHVTDQLGNKITDESLILYIQQALCASRGGISKEARPPGRNVTPGLKDLAVEMTSKDRPGLVSEISAVLVELGCNITTAVAWTHGTRAASIFYVEDGLEGGPITDPIRLAHVQEQLENVVKAHHEEGEKRSVRLTTPAGGHTHKERRLHQLMYADEDYESCRGCDGSGSSAHKKGCDRTHVNIESCKEKGYWIVNVRSRDRPKLLFDTVCALTDLQYVVFHAVVASRGTLADQEYFVRHKDGYTSNTESERHKLTLCLVAAIERRISQQGLRLDLCAKNRMGLLSDITRVFRENGLSMSRIEIGTRGERAVGSIYVTDASGDEVNPNAVELVTKEIGESIIAVHKSHKWAPQASSSSRTSHKIHNRRIEEEEEDRPRFSLGSLVWSHLERLSSTFGAIKS >ONI02823 pep chromosome:Prunus_persica_NCBIv2:G6:22886905:22891262:1 gene:PRUPE_6G223800 transcript:ONI02823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSSFIQVSNPSTYNNYSFSSSFSQFPTQIRLKTPKLSFPNSRNFHVLAVAVDPQQELPKNSPQRLLKELSERKRATSPKKKVPPKRFILRPPLDDKRLAQRFLNSPQLSLKSFPLLSSCLPSSRLNNADKAWIEEYMLEAKQALGYPLEPSDRFGDDNPAKQFDTLLYMAFQHPSCERTNARHVRSAHSRLWFLGQYVLELGLAEFFLQRYPREAPGPMRERVFGLIGKRNLPRWIKAASLQNLVFPFDDMDKLQRKDREPPVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPEAEDCQPKLRRQLEDVDYVSVEFEGKKLSWQDVAGYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDYESRPQVTRALGYPLAMTDRIPDITAARNIELGLGLQLSFLHPSKHKFEHPRFCYERLEYVGQKIQDLVMAERLLMKHLDAPGKWLAERHRRVLMNKFCGRYLREKRLHQFIIYSDQVQDAYEHNRRLRNPATTAVQQAIHGLSYTIYGKPDVRRLMFEVFDFEQTQPKAVTV >ONI00098 pep chromosome:Prunus_persica_NCBIv2:G6:4621797:4622473:1 gene:PRUPE_6G066900 transcript:ONI00098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEHGHMGVMASPSSMMSGSNGMMHHKMMMHMTFFWGTNAEVLFSKWPGSSTGMYYVCLLFVFALAVIVEWLSHCRLIKAGSSDVVCGLAQTFLHTIRVGLAYMVMLAVMSFNVGVFLVAVAGHTVGFLLFGSRVFKKPDPEDEKDSDLPPMSC >ONI00520 pep chromosome:Prunus_persica_NCBIv2:G6:6399291:6404019:-1 gene:PRUPE_6G092800 transcript:ONI00520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKARSGSSEVPQRVSPPQRVSPRVARQLRPTALETDSASTSSQARRISKDKSPKVNERRSPRSPLPEKKGSSRISELESQISQLQEDLKKAKDQLQSSESCKEKAQQDAEESKKQLLSLSSKLEESQLQLPELSLSEEARVIKLHKISQEKDRAWQVELEAVRKQHSVDSTALASAIGEIESLKVQLESLAASEAEQTKHAESANAELQSLKGNLAETLSLLENMKNQLKDSKESEAHAQALVGETLLQLESARTTVEALRLDGMKSTEAYNSIASELDQSKAHINLLEELVSKLKADLIIASGNVSQNQTGEHDPQQEFGEKRETGESNQTEAELHTLKSEVKRLRSTLETAETKYHEEQTQSTVQLRSANELVEQIKFTSSQREGELEAELKKTKAEIEELKAHLMDKETELQCISEENEGLNSKLVKSLSCQREYELEKELKELKGHVADLKAHLMDKETELQSISEENDMLRLEINKIQMDKSKVNNEVVAEVEAARCAEREALTKLGIVMEEADKSNKRVARVAEQLEAAQAANAEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLMDRTASLDSSFNHVTGKFGSPYSEDMDDDLLKKKNGNMLKKIGVFWKKPQK >ONI00521 pep chromosome:Prunus_persica_NCBIv2:G6:6399730:6403798:-1 gene:PRUPE_6G092800 transcript:ONI00521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKARSGSSEVPQRVSPPQRVSPRVARQLRPTALETDSASTSSQARRISKDKSPKVNERRSPRSPLPEKKGSSRISELESQISQLQEDLKKAKDQLQSSESCKEKAQQDAEESKKQLLSLSSKLEESQLQLPELSLSEEARVIKLHKISQEKDRAWQVELEAVRKQHSVDSTALASAIGEIESLKVQLESLAASEAEQTKHAESANAELQSLKGNLAETLSLLENMKNQLKDSKESEAHAQALVGETLLQLESARTTVEALRLDGMKSTEAYNSIASELDQSKAHINLLEELVSKLKADLIIASGNVSQNQTGEHDPQQEFGEKRETGESNQTEAELHTLKSEVKRLRSTLETAETKYHEEQTQSTVQLRSANELVEQIKFTSSQREGELEAELKKTKAEIEELKAHLMDKETELQCISEENEGLNSKLVKSLSCQREYELEKELKELKGHVADLKAHLMDKETELQSISEENDMLRLEINKIQMDKSKVNNEVVAEVEAARCAEREALTKLGIVMEEADKSNKRVARVAEQLEAAQAANAEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLMDRTASLDSSFNHVTGKFGSPYSEDMDDDLLKKKNGNMLKKIGVFWKKPQK >ONI00519 pep chromosome:Prunus_persica_NCBIv2:G6:6399291:6405343:-1 gene:PRUPE_6G092800 transcript:ONI00519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKARSGSSEVPQRVSPPQRVSPRVARQLRPTALETDSASTSSQARRISKDKSPKVNERRSPRSPLPEKGSSRISELESQISQLQEDLKKAKDQLQSSESCKEKAQQDAEESKKQLLSLSSKLEESQLQLPELSLSEEARVIKLHKISQEKDRAWQVELEAVRKQHSVDSTALASAIGEIESLKVQLESLAASEAEQTKHAESANAELQSLKGNLAETLSLLENMKNQLKDSKESEAHAQALVGETLLQLESARTTVEALRLDGMKSTEAYNSIASELDQSKAHINLLEELVSKLKADLIIASGNVSQNQTGEHDPQQEFGEKRETGESNQTEAELHTLKSEVKRLRSTLETAETKYHEEQTQSTVQLRSANELVEQIKFTSSQREGELEAELKKTKAEIEELKAHLMDKETELQCISEENEGLNSKLVKSLSCQREYELEKELKELKGHVADLKAHLMDKETELQSISEENDMLRLEINKIQMDKSKVNNEVVAEVEAARCAEREALTKLGIVMEEADKSNKRVARVAEQLEAAQAANAEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLMDRTASLDSSFNHVTGKFGSPYSEDMDDDLLKKKNGNMLKKIGVFWKKPQK >ONI00523 pep chromosome:Prunus_persica_NCBIv2:G6:6399730:6403798:-1 gene:PRUPE_6G092800 transcript:ONI00523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKARSGSSEVPQRVSPPQRVSPRVARQLRPTALETDSASTSSQARRISKDKSPKVNERRSPRSPLPEKKGSSRISELESQISQLQEDLKKAKDQLQSSESCKEKAQQDAEESKKQLLSLSSKLEESQLQLPELSLSEEARVIKLHKISQEKDRAWQVELEAVRKQHSVDSTALASAIGEIESLKVQLESLAASEAEQTKHAESANAELQSLKGNLAETLSLLENMKNQLKDSKESEAHAQALVGETLLQLESARTTVEALRLDGMKSTEAYNSIASELDQSKAHINLLEELVSKLKADLIIASGNVSQNQTGEHDPQQEFGEKRETGESNQTEAELHTLKSEVKRLRSTLETAETKYHEEQTQSTVQLRSANELVEQIKFTSSQREGELEAELKKTKAEIEELKAHLMDKETELQCISEENEGLNSKLVKSLSCQREYELEKELKELKGHVADLKAHLMDKETELQSISEENDMLRLEINKIQMDKSKVNNEVVAEVEAARCAEREALTKLGIVMEEADKSNKRVARVAEQLEAAQAANAEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLMDRTASLDSSFNHVTGKFGSPYSEDMDDDLLKKKNGNMLKKIGVFWKKPQK >ONI00517 pep chromosome:Prunus_persica_NCBIv2:G6:6399291:6404407:-1 gene:PRUPE_6G092800 transcript:ONI00517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKARSGSSEVPQRVSPPQRVSPRVARQLRPTALETDSASTSSQARRISKDKSPKVNERRSPRSPLPEKGSSRISELESQISQLQEDLKKAKDQLQSSESCKEKAQQDAEESKKQLLSLSSKLEESQLQLPELSLSEEARVIKLHKISQEKDRAWQVELEAVRKQHSVDSTALASAIGEIESLKVQLESLAASEAEQTKHAESANAELQSLKGNLAETLSLLENMKNQLKDSKESEAHAQALVGETLLQLESARTTVEALRLDGMKSTEAYNSIASELDQSKAHINLLEELVSKLKADLIIASGNVSQNQTGEHDPQQEFGEKRETGESNQTEAELHTLKSEVKRLRSTLETAETKYHEEQTQSTVQLRSANELVEQIKFTSSQREGELEAELKKTKAEIEELKAHLMDKETELQCISEENEGLNSKLVKSLSCQREYELEKELKELKGHVADLKAHLMDKETELQSISEENDMLRLEINKIQMDKSKVNNEVVAEVEAARCAEREALTKLGIVMEEADKSNKRVARVAEQLEAAQAANAEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLMDRTASLDSSFNHVTGKFGSPYSEDMDDDLLKKKNGNMLKKIGVFWKKPQK >ONI00522 pep chromosome:Prunus_persica_NCBIv2:G6:6399291:6404619:-1 gene:PRUPE_6G092800 transcript:ONI00522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKARSGSSEVPQRVSPPQRVSPRVARQLRPTALETDSASTSSQARRISKDKSPKVNERRSPRSPLPEKKGSSRISELESQISQLQEDLKKAKDQLQSSESCKEKAQQDAEESKKQLLSLSSKLEESQLQLPELSLSEEARVIKLHKISQEKDRAWQVELEAVRKQHSVDSTALASAIGEIESLKVQLESLAASEAEQTKHAESANAELQSLKGNLAETLSLLENMKNQLKDSKESEAHAQALVGETLLQLESARTTVEALRLDGMKSTEAYNSIASELDQSKAHINLLEELVSKLKADLIIASGNVSQNQTGEHDPQQEFGEKRETGESNQTEAELHTLKSEVKRLRSTLETAETKYHEEQTQSTVQLRSANELVEQIKFTSSQREGELEAELKKTKAEIEELKAHLMDKETELQCISEENEGLNSKLVKSLSCQREYELEKELKELKGHVADLKAHLMDKETELQSISEENDMLRLEINKIQMDKSKVNNEVVAEVEAARCAEREALTKLGIVMEEADKSNKRVARVAEQLEAAQAANAEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLMDRTASLDSSFNHVTGKFGSPYSEDMDDDLLKKKNGNMLKKIGVFWKKPQK >ONI00518 pep chromosome:Prunus_persica_NCBIv2:G6:6399291:6405343:-1 gene:PRUPE_6G092800 transcript:ONI00518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPKARSGSSEVPQRVSPPQRVSPRVARQLRPTALETDSASTSSQARRISKDKSPKVNERRSPRSPLPEKGSSRISELESQISQLQEDLKKAKDQLQSSESCKEKAQQDAEESKKQLLSLSSKLEESQLQLPELSLSEEARVIKLHKISQEKDRAWQVELEAVRKQHSVDSTALASAIGEIESLKVQLESLAASEAEQTKHAESANAELQSLKGNLAETLSLLENMKNQLKDSKESEAHAQALVGETLLQLESARTTVEALRLDGMKSTEAYNSIASELDQSKAHINLLEELVSKLKADLIIASGNVSQNQTGEHDPQQEFGEKRETGESNQTEAELHTLKSEVKRLRSTLETAETKYHEEQTQSTVQLRSANELVEQIKFTSSQREGELEAELKKTKAEIEELKAHLMDKETELQCISEENEGLNSKLVKSLSCQREYELEKELKELKGHVADLKAHLMDKETELQSISEENDMLRLEINKIQMDKSKVNNEVVAEVEAARCAEREALTKLGIVMEEADKSNKRVARVAEQLEAAQAANAEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKLMDRTASLDSSFNHVTGKFGSPYSEDMDDDLLKKKNGNMLKKIGVFWKKPQK >ONI01645 pep chromosome:Prunus_persica_NCBIv2:G6:13042449:13046799:-1 gene:PRUPE_6G150800 transcript:ONI01645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSIPMIGFLLLCIILLAPTEAAYLKYKDPKQPLNVRIRDLMKRMTLEEKIGQMVQIERSVATPDAMTKYFIGSVLSGGGSVPAPKASAEAWVNLVNGIQKGSLSTRLGIPMIYGIDAVHGNNNVYNATIFPHNVGLGATRDPKLVKKIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQAMTELIPGLQGDMPPSAQKGAPFVSTAKGKVAACAKHYVGDGGTTKGINENNTVIDLNGLLSIHMPAYFDSILKGVATVMVSYSSWNGKKMHANQELVSGFLKNKLRFRGFVISDWEGIDRLTSPPKANYSYSVQAGVSAGIDMIMVPYNFTEFIDDLTYQVKNNIIPMSRIDDAVRRILRVKFVMGLFEEPLADLSLVNELGKKEHRELAREAVRKSLVLLKNGKSADKPSLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGLGGNDLTVGTTILNAVKSAVDPTTQVVYNENPDANFVKSNKVDYAVVVVGEPPYAETFGDSLNLTISEPGPSTIANVCGAVKCVVVVISGRPVVIQPYLAKVDALVAAWLPGTEGQGVTDVLFGDYGFTGKLAHTWFKTVDQLPMNVGDQHYDPLFPFGFGLTTKPTKSY >ONI01644 pep chromosome:Prunus_persica_NCBIv2:G6:13042450:13046799:-1 gene:PRUPE_6G150800 transcript:ONI01644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSIPMIGFLLLCIILLAPTEAAYLKYKDPKQPLNVRIRDLMKRMTLEEKIGQMVQIERSVATPDAMTKYFIGSVLSGGGSVPAPKASAEAWVNLVNGIQKGSLSTRLGIPMIYGIDAVHGNNNVYNATIFPHNVGLGATRDPKLVKKIGEATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVQAMTELIPGLQGDMPPSAQKGAPFVSTAKGKVAACAKHYVGDGGTTKGINENNTVIDLNGLLSIHMPAYFDSILKGVATVMVSYSSWNGKKMHANQELVSGFLKNKLRFRGFVISDWEGIDRLTSPPKANYSYSVQAGVSAGIDMIMVPYNFTEFIDDLTYQVKNNIIPMSRIDDAVRRILRVKFVMGLFEEPLADLSLVNELGKKEHRELAREAVRKSLVLLKNGKSADKPSLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGLGGNDLTVGTTILNAVKSAVDPTTQVVYNENPDANFVKSNKVDYAVVVVGEPPYAETFGDSLNLTISEPGPSTIANVCGAVKCVVVVISGRPVVIQPYLAKVDALVAAWLPGTEGQGVTDVLFGDYGFTGKLAHTWFKTVDQLPMNVGDQHYDPLFPFGFGLTTKPTKSY >ONH99014 pep chromosome:Prunus_persica_NCBIv2:G6:485353:488842:1 gene:PRUPE_6G005100 transcript:ONH99014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFKRVCVFCGSNSGHRKIFSDAALQLGTQLVERKMDLVYGGGSVGLMGLVSQTVYDGGCHVLGVIPTALVPLEISGNSIGEVLIVSDMHERKAEMARQADAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNIDGYYDCLLRLFDKGVEEGFINLSARNIVISAKTAQELIQRMEEYIPLHNQVAPTQSWNVEENHANHKRA >ONH99016 pep chromosome:Prunus_persica_NCBIv2:G6:485353:488842:1 gene:PRUPE_6G005100 transcript:ONH99016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDVMFLGGYGTMEELLEMITWSQLGIHDKPVGLLNIDGYYDCLLRLFDKGVEEGFINLSARNIVISAKTAQELIQRMEEYIPLHNQVAPTQSWNVEENHANHKRA >ONH99015 pep chromosome:Prunus_persica_NCBIv2:G6:485353:488842:1 gene:PRUPE_6G005100 transcript:ONH99015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFKRVCVFCGSNSGHRKIFSDAALQLGTQLVERKMDLVYGGGSVGLMGLVSQTVYDGGCHVLGVIPTALVPLEVGLLNIDGYYDCLLRLFDKGVEEGFINLSARNIVISAKTAQELIQRMEEYIPLHNQVAPTQSWNVEENHANHKRA >ONI01924 pep chromosome:Prunus_persica_NCBIv2:G6:17111058:17113974:-1 gene:PRUPE_6G167500 transcript:ONI01924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSRQTNKRVIKVPIECRGGIGSSMREQKSTRRTTRHSHKATGKGGNFLVEKDECLSPYSAADGMCLELPDRGVQSSETTKRLPVIDFCQGQTLLPHSKIKLQLFPVNEVTRIGLEKDGHHPYLELILRARKKISSVLKHLNGKWGSSSVALGEPALFPYKIQDSMSSNRRWTLNDGDISAGAIYAAIGSPEVFRLRYGWFSTEPKTAAEPSTSAIDKVDLQSEGAQRYSRAATENSYDKVGKHTGLPRKDFRSINASETINADTEKISTGAVHLKGDSQRMDGNHAQSPLLVDSVSIEGLLSEASLQAKCNNSDKKQIGKDEGFHPTELKSDSKSYALWADCPSISIGGLLSEASLQGKLNRIDAQSTASNAGLPPTHLISDSHQGFVATRINSPRGPGPSTHDSCLSILDAEETCHAFPFQKFSLSGKDVLGFGGSCGGFSQNAASKAFKSPNATKQVNSVAGLPQDRACQESDTDIMACSRVNDERSLGLSGIKWTDSLGPFDLGLPVSQKLITGESTSISGFVK >ONI01925 pep chromosome:Prunus_persica_NCBIv2:G6:17111058:17113974:-1 gene:PRUPE_6G167500 transcript:ONI01925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSRQTNKRVIKVPIECRGGIGSSMREQKSTRRTTRHSHKATGKGGNFLVEKDECLSPYSAADGMCLELPDRGVQSSETTKRLPVIDFCQGQTLLPHSKIKLQLFPVNEVTRIGLEKDGHHPYLELILRARKKISSVLKHLNGKWGSSSVALGEPALFPYKIQDSMSSNRRWTLNDGDISAGAIYAAIGSPEVFRLRYGWFSTEPKTAAEPSTSAIDKVDLQSEGAQRYSRAATENSYDKVGKHTGLPRKDFRSINASETINADTEKISTGAVHLKGDSQRMDGNHAQSPLLVDSVSIEGLLSEASLQAKCNNSDKKQIGKDEGFHPTELKSDSKSYALWADCPSISIGGLLSEASLQGKLNRIDAQSTASNAGLPPTHLISDSHQGFVATRINSPRGPGPSTHDSCLSILDAEETCHAFPFQKFSLSGKDVLGFGGSCGGFSQNAASKAFKSPNATKQVNSVAGLPQDRACQESDTDIMACSRVNDERSLGLSGIKWTDSLGPFDLGLPVSQKLITGESTSISGFVK >ONI01923 pep chromosome:Prunus_persica_NCBIv2:G6:17110722:17114658:-1 gene:PRUPE_6G167500 transcript:ONI01923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSRQTNKRVIKVPIECRGGIGSSMREQKSTRRTTRHSHKATGKGGNFLVEKDECLSPYSAADGMCLELPDRGVQSSETTKRLPVIDFCQGQTLLPHSKIKLQLFPVNEVTRIGLEKDGHHPYLELILRARKKISSVLKHLNGKWGSSSVALGEPALFPYKIQDSMSSNRRWTLNDGDISAGAIYAAIGSPEVFRLRYGWFSTEPKTAAEPSTSAIDKVDLQSEGAQRYSRAATENSYDKVGKHTGLPRKDFRSINASETINADTEKISTGAVHLKGDSQRMDGNHAQSPLLVDSVSIEGLLSEASLQAKCNNSDKKQIGKDEGFHPTELKSDSKSYALWADCPSISIGGLLSEASLQGKLNRIDAQSTASNAGLPPTHLISDSHQGFVATRINSPRGPGPSTHDSCLSILDAEETCHAFPFQKFSLSGKDVLGFGGSCGGFSQNAASKAFKSPNATKVNSVAGLPQDRACQESDTDIMACSRVNDERSLGLSGIKWTDSLGPFDLGLPVSQKLITGESTSISGFVK >ONI01922 pep chromosome:Prunus_persica_NCBIv2:G6:17110722:17115225:-1 gene:PRUPE_6G167500 transcript:ONI01922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSRQTNKRVIKVPIECRGGIGSSMREQKSTRRTTRHSHKATGKGGNFLVEKDECLSPYSAADGMCLELPDRGVQSSETTKRLPVIDFCQGQTLLPHSKIKLQLFPVNEVTRIGLEKDGHHPYLELILRARKKISSVLKHLNGKWGSSSVALGEPALFPYKIQDSMSSNRRWTLNDGDISAGAIYAAIGSPEVFRLRYGWFSTEPKTAAEPSTSAIDKVDLQSEGAQRYSRAATENSYDKVGKHTGLPRKDFRSINASETINADTEKISTGAVHLKGDSQRMDGNHAQSPLLVDSVSIEGLLSEASLQAKCNNSDKKQIGKDEGFHPTELKSDSKSYALWADCPSISIGGLLSEASLQGKLNRIDAQSTASNAGLPPTHLISDSHQGFVATRINSPRGPGPSTHDSCLSILDAEETCHAFPFQKFSLSGKDVLGFGGSCGGFSQNAASKAFKSPNATKVNSVAGLPQDRACQESDTDIMACSRVNDERSLGLSGIKWTDSLGPFDLGLPVSQKLITGESTSISGFVK >ONI00528 pep chromosome:Prunus_persica_NCBIv2:G6:6417125:6423972:-1 gene:PRUPE_6G093200 transcript:ONI00528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSGFPLLHQQFKALFRKNLLLSWRNKRATFLQLFSSFIFIFLIFCIQKAIEARNASSTAYKSVADPPSLVSLPIPPCEDKYYIKTPCFDFVWSGDGSARIQRIVSAIMDNNPGRPIPPNKVKSFRTTAEVDAWLYSNPMYCPGALHFSERNATVISYGIQTNSTPVAKRGQYEDPTFKFQIPLQIAAEREIARSLIGVPNFSWVVAFKEFAHPAMELFSALDTVGPTFFLATAMFGFVFQMTSLITEKELKLRQAMTMMGLYDTAYWFSWLTWEGIITLLSSLFIVLFGMMFQFDFFLKNNFAVLFLVFFLFQLNMLGFAFMLSAFISKSSSSTTVGFSIFIVGFMTQLVTAFGFPYSDSISKTFQSLWSLFPPNLLAIALQILAGATSTPQDIGVSWSRRTKCAPNDDDCVITINDIYIWLVATFFLWFVLAIYFDNIIPNVSGVRKSVFYFLKPGYWIGKGGNKVEEGGICSCIGSVPPQEQLTPDDEDVLEEENIVKQQTREGIIDPNIAVQIRGLVKTYPGTTNIGCCRCTRTSPYHALKGLWVNFSKDQLFCLLGPNGAGKTTAINCLTGITPVTGGDALIYGYSAQSSVGMAKIRKMIGVCPQFDILWDALSGQEHLHLFASIKGLSPASIKSVAKKSLAEVRLTEAAKMRAGSYSGGMKRRLSFAIALIGDPKLVILDEPTTGMDPITRRHVWDIIEDAKKGRAIVLTTHSMEEADILSDRIGIMAKGKLRCIGTSIRLKSRFGTGFIANVSFTGSTNGQSPPNSDAVATPHHEAVKQFFKHHLDVLPKEENKSFLTFVIPHDREGLLKNFFAELQDRQSEFGISDIQLGLTTLEEVFLNIARQAELETATAEGRLVTLTLTSGAPVEIPVGARFVGIPGTESAENPRGIMVEVYWEQDDSGALCISGHSPETPIPPNVEPMPSSAATSRRNSIHRSRPVHGIIIHPNQINSTSPH >ONI00529 pep chromosome:Prunus_persica_NCBIv2:G6:6417932:6423972:-1 gene:PRUPE_6G093200 transcript:ONI00529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSGFPLLHQQFKALFRKNLLLSWRNKRATFLQLFSSFIFIFLIFCIQKAIEARNASSTAYKSVADPPSLVSLPIPPCEDKYYIKTPCFDFVWSGDGSARIQRIVSAIMDNNPGRPIPPNKVKSFRTTAEVDAWLYSNPMYCPGALHFSERNATVISYGIQTNSTPVAKRGQYEDPTFKFQIPLQIAAEREIARSLIGVPNFSWVVAFKEFAHPAMELFSALDTVGPTFFLATAMFGFVFQMTSLITEKELKLRQAMTMMGLYDTAYWFSWLTWEGIITLLSSLFIVLFGMMFQFDFFLKNNFAVLFLVFFLFQLNMLGFAFMLSAFISKSSSSTTVGFSIFIVGFMTQLVTAFGFPYSDSISKTFQSLWSLFPPNLLAIALQILAGATSTPQDIGVSWSRRTKCAPNDDDCVITINDIYIWLVATFFLWFVLAIYFDNIIPNVSGVRKSVFYFLKPGYWIGKGGNKVEEGGICSCIGSVPPQEQLTPDDEDVLEEENIVKQQTREGIIDPNIAVQIRGLVKTYPGTTNIGCCRCTRTSPYHALKGLWVNFSKDQLFCLLGPNGAGKTTAINCLTGITPVTGGDALIYGYSAQSSVGMAKIRKMIGVCPQFDILWDALSGQEHLHLFASIKGLSPASIKSVAKKSLAEVRLTEAAKMRAGSYSGGMKRRLSFAIALIGDPKLVILDEPTTGMDPITRRHVWDIIEDAKKGRAIVLTTHSMEEADILSDRIGIMAKGKLRCIGTSIRLKSRFGTGFIANVSFTGSTNGQSPPNSDAVATPHHEAVKQFFKHHLDVLPKEENKSFLTFVIPHDREGLLKETEDVMLKPINLIIR >ONI01745 pep chromosome:Prunus_persica_NCBIv2:G6:13797045:13799055:-1 gene:PRUPE_6G156300 transcript:ONI01745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTARDTIHVTTSRRDCTVPLPHASMYPIAPWGLQRLLEYMKQNYGNPPIYIHENGQQTARNSSLEDRSRVKYLRGHIQSLLAAVRNGSNAKGYFIWSFMDSLELLNGYESSFGLYYIDLDDPDLKRQPKLSAHWYSHFLKSKNLTSLDGFLESLSHGYHSQ >ONI03119 pep chromosome:Prunus_persica_NCBIv2:G6:23912551:23917826:-1 gene:PRUPE_6G240100 transcript:ONI03119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNMAPPHRREPVKSSVVNLAGQRRRQQAITIGKERRESLVRAKRLCRVGTSGDPDVPSDSEMMIDEDQSILEAQTSSAVEELKSAVAYQGKGALQKKVSALRELRRLLSRSEFPPVEAALKAGAIPLLVQCLSFGSPDEQLLEAAWCLTNIAAGKPEETKALLPALPLIVAHLGEKSSLPVAEQCAWALGNVAGEGEELRNILLSQGALPPLARMMLPDKGSTVRTAAWALSNLIKGPNPKAATELIRVDGVLDAIIRHLRKADDELATEVAWVVVYLSALSNLATSMLVKSDVLQLLVERLATSNSLQLLIPVLRSLGNLIAGDSQTTHAVIVPGHEVTGNIIEVLVKCLNSEHRVLKKEAAWVLSNIAAGSIEHKRLIYSSEAVPLLLRLLSTAPFDIRKEVAYVLGNLCVSPISPTEGDGKPNLILEHLVSLVGRGCLPGFIDLVNSADTEAARLGLQFTELVLRGMPHGEGPKLVEKENGIEAMERFQFHENEDLRNMANSLVDKYFGEDYGLDE >ONI03118 pep chromosome:Prunus_persica_NCBIv2:G6:23912551:23917559:-1 gene:PRUPE_6G240100 transcript:ONI03118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNMAPPHRREPVKSSVVNLAGQRRRQQAITIGKERRESLVRAKRLCRVGTSGDPDVPSDSEMMIDEDQSILEAQTSSAVEELKSAVAYQGKGALQKKLLEAAWCLTNIAAGKPEETKALLPALPLIVAHLGEKSSLPVAEQCAWALGNVAGEGEELRNILLSQGALPPLARMMLPDKGSTVRTAAWALSNLIKGPNPKAATELIRVDGVLDAIIRHLRKADDELATEVAWVVVYLSALSNLATSMLVKSDVLQLLVERLATSNSLQLLIPVLRSLGNLIAGDSQTTHAVIVPGHEVTGNIIEVLVKCLNSEHRVLKKEAAWVLSNIAAGSIEHKRLIYSSEAVPLLLRLLSTAPFDIRKEVAYVLGNLCVSPISPTEGDGKPNLILEHLVSLVGRGCLPGFIDLVNSADTEAARLGLQFTELVLRGMPHGEGPKLVEKENGIEAMERFQFHENEDLRNMANSLVDKYFGEDYGLDE >ONI03120 pep chromosome:Prunus_persica_NCBIv2:G6:23912835:23917324:-1 gene:PRUPE_6G240100 transcript:ONI03120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNMAPPHRREPVKSSVVNLAGQRRRQQAITIGKERRESLVRAKRLCRVGTSGDPDVPSDSEMMIDEDQSILEAQTSSAVEELKSAVAYQGKGALQKKVSALRELRRLLSRSEFPPVEAALKAGAIPLLVQCLSFGSPDEQLLEAAWCLTNIAAGKPEETKALLPALPLIVAHLGEKSSLPVAEQCAWALGNVAGEGEELRNILLSQGALPPLARMMLPDKGSTVRTAAWALSNLIKGPNPKAATELIRVDGVLDAIIRHLRKADDELATEVAWVVVYLSALSNLATSMLVKSDVLQLLVERLATSNSLQLLIPVLRSLGNLIAGDSQTTHAVIVPGHEVTGNIIEVLVKCLNSEHRVLKKEAAWVLSNIAAGSIEHKRLIYSSEAVPLLLRLLSTAPFDIRKEVAYVLGNLCVSPISPTEGDGKPNLILEHLVSLVGRGCLPGFIDLVNSADTEAARLGLQFTELVLRGMPHGEGPKLVEKENGIEAMERFQFHENEDLRNMANSLVDKYFGEDYGLDE >ONI04373 pep chromosome:Prunus_persica_NCBIv2:G6:28229118:28232519:1 gene:PRUPE_6G317800 transcript:ONI04373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHETEKTWNSAAGHSTMEIEASKPSGHGVVASGLSPLSETLWREKASLEIVGDVSARLTWKDLTVTVTLSNGETQKVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKRKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMSWSKKRELVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALARDGRTVIASIHQPSSEVFELFDQLYLLSSGKTVYFGQASDAYEFFAQAGFPCPALRNPSDHFLRCVNSDFDKVKATLKGSMKLRFEASDDPLEKITTAEAIRVLIDSYRTSQYSYAAREKVEEISKVKGTVLDSGGSQASFLMQSFTLSKRSFINMSRDFGYYWLRLVIYIVVTVCIGTIYLNVGTGYSSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVISNTLSAMPFLILITFLAGTVCYYMVRLHPGFKHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQVWYLHASLWVLQAPK >ONI04372 pep chromosome:Prunus_persica_NCBIv2:G6:28228770:28232915:1 gene:PRUPE_6G317800 transcript:ONI04372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHETEKTWNSAAGHSTMEIEASKPSGHGVVASGLSPLSETLWREKASLEIVGDVSARLTWKDLTVTVTLSNGETQKVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKRKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMSWSKKRELVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALARDGRTVIASIHQPSSEVFELFDQLYLLSSGKTVYFGQASDAYEFFAQAGFPCPALRNPSDHFLRCVNSDFDKVKATLKGSMKLRFEASDDPLEKITTAEAIRVLIDSYRTSQYSYAAREKVEEISKVKGTVLDSGGSQASFLMQSFTLSKRSFINMSRDFGYYWLRLVIYIVVTVCIGTIYLNVGTGYSSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVTAFVISNTLSAMPFLILITFLAGTVCYYMVRLHPGFKHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHYWALQGQYQNDLSGLVFDNQSPDLPKIPGEYILENVFQIDTTRSKWIDLSVILSMIVVYRIIFFIMIKFSEDVTPWIRGYMARRRMQQKNGNQNTTVAPDGLTQSPSLRTYATGRR >ONH98957 pep chromosome:Prunus_persica_NCBIv2:G6:276487:278936:1 gene:PRUPE_6G002100 transcript:ONH98957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKCAFLAYFITNVTSSQSSFCRRLCKGVCEIVRHSVKLKHKAAVKEKKRVPKKSTLKKSEALSHQELKSWSQGLPVVSNRIPYTQLLILNQEGKLKHVIKPPGVELQKKVEPVVVLLLLGLGGLTEKSDLPLTNL >ONI03382 pep chromosome:Prunus_persica_NCBIv2:G6:24737522:24739690:1 gene:PRUPE_6G254100 transcript:ONI03382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETAKTGSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >ONI03383 pep chromosome:Prunus_persica_NCBIv2:G6:24736857:24739769:1 gene:PRUPE_6G254100 transcript:ONI03383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETAKTGSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >ONI03381 pep chromosome:Prunus_persica_NCBIv2:G6:24737056:24739769:1 gene:PRUPE_6G254100 transcript:ONI03381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETAKTGSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >ONI04228 pep chromosome:Prunus_persica_NCBIv2:G6:27832401:27833216:-1 gene:PRUPE_6G310300 transcript:ONI04228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVTAEIKSKADELYHGDGICQEKSKKLLTEISLPNGLLPLKDMEECGYVKETGFVWLKQKKSTTHKFEKIGKLVSYAPEVTAYVENGKIKKLTGVKTKELLVWVSLSDIYVDDPPTGKITFKTPSGLFRTFPVSAFENEEAANKDVKDKKEVKEAKGAVEV >ONI03866 pep chromosome:Prunus_persica_NCBIv2:G6:26554037:26556911:-1 gene:PRUPE_6G287000 transcript:ONI03866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMCLLSDESHRRDEISGSVPSSKCQRLRECFNGILTQKIDWASMSKICKKWIKNPLNMAILLWISCVAVSGAILFLVMTGMLNSVLPEKSERNAWSEINNQILTALFTLMALYQHPKRIYHLVLLLRWKPKDVSLLRKMYSRNGTYKPHEWAHFMVVVFLLHLNCFAQYALCGLNLGYKRSERPAVGVGICLSVAIAAPAIAGVYCIVSSLGKEYEVDHDAQDHIPTNGTSRSSHSMMKSFKRTFSCASRDGQRISENAPQWRGDDKPVLSPLPRESGITEYRFHQTSLLWKKPTSPSTLQKDYRSLDRQIPKVKEESTMEPPVPLILQREENIQPKTQKGRNY >ONI03302 pep chromosome:Prunus_persica_NCBIv2:G6:24525218:24529105:-1 gene:PRUPE_6G249800 transcript:ONI03302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSRAEPQTIKINQPDQGAGAAQAAQKSACCGS >ONI03303 pep chromosome:Prunus_persica_NCBIv2:G6:24525218:24529369:-1 gene:PRUPE_6G249800 transcript:ONI03303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSRAEPQTIKINQPDQGAGAAQAAQKSACCGS >ONI03304 pep chromosome:Prunus_persica_NCBIv2:G6:24525218:24528987:-1 gene:PRUPE_6G249800 transcript:ONI03304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSRAEPQTIKINQPDQGAGAAQAAQKSACCGS >ONI03781 pep chromosome:Prunus_persica_NCBIv2:G6:26292741:26296704:1 gene:PRUPE_6G281500 transcript:ONI03781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQITALGKYIENLNLAYNNFNNTQIPSKFDKLNNLGYLNLSNAGFVGQIPIEIAHLTRLVTLDLSTLYFPGTPSLQLENPNLNVLLRNLSELVELHLDGVNISAHGTEWCQAISSSLLNLRVLSLSACNISGPFDSSLLKLHSLSVIRIENNNLSTQVPEFFSNFSNLTSLRLSSSGLYGTFPEKIFQVPTLQTIDLSGNSQLQGSLPEFPKNASLQSLVLNGANFSGQLLPNSIGNLKMLSKIDVPSCNFTGSIPRSMENLTQLIYVDLSMNKFNGSVPFFSMAKNLTLINLSSNLLTGQINSSHLENLTNLVNLDLRFNLLDGTIPPSLFSLSLLQKLQLSNNQFSGQLPVFGGISLLDTLDLSRNKLEGPIPMSIFNLKGLKILSLSSNNFSGSFPLNSLQQLKNLSSLDLSYNSLSIDYNTANSSDSSFPQITTLKLASGKLSRFPDFLRNQSKLNTLDLSQNQISGEIPNWIWRLSTLFQLNLSCNSLETLEGPLLNVTSSSLSVLDLHSNQLQGQIPLFSQSSIYLDYSRNNFNSSIRTDIGDFLYFAVFFSLSSNKFHGIIPESICNASNLQVLDVSNNSLNGLIPRCLTAMSGTLAVLNLRRNNLSGTVPDKFPEHCSLKTLDLNGNQIGGQFPKSLANCTMLEVLNLGNNQIADTFPCLLKKISTLRVLVLRSNKFYGRFGCPKPHGNWSMLQIVDIALNNFSGEIRGKCLRTWKAMMGDDDDAMSELNHLRFGVLKFTGVYYQDAITVTNKGLEMEFVKILTVFTSIDFSGNDFNGSIPEEVGQLKSLYVLNLSSNALTGSIPTSLSNLRQLESLDLSNNKLGGTIPAEFANLTFLSFLNLSNNQLVGKIPSTAQLSTFSAASFTGNKRLCGIQLNISCNNPSESPDAAQKAPNKESGIGFDWQSIYTGVGFGVGAGVIVILLILWEEGRNWLEDSIDRILLAILPMMGFTYKTRDEWNEEEEEDFEEDSTYIMEDCDIDENESEDKGFQGTYCVFCSKLDMSRKRAIHDPSCTCHLSPRISSSSSSSYSFSP >ONI03782 pep chromosome:Prunus_persica_NCBIv2:G6:26292844:26296695:1 gene:PRUPE_6G281500 transcript:ONI03782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKIDVPSCNFTGSIPRSMENLTQLIYVDLSMNKFNGSVPFFSMAKNLTLINLSSNLLTGQINSSHLENLTNLVNLDLRFNLLDGTIPPSLFSLSLLQKLQLSNNQFSGQLPVFGGISLLDTLDLSRNKLEGPIPMSIFNLKGLKILSLSSNNFSGSFPLNSLQQLKNLSSLDLSYNSLSIDYNTANSSDSSFPQITTLKLASGKLSRFPDFLRNQSKLNTLDLSQNQISGEIPNWIWRLSTLFQLNLSCNSLETLEGPLLNVTSSSLSVLDLHSNQLQGQIPLFSQSSIYLDYSRNNFNSSIRTDIGDFLYFAVFFSLSSNKFHGIIPESICNASNLQVLDVSNNSLNGLIPRCLTAMSGTLAVLNLRRNNLSGTVPDKFPEHCSLKTLDLNGNQIGGQFPKSLANCTMLEVLNLGNNQIADTFPCLLKKISTLRVLVLRSNKFYGRFGCPKPHGNWSMLQIVDIALNNFSGEIRGKCLRTWKAMMGDDDDAMSELNHLRFGVLKFTGVYYQDAITVTNKGLEMEFVKILTVFTSIDFSGNDFNGSIPEEVGQLKSLYVLNLSSNALTGSIPTSLSNLRQLESLDLSNNKLGGTIPAEFANLTFLSFLNLSNNQLVGKIPSTAQLSTFSAASFTGNKRLCGIQLNISCNNPSESPDAAQKAPNKESGIGFDWQSIYTGVGFGVGAGVIVILLILWEEGRNWLEDSIDRILLAILPMMGFTYKTRDEWNEEEEEDFEEDSTYIMEDCDIDENESEDKGFQGTYCVFCSKLDMSRKRAIHDPSCTCHLSPRISSSSSSSYSFSP >ONI02919 pep chromosome:Prunus_persica_NCBIv2:G6:23271896:23274098:1 gene:PRUPE_6G229100 transcript:ONI02919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHMAVASPLLTSPPTVSAFLRQTRSYKRTLIRSSSSASSPMDLHHPKRVVVCGGGVIGVCTAYFLAKSGATVTLVEKSSVACAASGKAGGFLALDWCDGGPLSSLARASFNLHRSLAQELDGPNSYGYRSLTTLSLTVTESQSSKPSGKSALPSWVDGPGRSPRTIGTTETTAQVHPQLFTRTLISKAAEDYGVDVVIGKLEHLGVDNGRVSSVVLEGGRVIDSDAVVLALGPWCGKFELLSSLFRVYGIKAHSIVLEPKEPEAITPHALFLSYYPSQGGKPLDPEVYPRPTGEVYVCGMSAEAEIPDDPEQIVGNPESIEVLKGVASSVSSHLREGEAQVKAEQACFLPCTDDGVPVIGEVPGVKGCYVATGHNCWGILNGPATGAAVAELVLDGKASIVDLSRFSPARFAGLKKG >ONI02918 pep chromosome:Prunus_persica_NCBIv2:G6:23271863:23274230:1 gene:PRUPE_6G229100 transcript:ONI02918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHMAVASPLLTSPPTVSAFLRQTRSYKRTLIRSSSSASSPMDLHHPKRVVVCGGGVIGVCTAYFLAKSGATVTLVEKSSVACAASGKAGGFLALDWCDGGPLSSLARASFNLHRSLAQELDGPNSYGYRSLTTLSLTVTESQSSKPSGKSALPSWVDGPGRSPRTIGTTETTAQVHPQLFTRTLISKAAEDYGVDVVIGKLEHLGVDNGRVSSVVLEGGRVIDSDAVVLALGPWCGKFELLSSLFRVYGIKAHSIVLEPKEPEAITPHALFLSYYPSQGGKPLDPEVYPRPTGEVYVCGMSAEAEIPDDPEQIVGNPESIEVLKGVASSVSSHLREGEAQVKAEQACFLPCTDDGVPVIGEVPGVKGCYVATGHNCWGILNGPATGAAVAELVLDGKASIVDLSRFSPARFAGLKKG >ONI02917 pep chromosome:Prunus_persica_NCBIv2:G6:23271896:23274209:1 gene:PRUPE_6G229100 transcript:ONI02917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHMAVASPLLTSPPTVSAFLRQTRSYKRTLIRSSSSASSPMDLHHPKRVVVCGGGVIGVCTAYFLAKSGATVTLVEKSSVACAASGKAGGFLALDWCDGGPLSSLARASFNLHRSLAQELDGPNSYGYRSLTTLSLTVTESQSSKPSGKSALPSWVDGPGRSPRTIGTTETTAQVHPQLFTRTLISKAAEDYGVDVVIGKLEHLGVDNGRVSSVVLEGGRVIDSDAVVLALGPWCGKFELLSSLFRVYGIKAHSIVLEPKEPEAITPHALFLSYYPSQGGKPLDPEVYPRPTGEVYVCGMSAEAEIPDDPEQIVGNPESIEVLKGVASSVSSHLREGEAQVKAEQACFLPCTDDGVPVIGEVPGVKGCYVATGHNCWGILNGPATGAAVAELVLDGKASIVDLSRFSPARFAGLKKG >ONI00104 pep chromosome:Prunus_persica_NCBIv2:G6:4643369:4647242:-1 gene:PRUPE_6G067400 transcript:ONI00104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFITCSAFLVFYLRKILSAALLCFINWVFLGNCFFGECFCLEWLFELTQRKKGNKMESAKKQGSAASSLTADLFGVKEPPPKSSTGIFASIFPPPSQVVGRNSSSSELTEYWQKQSLGNHAWNTKQGCPAISSEGARYSLPNKDRSSVLQEERAEPCYLSSSLYYGGQEVYSQSPSTHASGSYPIFKKDGGEDDPNGSNSNSAARGNWWQGSLYY >ONI00103 pep chromosome:Prunus_persica_NCBIv2:G6:4644278:4646856:-1 gene:PRUPE_6G067400 transcript:ONI00103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFITCSAFLVFYLRKILSAALLCFINWVFLGNCFFGECFCLEWLFELTQRKKGNKMESAKKQGSAASSLTADLFGVKEPPPKSSTGIFASIFPPPSQVVGRNSSSSELTEYWQKQSLGNHAWNTKQAISSEGARYSLPNKDRSSVLQEERAEPCYLSSSLYYGGQEVYSQSPSTHASGSYPIFKKDGGEDDPNGSNSNSAARGNWWQGSLYY >ONH99351 pep chromosome:Prunus_persica_NCBIv2:G6:2054131:2054415:-1 gene:PRUPE_6G025900 transcript:ONH99351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYKAGVSKVSIEGADKDHIEVIGDGVDSVCLASLLRKKLGFAAIVKVEEVKEAKADEKEEKPTGPPGCVHYCPPMYYVLAHEYPEPVQCSIM >ONI04955 pep chromosome:Prunus_persica_NCBIv2:G6:29834881:29836358:-1 gene:PRUPE_6G349700 transcript:ONI04955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQRLLLLINSLFSPWILLLLNNKSRVSVVIFSSWSHQSYFDIITHQNYLSFICSNRVFKNISSVGCILVCYQYSGHPTPNILCRILHFKYFVCFLVIDVRNVLPTNATTCILFKKNCYSIAFFISF >ONI03925 pep chromosome:Prunus_persica_NCBIv2:G6:26904913:26908525:1 gene:PRUPE_6G291800 transcript:ONI03925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPGTESRGIINGGNHPLIPKHHVVEDYNGDLTMRARGEGGATTTSTSSSTFIQTFLQRLHQLPNNGLLEGLPLTEIGQELRSLVKIGGPIVVTSILIYSRSLISMLFLGRLGKTELAGGSLALGFGNITGVSILSGLSMGMDPICCQAYGAQRWAILSQTFQKTVCLLLLVSIPIALLWLNMEPIFILLGQDPQITRVAKVYLVFSIPELLGQAHLLPLRTFLRTQGLTTPLTLAAAFAALLHLPINYVLVTYLNLGVGGICLGLACNTLLFNLGLLIYLTISTHALKPWHGLTMISAFQGWKPLISLALPSCVSVCLEWWWYEIMLFFCGWLSNPQASVAAMGILIQTTGMLYIIPISLRASISTRVGHALGAGQPTRAKWAAIIGLIVAFACGLSAFIFMTAVRSKWGTIFTDEPQILDLISTALPVLGLCEFGNVPQTAACGVLSATARPKLGARINLYAFYLIGLPVAILGTFTFKIGFLGLWFGLLSAQASCVCMMVYTLIHTDWNHQTRRAEQLTLGVQETQLNEEKKEDEESGLLPPTAEL >ONI02819 pep chromosome:Prunus_persica_NCBIv2:G6:22856432:22859261:1 gene:PRUPE_6G223500 transcript:ONI02819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLKPYDKECMRMAILKHEETFKEQVYELHRLYHIQKILMKSSIGKSRPKGQKQESWNLKNETSFSQLNYHQHEAQQFNPQRKLDLEQPAAAAEEYIAETDGDDGVLEIIDESEIELTLGPTRYNNNTITTTNRRKRGEAPLTSDSGPSFSSSSTGSSHVNRTSSSRTHQRKHGQVPDMTSGYRNGSKNSSNIDPVEEQLRQDERLQLPPWFFQVLSLNMT >ONI02818 pep chromosome:Prunus_persica_NCBIv2:G6:22857082:22859261:1 gene:PRUPE_6G223500 transcript:ONI02818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLKPYDKECMRMAILKHEETFKEQVYELHRLYHIQKILMKSSIGKSRPKGQKQESWNLKNETSFSQLNYHQHEAQQFNPQRKLDLEQPAAAAEEYIAETDGDDGVLEIIDESEIELTLGPTRYNNNTITTTNRRKRGEAPLTSDSGPSFSSSSTGSSHVNRTSSSRTHQRKHGQVPDMTSGYRNGSKNSSNIDPVEEQLRQDERLQLPPWFFQVLSLNMT >ONI00468 pep chromosome:Prunus_persica_NCBIv2:G6:6183117:6186520:-1 gene:PRUPE_6G090400 transcript:ONI00468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDKVKREGLPLYGGKYIQYNILGNLFEVSAKYVPPIHPVGRGAYGIVCCATNSETKEEVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHDNIVKIKDIIRPAERGKFNDVYIVYELMDTDLNQIIHSSQALTDDHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCIFMEIIRREPLFPGKDYVQQLSLITELLGSPDDSDLGFLRSDNARKYVKQLPHVPKQPFVQKFPNVSPLAIDLAEKMLVFDPSKRITVEEALNHPFLSSLHEINEEPVCPSPFVFDFEQATLDEEDIKELVWRESLHFNPDDMLG >ONI00467 pep chromosome:Prunus_persica_NCBIv2:G6:6183161:6186506:-1 gene:PRUPE_6G090400 transcript:ONI00467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDKVKREGLPLYGGKYIQYNILGNLFEVSAKYVPPIHPVGRGAYGIVCCATNSETKEEVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHDNIVKIKDIIRPAERGKFNDVYIVYELMDTDLNQIIHSSQALTDDHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCIFMEIIRREPLFPGKDYVQQLSLITELLGSPDDSDLGFLRSDNARKYVKQLPHVPKQPFVQKFPNVSPLAIDLAEKMLVFDPSKRITVEEALNHPFLSSLHEINEEPVCPSPFVFDFEQATLDEEDIKELVWRESLHFNPDDMLG >ONI00936 pep chromosome:Prunus_persica_NCBIv2:G6:8111286:8111783:1 gene:PRUPE_6G112500 transcript:ONI00936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHGNHLGGHNINDFELQDLIDDANFGQFIDLIRGQNEDPVVSFDCDLMINGCFDDYNLFCPASTTSAVVFGFNDAVASDPSASFLGTLSDPSAPLLTTLPNFDGEMKGGEEDHNGKDSSGTTTTNKRQKVDRSRTLVSERRRRRRRRMKERLYALRSLKEIGM >ONI01268 pep chromosome:Prunus_persica_NCBIv2:G6:10189773:10191090:1 gene:PRUPE_6G130800 transcript:ONI01268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICDYVIWVFSSELHLGLLRFHCNNVIILPVAENGKGFISIKVFSFEFFHLVTYALQRAAGQKSILFNSENSNEETSQQNCHIKQNKVRTTQMFVFFRSL >ONI03737 pep chromosome:Prunus_persica_NCBIv2:G6:26139692:26142710:-1 gene:PRUPE_6G278800 transcript:ONI03737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASWVLSECGLKPLPQNFHRPTAGFSSNHPAKVRVFRSSKSVIDLRLASAKISSACFRGRSWGLKVSAPLKVASIEDEKERVNGVNGVGDEEELEFDPGAPPPFKLADIKAAIPKHCWVKDPWKSMSYVVRDVLVVFGLAAAAAYTNKWFVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPKLNSVAGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSERIYKKLDNMTRILRFTVPFPMLAYPFYLWNRSPGKTGSHFHPNSDLFVPNERKDVITSTLCWTAMAALLVGLSFVMGPIQLLKLYGIPYWVFVMWLDLVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVVHHLFPQIPHYHLVEATEAAKPVFGKYYREPKKSGPLPFHLLGSFIRSLKKDHYVNDNGDVVYYQTDPDFGGFPKSK >ONI03738 pep chromosome:Prunus_persica_NCBIv2:G6:26140087:26142289:-1 gene:PRUPE_6G278800 transcript:ONI03738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASWVLSECGLKPLPQNFHRPTAGFSSNHPAKVRVFRSSKSVIDLRLASAKISSACFRGRSWGLKVSAPLKVASIEDEKERVNGVNGVGDEEELEFDPGAPPPFKLADIKAAIPKHCWVKDPWKSMSYVVRDVLVVFGLAAAAAYTNKWFVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPKLNSVAGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSERIYKKLDNMTRILRFTVPFPMLAYPFYLWNRSPGKTGSHFHPNSDLFVPNERKDVITSTLCWTAMAALLVGLSFVMGPIQLLKLYGIPYWVFVMWLDLVTYLHHHGHEEKLPWYRGKVLELSKGRAYHT >ONI01377 pep chromosome:Prunus_persica_NCBIv2:G6:10904917:10908034:-1 gene:PRUPE_6G136100 transcript:ONI01377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLRSFLLGVLLLIGFAFTNSKADIRAPPTHFDTASLNRSSFPEGFIFGVGSGSYQYEGAANEGGRGPSIWDTFTHKYPEKINDSSNGDIAVDQYHRYKEDVGIMKNMGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLSQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKHWSTLNEPYTFSNFGYAIGSHAPGRCSTWQQLNCTGGDSSTEPYLVTHHLLLAHTAAVKLYKNKYQASQNGVIGITLVSHWFEPLSEEKENKNAALRALDFMFGWFVEPLTSGDYPQNMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYAGYYASNAPQNNSVYASYTTDAGVNLSSERNGVPIGSKGASEWLNVYPQGIQDLLLYTKKKYHNPVIYITENGVDEFNDPKLSLAEALNDTHRIDYYNRHLHYVQSSIDNGVKVKGFFPWTLLDNFEWSSGYSVRFGITYVDYNDRLKRHLKLSAHWFKSFLKPY >ONI01378 pep chromosome:Prunus_persica_NCBIv2:G6:10905398:10908034:-1 gene:PRUPE_6G136100 transcript:ONI01378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLRSFLLGVLLLIGFAFTNSKADIRAPPTHFDTASLNRSSFPEGFIFGVGSGSYQYEGAANEGGRGPSIWDTFTHKYPEKINDSSNGDIAVDQYHRYKEDVGIMKNMGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLSQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKHWSTLNEPYTFSNFGYAIGSHAPGRCSTWQQLNCTGGDSSTEPYLVTHHLLLAHTAAVKLYKNKYQASQNGVIGITLVSHWFEPLSEEKENKNAALRALDFMFGWFVEPLTSGDYPQNMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYAGYYASNAPQNNSVYASYTTDAGVNLSSERNGVPIGSKGASEWLNVYPQGIQDLLLYTKKKYHNPVIYITENGVDEFNDPKLSLAEALNDTHRIDYYNRHLHYVQSSIE >ONH98962 pep chromosome:Prunus_persica_NCBIv2:G6:299940:304410:1 gene:PRUPE_6G002400 transcript:ONH98962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWVRWKPSVYRVSSNAKSESPKDQSPSVNPREDDSKLPSNPEEVEILRRDSAANPLIAFTFSELKIITGNFRQDRMLGGGGFGSVYKGFITEDLREGISPLAVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDEQRVLIYEYMARGSVENNLFSRVLLPMPWSIRMKIAFGAAKGLAFLHEAEKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYILTGHLTPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLTDWALPMLKEKKKLLNIVDPRLEGDYPIKGVHKAAMLVYHCLNRNPKARPLMRDIVDSLEPLQVPEEVSAEKTIIEVSQFPNAETKTKEDSL >ONI00990 pep chromosome:Prunus_persica_NCBIv2:G6:8374952:8380884:1 gene:PRUPE_6G115400 transcript:ONI00990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLIFLVSITPEITSKKTNRDVIKQLVHLYKDSHLGRRTPAYDGMKSIYTAGPLPFVSKEFVVKLGERDGRDGSSGSKRKDREFKVAVKLANKPDLHQLQQFLNSRQHESPQEAIQVLDVVLRAAPSDKYTVIGRSFFATELGPKGELGDGLEYWRGFYQSLRPTQFGLSLNIDVSARSFYEPILVTEFVKKHFNYRDLSRPLFDRDRLKVKKALKGVKVALAYRDNRSYRITGVSTEPLSQLTFTLEDNITRTSVVQYYREKYNIVLRNVAMPALQAGSDSNPVYLPMELCSIVAGQRYSRKLNERQVTALLRATCQRPHERERNIKQMVKQSNFNGDQLIKDEFGMQVREDMALVDARVLPPPLLKYHDQGRETKETPRMGQWNMINKKMVNGGKVDFWAFVNFSGLRQDFNSRFCEDLVNMCISKGVVGGRNTVLNDAIFRRIPLVTDIPTIIIGADVTHPQPGEDSSPSIAAVVASMDWPEVSKYRGIVSAQAHREEIIQDLYSLYQDPQKGSVHGGMIREHFRAFRRSTGRKPERIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPADHNRRDQMDRSGNIQPGTVVDTKICHPTEFDFFLNSHAGIQGTSRPAHYHVLFDENRFTADQLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGEYSDVASTTAGSTSASGGGGIRALPQIKENVKDVMFYC >ONI00992 pep chromosome:Prunus_persica_NCBIv2:G6:8374616:8380884:1 gene:PRUPE_6G115400 transcript:ONI00992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIYTAGPLPFVSKEFVVKLGERDGRDGSSGSKRKDREFKVAVKLANKPDLHQLQQFLNSRQHESPQEAIQVLDVVLRAAPSDKYTVIGRSFFATELGPKGELGDGLEYWRGFYQSLRPTQFGLSLNIDVSARSFYEPILVTEFVKKHFNYRDLSRPLFDRDRLKVKKALKGVKVALAYRDNRSYRITGVSTEPLSQLTFTLEDNITRTSVVQYYREKYNIVLRNVAMPALQAGSDSNPVYLPMELCSIVAGQRYSRKLNERQVTALLRATCQRPHERERNIKQMVKQSNFNGDQLIKDEFGMQVREDMALVDARVLPPPLLKYHDQGRETKETPRMGQWNMINKKMVNGGKVDFWAFVNFSGLRQDFNSRFCEDLVNMCISKGVVGGRNTVLNDAIFRRIPLVTDIPTIIIGADVTHPQPGEDSSPSIAAVVASMDWPEVSKYRGIVSAQAHREEIIQDLYSLYQDPQKGSVHGGMIREHFRAFRRSTGRKPERIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPADHNRRDQMDRSGNIQPGTVVDTKICHPTEFDFFLNSHAGIQGTSRPAHYHVLFDENRFTADQLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGEYSDVASTTAGSTSASGGGGIRALPQIKENVKDVMFYC >ONI00989 pep chromosome:Prunus_persica_NCBIv2:G6:8374874:8380884:1 gene:PRUPE_6G115400 transcript:ONI00989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLIFLVSITPEITSKKTNRDVIKQLVHLYKDSHLGRRTPAYDGMKSIYTAGPLPFVSKEFVVKLGERDGRDGSSGSKRKDREFKVAVKLANKPDLHQLQQFLNSRQHESPQEAIQVLDVVLRAAPSDKYTVIGRSFFATELGPKGELGDGLEYWRGFYQSLRPTQFGLSLNIDVSARSFYEPILVTEFVKKHFNYRDLSRPLFDRDRLKVKKALKGVKVALAYRDNRSYRITGVSTEPLSQLTFTLEDNITRTSVVQYYREKYNIVLRNVAMPALQAGSDSNPVYLPMELCSIVAGQRYSRKLNERQVTALLRATCQRPHERERNIKQMVKQSNFNGDQLIKDEFGMQVREDMALVDARVLPPPLLKYHDQGRETKETPRMGQWNMINKKMVNGGKVDFWAFVNFSGLRQDFNSRFCEDLVNMCISKGVDFHTQPLVPIGSANPRQIEKVLIDIHRESTQTLEEIGHKGKHLQLLIIILPDVTGSYGMVKRICETELGIVSQCCQPRAASKLSKQYLENLALKINVKVGGRNTVLNDAIFRRIPLVTDIPTIIIGADVTHPQPGEDSSPSIAAVVASMDWPEVSKYRGIVSAQAHREEIIQDLYSLYQDPQKGSVHGGMIREHFRAFRRSTGRKPERIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPADHNRRDQMDRSGNIQPGTVVDTKICHPTEFDFFLNSHAGIQGTSRPAHYHVLFDENRFTADQLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGEYSDVASTTAGSTSASGGGGIRALPQIKENVKDVMFYC >ONI00988 pep chromosome:Prunus_persica_NCBIv2:G6:8373710:8380884:1 gene:PRUPE_6G115400 transcript:ONI00988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRGRGRGRHYDQSSGSDAPSFHRGGGGGRRGRGRDGGVPPSFPSESGPSQRGRGRAGGSGVVAPAPSQTHAPQPPAQAYAPPPPAQAYAPPPPAQAYAPPPPATVQASSSSASPSPLIAEMEQKLTLTTPPPSSSKAVRLPGRPGFGTLGTRIQVRANHFLVEVKERDLHHYDVSITPEITSKKTNRDVIKQLVHLYKDSHLGRRTPAYDGMKSIYTAGPLPFVSKEFVVKLGERDGRDGSSGSKRKDREFKVAVKLANKPDLHQLQQFLNSRQHESPQEAIQVLDVVLRAAPSDKYTVIGRSFFATELGPKGELGDGLEYWRGFYQSLRPTQFGLSLNIDVSARSFYEPILVTEFVKKHFNYRDLSRPLFDRDRLKVKKALKGVKVALAYRDNRSYRITGVSTEPLSQLTFTLEDNITRTSVVQYYREKYNIVLRNVAMPALQAGSDSNPVYLPMELCSIVAGQRYSRKLNERQVTALLRATCQRPHERERNIKQMVKQSNFNGDQLIKDEFGMQVREDMALVDARVLPPPLLKYHDQGRETKETPRMGQWNMINKKMVNGGKVDFWAFVNFSGLRQDFNSRFCEDLVNMCISKGVVGGRNTVLNDAIFRRIPLVTDIPTIIIGADVTHPQPGEDSSPSIAAVVASMDWPEVSKYRGIVSAQAHREEIIQDLYSLYQDPQKGSVHGGMIREHFRAFRRSTGRKPERIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPADHNRRDQMDRSGNIQPGTVVDTKICHPTEFDFFLNSHAGIQGTSRPAHYHVLFDENRFTADQLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGEYSDVASTTAGSTSASGGGGIRALPQIKENVKDVMFYC >ONI00991 pep chromosome:Prunus_persica_NCBIv2:G6:8374616:8380884:1 gene:PRUPE_6G115400 transcript:ONI00991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIYTAGPLPFVSKEFVVKLGERDGRDGSSGSKRKDREFKVAVKLANKPDLHQLQQFLNSRQHESPQEAIQVLDVVLRAAPSDKYTVIGRSFFATELGPKGELGDGLEYWRGFYQSLRPTQFGLSLNIDVSARSFYEPILVTEFVKKHFNYRDLSRPLFDRDRLKVKKALKGVKVALAYRDNRSYRITGVSTEPLSQLTFTLEDNITRTSVVQYYREKYNIVLRNVAMPALQAGSDSNPVYLPMELCSIVAGQRYSRKLNERQVTALLRATCQRPHERERNIKQMVKQSNFNGDQLIKDEFGMQVREDMALVDARVLPPPLLKYHDQGRETKETPRMGQWNMINKKMVNGGKVDFWAFVNFSGLRQDFNSRFCEDLVNMCISKGVDFHTQPLVPIGSANPRQIEKVLIDIHRESTQTLEEIGHKGKHLQLLIIILPDVTGSYGMVKRICETELGIVSQCCQPRAASKLSKQYLENLALKINVKVGGRNTVLNDAIFRRIPLVTDIPTIIIGADVTHPQPGEDSSPSIAAVVASMDWPEVSKYRGIVSAQAHREEIIQDLYSLYQDPQKGSVHGGMIREHFRAFRRSTGRKPERIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPADHNRRDQMDRSGNIQPGTVVDTKICHPTEFDFFLNSHAGIQGTSRPAHYHVLFDENRFTADQLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGEYSDVASTTAGSTSASGGGGIRALPQIKENVKDVMFYC >ONI00987 pep chromosome:Prunus_persica_NCBIv2:G6:8373710:8380884:1 gene:PRUPE_6G115400 transcript:ONI00987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRGRGRGRHYDQSSGSDAPSFHRGGGGGRRGRGRDGGVPPSFPSESGPSQRGRGRAGGSGVVAPAPSQTHAPQPPAQAYAPPPPAQAYAPPPPAQAYAPPPPATVQASSSSASPSPLIAEMEQKLTLTTPPPSSSKAVRLPGRPGFGTLGTRIQVRANHFLVEVKERDLHHYDVSITPEITSKKTNRDVIKQLVHLYKDSHLGRRTPAYDGMKSIYTAGPLPFVSKEFVVKLGERDGRDGSSGSKRKDREFKVAVKLANKPDLHQLQQFLNSRQHESPQEAIQVLDVVLRAAPSDKYTVIGRSFFATELGPKGELGDGLEYWRGFYQSLRPTQFGLSLNIDVSARSFYEPILVTEFVKKHFNYRDLSRPLFDRDRLKVKKALKGVKVALAYRDNRSYRITGVSTEPLSQLTFTLEDNITRTSVVQYYREKYNIVLRNVAMPALQAGSDSNPVYLPMELCSIVAGQRYSRKLNERQVTALLRATCQRPHERERNIKQMVKQSNFNGDQLIKDEFGMQVREDMALVDARVLPPPLLKYHDQGRETKETPRMGQWNMINKKMVNGGKVDFWAFVNFSGLRQDFNSRFCEDLVNMCISKGVDFHTQPLVPIGSANPRQIEKVLIDIHRESTQTLEEIGHKGKHLQLLIIILPDVTGSYGMVKRICETELGIVSQCCQPRAASKLSKQYLENLALKINVKVGGRNTVLNDAIFRRIPLVTDIPTIIIGADVTHPQPGEDSSPSIAAVVASMDWPEVSKYRGIVSAQAHREEIIQDLYSLYQDPQKGSVHGGMIREHFRAFRRSTGRKPERIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPADHNRRDQMDRSGNIQPGTVVDTKICHPTEFDFFLNSHAGIQGTSRPAHYHVLFDENRFTADQLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGEYSDVASTTAGSTSASGGGGIRALPQIKENVKDVMFYC >ONI00993 pep chromosome:Prunus_persica_NCBIv2:G6:8376405:8380884:1 gene:PRUPE_6G115400 transcript:ONI00993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALQAGSDSNPVYLPMELCSIVAGQRYSRKLNERQVTALLRATCQRPHERERNIKQMVKQSNFNGDQLIKDEFGMQVREDMALVDARVLPPPLLKYHDQGRETKETPRMGQWNMINKKMVNGGKVDFWAFVNFSGLRQDFNSRFCEDLVNMCISKGVDFHTQPLVPIGSANPRQIEKVLIDIHRESTQTLEEIGHKGKHLQLLIIILPDVTGSYGMVKRICETELGIVSQCCQPRAASKLSKQYLENLALKINVKVGGRNTVLNDAIFRRIPLVTDIPTIIIGADVTHPQPGEDSSPSIAAVVASMDWPEVSKYRGIVSAQAHREEIIQDLYSLYQDPQKGSVHGGMIREHFRAFRRSTGRKPERIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPADHNRRDQMDRSGNIQPGTVVDTKICHPTEFDFFLNSHAGIQGTSRPAHYHVLFDENRFTADQLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGEYSDVASTTAGSTSASGGGGIRALPQIKENVKDVMFYC >ONI02059 pep chromosome:Prunus_persica_NCBIv2:G6:17935866:17941164:-1 gene:PRUPE_6G175000 transcript:ONI02059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPSSSPPSLQLLAAKPCVLSDQANTVDHEDAATATTTTTTTTVNDNPNPSNPTVRNLSPSDERPAKPICRNIKKTKRKVLKDAAAAPSSSCGSTSSGSSFRGTRVAGKRRSPKMVFTAPPRNPDADEIAFRLGMSIAAFVAQILERNGEVGGGMSSDHLAKICASAVRESLANVFGNTCDCFIQNFEKSFGSTLRTLKSIKESSTNNRGCLSSHQNMEGSSLHVTHDEQDGASSSYIEDCHSKATSHTDATQGQLNYRDELNRSMLTSSLNLELAQHGQTNQLTYIPPRTSESGANQSMLRTIGKSVIEQARANDLKTLELNLTMQRLKLKETQLSLNYDSNQLQRSKFSMDISKASFKAEKFKSQLEDTRHAELLKKCIDCLVAGLLVMIASLIYGAYVYSYKRLTEATASCTPSTKESKSWLSWEAWDPMTSFNSGFHVLRCKVEVVSRMLFGVLVILAIAFLLLQRSATAKQIMPVTFIILLLGIVCGFFGKLCVDTLGGSGYHWLIYWETLCMLHFFCNVCTSALFFILHGPIDVSQGTKGNTIFPYWIRRVVFYGTSFLFLPLFCGLVPFASVGEWKHHFLLLVTDLGYW >ONI02060 pep chromosome:Prunus_persica_NCBIv2:G6:17936054:17941166:-1 gene:PRUPE_6G175000 transcript:ONI02060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPSSSPPSLQLLAAKPCVLSDQANTVDHEDAATATTTTTTTTVNDNPNPSNPTVRNLSPSDERPAKPICRNIKKTKRKVLKDAAAAPSSSCGSTSSGSSFRGTRVAGKRRSPKMVFTAPPRNPDADEIAFRLGMSIAAFVAQILERNGEVGGGMSSDHLAKICASAVRESLANVFGNTCDCFIQNFEKSFGSTLRTLKSIKESSTNNRGCLSSHQNMEGSSLHVTHDEQDGASSSYIEDCHSKATSHTDATQGQLNYRDELNRSMLTSSLNLELAQHGQTNQLTYIPPRTSESGANQSMLRTIGKSVIEQARANDLKTLELNLTMQRLKLKETQLSLNYDSNQLQRSKFSMDISKASFKAEKFKSQLEDTRHAELLKKCIDCLVAGLLVMIASLIYGAYVYSYKRLTEATASCTPSTKESKSWLSWEAWDPMTSFNSGFHVLRCKVEVVSRMLFGVLVILAIAFLLLQRSATAKQIMPVTFIILLLGIVCGFFGKLCVDTLGGSGYHWLIYWETLCMLHFFCNVCTSALFFILHGPIDVSQGTKGNTIFPYWIRRVVFYGTSFLFLPLFCGLVPFASVGEWKHHFLLLVTDLGYW >ONI04867 pep chromosome:Prunus_persica_NCBIv2:G6:29615154:29618652:-1 gene:PRUPE_6G344800 transcript:ONI04867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDASAALAVRDKVQQFLNAAVTGNLDLLKNLAGQLDEGKDLAKTVADIKDANKRGALHFAAREGKTEVCKYLLEELKLDVDTKDEDGETALIHAARQGHTDTAKYLLDSGANPAIASDLGATALHHSAGLGDIELLRYLISKGADVNSQSEAGTPLIWAAGHGQQDAVKVLLEHHANPNSENDDSITPLLSSVAAGSLPCLELLIQAGAKVNISAGGATPLHIAADIGSPEILQLLLKAGADPNITDEDGLKPIQVAAARGNRSAVEILFPLTSKLETIPKWTVDGILEYMQSETSRQQEQARNLKELNVPKDSTSPKQELPEVVTPEAKKKAAEAKSRGDDAFKTKDYNMAIDAYTQAIDMDPTDGTLFSNRSLCWMRLGQPEYALADAKACRALKPDWAKACYREGAALRLLQKFDEAANSFYEGVRLDPENQALVDAFREAVEAGRKFHGADKRKS >ONI04866 pep chromosome:Prunus_persica_NCBIv2:G6:29614841:29618816:-1 gene:PRUPE_6G344800 transcript:ONI04866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDASAALAVRDKVQQFLNAAVTGNLDLLKNLAGQLDEGKDLAKTVADIKDANKRGALHFAAREGKTEVCKYLLEELKLDVDTKDEDGETALIHAARQGHTDTAKYLLDSGANPAIASDLGATALHHSAGLGDIELLRYLISKGADVNSQSEAGTPLIWAAGHGQQDAVKVLLEHHANPNSENDDSITPLLSSVAAGSLPCLELLIQAGAKVNISAGGATPLHIAADIGSPEILQLLLKAGADPNITDEDGLKPIQVAAARGNRSAVEILFPLTSKLETIPKWTVDGILEYMQSETSRQQEQARNLKELNVPKDSTSPKQELPEVTPEAKKKAAEAKSRGDDAFKTKDYNMAIDAYTQAIDMDPTDGTLFSNRSLCWMRLGQPEYALADAKACRALKPDWAKACYREGAALRLLQKFDEAANSFYEGVRLDPENQALVDAFREAVEAGRKFHGADKRKS >ONI04868 pep chromosome:Prunus_persica_NCBIv2:G6:29614843:29618808:-1 gene:PRUPE_6G344800 transcript:ONI04868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDASAALAVRDKVQQFLNAAVTGNLDLLKNLAGQLDEGKDLAKTVADIKDANKRGALHFAAREGKTEVCKYLLEELKLDVDTKDEDGETALIHAARQGHTDTAKYLLDSGANPAIASDLGATALHHSAGLGDIELLRYLISKGADVNSQSEAGTPLIWAAGHGQQDAVKVLLEHHANPNSENDDSITPLLSSVAAGSLPCLELLIQAGAKVNISAGGATPLHIAADIGSPEILQLLLKAGADPNITDEDGLKPIQVAAARGNRSAVEILFPLTSKLETIPKWTVDGILEYMQSETSRQQEQARNLKELNVPKDSTSPKQELPEVTPEAKKKAAEAKSRGDDAFKTKDYNMAIDAYTQAIDMDPTDGTLFSNRSLCWMRLGQPEYALADAKACRALKPDWAKACYREGAALRLLQVSGWTLKIRHL >ONI02196 pep chromosome:Prunus_persica_NCBIv2:G6:19012693:19016734:-1 gene:PRUPE_6G182800 transcript:ONI02196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAQNSYSQKTRPRNFPRNHALFSFSFLFGQTTLNRGFQSTSEPAAGVLFFAGLATFIHYNDERRVTLKGQGNCPCVNNLRGPIIGGPFTLVDMENHTVTERNLLGNWVLLYFGYTSSPDVGPEQLQLMAKAINILESRHNFKILPVFVTLDPQRDTPSHLRAYLKEFESRIVGLTGPVSAIRQMAQEYRAYFKKVEEEGDDYLVDCSHNMYLMNPNMEVVRCIGVEYNTDELSEAIVKEVKRTSTDKS >ONI02199 pep chromosome:Prunus_persica_NCBIv2:G6:19013256:19015582:-1 gene:PRUPE_6G182800 transcript:ONI02199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVETPPASRTRASYVIPAAGVLFFAGLATFIHYNDERRVTLKGQGNCPCVNNLRGPIIGGPFTLVDMENHTVTERNLLGNWVLLYFGYTSSPDVGPEQLQLMAKAINILESRHNFKILPVFVTLDPQRDTPSHLRAYLKEFESRIVGLTGPVSAIRQMAQEYRAYFKKVEEEGDDYLVDCSHNMYLMNPNMEVVRCIGVEYNTDELSEAIVKEVKRTSTDKS >ONI02197 pep chromosome:Prunus_persica_NCBIv2:G6:19012693:19016734:-1 gene:PRUPE_6G182800 transcript:ONI02197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSRFLFFSAKQRSIEAFNLLRRSGLPKRIHSRSYANSSKSANGKTDIRPPMTVETPPASRTRASYVIPAAGVLFFAGLATFIHYNDERRVTLKGQGNCPCVNNLRGPIIGGPFTLVDMENHTVTERNLLGNWVLLYFGYTSSPDVGPEQLQLMAKAINILESRHNFKILPVFVTLDPQRDTPSHLRAYLKEFESRIVGLTGPVSAIRQMAQEYRAYFKKVEEEGDDYLVDCSHNMYLMNPNMEVVRCIGVEYNTDELSEAIVKEVKRTSTDKS >ONI02198 pep chromosome:Prunus_persica_NCBIv2:G6:19013256:19016514:-1 gene:PRUPE_6G182800 transcript:ONI02198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSRFLFFSAKQRSIEAFNLLRRSGLPKRIHSRSYANSSKSANGKTDIRPPMTVETPPASRTRASYVIPAAGVLFFAGLATFIHYNDERRVTLKAGQGNCPCVNNLRGPIIGGPFTLVDMENHTVTERNLLGNWVLLYFGYTSSPDVGPEQLQLMAKAINILESRHNFKILPVFVTLDPQRDTPSHLRAYLKEFESRIVGLTGPVSAIRQMAQEYRAYFKKVEEEGDDYLVDCSHNMYLMNPNMEVVRCIGVEYNTDELSEAIVKEVKRTSTDKS >ONI04135 pep chromosome:Prunus_persica_NCBIv2:G6:27551025:27553728:1 gene:PRUPE_6G304800 transcript:ONI04135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKSVMALIKALKPAFRNGNDNDKVVFAVHSFFLASGYELTATGPPAFNPSGLTSASTDEVGIQDWNELDDQYAFIYVNPEKGSRKVLVKCLVMEGKLHVYYALTDGSSKHVELDVGDYVEENGGGNYSRQFKNMEMLVTRLESLQNLSSETTETNCVRRIKIESHSGPVPETHLSGRKRKERLTLCEDKETPANTTNVAMAGSVKHVYSIRRVKHKIGSGSDEADGLGILIEDKKMDIKEPHDVPATALMAEPVPAPKAADSVPATQFHHLSDEMLPSPLKKISPRHLQDGEVYRGGKRMKR >ONI04222 pep chromosome:Prunus_persica_NCBIv2:G6:27812768:27814652:-1 gene:PRUPE_6G309700 transcript:ONI04222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHQMQRRVLQWVASNPLACSCQDFSSKTVFRHLTLNLLWQLSLLSRPRTPITEQLSGESNKDCAYFSSKTKEFAVPRRNAMALILSSYIFSGVSFQSVAFAQQSVIGLREYIDSFDGYSFKYPSNWIQVRGAGADIFFRDPYVLDENISVEFSSPSSSNYKSVEDLGPPQEAGKKVLKQYLTEFMSTRLGVRRESKILSTSSRIADDGKLYYQVEVNIKSYANNNELAVMPKDRLVRMEWDRRYLSVLGVENSQLYELRLQTPENVFVEEESDLRRVMESFRVNKIAA >ONI04221 pep chromosome:Prunus_persica_NCBIv2:G6:27812711:27814788:-1 gene:PRUPE_6G309700 transcript:ONI04221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVVVQHQQQRQPSLSPLRSSLSDFSGTRLHTQLQYRRKVSQPKEALHVTASNAKKSLTVGGIQSIGVFLSRLFVKDGLQLSLLSRPRTPITEQLSGESNKDCAYFSSKTKEFAVPRRNAMALILSSYIFSGVSFQSVAFAQQSVIGLREYIDSFDGYSFKYPSNWIQVRGAGADIFFRDPYVLDENISVEFSSPSSSNYKSVEDLGPPQEAGKKVLKQYLTEFMSTRLGVRRESKILSTSSRIADDGKLYYQVEVNIKSYANNNELAVMPKDRLVRMEWDRRYLSVLGVENSQLYELRLQTPENVFVEEESDLRRVMESFRVNKIAA >ONI04056 pep chromosome:Prunus_persica_NCBIv2:G6:27248424:27254999:1 gene:PRUPE_6G299600 transcript:ONI04056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMGMVMGSELERRVVELVTAKQKESPVVWAMEVGNWVEAVPSIELGEVLVSQLCFQHNRPSLWKFLDHALSSGLLCPLHVLSILSSRVVPHRRAQPEAYRLYLELLRRYAFSFGPLAGDASKEKITESIDAALQLSQTFKVHVVELGHALVLFFFSVIISLIDSTLDDWGFKMTSRKRPRSAFGGSDNDMEIDSMESQNLKIKEHHERIRKRNSLLAIEVLAKLTESRKSLVLLRLVHLNMPERFNGLLQRLRFLKGRQLASSDLNSALQLLARLSANIHSVVGFKHQLNKHRLFGVLLDIGSRKPVFHCNSGFGHSTCWVRFDIYMENAMDGKQLSIKSFIDILAEGILTLQVFNQASWQETFLELWLSALRLVQRERDPLEGPIPHLEARLCVLLSIVPLAIANVLEDKIKVNSSSIEGDTVSGNMESGYGDEMDGKANTSRKQGLISSLQVLGNFSGLLCPPSSVVNSSNIAATKAARFVLNSKNEKDASGGGSDVDTSIKSGGDMRHLIVEACIARNLIDTSAYFWPGYVSASTISLSDTSPVQKSLWSTFMEGAPLRDSLIKSLIRTPVSSLAEVEKLYHIALTGSEEEKSAAAKILCGASLKSGWNIQEHVVHFVVKLLSPPVPPNYSGSRSHLIDYMSMLSALLFGTSSVDTVHILSLHGMVPEVAASLITLCEVFGSLKPASSNKSSIGDESSVYMVFSLAFLFLLRLWKFYRPPLEQYITERGGAVGGVLTLEYLLLLRNGHTAPARNETNSSGDQLESASREPMYIDSYPKLQAWYCQNKSCIASTLSGLSSGNPVHEVANKILSMIYWKITRTGDPSSNSSGPSSSSISGSPADTGEDMCQRPLLPAWEILEAIPFVLEAILTACAYGRLSSRDLTTGLRDLVEFLPASLAAIISYFSAEVTRGIWKQVAMNGIDWPSPAAILQSVESEIKEILNAVGVNVPSCGISTVMLPLPLAALVSLTITFKLEKSLEYIHAVAGLALENCASGCPWPSMPIVGCLWAQKVRRWHHFIVVSCSRSVFRQNKDAVAQLLRSCFSSFLGSLHASTSSLSSQSSVNGLLGFTIADIGACPSVAPGFLYLRSCRTIHVVQHVNDVIVGLVAEYAAKLAERCASTDSPRLKSSQASLSLAIAKAKEVASLGASLLCVAGGVQLVQELYRETIPTWLLSSKEEKLGEANAVSCVMEGYAMAYLVILSGSIEWGIGDNLPSRTLSRRARIVGSHMDFLAGVLEGNISLGCDPATWKAYVSCLVGLMVNFAPVWIREVKVETLRKLASGLRGWHECELALSLLERGGPSAIGSAAELVYVLDGV >ONI04055 pep chromosome:Prunus_persica_NCBIv2:G6:27248424:27254999:1 gene:PRUPE_6G299600 transcript:ONI04055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMGMVMGSELERRVVELVTAKQKESPVVWAMEVGNWVEAVPSIELGEVLVSQLCFQHNRPSLWKFLDHALSSGLLCPLHVLSILSSRVVPHRRAQPEAYRLYLELLRRYAFSFGPLAGDASKEKITESIDAALQLSQTFKVHVVELGHALVLFFFSVIISLIDSTLDDWGFKMTSRKRPRSAFGGSDNDMEIDSMESQNLKIKEHHERIRKRNSLLAIEVLAKLTESRKSLVLLRLVHLNMPERFNGLLQRLRFLKGRQLASSDLNSALQLLARLSANIHSVVGFKHQLNKHRLFGVLLDIGSRKPVFHCNSGFGHSTCWVRFDIYMENAMDGKQLSIKSFIDILAEGILTLQVFNQASWQETFLELWLSALRLVQRERDPLEGPIPHLEARLCVLLSIVPLAIANVLEDKIKVNSSSIEGDTVSGNMESGYGDEMDGKANTSRKQGLISSLQVLGNFSGLLCPPSSVVNSSNIAATKAARFVLNSKNEKDASGGGSDVDTSIKSGGDMRHLIVEACIARNLIDTSAYFWPGYVSASTISLSDTSPVQKSLWSTFMEGAPLRDSLIKSLIRTPVSSLAEVEKLYHIALTGSEEEKSAAAKILCGASLKSGWNIQEHVVHFVVKLLSPPVPPNYSGSRSHLIDYMSMLSALLFGTSSVDTVHILSLHGMVPEVAASLITLCEVFGSLKPASSNKSSIGDESSVYMVFSLAFLFLLRLWKFYRPPLEQYITERGGAVGGVLTLEYLLLLRNGHTAPARNETNSSGDQLESASREPMYIDSYPKLQAWYCQNKSCIASTLSGLSSGNPVHEVANKILSMIYWKITRTGDPSSNSSGPSSSSISGSPADTGEDMCQRPLLPAWEILEAIPFVLEAILTACAYGRLSSRDLTTGLRDLVEFLPASLAAIISYFSAEVTRGIWKQVAMNGIDWPSPAAILQSVESEIKEILNAVGVNVPSCGISTVMLPLPLAALVSLTITFKLEKSLEYIHAVAGLALENCASGCPWPSMPIVGCLWAQKVRRWHHFIVVSCSRSVFRQNKDAVAQLLRSCFSSFLGSLHASTSSLSSQSSVNGLLGFTIADIGACPSVAPGFLYLRSCRTIHVVQHVNDVIVGLVAEYAAKLAERCASTDSPRLKSSQASLSLAIAKAKEVASLGASLLCVAGGVQLVQELYRETIPTWLLSSKEEKLGEANAVSCVMEGYAMAYLVILSGSIEWGIGDNLPSRTLSRRARIVGSHMDFLAGVLEGNISLGCDPATWKAYVSCLVGLMVNFAPVWIREVKVETLRKLASGLRGWHECELALSLLERGGPSAIGSAAELVYVLDGV >ONI04592 pep chromosome:Prunus_persica_NCBIv2:G6:28779018:28781379:-1 gene:PRUPE_6G328900 transcript:ONI04592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRANALLTFAVTILALMCAMASICDNLNHPTPTSQVQVLNINWFQKQPNGNDEVSMTMNISADLQSLFTWNTKQVFVFLAAEYGTPKNSLNQISLWDGIIPTKEHAKFWIHTSNKYRFIDQVCPISGVKNSTLHCTGM >ONI04591 pep chromosome:Prunus_persica_NCBIv2:G6:28779018:28781379:-1 gene:PRUPE_6G328900 transcript:ONI04591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMNISADLQSLFTWNTKQVFVFLAAEYGTPKNSLNQISLWDGIIPTKEHAKFWIHTSNKYRFIDQGSNLRGKEFNFTLHWHVMPKTGKMFADKIVMSGYRLPQEYR >ONI04590 pep chromosome:Prunus_persica_NCBIv2:G6:28778697:28781380:-1 gene:PRUPE_6G328900 transcript:ONI04590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRANALLTFAVTILALMCAMASICDNLNHPTPTSQVQVLNINWFQKQPNGNDEVSMTMNISADLQSLFTWNTKQVFVFLAAEYGTPKNSLNQISLWDGIIPTKEHAKFWIHTSNKYRFIDQGSNLRGKEFNFTLHWHVMPKTGKMFADKIVMSGYRLPQEYR >ONI04589 pep chromosome:Prunus_persica_NCBIv2:G6:28778090:28781387:-1 gene:PRUPE_6G328900 transcript:ONI04589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGYRANALLTFAVTILALMCAMASICDNLNHPTPTSQVQVLNINWFQKQPNGNDEVSMTMNISADLQSLFTWNTKQVFVFLAAEYGTPKNSLNQISLWDGIIPTKEHAKFWIHTSNKYRFIDQGSNLRGKEFNFTLHWHVMPKTGKMFADKIVMSGYRLPQEYR >ONI00558 pep chromosome:Prunus_persica_NCBIv2:G6:6591586:6595296:-1 gene:PRUPE_6G094800 transcript:ONI00558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRNLLSVNVPCLRGFSSAAASFLRTGDKLKLSRIFTSEDVLEYSKVSHDSNPLHFDSESARNAGFEDRLVHGMLVAALFPKIISSHFPGAIYVSQSLHFRLPVYIGEEIVGEVEATNIREQKNRCLVKFKTACFKNGAIVIDGEAMAILPAPAVEQANTSA >ONI00557 pep chromosome:Prunus_persica_NCBIv2:G6:6593204:6594236:-1 gene:PRUPE_6G094800 transcript:ONI00557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRNLLSVNVPCLRGFSSAAASFLRTGDKLKLSRIFTSEDVLEYSKVSHDSNPLHFDSESARNAGFEDRLVHGMLVAALFPKIISSHFPGAIYVSQSLHFRLPVYIGEEIVGEVEATNIREQKNRCLVKFKTACFKNGAIVIDGEAMAILPAPAVEQANTSA >ONI03407 pep chromosome:Prunus_persica_NCBIv2:G6:24813261:24816824:-1 gene:PRUPE_6G255200 transcript:ONI03407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLVLDSSATPAKAMNPNSSSKVRVIVRVRPFLPHEIAANNGDQTPCVSVIEQECESSTEEVVVYLKDKESSRNECYRLDSFFAQEDNNVGRIFYREVSPLIPGLFHGCNATVFAYGATGSGKTYTMQGTDEMPGLMPLAMSTIMSMCQCTGSTVEISYYEVYMDRCYDLLEIKAKEIAVLDDKDGQIHLRGLSRVPVKSMDEFYEAFSCGIQRRRIAHTGLNDVSSRSHGVLVIAVSTPWDDGSAAVTGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVIYALNNNLSRVPYRESKLTRILQDSLGGMSQALMVACLNPGEYPESVHTVSLAARSRHITNFVRSAKKQETPKVKVDMEAKLRAWLESRGKTKSAQRIQAFNSPLLGKTPSSFANVKKPTINLSSVKAKVLTNRSTCNAKERIITVPFRNIFYNESTVDSNLESLQLAGDKEEGNAVAGESVLESNTSLPGEASNQEESSANNFDSSPVSERKSTLRSSLRKALSPLNTNIKQKPLIEPLFTNGDCTLLFEPETPKTPPERNNRSQKTRTPLHKFTACGSNLKNVLLKEYIDFLNTANREELLELKGIGVKMAEYIVELRETSPLKSLSDLEKIGLSSKQIVNLFNKAAVGVFDKQTNATPSCSEISHVK >ONI03406 pep chromosome:Prunus_persica_NCBIv2:G6:24812930:24816997:-1 gene:PRUPE_6G255200 transcript:ONI03406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLVLDSSATPAKAMNPNSSSKVRVIVRVRPFLPHEIAANNGDQTPCVSVIEQECESSTEEVVVYLKDKESSRNECYRLDSFFAQEDNNVGRIFYREVSPLIPGLFHGCNATVFAYGATGSGKTYTMQGTDEMPGLMPLAMSTIMSMCQCTGSTVEISYYEVYMDRCYDLLEIKAKEIAVLDDKDGQIHLRGLSRVPVKSMDEFYEAFSCGIQRRRIAHTGLNDVSSRSHGVLVIAVSTPWDDGSAAVTGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVIYALNNNLSRVPYRESKLTRILQDSLGGMSQALMVACLNPGEYPESVHTVSLAARSRHITNFVRSAKKQETPKVKVDMEAKLRAWLESRGKTKSAQRIQAFNSPLLGKTPSSFANVKKPTINLSSVKAKVLTNRSTCNAKERNIFYNESTVDSNLESLQLAGDKEEGNAVAGESVLESNTSLPGEASNQEESSANNFDSSPVSERKSTLRSSLRKALSPLNTNIKQKPLIEPLFTNGDCTLLFEPETPKTPPERNNRSQKTRTPLHKFTACGSNLKNVLLKEYIDFLNTANREELLELKGIGVKMAEYIVELRETSPLKSLSDLEKIGLSSKQIVNLFNKAAVGVFDKQTNATPSCSEISHVK >ONI04192 pep chromosome:Prunus_persica_NCBIv2:G6:27713934:27714904:1 gene:PRUPE_6G307700 transcript:ONI04192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERKKRKIESEEEDEEEKIQKFFALIRSTREVRELLRGNSSNHESKDKKDEKKMQEEGKAADAGAWNPAFQPEDFLEDDKSSGHHGEAGPSKTEEDDKKEDKGGNGLDLRLSL >ONI00211 pep chromosome:Prunus_persica_NCBIv2:G6:5154971:5156256:1 gene:PRUPE_6G075100 transcript:ONI00211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSVSACLASLLISLMWVAEARIPGVYSGGAWEAAHATFYGGSDASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCANDPNWCHSGSPSIFITATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRLVKLTCSVLYALFLVLVIILFQQFGHFLE >ONI00209 pep chromosome:Prunus_persica_NCBIv2:G6:5154971:5158223:1 gene:PRUPE_6G075100 transcript:ONI00209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSVSACLASLLISLMWVAEARIPGVYSGGAWEAAHATFYGGSDASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCANDPNWCHSGSPSIFITATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKRGGIRFTINGFRYFNLVLVSNVAGAGDIVRVSVKGSKTSWMSMSRNWGQNWQSNAVLVGQSLSFRVRGSDRRTSTSWNVVPANWQFGQTFTGKNFRV >ONI00210 pep chromosome:Prunus_persica_NCBIv2:G6:5154971:5158223:1 gene:PRUPE_6G075100 transcript:ONI00210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSVSACLASLLISLMWVAEARIPGVYSGGAWEAAHATFYGGSDASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCANDPNWCHSGSPSIFITATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKRGGIRFTINGFRYFNLVLVSNVAGAGDIVRVSVKGSKTSWMSMSRNWGQNWQSNAVLVGQSLSFRVRGSDRRTSTSWNVVPANWQFGQTFTGKNFRV >ONI02515 pep chromosome:Prunus_persica_NCBIv2:G6:21057159:21058201:-1 gene:PRUPE_6G203100 transcript:ONI02515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVVLQLGLLILTLAMFFAIHKISRKALTKLRTNNRANLQSTRHFVQGSHLLTRARSNPHKAQSQAHAKNALTEAEKALALSPRDPGPHILKALALDLLGHKTSALKSFDVALSPPCVKSLSERERGEALVKRAELKIGLNRRRRVDSAVEDLVQAVRLSRGEGDDVTSFCLLGQCYEWKGMKEEAREAFERALRAEPGSVLARQGLDRLGF >ONI04893 pep chromosome:Prunus_persica_NCBIv2:G6:29686660:29691413:1 gene:PRUPE_6G346700 transcript:ONI04893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGTSSAFMQKAPQDLTKVLVTAIFEWLLISLLFVDAIFSYIITKFAYYCGLQTPCLLCSRLDHVLGKEKLGYYWDLFCGNHKSEISSLVLCYAHHKLVDVHGMCESCLFSFATINRSNAETYRLLVGKLGDDANFDFDQDPLLRGHKPCLSSGTLCSCCKQPCISRGHSQKLIQTKKFGSEAELDVPLSRDTEHNQKELRKGQDESYISVRATHMRDSGLHPLSHVGYTELKVTSDTESEVHFSDDDNASGLIHEGCDPKEDISAQYAESCIITPALIDPASVPKPSLLAQVDPNSNGSTSVASTVAFGHGLEELNWQKVGSKADFPALTEPILDNTPPSSNAMEAPVEVSKGKKDVTITHETDQISAAEPRELYKGGVRALTTSETGVETIPISSNTDQQVTNVLDLGDAYKLVVVSKGSQLSGVLAEQWIGKDSSRVTEDLKVLLSQLSGTRGNEQSTNEMSPKLSPNSGDLKASDSSNSIGLQILQKRISLERNESGLSLDGSIVSEIEGESVVDRLKRQVEHDKKLMSALYKELEEERNASAVASDQAMAMITRLQEEKAAIHMEALQHLRMMEEQAEYDNEALQKIDDLLVEKEKEIQDLEAELEFYRRKFPNESMLENLLETTCDIQARDIVVDHSESSSIEHSASVPKHVDTGRPHTYSTMPFSDEDGGRVKTSLLDFEDEKIQILQCLEKLEKALSLFSNNGENSDSSKGDCSENGGNGVGKSNLHNGDGGSQQNDAIRENGLPMQHQVPVTSGHISSLENPLLNGKQSETYCNGQNSAELCQVTDLASLPILISDLNKRLKALEADRGFLERTINSLRYGEEGLKFIEQIASHLGELRKVGIRRDQTSA >ONI04894 pep chromosome:Prunus_persica_NCBIv2:G6:29686616:29691413:1 gene:PRUPE_6G346700 transcript:ONI04894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGTSSAFMQKAPQDLTKVLVTAIFEWLLISLLFVDAIFSYIITKFAYYCGLQTPCLLCSRLDHVLGKEKLGYYWDLFCGNHKSEISSLVLCYAHHKLVDVHGMCESCLFSFATINRSNAETYRLLVGKLGDDANFDFDQDPLLRGHKPCLSSGTLCSCCKQPCISRGHSQKLIQTKKFGSEAELDVPLSRDTEHNQKELRKGQDESYISVRATHMRDSGLHPLSHVGYTELKVTSDTESEVHFSDDDNASGLIHEGCDPKEDISAQYAESCIITPALIDPASVPKPSLLAQVDPNSNGSTSVASTVAFGHGLEELNWQKVGSKADFPALTEPILDNTPPSSNAMEAPVEVSKGKKDVTITHETDQISAAEPRELYKGGVRALTTSETEQWIGKDSSRVTEDLKVLLSQLSGTRGNEQSTNEMSPKLSPNSGDLKASDSSNSIGLQILQKRISLERNESGLSLDGSIVSEIEGESVVDRLKRQVEHDKKLMSALYKELEEERNASAVASDQAMAMITRLQEEKAAIHMEALQHLRMMEEQAEYDNEALQKIDDLLVEKEKEIQDLEAELEFYRRKFPNESMLENLLETTCDIQARDIVVDHSESSSIEHSASVPKHVDTGRPHTYSTMPFSDEDGGRVKTSLLDFEDEKIQILQCLEKLEKALSLFSNNGENSDSSKGDCSENGGNGVGKSNLHNGDGGSQQNDAIRENGLPMQHQVPVTSGHISSLENPLLNGKQSETYCNGQNSAELCQVTDLASLPILISDLNKRLKALEADRGFLERTINSLRYGEEGLKFIEQIASHLGELRKVGIRRDQTSA >ONH99899 pep chromosome:Prunus_persica_NCBIv2:G6:3974325:3977695:1 gene:PRUPE_6G056500 transcript:ONH99899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVRFALRSFNDGVSRRFHQPHMRTLSTNVTSGGEVDDENNSNSFESPDEFERRIFGETSGGNFRSDAFFTKLDRLGMGHDGQGLNRSGGGGSGSGSHILDGLDESFNTLSDGMDGKLEKAAADFDIDYEEINQEDYSYRPDVNFELGMTYDLKDLDLTKRGVRKFTPRDEFEVTTKEVLRKADFRNVRFLANFLTDAGILIKRSKTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFVFGKTMEALDQDYEYESYDNPMDADGKDPLGPF >ONH99898 pep chromosome:Prunus_persica_NCBIv2:G6:3974776:3977695:1 gene:PRUPE_6G056500 transcript:ONH99898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVRFALRSFNDGVSRRFHQPHMRTLSTNVTSGGEVDDENNSNSFESPDEFERRIFGETSGGNFRSDAFFTKLDRLGMGHDGQGLNRSGGGGSGSGSHILDGLDESFNTLSDGMDGKLEKAAADFDIDYEEINQEDYSYRPDVNFELGMTYDLKDLDLTKRGVRKFTPRDEFEVTTKEVLRKADFRNVRFLANFLTDAGILIKRSKTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFVFGKTMEALDQDYEYESYDNPMDADGKDPLGPF >ONI00307 pep chromosome:Prunus_persica_NCBIv2:G6:5516730:5521992:1 gene:PRUPE_6G081500 transcript:ONI00307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPRSSAYLDAVTLEIEKKLQRALASPTQRRNLLQELFADIALEIDERAKDMILSREEDAISPAEDSMDGQLCFYDVLTDHYVQVPASGKCILDLIVQLWSQSFASHIFALLFHKWLFEVQLDNSEVLLRYSSALIEGATNVFWIDTQSNTRRFQSLFRYLLEDVALEPKRLNKIPVQVQRDLFLLLSRFIFFYNSVDKLGSFLRQFPLFPNAFLVGGAEDFFVIELADQLQKLKVEPVLLHYLSHIKLLQGMDLRMATSTRLKACLYSFTSPGGPMYPTRAVRHAAWDALDLLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFIMDCIKAVLYSLLGLIYSSLEKLRKPKF >ONI00308 pep chromosome:Prunus_persica_NCBIv2:G6:5516730:5521992:1 gene:PRUPE_6G081500 transcript:ONI00308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPRSSAYLDAVTLEIEKKLQRALASPTQRRNLLQELFADIALEIDERAKDMILSREEDAISPAEDSMDGQLCFYDVLTDHYVQLFEVQLDNSEVLLRYSSALIEGATNVFWIDTQSNTRRFQSLFRYLLEDVALEPKRLNKIPVQVQRDLFLLLSRFIFFYNSVDKLGSFLRQFPLFPNAFLVGGAEDFFVIELADQLQKLKVEPVLLHYLSHIKLLQGMDLRMATSTRLKACLYSFTSPGGPMYPTRAVRHAAWDALDLLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFIMDCIKAVLYSLLGLIYSSLEKLRKPKF >ONI00309 pep chromosome:Prunus_persica_NCBIv2:G6:5519783:5521992:1 gene:PRUPE_6G081500 transcript:ONI00309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQKDDIYMLLLKNFTKYSLHFCVVLPHIVFQYLLEDVALEPKRLNKIPVQVQRDLFLLLSRFIFFYNSVDKLGSFLRQFPLFPNAFLVGGAEDFFVIELADQLQKLKVEPVLLHYLSHIKLLQGMDLRMATSTRLKACLYSFTSPGGPMYPTRAVRHAAWDALDLLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFIMDCIKAVLYSLLGLIYSSLEKLRKPKF >ONI03355 pep chromosome:Prunus_persica_NCBIv2:G6:24675152:24676660:-1 gene:PRUPE_6G252600 transcript:ONI03355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLLTALSMENHHPSTLLSMDSSASSHDELDLEMNRQIILSRPPDINLPLSAERSPPPPPWNSDPCDILDVGLGPQVYETESLLTIPKVVGRKCAKRVDSIWGAWFFFSFYFKPALNEKSKAKIIRDSNGVNGFEKSDLKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIELVRAPNLIGLDEDERKRWVELTGRDVNFTIPMEAGDFSSWRNLPNTDFELERPPAPLKNVPNSHSKKLLNGSGLNLSTQPSNNNNSDGMDLSPVSKRRKEFFPHGNDDDCFLAVNPPSDRIPDMEIHANGSQWPNHFSGVMKNVHGPVTAAKTIYEDDAGYLIIISLPFVDLQRVKVSWRNTLTHGIIKVSCMSTSRMPFIKRHDRTFRLTDPSSEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSVLEIMVPKLRVGPEEHEVRVCLRPHLGGNDLMLT >ONI03353 pep chromosome:Prunus_persica_NCBIv2:G6:24674967:24677539:-1 gene:PRUPE_6G252600 transcript:ONI03353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLLTALSMENHHPSTLLSMDSSASSHDELDLEMNRQIILSRPPDINLPLSAERSPPPPPWNSDPCDILDVGLGPQVYETESLLTIPKVVGRKCAKRVDSIWGAWFFFSFYFKPALNEKSKAKIIRDSNGVNGFEKSDLKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIELVRAPNLIGLDEDERKRWVELTGRDVNFTIPMEAGDFSSWRNLPNTDFELERPPAPLKNVPNSHSKKLLNGSGLNLSTQPSNNNNSDGMDLSPVSKRRKEFFPHGNDDDCFLAVNPPSDRIPDMEIHANGSQWPNHFSGVMKNVHGPVTAAKTIYEDDAGYLIIISLPFVDLQRVKVSWRNTLTHGIIKVSCMSTSRMPFIKRHDRTFRLTDPSSEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSVLEIMVPKLRVGPEEHEVRVCLRPHLGGNDLMLT >ONI03354 pep chromosome:Prunus_persica_NCBIv2:G6:24674982:24677539:-1 gene:PRUPE_6G252600 transcript:ONI03354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLLTALSMENHHPSTLLSMDSSASSHDELDLEMNRQIILSRPPDINLPLSAERSPPPPPWNSDPCDILDVGLGPQVYETESLLTIPKVVGRKCAKRVDSIWGAWFFFSFYFKPALNEKSKAKIIRDSNGVNGFEKSDLKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIELVRAPNLIGLDEDERKRWVELTGRDVNFTIPMEAGDFSSWRNLPNTDFELERPPAPLKNVPNSHSKKLLNGSGLNLSTQPSNNNNSDGMDLSPVSKRRKEFFPHGNDDDCFLAVNPPSDRIPDMEIHANGSQWPNHFSGVMKNVHGPVTAAKTIYEDDAGYLIIISLPFVDLQRVKVSWRNTLTHGIIKVSCMSTSRMPFIKRHDRTFRLTDPSSEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSVLEIMVPKLRVGPEEHEVRVCLRPHLGGNDLMLT >ONI03335 pep chromosome:Prunus_persica_NCBIv2:G6:24634105:24634898:1 gene:PRUPE_6G251800 transcript:ONI03335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMKPKLIIFSSLVDFCLNSQSNTTRHQTILHNSHSFFHMGDFMFDKMSSNDQLCYYQSLTFQILIRLSHNKIFYKCIITSFENKWRGYLSLHLYEMYD >ONI05246 pep chromosome:Prunus_persica_NCBIv2:G6:30568363:30573840:1 gene:PRUPE_6G364000 transcript:ONI05246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCFCPCFDFDDHDHDNRTAQTELDPVLLVSGIGGSILHSKRKKLGFDTRVWVRILLADLEFKKKLWSVYNPQTGYTETLDKDTEIVVPDDDYGLYAIDILDPSWFVKCIRVKEVYQFHDMIDMLVGCGYKKGTTLFGYGYDFRQSNRIDKLMEGLKVKLETAYKASGGRKVNIISHSMGGLLVTCFMSLHNDVFLKYVSKWICIACPFQGAPGCINDSLLTGLQFVEGLESYFFVSRWTMHQLLVECPSIYEMLANPKFDWKELPEIQVWRKHSKDGETIVDLESYGPIESISLFEEALKHNEPQYSYVDGDETVPAESAKADGFAAVERVAIAARHRELLRDKTVFQHIQRWLGVEQRVSIRSKTSRVADASSK >ONI05244 pep chromosome:Prunus_persica_NCBIv2:G6:30568263:30573864:1 gene:PRUPE_6G364000 transcript:ONI05244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCFCPCFDFDDHDHDNRTAQTELDPVLLVSGIGGSILHSKRKKLGFDTRVWVRILLADLEFKKKLWSVYNPQTGYTETLDKDTEIVVPDDDYGLYAIDILDPSWFVKCIRVKEVYQFHDMIDMLVGCGYKKGTTLFGYGYDFRQSNRIDKLMEGLKVKLETAYKASGGRKVNIISHSMGGLLVTCFMSLHNDVFLKYVSKWICIACPFQGAPGCINDSLLTGLQFVEGLESYFFVSRWTMHQLLVECPSIYEMLANPKFDWKELPEIQVWRKHSKDGETIVDLESYGPIESISLFEEALKHNELSYDGKTVALPFNFSILRWAAETRQVLNNAKLPDGVCFYNIYGTSFDTPFGVCYGSKTSPIEDLSEICHSMPQYSYVDGDETVPAESAKADGFAAVERVAIAARHRELLRDKTVFQHIQRWLGVEQRVSIRSKTSRVADASSK >ONI05245 pep chromosome:Prunus_persica_NCBIv2:G6:30568378:30573826:1 gene:PRUPE_6G364000 transcript:ONI05245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCFCPCFDFDDHDHDNRTAQTELDPVLLVSGIGGSILHSKRKKLGFDTRVWVRILLADLEFKKKLWSVYNPQTGYTETLDKDTEIVVPDDDYGLYAIDILDPSWFVKCIRVKEVYQFHDMIDMLVGCGYKKGTTLFGYGYDFRQSNRIDKLMEGLKVKLETAYKASGGRKVNIISHSMGGLLVTCFMSLHNDVFLKYVSKWICIACPFQGAPGCINDSLLTGLQFVEGLESYFFVSRWTMHQLLVECPSIYEMLANPKFDWKELPEIQVWRKHSKDGETIVDLESYGPIESISLFEEALKHNELSYDGKTVALPFNFSILRWAAETRQVLNNAKLPDGVCFYNIYGTSFDTPFGVCYGSKTSPIEDLSEICHSMPQYSYVDGDETVPAESAKADGFAAVERVAIAARHRELLRDKTVFQHIQRWLGVEQRVSIRSKTSRVADASSK >ONI01347 pep chromosome:Prunus_persica_NCBIv2:G6:10655884:10656950:1 gene:PRUPE_6G134800 transcript:ONI01347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMVLLWVFMGLFAGYSPARLYKMFSGTEWKRNTLKTAFMFPGILCGGYWGWRKMRWGWRFLGLVLLFLFLLF >ONI04458 pep chromosome:Prunus_persica_NCBIv2:G6:28461666:28468160:-1 gene:PRUPE_6G322800 transcript:ONI04458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARASSGLQYPERFYAAASYAGFDGSPRSSSKAVRSKFNSESALILYALYQQATVGPCNTPEPSAWNAIEKSKWSSWKKLADMASTEAMRLFVKILEEEDPGWYSRASNFVSDPVVDVQMNQSSNVHPVVENGNSFPETKTISTENGSIVEAQDKDVVSEGFGSIGVYDQWIAPPISGHRPKSRYEHGAAVIQDKMYIYGGNHNGRYLNDLHALDLRSWSWSKIEAKAGAESLESPSPVTVTARAGHSLISWENQLISIAGHTKDPSDSIEVKAFDLQTCTWSFLKTYGKPPASRGGQSVSLVGGGLVIFGGQDAKRTLLNDLHILDLETMTWDEIDAIGVPPSPRSDHTAAVHADRYLLIFGGGSHATCYNDLHVLDLQTMEWSRPTQQGEIPTARAGHAGVTVGENWFIVGGGDNKSGASETVVLNMSTLVWSVVTTVEGRIPVASEGLSLAVGSYNGEDVLVSFGGYNGRYNNEVNVLKPSHKSTLQSKMIETPVPDSVSAVLNATNGTRDVESEFEAGQEGKIREIVMDNVESDLMKARGKSTNEHLIATLKAEKEELESSLSKEKVQTLQLKQELAEAETRNTDLYKELQSVRGQLASEQSRCFKLEVELAELRQKLQTMEALQKELELLQRQKAASEQAALNAKRQSSGGVWGWLAGTPGNEKADDA >ONI04459 pep chromosome:Prunus_persica_NCBIv2:G6:28461704:28467943:-1 gene:PRUPE_6G322800 transcript:ONI04459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARASSGLQYPERFYAAASYAGFDGSPRSSSKAVRSKFNSESALILYALYQQATVGPCNTPEPSAWNAIEKSKWSSWKKLADMASTEAMRLFVKILEEEDPGWYSRASNFVSDPVVDVQMNQSSNVHPVVENGNSFPETKTISTENGSIVEAQDKDVVSEGFGSIGVYDQWIAPPISGHRPKSRYEHGAAVIQDKMYIYGGNHNGRYLNDLHALDLRSWSWSKIEAKAGAESLESPSPVTVTARAGHSLISWENQLISIAGHTKDPSDSIEVKAFDLQTCTWSFLKTYGKPPASRGGQSVSLVGGGLVIFGGQDAKRTLLNDLHILDLETMTWDEIDAIGVPPSPRSDHTAAVHADRYLLIFGGGSHATCYNDLHVLDLQTMEWSRPTQQGEIPTARAGHAGVTVGENWFIVGGGDNKSGASETVVLNMSTLVWSVVTTVEGRIPVASEGLSLAVGSYNGEDVLVSFGGYNGRYNNEVNVLKPSHKSTLQSKMIETPVPDSVSAVLNATNGTRDVESEFEAGQEGKIREIVMDNVEKQEARVPMNIL >ONI02794 pep chromosome:Prunus_persica_NCBIv2:G6:22738429:22740998:1 gene:PRUPE_6G222300 transcript:ONI02794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMVWFIFHLLFLFSLGVTGEGEESVQLINLYDTVPGVLQESIHSGRPLAVSVNAGEITEISSSVLMAESFLRTHVLAHYPATPITTIVVGNTVLCLKEQEHNLGLVLPALKNIYHSLTRWGLEKDIKVSAAFSSGCLKQDSGFYREDVAEKFVRPVLEFLRGTNSTYSVNPPPKFSPLPDETMKLVSFHSESLKKLGFLDLTKINVILSNPEERKPWSRKLSSFMDSRPVDPFPARPTPLPKISEPPLHSSIGFSVPANVAKKPHPPEPQIVSPPLQVSSPQPQIASPPSPQMPSPKAQVASPPSPKMPSPEAQIASPPSMSFSSAPENPPFADQAPPPSPFTLPPCNPRHDAGAPAPQVGVVRNKLWCVAKPTVPADTLQVAMDYACGDGGADCNAIMPDGNCYSPDSVVAHASYAFNSYWQKNKRNVGTCSFGGTAMLINNDPSYLQCRFVLT >ONI02795 pep chromosome:Prunus_persica_NCBIv2:G6:22739146:22741000:1 gene:PRUPE_6G222300 transcript:ONI02795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESFLRTHVLAHYPATPITTIVVGNTVLCLKEQEHNLGLVLPALKNIYHSLTRWGLEKDIKVSAAFSSGCLKQDSGFYREDVAEKFVRPVLEFLRGTNSTYSVNPPPKFSPLPDETMKLVSFHSESLKKLGFLDLTKINVILSNPEERKPWSRKLSSFMDSRPVDPFPARPTPLPKISEPPLHSSIGFSVPANVAKKPHPPEPQIVSPPLQVSSPQPQIASPPSPQMPSPKAQVASPPSPKMPSPEAQIASPPSMSFSSAPENPPFADQAPPPSPFTLPPCNPRHDAGAPAPQVGVVRNKLWCVAKPTVPADTLQVAMDYACGDGGADCNAIMPDGNCYSPDSVVAHASYAFNSYWQKNKRNVGTCSFGGTAMLINNDPSYLQCRFVLT >ONI03736 pep chromosome:Prunus_persica_NCBIv2:G6:26135847:26137831:-1 gene:PRUPE_6G278700 transcript:ONI03736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGRSSSSVNQSSDCFNGVLRRLLCSGSVPTHPTDQIAEPYTTQLTLLPEKPNPDLKIQSSASSVTPGIVARLMGLDSLPETNWVSKGRPDLVSRSRSVSFADYLMDFDLADQDRQHHHRRVRTSVSFREVPAALNHQKSPDFLLVYLDNVDKSKEIGSKVKKSEMGFGELKQGKKEQRSRNKENSKRESDEIMKQKKKKEKMVAVKQNKKISKLKDEPRRECGAQSSKSKGLGYSVSPDKKTSYKNGGAANFKESSDRHQLKKTTQKKKAVEEPRIKKTNHQLAFAKEKEAAGFHGLENTSPVSVLYIDDMLIQHEAWLSGYSMPMDLNSNMKSSPKAPYIADPEVRTARRKDFEPTKDEDTRDYAELLASKIQRWTEEDLKESNWVAKNVSGFEAYEEICVEFEGLILDMLLRQGIDEFVQISHENLWPCSA >ONI04377 pep chromosome:Prunus_persica_NCBIv2:G6:28240478:28243407:-1 gene:PRUPE_6G318000 transcript:ONI04377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPQNLKPTITSFLTSPSVSFKTQNSSLFFDTITSTSDNYRTQPPPFSFKTRTNSQFFSTRRLFLPSVSGIWDALTSGNNAREAVAAIRHGMVLFRQGDVSGSVAEFDKAIELDPRQQAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGTSEARERFLEVGQDPRPVMRDAYNMFKDGGDPEKKKTDAAELHIVAAYQSPYGQRSDDYMASLAKVHCLCRKWSLN >ONI04378 pep chromosome:Prunus_persica_NCBIv2:G6:28240998:28243194:-1 gene:PRUPE_6G318000 transcript:ONI04378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPQNLKPTITSFLTSPSVSFKTQNSSLFFDTITSTSDNYRTQPPPFSFKTRTNSQFFSTRRLFLPSVSGIWDALTSGNNAREAVAAIRHGMVLFRQGDVSGSVAEFDKAIELDPRQQAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGTSEARERFLEVGQDPRPVMRDAYNMFKDGGDPEKLVAAFSKGRESDYFYASLYAGLYFESQKKTDAAELHIVAAYQSPYGQRSDDYMASLAKVHCLCRKWSLN >ONH98927 pep chromosome:Prunus_persica_NCBIv2:G6:104248:113413:-1 gene:PRUPE_6G000300 transcript:ONH98927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTETIDNFKVGSLPTLIYIPDFITDNEQTMLLNKIYEAPVSKWKSLKNRRLQNWGGTVHEKGLLPQDLPSWLTKITYKIYEESGLFPLPINHVLINEYLPDQGIMAHQDGPAYYPVVAILSLRSPVVMDFTPHSRMTLCKSTCTNDVEDTNSDRGVIKIDTDKSMDEHHPFPVILMPCSLMIFKDTAYSDYLHAIKDSEVQCYDGAVNEVEALHGQVMNHASSQLDGPVDVMKTGDLKCIHRTTPRISLTCRLVPKVHKNVFRF >ONI03126 pep chromosome:Prunus_persica_NCBIv2:G6:23935558:23938280:1 gene:PRUPE_6G240600 transcript:ONI03126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINNRESNVQEESNPLLSQQFEEPQKDAEKPAKASPETQSPEKSQAEMGHVNGGGNGCGWTADGLPLGHGSVMGEPMGRAQWDSGLLACLGRNDDFCSSDLEVCLLGSVAPCVLYGSNVERLGSTPGTFANHCLSYYGLYLIGNTFFGWNCLAPWFSYPSRTAIRRKFNLEGSCEALHRSCGCCGSFVEDEVQQEQCETACDFATHVFCHACALCQEGREIRRRMLHPGFNAQPVLVMIPPGEQAMGRGA >ONI03127 pep chromosome:Prunus_persica_NCBIv2:G6:23935566:23938169:1 gene:PRUPE_6G240600 transcript:ONI03127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINNRESNVQEESNPLLSQQFEEPQKDAEKPAKASPETQSPEKSQAEMGHVNGGGNGCGWTADGLPLGHGSVMGEPMGRAQWDSGLLACLGRNDDFCSSDLEVCLLGSVAPCVLYGSNVERLGSTPGTFANHCLSYYGLYLIGNTFFGWNCLAPWFSYPSRTAIRRKFNLEATVRRFIGHVDAVAALWKMRYNKNSVRPPVILRLMFSAMHAPFVRKVVRSVAGCFILVSMLNQFWL >ONH99718 pep chromosome:Prunus_persica_NCBIv2:G6:3294612:3297379:1 gene:PRUPE_6G045500 transcript:ONH99718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRTLSNAKTITKLIHQGQSRQAIVLFHRVLKNGFKITEFLLSAIVRACGRVAAIKEGKQFHCTAIKHGFNRDMILMTSLLDMYSKCNNITEARLVFDEMPQRDVIATNSMISGLCWSHLTLEAIELFSNMSRRDAGSWNSLISGLGHNSEGRTGLVFFEKMRLEGAEVDVMTMVSVLSICSDLAALSNGKQCHGLVIKYGFELGYLPVGNAIIDMYAKCGCMEDACLCFKNMPLKNVISWTALITGYGKQGRGLEALEAFDTMEVEGVAPNKITFLGALYACSHAGLVQEGWRVFNTMVHKYSIAPMMEHYTCMVDLLVRSKCFSEAYKFIERMPVKPDTRLLTAFLSSCCSHKNLELARSVGKKLLESAPEEAGAYMLLSNFYGLVGDLQGVAKVRRLMLDRGIRKEKACTWIEINKTVHSFQSGDRSHPLSNEIYNYLQHLFKKLKANGYVPDTSMVMQNVDEQTKEEIVLGHSEKLAIGLGLISTPPGTRIVIVKNLRVCVDCHVVTGLISKIEGREIVARDSNRFHHFKDGLCSCENHW >ONI04507 pep chromosome:Prunus_persica_NCBIv2:G6:28620188:28625785:1 gene:PRUPE_6G325100 transcript:ONI04507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLSSQVARPLCSPSSHSRYFRFLSLSLPIFHSSKSHPKIFTISPKAPLLTVRNCTSISAKPSSELRNKRSNSDSDEKLRALRELFSKPSVGIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLSSSWILMRAGSLGVPTTIEWLNDVLAPGGRVGIDPFLFSSDAAEELKQGIAKKNHELVFLYDKNLVDEVWNKSRPKPPNKPIRVHDLKYAGVDVASKLLSLRSELADSGSSAIVISMLDEIAWLLNLRGSDVPHSPVMYAYLIVETDKAKLFIDTSKVSTEVMDHLKNAGIELRPYDSILSEVEGLAAQGANVWLDISSVNAAIVKTFNSACERYYQSLESKTKSKTKMYDDSNGWSGGPTGIYRVSPISLAKAVKNDSELEGMRSCHLRDAAALVQFWVWMEEQIKKNVKLTEVEVADKLLEFRSAQPGFLDTSFDTISGSGANGAIIHYRAEPDSCSVVDDKKLFLLDSGGQYVDGTTDITRTVHFGEPPLRQKECFTRFAMQGHIALDQAVFPENTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQGISFRFGNMTPLQKGMIVSNEPGYYEDHAFGIRIENLLVVKEVDTPNRFGGIGYLGFEKLTFVPIQAKLIDLSLLSAAEFDWLNDYHSQVWEKVSPLVDGCAREWLWNNTRPLVKL >ONI04506 pep chromosome:Prunus_persica_NCBIv2:G6:28620076:28625956:1 gene:PRUPE_6G325100 transcript:ONI04506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLSSQVARPLCSPSSHSRYFRFLSLSLPIFHSSKSHPKIFTISPKAPLLTVRNCTSISAKPSSELRNKRSNSDSDEKLRALRELFSKPSVGIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLSSSWILMRAGSLGVPTTIEWLNDVLAPGGRVGIDPFLFSSDAAEELKQGIAKKNHELVFLYDKNLVDEVWNKSRPKPPNKPIRVHDLKYAGVDVASKLLSLRSELADSGSSAIVISMLDEIAWLLNLRGSDVPHSPVMYAYLIVETDKAKLFIDTSKVSTEVMDHLKNAGIELRPYDSILSEVEGLAAQGANVWLDISSVNAAIVKTFNSACERYYQSLESKTKSKTKMYDDSNGWSGGPTGIYRVSPISLAKAVKNDSELEGMRSCHLRDAAALVQFWVWMEEQIKKNVKLTEVEVADKLLEFRSAQPGFLDTSFDTISGSGANGAIIHYRAEPDSCSVVDDKKLFLLDSGGQYVDGTTDITRTVHFGEPPLRQKECFTRVLQGHIALDQAVFPENTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQGISFRFGNMTPLQKGMIVSNEPGYYEDHAFGIRIENLLVVKEVDTPNRFGGIGYLGFEKLTFVPIQAKLIDLSLLSAAEFDWLNDYHSQVWEKVSPLVDGCAREWLWNNTRPLVKL >ONI04508 pep chromosome:Prunus_persica_NCBIv2:G6:28621050:28625956:1 gene:PRUPE_6G325100 transcript:ONI04508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFWLLVGELALILEQFLFSSDAAEELKQGIAKKNHELVFLYDKNLVDEVWNKSRPKPPNKPIRVHDLKYAGVDVASKLLSLRSELADSGSSAIVISMLDEIAWLLNLRGSDVPHSPVMYAYLIVETDKAKLFIDTSKVSTEVMDHLKNAGIELRPYDSILSEVEGLAAQGANVWLDISSVNAAIVKTFNSACERYYQSLESKTKSKTKMYDDSNGWSGGPTGIYRVSPISLAKAVKNDSELEGMRSCHLRDAAALVQFWVWMEEQIKKNVKLTEVEVADKLLEFRSAQPGFLDTSFDTISGSGANGAIIHYRAEPDSCSVVDDKKLFLLDSGGQYVDGTTDITRTVHFGEPPLRQKECFTRVLQGHIALDQAVFPENTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQGISFRFGNMTPLQKGMIVSNEPGYYEDHAFGIRIENLLVVKEVDTPNRFGGIGYLGFEKLTFVPIQAKLIDLSLLSAAEFDWLNDYHSQVWEKVSPLVDGCAREWLWNNTRPLVKL >ONH99616 pep chromosome:Prunus_persica_NCBIv2:G6:2968629:2973293:1 gene:PRUPE_6G039400 transcript:ONH99616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCPFSVIPFLFSIVILLPLVFSDLHSDKQALLDFAAAVPHRRNLTWNPASPVCTSWVGITCNLNGTRVTALRLPGVGLVGSVPSNTVGRLDALRILSLRSNLLRGNLPSDITSLPVLQNLYLQHNNFSGDIPASFSLQLNVLDLSFNSFSGNIPQILHNLTQLTGLNLQNNNLSGPIPDLNQPGLKRLNLSYNHLNGSIPSSLQRFSNSSFVGNSLLCGAPLKACSLVLPPPPPTHNPPPPVVPQKRSSKKKLKLGVIIAIAAGGSVLLLLLGLIIVLWCLKKKDSGGTGVLKGKASSGGRSEKPKEDFGSGVQEPEKNKLVFFEGCSYNFDLDDLLRASAEVLGKGSYGTAYKAVLEEATTVVVKRLKEVVVGKKDFEQQMEVVGRVGQHTNVVPLRAYYYSKDEKLLVYDYISNGSLSALLHGNRGGGRTALDWDSRIKIALGTARGIAHIHSMGGPKFTHGNIKSTNVLLSQDLDGCISDVGLTPLMNVPATTRSAGYRAPEVIETRKHSHKSDVYSFGVVLLEMLTGKAPLQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIAMACVAKVPDMRPNMEEVVRMIEEIRQSDSENRPSSEENKSKDSNVQTP >ONI00166 pep chromosome:Prunus_persica_NCBIv2:G6:4885055:4885464:1 gene:PRUPE_6G071700 transcript:ONI00166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKRSNPYQGIPRMITYTNVKHVSCSTKKIPPSDQKNNDVPPKTMPLLMPACYDYTYQKNNESMTLFPTN >ONI01398 pep chromosome:Prunus_persica_NCBIv2:G6:11161454:11162132:1 gene:PRUPE_6G137700 transcript:ONI01398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRHMQIRSHVMPILCLSYAMSLLAQGPCQNFFLRDVEKAGEWEGAREIVVWKMNKMGNYKKKRSKKHTKYKIKGQRVGRFAALMRLKHMNKQAGLTQLCQAWHTGQMARNNSVALCQF >ONH99605 pep chromosome:Prunus_persica_NCBIv2:G6:2887340:2895907:1 gene:PRUPE_6G038500 transcript:ONH99605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPTASKWYRASGLLFQLRPHRSFKANTFSTRTAFHGNPILLPKTLTSISVRTTSRLPFHVNAFLSDASSAPSPPDGRSWFSTSPDLRNPTPPVSKGTSVEQITNVKIFRTLASYLWMKDNYEFRIRVIAALGFLVGAKVLNVQVPFLFKLAVDWLSSATGNAAALASFTAANSTQLALFATPVSVLIGYGIARTGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLRYHLSRETGALNRIIDRGSRAINFILSSMVFNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYVAFTLTVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEAYEASKYDEYLKRYEDAALKTQRSLAFLNFGQNIIFSTALSTAMVLCSNGIMSGNMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSLFQLLEERAEIGDKDDAKRLKLSGGSIQYDNVHFSYLTERKILDGISFVVPAGKSVAIVGTSGSGKSTILRLLFRFFDTHSGSIKIDGQDIRDLTLESVRKSIGVVPQDTVLFNDTIFHNIHYGRLSATKEEVYDAARRAAIHDTIMKFPDRYSTVVGERGLKLSGGEKQRVALARAFLKAPPILLCDEATSALDSTTEAEILNALKSLATNRTSIFIAHRLTTAMQCDEIIVLENGKVVEQGPHDVLLSKAGRYAQLWGQQNNTIDVLDSSVKLGA >ONI03600 pep chromosome:Prunus_persica_NCBIv2:G6:25565319:25568341:-1 gene:PRUPE_6G268000 transcript:ONI03600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQTKAKKSQTLGKGKVTPVQIAFIVDRYLCDNNYSETRSVFRNEASSLIAKSPIREAPKSLLSLAEILNEYICLKEQKVILDQEKVRVEQEKTRVQTLLKGMQGVMNTYNASGNPAAISTGPAAAPKPMLMASHVNPSNGSPAGFPIYQTPVAHHVSTPSNTNMGPGHFCSPITIDPPATKRKCSRVSVDAPSASKRPCSRLPIGKVPNKGAETVSASANALNNQESAQSSSAVPNLVPNGSFAHGSTVAKCLFNQPSPSVPNNSSGPRTPPRAISSQIDKSVSPFEISSTATCSNNNSPPEITPNCCTIFSSKRVTLSPNKACYTVETNHCISSSPAKTSKRDHVKGRLNFDCSDVPMSLDQPVSDDISTSESEKEVDPFDIDLPNFDAIGVDFSFTEMLGEFDLHCEELGYASQPNVGASMGTVSGSSYDNETADGNVGANQVMSEFSSTVTEVLSEKGMNVQGSDSLTAVKSVTKCIRIISPGNSTVFLNSPLILI >ONI03599 pep chromosome:Prunus_persica_NCBIv2:G6:25565318:25568420:-1 gene:PRUPE_6G268000 transcript:ONI03599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQTKAKKSQTLGKGKVTPVQIAFIVDRYLCDNNYSETRSVFRNEASSLIAKSPIREAPKSLLSLAEILNEYICLKEQKVILDQEKVRVEQEKTRVQTLLKGMQGVMNTYNASGNPAAISTGPAAAPKPMLMASHVNPSNGSPAGFPIYQTPVAHHVSTPSNTNMGPGHFCSPITIDPPATKRKCSRVSVDAPSASKRPCSRLPIGKVPNKGAETVSASANALNNQESAQSSSAVPNLVPNGSFAHGSTVAKCLFNQPSPSVPNNSSGPRTPPRAISSQIDKSVSPFEISSTATCSNNNSPPEITPNCCTIFSSKRVTLSPNKACYTVETNHCISSSPAKTSKRDHVKGRLNFDCSDVPMSLDQPVSDDISTSESEKEVDPFDIDLPNFDAIGVDFSFTEMLGEFDLHCEELGYASQPNVGASMGTVSGSSYDNETADGNVGANQVMSEFSSTVTEVLSEKGMNVQGSDSLTAVKSVTKCIRIISPVKNRGSSQV >ONI00238 pep chromosome:Prunus_persica_NCBIv2:G6:5297180:5299983:-1 gene:PRUPE_6G077700 transcript:ONI00238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLYLVIQRRFVQAKPQTVYVPYFSANKISSSFKFFLCTQTPNDFTGKVSESKREEPRSCLSYRIEKLPNGEPVGSAFQSWMGDGFPIHRGDIFHAINRLRKLKLNKRALEVMEWVIRERPYRPKELDYSYLLEFTTKFHGISQGKELFTRVPLEFQNELLYNNLVIACLDKGAIRLPLECMKKMRELGHPISHLVFNRLIILHSSSRRKKLIVKILAQMRADKVVLHASTFNILMKIEANEHNIEGLMKVYSDMKRAKVEPNEISHCIVATAHAVARLYTAAEAYVEALEKSVTGSNWSTLDVLIMLYGYLGKEKELERTWGLVKELPHVRSKSYVMAIEAFGRISQLSRAEELWLEMKAEKGLKTTEQFNSMISVYCKHGLIDKASKLFREIKADGCKPNAITFRHLALGCLKAGLVEEAIKTLEMGMSSTMSNGVRNSTPWLETTHSIIEAFAENGDVGNVEKLFEELTKAKYARHTFVYNTLIKAYVKAKIYDSNLLKRMILGGARPDAETYSLMKLEEQFRT >ONI04715 pep chromosome:Prunus_persica_NCBIv2:G6:29204073:29205053:-1 gene:PRUPE_6G336000 transcript:ONI04715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGWGPPPGGPPGPAGPCGCCAFCCDGIFRLFSSCFYLLCCCCILENCCGPMFGGPPGPPGPPGGPPGPPPPW >ONI05038 pep chromosome:Prunus_persica_NCBIv2:G6:30035027:30038294:-1 gene:PRUPE_6G352800 transcript:ONI05038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPAKSIWFTSCAIAICFVICHHDIANGEKHCPPVSCGDLRDIRYPFRLKTASDLNCLNHPAELSCQQNRTTLNLYNGKYYVMEIDYDKFTIRVMDPGLLNDASCPFRPLYSLTTRNFSEYDYSASLYSASDMFYVSFFDCLLPVESPDYVQINCNSSTTNSSSTTSYSYIMLGDGVDNLKSSCSLTKVIPTKLGRTGDALSFSFIRDQLKTGLELSWVQILPNNFCYTQYQSLYCFIVAAKNFGMFLMARMTLGIFVLLGFCSYKLYWKKFPKHAAVEEFLHACKILMRRKYSDIKKMTTEFKNKQSQKGYWKKLLRDAVQKFFDACKNLRRRRYSYSDIKKMTIELKIKLSEKGYWKKLLNDAVEDVKKMVFDFKCKLRQGGYCKKFIKDDAVEEFLDAYKNLMPIRYSYSDIKKMTKDFKDKLGQGGFGSVFKGELSNGHLVAVKMLSGSKGKGQDFINEVATIGRIHHFNVVQLIGFCSQGSKRALIYDFMPNGSLDNYIFPERGKNLLLGWDSMHEIALGVARAIEYLHQGCDMQILHFDIKPHNILLDENFTSKISDFGLARFYPRDNNTISLTAVRGTMGYIAPEMFYRSIGGVSYKADVYSFGMLLLEMAGRRKNLNAQAEHSSQIYFPSWIYDQLEKGLSVEIEDGSEYDKKIAKKMVMVALCCIQLMPIDRPSMSKVVEMLEGDVELLQMPPKPFFCPQEMPMEDAPDDTDVAEVSTMSHSATETSSIV >ONI05039 pep chromosome:Prunus_persica_NCBIv2:G6:30035254:30038079:-1 gene:PRUPE_6G352800 transcript:ONI05039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPAKSIWFTSCAIAICFVICHHDIANGEKHCPPVSCGDLRDIRYPFRLKTASDLNCLNHPAELSCQQNRTTLNLYNGKYYVMEIDYDKFTIRVMDPGLLNDASCPFRPLYSLTTRNFSEYDYSASLYSASDMFYVSFFDCLLPVESPDYVQINCNSSTTNSSSTTSYSYIMLGDGVDNLKSSCSLTKVIPTKLGRTGDALSFSFIRDQLKTGLELSWVQILPNNFCYTQYQSLYCFSENIKRGFIVAAKNFGMFLMARMTLGIFVLLGFCSYKLYWKKFPKHAAVEEFLHACKILMRRKYSDIKKMTTEFKNKQSQKGYWKKLLRDAVQKFFDACKNLRRRRYSYSDIKKMTIELKIKLSEKGYWKKLLNDAVEDVKKMVFDFKCKLRQGGYCKKFIKDDAVEEFLDAYKNLMPIRYSYSDIKKMTKDFKDKLGQGGFGSVFKGELSNGHLVAVKMLSGSKGKGQDFINEVATIGRIHHFNVVQLIGFCSQGSKRALIYDFMPNGSLDNYIFPERGKNLLLGWDSMHEIALGVARAIEYLHQGCDMQILHFDIKPHNILLDENFTSKISDFGLARFYPRDNNTISLTAVRGTMGYIAPEMFYRSIGGVSYKADVYSFGMLLLEMAGRRKNLNAQAEHSSQIYFPSWIYDQLEKGLSVEIEDGSEYDKKIAKKMVMVALCCIQLMPIDRPSMSKVVEMLEGDVELLQMPPKPFFCPQEMPMEDAPDDTDVAEVSTMSHSATETSSIV >ONI02170 pep chromosome:Prunus_persica_NCBIv2:G6:18758872:18762296:-1 gene:PRUPE_6G180900 transcript:ONI02170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGLKSIEDAHEESVWAVTWVPSTETRPALLLTGSLDETVRLWQPDELVNQGKMTGHCLGVASVAAHPSGHIAASASLDSFVRVFDVDSNNTIASLEAPPSEVWQLRFNPQGTMLAVAGGGSASVKIWDTTTWNLFATLSIPRPEGTKPTDKSSSKKFVLSVAWSPDGRRIACGSMDGTVSVFDADRGKFLHHLEGHFMPVRSLVFSPVEPRLLFTGSDDTHVHMYDAEGKTLVGAMSGHASWVLSVDVSTDGAALATGSSDRTVRLWDINMRGAVQTMSNHTDQVWGVAFRPGGMPGRLASVSDDKSISLYDYS >ONI02166 pep chromosome:Prunus_persica_NCBIv2:G6:18758872:18762295:-1 gene:PRUPE_6G180900 transcript:ONI02166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGLKSIEDAHEESVWAVTWVPSTETRPALLLTGSLDETVRLWQPDELVNQGKMTGHCLGVASVAAHPSGHIAASASLDSFVRVFDVDSNNTIASLEAPPSEVWQLRFNPQGTMLAVAGGGSASVKIWDTTTWNLFATLSIPRPEGTKPTDKSSSKKFVLSVAWSPDGRRIACGSMDGTVSVFDADRGKFLHHLEGHFMPVRSLVFSPVEPRLLFTGSDDTHVHMYDAEGKTLVGAMSGHASWVLSVDVSTDGAALATGSSDRTVRLWDINMRGAVQTMSNHTDQVWGVAFRPGGMPGRLASVSDDKSISLYDYS >ONI02167 pep chromosome:Prunus_persica_NCBIv2:G6:18758880:18761162:-1 gene:PRUPE_6G180900 transcript:ONI02167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGLKSIEDAHEESVWAVTWVPSTETRPALLLTGSLDETVRLWQPDELVNQGKMTGHCLGVASVAAHPSGHIAASASLDSFVRVFDVDSNNTIASLEAPPSEVWQLRFNPQGTMLAVAGGGSASVKIWDTTTWNLFATLSIPRPEGTKPTDKSSSKKFVLSVAWSPDGRRIACGSMDGTVSVFDADRGKFLHHLEGHFMPVRSLVFSPVEPRLLFTGSDDTHVHMYDAEGKTLVGAMSGHASWVLSVDVSTDGAALATGSSDRTVRLWDINMRGAVQTMSNHTDQVWGVAFRPGGMPGRLASVSDDKSISLYDYS >ONI02162 pep chromosome:Prunus_persica_NCBIv2:G6:18758915:18767755:-1 gene:PRUPE_6G180900 transcript:ONI02162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGLKSIEDAHEESVWAVTWVPSTETRPALLLTGSLDETVRLWQPDELVNQGKMTGHCLGVASVAAHPSGHIAASASLDSFVRVFDVDSNNTIASLEAPPSEVWQLRFNPQGTMLAVAGGGSASVKIWDTTTWNLFATLSIPRPEGTKPTDKSSSKKFVLSVAWSPDGRRIACGSMDGTVSVFDADRGKFLHHLEGHFMPVRSLVFSPVEPRLLFTGSDDTHVHMYDAEGKTLVGAMSGHASWVLSVDVSTDGAALATGSSDRTVRLWDINMRGAVQTMSNHTDQVWGVAFRPGGMPGRLASVSDDKSISLYDYS >ONI02165 pep chromosome:Prunus_persica_NCBIv2:G6:18758890:18761634:-1 gene:PRUPE_6G180900 transcript:ONI02165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGLKSIEDAHEESVWAVTWVPSTETRPALLLTGSLDETVRLWQPDELVNQGKMTGHCLGVASVAAHPSGHIAASASLDSFVRVFDVDSNNTIASLEAPPSEVWQLRFNPQGTMLAVAGGGSASVKIWDTTTWNLFATLSIPRPEGTKPTDKSSSKKFVLSVAWSPDGRRIACGSMDGTVSVFDADRGKFLHHLEGHFMPVRSLVFSPVEPRLLFTGSDDTHVHMYDAEGKTLVGAMSGHASWVLSVDVSTDGAALATGSSDRTVRLWDINMRGAVQTMSNHTDQVWGVAFRPGGMPGRLASVSDDKSISLYDYS >ONI02164 pep chromosome:Prunus_persica_NCBIv2:G6:18758915:18762265:-1 gene:PRUPE_6G180900 transcript:ONI02164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGLKSIEDAHEESVWAVTWVPSTETRPALLLTGSLDETVRLWQPDELVNQGKMTGHCLGVASVAAHPSGHIAASASLDSFVRVFDVDSNNTIASLEAPPSEVWQLRFNPQGTMLAVAGGGSASVKIWDTTTWNLFATLSIPRPEGTKPTDKSSSKKFVLSVAWSPDGRRIACGSMDGTVSVFDADRGKFLHHLEGHFMPVRSLVFSPVEPRLLFTGSDDTHVHMYDAEGKTLVGAMSGHASWVLSVDVSTDGAALATGSSDRTVRLWDINMRGAVQTMSNHTDQVWGVAFRPGGMPGRLASVSDDKSISLYDYS >ONI02168 pep chromosome:Prunus_persica_NCBIv2:G6:18759434:18760932:-1 gene:PRUPE_6G180900 transcript:ONI02168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGLKSIEDAHEESVWAVTWVPSTETRPALLLTGSLDETVRLWQPDELVNQGKMTGHCLGVASVAAHPSGHIAASASLDSFVRVFDVDSNNTIASLEAPPSEVWQLRFNPQGTMLAVAGGGSASVKIWDTTTWNLFATLSIPRPEGTKPTDKSSSKKFVLSVAWSPDGRRIACGSMDGTVSVFDADRGKFLHHLEGHFMPVRSLVFSPVEPRLLFTGSDDTHVHMYDAEGKTLVGAMSGHASWVLSVDVSTDGAALATGSSDRTVRLWDINMRGAVQTMSNHTDQVWGVAFRPGGMPGRLASVSDDKSISLYDYS >ONI02163 pep chromosome:Prunus_persica_NCBIv2:G6:18758872:18762280:-1 gene:PRUPE_6G180900 transcript:ONI02163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGLKSIEDAHEESVWAVTWVPSTETRPALLLTGSLDETVRLWQPDELVNQGKMTGHCLGVASVAAHPSGHIAASASLDSFVRVFDVDSNNTIASLEAPPSEVWQLRFNPQGTMLAVAGGGSASVKIWDTTTWNLFATLSIPRPEGTKPTDKSSSKKFVLSVAWSPDGRRIACGSMDGTVSVFDADRGKFLHHLEGHFMPVRSLVFSPVEPRLLFTGSDDTHVHMYDAEGKTLVGAMSGHASWVLSVDVSTDGAALATGSSDRTVRLWDINMRGAVQTMSNHTDQVWGVAFRPGGMPGRLASVSDDKSISLYDYS >ONI02169 pep chromosome:Prunus_persica_NCBIv2:G6:18758890:18762291:-1 gene:PRUPE_6G180900 transcript:ONI02169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGLKSIEDAHEESVWAVTWVPSTETRPALLLTGSLDETVRLWQPDELVNQGKMTGHCLGVASVAAHPSGHIAASASLDSFVRVFDVDSNNTIASLEAPPSEVWQLRFNPQGTMLAVAGGGSASVKIWDTTTWNLFATLSIPRPEGTKPTDKSSSKKFVLSVAWSPDGRRIACGSMDGTVSVFDADRGKFLHHLEGHFMPVRSLVFSPVEPRLLFTGSDDTHVHMYDAEGKTLVGAMSGHASWVLSVDVSTDGAALATGSSDRTVRLWDINMRGAVQTMSNHTDQVWGVAFRPGGMPGRLASVSDDKSISLYDYS >ONI03821 pep chromosome:Prunus_persica_NCBIv2:G6:26440639:26441658:-1 gene:PRUPE_6G284700 transcript:ONI03821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPTPPPILPVSTTSTTTAGSVQSQAPIATPAFRAFITHISENLRNGLSQRRPWTELFDRSAFAKPESLSEATGRVRKNYSYFRVNYLATIALIVAVSLFTHPFSLLVLLGLLAGWLFLYLFRPSDQPLVIFGRTFSDTQTLWGLIAFSIFVVFLTSVGSLLISALLVGAAVVFAHGAFRVPEDLFLDEQEPTASTGFLSFLNGAASNVAAATAPAVIAARG >ONI02890 pep chromosome:Prunus_persica_NCBIv2:G6:23098499:23101206:1 gene:PRUPE_6G226800 transcript:ONI02890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGIFFSTTSLSLIFIIIFIFQFFLKTKRRRYTNLPPNPFSLPILGHLHLLKAPVHRTFHRLSQKHGPIFSLWFGSQRVVIVSSPSAVQECFTRNDIVLANRPSLLLFKHIGYNSTTISTSPYGDHWRNLRRIGAIEIFSSARLNTFANTRKDEVRHLICKLAQNSVHEFAKVELKSMFTELTFNIIMTMVAGKRYYGDDVSVDKEEAKQFRQIMKEVFAHSGAVNPADFLPILNWIGSNAYEKRVMKLAKKTDSFLQGLIDEQRSKGKNGTMIDHLLSLQDSQPDYYTDQLIKGFILVLLLAGTDTSSVTLEWALSHLLNNPHVLRKARAELDAQLDQEHLVDEQNISKLPYLQGIISETLRLCPAAPMLVPHFASDDCTIGGFDVPCGTMVLVNAWAIHRDPQLWDDPEMFKPERFKSGEDLSHKLMPFGMGRRACPGAGLAQRVVGLTLGTLIQCFEWKRVGEEEIDMTEGRGLTMPKFVPLEAMCKTRSVVNKLLP >ONH99661 pep chromosome:Prunus_persica_NCBIv2:G6:3085883:3094694:1 gene:PRUPE_6G041900 transcript:ONH99661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCTFSSDNIVITPPNDIRSYRLIELENGLTALLVHDPEIYPEGPPEQAEEELEEDEGGKDGEAEEHREGEVEENGVGDEDDEQPEGGDGELRRKRKGKGGASQTKRKRKGDASQTKKAAAAMSIGIGSFSDPIEAQGLAHFLEHMLFMGSTEFPDENEYDSYLSKHGGSSNAYTEAEHTCYHFEVKQEFLKGALRRFSQFFVSPLMKIEAMEREVQAVDSEFNQVLQNESCRLEQFHCHTAAPGHPFNKFFWGNKKSLVDAMENGINLRERILKLYRDYYHGGLMKLVVIGGESLDILEDWVVELFTNVKKGPQEKLQFKAEGPIWKAGKLYRLEPVRDFHMLYLTWTLPCLHQEYLKKPEDYLAHLLGHEGRGSLHFYLKARGWATYLAAGAGGGCIYQTSVAYVFNMTIHLTDSGLEKMFDVIGIVYQYIKLLHQVSPQEWIFRELQDIGNMEFKFSEEPAQDSYASGLAGSLLFYPAKYIIYGGYAYESWDEELIKHVLGFFTPDNMRVDLVSKSSIKSEDFQCEPWFGSKYTEEDISPSLMDLWKDPLEIDVSLHLPSKNEFIPFDFSIRSDNSCHDPANISSPRCIIDEPLMKLWYKLDTTFKLPRVNTYFRISLKGGCANLRNSILMELYGRLLRDELNEIIYQALLANLEAYVGPVGEKLEIKVSGFNDKLPALLSKILATVKNFLPTDDRLKVIKENMKRRIKNTNMNPLVHTSYLRLQVLWQTFYDPDDKLHVLDELSISDLNSFIPELWSQVFIEGLCHGNMLEEEAISLSNIFKMNFSGQPLPTELWHRERVLCLPPGANLVRDASVKNKSETNSVTELHFQIKQDVLTTMNGVIDLLIAIIWEPLFNQLRTKEQLGYIVECGPRITYRVFGFIFYVQSSEYSPVYLQERIDNFIDGLEELLEGIDDDLFENYRSGLMAGLLEKDLSLTCETDRYWCEIVGKRYMFDFAAEEAEELKTIHKEDVINWYRTYLQQSSPKCRRLATRVWGCNTDPKEAEARSESMKVIEDPATFKMSSTFYPSLC >ONH99662 pep chromosome:Prunus_persica_NCBIv2:G6:3086480:3094061:1 gene:PRUPE_6G041900 transcript:ONH99662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCTFSSDNIVITPPNDIRSYRLIELENGLTALLVHDPEIYPEGPPEQAEEELEEDEGGKDGEAEEHREGEVEENGVGDEDDEQPEGGDGELRRKRKGKGGASQTKRKRKGDASQTKKAAAAMSIGIGSFSDPIEAQGLAHFLEHMLFMGSTEFPDENEYDSYLSKHGGSSNAYTEAEHTCYHFEVKQEFLKGALRRFSQFFVSPLMKIEAMEREVQAVDSEFNQVLQNESCRLEQFHCHTAAPGHPFNKFFWGNKKSLVDAMENGINLRERILKLYRDYYHGGLMKLVVIGGESLDILEDWVVELFTNVKKGPQEKLQFKAEGPIWKAGKLYRLEPVRDFHMLYLTWTLPCLHQEYLKKPEDYLAHLLGHEGRGSLHFYLKARGWATYLAAGAGGGCIYQTSVAYVFNMTIHLTDSGLEKMFDVIGIVYQYIKLLHQVSPQEWIFRELQDIGNMEFKFSEEPAQDSYASGLAGSLLFYPAKYIIYGGYAYESWDEELIKHVLGFFTPDNMRVDLVSKSSIKSEDFQCEPWFGSKYTEEDISPSLMDLWKDPLEIDVSLHLPSKNEFIPFDFSIRSDNSCHDPANISSPRCIIDEPLMKLWYKLDTTFKLPRVNTYFRISLKGGCANLRNSILMELYGRLLRDELNEIIYQALLANLEAYVGPVGEKLEIKVSGFNDKLPALLSKILATVKNFLPTDDRLKVIKENMKRRIKNTNMNPLVHTSYLRLQVLWQTFYDPDDKLHVLDELSISDLNSFIPELWSQVFIEGLCHGNMLEEEAISLSNIFKMNFSGQPLPTELWHRERVLCLPPGANLVRDASVKNKSETNSVTELHFQIKQDVLTTMNGVIDLLIAIIWEPLFNQLRTKEQLGYIVECGPRITYRVFGFIFYVQSSEYSPVYLQERIDNFIDGLEELLVSSQLLDAASS >ONI01597 pep chromosome:Prunus_persica_NCBIv2:G6:12513682:12517557:-1 gene:PRUPE_6G148500 transcript:ONI01597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETNKSEAHLTSAAAFVEGGIQEACDDACSICLEAFCDSDPSTLTGCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEGVERERSIRSNPPRNTTIFHHPTLGDFELQHLPVGVNDAELEERIIQHLAAAAAMGRARHIARREGQRNRSSTQGRPQFLVFSTHPNAHPAASSSPDQMGEGEPAPSISVPFPSPPLNTGEESYQVTTPVPSSQSGPVSASAAGTSVPAPNQHGSSISNSGSPSQSSPSTQDRAGPSDLQSFSESLKTRFNAVSMRYKESISKSTRGWKERLFSRNAAMADLGSEVKREVNASFATVSRMMERLETRDNSRINDPCVSNSLDSSVPQSDNQQISENGGENSLNDHNKPAVCAAGSSSN >ONI01596 pep chromosome:Prunus_persica_NCBIv2:G6:12512660:12517557:-1 gene:PRUPE_6G148500 transcript:ONI01596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPGMEETNKSEAHLTSAAAFVEGGIQEACDDACSICLEAFCDSDPSTLTGCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEGVERERSIRSNPPRNTTIFHHPTLGDFELQHLPVGVNDAELEERIIQHLAAAAAMGRARHIARREGQRNRSSTQGRPQFLVFSTHPNAHPAASSSPDQMGEGEPAPSISVPFPSPPLNTGEESYQVTTPVPSSQSGPVSASAAGTSVPAPNQHGSSISNSGSPSQSSPSTQDRAGPSDLQSFSESLKTRFNAVSMRYKESISKSTRGWKERLFSRNAAMADLGSEVKREVNASFATVSRMMERLETRDNSRINDPCVSNSLDSSVPQSDNQQISENGGENSLNDHNKPAVCAAGSSSN >ONI01595 pep chromosome:Prunus_persica_NCBIv2:G6:12513621:12517588:-1 gene:PRUPE_6G148500 transcript:ONI01595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPGMEETNKSEAHLTSAAAFVEGGIQEACDDACSICLEAFCDSDPSTLTGCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEGVERERSIRSNPPRNTTIFHHPTLGDFELQHLPVGVNDAELEERIIQHLAAAAAMGRARHIARREGQRNRSSTQGRPQFLVFSTHPNAHPAASSSPDQMGEGEPAPSISVPFPSPPLNTGEESYQVTTPVPSSQSGPVSASAAGTSVPAPNQHGSSISNSGSPSQSSPSTQDRAGPSDLQSFSESLKTRFNAVSMRYKESISKSTRGWKERLFSRNAAMADLGSEVKREVNASFATVSRMMERLETRDNSRINDPCVSNSLDSSVPQSDNQQISENGGENSLNDHNKPAVCAAGSSSN >ONI01631 pep chromosome:Prunus_persica_NCBIv2:G6:12861760:12863927:1 gene:PRUPE_6G149700 transcript:ONI01631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSSPTAITCSKLHDNSSLQFNTSNTYKRSPNAVSVKCASTEPPAAGAGSAGRKSKLEIGSPIIVVEAPRMIKTAASVPCLRVNSGLVKPGDVGRIVSRKPMDVWAVRLSIGTYLIDGKYFKPLELELDS >ONI01630 pep chromosome:Prunus_persica_NCBIv2:G6:12861760:12863927:1 gene:PRUPE_6G149700 transcript:ONI01630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSSPTAITCSKLHDNSSLQFNTSNTYKRSPNAVSVKCASTEPPAAGAGSAGRKSKLEIGSPIIVVEAPRMIKTAASVPCLRVNSGLVKPGDVGRIVSRKPMDVWAVRLSIGTYLIDGKYFKPLELELDS >ONH99334 pep chromosome:Prunus_persica_NCBIv2:G6:2020454:2025425:1 gene:PRUPE_6G025300 transcript:ONH99334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKDRQSATSSPSPKVEVGEIDTRAPFQSVKDAVTLFGEGAFSGEKPAIKKTKPHSAERVLANETQLHLAQKELNKLKEQLKNAETTKTQALVELEKAKETLEDLTNKVTSLSESKESAIKATEAAKSQAKQLEEANSGNLAGTDGAWKQDLETARAQYVNVITELNAAKQELQKIRQDCDASLQAKVTAFKQAAEAEDAAKENAERVTELSKEISAVQESIGQVKLASLEAQQEQAKIFAEKDVLRQSYKATLEESTKKLLSLRKEFDPELSRNLEAQLSETLNEVGALQKQMENAKASDLDSVRIVTLELDDAKESLHKVAEEESSLRSLVEALKLELENVKKEHAELKEKEAETESLAGNLHVKLRKTKSELEACLAEESQARGASNEMIATLNQLSLETENARREAEEMKIKAEELRKDAETTKIAVKEAEKKLRLALEEAEEAKAAEERALEQIRVLSERTNAARASTSESGAKITISKEEFESLSRKVEESDTLAEMKVAAAMAQVEAVKASENEALKRLEATQKEIEDMKAATEEAKKRAEMAEAAKKAVEGELRRWREREQKKAAEAASRILAETEMSVESSPRHYRIQKQNPQMKIIEARKLDKEKTSVSKKTLLPNLSGMFNRKKSQVDGGSPSYLPGENPL >ONH99335 pep chromosome:Prunus_persica_NCBIv2:G6:2020505:2025425:1 gene:PRUPE_6G025300 transcript:ONH99335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKDRQSATSSPSPKVEVGEIDTRAPFQSVKDAVTLFGEGAFSGEKPAIKKTKPHSAERVLANETQLHLAQKELNKLKEQLKNAETTKTQALVELEKAKETLEDLTNKVTSLSESKESAIKATEAAKSQAKQLEEANSGNLAGTDGAWKQDLETARAQYVNVITELNAAKQELQKIRQDCDASLQAKVTAFKQAAEAEDAAKENAERVTELSKEISAVQESIGQVKLASLEAQQEQAKIFAEKDVLRQSYKATLEESTKKLLSLRKEFDPELSRNLEAQLSETLNEVGALQKQMENAKASDLDSVRIVTLELDDAKESLHKVAEEESSLRSLVEALKLELENVKKEHAELKEKEAETESLAGNLHVKLRKTKSELEACLAEESQARGASNEMIATLNQLSLETENARREAEEMKIKAEELRKDAETTKIAVKEAEKKLRLALEEAEEAKAAEERALEQIRVLSERTNAARASTSESGAKITISKEEFESLSRKVEESDTLAEMKVAAAMAQVEAVKASENEALKRLEATQKEIEDMKAATEEAKKRAEMAEAAKKAVEGELRRWREREQKKAAEAASRILAETEMSVESSPRHYRIQKQNPQMKIIEARKLDKEKTSVSKKTLLPNLSGMFNRKKSQVDGGSPSYLPGENPL >ONI04331 pep chromosome:Prunus_persica_NCBIv2:G6:28156079:28159450:-1 gene:PRUPE_6G316200 transcript:ONI04331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRTLLYRKYRDALKTVRAPTSSSASTSTSSGGGPVIELVSTSLLHPNRSYAPLSTEDPSNSSKGALTVGLPPAWVDLSEEIAVNVQRARVKMAELNKAHAKALMPSFGDGKEDQRLIEGLTQEITSLIRKSEKRLQRLSAAGPSEDSNVRKNVQRSLATDLQNLSMELRKKQSTYLKRLRQQAEGQDGDDLEMNLNENRSRMDGDDLDDMMFNERQMAKLKKSEAFTAEREREIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVASTVEDGLKQLQKAEKTQKQGGMVMCATVLVIMCFIMLVLLILKEIFL >ONI00728 pep chromosome:Prunus_persica_NCBIv2:G6:7202997:7209223:-1 gene:PRUPE_6G103100 transcript:ONI00728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLKFSILLLLLGLGCYSWGSHNSSTAVNASPIKNDFEHCERVVKKWAFSSLQQEVKEDKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYYSSLECPRSYDKLRFDPRKQQCRLLVTHDDYSMMSKLPRERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATQMTRRIRAKTKGVSTLDIWPWKYLVPWMREDLFTRRDGRKLRGSNNFQSNDPYNMEEIVMPLHKFINHPIAQEVVHNGATFQVAGLTNNSYLSEAHEVRHCVQKYKLLGDHVLQVAKKRLDDMLYVGLTEEHRESATMFANVVGAQVISQLGVSNASEESAADSKSEQNYSDSDSKPDNNDLWNRTPNEKESEFASPETVEPAKGNMTVEELMEAYEDCISNLRKSQTLRHNASLKRISPANFTKEARLQVPEAVLQQIRQLNHLDLELYKHAQNIFAKQHKRNFGITEIWRRMLKSSYGTGIKVLSLGTTLVLLLLAFHVFVNAKRRTSKVKV >ONI00727 pep chromosome:Prunus_persica_NCBIv2:G6:7202802:7209364:-1 gene:PRUPE_6G103100 transcript:ONI00727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLKFSILLLLLGLGCYSWGSHNSSTAVNASPIKNDFEHCERVVKKWAFSSLQQEVKEDKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYYSSLECPRSYDKLRFDPRKQQCRLLVTHDDYSMMSKLPRERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATQMTRRIRAKTKGVSTLDIWPWKYLVPWMREDLFTRRDGRKLRGSNNFQSNDPYNMEEIVMPLHKFINHPIAQEVVHNGATFQVAGLTNNSYLSEAHEVRHCVQKYKLLGDHVLQVAKKRLDDMLYVGLTEEHRESATMFANVVGAQVISQLGVSNASEESAADSKSEQNYSDSDSKPDNNDLWNRTPNEKESEFASPETVEPAKGNMTVEELMEAYEDCISNLRKSQTLRHNASLKRISPANFTKEARLQVPEAVLQQIRQLNHLDLELYKHAQNIFAKQHKRNFGITEIWRRMLKSSYGTGIKVLSLGTTLVLLLLAFHVFVNAKRRTSKVKV >ONI00729 pep chromosome:Prunus_persica_NCBIv2:G6:7202997:7207429:-1 gene:PRUPE_6G103100 transcript:ONI00729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKLPRERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATQMTRRIRAKTKGVSTLDIWPWKYLVPWMREDLFTRRDGRKLRGSNNFQSNDPYNMEEIVMPLHKFINHPIAQEVVHNGATFQVAGLTNNSYLSEAHEVRHCVQKYKLLGDHVLQVAKKRLDDMLYVGLTEEHRESATMFANVVGAQVISQLGVSNASEESAADSKSEQNYSDSDSKPDNNDLWNRTPNEKESEFASPETVEPAKGNMTVEELMEAYEDCISNLRKSQTLRHNASLKRISPANFTKEARLQVPEAVLQQIRQLNHLDLELYKHAQNIFAKQHKRNFGITEIWRRMLKSSYGTGIKVLSLGTTLVLLLLAFHVFVNAKRRTSKVKV >ONH99937 pep chromosome:Prunus_persica_NCBIv2:G6:4089407:4092157:1 gene:PRUPE_6G058600 transcript:ONH99937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARWAEIEKESKPNKTRVEIRSSSKQCRRILAKLRVKFNPGDPEREGRSTKFCCTQAMNPLTLVKRIQNINSREAQLGISEEASWHAKYKDSAYVYVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFSFIAYEDQRSTVLAVDNLNGAQILGRTIRVDHVTKYKKKEEEDEEEEQRKREERGVCRAFQRGECTRGAGCRFSHNEQRAANTGWGDQDSKWGRDRYDGPKQGEKRSNTTPSNRVPEAEVQEDLHSRGKGYGRVFESHSKQSDGREEKRLGRHEDDERFELRSRDHGRGEEKRPRRRSEDDELGQNSREDYGRREKQRLGRQNGHELQPKSREDHDRREDKRPRRQGGDVEFEPKLKSRDDHDQREDDRREDRRTRRRSDDGEFEPKSREDRDRRVDDSREDKRLRRHAGDDEFDPKSKDHDRTEEKRRYADDEYQPKSREDKWEEQRSRRRNVDEFELKSRAGEDKRKGERLRR >ONH99938 pep chromosome:Prunus_persica_NCBIv2:G6:4089407:4091846:1 gene:PRUPE_6G058600 transcript:ONH99938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARWAEIEKESKPNKTRVEIRSSSKQCRRILAKLRVKFNPGDPEREGRSTKFCCTQAMNPLTLVKRIQNINSREAQLGISEEASWHAKYKDSAYVYVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFSFIAYEDQRSTVLAVDNLNGAQILGRTIRVDHVTKYKKKEEEDEEEEQRKREERGVCRAFQRGECTRGAGCRFSHNEQRAANTGWGDQDSKWGRDRYDGPKQGEKRSNTTPSNRVPEAEVQEDLHSRGKGYGRVFESHSKQSDGREEKRLGRHEDDERFELRSRDHGRGEEKRPRRRSEDDELGQNSREDYGRREKQRLGRQNGHELQPKSREDHDRREDKRPRRQGGDVEFEPKLKSRDDHDQREDDRREDRRTRRRSDDGEFEPKSREDRDRRVDDSREDKRLRRHAGDDEFDPKSKDHDRTEEKRRYADDEYQPKSREDKWEEQRSRRRNVDEFELKSRAGEDKRKGERLRRYEDDEFQPKSREVRRRE >ONI03864 pep chromosome:Prunus_persica_NCBIv2:G6:26546506:26547904:1 gene:PRUPE_6G286800 transcript:ONI03864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASEKSNSPAKPVRRRASRRASQLCDINAGEIRRQIFQALQLHRASSSSSSSSSSSSSSSSSASSSHVVVGSQFNSLVESMPLPGPVWSTTAPSVLADAPSTAAATMEALEFEWGENQASSYSWWLSFLNTLDSNNAEKSKYPLSLGSSNWLFGQNQNSCTALDQEPSLVDANDQSPSPDEWLMLPTTEDEGEIEIP >ONH99297 pep chromosome:Prunus_persica_NCBIv2:G6:1895672:1901038:1 gene:PRUPE_6G023700 transcript:ONH99297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQVLMALALSLVGGLSTSIGALFVVLNEAPNLKILGLLQGFAAGLMLSISFLDLAHNAINSIGFLKGNLWFFGGVIFFGIIANFIPEPTLAPSSDVRGKKKSGDEGGKDTLKKHRRQVLYSGIITAIGISLHNFPEGMAVFLGSMKGLRVGVNLALAIALHNIPEGVAVALPVYFATQSWWSNGFSNPA >ONH99296 pep chromosome:Prunus_persica_NCBIv2:G6:1895456:1901037:1 gene:PRUPE_6G023700 transcript:ONH99296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQVLMALALSLVGGLSTSIGALFVVLNEAPNLKILGLLQGFAAGLMLSISFLDLAHNAINSIGFLKGNLWFFGGVIFFGIIANFIPEPTLAPSSDVRGKKKSGDEGGKDTLKKHRRQVLYSGIITAIGISLHNFPEGMAVFLGSMKGLRVGVNLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVIIVAYLFPSSLSPDILEGLLGAVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFLGMAFMSASLYFLEISLPQEMSL >ONH99298 pep chromosome:Prunus_persica_NCBIv2:G6:1895907:1900052:1 gene:PRUPE_6G023700 transcript:ONH99298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQVLMALALSLVGGLSTSIGALFVVLNEAPNLKILGLLQGFAAGLMLSISFLDLAHNAINSIGFLKGNLWFFGGVIFFGIIANFIPEPTLAPSSDVRGKKKSGDEGGKDTLKKHRRQVLYSGIITAIGISLHNFPEGMAVFLGSMKGLRVGVNLALAIALHNIPEGVAVALPVYFATQSLSISKQLES >ONI03073 pep chromosome:Prunus_persica_NCBIv2:G6:23726922:23729654:-1 gene:PRUPE_6G236600 transcript:ONI03073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGSKKILVGLVVLMLFGVAVYLKLWTIDYTVSSDEAELLRRQFDLANREAMDESAEWRLKYDVEAERATKCMNELKQIKGSFEEDDGNAASLNQKLVNLQKENMALVERMEAMKQELEAEKLKCSLQ >ONI03074 pep chromosome:Prunus_persica_NCBIv2:G6:23726931:23729654:-1 gene:PRUPE_6G236600 transcript:ONI03074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGSKKILVGLVVLMLFGVAVYLKLWTIDYTVSSDEAELLRRQFDLANREAMDESAEWRLKYDVEAERATKCMNELKQIKGSFEEDDGNAASLNQKLVNLQKFL >ONI03072 pep chromosome:Prunus_persica_NCBIv2:G6:23726930:23729654:-1 gene:PRUPE_6G236600 transcript:ONI03072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGSKKILVGLVVLMLFGVAVYLKLWTIDYTVSSDEAELLRRQFDLANREAMDESAEWRLKYDVEAERATKCMNELKQENMALVERMEAMKQELEAEKLKCSLQ >ONH99833 pep chromosome:Prunus_persica_NCBIv2:G6:3693311:3697958:-1 gene:PRUPE_6G052500 transcript:ONH99833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIYCHLDMRGLKLLDRFTSTQVHALNPTDTSNGKTHVGVSRAKLNSHLIKSFGSNSKPKSFNSLSVTEALLLPYGLPATDLLEPSIEPHLKPTEFVEILADLYHRLENCSSQSDKSLLSIEQYSLLRNLGDPKLLRRCLRAARQNAVDVNSKVVLSAWLRFERREDELVGMSAMACSGQVLECPKVALVSGFDPNLVSGHCQCDHDPSKAVNMLIFEGNECVSLEEEEEESDVSFCIGNVEINCARCKIASLSSPFEAMLYGCFKESKKGKIDFSENGISVKGMRAVEMYSRTRRLDLFSPEIVVELLSFANRFCCEEMKSACDAYLASLVDNIDDALVLIEYGLEEMAYLLVAACLQVLLRGLPSSLYNPKVMKFLCSSKVGERLAMAGHGFLLYYFLSHVAMEESMVSKTTVMLLERLEECTTERWQKTLVLHQLGCVLLERREFKDAQFRFLAAAKAGHVYSVAGVARTKYKQGQQYSAYTLMSSIISEYKPAGWMYQERALYNIGKEKILDLSTATELDPTLLFPYKYRAVAKAEEKQIRAAILEIDRTVRFKLSPDCIELRAWFFIALEDYESALRDIRVLLTLEPNYMMFHGKVSGDYLVELLSHRVKQLSQADCWMHLYDQWSSVDDIGSLAIIHQMLGHNPGKSLIQFRQSLLLLRLNCQKAAMRSLRLARNNSGSEHERLVYEGWILYDTGNREEALSKAEKSIHIQRSFEAFFLKAYALADTSLDSESSSYVIQLLEEALKCPSDGLRKGQALNNLGSIYVDCGKLDKAVDCYMSALDIKHTRAHQGLARVYHLKNQRKAAYDEMTKLIEKAQNNASAYEKRSEYCDPEMAKTDLNMATQLDPLRTYPYRYRAAVLMDEQKESEAVEELTKAIAFKPDLQILHLRAAFHESIGDVSSALQDCQAALCMDPNHTDTLDLYNRARD >ONH99834 pep chromosome:Prunus_persica_NCBIv2:G6:3693315:3697958:-1 gene:PRUPE_6G052500 transcript:ONH99834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLKLLDRFTSTQVHALNPTDTSNGKTHVGVSRAKLNSHLIKSFGSNSKPKSFNSLSVTEALLLPYGLPATDLLEPSIEPHLKPTEFVEILADLYHRLENCSSQSDKSLLSIEQYSLLRNLGDPKLLRRCLRAARQNAVDVNSKVVLSAWLRFERREDELVGMSAMACSGQVLECPKVALVSGFDPNLVSGHCQCDHDPSKAVNMLIFEGNECVSLEEEEEESDVSFCIGNVEINCARCKIASLSSPFEAMLYGCFKESKKGKIDFSENGISVKGMRAVEMYSRTRRLDLFSPEIVVELLSFANRFCCEEMKSACDAYLASLVDNIDDALVLIEYGLEEMAYLLVAACLQVLLRGLPSSLYNPKVMKFLCSSKVGERLAMAGHGFLLYYFLSHVAMEESMVSKTTVMLLERLEECTTERWQKTLVLHQLGCVLLERREFKDAQFRFLAAAKAGHVYSVAGVARTKYKQGQQYSAYTLMSSIISEYKPAGWMYQERALYNIGKEKILDLSTATELDPTLLFPYKYRAVAKAEEKQIRAAILEIDRTVRFKLSPDCIELRAWFFIALEDYESALRDIRVLLTLEPNYMMFHGKVSGDYLVELLSHRVKQLSQADCWMHLYDQWSSVDDIGSLAIIHQMLGHNPGKSLIQFRQSLLLLRLNCQKAAMRSLRLARNNSGSEHERLVYEGWILYDTGNREEALSKAEKSIHIQRSFEAFFLKAYALADTSLDSESSSYVIQLLEEALKCPSDGLRKGQALNNLGSIYVDCGKLDKAVDCYMSALDIKHTRAHQGLARVYHLKNQRKAAYDEMTKLIEKAQNNASAYEKRSEYCDPEMAKTDLNMATQLDPLRTYPYRYRAAVLMDEQKESEAVEELTKAIAFKPDLQILHLRAAFHESIGDVSSALQDCQAALCMDPNHTDTLDLYNRARD >ONI03891 pep chromosome:Prunus_persica_NCBIv2:G6:26704759:26705264:1 gene:PRUPE_6G288900 transcript:ONI03891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRMSDLFVIGVGILVCLLLQCEAYYTVGGAEGWRADPSITDWPKDMSFKAGDVLFFKYSSEDLGVVALTNSDAYKNCNIILDLTRTFHGSGNDLVVLQQGTIYFVAITKAYCIKGAKMMIDVK >ONI01079 pep chromosome:Prunus_persica_NCBIv2:G6:8932724:8935841:-1 gene:PRUPE_6G120400 transcript:ONI01079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELLMILISSVLVCVPLYYYIFKIRVNGTKNERLDQHKLPPGSTGWPIIGETLEYLRTGKKGVPEKFIADRRNKYKNSSSSSSANCKVFKTSLLFEDMAVLCTAAGNKFLFSNEKKLVKSWWPPHLDKLIFADSAPDEKAKAFITEESTRFRNTMAPYLKPAGLQKYVGTMDTFTKKHLDMYWEDGKDDDQVIKAHPLAKKYAFTMACRLMLDTEDQQVFTKLENLMKDLSSGFTSLPIDLPGTQFNRAIRGSRQLREEIKNIVTQRRIHLSTRKVDEDVDGLDMLSSLIMDTGSDGLGLSDSEIASKLYGVIVSGYDNFSSTLATIVMYLAELPHVYDAVLKEQRQIAESKAAGELLNWADIQKMKYSWSVACEVMRLLPPNSGTFREAISDFVYEGYLIPKGMKLNWNVHSTHKNPEYFPDPEKFDPSRFEGQGPPPYSFVPFGGGPRMCPGKEYSRLKMLVFMHNVVTRYRWEKVFPDEKFVWDPALVPTKGLPIRVFTHNKSQSN >ONH99316 pep chromosome:Prunus_persica_NCBIv2:G6:1980829:1984139:1 gene:PRUPE_6G024600 transcript:ONH99316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPGKADPNIRHGVEPGRPPSKKWFCCIHQPPAES >ONH99321 pep chromosome:Prunus_persica_NCBIv2:G6:1981593:1984139:1 gene:PRUPE_6G024600 transcript:ONH99321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGFVVISLSKTRISVRTKKLSSIWVFMSWEKQEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPGKADPNIRHGVEPGRPPSKKWFCCIHQPPAES >ONH99317 pep chromosome:Prunus_persica_NCBIv2:G6:1980870:1984012:1 gene:PRUPE_6G024600 transcript:ONH99317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPGKADPNIRHGVEPGRPPSKKWFCCIHQPPAES >ONH99318 pep chromosome:Prunus_persica_NCBIv2:G6:1980829:1984139:1 gene:PRUPE_6G024600 transcript:ONH99318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPGKADPNIRHGVEPGRPPSKKWFCCIHQPPAES >ONH99320 pep chromosome:Prunus_persica_NCBIv2:G6:1981075:1984139:1 gene:PRUPE_6G024600 transcript:ONH99320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPGKADPNIRHGVEPGRPPSKKWFCCIHQPPAES >ONH99319 pep chromosome:Prunus_persica_NCBIv2:G6:1981075:1984139:1 gene:PRUPE_6G024600 transcript:ONH99319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPGKADPNIRHGVEPGRPPSKKWFCCIHQPPAES >ONI01626 pep chromosome:Prunus_persica_NCBIv2:G6:12851985:12861578:1 gene:PRUPE_6G149600 transcript:ONI01626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLIHFSSNSSNQSDQSLPTKIAKLEARMVGKASSASSAPPAQQQLQQQHQQQPQQSAWSSLSAAPKFATADLAEPSTSSDSDDDNGGEFLIQANTQKRQKLQEDGNSTVLEHAEAVADGRQMVVESIDTKATSDVNRKKHGRGRGHSVSGRGRGSRVSDQTRLLTSTVSPPNGQLENPYNKDSRPKEQLRNDNKWSSLDEEVASLRATVSALEEDLRKSRQEASDYQNLCRQVEKELNELKDNEQQMKPKRTKVISDLLISVSKAERQEARLKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLETKEAVERQRKSLKKRQSDKGDGVDAETGVQEEFLVQDEIYKSRLASIKREEEVLLRERDRYELEKGRLIREMKRIRDEDGSRFNNFQILNHRFALLNLLGKGGFSEVYKAFDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEREARIIIFQIFQGLIYLNKRTQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGILLYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPAISNEAKDFIRRCLTYNQAERPDVLAIAQDPFLTYSKK >ONI01627 pep chromosome:Prunus_persica_NCBIv2:G6:12851985:12861578:1 gene:PRUPE_6G149600 transcript:ONI01627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLIHFSSNSSNQSDQSLPTKIAKLEARMVGKASSASSAPPAQQQLQQQHQQQPQQSAWSSLSAAPKFATADLAEPSTSSDSDDDNGGEFLIQANTQKRQKLQEDGNSTVLEHAEAVADGRQMVVESIDTKATSDVNRKKHGRGRGHSVSGRGRGSRVSDQTRLLTSTVSPPNGQLENPYNKDSRPKEQLRNDNKWSSLDEEVASLRATVSALEEDLRKSRQEASDYQNLCRQVEKRTKVISDLLISVSKAERQEARLKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLETKEAVERQRKSLKKRQSDKGDGVDAETGVQEEFLVQDEIYKSRLASIKREEEVLLRERDRYELEKGRLIREMKRIRDEDGSRFNNFQILNHRFALLNLLGKGGFSEVYKAFDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEREARIIIFQIFQGLIYLNKRTQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGILLYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPAISNEAKDFIRRCLTYNQAERPDVLAIAQDPFLTYSKK >ONI01629 pep chromosome:Prunus_persica_NCBIv2:G6:12851985:12860259:1 gene:PRUPE_6G149600 transcript:ONI01629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLIHFSSNSSNQSDQSLPTKIAKLEARMVGKASSASSAPPAQQQLQQQHQQQPQQSAWSSLSAAPKFATADLAEPSTSSDSDDDNGGEFLIQANTQKRQKLQEDGNSTVLEHAEAVADGRQMVVESIDTKATSDVNRKKHGRGRGHSVSGRGRGSRVSDQTRLLTSTVSPPNGQLENPYNKDSRPKEQLRNDNKWSSLDEEVASLRATVSALEEDLRKSRQEASDYQNLCRQVEKRTKVISDLLISVSKAERQEARLKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLETKEAVERQRKSLKKRQSDKGDGVDAETGVQEEFLVQDEIYKSRLASIKREEEVLLRERDRYELEKGRLIREMKRIRDEDGSRFNNFQILNHRFALLNLLGKGGFSEVYKAFDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEREARIIIFQIFQGLIYLNKRTQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVGDFL >ONI01628 pep chromosome:Prunus_persica_NCBIv2:G6:12852554:12860183:1 gene:PRUPE_6G149600 transcript:ONI01628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMLIHFSSNSSNQSDQSLPTKIAKLEARMVGKASSASSAPPAQQQLQQQHQQQPQQSAWSSLSAAPKFATADLAEPSTSSDSDDDNGGEFLIQANTQKRQKLQEDGNSTVLEHAEAVADGRQMVVESIDTKATSDVNRKKHGRGRGHSVSGRGRGSRVSDQTRLLTSTVSPPNGQLENPYNKDSRPKEQLRNDNKWSSLDEEVASLRATVSALEEDLRKSRQEASDYQNLCRQVEKELNELKDNEQQMKPKRTKVISDLLISVSKAERQEARLKVRQDSLRLGNVGVIRAGTVISETWEDGQALKDLNAHLRQLLETKEAVERQRKSLKKRQSDKGDGVDAETGVQEEFLVQDEIYKSRLASIKREEEVLLRERDRYELEKGRLIREMKRIRDEDGSRFNNFQILNHRFALLNLLGKGGFSEVYKAFDLVEHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEREARIIIFQIFQGLIYLNKRTQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVGDFL >ONI01601 pep chromosome:Prunus_persica_NCBIv2:G6:12601862:12608094:-1 gene:PRUPE_6G148800 transcript:ONI01601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGMNMMDPELMRLAQEQMSRMSPAELAKIQQQMMANPELMRMASEGMKNMRPEDFKQAAEQLKQTRPEEMAEIGEKMASASPEEIAAMRARVDAQASYELNAAQMLKNQGNALHSQGKFNDALQKYLLAKKNLSGLPSSKGRSLLMACSLNLMSCYLKTKQYDECINEGSEVLAYDANNVKALYRRGQAYKEMGLLEDAVSDLSKAHKVSPDDETIADVLRDTEERLGEEGVQSTRRGLVIEEITEEVETLPSANHKSSSTNHSPAQPKESNDISKTRIAAKPEVLPTNSEHLEALKNNPEAIRSFQNFISNADPNTLAALNPGNSEEVPADMVKTASNMISKMSPEELQKMLELASSFQGDNPFLKGGSSSNINNSVTPNMSPDMLKTASDMMSKMPSEELQKMFEVASSFKGKDSSTTPASVGANRRSSSNYSESRENSAVNATHDVDETSSHGMFPNLGSAPQSSFPASAVDMQEQMRNQMKDPAMQQMFSSMIKNMSPDMMANMGEQFGLKLSREDAAKAQQAMSSLSPQDLDRMMKWADRIQRGMEGARKTKNWLLGRAGLALAICMLILAVVLHRLGYIGG >ONI01600 pep chromosome:Prunus_persica_NCBIv2:G6:12601651:12608394:-1 gene:PRUPE_6G148800 transcript:ONI01600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGMNMMDPELMRLAQEQMSRMSPAELAKIQQQMMANPELMRMASEGMKNMRPEDFKQAAEQLKQTRPEEMAEIGEKMASASPEEIAAMRARVDAQASYELNAAQMLKNQGNALHSQGKFNDALQKYLLAKKNLSGLPSSKGRSLLMACSLNLMSCYLKTKQYDECINEGSEVLAYDANNVKALYRRGQAYKEMGLLEDAVSDLSKAHKVSPDDETIADVLRDTEERLGEEGVQSTRRGLVIEEITEEVETLPSANHKSSSTNHSPAQPKESNDISKTRIAAKPEVLPTNSEHLEALKNNPEAIRSFQNFISNADPNTLAALNPGNSEEVPADMVKTASNMISKMSPEELQKMLELASSFQGDNPFLKGGSSSNINNSVTPNMSPDMLKTASDMMSKMPSEELQKMFEVASSFKGKDSSTTPASVGANRRSSSNYSESRENSAVNATHDVDETSSHGMFPNLGSAPQSSFPASAVDMQEQMRNQMKDPAMQQMFSSMIKNMSPDMMANMGEQFGLKLSREDAAKAQQAMSSLSPQDLDRMMKWADRIQRGMEGARKTKNWLLGRAGLALAICMLILAVVLHRLGYIGG >ONI04875 pep chromosome:Prunus_persica_NCBIv2:G6:29638829:29641852:-1 gene:PRUPE_6G345400 transcript:ONI04875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCYFHSSLFLQPLKIHKPLTIVCSTQPKPPPRKRPTVDGQRPAFRNRKNYGASRRSIQKTLKQEQVTFTTPIPDDPIVGIIGGGMAGLACALYLEKRGVRSTVFDTGVHGLGGRMGTRIVDPQPLIFDHAAQFFTASDPQFAGLVQGWLEQGLVREWQGTIGELEVGGHFVPLPSSPPRYIGVNGMRPIADSLLSQTRMINVERPCWISKLEPFNGMWHLSENGKPCGKFDAIVIAHNGKCANRLLGSSGLPLIAGQMKRLELSSIWALLAAFEDPLQIPGEGAFPFEGAFVRGVDSISWMANNTKKLQGSHSNGPHCWTFLSTTAYAKRNKVPQENIPNATAEKVKAAMLLGVEEALGLPKGSLQKPFYTRIQLWGAALPTNTPGIPCIFDPLGRAGICGDWLLGSNLESAALSGISLANHMADYFQSSGGRPEEFAVGLRNEFQPLRGHDIGQFPGLESQTQTQMPTQQSYQLAT >ONI04876 pep chromosome:Prunus_persica_NCBIv2:G6:29638829:29641172:-1 gene:PRUPE_6G345400 transcript:ONI04876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRIVDPQPLIFDHAAQFFTASDPQFAGLVQGWLEQGLVREWQGTIGELEVGGHFVPLPSSPPRYIGVNGMRPIADSLLSQTRMINVERPCWISKLEPFNGMWHLSENGKPCGKFDAIVIAHNGKCANRLLGSSGLPLIAGQMKRLELSSIWALLAAFEDPLQIPGEGAFPFEGAFVRGVDSISWMANNTKKLQGSHSNGPHCWTFLSTTAYAKRNKVPQENIPNATAEKVKAAMLLGVEEALGLPKGSLQKPFYTRIQLWGAALPTNTPGIPCIFDPLGRAGICGDWLLGSNLESAALSGISLANHMADYFQSSGGRPEEFAVGLRNEFQPLRGHDIGQFPGLESQTQTQMPTQQSYQLAT >ONI04874 pep chromosome:Prunus_persica_NCBIv2:G6:29638637:29641966:-1 gene:PRUPE_6G345400 transcript:ONI04874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCYFHSSLFLQPLKIHKPLTIVCSTQPKPPPRKRPTVDGQRPAFRNRKNYGASRRSIQKTLKQEQVTFTTPIPDDPIVGIIGGGMAGLACALYLEKRGVRSTVFDTFFTASDPQFAGLVQGWLEQGLVREWQGTIGELEVGGHFVPLPSSPPRYIGVNGMRPIADSLLSQTRMINVERPCWISKLEPFNGMWHLSENGKPCGKFDAIVIAHNGKCANRLLGSSGLPLIAGQMKRLELSSIWALLAAFEDPLQIPGEGAFPFEGAFVRGVDSISWMANNTKKLQGSHSNGPHCWTFLSTTAYAKRNKVPQENIPNATAEKVKAAMLLGVEEALGLPKGSLQKPFYTRIQLWGAALPTNTPGIPCIFDPLGRAGICGDWLLGSNLESAALSGISLANHMADYFQSSGGRPEEFAVGLRNEFQPLRGHDIGQFPGLESQTQTQMPTQQSYQLAT >ONI04877 pep chromosome:Prunus_persica_NCBIv2:G6:29638637:29641464:-1 gene:PRUPE_6G345400 transcript:ONI04877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRIVDPQPLIFDHAAQFFTASDPQFAGLVQGWLEQGLVREWQGTIGELEVGGHFVPLPSSPPRYIGVNGMRPIADSLLSQTRMINVERPCWISKLEPFNGMWHLSENGKPCGKFDAIVIAHNGKCANRLLGSSGLPLIAGQMKRLELSSIWALLAAFEDPLQIPGEGAFPFEGAFVRGVDSISWMANNTKKLQGSHSNGPHCWTFLSTTAYAKRNKVPQENIPNATAEKVKAAMLLGVEEALGLPKGSLQKPFYTRIQLWGAALPTNTPGIPCIFDPLGRAGICGDWLLGSNLESAALSGISLANHMADYFQSSGGRPEEFAVGLRNEFQPLRGHDIGQFPGLESQTQTQMPTQQSYQLAT >ONI01140 pep chromosome:Prunus_persica_NCBIv2:G6:9284725:9286768:-1 gene:PRUPE_6G124100 transcript:ONI01140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRPRLSMALLAMVVLPNFLCVCNAIESPQYSVVHSESDFEIRLYRETSWMSAPVKDISFEKATRNGFHRLFQYIQGANLNFSRIAMTAPVLTSIVPGAGPLHSSAYFVRFYLPTKFQTTPPTPLPELSLEPYTWEGHYVAVRKFSGFARDTNIVQEAEKLATSLSRSPWANSTSEESSYAYSIAQYSSPFRIIGRVNEVWVDVNPSGLGGYKYSEIATS >ONI00849 pep chromosome:Prunus_persica_NCBIv2:G6:7625141:7628711:-1 gene:PRUPE_6G107200 transcript:ONI00849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSGDALEKFLDKILSGSLDLIFVWKSLINEDHRYTISCFVYSFYFFIFFIIV >ONI00848 pep chromosome:Prunus_persica_NCBIv2:G6:7625269:7625433:-1 gene:PRUPE_6G107200 transcript:ONI00848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSGDALEKFLDKILSGSLDLIFVWKSLINEDHRYTISCFVYSFYFFIFFIIV >ONI05123 pep chromosome:Prunus_persica_NCBIv2:G6:30276780:30279151:1 gene:PRUPE_6G357100 transcript:ONI05123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEDPVKKTQVVNARAQNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIHAGKSDKEIYKKLEEDFGETVLYAPKFDMQTAALWLSPLLVAGAAAGIWAYKKHRQKTNVHIMALNLVRGVPLTPNEKQTMLDLLTPPPSRGNIPSSWWRRWREQ >ONI05121 pep chromosome:Prunus_persica_NCBIv2:G6:30276812:30279166:1 gene:PRUPE_6G357100 transcript:ONI05121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEDPVKKTQVVNARAQNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIHAGKSDKEIYKKLEEDFGETVLYAPKFDMQTAALWLSPLLVAGAAAGIWAYKKHRQKTNVHIMALNLVRGVPLTPNEKQTMLDLLTPPPSRGNIPSSWWRRWREQ >ONI05122 pep chromosome:Prunus_persica_NCBIv2:G6:30277795:30279081:1 gene:PRUPE_6G357100 transcript:ONI05122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEDPVKKTQVVNARAQNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIHAGKSDKEIYKKLEEDFGETVLYAPKFDMQTAALWLSPLLVAGAAAGIWAYKKHRQKTNVHIMALNLVRGVPLTPNEKQTMLDLLTPPPSRGNIPSSWWRRWREQ >ONI03350 pep chromosome:Prunus_persica_NCBIv2:G6:24662020:24668035:-1 gene:PRUPE_6G252400 transcript:ONI03350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSQGDDCTRIEGEHVLAINKSQSKSHFFDAKVEKVLRVRHSTRVYCRCTFMIKWLHQDLKGQMVTVPSSSIMKLTGKNINVHPTVSAFLKSVKQMGLDSASSVPVMLEVEDFAVELDLNKFLEKQIEDITVSANEFRKAITIDILEGVKADNKGDIRCKVSISNAQVSHDQDQSESIAQSSGELEVNIEDEGPQAPCSSIQEEHSDFRSRLSPLAARAALALLVSSTHKHIAIGGTELFNSRDSDNMSSNTLSSEATKAHKSENETSDARKTLSAAQKGFENQNNDSHVKDSAEEIKLRASTNTRRLTRSAVQEEKDKSTMSIKQGLEESKSAHITGSISYEGNVTIHERNVLKKKNDASKNKKAVSSPLHAESNIPMEESNEKPMPGDAGAIQDINVPMKTCAKDNKSTVPTNMRRLTRSAHSSEENLIVPENYGMEKKSGGSRKKKVVSPSSDKDNTMPGEEINKKNMSGVVTRLGNIRQSEGKGFRKWW >ONI03348 pep chromosome:Prunus_persica_NCBIv2:G6:24663776:24667744:-1 gene:PRUPE_6G252400 transcript:ONI03348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTSEAENVTELEAMCKEDSSWHPCQVSLSSTKDSLIVDFGGQELEDMVLNTDEALTRLRFRCAPLQGDDCTRIEGEHVLAINKSQSKSHFFDAKVEKVLRVRHSTRVYCRCTFMIKWLHQDLKGQMVTVPSSSIMKLTGKNINVHPTVSAFLKSVKQMGLDSASSVPVMLEVEDFAVELDLNKFLEKQIEDITVSANEFRKAITIDILEGVKADNKGDIRCKVSISNAQVSHDQDQSESIAQSSGELEVNIEDEGPQAPCSSIQEEHSDFRSRLSPLAARAALALLVSSTHKHIAIGGTELFNSRDSDNMSSNTLSSEATKAHKSENETSDARKTLSAAQKGFENQNNDSHVKDSAEEIKLRASTNTRRLTRSAVQEEKDKSTMSIKQGLEESKSAHITGSISYEGNVTIHERNVLKKKNDASKNKKAVSSPLHAESNIPMEESNEKPMPGDAGAIQDINVPMKTCAKDNKSTVPTNMRRLTRSAHSSEENLIVPENYGMEKKSGGSRKKKVVSPSSDKDNTMPGEEINKKNMSGVVTRLGNIRQSEGKGFRKWW >ONI03351 pep chromosome:Prunus_persica_NCBIv2:G6:24663964:24668035:-1 gene:PRUPE_6G252400 transcript:ONI03351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTSEAENVTELEAMCKEDSSWHPCQVSLSSTKDSLIVDFGGQELEDMVLNTDEALTRLRFRCAPLQGDDCTRIEGEHVLAINKSQSKSHFFDAKVEKVLRVRHSTRVYCRCTFMIKWLHQDLKGQMVTVPSSSIMKLTGKNINVHPTVSAFLKSVKQMGLDSASSVPVMLEVEDFAVELDLNKFLEKQIEDITVSANEFRKAITIDILEGVKADNKGDIRCKVSISNAQVSHDQDQSESIAQSSGELEVNIEDEGPQAPCSSIQEEHSDFRSRLSPLAARAALALLVSSTHKHIAIGGTELFNSRDSDNMSSNTLSSEATKAHKSENETSDARKTLSAAQKGFENQNNDSHVKDSAEEIKLRASTNTRRLTRSAVQEEKDKSTMSIKQGLEESKSAHITGSISYEGNVTIHERNVLKKKNDASKNKKAVSSPLHAESNIPMEESNEKPMPGDAGAIQDINVPMKTCAKDNKSTVPTNMRRLTRSAHSSEENLIVPENYGMEKKSGGSRKKKVVSPSSDKDNTMPGEEINKKNMSGVVTRLGNIRQSEGYICSYLHVHVYILV >ONI03349 pep chromosome:Prunus_persica_NCBIv2:G6:24661945:24668035:-1 gene:PRUPE_6G252400 transcript:ONI03349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTSEAENVTELEAMCKEDSSWHPCQVSLSSTKDSLIVDFGGQELEDMVLNTDEALTRLRFRCAPLQGDDCTRIEGEHVLAINKSQSKSHFFDAKVEKVLRVRHSTRVYCRCTFMIKWLHQDLKGQMVTVPSSSIMKLTGKNINVHPTVSAFLKSVKQMGLDSASSVPVMLEVEDFAVELDLNKFLEKQIEDITVSANEFRKAITIDILEGVKADNKGDIRCKVSISNAQVSHDQDQSESIAQSSGELEVNIEDEGPQAPCSSIQEEHSDFRSRLSPLAARAALALLVSSTHKHIAIGGTELFNSRDSDNMSSNTLSSEATKAHKSENETSDARKTLSAAQKGFENQNNDSHVKDSAEEIKLRASTNTRRLTRSAVQEEKDKSTMSIKQGLEESKSAHITGSISYEGNVTIHERNVLKKKNDASKNKKAVSSPLHAESNIPMEESNEKPMPGDAGAIQDINVPMKTCAKDNKSTVPTNMRRLTRSAHSSEENLIVPENYGMEKKSGGSRKKKVVSPSSDKDNTMPGEEINKKNMSGVVTRLGNIRQSEGKGFRKWW >ONH99467 pep chromosome:Prunus_persica_NCBIv2:G6:2443556:2448841:1 gene:PRUPE_6G031500 transcript:ONH99467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTLPSLLLPVLLFFLLQTPTLSIKKSFIVYLGAHSHGPDPSSVDLDSVRKFHYDFLGSFLRSNKSAKDVIFYSYTRHINGFAAILEEEEAADIAEHPNVISVFLNKGSKLETTRSWNFLGLERNGLIPSYSIWMKARLGEDTIIANIDTGVWPESKSFSDEGLGPVPSKWRGICQHDTKRVRCNRKLIGTRYFNNGLAMYAGPLNSSFSTARDYDGHGSHTLATAAGNFVPGVSVFGNGNGTAKGGSPRARVAAYKVCWAPYEGVQCFDADVLAAFDAAISDGVDIISVSLGGGAQEFFKNSISIGAFHAVKHGIVVVSAAGNSGPNPGTVLNLSPWLLTVGAGTIDREFTSYVSLGNKKHLKGVSLSAKGLPSEKFYPLVSAAEAKHANASTAEAIICQGGTLDPRKVKGKILVCLQEYNDNARTEKSWQADMAGAVGMILVNDEQSGNDVVADPHVLLVSHVNYTDGKYIFDYIKSTKTPMAYLTRVKTEFGSKPAPFVATFSSRGPNLLEQGILKPDIIAPGVSIIAAYTEAAGPTSQISDTRRVPFNVQTGSSMACPHASGIAGLLRTLHPDWSPAAIKSAIMTTGTQHLVFFIDQLKMKCTSAILALLNFPYTCSYNRG >ONH99468 pep chromosome:Prunus_persica_NCBIv2:G6:2443556:2448841:1 gene:PRUPE_6G031500 transcript:ONH99468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTLPSLLLPVLLFFLLQTPTLSIKKSFIVYLGAHSHGPDPSSVDLDSVRKFHYDFLGSFLRSNKSAKDVIFYSYTRHINGFAAILEEEEAADIAEHPNVISVFLNKGSKLETTRSWNFLGLERNGLIPSYSIWMKARLGEDTIIANIDTGVWPESKSFSDEGLGPVPSKWRGICQHDTKRVRCNRKLIGTRYFNNGLAMYAGPLNSSFSTARDYDGHGSHTLATAAGNFVPGVSVFGNGNGTAKGGSPRARVAAYKVCWAPYEGVQCFDADVLAAFDAAISDGVDIISVSLGGGAQEFFKNSISIGAFHAVKHGIVVVSAAGNSGPNPGTVLNLSPWLLTVGAGTIDREFTSYVSLGNKKHLKGVSLSAKGLPSEKFYPLVSAAEAKHANASTAEAIICQGGTLDPRKVKGKILVCLQEYNDNARTEKSWQADMAGAVGMILVNDEQSGNDVVADPHVLLVSHVNYTDGKYIFDYIKSTKTPMAYLTRVKTEFGSKPAPFVATFSSRGPNLLEQGILKVNDNFLCSLVKLMGFLSLVLPLQFIYYMNFSLHIFSLISLHQG >ONH99471 pep chromosome:Prunus_persica_NCBIv2:G6:2443556:2448841:1 gene:PRUPE_6G031500 transcript:ONH99471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAGPLNSSFSTARDYDGHGSHTLATAAGNFVPGVSVFGNGNGTAKGGSPRARVAAYKVCWAPYEGVQCFDADVLAAFDAAISDGVDIISVSLGGGAQEFFKNSISIGAFHAVKHGIVVVSAAGNSGPNPGTVLNLSPWLLTVGAGTIDREFTSYVSLGNKKHLKGVSLSAKGLPSEKFYPLVSAAEAKHANASTAEAIICQGGTLDPRKVKGKILVCLQEYNDNARTEKSWQADMAGAVGMILVNDEQSGNDVVADPHVLLVSHVNYTDGKYIFDYIKSTKTPMAYLTRVKTEFGSKPAPFVATFSSRGPNLLEQGILKPDIIAPGVSIIAAYTEAAGPTSQISDTRRVPFNVQTGSSMACPHASGIAGLLRTLHPDWSPAAIKSAIMTTATTEDDSMEPIPDDSSYVKATPFAYGSGHIQPNKAMDPGLVYNLTTLDYLNFLCARGYNETMIKSFSNSIYKCSKSFSLADFNYPSISVPNLSEDSVTINRKVTNVGSPGTYKVHVKEPSEVEVLVKPRRLKFKRIGEVKMFKVILKAKVKGKPQGYVFGELIWSDGSHYVKSPLAVKHY >ONH99469 pep chromosome:Prunus_persica_NCBIv2:G6:2443556:2448841:1 gene:PRUPE_6G031500 transcript:ONH99469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTLPSLLLPVLLFFLLQTPTLSIKKSFIVYLGAHSHGPDPSSVDLDSVRKFHYDFLGSFLRSNKSAKDVIFYSYTRHINGFAAILEEEEAADIAEHPNVISVFLNKGSKLETTRSWNFLGLERNGLIPSYSIWMKARLGEDTIIANIDTGVWPESKSFSDEGLGPVPSKWRGICQHDTKRVRCNRKLIGTRYFNNGLAMYAGPLNSSFSTARDYDGHGSHTLATAAGNFVPGVSVFGNGNGTAKGGSPRARVAAYKVCWAPYEGVQCFDADVLAAFDAAISDGVDIISVSLGGGAQEFFKNSISIGAFHAVKHGIVVVSAAGNSGPNPGTVLNLSPWLLTVGAGTIDREFTSYVSLGNKKHLKGVSLSAKGLPSEKFYPLVSAAEAKHANASTAEAIICQGGTLDPRKVKGKILVCLQEYNDNARTEKSWQADMAGAVGMILVNDEQSGNDVVADPHVLLVSHVNYTDGKYIFDYIKSTKSLTLSLTNLLLDFDYVYIIKFGLNYSHSV >ONH99466 pep chromosome:Prunus_persica_NCBIv2:G6:2443556:2448841:1 gene:PRUPE_6G031500 transcript:ONH99466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTLPSLLLPVLLFFLLQTPTLSIKKSFIVYLGAHSHGPDPSSVDLDSVRKFHYDFLGSFLRSNKSAKDVIFYSYTRHINGFAAILEEEEAADIAEHPNVISVFLNKGSKLETTRSWNFLGLERNGLIPSYSIWMKARLGEDTIIANIDTGVWPESKSFSDEGLGPVPSKWRGICQHDTKRVRCNRKLIGTRYFNNGLAMYAGPLNSSFSTARDYDGHGSHTLATAAGNFVPGVSVFGNGNGTAKGGSPRARVAAYKVCWAPYEGVQCFDADVLAAFDAAISDGVDIISVSLGGGAQEFFKNSISIGAFHAVKHGIVVVSAAGNSGPNPGTVLNLSPWLLTVGAGTIDREFTSYVSLGNKKHLKGVSLSAKGLPSEKFYPLVSAAEAKHANASTAEAIICQGGTLDPRKVKGKILVCLQEYNDNARTEKSWQADMAGAVGMILVNDEQSGNDVVADPHVLLVSHVNYTDGKYIFDYIKSTKTPMAYLTRVKTEFGSKPAPFVATFSSRGPNLLEQGILKPDIIAPGVSIIAAYTEAAGPTSQISDTRRVPFNVQTGSSMACPHASGIAGLLRTLHPDWSPAAIKSAIMTTATTEDDSMEPIPDDSSYVKATPFAYGSGHIQPNKAMDPGLVYNLTTLDYLNFLCARGYNETMIKSFSNSIYKCSKSFSLADFNYPSISVPNLSEDSVTINRKVTNVGSPGTYKVHVKEPSEVEVLVKPRRLKFKRIGEVKMFKVILKAKVKGKPQGYVFGELIWSDGSHYVKSPLAVKHY >ONH99470 pep chromosome:Prunus_persica_NCBIv2:G6:2443759:2446564:1 gene:PRUPE_6G031500 transcript:ONH99470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTLPSLLLPVLLFFLLQTPTLSIKKSFIVYLGAHSHGPDPSSVDLDSVRKFHYDFLGSFLRSNKSAKDVIFYSYTRHINGFAAILEEEEAADIAEHPNVISVFLNKGSKLETTRSWNFLGLERNGLIPSYSIWMKARLGEDTIIANIDTGVWPESKSFSDEGLGPVPSKWRGICQHDTKRVRCNRKLIGTRYFNNGLAMYAGPLNSSFSTARDYDGHGSHTLATAAGNFVPGVSVFGNGNGTAKGGSPRARVAAYKVCWAPYEGVQCFDADVLAAFDAAISDGVDIISVSLGGGAQEFFKNSISIGAFHAVKHGIVVVSAAGNSGPNPGTVLNLSPWLLTVGAGTIDREFTSYVSLGNKKHLKGVSLSAKGLPSEKFYPLVSAAEAKHANASTAEA >ONI03489 pep chromosome:Prunus_persica_NCBIv2:G6:25076873:25081071:-1 gene:PRUPE_6G260000 transcript:ONI03489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDEIYVKVHKKSEAIAMFFKLVAVLLVSLVAAEDLSFTYNGFQSVNLSLDGIAGVTPNGLLRLTNDTRQNQGHAFYPNPVNFKSSPNGTVFSFSSTFVFAIRSEYADLSGHGIVFVVAPTRGLPGALPSQYLGLFNETNNGNDTNHVFAVELDTIESKEFNDINDNHVGIDINALNSANSTTAGYYAQNNGGFQNLTLSSGQQMQVWVDYDGTKKQISVTLAPINVEKPQAPLLSLNHDLSPIFNETMYVGFSSSTGSIFTSHYVLGWSFKMNGQAQEIVMSKLPKLPRMGGKKRSKLLTIGVPILSVSLVLLVVSGLIYFIRRKRKFAELLEDWELEYGPQRFKYKELYIATKGFKEKELLGAGGFGKVYRGILPSSKIEIAVKRVSHESRQGMKEFIAEVVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMSNGSLDKYLYDQPVVTLNWSQRFRVIRGVASGLFYLHEGWEQVVIHRDVKASNVLLDGELNARLGDFGLARLYDHGTDPQTTHIVGTLGYLAPEHTRSGRATTSTDVFAFGAFVLEVACGRRPIEAQGPDQDLILVDWVFSFWNRGAILEARDQNLSSEFVAEEVELVLKLGLLCSHSEPTARPSMRQVVQYLEGDIALPELSLLGLSSSGLTFAHHEGFDDFAMSYSSSLGKGFSHSSYAAESTLLSGGR >ONI03490 pep chromosome:Prunus_persica_NCBIv2:G6:25076817:25081071:-1 gene:PRUPE_6G260000 transcript:ONI03490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDEIYVKVHKKSEAIAMFFKLVAVLLVSLVAAEDLSFTYNGFQSVNLSLDGIAGVTPNGLLRLTNDTRQNQGHAFYPNPVNFKSSPNGTVFSFSSTFVFAIRSEYADLSGHGIVFVVAPTRGLPGALPSQYLGLFNETNNGNDTNHVFAVELDTIESKEFNDINDNHVGIDINALNSANSTTAGYYAQNNGGFQNLTLSSGQQMQVWVDYDGTKKQISVTLAPINVEKPQAPLLSLNHDLSPIFNETMYVGFSSSTGSIFTSHYVLGWSFKMNGQAQEIVMSKLPKLPRMGGKKRSKLLTIGVPILSVSLVLLVVSGLIYFIRRKRKFAELLEDWELEYGPQRFKYKELYIATKGFKEKELLGAGGFGKVYRGILPSSKIEIAVKRVSHESRQGMKEFIAEVVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMSNGSLDKYLYDQPVVTLNWSQRFRVIRGVASGLFYLHEGWEQVVIHRDVKASNVLLDGELNARLGDFGLARLYDHGTDPQTTHIVGTLGYLAPEHTRSGRATTSTDVFAFGAFVLEVACGRRPIEAQGPDQDLILVDWVFSFWNRGAILEARDQNLSSEFVAEEVELVLKLGLLCSHSEPTARPSMRQVVQYLEGDIALPELSLLGLSSSGLTFAHHEGFDDFAMSYSSSLGKGFSHSSYAAESTLLSGGR >ONI03491 pep chromosome:Prunus_persica_NCBIv2:G6:25078058:25081071:-1 gene:PRUPE_6G260000 transcript:ONI03491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDEIYVKVHKKSEAIAMFFKLVAVLLVSLVAAEDLSFTYNGFQSVNLSLDGIAGVTPNGLLRLTNDTRQNQGHAFYPNPVNFKSSPNGTVFSFSSTFVFAIRSEYADLSGHGIVFVVAPTRGLPGALPSQYLGLFNETNNGNDTNHVFAVELDTIESKEFNDINDNHVGIDINALNSANSTTAGYYAQNNGGFQNLTLSSGQQMQVWVDYDGTKKQISVTLAPINVEKPQAPLLSLNHDLSPIFNETMYVGFSSSTGSIFTSHYVLGWSFKMNGQAQEIVMSKLPKLPRMGGKKRSKLLTIGVPILSVSLVLLVVSGLIYFIRRKRKFAELLEDWELEYGPQRFKYKELYIATKGFKEKELLGAGGFGKVYRGILPSSKIEIAVKRVSHESRQGMKEFIAEVVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMSNGSLDKYLYDQPVVTLNWSQRFRVIRGVASGLFYLHEGWEQVVIHRDVKASNVLLDGELNARLGDFGLARLYDHGTDPQTTHIVGTLGYLAPEHTRSGRATTSTDVFAFGAFVLEVACGRRPIEAQGPDQDLILVDWVFSFWNRGAILEARDQNLSSEFVAEEVELVLKLGLLCSHSEPTARPSMRQVVQYLEGDIALPELSLLGLSSSGLTFAHHEGFDDFAMSYSSSLGKGFSHSSYAAESTLLSGGR >ONI02487 pep chromosome:Prunus_persica_NCBIv2:G6:20944715:20946187:1 gene:PRUPE_6G201600 transcript:ONI02487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDEKVKNEALQIIGQHQNLPTLVVFDLDYTLWPFYCECCDEDEMPYLYPQASAILYALKDKAISMAVASRSPTPDIAKSFLQTLGIHSLFVTQEIFSSWTHKTEHIQRIHATTGVPFSSMLFFDDEDRNIQTVSKMGVTSILVGNGVNLGALRQGLLEFSRKSASSSRR >ONI01381 pep chromosome:Prunus_persica_NCBIv2:G6:10971138:10974338:1 gene:PRUPE_6G136400 transcript:ONI01381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLRSFLLGVLLLIGFAFTNSKADIRAPPTHFDTASLNRSSFPEGFIFGVGSGSYQYEGAANEGGRGPSIWDTFTHKYPEKINDSSNGDIAVDQYHRYKEDVGIMKNMGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKHWSTLNEPYTFSNFGYAIGSHAPGRCSTWQQLNCTGGDSSTEPYLVTHHLLLAHTAAVKLYKNKYQASQNGVIGITLVSHWFEPLSEEKENKNAALRALDFMFGWFVEPLTSGDYPQSMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYAGYYASDAPQNNSAYASYTTDAGVNLSSERNGVPIGSKGASEWLNVYPQGIQDLLLYTKKKYHNPVIYITENGVDEFNDPKLSLAEALNDTHRIDYYNRHLHYVQSSIDNGVKVKGFFPWTLLDNFEWSSGYSVRFGITYVDYNDRLKRHPKLSAHWFKSFLKPY >ONI03061 pep chromosome:Prunus_persica_NCBIv2:G6:23691870:23696564:1 gene:PRUPE_6G236000 transcript:ONI03061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESEMKQSRFGRICVFCGSSQGKKSSYQDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVAGMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIISAPTARELVKKLEDYVPCHERVASKLNWEMEQLGYTQEYDISR >ONI03063 pep chromosome:Prunus_persica_NCBIv2:G6:23692952:23696564:1 gene:PRUPE_6G236000 transcript:ONI03063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVAGMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIISAPTARELVKKLEDYVPCHERVASKLNWEMEQLGYTQEYDISR >ONI03062 pep chromosome:Prunus_persica_NCBIv2:G6:23692446:23696564:1 gene:PRUPE_6G236000 transcript:ONI03062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLLSLSLSLSVFSLVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVAGMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIISAPTARELVKKLEDYVPCHERVASKLNWEMEQLGYTQEYDISR >ONI03064 pep chromosome:Prunus_persica_NCBIv2:G6:23693510:23696564:1 gene:PRUPE_6G236000 transcript:ONI03064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIISAPTARELVKKLEDYVPCHERVASKLNWEMEQLGYTQEYDISR >ONI03462 pep chromosome:Prunus_persica_NCBIv2:G6:25015235:25017567:-1 gene:PRUPE_6G258100 transcript:ONI03462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKACIFWNRLQNPCLKFQSFIFFCSVTVLICFTESKLCSPQTQTLVLPLKTQQIPSGSLPKSPNRLPFHHNVTLTVSIAVGTPPQNVSMVIDTGSELSWLHCNKTRNFNTTFDPTRSSSYSPVPCSSSTCTTRTQDLTIPASCDSNKLCHAILSYADASSNEGNLASDTFYIGSSGISGLVFGCMDTTFSSNTDEDAKTTGLMGMNRGSLSFVSQMGFPKFSYCISGSDFSGLLLLGDSNFSWIAPLNYTPLVQISTPLPYFDRVAYTVQLEGIKVSDKLLPIPKSVFVPDHTGAGQTMVDSGTQFTFLLGPVYTALRDEFLNQTSKILNVLDDPNFVFQGAMDLCYWVPPGQPRLPPLPSVSLMFRGAEMKVSGGQLLYRVPGQVRGNDTLHCFTFGNSDLLGVEAYVIGHHHQQNVWMEFDLQNSRIGLAQVQCDLAGQRFGLSV >ONI00340 pep chromosome:Prunus_persica_NCBIv2:G6:5646040:5647070:1 gene:PRUPE_6G083600 transcript:ONI00340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >ONI00341 pep chromosome:Prunus_persica_NCBIv2:G6:5645765:5647070:1 gene:PRUPE_6G083600 transcript:ONI00341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >ONH99523 pep chromosome:Prunus_persica_NCBIv2:G6:2610787:2611626:-1 gene:PRUPE_6G034300 transcript:ONH99523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESNFLLETDNFFDAYAKAREQLSFGMRKGNLSLLPPSALPHLSYTNPGHKEETTTPKRRGRTNACEAAKHFDPAAGVPQKFTKPYVRSKKRKFEKARGKRNNEGFRG >ONI01858 pep chromosome:Prunus_persica_NCBIv2:G6:15482609:15484088:-1 gene:PRUPE_6G163100 transcript:ONI01858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMLAQPPIPAFHAIPPVLRNRPTNMAVAPYSFLSATHQWTQSTRFGQCPFGFRNTYTVRVAEQSSSLIGDETPTQIKTELYQALEGINRGIFGVPSAKKAEIEALVKQLESQNPTPDPILNLEKMGGCWKLVYSTITILGSKRTKLGLRDFISLGDFLQNINVAEGQAVNVIKFDVRGLNLFNGRLTIEASFKQASKSRVDIKYDNSTITPVQIS >ONI01859 pep chromosome:Prunus_persica_NCBIv2:G6:15482242:15484313:-1 gene:PRUPE_6G163100 transcript:ONI01859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMLAQPPIPAFHAIPPVLRNRPTNMAVAPYSFLSATHQWTQSTRFGQCPFGFRNTYTVRVAEQSSSLIGDETPTQIKTELYQALEGINRGIFGVPSAKKAEIEALVKQLESQNPTPDPILNLEKMGGCWKLVYSTITILGSKRTKLGLRDFISLGDFLQNINVAELM >ONI01856 pep chromosome:Prunus_persica_NCBIv2:G6:15482242:15484313:-1 gene:PRUPE_6G163100 transcript:ONI01856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMLAQPPIPAFHAIPPVLRNRPTNMAVAPYSFLSATHQWTQSTRFGQCPFGFRNTYTVRVAEQSSSLIGDETPTQIKTELYQALEGINRGIFGVPSAKKAEIEALVKQLESQNPTPDPILNLEKGQAVNVIKFDVRGLNLFNGRLTIEASFKQASKSRVDIKYDNSTITPVQLMNVFRKNYHLLLGIFNPEGWLEITYLDETMRIGRDDKGNIFILERSNENALQASS >ONI01857 pep chromosome:Prunus_persica_NCBIv2:G6:15482521:15484088:-1 gene:PRUPE_6G163100 transcript:ONI01857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMLAQPPIPAFHAIPPVLRNRPTNMAVAPYSFLSATHQWTQSTRFGQCPFGFRNTYTVRVAEQSSSLIGDETPTQIKTELYQALEGINRGIFGVPSAKKAEIEALVKQLESQNPTPDPILNLEKMGGCWKLVYSTITILGSKRTKLGLRDFISLGDFLQNINVAEGQAVNVIKFDVRGLNLFNGRLTIEASFKQASKSRVDIKYDNSTITPVQLMNVFRKNYHLLLGIFNPEGWLEITYLDETMRIGRDDKGNIFILERSNENALQASS >ONI03337 pep chromosome:Prunus_persica_NCBIv2:G6:24647516:24651618:-1 gene:PRUPE_6G252000 transcript:ONI03337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTPSTNQTIHGDDNTDVSYFQKTVCLNDWWLIKAENEVQGKWLAVAGVSSRKRVFSSAPIAKRFDVFTLETVDGICVAIKGFINKQKTTENGFPSEVFSHFLFGFPSYWEQWVAKFFGGDSTTTCAVSGSISDSDKLATQPGSIKSSIPSSLHFDQEETPVEQVSCEDRHDVEVPKQFSSELPTNVAVDDFPKHAAEEETDRASIGASDFQCIGKPMHLVSNQKKPVSRCFMKHKSTNSCPASEASESLGGVSGATTQSGGKVDISEEYASYSAGRVTRSLSRNLSRKGKKKKMVGCGLNSEKNTVDSVPAVFKTLSKSLQNDNCKVGGKSMSHPSDFEFQEPNDLKVIKKLDFGCLEDDLQHTHNAKQGQNCGNITTQVGGESDAKEENTFRKRTKTNTTFYTKENPTLEVNKKVSIATPESLNMRRSRSGRLLLPTMEFWRNQLAIYDSDRKVTGIQGGLPVVTTSRGICSGSQKRNRR >ONI03339 pep chromosome:Prunus_persica_NCBIv2:G6:24647474:24651632:-1 gene:PRUPE_6G252000 transcript:ONI03339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTPSTNQTIHGDDNTDVSYFQKTVCLNDWWLIKAENEVQGKWLAVAGVSSRNEGARRVFSSAPIAKRFDVFTLETVDGICVAIKGFINKQKTTENGFPSEVFSHFLFGFPSYWEQWVAKFFGGDSTTTCAVSGSISDSDKLATQPGSIKSSIPSSLHFDQEETPVEQVSCEDRHDVEVPKQFSSELPTNVAVDDFPKHAAEEETDRASIGASDFQCIGKPMHLVSNQKKPVSRCFMKHKSTNSCPASEASESLGGVSGATTQSGGKVDISEEYASYSAGRVTRSLSRNLSRKGKKKKMVGCGLNSEKNTVDSVPAVFKTLSKSLQNDNCKVGGKSMSHPSDFEFQEPNDLKVIKKLDFGCLEDDLQHTHNAKQGQNCGNITTQVGGESDAKEENTFRKRTKTNTTFYTKENPTLEVNKKVSIATPESLNMRRSRSGRLLLPTMEFWRNQLAIYDSDRKVTGIQGGLPVVTTSRGICSGSQKRNRR >ONI03338 pep chromosome:Prunus_persica_NCBIv2:G6:24647499:24651618:-1 gene:PRUPE_6G252000 transcript:ONI03338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTPSTNQTIHGDDNTDVSYFQKTVCLNDWWLIKAENEVQGKWLAVAGVSSRNEGARRVFSSAPIAKRFDVFTLETVDGICVAIKGFINKQKTTENGFPSEVFSHFLFGFPSYWEQWVAKFFGGDSTTTCAVSGSISDSDKLATQPGSIKSSIPSSLHFDQEETPVEQVSCEDRHDVEVPKQFSSELPTNVAVDDFPKHAAEEETDRASIGASDFQCIGKPMHLVSNQKKPVSRCFMKHKSTNSCPASEASESLGGVSGATTQSGGKVDISEEYASYSAGRVTRSLSRNLSRKGKKKKMVGCGLNSEKNTVDSVPAVFKTLSKSLQNDNCKVGGKNDLQHTHNAKQGQNCGNITTQVGGESDAKEENTFRKRTKTNTTFYTKENPTLEVNKKVSIATPESLNMRRSRSGRLLLPTMEFWRNQLAIYDSDRKVTGIQGGLPVVTTSRGICSGSQKRNRR >ONI03343 pep chromosome:Prunus_persica_NCBIv2:G6:24647516:24651618:-1 gene:PRUPE_6G252000 transcript:ONI03343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTPSTNQTIHGDDNTDVSYFQKTVCLNDWWLIKAENEVQGKWLAVAGVSSRNEGARRVFSSAPIAKRFDVFTLETVDGICVAIKGFINKQKTTENGFPSEVFSHFLFGFPSYWEQWVAKFFGGDSTTTCAVSGSISDSDKLATQPGSIKSSIPSSLHFDQEETPVEQVSCEDRHDVEVPKQFSSELPTNVAVDDFPKHAAEEETDRASIGASDFQCIGKPMHLVSNQKKPVSRCFMKHKSTNSCPASEASESLGGVSGATTQSGGKVDISEEYASYSAGRVTRSLSRNLSRKGKKKKMVGCGLNSEKNTVDSVPAVFKTLSKSLQNDNCKVGGKSMSHPSDFEFQEPNDLKVIKKLDFGCLEDDLQHTHNAKQGQNCGNITTQVGGESDAKEENTFRKRTKTNTTFYTKGDYFYRPWNSGAINWQSTTRIVRLLEFREAYL >ONI03340 pep chromosome:Prunus_persica_NCBIv2:G6:24647516:24651618:-1 gene:PRUPE_6G252000 transcript:ONI03340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTPSTNQTIHGDDNTDVSYFQKTVCLNDWWLIKAENEVQGKWLAVAGVSSRNEGARRVFSSAPIAKRFDVFTLETVDGICVAIKGFINKQKTTENGFPSEVFSHFLFGFPSYWEQWVAKFFGGDSTTTCAVSGSISDSDKLATQPGSIKSSIPSSLHFDQEETPVEQVSCEDRHDVEVPKQFSSELPTNVAVDDFPKHAAEEETDRASIGASDFQCIGKPMHLVSNQKKPVSRCFMKHKSTNSCPASEASESLGGVSGATTQSGGKVDISEEYASYSAGRVTRSLSRNLSRKGKKKKMVGCGLNSEKNTVDSVPAVFKTLSKSLQNDNCKVGGKSMSHPSDFEFQEPNDLKVIKKLDFGCLEDDLQHTHNAKQGQNCGNITTQVGGESDAKEENTFRKRTKTNTTFYTKENPTLEVNKKVSIATPESLNMRRSRSGRLLLPTMEFWRNQLAIYDSDRKVTGIQGGLPVVTTSRGICSGSQKRNRR >ONI03342 pep chromosome:Prunus_persica_NCBIv2:G6:24648101:24651499:-1 gene:PRUPE_6G252000 transcript:ONI03342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTPSTNQTIHGDDNTDVSYFQKTVCLNDWWLIKAENEVQGKWLAVAGVSSRNEGARRVFSSAPIAKRFDVFTLETVDGICVAIKGFINKQKTTENGFPSEVFSHFLFGFPSYWEQWVAKFFGGDSTTTCAVSGSISDSDKLATQPGSIKSSIPSSLHFDQEETPVEQVSCEDRHDVEVPKQFSSELPTNVAVDDFPKHAAEEETDRASIGASDFQCIGKPMHLVSNQKKPVSRCFMKHKSTNSCPASEASESLGGVSGATTQSGGKVDISEEYASYSAGRVTRSLSRNLSRKGKKKKMVGCGLNSEKNTVDSVPAVFKTLSKSLQNDNCKVGGKSMSHPSDFEFQEPNDLKVIKKLDFGCLEDDLQHTHNAKQGQNCGNITTQVGGESDAKEENTFRKRTKTNTTFYTKENPTLEVNKKVSIATPESLNMRRSRSGRLLLPTMEFWRNQLAIYDSDRKVTGIQGGLPVVTTSRGICSGSQKRNRR >ONI03341 pep chromosome:Prunus_persica_NCBIv2:G6:24647480:24651618:-1 gene:PRUPE_6G252000 transcript:ONI03341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTPSTNQTIHGDDNTDVSYFQKTVCLNDWWLIKAENEVQGKWLAVAGVSSRNEGARRVFSSAPIAKRFDVFTLETVDGICVAIKGFINKQKTTENGFPSEVFSHFLFGFPSYWEQWVAKFFGGDSTTTCAVSGSISDSDKLATQPGSIKSSIPSSLHFDQEETPVEQVSCEDRHDVEVPKQFSSELPTNVAVDDFPKHAAEEETDRASIGASDFQCIGKPMHLVSNQKKPVSRCFMKHKSTNSCPASEASESLGGVSGATTQSGGKVDISEEYASYSAGRVTRSLSRNLSRKGKKKKMVGCGLNSEKNTVDSVPAVFKTLSKSLQNDNCKVGGKSMSHPSDFEFQEPNDLKVIKKLDFGCLEDDLQHTHNAKQGQNCGNITTQVGGESDAKEENTFRKRTKTNTTFYTKENPTLEVNKKVSIATPESLNMRRSRSGRLLLPTMEFWRNQLAIYDSDRKVTGIQGGLPVVTTSRGICSGSQKRNRR >ONI02707 pep chromosome:Prunus_persica_NCBIv2:G6:22392187:22395782:-1 gene:PRUPE_6G216800 transcript:ONI02707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISPQILTLKSPSTPSLPSHSSLIAPSQSPSFSPPNTPRSPSLFCSPKSTTQYSSRRLVVSRASTNASALDFSPSIGEVLGDVSIFTAAGDSVQFKDLWDLNEGVAVVALLRHFGCPCCWELASALKESKARFDSAGVKIIAVGVGSPDKARILAERLPFPMDSLYADPDRKAYNVLGLYYGLGRTFFNPASAKVFSRFEALQKAVKNYTIEATPDDRSSVLQQGGMFVFKGKQLLYARKDEGTGDHAPLDDILDVCCKVPVS >ONI04143 pep chromosome:Prunus_persica_NCBIv2:G6:27574117:27576232:1 gene:PRUPE_6G305300 transcript:ONI04143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFVVHALLCFLVTQVMAEKIIMFCRYYVLLIVLLPYRTLQFFYHKLSFQVSRASNLDAAEGIENHVPIEPKISSSFITDSEIQSEFAHHAPGVARMNNGSFGCCPASVISALQQWQLKLLCQPDHFYFNELEDRILESRYKIKDLINAEDVDEVSIVDNISTAVAIVLQQAAWAFAEGKFNKGDAVIMFHCAYGAVKNSIKAYFSRAGGYVIEVQFNFPLNSNEEIISEFSKALEREKGNGRRVRLAVIDHVTCMPSVIMPVKQLVKICREEGVEQVFIDAAHGVGCVDVDMQEIGADFYASTLYKWFFCPPAAAFLYCRKSATYSDLQLHHPVVSHRYGMGLAEESFWVGTRDYSPYLVLPSAMEFVKRFKGGVEGIIKMNHDAVVEMGKMLAEAWGTNLGCPPDMCASMIMIGLPSCLGISSDDDTMQLWAHLREKFGVEVRIHYQAPKDGEVVLTTGYVRICHQIYNKVDDYYKLRDTINQLVHDGFTCALEFNEA >ONI04144 pep chromosome:Prunus_persica_NCBIv2:G6:27573925:27576277:1 gene:PRUPE_6G305300 transcript:ONI04144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKIIMFCRYYVLLIVLLPYRTLQFFYHKLSFQVSRASNLDAAEGIENHVPIEPKISSSFITDSEIQSEFAHHAPGVARMNNGSFGCCPASVISALQQWQLKLLCQPDHFYFNELEDRILESRYKIKDLINAEDVDEVSIVDNISTAVAIVLQQAAWAFAEGKFNKGDAVIMFHCAYGAVKNSIKAYFSRAGGYVIEVQFNFPLNSNEEIISEFSKALEREKGNGRRVRLAVIDHVTCMPSVIMPVKQLVKICREEGVEQVFIDAAHGVGCVDVDMQEIGADFYASTLYKWFFCPPAAAFLYCRKSATYSDLQLHHPVVSHRYGMGLAEESFWVGTRDYSPYLVLPSAMEFVKRFKGGVEGIIKMNHDAVVEMGKMLAEAWGTNLGCPPDMCASMIMIGLPSCLGISSDDDTMQLWAHLREKFGVEVRIHYQAPKDGEVVLTTGYVRICHQIYNKVDDYYKLRDTINQLVHDGFTCALEFNEA >ONI03533 pep chromosome:Prunus_persica_NCBIv2:G6:25285271:25287985:1 gene:PRUPE_6G263100 transcript:ONI03533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIGTRASPGLKHFSKPQMEVLKFLILFLFAATVDWSEAGLPSLRQESSLKVEEGSDFLVSPKGTFSSGFYKVDTNASCYSIWFTNSVNKTVVWMANRDKPVSGRGSKLTLHRNGNLVLTDGVGSIVWSTNTFSDAGVEARLLETGNLVLINQAKEVLWQSFDSPTDTLLPSQQLVKNTTLVSVRSQGTYLSGFYNFKFDDNNVLYLIYNGPLLSSVYWPKTDGTVFDSGRTPYNSSRLAILDEAGQFISSDNLMFNASDYGIGPKRRLTMDYDGILRLYSLDESTGLWELSWVPDGVDACLVHGLCGAYGICTYKPQPTCSCPYGFSLNDPSDGSKGCSPSFDLSNDATKSDFMVLPNTDYYGYDMETYALGISFSACRNACLTDSRCKGFGYALDGKGQCFPKSFLLNGFHMPNTLQIMHVKIPKGFLSKDEVATKLETYDLNCSAAQVSLKTSDLEVEKSNKNRYMDYLVGFVSSFTIIEAICIGLTWWYAFRKHAHEKFVNMGYIALAMGFKRFTYAELKRATDGFKQEVGKGGFGTVYKGVLDDERVVAVKRLDGILQGDAEFWAEVSVIGNINHRNLVKLWGFCADKEHKLLVYEYLENGSLDKILFTSDGELGLEQRYNIALGTAKGLSYLHEECLEWVLHCDVKPQNILLDDDLEPKVADFGMSKLFKDIQGIRDIDGMGFSKARGTRGYMAPEWMMNLKIDAKADVYSYGIVLLELLSGISASILISALAKEYNECNHLAQCVTEKIRKEGLEEVLDPRLLGELDKKKLERLMKVALLCVQDDRSARPAMSKVVELLLENDQ >ONH99473 pep chromosome:Prunus_persica_NCBIv2:G6:2452428:2457288:-1 gene:PRUPE_6G031700 transcript:ONH99473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVMTADEQILNLDVDPHETVENVKALLEVETRVLLQQQQLLYNGREMRNSEKLSALGVKDEDLIMMVSNAAPSASANALSFNPDGSAVNPGAFQQHIKNDSNLMAQLFQSDPDLAQVILGNDLNRLQELLRQRHLQKSELRRQQDEELALLYADPFDVEAQKKIEAAIRQKGIDENWAAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYKGIAHGVGQSEILGRIHVAPIKIGNIFYPCSFLVLDAPNMEFLFGLDMLRKHQCIIDLKDSVLRVGGGEVSVPFLQEKDIPSRFLDEERFAKETSSSGAPVTSGKADTSKNSPSGGQSSGSARSNPTQGPDFEAKVAKLVELGFGRDAVIQALKFFDGNEEQAAGYLFGG >ONI00604 pep chromosome:Prunus_persica_NCBIv2:G6:6790288:6797143:1 gene:PRUPE_6G097600 transcript:ONI00604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLFAARSQCSAIFKNRRKCASFSTALLFDDTQIQFKESVSQFAQEHIAPHASKIDHTNYFPQEVNLWKLMGDFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGNPTQKQKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPVAQTLVVYAKTDITAGSKGITAFIIEKGTPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQEGKGVYVMMSGLDLERLVLAAGPLGIMQACMDVVLPYVRQREQFGRPIGEFQLIQGKIADMYTSLQSSRSYVYSVARECDNGKVDSKDCAGVILCTAERATQVALQAIQCLGGNGYVNEYSTGRFLRDAKLYEIGAGTSEIRRMIIGRALFKEQ >ONI00605 pep chromosome:Prunus_persica_NCBIv2:G6:6790385:6796865:1 gene:PRUPE_6G097600 transcript:ONI00605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLFAARSQCSAIFKNRRKCASFSTALLFDDTQIQFKESVSQFAQEHIAPHASKIDHTNYFPQEVNLWKLMGDFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHSNLCINQLLISGEHVGALAMSEPNSGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPVAQTLVVYAKTDITAGSKGITAFIIEKGTPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQEGKGVYVMMSGLDLERLVLAAGPLGIMQACMDVVLPYVRQREQFGRPIGEFQLIQGKIADMYTSLQSSRSYVYSVARECDNGKVDSKDCAGVILCTAERATQVALQAIQCLGGNGYVNEYSTGRFLRDAKLYEIGAGTSEIRRMIIGRALFKEQ >ONI03100 pep chromosome:Prunus_persica_NCBIv2:G6:23833002:23835632:-1 gene:PRUPE_6G238700 transcript:ONI03100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIEILTRVEAICQKYDRYDVEKQKDLNVSGDDAFARLYASVDADIEALRQKAEIASKEKNKASAVALNAEIRRTKARLLEEVPKLQRLAVKKVKGLSTQDFAARNDLVHALPDRIQDIPDGTPAAPKQTGGGWAASASRTEIKFDSEGRLDNEFFQQSEESSQFRQEFEMRKIKQDQGLDMISDGLDTLKNMAQDMNEEFDRQVPLMDEIDSKVDKASADLKNTNVRLKDTVNQLRSSRNFCIDIILLCVILGIAAYLYNVLKN >ONI04291 pep chromosome:Prunus_persica_NCBIv2:G6:28063458:28064373:-1 gene:PRUPE_6G314000 transcript:ONI04291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLQWWLLAKPQTAKRAIERVEHRLSKDGWPEYYDGKAGRYVRKQARKYQTWSISGYLVAKLMIENPTNLSLIPLEEDKKIAKPRLTRFTSF >ONI04293 pep chromosome:Prunus_persica_NCBIv2:G6:28061726:28064959:-1 gene:PRUPE_6G314000 transcript:ONI04293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALGQTAKRAIERVEHRLSKDGWPEYYDGKAGRYVRKQARKYQTWSISGYLVAKLMIENPTNLSLIPLEEDKKIAKPRLTRFTSF >ONI04292 pep chromosome:Prunus_persica_NCBIv2:G6:28063458:28064373:-1 gene:PRUPE_6G314000 transcript:ONI04292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLQWWLLAKILTYVFVLKQLVNDVATGRPQTAKRAIERVEHRLSKDGWPEYYDGKAGRYVRKQARKYQTWSISGYLVAKLMIENPTNLSLIPLEEDKKIAKPRLTRFTSF >ONI04294 pep chromosome:Prunus_persica_NCBIv2:G6:28061743:28064959:-1 gene:PRUPE_6G314000 transcript:ONI04294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDIFLNVIWESVPNGCAIHYILMLVHRILTYVFVLKQLVNDVATGRPQTAKRAIERVEHRLSKDGWPEYYDGKAGRYVRKQARKYQTWSISGYLVAKLMIENPTNLSLIPLEEDKKIAKPRLTRFTSF >ONI00366 pep chromosome:Prunus_persica_NCBIv2:G6:5730882:5732384:1 gene:PRUPE_6G085000 transcript:ONI00366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQEERLVLELHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQEKKRAASPSSSSSNCSSSSNITSTEGGASFYDTGGLEIKASSGAKKTGGDHQEQEDDKKRDQKEYSLDDIWKDINLPEVNSIEPVYNGYSEEACNIISCPLMASPAPWEYCSDSLWEMDEEESKMFLPTTSDQFLSCFEYGKASLIG >ONI00368 pep chromosome:Prunus_persica_NCBIv2:G6:5730799:5732392:1 gene:PRUPE_6G085000 transcript:ONI00368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKAQEKKRAASPSSSSSNCSSSSNITSTEGGASFYDTGGLEIKASSGAKKTGGDHQEQEDDKKRDQKEYSLDDIWKDINLPEVNSIEPVYNGYSEEACNIISCPLMASPAPWEYCSDSLWEMDEEESKMFLPTTSDQFLSCFEYGKASLIG >ONI00365 pep chromosome:Prunus_persica_NCBIv2:G6:5730798:5732392:1 gene:PRUPE_6G085000 transcript:ONI00365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVQEENIRKGPWTEQEDFNLVCFVGLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVLELHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQEKKRAASPSSSSSNCSSSSNITSTEGGASFYDTGGLEIKASSGAKKTGGDHQEQEDDKKRDQKEYSLDDIWKDINLPEVNSIEPVYNGYSEEACNIISCPLMASPAPWEYCSDSLWEMDEEESKMFLPTTSDQFLSCFEYGKASLIG >ONI00367 pep chromosome:Prunus_persica_NCBIv2:G6:5730799:5732392:1 gene:PRUPE_6G085000 transcript:ONI00367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQEERLVLELHSKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQEKKRAASPSSSSSNCSSSSNITSTEGGASFYDTGGLEIKASSGAKKTGGDHQEQEDDKKRDQKEYSLDDIWKDINLPEVNSIEPVYNGYSEEACNIISCPLMASPAPWEYCSDSLWEMDEEESKMFLPTTSDQFLSCFEYGKASLIG >ONI00215 pep chromosome:Prunus_persica_NCBIv2:G6:5182935:5184083:-1 gene:PRUPE_6G075500 transcript:ONI00215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIDDLPQHLLVEILCRLPRNKIVFQCKCVSKRWSALISNSYFVLRFLSVRKGTTEDTLFFEATKRNKRDKEFVTMSALSSGFRTRKFFLSFVPGFGRLAVVATYNDLILCCPEDGLSRDYYICNPYTKQWVALPPAPRVHRAVAKTGFICDGAYYNCKELDGDRITSKNAGIELNSEYRYRVVNRIRQRGPNPSHFRVEIFSSETGEWTQSVIQCPQDFLNFDDINPVMLAYKGMLYWSANGGILMALDPFNNNPKTTSGSGSTSAYIFENQFCRFTAFDKPAFAPEDTDICLQCLSVCQGRLRMCYSGTGKTRSVWEWKEVQEQSHGGKLMKWFLIKKFSWHQLVTENNPVISIYALWRTRVLALDPKDEDILYVALDGDI >ONI04897 pep chromosome:Prunus_persica_NCBIv2:G6:29704241:29707038:1 gene:PRUPE_6G346900 transcript:ONI04897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAAIADSQPQPPTMHPQYPSTGIMQPGAHYMQQQAAQQMTPQSLMAARSSMLYSQQPFSALQQQALHSQLAMSAGGSTGLHMLQSEANNAGGSGQFGAGGFADYGRSSPGEGLHRRMASGSKQDMGGVGSSGGHGGDGGETLYLKSADDGN >ONI04896 pep chromosome:Prunus_persica_NCBIv2:G6:29703667:29707038:1 gene:PRUPE_6G346900 transcript:ONI04896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHLMQMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNTGKLSECAENQAKLQRNLMYLAAIADSQPQPPTMHPQYPSTGIMQPGAHYMQQQAAQQMTPQSLMAARSSMLYSQQPFSALQQQALHSQLAMSAGGSTGLHMLQSEANNAGGSGQFGAGGFADYGRSSPGEGLHRRMASGSKQDMGGVGSSGGHGGDGGETLYLKSADDGN >ONI03309 pep chromosome:Prunus_persica_NCBIv2:G6:24550719:24551704:-1 gene:PRUPE_6G250200 transcript:ONI03309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGVKFKKGAGGRRAGGPKKKPVSRSVKAGLQFPVGRIGRYLKNGRYSKRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKPERVAKKEPKSPAKGTATKSPKKA >ONI03310 pep chromosome:Prunus_persica_NCBIv2:G6:24550719:24551704:-1 gene:PRUPE_6G250200 transcript:ONI03310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGVKFKKGAGGRRAGGPKKKPVSRSVKAGLQFPVGRIGRYLKNGRYSKRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKPERVAKKEPKSPAKGTATKSPKKA >ONI03308 pep chromosome:Prunus_persica_NCBIv2:G6:24550507:24552009:-1 gene:PRUPE_6G250200 transcript:ONI03308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGVKFKKGAGGRRAGGPKKKPVSRSVKAGLQFPVGRIGRYLKNGRYSKRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKPERVAKKEPKSPAKGTATKSPKKA >ONH98975 pep chromosome:Prunus_persica_NCBIv2:G6:323308:325748:-1 gene:PRUPE_6G002800 transcript:ONH98975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLFCKIHCPPFICFCKPSPHIYTPGPLKLEDIPHPPLPSKLVSVPETSDQLSDDTNEIKEENLDGIPKPAEPCLKSSLRKRISDSSAPKQELKKRVQWMDFFGKELIEIREFECREIELHQITAPQQDLKREKETFMN >ONH98976 pep chromosome:Prunus_persica_NCBIv2:G6:323307:325748:-1 gene:PRUPE_6G002800 transcript:ONH98976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLFCKIHCPPFICFCKPSPHIYTPGPLKLEDIPHPPLPSKLVSVPETSDQLSDDTNEIKEENLDGIPKPAEPCLKSSLRKRISDSSAPKQELKKRVQWMDFFGKELIEIREFECSFSLGGTLSREIELHQITAPQQDLKREKETFMN >ONI00260 pep chromosome:Prunus_persica_NCBIv2:G6:5382650:5384980:1 gene:PRUPE_6G079000 transcript:ONI00260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEEGWPLGLQPMHVRVGLAQNNEFSGSVSFNTLLTGSPTSSTDSSSDLDTESTGSFFHDRSITLGSLIGINNILELSRRSLRGRKTDQVCKDRKSNNSTKFRLCFFSLCSRDSTDGENVNKNNHPPSLGHFLAVERRAANENYRENPPIYGPDDEVALADHQPATQPNSLFVDGQVAPPQSSPWLGSDHVDQRKPVLFSCMCGGQLSQ >ONI00261 pep chromosome:Prunus_persica_NCBIv2:G6:5383221:5384980:1 gene:PRUPE_6G079000 transcript:ONI00261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVRVGLAQNNEFSGSVSFNTLLTGSPTSSTDSSSDLDTESTGSFFHDRSITLGSLIGINNILELSRRSLRGRKTDQVCKDRKSNNSTKFRLCFFSLCSRDSTDGENVNKNNHPPSLGHFLAVERRAANENYRENPPIYGPDDEVALADHQPATQPNSLFVDGQVAPPQSSPWLGSDHVDQRKPVLFSCMCGGQLSQ >ONI00259 pep chromosome:Prunus_persica_NCBIv2:G6:5382693:5384979:1 gene:PRUPE_6G079000 transcript:ONI00259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCFVFYLILLGFCPSGFNTSSDSFVFLTKFLWPVDMFIICYNVSSSEEGWPLGLQPMHVRVGLAQNNEFSGSVSFNTLLTGSPTSSTDSSSDLDTESTGSFFHDRSITLGSLIGINNILELSRRSLRGRKTDQVCKDRKSNNSTKFRLCFFSLCSRDSTDGENVNKNNHPPSLGHFLAVERRAANENYRENPPIYGPDDEVALADHQPATQPNSLFVDGQVAPPQSSPWLGSDHVDQRKPVLFSCMCGGQLSQ >ONI04794 pep chromosome:Prunus_persica_NCBIv2:G6:29398466:29402619:-1 gene:PRUPE_6G340000 transcript:ONI04794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWVLLPVAPVTGRCLVAPVRTQRSSSICVRSSLDTNVSDMSVNAPKGLFPAEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRPFIGGKVGSFVDKRGNHIEMGLHVFFGCYSNLFRLMKKVGADENLLVKDHTHTFVNKGGNIGELDFRFPIGAPIHGILAFLSTNQIKTYDKARNAVALALSPVVKALVDPDGALRDVRNLDSISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIRKYIIDKGGRFHLRWGCREILYDQSADGETYVAGIAMSKATNKKIVKADAYVAACDVPGIKRLLPPQWREWDFFNNIYELVGVPVVTVQLRYDGWVTELQDLERSRQLKQALGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLLQCVLTPGDPYMPLPNEEIIARVTKQVLALFPSSQGLEVTWSSVVKIGQSLYREAPGKDPFRPDQKTPVKNFFLAGSYTKQDYIDSMEGATLSGRQASAYICDAGEELVGLRKKLAAQDSGEYAKAANTTDELSLV >ONI04793 pep chromosome:Prunus_persica_NCBIv2:G6:29398577:29402496:-1 gene:PRUPE_6G340000 transcript:ONI04793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWVLLPVAPVTGRCLVAPVRTQRSSSICVRSSLDTNVSDMSVNGLFPAEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRPFIGGKVGSFVDKRGNHIEMGLHVFFGCYSNLFRLMKKVGADENLLVKDHTHTFVNKGGNIGELDFRFPIGAPIHGILAFLSTNQIKTYDKARNAVALALSPVVKALVDPDGALRDVRNLDSISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIRKYIIDKGGRFHLRWGCREILYDQSADGETYVAGIAMSKATNKKIVKADAYVAACDVPGIKRLLPPQWREWDFFNNIYELVGVPVVTVQLRYDGWVTELQDLERSRQLKQALGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLLQCVLTPGDPYMPLPNEEIIARVTKQVLALFPSSQGLEVTWSSVVKIGQSLYREAPGKDPFRPDQKTPVKNFFLAGSYTKQDYIDSMEGATLSGRQASAYICDAGEELVGLRKKLAAQDSGEYAKAANTTDELSLV >ONI03153 pep chromosome:Prunus_persica_NCBIv2:G6:24019312:24022272:-1 gene:PRUPE_6G241800 transcript:ONI03153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPGSPGGGSHESGGDQSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKVYLTRYREMEGDTKGSGKGGDSSSKKDAQPSSNAQISRQGSFSQGGNYSNSQSQHMMVPMQGTE >ONI03154 pep chromosome:Prunus_persica_NCBIv2:G6:24020199:24022272:-1 gene:PRUPE_6G241800 transcript:ONI03154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPGSPGGGSHESGGDQSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKVYLTRYREMEGDTKGSGKGGDSSSKKDAQPSSNAQISRQGSFSQGGNYSNSQVLLFLVLVP >ONI03152 pep chromosome:Prunus_persica_NCBIv2:G6:24019657:24022084:-1 gene:PRUPE_6G241800 transcript:ONI03152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPGSPGGGSHESGGDQSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKVYLTRYREGDTKGSGKGGDSSSKKDAQPSSNAQISRQGSFSQGGNYSNSQSQHMMVPMQGTE >ONI03156 pep chromosome:Prunus_persica_NCBIv2:G6:24021249:24021800:-1 gene:PRUPE_6G241800 transcript:ONI03156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPGSPGGGSHESGGDQSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKVYLTRYREVTLFCYLVSPFLNLVSNFELLYPIKLRSSDFVEK >ONI03155 pep chromosome:Prunus_persica_NCBIv2:G6:24020358:24021800:-1 gene:PRUPE_6G241800 transcript:ONI03155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPGSPGGGSHESGGDQSPRSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKVYLTRYREMEGDTKGSGKGGDSSSKKDAQPSSNAQISRQGSFSQGGNYSNSQNCDDMLNDHLSVESG >ONI01759 pep chromosome:Prunus_persica_NCBIv2:G6:13965254:13966239:1 gene:PRUPE_6G157000 transcript:ONI01759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYHQLVSVFFSQNMLLPSIGFQRDVCMSLKNQIQPKFLFFFLFQGAHFYWSYPSTLPNFMVLG >ONI00533 pep chromosome:Prunus_persica_NCBIv2:G6:6449024:6455686:-1 gene:PRUPE_6G093600 transcript:ONI00533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMKEFRIVMPMSLEEYQVAQMYMVRKMQQQNTTSTEGVEILENKSFEDDVIGKGHYSSKIYRLQSKAPTWLTTFAPADALIMQEEAWNAYPRCKTVIKCPYFTKFQLTIETVHRADNGQSDNVHGLSKGQLATRDVEIVDIASSARDYWSYVVSSSNTDLSKFTSAKTGRGPLLEGWQGERALFLESHRNCFAWIDEWFGLTVEQIRELEQQSDSLKEELGRPTLVKSVEDFDQRLLPESEDIYSGQRAQLST >ONI00538 pep chromosome:Prunus_persica_NCBIv2:G6:6449010:6453581:-1 gene:PRUPE_6G093600 transcript:ONI00538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVTVIKCPYFTKFQLTIETVHRADNGQSDNVHGLSKGQLATRDVEIVDIASSARDYWSYVVSSSNTDLSKFTSAKTGRGPLLEGWQDKSKPVMTAYKLVTIDAPYWGFGYRIENALLLGERALFLESHRNCFAWIDEWFGLTVEQIRELEQQSDSLKEIQHSCELLYP >ONI00537 pep chromosome:Prunus_persica_NCBIv2:G6:6449725:6455686:-1 gene:PRUPE_6G093600 transcript:ONI00537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMKEFRIVMPMSLEEYQVAQMYMVRKMQQQNTTSTEGVEILENKSFEDDVIGKGHYSSKIYRLQSKAPTWLTTFAPADALIMQEEAWNAYPRCKTVIKCPYFTKFQLTIETVHRADNGQSDNVHGLSKGQLATRDVEIVDIASSARDYWSYVVSSSNTDLSKFTSAKTGRGPLLEGWQDKSKPVMTAYKLVTIDAPYWGFGYRIENALLLGERALFLESHRNCFAWIDEWFGLTVEQIRELEQQSDSLKEIQHSCELLYP >ONI00535 pep chromosome:Prunus_persica_NCBIv2:G6:6449024:6455686:-1 gene:PRUPE_6G093600 transcript:ONI00535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLERATTLPKFTVYKDALIMQEEAWNAYPRCKTVIKCPYFTKFQLTIETVHRADNGQSDNVHGLSKGQLATRDVEIVDIASSARDYWSYVVSSSNTDLSKFTSAKTGRGPLLEGWQDKSKPVMTAYKLVTIDAPYWGFGYRIENALLLGERALFLESHRNCFAWIDEWFGLTVEQIRELEQQSDSLKEELGRPTLVKSVEDFDQRLLPESEDIYSGQRAQLST >ONI00540 pep chromosome:Prunus_persica_NCBIv2:G6:6452234:6455686:-1 gene:PRUPE_6G093600 transcript:ONI00540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMKEFRIVMPMSLEEYQVAQMYMVRKMQQQNTTSTEGVEILENKSFEDDVIGKGHYSSKIYRLQSKAPTWLTTFAPADALIMQEEAWNAYPRCKTVIKCPYFTKFQLTIETVHRADNGQSDNVHGLSKGQLATRDVEIVDIASSARDYWSYVVSSSNTDLSKFTSAKTGRGPLLEGWQGTQICGELHCLIMPPKCLAGNKKRCTCDKALILHVLGYYFLHG >ONI00536 pep chromosome:Prunus_persica_NCBIv2:G6:6448989:6453581:-1 gene:PRUPE_6G093600 transcript:ONI00536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYVTVIKCPYFTKFQLTIETVHRADNGQSDNVHGLSKGQLATRDVEIVDIASSARDYWSYVVSSSNTDLSKFTSAKTGRGPLLEGWQDKSKPVMTAYKLVTIDAPYWGFGYRIENALLLGERALFLESHRNCFAWIDEWFGLTVEQIRELEQQSDSLKEELGRPTLVKSVEDFDQRLLPESEDIYSGQRAQLST >ONI00534 pep chromosome:Prunus_persica_NCBIv2:G6:6449460:6455384:-1 gene:PRUPE_6G093600 transcript:ONI00534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMKEFRIVMPMSLEEYQVAQMYMVRKMQQQNTTSTEGVEILENKSFEDDVIGKGHYSSKIYRLQSKAPTWLTTFAPADALIMQEEAWNAYPRCKTVIKCPYFTKFQLTIETVHRADNGQSDNVHGLSKGQLATRDVEIVDIASSARDYWSYVVSSSNTDLSKFTSAKTGRGPLLEGWQDKSKPVMTAYKLVTIDAPYWGFGYRIENALLLGERALFLESHRNCFAWIDEWFGLTVEQIRELEQQSDSLKEELGRPTLVKSVEDFDQRLLPESEDIYSGQRAQLST >ONI00539 pep chromosome:Prunus_persica_NCBIv2:G6:6450139:6455384:-1 gene:PRUPE_6G093600 transcript:ONI00539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMKEFRIVMPMSLEEYQVAQMYMVRKMQQQNTTSTEGVEILENKSFEDDVIGKGHYSSKIYRLQSKAPTWLTTFAPADALIMQEEAWNAYPRCKTVIKCPYFTKFQLTIETVHRADNGQSDNVHGLSKGQLATRDVEIVDIASSARDYWSYVVSSSNTDLSKFTSAKTGRGPLLEGWQDKSKPVMTAYKLVTIDAPYWGFGYRIENALLLGERALFLESHRNCFAWIDEWFGLTVEQIRELEQQSDSLKEIFSDSTFL >ONI00212 pep chromosome:Prunus_persica_NCBIv2:G6:5158717:5163416:-1 gene:PRUPE_6G075200 transcript:ONI00212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNFPEHLFFMFEMASCSEEMDKAIAKLHQNFQDLRYHLEKAFLEQGRALLKFEAQWNDLKKEHKKGLEQHEVNLQQMGISVPSIGTGHFATANPNAALWSNSTAWASGNGTPTAWASGNGTPTSRASGNGTPKLHCDICNVYCDTKEVLDKHKLGKKHKMNMDKLKGKKPIPGQNSKRKAAYQPVEDLETKRRKVLESGAAPHTLRTCAICNVVCLSETDFNNHRAGQRHADAVVAMAKKHAAGTSSHHQPERRFYGGNQ >ONI03511 pep chromosome:Prunus_persica_NCBIv2:G6:25196300:25197164:-1 gene:PRUPE_6G261800 transcript:ONI03511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSVSLNLLIDPKTHKVMFAEASKEVADFLFSFLSLHVATVTRLLSTDGMVGCLGNLYRSAESLVNIKAGYVKGGVVYMIMDNLEVKPMTTESSVAVLQKFNVKGIDALQGKEGLKLVKASSESNTALTNVFL >ONI02892 pep chromosome:Prunus_persica_NCBIv2:G6:23107695:23109774:1 gene:PRUPE_6G227000 transcript:ONI02892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQILFYTFLSFISILFTIKFLLQEKRRRYKNLTPSPLSLPILGHLHLLKIPIHRTLQRLSQNHGPVISLWFGSQRVVIVSSSSAAQECFTKNDVVLANRPSMLIGKHLAYNNTTTATSQYGDHWRNLRRIGSTEIFSTSRLNAFLNVREDEVKHLLLKLSENVHDDFAKVELKSMFYELTFNIIMRMVAGKRYYGEDASVDKEEARQFREIMKEVFAYTGVANPVDFLPVLNWVGSERGYEKKVMKLARKTDAFMQGLIDEHRSKDKNGSTMIDHLLSLQDSQPEYYSDQIIKGLILDMVLAGTDTSSVTLEWAMSNLFNHPDVLKRARAELDAQLGQERLVKEHDISKLPYLQSIISETLRLYPAAPILLPHFSTDDCAIGGYKVPRGTMVLVNAWAIHRDPKLWDDPQSFIPERFGSGYDLSSQLMPFGLGRRSCPGSGLAQRTLGLTLGSLIQCFDWARISEKEIDMTEGKGFTMPKVMPLEVMCKARPIINKVLIV >ONI03748 pep chromosome:Prunus_persica_NCBIv2:G6:26185039:26187246:1 gene:PRUPE_6G279700 transcript:ONI03748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPSTSGTMKAFRGKLHFPIIMLCTIAFISFLYTERISILLSSNPFFKIKSCSKRGSVIKNDDHRNATEDKIDGSVVDDRFVFDPEECSVENGKWVFNRSIKPLYTDRSCPYLDRQVSCHKNGRPDSDYLHWEWQIEDCTLPRFDPEVTLEKLRGKRLLFVGDSLQRGQWQSLVCMVEFIIPEDQKSIRRGRAHTVFKAKEYNASIEFYWAPFLVESNSDLHIIGNPKERILKVDSIAKHAKHWEGVDILVFNTYVWWMSGYTIKSYWGSFPNGEEGYEELEAPAAYRIALKTWANWVDSNVNPNKTRVFFTTMSPTHMRSADWDNPEGMRCFNETKPFLKKGFWGTGSDKRVMRVVAEVLKRMKVPVSVLNVTQMSNHRVDAHTKVYTETGGKVLTDEQKADVLHNSDCIHWCLPGVPDTWNQIFLAHL >ONI04285 pep chromosome:Prunus_persica_NCBIv2:G6:28042440:28043650:-1 gene:PRUPE_6G313500 transcript:ONI04285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSVITPEDVLESLMNDGTIDALRLKIINQLKANEELKKTTIKMAEQSKVLNTPGAEKQTKRELFDALRQELETQVLEKASKSVWELILDNNGLGKEINHTVERVFCKLSGREPPLFPPPDANENANDKEKEKEKGKGKGKGKGKGKETAASDSHEKEKSNSVFKKRSFSELNEQGEVEDHQVAAKSDEPPAVVPEEDSQVLKT >ONI00233 pep chromosome:Prunus_persica_NCBIv2:G6:5278450:5280495:-1 gene:PRUPE_6G077200 transcript:ONI00233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPCFRLISTSEDCVMPLPPLPPSSPSIIDNPRLRLADMVGLGLMLAWFGLFAAFCFLKFCNRPNDNVVDLEAQTDPPQNPPQTTMVFTCRIESSNNNNSRNLNTDDEDLHQCSICLEEFKDGEECRLLANCKHSFHKRCVDKWLTNKESKPPCPLCRASVQSIQTEQENQVDSTA >ONI04279 pep chromosome:Prunus_persica_NCBIv2:G6:28018522:28018957:-1 gene:PRUPE_6G313100 transcript:ONI04279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVCSQERRSNVVNNIRDMRGEETNRGISCLLSVFNTTQKLVQHLGRYKCGELPPNHRECFMRLILGNLRMNMFGDTEKMMFSFMLNRPNQIVPNRI >ONI01531 pep chromosome:Prunus_persica_NCBIv2:G6:11783738:11787034:1 gene:PRUPE_6G144600 transcript:ONI01531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLLQTTFLKKTSLEWGYDGNLLGLQEVDVKRLLRKTHRGLNEFKNEISLIAKLQRMNLQAQIDWRKHFEIIEEISRRVFYLNRDHRLRKIPRDLKSSNILLVGDMIPQISEFGISIIFGGSQNEAYTTLVVGTYYMSPKYAMEDLFSVKAVYSFAVELLEIGNLLGLQEVGVKILSRRIDQGLEKFKSEISLIANMVLYVQDSPISRLTMPSMVLMMESETTLLQAQNPIYRF >ONH99436 pep chromosome:Prunus_persica_NCBIv2:G6:2271513:2278990:-1 gene:PRUPE_6G029300 transcript:ONH99436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQESHPNNSIFSSSPCKNMRGLKALVSNNEAPFANAEEFINDYELAQRKAEEAASRRYQAAEWLRKMDYGASETLSKEPSEEEFRLALRNGLILCNVLNKVNPGAVLKVVENPIMAVQSTEGAAQSAIQYFENMRNFLEAVNDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGIGVWRYGGTVRITSFPKGSLSSLGSESADESIDESESSQFEQLMEFLHLSSEVSTEESRAANALAFLFDRFGLGLIQAYLRETNGIEELPFNAMIIDTLLSKVVKDFSALLVSQGTQLGLFLKKLLRGDVGVLSKSEFVEAISQYLGQRSGLVSNDLSKFCICGGRGEAVQHNTSHSSVHEELIDIQQKQLEELKSSFQETRFEVKQVHSNWEGELRRLEHHIKGLEVASSSYQKVIEENRALYNQVQDLKGSIRVYCRVRPFLPWQSNSQSTVDYIGENGTIMIVNPVKQGKDARRVFTFNKVFRTNVTQEHIYADTQPLVRSVLDGYNACIFAYGQTGSGKTYTMSGPDLTTEESWGVNYRALRDLFQISKARVDIVRYEVAVQMIEIYNEQVRDLLVSDGSNRRLDIRNKSQLNGLNVPDASLVPVTCTQDVLELMKIGQKNRAVGATALNERSSRSHSVLTVHIYGKELATGSILRGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSTHVPYRNSKLTQVLQDSLGGAAKTMMFVHINPELNALGETISTLKFAERVASIELGAARSNKETGEIRELKEEISNLKLALERKEAELEQVKGGSRNTIDSQKPRAVSPFRLPRNGINNISRPETCQRPLDDTKISEARSCSSGKQRRSRFPSAFAEKDITPKMPLLGEERLVISGKPRSPSPPVRRSISTDRGAFIKSRVKAETAENQPIAKLPFPARVPVNKSLATMPVIPSTDNNLRFSQEPPNHGDISDALNSFQKANFKKVCPEQEDEQFKQALNVRQGGIRKIKNESKAKAKQNRIPARIQKSDAVTTMFSDLDAGEKVEEARKSDFSEPENEHIPIGSPMHNSLMEKKLRHNLPRNYINLEPRGIVQAAEPLLAGKTENKLPNGGTRYQKEGSNMSMPEFRRSRSTPRGKFLLLP >ONH99435 pep chromosome:Prunus_persica_NCBIv2:G6:2269899:2278516:-1 gene:PRUPE_6G029300 transcript:ONH99435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQESHPNNSIFSSSPCKNMRGLKALVSNNEAPFANAEEFINDYELAQRKAEEAASRRYQAAEWLRKMDYGASETLSKEPSEEEFRLALRNGLILCNVLNKVNPGAVLKVVENPIMAVQSTEGAAQSAIQYFENMRNFLEAVNDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGIGVWRYGGTVRITSFPKGSLSSLGSESADESIDESESSQFEQLMEFLHLSSEVSTEESRAANALAFLFDRFGLGLIQAYLRETNGIEELPFNAMIIDTLLSKVVKDFSALLVSQGTQLGLFLKKLLRGDVGVLSKSEFVEAISQYLGQRSGLVSNDLSKFCICGGRGEAVQHNTSHSSVHEELIDIQQKQLEELKSSFQETRFEVKQVHSNWEGELRRLEHHIKGLEVASSSYQKVIEENRALYNQVQDLKGSIRVYCRVRPFLPWQSNSQSTVDYIGENGTIMIVNPVKQGKDARRVFTFNKVFRTNVTQEHIYADTQPLVRSVLDGYNACIFAYGQTGSGKTYTMSGPDLTTEESWGVNYRALRDLFQISKARVDIVRYEVAVQMIEIYNEQVRDLLVSDGSNRRYPYYSYISQLNGLNVPDASLVPVTCTQDVLELMKIGQKNRAVGATALNERSSRSHSVLTVHIYGKELATGSILRGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSTHVPYRNSKLTQVLQDSLGGAAKTMMFVHINPELNALGETISTLKFAERVASIELGAARSNKETGEIRELKEEISNLKLALERKEAELEQVKGGSRNTIDSQKPRAVSPFRLPRNGINNISRPETCQRPLDDTKISEARSCSSGKQRRSRFPSAFAEKDITPKMPLLGEERLVISGKPRSPSPPVRRSISTDRGAFIKSRVKAETAENQPIAKLPFPARVPVNKSLATMPVIPSTDNNLRFSQEPPNHGDISDALNSFQKANFKKVCPEQEDEQFKQALNVRQGGIRKIKNESKAKAKQNRIPARIQKSDAVTTMFSDLDAGEKVEEARKSDFSEPENEHIPIGSPMHNSLMEKKLRHNLPRNYINLEPRGIVQAAEPLLAGKTENKLPNGGTRYQKEGSNMSMPEFRRSRSTPPAPFSIPKSPSAEKVCQEQETDKAAPQSAITYVYQVKLAELYCNVTATWCKNHTSHSLCITMEKPCQNNQCCTCKVDLNSCQFWGKKGLKSFEVDGRRVDVYWDFRQAKFSSTPEPCSDYYVALVSKQEVVLLLGDLMEDAYKRTRSRASSEDAKLMYKKENVSGKRLFCTKAMLEEGKKEHDIVIETSLSGPDEPEMWISIDGTVAIQIMNLHWRFRGNETVMLNDVPVEIFWDVHDWLFSSLGTSHGFFIFKPSRLDGDYSSGSPSQYNSPKGSSSPTTGFCHFLYAWKTE >ONH99437 pep chromosome:Prunus_persica_NCBIv2:G6:2271513:2279038:-1 gene:PRUPE_6G029300 transcript:ONH99437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQESHPNNSIFSSSPCKNMRGLKALVSNNEAPFANAEEFINDYELAQRKAEEAASRRYQAAEWLRKMDYGASETLSKEPSEEEFRLALRNGLILCNVLNKVNPGAVLKVVENPIMAVQSTEGAAQSAIQYFENMRNFLEAVNDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGIGVWRYGGTVRITSFPKGSLSSLGSESADESIDESESSQFEQLMEFLHLSSEVSTEESRAANALAFLFDRFGLGLIQAYLRETNGIEELPFNAMIIDTLLSKVVKDFSALLVSQGTQLGLFLKKLLRGDVGVLSKSEFVEAISQYLGQRSGLVSNDLSKFCICGGRGEAVQHNTSHSSVHEELIDIQQKQLEELKSSFQETRFEVKQVHSNWEGELRRLEHHIKGLEVASSSYQKVIEENRALYNQVQDLKGSIRVYCRVRPFLPWQSNSQSTVDYIGENGTIMIVNPVKQGKDARRVFTFNKVFRTNVTQEHIYADTQPLVRSVLDGYNACIFAYGQTGSGKTYTMSGPDLTTEESWGVNYRALRDLFQISKARVDIVRYEVAVQMIEIYNEQVRDLLVSDGSNRRLDIRNKSQLNGLNVPDASLVPVTCTQDVLELMKIGQKNRAVGATALNERSSRSHSVLTVHIYGKELATGSILRGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSTHVPYRNSKLTQVLQDSLGGAAKTMMFVHINPELNALGETISTLKFAERVASIELGAARSNKETGEIRELKEEISNLKLALERKEAELEQVKGGSRNTIDSQKPRAVSPFRLPRNGINNISRPETCQRPLDDTKISEARSCSSGKQRRSRFPSAFAEKDITPKMPLLGEERLVISGKPRSPSPPVRRSISTDRGAFIKSRVKAETAENQPIAKLPFPARVPVNKSLATMPVIPSTDNNLRFSQEPPNHGDISDALNSFQKANFKKVCPEQEDEQFKQALNVRQGGIRKIKNESKAKAKQNRIPARIQKSDAVTTMFSDLDAGEKVEEARKSDFSEPENEHIPIGSPMHNSLMEKKLRHNLPRNYINLEPRGIVQAAEPLLAGKTENKLPNGGTRYQKEGSNMSMPEFRRSRSTPRGKFLLLP >ONH99438 pep chromosome:Prunus_persica_NCBIv2:G6:2271513:2276944:-1 gene:PRUPE_6G029300 transcript:ONH99438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQSTEGAAQSAIQYFENMRNFLEAVNDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGIGVWRYGGTVRITSFPKGSLSSLGSESADESIDESESSQFEQLMEFLHLSSEVSTEESRAANALAFLFDRFGLGLIQAYLRETNGIEELPFNAMIIDTLLSKVVKDFSALLVSQGTQLGLFLKKLLRGDVGVLSKSEFVEAISQYLGQRSGLVSNDLSKFCICGGRGEAVQHNTSHSSVHEELIDIQQKQLEELKSSFQETRFEVKQVHSNWEGELRRLEHHIKGLEVASSSYQKVIEENRALYNQVQDLKGSIRVYCRVRPFLPWQSNSQSTVDYIGENGTIMIVNPVKQGKDARRVFTFNKVFRTNVTQEHIYADTQPLVRSVLDGYNACIFAYGQTGSGKTYTMSGPDLTTEESWGVNYRALRDLFQISKARVDIVRYEVAVQMIEIYNEQVRDLLVSDGSNRRLDIRNKSQLNGLNVPDASLVPVTCTQDVLELMKIGQKNRAVGATALNERSSRSHSVLTVHIYGKELATGSILRGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSTHVPYRNSKLTQVLQDSLGGAAKTMMFVHINPELNALGETISTLKFAERVASIELGAARSNKETGEIRELKEEISNLKLALERKEAELEQVKGGSRNTIDSQKPRAVSPFRLPRNGINNISRPETCQRPLDDTKISEARSCSSGKQRRSRFPSAFAEKDITPKMPLLGEERLVISGKPRSPSPPVRRSISTDRGAFIKSRVKAETAENQPIAKLPFPARVPVNKSLATMPVIPSTDNNLRFSQEPPNHGDISDALNSFQKANFKKVCPEQEDEQFKQALNVRQGGIRKIKNESKAKAKQNRIPARIQKSDAVTTMFSDLDAGEKVEEARKSDFSEPENEHIPIGSPMHNSLMEKKLRHNLPRNYINLEPRGIVQAAEPLLAGKTENKLPNGGTRYQKEGSNMSMPEFRRSRSTPRGKFLLLP >ONI01389 pep chromosome:Prunus_persica_NCBIv2:G6:11084891:11091103:1 gene:PRUPE_6G137100 transcript:ONI01389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLSLEQVLKDNNTRDPNSISSLKLNHKALSDVSCLSEFKNLERLDLSFNNLTSLEGLKSCVNLKWLSVANNKLQSLKGIEGLSKLTVLNAGKNKLKVMDEVMSIVSLRAVILNDNEIASVCRLEPLRDLNTLVLSRNPIRDIGDSLMKVKSITKLSLSHCQLQTIHSSLKSCIELKELRLAHNDIKGLPAELALNKKLQNLDLGNNVITRWSDLKVLNSLVNLKNLNLQGNPIVEKDKLAKKIKRILPNLHVFNARPIDKYTKNEKGAGVDEVDDSSLNAANKQESQTEKRKDRDFKHLMMAGTKGGIGKLENSSDSDTKKLKLKKQKPDDNVSNHEVLVQGDEKSDHVKKKSYKHQVLNENDPSNLQKAGDLEKESQKKKQKKNPLHTEDNTKVGKKLEKAKVKQEGELDVIDDADTPFLELFSFNMGDAEVGGENKVNDKVSEEVNLLGGLVTFPSKRKKAKNRGTGYTLQPLPLDEIGTGGPSTWGDD >ONI01392 pep chromosome:Prunus_persica_NCBIv2:G6:11088219:11091103:1 gene:PRUPE_6G137100 transcript:ONI01392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKSITKLSLSHCQLQTIHSSLKSCIELKELRLAHNDIKGLPAELALNKKLQNLDLGNNVITRWSDLKVLNSLVNLKNLNLQGNPIVEKDKLAKKIKRILPNLHVFNARPIDKYTKNEKGAGVDEVDDSSLNAANKQESQTEKRKDRDFKHLMMAGTKGGIGKLENSSDSDTKKLKLKKQKPDDNVSNHEVLVQGDEKSDHVKKKSYKHQVLNENDPSNLQKAGDLEKESQKKKQKKNPLHTEDNTKVGKKLEKAKVKQEGELDVIDDADTPFLELFSFNMGDAEVGGENKVNDKVSEEVNLLGGLVTFPSKRKKAKNRGTGYTLQPLPLDEIGTGGPSTWGDD >ONI01391 pep chromosome:Prunus_persica_NCBIv2:G6:11087426:11091103:1 gene:PRUPE_6G137100 transcript:ONI01391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVMSIVSLRAVILNDNEIASVCRLEPLRDLNTLVLSRNPIRDIGDSLMKVKSITKLSLSHCQLQTIHSSLKSCIELKELRLAHNDIKGLPAELALNKKLQNLDLGNNVITRWSDLKVLNSLVNLKNLNLQGNPIVEKDKLAKKIKRILPNLHVFNARPIDKYTKNEKGAGVDEVDDSSLNAANKQESQTEKRKDRDFKHLMMAGTKGGIGKLENSSDSDTKKLKLKKQKPDDNVSNHEVLVQGDEKSDHVKKKSYKHQVLNENDPSNLQKAGDLEKESQKKKQKKNPLHTEDNTKVGKKLEKAKVKQEGELDVIDDADTPFLELFSFNMGDAEVGGENKVNDKVSEEVNLLGGLVTFPSKRKKAKNRGTGYTLQPLPLDEIGTGGPSTWGDD >ONI01390 pep chromosome:Prunus_persica_NCBIv2:G6:11087504:11091103:1 gene:PRUPE_6G137100 transcript:ONI01390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVMSIVSLRAVILNDNEIASVCRLEPLRDLNTLVLSRNPIRDIGDSLMKVKSITKLSLSHCQLQTIHSSLKSCIELKELRLAHNDIKGLPAELALNKKLQNLDLGNNVITRWSDLKVLNSLVNLKNLNLQGNPIVEKDKLAKKIKRILPNLHVFNARPIDKYTKNEKGAGVDEVDDSSLNAANKQESQTEKRKDRDFKHLMMAGTKGGIGKLENSSDSDTKKLKLKKQKPDDNVSNHEVLVQGDEKSDHVKKKSYKHQVLNENDPSNLQKAGDLEKESQKKKQKKNPLHTEDNTKVGKKLEKAKVKQEGELDVIDDADTPFLELFSFNMGDAEVGGENKVNDKVSEEVNLLGGLVTFPSKRKKAKNRGTGYTLQPLPLDEIGTGGPSTWGDD >ONI04406 pep chromosome:Prunus_persica_NCBIv2:G6:28340490:28345493:1 gene:PRUPE_6G320000 transcript:ONI04406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSSRTIYVGNLPGDIRMREIEDLFMKYGPIVDIDLKVPPRPPGYAFIEFEDARDADDAIYGRDGYNFDGYRLRVELAHGGRGHSSSMDRYSSYSHSSSSRGASRRSDYRVLVTGLPPSASWQDLKDHMRRAGDVCFSQVFRDQGGMTGIVDYTNYDDMRYAIRKLDDSEFKNAFSRAYIRVKEYDSRRSYSRSPSYDMRRSYSRSPSRSPYTSRSQSRSRSYSYGGRIRSISPEAKYLHCSPSVSYPRSVSRSRARSRTRSRSPVSSASPRTLSRSKSRSRSLSRSLSPSAR >ONI04407 pep chromosome:Prunus_persica_NCBIv2:G6:28340808:28342829:1 gene:PRUPE_6G320000 transcript:ONI04407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSSRTIYVGNLPGDIRMREIEDLFMKYGPIVDIDLKVPPRPPGYAFIEFEDARDADDAIYGRDGYNFDGYRLRVELAHGGRGHSSSMDRYSSYSHSSSSRGASRRSDYRVLVTGLPPSASWQDLKDHMRRAGDVCFSQVFRDQGGMTGIVDYTNYDDMRYAIRKLDDSEFKNAFSRAYIRVKEYDSRRSYSRSPSYDMRRSYSRSPSRSPYTSRSQSRSRSYSYGGRIRSISPEAKYLHCSPSVSYPRSVSRSRARYGHATLKF >ONH99897 pep chromosome:Prunus_persica_NCBIv2:G6:3963757:3968466:-1 gene:PRUPE_6G056400 transcript:ONH99897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVSAARQCLTEDAARALDDAVAVARRRSHAQTTSLHTVSALLALPSSTLRDACARARSSAYSPRLQFRALELSVGVSLDRLPSSKAQDEPPVANSLMAAIKRSQANQRRHPESFHLHQIHNQQQTASLLKVELKHFILSILDDPIVSRVFGEAGFRSCDIKLAILHPPVTQSTRFPRTRCPPIFLCNLTDADPARPGFSFPFSGPEDRDENNRRIGDVLVRKSGKNPLLIGVCASEALKSFTEAVQKGKTGLLPAEITSFSVVCIEKEISEFVVDGGSEEKMGLKFKEVGQMAERCSGAGTGIIVNYGELKALVGEGVVGESVSFVVMQLKSLLEIYSGKLWLIGAAASDEVYTKLLALFSTIAKDWDLHLLPITSSKASMEGIYSKSSLMGSFVPFGGFFPGPSDFKNPLSSTYQSFRRCHQCTEKYEQEVAAIRKLGSTISAADQCSDSLPSWLQIPELVIGKGVDLEKTKDDQTTLNAKVSALQKKWNDICRQNHHTQPFPKVDCYQTGCQVASAGGSRAVVDGKANSGEDSCLNESHSAIQHGCRPMNMQTGFLLKQNLPMQVVSNAENASPQSELLVKDSKGQRLELGSPCCSPYPIHSVNLPTDRTSSSSVTSVTTDLGLGTLYASTSLGPSSPRLQDHKESLGRLSGSISAEFDALSENTSRQIAQSSSCSGSDVGGQCDPRDFKSLRRVLTEKVGWQDEAICTISQAVSHWRSGGGRNRGSKLRGDIWLTLIGPDRVGKKKIALALAEILFGTRESLISVDLGSQDRGYQSNSIFQCEGSDDYDVKFRGKTVVDYVAGELSRRPHSVFFLENVDKADFLAQSSLLVAIRTGKFRDSHGREISINNIIFVTTSAIKKRSKSHYIENEPRKFSEEIILAAKRCQMQIRNLGDVNQSKGVNVRIAPREGTSSPCCVNKRKLIDTNVSIEQSLELHKRSNKALRSFLDLNLPVEETDECIDSEGFDSDSTSENSEAWLEDFLDHVDVKVVLKPFDFDALAEKIVKEINQESKKIFGSEVQLEIDFGVMVQILAAGWLSERKKALKEWVEQVLCRSFDEARQKYRLTGHSVMKLVAGEALSVEEQTPSVCLPARISLN >ONI02310 pep chromosome:Prunus_persica_NCBIv2:G6:19758697:19759928:-1 gene:PRUPE_6G190200 transcript:ONI02310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPPSDKDATQDVPALSDSIRKNCLGPFKELLVKLGELSEVSPVTCIISDEVMGFGSRAAMELGIPEVQFWTASTCGFMGSWTLLFSYFYSSPQF >ONI01105 pep chromosome:Prunus_persica_NCBIv2:G6:9070166:9076904:-1 gene:PRUPE_6G121900 transcript:ONI01105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCNHQFGSRTWRREMEGNHHLPCFLLTLLLGPPASGKTTLLKALAGKLDKDLRVTGKVTYCGHEFKEFVPQRTSAYISQHDIQYGEMTVRETLDFSGRCLGVGTRYDMLVELSRREKDSGIKPDTEIDAFMKATSMSGQETSLITDYVLKLLGLEICADTSVGDGMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFTRQMVHIMDVSMVISLLQPAPETYDLFDDIILLSEGQIVYQGPRENMLEFFEYMGFRCPERKGVADFLQEVTSKKDQEQYWYNKNQDYRYVSVPDFVQAFSSFHVGQRLLEDLRVPYDKRTAHPAALVKDKYGISNMELFKACFAREWLLMKRNSFVYIFKTAQITIMATIALTVFLRTEMQAGTVQDSAKFWGALFFSLINVMFNGMAELAMTVFRLPVFFKQRDALFYPGWAFGLPIWLTRIPISLMDSGIWIILTYYTIGFAPAASRFFKQLLAFFSVHQMALSLFRFIAALGRTEVVANTIGSLTLLIVFVLGGFVVAKDDIVPWMIWGYYVSPMMYGQNAIAINEFLDERWSAPVNNSIEPTVGKMLLRERGLFTEEYWYWICVAALFGFSLLFNVLFIAALTFLNPLGGTKTLIENDDSESNRKRRPNPEGTDMQVRNAANNQARKGMVLPFQPLSLAFNNVNYYVDMPAEMKSQGIEENRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGYIEGSITISGFPKNQVTFARVSGYCEQNDIHSPYVTVYESLIYSAWLRLAKDVKKDTRKMFVDEVMDLVELNPLRNALVGVAGVDGLSTEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQVIYAGPLGRQSHKLVEYFEAIPGVSKIKEGYNPATWMLEVSSSAVEVRLETDFAEVYANSELYRRNQELINELSTPLPGSKDLYFPTQYSQGFGTQCKACFWKQHWSYWRNSRYNAIRFFMTICTGVLFGVIFWGKGDQIHKQQDLINLLGATYSAILFLGSNNAFSVQSVVAVERTVFYRERAAGMYSELPYAFAQVSIETIYVAIQTFIYSCLLFFMIGYNFKVEKFLYFYYFIFMSFTYFSMYGMMAVALTPGHQIAAIVMSFFMSFWNLFSGFLIPRPLIPIWWRWYYWGSPIAWTIYGVFTSQVGDVKTFIDIPSQEPQRVDLFIKDYLGYDYDFLIPVVVAHVGWVLLFFFVFAYGIKFLNFQRR >ONH99149 pep chromosome:Prunus_persica_NCBIv2:G6:1022865:1045684:1 gene:PRUPE_6G014400 transcript:ONH99149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRGGSDQPPPQLQRRLTRTQTAGNLGETAFDSEVVPSSLVEIAPILRVANEVETHNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALTSAAHKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILEAHDKVAEKTKLLVPYNILPLDPDSTNQAIMKLSEIQATVFALRNTRGLPWPKEYKKKNDEDILDWLQSMFGFQKDNVANQREHLILLLANVHIRQFPKPEQQPKLDDRALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIAKEAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRAGADFFCLPIEQLRFDKSSGDNKPASGDRWVGKVNFVEIRSFWHIFRSFDRMWSFFILCLQVMIIVAWNGSGQPTAIFTAGVFEKVLTVFITAAILKLGQAVLDVILSWKARRSMSFHVKLRYILKVITAAAWVIILPVTYSYSWKNPPGFARTIKSWFGNDSHSPSLFILAVVVYLSPNMLAAVLFLFPFIRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIRPLVGPTKAIMSVHITTFQWHEFFPRAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNARLIPAEKSEPKKKGLKATLSRNFVQNEDNKEKEAARFAQLWNKIISSFREEDLISDREMDLLLVPYWANRDLGHLIQWPPFLLASKIPIALDMAKDSNGKDKELKKRIDADNYMSCAVCECYASFKNIIRSLVQGNREKEVIDYIFSEVDKHIESNDLMVEFKMSALPSLYAQFIRLIEYLLGNKQDDRDQVVILFQDMLEVVTRDIMMEDHISSLVDSVHGVSGHEAMMPIDQHQQYQLFASSGAIRFPIEQVTEAWKEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPPAPKVRNMLSFSVLTPYYTEEVLFSSLDLEVPNEDGVSILFYLQKIFPDEWNNFLQRVNCTSEEELKGSNGLDEDLRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAQDDALMDGYKAIELNSEDESKEERSLWAQCQAVADMKFTYVVSCQLYGIHKRSGDPRAQDILKLMTTYPSLRVAYIDEVEEPSKDRSKKINQKAYYSTLVKAALPKSIDSPEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLEKHDGVRHPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGISKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYYSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLEKGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIELLVLLLVYQIFGHTYRSAVAYILITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQEHLQHSGKRGIVAEILLSLRFFIYQYGLVYHLNIAKKTKSVLVYGISWLVIFLILFVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFVSILVTLIVLPHMTLQDIIVCILAFMPTGWGILLIAQACKPVVHKAGLWPSVRTLARGFEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSRNKE >ONH99148 pep chromosome:Prunus_persica_NCBIv2:G6:1022613:1045684:1 gene:PRUPE_6G014400 transcript:ONH99148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRGGSDQPPPQLQRRLTRTQTAGNLGETAFDSEVVPSSLVEIAPILRVANEVETHNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALTSAAHKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILEAHDKVAEKTKLLVPYNILPLDPDSTNQAIMKLSEIQATVFALRNTRGLPWPKEYKKKNDEDILDWLQSMFGFQKDNVANQREHLILLLANVHIRQFPKPEQQPKLDDRALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIAKEAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRAGADFFCLPIEQLRFDKSSGDNKPASGDRWVGKVNFVEIRSFWHIFRSFDRMWSFFILCLQVMIIVAWNGSGQPTAIFTAGVFEKVLTVFITAAILKLGQAVLDVILSWKARRSMSFHVKLRYILKVITAAAWVIILPVTYSYSWKNPPGFARTIKSWFGNDSHSPSLFILAVVVYLSPNMLAAVLFLFPFIRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIRPLVGPTKAIMSVHITTFQWHEFFPRAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNARLIPAEKSEPKKKGLKATLSRNFVQNEDNKEKEAARFAQLWNKIISSFREEDLISDREMDLLLVPYWANRDLGHLIQWPPFLLASKIPIALDMAKDSNGKDKELKKRIDADNYMSCAVCECYASFKNIIRSLVQGNREKEVIDYIFSEVDKHIESNDLMVEFKMSALPSLYAQFIRLIEYLLGNKQDDRDQVVILFQDMLEVVTRDIMMEDHISSLVDSVHGVSGHEAMMPIDQHQQYQLFASSGAIRFPIEQVTEAWKEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPPAPKVRNMLSFSVLTPYYTEEVLFSSLDLEVPNEDGVSILFYLQKIFPDEWNNFLQRVNCTSEEELKGSNGLDEDLRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAQDDALMDGYKAIELNSEDESKEERSLWAQCQAVADMKFTYVVSCQLYGIHKRSGDPRAQDILKLMTTYPSLRVAYIDEVEEPSKDRSKKINQKAYYSTLVKAALPKSIDSPEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLEKHDGVRHPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGISKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYYSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLEKGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIELLVLLLVYQIFGHTYRSAVAYILITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQEHLQHSGKRGIVAEILLSLRFFIYQYGLVYHLNIAKKTKSVLVYGISWLVIFLILFVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFVSILVTLIVLPHMTLQDIIVCILAFMPTGWGILLIAQACKPVVHKAGLWPSVRTLARGFEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSRNKE >ONH99150 pep chromosome:Prunus_persica_NCBIv2:G6:1022628:1045684:1 gene:PRUPE_6G014400 transcript:ONH99150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRGGSDQPPPQLQRRLTRTQTAGNLGETAFDSEVVPSSLVEIAPILRVANEVETHNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALTSAAHKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILEAHDKVAEKTKLLVPYNILPLDPDSTNQAIMKLSEIQATVFALRNTRGLPWPKEYKKKNDEDILDWLQSMFGFQKDNVANQREHLILLLANVHIRQFPKPEQQPKLDDRALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIAKEAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRAGADFFCLPIEQLRFDKSSGDNKPASGDRWVGKVNFVEIRSFWHIFRSFDRMWSFFILCLQVMIIVAWNGSGQPTAIFTAGVFEKVLTVFITAAILKLGQAVLDVILSWKARRSMSFHVKLRYILKVITAAAWVIILPVTYSYSWKNPPGFARTIKSWFGNDSHSPSLFILAVVVYLSPNMLAAVLFLFPFIRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIRPLVGPTKAIMSVHITTFQWHEFFPRAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNARLIPAEKSEPKKKGLKATLSRNFVQNEDNKEKEAARFAQLWNKIISSFREEDLISDREMDLLLVPYWANRDLGHLIQWPPFLLASKIPIALDMAKDSNGKDKELKKRIDADNYMSCAVCECYASFKNIIRSLVQGNREKEVIDYIFSEVDKHIESNDLMVEFKMSALPSLYAQFIRLIEYLLGNKQDDRDQVVILFQDMLEVVTRDIMMEDHISSLVDSVHGVSGHEAMMPIDQHQQYQLFASSGAIRFPIEQVTEAWKEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPPAPKVRNMLSFSVLTPYYTEEVLFSSLDLEVPNEDGVSILFYLQKIFPDEWNNFLQRVNCTSEEELKGSNGLDEDLRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAQDDALMDGYKAIELNSEDESKEERSLWAQCQAVADMKFTYVVSCQLYGIHKRSGDPRAQDILKLMTTYPSLRVAYIDEVEEPSKDRSKKINQKAYYSTLVKAALPKSIDSPEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLEKHDGVRHPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGISKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYYSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLEKGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIELLVLLLVYQIFGHTYRSAVAYILITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQEHLQHSGKRGIVAEILLSLRFFIYQYGLVYHLNIAKKTKSVLVYGISWLVIFLILFVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFVSILVTLIVLPHMTLQDIIVCILAFMPTGWGILLIAQACKPVVHKAGLWPSVRTLARGFEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSRNKE >ONH99151 pep chromosome:Prunus_persica_NCBIv2:G6:1025838:1045684:1 gene:PRUPE_6G014400 transcript:ONH99151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFYQHYYKKYIQALTSAAHKADRAQLTKAYQTANVLFEVLKAVNMTQSMEVDREILEAHDKVAEKTKLLVPYNILPLDPDSTNQAIMKLSEIQATVFALRNTRGLPWPKEYKKKNDEDILDWLQSMFGFQKDNVANQREHLILLLANVHIRQFPKPEQQPKLDDRALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIAKEAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRAGADFFCLPIEQLRFDKSSGDNKPASGDRWVGKVNFVEIRSFWHIFRSFDRMWSFFILCLQVMIIVAWNGSGQPTAIFTAGVFEKVLTVFITAAILKLGQAVLDVILSWKARRSMSFHVKLRYILKVITAAAWVIILPVTYSYSWKNPPGFARTIKSWFGNDSHSPSLFILAVVVYLSPNMLAAVLFLFPFIRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIRPLVGPTKAIMSVHITTFQWHEFFPRAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNARLIPAEKSEPKKKGLKATLSRNFVQNEDNKEKEAARFAQLWNKIISSFREEDLISDREMDLLLVPYWANRDLGHLIQWPPFLLASKIPIALDMAKDSNGKDKELKKRIDADNYMSCAVCECYASFKNIIRSLVQGNREKEVIDYIFSEVDKHIESNDLMVEFKMSALPSLYAQFIRLIEYLLGNKQDDRDQVVILFQDMLEVVTRDIMMEDHISSLVDSVHGVSGHEAMMPIDQHQQYQLFASSGAIRFPIEQVTEAWKEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPPAPKVRNMLSFSVLTPYYTEEVLFSSLDLEVPNEDGVSILFYLQKIFPDEWNNFLQRVNCTSEEELKGSNGLDEDLRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAQDDALMDGYKAIELNSEDESKEERSLWAQCQAVADMKFTYVVSCQLYGIHKRSGDPRAQDILKLMTTYPSLRVAYIDEVEEPSKDRSKKINQKAYYSTLVKAALPKSIDSPEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLEKHDGVRHPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGISKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYYSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLEKGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIELLVLLLVYQIFGHTYRSAVAYILITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQEHLQHSGKRGIVAEILLSLRFFIYQYGLVYHLNIAKKTKSVLVYGISWLVIFLILFVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFVSILVTLIVLPHMTLQDIIVCILAFMPTGWGILLIAQACKPVVHKAGLWPSVRTLARGFEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSRNKE >ONH99152 pep chromosome:Prunus_persica_NCBIv2:G6:1031285:1045684:1 gene:PRUPE_6G014400 transcript:ONH99152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFFILCLQVMIIVAWNGSGQPTAIFTAGVFEKVLTVFITAAILKLGQAVLDVILSWKARRSMSFHVKLRYILKVITAAAWVIILPVTYSYSWKNPPGFARTIKSWFGNDSHSPSLFILAVVVYLSPNMLAAVLFLFPFIRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIRPLVGPTKAIMSVHITTFQWHEFFPRAKNNIGVVIALWAPIILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNARLIPAEKSEPKKKGLKATLSRNFVQNEDNKEKEAARFAQLWNKIISSFREEDLISDREMDLLLVPYWANRDLGHLIQWPPFLLASKIPIALDMAKDSNGKDKELKKRIDADNYMSCAVCECYASFKNIIRSLVQGNREKEVIDYIFSEVDKHIESNDLMVEFKMSALPSLYAQFIRLIEYLLGNKQDDRDQVVILFQDMLEVVTRDIMMEDHISSLVDSVHGVSGHEAMMPIDQHQQYQLFASSGAIRFPIEQVTEAWKEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPPAPKVRNMLSFSVLTPYYTEEVLFSSLDLEVPNEDGVSILFYLQKIFPDEWNNFLQRVNCTSEEELKGSNGLDEDLRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAQDDALMDGYKAIELNSEDESKEERSLWAQCQAVADMKFTYVVSCQLYGIHKRSGDPRAQDILKLMTTYPSLRVAYIDEVEEPSKDRSKKINQKAYYSTLVKAALPKSIDSPEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLEKHDGVRHPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGISKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYYSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLEKGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIELLVLLLVYQIFGHTYRSAVAYILITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQEHLQHSGKRGIVAEILLSLRFFIYQYGLVYHLNIAKKTKSVLVYGISWLVIFLILFVMKTVSVGRRKFSAEFQLVFRLIKGLIFITFVSILVTLIVLPHMTLQDIIVCILAFMPTGWGILLIAQACKPVVHKAGLWPSVRTLARGFEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSRNKE >ONI01986 pep chromosome:Prunus_persica_NCBIv2:G6:17603077:17603460:-1 gene:PRUPE_6G171100 transcript:ONI01986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFTGYQTSAILIFVIAMTILPGLATLAHSPSNFMFLEECKSRLHARCGKEIFITIIKEWSISDRCCMEFVAMGQSCHFALVNKALSSPLFKLNKLDALTKSSEIWNQCFELSQFLSPATSPSSEE >ONI00313 pep chromosome:Prunus_persica_NCBIv2:G6:5528892:5531660:-1 gene:PRUPE_6G081700 transcript:ONI00313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPLNMSLDDLIKTSKKSGSGNARGRGRASGPGPARRLPNRAANRTTPYAAAKAPETAWQHDLYTDQGAAAFPAQAGRASAIETGTKLYISNLDYGVSNEDIKELFSEVGDLKRYGVHYDRSGRSKGTAEVVFSRRPDAVAAVKRYNNVQLDGKPMKIEIVGTNISTPGGPPTLPPAANGNFGNSNGVPRGGQSRGGAFGRIRGGGGRGPRRGGRGRGSGNGGGRGRGGEKVSAEDLDAELEKYHAEAMQE >ONI00314 pep chromosome:Prunus_persica_NCBIv2:G6:5528892:5531660:-1 gene:PRUPE_6G081700 transcript:ONI00314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPLNMSLDDLIKTSKKSGSGNARGRGRASGPGPARRLPNRAANRTTPYAAAKAPETAWQHDLYTDQGAAAFPAQAGRASAIETGTKLYISNLDYGVSNEDIKELFSEVGDLKRYGVHYDRSGRSKGTAEVVFSRRPDAVAAVKRYNNVQLDGKPMKIEIVGTNISTPGGPPTLPPAANGNFGNSNGVPRGGQSRGGAFGRIRGGGGRGPRRGGRGRGSGNGGGRGRGGEKVSAEDLDAELEKYHAEAMQE >ONI00311 pep chromosome:Prunus_persica_NCBIv2:G6:5527416:5531836:-1 gene:PRUPE_6G081700 transcript:ONI00311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPLNMSLDDLIKTSKKSGSGNARGRGRASGPGPARRLPNRAANRTTPYAAAKAPETAWQHDLYTDQGAAAFPAQAGRASAIETGTKLYISNLDYGVSNEDIKELFSEVGDLKRYGVHYDRSGRSKGTAEVVFSRRPDAVAAVKRYNNVQLDGKPMKIEIVGTNISTPGGPPTLPPAANGNFGNSNGVPRGGQSRGGAFGRIRGGGGRGPRRGGRGRGSGNGGGRGRGGEKVSAEDLDAELEKYHAEAMQE >ONI00312 pep chromosome:Prunus_persica_NCBIv2:G6:5527416:5531836:-1 gene:PRUPE_6G081700 transcript:ONI00312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPLNMSLDDLIKTSKKSGSGNARGRGRASGPGPARRLPNRAANRTTPYAAAKAPETAWQHDLYTDQGAAAFPAQAGRASAIETGTKLYISNLDYGVSNEDIKELFSEVGDLKRYGVHYDRSGRSKGTAEVVFSRRPDAVAAVKRYNNVQLDGKPMKIEIVGTNISTPGGPPTLPPAANGNFGNSNGVPRGGQSRGGAFGRIRGGGGRGPRRGGRGRGSGNGGGRGRGGEKVSAEDLDAELEKYHAEAMQE >ONI03590 pep chromosome:Prunus_persica_NCBIv2:G6:25534193:25540427:1 gene:PRUPE_6G267400 transcript:ONI03590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRCSRFSLCWFTSHLKPSVLESSELENGGKSDKNLWPSFTEFSLDQLKTATCGFSSDNIVSEHGEKAPNVVYKGKLDNGRLVAVKRFNKLAWPDSRQFLEEARSVGTLRSERLANLIGCCCEGDERLLVAEFMAHETLAKHLFHWESQPMRWAMRLRVALYLAQALDYCSGKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTQESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGNFSNDDGTELVRLASRCLQYEARERPNAKSIVTALMSLQKETEVASHILMGIPHETASSTQPLALTPFGEACSRMDLTAIHEILEKNGYKDDEGIANELSFQLWTNQMQETLNSKKSGDSAFRAKEFATAIDSYTQFIDGGTMVSPTVYARRCLSYLMSDMDMAQEALGDAMQAQVVSPEWPTALYLQAACLFSLGMDNDAQETLKDGTNLEAKKNKT >ONI03588 pep chromosome:Prunus_persica_NCBIv2:G6:25535711:25540427:1 gene:PRUPE_6G267400 transcript:ONI03588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRCSRFSLCWFTSHLKPSVLESSELENGGKSDKNLWPSFTEFSLDQLKTATCGFSSDNIVSEHGEKAPNVVYKGKLDNGRLVAVKRFNKLAWPDSRQFLEEARSVGTLRSERLANLIGCCCEGDERLLVAEFMAHETLAKHLFHWESQPMRWAMRLRVALYLAQALDYCSGKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTQESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGNFSNDDGTELVRLASRCLQYEARERPNAKSIVTALMSLQKETEVASHILMGIPHETASSTQPLALTPFGEACSRMDLTAIHEILEKNGYKDDEGIANELSFQLWTNQMQETLNSKKSGDSAFRAKEFATAIDSYTQFIDGGTMVSPTVYARRCLSYLMSDMDMAQEALGDAMQAQVVSPEWPTALYLQAACLFSLGMDNDAQETLKDGTNLEAKKNKT >ONI03589 pep chromosome:Prunus_persica_NCBIv2:G6:25535524:25540427:1 gene:PRUPE_6G267400 transcript:ONI03589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRCSRFSLCWFTSHLKPSVLESSELENGGKSDKNLWPSFTEFSLDQLKTATCGFSSDNIVSEHGEKAPNVVYKGKLDNGRLVAVKRFNKLAWPDSRQFLEEARSVGTLRSERLANLIGCCCEGDERLLVAEFMAHETLAKHLFHWESQPMRWAMRLRVALYLAQALDYCSGKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTQESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGNFSNDDGTELVRLASRCLQYEARERPNAKSIVTALMSLQKETEVASHILMGIPHETASSTQPLALTPFGEACSRMDLTAIHEILEKNGYKDDEGIANELSFQLWTNQMQETLNSKKSGDSAFRAKEFATAIDSYTQFIDGGTMVSPTVYARRCLSYLMSDMDMAQEALGDAMQAQVVSPEWPTALYLQAACLFSLGMDNDAQETLKDGTNLEAKKNKT >ONI01341 pep chromosome:Prunus_persica_NCBIv2:G6:10621079:10622787:1 gene:PRUPE_6G134400 transcript:ONI01341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELPPGYRFYPTEEELVSFYLLNKLEGKRDDTRRVIPVVDIYSKEPWDLPKFSGELCHGDTEQWFFFTPRQQREAQGGRPNRTTASGYWKATGSPGYVYSSDNKVIGVKKTMVFYKGKAPTGRKTKWKMNEYRAIEADNPTTTSTSVPKYLRNEFRLCRVYVVSGSCRAFDRRPLEGSGETKHQLSESMGGTSTFSLKAPMVEKASSSDETFSYSEELADLPETYAGINSTNWEIIEGLEQPLWEWEQLNLL >ONI02897 pep chromosome:Prunus_persica_NCBIv2:G6:23162918:23164638:1 gene:PRUPE_6G227500 transcript:ONI02897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHRSTSLIFIIFLTFINFFLQRKRRRENQENYPPSPPSLPIIGHLHLLKPPQHRAFHRLSQKHGPIFSFRMGSHPMPYMNASPKNDIVLANRPVLIIDKHLFYNNSTMITSSYGDHWRNIRHICAIEIFSSARLNAFLNISKDKVKHLLHKLSQQSSSRQDGYFAKNILVTMVAGKRYCARQFKEIINQVTKYAGVPNPGEIWPILNWIGYEKKMMMKLGERTDVFLQGLIDEHQMKKTTTELDAQLGQERLVDEPDILKLPYLQCIVSETLRLCPPPPMLIPHVSSNECTIEGFKVLRDTTVLVNAWAIQRDPNLWDDPESFKPERFESGKDLSHKFMPFGLGRRACPGAGLAQRVVGLTLGSLIQCFERERVSEKVVDMTEGEGLTMPKLVPLEAMCKVRTVVKKVLY >ONI00774 pep chromosome:Prunus_persica_NCBIv2:G6:7363587:7383319:-1 gene:PRUPE_6G104600 transcript:ONI00774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDTRSSRKIKDDENNNSNGRQISSKGLSTSGSGASDTSGLRRSSRETSLKKNITLSPSSTRKSERLEKKMPETPLFKRKSERFEKKLTPSPLRRSDRAKNHSSTSSGSKRSDKSSGSSLAKRKSEKKEKSVKELTLGTREVSKSEKQNVGPCHGKNKIRNARAYKKLFTKHRKKLQARDHSEKQSRQNKVSQGGSNACGSEIEGLGKGVEELNEEFVGRVHDGSLVGSDSSVNKLTKETWEDNPRVDLSHSSPRPSCLEEASEFKDGDGLEIRDQRPLSSSYNAMTEELNDAPKRVHVECSAMEKLKMPELTCSTFNERLHDVYIASEIGHRVIPSKRKRNVADGDSDSPVNASKDVCTLTADAVSSLPSGSTEDVSVETCGACFKRQRVENDPMNLEFCSCSTKLNQELCGASVTELHPDRGELIADSTMEVPENLNTCTHQKEFSADLQTNGNQNTCLICSLGGKLLFCDGRGCKRSYHLSCLDPPMDAVPLGVWHCSMCVRKKIESGIYSMSEGIESIWDAREVEVSDVDGLLKRKEFFVKYKGLAHIHNQWVPESKVLLEAPTLVVKFNRNNQVTRWKKKWTVPRRLLQKRLLMSPKQRDNYLREHTGDKLFCHYEWLVKWHGLDYDDATWELENAAFLNSPEGQGLISVYENRRQRAKKASISPETDKILEGKKCSSVKLFQLPAGEISGFDNTCLDNINKLRELWHKGENAVVYDQDKNVFECIQWETIIIDECQRPTISKQLVQIKMLHTHNWLLLVNGISKESSAAEYLSLLSVLDSHGDSQNSDHLLTSSGDIIGKLKERFSRYIAYGDIIGKPKPDSSRFIEYWVPVRISTVQLEQYCENLLSNSTLILSSAKKDRVGALHDIVLSARKCCDHPYIVHPPLQTLLTKDLQAVEYLDVGVKASGKLRLLDMMLKEIKNRSLRVLILFQSISGSGSAYSLGDILDDFLRQRYGENSYERVEFGVLRSKKDVAMNMFNNKENGRFVFLLEAHACLPSIKLSSVDTVIIFGSDRNPHNDIRALQKISLDSQFEEIKVFRLYSTCTVEEKLLVRAKQRKIHDSNVQNISSSMLLWGAPYQFDKLDEFHCCNTPASTANILPEESLLNDVIREFLSILPQDGNNNVLCDFSIISKVQQTGGAYSAEVPLLNELKNQHTGEGQPLDFWTKLLVGKHPPWKYCSGLSQRNRKRAQHLDELSKKPEGGSDEVVKKRKKVVNGNDDAPYPKPGSEGKSVPGCKEVSSVDINVLENPESSMFESEERRKLRDAQKSLHQLLKPEILKLCGILQVSDAVKVMVEKFLQYVMSNHHVNREPATILQAFQISLCWTAASFLKQKVDHKESIQLAKKHLNFNCKKEEADYVYSMLRCLKKTFLYRTGIFKAAESPKSAKLSTKDVLKNSHPKVSRSTTSNFQQVKSDVKDLSLKQEKLAQKDVSKSIKDIQKKIQKQLTKLIEKQIKERSEVLRTCQEEKAHLEAESVVIRSCFLNNTSMRTEKLKMLEKKIEENKNQTNLRLKRLEASQQEAQDKLKEMGKRWAEEVQSWACVELLNRSPSNTPEPWLECSRTSEGCKDFATLRDHDIDCVVHSMTGRVTALSQTPDSGPDEAETCSGPIRTEMTTARPLGANGALNRTSGDDQENTASVNPCPKAGITDCANGDFLREVQEVACSDSQKVVTSSLPLFEEWNHNADTLPISDGEVRVEVPETLCSTDGQHGSHPLNLSSLQQNPDGATLRVPDREALVGLHETVSSLRGLQNVVSVRAPSSEQIHVVKGAMPDKEVELGVLETVSSSHDLHNVVSVSTPSSEEEIHVVKETTPDKEVELGVLETVSSNDGIANLVTVHPPSSGEDIHENSTEHETVSSSHGFQNVVPVCVPSSEEQVHVVTVTLPDNEVDLAVLQTVCSDDGPGNLASVNPPSSDEKISEKATEKENSEGCIMASDSATGVYQQNGVDTAVNGSSYQEMPLVNSPGLQPVALAPGGSVTQEQAQQDKGTLLETSTAVQERDAEAREKQNTCQPIENLAPESVPVVSSNLSNHEMPDIEPVVQQLLLPSSNTPDHSAPELSSAGGVEIQPSPENRTFNQVAHAPMPLVENLLDLSNHTVSRSVAWSTSGFGLPFSDTRATPVTSALNSRPINAAPQGASRTPLPVYHDPLQNELERLNKQTDHIVKSHEDTKLRLKTDCDKEIEEALAEIRRKYEIRFQEIEAEFLLKKKELDSIHNKVLMNKILAEAFRSKCMDLRASGASGAQQDGISSFSQQLVHLSMQDAQRYSPITSSSLAGPPAVGLQTSIAPLPSPQTTAPASLSNPNCTAPPMQTVPLAPALLPSIPTRPPHISSISSPGIPQGAGEIRAPAPHLQPFRPSTSMSNQQLHSNLLATSPSFTHIPRLPSPTQQSVPHNRAHHPESAGGDLAALRSLSALGLLMNMNSRAGANPPGSLPSQPNLVSNHQSSLSEPPNTSGACVNPVHTSGSTDIVCLSDDD >ONI00782 pep chromosome:Prunus_persica_NCBIv2:G6:7363917:7377680:-1 gene:PRUPE_6G104600 transcript:ONI00782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIHASSVLLVGSSCGFCDGRGCKRSYHLSCLDPPMDAVPLGVWHCSMCVRKKIESGIYSMSEGIESIWDAREVEVSDVDGLLKRKEFFVKYKGLAHIHNQWVPESKVLLEAPTLVVKFNRNNQVTRWKKKWTVPRRLLQKRLLMSPKQRDNYLREHTGDKLFCHYEWLVKWHGLDYDDATWELENAAFLNSPEGQGLISVYENRRQRAKKASISPETDKILEGKKCSSVKLFQLPAGEISGFDNTCLDNINKLRELWHKGENAVVYDQDKNVFECIQWETIIIDECQRPTISKQLVQIKMLHTHNWLLLVNGISKESSAAEYLSLLSVLDSHGDSQNSDHLLTSSGDIIGKLKERFSRYIAYGDIIGKPKPDSSRFIEYWVPVRISTVQLEQYCENLLSNSTLILSSAKKDRVGALHDIVLSARKCCDHPYIVHPPLQTLLTKDLQAVEYLDVGVKASGKLRLLDMMLKEIKNRSLRVLILFQSISGSGSAYSLGDILDDFLRQRYGENSYERVEFGVLRSKKDVAMNMFNNKENGRFVFLLEAHACLPSIKLSSVDTVIIFGSDRNPHNDIRALQKISLDSQFEEIKVFRLYSTCTVEEKLLVRAKQRKIHDSNVQNISSSMLLWGAPYQFDKLDEFHCCNTPASTANILPEESLLNDVIREFLSILPQDGNNNVLCDFSIISKVQQTGGAYSAEVPLLNELKNQHTGEGQPLDFWTKLLVGKHPPWKYCSGLSQRNRKRAQHLDELSKKPEGGSDEVVKKRKKVVNGNDDAPYPKPGSEGKSVPGCKEVSSVDINVLENPESSMFESEERRKLRDAQKSLHQLLKPEILKLCGILQVSDAVKVMVEKFLQYVMSNHHVNREPATILQAFQISLCWTAASFLKQKVDHKESIQLAKKHLNFNCKKEEADYVYSMLRCLKKTFLYRTGIFKAAESPKSAKLSTKDVLKNSHPKVSRSTTSNFQQVKSDVKDLSLKQEKLAQKDVSKSIKDIQKKIQKQLTKLIEKQIKERSEVLRTCQEEKAHLEAESVVIRSCFLNNTSMRTEKLKMLEKKIEENKNQTNLRLKRLEASQQEAQDKLKEMGKRWAEEVQSWACVELLNRSPSNTPEPWLECSRTSEGCKDFATLRDHDIDCVVHSMTGRVTALSQTPDSGPDEAETCSGPIRTEMTTARPLGANGALNRTSGDDQENTASVNPCPKAGITDCANGDFLREVQEVACSDSQKVVTSSLPLFEEWNHNADTLPISDGEVRVEVPETLCSTDGQHGSHPLNLSSLQQNPDGATLRVPDREALVGLHETVSSLRGLQNVVSVRAPSSEQIHVVKGAMPDKEVELGVLETVSSSHDLHNVVSVSTPSSEEEIHVVKETTPDKEVELGVLETVSSNDGIANLVTVHPPSSGEDIHENSTEHETVSSSHGFQNVVPVCVPSSEEQVHVVTVTLPDNEVDLAVLQTVCSDDGPGNLASVNPPSSDEKISEKATEKENSEGCIMASDSATGVYQQNGVDTAVNGSSYQEMPLVNSPGLQPVALAPGGSVTQEQAQQDKGTLLETSTAVQERDAEAREKQNTCQPIENLAPESVPVVSSNLSNHEMPDIEPVVQQLLLPSSNTPDHSAPELSSAGGVEIQPSPENRTFNQVAHAPMPLVENLLDLSNHTVSRSVAWSTSGFGLPFSDTRATPVTSALNSRPINAAPQGASRTPLPVYHDPLQNELERLNKQTDHIVKSHEDTKLRLKTDCDKEIEEALAEIRRKYEIRFQEIEAEFLLKKKELDSIHNKVLMNKILAEAFRSKCMDLRASGASGAQQGPLISLADGISSFSQQLVHLSMQDAQRYSPITSSSLAGPPAVGLQTSIAPLPSPQTTAPASLSNPNCTAPPMQTVPLAPALLPSIPTRPPHISSISSPGIPQGAGEIRAPAPHLQPFRPSTSMSNQQLHSNLLATSPSFTHIPRLPSPTQQSVPHNRAHHPESAGGDLAALRSLSALGLLMNMNSRAGANPPGSLPSQPNLVSNHQSSLSEPPNTSGACVNPVHTSGSTDIVCLSDDD >ONI00778 pep chromosome:Prunus_persica_NCBIv2:G6:7363917:7382089:-1 gene:PRUPE_6G104600 transcript:ONI00778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDTRSSRKIKDDENNNSNGRQISSKGLSTSGSGASDTSGLRRSSRETSLKKNITLSPSSTRKSERLEKKMPETPLFKRKSERFEKKLTPSPLRRSDRAKNHSSTSSGSKRSDKSSGSSLAKRKSEKKEKSVKELTLGTREVSKSEKQNVGPCHGKNKIRNARAYKKLFTKHRKKLQARDHSEKQSRQNKVSQGGSNACGSEIEGLGKGVEELNEEFVGRVHDGSLVGSDSSVNKLTKETWEDNPRVDLSHSSPRPSCLEEASEFKDGDGLEIRDQRPLSSSYNAMTEELNDAPKRVHVECSAMEKLKMPELTCSTFNERLHDVYIASEIGHRVIPSKRKRNVADGDSDSPVNASKDVCTLTADAVSSLPSGSTEDVSVETCGACFKRQRVENDPMNLEFCSCSTKLNQELCGASVTELHPDRGELIADSTMEVPENLNTCTHQKEFSADLQTNGNQNTCLICSLGGKLLFCDGRGCKRSYHLSCLDPPMDAVPLGVWHCSMCVRKKIESGIYSMSEGIESIWDAREVEVSDVDGLLKRKEFFVKYKGLAHIHNQWVPESKVLLEAPTLVVKFNRNNQVTRWKKKWTVPRRLLQKRLLMSPKQRDNYLREHTGDKLFCHYEWLVKWHGLDYDDATWELENAAFLNSPEGQGLISVYENRRQRAKKASISPETDKILEGKKCSSVKLFQLPAGEISGFDNTCLDNINKLRELWHKGENAVVYDQERIAKVVAFILSLQSDFHRPFLIISTPPTLCCWDNEFFHLAPSIDVVVYSGNKDLRRSIRTIEFDGVGGYMMFQVLVTSPEAIIEDKNVFECIQWETIIIDECQRPTISKQLVQIKMLHTHNWLLLVNGISKESSAAEYLSLLSVLDSHGDSQNSDHLLTSSGDIIGKLKERFSRYIAYGDIIGKPKPDSSRFIEYWVPVRISTVQLEQYCENLLSNSTLILSSAKKDRVGALHDIVLSARKCCDHPYIVHPPLQTLLTKDLQAVEYLDVGVKASGKLRLLDMMLKEIKNRSLRVLILFQSISGSGSAYSLGDILDDFLRQRYGENSYERVEFGVLRSKKDVAMNMFNNKENGRFVFLLEAHACLPSIKLSSVDTVIIFGSDRNPHNDIRALQKISLDSQFEEIKVFRLYSTCTVEEKLLVRAKQRKIHDSNVQNISSSMLLWGAPYQFDKLDEFHCCNTPASTANILPEESLLNDVIREFLSILPQDGNNNVLCDFSIISKVQQTGGAYSAEVPLLNELKNQHTGEGQPLDFWTKLLVGKHPPWKYCSGLSQRNRKRAQHLDELSKKPEGGSDEVVKKRKKVVNGNDDAPYPKPGSEGKSVPGCKEVSSVDINVLENPESSMFESEERRKLRDAQKSLHQLLKPEILKLCGILQVSDAVKVMVEKFLQYVMSNHHVNREPATILQAFQISLCWTAASFLKQKVDHKESIQLAKKHLNFNCKKEEADYVYSMLRCLKKTFLYRTGIFKAAESPKSAKLSTKDVLKNSHPKVSRSTTSNFQQVKSDVKDLSLKQEKLAQKDVSKSIKDIQKKIQKQLTKLIEKQIKERSEVLRTCQEEKAHLEAESVVIRSCFLNNTSMRTEKLKMLEKKIEENKNQTNLRLKRLEASQQEAQDKLKEMGKRWAEEVQSWACVELLNRSPSNTPEPWLECSRTSEGCKDFATLRDHDIDCVVHSMTGRVTALSQTPDSGPDEAETCSGPIRTEMTTARPLGANGALNRTSGDDQENTASVNPCPKAGITDCANGDFLREVQEVACSDSQKVVTSSLPLFEEWNHNADTLPISDGEVRVEVPETLCSTDGQHGSHPLNLSSLQQNPDGATLRVPDREALVGLHETVSSLRGLQNVVSVRAPSSEQIHVVKGAMPDKEVELGVLETVSSSHDLHNVVSVSTPSSEEEIHVVKETTPDKEVELGVLETVSSNDGIANLVTVHPPSSGEDIHENSTEHETVSSSHGFQNVVPVCVPSSEEQVHVVTVTLPDNEVDLAVLQTVCSDDGPGNLASVNPPSSDEKISEKATEKENSEGCIMASDSATGVYQQNGVDTAVNGSSYQEMPLVNSPGLQPVALAPGGSVTQEQAQQDKGTLLETSTAVQERDAEAREKQNTCQPIENLAPESVPVVSSNLSNHEMPDIEPVVQQLLLPSSNTPDHSAPELSSAGGVEIQPSPENRTFNQVAHAPMPLVENLLDLSNHTVSRSVAWSTSGFGLPFSDTRATPVTSALNSRPINAAPQGASRTPLPVYHDPLQNELERLNKQTDHIVKSHEDTKLRLKTDCDKEIEEALAEIRRKYEIRFQEIEAEFLLKKKELDSIHNKVLMNKILAEAFRSKCMDLRASGASGAQQGPLISLADGISSFSQQLVHLSMQDAQRYSPITSSSLAGPPAVGLQTSIAPLPSPQTTAPASLSNPNCTAPPMQTVPLAPALLPSIPTRPPHISSISSPGIPQGAGEIRAPAPHLQPFRPSTSMSNQQLHSNLLATSPSFTHIPRLPSPTQQSVPHNRAHHPESAGGDLAALRSLSALGLLMNMNSRAGANPPGSLPSQPNLVSNHQSSLSEPPNTSGACVNPVHTSGSTDIVCLSDDD >ONI00777 pep chromosome:Prunus_persica_NCBIv2:G6:7363587:7382256:-1 gene:PRUPE_6G104600 transcript:ONI00777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDTRSSRKIKDDENNNSNGRQISSKGLSTSGSGASDTSGLRRSSRETSLKKNITLSPSSTRKSERLEKKMPETPLFKRKSERFEKKLTPSPLRRSDRAKNHSSTSSGSKRSDKSSGSSLAKRKSEKKEKSVKELTLGTREVSKSEKQNVGPCHGKNKIRNARAYKKLFTKHRKKLQARDHSEKQSRQNKVSQGGSNACGSEIEGLGKGVEELNEEFVGRVHDGSLVGSDSSVNKLTKETWEDNPRVDLSHSSPRPSCLEEASEFKDGDGLEIRDQRPLSSSYNAMTEELNDAPKRVHVECSAMEKLKMPELTCSTFNERLHDVYIASEIGHRVIPSKRKRNVADGDSDSPVNASKDVCTLTADAVSSLPSGSTEDVSVETCGACFKRQRVENDPMNLEFCSCSTKLNQELCGASVTELHPDRGELIADSTMEVPENLNTCTHQKEFSADLQTNGNQNTCLICSLGGKLLFCDGRGCKRSYHLSCLDPPMDAVPLGVWHCSMCVRKKIESGIYSMSEGIESIWDAREVEVSDVDGLLKRKEFFVKYKGLAHIHNQWVPESKVLLEAPTLVVKFNRNNQVTRWKKKWTVPRRLLQKRLLMSPKQRDNYLREHTGDKLFCHYEWLVKWHGLDYDDATWELENAAFLNSPEGQGLISVYENRRQRAKKASISPETDKILEGKKCSSVKLFQLPAGEISGFDNTCLDNINKLRELWHKGENAVVYDQERIAKVVAFILSLQSDFHRPFLIISTPPTLCCWDNEFFHLAPSIDVVVYSGNKDLRRSIRTIEFDGVGGYMMFQVLVTSPEAIIEDKNVFECIQWETIIIDECQRPTISKQLVQIKMLHTHNWLLLVNGISKESSAAEYLSLLSVLDSHGDSQNSDHLLTSSGDIIGKLKERFSRYIAYGDIIGKPKPDSSRFIEYWVPVRISTVQLEQYCENLLSNSTLILSSAKKDRVGALHDIVLSARKCCDHPYIVHPPLQTLLTKDLQAVEYLDVGVKASGKLRLLDMMLKEIKNRSLRVLILFQSISGSGSAYSLGDILDDFLRQRYGENSYERVEFGVLRSKKDVAMNMFNNKENGRFVFLLEAHACLPSIKLSSVDTVIIFGSDRNPHNDIRALQKISLDSQFEEIKVFRLYSTCTVEEKLLVRAKQRKIHDSNVQNISSSMLLWGAPYQFDKLDEFHCCNTPASTANILPEESLLNDVIREFLSILPQDGNNNVLCDFSIISKVQQTGGAYSAEVPLLNELKNQHTGEGQPLDFWTKLLVGKHPPWKYCSGLSQRNRKRAQHLDELSKKPEGGSDEVVKKRKKVVNGNDDAPYPKPGSEGKSVPGCKEVSSVDINVLENPESSMFESEERRKLRDAQKSLHQLLKPEILKLCGILQVSDAVKVMVEKFLQYVMSNHHVNREPATILQAFQISLCWTAASFLKQKVDHKESIQLAKKHLNFNCKKEEADYVYSMLRCLKKTFLYRTGIFKAAESPKSAKLSTKDVLKNSHPKVSRSTTSNFQQVKSDVKDLSLKQEKLAQKDVSKSIKDIQKKIQKQLTKLIEKQIKERSEVLRTCQEEKAHLEAESVVIRSCFLNNTSMRTEKLKMLEKKIEENKNQTNLRLKRLEASQQEAQDKLKEMGKRWAEEVQSWACVELLNRSPSNTPEPWLECSRTSEGCKDFATLRDHDIDCVVHSMTGRVTALSQTPDSGPDEAETCSGPIRTEMTTARPLGANGALNRTSGDDQENTASVNPCPKAGITDCANGDFLREVQEVACSDSQKVVTSSLPLFEEWNHNADTLPISDGEVRVEVPETLCSTDGQHGSHPLNLSSLQQNPDGATLRVPDREALVGLHETVSSLRGLQNVVSVRAPSSEQIHVVKGAMPDKEVELGVLETVSSSHDLHNVVSVSTPSSEEEIHVVKETTPDKEVELGVLETVSSNDGIANLVTVHPPSSGEDIHENSTEHETVSSSHGFQNVVPVCVPSSEEQVHVVTVTLPDNEVDLAVLQTVCSDDGPGNLASVNPPSSDEKISEKATEKENSEGCIMASDSATGVYQQNGVDTAVNGSSYQEMPLVNSPGLQPVALAPGGSVTQEQAQQDKGTLLETSTAVQERDAEAREKQNTCQPIENLAPESVPVVSSNLSNHEMPDIEPVVQQLLLPSSNTPDHSAPELSSAGGVEIQPSPENRTFNQVAHAPMPLVENLLDLSNHTVSRSVAWSTSGFGLPFSDTRATPVTSALNSRPINAAPQGASRTPLPVYHDPLQNELERLNKQTDHIVKSHEDTKLRLKTDCDKEIEEALAEIRRKYEIRFQEIEAEFLLKKKELDSIHNKVLMNKILAEAFRSKCMDLRASGASGAQQGPLISLADGISSFSQQLVHLSMQDAQRYSPITSSSLAGPPAVGLQTSIAPLPSPQTTAPASLSNPNCTAPPMQTVPLAPALLPSIPTRPPHISSISSPGIPQGAGEIRAPAPHLQPFRPSTSMSNQQLHSNLLATSPSFTHIPRLPSPTQQSVPHNRAHHPESAGGDLAALRSLSALGLLMNMNSRAGANPPGSLPSQPNLVSNHQSSLSEPPNTSGACVNPVHTSGSTDIVCLSDDD >ONI00779 pep chromosome:Prunus_persica_NCBIv2:G6:7363587:7381466:-1 gene:PRUPE_6G104600 transcript:ONI00779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEELNDAPKRVHVECSAMEKLKMPELTCSTFNERLHDVYIASEIGHRVIPSKRKRNVADGDSDSPVNASKDVCTLTADAVSSLPSGSTEDVSVETCGACFKRQRVENDPMNLEFCSCSTKLNQELCGASVTELHPDRGELIADSTMEVPENLNTCTHQKEFSADLQTNGNQNTCLICSLGGKLLFCDGRGCKRSYHLSCLDPPMDAVPLGVWHCSMCVRKKIESGIYSMSEGIESIWDAREVEVSDVDGLLKRKEFFVKYKGLAHIHNQWVPESKVLLEAPTLVVKFNRNNQVTRWKKKWTVPRRLLQKRLLMSPKQRDNYLREHTGDKLFCHYEWLVKWHGLDYDDATWELENAAFLNSPEGQGLISVYENRRQRAKKASISPETDKILEGKKCSSVKLFQLPAGEISGFDNTCLDNINKLRELWHKGENAVVYDQERIAKVVAFILSLQSDFHRPFLIISTPPTLCCWDNEFFHLAPSIDVVVYSGNKDLRRSIRTIEFDGVGGYMMFQVLVTSPEAIIEDKNVFECIQWETIIIDECQRPTISKQLVQIKMLHTHNWLLLVNGISKESSAAEYLSLLSVLDSHGDSQNSDHLLTSSGDIIGKLKERFSRYIAYGDIIGKPKPDSSRFIEYWVPVRISTVQLEQYCENLLSNSTLILSSAKKDRVGALHDIVLSARKCCDHPYIVHPPLQTLLTKDLQAVEYLDVGVKASGKLRLLDMMLKEIKNRSLRVLILFQSISGSGSAYSLGDILDDFLRQRYGENSYERVEFGVLRSKKDVAMNMFNNKENGRFVFLLEAHACLPSIKLSSVDTVIIFGSDRNPHNDIRALQKISLDSQFEEIKVFRLYSTCTVEEKLLVRAKQRKIHDSNVQNISSSMLLWGAPYQFDKLDEFHCCNTPASTANILPEESLLNDVIREFLSILPQDGNNNVLCDFSIISKVQQTGGAYSAEVPLLNELKNQHTGEGQPLDFWTKLLVGKHPPWKYCSGLSQRNRKRAQHLDELSKKPEGGSDEVVKKRKKVVNGNDDAPYPKPGSEGKSVPGCKEVSSVDINVLENPESSMFESEERRKLRDAQKSLHQLLKPEILKLCGILQVSDAVKVMVEKFLQYVMSNHHVNREPATILQAFQISLCWTAASFLKQKVDHKESIQLAKKHLNFNCKKEEADYVYSMLRCLKKTFLYRTGIFKAAESPKSAKLSTKDVLKNSHPKVSRSTTSNFQQVKSDVKDLSLKQEKLAQKDVSKSIKDIQKKIQKQLTKLIEKQIKERSEVLRTCQEEKAHLEAESVVIRSCFLNNTSMRTEKLKMLEKKIEENKNQTNLRLKRLEASQQEAQDKLKEMGKRWAEEVQSWACVELLNRSPSNTPEPWLECSRTSEGCKDFATLRDHDIDCVVHSMTGRVTALSQTPDSGPDEAETCSGPIRTEMTTARPLGANGALNRTSGDDQENTASVNPCPKAGITDCANGDFLREVQEVACSDSQKVVTSSLPLFEEWNHNADTLPISDGEVRVEVPETLCSTDGQHGSHPLNLSSLQQNPDGATLRVPDREALVGLHETVSSLRGLQNVVSVRAPSSEQIHVVKGAMPDKEVELGVLETVSSSHDLHNVVSVSTPSSEEEIHVVKETTPDKEVELGVLETVSSNDGIANLVTVHPPSSGEDIHENSTEHETVSSSHGFQNVVPVCVPSSEEQVHVVTVTLPDNEVDLAVLQTVCSDDGPGNLASVNPPSSDEKISEKATEKENSEGCIMASDSATGVYQQNGVDTAVNGSSYQEMPLVNSPGLQPVALAPGGSVTQEQAQQDKGTLLETSTAVQERDAEAREKQNTCQPIENLAPESVPVVSSNLSNHEMPDIEPVVQQLLLPSSNTPDHSAPELSSAGGVEIQPSPENRTFNQVAHAPMPLVENLLDLSNHTVSRSVAWSTSGFGLPFSDTRATPVTSALNSRPINAAPQGASRTPLPVYHDPLQNELERLNKQTDHIVKSHEDTKLRLKTDCDKEIEEALAEIRRKYEIRFQEIEAEFLLKKKELDSIHNKVLMNKILAEAFRSKCMDLRASGASGAQQDGISSFSQQLVHLSMQDAQRYSPITSSSLAGPPAVGLQTSIAPLPSPQTTAPASLSNPNCTAPPMQTVPLAPALLPSIPTRPPHISSISSPGIPQGAGEIRAPAPHLQPFRPSTSMSNQQLHSNLLATSPSFTHIPRLPSPTQQSVPHNRAHHPESAGGDLAALRSLSALGLLMNMNSRAGANPPGSLPSQPNLVSNHQSSLSEPPNTSGACVNPVHTSGSTDIVCLSDDD >ONI00776 pep chromosome:Prunus_persica_NCBIv2:G6:7363587:7383319:-1 gene:PRUPE_6G104600 transcript:ONI00776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDTRSSRKIKDDENNNSNGRQISSKGLSTSGSGASDTSGLRRSSRETSLKKNITLSPSSTRKSERLEKKMPETPLFKRKSERFEKKLTPSPLRRSDRAKNHSSTSSGSKRSDKSSGSSLAKRKSEKKEKSVKELTLGTREVSKSEKQNVGPCHGKNKIRNARAYKKLFTKHRKKLQARDHSEKQSRQNKVSQGGSNACGSEIEGLGKGVEELNEEFVGRVHDGSLVGSDSSVNKLTKETWEDNPRVDLSHSSPRPSCLEEASEFKDGDGLEIRDQRPLSSSYNAMTEELNDAPKRVHVECSAMEKLKMPELTCSTFNERLHDVYIASEIGHRVIPSKRKRNVADGDSDSPVNASKDVCTLTADAVSSLPSGSTEDVSVETCGACFKRQRVENDPMNLEFCSCSTKLNQELCGASVTELHPDRGELIADSTMEVPENLNTCTHQKEFSADLQTNGNQNTCLICSLGGKLLFCDGRGCKRSYHLSCLDPPMDAVPLGVWHCSMCVRKKIESGIYSMSEGIESIWDAREVEVSDVDGLLKRKEFFVKYKGLAHIHNQWVPESKVLLEAPTLVVKFNRNNQVTRWKKKWTVPRRLLQKRLLMSPKQRDNYLREHTGDKLFCHYEWLVKWHGLDYDDATWELENAAFLNSPEGQGLISVYENRRQRAKKASISPETDKILEGKKCSSVKLFQLPAGEISGFDNTCLDNINKLRELWHKGENAVVYDQERIAKVVAFILSLQSDFHRPFLIISTPPTLCCWDNEFFHLAPSIDVVVYSGNKDLRRSIRTIEFDGVGGYMMFQVLVTSPEAIIEDKNVFECIQWETIIIDECQRPTISKQLVQIKMLHTHNWLLLVNGISKESSAAEYLSLLSVLDSHGDSQNSDHLLTSSGDIIGKLKERFSRYIAYGDIIGKPKPDSSRFIEYWVPVRISTVQLEQYCENLLSNSTLILSSAKKDRVGALHDIVLSARKCCDHPYIVHPPLQTLLTKDLQAVEYLDVGVKASGKLRLLDMMLKEIKNRSLRVLILFQSISGSGSAYSLGDILDDFLRQRYGENSYERVEFGVLRSKKDVAMNMFNNKENGRFVFLLEAHACLPSIKLSSVDTVIIFGSDRNPHNDIRALQKISLDSQFEEIKVFRLYSTCTVEEKLLVRAKQRKIHDSNVQNISSSMLLWGAPYQFDKLDEFHCCNTPASTANILPEESLLNDVIREFLSILPQDGNNNVLCDFSIISKVQQTGGAYSAEVPLLNELKNQHTGEGQPLDFWTKLLVGKHPPWKYCSGLSQRNRKRAQHLDELSKKPEGGSDEVVKKRKKVVNGNDDAPYPKPGSEGKSVPGCKEVSSVDINVLENPESSMFESEERRKLRDAQKSLHQLLKPEILKLCGILQVSDAVKVMVEKFLQYVMSNHHVNREPATILQAFQISLCWTAASFLKQKVDHKESIQLAKKHLNFNCKKEEADYVYSMLRCLKKTFLYRTGIFKAAESPKSAKLSTKDVLKNSHPKVSRSTTSNFQQVKSDVKDLSLKQEKLAQKDVSKSIKDIQKKIQKQLTKLIEKQIKERSEVLRTCQEEKAHLEAESVVIRSCFLNNTSMRTEKLKMLEKKIEENKNQTNLRLKRLEASQQEAQDKLKEMGKRWAEEVQSWACVELLNRSPSNTPEPWLECSRTSEGCKDFATLRDHDIDCVVHSMTGRVTALSQTPDSGPDEAETCSGPIRTEMTTARPLGANGALNRTSGDDQENTASVNPCPKAGITDCANGDFLREVQEVACSDSQKVVTSSLPLFEEWNHNADTLPISDGEVRVEVPETLCSTDGQHGSHPLNLSSLQQNPDGATLRVPDREALVGLHETVSSLRGLQNVVSVRAPSSEQIHVVKGAMPDKEVELGVLETVSSSHDLHNVVSVSTPSSEEEIHVVKETTPDKEVELGVLETVSSNDGIANLVTVHPPSSGEDIHENSTEHETVSSSHGFQNVVPVCVPSSEEQVHVVTVTLPDNEVDLAVLQTVCSDDGPGNLASVNPPSSDEKISEKATEKENSEGCIMASDSATGVYQQNGVDTAVNGSSYQEMPLVNSPGLQPVALAPGGSVTQEQAQQDKGTLLETSTAVQERDAEAREKQNTCQPIENLAPESVPVVSSNLSNHEMPDIEPVVQQLLLPSSNTPDHSAPELSSAGGVEIQPSPENRTFNQVAHAPMPLVENLLDLSNHTVSRSVAWSTSGFGLPFSDTRATPVTSALNSRPINAAPQGASRTPLPVYHDPLQNELERLNKQTDHIVKSHEDTKLRLKTDCDKEIEEALAEIRRKYEIRFQEIEAEFLLKKKELDSIHNKVLMNKILAEAFRSKCMDLRASGASGAQQDGISSFSQQLVHLSMQDAQRYSPITSSSLAGPPAVGLQTSIAPLPSPQTTAPASLSNPNCTAPPMQTVPLAPALLPSIPTRPPHISSISSPGIPQGAGEIRAPAPHLQPFRPSTSMSNQQLHSNLLATSPSFTHIPRLPSPTQQSVPHNRAHHPESAGGDLAALRSLSALGLLMNMNSRAGANPPGSLPSQPNLVSNHQSSLSEPPNTSGACVNPVHTSGSTDIVCLSDDD >ONI00781 pep chromosome:Prunus_persica_NCBIv2:G6:7363587:7381466:-1 gene:PRUPE_6G104600 transcript:ONI00781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIHASSVLLVGSSCGFCDGRGCKRSYHLSCLDPPMDAVPLGVWHCSMCVRKKIESGIYSMSEGIESIWDAREVEVSDVDGLLKRKEFFVKYKGLAHIHNQWVPESKVLLEAPTLVVKFNRNNQVTRWKKKWTVPRRLLQKRLLMSPKQRDNYLREHTGDKLFCHYEWLVKWHGLDYDDATWELENAAFLNSPEGQGLISVYENRRQRAKKASISPETDKILEGKKCSSVKLFQLPAGEISGFDNTCLDNINKLRELWHKGENAVVYDQDKNVFECIQWETIIIDECQRPTISKQLVQIKMLHTHNWLLLVNGISKESSAAEYLSLLSVLDSHGDSQNSDHLLTSSGDIIGKLKERFSRYIAYGDIIGKPKPDSSRFIEYWVPVRISTVQLEQYCENLLSNSTLILSSAKKDRVGALHDIVLSARKCCDHPYIVHPPLQTLLTKDLQAVEYLDVGVKASGKLRLLDMMLKEIKNRSLRVLILFQSISGSGSAYSLGDILDDFLRQRYGENSYERVEFGVLRSKKDVAMNMFNNKENGRFVFLLEAHACLPSIKLSSVDTVIIFGSDRNPHNDIRALQKISLDSQFEEIKVFRLYSTCTVEEKLLVRAKQRKIHDSNVQNISSSMLLWGAPYQFDKLDEFHCCNTPASTANILPEESLLNDVIREFLSILPQDGNNNVLCDFSIISKVQQTGGAYSAEVPLLNELKNQHTGEGQPLDFWTKLLVGKHPPWKYCSGLSQRNRKRAQHLDELSKKPEGGSDEVVKKRKKVVNGNDDAPYPKPGSEGKSVPGCKEVSSVDINVLENPESSMFESEERRKLRDAQKSLHQLLKPEILKLCGILQVSDAVKVMVEKFLQYVMSNHHVNREPATILQAFQISLCWTAASFLKQKVDHKESIQLAKKHLNFNCKKEEADYVYSMLRCLKKTFLYRTGIFKAAESPKSAKLSTKDVLKNSHPKVSRSTTSNFQQVKSDVKDLSLKQEKLAQKDVSKSIKDIQKKIQKQLTKLIEKQIKERSEVLRTCQEEKAHLEAESVVIRSCFLNNTSMRTEKLKMLEKKIEENKNQTNLRLKRLEASQQEAQDKLKEMGKRWAEEVQSWACVELLNRSPSNTPEPWLECSRTSEGCKDFATLRDHDIDCVVHSMTGRVTALSQTPDSGPDEAETCSGPIRTEMTTARPLGANGALNRTSGDDQENTASVNPCPKAGITDCANGDFLREVQEVACSDSQKVVTSSLPLFEEWNHNADTLPISDGEVRVEVPETLCSTDGQHGSHPLNLSSLQQNPDGATLRVPDREALVGLHETVSSLRGLQNVVSVRAPSSEQIHVVKGAMPDKEVELGVLETVSSSHDLHNVVSVSTPSSEEEIHVVKETTPDKEVELGVLETVSSNDGIANLVTVHPPSSGEDIHENSTEHETVSSSHGFQNVVPVCVPSSEEQVHVVTVTLPDNEVDLAVLQTVCSDDGPGNLASVNPPSSDEKISEKATEKENSEGCIMASDSATGVYQQNGVDTAVNGSSYQEMPLVNSPGLQPVALAPGGSVTQEQAQQDKGTLLETSTAVQERDAEAREKQNTCQPIENLAPESVPVVSSNLSNHEMPDIEPVVQQLLLPSSNTPDHSAPELSSAGGVEIQPSPENRTFNQVAHAPMPLVENLLDLSNHTVSRSVAWSTSGFGLPFSDTRATPVTSALNSRPINAAPQGASRTPLPVYHDPLQNELERLNKQTDHIVKSHEDTKLRLKTDCDKEIEEALAEIRRKYEIRFQEIEAEFLLKKKELDSIHNKVLMNKILAEAFRSKCMDLRASGASGAQQDGISSFSQQLVHLSMQDAQRYSPITSSSLAGPPAVGLQTSIAPLPSPQTTAPASLSNPNCTAPPMQTVPLAPALLPSIPTRPPHISSISSPGIPQGAGEIRAPAPHLQPFRPSTSMSNQQLHSNLLATSPSFTHIPRLPSPTQQSVPHNRAHHPESAGGDLAALRSLSALGLLMNMNSRAGANPPGSLPSQPNLVSNHQSSLSEPPNTSGACVNPVHTSGSTDIVCLSDDD >ONI00775 pep chromosome:Prunus_persica_NCBIv2:G6:7363917:7382089:-1 gene:PRUPE_6G104600 transcript:ONI00775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDTRSSRKIKDDENNNSNGRQISSKGLSTSGSGASDTSGLRRSSRETSLKKNITLSPSSTRKSERLEKKMPETPLFKRKSERFEKKLTPSPLRRSDRAKNHSSTSSGSKRSDKSSGSSLAKRKSEKKEKSVKELTLGTREVSKSEKQNVGPCHGKNKIRNARAYKKLFTKHRKKLQARDHSEKQSRQNKVSQGGSNACGSEIEGLGKGVEELNEEFVGRVHDGSLVGSDSSVNKLTKETWEDNPRVDLSHSSPRPSCLEEASEFKDGDGLEIRDQRPLSSSYNAMTEELNDAPKRVHVECSAMEKLKMPELTCSTFNERLHDVYIASEIGHRVIPSKRKRNVADGDSDSPVNASKDVCTLTADAVSSLPSGSTEDVSVETCGACFKRQRVENDPMNLEFCSCSTKLNQELCGASVTELHPDRGELIADSTMEVPENLNTCTHQKEFSADLQTNGNQNTCLICSLGGKLLFCDGRGCKRSYHLSCLDPPMDAVPLGVWHCSMCVRKKIESGIYSMSEGIESIWDAREVEVSDVDGLLKRKEFFVKYKGLAHIHNQWVPESKVLLEAPTLVVKFNRNNQVTRWKKKWTVPRRLLQKRLLMSPKQRDNYLREHTGDKLFCHYEWLVKWHGLDYDDATWELENAAFLNSPEGQGLISVYENRRQRAKKASISPETDKILEGKKCSSVKLFQLPAGEISGFDNTCLDNINKLRELWHKGENAVVYDQDKNVFECIQWETIIIDECQRPTISKQLVQIKMLHTHNWLLLVNGISKESSAAEYLSLLSVLDSHGDSQNSDHLLTSSGDIIGKLKERFSRYIAYGDIIGKPKPDSSRFIEYWVPVRISTVQLEQYCENLLSNSTLILSSAKKDRVGALHDIVLSARKCCDHPYIVHPPLQTLLTKDLQAVEYLDVGVKASGKLRLLDMMLKEIKNRSLRVLILFQSISGSGSAYSLGDILDDFLRQRYGENSYERVEFGVLRSKKDVAMNMFNNKENGRFVFLLEAHACLPSIKLSSVDTVIIFGSDRNPHNDIRALQKISLDSQFEEIKVFRLYSTCTVEEKLLVRAKQRKIHDSNVQNISSSMLLWGAPYQFDKLDEFHCCNTPASTANILPEESLLNDVIREFLSILPQDGNNNVLCDFSIISKVQQTGGAYSAEVPLLNELKNQHTGEGQPLDFWTKLLVGKHPPWKYCSGLSQRNRKRAQHLDELSKKPEGGSDEVVKKRKKVVNGNDDAPYPKPGSEGKSVPGCKEVSSVDINVLENPESSMFESEERRKLRDAQKSLHQLLKPEILKLCGILQVSDAVKVMVEKFLQYVMSNHHVNREPATILQAFQISLCWTAASFLKQKVDHKESIQLAKKHLNFNCKKEEADYVYSMLRCLKKTFLYRTGIFKAAESPKSAKLSTKDVLKNSHPKVSRSTTSNFQQVKSDVKDLSLKQEKLAQKDVSKSIKDIQKKIQKQLTKLIEKQIKERSEVLRTCQEEKAHLEAESVVIRSCFLNNTSMRTEKLKMLEKKIEENKNQTNLRLKRLEASQQEAQDKLKEMGKRWAEEVQSWACVELLNRSPSNTPEPWLECSRTSEGCKDFATLRDHDIDCVVHSMTGRVTALSQTPDSGPDEAETCSGPIRTEMTTARPLGANGALNRTSGDDQENTASVNPCPKAGITDCANGDFLREVQEVACSDSQKVVTSSLPLFEEWNHNADTLPISDGEVRVEVPETLCSTDGQHGSHPLNLSSLQQNPDGATLRVPDREALVGLHETVSSLRGLQNVVSVRAPSSEQIHVVKGAMPDKEVELGVLETVSSSHDLHNVVSVSTPSSEEEIHVVKETTPDKEVELGVLETVSSNDGIANLVTVHPPSSGEDIHENSTEHETVSSSHGFQNVVPVCVPSSEEQVHVVTVTLPDNEVDLAVLQTVCSDDGPGNLASVNPPSSDEKISEKATEKENSEGCIMASDSATGVYQQNGVDTAVNGSSYQEMPLVNSPGLQPVALAPGGSVTQEQAQQDKGTLLETSTAVQERDAEAREKQNTCQPIENLAPESVPVVSSNLSNHEMPDIEPVVQQLLLPSSNTPDHSAPELSSAGGVEIQPSPENRTFNQVAHAPMPLVENLLDLSNHTVSRSVAWSTSGFGLPFSDTRATPVTSALNSRPINAAPQGASRTPLPVYHDPLQNELERLNKQTDHIVKSHEDTKLRLKTDCDKEIEEALAEIRRKYEIRFQEIEAEFLLKKKELDSIHNKVLMNKILAEAFRSKCMDLRASGASGAQQGPLISLADGISSFSQQLVHLSMQDAQRYSPITSSSLAGPPAVGLQTSIAPLPSPQTTAPASLSNPNCTAPPMQTVPLAPALLPSIPTRPPHISSISSPGIPQGAGEIRAPAPHLQPFRPSTSMSNQQLHSNLLATSPSFTHIPRLPSPTQQSVPHNRAHHPESAGGDLAALRSLSALGLLMNMNSRAGANPPGSLPSQPNLVSNHQSSLSEPPNTSGACVNPVHTSGSTDIVCLSDDD >ONI00780 pep chromosome:Prunus_persica_NCBIv2:G6:7363917:7381097:-1 gene:PRUPE_6G104600 transcript:ONI00780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEELNDAPKRVHVECSAMEKLKMPELTCSTFNERLHDVYIASEIGHRVIPSKRKRNVADGDSDSPVNASKDVCTLTADAVSSLPSGSTEDVSVETCGACFKRQRVENDPMNLEFCSCSTKLNQELCGASVTELHPDRGELIADSTMEVPENLNTCTHQKEFSADLQTNGNQNTCLICSLGGKLLFCDGRGCKRSYHLSCLDPPMDAVPLGVWHCSMCVRKKIESGIYSMSEGIESIWDAREVEVSDVDGLLKRKEFFVKYKGLAHIHNQWVPESKVLLEAPTLVVKFNRNNQVTRWKKKWTVPRRLLQKRLLMSPKQRDNYLREHTGDKLFCHYEWLVKWHGLDYDDATWELENAAFLNSPEGQGLISVYENRRQRAKKASISPETDKILEGKKCSSVKLFQLPAGEISGFDNTCLDNINKLRELWHKGENAVVYDQERIAKVVAFILSLQSDFHRPFLIISTPPTLCCWDNEFFHLAPSIDVVVYSGNKDLRRSIRTIEFDGVGGYMMFQVLVTSPEAIIEDKNVFECIQWETIIIDECQRPTISKQLVQIKMLHTHNWLLLVNGISKESSAAEYLSLLSVLDSHGDSQNSDHLLTSSGDIIGKLKERFSRYIAYGDIIGKPKPDSSRFIEYWVPVRISTVQLEQYCENLLSNSTLILSSAKKDRVGALHDIVLSARKCCDHPYIVHPPLQTLLTKDLQAVEYLDVGVKASGKLRLLDMMLKEIKNRSLRVLILFQSISGSGSAYSLGDILDDFLRQRYGENSYERVEFGVLRSKKDVAMNMFNNKENGRFVFLLEAHACLPSIKLSSVDTVIIFGSDRNPHNDIRALQKISLDSQFEEIKVFRLYSTCTVEEKLLVRAKQRKIHDSNVQNISSSMLLWGAPYQFDKLDEFHCCNTPASTANILPEESLLNDVIREFLSILPQDGNNNVLCDFSIISKVQQTGGAYSAEVPLLNELKNQHTGEGQPLDFWTKLLVGKHPPWKYCSGLSQRNRKRAQHLDELSKKPEGGSDEVVKKRKKVVNGNDDAPYPKPGSEGKSVPGCKEVSSVDINVLENPESSMFESEERRKLRDAQKSLHQLLKPEILKLCGILQVSDAVKVMVEKFLQYVMSNHHVNREPATILQAFQISLCWTAASFLKQKVDHKESIQLAKKHLNFNCKKEEADYVYSMLRCLKKTFLYRTGIFKAAESPKSAKLSTKDVLKNSHPKVSRSTTSNFQQVKSDVKDLSLKQEKLAQKDVSKSIKDIQKKIQKQLTKLIEKQIKERSEVLRTCQEEKAHLEAESVVIRSCFLNNTSMRTEKLKMLEKKIEENKNQTNLRLKRLEASQQEAQDKLKEMGKRWAEEVQSWACVELLNRSPSNTPEPWLECSRTSEGCKDFATLRDHDIDCVVHSMTGRVTALSQTPDSGPDEAETCSGPIRTEMTTARPLGANGALNRTSGDDQENTASVNPCPKAGITDCANGDFLREVQEVACSDSQKVVTSSLPLFEEWNHNADTLPISDGEVRVEVPETLCSTDGQHGSHPLNLSSLQQNPDGATLRVPDREALVGLHETVSSLRGLQNVVSVRAPSSEQIHVVKGAMPDKEVELGVLETVSSSHDLHNVVSVSTPSSEEEIHVVKETTPDKEVELGVLETVSSNDGIANLVTVHPPSSGEDIHENSTEHETVSSSHGFQNVVPVCVPSSEEQVHVVTVTLPDNEVDLAVLQTVCSDDGPGNLASVNPPSSDEKISEKATEKENSEGCIMASDSATGVYQQNGVDTAVNGSSYQEMPLVNSPGLQPVALAPGGSVTQEQAQQDKGTLLETSTAVQERDAEAREKQNTCQPIENLAPESVPVVSSNLSNHEMPDIEPVVQQLLLPSSNTPDHSAPELSSAGGVEIQPSPENRTFNQVAHAPMPLVENLLDLSNHTVSRSVAWSTSGFGLPFSDTRATPVTSALNSRPINAAPQGASRTPLPVYHDPLQNELERLNKQTDHIVKSHEDTKLRLKTDCDKEIEEALAEIRRKYEIRFQEIEAEFLLKKKELDSIHNKVLMNKILAEAFRSKCMDLRASGASGAQQGPLISLADGISSFSQQLVHLSMQDAQRYSPITSSSLAGPPAVGLQTSIAPLPSPQTTAPASLSNPNCTAPPMQTVPLAPALLPSIPTRPPHISSISSPGIPQGAGEIRAPAPHLQPFRPSTSMSNQQLHSNLLATSPSFTHIPRLPSPTQQSVPHNRAHHPESAGGDLAALRSLSALGLLMNMNSRAGANPPGSLPSQPNLVSNHQSSLSEPPNTSGACVNPVHTSGSTDIVCLSDDD >ONI01167 pep chromosome:Prunus_persica_NCBIv2:G6:9635670:9638422:1 gene:PRUPE_6G125700 transcript:ONI01167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLNVADEGTSFSVVVDTLDGDAYDEFEDNDEDLNGNYEDVDEDSDGNYEDVDEDNDGNYENNDEDVQEDLIADAEKRAELKFQFLNSDYEQEKANQEVNVDDCDFDKYVVYEGVEPPHKEHGEASSDEYHYNLKDLRSFSEEEQHDEVEGVKKCSARPQCCC >ONI01168 pep chromosome:Prunus_persica_NCBIv2:G6:9635711:9638329:1 gene:PRUPE_6G125700 transcript:ONI01168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLNVADEGTSFSVVVDTLDGDAYDEFEDNDEDLNGNYEDVDEDSDGNYEDVDEDNDGNYENNDEDVQEDLIADAEKRAELKFQFLNSDYEQEKANQEVNVDDCDFDKYVVYEGVEPPHKEHGEASSDEYHYNLKDLRSFSEEEQHDEVEGVKKCSARPQCCC >ONI01882 pep chromosome:Prunus_persica_NCBIv2:G6:16116323:16122219:-1 gene:PRUPE_6G164600 transcript:ONI01882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPESKPSLSELSSTAALGDYVLISEVGEGSFSRVWKAVHRESGEVVALKKVFLSRLNRRLKNCLDCEIKFLSSICHPNVVRLLKAFQNEGCIFLVLEFCNGGNLAAYIRQHGRVHEQIARKFMQQLGAGLEILHSHHIMHRDLKPENILLSRSDDDAVLKVADFGLSRSLHPGDYAETVCGSPLYMAPEVLQFERYDGKVDMWSVGVILFELLNGCPPFPGRTNVQVLKNIKSSTCLPFDQLILSRLHPDSVDICSKLLSRNPGPGFSTRLGFYLVIPGY >ONH99789 pep chromosome:Prunus_persica_NCBIv2:G6:3565720:3569165:-1 gene:PRUPE_6G050800 transcript:ONH99789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNLCTVVVFLLATMAYGGGAVGVNWGTTASHPLPPTKVVELLKSNNVTKVKLLDADPGVLEALSGSNLGVTVGIPNASLRTLNSSKKAAESWVHDNVTRYVSNGGSGVKIEYVAVGDEPFLQSYGEQFHPFVIGAAMNIHIALARAKLESKVKVVVPCSFDSFLSESGHPSKGHFRADLNRTMIELLTFLSKHSSPFFATISPFLSLHQNKNISLDFTLFKANAKPHNDSRRTYKNSFDLSYDILVTALSTVGFPKMEIVVSQIGWPTDGAANATSSTAETFMKGLVEHLHSKSGTPLRPRDPPSETYIFSLLDEDQRSVSTGNFERHWGVFTFDGQAKYHFDFIQGSKNLVDAQNVEYLPSKWCVVNNNKDLSNATASSLEACSLADCTALSPGGSCSNISWPGNISYAFNSYYQQHNQSADSCNFGGLGLITTVDPSVDNCSVRSRCEYFTGW >ONH99790 pep chromosome:Prunus_persica_NCBIv2:G6:3566305:3568958:-1 gene:PRUPE_6G050800 transcript:ONH99790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNLCTVVVFLLATMAYGGGAVGVNWGTTASHPLPPTKVVELLKSNNVTKVKLLDADPGVLEALSGSNLGVTVGIPNASLRTLNSSKKAAESWVHDNVTRYVSNGGSGVKIEYVAVGDEPFLQSYGEQFHPFVIGAAMNIHIALARAKLESKVKVVVPCSFDSFLSESGHPSKGHFRADLNRTMIELLTFLSKHSSPFFATISPFLSLHQNKNISLDFTLFKANAKPHNDSRRTYKNSFDLSYDILVTALSTVGFPKMEIVVSQIGWPTDGAANATSSTAETFMKGLVEHLHSKSGTPLRPRDPPSETYIFSLLDEDQRSVSTGNFERHWGVFTFDGQAKYHFDFIQGSKNLVDAQNVEYLPSKWCVVNNNKDLSNATASSLEACSLADCTALSPGGSCSNISWPGNISYAFNSYYQQHNQSADSCNFGGLGLITTVDPSVDNCSVCCCSL >ONH99791 pep chromosome:Prunus_persica_NCBIv2:G6:3566419:3568958:-1 gene:PRUPE_6G050800 transcript:ONH99791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNLCTVVVFLLATMAYGGGAVGVNWGTTASHPLPPTKVVELLKSNNVTKVKLLDADPGVLEALSGSNLGVTVGIPNASLRTLNSSKKAAESWVHDNVTRYVSNGGSGVKIEYVAVGDEPFLQSYGEQFHPFVIGAAMNIHIALARAKLESKVKVVVPCSFDSFLSESGHPSKGHFRADLNRTMIELLTFLSKHSSPFFATISPFLSLHQNKNISLDFTLFKANAKPHNDSRRTYKNSFDLSYDILVTALSTVGFPKMEIVVSQIGWPTDGAANATSSTAETFMKGLVEHLHSKSGTPLRPRDPPSETYIFSLLDEDQRSVSTGNFERHWGVFTFDGQAKYHFDFIQGSKNLVDAQNVEYLPSKWCVVNNNKDLSNATASSLEACSLADCTALSPGGSCSNISWPGNISYAFNSYYQQHNQSADSCNFGGLGLITTVDPSVDNCRFSVQLRTSLSDFLYPAYLTQWMTLLVTAILLSLTIFT >ONI03570 pep chromosome:Prunus_persica_NCBIv2:G6:25422851:25425169:-1 gene:PRUPE_6G265900 transcript:ONI03570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKQPHVVIFPFPLQGHMKPLLCLAELLCHAGLHVTYVNTHHNHQRLANRQALSIHFPTLHFESISDGLPEDDPRTLNSQLLIALKTSIRPHFRELLKTISFKAESNDALVPPPSCIMTDGLVTFAFDVGEELGLPILSFNVPCPRYLWTCLCLPKLIENGQLPFQDDDMNVEITGVPGMEGLLHRQDLPGFCRVKQADHPSLQFAINETQTLKRASALILDTVYELDAPCISHMALMFPKIYTLGPLHALLNSQIGDMSRGLASHGSLWKSDLNCMTWLDSQPSKSVIYVSFGTLVHLTRAQVIEFWYGLVNSGHPFLWVMRSDITSGDHQIPAELENGTKERGCIVDWVSQEEVLAHKSVGGFLTHSGWNSTLESIVAGLPMICWPKLGDHYIISRTVCRQWKIGLQLNENCDRSNIESMVQTLMGSKREEIQSSMDAISKLARDSVAEGGSSHNNLEQLIEYIRNLQHQN >ONI03679 pep chromosome:Prunus_persica_NCBIv2:G6:25918556:25920954:-1 gene:PRUPE_6G274700 transcript:ONI03679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSRLLSLAKFHIFCTLSLAAIIFIIYADSIIYNDSEKSVELNDKAPSPVLLQTHSSAGHLIKSDINRIALHSMQEETLEVGREISSPLVPPFNATEEERIAWFRSKLPKFEIFKSDKFARQFHGRVLEFFNNKCEGQFFMTWISPVRSFGSREFLSMESLFRAHPHGCLMILSRTMDSRRGYRILKPLQDRGFKVHAVTPDLSFLFKNTPAEAWFAEMKGGKKDPGEIPLAQNLSNLMRLAILYKYGGVYLDMDFIVLNSFLGLRNSIGAQSVDVASKNWTRLNNAVLVFDMNHPLLYKFMEEFASTFDGNKWGHNGPYLVSRVVRRVQNRPGYNFTILPPIAFYPVDWNRIGGLFKKPGSQAHSRWVKAKLLQLSGETYGVHLWNKQSSRVTIEEGSIMQRLISDHCVICSDIYSS >ONI03694 pep chromosome:Prunus_persica_NCBIv2:G6:25974736:25976197:1 gene:PRUPE_6G275500 transcript:ONI03694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGSGSTRPFDDDGYTGYDPRLASKQFDSFSNFDADSVNDSSPIFSNQPYLAGDNAFSSHPVPRTQSLPSMFSKGGPSLPSPAEMEPDEGFPLKEWWRRNVLELEEKEKKEKEKLQRIIEEAEAYKVEFYRKRQLSVEKKKASNRESEKVFVENQEKFQAEAEKNYWKAIAELIPREVAAIEKRGKKRDKDKEKKASVVVVQGSKPGKPTDLTRMRQILVKLNYNPPQHMKPKPEPTAQPKQDAQAIVINEAAVAAV >ONI03198 pep chromosome:Prunus_persica_NCBIv2:G6:24200384:24202217:1 gene:PRUPE_6G244300 transcript:ONI03198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKEAERVVIAKPVASRPTCSSFRSFTELLAGAIDASPSNISSETAVPAIRPKTVRFKPTVNHAVSGLVSSQAEMSGTAHSNSSEKISKSDSRASVVYKPLAKVVSRATVSALANMGNFNTSHQSTQSSVEAGVLQQNQDKCFRSQLSPNLRHNNPSCAETNQTTEPLKIASQNVEEDPKHIPSTANTDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHSKPQPPKKSSSGTQGLGIASDGTGQDTNNRLWNSQLNERNEGSEGRVEDQNEVGLPVHSYQSKAPLLYDPLASGGINAGGGTPDNSCGLSGECEEGSKGPEAEDYEPRSKRRKSENQSNEGGISGEGVPDPRVVVQSSVDSDMTGDGFRWRKYGQKVVKGNPYPR >ONI03195 pep chromosome:Prunus_persica_NCBIv2:G6:24197930:24203246:1 gene:PRUPE_6G244300 transcript:ONI03195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKEAERVVIAKPVASRPTCSSFRSFTELLAGAIDASPSNISSETAVPAIRPKTVRFKPTVNHAVSGLVSSQAEMSGTAHSNSSEKISKSDSRASVVYKPLAKVVSRATVSALANMGNFNTSHQSTQSSVEAGVLQQNQDKCFRSQLSPNLRHNNPSCAETNQTTEPLKIASQNVEEDPKHIPSTANTDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHSKPQPPKKSSSGTQGLGIASDGTGQDTNNRLWNSQLNERNEGSEGRVEDQNEVGLPVHSYQSKAPLLYDPLASGGINAGGGTPDNSCGLSGECEEGSKGPEAEDYEPRSKRRKSENQSNEGGISGEGVPDPRVVVQSSVDSDMTGDGFRWRKYGQKVVKGNPYPRSYYRCTSLKCSVRKHVERVSDDPKAFITTYEGKHNHDMPLRNTNPGASEKDAQAPTTKEKP >ONI03196 pep chromosome:Prunus_persica_NCBIv2:G6:24197923:24203311:1 gene:PRUPE_6G244300 transcript:ONI03196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKEAERVVIAKPVASRPTCSSFRSFTELLAGAIDASPSNISSETAVPAIRPKTVRFKPTVNHAVSGLVSSQAEMSGTAHSNSSEKISKSDSRASVVYKPLAKVVSRATVSALANMGNFNTSHQSTQSSVEAGVLQQNQDKCFRSQLSPNLRHNNPSCAETNQTTEPLKIASQNVEEDPKHIPSTANTDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHSKPQPPKKSSSGTQGLGIASDGTGQDTNNRLWNSQLNERNEGSEGRVEDQNEVGLPVHSYQSKAPLLYDPLASGGINAGGGTPDNSCGLSGECEEGSKGPEAEDYEPRSKRRKSENQSNEGGISGEGVPDPRVVVQSSVDSDMTGDGFRWRKYGQKVVKGNPYPRSYYRCTSLKCSVRKHVERVSDDPKAFITTYEGKHNHDMPLRNTNPGASEKDAQAPTTKEKP >ONI03197 pep chromosome:Prunus_persica_NCBIv2:G6:24197930:24203246:1 gene:PRUPE_6G244300 transcript:ONI03197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKEAERVVIAKPVASRPTCSSFRSFTELLAGAIDASPSNISSETAVPAIRPKTVRFKPTVNHAVSGLVSSQAEMSGTAHSNSSEKISKSDSRASVVYKPLAKVVSRATVSALANMGNFNTSHQSTQSSVEAGVLQQNQDKCFRSQLSPNLRHNNPSCAETNQTTEPLKIASQNVEEDPKHIPSTANTDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHSKPQPPKKSSSGTQGLGIASDGTGQDTNNRLWNSQLNERNEGSEGRVEDQNEVGLPVHSYQSKAPLLYDPLASGGINAGGGTPDNSCGLSGECEEGSKGPEAEDYEPRSKRRKSENQSNEGGISGEGVPDPRVVVQSSVDSDMTGDGFRWRKYGQKVVKGNPYPRSYYRCTSLKCSVRKHVERVSDDPKAFITTYEGKHNHDMPLRNTNPGASEKDAQAPTTKEKP >ONI03194 pep chromosome:Prunus_persica_NCBIv2:G6:24197923:24203322:1 gene:PRUPE_6G244300 transcript:ONI03194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKEAERVVIAKPVASRPTCSSFRSFTELLAGAIDASPSNISSETAVPAIRPKTVRFKPTVNHAVSGLVSSQAEMSGTAHSNSSEKISKSDSRASVVYKPLAKVVSRATVSALANMGNFNTSHQSTQSSVEAGVLQQNQDKCFRSQLSPNLRHNNPSCAETNQTTEPLKIASQNVEEDPKHIPSTANTDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHSKPQPPKKSSSGTQGLGIASDGTGQDTNNRLWNSQLNERNEGSEGRVEDQNEVGLPVHSYQSKAPLLYDPLASGGINAGGGTPDNSCGLSGECEEGSKGPEAEDYEPRSKRRKSENQSNEGGISGEGVPDPRVVVQSSVDSDMTGDGFRWRKYGQKVVKGNPYPRSYYRCTSLKCSVRKHVERVSDDPKAFITTYEGKHNHDMPLRNTNPGASEKDAQAPTTKEKP >ONI05221 pep chromosome:Prunus_persica_NCBIv2:G6:30541595:30541999:1 gene:PRUPE_6G363400 transcript:ONI05221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAILGSKKKDKKNAYEILQLLNNGCKYQGSHPSLATNNVKQNPNISNKIRPRSITYFISAHISIHAS >ONI02300 pep chromosome:Prunus_persica_NCBIv2:G6:19718241:19720036:1 gene:PRUPE_6G189200 transcript:ONI02300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLLGSPCLVYHIMLAVLFSVIIQILQAVAEILLIPITQGRKRHNKKPKEAQSFNFFFMTNYSDQKETQISSTHKMIQINTSKWGEIGEKQISLYSILLFRIPEKRKKKKKKKKPFFFFPDSLQDKWWSMENHRCDGGQGPSDA >ONI04227 pep chromosome:Prunus_persica_NCBIv2:G6:27829981:27831176:1 gene:PRUPE_6G310200 transcript:ONI04227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRNKPNPNINRRSAVKNLEPSLKEEEEEEEEGEKVSTHEIFTKGTPVEVSSDEEGFNGAWFAATIVEAVGEDKFLIEYQSLRTEDDSAFLREEIDTLHIRPCPPEIVVDHFSLLQEVDGYYNDGWWVGVISKVLRGSRYIVYFRGTYEEIEFQHSELRLHQDWIGGKWVMASRV >ONI04569 pep chromosome:Prunus_persica_NCBIv2:G6:28763293:28766525:-1 gene:PRUPE_6G328600 transcript:ONI04569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFSSFRICFLSLALLSTFLFFLLTTPNSNSPNYPSPFLITPHRFLITTPAKNPPCSSTTITHSNGLFNYLYLHFCLFSQNPLFSLSSLSIILLLLFYILIKTAQDHFSLVTTKLTFSLNLTPSMAAVTLLALGNGAPDVFASVAAVQTGQYRTGFGAILSAGTFVSAFVVGFVAIYAAPFSLNPAPFVRDVLFYLTAALFLFYVYLSAEIYLWQAVGFVGFYLFFVGLVFWMDLGLGAGGKKSRVEAGVVGDSEIQRGLVAPDFEIGQVSENSEDGKPSFGLRRALVMISKAWELPVSVLLKLTIPQAAPSEWSRFYTSANIALCPLALLYACNSFMPFNHPVVFLLSDTHLPLWFVVLLASSPLALLHYIMEKDPPKTEQMPVLLIAFVMSVFWISTTAGELLNCLAALGSLLELPPALLGLTVLAWGNSVGDLVADVALAKAGHPAMAMAGCFAGPMFNMLVGLGTALVIQTYNVYPEVYELQFHVGIIIAFVFLLLSLMGSLLVITWCRFRVPRFWGFCLVGLYVIFMAVSLVIAKFSG >ONI03709 pep chromosome:Prunus_persica_NCBIv2:G6:26008842:26011768:-1 gene:PRUPE_6G276500 transcript:ONI03709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSFKDSLKALEADIQFANTLASNYRGEYDGGCLQMRLSYSPAAQFFLFLIQWTDCHLAGALGLLRILIYKAYEDGKTTMSIHERKASLKEFYSVIFPSLLQLQRGLTDVEDRKQKEIFSTKYKRKDEMDKGKLSEIDSEREEECGICMEMNSKVVLPNCSHSMCMKCYRDWRTRSQSCPFCRDSLKRVNSCDLWIYTSNNEIVDLSAISRQNLKRLFMYIDKLPLIVPNPAFVSYEPHR >ONI03710 pep chromosome:Prunus_persica_NCBIv2:G6:26009123:26011437:-1 gene:PRUPE_6G276500 transcript:ONI03710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSFKDSLKALEADIQFANTLASNYRGEYDGGCLQMRLSYSPAAQFFLFLIQWTDCHLAGALGLLRILIYKAYEDGKTTMSIHERKASLKEFYTGVIFPSLLQLQRGLTDVEDRKQKEIFSTKYKRKDEMDKGKLSEIDSEREEECGICMEMNSKVVLPNCSHSMCMKCYRDWRTRSQSCPFCRDSLKRVNSCDLWIYTSNNEIVDLSAISRQNLKRLFMYIDKLPLIVPNPAFVSYEPHR >ONI03711 pep chromosome:Prunus_persica_NCBIv2:G6:26009616:26011768:-1 gene:PRUPE_6G276500 transcript:ONI03711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSFKDSLKALEADIQFANTLASNYRGEYDGGCLQMRLSYSPAAQFFLFLIQWTDCHLAGALGLLRILIYKAYEDGKTTMSIHERKASLKEFYSVIFPSLLQLQRGLTDVEDRKQKEIFSTKYKRKDEMDKGKLSEIDSEREEECGICMEMNSKVVLPNCSHSMCMKCYRDC >ONI02598 pep chromosome:Prunus_persica_NCBIv2:G6:21778869:21787791:-1 gene:PRUPE_6G209400 transcript:ONI02598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKEIDYWVLRLIEFFILCLFILSGPTSADEGSFVSIACCAESDYKDSRTISWISDFNQFPNNRGCHTLVKAVVNNSGYNRTRVFYQGSGQRRCYKLSTTKGEDYLIRGTFLFGPLWGSHFNFSVSVGVTPIGVVDSSEDSVVVEGILRATEDLTYFCLVNGKGGAPYISELELRPLHGLKKYLQDFPSSVLKLVARVKYATSKEEIRYPDDPSDRIWTSNSSDLGSGTSLITTNVFISSNASVSVPSQVLQTALVASDQLVILRNGLSTTSYQYLMFLYLYEVNQTVQAGQRVFDIYLNNELKQSRFDVSGNGSNYKELAFTVTANGFLNLTLVKAPGSENGPLCNAYEILQVLPWAQETNQSDVEVILEVKNELLKNNPQNEVWEGWSGDPCLPVPWDGITCAAIDGYLVITKLDLSSGISRGSDQNSILKGPVPSSITKLTHLIALNLSHNGFTGDIPAFLPSSLLISVDLSSNDLSGSIPVSLISLPHLETLYLGCNPHVAKNIPSSFNGSKFTTDHGSCSVLESSKRRIIIGAAASGSVLLTIIAGIIFCIWRQKFLPQGKFDAKIHHPMAKNLIFSLPSMDDLVLKSISIETFTLEYIEAATQRYKTLIGEGGFGSVYRGTLIDGQEVAVKVRSATSTQGTREFENELNLLSAIRHENLVPLLGYCCENDQEILVYPFMSNGSLQDRLYGEAAKRKILDWPTRLSIALGAARGLTHLHTFAGRSIIHRDVKSSNILLDHSMSAKVADFGFSKYAPQEGDSCASLEVRGTAGYLDPEYYMTHHLSAKSDVFSFGVVLLEIVSGREPLNIHRPRPEWSLVEWAKSYVRESKIDEIVDPNIKGAYHAEAMWRVVEVAVSCIEPFAASRPNMVEIVRELEDALIIENNASEYMRSIESFGSNRFSVVMERRISPPSPSEPSPILSQMAPPEPR >ONI02600 pep chromosome:Prunus_persica_NCBIv2:G6:21780175:21787305:-1 gene:PRUPE_6G209400 transcript:ONI02600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKEIDYWVLRLIEFFILCLFILSGPTSADEGSFVSIACCAESDYKDSRTISWISDFNQFPNNRGCHTLVKAVVNNSGYNRTRVFYQGSGQRRCYKLSTTKGEDYLIRGTFLFGPLWGSHFNFSVSVGVTPIGVVDSSEDSVVVEGILRATEDLTYFCLVNGKGGAPYISELELRPLHGLKKYLQDFPSSVLKLVARVKYATSKEEIRYPDDPSDRIWTSNSSDLGSGTSLITTNVFISSNASVSVPSQVLQTALVASDQLVILRNGLSTTSYQYLMFLYLYEVNQTVQAGQRVFDIYLNNELKQSRFDVSGNGSNYKELAFTVTANGFLNLTLVKAPGSENGPLCNAYEILQVLPWAQETNQSDVEVILEVKNELLKNNPQNEVWEGWSGDPCLPVPWDGITCAAIDGYLVITKLDLSSGISRGSDQNSILKGPVPSSITKLTHLIALNLSHNGFTGDIPAFLPSSLLISVDLSSNDLSGSIPVSLISLPHLETLYLGCNPHVAKNIPSSFNGSKFTTDHGSCSVLESSKRRIIIGAAASGSVLLTIIAGIIFCIWRQKFLPQGKFDAKIHHPMAKNLIFSLPSMDDLVLKSISIETFTLEYIEAATQRYKTLIGEGGFGSVYRGTLIDGQEVAVKVRSATSTQGTREFENELNLLSAIRHENLVPLLGYCCENDQEILVYPFMSNGSLQDRLYGEAAKRKILDWPTRLSIALGAARGLTHLHTFAGRSIIHRDVKSSNILLDHSMSAKVADFGFSKYAPQEGDSCASLEVRGTAGYLDPE >ONI02599 pep chromosome:Prunus_persica_NCBIv2:G6:21778869:21785465:-1 gene:PRUPE_6G209400 transcript:ONI02599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYLYEVNQTVQAGQRVFDIYLNNELKQSRFDVSGNGSNYKELAFTVTANGFLNLTLVKAPGSENGPLCNAYEILQVLPWAQETNQSDVEVILEVKNELLKNNPQNEVWEGWSGDPCLPVPWDGITCAAIDGYLVITKLDLSSGISRGSDQNSILKGPVPSSITKLTHLIALNLSHNGFTGDIPAFLPSSLLISVDLSSNDLSGSIPVSLISLPHLETLYLGCNPHVAKNIPSSFNGSKFTTDHGSCSVLESSKRRIIIGAAASGSVLLTIIAGIIFCIWRQKFLPQGKFDAKIHHPMAKNLIFSLPSMDDLVLKSISIETFTLEYIEAATQRYKTLIGEGGFGSVYRGTLIDGQEVAVKVRSATSTQGTREFENELNLLSAIRHENLVPLLGYCCENDQEILVYPFMSNGSLQDRLYGEAAKRKILDWPTRLSIALGAARGLTHLHTFAGRSIIHRDVKSSNILLDHSMSAKVADFGFSKYAPQEGDSCASLEVRGTAGYLDPEYYMTHHLSAKSDVFSFGVVLLEIVSGREPLNIHRPRPEWSLVEWAKSYVRESKIDEIVDPNIKGAYHAEAMWRVVEVAVSCIEPFAASRPNMVEIVRELEDALIIENNASEYMRSIESFGSNRFSVVMERRISPPSPSEPSPILSQMAPPEPR >ONI00376 pep chromosome:Prunus_persica_NCBIv2:G6:5761732:5764242:-1 gene:PRUPE_6G085600 transcript:ONI00376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALIPHPLFPYILTSSSVHRSLVVRQCLYRQRGLNPTTWAIGVSGSSSLGAAGDLFGDANNLRGIDQNGSLLGGLDEAASAVPFGTLDAEITPETIDFFVSDAEGDPDCPSEGYSSIEQALNTLRQGKFVIVVDDENGEVEGNLVMAASFTSPKDVAFLIKQGSGIVSVGMKEEDLERLKLPLMSPETEEEDSSAPTFTITVDAKVGTSTGVSAVDRAKTVVALASHDSKPQDFRRPGHVFPLKYRNGGILRRAGHTEASVDLVVLAGLRPVSLLSAVVDAEDGSMASLPNLRKLSLDHSIPIVSITDLIRYRRKREKLVERKAVSRLPTKWGLFQAYCYNSKLDGTEHVAVVKGSIGNGEDVLVRVHSECLTGDIFRSARCDCGNQLDLAMQLIEQAGRGVVVYLRGHEGRGIGLGHKLQAYNLQDQGHDTVQANIELGLSVDSREYGIGAQILRDIGVRTMRLMTNNPAKFTGLKGYGLAVVGRVPVLTPITEENKTYLETKRTKMGHVYGSDIQGLSSGFIDPNVNNNQGLPESDSES >ONI00377 pep chromosome:Prunus_persica_NCBIv2:G6:5761519:5764400:-1 gene:PRUPE_6G085600 transcript:ONI00377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFTSPKDVAFLIKQGSGIVSVGMKEEDLERLKLPLMSPETEEEDSSAPTFTITVDAKVGTSTGVSAVDRAKTVVALASHDSKPQDFRRPGHVFPLKYRNGGILRRAGHTEASVDLVVLAGLRPVSLLSAVVDAEDGSMASLPNLRKLSLDHSIPIVSITDLIRYRRKREKLVERKAVSRLPTKWGLFQAYCYNSKLDGTEHVAVVKGSIGNGEDVLVRVHSECLTGDIFRSARCDCGNQLDLAMQLIEQAGRGVVVYLRGHEGRGIGLGHKLQAYNLQDQGHDTVQANIELGLSVDSREYGIGAQILRDIGVRTMRLMTNNPAKFTGLKGYGLAVVGRVPVLTPITEENKTYLETKRTKMGHVYGSDIQGLSSGFIDPNVNNNQGLPESDSES >ONI01404 pep chromosome:Prunus_persica_NCBIv2:G6:11223633:11228642:1 gene:PRUPE_6G138100 transcript:ONI01404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKSVIQSDNEWFFFSPRGRKYPNGSQSRRATEFGYWKATGKERNVKSTSNVIGTKRTLVFHMGRAPKGERTEWIMHEYCMNDKSQDSIVVCRLRKNSDFRLNDTTNGGSSSRNPLSTVHNSENAVSEVGIIDYDKPVECYSKKSTSSHDSHSTEQIDSASESNQKQTTEVTQTESSGHQKGSYDDDFYADILNDDIIKLDEVSVSASADTLPVLANKSEAEQNSHQPMQAICNSEAIPFQGTANRRIHLRKRKEKFPAESLDGPNSHESPKTSADRMDDQHVLLYVIIIILVLLALFLSKFCFTDIAGSVSFLVERFLPSQKHHK >ONI01402 pep chromosome:Prunus_persica_NCBIv2:G6:11223633:11228642:1 gene:PRUPE_6G138100 transcript:ONI01402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVSKEAQLSIAASSMFPGFRFSPTDEELISYYLKNKLEGPEPGKSVEVIPEVDICNFEPWDLPAKSVIQSDNEWFFFSPRGRKYPNGSQSRRATEFGYWKATGKERNVKSTSNVIGTKRTLVFHMGRAPKGERTEWIMHEYCMNDKSQDSIVVCRLRKNSDFRLNDTTNGGSSSRNPLSTVHNSENAVSEVGIIDYDKPVECYSKKSTSSHDSHSTEQIDSASESNQKQTTEVTQTESSGHQKGSYDDDFYADILNDDIIKLDEVSVSASADTLPVLANKSEAEQNSHQPMQAICNSEAIPFQGTANRRIHLRKRKEKFPAESLDGPNSHESPKTSADRMDDQHVLLYVSAKSKTSQVSLCIKVLPQNVNIRLFFLTWS >ONI01406 pep chromosome:Prunus_persica_NCBIv2:G6:11225538:11228228:1 gene:PRUPE_6G138100 transcript:ONI01406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPKGERTEWIMHEYCMNDKSQDSIVVCRLRKNSDFRLNDTTNGGSSSRNPLSTVHNSENAVSEVGIIDYDKPVECYSKKSTSSHDSHSTEQIDSASESNQKQTTEVTQTESSGHQKGSYDDDFYADILNDDIIKLDEVSVSASADTLPVLANKSEAEQNSHQPMQAICNSEAIPFQGTANRRIHLRKRKEKFPAESLDGPNSHESPKTSADRMDDQHVLLYVIIIILVLLALFLSKFCFTDIAGSVSFLVERFLPSQKHHK >ONI01403 pep chromosome:Prunus_persica_NCBIv2:G6:11223633:11228642:1 gene:PRUPE_6G138100 transcript:ONI01403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVSKEAQLSIAASSMFPGFRFSPTDEELISYYLKNKLEGPEPGKSVEVIPEVDICNFEPWDLPAKSVIQSDNEWFFFSPRGRKYPNGSQSRRATEFGYWKATGKERNVKSTSNVIGTKRTLVFHMGRAPKGERTEWIMHEYCMNDKSQDSIVVCRLRKNSDFRLNDTTNGGSSSRNPLSTVHNSENAVSEVGIIDYDKPVECYSKKSTSSHDSHSTEQIDSASESNQKQTTEVTQTESSGHQKGSYDDDFYADILNDDIIKLDEVSVSASADTLPVLANKSEAEQNSHQPMQAICNSEAIPFQGTANRRIHLRKRKEKFPAESLDGPNSHESPKTSADRMDDQHVLLYVIIIILVLLALFLSKFCFTDIAGSVSFLVERFLPSQKHHK >ONI01407 pep chromosome:Prunus_persica_NCBIv2:G6:11223633:11228642:1 gene:PRUPE_6G138100 transcript:ONI01407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPKGERTEWIMHEYCMNDKSQDSIVVCRLRKNSDFRLNDTTNGGSSSRNPLSTVHNSENAVSEVGIIDYDKPVECYSKKSTSSHDSHSTEQIDSASESNQKQTTEVTQTESSGHQKGSYDDDFYADILNDDIIKLDEVSVSASADTLPVLANKSEAEQNSHQPMQAICNSEAIPFQGTANRRIHLRKRKEKFPAESLDGPNSHESPKTSADRMDDQHVLLYVIIIILVLLALFLSKFCFTDIAGSVSFLVERFLPSQKHHK >ONI01405 pep chromosome:Prunus_persica_NCBIv2:G6:11223633:11228642:1 gene:PRUPE_6G138100 transcript:ONI01405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPKGERTEWIMHEYCMNDKSQDSIVVCRLRKNSDFRLNDTTNGGSSSRNPLSTVHNSENAVSEVGIIDYDKPVECYSKKSTSSHDSHSTEQIDSASESNQKQTTEVTQTESSGHQKGSYDDDFYADILNDDIIKLDEVSVSASADTLPVLANKSEAEQNSHQPMQAICNSEAIPFQGTANRRIHLRKRKEKFPAESLDGPNSHESPKTSADRMDDQHVLLYVIIIILVLLALFLSKFCFTDIAGSVSFLVERFLPSQKHHK >ONI00331 pep chromosome:Prunus_persica_NCBIv2:G6:5570551:5571100:-1 gene:PRUPE_6G082700 transcript:ONI00331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ONI00328 pep chromosome:Prunus_persica_NCBIv2:G6:5570636:5574471:-1 gene:PRUPE_6G082700 transcript:ONI00328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ONI00326 pep chromosome:Prunus_persica_NCBIv2:G6:5570551:5574471:-1 gene:PRUPE_6G082700 transcript:ONI00326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPEKKSTVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ONI00327 pep chromosome:Prunus_persica_NCBIv2:G6:5570551:5574471:-1 gene:PRUPE_6G082700 transcript:ONI00327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ONI00330 pep chromosome:Prunus_persica_NCBIv2:G6:5570583:5574471:-1 gene:PRUPE_6G082700 transcript:ONI00330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ONI00329 pep chromosome:Prunus_persica_NCBIv2:G6:5570640:5574471:-1 gene:PRUPE_6G082700 transcript:ONI00329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ONI01354 pep chromosome:Prunus_persica_NCBIv2:G6:10772911:10773902:1 gene:PRUPE_6G135400 transcript:ONI01354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHQPFDNVMAAEKAIVMRSYQNFNKEILITLCFAFPPLFLALWCSSAWYPAAANLSHQFYGALIALFGSHHLYAFLLCNVLIVAIYSMSPIGRSPDVYEESAINSEYWWNIYLEPETTPEEEEEEEEEDQNKQIAWSEDISAVVPLVDDNTKMSCSEEPTGRHPSAMEELNDKEFQQIIDDSIFRSKKDILGEDIQSEKCMKEKGEEEDNRATTSAYMSLSISR >ONI03776 pep chromosome:Prunus_persica_NCBIv2:G6:26274334:26277765:1 gene:PRUPE_6G281200 transcript:ONI03776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTNLSAFFANFSNLTVLSLDFNSISAPIPGFFANYSKLSSLSLKYCQLQGSFPKEIFQVPTLQNIDLSHNLDLEGSLPEFPKNGSLRSLILRWTNFSGFLPNSIGNLKMLSTIDLSGCSFTGSIPKSMENLTELVSLYMPSQRLQGPVDFIHWENLVNLVHLQLEFNLLNGSIPSSIFSSPVLKELLLSHNQFSGQLHEFHNVSSNLITLDLSFNNLEGPIPVSILSFRGLYTLDLSSNNFTRFPFNGPQQLRNLSTIDLSHNSLLVLYNGSSSSSSSFPQIQDMNLASNKLRTFPNFLRNHIYLERLDLSENQIQGMVPNWIWGISSLSQLNLSSNSFSTLERPLPKNSSVSALDLHSNQLQGQIPFFSPSARYLDYSKNCFSSSIPTDIGYEVLDMSNNSLSGMVPQCLTEMKSLRVLILRKNNLNGTLSNRFTGYCGLRALDLGRNQIKGQLPKSLASCTNLEILNLGNNQIIDTFPCFLKSISTLRVLVLRSNRFYGGIGCSKTNGTWQMLQIVDLAHNNFNGEIPERSLTTWQAMTADEDGSRPKSNVLSSEGGQYTGAVYSFKDAITVTSKGSEMDLVKILTIFTLIDFSDNKFNGSIPEEMGVLKSLYILNLSSNAITGEIPSSLGNMRQLESLDLSQNKLSGHIPQQLTKLTFLAFLNLSNNQLGGMIPTSNQFSTFPPSSFTGNKGLSGPPLDNKTGLPPPPPTRNGSLPDSGSGHNEIDWDLISIEIGFTFGCAIAIGSLVFCKRWSKWYYRAMYSILVKIFPQLEERLGNHRRHVHINQRWRR >ONI03775 pep chromosome:Prunus_persica_NCBIv2:G6:26274334:26277765:1 gene:PRUPE_6G281200 transcript:ONI03775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTNLSAFFANFSNLTVLSLDFNSISAPIPGFFANYSKLSSLSLKYCQLQGSFPKEIFQVPTLQNIDLSHNLDLEGSLPEFPKNGSLRSLILRWTNFSGFLPNSIGNLKMLSTIDLSGCSFTGSIPKSMENLTELVSLYMPSQRLQGPVDFIHWENLVNLVHLQLEFNLLNGSIPSSIFSSPVLKELLLSHNQFSGQLHEFHNVSSNLITLDLSFNNLEGPIPVSILSFRGLYTLDLSSNNFTRFPFNGPQQLRNLSTIDLSHNSLLVLYNGSSSSSSSFPQIQDMNLASNKLRTFPNFLRNHIYLERLDLSENQIQGMVPNWIWGISSLSQLNLSSNSFSTLERPLPKNSSVSALDLHSNQLQGQIPFFSPSARYLDYSKNCFSSSIPTDIGDFLASTVFLSLSSNNLHGLIPVSICNAGYEVLDMSNNSLSGMVPQCLTEMKSLRVLILRKNNLNGTLSNRFTGYCGLRALDLGRNQIKGQLPKSLASCTNLEILNLGNNQIIDTFPCFLKSISTLRVLVLRSNRFYGGIGCSKTNGTWQMLQIVDLAHNNFNGEIPERSLTTWQAMTADEDGSRPKSNVLSSEGGQYTGAVYSFKDAITVTSKGSEMDLVKILTIFTLIDFSDNKFNGSIPEEMGVLKSLYILNLSSNAITGEIPSSLGNMRQLESLDLSQNKLSGHIPQQLTKLTFLAFLNLSNNQLGGMIPTSNQFSTFPPSSFTGNKGLSGPPLDNKTGLPPPPPTRNGSLPDSGSGHNEIDWDLISIEIGFTFGCAIAIGSLVFCKRWSKWYYRAMYSILVKIFPQLEERLGNHRRHVHINQRWRR >ONI03773 pep chromosome:Prunus_persica_NCBIv2:G6:26274276:26277765:1 gene:PRUPE_6G281200 transcript:ONI03773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLLQYFFLFFITAICVNIIPAVHSQCIKNQQLSLLQLKKSLTFYNDSSGYSPLSSTKVISWNSSTDCCSWVGVTCSTSGHVVGLDISSECITGGIDNSSSLFDLQHLQILNLANNKLGSVDHSIPSAIGKLTDLRYLNLSKTDYSGQIPIEISRLKKLVVLDISNIYNSLKIPNLRMLFHNLTELTELYLDDVDISAQGAQWCEAISSSLPNLRVLSMSGTNLSGPIDQSLAKIQSLSVIRLDFNYISGPIPAFFANFSNLTVLSLDFNSISAPIPGFFANYSKLSSLSLKYCQLQGSFPKEIFQVPTLQNIDLSHNLDLEGSLPEFPKNGSLRSLILRWTNFSGFLPNSIGNLKMLSTIDLSGCSFTGSIPKSMENLTELVSLYMPSQRLQGPVDFIHWENLVNLVHLQLEFNLLNGSIPSSIFSSPVLKELLLSHNQFSGQLHEFHNVSSNLITLDLSFNNLEGPIPVSILSFRGLYTLDLSSNNFTRFPFNGPQQLRNLSTIDLSHNSLLVLYNGSSSSSSSFPQIQDMNLASNKLRTFPNFLRNHIYLERLDLSENQIQGMVPNWIWGISSLSQLNLSSNSFSTLERPLPKNSSVSALDLHSNQLQGQIPFFSPSARYLDYSKNCFSSSIPTDIGDFLASTVFLSLSSNNLHGLIPVSICNAGYEVLDMSNNSLSGMVPQCLTEMKSLRVLILRKNNLNGTLSNRFTGYCGLRALDLGRNQIKGQLPKSLASCTNLEILNLGNNQIIDTFPCFLKSISTLRVLVLRSNRFYGGIGCSKTNGTWQMLQIVDLAHNNFNGEIPERSLTTWQAMTADEDGSRPKSNVLSSEGGQYTGAVYSFKDAITVTSKGSEMDLVKILTIFTLIDFSDNKFNGSIPEEMGVLKSLYILNLSSNAITGEIPSSLGNMRQLESLDLSQNKLSGHIPQQLTKLTFLAFLNLSNNQLGGMIPTSNQFSTFPPSSFTGNKGLSGPPLDNKTGLPPPPPTRNGSLPDSGSGHNEIDWDLISIEIGFTFGCAIAIGSLVFCKRWSKWYYRAMYSILVKIFPQLEERLGNHRRHVHINQRWRR >ONI03774 pep chromosome:Prunus_persica_NCBIv2:G6:26274180:26277845:1 gene:PRUPE_6G281200 transcript:ONI03774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTNLSGPIDQSLAKIQSLSVIRLDFNYISGPIPAFFANFSNLTVLSLDFNSISAPIPGFFANYSKLSSLSLKYCQLQGSFPKEIFQVPTLQNIDLSHNLDLEGSLPEFPKNGSLRSLILRWTNFSGFLPNSIGNLKMLSTIDLSGCSFTGSIPKSMENLTELVSLYMPSQRLQGPVDFIHWENLVNLVHLQLEFNLLNGSIPSSIFSSPVLKELLLSHNQFSGQLHEFHNVSSNLITLDLSFNNLEGPIPVSILSFRGLYTLDLSSNNFTRFPFNGPQQLRNLSTIDLSHNSLLVLYNGSSSSSSSFPQIQDMNLASNKLRTFPNFLRNHIYLERLDLSENQIQGMVPNWIWGISSLSQLNLSSNSFSTLERPLPKNSSVSALDLHSNQLQGQIPFFSPSARYLDYSKNCFSSSIPTDIGDFLASTVFLSLSSNNLHGLIPVSICNAGYEVLDMSNNSLSGMVPQCLTEMKSLRVLILRKNNLNGTLSNRFTGYCGLRALDLGRNQIKGQLPKSLASCTNLEILNLGNNQIIDTFPCFLKSISTLRVLVLRSNRFYGGIGCSKTNGTWQMLQIVDLAHNNFNGEIPERSLTTWQAMTADEDGSRPKSNVLSSEGGQYTGAVYSFKDAITVTSKGSEMDLVKILTIFTLIDFSDNKFNGSIPEEMGVLKSLYILNLSSNAITGEIPSSLGNMRQLESLDLSQNKLSGHIPQQLTKLTFLAFLNLSNNQLGGMIPTSNQFSTFPPSSFTGNKGLSGPPLDNKTGLPPPPPTRNGSLPDSGSGHNEIDWDLISIEIGFTFGCAIAIGSLVFCKRWSKWYYRAMYSILVKIFPQLEERLGNHRRHVHINQRWRR >ONI04245 pep chromosome:Prunus_persica_NCBIv2:G6:27878384:27879715:-1 gene:PRUPE_6G311100 transcript:ONI04245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCSTSLKPYEGYVPKVEAVVTRRSYYQCVCILFQRPYFEKMYDILRYYCVYFDIWNLSLCRRFATSGPPLWKSDRRREEKSSRET >ONI04244 pep chromosome:Prunus_persica_NCBIv2:G6:27878155:27879946:-1 gene:PRUPE_6G311100 transcript:ONI04244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCSTSLKPYEGYVPKVEAVVTRRSYYQCVCILFQRPYFEKMYDILRYYCVYFDIWNQDLPQVALLYGNLTEEERKRAQEKLSILDETITDLSFQ >ONI00157 pep chromosome:Prunus_persica_NCBIv2:G6:4861408:4865366:1 gene:PRUPE_6G071100 transcript:ONI00157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAAPSSTYLNPKPTIIPSSARTLSPPITYATASSSRRPIIKCHLSSPSSSSSSPLSQNPKPSSTHLKTLSKALAAASTVTLIFNLGSFNGNGNSGGGGGGFGGGGGGGGDGFGGFWRRLLFGSQTAMADEPQSQEWDSHGLPANIVVQLNKLSGFKKYKVSEIFFFDRRRWTAVGSDDSFFEMVSLRAGSVYTKAQLQKELESLANCGMFEKVDLEGKTNPDGTLGVTISFTESTWQSADKFRCINVGLMPQSKPSEMDPDMTDKEKLEYFRNQEKDYKRRIDRARPCLLPAPVQREVLLMLREQGKVSARLLQKIRDRVQKWYQDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLLIQFQDKLGNFVEGNTQIPVLKRELPRQLRPGYVFNIEAGKQALRNINSLSLFSNIEVNPRPDEKNEGGIIVEIKLKELEQKTAEVNTEWSIVPGRGGYPTLASLQPGGTVTFEHRNLNGLNRSILGTVNTTNFCNPQDDLAFKLEYVHPYLDGVYNPRNRTLRVSCFNSRKLSPVFTGGPGADEVPPIWVDRAGVKANITENFTRQSKFTYGLVMEEITTRDERSHICSNGQRVLPSGGVSEDGPPTTLSGTGIDRVAFLQSNITRDNTKFVNGAIVGQRNVFQVDQGLGVGSKFPFFNRHQLTLTRFFQLKEVEEGAGKPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYSMGEIGAARNILELAAELRIPVKGTHVYAFAEHGNDLGSSKDVKGNPTEVYRRMGHGSAYGVGVKIGLVRAEYAVDHNSGSGAVFFRFGERF >ONI01788 pep chromosome:Prunus_persica_NCBIv2:G6:14374686:14375017:1 gene:PRUPE_6G158600 transcript:ONI01788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHVSFKDQIHLSLYYVDFEALSRMSKTLCRVISSTITTLSLDLEPEPIETCLLFTPKTCNLGRMRI >ONI03977 pep chromosome:Prunus_persica_NCBIv2:G6:27012659:27013903:-1 gene:PRUPE_6G294500 transcript:ONI03977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPHHHHHGDGDSPYDDPLLSCCCCPCYLVSSTFRRIGRCIFAACFPLLQCFGLDNCRHHHHHHHHVHLH >ONI03976 pep chromosome:Prunus_persica_NCBIv2:G6:27012964:27013994:-1 gene:PRUPE_6G294500 transcript:ONI03976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPHHHHHGDGDSPYDDPLLSCCCCPCYLVSSTFRRIGRCIFAACFPLLQCFGLDNCRHHHHHHHHVHLH >ONH99210 pep chromosome:Prunus_persica_NCBIv2:G6:1324251:1327420:-1 gene:PRUPE_6G018200 transcript:ONH99210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVEEVGPDISSDIEEDLRCENIADKDVSDEEIEAEELEKRMWKDRIKLKRLKEKEKQKLEAQQAAEKQKPKQTSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYEAECIAMSDADNSRNGNSQSILQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGNPPPWWPTGNEDWWLKLGLLHGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRHVRQSKCLQDKMTAKESAIWLGVLSREESLIRQPSSDNGTSGITETPQSSRGGKQAAVSSNSDYDVDGTDDGVGSVSSKDDRRNQPMDLEPSSNICNNTPNHVQDKEQSEKQPRRKRPRVRARPVEQLPAPSHNENIHLGPRNDLPDINHTDVQMIGFQVHDNQQENGTITTLRPPEKDLDIQAQLPASEFNYYSAVPSDNVISTQGMHVDGTPMLYHGVQDAEVHRGDTFNVYNPSAEYPPSHDQPPSQIVMNEPQIRPADGVHIPTVHRNGSEIAGGDLPYYVKDTFQSEQDRTVNANFGSPIDSLSLDYGLFNSPFHFGIDGSGSLDDLELEEMMEYFAA >ONH99211 pep chromosome:Prunus_persica_NCBIv2:G6:1322311:1327420:-1 gene:PRUPE_6G018200 transcript:ONH99211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVEEVGPDISSDIEEDLRCENIADKDVSDEEIEAEELEKRMWKDRIKLKRLKEKEKQKLEAQQAAEKQKPKQTSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYEAECIAMSDADNSRNGNSQSILQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGNPPPWWPTGNEDWWLKLGLLHGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRHVRQSKCLQDKMTAKESAIWLGVLSREESLIRQPSSDNGTSGITETPQSSRGGKQAAVSSNSDYDVDGTDDGVGSVSSKDDRRNQPMDLEPSSNICNNTPNHVQDKEQSEKQPRRKRPRVRARPVEQLPAPSHNENIHLGPRNDLPDINHTDVQMIGFQVHDNQQENGTITTLRPPEKDLDIQAQLPASEFNYYSAVPSDNVISTQGMHVDGTPMLYHGVQDAEVHRGDTFNVYNPSAEYPPSHDQPPSQIVMNEPQIRPADGVHIPTVHRNGSEIAGGDLPYYVKDTFQSEQDRTVNANFGSPIDSLSLDYGLFNSPFHFGIDGSGSLDDLELEEMMEYFAA >ONI01799 pep chromosome:Prunus_persica_NCBIv2:G6:14587864:14595542:-1 gene:PRUPE_6G159400 transcript:ONI01799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGTVQINWHDTKPVLTLDFHPTSGTLATGGADFDIKLWLINSSETQKKLPAASYQNSLSYHSSAVNVLRFSPSGEQLASGADGGELIVWKLHATETSTTWKVLKTLSFHRKDVLDLQWSTDGAFLISGSVDNSCIIWDVNRGSVHQILDAHAHYVQGVAWDPLANYAASLSSDRTCRIYVKKAQSKAKGAEKTNYVCQHVISKAEPPLLDDSKSAKYHLFHDETLPSFFRRLAWSPDGSFLLVPAGSYKISSALETINTAYVFSRKDLSRPALQLPGACKPVVAVRFCPLLFSLRGSNQSGFFKLPHRIVFAVATLNSLYIYDTESVPPIAIFAGLHYAAITDIAWSPNAQYLGLSSQDGYCTLVEFENDELGSPICSSEEKKVMRDENKSPVQKPEDMVIEATKNDSLIAEDNGRTVERNQQKEAERKEEKVMGEQNKRPAEKAEDMVIESTATDSLVAADNGNSEAEGNRKTELETKAKEVVDLENRSPVENPEDMVVEVTANDSLVAAYNAKSEAERNEGITESQSSMKTATVNKPTKRRITPMAIDP >ONI02423 pep chromosome:Prunus_persica_NCBIv2:G6:20473316:20477083:1 gene:PRUPE_6G197600 transcript:ONI02423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFNLSFLALLLLLLPPLALLLILYLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQAASEGARVSILARSIDKLEEAKKYIQLSTGIDVSIFSADVRDYDAVSKAVEEADPIDVLIVNQGVFVPDELEKQGLDEVKFMVDVNLIGSFNMIKAALPKMKKGDSIPRSIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVISHDIHVSLIFPPDTDTPGLTEEMKKRPQLSSIIAESSGMMKAEEVAKKAFEGIKSAAFIVPCNLEGALLSIATAGMSPQRSFVMAFVEVVAAGLVRLVALFFQWNWYNGIHKWHAQNKRA >ONI02425 pep chromosome:Prunus_persica_NCBIv2:G6:20473333:20477083:1 gene:PRUPE_6G197600 transcript:ONI02425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFNLSFLALLLLLLPPLALLLILYLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQAASEGARVSILARSIDKLEEAKKYIQLSTGIDVSIFSADVRDYDAVSKAVEEADPIDVLIVNQGVFVPDELEKQGLDEVKFMVDVNLIGSFNMIKAALPKMKKGDSIPRSIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVISHDIHVSLIFPPDTDTPGLTEEMKKRPQLSSIIAESSGMMKAEEVAKKAFEGIKSAAFIVPCNLEGALLSIATAGMSPQRSFVMAFVEVVAAGLVRLVALFFQWNWYNGIHKWHAQNKRA >ONI02424 pep chromosome:Prunus_persica_NCBIv2:G6:20472162:20477083:1 gene:PRUPE_6G197600 transcript:ONI02424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFNLSFLALLLLLLPPLALLLILYLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQAASEGARVSILARSIDKLEEAKKYIQLSTGIDVSIFSADVRDYDAVSKAVEEADPIDVLIVNQGVFVPDELEKQGLDEVKFMVDVNLIGSFNMIKAALPKMKKGDSIPRSIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVISHDIHVSLIFPPDTDTPGLTEEMKKRPQLSSIIAESSGMMKAEEVAKKAFEGIKSAAFIVPCNLEGALLSIATAGMSPQRSFVMAFVEVVAAGLVRLVALFFQWNWYNGIHKWHAQNKRA >ONI04800 pep chromosome:Prunus_persica_NCBIv2:G6:29420529:29422752:-1 gene:PRUPE_6G340300 transcript:ONI04800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQSLVKDAWIIEAEEASSLVEDLEAKTKNKYPGQLSLREIARSKLLELGVKLDRLESLLHNPPSKPILTKEDVDFRWKMLSDIQLRTRTLALSFFVLERSESLPATKEDNKNANRCDQDEVKLSFSKDDQELLKPLVIKPSNSYIPMSLRWKTCWGISVVLGVTACLFILIFLFVHT >ONI04802 pep chromosome:Prunus_persica_NCBIv2:G6:29421376:29422383:-1 gene:PRUPE_6G340300 transcript:ONI04802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQSLVKDAWIIEAEEASSLVEDLEAKTKNKYPGQLSLREIARSKLLELGVKLDRLESLLHNPPSKPILTKEDVDFRWKMLSDIQLRTRTLALSFFVLERSESLPATKEDNKNANRCDQGTALYVTVSTVGLSLFDQSYLSFKKQSEEKSSNMK >ONI04801 pep chromosome:Prunus_persica_NCBIv2:G6:29421168:29422383:-1 gene:PRUPE_6G340300 transcript:ONI04801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQSLVKDAWIIEAEEASSLVEDLEAKTKNKYPGQLSLREIARSKLLELGVKLDRLESLLHNPPSKPILTKEDVDFRWKMLSDIQLRTRTLALSFFVLERSESLPATKEDNKNANRCDQDEVKLSFSKDDQELLKPLVTDNIATRSQVQAHNCKKPKKLLTLV >ONI04803 pep chromosome:Prunus_persica_NCBIv2:G6:29420529:29422752:-1 gene:PRUPE_6G340300 transcript:ONI04803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQSLVKDAWIIEAEEASSLVEDLEAKTKNKYPGQLSLREIARSKLLELGVKLDRLESLLHNPPSKPILTKEDVDFRWKMLSDIQLRTRTLALSFFVLERSESLPATKEDNKNANRCDQGTALYVTVSTVGLSLFDQSYLSFKKQSEEKSSNMK >ONI00286 pep chromosome:Prunus_persica_NCBIv2:G6:5472643:5474647:1 gene:PRUPE_6G080400 transcript:ONI00286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSQLSDAAIQNLLKLFLSEKEEYLDDVAAAKIDNITVEMQWTGQTGPAWPPRPLGSSDDPVKRIIDGFKYFKTNYFDKHPHLVKELAQEQSPKFMVFACSDSRVCPSHILHFQPGEAFMVRNIANMVPAFDQLKHTGVGATIEYAIEELGVENILVMGHSRCGGIKRLMSHPENGSTPFDFIDEWMKIGLPAKAKVIAEAEGGSADFEEQCESCAREAVNLSLRNLQTYPYVQKAVSDKTLALRGGYYDFVNGIFELWELQSTISDPIIIQSS >ONI02556 pep chromosome:Prunus_persica_NCBIv2:G6:21389781:21391906:-1 gene:PRUPE_6G205700 transcript:ONI02556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSQEHEHPKKAFGWAARDSSGVLSPFNFSRRETGEKDVTFKVLYCGICHTDLHMVKNDWGFSTYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCVVGSCQSCDNCANNLENYCPKYILTYGAKYHDVTITYGGYSDFMVADEHFVICVPDNLPLDGAAPLLCAGITTYSALRYYGLDKPGMHVGVVGLGGLGHVAVKFAKALGVRVTVISTSPNKKKEAIEHLRADLFLVSRDQDQMQAAVGTMDGIIDTVSAVHPLLPLIALLKSNGKLVMVGAPEKPLELPVFPLLMGRKMVAGSNIGGMKETQEMIDFAAKHNITAQVEVIPVDYLNTAMERLAKTDVKYRFVIDVGNTLKST >ONH99536 pep chromosome:Prunus_persica_NCBIv2:G6:2652977:2657573:1 gene:PRUPE_6G035300 transcript:ONH99536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAPTTPITEKEPSSAISAQQQQVRTETANGSSSSSLTSTTKVYPPATAKYEEVVQSSDLFWEKLKEFHDSFRTKFVIPTVGGKALDLHLLFVEVTSRGGLEKVIRDRKWKEVIVVFNFPTTITSASFVLRKYYSSLLYHFEQAYYFHKEVFSIPVLESSEVQLGCSIMGSIDGKFDHGYLVSVNLGSDELKGVLYHAPTYVSQSFSDMPTRRNRKRSRLALRDPSRPKSNRSGYNFFFAEHYARLKPLYYGQERAISKKIGFLWNNLTEAEKQVYQEKGMQDKERYRTEMLEYKSSGNLTQQ >ONH99535 pep chromosome:Prunus_persica_NCBIv2:G6:2652977:2657573:1 gene:PRUPE_6G035300 transcript:ONH99535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAPTTPITEKEPSSAISAQQQQVRTETANGSSSSSLTSTTKVYPPATAKYEEVVQSSDLFWEKLKEFHDSFRTKFVIPTVGGKALDLHLLFVEVTSRGGLEKVIRDRKWKEVIVVFNFPTTITSASFVLRKYYSSLLYHFEQAYYFHKEVFSIPVLEPLSRNLLNGSATLEEGASRNQFPGQESSEVQLGCSIMGSIDGKFDHGYLVSVNLGSDELKGVLYHAPTYVSQSFSDMPTRRNRKRSRLALRDPSRPKSNRSGYNFFFAEHYARLKPLYYGQERAISKKIGFLWNNLTEAEKQVYQEKGMQDKERYRTEMLEYKSSGNLTQQ >ONI04259 pep chromosome:Prunus_persica_NCBIv2:G6:27957058:27960015:-1 gene:PRUPE_6G312100 transcript:ONI04259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTENQPETEKNGSQCLVTMNSNVTLSEPDDGKSLLELENSEIESFYQRLNKLHNSSGLNLLKAKSESLKKTRKVGIFAYKKQSWNLCSLTSRNVLIQKSVVPLNMLGIKLQITLVTFIFGLIMPLNFDLRQTTLDLHLFYKEVIERGGFIQVTADGRWGEVALALKLDGENLQDPQPLLKLYALFLYQYEQLYYYRERRVKAASTLGHAFYNIGDSSAMEGNCRDNSSPIPNLEDAHVEKKKVPKENYQLTLMGSTSAEQKQFPQLRSKNKEMKKRVGAPRGAQSAYHIFLKIECERLKSTDSGKVKGQNVRYMVDNAWRSLSASEKQPYIEASKKVRERRVAQEVAADEEKILTCQKKTSENGLTGVNDVVQ >ONI04258 pep chromosome:Prunus_persica_NCBIv2:G6:27957058:27960070:-1 gene:PRUPE_6G312100 transcript:ONI04258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTENQPETEKNGSQCLVTMNSNVTLSEPDDGKSLLELENSEIESFYQRLNKLHNSSGLNLLFDLRQTTLDLHLFYKEVIERGGFIQVTADGRWGEVALALKLDGENLQDPQPLLKLYALFLYQYEQLYYYRERRVKAASTLGHAFYNIGDSSAMEGNCRDNSSPIPNLEDAHVEKKKVPKENYQLTLMGSTSAEQKQFPQLRSKNKEMKKRVGAPRGAQSAYHIFLKIECERLKSTDSGKVKGQNVRYMVDNAWRSLSASEKQPYIEASKKVRERRVAQEVAADEEKILTCQKKTSENGLTGVNDVVQ >ONI00057 pep chromosome:Prunus_persica_NCBIv2:G6:4542611:4546432:-1 gene:PRUPE_6G065600 transcript:ONI00057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKLSLCFWPSNIKSNLNDSSDIENGNKNEKDSLPGFSEFTLDQLKAATSGFSSENVVSEHGEKAPNVVYKGTLDDGRWIAVKRFNRSAWPDSRQFLEEARAVGLLRNERLANLIGCCCEGDERLLVAEFMPNETLSKHLFHWETQPMKWAMRLRVALYLAQALDYCSSKGQALYHDLNAYRVLFDQDGNPRLSCFGLMKNSKDGKSYSTNLAFTPPEYLRTGRVIPESLVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLIDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNAKSLVTALIPLQKETEVPSYVLLGIPHGNAPLNQTLLSPLGEACSRLDLTAIHEILEKLGYKDDEGVANDLSFQMWTNQIQETLNSKKHGDAAFRAKDFVTAIDSYTEFIDGGTMISPTVFARRCLCYLMNDMAQEALGDAMQALVINPEWPTAFYLQAAALKSLGMDNDAQETLKDGTSFEVKKSKS >ONI00059 pep chromosome:Prunus_persica_NCBIv2:G6:4542667:4546302:-1 gene:PRUPE_6G065600 transcript:ONI00059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKLSLCFWPSNIKSNLNDSSDIAAENGNKNEKDSLPGFSEFTLDQLKAATSGFSSENVVSEHGEKAPNVVYKGTLDDGRWIAVKRFNRSAWPDSRQFLEEARAVGLLRNERLANLIGCCCEGDERLLVAEFMPNETLSKHLFHWETQPMKWAMRLRVALYLAQALDYCSSKGQALYHDLNAYRVLFDQDGNPRLSCFGLMKNSKDGKSYSTNLAFTPPEYLRTGRVIPESLVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLIDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNAKSLVTALIPLQKETEQVPSYVLLGIPHGNAPLNQTLLSPLGEACSRLDLTAIHEILEKLGYKDDEGVANDLSFQMWTNQIQETLNSKKHGDAAFRAKDFVTAIDSYTEFIDGGTMISPTVFARRCLCYLMNDMAQEALGDAMQALVINPEWPTAFYLQAAALKSLGMDNDAQETLKDGTSFEVKKSKS >ONI00058 pep chromosome:Prunus_persica_NCBIv2:G6:4542667:4546302:-1 gene:PRUPE_6G065600 transcript:ONI00058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKLSLCFWPSNIKSNLNDSSDIENGNKNEKDSLPGFSEFTLDQLKAATSGFSSENVVSEHGEKAPNVVYKGTLDDGRWIAVKRFNRSAWPDSRQFLEEARAVGLLRNERLANLIGCCCEGDERLLVAEFMPNETLSKHLFHWETQPMKWAMRLRVALYLAQALDYCSSKGQALYHDLNAYRVLFDQDGNPRLSCFGLMKNSKDGKSYSTNLAFTPPEYLRTGRVIPESLVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLIDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNAKSLVTALIPLQKETEQVPSYVLLGIPHGNAPLNQTLLSPLGEACSRLDLTAIHEILEKLGYKDDEGVANDLSFQMWTNQIQETLNSKKHGDAAFRAKDFVTAIDSYTEFIDGGTMISPTVFARRCLCYLMNDMAQEALGDAMQALVINPEWPTAFYLQAAALKSLGMDNDAQETLKDGTSFEVKKSKS >ONI00060 pep chromosome:Prunus_persica_NCBIv2:G6:4542611:4546432:-1 gene:PRUPE_6G065600 transcript:ONI00060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNETLSKHLFHWETQPMKWAMRLRVALYLAQALDYCSSKGQALYHDLNAYRVLFDQDGNPRLSCFGLMKNSKDGKSYSTNLAFTPPEYLRTGRVIPESLVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLIDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNAKSLVTALIPLQKETEQVPSYVLLGIPHGNAPLNQTLLSPLGEACSRLDLTAIHEILEKLGYKDDEGVANDLSFQMWTNQIQETLNSKKHGDAAFRAKDFVTAIDSYTEFIDGGTMISPTVFARRCLCYLMNDMAQEALGDAMQALVINPEWPTAFYLQAAALKSLGMDNDAQETLKDGTSFEVKKSKS >ONH98973 pep chromosome:Prunus_persica_NCBIv2:G6:319943:323097:1 gene:PRUPE_6G002700 transcript:ONH98973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASTSHLSLCSSPPLPRTALTKQTPLLFSQTKLLSSKNWSSLSTSTCFLNTQLVKLSIFVVKASETESQTSKPAAESGNEEGGEEAFEEYEVEVVQPYGLKFAKGRDGGTYIDAIAAGGSADKTGKFSVGDKVLATSAVFGDEIWAAAEYGRTMYTIRQRVGPLLMRMQKRNGKIDNSGELTEKEIIRAERNSGVVSNKVREIQMQNYLKKKEQKARRESELREGLQLYKKAKYEEALEKFESVLGLKPELDEASVASYNVACCYSKLNQIQAGLSALEDALKAGFEDFKRIRTDPDLANVRASEEFDPLLKRFDESFINENAINAIKSLFGIFNKK >ONH98974 pep chromosome:Prunus_persica_NCBIv2:G6:320256:322975:1 gene:PRUPE_6G002700 transcript:ONH98974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASTSHLSLCSSPPLPRTALTKQTPLLFSQTKLLSSKNWSSLSTSTCFLNTQLVKLSIFVVKASETESQTSKPAAESGNEEGGEEAFEEYEVEVVQPYGLKFAKGRDGGTYIDAIAAGGSADKTGKFSVGDKVLATSAVFGDEIWAAAEYGRTMYTIRQRVGPLLMRMQKRNGKIDNSGELTEKEIIRAERNSGVVSNKVREIQMQNYLKKKEQKARRESELREGLQLYKKAKYEEALEKFESVLGLKPELDEASVASYNVACCYSKLNQIQAGLSALEDALKAGFEDFKNPD >ONH99812 pep chromosome:Prunus_persica_NCBIv2:G6:3596939:3600104:1 gene:PRUPE_6G051500 transcript:ONH99812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRTESRTLCFSLLPCLLLFALFTPTSSSARTLPSDSGKVSLALYYESLCPYSANFIVNYLVKLFEDDLISIVDLKLSPWGNAKLRSNDTFSCQHGPSECLLNTVEACAIKIWPALNDHFPFIYCIESLVYEHKYPQWESCYEKLGLDSKPIAECYSSGLGKEDYENFLTYVCNAYNGTAALKACSKLSLNTIQKASTKSTLSVGCNEGKMPALFGRIRSTLISWMRQMNMAIWI >ONH99809 pep chromosome:Prunus_persica_NCBIv2:G6:3597669:3600104:1 gene:PRUPE_6G051500 transcript:ONH99809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRTESRTLCFSLLPCLLLFALFTPTSSSARTLPSDSGKVSLALYYESLCPYSANFIVNYLVKLFEDDLISIVDLKLSPWGNAKLRSNDTFSCQHGPSECLLNTVEACAIKIWPALNDHFPFIYCIESLVYEHKYPQWESCYEKLGLDSKPIAECYSSGLGKELELQYAAETSALQPPHQYVPWVVVDGLPIYEDYENFLTYVCNAYNGTAALKACSKLSLNTIQKASTKSTLSVGCNEGKMPALFGRIRSTLISWMRQMNMAIWI >ONH99808 pep chromosome:Prunus_persica_NCBIv2:G6:3596857:3600151:1 gene:PRUPE_6G051500 transcript:ONH99808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTCVHPLLLLLCSVLLFPTTTISSESNKVRVDVYYETLCPDSEDFIVKDLIKLFESGLISIVDLKLYPYGNAKLGSNNTIYCQHGPSECLLNTVEACAIKIWPALNDHFPFIYCIESLVYEHKYPQWESCYEKLGLDSKPIAECYSSGLGKELELQYAAETSALQPPHQYVPWVVVDGLPIYEDYENFLTYVCNAYNGTAALKACSKLSLNTIQKASTKSTLSVGCNEGKMPALFGRIRSTLISWMRQMNMAIWI >ONH99810 pep chromosome:Prunus_persica_NCBIv2:G6:3597789:3599836:1 gene:PRUPE_6G051500 transcript:ONH99810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRTESRTLCFSLLPCLLLFALFTPTSSSARTLPSDSGKVSLALYYESLCPYSANFIVNYLVKLFEDDLISIVDLKLSPWGNAKLRSNDTFSCQHGPSECLLNTVEACAIKIWPALNDHFPFIYCIESLVYEHKYPQWESCYEKLGLDSKPIAECYSSGLGKELELQYAAETSALQPPHQYVPWVVVDGLPIYEDYENFLTYVCNAYNGTAALKACSKLSLNTIQKASTKSTLSVGCNEGKMPALFGRIRSTLISWMRQMNMAIWI >ONH99811 pep chromosome:Prunus_persica_NCBIv2:G6:3596983:3600104:1 gene:PRUPE_6G051500 transcript:ONH99811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRTESRTLCFSLLPCLLLFALFTPTSSSARTLPSDSGKVSLALYYESLCPYSANFIVNYLVKLFEDDLISIVDLKLSPWGNAKLRSNDTFSCQHGPSECLLNTVEACAIKIWPALNDHFPFIYCIESLVYEHKYPQWESCYEKLGLDSKPIAECYSSGLGKELELQYAAETSALQPPHQYVPWVVVDGLPIYEDYENFLTYVCNAYNGTAALKACSKLSLNTIQKASTKSTLSVGCNEGKMPALFGRIRSTLISWMRQMNMAIWI >ONI02465 pep chromosome:Prunus_persica_NCBIv2:G6:20705596:20707276:-1 gene:PRUPE_6G200000 transcript:ONI02465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFLETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGTEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHCVVDEEAKNIVNNALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFEYKGSGYHRRRLCNDSG >ONI01240 pep chromosome:Prunus_persica_NCBIv2:G6:10028791:10034162:-1 gene:PRUPE_6G129300 transcript:ONI01240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAFLLDPLFIFIEFPFHYHLYNHFSICICNPFQIVLLVTNSTFHHHPFDLQTFGGPGKTLC >ONI00411 pep chromosome:Prunus_persica_NCBIv2:G6:5929432:5931250:-1 gene:PRUPE_6G087600 transcript:ONI00411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMMTATASSNGSKGMLSLHSTVVVLFNDYSALFHFQPSNSKPNKSRNTQPKQFGVRDPTRVRNLEHAFNVFDEMLHMRPLPSVGRFNQILGQVVKLKHYSVVISFYNQMGLFGIGSNVCTLTIVINCFCHLNQMGFSFSVLGQLFKSGFEPNVYTFNTLINGSLTENRVAEAAEIFNKMMKAGNCKPDVVTFGTLIKGFCRMGNNSAAIQLLRRMEEGACNPNLVAYNTIIDSLCKDTLVVDALNLFSEMMSKGEWKEATRLLNEMVSRNIFPDVQTFNVFVDTLCMEGMVGEAQTVVEMMIERDIEPNAVTYNSLMDGYCLRGEMDKAKKVFELMLCKDSMTNVRSYSILINGYCKCKNIDDAMMLFREMSRKGMVPHTITYTTLMDGFCKLGRIRDAHKLFSQMQGCGHLPDVQTYAVLLDGLCKTQQLPMAMKLFRVMEGKKLDIDIVIYNILIEGLCIAGKIESALDIFYGLSSKGHQPNVRTYNIMISGFCNVGLISEAEKLVGEMEENGCSPDDCTYNTIIRGIINSNETSRAMVFIQQMVERGFSADASTMELIVDLLSKDDVDPALLPLIKETSRS >ONI01972 pep chromosome:Prunus_persica_NCBIv2:G6:17537709:17539244:-1 gene:PRUPE_6G170000 transcript:ONI01972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIYGSDYSGHSNQTDEDIDVQLWKSLVGFFAITKCKLLSLFWVLLSVIDQELFLLHFYSLVMSFPCSCS >ONI02017 pep chromosome:Prunus_persica_NCBIv2:G6:17807330:17811600:1 gene:PRUPE_6G173100 transcript:ONI02017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLAMASLTLSLSKPASSSSSSSSSHFYRQFRTRASSSAAPGVELNTLQSAIAKKDSSAVKEALDQLSEVGWAKKWSSQPYVSRRTTSLRELTTLGIKNAETLAVPSVRNDAAFLFTVVGTTGFLGLLAGQLPGDWGFFVPYLIGSISLVVLGVGSTAPGLLQAAISSFSSFFPDYQERIARHEAAHFLVAYLLGLPILGYSLDIGKEHVNLIDERLEKLIYSGQLDAKELDRLAVVAMAGLAAEGLTYDKVIGQSADLFSLQRFINRSKPQLSKEQQQNLTRWAVLFAGSLLKNDKEIHEALITAMSNKATILECIEAIEKAA >ONI02018 pep chromosome:Prunus_persica_NCBIv2:G6:17808275:17811217:1 gene:PRUPE_6G173100 transcript:ONI02018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTSLRELTTLGIKNAETLAVPSVRNDAAFLFTVVGTTGFLGLLAGQLPGDWGFFVPYLIGSISLVVLGVGSTAPGLLQAAISSFSSFFPDYQERIARHEAAHFLVAYLLGLPILGYSLDIGKEHVNLIDERLEKLIYSGQLDAKELDRLAVVAMAGLAAEGLTYDKVIGQSADLFSLQRFINRSKPQLSKEQQQNLTRWAVLFAGSLLKNDKEIHEALITAMSNKATILECIEAIEKAA >ONI02726 pep chromosome:Prunus_persica_NCBIv2:G6:22485430:22486482:-1 gene:PRUPE_6G218200 transcript:ONI02726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHSSSSSSASSTTTYLSQTMQPTKGSISNSTSLPYQQSSLHSVRRSSSKPWRKPAVAPLPPTPPRIYKVDPINFKDLVQSLTGPTESLEAGTLQSVASAPIDVHRNASVLNHVPSSASSPESKIISPFSAMYKDLADTLELSSTPHHQKVHDSMVNQSYTRLNLQSPSSHNWFSFPLLSPGTLSSLEQSTVL >ONI04381 pep chromosome:Prunus_persica_NCBIv2:G6:28254993:28256018:1 gene:PRUPE_6G318200 transcript:ONI04381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMERTPGRWPRPVWHAPWKMYKVISGHLGWVRSIAFDPSNKWFCTGSADRTIKIWDVGTGTLQLSLTRHIEQVRGLAVSSRHTYMFSAGDDKLVKCWDLEQNKVVRSYHGHLSGVYCLAVHPTLDILLTGGRDSVCRVWDIRSKVQVFALSGHDDTVCSVFTRPTDPQVVTGSHDSTIKLWDLRYGKTMSTLTHHKKSVRAMAQHPKDINSFASVSADNVKKFNFPNGEFLHNMLSQQKTIVNAMAVNRDGVMATGGDSGSVWFWDWKSGHNFQQSQTIAQPGSLDGEAAVYALSFDRTGTRLVTCGADKTIKMWKKDQNATPETHPLNFKPPKDIRRF >ONI04812 pep chromosome:Prunus_persica_NCBIv2:G6:29432637:29436066:1 gene:PRUPE_6G340500 transcript:ONI04812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSDSVSIDIDMIPLGGKECVVNTSKGSVSVFVCGDQGKPALITYPDVALNYMSCFQGLLFCSDAASLLLHNFCIYHIDAPGHELGADVISSDVPLLSVDDLADQVVEVLDFFGLKEVMCLGVTAGAYILTLFAMKYKERVLGLVLVSPICKVPSWTEWLYNKELRSAIHAAESDIIQACRRLLDERQSSNVMRFLQAINERHDLTEGLKNLQCKTLIFVGDSSPFHAESVYMNAKMNRRSCALVEVQACGSLVTEEHPYAMITPIEFFLRGFGYYRQPHFTSSSSNDSNPTSPSNQSCIAPELLSPESLGIKLKPIKTRVAIKI >ONI04811 pep chromosome:Prunus_persica_NCBIv2:G6:29432637:29436066:1 gene:PRUPE_6G340500 transcript:ONI04811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSDSVSIDIDMIPLGGKECVVNTSKGSVSVFVCGDQGKPALITYPDVALNYMSCFQGLLFCSDAASLLLHNFCIYHIDAPGHELGADVISSDVPLLSVDDLADQVVEVLDFFGLKEVMCLGVTAGAYILTLFAMKYKERVLGLVLVSPICKVPSWTEWLYNKVLLNLLYFYGMCDVVKECLLQRYFSEELRSAIHAAESDIIQACRRLLDERQSSNVMRFLQAINERHDLTEGLKNLQCKTLIFVGDSSPFHAESVYMNAKMNRRSCALVEVQACGSLVTEEHPYAMITPIEFFLRGFGYYRQPHFTSSSSNDSNPTSPSNQSCIAPELLSPESLGIKLKPIKTRVAIKI >ONI03178 pep chromosome:Prunus_persica_NCBIv2:G6:24138555:24142477:-1 gene:PRUPE_6G243500 transcript:ONI03178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYLFHELKRLTIDKARYLIEWTEIAALPTEGTIVLFPRLEELLLRNCDQLTSAPTRFPCLQKLEIESMDSGMPISNISTHLTTLTCLIIKKIRRLTCLPEGMLKSNKNLSYLEIKDCPELTCIVDDVYGCCASLEELRISKCPNLRTLPDGLHTLLSLRKFIIDNCKSLECIPVTYGLTSLCEFSVWFCPQLPSLPEGLEYCTSLQMLTIRKCSKISSIPITQGLPFLREIKISWCAQLSSLPSGLEYCTSLQKLTIEYCTSVEFVQTLHGFTSLRQLSLYRISGKILLSALESCTSLEILSISYCPNLETIPRLDSLTHLRKLAIYECEVLKSVPSALASSHYSLTRLIKLEVGGFWKELDSFPAFHVIPQLEELTLYGWPKLNSLPEQVQHFTSLTYLEIYSFDGLEALPEWLRNLTSLECLFISMSKNLMYLPTIEAMQCLTKLQHIHIYHCPLLKERCIKDIGPEWHKISHISTIRVDRVKWS >ONI04171 pep chromosome:Prunus_persica_NCBIv2:G6:27665077:27669739:-1 gene:PRUPE_6G306700 transcript:ONI04171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVSSMADHRKGNVKPANGKASGTPTGNTNSYAIDLNNFSKRLKLLYSHWREHNSDLWGESDALAIATPPTSEDLRYLKSSALNIWLLGYEFPETIMVFTKKQIHVLCSQKKASLLDVVIKPAKEAVGVEVVMHVKLKSQDGTGLMDSIFRAVNAQSSSDAPVVGHIAREAPEGKLLETWTEKLKNANFELSDVTNGFSDLFAVKDQIEITNVKKAAFLTSSVMRSFVVPKVEKVIDEEKKVSHSSLMDDTEKAILEPARIKVKLKAENVDICYPPIFQSGGEFDLKPSASSNDENLCYDSTSVIICAVGSRYNSYCSNVARTFLIDANSTQSKAYEVLLKAQEAAISKLKSGNKLSAAYQAALTVVEKEAPELAANLTKTAGTGIGLEFRESGLNLNAKNDRILRPGMVFNVSLGFQNLQSQTKDPKTQIFSLLLADTVIVGKETPEVLTHSSSKAVKDVAYSFNDDDDEVEERAKPKAESRGAGRSAMSKATLRSDNHEMSKEELRRQHQAELARQKNEETARRLAGGGSASMDSRGAGKTIGDLIAYKNVNDFPPPRELMIQVDQKNEAILLPIYGNMVPFHVATVKSVSSQQDSNRNCYIRIIFNVPGTPFSPHDANSLKFQGSIYLKEVSFRSKDPRHISEVVQLIKTLRRQVASRESERAERATLVTQEKLQIAGAKFKPKRLPDLWIRPVFGGRGRKLTGSLEAHANGFRYSTSRPDERVDVMFSNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYAEVMDVVQTLGGGKRSAYDPDEIEEEQRERERKNKINMEFQNFVNRVNDSWGQPPFKALDLEFDQPLRELGFHGVPHKASAFIVPTSSCLVELIETPFVVITLSEIEIVNLERVGLGQKNFDLTIVFKDFKRDVFRIDSIPSTSLDGIKEWLDTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSDSDNSQESDHGYVPSDIQSDSGSEDEDDDSESLVESEDDEEEESGEDSEEEEGKTWEELEREASYADREKGNDSDSEEERARRKVKAFGKARAPPDKRNLGGSLPKRPKFR >ONI04172 pep chromosome:Prunus_persica_NCBIv2:G6:27665077:27669730:-1 gene:PRUPE_6G306700 transcript:ONI04172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVSSMADHRKGNVKPANGKASGTPTGNTNSYAIDLNNFSKRLKLLYSHWREHNSDLWGESDALAIATPPTSEDLRYLKSSALNIWLLGYEFPETIMVFTKKQIHVLCSQKKASLLDVVIKPAKEAVGVEVVMHVKLKSQDGTGLMDSIFRAVNAQSSSDAPVVGHIAREAPEGKLLETWTEKLKNANFELSDVTNGFSDLFAVKDQIEITNVKKAAFLTSSVMRSFVVPKVEKVIDEEKKVSHSSLMDDTEKAILEPARIKVKLKAENVDICYPPIFQSGGEFDLKPSASSNDENLCYDSTSVIICAVGSRYNSYCSNVARTFLIDANSTQSKAYEVLLKAQEAAISKLKSGNKLSAAYQAALTVVEKEAPELAANLTKTAGTGIGLEFRESGLNLNAKNDRILRPGMVFNVSLGFQNLQSQTKDPKTQIFSLLLADTVIVGKETPEVLTHSSSKAVKDVAYSFNDDDDEVEERAKPKAESRGAGRSAMSKATLRSDNHEMSKEELRRQHQAELARQKNEETARRLAGGGSASMDSRGAGKTIGDLIAYKNVNDFPPPRELMIQVDQKNEAILLPIYGNMVPFHVATVKSVSSQQDSNRNCYIRIIFNVPGTPFSPHDANSLKFQGSIYLKEVSFRSKDPRHISEVVQLIKTLRRQVASRESERAERATLVTQEKLQIAGAKFKPKRLPDLWIRPVFGGRGRKLTGSLEAHANGFRYSTSRPDERVDVMFSNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYAEVMDVVQTLGGGKRSAYDPDEIEEEQRERERKNKINMEFQNFVNRVNDSWGQPPFKALDLEFDQPLRELGFHGVPHKASAFIVPTSSCLVELIETPFVVITLSEIEIVNLERVGLGQKNFDLTIVFKDFKRDVFRIDSIPSTSLDGIKEWLDTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSDSDNSQESDHGYVPSDIQSDSGSEDEDDDSESLVESEDDEEEESGEDSEEEEGKTWEELEREASYADREKGNDSDSEEERARRKVKAFGKARAPPDKRNLGGSLPKRPKFR >ONI04093 pep chromosome:Prunus_persica_NCBIv2:G6:27419628:27419921:-1 gene:PRUPE_6G302300 transcript:ONI04093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAYRKMWRVVPCMSRKNFRTDSWKDIEEILKSKLATIKEEPETCEESLTPPPRLWRLAKKGQKMGSKDIRRHFLMPNFSLKDSYLLFMTGFASKR >ONH99740 pep chromosome:Prunus_persica_NCBIv2:G6:3376693:3379837:1 gene:PRUPE_6G046900 transcript:ONH99740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGSLDTSANSHPTFTFSTHPFMTTSFSDLLASGTDEDPSTNTTARHVGHGGLADRIAERTGSGVPKFKSLPPPSLPISPPSVSPSSYFAIPAGLSPAELLDSPVLLSTSNILPSPTTGSFAAQAFWKANSGNNQQIVKQESKNYSDFSFQTQTRPLTSSSTMFQSTNSTIQTAQEQAWSNSYFQAQEPQKQDDFSSGKSMVKPEYGSVQSFSSGMATNIQNISQANGGFQSEYSNYNHQQSQTLSRKSDDGFNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGNHNHPKPQNTRRSSSNSSHAIQASNPNTNEIPDQSFANHGNSQMDSIGTPENSSISMGDDDFEQSSQKSKSGGGDEFDEDEPNAKRWKKEVDNEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNPGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSHASVNRALPNNNNNVATAMRPVAHQTNNLRQQTSEGQQAPFTLEMLQSPESFGFAGFDNSMGLYMNQAQLNENMFSKTKEEPRDDAFFESLLC >ONI03283 pep chromosome:Prunus_persica_NCBIv2:G6:24448759:24453324:-1 gene:PRUPE_6G248800 transcript:ONI03283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQRIMEFPHKNMDKRPRKRPRLAWDMPPPLPPPELFPAIYCGQDFGNGPIPNYTYTSMFYGGLPRNASPPWRPDDKDGHYVFGIGENLTPRYRILSKMGEGTFGQVLECIDNEKKEVVAIKIVRSIHKYREAAMIEIDVLQRLGRHDIGGTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAFMHDLRLIHTDLKPENILLVSSDYIKVPDYKFLSRSTKEGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVLRADRRADKYFRRGARLDWPDGATSRESMRAVCKLPRLPNLIMQHVDHSAGDLIELLQGLLRYEPAERLKAREALRHPFFTRDMRRGGYPL >ONI03284 pep chromosome:Prunus_persica_NCBIv2:G6:24448737:24453324:-1 gene:PRUPE_6G248800 transcript:ONI03284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQRIMEFPHKNMDKRPRKRPRLAWDMPPPLPPPELFPAIYCGQDFGNGPIPNYTYTSMFYGGLPRNASPPWRPDDKDGHYVFGIGENLTPRYRILSKMGEGTFGQVLECIDNEKKEVVAIKIVRSIHKYREAAMIEIDVLQRLGRHDIGGTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAFMHDLRLIHTDLKPENILLVSSDYIKVPDYKFLSRSTKEGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVLRADRRADKYFRRGARLDWPDGATSRESMRAVCKLPRLPNLIMQHVDHSAGDLIELLQGLLRYEPAERLKAREALRHPFFTRDMRRGGYPL >ONI03282 pep chromosome:Prunus_persica_NCBIv2:G6:24449893:24453054:-1 gene:PRUPE_6G248800 transcript:ONI03282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQRIMEFPHKNMDKRPRKRPRLAWDMPPPLPPPELFPAIYCGQDFGNGPIPNYTYTSMFYGGLPRNASPPWRPDDKDGHYVFGIGENLTPRYRILSKMGEGTFGQVLECIDNEKKEVVAIKIVRSIHKYREAAMIEIDVLQRLGRHDIGGTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAFMHDLRLIHTDLKPENILLVSSDYIKVPDYKFLSRSTKEGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVLRADRRADKYFRRGARLDWPDGATSRESMRAVCKLPRLPNLIMQHVDHSAGDLIELLQGLLRYEPAERLKAREALRHPFFTRDMRRGGYPL >ONI01932 pep chromosome:Prunus_persica_NCBIv2:G6:17209396:17213841:1 gene:PRUPE_6G168100 transcript:ONI01932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHKAISQHHTHFSFPFLLLLLHLLFLQAFSASRPASSSSSSSAYRDTQKLLNFKYSLPSPTLLPNWLPNQNPCAFNGISCKQTGVSSIDLSGTALNTNLTLVSTFLMTLDSLEFLTLKSTSLSGSISFPPKSKCSPLLTTIDLAENSLSGPISDVSSLGACSALKFLNLSSNSLDFFTKDSTGFRLSLQVLDLSYNKISGPNVVPLILSNGCGDLQQLVLKGNKISGEMSSVSSCKKLEHLDLSSNNFSVSVPSFGDCLALDHLDISGNKFSGDIGRAISACSQLTFLNLSVNHFYGQVPDMPTKKLKILSLAGNGFQGTFPMNLLDTCAELVELDLSSNSLTGTVPDALTSCTLLESLDLSRNNLSGELPIEILMKLSNLKAVSLSLNNFFGRLPDSLSKLATLESLDLSSNNLSGPIPVGLCGDPRNSWKELYLQNNLFIGTIPPTLSNCSQLVSLDLSFNYLTGTIPSSLGSLSNLRDLIIWLNKLSGEIPQELTNLGSLENLILDFNELTGSLPVGLSNCTSLNWISLSNNKLSGEIPGWIGKLTKLAILKLSNNSFYGNIPPELGDCKSLIWLDLNTNFLNGTIPPALFKQSGNIAVNFIVSKTYAYIKNDGSKECHGAGNLLEFAGIRDEHLNRISARNPCNFTRVYRGMIQPTFNHNGSMIFLDLSHNLLSGSIPKEIGKMYYLYILNLGHNNISGSIPEELGKLRSVNILDLSSNILEGTIPQALTGLSLLMEIDLSNNHLSGMIPESGQFETFPAYRFINNSGLCGYPLSPCGGASGPNANAHQKSHRRQASLVGSVAMGLLFSLFCIFGLLIVAIETKKRRKKKDSALDVYIDSRNQSGTVNGWKLPGTKEALSINLATFEKPLQKLTFADLLEATNGFHDDSLIGSGGFGDVYKAKLKDGSIVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLDDVLHEPKKAGIKLNWAARRKIAIGSARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKRPTDSADFGDNNLVGWVKQHAKLKISDVFDPELMKEDESVEIELLQHLKVACACLEDRAWRRPTMIQVMAMFKEIQTGSGIDSQSTIATDDGGFGAVEMVEMSIKEVPESKQ >ONI02372 pep chromosome:Prunus_persica_NCBIv2:G6:20090959:20095639:1 gene:PRUPE_6G193700 transcript:ONI02372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVVDDEDRENEGDLIMAASLATPEAMAFIVKHGTGIVCVSMKGEDLERLQLPLMVTQKENEEKLSTAFTVSVDAKYGTTTGVSARDRAVTVLALASRNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGQDPVAVLCEIVDDDGSMARLPKLRQFARAENLKIISIADLIRYRRKRDKLVKRAGVARIPTMWGPFEAYCYKSLLDGIEHIAMVKGEIEDGKDILVRVHSECLTGDIFGSARCDCGDQLSLAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGSDTVEANEELGLPVDSREYGIGAQILRDIGVRTMRLMTNNPAKYVGLKGYGLAIAGRVPLLAPITRENQRYLETKRAKMGHVYGSNSNGNVNGTTDASSSNIDNPSTGMSET >ONI02371 pep chromosome:Prunus_persica_NCBIv2:G6:20090959:20095639:1 gene:PRUPE_6G193700 transcript:ONI02371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIINPNCSSISLSRSQGRNGLYRGTSFAANGGLYDFVTPSLTWKLRFSFKGSIKTRALLGEDDLLSYSNGNRTADTFVNSQAAKPTGIEIQPDALGFGTLAAETTPIISGFSSENDENDLDHPVEGFSSIPEAIEDIRQGKMVIVVDDEDRENEGDLIMAASLATPEAMAFIVKHGTGIVCVSMKGEDLERLQLPLMVTQKENEEKLSTAFTVSVDAKYGTTTGVSARDRAVTVLALASRNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGQDPVAVLCEIVDDDGSMARLPKLRQFARAENLKIISIADLIRYRRKRDKLVKRAGVARIPTMWGPFEAYCYKSLLDGIEHIAMVKGEIEDGKDILVRVHSECLTGDIFGSARCDCGDQLSLAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGSDTVEANEELGLPVDSREYGIGAQILRDIGVRTMRLMTNNPAKYVGLKGYGLAIAGRVPLLAPITRENQRYLETKRAKMGHVYGSNSNGNVNGTTDASSSNIDNPSTGMSET >ONI05204 pep chromosome:Prunus_persica_NCBIv2:G6:30481919:30485097:1 gene:PRUPE_6G362000 transcript:ONI05204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPLMRVSCSPTPASAAAATTRSNNNNKNNNFLCTHALDAVHIRRAAELADKSAGFTSPHPNFGCVIATQSGKVAGEGFLYAQGTKPAEVLAAEAAGNFSRRGTAYLNMEPGDCHGDHAAVSALVQAGIERVVIGLRHPLQHLRGNSIRALRSEGLQVHVLGEDLNGKLIEEARKSCLLVNAPLICRAASRVPFSVLKYAMTLDGKIAASSGHASWISSRTSRNRVFELRGRSDAVIVGGNTVRRDNPRLTARHGGGHMPMRIVMSQTLDLPEEANLWDFSDVSTIVVTQRGARKRFQKFLASKGIEVVEFDILNPREVMEYFHDRGYLSVLWECGGTLAAAAISSGVIHKVFAFVAPKIIGGKNAPTPVGELGMVEMSQALDLIDVCYEQVGPDMLISGFLQPIPDATPVIPSVDETYEIDPTVTPYESRIIFFYKTWDPYGAFSNFSPHAIKIPDASGDYATWLSVEHYYQAQKFVGVDDPVARDGVENIKSAKSPEEAARIGRSMQRQQPDLVRSDWESVKIEVMYRALKCKFSIYPHLNSMLLSTAGSVLVEASPHDLFWGGGRDGEGLNYLGRLLMQLRSEFLGESHTSS >ONH99127 pep chromosome:Prunus_persica_NCBIv2:G6:903674:905933:-1 gene:PRUPE_6G012900 transcript:ONH99127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRDWIHNKNRLSNQYRAGIQSFMEVASQHVDEKNETPCPCMKCQNMNCHSLPVVQAHLWQYGMSVVYHTWIYHREQFEITRQASPLATAQEAPRLDDYTHNILNEAFTPDLGVVDDTLGDNEDVGDDTDIHRVEMDKYEKLIAESQRQLFPSSNASVLTAMVQFMHTKVLHHWSNKSFDQMLQILSAICPQPHSIPPSFYAANKMLKDLGLGHEKIDACIYDCALFYKEHKSKDKCPAQIKAPGKELDVYLRPLIDELKELWEQGIETYDKFTDTRFNMRAPVIWTINDFPAYGNLSGWSTKGYKACPVCLEDTTSTKLRNKICYMGHRRYLKKNHPWRKDSENFDGTIEMRDPPREFSGDDILLQFNHLVHRKLGKHPDNLDRKRKRTPIELNWTNKSIFFELEYWSKLKIRHNLDVMHIEKNICDNIVGTLLSIEGKTKDTPNARLDLKDMNIRRNLHLDKDENGKIKKYAAEYTLEPADRRAFCEFLKSIKFPDGYAANISRNVKDEKISGLKTHDCHVLLQRILPIGIRPYLIKEVCMPLVELACFFQQICAKTLNIADLDRLEVDIVVILCKLEKIFPPAFFDVMVHLAFHLPREAKLGGPVGYRWMYPIER >ONI00997 pep chromosome:Prunus_persica_NCBIv2:G6:8413585:8416344:1 gene:PRUPE_6G115700 transcript:ONI00997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHHKSVLYFSQKVVVVGAGVAICDRRDNLIFESNKNLKSLNHFAVRSRKTELLALIGGSHFEIEKGHIFCDDYLIYQYVMSTLPGSSKIAAFVNQVTRLERTFAYCSLCLVVALSLLRNLQFETIASQSTSPAATCKAMSVKETCLICFEDNPIARMFSVGTCHHKYCLSCMKHHVEVQLQSGIVAQCPHKDCTCEVNTETCKKFLSPELADIMIERIKESSIPVTEKVYCTFPRCSALMSKQEVLEHTKTSFASEGGRKCMKCHQYFCINCRVPWHYDMSCYDYQSSETYSRSEDQLVKSLAMKKLWRQCSKCKHMVELDSGCYHIICRCGHQFCYTCGAEWKNKRATCSCPLWDEHHIIRP >ONI00999 pep chromosome:Prunus_persica_NCBIv2:G6:8414647:8416137:1 gene:PRUPE_6G115700 transcript:ONI00999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPGSSKIAAFVNQVTRLERTFAYCSLCLVVALSLLRNLQFETIASQSTSPAATCKAMSVKETCLICFEDNPIARMFSVGTCHHKYCLSCMKHHVEVQLQSGIVAQCPHKDCTCEVNTETCKKFLSPELADIMIERIKESSIPVTEKVYCTFPRCSALMSKQEVLEHTKTSFASEGGRKCMKCHQYFCINCRVPWHYDMSCYDYQSSETYSRSEDQLVKSLAMKKLWRQCSKCKHMVELDSGCYHIICRCCYIFMTPSQ >ONI00998 pep chromosome:Prunus_persica_NCBIv2:G6:8413755:8416627:1 gene:PRUPE_6G115700 transcript:ONI00998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPGSSKIAAFVNQVTRLERTFAYCSLCLVVALSLLRNLQFETIASQSTSPAATCKAMSVKETCLICFEDNPIARMFSVGTCHHKYCLSCMKHHVEVQLQSGIVAQCPHKDCTCEVNTETCKKFLSPELADIMIERIKESSIPVTEKVYCTFPRCSALMSKQEVLEHTKTSFASEGGRKCMKCHQYFCINCRVPWHYDMSCYDYQSSETYSRSEDQLVKSLAMKKLWRQCSKCKHMVELDSGCYHIICRCGHQFCYTCGAEWKNKRATCSCPLWDEHHIIRP >ONI01000 pep chromosome:Prunus_persica_NCBIv2:G6:8413755:8416605:1 gene:PRUPE_6G115700 transcript:ONI01000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPGSSKIAAFVNQVTRLERTFAYCSLCLVVALSLLRNLQFETIASQSTSPAATCKAMSVKETCLICFEDNPIARMFSVGTCHHKYCLSCMKHHVEVQLQSGIVAQCPHKDCTCEVNTETCKKFLSPELADIMIERIKESSIPVTEKVYCTFPRCSALMSKQEVLEHTKTSFASEGGRKCMKCHQYFCINCRVPWHYDMSCYDYQSSETYSRSEDQLVKSLAMKKLWRQCSKCKHMVELDSGCYHIICRCCYIFMTPSQ >ONH99001 pep chromosome:Prunus_persica_NCBIv2:G6:421362:424286:1 gene:PRUPE_6G004100 transcript:ONH99001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGQMPSDASVGVGRDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQISIPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDDEEGGSEDY >ONH99002 pep chromosome:Prunus_persica_NCBIv2:G6:421433:424275:1 gene:PRUPE_6G004100 transcript:ONH99002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGRDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQISIPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDDEEGGSEDY >ONI04713 pep chromosome:Prunus_persica_NCBIv2:G6:29186246:29191811:-1 gene:PRUPE_6G335800 transcript:ONI04713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLSYLMSKLQTLSTSDHSSVVSMNLFVALLLACIVIGHLLEENRWVNESITALLIGVCTGIVILLISRGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFITIVMFGAIGTLISFCIISLGATQIFKKLDIGSLDIGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTHIDSRIALHFMGNFLYLFFTSTMLGVFAGLLSAYIIKKLYFGRHSTDREVALMMLMAYLSYILAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSILLGLLMLGRAAFVFPLSFLSNLAKKNPNEKISLRQQVIIWWAGLMRGAVSIALAYNQFTRSGHTQLRPNAILITSTITVVLVSTVVFGLMTKPLIRFLVPHSKQTTSMVLSEPTTPKSIIVPLLGQDSEGDLGSQEVRRPASIRDLLTTPTHTVHRYWRKFDNAFMRPVFGGRGFVPFVPGSPTERNNTQWQ >ONI03344 pep chromosome:Prunus_persica_NCBIv2:G6:24651636:24653718:1 gene:PRUPE_6G252100 transcript:ONI03344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAGLVRRFLSTPVFASQSRAAATAASLAYASVADSNNISDKATAEPSTTLFVAGLNKRTTPEKLNEAFSQFGEVVNVKVVIDRDSGFSKGFGFVNYATLEDAEKGVKGMDAQVLDGWVIFTEFARPKRIPGQ >ONI03345 pep chromosome:Prunus_persica_NCBIv2:G6:24651636:24653718:1 gene:PRUPE_6G252100 transcript:ONI03345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAGLVRRFLSTPVFASQSRAAATAASLAYASVADSNNISDKATAEPSTTLFVAVKVVIDRDSGFSKGFGFVNYATLEDAEKGVKGMDAQVLDGWVIFTEFARPKRIPGQ >ONI03849 pep chromosome:Prunus_persica_NCBIv2:G6:26493118:26494680:-1 gene:PRUPE_6G285700 transcript:ONI03849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTAGKPGLLKNVLVRCFLFGVLIVLCRFAYVVTVTGESCKLGNFCFLSLPENLNFVIARTGGPAIAAKNGAVPSTSAGSSLPDLYTSKDWIKAVHFYSSVFQDLMAQGFLSRKAKSLCIETPTGHDVYALRESGVKGAVGIFKKASRPLVIPGDPHRLPFGDNSFDFVFSGGGRLDKSPKPSDFAAEIVRTLKPEGIAVVHIGAKDTYSFHSFIDLFNCCKLVTSRDVEGFDDSMPKIREMVLKKECGDGEIELLSNTRVENGGGNLGNKCSVPGHKLELVRKAEPLIETEPLKPWITLKKNIQNAKYLPAMADISFKKRYAYVDVGARGYGSSIGSWFKKQYPKQNKTFEVFAIEADKTFHEQYKMKKRVTLLPYAAWVRNETLSFEINGDPGEKVKEKGRGMGRIQPAKSADGGFNGEVDQIQGFDFANWLKNTFSEKDFVVMKMDVEGTEFDLIPRLFETGAICLIDEIFLECHYNRWQRCCPGERSSKYEKTYGQCLDLFTSLRQSGVLVHQWW >ONH99702 pep chromosome:Prunus_persica_NCBIv2:G6:3245551:3247441:1 gene:PRUPE_6G044800 transcript:ONH99702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAINCHQCIYVFVTTFFVAAAIVLVPTTCTAQLTSDFYKQSCPQALQIIRSVVKRAINREARMGASLLRLHFHDCFVNGCDGSILLDDADSFTGEKTAGPNLNSVRGFDVVDDIKAALNRDCHGNVVSCADILAVAARDSVEILGGPSYSYEVLLGRRDATTAVLNDANRNLPAPFFAFSQLLSSFQSHGLDLQDLILLSGGHTIGLARCTTFRARIYNDTNIDPEFAASLQKGCPANGGDNNTAPIDSTTTHFDTVYFKSLLQKKGLFHSDQELFKSDGSDSDNLVQHYANSPQDFKVDFGASMVKMGNIKPLTGSDGEIRLNCRKIN >ONI01524 pep chromosome:Prunus_persica_NCBIv2:G6:11662435:11663589:-1 gene:PRUPE_6G143900 transcript:ONI01524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCAGTFLNPGSWGASLLAAGTTLSAMKHVLDGHGKIAYALVRPPGHHAQPTRADGYCFLNNAGLAVQFALNSGCSKVAVIDIDVHYGNGTAEGFYRSDKVLTTSLHMNHGSWGPSHPQNGSVDELGEAEGFGYNLNIPLPNGTGDRGYRHAMTELVVLAIQKFEPEMMVLVIGQDSSAFDPNGRQCLTMEGYQEIGRIVRSLADRHCSGRLLIVQEGGYHVTYSAYCLHATLEGVLNLPTCLLSDPIAYYPEDEALAVEVIKSIKKFQKDNVPFLKGA >ONI01523 pep chromosome:Prunus_persica_NCBIv2:G6:11662264:11664376:-1 gene:PRUPE_6G143900 transcript:ONI01523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTATAPTDRIDVFWESGMLNHNSGNGVFDTGMDPGFLDVLEKHPENSDRVKNMVSILKRGPISPYISWLPGRPALLSELLSFHSSEYINELVEADRDGGKMLCAGTFLNPGSWGASLLAAGTTLSAMKHVLDGHGKIAYALVRPPGHHAQPTRADGYCFLNNAGLAVQFALNSGCSKVAVIDIDVHYGNGTAEGFYRSDKVLTTSLHMNHGSWGPSHPQNGSVDELGEAEGFGYNLNIPLPNGTGDRGYRHAMTELVVLAIQKFEPEMMVLVIGQDSSAFDPNGRQCLTMEGYQEIGRIVRSLADRHCSGRLLIVQEGGYHVTYSAYCLHATLEGVLNLPTCLLSDPIAYYPEDEALAVEVIKSIKKFQKDNVPFLKGA >ONI03230 pep chromosome:Prunus_persica_NCBIv2:G6:24286863:24289498:-1 gene:PRUPE_6G246000 transcript:ONI03230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNRRHPPTLIHKMSNAVALPCLGIVSMARRPRQTAKTKPSKIPPPSTTSIGFGTKRREQTWQCIEGCGACCKLEKGPSFATPEEIFNNPTDVELYRSMVGADGWCVNFDKGTRKCLIYNDRPYFCRVEAEVFQSLYGINEKKFNKEACRSCRDTIKSVYGPHSKELVNFNSAVTSSSSSNGC >ONI01318 pep chromosome:Prunus_persica_NCBIv2:G6:10407420:10411124:-1 gene:PRUPE_6G132100 transcript:ONI01318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNQADGHESKFINKIVQVIREKLRCRPLNVPHIMIGMHSRVNELNLWLQDGSDDVGIFVIYGMSGIGKTTIAKFVYNTNFRRFEGSSFIENIREISQQPNGLVQIQIQLLSDIFIGRKVKIHSVSEGMTEIEDAISSKRVFLVLDNVDHISQLDAVIGMKDKRAGLLKAHQVTKVHAVQFLDNKESLELLSWHAFGQDHPIEDYIECSEKLVDHCGGLPLALQVLGSSLLGESIGVWKSALEKLKAIPNGEIVNKLRVSYDSLQDDHDQKLFLHIAYGCDFYPIVGIQNLIDICLVIIDEWDKVQMHDLIRGMGREIVRLESNEPWKRSRVWHHKDSFKILMEKKGTGKIEGLVLDMHMCPTINSNQKVLETSAFSRMWELKLLHLSHVQLNGSYVEFCTGLRWLCWTKFPLDSIPIDFPLESVIILEMQYSGLRQVFKGAKYLPSLKILDLNRSHSLTETIDFSYCPNLEKLVLVDCTSLIYVHGSIGDLERLIYLNMKDCKKIRMLPKNICMLKSLETFIISGCSNLKEFSIEMLRNMDSLKVLETDGIPISELWLERSSSILGSLPCSLVELSLWECNLSDDAFPMDFSNLFSLKRLNLGNNPIGSLPNCVKGLARLDALSFSKCTSLKSLLGLPKLGFLDIIDCISLEKITYQFFQLESSSFQVRNYNLVEWQYRYKLQPIGGVDVEMINLLGLCNLLESMATIRMHKPSYISEKDDWSPVQGLYEDGKFSTFFGGNEVPGQFSHKSRGSSISFTMPLLDNHRIKGLIVCAVYVSYGSDFPYNHMTGFQRYRGLMDTIIRVRNKSKGLKWYYMPSFFGIPGEGEDMIWLSHWQFKDEHLEGCDQVVVSVRMEHCFQVKELGIQFVQVEQQSTTIYPVYPWVLNHPGEYLFNDEDTRGVLFIDAFDDFIQLFPK >ONI02946 pep chromosome:Prunus_persica_NCBIv2:G6:23341666:23343510:-1 gene:PRUPE_6G230400 transcript:ONI02946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTMSLTIFTLLLLSFTLSSYASGVGSRSEAEVKQIYQEWLVKHQKTYNGIGEEDRRFEIFKDNLRFIDEHNSQDRPYKVGLNAFADLTNQEYRAKFLGTRSDPKRRVMKAKNSSQRYAFRVGEALPESVDWRVKGAVNPIKNQGNCGSCWAFSTVAAVEGVNQIVTGELVSLSEQELVDCDRSYNAGCNGGLMDYAFEFIIQNGGMDTEKDYPYKAYDQQCNVALVSPQFSIPINLITIIFLG >ONI02945 pep chromosome:Prunus_persica_NCBIv2:G6:23341018:23343510:-1 gene:PRUPE_6G230400 transcript:ONI02945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTMSLTIFTLLLLSFTLSSYASGVGSRSEAEVKQIYQEWLVKHQKTYNGIGEEDRRFEIFKDNLRFIDEHNSQDRPYKVGLNAFADLTNQEYRAKFLGTRSDPKRRVMKAKNSSQRYAFRVGEALPESVDWRVKGAVNPIKNQGNCGSCWAFSTVAAVEGVNQIVTGELVSLSEQELVDCDRSYNAGCNGGLMDYAFEFIIQNGGMDTEKDYPYKAYDQQCNVALENNKVVSIDGYEDVPAYDENALKKAVAHQPISVAIEAGGMALQLYQSGVFTGECGSALDHGVVAVGYGTENGTDYWLVRNSWGTNWGESGYFKIERNVATTYTGKCGIAMEASYPTKKDQKYPTSSFLAEEDIKMVTSA >ONI00227 pep chromosome:Prunus_persica_NCBIv2:G6:5256784:5258994:-1 gene:PRUPE_6G076600 transcript:ONI00227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAFSQDQPPSTTDQDQPPPATDERQPPTVVDQHQPPVVADQDQPRPKRHRGRFRNSGSRPIEVQCFYGSVILNINFLTFKTLTPGKKISGSVPASTLQGRIHRGARVVK >ONI00226 pep chromosome:Prunus_persica_NCBIv2:G6:5256784:5260373:-1 gene:PRUPE_6G076600 transcript:ONI00226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDIVQWRIISQPSSITQQAIPQPIEAQIVVGRFDLNSLPEERDDQLMVVAFSQDQPPSTTDQDQPPPATDERQPPTVVDQHQPPVVADQDQPRPKRHRGRFRNSGSRPIEVQCFYGSVILNINFLTFKTLTPGKKISGSVPASTLQGRIHRGARVVK >ONI04251 pep chromosome:Prunus_persica_NCBIv2:G6:27897633:27898931:1 gene:PRUPE_6G311400 transcript:ONI04251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVSSLVCLKLDSQSEVLDKDAAMVPLNCDTPIIETTAEEPETTEPTEEERIAYFWSKTEESMDGKSKDEEPEDEEPEDEEEPEDEEEPEDEESEDGESETWKSEVEESEDEKSKDGKSYGKQLILGTIKKKEKKM >ONI04250 pep chromosome:Prunus_persica_NCBIv2:G6:27897633:27899541:1 gene:PRUPE_6G311400 transcript:ONI04250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVSSLVCLKLDSQSEVLDKDAAMVPLNCDTPIIETTAEEPETTEPTEEERIAYFWSKTEESMDGKSKDEEPEDEEPEDEEEPEDEEEPEDEESEDGESETWKSEVEESEDEKSKDGKSYGIKSQRTQLLVRVVWLNVLYVAASLETHVVLVVA >ONI02549 pep chromosome:Prunus_persica_NCBIv2:G6:21323130:21329983:1 gene:PRUPE_6G205100 transcript:ONI02549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLQFDPVFQEAKTPISWVPDSDRVQQPNPDLKDSSLGEVDESLVESMLCDSGSRLIPSGLSRSNSTDEYVMFVNAGGDASNETDSSVKFLADTYFEGGNVLRTNEHINDGGDYPFIYQSARVGNFYYRFNCLPPGHYYVDIHFTEIINTNGPKGMRVFNVFIQEEKVLSDFDIFAVVGSNKPLQLVDSRVSVKEDGVVVIRFEGVNGSPVVSGIGIRRAPNVSVPKLVVEHFKCNNCDAEIEVPSAQMKLMQTKSTAKYEKKIQELTTQCQLKTKECYEAWMSLTAANEELDKVMMDLDNVTFRTLSQDQTIQKQAEDIKNISSRYECDKKYWTIAVNDLQEKIKLMHEEHLHLSREAHECADSIPELNKMIFAVQALVAECEDLKVKYNEEQAKRKKLFNEVQEAKGNIRVFCRCRPLSKEEMAAGCKTVVDFEAAKDGCLGFLTGGSTKRSFKFDRVYTPKDDQVDVFVDASPMVVSVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEQLFEIAKERSETFSYSISVSVLEVYNEQIRDLLAISPSSKRLEIKQASEGCHHVPGIVEAKVENIKEVWSVLQAGSNARAIGSNNVNEHSSRSHCLLSIMVRSKNLINGECTKSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDLGETLSSLNFATRVRGIELGPAKKQVDTSELQKTKVMLEKARQEARSKDESLRKLEESLQNLESKTKGKDQIYKNQQEKIKELEGTLELKTALHSQLEKQVSQLSDRLRGKEEICCSLQQKVKELEVELRERHKSDSEYASLQQKVKDLENQLKDQMQESEFQSTILQHKVKELEIKLKDQEQKSDSSALHQKIKELQDKLREQEKQSEFADAVRATPNEGKTCVRDEIMNDAEACILRSSNSLNRPMSQGSISLRGNDSVRETRRKREFKSGETENIIRLPNSFNDNKVRKSDPPKIARITRTAKPATATQGPSVNRRFSRDQIQVKERDTVKKIWSR >ONI02550 pep chromosome:Prunus_persica_NCBIv2:G6:21323129:21329983:1 gene:PRUPE_6G205100 transcript:ONI02550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLQFDPVFQEAKTPISWVPDSDRVQQPNPDLKDSSLGEVDESLVESMLCDSGSRLIPSGLSRSNSTDEYVMFVNAGGDASNETDSSVKFLADTYFEGGNVLRTNEHINDGGDYPFIYQSARVGNFYYRFNCLPPGHYYVDIHFTEIINTNGPKGMRVFNVFIQEEKVLSDFDIFAVVGSNKPLQLVDSRVSVKEDGVVVIRFEGVNGSPVVSGIGIRRAPNVSVPKLVVEHFKCNNCDAEIEVPSAQMKLMQTKSTAKYEKKIQELTTQCQLKTKECYEAWMSLTAANEELDKVMMDLDNVTFRTLSQDQTIQKQAEDIKNISSRYECDKKYWTIAVNDLQEKIKLMHEEHLHLSREAHECADSIPELNKMIFAVQALVAECEDLKVKYNEEQAKRKKLFNEVQEAKGNIRVFCRCRPLSKEEMAAGCKTVVDFEAAKDGCLGFLTGGSTKRSFKFDRVYTPKDDQVDVFVDASPMVVSVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEQLFEIAKERSETFSYSISVSVLEVYNEQIRDLLAISPSSKRLEIKQASEGCHHVPGIVEAKVENIKEVWSVLQAGSNARAIGSNNVNEHSSRSHCLLSIMVRSKNLINGECTKSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDLGETLSSLNFATRVRGIELGPAKKQVDTSELQKTKVMLEKARQEARSKDESLRKLEESLQNLESKTKGKDQIYKNQQEKIKELEGTLELKTALHSQLEKQVSQLSDRLRGKEEICCSLQQKVKELEVELRERHKSDSEYASLQQKVKDLENQLKDQMQESEFQSTILQHKVKELEIKLKDQEQKSDSSALHQKIKELQDKLREQEKQSEFADAVRATPNEGKTCVRDEIMNDAEACILRSSNSLNRPMSQGSISLRGNDSVRETRRKREFKSGETENIIRLPNSFNDNKVRKSDPPKIARITRTAKPATATQGPSVNRRFSRDQIQVKERDTVKKIWSR >ONI02545 pep chromosome:Prunus_persica_NCBIv2:G6:21323126:21330197:1 gene:PRUPE_6G205100 transcript:ONI02545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLQFDPVFQEAKTPISWVPDSDRVQQPNPDLKDSSLGEVDESLVESMLCDSGSRLIPSGLSRSNSTADEYVMFVNAGGDASNETDSSVKFLADTYFEGGNVLRTNEHINDGGDYPFIYQSARVGNFYYRFNCLPPGHYYVDIHFTEIINTNGPKGMRVFNVFIQEEKVLSDFDIFAVVGSNKPLQLVDSRVSVKEDGVVVIRFEGVNGSPVVSGIGIRRAPNVSVPKLVVEHFKCNNCDAEIEVPSAQMKLMQTKSTAKYEKKIQELTTQCQLKTKECYEAWMSLTAANEELDKVMMDLDNVTFRTLSQDQTIQKQAEDIKNISSRYECDKKYWTIAVNDLQEKIKLMHEEHLHLSREAHECADSIPELNKMIFAVQALVAECEDLKVKYNEEQAKRKKLFNEVQEAKGNIRVFCRCRPLSKEEMAAGCKTVVDFEAAKDGCLGFLTGGSTKRSFKFDRVYTPKDDQVDVFVDASPMVVSVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEQLFEIAKERSETFSYSISVSVLEVYNEQIRDLLAISPSSKRLEIKQASEGCHHVPGIVEAKVENIKEVWSVLQAGSNARAIGSNNVNEHSSRSHCLLSIMVRSKNLINGECTKSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDLGETLSSLNFATRVRGIELGPAKKQVDTSELQKTKVMLEKARQEARSKDESLRKLEESLQNLESKTKGKDQIYKNQQEKIKELEGTLELKTALHSQLEKQVSQLSDRLRGKEEICCSLQQKVKELEVELRERHKSDSEYASLQQKVKDLENQLKDQMQESEFQSTILQHKVKELEIKLKDQEQKSDSSALHQKVKELEIKLKDQEQKSDSSALHQKIKELQDKLREQEKQSEFADAVRATPNEGKTCVRDEIMNDAEACILRSSNSLNRPMSQGSISLRGNDSVRETRRKREFKSGETENIIRLPNSFNDNKVRKSDPPKIARITRTAKPATATQGPSVNRRFSRDQIQVKERDTVKKIWSR >ONI02546 pep chromosome:Prunus_persica_NCBIv2:G6:21323126:21330185:1 gene:PRUPE_6G205100 transcript:ONI02546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLQFDPVFQEAKTPISWVPDSDRVQQPNPDLKDSSLGEVDESLVESMLCDSGSRLIPSGLSRSNSTADEYVMFVNAGGDASNETDSSVKFLADTYFEGGNVLRTNEHINDGGDYPFIYQSARVGNFYYRFNCLPPGHYYVDIHFTEIINTNGPKGMRVFNVFIQEEKVLSDFDIFAVVGSNKPLQLVDSRVSVKEDGVVVIRFEGVNGSPVVSGIGIRRAPNVSVPKLVVEHFKCNNCDAEIEVPSAQMKLMQTKSTAKYEKKIQELTTQCQLKTKECYEAWMSLTAANEELDKVMMDLDNVTFRTLSQDQTIQKQAEDIKNISSRYECDKKYWTIAVNDLQEKIKLMHEEHLHLSREAHECADSIPELNKMIFAVQALVAECEDLKVKYNEEQAKRKKLFNEVQEAKGNIRVFCRCRPLSKEEMAAGCKTVVDFEAAKDGCLGFLTGGSTKRSFKFDRVYTPKDDQVDVFVDASPMVVSVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEQLFEIAKERSETFSYSISVSVLEVYNEQIRDLLAISPSSKRLEIKQASEGCHHVPGIVEAKVENIKEVWSVLQAGSNARAIGSNNVNEHSSRSHCLLSIMVRSKNLINGECTKSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDLGETLSSLNFATRVRGIELGPAKKQVDTSELQKTKVMLEKARQEARSKDESLRKLEESLQNLESKTKGKDQIYKNQQEKIKELEGTLELKTALHSQLEKQVSQLSDRLRGKEEICCSLQQKVKELEVELRERHKSDSEYASLQQKVKDLENQLKDQMQESEFQSTILQHKVKELEIKLKDQEQKSDSSALHQKIKELQDKLREQEKQSEFADAVRATPNEGKTCVRDEIMNDAEACILRSSNSLNRPMSQGSISLRGNDSVRETRRKREFKSGETENIIRLPNSFNDNKVRKSDPPKIARITRTAKPATATQGPSVNRRFSRDQIQVKERDTVKKIWSR >ONI02547 pep chromosome:Prunus_persica_NCBIv2:G6:21323126:21330185:1 gene:PRUPE_6G205100 transcript:ONI02547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLQFDPVFQEAKTPISWVPDSDRVQQPNPDLKDSSLGEVDESLVESMLCDSGSRLIPSGLSRSNSTADEYVMFVNAGGDASNETDSSVKFLADTYFEGGNVLRTNEHINDGGDYPFIYQSARVGNFYYRFNCLPPGHYYVDIHFTEIINTNGPKGMRVFNVFIQEEKVLSDFDIFAVVGSNKPLQLVDSRVSVKEDGVVVIRFEGVNGSPVVSGIGIRRAPNVSVPKLVVEHFKCNNCDAEIEVPSAQMKLMQTKSTAKYEKKIQELTTQCQLKTKECYEAWMSLTAANEELDKVMMDLDNVTFRTLSQDQTIQKQAEDIKNISSRYECDKKYWTIAVNDLQEKIKLMHEEHLHLSREAHECADSIPELNKMIFAVQALVAECEDLKVKYNEEQAKRKKLFNEVQEAKGNIRVFCRCRPLSKEEMAAGCKTVVDFEAAKDGCLGFLTGGSTKRSFKFDRVYTPKDDQVDVFVDASPMVVSVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEQLFEIAKERSETFSYSISVSVLEVYNEQIRDLLAISPSSKRLEIKQASEGCHHVPGIVEAKVENIKEVWSVLQAGSNARAIGSNNVNEHSSRSHCLLSIMVRSKNLINGECTKSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDLGETLSSLNFATRVRGIELGPAKKQVDTSELQKTKVMLEKARQEARSKDESLRKLEESLQNLESKTKGKDQIYKNQQEKIKELEGTLELKTALHSQLEKQVSQLSDRLRGKEEICCSLQQKVKELEVELRERHKSDSEYASLQQKVKDLENQLKDQMQESEFQSTILQHKVKELEIKLKDQEQKSDSSALHQKIKELQDKLREQEKQSEFADAVRATPNEGKTCVRDEIMNDAEACILRSSNSLNRPMSQGSISLRGNDSVRETRRKREFKSGETENIIRLPNSFNDNKVRKSDPPKIARITRTAKPATATQGPSVNRRFSRDQIQVKERDTVKKIWSR >ONI02548 pep chromosome:Prunus_persica_NCBIv2:G6:21323124:21330207:1 gene:PRUPE_6G205100 transcript:ONI02548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLQFDPVFQEAKTPISWVPDSDRVQQPNPDLKDSSLGEVDESLVESMLCDSGSRLIPSGLSRSNSTDEYVMFVNAGGDASNETDSSVKFLADTYFEGGNVLRTNEHINDGGDYPFIYQSARVGNFYYRFNCLPPGHYYVDIHFTEIINTNGPKGMRVFNVFIQEEKVLSDFDIFAVVGSNKPLQLVDSRVSVKEDGVVVIRFEGVNGSPVVSGIGIRRAPNVSVPKLVVEHFKCNNCDAEIEVPSAQMKLMQTKSTAKYEKKIQELTTQCQLKTKECYEAWMSLTAANEELDKVMMDLDNVTFRTLSQDQTIQKQAEDIKNISSRYECDKKYWTIAVNDLQEKIKLMHEEHLHLSREAHECADSIPELNKMIFAVQALVAECEDLKVKYNEEQAKRKKLFNEVQEAKGNIRVFCRCRPLSKEEMAAGCKTVVDFEAAKDGCLGFLTGGSTKRSFKFDRVYTPKDDQVDVFVDASPMVVSVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEQLFEIAKERSETFSYSISVSVLEVYNEQIRDLLAISPSSKRLEIKQASEGCHHVPGIVEAKVENIKEVWSVLQAGSNARAIGSNNVNEHSSRSHCLLSIMVRSKNLINGECTKSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALANKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSDQDLGETLSSLNFATRVRGIELGPAKKQVDTSELQKTKVMLEKARQEARSKDESLRKLEESLQNLESKTKGKDQIYKNQQEKIKELEGTLELKTALHSQLEKQVSQLSDRLRGKEEICCSLQQKVKELEVELRERHKSDSEYASLQQKVKDLENQLKDQMQESEFQSTILQHKVKELEIKLKDQEQKSDSSALHQKVKELEIKLKDQEQKSDSSALHQKIKELQDKLREQEKQSEFADAVRATPNEGKTCVRDEIMNDAEACILRSSNSLNRPMSQGSISLRGNDSVRETRRKREFKSGETENIIRLPNSFNDNKVRKSDPPKIARITRTAKPATATQGPSVNRRFSRDQIQVKERDTVKKIWSR >ONI01432 pep chromosome:Prunus_persica_NCBIv2:G6:11284730:11289181:1 gene:PRUPE_6G138900 transcript:ONI01432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRPVLLVFLLIVLIITSQFEWRQPLVVDLDATPSISQKQNQISNREEAVKEKIILLQEKNIQRLNELVRSLREQLVQCRSKTQTTNHTVAGNM >ONI01433 pep chromosome:Prunus_persica_NCBIv2:G6:11284730:11288282:1 gene:PRUPE_6G138900 transcript:ONI01433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRPVLLVFLLIVLIITSQFEWRQPLVVDLDATPSISQKQNQISNREEAVKEKIILLQEKNIQRLNELVRSLREQLVQCRSKTQTTNHTVSFLTERVTELEQHQIFED >ONI00462 pep chromosome:Prunus_persica_NCBIv2:G6:6175236:6178900:1 gene:PRUPE_6G090200 transcript:ONI00462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVRSATLRFLKPLGNLTLNYAVNHPRTQTLSFRAFSTTLSPPSKAVVYEQHGPPDRVTRVIQLPPVEVKENDVCVKMLAAPINPSDINRIEGVYPVRPQVPAVGGYEGVGEVHSVGAAVKGFLPGDLVIPSPPSFGTWQTYIVKDQNVWYKINKKSPLEYAATITVNPLTALRMLEDFVTLNKGDAIVQNGATSIVGQCIIQLAHHRGIHNINIIRDRTGSDEVKEKLKNLGADEVFTESQLEVKNVKGLLSGIPEPALGFNCVGGNAASLVLKFLGRGGTMVTYGGMSKKPITVSTSSFIFKDLSLRGFWLQNWMSTEKAKGCRVLIDSLLDLAREGKLKYEMELVPFDNFNAAIDKALGKQGSQPKQVINFQL >ONI00463 pep chromosome:Prunus_persica_NCBIv2:G6:6175236:6178900:1 gene:PRUPE_6G090200 transcript:ONI00463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAPINPSDINRIEGVYPVRPQVPAVGGYEGVGEVHSVGAAVKGFLPGDLVIPSPPSFGTWQTYIVKDQNVWYKINKKSPLEYAATITVNPLTALRMLEDFVTLNKGDAIVQNGATSIVGQCIIQLAHHRGIHNINIIRDRTGSDEVKEKLKNLGADEVFTESQLEVKNVKGLLSGIPEPALGFNCVGGNAASLVLKFLGRGGTMVTYGGMSKKPITVSTSSFIFKDLSLRGFWLQNWMSTEKAKGCRVLIDSLLDLAREGKLKYEMELVPFDNFNAAIDKALGKQGSQPKQVINFQL >ONI00464 pep chromosome:Prunus_persica_NCBIv2:G6:6175236:6178900:1 gene:PRUPE_6G090200 transcript:ONI00464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAPINPSDINRIEGVYPVRPQVPAVGGYEGVGEVHSVGAAVKGFLPGDLVIPSPPSFGTWQTYIVKDQNVWYKINKKSPLEYAATITVNPLTALRMLEDFVTLNKGDAIVQNGATSIVGQCIIQLAHHRGIHNINIIRDRTGSDEVKEKLKNLGADEVFTESQLEVKNVKGLLSGIPEPALGFNCVGGNAASLVLKFLGRGGTMVTYGGMSKKPITVSTSSFIFKDLSLRGFWLQNWMSTEKAKGCRVLIDSLLDLAREGKLKYEMELVPFDNFNAAIDKALGKQGSQPKQVINFQL >ONI00600 pep chromosome:Prunus_persica_NCBIv2:G6:6772054:6776283:-1 gene:PRUPE_6G097200 transcript:ONI00600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQQQLGFEESSNIGSNRVSLLIRHLPEGIPEETLFRLLSHYGASSVRSCSATGRLRNCAFVDFQNEGLAYQAQRQLNGLRFLGKVLKVERATANNDKPSQAANKDSLSITATSKSSLVHNPIINRDIQETEVSRSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPSPPLPPSVPVPPPPPPPLAAKPPSADLSSEESEMESSDEEIDGKPSSGTSRGQKRVKREAIVGPAVDKDVAHEDVGLKPAILVPKERPMIKKKNPIVQIKIAPKVVNNEHKDDSITKDSEHPKNESSDINSFATPEELERGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDIVADDFYYIFGSLFGSVDAAKSGLSLKLMQVRKEE >ONI00597 pep chromosome:Prunus_persica_NCBIv2:G6:6770431:6777029:-1 gene:PRUPE_6G097200 transcript:ONI00597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQQQLGFEESSNIGSNRVSLLIRHLPEGIPEETLFRLLSHYGASSVRSCSATGRLRNCAFVDFQNEGLAYQAQRQLNGLRFLGKVLKVERATANNDKPSQAANKDSLSITATSKSSLVHNPIINRDIQETEVSRSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPSPPLPPSVPVPPPPPPPLAAKPPSADLSSEESEMESSDEEIDGKPSSGTSRGQKRVKREAIVGPAVDKDVAHEDVGLKPAILVPKERPMIKKKNPIVQIKIAPKVVNNEHKDDSITKDSEHPKNESSDINSFATPEELERGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDIVADDFYYIFGSLFGSVDAAKSGLSLKLMQEE >ONI00596 pep chromosome:Prunus_persica_NCBIv2:G6:6770403:6777028:-1 gene:PRUPE_6G097200 transcript:ONI00596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQQQLGFEESSNIGSNRVSLLIRHLPEGIPEETLFRLLSHYGASSVRSCSATGRLRNCAFVDFQNEGLAYQAQRQLNGLRFLGKVLKVERATANNDKPSQAANKDSLSITATSKSSLVHNPIINRDIQETEVSRSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPSPPLPPSVPVPPPPPPPLAAKPPSADLSSEESEMESSDEEIDGKPSSGTSRGQKRVKREAIVGPAVDKDVAHEDVGLKPAILVPKERPMIKKKNPIVQIKIAPKVVNNEHKDDSITKDSEHPKNESSDINSFATPEELERGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDIVADDFYYIFGSLFGSVDAAKSGLSLKLMQEGRMRGQAFVTFPSVELAHYALNLVNGYVFKGKPMIIQFGRNPAAGKAN >ONI00594 pep chromosome:Prunus_persica_NCBIv2:G6:6770403:6777029:-1 gene:PRUPE_6G097200 transcript:ONI00594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQQQLGFEESSNIGSNRVSLLIRHLPEGIPEETLFRLLSHYGASSVRSCSATGRLRNCAFVDFQNEGLAYQAQRQLNGLRFLGKVLKVERATANNDKPSQAANKDSLSITATSKSSLVHNPIINRDIQETEVSRSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPSPPLPPSVPVPPPPPPPLAAKPPSADLSSEESEMESSDEEIDGKPSSGTSRGQKRVKREAIVGPAVDKDVAHEDVGLKPAILVPKERPMIKKKNPIVQIKIAPKVVNNEHKDDSITKDSEHPKNESSDINSFATPEELERGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDIVADDFYYIFGSLFGSVDAAKSGLSLKLMQEGRMRGQAFVTFPSVELAHYALNLVNGYVFKGKPMIIQFGRNPAAGKAN >ONI00598 pep chromosome:Prunus_persica_NCBIv2:G6:6770431:6777027:-1 gene:PRUPE_6G097200 transcript:ONI00598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQQQLGFEESSNIGSNRVSLLIRHLPEGIPEETLFRLLSHYGASSVRSCSATGRLRNCAFVDFQNEGLAYQAQRQLNGLRFLGKVLKVERATANNDKPSQAANKDSLSITATSKSSLVHNPIINRDIQETEVSRSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPSPPLPPSVPVPPPPPPPLAAKPPSADLSSEESEMESSDEEIDGKPSSGTSRGQKRVKREAIVGPAVDKDVAHEDVGLKPAILVPKERPMIKKKNPIVQIKIAPKVVNNEHKDDSITKDSEHPKNESSDINSFATPEELERGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDIVADDFYYIFGSLFGSVDAAKSGLSLKLMQEE >ONI00599 pep chromosome:Prunus_persica_NCBIv2:G6:6772054:6776283:-1 gene:PRUPE_6G097200 transcript:ONI00599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQQQLGFEESSNIGSNRVSLLIRHLPEGIPEETLFRLLSHYGASSVRSCSATGRLRNCAFVDFQNEGLAYQAQRQLNGLRFLGKVLKVERATANNDKPSQAANKDSLSITATSKSSLVHNPIINRDIQETEVSRSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPSPPLPPSVPVPPPPPPPLAAKPPSADLSSEESEMESSDEEIDGKPSSGTSRGQKRVKREAIVGPAVDKDVAHEDVGLKPAILVPKERPMIKKKNPIVQIKIAPKVVNNEHKDDSITKDSEHPKNESSDINSFATPEELERGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDIVADDFYYIFGSLFGSVDAAKSGLSLKLMQEE >ONI00593 pep chromosome:Prunus_persica_NCBIv2:G6:6770430:6777029:-1 gene:PRUPE_6G097200 transcript:ONI00593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQQQLGFEESSNIGSNRVSLLIRHLPEGIPEETLFRLLSHYGASSVRSCSATGRLRNCAFVDFQNEGLAYQAQRQLNGLRFLGKVLKVERATANNDKPSQAANKDSLSITATSKSSLVHNPIINRDIQETEVSRSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPSPPLPPSVPVPPPPPPPLAAKPPSADLSSEESEMESSDEEIDGKPSSGTSRGQKRVKREAIVGPAVDKDVAHEDVGLKPAILVPKERPMIKKKNPIVQIKIAPKVVNNEHKDDSITKDSEHPKNESSDINSFATPEELERGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDIVADDFYYIFGSLFGSVDAAKSGLSLKLMQEGRMRGQAFVTFPSVELAHYALNLVNGYVFKGKPMIIQFGRNPAAGKAN >ONI00595 pep chromosome:Prunus_persica_NCBIv2:G6:6770404:6777057:-1 gene:PRUPE_6G097200 transcript:ONI00595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQQQQLGFEESSNIGSNRVSLLIRHLPEGIPEETLFRLLSHYGASSVRSCSATGRLRNCAFVDFQNEGLAYQAQRQLNGLRFLGKVLKVERATANNDKPSQAANKDSLSITATSKSSLVHNPIINRDIQETEVSRSEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPSPPLPPSVPVPPPPPPPLAAKPPSADLSSEESEMESSDEEIDGKPSSGTSRGQKRVKREAIVGPAVDKDVAHEDVGLKPAILVPKERPMIKKKNPIVQIKIAPKVVNNEHKDDSITKDSEHPKNESSDINSFATPEELERGKLPPEEILSLPMFKNYAAGNPASVLYIKNLAKDIVADDFYYIFGSLFGSVDAAKSGLSLKLMQEGRMRGQAFVTFPSVELAHYALNLVNGYVFKGKPMIIQFGRNPAAGKAN >ONI04210 pep chromosome:Prunus_persica_NCBIv2:G6:27786797:27789083:1 gene:PRUPE_6G309100 transcript:ONI04210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIYSLYIINKSGGLIFYKDYGSSGRMDTNDSLRVASLWHSMHAISQQLSPISGCFGIELLQADTFDLHCFQSLTGTKFFVVCEPGTQHMEGLLKHIYELYTDYVLKNPFYEMEMPIRCELFDINLTQAIQKDRVALLAR >ONI05030 pep chromosome:Prunus_persica_NCBIv2:G6:30021001:30026373:-1 gene:PRUPE_6G352500 transcript:ONI05030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILSPPNPIKTPALFNSILRLRFNSLRSHCFNSMAIPPPKSAMASAVDNEVGLARRFWIKFHRESVFAMYTPFSLSLASGNLKIETFRHYIAQDVHFLKAFAHAYELAEECADDDDAKVAISLLRNAVRVELKMHDSFVKEWGLHGAKKAAINSAAAKYIDFLLATASGKVGGVKGPGKLATPFEKTKVAAYTLGAMTPCMRLYAFLGKEFKALLDPNEGSHPYKKWIDNYSSDSFQASAAQTEELLDKLSVSLTGEELDIIEKLYHQAMKLEIEFFSAQSLVQPTIVPLIKEHNPAKDHLMIFSDFDLTCTVVDSSAILAEIAIVTAPKSDQHQSENQIARMSSADLRNTWGLLSRQYTEEYEQCIESFVPTEKVVFDYKSLHKALEKLSDFEKKANNRVTKSGVLKGLNIEDIKRAGERLILQDGCINFFQKIVKSENLNAIVHVLSYCWCGDLIRSAFSSGDLHELNVHANEFTFEESISTGDIVKKVESPIDKVQSFKDILKNCRDDRKNLTVYIGDSVGDILCLLEADIGIVIGSSSSLRRVGTQFGVSFVPLFPGLVKKQKEFIEGRSSNWKGLTGILYTASSWAEIHAFILGY >ONI05031 pep chromosome:Prunus_persica_NCBIv2:G6:30021806:30024684:-1 gene:PRUPE_6G352500 transcript:ONI05031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYELAEECADDDDAKVAISLLRNAVRVELKMHDSFVKEWGLHGAKKAAINSAAAKYIDFLLATASGKVGGVKGPGKLATPFEKTKVAAYTLGAMTPCMRLYAFLGKEFKALLDPNEGSHPYKKWIDNYSSDSFQASAAQTEELLDKLSVSLTGEELDIIEKLYHQAMKLEIEFFSAQSLVQPTIVPLIKEHNPAKDHLMIFSDFDLTCTVVDSSAILAEIAIVTAPKSDQHQSENQIARMSSADLRNTWGLLSRQYTEEYEQCIESFVPTEKVVFDYKSLHKALEKLSDFEKKANNRVTKSGVLKGLNIEDIKRAGERLILQDGCINFFQKIVKSENLNAIVHVLSYCWCGDLIRSAFSSGDLHELNVHANEFTFEESISTGDIVKKVESPIDKVQSFKDILKNCRDDRKNLTVYIGDSVGDILCLLEADIGIVIGSSSSLRRVGTQFGVSFVPLFPGLVKKQKEFIEGRSSNWKGLTGILYTASSWAEIHAFILGY >ONI05033 pep chromosome:Prunus_persica_NCBIv2:G6:30021806:30024231:-1 gene:PRUPE_6G352500 transcript:ONI05033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEWGLHGAKKAAINSAAAKYIDFLLATASGKVGGVKGPGKLATPFEKTKVAAYTLGAMTPCMRLYAFLGKEFKALLDPNEGSHPYKKWIDNYSSDSFQASAAQTEELLDKLSVSLTGEELDIIEKLYHQAMKLEIEFFSAQSLVQPTIVPLIKEHNPAKDHLMIFSDFDLTCTVVDSSAILAEIAIVTAPKSDQHQSENQIARMSSADLRNTWGLLSRQYTEEYEQCIESFVPTEKVVFDYKSLHKALEKLSDFEKKANNRVTKSGVLKGLNIEDIKRAGERLILQDGCINFFQKIVKSENLNAIVHVLSYCWCGDLIRSAFSSGDLHELNVHANEFTFEESISTGDIVKKVESPIDKVQSFKDILKNCRDDRKNLTVYIGDSVGDILCLLEADIGIVIGSSSSLRRVGTQFGVSFVPLFPGLVKKQKEFIEGRSSNWKGLTGILYTASSWAEIHAFILGY >ONI05034 pep chromosome:Prunus_persica_NCBIv2:G6:30021001:30026373:-1 gene:PRUPE_6G352500 transcript:ONI05034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILSPPNPIKTPALFNSILRLRFNSLRSHCFNSMAIPPPKSAMASAVDNEVGLARRFWIKFHRESVFAMYTPFSLSLASGNLKIETFRHYIAQDVHFLKAFAHAYELAEECADDDDAKVAISLLRNAVRVELKMHDSFVKEWGLHGAKKAAINSAAAKYIDFLLATASGKVGGVKGPGKLATPFEKTKVAAYTLGAMTPCMRLYAFLGKEFKALLDPNEGSHPYKKWIDNYSSDSFQASAAQTEELLDKLSVSLTGEELDIIEKLYHQAMKLEIEFFSAQSLVQPTIVPLIKEHNPAKDHLMIFSDFDLTCTVVDSSAILAEIAIVTAPKSDQHQSENQIARMSSADLRNTWGLLSRQYTEEYEQCIESFVPTEKVVFDYKSLHKALEKLSDFEKKANNRVTKSGVLKGLNIEDIKRAGERLILQDGCINFFQKIVKSENLNAIVHVLSYCWCGDLIRGFTRAECACK >ONI05032 pep chromosome:Prunus_persica_NCBIv2:G6:30021806:30024231:-1 gene:PRUPE_6G352500 transcript:ONI05032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEWGLHGAKKAAINSAAAKYIDFLLATASGKVGGVKGPGKLATPFEKTKVAAYTLGAMTPCMRLYAFLGKEFKALLDPNEGSHPYKKWIDNYSSDSFQASAAQTEELLDKLSVSLTGEELDIIEKLYHQAMKLEIEFFSAQSLVQPTIVPLIKEHNPAKDHLMIFSDFDLTCTVVDSSAILAEIAIVTAPKSDQHQSENQIARMSSADLRNTWGLLSRQYTEEYEQCIESFVPTEKVVFDYKSLHKALEKLSDFEKKANNRVTKSGVLKGLNIEDIKRAGERLILQDGCINFFQKIVKSENLNAIVHVLSYCWCGDLIRSAFSSGDLHELNVHANEFTFEESISTGDIVKKVESPIDKVQSFKDILKNCRDDRKNLTVYIGDSVGDILCLLEADIGIVIGSSSSLRRVGTQFGVSFVPLFPGLVKKQKEFIEGRSSNWKGLTGILYTASSWAEIHAFILGY >ONI04275 pep chromosome:Prunus_persica_NCBIv2:G6:27995888:28003917:1 gene:PRUPE_6G312700 transcript:ONI04275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIISRKLFPACESMCICCPAMRSRSRQPVKRYKKLLAEIFPKSPDGPPNERKIVKLCEYAAKNPFRIPKIAKYLEDRCYKELRLEHVKFINIVAEAYNKLLCLCKEQMAYFAVSLLSVVTELLDNPKQDPLRILGCQTLTRFIFSQTDGTYTHTIESLVHRVCKLARESGEDHQKRCLRASSLQCLSAMVQFMAEFSYIFVDFDEIVHVTLDNYEPDTHIEDDERGEPHHNWVDEVVRSEGRVGVVGADASPSCKIIRPRPEKKDPSLLTREEIETPKVWAQICIQRMIELAKESTTMRRVLDPMFVYFDSGHHWVPCQGLAMLVLSDMSYFMEASGNQKLILAYVIRHLDHKNISHDPQLKSYVVQVASALASQIRSGAVLAEIGFVSDLCRHLRKSLQATAESVGEQESNINIMLQNSIEDCLLEIARGIGNVGPLFDMMALTLEKLPSGVVARATIASLMIVAHMTSLALTSSRLQQVFPESLLVQLLKVMVHPDVEVRVGAHQIFSILLIPNSNRPRHDVASLRSGFVYQSRGGHSNTESTFASITARLEKLRREKDGSKAEKHGNNCCDDFKDRDAAEEDWKQGRARKNSPNFYKISSIIDKTAGSVSLSEPEPYAMKFSEDQVAHLLSAFWIQANFSDNLPSNVEAIAHSFILVLISSHLKNPTDNLMVRVIQLLLSLRNTSLDLNNGLSPPACQRSLLVLSIGMLMFVAKIYHIPGLNDLLKSLIPYDVDPYLGISDDLQVYVKADADVSKYGSVTDNQMARSLLCDLRNKIYESDNVIVEILVQFLSNVTEMEAEDVRNQLSESFTPDDAFMFGPESMLEFDQNRMAGHSKYSLSFDGEFLTNSSVEDDATSEASVADLSRFIPRMPSSTSIAHVISIGQLMESALEVAGQVAGTSISTSPLPYNTMASQCEALGTGTRKKLSNWLAHENHQSSVRDKSFLAFPADGRTALEKIISETGPTQGAALPQDPWLAVRLPPASPFDNFLKAAGC >ONI04274 pep chromosome:Prunus_persica_NCBIv2:G6:27995588:28003926:1 gene:PRUPE_6G312700 transcript:ONI04274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIISRKLFPACESMCICCPAMRSRSRQPVKRYKKLLAEIFPKSPDGPPNERKIVKLCEYAAKNPFRIPKIAKYLEDRCYKELRLEHVKFINIVAEAYNKLLCLCKEQMAYFAVSLLSVVTELLDNPKQDPLRILGCQTLTRFIFSQTDGTYTHTIESLVHRVCKLARESGEDHQKRCLRASSLQCLSAMVQFMAEFSYIFVDFDEIVHVTLDNYEPDTHIEDDERGEPHHNWVDEVVRSEGRVGVVGADASPSCKIIRPRPEKKDPSLLTREEIETPKVWAQICIQRMIELAKESTTMRRVLDPMFVYFDSGHHWVPCQGLAMLVLSDMSYFMEASGNQKLILAYVIRHLDHKNISHDPQLKSYVVQVASALASQIRSGAVLAEIGFVSDLCRHLRKSLQATAESVGEQESNINIMLQNSIEDCLLEIARGIGNVGPLFDMMALTLEKLPSGVVARATIASLMIVAHMTSLALTSSRLQQVFPESLLVQLLKVMVHPDVEVRVGAHQIFSILLIPNSNRPRHDVASLRSGFVYQSRGGHSNTESTFASITARLEKLRREKDGSKAEKHGNNCCDDFKDRDAAEEDWKQGRARKNSPNFYKISSIIDKTAGSVSLSEPEPYAMKFSEDQVAHLLSAFWIQANFSDNLPSNVEAIAHSFILVLISSHLKNPTDNLMVRVIQLLLSLRNTSLDLNNGLSPPACQRSLLVLSIGMLMFVAKIYHIPGLNDLLKSLIPYDVDPYLGISDDLQVYVKADADVSKYGSVTDNQMARSLLCDLRNKIYESDNVIVEILVQFLSNVTEMEAEDVRNQLSESFTPDDAFMFGPESMLEFDQNRMAGHSKYSLSFDGEFLTNSSVEDDATSEASVADLSRFIPRMPSSTSIAHVISIGQLMESALEVAGQVAGTSISTSPLPYNTMASQCEALGTGTRKKLSNWLAHENHQSSVRDKSFLAFPADGRTALEKIISETGPTQGAALPQDPWLAVRLPPASPFDNFLKAAGC >ONI04273 pep chromosome:Prunus_persica_NCBIv2:G6:27995880:28003921:1 gene:PRUPE_6G312700 transcript:ONI04273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWMQFLCVFGSCLHQCSHKFSSLMCANNQGKKAVYEMGIISRKLFPACESMCICCPAMRSRSRQPVKRYKKLLAEIFPKSPDGPPNERKIVKLCEYAAKNPFRIPKIAKYLEDRCYKELRLEHVKFINIVAEAYNKLLCLCKEQMAYFAVSLLSVVTELLDNPKQDPLRILGCQTLTRFIFSQTDGTYTHTIESLVHRVCKLARESGEDHQKRCLRASSLQCLSAMVQFMAEFSYIFVDFDEIVHVTLDNYEPDTHIEDDERGEPHHNWVDEVVRSEGRVGVVGADASPSCKIIRPRPEKKDPSLLTREEIETPKVWAQICIQRMIELAKESTTMRRVLDPMFVYFDSGHHWVPCQGLAMLVLSDMSYFMEASGNQKLILAYVIRHLDHKNISHDPQLKSYVVQVASALASQIRSGAVLAEIGFVSDLCRHLRKSLQATAESVGEQESNINIMLQNSIEDCLLEIARGIGNVGPLFDMMALTLEKLPSGVVARATIASLMIVAHMTSLALTSSRLQQVFPESLLVQLLKVMVHPDVEVRVGAHQIFSILLIPNSNRPRHDVASLRSGFVYQSRGGHSNTESTFASITARLEKLRREKDGSKAEKHGNNCCDDFKDRDAAEEDWKQGRARKNSPNFYKISSIIDKTAGSVSLSEPEPYAMKFSEDQVAHLLSAFWIQANFSDNLPSNVEAIAHSFILVLISSHLKNPTDNLMVRVIQLLLSLRNTSLDLNNGLSPPACQRSLLVLSIGMLMFVAKIYHIPGLNDLLKSLIPYDVDPYLGISDDLQVYVKADADVSKYGSVTDNQMARSLLCDLRNKIYESDNVIVEILVQFLSNVTEMEAEDVRNQLSESFTPDDAFMFGPESMLEFDQNRMAGHSKYSLSFDGEFLTNSSVEDDATSEASVADLSRFIPRMPSSTSIAHVISIGQLMESALEVAGQVAGTSISTSPLPYNTMASQCEALGTGTRKKLSNWLAHENHQSSVRDKSFLAFPADGRTALEKIISETGPTQGAALPQDPWLAVRLPPASPFDNFLKAAGC >ONI01965 pep chromosome:Prunus_persica_NCBIv2:G6:17489875:17492352:-1 gene:PRUPE_6G169400 transcript:ONI01965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLSFMRLTVLMLRCFYVSAAPKTEGLAPAISLTDNALKHFNKMRSERGEDLCLRIGVKQGGCSGMSYTMDFESRANARPDDSVIEYNGFVMVCDPKSLLFLYGMQLDYSDALIGGGFSFKNPNATQTCGCGKSFAAEM >ONI01964 pep chromosome:Prunus_persica_NCBIv2:G6:17489787:17493174:-1 gene:PRUPE_6G169400 transcript:ONI01964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAITTHCPSLLRPPTNLPVTFSPPNSISFRFSKNNLSRRKPLSIRSTSTSAAPKTEGLAPAISLTDNALKHFNKMRSERGEDLCLRIGVKQGGCSGMSYTMDFESRANARPDDSVIEYNGFVMVCDPKSLLFLYGMQLDYSDALIGGGFSFKNPNATQTCGCGKSFAAEM >ONI01710 pep chromosome:Prunus_persica_NCBIv2:G6:13562287:13569138:1 gene:PRUPE_6G155300 transcript:ONI01710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHCAIIDLSNNMLTGNLSPIRRWGNYIEVIQLSSNSLTGSLPNETSQFFRLTSFKISNNSLEGALPPVLGTYPELKVIDLSLNRLQGFLLPSFFSSTKLTDLNLSGNNFSGSIPVQEISSHPSNSSTQNLSLVFIDLSNNSLSGHLPTEISEFHSLVYLNLSKNNFDGIIPEDFPDQLKGFNVSFNHLSGVVPENLRQFPDSAFYPGNSLLKFPHSLSSPKGVLNNTSREHRPLKKAAIRISLIAGLVGGAAVLVLSCMMIYYRAHWQECTSSKENTGKKAVEQGDSALSHRSVPEKSVDCSKSSQDLSPSSQTRSPHDASDTSSVLKKPKNLGLPESTKKEEGTSAPMSLLSSSNLSPSKNQQPLESPDVLKTCSPDKLAGDLHLFDGSLVFTAEELSCAPAEAIGRSCHGTMYKAMLDSGHVLAVKWLREGIAKGRKEFAREVKKLGNIRHPNLVSLLGYYWGPKEHEKLIISTYINAQSLAFHLHEVEPRKLSPLSLEERLKISVDVARCLNFLHNEKAIPHGNLKSTNILLETPSLNAILTDYSLHRILTPAGTTEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILLELLTGKSSGEIVSGIPGVVDLTDWVRLLAEENRSFECIDRVILEKRSVKHSPRVLDGMLQVALRCIQPASERPDIKTVFEEISGIVN >ONI01708 pep chromosome:Prunus_persica_NCBIv2:G6:13562287:13569138:1 gene:PRUPE_6G155300 transcript:ONI01708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTISLILFLLLVVIASGQSDLVALLELRKGIQKDPTGKVLVSWDSKSVDSDGCPLNWVGIACSNGRVTSITVNDAGLVGEFSFSAITGLKMLRNLSVSNNQLTGTISKVGLFESLEYLDLSCNLFHGLIPSALVNLKSLVLLNLSSNQFKGIIPTGLGKLEQLRYIDARANGFFGDIMNFLPKMGSLVHVDLSSNLFSGSLDLGRGNSPLVSSIQYLNVSHNSLVGELFPHDGMPYFDSLETFDASYNQLVGPIPSFNFVFSLRTLRLGSNQLSGSLPEALFQESSMLLSELDLSLNKLEGPVRSITSATLKKLNISSNKLSGSLPAMVGHCAIIDLSNNMLTGNLSPIRRWGNYIEVIQLSSNSLTGSLPNETSQFFRLTSFKISNNSLEGALPPVLGTYPELKVIDLSLNRLQGFLLPSFFSSTKLTDLNLSGNNFSGSIPVQEISSHPSNSSTQNLSLVFIDLSNNSLSGHLPTEISEFHSLVYLNLSKNNFDGIIPEDFPDQLKGFNVSFNHLSGVVPENLRQFPDSAFYPGNSLLKFPHSLSSPKGVLNNTSREHRPLKKAAIRISLIAGLVGGAAVLVLSCMMIYYRAHWQECTSSKENTGKKAVEQGDSALSHRSVPEKSVDCSKSSQDLSPSSQTRSPHDASDTSSVLKKPKNLGLPESTKKEEGTSAPMSLLSSSNLSPSKNQQPLESPDVLKTCSPDKLAGDLHLFDGSLVFTAEELSCAPAEAIGRSCHGTMYKAMLDSGHVLAVKWLREGIAKGRKEFAREVKKLGNIRHPNLVSLLGYYWGPKEHEKLIISTYINAQSLAFHLHEVEPRKLSPLSLEERLKISVDVARCLNFLHNEKAIPHGNLKSTNILLETPSLNAILTDYSLHRILTPAGTTEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILLELLTGKSSGEIVSGIPGVVDLTDWVRLLAEENRSFECIDRVILEKRSVKHSPRVLDGMLQVALRCIQPASERPDIKTVFEEISGIVN >ONI01709 pep chromosome:Prunus_persica_NCBIv2:G6:13562287:13569138:1 gene:PRUPE_6G155300 transcript:ONI01709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNLSVSNNQLTGTISKVGLFESLEYLDLSCNLFHGLIPSALVNLKSLVLLNLSSNQFKGIIPTGLGKLEQLRYIDARANGFFGDIMNFLPKMGSLVHVDLSSNLFSGSLDLGRGNSPLVSSIQYLNVSHNSLVGELFPHDGMPYFDSLETFDASYNQLVGPIPSFNFVFSLRTLRLGSNQLSGSLPEALFQESSMLLSELDLSLNKLEGPVRSITSATLKKLNISSNKLSGSLPAMVGHCAIIDLSNNMLTGNLSPIRRWGNYIEVIQLSSNSLTGSLPNETSQFFRLTSFKISNNSLEGALPPVLGTYPELKVIDLSLNRLQGFLLPSFFSSTKLTDLNLSGNNFSGSIPVQEISSHPSNSSTQNLSLVFIDLSNNSLSGHLPTEISEFHSLVYLNLSKNNFDGIIPEDFPDQLKGFNVSFNHLSGVVPENLRQFPDSAFYPGNSLLKFPHSLSSPKGVLNNTSREHRPLKKAAIRISLIAGLVGGAAVLVLSCMMIYYRAHWQECTSSKENTGKKAVEQGDSALSHRSVPEKSVDCSKSSQDLSPSSQTRSPHDASDTSSVLKKPKNLGLPESTKKEEGTSAPMSLLSSSNLSPSKNQQPLESPDVLKTCSPDKLAGDLHLFDGSLVFTAEELSCAPAEAIGRSCHGTMYKAMLDSGHVLAVKWLREGIAKGRKEFAREVKKLGNIRHPNLVSLLGYYWGPKEHEKLIISTYINAQSLAFHLHEVEPRKLSPLSLEERLKISVDVARCLNFLHNEKAIPHGNLKSTNILLETPSLNAILTDYSLHRILTPAGTTEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILLELLTGKSSGEIVSGIPGVVDLTDWVRLLAEENRSFECIDRVILEKRSVKHSPRVLDGMLQVALRCIQPASERPDIKTVFEEISGIVN >ONI03413 pep chromosome:Prunus_persica_NCBIv2:G6:24827370:24836819:-1 gene:PRUPE_6G255500 transcript:ONI03413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSPPEITPLRPRDRIIRRLALLGVPEEFLDQFQRGLVAFVKKNKQRIPELVSAILPADEEVEEVLNEAKPGSKKQSAAVTMKNRFRESMVWLQWLMFEGEPSSALKNLSKMSVGQRGVCGAVWGQNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSVIYTGGGCCDCGDVTAWKREGFCSKHKGTEQIQPLPEEFANIVGPVLDCVFVSWKNKLLLAETTYRETPRASDHVTERKKVANELTFVVVEMLLDFCKYSESLLSFVSKMILSSGDLLGILVRAERFLTEAVVKKLHELLLKLLGEPIFKYEFAKVFLCYYPAVVSEARMEFSDISFKKYPLLSVFSVQIFTVPTLTPRLVKEMNLLPMLMGCLQDIFVSCAGDDGRLQVTKWLNLYEITVRVIEDIRFVMSHAVVPKYVTHDKQDISRSWMRLLTFVQGMNPQKRETGIRIEEENESMHLPFVLGHSIANIHSLLVDGAFSVASDKMDEGLQDMDGRDSLRHAKVGRLSPESSVCSAVGRSSSFACASKVSEDKSDALSDLLIPPSVMWLTYECLRAIENWLGVDNTSRAFLDASSPSTSNFSGSNFSALKKTLSKIRRGNIFGRLASSSEDHGKQCSSHLHSDCNMSVDFQNGKGAGQETKLMVPDEIDSVNACSPAGLDDSAMEVDGAMDLDALRVLSSSDWPDITYDISSQDISVHIPLHRLLSLLLQKALRRCFGEVPDLASATSANSSSAILTDFFGNFLGGCHPYGFSAFVMEHPLRIKVFCAEVHAGIWRKNGDAALLSCEWYRSVRWSEQGLELDLFLLQCCAALAPADLYVNRIVKRFGLSSYLSLNLERSSEYEAVLVQEMLTLIIQIVKERRFCGLTKAESLKRELIHKLAIADATHSQLVKSLPRDLSKFDQLPEILDTVAAYSNPSGFNQGTYSLRWTFWKEMDLFYPRWNSRDLQAAEERYLRFRSVSALTTQLPRWTEIYPPFKGVARIATSKAVLQIIRAVLFYAIFSDKSIDSRAPDGVLLTALHVLSLALDICFQHKESGDQSCYDGDVIPILAFAGEEIYEGPHFGAGQQSLLSLLVILMRMHKKENLDNCLEAGSDLSSLIGSLLKKFAEIDSGCMTKLQLLAPEVIGHVLQSSPNGDTYTSGSISDSEKRKAKARERQAAILEKMRAEQLKFMASVNSTVDDASKCEQEVCNPDVEDDSEESAEVVCSLCHDPNSRNPISYLVLLQKSRLLNFMDRGPLSWEQPRWINKEHMSIIKGEVTDQSETSSSSGGSGVVPSYPLKQLVQDAITKFACHGQPRDVEALLDFFKGRFHELKNIQVPRELNDESEKTLCTFETMEDAMYLSIQKELHDKMLHSKLTEDKGFSTPEGDQEKTEHAEFMLLGKYTAALSRETTENPSSSESPNEKVPIDSSRLSAYDGFGPIDCDGIYLSSCGHAVHQGCLDRYLSSLKERYLRRIVFEGGHIVDPDKGEFLCPVCRRLANSVLPALPGLFEKVSKESLHSGVSSSHATGPLVKSGGEINSLQLQQGLALVQSAAKASGKVGNLKGFPLQRCGRMTSNLEISRLLCKMYFPTKQDKLSGSARVSHPMLMWDTIKYSLLSIEIAARSGGKYATPSYDLNALYKELESSSRFVLSLLLKVVQSKSKNSLHVLQRFIGIQSFAESICFGVSIDHGSETCGQGAMLRILEHVDMAVSYPDIQFWNRASDPVLARDPFSSLMWVLFCLPNRFLSCEDSLLSLVHLFYVVSVVQGIIAYLGKNQCDMSKLGVDDCLVTDVSKLMGESGCPQQYFVSNYVGSSCNSNIKNIVRSLSFPYLRRCALLLNLLNYNAQAPFFERYNVLDRSHDIGDMMDTTYVALVELNEVQEIERMFKIPTLDVILKDKVVRSMVQKWFRHFCKEFEVQRFRGSIHCNPAVPFQLMRVPRVYQDLLQRYIKQRCPDCKSILEDPALCLLCGRLCSPSWKSCCRESGCQTHALACGSGTGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEKCLRKK >ONI03411 pep chromosome:Prunus_persica_NCBIv2:G6:24825553:24836827:-1 gene:PRUPE_6G255500 transcript:ONI03411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSPPEITPLRPRDRIIRRLALLGVPEEFLDQFQRGLVAFVKKNKQRIPELVSAILPADEEVEEVLNEAKPGSKKQSAAVTMKNRFRESMVWLQWLMFEGEPSSALKNLSKMSVGQRGVCGAVWGQNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSVIYTGGGCCDCGDVTAWKREGFCSKHKGTEQIQPLPEEFANIVGPVLDCVFVSWKNKLLLAETTYRETPRASDHVTERKKVANELTFVVVEMLLDFCKYSESLLSFVSKMILSSGDLLGILVRAERFLTEAVVKKLHELLLKLLGEPIFKYEFAKVFLCYYPAVVSEARMEFSDISFKKYPLLSVFSVQIFTVPTLTPRLVKEMNLLPMLMGCLQDIFVSCAGDDGRLQVTKWLNLYEITVRVIEDIRFVMSHAVVPKYVTHDKQDISRSWMRLLTFVQGMNPQKRETGIRIEEENESMHLPFVLGHSIANIHSLLVDGAFSVASDKMDEGLQDMDGRDSLRHAKVGRLSPESSVCSAVGRSSSFACASKVSEDKSDALSDLLIPPSVMWLTYECLRAIENWLGVDNTSRAFLDASSPSTSNFSGSNFSALKKTLSKIRRGNIFGRLASSSEDHGKQCSSHLHSDCNMSVDFQNGKGAGQETKLMVPDEIDSVNACSPAGLDDSAMEVDGAMDLDALRVLSSSDWPDITYDISSQDISVHIPLHRLLSLLLQKALRRCFGEVPDLASATSANSSSAILTDFFGNFLGGCHPYGFSAFVMEHPLRIKVFCAEVHAGIWRKNGDAALLSCEWYRSVRWSEQGLELDLFLLQCCAALAPADLYVNRIVKRFGLSSYLSLNLERSSEYEAVLVQEMLTLIIQIVKERRFCGLTKAESLKRELIHKLAIADATHSQLVKSLPRDLSKFDQLPEILDTVAAYSNPSGFNQGTYSLRWTFWKEMDLFYPRWNSRDLQAAEERYLRFRSVSALTTQLPRWTEIYPPFKGVARIATSKAVLQIIRAVLFYAIFSDKSIDSRAPDGVLLTALHVLSLALDICFQHKESGDQSCYDGDVIPILAFAGEEIYEGPHFGAGQQSLLSLLVILMRMHKKENLDNCLEAGSDLSSLIGSLLKKFAEIDSGCMTKLQLLAPEVIGHVLQSSPNGDTYTSGSISDSEKRKAKARERQAAILEKMRAEQLKFMASVNSTVDDASKCEQEVCNPDVEDDSEESAEVVCSLCHDPNSRNPISYLVLLQKSRLLNFMDRGPLSWEQPRWINKEHMSIIKGEVTDQSETSSSSGGSGVVPSYPLKQLVQDAITKFACHGQPRDVEALLDFFKGRFHELKNIQVPRELNDESEKTLCTFETMEDAMYLSIQKELHDKMLHSKLTEDKGFSTPEGDQEKTEHAEFMLLGKYTAALSRETTENPSSSESPNEKVPIDSSRLSAYDGFGPIDCDGIYLSSCGHAVHQGCLDRYLSSLKERYLRRIVFEGGHIVDPDKGEFLCPVCRRLANSVLPALPGLFEKVSKESLHSGVSSSHATGPLVKSGGEINSLQLQQGLALVQSAAKASGKVGNLKGFPLQRCGRMTSNLEISRLLCKMYFPTKQDKLSGSARVSHPMLMWDTIKYSLLSIEIAARSGGKYATPSYDLNALYKELESSSRFVLSLLLKVVQSKSKNSLHVLQRFIGIQSFAESICFGVSIDHGSETCGQGAMLRILEHVDMAVSYPDIQFWNRASDPVLARDPFSSLMWVLFCLPNRFLSCEDSLLSLVHLFYVVSVVQGIIAYLGKNQCDMSKLGVDDCLVTDVSKLMGESGCPQQYFVSNYVGSSCNSNIKNIVRSLSFPYLRRCALLLNLLNYNAQAPFFERYNVLDRSHDIGDMMDTTYVALVELNEVQEIERMFKIPTLDVILKDKVVRSMVQKWFRHFCKEFEVQRFRGSIHCNPAVPFQLMRVPRVYQDLLQRYIKQRCPDCKSILEDPALCLLCGRLCSPSWKSCCRESGCQTHALACGSGTGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEDVEMQRGKPLYLNDERYAALTYLVASHGLDQSSKVLGQTTIGSFFMV >ONI03412 pep chromosome:Prunus_persica_NCBIv2:G6:24825909:24836819:-1 gene:PRUPE_6G255500 transcript:ONI03412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSPPEITPLRPRDRIIRRLALLGVPEEFLDQFQRGLVAFVKKNKQRIPELVSAILPADEEVEEVLNEAKPGSKKQSAAVTMKNRFRESMVWLQWLMFEGEPSSALKNLSKMSVGQRGVCGAVWGQNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSVIYTGGGCCDCGDVTAWKREGFCSKHKGTEQIQPLPEEFANIVGPVLDCVFVSWKNKLLLAETTYRETPRASDHVTERKKVANELTFVVVEMLLDFCKYSESLLSFVSKMILSSGDLLGILVRAERFLTEAVVKKLHELLLKLLGEPIFKYEFAKVFLCYYPAVVSEARMEFSDISFKKYPLLSVFSVQIFTVPTLTPRLVKEMNLLPMLMGCLQDIFVSCAGDDGRLQVTKWLNLYEITVRVIEDIRFVMSHAVVPKYVTHDKQDISRSWMRLLTFVQGMNPQKRETGIRIEEENESMHLPFVLGHSIANIHSLLVDGAFSVASDKMDEGLQDMDGRDSLRHAKVGRLSPESSVCSAVGRSSSFACASKVSEDKSDALSDLLIPPSVMWLTYECLRAIENWLGVDNTSRAFLDASSPSTSNFSGSNFSALKKTLSKIRRGNIFGRLASSSEDHGKQCSSHLHSDCNMSVDFQNGKGAGQETKLMVPDEIDSVNACSPAGLDDSAMEVDGAMDLDALRVLSSSDWPDITYDISSQDISVHIPLHRLLSLLLQKALRRCFGEVPDLASATSANSSSAILTDFFGNFLGGCHPYGFSAFVMEHPLRIKVFCAEVHAGIWRKNGDAALLSCEWYRSVRWSEQGLELDLFLLQCCAALAPADLYVNRIVKRFGLSSYLSLNLERSSEYEAVLVQEMLTLIIQIVKERRFCGLTKAESLKRELIHKLAIADATHSQLVKSLPRDLSKFDQLPEILDTVAAYSNPSGFNQGTYSLRWTFWKEMDLFYPRWNSRDLQAAEERYLRFRSVSALTTQLPRWTEIYPPFKGVARIATSKAVLQIIRAVLFYAIFSDKSIDSRAPDGVLLTALHVLSLALDICFQHKESGDQSCYDGDVIPILAFAGEEIYEGPHFGAGQQSLLSLLVILMRMHKKENLDNCLEAGSDLSSLIGSLLKKFAEIDSGCMTKLQLLAPEVIGHVLQSSPNGDTYTSGSISDSEKRKAKARERQAAILEKMRAEQLKFMASVNSTVDDASKCEQEVCNPDVEDDSEESAEVVCSLCHDPNSRNPISYLVLLQKSRLLNFMDRGPLSWEQPRWINKEHMSIIKGEVTDQSETSSSSGGSGVVPSYPLKQLVQDAITKFACHGQPRDVEALLDFFKGRFHELKNIQVPRELNDESEKTLCTFETMEDAMYLSIQKELHDKMLHSKLTEDKGFSTPEGDQEKTEHAEFMLLGKYTAALSRETTENPSSSESPNEKVPIDSSRLSAYDGFGPIDCDGIYLSSCGHAVHQGCLDRYLSSLKERYLRRIVFEGGHIVDPDKGEFLCPVCRRLANSVLPALPGLFEKVSKESLHSGVSSSHATGPLVKSGGEINSLQLQQGLALVQSAAKASGKVGNLKGFPLQRCGRMTSNLEISRLLCKMYFPTKQDKLSGSARVSHPMLMWDTIKYSLLSIEIAARSGGKYATPSYDLNALYKELESSSRFVLSLLLKVVQSKSKNSLHVLQRFIGIQSFAESICFGVSIDHGSETCGQGAMLRILEHVDMAVSYPDIQFWNRASDPVLARDPFSSLMWVLFCLPNRFLSCEDSLLSLVHLFYVVSVVQGIIAYLGKNQCDMSKLGVDDCLVTDVSKLMGESGCPQQYFVSNYVGSSCNSNIKNIVRSLSFPYLRRCALLLNLLNYNAQAPFFERYNVLDRSHDIGDMMDTTYVALVELNEVQEIERMFKIPTLDVILKDKVVRSMVQKWFRHFCKEFEVQRFRGSIHCNPAVPFQLMRVPRVYQDLLQRYIKQRCPDCKSILEDPALCLLCGRLCSPSWKSCCRESGCQTHALACGSGTGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEDVEMQRGKPLYLNDERYAALTYLVASHGLDQSSKVLGQTTIGSFFMV >ONI03398 pep chromosome:Prunus_persica_NCBIv2:G6:24774665:24777688:-1 gene:PRUPE_6G254600 transcript:ONI03398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVAKAGRCFCTAGEISQRHDNSVGVPDPLDEGFGHSFCYFRPDSETSSSKVSSEDPNQPTTTFRSISGASVSANTFTPLSTSLCDLYPYSAGCDRAARFESSTSFASIPLQPVPRKSYQGVASSGPIERGFLSGPIERGYLSGPIDRGLYSGPIEKECERLERSLSFGIETKPKRSLMKIIRKAISSAVSRGQKSSVAPVKGGVLVKESDSEKMGGGNATPSSTNLSSHVSLSNENEDCSGDYSMGSQNLHWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYSAVHKELKGLLWNDKFEMEMETESSAVKSSNSYLDSGSVKEIDPPQMSNRMVHGVHGENYALENGDINADANSKRKQSNGLGNKLEETQRRWKCEWDRERPELDRKLKENLKRPVSNGVASPDPKDVLKALSEALKKTEDTFLDTADKMVTENPELALMGSCVLVMLMKGDDVYLMNVGDSRAVLARKAEPNMRRNLERISEEPSDDLDSSNADESYSLNNLTALQLTMDHSTYVKESERLFEGHSGLRSWLSQTAKME >ONI03397 pep chromosome:Prunus_persica_NCBIv2:G6:24774666:24777688:-1 gene:PRUPE_6G254600 transcript:ONI03397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVAKAGRCFCTAGEISQRHDNSVGVPDPLDEGFGHSFCYFRPDSETSSSKVSSEDPNQPTTTFRSISGASVSANTFTPLSTSLCDLYPYSAGCDRAARFESSTSFASIPLQPVPRKSYQGVASSGPIERGFLSGPIERGYLSGPIDRGLYSGPIEKECERLERSLSFGIETKPKRSLMKIIRKAISSAVSRGQKSSVAPVKGGVLVKESDSEKMGGGNATPSSTNLSSHVSLSNENEDCSGDYSMGSQNLHWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYSAVHKELKGLLWNDKFEMEMETESSAVKSSNSYLDSGSVKEIDPPQMSNRMVHGVHGENYALENGDINADANSKRKQSNGLGNKLEETQRRWKCEWDRERPELDRKLKENLKRPVSNGVASPDPKDVLKALSEALKKTEDTFLDTADKMVTENPELALMGSCVLVMLMKGDDVYLMNVGDSRAVLARKAEPNMRRNLERISEEPSDDLDSSNADESYSLNNLTALQLTMDHSTYVKEEVQRIKNEHPDDASAIMNDRVKGYLKVTRAFGAGFLKQPKWNDALLEMFRLNYVGTAPYLTCIPSVYHHKLSRRDRLLILSSDGLYQYFTNEEAVSEVELFISSFPEGDPAQHLIEEVLFRAAKKAGMAFHELLDIPQGERRRYHDDVSIIVISLEGRIWRSSV >ONI03399 pep chromosome:Prunus_persica_NCBIv2:G6:24775743:24777482:-1 gene:PRUPE_6G254600 transcript:ONI03399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVAKAGRCFCTAGEISQRHDNSVGVPDPLDEGFGHSFCYFRPDSETSSSKVSSEDPNQPTTTFRSISGASVSANTFTPLSTSLCDLYPYSAGCDRAARFESSTSFASIPLQPVPRKSYQGVASSGPIERGFLSGPIERGYLSGPIDRGLYSGPIEKECERLERSLSFGIETKPKRSLMKIIRKAISSAVSRGQKSSVAPVKGGVLVKESDSEKMGGGNATPSSTNLSSHVSLSNENEDCSGDYSMGSQNLHWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYSAVHKELKGLLWNDKFEMEMETESSAVKSSNSYLDSGSVKEIDPPQMSNRMVHGVHGENYALENGDINADANSKRKQSNGLGNKLEETQRRWKCEWDRERPELDRKLKENLKRPVSNGVASPDPKDVLKALSEALKKTEDTFLDTADKMVTENPELALMGSCVLVMLMKGDDVYLMNVGDSRAVLARKAEPNMRRNLERISEEPSDDLDSSNADESYSLNNLTALQLTMDHSTYVKETQVLQISGSSKNKE >ONI02185 pep chromosome:Prunus_persica_NCBIv2:G6:18860888:18862349:1 gene:PRUPE_6G181900 transcript:ONI02185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARPRHRHSDSVDASLDSIEAKKAMAPDKLVELWTVDPKRAKRILANRQSAARSKERKARTYQASWLALASCSLLEI >ONI03585 pep chromosome:Prunus_persica_NCBIv2:G6:25522786:25523655:-1 gene:PRUPE_6G267200 transcript:ONI03585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHLSSPSLKQYNLVVAKTFGRGNKNQFLPGDRRIKLRTERPTSSIQASGLRLLRPRNVKGDDSKDNASGESILLDVQTLERDLDIAIAEENYAKAAQIRDSLKLLRQDSTTSVLAANAQFYESFRIGDLAAMQALWANRKEVCCVHPGARGIYGYEDVMTSWDYVWANYEFPLEIELKDVNVRVIGDVGYVSCVELVKTKGSSWGGQFATNVFERIDGKWFICIHHASVLEL >ONI03584 pep chromosome:Prunus_persica_NCBIv2:G6:25522559:25524114:-1 gene:PRUPE_6G267200 transcript:ONI03584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHLSSPSLKQYNLVVAKTFGRGNKNQFLPGDRRIKLRTERPTSSIQASGLRLLRPRNVKGDDSKDNASGESILLDVQTLERDLDIAIAEENYAKAAQIRDSLKLLRQDSTTSVLAANAQFYESFRIGDLAAMQALWANRKEVCCVHPGARGIYGYEDVMTSWDYVWANYEFPLEIELKDVNVRVIGDVGYVSCVELVKTKGSSWGGQFATNVFERIDGKWFICIHHASVLEL >ONI03586 pep chromosome:Prunus_persica_NCBIv2:G6:25522559:25523959:-1 gene:PRUPE_6G267200 transcript:ONI03586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHLSSPSLKQYNLVVAKTFGRGNKNQFLPGDRRIKLRTERPTSSIQASGLRLLRPRNVKGDDSKDNASGESILLDVQTLERDLDIAIAEENYAKAAQIRDSLKLLRQDSTTSVLAANAQFYESFRIGDLAAMQALWANRKEVCCVHPGARGIYGYEDVMTSWDYVWANYEFPLEIELKDVNVRVIGDVGYVSCVELVKTKGSSWGGQFATNVFERIDGKWFICIHHASVLEL >ONI03583 pep chromosome:Prunus_persica_NCBIv2:G6:25522559:25524116:-1 gene:PRUPE_6G267200 transcript:ONI03583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHGSSYCLNGNGSFREFYSLPCSCNYSFGMLHHLSSPSLKQYNLVVAKTFGRGNKNQFLPGDRRIKLRTERPTSSIQASGLRLLRPRNVKGDDSKDNASGESILLDVQTLERDLDIAIAEENYAKAAQIRDSLKLLRQDSTTSVLAANAQFYESFRIGDLAAMQALWANRKEVCCVHPGARGIYGYEDVMTSWDYVWANYEFPLEIELKDVNVRVIGDVGYVSCVELVKTKGSSWGGQFATNVFERIDGKWFICIHHASVLEL >ONI05289 pep chromosome:Prunus_persica_NCBIv2:G6:30715317:30727521:1 gene:PRUPE_6G366000 transcript:ONI05289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSTREQITLQDTMEGVVYSTSQFGLDERFGDGDTSQIGLDFDEDLFLGKVAAQGTDGISGGDPQALVQSTTPLEKHEIYEGIPGTSEVMQMNGIGNENEVLAASTENVTYAQAPSTPGLFEEPNLSGVQEPMACNDQLDLEDHNLSNLAAIRSPENICSVPGPRCGDDSTMVLNEENGYQLGDMEIKQAKPQEHEQIKPISPVLECANGTVGALDCPNRVEDIYNGIVINNEPAMLFLDQKDAQSVEPAVVRLDEPVASPSCSQVTYELEDPARKICSNSSCARVSEDYLEDQQTSLKPEIQNDVEIANNIGESCTLNIKDSFNPLTHEEMSSAEVPVLRACNSVPNHHNMLSPANISEIPGNLPSEVVGPSSLDNATSFDNQFENLDRSATYDLPAPEKLLSVPEGFTSKPSDFLMESTPDKEIIGGDAGDDTGIKLISGKKRSSTESTMTVQSLNSVESFGEARGKRTAESIPDDDDLLSSILVGRRSSVLKMKPTPLAPDIICSKRSRTAVRSTASKRKVLMDDTMVLHGDTIRQQLTNTEDIRRVRKKAPCTRPEILMIQRQFLEDDIFTVPVLTGMSVELIFLHTETFDLSRTRVSENDQDGASAELLKDVEAYARPNVSKEIEPVRSTEPVIVTDDLEAQPAGVPIENENQEAEDHNRISQDTDAQEQGITDLEEFNTCKHQPSGEIAEMEIEKEIFEVADPVLGDVNNVSTDVNVQSRLLDKKTDDEDASLQMDALCMSPVKKLDAQPMEVDASMVDASIQKGADAIDAFEHTVEIRADVQIRFSDLTDNINATLATVSLETEECKNLSFSNDQPVEEIGNNEQHMGNETEVLDANFGCDDKDPKSSCLLGDGDNIAPLNGKESLGSPEADLQSGIEAEVTAEHPAEDFGHFEDVVLGNDTEFLNVDDDDIAEDVDDMPCAEDTRLLENSGWSSRTRAVAKYLQTLFDKEAVNGKRVLGMDSLLNGKTRKEASRMFFETLVLKTRDYIHVEQAKPFDNVNIRSRVKLMKSDF >ONI05290 pep chromosome:Prunus_persica_NCBIv2:G6:30715317:30727521:1 gene:PRUPE_6G366000 transcript:ONI05290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSTREQITLQDTMEGVVYSTSQFGLDERFGDGDTSQIGLDFDEDLFLGKVAAQGTDGISGGDPQALVQSTTPLEKHEIYEGIPGTSEVMQMNGIGNENEVLAASTENVTYAQAPSTPGLFEEPNLSGVQEPMACNDQLDLEDHNLSNLAAIRSPENICSVPGPRCGDDSTMVLNEENGYQLGDMEIKQAKPQEHEQIKPISPVLECANGTVGALDCPNRVEDIYNGIVINNEPAMLFLDQKDAQSVEPAVVRLDEPVASPSCSQVTYELEDPARKICSNSSCARVSEDYLEDQQTSLKPEIQNDVEIANNIGESCTLNIKDSFNPLTHEEMSSAEVPVLRACNSVPNHHNMLSPANISEIPGNLPSEVVGPSSLDNATSFDNQFENLDRSATYDLPAPEKLLSVPEGFTSKPSDFLMESTPDKEIIGGDAGDDTGIKLISGKKRSSTESTMTVQSLNSVESFGEARGKRTAESIPDDDDLLSSILVGRRSSVLKMKPTPLAPDIICSKRSRTAVRSTASKRKVLMDDTMVLHGDTIRQQLTNTEDIRRVRKKAPCTRPEILMIQRQFLEDDIFTVPVLTGMSVELIFLHTETFDLSRTRVSENDQDGASAELLKDVEAYARPNMDALCMSPVKKLDAQPMEVDASMVDASIQKGADAIDAFEHTVEIRADVQIRFSDLTDNINATLATVSLETEECKNLSFSNDQPVEEIGNNEQHMGNETEVLDANFGCDDKDPKSSCLLGDGDNIAPLNGKESLGSPEADLQSGIEAEVTAEHPAEDFGHFEDVVLGNDTEFLNVDDDDIAEDVDDMPCAEDTRLLENSGWSSRTRAVAKYLQTLFDKEAVNGKRVLGMDSLLNGKTRKEASRMFFETLVLKTRDYIHVEQAKPFDNVNIRSRVKLMKSDF >ONH99852 pep chromosome:Prunus_persica_NCBIv2:G6:3766499:3767365:-1 gene:PRUPE_6G053700 transcript:ONH99852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPTDTQQQQQQPNNPLKQLFATIFSYDGNVLLAALVSLLLVILFVLLLHVYAKWFLAQAHHRRRRSSMTVSRVLGPNRFQHFHTFTLDPTSTNINSLSGSASSKGLDLKTISAIPLFVYKTEEEKEEERNYENGFLEMECVICLSPFEDNDVGRNLPKCGHCFHVECIDMWLGSHMNCPICRALIVTSADGIGMGIDTTSDQAVGEEESVVIDVLDSGYVENDDLVRVGVMRTDSEPTSSSSSSSSSSSPLTVGCSLKRMLSRNRPEISKVFPTTNGNDHSNQMDD >ONI00217 pep chromosome:Prunus_persica_NCBIv2:G6:5202193:5205004:-1 gene:PRUPE_6G075700 transcript:ONI00217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLEQSESHNKDLQKKLDHSEAQKKELRKKLEQSEAQKNELEVHKQMNEMATVEQKKVDEKMLAEELKVLELEIEQMRGALRVMKHEDEDLEAKKKMDEIQEKLKEKQEEYTNERRSNDEVEEARKELISKKYYEEEADVKAVELCSLWQEHLRDPSWHPFRIITDKEGKTKEIINEEDDKLKALKNELGDEVCEKVTTAMMELNEYNASGWYTIPELWNFEEGRKASLEEGVVFLLNKWKLLRKRKR >ONI04307 pep chromosome:Prunus_persica_NCBIv2:G6:28108310:28113489:-1 gene:PRUPE_6G315200 transcript:ONI04307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDKKRSKGGFLNLFDWNGKSRKKLFSSNSESSGLKQGKENVESFSKSGLYRVEGDESGTTSSNKASSDWHCASSVTSDEGCGNRAPGVVARLMGLDSLPTSTVPEPSSSLLFDSQSLRAPDHDRSNRNLWRDFYAMEYINVPKKLDRFSWNPVESRAQGVQSQPIERFQTEVLPPKSAKSIPVTHHKLLSPIKSPGFIPTKNAAYIMEATSKIIEASPRASSKSKGSSVGPSSIPLRIRDLKEKMEAVQKASRPERPKEAGDVKYMKGLPGDRIQNGSVNVHLPKASVNSERQSYRDGRNKGKSVSLAVQAKVNVQRKDGSSSCSNRSFMNQKEQNEMKQNQFSKSRPPSPQRAVHKKTSPDSTKSVLKQNNQKQNCVSNKDKTTSKNIVPNPPTRRMRSTNGSSRPGKTVSKVLVNSETGSGKMGSMGNFTGKEFSLSTMKKVSGKLRSVGQDVHLEEAVSDNAFISEDERSVKCNVSMDGCTSLGADNRKQAMDVVSFTFTSPLKRSISELQCSGQVMSRNNSFYIDSFGNNDQQRYPENFTLSSPGFNVIGGDALSVLLEQKLQELSCKVELSQHNPANEETTAAASSSSGLQDMASGVASTASRGKKFELGLRRDEFDSINHYGCLLSVDVNQQWKGSEGMEECSSSSITSANGKEFDYQNHSPLSAPSFESRSCTDNRNSANGRDSERCSLAQAQDQMDLFSSYETLPAYSVSELFDSASSASTGDVSGNMTRISGSHYLNRTNNWELEYVRYILSNVDLEMEDFALGDAQTVITPSLFDHLGDQEEYPKLQRKIVFDCVNESLQFRCKQFFVGSHKAWDKWAALSQRNGWLAEELFKDILGWKNMAELNVDELVDKDMSTQHGRWLDFDIETFEEGLEIEKEILNSLVDELVSDF >ONI03422 pep chromosome:Prunus_persica_NCBIv2:G6:24903405:24905415:1 gene:PRUPE_6G256100 transcript:ONI03422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDESSGVVKLLVRGRKRYRIKSYTSEPKNKTRKVLQEDVLLEILSKLPAKSVLRFRCVSKEWYGLTKSSYFIARHVLLHRSRSAQNPGLLLVSRKRISANEESVKLSLLREEEKTLPVPFSFRPPKNPQIFSRWKYFALVGSSNGLVCCVLFIQNEIKNEKYNTSTAEVEVKEAAPAPSGRTELVVVWNPATEQFRYLPPINPFEEEEKEEGKGIQGRRRVRKRWDSCKCNYPLLGFDFLPEISEYKVVRVFPAPYGGNDDDEEDDDSEKVVIFQAQVFLQSTNSWKDVEDKLEFPSFKVCLTAVSVTVNGVMYWLVEQTSAEYYLVSFNLHEEAFYLMPLPIEWRRLGREFDLEAWNNSSVAILRCTNNPENGDLERVLWVPTHDQESKEPVWVQQFRITSEVFAGVRIVGVWKDQYIFSKRLEYEDDPIEPDENLFGYDHGSDTKKLLNHGQTDWFYGGVHYVESLVPV >ONH99891 pep chromosome:Prunus_persica_NCBIv2:G6:3935915:3939020:1 gene:PRUPE_6G055900 transcript:ONH99891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQSKPMNGVNGIHNHNKQDEADFDPSAPPPFKIAEIRAAIPKHCWVKNPWRSMSYVFRDLFVIFAMASAAIYLESWYLWPVYWAAQGTMFWALFVLGHDCGHGSFSDSRTLNSVVGHILHSAILVPYNGWRISHRTHHQNHGHVENDESWVPLTEKVYSSLHESTRKFRFRVPYPIFAYPFYLWTRSPGKQGSHFNPYSDLFAPNERKDVITSTVCWTMMVALLLYLSYVVGPVQILKLYCVPYWIFIMWIDLVTYLHHHGYDQKLPWYRGKEWSYLRGGLTTVDRDYGMFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVLGKYYREPKKSGPFPVHLIDNLMTSMSNDHYVSDTGDVVYYQTDPKLFKSLKSKSS >ONH99887 pep chromosome:Prunus_persica_NCBIv2:G6:3935915:3939020:1 gene:PRUPE_6G055900 transcript:ONH99887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQSKPMNGVNGIHNHNKQDEADFDPSAPPPFKIAEIRAAIPKHCWVKNPWRSMSYVFRDLFVIFAMASAAIYLESWYLWPVYWAAQGTMFWALFVLGHDCGHGSFSDSRTLNSVVGHILHSAILVPYNGWRISHRTHHQNHGHVENDESWVPLTEKVYSSLHESTRKFRFRVPYPIFAYPFYLWTRSPGKQGSHFNPYSDLFAPNERKDVITSTVCWTMMVALLLYLSYVVGPVQILKLYCVPYWIFIMWIDLVTYLHHHGYDQKLPWYRGKEWSYLRGGLTTVDRDYGMFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVLGKYYREPKKSGPFPVHLIDNLMTSMSNDHYVSDTGDVVYYQTDPKLFKSLKSKSS >ONH99892 pep chromosome:Prunus_persica_NCBIv2:G6:3935915:3939020:1 gene:PRUPE_6G055900 transcript:ONH99892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQSKPMNGVNGIHNHNKQDEADFDPSAPPPFKIAEIRAAIPKHCWVKNPWRSMSYVFRDLFVIFAMASAAIYLESWYLWPVYWAAQGTMFWALFVLGHDCGHGSFSDSRTLNSVVGHILHSAILVPYNGWRISHRTHHQNHGHVENDESWVPLTEKVYSSLHESTRKFRFRVPYPIFAYPFYLWTRSPGKQGSHFNPYSDLFAPNERKDVITSTVCWTMMVALLLYLSYVVGPVQILKLYCVPYWIFIMWIDLVTYLHHHGYDQKLPWYRGKEWSYLRGGLTTVDRDYGMFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVLGKYYREPKKSGPFPVHLIDNLMTSMSNDHYVSDTGDVVYYQTDPKLFKSLKSKSS >ONH99889 pep chromosome:Prunus_persica_NCBIv2:G6:3935915:3939020:1 gene:PRUPE_6G055900 transcript:ONH99889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQSKPMNGVNGIHNHNKQDEADFDPSAPPPFKIAEIRAAIPKHCWVKNPWRSMSYVFRDLFVIFAMASAAIYLESWYLWPVYWAAQGTMFWALFVLGHDCGHGSFSDSRTLNSVVGHILHSAILVPYNGWRISHRTHHQNHGHVENDESWVPLTEKVYSSLHESTRKFRFRVPYPIFAYPFYLWTRSPGKQGSHFNPYSDLFAPNERKDVITSTVCWTMMVALLLYLSYVVGPVQILKLYCVPYWIFIMWIDLVTYLHHHGYDQKLPWYRGKEWSYLRGGLTTVDRDYGMFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVLGKYYREPKKSGPFPVHLIDNLMTSMSNDHYVSDTGDVVYYQTDPKLFKSLKSKSS >ONH99888 pep chromosome:Prunus_persica_NCBIv2:G6:3935915:3939020:1 gene:PRUPE_6G055900 transcript:ONH99888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQSKPMNGVNGIHNHNKQDEADFDPSAPPPFKIAEIRAAIPKHCWVKNPWRSMSYVFRDLFVIFAMASAAIYLESWYLWPVYWAAQGTMFWALFVLGHDCGHGSFSDSRTLNSVVGHILHSAILVPYNGWRISHRTHHQNHGHVENDESWVPLTEKVYSSLHESTRKFRFRVPYPIFAYPFYLWTRSPGKQGSHFNPYSDLFAPNERKDVITSTVCWTMMVALLLYLSYVVGPVQILKLYCVPYWIFIMWIDLVTYLHHHGYDQKLPWYRGKEWSYLRGGLTTVDRDYGMFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVLGKYYREPKKSGPFPVHLIDNLMTSMSNDHYVSDTGDVVYYQTDPKLFKSLKSKSS >ONH99890 pep chromosome:Prunus_persica_NCBIv2:G6:3935915:3939020:1 gene:PRUPE_6G055900 transcript:ONH99890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQSKPMNGVNGIHNHNKQDEADFDPSAPPPFKIAEIRAAIPKHCWVKNPWRSMSYVFRDLFVIFAMASAAIYLESWYLWPVYWAAQGTMFWALFVLGHDCGHGSFSDSRTLNSVVGHILHSAILVPYNGWRISHRTHHQNHGHVENDESWVPLTEKVYSSLHESTRKFRFRVPYPIFAYPFYLWTRSPGKQGSHFNPYSDLFAPNERKDVITSTVCWTMMVALLLYLSYVVGPVQILKLYCVPYWIFIMWIDLVTYLHHHGYDQKLPWYRGKEWSYLRGGLTTVDRDYGMFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVLGKYYREPKKSGPFPVHLIDNLMTSMSNDHYVSDTGDVVYYQTDPKLFKSLKSKSS >ONH99886 pep chromosome:Prunus_persica_NCBIv2:G6:3935915:3939020:1 gene:PRUPE_6G055900 transcript:ONH99886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAQSKPMNGVNGIHNHNKQDEADFDPSAPPPFKIAEIRAAIPKHCWVKNPWRSMSYVFRDLFVIFAMASAAIYLESWYLWPVYWAAQGTMFWALFVLGHDCGHGSFSDSRTLNSVVGHILHSAILVPYNGWRISHRTHHQNHGHVENDESWVPLTEKVYSSLHESTRKFRFRVPYPIFAYPFYLWTRSPGKQGSHFNPYSDLFAPNERKDVITSTVCWTMMVALLLYLSYVVGPVQILKLYCVPYWIFIMWIDLVTYLHHHGYDQKLPWYRGKEWSYLRGGLTTVDRDYGMFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVLGKYYREPKKSGPFPVHLIDNLMTSMSNDHYVSDTGDVVYYQTDPKLFKSLKSKSS >ONH99786 pep chromosome:Prunus_persica_NCBIv2:G6:3558167:3559294:1 gene:PRUPE_6G050600 transcript:ONH99786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDLRQLHRLPVLTNTTALEDRIGLQHREIQALLVDNQRLAATHVALKQDLAAAQHDLRRLSVVAGQAKAERDAEVREVYERSLKLDAEVRTIDSMGAELARTRADIQELGSVRKELVAELQSIEGEVAKTRSESKRVVDIKADIETFQQEIKKGRVAIENETKTRAKNLEHRRGMEKTMAALAREIEKLHGELANAEKRARAAVAAAAAANPGPGYPATYGDPEMVYGGNAYPDPYGMLHQ >ONH99783 pep chromosome:Prunus_persica_NCBIv2:G6:3558071:3559815:1 gene:PRUPE_6G050600 transcript:ONH99783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDLRQLHRLPVLTNTTALEDRIGLQHREIQALLVDNQRLAATHVALKQDLAAAQHDLRRLSVVAGQAKAERDAEVREVYERSLKLDAEVRTIDSMGAELARTRADIQELGSVRKELVAELQSIEGEVAKTRSESKRVVDIKADIETFQQEIKKGRVAIENETKTRAKNLEHRRGMEKTMAALAREIEKLHGELANAEKRARAAVAAAAAANPGPGYPATYGDPEMVYGGNAYPDPYGMLHQVLDGLE >ONH99785 pep chromosome:Prunus_persica_NCBIv2:G6:3558071:3559341:1 gene:PRUPE_6G050600 transcript:ONH99785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDLRQLHRLPVLTNTTALEDRIGLQHREIQALLVDNQRLAATHVALKQDLAAAQHDLRRLSVVAGQAKAERDAEVREVYERSLKLDAEVRTIDSMGAELARTRADIQELGSVRKELVAELQSIEGEVAKTRSESKRVVDIKADIETFQQEIKKGRVAIENETKTRAKNLEHRRGMEKTMAALAREIEKLHGELANAEKRARAAVAAAAAANPGPGYPATYGDPEMVYGGNAYPDPYGMLHQVCVCPWLLDAFLISLSLLCLLLLSRLKSLEKMCKNC >ONH99781 pep chromosome:Prunus_persica_NCBIv2:G6:3558071:3560635:1 gene:PRUPE_6G050600 transcript:ONH99781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDLRQLHRLPVLTNTTALEDRIGLQHREIQALLVDNQRLAATHVALKQDLAAAQHDLRRLSVVAGQAKAERDAEVREVYERSLKLDAEVRTIDSMGAELARTRADIQELGSVRKELVAELQSIEGEVAKTRSESKRVVDIKADIETFQQEIKKGRVAIENETKTRAKNLEHRRGMEKTMAALAREIEKLHGELANAEKRARAAVAAAAAANPGPGYPATYGDPEMVYGGNAYPDPYGMLHQAQGGADAATPYGSVPMPHASYGMQPRHDMG >ONH99782 pep chromosome:Prunus_persica_NCBIv2:G6:3558071:3560635:1 gene:PRUPE_6G050600 transcript:ONH99782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDLRQLHRLPVLTNTTALEDRIGLQHREIQALLVDNQRLAATHVALKQDLAAAQHDLRRLSVVAGQAKAERDAEVREVYERSLKLDAEVRTIDSMGAELARTRADIQELGSVRKELVAELQSIEGEVAKTRSESKRVVDIKADIETFQQEIKKGRVAIENETKTRAKNLEHRRGMEKTMAALAREIEKLHGELANAEKRARAAVAAAAAANPGPGYPATYGDPEMVYGGNAYPDPYGMLHQCSPSSVRGNLLHFRVSEL >ONH99784 pep chromosome:Prunus_persica_NCBIv2:G6:3558167:3559447:1 gene:PRUPE_6G050600 transcript:ONH99784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDLRQLHRLPVLTNTTALEDRIGLQHREIQALLVDNQRLAATHVALKQDLAAAQHDLRRLSVVAGQAKAERDAEVREVYERSLKLDAEVRTIDSMGAELARTRADIQELGSVRKELVAELQSIEGEVAKTRSESKRVVDIKADIETFQQEIKKGRVAIENETKTRAKNLEHRRGMEKTMAALAREIEKLHGELANAEKRARAAVAAAAAANPGPGYPATYGDPEMVYGGNAYPDPYGMLHQTK >ONI04048 pep chromosome:Prunus_persica_NCBIv2:G6:27234853:27235476:-1 gene:PRUPE_6G298900 transcript:ONI04048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAAASSAARPTGAALSKRPSSKSSFRLRSASLNSLRLRRIFDVFDQNQDGLISVPEIGQALALLGLDVEISDLHSTIKSFIQPSNDGLNFDDFLSLHQSLSDTYFAYDDEEESVIAYAAECEGKRKMSQEELDLSEAFKVFDEDGDGFISAKELQVVLAKLGFQEGNEIDRVQQMITSVDRNHDGLVDLFEFKDMMRTTVLVPSS >ONI04540 pep chromosome:Prunus_persica_NCBIv2:G6:28703239:28707419:-1 gene:PRUPE_6G327000 transcript:ONI04540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREEKGNNPEIIRESQRRRFKAVEIVDEVIQLDKEWRQRQFELENLRKEFNKINKRVAQLRIAGEDATAVIKDTEENKRLAAEKEVEVREALTQLNSKLEVIGNLVHDSVPISNDEENNAVIRSWGEKRMEPKLKNHVELVELLGIADLKKGAEVAGGRGFYLKGAGVRLNQALINFGLDFLEKRGYTELQTPFFMRKDIMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCSYHIDDWIHPSQLPLRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCITSPDGSDSWEMHEEMIKNSEDFYKSLNLPYHVVAIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRKLETRYGQKKSNEQTKKYVHLLNSTLTATERTLCCILENYQREDGVDIPEVLQPFMGGKTFLPFKNKPAPEVKGKKSKA >ONI00796 pep chromosome:Prunus_persica_NCBIv2:G6:7472317:7472691:1 gene:PRUPE_6G105500 transcript:ONI00796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYISAKLTLNDFSQGVPTTGRSVAAKVVDECDSVKGCDLEHAGLPQCGNNVVDGFVGVWNTFGLNKDLGVVNVSWIIAE >ONI03122 pep chromosome:Prunus_persica_NCBIv2:G6:23921895:23925720:1 gene:PRUPE_6G240300 transcript:ONI03122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQAVFAAAVAASTGLLAKNHIFNFKPATDSDPTTDHNAEPHPNPNPNLSIASAFQSELPPWEISDCEEQPEGTIFRFSSEGRGESGTRFRLRRGARSKKKKGVGVEDERSNGAEQRRTARKVGVCLKKRKTGKSVAAAKCGSSSSADTSLFSWGLNVGIMYMMSAGKAEINKLNTAMDETARIVHELKSELHKRKSPQKLQASGSASEDSMNDQTTNYKLTHPGLNKSSSENRGPNDMRISSFPVSDGECASSVLTEEQEPGPEVMDMDQLEAELESELQKLPWCITEAPRQEGLSNLGEDIVPELEGQGVDTQQFHGVLPAQLDQKLCHVLIEQQESQIVELESELHSAQSKLQEKETELQSLKDCVRRLTELSLSNVSDDETEARNGQEQATDWNYNMQRSESTKPTVGMKRPY >ONH99034 pep chromosome:Prunus_persica_NCBIv2:G6:551684:553561:1 gene:PRUPE_6G006400 transcript:ONH99034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMRASEFSTSSQSLLEQEQTESQPKITTKNPKKLAFLPLVFLIYFEVSGGPYGEESAVGAAGPLFAILGFLIFPFIWSIPEALVTAELATAYPGNGGFVIWAHQAFGPFWGSLMGSWKFLSGVINLASYPILCVDYLKLVIPIFSSGLPRFVAVFVSTLVLSFLNYSGLSIVGYTAVGLGIVSLCPFIIMSLVAIPKIDPSRWISLGQKGVKRDWTLFINTLFWNLNFWDNASTLAGEVEEPQKLYPKALFSAGILTCLGYVIPLLAATGAIPLDQEDWVDGYLASAGEMIAGKWLKFWIEIGAVLSIIGLFEAQLSSCAYQLLGMADLGILPMIFGARSKWFNTPCLGMLLEFASFLWLRVKFPALKRPFEVPMGLPGLVVMCLIPSGFLVYVLAVATKAVYLVSALMTLFGVAWYLFMNLSKSKMWFDFKMEEEKLDNEERA >ONI01083 pep chromosome:Prunus_persica_NCBIv2:G6:8977336:8984069:-1 gene:PRUPE_6G120800 transcript:ONI01083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGVASIETQRTQNPGPNEEKPKVVVIMGPTGSGKSKLAIDLASYFPIEVINADSMQVYNGLDVLTNKVPLHEQKGVPHHLLGTVSPNVEFTAKDFRDFSIPISQYLSLYAHHGVLPSQLLQGKAAENWGRVDNFRYNCCFICVDASLPVLDQFVEQRVDCMIDAGLLNEVYEIFTRNADYTRGLRQAIGVREFEIFLRAYITEANDEAHNLIDESMSLTSVDLPDKILKENMRVILKSISDSQPKIALNEAIDKMKMNTRRLVRRQKRRINRLEALFGWNIHFLDATKFILCKSDDTWAAEVVGPAVEMIRSFLNRDASSVPDLDTSNSSGMNVIQRDLWAQYTCKACGDKILRGAHEWEQHKQGRGHRKRISRLKKSQGFFSMEQQRPPQHRGSS >ONI01089 pep chromosome:Prunus_persica_NCBIv2:G6:8978019:8984021:-1 gene:PRUPE_6G120800 transcript:ONI01089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGVASIETQRTQNPGPNEEKPKVVVIMGPTGSGKSKLAIDLASYFPIEVINADSMQVYNGLDVLTNKVPLHEQKGVPHHLLGTVSPNVEFTAKDFRDFSIPLIDDILSRNCLPVIVGGTNYYIQALVSPFLLDDTVEDMDESYIGDQPGDGEPQADLVAEVDSSSYNYDHLKGIDPIAANRIHPNNHRKISQYLSLYAHHGVLPSQLLQGKAAENWGRVDNFRYNCCFICVDASLPVLDQFVEQRVDCMIDAGLLNEVYEIFTRNADYTRGLRQAIGVREFEIFLRAYITEANDEAHNLIDESMSLTSVDLPDKILKENMRVILKSISDSQPKIALNEAIDKMKMNTRRLVRRQKRRINRLEALFGWNIHFLDATKFILCKSDDTWAAEVVGPAVEMIRSFLNRDASSVPDLDTSNSSGMNVIQRDLWAQYTCKVRNS >ONI01085 pep chromosome:Prunus_persica_NCBIv2:G6:8977722:8984021:-1 gene:PRUPE_6G120800 transcript:ONI01085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGVASIETQRTQNPGPNEEKPKVVVIMGPTGSGKSKLAIDLASYFPIEVINADSMQVYNGLDVLTNKVPLHEQKGVPHHLLGTVSPNVEFTAKDFRDFSIPLIDDILSRNCLPVIVGGTNYYIQALVSPFLLDDTVEDMDESYIGDQPGDGEPQADLVAEVDSSSYNYDHLKGIDPIAANRIHPNNHRKISQYLSLYAHHGVLPSQLLQGKAAENWGRVDNFRYNCCFICVDASLPVLDQFVEQRVDCMIDAGLLNEVYEIFTRNADYTRGLRQAIGVREFEIFLRAYITEANDEAHNLIDESMSLTSVDLPDKILKENMRVILKSISDSQPKIALNEAIDKMKMNTRRLVRRQKRRINRLEALFGWNIHFLDATKFILCKSDDTWAAEVVGPAVEMIRSFLNRDASSVPDLDTSNSSGMNVIQRDLWAQYTCKACGDKILRGAHEWEQHKQGRGHRKRISRLKKSQGFFSMEQQRPPQHRGSS >ONI01084 pep chromosome:Prunus_persica_NCBIv2:G6:8977336:8984069:-1 gene:PRUPE_6G120800 transcript:ONI01084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGVASIETQRTQNPGPNEEKPKVVVIMGPTGSGKSKLAIDLASYFPIEVINADSMQVYNGLDVLTNKVPLHEQKGVPHHLLGTVSPNVEFTAKDFRDFSIPLIDDILSRNCLPVIVGGTNYYIQALVSPFLLDDTVEDMDESYIGDQPGDGEPQADLVAEVDSSSYNYDHLKGIDPIAANRIHPNNHRKNWGRVDNFRYNCCFICVDASLPVLDQFVEQRVDCMIDAGLLNEVYEIFTRNADYTRGLRQAIGVREFEIFLRAYITEANDEAHNLIDESMSLTSVDLPDKILKENMRVILKSISDSQPKIALNEAIDKMKMNTRRLVRRQKRRINRLEALFGWNIHFLDATKFILCKSDDTWAAEVVGPAVEMIRSFLNRDASSVPDLDTSNSSGMNVIQRDLWAQYTCKACGDKILRGAHEWEQHKQGRGHRKRISRLKKSQGFFSMEQQRPPQHRGSS >ONI01087 pep chromosome:Prunus_persica_NCBIv2:G6:8977722:8980964:-1 gene:PRUPE_6G120800 transcript:ONI01087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESYIGDQPGDGEPQADLVAEVDSSSYNYDHLKGIDPIAANRIHPNNHRKISQYLSLYAHHGVLPSQLLQGKAAENWGRVDNFRYNCCFICVDASLPVLDQFVEQRVDCMIDAGLLNEVYEIFTRNADYTRGLRQAIGVREFEIFLRAYITEANDEAHNLIDESMSLTSVDLPDKILKENMRVILKSISDSQPKIALNEAIDKMKMNTRRLVRRQKRRINRLEALFGWNIHFLDATKFILCKSDDTWAAEVVGPAVEMIRSFLNRDASSVPDLDTSNSSGMNVIQRDLWAQYTCKACGDKILRGAHEWEQHKQGRGHRKRISRLKKSQGFFSMEQQRPPQHRGSS >ONI01088 pep chromosome:Prunus_persica_NCBIv2:G6:8977336:8981671:-1 gene:PRUPE_6G120800 transcript:ONI01088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILKALIQLQQTESIQITIENQYLSLYAHHGVLPSQLLQGKAAENWGRVDNFRYNCCFICVDASLPVLDQFVEQRVDCMIDAGLLNEVYEIFTRNADYTRGLRQAIGVREFEIFLRAYITEANDEAHNLIDESMSLTSVDLPDKILKENMRVILKSISDSQPKIALNEAIDKMKMNTRRLVRRQKRRINRLEALFGWNIHFLDATKFILCKSDDTWAAEVVGPAVEMIRSFLNRDASSVPDLDTSNSSGMNVIQRDLWAQYTCKACGDKILRGAHEWEQHKQGRGHRKRISRLKKSQGFFSMEQQRPPQHRGSS >ONI01086 pep chromosome:Prunus_persica_NCBIv2:G6:8977722:8983471:-1 gene:PRUPE_6G120800 transcript:ONI01086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMLIDDILSRNCLPVIVGGTNYYIQALVSPFLLDDTVEDMDESYIGDQPGDGEPQADLVAEVDSSSYNYDHLKGIDPIAANRIHPNNHRKISQYLSLYAHHGVLPSQLLQGKAAENWGRVDNFRYNCCFICVDASLPVLDQFVEQRVDCMIDAGLLNEVYEIFTRNADYTRGLRQAIGVREFEIFLRAYITEANDEAHNLIDESMSLTSVDLPDKILKENMRVILKSISDSQPKIALNEAIDKMKMNTRRLVRRQKRRINRLEALFGWNIHFLDATKFILCKSDDTWAAEVVGPAVEMIRSFLNRDASSVPDLDTSNSSGMNVIQRDLWAQYTCKACGDKILRGAHEWEQHKQGRGHRKRISRLKKSQGFFSMEQQRPPQHRGSS >ONH99283 pep chromosome:Prunus_persica_NCBIv2:G6:1833134:1834458:-1 gene:PRUPE_6G022800 transcript:ONH99283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAPSPSNTSPELNLENLKALKVLGKGAMGTVFLVHDPSSDPSARCPFALKVVDKSSLRSKLDAERRARWEIQVLTRLSDPNPHPFLPSLLGSFESDEFMGWAVPYCPGGDLNVLRYRQNDRVFSPAVIRFYLAEIVCALEHLHSMGIAYRDLKPENVLIQQSGHVTLTDFDLSRSLKHRTVKPDVVLEDDAPDIRRKHRRHLTRWLTIMNDNHSKGLKKTKSARVSPVSRRKPSFSDGERANSFVGTEEYVSPEVVRGEGHEFAVDWWALGILTYEALYGTTPFKAKSRKETFRNVLTKTPEFIGKRTALTDLIERLLHKDPTKRLGYARGASEIKEHEFFRGVRWDILTEVTRPPFIPSREDGDLTEKATAGGVSVRDYFQKLRSPPSMPPSPDCQFTEF >ONI02779 pep chromosome:Prunus_persica_NCBIv2:G6:22691431:22694542:-1 gene:PRUPE_6G221700 transcript:ONI02779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGPGLFSDIGKKAKDLLTKDYNSDQKFTVSTYSDAGVALTSTAVKKGGLSTGDIVTQYKYKNTVVDVKVDTESNISTTFTINEIVPSTKTIASFKIPDHNSGKLEVQYFHDHATFTTAVALNQSPAIDFSATIGTPTIAFGAEGGYDTTSGNFTKYTAGISVNKPDQSASIILGDKGDSIRASYVHFLDVLKRTATVGEITRKFSTNENTFTVGGSYAIDLLTVVKAKLNNHGKLGALLQHEVIPKSLVTISGEIDTKALDKNPRFGVAIALKP >ONI01982 pep chromosome:Prunus_persica_NCBIv2:G6:17579226:17579612:-1 gene:PRUPE_6G170700 transcript:ONI01982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFIGYQTSVILIFVITTMMILPGLATLAPSPSNFMFLEECKSRLHARCGKEIFITIIKEWSISDRCCMEFVSMGQPCHFALVNKALSGPLSKLNKSDALTKSVEIWNQCFELSQFLSPATSPSSEE >ONI00908 pep chromosome:Prunus_persica_NCBIv2:G6:7969786:7975266:1 gene:PRUPE_6G111000 transcript:ONI00908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRPPQVGEAPMDAGDWRSQLQPDSRQRIVNKIMDTLKRHLPFSGHEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETKSQNTMPNSLESNSAGNSNRPPDPGSFGMQPQVPNQGQSLSVPLPANQPQPHQQLLSQNIQNNIPAAGVQSSAGLSSALPPSSGLTQTPIPSIVGQNQIMQNMGQGVPSNMFATSQRQLPGRQQVVPQQQQQQQQSQNSQQYMYHQQLQHPLLKPKYQQGNIPQLVQQQQQQPNLLQPTQLQSSQQPVMQTSSVIQPAVVQSSLSSLQLNQQSAIQQSTPSMLQQHPQSVLRQPQQQRASVVHQQQTSQQPIFPPQQQQQQQLMVQQSNATSLSQNQLIRQQNNVGDMQQQQQQQRLLSQQNNILNLQQQQQQFMAQQSNLSNMHQPQLGPQSNVTGLQQQQHLGTQSGNSSMQTNQHSVHLLQQTKVQGQQQQHQSSSNLLPTQRQQSQPTQMQQLGLQQQSNPLQRDMQQRLQASGQVPGTMLQPQSVMDQQKQLYQSQRPLPETSSTSLDSTAQTGHATGGDWQEEVFQKVGCPDLLSIK >ONI00909 pep chromosome:Prunus_persica_NCBIv2:G6:7969786:7975586:1 gene:PRUPE_6G111000 transcript:ONI00909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRPPQVGEAPMDAGDWRSQLQPDSRQRIVNKIMDTLKRHLPFSGHEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETKSQNTMPNSLESNSAGNSNRPPDPGSFGMQPQVPNQGQSLSVPLPANQPQPHQQLLSQNIQNNIPAAGVQSSAGLSSALPPSSGLTQTPIPSIVGQNQIMQNMGQGVPSNMFATSQRQLPGRQQVVPQQQQQQQQSQNSQQYMYHQQLQHPLLKPKYQQGNIPQLVQQQQQQPNLLQPTQLQSSQQPVMQTSSVIQPAVVQSSLSSLQLNQQSAIQQSTPSMLQQHPQSVLRQPQQQRASVVHQQQTSQQPIFPPQQQQQQQLMVQQSNATSLSQNQLIRQQNNVGDMQQQQQQQRLLSQQNNILNLQQQQQQFMAQQSNLSNMHQPQLGPQSNVTGLQQQQHLGTQSGNSSMQTNQHSVHLLQQTKVQGQQQQHQSSSNLLPTQRQQSQPTQMQQLGLQQQSNPLQRDMQQRLQASGQVPGTMLQPQSVMDQQKQLYQSQRPLPETSSKWT >ONI00910 pep chromosome:Prunus_persica_NCBIv2:G6:7970384:7973052:1 gene:PRUPE_6G111000 transcript:ONI00910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRPPQVGEAPMDAGDWRSQLQPDSRQRIVNKIMDTLKRHLPFSGHEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETKSQNTMPNSLESNSAGNSNRPPDPGSFGMQPQVPNQGQSLSVPLPANQPQPHQQLLSQNIQNNIPAAGVQSSAGLSSALPPSSGLTQTPIPSIVGQNQIMQNMGQGVPSNMFATSQRQLPGRQQVVPQQQQQQQQSQNSQQYMYHQQLQHPLLKPKYQQGNIPQLVQQQQQQPNLLQPTQLQSSQQPVMQTSSVIQPAVVQSSLSSLQLNQQSAIQQSTPSMLQQHPQSVLRQPQQQRASVVHQQQTSQQPIFPPQQQQQQQLMVQQSNATSLSQNQLIRQQNNVGDMQQQQQQQRLLSQQNNILNLQQQQQQFMAQQSNLSNMHQPQLGPQSNVTGLQQQQHLGTQSGNSSMQTNQHSVHLLQQTKVQGQQQQHQSSSNLLPTQRQQSQPTQMQQLGLQQQSNPLQRDMQQRLQASGQVPGTMLQPQSVMDQQKQLYQSQRPLPETSSKWT >ONI00911 pep chromosome:Prunus_persica_NCBIv2:G6:7969786:7975057:1 gene:PRUPE_6G111000 transcript:ONI00911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRPPQVGEAPMDAGDWRSQLQPDSRQRIVNKIMDTLKRHLPFSGHEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETKSQNTMPNSLESNSAGNSNRPPDPGSFGMQPQVPNQGQSLSVPLPANQPQPHQQLLSQNIQNNIPAAGVQSSAGLSSALPPSSGLTQTPIPSIVGQNQIMQNMGQGVPSNMFATSQRQLPGRQQVVPQQQQQQQQSQNSQQYMYHQQLQHPLLKPKYQQGNIPQLVQQQQQQPNLLQPTQLQSSQQPVMQTSSVIQPAVVQSSLSSLQLNQQSAIQQSTPSMLQQHPQSVLRQPQQQRASVVHQQQTSQQPIFPPQQQQQQQLMVQQSNATSLSQNQLIRQQNNVGDMQQQQQQQRLLSQQNNILNLQQQQQQFMAQQSNLSNMHQPQLGPQSNVTGLQQQQHLGTQSGNSSMQTNQHSVHLLQQTKVQGQQQQHQSSSNLLPTQRQQSQPTQMQQLGLQQQSNPLQRDMQQRLQASEWT >ONI03208 pep chromosome:Prunus_persica_NCBIv2:G6:24226101:24230257:-1 gene:PRUPE_6G244800 transcript:ONI03208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASVVHNGVHIPLKLSSRLPRVSSPSPAPKWARPHLFHLHIPRIRTGGHSRRGQSAPIARSRTTEQDDDLSTSEGERVDSQSHDDIGDVDTSHTSSPEKTQGREAYVSSVCAAVAFGVGLGFKDGVGKATEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPIMYQNRVLSYGIAGAVVFRFTLILLGTATLQRFEAVNLFLAAILLYSSFKLFTSEDDDTDLSNNFVVKTCQRFIPVTSSYDGNKFFTFQDGVQKATPLLLTVDSIPAVFGVTRDPFIVFSSNLFAIVGLRSLYTLISEGMSDLEYLQPSIGVVLGFIGCKMILDYFGFHVSTEVSLGFVATSLSTGVLLSLMKKSD >ONI03212 pep chromosome:Prunus_persica_NCBIv2:G6:24226101:24230282:-1 gene:PRUPE_6G244800 transcript:ONI03212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASVVHNGVHIPLKLSSRLPRVSSPSPAPKWARPHLFHLHIPRIRTGGHSRRGQSAPIARSRTTEQDDDLSTSEGERVDSQSHDDIGDVDTSHTSSPEKTQGREAYVSSVRTVALWVCAAVAFGVGLGFKDGVGKATEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPIMYQNRVLSYGIAGAVVFRFTLILLGTATLQRFEAVNLFLAAILLYSSFKLFTSEDDDTDLSNNFVVKTCQRFIPVTSSYDGNKFFTFQDGVQKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAIVGLRSLYTLISEGMSDLEYLQPSIGVVLGFIGCKMILDYFGFHVSTEVSLGFVATSLSTGVLLSLMKKSD >ONI03215 pep chromosome:Prunus_persica_NCBIv2:G6:24226756:24230162:-1 gene:PRUPE_6G244800 transcript:ONI03215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASVVHNGVHIPLKLSSRLPRVSSPSPAPKWARPHLFHLHIPRIRTGGHSRRGQSAPIARSRTTEQDDDLSTSEGERVDSQSHDDIGDVDTSHTSSPEKTQGREAYVSSVRTVALWVCAAVAFGVGLGFKDGVGKATEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPIMYQNRVLSYGIAGAVVFRFTLILLGTATLQRFEAVNLFLAAILLYSSFKLFTSEDDDTDLSNNFVVKTCQRFIPVTSSYDGNKFFTFQDGVQKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAIVGLRSLYTLISEGMSDLEYLQGSMYQRRCLLAL >ONI03209 pep chromosome:Prunus_persica_NCBIv2:G6:24226169:24230257:-1 gene:PRUPE_6G244800 transcript:ONI03209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASVVHNGVHIPLKLSSRLPRVSSPSPAPKWARPHLFHLHIPRIRTGGHSRRGQSAPIARSRTTEQDDDLSTSEGERVDSQSHDDIGDVDTSHTSSPEKTQGREAYVSSVCAAVAFGVGLGFKDGVGKATEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPIMYQNRVLSYGIAGAVVFRFTLILLGTATLQRFEAVNLFLAAILLYSSFKLFTSEDDDTDLSNNFVVKTCQRFIPVTSSYDGNKFFTFQDGVQKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAIVGLRSLYTLISEGMSDLEYLQPSIGVVLGFIGCKMILDYFGFHVSTEVSLGFVATSLSTGVLLSLMKKSD >ONI03216 pep chromosome:Prunus_persica_NCBIv2:G6:24226877:24230257:-1 gene:PRUPE_6G244800 transcript:ONI03216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASVVHNGVHIPLKLSSRLPRVSSPSPAPKWARPHLFHLHIPRIRTGGHSRRGQSAPIARSRTTEQDDDLSTSEGERVDSQSHDDIGDVDTSHTSSPEKTQGREAYVSSVRTVALWVCAAVAFGVGLGFKDGVGKATEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPIMYQNRVLSYGIAGAVVFRFTLILLGTATLQRFEAVNLFLAAILLYSSFKLFTSEDDDTDLSNNFVVKTCQRFIPVTSSYDGNKFFTFQDGVQKVSNILGRPHLYFSR >ONI03214 pep chromosome:Prunus_persica_NCBIv2:G6:24226101:24230257:-1 gene:PRUPE_6G244800 transcript:ONI03214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASVVHNGVHIPLKLSSRLPRVSSPSPAPKWARPHLFHLHIPRIRTGGHSRRGQSAPIARSRTTEQDDDLSTSEGERVDSQSHDDIGDVDTSHTSSPEKTQGREAYVSSVCAAVAFGVGLGFKDGVGKATEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPIMYQNRVLSYGIAGAVVFRFTLILLGTATLQRFEAVNLFLAAILLYSSFKLFTSEDDDTDLSNNFVVKTCQRFIPVTSSYDGNKFFTFQDGVQKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAIVGLRSLYTLISEGMSDLEYLQGSMYQRRCLLAL >ONI03211 pep chromosome:Prunus_persica_NCBIv2:G6:24226101:24230257:-1 gene:PRUPE_6G244800 transcript:ONI03211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASVVHNGVHIPLKLSSRLPRVSSPSPAPKWARPHLFHLHIPRIRTGGHSRRGQSAPIARSRTTEQDDDLSTSEGERVDSQSHDDIGDVDTSHTSSPEKTQGREAYVSSVRTVALWVCAAVAFGVGLGFKDGVGKATEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPIMYQNRVLSYGIAGAVVFRFTLILLGTATLQRFEAVNLFLAAILLYSSFKLFTSEDDDTDLSNNFVVKTCQRFIPVTSSYDGNKFFTFQDGVQKATPLLLTVDSIPAVFGVTRDPFIVFSSNLFAIVGLRSLYTLISEGMSDLEYLQPSIGVVLGFIGCKMILDYFGFHVSTEVSLGFVATSLSTGVLLSLMKKSD >ONI03210 pep chromosome:Prunus_persica_NCBIv2:G6:24226703:24230162:-1 gene:PRUPE_6G244800 transcript:ONI03210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASVVHNGVHIPLKLSSRLPRVSSPSPAPKWARPHLFHLHIPRIRTGGHSRRGQSAPIARSRTTEQDDDLSTSEGERVDSQSHDDIGDVDTSHTSSPEKTQGREAYVSSVCAAVAFGVGLGFKDGVGKATEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPIMYQNRVLSYGIAGAVVFRFTLILLGTATLQRFEAVNLFLAAILLYSSFKLFTSEDDDTDLSNNFVVKTCQRFIPVTSSYDGNKFFTFQDGVQKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAIVGLRSLYTLISEGMSDLEYLQPSIGVVLGFIGCKMILDYFGFHVSTEVSLGFVATSLSTGVLLSLMKKSD >ONI03213 pep chromosome:Prunus_persica_NCBIv2:G6:24226703:24230162:-1 gene:PRUPE_6G244800 transcript:ONI03213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASVVHNGVHIPLKLSSRLPRVSSPSPAPKWARPHLFHLHIPRIRTGGHSRRGQSAPIARSRTTEQDDDLSTSEGERVDSQSHDDIGDVDTSHTSSPEKTQGREAYVSSVRTVALWVCAAVAFGVGLGFKDGVGKATEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPIMYQNRVLSYGIAGAVVFRFTLILLGTATLQRFEAVNLFLAAILLYSSFKLFTSEDDDTDLSNNFVVKTCQRFIPVTSSYDGNKFFTFQDGVQKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAIVGLRSLYTLISEGMSDLEYLQPSIGVVLGFIGCKMILDYFGFHVSTEVSLGFVATSLSTGVLLSLMKKSD >ONI02285 pep chromosome:Prunus_persica_NCBIv2:G6:19633629:19635290:1 gene:PRUPE_6G188000 transcript:ONI02285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAISIAPSSTVTFPARLLSPIPKSSFNGLRFQRACPNTVVRLPTASFRRPCSSSSVVMMAKREEEMKEIRTKTTEEINEEVVELKGELFMLRLQKSARNEFTSSEFRRMRKRIARLLTVKREREIEEGIGKRLSRKFDRQWKRSIVVRPPPSLIKLQEEEAAAEAAEKAA >ONH98984 pep chromosome:Prunus_persica_NCBIv2:G6:349030:352232:1 gene:PRUPE_6G003200 transcript:ONH98984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAQLSGGRSRRALALALCVWSLISLSCAARLTDSSRQKLDVQKHLNRLNKPAVKSIKSPDGDIIDCVHITQQPAFDHPYLKDHKIQMRPNYHPEGLFNENKVAEKTKERSNPQAQLWHANGRCPEDTIPVRRTRKDDILRATSVKAYGRKKQRSIPKSADPDLTNESGHQHAIVYVNGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSGLRGSQYDISILVWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPEGQHTSTQMGSGRFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNCP >ONH98982 pep chromosome:Prunus_persica_NCBIv2:G6:348978:352232:1 gene:PRUPE_6G003200 transcript:ONH98982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAQLSGGRSRRALALALCVWSLISLSCAARLTDSSRQKLDVQKHLNRLNKPAVKSIKSPDGDIIDCVHITQQPAFDHPYLKDHKIQMRPNYHPEGLFNENKVAEKTKERSNPQAQLWHANGRCPEDTIPVRRTRKDDILRATSVKAYGRKKQRSIPKSADPDLTNESGHQHAIVYVNGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSGLRGSQYDISILVWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPEGQHTSTQMGSGRFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNCP >ONH98983 pep chromosome:Prunus_persica_NCBIv2:G6:349028:352232:1 gene:PRUPE_6G003200 transcript:ONH98983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAQLSGGRSRRALALALCVWSLISLSCAARLTDSSRQKLDVQKHLNRLNKPAVKSIKSPDGDIIDCVHITQQPAFDHPYLKDHKIQMRPNYHPEGLFNENKVAEKTKERSNPQAQLWHANGRCPEDTIPVRRTRKDDILRATSVKAYGRKKQRSIPKSADPDLTNESGHQHAIVYVNGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSGLRGSQYDISILVWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPEGQHTSTQMGSGRFPEEGFGKASYFRNIQVVDSSNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNCP >ONI01593 pep chromosome:Prunus_persica_NCBIv2:G6:12459138:12462882:1 gene:PRUPE_6G148300 transcript:ONI01593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSPQSFFKKHWDGYKDFWCERFSFLSNYSKFVKRDKPLPPWSESDVEAFIAFDPVHGPATAREAVNFGLTGSVIGAVSTAGVSWKYSRSLHGAGLSFLAGGVFGWTFGHEIANHWLQLYRLDTLAAQTKFLEWWEDKNERRS >ONI01592 pep chromosome:Prunus_persica_NCBIv2:G6:12459094:12462917:1 gene:PRUPE_6G148300 transcript:ONI01592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSSPQSFFKKHWDGYKDFWCERFSFLSNYSKFVKRDKPLPPWSESDVEAFIAFDPVHGPALKTAREAVNFGLTGSVIGAVSTAGVSWKYSRSLHGAGLSFLAGGVFGWTFGHEIANHWLQLYRLDTLAAQTKFLEWWEDKNERRS >ONI01197 pep chromosome:Prunus_persica_NCBIv2:G6:9832775:9836441:1 gene:PRUPE_6G127300 transcript:ONI01197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMKVTPLRSTHFLKKRKKKKKKKKRLMEKRKLMSTHQMICLQNFFFINNLYSAEWLRTDTRRTAVGLDLDVEALNWCMENNINKVGADAYSRMSLFHGNILQPLEAKLVKFEPQELIRKVSLTENKDNLESDEGESTLAEGSSSSNDEKYLRNHLLPARDIVCAFNYSCCCLHQRSELVLYFKHALDALSKKGGIFVMDLYGGTSSECKLRLQRRFSNFTYVWEQAEFDIIERKTRISLHFHLQKQQKKIRHAFSYSWRLWSLPEIKDCLEEAGFQSVHFWLRKMQDSRDMRKTEGFGVGRDIKYEEIKHFQQQDSWNAYIVGVA >ONI01196 pep chromosome:Prunus_persica_NCBIv2:G6:9832775:9836441:1 gene:PRUPE_6G127300 transcript:ONI01196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRDKKQRHQPRRAAFHYTEEDEEGVGDGHYEGHSASKHTLSEEEEEEEEEEEEVDGKEEADEYPSNDLPSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRLPLHLQEDFCGTALLSAEWLRTDTRRTAVGLDLDVEALNWCMENNINKVGADAYSRMSLFHGNILQPLEAKLVKFEPQELIRKVSLTENKDNLESDEGESTLAEGSSSSNDEKYLRNHLLPARDIVCAFNYSCCCLHQRSELVLYFKHALDALSKKGGIFVMDLYGGTSSECKLRLQRRFSNFTYVWEQAEFDIIERKTRISLHFHLQKQQKKIRHAFSYSWRLWSLPEIKDCLEEAGFQSVHFWLRKMQDSRDMRKTEGFGVGRDIKYEEIKHFQQQDSWNAYIVGVA >ONI02658 pep chromosome:Prunus_persica_NCBIv2:G6:22117612:22120939:-1 gene:PRUPE_6G213200 transcript:ONI02658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLSPRRNSRSKGFKVKHALQLFLLAGVCIWLAYQVKQSHGKKAEFRQSIKDGDQIVKLGRKDLKPLVEESVNSNAREKEEEEENKPEEIDNVGRGTGDDGISENDENKIEERTDDNGVSVEEEKERDTSKENENEESKEDENAQKKNEDNKAKESEESSEKETKETKEKEGEEKENVETNGKESEEKEHEETNEKESEQKEHEETKEKEAEQKEHEETTEKESEQKENEIKEKEGEQKENVETKEKEGEQKESEVTKEKESEQKDNEETKEDGSEEKGTSEESQGVDNKEGEEHIETDNKENEAEEKEGDKIEQLILSDDRVRDGGEKNDEGAREEPYKADDASSAVAHETQNATANNGQDGGNKSNHSEPENGSTPNSTEQEKVDAKKEDSAQQNVVLEQPEKSDVPANSEQQDSSTTASTKTENGEATKGVSTDTSSNSESVVSDSQIVHSNTSTETENSYAPLAMSIVQTQKFSSDTEVEGTQESTLSQKTNDNEDGGQKQEADFSNPSFQQEKNAPSNAHNKSDAGQTVNDSAVPNNMNENANGNDNTDAGQKELVDSSDSSVSQDKEESSNTNNNAEARQNENENVLQSKTDDNADVGQRENEGAGGEESGNAQKESEDSSNTDENTNESQNVTVDSSHSSILEEENEARIDLETLPESKIETHTNDDTAAE >ONI02659 pep chromosome:Prunus_persica_NCBIv2:G6:22117613:22120931:-1 gene:PRUPE_6G213200 transcript:ONI02659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLSPRRNSRSKGFKVKHALQLFLLAGVCIWLAYQVKQSHGKKAEFRQSIKDGDQIVKLGRKDLKPLVEESVNSNAREKEEEEENKPEEIDNVGRGTGDDGISENDENKIEERTDDNGVSVEEEKERDTSKENENEESKEDENAQKKNEDNKAKESEESSEKETKETKEKEGEEKENVETNGKESEEKEHEETNEKESEQKEHEETKEKEAEQKEHEETTEKESEQKENEIKEKEGEQKENVETKEKEGEQKESEVTKEKESEQKDNEETKEDGSEEKGTSEESQGVDNKEGEEHIETDNKENEAEEKEGDKIEQLILSDDRVRDGGEKNDEGAREEPYKADDASSAVAHETQNATANNGQDGGNKSNHSEPENGSTPNSTEQEKVDAKKEDSAQQNVVLEQPEKSDVPANSEQQDSSTTASTKTENGEATKGVSTDTSSNSESVVSDSQIVHSNTSTETENSYAPLAMSIVQTQKFSSDTEVEGTQESTLSQKTNDNEDGGQKQEADFSNPSFQQEKNAPSNAHNKSDAGQTVNDSAVPNNMNENANGNDNTDAGQKELVDSSDSSVSQDKEESSNTNNNAEARQNENENVLQSKTDDNADVGQRENEGAGGEESGNAQKESEDSSNTDENTNESQNVTVDSSHSSILEEENEARIDLETLPESKIETHTNDDTAAE >ONI02627 pep chromosome:Prunus_persica_NCBIv2:G6:21961144:21969612:1 gene:PRUPE_6G211300 transcript:ONI02627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLSSVIIPWQQPHPKPRIPVVCCSTINGSSSSSSEANLQSSQPQECKSSNAEEPKQSEIWRLFREAQKNILHLNQHRLKAVEDLNKINIEKQLLVDRIEQLELEKQTAVAKPQDRLSMFWELLLRIDSMVLMGMVTIGEASNLRRLVMDHKVSVAEVFNDTLQKSDAEILAELRHFLERNQRNGFHIVHVCTEMAPLVSVGSLASYVTGLSCALQRKGHLVEVILPKYSNLDLDEVQGLQEIEAECYSYFNGQLHGNRIWTGVVYGIGVTLIQPLYYSSFFNRERVYGYSDDFERFTYFSRASLDYIVKSGKQPDVIHIHNWETAIIGPLFWDIIVKQGLEGTRVLLTCHDLNSQCLEHPEKLALCGLDPARLHRPDRLQDTTKAHLVNVLKGGVVYSNKVVIMSSIHSKGRVIHSLSHGLDHTLNIHKNKVVIAPCGFDNCTWDPSTDNFLPQQYSVKDMKGKAVCKAALQQHLGLSEHSSTILVGCIFSKVSDVDLENLRAVFRKARRIDVQFIIKGISKISSVNKLGLVHEPLKDKNVRFIDGHDEKQSHLIFAGSDIILCQSFHDPVLQVPLKALKYGAAPIAVNSNDDGFRNFVEHDYETTNFSRFISSTFGNMSITQALDEIRNNPSKWKRKIMDAMEMDFSWDAECCDIHASAYTAIKNL >ONI02626 pep chromosome:Prunus_persica_NCBIv2:G6:21961037:21969612:1 gene:PRUPE_6G211300 transcript:ONI02626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLSSVIIPWQQPHPKPRIPVVCCSTINGSSSSSSEANLQSSQPQECKSSNAEEPKQSEIWRLFREAQKNILHLNQHRLKAVEDLNKINIEKQLLVDRIEQLELEKQTAVAKPQDRLSMFWELLLRIDSMVLMGMVTIGEASNLRRLVMDHKVSVAEVFNDTLQKSDAEILAELRHFLERNQRFRNGFHIVHVCTEMAPLVSVGSLASYVTGLSCALQRKGHLVEVILPKYSNLDLDEVQGLQEIEAECYSYFNGQLHGNRIWTGVVYGIGVTLIQPLYYSSFFNRERVYGYSDDFERFTYFSRASLDYIVKSGKQPDVIHIHNWETAIIGPLFWDIIVKQGLEGTRVLLTCHDLNSQCLEHPEKLALCGLDPARLHRPDRLQDTTKAHLVNVLKGGVVYSNKVVIMSSIHSKGRVIHSLSHGLDHTLNIHKNKVVIAPCGFDNCTWDPSTDNFLPQQYSVKDMKGKAVCKAALQQHLGLSEHSSTILVGCIFSKVSDVDLENLRAVFRKARRIDVQFIIKGISKISSVNKLGLVHEPLKDKNVRFIDGHDEKQSHLIFAGSDIILCQSFHDPVLQVPLKALKYGAAPIAVNSNDDGFRNFVEHDYETTNFSRFISSTFGNMSITQALDEIRNNPSKWKRKIMDAMEMDFSWDAECCDIHASAYTAIKNL >ONI02628 pep chromosome:Prunus_persica_NCBIv2:G6:21962799:21969612:1 gene:PRUPE_6G211300 transcript:ONI02628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLVSVGSLASYVTGLSCALQRKGHLVEVILPKYSNLDLDEVQGLQEIEAECYSYFNGQLHGNRIWTGVVYGIGVTLIQPLYYSSFFNRERVYGYSDDFERFTYFSRASLDYIVKSGKQPDVIHIHNWETAIIGPLFWDIIVKQGLEGTRVLLTCHDLNSQCLEHPEKLALCGLDPARLHRPDRLQDTTKAHLVNVLKGGVVYSNKVVIMSSIHSKGRVIHSLSHGLDHTLNIHKNKVVIAPCGFDNCTWDPSTDNFLPQQYSVKDMKGKAVCKAALQQHLGLSEHSSTILVGCIFSKVSDVDLENLRAVFRKARRIDVQFIIKGISKISSVNKLGLVHEPLKDKNVRFIDGHDEKQSHLIFAGSDIILCQSFHDPVLQVPLKALKYGAAPIAVNSNDDGFRNFVEHDYETTNFSRFISSTFGNMSITQALDEIRNNPSKWKRKIMDAMEMDFSWDAECCDIHASAYTAIKNL >ONI02625 pep chromosome:Prunus_persica_NCBIv2:G6:21961037:21969612:1 gene:PRUPE_6G211300 transcript:ONI02625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALLSSVIIPWQQPHPKPRIPVVCCSTINGSSSSSSEANLQSSQPQECKSSNAEEPKQSEIWRLFREAQKNILHLNQHRLKAVEDLNKINIEKQLLVDRIEQLELEKQTAVAKPQDRLSMFWELLLRIDSMVLMGMVTIGEASNLRRLVMDHKVSVAEVFNDTLQKSDAEILAELRHFLERNQRFRNGFHIVHVCTEMAPLVSVGSLASYVTGLSCALQRKGHLVEVILPKYSNLDLDEVQGLQEIEAECYSYFNGQLHGNRIWTGVVYGIGVTLIQPLYYSSFFNRERVYGYSDDFERFTYFSRASLDYIVKSGKQPDVIHIHNWETAIIGPLFWDIIVKQGLEGTRVLLTCHDLNSQCLEHPEKLALCGLDPARLHRPDRLQDTTKAHLVNVLKGGVVYSNKVVIMSSIHSKGRVIHSLSHGLDHTLNIHKNKVVIAPCGFDNCTWDPSTDNFLPQQYSVKDMKGKAVCKAALQQHLGLSEHSSTILVGCIFSKVSDVDLENLRAVFRKARRIDVQFIIKGISKISSVNKLGLVHEPLKDKNVRFIDGHDEKQSHLIFAGSDIILCQSFHDPVLQVPLKALKYGAAPIAVNSNDDGFRNFVEHDYETTNFSRFISSTFGNMSITQALDEIRNNPSKWKRKIMDAMEMDFSWDAECCDIHASAYTAIKNL >ONI03079 pep chromosome:Prunus_persica_NCBIv2:G6:23756417:23757531:1 gene:PRUPE_6G237100 transcript:ONI03079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAELSKLCICIVTLLSLAMHAMSAQTQSQPTITNDVKPTDEQLISLPASSPSPSPYPENLAPESGGYVVSLPEPDSYNPPPPPPQEPYIEALSPETQDDQLLPPDYSPSPAPSLQEYLGASDQITDYPSPPPPPPPYTEVEAPEGYSETYSPVQSQAPAQSSKPGSNELPFNYKADYESEFDAQDFNDSDESKNRGTMVGFVLGAVCLVGLAGLVYKKKDKKYTKAKAEYEYELTKREDA >ONI04641 pep chromosome:Prunus_persica_NCBIv2:G6:28925192:28927494:-1 gene:PRUPE_6G332100 transcript:ONI04641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFHFLLLGLVLGFTNSIAGSHETSHRKLGNVSCPLNINALQKLIRENSLPFPKQDIATECQYVLQGMRLIRSEYLRTSGLFSLSPDVLDVCWDSYENLVNEFIPGFDIKSTCGLKTSFISQTCMNITTKSDFESLVSAQELQQMKGSCDQSLKNNSACNSCVERLSTVRASYFKAPNDGNVSDSNGYPFIYAAAFANRFGPIDIGTAKCLFLLDFSPSRKKPKNSNAVMWGIMTGCFHGFVGAVIVLWFLWIWHKKWKRTKKENLVQIEPFSSVELESCGRNSSSLKLSFEEIRKATGNFSRENIIGMGGFGNVYKGILEDGSEVALKRFKNCSAVGDQLFAHEVEVISSVRHVNLVALRGYCISTLPREGHQRILACDLMRNGSLYDHLFQPEFKKLSWSIRQKIALGMARGLAYLHYGVQPAIIHRDIKASNVLLDETFEAKLADFGLAKFTSEGQTHVSTRVAGTLGYVAPEYALYGQISQKIDVYSFGVVLLEILSGKKAVIEFTDENRPLLLADWAWSQVREGRAFDVFDEGMPELGLPEAMERYVLVAVLASHPVAYARPTMDQIVKQLENTIPIPSIPDRPLSLLSHTDDAERSSRRFNVGIIVCSSRSWRNREALFAAVTLLLNASAINASRAIRVAVFSIAAGKILYRHPCFRI >ONI01070 pep chromosome:Prunus_persica_NCBIv2:G6:8893764:8894795:1 gene:PRUPE_6G119900 transcript:ONI01070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASIAGRSTILRAFCRATTTRRARISATPCPSIQSNFMPRRSPSSRWYILENVYECNNLIYERLDRLLRRELSSLQPLHSAIASACLISKLPALATSSEGRFVNYLSPI >ONI01072 pep chromosome:Prunus_persica_NCBIv2:G6:8893646:8896250:1 gene:PRUPE_6G119900 transcript:ONI01072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASIAGRSTILRAFCRATTTRRARISATPCPSIQSNFMPRRSPSSRLLRRELSSLQPLHSAIASACLISKLPALATSSEGRFVNYLSPI >ONI01071 pep chromosome:Prunus_persica_NCBIv2:G6:8893646:8895274:1 gene:PRUPE_6G119900 transcript:ONI01071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASIAGRSTILRAFCRATTTRRARISATPCPSIQSNFMPRRSPSSRLLRRELSSLQPLHSAIASACLISKLPALATSSEGRFVNYLSPI >ONI05013 pep chromosome:Prunus_persica_NCBIv2:G6:29947032:29959555:1 gene:PRUPE_6G351600 transcript:ONI05013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESHKQVEESHEQVEDIDSASNGSESDSFIDDSEVDEVSTSGQDDKLHPEEPLSDKEIEELIAEFLEVESKAAEAQEALEKESLVKVESEVREELAQTLHGDDLETAVADEMTILMEEWQAELDDLETESAHLLEQLDGAGIELPSLYKCIESQAPNGCCTEAWKRRIHWVGSQVTGEFTESRTDAEKYLQAHRPVRRRHGKQLEDGASGFLQKKLTIDGNKDAVTAEVDWCSLNKLFSDGATGDGASFGSKHWASVYLASTPQQAAEMGLKFPGVNEVEEIDDIDGNSSDPFVAAAIANERELDLSEEQKKNYRKVKEEDDAYVDRKLQIHLKRKRHQKRRKQDVGRKEVFPVDRVIESNMAQSPSLLDSATCISNGKIDEHGEIFSNNDDEIGCQNMKSAVLEDLETSNNVDQESIMSNGSSPVPDSSESRGSKRLNEDEELNLDNKRGRTVIIDSDDDAPLKDISDCNLIKSEDQSNADASISISATGGLPSHGLNKKVYCTACNKLAVEVRSHPLLKVIICTDCRCLLDEKMHVKDPDCCECYCGWCGQSKDLVSCKSCKTSFCTTCIKRNIGEECLSEAQTCGWRCCFCCPSLIQTLMLQLEKAIGSGDMVVSSSDSDSDNSDAELDVAISSKRKRKKRIRRIIDDTELGEETKRKIAIEKERQERLKSLQVQFSAKSKMKSSASCNGNLPEGASAEVLGDASAGYIVNVVREKGEEAVRIPPSISAKLKTHQITGVRFIWENIIQSVRKVKAGDKGLGCILAHMMGLGKTFQVIAFLYTAMRSIDLGLKTALIVTPVNVLHNWRQEFMKWRPSELKPLRVFMLEDVSRERRAEVLAKWRAKGGVFLIGYSAFRNLSLGKHVKDRHMAREICHALQDGPDILVCDEAHVIKNTRADVTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTVDDVKIMNQRSHILYEQLKGFVQRMDMNVAKKDLPPKTVFVIAVKLSPLQRKLYKRFLDVHGFANDKVYNEKIRKRSFFAGYQALAQIWNHPGILQLRKDDKDYARREDAIENFLADDSSSDENIDDSLVFGEKQRKINDILPGKKDDDIFQQDWWNDLIHENNYKELDYSGKMVLLLDVLAMCSDVGDKALVFSQSIPTLDLIELYLSRLPRHGKKWKFWKKGKDWYRLDGRTESSERQKLVERFNDPLNKRVKCTLISTRAGSLGINLHAANRVIIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFEFGDDENHELGQDKGCSDQNMTGEVEILPKHKVPLSQGSCSSDKLMEGLLGKHYPRWIANFHEHETLLQENEEEKLSKEEQDMAWEVYRRALEWEEVQRVPLNESAVDRKPAALNVASSAPEMSSLAESKAKDISVQRKCTNLSHLLTLRSQGTKIGCTTVCGECGREICWKDLHRDGRLAR >ONI05012 pep chromosome:Prunus_persica_NCBIv2:G6:29946216:29959555:1 gene:PRUPE_6G351600 transcript:ONI05012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSHPASRARCLIKFFLYFCFLGNQPFPHSIQTSSNSIYILHGARRETQRERQKEEQRQRLCIFSPHVATCVPPILVMEESHKQVEESHEQVEDIDSASNGSESDSFIDDSEVDEVSTSGQDDKLHPEEPLSDKEIEELIAEFLEVESKAAEAQEALEKESLVKVESEVREELAQTLHGDDLETAVADEMTILMEEWQAELDDLETESAHLLEQLDGAGIELPSLYKCIESQAPNGCCTEAWKRRIHWVGSQVTGEFTESRTDAEKYLQAHRPVRRRHGKQLEDGASGFLQKKLTIDGNKDAVTAEVDWCSLNKLFSDGATGDGASFGSKHWASVYLASTPQQAAEMGLKFPGVNEVEEIDDIDGNSSDPFVAAAIANERELDLSEEQKKNYRKVKEEDDAYVDRKLQIHLKRKRHQKRRKQDVGRKEVFPVDRVIESNMAQSPSLLDSATCISNGKIDEHGEIFSNNDDEIGCQNMKSAVLEDLETSNNVDQESIMSNGSSPVPDSSESRGSKRLNEDEELNLDNKRGRTVIIDSDDDAPLKDISDCNLIKSEDQSNADASISISATGGLPSHGLNKKVYCTACNKLAVEVRSHPLLKVIICTDCRCLLDEKMHVKDPDCCECYCGWCGQSKDLVSCKSCKTSFCTTCIKRNIGEECLSEAQTCGWRCCFCCPSLIQTLMLQLEKAIGSGDMVVSSSDSDSDNSDAELDVAISSKRKRKKRIRRIIDDTELGEETKRKIAIEKERQERLKSLQVQFSAKSKMKSSASCNGNLPEGASAEVLGDASAGYIVNVVREKGEEAVRIPPSISAKLKTHQITGVRFIWENIIQSVRKVKAGDKGLGCILAHMMGLGKTFQVIAFLYTAMRSIDLGLKTALIVTPVNVLHNWRQEFMKWRPSELKPLRVFMLEDVSRERRAEVLAKWRAKGGVFLIGYSAFRNLSLGKHVKDRHMAREICHALQDGPDILVCDEAHVIKNTRADVTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTVDDVKIMNQRSHILYEQLKGFVQRMDMNVAKKDLPPKTVFVIAVKLSPLQRKLYKRFLDVHGFANDKVYNEKIRKRSFFAGYQALAQIWNHPGILQLRKDDKDYARREDAIENFLADDSSSDENIDDSLVFGEKQRKINDILPGKKDDDIFQQDWWNDLIHENNYKELDYSGKMVLLLDVLAMCSDVGDKALVFSQSIPTLDLIELYLSRLPRHGKKWKFWKKGKDWYRLDGRTESSERQKLVERFNDPLNKRVKCTLISTRAGSLGINLHAANRVIIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFEFGDDENHELGQDKGCSDQNMTGEVEILPKHKVPLSQGSCSSDKLMEGLLGKHYPRWIANFHEHETLLQENEEEKLSKEEQDMAWEVYRRALEWEEVQRVPLNESAVDRKPAALNVASSAPEMSSLAESKAKDISVQRKCTNLSHLLTLRSQGTKIGCTTVCGECGREICWKDLHRDGRLAR >ONI00221 pep chromosome:Prunus_persica_NCBIv2:G6:5227036:5230259:1 gene:PRUPE_6G076100 transcript:ONI00221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHFTNGERRDDEEPGIVCRAASKVSWARSLSVASSSYDTTRRSEFDLDSRDLSDSVAFQELLSLRRANDLRVFKFSELKSASRGFSRALLIGEGGFGCVYRGIVKGSDDDATKMDVAIKQLNRNGFQGHKEWINEVNLLGVVKHPNLVKLVGYCAEDDERGIQRLLVYELMRNKSLEDHLLVRFPSSLPWMTRLKIAQDAARGLAYLHEEMDFQLIFRDFKPSNILLDEDFNAKLSDFGLARQGPPEGTSHVSTSVVGTIGYAAPEYVQTGRLTAKSDVWSFGVVLFELITGRRAVERNLPRSEQKLLEWVRPYVSDSKKFHLIVDHRLEGQFCIKSAQKLASLANRCLSKQPKSRPKMSEVVVVLRSIIDELSSQDEVVHEPVIETEEAKEETVEETEIETTKQGNKKRVFDIREMVNFRNKSIGKLDWRNWTPGLIRTW >ONI02650 pep chromosome:Prunus_persica_NCBIv2:G6:22077526:22079090:1 gene:PRUPE_6G212500 transcript:ONI02650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQHKEHLEATILGIPNCGRFTGHPNVHKSKWLAKFSRLAKDKCFIFYLGPVYITPSLSLRLLSFIHSLLSLSLSLSEKEKKKGRKRRNKWWGPAAGQAKSSEMAQDIEDKKHLFLNHSFPLPFSNMSSALAKLPLQMKRYPTVEDIPLGNQRSLSNNPKKNEEW >ONI02649 pep chromosome:Prunus_persica_NCBIv2:G6:22077526:22078493:1 gene:PRUPE_6G212500 transcript:ONI02649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQHKEHLEATILGIPNCGRFTGHPNVHKSKWLAKFSRLAKDKCFIFYLGPVYITPSLSLRLLSFIHSLLSLSLSLSEKEKKKGRKRRNKWWGPAAGQAKSSEMAQDIEDKKHLFLNHSFPLPFSNMSSALAKLPLQMKRYPTVEDIPLGNQRSLSNNPKKNEEW >ONI02648 pep chromosome:Prunus_persica_NCBIv2:G6:22077526:22079107:1 gene:PRUPE_6G212500 transcript:ONI02648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQHKEHLEATILGIPNCGRFTGHPNVHKSKWLAKFSRLAKDKCFIFYLGPVYITPSLSLRLLSFIHSLLSLSLSLSEKEKKKGRKRRNKWWGPAAGQAKSSEMAQDIEDKKHLFLNHSFPLPFSNMSSALAKLPLQMKRYPTVEDIPLGNQREKPTQHHSLIERFRGRQDGARQF >ONI00927 pep chromosome:Prunus_persica_NCBIv2:G6:8058470:8063480:1 gene:PRUPE_6G112100 transcript:ONI00927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGYKKLFGSQNLRQQLVLSTLTFTPIQIHDIRNNETWPGLRKYEVSLLKLLALVCHGCSFEINDTGNGFKFKPGIVMGGSKLEHDCGVERSIGSHGYGMSLVAETTSGCYISADTTISHARGEQISEVDSEKKELVPPKDVGLKIASVLLGEIGQGGVVDLNLQGLLFLLCALCPQDVSKVRVGKLSPYGIDTLKNINDFLGVKFVITPCASTSTVFLKCVGCGLRKLSRKIS >ONH99081 pep chromosome:Prunus_persica_NCBIv2:G6:751393:752633:-1 gene:PRUPE_6G009900 transcript:ONH99081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSKKLSVALSSILVLMLLVDFGSSNIDQDRAECAEQLVGLAPCLPYVGGDAKAPTLDCCTGIKEVEQKSKKCLCVLIKDRNDPNLGLKINATLALQLPTSCHVPVNISRCVDLLNLPSNSPDAKMFREYANKTAASSTVPIASGNSTSNGTVAQAKSDGVSLEKRVLGVEMLLGSLALMWFYT >ONI03131 pep chromosome:Prunus_persica_NCBIv2:G6:23954348:23958114:-1 gene:PRUPE_6G240800 transcript:ONI03131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVRGSNSWRDELASLVSDSGIRYAAADPIEVPTASFDAQASDFGSGELEQSESFRDQIKGFAIAWGEILMDLGRGCKDIVEQNILTEDSYIVRKLRKPCAKASAKLRYLNEFLPEDRDPAHAWPVIFFVSILALTAMNFSTEHDSLVRSVKKVQIHPPSASHVLLPDGRRLAYHEQGVPAERTRFLLIAPHSFLSSRLAGIPGIKISLLEEFGIRLVTYDLPGFGESDPHPGRDLNSSSLDMLYLANGIGFDDKFWVLGHSSGAMHAWASLRYIPDRVAGAAMVAPIINPYEPGMTKEERKKIWEPWVLRRKVMYFLARRFPKFLSSFYRRSFLSGKHDRIDRWLSLSLGKKDEILIEDPKIEEYLQRDVEESIRQGSIKPFIEEAVLQVSEWGFSLADLQVQKQCQQRGFLRLFRSMYSEAECVLTGFLGQIHIWQGMGDMIVPPTVTDYIARVLPGAYVHKLPNEGHFSYLYFCDECQRQIFTTLFGSPQGPVEKKVATDTTPSEGNSEEI >ONI03132 pep chromosome:Prunus_persica_NCBIv2:G6:23954952:23957930:-1 gene:PRUPE_6G240800 transcript:ONI03132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVRGSNSWRDELASLVSDSGIRYAAADPIEVPTASFDAQASDFGSGELEQSESFRDQIKGFAIAWGEILMDLGRGCKDIVEQNILTEDSYIVRKLRKPCAKASAKLRYLNEFLPEDRDPAHAWPVIFFVSILALTAMNFSTEHDSLVRSVKKVQIHPPSASHVLLPDGRRLAYHEQGVPAERTRFLLIAPHSFLSSRLAGIPGIKISLLEEFGIRLVTYDLPGFGESDPHPGRDLNSSSLDMLYLANGIGFDDKFWVLGHSSGAMHAWASLRYIPDRVAGAAMVAPIINPYEPGMTKEERKKIWEPWVLRRKVMYFLARRFPKFLSSFYRRSFLSGKHDRIDRWLSLSLGKKDEILIEDPKIEEYLQRDVEESIRQGSIKPFIEEAVLQVSEWGFSLADLQVQKQCQQRGFLRLFRSMYSEAECVLTGFLGQIHIWQGMGDMIVPPTVTDYIARVLPGAYVHKLPNEGHFSYLYFCDECQRQIFTTLFGSPQGPVEKKVATDTTPSEGNSEEI >ONI03129 pep chromosome:Prunus_persica_NCBIv2:G6:23954348:23958824:-1 gene:PRUPE_6G240800 transcript:ONI03129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVRGSNSWRDELASLVSDSGIRYAAADPIEVPTASFDAQASDFGSGELEQSESFRDQIKGFAIAWGEILMDLGRGCKDIVEQNILTEDSYIVRKLRKPCAKASAKLRYLNEFLPEDRDPAHAWPVIFFVSILALTAMNFSTEHDSLVRSVKKVQIHPPSASHVLLPDGRRLAYHEQGVPAERTRFLLIAPHSFLSSRLAGIPGIKISLLEEFGIRLVTYDLPGFGESDPHPGRDLNSSSLDMLYLANGIGFDDKFWVLGHSSGAMHAWASLRYIPDRVAAMVAPIINPYEPGMTKEERKKIWEPWVLRRKVMYFLARRFPKFLSSFYRRSFLSGKHDRIDRWLSLSLGKKDEILIEDPKIEEYLQRDVEESIRQGSIKPFIEEAVLQVSEWGFSLADLQVQKQCQQRGFLRLFRSMYSEAECVLTGFLGQIHIWQGMGDMIVPPTVTDYIARVLPGAYVHKLPNEGHFSYLYFCDECQRQIFTTLFGSPQGPVEKKVATDTTPSEGNSEEI >ONI03130 pep chromosome:Prunus_persica_NCBIv2:G6:23954952:23957930:-1 gene:PRUPE_6G240800 transcript:ONI03130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVRGSNSWRDELASLVSDSGIRYAAADPIEVPTASFDAQASDFGSGELEQSESFRDQIKGFAIAWGEILMDLGRGCKDIVEQNILTEDSYIVRKLRKPCAKASAKLRYLNEFLPEDRDPAHAWPVIFFVSILALTAMNFSTEHDSLVRSVKKVQIHPPSASHVLLPDGRRLAYHEQGVPAERTRFLLIAPHSFLSSRLAGIPGIKISLLEEFGIRLVTYDLPGFGESDPHPGRDLNSSSLDMLYLANGIGFDDKFWVLGHSSGAMHAWASLRYIPDRVAGAAMVAPIINPYEPGMTKEERKKIWEPWVLRRKVMYFLARRFPKFLSSFYRRSFLSGKHDRIDRWLSLSLGKKDEILIEDPKIEEYLQRDVEESIRQGSIKPFIEEAVLQVSEWGFSLADLQVQKQCQQRGFLRLFRSMYSEAECVLTGFLGQIHIWQGMGDMIVPPTVTDYIARVLPGAYVHKLPNEGHFSYLYFCDECQRQIFTTLFGSPQGPVEKKVATDTTPSEGNSEEI >ONH99186 pep chromosome:Prunus_persica_NCBIv2:G6:1164480:1164934:1 gene:PRUPE_6G016200 transcript:ONH99186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONI00189 pep chromosome:Prunus_persica_NCBIv2:G6:5010337:5012421:-1 gene:PRUPE_6G073500 transcript:ONI00189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELNFGHGSNSVSSDQNLVNGLQVNHEPTNKLLLPANLDRPNDSSTSSEGDTVDGTDCNLPVLKYISDILLEEDLEGKPCMLEDILGLQAAEKSFYDALNQMNPPLPNHPSFSVYQSFENSDSGFQSSNGSISGFQSSNGSIAAKTGSVWDFSETSHVQTSPVESLSDITLVSDSFSNMQCLGNSRGVGEGNEIIDLEGYQCMPPSPDTLYRNLASVPENYGYNSTNGSKGKKNRQREDGHYTEEGRSNKQSAAFADDSDPQEMFDKVLQGVNPESESCFHDESLNAEGTRKLQHNKQSKGSKTTCSKKPNSNRQVVDLCKLLTECAQAVGSYDQQSASELLKQIRQHSSPYGSATQRLAHYFAEGLEARLAGARSLSYPPLLFMHTSTTEFLKAYQVYVSACPFKKMFHFFANRTIMKQTEKATRLHIIDFGISYGLQWACLIQSLSEKTGGPPNLRITAIELPQPGFRPAERIEETGRRLAKYSKRYSVPFEYNVIAKKWETIRLEELQIDRNEVIVVNCMHRLKQIPDETVMMNNPRDAVLNLIKRINPDLFMHGVVNGTYNAPAFVTRFKQLLFHFHALFDMYEATVPRDNEHRLWFERAIFGTDIVNVIACEGLERVERPETYKQWQARYVRAGFRQLPLDRELLKKMKTMLKMMGYHKDFGIENDGEWMLQGWKGRIIIALSALKPA >ONI03712 pep chromosome:Prunus_persica_NCBIv2:G6:26014191:26018998:-1 gene:PRUPE_6G276600 transcript:ONI03712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWGAVQLSLPTCAFESSRKPLNFGHCHFRIACFSSSSESNLQKGDDDENQADHGRNRGLPHVILVERYGNGTAKRYVVDDDLKVQNFVEEERSLLSNNSESSHFSNSTLSWLPDIVKDFIFPAGFPGSVSDDYLLYMLLQFPTNVTAWICHTLVTSSLLKAVGVGSFSGSTAAASAAAIRWVSKDGIGAVGRLFVGGRFGNVFDDDPKQWRLYADFIGSAGSIFDLTTPLYPAYFLPLASLGNLAKAVARGLKDPSNRVIQNHFAVEGNLGEIAAKEEVWEVAAQLLGLGLGILFLDTPGLVRAYPVLATTWMVMRLLHLWLRYQSLSVLQFNSLNLKRARILVKSHVLHSIVPGSVDCNREENILLWPRFMTPRITFGVPLEEMIFGEITAPMLKALLELYTKEKYILVVNQQISDFEVFVSFKVGATSISVLRSVWQAYWLYENWNRLGNAFDQLRKSLLEMEDRFEDFIQQLNGSGWDTSQINLKVPREISLDELSPI >ONI04858 pep chromosome:Prunus_persica_NCBIv2:G6:29591727:29596057:1 gene:PRUPE_6G344100 transcript:ONI04858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQVVKVKRETLEACMTCPLCNKLLKEATTISLCLHTFCRKCIYEKLSDEEVDCCPVCNIDLGCLPVEKLRPDHNLQDIRAKIFPLKRRKIDAPEITPEVTPEVTHEVTPSISLPVKRKERSLSSLVVSTPKVPMQTGLTGRRSRALTRKAAALRGCSFSAEEPVKRDDSTEDHPMSSSSTETLDRGPRNKMQDSPEPSHQQNPNRDMNNDVEIIEGKADLWTPLNCLVEAANRTKSSKSNSQGLFHAKSEPLNALDGELYMSENKAAEEKSPNTLDSDAYMPKTKLKEPGQSARGKNNSNGTGVAGPVKNRRLRAGNRNRVAPSGESSASAQAMLDACGDKHSRRNSTIWFSLVATENWKGDGSLPQIPSSYLRIKDGKMPVSFIQKYLAKKLELNSEDEVEIMCRGQSVLPTLQLENLVDLWFRTATTPKKVPAVVGSSAKDFVMTLSYCRRVQNP >ONI04859 pep chromosome:Prunus_persica_NCBIv2:G6:29592672:29596057:1 gene:PRUPE_6G344100 transcript:ONI04859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTGLTGRRSRALTRKAAALRGCSFSAEEPVKRDDSTEDHPMSSSSTETLDRGPRNKMQDSPEPSHQQNPNRDMNNDVEIIEGKADLWTPLNCLVEAANRTKSSKSNSQGLFHAKSEPLNALDGELYMSENKAAEEKSPNTLDSDAYMPKTKLKEPGQSARGKNNSNGTGVAGPVKNRRLRAGNRNRVAPSGESSASAQAMLDACGDKHSRRNSTIWFSLVATENWKGDGSLPQIPSSYLRIKDGKMPVSFIQKYLAKKLELNSEDEVEIMCRGQSVLPTLQLENLVDLWFRTATTPKKVPAVVGSSAKDFVMTLSYCRRVQNP >ONI02927 pep chromosome:Prunus_persica_NCBIv2:G6:23297812:23299312:-1 gene:PRUPE_6G229700 transcript:ONI02927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEEPQELQNPPMAFDALFCEEGLEEDLGDNGIEEESEGCVGNMEKQSTFPLVLLENDFFWEDDELASLISKEEQTHVCFSGSISDGPLMAARKEAVEWILSVKAHYGFSSLTTVLAVNYFDRFIASLRFRRDKPWMSQLAAVACVSLAAKVEEIHVPLLLDLQVESSKYVFEAKTIQRMELLVLSTLGWRMNPVTPNSFFDHIIRRFGLKIHLHWEFLWRCERLLLSVIADSRFMCFMPSILATATMLHVIDEIEAFNPVEYQSQLMNVLKVSKDRVNECYKLILELSGSYGNIHNQSHKRKHLSVPGSPNGVIDASFSCDTSNDSWAAASPVSSSPDPRFKRSKLQDQQMRLPSLNRVSVDVLSSPH >ONI02928 pep chromosome:Prunus_persica_NCBIv2:G6:23297675:23299493:-1 gene:PRUPE_6G229700 transcript:ONI02928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEEPQELQNPPMAFDALFCEEGLEEDLGDNGIEEESEGCVGNMEKQSTFPLVLLENDFFWEDDELASLISKEEQTHVCFSGSISDGPLMAARKEAVEWILSVKAHYGFSSLTTVLAVNYFDRFIASLRFRRDKPWMSQLAAVACVSLAAKVEEIHVPLLLDLQVESSKYVFEAKTIQRMELLVLSTLGWRMNPVTPNSFFDHIIRRFGLKIHLHWEFLWRCERLLLSVIADSRFMCFMPSILATATMLHVIDEIEAFNPVEYQSQLMNVLKVSKSQ >ONI02926 pep chromosome:Prunus_persica_NCBIv2:G6:23297723:23299424:-1 gene:PRUPE_6G229700 transcript:ONI02926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEEPQELQNPPMAFDALFCEEGLEEDLGDNGIEEESEGCVGNMEKQSTFPLVLLENDFFWEDDELASLISKEEQTHVCFSGSISDGPLMAARKEAVEWILSVKAHYGFSSLTTVLAVNYFDRFIASLRFRRDKPWMSQLAAVACVSLAAKVEEIHVPLLLDLQVESSKYVFEAKTIQRMELLVLSTLGWRMNPVTPNSFFDHIIRRFGLKIHLHWEFLWRCERLLLSVIADSRFMCFMPSILATATMLHVIDEIEAFNPVEYQSQLMNVLKDRVNECYKLILELSGSYGNIHNQSHKRKHLSVPGSPNGVIDASFSCDTSNDSWAAASPVSSSPDPRFKRSKLQDQQMRLPSLNRVSVDVLSSPH >ONI00017 pep chromosome:Prunus_persica_NCBIv2:G6:4338795:4351700:1 gene:PRUPE_6G062900 transcript:ONI00017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIVAANGLSFRVGFSGHSGHLRLEPLSTDESSNPVNSLPDFILPPAFARETPESIKEYIEDTYLLPRLDPEVFSPEKVGRQWDFDWFDNANVPLEPSLPRTVVVPTWELPFRSQNDGSVGGQWEPKSVQVDVSELIVGAQESGSLPRVAGPAKDFVRGSINNRPFRPGGLDDSKSLERVLPDGASNGEWVHELLIGGSAQAVPPSFKQGLDLGDLKAYPCSWNVYKDQSPLKSTSDEKVDLQSELSVQFDDLFKKAWEEDVVEFEGDGQLSGSESVKSEDEANEVDVARNSCEPELSVLDEILSVEANSRFNETDEDGEKNPEAWAISGGTEWIAENFYDLIPDKALDYPFELDKFQKEAIYYLEKGDSVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINIVLLSATVPNKVEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKICESESFIPQGFKAAKDAFKKKNMSAATGGSGSHAPAPASHDGARTQKQSSNWGKQKKQSGPQNSGNFSKAGGSNQNNGNGMNNWGLRRSDASLWLSLINKLSKKSLLPVVIFCFSKNRCDKSADSMYGIDLTSSSEKSEIRVFCDKAFSRLKGSDRTLPQVVRVQNLLHRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKIGTVIVMCRDEILEESDLKHVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEQQQLLMRKLAQPTKTIECIKGEPAIEEYYDMYSEAETYYTEILEAVMQSSAAQKFLTAGRVVVMKSQSAQDHLLGVIVKASSSSNKQYIVLVLKPELQTPLASGNLQDSKNTDFPQGYFMAPKSKRAIEEDYFPGVTSRKGSGVINIKLPHQGSAAGVRFEVREVDNKDFLCICNCKIKIDQVRLLEDVSSHAYSKTVQQLLGTKSNGNKYPPALDPMEDVLKEIGCIDADLVVQIKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQKNTSEPSLTPKLSQAKQRLYNTAIRLGELQGHFKVQINPEEYARENLKFGLVQVVYEWAKGTPFADICELTDVPEGMIVRTIVRLDETCREFKNAASIMGNSALYKKMETASNAIKRDIVFAASLYVTGV >ONI00018 pep chromosome:Prunus_persica_NCBIv2:G6:4338727:4351937:1 gene:PRUPE_6G062900 transcript:ONI00018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIVAANGLSFRVGFSGHSGHLRLEPLSTDESSNPVNSLPDFILPPAFARETPESIKEYIEDTYLLPRLDPEVFSPEKVGRQWDFDWFDNANVPLEPSLPRTVVVPTWELPFRSQNDGSVGGQWEPKSVQVDVSELIVGAQESGSLPRVAGPAKDFVRGSINNRPFRPGGLDDSKSLERVLPDGASNGEWVHELLIGGSAQAVPPSFKQGLDLGDLKAYPCSWNVYKDQSPLKSTSDEKDLQSELSVQFDDLFKKAWEEDVVEFEGDGQLSGSESVKSEDEANEVDVARNSCEPELSVLDEILSVEANSRFNETDEDGEKNPEAWAISGGTEWIAENFYDLIPDKALDYPFELDKFQKEAIYYLEKGDSVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINIVLLSATVPNKVEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKICESESFIPQGFKAAKDAFKKKNMSAATGGSGSHAPAPASHDGARTQKQSSNWGKQKKQSGPQNSGNFSKAGGSNQNNGNGMNNWGLRRSDASLWLSLINKLSKKSLLPVVIFCFSKNRCDKSADSMYGIDLTSSSEKSEIRVFCDKAFSRLKGSDRTLPQVVRVQNLLHRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKIGTVIVMCRDEILEESDLKHVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEQQQLLMRKLAQPTKTIECIKGEPAIEEYYDMYSEAETYYTEILEAVMQSSAAQKFLTAGRVVVMKSQSAQDHLLGVIVKASSSSNKQYIVLVLKPELQTPLASGNLQDSKNTDFPQGYFMAPKSKRAIEEDYFPGVTSRKGSGVINIKLPHQGSAAGVRFEVREVDNKDFLCICNCKIKIDQVRLLEDVSSHAYSKTVQQLLGTKSNGNKYPPALDPMEDLKLRDVNQVETYYKWTNLLQKMAKNKCHGCTKLEEHIILAREIKRHKEEVNALKYEMSDEALQQMPDFQGRIDVLKEIGCIDADLVVQIKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQKNTSEPSLTPKLSQAKQRLYNTAIRLGELQGHFKVQINPEEYARENLKFGLVQVVYEWAKGTPFADICELTDVPEGMIVRTIVRLDETCREFKNAASIMGNSALYKKMETASNAIKRDIVFAASLYVTGV >ONI00016 pep chromosome:Prunus_persica_NCBIv2:G6:4338795:4351700:1 gene:PRUPE_6G062900 transcript:ONI00016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIVAANGLSFRVGFSGHSGHLRLEPLSTDESSNPVNSLPDFILPPAFARETPESIKEYIEDTYLLPRLDPEVFSPEKVGRQWDFDWFDNANVPLEPSLPRTVVVPTWELPFRSQNDGSVGGQWEPKSVQVDVSELIVGAQESGSLPRVAGPAKDFVRGSINNRPFRPGGLDDSKSLERVLPDGASNGEWVHELLIGGSAQAVPPSFKQGLDLGDLKAYPCSWNVYKDQSPLKSTSDEKVDLQSELSVQFDDLFKKAWEEDVVEFEGDGQLSGSESVKSEDEANEVDVARNSCEPELSVLDEILSVEANSRFNETDEDGEKNPEAWAISGGTEWIAENFYDLIPDKALDYPFELDKFQKEAIYYLEKGDSVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINIVLLSATVPNKVEFADWIGRTKQKKIRVTGTTKRPVPLEHCLFYSGELYKICESESFIPQGFKAAKDAFKKKNMSAATGGSGSHAPAPASHDGARTQKQSSNWGKQKKQSGPQNSGNFSKAGGSNQNNGNGMNNWGLRRSDASLWLSLINKLSKKSLLPVVIFCFSKNRCDKSADSMYGIDLTSSSEKSEIRVFCDKAFSRLKGSDRTLPQVVRVQNLLHRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKIGTVIVMCRDEILEESDLKHVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEQQQLLMRKLAQPTKTIECIKGEPAIEEYYDMYSEAETYYTEILEAVMQSSAAQKFLTAGRVVVMKSQSAQDHLLGVIVKASSSSNKQYIVLVLKPELQTPLASGNLQDSKNTDFPQGYFMAPKSKRAIEEDYFPGVTSRKGSGVINIKLPHQGSAAGVRFEVREVDNKDFLCICNCKIKIDQVRLLEDVSSHAYSKTVQQLLGTKSNGNKYPPALDPMEDLKLRDVNQVETYYKWTNLLQKMAKNKCHGCTKLEEHIILAREIKRHKEEVNALKYEMSDEALQQMPDFQGRIDVLKEIGCIDADLVVQIKGRVACEMNSGEELICTECLFENQLDDLEPEEAVALMSAFVFQQKNTSEPSLTPKLSQAKQRLYNTAIRLGELQGHFKVQINPEEYARENLKFGLVQVVYEWAKGTPFADICELTDVPEGMIVRTIVRLDETCREFKNAASIMGNSALYKKMETASNAIKRDIVFAASLYVTGV >ONH99259 pep chromosome:Prunus_persica_NCBIv2:G6:1749338:1751995:1 gene:PRUPE_6G021600 transcript:ONH99259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFELAYAFCFLLLLLHLPFPTTAQTNKNIPLGSSLTADNSSFWASPSGEFAFGFQEIGRNGFLLAIWFNKIPERTIVWSANGNNLVAKGSKVELTADGQFRLNDAATGKQIWVADSAGTGVSYAAMLDTGNFVLANRSSINLWESFDQPTDTILPLQTLNQTSTLFARYTATNYSKGRFRFALQPDGDLLLYTTHFPLDSANTIYWSTDTAGSGYQVIFNQSGSIYLTARNRSILHMISNSTVSTQDFYQRATLDYDGVLRHYVYPKSTGSSAAGWLKAWTSLSFIPPNICMTILQEKGGGACGYNSICRHDQGTICRCPPGYSFINPDDMLKGCKKNFISQSCDAASPETDHFYFQEMQNTDWPKSEYEKFEVVTEDWCRQACLADCFCAVANFRNGQCWLKGSPLLNGRVDPINGVTGLVKIRKENSTMGPGGGYSKKKDNSTLIVVGSVLLSSSGFLNFLLLLTIYLVVSRIYYRKAKVRQPYLVMNLKYFTYEELEEATNGFKEELGHGGFATVFKGVLGADMGKFVAVKRLDSMVKESEWEFKAEMSAISRTNHRNLVQLLGYCNEGEHRLLVYEFMSNGSLAGFLFGESRPNWYQRREIALGIARGLLYLHEECNSQIIHCDIKPQNILLDDSFTARISDFGLAKLLKMDQTHTTTGMRGTRGYLAPEWFKNMPITGKVDVYSYGIMLLEIICCRRNFQKEAEDEDQMVLADWAYDCYEQKKVHLLLQNDDEATEDIQKLEKYVMIAMWCIQEDPSLRPTAKKLTMMLEGTVEVSIPPDPSSFTSSIQ >ONI03531 pep chromosome:Prunus_persica_NCBIv2:G6:25271637:25277855:1 gene:PRUPE_6G262900 transcript:ONI03531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHASGRGRVVGDYLVGPQIGSGSFSVVWHARHRVHGTEVAIKEIATGRLNKKLQESLMSEIFILKKINHPNIITLHDIIEVPGKINLVLEYCRGGDLSMYIQRHGKVPEAIAKHFMQQLVAGLQMLRDNNLIHRDLKPQNLLLSTNDNNSVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTELQFPSDSSNLSSECKDLCQKLLRRNPVERLTFEEFFNHPYLSQKSAYNSSRSRKTSRMAEGFPMSECNPMRNMEESSQEDCLPFLLDDDSSGPEGSPSYSRRRPSMKSTYGFSLDTKVDRREASPTTSYRYGSATRKDNSSLRLDNHRLSDRNLTDPLGSMDQKPMNARSRVVDSLDLIDQDYVLVSGPPVDVSSSSVSASKPSPSLYKSESLPQESLTTSSSAPMQIMGAANSNMCCIGSLDSQSSAPGTSLGSTDMGDALEQPSTHCMTRLNSLQQCVSAITELVHEKVEAGKHLEAFSVQLVILAIWKQALHICHTQAASAMEGSPSQETTRFRSTNKKHGSSDAEECLDVVNTQGPEDISSQIEREFLREVEHAEELAKAIDPGHTEMPDAMETIFQSALVFGRHGG >ONI03530 pep chromosome:Prunus_persica_NCBIv2:G6:25271637:25277852:1 gene:PRUPE_6G262900 transcript:ONI03530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHASGRGRVVGDYLVGPQIGSGSFSVVWHARHRVHGTEVAIKEIATGRLNKKLQESLMSEIFILKKINHPNIITLHDIIEVPGKINLVLEYCRGGDLSMYIQRHGKVPEAIAKHFMQQLVAGLQMLRDNNLIHRDLKPQNLLLSTNDNNSVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTELQFPSDSSNLSSECKDLCQKLLRRNPVERLTFEEFFNHPYLSQKSAYNSSRSRKTSRMAEGFPMSECNPMRNMEESSQEDCLPFLLDDDSSGPEGSPSYSRRRPSMKSTYGFSLDTKVDRREASPTTSYRYGSATRKDNSSLRLDNHRLSDRNLTDPLGSMDQKPMNARSRVVDSLDLIDQDYVLVSGPPVDVSSSSVSASKPSPSLYKSESLPQESLTTSSSAPMQIMGAANSNMCCIGSLDSQSSAPGTSLGSTDMGDALEQPSTHCMTRLNSLQQCVSAITELVHEKVEAGKHLEAFSVQLVILAIWKQALHICHTQAASAMEGSPSQETTRFRSTNKKHGSSDAEECLDVVNTQGPEDISSQIEREFLREVEHAEELAKAIDPGHTEMPDAMETIFQSALVFGRHGGVTHG >ONI03529 pep chromosome:Prunus_persica_NCBIv2:G6:25271231:25278127:1 gene:PRUPE_6G262900 transcript:ONI03529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHASGRGRVVGDYLVGPQIGSGSFSVVWHARHRVHGTEVAIKEIATGRLNKKLQESLMSEIFILKKINHPNIITLHDIIEVPGKINLVLEYCRGGDLSMYIQRHGKVPEAIAKHFMQQLVAGLQMLRDNNLIHRDLKPQNLLLSTNDNNSVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTELQFPSDSSNLSSECKDLCQKLLRRNPVERLTFEEFFNHPYLSQKSAYNSSRSRKTSRMAEGFPMSECNPMRNMEESSQEDCLPFLLDDDSSGPEGSPSYSRRRPSMKSTYGFSLDTKVDRREASPTTSYRYGSATRKDNSSLRLDNHRLSDRNLTDPLGSMDQKPMNARSRVVDSLDLIDQDYVLVSGPPVDVSSSSVSASKPSPSLYKSESLPQESLTTSSSAPMQIMGAANSNMCCIGSLDSQSSAPGTSLGSTDMGDALEQPSTHCMTRLNSLQQCVSAITELVHEKVEAGKHLEAFSVQLVILAIWKQALHICHTQAASAMEGSPSQETTRFRSTNKKHGSSDAEECLDVVNTQGPEDISSQIEREFLREVEHAEELAKAIDPGHTEMPDAMETIFQSALVFGRHGGVDELMGDMEGAAVFYSKAVRLLVFLLVEAPSLILNPPFSLTSSDRYRLQTYIGILNNRQGYSRSQRMAILKCEEQQCPP >ONI02585 pep chromosome:Prunus_persica_NCBIv2:G6:21683643:21690383:-1 gene:PRUPE_6G208400 transcript:ONI02585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNLKQWSWVLGSFAMLALFSLSRDDHGSVRTPPVRRELRSVSRRDNTYNQMLSKTDNQVVLNNGLVQLTFSYPGGDVIGIKYKGIDNLLDIKNQPSNRGYWDLVWNKRGEKGGVDKLQGTRFKVVRTTSDQIEISFTKTYSHSLGNASVPLNVDKRYIMQRGRSGFYAYAIFERLKGMPEVDMDQIRIVYKLQQDKFRYMAISDDRKRVMPTADDRANGLPLAYPEAVLLTNPSNPDFRGEVDDKYQYSSEDKDNKVHGWICKDPAVGFWIITPSDEFRTAGPFKQDLTSHVGPTALSMFVSTHYAGKEVGMTFRDGEAWKKVFGPVFIHLNSAPSSNEYLTLWENAKEQLVEEMQRWPYNFTQSKDFLSSDQRGSVAGQLLVRDRYRNKRLIWASSAYVGLAAPGNAGSWQKESKGYQFWTQANKQGYFLIKDVRPGNYSLYATVPGFIGDYKYEANIIIQPGKEINLADLTYEPPRNGPTLWEIGIPDRSAAEFNVPDPSPTLMNQLYTNHTDKFRQYGLWERYSDLYPNHDLIYTVGTDNYPDDWFFAHVTRNTGNQTYEPTTWQIRFQLNKGANPGNYTLQLALASATNAEVQVRVNDWSANPPHFTTGLIGKDNAIARHGIHGLYWLFSVGLRNDRLQEGNNTIYLTQSRHKTTFDGIMYDYLRLEGPPQE >ONI02584 pep chromosome:Prunus_persica_NCBIv2:G6:21683643:21689902:-1 gene:PRUPE_6G208400 transcript:ONI02584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNLKQWSWVLGSFAMLALFSLSRDDHGSVRTPPVRRELRSVSRRDNTYNQMLSKTDNQVVLNNGLVQLTFSYPGGDVIGIKYKGIDNLLDIKNQPSNRGYWDLVWNKRGEKGGVDKLQGTRFKVVRTTSDQIEISFTKTYSHSLGNASVPLNVDKRYIMQRGRSGFYAYAIFERLKGMPEVDMDQIRIVYKLQQDKFRYMAISDDRKRVMPTADDRANGLPLAYPEAVLLTNPSNPDFRGEVDDKYQYSSEDKDNKVHGWICKDPAVGFWIITPSDEFRTAGPFKQDLTSHVGPTALSMFVSTHYAGKEVGMTFRDGEAWKKVFGPVFIHLNSAPSSNEYLTLWENAKEQLVEEMQRWPYNFTQSKDFLSSDQRGSVAGQLLVRDRYRNKRLIWASSAYVGLAAPGNAGSWQKESKGYQFWTQANKQGYFLIKDVRPGNYSLYATVPGFIGDYKYEANIIIQPGKEINLADLTYEPPRNGPTLWEIGIPDRSAAEFNVPDPSPTLMNQLYTNHTDKFRQYGLWERYSDLYPNHDLIYTVGTDNYPDDWFFAHVTRNTGNQTYEPTTWQIRFQLNKGANPGNYTLQLALASATNAEVQVRVNDWSANPPHFTTGLIGKDNAIARHGIHGLYWLFSVGLRNDRLQEGNNTIYLTQSRHKTTFDGIMYDYLRLEGPPQE >ONI02583 pep chromosome:Prunus_persica_NCBIv2:G6:21684783:21690383:-1 gene:PRUPE_6G208400 transcript:ONI02583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNLKQWSWVLGSFAMLALFSLSRDDHGSVRTPPVRRELRSVSRRDNTYNQMLSKTDNQVVLNNGLVQLTFSYPGGDVIGIKYKGIDNLLDIKNQPSNRGYWDLVWNKRGEKGGVDKLQGTRFKVVRTTSDQIEISFTKTYSHSLGNASVPLNVDKRYIMQRGRSGFYAYAIFERLKGMPEVDMDQIRIVYKLQQDKFRYMAISDDRKRVMPTADDRANGLPLAYPEAVLLTNPSNPDFRGEVDDKYQYSSEDKDNKVHGWICKDPAVGFWIITPSDEFRTAGPFKQDLTSHVGPTALSMFVSTHYAGKEVGMTFRDGEAWKKVFGPVFIHLNSAPSSNEYLTLWENAKEQLVEEMQRWPYNFTQSKDFLSSDQRGSVAGQLLVRDRYRNKRLIWASSAYVGLAAPGNAGSWQKESKGYQFWTQANKQGYFLIKDVRPGNYSLYATVPGFIGDYKYEANIIIQPGKEINLADLTYEPPRNGPTLWEIGIPDRSAAEFNVPDPSPTLMNQLYTNHTDKFRQYGLWERYSDLYPNHDLIYTVGTDNYPDDWFFAHVTRNTGNQTYEPTTWQIRFQLNKGANPGNYTLQLALASATNAEVQVRVNDWSANPPHFTTGLIGKDNAIARHGIHGLYWLFSVGLRNDRLQEGNNTIYLTQSRHKTTFDGIMYDYLRLEGPPQE >ONI04106 pep chromosome:Prunus_persica_NCBIv2:G6:27454813:27457962:-1 gene:PRUPE_6G302900 transcript:ONI04106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVYAVLCVRQTLHLGLWPLGVRREAVSSPTSSQKAFSFLSAFSLFLSLLATVMGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFSRTIRLLEAGIKPLYVFDGKPPDLKKQELAKRYSKRADATEDLAAAVEVGNKEDIEKYSKRTVKVTKQHNDDCKRLLRLMGVPVIEAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMDPSSRKIPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARRLFKEPVALSDEEQLEIKWIAPDEEGLISFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPTANPSVPIKRKM >ONI04104 pep chromosome:Prunus_persica_NCBIv2:G6:27454247:27457905:-1 gene:PRUPE_6G302900 transcript:ONI04104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVYAVLCVRQTLHLGLWPLGVRREAVSSPTSSQKAFSFLSAFSLFLSLLATVMGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFSRTIRLLEAGIKPLYVFDGKPPDLKKQELAKRYSKRADATEDLAAAVEVGNKEDIEKYSKRTVKVTKQHNDDCKRLLRLMGVPVIEAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMDPSSRKIPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARRLFKEPVALSDEEQLEIKWIAPDEEGLISFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPTANPSVPIKRKETKCVLKSSKPAIKHKMFCLQDCNSFRPTVSGSIRLTILGLGLKHSTPLTRGVCFGA >ONI04101 pep chromosome:Prunus_persica_NCBIv2:G6:27453480:27457962:-1 gene:PRUPE_6G302900 transcript:ONI04101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVYAVLCVRQTLHLGLWPLGVRREAVSSPTSSQKAFSFLSAFSLFLSLLATVMGIKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFSRTIRLLEAGIKPLYVFDGKPPDLKKQELAKRYSKRADATEDLAAAVEVGNKEDIEKYSKRTVKVTKQHNDDCKRLLRLMGVPVIEAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMDPSSRKIPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARRLFKEPVALSDEEQLEIKWIAPDEEGLISFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPTANPSVPIKRKETPQNTAKEPANKKPKAGGGRKKK >ONI04105 pep chromosome:Prunus_persica_NCBIv2:G6:27453905:27457962:-1 gene:PRUPE_6G302900 transcript:ONI04105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVYAVLCVRQTLHLGLWPLGVRREAVSSPTSSQKAFSFLSAFSLFLSLLATVMGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFSRTIRLLEAGIKPLYVFDGKPPDLKKQELAKRYSKRADATEDLAAAVEVGNKEDIEKYSKRTVKVTKQHNDDCKRLLRLMGVPVIEAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMDPSSRKIPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARRLFKEPVALSDEEQLEIKWIAPDEEGLISFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPTANPSVPIKRKETKCVLKSSKPAIKHKMFCLQDCNSFRPTVSGSIRLTILGLGLKHSTPLTRGVCFGA >ONI04102 pep chromosome:Prunus_persica_NCBIv2:G6:27453480:27457962:-1 gene:PRUPE_6G302900 transcript:ONI04102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVYAVLCVRQTLHLGLWPLGVRREAVSSPTSSQKAFSFLSAFSLFLSLLATVMGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFSRTIRLLEAGIKPLYVFDGKPPDLKKQELAKRYSKRADATEDLAAAVEVGNKEDIEKYSKRTVKVTKQHNDDCKRLLRLMGVPVIEAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMDPSSRKIPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARRLFKEPVALSDEEQLEIKWIAPDEEGLISFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPTANPSVPIKRKETPQNTAKEPANKKPKAGGGRKKK >ONI04103 pep chromosome:Prunus_persica_NCBIv2:G6:27454247:27457905:-1 gene:PRUPE_6G302900 transcript:ONI04103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVYAVLCVRQTLHLGLWPLGVRREAVSSPTSSQKAFSFLSAFSLFLSLLATVMGIKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFSRTIRLLEAGIKPLYVFDGKPPDLKKQELAKRYSKRADATEDLAAAVEVGNKEDIEKYSKRTVKVTKQHNDDCKRLLRLMGVPVIEAPSEAEAQCAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMDPSSRKIPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARRLFKEPVALSDEEQLEIKWIAPDEEGLISFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPTANPSVPIKRKETKCVLKSSKPAIKHKMFCLQDCNSFRPTVSGSIRLTILGLGLKHSTPLTRGVCFGA >ONI02075 pep chromosome:Prunus_persica_NCBIv2:G6:18058586:18062855:1 gene:PRUPE_6G176200 transcript:ONI02075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNLLRVRKGAWTREEDELLRQYIQQYGEGKWHQVSLKAGLNRCRKSCRLRWLNYLRPNIKRGDFTEDEVDLMVRLRKLLGNRWSLIAGRIPGRTSNDVKNYWSTRLRKNKSSEAEKDKTLETTKTVILRPQPRTFSKKSNCLSSPAPTLQHIQLQENFNWPLPSSPPIENGIDEWKSQLADTNSVERAMCSGFQLEEDFFTNFWVENIAQNTGTGVNSADEGLLSYSDFSFHLWNFSKEK >ONI00469 pep chromosome:Prunus_persica_NCBIv2:G6:6188172:6192027:1 gene:PRUPE_6G090500 transcript:ONI00469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRNIAASSSSYCTSRRWRGMPCLHSNSTVVVFANDYFAFLHSQPSKPIKSTRTQLEQPVRNSSKITTVEDAFNVFDRMLQMRPPPSVVRFNQILGQVAKLKHYSAVIWLYNQMGASGIGPSVCTLTILINCYCHLNQMGFGLSVLGKFFKVCLEPDVFTFNTLINGFLRENRVVEASGIFNKMIAGGLLHERKMEEGACKPDLVVYSTIIDSLCKDTLVDDALNLFSEMMCKGIAPDVITYNSLMNGLLNEMVSKNIFPNVFTFNVLVDTLCKEGMVVEAEGVVEMMIKRDIDPDTVTYTTLMDGYCLRGEMGKAQKVFELMLSKGSVVNVVSYNTLINGYCKNKKIDEAMMLIGDWFQDAQKLFSKMQACGQLPDAQTYSILLDGLCKNRQLSSAMQLFGEMEAKKLDIDIVIYSILIEGLCVAGKFESARDLFCGLSSKGLQPDVRTYTIMINGLCIGGLTSEAEKLLVEMEGKGCSPNGCTYNTIIRGLISNKETSRAMVLIQQMVEKGLSADASTTEKTCIILGCISNIQ >ONI00073 pep chromosome:Prunus_persica_NCBIv2:G6:4588026:4588390:1 gene:PRUPE_6G066600 transcript:ONI00073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSFPRSSIFDPFKDIPVPSSSSLSTGKFSFPESTGRRAQKPTFQLPENAKVDEIKAAMENGALSVTVPKAEVKKADVKAIEIN >ONI03070 pep chromosome:Prunus_persica_NCBIv2:G6:23721602:23724940:1 gene:PRUPE_6G236400 transcript:ONI03070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIARSKKLEENEKKRSICQLQWVYQEHIYKHRQVLGHTTRQQHATGDCRESCLTREADKSHQFQFQPFLLHLRLPPTLRTSVQGVRGEIGAMNVSRPSVHPVEAPPLTDLAAQNALRVRMKDVQGMPGTTGGLVLRLCQLGFAAISLSVMATTSDFPSVTAFCYLVAAVSVQCVWSLSLAIVDVYALSVRRSLRNCKVVGFFTIGDGITSTLTFAAACASAGITVLIANDLNKCDVNHCKRFETATAMAFISWFAVSPSFLLNFWSLASR >ONI03495 pep chromosome:Prunus_persica_NCBIv2:G6:25098491:25099625:-1 gene:PRUPE_6G260300 transcript:ONI03495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYIGGNSESVEQDVIHSYTMAYGGGGFAISYPLAAELVKILDGCIDRYDKFYGSDQKIQGCLSEIGVPVTKELGFHQLDIRGSPYGLLAAHPLAPLVSLHHFDYFESIFPNLTQIDSVEKLVSGYEVDPSRILQQSFCYDLNRNWSVSVSWGYTVQFYPFLVTAKKLETAVGTFQTWRSWDTGPFTFNTQPISSDMCERPVVFMLDRVESVGGGKTLTGYKRYNMGKEETDCPRCNYTAVAVQFFNVSAPIFNPDLWNKAPRRQCCEIINGTDGADNVVHVQIRGCKRFESVTPQ >ONI03315 pep chromosome:Prunus_persica_NCBIv2:G6:24563771:24570542:-1 gene:PRUPE_6G250600 transcript:ONI03315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAVCLGQLTDGGVETDDFPRTELKRDHQCVVDDTEPDSFPNKKQAKEHSNEDIRSEVSNPVVSPKENASTFQDITSQPAEVENSNQVECGEVTSPCLGNSSSGETLSDGQRAENDNFQIDNDMNGDVLTSRVVVEIPKLASSSGIRKITFKFSKKKEDYDSQSVASISQTLSNGLGSGFPHGGSYEEPGTDFQAMASTSREFPASSYSRKYAETGNCHPCTPNRELEASNKVLSNYPTNVKKLLSTGILDGARVKYVSTTSEIALHGIISNGGYLCACSSCNFSKVLSAYEFEQHAGVKTRHPNNHIYLENGRPVYSIIQELKTAPLDSLDEVIRGVAGSSVNEESFCVWKATLHQSDGMAEVDKRPCVKLPKLPNSLPKLPHSLPKLPHSLPRPTHSLPRPSSHTPYSVMYQKKPAEGGNKRRDNDLHRLLFMPNGLPDGAKLAYYVKGQRLLGGYKQGNGIFCNCCDREISPSQFEAHAGMAARRQPYRHIYISNGLTLHDIAMSLANGQNLTIGGSDGNDDMCAVCGHDMGDMIFCDGCPRAYHSACLDLPWVPEGDWHCPNCRDKFEPGRKAAAGESSNFGKPIVIRLTRVFKAPEFEIGGCVVCRSHDFSAALFDDRTVIICDQCEKEFHVGCLRNSGLCDLKELPKDKWFCCDDCNKIHAALQNLVYNGAERIPAPLSDTIIRKHADRGIRIDGVTDDVQWRVFSGKSRYPEHLPFLSRAAAIFRECFDPIVAQSGRDLIPVMVYGRNISGQEFGGMYCVVLIVRSVVVSAGLLRVFGQEVAELPIVATSREHQGKGYFQALFSCIERLLISLKVEKLVLPAAEEAESIWTKKLGFRKMRDEQLSKYLKEVQLTIFRGTSMLEKVVKLMD >ONI01458 pep chromosome:Prunus_persica_NCBIv2:G6:11419213:11422463:1 gene:PRUPE_6G140900 transcript:ONI01458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNDGLQMLRVSHRPKDKSGVLKKKFAGIQADRKEREEAEAVKVAAQLKKTEVSAYLFSSSLSPKILEGLLGEGLSTHEQMNEVRHE >ONI01459 pep chromosome:Prunus_persica_NCBIv2:G6:11421078:11422463:1 gene:PRUPE_6G140900 transcript:ONI01459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNDGLQMLRVSHRPKDKSGVLKKKFAGIQADRKEREEAEAVKVAAQLKKTEVSAYLFSSSLSPKILEGLLGEGLSTHEQMNEVRHE >ONI01461 pep chromosome:Prunus_persica_NCBIv2:G6:11419544:11422463:1 gene:PRUPE_6G140900 transcript:ONI01461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNDGLQMLRVSHRPKDKSGVLKKKFAGIQADRKEREEAEAVKVAAQLKKTEVSAYLFSSSLSPKILEGLLGEGLSTHEQMNEVRHE >ONI01463 pep chromosome:Prunus_persica_NCBIv2:G6:11419213:11422463:1 gene:PRUPE_6G140900 transcript:ONI01463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNDGLQMLRVSHRPKDKSGVLKKKFAGIQADRKEREEAEAVKVAAQLKKTEVSAYLFSSSLSPKILEGLLGEGLSTHEQMNEVRHE >ONI01460 pep chromosome:Prunus_persica_NCBIv2:G6:11419213:11422463:1 gene:PRUPE_6G140900 transcript:ONI01460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNDGLQMLRVSHRPKDKSGVLKKKFAGIQADRKEREEAEAVKVAAQLKKTEVSAYLFSSSLSPKILEGLLGEGLSTHEQMNEVRHE >ONI01464 pep chromosome:Prunus_persica_NCBIv2:G6:11419213:11422463:1 gene:PRUPE_6G140900 transcript:ONI01464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNDGLQMLRVSHRPKDKSGVLKKKFAGIQADRKEREEAEAVKVAAQLKKTEVSAYLFSSSLSPKILEGLLGEGLSTHEQMNEVRHE >ONI01462 pep chromosome:Prunus_persica_NCBIv2:G6:11419213:11422463:1 gene:PRUPE_6G140900 transcript:ONI01462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNDGLQMLRVSHRPKDKSGVLKKKFAGIQADRKEREEAEAVKVAAQLKKTEVSAYLFSSSLSPKILEGLLGEGLSTHEQMNEVRHE >ONI04298 pep chromosome:Prunus_persica_NCBIv2:G6:28078158:28078589:-1 gene:PRUPE_6G314400 transcript:ONI04298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPRAKAFTQGKRVEVCSREDGFQGSYYGATILQNMGDNKYKVKYNRLVCEDDHSIPLEEVVEGDEIRPLPPLPPPKKTKAGFADGDRVDAFDNDGWWSGIITGKVGCYFGVYFETGHHIGYPERMLRHHMDWRNGEWFFYH >ONI03964 pep chromosome:Prunus_persica_NCBIv2:G6:26980478:26980852:-1 gene:PRUPE_6G293700 transcript:ONI03964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAEVNKQVILRTFGDDKSSLLDHFERLSVELQLNQAMLRRSLSEPTQIRSQQPLLICQAPSESEPPPPPPPLVTKKRRGSGLSKVLKKMIKPILSRMSAKKKEIPDAKDPRFWKTFSRSMRL >ONH99504 pep chromosome:Prunus_persica_NCBIv2:G6:2537867:2543221:1 gene:PRUPE_6G033300 transcript:ONH99504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRASSVGSQSQSLTDSSFSRTFKYLLATQFLSRGIPFIFNAWIVRHLSVEDFALYGVQFPLFVTCVLFLSREGVRRACLRADMKSDGTSTEENIAKIMTFAWLIPPCGILLTVAACGVVFWNKGLSFSDPYGQAILINGSACIFELLAEPLYILSQNLLLLKLRLVVETVATLSRCITMFILIVKQTYLDKAIVFSLSQTAYGVCLFLGYWVYFLLFGTCRSSAIFPFRVKNKKHYDGQLSDMCKLFTLQSFMKLILQEGQSLVLLWWATYYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGKDPNKDRRLGSSLTEALKLVLLIGLVFMAFGPSYAYSLIRLLYGQKWSDGEASTALRYYCIYIIVLAMNGTSESFLHAVAKEKQLVQSNLSTFMFAIIHVVLNILLINSAGAVGLILANSISILFLV >ONH99502 pep chromosome:Prunus_persica_NCBIv2:G6:2537867:2544541:1 gene:PRUPE_6G033300 transcript:ONH99502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRASSVGSQSQSLTDSSFSRTFKYLLATQFLSRGIPFIFNAWIVRHLSVEDFALYGVQFPLFVTCVLFLSREGVRRACLRADMKSDGTSTEENIAKIMTFAWLIPPCGILLTVAACGVVFWNKGLSFSDPYGQAILINGSACIFELLAEPLYILSQNLLLLKLRLVVETVATLSRCITMFILIVKQTYLLILQEGQSLVLLWWATYYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGKDPNKDRRLGSSLTEALKLVLLIGLVFMAFGPSYAYSLIRLLYGQKWSDGEASTALRYYCIYIIVLAMNGTSESFLHAVAKEKQLVQSNLSTFMFAIIHVVLNILLINSAGAVGLILANSINMILRIAYSGVFIKQYFQDSSSFSFYRCLPSGWKVLLFSGITTIISERIFLDRENFWPTFFVHFSIGVTCFCMSSFVLYRRERSFINRIIRFRDHSD >ONH99503 pep chromosome:Prunus_persica_NCBIv2:G6:2537867:2544541:1 gene:PRUPE_6G033300 transcript:ONH99503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRASSVGSQSQSLTDSSFSRTFKYLLATQFLSRGIPFIFNAWIVRHLSVEDFALYGVQFPLFVTCVLFLSREGVRRACLRADMKRVKNKKHYDGQLSDMCKLFTLQSFMKLILQEGQSLVLLWWATYYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGKDPNKDRRLGSSLTEALKLVLLIGLVFMAFGPSYAYSLIRLLYGQKWSDGEASTALRYYCIYIIVLAMNGTSESFLHAVAKEKQLVQSNLSTFMFAIIHVVLNILLINSAGAVGLILANSINMILRIAYSGVFIKQYFQDSSSFSFYRCLPSGWKVLLFSGITTIISERIFLDRENFWPTFFVHFSIGVTCFCMSSFVLYRRERSFINRIIRFRDHSD >ONH99505 pep chromosome:Prunus_persica_NCBIv2:G6:2540414:2544541:1 gene:PRUPE_6G033300 transcript:ONH99505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLFTLQSFMKLILQEGQSLVLLWWATYYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGKDPNKDRRLGSSLTEALKLVLLIGLVFMAFGPSYAYSLIRLLYGQKWSDGEASTALRYYCIYIIVLAMNGTSESFLHAVAKEKQLVQSNLSTFMFAIIHVVLNILLINSAGAVGLILANSINMILRIAYSGVFIKQYFQDSSSFSFYRCLPSGWKVLLFSGITTIISERIFLDRENFWPTFFVHFSIGVTCFCMSSFVLYRRERSFINRIIRFRDHSD >ONH99501 pep chromosome:Prunus_persica_NCBIv2:G6:2537867:2544541:1 gene:PRUPE_6G033300 transcript:ONH99501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRASSVGSQSQSLTDSSFSRTFKYLLATQFLSRGIPFIFNAWIVRHLSVEDFALYGVQFPLFVTCVLFLSREGVRRACLRADMKSDGTSTEENIAKIMTFAWLIPPCGILLTVAACGVVFWNKGLSFSDPYGQAILINGSACIFELLAEPLYILSQNLLLLKLRLVVETVATLSRCITMFILIVKQTYLDKAIVFSLSQTAYGVCLFLGYWVYFLLFGTCRSSAIFPFRVKNKKHYDGQLSDMCKLFTLQSFMKLILQEGQSLVLLWWATYYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGKDPNKDRRLGSSLTEALKLVLLIGLVFMAFGPSYAYSLIRLLYGQKWSDGEASTALRYYCIYIIVLAMNGTSESFLHAVAKEKQLVQSNLSTFMFAIIHVVLNILLINSAGAVGLILANSINMILRIAYSGVFIKQYFQDSSSFSFYRCLPSGWKVLLFSGITTIISERIFLDRENFWPTFFVHFSIGVTCFCMSSFVLYRRERSFINRIIRFRDHSD >ONI02961 pep chromosome:Prunus_persica_NCBIv2:G6:23400394:23403248:1 gene:PRUPE_6G231300 transcript:ONI02961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVAKPETLSDGTVNLMVWHCTIPGKTGTDWEGGFFPLTLHFSEDYPSKPPKCKFPPGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDATEYKKRVRQQAKQYPPLV >ONI01251 pep chromosome:Prunus_persica_NCBIv2:G6:10099381:10105169:-1 gene:PRUPE_6G130000 transcript:ONI01251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVAGAFAEALLEEVFEKMLSKEVLDFIQGRKLTEGLLKKLKITLLSVNAVLDDAEEKQISNQDVKQWLEELKEAVYDAEDLLNEIKTEALRCKVEAESGSSTSKMFRKLNKKLFSTWFYAIDKAIDSKIEEIIERLDFIEKKKDVLNLKAGARRRASQTIPSTSLVEDFTPYGRNEDIETIIKLLLDDMTENKISVVPIVGMGGIGKTTLAQLVYNNVRVKQHFELQAWVCVSEEFDVVRVTQTIYGSITSGACDLMDLNMLQVKLKEALTGKKFLLVLDDVWNDKYFNWDVLRRPFESGDHGSKIIVTTRNASVASVMGTLPTHHLRQISEEDCWLLFARHAFKSRRVGGNPNLEVIGRQIIRKCKGLPLAAKSLGGLLSSESNIEEWENILKNDIWQLSDKDSNILPALWLSYHYLPRHLKRCFAYCSIFPKDYVFTKSNLVFLWMAEGLLQSKNKKTMEEVGEDYFDDLLSRSFFQHSQGEFDHQPVFTMHDLINDLAKFVCGDFCVRLEDNDSLDIQCKTRHFSYMKTYGDGFEKFEALYEAKNLRTFLPLSLRCPIVAQFYMSDKILHDLIPTLQCLRVLNLSGYNIRNLPNSISNLKHLRHLDLSYTLIGKLPDTTCTLYNLQTLLLSYCRGLVELPTNLERLINLRHLDIRGTKLEKMPPKMGKLQDLQTLSDFVLDQNTAGYDDIVELKELQCLRGTLCISGLHNIVHVRDALEANMKEKKYLNQLVLKWGGDTEDSKKDREVLDNLQPHTNLKELTIVSYEGTRFPGWLVDRSYSNLVCLRLLNCKNCYFLPPLGMLPSLRELEIIGLNGVVSIGAEFFGDDGSEIQQFRSLQVLIFENMRDWQEWSYVGGNEEGGAFPDLCELRLRNCPKLRGRLPLDYFPKLKRLVLCRTNIECLTLSQESERRKLLCLEYLYIYCPSFVCFPHGGLHAPNLTNINICRCKKLRSLPELMHTLLPSLQSMDITECPELESFPDGGLPSKLKSLRIESCRKLIANRMQWALGRLTSLRDLRVDFNECGEVDSFPEEGLLPTTLSSLSISTLLSLKTMDGNGLTNLICLEYLAIRRCPELQSLPEEGLPTSLSLLEIFYCPLLKQRCQREKGEDWPKIAHIRHIMIDGEQI >ONI01250 pep chromosome:Prunus_persica_NCBIv2:G6:10099379:10105171:-1 gene:PRUPE_6G130000 transcript:ONI01250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVAGAFAEALLEEVFEKMLSKEVLDFIQGRKLTEGLLKKLKITLLSVNAVLDDAEEKQISNQDVKQWLEELKEAVYDAEDLLNEIKTEALRCKVEAESGSSTSKMFRKLNKKLFSTWFYAIDKAIDSKIEEIIERLDFIEKKKDVLNLKAGARRRASQTIPSTSLVEDFTPYGRNEDIETIIKLLLDDMTENKISVVPIVGMGGIGKTTLAQLVYNNVRVKQHFELQAWVCVSEEFDVVRVTQTIYGSITSGACDLMDLNMLQVKLKEALTGKKFLLVLDDVWNDKYFNWDVLRRPFESGDHGSKIIVTTRNASVASVMGTLPTHHLRQISEEDCWLLFARHAFKSRRVGGNPNLEVIGRQIIRKCKGLPLAAKSLGGLLSSESNIEEWENILKNDIWQLSDKDSNILPALWLSYHYLPRHLKRCFAYCSIFPKDYVFTKSNLVFLWMAEGLLQSKNKKTMEEVGEDYFDDLLSRSFFQHSQGEFDHQPVFTMHDLINDLAKFVCGDFCVRLEDNDSLDIQCKTRHFSYMKTYGDGFEKFEALYEAKNLRTFLPLSLRCPIVAQFYMSDKILHDLIPTLQCLRVLNLSGYNIRNLPNSISNLKHLRHLDLSYTLIGKLPDTTCTLYNLQTLLLSYCRGLVELPTNLERLINLRHLDIRGTKLEKMPPKMGKLQDLQTLSDFVLDQNTAGYDDIVELKELQCLRGTLCISGLHNIVHVRDALEANMKEKKYLNQLVLKWGGDTEDSKKDREVLDNLQPHTNLKELTIVSYEGTRFPGWLVDRSYSNLVCLRLLNCKNCYFLPPLGMLPSLRELEIIGLNGVVSIGAEFFGDDGSEIQQFRSLQVLIFENMRDWQEWSYVGGNEEGGAFPDLCELRLRNCPKLRGRLPLDYFPKLKRLVLCRTNIECLTLSQESERRKLLCLEYLYIYCPSFVCFPHGGLHAPNLTNINICRCKKLRSLPELMHTLLPSLQSMDITECPELESFPDGGLPSKLKSLRIESCRKLIANRMQWALGRLTSLRDLRVDFNECGEVDSFPEEGLLPTTLSSLSISTLLSLKTMDGNGLTNLICLEYLAIRRCPELQSLPEEGLPTSLSLLEIFYCPLLKQRCQREKGEDWPKIAHIRHIMIDGEQI >ONI05049 pep chromosome:Prunus_persica_NCBIv2:G6:30056201:30059763:-1 gene:PRUPE_6G353500 transcript:ONI05049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMIATSTINIGGRKKREQYLFLIIFLCICTIFFQRFSLSVEAASNSGSLKYTRHNYKQVSSLRLQRIEKHLNKINKPAVITVQSPDGDIIDCVHKRKQPALDHPLLKNHKIQKEAPERPKAMKKKMKHTNDKDEEGINNNNGDEAAAAVEGKGKEGAWVVHQTWHRNGTRCPRGTVPIRRSTVDDVLRAKSLFDYGKKKQQQPPNNFNFNNNLTADLSARRTNAPDVVSGNGHEHAIAYTGSLQEVYGARATINVWTPSIEQANEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDNSPRLFTYWTTDSYQATGCYNLLCSGFIQTNSRIAIGASISPVSSYASNQYDVTILIWKDPKLGNWWMGFGDNTLVGYWPADLFTHLAEKATMVEWGGEVVNTRANGEHTATQMGSGHFAEDGFGKASYFRNLEIVDSDNSLSSVPGVSTLAENTNCYNIESSYNNEWGTHFYYGGPGKNSRCP >ONI05048 pep chromosome:Prunus_persica_NCBIv2:G6:30055887:30060498:-1 gene:PRUPE_6G353500 transcript:ONI05048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMIATSTINIGGRKKREQYLFLIIFLCICTIFFQRFSLSVEAASNSGSLKYTRHNYKQVSSLRLQRIEKHLNKINKPAVITVQSPDGDIIDCVHKRKQPALDHPLLKNHKIQKEAPERPKAMKKKMKHTNDKDEEGINNNNGDEAAAAVEGKGKEGAWVVHQTWHRNGTRCPRGTVPIRRSTVDDVLRAKSLFDYGKKKQQQPPNNFNFNNNLTADLSARRTNAPDVVSGNGHEHAIAYTGSLQEVYGARATINVWTPSIEQANEFSLSQIWVLSGSFDGSDLNSIEAGWQTDSYQATGCYNLLCSGFIQTNSRIAIGASISPVSSYASNQYDVTILIWKDPKLGNWWMGFGDNTLVGYWPADLFTHLAEKATMVEWGGEVVNTRANGEHTATQMGSGHFAEDGFGKASYFRNLEIVDSDNSLSSVPGVSTLAENTNCYNIESSYNNEWGTHFYYGGPGKNSRCP >ONI04770 pep chromosome:Prunus_persica_NCBIv2:G6:29357338:29358459:-1 gene:PRUPE_6G338700 transcript:ONI04770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPPLLSWILSSSSSSSSSEYESDDNNDIEDLGGINDVPDNNPKSQNDNIDDHENDDVSYQPQEEDQNSNSTSDYTFTVSEPSAKRRRIDRTYKPALTSDAPEENKKRRRKRVWSKEDELELLRSLLDDYYSRGQIRTTVKDTASFYEEVKPKLKLECNRAQMVEKLRRLKKKYHNVVNKMKDMNSTGKEFRFKNPHDKVVFELSDKIWNVVDSHEDYAPNSKYVSICNNVKVKKEEDNVGSSSVADHERPQMRHTWSHSRLTTTFDENTKAHDVLNDNGTTSSLNNNNKNKNNNNNVDDNMKNDIPGLIIEGLAMNPLPLSLSKFGSGVEVADEKWRKQQILELETYSKRLDLVQHQVKAALDELRSKGDE >ONI01726 pep chromosome:Prunus_persica_NCBIv2:G6:13653148:13662073:-1 gene:PRUPE_6G155800 transcript:ONI01726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAENEAGDDQRDLNVNGGGPKSPWKTPVTVDAKAAEDAPVMGAESWPALADAHRPKNTDAAAKPPAAEPSPLPPQGFVMQQKSNGSGNSNASHKHSSSQYHQKGPRRNPNAAPPFPVPLPYHQPPLPPVFHTMVQHPHIAASGYAYQPYPGPIPSVENHIAKSGCETPVQAFVQPVQPQPRGNPNAYSANFSTRRPNLPEPGGHWNHTWNHQRPFNPRENIPVQQGVGPRPFLRPHFFGPAPGFMVGPSIPGPAPICYLPVPPPGAIRGPHPPRFMPHPLNPGAPLLPSETHTFSLRDNIIKQIEYYFSDENLKNDHYLISLMDDEGWVPITTIADFKRVKKMCTDITFIIDSLLGSATVEVQANKIRRRDEWSKWTAASADSMLTSKPQTSLVQHQERSINAPENSDSSDDRRNTSEEKAELSSDEKTLMLCMPSNTKHSTDGVQVDGGSQDYNGGLSGKLTSKSNCNSSIVKMNHYSDCLDHSEGIESVRLDDDGVEGMPSDMDMKNVGDLSSDFANTFMLDEELELEQKIIKKDDLSPVRRSGVQRIDDEDDEIVVNDQDVQRLVIVTQNSRVGEGSKTGDEESKTISNELASAINDGLYFYEQELKTKRSNRKRNSSSYENRDANSRLSNVGKGFSKLKPGEISNCSIGIEESGSANSRKKQSKNFQNQQSSHRQRFFSSNFRNYGTARNSLGIISESPPSNSVGFFFSSTPPESHGPRSSKLSVSPHGFLSSSSPPMGSVPKSFPPFQHPSHQLLEENGFKQQKYLKYHKRCLNDRKKLGIGCSEEMNTLYRFWSYFLRSMFNSSMYDEFRKYAHEDAAAGYNYGVECLFRFYSYGLEKDFREDLYKDFEQLTVEFYHKGNLYGLEKY >ONI01724 pep chromosome:Prunus_persica_NCBIv2:G6:13651113:13662073:-1 gene:PRUPE_6G155800 transcript:ONI01724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAENEAGDDQRDLNVNGGGPKSPWKTPVTVDAKAAEDAPVMGAESWPALADAHRPKNTDAAAKPPAAEPSPLPPQGFVMQQKSNGSGNSNASHKHSSSQYHQKGPRRNPNAAPPFPVPLPYHQPPLPPVFHTMVQHPHIAASGYAYQPYPGPIPSVENHIAKSGCETPVQAFVQPVQPQPRGNPNAYSANFSTRRPNLPEPGGHWNHTWNHQRPFNPRENIPVQQGVGPRPFLRPHFFGPAPGFMVGPSIPGPAPICYLPVPPPGAIRGPHPPRFMPHPLNPGAPLLPSETHTFSLRDNIIKQIEYYFSDENLKNDHYLISLMDDEGWVPITTIADFKRVKKMCTDITFIIDSLLGSATVEVQANKIRRRDEWSKWTAASADSMLTSKPQTSLVQHQERSINAPENSDSSDDRRNTSEEKAELSSDEKTLMLCMPSNTKHSTDGVQVDGGSQDYNGGLSGKLTSKSNCNSSIVKMNHYSDCLDHSEGIESVRLDDDGVEGMPSDMDMKNVGDLSSDFANTFMLDEELELEQKIIKKDDLSPVRRSGVQRIDDEDDEIVVNDQDVQRLVIVTQNSRVGEGSKTGDEESKTISNELASAINDGLYFYEQELKTKRSNRKRNSSSYENRDANSRLSNVGKGFSKLKPGEISNCSIGIEESGSANSRKKQSKNFQNQQSSHRQRFFSSNFRNYGTARNSLGIISESPPSNSVGFFFSSTPPESHGPRSSKLSVSPHGFLSSSSPPMGSVPKSFPPFQHPSHQLLEENGFKQQKYLKYHKRCLNDRKKLGIGCSEEMNTLYRFWSYFLRSMFNSSMYDEFRKYAHEDAAAGYNYGVECLFRFYSYGLEKDFREDLYKDFEQLTVEFYHKGNLYGLEKYWLLWLRNGRSRKELYL >ONI01725 pep chromosome:Prunus_persica_NCBIv2:G6:13651511:13662439:-1 gene:PRUPE_6G155800 transcript:ONI01725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAENEAGDDQRDLNVNGGGPKSPWKTPVTVDAKAAEDAPVMGAESWPALADAHRPKNTDAAAKPPAAEPSPLPPQGFVMQQKSNGSGNSNASHKHSSSQYHQKGPRRNPNAAPPFPVPLPYHQPPLPPVFHTMVQHPHIAASGYAYQPYPGPIPSVENHIAKSGCETPVQAFVQPVQPQPRGNPNAYSANFSTRRPNLPEPGGHWNHTWNHQRPFNPRENIPVQQGVGPRPFLRPHFFGPAPGFMVGPSIPGPAPICYLPVPPPGAIRGPHPPRFMPHPLNPGAPLLPSETHTFSLRDNIIKQIEYYFSDENLKNDHYLISLMDDEGWVPITTIADFKRVKKMCTDITFIIDSLLGSATVEVQANKIRRRDEWSKWTAASADSMLTSKPQTSLVQHQERSINAPENSDSSDDRRNTSEEKAELSSDEKTLMLCMPSNTKHSTDGVQVDGGSQDYNGGLSGKLTSKSNCNSSIVKMNHYSDCLDHSEGIESVRLDDDGVEGMPSDMDMKNVGDLSSDFANTFMLDEELELEQKIIKKDDLSPVRRSGVQRIDDEDDEIVVNDQDVQRLVIVTQNSRVGEGSKTGDEESKTISNELASAINDGLYFYEQELKTKRSNRKRNSSSYENRDANSRLSNVGKGFSKLKPGEISNCSIGIEESGSANSRKKQSKNFQNQQSSHRQRFFSSNFRNYGTARNSLGIISESPPSNSVGFFFSSTPPESHGPRSSKLSVSPHGFLSSSSPPMGSVPKSFPPFQHPSHQLLEENGFKQQKYLKYHKRCLNDRKKLGIGCSEEMNTLYRFWSYFLRSMFNSSMYDEFRKYAHEDAAAGYNYGVECLFRFYSYGLEKDFREDLYKDFEQLTVEFYHKGNLYGLEKY >ONI01723 pep chromosome:Prunus_persica_NCBIv2:G6:13649645:13662439:-1 gene:PRUPE_6G155800 transcript:ONI01723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAENEAGDDQRDLNVNGGGPKSPWKTPVTVDAKAAEDAPVMGAESWPALADAHRPKNTDAAAKPPAAEPSPLPPQGFVMQQKSNGSGNSNASHKHSSSQYHQKGPRRNPNAAPPFPVPLPYHQPPLPPVFHTMVQHPHIAASGYAYQPYPGPIPSVENHIAKSGCETPVQAFVQPVQPQPRGNPNAYSANFSTRRPNLPEPGGHWNHTWNHQRPFNPRENIPVQQGVGPRPFLRPHFFGPAPGFMVGPSIPGPAPICYLPVPPPGAIRGPHPPRFMPHPLNPGAPLLPSETHTFSLRDNIIKQIEYYFSDENLKNDHYLISLMDDEGWVPITTIADFKRVKKMCTDITFIIDSLLGSATVEVQANKIRRRDEWSKWTAASADSMLTSKPQTSLVQHQERSINAPENSDSSDDRRNTSEEKAELSSDEKTLMLCMPSNTKHSTDGVQVDGGSQDYNGGLSGKLTSKSNCNSSIVKMNHYSDCLDHSEGIESVRLDDDGVEGMPSDMDMKNVGDLSSDFANTFMLDEELELEQKIIKKDDLSPVRRSGVQRIDDEDDEIVVNDQDVQRLVIVTQNSRVGEGSKTGDEESKTISNELASAINDGLYFYEQELKTKRSNRKRNSSSYENRDANSRLSNVGKGFSKLKPGEISNCSIGIEESGSANSRKKQSKNFQNQQSSHRQRFFSSNFRNYGTARNSLGIISESPPSNSVGFFFSSTPPESHGPRSSKLSVSPHGFLSSSSPPMGSVPKSFPPFQHPSHQLLEENGFKQQKYLKYHKRCLNDRKKLGIGCSEEMNTLYRFWSYFLRSMFNSSMYDEFRKYAHEDAAAGYNYGVECLFRFYSYGLEKDFREDLYKDFEQLTVEFYHKGNLYGLEKYWAFHHYREQRDQKEPLMKHPELDRLLREVYRSLDDFRAKERATMMK >ONH99482 pep chromosome:Prunus_persica_NCBIv2:G6:2493212:2496964:1 gene:PRUPE_6G032400 transcript:ONH99482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSGQDVPFETQFLIAETKEGSDFGEGSKDGVDQSAVYTVFLPILEGDFRAVLQGNELNEIEICLESGDPAVDGFEGNHLVFVGAGSDPFGVITDSVKTVEKHLQTFSHRERKKMPDMLNWFGWCTWDAFYTDVTSEGLKQGLQSLEDGGVPPKFVIIDDGWQSVGMDSSGIGYEADNAANFANRLTNIKENHKFQKDGKEGHRVEDPALGLCHIVTEIKEKHALKYAYVWHAITGYWGGVRPGVTEMKHYDSKLSYPISSPGIESNEHCDALKSITTNGLGLVNPEKVFNFYDELHSYLASAGIDGVKVDVQNILETLGAGHGGRVKLSRKYHQALEASIARNFPDNGIISCMSHNTDGLYSVKRTAVIRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGQHDFDLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSLLKIWNLNDCTGVVGVFNCQGAGWCKVGKTNLIHDLEPGTMTGVIRAKDVAYLPKVADDKWSGDAVIFSHLGGEVSYLPKDASMPITLKSREYEVFTVVPVKELSSGGVKFAPIGLIKMFNSGGAIKEFESNTSTAVVLKVCGSGVFGAYASARPKKITVDSEEVEFGYEDKSGLVSIALRVPEKELHLWNITIEF >ONH99481 pep chromosome:Prunus_persica_NCBIv2:G6:2492653:2496964:1 gene:PRUPE_6G032400 transcript:ONH99481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGAGICVEDGNLMVLGNKVLSDVHDNVVVTPASGGALTNGAFIGVQSDQIGSRRVFPIGKLDGLRFMCVFRFKLWWMTQRMGTSGQDVPFETQFLIAETKEGSDFGEGSKDGVDQSAVYTVFLPILEGDFRAVLQGNELNEIEICLESGDPAVDGFEGNHLVFVGAGSDPFGVITDSVKTVEKHLQTFSHRERKKMPDMLNWFGWCTWDAFYTDVTSEGLKQGLQSLEDGGVPPKFVIIDDGWQSVGMDSSGIGYEADNAANFANRLTNIKENHKFQKDGKEGHRVEDPALGLCHIVTEIKEKHALKYAYVWHAITGYWGGVRPGVTEMKHYDSKLSYPISSPGIESNEHCDALKSITTNGLGLVNPEKVFNFYDELHSYLASAGIDGVKVDVQNILETLGAGHGGRVKLSRKYHQALEASIARNFPDNGIISCMSHNTDGLYSVKRTAVIRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGQHDFDLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSLLKIWNLNDCTGVVGVFNCQGAGWCKVGKTNLIHDLEPGTMTGVIRAKDVAYLPKVADDKWSGDAVIFSHLGGEVSYLPKDASMPITLKSREYEVFTVVPVKELSSGGVKFAPIGLIKMFNSGGAIKEFESNTSTAVVLKVCGSGVFGAYASARPKKITVDSEEVEFGYEDKSGLVSIALRVPEKELHLWNITIEF >ONI04928 pep chromosome:Prunus_persica_NCBIv2:G6:29785167:29790029:-1 gene:PRUPE_6G348800 transcript:ONI04928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGHSSGNYRNPCLTMHQPWASLLVYGIKRIEGRTWAAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVDGIADLKFPEYYPVSRLLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSKGSISPRGEHMKRKRDRPHE >ONI04932 pep chromosome:Prunus_persica_NCBIv2:G6:29786811:29789761:-1 gene:PRUPE_6G348800 transcript:ONI04932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGHSSGNYRNPCLTMHQPWASLLVYGIKRIEGRTWAAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVDGIADLKFPEYYPVSRLLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSKLYVVFDLRLGHFNQKALQGCIEYIWLWSEDRTIIEFLLWFVKQCIGRAAFHLEGST >ONI04929 pep chromosome:Prunus_persica_NCBIv2:G6:29786782:29789761:-1 gene:PRUPE_6G348800 transcript:ONI04929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGHSSGNYRNPCLTMHQPWASLLVYGIKRIEGRTWAAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVDGIADLKFPEYYPVSRLLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSKGSISPRGEHMKRKRDRPHE >ONI04934 pep chromosome:Prunus_persica_NCBIv2:G6:29786825:29789761:-1 gene:PRUPE_6G348800 transcript:ONI04934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGHSSGNYRNPCLTMHQPWASLLVYGIKRIEGRTWAAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVDGIADLKFPEYYPVSRLLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSKHFT >ONI04933 pep chromosome:Prunus_persica_NCBIv2:G6:29785167:29789926:-1 gene:PRUPE_6G348800 transcript:ONI04933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGHSSGNYRNPCLTMHQPWASLLVYGIKRIEGRTWAAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVDGIADLKFPEYYPVSRLLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSKHFT >ONI04925 pep chromosome:Prunus_persica_NCBIv2:G6:29785167:29790030:-1 gene:PRUPE_6G348800 transcript:ONI04925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGHSSGNYRNPCLTMHQPWASLLVYGIKRIEGRTWAAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVDGIADLKFPEYYPVSRLLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSK >ONI04935 pep chromosome:Prunus_persica_NCBIv2:G6:29786825:29789761:-1 gene:PRUPE_6G348800 transcript:ONI04935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGHSSGNYRNPCLTMHQPWASLLVYGIKRIEGRTWAAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVDGIADLKFPEYYPVSRLLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSKHFT >ONI04930 pep chromosome:Prunus_persica_NCBIv2:G6:29786159:29789926:-1 gene:PRUPE_6G348800 transcript:ONI04930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGHSSGNYRNPCLTMHQPWASLLVYGIKRIEGRTWAAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVDGIADLKFPEYYPVSRLLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSKGSISPRGEHMKRKRDRPHE >ONI04927 pep chromosome:Prunus_persica_NCBIv2:G6:29785167:29790029:-1 gene:PRUPE_6G348800 transcript:ONI04927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGHSSGNYRNPCLTMHQPWASLLVYGIKRIEGRTWAAPIRGRLWIHAASKVPDEATIKAMEDFYREIYAVDGIADLKFPEYYPVSRLLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSKIFAAAVRALKPS >ONI04926 pep chromosome:Prunus_persica_NCBIv2:G6:29785167:29788708:-1 gene:PRUPE_6G348800 transcript:ONI04926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLNVLCRAFLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSK >ONI04936 pep chromosome:Prunus_persica_NCBIv2:G6:29786825:29788590:-1 gene:PRUPE_6G348800 transcript:ONI04936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLNVLCRAFLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSKHFT >ONI04931 pep chromosome:Prunus_persica_NCBIv2:G6:29786782:29788590:-1 gene:PRUPE_6G348800 transcript:ONI04931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLNVLCRAFLGCVEVVGCVRREELACWEMVPEGVRLEAQTDLCWLCEQPQKLLIPFEMRGYQGVYNLEKKIYEAAIRGLTPVEGPLPVKFLLPNPQDPFSLKPGSISANVPETRTSELERSSSLTAAIAGARAAATQFSKKVQDLETTPQTNTAQSVRTLPLKSESFEEDTTPSANLSETSNKGALTSNNKEKISPIKYEEITRPSQPSSGGLRPHPGAPSKGSISPRGEHMKRKRDRPHE >ONI03619 pep chromosome:Prunus_persica_NCBIv2:G6:25626027:25633214:1 gene:PRUPE_6G269600 transcript:ONI03619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKGKLPAASASCEDQATCSENVTDDRFSNLPDDVAHIILSFLTFKDAARVGAASKRCRQFYVSVPLVDFDAIWRGIKRTHQNKVRMMNSVDRYLFYRGDNRIQRFCISWSFVSSETENEYCDDHSRVITWIHKAVRCNVEELDVRICGLANTFSLPSCVFLSQSLRSLSVNLNTQILETPSLSFSSNILYLELINLKIADERLFKWISCCCKCIKKLQILNIAGVRNISIESSSLEHFRAWISRDLLHLNISGEKLETIDMFLWFEESYPASSSPSLKIFAPNLKNLKWKGTVGNSPNLGEFGCSKEGSMAAPIFDNLCNLRIHCTRLDDYLVPIVVSLLRGMPNLNTLYIKARSWMLDGKTSSGFGMEYWKLQNLAFLHQLNEVTIEYSDDGSNEIQFARYILENAQNLKKMVILLHYEKKPSKVVAEMLELVHIQGIRNITIESSFLEYFHGFIQSDILHVNISGEKLEGIDICLWFHGYPEISSNSLKIFAPNLKNLNWNSSNGRYSPNLGKLMSLEKVQLFLDPRVNELDKVLCRVCSAKDLIINERTIKAIFKDGSTAVPILDNICNLTLHFTSLNDDLVPAVASLLKGMPNLNFLSMKTTNSRSWDAQTSAGFGIEYWKLQNLAFLHQLKEVTIEYPDNVSNELEFRRYILEHAQNLMKMVNLLNFEDTQFEVLARMLGQDQYVKKLMHIDRYTTLSPGRRICGHRDGKYRSSEHSKNLDLRVPVYNIHVDTVMPNTSQLAELKGPSENYPNFLVSLNTLKMVNEIENGDPKFKGALSRLQHPIVVVYLPSIDAKITGPSPEK >ONI01897 pep chromosome:Prunus_persica_NCBIv2:G6:16712601:16719175:1 gene:PRUPE_6G165900 transcript:ONI01897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFEKEVTLKSLIRQFEHGFKALSRSNLISSSGFHISVAGLLGIAGFVLALKDSKLSRLSSLDWLPKTDNGSPKLRLVPGLQNLGNNCFLNVILQALASCSYFQPFLQKVLEEYEFSAVEDEVSGFPLAIALAALLEDLRVVGGGRVVLSPRRVMLAMAHYIQNFDLTSQQDAAEAFLHLLSSLREEFSDCYLPNQCSLVEVFASNCRIITPKRMENQSEQARWQQHYLGPFDGILGSILTCQSCSSQISLNLESFHSLPLSPVLDGGETIMVGCNLEDCLKQFTIAERVENYHCNHCWHIAAVKYLFARGVNEADIEKIKRCTEQDSCDCRRLFHLDKLPWSNKFSCTLKQISVARCPKILCIHLKRVSINVFGELVKLQGCISFPLVLDLSQFTTSGSEINSWEDNMRRGQAQGQSRNPSTRSNQFTMQYDQRKHNNVYGLTRGGISEELASDISRFAANAQDLPGESSFPQTAGFSKTMHSGGDQWSKSCEDPCLYHLVAVVEHFGRAGSGHYTVYRSVRADLCEEESDDQFEAAAPCWFSISDSEVCRVSKEAVLAAEASLLFYEKNEG >ONI01898 pep chromosome:Prunus_persica_NCBIv2:G6:16712601:16719175:1 gene:PRUPE_6G165900 transcript:ONI01898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFEKEVTLKSLIRQFEHGFKALSRSNLISSSGFHISVAGLLGIAGFVLALKDSKLSRLSSLDWLPKTDNGSPKLRLVPGLQNLGNNCFLNVILQALASCSYFQPFLQKVLEEYEFSAVEDEVSGFPLAIALAALLEDLRVVGGGRVVLSPRRVMLAMAHYIQNFDLTSQQISLNLESFHSLPLSPVLDGGETIMVGCNLEDCLKQFTIAERVENYHCNHCWHIAAVKYLFARGVNEADIEKIKRCTEQDSCDCRRLFHLDKLPWSNKFSCTLKQISVARCPKILCIHLKRVSINVFGELVKLQGCISFPLVLDLSQFTTSGSEINSWEDNMRRGQAQGQSRNPSTRSNQFTMQYDQRKHNNVYGLTRGGISEELASDISRFAANAQDLPGESSFPQTAGFSKTMHSGGDQWSKSCEDPCLYHLVAVVEHFGRAGSGHYTVYRSVRADLCEEESDDQFEAAAPCWFSISDSEVCRVSKEAVLAAEASLLFYEKNEG >ONI03275 pep chromosome:Prunus_persica_NCBIv2:G6:24422418:24426205:-1 gene:PRUPE_6G248200 transcript:ONI03275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIAMSASKPMTTTNKHRPAEIESENQIQSTTQKREKTRASMLCAWDNARVIGRLGIGTCPALVSETKGITSDPLHVMSSWNDSINFCSWIGVTCNHSTKRVLSLNLEAQNLAGSIPPSIGNLTHLTWINLGINNFHGQIPQEMGRLWSLQYLNMSYNSFGGNFPTKISHCTQLSVLDLFSNEIIGGWIGNFSSLNSLRLSHNNFQGSIPNELGNHLSGKVPASIYNISSIYVFSVVGNQLQGELPPSVGITLPNLELFLGGRNRFTGNIPASLSNSSRLRSIDFGQNGLTGTIPAESLGSLRSLIRLNFRRNRLGNGKTGDLNFLSFLANCTSLEVLGLSRNQFGGELPGSIANLSTQLKHLTIGGNLIHGSIPTDIGNLLSLNTLEVEHNYLGGSVPDGIGKLQKLGRLVLNVNNFSGPIPSSLGNLTSLTQLLMFGGSIPPSLGNCQNLLILNLSSNNLTGTIPKELIGLSSLSISLTISNNSLTGPLPSEVSDLIHLAELDVSGNKLFGEIPTTLGSCIMLERLHLEGNEFQGTIPQSLQNLSSLEEIDLSRNNLSGQIPEFLGKLSFLKNLNLSHNDFVGEIPKDGIFSNASSFSVLRNDKLCGGIPELLLHACSNKKPHSSRGVLVPKDWRLGVTYSELVESTDGFSVGNLIGSGSFGSVYKGVLPSDGTVVAVKVLNLQQEGASESLIDECKALKSIRHRNLLNIITACSTIDYQGNDFKSLVIEFMKNGSLDTWLHPRDDGQSESSRMTLIFNIAIDVASASDYLHKKGRMFYSIYVLFCLFQDKQKHLCLAVIVGVSSPPC >ONI02577 pep chromosome:Prunus_persica_NCBIv2:G6:21640536:21643261:1 gene:PRUPE_6G207800 transcript:ONI02577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFECSAPSLWREALSSYSSRIQSLNKPNLVSLDDFYRNALPSLLHQGNPSPFITTSELSDLMRWKLTRGKWRPRLLDFVSALDEAVVKSASQKAFQALPDISKAISELTVLKGLGPATASAVLAAYAPDVAPFMSDEAMVAALGNSKDYTLKQYLLFVNKLQEKAKELTAEWETFTPSDVERALWSGAVGAKLPSSQSDPDLKTDKTCLVQQSYYDDSSTHPKPMDLLAFWFLEIGEEEEPSINLKLLFRFMFINHGADKRFHEHYVSRSGPEVP >ONI01206 pep chromosome:Prunus_persica_NCBIv2:G6:9890504:9890806:-1 gene:PRUPE_6G127900 transcript:ONI01206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPPLQTSVSVPFQWEEAPGKPRHCSTTESKAKCARSLELPSRLLNEAAKTTNMPFPTTVLEGPDVGRTLFFRLELGAPIVWGASGSARRVVEVVVLVL >ONI01429 pep chromosome:Prunus_persica_NCBIv2:G6:11259976:11264472:1 gene:PRUPE_6G138600 transcript:ONI01429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGNFSLLAAAARRMVVDVMTMALTRLEGKVAVITGGASGIGESTARLFSKHGAKVVIADVQDDLAESVCRDLSPSSTSFVHCDVTKEEDVENVVQTATNKYGKLDIMFNNAGIAGMVKPNILDNDKIEFEQVIRVNLVGAFLGIKHAARVMIPVGKGLVRNTAVELGQHGIRVNCVSPYIVSTPLVKEFFKLDDDKLHGVYSNLKGGVLKAEDIAKAALYLGSDESKYVSGHNLLVDGGFTIVNAGFCMFEQA >ONI04422 pep chromosome:Prunus_persica_NCBIv2:G6:28391939:28393832:-1 gene:PRUPE_6G321100 transcript:ONI04422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDLSVQVQQQMAEEEEQRLKYLEFVQVAAAHAAVCFLNLYGFAKERSGPLKPGVESVEGTVKNVVGPVYNKYHDVPAHLLTFVDRKVDQSVTKLDSRMPPVFKQASFKALSAAQKAPEAARAVASEVKRAGVVDTASSYAKSVYTKCEPAAKDLYARYEPKAEQCAVSAWRKVNQVLPKVVEVVVPTAAYCSEKYNQTVQHTAEKGYRVSSYMPLVPTEKIAKVFAGKVPEAEPLLASHGEVDVALH >ONI05097 pep chromosome:Prunus_persica_NCBIv2:G6:30207322:30210610:-1 gene:PRUPE_6G356000 transcript:ONI05097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDMTERNFSYSSKLTILFIATSFVRTFSQTPPTSPDGPSGSCVLNFTSYPFQPTGECIGHVGQRINMWGSYPTNLCCRNVLPVMSQALAIHVIKTQGQGSVFLAQEEWQNCNGPSQMQQSLSAQHCGFDDLNSRSSQCSGTTLSGLRQDQPFQDALSNCSQFGNAFDNVCRKCTGAFSALRDYLLKKYNVKDENKTETAICGVAAMISIAAANMNGSFSVDIDYIRCLSMLDTFEPDYIKLKYSLVEAILSILIAITAVMLIILLIKYVSKKKPKKPVQSGPITTWSGLYRFSKAEIENAINFERKDLGRGSAGQVYKGVLPSGQVVAIKHINKSNTSDSFTREVEGLSRIRHPNLVCLFGCCVEDGEQFLVYEYCAAGNLAQHLLRNDPVLTWERRVKILRDCALALRYLHHYIDGCIVHRDIKLTNILLTENLDPKLSDFGLAKMLGMEESKVFTDVRGTIGYMDPEYMTNAKLTCASDVYSFGIVSLQLLSGQKVFELDLDARDQLTRKAKDISMNKRPPEDLEDPRLNGNVNKVDFESILQIAVLCVAKSSKGRPTIDLVYEEMDKAWKNTLADMKAKKGISSSATPLSISSDVFSV >ONI02193 pep chromosome:Prunus_persica_NCBIv2:G6:19000014:19001124:1 gene:PRUPE_6G182600 transcript:ONI02193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSSPTDIDLGQYTKIARDFFTPKKRKLANIISTIKKPLLYITLSKASLMAKMTSPSNTLFFSLLLSLFLTLAFSDDPDCVYTVYVRTGSILKGGTDSNANVRLYDAYGYGIEIRNLETWGGLMGPGHNYFERGNLDIFSGRGPCLQAPICALNLTSDGSGPHHGWYINYVEVTSTGAHIPCSQQLFTIEQWLATDTSPYELTAVRNYCNSDDDGVQKRRHTHRMIRSGSSDLVSAV >ONI02242 pep chromosome:Prunus_persica_NCBIv2:G6:19505363:19509461:1 gene:PRUPE_6G186700 transcript:ONI02242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRSFRSKAVHFVSDLTTVLLNPISDKPSKTSSPPPHAEDEDESKGSQNESSSEESPVNVVDGPDTSSFSAFLYSLLSSESEDTNTNADEKIDNEMDRSNPSSDSAIKGNGGKRSLLSKGKQSLSKVIYQAARFGGYRSQERKGNPDVKVDDRNDLEFTGVEMRHMQKAEKPMALVDLPDISEPSLLLTEITRTALYASMPALVQGRKWLLLYSTWRHGISLSSLYRRSVLWPGPSLLVVGDRKGAVFGGLVEAPLRPTNKKYQGTNDTFVFTTTPGNPVIFRPTGANRYFTLCSTDFLAIGGGGHFALYLDSDLLSGSSSFSETYGNPCLAHSEDFEVKEVELWGFVYATKYEEVLALSRMEAPGICRW >ONI02243 pep chromosome:Prunus_persica_NCBIv2:G6:19505363:19508187:1 gene:PRUPE_6G186700 transcript:ONI02243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRSFRSKAVHFVSDLTTVLLNPISDKPSKTSSPPPHAEDEDESKGSQNESSSEESPVNVVDGPDTSSFSAFLYSLLSSESEDTNTNADEKIDNEMDRSNPSSDSAIKGNGGKRSLLSKGKQSLSKVIYQAARFGGYRSQERKGNPDVKVDDRNDLEFTGVEMRHMQKAEKPMALVDLPDISEPSLLLTEITRTALYASMPALVQGRKWLLLYSTWRHGISLSSLYRRSVLWPGPSLLVVGDRKGAVFGGLVEAPLRPTNKKYQGTNDTFVFTTTPGNPVIFRPTGTNLSFLLPVSELM >ONI02244 pep chromosome:Prunus_persica_NCBIv2:G6:19505931:19509461:1 gene:PRUPE_6G186700 transcript:ONI02244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSNPSSDSAIKGNGGKRSLLSKGKQSLSKVIYQAARFGGYRSQERKGNPDVKVDDRNDLEFTGVEMRHMQKAEKPMALVDLPDISEPSLLLTEITRTALYASMPALVQGRKWLLLYSTWRHGISLSSLYRRSVLWPGPSLLVVGDRKGAVFGGLVEAPLRPTNKKYQGTNDTFVFTTTPGNPVIFRPTGANRYFTLCSTDFLAIGGGGHFALYLDSDLLSGSSSFSETYGNPCLAHSEDFEVKEVELWGFVYATKYEEVLALSRMEAPGICRW >ONH99348 pep chromosome:Prunus_persica_NCBIv2:G6:2045776:2050416:-1 gene:PRUPE_6G025800 transcript:ONH99348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNEDTTSSSESEGADSVKENATLEFIENLSDVPMGRLPSNIEFQRTRVDCKADAPIHTDTFQYSGAYSSMRVENSFLDNFCDNFRVEVIRLTEDEMEFDMIGIDPALANAFRRILISEVPTMAIEKVLIANNTSVIQDEVLAHRLGLIPIKADPRLFEYAENNTASEKNTIVFKLHVCCERSGQRLSVKSKELIWLPNGSEFPLESQDSKTGSSSKPKTYTSFTCSQDSLPEFSSNPIAPALGDILIARLGPGQIVLLEDIEDQQAEKLVAICPVKVFDIEDIGKGKKRATVARPRACTLCRECIREGKGWENAISLQRKKDHFIFTIESSGVFPPEVLVTEAVKILEEKCDRVISDLS >ONH99347 pep chromosome:Prunus_persica_NCBIv2:G6:2046200:2050249:-1 gene:PRUPE_6G025800 transcript:ONH99347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNEDTTSSSESEGADSVKENATLEFIENLSDVPMGRLPSNIEFQRTRVDCKADAPIHVPTMAIEKVLIANNTSVIQDEVLAHRLGLIPIKADPRLFEYAENNTASEKNTIVFKLHVCCERSGQRLSVKSKELIWLPNGSEFPLESQDSKTGSSSKPKTYTSFTCSQDSLPEFSSNPIAPALGDILIARLGPGQEIELEAHAVKGIGKTHSKWSPVTPVWYKMLPEIVLLEDIEDQQAEKLVAICPVKVFDIEDIGKGKKRATVARPRACTLCRECIREGKGWENAISLQRKKDHFIFTIESSGVFPPEVLVTEAVKILEEKCDRVISDLS >ONH99350 pep chromosome:Prunus_persica_NCBIv2:G6:2046200:2050249:-1 gene:PRUPE_6G025800 transcript:ONH99350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNEDTTSSSESEGADSVKENATLEFIENLSDVPMGRLPSNIEFQRTRVDCKADAPIHTDTFQYSGAYSSMRVENSFLDNFCDNFRVEVIRLTEDEMEFDMIGIDPALANAFRRILISEVPTMAIEKVLIANNTSVIQDEVLAHRLGLIPIKADPRLFEYAENNTASEKNTIVFKLHVCCERSGQRLSVKSKELIWLPNGSEFPLESQDSKTGSSSKPKTYTSFTCSQDSLPEFSSNPIAPALGDILIARLGPGQEIELEAHAVKGIGKTHSKWSPVTPVWYKMLPEIVLLEDIEDQQAEKLVAICPVKVFDIEDIGKAGKKRATVARPRACTLCRECIREGKGWENAISLQRKKDHFIFTIESSGVFPPEVLVTEAVKILEEKCDRVISDLS >ONH99349 pep chromosome:Prunus_persica_NCBIv2:G6:2046200:2050249:-1 gene:PRUPE_6G025800 transcript:ONH99349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNEDTTSSSESEGADSVKENATLEFIENLSDVPMGRLPSNIEFQRTRVDCKADAPIHTDTFQYSGAYSSMRVENSFLDNFCDNFRVEVIRLTEDEMEFDMIGIDPALANAFRRILISEVPTMAIEKVLIANNTSVIQDEVLAHRLGLIPIKADPRLFEYAENNTASEKNTIVFKLHVCCERSGQRLSVKSKELIWLPNGSEFPLESQDSKTGSSSKPKTYTSFTCSQDSLPEFSSNPIAPALGDILIARLGPGQEIELEAHAVKGIGKTHSKWSPVTPVWYKMLPEIVLLEDIEDQQAEKLVAICPVKVFDIEDIGKGKKRATVARPRACTLCRECIREGKGWENAISLQRKKDHFIFTIESSGVFPPEVLVTEAVKILEEKCDRVISDLS >ONH99346 pep chromosome:Prunus_persica_NCBIv2:G6:2045776:2050416:-1 gene:PRUPE_6G025800 transcript:ONH99346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNEDTTSSSESEGADSVKENATLEFIENLSDVPMGRLPSNIEFQRTRVDCKADAPIHVPTMAIEKVLIANNTSVIQDEVLAHRLGLIPIKADPRLFEYAENNTASEKNTIVFKLHVCCERSGQRLSVKSKELIWLPNGSEFPLESQDSKTGSSSKPKTYTSFTCSQDSLPEFSSNPIAPALGDILIARLGPGQIVLLEDIEDQQAEKLVAICPVKVFDIEDIGKGKKRATVARPRACTLCRECIREGKGWENAISLQRKKDHFIFTIESSGVFPPEVLVTEAVKILEEKCDRVISDLS >ONI02537 pep chromosome:Prunus_persica_NCBIv2:G6:21296717:21299815:-1 gene:PRUPE_6G204900 transcript:ONI02537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSNIISASTIDPRKRKVWDLHSELSVGPSQIHTQRQSNFEDYDVKLPLPSHVNSITSTSNPFVKHCHKLRQSSSYRHSHGSALVVGATPIREIYRFQRSSQEKTVIIDCLLLLEKAEVPEWIDGFSVRIVEVSSVVMKKLSGMQSTESIEAIALMRMPTSFSDIDSEEKEVNCKTWFPSLHRVLVLDGVQDPGNLGTLLRSAMAFGWNGAFLLPGCCDPFNEKALRASRGASFQLRIVSGTWNHLESLKNEFQIKMLAGHPGTDEKLKPVSHLSQKLADSFADVPLSLVLGSEGSGLSEKSRRLCELVSIPMAGEFESLNVSVAGGIFLYVLQPKNHKSLM >ONI02538 pep chromosome:Prunus_persica_NCBIv2:G6:21296952:21299207:-1 gene:PRUPE_6G204900 transcript:ONI02538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLSLWVLRLSVFIFREIYRFQRSSQEKTVIIDCLLLLEKAEVPEWIDGFSVRIVEVSSVVMKKLSGMQSTESIEAIALMRMPTSFSDIDSEEKEVNCKTWFPSLHRVLVLDGVQDPGNLGTLLRSAMAFGWNGAFLLPGCCDPFNEKALRASRGASFQLRIVSGTWNHLESLKNEFQIKMLAGHPGTDEKLKPVSHLSQKLADSFADVPLSLVLGSEGSGLSEKSRRLCELVSIPMAGEFESLNVSVAGGIFLYVLQPKNHKSLM >ONI02539 pep chromosome:Prunus_persica_NCBIv2:G6:21296717:21298961:-1 gene:PRUPE_6G204900 transcript:ONI02539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDEVFIFREIYRFQRSSQEKTVIIDCLLLLEKAEVPEWIDGFSVRIVEVSSVVMKKLSGMQSTESIEAIALMRMPTSFSDIDSEEKEVNCKTWFPSLHRVLVLDGVQDPGNLGTLLRSAMAFGWNGAFLLPGCCDPFNEKALRASRGASFQLRIVSGTWNHLESLKNEFQIKMLAGHPGTDEKLKPVSHLSQKLADSFADVPLSLVLGSEGSGLSEKSRRLCELVSIPMAGEFESLNVSVAGGIFLYVLQPKNHKSLM >ONI00237 pep chromosome:Prunus_persica_NCBIv2:G6:5294398:5296654:1 gene:PRUPE_6G077600 transcript:ONI00237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSFSGMISGPRVDVIIDLGNPLLNHTVDGFLKIGTVAATRAAAEDAYSMVKKGSISTHDAEHTLKKMCKEGAYWGTVAGVYVGAEYGLERVRGTRDWKNAMMGGALTGALVSAATNKGKDKVIVDAITGGAIATAVEFINYLT >ONI02937 pep chromosome:Prunus_persica_NCBIv2:G6:23330166:23335309:-1 gene:PRUPE_6G230100 transcript:ONI02937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSNDSKAQPPAQGSCVENSTAAAASGQDNSNQYAKAVSGVFHSFTKGLVNTSQSAVKAVQVKARHLVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDISSGIFGYVEGFYRNHMEEVINFLETQHKGKYKVYNLCSERLYDASRFEGKAASFPFDDHNCPPVELIASFCRSAHSWLKEGIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAINYYNHKRCIDGRALVLPSQIRYVKYFEHILKNFNGENPSPRRCMLRGFRLHNCPYWIRPSITISDHNGILFSSRKHPKTKDLMPEDFWINARKKGIVVFALPGEPGLAELLGDFKIHFHDSQGDFYCWLNTMTDNRKILTADDLDGFDKRKLPSPGFQVEVVMVDYDGTLPTKAKADSSSRKPEGSSSDASASSHGVAANPNQNITPQREDGDDVFSDSDGEEAGDIKSTQVQGNSSTGLPAPNHVSNTRSEDTPKSSSLKKPTSDGAEKSHLVHHSRKLSSSGAEDIRAIAADASVFSFGDDDFDSE >ONI02936 pep chromosome:Prunus_persica_NCBIv2:G6:23330157:23335608:-1 gene:PRUPE_6G230100 transcript:ONI02936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSNDSKAQPPAQGSCVENSTAAAASGQDNSNQYAKAVSGVFHSFTKGLVNTSQSAVKAVQVKARHLVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDISSGIFGYVEGFYRNHMEEVINFLETQHKGKYKVYNLCSERLYDASRFEGKAASFPFDDHNCPPVELIASFCRSAHSWLKEGIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAINYYNHKRCIDGRALVLPSQIRYVKYFEHILKNFNGENPSPRRCMLRGFRLHNCPYWIRPSITISDHNGILFSSRKHPKTKDLMPEDFWINARKKGIVVFALPGEPGLAELLGDFKIHFHDSQGDFYCWLNTMTDNRKILTADDLDGFDKRKLPSPGFQVEVVMVDYDGTLPTKAKADSSSRKPEGSSSDASASSHGVAANPNQNITPQREDGDDVFSDSDGEEAGDIKSTQVQGNSSTGLPAPNHVSNTRSEDTPKSSSLKKPTSDGAEKSHLVHHSRKLSSSGAEDIRAIAADASVFSFGDDDFDSE >ONI02935 pep chromosome:Prunus_persica_NCBIv2:G6:23330144:23335716:-1 gene:PRUPE_6G230100 transcript:ONI02935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSNDSKAQPPAQGSCVENSTAAAASGQDNSNQYAKAVSGVFHSFTKGLVNTSQSAVKAVQVKARHLVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDISSGIFGYVEGFYRNHMEEVINFLETQHKGKYKVYNLCSERLYDASRFEGKAASFPFDDHNCPPVELIASFCRSAHSWLKEGIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAINYYNHKRCIDGRALVLPSQIRYVKYFEHILKNFNGENPSPRRCMLRGFRLHNCPYWIRPSITISDHNGILFSSRKHPKTKDLMPEDFWINARKKGIVVFALPGEPGLAELLGDFKIHFHDSQGDFYCWLNTMTDNRKILTADDLDGFDKRKLPSPGFQVEVVMVDYDGTLPTKAKADSSSRKPEGSSSDASASSHGVAANPNQNITPQREDGDDVFSDSDGEEAGDIKSTQVQGNSSTGLPAPNHVSNTRSEDTPKSSSLKKPTSDGAEKSHLVHHSRKLSSSGAEDIRAIAADASVFSFGDDDFDSE >ONI02940 pep chromosome:Prunus_persica_NCBIv2:G6:23330166:23335603:-1 gene:PRUPE_6G230100 transcript:ONI02940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPAGDISSGIFGYVEGFYRNHMEEVINFLETQHKGKYKVYNLCSERLYDASRFEGKAASFPFDDHNCPPVELIASFCRSAHSWLKEGIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAINYYNHKRCIDGRALVLPSQIRYVKYFEHILKNFNGENPSPRRCMLRGFRLHNCPYWIRPSITISDHNGILFSSRKHPKTKDLMPEDFWINARKKGIVVFALPGEPGLAELLGDFKIHFHDSQGDFYCWLNTMTDNRKILTADDLDGFDKRKLPSPGFQVEVVMVDYDGTLPTKAKADSSSRKPEGSSSDASASSHGVAANPNQNITPQREDGDDVFSDSDGEEAGDIKSTQVQGNSSTGLPAPNHVSNTRSEDTPKSSSLKKPTSDGAEKSHLVHHSRKLSSSGAEDIRAIAADASVFSFGDDDFDSE >ONI02932 pep chromosome:Prunus_persica_NCBIv2:G6:23330144:23335603:-1 gene:PRUPE_6G230100 transcript:ONI02932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSNDSKAQPPAQGSCVENSTAAAASGQDNSNQYAKAVSGVFHSFTKGLVNTSQSAVKAVQVKARHLVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDISSGIFGYVEGFYRNHMEEVINFLETQHKGKYKVYNLCSERLYDASRFEGKAASFPFDDHNCPPVELIASFCRSAHSWLKEGIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAINYYNHKRCIDGRALVLPSQIRYVKYFEHILKNFNGENPSPRRCMLRGFRLHNCPYWIRPSITISDHNGILFSSRKHPKTKDLMPEDFWINARKKGIVVFALPGEPGLAELLGDFKIHFHDSQGDFYCWLNTMTDNRKILTADDLDGFDKRKLPSPGFQVEVVMVDYDGTLPTKAKADSSSRKPEGSSSDASASSHGVAANPNQNITPQREDGDDVFSDSDGEEAGDIKSTQVQGNSSTGLPAPNHVSNTRSEDTPKSSSLKKPTSDGAEKSHLVHHSRKLSSSGAEDIRAIAADASVFSFGDDDFDSE >ONI02934 pep chromosome:Prunus_persica_NCBIv2:G6:23330408:23334908:-1 gene:PRUPE_6G230100 transcript:ONI02934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSNDSKAQPPAQGSCVENSTAAAASGQDNSNQYAKAVSGVFHSFTKGLVNTSQSAVKAVQVKARHLVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDISSGIFGYVEGFYRNHMEEVINFLETQHKGKYKVYNLCSERLYDASRFEGKAASFPFDDHNCPPVELIASFCRSAHSWLKEGIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAINYYNHKRCIDGRALVLPSQIRYVKYFEHILKNFNGENPSPRRCMLRGFRLHNCPYWIRPSITISDHNGILFSSRKHPKTKDLMPEDFWINARKKGIVVFALPGEPGLAELLGDFKIHFHDSQGDFYCWLNTMTDNRKILTADDLDGFDKRKLPSPGFQVEVVMVDYDGTLPTKAKADSSSRKPEGSSSDASASSHGVAANPNQNITPQREDGDDVFSDSDGEEAGDIKSTQVQGNSSTGLPAPNHVSNTRSEDTPKSSSLKKPTSDGAEKSHLVHHSRKLSSSGAEDIRAIAADASVFSFGDDDFDSE >ONI02938 pep chromosome:Prunus_persica_NCBIv2:G6:23330166:23335717:-1 gene:PRUPE_6G230100 transcript:ONI02938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSNDSKAQPPAQGSCVENSTAAAASGQDNSNQYAKAVSGVFHSFTKGLVNTSQSAVKAVQVKARHLVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDISSGIFGYVEGFYRNHMEEVINFLETQHKGKYKVYNLCSERLYDASRFEGKAASFPFDDHNCPPVELIASFCRSAHSWLKEGIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAINYYNHKRCIDGRALVLPSQIRYVKYFEHILKNFNGENPSPRRCMLRGFRLHNCPYWIRPSITISDHNGILFSSRKHPKTKDLMPEDFWINARKKGIVVFALPGEPGLAELLGDFKIHFHDSQGDFYCWLNTMTDNRKILTADDLDGFDKRKLPSPGFQVEVVMVDYDGTLPTKAKADSSSRKPEGSSSDASASSHGVAANPNQNITPQREDGDDVFSDSDGEEAGDIKSTQVQGNSSTGLPAPNHVSNTRSEDTPKSSSLKKPTSDGAEKSHLVHHSRKLSSSGAEDIRAIAADASVFSFGDDDFDSE >ONI02941 pep chromosome:Prunus_persica_NCBIv2:G6:23330408:23334323:-1 gene:PRUPE_6G230100 transcript:ONI02941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPAGDISSGIFGYVEGFYRNHMEEVINFLETQHKGKYKVYNLCSERLYDASRFEGKAASFPFDDHNCPPVELIASFCRSAHSWLKEGIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAINYYNHKRCIDGRALVLPSQIRYVKYFEHILKNFNGENPSPRRCMLRGFRLHNCPYWIRPSITISDHNGILFSSRKHPKTKDLMPEDFWINARKKGIVVFALPGEPGLAELLGDFKIHFHDSQGDFYCWLNTMTDNRKILTADDLDGFDKRKLPSPGFQVEVVMVDYDGTLPTKAKADSSSRKPEGSSSDASASSHGVAANPNQNITPQREDGDDVFSDSDGEEAGDIKSTQVQGNSSTGLPAPNHVSNTRSEDTPKSSSLKKPTSDGAEKSHLVHHSRKLSSSGAEDIRAIAADASVFSFGDDDFDSE >ONI02933 pep chromosome:Prunus_persica_NCBIv2:G6:23330140:23335603:-1 gene:PRUPE_6G230100 transcript:ONI02933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSNDSKAQPPAQGSCVENSTAAAASGQDNSNQYAKAVSGVFHSFTKGLVNTSQSAVKAVQVKARHLVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDISSGIFGYVEGFYRNHMEEVINFLETQHKGKYKVYNLCSERLYDASRFEGKAASFPFDDHNCPPVELIASFCRSAHSWLKEGIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAINYYNHKRCIDGRALVLPSQIRYVKYFEHILKNFNGENPSPRRCMLRGFRLHNCPYWIRPSITISDHNGILFSSRKHPKTKDLMPEDFWINARKKGIVVFALPGEPGLAELLGDFKIHFHDSQGDFYCWLNTMTDNRKILTADDLDGFDKRKLPSPGFQVEVVMVDYDGTLPTKAKADSSSRKPEGSSSDASASSHGVAANPNQNITPQREDGDDVFSDSDGEEAGDIKSTQVQGNSSTGLPAPNHVSNTRSEDTPKSSSLKKPTSDGAEKSHLVHHSRKLSSSGAEDIRAIAADASVFSFGDDDFDSE >ONI02939 pep chromosome:Prunus_persica_NCBIv2:G6:23330144:23335609:-1 gene:PRUPE_6G230100 transcript:ONI02939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKSNDSKAQPPAQGSCVENSTAAAASGQDNSNQYAKAVSGVFHSFTKGLVNTSQSAVKAVQVKARHLVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDISSGIFGYVEGFYRNHMEEVINFLETQHKGKYKVYNLCSERLYDASRFEGKAASFPFDDHNCPPVELIASFCRSAHSWLKEGIENVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAINYYNHKRCIDGRALVLPSQIRYVKYFEHILKNFNGENPSPRRCMLRGFRLHNCPYWIRPSITISDHNGILFSSRKHPKTKDLMPEDFWINARKKGIVVFALPGEPGLAELLGDFKIHFHDSQGDFYCWLNTMTDNRKILTADDLDGFDKRKLPSPGFQVEVVMVDYDGTLPTKAKADSSSRKPEGSSSDASASSHGVAANPNQNITPQREDGDDVFSDSDGEEAGDIKSTQVQGNSSTGLPAPNHVSNTRSEDTPKSSSLKKPTSDGAEKSHLVHHSRKLSSSGAEDIRAIAADASVFSFGDDDFDSE >ONI05058 pep chromosome:Prunus_persica_NCBIv2:G6:30112043:30113176:1 gene:PRUPE_6G354000 transcript:ONI05058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEGRKVGKKATASMGRSRKGCMKGKGGPENALCSFRGVRQRTWGKWVAEIREPNRGARLWLGTFNTSTEAALAYDEAARKLYGSSAKLNLPDHKPSSSSTANSSCSRTKSTSDQYNMKGGFGESVKGGSSGDGCGKLGGEACSDSLIFGGWSIGDGLWESTTTTGGASASTGTTSPVLSSWAGLCGEKDFLEMNDIGADVMMGGGDEFINWDALGPPSSWT >ONI01478 pep chromosome:Prunus_persica_NCBIv2:G6:11492278:11492553:-1 gene:PRUPE_6G141800 transcript:ONI01478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFCSKGDGKGIFIRSPHTPKAPRHGPRPPSRLKVSEMRQVAAVLFQESNFPARCMVPSVLPKPLCKSLASNRVLFYEDELCQAVAQNKLL >ONH99494 pep chromosome:Prunus_persica_NCBIv2:G6:2515622:2517117:1 gene:PRUPE_6G032800 transcript:ONH99494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIPCCEKDNVKRGQWTPEEDNKLSSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSDAEEQTIVKLHSVVGNRWSIIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKRRIDFQFQHSNATPGNSTATYINSKQEEKDDTIEKIKIGLSRAMQEPDMLTSNKPWDPTGATSANFTGNCSAFPLPMSGFQYGPSTFGNEGDGSPWSQSMCTGSTCTGGDQQGQLHDKLGEQNGEESEGGKELRNGSNIFNSDSILWDLPSDDLMNPLV >ONI00401 pep chromosome:Prunus_persica_NCBIv2:G6:5881661:5885431:1 gene:PRUPE_6G087000 transcript:ONI00401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSAHLWRVGGGVYERESKKRGKNLRPNLSYNCHITSCCPLYQMHCELMNPFFICFSSFLFIGLCGPL >ONI00485 pep chromosome:Prunus_persica_NCBIv2:G6:6254950:6264501:-1 gene:PRUPE_6G091300 transcript:ONI00485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDETEGRCPACRTPYDKEKIVGTAGKCERLVAEINTEKKMKSQKAKVKSTEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGIIQQFPNNTCSVYITYSKEEEAVRCIQNVHGFLLDGRSLRACFGTTKYCHAWLRNVPCTNPDCLYLHEVGSQEDSFTKDEIISAYTRVQQITGTANSMQRRSGSVLPPPLDDYCNSSSTSAGGPIIKNGSSNTGSLLRGSPPNGSSGRSIALPAAASWGTRGSNCQPPATNIINSNGHTKQKPDVNCTLPFSSAAVATTQASILHSDAGKRSALNDESQTMHAKGKPESLKIVRQNSGVDCQNDLSDEPAAPDEAPASVNGSSPLSSPQTTKDNDRDSSMQPSISNATNHSHLSYSSCHENENLVSTEEVVQSICSDMPLMGIDRNSMVEHSGVVRSNSSLSDNSVIKSPRNQGLQQYCAEQSREPPITAVTAVNAVCVTREQSNWISESQAQLVPNASSEVEEDVLSFDNQRLKDPEVSRSTYLPSLANAVHVSNHSRSPLLHSEAYGAVYSNVDRPFVDNKMRDSSLLSSSSISVTSNGYPENLVSRSSGSERPLEHSFLLPNEGPGKHSGRFLDDAANADFSAAVDKGESSIISNILSMDFDTWDDSIASPQHFSKLLGETDRQPGALKMSSPWKVQNNNQSRFSFARQEDSKNQAFDVQSSPNVVGQFSNNQSFHHGFSENRDLGLENLGIGNGFSSSSYEEPENHGSNHLAFSSNKLSVVSRAQISAPPGFSVPSRAPPPGFTSHERVDQEFDSLAGNHLYDTSPLLRNAYQPQATGNIGSSGDIEFMDPAILAVGKGRLQGGLNNPGLEMRSNFPSQLSAYENDARLQLLMQRSLTPQQNVRFPDFGDGFSHVNDSYGISSMLLDQSQTSSNLSPFSQLSLQQQSRNRVMSNGHWDGWNEAQGGSTLGMAELLRNDRLGFNKYYSGYEDSKFRMPSSGDLYNRTFGM >ONI00490 pep chromosome:Prunus_persica_NCBIv2:G6:6255046:6263058:-1 gene:PRUPE_6G091300 transcript:ONI00490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAEKDETEGRCPACRTPYDKEKIVGTAGKCERLVAEINTEKKMKSQKAKVKSTEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGIIQQFPNNTCSVYITYSKEEEAVRCIQNVHGFLLDGRSLRACFGTTKYCHAWLRNVPCTNPDCLYLHEVGSQEDSFTKDEIISAYTRSRVQQITGTANSMQRRSGSVLPPPLDDYCNSSSTSAGGPIIKNGSSNTGSLLRGSPPNGSSGRSIALPAAASWGTRGSNCQPPATNIINSNGHTKQKPDVNCTLPFSSAAVATTQASILHSDAGKRSALNDESQTMHAKGKPESLKIVRQNSGVDCQNDLSDEPAAPDEAPASVNGSSPLSSPQTTKDNDRDSSMQPSISNATNHSHLSYSSCHENENLVSTEEVVQSICSDMPLMGIDRNSMVEHSGVVRSNSSLSDNSVIKSPRNQGLQQYCAEQSREPPITAVTAVNAVCVTREQSNWISESQAQLVPNASSEVEEDVLSFDNQRLKDPEVSRSTYLPSLANAVHVSNHSRSPLLHSEAYGAVYSNVDRPFVDNKMRDSSLLSSSSISVTSNGYPENLVSRSSGSERPLEHSFLLPNEGPGKHSGRFLDDAANADFSAAVDKGESSIISNILSMDFDTWDDSIASPQHFSKLLGETDRQPGALKMSSPWKVQNNNQSRFSFARQEDSKNQAFDVQSSPNVVGQFSNNQSFHHGFSENRDLGLENLGIGNGFSSSSYEEPENHGSNHLAFSSNKLSVVSRAQISAPPGFSVPSRAPPPGFTSHERVDQEFDSLAGNHLYDTSPLLRNAYQPQATGNIGSSGDIEFMDPAILAVGKGRLQGGLNNPGLEMRSNFPSQLSAYENDARLQLLMQRSLTPQQNVRFPDFGDGFSHVNDSYGISSMLLDQSQTSSNLSPFSQLSLQQQSRNRVMSNGHWDGWNEAQGGSTLGMAELLRNDRLGFNKYYSGYEDSKFRMPSSGDLYNRTFGM >ONI00488 pep chromosome:Prunus_persica_NCBIv2:G6:6256287:6261755:-1 gene:PRUPE_6G091300 transcript:ONI00488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAEKDETEGRCPACRTPYDKEKIVGTAGKCERLVAEINTEKKMKSQKAKVKSTEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGIIQQFPNNTCSVYITYSKEEEAVRCIQNVHGFLLDGRSLRACFGTTKYCHAWLRNVPCTNPDCLYLHEVGSQEDSFTKDEIISAYTRVQQITGTANSMQRRSGSVLPPPLDDYCNSSSTSAGGPIIKNGSSNTGSLLRGSPPNGSSGRSIALPAAASWGTRGSNCQPPATNIINSNGHTKQKPDVNCTLPFSSAAVATTQASILHSDAGKRSALNDESQTMHAKGKPESLKIVRQNSGVDCQNDLSDEPAAPDEAPASVNGSSPLSSPQTTKDNDRDSSMQPSISNATNHSHLSYSSCHENENLVSTEEVVQSICSDMPLMGIDRNSMVEHSGVVRSNSSLSDNSVIKSPRNQGLQQYCAEQSREPPITAVTAVNAVCVTREQSNWISESQAQLVPNASSEVEEDVLSFDNQRLKDPEVSRSTYLPSLANAVHVSNHSRSPLLHSEAYGAVYSNVDRPFVDNKMRDSSLLSSSSISVTSNGYPENLVSRSSGSERPLEHSFLLPNEGPGKHSGRFLDDAANADFSAAVDKGESSIISNILSMDFDTWDDSIASPQHFSKLLGETDRQPGALKMSSPWKVQNNNQSRFSFARQEDSKNQAFDVQSSPNVVGQFSNNQSFHHGFSENRDLGLENLGIGNGFSSSSYEEPENHGSNHLAFSSNKLSVVSRAQISAPPGFSVPSRAPPPGFTSHERVDQEFDSLAGNHLYDTSPLLRNAYQPQATGNIGSSGDIEFMDPAILAVGKGRLQGGLNNPGLEMRSNFPSQLSAYENDARLQLLMQRSLTPQQNVRFPDFGDGFSHVNDSYGISSMLLDQSQTSSNLSPFSQLSLQQQSRNRVMSNGHWDGWNEAQGGSTLGMAELLRNDRLGFNKYYSGYEDSKFRMPSSGDLYNRTFGM >ONI00484 pep chromosome:Prunus_persica_NCBIv2:G6:6255046:6264449:-1 gene:PRUPE_6G091300 transcript:ONI00484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDETEGRCPACRTPYDKEKIVGTAGKCERLVAEINTEKKMKSQKAKVKSTEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGIIQQFPNNTCSVYITYSKEEEAVRCIQNVHGFLLDGRSLRACFGTTKYCHAWLRNVPCTNPDCLYLHEVGSQEDSFTKDEIISAYTRVQQITGTANSMQRRSGSVLPPPLDDYCNSSSTSAGGPIIKNGSSNTGSLLRGSPPNGSSGRSIALPAAASWGTRGSNCQPPATNIINSNGHTKQKPDVNCTLPFSSAAVATTQASILHSDAGKRSALNDESQTMHAKGKPESLKIVRQNSGVDCQNDLSDEPAAPDEAPASVNGSSPLSSPQTTKDNDRDSSMQPSISNATNHSHLSYSSCHENENLVSTEEVVQSICSDMPLMGIDRNSMVEHSGVVRSNSSLSDNSVIKSPRNQGLQQYCAEQSREPPITAVTAVNAVCVTREQSNWISESQAQLVPNASSEVEEDVLSFDNQRLKDPEVSRSTYLPSLANAVHVSNHSRSPLLHSEAYGAVYSNVDRPFVDNKMRDSSLLSSSSISVTSNGYPENLVSRSSGSERPLEHSFLLPNEGPGKHSGRFLDDAANADFSAAVDKGESSIISNILSMDFDTWDDSIASPQHFSKLLGETDRQPGALKMSSPWKVQNNNQSRFSFARQEDSKNQAFDVQSSPNVVGQFSNNQSFHHGFSENRDLGLENLGIGNGFSSSSYEEPENHGSNHLAFSSNKLSVVSRAQISAPPGFSVPSRAPPPGFTSHERVDQEFDSLAGNHLYDTSPLLRNAYQPQATGNIGSSGDIEFMDPAILAVGKGRLQGGLNNPGLEMRSNFPSQLSAYENDARLQLLMQRSLTPQQNVRFPDFGDGFSHVNDSYGISSMLLDQSQTSSNLSPFSQLSLQQQSRNRVMSNGHWDGWNEAQGGSTLGMAELLRNDRLGFNKYYSGYEDSKFRMPSSGDLYNRTFGM >ONI00486 pep chromosome:Prunus_persica_NCBIv2:G6:6256287:6263299:-1 gene:PRUPE_6G091300 transcript:ONI00486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDETEGRCPACRTPYDKEKIVGTAGKCERLVAEINTEKKMKSQKAKVKSTEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGIIQQFPNNTCSVYITYSKEEEAVRCIQNVHGFLLDGRSLRACFGTTKYCHAWLRNVPCTNPDCLYLHEVGSQEDSFTKDEIISAYTRSRVQQITGTANSMQRRSGSVLPPPLDDYCNSSSTSAGGPIIKNGSSNTGSLLRGSPPNGSSGRSIALPAAASWGTRGSNCQPPATNIINSNGHTKQKPDVNCTLPFSSAAVATTQASILHSDAGKRSALNDESQTMHAKGKPESLKIVRQNSGVDCQNDLSDEPAAPDEAPASVNGSSPLSSPQTTKDNDRDSSMQPSISNATNHSHLSYSSCHENENLVSTEEVVQSICSDMPLMGIDRNSMVEHSGVVRSNSSLSDNSVIKSPRNQGLQQYCAEQSREPPITAVTAVNAVCVTREQSNWISESQAQLVPNASSEVEEDVLSFDNQRLKDPEVSRSTYLPSLANAVHVSNHSRSPLLHSEAYGAVYSNVDRPFVDNKMRDSSLLSSSSISVTSNGYPENLVSRSSGSERPLEHSFLLPNEGPGKHSGRFLDDAANADFSAAVDKGESSIISNILSMDFDTWDDSIASPQHFSKLLGETDRQPGALKMSSPWKVQNNNQSRFSFARQEDSKNQAFDVQSSPNVVGQFSNNQSFHHGFSENRDLGLENLGIGNGFSSSSYEEPENHGSNHLAFSSNKLSVVSRAQISAPPGFSVPSRAPPPGFTSHERVDQEFDSLAGNHLYDTSPLLRNAYQPQATGNIGSSGDIEFMDPAILAVGKGRLQGGLNNPGLEMRSNFPSQLSAYENDARLQLLMQRSLTPQQNVRFPDFGDGFSHVNDSYGISSMLLDQSQTSSNLSPFSQLSLQQQSRNRVMSNGHWDGWNEAQGGSTLGMAELLRNDRLGFNKYYSGYEDSKFRMPSSGDLYNRTFGM >ONI00491 pep chromosome:Prunus_persica_NCBIv2:G6:6256287:6261755:-1 gene:PRUPE_6G091300 transcript:ONI00491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAEKDETEGRCPACRTPYDKEKIVGTAGKCERLVAEINTEKKMKSQKAKVKSTEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGIIQQFPNNTCSVYITYSKEEEAVRCIQNVHGFLLDGRSLRACFGTTKYCHAWLRNVPCTNPDCLYLHEVGSQEDSFTKDEIISAYTRSRVQQITGTANSMQRRSGSVLPPPLDDYCNSSSTSAGGPIIKNGSSNTGSLLRGSPPNGSSGRSIALPAAASWGTRGSNCQPPATNIINSNGHTKQKPDVNCTLPFSSAAVATTQASILHSDAGKRSALNDESQTMHAKGKPESLKIVRQNSGVDCQNDLSDEPAAPDEAPASVNGSSPLSSPQTTKDNDRDSSMQPSISNATNHSHLSYSSCHENENLVSTEEVVQSICSDMPLMGIDRNSMVEHSGVVRSNSSLSDNSVIKSPRNQGLQQYCAEQSREPPITAVTAVNAVCVTREQSNWISESQAQLVPNASSEVEEDVLSFDNQRLKDPEVSRSTYLPSLANAVHVSNHSRSPLLHSEAYGAVYSNVDRPFVDNKMRDSSLLSSSSISVTSNGYPENLVSRSSGSERPLEHSFLLPNEGPGKHSGRFLDDAANADFSAAVDKGESSIISNILSMDFDTWDDSIASPQHFSKLLGETDRQPGALKMSSPWKVQNNNQSRFSFARQEDSKNQAFDVQSSPNVVGQFSNNQSFHHGFSENRDLGLENLGIGNGFSSSSYEEPENHGSNHLAFSSNKLSVVSRAQISAPPGFSVPSRAPPPGFTSHERVDQEFDSLAGNHLYDTSPLLRNAYQPQATGNIGSSGDIEFMDPAILAVGKGRLQGGLNNPGLEMRSNFPSQLSAYENDARLQLLMQRSLTPQQNVRFPDFGDGFSHVNDSYGISSMLLDQSQTSSNLSPFSQLSLQQQSRNRVMSNGHWDGWNEAQGGSTLGMAELLRNDRLGFNKYYSGYEDSKFRMPSSGDLYNRTFGM >ONI00487 pep chromosome:Prunus_persica_NCBIv2:G6:6256287:6263299:-1 gene:PRUPE_6G091300 transcript:ONI00487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDETEGRCPACRTPYDKEKIVGTAGKCERLVAEINTEKKMKSQKAKVKSTEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGIIQQFPNNTCSVYITYSKEEEAVRCIQNVHGFLLDGRSLRACFGTTKYCHAWLRNVPCTNPDCLYLHEVGSQEDSFTKDEIISAYTRSRVQQITGTANSMQRRSGSVLPPPLDDYCNSSSTSAGGPIIKNGSSNTGSLLRGSPPNGSSGRSIALPAAASWGTRGSNCQPPATNIINSNGHTKQKPDVNCTLPFSSAAVATTQASILHSDAGKRSALNDESQTMHAKGKPESLKIVRQNSGVDCQNDLSDEPAAPDEAPASVNGSSPLSSPQTTKDNDRDSSMQPSISNATNHSHLSYSSCHENENLVSTEEVVQSICSDMPLMGIDRNSMVEHSGVVRSNSSLSDNSVIKSPRNQGLQQYCAEQSREPPITAVTAVNAVCVTREQSNWISESQAQLVPNASSEVEEDVLSFDNQRLKDPEVSRSTYLPSLANAVHVSNHSRSPLLHSEAYGAVYSNVDRPFVDNKMRDSSLLSSSSISVTSNGYPENLVSRSSGSERPLEHSFLLPNEGPGKHSGRFLDDAANADFSAAVDKGESSIISNILSMDFDTWDDSIASPQHFSKLLGETDRQPGALKMSSPWKVQNNNQSRFSFARQEDSKNQAFDVQSSPNVVGQFSNNQSFHHGFSENRDLGLENLGIGNGFSSSSYEEPENHGSNHLAFSSNKLSVVSRAQISAPPGFSVPSRAPPPGFTSHERVDQEFDSLAGNHLYDTSPLLRNAYQPQATGNIGSSGDIEFMDPAILAVGKGRLQGGLNNPGLEMRSNFPSQLSAYENDARLQLLMQRSLTPQQNVRFPDFGDGFSHVNDSYGISSMLLDQSQTSSNLSPFSQLSLQQQSRNRVMSNGHWDGWNEAQGGSTLGMAELLRNDRLGFNKYYSGYEDSKFRMPSSGDLYNRTFGM >ONI00489 pep chromosome:Prunus_persica_NCBIv2:G6:6255046:6263058:-1 gene:PRUPE_6G091300 transcript:ONI00489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAEKDETEGRCPACRTPYDKEKIVGTAGKCERLVAEINTEKKMKSQKAKVKSTEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGIIQQFPNNTCSVYITYSKEEEAVRCIQNVHGFLLDGRSLRACFGTTKYCHAWLRNVPCTNPDCLYLHEVGSQEDSFTKDEIISAYTRVQQITGTANSMQRRSGSVLPPPLDDYCNSSSTSAGGPIIKNGSSNTGSLLRGSPPNGSSGRSIALPAAASWGTRGSNCQPPATNIINSNGHTKQKPDVNCTLPFSSAAVATTQASILHSDAGKRSALNDESQTMHAKGKPESLKIVRQNSGVDCQNDLSDEPAAPDEAPASVNGSSPLSSPQTTKDNDRDSSMQPSISNATNHSHLSYSSCHENENLVSTEEVVQSICSDMPLMGIDRNSMVEHSGVVRSNSSLSDNSVIKSPRNQGLQQYCAEQSREPPITAVTAVNAVCVTREQSNWISESQAQLVPNASSEVEEDVLSFDNQRLKDPEVSRSTYLPSLANAVHVSNHSRSPLLHSEAYGAVYSNVDRPFVDNKMRDSSLLSSSSISVTSNGYPENLVSRSSGSERPLEHSFLLPNEGPGKHSGRFLDDAANADFSAAVDKGESSIISNILSMDFDTWDDSIASPQHFSKLLGETDRQPGALKMSSPWKVQNNNQSRFSFARQEDSKNQAFDVQSSPNVVGQFSNNQSFHHGFSENRDLGLENLGIGNGFSSSSYEEPENHGSNHLAFSSNKLSVVSRAQISAPPGFSVPSRAPPPGFTSHERVDQEFDSLAGNHLYDTSPLLRNAYQPQATGNIGSSGDIEFMDPAILAVGKGRLQGGLNNPGLEMRSNFPSQLSAYENDARLQLLMQRSLTPQQNVRFPDFGDGFSHVNDSYGISSMLLDQSQTSSNLSPFSQLSLQQQSRNRVMSNGHWDGWNEAQGGSTLGMAELLRNDRLGFNKYYSGYEDSKFRMPSSGDLYNRTFGM >ONI03298 pep chromosome:Prunus_persica_NCBIv2:G6:24515982:24519645:1 gene:PRUPE_6G249500 transcript:ONI03298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNQGFWMPKGTGCLNEGEALYDNSPRIEPKRSHQWFMDGPEVELFPNKKQAVEVPNNNLFSGMLNANVSPWGNVPSFHSFSGHFTERLFDSETDRAVNFDDRNIPAAETEKMNLARKGNEDLFGNDSSFGLSMSHTLEDPRTSPNYGGFRKVKVSEVKDSENVMPVSIGHAYNQGDNGAMLAAHVYKADDNTASMGLAYKKGDDSFISMSDNYNRADNNFISMGQPFNKGDENISIGQTYKESNNTLSMGQTFNKGDNNIISIGQTYNKVEESTISAGHIYNKGEDSTISMGHAYSKGDSNMLSIGHSYNNRESTIISFGGYDDDDAHTSAISGYELLMGQPFPKTEAMNEKELGKSNADALVNLPHITAGNENISKKKVEQKMSKKVPPNNFPSNVRSLLSTGMLDGVPVKYTAWSREKELQGVIKGSGYLCGCQSCDFSKVINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRSTPQNMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDEGKQFS >ONI03299 pep chromosome:Prunus_persica_NCBIv2:G6:24515982:24519645:1 gene:PRUPE_6G249500 transcript:ONI03299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGFWMPKGTGCLNEGEALYDNSPRIEPKRSHQWFMDGPEVELFPNKKQAVEVPNNNLFSGMLNANVSPWGNVPSFHSFSGHFTERLFDSETDRAVNFDDRNIPAAETEKMNLARKGNEDLFGNDSSFGLSMSHTLEDPRTSPNYGGFRKVKVSEVKDSENVMPVSIGHAYNQGDNGAMLAAHVYKADDNTASMGLAYKKGDDSFISMSDNYNRADNNFISMGQPFNKGDENISIGQTYKESNNTLSMGQTFNKGDNNIISIGQTYNKVEESTISAGHIYNKGEDSTISMGHAYSKGDSNMLSIGHSYNNRESTIISFGGYDDDDAHTSAISGYELLMGQPFPKTEAMNEKELGKSNADALVNLPHITAGNENISKKKVEQKMSKKVPPNNFPSNVRSLLSTGMLDGVPVKYTAWSREKELQGVIKGSGYLCGCQSCDFSKVINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRSTPQNMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDEGKQFS >ONI01191 pep chromosome:Prunus_persica_NCBIv2:G6:9783186:9787776:1 gene:PRUPE_6G126800 transcript:ONI01191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSILAYLQNKTILVTGATGFLAMVFVEKILRVQPDVKKIYLLLRASNTNSATNRMHNEILGKELFRVLRDKHGADFDSFVSQKVVAVAGDVTSEKLGVKDFKLTEEMCNETEIILNSAATTNFDERYDIALDVNTFGILHVVSFAKKCLKLEMLLHVSTAYVCGERVGLIPEDLPSLTDDIVKGTTKFDFKAQEKIVVEKKLTELKAQGASEEVITSTMKDFGIERAKHYGWPNTYVFTKAMGEVFLGRSKDELPVVIIRPTVVTSTYKEPFPGWIQGFRTIDSVIAGYCKGKLTCLLADPTTVFDMIPVDMVVNSIISAMAVNANQSSIVIYHVGSSFRNPIKINSIHDFVFRHFTKDPWVDKDGNLVKVGRCTMFSTMATFRMYMQICFMIPLEGLKFVNKACGHYFQDSYVNYSRKLKLVMRFVELYKPYMLFKGIFDDTNSEELQKVTSESYIDANKFNFDPNCIDWEDYIMNTHIHGLRKHVMVK >ONI00105 pep chromosome:Prunus_persica_NCBIv2:G6:4649718:4652251:-1 gene:PRUPE_6G067500 transcript:ONI00105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAFSRSKFAIFSSILLRGLKRTTTQETPLLRKFRIPESEIESSKPICGFRLYHDGRPRGPLWRGKKLIGKEALYVISGLKRFKDDEEKLGKFIKNHVLRLLKMDLIAVLTELERQEEVNLAIKVFNVIRKQDWYNPDVYLYKDLIISLARSKKMDDVMLLWDGMKKEDLFPDSQTYTEVIRGFLSSGSPADAMNIYEDMKNSPDPPEELPFRILLKGLLPHPLLRNRVKQDFEELFPEQHVYDPPEEIFGVRC >ONH98966 pep chromosome:Prunus_persica_NCBIv2:G6:313417:319818:1 gene:PRUPE_6G002600 transcript:ONH98966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRTELEFDEFEKLLGEIPNATSVNPHSEESGIKSVSLNGSLSPICVNSYKRPLSDKLHTNGRRNEGKNSVKKIQKSPVARGQPEVANLPDDRSLTSAFAGLSFDGGVTVEAGSHEETCKSMQNFSFSLNGQIPNSLKTHISDSDAPVMVVPSFQAPNNTPCGFYEFDVTNIGQESSNMSKFNSEELKKPQVVYSQPMETLSSAVPLAHAVQGFQFLSNVPGPGLQFPLTSDPQQFFLDAQSRIPYLRSQQLNQNQVSWRNMEEEQYYRMQQRYVYMQQLHDQRLEAQHLVQGSGNIASRLTCPNPRHPHFEVPISHRLEQSNQERVRNNYVIPRGPHQSNPALSYTDLNGIQVFDKVGKQSFPEKILTRSHGMNTLKAVKFGAVGADESLAHVSHNGKVLSNGHFRHTFSTQNTGCFQLDSLSTWGMFPSLMHLKNTDMKALPQKYTDMKALPQKYNSMDEITGRIYLMAKDQHGCRFLQRKFSEGAQKDVENIFFEIIDHIVELMTDPFGNYLIQKLLEVCGEVQKMQILHSITKKPGELVRISCDMHGTRAVQKVIETLKTLEQFSMVVSSLKPGIVTLIKNTNGNHVAQRCLQYLTPEYREFLFEAATTNCVELATDRHGCCVLQKCLSHSDAEQRNRLICEITSNALILSQDPFGNYVVQFVFELQLPWATVDILDQLEGNYGDLSVQKYSSNVVEKSLKYAGEERRVRIVQELIENPRLDQIMQDPYGNYVIQAALSQSKGTFHSKLMDAIKPHVPVLRTSPYGKKILSSNILKK >ONH98970 pep chromosome:Prunus_persica_NCBIv2:G6:313417:319241:1 gene:PRUPE_6G002600 transcript:ONH98970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRTELEFDEFEKLLGEIPNATSVNPHSEESGIKSVSLNGSLSPICVNSYKRPLSDKLHTNGRRNEGKNSVKKIQKSPVARGQPEVANLPDDRSLTSAFAGLSFDGGVTVEAGSHEETCKSMQNFSFSLNGQIPNSLKTHISDSDAPVMVVPSFQAPNNTPCGFYEFDVTNIGQESSNMSKFNSEELKKPQVVYSQPMETLSSAVPLAHAVQGFQFLSNVPGPGLQFPLTSDPQQFFLDAQSRIPYLRSQQLNQNQVSWRNMEEEQYYRMQQRYVYMQQLHDQRLEAQHLVQGSGNIASRLTCPNPRHPHFEVPISHRLEQSNQERVRNNYVIPRGPHQSNPALSYTDLNGIQVFDKVGKQSFPEKILTRSHGMNTLKAVKFGAVGADESLAHVSHNGKVLSNGHFRHTFSTQNTGCFQLDSLSTWGMFPSLMHLKNTDMKALPQKYTDMKALPQKYNSMDEITGRIYLMAKDQHGCRFLQRKFSEGAQKDVENIFFEIIDHIVELMTDPFGNYLIQKLLEVCGEVQKMQILHSITKKPGELVRISCDMHGTRAVQKVIETLKTLEQFSMVVSSLKPGIVTLIKNTNGNHVAQRCLQYLTPEYREFLFEAATTNCVELATDRHGCCVLQKCLSHSDAEQRNRLICEITSNALILSQDPFGNYVVQFVFELQLPWATVDILDQLEGNYGDLSVQKYSSNVVEKSLKYAGEERRVRIVQELIENPRLDQIMQDPYGNYVIQAALSQSKGTFHSKLMDAIKPHVPVLRTSPYGKKILSSNILKK >ONH98965 pep chromosome:Prunus_persica_NCBIv2:G6:313417:319818:1 gene:PRUPE_6G002600 transcript:ONH98965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRTELEFDEFEKLLGEIPNATSVNPHSEESGIKSVSLNGSLSPICVNSYKRPLSDKLHTNGRRNEGKNSVKKIQKSPVARGQPEVANLPDDRSLTSAFAGLSFDGGVTVEAGSHEETCKSMQNFSFSLNGQIPNSLKTHISDSDAPVMVVPSFQAPNNTPCGFYEFDVTNIGQESSNMSKFNSEELKKPQVVYSQPMETLSSAVPLAHAVQGFQFLSNVPGPGLQFPLTSDPQQFFLDAQSRIPYLRSQQLNQNQVSWRNMEEEQYYRMQQRYVYMQQLHDQRLEAQHLVQGSGNIASRLTCPNPRHPHFEVPISHRLEQSNQERVRNNYVIPRGPHQSNPALSYTDLNGIQVFDKVGKQSFPEKILTRSHGMNTLKAVKFGAVGADESLAHVSHNGKVLSNGHFRHTFSTQNTGCFQLDSLSTWGMFPSLMHLKNTDMKALPQKYTDMKALPQKYNSMDEITGRIYLMAKDQHGCRFLQRKFSEGAQKDVENIFFEIIDHIVELMTDPFGNYLIQKLLEVCGEVQKMQILHSITKKPGELVRISCDMHGTRAVQKVIETLKTLEQFSMVVSSLKPGIVTLIKNTNGNHVAQRCLQYLTPEYREFLFEAATTNCVELATDRHGCCVLQKCLSHSDAEQRNRLICEITSNALILSQDPFGNYVVQFVFELQLPWATVDILDQLEGNYGDLSVQKYSSNVVEKSLKYAGEERRVRIVQELIENPRLDQIMQDPYGNYVIQAALSQSKQVLTTSEQSRITRDPYVCR >ONH98971 pep chromosome:Prunus_persica_NCBIv2:G6:314162:317417:1 gene:PRUPE_6G002600 transcript:ONH98971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRTELEFDEFEKLLGEIPNATSVNPHSEESGIKSVSLNGSLSPICVNSYKRPLSDKLHTNGRRNEGKNSVKKIQKSPVARGQPEVANLPDDRSLTSAFAGLSFDGGVTVEAGSHEETCKSMQNFSFSLNGQIPNSLKTHISDSDAPVMVVPSFQAPNNTPCGFYEFDVTNIGQESSNMSKFNSEELKKPQVVYSQPMETLSSAVPLAHAVQGFQFLSNVPGPGLQFPLTSDPQQFFLDAQSRIPYLRSQQLNQNQVSWRNMEEEQYYRMQQRYVYMQQLHDQRLEAQHLVQGSGNIASRLTCPNPRHPHFEVPISHRLEQSNQERVRNNYVIPRGPHQSNPALSYTDLNGIQVFDKVGKQSFPEKILTRSHGMNTLKAVKFGAVGADESLAHVSHNGKVLSNGHFRHTFSTQNTGCFQLDSLSTWGMFPSLMHLKNTDMKALPQKYTDMKALPQKYNSMDEITGRIYLMAKDQHGCRFLQRKFSEGAQKDVENIFFEIIDHIVELMTDPFGNYLIQKLLEVCGEVQKMQILHSITKKPGELVRISCDMHGTRAVQKVIETLKTLEQFSMVVSSLKPGIVTLIKNTNGNHVAQRCLQYLTPEYREKRFFLRGGVRGCSSQLGMAFIRLMKCNEARTSFYNKITSSYQWWWWWW >ONH98969 pep chromosome:Prunus_persica_NCBIv2:G6:313417:319829:1 gene:PRUPE_6G002600 transcript:ONH98969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRTELEFDEFEKLLGEIPNATSVNPHSEESGIKSVSLNGSLSPICVNSYKRPLSDKLHTNGRRNEGKNSVKKIQKSPVARGQPEVANLPDDRSLTSAFAGLSFDGGVTVEAGSHEETCKSMQNFSFSLNGQIPNSLKTHISDSDAPVMVVPSFQAPNNTPCGFYEFDVTNIGQESSNMSKFNSEELKKPQVVYSQPMETLSSAVPLAHAVQGFQFLSNVPGPGLQFPLTSDPQQFFLDAQSRIPYLRSQQLNQNQVSWRNMEEEQYYRMQQRYVYMQQLHDQRLEAQHLVQGSGNIASRLTCPNPRHPHFEVPISHRLEQSNQERVRNNYVIPRGPHQSNPALSYTDLNGIQVFDKVGKQSFPEKILTRSHGMNTLKAVKFGAVGADESLAHVSHNGKVLSNGHFRHTFSTQNTGCFQLDSLSTWGMFPSLMHLKNTDMKALPQKYTDMKALPQKYNSMDEITGRIYLMAKDQHGCRFLQRKFSEGAQKDVENIFFEIIDHIVELMTDPFGNYLIQKLLEVCGEVQKMQILHSITKKPGELVRISCDMHGTRAVQKVIETLKTLEQFSMVVSSLKPGIVTLIKNTNGNHVAQRCLQYLTPEYREFLFEAATTNCVELATDRHGCCVLQKCLSHSDAEQRNRLICEITSNALILSQDPFGNYVVQFVFELQLPWATVDILDQLEGNYGDLSVQKYSSNVVEKSLKYAGEERRVRIVQELIENPRLDQIMQDPYGNYVIQAALSQSKGTFHSKLMDAIKPHVPVLRTSPYGKKILSSNILKK >ONH98967 pep chromosome:Prunus_persica_NCBIv2:G6:313417:319818:1 gene:PRUPE_6G002600 transcript:ONH98967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRTELEFDEFEKLLGEIPNATSVNPHSEESGIKSVSLNGSLSPICVNSYKRPLSDKLHTNGRRNEGKNSVKKIQKSPVARGQPEVANLPDDRSLTSAFAGLSFDGGVTVEAGSHEETCKSMQNFSFSLNGQIPNSLKTHISDSDAPVMVVPSFQAPNNTPCGFYEFDVTNIGQESSNMSKFNSEELKKPQVVYSQPMETLSSAVPLAHAVQGFQFLSNVPGPGLQFPLTSDPQQFFLDAQSRIPYLRSQQLNQNQVSWRNMEEEQYYRMQQRYVYMQQLHDQRLEAQHLVQGSGNIASRLTCPNPRHPHFEVPISHRLEQSNQERVRNNYVIPRGPHQSNPALSYTDLNGIQVFDKVGKQSFPEKILTRSHGMNTLKAVKFGAVGADESLAHVSHNGKVLSNGHFRHTFSTQNTGCFQLDSLSTWGMFPSLMHLKNTDMKALPQKYTDMKALPQKYNSMDEITGRIYLMAKDQHGCRFLQRKFSEGAQKDVENIFFEIIDHIVELMTDPFGNYLIQKLLEVCGEVQKMQILHSITKKPGELVRISCDMHGTRAVQKVIETLKTLEQFSMVVSSLKPGIVTLIKNTNGNHVAQRCLQYLTPEYREFLFEAATTNCVELATDRHGCCVLQKCLSHSDAEQRNRLICEITSNALILSQDPFGNYVVQFVFELQLPWATVDILDQLEGNYGDLSVQKYSSNVVEKSLKYAGEERRVRIVQELIENPRLDQIMQDPYGNYVIQAALSQSKGTFHSKLMDAIKPHVPVLRTSPYGKKILSSNILKK >ONH98968 pep chromosome:Prunus_persica_NCBIv2:G6:313396:319829:1 gene:PRUPE_6G002600 transcript:ONH98968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRTELEFDEFEKLLGEIPNATSVNPHSEESGIKSVSLNGSLSPICVNSYKRPLSDKLHTNGRRNEGKNSVKKIQKSPVARGQPEVANLPDDRSLTSAFAGLSFDGGVTVEAGSHEETCKSMQNFSFSLNGQIPNSLKTHISDSDAPVMVVPSFQAPNNTPCGFYEFDVTNIGQESSNMSKFNSEELKKPQVVYSQPMETLSSAVPLAHAVQGFQFLSNVPGPGLQFPLTSDPQQFFLDAQSRIPYLRSQQLNQNQVSWRNMEEEQYYRMQQRYVYMQQLHDQRLEAQHLVQGSGNIASRLTCPNPRHPHFEVPISHRLEQSNQERVRNNYVIPRGPHQSNPALSYTDLNGIQVFDKVGKQSFPEKILTRSHGMNTLKAVKFGAVGADESLAHVSHNGKVLSNGHFRHTFSTQNTGCFQLDSLSTWGMFPSLMHLKNTDMKALPQKYTDMKALPQKYNSMDEITGRIYLMAKDQHGCRFLQRKFSEGAQKDVENIFFEIIDHIVELMTDPFGNYLIQKLLEVCGEVQKMQILHSITKKPGELVRISCDMHGTRAVQKVIETLKTLEQFSMVVSSLKPGIVTLIKNTNGNHVAQRCLQYLTPEYREFLFEAATTNCVELATDRHGCCVLQKCLSHSDAEQRNRLICEITSNALILSQDPFGNYVVQFVFELQLPWATVDILDQLEGNYGDLSVQKYSSNVVEKSLKYAGEERRVRIVQELIENPRLDQIMQDPYGNYVIQAALSQSKGTFHSKLMDAIKPHVPVLRTSPYGKKILSSNILKK >ONH98972 pep chromosome:Prunus_persica_NCBIv2:G6:313417:317417:1 gene:PRUPE_6G002600 transcript:ONH98972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRTELEFDEFEKLLGEIPNATSVNPHSEESGIKSVSLNGSLSPICVNSYKRPLSDKLHTNGRRNEGKNSVKKIQKSPVARGQPEVANLPDDRSLTSAFAGLSFDGGVTVEAGSHEETCKSMQNFSFSLNGQIPNSLKTHISDSDAPVMVVPSFQAPNNTPCGFYEFDVTNIGQESSNMSKFNSEELKKPQVVYSQPMETLSSAVPLAHAVQGFQFLSNVPGPGLQFPLTSDPQQFFLDAQSRIPYLRSQQLNQNQVSWRNMEEEQYYRMQQRYVYMQQLHDQRLEAQHLVQGSGNIASRLTCPNPRHPHFEVPISHRLEQSNQERVRNNYVIPRGPHQSNPALSYTDLNGIQVFDKVGKQSFPEKILTRSHGMNTLKAVKFGAVGADESLAHVSHNGKVLSNGHFRHTFSTQNTGCFQLDSLSTWGMFPSLMHLKNTDMKALPQKYTDMKALPQKYNSMDEITGRIYLMAKDQHGCRFLQRKFSEGAQKDVENIFFEIIDHIVELMTDPFGNYLIQKLLEVCGEVQKMQILHSITKKPGELVRISCDMHGTRAVQKVIETLKTLEQFSMVVSSLKPGIVTLIKNTNGNHVAQRCLQYLTPEYREKRFFLRGGVRGCSSQLGMAFIRLMKCNEARTSFYNKITSSYQWWWWWW >ONH99851 pep chromosome:Prunus_persica_NCBIv2:G6:3763412:3763894:1 gene:PRUPE_6G053600 transcript:ONH99851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDSKLFEWHFTDLNHSDFHIYSRGLLLLLLFFSLIFTITIFSFHAYWACVGRLSTTTTSMAANSASSMHSHGLDAATIESLPVYLHRSVVIANPNAGDIEATEIECCICLGVFEGEEKVKVLPKCHHAYHSECVDKWLSVQSSCPLCRLSLRVINSL >ONI03095 pep chromosome:Prunus_persica_NCBIv2:G6:23805505:23809244:-1 gene:PRUPE_6G238200 transcript:ONI03095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTSNGMMSTTSSSNAQSPGLKTYFKTPEGRYKLHYEKTHPSGLLHYAHGKTVTQVTLAHLKDKPAPSTPTAPSSSFSTGSGVRSAAARLLGGGNGSRALSFVGGNGGSKSVSASSRVGSLVASSSSSSTSTSNFDGKGTYLIFNVGDAIFISDLNSQDKDPVKSIHFSNSNPVCHAFDQDAKDGHDLIIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVSSSRCTSIAWVPGGDGAFVVAHADGNLYVYEKSKDGAGDSSFPVIKDQAQFSVAHARYSKSNPIARWHICHGSINSIAFSTDGASLATVGRDGYLRIFDYSKEQLICGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVVFLNSIVVAIIT >ONI03094 pep chromosome:Prunus_persica_NCBIv2:G6:23803840:23809749:-1 gene:PRUPE_6G238200 transcript:ONI03094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTSNGMMSTTSSSNAQSPGLKTYFKTPEGRYKLHYEKTHPSGLLHYAHGKTVTQVTLAHLKDKPAPSTPTAPSSSFSTGSGVRSAAARLLGGGNGSRALSFVGGNGGSKSVSASSRVGSLVASSSSSSTSTSNFDGKGTYLIFNVGDAIFISDLNSQDKDPVKSIHFSNSNPVCHAFDQDAKDGHDLIIGLNSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVSSSRCTSIAWVPGGDGAFVVAHADGNLYVYEKSKDGAGDSSFPVIKDQAQFSVAHARYSKSNPIARWHICHGSINSIAFSTDGASLATVGRDGYLRIFDYSKEQLICGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGMGETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTYSAGSQSSHWDNALPVGTLQPAPIMRDVPKISPLVAHRVHTEPLSGLMFTQESVLTVCREGHIKVWMRPGVSESQSNNSETVLSTSLKEKPLSSGKVGSSSYKQ >ONI00926 pep chromosome:Prunus_persica_NCBIv2:G6:8054391:8055047:1 gene:PRUPE_6G112000 transcript:ONI00926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGVTQLLGFRFRPTDGEIIGSFLYKMVVEKRPLTSMPQYNKVIHKCNLFGNKCEPSEIWRDYGGDQLKDQDLYFVSELQRNGLRIQRKTGRGTWSETETYQNVKDEVDEINGKSNLDVIGRKRKFRYENGNTSEDHAVWLLDEYSLFKNASKNGTSSNCYDFDVVICRLRRKGNMDKSGKKRKCLSQDQSNKKTKRDQSTKEMKTENSVGPQIMNA >ONI03266 pep chromosome:Prunus_persica_NCBIv2:G6:24380241:24382778:1 gene:PRUPE_6G247400 transcript:ONI03266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKGIDIFCASQASTAICLSMDQASSSSSSSIIQLGGRAIDRHNPIIKDARRSTSTRTLPIAPCSSSQSPINPKAYHQLQKSKKKSSPSSSSRPSTDHHQTKKKSSSFSSTKENDQKRKSSASNPKPADNVKKFSSVPSESVTKSSANPIDLITPPGSSRYLLSDTVYFDGLSDYDPVLALVPVGHKKNPATVLNQENQSANNSSTGSSSSLSKPQPPPPPSNQVVVLRVSLHCKGCEGKLRKHLSRMEGVTSFNIDFAAKKVTVSGDVTPLSVLASVSKVKNAQFWPTVSASSTSPACPTKLEAKK >ONI03265 pep chromosome:Prunus_persica_NCBIv2:G6:24380056:24382778:1 gene:PRUPE_6G247400 transcript:ONI03265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKGIDIFCASQASTAICLSMDQASSSSSSSIIQLGGRAIDRHNPIIKDARRSTSTRTLPIAPCSSSQSPINPKAYHQLQKSKKKSSPSSSSRPSTDHHQTKKKSSSFSSTKENDQKRKSSASNPKPADNVKKFSSVPSESVTKSSANPIDLITPPGSSRYLLSDTVYFDGLSDYDPVLALVPVGHKKNPATVLNQENQSANNSSTGSSSSLSKPQPPPPPSNQVVVLRVSLHCKGCEGKLRKHLSRMEGVTSFNIDFAAKKVTVSGDVTPLSVLASVSKVKNAQFWPTVSASSTSPACPTKLEAKK >ONI00541 pep chromosome:Prunus_persica_NCBIv2:G6:6461555:6463099:1 gene:PRUPE_6G093700 transcript:ONI00541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGVITELYIPRKCSATNRLITSKDHASVQINVGHLDENGIYTGQFSTFALCGYVRAQGDADSGLDRLWQKKKDEVKQH >ONI01549 pep chromosome:Prunus_persica_NCBIv2:G6:12039283:12052763:-1 gene:PRUPE_6G145800 transcript:ONI01549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSSMVPETDHDPAVPNHSTTSSQAAVEGEQQLGEQSQPGTGSPAQQPQQAAAAAAPQAQQTPVAGPRHAPTYSVVNAILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAQSVQGRLPGRYGFIDDRTRQTMPEAAPDGAVVLGNPVAPPVNGDMYTDISTENALLQGSRRMSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGSEATPSGKQISTLIKPDSAGSNNIPSAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYIDYLFLGDYVDRGQHSLETITLLLALKVEYAHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >ONI01548 pep chromosome:Prunus_persica_NCBIv2:G6:12040666:12052312:-1 gene:PRUPE_6G145800 transcript:ONI01548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSSMVPETDHDPAVPNHSTTSSQAAVEGEQQLGEQSQPGTGSPAQQPQQAAAAAAPQAQQTPVAGPRHAPTYSVVNAILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAQSVQGRLPGRYGFIDDRTRQTMPEAAPDGAVVLGNPVAPPVNGDMYTDISTENALLQGSRMSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGSEATPSGKQISTLIKPDSAGSNNIPSAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYAHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >ONI01547 pep chromosome:Prunus_persica_NCBIv2:G6:12039283:12052763:-1 gene:PRUPE_6G145800 transcript:ONI01547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSSMVPETDHDPAVPNHSTTSSQAAVEGEQQLGEQSQPGTGSPAQQPQQAAAAAAPQAQQTPVAGPRHAPTYSVVNAILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAQSVQGRLPGRYGFIDDRTRQTMPEAAPDGAVVLGNPVAPPVNGDMYTDISTENALLQGSRMSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGSEATPSGKQISTLIKPDSAGSNNIPSAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYIDYLFLGDYVDRGQHSLETITLLLALKVEYAHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >ONI01546 pep chromosome:Prunus_persica_NCBIv2:G6:12039283:12052763:-1 gene:PRUPE_6G145800 transcript:ONI01546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSSMVPETDHDPAVPNHSTTSSQAAVEGEQQLGEQSQPGTGSPAQQTPVAGPRHAPTYSVVNAILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAQSVQGRLPGRYGFIDDRTRQTMPEAAPDGAVVLGNPVAPPVNGDMYTDISTENALLQGSRRMSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGSEATPSGKQISTLIKPDSAGSNNIPSAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYAHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >ONI01550 pep chromosome:Prunus_persica_NCBIv2:G6:12040666:12052312:-1 gene:PRUPE_6G145800 transcript:ONI01550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSSMVPETDHDPAVPNHSTTSSQAAVEGEQQLGEQSQPGTGSPAQQPQQAAAAAAPQAQQTPVAGPRHAPTYSVVNAILEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAQSVQGRLPGRYGFIDDRTRQTMPEAAPDGAVVLGNPVAPPVNGDMYTDISTENALLQGSRRMSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGSEATPSGKQISTLIKPDSAGSNNIPSAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYAHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSLAWI >ONH99465 pep chromosome:Prunus_persica_NCBIv2:G6:2438138:2443336:1 gene:PRUPE_6G031400 transcript:ONH99465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSILPPFLLSVLLFSLFQIPVHAAKNSYIVYLGAQPHVLDPSSVDLDSVTNSHYNLLGSVLGSNERAQEAIFYSYNRNINGFAAILDEEEAAQIAKDPNVLSVFPNRGRKLHTTRSWDFLGLEENGEVRHGSIWKKAQFGANTIIGNLDTGVWPESKSFSDEGIGPIPSKWRGICQLDTKNGSHCNRKLIGARYFSKGYLAYASTVNSSAAKTIQPNARDFGGHGSHTLSTAAGNFVPRASVFGNGNGTAKGGSPKARVAAYKVCWPPINGNECFDADIIAAFDAAISDGVDVLSVSLGGEAAEFFSDGIAIGSFHAVKKGISVVSSAGNSGPTPGTVSNVSPWLLTVGASTIDREFSSYVALGNKKHLKGASLSSGALPSKMFYPLISAVDAKGANASSSDAQLCKAGSLEKKKVEGKILVCIRGENARADKGQQAVLAGAVGMILVNDKLSGNEIIADPHLLPTSHVNYSDGKAVFAYIKSTKTPVAYLTRVKTEVGAKPAPFMASFSSRGPNTIEQSILKPDITAPGVSIIAAYTGAEGPTDQKFDKRRVSFNTESGTSMSCPHVSGIVGLLKTLHPSWSPAAIKSAIMTTARKRDNKKEAMQDSSKARATPFAYGAGHVQPNRAMDPGLVYDLTTDDYLNFLCFRGYNATLLKVFSNEPHTCPKAYSLADFNYPSITVPDLHDKPVTVTRRVKNVGSPGTYVVHIKEPAGVSVSVKPGSLQFKTIGEEKKFKVVLKPKVQGAQDYVFGELNWSDGKHNVRSPIVVMHY >ONH99572 pep chromosome:Prunus_persica_NCBIv2:G6:2762582:2765156:1 gene:PRUPE_6G036600 transcript:ONH99572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKEKEERRMGLERLPSSLLIEEVLLKLEIETLCSVSCVNKAMSFSVSQALPLLSSINLSAFSPDAQILSSIVGGCRGLHSLTLNCLHLDNLSLGVILGTHLQELNLLSCSLLSYEVFTSIGEACPNLRVLVLELVDQCSTEAFRTNLDQMLSGCLCLESISLKIRGRDVGANAFQSIDFFLPSALKSMKLQSVLEQDVIRLMDKIRVGADRNSVQTSHVSIPVSPLSSVFTLQRLSLVLDAISDELIMAISGNLPTLVELDLEDRPVKQPLPNHDLTNTGLQYLASFHHLMGLSLIRSRHNQQVSFKRVNDMGIFLLSEVCKGLESVRLCGFSKVSDAGYASILHSCLKLKKFEARNAFFLSDLAFLDVTEFQCSLVEVKLLSCSLITSETVKQLTRSRVLEVLDLCGCRSIADSCLGSISSLRSLSMLNLAGADITDYGLSVLAQGIPSITHLCLRHCERVTDEGISFLFHGGGTIRKTLSQLDLGHMPRISDKAVFTIAMAGTEITELCLRHCSVTDVSLDCLAMRKTFRDECKLLRRLDLLNCTGLSVNSLRFLKSPSFPGLHWLGIGGTPLASKGYPTLSKIHSQRPWLTICLEGCEMGCYDGWQFHRAGYPQ >ONH99573 pep chromosome:Prunus_persica_NCBIv2:G6:2762582:2765156:1 gene:PRUPE_6G036600 transcript:ONH99573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGCLCLESISLKIRGRDVGANAFQSIDFFLPSALKSMKLQSVLEQDVIRLMDKIRVGADRNSVQTSHVSIPVSPLSSVFTLQRLSLVLDAISDELIMAISGNLPTLVELDLEDRPVKQPLPNHDLTNTGLQYLASFHHLMGLSLIRSRHNQQVSFKRVNDMGIFLLSEVCKGLESVRLCGFSKVSDAGYASILHSCLKLKKFEARNAFFLSDLAFLDVTEFQCSLVEVKLLSCSLITSETVKQLTRSRVLEVLDLCGCRSIADSCLGSISSLRSLSMLNLAGADITDYGLSVLAQGIPSITHLCLRHCERVTDEGISFLFHGGGTIRKTLSQLDLGHMPRISDKAVFTIAMAGTEITELCLRHCSVTDVSLDCLAMRKTFRDECKLLRRLDLLNCTGLSVNSLRFLKSPSFPGLHWLGIGGTPLASKGYPTLSKIHSQRPWLTICLEGCEMGCYDGWQFHRAGYPQ >ONI04075 pep chromosome:Prunus_persica_NCBIv2:G6:27310246:27315030:-1 gene:PRUPE_6G301000 transcript:ONI04075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGGGVYSGKRRWKGLVIAVLGLVFLSMLVPLLFLLGLHNGFHSPGSEQQSSPSIGLGGYGTKIVIRDASNLSEGDRSNHVDDLVKQFAPTLSKDILKNISHPAENETKSPSAMHDNEEEKGFSAPPHADLQSPPIENNPKAGASVQIIDYAKGGVDQSGKSCELKFGSYCLWREQHREDMKDSMVKRLKDHLFVARAYYPSIAKLPSQDKLSREMRQNIQEVERVLSESTTDADLPPQIGKKLQRMQAAIARAKSFHVDCNNVDKKLRQIYDLTEDEANFHMRQSVFLYQLAVQTMPKSLHCLSMRLTVEYFRSPFDDTEASLADKYIDRALQHYVIFSTNVLASSVVINSTVMHAKESGKLVFHVLTDEENYFAMKLWFFRNTYKEATIEVLNMERLDLNNQKLQFSLPVEFRVSHSVDAQSRTEYLSTFSHLHYRLPEIFQNLEKVVVLDDDVVVQQDLSALWNLNMEGKVNAAVQFCSVKLSLLRSYLGENSFNKNSCAWMSGLNVIDLVKWRELDLTETYQKFVKEVSHIVSTQEAQNEAVALHASLLTFQDLIYPLDGSWALSGLGHDYNVDVYPIRNAAVLHYNGKMKPWLELGIPKYKGYWKNFVNREDQFLTDCNWNS >ONI04074 pep chromosome:Prunus_persica_NCBIv2:G6:27309650:27315510:-1 gene:PRUPE_6G301000 transcript:ONI04074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGGGVYSGKRRWKGLVIAVLGLVFLSMLVPLLFLLGLHNGFHSPGSEQQSSPSIGLGGYGTKIVIRDASNLSEGDRSNHVDDLVKQFAPTLSKDILKNISHPAENETKSPSAMHDNEEEKGFSAPPHADLQSPPIENNPKAGASVQIIDYAKGGVDQSGKSCELKFGSYCLWREQHREDMKDSMVKRLKDHLFVARAYYPSIAKLPSQDKLSREMRQNIQEVERVLSESTTDADLPPQIGKKLQRMQAAIARAKSFHVDCNNVDKKLRQIYDLTEDEANFHMRQSVFLYQLAVQTMPKSLHCLSMRLTVEYFRSPFDDTEASLADKYIDRALQHYVIFSTNVLASSVVINSTVMHAKESGKLVFHVLTDEENYFAMKLWFFRNTYKEATIEVLNMERLDLNNQKLQFSLPVEFRVSHSVDAQSRTEYLSTFSHLHYRLPEIFQNLEKVVVLDDDVVVQQDLSALWNLNMEGKVNAAVQFCSVKLSLLRSYLGENSFNKNSCAWMSGLNVIDLVKWRELDLTETYQKFVKEVSTQEAQNEAVALHASLLTFQDLIYPLDGSWALSGLGHDYNVDVYPIRNAAVLHYNGKMKPWLELGIPKYKGYWKNFVNREDQFLTDCNWNS >ONI00904 pep chromosome:Prunus_persica_NCBIv2:G6:7934362:7935307:1 gene:PRUPE_6G110600 transcript:ONI00904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYQKINIGTKIMSRMRFNLFRQFSKFIMSSCNQYDVGILAQIIVSTFPFRRPLWRKEKAKASGDMQQIMAPNVWFWAFFSLSTSNKVWDFRFSDV >ONI02473 pep chromosome:Prunus_persica_NCBIv2:G6:20860027:20860558:-1 gene:PRUPE_6G200700 transcript:ONI02473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLTERLRPLVGLKGRDYCVICKLSEDQSLSWRDTMQHSRTNSCDLLAQLPSLVRSFLYGSFSCPPLHLDAYHRMRSFFNVHLIIDFLKVLDVCFSHIVPYNTNKLSFKSIKCVFIRYNNHYKG >ONH99474 pep chromosome:Prunus_persica_NCBIv2:G6:2457815:2458912:-1 gene:PRUPE_6G031800 transcript:ONH99474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRLCVCCMCILVILLFIGVLFAVGPLTKLRHHFIIKQSVHHFCDPNVHGFLCRRSGMTRKPLLGYTAPPP >ONI03474 pep chromosome:Prunus_persica_NCBIv2:G6:25045185:25048869:1 gene:PRUPE_6G259100 transcript:ONI03474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHTAVKLSRAGATVARTAKLGPSLLQRSPPSRLVHDGINGSNANPVALQMINYALSHARSQKSDESYAQGLLVLEQCLSTQPSEGQDPAGDNSRGMVLLAMSTLSSERGNFDEAMDKLQKVQDLTSCSIGVRVAALEALVGLHLELEQDDTSSVLADKFLELLVKNEPGSNGGDSEVIHARARAVKGLVELVHAESFFRGLKDNLEITGSAALSYGEFLHASQKFSLAKDVYQNVIQGVSKNKEFSNSNALAACNMSPEEVSLAATCALGQLEAHLGNFADAEEILTRALTITEEHFGSHHPKVGVVLTCIALMFRRKAMLEHSSSLLIQEGLYRRAIELLKAPPFETKGTEAKVDRSDIVALARGGYAEVLCVQQNRKDQGEKAKSWAEAIWRNRRLSLAQALDISNTNSEVPIIDARISRVL >ONI03473 pep chromosome:Prunus_persica_NCBIv2:G6:25045185:25048869:1 gene:PRUPE_6G259100 transcript:ONI03473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHTAVKLSRAGATVARTAKLGPSLLQRSPPSRLVHDGINGSNANPVALQMINYALSHARSQKSDESYAQGLLVLEQCLSTQPSEGQDPAGDNSRGMVLLAMSTLSSERGNFDEAMDKLQKVQDLTSCSIGVRVAALEALVGLHLELEQDDTSSVLADKFLELLVKNEPGSNGGDSEVIHARARAVKGLVELVHGNTVSAESFFRGLKDNLEITGSAALSYGEFLHASQKFSLAKDVYQNVIQGVSKNKEFSNSNALAACNMSPEEVSLAATCALGQLEAHLGNFADAEEILTRALTITEEHFGSHHPKVGVVLTCIALMFRRKAMLEHSSSLLIQEGLYRRAIELLKAPPFETKGTEAKVDRSDIVALARGGYAEVLCVQQNRKDQGEKAKSWAEAIWRNRRLSLAQALDISNTNSEVPIIDARISRVL >ONI03037 pep chromosome:Prunus_persica_NCBIv2:G6:23607084:23609949:1 gene:PRUPE_6G234600 transcript:ONI03037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKSAFRNVYCTLFRSLNPNLPSNFLPRRLTFLIPPSAPSIRAQTFRSLSPTHSSESMPGGEAHAAPLLEKQFEDFRAQLEESGTLRERIRTVVMEIESTTRLIHSSLLLVHQSRSTPEVLEKPLAQIGVLKELYNRLAKIVLESPGQYYRYHGDWKTETQTVVSLLAFMHWLETGALLLHTEAKEKLGLNDSEFGLDVEDYLVGICFMSKELPRYVVNQVTAGDYDCPRKVLKFLTDLHAGFRMLNLRNDFLRKTFDGMKYDLRRVEEVYYDVKIRGLTANGDPVADQAAQS >ONI01095 pep chromosome:Prunus_persica_NCBIv2:G6:9005222:9009332:1 gene:PRUPE_6G121100 transcript:ONI01095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRFGDYPSRSSARDREETDENRRSYSGRVSRYSRPEREARVEYDTRRYHDGGRDRQPLKLSGLSADLPVSQSTSMKFQWNHLLAQKPDGSNPTLNPGLNRPGFGFGNDSSSYRLNAENGYAGGSGKSGVPDPGVDPSRKYGYFHGGLTSPLAKSHVGVPKVDDFRHGDHRQYMGQEDKTVFGSGPGCSSGLKEGFRGLSDQFNRSREMAAEGRIDIGGYSSQGQAPRLNELLDSNWAQHSPNGDYNAPGVKRSDHGEMGTFPIRDLLCKNLANSVGEDTRPPSYLRPSVVDSIMGRIDGANGALRVGTQEISQWNQYHNFTEQTQPTIPSYQSLGTKQSLPDYSEALGSSSRTRDFGFGGDDALERGLEALSYEGALKRLARLEGDPGLDDVTTLEMCAMRKRALAKRRRGNDSKSELFHDFSDSCNVPDLSYGDNQLTNDDVEQYATIGEGQWNIDQAPNLGEDRLNINQNMNFGDYQPSIARDVTCEEDQWNIEQDVSYREAQWNAEDINELNSDNVLPSSKMQEHQGEQFKSARVDIKKRLGPLTSSGSIRKRLEPLNTPGSVKKRLGPLKSPGSVKKRLAPAQNVPDPKYLGHKLEDQYKSRIRETDDFSGSIHPQGGGLPKVEGKPTKKALPEDSEEFKRLVDSAFLKYMKGLHENPALKRKFMEGGTVTTKCCICASNSKEFAGTVPLATHAFMSPMVGSRAEHLGFHKALCVLLGWDSAAVSNGTWIQRCLPDAEALALKEDVVIWPPVVVIHNSSIANKKPDERVIVSIEGLGAILKGK >ONI01094 pep chromosome:Prunus_persica_NCBIv2:G6:9004947:9010948:1 gene:PRUPE_6G121100 transcript:ONI01094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRFGDYPSRSSARDREETDENRRSYSGRVSRYSRPEREARVEYDTRRYHDGGRDRQPLKLSGLSADLPVSQSTSMKFQWNHLLAQKPDGSNPTLNPGLNRPGFGFGNDSSSYRLNAENGYAGGSGKSGVPDPGVDPSRKYGYFHGGLTSPLAKSHVGVPKVDDFRHGDHRQYMGQEDKTVFGSGPGCSSGLKEGFRGLSDQFNRSREMAAEGRIDIGGYSSQGQAPRLNELLDSNWAQHSPNGDYNAPGVKRSDHGEMGTFPIRDLLCKNLANSVGEDTRPPSYLRPSVVDSIMGRIDGANGALRVGTQEISQWNQYHNFTEQTQPTIPSYQSLGTKQSLPDYSEALGSSSRTRDFGFGGDDALERGLEALSYEGALKRLARLEGDPGLDDVTTLEMCAMRKRALAKRRRGNDSKSELFHDFSDSCNVPDLSYGDNQLTNDDVEQYATIGEGQWNIDQAPNLGEDRLNINQNMNFGDYQPSIARDVTCEEDQWNIEQDVSYREAQWNAEDINELNSDNVLPSSKMQEHQGEQFKSARVDIKKRLGPLTSSGSIRKRLEPLNTPGSVKKRLGPLKSPGSVKKRLAPAQNVPDPKYLGHKLEDQYKSRIRETDDFSGSIHPQGGGLPKVEGKPTKKALPEDSEEFKRLVDSAFLKYMKGLHENPALKRKFMEGGTVTTKCCICASNSKEFAGTVPLATHAFMSPMVGSRAEHLGFHKALCVLLGWDSAAVSNGTWIQRCLPDAEALALKEDVVIWPPVVVIHNSSIANKKPDERVIVSIEGLGAILKGIGFGGGKTKVCRGKPANQSILVVTFNATFSGLREAERLHKLFAENKHGRVEFHKISSAGLRNSSNGKQELLADEANCLYGYLGIAEDLDKLETETKKRCVVKSKREIQAIACNSLRNE >ONI02280 pep chromosome:Prunus_persica_NCBIv2:G6:19600920:19607686:-1 gene:PRUPE_6G187600 transcript:ONI02280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAGQINTEELHSWGSRSVDCFEKLEQIGEGTYGQVFMARETRTGEIVALKKIRMDNEKEGFPITAIREIKILKKLHHENVVKLKEIVTSTGPEGDEQGNQDGNKSKGGIYMVFEYMDHDLTGLADRPGLRFTVPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGKLKLADFGLARSFCSNHEGNLTNRVITLWYRPPELLLGATKYGPAVDIWSVGCIFAELLHGKPILQGRSEPDQLNKIFELCGSPDEINWPDVSKSPWYNNFKPTRTMKRRVREIFRHFDSHALDLLDHLLALDPNQRWTAKQALDAEYFWADPLPCDPKSLPKYESSHEFQTKKKKQQRRQTEEITKGSKSQYYHKHYRLPPIQHTGQAPPQWYGPNHPINDAQPPLSAGPSHHHYGKPHGPPGGPSRYPPGNQGGPYHLNRGGQVGGYSGGPYPPQGRAPPYAGSGMAAPSSRGAPGGYGVGPPNYSQTNQYGIPAAGRGVIIRNQQYGRQ >ONI04891 pep chromosome:Prunus_persica_NCBIv2:G6:29679837:29684011:-1 gene:PRUPE_6G346500 transcript:ONI04891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHELILGQSHNLALGQNQQVLGHNHNLGLGQNHEFELGQADNHHLGLGQANEHHLGLGQAHDHDLGLGHAHDHELGLGQSHEQEGVDGHSYGHGDELGMDRKPNHDDHELALHPQNHELGLVENNELAVSEDQELDENLELSMDHNHEMGIESAHDMSVQESQLVLSSSPVIQARMAIVNPNYELAVGQEFPDVKSCRRALRDTAIALHFEMQTIKSDKTRFTAKCASEGCPWRIHAAKLPGVPTFTIRTIHENHTCGGISHLGHQQASVQWVANSVEQRLRENPNYKPKEILEEIHRVHGITLSYKQAWRGKERIMAAMRGSFEEGYRLLPQYCEQLKRTNPGSIASVYGNPNDNCFQRLFISFQASIYGFLNACRPLLGLDRTYLKSKYLGTLLLATGFDGDGALFPLAFGVVDEENDDNWMWFLSELHNLLEINTENMPRLTILSDRQKGIVDGVEANFPTAFHGFCMRHLSDSFRKEFNNTMLVNLLWEAAHVLTVIEFEAKILEIEEISQDAAYWIRRIPARLWATAYFEGTRFGHLTANIVESLNTWILEASGLPIIQMMEFIRRQLMTWFNERRETSMQWTSILVPSAERRVAEAEERARTFQVLRANEAEFEVISHEGTNVVDIRNRCCLCRGWQLYGLPCAHAVAALKSCRQQVNRFTESCFTVTTYRKTYSQTIHPIPDKSLWMELSDGDPNASNAAEVLINPPKSLRPAGRPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >ONI03701 pep chromosome:Prunus_persica_NCBIv2:G6:25990380:25992580:-1 gene:PRUPE_6G276000 transcript:ONI03701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFAISLEGNIYSCKHCKTHLALVDHILSKSFHCRHGKAYLFHNVVNVTLGEKEERIMITGLHTVADIFCVSCGSMVGWRYEAAQEKSEKYKEGKFILERFQILGPDGSNYSIALEAQIGGSDADDA >ONI03978 pep chromosome:Prunus_persica_NCBIv2:G6:27014262:27017408:-1 gene:PRUPE_6G294600 transcript:ONI03978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRLLWCEKGSLLGFIFGVIFIICNECIVQCNASIHEYRNEAFSPQSNAFFFHGGSEGLYASKVHGSADSSSTDNHHLKGKSFIRFESVTFVRTKESANKQSEMQQNTGLVEAIILEVKDRVRIGASFVQSEKICCTRNLSNSGYCTVGEVVIHKNPDNPDWPVRIKTFFNGKAEEATMDTKSININCSGMYYLYFMFCDPQLKGTLIKGRTDWRNPDGYLPGKMAPLMTLYGFMSLAYLVLGLAWFLRFVQFWKDIIQLHYHITAVIALGMCEMAVWYFEYANFNSTGIRPMGITLWAVTFSAVKKTLSRLLLLVVSMGFGVVKPTLGGITPKVFLLGLVYFVASEALELVEHLGNINDFSGKTKLFLVLPVAFLDSWFILWIFSSLSKTLEKLQIRRNMAKLELYRKFTNYLAIFVLLSVAWIGFELYFNATDPLSEYWQIAWIIPAFWTLLAYALLAVICILWAPSRNPTRYAYLEEAGDDFDEEGISLTSGALKVSGDVTAMREYDNVLAEDLEEDKRE >ONI05118 pep chromosome:Prunus_persica_NCBIv2:G6:30261627:30272325:-1 gene:PRUPE_6G356900 transcript:ONI05118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGLVASADCAGALDVTDDLQQLLDGDELTGLHCFHHLRDRVAASIESINSILSAEFMRASIHDAGDTDVIIISRAQARASILMNGEDGEIKLDDEETSNYQDRLLPVIIGLLRTAKLPSVLRLYRDQLTADMKTAIKNAVAELLPVLVSRPMESDFTPGERIVDADGIGASLASKLRSLSSESFVQLLSAIFLIVRAHLVRAAEVKKAIEWIMCNLDGHYAADSVAAAIAIGAAAAETAQESDSQGGLLPSYSPQRVSAKALPFQGKANDAASPSNMSKNFRADVLRENTEAVVAACDAAHGRWAKLLGVRALLHPKLRLQEFLSIFNITQEFITATEKIGGRPGFSIRGTLQSQAKAFIEFQHESRLAKIKAVLDQETWVEVDVPDEFQVIVTSLFCSESLVSENLDAIEGNMETSYREMATSSNNSHTENTAPSIAEQQIKRADSSDLSADVTAKEKSTQNADGVEKNKADVANSVAQNNHSNMKERGKSTSQTLFFKGVGFHMVNCGLILMKMLSEYIDMNNFFPALSSEVVHRIVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIRQILFLKVPETRKALLLSEIDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEEADPQPSQFARSLTKEVGYLQRVLTRTLHEVDVQAIFRQVVIVFHSQISEAFSRLEISTPQAKDRLYRDVKHILGCIRSLPSDKMSEYSIPNWGQLDEFVVQRFGAEAG >ONI05117 pep chromosome:Prunus_persica_NCBIv2:G6:30263293:30272078:-1 gene:PRUPE_6G356900 transcript:ONI05117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSHSSNNSSNSNIHGSNKKMVVMDAQPSRPGRSHSRSNSISDPNTSQSLASILNNPNASDSSSWVGWWSSSASVAPPEFAPLIPKSASDSVTRSDFQPYLASISDHYNRFEDIINHVKKENSDIDSIGGQGEALVACLREVPALYFKEDFALEDGATFRSACPFTNVSENLVLQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCSRIRELKETILLLDVDLVECARQIHDLNETRSNLLALQQKLRLILYVNQALSALKLLVASADCAGALDVTDDLQQLLDGDELTGLHCFHHLRDRVAASIESINSILSAEFMRASIHDAGDTDVIIISRAQARASILMNGEDGEIKLDDEETSNYQDRLLPVIIGLLRTAKLPSVLRLYRDQLTADMKTAIKNAVAELLPVLVSRPMESDFTPGERIVDADGIGASLASKLRSLSSESFVQLLSAIFLIVRAHLVRAAEVKKAIEWIMCNLDGHYAADSVAAAIAIGAAAAETAQESDSQGGLLPSYSPQRVSAKALPFQGKANDAASPSNMSKNFRADVLRENTEAVVAACDAAHGRWAKLLGVRALLHPKLRLQEFLSIFNITQEFITATEKIGGRPGFSIRGTLQSQAKAFIEFQHESRLAKIKAVLDQETWVEVDVPDEFQVIVTSLFCSESLVSENLDAIEGNMETSYREMATSSNNSHTENTAPSIAEQQIKRADSSDLSADVTAKEKSTQNADGVEKNKADVANSVAQNNHSNMKERGKSTSQTLFFKGVGFHMVNCGLILMKMLSEYIDMNNFFPALSSEVVHRIVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIRQILFLKVPETRKALLLSEIDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEEADPQPSQFARSLTKEVGYLQRVLTRTLHEVDVQAIFRQVVIVFHSQISEAFSRLEISTPQAKDRLYRDVKHILGCIRSLPSDKMSEYSIPNWGQLDEFVVQRFGAEAG >ONI05119 pep chromosome:Prunus_persica_NCBIv2:G6:30263690:30272325:-1 gene:PRUPE_6G356900 transcript:ONI05119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSHSSNNSSNSNIHGSNKKMVVMDAQPSRPGRSHSRSNSISDPNTSQSLASILNNPNASDSSSWVGWWSSSASVAPPEFAPLIPKSASDSVTRSDFQPYLASISDHYNRFEDIINHVKKENSDIDSIGGQGEALVACLREVPALYFKEDFALEDGATFRSACPFTNVSENLVLQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCSRIRELKETILLLDVDLVECARQIHDLNETRSNLLALQQKLRLILYVNQALSALKLLVASADCAGALDVTDDLQQLLDGDELTGLHCFHHLRDRVAASIESINSILSAEFMRASIHDAGDTDVIIISRAQARASILMNGEDGEIKLDDEETSNYQDRLLPVIIGLLRTAKLPSVLRLYRDQLTADMKTAIKNAVAELLPVLVSRPMESDFTPGERIVDADGIGASLASKLRSLSSESFVQLLSAIFLIVRAHLVRAAEVKKAIEWIMCNLDGHYAADSVAAAIAIGAAAAETAQESDSQGGLLPSYSPQRVSAKALPFQGKANDAASPSNMSKNFRADVLRENTEAVVAACDAAHGRWAKLLGVRALLHPKLRLQEFLSIFNITQEFITATEKIGGRPGFSIRGTLQSQAKAFIEFQHESRLAKIKAVLDQETWVEVDVPDEFQVIVTSLFCSESLVSENLDAIEGNMETSYREMATSSNNSHTENTAPSIAEQQIKRADSSDLSADVTAKEKSTQNADGVEKNKADVANSVAQNNHSNMKERGKSTSQTLFFKGVGFHMVNCGLILMKMLSEYIDMNNFFPALSSEVVHRIVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIRQILFLKVPETRKALLLSEIDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEEADPQPSQFARSLTKEVGYLQRVLTRTLHEVDVQAIFR >ONI05116 pep chromosome:Prunus_persica_NCBIv2:G6:30261627:30272325:-1 gene:PRUPE_6G356900 transcript:ONI05116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSHSSNNSSNSNIHGSNKKMVVMDAQPSRPGRSHSRSNSISDPNTSQSLASILNNPNASDSSSWVGWWSSSASVAPPEFAPLIPKSASDSVTRSDFQPYLASISDHYNRFEDIINHVKKENSDIDSIGGQGEALVACLREVPALYFKEDFALEDGATFRSACPFTNVSENLVLQEKLSHYLDVVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCSRIRELKETILLLDVDLVECARQIHDLNETRSNLLALQQKLRLILYVNQALSALKLLVASADCAGALDVTDDLQQLLDGDELTGLHCFHHLRDRVAASIESINSILSAEFMRASIHDAGDTDVIIISRAQARASILMNGEDGEIKLDDEETSNYQDRLLPVIIGLLRTAKLPSVLRLYRDQLTADMKTAIKNAVAELLPVLVSRPMESDFTPGERIVDADGIGASLASKLRSLSSESFVQLLSAIFLIVRAHLVRAAEVKKAIEWIMCNLDGHYAADSVAAAIAIGAAAAETAQESDSQGGLLPSYSPQRVSAKALPFQGKANDAASPSNMSKNFRADVLRENTEAVVAACDAAHGRWAKLLGVRALLHPKLRLQEFLSIFNITQEFITATEKIGGRPGFSIRGTLQSQAKAFIEFQHESRLAKIKAVLDQETWVEVDVPDEFQVIVTSLFCSESLVSENLDAIEGNMETSYREMATSSNNSHTENTAPSIAEQQIKRADSSDLSADVTAKEKSTQNADGVEKNKADVANSVAQNNHSNMKERGKSTSQTLFFKGVGFHMVNCGLILMKMLSEYIDMNNFFPALSSEVVHRIVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIRQILFLKVPETRKALLLSEIDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEEADPQPSQFARSLTKEVGYLQRVLTRTLHEVDVQAIFRQVVIVFHSQISEAFSRLEISTPQAKDRLYRDVKHILGCIRSLPSDKMSEYSIPNWGQLDEFVVQRFGAEAG >ONI00146 pep chromosome:Prunus_persica_NCBIv2:G6:4831925:4837109:1 gene:PRUPE_6G070500 transcript:ONI00146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSSVYVSVIEDVINKVREEFMNSGPGEDVLKELQGTWEAKMMQAGVVNTPIERAVASKPTPGSAITPVHDLNVPYEGTEEYETPTAEILFPPTPLQTPIQTPLPGTGDNLYNIPTGGSDFSASANESGSSNGGSNTELKAGRPSPYMQPPSPWMNHRPPLDVNIAYVEGRDEVDRGTSHQPPTQDFFQMNSGKRKREDLASQYPAGGFIPQQDGAGDAAPEVFEIEVSGGSTSIGGRACSEMVTCALRSTPKIPQLDGPIPDPYDDALSTPNIYNYQGTFNEDYNVANTPTPNDLPVSTPAPVVQNDVGDDDDDEPPLNENDDDDLDDVDQGEEVNTHHLVLAQFDKVTRTKSRWKCTLKDGIMRINNKDILFNKVKRLENLTSDFA >ONI00148 pep chromosome:Prunus_persica_NCBIv2:G6:4831806:4835831:1 gene:PRUPE_6G070500 transcript:ONI00148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSSVYVSVIEDVINKVREEFMNSGPGEDVLKELQGTWEAKMMQAGVVNTPIERAVASKPTPGSAITPVHDLNVPYEGTEEYETPTAEILFPPTPLQTPIQTPLPGTGDNLYNIPTGGSDFSASANESGSSNGGSNTELKAGRPSPYMQPPSPWMNHRPPLDVNIAYVEGRDEVDRGTSHQPPTQDFFQMNSGKRKREDLASQYPAGGFIPQQDGAGDAAPEVFEIEVSGGSTSIGGRACSEMVTCALRSTPKIPQLDGPIPDPYDDALSTPNVSTFNVILHVARNFIFIVYGPFIIDMHMNSLTHRLGWYNRHFCFLIFLALY >ONI00147 pep chromosome:Prunus_persica_NCBIv2:G6:4831806:4837152:1 gene:PRUPE_6G070500 transcript:ONI00147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSSVYVSVIEDVINKVREEFMNSGPGEDVLKELQGTWEAKMMQAGVVNTPIERAVASKPTPGSAITPVHDLNVPYEGTEEYETPTAEILFPPTPLQTPIQTPLPGTGDNLYNIPTGGSDFSASANESGSSNGGSNTELKAGRPSPYMQPPSPWMNHRPPLDVNIAYVEGRDEVDRGTSHQPPTQDFFQMNSGKRKREDLASQYPAGGFIPQQDGAGDAAPEVFEIEVSGGSTSIGGRACSEMVTCALRSTPKIPQLDGPIPDPYDDALSTPNIYNYQGTFNEDYNVANTPTPNDLPVSTPAPVVQNDVGDDDDDEPPLNENDDDDLDDVDQGEEVNTHHLVLAQFDKVTRTKSRWKCTLKDGIMRINNKDILFNKATGEFDF >ONI05055 pep chromosome:Prunus_persica_NCBIv2:G6:30091164:30099464:1 gene:PRUPE_6G353700 transcript:ONI05055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYDSNPFADDEVNPFANPGSVPPANSRLSPLPREPYDRNATIDIPLDWIRLQSNN >ONI03562 pep chromosome:Prunus_persica_NCBIv2:G6:25388397:25389361:-1 gene:PRUPE_6G265100 transcript:ONI03562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSKSSKALGWALANLVKGDTLYIIHVKPTNAYNKLWAESGSPLIPLTEFGEPEIMKKYDVQTDIEVLDTLDTAAKQKEVNVVTKLYWGDARKKLIEAIEDLKLDSLVMGSRGLGAIKTIIVGSVAKYVLAYSIPRPSSSTQISSISINIIRNFGFGFLIIYHQLLTWVLMLDWYVLLDLGDLVS >ONI00379 pep chromosome:Prunus_persica_NCBIv2:G6:5774473:5777037:1 gene:PRUPE_6G085800 transcript:ONI00379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLSPKLAKKVYGGDGGSYFAWSPSELPMLREGNIGAAKLALEKNGFALPKYSDSAQVAYVLQGAGVVGIVLPEKEEKVLPVKKGDAIALPFGVVTWWYNKEDTEFVVLFLGDTSKAHKRGEFTDFYLNGSNGIFTGFSTEFVGRAWDLEEDIAKTLVSKQSGKGIVKVCGANLPEPKKEHRDGMTLNCEEAPLDVDIKKGGRVVVLNTKNLPLVGEVGLGADLVRLDGSAMCSPGFSCDSALQVTYIVRGSGRVQVVGVDGKRVLETTIKAGNLFIVPRFFVVSKIADPEGLEWFSIITTPNPIFTHLAGSIGVWKALSPQVLEAAFNVDSATEKLFRSKRTSDAIFFPPPN >ONI01252 pep chromosome:Prunus_persica_NCBIv2:G6:10109667:10112893:1 gene:PRUPE_6G130100 transcript:ONI01252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMTTVQIPSQCMFRHAPQNRFTSSIVKLSSPLGSVKNISKAFGLKASSNFRASMAVYKVKLVGPDGAECEFDAPDDAYILDSAENAGVELPYSCRAGACSTCAGKLVAGSVDQSDGSFLDDNQMKEGYVLTCVAYPTSDAVIHTHKESELY >ONI02662 pep chromosome:Prunus_persica_NCBIv2:G6:22126149:22127589:-1 gene:PRUPE_6G213400 transcript:ONI02662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLHHHTISLLFLLILSSTQLTQLRFMAEGRAIHNRVGISQAGNEEKKAIVRGQIGSRPPRCERRCSSCGHCEAIQVPANPQLKSSNKNSSSVASTIAYARGDENSSNYKPMSWKCKCGNSIFNP >ONI02661 pep chromosome:Prunus_persica_NCBIv2:G6:22126511:22127034:-1 gene:PRUPE_6G213400 transcript:ONI02661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLHHHTISLLFLLILSSTQLTQLRFMAEGRAIHNRVGISQAGNEEKKAIVRGQIGSRPPRCERRCSSCGHCEAIQVPANPQLKSSNKNSSSVASTIAYARGDENSSNYKPMSWKCKCGNSIFNP >ONI02141 pep chromosome:Prunus_persica_NCBIv2:G6:18480173:18482951:-1 gene:PRUPE_6G179500 transcript:ONI02141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELLQRNGCILKLFLALFLFLSLVAVPAVEARIRHYKWEVKYEYKSPDCFKKLVITINGRSPGPTILAQQGDTIIVELTNSLWTENVAIHWHGIRQIGTPWSDGTEGVTQCPIVPGDTFKYQFVVDRPGTYLYHAHYGMQREAGLYGSIRVALPDGESEPFSYDYDRSIILNDWYHKSTYEHAVGLSSLNFSWVGEPQSLLIQGKGRFNCSTLTTPSLDSDVCNATKPECSPYATTVVPGKTYRLRVASMTALSALSFQIEGHNMTVVEADGHYVEPFVVKNLFLYSGETYSVLIKADQDPSRNYWMTTNVVSRNATTPPGLAILNYYPNHPRRSPPAVPPAGPAWDNVRARLDQSLAIKAHQGFIHTPPPTSDRVIVLLNTQNTVNGYVRWSVNNVSFTHPHTPYLIALKQNLTEEFDQTSPPDVYDFVNYDIYKTPNNTNATVSNGIYRLQFNTTVDLILQNANTRNPNNSETHPWHLHGHDFWVLGYGEGKFDMFNDPKKYNLVNPIMKNTVPVHRYGWTALRFRADNPGAWAFHCHIESHFFMGMGVVFESGIEKVGKLPSSIMGCGATRGVHKP >ONI01457 pep chromosome:Prunus_persica_NCBIv2:G6:11409252:11410803:1 gene:PRUPE_6G140800 transcript:ONI01457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSSSLLLFLSLLLLLPYITSSNIITISISHLPTNPHPYPYQHLSYLANSSLKRARHLKNPQTTPPHTNTKIPLFSQSYGEYSIPLSFGTPPQTLPFIMDTGSDLIWFPCSKKYQCINCSTYHSNTVKIKSFIPNLSSSSKPLACLNPKCAMVYPKIHCPDCKLGSKNCTRRCPWYTYPYGSGDTSGSLLSETLHLPNRRVPDFLVGCSIRATYQPAAGIAGLGRGPASLPAQLAIKKFSYCLLSRLFDDTNKSSSLVLVGGKDSVKKTKGVSYTPFVKNPEVPGKRAFLNYYYYVGLRRITVGGRRVKIPYRYLRLDKNGSGGTIVDSGTTLTHMAPEVLERVAGEFEKLTKGYKRAEEVEALTRLRPCYNVSGIETPMFPSLTFHFKGGAEMALPEENYMAPAAWEVLCLAIRSDNLPVISSGPSIILGSFQMQNYHVEFDLQNERFGFKQQQCN >ONI01009 pep chromosome:Prunus_persica_NCBIv2:G6:8467919:8474360:1 gene:PRUPE_6G116300 transcript:ONI01009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAKSVPLEDQEEQERQVQRHDAPAHNPSAPPDEFFDISTTVDPSYVISLIRKLLPANASNNHNSHGDVFYAHVQELETDHTDKTAPTLSGDRLLHVSNDGSESMEIADDFHKSAPEERQNNGSYDGAEQCGHSVPVGEEAWEEYGCILWDLAASKTHAELMVQNLILEVLLANLVVSQSLRAMEITLGIIGNLACHEVPMKHIVSTIGLIGTVVDQLFSEDAQCLCEACRLLTVGLQSSECISWAKELQSEHILSRILWIAENSLNPQLIEKSVEVLLATIESSEEVVLILLPPLMKLGLASLLINLLDFEMSQLLSERVPERYPVLDVILRSIEALSVIDGHSQEICSNKDLFRLVCDLVKLPDKVEVANSCITAGVLIANILSDEPHLASEISQDLPFLQGLLDIFPFSSEDLEARSALWNIIARLLVRVQENEMSRSALQQYVSVLVSKSDAIEDDLLDFQLDELNSKARTTSLRRIISLLNQWTASKDDDKENEMMGNRYEDDINIDRLLDCCCKHSEKSKRRGQMEKF >ONI01008 pep chromosome:Prunus_persica_NCBIv2:G6:8467933:8475146:1 gene:PRUPE_6G116300 transcript:ONI01008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAKSVPLEDQEEQERQVQRHDAPAHNPSAPPDEFFDISTTVDPSYVISLIRKLLPANASNNHNSHGDVFYAHVQELETDHTDKTAPTLSGDRLLHVSNDGSESMEIADDFHKSAPEERQNNGSYDGAEQCGHSVPVGEEAWEEYGCILWDLAASKTHAELMEITLGIIGNLACHEVPMKHIVSTIGLIGTVVDQLFSEDAQCLCEACRLLTVGLQSSECISWAKELQSEHILSRILWIAENSLNPQLIEKSVEVLLATIESSEEVVLILLPPLMKLGLASLLINLLDFEMSQLLSERVPERYPVLDVILRSIEALSVIDGHSQEICSNKDLFRLVCDLVKLPDKVEVANSCITAGVLIANILSDEPHLASEISQDLPFLQGLLDIFPFSSEDLEARSALWNIIARLLVRVQENEMSRSALQQYVSVLVSKSDAIEDDLLDFQLDELNSKARTTSLRRIISLLNQWTASKDDDKENEMMGNRYEDDINIDRLLDCCCKHSEYVPPAVSPDMDFKE >ONI01007 pep chromosome:Prunus_persica_NCBIv2:G6:8467894:8475203:1 gene:PRUPE_6G116300 transcript:ONI01007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAKSVPLEDQEEQERQVQRHDAPAHNPSAPPDEFFDISTTVDPSYVISLIRKLLPANASNNHNSHGDVFYAHVQELETDHTDKTAPTLSGDRLLHVSNDGSESMEIADDFHKSAPEERQNNGSYDGAEQCGHSVPVGEEAWEEYGCILWDLAASKTHAELMVQNLILEVLLANLVVSQSLRAMEITLGIIGNLACHEVPMKHIVSTIGLIGTVVDQLFSEDAQCLCEACRLLTVGLQSSECISWAKELQSEHILSRILWIAENSLNPQLIEKSVEVLLATIESSEEVVLILLPPLMKLGLASLLINLLDFEMSQLLSERVPERYPVLDVILRSIEALSVIDGHSQEICSNKDLFRLVCDLVKLPDKVEVANSCITAGVLIANILSDEPHLASEISQDLPFLQGLLDIFPFSSEDLEARSALWNIIARLLVRVQENEMSRSALQQYVSVLVSKSDAIEDDLLDFQLDELNSKARTTSLRRIISLLNQWTASKDDDKENEMMGNRYEDDINIDRLLDCCCKHSEYVPPAVSPDMDFKE >ONI01011 pep chromosome:Prunus_persica_NCBIv2:G6:8467933:8474166:1 gene:PRUPE_6G116300 transcript:ONI01011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAKSVPLEDQEEQERQVQRHDAPAHNPSAPPDEFFDISTTVDPSYVISLIRKLLPANASNNHNSHGDVFYAHVQELETDHTDKTAPTLSGDRLLHVSNDGSESMEIADDFHKSAPEERQNNGSYDGAEQCGHSVPVGEEAWEEYGCILWDLAASKTHAELMEITLGIIGNLACHEVPMKHIVSTIGLIGTVVDQLFSEDAQCLCEACRLLTVGLQSSECISWAKELQSEHILSRILWIAENSLNPQLIEKSVEVLLATIESSEEVVLILLPPLMKLGLASLLINLLDFEMSQLLSERVPERYPVLDVILRSIEALSVIDGHSQEICSNKDLFRLVCDLVKLPDKVEVANSCITAGVLIANILSDEPHLASEISQDLPFLQGLLDIFPFSSEDLEARSALWNIIARLLVRVQENEMSRSALQQYVSVLVSKSDAIEDDLLDFQLDELNSKARTTSLRRIISLLNQWTASKDDDKENEMMGNRYEDDINIDRLLDCCCKHSEPNKSVLI >ONI01012 pep chromosome:Prunus_persica_NCBIv2:G6:8467976:8473584:1 gene:PRUPE_6G116300 transcript:ONI01012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAKSVPLEDQEEQERQVQRHDAPAHNPSAPPDEFFDISTTVDPSYVISLIRKLLPANASNNHNSHGDVFYAHVQELETDHTDKTAPTLSGDRLLHVSNDGSESMEIADDFHKSAPEERQNNGSYDGAEQCGHSVPVGEEAWEEYGCILWDLAASKTHAELMVQNLILEVLLANLVVSQSLRAMEITLGIIGNLACHEVPMKHIVSTIGLIGTVVDQLFSEDAQCLCEACRLLTVGLQSSECISWAKELQSEHILSRILWIAENSLNPQLIEKSVEVLLATIESSEEVVLILLPPLMKLGLASLLINLLDFEMSQLLSERVPERYPVLDVILRSIEALSVIDGHSQEICSNKDLFRLVCDLVKLPDKVEVANSCITAGVLIANILSDEPHLASEISQDLPFLQGLLDIFPFSSEDLEARSALWNIIARLLVRVQENEMSRSALQQYVSVLVSKSDAIEDDLLDFQLDELNSKARTTSLRRIISLLNQWTASKDDDKENEMMGNRYEDDINIDRLLDCCCKHSE >ONI01010 pep chromosome:Prunus_persica_NCBIv2:G6:8467933:8474054:1 gene:PRUPE_6G116300 transcript:ONI01010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAKSVPLEDQEEQERQVQRHDAPAHNPSAPPDEFFDISTTVDPSYVISLIRKLLPANASNNHNSHGDVFYAHVQELETDHTDKTAPTLSGDRLLHVSNDGSESMEIADDFHKSAPEERQNNGSYDGAEQCGHSVPVGEEAWEEYGCILWDLAASKTHAELMVQNLILEVLLANLVVSQSLRAMEITLGIIGNLACHEVPMKHIVSTIGLIGTVVDQLFSEDAQCLCEACRLLTVGLQSSECISWAKELQSEHILSRILWIAENSLNPQLIEKSVEVLLATIESSEEVVLILLPPLMKLGLASLLINLLDFEMSQLLSERVPERYPVLDVILRSIEALSVIDGHSQEICSNKDLFRLVCDLVKLPDKVEVANSCITAGVLIANILSDEPHLASEISQDLPFLQGLLDIFPFSSEDLEARSALWNIIARLLVRVQENEMSRSALQQYVSVLVSKSDAIEDDLLDFQLDELNSKARTTSLRRIISLLNQWTASKDDDKENEMMGNRYEDDINIDRLLDCCCKHSEPNKSVLI >ONI01006 pep chromosome:Prunus_persica_NCBIv2:G6:8467917:8475212:1 gene:PRUPE_6G116300 transcript:ONI01006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAKSVPLEDQEEQERQVQRHDAPAHNPSAPPDEFFDISTTVDPSYVISLIRKLLPANASNNHNSHGDVFYAHVQELETDHTDKTAPTLSGDRLLHVSNDGSESMEIADDFHKSAPEERQNNGSYDGAEQCGHSVPVGEEAWEEYGCILWDLAASKTHAELMVQNLILEVLLANLVVSQSLRAMEITLGIIGNLACHEVPMKHIVSTIGLIGTVVDQLFSEDAQCLCEACRLLTVGLQSSECISWAKELQSEHILSRILWIAENSLNPQLIEKSVEVLLATIESSEEVVLILLPPLMKLGLASLLINLLDFEMSQLLSERVPERYPVLDVILRSIEALSVIDGHSQEICSNKDLFRLVCDLVKLPDKVEVANSCITAGVLIANILSDEPHLASEISQDLPFLQGLLDIFPFSSEDLEARSALWNIIARLLVRVQENEMSRSALQQYVSVLVSKSDAIEDDLLDFQLDELNSKARTTSLRRIISLLNQWTASKDDDKENEMMGNRYEDDINIDRLLDCCCKHSEYVPPAVSPDMDFKE >ONI04352 pep chromosome:Prunus_persica_NCBIv2:G6:28192327:28194201:-1 gene:PRUPE_6G317000 transcript:ONI04352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVRFAKFMRVSIPSSPNPTLVSVQRSSKLWCSSTSSDIGNDETKKTVAEEDFDEILGEKKDSHFQAQSVDPRRGWGFRGVHKAIICGKVGQAPVQKILRNGRTVTIFTVGTGGMFDQRIEGAKDLPRPAQWHRIAVHNEALGAYAVKQLFKNSSIYVEGDIETRVYNDSINGEVKNIPEICVRYDEKVPTRRIQVERININI >ONI04350 pep chromosome:Prunus_persica_NCBIv2:G6:28191601:28194201:-1 gene:PRUPE_6G317000 transcript:ONI04350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVRFAKFMRVSIPSSPNPTLVSVQRSSKLWCSSTSSDIGNDETKKTVAEEDFDEILGEKKDSHFQAQSVDPRRGWGFRGVHKAIICGKVGQAPVQKILRNGRTVTIFTVGTGGMFDQRIEGAKDLPRPAQWHRIAVHNEALGAYAVKQLFKNSSIYVEGDIETRVYNDSINGEVKNIPEICVRYDGKIRLIKTGESISSISLDDLREELV >ONI04353 pep chromosome:Prunus_persica_NCBIv2:G6:28192372:28194059:-1 gene:PRUPE_6G317000 transcript:ONI04353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVRFAKFMRVSIPSSPNPTLAVSVQRSSKLWCSSTSSDIGNDETKKTVAEEDFDEILGEKKDSHFQAQSVDPRRGWGFRGVHKAIICGKVGQAPVQKILRNGRTVTIFTVGTGGMFDQRIEGAKDLPRPAQWHRIAVHNEALGAYAVKQLFKNSSIYVEGDIETRVYNDSINGEVKNIPEICVRYDEKVPTRRIQVERININI >ONI04351 pep chromosome:Prunus_persica_NCBIv2:G6:28191590:28194201:-1 gene:PRUPE_6G317000 transcript:ONI04351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVRFAKFMRVSIPSSPNPTLAVSVQRSSKLWCSSTSSDIGNDETKKTVAEEDFDEILGEKKDSHFQAQSVDPRRGWGFRGVHKAIICGKVGQAPVQKILRNGRTVTIFTVGTGGMFDQRIEGAKDLPRPAQWHRIAVHNEALGAYAVKQLFKNSSIYVEGDIETRVYNDSINGEVKNIPEICVRYDGKIRLIKTGESISSISLDDLREELV >ONI03732 pep chromosome:Prunus_persica_NCBIv2:G6:26103449:26105093:1 gene:PRUPE_6G278300 transcript:ONI03732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAALIPVEFEMAPSQSDGSAGRERLRWTQELHDRFVEAVTKLGGPDRATPKGILKAMSVSGLTIYHIKSHLQKYRISKFIPESTSKGKLQKKNISEMLPNFGATSGAQLNEALKIMQIQVQRRLSDQNEVQKILKHKIEAQARFLDIFSAERHNNNKNRPILITKRSKVPLPRTSLPSLCEDSESNAKEFCSDSEAAKTEIQSSAEQFQSLKRLRLHHQNDEVCEIALNSEWYNQSHSLLLPHEDPINFPWNLADCSSPLVPTCFL >ONI04663 pep chromosome:Prunus_persica_NCBIv2:G6:28998659:29001328:-1 gene:PRUPE_6G333600 transcript:ONI04663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDDNYMETDNRKEINSPAVVLNNSESSEQIPSPAEASNNPESSEQIPSPAEASKKPDSSELKTSSAEAFSGPELAKMKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGKKDLVLGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGLMRA >ONI04662 pep chromosome:Prunus_persica_NCBIv2:G6:28998659:29001328:-1 gene:PRUPE_6G333600 transcript:ONI04662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDDNYMETDNRKEINSPAVVLNNSESSEQIPSPAEASNNPESSEQIPSPAEASKKPDSSELKTSSAEAFSGPELAKMKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGLMRA >ONI04664 pep chromosome:Prunus_persica_NCBIv2:G6:28998659:29001328:-1 gene:PRUPE_6G333600 transcript:ONI04664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDDNYMETDNRKEINSPAVVLNNSESSEQIPSPAEASNNPESSEQIPSPAEASKKPDSSELKTSSAEAFSGPELAKMKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGKKDLVLGIKPGLKLFLFDFDLKLLYGVYKASSSGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGLMRA >ONI04670 pep chromosome:Prunus_persica_NCBIv2:G6:28998722:29003929:-1 gene:PRUPE_6G333600 transcript:ONI04670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDDNYMETDNRKEINSPAVVLNNSESSEQIPSPAEASNNPESSEQIPSPAEASKKPDSSELKTSSAEAFSGPELAKMKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGKKDLVLGIKPGLKLFLFDFDLKLLYGVYKASSSGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGPSYSYR >ONI04671 pep chromosome:Prunus_persica_NCBIv2:G6:28999002:29001328:-1 gene:PRUPE_6G333600 transcript:ONI04671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDDNYMETDNRKEINSPAVVLNNSESSEQIPSPAEASNNPESSEQIPSPAEASKKPDSSELKTSSAEAFSGPELAKMKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGKKDLVLGIKPGLKLFLFDFDLKLLYGVYKASSSGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGPSYSYR >ONI04667 pep chromosome:Prunus_persica_NCBIv2:G6:28998159:29003866:-1 gene:PRUPE_6G333600 transcript:ONI04667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGKKDLVLGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGLMRA >ONI04666 pep chromosome:Prunus_persica_NCBIv2:G6:28998159:29003909:-1 gene:PRUPE_6G333600 transcript:ONI04666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGLMRA >ONI04673 pep chromosome:Prunus_persica_NCBIv2:G6:28998725:29003929:-1 gene:PRUPE_6G333600 transcript:ONI04673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGKKDLVLGIKPGLKLFLFDFDLKLLYGVYKASSSGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGPSYSYR >ONI04665 pep chromosome:Prunus_persica_NCBIv2:G6:28998159:29001962:-1 gene:PRUPE_6G333600 transcript:ONI04665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDDNYMETDNRKEINSPAVVLNNSESSEQIPSPAEASNNPESSEQIPSPAEASKKPDSSELKTSSAEAFSGPELAKMKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGKKDLVLGIKPGLKLFLFDFDLKLLYGVYKASSSGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGLMRA >ONI04668 pep chromosome:Prunus_persica_NCBIv2:G6:28998159:29003939:-1 gene:PRUPE_6G333600 transcript:ONI04668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGKKDLVLGIKPGLKLFLFDFDLKLLYGVYKASSSGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGLMRA >ONI04669 pep chromosome:Prunus_persica_NCBIv2:G6:28998863:29001541:-1 gene:PRUPE_6G333600 transcript:ONI04669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDDNYMETDNRKEINSPAVVLNNSESSEQIPSPAEASNNPESSEQIPSPAEASKKPDSSELKTSSAEAFSGPELAKMKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGKKDLVLGIKPGLKLFLFDFDLKLLYGVYKASSSGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGPSYSYR >ONI04672 pep chromosome:Prunus_persica_NCBIv2:G6:28998199:29003866:-1 gene:PRUPE_6G333600 transcript:ONI04672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSSPAEVSNKPDVSEKKIASPAEASNSGKKTPRSLKGKAKIVKKTPIHNSLKTSKGTTSSQVNGRKRRRNRGNNESSNKREEDAKKMNSKREKQENITNEKHAEKSHRAQKNPGKIDESEKSLQNQQSTEKRRGSDKSVKSQRNSEKHDEKREKLGGLIFMCSGKTKPDCFHYRIMGVSMGKKDLVLGIKPGLKLFLFDFDLKLLYGVYKASSSGGLKLEPKAFGGAFPAQVRFNVEKDCLPLPESVFKKAIQENYNEKKKFKTELTVRQVRKLTALFRPAQVHSTALATRSPVQAKVRDRGVHEGARESMPHAHRDAHARDPYANGDARSYPVLAHERDQHVKYRDVASVRREETSHDLYLTEKEYRAYGLRGERRNVSPRIVPPALEARHREYEREHLARQPNLIYREAVPAHQENVHNVSPRIVPPALEARHREYEREHLARQPTLIYREAVPAHRENVHSDPLYLNDRDYPAYTHGARHELPPATSATAVDAYARDPYYGYSYYGSSSLDPYLAPQRREEVPSGSFSVVGRRENYLIETDPPRRETDRVERLYSTHAFGGRRENCLIETDPVLRRETDRGERLYSMHAAPADAASGYNRTENYQATKADALPAPVSSRYAFAGPSYSYR >ONI01022 pep chromosome:Prunus_persica_NCBIv2:G6:8545872:8549661:1 gene:PRUPE_6G116900 transcript:ONI01022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVARVDLDGNPIKPITICMIGAGGFIGSHLCEKLMSETPHKVLALDVYNDKIKHLLEPENAHPWSDRIQFHRLNIKQDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVKYCSENNKRLIHFSTCEVYGKTIGSYLPKDSPLRQDPAYYVLKEDESPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVMLMIENPARANGHIFNVGNPNNEVTVRQLGEMMTEVYVKVSGESKLETPTIDVSSQEFYGVGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAVKKAISQASATS >ONI01020 pep chromosome:Prunus_persica_NCBIv2:G6:8545872:8549638:1 gene:PRUPE_6G116900 transcript:ONI01020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVARVDLDGNPIKPITICMIGAGGFIGSHLCEKLMSETPHKVLALDVYNDKIKHLLEPENAHPWSDRIQFHRLNIKQDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSYLPKDSPLRQDPAYYVLKEDESPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVMLMIENPARANGHIFNVGNPNNEVTVRQLGEMMTEVYVKVSGESKLETPTIDVSSQEFYGVGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAVKKAISQASATS >ONI01021 pep chromosome:Prunus_persica_NCBIv2:G6:8545867:8549695:1 gene:PRUPE_6G116900 transcript:ONI01021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVARVDLDGNPIKPITICMIGAGGFIGSHLCEKLMSETPHKVLALDVYNDKIKHLLEPENAHPWSDRIQFHRLNIKQDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSYLPKDSPLRQDPAYYVLKEDESPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVMLMIENPARANGHIFNVGNPNNEVTVRQLGEMMTEVYVKVSGESKLETPTIDVSSQEFYGVGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAVKKAISQASATS >ONI01544 pep chromosome:Prunus_persica_NCBIv2:G6:12022220:12028350:1 gene:PRUPE_6G145600 transcript:ONI01544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDLLLKNFFAEVSEVERDNQVLRILSCFKLNPFEYLNLPFDASPEDVKKQYRKLSLMVHPDKCKHPQAKEAFGALAKAQQLLLDQQERDYIISQVNAAKDELRAKRKKQLKKDTASKIKSLVDEGKYEQQYEQSEEFQQELKMKVREILTEQEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEQWEGTREQRVSSWRDFMKGGKKAKKGEIRPPKLKTEDPNKSYVQRPVKRG >ONH99671 pep chromosome:Prunus_persica_NCBIv2:G6:3118302:3121012:1 gene:PRUPE_6G042500 transcript:ONH99671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIALMPRLTVTLPVVQNKIDIVKLVKCIVQNIVVDISFNQLGGLCTLRFLEQVDHYIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLILYIFHIFHSSLDGPLTVLYRFLDYFSKFDWENYCISLNGPVCKSSLPDIVAEVPENGGDDLLLSEEFIRNCVEMFSVPSKLCETNLRSFPLKHLNIIDPLKENNNLGRSVNRGSFYRICSAFKYGARKLGWILSLPGERIADELNKFFANTLDRHGSNCQTNDKNDALSGGLEALNILLELGGDFDNHCLNLQYGPYFPGSATSPPLLPSPPLSPQPWKENPWGVTSEAVQFHQNINSQTNINGVAWGAHVYHANDSTLSVAAFRGEKKKPRGTGTYIPNASFQSYRPYKDRFLPGRGRKQAPGTCFPLQRHAFSYGFAAAPQESISPREYNRQLSEAEYPVLGRGNSAKSDYHPPHLSIWESFHDVGLSNLPEKPECRSLSPPSWESHIPEVDRQSELFRANEERIEEQSYHLKNDDDFPPLTPQVPLKEGLCVGE >ONH99670 pep chromosome:Prunus_persica_NCBIv2:G6:3116801:3121479:1 gene:PRUPE_6G042500 transcript:ONH99670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLKVCSPRPNGDVFREDRPCPSPPFPSLPTSNPDPSAVSPESWATGEDATRDIVSKIQPTLVTHQARKEVIQYVQSLITSNVGCQVFPYGSVPLKTYLPDGDIDLTAFSSANIEESFVTDVHAILKGEENNEAAQRLVKDVHCIDAEVKLVKCIVQNIVVDISFNQLGGLCTLRFLEQVDHYIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLILYIFHIFHSSLDGPLTVLYRFLDYFSKFDWENYCISLNGPVCKSSLPDIVAEVPENGGDDLLLSEEFIRNCVEMFSVPSKLCETNLRSFPLKHLNIIDPLKENNNLGRSVNRGSFYRICSAFKYGARKLGWILSLPGERIADELNKFFANTLDRHGSNCQTNDKNDALSGGLEALNILLELGGDFDNHCLNLQYGPYFPGSATSPPLLPSPPLSPQPWKENPWGVTSEAVQFHQNINSQTNINGVAWGAHVYHANDSTLSVAAFRGEKKKPRGTGTYIPNASFQSYRPYKDRFLPGRGRKQAPGTCFPLQRHAFSYGFAAAPQESISPREYNRQLSEAEYPVLGRGNSAKSDYHPPHLSIWESFHDVGLSNLPEKPECRSLSPPSWESHIPEVDRQSELFRANEERIEEQSYHLKNDDDFPPLTPQVPLKEGLCVGE >ONI03128 pep chromosome:Prunus_persica_NCBIv2:G6:23951909:23954324:1 gene:PRUPE_6G240700 transcript:ONI03128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPLIGGIAVAAAAYAGRYSIQAWQAFKARPPTARLRKFYEGGFQSTMTRREAALILGVRESTPTDKVREAHRRVMVANHPDAGGSHYLASKINEAKDILLGRSKGMGSAF >ONI02566 pep chromosome:Prunus_persica_NCBIv2:G6:21524947:21527228:1 gene:PRUPE_6G206700 transcript:ONI02566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATKIRTSCFSPNFVAANSPQFPGLMRGPNGTMFISSGILELDSNLLSLIVMEDAEVSHHMLLIRNFMSQGLVHNQPLLCASPSKDPRQFLGTLPCPALPKDDKSSHRDPDQEKGLRIAWQYKKYFWENQQSFDSQGGKKHEFCNEFDFGKPLERTDDSISSVGRIAIQSFCVPQCEYSNMVNGDKELEKLLNGNMVGLLNVHKVARINTQVHVILESTTFSINLKKRRFMVLECLNQVPIDGSSGSSYGTSSSCSVSSKTGTLDF >ONI02012 pep chromosome:Prunus_persica_NCBIv2:G6:17764909:17768814:1 gene:PRUPE_6G172800 transcript:ONI02012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVMEATVAHRLQFSTWIDSEKVARRPSSSARCSQRLKEQNKLRVLVAAHLPSSRVSNRQRHVALEVSCSYNKFPASTVESGNFQTPFDESLVLKNKAQAIEPYLNGRCIYLVGMMGSGKTTVGKILSQAINYSFIDSDTLVEHEVGGTSVAEIFKLNGEGFFRDKETEVLRKLSLMHHLVVSTGGGAVVRPINWKCMKKGISVWLDVPLEALAQRIAAVGTGSRPLLHHESGDAYRKTFMRLTSLFEQRGDSYANASARVSLENIAAKLGYRDVSSLTPTAIVIEVLEQIEVFLKEEDGHAHITF >ONI02013 pep chromosome:Prunus_persica_NCBIv2:G6:17765144:17768867:1 gene:PRUPE_6G172800 transcript:ONI02013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVMEATVAHRLQFSTWIDSEKVARRPSSSARCSQRLKEQNKLRVLVAAHLPSSRVSNRQRHVALEVSCSYNKFPASTVESGNFQTPFDESLVLKNKAQAIEPYLNGRCIYLVGMMGSGKTTVGKILSQAINYSFIDSDTLVEHEVGGTSVAEIFKLNGEGFFRDKETEVLRKLSLMHHLVVSTGGGAVVRPINWKCMKKGISVWLDVPLEALAQRIAAVGTGSRPLLHHESGDAYRKTFMRLTSLFEQRGDSYANASARVSLENIAAKLGYRDVSSLTPTAIVIEVLEQIEVFLKEEDGHAHITF >ONI00357 pep chromosome:Prunus_persica_NCBIv2:G6:5688889:5689449:-1 gene:PRUPE_6G084400 transcript:ONI00357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGISTSEASHISIDCAWEVGGSIFEDGSVTGDCCNELVSVGKTYHDLFFNSALAFKSNADKSEALAKSAQLWNRCVGIAVSRTSSIPIPTSKASKSKTMDECKKQISIKCAREVGETIFKGGSVADGCCYELVSVGKICHDLFFNSALESTPNVDKSRALAKSTQVWNRCVKIIISPISSISETEN >ONI00702 pep chromosome:Prunus_persica_NCBIv2:G6:7062625:7063035:-1 gene:PRUPE_6G101500 transcript:ONI00702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSVIFFSSLTLLSIASDAEERAPHGLARENPMAFPPSAYKFFHPTNQNPIAKDPCKASNCSPLMPIAAQVQATKAHESKVSASQNGRTTLGVGGIAGFVFGLAFAAFVAMGVYYVLVSRREDMRKANTVKPDV >ONI00021 pep chromosome:Prunus_persica_NCBIv2:G6:4357446:4360057:1 gene:PRUPE_6G063100 transcript:ONI00021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVSNFSDLIQRVAASCLLHPLAAGRHNPGNSPVSRGGDDEEDEACENESCDEHDEDDEEEEDEGEIADGGLGFRAREDRGTSTKTKTEVMEMEMAMREVFDAVSAMKKAYVSLQEAHSPWDPEKLRTADVAVVGELRKLGVLRERFRRRCTAGGGGGGGSGVVMTASTLREVVAPYEAAVEELKREVKSREVEIQNLKEKLNGVVSISHSKKGRSLSRRKVGCSSQAQVAVAPAPELFEATMSQVREASKSFTSLLLSLMRGAHWDIAAAVRSIEAATVTAITAGSLSTSSIIATQNGNAKYALQSYISRKLFQGFDHETFYMDGSLSSLLNPDQHRRDCFARYRDFKAMDPTELLGILPTCQFGKFCNAKYLAIVHPKMEESLFGDLEQRRHVVDGGHPRSEFYGEFLGLAKAVWLLHLLAFSLDPAPSQFEASRGAEFHPDYMDSVVKFAGGRVPSGQVVGFPVSPGFKLGNGSVIKARVYLVNRN >ONI03740 pep chromosome:Prunus_persica_NCBIv2:G6:26150906:26152920:1 gene:PRUPE_6G279000 transcript:ONI03740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNGSIRACHIFHRRGSSYVLVLGLGFTFLLNHKNHYILNKDHHFFFSGSLCFSPMDRAQLVLVGLPIFLFCTDIFSLFTPKPPKTSTHYHVHDDEDPQPLDHPDPQHQQLLQEPLKFPTQQKSDVIGAIGMGSTINIGFCTSCSYRGSAVTMKNMLGTAFPGINVILANHPPPLPRRLLSKLVPVFQVGIIGTIMAGEHIFSRLGITTPPPWYHSLKANRFGSIASTWILGNFIQSFLQSTGAFEVYCNGELVFSKLKEQRFPSEIELRDLVGKKLGSSRTADGPGGGAWS >ONI02174 pep chromosome:Prunus_persica_NCBIv2:G6:18826748:18827747:1 gene:PRUPE_6G181300 transcript:ONI02174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRVLLLFALCVLPGLVSARTLGNPFHIQGRVYCDTCRCGFETTATTYIPGATVRIECKYRNTLQLAYSVEGETDATGTYNILVEDDHEDQICESVLVSSPVNDCKSADPGRKRANVVVTRYNGVVKDKHYANNMGFFKDQPLAGCEELLKQYLNYDQPE >ONI00277 pep chromosome:Prunus_persica_NCBIv2:G6:5434129:5436144:1 gene:PRUPE_6G079700 transcript:ONI00277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSEAPAIGIDLGTAYSCVGVWQDGHVEIIANDQGNRMTPSYVAITDADCLIGYAANQVTINPINIVFDAKRLIGRRFTDASVQSDMKLWPFKKQFSAEEISAMVLTKMRQTAEAYLGSTNAVITVPAYFSDSQRQATKAAGVIAGMNVMRIINEPTAAAIAYCLNEKASSAADDKINVLIFDLGGGTFDVSLVTIEEGTFEVKATSGDTHLGGEDFNNRMMSHFVEELKIKHKKDMSNDPRALRRLRTCCERAKRTLSCTTTQTTTIEIDSLFEGIDFCSSITRERFEELNMDLFTKCMDIVDKCLMDAKVEDKSTVHDVVLVGGSTRIPKVQQLLQDLFDGKELCKSINPDEAVAYGAPVQAAILGDQNRLDLVLHDVAPISLGFYSIQGVMDVFIPRNTRIPTSKELIVSTFMDNQPCVYTQVFEGENPRPLRNNVLGKFGISGIPPAAKRVPQVTICYDIDPDGIFNVHAVAEDKTTGRKNILTVNNYERLSQEEIENIAADKEYNKVYKASGYEELDRVVLSLAKFW >ONH99982 pep chromosome:Prunus_persica_NCBIv2:G6:4203454:4210109:-1 gene:PRUPE_6G060800 transcript:ONH99982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVEYLRPTIHSRFCLNSNSNAYHCRHGLGFVRGQARVFNQEARRVVSNTPASKSVALYGQDRAVRVSERFSLWKSHGGFRTVRVSASGQDNDSGEKSEAKASEGQGVNNNKPNSSSPASNRRRESQKKANWWWSKGGKWRWQPIVQAQEIGILLLQLGIVIFVMRLLRPGIPLPGSEPRTPTTFISVPYSDFLSKINSNQVQKVEVDGVHVMFKLKSEQGEQESEVSGGVSKFQDSEALIRSVAPTKRVVYTTTRPSDIKAPYEKMLENEVEFGSPDKRTGGFLNSAMIALFYVAVLAGLLHRFPVSFSQHTAGQIRNRKSGGSGSAKASEQGETITFADVAGVDEAKEELEEIVEFLRNPDKYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRTGREAILKVHVSKKELPLAKDVYLGDIASMTTGFTGADLANLVNEAALLAGRQSKVVVEKIDFIQAVERSIAGIEKKTAKLQGSEKAVVARHEAGHAVVGTAVASLLPGQPRVEKLSILPRSGGALGFTYTPPTSEDRYLLFIDELRGRLATLLGGRAAEEFVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSIATLSAGGMDESGGGAPWGRDQGHLVDLVQGEVKALLQSALDVALSVVRANPSVLEGLGAHLEEKEKVEGEELQEWLKLVVAPTELAIFISGKQESLISGKQESLLPLQTGSG >ONH99984 pep chromosome:Prunus_persica_NCBIv2:G6:4204239:4209904:-1 gene:PRUPE_6G060800 transcript:ONH99984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVEYLRPTIHSRFCLNSNSNAYHCRHGLGFVRGQARVFNQEARRVVSNTPASKSVALYGQDRAVRVSERFSLWKSHGGFRTVRVSASGQDNDSGEKSEAKASEGQGVNNNKPNSSSPASNRRRESQKKANWWWSKGGKWRWQPIVQAQEIGILLLQLGIVIFVMRLLRPGIPLPGSEPRTPTTFISVPYSDFLSKINSNQVQKVEVDGVHVMFKLKSEQGEQESEVSGGVSKFQDSEALIRSVAPTKRVVYTTTRPSDIKAPYEKMLENEVEFGSPDKRTGGFLNSAMIALFYVAVLAGLLHRFPVSFSQHTAGQIRNRKSGGSGSAKASEQGETITFADVAGVDEAKEELEEIVEFLRNPDKYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRTGREAILKVHVSKKELPLAKDVYLGDIASMTTGFTGADLANLVNEAALLAGRQSKVVVEKIDFIQAVERSIAVKKKTAKLQGSEKAVVARHEAGHAVVGTAVASLLPGQPRVEKLSILPRSGGALGFTYTPPTSEDRYLLFIDELRGRLATLLGGRAAEEFVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSIATLSAGGMDESGGGAPWGRDQGHLVDLVQGEVKALLQSALDVALSVVRANPSVLEGLGAHLEEKEKVEGEELQEWLKLVVAPTELAIFISGKQESLISGKQESLLPLQTGSG >ONH99983 pep chromosome:Prunus_persica_NCBIv2:G6:4203454:4210023:-1 gene:PRUPE_6G060800 transcript:ONH99983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVEYLRPTIHSRFCLNSNSNAYHCRHGLGFVRGQARVFNQEARRVVSNTPASKSVALYGQDRAVRVSERFSLWKSHGGFRTVRVSASGQDNDSGEKSEAKASEGQGVNNNKPNSSSPASNRRRESQKKANWWWSKGGKWRWQPIVQAQEIGILLLQLGIVIFVMRLLRPGIPLPGSEPRTPTTFISVPYSDFLSKINSNQVQKVEVDGVHVMFKLKSEQGEQESEVSGGVSKFQDSEALIRSVAPTKRVVYTTTRPSDIKAPYEKMLENEVEFGSPDKRTGGFLNSAMIALFYVAVLAGLLHRFPVSFSQHTAGQIRNRKSGGSGSAKASEQGETITFADVAGVDEAKEELEEIVEFLRNPDKYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRTGREAILKVHVSKKELPLAKDVYLGDIASMTTGFTGADLANLVNEAALLAGRQSKVVVEKIDFIQAVERSIAGIEKKTAKLQGSEKAVVARHEAGHAVVGTAVASLLPGQPRVEKLSILPRSGGALGFTYTPPTSEDRYLLFIDELRGRLATLLGGRAAEEFVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSIATLSAGGMDESGGGAPWGRDQGHLVDLVQGEVKALLQSALDVALSVVRANPSVLEGLGAHLEEKEKVEGEELQEWLKLVVAPTELAIFISGKQESLISGKQESLLPLQTGSG >ONI02505 pep chromosome:Prunus_persica_NCBIv2:G6:21019793:21021364:-1 gene:PRUPE_6G202600 transcript:ONI02505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSALDPVEFRRQGHMMVDFIADYYQNIDKYPVLSQVDPGYLRKRLPESAPDNPEPIETILQDVQEHIVPGLTHWQSPSFFAYFASNVSIAGFLGEMLSTGFNVVGFNWVSSPAATELESIVMDWLGNLLSLPKSFLFSGNGGGVIHGSTCEAIVCTMAASRDQMLSRIGGDNIGKLVVYGSDQTHSALQKASQIVGINPKNFRAIEATRSTTFALSPESLKLAISSDIEAGLVPLFLCATVGTTATTAVDPLGPLCDVAKHHGMWVHVDAAYAGSACICPEFRHFIDGIEGVDSFSFNAHKWFFTGLDCCCLWVKNPGALISSLSANPEFLRNKPTDSKQVVDYKDWQIALSRRFRAMKLWLVLRSYGVVNLRNFLRSHVKMAKLFEGLVAMDQRFEIVVPRNFSMVPPTTPTSNSFHQNGIEINVEKCTNEVNCKLLEAINASGRVFMTHAMVGGMYVIRCAVGVTQTEEKHIAMAWKVVQEHADVILKNNGDDGDANLKLPLLDKIA >ONH99684 pep chromosome:Prunus_persica_NCBIv2:G6:3165706:3167991:1 gene:PRUPE_6G043300 transcript:ONH99684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIEQQQQQPPQGPPPPPRVPDNALFGKYELGKLLGCGAFAKVYHARNVRTGQSVAVKVINKKKLTGTSLMSNIKREITIMRRLRHPNIVKLYEVLASKTKIYFVMEFVKGGELFAKVSKGRFSENLSRKYFQQLISAVGYCHSRGIYHRDLKPENLLVDENGNLKVSDFGLSAVTDQIRPDGLLHTLCGTPAYVAPEILTKKGYDGAKVDVWSCGVILYVLNAGYLPFNDPNLMAMYKKIYKGEFRCPKWMSSDLKRFLGRLMDTNPATRITVDEILKDPWFRKGGYKEIIFYDEDCSMDDSKIGGGEEDQKVVTSLNAFDLISFSAGLDLSGLFDDHSYGSAEDGERFVLEETVEKVVERAEAFAKAEKLRVRRKKEWGLEMEGQNGNLAIGVEVYRLTENLVVVEARRTGGDAGPSREMWKSKLRPHLMCPDDHEISPPLPTPPSSSAASSSSSLAHCPAD >ONI02751 pep chromosome:Prunus_persica_NCBIv2:G6:22588880:22595254:-1 gene:PRUPE_6G219900 transcript:ONI02751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDWKLSKMMKQSGLQFTEGQMLKILGGLGAKGCWKHSLAVVEWVYNDKGNKHCKSRFVYTKLLAILGKARRPQEALHIFNLMLGDFHIYPDAAAYHSITVTLGQTGLLKELLKIIESMRQRPFRSNKNMFPKNWDPVVEPDVIVYNALLNACTQSHQWKGVSWVFNQLRKSGLKPNGATYGLAMEVMLQSGKYDLVHELFRKMKNSGEAPKALNYKVLVRAFWCEGKVNEAVEAVRDMEQRGVVGTGSVYYELACCLCNNGRWQDALVEVEKMKNVSNTKPLEVTFTGMITSSMEGGHIDSCISIFKHMKNRCAPNIGTINTMLKVFGRSDMFFKAKELFEEIKTVRAESDFSLEGGGTLVVPDQYTYTSMLKASASALQWEYFEYVYKEMALSGYQVDQTKHASLLVKASRSGKFYLLEHAFDTSLEAGEIPHPLIFTEMVFQATAQHDYKRAVTLVNAMAYAPFQVSERQWTDLFEKNGDTITQDGLEKLLDALHNCDVVSEATVLNLSRSLLRLCRSYRSRGLSSSAPFGSGATETSSLDGDNEEIYGNGIMPNHSLESIDGSHNPRREPLDKSTNVPLDAFSVNHASTRRDVDEVTRTVSRSSEYISDEDGEYSTEIDKEIEALIYKDVDDSHDSDLPSAPEILKVWKERRKEARDSLPLSTWAEVN >ONI02752 pep chromosome:Prunus_persica_NCBIv2:G6:22589516:22593336:-1 gene:PRUPE_6G219900 transcript:ONI02752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRPFRSNKNMFPKNWDPVVEPDVIVYNALLNACTQSHQWKGVSWVFNQLRKSGLKPNGATYGLAMEVMLQSGKYDLVHELFRKMKNSGEAPKALNYKVLVRAFWCEGKVNEAVEAVRDMEQRGVVGTGSVYYELACCLCNNGRWQDALVEVEKMKNVSNTKPLEVTFTGMITSSMEGGHIDSCISIFKHMKNRCAPNIGTINTMLKVFGRSDMFFKAKELFEEIKTVRAESDFSLEGGGTLVVPDQYTYTSMLKASASALQWEYFEYVYKEMALSGYQVDQTKHASLLVKASRSGKFYLLEHAFDTSLEAGEIPHPLIFTEMVFQATAQHDYKRAVTLVNAMAYAPFQVSERQWTDLFEKNGDTITQDGLEKLLDALHNCDVVSEATVLNLSRSLLRLCRSYRSRGLSSSAPFGSGATETSSLDGDNEEIYGNGIMPNHSLESIDGSHNPRREPLDKSTNVPLDAFSVNHASTRRDVDEVTRTVSRSSEYISDEDGEYSTEIDKEIEALIYKDVDDSHDSDLPSAPEILKVWKERRKEARDSLPLSTWAEVN >ONI02750 pep chromosome:Prunus_persica_NCBIv2:G6:22588880:22595254:-1 gene:PRUPE_6G219900 transcript:ONI02750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQGSLPQLPHTKFEPDTDKIKRRLNNGGVYPTPKIVHTIRKKEIQKHNRKLNRLAKADPSSPPLSQSQKQALADETHFQTLKREYRDFTKAVKAKTGDGESMVGRPWEGIERIGFRELASTSAEYGGEKLKKEELKALREMFEARKLEDLKWVLDDDIELKEDWMDGENRVWDPAKRRRRGEGEVIQFLVDRLSATEFSMKDWKLSKMMKQSGLQFTEGQMLKILGGLGAKGCWKHSLAVVEWVYNDKGNKHCKSRFVYTKLLAILGKARRPQEALHIFNLMLVMSCSFGDFHIYPDAAAYHSITVTLGQTGLLKELLKIIESMRQRPFRSNKNMFPKNWDPVVEPDVIVYNALLNACTQSHQWKGVSWVFNQLRKSGLKPNGATYGLAMEVMLQSGKYDLVHELFRKMKNSGEAPKALNYKVLVRAFWCEGKVNEAVEAVRDMEQRGVVGTGSVYYELACCLCNNGRWQDALVEVEKMKNVSNTKPLEVTFTGMITSSMEGGHIDSCISIFKHMKNRCAPNIGTINTMLKVFGRSDMFFKAKELFEEIKTVRAESDFSLEGGGTLVVPDQYTYTSMLKASASALQWEYFEYVYKEMALSGYQVDQTKHASLLVKASRSGKFYLLEHAFDTSLEAGEIPHPLIFTEMVFQATAQHDYKRAVTLVNAMAYAPFQVSERQWTDLFEKNGDTITQDGLEKLLDALHNCDVVSEATVLNLSRSLLRLCRSYRSRGLSSSAPFGSGATETSSLDGDNEEIYGNGIMPNHSLESIDGSHNPRREPLDKSTNVPLDAFSVNHASTRRDVDEVTRTVSRSSEYISDEDGEYSTEIDKEIEALIYKDVDDSHDSDLPSAPEILKVWKERRKEARDSLPLSTWAEVN >ONI02753 pep chromosome:Prunus_persica_NCBIv2:G6:22588796:22595295:-1 gene:PRUPE_6G219900 transcript:ONI02753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRPFRSNKNMFPKNWDPVVEPDVIVYNALLNACTQSHQWKGVSWVFNQLRKSGLKPNGATYGLAMEVMLQSGKYDLVHELFRKMKNSGEAPKALNYKVLVRAFWCEGKVNEAVEAVRDMEQRGVVGTGSVYYELACCLCNNGRWQDALVEVEKMKNVSNTKPLEVTFTGMITSSMEGGHIDSCISIFKHMKNRCAPNIGTINTMLKVFGRSDMFFKAKELFEEIKTVRAESDFSLEGGGTLVVPDQYTYTSMLKASASALQWEYFEYVYKEMALSGYQVDQTKHASLLVKASRSGKFYLLEHAFDTSLEAGEIPHPLIFTEMVFQATAQHDYKRAVTLVNAMAYAPFQVSERQWTDLFEKNGDTITQDGLEKLLDALHNCDVVSEATVLNLSRSLLRLCRSYRSRGLSSSAPFGSGATETSSLDGDNEEIYGNGIMPNHSLESIDGSHNPRREPLDKSTNVPLDAFSVNHASTRRDVDEVTRTVSRSSEYISDEDGEYSTEIDKEIEALIYKDVDDSHDSDLPSAPEILKVWKERRKEARDSLPLSTWAEVN >ONI02749 pep chromosome:Prunus_persica_NCBIv2:G6:22588784:22595295:-1 gene:PRUPE_6G219900 transcript:ONI02749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQGSLPQLPHTKFEPDTDKIKRRLNNGGVYPTPKIVHTIRKKEIQKHNRKLNRLAKADPSSPPLSQSQKQALADETHFQTLKREYRDFTKAVKAKTGDGESMVGRPWEGIERIGFRELASTSAEYGGEKLKKEELKALREMFEARKLEDLKWVLDDDIELKEDWMDGENRVWDPAKRRRRGEGEVIQFLVDRLSATEFSMKDWKLSKMMKQSGLQFTEGQMLKILGGLGAKGCWKHSLAVVEWVYNDKGNKHCKSRFVYTKLLAILGKARRPQEALHIFNLMLGDFHIYPDAAAYHSITVTLGQTGLLKELLKIIESMRQRPFRSNKNMFPKNWDPVVEPDVIVYNALLNACTQSHQWKGVSWVFNQLRKSGLKPNGATYGLAMEVMLQSGKYDLVHELFRKMKNSGEAPKALNYKVLVRAFWCEGKVNEAVEAVRDMEQRGVVGTGSVYYELACCLCNNGRWQDALVEVEKMKNVSNTKPLEVTFTGMITSSMEGGHIDSCISIFKHMKNRCAPNIGTINTMLKVFGRSDMFFKAKELFEEIKTVRAESDFSLEGGGTLVVPDQYTYTSMLKASASALQWEYFEYVYKEMALSGYQVDQTKHASLLVKASRSGKFYLLEHAFDTSLEAGEIPHPLIFTEMVFQATAQHDYKRAVTLVNAMAYAPFQVSERQWTDLFEKNGDTITQDGLEKLLDALHNCDVVSEATVLNLSRSLLRLCRSYRSRGLSSSAPFGSGATETSSLDGDNEEIYGNGIMPNHSLESIDGSHNPRREPLDKSTNVPLDAFSVNHASTRRDVDEVTRTVSRSSEYISDEDGEYSTEIDKEIEALIYKDVDDSHDSDLPSAPEILKVWKERRKEARDSLPLSTWAEVN >ONI05045 pep chromosome:Prunus_persica_NCBIv2:G6:30048561:30049472:-1 gene:PRUPE_6G353200 transcript:ONI05045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEDLKKIKSSLDSFDKIVEEVKAVSRNPVEQDQLLTERIVFNLIQSKARKAEASISKQNNYNQEKAASTSAGNYHMKIRKQTLGSNKDEDEFKCIEEEVSKLIKKKQNKAKKAVSMRAPLSSIPSTPPDMPTEFKNKIQSLHGFRVQLVIQKEIFPTDLSPHHDRLSMPKNQVSNQDFLGEQDKKKLKLEGSIRVKVIDPNLNEYELRLSEWKFKSRSCSGLSKRKRSSSYMLNSGWSKISENKKNKLQKEDIIQVWSFRVNNVDNPPVYGEERLCFAVVRLTDTDEGKHCLIESDPTSAS >ONI02817 pep chromosome:Prunus_persica_NCBIv2:G6:22845316:22847543:-1 gene:PRUPE_6G223400 transcript:ONI02817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLNPILVWSLCLSLCLFLCPTSAQLKTNFYANVCPNVENIVKNVVTQKFQQTFVTVPATIRLFFHDCFVQGCDASVLVASTGNNKAEKDHPDNLSLAGDGFDTVIKAKAAVDAVPQCKNKVSCADILALATRDVIVLSGGPSYAVELGRLDGLSSSSKNVDGNLPKPTFNLNQLNSMFAAHGLSQADMVALSAAHTVGFSHCDRFSNRIYSFSAGNPVDPSLNKTYATQLQQMCPKNVDPDIAINMDPNTPRTFDNVYFKNLELGQGLFTSDQVLFTDARSQPTVKTWAKDNAAFQQAFITAMTKLGRVGVKTGNKGNIRSDCSVLN >ONI04454 pep chromosome:Prunus_persica_NCBIv2:G6:28450251:28452617:-1 gene:PRUPE_6G322500 transcript:ONI04454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWGALTDNSIPTRPSGDRPLEITIVSASDLKDVNMFSKMDVYAAVSVSGDPRNKKQTTKTPVAKDGGTNPKWNNYSIKFTLDDAALLHNRLTLNIKLVSERSLGDTKIGKVQIPLKELFDSLGGGDDQKKQIKHVGYSVRTSSGKPKGSINFGYKFGDKFTVPVPEAHKKTHEPVMAYPSGHPGSSSGYPPAAHAGPYPPAQAYPYPPPGGYPPPPHQQPGYGYAPPPQAGYGYPPQSGYGYPPQKPKRGGGNMALGMGAGLLGGLLIGDMIGDVGEMAAYDAGYDAGFGGDDFGGGFDF >ONI04455 pep chromosome:Prunus_persica_NCBIv2:G6:28449925:28451400:-1 gene:PRUPE_6G322500 transcript:ONI04455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRPLEITIVSASDLKDVNMFSKMDVYAAVSVSGDPRNKKQTTKTPVAKDGGTNPKWNNYSIKFTLDDAALLHNRLTLNIKLVSERSLGDTKIGKVQIPLKELFDSLGGGDDQKKQIKHVGYSVRTSSGKPKGSINFGYKFGDKFTVPVPEAHKKTHEPVMAYPSGHPGSSSGYPPAAHAGPYPPAQAYPYPPPGGYPPPPHQQPGYGYAPPPQAGYGYPPQSGYGYPPQKPKRGGGNMALGMGAGLLGGLLIGDMIGDVGEMAAYDAGYDAGFGGDDFGGGFDF >ONI01050 pep chromosome:Prunus_persica_NCBIv2:G6:8731657:8736840:-1 gene:PRUPE_6G118700 transcript:ONI01050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLPFLPLRFLDVLFFCQLASSNSKSVEVKAGEPVKYVPVDGKVVHLSQACLGETKKGADQGVISVKIDDKKFVLGTLSSDKFPQLFLDLVFEKEFELSHNLKSGSVHFCGYQTLIGEYPFNNCANWLPFSAYVEFDSSDEDVPMEITQNGMPAPSKTNTVKPEASEKQKVKIEEPSNDDDDDSDDSSSEDGLGGFEDSSDEDDDSDEDDDATDEDDEETPTPKKASKKRPNESASKTPVPAKKAKQVTPQKTDGKKAAHTATPHPAKKGGKTPATGDKAKPQTPKSVGEHSCKPCSKSFNSDGALQSHNKAKHSAK >ONI01051 pep chromosome:Prunus_persica_NCBIv2:G6:8731505:8734832:-1 gene:PRUPE_6G118700 transcript:ONI01051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGVEVKAGEPVKYVPVDGKVVHLSQACLGETKKGADQGVISVKIDDKKFVLGTLSSDKFPQLFLDLVFEKEFELSHNLKSGSVHFCGYQTLIGEDEEGDSEFDSSDEDVPMEITQNGMPAPSKTNTVKPEASEKQKVKIEEPSNDDDDDSDDSSSEDGLGGFEDSSDEDDDSDEDDDATDEDDEETPTPKKASKKRPNESASKTPVPAKKAKQVTPQKTDGKKAAHTATPHPAKKGGKTPATGDKAKPQTPKSVGEHSCKPCSKSFNSDGALQSHNKAKHSAK >ONI01994 pep chromosome:Prunus_persica_NCBIv2:G6:17654106:17658993:-1 gene:PRUPE_6G171600 transcript:ONI01994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLLGMPGPWADDYREQSDHYTTKIGGLPDWPLPEKSVTPDLLECSACGSKLSLVAQVYAPISSKNLKIEERVLFVFGCLMPKCGSTPLSWRALRVQKLHNKDEPIAASQEVVPSTSSVSALNTSWWEDWDDEDVDLEELGKALNAAASLASHAKKPHRKDNRKTSTMQSPSSQLEKVVDINKPVVPCFYIYTQEEPSSKNLTSLCSKYSSLSIAKEGNNDEDHVQDETWAEEAYEYDKALTADRTYLKFKKRLDAYPEQCFRYSYGGKPLLASSTEGDPGKCRLCGGSRQYEMQLMPPVLYFVQEAADDYQRPSLENWNWMTLMVYTCSKSCSQHNEGKSSKGNWLVTEEAVVVQVEKSFHGLAERGYLS >ONI01993 pep chromosome:Prunus_persica_NCBIv2:G6:17655207:17658254:-1 gene:PRUPE_6G171600 transcript:ONI01993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLLGMPGPWADDYREQSDHYTTKIGGLPDWPLPEKSVTPDLLECSACGSKLSLVAQVYAPISSKNLKIEERVLFVFGCLMPKCGSTPLSWRALRVQKLHNKDEPIAASQEVVPSTSSVSALNTSWWEDWDDEDVDLEELGKALNAAASLASHAKKPHRKDNRKTSTMQSPSSQLEKVVDINKPVVPCFYIYTQEEPSSKNLTSLCSKYSSLSIAKEGNNDEDHVQDETWAEEAYEYDKALTADRTYLKFKKRLDAYPEQCFRYSYGGKPLLASSTEGDPGKCRLCGGSRQYEMQLMPPVLYFVQEAADDYQRPSLENWNWMTLMVYTCSKSCSQHNEGKSSKGNWLVTEEAVVVQVEKSFHGLAERGYLS >ONI01992 pep chromosome:Prunus_persica_NCBIv2:G6:17654106:17658994:-1 gene:PRUPE_6G171600 transcript:ONI01992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLLGMPGPWADDYREQSDHYTTKIGGLPDWPLPEKSVTPDLLECSACGSKLSLVAQVYAPISSKNLKIEERVLFVFGCLMPKCGSTPLSWRALRVQKLHNKDEPIAASQEVVPSTSSVSALNTSWWEDWDDEDVDLEELGKALNAAASLASHAKKPHRKDNLVPCFYIYTQEEPSSKNLTSLCSKYSSLSIAKEGNNDEDHVQDETWAEEAYEYDKALTADRTYLKFKKRLDAYPEQCFRYSYGGKPLLASSTEGDPGKCRLCGGSRQYEMQLMPPVLYFVQEAADDYQRPSLENWNWMTLMVYTCSKSCSQHNEGKSSKGNWLVTEEAVVVQVEKSFHGLAERGYLS >ONI01991 pep chromosome:Prunus_persica_NCBIv2:G6:17654106:17658992:-1 gene:PRUPE_6G171600 transcript:ONI01991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLLGMPGPWADDYREQSDHYTTKIGGLPDWPLPEKSVTPDLLECSACGSKLSLVAQVYAPISSKNLKIEERVLFVFGCLMPKCGSTPLSWRALRVQKLHNKDEPIAASQEVVPSTSSVSALNTSWWEDWDDEDVDLEELGKALNAAASLASHAKKPHRKDNLVPCFYIYTQEEPSSKNLTSLCSKYSSLSIAKEGNNDEDHVQDETWAEEAYEYDKALTADRTYLKFKKRLDAYPEQCFRYSYGGKPLLASSTEGDPGKCRLCGGSRQYEMQLMPPVLYFVQEAADDYQRPSLENWNWMTLMVYTCSKSCSQHNEGKSSKGNWLVTEEAVVVQVEKSFHGLAERGYLS >ONI01171 pep chromosome:Prunus_persica_NCBIv2:G6:9657245:9657733:1 gene:PRUPE_6G126000 transcript:ONI01171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLWAKKQAKVKRSNSNQVEAEQPQKREEIVFCTLVLIEPTERIENPLKKNNKSSAPLSFNYFSIILHYLTCSVL >ONI03883 pep chromosome:Prunus_persica_NCBIv2:G6:26671237:26677650:1 gene:PRUPE_6G288300 transcript:ONI03883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGNAEPGEVCNFFRKPIKKQNIRKRRVDEDEEDDGSKSGGTSLPSQRKASKLDGKLYFSSGPAKSSTPGSGAIFEFKSSKEIQVEHDSRATATLETETDFSRDARAVRERVLKQAEEALKGKSKGTENDKLYKGIHGYTDYKAGFRRELTVASEKAGGSHGPLRASAHIRATTRFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEREWDEAEKIRKRNLALGEDDVDQTDEDDEDDEDGSLPFACFICRQPFVDPVVTKCNHYFCEHCALKHHSKNKKCFVCEKPTLGIFNTAHEIRKRMAAEGK >ONI03881 pep chromosome:Prunus_persica_NCBIv2:G6:26675431:26677650:1 gene:PRUPE_6G288300 transcript:ONI03881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGNAEPGEVCNFFRKPIKKQNIRKRRVDEDEEDDGSKSGGTSLPSQRKASKLDGKLYFSSGPAKSSTPGSGAIFEFKSSKEIQVEHDSRATATLETETDFSRDARAVRERVLKQAEEALKGKSKGTENDKLYKGIHGYTDYKAGFRRELTVASEKAGGSHGPLRASAHIRATTRFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEREWDEAEKIRKRNLALGEDDVDQTDEDDEDDEDGSLPFACFICRQPFVDPVVTKCNHYFCEHCALKHHSKNKKCFVCEKPTLGIFNTAHEIRKRMAAEGK >ONI03882 pep chromosome:Prunus_persica_NCBIv2:G6:26674924:26677650:1 gene:PRUPE_6G288300 transcript:ONI03882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGNAEPGEVCNFFRKPIKKQNIRKRRVDEDEEDDGSKSGGTSLPSQRKASKLDGKLYFSSGPAKSSTPGSGAIFEFKSSKEIQVEHDSRATATLETETDFSRDARAVRERVLKQAEEALKGKSKGTENDKLYKGIHGYTDYKAGFRRELTVASEKAGGSHGPLRASAHIRATTRFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEREWDEAEKIRKRNLALGEDDVDQTDEDDEDDEDGSLPFACFICRQPFVDPVVTKCNHYFCEHCALKHHSKNKKCFVCEKPTLGIFNTAHEIRKRMAAEGK >ONI03884 pep chromosome:Prunus_persica_NCBIv2:G6:26674834:26677650:1 gene:PRUPE_6G288300 transcript:ONI03884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGNAEPGEVCNFFRKPIKKQNIRKRRVDEDEEDDGSKSGGTSLPSQRKASKLDGKLYFSSGPAKSSTPGSGAIFEFKSSKEIQVEHDSRATATLETETDFSRDARAVRERVLKQAEEALKGKSKGTENDKLYKGIHGYTDYKAGFRRELTVASEKAGGSHGPLRASAHIRATTRFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEREWDEAEKIRKRNLALGEDDVDQTDEDDEDDEDGSLPFACFICRQPFVDPVVTKCNHYFCEHCALKHHSKNKKCFVCEKPTLGIFNTAHEIRKRMAAEGK >ONI04796 pep chromosome:Prunus_persica_NCBIv2:G6:29406453:29409727:1 gene:PRUPE_6G340200 transcript:ONI04796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCHHRHQHSLLSFIRPLSASSLLEMVGLSIGEKHFIQGGIAQDLRSDGRKRLTIRPIYVETGVISQANGSARVRMGATDVIACVKAELGRPNALQPDKGKVVVNVDCSPTAAPMFEGRGGEELSAELSVALQRCLLGGKSGSGAGIDLSSLVVVEGKICWDLYIDGLVVSSDGNLLDALGAAIKAALSNTGIPKVNVAAGASGDEQPEVDVSDEEFLQFDTSRVPVIVTLTKVGRHYIVDATSEEESQMCLAVSISINRQGHICGLTKRGGAGLDPSIILDMISVAKTVSEQLINTLDSHIAAAEAGEEES >ONI04799 pep chromosome:Prunus_persica_NCBIv2:G6:29404692:29409734:1 gene:PRUPE_6G340200 transcript:ONI04799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSIGEKHFIQGGIAQDLRSDGRKRLTIRPIYVETGVISQANGSARVRMGATDVIACVKAELGRPNALQPDKGKVVVNVDCSPTAAPMFEGRGGEELSAELSVALQRCLLGGKSGSGAGIDLSSLVVVEGKICWDLYIDGLVVSSDGNLLDALGAAIKAALSNTGIPKVNVAAGASGDEQPEVDVSDEEFLQFDTSRVPVIVTLTKVGRHYIVDATSEEESQMCLAVSISINRQGHICGLTKRGGAGLDPSIILDMISVAKTVSEQLINTLDSHIAAAEAGEEES >ONI04798 pep chromosome:Prunus_persica_NCBIv2:G6:29404643:29409734:1 gene:PRUPE_6G340200 transcript:ONI04798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSIGEKHFIQGGIAQDLRSDGRKRLTIRPIYVETGVISQANGSARVRMGATDVIACVKAELGRPNALQPDKGKVVVNVDCSPTAAPMFEGRGGEELSAELSVALQRCLLGGKSGSGAGIDLSSLVVVEGKICWDLYIDGLVVSSDGNLLDALGAAIKAALSNTGIPKVNVAAGASGDEQPEVDVSDEEFLQFDTSRVPVIVTLTKVGRHYIVDATSEEESQMCLAVSISINRQGHICGLTKRGGAGLDPSIILDMISVAKTVSEQLINTLDSHIAAAEAGEEES >ONI04797 pep chromosome:Prunus_persica_NCBIv2:G6:29404643:29409729:1 gene:PRUPE_6G340200 transcript:ONI04797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSIGEKHFIQGGIAQDLRSDGRKRLTIRPIYVETGVISQANGSARVRMGATDVIACVKAELGRPNALQPDKGKVVVNVDCSPTAAPMFEGRGGEELSAELSVALQRCLLGGKSGSGAGIDLSSLVVVEGKICWDLYIDGLVVSSDGNLLDALGAAIKAALSNTGIPKVNVAAGASGDEQPEVDVSDEEFLQFDTSRVPVIVTLTKVGRHYIVDATSEEESQMCLAVSISINRQGHICGLTKRGGAGLDPSIILDMISVAKTVSEQLINTLDSHIAAAEAGEEES >ONI00173 pep chromosome:Prunus_persica_NCBIv2:G6:4919706:4920493:-1 gene:PRUPE_6G072200 transcript:ONI00173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKWKLPKKHQEGTSSSSSSSKFAFTRSSSTRSQSSNAPLLMRSYSQKSNASNSKCPLPRSMSQKSSKISRKCSSLAKEQKARFYIMRRCVAMLVCWHKHGD >ONI03031 pep chromosome:Prunus_persica_NCBIv2:G6:23588564:23591346:-1 gene:PRUPE_6G234400 transcript:ONI03031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFLFYIGEKKDEPKSTITTFTDGEMKRSGSELDSQNISDASTESMRRNQYPSFSQRPSNLRVFTVSELKSATKNFSRSVMVGEGGFGCVYKGVIKSAEDPSQKLEVAVKQLSKRGLQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNGSVENHLSSRSDPLPWPMRLKIAQDAARGLAYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGMARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTAKNDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWVKPYLSDLKKFRLIIDPRLEAKYPLKSVQKLAIVANRCLVRLPKNRPKMSEVLEMVNQIIEAPPSGQNKIRDVSSGQKKIREAPSSQRKNMEAPTGTASPQLPLKNLAPIQTSRDVETVNKRRNADLRRGETGWLSRVWTPKLLRTC >ONI03032 pep chromosome:Prunus_persica_NCBIv2:G6:23588009:23592015:-1 gene:PRUPE_6G234400 transcript:ONI03032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFLFYIGEKKDEPKSTITTFTDGEMKRSGSELDSQNISDASTESMRRNQYPSFSQRPSNLRVFTVSELKSATKNFSRSVMVGEGGFGCVYKGVIKSAEDPSQKLEVAVKQLSKRGLQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNGSVENHLSSRSDPLPWPMRLKIAQDAARGLAYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGMARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTAKNDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWVKPYLSDLKKFRLIIDPRLEAKYPLKSVQKLAIVANRCLVRLPKNRPKMSEVLEMVNQIIEAPPSGQNKIRDVSSGQKKIREAPSSQRKNMEAPTGTASPQLPLKNLAPIQTSRDVETVNKRRNADLRRGETGWLSRVWTPKLLRTC >ONI03030 pep chromosome:Prunus_persica_NCBIv2:G6:23588009:23592472:-1 gene:PRUPE_6G234400 transcript:ONI03030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFLFYIGEKKDEPKSTITTFTDGEMKRSGSELDSQNISDASTESMRRNQYPSFSQRPSNLRVFTVSELKSATKNFSRSVMVGEGGFGCVYKGVIKSAEDPSQKLEVAVKQLSKRGLQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNGSVENHLSSRSDPLPWPMRLKIAQDAARGLAYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGMARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTAKNDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWVKPYLSDLKKFRLIIDPRLEAKYPLKSVQKLAIVANRCLVRLPKNRPKMSEVLEMVNQIIEAPPSGQNKIRDVSSGQKKIREAPSSQRKNMEAPTGTASPQLPLKNLAPIQTSRDVETVNKRRNADLRRGETGWLSRVWTPKLLRTC >ONI03035 pep chromosome:Prunus_persica_NCBIv2:G6:23588009:23592016:-1 gene:PRUPE_6G234400 transcript:ONI03035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFLFYIGEKKDEPKSTITTFTDGEMKRSGSELDSQNISDASTESMRRNQYPSFSQRPSNLRVFTVSELKSATKNFSRSVMVGEGGFGCVYKGVIKSAEDPSQKLEVAVKQLSKRGLQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNGSVENHLSSRSDPLPWPMRLKIAQDAARGLAYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGMARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTAKNDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWVKPYLSDLKKFRLIIDPRLEAKYPLKSVQKLAIVANRCLVRLPKNRPKMSEVLEMVNQIIEAPPSGQNKIRDVSSGQKKIREAPSSQRKNMEAPTGTASPQLPLKNLAPIQTSRDVETVNKRRNADLRRGETGWLSRVWTPKLLRTC >ONI03034 pep chromosome:Prunus_persica_NCBIv2:G6:23588564:23591346:-1 gene:PRUPE_6G234400 transcript:ONI03034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFLFYIGEKKDEPKSTITTFTDGEMKRSGSELDSQNISDASTESMRRNQYPSFSQRPSNLRVFTVSELKSATKNFSRSVMVGEGGFGCVYKGVIKSAEDPSQKLEVAVKQLSKRGLQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNGSVENHLSSRSDPLPWPMRLKIAQDAARGLAYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGMARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTAKNDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWVKPYLSDLKKFRLIIDPRLEAKYPLKSVQKLAIVANRCLVRLPKNRPKMSEVLEMVNQIIEAPPSGQNKIRDVSSGQKKIREAPSSQRKNMEAPTGTASPQLPLKNLAPIQTSRDVETVNKRRNADLRRGETGWLSRVWTPKLLRTC >ONI03033 pep chromosome:Prunus_persica_NCBIv2:G6:23588009:23592478:-1 gene:PRUPE_6G234400 transcript:ONI03033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFLFYIGEKKDEPKSTITTFTDGEMKRSGSELDSQNISDASTESMRRNQYPSFSQRPSNLRVFTVSELKSATKNFSRSVMVGEGGFGCVYKGVIKSAEDPSQKLEVAVKQLSKRGLQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNGSVENHLSSRSDPLPWPMRLKIAQDAARGLAYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGMARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTAKNDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWVKPYLSDLKKFRLIIDPRLEAKYPLKSVQKLAIVANRCLVRLPKNRPKMSEVLEMVNQIIEAPPSGQNKIRDVSSGQKKIREAPSSQRKNMEAPTGTASPQLPLKNLAPIQTSRDVETVNKRRNADLRRGETGWLSRVWTPKLLRTC >ONI04483 pep chromosome:Prunus_persica_NCBIv2:G6:28524632:28534919:-1 gene:PRUPE_6G323800 transcript:ONI04483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKKSKSVKRYATVNEASPEKYGGGSNKKKQRKRKLSDKLGPEWSKGELERFYDAYRKYGKDWRKVAAAVRNRSIEMVEALYNMNRAYLSLPEGTASVVGLKAMMTDHYNVMEGSDSERESNDASGFSRKPQKRKLGKDQLSASKDVFQSHSSASHEGCLSLLKRRRLDGGQPRAVGKRTPRFPVSYAYKKDDRDTYVSPIKKGRRSEGDNDDEVAHVAALLTEASQRGGSPQISQTPYRRPVHVKSSSVQSSERMHPPRGKARANLRDPSMDEDWLEGSIGSKGAETGDYARDSLEGVGTVEINWKGKKFYGKKEKAKDIGNHQFDDGGEACSGTEEGLNVSSRGKDDIEVSNTKGERFSPQGQRKRSKKLYFGDESSCLDALQTLADLSLMMPESTMESGSSVQLKEEGTNLDVEDKFSVPEATSTSQSRNKNKIPSAKHRLPFAISGVEGTNSKKSKLGREPAFDTTAVSESEQQLQSTTKTWKRKRKSSVLKISNADAPIDSNINEPLKIEAFGEEENKPVTKGKRTNQSSTPSKQWKSTRSLEGSLNSDYRRTGTDLTATTAQAPTSNHVNLPTKRISRRKMYIPRTLHPKEKSSEKKLKNQLNIRSSSAQDRALYLKEKTSCCLSSHLVRRWCTFEWFYSALDYPWFAKREFEEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEHFLHEEREKLKQYRESVRKHYAELRTGDREGLPTDLARPLSVGQRVIALHPKTREVHDGSVLTVDHDKCRVQFDRPDIGVEFVMDVDCMPLNPLDNMPEALRRQNFAFDKFSLTSKEANKNGNLNFGGPHLEKATSPMNTSVKQGKEDSNHTTSQPKAASADIDRAQQSTYSQPGMVVAHNQARDADIRALSELTRALDKKVSSALLNLRQRNTYPANSLPPWLKQPANSTIYGGLPSSFDSSISQESGSSVAEIVEVSRSKAHMMVNAAIQAMSSRKGGEDAYVRIREALDSIDNQHLPSDSRLSLNRSQEQVNGNLGHRNQLISSTSDPNFTSDSPGPKPNTDTEKTEAQVLSDIISACVMAVHMIQTCTERQYPPAVVAQVLDYAVTSLHPRCPQNVGIYREIQMCMGRIKTQILALVPT >ONI04480 pep chromosome:Prunus_persica_NCBIv2:G6:28524632:28534919:-1 gene:PRUPE_6G323800 transcript:ONI04480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKKSKSVKRYATVNEASPEKYGGGSNKKKQRRKLSDKLGPEWSKGELERFYDAYRKYGKDWRKVAAAVRNRSIEMVEALYNMNRAYLSLPEGTASVVGLKAMMTDHYNVMEGSDSERESNDASGFSRKPQKRKLGKDQLSASKDVFQSHSSASHEGCLSLLKRRRLDGGQPRAVGKRTPRFPVSYAYKKDDRDTYVSPIKKGRRSEGDNDDEVAHVAALLTEASQRGGSPQISQTPYRRPVHVKSSSVQSSERMHPPRGKARANLRDPSMDEDWLEGSIGSKGAETGDYARDSLEGVGTVEINWKGKKFYGKKEKAKDIGNHQFDDGGEACSGTEEGLNVSSRGKDDIEVSNTKGERFSPQGQRKRSKKLYFGDESSCLDALQTLADLSLMMPESTMESGSSVQLKEEGTNLDVEDKFSVPEATSTSQSRNKNKIPSAKHRLPFAISGVEGTNSKKSKLGREPAFDTTAVSESEQQLQSTTKTWKRKRKSSVLKISNADAPIDSNINEPLKIEAFGEEENKPVTKGKRTNQSSTPSKQWKSTRSLEGSLNSDYRRTGTDLTATTAQAPTSNHVNLPTKRISRRKMYIPRTLHPKEKSSEKKLKNQLNIRSSSAQDRALYLKEKTSCCLSSHLVRRWCTFEWFYSALDYPWFAKREFEEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEHFLHEEREKLKQYRESVRKHYAELRTGDREGLPTDLARPLSVGQRVIALHPKTREVHDGSVLTVDHDKCRVQFDRPDIGVEFVMDVDCMPLNPLDNMPEALRRQNFAFDKFSLTSKEANKNGNLNFGGPHLEKATSPMNTSVKQGKEDSNHTTSQPKAASADIDRAQQSTYSQPGMVVAHNQARDADIRALSELTRALDKKVSSALLNLRQRNTYPANSLPPWLKQPANSTIYGGLPSSFDSSISQESGSSVAEIVEVSRSKAHMMVNAAIQAMSSRKGGEDAYVRIREALDSIDNQHLPSDSRLSLNRSQEQVNGNLGHRNQLISSTSDPNFTSDSPGPKPNTDTEKTEAQVLSDIISACVMAVHMIQTCTERQYPPAVVAQVLDYAVTSLHPRCPQNVGIYREIQMCMGRIKTQILALVPT >ONI04485 pep chromosome:Prunus_persica_NCBIv2:G6:28525104:28533867:-1 gene:PRUPE_6G323800 transcript:ONI04485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKKSKSVKRYATVNEASPEKYGGGSNKKKQRKRKLSDKLGPEWSKGELERFYDAYRKYGKDWRKVAAAVRNRSIEMVEALYNMNRAYLSLPEGTASVVGLKAMMTDHYNVMEGSDSERESNDASGFSRKPQKRKLGKDQLSASKDVFQSHSSASHEGCLSLLKRRRLDGGQPRAVGKRTPRFPVSYAYKKDDRDTYVSPIKKGRRSEGDNDDEVAHVAALLTEASQRGGSPQISQTPYRRPVHVKSSSVQSSERMHPPRGKARANLRDPSMDEDWLEGSIGSKGAETGDYARDSLEGVGTVEINWKGKKFYGKKEKAKDIGNHQFDDGGEACSGTEEGLNVSSRGKDDIEVSNTKGERFSPQGQRKRSKKLYFGDESSCLDALQTLADLSLMMPESTMESGSSVQLKEEGTNLDVEDKFSVPEATSTSQSRNKNKIPSAKHRLPFAISGVEGTNSKKSKLGREPAFDTTAVSESEQQLQSTTKTWKRKRKSSVLKISNADAPIDSNINEPLKIEAFGEEENKPVTKGKRTNQSSTPSKQWKSTRSLEGSLNSDYRRTGTDLTATTAQAPTSNHVNLPTKRISRRKMYIPRTLHPKEKSSEKKLKNQLNIRSSSAQDRALYLKEKTSCCLSSHLVRRWCTFEWFYSALDYPWFAKREFEEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEHFLHEEREKLKQYRESVRKHYAELRTGDREGLPTDLARPLSVGQRVIALHPKTREVHDGSVLTVDHDKCRVQFDRPDIGVEFVMDVDCMPLNPLDNMPEALRRQNFAFDKFSLTSKEANKNGNLNFGGPHLEKATSPMNTSVKQGKEDSNHTTSQPKAASADIDRAQQSTYSQPGMVVAHNQARDADIRALSELTRALDKKEALLMELRNTNNNILENQNSGECSLKDSEPFKKHYATVLVQLKEASGQVSSALLNLRQRNTYPANSLPPWLKQPANSTIYGGLPSSFDSSISQESGSSVAEIVEVSRSKAHMMVNAAIQAMSSRKGGEDAYVRIREALDSIDNQHLPSDSRLSLNRSQEQVNGNLGHRNQLISSTSDPNFTSDSPGPKPNTDTEKTEAQVLSDIISACVMAVHMIQTCTERQYPPAVVAQVLDYAVTSLHPRCPQNVGIYREIQMCMGRIKTQILALVPT >ONI04484 pep chromosome:Prunus_persica_NCBIv2:G6:28525104:28533867:-1 gene:PRUPE_6G323800 transcript:ONI04484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKKSKSVKRYATVNEASPEKYGGGSNKKKQRKRKLSDKLGPEWSKGELERFYDAYRKYGKDWRKVAAAVRNRSIEMVEALYNMNRAYLSLPEGTASVVGLKAMMTDHYNVMEGSDSERESNDASGFSRKPQKRKLGKDQLSASKDVFQSHSSASHEGCLSLLKRRRLDGGQPRAVGKRTPRFPVSYAYKKDDRDTYVSPIKKGRRSEGDNDDEVAHVAALLTEASQRGGSPQISQTPYRRPVHVKSSSVQSSERMHPPRGKARANLRDPSMDEDWLEGSIGSKGAETGDYARDSLEGVGTVEINWKGKKFYGKKEKAKDIGNHQFDDGGEACSGTEEGLNVSSRGKDDIEVSNTKGERFSPQGQRKRSKKLYFGDESSCLDALQTLADLSLMMPESTMESGSSVQLKEEGTNLDVEDKFSVPEATSTSQSRNKNKIPSAKHRLPFAISGVEGTNSKKSKLGREPAFDTTAVSESEQQLQSTTKTWKRKRKSSVLKISNADAPIDSNINEPLKIEAFGEEENKPVTKGKRTNQSSTPSKQWKSTRSLEGSLNSDYRRTGTDLTATTAQAPTSNHVNLPTKRISRRKMYIPRTLHPKEKSSEKKLKNQLNIRSSSAQDRALYLKEKTSCCLSSHLVRRWCTFEWFYSALDYPWFAKREFEEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEHFLHEEREKLKQYRESVRKHYAELRTGDREGLPTDLARPLSVGQRVIALHPKTREVHDGSVLTVDHDKCRVQFDRPDIGVEFVMDVDCMPLNPLDNMPEALRRQNFAFDKFSLTSKEANKNGNLNFGGPHLEKATSPMNTSVKQGKEDSNHTTSQPKAASADIDRAQQSTYSQPGMVVAHNQARDADIRALSELTRALDKKEALLMELRNTNNNILENQNSGECSLKDSEPFKKHYATVSSALLNLRQRNTYPANSLPPWLKQPANSTIYGGLPSSFDSSISQESGSSVAEIVEVSRSKAHMMVNAAIQAMSSRKGGEDAYVRIREALDSIDNQHLPSDSRLSLNRSQEQVNGNLGHRNQLISSTSDPNFTSDSPGPKPNTDTEKTEAQVLSDIISACVMAVHMIQTCTERQYPPAVVAQVLDYAVTSLHPRCPQNVGIYREIQMCMGRIKTQILALVPT >ONI04481 pep chromosome:Prunus_persica_NCBIv2:G6:28525104:28533867:-1 gene:PRUPE_6G323800 transcript:ONI04481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKKSKSVKRYATVNEASPEKYGGGSNKKKQRRKLSDKLGPEWSKGELERFYDAYRKYGKDWRKVAAAVRNRSIEMVEALYNMNRAYLSLPEGTASVVGLKAMMTDHYNVMEGSDSERESNDASGFSRKPQKRKLGKDQLSASKDVFQSHSSASHEGCLSLLKRRRLDGGQPRAVGKRTPRFPVSYAYKKDDRDTYVSPIKKGRRSEGDNDDEVAHVAALLTEASQRGGSPQISQTPYRRPVHVKSSSVQSSERMHPPRGKARANLRDPSMDEDWLEGSIGSKGAETGDYARDSLEGVGTVEINWKGKKFYGKKEKAKDIGNHQFDDGGEACSGTEEGLNVSSRGKDDIEVSNTKGERFSPQGQRKRSKKLYFGDESSCLDALQTLADLSLMMPESTMESGSSVQLKEEGTNLDVEDKFSVPEATSTSQSRNKNKIPSAKHRLPFAISGVEGTNSKKSKLGREPAFDTTAVSESEQQLQSTTKTWKRKRKSSVLKISNADAPIDSNINEPLKIEAFGEEENKPVTKGKRTNQSSTPSKQWKSTRSLEGSLNSDYRRTGTDLTATTAQAPTSNHVNLPTKRISRRKMYIPRTLHPKEKSSEKKLKNQLNIRSSSAQDRALYLKEKTSCCLSSHLVRRWCTFEWFYSALDYPWFAKREFEEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEHFLHEEREKLKQYRESVRKHYAELRTGDREGLPTDLARPLSVGQRVIALHPKTREVHDGSVLTVDHDKCRVQFDRPDIGVEFVMDVDCMPLNPLDNMPEALRRQNFAFDKFSLTSKEANKNGNLNFGGPHLEKATSPMNTSVKQGKEDSNHTTSQPKAASADIDRAQQSTYSQPGMVVAHNQARDADIRALSELTRALDKKEALLMELRNTNNNILENQNSGECSLKDSEPFKKHYATVSSALLNLRQRNTYPANSLPPWLKQPANSTIYGGLPSSFDSSISQESGSSVAEIVEVSRSKAHMMVNAAIQAMSSRKGGEDAYVRIREALDSIDNQHLPSDSRLSLNRSQEQVNGNLGHRNQLISSTSDPNFTSDSPGPKPNTDTEKTEAQVLSDIISACVMAVHMIQTCTERQYPPAVVAQVLDYAVTSLHPRCPQNVGIYREIQMCMGRIKTQILALVPT >ONI04482 pep chromosome:Prunus_persica_NCBIv2:G6:28525104:28533867:-1 gene:PRUPE_6G323800 transcript:ONI04482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKKSKSVKRYATVNEASPEKYGGGSNKKKQRRKLSDKLGPEWSKGELERFYDAYRKYGKDWRKVAAAVRNRSIEMVEALYNMNRAYLSLPEGTASVVGLKAMMTDHYNVMEGSDSERESNDASGFSRKPQKRKLGKDQLSASKDVFQSHSSASHEGCLSLLKRRRLDGGQPRAVGKRTPRFPVSYAYKKDDRDTYVSPIKKGRRSEGDNDDEVAHVAALLTEASQRGGSPQISQTPYRRPVHVKSSSVQSSERMHPPRGKARANLRDPSMDEDWLEGSIGSKGAETGDYARDSLEGVGTVEINWKGKKFYGKKEKAKDIGNHQFDDGGEACSGTEEGLNVSSRGKDDIEVSNTKGERFSPQGQRKRSKKLYFGDESSCLDALQTLADLSLMMPESTMESGSSVQLKEEGTNLDVEDKFSVPEATSTSQSRNKNKIPSAKHRLPFAISGVEGTNSKKSKLGREPAFDTTAVSESEQQLQSTTKTWKRKRKSSVLKISNADAPIDSNINEPLKIEAFGEEENKPVTKGKRTNQSSTPSKQWKSTRSLEGSLNSDYRRTGTDLTATTAQAPTSNHVNLPTKRISRRKMYIPRTLHPKEKSSEKKLKNQLNIRSSSAQDRALYLKEKTSCCLSSHLVRRWCTFEWFYSALDYPWFAKREFEEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEHFLHEEREKLKQYRESVRKHYAELRTGDREGLPTDLARPLSVGQRVIALHPKTREVHDGSVLTVDHDKCRVQFDRPDIGVEFVMDVDCMPLNPLDNMPEALRRQNFAFDKFSLTSKEANKNGNLNFGGPHLEKATSPMNTSVKQGKEDSNHTTSQPKAASADIDRAQQSTYSQPGMVVAHNQARDADIRALSELTRALDKKEALLMELRNTNNNILENQNSGECSLKDSEPFKKHYATVLVQLKEASGQVSSALLNLRQRNTYPANSLPPWLKQPANSTIYGGLPSSFDSSISQESGSSVAEIVEVSRSKAHMMVNAAIQAMSSRKGGEDAYVRIREALDSIDNQHLPSDSRLSLNRSQEQVNGNLGHRNQLISSTSDPNFTSDSPGPKPNTDTEKTEAQVLSDIISACVMAVHMIQTCTERQYPPAVVAQVLDYAVTSLHPRCPQNVGIYREIQMCMGRIKTQILALVPT >ONI02582 pep chromosome:Prunus_persica_NCBIv2:G6:21679546:21681976:-1 gene:PRUPE_6G208300 transcript:ONI02582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTPEQEHLVEAFGWAARYTTGHLSPFNFSRRHELVGEVTEVGNKVKKTKVGDRVGVGGGFQQLSKGAFMDSLAKFPAFQGVVRPLLSQRGSATGVVGACHDCDNCNNDLEIYCPKMVLTYSSIYHDGTVTYGGYSDTMVANERYIVHFPDNMPLDGGAPLLCAGITMYSPLKYFGLAEAGKHIGIVGLGGLGHVGVKFAKAFGAKVTVLSTSPSKKDEALKQFGADSFLVSRDQDQMQFVIDVVLIIWNTLNFDYRPPGARLMASLTVSAVHAISPLIDLLKSHGKLIMVGAPDKPLELPVYPLLMGRKLVAGSAVGGMKETQKMIDFAAKHNITAEIEVISMDYVNTTLKRLVKNNVRYRFVIDIGNTLAATKP >ONI05279 pep chromosome:Prunus_persica_NCBIv2:G6:30684868:30688290:-1 gene:PRUPE_6G365600 transcript:ONI05279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSSSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLTCAKSELSKYQNLQHGLTASHGLIAAAAAAAAAHTHHPLHLQSSSSTLHNNNNNLGMGINLISGGGGGRDHYPHQFFPRDRDHQHQQQMMIRSFDAGNNYDASLLAMNVSAAAGGIHGQLTHQFQQPRAAAGDDRRTIDPS >ONI05282 pep chromosome:Prunus_persica_NCBIv2:G6:30684868:30687023:-1 gene:PRUPE_6G365600 transcript:ONI05282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSSSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLTCAKSELSKYQNLQHGLTASHGLIAAAAAAAAAHTHHPLHLQSSSSTLHNNNNNLGMGINLISGGGGGRDHYPHQFFPRDRDHQHQQQMMIRSFDAGNNYDASLLAMNVSAAAGGIHGQLTHQFQQPRAAAGDDRRTIDPS >ONI05280 pep chromosome:Prunus_persica_NCBIv2:G6:30684868:30688291:-1 gene:PRUPE_6G365600 transcript:ONI05280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSSSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLTCAKSELSKYQNLQHGLTASHGLIAAAAAAAAAHTHHPLHLQSSSSTLHNNNNNLGMGINLISGGGGGRDHYPHQFFPRDRDHQHQQQMMIRSFDAGNNYDASLLAMNVSAAAGGIHGQLTHQFQQPRAAAGDDRRTIDPS >ONI05278 pep chromosome:Prunus_persica_NCBIv2:G6:30684692:30688670:-1 gene:PRUPE_6G365600 transcript:ONI05278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSSSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLTCAKSELSKYQNLQHGLTASHGLIAAAAAAAAAHTHHPLHLQSSSSTLHNNNNNLGMGINLISGGGGGRDHYPHQFFPRDRDHQHQQQMMIRSFDAGNNYDASLLAMNVSAAAGGIHGQLTHQFQQPRAAAGDDRRTIDPS >ONI05281 pep chromosome:Prunus_persica_NCBIv2:G6:30684695:30688679:-1 gene:PRUPE_6G365600 transcript:ONI05281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSSSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLTCAKSELSKYQNLQHGLTASHGLIAAAAAAAAAHTHHPLHLQSSSSTLHNNNNNLGMGINLISGGGGGRDHYPHQFFPRDRDHQHQQQMMIRSFDAGNNYDASLLAMNVSAAAGGIHGQLTHQFQQPRAAAGDDRRTIDPS >ONH99675 pep chromosome:Prunus_persica_NCBIv2:G6:3132813:3134253:-1 gene:PRUPE_6G042700 transcript:ONH99675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYRFRLSDMIPNAWFYKLKDMSKTKNHNQTSHHHHSTKKKPPSTSTPPQQKPHLSQTRRYSYYFTTEPNRADKFYNSPVNPKASDTHFPEHPRRLSTRRAKRKAVYKPSPNKHVSTTSSVSSPAYCNCHATIDSVWTDLETNTIQSPDYFGSSSQSSSEPEFHELIPSEYECHQFGGPDPLDGLAPWSSSYNCRVSSSTSDTIIDMKDGASTKKIHDEKLDGFDMISELELPPILTKPMKFDDHHKSTEATKFKRSSSKLKEIKGQRSLTVKIVKEESIRTQKGSQRKANRNSVRKSSANSTTGIRLRGNSPRLKSKKNQPYARKSVSSPSPSSCLKSKNRRLSESFAVVKSSVDPQKDFRDSMVEMIVENNIRESKDLEDLLACYLSLNSNEYHDLIVKAFEQIWFDMADIKM >ONI04813 pep chromosome:Prunus_persica_NCBIv2:G6:29437408:29441666:1 gene:PRUPE_6G340600 transcript:ONI04813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPLKNQTTTPTTPLPSKYEDSPVFNYISNLSPIEPVKSRGNDHTFNSLTFASLPSIFTSPQNVSLGETRFSLRRHHSSEASNPESFQTPNPSDKLESVPVAVEQSYCGTKQPECFTPGSSGTEVTNAIPAENLELAIELSNTLKYSSGSPDRNVPCDATDTVLETAATPASLVQSVVHHSEERHSSFERETHLRRICGMEREKEAAAVDWTRLISDASDLLNFDSTTIEESSDGEDPKTVDPGAICFISNILQDNHNDMEVMESGPIDSSEQYELGKFSNQSEGIGDLKETDEAPAILSRNLLDKLNVDDKCPKCIHSSCKHSSQSYAISRRCLDFERAESHKRKSICDTSGSYSVPLQSNCEVTVVEKKLIRTTAGCDYSSSRLPGIGLHLNALATTSESNLSKVVNHETQASESQVTETPNSKISSTCLTPSEVSRDESCKSEVHIAETPPEACAPVGVESDHSSPENKRLKSQHVGESLACKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCQECFNKPIYENIVVEARRLIESRNPLAFAPKVIGSINATPQFGEETNSTPTSARHKRGCNCRKSVCLKKYCECFQGGAGCSILCRCIGCKNTFGRKDEAEESEIEEHKSRVRKKDAIDVSLGRVKDQDLPMTLPGICRPSGQLTASFSGKPKIFSLHSVLSSPQPEKHLQVIPEEGTLEALDNSCSQPSGVKSTSPNSKRVSPPHSGSEFGSAAWRGGRKLVLRSIPPFPTLASPRKH >ONI00246 pep chromosome:Prunus_persica_NCBIv2:G6:5306482:5309630:-1 gene:PRUPE_6G078100 transcript:ONI00246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHLTKSLLHIKALRQSSKSPWLFLCSSSYCDAPHKKSKLAPLQERRMIDRFRLYAKGGGGGSGCTSTRRSRHDHRGRPDGGNGGRGGDVILECSPRVWDFSGLQPHLIAQRGGHGSSKNKIGTRGADKVAQVPIGTVIHIMKGEIPSVVVSHFSEDLDPWEIPGMPIGDATGSDQHSALTSLNTEEDTGSSSSQSQRTVEESAGKITQVGSTDIHPQSSSSSSESCTEDETEKKEQLQLNVAELTVQGQQITIARGGEGGLGNVSSVKVFDDEESSIRVGLPGSEAVLILELKSIADVSLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNFEDFSLTVADVPGLIKGAHENRGLGHAFLRHIERTKVIAYVVDLASALDGRKGTPPWEQLRDLVLELEYHLEGLSNRPSLIVANKIDEDGAEEVYEELKRRVQDVSIFPVCAVLEEGVPELKTGLRMLVNGEISDRLHLDKIMVD >ONI00242 pep chromosome:Prunus_persica_NCBIv2:G6:5306482:5309679:-1 gene:PRUPE_6G078100 transcript:ONI00242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHLTKSLLHIKALRQSSKSPWLFLCSSSYCDAPHKKSKLAPLQERRMIDRFRLYAKGGGGGSGCTSTRRSRHDHRGRPDGGNGGRGGDVILECSPRVWDFSGLQPHLIAQRGGHGSSKNKIGTRGADKVAQVPIGTVIHIMKGEIPSVVVSHFSEDLDPWEIPGMPIGDATGSDQHSALTSLNTEEDTGSSSSQSQRTVEESAGKITQVGSTDIHPQSSSSSSESCTEDETEKKEQLQLNVAELTVQGQQITIARGGEGGLGNVSSVKVFDDEESSIRVGLPGSEAVLILELKSIADVSLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNFEDFSLTVADVPGLIKGAHENRGLGHAFLRHIERTKVIAYVVDLASALDGRKGTPPWEQLRDLVLELEYHLEGLSNRPSLIVANKIDEDGAEEVYEELKRRVQDVSIFPVCAVLEEGVPELKTGLRMLVNGEISDRLHLDKIMVD >ONI00245 pep chromosome:Prunus_persica_NCBIv2:G6:5306452:5309683:-1 gene:PRUPE_6G078100 transcript:ONI00245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHLTKSLLHIKALRQSSKSPWLFLCSSSYCDAPHKKSKLAPLQERRMIDRFRLYAKGGGGGSGCTSTRRSRHDHRGRPDGGNGGRGGDVILECSPRVWDFSGLQPHLIAQRGGHGSSKNKIGTRGADKVAQVPIGTVIHIMKGEIPSVVVSHFSEDLDPWEIPGMPIGDATGSDQHSALTSLNTEEDTGSSSSQSQRTVEESAGKITQVGSTDIHPQSSSSSSESCTEDETEKKEQLQLNVAELTVQGQQITIARGGEGGLGNVSSVKVFDDEESSIRVGLPGSEAVLILELKSIADVSLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNFEDFSLTVADVPGLIKGAHENRGLGHAFLRHIERTKVIAYVVDLASALDGRKGTPPWEQLRDLVLELEYHLEGLSNRPSLIVANKIDEDGAEEVYEELKRRVQDVSIFPVCAVLEEGVPELKTGLRMLVNGEISDRLHLDKIMVD >ONI00243 pep chromosome:Prunus_persica_NCBIv2:G6:5306482:5309618:-1 gene:PRUPE_6G078100 transcript:ONI00243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHLTKSLLHIKALRQSSKSPWLFLCSSSYCDAPHKKSKLAPLQERRMIDRFRLYAKGGGGGSGCTSTRRSRHDHRGRPDGGNGGRGGDVILECSPRVWDFSGLQPHLIAQRGGHGSSKNKIGTRGADKVAQVPIGTVIHIMKGEIPSVVVSHFSEDLDPWEIPGMPIGDATGSDQHSALTSLNTEEDTGSSSSQSQRTVEESAGKITQVGSTDIHPQSSSSSSESCTEDETEKKEQLQLNVAELTVQGQQITIARGGEGGLGNVSSVKVFDDEESSIRVGLPGSEAVLILELKSIADVSLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNFEDFSLTVADVPGLIKGAHENRGLGHAFLRHIERTKVIAYVVDLASALDGRKGTPPWEQLRDLVLELEYHLEGLSNRPSLIVANKIDEDGAEEVYEELKRRVQDVSIFPVCAVLEEGVPELKTGLRMLVNGEISDRLHLDKIMVD >ONI00248 pep chromosome:Prunus_persica_NCBIv2:G6:5306859:5308828:-1 gene:PRUPE_6G078100 transcript:ONI00248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHLTKSLLHIKALRQSSKSPWLFLCSSSYCDAPHKKSKLAPLQERRMIDRFRLYAKGGGGGSGCTSTRRSRHDHRGRPDANVGGNGGRGGDVILECSPRVWDFSGLQPHLIAQRGGHGSSKNKIGTRGADKVAQVPIGTVIHIMKGEIPSVVVSHFSEDLDPWEIPGMPIGDATGSDQHSALTSLNTEEDTGSSSSQSQRTVEESAGKITQVGSTDIHPQSSSSSSESCTEDETEKKEQLQLNVAELTVQGQQITIARGGEGGLGNVSSVKVFDDEESSIRVGLPGSEAVLILELKSIADVSLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNFEDFSLTVADVPGLIKGAHENRGLGHAFLRHIERTKVIAYVVDLASALDGRKGTPPWEQLRDLVLELEYHLEGLSNRPSLIVANKIDEDGAEEVYEELKRRVQDVSIFPVCAVLEEGVPELKTGLRMLVNGEISDRLHLDKIMVD >ONI00247 pep chromosome:Prunus_persica_NCBIv2:G6:5306859:5308828:-1 gene:PRUPE_6G078100 transcript:ONI00247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHLTKSLLHIKALRQSSKSPWLFLCSSSYCDAPHKKSKLAPLQERRMIDRFRLYAKGGGGGSGCTSTRRSRHDHRGRPDANVGGNGGRGGDVILECSPRVWDFSGLQPHLIAQRGGHGSSKNKIGTRGADKVAQVPIGTVIHIMKGEIPSVVVSHFSEDLDPWEIPGMPIGDATGSDQHSALTSLNTEEDTGSSSSQSQRTVEESAGKITQVGSTDIHPQSSSSSSESCTEDETEKKEQLQLNVAELTVQGQQITIARGGEGGLGNVSSVKVFDDEESSIRVGLPGSEAVLILELKSIADVSLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNFEDFSLTVADVPGLIKGAHENRGLGHAFLRHIERTKVIAYVVDLASALDGRKGTPPWEQLRDLVLELEYHLEGLSNRPSLIVANKIDEDGAEEVYEELKRRVQDVSIFPVCAVLEEGVPELKTGLRMLVNGEISDRLHLDKIMVD >ONI00244 pep chromosome:Prunus_persica_NCBIv2:G6:5306482:5309512:-1 gene:PRUPE_6G078100 transcript:ONI00244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHLTKSLLHIKALRQSSKSPWLFLCSSSYCDAPHKKSKLAPLQERRMIDRFRLYAKGGGGGSGCTSTRRSRHDHRGRPDGGNGGRGGDVILECSPRVWDFSGLQPHLIAQRGGHGSSKNKIGTRGADKVAQVPIGTVIHIMKGEIPSVVVSHFSEDLDPWEIPGMPIGDATGSDQHSALTSLNTEEDTGSSSSQSQRTVEESAGKITQVGSTDIHPQSSSSSSESCTEDETEKKEQLQLNVAELTVQGQQITIARGGEGGLGNVSSVKVFDDEESSIRVGLPGSEAVLILELKSIADVSLVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGNLNFEDFSLTVADVPGLIKGAHENRGLGHAFLRHIERTKVIAYVVDLASALDGRKGTPPWEQLRDLVLELEYHLEGLSNRPSLIVANKIDEDGAEEVYEELKRRVQDVSIFPVCAVLEEGVPELKTGLRMLVNGEISDRLHLDKIMVD >ONI00844 pep chromosome:Prunus_persica_NCBIv2:G6:7606914:7614280:1 gene:PRUPE_6G106900 transcript:ONI00844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAAKVLYIVVVDDEAKREKGKESFRYTRPVLQSSLQLMGCKPRHAFKISQRVFELIRNESSSSVLLPEGTENVSPSKAEACKHLVSGKDDSNKSVPFELYKIRTTVVVARETFLDVVCDALAEYKYVGPNQRADLALACRIRERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVISTDSIRHMMRSFVDDKQNPLLWASTYHAGECLDPVSVAEAKAKKKAKKLAGTLHSLPKDGMPDGSAFGKSDTRMSDVGSSTAELISPKQMAVEGFKAQSEMVIDSLDRLITAWEERRESVIVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHMERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEQLYDPPRNTVTVVDEEYRNQCAANSLSSKGMFQLIQRKGSTRHLMALVNTDGSVAKAWPVDSVDRNGKPIFCHGTDLEIGTAEQVNLQFGLYGISAWPSDGGPSCAGSVDESRADGTEIGRSHPSSCCSSPRISEGPAKELKEENSVHGSDEEVDEEADAGSDEELSDDVDKQDYEEIGSVDEESTKSDEEYDDLAMQDVQGNGYWSGEEKRGDNPKIFPISDDQSADKEGDKYRQNLDLFLRTRHQSFSEPLCSYSSLFLEKNEMTMPCSGNVKIRKRRSLSIPALGRRGSIIRGPILSGAPKC >ONI00843 pep chromosome:Prunus_persica_NCBIv2:G6:7606914:7614280:1 gene:PRUPE_6G106900 transcript:ONI00843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAAKVLYIVVVDDEAKREKGKESFRYTRPVLQSSLQLMGCKPRHAFKISQRVFELIRNESSSSVLLPEGTENVSPSKAEACKHLVSGKDDSNKSVPFELYKIRTTVVVARETFLDVVCDALAEYKYVGPNQRADLALACRIRERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVISTDSIRHMMRSFVDDKQNPLLWASTYHAGECLDPVSVAEAKAKKKAKKLAGTLHSLPKDGMPDGSAFGKSDTRMSDVGSSTAELISPKQMAVEGFKAQSEMVIDSLDRLITAWEERRESVIVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHMERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEQLYDPPRNTVTVVDEEYRNQCAANSLSSKGMFQLIQRKGSTRHLMALVNTDGSVAKAWPVDSVDRNGKPIFCHGTDLEIGTAEQVNLQFGLYGISAWPSDGGPSCAGSVDESRADGTEIGRSHPSSCCSSPRISEGPAKELKEENSVHGSDEEVDEEADAGSDEELSDDVDKQDYEEIGSVDEESTKSDEEYDDLAMQDVQGNGYWSGEEKRGDNPKIFPISDDQSADKEGDKDGIGCFFNLCSRCIGPLFTKLLRPARGGVASG >ONI00845 pep chromosome:Prunus_persica_NCBIv2:G6:7606914:7614280:1 gene:PRUPE_6G106900 transcript:ONI00845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAAKVLYIVVVDDEAKREKGKESFRYTRPVLQSSLQLMGCKPRHAFKISQRVFELIRNESSSSVLLPEGTENVSPSKAEACKHLVSGKDDSNKSVPFELYKIRTTVVVARETFLDVVCDALAEYKYVGPNQRADLALACRIRERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVISTDSIRHMMRSFVDDKQNPLLWASTYHAGECLDPVSVAEAKAKKKAKKLAGTLHSLPKDGMPDGSAFGKSDTRMSDVGSSTAELISPKQMAVEGFKAQSEMVIDSLDRLITAWEERRESVIVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHMERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEQLYDPPRNTVTVVDEEYRNQCAANSLSSKGMFQLIQRKGSTRHLMALVNTDGSVAKAWPVDSVDRNGKPIFCHGTDLEIGTAEQVNLQFGLYGISAWPSDGGPSCAGSVDESRADGTEIGRSHPSSCCSSPRISEGPAKELKEENSVHGSDEEVDEEADAGSDEELSDDVDKQDYEEIGSVDEESTKSDEEYDDLAMQDVQGNGYWSGEEKRGDNPKIFPISDDQSADKEGDKYRQNLDLFLRTRHQSFSEPLCSYSSLFLEKNEMTMPCSGNVKIRKRRSLSIPALGRRGSIIRGPILSGAPKC >ONI02671 pep chromosome:Prunus_persica_NCBIv2:G6:22151345:22152862:-1 gene:PRUPE_6G213800 transcript:ONI02671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDDHPCNTGLVLGLGLTTSSPQESTSPPKAHNPSRFANKPSPNSAPTSATFEPSLTLGLPGEPYHQLVASNYKGGGNSHEEAIDLYRQASSPHSHSAVSSFSSGRVVKRERDLSSEEVEVEKVSSRVSDEDEDGSNARKKLRLTKEQSALLEESFKQHSTLNPKQKQALARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQELKALKLSQPLYMHMPAATLTMCPSCERIGGVGSEGASKSPFSMAPKPHFYNHFTNPSAAC >ONH99109 pep chromosome:Prunus_persica_NCBIv2:G6:877388:881116:-1 gene:PRUPE_6G012300 transcript:ONH99109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLARNHSLSKLKPLLSLSLISHSFTTQTPYSHFTSIPKPHFQNPTNFQPLPFSTIFFKPFSSSKSLPLNREGNYDEATTQAHLVCPGCGVHMQDSDPKNPGFFLKPSKKDPRTYRLGAHLEHVAHEPEFNDSLKRGLIIDPEKLSSESNLVELKQEKPVVCARCHSLRHYGKVKDPTVENLLPDFDFDHTVGRKLALASGTRSVVLMVVDAADFDGSFPKKVAKLVSDTIEEHSAAWKQGPRGNVWAIGAQNAGKSTLINAIGKHVEGKITHLTEAPVPGTTLGIVRVEGVLPGHTKLFDTPGLLNPHQITTRLTREEQSLVNISKELKPRTYRIKDGYSVHIAGLMRLDIEESSVDTVYVTAWASPYLPLHMGKTENACTMVEEHFGRQLQPPIGETRVKELGKWVRKEFHISGNSWDSSSVDIAAAGLGWFAIGLKGEAVLSVWTYDGIDVVLRNALLPNRSYIFEVAGFTVSKIVSKADQASNKPHHRSEKKRKQSDRKESVAC >ONH99110 pep chromosome:Prunus_persica_NCBIv2:G6:877993:881039:-1 gene:PRUPE_6G012300 transcript:ONH99110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLARNHSLSKLKPLLSLSLISHSFTTQTPYSHFTSIPKPHFQNPTNFQPLPFSTIFFKPFSSSKSLPLNREGNYDEATTQAHLVCPGCGVHMQDSDPKNPGFFLKPSKKDPRTYRLGAHLEHVAHEPEFNDSLKRGLIIDPEKLSSESNLVELKQEKPVVCARCHSLRHYGKVKDPTVENLLPDFDFDHTVGRKLALASGTRSVVLMVVDAADFDGSFPKKVAKLVSDTIEEHSAAWKQGKSGNVPRVVLVVTKIDLLPSSLSPTRLEHWVRTRAREGGANKLTSVHLVSAVRDWGLKNLVDDVVSLAGPRGNVWAIGAQNAGKSTLINAIGKHVEGKITHLTEAPVPGTTLGIVRVEGVLPGHTKLFDTPGLLNPHQITTRLTREEQSLVNISKELKPRTYRIKDGYSVHIAGLMRLDIEESSVDTVYVTAWASPYLPLHMGKTENACTMVEEHFGRQLQPPIGETRVKELGKWVRKEFHISGNSWDSSSVDIAAAGLGWFAIGLKGEAVLSVWTYDGIDVVLRNALLPNRSYIFEVAGFTVSKIVSKADQASNKPHHRSEKKRKQSDRKESVAC >ONI03226 pep chromosome:Prunus_persica_NCBIv2:G6:24275569:24277871:1 gene:PRUPE_6G245600 transcript:ONI03226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSTTTQTPANHTNIAQGLYADQVLLLSFSELLANMDLTWMADFLKGMVKPLAATVVVLLAVALSFMQKLGLGGEMIYSIARAFVQLSIIGFVLQFIFTRDNAAWIILAYLFMVTVAGYTAGQRAKHVPRGKYVAGASILAGTSVTMLLLVLLRVFPFTPRYIIPVAGMMVGNSMTVTGVTMKRLRDDIRTQMNLVETALALGATPRQATLQQVKRALVISLSPVLDNAKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNFLIGASTVSSILSTYLCWPAFFTKAYQLETKVFSSE >ONI00641 pep chromosome:Prunus_persica_NCBIv2:G6:6934095:6939529:1 gene:PRUPE_6G099700 transcript:ONI00641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISVVQAEMQRFTAKIVDMMKKEKLYASQGGPIILSQIENEYGNIDKAYGPAAQKYINWAASMAVALDTGVPWVMCQQDDAPASVISTCNGFYCDQWTPKLPDKRPKMWTENWSGWFLSFGGAVPQRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGLLRQPKWGHLKDVHKAIKLCEEAIVATDPKNVSVGGPNLEATVYKTGSVCAAFLANYDTKSDATVTFNGNSYHLPAWSVSILPDCKNVVLNTAKINSAAMIPSFMHHSLIDDVDSSEALGSGWSWINEPVGISKDDAFTRVGLLEQINTTADKSDYLWYSLSIDVTNSETFLQDGSQTVLHVESLGHALHAFINGKLAGSGIGNGNNAKVSVEIPVTFASGKNTIDLLSLTVGLQNYGAFFDKTGAGITGPIQLKGLKNGTTIDLSSQQWTYQIGLKGEDSLPSGSSSQWVSQPTLPKKQPLTWYKAKFNAPDGSNPVAIDFTGLGKGEAWVNGQSIGRYWPTNISPTSGCPDSCNYRGPYDSNKCRKNCGKPSQELYHVPRSWLKPNDNTLVLFEEIGGDPTQISFATRQIDSLCSHVSESHPSPVDMWSPDSKAGRKSGPVLSLECPFPNQVISSIKFASYGKPHGTCGSFSHGQCKSTRALSVVQKACVGSRTCSVEVSVSTFGDPCKGVAKSLAVEASCR >ONI00640 pep chromosome:Prunus_persica_NCBIv2:G6:6932933:6938746:1 gene:PRUPE_6G099700 transcript:ONI00640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSELRIEVVVVLVLGVLATASYCATVTYDHRALVIDGKRRVLVSGSIHYPRSTPEMWPDLIQKSKDGGLDVIETYVFWNFHEPVRGQYDFSGRKDLVKFVKIVAQAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIQLRTDNEPFKAEMQRFTAKIVDMMKKEKLYASQGGPIILSQIENEYGNIDKAYGPAAQKYINWAASMAVALDTGVPWVMCQQDDAPASVISTCNGFYCDQWTPKLPDKRPKMWTENWSGWFLSFGGAVPQRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGLLRQPKWGHLKDVHKAIKLCEEAIVATDPKNVSVGGPNLEATVYKTGSVCAAFLANYDTKSDATVTFNGNSYHLPAWSVSILPDCKNVVLNTAKINSAAMIPSFMHHSLIDDVDSSEALGSGWSWINEPVGISKDDAFTRVGLLEQINTTADKSDYLWYSLSIDVTNSETFLQDGSQTVLHVESLGHALHAFINGKLAGSGIGNGNNAKVSVEIPVTFASGKNTIDLLSLTVGLQNYGAFFDKTGAGITGPIQLKGLKNGTTIDLSSQQWTYQIGLKGEDSLPSGSSSQWVSQPTLPKKQPLTWYKAKFNAPDGSNPVAIDFTGLGKGEAWVNGQSIGRYWPTNISPTSGCPDSCNYRGPYDSNKCRKNCGKPSQEL >ONI00639 pep chromosome:Prunus_persica_NCBIv2:G6:6932651:6939529:1 gene:PRUPE_6G099700 transcript:ONI00639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSELRIEVVVVLVLGVLATASYCATVTYDHRALVIDGKRRVLVSGSIHYPRSTPEMWPDLIQKSKDGGLDVIETYVFWNFHEPVRGQYDFSGRKDLVKFVKIVAQAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIQLRTDNEPFKAEMQRFTAKIVDMMKKEKLYASQGGPIILSQIENEYGNIDKAYGPAAQKYINWAASMAVALDTGVPWVMCQQDDAPASVISTCNGFYCDQWTPKLPDKRPKMWTENWSGWFLSFGGAVPQRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGLLRQPKWGHLKDVHKAIKLCEEAIVATDPKNVSVGGPNLEATVYKTGSVCAAFLANYDTKSDATVTFNGNSYHLPAWSVSILPDCKNVVLNTAKINSAAMIPSFMHHSLIDDVDSSEALGSGWSWINEPVGISKDDAFTRVGLLEQINTTADKSDYLWYSLSIDVTNSETFLQDGSQTVLHVESLGHALHAFINGKLAGSGIGNGNNAKVSVEIPVTFASGKNTIDLLSLTVGLQNYGAFFDKTGAGITGPIQLKGLKNGTTIDLSSQQWTYQIGLKGEDSLPSGSSSQWVSQPTLPKKQPLTWYKAKFNAPDGSNPVAIDFTGLGKGEAWVNGQSIGRYWPTNISPTSGCPDSCNYRGPYDSNKCRKNCGKPSQELYHVPRSWLKPNDNTLVLFEEIGGDPTQISFATRQIDSLCSHVSESHPSPVDMWSPDSKAGRKSGPVLSLECPFPNQVISSIKFASYGKPHGTCGSFSHGQCKSTRALSVVQKACVGSRTCSVEVSVSTFGDPCKGVAKSLAVEASCR >ONI02710 pep chromosome:Prunus_persica_NCBIv2:G6:22413972:22415620:1 gene:PRUPE_6G217100 transcript:ONI02710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFVLELMKAFSCLCATLFWGSTSPFHLLIETTSGLLLDLVFALGPMCTRCLNNPDTEAEIYTIGAGGAWRSIGPPPPGDFNNLLFNNFLHGAVHWIPYGGRSTSSQVIQSFDFEREQFRPLSLPSLLAKNEFLYSLTLEVLGGNKIEFLF >ONI05218 pep chromosome:Prunus_persica_NCBIv2:G6:30533065:30535858:1 gene:PRUPE_6G363100 transcript:ONI05218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFSRSLLVLGFSALLCISVAAAFQSDELLLDDEEFGLEGGLHTKSPDLTYTRSSPPPPSPPTSSTSRKRFSDPDSDSKIQFQLHHAFGDSDFSPAGTFSARLKTWNHGGQTLTKLRFSRNAFTEEEKEKFALLLKGDDFYRIRLPSSVLNPPGRDYVISSVKARCLPRDGLDEHFVIHTDGINILAVNYGSPGACPYPRQMKLPGKWSFNSHTVLKNSEQAPRAPVFAEEILGGELGEGEVVPPPERSLWAKYWMYLIPLGLIVMNAITQAMNMAEEPGAGQPAGQAQQPAAVQRGSSSAVRRR >ONI02103 pep chromosome:Prunus_persica_NCBIv2:G6:18174623:18180678:1 gene:PRUPE_6G177000 transcript:ONI02103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNVISRSTDEYTKERSQDLQRVFRNFDPNIRTQEKAVEYVRACNAAKLEKIFARPFVGAMDGHIDSISCMAKNPNHLTKIFSGSMDGDIRLWDIASRRTVRQFPGHQGAVQGLAVSTGGRVLVSCGTDSTVRLWGVPVSTLLEEDVSSDKSAVPLETYVWKNAFRAVDHQWNFDRFATGGAGVDIWKHNRSAPEQSFEWGTDTVVSIKFNPGQPDILATSASDRSIAIYDLRMGKPAAKFIMRTRTNSISWNPMEPINFTAANEDSNCYSFDSRKLNEAKCVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYVISGSDDTNLRLWKAKASEQLGVLLPREQKKHEYNEAVKNRYKHLPEVKRIVRHRHLPKPVYKAAATLREMTESRRKKHEKRKAHSAPGSIIEEPLRKRKIIKVE >ONI02102 pep chromosome:Prunus_persica_NCBIv2:G6:18174750:18180700:1 gene:PRUPE_6G177000 transcript:ONI02102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNVISRSTDEYTKERSQDLQRVFRNFDPNIRTQEKAVEYVRACNAAKLEKIFARPFVGAMDGHIDSISCMAKNPNHLTKIFSGSMDGDIRLWDIASRRTVRQFPGHQGAVQGLAVSTGGRVLVSCGTDSTVRLWGVPVSTLLEEDVSSDKSAVPLETYVWKNAFRAVDHQWNFDRFATGGAGVDIWKHNRSAPEQSFEWGTDTVVSIKFNPGQPDILATSASDRSIAIYDLRMGKPAAKFIMRTRTNSISWNPMEPINFTAANEDSNCYSFDSRKLNEAKCVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYVISGSDDTNLRLWKAKASEQLGVLLPREQKKHEYNEAVKNRYKHLPEVKRIVRHRHLPKPVYKAAATLREMTESRRKKHEKRKAHSAPGSIIEEPLRKRKIIKVE >ONI02104 pep chromosome:Prunus_persica_NCBIv2:G6:18174769:18180678:1 gene:PRUPE_6G177000 transcript:ONI02104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNVISRSTDEYTKERSQDLQRVFRNFDPNIRTQEKAVEYVRACNAAKLEKIFARPFVGAMDGHIDSISCMAKNPNHLTKIFSGSMDGDIRLWDIASRRTVRQFPGHQGAVQGLAVSTGGRVLVSCGTDSTVRLWGVPVSTLLEEDVSSDKSAVPLETYVWKNAFRAVDHQWNFDRFATGGAGVDIWKHNRSAPEQSFEWGTDTVVSIKFNPGQPDILATSASDRSIAIYDLRMGKPAAKFIMRTRTNSISWNPMEPINFTAANEDSNCYSFDSRKLNEAKCVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYVISGSDDTNLRLWKAKASEQLGVLLPREQKKHEYNEAVKNRYKHLPEVKRIVRHRHLPKPVYKAAATLREMTESRRKKHEKRKAHSAPGSIIEEPLRKRKIIKVE >ONI02101 pep chromosome:Prunus_persica_NCBIv2:G6:18174769:18180678:1 gene:PRUPE_6G177000 transcript:ONI02101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNVISRSTDEYTKERSQDLQRVFRNFDPNIRTQEKAVEYVRACNAAKLEKIFARPFVGAMDGHIDSISCMAKNPNHLTKIFSGSMDGDIRLWDIASRRTVRQFPGHQGAVQGLAVSTGGRVLVSCGTDSTVRLWGVPVSTLLEEDVSSDKSAVPLETYVWKNAFRAVDHQWNFDRFATGGAGVDIWKHNRSAPEQSFEWGTDTVVSIKFNPGQPDILATSASDRSIAIYDLRMGKPAAKFIMRTRTNSISWNPMEPINFTAANEDSNCYSFDSRKLNEAKCVHKDHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYVISGSDDTNLRLWKAKASEQLGVLLPREQKKHEYNEAVKNRYKHLPEVKRIVRHRHLPKPVYKAAATLREMTESRRKKHEKRKAHSAPGSIIEEPLRKRKIIKVE >ONH99625 pep chromosome:Prunus_persica_NCBIv2:G6:2997236:2998106:-1 gene:PRUPE_6G040000 transcript:ONH99625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRVEEEKEHTKSEMLPTYPHHHHRQQHHLVLIHGIGHGAWCWYKIRCLLEASGYKVTCLDLKGAGIDQSDPNTILTFQDYNKPLINFLSNLPLDEKVVLVGHSVGGMSLTDAIHRFANKIHMAIYVAATMLEHGFSTHQDFKDVRFISSFVFFG >ONH99624 pep chromosome:Prunus_persica_NCBIv2:G6:2996703:2998106:-1 gene:PRUPE_6G040000 transcript:ONH99624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRVEEEKEHTKSEMLPTYPHHHHRQQHHLVLIHGIGHGAWCWYKIRCLLEASGYKVTCLDLKGAGIDQSDPNTILTFQDYNKPLINFLSNLPLDEKVVLVGHSVGGMSLTDAIHRFANKIHMAIYVAATMLEHGFSTHQDFKDGKPDLSAFGNVVKFIYGLGPDQPPTSAIMKENFQHQILYQMSTLENLNEFFQILNSQMSKSTPERKPEVCQTGDP >ONH99623 pep chromosome:Prunus_persica_NCBIv2:G6:2995738:2998105:-1 gene:PRUPE_6G040000 transcript:ONH99623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRVEEEKEHTKSEMLPTYPHHHHRQQHHLVLIHGIGHGAWCWYKIRCLLEASGYKVTCLDLKGAGIDQSDPNTILTFQDYNKPLINFLSNLPLDEKVVLVGHSVGGMSLTDAIHRFANKIHMAIYVAATMLEHGFSTHQDFKDGKPDLSAFGNVVKFIYGLGPDQPPTSAIMKENFQHQILYQMSTLEDSTLASMLLRPGPVLALQGARFERTADAADCVPRVYIKTMQDHVIKPEQQDAMIKRWPPSQVFVLDSDHSPFFSTPFVLFGLLVKALASIKCS >ONI05163 pep chromosome:Prunus_persica_NCBIv2:G6:30370654:30372416:-1 gene:PRUPE_6G359200 transcript:ONI05163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYNRLLLHRLADIFGFSHESVGEGDARHLILERCPETSIPSILVGDILWQYDEPDSPTTSHLLLKRTEAPPVVRKKPPLFQHSLEEREAAYLAARERIFSRDLGEVKESVKQKPRSVPVVARRMIAHALGQRINPSSQDSLHEDCKVLGGHTSELNVQDKVEDEPSLTLEAFQKEGNMNSCGEVKKNSRSNNAPPLTLSERKTPKKQGDKISGGCNSISQNGRSGHGVDEEYFKREHLGAAKRMFAHALGMRSGRNDVLSKCSERKHVDTE >ONI05165 pep chromosome:Prunus_persica_NCBIv2:G6:30370654:30372416:-1 gene:PRUPE_6G359200 transcript:ONI05165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYNRLLLHRLADIFGFSHESVGEGDARHLILERCPETSIPSILVGDILWQYDEPDSPTTSHLLLKRTEAPPVVRKKPPLFQHSLEEREAAYLAARERIFSRDLGEVKESVKQKPRSVPVVARRMIAHALGQRINPSSQDSLHEDCKVLGGHTSELNVQDKVEDEPSLTLEAFQKEGNMNSCGEVKKNSRSNNAPPLTLSERKTPKKQGDKISGGCNSISQNGRSGHGVDEEYFKREHLGAAKRMFAHALGMRSGRNDVLSKCSERKHVDTE >ONI05164 pep chromosome:Prunus_persica_NCBIv2:G6:30370043:30373284:-1 gene:PRUPE_6G359200 transcript:ONI05164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYNRLLLHRLADIFGFSHESVGEGDARHLILERCPETSIPSILVGDILWQYDEPDSPTTSHLLLKRTEAPPVVRKKPPLFQHSLEEREAAYLAARERIFSRDLGEVKESVKQKPRSVPVVARRMIAHALGQRINPSSQDSLHEDCKVLGGHTSELNVQDKVEDEPSLTLEAFQKEGNMNSCGEVKKNSRSNNAPPLTLSERKTPKKQGDKISGGCNSISQNGRSGHGVDEEYFKREHLGAAKRMFAHALGMRSGRNDVLSKCSERKHVDTE >ONI05162 pep chromosome:Prunus_persica_NCBIv2:G6:30370043:30373450:-1 gene:PRUPE_6G359200 transcript:ONI05162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYNRLLLHRLADIFGFSHESVGEGDARHLILERCPETSIPSILVGDILWQYDEPDSPTTSHLLLKRTEAPPVVRKKPPLFQHSLEEREAAYLAARERIFSRDLGEVKESVKQKPRSVPVVARRMIAHALGQRINPSSQDSLHEDCKVLGGHTSELNVQDKVEDEPSLTLEAFQKEGNMNSCGEVKKNSRSNNAPPLTLSERKTPKKQGDKISGGCNSISQNGRSGHGVDEEYFKREHLGAAKRMFAHALGMRSGRNDVLSKCSERKHVDTE >ONI05160 pep chromosome:Prunus_persica_NCBIv2:G6:30370043:30373766:-1 gene:PRUPE_6G359200 transcript:ONI05160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMTQFAMVEELASLVKDNLSCKHLILSVEEALVDFLQSDTSFSHESVGEGDARHLILERCPETSIPSILVGDILWQYDEPDSPTTSHLLLKRTEAPPVVRKKPPLFQHSLEEREAAYLAARERIFSRDLGEVKESVKQKPRSVPVVARRMIAHALGQRINPSSQDSLHEDCKVLGGHTSELNVQDKVEDEPSLTLEAFQKEGNMNSCGEVKKNSRSNNAPPLTLSERKTPKKQGDKISGGCNSISQNGRSGHGVDEEYFKREHLGAAKRMFAHALGMRSGRNDVLSKCSERKHVDTE >ONI05161 pep chromosome:Prunus_persica_NCBIv2:G6:30370654:30373499:-1 gene:PRUPE_6G359200 transcript:ONI05161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMTQFAMVEELASLVKDNLSCKHLILSVEEALVDFLQSDTSSSGVLELEPMNSYNRLLLHRLADIFGFSHESVGEGDARHLILERCPETSIPSILVGDILWQYDEPDSPTTSHLLLKRTEAPPVVRKKPPLFQHSLEEREAAYLAARERIFSRDLGEVKESVKQKPRSVPVVARRMIAHALGQRINPSSQDSLHEDCKVLGGHTSELNVQDKVEDEPSLTLEAFQKEGNMNSCGEVKKNSRSNNAPPLTLSERKTPKKQGDKISGGCNSISQNGRSGHGVDEEYFKREHLGAAKRMFAHALGMRSGRNDVLSKCSERKHVDTE >ONI00730 pep chromosome:Prunus_persica_NCBIv2:G6:7214867:7215605:1 gene:PRUPE_6G103200 transcript:ONI00730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATNSLADRYRITSIQDLLSFLLGCFIFLGCIGLPIFFICQAIMTNPETYKVPEFNVTNAQLNRLNYTETNHTLSYNLALNITLTNPNKKILFKLTDIQVIAYHQNKRFGLVTLMDRQTSFDQNPKSSSVFNNAVIQGWKPMMFEEPVLPNADLYNIDVVIAFREKGAGLSGQVMCNLKLPLSFNGTSWNCYKTRKCSKVFYYRGS >ONH99507 pep chromosome:Prunus_persica_NCBIv2:G6:2545404:2550248:1 gene:PRUPE_6G033400 transcript:ONH99507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSGGGRYMSYSPSPSAPHSPHLSGLRSASSAALVAAEQEKYLSELLAERHKLGPFLPLLPHCCRLINQEILRVTTLLGNASVLGQSGLEHASPLASGGMFSNGGADVNGWASRFQSEMSGLLQPSSTQNWLNSPSSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEATTECRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIIDARLSQAREVLEDLLRPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFHNSLGMKRAKTRG >ONH99508 pep chromosome:Prunus_persica_NCBIv2:G6:2545626:2549245:1 gene:PRUPE_6G033400 transcript:ONH99508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSGGGRYMSYSPSPSAPHSPHLSGLRSASSAALVAAEQEKYLSELLAERHKLGPFLPLLPHCCRLINQEILRVTTLLGNASVLGQSGLEHASPLASGGMFSNGGADVNGWASRFQSEMSGLLQPSSTQNWLNSPSSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEATTECRVLIRGRGSIKDPTRVIMRLFFFDDCTDFTFMHTKRRNDERKARV >ONH99506 pep chromosome:Prunus_persica_NCBIv2:G6:2545404:2550248:1 gene:PRUPE_6G033400 transcript:ONH99506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSGGGRYMSYSPSPSAPHSPHLSGLRSASSAALVAAEQEKYLSELLAERHKLGPFLPLLPHCCRLINQEILRVTTLLGNASVLGQSGLEHASPLASGGMFSNGGADVNGWASRFQSEVLTYLDSTAQMSGLLQPSSTQNWLNSPSSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEATTECRVLIRGRGSIKDPTREEMMRGKPGYEHLNEPLHILVEAELPVEIIDARLSQAREVLEDLLRPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFHNSLGMKRAKTRG >ONI04083 pep chromosome:Prunus_persica_NCBIv2:G6:27382831:27388940:-1 gene:PRUPE_6G301600 transcript:ONI04083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMRLLLFLAFCSAGIHMIFSETDPGDAAVFISLKGAWTNLPPSWKSNDPCGMSWEGVTCNNSRVTALGLSAMDLKGHIEGDIGGLSELRSLDLSFNKGLTGSLSPRLGDLSKLNILILAGCGFSGNIPDELGNLGELTFLALNTNNFTGKIPPSLGKLSKLFWLDLADNQLTGTLPISTPVTSGLDKLLKAKHFHFNKNQLSGTIPPRLFSSEMILIHILFDDNQFTGDIPSTIALVQTLEVLRLDRNDLTGNVPSNISNLTNVNELNLAHNNLSGPLPDLTGMISLNYVDLSNNSFDPSEAPLWFSNLPSITTIVLEFGALEGTVPEKMFGIASLQQVKLKNNAFNDTLNLGDSISPQLQLVDLQNNQIPKITLGYEYKHTLILVGNPVCTNGTSSNSFCQLPQQDTETYTTSSNCARITCPDNQKLSPQSCQCAYPFEGTLYFRAPSFRELSNVNTFHSLEMSLWDKLGLTPGSVSLENPFFDINDYLQIHLALFPPTGIYFNRSEIIRIGFDLSNQTYKPPEEFGPYYFIPAPYTFPGGNKSSMGTGVIIGISVSCVVLVMGLVVVGIYAIRQKKRAERAIGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSDSNEIGSGGYGKVYRGMISDGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVGLLGFCFEQGEQMLVYEFMPNGTLRESLSGRSGIHLDWKRRLRITLGSARGLAYLHELANPPIIHRDVKSTNILLDEHLTAKVADFGLSKLVADGGKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITARQPIEKGKYIVREVRLMMDKNDEEHYGLRELMDRSIRNSGTLIGFGRFLELALQCVEESAADRPTMSELVKAIETILQNDGMNTNSTSASSSATEFAASKGAPKHPYNDGLPKKEVNDSTGAFDYSGGYAVSAKIEPK >ONI04086 pep chromosome:Prunus_persica_NCBIv2:G6:27382863:27388940:-1 gene:PRUPE_6G301600 transcript:ONI04086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWEGVTCNNSRVTALGLSAMDLKGHIEGDIGGLSELRSLDLSFNKGLTGSLSPRLGDLSKLNILILAGCGFSGNIPDELGNLGELTFLALNTNNFTGKIPPSLGKLSKLFWLDLADNQLTGTLPISTPVTSGLDKLLKAKHFHFNKNQLSGTIPPRLFSSEMILIHILFDDNQFTGDIPSTIALVQTLEVLRLDRNDLTGNVPSNISNLTNVNELNLAHNNLSGPLPDLTGMISLNYVDLSNNSFDPSEAPLWFSNLPSITTIVLEFGALEGTVPEKMFGIASLQQVKLKNNAFNDTLNLGDSISPQLQLVDLQNNQIPKITLGYEYKHTLILVGNPVCTNGTSSNSFCQLPQQDTETYTTSSNCARITCPDNQKLSPQSCQCAYPFEGTLYFRAPSFRELSNVNTFHSLEMSLWDKLGLTPGSVSLENPFFDINDYLQIHLALFPPTGIYFNRSEIIRIGFDLSNQTYKPPEEFGPYYFIPAPYTFPGGNKSSMGTGVIIGISVSCVVLVMGLVVVGIYAIRQKKRAERAIGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSDSNEIGSGGYGKVYRGMISDGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVGLLGFCFEQGEQMLVYEFMPNGTLRESLSGRSGIHLDWKRRLRITLGSARGLAYLHELANPPIIHRDVKSTNILLDEHLTAKVADFGLSKLVADGGKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITARQPIEKGKYIVREVRLMMDKNDEEHYGLRELMDRSIRNSGTLIGFGRFLELALQCVEESAADRPTMSELVKAIETILQNDGMNTNSTSASSSATEFAASKGAPKHPYNDGLPKKEVNDSTGAFDYSGGYAVSAKIEPK >ONI04085 pep chromosome:Prunus_persica_NCBIv2:G6:27382863:27388952:-1 gene:PRUPE_6G301600 transcript:ONI04085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMRLLLFLAFCSAGIHMIFSETDPGDAAVFISLKGAWTNLPPSWKSNDPCGMSWEGVTCNNSRVTALGLSAMDLKGHIEGDIGGLSELRSLDLSFNKGLTGSLSPRLGDLSKLNILILAGCGFSGNIPDELGNLGELTFLALNTNNFTGKIPPSLGKLSKLFWLDLADNQLTGTLPISTPVTSGLDKLLKAKHFHFNKNQLSGTIPPRLFSSEMILIHILFDDNQFTGDIPSTIALVQTLEVLRLDRNDLTGNVPSNISNLTNVNELNLAHNNLSGPLPDLTGMISLNYVDLSNNSFDPSEAPLWFSNLPSITTIVLEFGALEGTVPEKMFGIASLQQVKLKNNAFNDTLNLGDSISPQLQLVDLQNNQIPKITLGYEYKHTLILVGNPVCTNGTSSNSFCQLPQQDTETYTTSSNCARITCPDNQKLSPQSCQCAYPFEGTLYFRAPSFRELSNVNTFHSLEMSLWDKLGLTPGSVSLENPFFDINDYLQIHLALFPPTGIYFNRSEIIRIGFDLSNQTYKPPEEFGPYYFIPAPYTFPGGNKSSMGTGVIIGISVSCVVLVMGLVVVGIYAIRQKKRAERAIGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSDSNEIGSGGYGKVYRGMISDGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVGLLGFCFEQGEQMLVYEFMPNGTLRESLSGRSGIHLDWKRRLRITLGSARGLAYLHELANPPIIHRDVKSTNILLDEHLTAKVADFGLSKLVADGGKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITARQPIEKGKYIVREVRLMMDKNDEEHYGLRELMDRSIRNSGTLIGFGRFLELALQCVEESAADRPTMSELVKAIETILQNDGMNTNSTSASSSATEFAASKGAPKHPYNDGLPKKEVNDSTGAFDYSGGYAVSAKIEPK >ONI04084 pep chromosome:Prunus_persica_NCBIv2:G6:27382863:27388900:-1 gene:PRUPE_6G301600 transcript:ONI04084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMRLLLFLAFCSAGIHMIFSETDPGDAAVFISLKGAWTNLPPSWKSNDPCGMSWEGVTCNNSRVTALGLSAMDLKGHIEGDIGGLSELRSLDLSFNKGLTGSLSPRLGDLSKLNILILAGCGFSGNIPDELGNLGELTFLALNTNNFTGKIPPSLGKLSKLFWLDLADNQLTGTLPISTPVTSGLDKLLKAKHFHFNKNQLSGTIPPRLFSSEMILIHILFDDNQFTGDIPSTIALVQTLEVLRLDRNDLTGNVPSNISNLTNVNELNLAHNNLSGPLPDLTGMISLNYVDLSNNSFDPSEAPLWFSNLPSITTIVLEFGALEGTVPEKMFGIASLQQVKLKNNAFNDTLNLGDSISPQLQLVDLQNNQIPKITLGYEYKHTLILVGNPVCTNGTSSNSFCQLPQQDTETYTTSSNCARITCPDNQKLSPQSCQCAYPFEGTLYFRAPSFRELSNVNTFHSLEMSLWDKLGLTPGSVSLENPFFDINDYLQIHLALFPPTGIYFNRSEIIRIGFDLSNQTYKPPEEFGPYYFIPAPYTFPGGNKSSMGTGVIIGISVSCVVLVMGLVVVGIYAIRQKKRAERAIGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSDSNEIGSGGYGKVYRGMISDGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVGLLGFCFEQGEQMLVYEFMPNGTLRESLSGRSGIHLDWKRRLRITLGSARGLAYLHELANPPIIHRDVKSTNILLDEHLTAKVADFGLSKLVADGGKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITARQPIEKGKYIVREVRLMMDKNDEEHYGLRELMDRSIRNSGTLIGFGRFLELALQCVEESAADRPTMSELVKAIETILQNDGMNTNSTSASSSATEFAASKGAPKHPYNDGLPKKEVNDSTGAFDYSGGYAVSAKIEPK >ONI01185 pep chromosome:Prunus_persica_NCBIv2:G6:9704784:9709337:1 gene:PRUPE_6G126300 transcript:ONI01185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLFKCSTGIGVRLLFPFAHSELPLNFHQINSAINGNYHHLGLRNKKPKLPSARSLLWHSSSSFCTAASATDASATGASGAVSDASIDEAVPPVSDVEDQKQRIKDAADVLDIRVGRVLKAWRHEEADSLYVEEVDIGEPEPRTICSGLVKYVPLDHLQDRNVVVLANLKPRNMRGVKSSGMLLAASDASHENVELLVPPEGSLPGQRVWFGSEDDHQNQPPPATANQIQKKKIWELVQPHLKTDDSCIAILGGHVMQTSAGVVISSSLKNANIS >ONH99862 pep chromosome:Prunus_persica_NCBIv2:G6:3798310:3801820:-1 gene:PRUPE_6G054200 transcript:ONH99862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSADAEHREDEEAPLAGDDEDTGAQVAPIVRLEEVAVTTGEEDEDAILDLKAKLYRFDKDGNQWKERGAGTVKLLKHNKTGKVRLVMRQSKTLKICANHLVLPKMTVQEHAGNEKSSVWHATDFADGELKEELFCIRFASIENNRLFMEKFQEIAESLSTEEENEDATEAAGLLKNLSVEERKTKEKAEEEAPAATKSGTVKEDAPAEEKVEEKAEGKVPAAAEEEKKDT >ONI01467 pep chromosome:Prunus_persica_NCBIv2:G6:11427264:11434372:-1 gene:PRUPE_6G141200 transcript:ONI01467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVELQQRERERERERERMGVPSFFRWLGNKYPKAVVKAIVDQRDESTSSPNPNGMEFDNLYLDMNGIIHPCFHPESDEDGVQPTSFEEVFINIFEYIDTLFNIVRPRKLLYMAIDGVAPRAKMNQQRARRFKSAKDKELADAEEEKLRRQFELEGKQVLQKKENEVSDSNIITPGTDFMYKLSNALGSYISLRLSNDSGWRHIKVILSDANVPGEGEHKIMSFIRQQRNFPSYDPNTRHCLYGLDADLIMLALATHEVHFSILREDVLNQEQQHANCQSVREMTPSSVKSGNRKASIVKKPYQLLHVWILREYLELDMQINDPPENFKFDLERIIDDFIFMCFFAGNDFLPHMPTLEIHENAIDLLMTIYKKEFKNLGGYMVDMLRVNDKKSGYIKLSRVEKFILLVGAYEDKIFKKRSELRERKLRRLCLNKDSLEEEIDAGSSATDSSSTCALPNGEESEALSLGMFENMHSQIIENTKEFKQKLKDSIRRKSDLFKNGDLGTDKVRYAAAGYKERYYKYKFSAEGPGDIESKRKEVVKYYTEGLLWVLLYYFSGPPSWTWFYPFHYAPFASDLKGLGQVKSKFEKGSPFLPIDTLMAVLPPRSAHALPKVYQTLMTDESSTIIDFYPSDFEIDMDGKRFTWQGICKLPFIEEERLLSETRKLEKELVGEDRDRNKEKVDQLFVLSTDNMASQIVALFTKENCPIKIDNSLCSGISGFLRLHENASSVKGGDHKLQEDSVLCVGYELPNDGPHVPRLLAGVEFPVKTITEDDLMETKLWHEYQGSTPSSSQLVQERFRKPDNMGNQGFQNNGRFTSSSPNMIHKFSGTGWGCGRGKAIASPDFGDRFHAPGRIHCERVVSSGSYSANGAVAYKKAALHETPVCNEKWRVAGSGSGDQIRTLRDLNISKSSSSQGWRPNGRVQSANNVFWPSRNGFTPDTNHAWQHGQCRGRGHQDSSKHYSPFSANRSSQASGSAHFVPRSWDTEGKPRW >ONI01468 pep chromosome:Prunus_persica_NCBIv2:G6:11427190:11434412:-1 gene:PRUPE_6G141200 transcript:ONI01468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVELQQRERERERERERMGVPSFFRWLGNKYPKAVVKAIVDQRDESTSSPNPNGMEFDNLYLDMNGIIHPCFHPESDEDGVQPTSFEEVFINIFEYIDTLFNIVRPRKLLYMAIDGVAPRAKMNQQRARRFKSAKDKELADAEEEKLRRQFELEGKQVLQKKENEVSDSNIITPGTDFMYKLSNALGSYISLRLSNDSGWRHIKVILSDANVPGEGEHKIMSFIRQQRNFPSYDPNTRHCLYGLDADLIMLALATHEVHFSILREDVLNQEQQHANCQSVREMTPSSVKSGNRKASIVKKPYQLLHVWILREYLELDMQINDPPENFKFDLERIIDDFIFMCFFAGNDFLPHMPTLEIHENAIDLLMTIYKKEFKNLGGYMVDMLRVNDKKSGYIKLSRVEKFILLVGAYEDKIFKKRSELRERKLRRLCLNKDSLEEEIDAGSSATDSSSTCALPNGEESEALSLGMFENMHSQIIENTKEFKQKLKDSIRRKSDLFKNGDLGTDKVRYAAAGYKERYYKYKFSAEGPGDIESKRKEVVKYYTEGLLWVLLYYFSGPPSWTWFYPFHYAPFASDLKGLGQVKSKFEKGSPFLPIDTLMAVLPPRSAHALPKVYQTLMTDESSTIIDFYPSDFEIDMDGKRFTWQGICKLPFIEEERLLSETRKLEKELVGEDRDRNKEKVDQLFVLSTDNMASQIVALFTKENCPIKIDNSLCSGISGFLRLHENASSVKGGDHKLQEDSVLCVGYELPNDGPHVPRLLAGVEFPVKTITEDDLMETKLWHEYQGSTPSSSSQLVQERFRKPDNMGNQGFQNNGRFTSSSPNMIHKFSGTGWGCGRGKAIASPDFGDRFHAPGRIHCERVVSSGSYSANGAVAYKKAALHETPVCNEKWRVAGSGSGDQIRTLRDLNISKSSSSQGWRPNGRVQSANNVFWPSRNGFTPDTNHAWQHGQCRGRGHQDSSKHYSPFSANRSSQASGSAHFVPRSWDTEGKPRW >ONI01470 pep chromosome:Prunus_persica_NCBIv2:G6:11427264:11434412:-1 gene:PRUPE_6G141200 transcript:ONI01470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVELQQRERERERERERMGVPSFFRWLGNKYPKAVVKAIVDQRDESTSSPNPNGMEFDNLYLDMNGIIHPCFHPESDEDGVQPTSFEEVFINIFEYIDTLFNIVRPRKLLYMAIDGVAPRAKMNQQRARRFKSAKDKELADAEEEKLRRQFELEGKQVLQKKENEVSDSNIITPGTDFMYKLSNALGSYISLRLSNDSGWRHIKVILSDANVPGEGEHKIMSFIRQQRNFPSYDPNTRHCLYGLDADLIMLALATHEVHFSILREDVLNQEQQHANCQSVREMTPSSVKSGNRKASIVKKPYQLLHVWILREYLELDMQINDPPENFKFDLERIIDDFIFMCFFAGNDFLPHMPTLEIHENAIDLLMTIYKKEFKNLGGYMVDMLRQVNDKKSGYIKLSRVEKFILLVGAYEDKIFKKRSELRERKLRRLCLNKDSLEEEIDAGSSATDSSSTCALPNGEESEALSLGMFENMHSQIIENTKEFKQKLKDSIRRKSDLFKNGDLGTDKVRYAAAGYKERYYKYKFSAEGPGDIESKRKEVVKYYTEGLLWVLLYYFSGPPSWTWFYPFHYAPFASDLKGLGQVKSKFEKGSPFLPIDTLMAVLPPRSAHALPKVYQTLMTDESSTIIDFYPSDFEIDMDGKRFTWQGICKLPFIEEERLLSETRKLEKELVGEDRDRNKEKVDQLFVLSTDNMASQIVALFTKENCPIKIDNSLCSGISGFLRLHENASSVKGGDHKLQEDSVLCVGYELPNDGPHVPRLLAGVEFPVKTITEDDLMETKLWHEYQGSTPSSSSQLVQERFRKPDNMGNQGFQNNGRFTSSSPNMIHKFSGTGWGCGRGKAIASPDFGDRFHAPGRIHCERVVSSGSYSANGAVAYKKAALHETPVCNEKWRVAGSGSGDQIRTLRDLNISKSSSSQGWRPNGRVQSANNVFWPSRNGFTPDTNHAWQHGQCRGRGHQDSSKHYSPFSANRSSQASGSAHFVPRSWDTEGKPRW >ONI01469 pep chromosome:Prunus_persica_NCBIv2:G6:11427264:11434369:-1 gene:PRUPE_6G141200 transcript:ONI01469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVELQQRERERERERERMGVPSFFRWLGNKYPKAVVKAIVDQRDESTSSPNPNGMEFDNLYLDMNGIIHPCFHPESDEDGVQPTSFEEVFINIFEYIDTLFNIVRPRKLLYMAIDGVAPRAKMNQQRARRFKSAKDKELADAEEEKLRRQFELEGKQVLQKKENEVSDSNIITPGTDFMYKLSNALGSYISLRLSNDSGWRHIKVILSDANVPGEGEHKIMSFIRQQRNFPSYDPNTRHCLYGLDADLIMLALATHEVHFSILREDVLNQEQQHANCQSVREMTPSSVKSGNRKASIVKKPYQLLHVWILREYLELDMQINDPPENFKFDLERIIDDFIFMCFFAGNDFLPHMPTLEIHENAIDLLMTIYKKEFKNLGGYMVDMLRQVNDKKSGYIKLSRVEKFILLVGAYEDKIFKKRSELRERKLRRLCLNKDSLEEEIDAGSSATDSSSTCALPNGEESEALSLGMFENMHSQIIENTKEFKQKLKDSIRRKSDLFKNGDLGTDKVRYAAAGYKERYYKYKFSAEGPGDIESKRKEVVKYYTEGLLWVLLYYFSGPPSWTWFYPFHYAPFASDLKGLGQVKSKFEKGSPFLPIDTLMAVLPPRSAHALPKVYQTLMTDESSTIIDFYPSDFEIDMDGKRFTWQGICKLPFIEEERLLSETRKLEKELVGEDRDRNKEKVDQLFVLSTDNMASQIVALFTKENCPIKIDNSLCSGISGFLRLHENASSVKGGDHKLQEDSVLCVGYELPNDGPHVPRLLAGVEFPVKTITEDDLMETKLWHEYQGSTPSSSQLVQERFRKPDNMGNQGFQNNGRFTSSSPNMIHKFSGTGWGCGRGKAIASPDFGDRFHAPGRIHCERVVSSGSYSANGAVAYKKAALHETPVCNEKWRVAGSGSGDQIRTLRDLNISKSSSSQGWRPNGRVQSANNVFWPSRNGFTPDTNHAWQHGQCRGRGHQDSSKHYSPFSANRSSQASGSAHFVPRSWDTEGKPRW >ONI01242 pep chromosome:Prunus_persica_NCBIv2:G6:10031979:10033264:-1 gene:PRUPE_6G129400 transcript:ONI01242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSRLSAARDVVVASTTCQKWREACRKHLHTLSFNSNDWPVYRDLTTSQLEILITQTIFQSTGLQVLSILMDDVEEFLGSTVIAWLMYTRETLRQLFYNVRTAPNVNILEICGRQKLEMLVLGHNSVTGVEPKFQRFPCLKSLSLRYVSISALDLSLLLSACPKIETLELVNPEIAMSDAQVTVELSSPTLKSIYAERISLEKFILEADSIQRLHLKACALEVFELIGKGTLKHFKIDDVSVFHLDIGDNVENLEIDEIVDLETIAVCLPQLSHLSLSYDLRDGVLHYGLQGSSHLENVTFLELGWTLINDIFIHFVEGLLKRCPSLKKLVIHGVVSEAKTHEECQTLANFTSSNLMRQYMYVEVQFEYE >ONI00129 pep chromosome:Prunus_persica_NCBIv2:G6:4766255:4768218:-1 gene:PRUPE_6G069500 transcript:ONI00129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWMNWREREREREREREREIMAKAHINGKDRRWSLHGMTALVTGGTKGLGHAIVEELAGLGAIVHTCSRNEGQLNDCLSQWKMKGFHQITGSVCDLVSRTQRHELINEVSSQFNGKLNILINNVGTAEVKPTIESTAEDYSFIMSTNLESAYNLSQLAHPLLKASGAGNIVFMSSVAGVVSIGEVGSIYAATKGAINQLAKSLACEWGKDNIRINSVAPWFIKTLTAEPYLSDEKYLKAISSRTPLGRPGEPEEVAALVSFLCLPAASYITGQTICIDGGLTVNGLLFQGA >ONI00130 pep chromosome:Prunus_persica_NCBIv2:G6:4766255:4767838:-1 gene:PRUPE_6G069500 transcript:ONI00130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNCIIYIYRHAIVEELAGLGAIVHTCSRNEGQLNDCLSQWKMKGFHQITGSVCDLVSRTQRHELINEVSSQFNGKLNILINNVGTAEVKPTIESTAEDYSFIMSTNLESAYNLSQLAHPLLKASGAGNIVFMSSVAGVVSIGEVGSIYAATKGAINQLAKSLACEWGKDNIRINSVAPWFIKTLTAEPYLSDEKYLKAISSRTPLGRPGEPEEVAALVSFLCLPAASYITGQTICIDGGLTVNGLLFQGA >ONI01881 pep chromosome:Prunus_persica_NCBIv2:G6:16096287:16098559:-1 gene:PRUPE_6G164500 transcript:ONI01881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKKAKAKTKANPAESTQPVNPNNNFPTSIRYIPPSSVAITIHAKPGSKIASITDFSDEALGVQINASAKDGEANAALLDYISSVLGVKRRQVSIGSGSKSRDKVVIVEEMTLRSVFDILHKASKST >ONI03897 pep chromosome:Prunus_persica_NCBIv2:G6:26739690:26741879:1 gene:PRUPE_6G289400 transcript:ONI03897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYHAGSCTATKIKISFSLFLLLVGVASAQLSPTFYSTSCPNALSTIKSAVASAVSSEARMGASLLRLHFHDCFVNGCDASVLLDDTASLTGEKTAGPNANSLRGFDVIDTIKTQLESLCPKVVSCADILTVAARDSIVALGGPTYTVPLGRRDATTASLSAANSNIPGPQLNLAALISAFSNKGFTAREMVALSGSHTIGQARCTTFRNRLYNEANINASFATSVKSQCPSSGGDNTLSPLDVTSPTSFDNAYYRNLVSQKGLLHSDQQLYSGGSTNTIVDAYISNTGTFRADFANAMKKMGNLSPLTGTNGQIRTNCRKIN >ONI03898 pep chromosome:Prunus_persica_NCBIv2:G6:26739782:26741747:1 gene:PRUPE_6G289400 transcript:ONI03898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALLMQGCDASVLLDDTASLTGEKTAGPNANSLRGFDVIDTIKTQLESLCPKVVSCADILTVAARDSIVALGGPTYTVPLGRRDATTASLSAANSNIPGPQLNLAALISAFSNKGFTAREMVALSGSHTIGQARCTTFRNRLYNEANINASFATSVKSQCPSSGGDNTLSPLDVTSPTSFDNAYYRNLVSQKGLLHSDQQLYSGGSTNTIVDAYISNTGTFRADFANAMKKMGNLSPLTGTNGQIRTNCRKIN >ONI02116 pep chromosome:Prunus_persica_NCBIv2:G6:18269629:18270120:-1 gene:PRUPE_6G177600 transcript:ONI02116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSQFFSHLYTITLIFFTLLILEIVILVRSIAGLNPNSSKRVITTTQYLKLIEQKNPTTCYTQKLRSVRPEATECSVCLSDFEEGDKIRQLKCKHTFHQNCLDRWLQQYWATCPLCRTKVLSDDVVASYHRLRNQVQYDGSDEELIFLLSSLQGNSLHRFF >ONI04941 pep chromosome:Prunus_persica_NCBIv2:G6:29811104:29811577:1 gene:PRUPE_6G349200 transcript:ONI04941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRWSAIASRLTGRTDNEIKNLWRSHLKKRVEQESQVPAAALLTRSINNIIPLKNGDQRIQCPIQYAPTTLFRLDDDLHNKLLSKRTCSCPNIINADPGLQPPFSSSSNSTAGQIMKREGSKTHEDMDFWYSLFMKAGGTSTVALPVCHCMMEFSAI >ONI04940 pep chromosome:Prunus_persica_NCBIv2:G6:29810287:29811795:1 gene:PRUPE_6G349200 transcript:ONI04940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMVRAPYCEKMGLKKGPWTPEEDDTLIAYIRQHGHFNWRALPKQAGLSRCGKSCRLRWFNYLRPDIKRGNFSKEEEETILKLHKLLGNRSKRVEQESQVPAAALLTRSINNIIPLKNGDQRIQCPIQYAPTTLFRLDDDLHNKLLSKRTCSCPNIINADPGLQPPFSSSSNSTAGQIMKREGSKTHEDMDFWYSLFMKAGGTSTVALPVCHCMMEFSAI >ONI02705 pep chromosome:Prunus_persica_NCBIv2:G6:22389223:22391605:-1 gene:PRUPE_6G216700 transcript:ONI02705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPEPGKLFVARLPKTVSESNIKEHFNKYGEVKDCVIVVDKVTRRPKGFAFVTFTDPFMAKKALEVEHYIFGRKLDVKPALPKREELQNQEDEQAKAYFKTKKIFVGGLPHNLTQEEFKSYFEKFGTIINGVIIYIKESGKSRGFGFITFDSEEAVDEVTKETHHELNDKFVEVKRAWPKHKNDNMIHTFDCNEVGFNFGGSQCDYYSNFMLYGARCFSCLLPYGFGHHEGCLYYGQNTAGYITWQPKKVPTNFGMEKTTQTHACPYENSV >ONI00435 pep chromosome:Prunus_persica_NCBIv2:G6:6075754:6084518:1 gene:PRUPE_6G088800 transcript:ONI00435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMNLSENDDQQDPNKWMLQRIDIDPMVDVPLNSNDTGGNASKSSNETTLKRNNSSVRKRSGAQGSSHGGMGLRVEPGGKEGIKSLRFLDRTATGKEGEAWKQIERRFNQYGGDGRLSKDKFGACIGMGGDSKDFASELYDALARRRKICAEDGITLEELRLFWEDMTNEDMEARLQIFFDMCDKNGDGMLSEEEVTEVIVLSASANKLAKFKQQAESYAALIMEELDPDHLGYIEMWQLETLLREMVVSEENQKLGKRTQTLTRAMIPRRYRTPVSKFLSTTAEHLHENWKKIWIVTLWLAVNIGLFTWKYKQYQQKGLYKIMGQCLCFAKGSAETLKFNMALVLIPVCRGTLTKLRSTFLSHLIPFDNNINFHKLIALGITIGTSIHAVLHITCDFPRLISYPQDQFFDIAGKYFFYRQPTYMDLVNSIVGISGIVMVILMAFSFVLATNAMRKNVKLPWPLHLLSGFNSFWYAHHLLAMVYMLFVIHGYFLIFTDDWRKKTTWMYIMAPVLLYTTERLIILINEINHPVYVIKAVIYTGNVLALYMSKPPGFRYKSGMYLFVKCPDISSFEWHPFTITSAPGDDYLSVHIRSLGDWTTELRNRFEKACSELQSTQTRRGNLVRMETKANSNFQHDQAGYPRILIKGPYGAPAQNYRKFDILLLIGLGIGATPFISIIKDIINQINHRFASPPDSVHSNSEKSTEPQLKGHPRATHRKSPERAYFYWVTREQASFEWFKGVMDDISECDHNHMIEMHNYLTSVYEEGDARSALIAMVQKLQHAKNGVDVVSESRVLTRPHYHTKHC >ONI00434 pep chromosome:Prunus_persica_NCBIv2:G6:6075658:6085038:1 gene:PRUPE_6G088800 transcript:ONI00434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMNLSENDDQQDPNKWMLQRIDIDPMVDVPLNSNDTGGNASKSSNETTLKRNNSSVRKRSGAQGSSHGGMGLRVEPGGKEGIKSLRFLDRTATGKEGEAWKQIERRFNQYGGDGRLSKDKFGACIGMGGDSKDFASELYDALARRRKICAEDGITLEELRLFWEDMTNEDMEARLQIFFDMCDKNGDGMLSEEEVTEVIVLSASANKLAKFKQQAESYAALIMEELDPDHLGYIEMWQLETLLREMVVSEENQKLGKRTQTLTRAMIPRRYRTPVSKFLSTTAEHLHENWKKIWIVTLWLAVNIGLFTWKYKQYQQKGLYKIMGQCLCFAKGSAETLKFNMALVLIPVCRGTLTKLRSTFLSHLIPFDNNINFHKLIALGITIGTSIHAVLHITCDFPRLISYPQDQFFDIAGKYFFYRQPTYMDLVNSIVGISGIVMVILMAFSFVLATNAMRKNVKLPWPLHLLSGFNSFWYAHHLLAMVYMLFVIHGYFLIFTDDWRKKTTWMYIMAPVLLYTTERLIILINEINHPVYVIKAVIYTGNVLALYMSKPPGFRYKSGMYLFVKCPDISSFEWHPFTITSAPGDDYLSVHIRSLGDWTTELRNRFEKACSELQSTQTRRGNLVRMETKANSNFQHDQAGYPRILIKGPYGAPAQNYRKFDILLLIGLGIGATPFISIIKDIINQINHRFASPPDSVHSNSEKSTEPQLKGHPRATHRKSPERAYFYWVTREQASFEWFKGVMDDISECDHNHMIEMHNYLTSVYEEGDARSALIAMVQKLQHAKNGVDVVSESRIRTHFARPNWRKVFSDLAATHQSSRIGVFYCGSPTLTKTLRQLCLEFSLNSSTRFQFYKENF >ONI04502 pep chromosome:Prunus_persica_NCBIv2:G6:28607061:28609866:1 gene:PRUPE_6G324700 transcript:ONI04502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKGLRVKQHRELESLTLTAQPLKTLKYFMLGFGQCLRQFMAKGGWLVLLIMLAGGIGMLTITIGGHQEEHIQELVRYLQFGLWWLALGVASSIGLGSGLHTFVLYLGPHIALFTMKAVQCGRVDIKSAPYDTIQLKSSPSWLDRDCSEFGDPIFLSQHSSRVPLSSILPQVQLEAILWGIGTALGELPPYFISRAARISGEKLEVMKDLDSSSTEDSGIVANRLNRIKLWLLSHTQYLNFLTILVLASVPNPLFDLAGIMCGQFGIPFWTFFLSTLIGKAFIKTHIQTVFIISVCNNQLLDLVENKLIWAFSFVPGFGLVVPDLIAKLHTVKDKYMHASPAVPSNSKEKKWDLSFSSIWNTVVWLMLMNFFIKIVTATAQSVLKEEHEKELTALSNKPHTSEQKNNGCCSQASD >ONI04500 pep chromosome:Prunus_persica_NCBIv2:G6:28606682:28609865:1 gene:PRUPE_6G324700 transcript:ONI04500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSDVPSSNSMDASISGLRVKQHRELESLTLTAQPLKTLKYFMLGFGQCLRQFMAKGGWLVLLIMLAGGIGMLTITIGGHQEEHIQELVRYLQFGLWWLALGVASSIGLGSGLHTFVLYLGPHIALFTMKAVQCGRVDIKSAPYDTIQLKSSPSWLDRDCSEFGDPIFLSQHSSRVPLSSILPQVQLEAILWGIGTALGELPPYFISRAARISGEKLEVMKDLDSSSTEDSGIVANRLNRIKLWLLSHTQYLNFLTILVLASVPNPLFDLAGIMCGQFGIPFWTFFLSTLIGKAFIKTHIQTVFIISVCNNQLLDLVENKLIWAFSFVPGFGLVVPDLIAKLHTVKDKYMHASPAVPSNSKEKKWDLSFSSIWNTVVWLMLMNFFIKIVTATAQSVLKEEHEKELTALSNKPHTSEQKNNGCCSQASD >ONI04501 pep chromosome:Prunus_persica_NCBIv2:G6:28607134:28609526:1 gene:PRUPE_6G324700 transcript:ONI04501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKGLRVKQHRELESLTLTAQPLKTLKYFMLGFGQCLRQFMAKGGWLVLLIMLAGGIGMLTITIGGHQEEHIQELVRYLQFGLWWLALGVASSIGLGSGLHTFVLYLGPHIALFTMKAVQCGRVDIKSAPYDTIQLKSSPSWLDRDCSEFGDPIFLSQHSSRVPLSSILPQVQLEAILWGIGTALGELPPYFISRAARISGEKLEVMKDLDSSSTEDSGIVANRLNRIKLWLLSHTQYLNFLTILVLASVPNPLFDLAGIMCGQFGIPFWTFFLSTLIGKAFIKTHIQTVFIISVCNNQLLDLVENKLIWAFSFVPGFGLVVPDLIAKLHTVKDKYMHASPAVPSNSKEKKWDLSFSSIWNTVVWLMLMNFFIKIVTATAQSVLKEEHEKELTALSNKPHTSEQKNNGCCSQASD >ONI03577 pep chromosome:Prunus_persica_NCBIv2:G6:25481544:25483347:-1 gene:PRUPE_6G266600 transcript:ONI03577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQSLVVIFPFPLQGHIKPLLCLAELLCHAGFHVTFVNTHHNHKRLANPEALSTHFPTLHFESISDGLPDDHPRALNGELLIALKTSIRPHFRELLETISIKAESNDAPAPPLNCIITDGLVTFAFDLAEELEIPVLSYNVPCARYLWTCLCLPDLIEQGQLPFPDDDMSVEITGVSGMDGPLHRQDLPSFCRVKQANHPALQFAINWTQAQRRASALILDTVYELDASCLSHMALMFPKIYTLGPLHALLNAQIGNVSKSLASHGGLWKSDPNCMTWLDSQPSRSVIYVSFGTLVNLTRAQMIEFWYGLVNSGHPFMWVIRSDIIYGAHQIPAELEIGTKERGYIVDWVSQEEVLAHKAVGGFLTHSGWNSTLESIVAGLPMICWPNLGDHYIISRTVSQKWKIGLQLNEICDRCNIETMIQTLMGPKREEIQSSIDTISKLARDSVVKGGSSHNNLEQLIEYIRSLQHHN >ONH99517 pep chromosome:Prunus_persica_NCBIv2:G6:2576073:2577602:1 gene:PRUPE_6G034000 transcript:ONH99517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTLVFLRMCSNFLEVRQVHAQLVVSGLLDRPPNAGRLLQSYVTVSQIYHASSVFDRISCPDVFAYNNMIRGLILDSYTYAFVLKACSQLSAIFEGRQVHCRIVKAGTAMDTHVHSSLIHMYSSSTDSLVCAEQVLAGFPEENTIAKNSLISGYLSQGEVEKAREMFDNMVQKDDASWSAMIAGYTKNGMSAEALGLFQKMMTISEVSPNEATLVSSLSACAHLGALDQGKWIHAYADKIGAKISVTLGTALIDMYAKCGSIEHGYEVFKKMPQKDLVAWGVIISGFAIHGQAEKCFELFDDMVANGTYPNEIIFVAILSACSHAGYVEMGYQYFYQMVHEYGIRPSIEHYGCMVDLLGRAGRLAEAEELIMSLPEKPNSVIWGALLGACRMHNDLRRGNLAFKHLTELEPMSGDRYKLAGLMFAKAGEKETATKITKLIRENNLETTRGLSFIEIDGVVHDFEAGTINHSKYNEIYKMWGEGLIDF >ONI00514 pep chromosome:Prunus_persica_NCBIv2:G6:6384923:6387489:1 gene:PRUPE_6G092600 transcript:ONI00514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYMDPSSGQQHQEMSAHSLDMLVCSKTQQQQQQQDRKPRPQPEQALKCPRCDSTNTKFCYYNNYSLSQPRYFCKACRRYWTKGGTLRNVPVGGGCRKNKRSSSSSKRSQDQQPLTPNSNNPLPSLGYDSNDLTLAFARLQRQSCGQLGFDDQDHLSILGNPNNTTSHGDHSILGNHGLNMNTTSAAAAPGFFDALRTGFLETHNGNFQNMYYGFGNGSNSSSLGEVENGACGEMGLHTFEEMTNSTTTAVTVTTMKQELCNARDPQSESNRVLWGFPWQLNGDANNNMVSNECDSGRVESWNGLAPSWHGLLHSPLV >ONI04680 pep chromosome:Prunus_persica_NCBIv2:G6:29033526:29038167:-1 gene:PRUPE_6G334200 transcript:ONI04680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKAFNLEELELKQRKLALPLKDLLDYFDSFRNGLLTRLQEVQDHEKQMGVLENQVQAKANELHGIERLIEEKLKEVESGTEHLRSLQLLIKEHDEEISVKEKRFSDVQRWVGEKEKEYYSIGKSINWGTSKLNWYEKTVEEKSKFVESKEKELREVQRLLNKYSEDIQLKERQLNEILGSIEKQNKIFALKEEKIKEARRLVDECDKEMKLKKEKLGLIQKSIVEFSKTIESKDKIIRGMDLKVKDFSLHKKSMEELFCKLELKEKQFESKVEELSLIEKGVTDCLNEVQLKENHLDSLEQLIQECGKHLDSQEKSLQECSNGLEKRERKLEQWTRELELKQQQINSIRKSTKEHTQTLEYTHANIATIPSSASNQSSINRDGRCLLLLMNEHLKRIALLSSEMSAHLKASSDPAELVLDAMEEFYPSNSAVDKMKFDFDLTVIRRSCVLLLQELKRLSPQINHQVREKAIKLAADWKDKMTVAAENVLEVLGFLWLLTAFELTSTYDARELQSLLAVVTQPEDATDLSQALGITNKTPGSTLSFPVKTEEPESSQVRNVATSPSPNFQPSATTAERNLQGCIKEHLSGYNLVQNEMFDALRMSFNPEKLVLNLMKTSLDQYWKKGDVGFEATLMKIHIPLLKELMKVLPHVRDHVKEDAIELAVQWKERLRSDSENSLEIFSFLQFIATYGLLSFLNGDEILKLLGMISQHKQALELHQMLGFSDKIPDFIQNLIERKQLIEAVRFICTFEVVDKFPPVRLLKEYVEDARKSYWTKWMEKKAQNEKDTVVKDQIADLRAVIQCIKDYNLESEYPSKDIESEILQLGKLKECWRPLQISFTSKLGPREHEERKKRSTSTSAPKFQPPEKRQNISYPTPLAVFPRPYALPTFNSDSSQLYENYGHPGRFGMAANDHQIGANGTMKLSSSSLLHETGADKFGMVANNQETGANFGAVELSSSSRLYENYRQPAQFRMAAVANEHETSANFGAMELSSSSLLYETYGHPAQFRMAAANNDHEIGTNFSAMQNAGLPHSHHLLPNTHSYDPNQI >ONI04682 pep chromosome:Prunus_persica_NCBIv2:G6:29033513:29038648:-1 gene:PRUPE_6G334200 transcript:ONI04682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKAFNLEELELKQRKLALPLKDLLDYFDSFRNGLLTRLQEVQDHEKQMGVLENQVQAKANELHGIERLIEEKLKEVESGTEHLRSLQLLIKEHDEEISVKEKRFSDVQRWVGEKEKEYYSIGKSINWGTSKLNWYEKTVEEKSKFVESKEKELREVQRLLNKYSEDIQLKERQLNEILGSIEKQNKIFALKEEKIKEARRLVDECDKEMKLKKEKLGLIQKSIVEFSKTIESKDKIIRGMDLKVKDFSLHKKSMEELFCKLELKEKQFESKVEELSLIEKGVTDCLNEVQLKENHLDSLEQLIQECGKHLDSQEKSLQECSNGLEKRERKLEQWTRELELKQQQINSIRKSTKEHTQTLEYTHANIATIPSSASNQSSINRDGRCLLLLMNEHLKRIALLSSEMSAHLKASSDPAELVLDAMEEFYPSNSAVDKMKFDFDLTVIRRSCVLLLQELKRLSPQINHQVREKAIKLAADWKDKMTVAAENVLEVLGFLWLLTAFELTSTYDARELQSLLAVVTQPEDATDLSQALGITNKTPAGSTLSFPVKTEEPESSQVRNVATSPSPNFQPSATTAERNLQGCIKEHLSGYNLVQNEMFDALRMSFNPEKLVLNLMKTSLDQYWKKGDVGFEATLMKIHIPLLKELMKVLPHVRDHVKEDAIELAVQWKERLRSDSENSLEIFSFLQFIATYGLLSFLNGDEILKLLGMISQHKQALELHQMLGFSDKIPDFIQNLIERKQLIEAVRFICTFEVVDKFPPVRLLKEYVEDARKSYWTKWMEKKAQNEKDTVVKDQIADLRAVIQCIKDYNLESEYPSKDIESEILQLGKLKECWRPLQISFTSKLGPREHEERKKRSTSTSAPKFQPPEKRQNISYPTPLAVFPRPYALPTFNSDSSQLYENYGHPGRFGMAANDHQIGANGTMKLSSSSLLHETGADKFGMVANNQETGANFGAVELSSSSRLYENYRQPAQFRMAAVANEHETSANFGAMELSSSSLLYETYGHPAQFRMAAANNDHEIGTNFSAMQNAGLPHSHHLLPNTHSYDPNQI >ONI04681 pep chromosome:Prunus_persica_NCBIv2:G6:29033526:29038648:-1 gene:PRUPE_6G334200 transcript:ONI04681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKAFNLEELELKQRKLALPLKDLLDYFDSFRNGLLTRLQEVQDHEKQMGVLENQVQAKANELHGIERLIEEKLKEVESGTEHLRSLQLLIKEHDEEISVKEKRFSDVQRWVGEKEKEYYSIGKSINWGTSKLNWYEKTVEEKSKFVESKEKELREVQRLLNKYSEDIQLKERQLNEILGSIEKQNKIFALKEEKIKEARRLVDECDKEMKLKKEKLGLIQKSIVEFSKTIESKDKIIRGMDLKVKDFSLHKKSMEELFCKLELKEKQFESKVEELSLIEKGVTDCLNEVQLKENHLDSLEQLIQECGKHLDSQEKSLQECSNGLEKRERKLEQWTRELELKQQQINSIRKSTKEHTQTLEYTHANIATIPSSASNQSSINRDGRCLLLLMNEHLKRIALLSSEMSAHLKASSDPAELVLDAMEEFYPSNSAVDKMKFDFDLTVIRRSCVLLLQELKRLSPQINHQVREKAIKLAADWKDKMTVAAENVLEVLGFLWLLTAFELTSTYDARELQSLLAVVTQPEDATDLSQALGITNKTPGSTLSFPVKTEEPESSQVRNVATSPSPNFQPSATTAERNLQGCIKEHLSGYNLVQNEMFDALRMSFNPEKLVLNLMKTSLDQYWKKGDVGFEATLMKIHIPLLKELMKVLPHVRDHVKEDAIELAVQWKERLRSDSENSLEIFSFLQFIATYGLLSFLNGDEILKLLGMISQHKQALELHQMLGFSDKIPDFIQNLIERKQLIEAVRFICTFEVVDKFPPVRLLKEYVEDARKSYWTKWMEKKAQNEKDTVVKDQIADLRAVIQCIKDYNLESEYPSKDIESEILQLGKLKECWRPLQISFTSKLGPREHEERKKRSTSTSAPKFQPPEKRQNISYPTPLAVFPRPYALPTFNSDSSQLYENYGHPGRFGMAANDHQIGANGTMKLSSSSLLHETGADKFGMVANNQETGANFGAVELSSSSRLYENYRQPAQFRMAAVANEHETSANFGAMELSSSSLLYETYGHPAQFRMAAANNDHEIGTNFSAMQNAGLPHSHHLLPNTHSYDPNQI >ONI03992 pep chromosome:Prunus_persica_NCBIv2:G6:27066377:27068502:-1 gene:PRUPE_6G295800 transcript:ONI03992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHKRNLMSQSILMVTIFMIFINHSSSTFHGSGGVEQLDTVVEEAEDHLAYPSTSDWIEELNDGMEDDEWQLVQKKGNQFVVNDQPFYVNGFNTYWLMVFAADKSTRGKVTDTFKQASSVGLTVCRTWAFNDGQWRALQKSPSVYDEEVFKGLDFVISEARKCKIRLILSLTNNWDAYGGKSQYVKWGKAAGLNLTSDDDFFSHPTLKSYYKAHVKTVLNRVNTLTNITYKDDPTVFAWELMNEPRCTSDPSGDKLQDWIQEMAVYVKRLDPKHLVEIGLEGFYGPSSPGRVQFNPNTYAQQVGTDFIRNHQVLGVDFASVHIYADSWISKSISDLHLQFTKSWMEAHIEDAEKNLGMPVVFSEFGVSSKDPGYNSSFRDTLLSTVYKTILNSTKKGGSGGGSLLWQLFPEGTEYMDDGYAIILSKSPSTSNIIALHSTRLALFNSRCSWKSHWGCKKKNPLETFPLY >ONI01329 pep chromosome:Prunus_persica_NCBIv2:G6:10472557:10473183:1 gene:PRUPE_6G133200 transcript:ONI01329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTSTLKFFSLLISSFAIVQMAMAGDPDIISDFLLPANGTVDANFFTYTGFRVLVGGSPPTAFKVLKATLAEFPALDGQSVSYAVLEFPSGTTNPPHTHPRSAELLFLIDGTLEVGFIDTKNNLFTQTLQAGDLFVFPKGLVHFQYNADAQNPALAVSAFGSANAGTVSLPNTLFTTGIDDNVLAISFKTDVATIQKLKAGLAPKP >ONI05248 pep chromosome:Prunus_persica_NCBIv2:G6:30585953:30587946:1 gene:PRUPE_6G364200 transcript:ONI05248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVFDTEAQNGLLDNSADVELRLNHDGAILATCVVEEASSLEQIEQVGQNSSRVEQNAVEGDEPYLGQEFDSEAAAHAFYNAYALRIGFRTRVNDLSRSRVDGSIIARTLVCNKEGYRVADKRERMSVRPRPPTRVGCKAMISVKKLSIGKWVVAKFVKEHTHTLSPGKGKKGSNDQSTTDEQMKIKELTQQLLVERKRSASYRKIIDLLFNHIEEHTQNLSQKIQRITDNLQEIVSSEGKGKDRRNVS >ONI04176 pep chromosome:Prunus_persica_NCBIv2:G6:27679841:27683606:1 gene:PRUPE_6G306900 transcript:ONI04176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGQPEQQQPRISVKDTVYKLQLALLEGIKTQDHLYLAGSIISRSDYNDVVTERTIANLCGYPLCSNALPSDSSRPHKGHYRISLKEHKVYDLHETYMYCSSRCVIESKAFAQSLGEERCDVLDFGKVERILRAFGDVGFDKGEVGFGEIGDLGISKLKIEEKVETGIGDLGISRLKIEEKSETHIGDLGAVGPSNAIEGYVPQKERISKPLGSKKNKEGSKGKDAKMSSGMDIIFNEMDFMSTIITSDEYSVSKIPPSVGEPDFETKFKKSKGKVGLNKNDSVKKSRQSKGGKNKNVKKDDVCIREVPSTSDASQTVLNGSTKEEKEEFIVEKAEQSGEALLRSSLKPSGTKKLNRSVTWADEMIDSTGSRNLYEVREMEQIMEYSDAFSSMHKPSVENKVGCSNTWFDEKIDSTKSKNICEVREVQDADVLGSLDLQENEILESAEACAMALNQAAEAVASGESDVSGAVSGAGIIILPRPDGLDEEEPTEDVDMLESEQAPLWPRKPGIPCSDLFDPEDSWFDAPPEGFSVTLSPFATMWNSLFTWITSSTLAYIYGRDESFHEEFLSVNGREYPPKIVLAGGRSSEIKKTLDESFARALPGVVSELRLPTPISSLEQGMGRMLNTMSFIDAIPAFRMKQWQVIVLLFLEGLSVCRIPALTPHMTNRRMLFYKVLENTQISAEQYELMKDLIIPLGRAPQFSAQSGA >ONI02692 pep chromosome:Prunus_persica_NCBIv2:G6:22302656:22304206:1 gene:PRUPE_6G215500 transcript:ONI02692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLISIIFALFFLLGASDALSRTIIVDKNGQGKFTTVQQAIDSIPENNSLWTRILIKHGVYTEKVVIPKEKPYIILEGEANQLTSIEFGEGGNVVESPTFKLFADNFVARNIIFKNTYNNDLIKLDDNGKKTTWAPAAFIGGDKASFRNCGFIGVQDTLSDAIGRHHFYNCTIVGAIDFIFSNGQSIYEKCLIKSISDRIGLPGYITAQGRNDPNDTSGFVFKDCHVSSTGHTLLGRPYRPYARVLFVSTFMENVISPEGWTSAWHAGSENKITFSEVNCTGPGADVSRRVSWAKKLTDEQVVFFTNTASFLDQDGWLAKQPN >ONI02218 pep chromosome:Prunus_persica_NCBIv2:G6:19310642:19311856:1 gene:PRUPE_6G184600 transcript:ONI02218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAPTLVSGWAWLPSIILDLILEKLIPISDYIRFSAVCKHWQSVALHRKKQRIKSCHKQIPMLIIPTIDGSSERRGLYSVTQGKTCSFELNVYYSKRFCGSSHGWLACVDENLVVTLLNPFTGRTISLPPVPKSTWRSTLAYRCDYYINKVVLSADPSFLPNDYEVLVIYDGYGKQIAHFKSGDDAWTSIDQVIGFDDVIYYKGQFLGVSLGGSVFSMNVSRDQTIKPHVSLLVPMDPGTDNKTYLVQSSQGDLMLVRKFKRVNYCKRFTKSLHFKIFKFERLRFERVNGYDRFTETLSFKVFKLFCAHGERPQWVEIESTGNDALFLGTNQSMCVSALDFPGCQPNSVYFTDDCVDVECHKPKGPHDMGTFNLENRSMGTHYCLDRLQKHMPPAIWILPSMV >ONI03715 pep chromosome:Prunus_persica_NCBIv2:G6:26039535:26042372:-1 gene:PRUPE_6G276800 transcript:ONI03715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQDQPWLLENGNLKGLTKENRTGRTAHNMSSSSLRKKSDLTLVSKVRCSLLRQFLANLQEVTLGTKLSVLFPAIPLAILAQCFGFASPWVFALSLIGLMPLAERVSFLTEQISFYTGPTVGGLLNATCGNATELIIAIFALAQHKVAVVKYSLLGSILSNLLLVLGTSLFCGGIANIRKEQKYDRVTDVNSLMLLLALLCHLLPMLFTFAAGASASVTSDPSLHLSRASCIVMLIAYTAYIVFQLWTHRELFEAQEESDDDGVVSDEAPVIGFWSGIVWLIGMTAVIALLSEYVVGTIEEASDSWGLSVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITLGVALGSATQIAVFVVPLCVIIAWIMGINMDLNFSVIETGSLAISILAVAFTLQDGTSHYLKGLVLLLCYIIIGACFFVTESPLRKY >ONI03714 pep chromosome:Prunus_persica_NCBIv2:G6:26038893:26042376:-1 gene:PRUPE_6G276800 transcript:ONI03714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQDQPWLLENGNLKGLTKENRTGRTAHNMSSSSLRKKSDLTLVSKVRCSLLRQFLANLQEVTLGTKLSVLFPAIPLAILAQCFGFASPWVFALSLIGLMPLAERVSFLTEQISFYTGPTVGGLLNATCGNATELIIAIFALAQHKVAVVKYSLLGSILSNLLLVLGTSLFCGGIANIRKEQKYDRRQADVNSLMLLLALLCHLLPMLFTFAAGASASVTSDPSLHLSRASCIVMLIAYTAYIVFQLWTHRELFEAQEESDDDGVVSDEAPVIGFWSGIVWLIGMTAVIALLSEYVVGTIEEASDSWGLSVSFISIILLPIVGNAAEHAGAIIFAFKNKLDITLGVALGSATQIAVFVVPLCVIIAWIMGINMDLNFSVIETGSLAISILAVAFTLQDGTSHYLKGLVLLLCYIIIGACFFVTESPLHHSNIVNPGLKSSNTAVFGA >ONI01491 pep chromosome:Prunus_persica_NCBIv2:G6:11549323:11553047:-1 gene:PRUPE_6G142700 transcript:ONI01491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSLYVWEDKLLQDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPSASEVLKHPYLLPYVEQYRPSFSTRTAFSPDKPISRARESRRNMAESQNSNGSSSDKDSLRSIEKNIPEMESNCDNKATETDFVSLEDEDGSEQLLPTEEHGPNVCSVKVEQQLIKPSHSESNVEFRQPKTIRNIMLALKEGKVRENSSPMRSNRTKVGVSTQRTNTEAVSKIPRLSDVSPGIKSSPDTPTVASSMAIPDSAKRTQGSHPLKHPLPIVDSSPKPKPRNDGTPPPVPIKQFFDDGIPKPRQRTPPTLARRSTFPGRMKQVGVDVPNAVSYAPRISAREITQEPEKNPPQVPNGCLTNFPREVTEEPERYQATSSKGMQTDSSNSIFSSVSIQGFEICDDATTPFIDMTEQVLPDYGSVTHTESTESPPSCSAATHFHSVISDTAKNHKHDNKSECLIETSEAILDLHNTTAGDEQVNLTAALDLPVPVSEERFICNDHTPVNRPSSTPGTVFQSKYTSTSSGHDKFTVRELLSPVADTTLSSDQKKDTTLSVAPPISSSQNLFQPDRGTSMQTPSGEKAAAANLPPAFDDVIHVIRHSSFRVGSDQPVMESVEMGVQNVDVGKLINVVRDELEMRNVTVPIAPKSSNCSETVSPKSNNSDHSDAKETETRNLVPSAQKSDSSEPTKPSPPMTEEEIPEKEIMDVKSFRQRAEALEGLLELSADLLQHNRLEELSVVLKPFGKDKVSPRETAIWLAKSLKGLRIEEGGRSS >ONI01489 pep chromosome:Prunus_persica_NCBIv2:G6:11549386:11555823:-1 gene:PRUPE_6G142700 transcript:ONI01489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDQYELMEQIGRGAFGAAILVHHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGAYFPEEKLCRWFTQLLLAVEYLHSNYVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPSYRPSFSTRTAFSPDKPISRARESRRNMAESQNSNGSSSDKDSLRSIEKNIPEMESNCDNKATETDFVSLEDEDGSEQLLPTEEHGPNVCSVKVEQQLIKPSHSESNVEFRQPKTIRNIMLALKEGKVRENSSPMRSNRTKVGVSTQRTNTEAVSKIPRLSDVSPGIKSSPDTPTVASSMAIPDSAKRTQGSHPLKHPLPIVDSSPKPKPRNDGTPPPVPIKQFFDDGIPKPRQRTPPTLARRSTFPGRMKQVGVDVPNAVSYAPRISAREITQEPEKNPPQVPNGCLTNFPREVTEEPERYQATSSKGMQTDSSNSIFSSVSIQGFEICDDATTPFIDMTEQVLPDYGSVTHTESTESPPSCSAATHFHSVISDTAKNHKHDNKSECLIETSEAILDLHNTTAGDEQVNLTAALDLPVPVSEERFICNDHTPVNRPSSTPGTVFQSKYTSTSSGHDKFTVRELLSPVADTTLSSDQKKDTTLSVAPPISSSQNLFQPDRGTSMQTPSGEKAAAANLPPAFDDVIHVIRHSSFRVGSDQPVMESVEMGVQNVDVGKLINVVRDELEMRNVTVPIAPKSSNCSETVSPKSNNSDHSDAKETETRNLVPSAQKSDSSEPTKPSPPMTEEEIPEKEIMDVKSFRQRAEALEGLLELSADLLQHNRLEELSVVLKPFGKDKVSPRETAIWLAKSLKGLRIEEGGRSS >ONI01490 pep chromosome:Prunus_persica_NCBIv2:G6:11549300:11555914:-1 gene:PRUPE_6G142700 transcript:ONI01490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDQYELMEQIGRGAFGAAILVHHKAEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGAYFPEEKLCRWFTQLLLAVEYLHSNYVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPSASEVLKHPYLLPYVEQYRPSFSTRTAFSPDKPISRARESRRNMAESQNSNGSSSDKDSLRSIEKNIPEMESNCDNKATETDFVSLEDEDGSEQLLPTEEHGPNVCSVKVEQQLIKPSHSESNVEFRQPKTIRNIMLALKEGKVRENSSPMRSNRTKVGVSTQRTNTEAVSKIPRLSDVSPGIKSSPDTPTVASSMAIPDSAKRTQGSHPLKHPLPIVDSSPKPKPRNDGTPPPVPIKQFFDDGIPKPRQRTPPTLARRSTFPGRMKQVGVDVPNAVSYAPRISAREITQEPEKNPPQVPNGCLTNFPREVTEEPERYQATSSKGMQTDSSNSIFSSVSIQGFEICDDATTPFIDMTEQVLPDYGSVTHTESTESPPSCSAATHFHSVISDTAKNHKHDNKSECLIETSEAILDLHNTTAGDEQVNLTAALDLPVPVSEERFICNDHTPVNRPSSTPGTVFQSKYTSTSSGHDKFTVRELLSPVADTTLSSDQKKDTTLSVAPPISSSQNLFQPDRGTSMQTPSGEKAAAANLPPAFDDVIHVIRHSSFRVGSDQPVMESVEMGVQNVDVGKLINVVRDELEMRNVTVPIAPKSSNCSETVSPKSNNSDHSDAKETETRNLVPSAQKSDSSEPTKPSPPMTEEEIPEKEIMDVKSFRQRAEALEGLLELSADLLQHNRLEELSVVLKPFGKDKVSPRETAIWLAKSLKGLRIEEGGRSS >ONI02558 pep chromosome:Prunus_persica_NCBIv2:G6:21416844:21418869:-1 gene:PRUPE_6G205900 transcript:ONI02558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPTEQEHPKKAFGWAARDSSGVLSPFKFSRRETGEKDVSFKVLYCGICHSDLHMVKNEWDFSTYPLVPGHEIVGVVTEVGSKVQKFSVGDKVGVGCMVGSCTSCDSCSNNLENYCPKYILTYGAKYHDGTITYGGYSDFMVADEKFVIRVPDNLPLDGAAPLLCAGITTYSPLRYYGLDKPGMHVGVVGLGGLGHVAVKFAKAMGVKVTVISTSPNKKKEAIEHLCADSFLVSRDQDQMQAAVGTMDGIIDTVSAVHPLLPLIALLKSNGKLVMVGAPEKPLELPVFPLLLGRKMVAGSNIGGMKETQEMIDFAAKHNITAQVEVIPIDYLNIAMERLAKTDVKYRFVIDIGNTLKST >ONI02034 pep chromosome:Prunus_persica_NCBIv2:G6:17865791:17867159:-1 gene:PRUPE_6G174000 transcript:ONI02034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLKLQVKPTIKQSYSFLGSKKPGRLPYHLRLPARRVNSVSVRSECVNEASQPSVSSHYTESVGSPLPSASLLQLSHWNLTHRNIVLLNVVACAAAVSATWLFCSAIPALLAFKRAAQSLEKLMDVMREELPDTMAAVRLSGMEISDLTMELSDLGQEITQGVRSSTRAVRVAEERLRRLTNMAPSGYPFRKLRFQGQFWLELQEAYGRGL >ONI02033 pep chromosome:Prunus_persica_NCBIv2:G6:17865860:17867159:-1 gene:PRUPE_6G174000 transcript:ONI02033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLKLQVKPTIKQSYSFLGSKKPGRLPYHLRLPARRVNSVSVRSECVNEASQPSVSSHYTESVGSPLPSASLLQLSHWNLTHRNIVLLNVVACAAAVSATWLFCSAIPALLAFKRAAQSLEKLMDVMREELPDTMAAVRLSGMEISDLTMELSDLGQEITQGVRSSTRAVRVAEERLRRLTNMAPSASVQEVTSPKTEVPGPVLARTARGIREGIVKGRALWQMFFTITRFSRLALNYITSRNKR >ONI02035 pep chromosome:Prunus_persica_NCBIv2:G6:17865517:17867306:-1 gene:PRUPE_6G174000 transcript:ONI02035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLKLQVKPTIKQSYSFLGSKKPGRLPYHLRLPARRVNSVSVRSECVNEASQPSVSSHYTESVGSPLPSASLLQLSHWNLTHRNIVLLNVVACAAAVSATWLFCSAIPALLAFKRAAQSLEKLMDVMREELPDTMAAVRLSGMEISDLTMELSDLGQEITQGVRSSTRAVRVAEERLRRLTNMAPSGGNQSEN >ONI02234 pep chromosome:Prunus_persica_NCBIv2:G6:19469271:19471159:-1 gene:PRUPE_6G186000 transcript:ONI02234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKQAPPSFPWTEGEKYSSNNNNNSKFKEIFYYFFTLNTIPYVLVRCCALLFLFLSASWLLLLLLCFPPTTTTTSSNDVVREQAQVAEPQSPFKIPTCDKNMSVYVYTLPPQFNLGLLRQCNNLSIKANMCPAVANSGLGQPLNYFRIGHSAVTSSWYTTHQYTAEIIFHARLQNHPCRTQDPARASLFYVPFYGGFDALSKYREANLTARDELTFSLVDYIQAQPWWQRNHGKDHFMALGTVVWDFMRTMSNASSSCSSSSKVRTPGTLLKLPAAENMSVLIIERHPWQPNQHGIPYPSYFHPSTWREMAAWQRKMRLMERPHLFSFVGARRKNKAAIRNEFIRQCSESTRCKLLNCEGPDGARRCLQPREIMRVMTRSQFCLQAPGDTPTRRSTFDSVLAGCVPVFFSRHTAYSQYAWYLPGEASRYSVYIDEKSSASRGIEEELLKISSKKVKMMREEIIDLMPSLTYAHPNATDFGFGDAVDVALASLAKHIGELIN >ONI00929 pep chromosome:Prunus_persica_NCBIv2:G6:8078406:8087227:-1 gene:PRUPE_6G112300 transcript:ONI00929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSLCSCYCLRPHSVHHLSTSISLFRSKPSSLSLTLISLSPKNPNLRAMSSRPSAFDALMSGARAAAAKKKPQASPSKKRKTLEPNPIENPQLLLKPENGSPTQAPESKEVKEALESSSNGGQVVPLAKKVCAAAGAQERMAELKSRIALLKKKAGDFDPKLMANWGEGERVPFAFVCLAFDLIANETGRIVITDIVCNLLRTVMHTTPEDLVPVVYLAANRIAPAHEGLELGIGDASIIKALAEACGRTEAHVKKQYKELGDLGLVAKASRSSQSMMRKPDSLTVTKVFSTFRQLAKESGKDSQEKKKNHIKALLVAATDCEPQYLIRLLQTKLRIGLAEQTLLAALGQAAVHAEKHSTPPSHIQSPIEEAAKIVKQVYSLLPDYDKIIPALLSGGVWNLPKTCSFTLGVPVGPMLAKPTKGVSEIVQKFQDTEYTCEYKYDGERAQIHYMDDGSVEIYSRNAERNTGKFPDVVVAVSRLKKSSVTSFVLDCELVAYDRVKQKILPFQVLSTRARKNVAMSDIKVDVCIFAFDMLYLNGQPLIQEQLKVRRESLYDSFVEEAGFFQFATAITSNDIEEIQKFLDAAVDSSSEGLIIKTLNKDATYEPSKRSLNWLKLKKDYMESIGDSLDLVPIAAFHGRGKRTGVYGAFLLACYDSNNEEFQSICKIGTGFSEAMLEERSASLRTKVIPKPKSYYRYAETMNPDVWFDASEVWEVKAADLTISPVHRAAVGIVDPNKGISLRFPRLVRVREDKPPEQASSSAQVAEMYSAQANTRSNNQDDNEDD >ONI00933 pep chromosome:Prunus_persica_NCBIv2:G6:8082620:8087227:-1 gene:PRUPE_6G112300 transcript:ONI00933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSLCSCYCLRPHSVHHLSTSISLFRSKPSSLSLTLISLSPKNPNLRAMSSRPSAFDALMSGARAAAAKKKPQASPSKKRKTLEPNPIENPQLLLKPENGSPTQAPESKEVKEALESSSNGGQVVPLAKKVCAAAGAQERMAELKSRIALLKKKAGDFDPKLMANWGEGERVPFAFVCLAFDLIANETGRIVITDIVCNLLRTVMHTTPEDLVPVVYLAANRIAPAHEGLELGIGDASIIKALAEACGRTEAHVKKQYKELGDLGLVAKASRSSQSMMRKPDSLTVTKVFSTFRQLAKESGKDSQEKKKNHIKALLVAATDCEPQYLIRLLQTKLRIGLAEQTLLAALGQAAVHAEKHSTPPSHIQSPIEEAAKIVKQVYSLLPDYDKIIPALLSGGVWNLPKTCSFTLGVPVGPMLAKPTKGVSEIVQKFQDTEYTCEYKYDGERAQIHYMDDGSVEIYSRNAERNTGKFPDVVVAVSRLKKSSVTSFVLDCELVAYDRVKQKILPFQVLSTRARKNVAMSDIKVDVCIFAFDMLYLNGQPLIQEQLKVRREVE >ONI00930 pep chromosome:Prunus_persica_NCBIv2:G6:8079956:8087227:-1 gene:PRUPE_6G112300 transcript:ONI00930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSLCSCYCLRPHSVHHLSTSISLFRSKPSSLSLTLISLSPKNPNLRAMSSRPSAFDALMSGARAAAAKKKPQASPSKKRKTLEPNPIENPQLLLKPENGSPTQAPESKEVKEALESSSNGGQVVPLAKKVCAAAGAQERMAELKSRIALLKKKAGDFDPKLMANWGEGERVPFAFVCLAFDLIANETGRIVITDIVCNLLRTVMHTTPEDLVPVVYLAANRIAPAHEGLELGIGDASIIKALAEACGRTEAHVKKQYKELGDLGLVAKASRSSQSMMRKPDSLTVTKVFSTFRQLAKESGKDSQEKKKNHIKALLVAATDCEPQYLIRLLQTKLRIGLAEQTLLAALGQAAVHAEKHSTPPSHIQSPIEEAAKIVKQVYSLLPDYDKIIPALLSGGVWNLPKTCSFTLGVPVGPMLAKPTKGVSEIVQKFQDTEYTCEYKYDGERAQIHYMDDGSVEIYSRNAERNTGKFPDVVVAVSRLKKSSVTSFVLDCELVAYDRVKQKILPFQVLSTRARKNVAMSDIKVDVCIFAFDMLYLNGQPLIQEQLKVRRESLYDSFVEEAGFFQFATAITSNDIEEIQKFLDAAVDSSSEGLIIKTLNKDATYEPSKRSLNWLKLKKDYMESIGDSLDLVPIAAFHGRGKRTGVYGAFLLACYDSNNEEFQSICKIGTGFSEAMLEERSASLRTKVIPKPKSYYRYAETMNPDVWFDASEFFQGLR >ONI00931 pep chromosome:Prunus_persica_NCBIv2:G6:8080443:8087076:-1 gene:PRUPE_6G112300 transcript:ONI00931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSLCSCYCLRPHSVHHLSTSISLFRSKPSSLSLTLISLSPKNPNLRAMSSRPSAFDALMSGARAAAAKKKPQASPSKKRKTLEPNPIENPQLLLKPENGSPTQAPESKEVKEALESSSNGGQVVPLAKKVCAAAGAQERMAELKSRIALLKKKAGDFDPKLMANWGEGERVPFAFVCLAFDLIANETGRIVITDIVCNLLRTVMHTTPEDLVPVVYLAANRIAPAHEGLELGIGDASIIKALAEACGRTEAHVKKQYKELGDLGLVAKASRSSQSMMRKPDSLTVTKVFSTFRQLAKESGKDSQEKKKNHIKALLVAATDCEPQYLIRLLQTKLRIGLAEQTLLAALGQAAVHAEKHSTPPSHIQSPIEEAAKIVKQVYSLLPDYDKIIPALLSGGVWNLPKTCSFTLGVPVGPMLAKPTKGVSEIVQKFQDTEYTCEYKYDGERAQIHYMDDGSVEIYSRNAERNTGKFPDVVVAVSRLKKSSVTSFVLDCELVAYDRVKQKILPFQVLSTRARKNVAMSDIKVDVCIFAFDMLYLNGQPLIQEQLKVRRESLYDSFVEEAGFFQFATAITSNDIEEIQKFLDAAVDSSSEGLIIKTLNKDATYEPSKRSLNWLKLKKDYMESIGDSLDLVPIAAFHGRGKRTGVYGAFLLACYDSNNEEFQSICKIGTGFSEAMLEERSASLRTKVIPKPKSYYRYAETMNPDVWFDASEVSTSWIV >ONI00932 pep chromosome:Prunus_persica_NCBIv2:G6:8080904:8087227:-1 gene:PRUPE_6G112300 transcript:ONI00932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSLCSCYCLRPHSVHHLSTSISLFRSKPSSLSLTLISLSPKNPNLRAMSSRPSAFDALMSGARAAAAKKKPQASPSKKRKTLEPNPIENPQLLLKPENGSPTQAPESKEVKEALESSSNGGQVVPLAKKVCAAAGAQERMAELKSRIALLKKKAGDFDPKLMANWGEGERVPFAFVCLAFDLIANETGRIVITDIVCNLLRTVMHTTPEDLVPVVYLAANRIAPAHEGLELGIGDASIIKALAEACGRTEAHVKKQYKELGDLGLVAKASRSSQSMMRKPDSLTVTKVFSTFRQLAKESGKDSQEKKKNHIKALLVAATDCEPQYLIRLLQTKLRIGLAEQTLLAALGQAAVHAEKHSTPPSHIQSPIEEAAKIVKQVYSLLPDYDKIIPALLSGGVWNLPKTCSFTLGVPVGPMLAKPTKGVSEIVQKFQDTEYTCEYKYDGERAQIHYMDDGSVEIYSRNAERNTGKFPDVVVAVSRLKKSSVTSFVLDCELVAYDRVKQKILPFQVLSTRARKNVAMSDIKVDVCIFAFDMLYLNGQPLIQEQLKVRRESLYDSFVEEAGFFQFATAITSNDIEEIQKFLDAAVDSSSEGLIIKTLNKDATYEPSKRSLNWLKLKKDYMESIGDSLDLVPIAAFHGRGKRTGVYGAFLLACYDSNNEEFQSICKIVCLIS >ONI00759 pep chromosome:Prunus_persica_NCBIv2:G6:7292783:7297414:-1 gene:PRUPE_6G103900 transcript:ONI00759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLFLCGSESIPGPPVCVFCASSPRKKSSYKEAAIELGEELVSKNIDLVYGGGSVGLMGLISQAVYDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGFYNSLLSFIDKAVEEGFISPTARHIIVSAPTAKELVKKMEEYFPRHERVASKLSWEIEQLSYPTICDISR >ONI03069 pep chromosome:Prunus_persica_NCBIv2:G6:23717698:23720372:-1 gene:PRUPE_6G236300 transcript:ONI03069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVKVKCNEDDTIGDLKKLVAAQTGTRSDKIRIQKWYNVYKDHITLKDYEIHDGMGLELYYN >ONI04712 pep chromosome:Prunus_persica_NCBIv2:G6:29179424:29179783:1 gene:PRUPE_6G335700 transcript:ONI04712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPHCFLTLTLMITLLIPLATASATTNRYPGAWMPVNTSDPVVVEKGKWAVLEYNKKVTNKLVFQRVVSGETIYISGIFYGLVVAATNESLPNPPENYHAGVWAKFFEPLKLRTFYKGN >ONI01387 pep chromosome:Prunus_persica_NCBIv2:G6:11078353:11083786:1 gene:PRUPE_6G137000 transcript:ONI01387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVRIEEVQSTTKKNRIATHTHIKGLGLEANGRAIPWAAGFVGQGEAREAAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELTPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMASPHGIPVDLLDRLVIIRTQTYDLEEMIKILVIRAQVEELAIDDDSLAYLGEIGQRTSLRHAVQLLSPASIVAKMNGRDKICKADLEEVDTLYLDAKSSAKVLQEQQEKYIS >ONI01388 pep chromosome:Prunus_persica_NCBIv2:G6:11078353:11083786:1 gene:PRUPE_6G137000 transcript:ONI01388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVRIEEVQSTTKKNRIATHTHIKGLGLEANGRAIPWAAGFVGQGEAREAAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELTPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMASPHGIPVDLLDRLILVIRAQVEELAIDDDSLAYLGEIGQRTSLRHAVQLLSPASIVAKMNGRDKICKADLEEVDTLYLDAKSSAKVLQEQQEKYIS >ONI04321 pep chromosome:Prunus_persica_NCBIv2:G6:28138068:28139717:1 gene:PRUPE_6G315800 transcript:ONI04321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGGSNGGSCYYAVLGIRRDASFSDIRTAYRKLALKCHPDRARNQAVAGEAKRRFQQIQEAYSVLSDQAKRSIYDAGLYDPLEEDQEFTDFMQEMVSMMNNVKDEFRRPPEDVRRHGRWWRWDGIRLQRHGSDVLKEATCRCIQHRQAQRVSAVARCT >ONI04322 pep chromosome:Prunus_persica_NCBIv2:G6:28138068:28139717:1 gene:PRUPE_6G315800 transcript:ONI04322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGGSNGGSCYYAVLGIRRDASFSDIRTAYRKLALKCHPDRARNQAVAGEAKRRFQQIQEAYSVLSDQAKRSIYDAGLYDPLEEDQEFTDFMQEMVSMMNNVKDESNSFEDLQKMFADMVGGGDGMGFDFSDMDPTSSKKPRVGASNTAKRSASRR >ONI04323 pep chromosome:Prunus_persica_NCBIv2:G6:28138408:28139279:1 gene:PRUPE_6G315800 transcript:ONI04323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGGSNGGSCYYAVLGIRRDASFSDIRTAYRKLALKCHPDRARNQAVAGEAKRRFQQIQEAYSVLSDQAKRSIYDAGLYDPLEEDQEFTDFMQEMVSMMNNVKDEVGYLLTLYVRVKS >ONI01448 pep chromosome:Prunus_persica_NCBIv2:G6:11346906:11353332:-1 gene:PRUPE_6G140100 transcript:ONI01448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLPIQPLKYILHLARLNARYISSTHENLSTKLILCHDPSLQQSYMELSQTFYEAMKACASLKSIPIARKLHAQLISIGLDSAIFLQNNLLHMYSQCSLIEDARRIFYSIQHPNVFSWNTMINGLVDLGQMREAKILFNEMPERDSVSWTTMMSGHFNNGQPVDAIKVFAAMVQNCESFSDPFSFSCVMKACGSLGNIKLALQLHSLVEKLEFGNNMTIQNSIIDMYIKCGALSSAEKMFLRIPSPSLFCWNSMIFGYSKLYGVQRALHMFFQMPERDCVSWNTIISIFSQHGFGVQSLSMFVEMWNQGFRPNSMIYATVLSACASIYDLEWGTHLHARIIRMEPSLDVFAGSGLIDMYVKCGHLHFARQVFNNMTEHNAVSWTSLISGVVQSGLEDEALVLFNQMRKAPISLDEFTLATVLGVCSGQKHVLVGEQLHGYTIKAGMISSIPVGNALVTMYAKCQNTHKANQTFELMPFKDIISWTAMITAFSQVGNVEKAREYFDKMPQRNVITWNSMLATYFQNGFWEEGLKLYILMRRVEVNPDWVTLVTSISACADLAILKLGIQIIAQAEKIGLGSNVSVTNSIVTLYSRCGRIEVAKRVFDSICDKNLISWNAIMAGYAQNGEGRKVIEIFENMLKMDCTPDHISYVSVLSGCSHSGLVIEGKHYFSSMTEDFGINPTCEHFACMVDLLGRAGLLEEAKNLIDTMPLKPNAAIWGALLGACRVRRNLKLAEVAVRNLLELDIDDSGSYVLLANTYSDCGKLEAFADVRKEMRKKGIEKKPGCSWIEVNNRVHVFTVDDSHHPQMKDIYRILDEIIKKIEDTGCYVNPISSFLSQCYHREKLDVAVELLRVPA >ONH99715 pep chromosome:Prunus_persica_NCBIv2:G6:3287961:3291247:1 gene:PRUPE_6G045300 transcript:ONH99715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVGESSSALAKSPEDKPVIVRVKRKALQSPLDAFWLEINERPQKRPLLDFENLSMSDSAAKAEELKTKKVFVQHVETVSSFHTTVDVVKSFVDLNSDDVCDRKTRNEERKDTFKKENQKQDQILSKARQKQEVVAKNARFEQIWRSRKGNKETSHEKLHEMCHFYDVVRVDVEERSNELQQEDEISLEDQRMLNSYLPLLREFIPSAASEIESDLSANSSKQASEDGYVYDLYAVKEEMDIDTENSSKSFPLVQVDDEDLYDGPDESEYDTDDSNAENNPLNDYPEEISEEESESDTSDNESEENESSSEKSSEPKGLEDNDFLEDDMYDGNDDGDDFDYDVDQSDDGEDRRWSYR >ONH99716 pep chromosome:Prunus_persica_NCBIv2:G6:3287984:3291188:1 gene:PRUPE_6G045300 transcript:ONH99716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVGESSSALAKSPEDKPVIVRVKRKALQSPLDAFWLEINERPQKRPLLDFENLSMSDSAAKEELKTKKVFVQHVETVSSFHTTVDVVKSFVDLNSDDVCDRKTRNEERKDTFKKENQKQDQILSKARQKQEVVAKNARFEQIWRSRKGNKETSHEKLHEMCHFYDVVRVDVEERSNELQQEDEISLEDQRMLNSYLPLLREFIPSAASEIESDLSANSSKQASEDGYVYDLYAVKEEMDIDTENSSKSFPLVQVDDEDLYDGPDESEYDTDDSNAENNPLNDYPEEISEEESESDTSDNESEENESSSEKSSEPKGLEDNDFLEDDMYDGNDDGDDFDYDVDQSDDGEDRRWSYR >ONI01621 pep chromosome:Prunus_persica_NCBIv2:G6:12681878:12683969:-1 gene:PRUPE_6G149400 transcript:ONI01621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKMTVVPNGKDFIDIILSRTQRQTPTVVHKGYAISSLREFYMRKVKCTQQNFHEKLSTIIDEFPRLDDVHPFYGDLLHVLYNKDHYKLALGQINTSRNLIGKICKDYVKLLKYGDSLYRCKCLKVAALGRMCTIIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLEGISEEDKKLVTEMKADAMKTVIGLGEATNDEGEGVIVVKNAACERLLNQRVELKMKSKKINDCLNRFHVAMPKPRDNKERSPCIPRADLEAKAKQAAEKEKRNTEKDLENENGGAGVYSASLKKNYILANDEWKEDAMPEILDGHNVYDFVDPDILLRLEELEREEGRRQAEGDDDFEMDGLELTTEEQKTLDEIRKKKSLLIQQHRMKKSTAESRPTVPRKFDKDGEFTSKRMGRQLSKLGLNPTMAINRARSRSVSTRGRRRERSLDKDDIDGGDAVDVYTPNKKQCMLSRSRSRSRSCPQTEVVPGEGFKDSAQKDRALQKANKSNKMRNKNARRGEADRVIPTLKPKHLFSGKRSIGKTQRR >ONI00437 pep chromosome:Prunus_persica_NCBIv2:G6:6087660:6089987:-1 gene:PRUPE_6G088900 transcript:ONI00437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAANSSSRWCPTPEQLMILEEMYRAGIRTPNASQIQHITAQLSLYGKIEGKNVFYWFQNHKARDRQKLRRKLCKQLQQQQLLYNHHHNYHNYHNNPYHHHHHHQQQQQQQQSLLGYLDHLSPPASSSALQQLSNCHNYSSAGFLPPPQVGVEDALMNQAWKMEIPQRVEMEKSVMSMYGRDWMMMMMMEDVGPPSPCCSTSTRATTTRQPLKTLQLFPITATNLKEESSSPSSSNPLSPPTTPTPNY >ONI00436 pep chromosome:Prunus_persica_NCBIv2:G6:6087660:6090438:-1 gene:PRUPE_6G088900 transcript:ONI00436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSGKQSMTTLTPANSSSRWCPTPEQLMILEEMYRAGIRTPNASQIQHITAQLSLYGKIEGKNVFYWFQNHKARDRQKLRRKLCKQLQQQQLLYNHHHNYHNYHNNPYHHHHHHQQQQQQQQSLLGYLDHLSPPASSSALQQLSNCHNYSSAGFLPPPQVGVEDALMNQAWKMEIPQRVEMEKSVMSMYGRDWMMMMMMEDVGPPSPCCSTSTRATTTRQPLKTLQLFPITATNLKEESSSPSSSNPLSPPTTPTPNY >ONI04602 pep chromosome:Prunus_persica_NCBIv2:G6:28804618:28806157:-1 gene:PRUPE_6G329500 transcript:ONI04602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPILSYTAKNGALTFFFLLLLSPAISPVGAQPTPDPYRYQRFSPSMAIIIVVLIAALFLMGFFSIYIRHCSDAQSASIRAGGTHTGRSRRGASRGLDAAVIDTFPTLEYSAVKGLKIGKGALECAVCLNEFEDVETLRLIPKCDHVFHPECIDEWLASHTTCPVCRANLVPQPSDPITQLTVLNSEHVPVDVEAQNDAVESAPETDEGCQETVEQPELLSLNQTLNRNRTRGSRSNRLRRLFPRSHSTGHSVIQPGEDTERFTLRLPVEVRKQIMNRKLNRSVSLLVLPREGSSRRGPRMGESGGSNRLMNYRRLERLDRGFKSDRWVFSRTPSFLTRMSSLLSPRVAANDGEKAVEPGMSRSGSVRPPV >ONI01598 pep chromosome:Prunus_persica_NCBIv2:G6:12595436:12595876:-1 gene:PRUPE_6G148600 transcript:ONI01598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNSSFESPPSTRPLPREKRRVGEVAGGAAAECAAVCCCCPCSVMNLLILTVYKVPKGLCRKAWANTKKKRRCAAQKKGLLQPRPKGLAGGVLFRDDMDANRDADDGGDEKKSDAESEGADLLEKEMWARFYGAGFWRSASQREL >ONH99035 pep chromosome:Prunus_persica_NCBIv2:G6:553679:555731:-1 gene:PRUPE_6G006500 transcript:ONH99035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKPPIREDQVLPLTTTTTTISSTTTTHRKKLTLIPLIFLIYFEVAGGPYGEEPAVQAAGPLFAILGFLIFPFIWSVPEALITAELSTTFPGNGGFVIWAERAFGPFWGSLMGTWKFLSGVINIAAFPVLCVDYMDKIIPAFGSGWPRYLAISVSTLFLSFINYTGLTIVGYAAVLLAIVSLSPFILMSLIAIPKIHPHRWLSLGQKDVKKDWNLFFNTLFWNLNFWDNVSTLAGEVENPHKTFPVALFVAVIFTCLAYLFPLFAVIGSVSVDQTRWGSGFHAEAAEIIAGKWLKYWILVGAALSGIGLFEAQLSSSAYQVLGMADLGFLPKFFAVRSKRFNTPWVGILISTMITLAVSYMTFTDIISSANFLYSLGMLLEFASFIWLRRKLPALNRPYRVPMKLPGLVVMCLIPSVFLIFVMAIATKTVYLISGVMTLGGIGWYFLMKFCKSKKLFKFSVIEIEEGRQ >ONH99513 pep chromosome:Prunus_persica_NCBIv2:G6:2555272:2558427:1 gene:PRUPE_6G033700 transcript:ONH99513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFAGGVFLVSIHFPPDYPFKPPKVAFRTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRVKYETTARSWTQKYAMG >ONH99512 pep chromosome:Prunus_persica_NCBIv2:G6:2555418:2557899:1 gene:PRUPE_6G033700 transcript:ONH99512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFAGGVFLVSIHFPPDYPFKPPKVAFRTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRVKYETTARSWTQKYAMG >ONI04558 pep chromosome:Prunus_persica_NCBIv2:G6:28747636:28748885:1 gene:PRUPE_6G328200 transcript:ONI04558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTFHLQTQPDFSKSSLCLFNHIVKTNTSIQNAIFVPKSPVFSVSLSLCHQIQIQKQIVCARKNKRRAGSLRLPRLLRQLMPVIVSNLKILPQPLDLVIEEICSGDGNGGGLGIWKGFGGGGGFDGFGRKRNRKLFLLFLLYGILVISGLGLLFESEVESHVLCCGLGFGLSGVAMVQWWEKIGIFAVFFGGVLVGFGFKREELKKWGLKLRACYPIIETLTWRTRRRRSGRRAF >ONH99191 pep chromosome:Prunus_persica_NCBIv2:G6:1198850:1200208:-1 gene:PRUPE_6G016700 transcript:ONH99191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTVRLLLFSSLFLLPVSVFAQTNGGIAVGDFLTATAANSSPWLSPSGDFAFGFFPLGSNDLFLLSIWYAKIPDRTIVWNANRDNEAAVAPKGSTVNLTANSGLVLRSPQGEELWKSETSVGVVANGVMNDTGNFVLQDRNSESLWETFNNPTDTMLPGQTLERSGKLSSRQSETNYSKGRFQLLLQEDGNLVLSTINLPTNFANEPYYATDTTSGTVAGSEGKELVFNVSGYLYVVRENGGKYNLAVGEVVSARDNYIRATLNFDGIFAQYYHPKNFTGNVSWTILWSEPDNICQRITESSGVGVCGYNSICTLKGDKRPTCGCPTGFSLLDPNDPYRGCKPDFIQGCKEDELSGTKDLYDVEVLINTDWPISDYVQLKPFTAEKCNESCFQDCLCAVAIFRSETCWKKKLPLSNGRVDVSLNSQAFIKVRKDNSTLPIPAPQLPCPDEL >ONI00118 pep chromosome:Prunus_persica_NCBIv2:G6:4704557:4704826:-1 gene:PRUPE_6G068600 transcript:ONI00118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLLPLLYRTILQYRAGEQPTPAESWYCELPAVPYVPLPADSTSSNRSGIKFLLSPASAMSPPPSSQLIASPGIQFTMSASTSSPLIS >ONI02106 pep chromosome:Prunus_persica_NCBIv2:G6:18201475:18205155:1 gene:PRUPE_6G177100 transcript:ONI02106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQRQFLKKALGEAKTKIGGYHFRHRPSLCGSFSTVPSSAAGAGADHEASLPLLPPFDYEPKPYSGPRGDEVFQKRKKFLGPSLFHYYQNPLNIVEGKMQYLFDENGRRYLDAFAGIVTVSCGHCHPEVLNAIMEQSKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGSLDMISLRNAYHGGSSNTIGLTALNTWKYPIPQGEIHHVVNPDPYHGIFGPDAKSYAKDVQDHIDYGTSGKVAGFISETIQGVGGAVELAPGYLKLVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLAQKIQFNTFGGNPVCSAGGLAVLRVIDKEKRQVHCADVGSHLLGRLRDLQQRYESNYPLNSMSLEFSLL >ONI02105 pep chromosome:Prunus_persica_NCBIv2:G6:18201475:18207113:1 gene:PRUPE_6G177100 transcript:ONI02105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQRQFLKKALGEAKTKIGGYHFRHRPSLCGSFSTVPSSAAGAGADHEASLPLLPPFDYEPKPYSGPRGDEVFQKRKKFLGPSLFHYYQNPLNIVEGKMQYLFDENGRRYLDAFAGIVTVSCGHCHPEVLNAIMEQSKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGSLDMISLRNAYHGGSSNTIGLTALNTWKYPIPQGEIHHVVNPDPYHGIFGPDAKSYAKDVQDHIDYGTSGKVAGFISETIQGVGGAVELAPGYLKLVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLAQKIQFNTFGGNPVCSAGGLAVLRVIDKEKRQVHCADVGSHLLGRLRDLQQRYEIVGDVRGRGLMVGIELVTDRKEKKPAKAETTVLFEKLRELGVLVGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYSMSKL >ONI04795 pep chromosome:Prunus_persica_NCBIv2:G6:29405831:29406849:-1 gene:PRUPE_6G340100 transcript:ONI04795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDERKHRKDFKLERNLLYPDPFDKNLSPEEREIYHRFKIFMRFHSNEEQKELLKNIIKEQQIFKRILDLQDARTAGCRTAAEASRYLEDKRKKKTEESSLRIKESSQAGLSGKGLQISPWGPFKGSTAISSSLDYWDITALVGADLLSQTEQRLCSEMKILPSHYLNMLQTISTEIPNGNVKKKSDAHILFKVEPCKVYRVYDMLVKKGMAQA >ONH99569 pep chromosome:Prunus_persica_NCBIv2:G6:2748647:2753723:-1 gene:PRUPE_6G036400 transcript:ONH99569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFFCFLLLFVFHFYLLLSLASGARNLSSSQQLQQEQSQSDDDEVRLLLAFKQSSVQSDPHGFLSDWKADSATPLCSWRGLTCSSDDHVITINLSNAGLIGSLHFPTLTALPSLQNLYLQGNSFSAADLSVSNITSCRLETVDLSSNNISEPFPSRSFLLSCDHLASVNLSHNSIPGGSLSFGSSLLQLDVSHNQISDTALLTCQNLNLLNVSTNKLTGKLSDSLFSCKNLSTLDLSNNTFSGEIPSSFLAKASASLKYLDLSSNNFTGKFSNLDFGQCRSITLLKLAHNALSGDQFPVSLGNCQVLETLDLSNNKLENKIPGVLLGNLKKLRQLFLGHNHFSGEIPTELGKACGTLQELDISVNNLSGGLPSSFTSCSSLVSLNLGHNQLYGNFLSSIVSSLPSLRYLYVPFNNITGPVPLSLTNGTRLQVLDLSSNAFTGNVPSGFCSSNAPSTLEKILLANNFLSGTVPSELGNCKNLKAIDLSFNSLIGPIPSEIWSLPNLSDLVMWANNLTGEIPEGICINGGNLETLILNNNLITGTIPRSIAKCTNMIWVSLSSNRLTGDIPSGIGNLIKLAILQLGNNSLSGQIPAELGKCQSLIWLDLNSNGLSGSIPSELANQAGLVSPGTVSGKQFAFVRNEGGTSCRGAGGLVEFEGIRAERLEKFPMVHSCPSIRIYSGLTVYTFTSNGSMIYLDLSYNFLSGSIPDDLGTLSYLQVLNLGHNMLTGNIPDSFGGLKAIGVLDLSHNNLQGAVPGSLGTLSFLSDLDVSNNNLSGLIPSGGQLTTFPASRYENNSGLCGVPLGACSSQRHSADSRVGRKKQSMTSGIVIGITFFFFCILILALALYRVKKYQQKEEKREKYIESLPTSGSSSWKLSSVPEPLSINIATFEKPLRKLTFAHLLEATNGFSADSLIGTGGFGEVYKAQLGDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKWGSLEAVLHDKSKGGVSRLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGKRPIDPSAFGDDNNLVGWAKQLQRDKRCNEILDTGLLPEVSGEAELYQYLRIAFECLDDRPFRRPTMIQVMAMFKELQVDSENDVLDGFSLKETVVEEP >ONI00241 pep chromosome:Prunus_persica_NCBIv2:G6:5303695:5304225:-1 gene:PRUPE_6G078000 transcript:ONI00241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREREREREREREREHPKIPVSDFYIYNTTDSHKHHLCQEGFRKYDVLTQIHSKKSKELQVVNWIRLDTSVHSDCQFAT >ONI03500 pep chromosome:Prunus_persica_NCBIv2:G6:25128450:25132658:1 gene:PRUPE_6G260800 transcript:ONI03500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCNEGEGGDSMKTEERREALVYMCGYLPGVSPEKSPVLSLAPVRFPNRMDGGDSWKDVCGGGCGFAMAISECGKLITWGSADDEGQSYLVSGKHGETPEPFPLPTEASLLKASAGWAHCVSVTEPGDVYSWGWKECVPSGKLIHDLAMVGIPQTDTIENQSSLPAEQVSPMPQGFNLTSGTVSHLDSKRAGEEVAKRRKVSSPKLESETSTGGDDFFTLSPCLVTLGPGVRITSVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGTRVKMVSSPHVIPCIEPSSSGKDRASVVSQGTKVPGSYVKEIACGGRHSVVVTDAGALLTFGWGLYGQCGQGNTKDQLRPSYVNSLSNSRVKTISAGLWHTLCISVDGRVHAFGGNQFGQLGTGADEAETLPRPLDSPSLESKHAKVVSCGARHSAILTEDGQLYGWGWNKYGQLGLGDSVDRNLPSQVSTDGCLPKNIACGWWHTLLLAERPA >ONI03243 pep chromosome:Prunus_persica_NCBIv2:G6:24331401:24338137:1 gene:PRUPE_6G246700 transcript:ONI03243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQILEEPNEEEVSLLLEIYGLCLTGGKEVHNIVMSSVQNLAAALSYYQDEVLIKREELLQFAQGAIAGLKINADLARIDAEACSIREELDKVMAHQKPLSEGCEKSSEKERDATIENLKEALVEIQLCSRLEDLLLKKKSLSNGDSPEMHCEKVEKLKVFSESLANSTSQAEKRILDHRFQKEEALNFRVAKANEVSQQEKELVAEIGQLERQREELQQELKKVIISLKAVRARLHNAREEREQFDEASNQILVHIKSKEDELSRSIASCKTEVDVVNTWISFLEDTWLLQTSYTEKKEKQVNGELERYGDHFVNLVIQLLSTYKESLGSSIVRIKNLVESLRANISPRIDDGISKLNNRRKNLEEEYLDMEAKFLTTLSVVETMKRQFYVQTEGIFRRDDQKVKDLFDALKKIKDEFESIDRPTLEIEASPQRPETPSSDRPQIIPSPTSTSPLTASPLTTSPLTAGPPELKLDEVSNSSLIKGEKTLDPKEELAKLEPEHGKTPPLTTGTPELKQDEVSNSSLIKGEKKTVDSKEELAKVEPEHGKAPPLTTGTPELKQDKVSNSSLIKGDKTLNPKEELAKLEPEHGKVGKEGSTEEINDWEFDELEKDLKTPS >ONI03242 pep chromosome:Prunus_persica_NCBIv2:G6:24329903:24338137:1 gene:PRUPE_6G246700 transcript:ONI03242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIRNAVNLAVEAGATNSLTRTVRNYADSVVLHAGNAVAGGARLLLPERIGPRNLQSFRHTVKRLEEVSVSCRGVERVQLLRRWLVALKEIERLSTLYNVNNERSPDDQLNFDESMESPKRPTLVYYIDSDMGDEPKTFRDVFLHSQALEGITLSMILEEPNEEEVSLLLEIYGLCLTGGKEVHNIVMSSVQNLAAALSYYQDEVLIKREELLQFAQGAIAGLKINADLARIDAEACSIREELDKVMAHQKPLSEGCEKSSEKERDATIENLKEALVEIQLCSRLEDLLLKKKSLSNGDSPEMHCEKVEKLKVFSESLANSTSQAEKRILDHRFQKEEALNFRVAKANEVSQQEKELVAEIGQLERQREELQQELKKVIISLKAVRARLHNAREEREQFDEASNQILVHIKSKEDELSRSIASCKTEVDVVNTWISFLEDTWLLQTSYTEKKEKQVNGELERYGDHFVNLVIQLLSTYKESLGSSIVRIKNLVESLRANISPRIDDGISKLNNRRKNLEEEYLDMEAKFLTTLSVVETMKRQFYVQTEGIFRRDDQKVKDLFDALKKIKDEFESIDRPTLEIEASPQRPETPSSDRPQIIPSPTSTSPLTASPLTTSPLTAGPPELKLDEVSNSSLIKGEKTLDPKEELAKLEPEHGKTPPLTTGTPELKQDEVSNSSLIKGEKKTVDSKEELAKVEPEHGKAPPLTTGTPELKQDKVSNSSLIKGDKTLNPKEELAKLEPEHGKVGKEGSTEEINDWEFDELEKDLKTPS >ONI03649 pep chromosome:Prunus_persica_NCBIv2:G6:25758861:25759697:1 gene:PRUPE_6G271900 transcript:ONI03649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKASKRVSFSPDVNEKPILYLKQSGDNGTRVGGSRNRVTGIWAFRLLKDTTELPAPIKLLRTLRAKVARAVCIISARKRTSRKVSSSNHLTRSRSVSDPIESHRAEALEDCIEFLNSAASLQRSNSVTSNSC >ONH99253 pep chromosome:Prunus_persica_NCBIv2:G6:1719783:1722829:1 gene:PRUPE_6G021200 transcript:ONH99253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHARAAVLPQQPRGNDKVKNEAQRRNRRVLGDIGNNLEAGRIVEGKPPVQISRPITRSFHAQLLAKAQQAQAEKNHVNPVLPVVEDKKRGPAKKAAVVVAPKKAIEKPKSETVVVISSDERVKDKPVNQCKPVDGPSRKEVKTLTSILTARSKAMAGGVNIKPKEKIVDFDSADVNDELAVVEYIDDLYQFYKLTEDDSRVNDYMESQPDINPKMRSILIDWLVEVHRKFELMPETFYLTVNIIDRYLSKKIVSRRELQLVGISSMVIASKYEEIWAPQVNDFVCLSDYAYTGDQILLMEKAILGKLEWYLTVPTPYVFLSRYIKASVSPGEEVKNMVFFLAELGIMHYPTTTRYSPSLIAAAAVYAARCTLNKAPFWTETLKHHTGFSEEQLRDCAKLLVGFHLKAAESNLQAVYRKFSKPEHGAVALFTPAKSFQSSSSS >ONI00495 pep chromosome:Prunus_persica_NCBIv2:G6:6288878:6291737:1 gene:PRUPE_6G091600 transcript:ONI00495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSYPTVSEEYKKAIDKAKRKLRGFIAEKACAPLMLRIAWHSAGTYDSRTKTGGPFGTMKHAAEQSHGANAGLDIAVRLLEPIKQQFPILSYADFYQLAGVVAVEITGGPDVPFHPGREDKPEPPPEGRLPDATKGNDHLRDVFGKTMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTPNPLIFDNSYFTVLLSEKYDGLLMLPTDTALLSDPVFRPLVEKYAADEDAFFADYAAAHQRLSELGFAEA >ONI00494 pep chromosome:Prunus_persica_NCBIv2:G6:6288878:6291737:1 gene:PRUPE_6G091600 transcript:ONI00494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSYPTVSEEYKKAIDKAKRKLRGFIAEKACAPLMLRIAWHSAGTYDSRTKTGGPFGTMKHAAEQSHGANAGLDIAVRLLEPIKQQFPILSYADFYQLAGVVAVEITGGPDVPFHPGREDKPEPPPEGRLPDATKGNDHLRDVFGKTMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTPNPLIFDNSYFTVLLSEKYDGLLMLPTDTALLSDPVFRPLVEKYAADEDAFFADYAAAHQRLSELGFAEA >ONI00496 pep chromosome:Prunus_persica_NCBIv2:G6:6289266:6291130:1 gene:PRUPE_6G091600 transcript:ONI00496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSYPTVSEEYKKAIDKAKRKLRGFIAEKACAPLMLRIAWHSAGTYDSRTKTGGPFGTMKHAAEQSHGANAGLDIAVRLLEPIKQQFPILSYADFYQLAGVVAVEITGGPDVPFHPGREDKPEPPPEGRLPDATKGNDHLRDVFGKTMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTPNPLIFDNSYFTVLLSEKYDGLLMLPTDTALLSDPVFRPLVEKYAAVRVFLSSKSLHLCT >ONI02925 pep chromosome:Prunus_persica_NCBIv2:G6:23292067:23293248:-1 gene:PRUPE_6G229600 transcript:ONI02925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRRQKIDHEWPAFSATTPLFLKMILDDTSRDTKLRIPKSFVKKYEKHLSNPVHLKLPSGSEWEVEVTTCDGEVWLDRGWPEFSKFYSLEQGGSLVFKYDVNSKFQVCILDAKTNEDDENNSVEILEDYDDENDNSAEIFGDYQPCPKTRQKSGPLSCPLPRKKTRLSTHSSPEISSSSSKRVHVPLSFSKHFIKLEKQTAKLLVRKKSCCVSLIAHSVNTFPFSVGWAAFARENCLRARDVCIFELVDKNDISLKVHMFRC >ONI04717 pep chromosome:Prunus_persica_NCBIv2:G6:29218962:29223842:-1 gene:PRUPE_6G336200 transcript:ONI04717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVISREASSGVDSESKGEKKDLSVESKRKVDDVVVPKVDSETIEVHDGGGEAKKEENGHGGQRPPRGERRRSKPNPRLGNLPKQSRGEQVAAGWPPWLTEACGEALNGWIPRKADTFEKIDKIGSGTYSNVYKAKDMLTGKIVALKKVRFDNLEPESVRFMAREILILRRLDHTNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTEPQVKCYMHQLLSGLEHCHNRGVLHRDIKGSNLLIDNGGVLKIADFGLASFFDPNHKHPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWRKAKLPNATLFRPREPYKRAIREAFKEFPPSSLPLIETLLAIDPAERQTATAALSSEFFMTEPYACEPSSLPQYPPTKEIDAKRRDDEARRLRAAGRTQAESAKKTRTRERAPRAMAAPEANAELQSNIDRRRLITHANAKSKSEKFPPPHQDGAVGYPLGSSHHIDPALVPPDVPFSTTSFSYPKESMQTWSGPLVDSTTAGGPRRKKHTGHDARETSKSYTGNHREKHQDARVRGKRSMA >ONI04718 pep chromosome:Prunus_persica_NCBIv2:G6:29218974:29223087:-1 gene:PRUPE_6G336200 transcript:ONI04718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVISREASSGVDSESKGEKKDLSVESKRKVDDVVVPKVDSETIEVHDGGGEAKKEENGHGGQRPPRGERRRSKPNPRLGNLPKQSRGEQVAAGWPPWLTEACGEALNGWIPRKADTFEKIDKIGSGTYSNVYKAKDMLTGKIVALKKVRFDNLEPESVRFMAREILILRRLDHTNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTEPQVKCYMHQLLSGLEHCHNRGVLHRDIKGSNLLIDNGGVLKIADFGLASFFDPNHKHPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWRKAKLPNATLFRPREPYKRAIREAFKEFPPSSLPLIETLLAIDPAERQTATAALSSEVIFHDRALCL >ONI03553 pep chromosome:Prunus_persica_NCBIv2:G6:25361871:25362698:1 gene:PRUPE_6G264500 transcript:ONI03553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKFYLLPLLLLIVVFASKKSSAVEARPLSILPQQGYAKVFSTLGIACECCDGAGGECTSTWTEPCTRLKCLPWKHY >ONI02610 pep chromosome:Prunus_persica_NCBIv2:G6:21863948:21867261:-1 gene:PRUPE_6G210300 transcript:ONI02610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERDSILNTPQQNITLRRSPRFLQPKNTLEPEKPPKTLWKSQKLVHREKSASKSQNPRTPKSEGKSRSVVRSSSPSLNSKKSSKAHGTRKSPRLNTGVEQFQSLRRSSRILNRQRVVVDAGKDFPQKVSNKLVKFDNGSSNCTDLSSGSRKCGRKSLRFCIEQPVVDVRTNKATNTRSKSKTTSFLDKEEFSGVGKDGASINSPEGVPKKSKKVTWRSSGGGEVVSSEGRERKMQELDGGNKEVKVRRKRKRDEEGIGVVHGWTKEQELALQRAYLVAKPTPHFWKKVSKMVPGKSAQECFDRVHSEHITPPPPPPRTRSRAEILENSSPLGQFSLSASKLLKPTEPKSKRSNCNKQRSHIAQKTVRKLLQKHHQVYQDYEADLFSVLEPSLGSSTESQPSVILSTPKNLKGKQGLLQKCSQRSSDHNKKPPSRFSSSCGEPLVSPPVLKQVRNRVWHEKYIDQLHNREAKRKAASTCTQKSTVQEVDTVRTAKLALVSEARDAINKLQHLQANAMENSSDLDEDGIVNDDEEGEDDT >ONI04299 pep chromosome:Prunus_persica_NCBIv2:G6:28080074:28081448:-1 gene:PRUPE_6G314500 transcript:ONI04299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTRKVFQVGDEVEVCSKQDGFHGSYYEGIVIENLGDKYKVKYRNLVEENDMSTALEELAEVDEVRPVCPKVWPDFFPGEKVDAFANDGWWAGIITRKSGDDWFVYFPSTFEHIAYPKKHIRLHLESRRNGWVSRDGRVFSCEGKPLSCKKRLRIVMGGSSSTSVKARLV >ONI04857 pep chromosome:Prunus_persica_NCBIv2:G6:29589981:29590792:1 gene:PRUPE_6G344000 transcript:ONI04857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRPCCCACVVLFCMILVGSAWGQSATNVRSTYHLYNPQQNNWDLRAVSAYCATWDADQPFAWRSKYGWTAFCGPVGPTGQDACGKCLLVTNTRTGDQVTVRIVDQCSNGGLDLDVNMFNQIDTDGNGNAQGHLIVNYDFVDCGD >ONI02341 pep chromosome:Prunus_persica_NCBIv2:G6:19944931:19947957:-1 gene:PRUPE_6G192200 transcript:ONI02341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEILKDIGSGNFGVAKLVKDKWSGELYAVKFIERGLKIDEHVQREIMNHRSLKHPNIIRFKEVLLTPTDLAIVMEYAAGGELFERICTAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENTLLDGSSAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTLQRILSVHYSIPDYVRVSMECRHLLSRIFVANPEKRITIPEIKQHPWFLKNIPVEFMEENNLQSSNQNHEQQSSQSIEEILVIIQEARKAANGAKIGGLLLGSMDLDEIDDADIDDIETSGDFVCAL >ONI00190 pep chromosome:Prunus_persica_NCBIv2:G6:5020790:5022844:-1 gene:PRUPE_6G073600 transcript:ONI00190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELNFGHGSNSVSSDQNLVNGLQVNHEPTNKLLLPANLDRPSDSGTSSEGDTVDSSDCNLPVLKYISDILLEEDLEGKPCMLEDILGLQAAEKSFYDALNQKDPPLPNQPSFSVYQSFENSDGGFQSSNGSIAAKTGSVWDFSETSHVQTSPVESLSDTALVSDSFSDMQSLGNFRGVGEGNEIIDLEGYQCTPPGPNTLYRNLASVPENYGYNSTNGSKGKKNHQREEGHYTEEGRSNKQSAAFADDSDLQEMFDKVLQGVNPESESCFHDESLNAEGTRKLQHNKQSKGSKTTCSKKPNSNRQVVDLCKLLTECAQAVGSYDQQSASELLKQIRQHSSPYGYATQRLAHYFAEGLEARLAGARSLSYPPLLFMHASTTEILKAYQVYVSACPFKKMLHFFANRTIMKQTEKATRLHIIDFGISYGLQWPCLIQSLSEKAGGPPNLRITAIELPQPGFRPAERIEETGRRLAKYSKRYGVPFEYNVIAKKWETIRLEELQIDRNEVIVVNCMHRLKQIPDETVMMNNPRDAVLNLIKRINPDLFMHGVVNGTYNAPAFVTRFKQLLFHFQALFDMYEATVPREDEHRLLFERAIFGRDIMNVIACEGLERVERPETYKQWQARYVRAGFKQLPLDRELFKKVKTMSKMMGYHKDFGIEDDGEWMLQGWKGRIILALSALKPA >ONI02135 pep chromosome:Prunus_persica_NCBIv2:G6:18376075:18385537:1 gene:PRUPE_6G179000 transcript:ONI02135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDRSSMEDADGTLASVAQCIEQLRQSSSSVQEKEYSLKQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSVGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLRSSSAEGQIAAAKTIYAVSQGGARDHVGSKIFSTEGVVPVLWEQLQKGIKTGSLVDSLLTGALKNLSSSTEGFWTATFQAGGVDALVKLLSTGQPNTQANVCFLLACMMMEDASVCSKVLASEATKQLLKLLGSGNEACVRAEAAGALKSLSSQCKEARREIANFNGIPVLINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQIADTLGALASALMIYDSTAESNRASDPVVIEQTLVSQFKPRLPFLVQERTIEALASLYGNSVLSTKLSNSEAKRLLVGLITMATNEVQDELMRALLTLCNSEESLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSASILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALKSMLSVVPLNDISREGSAANDAIETMIKILSSTKEETQAKSASALAGIFESRKDLRESSIAVKTLWSAIKLISVESVSILAEASRCLAAIFLSIKENRDVAAVARDVLSPLVVLANSSVLEVAELATCALANLILDSEVSEKAVAEEIIFPATRVLREGSVSGKTHAAAAIARLLHSRQIDYALTDCVNRAGTVLALVSFLESVHASVATSEALEALAILSRSEGATGETRPAWAVLAEFPKSITPIVLSIADAAPLLQDKAIEILSRLCRDQPDVLGDTVATASGCISSITKRVINSTKSKVKIGGAALLICAAKVSHQRVTEDLSESNLCTHLIQSLVAMLTSLGNPGDDDNDSISIYRRSKEETKNDESNSSTGVIYGVNLVMWLLSVLACHDERCKIVIMEAGAVEVLTDRISNCFSHYSQIEFKEDSSIWIYTLLLAILFQNRDIIRAHATMKSIPVLANWLRSEELTTRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDISDLLQLSEEFGLVRYPEQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLTQLAKDCPSNKIVMVESGALEALTRYLSLGPQDATEEAATDLLGILFGSAEIRRHDSSFGAVSQLVAVLRLGGRASRYSAAKALESLFSADHIRNAESARQAVQPLVEILNTGSEREQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCKILSSNCSMELKGDAAELCCVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGKNYLLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNASIAKGPSASKVVEPLFMLLTRPEFGPDGQHSALQVLVNILEHPQCRSDYSLTSHQAIEPIIPLLDSPAPAVQQLAAELLSHLLFEEQLQKDSVTQQVIGPLIRVLGSGIHILQQRAVKALVSIALIWPNEIAKEGGVTELSKVILQSDPSLPHALWESAASVLSSILQFSSEFYLEVPVAVLVRLLRSGSESTVVGALNALLVLESDDATSAEAMAESGALEALLELLRSHQCEETAARLLEVLLNNVKIRETKATKSAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPETSIQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKTEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKITLGNTPPKQTKVVSTGPNPEWDETFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNK >ONI02134 pep chromosome:Prunus_persica_NCBIv2:G6:18376075:18385537:1 gene:PRUPE_6G179000 transcript:ONI02134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDRSSMEDADGTLASVAQCIEQLRQSSSSVQEKEYSLKQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSVGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLRSSSAEGQIAAAKTIYAVSQGGARDHVGSKIFSTEGVVPVLWEQLQKGIKTGSLVDSLLTGALKNLSSSTEGFWTATFQAGGVDALVKLLSTGQPNTQANVCFLLACMMMEDASVCSKVLASEATKQLLKLLGSGNEACVRAEAAGALKSLSSQCKEARREIANFNGIPVLINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQIADTLGALASALMIYDSTAESNRASDPVVIEQTLVSQFKPRLPFLVQERTIEALASLYGNSVLSTKLSNSEAKRLLVGLITMATNEVQDELMRALLTLCNSEESLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSASILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALKSMLSVVPLNDISREGSAANDAIETMIKILSSTKEETQAKSASALAGIFESRKDLRESSIAVKTLWSAIKLISVESVSILAEASRCLAAIFLSIKENRDVAAVARDVLSPLVVLANSSVLEVAELATCALANLILDSEVSEKAVAEEIIFPATRVLREGSVSGKTHAAAAIARLLHSRQIDYALTDCVNRAGTVLALVSFLESVHASVATSEALEALAILSRSEGATGETRPAWAVLAEFPKSITPIVLSIADAAPLLQDKAIEILSRLCRDQPDVLGDTVATASGCISSITKRVINSTKSKVKIGGAALLICAAKVSHQRVTEDLSESNLCTHLIQSLVAMLTSLGNPGDDDNDSISIYRRSKEETKNDESNSSTGVIYGVNLVMWLLSVLACHDERCKIVIMEAGAVEVLTDRISNCFSHYSQIEFKEDSSIWIYTLLLAILFQNRDIIRAHATMKSIPVLANWLRSEELTTRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDISDLLQLSEEFGLVRYPEQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLTQLAKDCPSNKIVMVESGALEALTRYLSLGPQDATEEAATDLLGILFGSAEIRRHDSSFGAVSQLVAVLRLGGRASRYSAAKALESLFSADHIRNAESARQAVQPLVEILNTGSEREQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCKILSSNCSMELKGDAAELCCVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGKNYLLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNASIAKGPSASKVVEPLFMLLTRPEFGPDGQHSALQVLVNILEHPQCRSDYSLTSHQAIEPIIPLLDSPAPAVQQLAAELLSHLLFEEQLQKDSVTQQVIGPLIRVLGSGIHILQQRAVKALVSIALIWPNEIAKEGGVTELSKVILQSDPSLPHALWESAASVLSSILQFSSEFYLEVPVAVLVRLLRSGSESTVVGALNALLVLESDDATSAEAMAESGALEALLELLRSHQCEETAARLLEVLLNNVKIRETKATKSAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPETSIQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKTEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKITLGNTPPKQTKVVSTGPNPEWDETFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNK >ONI02133 pep chromosome:Prunus_persica_NCBIv2:G6:18375639:18385549:1 gene:PRUPE_6G179000 transcript:ONI02133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDRSSMEDADGTLASVAQCIEQLRQSSSSVQEKEYSLKQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSVGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLRSSSAEGQIAAAKTIYAVSQGGARDHVGSKIFSTEGVVPVLWEQLQKGIKTGSLVDSLLTGALKNLSSSTEGFWTATFQAGGVDALVKLLSTGQPNTQANVCFLLACMMMEDASVCSKVLASEATKQLLKLLGSGNEACVRAEAAGALKSLSSQCKEARREIANFNGIPVLINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQIADTLGALASALMIYDSTAESNRASDPVVIEQTLVSQFKPRLPFLVQERTIEALASLYGNSVLSTKLSNSEAKRLLVGLITMATNEVQDELMRALLTLCNSEESLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSASILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALKSMLSVVPLNDISREGSAANDAIETMIKILSSTKEETQAKSASALAGIFESRKDLRESSIAVKTLWSAIKLISVESVSILAEASRCLAAIFLSIKENRDVAAVARDVLSPLVVLANSSVLEVAELATCALANLILDSEVSEKAVAEEIIFPATRVLREGSVSGKTHAAAAIARLLHSRQIDYALTDCVNRAGTVLALVSFLESVHASVATSEALEALAILSRSEGATGETRPAWAVLAEFPKSITPIVLSIADAAPLLQDKAIEILSRLCRDQPDVLGDTVATASGCISSITKRVINSTKSKVKIGGAALLICAAKVSHQRVTEDLSESNLCTHLIQSLVAMLTSLGNPGDDDNDSISIYRRSKEETKNDESNSSTGVIYGVNLVMWLLSVLACHDERCKIVIMEAGAVEVLTDRISNCFSHYSQIEFKEDSSIWIYTLLLAILFQNRDIIRAHATMKSIPVLANWLRSEELTTRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDISDLLQLSEEFGLVRYPEQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLTQLAKDCPSNKIVMVESGALEALTRYLSLGPQDATEEAATDLLGILFGSAEIRRHDSSFGAVSQLVAVLRLGGRASRYSAAKALESLFSADHIRNAESARQAVQPLVEILNTGSEREQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCKILSSNCSMELKGDAAELCCVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGKNYLLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNASIAKGPSASKVVEPLFMLLTRPEFGPDGQHSALQVLVNILEHPQCRSDYSLTSHQAIEPIIPLLDSPAPAVQQLAAELLSHLLFEEQLQKDSVTQQVIGPLIRVLGSGIHILQQRAVKALVSIALIWPNEIAKEGGVTELSKVILQSDPSLPHALWESAASVLSSILQFSSEFYLEVPVAVLVRLLRSGSESTVVGALNALLVLESDDATSAEAMAESGALEALLELLRSHQCEETAARLLEVLLNNVKIRETKATKSAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPETSIQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKTEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKITLGNTPPKQTKVVSTGPNPEWDETFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNK >ONI02644 pep chromosome:Prunus_persica_NCBIv2:G6:22067845:22070705:-1 gene:PRUPE_6G212300 transcript:ONI02644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLSYITSSSPSIIFAFLLFLFSLLCISKYYQTNIAKKRAPPEAPGAWPIIGHLPYIGGPRPPHISLGNMADKYGPIFTIKLGVHRALVVSNWEIAKEFFTTNDKNFATRPKFLAAEIMGYDYAMFGFSPYGPYWRQMRKIATVELLSNHRLELLKRVREYEIQTCTKHIYDLWEENKGRSEKVLVEMNRWFGDVTLNVMFRMVVGKRFLGAKTKQEEEENERCRKGLRDFFKLGGEFVISDALPFLRWLDLGGFEKAMKKTSKDLDVALQGWLEEHKQKRSHCGNAKAEHDFMDMMLSVFDEINAKDIPASTSTSADTINKATCLALILGGTDTTTVTLTWALSLLLNNREALWKAQHELDMHVGRERQVKETDVQNLVYLQAIVKETMRLYPAAPLSVPHECMEDCTVASNHVKAGTRLLVNLSKIQRDPNVWVDPDQFQPERFLTTHKDFDVRGQNFEFMPFGSGRRICPGISLALQVVQLTLAHLLHGFEIATPSGSDEPIDMGETLGITNMKATPVEVLLTPRLRAHLYECVD >ONI01536 pep chromosome:Prunus_persica_NCBIv2:G6:11846652:11850909:1 gene:PRUPE_6G144900 transcript:ONI01536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFHHGSSEIQAPTDHALQTLYLMNPNYVPSFSDSAQQNPTPPSMLLFNHTPPPTTHHLVGIPLHSAAVGSNNSDDHSPPSLQHQTDPSRLHYNLWGPLGGQTPVGASAVSPTSATTQQGLSLSLSTHQQPGYNSNYRPSSFPAERDVPAKGSSLSSVSAMSHGFSGVLLGSKYLKAAQELLDEVVHVGKGSNADELDGGIKDKMKVSRETTAGIGASSSGGVKQGAELNTAQRQELQMKKAKLVSMLDEVEQRYRQYHQQMQFVITSFEQAAGFGSAKSYTHLALQTISKQFRCLKDAISTQIKASSKNLGEEECLGAKIEGSRLKYIDNHVRQQRALQQLGMVQHNPWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYVEETKEQEKNGSRNTANYKESGSTTPRESASTRIDDQMFRSTHENFSNQHPSPNEKSNNSSLSASPMAGSLQSQSGFNLVGMSNMQGSPKKRKSSEIENSPSSILSMDTDMKANETNREITTDHANGFGTYPIPEIERFDMNPEHLAQRFHGNGVSLTLGLPHRENISLSGTQQSFLSNHGQINIHDQLGRRFNTGGEADFCAINHPQPSHSGIDYEDMDMRSRKRFAAQLLPDFVA >ONI03089 pep chromosome:Prunus_persica_NCBIv2:G6:23783556:23785462:-1 gene:PRUPE_6G237800 transcript:ONI03089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILFWEDEQYYFVLSVSSPILFLLYVSFQHAFHPVLFLAQLWEVEGLFIRLFLCLTEVLIIFDLRYGSDLSFEFHEFLLLLYNSLIKMSFALHLHMGGSVIISLSVLCFMNITIVYKFLFIFHFLMKESVISFYYSLMVCRFYIFVFTIGHPYMEYPLVHTFSAD >ONI00873 pep chromosome:Prunus_persica_NCBIv2:G6:7817417:7822818:1 gene:PRUPE_6G109100 transcript:ONI00873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASITTALSRFSLIRFSLKLSPSFPHTTPFVLTKPKAPKYRFRLFSMASEPKESPANNPGLHATPDEATKSYFMQQTMFRIKDPKASLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYEDPASAPTNPADRTVWTFGQKATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGVTVDDTYKACERFEHLGVEFVKKPDDGKMKGIAFIKDPDGYWVEIFDLKTIGSITGGAS >ONI00878 pep chromosome:Prunus_persica_NCBIv2:G6:7817417:7822818:1 gene:PRUPE_6G109100 transcript:ONI00878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPKESPANNPGLHATPDEATKSYFMQQTMFRIKDPKASLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYEDPASAPTNPADRTVWTFGQKATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGVTVDDTYKACERFEHLGVEFVKKPDDGKMKGIAFIKDPDGYWVEIFDLKTIGSITGGAS >ONI00877 pep chromosome:Prunus_persica_NCBIv2:G6:7817417:7822818:1 gene:PRUPE_6G109100 transcript:ONI00877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPKESPANNPGLHATPDEATKSYFMQQTMFRIKDPKASLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYEDPASAPTNPADRTVWTFGQKATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGVTVDDTYKACERFEHLGVEFVKKPDDGKMKGIAFIKDPDGYWVEIFDLKTIGSITGGAS >ONI00876 pep chromosome:Prunus_persica_NCBIv2:G6:7817417:7822818:1 gene:PRUPE_6G109100 transcript:ONI00876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPKESPANNPGLHATPDEATKSYFMQQTMFRIKDPKASLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYEDPASAPTNPADRTVWTFGQKATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGVTVDDTYKACERFEHLGVEFVKKPDDGKMKGIAFIKDPDGYWVEIFDLKTIGSITGGAS >ONI00875 pep chromosome:Prunus_persica_NCBIv2:G6:7817675:7822818:1 gene:PRUPE_6G109100 transcript:ONI00875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPKESPANNPGLHATPDEATKSYFMQQTMFRIKDPKASLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYEDPASAPTNPADRTVWTFGQKATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGVTVDDTYKACERFEHLGVEFVKKPDDGKMKGIAFIKDPDGYWVEIFDLKTIGSITGGAS >ONI00874 pep chromosome:Prunus_persica_NCBIv2:G6:7817417:7822818:1 gene:PRUPE_6G109100 transcript:ONI00874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASITTALSRFSLIRFSLKLSPSFPHTTPFVLTKPKAPKYRFRLFSMASEPKESPANNPGLHATPDEATKSYFMQQTMFRIKDPKASLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYEDPASAPTNPADRTVWTFGQKATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGVTVDDTYKACERFEHLGVEFVKKPDDGKMKGIAFIKDPDGYWVEIFDLKTIGSITGGAS >ONI04842 pep chromosome:Prunus_persica_NCBIv2:G6:29536090:29538920:1 gene:PRUPE_6G342900 transcript:ONI04842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHWRGPFPPPVKDTESKIIEDRQIDIWSFWLCPCSCWCDGLAVVPTNVP >ONI03848 pep chromosome:Prunus_persica_NCBIv2:G6:26488800:26490991:-1 gene:PRUPE_6G285600 transcript:ONI03848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACCSKEALYGGGYIEDDRTNNRHFNESEGNDEEDNVRHGDDGARIRLQGCSRFTSMYTQQGRKGINQDAMTIWEDFTEKGMYFCGVFDGHGPEGHKVARCVRDNLPSKLSQVIKIYRLNTGIFSDIDVGSELYENVGHRHKKKASLDMPLSSWEASYVKSFKEMDEELSLDNTIDSFCSGSTAVTVVKQGDHLVIANLGDSRAVLGTRSGEKNQICSVQLTVDLKPDTPGEAERIKNCKGRILSVDEEPEVYRLWMPDEDCPGLAMSRAFGDFCVKDCGLISIPEVFYRRISSSDEFVVLATDGVWDALTNTDVVKIVASAKRRSIAAELVVKRAVRAWKRKFPESKIDDCAVICLYLKDQPSLTQTASNLSRGGKPNDTELSLSYYSTRSNIVSDVGCPASEISSKITEDSKEEWNALDGVERVNTMLKLPRFSNGLNRRKSQKDDEDGENQELVQ >ONI03847 pep chromosome:Prunus_persica_NCBIv2:G6:26488551:26491313:-1 gene:PRUPE_6G285600 transcript:ONI03847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMPINTIRPSPFNYLSLLASSPISAIWRDMGACCSKEALYGGGYIEDDRTNNRHFNESEGNDEEDNVRHGDDGARIRLQGCSRFTSMYTQQGRKGINQDAMTIWEDFTEKGMYFCGVFDGHGPEGHKVARCVRDNLPSKLSQVIKIYRLNTGIFSDIDVGSELYENVGHRHKKKASLDMPLSSWEASYVKSFKEMDEELSLDNTIDSFCSGSTAVTVVKQGDHLVIANLGDSRAVLGTRSGEKNQICSVQLTVDLKPDTPGEAERIKNCKGRILSVDEEPEVYRLWMPDEDCPGLAMSRAFGDFCVKDCGLISIPEVFYRRISSSDEFVVLATDGVWDALTNTDVVKIVASAKRRSIAAELVVKRAVRAWKRKFPESKIDDCAVICLYLKDQPSLTQTASNLSRGGKPNDTELSLSYYSTRSNIVSDVGCPASEISSKITEDSKEEWNALDGVERVNTMLKLPRFSNGLNRRKSQKDDEDGENQELVQ >ONI01542 pep chromosome:Prunus_persica_NCBIv2:G6:11927292:11928027:-1 gene:PRUPE_6G145400 transcript:ONI01542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKVFHGFQKGIRGCVLCSCSCGGGNSGFVCKVVVWVVGVGFVVTILPLNLTNLTAVSTLTKMKLKNYNSFLRI >ONI02701 pep chromosome:Prunus_persica_NCBIv2:G6:22378883:22383095:-1 gene:PRUPE_6G216400 transcript:ONI02701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMSNDGSNNNDNNNSNNNNNNWLDFSLSPNMKMEAPSEPHHHHHHHQISESSSSSVAAIASSVPTSFFHSPPHFNYGIYYGVEGDTSAGLYSPLSMMPLKSDGSLCIMEALNRSQQPQGMVTTTTTSTPKLEDFFGGATMGTHYENNDREAMALSLDSMFYQQNNHHEPNNQNLINHLQQQQQQQQQQQQNPRHQEQSVHQVQVQAQQQQYSYYSGLRGHEMMLEEGHKETHLAADNYNLQQPRMGLDQSISDMKCWISRNYAANHAMEQNMIGCMGDNGAESGSIGAMPYGDLQSLSLSMSPGSQSSCVTGSQQISPTLADSVTMDTKKRGPEKVDQKQIVHRKSLDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEDMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDRIMASNTLLAGELAKRNKETIPVNEAATNQNHVAQTSNGEAIVSLKNINEGEDWKMALYQSSQQLDQKQPSAEPHNVIQAEAEDSAKMGAAHVSNASSLVTSLSSSREGSPDKSCQPSFFGMPPAASKFFTSSSDTVSSWIPTVQSRPGLTMPHMPIFAAWTDA >ONI02702 pep chromosome:Prunus_persica_NCBIv2:G6:22378899:22383095:-1 gene:PRUPE_6G216400 transcript:ONI02702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMSNDGSNNNDNNNSNNNNNNWLDFSLSPNMKMEAPSEPHHHHHHHQISESSSSSVAAIASSVPTSFFHSPPHFNYGIYYGVEGDTSAGLYSPLSMMPLKSDGSLCIMEALNRSQQPQGMVTTTTTSTPKLEDFFGGATMGTHYENNDREAMALSLDSMFYQQNNHHEPNNQNLINHLQQQQQQQQQQQQNPRHQEQSVHQVQVQAQQQQYSYYSGLRGHEMMLEEGHKETHLAADNYNLQQPRMGLDQSISDMKCWISRNYAANHAMEQNMIGCMGDNGAESGSIGAMPYGDLQSLSLSMSPGSQSSCVTGSQQISPTLADSVTMDTKKRGPEKVDQKQIVHRKSLDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEDMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTSRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDRIMASNTLLAGELAKRNKETIPVNEAATNQNHVAQTSNGEAIVSLKNINEGEDWKMALYQSSQQLDQKQPSAEPHNVIQAEAEDSAKMGAAHVSNASSLVTSLSSSREGSPDKSCQPSFFGMPPAASKFFTSSSDTVSSWIPTVQSRPGLTMPHMPIFAAWTDA >ONI02703 pep chromosome:Prunus_persica_NCBIv2:G6:22378899:22382001:-1 gene:PRUPE_6G216400 transcript:ONI02703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTTTTSTPKLEDFFGGATMGTHYENNDREAMALSLDSMFYQQNNHHEPNNQNLINHLQQQQQQQQQQQQNPRHQEQSVHQVQVQAQQQQYSYYSGLRGHEMMLEEGHKETHLAADNYNLQQPRMGLDQSISDMKCWISRNYAANHAMEQNMIGCMGDNGAESGSIGAMPYGDLQSLSLSMSPGSQSSCVTGSQQISPTLADSVTMDTKKRGPEKVDQKQIVHRKSLDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEDMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDRIMASNTLLAGELAKRNKETIPVNEAATNQNHVAQTSNGEAIVSLKNINEGEDWKMALYQSSQQLDQKQPSAEPHNVIQAEAEDSAKMGAAHVSNASSLVTSLSSSREGSPDKSCQPSFFGMPPAASKFFTSSSDTVSSWIPTVQSRPGLTMPHMPIFAAWTDA >ONI02773 pep chromosome:Prunus_persica_NCBIv2:G6:22656344:22657739:1 gene:PRUPE_6G221100 transcript:ONI02773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYKCLFLLSLMFSSVFVTMSQQACTPHSFNQKTFDACQTLRVLNSTIHWSYYPSKGTVDVAFSQAVLSDSRWVAWAINPTSTGMVGSQAIVAFKRSDGTMSVYSSDIKSYGTRLEQGNLSFPLFDVSAIYENNQIVIFATIGLPNNVSVVNHVWQQGPLSGNTPQMHSVSGPNVQSFGTLDFLSGKVETIGRATSSVFKLKISHGIINTVSWGILMPIGAIVARHFKAADPAWFHAHRACQMLGYFGGVAGLATGVWLGHKSSGIEYKGHRCIGITLMALATLQVIVALCLRPKKTDKRRVFWNWFHYLVGYGTIVLGVVNILKGFDILKPGKIWKISYLITITVIGCIAVMLEAWKWLSLWKRKTAQSAEEKTDMPIEVIVLFLISLCIFYYLKYK >ONI02699 pep chromosome:Prunus_persica_NCBIv2:G6:22358798:22364937:-1 gene:PRUPE_6G216200 transcript:ONI02699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTDSPMAETTEKPPKDIESEDEEEQTFESLGLDGRLIRALNKKKIDKPTPIQQVAIPLILQGKDVVARAKTGSGKTFAYLLPLLQKLFTLEPKKKLAPSAIVLVPTRELSQQVYTEVSSLIELCRVPLKVVQLTSSMPAPDWRTALAALPEILVTTPACVKKCLSDGVLQPTSIDESLEILVLDEADLLLSYGYEGDIKALTPHIPKRCQCLLMSATSSDDVEKLKKLILHNSYILTLPEVGDIKDEVIPKNVQQFWISCSARDKLLYILSLLKLELIQKKVLIFTNTIDMGFRLKLFLEKFGIRSAVLNSELPQNSRLHILEEFNAGLFDYLIATDTSKSKENEDNGESSIEPKKSRKHAKHKADSEFGVVRGIDFKNVHTVVNLDMPQSAEGYVHRIGRTGRAYSTGASISLVSPDEMTIFEEIKSFLGDDEKDDSNLIPPFPLLTKNAVESLRYRAEDVAKSVTKIAVRESRAQDLRNEILNSEKLKAHFEVNPRDLDLLKHDKALSKKPPAPHLRDVPDYLLDATTKEASKTVKLARAAMGNTNPARRHGFKRKSKKNKDPLKTFTAEGHKRARRGGMKREGKDGNGNHRQKKRKT >ONI02378 pep chromosome:Prunus_persica_NCBIv2:G6:20126245:20127536:-1 gene:PRUPE_6G194200 transcript:ONI02378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTITSVDLCRSSSRLFVKHPGVFQHFSSATLKFQQGFRTNHEFLSLTIGQRNVFSRQPCRNKIMCSSSPLPGAPGPSHSWKGWMIGIILSVIIPFWRNKWAPLLALKKEVDMIVDTVEAVVEVAEQVAEKVEEVADDIGDHLPDGKLKDALEAVESIAKEAAKDAHLADQLIEKAEEVEDRVEDFFESAVDQAGNVITKDVGDEQNAQVEKKIQ >ONI05186 pep chromosome:Prunus_persica_NCBIv2:G6:30436809:30440576:1 gene:PRUPE_6G360700 transcript:ONI05186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRSIPRTFAYALALIVLLIFTVSAQESGVEAERGDSSGSKDLGRRSKINLGFLEVNALGRKNEVDAVALGLNVDSGLGIFDAFIASLSMILVSEIGDETFIIAALMAMRHPRSIVLSGALTALVIMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWRSDPKSSQKKEMEEVEEKLESGQGKTSFRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNALGVAVGATLGHTICTSVAVVGGSMLASKISQRTVATVGGLLFLCFSVSSYFYPPL >ONI05187 pep chromosome:Prunus_persica_NCBIv2:G6:30436965:30440489:1 gene:PRUPE_6G360700 transcript:ONI05187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRSIPRTFAYALALIVLLIFTVSAQESGVEAERGDSSGSKDLGRRSKINLGFLEVNALGRKNEVDAVALGLNVDSGLGIFDAFIASLSMILVSEIGDETFIIAALMAMRHPRSIVLSGALTALVIMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWRSDPKSSQKKEMEEVEEKLESGQGKTSFRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNALGVAVGATLGHTICTSVAVVGGSMLASKISQRTVATVGGLLFLCFSVSSYFYPPL >ONI03455 pep chromosome:Prunus_persica_NCBIv2:G6:24999329:25001992:1 gene:PRUPE_6G257700 transcript:ONI03455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHFPCFTSMAALLFSFFIAASWMPEFAAGKTRHYTFNIEYHNVTRLCNTRSILSVNGKFPGPRLVAREGDQVLIKVVNHVSNNITIHWHGIRQLQTGWADGPAYITQCPIQTGQAYTYNFTITGQRGTLLWHAHISWLRSTLHGPIIILPKRNESYPFVKPYKEVPIILGEWFNVDPEAVISQALQTGAGPNVSDAYTINGLPGPLYNCSSRDVFKLKVKPGKTYLLRLINAALNDELFFSIANHSLTVVEADAVYTKPFETDILLIAPGQTTNILLKTKPTSPNATFLILARPYFTGGGTLDNTTTAGILEYKHPNTTHHTSIKALPLFRPSLPPINATKFVNVTQFVENLAKKFRSLATKQFPANVPQTVDRRFFFTLGLGTSPCPQNSTCQGPNGLKFAASVNNFSFALPSTAMLQAHFSGQSSGVYTTDFPTKPLVAFNYTGTPPNITNVSNATKAVVLPFNTSVELVLQDTSILGAESHPLHLHGYNFFIVGLGFGNYDPTKDPANFNLVDPAERNTAGVPAGGWIAIRFFADNPGVWFMHCHLDIHTSWGLRMAWIVQDGPQPNQKLPPPPSDLPQC >ONI00971 pep chromosome:Prunus_persica_NCBIv2:G6:8271852:8273503:-1 gene:PRUPE_6G114500 transcript:ONI00971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPPWLESLLSTAFFSICPSHKDAPRSECNMYCLDCNNGAFCFYCRSSRHKDHQVIQIRRSSYHDVVRVSEIQKVLDINGVQTYVINSARVLFLNERPQPKNAVKGSSHICEVCARSLLDPLRFCSLGCKLVGQKKNGEASFILSAKKEEEEGRREGIEIRLPSKELEDQLPQGMQQHMYQNTSTPPHHSNSNSRRRKGIPHRAPLGP >ONI00970 pep chromosome:Prunus_persica_NCBIv2:G6:8271977:8273649:-1 gene:PRUPE_6G114500 transcript:ONI00970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLVPPWLESLLSTAFFSICPSHKDAPRSECNMYCLDCNNGAFCFYCRSSRHKDHQVIQIRRSSYHDVVRVSEIQKVLDINGVQTYVINSARVLFLNERPQPKNAVKGSSHICEVCARSLLDPLRFCSLGCKLVGQKKNGEASFILSAKKEEEEGRREGIEIRLPSKELEDQLPQGMQQHMYQNTSTPPHHSNSNSRRRKGIPHRAPLGP >ONI02096 pep chromosome:Prunus_persica_NCBIv2:G6:18119218:18123039:1 gene:PRUPE_6G176700 transcript:ONI02096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTMQYPLLLLLLVTIILWLPPTSSLDATNSSTKKRREVISGRHAAVATDDGRCSRIGMHVLREGGHAVDASVAAALCLGVVSPASSGIGGGAFMLIRLASGEAQAFDMRETAPLLASENMYSSNTTLKGKGALSIAIPGELAGLHKAWKQYGRLPWKRLVSPAERLARLGFKISPYLNMQMHRTESGILADEGLRHVFTLNGSLLKTGETCRNWKLAQTLRDISNFGPRAFYNGSIGSKLVRDIHKAGGTLTMKDLQNYRVKLRKPISTDTHGFKILAMPPPSGGPPMILMLNILSQYGNVSGVSDPLWVHREIESLKHVFAVRMNLGDPEFVNVTNVLADMLSPKFAEELKKTIYDNRTFDPSHYGGRWNQIHDHGTSHLSIIDNEQNAVSMTTTVNGYFGSQILSSSTGIILNNEMDDFSIPGNDSTVPPPAPPNFIRPGKRPLSSMTPTIVLKDEQLKAVVGASGGGMIIAATAQVLLNHFARGLDPLSSVMAPRVYHQLLPNVVQYENWTTVTGDHFEVPAQIRKALHKKGHVLDPLSGGSICQFIVQQIEALKNNGGTREIVAVSDPRKGGFPAGF >ONI00055 pep chromosome:Prunus_persica_NCBIv2:G6:4534253:4535333:-1 gene:PRUPE_6G065500 transcript:ONI00055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFKLKLYLLVPHSQHLLIIQLALNVYELDYDYNSIQTSCHIKEALAKYFSFLFLSWKALDLVRGKNVKRLMDPYLEGQFSNDDVTKLVQLASQCLQHEPLERPNAKFLLTALIPLQKETEVPSYVLMGIPPGNVPPFET >ONI00056 pep chromosome:Prunus_persica_NCBIv2:G6:4534253:4535332:-1 gene:PRUPE_6G065500 transcript:ONI00056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFKLKLYLLVPHSQHLLIIQLALNVYELDYDYNSIQTSCHIKEALAKYFSFLFLSWKALDLVRGKNVKRLMDPYLEGQFSNDDVTKLVQLASQCLQHEPLERPNAKFLLTALIPLQKETEQVPSYVLMGIPPGNVPPFET >ONH99986 pep chromosome:Prunus_persica_NCBIv2:G6:4217440:4222453:1 gene:PRUPE_6G061000 transcript:ONH99986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVKDFNCIYRNPNEPVEARVKDLLSRMTLKEKVGQMTQIERRVSTPDAIRDFSIGSVLSAGGSVPFEKALSSDWADMVDGFQRSALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLVKRIGAATALEVRASGIHYTFAPCVAVCRDPRWGRCYESYSEDTEIVRKMTSIVTGLQGQPPQGYPKGYPFVLGRNNTIACAKHFVGDGGTHKGLNEGNTISSYDDLERIHMAPYLNCISDGVSTVMASYSSWNGSKLHADRFLLTEILKDKLGFKGFVISDWEALDQLCEPRGADYRFCISSAVNAGIDMVMVPFRYEQFVKDLVYLVEHGNISMSRIDDAVERILRVKFVSGLFEHPFSDRSLLDMVGCKLHRDLAREAVRKSLVLLKNGKDSRKPFLPLDRKAKRILVAGTHADDLGYQCGGWTATWDGRSGRITTGTTVLEAIQKAVGDDTEIIYEQYPSADTLAREDISFAIVAVGEGPYAEFRGDNLELAIPFNGTDVISSVADRLPTLVILISGRPLTLEPWLLEKMDALVAAWLPGSEGEGIADVIFGDYDFEGLLPVSWFKRVEQLPMNALDNSYDPLYPLGYGLTYNKGKSLQ >ONH99990 pep chromosome:Prunus_persica_NCBIv2:G6:4217437:4222453:1 gene:PRUPE_6G061000 transcript:ONH99990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVTGLQGQPPQGYPKGYPFVLGRNNTIACAKHFVGDGGTHKGLNEGNTISSYDDLERIHMAPYLNCISDGVSTVMASYSSWNGSKLHADRFLLTEILKDKLGFKGFVISDWEALDQLCEPRGADYRFCISSAVNAGIDMVMVPFRYEQFVKDLVYLVEHGNISMSRIDDAVERILRVKFVSGLFEHPFSDRSLLDMVGCKLHRDLAREAVRKSLVLLKNGKDSRKPFLPLDRKAKRILVAGTHADDLGYQCGGWTATWDGRSGRITTGTTVLEAIQKAVGDDTEIIYEQYPSADTLAREDISFAIVAVGEGPYAEFRGDNLELAIPFNGTDVISSVADRLPTLVILISGRPLTLEPWLLEKMDALVAAWLPGSEGEGIADVIFGDYDFEGLLPVSWFKRVEQLPMNALDNSYDPLYPLGYGLTYNKGKSLQ >ONH99989 pep chromosome:Prunus_persica_NCBIv2:G6:4217440:4222453:1 gene:PRUPE_6G061000 transcript:ONH99989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVKDFNCIYRNPNEPVEARVKDLLSRMTLKEKVGQMTQIERRVSTPDAIRDFSIGSVLSAGGSVPFEKALSSDWADMVDGFQRSALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLVKRIGAATALEVRASGIHYTFAPCVAGFVISDWEALDQLCEPRGADYRFCISSAVNAGIDMVMVPFRYEQFVKDLVYLVEHGNISMSRIDDAVERILRVKFVSGLFEHPFSDRSLLDMVGCKLHRDLAREAVRKSLVLLKNGKDSRKPFLPLDRKAKRILVAGTHADDLGYQCGGWTATWDGRSGRITTGTTVLEAIQKAVGDDTEIIYEQYPSADTLAREDISFAIVAVGEGPYAEFRGDNLELAIPFNGTDVISSVADRLPTLVILISGRPLTLEPWLLEKMDALVAAWLPGSEGEGIADVIFGDYDFEGLLPVSWFKRVEQLPMNALDNSYDPLYPLGYGLTYNKGKSLQ >ONH99988 pep chromosome:Prunus_persica_NCBIv2:G6:4217437:4222453:1 gene:PRUPE_6G061000 transcript:ONH99988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVKDFNCIYRNPNEPVEARVKDLLSRMTLKEKVGQMTQIERRVSTPDAIRDFSIGSVLSAGGSVPFEKALSSDWADMVDGFQRSALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLVKRIGAATALEVRASGIHYTFAPCVAGFVISDWEALDQLCEPRGADYRFCISSAVNAGIDMVMVPFRYEQFVKDLVYLVEHGNISMSRIDDAVERILRVKFVSGLFEHPFSDRSLLDMVGCKLHRDLAREAVRKSLVLLKNGKDSRKPFLPLDRKAKRILVAGTHADDLGYQCGGWTATWDGRSGRITTGTTVLEAIQKAVGDDTEIIYEQYPSADTLAREDISFAIVAVGEGPYAEFRGDNLELAIPFNGTDVISSVADRLPTLVILISGRPLTLEPWLLEKMDALVAAWLPGSEGEGIADVIFGDYDFEGLLPVSWFKRVEQLPMNALDNSYDPLYPLGYGLTYNKGKSLQ >ONH99987 pep chromosome:Prunus_persica_NCBIv2:G6:4217437:4222585:1 gene:PRUPE_6G061000 transcript:ONH99987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVKDFNCIYRNPNEPVEARVKDLLSRMTLKEKVGQMTQIERRVSTPDAIRDFSIGSVLSAGGSVPFEKALSSDWADMVDGFQRSALESRLGIPLIYGIDAVHGNNSVYGATIFPHNVGLGATRDADLVKRIGAATALEVRASGIHYTFAPCVAVCRDPRWGRCYESYSEDTEIVRKMTSIVTGLQGQPPQGYPKGYPFVLGRNNTIACAKHFVGDGGTHKGLNEGNTISSYDDLERIHMAPYLNCISDGVSTVMASYSSWNGSKLHADRFLLTEILKDKLGFKGFVISDWEALDQLCEPRGADYRFCISSAVNAGIDMVMVPFRYEQFVKDLVYLVEHGNISMSRIDDAVERILRVKFVSGLFEHPFSDRSLLDMVGCKLHRDLAREAVRKSLVLLKNGKDSRKPFLPLDRKAKRILVAGTHADDLGYQCGGWTATWDGRSGRITTGTTVLEAIQKAVGDDTEIIYEQYPSADTLAREDISFAIVAVGEGPYAEFRGDNLELAIPFNGTDVISSVADRLPTLVILISGRPLTLEPWLLEKMDALVAAWLPGSEGEGIADVIFGDYDFEGLLPVSWFKRVEQLPMNALDNSYDPLYPLGYGLTYNKGKSLQ >ONI02392 pep chromosome:Prunus_persica_NCBIv2:G6:20270501:20271310:-1 gene:PRUPE_6G195300 transcript:ONI02392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKQNIIKFAPWKLDKKAYEFKVCPWVKIQNVPLLKMTEKLARSIGDRIGKCIDISRCEGGELVRGFMRIRVRIDITKPLWRGMRLTFLLCSTDLVEFFYEKLPKFYRRIGHMLQDCNHVPEQQKKAKEQPYGRFLTPRGYDHAQPSNSQSYDSSSEEEEENRGNKINFNDGNSLEVSNKVQAVSSQLQSTNARRLQVKEFSREGSKGKDMGHSTSNSLSSQRISRGSKQRDIN >ONH99618 pep chromosome:Prunus_persica_NCBIv2:G6:2976056:2979447:1 gene:PRUPE_6G039600 transcript:ONH99618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >ONH99619 pep chromosome:Prunus_persica_NCBIv2:G6:2976299:2979447:1 gene:PRUPE_6G039600 transcript:ONH99619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >ONI00527 pep chromosome:Prunus_persica_NCBIv2:G6:6415400:6416873:-1 gene:PRUPE_6G093100 transcript:ONI00527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSELPSEVICFQILARLPVKSLMRFKCVCKSWSSLNRNPSFVNAHRNFHRNNHTQLLLTTWDEAKLQQTFFSIQIKQGSPTLVTRLLNLPTPPTKNGERLYNALSVNGLVCLYLSDKVFPNRTDHNHPVRILNPCTRESIILPHDSPAYSAIHLAHHFGFSPRTNEYKVLQVKRFQPLNSIEDMSFMFKIFKLGTSSWRRIEVDLNDVPFDLLKCQFDRRSVCAHGAIHWMHASTQNIIAVFDLEDERFTAIPLPEDYNYGIHGINNTLGDIVELDGCLALIGDRHLMQQNMMELWILRDYENQVWVKETITFPFYWGDLGYPVPLYTIHTGELLLQSSRLLRQDPAQVKVFFYNMESKSFRSEIVSPRLRLSDHLRLKLLVGCGGDSIVPLR >ONI00443 pep chromosome:Prunus_persica_NCBIv2:G6:6105522:6108709:1 gene:PRUPE_6G089300 transcript:ONI00443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPLISSPPFLTLFLFSFMTLCLSPQHALASITRHYKFDIKLQNVTRLCQTKSIVTVNGQFPGPRIVAREGDQLLIKVINHVQNNISVHWHGIRQLRTGWADGPAYVTQCPIQTGHSYVYNFTIVGQRGTLWWHAHISWLRSTVYGPLIILPKLGVPYPFTKPYKAVPIIFGEWWNADPEAVISQALQTGGGPNVSDAYTLNGLPGPLYNCSAKDAFKLKVEAGKTYLLRLINAALNDELFFSIANHTLKVVEADAVYVKPFETHTILIAPGQTTNVLLKTKPSFPNATFFLTARPYVTGLGTFDNSTVAGILVYEFPPNTKTLKMKKLPLFKPNLPALNDTSFATKFNDKLRSLNNARFPANVPQKVDKHFFFTVGLGTNPCSQHNRTCQGPNGTMFAASINNISFAMPNTALLQSHFSSQSNGVYTPDFPTNPIIPFNYTGAPPNDTMVSNGTKLVVLQFNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDRNKDPAKFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEIHTSWGLKMAWVVLDGKLPNQKLLPPPADLPKC >ONI03468 pep chromosome:Prunus_persica_NCBIv2:G6:25035362:25037238:-1 gene:PRUPE_6G258700 transcript:ONI03468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPDIAFAPNVQEIVRSDPSQIPENFLIIRNEEEGKSNNTADTCHLSSEIPIVDLSLLSRGHKEELNKLDQACKEWGFFQVVNHGVATEVLQEMKDATAKFFELPLEEKNKIRMPSDDFQGYGQAYAASQGQTLDWSDALFLSVYPSHHRKLKFWPTSPEGFKDAIEAYSSGVKRVGDELLRSLSCTLGMEKDALLKLHQEVVQVLRVNYYPTCHMPDKVLGLSPHSDTGTITILMQEDNVTGLQIRKEGEWVPVKPIPNALVVNVGDVIEILSNGKYKSIEHRAVTTETKARISYASFLLPHLDVEVEPFDHIVEALGTRRYKKVKYGDYLGNSLKGKLKGKAHIETAKIGS >ONH99037 pep chromosome:Prunus_persica_NCBIv2:G6:567840:570602:1 gene:PRUPE_6G006700 transcript:ONH99037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPSATESLYKLYFIVSQRVSETAAMESISLTHAFSATNHSFRWRRKRNPEKPFHSQRFHLHKPTGRLQKINSKHSDFQDLKGYAKPSRLLPATEVKVCTDNSVVKAFSSLSDDGSQSLCKVKLGTSNVYGSSLSDLNAGILLCVIDENGDSILQRMPSSLITDHSTESEDGILHFQRGSVDEFTFEGPKLGKVEAVWISLESGQWRLGSVSLTVICGQQSSLEEKDGEKLQYIGFNYNFEVDDILLGEGSDSSMVELRPCLVTKLSGVDPFTVFNKSIPESTMLVGRGISNEETMREYEDLKLSLLLYDAMLIFVGTSVASFSAGEEVSFAFLTGGIGGFLYLLLLQRSVDGLPAPELTSSNTGRPNQIFGGSKGRIFVLALAIGFALFTVKYGSGDAPMEFTPKELMAGMIGFLACKVAVVLAAVKPMRISLKINE >ONI00862 pep chromosome:Prunus_persica_NCBIv2:G6:7710147:7712257:-1 gene:PRUPE_6G108000 transcript:ONI00862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSVHNTHHQKRPHAIFIAYPLQGHVIPSVHLAIKLASRGFKITFINTHSIHHQTSKAQPDSGSDPFAYVRESSGLDIDYTTVSDGLPLEFDRSLNHDQFMASLLHVFSAHAEEVVGKVVKSSADITPVTCMIADTFFVWPSKIAKKFGLLYVSFWTEPALVFTLYYHLDLLRKNGHFACQDVREDTIDYVPGVRAIEPKDMTSFLQETDTTSVCHHIIFNAFKDARGAHFHLCNTVQEIEAETISALQSKTPFYAIGPIFPSKFNKNIVATSLWSESDCTQWLNTRPQDSVLYVSFGSYAHVSKKDLIEIANGLLLSKVNFVWVLRPDIVSSNDTEPLPTGFREQVKDRAIVIPWCSQKAVLAHPAIGGFLTHCGWNSTLESIWCSVPMLCFPLLTDQFTNRKLVVDDWKIGINLCDRRAVSKEEVSEKINRLMDGKSRDEYRKAVVKVKKTLEDASTPNGSSEKNMDNFIKALKAKM >ONI02881 pep chromosome:Prunus_persica_NCBIv2:G6:23013739:23015716:-1 gene:PRUPE_6G226000 transcript:ONI02881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIIDGLKHRKLQSINSQSYNLTSTTYNDDQVEWCVTLTFLARLIAYVGILTLLVIVAYMILKYINEYDGEEGGIEDVRESTETSTLQPGKTMPVTYGTCDEWDIESGNCSSSNSSNGPITSSSEDLYDGKICVICYTEQRDCFLVPCGHCATCYVCAQRIFYGDSKICPICRRSIRKVRKLFAAQD >ONI05101 pep chromosome:Prunus_persica_NCBIv2:G6:30223583:30227384:1 gene:PRUPE_6G356100 transcript:ONI05101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFHVVVKFPSNRRKSRYSQFIRSKRNFCVLGSKCSSLLSSKTEEAFSKCSTRTSEPEMKRVINFLLEEASSCIKEWRRLHFMKVAGLLACTFMIMPSANATDALKTCACLLKECRVELAKCIGNPSCAANIACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPKKSDVGEFPIPDPAVLVKSFDIEKFNGKWFITSGLNPTFDAFDCQLHEFHTESSKLVGNLSWRIRTPDGGFFTRSAVQKFVQDPNQPGILYNHDNDYLHYQDDWYILSSKMENKPDDYIFVYYRGRNDAWDGYGGAVIYTRSSVLPASIVPDLEKAAASVGRDFSKFIRTDNTCGPEPPLVERLEKTLEEGEKNIIEEVKQLEGEVEKVEQTELTLLQKLAEGFNELKQDEENFLRGLSKEEMDILSELKMEAGEVEKLFGQTLPLRKLR >ONI05100 pep chromosome:Prunus_persica_NCBIv2:G6:30223583:30227384:1 gene:PRUPE_6G356100 transcript:ONI05100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGRSIFLSHNDSIGNTCIRLGLTSDERFQKRMVGFHVVVKFPSNRRKSRYSQFIRSKRNFCVLGSKCSSLLSSKTEEAFSKCSTRTSEPEMKRVINFLLEEASSCIKEWRRLHFMKVAGLLACTFMIMPSANATDALKTCACLLKECRVELAKCIGNPSCAANIACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPKKSDVGEFPIPDPAVLVKSFDIEKFNGKWFITSGLNPTFDAFDCQLHEFHTESSKLVGNLSWRIRTPDGGFFTRSAVQKFVQDPNQPGILYNHDNDYLHYQDDWYILSSKMENKPDDYIFVYYRGRNDAWDGYGGAVIYTRSSVLPASIVPDLEKAAASVGRDFSKFIRTDNTCGPEPPLVERLEKTLEEGEKNIIEEVKQLEGEVEKVEQTELTLLQKLAEGFNELKQDEENFLRGLSKEEMDILSELKMEAGEVEKLFGQTLPLRKLR >ONI05098 pep chromosome:Prunus_persica_NCBIv2:G6:30223578:30227384:1 gene:PRUPE_6G356100 transcript:ONI05098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGRSIFLSHNDSIGNTCIRLGLTSDERFQKRMVGFHVVVKFPSNRRKSRYSQFIRSKRNFCVLGSKCSSLLSSKTEEAFSKCSTRTSEPEMKRVINFLLEEASSCIKEWRRLHFMKVAGLLACTFMIMPSANATDALKTCACLLKECRVELAKCIGNPSCAANIACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPKKSDVGEFPIPDPAVLVKSFDIEKFNGKWFITSGLNPTFDAFDCQLHEFHTESSKLVGNLSWRIRTPDGGFFTRSAVQKFVQDPNQPGILYNHDNDYLHYQDDWYILSSKMENKPDDYIFVYYRGRNDAWDGYGGAVIYTRSSVLPASIVPDLEKAAASVGRDFSKFIRTDNTCGPEPPLVERLEKTLEEGEKNIIEEVKQLEGEVEKVEQTELTLLQKLAEGFNELKQDEENFLRGLSKEEMDILSELKMEAGEVEKLFGQTLPLRKLR >ONI05102 pep chromosome:Prunus_persica_NCBIv2:G6:30223580:30227384:1 gene:PRUPE_6G356100 transcript:ONI05102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVINFLLEEASSCIKEWRRLHFMKVAGLLACTFMIMPSANATDALKTCACLLKECRVELAKCIGNPSCAANIACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPKKSDVGEFPIPDPAVLVKSFDIEKFNGKWFITSGLNPTFDAFDCQLHEFHTESSKLVGNLSWRIRTPDGGFFTRSAVQKFVQDPNQPGILYNHDNDYLHYQDDWYILSSKMENKPDDYIFVYYRGRNDAWDGYGGAVIYTRSSVLPASIVPDLEKAAASVGRDFSKFIRTDNTCGPEPPLVERLEKTLEEGEKNIIEEVKQLEGEVEKVEQTELTLLQKLAEGFNELKQDEENFLRGLSKEEMDILSELKMEAGEVEKLFGQTLPLRKLR >ONI05099 pep chromosome:Prunus_persica_NCBIv2:G6:30223583:30227384:1 gene:PRUPE_6G356100 transcript:ONI05099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGRSIFLSHNDSIGNTCIRLGLTSDERFQKRMVGFHVVVKFPSNRRKSRYSQFIRSKRNFCVLGSKCSSLLSSKTEEAFSKCSTRTSEPEMKRVINFLLEEASSCIKEWRRLHFMKVAGLLACTFMIMPSANATDALKTCACLLKECRVELAKCIGNPSCAANIACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPKKSDVGEFPIPDPAVLVKSFDIEKFNGKWFITSGLNPTFDAFDCQLHEFHTESSKLVGNLSWRIRTPDGGFFTRSAVQKFVQDPNQPGILYNHDNDYLHYQDDWYILSSKMENKPDDYIFVYYRGRNDAWDGYGGAVIYTRSSVLPASIVPDLEKAAASVGRDFSKFIRTDNTCGPEPPLVERLEKTLEEGEKNIIEEVKQLEGEVEKVEQTELTLLQKLAEGFNELKQDEENFLRGLSKEEMDILSELKMEAGEVEKLFGQTLPLRKLR >ONH99726 pep chromosome:Prunus_persica_NCBIv2:G6:3322286:3323824:-1 gene:PRUPE_6G046000 transcript:ONH99726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIISWNIRGLGSRRKRLVLKEQLVWLRPEIVILQETKKQAIDRRLVASVWGSRFRDWVCVPSTGRSGGIVII >ONI01651 pep chromosome:Prunus_persica_NCBIv2:G6:13070244:13072562:1 gene:PRUPE_6G151000 transcript:ONI01651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSKLFVFGSFSEDETRSLLQKQSPGKAEKPLEKNVLQFGSINFVTKISSGECNGESSRPQNSVKGLSKVQPLSSLNKPNEVEAVKAANDSLPASLTTPTENGCTDNYKNGSAHSNGVTEATMDNLDVASLPLSNNAGGLSNQFSSLELQNREQNGRVDDLSASKRKDELFFREGWVTIKKHYVSPPRWWKKFHLQDGRRLIVINLLQLPPALY >ONI02472 pep chromosome:Prunus_persica_NCBIv2:G6:20827842:20831412:1 gene:PRUPE_6G200600 transcript:ONI02472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTRREDIESFSFGVQSHVHKIQPLEGGDAWELFSMKAFSSDLKKSCSPELLPSARELVEKCEGLPLAIVALSGLMSSKKSLKEWSIVYNSLNWHLTNNSLLQPMKMHILLFSFNDFPYRLRQCFLYCSLFPEGHVIIMSNKVGANPKKLGHIAEHRNPVSTAIGKAKHRNLVSTAIDKAEHINPVSTTVDKAEYRNSVSTAIGKAEHRNPMSTVADKAEHRNPGLISRKAIGVRIPSSISKMKKLQTLAYIESEGNIIRLIGNMTQLTFLGILNVKEIDEENLCPFPLSLTNLNLHESRLEEDLLSHIEALPSLHSLCLDNASVRKELCFNRGFVKLRHLWFWDLALLNKITIEKGAMPNLEFLGIRSCLTLETLP >ONI03023 pep chromosome:Prunus_persica_NCBIv2:G6:23570205:23574917:-1 gene:PRUPE_6G234100 transcript:ONI03023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRHFLSSSSAFPSTTHLRHPLPSSSPSTSVLMLHEQAVPAVTSVPITSVARHFPTSVLLQEQRDEFKPLLHVLKEDKTSEAALDRMQIETGASVHEDLDIDDFYPLVNSSKPQLLNHDILDVFSSLQTGAKPSTPLTLESLTTASNKHMDVEPCNVVALAKKALSASREAASLAEDSQSMGADFDESLSLGLGYTSLANWIVEEEKTVRSTRILERRRKRIKTRKVPKSKVIGHESNSFTGSDVRKKISEGFNPNDPLRLFLWGPETRQLLTAKEEAELIARVQDLFKLEEVKSRLQTQFGREPTLIEWAEAVGISCQILKSQLRSGTSSREKLIYANLRMVVHIAKQYQGRGLGLQDLMQENVYSLLGKVLEAKKSCIQEGNHNPNKEELARRVGITVEKLEKLLYATRMPLSMQQPVWADQDTTFQEVTADTGVEIPDVSVAKQLMRQHVRHLLSILNLREREIIRLRYGIEDGKQRSLSEIGGMFGLSKERVRQLESRAFLKLRQSLGSQGLGAYGPLLV >ONI03025 pep chromosome:Prunus_persica_NCBIv2:G6:23570205:23574917:-1 gene:PRUPE_6G234100 transcript:ONI03025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEPCNVVALAKKALSASREAASLAEDSQSMGADFDESLSLGLGYTSLANWIVEEEKTVRSTRILERRRKRIKTRKVPKSKVIGHESNSFTGSDVRKKISEGFNPNDPLRLFLWGPETRQLLTAKEEAELIARVQDLFKLEEVKSRLQTQFGREPTLIEWAEAVGISCQILKSQLRSGTSSREKLIYANLRMVVHIAKQYQGRGLGLQDLMQEGSMGLMKSVEKFKPQAGCRFATYAYWWIRQTVRKAIFQHSRTIRLPENVYSLLGKVLEAKKSCIQEGNHNPNKEELARRVGITVEKLEKLLYATRMPLSMQQPVWADQDTTFQEVTADTGVEIPDVSVAKQLMRQHVRHLLSILNLREREIIRLRYGIEDGKQRSLSEIGGMFGLSKERVRQLESRAFLKLRQSLGSQGLGAYGPLLV >ONI03026 pep chromosome:Prunus_persica_NCBIv2:G6:23571501:23574917:-1 gene:PRUPE_6G234100 transcript:ONI03026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRHFLSSSSAFPSTTHLRHPLPSSSPSTSVLMLHEQAVPAVTSVPITSVARHFPTSVLLQEQRDEFKPLLHVLKEDKTSEAALDRMQIETGASVHEDLDIDDFYPLVNSSKPQLLNHDILDVFSSLQTGAKPSTPLTLESLTTASNKHMDVEPCNVVALAKKALSASREAASLAEDSQSMGADFDESLSLGLANWIVEEEKTVRSTRILERRRKRIKTRKVPKSKVIGHESNSFTGSDVRKKISEGFNPNDPLRLFLWGPETRQLLTAKEEAELIARVQDLFKLEEVKSRLQTQFGREPTLIEWAEAVGISCQILKSQLRSGTSSREKLIYANLRMVVHIAKQYQGRGLGLQDLMQEGSMGLMKSVEKFKPQAGCRFATYAYWWIRQTVRKAIFQHSRTIRLPDFATE >ONI03024 pep chromosome:Prunus_persica_NCBIv2:G6:23570660:23574719:-1 gene:PRUPE_6G234100 transcript:ONI03024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRHFLSSSSAFPSTTHLRHPLPSSSPSTSVLMLHEQAVPAVTSVPITSVARHFPTSVLLQEQRDEFKPLLHVLKEDKTSEAALDRMQIETGASVHEDLDIDDFYPLVNSSKPQLLNHDILDVFSSLQTGAKPSTPLTLESLTTASNKHMDVEPCNVVALAKKALSASREAASLAEDSQSMGADFDESLSLGLGYTSLANWIVEEEKTVRSTRILERRRKRIKTRKVPKSKVIGHESNSFTGSDVRKKISEGFNPNDPLRLFLWGPETRQLLTAKEEAELIARVQDLFKLEEVKSRLQTQFGREPTLIEWAEAVGISCQILKSQLRSGTSSREKLIYANLRMVVHIAKQYQGRGLGLQDLMQEGSMGLMKSVEKFKPQAGCRFATYAYWWIRQTVRKAIFQHSRTIRLPENVYSLLGKVLEAKKSCIQEGNHNPNKEELARRVGITVEKLEKLLYATRMPLSMQQPVWADQDTTFQEVTADTGVEIPDVSVAKQLMRQHVRHLLSILNLREREIIRLRYGIEDGKQRSLSEIGGMFGLSKERVRQLESRAFLKLRQSLGSQGLGAYGPLLV >ONI03022 pep chromosome:Prunus_persica_NCBIv2:G6:23570205:23574917:-1 gene:PRUPE_6G234100 transcript:ONI03022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRHFLSSSSAFPSTTHLRHPLPSSSPSTSVLMLHEQAVPAVTSVPITSVARHFPTSVLLQEQRDEFKPLLHVLKEDKTSEAALDRMQIETGASVHEDLDIDDFYPLVNSSKPQLLNHDILDVFSSLQTGAKPSTPLTLESLTTASNKHMDVEPCNVVALAKKALSASREAASLAEDSQSMGADFDESLSLGLANWIVEEEKTVRSTRILERRRKRIKTRKVPKSKVIGHESNSFTGSDVRKKISEGFNPNDPLRLFLWGPETRQLLTAKEEAELIARVQDLFKLEEVKSRLQTQFGREPTLIEWAEAVGISCQILKSQLRSGTSSREKLIYANLRMVVHIAKQYQGRGLGLQDLMQEGSMGLMKSVEKFKPQAGCRFATYAYWWIRQTVRKAIFQHSRTIRLPENVYSLLGKVLEAKKSCIQEGNHNPNKEELARRVGITVEKLEKLLYATRMPLSMQQPVWADQDTTFQEVTADTGVEIPDVSVAKQLMRQHVRHLLSILNLREREIIRLRYGIEDGKQRSLSEIGGMFGLSKERVRQLESRAFLKLRQSLGSQGLGAYGPLLV >ONI03027 pep chromosome:Prunus_persica_NCBIv2:G6:23571590:23574719:-1 gene:PRUPE_6G234100 transcript:ONI03027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRHFLSSSSAFPSTTHLRHPLPSSSPSTSVLMLHEQAVPAVTSVPITSVARHFPTSVLLQEQRDEFKPLLHVLKEDKTSEAALDRMQIETGASVHEDLDIDDFYPLVNSSKPQLLNHDILDVFSSLQTGAKPSTPLTLESLTTASNKHMDVEPCNVVALAKKALSASREAASLAEDSQSMGADFDESLSLGLGYTSLANWIVEEEKTVRSTRILERRRKRIKTRKVPKSKVIGHESNSFTGSDVRKKISEGFNPNDPLRLFLWGPETRQLLTAKEEAELIARVQDLFKLEEVKSRLQTQFGREPTLIEWAEAVGISCQILKSQLRSGTSSREKLIYANLRMVVHIAKQYQGRGLGLQDLMQEGSMGLMKSVEKFKPQAGCRFATYAYWWIRQTVRKAIFQHSRTIRLPDFATE >ONI03578 pep chromosome:Prunus_persica_NCBIv2:G6:25484451:25485365:-1 gene:PRUPE_6G266700 transcript:ONI03578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEITGVPGMEGLLYRQDLPGFCLVKQANHPTLQFFINECQILKRASALILDTVSELDAQILSHMAPIFSKIYTLGPLHALLNSQIGDVSRGLASHGSLWKSDLNCMTWLDSQPSKSVIYVSFGTLVHLTRAQVIEFWYGLVNSGHPFLWVMRSDITSGDHQIPTELEKGTKERGYVVDWVSQEEVLAHKSVGGFLTHSGWNSTLESIVAGLPMISWPKFGDHYIISRTVCQQWKIGLQLNENCDRSNIESMVQTLMGPKGEEIQSSMDAISKLARDSVAEGGSSHNNLEQLIEYIRNLQHQN >ONI05275 pep chromosome:Prunus_persica_NCBIv2:G6:30662345:30667837:1 gene:PRUPE_6G365400 transcript:ONI05275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFTSTLLIYFAWTEHFLNGYLTHTTLVWAQGRSAWQSLSSIPGLITGISRHDQEPQFSNTVPPTDDDDDFEKWQKQLGEAEADSDRPLTPPEGEEEFTDDDGTKYKWDRGLRAWVPQDSTFGVEEMTFLQEEEVFPAVNFSEVSVGEEVNAPGNAFGPTKGEDANGSSEVEEGDQSSKRKLSDKEANKKEANKPPDSWFELKVNTHVYVTGLPDDVTVDEVVEVFTKCGIIKEDPETKKPRVKLYVDKETGKKKGDALVTYLKEPSVVLATQILDGTPLRPGGKILMSVTQAKFQQKGDKFVAKQADNKRKKKLKKVEEKMLGWGGRDDAKVSIATTVILRYMFTPAEMRADENLCSELKADVEEECLKLGPVDSVKVCENHPQGVVLVRFKDRNDAQKCIQLMNGRWFGGRQIHASEDDGLINHALVRNLDDDTARLEQFGTELEAE >ONI05273 pep chromosome:Prunus_persica_NCBIv2:G6:30661400:30667837:1 gene:PRUPE_6G365400 transcript:ONI05273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQNGSENHHPHPEEEKEKEEQRQQQSGSEQKSLGMEGQPETLSEVGWFILGENQQHVGPYAFSELREHFLNGYLTHTTLVWAQGRSAWQSLSSIPGLITGISRHDQEPQFSNTVPPTDDDDDFEKWQKQLGEAEADSDRPLTPPEGEEEFTDDDGTKYKWDRGLRAWVPQDSTFGVEEMTFLQEEEVFPAVNFSEVSVGEEVNAPGNAFGPTKGEDANGSSEVEEGDQSSKRKLSDKEANKKEANKPPDSWFELKVNTHVYVTGLPDDVTVDEVVEVFTKCGIIKEDPETKKPRVKLYVDKETGKKKGDALVTYLKEPSVVLATQILDGTPLRPGGKILMSVTQAKFQQKGDKFVAKQADNKRKKKLKKVEEKMLGWGGRDDAKVSIATTVILRYMFTPAEMRADENLCSELKADVEEECLKLGPVDSVKVCENHPQGVVLVRFKDRNDAQKCIQLMNGRWFGGRQIHASEDDGLINHALVRNLDDDTARLEQFGTELEAE >ONI05274 pep chromosome:Prunus_persica_NCBIv2:G6:30661400:30667837:1 gene:PRUPE_6G365400 transcript:ONI05274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQPETLSEVGWFILGENQQHVGPYAFSELREHFLNGYLTHTTLVWAQGRSAWQSLSSIPGLITGISRHDQEPQFSNTVPPTDDDDDFEKWQKQLGEAEADSDRPLTPPEGEEEFTDDDGTKYKWDRGLRAWVPQDSTFGVEEMTFLQEEEVFPAVNFSEVSVGEEVNAPGNAFGPTKGEDANGSSEVEEGDQSSKRKLSDKEANKKEANKPPDSWFELKVNTHVYVTGLPDDVTVDEVVEVFTKCGIIKEDPETKKPRVKLYVDKETGKKKGDALVTYLKEPSVVLATQILDGTPLRPGGKILMSVTQAKFQQKGDKFVAKQADNKRKKKLKKVEEKMLGWGGRDDAKVSIATTVILRYMFTPAEMRADENLCSELKADVEEECLKLGPVDSVKVCENHPQGVVLVRFKDRNDAQKCIQLMNGRWFGGRQIHASEDDGLINHALVRNLDDDTARLEQFGTELEAE >ONI01529 pep chromosome:Prunus_persica_NCBIv2:G6:11743263:11743373:-1 gene:PRUPE_6G144400 transcript:ONI01529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRLRLNSWNLDPERKRTGGLRTKQAGRGSCRGS >ONH99538 pep chromosome:Prunus_persica_NCBIv2:G6:2667930:2672391:-1 gene:PRUPE_6G035500 transcript:ONH99538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESESVEDNEVNPQTVFHADDKDNDDGSNEIRNNGSCANVTENVANTRVNHVDTAQPVNSTLPAAHSPGGGSPPTVKGHGLKKWKRIKRNFSRDDSDNAVDDSSKVLKRGLSGNGNPVKSKNSPREINHNSEGSVGSVNMLRNVGVVDGFAIHGSSSDSRFAVGSAFAAGADSENSEDRSSKSSTAASVPKAKYELPAVLGHAREKNRMKSTGGKSLNSSALRAQQGRVQIESSKKHRGDRVKVEKENSYSSVESDSRSSNYVFMQSPILTSNGKQSGRSMSHDGENSDDVHASEQQDSEEVQTGYSKENVGEAEFFSQDDFLADLPWKVKGEKNKNRRSLKDQDPLFESILNLQSVQEALAKEVQKFGEIGKEPLSPGDNSVNGSGIPADLSSSDPGISESNLSDHLGYAKIGQTSSKSLEAQVLGLKQSVKLLESKLDESRAMLEVKESKVAELEDMINISKSPKEESGSTIDIEEEKYRELETELEGLFRQMIEAQVEYIAIKRTTQRLKFAAGGQIALLDEQEEVAGEQAQMLNKLGEAEIRASKLKERAEELGKYGGDIVATEEVFTMQKRFCKITSCLFIQMILLGLAVWFFSSQTPHQGLAVPT >ONH99539 pep chromosome:Prunus_persica_NCBIv2:G6:2667904:2672391:-1 gene:PRUPE_6G035500 transcript:ONH99539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESESVEDNEVNPQTVFHADDKDNDDGSNEIRNNGSCANVTENVANTRVNHVDTAQPVNSTLPAAHSPGGGSPPTVKGHGLKKWKRIKRNFSRDDSDNAVDDSSKVLKRGLSGNGNPVKSKNSPREINHNSEGSVGSVNMLRNVGVVDGFAIHGSSSDSRFAVGSAFAAGADSENSEDRSSKSSTAASVPKAKYELPAVLGHAREKNRMKSTGGKSLNSSALRAQQGRVQIESSKKHRGDRVKVEKENSYSSVESDSRSSNYVFMQSPILTSNGKQSGRSMSHDGENSDDVHASEQQDSEEVQTGYSKENVGEAEFFSQDDFLADLPWKVKGEKNKNRRSLKDQDPLFESILNLQSVQEALAKEVQKFGEIGKEPLSPGDNSVNGSGIPADLSSSDPGISESNLSDHLGYAKIGQTSSKSLEAQVLGLKQSVKLLESKLDESRAMLEVKESKVAELEDMINISKSPKEESGSTIDIEEEKYRELETELEGLFRQMIEAQVEYIAIKRTTQRLKFAAGGQIALLDEQEEVAGEQAQMLNKLGEAEIRASKLKERAEELGKYGGDIVATEEVFTMQKRFCKITSCLFIQMILLGLAVWFFSSQTPHQGLAVPT >ONI00549 pep chromosome:Prunus_persica_NCBIv2:G6:6501728:6505354:1 gene:PRUPE_6G094100 transcript:ONI00549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSPDDDGDDVLFAVSEQRRELMEAESLESDMDLAFNIQLQEALAASLALQPSSSSTQPCRNDAVRTIPNTLTHVSLKSEELIRMEQELKDREIEKRKAREDLNRRIHDDQVGREIKKIPEDEWQESGEVFAKPFGEGSSSLSSTKRVESESVFRLYFKGLVSEEMVGNEKVVLAGVGVALCDSRDNLVFEVWKPLIGNGMSKNGAQLKALIEGLNAALALDLKRITFFCENFPIFQFVTGKWQAKQHKIAVLVNQVKSLQGKFTRCNPRLVPRNHIKFVFKLARDAIVSQSQTNQPAQSTTCKNVNETCVICLEDTDVSQMFSVGGCLHRYCFSCMKQHVEVKLLHGMIPRCPHEDCKSDLSVDSCAKFLTPKVVETMRQRLKEASIPAAEKVYCPYPRCSALISKRELLEHSKKTLRDVELSGIRICMKCNCLFCVNCKVPWHKNRTCSDYKKLNPYPPEEDTKLKSLASRNLWRQCVKCNHMIELAEGCYHMTCRCGYEFCYNCGAEWKDKKATCSCPLWDEGNILYDEDEDEDEDSEDVTESDSEEYSDYEYLLP >ONI00548 pep chromosome:Prunus_persica_NCBIv2:G6:6501729:6506317:1 gene:PRUPE_6G094100 transcript:ONI00548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSPDDDGDDVLFAVSEQRRELMEAESLESDMDLAFNIQLQEALAASLALQPSSSSTQPCRNDAVRTIPNTLTHVSLKSEELIRMEQELKDREIEKRKAREDLNRRIHDDQVGREIKKIPEDEWQESGEVFAKPFGEGSSSLSSTKRVESESVFRLYFKGLVSEEMVGNEKVVLAGVGVALCDSRDNLVFEVWKPLIGNGMSKNGAQLKALIEGLNAALALDLKRITFFCENFPIFQFVTGKWQAKQHKIAVLVNQVKSLQGKFTRCNPRLVPRNHIKFVFKLARDAIVSQSQTNQPAQSTTCKNVNETCVICLEDTDVSQMFSVGGCLHRYCFSCMKQHVEVKLLHGMIPRCPHEDCKSDLSVDSCAKFLTPKVVETMRQRLKEASIPAAEKVYCPYPRCSALISKRELLEHSKKTLRDVELSGIRICMKCNCLFCVNCKVPWHKNRTCSDYKKLNPYPPEEDTKLKSLASRNLWRQCVKCNHMIELAEGCYHMTCRCGYEFCYNCGAEWKDKKATCSCPLWDEGNILYDEDEDEDEDSEDVTESDSEEYSDYEYLLPNAAPEFFHVSGAEWNVEKATCSCPTSDEDEILSNEDGDFEEEEEKGEDEEEDEYEDEDEDEVEDEFEEEKQILSAEDDADFDEEYEEIQEKEVGEDIQDEEEEEVVEEGEHNLSAEDDRYLDEEYEYGEYVEEYCDSDSECYDSYSDY >ONI00171 pep chromosome:Prunus_persica_NCBIv2:G6:4908592:4910944:1 gene:PRUPE_6G072000 transcript:ONI00171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPFIKTLNSTCVLVSLLLLLQPFLVKCHGGEEHDSGSGTEDEHKVLHSKGLVLVKVWCLIILLVSTFAGGISPYFYRWNESFLLLGTQFAGGVFLGTSLMHFLSDSAETFGDLTTKTYPFAFMLASAGYLLTMLGDCIVLFVTSSSEKEARVEVEEGRTDAEHDHKEDEDGVSPVFLKTSSFGDTILLIIALCFHSVFEGIAVGVADTKADAWRNLWTISLHKIFAAIAMGIALLRMLPKRALLVTAAYSFAFAVSSPFGVGIGIAIDATTQGRVADWIYAISMGLACGVFIYVAINHLIAKGFKPQAKSYFDTPAFKFLAVLLGVGVIAVVMIWD >ONH99689 pep chromosome:Prunus_persica_NCBIv2:G6:3189549:3190259:1 gene:PRUPE_6G043800 transcript:ONH99689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSTLEDHYHGGASASVSIPFDWESQPGTPKSKYLQNPTLPPLTPPPSYFYTKTKTPLRKKHSNSLLFHNIFPKMRSSTSTSRKTSSPASYSPSLSSSSSSSASSTTYYSVPSSPITPSKFRERGRSMSSPKVLVDSRNIHCHDRYEDYGSRVSTRTGCYLNFIKVFLREFH >ONH99244 pep chromosome:Prunus_persica_NCBIv2:G6:1617628:1620360:-1 gene:PRUPE_6G020300 transcript:ONH99244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAPFWLFKLSPDHLALCLQKCLKAKALRQGKQVHAMLLTSRVDMNLLSLSSKLVGTYASCGDMGSAKLVFDKIPKPNVFALNWMVLASAFNGHYDEAIRYFYLMQELGVVGNKFTFPVMLKVCVGLMDLNKGKEVHAVVNKLGFAKDVSLANALIDMYCKCGSVCHAHRVFDRMLDRDVASWTSMICGYFNVAKTDQALLLFERMKLDELEPNYFTWNAMIAGFARCGDTERAYALLSRMTEGGLVPDLVTWNAIIAGFSQSQHAGEAFKVFRVMLLSGTKPNLVTITGLLPACGLIGSIRRGREIHGLIYRIGFDINVFVASALIDMYSKCGSVEKARSVFDRIPVNHVASWNALIGCYGKYGMVDSSIELFERMQEGGVQANEVTLTCVLSACSHSGYVEKGLKIFRSMKGSHGVEASKEHYACVVDLLCRSGKMVEAYELVREMPVEVTESIVGAFFNGCKVHGRRDLAKMMAEAILKMELKRPGGFVTLSNIYAADGEWEEVEKVRKVMKQRKVLKKPGSSWLDKGMALQEWK >ONI05268 pep chromosome:Prunus_persica_NCBIv2:G6:30634611:30641271:1 gene:PRUPE_6G365000 transcript:ONI05268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPVRGVLNIRRTCRRKIHERITAVSAMAMALQKPESDQNYIHDLMKASEQLDKALCEAKIRSLMDRLSVKNGADMAKKEAKREEKLLIKQMERDKRVSEKEKKRLERERQKEEWLSEKELKRLQGESEKDEKRREKEESEMRKLQRKQQEDAEKEQRRREKEEAELKKQLSIKKQASIMERFVKRSKTIVACQSDQFPTKATVSDLLSKNSENMAEVVTQSMDHTLSSNEEIIAEDIRRLHVSSWRHLGHSIRSNRNQHWGIRQKPKTELFKELKLTTSKGLVRGDDLSTERLVDRWREHVSDDKSCQANTDFSLTDVKKCKRGKQLLQFDKSCRPAFYGIWPKKSHVVRPCHPFRKDPDLDYDVDSDEEWEEEDPGESLSDCDKDDEEEGLEEGCSKADDEDESEDGFFVPDGYLSENEGVQVDRMETDITYEETRISPSFTQDLESEKFSILLRQQKYLGNLTERSLQKNQPLIISNLMHEKVSLLTAEDLNGILKLEQMCLQALSMHIFPGSSPVEISVDGLPEEDQEVFLSNGTPCVKSISSVTVIPESDLPTIVSAIQSCSQGINKVLQTLQKKFPDMSKSQLRNKVREISDFADNRWQVKKEILDKVGFSISPEKRAGQTKSIAAFFSKRCLPPTGKSFNPNEKSPQPAVKPGCFGQGQQGCAYERP >ONI05267 pep chromosome:Prunus_persica_NCBIv2:G6:30633569:30641271:1 gene:PRUPE_6G365000 transcript:ONI05267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCEVVAIDGGDNPIGRKSNDQDRVRKTQKRKRVSFSPECLGLEAKEAQIESFRKQLDGLFGFYMEVMGQRVDLDVKLCGNNMNSVIGALIEESGLPLSKLVEEVFEKVKNGNEAFGNVTLACVKSIVLFVGQRVMYGVPNVDADVLEDESESCLWCWETRDVKLMPAPVRGVLNIRRTCRRKIHERITAVSAMAMALQKPESDQNYIHDLMKASEQLDKALCEAKIRSLMDRLSVKNGADMAKKEAKREEKLLIKQMERDKRVSEKEKKRLERERQKEEWLSEKELKRLQGESEKDEKRREKEESEMRKLQRKQQEDAEKEQRRREKEEAELKKQLSIKKQASIMERFVKRSKTIVACQSDQFPTKATVSDLLSKNSENMAEVVTQSMDHTLSSNEEIIAEDIRRLHVSSWRHLGHSIRSNRNQHWGIRQKPKTELFKELKLTTSKGLVRGDDLSTERLVDRWREHVSDDKSCQANTDFSLTDVKKCKRGKQLLQFDKSCRPAFYGIWPKKSHVVRPCHPFRKDPDLDYDVDSDEEWEEEDPGESLSDCDKDDEEEGLEEGCSKADDEDESEDGFFVPDGYLSENEGVQVDRMETDITYEETRISPSFTQDLESEKFSILLRQQKYLGNLTERSLQKNQPLIISNLMHEKVSLLTAEDLNGILKLEQMCLQALSMHIFPGSSPVEISVDGLPEEDQEVFLSNGTPCVKSISSVTVIPESDLPTIVSAIQSCSQGINKVLQTLQKKFPDMSKSQLRNKVREISDFADNRWQVKKEILDKVGFSISPEKRAGQTKSIAAFFSKRCLPPTGKSFNPNEKSPQPAVKPGCFGQGQQGCAYERP >ONI03655 pep chromosome:Prunus_persica_NCBIv2:G6:25784012:25785647:-1 gene:PRUPE_6G272500 transcript:ONI03655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTDLLAAYAMWMTMAYLTDAWKLNLKHAAAIVNLFWGIVAIMPVGLQFVVDTFMGYYWMVLLSSFSYIAGLGFLSMLTPPDLAAATATCSAYDPECIGQGQKILFFTALALIAVGFSGHLVSVPQFMADQDSHSNHRDSMLCHLFISFTVIHVPIAGAFAIYYIKPWSMRYGIPAICTLVATLIFLTGSCSYRTYRPYGSPLTVLFRVFVASASKIFQKHPRDSSHLYERRDDYYLIPHTRRLRCLDKAAIILATQPLQQQENNSWRLCRVTEVEETKSVLCMIPICMTLILIGVVSSIGTIPLPILVWFYTLAKQLFGKLNFQIAELTRYGPRIGIAVSMIFGILCSITAAKVETRRLGVVKSHGLIDKPEETVPMSMFWLLPQFLLLGGLEGIAESSIDLFLVKQVPPSIDQCMVRFCVAFLGVGSIGGVLSVYVVGEISGQGGKPSWF >ONI03720 pep chromosome:Prunus_persica_NCBIv2:G6:26054150:26056981:1 gene:PRUPE_6G277200 transcript:ONI03720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPLRPQFVLFGSSIVQFSCSNGGWGAILADLYSRKADVLLRGYAGWNSRRALQVLEQVFPKSLSENIRIIFLTAPPVNEKQILENLSDIIPKRNRTNESCKIYSDACLEVCRELDVKAVDLWTSIQKRKDWSTACFTDGIHFSAAASKIVAEEILKVLREADWEPSLHWKSLPTEFAENSPYDPPCGDGTTLNLADHSVAQALTHWGLSDN >ONI03719 pep chromosome:Prunus_persica_NCBIv2:G6:26054150:26056981:1 gene:PRUPE_6G277200 transcript:ONI03719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPLRPQFVLFGSSIVQFSCSNGGWGAILADLYSRKADVLLRGYAGWNSRRALQVLEQVFPKDAAIQPSLVIVYFGGNDSMLPHSSGLGPHVPLPEYAENMRKIGKYLKSLSENIRIIFLTAPPVNEKQILENLSDIIPKRNRTNESCKIYSDACLEVCRELDVKAVDLWTSIQKRKDWSTACFTDGIHFSAAASKIVAEEILKVLREADWEPSLHWKSLPTEFAENSPYDPPCGDGTTLNLADHSVAQALTHWGLSDN >ONH98936 pep chromosome:Prunus_persica_NCBIv2:G6:186466:187845:1 gene:PRUPE_6G001000 transcript:ONH98936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDQLSLFLIKNSLGAKMKKGLRNFCNDDGSTSTLNQHHKMTGCTAGPAAAAAHHHHTTSTAADTSSTNNIINPAVPVVRSPTNYDYYLQQNINHNIDTIASSNYINNSDHDHNHDHHQKSPQPTLEEMILRLDLEEEIAARSKYSKLKDYNKNKYYKGRMSCVNNSDILRSARNALNQYPRFSLDGKDAMYLSSFRNSLAAGAGGGRKSDVCCSRPRSDCRGRLSGKVALYEDDDNDTSSSSYNKPSRLPLPATLAGESVVWCKPGVVAKLMGLEAMPLPVPLHHIGGGNGNGKDQKLGIIDVMNMKRRNLMIRKRAQERHDEMQTRLVTNN >ONI02666 pep chromosome:Prunus_persica_NCBIv2:G6:22141399:22146636:-1 gene:PRUPE_6G213700 transcript:ONI02666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAEQRRCREMAEASTFYRAVYSEIEEVGWEHLVRFGGDLTSLSFRILDGKGRMRIIEIQLDKTHPKCPPSISADVPYMFDLKWSTHSRLKDVVQQFKKHLEKLQAFWSTLDDIDRSLWVVDPKQASPAVSYRQINMGPIVNLLRNRWRRNSKRWIKDKQFLENLKCLLETQLPIPPDVQKNEQQVECGICYAQSLPIDEELRHKSGTGTDYTCDNTSCKRAFHSICLVDWLRSITTTRQSFDVLFGSCPYCSEPVAVKIDSMKK >ONI02670 pep chromosome:Prunus_persica_NCBIv2:G6:22142327:22146485:-1 gene:PRUPE_6G213700 transcript:ONI02670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAEQRRCREMAEASTFYRAVYSEIEEVGWEHLVRFGGDLTSLSFRILDGKGRMRIIEIQLDKTHPKCPPSISADVPYMFDLKWSTHSRLKDVVQQFKKHLEKLQAFWSTLDDIDRSLWVVDPKQASPAVSYRQINMGPIVNLLRNRWRRNSKRWIKDKQFLENLKCLLETQLPIPPDVQKNEQQVECGICYAQSLPIDEELRHKSGTGTDYTCDNTSCKRAFHSICLVDWLRSITTTRQSFDVLFGSCPYCSEPVAVKIDSMKK >ONI02668 pep chromosome:Prunus_persica_NCBIv2:G6:22141532:22146485:-1 gene:PRUPE_6G213700 transcript:ONI02668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLLLLYFAFGCWLYLQVKIKIKRTNCLLTFPHVCECAEQRRCREMAEASTFYRAVYSEIEEVGWEHLVRFGGDLTSLSFRILDGKGRMRIIEIQLDKTHPKCPPSISADVPYMFDLKWSTHSRLKDVVQQFKKHLEKLQAFWSTLDDIDRSLWVVDPKQASPAVSYRQINMGNDCFIVLSINAFDPRSLPECRFIGSGPIVNLLRNRWRRNSKRWIKDKQFLENLKCLLETQLPIPPDVQKNEQQVECGICYAQSLPIDEELRHKSGTGTDYTCDNTSCKRAFHSICLVDWLRSITTTRQSFDVLFGSCPYCSEPVAVKIDSMKK >ONI02667 pep chromosome:Prunus_persica_NCBIv2:G6:22141532:22146636:-1 gene:PRUPE_6G213700 transcript:ONI02667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAEQRRCREMAEASTFYRAVYSEIEEVGWEHLVRFGGDLTSLSFRILDGKGRMRIIEIQLDKTHPKCPPSISADVPYMFDLKWSTHSRLKDVVQQFKKHLEKLQAFWSTLDDIDRSLWVVDPKQASPAVSYRQINMGNDCFIVLSINAFDPRSLPECRFIGSGPIVNLLRNRWRRNSKRWIKDKQFLENLKCLLETQLPIPPDVQKNEQQVECGICYAQSLPIDEELRHKSGTGTDYTCDNTSCKRAFHSICLVDWLRSITTTRQSFDVLFGSCPYCSEPVAVKIDSMKK >ONI02669 pep chromosome:Prunus_persica_NCBIv2:G6:22141532:22148086:-1 gene:PRUPE_6G213700 transcript:ONI02669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASTFYRAVYSEIEEVGWEHLVRFGGDLTSLSFRILDGKGRMRIIEIQLDKTHPKCPPSISADVPYMFDLKWSTHSRLKDVVQQFKKHLEKLQAFWSTLDDIDRSLWVVDPKQASPAVSYRQINMGPIVNLLRNRWRRNSKRWIKDKQFLENLKCLLETQLPIPPDVQKNEQQVECGICYAQSLPIDEELRHKSGTGTDYTCDNTSCKRAFHSICLVDWLRSITTTRQSFDVLFGSCPYCSEPVAVKIDSMKK >ONI03618 pep chromosome:Prunus_persica_NCBIv2:G6:25622873:25625479:1 gene:PRUPE_6G269500 transcript:ONI03618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRLKSVAPPEGAAGVKPLPAETVTVACPDHLVLADLPVAKGIGASTIASIVKTVGRRSRRQLGERVHFCVRCDYPIAIYGRLSPCEHAFCLDCARSDSICYLCDERIQKIQTIKLMEGIFICAAPHCLKSFLKRSEFESHIHENHAYLLQPNADKMDGNESEARSTKQGTVSESTGRAPPRPVFSPSSNSQLHDREDKARLQQPREQSLPRPVMQPNPAPAFGQLQNNPADLSRPPGFDRPSPHNLFHQQSYDSVGNTMQESGQFSDKQQTPFSEYPPMHSIQPPNYAVPINSNQVRMPSLPFAYPFPVDGSQPFYNAPYEIARQDSAPDVGQEQGSLLGFPPGSAGNMNFAASYPQSWNAGQAGVPFEAAQGGQGTADGFSNSSESQGQVAFYQGEYGRNPGGMPFNPQHMTNKAMEAVQGGNSMDPRDGKGILASQPMPLPPPPPPPPHMSQLNRQFYQGDTGRDGQG >ONI04981 pep chromosome:Prunus_persica_NCBIv2:G6:29867578:29872632:-1 gene:PRUPE_6G350300 transcript:ONI04981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCCLGAPSLCQFCLRSLQLSSGNKKGVNGNIKGALIFSNQQSDDFNLYGLTSLHERPRRGNRNQSDEVGFVHPSQFFKLNEEAGHSLPSSDSTADENSVFWNEDHKLGISTQVLLPLYKASKDAFMTANRQFKELNSTSDVSGAENSLCTSLSLDDSIESAIMKHSRALLLLSCDFGTAWHSRKIVVLEKHKLSSLLDELLLSALVLSYSPKSEYAWSHRKWVIKSISEKCSTLPEIVTKESELVEKIAEKSKMNYRAWNHRCWLVSYMTREQLLHELKRSRNWANLHVADHSCFHYRSQLMLKILEDRCYEQEISSSGYSVEIYLLWKDELDWDEMLIKRYIGREALWLHRRFLSLFWIKHFLTDHTGLSGHGKQKTSMNNDFSVFMDNELHLLHSCSIIPDNSFDDYQAQAMHSATYMLWLIKQIPDSCRIELQEKLKTENLKALLNKVCPERSSTWDCLMGYVEASDH >ONI04982 pep chromosome:Prunus_persica_NCBIv2:G6:29867870:29872056:-1 gene:PRUPE_6G350300 transcript:ONI04982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCCLGAPSLCQFCLRSLQLSSGNKKGVNGNIKGALIFSNQQSDDFNLYGLTSLHERPRRGNRNQSDEVGFVHPSQFFKLNEEAGHSLPSSDSTADENSVFWNEDHKLGISTQVLLPLYKASKDAFMTANRQFKELNSTSDVSGAENSLCTSLSLDDSIESAIMKHSRALLLLSCDFGTAWHSRKIVVLEKHKLSSLLDELLLSALVLSYSPKSEYAWSHRKWVIKSISEKCSTLPEIVTKESELVEKIAEKSKMNYRAWNHRCWLVSYMTREQLLHELKRSRNWANLHVADHSCFHYRSQLMLKILEDRCYEQEISSSGYSVEIYLLWKDELDWDEMLIKRYIGREALWLHRRFLSLFWIKHFLTDHTGLSGHGKQKTSMNNDFSVFMDNELHLLHSCSIIPDNSFDDYQAQAMHSATYMLWLIKQIPDSCRIELQEKLKTENLKALLNKVCPERSSTWDCLMGYVEASDH >ONI04979 pep chromosome:Prunus_persica_NCBIv2:G6:29867608:29872631:-1 gene:PRUPE_6G350300 transcript:ONI04979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDELRSEAKMVDLLNQFEHILESDPHIDEVGFVHPSQFFKLNEEAGHSLPSSDSTADENSVFWNEDHKLGISTQVLLPLYKASKDAFMTANRQFKELNSTSDVSGAENSLCTSLSLDDSIESAIMKHSRALLLLSCDFGTAWHSRKIVVLEKHKLSSLLDELLLSALVLSYSPKSEYAWSHRKWVIKSISEKCSTLPEIVTKESELVEKIAEKSKMNYRAWNHRCWLVSYMTREQLLHELKRSRNWANLHVADHSCFHYRSQLMLKILEDRCYEQEISSSGYSVEIYLLWKDELDWDEMLIKRYIGREALWLHRRFLSLFWIKHFLTDHTGLSGHGKQKTSMNNDFSVFMDNELHLLHSCSIIPDNSFDDYQAQAMHSATYMLWLIKQIPDSCRIELQEKLKTENLKALLNKVCPERSSTWDCLMGYVEASDH >ONI04978 pep chromosome:Prunus_persica_NCBIv2:G6:29867626:29872632:-1 gene:PRUPE_6G350300 transcript:ONI04978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDELRSEAKMVDLLNQFEHILESDPHIDEVGFVHPSQFFKLNEEAGHSLPSSDSTADENSVFWNEDHKLGISTQVLLPLYKASKDAFMTANRQFKELNSTSDVSGAENSLCTSLSLDDSIESAIMKHSRALLLLSCDFGTAWHSRKIVVLEKHKLSSLLDELLLSALVLSYSPKSEYAWSHRKWVIKSISEKCSTLPEIVTKESELVEKIAEKSKMNYRAWNHRCWLVSYMTREQLLHELKRSRNWANLHVADHSCFHYRSLMLKILEDRCYEQEISSSGYSVEIYLLWKDELDWDEMLIKRYIGREALWLHRRFLSLFWIKHFLTDHTGLSGHGKQKTSMNNDFSVFMDNELHLLHSCSIIPDNSFDDYQAQAMHSATYMLWLIKQIPDSCRIELQEKLKTENLKALLNKVCPERSSTWDCLMGYVEASDH >ONI04980 pep chromosome:Prunus_persica_NCBIv2:G6:29867626:29872632:-1 gene:PRUPE_6G350300 transcript:ONI04980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCCLGAPSLCQFCLRSLQLSSGNKKGVNGNIKGALIFSNQQSDDFNLYGLTSLHERPRRGNRNQSDEVGFVHPSQFFKLNEEAGHSLPSSDSTADENSVFWNEDHKLGISTQVLLPLYKASKDAFMTANRQFKELNSTSDVSGAENSLCTSLSLDDSIESAIMKHSRALLLLSCDFGTAWHSRKIVVLEKHKLSSLLDELLLSALVLSYSPKSEYAWSHRKWVIKSISEKCSTLPEIVTKESELVEKIAEKSKMNYRAWNHRCWLVSYMTREQQLMLKILEDRCYEQEISSSGYSVEIYLLWKDELDWDEMLIKRYIGREALWLHRRFLSLFWIKHFLTDHTGLSGHGKQKTSMNNDFSVFMDNELHLLHSCSIIPDNSFDDYQAQAMHSATYMLWLIKQIPDSCRIELQEKLKTENLKALLNKVCPERSSTWDCLMGYVEASDH >ONI04983 pep chromosome:Prunus_persica_NCBIv2:G6:29867870:29871264:-1 gene:PRUPE_6G350300 transcript:ONI04983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLECVVMPLSYILYCHLIEPSDEVGFVHPSQFFKLNEEAGHSLPSSDSTADENSVFWNEDHKLGISTQVLLPLYKASKDAFMTANRQFKELNSTSDVSGAENSLCTSLSLDDSIESAIMKHSRALLLLSCDFGTAWHSRKIVVLEKHKLSSLLDELLLSALVLSYSPKSEYAWSHRKWVIKSISEKCSTLPEIVTKESELVEKIAEKSKMNYRAWNHRCWLVSYMTREQLLHELKRSRNWANLHVADHSCFHYRSQLMLKILEDRCYEQEISSSGYSVEIYLLWKDELDWDEMLIKRYIGREALWLHRRFLSLFWIKHFLTDHTGLSGHGKQKTSMNNDFSVFMDNELHLLHSCSIIPDNSFDDYQAQAMHSATYMLWLIKQIPDSCRIELQEKLKTENLKALLNKVCPERSSTWDCLMGYVEASDH >ONI04977 pep chromosome:Prunus_persica_NCBIv2:G6:29867637:29872557:-1 gene:PRUPE_6G350300 transcript:ONI04977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDELRSEAKMVDLLNQFEHILESDPHIDEVGFVHPSQFFKLNEEAGHSLPSSDSTADENSVFWNEDHKLGISTQVLLPLYKASKDAFMTANRQFKELNSTSDVSGAENSLCTSLSLDDSIESAIMKHSRALLLLSCDFGTAWHSRKIVVLEKHKLSSLLDELLLSALVLSYSPKSEYAWSHRKWVIKSISEKCSTLPEIVTKESELVEKIAEKSKMNYRAWNHRCWLVSYMTREQQLMLKILEDRCYEQEISSSGYSVEIYLLWKDELDWDEMLIKRYIGREALWLHRRFLSLFWIKHFLTDHTGLSGHGKQKTSMNNDFSVFMDNELHLLHSCSIIPDNSFDDYQAQAMHSATYMLWLIKQIPDSCRIELQEKLKTENLKALLNKVCPERSSTWDCLMGYVEASDH >ONH98933 pep chromosome:Prunus_persica_NCBIv2:G6:162003:170105:-1 gene:PRUPE_6G000800 transcript:ONH98933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNPVHSLPEKIHSLLGLKSHLTSSWVKSVCDIIRNQPSQGQSTETHLTITNFEINSCSENCDDDDDDLSNAASNIRDELAILTAHINELNKQRRQVLNEFLDLKGNIRVLCRIRPITIGENFGRFRPVVALDSSNVHLRLTDNKSKSYSFDVVFHPGSSQDEVFSEVEPVIKSARDGYNACIFAYGQTGTGKTFTMEGTPDFPGVVPRAIEALFKQAVDSNHVFLFSFSMLEIYMGNLKDLLIPQPAKAMDPLPPCLSIQTDPKGGIEIENLVAIQVSDFNQALKLYRLGCRFRSTASTNCNVTSSRSHCLIRISVTCSGAPERRRETNKVWFVDLGGSERLLKTKAWGRRLEEGKAINLSLSSLGDVINALQTRKGHVPYRNSKLTQVLKDSLGKDSKTLMLVHISPKEEDLCETVCSLNFATRVRSVHLGNTDSTEERQKEVATINLQQKMKMIEVELQDVRMSIKKLNEELEKLTGTTQSSSEKLDADNLFNDLPQANLETKRSKTRNVAAAPSSQVPRFMRPTICSRRKSGPEHLTFEEKVRFPARRRRPMNHHAKSVNFPVKDTSENNSECSIFRNSCLVALKMKRSADVETEYSQDLSECDIKEVVFPEQEASPKCSNHHRDHSEECENRHKSNACSAEYYVDKWLLLNKNAPATRSHFHRNKRIPAIPTPEKKHICNGQKERDHLQDEEVQNCKNAIGQIVNHNKLKKHGDVEGSQRSMQEVVITKPPTNSKDFDNIDSRCNSDSPSDEFVEDIIIHTKDKLDGVPMETHICNTIYPPDIWYSSLHSNEDDNGLNTLSPMQVPFGETESSDSFLSNNSNWGQISTSNLAYSILDSREDSGISLSRIELNSGCQQVPTTIRGEECQKEDLDTLFQSSAEGIRHGLHKMRSQRALFMEYVTPKVTIKPQQLIKSQENDMNSGIRHLLQQKIQILWASALLGLGFQNLGLEQEFFLGLIL >ONH99921 pep chromosome:Prunus_persica_NCBIv2:G6:4041767:4052777:-1 gene:PRUPE_6G057900 transcript:ONH99921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASNGSEYFEIEAGSESFARPSNAESVAEDEDELMWAAIARLPSQKRSNMALLRKKGSDRQGGTKTETVDVRKLDRTNRELVVKKALATTDQDNFLLLSAIKERLDKVGLEVPKVEVQFENLKVVANVQTGSRALPTLINFTRNLLESVLTGLRIFRPKRHSLTILNDVSGVIKPRRMTLLLGPPGSGKSTLLLALAGKLDPNLKKTGSITYNGHKMNEFCVQRTSAYISQTDNHIAELTVRETLDFAARCQGASEGFGAYMKDLERTEKERDIRPDPEIDAYMKASSVGGKKHSVSTDYVLKVLGLDVCSDTIVGNDMLRGVSGGQKKRVTTGEMAVGPRKALFMDEISTGLDSSTTFQIVKCLRNFVHLMDATILMALLQPAPETFELFDDLVLLSEGHVVYQGPQAQVLEFFESLGFRLPPRKGVADFLQEVTSRKDQSQYWADKSKPYVYLSVPQIAEAFKNSKFGRSVESELSDPFDKSSSHPAALSKSKYAVTRWELCKACFSREMLLISRHRFLYIFRTCQVAFVGFVTCTMFPRTRLHPTDEGNGELYLSCLFFGLVHMMFNGFSELSLMISRLPVFYKQRDNFFHPAWAWSIVSWLLRVPYSIIEAVVWSCAVYYTVGFAPAAGRFFRFMLLLFSVHQMALGLFRMMAAITRDMVIANTFGSAALLIIFLLGGFIIPKASIKPWWVWGFWVSPLSYGQRAISVNEFSATRWMKKSAIGDNTIGYNVLQSHSLPSGDYWYWIGVAVLLLYAVLFNSLVTMALLYLNPLRKAQTVILVDDTEGSPPADVDGNKESDPTSARDNSPKKGMILPFQPLTMTFHNVNYFVDMPKEMKLQGIPEDRLQLLSSVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKEQSTFARISGYVEQNDIHSPQVTVEESLWFSSALRLPKEVSKEKRHEFVEEVMRLVELNTLRHALVGLPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDALLLMKRGGQVIYGGKLGLHSQTMINYFQGLSGITPIPSGYNPATWMLEVTTPACEERIGDDFANIYRNSEQYREVEESIKQFSTPPADSEPLKFASKYSQNTLSQFWICLWKQNLVYWRSPQYNSMRLIFTTISALIFGSAFWDIGKKRDSAQALMMVMGALYSACLFLGVNNASSVQPIVSIERTVFYREKAAGMYSPLAYASAQGLVEIPYIAVQTIVYGVITYFMVNFERTLRKFLLYIVFMFLTFTYFTFYGMAAVGLTSSPHLAAVISSAFYSLWNLLSGFLVPKPHIPGWWIWFYYICPVAWTLRGIITSQLGDVETKMEGTFQGTVKEYLEVSLGYGPGMIGVSAAVLVGFCILFFGVFAFSVKLLNFQKR >ONI01056 pep chromosome:Prunus_persica_NCBIv2:G6:8776135:8778395:1 gene:PRUPE_6G119000 transcript:ONI01056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKLGFVERWVHIVMLCVLTISYSFLVNDSTHGYLHPLPGLRQGDPLSPYLFLLCVEGLFALIEQHEQDGPLKGVTICRSTPIVSHLLFADDSFFFARATMKDCEKIIDILQQYKRASGQKVNLHNSVMCFSWNVKRPQQDSLSSLLRVSRVEHHDVYLRLPLIVGRWIGAHFNYIKERLWKRLQSGKDKLLSGARKEILIKVVAQEIRCLFCGAPLKTALHMLRDCSFAITTWIHIGQVVEDTKFMMSSINGDGFAHTRRNANSIADRITRFALHVGTSLTWFEEPLYFIVDLLFEDCNL >ONI01077 pep chromosome:Prunus_persica_NCBIv2:G6:8916143:8917193:-1 gene:PRUPE_6G120200 transcript:ONI01077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIKKLCILHPFLLLLNFWLATSIPQDASTSICDKFKIQTPFSNPNSTALSRLNRTVICKSQKLYHSNRTSNSLFPVSYTNYKCKSLIISNPSSSSSSLHHVFSLNPSNSLLLFNCSNKTPSSSPLFQNFTCLTACGLASSSCLLVDDVTKLDMGFHPRDLNCSCYSRVHRRSLDGSYEAHKLRTRVSFDIPDHIPNICDECQKPNGNCAAGLKCICHPKECKNKVISKAGSINALGNILVSLLSLVALMVLADNP >ONI03476 pep chromosome:Prunus_persica_NCBIv2:G6:25047597:25049494:-1 gene:PRUPE_6G259200 transcript:ONI03476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSTIISSSLLPTRVLTANSQNQQLCLLRNGALPGTASKRSAAFRVQAAKLPAGVVVPKVEPKFAAPFLGFTRTAEIWNSRACMIGLIGTFIVELILNKGILQLIGVEIGKGLDIPL >ONI03475 pep chromosome:Prunus_persica_NCBIv2:G6:25048803:25049428:-1 gene:PRUPE_6G259200 transcript:ONI03475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSTIISSSLLPTRVLTANSQNQQLCLLRNGALPGTASKRSAAFRVQAAKLPAGVVVPKVEPKFAAPFLGFTRTAEIWNSRACMIGLIGTFIVELILNKGILQLIGVEIGKGLDIPL >ONI04100 pep chromosome:Prunus_persica_NCBIv2:G6:27451356:27453161:-1 gene:PRUPE_6G302800 transcript:ONI04100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHAKLPSQPLPNDVFQASKAKAILALLQGCNSLIRLKKIHAYVITNGLQHQTAISNKLLNFCAVSVSGCLAYAQLLFHHHIQNPQTQDWNSMIRGFSQSPSPLQAIFYYNHMLSSASDSCPDTFTFSFVLKACEKVKAQTKCKEVHGAVVRYGYENDVVVCTNLIRSYSCNGSIDTAQRVFDNMLERDLVSWNSMISCYSQRGFHHEALSTYNLMRKENVGLDGFTLVGLLSSCAHLGALNTGVTVHRIAREKGLLGNVYVGNALIDMYAKCGNLDSALSVFERMQNRDVFTWNSMIVGYGVHGRGDESISFFGQMLMAGVRPNSITFLGLLCGCSHQGLVEKGVEYFNVMSFKFNIKPGIKHYGCLVDLFGRAGMLKKALQVIRTSRAQDDPVLWRTLLGSCKIHKNVEIGEIAMRNLIQLGSSNAGDYVLLATIYFREKDADGVARMRKLIKTQGVKTTPGWSWIEIGDQVHKFVVDDKSHPDANEIYQKLRVVVHQAALHGYVQEGSLITVSEFNSTDTDCLETSGSCHGEKLAIAFGLARTPEGTCLRIVKNLRVCRDCHSFTKFVSQAFNREIVVRDRVRFHHFKGGLCSCKDYW >ONI03646 pep chromosome:Prunus_persica_NCBIv2:G6:25735608:25738123:1 gene:PRUPE_6G271600 transcript:ONI03646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGIGILSPTSYLENSNWLFQESNGTEWTAEENKQFENALALYDKDTPDRWFKVAARIPGKTVGDVIKQYKELEEDVSDIEAGLIPIPGYTSNSFTLEWVNNQGFDGLKQFYGVGGKRGTSTRPADQERKKGVPWTEEEHRQFLMGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQLTGGKDKRRSSIHDITTVNLQDNKPPSPDSKSPPSSDHSSTVVQSQQHQKVTGMSEQQFDWKSPNEGQPMVFNSANGSTMGPFCGISSYVPKLEEQNFLSGNLHGSQLGHYNARFQMQSMRYQ >ONI00956 pep chromosome:Prunus_persica_NCBIv2:G6:8196496:8200380:-1 gene:PRUPE_6G113600 transcript:ONI00956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLSSSASSAIFTLSSSSPTPKPPKTLPFLISTNNPNHKLPGRAKSISPLRVAVPATQPQTTDPEAPPTQTEQDGEDYRVEDEVEDENPTTKFNWRDHWYPVSLIEDLDPRLPTPFQLLGRDLVLWYDKSSGEWVAFDDKCPHRLAPLSEGRIDEGGNLQCSYHGWSFDGCGSCVKIPQASSEGPEARALRSPRACATRLPTLVSQGLLFVWPDENGWERANATKPPMLPEEFGKPEFSSVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKVEASGPWGFAGANEGNPRITAEFIAPCYYLNKIEIDTKLPLVGDQKWIIWICSFNVPMAPGKTRSIVCSARNFFQFSMPGPAWWQVVPRWHEHWTSNKVYDGDMIVLQGQEKVFLSKSKEESGDVNKQYTKITFTPTQADRFVLAFRNWLRRHGNSQPEWFGSIDQQPLPSMVLSKRQMLDRFEQHTLKCSSCKQAYTAFQTWQKLLIGATVVFCATAGIPSDLQLRIILAGFALLSAALAYALRELEKNFVFVDYVHAEIE >ONI00559 pep chromosome:Prunus_persica_NCBIv2:G6:6595574:6599660:-1 gene:PRUPE_6G094900 transcript:ONI00559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESPSESLSDSPFNRNIDDNKNDIVPEIPIEIVSEEEMALLEAALVSARASFSAIPAIRCSTFSFRSNVRSIKSISALSKRRLSGCSEPDIEDSGGLKSAQKKTRMADSFLHRFRKKGLSVTDITATEWCEKQMEFVLLVGKRKVSKAMKKGSARHAKLEEEVVKKVKVRIESIEDRWALKLLNFITGVNQLLSEGLTRELPLIGFAEGVWMVGVVDEIRMPVTETIRNPLLVDTKTRVKDTLPAEPQRRNGRLQLMCYKYMWDDLVADKFPSNKFFDFFSLNPHHILSDEIREMTANSGFPAETLDDVVRYYRNTCGMLSPAHDQLLLRYEFQKDHSLLGEDEFAYDSDWVKNQIQGCLEFWLGEREASYTPEEERWKCGFCQYSSVCPAKNGNHNDPPS >ONI00560 pep chromosome:Prunus_persica_NCBIv2:G6:6596066:6599660:-1 gene:PRUPE_6G094900 transcript:ONI00560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESPSESLSDSPFNRNIDDNKNDIVPEIPIEIVSEEEMALLEAALVSARASFSAIPAIRCSTFSFRSNVRSIKSISALSKRRLSGCSEPDIEDSGGLKSAQKKTRMADSFLHRFRKKGLSVTDITATEWCEKQMEFVLLVGKRKVSKAMKKGSARHAKLEEEVVKKVKVRIESIEDRWALKLLNFITGVNQLLSEGLTRELPLIGFAEGVWMVGVVDEIRMPVTETIRNPLLVDTKTRVKDTLPAEPQRRNGRLQLMCYKYMWDDLVADKFPSNKFFDFFSLNPHHILSDEIREMTANSGFPAETLDDVVRYYRNTCGMLSPAHDQLLLSIKFQKVRSKLNLNDRH >ONI04170 pep chromosome:Prunus_persica_NCBIv2:G6:27646550:27650806:1 gene:PRUPE_6G306600 transcript:ONI04170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHRNGNSQPPNGKTSTGAGSAYSIDVKKFSERLKLLYSHWNEHRSDLWGSSDVLAIATPPASEDLRYLKSSALNIWLVGYEFPETIMVFMKKQIHFLCSQKKVSLLEVVKKPAKEAVGVDVVMHVKVKSDDGSGLMDAIFHAIRAQLKADGHDTAVVGHIAREVPEGNLLESWSEKLKSANFQLGDVTNGLSELFAVKDNDELVNVKRAAFLTTNVMNNIVVPKLETVIDEEKKVTHSSFMDETEKAILEPSKAGAKLKAENVDICYPPIFQSGGQFDLRPSAASNDELLYYDSASVIICAVGSRYKSYCSNVARSFLIDATSYQSKAYEVLLKAHDAAIGELKPGKKVSAAYQAAISVVKKEAPEFPEFVSNLTKSAGTGIGLEFRESGLNINAKNERVVKAGMVFNVSLGFQNLQSGRSNPKNQNFSLLLADTVVINNDKPEVVTIKSSKALKDVAYSFNEDEEEPKPKKAKVEANGTEALMSKTTLRSDNHEISKEELRRQHQAELARQKNEETARRLAGAGSGSGDNRSAAKALTDLIAYKNVNDLPPPRDLMIQIDQKNEAVLLPIYGSMIPFHVATIRTVSSQQDTNRNCYIRIIFNVPGTPFSPHDVNSLKNLGSIYLKEVSFRSKDPRHISEVVQVIKNLRRQVVARESERAERATLVTQEKLQLAGNRFKPIRLSDLWIRPVFGGRGRKIPGTLEAHANGFRFSTTRQDERVDVMFPNIKHAFFQPAENEMITLLHFHLHNHIMVGTKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWGQPQFNGLDLEFDQPLRELGFHGVPYKSSAFIVPTSTCLVELIETPFLVVSLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTALDGIKEWLDTTDLKYYESRLNLNWRQILKTITDDPQSFIDDGGWEFLNLEASDSESDHSVESDKGYEPSDVEPESESEDDASDSESLVESEDESEEDSEADSEEELGKTWEELEREASNADREKGNESDSEEDRKRRKMKAFGKSRAPPSSSIPKRTKLR >ONI02469 pep chromosome:Prunus_persica_NCBIv2:G6:20792298:20796142:1 gene:PRUPE_6G200300 transcript:ONI02469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPGLEEKERRFQIFKDNLSYKLGLNRFADLSNDEYRSTFLGTKTRSQKRKHKAATDGSSIRVDWTNLPPQLSSSSSSPSSPYESDDQLEGQLCQGRRCKKSKTVCRRTSSTRRCSSTPCPRPSSLLLVAPHYSASDPPSFTHPQLQIVWFWVSEKTQSIKASRSLSVKDHLCCKPVLLQVAITWQIVVGAITGVTPFVVARTEFSKRIILCFQRAEKKKKKSL >ONH99870 pep chromosome:Prunus_persica_NCBIv2:G6:3844170:3844951:1 gene:PRUPE_6G054700 transcript:ONH99870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKLLLDRYKNHNLVVHDWAHLVMETHSWTGLNAGVFLIRNCQWTMDFQRARPPRHTKISVIGAGNVGMAIAQTILTQDLADELVLVDAKPEKLRGEMIYLQHAAAFLPLTKIIADVDYAVTQGSDLCIVTAGARQILGESRLNLLHRNVT >ONI04541 pep chromosome:Prunus_persica_NCBIv2:G6:28707815:28713885:-1 gene:PRUPE_6G327100 transcript:ONI04541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDDAEGFGDFKFVTAVDPNPKINGRVSTVSDDDWGDFVTHNTSQIKTQAVLSNGLTYSQSPPTQIPYDPSGFFNIANGSAPSRPNSEPSRVDTEPEKVNKTRWMKPHGALPLSLFGEEQEEEKSGAGESRVGDVATGLTKNEGFVKNELNLNVSSVGINDLIANLYGQNPKFVVQNGSNSNLGSGGPNSTKKGLNCSPNTLDLKFDSLIPNENGKFGSLNSASNGLDLKFDGVDSHSNLGCGTPPSTKNGVNFSANALDLKFDPLIAIKNGQFGGSNFASNGLDLKFDGVDSNSNTNGLKLDWEEGNGDFDEEDDDGWEFKGADSERQVSNENFKENTGGTGLTWGFGIDAPEFNNVSVPSHGNDQWGFSFDFNPSSVTQYNLFLDLHSKNKPNNAETVPNSSPVGGNVWEFKDALSENESKDKLGESKAATPSGLDVHSLDGVSARAHNEFFAGSDGISHESGENNFAFPFIPNSGTEDCIVSDSYSSGKKDDIAKGSSCSPANDHVESDDNFWEFKDAFSESGSKLEGESVIARNPPTNIKPPAISDEIQHNEVTLESHRQALPLSIFGDEELETDDSSIHEDISTHAAVSHQINTPKSPVPNISITDLISSLYSQVDQNTNAIHAPKATENPPHPASTVLESVLGDDDFDDDSWEFKDAVSRDQYQTSITNLEYSPQNSLTKVQLDNLVDFYCKLKDESYFLALRHLENKKAESSATLSGEDTTVEALEEEIQKLYNELHQDSMISNQFQSGNPSQRNACLNEVHKVLKDPKFQVLESEYQLSQRLSLAEKDLRSAIELSRHAASTLRILRLGSNEEQSNYISTWSQIVSICAQELKHGSSIWMQSIENNIQNQMLSDPQGKQYILALGEIYRVVLVVGTSAKLYKPWTLLHSSDSSSLFALLNECSTLWSSSGLNEALKSIADAIDFKYDGTVNALLESMTYVHHIDAFSLQNHVVNGQQPTCSLSLLTAGAVPGIKMVAWKGEHYLLTLANLWTNLISPDPPKLPHLSYR >ONI04542 pep chromosome:Prunus_persica_NCBIv2:G6:28707815:28713885:-1 gene:PRUPE_6G327100 transcript:ONI04542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDDAEGFGDFKFVTAVDPNPKINGRVSTVSDDDWGDFVTHNTSQIKTQAVLSNGLTYSQSPPTQIPYDPSGFFNIANGSAPSRPNSEPSRVDTEPEKVNKTRWMKPHGALPLSLFGEEQEEEKSGAGESRVGDVATGLTKNEGFVKNELNLNVSSVGINDLIANLYGQNPKFVVQNGSNSNLGSGGPNSTKKGLNCSPNTLDLKFDSLIPNENGKFGSLNSASNGLDLKFDGVDSHSNLGCGTPPSTKNGVNFSANALDLKFDPLIAIKNGQFGGSNFASNGLDLKFDGVDSNSNTNGLKLDWEEGNGDFDEEDDDGWEFKGADSERQVSNENFKEQGVVEVAGSKVESNAFTHLGIQENTGGTGLTWGFGIDAPEFNNVSVPSHGNDQWGFSFDFNPSSVTQYNLFLDLHSKNKPNNAETVPNSSPVGGNVWEFKDALSENESKDKLGESKAATPSGLDVHSLDGVSARAHNEFFAGSDGISHESGENNFAFPFIPNSGTEDCIVSDSYSSGKKDDIAKGSSCSPANDHVESDDNFWEFKDAFSESGSKLEHNEVTLESHRQALPLSIFGDEELETDDSSIHEDISTHAAVSHQINTPKSPVPNISITDLISSLYSQVDQNTNAIHAPKATENPPHPASTVLESVLGDDDFDDDSWEFKDAVSRDQYQTSITNLEYSPQNSLTKVQLDNLVDFYCKLKDESYFLALRHLENKKAESSATLSGEDTTVEALEEEIQKLYNELHQDSMISNQFQSGNPSQRNACLNEVHKVLKDPKFQVLESEYQLSQRLSLAEKDLRSAIELSRHAASTLRILRLGSNEEQSNYISTWSQIVSICAQELKHGSSIWMQSIENNIQNQMLSDPQGKQYILALGEIYRVVLVVGTSAKLYKPWTLLHSSDSSSLFALLNECSTLWSSSGLNEALKSIADAIDFKYDGTVNALLESMTYVHHIDAFSLQNHVVNGQQPTCSLSLLTAGAVPGIKMVAWKGEHYLLTLANLWTNLISPDPPKLPHLSYR >ONI04543 pep chromosome:Prunus_persica_NCBIv2:G6:28707815:28713885:-1 gene:PRUPE_6G327100 transcript:ONI04543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDDAEGFGDFKFVTAVDPNPKINGRVSTVSDDDWGDFVTHNTSQIKTQAVLSNGLTYSQSPPTQIPYDPSGFFNIANGSAPSRPNSEPSRVDTEPEKVNKTRWMKPHGALPLSLFGEEQEEEKSGAGESRVGDVATGLTKNEGFVKNELNLNVSSVGINDLIANLYGQNPKFVVQNGSNSNLGSGGPNSTKKGLNCSPNTLDLKFDSLIPNENGKFGSLNSASNGLDLKFDGVDSHSNLGCGTPPSTKNGVNFSANALDLKFDPLIAIKNGQFGGSNFASNGLDLKFDGVDSNSNTNGLKLDWEEGNGDFDEEDDDGWEFKGADSERQVSNENFKEQGVVEVAGSKVESNAFTHLGIQENTGGTGLTWGFGIDAPEFNNVSVPSHGNDQWGFSFDFNPSSVTQYNLFLDLHSKNKPNNAETVPNSSPVGGNVWEFKDALSENESKDKLGESKAATPSGLDVHSLDGVSARAHNEFFAGSDGISHESGENNFAFPFIPNSGTEDCIVSDSYSSGKKDDIAKGSSCSPANDHVESDDNFWEFKDAFSESGSKLEGESVIARNPPTNIKPPAISDEIQHNEVTLESHRQALPLSIFGDEELETDDSSIHEDISTHAALDNLVDFYCKLKDESYFLALRHLENKKAESSATLSGEDTTVEALEEEIQKLYNELHQDSMISNQFQSGNPSQRNACLNEVHKVLKDPKFQVLESEYQLSQRLSLAEKDLRSAIELSRHAASTLRILRLGSNEEQSNYISTWSQIVSICAQELKHGSSIWMQSIENNIQNQMLSDPQGKQYILALGEIYRVVLVVGTSAKLYKPWTLLHSSDSSSLFALLNECSTLWSSSGLNEALKSIADAIDFKYDGTVNALLESMTYVHHIDAFSLQNHVVNGQQPTCSLSLLTAGAVPGIKMVAWKGEHYLLTLANLWTNLISPDPPKLPHLSYR >ONI04544 pep chromosome:Prunus_persica_NCBIv2:G6:28708355:28713723:-1 gene:PRUPE_6G327100 transcript:ONI04544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDDAEGFGDFKFVTAVDPNPKINGRVSTVSDDDWGDFVTHNTSQIKTQAVLSNGLTYSQSPPTQIPYDPSGFFNIANGSAPSRPNSEPSRVDTEPEKVNKTRWMKPHGALPLSLFGEEQEEEKSGAGESRVGDVATGLTKNEGFVKNELNLNVSSVGINDLIANLYGQNPKFVVQNGSNSNLGSGGPNSTKKGLNCSPNTLDLKFDSLIPNENGKFGSLNSASNGLDLKFDGVDSHSNLGCGTPPSTKNGVNFSANALDLKFDPLIAIKNGQFGGSNFASNGLDLKFDGVDSNSNTNGLKLDWEEGNGDFDEEDDDGWEFKGADSERQVSNENFKEQGVVEVAGSKVESNAFTHLGIQENTGGTGLTWGFGIDAPEFNNVSVPSHGNDQWGFSFDFNPSSVTQYNLFLDLHSKNKPNNAETVPNSSPVGGNVWEFKDALSENESKDKLGESKAATPSGLDVHSLDGVSARAHNEFFAGSDGISHESGENNFAFPFIPNSGTEDCIVSDSYSSGKKDDIAKGSSCSPANDHVESDDNFWEFKDAFSESGSKLEGESVIARNPPTNIKPPAISDEIQHNEVTLESHRQALPLSIFGDEELETDDSSIHEDISTHAAVSHQINTPKSPVPNISITDLISSLYSQVDQNTNAIHAPKATENPPHPASTVLESVLGDDDFDDDSWEFKDAVSRDQYQTSITNLEYSPQNSLTKVQLDNLVDFYCKLKDESYFLALRHLENKKAESSATLSGEDTTVEALEEEIQKLYNELHQDSMISNQFQSGNPSQRNACLNEVHKVLKDPKFQVLESEYQLSQRLSLAEKDLRSAIELSRHAASTLRILRLGSNEEQSNYISTWSQIVSICAQELKHGSSIWMQSIENNIQNQMLSDPQGKQYILALGEIYRVVLVVGTSAKLYKPWTLLHSSDSSSLFALLNECSTLWSSSGLNEALKSIADAIDFKYDGTVNALLESMTYVHHIDAFSLQNHVVNGQQPTCSLSLLTAGAVPGIKMVAWKGEHYLLTLANLWTNLISPDPPKLPHLSYR >ONI03575 pep chromosome:Prunus_persica_NCBIv2:G6:25459627:25460806:1 gene:PRUPE_6G266400 transcript:ONI03575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQIFGENSNRIVDGYSEEFEQSFLDLMKRSHRFSRIAATVVYNEYINDRHHVHMNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEAKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKELMREDEKKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQTGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKGVYDGRVLKAVEYEDICKLA >ONH99287 pep chromosome:Prunus_persica_NCBIv2:G6:1878951:1881877:1 gene:PRUPE_6G023200 transcript:ONH99287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYTQEYTYKHPWERVTSASWKKFADPENKRTLSHILEVDTLNHKLDPSTGKLYTTRAITVHSPGPWFVRKIVRQDVCHCVESTVVDAKTRSMQLTTNNISLEKFIEVEEKIRYDPHPENPDGWTICRQETSIRIKPLSALASMAEKVEQRCAERFVQNSAKGREVMERICKYLEAESKGLARS >ONH99288 pep chromosome:Prunus_persica_NCBIv2:G6:1878996:1881857:1 gene:PRUPE_6G023200 transcript:ONH99288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYTQEYTYKHPWERVTSASWKKFADPENKRTLSHILEVDTLNHKLDPSTGKLYTTRAITVHSPGPWFVRKIVRQDVCHCVESTVVDAKTRSMQLTTNNISLEKFIEVEEKIRYDPHPENPDGWTICRQETSIRIKPLSALASMAEKVEQRCAERFVQNSAKGREVMERICKYLEAESKGLARS >ONH99289 pep chromosome:Prunus_persica_NCBIv2:G6:1878951:1881868:1 gene:PRUPE_6G023200 transcript:ONH99289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYTQEYTYKHPWERVTSASWKKFADPENKRTLSHILEVDTLNHKLDPSTGKLYTTRAITVHSPGPWFVRKIVRQDVCHCVESTVVDAKTRSMQLTTNNISLEKFIEVEEKIRYDPHPENPDGWTICRQETSIRIKPLSALASMAEKVEQRCAERFVQNSAKGREVMERICKYLEAESKGLARS >ONI00592 pep chromosome:Prunus_persica_NCBIv2:G6:6759588:6762809:-1 gene:PRUPE_6G097100 transcript:ONI00592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGEQRRLNGEAEEDEEEDDANNGGLAAWERAYADERSWESLQEDESGLLQPIDNQSLKHAQYRRRLRAASTARIQKGLIRYVYIVIDLSKAAAEMDFRPSRMGVVAKHVEAFIIEFFYQNPLSQVGLVTIKDGVAHCLTDLGGSPNSHVKALMGKLECSGDSSLQNALDLVHGYLEQIPSYGHREVLILYSALSTCDPGDIMETIQKCKKSKIRCSVIGLSAEIFICKHLCQETGGLYYIALDEPHLKELILEHAPPPPAIAEFAIANLIKMGFPQRAAEGSVAICSCHKEAKVGGGYTCPRCKARVCDLPTECRICGLTLISSPHLARSYHHLFPIVPFDEVSPSLLIDQQNKFPRACFGCQQSLLNPGNKPSLRVACPKCKQHFCLDCDIYIHDSLHNCPGCESASHSKIPNANKG >ONI00102 pep chromosome:Prunus_persica_NCBIv2:G6:4642324:4643781:1 gene:PRUPE_6G067300 transcript:ONI00102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSVTVLNFRRLCCIVLVFCAWSFISFIYWCHYYGPGYSLYQKMELKQNQINDLLAFPSAWNQLAFSSKPPPKLLKIALFVKKWPHRSQAGGLERHALTLHLALAKRGHELHIFTTSSNSSFPRYPISNLYFHLSKPTAAGYLDQALVWKLFQTQNSTGRPFDVIHTESVGLLHTRSRNQTNLAVTWHGIAYETIHSDVIQELLRSPEEPQGSALTERTMKVIEEVRFFPSYAHHVATSDHVGDVLKRIYMLPDDRVHIILNGVDEDIFKPDIAKGNDFKKKFGVPESRTLVLGMAGRLVKDKGHPLMFEALKQMLKENEAFRQSIVVLVAGNGPWGARYRDLGTNVLVLGPLEQAQLAEFYNAVDIFVNPTLRAQGLDHTLLEAMLSGKPVMATRLASITGSVVVGTEVGYTFSPTITTLKTTLYRVWKDGRGDLKQKGQAARQRGLQLFTATKMAAAYERLFLCISNDENRGENYCQYQIP >ONH99592 pep chromosome:Prunus_persica_NCBIv2:G6:2841701:2843283:1 gene:PRUPE_6G037700 transcript:ONH99592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLMSALPSVAFSSSSGLRLRASKVAVLRSFTGLAPPKPLLRTIASPEFSSFEHCFTTIDNGGRVFAMRHGRRVPKLPLTNAGHCCEASQLSFLDTVVSKLTRARASAMWKYIDKMITLATDGTLHKRREALGFIYEKQIVHALFAEVPDRYGERNGGYTRIVRTLPTRQGDNAPMAYIELV >ONI00373 pep chromosome:Prunus_persica_NCBIv2:G6:5753052:5755722:1 gene:PRUPE_6G085300 transcript:ONI00373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGSLWTTYHESTDELKQKLLYTALELESVKTLATDEIRKSEENVNNLLNLLKVAYKERDDARAQLHKLLNKIMPSSPIEAPINMFPHVQPESSLLIPTKANSSITESNSLSETYNHQSHGSSPVESLFDAVSSPEFSNINMADSGNIAFVKQQQQQQPLVQEFNASMSSGMTKTDPASAVIDNFVKGKTLPQKGKLLQAVMEAGPLLQTLLVAGPLPRWRNPPPLQSFKIPPVSIKGCEAASFNQKPMANPSYGVHNKPLSFASYPEMSRGFSQTCSASMLNFNNGASGSCPNNARLLTSNSSIDHQIPIGKRQRLQ >ONI02225 pep chromosome:Prunus_persica_NCBIv2:G6:19397890:19398380:1 gene:PRUPE_6G185100 transcript:ONI02225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTYSGAAYPPPPCSTPPGQAPPPAGYPTRDAPNPHGHGAVETKSKGDGFWKGCCAALCCCCVLDACF >ONI01638 pep chromosome:Prunus_persica_NCBIv2:G6:12981005:12981758:1 gene:PRUPE_6G150300 transcript:ONI01638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHENSVVNLFSFPTLPFSATNSKPQQHSQSHLQAFGKNNTHLALLQNPNNIVCLVVTIFCHKLKTPTT >ONI05195 pep chromosome:Prunus_persica_NCBIv2:G6:30460509:30462486:1 gene:PRUPE_6G361500 transcript:ONI05195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAQPTSTFSNPPPPETPVLENDEAEYGQYDCDEYLIPKPSEWFPKLVSFQADLIYNCMATLSAPIFSLLSVASESYHQVEQTKDTVESAVHQVPSTLTHGSTILLKKLGFGLLGAAYVCMVLVMVLILSFLLGVGLVNLWVEEPVFVRDRLHFDYTDPHPTAVFAFGGVPVGHTFYVSLVLLMPESDFNRGIGVFQMSAELLSVNGDVIAKSSQPCMLRFMSLPVRLTRTFLMGVPLLLGISGETQKLAMQILEHKEGHFPRTQAIRVTLLPRAGTSYLPQLYEAEILLNSQLPWMKHLVRSWKLTFYVWTSLYTYVLFLIILILFCKPLLLPMTMITATAAANVSDQSYTETQSQATSRPRPRRRHSRESQAQSRDVESEDVQELLRKWQRSRSKRKAMYLQPGDLDLGAAETIGSSAASTISITREDASVAAEDVGDLESVCL >ONI01528 pep chromosome:Prunus_persica_NCBIv2:G6:11735079:11743192:-1 gene:PRUPE_6G144300 transcript:ONI01528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLKQALKTLCGSNQWAYAVFWKIGCQNPKLLIWECHYEPSICSLPKRIAGTERAELPFGEWEGCWVSSEVCSSSNGIQPEERVSSLINRMMMDKPFNIVGEGIVGRAAFTGNHQWILSSNYTKDAHPPEVLNEMHHQFSAGMQTVAVIPVLPHGVVQLGSSLAMMENIGFINDVKSLILQLGCIPGALLSENYATKDLVDKSGVPYTAGILTPMHPAGNYKVAGSAQMTDNYTHQSNSSRASGLVGQPSHSLLKDVHNKSQTTDSTFQTPNLTQNLPKIHDDPQQPTVSPLMKPNFSFDGQRKDGVGGAEVIATNSDVWLNQLTPSYNSSRGLKYPSSLGQSGANQGSLKLMEHQILSGGSIRYDLDNNFSASNGITPQLRTNGSLILDQSKGLITASVVGGSQAHGGSSSHSKKILVPCSPSDSHRAADINLCGGRLSGGKFQKADDFQTEGVSSSSVAGQSASQNMLSKGSDQRQFSTNVKFTQNELALREQRMDHELFKALSIPLIHPDEHMSLSENIPDIIHDDLDYKICSPGSANATQDACTQISSGADLFDVLGMDFKNKLFNGNWNKFLADEIGSNTKDLGENTSTFTNVQELGSDYYSAGQGISNSSIFSGGGADHLLDAVVSRAQSAVKQSSDDNVSCRTTLTKISSSSMPNSSPTCGRVSMPNHVHGETLGLPKAIAKAGIEEPSSFLSGCSRDDVGNCSQTTSIYGSRISSWAEQGNTAKHESSVSTAYSKRPDVMGKSNRKRLKPGENPRPRPKDRQMIQDRVKELRDIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKQTGESKIIGKEGGLVLNDDFDGGATWAFEVGSQSMVCPIIVEDLNPPRQMLVEILCEEQGFFLEIADLIRGLGLTILKGVMEARNDKIWARFAVEANRDVTRMEIFMSLVQLLEQTVKGNASSVNAMKNSMMVQHSFPLASPITATGRPSSLQ >ONI01588 pep chromosome:Prunus_persica_NCBIv2:G6:12409530:12415505:-1 gene:PRUPE_6G147900 transcript:ONI01588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSRPTVQVRDKIELNETEKKIFDRLLGTVRHFGLQNQLRVAGGWVRDKLLGKDCYDIDIALDNMLGSEFVEKVRDYLLHVGEEAQGIAVIPCNPEQSKHLETARMRICDTWIDFVNLRCEEYCENSRIPTVQKYGTAEEDAYRRDLTINSLFYNINTSSIEDYTKRGIADLKSGKIVTPLPPKSTFMDDPLRVLRAIRFGARFGFILDEELKEAASCDEVKAALSAKISRERIGTEIDLMISGNQPVQAMAYICDLKLFWVVFSLHPKYEPAVSEGCDRLCLAYLDATWNLIQLIGNSTFNDEQRRLALYTAMFLPLRKTVYKDNKAKKIPVVNYIFRDSLKRKVSDAETVINVHYAFEKFLPLIPYFASNEDVLLTEVDWEREFVDVPLASKPRVLTGFLLRELKDFWRVALLMSMLVYPHNIDCTEDLLNKNFELERRRSLFKAAEDAVLKLGLDKIWDVKPLVNGRDIMNVLQLKSGGPLVREWQQKILAWQLARPSSTADECLEWMRETHSKRLRME >ONI01587 pep chromosome:Prunus_persica_NCBIv2:G6:12410039:12414868:-1 gene:PRUPE_6G147900 transcript:ONI01587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVALQTALLSCEPIFVPKLRRSCYPHPNLTISWFPTKTLVFSPCRAIMAVPSRPTVQVRDKIELNETEKKIFDRLLGTVRHFGLQNQLRVAGGWVRDKLLGKDCYDIDIALDNMLGSEFVEKVRDYLLHVGEEAQGIAVIPCNPEQSKHLETARMRICDTWIDFVNLRCEEYCENSRIPTVQKYGTAEEDAYRRDLTINSLFYNINTSSIEDYTKRGIADLKSGKIVTPLPPKSTFMDDPLRVLRAIRFGARFGFILDEELKEAASCDEVKAALSAKISRERIGTEIDLMISGNQPVQAMAYICDLKLFWVVFSLHPKYEPAVSEGCDRLCLAYLDATWNLIQLIGNSTFNDEQRRLALYTAMFLPLRKTVYKDNKAKKIPVVNYIFRDSLKRKVSDAETVINVHYAFEKFLPLIPYFASNEDVLLTEVDWEREFVDVPLASKPRVLTGFLLRELKDFWRVALLMSMLVYPHNIDCTEDLLNKNFELERRRSLFKAAEDAVLKLGLDKIWDVKPLVNGRDIMNVLQLKSGGPLVREWQQKILAWQLARPSSTADECLEWMRETHSKRLRME >ONH99931 pep chromosome:Prunus_persica_NCBIv2:G6:4066736:4069723:1 gene:PRUPE_6G058200 transcript:ONH99931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSFKDSLKALEADIQHANTVALDYPREKDGARLQMRLSYCPAANFFLFLVQWTDCHLAGALGLLRILIYMTYPDGKTTMSVYERKASIREFYGVIFPSLLQLQRGITDLEDRKQKEVCTVRYKRKEELDKGKLSEIDIEREKECGICMEVNKKVVLPNCSHTLCLKCYRDWRGRSKSCPFCRDSLKRVNSGDLWIYTEKCDVIDLSTILREDRKRLFMYVERLPLVVPDPAFLPYDSHVR >ONH99933 pep chromosome:Prunus_persica_NCBIv2:G6:4067485:4069723:1 gene:PRUPE_6G058200 transcript:ONH99933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSYCPAANFFLFLVQWTDCHLAGALGLLRILIYMTYPDGKTTMSVYERKASIREFYGVIFPSLLQLQRGITDLEDRKQKEVCTVRYKRKEELDKGKLSEIDIEREKECGICMEVNKKVVLPNCSHTLCLKCYRDWRGRSKSCPFCRDSLKRVNSGDLWIYTEKCDVIDLSTILREDRKRLFMYVERLPLVVPDPAFLPYDSHVR >ONH99930 pep chromosome:Prunus_persica_NCBIv2:G6:4064353:4069723:1 gene:PRUPE_6G058200 transcript:ONH99930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSFKDSLKALEADIQHANTVALDYPREKDGARLQMRLSYCPAANFFLFLVQWTDCHLAGALGLLRILIYMTYPDGKTTMSVYERKASIREFYGVIFPSLLQLQRGITDLEDRKQKEVCTVRYKRKEELDKGKLSEIDIEREKECGICMEVNKKVVLPNCSHTLCLKCYRDWRGRSKSCPFCRDSLKRVNSGDLWIYTEKCDVIDLSTILREDRKRLFMYVERLPLVVPDPAFLPYDSHVR >ONH99932 pep chromosome:Prunus_persica_NCBIv2:G6:4067143:4069147:1 gene:PRUPE_6G058200 transcript:ONH99932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSFKDSLKALEADIQHANTVALDYPREKDGARLQMRLSYCPAANFFLFLVQWTDCHLAGALGLLRILIYMTYPDGKTTMSVYERKASIREFYGVIFPSLLQLQRGITDLEDRKQKEVCTVRYKRKEELDKGKLSEIDIEREKECGICMEVNKKVVLPNCSHTLCLKCYRDW >ONH99175 pep chromosome:Prunus_persica_NCBIv2:G6:1127814:1130837:1 gene:PRUPE_6G015700 transcript:ONH99175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTANSDNHLQTPILDSESAQLLQAVSQHGGYAYVSMAARAAAGDLRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKVHAIDGEFSDALRALDMGLIMGGPLLKPDLHSLIAIVSAKARAARVSEKQQQCPKSDRRLVPDDLDSTELLGVLPTKSLSCKMVLKRSGLSLEGFLREYLLPGYPVIISDGMGHWPARTKWNDMDYLTTVAGDRTVPVEVGKNYLYPEWKQELITFSQFLERIKATGSSSAAPTYLAQHPLFDQINELRDDICIPDYCFAGGGDLRSLNAWFGPAGTVTPLHHDPHHNVLAQVVGKKYIRLYLASLSEELYPYTETMLCNSSQVDLDNLDEKEFPKMRDLEFLDCILEEGDMLYIPPKWWHYVRSLTTSLSVSFWWSEYGSS >ONI01379 pep chromosome:Prunus_persica_NCBIv2:G6:10930820:10931530:-1 gene:PRUPE_6G136200 transcript:ONI01379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYRRSKEYEHGVEEFLRIALANAIDHRRIHCPYQRCGNTRKFTIRVIREHLYFNGVDQTYKDWIWHGQPIESNGSESVNQEPYLERDVVDETIDMCEGAHEHFTKNPDEFRKFVEEVEKHLYPGCHKHTKLLELVKLYNLKARHGMTNNCFSDMFIEIGLLPIGQELPSCMYEAKKTLNALGLKYEKTHACYNDCILYRNKYHDWCNDSSLLSFFIYLFVRYLNVMNCLLTLSV >ONI04067 pep chromosome:Prunus_persica_NCBIv2:G6:27278087:27281625:1 gene:PRUPE_6G300300 transcript:ONI04067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGTREESAVVTNAQVQQLSTLSSSVKNGEKKQNHSRSISDLSDPSTPRNFEDARKNAVLYTHVIAFTLFELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKATVPLSWGTRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYAAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRRSVDKTRPNKEQNLVDWARPKLNDKRKLLQIIDPRLDNQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQSCNEPSESSMGGPFAMSRIPEYRMHHRFPNNVGPGAVCRSPNPNYSPGGPAACRVR >ONI05181 pep chromosome:Prunus_persica_NCBIv2:G6:30419341:30423888:1 gene:PRUPE_6G360400 transcript:ONI05181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSPNSVTLRADPRSGKSRLCNVLPAASLLCLLFLILTLSSEYKEEKSSLWRVTNSLQNLKNKCKNQYRPYGSEALPQDIVSKTSNLEMRPLWNEKKKRSKSSVNLLEKKGANSTNLFAMAVGIKQKDLVSKMVKKFLSSGFVVMLFHYDGIVDEWKEFQWSDLVIHVSAINQTKWWFAKRFLHPDIVAEYSYIFLWDEDLGVENFHPKRYVSIVQKEGLEISQPALDYSKSEVHHQITARLRGSIAHRRTYKASNNGNGCHESSTAPPCTGWIEVMAPVFSRAAWRCVWHMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDAEYIIHYGLPTLGGSDENEEPSSKSSGKDHRVDVRRESYNEMKVFKRKWERAVKDDKCWIDPYK >ONI05182 pep chromosome:Prunus_persica_NCBIv2:G6:30419341:30423906:1 gene:PRUPE_6G360400 transcript:ONI05182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSPNSVTLRADPRSGKSRLCNVLPAASLLCLLFLILTLSSEYKEEKSSLWRVTNSLQNLKNKCKNQYRPYGSEALPQDIVSKTSNLEMRPLWNEKKKRSKSSVNLLEKKGANSTNLFAMAVGIKQKDLVSKMVKKFLSSGFVVMLFHYDGIVDEWKEFQWSDLVIHVSAINQTKWWFAKRFLHPDIVAEYSYIFLWDEDLGVENFHPKRYVSIVQKEGLEISQPALDYSKSEVHHQITARLRGSIAHRRTYKASNNGNGCHESSTAPPCTGWIEVMAPVFSRAAWRCVWHMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDAEYIIHYGLPTLGGSDENEEPSSKSSGKDHRVDVRRESYNEMKVFKRKWERAVKDDKCWIDPYK >ONI05183 pep chromosome:Prunus_persica_NCBIv2:G6:30419341:30423917:1 gene:PRUPE_6G360400 transcript:ONI05183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSPNSVTLRADPRSGKSRLCNVLPAASLLCLLFLILTLSSEYKEEKSSLWRVTNSLQNLKNKCKNQYRPYGSEALPQDIVSKTSNLEMRPLWNEKKKRSKSSVNLLEKKGANSTNLFAMAVGIKQKDLVSKMVKKFLSSGFVVMLFHYDGIVDEWKEFQWSDLVIHVSAINQTKWYVSIVQKEGLEISQPALDYSKSEVHHQITARLRGSIAHRRTYKASNNGNGCHESSTAPPCTGWIEVMAPVFSRAAWRCVWHMIQNDLIHAWGLDMQLGYCAQGDRTKNVGVVDAEYIIHYGLPTLGGSDENEEPSSKSSGKDHRVDVRRESYNEMKVFKRKWERAVKDDKCWIDPYK >ONI04751 pep chromosome:Prunus_persica_NCBIv2:G6:29289481:29291370:1 gene:PRUPE_6G337900 transcript:ONI04751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPRKPEDQKEAVTYGDVFPGVQGVELADKLVAPKDAAIMQAEENAVLGKTIKGGAAATLQTAARQNEKAGVVGPDDMNANIVTGDEGVSVKEAELPGRRIITESIAGQGSDSNTRNVDHEKQRKIYKLVEAVGQYSQRAPLAAPNTIQAGGAGGQITIGEALEATAMTAGQKPVEWSDAAAIQAAEVRATGRTNIVPGGVAAAAQSAATLNARATKDEEKTKLADILADATSKLPADKPATRRDAEGVTGAEMRNDPFLTTHPTGVAASVAAAARLNQTNSNEMPK >ONI04752 pep chromosome:Prunus_persica_NCBIv2:G6:29289481:29291386:1 gene:PRUPE_6G337900 transcript:ONI04752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQPRKPEDQKEAVTYGDVFPGVQGVELADKLVAPKDAAIMQAEENAVLGKTIKGGAAATLQTAARQNEKAGVVGPDDMNANIVTGDEGVSVKEAELPGRRIITESIAGQAVGQYSQRAPLAAPNTIQAGGAGGQITIGEALEATAMTAGQKPVEWSDAAAIQAAEVRATGRTNIVPGGVAAAAQSAATLNARATKDEEKTKLADILADATSKLPADKPATRRDAEGVTGAEMRNDPFLTTHPTGVAASVAAAARLNQTNSNEMPK >ONI00550 pep chromosome:Prunus_persica_NCBIv2:G6:6509759:6514028:1 gene:PRUPE_6G094200 transcript:ONI00550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRTDPDDLDTILSEQRRDLMAAKTLDSDLDMAFKLQMQEAMAASLALNPSLASGSSSRNSPPPSPPHDALNDAILDLAAALMLEDVERFAQEWEDHERTVSEMMKMKEDLNRRIHDQKFAADLRDVPEDYWDKHGDYYERPYCADESSSSSSTKAAAVETENLRLYCKGLVSEERVRDMKVVVAGVGIAICDPRDNLIFEARKNLEAVVDGVVLSNEASELEAIIEGLNKALTMDLKSVTFYCDDYMLYQYVTNRVRPGNSKVATLVNQVALLQRKFEYCSPSLVAHTDIKFALKVAREAIVSQITWRADSSNGKSLKETCVICFEETDVAEIFSIDGCLHRYCCSCMKQHVEVKFLNGMGAECPHEGCKNEVNIDSCAEFLAPKLVEAISQRIKEFSIPVTDKVYCPNPRCSALMSKKEVLEYTKTTFVHAEQTGARRCMKCHYYFCINCKVPWHFNMTCYDYKRSHPYPHPEDQLLNSLATKKLWRQCVKCSHMVELAEGCYHITCRCGYEFCYTCGAEWKNKKATCSCRIWDERNIIREQPQQAQPIIREQP >ONI02051 pep chromosome:Prunus_persica_NCBIv2:G6:17885443:17887379:-1 gene:PRUPE_6G174200 transcript:ONI02051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQNTHKRGEQKHPQRRGENEQRISTRKSTSSKEQAREEKPEERGEGENLLPHPIPLPLPKGKCCSQSVSSTGLGVAMRNRIYFSNDHAAPWWNEWDSDHLYGISARLNLNNVGRKDWACSALAMELMRIFTFVAIETDGDRFG >ONI02879 pep chromosome:Prunus_persica_NCBIv2:G6:23000430:23004054:-1 gene:PRUPE_6G225800 transcript:ONI02879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAEQVVEGDASSCLIDQLGKALLELNAHKETSEGRVQWTEIEEYFRNLETTLKKRFEELEVKEKKFEEQEAETCALLMEREEAVTAKEQDLLDRVQELKDAAVAAIAEAQAIHQPTTSEPVEDGDNKNSKVSSSLGDTNSPEEEFPHKTVDNAEGMALEVKPRPELTQFCEQMDAKGLLSYATENLKKLSVIREELSLALESAGEPARLVLDSLEGFYPPDETNQPEGKKDAALQGMRRSCLMFMEAMATLLAKADPGADHLLNPETKQQAKAIADEWKPKLASAGIDAANGNSLEAEAFLQLLATFSIASEFDEEELCKLVLAVAHRRQTPELCRSLGLTQKMPGLVESMVRSGKQIDAVRFIHVFQLTESYPLVPLLKTYLKDLRRNSQGDNTGDATGAQDDVNAKELTALKAVIRCVQEYKLEVDYPLDPLLKRVVQLERSKADKKRTGEFGKRQQVKKQKGSGRWRGYRGPGAAAAPAPTAGRHVQPVFGERAAYAGISERYALAGPRAYDYQVPSQPAYASQANDQRLYYYPSQDDRVPPTSYNATPPNYGSYAGSGLPSQHQPYM >ONI00231 pep chromosome:Prunus_persica_NCBIv2:G6:5276055:5276582:-1 gene:PRUPE_6G077000 transcript:ONI00231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAAPLPLPLSLPPSPPPELGYVRTVVLMLLCVLIVAAIIYGILRENAADQRVHPTHDIESGEPTITTPLTAPPRTRRSARRPPLRPRLICCGIPFKYRVDKRVGFHSDECVICMEEFEEEDKCRVLKCEHTFHRGCIEKWLAKGTSCPLCRDSVYVLQGDQYPLYPYLNMYNV >ONH99450 pep chromosome:Prunus_persica_NCBIv2:G6:2346907:2348167:1 gene:PRUPE_6G030000 transcript:ONH99450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQRYYCLQQHYRCGVQGSVCGKMGARAKSPMCHILGRTSRSPDLLTGREHINCPCALPRGDESSRVEGDHGTVSYLVDVNS >ONI03606 pep chromosome:Prunus_persica_NCBIv2:G6:25590306:25591924:1 gene:PRUPE_6G268500 transcript:ONI03606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAQDNPTKALCTSQPPTDLPSFLHYTVIREDGERKYGVVRGVATEKVEKLKQREFLMARYNPPWTLPQFRTNEVMIPIEL >ONI04595 pep chromosome:Prunus_persica_NCBIv2:G6:28785542:28787530:-1 gene:PRUPE_6G329100 transcript:ONI04595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLEVMDLERSVYKVNICCLGCKGKVRKALKIEGVYNSIIDADQGMVTVIGNIEPIIFIKKLKKEGKNAQLLGVQRISNNNQAQLNNQMAAGKGGKDNKSQKPKGGQQQQQAQQQHQFKGGKAMKMPPPKDQKSVKFNLPEDEFGGSDGEFDDEYDSEFDDEEFDEFGDEDYDDDDDEQEFGHGHGHHQQQQPNKMMPGMMGPQGPYGKMMPIMGNGHGPHGPAGMINMHAMNEKKSGGGGGGGSAKKGGVIEFPVQLKGKSENNEKKNGKDGKKGGGNGKGGEKKKGEKEKEECKSGGGLVGWLKRSTSIGRGGSKGSGVDGGDGKNNGKGNGGKKGGGKHDGARELKKGKNDYHEIDVVLNHGKGGKGGKEIKEGKEGKGSNGGKGGGKGGGNGGDMHQIQMGQKGQMVPIGQMGQMGQRGPMGQMGQTGQMGQRGPMGNYPMGQMGNAPAVQGLPTPSMMNGGYYQGMGPAGNPYNQQQQQQLQQLQQQQQMQLQQQQYMAMMMNQQRGNGNGNGMYQPMMYARPHAPMNFMPPQQMPSSNDPYSNYFSDENPNGCYLM >ONI04594 pep chromosome:Prunus_persica_NCBIv2:G6:28785293:28788113:-1 gene:PRUPE_6G329100 transcript:ONI04594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLEVMDLERSVYKVNICCLGCKGKVRKALKIEGVYNSIIDADQGMVTVIGNIEPIIFIKKLKKEGKNAQLLGVQRISNNNQAQLNNQMAAGKGGKDNKSQKPKGGQQQQQAQQQHQFKGGKAMKMPPPKDQKSVKFNLPEDEFGGSDGEFDDEYDSEFDDEEFDEFGDEDYDDDDDEQEFGHGHGHHQQQQPNKMMPGMMGPQGPYGKMMPIMGNGHGPHGPAGMINMHAMNEKKSGGGGGGGSAKKGGVIEFPVQLKGKSENNEKKNGKDGKKGEKEKEECKSGGGLVGWLKRSTSIGRGGSKGSGVDGGDGKNNGKGNGGKKGGGKHDGARELKKGKNDYHEIDVVLNHGKGGKGGKEIKEGKEGKGSNGGKGGGKGGGNGGDMHQIQMGQKGQMVPIGQMGQMGQRGPMGQMGQTGQMGQRGPMGNYPMGQMGNAPAVQGLPTPSMMNGGYYQGMGPAGNPYNQQQQQQLQQLQQQQQMQLQQQQYMAMMMNQQRGNGNGNGMYQPMMYARPHAPMNFMPPQQMPSSNDPYSNYFSDENPNGCYLM >ONI01163 pep chromosome:Prunus_persica_NCBIv2:G6:9540080:9540851:-1 gene:PRUPE_6G125300 transcript:ONI01163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFIDPATVSANSGTIADRSRLVAARLQKTDGEQIFMMLYNQGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSAIKIYNSHIARSGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLAFEKKVRRNYLHTFYIVFCIINDSHVKLPSLNRYTTSVMKNIVVCD >ONI00584 pep chromosome:Prunus_persica_NCBIv2:G6:6714323:6718498:-1 gene:PRUPE_6G096800 transcript:ONI00584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNQAKPGLLCNPEASQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKSIGKHSLLGASGEISDFQELLRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGQKYLGMVSMIGVNFEDNHVATGFGNHLARPILRDEWHENLTFEEGVKLLEKCMRVLLYRDRSAVNKLQISKITEEGVTISQPYSLKTFWGFSAFENPTLGAEGSW >ONI00585 pep chromosome:Prunus_persica_NCBIv2:G6:6714336:6717393:-1 gene:PRUPE_6G096800 transcript:ONI00585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNQAKPGLLCNPEASQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKSIGKHSLLGASGEISDFQELLRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGQKYLGMVSMIGVNFEDNHVATGFGNHLARPILRDEWHENLTFEEGVKLLEKCMRVLLYRDRSAVNKLQISKITEEGVTISQPYSLKTFWGFSAFENPTLGAEGSW >ONI00586 pep chromosome:Prunus_persica_NCBIv2:G6:6714336:6718466:-1 gene:PRUPE_6G096800 transcript:ONI00586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNQAKPGLLCNPEASQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKSIGKHSLLGASGEISDFQELLRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGQKYLGMVSMIGVNFEDNHVATGFGNHLARPILRDEWHENLTFEEGVKLLEKCMRVLLYRDRSAVNKLQISKITEEGVTISQPYSLKTFWGFSAFENPTLGAEGSW >ONI03096 pep chromosome:Prunus_persica_NCBIv2:G6:23811224:23812232:1 gene:PRUPE_6G238300 transcript:ONI03096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISFFTFFTLIIFLHSATVSAQSPAAAPAPSATNVTAILEKAGQFTTLIKLLKSTRMADQIDTQLSTSNQGITLFAPTDNAFSSLKSGTLNSISEQQKLALMQFHVLPNFFSVSQFQTVSNPLHTQAGNSNDGQFPLNVTTAGNQVNITTGVVNATVANTIFTDNQLAVYEVDQVLLPLNIFGPAAPAPAPSAPKKSVKGADAPSGSSDTGSTPDASAAMGLKHHGMIAVSMGVAAILAAVFL >ONI04161 pep chromosome:Prunus_persica_NCBIv2:G6:27626285:27628862:-1 gene:PRUPE_6G306200 transcript:ONI04161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKAKVDYEKIVRDTCRTIGFVSDHVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETTELMPLSHVLATKLGARLTEVRKNGTLPWLRPDGKTQVTVEYYNDHGAMVPIRVHTVLISTQHDETVTNDEIATDLKEHVIKPVVPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKESFDFRPGMIAINLDLKRGRYLKTAAYGHFGRDDPDFSWEVVKPLKWEKPQE >ONI04225 pep chromosome:Prunus_persica_NCBIv2:G6:27824079:27826710:1 gene:PRUPE_6G310000 transcript:ONI04225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQNSLSRTHFHIMPPIIRNSLQWARNFTTHSNPSILPKRPNILATNLIKSCFERGLIKEARKLFDEMPERDVVAWTAIIAGYTSCSHHSHAWALFCEMVRNEMEPNAFTFSSVLKACKGMKALSCGALVHGGAIKQGMQGSIYVENALMDMYATCCASMDDACMVFNDIHEKNDVSWTTLITGFTHRGNGYSGLQVFRQMLLEGAELNPFSFSIAIRACASIGSHTFGKQIHSAVIKHGFESNLPVMNSILDMYCRFGCLSEANQYFHEMPQRDLITWNTLISGYERFDSKESLLIFSHMDTEGFSPNSFTFCSVISACANLAVLNYGEQVHGRIIRGGLNKNLALANALVDMYAKCGSITDAHKIFSQMSHRNLVSWTSMMIGYGAHGYGKEAVELFDEMVKSGIRPDQIVFMAVLSACSHAGLVDEGLRYFKSMINDYKITPDQDIYGCVVDLLGRAGRVEEAYELIESMPFKPDESVWGALLGACKEHELPHLGKVAARRMLDLRPNMVGTYVMLSNIYAAEGEWGEFANTRKLMRGMENKKEAGRSWIEVRNQVYSFVVGDKVGSHIKLVYGVLEVMILHMKEAEHINDLNCSMHDLVDGT >ONH99364 pep chromosome:Prunus_persica_NCBIv2:G6:2106907:2110035:-1 gene:PRUPE_6G026800 transcript:ONH99364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDSLVGNEVKKFVKRKDSDAGEAGRALEELRGSIYNEIRTSEGAKRQQQRICGPVVAMTFNFIVSVGIILANKLVMGRVGFKFPIFLTLIHYVTSWLLLAIFKTLSILPVAPPSRTTPFSSIFSLGAVMAFASGLANTSLKHNSVGFYQMAKIAVTPTIVSAEFILFRKTISFNKVLALAVVSAGVAIATVTDVEFNVFGALIAIAWIVPSAINKILWSNLQQQANWTALALMWKTTPVTIFFLLALMPWLDPPGVLLFQWDFNNTTAILISALLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGYLIFNSDPGFVSICGALAALCGMSVYTSLNLQKSQDNVSMQLPKQSLPTLKPKATNEESVESDVEDTTNPTTAV >ONH99365 pep chromosome:Prunus_persica_NCBIv2:G6:2106907:2108748:-1 gene:PRUPE_6G026800 transcript:ONH99365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLNFCFQVMGRVGFKFPIFLTLIHYVTSWLLLAIFKTLSILPVAPPSRTTPFSSIFSLGAVMAFASGLANTSLKHNSVGFYQMAKIAVTPTIVSAEFILFRKTISFNKVLALAVVSAGVAIATVTDVEFNVFGALIAIAWIVPSAINKILWSNLQQQANWTALALMWKTTPVTIFFLLALMPWLDPPGVLLFQWDFNNTTAILISALLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGYLIFNSDPGFVSICGALAALCGMSVYTSLNLQKSQDNVSMQLPKQSLPTLKPKATNEESVESDVEDTTNPTTAV >ONI04088 pep chromosome:Prunus_persica_NCBIv2:G6:27394695:27395745:1 gene:PRUPE_6G301800 transcript:ONI04088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLVSRAFLLLTASNYKSNPFPFVLSKRLIGIAIAAANHSNKTISFASSSSSLTENHPRRLLLPLSLSPFRTFDSFRFSSSEPSTKVNTKVNFSLPSDDKDDDETTTKTATKEIDKSKLPPPYDPFNKKPAIEDPEDPKDLQEVFHKMRTDGLTNNAVKMFDALSKDGLTHEALELFAQIKDKGHMPDVVSHTAVIEAYANAGKTKEALKVYLRMLASGVAPNAYTYTVLIKALAADPSCKFLGDAKKYLLEMMGKGMRPNASTYTAVFEGFARHEEKAEEGRELLVEMKAKGFVPDEKAVGEVLKSKRGPVVRSVINILFGK >ONI01633 pep chromosome:Prunus_persica_NCBIv2:G6:12885291:12901636:1 gene:PRUPE_6G149900 transcript:ONI01633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKQVIIEGFKSYREQVATEAFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVLSAFVEIVFDNADNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRRQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRKSLEYTIYDKELQDARQKLAEVEDARNKVSETSTKMYNSVLDAHEKSKDLDKIMKDLTKELQALSKEKEAIEKQRTEAIKKHTELELDVKDLQEKISGNFGAKGDAVRQLQTLQKEIQDSMDELEKMNPLYEDQVMKEKEITKGIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLERVLSSNLAQEQKLQDEIKRLNTELSERDAYIESRRREIATIESLISQSHAGFNHHKSQRDKLQDERKSLWRKETELSAEIEKLRTEVEKAEKSLDHATPGDVRRGLNSVRKICREYKIPGVFGPIIELLDCDEKFFTAVEVTAGNSLFHVVVENDEISTQIIRHLNSLKGGRVTFIPLNRVKAPRVIYPQNSDVVPLLKKLKFAPNYNPAFAQVFARTVVCRDLDVATKVARTDGLDCITLEGDQVSKKGGMTGGFYDHRRSKLKFMCTIIQNTKSVNMKEEELEKIRFMLQDILLKNDLILCKAIDQKITDLVTEQQKIDAKRAHDKSELEQLKQDIANADKQKILISKALGNKEKSLADVRSQIDQLRASMAMKRAEMGTDLIDHLTPVEKDLLSRLNPEIADLKEKLILCKTDRIETESRKAELETNLTTNLKRRKQELEAIISTMETDNLHGEAEIKSQELNDARLLVEDLTEQLRRVSESIDGQSKQLRRIKDEKTKLKNLEDNYERTLQDEAKELEQLLSKRNMFLAKQEEYSKKIRELGPLSSDAFETYKRRSIKELHKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDAGDEKIGELIQVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGHQGDDDQDEDGPREADLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVSDKIYGVEHKNRVSRVNVVLKEDALDFIEHDQSHNAE >ONI01634 pep chromosome:Prunus_persica_NCBIv2:G6:12884297:12901636:1 gene:PRUPE_6G149900 transcript:ONI01634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKQVIIEGFKSYREQVATEAFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVLSAFVEIVFDNADNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRRQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRKSLEYTIYDKELQDARQKLAEVEDARNKVSETSTKMYNSVLDAHEKSKDLDKIMKDLTKELQALSKEKEAIEKQRTEAIKKHTELELDVKDLQEKISGNFGAKGDAVRQLQTLQKEIQDSMDELEKMNPLYEDQVMKEKEITKGIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLERVLSSNLAQEQKLQDEIKRLNTELSERDAYIESRRREIATIESLISQSHAGFNHHKSQRDKLQDERKSLWRKETELSAEIEKLRTEVEKAEKSLDHATPGDVRRGLNSVRKICREYKIPGVFGPIIELLDCDEKFFTAVEVTAGNSLFHVVVENDEISTQIIRHLNSLKGGRVTFIPLNRVKAPRVIYPQNSDVVPLLKKLKFAPNYNPAFAQVFARTVVCRDLDVATKVARTDGLDCITLEGDQVSKKGGMTGGFYDHRRSKLKFMCTIIQNTKSVNMKEEELEKIRFMLQEIDQKITDLVTEQQKIDAKRAHDKSELEQLKQDIANADKQKILISKALGNKEKSLADVRSQIDQLRASMAMKRAEMGTDLIDHLTPVEKDLLSRLNPEIADLKEKLILCKTDRIETESRKAELETNLTTNLKRRKQELEAIISTMETDNLHGEAEIKSQELNDARLLVEDLTEQLRRVSESIDGQSKQLRRIKDEKTKLKNLEDNYERTLQDEAKELEQLLSKRNMFLAKQEEYSKKIRELGPLSSDAFETYKRRSIKELHKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDAGDEKIGELIQVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGHQGDDDQDEDGPREADLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVSDKIYGVEHKNRVSRVNVVLKEDALDFIEHDQSHNAE >ONI05153 pep chromosome:Prunus_persica_NCBIv2:G6:30358104:30363632:1 gene:PRUPE_6G359000 transcript:ONI05153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLSSHIRRLRPSAFNFLPALSHARQFHYLTNPNPNPNSNLLSPHPPWNSYAFPQTLTFSHSRFLSSSSSDDSDFVQLGVSGADSAAQSELLNLGGCAGEEYLLPVRALISVLDGFHDLTRLPWWIVIASSTLAMRLSLLPLLIVQLQKLKIIGELLPKLPPPLPPPFSGKSYIDQISLFRKERSAIGCPSLLWFLASFSAQVPCFLLWLNSIRRMSLGNHPGFDCGGTLWFNNLAELPHGVCGAIFPFLIAGLHYANVQISFKTSSLVKGNDLLSNLAKYYKFYLDIMTLPIIVIGFCIPQGSLVYWVTNSSLSVIQQLVLKDPAVRAKLGLPDKDAPTDTAISEKPGTPEISPLASPTRWKKITLQNLSPTELLNLSVQVLSEGDKEKALPLLKLALEKDPEYARALIVMGQTLLQKSLDAEATEYFQRAITKLYSAGQPTEVEDIDLLILASQWAGVAYIRQGKSAEGIVHLERVAQLEEPDEPSSKTHYFEGLLLLSSALSNVGRKAEALKYLRLAAAYNPDYNEYLEQYENENEDESFVGDLIGSRRRDY >ONI05152 pep chromosome:Prunus_persica_NCBIv2:G6:30358108:30363632:1 gene:PRUPE_6G359000 transcript:ONI05152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLSSHIRRLRPSAFNFLPALSHARQFHYLTNPNPNPNSNLLSPHPPWNSYAFPQTLTFSHSRFLSSSSSDDSDFVQLGVSGADSAAQSELLNLGGCAGEEYLLPVRALISVLDGFHDLTRLPWWIVIASSTLAMRLSLLPLLIVQLQKLKIIGELLPKLPPPLPPPFSGKSYIDQISLFRKERSAIGCPSLLWFLASFSAQVPCFLLWLNSIRRMSLGNHPGFDCGGTLWFNNLAELPHGVCGAIFPFLIAGLHYANVQISFKTSSLVKGNDLLSNLAKYYKFYLDIMTLPIIVIGFCIPQGSLVYWVTNSSLSVIQQLVLKDPAVRAKLGLPDKDAPTDTAISEKPGTPEISPLASPTRWKKITLQNLSPTELLNLSVQVLSEGDKEKALPLLKLALEKDPEYARALIVMGQTLLQKSLDAEATEYFQRAITKLYSAGQPTEVEDIDLLILASQWAGVAYIRQGKSAEGIVHLERVAQLEEPDEPSSKTHYFEGLLLLSSALSNVGRKAEALKYLRLAAAYNPDYNEYLEQYENENEDESFVGDLIGSRRRDY >ONI03181 pep chromosome:Prunus_persica_NCBIv2:G6:24165753:24169220:-1 gene:PRUPE_6G243800 transcript:ONI03181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFLTFGAQEILKKVASLASQEISLLWGFQGEVKTLRDLLSFTEAILQDAEKSQGQAMEMWVKKLEDIAHEADDVLDDYEYELLRRKVEIQNQMKKKVLNFFSLHNPVAFRLKIAHKIKNINASLESLNNKEASVGLVARPDATSRQVVVLDRETISGFDQDEKYMVGREELVSDIVTDLINSSNKRETRPCEKTTLAKAIYHENEIGSHFNTKIWVCVSTPFDAGIKGKAAICENLQEILKGKRYLLILDDVWNEDAEKWDELISCLLNIKDTQGSNILVTTRSAHVASIIQTLPVRDLRKLSDYECWLILKNKAVSDRSGPLSEDQERIGRDIAKKCAGLPLMAKVLGSMMRSKEIDGWQAIQESKIWDLPEGEKRILSSLKLSFDELKSSSLKQCFAYCSIFIKDFEIEKDDLIQLWMAQGLLHPSPKNSDLEMEDVGNQYFNILLENSFFQDVRKDDNNVITHCKMHDLVHDLAEDVSKSKTKDSNGIRHMAQISTIEVQGVPKGIVHKVRSMFVGEVFGNILPKFKGLRVLKLKGDFIDELPNSMGKLKHLRYLDISATNIKKLPQSIGKLYNLQTLRMCNLYLLEFPKELQNLTNLRHIYFDRTYGGTICPVGMGRLNNLRSLSFFIVGKETGRGIKELGGLKHLKGELCIYDLKHVRDGEEAKEAKLAEKTNIRRLKLTWSANEDWSRVINNDSDVLEGLKPHSALEILEIRNFRGDTFPPWMMCRDLFSSLKRLTVKGATKLIGWTEAMTRPTERIVVFPCLEEMVLSECPRLECIPITPEGITSLRKLQISNSIAWKLEAIPITNGLPSLCQLKISDCDKLSSLPSGLQHCTSLEHLLIRNCRNLEAFPSLDSLIQLRELEIYNCEGLKSVPPSVFAASLTNLKNLGIGGFWKELDSFPAFQVIPQLEILSLLGWPKLNCLPEQVQRFTSLTHLIINSFDGMEALPEWLGNLASLEFLIIQKCKNLMYLPPLEAMKRLTKLHYIQIYHCSPLKERCNKDSGPEWPKISHIPTDSKFF >ONH99656 pep chromosome:Prunus_persica_NCBIv2:G6:3060238:3063169:1 gene:PRUPE_6G041400 transcript:ONH99656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTETRPMQDPQQHQSNPNPKFISINNASSNNPNPKSNPIPLPRSIGISNNANASGVAATSSSSSSLTSLTLTMLGNSNAPKPFIREEAGAAAAAEAGPHHHNSHRRAHSEVSFRLPQDMMDLSAPDQSKGGSSTASLEEMGSEEDLFSTYIDLDKLGGCNCSDQSGGGNKGPNGGPDDGSGEPNVNDGGGGEGEEKRSSRHRHSCSVDGSSTSTGVFGEVMEAKKAMPPDKLAELWNLDPKRAKRILANRQSAARSKERKARYIQELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENTELKLRLQAMEQQAQLRDALNEALKKEVERLKIATGEMMSPSESFNLGMHQMPYNHPSAYFPLQQQPGPAGHQNMQMPQFNHSQSNMPTHLLHQSNSHTFSDIMQNGPVGQLQGLDIGTKGAPLVKSEGPSLSASESSTTF >ONI03043 pep chromosome:Prunus_persica_NCBIv2:G6:23628018:23631179:-1 gene:PRUPE_6G235100 transcript:ONI03043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQWVWFGEIAREPLTPLHCKGTEGNLSTYINLLIWLPTCHYQYRSDLISESCYLLLFVKPKSSALALSHFFSSPMAYEGILLGMGNPLLDISAVVDDEFLQKYDLQLNNAILAEDKHLSMYDEMSSKYNVEYIAGGATQNSIRVAQWMLQIPGATSYMGSIGKDKYGEEMKKNSKLAGVNVHYYEDESAPTGTCAVCVVGGERSLIANLSAANCYKFEHLKRPENWALVEKAKYFYIAGFFLTVSPDSIQLVAEHAAATNKVFSMNLSAPFICEFFKDAQEKVLPYMDFVFGNETEARTFSKVHGWETDDVEQIALKISQWPKASGTHKRITVITQGADPVVVAEDGKVKKFPVIRLPKEKLVDTNGAGDAFVGGFLSQLVQEKPVEDCVKAGNYAANVVIQRSSCTYPEKPDFN >ONI03222 pep chromosome:Prunus_persica_NCBIv2:G6:24257380:24260759:1 gene:PRUPE_6G245300 transcript:ONI03222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGSHGSMRKVKNGGPKVLWAEQLRSMKNGFKRDHHHPSWQMAIQSHIDAAHALVQLANEDPSLLHGGLRSHGMKHSVKGIPSIKDSMGMSRGDSHSDVAGRKVNCLVKRQQADFGGSMKDDDAAAVLDFHLPAVPYPAGRKQKKMKMFKKLSSLVPKYMKSNVSEKDDNVNEPCQLLDSCGHDRLRMLMAKKRKRVAGSYSKRETVEVAAPVNLPLKRQGFKLPVPTVHTGISFSIVHLLTAIRRAMVVPHAEDDDVVYGNDQGVSNAEGTIRFYSLKDKGISDSVLTNSKSLPSLTLNKIVEHVRSTPMDPSILQTQEHLQDLLRGVLEIFSSKTAPLGARGWKPLTFYCKSSKCWKWIGPINVNSCNADEEYVSSKAWSLRHNVLVKLVDSFAIWYKSFQERFRQVGSLPAPPLILMQPTLDESEWFRDIMSRKNAISPNSEEVRAYFQREEALRYLVPDMAFSYTALDGRKSAVAPLTSCSGKPSYRNQDHFMLKADRPPHITNLCLVRDAASRLPGSIGTREDVSTLVRHSQYIVEDVSDEQFTRVISSALSQLQFEFDPCVEFDRH >ONI02576 pep chromosome:Prunus_persica_NCBIv2:G6:21636460:21638772:-1 gene:PRUPE_6G207700 transcript:ONI02576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCPEQEHPTKAFGWAARDSSGVLSPFKFSRRATGKNDVTFKVLYCGICHTDLSMLKNEWGVSMYPLLPGHEIVGQVTEVGSNVEKFKVGDSVGVGYLVGSCQSCDSCSNNAENYCPEMILTGGAKYYDGSTTYGGYSNIMVADEHFVIRIPDNLPLDGAAPLLCAGVTTYSPLRYFGLDKPGMHVGVVGVGGLGHVAVKFAKAMGVKVTVISTSPNKKKEAIENLGADSFLVSRDNGQMQAAMGTMDGIIDTVSAVHPLLPLLGLLKTSGKLVLVGVPAKPLELPVFPLISGRKIVAGSTVGGLKETQEMIDFAGKHNITANVEVIPIDYVNTAMERLIKADVRYRFVIDIANTLKSSS >ONH99694 pep chromosome:Prunus_persica_NCBIv2:G6:3204289:3206159:1 gene:PRUPE_6G044100 transcript:ONH99694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSQSSLPLAHGGVASSSSFSFSSEPEPGGVEEALLQLVQDHHQTSLRLREVTEKAKKDAIKKAARAAELMVDAVNGGVQESFVNEKRIEYEIRALTATITRFTKQTDQWLSVTHSMNSAIKEFGDFENWMKIMEFDCKNITAAIHNIHQA >ONH99695 pep chromosome:Prunus_persica_NCBIv2:G6:3204289:3205270:1 gene:PRUPE_6G044100 transcript:ONH99695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSQSSLPLAHGGVASSSSFSFSSEPEPGGVEEALLQLVQDHHQTSLRLREVTEKAKKDAIKKAARAAELMVDAVNGGVQESFVNEKRIEYEIRALTATITRFTKQTDQWLSVTHSMNSAIKEFGDFENWMKIMEFDCKNITAAIHNIHQA >ONH99693 pep chromosome:Prunus_persica_NCBIv2:G6:3204289:3206027:1 gene:PRUPE_6G044100 transcript:ONH99693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSQSSLPLAHGGVASSSSFSFSSEPEPGGVEEALLQLVQDHHQTSLRLREVTEKAKKDAIKKAARAAELMVDAVNGGVQESFVNEKRIEYEIRALTATITRFTKQTDQWLSVTHSMNSAIKEFGDFENWMKIMEFDCKNITAAIHNIHQA >ONI03938 pep chromosome:Prunus_persica_NCBIv2:G6:26944518:26945317:1 gene:PRUPE_6G292900 transcript:ONI03938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAFKLVLVVLGCTLVGAPLAQAVIPCSRVSQYVGPCISYLKTGGAVPVPCCNGIRSLIGLAGTTPDRQGVCRCLVATAKSITGIKGELVSGLPRACNVRLPYPIGPNVDCNRIH >ONI04159 pep chromosome:Prunus_persica_NCBIv2:G6:27612238:27616898:1 gene:PRUPE_6G306000 transcript:ONI04159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLKAELSKEAFFNLKVWEVIGIAVALFIIIILSVLSMCLTSRKKSRKARDKIPLSQIPTVSKEIKEVRVEQVSASEFAPRDGILLTIHDKSSDKESDKIMLHLGMGKSKNGENSSQSGSFNQLENDGGLYQSGEEGSSSTVTVYKASSSYPITAPSPLVGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGNLINGTPVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTHRILVYEYVNNGNLEQWLHGAMRQHGYLTWEARIKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDEDFNAKVSDFGLAKLLGAGTSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAITGRDPVDYGRPAHEVNLVDWLKMMVGSRRSEEVVDPNIEVRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESEEYPIPREDRRHRRTEAGSMDIDSQKENSDTDRSDYPGSRSESKGYQRT >ONI04158 pep chromosome:Prunus_persica_NCBIv2:G6:27612238:27616898:1 gene:PRUPE_6G306000 transcript:ONI04158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLKAELSKEAFFNLKVWEVIGIAVALFIIIILSVLSMCLTSRKKSRKARDKIPLSQIPTVSKEIKEVRVEQVSASEFAPRDGILLTIHDKSSDKESDKIMLHLGMGKSKNGENSSQSGSFNQLENDGGLYQSGEEGSSSTVTVYKASSSYPITAPSPLVGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGNLINGTPVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTHRILVYEYVNNGNLEQWLHGAMRQHGYLTWEARIKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDEDFNAKVSDFGLAKLLGAGTSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAITGRDPVDYGRPAHEVNLVDWLKMMVGSRRSEEVVDPNIEVRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESEEYPIPREDRRHRRTEAGSMDIDSQKENSDTDRSDYPGSRSESKGYQRT >ONI04157 pep chromosome:Prunus_persica_NCBIv2:G6:27612238:27616898:1 gene:PRUPE_6G306000 transcript:ONI04157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLKAELSKEAFFNLKVWEVIGIAVALFIIIILSVLSMCLTSRKKSRKARDKIPLSQIPTVSKEIKEVRVEQVSASEFAPRDGILLTIHDKSSDKESDKIMLHLGMGKSKNGENSSQSGSFNQLENDGGLYQSGEEGSSSTVTVYKASSSYPITAPSPLVGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGNLINGTPVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTHRILVYEYVNNGNLEQWLHGAMRQHGYLTWEARIKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDEDFNAKVSDFGLAKLLGAGTSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAITGRDPVDYGRPAHEVNLVDWLKMMVGSRRSEEVVDPNIEVRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESEEYPIPREDRRHRRTEAGSMDIDSQKENSDTDRSDYPGSRSESKGYQRT >ONI05143 pep chromosome:Prunus_persica_NCBIv2:G6:30338225:30340563:-1 gene:PRUPE_6G358400 transcript:ONI05143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPSLLSLTIDSALFNLSSISDLSTLPEHILIDLFLKTLRAGKLNEKVLKLFKATGKDEILSLIQALNIRHTLTPVFPTSNINNNEVDIVIAAINSDLEPFMNEWRPVFSQFHLIIVKDPDMNGELHIPEGFNVDVFTKSDIDRVVGSSTSILFSGYSCRYFGYLVSRKKYIISIDDDCIPARDTNGDLVDVVAQHIANLQAPATPFFFNTLYDPYRKGADFVRGYPFSLRSGVTCALSCGLWLNLADYDAPTQALKPEQRNSRYVDAVMTVPVRSLMPVSGINIAFNREVVGPALFPALRLAGEGKLRWETVEDIWSGMCVKVICDHLGLGVKSGIPYVWRRERGDAIESLKKEWEGVKLMEEVVPFFQSVRLPQTAVTAEDCVVEMAKSVKEQLGKVDPMFARAADAMGDWVKLWKSVGSSSPGV >ONI05144 pep chromosome:Prunus_persica_NCBIv2:G6:30338018:30340583:-1 gene:PRUPE_6G358400 transcript:ONI05144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTSINNNEVDIVIAAINSDLEPFMNEWRPVFSQFHLIIVKDPDMNGELHIPEGFNVDVFTKSDIDRVVGSSTSILFSGYSCRYFGYLVSRKKYIISIDDDCIPARDTNGDLVDVVAQHIANLQAPATPFFFNTLYDPYRKGADFVRGYPFSLRSGVTCALSCGLWLNLADYDAPTQALKPEQRNSRYVDAVMTVPVRSLMPVSGINIAFNREVVGPALFPALRLAGEGKLRWETVEDIWSGMCVKVICDHLGLGVKSGIPYVWRRERGDAIESLKKEWEGVKLMEEVVPFFQSVRLPQTAVTAEDCVVEMAKSVKEQLGKVDPMFARAADAMGDWVKLWKSVGSSSPGV >ONI03528 pep chromosome:Prunus_persica_NCBIv2:G6:25266436:25269356:-1 gene:PRUPE_6G262800 transcript:ONI03528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIDALREVDWSSPPRPLSEFFSKFTVPRSSSKWNSRLKCNLYYYRTNYFLLIIFVLGLAFLRRPLAIVAALLTALSIAILNDSFAGTFSEKVSRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRAIFICGRPRWVFVFLFSSVSFFLWFVSCGLLTVLWALAFGLLATLLHASFRTPNLKARLNTFREEFRAVWRNYSEL >ONI03527 pep chromosome:Prunus_persica_NCBIv2:G6:25266570:25269310:-1 gene:PRUPE_6G262800 transcript:ONI03527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGNVTAEDLIDALREVDWSSPPRPLSEFFSKFTVPRSSSKWNSRLKCNLYYYRTNYFLLIIFVLGLAFLRRPLAIVAALLTALSIAILNDSFAGTFSEKVSRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRAIFICGRPRWVFVFLFSSVSFFLWFVSCGLLTVLWALAFGLLATLLHASFRTPNLKARLNTFREEFRAVWRNYSEL >ONI03563 pep chromosome:Prunus_persica_NCBIv2:G6:25390924:25392153:-1 gene:PRUPE_6G265200 transcript:ONI03563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDRKIGVAMDFSKSSKNALEWAVDNLLDKGDTLYIIHINPNSLDESRTQLWAQSGSPLIPLTEFREPEIMKKYDVRTDIEVLDTLDTASRQKEVNIVTKLYWGDAREKLLQAIEDLKLDSLVMGSRGLGTIKRILLGSVSNYVLTNAPIPVTIVKDPSLQHH >ONI02189 pep chromosome:Prunus_persica_NCBIv2:G6:18909433:18911498:1 gene:PRUPE_6G182200 transcript:ONI02189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIDLYSSSSMTPDLSDSLREDQLMKALMPFMKSVSSTSSLTSISSSISSPTSPSTSSSFSSYPSYTYHPPLYSTQPNLYPDFCSPSTTHMFDQVSFEQTGSIGLNQLTPSQILQIQTQMVLQQQQEHQQKEQIAALASPASLQNQYQHQNPRTPKFLSPKAIPMKQVGAPSKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPHLKHQGAHVSGDFGHYKPLHSSVDAKLQAICQSLAANSAKQGKTGELCSVAERKPAITSPKEVSLKTELKSEWELTGVECYRPEISSSPSRSVESSAGSSSPESDITFLEFSDSQWDEAENFGLEKYPSVEIDWSAI >ONI04421 pep chromosome:Prunus_persica_NCBIv2:G6:28388569:28391426:-1 gene:PRUPE_6G321000 transcript:ONI04421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLHLPISISATSSSSLRAKNTRRFAAFCSINSKAKVKIPIPPINPKDPFLSRLSSVAANSPETLLNRPVQNSDSLPYLDLFDEPKLMATPAQVERSVSYNEHRPRKPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAELMYLQWMDPKQPIYIYINSTGTTRDDGETVGMESEGFAIYDAMMQLKNEIHTVAVGAAIGQACLLLSAGTKGKRFMMPHAKAMIQQPRVPSSGLMPASDVLIRAKEVMTNRDILIKLLAKHTGNSVEAVTNVMRRPYYMDSTTAKEFGVIDKILWRGQEKIMADVASPEEWDKKAGVKVVDELSL >ONH99245 pep chromosome:Prunus_persica_NCBIv2:G6:1620361:1623647:-1 gene:PRUPE_6G020400 transcript:ONH99245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASALLCLFCFFLIILLPCTSLAQTSTNISLGSSLAALNDNLSWPSPSGEFAFGFRQIGKDGFLLAIWFNKIPDRTIVWSANRNDLVQEGSKVDLTSDGMLVLRNSEGGQVWSANSAGTEVAYAAMLDTGNFVLANTNSTNLWESFDVPTDTILPTQTLNQNGKLFARYTETNYSQGRFQFILQSDGNLLLYTTRFPLESPNFAYYATMTMGTGFQVVFNQSGSIYLTAKNGSILNMVSSNTVSMKNFYQKATLEYDGILRHSVHPKSNGSSAGTPPAWSPLTSEPSDICFRVEGDIGSGACGYNSLCSYNDKGPVCQCPYGYSFIDLNDKLKGCKQNFISQSCDEASSETNLFYFQEMQNTKWYGGDYEQFTSVQEDWCRQSCLGDCFCAVAVFNNGECLKKRIPFSNGRMNPSIGGKALIKMRKDSPPLTPEGPNAKKKDNSTMILIGSVLLSSSGFLNLVLLLTTYLIVSRIYNKNAGVIQAYSVVPGVNVKCFTYEELNEATNGFKEELGRGAFATVFKGVLAFENRKCVAVKRLDTIVGENELEFKAEMSAIGRTNHRNLVQLLGFCNEGQHRLLVYEFMSKGSLASFLFGESRPNWYQRRQIALGTARGLLYLHEECSMQIIHCDIKPQNILLDDSLTARISDFGLAKLLRTDQTRTITGIRGTKGYVAPEWFKSLPITMKVDVYSYGIMLLEIVCCRKKYEANIEDEDQMLLADWAYECYKQKKLHLLLENDDEAMHDIKKMEKYVMIAVWCIQEDPSLRPTMKKVTQMLEGTVEVSVPPGPSSFISSVF >ONI05178 pep chromosome:Prunus_persica_NCBIv2:G6:30410303:30412108:-1 gene:PRUPE_6G360200 transcript:ONI05178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFVAGVKRITYLVEFVALEQMHPSRVPNESERRSEKMRDDKHRGAFAGDQRHEREERERRHDGA >ONI05125 pep chromosome:Prunus_persica_NCBIv2:G6:30283106:30283678:-1 gene:PRUPE_6G357300 transcript:ONI05125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWATITLVTFTAYALCIVISLYNHPLIPNSVVTRASLTEFSVTNNTTLHYNLALNISLRNPNKYYRTYYDNIELTASYKNQAFRTVNLNSFYQGVKNTTVLTLSFKGHQHGLVNLSNVTFTAGTHYHIDVKLYLQNVYLVSPRTTWFMGSPQSRSEFTCHLQVPLVNYIDGQASNITTKCDSDFNFFL >ONI02486 pep chromosome:Prunus_persica_NCBIv2:G6:20934165:20943928:-1 gene:PRUPE_6G201500 transcript:ONI02486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLARSYNALQGCPLVFKLIPSVGIITFAIWGLAPLMRLSRNLILHKSDGSWKKSNTHYVTTSYIQPMLLWMGAILICRALDPVVLPTEASQIVKQRLLDFIRSLSTVLAFAYCLSSVIQQAQKYFMETSDSGDTRNMGFQFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHQFTVNVVRNLSQKTHWRIKTHLAISHLDVHKINNIVADMRKVLSKNPQVEQQRLHRRVFLDNVTPENQALLILVSCFVKTSHHEEYLCVKEAILLDLLRVISHHKARLATPIRTVQKMYSDTDLDNVPFADTIYNHSGVTSRRPLLLIEPSYKINGEDKKRSRTQTSGERDGKATMRPAPDSKVRETPISDTKADSKVGATPVSDSKTRETPLSDTKADARSGEMPNLNTKEDSKGAKSSISYPQVGDKETGMSTSNSISKMNSKDTEKSDSDSKAAGTVSDNSTQNVSDRKQLKTASLGNVIPGTTNKPTFSSSEGGADKTSGSAASPVKQENERMPVPEPPPLRPAIEENIVLGVALEGSKRTLPIEEGMASPSPHVAANDLASSRKGNASPIADKGKKNYQVPAAPGSTSGSD >ONI02484 pep chromosome:Prunus_persica_NCBIv2:G6:20934609:20942569:-1 gene:PRUPE_6G201500 transcript:ONI02484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAGSLQLSHQLGLCKNQRYREQYKKQDSWGIRLSDNGYRSLHTVSHSYHAFKCHCSLTSGQPFDQHGLKTAAMVLARSYNALQGCPLVFKLIPSVGIITFAIWGLAPLMRLSRNLILHKSDGSWKKSNTHYVTTSYIQPMLLWMGAILICRALDPVVLPTEASQIVKQRLLDFIRSLSTVLAFAYCLSSVIQQAQKYFMETSDSGDTRNMGFQFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHQFTVNVVRNLSQKTHWRIKTHLAISHLDVHKINNIVADMRKVLSKNPQVEQQRLHRRVFLDNVTPENQALLILVSCFVKTSHHEEYLCVKEAILLDLLRVISHHKARLATPIRTVQKMYSDTDLDNVPFADTIYNHSGVTSRRPLLLIEPSYKINGEDKKRSRTQTSGERDGKATMRPAPDSKVRETPISDTKADSKVGATPVSDSKTRETPLSDTKADARSGEMPNLNTKEDSKGAKSSISYPQVGDKETGMSTSNSISKMNSKDTEKSDSDSKAAGTVSDNSTQNVSDRKQLKTASLGNVIPGTTNKPTFSSSEGGADKTSGSAASPVKQENERMPVPEPPPLRPAIEENIVLGVALEGSKRTLPIEEGMASPSPHVAANDLASSRKGNASPIADKGKKNYQVPAAPGSTSGSD >ONI02485 pep chromosome:Prunus_persica_NCBIv2:G6:20934609:20942569:-1 gene:PRUPE_6G201500 transcript:ONI02485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAGSLQLSHQLGLCKNQRYREQYKSGIGRDKLHSLSTALTSRFVKQDSWGIRLSDNGYRSLHTVSHSYHAFKCHCSLTSGQPFDQHGLKTAAMVLARSYNALQGCPLVFKLIPSVGIITFAIWGLAPLMRLSRNLILHKSDGSWKKSNTHYVTTSYIQPMLLWMGAILICRALDPVVLPTEASQIVKQRLLDFIRSLSTVLAFAYCLSSVIQQAQKYFMETSDSGDTRNMGFQFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHQFTVNVVRNLSQKTHWRIKTHLAISHLDVHKINNIVADMRKVLSKNPQVEQQRLHRRVFLDNVTPENQALLILVSCFVKTSHHEEYLCVKEAILLDLLRVISHHKARLATPIRTVQKMYSDTDLDNVPFADTIYNHSGVTSRRPLLLIEPSYKINGEDKKRSRTQTSGERDGKATMRPAPDSKVRETPISDTKADSKVGATPVSDSKTRETPLSDTKADARSGEMPNLNTKEDSKGAKSSISYPQVGDKETGMSTSNSISKMNSKDTEKSDSDSKAAGTVSDNSTQNVSDRKQLKTASLGNVIPGTTNKPTFSSSEGGADKTSGSAASPVKQENERMPVPEPPPLRPAIEENIVLGVALEGSKRTLPIEEGMASPSPHVAANDLASSRKGNASPIADKGKKNYQVPAAPGSTSGSD >ONI02467 pep chromosome:Prunus_persica_NCBIv2:G6:20762011:20764649:1 gene:PRUPE_6G200200 transcript:ONI02467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATDLFLGKFVAILESEAASIAGVRDQVDEIKQELVFMKSFLEDADGGEQAHTQVEKAWVASVRDLANDVENTIDEFMYRVYEQRNGGRFSRWFHKTIHFPKHLWYKRRIANKLQKIAVAIRAIPERNQRYHGAAAVEVKSTSEDIRRWVQNQAESSLYQKEDELVGIEGDKNMLLGWLMDEAKHQIAVSVVGMGGSGKTTLVARTFKDDIVKRDFECYAWITVSQSYVIEDLLRRLIKEFHKGKREEVPADINAMSYNELLEILVNYLETKRYLIVLDDVWDVHLWDKIRFSFPDKQLGSRVMLTTRREDIASSSFGVESHVHKIQPLERGDAWELFSMKAFSSYQNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLTEWSTVYNSLNWHLTNNSLLEPMKMRILLFSFNDLPYRLKQCFLSCSLFPEDHVIVNNRLITLWIAEGFVEHVEGLTPEEVARGYLMELIFRNMLQQRFLGSLPACKMHDLLREIALSIAKEEKFCVVHDGGETVEETGALRLSIQTTNREIGSCTGISRLRSFLVFATGVSSFSFSNKLPFDLKLLKVLDLEDVPLDYLPDNLTSLFNLKYLNLRGTPITELPESIGQLRNLQTLNITLTKIVALPRGISKLLNLRHLLVGRFFSRKIIGVRIPSSINGEEFLRVDALSSPPPYLDRLELSGKLEKVPHWFCSLHSLAYLNLSGSRLEEDLLPHIEALPSLRSLWLDNASVRKELCFNRGFVKLRHLWVWDLALLNMITIEKGAMPNLEFIRIHDCLTLETLPQGIEDLTNLQGYRFDNASEKFKESIKEGGVDHPRMLLVDERCKKYA >ONI02468 pep chromosome:Prunus_persica_NCBIv2:G6:20761845:20765181:1 gene:PRUPE_6G200200 transcript:ONI02468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATDLFLGKFVAILESEAASIAGVRDQVDEIKQELVFMKSFLEDADGGEQAHTQVEKAWVASVRDLANDVENTIDEFMYRVYEQRNGGRFSRWFHKTIHFPKHLWYKRRIANKLQKIAVAIRAIPERNQRYHGAAAVEVKSTSEDIRRWVQNQAESSLYQKEDELVGIEGDKNMLLGWLMDEAKHQIAVSVVGMGGSGKTTLVARTFKDDIVKRDFECYAWITVSQSYVIEDLLRRLIKEFHKGKREEVPADINAMSYNELLEILVNYLETKRYLIVLDDVWDVHLWDKIRFSFPDKQLGSRVMLTTRREDIASSSFGVESHVHKIQPLERGDAWELFSMKAFSSYQNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLTEWSTVYNSLNWHLTNNSLLEPMKMRILLFSFNDLPYRLKQCFLSCSLFPEDHVIVNNRLITLWIAEGFVEHVEGLTPEEVARGYLMELIFRNMLQQRFLGSLPACKMHDLLREIALSIAKEEKFCVVHDGGETVEETGALRLSIQTTNREIGSCTGISRLRSFLVFATGVSSFSFSNKLPFDLKLLKVLDLEDVPLDYLPDNLTSLFNLKYLNLRGTPITELPESIGQLRNLQTLNITLTKIVALPRGISKLLNLRHLLVGRFFSRKIIGVRIPSSISKMKKLQRLALQM >ONI01810 pep chromosome:Prunus_persica_NCBIv2:G6:14831235:14833896:1 gene:PRUPE_6G160300 transcript:ONI01810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKIKTAAGIAKVAGLVVSMIGVAVLSFYKGPQLKPFLHHHLLQSHNSHQDQQQAHASSSGHRWMIGCFLLLVSIICWSLWLVLQAQLLVKYPAKLRFTSLQCLSSAAQSFVVAIALERDPSQWNLGWNINLLAIAYCGIMVTGVSYYLQAYVIEKKGPVFQAMSQPLNLIITVIGSVLLLGEAISLGSVLGGILMAASLYSVLWGKSKELKSMENRTCLSVPVQPEKERAQLKEAEATITEPTLFV >ONI01809 pep chromosome:Prunus_persica_NCBIv2:G6:14830499:14833896:1 gene:PRUPE_6G160300 transcript:ONI01809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTKPYLAVIFIQIIYAGMILLSKAAFNGGMNSYVFVFYRQMAGSLFMSPLAMIFERKSATPLSVVTFCKIFMLSFLGMEKVKIKTAAGIAKVAGLVVSMIGVAVLSFYKGPQLKPFLHHHLLQSHNSHQDQQQAHASSSGHRWMIGCFLLLVSIICWSLWLVLQAQLLVKYPAKLRFTSLQCLSSAAQSFVVAIALERDPSQWNLGWNINLLAIAYCGIMVTGVSYYLQAYVIEKKGPVFQAMSQPLNLIITVIGSVLLLGEAISLGSVLGGILMAASLYSVLWGKSKELKSMENRTCLSVPVQPEKERAQLKEAEATITEPTLFV >ONI01808 pep chromosome:Prunus_persica_NCBIv2:G6:14830499:14833896:1 gene:PRUPE_6G160300 transcript:ONI01808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTKPYLAVIFIQIIYAGMILLSKAAFNGGMNSYVFVFYRQMAGSLFMSPLAMIFERKSATPLSVVTFCKIFMLSFLGITLAINAYCIALTYTSATLGAASINCLPVATFFFAVLLRMEKVKIKTAAGIAKVAGLVVSMIGVAVLSFYKGPQLKPFLHHHLLQSHNSHQDQQQAHASSSGHRWMIGCFLLLVSIICWSLWLVLQAQLLVKYPAKLRFTSLQCLSSAAQSFVVAIALERDPSQWNLGWNINLLAIAYCGIMVTGVSYYLQAYVIEKKGPVFQAMSQPLNLIITVIGSVLLLGEAISLGSVLGGILMAASLYSVLWGKSKELKSMENRTCLSVPVQPEKERAQLKEAEATITEPTLFV >ONI00163 pep chromosome:Prunus_persica_NCBIv2:G6:4873820:4876218:-1 gene:PRUPE_6G071400 transcript:ONI00163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVRMSEYEGGGSGGDDGDDETRVLEWEAGLPSADDLTPLSQPLIPIELASAFSISPEPCRTAVDVNRASQKTVSTLRGAAHSQGFSSNYKSFDENRSDDVEPMVVEVDENDRYGNAGSDSRKSRKIDCTEEADSALRTENFSADDTSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSGDVGPSSSDQLFASTPVPQSLHQESGGGSSQAGQSHGHGNGQFSFSMPYPPTMMPMPVLGLTHGHGHMGMPGGGYHGFESHHYNMGSMVSYPHGAPNDK >ONI00162 pep chromosome:Prunus_persica_NCBIv2:G6:4873820:4876279:-1 gene:PRUPE_6G071400 transcript:ONI00162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVRMSEYEGGGSGGDDGDDETRVLEWEAGLPSADDLTPLSQPLIPIELASAFSISPEPCRTAVDVNRASQKTVSTLRGAAHSQGFSSNYKSFDENRSDDVEPMVVEVDENDRYGNAGSDSRKSRKIDCTEEADSALRTENFSADDTSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSGDVGPSSSDQLFASTPVPQSLHQESGGGSSQAGQSHGHGNGQFSFSMPYPPTMMPMPVLGLTHGHGHMGMPGGGYHGFESHHYNMGSMVSYPHGAPNDK >ONI00161 pep chromosome:Prunus_persica_NCBIv2:G6:4874977:4876280:-1 gene:PRUPE_6G071400 transcript:ONI00161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVRMSEYEGGGSGGDDGDDETRVLEWEAGLPSADDLTPLSQPLIPIELASAFSISPEPCRTAVDVNRASQKTVSTLRGAAHSQGFSSNYKSFDENRSDDVEPMVVEVDENDRYGNAGSDSRKSRKIDCTEEADSALRTENFSADDTSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSGDVGPSSSDQLFASTPVPQSLHQESGGGSSQAGQSHGHGNGQFSFSMPYPPTMMPMPVLGLTHGHGHMGMPGGGYHGFESHHYNMGSMVSYPHGAPNDK >ONI03466 pep chromosome:Prunus_persica_NCBIv2:G6:25028504:25030862:1 gene:PRUPE_6G258500 transcript:ONI03466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDGPNWDGLLKWSLAHSDGTRPNRNLSEEDRRWFMEAMQSQSIDVVKRMKEITLVMQTPEQVLEAQGVTPADIEDLLDELQEHVESIDMANDLHSIGGLVPLLGYLKNSHANIRAKAAEVVTTIVQNNPKSQQLVVEANGLEYLFSNLTSDPDVTVRTKALGAISSLIRHNKPGSIAFRLANGYAALRDALSSDNVRFQRKALNLIQFLLHENSSDCNVVSELGFPRIMLHLASSEDLEVREAALRGLLELARDKTDGSTSGLADEDEKLKQLLQERIKGISSMSPEDLGAAKEERQLVDSLWNACYNEPSSLRENGLLVLPGEDAPPPDVASKVFEPRLRAFAPNPADKNPGTEKKETPPLLLGAGPPPEAANVQGSSTTRDDANGSSQTN >ONI03873 pep chromosome:Prunus_persica_NCBIv2:G6:26596971:26598245:-1 gene:PRUPE_6G287700 transcript:ONI03873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRKKRIRWTEAVPSDNRVNVPEDIIHDILLLLPIRSLLTCTAVCKSWRSLIQSSAFIHTRLNRTIIQSKKQNDDGQLLLINYYSDREKARIFSLHWDSSPSFSEYSKLLNPFETAYNQIKESHKKKYCCEEVVGTCNGLVCLHGYTSTLIWNPCIRKFVILPPPSVVNLTEVKVTWNPNKYKSSYAFGYDSLTNDYKVLRSVSSYLGFRRAVEIWSLSSGSWKRLSDDVVPAKFSLGEFTQRHAAFLNGVLHWIHGDEENSFIVSFDLSTELFGKILMPKTAVRRSKKSYATHLVYVRKEVSDLSRYRDSLAFFERRHKNMDCVRVHMWVMKEYGVAGSWAKLFTISPQEVVVGPLGFRKSGQVVLALHRGGRDGQFCRSMDPNTKQFEDFRVEGSCTKSYLFMDSFVESIVLLDQPNAISY >ONI03082 pep chromosome:Prunus_persica_NCBIv2:G6:23762977:23765547:1 gene:PRUPE_6G237300 transcript:ONI03082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHQALSGFSRSAPPLLLLLLLCSTTASGFGSMGPISASFGKDGFFCAIDASGKQDVVCWGKNSSSSTPSSASSSASAFLSNIPAMAALSGGEGFLCGILANTSLAYCWSSIAPGIDLVPPIFKTTAYSHIAAGKNHVCAIRGSYYSDHEFGTVDCWEIFETWNKSLSSKQSTLFSGHSISNLVFKKVVSGEGFSCGGVIDGGFICWGPNSSNLGVSGPVDNFTALASGRASLCGISDFGELKCWGDTDLLAGYPNGTQYVSLAAGEHHFCGVREDNHGIECWGIFNSSLIPKASGFVAIASSDFTTCGIREDDLVIDCWAANASSSPPEYDPPLELCSPGLCTPGFCGEGKFAFNASILNEADLTSLCVRKDLTICSPCGSNCSEGFFLSSSCTEHADRVCTACSLCQNSSCWDICGLQTPPEMRKKLWHHVRRLVIIFGSSALGFLLILVSWCLLPRLTTSRKEEGNKKQFKSCIGKAELEADNNEDSHPFPSVVSCPGMAQVFRLSELKDATNGFKEFNELGRGSYGFVYKAVLADGQQVAVKRANAATIIHTNSRDFEVELEVLCKIRHCNIVNLLGYCSEMGERLLVYEYMPHGTLHDHLHGGLSALNWVLRLKISMQAAKGLEYLHKEFVPPIVHRDVKSSNILLDSEWNARIADFGLLTSNDKDHSGDLESDVYNFGIVLLEMLSGRKAFDRDYTPPSIVEWAVPLIKQNKAAAIIDRYVALPRNVEPLLKLADIAGLAVKENPTERPAMSDIASWLEHIVKDGLTF >ONI00552 pep chromosome:Prunus_persica_NCBIv2:G6:6548381:6548973:1 gene:PRUPE_6G094400 transcript:ONI00552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFTYADESTSVIPPPRLFKALIAPQSVKSAEIVEGDGGVGTIKKISFGEGSHYSYVKHRIDGLDKDNFVYSYSLVEGDALSDKVEKISYEIKLVASADGGSIIKSSSNYHTTGDVEIKEEDVKAGKEKATGLFKLIENYLVANPDAYN >ONI02455 pep chromosome:Prunus_persica_NCBIv2:G6:20610911:20614264:1 gene:PRUPE_6G199400 transcript:ONI02455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSADLLICKFVAILESEAASIAGVGDHVDEIKRELEFMKAFLANADEGNKAHTQVEQVWIVSVRDLVNDVENIIDEFMYHVYEQQIGCRFARWIHKTIHFPKHLWSKRKIANKLQKIAMAIRAITERTVEGKSTSSEDIRRWVQIQAVSSLYHKEDELVGIEGDKNLLIGWLTNEEQRQTVVSVVGMGGSGKTTLVARTFKDEIVKRHFECYAWITISQSYVIEDLLRRLIKEFHKAKKEEFPADMNAMSYNELLEILVNYLETKRYLVVLDDVWDVHLWEKIRFSFPDKQLGSRVMLTTRREDIASSSFGVESHVHKIQPLEKGDAWELFSMKAFSSYPNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLTEWSTVYNSLNWHLTNSPLLEPMKMRILLFSFNDLPYRLKQCFLYCSLFPEDHVILNLRLITLWIAEGFVEHVEGLTPEEVANSYLMELFFRNMLQQRFRGPLPACKMHDLLREIALSIAKEEKFCTVHDGSETVEETGALRLSIQTTNGEIGSCTGISRLCSFLVFATDLSSFSLPNKFTSDLKLLKVLDLEDVPIDNLPDNLTSLFNLKYLNLSRTPITELPESIRQLHNLQTLNITGTKIEALPRGISKLLKLRHLLMGRFISRKIIGVRIPSSISKMKKLQTLENIESKGNIIRLIGSMTQLKFLGITNVKERDEEDLCASIQEMKVLSRLLLFVADGEEFLRVDALSSPPPYLDRLRLVGKLEKVPQRFCSLHSLAYLNLRGSRLEEDFLPHIEALPSLRSLWLDNTSVKKELCFNRGFVKLWYLQFQNFALLNKITIEKGTMPNLEFLDIRSCMTLETLPQGIEHLIKLRGYRFDNVSEKFRESIKGGGVDHPRMLLVDEKCKKHINKSTLIEEFTHEYSFYSF >ONI02456 pep chromosome:Prunus_persica_NCBIv2:G6:20611101:20614001:1 gene:PRUPE_6G199400 transcript:ONI02456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGKTTLVARTFKDEIVKRHFECYAWITISQSYVIEDLLRRLIKEFHKAKKEEFPADMNAMSYNELLEILVNYLETKRYLVVLDDVWDVHLWEKIRFSFPDKQLGSRVMLTTRREDIASSSFGVESHVHKIQPLEKGDAWELFSMKAFSSYPNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLTEWSTVYNSLNWHLTNSPLLEPMKMRILLFSFNDLPYRLKQCFLYCSLFPEDHVILNLRLITLWIAEGFVEHVEGLTPEEVANSYLMELFFRNMLQQRFRGPLPACKMHDLLREIALSIAKEEKFCTVHDGSETVEETGALRLSIQTTNGEIGSCTGISRLCSFLVFATDLSSFSLPNKFTSDLKLLKVLDLEDVPIDNLPDNLTSLFNLKYLNLSRTPITELPESIRQLHNLQTLNITGTKIEALPRGISKLLKLRHLLMGRFISRKIIGVRIPSSISKMKKLQTLENIESKGNIIRLIGSMTQLKFLGITNVKERDEEDLCASIQEMKVLSRLLLFVADGEEFLRVDALSSPPPYLDRLRLVGKLEKVPQRFCSLHSLAYLNLRGSRLEEDFLPHIEALPSLRSLWLDNTSVKKELCFNRGFVKLWYLQFQNFALLNKITIEKGTMPNLEFLDIRSCMTLETLPQGIEHLIKLRGYRFDNVSEKFRESIKGGGVDHPRMLLVDEKCKKHINKSTLIEEFTHEYSFYSF >ONI04107 pep chromosome:Prunus_persica_NCBIv2:G6:27460192:27463419:1 gene:PRUPE_6G303000 transcript:ONI04107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEENAKASYSSLSPMDKKKGGFTASSFIFVLLALDNMGFVANMVSMVLYFMLVMKFDLAHSANTLTNFMGSTFLLSLTGGFISDTYLSRFTTCLIFGAVEILALVLMTIQAYSKDLHPKPVCKSSCVEGGMAFMLYASLCLLALGSGGVRGALPALGADQFNRKEEAKSLATFFNGLMLSSTLGATIGVTFIVYVSTNVAWYWGFFISTIATFVGFAVLAIGKPFYRLQIPGDSPIIRIVQVIVVAIKNRRLPQPGSPQELYEISEKESNYFEEKIAHTHQFRFLDKAAILGKDTKPQQWVVCTVTQVEEVKVLTRMLPILFSTIIMNTCLAQLQTFSVQQGNAMNRYLGSFEVPAPSIPVIPLLFMSILIPIYEFFFVPFARKITNHPSGITQLQRVGVGLVLSAISMAVAGIVEVKRKNQVHKDITKPISLFWLSFQYAIFGIADMFTLVGLLEFFYKEAPAGMKSLSTSFTWLSLAFGYFLSSIFVDVINAVTKRIAPSKQGWLHGIDINKNNVHLFYWFLAILSCINFANYLYWASWYKYKTDEPASKIQLKPLNQIPLINGDPSTQDSVIKTKEEKVTAL >ONH98942 pep chromosome:Prunus_persica_NCBIv2:G6:228087:230158:-1 gene:PRUPE_6G001300 transcript:ONH98942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTPSPSSSTWEQGEQVNVNSSNMSLGKGDDDEKNGQEAAASASSVAMAASMAEDLQRTVMQSTDSAIRSARSLQHHLPQYVEKAVSDYRTYENAFFTKIKEGLMSAREHPTSTLGIGLTAAFLLLPGPRRFFIRQTFSRLQSEEAQFVRAEKNVKELNLSVDLMKKESKKLLERALLAEKDMKYGQTDLMDVGSQIQSLSKSVHKVESQAADLMDGLREIPNREALKLRAEVASMASVLKRQRSVLDKRIMKISELGLPV >ONI04076 pep chromosome:Prunus_persica_NCBIv2:G6:27316052:27322189:-1 gene:PRUPE_6G301100 transcript:ONI04076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMRLLLFLAFCSAGIHVIFSETNSRDAAVFISLKEAWTDLPPSWNDKSNDPCGMNWEGVKCNNSRVTALGLSAMDLKGQIEGDIGGLSELRSLDLSFNKGLTGSLSPRLGDLSKLNILILAGCGFSGNIPEELGNLGELTFLALNTNKFTGQIPPSLGKLSKLYWLDLVDNQLTGTLPISTPLTSGLDNLLKAEHFHFSRNQLSGTIDPKLFSSKMKLIHILFDGNQFIGEIPSTIALVQTLEVLRLDRNALTGNVPSNISNLTNVNELNLAHNKLTGPLPDLTGMRFLHTVDLSNNLFDPSEAPRWFSNLTSITTIVLEFGALEGTVPEKMFNIPTLQQVKLKNNAFNETLNLVGSISPQLQLVDLQNNQIPKLNQHYEYKHTLILVGNPVCTNGTAVTKFCELERKVTYTTSSNCARITCPNNQKLGPQSCQCAYPFEGTLYFRAPSFRELSNVTMFHALETILWEILGLIPGSVSLENPFFDINDYLQIQLALFPPTGMYFNRSEIIRIGLDLNHQNQMPPEGFGPYYFIPYPYTFPGGNKSSMSTGVIIGTSVSCVAVVLGLVVVGIYAIRQKKRAERARLSRPFASWAPSGKDSGGAPQLKGARCFSFDELKKCTNNFSDSNEIGSGGYGKVYRGMLSDGQVVAIKRAQQGSIQGGLEFKTEIELLSRVHHKNVVGLLGFCFEQGEQMLVYEFMPNGTLRESLSGRSGIHLDWKRRLRITLGSASGLAYLHELANPPIIHRDVKSTNILLDEHLTAKVADFGLSKLVADSGKGHVSTQVKGTMGYVDPEYYTTQQLTEKSDVYSFGVVMLELITARQPLEKGKYIVREVLLMMDKNDEEHYGLRELMDRSIRNSGTLIGFGRFLELALQCVEESAADRPTMSELVKAIETILQNNGVNTNSTSASSSATEFAASKGAPKHPYNDGLPKKEVNDSTGAFDYSGGYAVSPRIEPK >ONI04077 pep chromosome:Prunus_persica_NCBIv2:G6:27316052:27320688:-1 gene:PRUPE_6G301100 transcript:ONI04077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGLSAMDLKGQIEGDIGGLSELRSLDLSFNKGLTGSLSPRLGDLSKLNILILAGCGFSGNIPEELGNLGELTFLALNTNKFTGQIPPSLGKLSKLYWLDLVDNQLTGTLPISTPLTSGLDNLLKAEHFHFSRNQLSGTIDPKLFSSKMKLIHILFDGNQFIGEIPSTIALVQTLEVLRLDRNALTGNVPSNISNLTNVNELNLAHNKLTGPLPDLTGMRFLHTVDLSNNLFDPSEAPRWFSNLTSITTIVLEFGALEGTVPEKMFNIPTLQQVKLKNNAFNETLNLVGSISPQLQLVDLQNNQIPKLNQHYEYKHTLILVGNPVCTNGTAVTKFCELERKVTYTTSSNCARITCPNNQKLGPQSCQCAYPFEGTLYFRAPSFRELSNVTMFHALETILWEILGLIPGSVSLENPFFDINDYLQIQLALFPPTGMYFNRSEIIRIGLDLNHQNQMPPEGFGPYYFIPYPYTFPGGNKSSMSTGVIIGTSVSCVAVVLGLVVVGIYAIRQKKRAERARLSRPFASWAPSGKDSGGAPQLKGARCFSFDELKKCTNNFSDSNEIGSGGYGKVYRGMLSDGQVVAIKRAQQGSIQGGLEFKTEIELLSRVHHKNVVGLLGFCFEQGEQMLVYEFMPNGTLRESLSGRSGIHLDWKRRLRITLGSASGLAYLHELANPPIIHRDVKSTNILLDEHLTAKVADFGLSKLVADSGKGHVSTQVKGTMGYVDPEYYTTQQLTEKSDVYSFGVVMLELITARQPLEKGKYIVREVLLMMDKNDEEHYGLRELMDRSIRNSGTLIGFGRFLELALQCVEESAADRPTMSELVKAIETILQNNGVNTNSTSASSSATEFAASKGAPKHPYNDGLPKKEVNDSTGAFDYSGGYAVSPRIEPK >ONI03713 pep chromosome:Prunus_persica_NCBIv2:G6:26019386:26022945:-1 gene:PRUPE_6G276700 transcript:ONI03713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGESKPIISWRSIPSLNASHCALTEAAELEPLWKTTQLSFTGGGGSGIRCNFACVSVVERVERRNEFAPTWAQLVKHPLAILACVPKDAALFAAGAVAGAAAKTVTAPLDRIKILMQTHGIRVGQQSAKKVISFLEAITMIGKEEGIKGYWKGNLPQVIRIIPYSAVQLFAYEAYKKLFRGKDGELSLVGRLAAGACAGMTSTFVTYPLDVLRLRLAVEPGYRTMSEIALNMLREEGVASFYYGLGPSLIGIAPYIAVNFCIFDLVKKSLPEEFQKRTEASLLTGLVSASLATLTCYPLDTVRRQMQMKGTPYKTVLDAIPGIVARDGLIGLYRGFLPNALKTLPNSSIRLTTYDMVKRLIATSQKEFQRIVEENRNKQQQKVVG >ONI04338 pep chromosome:Prunus_persica_NCBIv2:G6:28166696:28170619:-1 gene:PRUPE_6G316500 transcript:ONI04338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGKVSSKRKFTSRIQSKYKDSDDSDEDYVISDEENEVSDDLEEDYCSSVNGDASEESFGSFIEEEEDEEEEEVKKVRKISRPRAKKGSDGFIVDEEEEEDEEEMNHVRKADRSNVQNGFSGRQKNGVKPSRKRRKVAYQEAEDQDYDDEDDDDDEEFTPDEDDFSEVEEKLVVKKKKTNVKVGKRRLKKKGPLRGEKSRKSVASKKPLRKRGRKGQAARRKVRCDDDIENDGDFMDINLPVREKNEKKRVRKRRRCVAPSDSDVVSSGSSDYDYTISEEEREQVREAKELCESLKTNFRSSSVSTNIPEDRLVQQPRKPPRRKGKEKIEQVKVEVGKQVCGICLSEEDKRRVRGTLDCCTHYFCFVCIMEWGKVESRCPLCKQRFKTISKPARSTTGIDLREVVIQVPERDQVYQPTEEELRSYLDPYENVICTECHEGGEDGLMLLCDVCDSPAHTYCVGLGREVPEGNWYCEGCRPVAMGSSSSQAQGRLSDQRTTNNILSNRQSPVVNFDEGLEIDLNLISSPRSHFTQAFGNPLSPRVPVGGFLAASPVSGGGAPTLSGRRLIQRHIQQLLYANRTSYMARTERNSSDNLSNDFLNSQAAQGRETTVQHTRTDEMEASYHTFFEERLQENPLAQVQDNDFLSSTSSHQRRQAIQDPTAPSAGRSLNGALWPEVTGMNSISGYGQFHHINSISNIRSDGGVPPTPNTAIEQSDFHRAKEQLKVMVKGHLDNLSRDINLDKGTFKEIARRSTHTILAVCGREHRSNEVVAVSPPSTCSHVELTVGGKTSLIRGCCSSCFESFVGEVVKRILDTKLQQWLRLGL >ONI04339 pep chromosome:Prunus_persica_NCBIv2:G6:28166699:28170609:-1 gene:PRUPE_6G316500 transcript:ONI04339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGKVSSKRKFTSRIQSKYKDSDDSDEDYVISDEENEVSDDLEEDYCSSVNGDASEESFGSFIEEEEDEEEEEVKKVRKISRPRAKKGSDGFIVDEEEEEDEEEMNHVRKADRSNVQNGFSGRQKNGVKPSRKRRKVAYQEAEDQDYDDEDDDDDEEFTPDEDDFSEVEEKLVVKKKKTNVKVGKRRLKKKGPLRGEKSRKSVASKKPLRKRGRKGQAARRKVRCDDDIENDGDFMDINLPVREKNEKKRVRKRRRCVAPSDSDVVSSGSSDYDYTISEEEREQVREAKELCESLKTNFRSSSVSTNIPEDRLVQQPRKPPRRKGKEKIEQVKVEVGKQVCGICLSEEDKRRVRGTLDCCTHYFCFVCIMEWGKVESRCPLCKQRFKTISKPARSTTGIDLREVVIQVPERDQVYQPTEEELRSYLDPYENVICTECHEGGEDGLMLLCDVCDSPAHTYCVGLGREVPEGNWYCEGCRPVAMGSSSSQAQGRLSDQRTTNNILSNRQSPVVNFDEGLEIDLNLISSPRSHFTQAFGNPLSPRVPVGGFLAASPVSGGGAPTLSGRRLIQRHIQQLLYANRTSYMARTERNSSDNLSNDFLNSQAAQGRETTVQHTRTDEMEASYHTFFEERLQENPLAQVQDNDFLSSTSSHQRRQAIQDPTAPSAGRSLNGALWPEVTGMNSISGYGQFHHINSISNIRSDGGVPPTPNTAIEQSDFHRAKEQLKVMVKGHLDNLSRDINLDKGTFKEIARRSTHTILAVCGREHRSNEGKS >ONI04337 pep chromosome:Prunus_persica_NCBIv2:G6:28166702:28170609:-1 gene:PRUPE_6G316500 transcript:ONI04337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGKVSSKRKFTSRIQSKYKDSDDSDEDYVISDEENEVSDDLEEDYCSSVNGDASEESFGSFIEEEEDEEEEEVKKVRKISRPRAKKGSDGFIVDEEEEEDEEEMNHVRKADRSNVQNGFSGRQKNGVKPSRKRRKVAYQEAEDQDYDDEDDDDDEEFTPDEDDFSEVEEKLVVKKKKTNVKVGKRRLKKKGPLRGEKSRKSVASKKPLRKRGRKGQAARRKVRCDDDIENDGDFMDINLPVREKNEKKRVRKRRRCVAPSDSDVVSSGSSDYDYTISEEEREQVREAKELCESLKTNFRSSSVSTNIPEDRLVQQPRKPPRRKGKEKIEQVKVEVGKQVCGICLSEEDKRRVRGTLDCCTHYFCFVCIMEWGKVESRCPLCKQRFKTISKPARSTTGIDLREVVIQVPERDQVYQPTEEELRSYLDPYENVICTECHEGGEDGLMLLCDVCDSPAHTYCVGLGREVPEGNWYCEGCRPVAMGSSSSQAQGRLSDQRTTNNILSNRQSPVVNFDEGLEIDLNLISSPRSHFTQAFGNPLSPRVPVGGFLAASPVSGGGAPTLSGRRLIQRHIQQLLYANRTSYMARTERNSSDNLSNDFLNSQAAQGRETTVQHTRTDEMEASYHTFFEERLQENPLAQVQDNDFLSSTSSHQRRQAIQDPTAPSAGRSLNGALWPEVTGMNSISGYGQFHHINSISNIRSDGGVPPTPNTAIEQSDFHRAKEQLKVMVKGHLDNLSRDINLDKGTFKEIARRSTHTILAVCGREHRSNEVVAVSPPSTCSHVELTVGGKTSLIRGCCSSCFESFVGEVVKRILDTKLQQWLRLGL >ONI04336 pep chromosome:Prunus_persica_NCBIv2:G6:28166696:28170448:-1 gene:PRUPE_6G316500 transcript:ONI04336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGKVSSKRKFTSRIQSKYKDSDDSDEDYVISDEENEVSDDLEEDYCSSVNGDASEESFGSFIEEEEDEEEEEVKKVRKISRPRAKKGSDGFIVDEEEEEDEEEMNHVRKADRSNVQNGFSGRQKNGVKPSRKRRKVAYQEAEDQDYDDEDDDDDEEFTPDEDDFSEVEEKLVVKKKKTNVKVGKRRLKKKGPLRGEKSRKSVASKKPLRKRGRKGQAARRKVRCDDDIENDGDFMDINLPVREKNEKKRVRKRRRCVAPSDSDVVSSGSSDYDYTISEEEREQVREAKELCESLKTNFRSSSVSTNIPEDRLVQQPRKPPRRKGKEKIEQVKVEVGKQVCGICLSEEDKRRVRGTLDCCTHYFCFVCIMEWGKVESRCPLCKQRFKTISKPARSTTGIDLREVVIQVPERDQVYQPTEEELRSYLDPYENVICTECHEGGEDGLMLLCDVCDSPAHTYCVGLGREVPEGNWYCEGCRPVAMGSSSSQAQGRLSDQRTTNNILSNRQSPVVNFDEGLEIDLNLISSPRSHFTQAFGNPLSPRVPVGGFLAASPVSGGGAPTLSGRRLIQRHIQQLLYANRTSYMARTERNSSDNLSNDFLNSQAAQGRETTVQHTRTDEMEASYHTFFEERLQENPLAQVQDNDFLSSTSSHQRRQAIQDPTAPSAGRSLNGALWPEVTGMNSISGYGQFHHINSISNIRSDGGVPPTPNTAIEQSDFHRAKEQLKVMVKGHLDNLSRDINLDKGTFKEIARRSTHTILAVCGREHRSNEVVAVSPPSTCSHVELTVGGKTSLIRGCCSSCFESFVGEVVKRILDTKLQQWLRLGL >ONI01258 pep chromosome:Prunus_persica_NCBIv2:G6:10159084:10160627:1 gene:PRUPE_6G130500 transcript:ONI01258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVVAEAEELPKAIVRRVVKDKLSQCSKGDGDLTLHKEALLAFSESARIFIHYLSATANDICKEKKRQTISADDVLKALEEIEFSEFIKPLEASLKGKNPGKNAEKSGGKNAGKQKAGTSKAKEVKKKRKLEEPSSRKLKEKEEDNQDENGSDDE >ONI03227 pep chromosome:Prunus_persica_NCBIv2:G6:24279315:24281359:-1 gene:PRUPE_6G245700 transcript:ONI03227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYCSVIVLNIHDVHINHVEKVTAKSNANPHANNVDDDGNGIGTSIGGIANVANDIVNGIANALKPNNENYTEYPPPALAQEFIMVHNEIRKAENVPPLVWNETLAQFAEDWAKKQTDCGMRHSKGQYGENLFWGFKAHWLPREAVEDWAHEKQFYNREKRQCAQDKACGHYTQIVWKTTQQVGCARFRCSNGSLLIICEYAPPGNYANEDPFNPGSPLSPPPTPSATSPPSLSSPSSPSPPPPTPTPTPPLPL >ONI01915 pep chromosome:Prunus_persica_NCBIv2:G6:16967903:16973385:-1 gene:PRUPE_6G167000 transcript:ONI01915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQTKTNSPTHGLQGLHKLKLDHGYVQGGKGGKPISQKHSRKDIKVVRFNREEKVVDDGGVGVPGGGGGGGGAGGAGGGGEGVIGRETEKGKNGGNVSGRITLKQIGGDDDTVGGWPKWLVENVPEDVLSGLVPKTADSYDKLAKIGQGTYSNVYKARDRDTKKIVALKKVRFDTSEPESVKFMAREITILQKLDHPNIIKLEGIATSRMQYSLYLVFDFMLSDLTRVVSRPGQRLTEPQVKCYMQQLLAGLQHCHENGILHRDIKASNLLIDKSGMLKIADFGLANFFPPKKRSLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFVGRPVMPGRTEVEQLHRIFKLCGSPGDDYWKKMKLPTSFRPPQHYKPSFEEFFRDFSTSSFGLLTTLLALYPASRGSAASALQSDFFSSSPLACDLSALPVMYKREDEWIHTRDRRKRVSKPKQLSQTNRELHQRKVQEVRGEFESSNKFLLEKNAEPNMYRQAMGISYSQDMESMHSQEMGSMHSQEKGNNSASSSTSSNFKPSIYENLHASLSPIIRSHKKRFPTTEGHPNALKNINPDVLKKIKDFPLLRASITDIAEGSALSYYHRSHSTLDFRNLDPEKM >ONI01916 pep chromosome:Prunus_persica_NCBIv2:G6:16969341:16973385:-1 gene:PRUPE_6G167000 transcript:ONI01916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQTKTNSPTHGLQGLHKLKLDHGYVQGGKGGKPISQKHSRKDIKVVRFNREEKVVDDGGVGVPGGGGGGGGAGGAGGGGEGVIGRETEKGKNGGNVSGRITLKQIGGDDDTVGGWPKWLVENVPEDVLSGLVPKTADSYDKLAKIGQGTYSNVYKARDRDTKKIVALKKVRFDTSEPESVKFMAREITILQKLDHPNIIKLEGIATSRMQYSLYLVFDFMLSDLTRVVSRPGQRLTEPQVKCYMQQLLAGLQHCHENGILHRDIKASNLLIDKSGMLKIADFGLANFFPPKKRSLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFVGRPVMPGRTEVEQLHRIFKLCGSPGDDYWKKMKLPTSFRPPQHYKPSFEEFFRDFSTSSFGLLTTLLALYPASRGSAASALQSDFFSSSPLACDLSALPVMYKREDEWIHTRDRRKYVI >ONI04539 pep chromosome:Prunus_persica_NCBIv2:G6:28702140:28703130:1 gene:PRUPE_6G326900 transcript:ONI04539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVQKLSLSLSLSLSQHKKKPKSLIFIFSTCWLKEKLNRDKNNTFGSTEVLLMLLMSMFISRNQVIGLLFIFLGISGLYTGVSGARCLNEKVENPEKPQVLQRKSSSISTDEGFFATINREVPSSPDPLHNR >ONI03453 pep chromosome:Prunus_persica_NCBIv2:G6:24988028:24990186:-1 gene:PRUPE_6G257500 transcript:ONI03453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAMGATWSDGSEEELVRELLDNETPFFVLPEAALQSQMSVSNEDSVVNRFIPTVYSGPTIKDIETALSVTTGTIQPQELSPARLSTLERGLSKVEHKYTLKIKSCDNGAMADDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCSAKKQVERSSEDPDTLIITYEGLHLHFAYPFFPLNNQAQNTSPPMKKPRNKTSKPRAEDREHEAQESPRSITPDPDPDPDLQPGPFPDQHQEFVEKEPNAQGLLQDVVPFMIRNPNPSSASSNSSCSSYRSSPTSPSSLSWATSYFDIDFNHSFG >ONH99454 pep chromosome:Prunus_persica_NCBIv2:G6:2377944:2378590:1 gene:PRUPE_6G030400 transcript:ONH99454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVVSVPMHCDKCRTKALKIAAAAHGVNKVSIEVDKGHMEVIGDGVDSVCLTSLLRKKLGFATIVKVEEVKGAKDDKKEEKATPPPGCVQYCPPMYYELVDEYPEPVQCSIM >ONI00064 pep chromosome:Prunus_persica_NCBIv2:G6:4554574:4558004:-1 gene:PRUPE_6G065800 transcript:ONI00064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCLEPIKNSNDQQSLGTSGNMLRQINASINLQDQAQSRKPGLSYADLHHEITRNVKDSPSNSVGNHQKQRINRKTTAEEELVRHMSNLPSYLERGKNLQEKVLNVGVLDWGRLEKWQCSHKQMPYRSSRYSPSSSNTTSCFSTDGSSTHSSRGHSRSPARPRMHRHSLQSHFTKSPTEGHSEVVSSFGERVEKFQDLKADQSSTVNGPEKFIGTDTSLCRSRIDMKVEQCKSKDSDAKSEPEKRSLWNGPHLEMAAHLKVKKKTQVGEFIQKAENLQKPYSENFELDIPEGCKKVVLLLPRDFPENNHSGVSHLSDSTTLLHQRAETTTRASSSERPKEACHAELNSDFPHSCHFPSEVESKHSRVKHLGSTDGATLRFQSNTPSSASLSAKTGTNPYRGRNVEEKKVAVVSTSSSTVSEPYKGLDLKPSKATAEKVRNTSPFRRFSIGVGKMSKNTSSKDCLDTQQLSSTAFSAKPGSENTATSTFLGASDGQKSNATGRAKSPLRRLLDPLLKSKVANSHHLVEPLEKDSILSEGRVDSLSEQPGKVKLGMTGCRTINVNEPVKANKCGSTAVQALLRVAVKNGLPLFTFAVDNDIDILAATMKKLNTLKKGDCSCIYTFFSIREVKKKSGTWINQGSKGKSHDYIRNVIAQMKVADSQFPNLVRPDHFSMREFVLFSGNLRQADCETSDFQPSDELAAAVVKIPKMVSQQSTGDWHHWDNCSNLPAVVSKECLSRVRRHSYSGEAVEKPFVGGQGLISTTVILPSGIHSLPSNGGPSSLIERWNSGGSCDCGGWDLGCKLRIFDNQNPVNEKVKSHKVCSITDRFELFPQGGLQENQSTFSMSPFRDGIYSVEFSSSFSILQAFSICIAVLDSWNLCEFSESRNSLEEKTSGESILMQNDGLSAPNRTEGEVPARYVSYPPLSPAGRV >ONI00065 pep chromosome:Prunus_persica_NCBIv2:G6:4554574:4559670:-1 gene:PRUPE_6G065800 transcript:ONI00065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCLEPIKNSNDQQSLGTSGNMLRQINASINLQDQAQSRKPGLSYADLHHEITRNVKDSPSNSVGNHQKQRINRKTTAEEELVRHMSNLPSYLERGKNLQEKVLNVGVLDWGRLEKWQCSHKQMPYRSSRYSPSSSNTTSCFSTDGSSTHSSRGHSRSPARPRMHRHSLQSHFTKSPTEGHSEVVSSFGERVEKFQDLKADQSSTVNGPEKFIGTDTSLCRSRIDMKVEQCKSKDSDAKSEPEKRSLWNGPHLEMAAHLKVKKKTQVGEFIQKAENLQKPYSENFELDIPEGCKKVVLLLPRDFPENNHSGVSHLSDSTTLLHQRAETTTRASSSERPKEACHAELNSDFPHSCHFPSEVESKHSRVKHLGSTDGATLRFQSNTPSSASLSAKTGTNPYRGRNVEEKKVAVVSTSSSTVSEPYKGLDLKPSKATAEKVRNTSPFRRFSIGVGKMSKNTSSKDCLDTQQLSSTAFSAKPGSENTATSTFLGASDGQKSNATGRAKSPLRRLLDPLLKSKVANSHHLVEPLEKDSILSEGRVDSLSEQPGKVKLGMTGCRTINVNEPVKANKCGSTAVQALLRVAVKNGLPLFTFAVDNDIDILAATMKKLNTLKKGDCSCIYTFFSIREVKKKSGTWINQGSKGKSHDYIRNVIAQMKVADSQFPNLVRPDHFSMREFVLFSGNLRQADCETSDFQPSDELAAAVVKIPKMVSQQSTGDWHHWDNCSNLPAVVSKECLSRVRRHSYSGEAVEKPFVGGQGLISTTVILPSGIHSLPSNGGPSSLIERWNSGGSCDCGGWDLGCKLRIFDNQNPVNEKVKSHKVCSITDRFELFPQGGLQENQSTFSMSPFRDGIYSVEFSSSFSILQAFSICIAVLDSWNLCEFSESRNSLEEKTSGESILMQNDGLSAPNRTEGEVPARYVSYPPLSPAGRV >ONI00062 pep chromosome:Prunus_persica_NCBIv2:G6:4554574:4559489:-1 gene:PRUPE_6G065800 transcript:ONI00062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCLEPIKNSNDQQSLGTSGNMLRQINASINLQDQAQSRKPGLSYADLHHEITRNVKDSPSNSVGNHQKQRINRKTTAEEELVRHMSNLPSYLERGKNLQEKVLNVGVLDWGRLEKWQCSHKQMPYRSSRYSPSSSNTTSCFSTDGSSTHSSRGHSRSPARPRMHRHSLQSHFTKSPTEGHSEVVSSFGERVEKFQDLKADQSSTVNGPEKFIGTDTSLCRSRIDMKVEQCKSKDSDAKSEPEKRSLWNGPHLEMAAHLKVKKKTQVGEFIQKAENLQKPYSENFELDIPEGCKKVVLLLPRDFPENNHSGVSHLSDSTTLLHQRAETTTRASSSERPKEACHAELNSDFPHSCHFPSEVESKHSRVKHLGSTDGATLRFQSNTPSSASLSAKTGTNPYRGRNVEEKKVAVVSTSSSTVSEPYKGLDLKPSKATAEKVRNTSPFRRFSIGVGKMSKNTSSKDCLDTQQLSSTAFSAKPGSENTATSTFLGASDGQKSNATGRAKSPLRRLLDPLLKSKVANSHHLVEPLEKDSILSEGRVDSLSEQPGKVKLGMTGCRTINVNEPVKANKCGSTAVQALLRVAVKNGLPLFTFAVDNDIDILAATMKKLNTLKKGDCSCIYTFFSIREVKKKSGTWINQGSKGKSHDYIRNVIAQMKVADSQFPNLVRPDHFSMREFVLFSGNLRQADCETSDFQPSDELAAAVVKIPKMVSQQSTGDWHHWDNCSNLPAVVSKECLSRVRRHSYSGEAVEKPFVGGQGLISTTVILPSGIHSLPSNGGPSSLIERWNSGGSCDCGGWDLGCKLRIFDNQNPVNEKVKSHKVCSITDRFELFPQGGLQENQSTFSMSPFRDGIYSVEFSSSFSILQAFSICIAVLDSWNLCEFSESRNSLEEKTSGESILMQNDGLSAPNRTEGEVPARYVSYPPLSPAGRV >ONI00063 pep chromosome:Prunus_persica_NCBIv2:G6:4554574:4559571:-1 gene:PRUPE_6G065800 transcript:ONI00063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCLEPIKNSNDQQSLGTSGNMLRQINASINLQDQAQSRKPGLSYADLHHEITRNVKDSPSNSVGNHQKQRINRKTTAEEELVRHMSNLPSYLERGKNLQEKVLNVGVLDWGRLEKWQCSHKQMPYRSSRYSPSSSNTTSCFSTDGSSTHSSRGHSRSPARPRMHRHSLQSHFTKSPTEGHSEVVSSFGERVEKFQDLKADQSSTVNGPEKFIGTDTSLCRSRIDMKVEQCKSKDSDAKSEPEKRSLWNGPHLEMAAHLKVKKKTQVGEFIQKAENLQKPYSENFELDIPEGCKKVVLLLPRDFPENNHSGVSHLSDSTTLLHQRAETTTRASSSERPKEACHAELNSDFPHSCHFPSEVESKHSRVKHLGSTDGATLRFQSNTPSSASLSAKTGTNPYRGRNVEEKKVAVVSTSSSTVSEPYKGLDLKPSKATAEKVRNTSPFRRFSIGVGKMSKNTSSKDCLDTQQLSSTAFSAKPGSENTATSTFLGASDGQKSNATGRAKSPLRRLLDPLLKSKVANSHHLVEPLEKDSILSEGRVDSLSEQPGKVKLGMTGCRTINVNEPVKANKCGSTAVQALLRVAVKNGLPLFTFAVDNDIDILAATMKKLNTLKKGDCSCIYTFFSIREVKKKSGTWINQGSKGKSHDYIRNVIAQMKVADSQFPNLVRPDHFSMREFVLFSGNLRQADCETSDFQPSDELAAAVVKIPKMVSQQSTGDWHHWDNCSNLPAVVSKECLSRVRRHSYSGEAVEKPFVGGQGLISTTVILPSGIHSLPSNGGPSSLIERWNSGGSCDCGGWDLGCKLRIFDNQNPVNEKVKSHKVCSITDRFELFPQGGLQENQSTFSMSPFRDGIYSVEFSSSFSILQAFSICIAVLDSWNLCEFSESRNSLEEKTSGESILMQNDGLSAPNRTEGEVPARYVSYPPLSPAGRV >ONI00829 pep chromosome:Prunus_persica_NCBIv2:G6:7558599:7563970:-1 gene:PRUPE_6G106400 transcript:ONI00829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHPKHQQLSQYQIQRPAPPPPHHHDHHHHHLESSLSSSSSTPHNFLHSSNQTSTTKTTTRSSSSCHPCFGTKLKSFSHQHFGQIQNLTNIIPSWLHVASYSRRWSWLKRSCTGVLFMASPSDLSVRTPSEIRKKKLSGQRKREELEREVSLLQRMLNQEEKVHEVLDHVYSQKTTGSAISFPRFLPPKMKELLAELAMVEGEIARLEGQISQLQLGLKHEEATKEAKSKQWQHETLISNPVARASYISMPSPMNNKGERMGYETKALHFISKAIKGDYDHLNDLSLNEKIGSLRGIADQKENYFCDEVKFQNKVPRKNGILRASSPLRAPRYPSPKLKERNPGICSELPPKSLPIPTQLEENIQSWQPNRLSEEIMKCLNFIYVRLLRTTRTMELEKSGPISRSLNSSITSRSFRDETTLNSKSSLVLLQKESRQQDPYGIFNVEDSIPRDIGPYKNLVIFTSISMDPKSISASNSIPLIRKLRVLMNDLRVVDLGSLTYQQKLAFWINMYNACILNGFLHYGVPASTEKLLTLISKATLNIGGKTLNAEAIEHYILRKPPPSTMKEALQNEDEDDKAGVRQLYGLESMDPNVTFALCCGTRSSPAVRIYTAEGVVAELEKSKLEYLQASIVVTSTKKIVFPELLLGNMLDFAVDVDSLVQWVCHQLPTSGSLRKSMVDCFRGQVSSAKISATVEKMPYDFEFQYLLAM >ONI03434 pep chromosome:Prunus_persica_NCBIv2:G6:24945550:24948673:-1 gene:PRUPE_6G256700 transcript:ONI03434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPASSGPQMRVNSRRSYNVVHPVDIETPPLASPAPASSPDVYREVKHFKKWVVWLIPVFVVANVIMFIITMYVNNCPKNSINCIATFLGRFSFQPFKENPLLGPSSSTLQKMGALDVNKVVHRHQGWLLITCNWLHGGVFHLLANMLSLLVIGYRLEQEFGFVRIGLIYVISGLGGSLLSSLFIQTNISVGASGALFGLLGAMLSELVTNWTIYASKFGALFTLLIVIAINLAVGILPHVDNFAHIGGFLSGFFLGFVFLIRPQFGWVNQRYGPPGYASSEAKSKFKTYQCILWVLSVIILIVGFTVGLVMLLRGVDANKHCSWCHYLSCVPTSRWSCKTEPAYCMSSQTGNQLLLTCSSNGKNGTYTLANASSSQIQGLCSQLCS >ONI02454 pep chromosome:Prunus_persica_NCBIv2:G6:20596971:20598099:-1 gene:PRUPE_6G199300 transcript:ONI02454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAADIFIGKFVAILESEAASIAGVGHQVDEIKQELVVMKSFLEDVNGGNKAHTEVEKAWVASNQAESSLYHKDDELVGIEGGKKILMGWLMNKEQRQTIVSVLGMGGSGKTTLVARAFTNDAVKSHFECYAWITKIDQRIPHKARKEEVPADINVMSYNELLEILVNYLETKRYLVVLDDVWDILLWERIRFSFPDKQLGSRVMLTTRREDIASYSFGVESHVHKIPSLGKSVAWELFSMKAFSSYHNKSCSPELLPLARELVEKCEGLPLAIVALSGLLSSKKSLTEWSRVYNDGIKE >ONI03629 pep chromosome:Prunus_persica_NCBIv2:G6:25659295:25660855:-1 gene:PRUPE_6G270300 transcript:ONI03629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQNFFHNCLLSLYLIAPPTYISLRFLQAPYGKHRRPGWGPTMSPPLAWFLMESPTLWLTLLLFPSGLHSSNPKALFLIVPFLFHYFHRTFLYPLRLCRNTTNPKTSGGFPVSVALMAFGFNLLNAYLQARWVSQYKDYEADGWFWWRFCVGLVVFGYGMAVNIWSDRVLVGLKKEGKGYKVPKGGWFELVSCPNYYGEIVEWLGWAVMTWSWAGLGFFLYTCANLVPRARANHKWYLEKFGEDYPRGRKAVIPFLY >ONI03630 pep chromosome:Prunus_persica_NCBIv2:G6:25659457:25660797:-1 gene:PRUPE_6G270300 transcript:ONI03630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQNFFHNCLLSLYLIAPPTYISLRFLQAPYGKHRRPGWGPTMSPPLAWFLMESPTLWLTLLLFPSGLHSSNPKALFLIVPFLFHYFHRTFLYPLRLCRNTTNPKTSGGFPVSVALMAFGFNLLNAYLQARWVSQYKDYEADGWFWWRFCVGLVVFGYGMAVNIWSDRVLVGLKKEGKGYKVPKGGWFELVSCPNYYGEIVEWLGWAVMTWSWAGLGFFLYTCANLVPRARANHKWYLEKFGEDYPRGRKAVIPFLY >ONI04814 pep chromosome:Prunus_persica_NCBIv2:G6:29442031:29444410:-1 gene:PRUPE_6G340700 transcript:ONI04814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSLFTERQIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQKDEEHAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIAFTKRRTNQVKRTCYAQSSQIRQIRLKMREIMINQAASCDLKDLVAKFIPESIGREIEKATSSIYPLQNVFIRKVKILKAPKFDLPKLMEVHGGKEDVGVKVDRSAEETAPEGETEVVGV >ONI04237 pep chromosome:Prunus_persica_NCBIv2:G6:27844405:27845054:-1 gene:PRUPE_6G310700 transcript:ONI04237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDCFKKQSHYVSELVQASLRIWSPVFGFLYYIRVDQEDFCFCFIKNPAFKMVYPLCVSLPEYIVLWWHSL >ONI00615 pep chromosome:Prunus_persica_NCBIv2:G6:6832235:6837926:1 gene:PRUPE_6G097900 transcript:ONI00615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRAKMRSWKRWEKETRTAEYQFSHDPERFRFARDTSFGRRHLSYWTQTPLLMWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSHQKFNFQKYINRSLEEDFKVVVGISPPIWFFAVIFLLFNTHGWYSYLWLPFIPLIIILLVGTKLQVIITKMGIRIQERGEVVKGVPVVQPGDDLFWFNRPRLILYLINFVLFQNAFQLAFFAWSWYEFSLKSCFHEHIEDIIIRVSMGILIQILCSYVTLPLYALVTQMGSTMKPTIFNERVAAALRNWHHTARKHIKHNKGSVTPMSSRPATPSHHMSPIHLLRNYRSEVDSFYNSPRRSNFEGERWDTESPSPSHRLHVDGTSSSHHHQIELGNVEHERDVDVNEPNSVHAAPTTTITSQLARTQHEISMGRTKDFSFDNRQSI >ONI00614 pep chromosome:Prunus_persica_NCBIv2:G6:6831338:6837926:1 gene:PRUPE_6G097900 transcript:ONI00614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSGRSLEQTPTWAVAVVCFVLVLISIIIEHLIELVAKWLKKKHKRALHEALEKVKSELMLLGFISLLLTVGQGPISNICISEKLGNTWHPCGKKQETKMNKDKDTEHDDETPSRRLLSLFNQSDVGVRRVLAAAGTDKCAAKGKVPFISADGIHQLHIFIFVLAVFHILYCILTMALGRAKMRSWKRWEKETRTAEYQFSHDPERFRFARDTSFGRRHLSYWTQTPLLMWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSHQKFNFQKYINRSLEEDFKVVVGISPPIWFFAVIFLLFNTHGWYSYLWLPFIPLIIILLVGTKLQVIITKMGIRIQERGEVVKGVPVVQPGDDLFWFNRPRLILYLINFVLFQNAFQLAFFAWSWYEFSLKSCFHEHIEDIIIRVSMGILIQILCSYVTLPLYALVTQMGSTMKPTIFNERVAAALRNWHHTARKHIKHNKGSVTPMSSRPATPSHHMSPIHLLRNYRSEVDSFYNSPRRSNFEGERWDTESPSPSHRLHVDGTSSSHHHQIELGNVEHERDVDVNEPNSVHAAPTTTITSQLARTQHEISMGRTKDFSFDNRQSI >ONH99251 pep chromosome:Prunus_persica_NCBIv2:G6:1696171:1698600:-1 gene:PRUPE_6G021000 transcript:ONH99251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQLPCCLCFLLMLLRMPFHTTSQTYNNISLGSALTAQDDDTSWLSPSGEFAFGFQKIGNDGGFILAIWFNKIPERSIVWSANGNNLVQKGSTVELTADGQFMLNDIANGTQMFIAEQAAATGVAYAAMLDSGNFVLANRNSTNLWESFNHPTDTILPTQTLNRNSTLYGSYTKTNYSKGRFLFTLESKGILALYTANFPLESPNFRYWATQTEGVGFQVIFNESGYIYLTDINGSLLSMVSNKEVSLHDYYQRATLDFDGVLRHYIYPKSNGSSEGKGTRNWSTVSFKPPNICTAISEKIGGGACGFNSLCKHEDEGQIICQCPQNYTSIDTNDERKGCKQNFVPQNCDETSPETHHFGFQEMLYTDWPNGDYEHFPKVNEDFCRQSCLSDCFCAIAVFDKATEGCLKKGIPLSNGRIDQGVGWISLIKVRIDNSTYRQEVPRARKKENSALILVGSVLMIIISYLIVSLITYLFASHIYSKQAKVSKLYPVVHDINLKCFTYMELKEATNRFKEELGRGAFATVFKGVLASDNGRSVAVKRLDSMVRENDLEFKAEVSAIGRTNHRNLVQLLGFCNEGQHRILVYEFMTNGSLASFLFGKSMPSWDQRREIALGTARGLLYLHEECSSQIIHCDIKPQNILLDDSFTARIADFGVAKLLQTDQTRTTTRFRGPKGYMAPEWFKSSPVTVKVDVYSFGMLLLEIICCRKHYEPKIEDEDQMILADWAYACYKKKTIHLLLENDNVEEMGDIKMMEKYAMIAFWCIQEDPSIRPTMKNVTQMLEGTIEVSFPPNPSSLYVNKSDRSYH >ONH99909 pep chromosome:Prunus_persica_NCBIv2:G6:4000176:4003449:-1 gene:PRUPE_6G057000 transcript:ONH99909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRATARSPSYILPSVVALSLLSLVVVVYKVDDFATQTKTLAGHNLDPTPWHLFPPKTFSDETLHDRAYKLIHCSYLACRYRNNDIPERRRPPSSSAKAPECPNFFRWIHHDLEPWARTRISAAHLEAAKQYAAFRVVIVGGKLYVDLYWACVQSRAMFTIWGLLQLLARYPDRVPDVDIMFDCMDKPIINRTAHESMPLPLFRYCTDEDHFDIPFPDWSFWGWPELHIYPWNEQFRNIKRGSKERSWRKKEPFAYWKGNPDVSSPVRTELLNCNDTKMWRAQIMRQDWEAEARAGYEQSKLSNQCNHRYKIYAEGYAWSVSLKYIVSCGSLTLIITPQYEDFFSRGLIPRVNYWPISPNAICPSIKSAVDWGHGHQSEAKEIGQRGQDFMESLSMDRVYDYMFHLITEYSKLLDFKPVPPDSALEVCAESLVCLADPKQIQFFKKTTAYPSASPPCTLQSADSNLIKRWRQKKKEIMKAVEDMNPKERRRLN >ONI04474 pep chromosome:Prunus_persica_NCBIv2:G6:28506515:28508927:-1 gene:PRUPE_6G323500 transcript:ONI04474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVLLVNGPPNELDSHLSRLLLLPSTDTGLKKGKKETFIKKDEERDSKLKASMACLVSMTLSVPLSASHWFTKEIAPRKSVFVGLSSLPTSGTAAKPSASCRTNTGISSLNRSCQDHLLIPSKPHFERMYCCLNVDSCSYMIISGYWVGPDIDDGWGYVEAFVNPIT >ONI01892 pep chromosome:Prunus_persica_NCBIv2:G6:16266151:16269017:1 gene:PRUPE_6G165500 transcript:ONI01892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIQIVVFLLSVFCLAGDGAPQKHSSQIINPGSSLSPQSTHQPSSWPSSSGHFAFGFYQQGDGFAVGIWLVGIEGKTIVWTANRDDPPVTLNAMLQLTSDGKLVLSDRGQQKNLIIVTTSTNSSTDSAASSASMLDSGDFVLYNKRFDVIWKSFNHPTDTLLGGQILPIGGQLFSSFSENDHSTGRFHLNMQADGNLVLYPANSENSRADSYWSSETYGQPKLQLYLNTTGRLVLINSTRWADFNVLNYDDLSKANHKNGTIYRATVDVDGNFQLYSYEYDESIGKLRPSLMWFKPDNPCDVKGLCGLNSYCTFNDNKPNCLCLPGSDYAASDHMILGCSRNYTQVECKDGKENTSSYHMSTMENMVLEDAAYDQAQMSTVEECSRSCLEDCNCGAAVFDSESNICAKQNLPLRYVRRDLEESTRAVFKVGNITSSNISNNQNNTNLPIPGNPITTVVTTTDKKVIEQILVLTLTLIIFSCAALAVSAFYIFKIRLLRYERLTELNGDLGLADEELTLRSFSYNELRRATNGFKEELGKGSFGAVYKGALNKGKKFIAVKRLEKLVEEGEREFRAEMQAIGRTHHKNLVRLLGYSAEDSKRLLVYEYMSNGSLADLLFRTEWHPTWSERVTIALDVARGLLYLHEECKAPIIHCDIKPQNILMDEFWNAKISDFGLAKLLMPDQTRTFTGVRGTRGYLAPEWQKNTPISVKADVYSYGIVLLEIVCCRRNMDVNVRAEEIILSTWVYKCFVGRELHKLVGGEEVDKKTLENMVKVGLWCIQDEPALRPSMKSVVLMLQGITDIAIPPCPTATSM >ONI01893 pep chromosome:Prunus_persica_NCBIv2:G6:16266151:16269017:1 gene:PRUPE_6G165500 transcript:ONI01893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLTSDGKLVLSDRGQQKNLIIVTTSTNSSTDSAASSASMLDSGDFVLYNKRFDVIWKSFNHPTDTLLGGQILPIGGQLFSSFSENDHSTGRFHLNMQADGNLVLYPANSENSRADSYWSSETYGQPKLQLYLNTTGRLVLINSTRWADFNVLNYDDLSKANHKNGTIYRATVDVDGNFQLYSYEYDESIGKLRPSLMWFKPDNPCDVKGLCGLNSYCTFNDNKPNCLCLPGSDYAASDHMILGCSRNYTQVECKDGKENTSSYHMSTMENMVLEDAAYDQAQMSTVEECSRSCLEDCNCGAAVFDSESNICAKQNLPLRYVRRDLEESTRAVFKVGNITSSNISNNQNNTNLPIPGNPITTVVTTTDKKVIEQILVLTLTLIIFSCAALAVSAFYIFKIRLLRYERLTELNGDLGLADEELTLRSFSYNELRRATNGFKEELGKGSFGAVYKGALNKGKKFIAVKRLEKLVEEGEREFRAEMQAIGRTHHKNLVRLLGYSAEDSKRLLVYEYMSNGSLADLLFRTEWHPTWSERVTIALDVARGLLYLHEECKAPIIHCDIKPQNILMDEFWNAKISDFGLAKLLMPDQTRTFTGVRGTRGYLAPEWQKNTPISVKADVYSYGIVLLEIVCCRRNMDVNVRAEEIILSTWVYKCFVGRELHKLVGGEEVDKKTLENMVKVGLWCIQDEPALRPSMKSVVLMLQGITDIAIPPCPTATSM >ONH99041 pep chromosome:Prunus_persica_NCBIv2:G6:586471:591477:1 gene:PRUPE_6G007000 transcript:ONH99041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADLAANSAAENATSGPSASNAVAGTAAAPTRSTYVPPHLRNRPPSADPPAPAYTGPPANDRGGFSGSRWGGPRNDNSRSGPGYGGNGGRGGGWGSRSGGWDGRVREVNPFGEEEETEQPFSEQENSGINFDAYEDIPVETSGDNVPTPVNTFADIDLGDALNKNIQRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMTGQPAQRPPRGAHTVYPLALILSPTRELSIQIHEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGARQTMLFSATFPKEIQRLASDFLAKYIFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRSQQEREQALRSFKSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSLARSLADLMQESNQEVPAWLSRYAARSSFGGGRNRRSGGGRFGGRDFRRDSSFSRGGSDYYGGGSSGGYGGSSGGYSGGGGYGGPGMTSAWD >ONH99040 pep chromosome:Prunus_persica_NCBIv2:G6:586534:591351:1 gene:PRUPE_6G007000 transcript:ONH99040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADLAANSAAENATSGPSASNAVAGTAAAPTRSTYVPPHLRNRPPSADPPAPAYTGPPANDRGGFSGSRWGGPRNDNSRSGPGYGGNGGRGGGWGSRSGGWDGRVREVNPFGEEEETEQPFSEQENSGINFDAYEDIPVETSGDNVPTPVNTFADIDLGDALNKNIQRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMTGQPAQRPPRGAHTVYPLALILSPTRELSIQIHEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGARQTMLFSATFPKEIQRLASDFLAKYIFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRSQQEREQALRSFKSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSLARSLADLMQESNQEVPAWLSRYAARSSFGGGRNRRSGGGRFGGRDFRRDSSFSRGGSDYYGGGSSGGYGGSSGGYSGGGGYGGPGMTSAWD >ONH99043 pep chromosome:Prunus_persica_NCBIv2:G6:586907:591351:1 gene:PRUPE_6G007000 transcript:ONH99043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADLAANSAAENATSGPSASNAVAGTAAAPTRSTYVPPHLRNRPPSADPPAPAYTGPPANDRGGFSGSRWGGPRNDNSRSGPGYGGNGGRGGGWGSRSGGWDGRVREVNPFGEEEETEQPFSEQENSGINFDAYEDIPVETSGDNVPTPVNTFADIDLGDALNKNIQRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMTGQPAQRPPRGAHTVYPLALILSPTRELSIQIHEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGARQTMLFSATFPKEIQRLASDFLAKYIFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRSQQRTSIEVI >ONH99042 pep chromosome:Prunus_persica_NCBIv2:G6:586111:591542:1 gene:PRUPE_6G007000 transcript:ONH99042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADLAANSAAENATSGPSASNAVAGTAAAPTRSTYVPPHLRNRPPSADPPAPAYTGPPANDRGGFSGSRWGGPRNDNSRSGPGYGGNGGRGGGWGSRSGGWDGRVREVNPFGEEEETEQPFSEQENSGINFDAYEDIPVETSGDNVPTPVNTFADIDLGDALNKNIQRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMTGQPAQRPPRGAHTVYPLALILSPTRELSIQIHEEARKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGARQTMLFSATFPKEIQRLASDFLAKYIFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRSQQEREQALRSFKSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSLARSLADLMQESNQEVPAWLSRYAARSSFGGGRNRRSGGGRFGGRDFRRDSSFSRGGSDYYGGGSSGGYGGSSGGYSGGGGYGGPGMTSAWD >ONI00175 pep chromosome:Prunus_persica_NCBIv2:G6:4939520:4944931:1 gene:PRUPE_6G072400 transcript:ONI00175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFCSSLLTPPFSQSDFVLLLIPALLLLGLVLQWRHPKHKRLPPGSMGWPYIGETLRLYTENPNSFFSSRQKRYGNIFKTHVLGCPCVMITSPEAARMVLVSQAHLFKPTYPRSKERMIGPEAIFFHQGAYHASLKKLVQAALLPCAIKGSVSEIEQIVLRLLPTWENSSINTLQEMKRFAFDVAMISAFGNNRDFEMEGIKHLYQCLEKGYNSMPLDLPGTPFHKAMKARKLLNETLRGLIAKRRKSDGEESGGLLRVLLGKDHNKPNLQLSDSQIADNIIGVIFAAHDTTASILTWLIKYLHDNADLLEAVTREQEGIRRKLFAENRGLTWDDTRHMPLTSRVIQETLRTASILSFTFREAVEDVEFEGYFIPKGWKVLPLFRSIHHCADFFPHPEKFDPSRFEVPPKPNTFMPFGNGVHSCPGNELAKLEMLILLHHLTIAYRWHVTGDEDGIQYGPFPVPKQGLPITVVPRSKIVS >ONH99463 pep chromosome:Prunus_persica_NCBIv2:G6:2422721:2424869:-1 gene:PRUPE_6G031200 transcript:ONH99463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSLLKFVHITQLAWLPELIWVVLSSFCSSSSKPYVINLKYWGGSNISAPIFAYLGAEQPLGDNLTWLGFLTDNALQFKALLVYIEHRCYGESVPFGPMEEAFENASTLGYFNSAQAIADYAEILIHVKKELHAQHSLVIVIGGSYGGMLAAWLRLKYPHVTVGALASSAPVFYFDNIVAPENGYYSIVSRRLVNRRKIEVRIIKGWIDKYSVDLQALKHEKPLQN >ONI04314 pep chromosome:Prunus_persica_NCBIv2:G6:28120321:28124241:-1 gene:PRUPE_6G315500 transcript:ONI04314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEERADTRNKQGKFNQTSTICKHAFSDLTHISPLVFIYLLKACYFNGTYKATKKFRVLQEQVHLVLRNDPQPGPATFVIQCLYVLPIFDLYSEGFSHLIISALRRFLKMETSTWDSLEAKDLASQLFIDVVGGSVNHDERIVEKILEAFDIHLPNIDKVMCLSAKNDCRFDTAKKFVEQYIFGLIESQSYMTAVTLLEHFSIRQSGQSFLLSMIESKQLKAADKWATFMGKPMLCVLVQEYFDRNMLKNAYEIIQKNNLQHEFPNVYHKYKESSLKKLAEKGCWDVAEARTNSNRQLLEYLVYLAMEAGYSEKVDELCDRYSLEGFLNANVPEASLLHSRFLHLSELVVEGVVWVDEVNALHNATSCIEGCKVVGLDCEWKPNYVKGSKPNKVSIMQIASDKMVFIFDLIKLFNDIPDVLDDSLTRILQSPRILKLGYNFQCDMKQLAHSYEELECFKHYEMLLDIQNVFKEPRGGLSGLAKKILGVGLNKTRRNSNWEQRPLTQNQLEYAALDAAVLIHIFRHVHGHSQTAEGEGHRKLEWKSCIVSHMDNIKKSKKGSKK >ONI04316 pep chromosome:Prunus_persica_NCBIv2:G6:28120321:28124099:-1 gene:PRUPE_6G315500 transcript:ONI04316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLVLRNDPQPGPATFVIQCLYVLPIFDLYSEGFSHLIISALRRFLKMETSTWDSLEAKDLASQLFIDVVGGSVNHDERIVEKILEAFDIHLPNIDKVMCLSAKNDCRFDTAKKFVEQYIFGLIESQSYMTAVTLLEHFSIRQSGQSFLLSMIESKQLKAADKWATFMGKPMLCVLVQEYFDRNMLKNAYEIIQKNNLQHEFPNVYHKYKESSLKKLAEKGCWDVAEARTNSNRQLLEYLVYLAMEAGYSEKVDELCDRYSLEGFLNANVPEASLLHSRFLHLSELVVEGVVWVDEVNALHNATSCIEGCKVVGLDCEWKPNYVKGSKPNKVSIMQIASDKMVFIFDLIKLFNDIPDVLDDSLTRILQSPRILKLGYNFQCDMKQLAHSYEELECFKHYEMLLDIQNVFKEPRGGLSGLAKKILGVGLNKTRRNSNWEQRPLTQNQLEYAALDAAVLIHIFRHVHGHSQTAEGEGHRKLEWKSCIVSHMDNIKKSKKGSKK >ONI04313 pep chromosome:Prunus_persica_NCBIv2:G6:28120097:28124787:-1 gene:PRUPE_6G315500 transcript:ONI04313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEERADTRNKQGKFNQTSTICKHAFSDLTHISPLVFIYLLKACYFNGTYKATKKFRVLQEQVHLVLRNDPQPGPATFVIQCLYVLPIFDLYSEGFSHLIISALRRFLKMETSTWDSLEAKDLASQLFIDVVGGSVNHDERIVEKILEAFDIHLPNIDKVMCLSAKNDCRFDTAKKFVEQYIFGLIESQSYMTAVTLLEHFSIRQSGQSFLLSMIESKQLKAADKWATFMGKPMLCVLVQEYFDRNMLKNAYEIIQKNNLQHEFPNVYHKYKESSLKKLAEKGCWDVAEARTNSNRQLLEYLVYLAMEAGYSEKVDELCDRYSLEGFLNANVPEASLLHSRFLHLSELVVEGVVWVDEVNALHNATSCIEGCKVVGLDCEWKPNYVKGSKPNKVSIMQIASDKMVFIFDLIKLFNDIPDVLDDSLTRILQSPRILKLGYNFQCDMKQLAHSYEELECFKHYEMLLDIQNVFKEPRGGLSGLAKKILGVGLNKTRRNSNWEQRPLTQNQLEYAALDAAVLIHIFRHVHGHSQTAEGEGHRKLEWKSCIVSHMDNIKKSKKGSKK >ONI04315 pep chromosome:Prunus_persica_NCBIv2:G6:28120051:28125875:-1 gene:PRUPE_6G315500 transcript:ONI04315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEERADTRNKQGKFNQTSTICKHAFSDLTHISPLVFIYLLKACYFNGTYKATKKFRVLQEQVHLVLRNDPQPGPATFVIQCLYVLPIFDLYSEGFSHLIISALRRFLKMETSTWDSLEAKDLASQLFIDVVGGSVNHDERIVEKILEAFDIHLPNIDKVMCLSAKNDCRFDTAKKFVEQYIFGLIESQSYMTAVTLLEHFSIRQSGQSFLLSMIESKQLKAADKWATFMGKPMLCVLVQEYFDRNMLKNAYEIIQKNNLQHEFPNVYHKYKESSLKKLAEKGCWDVAEARTNSNRQLLEYLVYLAMEAGYSEKVDELCDRYSLEGFLNANVPEASLLHSRFLHLSELVVEGVVWVDEVNALHNATSCIEGCKVVGLDCEWKPNYVKGSKPNKVSIMQIASDKMVFIFDLIKLFNDIPDVLDDSLTRILQSPRILKLGYNFQCDMKQLAHSYEELECFKHYEMLLDIQNVFKEPRGGLSGLAKKILGVGLNKTRRNSNWEQRPLTQNQLEYAALDAAVLIHIFRHVHGHSQTAEGEGHRKLEWKSCIVSHMDNIKKSKKGSKK >ONI04312 pep chromosome:Prunus_persica_NCBIv2:G6:28120097:28125428:-1 gene:PRUPE_6G315500 transcript:ONI04312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEERADTRNKQGKFNQTSTICKHAFSDLTHISPLVFIYLLKACYFNVTLLEHFSIRQSGQSFLLSMIESKQLKAADKWATFMGKPMLCVLVQEYFDRNMLKNAYEIIQKNNLQHEFPNVYHKYKESSLKKLAEKGCWDVAEARTNSNRQLLEYLVYLAMEAGYSEKVDELCDRYSLEGFLNANVPEASLLHSRFLHLSELVVEGVVWVDEVNALHNATSCIEGCKVVGLDCEWKPNYVKGSKPNKVSIMQIASDKMVFIFDLIKLFNDIPDVLDDSLTRILQSPRILKLGYNFQCDMKQLAHSYEELECFKHYEMLLDIQNVFKEPRGGLSGLAKKILGVGLNKTRRNSNWEQRPLTQNQLEYAALDAAVLIHIFRHVHGHSQTAEGEGHRKLEWKSCIVSHMDNIKKSKKGSKK >ONI03220 pep chromosome:Prunus_persica_NCBIv2:G6:24244378:24253502:-1 gene:PRUPE_6G245100 transcript:ONI03220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRDTVSWNSMIAGYSQAGYYAECKELFREMLRLGRLRPNGLTVVSVLQACLQSNDLIFGMEVHQFVNESQIEMDIILCNALIGLYARCGSLDYAEELFHGMSEKDEVTYGLVQNNRHEAALDLIREMQACGYKPNTVTLSSILPAISYLSNLKAGKELHAYSVAASPAVVVALPSVEVRGGGSECVICKEEMRENRDVCELPCRHLFHWMCILRWLKKRNTCPCCRFRLPTDDVFGEIQRLLEVLMSSVANNETTRAKQIKTMIATSKEDGKWSDRKMEGDGGLRTLECLRGRLLAERQASRVAKEDAELMGKKLMELKNQLNEEIKLKDRAEKKLKFLKRKLESLKISSSSVESQQSSSSKNSEISCSQSTTTSSAGSNDPEAHEPKSNVTESETSENFDRSVADTTTSEQSHESLFTEENTTPQSISASSSSSSTSIRCPSPEGFCHNPTHKSEDSKNDENSYSNIKSSMAEIENYENGHLDYVDNTLALVPASMPATCHTSTELKPVSESVRQVLDDLRNIRENLQSSMEKINKIRAGQLIKLKHANSNN >ONI00935 pep chromosome:Prunus_persica_NCBIv2:G6:8091949:8096974:1 gene:PRUPE_6G112400 transcript:ONI00935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRMKKYSQVSPERAKVWTEKSPKYHQNRKVPVVYYLSRNRQLEHPHFMEVPVTSPQGLYLRDVINRLNALRGRGMASMYSWSSKRSYKNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESNSDRFSPVANIKIQNLKQLPEPASSRSQDDSSSSSSLNGKETKHSQEDELSPPVQRPEGSSSASPESTVGKNSSWGGSLSLTEYKVYKSDGLADASTQTEENVNKPKAQETCTRGVSTDDGSLEPDSSYYQNRVPCEKENSEICSDSVSPPPSSSSASSSGGKTETLESLIRADASKINSFRILEEEDIRMTNYPRAKATNVLMQLISCGSISVKDHRFGLIPTYKPRFSHSKFHSPLFSTKVMLGELDCLSDNPRLRGSRLEEKEYFSGSLIETKMLKDEDGCSTLKRSSSYNADRSCRKADSVEDKEDKEDSTSGRSKCIPRSIKASLNKQQRGESMRSPISDKPRNSSDGVDGAQINTHCASNGASKRITGPSSLKKQSNRLDSFREEKEKVIKIEESLLQELGL >ONI00934 pep chromosome:Prunus_persica_NCBIv2:G6:8092149:8096899:1 gene:PRUPE_6G112400 transcript:ONI00934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRMKKYSQVSPERAKVWTEKSPKYHQNRKVPVVYYLSRNRQLEHPHFMEVPVTSPQGLYLRDVINRLNALRGRGMASMYSWSSKRSYKNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESNSDRFSPVANIKIQNLKQLPEPASSRSQDDSSSSSSLNGKETKHSQEDELSPPVQRPEGSSSASPESTVGKNSSWGGSLSLTEYKVYKSDGLADASTQTEENVNKPKAQETCTRGVSTDDGSLEPDSSYYQNRVPCEKENSEICSDSVSPPPSSSSASSSGGKTETLESLIRADASKINSFRILEEEDIRMTNYPRAKATNVLMQLISCGSISVKDHRFGLIPTYKPRFSHSKFHSPLFSTKVMLGELDCLSDNPRLRGSRLEEKEYFSGSLIETKMLKDEDGCSTLKRSSSYNADRSCRKADSVEDKEDKEDSTSGRSKCIPRSIKASLNKQQRGESMRSPISDKPRNSSDGVDGAQINTHCASNGASKRITGPSSLKKQSNRLASGARVIIQSRTPFDTTAVGSS >ONI03267 pep chromosome:Prunus_persica_NCBIv2:G6:24383186:24383683:1 gene:PRUPE_6G247500 transcript:ONI03267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQRKPEYIEAPFPWAAPKRATVHSLEYLHSNRIGTISGLVQCQKCDESYEISYDLRQKFTEIASYISEHKSSMHDRAPTVWMNPALPDCKHCDQRNCMKPVISKKRSINWLFLFLGQMLGCCQTSELKYFCKHTKNHRTGAKDRVLHLTYLGIYKQLAPHWTP >ONI03579 pep chromosome:Prunus_persica_NCBIv2:G6:25500141:25501298:1 gene:PRUPE_6G266800 transcript:ONI03579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNGRLKCHEKLNVQGTRVALGEAIGDVLKMKGGEMSRERLEVGQALMGLVSVDIGDMKACMAEKLG >ONH99144 pep chromosome:Prunus_persica_NCBIv2:G6:972228:976201:-1 gene:PRUPE_6G014100 transcript:ONH99144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQSQLMNQAPMMSLSQGQVMSQPMPQMMSQPPQMMSQSSQAMAAQQQQQQQMMMKSQSQPLMLNRNYKAWPQPQPQLQFAAQKPPSNLGRNNWKGKKGTDKRNDQRRMDKNLNVPNLPPSGQTSSGGGPGGYIPPTLHELQSQNRMKARKFYPKKKFNNRFAPYAPRNTTSFIIRAKKSGGIASLVSPCPVTPAILPTPIFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPGNDDDEEEGGGGSSESDVEEHVEVERRLDHDLSRFEMIYPNYSGVEYNNVLENRVDDQDTHIAQLEEENLTLKERLFLMERELGDLRRRMQFLERRHHVMEEVNEEVVENGSENDSDGGSDVPHMVMEGESNAEVVEYVSRDGGSRNVGALAENERVRDVDVSMEDYARIEMILKDNEVKDETSNEVKDETSNGVVGDEQKEEGKNELIPEEVIGNKNEVNDKVSEVGTKNENELMQGEVNAKKDEEMGIACPEKSIGDGD >ONI03324 pep chromosome:Prunus_persica_NCBIv2:G6:24595278:24598491:1 gene:PRUPE_6G251000 transcript:ONI03324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVSLYLGFASAIIWVEQGTYLTAAARSHARDNGLHEGTIIGNFNGEFWGMFACRQFVGNLLPLVLLRGGTEGNPSNTTLLFTVFLCSMTLGTILMCFLNKRDDEEEKDPHNAESLSLYASLSSLSKSIVAPMFDVRMLLIIPLMAYTGLQQAFVWAEFTKYIVSPMLGVSGVGGAMAVYGAFDAACSLIAGRLTSGLKSITLIVSGGAFVQAVIFLWLLLCYSPSSGILGTINLLIMAALLGIGDGVFNTQLSALIGIFFKDDMEGAFAQLKVWQCSAVALIFFISPYISLQAMLVLMLSAVCLSYTAFVYLSQQGEKALSLQVQVQVQAQVHDVCT >ONI03323 pep chromosome:Prunus_persica_NCBIv2:G6:24595278:24598491:1 gene:PRUPE_6G251000 transcript:ONI03323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAASHDLEKPLLVNDLPVLKRRSHARDVHILSCAFLLIFLAFGATQNLESTLITEKNLGTTSLGILYLSFAFFSLVASSVVRSLGSKNSLILGTTGYWLFIAAHLKPTWCIMVPVSLYLGFASAIIWVEQGTYLTAAARSHARDNGLHEGTIIGNFNGEFWGMFACRQFVGNLLPLVLLRGGTEGNPSNTTLLFTVFLCSMTLGTILMCFLNKRDDEEEKDPHNAESLSLYASLSSLSKSIVAPMFDVRMLLIIPLMAYTGLQQAFVWAEFTKYIVSPMLGVSGVGGAMAVYGAFDAACSLIAGRLTSGLKSITLIVSGGAFVQAVIFLWLLLCYSPSSGILGTINLLIMAALLGIGDGVFNTQLSALIGIFFKDDMEGAFAQLKVWQCSAVALIFFISPYISLQAMLVLMLSAVCLSYTAFVYLSQQGEKALSLQVQVQVQAQVHDVCT >ONH99949 pep chromosome:Prunus_persica_NCBIv2:G6:4114700:4120291:-1 gene:PRUPE_6G059400 transcript:ONH99949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAVGSRQLPSTLTSPPTVSVSGRASSSLALLRATPRVRTLRCSALSSPSLADQSEKFVEASKKGNLIPLYRSIFSDHLTPVLAYRCLVKEDDRDAPSFLFESVEPGSKDSNVGRYSVIGAQPSIEIVAKENMVTIMDHREGCRTEEIVEDPMTVPRRIMEGWTPQLVDELPEAFCGGWVGYFSYDTVRYVETKKLPFASAPPDDRNLPDVHLGLYDDVIVFDHVEKKAHVIHWVQLDQYSSVEEAFNDGINRLETLVSRVHDIITPRLPAGSIEFNTQLFGPELENSSLTSEEYKEAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTHVKKRTITNRPLAGTVRRGKTAKEDLMLEKQLLNDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELLDDLTSWDALRTALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFSGNMDIALALRTIVFPTSFRYDTMYSYKDVNKRREWVAHLQAGAGIVADSDPADEQRECENKAAALARAIDLAESSFVDK >ONH99950 pep chromosome:Prunus_persica_NCBIv2:G6:4114873:4119679:-1 gene:PRUPE_6G059400 transcript:ONH99950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAVGSRQLPSTLTSPPTVSVSGRASSSLALLRATPRVRTLRCSALSSPSLADQSEKFVEASKKGNLIPLYRSIFSDHLTPVLAYRCLVKEDDRDAPSFLFESVEPGSKDSNVGRYSVIGAQPSIEIVAKENMVTIMDHREGCRTEEIVEDPMTVPRRIMEGWTPQLVDELPEAFCGGWVGYFSYDTVRYVETKKLPFASAPPDDRNLPDVHLGLYDDVIVFDHVEKKAHVIHWVQLDQYSSVEEAFNDGINRLETLVSRVHDIITPRLPAGSIEFNTQLFGPELENSSLTSEEYKEAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTHVKKRTITNRPLAGTVRRGKTAKEDLMLEKQLLNDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELLDDLTSWDALRTALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFSGNMDIALALRTIVFPTSFRYDTMYSYKDVNKRREWVAHLQAGAGIVADSDPADEQRECENKAAALARAIDLAESSFVDK >ONH99952 pep chromosome:Prunus_persica_NCBIv2:G6:4115927:4120157:-1 gene:PRUPE_6G059400 transcript:ONH99952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAVGSRQLPSTLTSPPTVSVSGRASSSLALLRATPRVRTLRCSALSSPSLADQSEKFVEASKKGNLIPLYRSIFSDHLTPVLAYRCLVKEDDRDAPSFLFESVEPGSKDSNVGRYSVIGAQPSIEIVAKENMVTIMDHREGCRTEEIVEDPMTVPRRIMEGWTPQLVDELPEAFCGGWVGYFSYDTVRYVETKKLPFASAPPDDRNLPDVHLGLYDDVIVFDHVEKKAHVIHWVQLDQYSSVEEAFNDGINRLETLVSRVHDIITPRLPAGSIEFNTQLFGPELENSSLTSEEYKEAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTHVKKRTITNRPLAGTVRRGKTAKEDLMLEKQLLNDEKQCAEHIMLVDLGRNDVGKTPMSRGFILMD >ONH99951 pep chromosome:Prunus_persica_NCBIv2:G6:4115387:4120133:-1 gene:PRUPE_6G059400 transcript:ONH99951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAVGSRQLPSTLTSPPTVSVSGRASSSLALLRATPRVRTLRCSALSSPSLADQSEKFVEASKKGNLIPLYRSIFSDHLTPVLAYRCLVKEDDRDAPSFLFESVEPGSKDSNVGRYSVIGAQPSIEIVAKENMVTIMDHREGCRTEEIVEDPMTVPRRIMEGWTPQLVDELPEAFCGGWVGYFSYDTVRYVETKKLPFASAPPDDRNLPDVHLGLYDDVIVFDHVEKKAHVIHWVQLDQYSSVEEAFNDGINRLETLVSRVHDIITPRLPAGSIEFNTQLFGPELENSSLTSEEYKEAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTHVKKRTITNRPLAGTVRRGKTAKEDLMLEKQLLNDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELLDDLTSWDALRTALPVGTVSGAPKVFIISHFPPFVLQICFISN >ONI04006 pep chromosome:Prunus_persica_NCBIv2:G6:27087683:27092176:-1 gene:PRUPE_6G296300 transcript:ONI04006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI04007 pep chromosome:Prunus_persica_NCBIv2:G6:27087580:27092176:-1 gene:PRUPE_6G296300 transcript:ONI04007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI04010 pep chromosome:Prunus_persica_NCBIv2:G6:27087956:27090115:-1 gene:PRUPE_6G296300 transcript:ONI04010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI04008 pep chromosome:Prunus_persica_NCBIv2:G6:27087956:27090115:-1 gene:PRUPE_6G296300 transcript:ONI04008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI04003 pep chromosome:Prunus_persica_NCBIv2:G6:27087580:27092178:-1 gene:PRUPE_6G296300 transcript:ONI04003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI04012 pep chromosome:Prunus_persica_NCBIv2:G6:27087956:27090115:-1 gene:PRUPE_6G296300 transcript:ONI04012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI04011 pep chromosome:Prunus_persica_NCBIv2:G6:27087956:27090115:-1 gene:PRUPE_6G296300 transcript:ONI04011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI04001 pep chromosome:Prunus_persica_NCBIv2:G6:27087683:27091250:-1 gene:PRUPE_6G296300 transcript:ONI04001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI04009 pep chromosome:Prunus_persica_NCBIv2:G6:27087956:27090115:-1 gene:PRUPE_6G296300 transcript:ONI04009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI04002 pep chromosome:Prunus_persica_NCBIv2:G6:27087579:27092176:-1 gene:PRUPE_6G296300 transcript:ONI04002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI04004 pep chromosome:Prunus_persica_NCBIv2:G6:27087683:27090464:-1 gene:PRUPE_6G296300 transcript:ONI04004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI04005 pep chromosome:Prunus_persica_NCBIv2:G6:27087683:27092176:-1 gene:PRUPE_6G296300 transcript:ONI04005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHLFCTTVILLLSLRRTIADLNSDKQALLGFISVVPHGRKVNWDPANAVCSSWVGITCTLDGTRVLAVRLPGVGLYGPIPANTLGKLDALIVLSLRSNRLSGNLPSDIFSLPSLHYIYLQNNNFTGNIPSSLSPNLTLLDLSFNSFTGNIPATIQNLTRLTGLNLQNNFLTGSIPDINIPRLLHLNLSYNHLNGSIPPTLQKFPTSSFEGNLMLCGPPLNHCSLITPSPSPSPSLPPPGPIAPLKPENGSKRKLSMWAIIAIAIGGFAVLFLSVLVLVLCCLKKKDSEGSAVVKTKGGRIEQPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRMKEVVVGKREFEQQMENAGRISQHSNVVPLRAYYYSKDEKLLVYDYISAGSFSALLHGNRETGQNPPDWETRLKISLGCAKGLAHIHSASGGKFTHGNIKSSNVLLTQDLNGSISDFGLAPLMNFATIPSRSVGYRAPEVIETKKSFQKSDVYSFGVLLLEMLTGKAPVQSPGRDDVVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEELVQMLQIAMACVARVPDMRPTMEEVVRMIEEIRPPDSDNRPSSEDNRSKDSNAQTP >ONI00833 pep chromosome:Prunus_persica_NCBIv2:G6:7566224:7576683:-1 gene:PRUPE_6G106500 transcript:ONI00833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSEAMTVHLVVRVSSALMALLACSLARRVLTAHLQNSIMKLVHASHTVISYLLGSKTILVEEQISGLISRVVKSYSVRVDHIVRLPSKKILAVVVSAHCRHYCRQGSTSQEQCFRMATCKSQSENQNITAYGILLFAGLIFILLIIYNCSDQVLATREKRQAKSREKAVQSVRETAQAREKWKSAKDIAKKHAVGLSSQFSRTFSRRKSTRHSDQLKGLGQAKPGTDAALPPMPPNEQSAGTSKGKKKDKSSLTQMIHAIEEDPNSHEGFNLEIGDKNIKKQTGKAPKGKQLHTQSQIFKYAYGQIEKEKALQEQNANLTFSGVIQMAGDTEISKRPPIEVAFKDLTLTLKGKNKHLMRCVTGKISPGRVSAVMGPSGAGKTTFLSALAGKIKGCTMSGMILVNGKMESIHSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPEKVLVVERVIESLGLQAVRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSNLLLRALRREALEGVNICMVVHQPSYTLFKMFDDLILLAKGGLTVYHGSVKKVEEYFATLGITVPERVNPPDYFIDILEGIVKPSTSSGVTYKQLPVRWMLHNGYPVPMDMLQSSDGMAASAGENSAHGGSTPNAGSDGQSFAGDFWQDVKCHVEVNKDALQHNFLKSSDLSERITPGVFQQYRYFLGRGGKQRLREARTQAVDFLILLIAGVCLGTLAKVSDETFGAIGYTYTVIAVSLLCKIAALRTFGLDKLHYWRESSSGMSSLAYFLSRDTIDLFNTIIKPLVYLSMFYFFNNPRSSVIDNFIVLLCLVYCVTGIAYALAIYLAPGPAQLWSVLLPVVLTLIANYNENKFVSRIADLCYTKWALEAFVIANAKRYSGVWLITRCGSLMKTGYDLNHWYRSLIFLIITGMVSRCIAYLLLVLFQKK >ONI00831 pep chromosome:Prunus_persica_NCBIv2:G6:7565469:7572893:-1 gene:PRUPE_6G106500 transcript:ONI00831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNVTVIYNSICLRMHLFVLSLESNYFIFAFDSSESMIMTLVELAECFRMATCKSQSENQNITAYGILLFAGLIFILLIIYNCSDQVLATREKRQAKSREKAVQSVRETAQAREKWKSAKDIAKKHAVGLSSQFSRTFSRRKSTRHSDQLKGLGQAKPGTDAALPPMPPNEQSAGTSKGKKKDKSSLTQMIHAIEEDPNSHEGFNLEIGDKNIKKQTGKAPKGKQLHTQSQIFKYAYGQIEKEKALQEQNANLTFSGVIQMAGDTEISKRPPIEVAFKDLTLTLKGKNKHLMRCVTGKISPGRVSAVMGPSGAGKTTFLSALAGKIKGCTMSGMILVNGKMESIHSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPEKVLVVERVIESLGLQAVRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSNLLLRALRREALEGVNICMVVHQPSYTLFKMFDDLILLAKGGLTVYHGSVKKVEEYFATLGITVPERVNPPDYFIDILEGIVKPSTSSGVTYKQLPVRWMLHNGYPVPMDMLQSSDGMAASAGENSAHGGSTPNAGSDGQSFAGDFWQDVKCHVEVNKDALQHNFLKSSDLSERITPGVFQQYRYFLGRGGKQRLREARTQAVDFLILLIAGVCLGTLAKVSDETFGAIGYTYTVIAVSLLCKIAALRTFGLDKLHYWRESSSGMSSLAYFLSRDTIDLFNTIIKPLVYLSMFYFFNNPRSSVIDNFIVLLCLVYCVTGIAYALAIYLAPGPAQLWSVLLPVVLTLIANYNENKFVSRIADLCYTKWALEAFVIANAKRYSGVWLITRCGSLMKTGYDLNHWYRSLIFLIITGLYAFLVLFAGKKKGSV >ONI00837 pep chromosome:Prunus_persica_NCBIv2:G6:7567941:7576640:-1 gene:PRUPE_6G106500 transcript:ONI00837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRDREQLKDLSVRVFSVLLFFSFFSLPLPRIAGQDTASYDLATELVLSRYSNLTPVFKDELQSKFSFCIVDIDEDWDGAFNFSKDSRFISNCAKKMAGGSIGEVLGRLCTAAEIKLYSEGLLQSGKTSYLKPNKNCNLSSWVSGCEPGWACGSSEKVDPKNRSYVPVRSNDCAPCCEGFFCPHGLTCMLPCPKGAYCPFAKLNNETGTCEPYSYQLPPGKQNHTCGGADKWADFSSSKELFCSGGSYCPSTIQKNPCSSGHYCRQGSTSQEQCFRMATCKSQSENQNITAYGILLFAGLIFILLIIYNCSDQVLATREKRQAKSREKAVQSVRETAQAREKWKSAKDIAKKHAVGLSSQFSRTFSRRKSTRHSDQLKGLGQAKPGTDAALPPMPPNEQSAGTSKGKKKDKSSLTQMIHAIEEDPNSHEGFNLEIGDKNIKKQTGKAPKGKQLHTQSQIFKYAYGQIEKEKALQEQNANLTFSGVIQMAGDTEISKRPPIEVAFKDLTLTLKGKNKHLMRCVTGKISPGRVSAVMGPSGAGKTTFLSALAGKIKGCTMSGMILVNGKMESIHSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPEKVLVVERVIESLGLQAVRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSNLLLRALRREALEGVNICMVVHQPSYTLFKMFDDLILLAKGGLTVYHGSVKKVEEYFATLGITVPERVNPPDYFIDILEGIVKPSTSSGVTYKQLPVRWMLHNGYPVPMDMLQSSDGMAASAGENSAHGGSTPNAGSDGQSFAGDFWQDVKCHVEVNKDALQHNFLKSSDLSERITPGVFQQYRYFLGRGGKQRLREARTQAVDFLILLIAGVCLGTLAKVSDETFGAIGYTYTVIAVCK >ONI00836 pep chromosome:Prunus_persica_NCBIv2:G6:7568115:7576663:-1 gene:PRUPE_6G106500 transcript:ONI00836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSEAMTVHLVVRVSSALMALLACSLARRVLTAHLQNSIMKLVHASQIFYRYSYQLPPGKQNHTCGGADKWADFSSSKELFCSGGSYCPSTIQKNPCSSGHYCRQGSTSQEQCFRMATCKSQSENQNITAYGILLFAGLIFILLIIYNCSDQVLATREKRQAKSREKAVQSVRETAQAREKWKSAKDIAKKHAVGLSSQFSRTFSRRKSTRHSDQLKGLGQAKPGTDAALPPMPPNEQSAGTSKGKKKDKSSLTQMIHAIEEDPNSHEGFNLEIGDKNIKKQTGKAPKGKQLHTQSQIFKYAYGQIEKEKALQEQNANLTFSGVIQMAGDTEISKRPPIEVAFKDLTLTLKGKNKHLMRCVTGKISPGRVSAVMGPSGAGKTTFLSALAGKIKGCTMSGMILVNGKMESIHSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPEKVLVVERVIESLGLQAVRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSNLLLRALRREALEGVNICMVVHQPSYTLFKMFDDLILLAKGGLTVYHGSVKKVEEYFATLGITVPERVNPPDYFIDILEGIVKPSTSSGVTYKQLPVRWMLHNGYPVPMDMLQSSDGMAASAGENSAHGGSTPNAGSDGQSFAGDFWQDVKCHVEVNKDALQHNFLKSSDLSERITPGVFQQYRYFLGRGGKQRLREARTQAVDFLILLIAGVCLGTLAKVSDETFGAIGYTYTVIAVCTIFATHLWLWKVEENRGALLLLAG >ONI00834 pep chromosome:Prunus_persica_NCBIv2:G6:7566140:7576832:-1 gene:PRUPE_6G106500 transcript:ONI00834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSEAMTVHLVVRVSSALMALLACSLARRVLTAHLQNSIMKLVHASQIFYRYSYQLPPGKQNHTCGGADKWADFSSSKELFCSGGSYCPSTIQKNPCSSGHYCRQGSTSQEQCFRMATCKSQSENQNITAYGILLFAGLIFILLIIYNCSDQVLATREKRQAKSREKAVQSVRETAQAREKWKSAKDIAKKHAVGLSSQFSRTFSRRKSTRHSDQLKGLGQAKPGTDAALPPMPPNEQSAGTSKGKKKDKSSLTQMIHAIEEDPNSHEGFNLEIGDKNIKKQTGKAPKGKQLHTQSQIFKYAYGQIEKEKALQEQNANLTFSGVIQMAGDTEISKRPPIEVAFKDLTLTLKGKNKHLMRCVTGKISPGRVSAVMGPSGAGKTTFLSALAGKIKGCTMSGMILVNGKMESIHSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPEKVLVVERVIESLGLQAVRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSNLLLRALRREALEGVNICMVVHQPSYTLFKMFDDLILLAKGGLTVYHGSVKKVEEYFATLGITVPERVNPPDYFIDILEGIVKPSTSSGVTYKQLPVRWMLHNGYPVPMDMLQSSDGMAASAGENSAHGGSTPNAGSDGQSFAGDFWQDVKCHVEVNKDALQHNFLKSSDLSERITPGVFQQYRYFLGRGGKQRLREARTQAVDFLILLIAGVCLGTLAKVSDETFGAIGYTYTVIAVSLLCKIAALRTFGLDKLHYWRESSSGMSSLAYFLSRDTIDLFNTIIKPLVYLSMFYFFNNPRSSVIDNFIVLLCLVYCVTGIAYALAIYLAPGPAQLWSVLLPVVLTLIANYNENKFVSRIADLCYTKWALEAFVIANAKRYSGVWLITRCGSLMKTGYDLNHWYRSLIFLIITGMVSRCIAYLLLVLFQKK >ONI00830 pep chromosome:Prunus_persica_NCBIv2:G6:7565469:7576640:-1 gene:PRUPE_6G106500 transcript:ONI00830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRDREQLKDLSVRVFSVLLFFSFFSLPLPRIAGQDTASYDLATELVLSRYSNLTPVFKDELQSKFSFCIVDIDEDWDGAFNFSKDSRFISNCAKKMAGGSIGEVLGRLCTAAEIKLYSEGLLQSGKTSYLKPNKNCNLSSWVSGCEPGWACGSSEKVDPKNRSYVPVRSNDCAPCCEGFFCPHGLTCMLPCPKGAYCPFAKLNNETGTCEPYSYQLPPGKQNHTCGGADKWADFSSSKELFCSGGSYCPSTIQKNPCSSGHYCRQGSTSQEQCFRMATCKSQSENQNITAYGILLFAGLIFILLIIYNCSDQVLATREKRQAKSREKAVQSVRETAQAREKWKSAKDIAKKHAVGLSSQFSRTFSRRKSTRHSDQLKGLGQAKPGTDAALPPMPPNEQSAGTSKGKKKDKSSLTQMIHAIEEDPNSHEGFNLEIGDKNIKKQTGKAPKGKQLHTQSQIFKYAYGQIEKEKALQEQNANLTFSGVIQMAGDTEISKRPPIEVAFKDLTLTLKGKNKHLMRCVTGKISPGRVSAVMGPSGAGKTTFLSALAGKIKGCTMSGMILVNGKMESIHSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPEKVLVVERVIESLGLQAVRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSNLLLRALRREALEGVNICMVVHQPSYTLFKMFDDLILLAKGGLTVYHGSVKKVEEYFATLGITVPERVNPPDYFIDILEGIVKPSTSSGVTYKQLPVRWMLHNGYPVPMDMLQSSDGMAASAGENSAHGGSTPNAGSDGQSFAGDFWQDVKCHVEVNKDALQHNFLKSSDLSERITPGVFQQYRYFLGRGGKQRLREARTQAVDFLILLIAGVCLGTLAKVSDETFGAIGYTYTVIAVSLLCKIAALRTFGLDKLHYWRESSSGMSSLAYFLSRDTIDLFNTIIKPLVYLSMFYFFNNPRSSVIDNFIVLLCLVYCVTGIAYALAIYLAPGPAQLWSVLLPVVLTLIANYNENKFVSRIADLCYTKWALEAFVIANAKRYSGVWLITRCGSLMKTGYDLNHWYRSLIFLIITGLYAFLVLFAGKKKGSV >ONI00835 pep chromosome:Prunus_persica_NCBIv2:G6:7566140:7574491:-1 gene:PRUPE_6G106500 transcript:ONI00835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCKSQSENQNITAYGILLFAGLIFILLIIYNCSDQVLATREKRQAKSREKAVQSVRETAQAREKWKSAKDIAKKHAVGLSSQFSRTFSRRKSTRHSDQLKGLGQAKPGTDAALPPMPPNEQSAGTSKGKKKDKSSLTQMIHAIEEDPNSHEGFNLEIGDKNIKKQTGKAPKGKQLHTQSQIFKYAYGQIEKEKALQEQNANLTFSGVIQMAGDTEISKRPPIEVAFKDLTLTLKGKNKHLMRCVTGKISPGRVSAVMGPSGAGKTTFLSALAGKIKGCTMSGMILVNGKMESIHSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPEKVLVVERVIESLGLQAVRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSNLLLRALRREALEGVNICMVVHQPSYTLFKMFDDLILLAKGGLTVYHGSVKKVEEYFATLGITVPERVNPPDYFIDILEGIVKPSTSSGVTYKQLPVRWMLHNGYPVPMDMLQSSDGMAASAGENSAHGGSTPNAGSDGQSFAGDFWQDVKCHVEVNKDALQHNFLKSSDLSERITPGVFQQYRYFLGRGGKQRLREARTQAVDFLILLIAGVCLGTLAKVSDETFGAIGYTYTVIAVSLLCKIAALRTFGLDKLHYWRESSSGMSSLAYFLSRDTIDLFNTIIKPLVYLSMFYFFNNPRSSVIDNFIVLLCLVYCVTGIAYALAIYLAPGPAQLWSVLLPVVLTLIANYNENKFVSRIADLCYTKWALEAFVIANAKRYSGVWLITRCGSLMKTGYDLNHWYRSLIFLIITGMVSRCIAYLLLVLFQKK >ONI00832 pep chromosome:Prunus_persica_NCBIv2:G6:7566092:7576831:-1 gene:PRUPE_6G106500 transcript:ONI00832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRDREQLKDLSVRVFSVLLFFSFFSLPLPRIAGQDTASYDLATELVLSRYSNLTPVFKDELQSKFSFCIVDIDEDWDGAFNFSKDSRFISNCAKKMAGGSIGEVLGRLCTAAEIKLYSEGLLQSGKTSYLKPNKNCNLSSWVSGCEPGWACGSSEKVDPKNRSYVPVRSNDCAPCCEGFFCPHGLTCMLPCPKGAYCPFAKLNNETGTCEPYSYQLPPGKQNHTCGGADKWADFSSSKELFCSGGSYCPSTIQKNPCSSGHYCRQGSTSQEQCFRMATCKSQSENQNITAYGILLFAGLIFILLIIYNCSDQVLATREKRQAKSREKAVQSVRETAQAREKWKSAKDIAKKHAVGLSSQFSRTFSRRKSTRHSDQLKGLGQAKPGTDAALPPMPPNEQSAGTSKGKKKDKSSLTQMIHAIEEDPNSHEGFNLEIGDKNIKKQTGKAPKGKQLHTQSQIFKYAYGQIEKEKALQEQNANLTFSGVIQMAGDTEISKRPPIEVAFKDLTLTLKGKNKHLMRCVTGKISPGRVSAVMGPSGAGKTTFLSALAGKIKGCTMSGMILVNGKMESIHSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPEKVLVVERVIESLGLQAVRDSLVGTVERRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSNLLLRALRREALEGVNICMVVHQPSYTLFKMFDDLILLAKGGLTVYHGSVKKVEEYFATLGITVPERVNPPDYFIDILEGIVKPSTSSGVTYKQLPVRWMLHNGYPVPMDMLQSSDGMAASAGENSAHGGSTPNAGSDGQSFAGDFWQDVKCHVEVNKDALQHNFLKSSDLSERITPGVFQQYRYFLGRGGKQRLREARTQAVDFLILLIAGVCLGTLAKVSDETFGAIGYTYTVIAVSLLCKIAALRTFGLDKLHYWRESSSGMSSLAYFLSRDTIDLFNTIIKPLVYLSMFYFFNNPRSSVIDNFIVLLCLVYCVTGIAYALAIYLAPGPAQLWSVLLPVVLTLIANYNENKFVSRIADLCYTKWALEAFVIANAKRYSGVWLITRCGSLMKTGYDLNHWYRSLIFLIITGMVSRCIAYLLLVLFQKK >ONI05095 pep chromosome:Prunus_persica_NCBIv2:G6:30204890:30206319:-1 gene:PRUPE_6G355900 transcript:ONI05095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSNEQLAFVFGLLGNIVSFMVFLAPIPTFYTIYKKKSSKGFQSIPYVVALLSAMLLLYYGVLKTNAFLIISINGIGCVIEIIYLIFYIVHASKKDKITTMSLILLVNVAAFGLVMAVTLFLLGEAKRVSAVGWMCAVFNIAVFAAPLSIVRQVIRTKSVEYMPFSLSFFLTLCATMWFFYGLFTKDYYIALPNVLGFLLGVAQMILYLKYKNSGKNDAENKGSQEMKKFHEPQPPEADAQC >ONI05096 pep chromosome:Prunus_persica_NCBIv2:G6:30204408:30206428:-1 gene:PRUPE_6G355900 transcript:ONI05096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLSNEQLAFVFGLLGNIVSFMVFLAPIPTFYTIYKKKSSKGFQSIPYVVALLSAMLLLYYGVLKTNAFLIISINGIGCVIEIIYLIFYIVHASKKDKITTMSLILLVNVAAFGLVMAVTLFLLGEAKRVSAVGWMCAVFNIAVFAAPLSIVRQVIRTKSVEYMPFSLSFFLTLCATMWFFYGLFTKDYYIAASLK >ONH99090 pep chromosome:Prunus_persica_NCBIv2:G6:798100:798495:-1 gene:PRUPE_6G010800 transcript:ONH99090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPRSSSWFFNLSENWLPMATMILICGFVGYVVYDAVMATVSELLQRLLVISPLLIVIVVHWLSTGSQVSISIPGSEPGAIHRAGGSPWGVAFVLFLLFFLISYQPSLHGLLF >ONH99604 pep chromosome:Prunus_persica_NCBIv2:G6:2884954:2886917:-1 gene:PRUPE_6G038400 transcript:ONH99604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANCFKHGTILPVSANLQHKQLPPRNSCTINNELKGNRNMMLMMGLSGRHGKASKRVGMIRCSGRSIEDFIGGDLVKFDLGRWLSDVEEHKALAVYSPHEGGYEGRYLNRLRYQGYYFLDLSARGLGDPETTLTKVHPVCPPHLGKQPISRWYFPPEVDYRLAALPPKAKGLVVWIIEAKVLSKAELQFLALLPTLRPKVRVIAECGNWRKFVWKPLEEISGLTANEG >ONH99279 pep chromosome:Prunus_persica_NCBIv2:G6:1811058:1818255:-1 gene:PRUPE_6G022600 transcript:ONH99279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYYHLIPTDDSAWMNLLPRKILREEDENEWAMMYRKMKNPNGFEASGGFLKEVSLHDVRLDPTSQHGRAQQTNLEYLLMLDSDSLLWSFRKTAGLPTIGTPYKGWEEPTGELRGHFVGHYLSASALMWASTHNNTLKDKMSAIVDNLYLCQTKIGSGYLSAFPSEQFDRFEAIKPVWAPYYTIHKILAGLLDQYTFVENTQSLKMVTWMVDYFYNRVQNVISKYSVERHYLSLNEETGGMNDVLYKLYVITRDTKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPIVIGSQMRYEVTGDPLYKEMGIFFMNIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFKWTKETAYADYYERALTNGVLGIQRGTEPGVMIYMLPLGRGDSKARSFHGWGKPFESFWCCYGTGIESFSKLADSIYFEEEGEVPGLYIIQYISSLLDWKSGQIVLNQTVDQVNSWDPYLRVTLTFSSKEGTGKSSTLNLRMPIWTASNGAKAALNGQSLSVPAPGSFLSVTSKWSSGDTLTLQLPISLRTEAIQDDRPEYASIQAILYGPYLLAAHTSGNWDIKTGSAKTIADWITPIPSSYNNFLVSFSQEYGNSKFVIAVVNQSIALDVFPETGTDASAHATFRLILNDLSTKLSTVNEAIGKSVILELFNLPGKVLVQQGKDKNLGIADMSSSKDSSVFHLVPGLDGKNTVSLESESFKGCFVYSEKIEALAGLKLVCSPESSDAGFNQAASFVKSNGISQHHPISFVAKGATRSFLLAPLSSINDESYTAYLNITA >ONH99277 pep chromosome:Prunus_persica_NCBIv2:G6:1810963:1818404:-1 gene:PRUPE_6G022600 transcript:ONH99277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKVFLSIDLLAVFIISFLCGFSLGKECTNIPTQLSSHSFRHELLSSHNETLKEEMFSYYHLIPTDDSAWMNLLPRKILREEDENEWAMMYRKMKNPNGFEASGGFLKEVSLHDVRLDPTSQHGRAQQTNLEYLLMLDSDSLLWSFRKTAGLPTIGTPYKGWEEPTGELRGHFVGHYLSASALMWASTHNNTLKDKMSAIVDNLYLCQTKIGSGYLSAFPSEQFDRFEAIKPVWAPYYTIHKILAGLLDQYTFVENTQSLKMVTWMVDYFYNRVQNVISKYSVERHYLSLNEETGGMNDVLYKLYVITRDTKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPIVIGSQMRYEVTGDPLYKEMGIFFMNIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFKWTKETAYADYYERALTNGVLGIQRGTEPGVMIYMLPLGRGDSKARSFHGWGKPFESFWCCYGTGIESFSKLADSIYFEEEGEVPGLYIIQYISSLLDWKSGQIVLNQTVDQVNSWDPYLRVTLTFSSKEGTGKSSTLNLRMPIWTASNGAKAALNGQSLSVPAPGSFLSVTSKWSSGDTLTLQLPISLRTEAIQDDRPEYASIQAILYGPYLLAAHTSGNWDIKTGSAKTIADWITPIPSSYNNFLVSFSQEYGNSKFVIAVVNQSIALDVFPETGTDASAHATFRLILNDLSTKLSTVNEAIGKSVILELFNLPGKVLVQQGKDKNLGIADMSSSKDSSVFHLVPGLDGKNTVSLESESFKGCFVYSEKIEALAGLKLVCSPESSDAGFNQAASFVKSNGISQHHPISFVAKGATRSFLLAPLSSINDESYTAYLNITA >ONH99278 pep chromosome:Prunus_persica_NCBIv2:G6:1811306:1817286:-1 gene:PRUPE_6G022600 transcript:ONH99278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYYHLIPTDDSAWMNLLPRKILREEDENEWAMMYRKMKNPNGFEASGGFLKEVSLHDVRLDPTSQHGRAQQTNLEYLLMLDSDSLLWSFRKTAGLPTIGTPYKGWEEPTGELRGHFVGHYLSASALMWASTHNNTLKDKMSAIVDNLYLCQTKIGSGYLSAFPSEQFDRFEAIKPVWAPYYTIHKILAGLLDQYTFVENTQSLKMVTWMVDYFYNRVQNVISKYSVERHYLSLNEETGGMNDVLYKLYVITRDTKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPIVIGSQMRYEVTGDPLYKEMGIFFMNIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFKWTKETAYADYYERALTNGVLGIQRGTEPGVMIYMLPLGRGDSKARSFHGWGKPFESFWCCYGTGIESFSKLADSIYFEEEGEVPGLYIIQYISSLLDWKSGQIVLNQTVDQVNSWDPYLRVTLTFSSKEGTGKSSTLNLRMPIWTASNGAKAALNGQSLSVPAPGSFLSVTSKWSSGDTLTLQLPISLRTEAIQDDRPEYASIQAILYGPYLLAAHTSGNWDIKTGSAKTIADWITPIPSSYNNFLVSFSQEYGNSKFVIAVVNQSIALDVFPETGTDASAHATFRLILNDLSTKLSTVNEAIGKSVILELFNLPGKVLVQQGKDKNLGIADMSSSKDSSVFHLVPGLDGKNTVSLESESFKGCFVYSEKIEALAGLKLVCSPESSDAGFNQAASFVKSNGISQHHPISFVAKGATRSFLLAPLSSINDESYTAYLNITA >ONH99276 pep chromosome:Prunus_persica_NCBIv2:G6:1811054:1818260:-1 gene:PRUPE_6G022600 transcript:ONH99276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKVFLSIDLLAVFIISFLCGFSLGKECTNIPTQLSSHSFRHELLSSHNETLKEEMFSYYHLIPTDDSAWMNLLPRKILREEDENEWAMMYRKMKNPNGFEASGGFLKEVSLHDVRLDPTSQHGRAQQTNLEYLLMLDSDSLLWSFRKTAGLPTIGTPYKGWEEPTGELRGHFVGHYLSASALMWASTHNNTLKDKMSAIVDNLYLCQTKIGSGYLSAFPSEQFDRFEAIKPVWAPYYTIHKILAGLLDQYTFVENTQSLKMVTWMVDYFYNRVQNVISKYSVERHYLSLNEETGGMNDVLYKLYVITRDTKHLLLAHLFDKPCFLGLLAVQEMGIFFMNIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFKWTKETAYADYYERALTNGVLGIQRGTEPGVMIYMLPLGRGDSKARSFHGWGKPFESFWCCYGTGIESFSKLADSIYFEEEGEVPGLYIIQYISSLLDWKSGQIVLNQTVDQVNSWDPYLRVTLTFSSKEGTGKSSTLNLRMPIWTASNGAKAALNGQSLSVPAPGSFLSVTSKWSSGDTLTLQLPISLRTEAIQDDRPEYASIQAILYGPYLLAAHTSGNWDIKTGSAKTIADWITPIPSSYNNFLVSFSQEYGNSKFVIAVVNQSIALDVFPETGTDASAHATFRLILNDLSTKLSTVNEAIGKSVILELFNLPGKVLVQQGKDKNLGIADMSSSKDSSVFHLVPGLDGKNTVSLESESFKGCFVYSEKIEALAGLKLVCSPESSDAGFNQAASFVKSNGISQHHPISFVAKGATRSFLLAPLSSINDESYTAYLNITA >ONH99280 pep chromosome:Prunus_persica_NCBIv2:G6:1811053:1818292:-1 gene:PRUPE_6G022600 transcript:ONH99280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASTHNNTLKDKMSAIVDNLYLCQTKIGSGYLSAFPSEQFDRFEAIKPVWAPYYTIHKILAGLLDQYTFVENTQSLKMVTWMVDYFYNRVQNVISKYSVERHYLSLNEETGGMNDVLYKLYVITRDTKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPIVIGSQMRYEVTGDPLYKEMGIFFMNIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFKWTKETAYADYYERALTNGVLGIQRGTEPGVMIYMLPLGRGDSKARSFHGWGKPFESFWCCYGTGIESFSKLADSIYFEEEGEVPGLYIIQYISSLLDWKSGQIVLNQTVDQVNSWDPYLRVTLTFSSKEGTGKSSTLNLRMPIWTASNGAKAALNGQSLSVPAPGSFLSVTSKWSSGDTLTLQLPISLRTEAIQDDRPEYASIQAILYGPYLLAAHTSGNWDIKTGSAKTIADWITPIPSSYNNFLVSFSQEYGNSKFVIAVVNQSIALDVFPETGTDASAHATFRLILNDLSTKLSTVNEAIGKSVILELFNLPGKVLVQQGKDKNLGIADMSSSKDSSVFHLVPGLDGKNTVSLESESFKGCFVYSEKIEALAGLKLVCSPESSDAGFNQAASFVKSNGISQHHPISFVAKGATRSFLLAPLSSINDESYTAYLNITA >ONI04835 pep chromosome:Prunus_persica_NCBIv2:G6:29511086:29513239:-1 gene:PRUPE_6G342300 transcript:ONI04835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQRCQIPRVQLGSEGLEVSKFGFGCTGLTGICNEAPVPEELGISIIKYAFDKGITFFDTSDVCGPHTNEVLIGKALKQLPRDKVQLASKFGVVKFEPNQVVVNGTPEYARSCCEASLKRLGVDYIDLYYLHRIDTSVPIEDTMEELKKLVKEGKIKYVGLSEASPDTIRRAHAVHPITAIQMEWSLWTREIEEEIIPLCRELGIGIVPYSPLGRGFFGGKAVVESLPANSFQVSNPRFQGDNLEKNKILYGKVEKLAEKHGCTSAQLALAWILNQADNVVPIPGTTKTKNLDANIGSLSVQLTREDIKEISDMIPVNAAAGDRVSDSLIRCSWKFANTPPKHGKTPF >ONI03670 pep chromosome:Prunus_persica_NCBIv2:G6:25899451:25901045:1 gene:PRUPE_6G274000 transcript:ONI03670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGEETEASYERQCYNKVEKASEALNIFEVPNKLRKVKEDAYSPRLVSIGIYHRQKHELAAMQEHKYRYRRFFEKRLNESATSLKSFEEFFIHPLGYKVASSYVGRRSTSTRDIVLDGVFILELFLRYYQHYNLNMMLEEQSDDPIFNTIWIIPALRQDLALVENQIPFFILQTLFEAIKPHLKANGKQAPNSLTSLALHFFEPAAMKNQKAIIKGVDDPPGGTEGYKHLLDLLHKFYLPSQPKSTNNKQHPAGAGDSSIINITNIDIPGSGSYNNWGFNYCASQLLESGIEIERQSSEKHLLNITFSKGVIRIPSLFIDDNISLLRNLIAYEQYSISSNHITSYPILLKSLIRSSKDIKILRQRRIINSNWIDDEVYLAQCHSILDEVVVKDFCFADLCNQVNAYARKFWFRRRLKSLYRTYFSTAWSLISFIAAFCLFVLTVIQTYYAIHPR >ONI02603 pep chromosome:Prunus_persica_NCBIv2:G6:21803026:21803734:1 gene:PRUPE_6G209700 transcript:ONI02603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFTIPCFVLILVLLSTTNVWKIATVEGSKCCTDHPELGKCVPGADDNPNSGKCWKFCTSGCEKGGICKLFGDHHHCHCLC >ONI00035 pep chromosome:Prunus_persica_NCBIv2:G6:4418003:4421607:-1 gene:PRUPE_6G064100 transcript:ONI00035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSANTISVKALVDKASNQIIFIESDNDFIDVLFSFLTIPMGTIMRLAPNQSVPLEIGCIKNLYSSVTNMDVKHFRTEACRDMLLCPRNVAESQCRNLKPKIDNELGVRNGNTTEELTFNVGSDEVLNLLICSLVSRTPLTETLLKNKPIRKLSNDNQGINIEPQIVVDATDPEGNLSIKLIVSKSKKMVCYAEVGEDFVNLLFSFLTIPLGFVVKQMRDCALKGCINQLFKSVQDLDDCYLKSDYHKEMLLSPKLVPGFCYENHLLGTEEATYYYALGMLSTDKTLIASRISAAKKTRRSYYGEESAQTSIKSVTVMDPKSHNNQDKSAEGQGFLGGQAMFTVMFTVTDNLIIRPISLMFGLSLLNELKVPLTDIEVKIVHVRREEALRLLVASFVCDSALTNAFIREPKQNKKPKQEQC >ONI00036 pep chromosome:Prunus_persica_NCBIv2:G6:4418413:4420479:-1 gene:PRUPE_6G064100 transcript:ONI00036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSANTISVKALVDKASNQIIFIESDNDFIDVLFSFLTIPMGTIMRLAPNQSVPLEIGCIKNLYSSVTNMDVKHFRTEACRDMLLCPRNVAESQCRNLKPKIDNGEPTQYFLCSRNYRGECKLSYNKIGSCDCGGSITEEKNLLVSLDGGIFVKGLARLIIGDNLQVMPPLTLEGLSVFTELGVRNGNTTEELTFNVGSDEVLNLLICSLVSRTPLTETLLKNKPIRKLSNDNQGINIEPQIVVDATDPEGNLSIKLIVSKSKKMVCYAEVGEDFVNLLFSFLTIPLGFVVKQMRDCALKGCINQLFKSVQDLDDCYLKSDYHKEMLLSPKLVPGFCYENHLLGTEEATYYYALGMLSTDKTLIASRISAAKKTRRSYYGEESAQTSIKSVTVMDPKSHNNQDKSAEGQGFLGGQAMFTVMFTVTDNLIIRPISLMFGLSLLNELKVPLTDIEVKIVHVRREEALRLLVASFVCDSALTNAFIREPKQNKKPKQEQC >ONI04081 pep chromosome:Prunus_persica_NCBIv2:G6:27372592:27380220:-1 gene:PRUPE_6G301500 transcript:ONI04081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMRFLLFLAFCSAEIHVIFSETNSSDAAVFISLKGAWTNLPPSWNDKSNDPCGMNWEGVTCNNSRVTALGLSAMDLKGQIEGDIGGLSELRSLDLSFNKGLTGSLSPRLGDLSKLNILILAGCGFSGNIPEELGNLGELTFLALNTNKFTGQIPPSLGKLSKLNWLDLVDNQLTGTLPISTPLTSGLDNLLKAEHFHFSKNNLSGTIHPKLFSSKMKLIHILFDGNQFIREIPSTIALVQTLEVLRLDRNALTGNVPSNISNLTHVNELNLAHNKLTGPLPDLTGMRSLHTVDLSNNSFDPSEAPLWFSNLPSITTIVLEFGALEGTVPEKMFGIKTLQQVKLKNNAFNDTLNLGDSISPQLQLVDLQHNQIPKITLGYKYKHTLILVENPFCTSGTAVTKFCQLPQQDTETYTTSSNCARITCPDNQKPSPQSCQCAYPFKGTLYFRAPPFRELPNVTMFHALETILWEILGLIPGSVSLENPFFDNHDYLQIQLALFPPTGMYFNRSEIMRIGLDLNYQNQKPPEGFGPYYFIPYPYTFPGGNKSSMSTGVIIGTSVSCVALVLGLVVVGIYAIRQKKRAERAIGLSRPFASWAPSGKDSGGAPQLKGARCFSFDELKKCTNNFSDSNEIGSGGYGKVYRGMLSDGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVGLLGFCFEQGEQVLVYEFIPNGTLRESLSGRSGIHLDWQRRLRITLGSARGLAYLHELANPPIIHRDVKSANILLDEHLTAKVTDFGLSKLVADSGKGHVSSQVKGTMGYLDPEYYTTQQLTEKSDVYSFGVVMLELITARKPIEMGKYIVRQVQMMMDKNDEEHYGLRELMDRSIRNSGTLTGFGRFLELALQCVEESAADRPTMSELVKAIETILQNDGMNTNSTSASSSATEFAASKGAPKHPYNDGLPKKEVNDSTGAFYYSSGYAVSAKIEPN >ONI04082 pep chromosome:Prunus_persica_NCBIv2:G6:27372592:27377250:-1 gene:PRUPE_6G301500 transcript:ONI04082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGLSAMDLKGQIEGDIGGLSELRSLDLSFNKGLTGSLSPRLGDLSKLNILILAGCGFSGNIPEELGNLGELTFLALNTNKFTGQIPPSLGKLSKLNWLDLVDNQLTGTLPISTPLTSGLDNLLKAEHFHFSKNNLSGTIHPKLFSSKMKLIHILFDGNQFIREIPSTIALVQTLEVLRLDRNALTGNVPSNISNLTHVNELNLAHNKLTGPLPDLTGMRSLHTVDLSNNSFDPSEAPLWFSNLPSITTIVLEFGALEGTVPEKMFGIKTLQQVKLKNNAFNDTLNLGDSISPQLQLVDLQHNQIPKITLGYKYKHTLILVENPFCTSGTAVTKFCQLPQQDTETYTTSSNCARITCPDNQKPSPQSCQCAYPFKGTLYFRAPPFRELPNVTMFHALETILWEILGLIPGSVSLENPFFDNHDYLQIQLALFPPTGMYFNRSEIMRIGLDLNYQNQKPPEGFGPYYFIPYPYTFPGGNKSSMSTGVIIGTSVSCVALVLGLVVVGIYAIRQKKRAERAIGLSRPFASWAPSGKDSGGAPQLKGARCFSFDELKKCTNNFSDSNEIGSGGYGKVYRGMLSDGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVGLLGFCFEQGEQVLVYEFIPNGTLRESLSGRSGIHLDWQRRLRITLGSARGLAYLHELANPPIIHRDVKSANILLDEHLTAKVTDFGLSKLVADSGKGHVSSQVKGTMGYLDPEYYTTQQLTEKSDVYSFGVVMLELITARKPIEMGKYIVRQVQMMMDKNDEEHYGLRELMDRSIRNSGTLTGFGRFLELALQCVEESAADRPTMSELVKAIETILQNDGMNTNSTSASSSATEFAASKGAPKHPYNDGLPKKEVNDSTGAFYYSSGYAVSAKIEPN >ONI02893 pep chromosome:Prunus_persica_NCBIv2:G6:23124654:23126855:1 gene:PRUPE_6G227100 transcript:ONI02893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDILFYTSLTLIFILFTFKFLVQPNRRRYKNLPPTPPSLPILGHLHLLKPPVHRTFHRLSQKYGAVFSLWFGSHRVVIVSSPSAVEECFTKNDIVLANRPRLLFGKHLAYNYTTVVAAPYGDHWRNLRRIGTTEIFSTARLQTFSEIRKDEVKHLLLKLSQNARDGFAKVELKSMFNELTFNIIMTMVAGKRYYGDDVSVDKEEAKQFRQIMSDVFFYGGAANPADFLPILNWVGRGGYEKKVKTLAKRTDEFLQALIDEHKSKGKNGTTMIDHLLSLQESQPEYYTNQIIKGLILVMLLAGTDTSAVTLEWAMSNLLNNPHVLKKARVELDAQLGEENLVDEPDLSKLPYLQNIISETLRLCPAAPLLVPHFSSDDCTIGGFDVPRDTMILINAWALHRDPQLWDDPESFMPERFESGGDLSHKLIPFGLGRRACPGLGLAQRVVGLTLGSLIQCFEWERITKEEIDMAEGKGLTMPKVVPLEAMCRARSVMTKVLS >ONI02159 pep chromosome:Prunus_persica_NCBIv2:G6:18712277:18713792:1 gene:PRUPE_6G180600 transcript:ONI02159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFCRILWFVIQTCLERVVAILVQTVRTECVLRVLQNNPFEVQFLSIAYGKRI >ONI04503 pep chromosome:Prunus_persica_NCBIv2:G6:28609864:28611293:-1 gene:PRUPE_6G324800 transcript:ONI04503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGDEENDDDILNPLNPTTTTEILDFSDSTISPMNSHFSALTCRDTLRLIFEKLPIPDLARSSCVCRVWNSVASDQEIVTRAFKAPWNLKHIIGKPASGNFWRDNSLGKFAISHRIVRGDSIASLAVKYSVQVMDIKRLNNTMSEHGIYSRERLLIPISNPEMLLQATCYVEVDTCAKREVAVLYLEGGPELHKTTSNGSSSTSSCSATDLQSKKRVIESLRRSMQIDDATAHYYLTIADGDPRAALSQFSQDLRWENHLGFA >ONI03657 pep chromosome:Prunus_persica_NCBIv2:G6:25789587:25792988:-1 gene:PRUPE_6G272700 transcript:ONI03657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGNSHPAPGPCADDRIKLNVGGKFFETTLSTIQAGGPDSLLAALSNRSTDDPNPVFIDRDPEIFSVILSLLRSNGLPSTARRFSKQELADEALYYGIDSQLKSAMSPPPFSGIDASIVTTVQPASDGCPSAIATGDDGSVWIAHGGQLSSYDWNLSHSGTIRTHLEDITSICRVYPDIAAVGSESSAGLYFYDFSGVRNLGSIHWTDPNDPRIFKARVTAIADSPGSVFASFDCPHRENCILLIDKSTLKIVSELSRQPGSSAKNLAVGKLRWVPETSMVVGSSVTCGAFGYSGYIRIWDPRANEMVWEAIEPGSGRSSRFGDSFADVDADVEESTLFKVCSKSGDLAVADLRKLGDDPWIYLQDKNPRMRNTSGDRPVGHSSSVIRCYRNQVFVGREGGLEVWSRVAKEENRVALENGVCEGWYRRNFVDKVEDSERGVIQKIEGGGDRLFVIREEVEGVEVWESSCSSGAVSVL >ONI00864 pep chromosome:Prunus_persica_NCBIv2:G6:7718745:7719345:1 gene:PRUPE_6G108200 transcript:ONI00864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNLNQVSLLIGLCSCKYDLGKRERLQSVMPAKLLSIGCDVGLELQLTGLSLCYIFCVFNFLTKKRFVSFL >ONI04306 pep chromosome:Prunus_persica_NCBIv2:G6:28103083:28104611:-1 gene:PRUPE_6G315100 transcript:ONI04306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKTPSNNGQSSNPEPKLEPPNPSCGETHKTDESHIFFEDIDSTCSTPYVSAPSSPGRSGPVSGFFYSAPASPMHFALTSKSSSFASPQGSSSSFDNTSVSLGFEFEFSARFGSSGSGPTGPMSSADELFLNGKIRPMKLSTHLEKPQALAPLLDLESENEEHEAELEFEPVRGRDLRFRDKSLRRRTRSMSPLRNVPFEWAQSEIETDEKGCSLVQDLKGGGLKESKNEGEEEEEEEVESTTTTPSVSASTSRSSSAGRNSKRWIFLKDFLHRSKSEGRSNHKFWSSISFSTAKEKKPMKQSTQTQGSVSKDPKFSNPLNLSSEAQKSKGSGSGGSGKKPVAGKPTNGVGKRRMPPSLHELHYTANRAQAEEMRKKTYLPYRQGLLGCLGFSSKGYGAAMSNGFARALNPVSSR >ONI00773 pep chromosome:Prunus_persica_NCBIv2:G6:7362424:7363182:1 gene:PRUPE_6G104500 transcript:ONI00773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYCSELHAEQHNQDVKSLGLISSTKTAEAVEVLKLMASNYLVALCQAIDLRNLEENSKNSVENAISQVAKRVLTFGVTGELQPSRFCEKDLLEVIDDEHVFAYIDDPCSAGSLLMQKLRHVLVDHALANNDDWTNPNASIFLKIGAFEQELKTLLPKEVEEASFIFHNGYASIPGSRAAENIGPPGEDCDKVFSAICDGKVIDSLLHCLEEWDGSPLPIC >ONI03936 pep chromosome:Prunus_persica_NCBIv2:G6:26938168:26939594:1 gene:PRUPE_6G292700 transcript:ONI03936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYALIKLAVFVALCMVVSVPIAYAITCDQVSHNLVPCLDYLRNCGAVPEPCCRGISNLNDLGRTTAERRTICNCLKQNAPSLTGVNPTLAEELPAKCGVNVPYKISPNPNCANVQ >ONI03207 pep chromosome:Prunus_persica_NCBIv2:G6:24221968:24224309:-1 gene:PRUPE_6G244700 transcript:ONI03207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKHEDLSPPLDSTSSPPPLFDGTTRLYVCYTCPFAQRVWITRNYKGLQDKIKLVPINLQNRPAWYKEKVYPENKVPSLEHNGKVIGESLDLIKYVDNNFEGPSLFPTDPERRKFGEELITYTDTFTRALYSSFKGDAAKEADAQFDYLENALKKFDDGPFFLGQFSLVDIAYIPFVERLQVFLSEVFKYDITAGRPKLAAWFEEINKIEAYKVTKTDPKQLVEFYKKRFLDQQ >ONI01046 pep chromosome:Prunus_persica_NCBIv2:G6:8703252:8707052:-1 gene:PRUPE_6G118500 transcript:ONI01046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLNTFPHQICFKPFTFNPDIAHKLPITRTGLLAQRLELVLPRRYCVNVGADNAAISSRSVESDDEEEEENGDGNMDWELEFLGDLDPLGFQAPNKRKKLKKSKSLEESEGMDWCVRARKTALKSIEAKGLSHLMEDMMTVKKKKKNKKKKLGKIEKVNKKIKEVEEDLDIDSEEDFDMQDTNTLNGASHLRRTVSVLAGGMFEEKKEKTMEEFVQRLSQFSGPSDRKKEINLNRAIIDAQTAEEVVEVTAETIMAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMIETRRLAFARQREMSMLVGIAMTALPDCSAQGISNVSWALSKIGGDLIYLSEMDRVAEVALTKVGEFNSQNVANIAGAFASMKHSAPDLFSELSKRASDIIHTFQEQELAQVLWAFASLSESAEPLLESLDNVFNDESQFICYSSKENSEFDSENGVDNIGDLDFDGVRSSPVLSFRRDQLGNIAWSYAVIGQMDRTFFSHVWRTLSQFEEQRISEQYREDIMFASQVHLVNQCLKLEYPHLQLSLREDLEEKIARAGKTKRFNQKMTSSFQREVARLLVSTGLDWVKEYVVDGYTLDAVLIDKKVAMEIDGPTHFSRNTGVPLGHTMLKRRYITAAGWKVVSLSHQEWEERQGGFEQLEYLREILKEHLYVDKNTILV >ONI01045 pep chromosome:Prunus_persica_NCBIv2:G6:8703283:8706992:-1 gene:PRUPE_6G118500 transcript:ONI01045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLNTFPHQICFKPFTFNPDIAHKLPITRTGLLAQRLELVLPRRYCVNVGADNAAISSRSVESDDEEEEENGDGNMDWELEFLGDLDPLGFQAPNKRKKLKKSKSLEESEGMDWCVRARKTALKSIEAKGLSHLMEDMMTVKKKKKNKKKKLGKIEKVNKKIKEVEEDLDIDSEEDFDMQDTNTLNGASHLRRTVSVLAGGMFEEKKEKTMEEFVQRLSQFSGPSDRKKEINLNRAIIDAQTAEEVVEVTAETIMAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMIETRRLAFARQREMSMLVGIAMTALPDCSAQGISNVSWALSKIGGDLIYLSEMDRVAEVALTKVGEFNSQNVANIAGAFASMKHSAPDLFSELSKRASDIIHTFQEQELAQVLWAFASLSESAEPLLESLDNVFNDESQFICYSSKENSEFDSENGVDNIGDLDFDGVRSSPVLSFRRDQLGNIAWSYAVIGQMDRTFFSHVWRTLSQFEEQRISEQYREDIMFASQVHLVNQCLKLEYPHLQLSLREDLEEKIARAGKTKRFNQKMTSSFQREVARLLVSTGLDWVKEYVVDGYTLDAVLIDKKVAMEIDGPTHFSRNTGVPLGHTMLKRRYITAAGWKVVSLSHQEWEERQGGFEQLEYLREILKEHLYVDKNTILV >ONI01047 pep chromosome:Prunus_persica_NCBIv2:G6:8703267:8706981:-1 gene:PRUPE_6G118500 transcript:ONI01047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWELEFLGDLDPLGFQAPNKRKKLKKSKSLEESEGMDWCVRARKTALKSIEAKGLSHLMEDMMTVKKKKKNKKKKLGKIEKVNKKIKEVEEDLDIDSEEDFDMQDTNTLNGASHLRRTVSVLAGGMFEEKKEKTMEEFVQRLSQFSGPSDRKKEINLNRAIIDAQTAEEVVEVTAETIMAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMIETRRLAFARQREMSMLVGIAMTALPDCSAQGISNVSWALSKIGGDLIYLSEMDRVAEVALTKVGEFNSQNVANIAGAFASMKHSAPDLFSELSKRASDIIHTFQEQELAQVLWAFASLSESAEPLLESLDNVFNDESQFICYSSKENSEFDSENGVDNIGDLDFDGVRSSPVLSFRRDQLGNIAWSYAVIGQMDRTFFSHVWRTLSQFEEQRISEQYREDIMFASQVHLVNQCLKLEYPHLQLSLREDLEEKIARAGKTKRFNQKMTSSFQREVARLLVSTGLDWVKEYVVDGYTLDAVLIDKKVAMEIDGPTHFSRNTGVPLGHTMLKRRYITAAGWKVVSLSHQEWEERQGGFEQLEYLREILKEHLYVDKNTILV >ONI01044 pep chromosome:Prunus_persica_NCBIv2:G6:8703312:8706981:-1 gene:PRUPE_6G118500 transcript:ONI01044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLNTFPHQICFKPFTFNPDIAHKLPITRTGLLAQRLELVLPRRYCVNVGADNAAISSRSVESDDEEEEENGDGNMDWELEFLGDLDPLGFQAPNKRKKLKKSKSLEESEGMDWCVRARKTALKSIEAKGLSHLMEDMMTVKKKKKNKKKKLGKIEKVNKKIKEVEEDLDIDSEEDFDMQDTNTLNGASHLRRTVSVLAGGMFEEKKEKTMEEFVQRLSQFSGPSDRKKEINLNRAIIDAQTAEEVVEVTAETIMAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMIETRRLAFARQREMSMLVGIAMTALPDCSAQGISNVSWALSKIGGDLIYLSEMDRVAEVALTKVGEFNSQNVANIAGAFASMKHSAPDLFSELSKRASDIIHTFQEQELAQVLWAFASLSESAEPLLESLDNVFNDESQFICYSSKENSEFDSENGVDNIGDLDFDGVRSSPVLSFRRDQLGNIAWSYAVIGQMDRTFFSHVWRTLSQFEEQRISEQYREDIMFASQVHLVNQCLKLEYPHLQLSLREDLEEKIARAGKTKRFNQKMTSSFQREVARLLVSTGLDWVKEYVVDGYTLDAVLIDKKVAMEIDGPTHFSRNTGVPLGHTMLKRRYITAAGWKVVSLSHQEWEERQGGFEQLEYLREILKEHLYVDKNTILV >ONI02462 pep chromosome:Prunus_persica_NCBIv2:G6:20686237:20689761:1 gene:PRUPE_6G199800 transcript:ONI02462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSADLFIGKFVAILESEAASIACVRDQVDEIKQELVFMKSFLEDADGGEQAHTQVEKAWVASVRDLANDVENTIDEFMYHVYEQRNGGRFARWIHKTIHFPKHLWYKRQIANKLQKFLVAIRAIPERNQRYRGAAAVEGKSTSEDIRRWVQNQAESSLYQKEDELVGIEGDKNTLLGWLMDEAKQQTVVSVVGMGGSGKTTLVARTFKDDIVKRHFECYAWITVSQSYVIEDLLRRLIKEFHKAKKEEVPADMNAMSYNELLEILVNYLETKRYLIVLDDVWDVHLWDKIRFSFPDKQLGSRVMLTTRREDIGSSSFGVESHVHKIRPLEMGDAWELFSMKAFSSYQNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLNDWSKVYNSLNWHLTNNSLLEPMKSILLLSFNDLPYRLKQCFLYCSLFPEDHVIRNNRLIRLWIAEGFVEHVKGVTPEEVAKGYLMELIFRNMLQERFVIAHPACKMHDLLRDIALAIANKDKFCAVHDGSETVEETGALRLSIQTTNGEIGSCTGISRLRSFLVFTTGVSSFSFSNKLPFDLKLLKVLDLEDIPIDNLPDGVTSLFNLKYLNLNGTLIKELPESIGQLRNLQTLNITGSKIEALPRGISKLLNLRHLLVGGFISRKVIGVRIPSSISKMKKLQSLFYIESEGNIIRLIGSMTQLTFLGITNVKERDEEDLCASIQEMEVLSCLYLYVADGEEFLRVDALSSPPPYLDRLRLVGKLEKVPHWFCSLHSLTYMHLQGSRLEEDILPHIEALPSLLYLSLINASVREELCFNRGFAKLRHLWFYDLALLTKITIEKGAMPNLEFLKIHSCLTLETLPQGIEHLTKLQRYRFDNVSEKFRESIKEGGVDHPRMLLVDERCKK >ONI02461 pep chromosome:Prunus_persica_NCBIv2:G6:20686262:20689809:1 gene:PRUPE_6G199800 transcript:ONI02461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSADLFIGKFVAILESEAASIACVRDQVDEIKQELVFMKSFLEDADGGEQAHTQVEKAWVASVRDLANDVENTIDEFMYHVYEQRNGGRFARWIHKTIHFPKHLWYKRQIANKLQKFLVAIRAIPERNQRYRGAAAVEGKSTSEDIRRWVQNQAESSLYQKEDELVGIEGDKNTLLGWLMDEAKQQTVVSVVGMGGSGKTTLVARTFKDDIVKRHFECYAWITVSQSYVIEDLLRRLIKEFHKAKKEEVPADMNAMSYNELLEILVNYLETKRYLIVLDDVWDVHLWDKIRFSFPDKQLGSRVMLTTRREDIGSSSFGVESHVHKIRPLEMGDAWELFSMKAFSSYQNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLNDWSKVYNSLNWHLTNNSLLEPMKSILLLSFNDLPYRLKQCFLYCSLFPEDHVIRNNRLIRLWIAEGFVEHVKGVTPEEVAKGYLMELIFRNMLQERFVIAHPACKMHDLLRDIALAIANKDKFCAVHDGSETVEETGALRLSIQTTNGEIGSCTGISRLRSFLVFTTGVSSFSFSNKLPFDLKLLKVLDLEDIPIDNLPDGVTSLFNLKYLNLNGTLIKELPESIGQLRNLQTLNITGSKIEALPRGISKLLNLRHLLVGGFISRKVIGVRIPSSISKMKKLQSLFYIESEGNIIRLIGSMTQLTFLGITNVKERDEEDLCASIQEMEVLSCLYLYVADGEEFLRVDALSSPPPYLDRLRLVGKLEKVPHWFCSLHSLTYMHLQGSRLEEDILPHIEALPSLLYLSLINASVREELCFNRGFAKLRHLWFYDLALLTKITIEKGAMPNLEFLKIHSCLTLETLPQGIEHLTKLQRYRFDNVSEKFRESIKEGGVDHPRMLLVDERCKK >ONI02463 pep chromosome:Prunus_persica_NCBIv2:G6:20686075:20689810:1 gene:PRUPE_6G199800 transcript:ONI02463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSADLFIGKFVAILESEAASIACVRDQVDEIKQELVFMKSFLEDADGGEQAHTQVEKAWVASVRDLANDVENTIDEFMYHVYEQRNGGRFARWIHKTIHFPKHLWYKRQIANKLQKFLVAIRAIPERNQRYRGAAAVEGKSTSEDIRRWVQNQAESSLYQKEDELVGIEGDKNTLLGWLMDEAKQQTVVSVVGMGGSGKTTLVARTFKDDIVKRHFECYAWITVSQSYVIEDLLRRLIKEFHKAKKEEVPADMNAMSYNELLEILVNYLETKRYLIVLDDVWDVHLWDKIRFSFPDKQLGSRVMLTTRREDIGSSSFGVESHVHKIRPLEMGDAWELFSMKAFSSYQNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLNDWSKVYNSLNWHLTNNSLLEPMKSILLLSFNDLPYRLKQCFLYCSLFPEDHVIRNNRLIRLWIAEGFVEHVKGVTPEEVAKGYLMELIFRNMLQERFVIAHPACKMHDLLRDIALAIANKDKFCAVHDGSETVEETGALRLSIQTTNGEIGSCTGISRLRSFLVFTTGVSSFSFSNKLPFDLKLLKVLDLEDIPIDNLPDGVTSLFNLKYLNLNGTLIKELPESIGQLRNLQTLNITGSKIEALPRGISKLLNLRHLLVGGFISRKVIGVRIPSSISKMKKLQSLFYIESEGNIIRLIGSMTQLTFLGITNVKERDEEDLCASIQEMEVLSCLYLYVADGEEFLRVDALSSPPPYLDRLRLVGKLEKVPHWFCSLHSLTYMHLQGSRLEEDILPHIEALPSLLYLSLINASVREELCFNRGFAKLRHLWFYDLALLTKITIEKGAMPNLEFLKIHSCLTLETLPQGIEHLTKLQRYRFDNVSEKFRESIKEGGVDHPRMLLVDERCKK >ONI02460 pep chromosome:Prunus_persica_NCBIv2:G6:20686368:20689754:1 gene:PRUPE_6G199800 transcript:ONI02460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSADLFIGKFVAILESEAASIACVRDQVDEIKQELVFMKSFLEDADGGEQAHTQVEKAWVASVRDLANDVENTIDEFMYHVYEQRNGGRFARWIHKTIHFPKHLWYKRQIANKLQKFLVAIRAIPERNQRYRGAAAVEGKSTSEDIRRWVQNQAESSLYQKEDELVGIEGDKNTLLGWLMDEAKQQTVVSVVGMGGSGKTTLVARTFKDDIVKRHFECYAWITVSQSYVIEDLLRRLIKEFHKAKKEEVPADMNAMSYNELLEILVNYLETKRYLIVLDDVWDVHLWDKIRFSFPDKQLGSRVMLTTRREDIGSSSFGVESHVHKIRPLEMGDAWELFSMKAFSSYQNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLNDWSKVYNSLNWHLTNNSLLEPMKSILLLSFNDLPYRLKQCFLYCSLFPEDHVIRNNRLIRLWIAEGFVEHVKGVTPEEVAKGYLMELIFRNMLQERFVIAHPACKMHDLLRDIALAIANKDKFCAVHDGSETVEETGALRLSIQTTNGEIGSCTGISRLRSFLVFTTGVSSFSFSNKLPFDLKLLKVLDLEDIPIDNLPDGVTSLFNLKYLNLNGTLIKELPESIGQLRNLQTLNITGSKIEALPRGISKLLNLRHLLVGGFISRKVIGVRIPSSISKMKKLQSLFYIESEGNIIRLIGSMTQLTFLGITNVKERDEEDLCASIQEMEVLSCLYLYVADGEEFLRVDALSSPPPYLDRLRLVGKLEKVPHWFCSLHSLTYMHLQGSRLEEDILPHIEALPSLLYLSLINASVREELCFNRGFAKLRHLWFYDLALLTKITIEKGAMPNLEFLKIHSCLTLETLPQGIEHLTKLQRYRFDNVSEKFRESIKEGGVDHPRMLLVDERCKKCTNKSWD >ONH99850 pep chromosome:Prunus_persica_NCBIv2:G6:3759211:3761863:1 gene:PRUPE_6G053500 transcript:ONH99850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACVSDTSRILSCRDGHAASQLKLISIIVIFITSVVGISSPVLLSRYFQGKPAYEKTTLLIKCFAAGVILSTSLVHVLPDAFSALSDCSVSSQHPWKDYPFSGLVTMVGALTALLVDLTATSHMESQPGGGHSGGHHGQYEVVPIGTKEELGGKSCCSSKLAMDVESVAGGAESNSGGVSEETLVRLKQRLVSQVLEIGIIFHSVIIGVTLGMSQNQCTIRPLVAALAFHQIFEGMGLGGCIAQAGFKLGTTAYMCFMFAVTTPMGIVLGMILFSVTGYDDRNPNALILEGLLGSLSSGVLIYMALVDLIALDFFHNKMMSSDSWLRKISFIALVLGSISMSILALWA >ONI04414 pep chromosome:Prunus_persica_NCBIv2:G6:28376470:28377648:-1 gene:PRUPE_6G320600 transcript:ONI04414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEMVVQILSRLPPKSLMRFRCVHKSWYNFINDPNFVDVHLSKSIDNRFSSKTSTCVLFKRCVLNNEANHILLSLVDLSNDNDDVQIQSNSIRDLNLNNVPPLSVGLRHDCLDIAGHCHGIICLTDFSENVFLCNPALKQLKLLPKSCLRLPQPPPNTLNRLQSTGVAVGFGYDSRARVYKVVRIVMHFEGFWILFFPHMAEVYTMSSNSWREIKTDIPSTVVWSSSSSQIYFKGVYYWFALELDKETLDENKKVMLSFDMDDELFFHTPVPDSLQDSEENYGSLGVWNESIALFSYHVESGVSKFIDIWVMDGFCGTKGCWTKHLTIEPIAGIGMPLTFWNSDELLLVATDGYVVSHNFDTKVLRNLPIHGVLFEHFQAVLYTSSLISVN >ONI02233 pep chromosome:Prunus_persica_NCBIv2:G6:19468130:19468567:1 gene:PRUPE_6G185900 transcript:ONI02233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLVKKKNDILIVIKWHLPPTAWIKINFDGYVINSQASIGFFIQNNDGHVLLAGANNIGKNSINVVESVALQDGLDDAIDRGWGQIVVEGDSKLVIDSVLKKVIFPWSIQQIIQDIWHLNSSIASVRFQHVFREVNFTASWAMGSHR >ONI02495 pep chromosome:Prunus_persica_NCBIv2:G6:20966135:20967672:-1 gene:PRUPE_6G202000 transcript:ONI02495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQTIDLLKEGLPVHQESLHLTGDTKTGLVLVDVVNGFCTVGAGNLAPRQPDKQISEMVDESVRLARVFCDRKWPVFAFLDSHHPDIPEHPYPPHCIVGTEESELVPALKWLENEGNVTLRRKDCIDGFLGSVEEDGSNVFINWVQSNQIKAILVVGICTDICVLDFVCTTLSARNRRLLVPLEDVIVYSRGCATFDLPVDVAKTAKDVVAHPQELMHHIGLYIAKGRGAKVVSEVSLDTKNP >ONI02492 pep chromosome:Prunus_persica_NCBIv2:G6:20965597:20967824:-1 gene:PRUPE_6G202000 transcript:ONI02492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQTIDLLKEGLPVHQESLHLTGDTKTGLVLVDVVNGFCTVGAGNLAPRQPDKQISEMVDESVRLARVFCDRKWPVFAFLDSHHPDIPEHPYPPHCIVGTEESELVPALKWLENEGNVTLRRKDCIDGFLGSVEEDGSNVFINWVQSNQIKAELMHHIGLYIAKGRGAKVVSEVSLDTKNP >ONI02493 pep chromosome:Prunus_persica_NCBIv2:G6:20965720:20968091:-1 gene:PRUPE_6G202000 transcript:ONI02493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQTIDLLKEGLPVHQESLHLTGDTKTGLVLVDVVNGFCTVGAGNLAPRQPDKQISEMVDESVRLARVFCDRKWPVFAFLDSHHPDIPEHPYPPHCIVGTEESELVPALKWLENEGNVTLRRKDCIDGFLGSVEEDGSNVFINWVQSNQIKAILVVGICTDICVLDFVCTTLSARNRRLLVPLEDVIVYSRGCATFDLPVDVAKTAKDVVAHPQELMHHIGLYIAKGRGAKVVSEVSLDTKNP >ONI02496 pep chromosome:Prunus_persica_NCBIv2:G6:20965597:20968091:-1 gene:PRUPE_6G202000 transcript:ONI02496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQTIDLLKEGLPVHQESLHLTGDTKTGLVLVDVVNGFCTVGAGNLAPRQPDKQISEMVDESVRLARVFCDRKWPVFAFLDSHHPDIPEHPYPPHCIVGTEESELVPALKWLENEGNVTLRRKDCIDGFLGSVEEDGSNVFINWVQSNQIKAILVVGICTDICVLDFVCTTLSARNRRLLVPLEDVIVYSRGCATFDLPVDVAKTAKDVVAHPQELMHHIGLYIAKGRGAKVVSEVSLDTKNP >ONI02494 pep chromosome:Prunus_persica_NCBIv2:G6:20959941:20968091:-1 gene:PRUPE_6G202000 transcript:ONI02494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQTIDLLKEGLPVHQESLHLTGDTKTGLVLVDVVNGFCTVGAGNLAPRQPDKQISEMVDESVRLARVFCDRKWPVFAFLDSHHPDIPEHPYPPHCIVGTEESELVPALKWLENEGNVTLRRKDCIDGFLGSVEEDGSNVFINWVQSNQIKAILVVGICTDICVLDFVCTTLSARNRRLLVPLEDVIVYSRGCATFDLPVDVAKTAKDVVAHPQELMHHIGLYIAKGRGAKVVSEVSLDTKNP >ONH99807 pep chromosome:Prunus_persica_NCBIv2:G6:3593506:3595968:1 gene:PRUPE_6G051400 transcript:ONH99807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTGEVVCVTGGSGCIGSWLVRLLLDRNYTVHATVKDLKDEGETKHLEALEGAATRLRLFQIDLLDYNSIFAAINGCSGVFHLASPCIVDQVQDPEKELLDPAIKGTLNVLTAAKQAGVIRVVLTSSISAITPSPRWPSDKIKGEDCWTDIDYCTQKGLWYPLSKTLAEKAAWEFAKEKGLDVVVVNPGTVMGDVISPRLNASMVMLVRLLEGCTETYENFFMGSVHFKDVALAHILVYENKSATGRHLCVEAISHYGDFVAKVAELYPEYKVPRSLVS >ONH99805 pep chromosome:Prunus_persica_NCBIv2:G6:3593506:3596307:1 gene:PRUPE_6G051400 transcript:ONH99805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTGEVVCVTGGSGCIGSWLVRLLLDRNYTVHATVKDLKDEGETKHLEALEGAATRLRLFQIDLLDYNSIFAAINGCSGVFHLASPCIVDQVQDPEKELLDPAIKGTLNVLTAAKQAGVIRVVLTSSISAITPSPRWPSDKIKGEDCWTDIDYCTQKGLWYPLSKTLAEKAAWEFAKEKGLDVVVVNPGTVMGDVISPRLNASMVMLVRLLEGCTETYENFFMGSVHFKDVALAHILVYENKSATGRHLCVEAISHYGDFVAKVAELYPEYKVPSLPKDTQPGLLREKNGAMKLMNLGLEFIPMDQIIKDAVESLKSKGFIS >ONH99806 pep chromosome:Prunus_persica_NCBIv2:G6:3593353:3596490:1 gene:PRUPE_6G051400 transcript:ONH99806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTGEVVCVTGGSGCIGSWLVRLLLDRNYTVHATVKDLKDEGETKHLEALEGAATRLRLFQIDLLDYNSIFAAINGCSGVFHLASPCIVDQVQDPEKELLDPAIKGTLNVLTAAKQAGVIRVVLTSSISAITPSPRWPSDKIKGEDCWTDIDYCTQKGLWYPLSKTLAEKAAWEFAKEKGLDVVVVNPGTVMGDVISPRLNASMVMLVRLLEGCTETYENFFMGSVHFKDVALAHILVYENKSATGRHLCVEAISHYGDFVAKVAELYPEYKVPSLPKDTQPGLLREKNGAMKLMNLGLEFIPMDQIIKDAVESLKSKGFIS >ONI03573 pep chromosome:Prunus_persica_NCBIv2:G6:25436320:25437939:1 gene:PRUPE_6G266200 transcript:ONI03573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKITCNPNFHSGGMFEGRLQEGLSSGVQGWLDAHLRGSKSSFSSYINGSTANFQEGLSMSVDKRDFTFTKELHEKLALNFFPIRSCLQIGECLCIQLNNLDKILMMFSCNVCVCMFLRQRKFSTYADNLMPGIVLEP >ONI00906 pep chromosome:Prunus_persica_NCBIv2:G6:7941475:7944193:-1 gene:PRUPE_6G110800 transcript:ONI00906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQKIRAPKNRGFYVRMKLLPSKHGRSSVPQLEKKSFFYRNCKWVLWLSLSFYFFSSYLISNHPNQNNNKQPTSLSKTHFSSLASRALFESTTNNITQKQGLPFNDLKIFVYDLPAKYNTDWLKNERCSTHLFASEVAIHRALLTSEYLTVDPYEADFFFVPVYVSCNFSTVNGFPAIGHARSLIASAINLISTQHPFWDRSHGSDHVFVASHDFGSCFHTMEDVAISDGIPGFLKNSIVLQTFGVKQKHPCQEVENVVIPPYVSPESVLRTLEKSPIVGRRDIFAFFRGKMEVHPKNISGRFYSKRVRTMLWRNYNGDRRFFLQRHRFAGYQSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFDDVVPWAEISVNVAEKDVSKLAEILEHVAATNLSAIQKNLWDPRVPRALMFNDRVHEGDATWRVLSALANKRARSYRRSRVSSQ >ONI05026 pep chromosome:Prunus_persica_NCBIv2:G6:29996138:29999333:-1 gene:PRUPE_6G352100 transcript:ONI05026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLKDWKIPSNVVQEGSPHCNWTGVMCNSRGFIEKLDISNMNLSGHVSDHIQGLHSLSTLNISCNGFASSLPKSLSGLTSLNTIDVSQNYFVGDFPTGLGRASGLTSVNASSNNFSGFLPEDLGDATSLESLDFRGSFFEGSIPASYKKLQKLKFLGLSGNNLTGNLPRELGQLSSLETIVLGYNAFEGEIPAEFGNLTNLQYLDLAVGNLSGQIPPELGRLQKLTTVYLYKNNFTGKIPPDFGNITSLVFLDLSDNQISGEIPAELAQLMNLQLLNLMCNRLTGSVPNKLGELPNLEVLELWKNSLTGPLPVNLGKNSPLQWLDVSSNSLSGDIPPGLCSSGNLTKLILFNNSFSGPIPVGLSTCLSLVRVRMQNNLISGTMPVGLGNLPILQRLELAKNNLTGQIPVDIALSASLSFIDVSWNHLESSLPSSILSLPNLQTFMASNNKLEGKLPDQFQDCPSLSVLDISNNHISGKIPESIASCEKLVNLNLRNNQFNGEIPRPIATMRTLSILDLSNNSLVGKIPESFGSSPALEMLNLSYNRLEGPVPAYGMLMTINPNDLIGNAGLCGGILPPCPQSLAATAGPHRNMHIKHIITGFIIGISVISCLGVAFFAGRWVYRKWYSYNSFNNWFKTTNQEWPWRLVAFQRINFTSADILACIQESNIIGMGGSGVVYKAEIHRPHSVVAVKKLWRPGTDIENGDDLFGEVNLLGRLRHRNIVRLLGYLHNETDVVMIYDFMPNGNLGTALHGKQAGKLLVDWVSRYNIAVGVAQGLNYLHHDCQPPVVHRDIKSNNILLDTNLDARVADFGLARMMMHKNETVSMVAGSYGYIAPEYGYALKVDEKTDIYSYGVVLLELITGKMPLDPTFGEAVDIVEWVRRKMRNKKALEEALDASIAGQCKHVQEEMLLVLRIALLCTAKLPKDRPSMRDIITMLGEAKPRRKSICESRGQKTGKEKPIFSTSPVMGLL >ONI00544 pep chromosome:Prunus_persica_NCBIv2:G6:6488597:6490429:-1 gene:PRUPE_6G094000 transcript:ONI00544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMMMRNIAASSSSYCTSRRWRGMPCLHSNSTLVVFANDYFAFLHSQPFKPIKSTRTQLEQPVRNSPKITTVEDAFNVFDRVLQMRPRPSVVRFTQILGQVAKLKHYPAVIILYKQMGVSGIGPNVYTLNILINCYCHLNQMGFSLSVLGNFFKVGLEPDVFTFTTLINGFLLENRVAEAATLLHKMMRGGNCQPNVVTYGTLVKGFCMKGNNSAAIQLLRKMEEGACKPDLVVYSTIIDSLCKDTLVDDALNLFSEMMSKGIAPDVITYTSLIHGVCKLGEWKEATRLLNEMASKNIFPDVFTFSVLVDTICKEGMVVEAEGVVEMMIERDIDPDSVTYNSLMDGYCLRGRMDKAKKVFELMLSKGSMVNVVSYSTLINGYCKHKKIDEAMMLFLDMSHKGLVADTVTYTALMDGFCKVGRIDDAQKLFSKMQACGQLPDAQAFSILLDGLCKNRQLSRAMQLFGEMEAKKLDINIVIYNILIEGLCIAGKIESARDLFCGLSSKGLEPNARTYTIMINGLCIAGLTSEAEKFLIEMEVEGCSPNGWTYNTIIRGFIHNKQTSRAMVLIQTMVEKGFSADASTTELIVNLLSKDEVDPALLPLIKKSL >ONI00545 pep chromosome:Prunus_persica_NCBIv2:G6:6486765:6490695:-1 gene:PRUPE_6G094000 transcript:ONI00545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMMMRNIAASSSSYCTSRRWRGMPCLHSNSTLVVFANDYFAFLHSQPFKPIKSTRTQLEQPVRNSPKITTVEDAFNVFDRVLQMRPRPSVVRFTQILGQVAKLKHYPAVIILYKQMGVSGIGPNVYTLNILINCYCHLNQMGFSLSVLGNFFKVGLEPDVFTFTTLINGFLLENRVAEAATLLHKMMRGGNCQPNVVTYGTLVKGFCMKGNNSAAIQLLRKMEEGACKPDLVVYSTIIDSLCKDTLVDDALNLFSEMMSKGIAPDVITYTSLIHGVCKLGEWKEATRLLNEMASKNIFPDVFTFSVLVDTICKEGMVVEAEGVVEMMIERDIDPDSVTYNSLMDGYCLRGRMDKAKKVFELMLSKGSMVNVVSYSTLINGYCKHKKIDEAMMLFLDMSHKGLVADTVTYTALMDGFCKVGRIDDAQKLFSKMQACGQLPDAQAFSILLDGLCKNRQLSRAMQLFGEMEAKKLDINIVIYNILIEGLCIAGKIESARDLFCGLSSKGLEPNARTYTIMINGLCIAGLTSEAEKFLIEMEVEGCSPNGWTYNTIIRGFIHNKQTSRAMVLIQTMVEKGFSADASTTELIVNLLSKDEVDPALLPLIKKSL >ONI00546 pep chromosome:Prunus_persica_NCBIv2:G6:6486877:6490695:-1 gene:PRUPE_6G094000 transcript:ONI00546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRPSVVRFTQILGQVAKLKHYPAVIILYKQMGVSGIGPNVYTLNILINCYCHLNQMGFSLSVLGNFFKVGLEPDVFTFTTLINGFLLENRVAEAATLLHKMMRGGNCQPNVVTYGTLVKGFCMKGNNSAAIQLLRKMEEGACKPDLVVYSTIIDSLCKDTLVDDALNLFSEMMSKGIAPDVITYTSLIHGVCKLGEWKEATRLLNEMASKNIFPDVFTFSVLVDTICKEGMVVEAEGVVEMMIERDIDPDSVTYNSLMDGYCLRGRMDKAKKVFELMLSKGSMVNVVSYSTLINGYCKHKKIDEAMMLFLDMSHKGLVADTVTYTALMDGFCKVGRIDDAQKLFSKMQACGQLPDAQAFSILLDGLCKNRQLSRAMQLFGEMEAKKLDINIVIYNILIEGLCIAGKIESARDLFCGLSSKGLEPNARTYTIMINGLCIAGLTSEAEKFLIEMEVEGCSPNGWTYNTIIRGFIHNKQTSRAMVLIQTMVEKGFSADASTTELIVNLLSKDEVDPALLPLIKKSL >ONI00547 pep chromosome:Prunus_persica_NCBIv2:G6:6488597:6490174:-1 gene:PRUPE_6G094000 transcript:ONI00547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRPSVVRFTQILGQVAKLKHYPAVIILYKQMGVSGIGPNVYTLNILINCYCHLNQMGFSLSVLGNFFKVGLEPDVFTFTTLINGFLLENRVAEAATLLHKMMRGGNCQPNVVTYGTLVKGFCMKGNNSAAIQLLRKMEEGACKPDLVVYSTIIDSLCKDTLVDDALNLFSEMMSKGIAPDVITYTSLIHGVCKLGEWKEATRLLNEMASKNIFPDVFTFSVLVDTICKEGMVVEAEGVVEMMIERDIDPDSVTYNSLMDGYCLRGRMDKAKKVFELMLSKGSMVNVVSYSTLINGYCKHKKIDEAMMLFLDMSHKGLVADTVTYTALMDGFCKVGRIDDAQKLFSKMQACGQLPDAQAFSILLDGLCKNRQLSRAMQLFGEMEAKKLDINIVIYNILIEGLCIAGKIESARDLFCGLSSKGLEPNARTYTIMINGLCIAGLTSEAEKFLIEMEVEGCSPNGWTYNTIIRGFIHNKQTSRAMVLIQTMVEKGFSADASTTELIVNLLSKDEVDPALLPLIKKSL >ONI04773 pep chromosome:Prunus_persica_NCBIv2:G6:29364067:29365558:-1 gene:PRUPE_6G338900 transcript:ONI04773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSTKAYGAMLHWRSLKLSLVKFGANDFAITKQIYDFCFRAPDGLTVVSASIFGGLWTTKH >ONI02948 pep chromosome:Prunus_persica_NCBIv2:G6:23363872:23366574:1 gene:PRUPE_6G230600 transcript:ONI02948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDFMGYRNSSFSAKLEENAVQEAASGLESVEKLIRLLSQAQQNQHQDKYPSMVMDMDCRAVADVAVSKFKKVISLLGRTRTGHARFRRAPLTLTSGSSSSQNQAQTQETFVKQAPLESTKVYHATPIQQIPPPLHHHSTVLESTKDSSTTINFSYSATTSFMSSLTGDSDSKQPLSSSAFQITNMSQVSSVGKPPLSSASLKRKCSSDNLGSGKCGAGSSGRCHCSKKRKLRLKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPAMLVVTYEGEHNHSLSVAETSNLILESS >ONI03621 pep chromosome:Prunus_persica_NCBIv2:G6:25637181:25638520:-1 gene:PRUPE_6G269700 transcript:ONI03621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSADSERLFNRQRTLHEILGGGLVADVILWRQKNLTLGILLVTLCAWVVFEKSGYTLLSLVSSVLLLLMSILFLWAKSAAILNRPAPPLPQLQLSEEMVNEMAASIRSRVNALLSVSQDISLGKDSRLFFKVAAYLLLIYFAGGLTDFLTLSYTSLVMVLTIPALYERCEDYVDKYIMMGYRKLLQLYVKLDEEYLNRFQNWVLEKKKLS >ONI03622 pep chromosome:Prunus_persica_NCBIv2:G6:25637181:25638520:-1 gene:PRUPE_6G269700 transcript:ONI03622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSADSERLFNRQRTLHEILGGGLGFMGLIIHAFAGSTVADVILWRQKNLTLGILLVTLCAWVVFEKSGYTLLSLVSSVLLLLMSILFLWAKSAAILNRPAPPLPQLQLSEEMVNEMAASIRSRVNALLSVSQDISLGKDSRLFFKVAAYLLLIYFAGGLTDFLTLSYTSLVMVLTIPALYERCEDYVDKYIMMGYRKLLQLYVKLDEEYLNRFQNWVLEKKKLS >ONI03620 pep chromosome:Prunus_persica_NCBIv2:G6:25636409:25638968:-1 gene:PRUPE_6G269700 transcript:ONI03620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSADSERLFNRQRTLHEILGGGLDVILWRQKNLTLGILLVTLCAWVVFEKSGYTLLSLVSSVLLLLMSILFLWAKSAAILNRPAPPLPQLQLSEEMVNEMAASIRSRVNALLSVSQDISLGKDSRLFFKVAAYLLLIYFAGGLTDFLTLSYTSLVMVLTIPALYERCEDYVDKYIMMGYRKLLQLYVKLDEEYLNRFQNWVLEKKKLS >ONI01867 pep chromosome:Prunus_persica_NCBIv2:G6:15712649:15713620:1 gene:PRUPE_6G163700 transcript:ONI01867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTFFVNFNSRRLLLHNPLYQPPNTASSPVSSTNPHDPTDQYPGDNSFDANVVMVLSVLLCALICSLGLNSIIKCALRCSSFVESRSSSSSNTSARLANTGVKKKALKTFPTVSYSADLNLPGLDTECVICLSDFTAGERVRLLPKCNHGFHVRCIDKWLSSHSSCPKCRHNLIETCQKIVGFTPASSSVPPVQETIVSIVPLEPEGLVRNYRGIS >ONI02951 pep chromosome:Prunus_persica_NCBIv2:G6:23370816:23373699:-1 gene:PRUPE_6G230800 transcript:ONI02951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDSGVAQVVKQLDQACKEAGFFYVKGHGIPETLLKQVKNLTRKFFELPYEEKLKIKMTADSGYRGYQRIGENITKGVPDMHEAIDCYKELKPGMYGDLGKPMEGCNQWPIKPTNFKMLMEEYVSLCTELSRNIMRGIALALGGSPYEFEGERAGNAFWVMRLIGYPGVHSANGSNIPKKDIGCGAHTDYGLLTLVNQDDDITALQVRNHSGEWISAPPVPGTFVCNIGDMLKIYSNGLYESTLHQVINSSPKYRVCVAYFYETNFDTAVEPLDICKERTTGAKKFERAVYGEHLVKKVQTNFVY >ONI02950 pep chromosome:Prunus_persica_NCBIv2:G6:23370816:23373749:-1 gene:PRUPE_6G230800 transcript:ONI02950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDFKSIPIIDIGPLLAKCDDPKMGQDSGVAQVVKQLDQACKEAGFFYVKGHGIPETLLKQVKNLTRKFFELPYEEKLKIKMTADSGYRGYQRIGENITKGVPDMHEAIDCYKELKPGMYGDLGKPMEGCNQWPIKPTNFKMLMEEYVSLCTELSRNIMRGIALALGGSPYEFEGERAGNAFWVMRLIGYPGVHSANGSNIPKKDIGCGAHTDYGLLTLVNQDDDITALQVRNHSGEWISAPPVPGTFVCNIGDMLKIYSNGLYESTLHQVINSSPKYRVCVAYFYETNFDTAVEPLDICKERTTGAKKFERAVYGEHLVKKVQTNFVY >ONH99006 pep chromosome:Prunus_persica_NCBIv2:G6:447956:458176:-1 gene:PRUPE_6G004500 transcript:ONH99006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTQLQHAQLATILGPDPAPFQTLISHLMSSSNEQRSQAELLFNLCKQTDPDSLSLKLAHLLQFSPAPEARAMSAILLRKQLTRDDSYLWPRLSPTTQSNLKTILLTCIQREDTKSISKKLCDTISELASGILPDNAWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGDTLVPHIKELHSVFLHSLGNSSSAEVKIAALNAVINFIQCLTSSADRDRFQDLLPAMMRTLMEALNNGNEATAQEALELLIELAGTEPRFLRRQIVEVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMSMLLDIQDDPAWNTAETEDEEAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAAPEWQKHHAALIALAQIAEGCAKVMIKNLEQVVAMVLNSFQDPHPRVRWAAINAIGQLSTDLGPDLQVQYHQQVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGVVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMALQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADDNSDIDDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLLSAKLAIEKGQAQGRNETYIKQLSDYIVPALVEALHKEPDTEICANILDALNECLQISGPLLDESQVRSIVEEIKLVITASSSRKRERAERTKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWAKDKTPEERRIAICIFDDVAEQCREAAVKYYDTFLPFLLEACNDDNPDVRQAAVYGLGVCSEFGGTVIKPLIGEALSRLNVVIQHPNAVQPENLMAYDNAVSALGKICQFHRDSIDAAQVIPAWLNCLPIKGDLIEAKVVHDQLCSMVERSDRELLGPNNQYLPKIVAVFAEVLCAGKDLATEQTTSRMINLLRQLQQTLPPATLASTWSSLQPQQQLALQSILSS >ONH99008 pep chromosome:Prunus_persica_NCBIv2:G6:449104:458031:-1 gene:PRUPE_6G004500 transcript:ONH99008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTQLQHAQLATILGPDPAPFQTLISHLMSSSNEQRSQAELLFNLCKQTDPDSLSLKLAHLLQFSPAPEARAMSAILLRKQLTRDDSYLWPRLSPTTQSNLKTILLTCIQREDTKSISKKLCDTISELASGILPDNAWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGDTLVPHIKELHSVFLHSLGNSSSAEVKIAALNAVINFIQCLTSSADRDRFQDLLPAMMRTLMEALNNGNEATAQEALELLIELAGTEPRFLRRQIVEVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMSMLLDIQDDPAWNTAETEDEEAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAAPEWQKHHAALIALAQIAEGCAKVMIKNLEQVVAMVLNSFQDPHPRVRWAAINAIGQLSTDLGPDLQVQYHQQVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGVVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMALQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADDNSDIDDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLLSAKLAIEKGQAQGRNETYIKQLSDYIVPALVEALHKEPDTEICANILDALNECLQISGPLLDESQVRSIVEEIKLVITASSSRKRERAERTKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWAKDKTPEERRIAICIFDDVAEQCREAAVKYYDTFLPFLLEACNDDNPDVRQAAVYGLGVCSEFGGTVIKPLIGEALSRLNVVIQHPNAVQPENLMAYDNAVSALGKICQFHRDSIDAAQVIPAWLNCLPIKGDLIEAKVVHDQLCSMVERSDRELLGPNNQYLPKIVAVFAEVLCAGKDLATEQTTSRMINLLRQLQQTLPPATLASTWSSLQPQQQLALQSILSS >ONH99007 pep chromosome:Prunus_persica_NCBIv2:G6:448700:458225:-1 gene:PRUPE_6G004500 transcript:ONH99007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTQLQHAQLATILGPDPAPFQTLISHLMSSSNEQRSQAELLFNLCKQTDPDSLSLKLAHLLQFSPAPEARAMSAILLRKQLTRDDSYLWPRLSPTTQSNLKTILLTCIQREDTKSISKKLCDTISELASGILPDNAWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGDTLVPHIKELHSVFLHSLGNSSSAEVKIAALNAVINFIQCLTSSADRDRFQDLLPAMMRTLMEALNNGNEATAQEALELLIELAGTEPRFLRRQIVEVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMSMLLDIQDDPAWNTAETEDEEAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAAPEWQKHHAALIALAQIAEGCAKVMIKNLEQVVAMVLNSFQDPHPRVRWAAINAIGQLSTDLGPDLQVQYHQQVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGVVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMALQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADDNSDIDDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLLSAKLAIEKGQAQGRNETYIKQLSDYIVPALVEALHKEPDTEICANILDALNECLQISGPLLDESQVRSIVEEIKLVITASSSRKRERAERTKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWAKDKTPEERRIAICIFDDVAEQCREAAVKYYDTFLPFLLEACNDDNPDVRQAAVYGLGVCSEFGGTVIKPLIGEALSRLNVVIQHPNAVQPENLMAYDNAVSALGKICQFHRDSIDAAQVIPAWLNCLPIKGDLIEAKVVHDQLCSMVERSDRELLGPNNQYLPKIVAVFAEVLCAGKDLATEQTTSRMINLLRQLQQTLPPATLASTWSSLQPQQQLALQSILSS >ONI02552 pep chromosome:Prunus_persica_NCBIv2:G6:21342161:21342835:-1 gene:PRUPE_6G205300 transcript:ONI02552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFTIPCFFLILVLLSTTNVWKITTVEGSKCCTDHSELGKCVPGADDNPNGGKCWTFCTSDCEKGGICKLFGDHHHCHCLC >ONH99679 pep chromosome:Prunus_persica_NCBIv2:G6:3146521:3147849:-1 gene:PRUPE_6G042900 transcript:ONH99679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFATPKPLKPSSNISDIVSKFAKVCKLRSIGVFTSENQDHHHQHPNNANNISAPFGEDGSDVTGDTERDGVKIHPQPMEVTRTSSMCGDAELSKLFDIVSALKLAYIQLQEAHVPYNPKKIVAADRHFMAELESLCQIKRVYKETQCVKPKSDSSHSAILRKKVELNEKLLEELKFQTEVKNSDIICLQQELRDLDLVNVTLAENVRQISLQRKNARVLNITTFQDAYRAASKSIHDFAKPLISLMKASGWDLDLAAKAVEVEAAYSKRSHKKYAFEAYIARRMFYGMSLKAYNVDGIMRYDDPMDALIENPDSDFARFCGEKYLLVVHPMMESWFFGHLDHRTLVLSGKHPRTSFYQIFARMAMRVWVLHGIAPSIDSEAKIFAVKRGSKFSDAYMESVEEDGKGAAVLDEGQVEFMVMPGFRIGETIVRSRVYVSKPKM >ONH99680 pep chromosome:Prunus_persica_NCBIv2:G6:3146197:3148805:-1 gene:PRUPE_6G042900 transcript:ONH99680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFATPKPLKPSSNISDIVSKFAKVCKLRSIGVFTSENQDHHHQHPNNANNISAPFGEDGSDVTGDTERDGVKIHPQPMEVTRTSSMCGDAELSKLFDIVSALKLAYIQLQEAHVPYNPKKIVAADRHFMAELESLCQIKRVYKETQCVKPKSDSSHSAILRKKVELNEKLLEELKFQTEVKNSDIICLQQELRDLDLVNVTLAENVRQISLQRKNARVLNITTFQDAYRAASKSIHDFAKPLISLMKASGWDLDLAAKAVEVEAAYSKRSHKKYAFEAYIARRMFYGMSLKAYNVDGIMRYDDPMDALIENPDSDFARFCGEKYLLVVHPMMESWFFGHLDHRTLVLSGKHPRTSFYQIFARMAMRVWVLHGIAPSIDSEAKIFAVKRGSKFSDAYMESVEEDGKGAAVLDEGQVEFMVMPGFRIGETIVRSRVYVSKPKM >ONH99677 pep chromosome:Prunus_persica_NCBIv2:G6:3146182:3148805:-1 gene:PRUPE_6G042900 transcript:ONH99677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFATPKPLKPSSNISDIVSKFAKVCKLRSIGVFTSENQDHHHQHPNNANNISAPFGEDGSDVTGDTERDGVKIHPQPMEVTRTSSMCGDAELSKLFDIVSALKLAYIQLQEAHVPYNPKKIVAADRHFMAELESLCQIKRVYKETQCVKPKSDSSHSAILRKKVELNEKLLEELKFQTEVKNSDIICLQQELRDLDLVNVTLAENVRQISLQRKNARVLNITTFQDAYRAASKSIHDFAKPLISLMKASGWDLDLAAKAVEVEAAYSKRSHKKYAFEAYIARRMFYGMSLKAYNVDGIMRYDDPMDALIENPDSDFARFCGEKYLLVVHPMMESWFFGHLDHRTLVLSGKHPRTSFYQIFARMAMRVWVLHGIAPSIDSEAKIFAVKRGSKFSDAYMESVEEDGKGAAVLDEGQVEFMVMPGFRIGETIVRSRVYVSKPKM >ONH99678 pep chromosome:Prunus_persica_NCBIv2:G6:3146200:3148793:-1 gene:PRUPE_6G042900 transcript:ONH99678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFATPKPLKPSSNISDIVSKFAKVCKLRSIGVFTSENQDHHHQHPNNANNISAPFGEDGSDVTGDTERDGVKIHPQPMEVTRTSSMCGDAELSKLFDIVSALKLAYIQLQEAHVPYNPKKIVAADRHFMAELESLCQIKRVYKETQCVKPKSDSSHSAILRKKVELNEKLLEELKFQTEVKNSDIICLQQELRDLDLVNVTLAENVRQISLQRKNARVLNITTFQDAYRAASKSIHDFAKPLISLMKASGWDLDLAAKAVEVEAAYSKRSHKKYAFEAYIARRMFYGMSLKAYNVDGIMRYDDPMDALIENPDSDFARFCGEKYLLVVHPMMESWFFGHLDHRTLVLSGKHPRTSFYQIFARMAMRVWVLHGIAPSIDSEAKIFAVKRGSKFSDAYMESVEEDGKGAAVLDEGQVEFMVMPGFRIGETIVRSRVYVSKPKM >ONI04605 pep chromosome:Prunus_persica_NCBIv2:G6:28819512:28822595:-1 gene:PRUPE_6G329700 transcript:ONI04605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLCVFFHRLLDYRKAEVESLAQLFSNEDQEYQCLQWRLPLLHHPDSPFHFVDLPSEDVAKSIASRSILVKGMYELWGEGGSYEELEECIRNYPDERKLPYLDSSSTFKINVDTFGKVISLQEQTQRIQGLSYIPFKGRVNLKNPEHKFWLMETDDYGASNGLPPMVQKRIFFGREIGGADRKLLPTYQLKSRIYLGPTAMDAEMAFLMANQALATPGKLVYDPFVGTGSILIAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPIALLRADNNLPPWRTGLKEVFDAIICDPPYGVRAGGRKSGGRKLMKGVIGPYVVPDDKRTDHIPSTASYSLVECVHDLLDLAGRMLVMGGRLVFFYPVLSEDDNVDNHFPEHPCFKLIATSEQILSSRYSRVLLTMVKKSPYTEDIAEAARLKHLDFKENHLKWLEDGNLHSAVFSPTDPQSNGAADHAKFTKEPKPKYRGKYV >ONI00578 pep chromosome:Prunus_persica_NCBIv2:G6:6671333:6675520:1 gene:PRUPE_6G096400 transcript:ONI00578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPIRHTSTDDETKNKKRKRKRGKKPSEESEPRNPYQAQQNEEEEKGEGDDNSKEKKTKILKGKEEANEENKEDEAEGVEEENTRKKNVKRGGGSGIMSTDSFDSLNLSANTFKAIQELNFQYMTEIQARAIPSLLIGKDVLGAARTGSGKTLAFLIPAVELLYHIKFTPRNGTGVVVICPTRELAIQTYAVAKNLLKYHSHTVELVIGGAARRGEAERLVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLIIDEADRIMEANFEEEMKQIIKLLPKKRQTALFSATQTTKVQDLVRLSLKEYHLIDVDGGRTKVTNEGLKQGYFIVPSEERFILLYSFLVATRSKKVMVFFSSCNSVKFHSDLLRYVNVDCFDIHGKQKQQKRTKTFFDFCKAEKGILLCTDVAARGLDIPAVDVIVQFDPPDDPKEYIHRVGRTARGEGGKGKAFLFLIPEEMQFIRYLTAEKVPVEEQQINKNKLKNVQSQLEKMVEGNYYLRQAAKEAYKSYLLAYNSHSMKDIFNVHRLDLQAVAASFCFCCPPKVNLNLNSSASKFRKKMRIGGSRNGFSESNPYGMQKGRDEIRQFVRH >ONI00579 pep chromosome:Prunus_persica_NCBIv2:G6:6671333:6675520:1 gene:PRUPE_6G096400 transcript:ONI00579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPIRHTSTDDETKNKKRKRKRGKKPSEESEPRNPYQAQQNEEEEKGEGDDNSKEKKTKILKGKEEANEENKEDEAEGVEEENTRKKNVKRGGGSGIMSTDSFDSLNLSANTFKAIQELNFQYMTEIQARAIPSLLIGKDVLGAARTGSGKTLAFLIPAVELLYHIKFTPRNGTGVVVICPTRELAIQTYAVAKNLLKYHSHTVELVIGGAARRGEAERLVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLIIDEADRIMEANFEEEMKQIIKLLPKKRQTALFSATQTTKVTNEGLKQGYFIVPSEERFILLYSFLVATRSKKVMVFFSSCNSVKFHSDLLRYVNVDCFDIHGKQKQQKRTKTFFDFCKAEKGILLCTDVAARGLDIPAVDVIVQFDPPDDPKEYIHRVGRTARGEGGKGKAFLFLIPEEMQFIRYLTAEKVPVEEQQINKNKLKNVQSQLEKMVEGNYYLRQAAKEAYKSYLLAYNSHSMKDIFNVHRLDLQAVAASFCFCCPPKVNLNLNSSASKFRKKMRIGGSRNGFSESNPYGMQKGRDEIRQFVRH >ONI03352 pep chromosome:Prunus_persica_NCBIv2:G6:24670314:24673364:1 gene:PRUPE_6G252500 transcript:ONI03352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDMKLWPFKVTPGPGDKPMIGVSYKGEDKVFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGINVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDEKIGAKLPPADKKKIEDSIETAIQWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGADGAGPVDDEAPAGASGPGPKIEEVD >ONI05003 pep chromosome:Prunus_persica_NCBIv2:G6:29930269:29932065:-1 gene:PRUPE_6G351200 transcript:ONI05003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >ONH99669 pep chromosome:Prunus_persica_NCBIv2:G6:3113437:3115460:1 gene:PRUPE_6G042400 transcript:ONH99669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYDAYTLPKLYAKMQYCVSCAIHSHVVRVRSRENRRNREPPQRFRRRV >ONH99668 pep chromosome:Prunus_persica_NCBIv2:G6:3113297:3115580:1 gene:PRUPE_6G042400 transcript:ONH99668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVYDAYTLPKLYAKMQYCVSCAIHSHVVRVRSRENRRNREPPQRFRRREDTPRPAAPGAPGQAPRPAGAPAARP >ONI00628 pep chromosome:Prunus_persica_NCBIv2:G6:6905046:6905918:-1 gene:PRUPE_6G099200 transcript:ONI00628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLQNQPLFSLTLTLIFLSHCTKIISQAPAAAPAAPAAPATPAAPIAPAAPIAPAAPSITGPAVPAPPADAPAPAGPTNITKILEKAGGFNVLIRLLKSTQVDNQLYKQLNNSNSQLTVLAPTDSAFSKLSTGSLNSLSDEQKVQLLQFHLIPDFLTIQNFQTLSNPVRTQAGDGFEYPLNITTSGKAVNISTGLVNTSISGTVYSDSQIAIYQLDSVLQPYGVFAPKHHPPSPAPAPVQEKPKKTSSSDDSPVTELTKSGAVPTLIPKFNGIVSIAVAMVAAAALSFC >ONI04151 pep chromosome:Prunus_persica_NCBIv2:G6:27587935:27590974:1 gene:PRUPE_6G305600 transcript:ONI04151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSISEKSLPCSPSSSSSSLSSMSVQEFDPLLKDLNEKKQSFRRNVVSLASELKEARNRLASQEQSFVKETLTRQEAQMKAKNLEEEIGRLQKRLEHRNEKLEASASTAEKYLMELDGLRSQLATTRATADASAASAQSAHLQCSALLKELDEKNCSLKEQDDRVIRLGEQLDNLQKDLQAREFSQKQLKDEVLRIEHDIMQAVAKAGVNKDCELRKILDEVSPKNIEKINKLLVAKDEEIAKLRDEIRVMSAHWKLKTKEFESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLQRGGSGASAAENQNFWESSGFKIVVSMSMLILVVFSKR >ONI04153 pep chromosome:Prunus_persica_NCBIv2:G6:27586726:27591194:1 gene:PRUPE_6G305600 transcript:ONI04153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSISEKSLPCSPSSSSSSLSSMSVQEFDPLLKDLNEKKQSFRRNVVSLASELKEARNRLASQEQSFVKETLTRQEAQMKAKNLEEEIGRLQKRLEHRNEKLEASASTAEKYLMELDGLRSQLATTRATADASAASAQSAHLQCSALLKELDEKNCSLKEQDDRVIRLGEQLDNLQKDLQAREFSQKQLKDEVLRIEHDIMQAVAKAGVNKDCELRKILDEVSPKNIEKINKLLVAKDEEIAKLRDEIRVMSAHWKLKTKEFESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLQRGGSGASAAENQNFWESSGFKIVVSMSMLILVVFSKR >ONI04152 pep chromosome:Prunus_persica_NCBIv2:G6:27586726:27591193:1 gene:PRUPE_6G305600 transcript:ONI04152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSISEKSLPCSPSSSSSSLSSMSVQEFDPLLKDLNEKKQSFRRNVVSLASELKEARNRLASQEQSFVKETLTRQEAQMKAKNLEEEIGRLQKRLEHRNEKLEASASTAEKYLMELDGLRSQLATTRATADASAASAQSAHLQCSALLKELDEKNCSLKEQDDRVIRLGEQLDNLQKDLQAREFSQKQLKDEVLRIEHDIMQAVAKAGVNKDCELRKILDEVSPKNIEKINKLLVAKDEEIAKLRDEIRVMSAHWKLKTKEFESQLEKQRRADQELKKRVLKLEFCLQEARSQTRKLQRMGERRDKALKELRDQLQRGGSGASAAENQNFWESSGFKIVVSMSMLILVVFSKR >ONI00871 pep chromosome:Prunus_persica_NCBIv2:G6:7778154:7778877:-1 gene:PRUPE_6G108900 transcript:ONI00871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVRRHSVFSLCMHALVLCVINGFSAIFVLMQNKRTAAGDRNKRIQVERRRGSVVEKIEGTASQIARYDNHSNEYKAATKGTTSGTKGTSGSRGTRTASSFKAALRS >ONI04317 pep chromosome:Prunus_persica_NCBIv2:G6:28130400:28132246:-1 gene:PRUPE_6G315600 transcript:ONI04317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFFPIYEKLVLGVDIGGGSTEFVIGKQGQVIFGASLKLGHVNLTQKFGNNEENVAHMREHIRLVVQESGLVEKIKDCSFEAVVGSSGTIKAVEKAALYGYANVSNMLQVGNMVSFGDSKRYWKLSRGELKGVVESLCGGGEAEKIRREKFFKMRSEFIVAGAVLLEEIFEVIGIEEMEISGYSLAEGVIAETLAKVNDYDLNANAKWSSIVRLAMRFNSNKRMRAAAQCASIAKEFFECLRKCDELASNQVAASLDDKDLEYLEASCLLHNIGLSIEKKGYHKHSYSIIMNGGHLQGYSTEEVKLIALLARHHRKKLPNFGHVSFKEFPTEVKKKFRFLCAIIRISVALQQHRRIDFQQIEFSHSYEGFKLVFSNLVFNQTFPPKVGFFFLAFVDFFYL >ONI01342 pep chromosome:Prunus_persica_NCBIv2:G6:10627688:10629178:-1 gene:PRUPE_6G134500 transcript:ONI01342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFHVRIDKCSGTTSKQKTEQPNTKNMNTDWKARKDAASESHKNVTSQP >ONI02656 pep chromosome:Prunus_persica_NCBIv2:G6:22114649:22116664:1 gene:PRUPE_6G213100 transcript:ONI02656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSMVRSAALRTALRSGSRSSAPPKRSFSSSAAHDDAHETAKWEKITYLGIASCTIFAFVVLSKPHPHYDEPPPYPYLHIRNKEFPWDRFIRLPWISLDMNWLHLFHIMNSGSKSLWQVVLCFSVNIETHK >ONI02657 pep chromosome:Prunus_persica_NCBIv2:G6:22114691:22116359:1 gene:PRUPE_6G213100 transcript:ONI02657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSMVRSAALRTALRSGSRSSAPPKRSFSSSAAHDDAHETAKWEKITYLGIASCTIFAFVVLSKPHPHYDEPPPYPYLHIRNKEFPWGPNGLFEKKHEHH >ONI00918 pep chromosome:Prunus_persica_NCBIv2:G6:8014858:8016530:-1 gene:PRUPE_6G111700 transcript:ONI00918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFGGGGDEKFKFITHVVRGRWFTVFASLWIMAGTTELKVSLKVDQSVIDVLKTYKRLGANLGVFAGRIAEVTPSWFLLMVGAVMNFSSYYLLHEVTRRSIRLQLWQICVCRGIVIGLLKGLIGLSGTIITQLALVFYGDQSVRHILLLIAWFPAAIALAFSFTIRPMEFQGQPNELRVFFHIFYVSLSLAVFLMIVTLCERFFTFPRIGYVGSGIVILFLLALPLLIAIREERFILSLKQQPDNHPFAINTGTTTEGAVVLPDAGQSQQDILMQKLGLAKGASCLSISSIVNKPRRGEDHTILQAIFSVDITTAIGNLSEIAVSNDLPFNTMGNIKLLINIWNYFGRVYAGFVSELLLKQKGTPRPLMNVFALPLSCLGVLLIAFPSENGIYMATVIIGFSYGAQMTLLCTITSEIFGLKYYATLLNCTQFATPLGWFLLDNKLTQPMYHLEAKRLNMVVDGNLVCKGTTCFRGSFMIVAAVLVLGALASYVLARRTAKFYKGDVYKKFKD >ONI01159 pep chromosome:Prunus_persica_NCBIv2:G6:9367139:9369099:1 gene:PRUPE_6G124900 transcript:ONI01159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVVRLGVFLVILLMLVAAEAATSHPKPKKVKCQDKKFYKCYHKDLYCPASCPRTCVVDCKKCKPVCKAPPPPPPPPPPPPRHRHPPPPPKNYHRSPPPPPKAYPSPPPPPSVVPTPPPSTTTPPPPPTSTPSSPPPPASTPSPPPPTTSTPPSSYPPPPPSSETSGEQRKCKNKNYPHCYGLEHTCPSACPEQCEVDCVTCSPVCNCNRPGAVCQDPRFIGGDGITFYFHGKKDQDFCIVSDSNLHINAHFIGKRNQNMKRDFTWVQSLGILFGNHKLFIGAKTTSIWDDSNDRLSLSIDGEPINLLDSEGANWQSILSPGALSITRTKNTNSIEIEAEGNFKIKAVVVPITEKDSKIHKYGVTQEDCFAHLDLSFKFYALSGEVNGVLGQTYASNYVSRVKMGVVMPVLGGDKEFASSSIFASDCAVSRFSGEFVKTNSSESLEYMNCASGKDGRGVVCKR >ONI02389 pep chromosome:Prunus_persica_NCBIv2:G6:20243171:20245168:1 gene:PRUPE_6G195000 transcript:ONI02389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTAVMGSAKVDGRSGFCNSSSTFYSKRKPIPLPHHDSFFFFFFSPLKAQDYSFAEFSPSHHKSCEITFTTPPSPVDPLKEIHRQMGILMAMAVVTGHELLGPTTQQQNTKR >ONI03169 pep chromosome:Prunus_persica_NCBIv2:G6:24105231:24107775:-1 gene:PRUPE_6G242700 transcript:ONI03169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTSSNNPQSMGAASTPSDGSGKKVRKPYTITKSRESWTDEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKSGTTAHVPPPRPKRKAAHPYPQKASKNALVPLRASIPYPSSMNAIASTYSPWDETSIMINPASNQILLSHEEFTSFQGTEADIRSKGLARINKCSLSGIESSSRTLPSSEIPEQGKQAPLLHGIPDFSEVYSFIGSVFDPDSKGHAQKLREMDPINFETVLLLMRNLSINLSSPDFEPIREVLVSYDVNTKTVAAGIVTTKLNNDLSS >ONI03168 pep chromosome:Prunus_persica_NCBIv2:G6:24104546:24108026:-1 gene:PRUPE_6G242700 transcript:ONI03168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTSSNNPQSMGAASTPSDGSGKKVRKPYTITKSRESWTDEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKSGTTAHVPPPRPKRKAAHPYPQKASKNALVPLRASIPYPSSMNAIASTYSPWDETSIMINPASNQILLSHEEFTSFQGTEADIRSKGLARINKCSLSGIESSSRTLPSSEIPEQGKQAPLLHGIPDFSEVYSFIGSVFDPDSKGHAQKLREMDPINFETVLLLMRNLSINLSSPDFEPIVLFWSENSGSSSRTACRI >ONI05156 pep chromosome:Prunus_persica_NCBIv2:G6:30364089:30369634:1 gene:PRUPE_6G359100 transcript:ONI05156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPSSNSIRYNGSHCTCAVGYLLNQTTDTCVLFTADSTISTDSGISTNVLSFFPETIFSFDSIKKFTQSQAVFLEATLVFLLSWLFFCFFLRFMKLGNDGRSIWFRLRWWISRLDVCFSTRHWLDDQKVVKKRKTELGGTFSIASWILFIGLFAALLYQIITKRTIEVHNVRATNAPDLASFNNDMEFNITTISSMSCSNLRDLATLVTGNPGFIDFRVAPLSTFGNYSCQNTSRGPMITLRCNNCQPIQDNLYISWQFIDLPNNPAAAVGFQFNLSTRSHANKKHVSFVSGTLKNGSTFDDRPVTFRGNVTNILKFSLFPRIYRNLHGLKHIQPLFHDFVPGSFFRDTSQLQTSLESSNDGILNATLYVNFLSAYIVEIDNRNIMGPVSFLADLGGLYCICIGIFFYLLVQCEFRIKKLRNEDSVLRKIRNRRKAQDHWDKLRKYVMYTWGCKALNESEKKGSGCIGFNIQSVHRNGSSHKQRQQEMDTISFNRKLSLPNKKTAVQECSHTVGGVRSFTHGTSLNPVESSSHSAVEPN >ONI05157 pep chromosome:Prunus_persica_NCBIv2:G6:30364089:30369712:1 gene:PRUPE_6G359100 transcript:ONI05157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPSSNSIRYNGSHCTCAVGYLLNQTTDTCVLFTADSTISTDSGISTNVLSFFPETIFSFDSIKKFTQSQAVFLEATLVFLLSWLFFCFFLRFMKLGNDGRSIWFRLRWWISRLDVCFSTRHWLDDQKVVKKRKTELGGTFSIASWILFIGLFAALLYQIITKRTIEVHNVRATNAPDLASFNNDMEFNITTISSMSCSNLRDLATLVTGNPGFIDFRVAPLSTFGNYSCQNTSRGPMITLRCNNCQPIQDNLYISWQFIDLPNNPAAAVGFQFNLSTRSHANKKHVSFVSGTLKNGSTFDDRPVTFRGNVTNILKFSLFPRIYRNLHGLKHIQPLFHDFVPGSFFRDTSQLQTSLESSNDGILNATLYVNFLSAYIVEIDNRNIMGPVSFLADLGGLYCICIGIFFYLLVQCEFRIKKLRNEDSVLRKIRNRRKAQDHWDKLRKYVMYTWGCKALNESEKKGSGCIGFNIQSVHRNGSSHKQRQQEMDTISFNRKLSLPNKKTAVQECSHTVGGVRSFTHGTSLNPVESSSHSAVEPN >ONI05154 pep chromosome:Prunus_persica_NCBIv2:G6:30364089:30369711:1 gene:PRUPE_6G359100 transcript:ONI05154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPSSNSIRYNGSHCTCAVGYLLNQTTDTCVLFTADSTISTDSGISTNVLSFFPETIFSFDSIKKFTQSQAVFLEATLVFLLSWLFFCFFLRFMKLGNDGRSIWFRLRWWISRLDVCFSTRHWLDDQKVVKKRKTELGGTFSIASWILFIGLFAALLYQIITKRTIEVHNVRATNAPDLASFNNDMEFNITTISSMSCSNLRDLATLVTGNPGFIDFRVAPLSTFGNYSCQNTSRGPMITLRCNNCQPIQDNLYISWQFIDLPNNPAAAVGFQFNLSTRSHANKKHVSFVSGTLKNGSTFDDRPVTFRGNVTNILKFSLFPRIYRNLHGLKHIQPLFHDFVPGSFFRDTSQLQTSLESSNDGILNATLYVNFLSAYIVEIDNRNIMGPVSFLADLGGLYCICIGIFFYLLVQCEFRIKKLRNEDSVLRKIRNRRKAQDHWDKLRKYVMYTWGCKALNESEKKGSGCIGFNIQSVHRNGSSHKQRQQEMDTISFNRKLSLPNKKTAVQECSHTVGGVRSFTHGTSLNPVESSSHSAVEPPLQIELLGSTKDGKHKCVCLCKEDSSLPKALTLTNDDIIPPPVSLELKDASEMDMSDVQKNLRSLYEYNVMLREKLIATQSLFHSLPTNSASSVTEGET >ONI05155 pep chromosome:Prunus_persica_NCBIv2:G6:30364089:30369634:1 gene:PRUPE_6G359100 transcript:ONI05155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPSSNSIRYNGSHCTCAVGYLLNQTTDTCVLFTADSTISTDSGISTNVLSFFPETIFSFDSIKKFTQSQAVFLEATLVFLLSWLFFCFFLRFMKLGNDGRSIWFRLRWWISRLDVCFSTRHWLDDQKVVKKRKTELGGTFSIASWILFIGLFAALLYQIITKRTIEVHNVRATNAPDLASFNNDMEFNITTISSMSCSNLRDLATLVTGNPGFIDFRVAPLSTFGNYSCQNTSRGPMITLRCNNCQPIQDNLYISWQFIDLPNNPAAAVGFQFNLSTRSHANKKHVSFVSGTLKNGSTFDDRPVTFRGNVTNILKFSLFPRIYRNLHGLKHIQPLFHDFVPGSFFRDTSQLQTSLESSNDGILNATLYVNFLSAYIVEIDNRNIMGPVSFLADLGGLYCICIGIFFYLLVQCEFRIKKLRNEDSVLRKIRNRRKAQDHWDKLRKYVMYTWGCKALNESEKKGSGCIGFNIQSVHRNGSSHKQRQQEMDTISFNRKLSLPNKKTAVQECSHTVGGVRSFTHGTSLNPVESSSHSAVEPPLQIELLGSTKDGKHKCVCLCKEDSSLPKALTLTNDDIIPPPVSLELKDASEMDMSDVQKNLRSLYEYNVMLREKLIATQSLFHSLPTNSASSVTEGET >ONI05159 pep chromosome:Prunus_persica_NCBIv2:G6:30364089:30369634:1 gene:PRUPE_6G359100 transcript:ONI05159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNITTISSMSCSNLRDLATLVTGNPGFIDFRVAPLSTFGNYSCQNTSRGPMITLRCNNCQPIQDNLYISWQFIDLPNNPAAAVGFQFNLSTRSHANKKHVSFVSGTLKNGSTFDDRPVTFRGNVTNILKFSLFPRIYRNLHGLKHIQPLFHDFVPGSFFRDTSQLQTSLESSNDGILNATLYVNFLSAYIVEIDNRNIMGPVSFLADLGGLYCICIGIFFYLLVQCEFRIKKLRNEDSVLRKIRNRRKAQDHWDKLRKYVMYTWGCKALNESEKKGSGCIGFNIQSVHRNGSSHKQRQQEMDTISFNRKLSLPNKKTAVQECSHTVGGVRSFTHGTSLNPVESSSHSAVEPN >ONI05158 pep chromosome:Prunus_persica_NCBIv2:G6:30364089:30369634:1 gene:PRUPE_6G359100 transcript:ONI05158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNITTISSMSCSNLRDLATLVTGNPGFIDFRVAPLSTFGNYSCQNTSRGPMITLRCNNCQPIQDNLYISWQFIDLPNNPAAAVGFQFNLSTRSHANKKHVSFVSGTLKNGSTFDDRPVTFRGNVTNILKFSLFPRIYRNLHGLKHIQPLFHDFVPGSFFRDTSQLQTSLESSNDGILNATLYVNFLSAYIVEIDNRNIMGPVSFLADLGGLYCICIGIFFYLLVQCEFRIKKLRNEDSVLRKIRNRRKAQDHWDKLRKYVMYTWGCKALNESEKKGSGCIGFNIQSVHRNGSSHKQRQQEMDTISFNRKLSLPNKKTAVQECSHTVGGVRSFTHGTSLNPVESSSHSAVEPPLQIELLGSTKDGKHKCVCLCKEDSSLPKALTLTNDDIIPPPVSLELKDASEMDMSDVQKNLRSLYEYNVMLREKLIATQSLFHSLPTNSASSVTEGET >ONI01890 pep chromosome:Prunus_persica_NCBIv2:G6:16227009:16229791:1 gene:PRUPE_6G165300 transcript:ONI01890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYQFKLRSENNPRFSYLSMASSIRIVAFLLSVFSLGGEGARQNNSTQLISPGSSLSPISSPSSWLSPSGHFAFGFYKKGAGFAIGIWLVGTDKQTIVWTENRDDLPVTSNAILRLTSDGKLVLSDRERQKNLIVTTGTNSPTDSAASSASMLDSGNFVLYNGRRDVIWESFNHPTDTILGGQILPVGGSLISSLSENDHSTGRFHLNMQADGNLVLYSANSENSSADAYWSSGTYLQRQLQLYLNATGRLVLINSTSWEESYVLDYDESSKTNYKNGTIYRATLDVDGNFRLYSHESDVSTGKFQPSLILWQALDDPCDVKGFCGLNSYCTFYDNQPNCLCLPGTDYADSDRRIVGCLRNYTKVECNDGKENTSSYHMITMENMVLEDIVYYEARMPTVKECSRSCLEDCNCGAAVFYSGSNVCAKQNLPLRYVRRDPKESSTAVFKIGNIISGSIGNNHNNTNPAIPLNPNTTVVTTTDKKLIKQILVLTLALILFSCAALAVSGFYISKIRLLRYKRLTEINGDLGLADEELTLRAFSYNELRRATNGFKEELGKGSFGAVYKGALNKGKKIIAVKRLEKLVEEGEREFRAEMQAIGRTHHKNLVRLLGYSAEDSKRLLVYEYMSNGSLADLLFRNEWKPTWSERVTIALDVARGLLYLHEECKAPIIHCDIKPQNILMDEFWNAKISDFGLAKLLMPDQTRTFTGVRGTRGYLAPEWQKNTPISVKADVYSYGIVLLEIVCCRRNMDVNVRAEEIILSTWVYKCFVGRELHKLVGGQEVDKKTLENMVKVGLWCIQDEPALRPSMKSVVLMLQGITDIAIPPCPTATSM >ONI02685 pep chromosome:Prunus_persica_NCBIv2:G6:22265865:22266977:-1 gene:PRUPE_6G214900 transcript:ONI02685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTLPDDIIVHILLRLPVKSLIRFSCVSKRWHSLVISDLEFAQSHFKLASKQKTLNRRLLLSTDSQLESLDLEAPSFGDNSSVRKLVSPFKLEDGAKILGSCNGLVCAIGVHEKFYIWNPSTGLSKTLSNTGSFGLWPRIKMSILHDCYGFGYVSAIDDYKLVVVTDLIFKGEVAIFSLRANVWKRMKGPDDQSIAMQNDNGTLLNEALHWLPNHQLYMFAFDLAKEEFRKVPLPVTCELDDMPFQCLGLLEGCLCALQHKPCDCDSIDFWVMTEYGVLESWNKLFKFKIFGPPERPRSLSTVFVSESGSVVVRKYWSRDMELIRIEKKEDKLDDKNGKVVVWGDRYTLKWCCEINVGYDETLLWVGG >ONI04855 pep chromosome:Prunus_persica_NCBIv2:G6:29583416:29585218:1 gene:PRUPE_6G343800 transcript:ONI04855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTHEGQHQKYSLINFEETELRLGLPGGIANPGKDGEAAKNNGKRGFSETVDLKLNISSQDETADEDDQDQQLLELKKEKNAAAPAPGANDPSKPPASKAQVVGWPPVRSFRKNIATVQKKSSDEGEKSNSNISAAFVKVSMDGAPYLRKVDLKLYKSYQELSTALGKMFSSFTIGNCGSDGMKDFMNESKLIDLLNGSDYVPTYEDKDGDWMLVGDVPWG >ONI04854 pep chromosome:Prunus_persica_NCBIv2:G6:29582927:29585218:1 gene:PRUPE_6G343800 transcript:ONI04854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTHEGQHQKYSLINFEETELRLGLPGGIANPGKDGEAAKNNGKRGFSETVDLKLNISSQDETADEDDQDQQLLELKKEKNAAAPAPGANDPSKPPASKAQVVGWPPVRSFRKNIATVQKKSSDEGEKSNSNISAAFVKVSMDGAPYLRKVDLKLYKSYQELSTALGKMFSSFTIGNCGSDGMKDFMNESKLIDLLNGSDYVPTYEDKDGDWMLVGDVPWGMFVDSCKRLRIMKGSEAIGLAPRAVEKCKNRC >ONI04853 pep chromosome:Prunus_persica_NCBIv2:G6:29582130:29585218:1 gene:PRUPE_6G343800 transcript:ONI04853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDLNISSQDETADEDDQDQQLLELKKEKNAAAPAPGANDPSKPPASKAQVVGWPPVRSFRKNIATVQKKSSDEGEKSNSNISAAFVKVSMDGAPYLRKVDLKLYKSYQELSTALGKMFSSFTIGNCGSDGMKDFMNESKLIDLLNGSDYVPTYEDKDGDWMLVGDVPWGMFVDSCKRLRIMKGSEAIGLAPRAVEKCKNRC >ONI02713 pep chromosome:Prunus_persica_NCBIv2:G6:22433349:22434397:-1 gene:PRUPE_6G217400 transcript:ONI02713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYHPLHSRSMDSISNPKGCTNLCYFRGCADANADAGPKEMTASPNTSCGVPPVCKKLDGLAAWLFHSVAEAFFASLLRCSCIHIDTKDDPDDIFYIPLNRSQEEEEKEKEDGGIQISIATVDSENY >ONI02618 pep chromosome:Prunus_persica_NCBIv2:G6:21908393:21912955:-1 gene:PRUPE_6G210700 transcript:ONI02618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTIKDAFDRVAKKQKLSSSKAQEVIDQIDQEIQKTLQKIQSSNDCESQVDYKSALAELKVKLNDIAPLSQLESTQKELNGALSKYPKHLEKFFNPDISKAYRNIDFDTHTVNEIIGSHFYQQGLFEIGDCFRTEAKEPESEAAVKSQFQEMFQIVEAMKRRNLEPALNWAVTNSDKLKPNGSDLLLKLQSLQFVEILQKGNRDGALQYARTYLAPFASDHMAEIQKLMVCLLWTGRLDRSPYSHLLSPANWDKVTGELTRQFCNLLGQSYESPLSVTIAAGIQGLPPLLKFMNVMAGKRQEWQSMKQLPVPVELDREFQFHSIFVCPVSKEQSTEENPPMLMSCGHVLCKQSITKMSKNGTKSFKCPYCPSDVNSAQCRPLVF >ONI02004 pep chromosome:Prunus_persica_NCBIv2:G6:17716709:17720743:1 gene:PRUPE_6G172200 transcript:ONI02004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRKEKNAPWLSVPQFGDWDQKGQVPDYSLDFSKIREMRKQNKRDVSRASLGNEEELIATNTANVEPPHNDHHPHYHQRHHSPTTRRSIFSYFNCCVRA >ONI02002 pep chromosome:Prunus_persica_NCBIv2:G6:17716752:17720701:1 gene:PRUPE_6G172200 transcript:ONI02002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRKEKNAPWLSVPQFGDWDQKGQVPDYSLDFSKIREMRKQNKRDVSRASLGNEEELIATNTANVEPPHNDHHPHYHQRHHSPTTRRSIFSYFNCCVRA >ONI02003 pep chromosome:Prunus_persica_NCBIv2:G6:17716752:17720796:1 gene:PRUPE_6G172200 transcript:ONI02003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRKEKNAPWLSVPQFGDWDQKGQVPDYSLDFSKIREMRKQNKRDVSRASLGNEEELIATNTANVEPPHNDHHPHYHQRHHSPTTRRSIFSYFNCCVRA >ONI03731 pep chromosome:Prunus_persica_NCBIv2:G6:26099982:26102556:1 gene:PRUPE_6G278200 transcript:ONI03731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASSPRNVEEIFKDYSARRTAVVRALTYDVDEFYGLCDPDKENLCLYGHPNETWEVTLPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDSWLLSVAFYFGARLNRNERKRLFSLINDLPTVFEVVTERKPVKEKPSVDSGSKSRGSTKRSSDGIVKSNPKLPDESFEEEDDEHSETLCGSCGGNYNADEFWIGCDICERWFHGKCVKITPAKAENIKQYKCPSCSLKRGRQ >ONH99943 pep chromosome:Prunus_persica_NCBIv2:G6:4107297:4107788:-1 gene:PRUPE_6G059100 transcript:ONH99943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLWRAATGQDPSPEDYTGIEFWSNPERATWLTKQGEYIKTWRRRWFVLKQGKLFWFKDGHVTRTSTPRGVIPVGTCLTVKGAEDVVHKPCAFELSTNTHDTMYFIADSEKEKEEWINSIGRSIVQHSRSLADSEVVDYDSHRG >ONI03364 pep chromosome:Prunus_persica_NCBIv2:G6:24700247:24702430:1 gene:PRUPE_6G253300 transcript:ONI03364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSIPAYLDPSNWQQQPNHHHLTGTTSNNSNINNNNNSHLLPPPPPPSNPHGSGSGAGGVGSIRPGSMADRARMANIPLPEPALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSSSSTSKSPVSSSDRPTGSAGSPGPANIGLNNTASTADNNIMMSPQIPPLRFMSPFATDMALNYGMNVNNYGGGGGVGDMNSFHQMGSGLGSIGGSLFNFQQQTPSFPFLTAGGGLDPSPAAAGMMFESSGVEVTGYNQVRPRAPSGHGVAGMNSQMAAVKMELESQQHHQNQNQSQNQELNLSRQLMGMSSGNDQYWGNGSGAGGGGTSNTAWTDLSGFSSTTSTPSNNINNPL >ONI03797 pep chromosome:Prunus_persica_NCBIv2:G6:26358992:26360827:1 gene:PRUPE_6G282800 transcript:ONI03797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSELLLPFWPYQNVINSPLDQFEYNDVNMGSSDFSSPFMASDEFSETSSFPFATMFSGDFEQLADCDHLLQVTSSVEEFPMDFGGFEPNYLIGDIEGMYACLERSEGSTLPSQQFSIEGNDVWSPNSLMTSEASSMDVTSIQQSLTLPREEMEIDNELSIRHLLKAYGEAMEMGQRELEEVILRCLSEKVNPLGQSLERLAFNLCQEVDDQQGDYLKQESCKNFEAALNLFYQSIPDGRFAHYAANTAILEAIPEDAETVHIVDFDMGEGVQLSQLIEAVAQRHKTLKVTAIKWDVEETEDGAPPQWRFEETKRQLQHHARSFGLNLKVEEIAIKDLVSEVKKANKRGGGREFLAFNCMAGLPHMRRRRSRGLILEFLRLAKDLLASSANYKTSNRGIITFGDGDACAKLGNGSSFSSFFDGYLAHYQALLESIESNFPSHLAEARMVIELMFVAPYVSSQALFQKWNEVREECHLQPWFGLEGKRLSRESLMEAKEMVGESSYGVRIGQNGNEMALEWEGTPLVRVSTWTTQS >ONI00963 pep chromosome:Prunus_persica_NCBIv2:G6:8224894:8229779:1 gene:PRUPE_6G114000 transcript:ONI00963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMIIQQSETQTQKIKRVVPLEMSNKDHLANDHGNDEESDSSELHEGVEESDSSEDEVVPRNTIGDVPLEWYQDEKHIELTKEDIKSISRLLEGKAPHGDFDPYAPYVDWFKWDGSKHPLSNAPDPKKRLIPSKSESKLVNKIKLAIRKGLIKPKKSKEVEEEEESVYPLWGDDSNSTEKNDHLSYIPAPKPKLPGHEESFNPSIEYIPTQEEISSYQLTYEEDHPKFIPKRFTSMTSIPAYENAVKECFERCLDLFVPPSPKKTLYPFMFQLNIDPESLKPKLPNRSDLKPYPVSCYLEYRGHEDAVTSVSVEASGQWIASGLIICSSYRFIGRTVRIWEVETGRCLKHYKIGEAIKYVAWNPNPEHSILAVSVGQDVLLLNTGCGNEEVQKRTKELLSVEMPVMTLVSKTASSVSWLQDDKLEGIRLRHSKSKTVASVEWHRKVSFDSGASGYPFCIFISLDFLDLALRESRAIFIHKLSKKFTQTLSFKLRGIAVTSVFHPTRSFFFISTKKIIRVYNLVKEGKVVRKLEIRLREVSFIAVHPSGVHIIVGSREGKLCWFDMDLSSKPYSQAYFWKMLHQKDINNVCFHRSCPLFATCSDDCTAYVFHGMVYSDLNKNPLIVPLEILRGHSSTNERGVMDCKFHPRQPWLFTAGADSVVRLYCH >ONH99735 pep chromosome:Prunus_persica_NCBIv2:G6:3350305:3355173:-1 gene:PRUPE_6G046600 transcript:ONH99735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLNNCSNMTNPFDIFTNEIIHTILDHLNDDPFAKKSFSLVCKSFYFIERLHRKSLKPLRSELLCRTLNRYPSISHLDLSLCPRVDDPSLTSLSVSWKSTLRSINLSRSRDFTSIGLLRLATNCSSLVEIDLSNGTELTDSAAKAIAEAKNLERLILARCKLITDIGIGCVAVGCRKLRLLCLRWCLRVTDLGVGLIAMKCKDLRSLDLSCLRITEKCLPHILQLQHLEDLILEGCLGIDDEGLVTLKHSCKSLKMLNLSKCQNVSHVGLSSLTNGAEHLKQLVLAYGPSVTTDLGKCLLNYSRLKSISLDGCLVTCSGTKSIGSWCASLRELSLSKCLGVTDECVSFLVQAHRELQKLDITCCRKITSASIDCITKSCTFLTSLRMESCSLVSEDAFLLIGQRCQFLEELDVTDNEINNEGLKSISRCSKLCSLKLGICLNITDDGLTHIGSGCPKLEELDLYRCLGITDVGIAKIACGCPTMKMINIAYNDKITDSSLTSLSQCLRLKIVEIRGCPSVSTVGLSAIAGACRQLEVLDIKKCVNINDNGMLALGQSCQNLRQYQPPAEHDYFALDGIDPKRPCSCPIGMWRPNKSQASHILETIASKIYLWVHGRPWLCVSLERQSIPEGNRSKWMATACWKVS >ONH99733 pep chromosome:Prunus_persica_NCBIv2:G6:3350305:3355173:-1 gene:PRUPE_6G046600 transcript:ONH99733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLNNCSNMTNPFDIFTNEIIHTILDHLNDDPFAKKSFSLVCKSFYFIERLHRKSLKPLRSELLCRTLNRYPSISHLDLSLCPRVDDPSLTSLSVSWKSTLRSINLSRSRDFTSIGLLRLATNCSSLVEIDLSNGTELTDSAAKAIAEAKNLERLILARCKLITDIGIGCVAVGCRKLRLLCLRWCLRVTDLGVGLIAMKCKDLRSLDLSCLRITEKCLPHILQLQHLEDLILEGCLGIDDEGLVTLKHSCKSLKNVSHVGLSSLTNGAEHLKQLVLAYGPSVTTDLGKCLLNYSRLKSISLDGCLVTCSGTKSIGSWCASLRELSLSKCLGVTDECVSFLVQAHRELQKLDITCCRKITSASIDCITKSCTFLTSLRMESCSLVSEDAFLLIGQRCQFLEELDVTDNEINNEGLKSISRCSKLCSLKLGICLNITDDGLTHIGSGCPKLEELDLYRCLGITDVGIAKIACGCPTMKMINIAYNDKITDSSLTSLSQCLRLKIVEIRGCPSVSTVGLSAIAGACRQLEVLDIKKCVNINDNGMLALGQSCQNLRQINLSYCSVTDVGLLSLASTNRLQNMTILHLTGLTPNGLAAALLACGGLTKVKLHTSLKPLLPKYIFGYMEGRGCVFHWRDKAFQKEIDPNGWQLHAGRSPEAA >ONH99736 pep chromosome:Prunus_persica_NCBIv2:G6:3351256:3355051:-1 gene:PRUPE_6G046600 transcript:ONH99736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLNNCSNMTNPFDIFTNEIIHTILDHLNDDPFAKKSFSLVCKSFYFIERLHRKSLKPLRSELLCRTLNRYPSISHLDLSLCPRVDDPSLTSLSVSWKSTLRSINLSRSRDFTSIGLLRLATNCSSLVEIDLSNGTELTDSAAKAIAEAKNLERLILARCKLITDIGIGCVAVGCRKLRLLCLRWCLRVTDLGVGLIAMKCKDLRSLDLSCLRITEKCLPHILQLQHLEDLILEGCLGIDDEGLVTLKHSCKSLKMLNLSKCQNVSHVGLSSLTNGAEHLKQLVLAYGPSVTTDLGKCLLNYSRLKSISLDGCLVTCSGTKSIGSWCASLRELSLSKCLGVTDECVSFLVQAHRELQKLDITCCRKITSASIDCITKSCTFLTSLRMESCSLVSEDAFLLIGQRCQFLEELDVTDNEINNEGLKSISRCSKLCSLKLGICLNITDDGLTHIGSGCPKLEELDLYRCLGITDVGIAKIACGCPTMKMINIAYNDKITDSSLTSLSQCLRLKIVEIRGCPSVSTVGLSAIAGACRQLEVLDIKKCVNINDNGMLALGQSCQNLRQLRMLGSYLWPVPTACRT >ONH99734 pep chromosome:Prunus_persica_NCBIv2:G6:3350305:3355475:-1 gene:PRUPE_6G046600 transcript:ONH99734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLNNCSNMTNPFDIFTNEIIHTILDHLNDDPFAKKSFSLVCKSFYFIERLHRKSLKPLRSELLCRTLNRYPSISHLDLSLCPRVDDPSLTSLSVSWKSTLRSINLSRSRDFTSIGLLRLATNCSSLVEIDLSNGTELTDSAAKAIAEAKNLERLILARCKLITDIGIGCVAVGCRKLRLLCLRWCLRVTDLGVGLIAMKCKDLRSLDLSCLRITEKCLPHILQLQHLEDLILEGCLGIDDEGLVTLKHSCKSLKMLNLSKCQNVSHVGLSSLTNGAEHLKQLVLAYGPSVTTDLGKCLLNYSRLKSISLDGCLVTCSGTKSIGSWCASLRELSLSKCLGVTDECVSFLVQAHRELQKLDITCCRKITSASIDCITKSCTFLTSLRMESCSLVSEDAFLLIGQRCQFLEELDVTDNEINNEGLKSISRCSKLCSLKLGICLNITDDGLTHIGSGCPKLEELDLYRCLGITDVGIAKIACGCPTMKMINIAYNDKITDSSLTSLSQCLRLKIVEIRGCPSVSTVGLSAIAGACRQLEVLDIKKCVNINDNGMLALGQSCQNLRQINLSYCSVTDVGLLSLASTNRLQNMTILHLTGLTPNGLAAALLACGGLTKVKLHTSLKPLLPKYIFGYMEGRGCVFHWRDKAFQKEIDPNGWQLHAGRSPEAA >ONI01132 pep chromosome:Prunus_persica_NCBIv2:G6:9195301:9197296:1 gene:PRUPE_6G123300 transcript:ONI01132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKELGQELKELVSSLQDQGILDEQFDQMKAVQNEQNPCFVVNLITTFLGDAENILAQLRTYLSAEDPDEVNYHQVATLALTLKGSSSSVGGCRMALACSQLRDVSDVNDHEGCIIVLDLVNQQFLILRENLNHIVQMELAIHEKEVKRRNM >ONI04768 pep chromosome:Prunus_persica_NCBIv2:G6:29345990:29354972:1 gene:PRUPE_6G338500 transcript:ONI04768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTYLIPKEKPETSDSNVITPGTQFMAVLSVALQYFIQSRLNHNPGWRSTKVILSDSNVSGEGEHKIMSYIRLQRNLLGFDPNTRHCLYGLDADLIMLALATHEVHFSILREVITLPGQQEKCFLCGQVGHLAAECRGKLDDYGNVVDETPIHKKKYQFLNIWVLREYLQYELEIPNPPFQINFERIVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMHIYRREFTAMGGYLTDAGEVLLDRVEHFIQSVAVFERQIFQKRVRIQQAIENNEEMRNRSRRESSAELPAPVVDKVKLGEPGYTERYYAEKFQVTKPEEIDNVKKDLVLKYVEGLCWVCRYYYQGVCSWQWYYPYHYAPFASDLKDLDELEITFFLGEPFKPFDQLMGTLPAASSSALPEKYRNLMTDPSSPIYNFYPSDFEIDMNGKRFAWQGVAKLPFIDEKKLLAQTRRLESTLTEEEQARNSVMLDLLYVYPSHPLAAEMKLYYQLYYQSAPQERFVWPIDTIASGGMNGYLWLSERNGVRCVIPSPVRGYPNIDYNQVLNVTYLNPAAHKHIPEPPQGVVMPKKVVKPMDIKPAPALWHEDNGRRQQGRERPQVPGAIAGPMLGEAAHRLVKNTLNIRSNNSASGFWDQQPLRNFPGNYPVNRPRPAGPSGYEKGFREEAKYGNSFTPQGIMARPRFPSSNGMQGDRQSFRAQERVQYQEQSFRTQERVQYQEQYHSLRTGMSGLTMEESVRTRSPAGQPGMPNSGYSTNPQHQFAQDALPSPPPKWINKESTANGGLYNRQQETGFGGAYEPQPVKKVYQVKTRAPQDMSDAGDQTGSDSSGFV >ONI04767 pep chromosome:Prunus_persica_NCBIv2:G6:29344718:29354972:1 gene:PRUPE_6G338500 transcript:ONI04767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLADRYPLSIVDVVEEQPREGPNGVPLPIDVSRPNPNGYEFDNLYLDMNGIIHPCFHPDGKPAPATYDDVFSSIFDYIDHLFSLVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAADAEAEEERLKKEFEMEGTYLIPKEKPETSDSNVITPGTQFMAVLSVALQYFIQSRLNHNPGWRSTKVILSDSNVSGEGEHKIMSYIRLQRNLLGFDPNTRHCLYGLDADLIMLALATHEVHFSILREVITLPGQQEKCFLCGQVGHLAAECRGKLDDYGNVVDETPIHKKKYQFLNIWVLREYLQYELEIPNPPFQINFERIVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMHIYRREFTAMGGYLTDAGEVLLDRVEHFIQSVAVFERQIFQKRVRIQQAIENNEEMRNRSRRESSAELPAPVVDKVKLGEPGYTERYYAEKFQVTKPEEIDNVKKDLVLKYVEGLCWVCRYYYQGVCSWQWYYPYHYAPFASDLKDLDELEITFFLGEPFKPFDQLMGTLPAASSSALPEKYRNLMTDPSSPIYNFYPSDFEIDMNGKRFAWQGVAKLPFIDEKKLLAQTRRLESTLTEEEQARNSVMLDLLYVYPSHPLAAEMKLYYQLYYQSAPQERFVWPIDTIASGGMNGYLWLSERNGVRCVIPSPVRGYPNIDYNQVLNVTYLNPAAHKHIPEPPQGVVMPKKVVKPMDIKPAPALWHEDNGRRQQGRERPQVPGAIAGPMLGEAAHRLVKNTLNIRSNNSASGFWDQQPLRNFPGNYPVNRPRPAGPSGYEKGFREEAKYGNSFTPQGIMARPRFPSSNGMQGDRQSFRAQERVQYQEQSFRTQERVQYQEQYHSLRTGMSGLTMEESVRTRSPAGQPGMPNSGYSTNPQHQFAQDALPSPPPKWINKESTANGGLYNRQQETGFGGAYEPQPVKKVYQVKTRAPQDMSDAGDQTGSDSSGFV >ONI00498 pep chromosome:Prunus_persica_NCBIv2:G6:6310853:6314962:1 gene:PRUPE_6G091800 transcript:ONI00498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLSVDRDAEMLDSGGNRGGWIIYFFIIGTLMCLTLAAGGWQSNLIVYLIQEYNVKSIDAAQVSNAVNGCTCLFPIIGAIIADSFSGCFLVILISSCISFLGLVLLVLTATLDSLRPPSCDDGLSLCETPSESQFAVLYAGIALASIGLGGTRFTIATMGANQFDKPEEQGVFFNWFFFAMYTTSVISSTAIVYIEDNVSWGLGYGLCALVNLIGLLIFLSGSNFYRRDTPQGSPFVNIVRVLVASIRKRKVLLSSRSEDYHYHNDGEAKMVPETHKKSLSFLNGAALKTEGDLKPDGSIAKPWSLCTFQQVEDLKTVIRIFPLWSSSLFISTPIAIQSSLTTLQALTMDRRIVSHFKIPVGSLPVLVLLSTCISLPIIDRFLYPVWQKLTNQSPTPLQRLGIGHVLNIISMVVSALVESRRLKTAHSSTLPMLVMWLFPQLALVGIGEAFHFPGQLQLCYQEFPASLRGTATAMVAMTIGIAYYTGTALIGLVRRVTGWLPDDINQGRLDNVYWMVVVIGVLNFGYYLSCAQLYKHQNVSEGVERSSGSAG >ONI00499 pep chromosome:Prunus_persica_NCBIv2:G6:6310849:6314962:1 gene:PRUPE_6G091800 transcript:ONI00499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLSVDRDAEMLDSGGNRGGWIIYFFIIGTLMCLTLAAGGWQSNLIVYLIQEYNVKSIDAAQVSNAVNGCTCLFPIIGAIIADSFSGCFLVILISSCISFLGLVLLVLTATLDSLRPPSCDDGLSLCETPSESQFAVLYAGSNFYRRDTPQGSPFVNIVRVLVASIRKRKVLLSSRSEDYHYHNDGEAKMVPETHKKSLSFLNGAALKTEGDLKPDGSIAKPWSLCTFQQVEDLKTVIRIFPLWSSSLFISTPIAIQSSLTTLQALTMDRRIVSHFKIPVGSLPVLVLLSTCISLPIIDRFLYPVWQKLTNQSPTPLQRLGIGHVLNIISMVVSALVESRRLKTAHSSTLPMLVMWLFPQLALVGIGEAFHFPGQLQLCYQEFPASLRGTATAMVAMTIGIAYYTGTALIGLVRRVTGWLPDDINQGRLDNVYWMVVVIGVLNFGYYLSCAQLYKHQNVSEGVERSSGSAG >ONI01053 pep chromosome:Prunus_persica_NCBIv2:G6:8751907:8752609:-1 gene:PRUPE_6G118800 transcript:ONI01053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPKSTHRRVQEEKNRRGRSLNEKSSSFHGQLSDMATPQLRRPKTVPDMASFRNTIGMTPATELLPKLTKLLLNVTIQGSVGAIHVVMPPESAVKDLVAASIRQYGKEGRRPILTSVDPSLFDLHYSQFSLESLDREEKLMALGSRNFFLCRSSNSLDAGGSGTTSSASCSKQAEKVSRTGFSWLKFMDFLL >ONI01052 pep chromosome:Prunus_persica_NCBIv2:G6:8751401:8753824:-1 gene:PRUPE_6G118800 transcript:ONI01052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPKSTHRRVQEEKNRRGRSLNEKSSSFHGQLSDMATPQLRRPKTVPDMASFRNTIGMTPATELLPKLTKLLLNVTIQGSVGAIHVVMPPESAVKDLVAASIRQYGKEGRRPILTSVDPSLFDLHYSQFSLESLDREEKLMALGSRNFFLCRSSNSLDAGGSGTTSSASCSKQAEKVSRTGFSWLKFMDFLL >ONI02520 pep chromosome:Prunus_persica_NCBIv2:G6:21095269:21097095:1 gene:PRUPE_6G203500 transcript:ONI02520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDQKLHIAMFPWLAFGHMIPYLELAKLIAQKGHQISFISTPRNIERLPQLPPNLSSSLITFVKLPLPRVDDDFPEGAEATTDVSQNKVMKLKKVYDALQQPLTHFLESSNPDWLLFDFAAYWAPTTARNLGIPCAFFSIFIGACLAFLGPTSPEISPDDRKNPEDYTVQPKWVPFPSNVAFHLFEVHRVYLDSTTGDESNVSDVYRFMGGMKGCDVIAIRGCMEFDLKWLRLLQDIHRKPILPVGQLPTTNYEENDRWGSMREWLDRQLKASVVYVAFGSEAMPSQEEITTIALGLELSKLPFIWVLRTNVVKLPEGFEKRMGGRGLVCRSWAPQLKILGHDSVGVFLSHSGWSSVVEALTFGRALVLLTMSNDQGLNARFLEERKIVYCIPRDEEDGSFTSDSVAESLKLVIEMEEGKIYRDKAEELKPLFGDRKKQDAYVHNFLEYLKAHVGNASKKV >ONI03113 pep chromosome:Prunus_persica_NCBIv2:G6:23895774:23899360:-1 gene:PRUPE_6G239700 transcript:ONI03113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGNWFSSVKKALSPKSKEKKEQNSDKLKEKWSGKQKYPESESTSFQPATLPPLPQPEEVKLTNVENEQNNDAYSVAVTTTTAVAEPAVYVAPATQAVAQVVRLPTNTQYAGKSREEVAAIKIQTAFRGYLARRALRALRGLVRLKLLIEGSVVKRQATNTLRCMQTLSRVQSQIRSRRIRMLEENQALQRQLLLKHAKELETLRLGDEWDDSIQSKEQVEANLLSKHEAAMRRERALAYAFSHQKNGKNSTKSVNPMFMDPSNPTWGWSWLERWMAARPWESRVIMDKEMQDDHASVKSASRSISAGEINKSYARYLLNSDKQSPTASEKPSHPGFRSPSTPSRSASKVARKLKPAASPRGSRVPDDDTKSMVSVQSAQFRRHSIAGSSVRDDESLDSSPSIPSYMVPTKSARAKSRLQSPAEKNGITERDLPESAKKRLSFPASPARPRRHSGPPKVDSSLITENKVANIGVES >ONI01344 pep chromosome:Prunus_persica_NCBIv2:G6:10630403:10634424:1 gene:PRUPE_6G134600 transcript:ONI01344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYAVVARGTIVLAEFSAVTGNTGAVARRILEKLPSEADSRVCFSQDRYIFHILKSDGLTFLCMANDTFGRRIPFSYLEDIHMRFMKNYGRVAPYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLNRVRGEVGEIRTIMVDNIEKILERGDRIELLVDKTATMQDSAFHFKKQSKRLRRALWMKNAKLLALLTCVIVVLLYIIIAVCCGGVTLPSCRS >ONI01343 pep chromosome:Prunus_persica_NCBIv2:G6:10630403:10634424:1 gene:PRUPE_6G134600 transcript:ONI01343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYAVVARGTIVLAEFSAVTGNTGAVARRILEKLPSEADSRVCFSQDRYIFHILKSDGLTFLCMANDTFGRRIPFSYLEDIHMRFMKNYGRVAPYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLNRVRGEVGEIRTIMVDNIEKILERGDRIELLVDKTATMQDSAFHFKKQSKRLRRALWMKNAKLLALLTCVIVVLLYIIIAVCCGGVTLPSCRS >ONI01345 pep chromosome:Prunus_persica_NCBIv2:G6:10630403:10633074:1 gene:PRUPE_6G134600 transcript:ONI01345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILYAVVARGTIVLAEFSAVTGNTGAVARRILEKLPSEADSRVCFSQDRYIFHILKSDGLTFLCMANDTFGRRIPFSYLEDIHMRFMKNYGRVAPYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLNRVRGEVGEIRTIMVDNIEKILERGDRIELLVDKTATMQDSAFHFKKQSKRLRRALWMKNAKLLL >ONI00100 pep chromosome:Prunus_persica_NCBIv2:G6:4638911:4640109:1 gene:PRUPE_6G067100 transcript:ONI00100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDRNIGVAVDFSKGSKIALKWAINNLAHEKGDTLYAIHVKPSQGDESRNLLWSTTGSPLIPLEEFRQKAVMENYEVEVDPEVLDLLDTAHRQKHVTVVAKLYWGDAREKLCGAVEDLKLDCLVLGSRGLGTIQRVLLGSVSSYVMANATCAVTIVKDHSA >ONI03103 pep chromosome:Prunus_persica_NCBIv2:G6:23847547:23849454:1 gene:PRUPE_6G238900 transcript:ONI03103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGDF >ONI03104 pep chromosome:Prunus_persica_NCBIv2:G6:23848194:23849114:1 gene:PRUPE_6G238900 transcript:ONI03104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGDF >ONI03102 pep chromosome:Prunus_persica_NCBIv2:G6:23847547:23849176:1 gene:PRUPE_6G238900 transcript:ONI03102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGDF >ONI00623 pep chromosome:Prunus_persica_NCBIv2:G6:6868684:6872838:1 gene:PRUPE_6G098700 transcript:ONI00623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSSSSAALKWLSHVVSIRNYPSLTDCCGANKKLPRRRKKFSTNNIGTEPNKNRTKQENQGINKVFVNSLEKGNYMQWIYRCEECLRLLQINCSSSYMVPRELRSF >ONH98988 pep chromosome:Prunus_persica_NCBIv2:G6:379546:380926:1 gene:PRUPE_6G003500 transcript:ONH98988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMKMNAFVALLVVVMVALSGVQKVAAADSPAPSPASDAYAFAPTFFASLAALAFGFFF >ONI04190 pep chromosome:Prunus_persica_NCBIv2:G6:27705725:27706870:-1 gene:PRUPE_6G307500 transcript:ONI04190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSTVTIHVTGFKKFHGVSENPTETIVSNLKEYVKKKGSPKGLVLGSCSILETAGQGAVVPLYQTLRSAISEQDSSNSSRIIWVHFGVNSGATRFAIEHQAVNEATFRCPDEMGWKPQKVPIIPSDGGISHIREVGWVAMIFHYMPPKDFKYFLLHLINH >ONI04187 pep chromosome:Prunus_persica_NCBIv2:G6:27705008:27708090:-1 gene:PRUPE_6G307500 transcript:ONI04187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSTVTIHVTGFKKFHGVSENPTETIVSNLKEYVKKKGSPKGLVLGSCSILETAGQGAVVPLYQTLRSAISEQDSSNSSRIIWVHFGVNSGATRFAIEHQAVNEATFRCPDEMGWKPQKVPIIPSDGGISHIRETSLPVEDITKALAKKGFEVMTSDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTIDEDTQMQFAASLLEVLTSLN >ONI04189 pep chromosome:Prunus_persica_NCBIv2:G6:27705019:27707971:-1 gene:PRUPE_6G307500 transcript:ONI04189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSTVTIHVTGFKKFHGVSENPTETIVSNLKEYVKKKGSPKGLVLGSCSILETAGQGAVVPLYQTLRSAISEQDSSNSSRIIWVHFGVNSGATRFAIEHQAVNEATFRCPDEMGWKPQKVPIIPSDGGISHIRETSLPVEDITKALAKKGFEVMTSDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTIDEDTQMQFAASLLEVLTSLN >ONI04188 pep chromosome:Prunus_persica_NCBIv2:G6:27705008:27707841:-1 gene:PRUPE_6G307500 transcript:ONI04188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSTVTIHVTGFKKFHGVSENPTETIVSNLKEYVKKKGSPKGLVLGSCSILETAGQGAVVPLYQTLRSAISEQDSSNSSRIIWVHFGVNSGATRFAIEHQAVNEATFRCPDEMGWKPQKVPIIPSDGGISHIRETSLPVEDITKALAKKGFEVMTSDDAGRFVCNYVYYHSLRFAEQNGIKSLFVHVPLFLTIDEDTQMQFAASLLEVLTSLN >ONI01320 pep chromosome:Prunus_persica_NCBIv2:G6:10434833:10437219:-1 gene:PRUPE_6G132300 transcript:ONI01320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACGSLQHIFENPKLPENPTTLLESFSSPWNQIKPLNKSLSIPPENSSSFTEIFGELHFKENISSHLMSSSSSSPSLVDFDVSQPKIEKEEESNIDNKNEEKNNYQKIPSKESFPSTPRSSNYNRSHKSSDSFSSMNSESLQFCTEGLGFESSDEVEDLIRTSDQDNWQINMQEEKVSFAKQYFPISEMNGCGGESRRSRTSVGGFPPPISCISKSGKPWVCFKSYRQDGRFVLKEIRIPTQEFLHAYREDGRLKLHFVMPDDKILDEENEEDDDVLNDEEEEEEIEDDDDHQYHLHADDANGINEGNEPKTTNDEVL >ONI03040 pep chromosome:Prunus_persica_NCBIv2:G6:23616770:23617048:-1 gene:PRUPE_6G234800 transcript:ONI03040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAFFLVVMFMASYCLAAAPRRAMLGRTYGQEEQPHRHVESGKSMENSIGKYPGSSLDNHNIDNHHSIPRQHYNDPNGSSQGDGGDGGNG >ONI02782 pep chromosome:Prunus_persica_NCBIv2:G6:22706496:22711662:-1 gene:PRUPE_6G221900 transcript:ONI02782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLRSSLRSSADEFLSSATKQTLKSSKPALKTLIHAINPSSDLSSSLPLSLCNSISQTIQSFQNLLDPNSNPNPRHSSPRSPPTKRLRRSSRRSKTRSEPERDDPDPNPDLEKQRLLSELQVLAFITQLCVSHPQNAFSPLDLLPGVQALHDNLIILESDSVLVSEIASLCEQWWKEELPGRESLISQSLPFLLSRSLTLKKKADVHRVYALREAFACFDFEDDSIEDLKQLLIRCVISPLYLKAEEGKKFLAFLFGLSSQLLKEVLAMIRSQVPFGRKSMLEAYGDVLFRAWKVLEGDSRSEIENGFLQGLIEGAIHASSGALAASIRRVLGGFINQRTTAGVEKLLFQLSEPVIFRSLQVANSNVRQNALHLILDLFPLEDPDSTKEVKDSLLHKQFFLLERLLADDCPEVRVVAVEGSCRVLHLFWEIIPLPTITKLITKIFDDMSHDVWHEVRLSTINGIMYLFGNPQCHQILNVLLPRLGHLMMDNVLSIRVAMADLLLLVRDIRNFQFHKVVKLDVLLSTLANDQPQVAQKITRLLMPSYFPSKVSVEAACNRCVTLVKRSPMAGARFCEFAVSQGASHKSLVELVRVLVTLVLLPNNLDEDRVEGLLLAGANLCNTLASEPFFKNSLKEFFDGEKLKCLFAAAATGRAQSSVFNIVSAVSPDDVTGLLDECMHQVTNCSGLSTNVERQAEVRSAHKLLLSCDGVDGMLEALTAFLQKAAYRCHIKFGNEITKLSVFSAKRKKPKSSSKISARWKNVGGKKQANFENDYSIAVGIAWQIRDLLVSVNARKSILGSQVLESLFLSLKVLSEVSIVQCMHCEYMDTSPVLAYTDLALHMSLQKDHRTESTRSSLETELEHALDHLLNCADRLFGAGDSGGSGNISPESKHASNRSSTRREKRHRGPQVDASSPSDGGCVYTEPKKLSNKVKMLTAVLRFVVDAATIGFVPQNQGRCLKFTSGYIQCVTSTLERQPREQFQFEEEDLKDMILCLKSSFTYAAKLLNLALKDVTEASPFLTEASDLANYLLDLIISIELYLGSNYVAHMVTGVKSWLPDLVLALGSGQIMKQIKGEAIEITAADRNRLHFPSWLLILAKIEVSEISEVRPEEDGDSEPEEFPAFKKLLDMIVILLKGNLSIRDVFGVVFLIAAIVGLEKKNFRLVSGLVRFVCLKVFRQDEKEWGDMMLASLQDLYPQIEREMEEESQEDGRENLRSAKELLEPIWMYHMYETGKVSMEED >ONI02784 pep chromosome:Prunus_persica_NCBIv2:G6:22706497:22711662:-1 gene:PRUPE_6G221900 transcript:ONI02784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLRSSLRSSADEFLSSATKQTLKSSKPALKTLIHAINPSSDLSSSLPLSLCNSISQTIQSFQNLLDPNSNPNPRHSSPRSPPTKRLRRSSRRSKTRSEPERDDPDPNPDLEKQRLLSELQVLAFITQLCVSHPQNAFSPLDLLPGVQALHDNLIILESDSVLVSEIASLCEQWWKEELPGRESLISQSLPFLLSRSLTLKKKADVHRVYALREAFACFDFEDDSIEDLKQLLIRCVISPLYLKAEEGKKFLAFLFGLSSQLLKEVLAMIRSQVPFGRKSMLEAYGDVLFRAWKVLEGDSRSEIENGFLQGLIEGAIHASSGALAASIRRVLGGFINQRTTAGVEKLLFQLSEPVIFRSLQVANSNVRQNALHLILDLFPLEDPDSTKEVKDSLLHKQFFLLERLLADDCPEVRVVAVEGSCRVLHLFWEIIPLPTITKLITKIFDDMSHDVWHEVRLSTINGIMYLFGNPQCHQILNVLLPRLGHLMMDNVLSIRVAMADLLLLVRDIRNFQFHKVVKLDVLLSTLANDQPQVAQKITRLLMPSYFPSKVSVEAACNRCVTLVKRSPMAGARFCEFAVSQGASHKSLVELVRVLVTLVLLPNNLDEDRVEGLLLAGANLCNTLASEPFFKNSLKEFFDGEKLKCLFAAAATGRAQSSVFNIVSAVSPDDVTGLLDECMHQVTNCSGLSTNVERQAEVRSAHKLLLSCDGVDGMLEALTAFLQKAAYRCHIKFGNEITKLSVFSAKRKKPKSSSKISARWKNVGGKKQANFENDYSIAVGIAWQIRDLLVSVNARKSILGSQVLESLFLSLKVLSEVSIVQCMHCEYMDTSPVLAYTDLALHMSLQKDHRTESTRQSWNTHWITCLTVRTGYLEQVILEGLEIFLQNLSMLAIGRPLVVKKDTEDLRLMPPVQVMVDVSILNQRSYQTR >ONI02785 pep chromosome:Prunus_persica_NCBIv2:G6:22708011:22711417:-1 gene:PRUPE_6G221900 transcript:ONI02785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLRSSLRSSADEFLSSATKQTLKSSKPALKTLIHAINPSSDLSSSLPLSLCNSISQTIQSFQNLLDPNSNPNPRHSSPRSPPTKRLRRSSRRSKTRSEPERDDPDPNPDLEKQRLLSELQVLAFITQLCVSHPQNAFSPLDLLPGVQALHDNLIILESDSVLVSEIASLCEQWWKEELPGRESLISQSLPFLLSRSLTLKKKADVHRVYALREAFACFDFEDDSIEDLKQLLIRCVISPLYLKAEEGKKFLAFLFGLSSQLLKEVLAMIRSQVPFGRKSMLEAYGDVLFRAWKVLEGDSRSEIENGFLQGLIEGAIHASSGALAASIRRVLGGFINQRTTAGVEKLLFQLSEPVIFRSLQVANSNVRQNALHLILDLFPLEDPDSTKEVKDSLLHKQFFLLERLLADDCPEVRVVAVEGSCRVLHLFWEIIPLPTITKLITKIFDDMSHDVWHEVRLSTINGIMYLFGNPQCHQILNVLLPRLGHLMMDNVLSIRVAMADLLLLVRDIRNFQFHKVVKLDVLLSTLANDQPQVAQKITRLLMPSYFPSKVSVEAACNRCVTLVKRSPMAGARFCEFAVSQGASHKSLVELVRVLVTLVLLPNNLDEDRVEGLLLAGANLCNTLASEPFFKNSLKEFFDGEKLKCLFAAAATGRAQSSVFNIVSAVSPDDVTGLLDECMHQVTNCSGLSTNVERQAEVRSAHKLLLSCDGVDGMLEALTAFLQKAAYRCHIKFGNEITKLSVFSAKRKKPKSSSKISARWKNVGGKKQANFENDYSIAVGIAWQIRDLLVSVNARKSILGSQVLESLFLSLKVLSEVSIVQCMHCEYMDTSPVLAYTDLALHMSLQKDHRTESTRSSLETELEHALDHLLNCADRLFGAGDSGGSGNISPESKHASNRSSTRREKRHRGPQVDASSPSDGGK >ONI02786 pep chromosome:Prunus_persica_NCBIv2:G6:22707804:22711662:-1 gene:PRUPE_6G221900 transcript:ONI02786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLRSSLRSSADEFLSSATKQTLKSSKPALKTLIHAINPSSDLSSSLPLSLCNSISQTIQSFQNLLDPNSNPNPRHSSPRSPPTKRLRRSSRRSKTRSEPERDDPDPNPDLEKQRLLSELQVLAFITQLCVSHPQNAFSPLDLLPGVQALHDNLIILESDSVLVSEIASLCEQWWKEELPGRESLISQSLPFLLSRSLTLKKKADVHRVYALREAFACFDFEDDSIEDLKQLLIRCVISPLYLKAEEGKKFLAFLFGLSSQLLKEVLAMIRSQVPFGRKSMLEAYGDVLFRAWKVLEGDSRSEIENGFLQGLIEGAIHASSGALAASIRRVLGGFINQRTTAGVEKLLFQLSEPVIFRSLQVANSNVRQNALHLILDLFPLEDPDSTKEVKDSLLHKQFFLLERLLADDCPEVRVVAVEGSCRVLHLFWEIIPLPTITKLITKIFDDMSHDVWHEVRLSTINGIMYLFGNPQCHQILNVLLPRLGHLMMDNVLSIRVAMADLLLLVRDIRNFQFHKVVKLDVLLSTLANDQPQVAQKITRLLMPSYFPSKVSVEAACNRCVTLVKRSPMAGARFCEFAVSQGASHKSLVELVRVLVTLVLLPNNLDEDRVEGLLLAGANLCNTLASEPFFKNSLKEFFDGEKLKCLFAAAATGRAQSSVFNIVSAVSPDDVTGLLDECMHQVTNCSGLSTNVERQAEVRSAHKLLLSCDGVDGMLEALTAFLQKAAYRCHIKFGNEITKLSVFSAKRKKPKSSSKISARWKNVGGKKQANFENDYSIAVGIAWQIRDLLVSVNARKSILGSQVLESLFLSLKVLSEVSIVQCMHCEYMDTSPVLAYTDLALHMSLQKDHRTESTRSSLETELEHALDHLLNCADRLFGAGDSGGSGNISPESKHASNRSSTRREKRHRGPQVDASSPSDGGK >ONI02783 pep chromosome:Prunus_persica_NCBIv2:G6:22706497:22711662:-1 gene:PRUPE_6G221900 transcript:ONI02783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLRSSLRSSADEFLSSATKQTLKSSKPALKTLIHAINPSSDLSSSLPLSLCNSISQTIQSFQNLLDPNSNPNPRHSSPRSPPTKRLRRSSRRSKTRSEPERDDPDPNPDLEKQRLLSELQVLAFITQLCVSHPQNAFSPLDLLPGVQALHDNLIILESDSVLVSEIASLCEQWWKEELPGRESLISQSLPFLLSRSLTLKKKADVHRVYALREAFACFDFEDDSIEDLKQLLIRCVISPLYLKAEEGKKFLAFLFGLSSQLLKEVLAMIRSQVPFGRKSMLEAYGDVLFRAWKVLEGDSRSEIENGFLQGLIEGAIHASSGALAASIRRVLGGFINQRTTAGVEKLLFQLSEPVIFRSLQVANSNVRQNALHLILDLFPLEDPDSTKEVKDSLLHKQFFLLERLLADDCPEVRVVAVEGSCRVLHLFWEIIPLPTITKLITKIFDDMSHDVWHEVRLSTINGIMYLFGNPQCHQILNVLLPRLGHLMMDNVLSIRVAMADLLLLVRDIRNFQFHKVVKLDVLLSTLANDQPQVAQKITRLLMPSYFPSKVSVEAACNRCVTLVKRSPMAGARFCEFAVSQGASHKSLVELVRVLVTLVLLPNNLDEDRVEGLLLAGANLCNTLASEPFFKNSLKEFFDGEKLKCLFAAAATGRAQSSVFNIVSAVSPDDVTGLLDECMHQVTNCSGLSTNVERQAEVRSAHKLLLSCDGVDGMLEALTAFLQKAAYRCHIKFGNEITKLSVFSAKRKKPKSSSKISARWKNVGGKKQANFENDYSIAVGIAWQIRDLLVSVNARKSILGSQVLESLFLSLKVLSEVSIVQCMHCEYMDTSPVLAYTDLALHMSLQKDHRTESTRSSLETELEHALDHLLNCADRLFGAGDSGGSGNISPESKHASNRSSTRREKRHRGPQVDASSPSDGGCVYTEPKKLSNKVKMLTAVLRFVVDAATIGFVPQNQGRCLKFTSGYIQCVTSTLERQPREQFQFEEEDLKDMILCLKSSFTYAAKSKVLAS >ONI00543 pep chromosome:Prunus_persica_NCBIv2:G6:6478501:6480396:1 gene:PRUPE_6G093900 transcript:ONI00543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSNETMNTNYTTTSSTPLSQSPSSSPSSPPPPLPPTPTPNPPVVISPCAACKILRRRCAEKCVLAPYFPPTEPAKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEASARIRDPVYGCAGAICHLQKQVNELQAQLAKAQAELVNMQCQQANLVALICMEMTQSNDQGSPQQSLDHNFITSSHGSNQGNISFLDDTTTLGSLWEPLWT >ONI04108 pep chromosome:Prunus_persica_NCBIv2:G6:27466448:27471165:1 gene:PRUPE_6G303100 transcript:ONI04108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWWVGIVISLVFSKWANGFVEHSFNETELSFLEAYGESKVGANYLMVGLTLIPGAGAKRAVCLDGTLPGYHLHRGYGSGANSWLIQLEGGGWCNSIRNCVYRKTTRRGSSRFMEKNIAFTGILSNKAEENPDFFNWNRVKLRYCDGASFTGDGEDKARQLQFRGQRIWLSAMEDLKSKGMRYAKQTLLSGCSAGGLAAILHCDEFRGLFPRTTKVKCLSDAGLFLDAPDVSGGRTLRNLYNGVVGLQGVQHNLPRVCTNHLDPTSCFFPQNLIANIQTPLFILNAAYDSWQIQSSLAPASADPAGFWHECRLNHAKCTPSQINFLQGFRNQMLNAVKRFAMSNKNGLFINSCFAHCQTERQDTWFADDSPVIGKKAIAIAVGDWYFDRTSVKAVDCPYPCDNSCHNLVFQRAN >ONI04109 pep chromosome:Prunus_persica_NCBIv2:G6:27467449:27470332:1 gene:PRUPE_6G303100 transcript:ONI04109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWWVGIVISLVFSKWANGFVEHSFNETELSFLEAYGESKVGANYLMVGLTLIPGAGAKRAVCLDGTLPGYHLHRGYGSGANSWLIQLEGGGWCNSIRNCVYRKTTRRGSSRFMEKNIAFTGILSNKAEENPDFFNWNRVKLRYCDGASFTGDGEDKARQLQFRGQRIWLSAMEDLKSKGMRYAKQTLLSGCSAGGLAAILHCDEFRGLFPRTTKVKCLSDAGLFLDAPDVSGGRTLRNLYNGVVGLQGVQHNLPRVCTNHLDPTSCFFPQNLIANIQTPLFILNAAYDSWQIQSSLAPASADPAGFWHECRLNHAKCTPSQINFLQGDANFEELF >ONI03623 pep chromosome:Prunus_persica_NCBIv2:G6:25639363:25643024:-1 gene:PRUPE_6G269800 transcript:ONI03623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDRKERQMMLVEGGSHAGDFLSEESHNVSLDGDFSIQVLQKALEVWDLQVIPLDSPVAEPAQIDPELENAFICHLQDHWFCIRKVSGEWYNFDSLYAAPLHLSKFYLSAYLDTLKGSGWSIFLVRGNFPKECPMSSSEASNGYGQWLSPEDAERITKSCNSTNAQPQGTNWNQQSSAPFLSTEEAELLSEMEDEDLKAAIAASLMDSSPAPAPAPSPAPAQAPSPAPAQAPSPSPSPSPSPAPVPSPGLAPSPAPVPSPGLAPAPAVASPAIAHAEAGNLQNETSNSEKKNV >ONI03271 pep chromosome:Prunus_persica_NCBIv2:G6:24399987:24405400:-1 gene:PRUPE_6G247900 transcript:ONI03271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRPRRSKITSIADRSSEERFASTERAFRGGNRQVKKQKNFPKLASDSSSCSSGSTGDDSFTFELGRRSSTQVVGTPIKKLLAEEMLRETEPRRRSPSVIAKLMGLDGLPPQQPAHRQQKSISENCLQRTRLVEKEERSSMCYDRRSSRKNSKEQQEFKDVFEVFEASKVEGRSCSSRGNANSKLSDAEMAFVRQKFMDAKRLSTDERLQDSKEFHDALEVLDSNKDLLLKFLQQPDSLFAKHLHDLQGGPPSRCGHIASMKSSEAQRYENIDLGWTAVRETPRKNNCKSPQEHRDSFSSHSDSRHAGHSSLKSSINLSEVKNESSIPPTRIVVLKPNLGKMLNGTKTISSPCSSHASMLDGRKHAEFPSIRNRETESRGRKNSQDKDGHLRHKSRESREVAKEITRQMRNNFSTGSVRFSSSGLKGYAGDESSCSMSENESANESEVMSVASRHSFHLNNHSRPSSSCSTESTVSREAKKRLSERWKMTHKSQEMGVVSRGNTLAEMLAIPDKEMRAEKLNAMIGEARFRDKFSTEDAPARCGGPLGISSRDGWKDGCINSLSRSKSLPSSSSAFGSYKTSMRRETIRDDRYLIPKETVQHERNQLVKGNLDLREGARKHSRSSNKRSYSSRSLGREAIDISPETHTTQSKDKTDFEANNQSQQNISVFESSPSNAADSSSASVKLVDPDASLPSETPDTFLPESSSRMLVEGDSSSTPKENLVPQEPSIRPPVERAVPSDHPVPGIESPARTKEADQPSPVSVLEVPFTDDASSSPECFESLNADLQGLRMQLQLLKLESEPYAEGPMEISSDEEVGEESTGFSDAIGLHRDQGSWESSYLADILTESGLNSADSGTFLTTWHTPECPVSPLLFEELEKKYSDQTSWPKPERRLLFDRINSGLLEMFEQFTDPHPWVRPANKRVGPKWIHRSVLHGVLCKLLASQEENANEDNLEKVLERDSLWLDLGDDIDIIGREVENSLIDELVAEVVVM >ONI03272 pep chromosome:Prunus_persica_NCBIv2:G6:24399987:24404150:-1 gene:PRUPE_6G247900 transcript:ONI03272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFPGNRQVKKQKNFPKLASDSSSCSSGSTGDDSFTFELGRRSSTQVVGTPIKKLLAEEMLRETEPRRRSPSVIAKLMGLDGLPPQQPAHRQQKSISENCLQRTRLVEKEERSSMCYDRRSSRKNSKEQQEFKDVFEVFEASKVEGRSCSSRGNANSKLSDAEMAFVRQKFMDAKRLSTDERLQDSKEFHDALEVLDSNKDLLLKFLQQPDSLFAKHLHDLQGGPPSRCGHIASMKSSEAQRYENIDLGWTAVRETPRKNNCKSPQEHRDSFSSHSDSRHAGHSSLKSSINLSEVKNESSIPPTRIVVLKPNLGKMLNGTKTISSPCSSHASMLDGRKHAEFPSIRNRETESRGRKNSQDKDGHLRHKSRESREVAKEITRQMRNNFSTGSVRFSSSGLKGYAGDESSCSMSENESANESEVMSVASRHSFHLNNHSRPSSSCSTESTVSREAKKRLSERWKMTHKSQEMGVVSRGNTLAEMLAIPDKEMRAEKLNAMIGEARFRDKFSTEDAPARCGGPLGISSRDGWKDGCINSLSRSKSLPSSSSAFGSYKTSMRRETIRDDRYLIPKETVQHERNQLVKGNLDLREGARKHSRSSNKRSYSSRSLGREAIDISPETHTTQSKDKTDFEANNQSQQNISVFESSPSNAADSSSASVKLVDPDASLPSETPDTFLPESSSRMLVEGDSSSTPKENLVPQEPSIRPPVERAVPSDHPVPGIESPARTKEADQPSPVSVLEVPFTDDASSSPECFESLNADLQGLRMQLQLLKLESEPYAEGPMEISSDEEVGEESTGFSDAIGLHRDQGSWESSYLADILTESGLNSADSGTFLTTWHTPECPVSPLLFEELEKKYSDQTSWPKPERRLLFDRINSGLLEMFEQFTDPHPWVRPANKRVGPKWIHRSVLHGVLCKLLASQEENANEDNLEKVLERDSLWLDLGDDIDIIGREVENSLIDELVAEVVVM >ONH98947 pep chromosome:Prunus_persica_NCBIv2:G6:245058:251827:-1 gene:PRUPE_6G001700 transcript:ONH98947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKMRFIAVVLCVLCLLSESIVRSASSSPKNQFLGISPEDEKYYKSSEVIKCKDGSKKFSRAQLNDDFCDCPDGTDEPGTSACPGGKFYCRNVGHTPLLVFSSRVNDGICDCCDGSDEYDGKVKCPNTCWEAGKVARDKLKKKIATYHEGVSVRKHEVEQARVAMAKDEAELSKLQNEEKILKRLVEQLKERKEQIEKAEEKERLQKEKEEQERKEAEEKANPEKTKVEEEIKHGRSEVVENSDGEHKPTESTYEDKIGILEDSHSYQDTAEKHDDLAAKDDHIDTPEHKGSLVEGVEQHAVKQKEESVAISETDSGSGSKVPPDEGKDASEKTESLSREELGRLVASRWTGEDASKEGGDVDNAKDNDHEDHEEAPKDTHEGEYDGYASETDDDNQRYDDEDVDDDFGEVDQGDSDSSYKYESDTDSDFSEAASVRKEYDESSAKLSKIHSRISSLTQKLKHDFGPNKEFYSFYDRCFESKQNKYVYKVCPYKQASQVEGHSTTRLGSWEKFEDSYKVMVFANGDKCWNGPDRSLKVRLKCGLKNEVADVDEPSRCEYVALLSTPALCLEEKLQELQHKLELLNKEQPQGHDEL >ONH98948 pep chromosome:Prunus_persica_NCBIv2:G6:245059:251827:-1 gene:PRUPE_6G001700 transcript:ONH98948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKMRFIAVVLCVLCLLSESIVRSASSSPKNQFLGISPEDEKYYKSSEVIKCKDGSKKFSRAQLNDDFCDCPDGTDEPGTSACPGGKFYCRNVGHTPLLVFSSRVNDGICDCCDGSDEYDGKVKCPNTCWEAGKVARDKLKKKIATYHEGVSVRKHEVEQARVAMAKDEAELSKLQNEEKILKRLVEQLKERKEQIEKAEEKERLQKEKEEQERKEAEEKANPEKTKVEEEIKHGRSEVVENSDGEHKPTESTYEDKIGILEDSHSYQDTAEKHDDLAAKDDHIDTPEHKGSLVEGVEQHAVKQKEESVAISETDSGSGSKVPPDEGKDASEKTESLSREELGRLVASRWTGEDASKEGGDVDNAKDNDHEDHEEAPKDTHEGEYDGYASETDDDNQRYDDEDVDDDFGEVDQGDSDSSYKYESDTDSDFSDVTTSTSLLEKIQKTVLNLLQAVNVFQTPVNRSEAASVRKEYDESSAKLSKIHSRISSLTQKLKHDFGPNKEFYSFYDRCFESKQNKYVYKVCPYKQASQVEGHSTTRLGSWEKFEDSYKVMVFANGDKCWNGPDRSLKVRLKCGLKNEVADVDEPSRCEYVALLSTPALCLEEKLQELQHKLELLNKEQPQGHDEL >ONI02481 pep chromosome:Prunus_persica_NCBIv2:G6:20918337:20921325:-1 gene:PRUPE_6G201300 transcript:ONI02481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALSALRRLYLSLYNWTVFLGWLQVLYLALKTLNELGHQHVYKAVERPLLLAQSAAVLEILHGLVGLVRSPISATLPQIGSRLYLTWGVLWSFPETQSHILVSSLVISWSITEIIRYSFFGMKEALGFAPSWLLWLRYSTFILLYPTGITSEVGLIYIALQYIQKSEKYSVRMPNKWNFSFDYFYAAIIALGIYVPGSPHMYRYMLSQRKKALSKTKAA >ONI02480 pep chromosome:Prunus_persica_NCBIv2:G6:20918475:20921052:-1 gene:PRUPE_6G201300 transcript:ONI02480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALSALRRLYLSLYNWTVFLGWLQVLYLALKTLNELGHQHVYKAVERPLLLAQSAAVLEILHGLVGLVRSPISATLPQIGSRLYLTWGVLWSFPETQSHILVSSLVISWSITEIIRYSFFGMKEALGFAPSWLLWLRYSTFILLYPTGITSEVGLIYIALQYIQSEKYSVRMPNKWNFSFDYFYAAIIALGIYVPGIPHMYRYMLSQRKKALSKTKAA >ONI02479 pep chromosome:Prunus_persica_NCBIv2:G6:20918371:20921274:-1 gene:PRUPE_6G201300 transcript:ONI02479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALSALRRLYLSLYNWTVFLGWLQVLYLALKTLNELGHQHVYKAVERPLLLAQSAAVLEILHGLVGLVRSPISATLPQIGSRLYLTWGVLWSFPETQSHILVSSLVISWSITEIIRYSFFGMKEALGFAPSWLLWLRYSTFILLYPTGITSEVGLIYIALQYIQSEKYSVRMPNKWNFSFDYFYAAIIALGIYVPGSPHMYRYMLSQRKKALSKTKAA >ONI02718 pep chromosome:Prunus_persica_NCBIv2:G6:22454316:22456930:-1 gene:PRUPE_6G217700 transcript:ONI02718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQLAKKGFDYARKRKKWLFVAAALGFSGYGVYRVYNLPSVSQKRKRISKLLGALVSIAEVVSDSAESIGVVSKDLRDFLKSDSDKIPNSLKQVSKITRSGEFSESVVKLTQAVTLGVLLGYRSEARNDGDNGISSNSSFTDQVMDKLFTNAGSGFVSVVVGSFARNLVLAFYSDEKSGGGSNSNNSPSMDHFVSETNSVPTWVDVVCDKKCRDLIGDCIQLFVSTAVAVYLDKTVNINTYDDIFSGLTNPKHETKVRDMLSSVCNGAVETLIRTSHQVLANSTSKANPSNLNSSSYLAIDESRTPARDEGFSEKALSMHSKATNVDDETKDSGWVGKVSSTLAVPSNRRFVLDVTGRVASETVRSFLEFSSEKLFDGMKGYANSVREETVDKGFGIVRYANSVREETVDKGFGIVRYAVGKSSVIATVWLSLCLHIMDSPWALVPA >ONI00632 pep chromosome:Prunus_persica_NCBIv2:G6:6917822:6922294:1 gene:PRUPE_6G099500 transcript:ONI00632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNGYNNNNNRNGLNSMSPTSSSTTTTTQTTSSSSSSSAATTNSQTQSLIKAYFKTPEGRYKLHSEKTRPPNLLPYSYAKSISQVTVAQLKDKPSQPALTPSFSTTGVRSAAARLLGGNGGKALSFVGGGGYSKTSNGSSRSSHFGGSNGTHYTNSSSHGGKGFFLVFNVGDTVYISDLNSPDKDPIKSLHFSNSNPVCHAFDSEAKDGHDLLIGLSSGDIYSVSLRQQLQDSGKKLVGAQHYNKEGSVSCSRCTSIAWVPKGNGVFVAAHADGNLYVYEKSKDSAAESSFPVIKDHTQFSVSHSRSSKNNPISRWHICQGSVNSIAYSPDGTYLATVGRDGYLRVFDYSKEQLIFGGKSYYGALLCCAWSPDEKYILTGGEEDLVTVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSPPSSDDTEENIVYRFGSVGQDTKLLLWDLPMDEIVVPLRRSSLGGSPTFSTGSQSSHWDSTCPVGTLQPAPSMRDVPKLSPLVAHCVHSEPLSGLVFTEEAILTASWEGHVKIWKRPGEPESKSNSESLVGASSRDQSLMGKGYTESSKSKPLSESKSNNSKTLLGASSKDQQSLTSKGGVFNFKEMSKSSRLGYLP >ONI00633 pep chromosome:Prunus_persica_NCBIv2:G6:6917878:6922246:1 gene:PRUPE_6G099500 transcript:ONI00633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNGYNNNNNRNGLNSMSPTSSSTTTTTQTTSSSSSSSAATTNSQTQSLIKAYFKTPEGRYKLHSEKTRPPNLLPYSYAKSISQVTVAQLKDKPSQPALTPSFSTTGVRSAAARLLGGNGGKALSFVGGGGYSKTSNGSSRSSHFGGSNGTHYTNSSSHGGKGFFLVFNVGDTVYISDLNSPDKDPIKSLHFSNSNPVCHAFDSEAKDGHDLLIGLSSGDIYSVSLRQQLQDSGKKLVGAQHYNKEGSVSCSRCTSIAWVPKGNGVFVAAHADGNLYVYEKNNPISRWHICQGSVNSIAYSPDGTYLATVGRDGYLRVFDYSKEQLIFGGKSYYGALLCCAWSPDEKYILTGGEEDLVTVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSPPSSDDTEENIVYRFGSVGQDTKLLLWDLPMDEIVVPLRRSSLGGSPTFSTGSQSSHWDSTCPVGTLQPAPSMRDVPKLSPLVAHCVHSEPLSGLVFTEEAILTASWEGHVKIWKRPGEPESKSNSESLVGASSRDQSLMGKGYTESSKSKPLSESKSNNSKTLLGASSKDQQSLTSKGGVFNFKEMSKSSRLGYLP >ONI00631 pep chromosome:Prunus_persica_NCBIv2:G6:6917895:6922241:1 gene:PRUPE_6G099500 transcript:ONI00631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNGYNNNNNRNGLNSMSPTSSSTTTTTQTTSSSSSSSAATTNSQTQSLIKAYFKTPEGRYKLHSEKTRPPNLLPYSYAKSISQVTVAQLKDKPSQPALTPSFSTTGVRSAAARLLGGNGGKALSFVGGGGYSKTSNGSSRSSHFGGSNGTHYTNSSSHGGKGFFLVFNVGDTVYISDLNSPDKDPIKSLHFSNSNPVCHAFDSEAKDGHDLLIGLSSGDIYSVSLRQQLQDSGKKLVGAQHYNKEGSVSCSSRCTSIAWVPKGNGVFVAAHADGNLYVYEKSKDSAAESSFPVIKDHTQFSVSHSRSSKNNPISRWHICQGSVNSIAYSPDGTYLATVGRDGYLRVFDYSKEQLIFGGKSYYGALLCCAWSPDEKYILTGGEEDLVTVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSPPSSDDTEENIVYRFGSVGQDTKLLLWDLPMDEIVVPLRRSSLGGSPTFSTGSQSSHWDSTCPVGTLQPAPSMRDVPKLSPLVAHCVHSEPLSGLVFTEEAILTASWEGHVKIWKRPGEPESKSNSESLVGASSRDQSLMGKGYTESSKSKPLSESKSNNSKTLLGASSKDQQSLTSKGGVFNFKEMSKSSRLGYLP >ONI03764 pep chromosome:Prunus_persica_NCBIv2:G6:26240851:26244676:1 gene:PRUPE_6G280800 transcript:ONI03764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKIGALDVCKPASNEVGSLPNGTAMAIQNSVPSTVINSSEATLGRHLARRLVQIGVTDVFTVPGDFNLTLLDHLIAEPGLTNIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTSRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHESIDTAISTALKESKPVYISISCNLAGIPHPTFIREPVPFSLSPRLSNQWGLEAAVEAAAEFLNKAVKPVMVGGPKLRVAHAGDAFVELADASGYALAVMPSAKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVTIANGPSFGCVLMKDFLRALAKKLNHNNTAHENYRRIFVPDGHPLKCAPREPLRVNVLFQHVQKMLSSETAVIAETGDSWFNCQKLRLPAGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVLAFIGDGSFQVTAQDVSTMIRNGQRTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTTKVRYEEELIEAIETATGDKKDSLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ONI03765 pep chromosome:Prunus_persica_NCBIv2:G6:26241246:26243097:1 gene:PRUPE_6G280800 transcript:ONI03765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKIGALDVCKPASNEVGSLPNGTAMAIQNSVPSTVINSSEATLGRHLARRLVQIGVTDVFTVPGDFNLTLLDHLIAEPGLTNIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTSRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHESIDTAISTALKESKPVYISISCNLAGIPHPTFIREPVPFSLSPRLSNQWGLEAAVEAAAEFLNKAVKPVMVGGPKLRVAHAGDAFVELADASGYALAVMPSAKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVTIANGPSFGCVLMKDFLRALAKKLNHNNTAHENYRRIFVPDGHPLKCAPREPLRVNVLFQHVQKMLSSETAVIAETGDSWFNCQKLRLPAGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVLAFIGDGSFQVHLIPFLILIKAKHIST >ONH99773 pep chromosome:Prunus_persica_NCBIv2:G6:3535925:3536401:-1 gene:PRUPE_6G049900 transcript:ONH99773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSNVLHVVAVPYPGRGHINPIINLCKLLASRKNDIQITVVVTEEWLGFIGSDPKPNNISFRTIPNVLPSELVRGANYPAFYEAVMTKMEAPFEKLLDQIHPPVTAIIADIELLWAVPAGRWRNIPVASLSTLSASVFGLLFRNRELNLLGNSNTF >ONH99775 pep chromosome:Prunus_persica_NCBIv2:G6:3539730:3542159:-1 gene:PRUPE_6G050100 transcript:ONH99775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKLEPILVCHVVALPYPGRGHINPMMNLCKSLSSKKPDNTLVITFVVTEEWHGFIESDSKPDNIRFATLPNVIPSELVRANDFPAFFEAVNTALEAPFERLLDGLESEAPPVSAIVADSFVDWAVRVGNRRNIPVASLWTMSASVFTVLYSFELLKQKGHFPADLSERGDEIIDYIPGINTTSIADLPTVFFGDNHQKVLHRAIETVAQVVEKAQYLLFTSVLELEPQVFDTFKTKFALPVYPIGPTIPHFELSKSLRINHNDGLGYLNWLDSQPKQSVLYISLGSFLSVSKAQMDEIVAGVQNSGVRFLWVARGDASKLKDGVGDKGLVVPWCDQLRVLCHDSIGGFWSHCGWNSILEAVYAGLPILTCPIFWDQVPNAKQIVEDWKIGYRVLKKKVGGAEHEHLVTREEIAELVRRFMDLEIKEGKEMRERARQLQETCHRAIAEGGSSDTNLDAFIKDISQGGHH >ONH99962 pep chromosome:Prunus_persica_NCBIv2:G6:4162527:4164501:1 gene:PRUPE_6G059900 transcript:ONH99962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPSPRNLARWEELEEEKPDPARQEEWSYGVGALSLCTKLSELHTKAPEHSFAYTKKTIELAFGRKLPEIFDNFEEKPVKPIVVAVKLRHPGVCESIRRDFVIINLVAKISNFIPALKWWRLDESVQQVAVFIMSQVDLAREAAHLCILLFWWKLINKFCLVSFSLTVLEIRCIYLFGCSLLNKLQQVEEASAFWGTPEGDLVHPAECMQQLLEKVRRHRVNVDGNVCTVMVTTSGWQRKLDPGYNVMQTLLLKAD >ONI00050 pep chromosome:Prunus_persica_NCBIv2:G6:4505356:4508586:1 gene:PRUPE_6G065100 transcript:ONI00050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAEATHYRHSVSGGSDVSASDDSDDQSWHSMLESTAGGGGGPKSQLSGVSVSGNVQRGSCDSNCSLELDLESGVLELKVVHLSKVERNCRICHLGLEGGGPDGIPIDLGCSCKGDLGAAHKQCAETWFKIKGDTTCEICGSTAFNIATEQTNEANGTTTTLPSVPAAPMILVETRTMWHGRRIMNFLLACMIFAFVISWLFHFKVL >ONI00629 pep chromosome:Prunus_persica_NCBIv2:G6:6910078:6910941:-1 gene:PRUPE_6G099300 transcript:ONI00629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLQNLPLFSLTLTLIFLSHCTKIISQAPAAAPAAPAAPATPIAPAAPIAPAAPAITGPAVPAPPADAPAPAGPTNITKILEKAGGFNVLIRLLKSTQVDNQLYSQLNNSNSQLTVLAPTDSAFSKLSTGSLNSLSDEQKVQLLQFHLIPDFLTIQNFQTLSNPVRTQAGDGFEYPLNITTSGRAVNISSGLVNTSISGTVYSDSQIAIYQLDSVLQPYGVFAPKHHPPSPAPSPVQEKPKKTSSSDDSPVAELTKSGAVPTLIPKFNGIVSIAVAMVAAAALSFC >ONI01765 pep chromosome:Prunus_persica_NCBIv2:G6:13978709:13979767:-1 gene:PRUPE_6G157200 transcript:ONI01765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLWWICNLYWHAGSDLQLFDDMEVGYHMPISDKGGGLTPRPSILTARTYTWKSAVLPPVFNFSIPGNPFDCVVSFDSEIFYLITC >ONI01762 pep chromosome:Prunus_persica_NCBIv2:G6:13972977:13979248:-1 gene:PRUPE_6G157200 transcript:ONI01762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLWWICNLYWHAGSDLQLFDDMEVGYHMPISDKGGGLTPRPSILTARTYTWKSAVLPPVFNFSIPGE >ONI01761 pep chromosome:Prunus_persica_NCBIv2:G6:13972977:13979775:-1 gene:PRUPE_6G157200 transcript:ONI01761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLWWICNLYWHAGSDLQLFDDMEVGYHMPISDKGGGLTPRPSILTARTYTWKSAVLPPVFNFSIPGE >ONI01760 pep chromosome:Prunus_persica_NCBIv2:G6:13972977:13979832:-1 gene:PRUPE_6G157200 transcript:ONI01760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLWWICNLYWHAGSDLQLFDDMEVGYHMPISDKGGGLTPRPSILTARTYTWKSAVLPPVFNFSIPGE >ONH99205 pep chromosome:Prunus_persica_NCBIv2:G6:1307835:1313850:1 gene:PRUPE_6G017900 transcript:ONH99205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYELSDSSGTDDDLPPSHQNRFQRGGRSSGNGRSAAVGSASLPRMHGDMETQIHHIEQEAYCSVLRAFKAQSDAITWDKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTSGLQPGTLTAAQPVHDPLPSPTVSASRKKQKTSQSVASLSLGAPSPALPPSMQPSSSALRRGPPPGGRTKKPKSSSQYPSTSITGRPQAANRGSSGAFVASEPAEGATYDPLIGRKISPEDIRWEGDDPGISRRGGRPGPGPGPGRGIKKSTARGGAVSGAGRGRGAAKGQSKKDLPPQQNGIGRKAMSDIEILHTDSLIKEVEKVFGASHPDPMEIEKAKKVLKEHEQALVDAIARLEDASDGESVNVSMRQHSCARSY >ONH99204 pep chromosome:Prunus_persica_NCBIv2:G6:1307835:1314212:1 gene:PRUPE_6G017900 transcript:ONH99204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYELSDSSGTDDDLPPSHQNRFQRGGRSSGNGRSAAVGSASLPRMHGDMETQIHHIEQEAYCSVLRAFKAQSDAITWDKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTSGLQPGTLTAAQPVHDPLPSPTVSASRKKQKTSQSVASLSLGAPSPALPPSMQPSSSALRRGPPPGGRTKKPKSSSQYPSTSITGRPQAANRGSSGAFVASEPAEGATYDPLIGRKISPEDIRWEGDDPGISRRGGRPGPGPGPGRGIKKSTARGGAVSGAGRGRGAAKGQSKKDLPPQQNGIGRKAMSDIEILHTDSLIKEVEKVFGASHPDPMEIEKAKKVLKEHEQALVDAIARLEDASDGESDEHTFSQRQPIDQDRGWRKRQYDEMAEGRAVDGANGNKMTREGRIASDDQRFEGDDI >ONH99206 pep chromosome:Prunus_persica_NCBIv2:G6:1307835:1313599:1 gene:PRUPE_6G017900 transcript:ONH99206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYELSDSSGTDDDLPPSHQNRFQRGGRSSGNGRSAAVGSASLPRMHGDMETQIHHIEQEAYCSVLRAFKAQSDAITWDKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTSGLQPGTLTAAQPVHDPLPSPTVSASRKKQKTSQSVASLSLGAPSPALPPSMQPSSSALRRGPPPGGRTKKPKSSSQYPSTSITGRPQAANRGSSGAFVASEPAEGATYDPLIGRKISPEDIRWEGDDPGISRRGGRPGPGPGPGRGIKKSTARGGAVSGAGRGRGAAKGQSKKDLPPQQNGIGRKAMSDIEILHTDSLIKEVEKVFGASHPDPMEIEKAKKVLKEHEQALVDAIARLEDASDGESGNN >ONI01106 pep chromosome:Prunus_persica_NCBIv2:G6:9083039:9085430:1 gene:PRUPE_6G122000 transcript:ONI01106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKTCKLGDKINLLVYKLHSDYCLQIIIMENENKKRLAVLVGCNYPNTRNELHGCINDVVAMRGTLVNRFGFDPGNIQLLTDAAAAGSSSSVMPTGANIKKALGAMVDQAKPGDVLYFHYSGHGTRIPSLKPGNPFRQDEAIVPCDFNLITDVDFRQLVNRLPKGASFTILSDSCHSGGLIDKEKEQIGPSHVTEISNTSPSVSSKPKGIPFESILHHLASLTGINTSDIATHLLELFAADASLKFRLPPFELLNMFESLNPDEGILLSGCQANETSADMTNPVMTRGKACGAFSNAVQMLLKEHEADLSNRQVVMLARQVLREQGFEQHPCLYCNDENADATFLCESHISSSL >ONI04909 pep chromosome:Prunus_persica_NCBIv2:G6:29734602:29738912:1 gene:PRUPE_6G347600 transcript:ONI04909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNENQLSSYFQHPTTTTGTGTAATVTATNTASPTNGLLPNTHSTDGSHMVYSHSVPSSAVTSPLEPAKRKRGRPRKYGTPEQALAAKKAATTSSHSSSSKEKKDHHGSASPSYSGSTKKSQQFSLGNAGQGFTPHVLTVAAGEDVGQKIMFFMQQSKREICILSASGTISNASLRQPATSGGNITYEGRFEIISLSGSYVRTDLGGRAGGLSVCLSSTDGQIIGGGVGGPLKAAGPVQVIVGTFMVDAKKDMLEALVLMN >ONI04908 pep chromosome:Prunus_persica_NCBIv2:G6:29734568:29739564:1 gene:PRUPE_6G347600 transcript:ONI04908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNENQLSSYFQHPTTTTGTGTAATVTATNTASPTNGLLPNTHSTDGSHMVYSHSVPSSAVTSPLEPAKRKRGRPRKYGTPEQALAAKKAATTSSHSSSSKEKKDHHGSASPSYSGSTKKSQQFSLGNAGQGFTPHVLTVAAGEDVGQKIMFFMQQSKREICILSASGTISNASLRQPATSGGNITYEGRFEIISLSGSYVRTDLGGRAGGLSVCLSSTDGQIIGGGVGGPLKAAGPVQVIVGTFMVDAKKDVTAGVKGDASATKLPTAGEMMNVSFRSAVDSSGRTLVRGNDDQQAIGGSHFMIQGMHVAPSRPTDWRGGPDARGTGAYELTGRAGRAAHQSPENGDYDQIPD >ONI01861 pep chromosome:Prunus_persica_NCBIv2:G6:15581403:15586265:1 gene:PRUPE_6G163300 transcript:ONI01861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAFNLALINPSTFILPKSPNPTKLRVRTLRCSSATANAVPGLSEGPWKTSDARLVLEDGSIWRAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESNQCFLGGLVIRSISISTSNWRCAETLGDYLAERNIIGIYDVDTRAITRRLRQDGSLVGVLSTEESKTDEELLEMSRSWDIVGVDLISGVSCKEPYEWVDKTNSEWEFNYGGRDGKAFHVVAYDFGIKHNILRRLASHGCKITVVPSTWPASEILKRKPDGVLFSNGPGDPSAVPYAVETVKEILGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRSGHVEISAQNHNYAVDPASLPEGVEVTHVNLNDGSCAGLAYPAQNIMSLQYHPEASPGPRDSDYAFGEFIKLMKKVKVNA >ONI01862 pep chromosome:Prunus_persica_NCBIv2:G6:15583080:15586265:1 gene:PRUPE_6G163300 transcript:ONI01862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPHIGNTGVNFDDEESNQCFLGGLVIRSISISTSNWRCAETLGDYLAERNIIGIYDVDTRAITRRLRQDGSLVGVLSTEESKTDEELLEMSRSWDIVGVDLISGVSCKEPYEWVDKTNSEWEFNYGGRDGKAFHVVAYDFGIKHNILRRLASHGCKITVVPSTWPASEILKRKPDGVLFSNGPGDPSAVPYAVETVKEILGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRSGHVEISAQNHNYAVDPASLPEGVEVTHVNLNDGSCAGLAYPAQNIMSLQYHPEASPGPRDSDYAFGEFIKLMKKVKVNA >ONI04324 pep chromosome:Prunus_persica_NCBIv2:G6:28142553:28146968:1 gene:PRUPE_6G315900 transcript:ONI04324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARAEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQPPQLSSNLHSSGLEKKLEHLPSMVAGVWSDESSLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLGNGALIPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIESGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQVIIQHQALPCLLTLLTNNYKKSIKKEACWTISNITAGNKDQIKAVIDAGIIGPLVSLLQGAEFDIKKEAAWAISNATSGGSHEQIKILVDQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAEKNLGTTGGVNLYAQAIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWVEDEDETMPPGDASQTGFNFGGNGMPTVPSGGFNFS >ONI04325 pep chromosome:Prunus_persica_NCBIv2:G6:28142528:28147000:1 gene:PRUPE_6G315900 transcript:ONI04325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARAEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQPPQLSSNLHSSGLEKKLEHLPSMVAGVWSDESSLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPRCRDLVLGNGALIPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIESGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQVIIQHQALPCLLTLLTNNYKKSIKKEACWTISNITAGNKDQIKAVIDAGIIGPLVSLLQGAEFDIKKEAAWAISNATSGGSHEQIKILVDQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAEKNLGTTGGVNLYAQAIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWVEDEDETMPPGDASQTGFNFGGNGMPTVPSGGFNFS >ONI04326 pep chromosome:Prunus_persica_NCBIv2:G6:28142553:28146968:1 gene:PRUPE_6G315900 transcript:ONI04326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPNARAEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQPPQLSSNLHSSGLEKKAVWALGNVAGDSPRCRDLVLGNGALIPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIESGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQVIIQHQALPCLLTLLTNNYKKSIKKEACWTISNITAGNKDQIKAVIDAGIIGPLVSLLQGAEFDIKKEAAWAISNATSGGSHEQIKILVDQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAEKNLGTTGGVNLYAQAIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWVEDEDETMPPGDASQTGFNFGGNGMPTVPSGGFNFS >ONI03616 pep chromosome:Prunus_persica_NCBIv2:G6:25614310:25616555:1 gene:PRUPE_6G269300 transcript:ONI03616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQPTTAPIPWTTIGMVVAWYGSNIGVLLLNKYLLTNYGFKYPIFLTLCHMTACTLFSYIAIALMKVVPLQTVKSKVQFAKISGLSVVFCFSVVCGNVSLRYLPVSFNQAIGATTPFFTAVFAFFIIKKREAWLTYTTLIPVVTGVIIASGGEPSFHLFGFIMCITATAARAFKSVLQEILLSSEGERLNSMNLLLYMAPIAVTCLLPATLIMEENVVGITIDLARKDVKLIWCWGMPKGQLLWLSRF >ONI03615 pep chromosome:Prunus_persica_NCBIv2:G6:25614310:25616555:1 gene:PRUPE_6G269300 transcript:ONI03615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQPTTAPIPWTTIGMVVAWYGSNIGVLLLNKYLLTNYGFKYPIFLTLCHMTACTLFSYIAIALMKVVPLQTVKSKVQFAKISGLSVVFCFSVVCGNVSLRYLPVSFNQAIGATTPFFTAVFAFFIIKKREAWLTYTTLIPVVTGVIIASGGEPSFHLFGFIMCITATAARAFKSVLQEILLSSEGERLNSMNLLLYMAPIAVTCLLPATLIMEENVVGITIDLARKDVKLIWYLFFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILMFKNPVSLMGMVGYALTVLGVILYSEAKKRYS >ONI03006 pep chromosome:Prunus_persica_NCBIv2:G6:23500977:23506560:1 gene:PRUPE_6G232800 transcript:ONI03006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLVNLLQLQTTLFFSNSPTCSSIALKPHTFEYLKANVLTSKCKAFGGGEMDALPHLQTLRKFPREELVAKVVLVRFDSTLLLREQGEEQRFQPNALFTIKYLHQSGAKVVLVSDWSVKTNPRLFVAQSVAEFLSSLLEYKVVPVQCISQNVVSKREGFEKGDILLLENLSAFRGEVANCSKFSQALSSGVDIFVNDYFSRSHKILASTCGVTRFCYANLAGFHFEESLSQLRRATESNTKPHVAIIGGGNLFDKAAALHSLTSRCDGLVFVGMMSFQIMHALGLPVPLNLVEHGVLKEALDIVQVAHIRNVQILYPKDFWCKNDHLPKQLEIFRAHRILDGWVPVDIGPASFVEMKFMLSRCKKVTWIGPVKFRTSNCTKGDSELAQMLNQLSQSNCNITVVGNKACEAMVKESNFTFNFTMIKDASVVWEFLKGRKLPGVMALDRAYPFDIDWSDAYSDPAQPLVVDIGSGNGMFLLGMAKTRKYLNFLGLEINKKLFSACKTLPGLCSLAWDKKWVLHRNKCYFNIPIHYF >ONI03004 pep chromosome:Prunus_persica_NCBIv2:G6:23500977:23504734:1 gene:PRUPE_6G232800 transcript:ONI03004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLVNLLQLQTTLFFSNSPTCSSIALKPHTFEYLKANVLTSKCKAFGGGEMDALPHLQTLRKFPREELVAKVVLVRFDSTLLLREQGEEQRFQPNALFTIKYLHQSGAKVVLVSDWSVKTNPRLFVAQSVAEFLSSLLEYKVVPVQCISQNVVSKREGFEKGDILLLENLSAFRGEVANCSKFSQALSSGVDIFVNDYFSRSHKILASTCGVTRFCYANLAGFHFEESLSQLRRATESNTKPHVAIIGGGNLFDKAAALHSLTSRCDGLVFVGMMSFQIMHALGLPVPLNLVEHGVLKEALDIVQVAHIRNVQILYPKDFWCKNDHLPKQLEIFRAHRILDGWVPVDIGPASFVEMKFMLSRCKKVTWIGPVKFRTSNCTKGDSELAQMLNQLSQSNCNITVVGNKACEAMVKESNFTFNFTMIKDASVVWEFLKGRKLPGVMALDRAYPFDIDWSDAYSDPAQPLVVDIGSGNGMFLLGMAKTRKYLNFLGLEINKKLVKRCLDSVHWRGIRNGYFIATNATSTFRSIISSYPGKLVLVSIQVGIATLCLFST >ONI03005 pep chromosome:Prunus_persica_NCBIv2:G6:23501073:23504658:1 gene:PRUPE_6G232800 transcript:ONI03005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLVNLLQLQTTLFFSNSPTCSSIALKPHTFEYLKANVLTSKCKAFGGGEMDALPHLQTLRKFPREELVAKVVLVRFDSTLLLREQGEEQRFQPNALFTIKYLHQSGAKVVLVSDWSVKTNPRLFVAQSVAEFLSSLLEYKVVPVQCISQNVVSKREGFEKGDILLLENLSAFRGEVANCSKFSQALSSGVDIFVNDYFSRSHKILASTCGVTRFCYANLAGFHFEESLSQLRRATESNTKPHVAIIGGGNLFDKAAALHSLTSRCDGLVFVGMMSFQIMHALGLPVPLNLVEHGVLKEALDIVQVAHIRNVQILYPKDFWCKNDHLPKQLEIFRAHRILDGWVPVDIGPASFVEMKFMLSRCKKVTWIGPVKFRTSNCTKGDSELAQMLNQLSQSNCNITVVGNKACEAMVKESNFTFNFTMIKDASVVWEFLKGRKLPGVMALDRAYPFDIDWSDAYSDPAQPLVVDIGSGNGMFLLGMAKTRKYLNFLGLEINKKVSRMWKFCILFAYSYPLLLTVLQLFSACKTLPGLCSLAWDKKWVLHRNKCYFNIPIHYF >ONI03003 pep chromosome:Prunus_persica_NCBIv2:G6:23500958:23506560:1 gene:PRUPE_6G232800 transcript:ONI03003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLVNLLQLQTTLFFSNSPTCSSIALKPHTFEYLKANVLTSKCKAFGGGEMDALPHLQTLRKFPREELVAKVVLVRFDSTLLLREQGEEQRFQPNALFTIKYLHQSGAKVVLVSDWSVKTNPRLFVAQSVAEFLSSLLEYKVVPVQCISQNVVSKREGFEKGDILLLENLSAFRGEVANCSKFSQALSSGVDIFVNDYFSRSHKILASTCGVTRFCYANLAGFHFEESLSQLRRATESNTKPHVAIIGGGNLFDKAAALHSLTSRCDGLVFVGMMSFQIMHALGLPVPLNLVEHGVLKEALDIVQVAHIRNVQILYPKDFWCKNDHLPKQLEIFRAHRILDGWVPVDIGPASFVEMKFMLSRCKKVTWIGPVKFRTSNCTKGDSELAQMLNQLSQSNCNITVVGNKACEAMVKESNFTFNFTMIKDASVVWEFLKGRKLPGVMALDRAYPFDIDWSDAYSDPAQPLVVDIGSGNGMFLLGMAKTRKYLNFLGLEINKKLVKRCLDSVHWRGIRNGYFIATNATSTFRSIISSYPGKLVLVSIQCPNPDFNEPDHRWSMLQRSLVEAVADLLTANGRVFLQSDIEAVSLRMKEQFQRYGKGKLTVVHEQSFAITNSGWLKDNPFGVRSDWEQHVLARGDPMYRLLLCKSTTTE >ONI03007 pep chromosome:Prunus_persica_NCBIv2:G6:23500977:23506560:1 gene:PRUPE_6G232800 transcript:ONI03007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLVNLLQLQTTLFFSNSPTCSSIALKPHTFEYLKANVLTSKCKAFGGGEMDALPHLQTLRKFPREELVAKVVLVRFDSTLLLREQGEEQRFQPNALFTIKYLHQSGAKVVLVSDWSVKTNPRLFVAQSVAEFLSSLLEYKVVPVQCISQNVVSKREGFEKGDILLLENLSAFRGEVANCSKFSQALSSGVDIFVNDYFSRSHKILASTCGVTRFCYANLAGFHFEESLSQLRRATESNTKPHVAIIGGGNLFDKAAALHSLTSRCDGLVFVGMMSFQIMHALGLPVPLNLVEHGVLKEALDIVQVAHIRNVQILYPKDFWCKNDHLPKQLEIFRAHRILDGWVPVDIGPASFVEMKFMLSRCKKVTWIGPVKFRTSNCTKGDSELAQMLNQLSQSNCNITVVGNKACEAMVKESNFTFNFTMIKDASVVWEFLKGRKLPGVMALDRAYPFDIDWSDAYSDPAQPLVVDIGSACKTLPGLCSLAWDKKWVLHRNKCYFNIPIHYF >ONH99923 pep chromosome:Prunus_persica_NCBIv2:G6:4055160:4059322:-1 gene:PRUPE_6G058000 transcript:ONH99923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQTRRMAFPKVVIERETDSEQSSSEEDEADVEQEEEEVLESENEGKVEQALDEKKKGKAPITISLKKVCKVCKKPGHEAGFKGATYIDCPMKPCFLCKMPGHTTVACPHRVATEYGVVPAPHKSTRNALEYMFERQIRPRVPPIKPAFVIPDQVSCAVIRYHSRRVTSLEFHPTKNNILLSGDKKGQLGVWDFVKVHEKIVYGNVHSCILNNMKFKPASDDTVYAASSDGTISCTDLETGISVSLMNLNPDGWQGQNSWRMLYGMDINAEKGAVLVADNFGFLYLVDTRSNDPTGKPILIHKKGSKVVGLHCNPVQPDLLLSCGNDHFARIWDIRHIEAGSSIYDLAHSRVVNSAYFSPVSGSKILSTSQDNRLRIWDSIFGNMDSPSREIVHSHDFNRHLTAFKAEWDPRDSSESLAVIGRYISENYDGAALHPIDFIDVSTGQLVAEVMDPNITTISPVNKLHPRDDVLASGSSRSLFIWKPKEKCEPVEQKDEGKIVICAGAEKKRNRKLGDGSDDSDDDKFRPKGKNLKSKKSASKSSQYTIKVKR >ONH99926 pep chromosome:Prunus_persica_NCBIv2:G6:4055852:4059322:-1 gene:PRUPE_6G058000 transcript:ONH99926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQTRRMAFPKVVIERETDSEQSSSEEDEADVEQEEEEVLESENEGKVEQALDEKKKGKAPITISLKKVCKVCKKPGHEAGFKGATYIDCPMKPCFLCKMPGHTTVACPHRVATEYGVVPAPHKSTRNALEYMFERQIRPRVPPIKPAFVIPDQVSCAVIRYHSRRVTSLEFHPTKNNILLSGDKKGQLGVWDFVKVHEKIVYGNVHSCILNNMKFKPASDDTVYAASSDGTISCTDLETGISVSLMNLNPDGWQGQNSWRMLYGMDINAEKGAVLVADNFGFLYLVDTRSNDPTGKPILIHKKGSKVVGLHCNPVQPDLLLSCGNDHFCLAAKFLALHRTTVFVYGTPYLAIWIHQAERLYTVMTLIAI >ONH99925 pep chromosome:Prunus_persica_NCBIv2:G6:4054566:4059561:-1 gene:PRUPE_6G058000 transcript:ONH99925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQTRRMAFPKVVIERETDSEQSSSEEDEADVEQEEEEVLESENEGKVEQALDEKKKGKAPITISLKKVCKVCKKPGHEAGFKGATYIDCPMKPCFLCKMPGHTTVACPHRVATEYGVVPAPHKSTRNALEYMFERQIRPRVPPIKPAFVIPDQVSCAVIRYHSRRVTSLEFHPTKNNILLSGDKKGQLGVWDFVKVHEKIVYGNVHSCILNNMKFKPASDDTVYAASSDGTISCTDLETGISVSLMNLNPDGWQGQNSWRMLYGMDINAEKGAVLVADNFGFLYLVDTRSNDPTGKPILIHKKGSKVVGLHCNPVQPDLLLSCGNDHFVTFHLEAEGEVRAGGTEG >ONH99922 pep chromosome:Prunus_persica_NCBIv2:G6:4055160:4059322:-1 gene:PRUPE_6G058000 transcript:ONH99922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQTRRMAFPKVVIERETDSEQSSSEEDEADVEQEEEEVLESENEGKVEQALDEKKKGKAPITISLKKVCKVCKKPGHEAGFKGATYIDCPMKPCFLCKMPGHTTVACPHRVATEYGVVPAPHKSTRNALEYMFERQIRPRVPPIKPAFVIPDQVSCAVIRYHSRRVTSLEFHPTKNNILLSGDKKGQLGVWDFVKVHEKIVYGNVHSCILNNMKFKPASDDTVYAASSDGTISCTDLETGISVSLMNLNPDGWQGQNSWRMLYGMDINAEKGAVLVADNFGFLYLVDTRSNDPTGKPILIHKKGSKVVGLHCNPVQPDLLLSCGNDHFDSSESLAVIGRYISENYDGAALHPIDFIDVSTGQLVAEVMDPNITTISPVNKLHPRDDVLASGSSRSLFIWKPKEKCEPVEQKDEGKIVICAGAEKKRNRKLGDGSDDSDDDKFRPKGKNLKSKKSASKSSQYTIKVKR >ONH99924 pep chromosome:Prunus_persica_NCBIv2:G6:4054566:4057753:-1 gene:PRUPE_6G058000 transcript:ONH99924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKPASDDTVYAASSDGTISCTDLETGISVSLMNLNPDGWQGQNSWRMLYGMDINAEKGAVLVADNFGFLYLVDTRSNDPTGKPILIHKKGSKVVGLHCNPVQPDLLLSCGNDHFARIWDIRHIEAGSSIYDLAHSRVVNSAYFSPVSGSKILSTSQDNRLRIWDSIFGNMDSPSREIVHSHDFNRHLTAFKAEWDPRDSSESLAVIGRYISENYDGAALHPIDFIDVSTGQLVAEVMDPNITTISPVNKLHPRDDVLASGSSRSLFIWKPKEKCEPVEQKDEGKIVICAGAEKKRNRKLGDGSDDSDDDKFRPKGKNLKSKKSASKSSQYTIKVKR >ONI05222 pep chromosome:Prunus_persica_NCBIv2:G6:30543312:30547625:1 gene:PRUPE_6G363500 transcript:ONI05222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVLVVFISLFLLLFLFLSIKFATADGDFTLMSKRSVKRDQIEDKVVWITGASRGIGEVLAKQLANLGAKLIISARNEAELERVKKQLTGKHAPDGVKVLPLDLASGEDCLRDAVEKAESFFLNAGVDFMIHNAAIERPKTTALDVTEESLKSTFNVNVLGTIMLTRLLAPYMLRRGKGHFVVMSSAAGKTPAPGQAVYSASKHALNGYFHTLRSELYQKGIRVTIVCPGPIETSTGSGAASSENKASSEKRVSSERCAELTIVAATHCLKEVWISYQPVLTVMYLVQYVPTVGYWLMDKIGGNRVEAAARKGNTYSISLLFGKKKAS >ONI05223 pep chromosome:Prunus_persica_NCBIv2:G6:30543312:30547625:1 gene:PRUPE_6G363500 transcript:ONI05223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVLVVFISLFLLLFLFLSIKFATADGDFTLMSKRSVKRDQIEDKVVWITGASRGIGEVLAKQLANLGAKLIISARNEAELERVKKQLTGKHAPDGVKVLPLDLASGEDCLRDAVEKAESFFLNAGVDFMIHNAAIERPKTTALDVTEESLKMSSAAGKTPAPGQAVYSASKHALNGYFHTLRSELYQKGIRVTIVCPGPIETSTGSGAASSENKASSEKRVSSERCAELTIVAATHCLKEVWISYQPVLTVMYLVQYVPTVGYWLMDKIGGNRVEAAARKGNTYSISLLFGKKKAS >ONI05224 pep chromosome:Prunus_persica_NCBIv2:G6:30543312:30547625:1 gene:PRUPE_6G363500 transcript:ONI05224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVLVVFISLFLLLFLFLSIKFATADGDFTLMSKRSVKRDQIEDKVVWITGASRGIGEVLAKQLANLGAKLIISARNEAELERVKKQLTGKHAPDGVKVLPLDLASGEDCLRDAVEKAESFFLNAGVDFMIHNAAIERPMSSAAGKTPAPGQAVYSASKHALNGYFHTLRSELYQKGIRVTIVCPGPIETSTGSGAASSENKASSEKRVSSERCAELTIVAATHCLKEVWISYQPVLTVMYLVQYVPTVGYWLMDKIGGNRVEAAARKGNTYSISLLFGKKKAS >ONH99877 pep chromosome:Prunus_persica_NCBIv2:G6:3898945:3905372:-1 gene:PRUPE_6G055100 transcript:ONH99877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKDLEELGSLRKRKRRTQNKKKLLVGDKVEVRSLEDGFQGSWHPGIVTACKKQGCRQVQYDHILYDDGSGNLVDVVSVSPILDGIGSFTRDWSNYRGCIRPTPPRIQPGEWDLPYGLCVDVYHLEAWWEGVIFDHEDGSEERRIFFPDLGDELKARIDTLRITHEWDEVTENWKRRGTWIFLELLEQYNQERYIAVSVKQIWYDVREKEPFEWTSLMRHVWEELVLEVINDNISITVDELFGALDKSGFFSQETQVELESAVFVSDANMNPKENMADSLAIVPVDELLNSDLVVDREDAVNKALNCSAEIIDERHLNGGLSINPDSACTEQVQEKSYRDQLISVVGDEGPNMNSLEYSDISFQTKGVCVLPQVLLVFPPNLDGNSCTNSVISNDGICSTNYRGRSTLDWRPLDTPAEFCPDAVDEYADFVKGMSSKFSTTVVKQHISYLGWKIHSAMDKGRPRLRYLSPAGEYEYSLRQVCKTLKKRKKDTLFSISQDAHQDLHGSAEESLLIEQPQEIQHPNYYPQKVESPCSTVFIFKPEYCPEAVVEYYMHAAGKTIKKELRKMISKAKKHLSAVGWVFVYLNAKSRNFHYRSPSGILYRTLRSACKSCMDEGVSEKRPAECMYVVEEDEGQLTRNKLCSAASNLDFQEGLVPLKSLSKKWSRDSGNVKVQGRRKRQRKRNNILSDLAPDLLQRQPYLHGRTDGSTKDQCTSPPKLKRRKVSGALNRLKNGLDGSPPTRVLRSSKWVQEAVTSTSSHNNPRTVLSWLIDNNVVLPRAKVHHRSTKDSHPMKEGRITREGIRCSCCQEVYTLSCFGNHAGSSYCSPSANIFLEDGRSLLDCQVQIMHDRRKRSLRKEPRDRIKGNWHRGENDYICTVCHYGGDLILCDQCPSSFHKSCLGLKYVPDGDWFCASCCCGICGQTNFKEDKEPIMDDSSVLTCGQCEHKYHKGCLRKRGADKLESDPKGNWFCTRNCKKIFLGLHELLGKQIPVGDNNLSWSLVKSIKSDIHDTDEPHIDAIESYSRLNVALDVMHECFVPVKEPLTRRDLVEDIIFTRGSDLNRLNFRGFYTVLLERNDELITVATVRIFGGKVAEVPLVATRFQYRRLGMCRILMDELEKMLMQLGVERLVLPAVPSVLNTWTTSFGFSTMTASERLQFLDYTFLDFQGTIMCQKQLMKNPPTEAVPLEGTQLGLCLDTYESPDNIDVDRSSAVSEVYQAEQIEDSGIVHQGVDRSSPEFSSMENAIKKRARDGDYKQYKRRRISANGS >ONH99876 pep chromosome:Prunus_persica_NCBIv2:G6:3898624:3905531:-1 gene:PRUPE_6G055100 transcript:ONH99876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKDLEELGSLRKRKRRTQNKKKLLVGDKVEVRSLEDGFQGSWHPGIVTACKKQGCRQVQYDHILYDDGSGNLVDVVSVSPILDGIGSFTRDWSNYRGCIRPTPPRIQPGEWDLPYGLCVDVYHLEAWWEGVIFDHEDGSEERRIFFPDLGDELKARIDTLRITHEWDEVTENWKRRGTWIFLELLEQYNQERYIAVSVKQIWYDVREKEPFEWTSLMRHVWEELVLEVINDNISITVDELFGALDKSGFFSQETQVELESAVFVSDANMNPKENMADSLAIVPVDELLNSDLVVDREDAVNKALNCSAEIIDERHLNGGLSINPDSACTEQVQEKSYRDQLISVVGDEGPNMNSLEYSDISFQTKGVCVLPQVLLVFPPNLDGNSCTNSVISNDGICSTNYRGRSTLDWRPLDTPAEFCPDAVDEYADFVKGMSSKFSTTVVKQHISYLGWKIHSAMDKGRPRLRYLSPAGEYEYSLRQVCKTLKKRKKDTLFSISQDAHQDLHGSAEESLLIEQPQEIQHPNYYPQKVESPCSTVFIFKPEYCPEAVVEYYMHAAGKTIKKELRKMISKAKKHLSAVGWVFVYLNAKSRNFHYRSPSGILYRTLRSACKSCMDEGVSEKRPAECMYVVEEDEGQLTRNKLCSAASNLDFQEGLVPLKSLSKKWSRDSGNVKVQGRRKRQRKRNNILSDLAPDLLQRQPYLHGRTDGSTKDQCTSPPKLKRRKVSGALNRLKNGLDGSPPTRVLRSSKWVQEAVTSTSSHNNPRTVLSWLIDNNVVLPRAKVHHRSTKDSHPMKEGRITREGIRCSCCQEVYTLSCFGNHAGSSYCSPSANIFLEDGRSLLDCQVQIMHDRRKRSLRKEPRDRIKGNWHRGENDYICTVCHYGGDLILCDQCPSSFHKSCLGLKYVPDGDWFCASCCCGICGQTNFKEDKEPIMDDSSVLTCGQCEHKYHKGCLRKRGADKLESDPKGNWFCTRNCKKIFLGLHELLGKQIPVGDNNLSWSLVKSIKSDIHDTDEPHIDAIESYSRLNVALDVMHECFVPVKEPLTRRDLVEDIIFTRGSDLNRLNFRGFYTVLLERNDELITVATVRIFGGKVAEVPLVATRFQYRRLGMCRILMDELEKMLMQLGVERLVLPAVPSVLNTWTTSFGFSTMTASERLQFLDYTFLDFQGTIMCQKQLMKNPPTEAVPLEGTQLGLCLDTYESPDNIDVDRSSAVSEVYQAEQIEDSGIVHQGVESSPEFSSMENAIKKRARDGDYKQYKRRRISANGS >ONI01213 pep chromosome:Prunus_persica_NCBIv2:G6:9975429:9978419:1 gene:PRUPE_6G128500 transcript:ONI01213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPVAIALTVGLLGWAYQALKPPPPKICGSPGGPPVTSPRVKLSDGRHLAYRECGVPKEEAKHKIIVVHGFDSSKDLSLPFAQELIEELSIYFLFFDRAGYGESDPYPSRSVKSEAFDIQELADKLQIGSKFYVIGISMGAYPVWGCMKYIPHRLSGASLVVPFVHYWWPCVPANLSRESLSTLPVSDQWAFRVAYYAPWLFHWWMTQKFFPSLSVLCGNTSVFSRTDLDMLKKLSEAPSVGQEKITQQGHHESLYRDILAGYAKWEFDPSELKNPFPDNEGSFHIWRGCEDKIIPYKLNRYIAEKLPWIHYHEVPGYGHLLFLESHLCDAILKALLLRE >ONI01215 pep chromosome:Prunus_persica_NCBIv2:G6:9975429:9978419:1 gene:PRUPE_6G128500 transcript:ONI01215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPVAIALTVGLLGWAYQALKPPPPKICGSPGGPPVTSPRVKLSDGRHLAYRECGVPKEEAKHKIIVVHGFDSSKDLSLPFAQELIEELSIYFLFFDRAGYGESDPYPSRSVKSEAFDIQELADKLQIGSKFYVIGISMGAYPVWGCMKYIPHRLSGASLVVPFVHYWWPCVPANLSRESLSTLPVSDQWAFRVAYYAPWLFHWWMTQKFFPSLSVLCGNTSVFSRTDLDMLKKLSEAPSVGQEKITQQGHHESLYRDILAGYAKWEFDPSELKNPFPDNEGSFHIWRGCEDKIIPYKLNRYIAEKLPWIHYHEVPGYGHLLFLESHLCDAILKALLLRE >ONI01214 pep chromosome:Prunus_persica_NCBIv2:G6:9975030:9978419:1 gene:PRUPE_6G128500 transcript:ONI01214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPVAIALTVGLLGWAYQALKPPPPKICGSPGGPPVTSPRVKLSDGRHLAYRECGVPKEEAKHKIIVVHGFDSSKDLSLPFAQELIEELSIYFLFFDRAGYGESDPYPSRSVKSEAFDIQELADKLQIGSKFYVIGISMGAYPVWGCMKYIPHRLSGASLVVPFVHYWWPCVPANLSRESLSTLPVSDQWAFRVAYYAPWLFHWWMTQKFFPSLSVLCGNTSVFSRTDLDMLKKLSEAPSVGQEKITQQGHHESLYRDILAGYAKWEFDPSELKNPFPDNEGSFHIWRGCEDKIIPYKLNRYIAEKLPWIHYHEVPGYGHLLFLESHLCDAILKALLLRE >ONI01216 pep chromosome:Prunus_persica_NCBIv2:G6:9976184:9977473:1 gene:PRUPE_6G128500 transcript:ONI01216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPVAIALTVGLLGWAYQALKPPPPKICGSPGGPPVTSPRVKLSDGRHLAYRECGVPKEEAKHKIIVVHGFDSSKDLSLPFAQELIEELSIYFLFFDRAGYGESDPYPSRSVKSEAFDIQELADKLQIGSKFYVIGISMGAYPVWGCMKYIPHRLSGASLVVPFVHYWWPCVPANLSRESLSTLPVSDQWAFRVAYYAPWLFHWWMTQKFFPSLSVLCGNTSVFSRTDLDMLKKLSEAPSVGQVYSNFSHYLPHGTKSAVFFSLLAITFGGFGIF >ONI01380 pep chromosome:Prunus_persica_NCBIv2:G6:10943182:10945904:1 gene:PRUPE_6G136300 transcript:ONI01380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMGWDAYRFSISWSRLLPNGKLSGGVNEEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKHWSTLNEPYTFSNFGYAIGSHAPGRCSTWQQLNCTGGDSSTEPYLVTHHLLLAHTAAVKLYKNKYQASQNGVIGITLVSHWFEPLSEEKENKNAALRALDFMFGWFVEPLTSGDYPQSMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYAGYYASDAPQNNSVYASYTTDAGVNLSSERNGVPIGSKGASEWLNVYPQGIQDLLLYTKKKYHNPVIYITENGVDEFNDPKLSLAEALNDTHRIDYYNRHLHYVQSSIDNGVKVKGFFPWTLLDNFEWSSGYSVRFGITYVDYNDRLKRHPKLSAHWFKSFLKPY >ONI04846 pep chromosome:Prunus_persica_NCBIv2:G6:29549319:29550761:-1 gene:PRUPE_6G343200 transcript:ONI04846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLPRVRALFLLNLKLKSTHQLKRTHAQLITSGLLKSPTLYAKLIQQYGALSDPQSTNLYAHFVFKHFDEPNLFLLNTLIRCTQPKDSILVFANWVSKATLIFDDFTYKFVLGACARLPSVSTLLVGSQIHARIIKHDVVSNILVQTTLVHFYASNKDFVSARRVFDEMAVKNSVTWNAMITGYCSQRESARDALVLFRDMLDDVCGVKPTDTTMVCVLSAASQLGVLETGACVHGYIEKAIWVPHNDVFIGTGLVGMYSKCGCVDGALSIFKRMKEKNILTWTAMATGLAIHGKGNEALVLLDVMEAYGIKPNAVTFTSLLSACCHSGLVEEGLHLFHMMKSNFDVMPQMQHYGCIVDMLSRRGYLKEAYEFVVGMPVEPDAVLWRSLLSACKVHGDVAMGEKVGKKLLHIQSAQTCADLTLKSEDYVALSNIYASAERWEDVEMVRQEMKVKGIENKAGCSSIQTSSNISNHVLDGL >ONI03779 pep chromosome:Prunus_persica_NCBIv2:G6:26281253:26290418:1 gene:PRUPE_6G281400 transcript:ONI03779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPLFSWLFLILIHYVSLSVHVFVVSSQCPSDDQQSLLLQLKNSLQFDSAKSNKLKQWKNGSDYCSWEGVSCKDGCVSHLDLSSESISGGVDNSSALFDLQYIENLNLAYNNFNTQIPSKFDRLTGLSYLNLSNAGFVGQIPIEISHLARLVTLDLSTFYFPGPLKLENPNLNVLLGNLSELIELHLDGVSISDQGTEWCEVISSSLPKLKVLSLSTCNLSGPIDISLQKLHSLSVIRLENNNLSAQVPEFFSNFTNLTSLHLSNSGLDGTFPKKIFQVPTLQTIDLSGNQQLQGSLPEFPKNGSLRSLVLSGANFTGFFPSSMGDLKMLSRIDVSSCNFTGSTPSSMENLTQLVSVDLSWNKFNGSIPFFSMAKNLTLINLSFNLLTGQINSSHWENHTNLVNLDLRFNLLDGTIPPSLFSLPLLQKLQLSDNEFSGQLLEFATTSVLDTLDLSNNTLEGPIPMSIFNLKGLKILSLSSNNFSGSFPLELLPQLKNLSSLDLSYNSLSINYNTPNSCVTSFPQITTLKLASVRLRRFPDFLRDQSHLSNLDLSQNQICGEIPNWIWRLNNLSQLNLSCNSLETLEGPLLNVTSSLSVLDLHSNQLKGQIPLLSQFSVYIDYSINNFNSSIRTDIGDFLSNTIFFSLLNNKFQGIIPESICNASNLQVLDVSNNSLSGLIPKCLTAISGTLAVLNLRRNNLSGTVSGTMLEVLNLGNNQIADTFPCLLKNISTLFLFCDPTNFMDALDAPSPMETALNNFSGEIRGKCLRTWKAMMGDDDDVMSEHNHLRFQVLEVEEVYYQDTVTVINKGLEMQLVKILTVFTSIDLSCNKFTGSIPKEMGDLISLYVLNLSSNALTGEIPSSMGDLQDVESLDLSNNKLSGQIPPQLAKLTFLSFLNLSNNQLVGRIPISTQFSTFPKASFTGNKRLSGPPLTVDNKSVSPPPTVNGSPRNCGHHLVINWGIISVEIGFTIGFGVAIGSLVLCKRWSKWYYKAMYNILLKIFPELEERIGIHRRHVNIK >ONI03780 pep chromosome:Prunus_persica_NCBIv2:G6:26281266:26290418:1 gene:PRUPE_6G281400 transcript:ONI03780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPLFSWLFLILIHYVSLSVHVFVVSSQCPSDDQQSLLLQLKNSLQFDSAKSNKLKQWKNGSDYCSWEGVSCKDGCVSHLDLSSESISGGVDNSSALFDLQYIENLNLAYNNFNTQIPSKFDRLTGLSYLNLSNAGFVGQIPIEISHLARLVTLDLSTFYFPGPLKLENPNLNVLLGNLSELIELHLDGVSISDQGTEWCEVISSSLPKLKVLSLSTCNLSGPIDISLQKLHSLSVIRLENNNLSAQVPEFFSNFTNLTSLHLSNSGLDGTFPKKIFQVPTLQTIDLSGNQQLQGSLPEFPKNGSLRSLVLSGANFTGFFPSSMGDLKMLSRIDVSSCNFTGSTPSSMENLTQLVSVDLSWNKFNGSIPFFSMAKNLTLINLSFNLLTGQINSSHWENHTNLVNLDLRFNLLDGTIPPSLFSLPLLQKLQLSDNEFSGQLLEFATTSVLDTLDLSNNTLEGPIPMSIFNLKGLKILSLSSNNFSGSFPLELLPQLKNLSSLDLSYNSLSINYNTPNSCVTSFPQITTLKLASVRLRRFPDFLRDQSHLSNLDLSQNQICGEIPNWIWRLNNLSQLNLSCNSLETLEGPLLNVTSSLSVLDLHSNQLKGQIPLLSQFSVYIDYSINNFNSSIRTDIGDFLSNTIFFSLLNNKFQGIIPESICNASNLQVLDVSNNSLSGLIPKCLTAISGTLAVLNLRRNNLSGTVSGTMLEVLNLGNNQIADTFPCLLKNISTLFLFCDPTNFMDALDAPSPMETALNNFSGEIRGKCLRTWKAMMGDDDDVMSEHNHLRFQVLEVEEVYYQDTVTVINKGLEMQLVKILTVFTSIDLSCNKFTGSIPKEMGDLISLYVLNLSSNALTGEIPSSMGDLQDVESLDLSNNKLSGQIPPQLAKLTFLSFLNLSNNQLVGRIPISTQFSTFPKASFTGNKRLSGPPLTVDNKSVSPPPTVNGSPRNCGHHLVINWGIISVEIGFTIGFGVAIGSLVLCKRWSKWYYKAMYNILLKIFPELEERIGIHRRHVNIK >ONI03778 pep chromosome:Prunus_persica_NCBIv2:G6:26281266:26290418:1 gene:PRUPE_6G281400 transcript:ONI03778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPLFSWLFLILIHYVSLSVHVFVVSSQCPSDDQQSLLLQLKNSLQFDSAKSNKLKQWKNGSDYCSWEGVSCKDGCVSHLDLSSESISGGVDNSSALFDLQYIENLNLAYNNFNTQIPSKFDRLTGLSYLNLSNAGFVGQIPIEISHLARLVTLDLSTFYFPGPLKLENPNLNVLLGNLSELIELHLDGVSISDQGTEWCEVISSSLPKLKVLSLSTCNLSGPIDISLQKLHSLSVIRLENNNLSAQVPEFFSNFTNLTSLHLSNSGLDGTFPKKIFQVPTLQTIDLSGNQQLQGSLPEFPKNGSLRSLVLSGANFTGFFPSSMGDLKMLSRIDVSSCNFTGSTPSSMENLTQLVSVDLSWNKFNGSIPFFSMAKNLTLINLSFNLLTGQINSSHWENHTNLVNLDLRFNLLDGTIPPSLFSLPLLQKLQLSDNEFSGQLLEFATTSVLDTLDLSNNTLEGPIPMSIFNLKGLKILSLSSNNFSGSFPLELLPQLKNLSSLDLSYNSLSINYNTPNSCVTSFPQITTLKLASVRLRRFPDFLRDQSHLSNLDLSQNQICGEIPNWIWRLNNLSQLNLSCNSLETLEGPLLNVTSSLSVLDLHSNQLKGQIPLLSQFSVYIDYSINNFNSSIRTDIGDFLSNTIFFSLLNNKFQGIIPESICNASNLQVLDVSNNSLSGLIPKCLTAISGTLAVLNLRRNNLSGTVSGTMLEVLNLGNNQIADTFPCLLKNISTLFLFCDPTNFMDALDAPSPMETALNNFSGEIRGKCLRTWKAMMGDDDDVMSEHNHLRFQVLEVEEVYYQDTVTVINKGLEMQLVKILTVFTSIDLSCNKFTGSIPKEMGDLISLYVLNLSSNALTGEIPSSMGDLQDVESLDLSNNKLSGQIPPQLAKLTFLSFLNLSNNQLVGRIPISTQFSTFPKASFTGNKRLSGPPLTVDNKSVSPPPTVNGSPRNCGHHLVINWGIISVEIGFTIGFGVAIGSLVLCKRWSKWYYKAMYNILLKIFPELEERIGIHRRHVNIK >ONI02394 pep chromosome:Prunus_persica_NCBIv2:G6:20307213:20314159:-1 gene:PRUPE_6G195500 transcript:ONI02394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSALVEAEVPLLDDIASTVRSKHEHSDDEDQGTLARRSWIESKKLWHIVGPAIFSRVASYSMLVITQAFAGHLGDLELAAISIANNVIVGFDFGLLLGMASALETLCGQAYGAKRYYMLGVYMQRSWIVLFLCCILLLPIYLFASPFLKLLGQPTEVAELSGIVAMSMIPLHFSFAFQFPLQRFLQSQLKTGVIAWVSLLALAVHVFVSWFFVYRLHFGVIGTAITINFSWWVLVFGLLGYTVCGGCPLTWAGFSMEAFSGLWEFTKLSAASGVMLCLESWYYRILILMTGNLQNAEIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGSGKRAKFATKVAVVTSIIIGLFFWLLIMMFHKEVGYIFTTSEAVLTEVSSLSLLLAFTILLNSVQPVLSGVAVGSGWQSYVAYINLGCYYLIGVPLGFLMGWAFHQGVMGIWAGMIFGGTAVQTLILAIITIRCDWEKEAEKATMHILKWTDKK >ONI02498 pep chromosome:Prunus_persica_NCBIv2:G6:20976963:20978499:1 gene:PRUPE_6G202200 transcript:ONI02498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQIIELIEEELPVLQMSLVLTGDVKAGLVLVDIVNGFCTVGAGNFFLCTKWPVFASLDSHHLDIHEPPYPPHCIAGTDEAKLVPALQWLENEANVTLRRKDCIDGFLGSVEKDGSNILFVGICIDICVLDFVCTTLSARNRGFLVPLEDVIVYSHGCATFDIPANTYKDVVAHPQELMHHIGLHVAEGRGAKVMSQVSFDAKDSGDVSQSGLSSTDGDSE >ONI02508 pep chromosome:Prunus_persica_NCBIv2:G6:21037175:21041192:-1 gene:PRUPE_6G202800 transcript:ONI02508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEEKIYVALGDELQDGYKTLEWTLRRWKSQPISIVILHVTYKLSGKDYVYTPFGKLPASSVSDEKLKILRKYEQEKIDKILSKYKSFCGKVKAEIFNVEKYDEPIHKLILDLISGLHITKLVIGFAFIKSSSSWKAKTAIGVSFYIHEHKAESCDLFIICGGKEVFLRGENDQRIMEDEKGVMVAKLKDKISFKFFIEKMFLENRRSPFASSTRKDSSSMQNHWENCVQEIEIYYQKLCSNLDGEEDYEQETGTSQQPSPTEAIMLEINSNPNMSLAERIESLRKKISETHERIRLKKKETKANVERHAKAERAICLCERRAQELEVQINEEAGNRTELNKKLSTQKEQLNEVINDIEESKNRIKSVVELQSELSHKLHLSKMAKSQAEAQLQNEAVKRAEMVREIEGLRRGRDVFGRRIEFCRKKDGIGTAARLSELSCCLREYTDEEIKLATDDFSELFRLKSGSDLSSVYKGRINHVTVAVKVLNQANGLSQEDFQAKVKLISHIRHPHLLSMMGYCSQPSCIVFEYMQNGSLRDILFSTERKGNRARALWWHDRVRIAAEVCSAMCYLHMARPKPIVHGHLSPSNILLDRNLVAKVSGFGLNQYNHDESQVGWDIRAFGVLTLNLLTGRNWIDKDVLMDKAGLVRVLHDKAGPWPLDLAEGLVGLVLRCLSINNGPNKDLKMVTIMEELEEIRKNGDALVATGGYGCVDGDASIDVPRVFLCPIFREVMKDPHVAADGYSYEREAIAEWLRMGRDTSPITHLKLDHKILVPNHNLRSLAQDWHDKRSLSYP >ONI02512 pep chromosome:Prunus_persica_NCBIv2:G6:21037313:21040275:-1 gene:PRUPE_6G202800 transcript:ONI02512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRKAKTAIGVSFYIHEHKAESCDLFIICGGKEVFLRGENDQRIMEDEKGVMVAKLKDKISFKFFIEKMFLENRRSPFASSTRKDSSSMQNHWENCVQEIEIYYQKLCSNLDGEEDYEQETGTSQQPSPTEAIMLEINSNPNMSLAERIESLRKKISETHERIRLKKKETKANVERHAKAERAICLCERRAQELEVQINEEAGNRTELNKKLSTQKEQLNEVINDIEESKNRIKSVVELQSELSHKLHLSKMAKSQAEAQLQNEAVKRAEMVREIEGLRRGRDVFGRRIEFCRKKDGIGTAARLSELSCCLREYTDEEIKLATDDFSELFRLKSGSDLSSVYKGRINHVTVAVKVLNQANGLSQEDFQAKVKLISHIRHPHLLSMMGYCSQPSCIVFEYMQNGSLRDILFSTERKGNRARALWWHDRVRIAAEVCSAMCYLHMARPKPIVHGHLSPSNILLDRNLVAKVSGFGLNQYNHDESQVGWDIRAFGVLTLNLLTGRNWIDKDVLMDKAGLVRVLHDKAGPWPLDLAEGLVGLVLRCLSINNGPNKDLKMVTIMEELEEIRKNGDALVATGGYGCVDGDASIDVPRVFLCPIFREVMKDPHVAADGYSYEREAIAEWLRMGRDTSPITHLKLDHKILVPNHNLRSLAQDWHDKRSLSYP >ONI02510 pep chromosome:Prunus_persica_NCBIv2:G6:21037210:21041192:-1 gene:PRUPE_6G202800 transcript:ONI02510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEEKIYVALGDELQDGYKTLEWTLRRWKSQPISIVILHVTYKLSGKDYVYTPFGKLPASSVSDEKLKILRKYEQEKIDKILSKYKSFCGKVKAEIFNVEKYDEPIHKLILDLISGLHITKLVIGFAFIKSSSSWKAKTAIGVSFYIHEHKAESCDLFIICGGKEVFLRGENDQRIMEDEKGVMVAKLKDKISFKFFIEKMFLENRRSPFASSTRKDSSSMQNHWENCVQEIEIYYQKLCSNLDGEEDYEQETGTSQQPSPTEAIMLEINSNPNMSLAERIESLRKKISETHERIRLKKKETKANVERHAKAERAICLCERRAQELEVQINEEAGNRTELNKKLSTQKEQLNEVINDIEESKNRIKSVVELQSELSHKLHLSKMAKSQAEAQLQNEAVKRAEMVREIEGLRRGRDVFGRRIEFCRKKDGIGTAARLSELSCCLREYTDEEIKLATDDFSELFRLKSGSDLSSVYKGRINHVTVAVKVLNQANGLSQEDFQAKVKLISHIRHPHLLSMMGYCSQPSCIVFEYMQNGSLRDILFSTERKGNRARALWWHDRVRIAAEVCSAMCYLHMARPKPIVHGHLSPSNILLDRNLVAKVSGFGLNQYNHDESQVGWDIRAFGVLTLNLLTGRNWIDKDVLMDKAGLVRVLHDKAGPWPLDLAEGLVGLVLRCLSINNGPNKDLKMVTIMEELEEIRKNGDALVATGGYGCVDGDASIDVPRVFLCPIFREVMKDPHVAADGYSYEREAIAEWLRMGRDTSPITHLKLDHKILVPNHNLRSLAQDWHDKRSLSYP >ONI02511 pep chromosome:Prunus_persica_NCBIv2:G6:21037210:21041013:-1 gene:PRUPE_6G202800 transcript:ONI02511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEEKIYVALGDELQDGYKTLEWTLRRWKSQPISIVILHVTYKLSGKDYVYTPFGKLPASSVSDEKLKILRKYEQEKIDKILSKYKSFCGKVKAEIFNVEKYDEPIHKLILDLISGLHITKLVIGFAFIKSSSSWKAKTAIGVSFYIHEHKAESCDLFIICGGKEVFLRGENDQRIMEDEKGVMVAKLKDKISFKFFIEKMFLENRRSPFASSTRKDSSSMQNHWENCVQEIEIYYQKLCSNLDGEEDYEQETGTSQQPSPTEAIMLEINSNPNMSLAERIESLRKKISETHERIRLKKKETKANVERHAKAERAICLCERRAQELEVQINEEAGNRTELNKKLSTQKEQLNEVINDIEESKNRIKSVVELQSELSHKLHLSKMAKSQAEAQLQNEAVKRAEMVREIEGLRRGRDVFGRRIEFCRKKDGIGTAARLSELSCCLREYTDEEIKLATDDFSELFRLKSGSDLSSVYKGRINHVTVAVKVLNQANGLSQEDFQAKVKLISHIRHPHLLSMMGYCSQPSCIVFEYMQNGSLRDILFSTERKGNRARALWWHDRVRIAAEVCSAMCYLHMARPKPIVHGHLSPSNILLDRNLVAKVSGFGLNQYNHDESQVGWDIRAFGVLTLNLLTGRNWIDKDVLMDKAGLVRVLHDKAGPWPLDLAEGLVGLVLRCLSINNGPNKDLKMVTIMEELEEIRKNGDALVATGGYGCVDGDASIDVPRVFLCPIFREVMKDPHVAADGYSYEREAIAEWLRMGRDTSPITHLKLDHKILVPNHNLRSLAQDWHDKRSLSYP >ONI02509 pep chromosome:Prunus_persica_NCBIv2:G6:21037165:21041192:-1 gene:PRUPE_6G202800 transcript:ONI02509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEEKIYVALGDELQDGYKTLEWTLRRWKSQPISIVILHVTYKLSGKDYVYTPFGKLPASSVSDEKLKILRKYEQEKIDKILSKYKSFCGKVKAEIFNVEKYDEPIHKLILDLISGLHITKLVIGFAFIKSSSSWKAKTAIGVSFYIHEHKAESCDLFIICGGKEVFLRGENDQRIMEDEKGVMVAKLKDKISFKFFIEKMFLENRRSPFASSTRKDSSSMQNHWENCVQEIEIYYQKLCSNLDGEEDYEQETGTSQQPSPTEAIMLEINSNPNMSLAERIESLRKKISETHERIRLKKKETKANVERHAKAERAICLCERRAQELEVQINEEAGNRTELNKKLSTQKEQLNEVINDIEESKNRIKSVVELQSELSHKLHLSKMAKSQAEAQLQNEAVKRAEMVREIEGLRRGRDVFGRRIEFCRKKDGIGTAARLSELSCCLREYTDEEIKLATDDFSELFRLKSGSDLSSVYKGRINHVTVAVKVLNQANGLSQEDFQAKVKLISHIRHPHLLSMMGYCSQPSCIVFEYMQNGSLRDILFSTERKGNRARALWWHDRVRIAAEVCSAMCYLHMARPKPIVHGHLSPSNILLDRNLVAKVSGFGLNQYNHDESQVGWDIRAFGVLTLNLLTGRNWIDKDVLMDKAGLVRVLHDKAGPWPLDLAEGLVGLVLRCLSINNGPNKDLKMVTIMEELEEIRKNGDALVATGGYGCVDGDASIDVPRVFLCPIFREVMKDPHVAADGYSYEREAIAEWLRMGRDTSPITHLKLDHKILVPNHNLRSLAQDWHDKRSLSYP >ONI04961 pep chromosome:Prunus_persica_NCBIv2:G6:29844524:29853975:-1 gene:PRUPE_6G349900 transcript:ONI04961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGIPGSDAIKFEEAMRKHLPGLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04965 pep chromosome:Prunus_persica_NCBIv2:G6:29844524:29853786:-1 gene:PRUPE_6G349900 transcript:ONI04965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGIPGSDAIKFEEAMRKHLPGLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04964 pep chromosome:Prunus_persica_NCBIv2:G6:29844524:29853983:-1 gene:PRUPE_6G349900 transcript:ONI04964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGIPGSDAIKFEEAMRKHLPGLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04968 pep chromosome:Prunus_persica_NCBIv2:G6:29845233:29852515:-1 gene:PRUPE_6G349900 transcript:ONI04968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGIPGSDAIKFEEAMRKHLPGLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04963 pep chromosome:Prunus_persica_NCBIv2:G6:29844524:29853331:-1 gene:PRUPE_6G349900 transcript:ONI04963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGIPGSDAIKFEEAMRKHLPGLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04962 pep chromosome:Prunus_persica_NCBIv2:G6:29844524:29854006:-1 gene:PRUPE_6G349900 transcript:ONI04962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGIPGSDAIKFEEAMRKHLPGLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04957 pep chromosome:Prunus_persica_NCBIv2:G6:29845233:29852515:-1 gene:PRUPE_6G349900 transcript:ONI04957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04967 pep chromosome:Prunus_persica_NCBIv2:G6:29845233:29852515:-1 gene:PRUPE_6G349900 transcript:ONI04967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGIPGSDAIKFEEAMRKHLPGLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04960 pep chromosome:Prunus_persica_NCBIv2:G6:29844524:29854012:-1 gene:PRUPE_6G349900 transcript:ONI04960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGIPGSDAIKFEEAMRKHLPGLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04958 pep chromosome:Prunus_persica_NCBIv2:G6:29844524:29853039:-1 gene:PRUPE_6G349900 transcript:ONI04958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04969 pep chromosome:Prunus_persica_NCBIv2:G6:29844524:29853994:-1 gene:PRUPE_6G349900 transcript:ONI04969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGIPGSDAIKFEEAMRKHLPGLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04966 pep chromosome:Prunus_persica_NCBIv2:G6:29845233:29852515:-1 gene:PRUPE_6G349900 transcript:ONI04966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGIPGSDAIKFEEAMRKHLPGLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04970 pep chromosome:Prunus_persica_NCBIv2:G6:29844493:29853975:-1 gene:PRUPE_6G349900 transcript:ONI04970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGIPGSDAIKFEEAMRKHLPGLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI04959 pep chromosome:Prunus_persica_NCBIv2:G6:29844524:29854061:-1 gene:PRUPE_6G349900 transcript:ONI04959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELMRVCIKEDNDEFPSVPPGFESFTSFSLKRVNESEKQDSENRISCSETASVSESQSVQMETNIATDEVAKRSLRRRPWINHRQHDNKPEDEFNSERLEQNFALNSLLPKGVIRGCPQCSNCQKVSARWHPEDGQRPNLQDAPVFKPTEEEFKDTLKYIASIRAKAEPYGLCRIVPPSSWRPPCPLKEKDIWETSKFATRVQRVDKLQNRDSMRKIPKNHNHMRKKRRRCTRMGADCPSGGRGSGDDGYCEAERFGFEPGPEFTLETFERYANDFKTQYFSKNEHITDIGGNLSKLKEGWEPSVENIEGEYWRMVERPTEEIEVLYGADLETGVFGSGFPKMSSKDGFASEEQYIKSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWVTQLSPSILKSEGVPVYRCCQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYQEQGRKTSISHDKLLLGAAREAVRAHWELNLLKKNTSDNLRWKDFCGKDGILAKALKARVEMEHVRREFLCSSSQALKMDNNFDATSERECSICFFDLHLSAAGCHHCSPDRYACLNHAKKFCSCAWSAKFFLFRYDMDELNILLEALDGKLSAVYRWARLDLGLALSSYIAKDNMKVGNLSYSSRDAVLKEVSSQPQSTCFKDPLGTEISKQSPINPTGITGETSSQQNMKREESIFNTSKSRVQVCQLSQEDTSYAMNSDATKSGMKMTSVENVILLSDDEGDEPKELPSKEVCLATQLELSKRLVGSDGKVSPSNFEKEPILNTPGTDAAVMGEKVFSLPGGEKKDFSSHSVLVKDEQDNGGQLGSNPPNLPVKFVSIKTECGSNTSDISAHKVANSRSDPQHSQPCSSIKLENEDRHEKVGTNADTNLVDCVRTTTGSLSSCQNNLDRYFRQKGPRIAKVVRRISCIVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRHMSVLDPTVMCYYVSEVLDAGQAGPLFKVSLEHCPSEVFIHNSAGRCWEMVRERVNQEITRQHKLGRMNLPPLQPPGSLDGFEMFGFTSPAIVQAIEALDRNRVCSEYWDSRPYSRPQVQILQKPQSRESSENCNKMSKERNDEEAPNNDLVPTGVDTTLRGLLKKANLEELNSLYRILSDNQQTAGRGLVIRLLNEEIHSRPT >ONI00551 pep chromosome:Prunus_persica_NCBIv2:G6:6528607:6536256:1 gene:PRUPE_6G094300 transcript:ONI00551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRHIDCAQLYANEKEKLFEDGAVKREDLWITSKLWCTDHAPEDVPEALDRTLRDLQLDYVDLYLPAENLNIHWPARMKKGSVGFKHENLIDPDIPATWRAMESLYDSGRLELLASHTYTPTNFSTNKLSDLLDIARVPPAVDQVEFHPSWQQAKLRSFCKSKGVHLSGYSPLGSPGTTWIKSEVLKNLILLTVAEKLGKTPAQVALRWGLQMGHSVLPKCTNEAKIKENLDVGWSIPEDLFPKFSEIEQARLIRGGSYVHDTFGPYRSIEEFWDGEI >ONI00460 pep chromosome:Prunus_persica_NCBIv2:G6:6165384:6169736:-1 gene:PRUPE_6G090100 transcript:ONI00460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFCCTEKSTEESKKKNTNINGNKPNHQVNSNGNVKKELGVKKEEASKDDQLSLDAKEWNIKEEVSKDGKTNGKRAQTFTFDELAAATDDFRSDCFLGEGGFGKVYKGHLEKINQVVAIKQLDRNGCQGIREFVVEVLTLSLADHPNLVKLIGFCAEGDQRLLVYEYMPLGSLENHLHDLSPSRKALDWNTRMKIAAGAARGLEYLHEKMQPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDVYSFGVVLLELITGRKAIDNTKPVKEQNLVAWARPLFRDRKRFSQMVDPLLQGQYPVRGLYQALAIAAMCVQEQPNMRPVITDIVTALNYLASQKYDPQIHPVQTSRRGSSSPRGRRDDDIRQIGGNGPERD >ONI00461 pep chromosome:Prunus_persica_NCBIv2:G6:6166466:6169450:-1 gene:PRUPE_6G090100 transcript:ONI00461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFCCTEKSTEESKKKNTNINGNKPNHQEVNSNGNVKKELGVKKEEASKDDQLSLDAKEWNIKEEVSKDGKTNGKRAQTFTFDELAAATDDFRSDCFLGEGGFGKVYKGHLEKINQVVAIKQLDRNGCQGIREFVVEVLTLSLADHPNLVKLIGFCAEGDQRLLVYEYMPLGSLENHLHDLSPSRKALDWNTRMKIAAGAARGLEYLHEKMQPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDVYSFGVVLLELITGRKAIDNTKPVKEQNLVAWARPLFRDRKRFSQMVDPLLQGQYPVRGLYQALAIAAMCVQEQPNMRPVITDIVTALNYLASQKYDPQIHPVQTSRRGSSSPRGRRDDDIRQIGGNGPERD >ONH99044 pep chromosome:Prunus_persica_NCBIv2:G6:592430:595181:1 gene:PRUPE_6G007100 transcript:ONH99044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQFVLRVPPSVAERLDRLLGENASTDDKSLDLSFEEDGRTGTFVVGNDRFPASLLDLPCVVESFKTYDDSVLIKTADIGQMIMVRDSSDAAPDTVEYRHGLTPPMRDARKRRFRREPDLNPELVRRVEEDLLNISAGGPADNIDVEAAEQEKDGDGSDRNASKKPVEEEPVSQPDVTEAATNAGEPDRSDSDESDESI >ONH99045 pep chromosome:Prunus_persica_NCBIv2:G6:592217:595181:1 gene:PRUPE_6G007100 transcript:ONH99045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQFVLRVPPSVAERLDRLLGENASTDDKSLDLSFEEDGRTGTFVVGNDRFPASLLDLPCVVESFKTYDDSVLIKTADIGQMIMVRDSSDAAPDTVEYRHGLTPPMRDARKRRFRREPDLNPELVRRVEEDLLNISAGGPADNIDVEAAEQEKDGDGSDRNASKKPVEEEPVSQPDVTEAATNAGEPDRSDSDESDESI >ONH99046 pep chromosome:Prunus_persica_NCBIv2:G6:592387:594386:1 gene:PRUPE_6G007100 transcript:ONH99046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQFVLRVPPSVAERLDRLLGENASTDDKSLDLSFEEDGRTGTFVVGNDRFPASLLDLPCVVESFKTYDDSVLIKTADIGQMIMVRDSSDAAPDTVEYRHGLTPPMRDARKRRFRREPDLNVSLASLHWNILFAILL >ONH99723 pep chromosome:Prunus_persica_NCBIv2:G6:3315425:3317483:-1 gene:PRUPE_6G045800 transcript:ONH99723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRRKIGSEIPETLIGEEKESEEGRFFACYLLSSRSPRYKGHTYIGFTVNPRRRIRQHNGEIAQGAWRTKRKRPWEMVLCIYGFPTNVSALQFEWAWQNPTVSKAVRQAAASFKSLGGLVSKIKLAYTMLTLPPWQSLNITVNFFSTQYTKHSAGCLRLPEQMKVKVCSMDELPSCTKISDDLFENEDEWCNEREFDEHMNTSTLHKETMSDHMAHNSTDDQQSDSGKRINEVCSKEVGEDEWYNGRECDEAVNDGTLQEETLSDLIVQSSADDQQDNTGKTINKAYRCSQEVGEDCTEQFGFIASPMRMPSSNVTTSFDTEVTKDTGSADAISVKLGRPAMEQLEQLTTIVADDDQSPSRSYLRPCGAEVIDLTTPAPLCRSHLCGKKSRVASVYPQIIDLTKSPNFIQL >ONI04498 pep chromosome:Prunus_persica_NCBIv2:G6:28594982:28599992:-1 gene:PRUPE_6G324600 transcript:ONI04498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQYCSLSPYKYHHNSLLHSSIPTFNSFHQQQLSFSRKKDHKILCLLLGKPNKMLHNLFDKITGQEQNGKNGRKIKGTVVLMKKNVLDFNDFNASVLDRVHELLGQGVSLQLISADHGDSENRFKGKLGEPAYLEDWITTITPLTVGDSAYKVTFDWEEEIGVPGAILIKNNHHSEFFLKTITLEDVPREGRVHFVCNSWVYPAEKYTKDRVFFVNKTFLPSETPLPLRKYREEELVHLRGNGKGELQEWDRVYDYAYYNDLGNPDKGSKYARPTLGGSSEYPYPRRGRTGRPPTKTDPNSESRIPLIMSLNVYVPRDERFGHLKLSDFLAYALKSIVQFIRPELEALFDKTPNEFDSFEDVLKLYIGGIPLPEGLLKDIGDNIPAEMLKEIFRTDGAQLLRFPMPQVIEEDKSAWRTDEEFAREMLAGVNPVNISLLQEFPPASKLDPKVYGDQTSRITEQDIGNKLDGLTVHEALKQNKLFILDHHDALMPYLRRINSTSNKIYASRTVLFLKSDGTLKPLVIELSLPHPDGDQFGRISKVYTPAEEGVEGSIWQLAKAYVAVNDSGYHQLISHWLNTHAVCEPVVIATNRQLSVVHPIYKLLHPHFRDTMNINAFARQIVINAGGILETTVFPSRYAMEMSSVVYKDWVFTEQALPADLIKRGVAVKDANSPHGLRLLIEDYPYAVDGIEIWFAIKTWVEDYCSFYYKTDDIIQKDTELQSWWKELVEEGHGDKKDEPWWPKMQTREDLVETCTIIIWTASALHAAVNFGQYPYAGYLPNRPTLSRKFMPEKGTPEYKELESSPDTVFLKTITAQLQTVLGIALIEILSRHSTDEVYLGQRDTPEWTADTEPLKVFDKFGRKLAEIEDRIESMNNDEKLKNRVGPVKMPYTLLFPTSGGGLTGRGIPNSVSI >ONI04499 pep chromosome:Prunus_persica_NCBIv2:G6:28594982:28598900:-1 gene:PRUPE_6G324600 transcript:ONI04499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHAVGENRFKGKLGEPAYLEDWITTITPLTVGDSAYKVTFDWEEEIGVPGAILIKNNHHSEFFLKTITLEDVPREGRVHFVCNSWVYPAEKYTKDRVFFVNKTFLPSETPLPLRKYREEELVHLRGNGKGELQEWDRVYDYAYYNDLGNPDKGSKYARPTLGGSSEYPYPRRGRTGRPPTKTDPNSESRIPLIMSLNVYVPRDERFGHLKLSDFLAYALKSIVQFIRPELEALFDKTPNEFDSFEDVLKLYIGGIPLPEGLLKDIGDNIPAEMLKEIFRTDGAQLLRFPMPQVIEEDKSAWRTDEEFAREMLAGVNPVNISLLQEFPPASKLDPKVYGDQTSRITEQDIGNKLDGLTVHEALKQNKLFILDHHDALMPYLRRINSTSNKIYASRTVLFLKSDGTLKPLVIELSLPHPDGDQFGRISKVYTPAEEGVEGSIWQLAKAYVAVNDSGYHQLISHWLNTHAVCEPVVIATNRQLSVVHPIYKLLHPHFRDTMNINAFARQIVINAGGILETTVFPSRYAMEMSSVVYKDWVFTEQALPADLIKRGVAVKDANSPHGLRLLIEDYPYAVDGIEIWFAIKTWVEDYCSFYYKTDDIIQKDTELQSWWKELVEEGHGDKKDEPWWPKMQTREDLVETCTIIIWTASALHAAVNFGQYPYAGYLPNRPTLSRKFMPEKGTPEYKELESSPDTVFLKTITAQLQTVLGIALIEILSRHSTDEVYLGQRDTPEWTADTEPLKVFDKFGRKLAEIEDRIESMNNDEKLKNRVGPVKMPYTLLFPTSGGGLTGRGIPNSVSI >ONI01680 pep chromosome:Prunus_persica_NCBIv2:G6:13320076:13329346:1 gene:PRUPE_6G153100 transcript:ONI01680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTLQLQIQSKRPPPPSGSSSSRNVNRLPSKCLIPSLSLLTLPFLFYLLSTAHKLHLSPKFAHSESTLFGVVVSARPAAVRLRIFHFLDGTAPSSSTSSPHGLSAFAANPDRAGGSLVPLLRFAKLQVPKKERGNTKLLFFAGPELDALGPEVAEKLLESCRKVLRSSGFWFKDEWARVIPGEEQGVYAWVSANYGLGTLQSEPQETTGIVELGGTSLQVTYAAKESLEVNSSPSRIIKLFGVTYHLYSQGLPLFGQDAAWESLYELQKSRELTPFSNSKERSLGNPCIPRGYKLTVNASDTQLLVSSMGGNFSSCKSEALSLLKRRQDKCMHAPCKIVSSFPFELRGKPVSTKNFLFTSELFGLVPTASLFELEAAGQRYCEDDWDKQKNQHHSIVDSDLLKYCFSSAYMVALLHDSLGIPMDEKRVGLPTRLETSS >ONI04851 pep chromosome:Prunus_persica_NCBIv2:G6:29565298:29574075:-1 gene:PRUPE_6G343600 transcript:ONI04851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELGQQTVEFSTLVNRTAEESFLSLKELVEKSKAAQDQSDTDKKIGLLKYLAKTQQRMLRLNVLAKWCQQVPLIQYCQQLSSTLSSHDTCFTQAADSLFFMHEGLQQACAPVYDVPSAIDILLTGSYQRLPKCVEDVGVQSSLSEDKQQPALKKLDTLVRSKLLEVSLPKEISEVKVSDGTAVLRVNGEFKVLVTLGYRGHLSMWRILHLELLVGERCGLVKLEESRRHALGDDLERRMAAAENPFTTLYSVLHELCVALVMDTVIRQVQALRQGRWKDAIRFELISDGSTSHGGSTASAQLNQDGENDSSGLRTPGLKILYWLDFDKNNGISDSASCPSIKIEPGPDLQIKCLHSTFVIDPLTGKEAEISLDQNCIDVENLLLRAICCNRYTRLLEIQKDLGKNAQIYRGKGDVSLESHVEDVDVDHKKKDDNSNVREYEGQEVLRVRAYGSSFFTLGINIRNGRFRLQSSPNILASSEFLSECEDALNQGSMTAAEVFINLRSKSILHLFASIGRFLGLEVYEHGFPAVKVPKNILNGSTELLMGFPDCGSSYFLLMQLDKDFKPLFKLLETQPGPSGKADSCHDLNHVIRIKKIDVSQMQMHEDDMNLSLLDWGKLHSFLPSAGGSNRSSENGLLSDISHGGSMPIAGCAPSSFSSVVDEVFELEKGLSVPSYSIPNVSSSLNASPASHFGSGPMNLHTIKAGSASPKWEGGMQLSQLNNSVNVSSMPTHYNGSLYSSNNLKGPIQSASLGSLSSGPGRSASVKKIPISKSDQDLASLRSPQSVEYGSCTSMDEDQLRFLNDTSKGALYGNRSSLILSPTRSTGPRISGPGVRPNGAITGSFRVVGLNSCATTPGSQAPDSGVCHSPNQDVSNRKPRKRTLSDMLNLIPSLQCVEANPGFCRRRKISEVARPQQSSSQMLMPRDIISKSEVYSYGDLISEANKGNAPSSIYVSALLHVVRHCSLGIKHARLTSQMGALDIPYVEEVGLRSISSNIWFRLPFARGDSWQHLCLRLGRPGSIYWDVKINDQHFRDLWELQKGSNSTPWGSGVRIANTSDIDCHIRYDPEGVVLSYQSVEADSIKKLVADIQRLSNARMFALGMRKLLGVRADEKPEESNTHSDFKAPGVKGSFEAADRLSEQMRRAFRIEAVGLMSLWFSFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLHALAAATRPARASPIPGVPGGAVLSSIPKLVGQSPSQGLMPTSSTTNASQSPSGPMGNSVSSTATGPLANHSLHGAAVLAAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKHFAVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQELNGLDTNFTAGQQTGLASSISQNPTSGSQLSAVNGNRVNLPGSAAMSRTGNQVAVLNRVGNASPVSSNLAVVSSGMPLRRSPGPGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQQQQPNSTTAQEELSPSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLAQAQGGDGAPAQKPRIELCLENHAGSSMDENSDNSSVAKSNIHYDRPHNSVDFALTLVLDPAHIPHINAAGGAAWLPYCVSVRLRYAFGENPNVSFLGMEGSHGGRACWLRIDDWEKCKNKVARTVELNGSSGGDSSQGRLRIVADYVQRTLHMWLQGLRDGGGVSASSGAT >ONI02446 pep chromosome:Prunus_persica_NCBIv2:G6:20551597:20552141:1 gene:PRUPE_6G198900 transcript:ONI02446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVNSKVRVGKENLIYCWDQRKITYLFINHPFSNVNTYVAQILQIWPYGVFNTDTAAYISGTAFGVCVFWAHLTFK >ONI03125 pep chromosome:Prunus_persica_NCBIv2:G6:23931104:23932170:1 gene:PRUPE_6G240500 transcript:ONI03125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIWVFAESALSLIAMKMELKNSTILTFLLLFLLATPCFSRGGSDVVGTEVYEIDYRGPETHSSIPPPDHSHGKPLIHKESAMASPKPKSSRASSMGRKAKQMHG >ONI03124 pep chromosome:Prunus_persica_NCBIv2:G6:23931104:23932170:1 gene:PRUPE_6G240500 transcript:ONI03124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIWVFAESALSLIAMKMELKNSTILTFLLLFLLATPCFSRVGGSDVVGTEVYEIDYRGPETHSSIPPPDHSHGKPLIHKESAMASPKPKSSRASSMGRKAKQMHG >ONI02793 pep chromosome:Prunus_persica_NCBIv2:G6:22727026:22733164:-1 gene:PRUPE_6G222200 transcript:ONI02793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRAPDHHLRHHHSALFFSIFLSLISLSLTPLHALQTVTAIQRRILHQPLFPDSSSPPPEIESPPIPPPPPPDVSSDPTDQPFFHELPSGSTPDQPQSPPPPSNATVPIPQASQPTKGTKRVAIAASVGIVTLGMLSALAFFLYRHRVKHPSESEKLVNGGGPGSQRFADDSRIPPSSFLYIGTVEPSRTSTTSSEAVSGPTTAELNRSPYHRLNSVKRSDRYRPSPELQPLPPLSKPPQNLNSPSPVSSSDEESHETAFHSPNCSSISYDDSYYTPRQGSVNGGANCAPIHVANSIPHSKRTSPKSRLSVSSTTRNQVAPPPPPPPPPPPMPIQTQARDAQQRAPTYSHKRPKFSSPPPPPPNLARVSRAPVPPPPPPPPSRPPILRQQEVGDSETVTKVSAMSAQVSAKTQSSASIPIGSFENGTRKTAEPVADESLVSSESFEADDAGGAKPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMETLFGCNSANSVPKAKEPIRRSVLPPVEQENRVLDPKKSQNIAILLRALNVARDEVTDSLLDGNPEGLGAELLETLVKMAPTKEEEIKLRDYQGDISKLGTAERFLKAILDIPFAFKRVEAMLYRANFNTEVKYLRKSFQTLEEASEELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKSFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGSVVDSTNENAKKMPINAKEDDFKKQGLQIVAGLGRDLSNVKNAAGMDSDVLSGYVSKLEMGLEKVKLVLQYEKPDMQGKFFNSMKIFLKEAEEEILRIKADERKALYMVKEVTEYFHGDTAKEEAHPFRIFMIVRDFLNVLDQVCKEVGRMQDRTLVGSARSFRIAATASLPVLNRYNVRQDNSSDDESLSP >ONI02792 pep chromosome:Prunus_persica_NCBIv2:G6:22727875:22733164:-1 gene:PRUPE_6G222200 transcript:ONI02792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRAPDHHLRHHHSALFFSIFLSLISLSLTPLHALQTVTAIQRRILHQPLFPDSSSPPPEIESPPIPPPPPPDVSSDPTDQPFFHELPSGSTPDQPQSPPPPSNATVPIPQASQPTKGTKRVAIAASVGIVTLGMLSALAFFLYRHRVKHPSESEKLVNGGGPGSQRFADDSRIPPSSFLYIGTVEPSRTSTTSSEAVSGPTTAELNRSPYHRLNSVKRSDRYRPSPELQPLPPLSKPPQNLNSPSPVSSSDEESHETAFHSPNCSSISYDDSYYTPRQGSVNGGANCAPIHVANSIPHSKRTSPKSRLSVSSTTRNQVAPPPPPPPPPPPMPIQTQARDAQQRAPTYSHKRPKFSSPPPPPPNLARVSRAPVPPPPPPPPSRPPILRQQEVGDSETVTKVSAMSAQVSAKTQSSASIPIGSFENGTRKTAEPVADESLVSSESFEADDAGGAKPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMETLFGCNSANSVPKAKEPIRRSVLPPVEQENRVLDPKKSQNIAILLRALNVARDEVTDSLLDGNPEGLGAELLETLVKMAPTKEEEIKLRDYQGDISKLGTAERFLKAILDIPFAFKRVEAMLYRANFNTEVKYLRKSFQTLEEASEELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKSFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGSVVDSTNENAKKMPINAKEDDFKKQGLQIVAGLGRDLSNVKNAAGMDSDVLSGYVSKLEMGLEKVKLVLQYEKPDMQGKFFNSMKIFLKEAEEEILRIKADERKALYMVKEVTEYFHGDTAKEEAHPFRIFMIVRDFLNVLDQVCKEVGRMQDRTLVGSARSFRIAATASLPVLNRYNVRQDNSSDDESLSP >ONI04901 pep chromosome:Prunus_persica_NCBIv2:G6:29711950:29721311:-1 gene:PRUPE_6G347100 transcript:ONI04901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILPHLYDGHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKFKLANPKSFHYLNQSRCYELVGVSDAHDYLATRRAMDVVGISAKEQEAIFRVVAAILHLGNIEFTKGTEVDSSVPKDDPAKFHLKMTAELLMCDVDALEDALCKRVMITPEEVIKRSLDPQSAAISRDGLAKTIYSRLFDWLVDKINVSIGQDATSKSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFANKLYQTFKTHKRFIKPKLSRTDFAIGHYAGEVLYQSDQFLDKNKDYVVPEHQDLLGASKCSFVAGLFPPLPEETAKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNLLKPAVFENVNIMQQLRCGGVLEAIRISCAGYPTRKPFFEFINRFGLLAPEVLEGNYDEKVACTKILEKKGLKGFQIGKTKVFLRAGQMAELDARRAEVLSIAAKTIQRRVRTHYARKRFIALRRATIVMQSICRGSLACKVFHCMKRESAAVKIQKNMRKYQARSTYNKLHISVLVLQTGLRAMAARKEFRFKRQTKAATIIQAVWRCHKAVKYFKKLKKGSIVAQCRMRGKIARKELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEAKAQEITKLQNSLQEMQHKVDETNALVVKERESTKKAIQDAPPVVKETQVVVEDTQKVDSLTAEVDSLKASLEAEKQRADDNERKYNEAQTSGEERRKKLEETEKKVSQLQENLTRLEEKLTNLESENQVLRQQAVSMAPNKFLSGRSRSIIQRAAESGHIGGDAKTTMDLHSPSINHRESELEDKPQKSLNEKQQENQELLIRCIAQHLGFAANRPIAACIIYKCLLQWRSFEVERTSIFDRIIQTIGNAIETQDNNDILAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGGMSGGVDSLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQRALIAHWQGIVKSLGNFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVSFYGCHYSARLYPI >ONI04900 pep chromosome:Prunus_persica_NCBIv2:G6:29710352:29722931:-1 gene:PRUPE_6G347100 transcript:ONI04900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILPHLYDGHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKFKLANPKSFHYLNQSRCYELVGVSDAHDYLATRRAMDVVGISAKEQEAIFRVVAAILHLGNIEFTKGTEVDSSVPKDDPAKFHLKMTAELLMCDVDALEDALCKRVMITPEEVIKRSLDPQSAAISRDGLAKTIYSRLFDWLVDKINVSIGQDATSKSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFANKLYQTFKTHKRFIKPKLSRTDFAIGHYAGEVLYQSDQFLDKNKDYVVPEHQDLLGASKCSFVAGLFPPLPEETAKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNLLKPAVFENVNIMQQLRCGGVLEAIRISCAGYPTRKPFFEFINRFGLLAPEVLEGNYDEKVACTKILEKKGLKGFQIGKTKVFLRAGQMAELDARRAEVLSIAAKTIQRRVRTHYARKRFIALRRATIVMQSICRGSLACKVFHCMKRESAAVKIQKNMRKYQARSTYNKLHISVLVLQTGLRAMAARKEFRFKRQTKAATIIQAVWRCHKAVKYFKKLKKGSIVAQCRMRGKIARKELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEAKAQEITKLQNSLQEMQHKVDETNALVVKERESTKKAIQDAPPVVKETQVVVEDTQKVDSLTAEVDSLKASLEAEKQRADDNERKYNEAQTSGEERRKKLEETEKKVSQLQENLTRLEEKLTNLESENQVLRQQAVSMAPNKFLSGRSRSIIQRAAESGHIGGDAKTTMDLHSPSINHRESELEDKPQKSLNEKQQENQELLIRCIAQHLGFAANRPIAACIIYKCLLQWRSFEVERTSIFDRIIQTIGNAIETQDNNDILAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGGMSGGVDSLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQRALIAHWQGIVKSLGNFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFSFLLPRTD >ONI04902 pep chromosome:Prunus_persica_NCBIv2:G6:29710352:29722931:-1 gene:PRUPE_6G347100 transcript:ONI04902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSNVWVEDPELAWIDGQVSKINGQEAEIENTNGKKIVAKLSKIYPKDMEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHLYDGHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKFKLANPKSFHYLNQSRCYELVGVSDAHDYLATRRAMDVVGISAKEQEAIFRVVAAILHLGNIEFTKGTEVDSSVPKDDPAKFHLKMTAELLMCDVDALEDALCKRVMITPEEVIKRSLDPQSAAISRDGLAKTIYSRLFDWLVDKINVSIGQDATSKSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFANKLYQTFKTHKRFIKPKLSRTDFAIGHYAGEVLYQSDQFLDKNKDYVVPEHQDLLGASKCSFVAGLFPPLPEETAKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNLLKPAVFENVNIMQQLRCGGVLEAIRISCAGYPTRKPFFEFINRFGLLAPEVLEGNYDEKVACTKILEKKGLKGFQIGKTKVFLRAGQMAELDARRAEVLSIAAKTIQRRVRTHYARKRFIALRRATIVMQSICRGSLACKVFHCMKRESAAVKIQKNMRKYQARSTYNKLHISVLVLQTGLRAMAARKEFRFKRQTKAATIIQAVWRCHKAVKYFKKLKKGSIVAQCRMRGKIARKELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEAKAQEITKLQNSLQEMQHKVDETNALVVKERESTKKAIQDAPPVVKETQVVVEDTQKVDSLTAEVDSLKASLEAEKQRADDNERKYNEAQTSGEERRKKLEETEKKVSQLQENLTRLEEKLTNLESENQVLRQQAVSMAPNKFLSGRSRSIIQRAAESGHIGGDAKTTMDLHSPSINHRESELEDKPQKSLNEKQQENQELLIRCIAQHLGFAANRPIAACIIYKCLLQWRSFEVERTSIFDRIIQTIGNAIETQDNNDILAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGGMSGGVDSLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQRALIAHWQGIVKSLGNFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPSINLSLELLF >ONI04899 pep chromosome:Prunus_persica_NCBIv2:G6:29710352:29722933:-1 gene:PRUPE_6G347100 transcript:ONI04899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSNVWVEDPELAWIDGQVSKINGQEAEIENTNGKKIVAKLSKIYPKDMEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHLYDGHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKFKLANPKSFHYLNQSRCYELVGVSDAHDYLATRRAMDVVGISAKEQEAIFRVVAAILHLGNIEFTKGTEVDSSVPKDDPAKFHLKMTAELLMCDVDALEDALCKRVMITPEEVIKRSLDPQSAAISRDGLAKTIYSRLFDWLVDKINVSIGQDATSKSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFANKLYQTFKTHKRFIKPKLSRTDFAIGHYAGEVLYQSDQFLDKNKDYVVPEHQDLLGASKCSFVAGLFPPLPEETAKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNLLKPAVFENVNIMQQLRCGGVLEAIRISCAGYPTRKPFFEFINRFGLLAPEVLEGNYDEKVACTKILEKKGLKGFQIGKTKVFLRAGQMAELDARRAEVLSIAAKTIQRRVRTHYARKRFIALRRATIVMQSICRGSLACKVFHCMKRESAAVKIQKNMRKYQARSTYNKLHISVLVLQTGLRAMAARKEFRFKRQTKAATIIQAVWRCHKAVKYFKKLKKGSIVAQCRMRGKIARKELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEAKAQEITKLQNSLQEMQHKVDETNALVVKERESTKKAIQDAPPVVKETQVVVEDTQKVDSLTAEVDSLKASLEAEKQRADDNERKYNEAQTSGEERRKKLEETEKKVSQLQENLTRLEEKLTNLESENQVLRQQAVSMAPNKFLSGRSRSIIQRAAESGHIGGDAKTTMDLHSPSINHRESELEDKPQKSLNEKQQENQELLIRCIAQHLGFAANRPIAACIIYKCLLQWRSFEVERTSIFDRIIQTIGNAIETQDNNDILAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGGMSGGVDSLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTEAQRALIAHWQGIVKSLGNFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFSFLLPRTD >ONI01019 pep chromosome:Prunus_persica_NCBIv2:G6:8532354:8534287:1 gene:PRUPE_6G116800 transcript:ONI01019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNCCYWEGITCNQDGWVTRLHLPSKGLKGGIHPSLGNLTHLTHLNLSHNSLYCPTSKEIEFFLPLNRLEILDLSYNLLSGELPLSLPSNIQIVDLSTNHFHGVVPSSFFQQARNLTSFNVSNNTFSGPIPSFICPHSSPLIKLLDFSYNKFSGNISRGLGECSTLQVFRAGNNNLSGLLPEDIYNATTLQEIVLPVNLLHGTISDRIVNLTNLAILNLYYNQLSGVLPFHIGKLSKLKLILLHFNYLEGSFPPTLMNCTNLIELHIGDNNLEGDISALNFSKLGQLKGQIQPEIISLKSLSFLSLSGNKWTNITGAMKILMRCESLVYITFSYSFIGEEILADDGLVGFDGFKNLRILSLCGCELTGQIPLWFSKLKKLEILNLDLNRFTGSIPSWLGTLPRLFYINLGSNFISGEFPKQLCRLAMLLSDRTAAQVDHNYLELPIFIRLRDNVKALQYNYLFYFPPSIYLYNNSISGNVPTEIGQLRLLHKLDLSFNNFSGSIPDQISNLKDLDTLDLSLNHLTGKIPDSLKSLNFLSYLNVSYNDLEGPIPTSTQLQSFNCKIIYYPNPFRIGLLFIIGLQDSRLIKSSTQLSTCSLCVSVPYGLQG >ONH99076 pep chromosome:Prunus_persica_NCBIv2:G6:730387:730979:1 gene:PRUPE_6G009500 transcript:ONH99076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTEANLKGLQDFQHYSSTISSLKLRNDIAIPSVVINRFRATFVQGAIQHLRSEPPQKELFICNLKFLYQAKAQHRVDIFIPST >ONI04123 pep chromosome:Prunus_persica_NCBIv2:G6:27534914:27535180:-1 gene:PRUPE_6G304200 transcript:ONI04123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNNRQKKSSFSFFSLFKSRRPRRGDDMGEDLYMSARRVWVSEEDRGGWVAEPGIDNKATAFIDRIHKCIVSESDCQTLTVNPAGKS >ONI05170 pep chromosome:Prunus_persica_NCBIv2:G6:30384631:30387081:1 gene:PRUPE_6G359500 transcript:ONI05170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPLDFRSPCLVSVTLILLLLVALLFSPLNQQHHLSLNLSSSLTSPSSSFYHQQQITTDNHTTLHFAPAPSPSQALLEFQRHQSPPSTSAPQLTHTTIKKNQSKTERIEQELSVARAIIRKAIVTKNCTSNSNEIYIPTGSVYRNPYAFHQSHVEMVKRFKIWAYREGELPMVHNGPTTYIYSIEGQFIFEMESGPSRFMAEHPDEAHAFFLPLSVSKITDFLIRPHHETFFHRLHRVFTDYIYVISDKYPYWNRSAGGDHFFVSCHDWAPIISRDDPRPYKNLMKVLCNANTSEGFKPTRDVSLPEYNLKAYELGPPRFGERPSQRPILAFFAGAAHGDIRSILFEHWKEKDDEVRVYEKLPEKMNYHKLMGQTKFCLCPSGSEVASPRVVEAMYAGCVPVLISDYYAVPFDDVLDWTKFSIQIPPKRIPDIKSILKAVPYSKYLKLQKRVMQVRRHFELNRPAKPYDVFHMVLHSVWLRRLNIRLPDRF >ONI02772 pep chromosome:Prunus_persica_NCBIv2:G6:22652123:22653818:1 gene:PRUPE_6G221000 transcript:ONI02772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFSSSSSSEGDGDSEWKAAINSAAAGASSVMSSFMNGFSANENGGGGGGGGGDYNQSKTQQLPKHYQIKAQKLLDEIIGKSLEIVNDPLFHLPDDEAVVDEDEGCIRLFKNAPPGIVFDHVDEKPLRKRPRILPGREINEKSKKFRRRLESVVVDGKDIMAAARDRSLRSLSRLEAKDEAAKAATKREEERVAQLKKIRGEKWLPCIAREMQLKR >ONI02771 pep chromosome:Prunus_persica_NCBIv2:G6:22652123:22653818:1 gene:PRUPE_6G221000 transcript:ONI02771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFSSSSSSEGDGDSEWKAAINSAAAGASSVMSSFMNGFSANENGGGGGGGGGDYNQSKTQQLPKHYQIKAQKLLDEIIGKSLEIVNDPLFHLPDDEAVVDEDEGCIRLFKNAPPGIVFDHVVMRMLSDEKPLRKRPRILPGREINEKSKKFRRRLESVVVDGKDIMAAARDRSLRSLSRLEAKDEAAKAATKREEERVAQLKKIRGEKWLPCIAREMQLKR >ONI02478 pep chromosome:Prunus_persica_NCBIv2:G6:20912817:20917974:1 gene:PRUPE_6G201200 transcript:ONI02478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLNQLWKRFRGEDKPPETLGSSRDYNVDMIPKFMMANGGLVRVLIHTDVTKYLNFKAVDGSFVYNKGKIHKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYDENDPKSHEGLELNKVTARELISKYGLDDNTVDFIGHALALHRDDSYLGEPAMDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLSKPECKVEFENGKAFGVTSEGETAKCKKVVCDPSYLPDKVKKIGKVARAICIMSHPIPSTHDSHSVQVILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVLTEAETDNPQVELKAGTDLLGPIDEIFFDTYDRYEPTNQNDGDNCFISASYDATTHFETTVQDVIAMYSKITGKTLDLSVDLSAASAAEE >ONI04522 pep chromosome:Prunus_persica_NCBIv2:G6:28659015:28664023:1 gene:PRUPE_6G326000 transcript:ONI04522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQMVEMIPENCDHVVPDHDSKPSSTSETKAATCELDSYVSDKGIATQEVEGSDLVDESSESNGTQEASSYQGQTLPILQKIIDLSAKIQDLKKDHTVLSDQVKLTTHSFPDPEVLNTIQLLSMEHELLKKKYLDESSERKRLYNEVIELKGNIRVFCRCRPLNPNEISTGSGSVIEFESSLDNELQVICSDSSKKQFKFDHVFRPEDNQEAVFAQTKPIVTSVLDGFNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRISKDRGGFMRYELCVSMLEVYNEKIRDLLVNSTNQPTKKLEIKQVAEGTIDVPGLVEAHVYGFEEMWELLKSGSRARSVGSTSANELSSRSHCLLRVTVKGENLINGQRTRSQLWLVDLAGSERVGRIDVEGERLKESQFINKSLSALGDVISSLASKTAHIPYRNSKLTHMLQSSLGGDCKTLMFVQIGPSASDLGETLCSLNFASRVRGIESGPARKQADLTELFKYKQMAEKAKQDEKETKKLQDGLQSLQLRLAAREHICRNLQEKVRDLENQLGEERKTRLKQETRAFAAASHQSSASSFRKQAAQKTAVEKKPPLAPSKSRLPLRRITNYMPPALPPKKPSYTTSVVPSSMDGKENISTTLAGRNQKSLILPRRISIAVRPPTTTSSQILQPKRRVSIATYRPEPNSHMTTPLHTSASRFNNGRQSFMRDPRKARYSRLFSPMPELTTEAETTPATMRRSSKFMGSPPAQLGSAKERHPTAVALQRKPVIWSPLKLRGMKNNRRPSLLLPSRVSYEMQ >ONI04523 pep chromosome:Prunus_persica_NCBIv2:G6:28659015:28664023:1 gene:PRUPE_6G326000 transcript:ONI04523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQMVEMIPENCDHVVPDHDSKPSSTSETSIATQEVEGSDLVDESSESNGTQEASSYQGQTLPILQKIIDLSAKIQDLKKDHTVLSDQVKLTTHSFPDPEVLNTIQLLSMEHELLKKKYLDESSERKRLYNEVIELKGNIRVFCRCRPLNPNEISTGSGSVIEFESSLDNELQVICSDSSKKQFKFDHVFRPEDNQEAVFAQTKPIVTSVLDGFNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRISKDRGGFMRYELCVSMLEVYNEKIRDLLVNSTNQPTKKLEIKQVAEGTIDVPGLVEAHVYGFEEMWELLKSGSRARSVGSTSANELSSRSHCLLRVTVKGENLINGQRTRSQLWLVDLAGSERVGRIDVEGERLKESQFINKSLSALGDVISSLASKTAHIPYRNSKLTHMLQSSLGGDCKTLMFVQIGPSASDLGETLCSLNFASRVRGIESGPARKQADLTELFKYKQMAEKAKQDEKETKKLQDGLQSLQLRLAAREHICRNLQEKVRDLENQLGEERKTRLKQETRAFAAASHQSSASSFRKQAAQKTAVEKKPPLAPSKSRLPLRRITNYMPPALPPKKPSYTTSVVPSSMDGKENISTTLAGRNQKSLILPRRISIAVRPPTTTSSQILQPKRRVSIATYRPEPNSHMTTPLHTSASRFNNGRQSFMRDPRKARYSRLFSPMPELTTEAETTPATMRRSSKFMGSPPAQLGSAKERHPTAVALQRKPVIWSPLKLRGMKNNRRPSLLLPSRVSYEMQ >ONI02477 pep chromosome:Prunus_persica_NCBIv2:G6:20909225:20912486:1 gene:PRUPE_6G201100 transcript:ONI02477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASVDIFIGKFVAILESEAASIAGVHDQVDEIKQELVFMKSFLADADEGNKVDTQVDEAWIGSIRDLANDVENIIDEFMYHIYVQHRGRRFARWLRKTIHFPKNLWYKRQIANKLQKIAVRIRAIHERNLRYGGRAAVEGKSTSEDIRRWVQTQAESSLYQKEDELVGIEGDKNMLMGWLMNKEEHQIVVSVVGMGGSGKTTLVARTFTNHVVKSHFECYAWITVSQSYVLEDLLIRLMTEFHKARKEEVPTNMNSMSRHELLEILVNYLETKRYLVVLDDVWDIHLWEKIRFSFPDTQLGSRIMITTRREDIASSSFQVESHVHKIRPLERSDAWVLFSKKAFSSYPNKSCSPELLPLAQELVERCGGLPLAIVALSGLMSSKKSLTEWSTVYNTLNWHLTNNPLLEPMKSVLLFSYNDLPYRLKQCFLYCSLFPEDTVILNMRITRLWIAEGFVEHVKGLTPEEVANSYVMELIFRNMLQERYQEHNHACKMHDVMLEIALSIAEKEKFCSVHEGSETMEETGALRLSIQTTNGEIGYSCTGLSRLRSFLVFATGASSFSFSKTLLFDLTLLRVLDLEDVPIDNLPDEVTYLFNLKYLNLRGTPIKELPESIGQLRNLQTLNIMDTNIEALPRGISKLLNLRHLVMSHYQNLSQVIGVKIPSSISKMKKLQYLGCIKSEGNIIRLIGNMTQLKALGITNVKERDEEDLCASIQEMKVLSKLGLWVADGEDFLRVDALSSPPPFLDTLTLSGKLEKVPHWVCSLHSLIYLRMGGSRLEEEVLPHIEALPSLRLLCLDNSYVGKELCFSSGFVKLTYMALVNFSLLNKITIEEGVMPNLDFLIINTCLSLERLPLGIEHLTKLEGYTFESVSEQFTESIREGGVDHARMLLVDERCKKYAKKSYY >ONH99448 pep chromosome:Prunus_persica_NCBIv2:G6:2331973:2333300:1 gene:PRUPE_6G029800 transcript:ONH99448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGFAFQMQRVPIPTLYLCFLVGYKCGEGLPLGMGVGIFRSNIILAGGLEAVYDGYTNFPPNPRKDVYQFDSKNSTYPIPFLQQGKSCPFLAEHNGKLYVLSTFSLKFSAGHHFTPSFEMFDPKEGKWASLPEPPPFTRDYLKHDPFTAHAVVGSNIFASSIFSPIFCFNMDDPNQEWKVHEFNMDDPSQDQEWDCQGFPMEFNGQALAAETKDGDWVIFKLYATKTLLKLATTLMKTTTMSTTRTTLIWRSIIIIVLVLLVIMNGGVRLYVGI >ONI01421 pep chromosome:Prunus_persica_NCBIv2:G6:11237098:11246480:1 gene:PRUPE_6G138300 transcript:ONI01421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSVVKKLLEDDEDETMHSGADLLAFQAALNKDIEGDVSASQPSDSDTAVLCQGSNNTSSQSLLQLSIASQDENTAGQIQQDQKIAQHRELHLYEMEPKQQGSIAENMQQKNDASKVLNHFPLPQKQPHGDLQEGQAEQKPLQIPETTGMLISEKHPSSTEEHGIAPNLKSESQYLKLQKMSSQQSMITEQPRNPSNQSRQVVFYQLYPLLLPQLNKDRGRQLTTLFGRLKSRQILKDAFVRELKSIVGDEMLRMAVKEAPLMPSPNHRLLPKASVPQQPPRMLSISAGAAQLTNPRSSALHLRGPNSATDPSHSLPSTVQVQTDSSHPLIKNSARKLPEAECHSDSEGMQVSQISSSSVVATNQERECFSVPMHGNAGGTCHLFSGTSVNTCALPVKPQPSDAQLRQVLQHQSIGSTQSGGEARGANIMSVSKTERQNSMNEPSRLQEQSSRPVSSMAYVKQELIDQTAEQQHKPPLPSSHGLPSVSAGVLEQGNASSVISMDESLEKQPSRMGVSSSPSSSTITVPQSSVSPSFMMQVNPSVSLGPRISSGTSPCGINNKTPPKKPSIGRKKPLEALGSSPPQSSKKQKVSGAFSDIEQLNDVTAVSGVNLREEEEQLFPGPKEDSRASEASRKSVQKEEERLILEKAPLQKKLAEIMVKCGLKSVTHDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKSRHHTITNSDVRQQIMDLNQKAREEWEKKQAGAEKIRRLNEPEVDNGVDGDEEKDESHPKSFKTNIEADGKLRTTAANVAARAAVGGDDMFSKWQLMAQARQKHEGEIDAASGSQPGKDVNHKPTSTTGRIMKGSQEAEKRGGPAPVARAGPIRKSGRNQVIMPQTRMARTISVKDMIAALEREPQMSKSMLIYRLHERIQS >ONI01425 pep chromosome:Prunus_persica_NCBIv2:G6:11239468:11246480:1 gene:PRUPE_6G138300 transcript:ONI01425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSLSLSLSLSLSLSDVSQANITFSFLIKCPKDETMHSGADLLAFQAALNKDIEGDVSASQPSDSDTAVLCQGSNNTSSQSLLQLSIASQDENTAGQIQQDQKIAQHRELHLYEMEPKQQGSIAENMQQKNDASKVLNHFPLPQKQPHGDLQEGQAEQKPLQIPETTGMLISEKHPSSTEEHGIAPNLKSESQYLKLQKMSSQQSMITEQPRNPSNQSRQVVFYQLYPLLLPQLNKDRGRQLTTLFGRLKSRQILKDAFVRELKSIVGDEMLRMAVKEAPLMPSPNHRLLPKASVPQQPPRMLSISAGAAQLTNPRSSALHLRGPNSATDPSHSLPSTVQVQTDSSHPLIKNSARKLPEAECHSDSEGMQVSQISSSSVVATNQERECFSVPMHGNAGGTCHLFSGTSVNTCALPVKPQPSDAQLRQVLQHQSIGSTQSGGEARGANIMSVSKTERQNSMNEPSRLQGGSLTHFTTNATLQQNPNPSQSSNKEQSSRPVSSMAYVKQELIDQTAEQQHKPPLPSSHGLPSVSAGVLEQGNASSVISMDESLEKQPSRMGVSSSPSSSTITVPQSSVSPSFMMQVNPSVSLGPRISSGTSPCGINNKTPPKKPSIGRKKPLEALGSSPPQSSKKQKVSGAFSDIEQLNDVTAVSGVNLREEEEQLFPGPKEDSRASEASRKSVQKEEERLILEKAPLQKKLAEIMVKCGLKSVTHDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKSRHHTITNSDVRQQIMDLNQKAREEWEKKQAGAEKIRRLNEPEVDNGVDGDEEKDESHPKSFKTNIEADGKLRTTAANVAARAAVGGDDMFSKWQLMAQARQKHEGEIDAASGSQPGKDVNHKPTSTTGRIMKGSQEAEKRGGPAPVARAGPIRKSGRNQVIMPQTRMARTISVKDMIAALEREPQMSKSMLIYRLHERIQS >ONI01422 pep chromosome:Prunus_persica_NCBIv2:G6:11237087:11246480:1 gene:PRUPE_6G138300 transcript:ONI01422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSVVKKLLEDDEDETMHSGADLLAFQAALNKDIEGDVSASQPSDSDTAVLCQGSNNTSSQSLLQLSIASQDENTAGQIQQDQKIAQHRELHLYEMEPKQQGSIAENMQQKNDASKVLNHFPLPQKQPHGDLQEGQAEQKPLQIPETTGMLISEKHPSSTEEHGIAPNLKSESQYLKLQKMSSQQSMITEQPRNPSNQSRQVVFYQLYPLLLPQLNKDRGRQLTTLFGRLKPSPNHRLLPKASVPQQPPRMLSISAGAAQLTNPRSSALHLRGPNSATDPSHSLPSTVQVQTDSSHPLIKNSARKLPEAECHSDSEGMQVSQISSSSVVATNQERECFSVPMHGNAGGTCHLFSGTSVNTCALPVKPQPSDAQLRQVLQHQSIGSTQSGGEARGANIMSVSKTERQNSMNEPSRLQGGSLTHFTTNATLQQNPNPSQSSNKEQSSRPVSSMAYVKQELIDQTAEQQHKPPLPSSHGLPSVSAGVLEQGNASSVISMDESLEKQPSRMGVSSSPSSSTITVPQSSVSPSFMMQVNPSVSLGPRISSGTSPCGINNKTPPKKPSIGRKKPLEALGSSPPQSSKKQKVSGAFSDIEQLNDVTAVSGVNLREEEEQLFPGPKEDSRASEASRKSVQKEEERLILEKAPLQKKLAEIMVKCGLKSVTHDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKSRHHTITNSDVRQQIMDLNQKAREEWEKKQAGAEKIRRLNEPEVDNGVDGDEEKDESHPKSFKTNIEADGKLRTTAANVAARAAVGGDDMFSKWQLMAQARQKHEGEIDAASGSQPGKDVNHKPTSTTGRIMKGSQEAEKRGGPAPVARAGPIRKSGRNQVIMPQTRMARTISVKDMIAALEREPQMSKSMLIYRLHERIQS >ONI01423 pep chromosome:Prunus_persica_NCBIv2:G6:11237098:11246480:1 gene:PRUPE_6G138300 transcript:ONI01423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSVVKKLLEDDEDETMHSGADLLAFQAALNKDIEGDVSASQPSDSDTAVLCQGSNNTSSQSLLQLSIASQDENTAGQIQQDQKIAQHRELHLYEMEPKQQGSIAENMQQKNDASKVLNHFPLPQKQPHGDLQEGQAEQKPLQIPETTGMLISEKHPSSTEEHGIAPNLKSESQYLKLQKMSSQQSMITEQPRNPSNQSRQVVFYQLYPLLLPQLNKDRGRQLTTLFGRLKPSPNHRLLPKASVPQQPPRMLSISAGAAQLTNPRSSALHLRGPNSATDPSHSLPSTVQVQTDSSHPLIKNSARKLPEAECHSDSEGMQVSQISSSSVVATNQERECFSVPMHGNAGGTCHLFSGTSVNTCALPVKPQPSDAQLRQVLQHQSIGSTQSGGEARGANIMSVSKTERQNSMNEPSRLQEQSSRPVSSMAYVKQELIDQTAEQQHKPPLPSSHGLPSVSAGVLEQGNASSVISMDESLEKQPSRMGVSSSPSSSTITVPQSSVSPSFMMQVNPSVSLGPRISSGTSPCGINNKTPPKKPSIGRKKPLEALGSSPPQSSKKQKVSGAFSDIEQLNDVTAVSGVNLREEEEQLFPGPKEDSRASEASRKSVQKEEERLILEKAPLQKKLAEIMVKCGLKSVTHDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKSRHHTITNSDVRQQIMDLNQKAREEWEKKQAGAEKIRRLNEPEVDNGVDGDEEKDESHPKSFKTNIEADGKLRTTAANVAARAAVGGDDMFSKWQLMAQARQKHEGEIDAASGSQPGKDVNHKPTSTTGRIMKGSQEAEKRGGPAPVARAGPIRKSGRNQVIMPQTRMARTISVKDMIAALEREPQMSKSMLIYRLHERIQS >ONI01426 pep chromosome:Prunus_persica_NCBIv2:G6:11239468:11246480:1 gene:PRUPE_6G138300 transcript:ONI01426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSLSLSLSLSLSLSDVSQANITFSFLIKCPKDETMHSGADLLAFQAALNKDIEGDVSASQPSDSDTAVLCQGSNNTSSQSLLQLSIASQDENTAGQIQQDQKIAQHRELHLYEMEPKQQGSIAENMQQKNDASKVLNHFPLPQKQPHGDLQEGQAEQKPLQIPETTGMLISEKHPSSTEEHGIAPNLKSESQYLKLQKMSSQQSMITEQPRNPSNQSRQVVFYQLYPLLLPQLNKDRGRQLTTLFGRLKSRQILKDAFVRELKSIVGDEMLRMAVKEAPLMPSPNHRLLPKASVPQQPPRMLSISAGAAQLTNPRSSALHLRGPNSATDPSHSLPSTVQVQTDSSHPLIKNSARKLPEAECHSDSEGMQVSQISSSSVVATNQERECFSVPMHGNAGGTCHLFSGTSVNTCALPVKPQPSDAQLRQVLQHQSIGSTQSGGEARGANIMSVSKTERQNSMNEPSRLQEQSSRPVSSMAYVKQELIDQTAEQQHKPPLPSSHGLPSVSAGVLEQGNASSVISMDESLEKQPSRMGVSSSPSSSTITVPQSSVSPSFMMQVNPSVSLGPRISSGTSPCGINNKTPPKKPSIGRKKPLEALGSSPPQSSKKQKVSGAFSDIEQLNDVTAVSGVNLREEEEQLFPGPKEDSRASEASRKSVQKEEERLILEKAPLQKKLAEIMVKCGLKSVTHDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKSRHHTITNSDVRQQIMDLNQKAREEWEKKQAGAEKIRRLNEPEVDNGVDGDEEKDESHPKSFKTNIEADGKLRTTAANVAARAAVGGDDMFSKWQLMAQARQKHEGEIDAASGSQPGKDVNHKPTSTTGRIMKGSQEAEKRGGPAPVARAGPIRKSGRNQVIMPQTRMARTISVKDMIAALEREPQMSKSMLIYRLHERIQS >ONI01424 pep chromosome:Prunus_persica_NCBIv2:G6:11237132:11245267:1 gene:PRUPE_6G138300 transcript:ONI01424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSVVKKLLEDDEDETMHSGADLLAFQAALNKDIEGDVSASQPSDSDTAVLCQGSNNTSSQSLLQLSIASQDENTAGQIQQDQKIAQHRELHLYEMEPKQQGSIAENMQQKNDASKVLNHFPLPQKQPHGDLQEGQAEQKPLQIPETTGMLISEKHPSSTEEHGIAPNLKSESQYLKLQKMSSQQSMITEQPRNPSNQSRQVVFYQLYPLLLPQLNKDRGRQLTTLFGRLKSRQILKDAFVRELKSIVGDEMLRMAVKEAPLMPSPNHRLLPKASVPQQPPRMLSISAGAAQLTNPRSSALHLRGPNSATDPSHSLPSTVQVQTDSSHPLIKNSARKLPEAECHSDSEGMQVSQISSSSVVATNQERECFSVPMHGNAGGTCHLFSGTSVNTCALPVKPQPSDAQLRQVLQHQSIGSTQSGGEARGANIMSVSKTERQNSMNEPSRLQGGSLTHFTTNATLQQNPNPSQSSNKEQSSRPVSSMAYVKQELIDQTAEQQHKPPLPSSHGLPSVSAGVLEQGNASSVISMDESLEKQPSRMGVSSSPSSSTITVPQSSVSPSFMMQVNPSVSLGPRISSGTSPCGINNKTPPKKPSIGRKKPLEALGSSPPQSSKKQKVSGAFSDIEQLNDVTAVSGVNLREEEEQLFPGPKEDSRASEASRKSVQKEEERLILEKAPLQKKLAEIMVKCGLKSVTHDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKSRHHTITNSDVRQQIMDLNQKAREEWEKKQAGAEKIRRLNEPEVDNGVDGDEEKDESHPKSFKTNIEADGKLRTTAANVAARAAVGGDDMFSKWQLMAQARQKHEGEIDAASGSQPGKDVNHKPTSTTGRIMKGSQEAEKRGGPAPVARAGKYH >ONI01420 pep chromosome:Prunus_persica_NCBIv2:G6:11237087:11246485:1 gene:PRUPE_6G138300 transcript:ONI01420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSVVKKLLEDDEDETMHSGADLLAFQAALNKDIEGDVSASQPSDSDTAVLCQGSNNTSSQSLLQLSIASQDENTAGQIQQDQKIAQHRELHLYEMEPKQQGSIAENMQQKNDASKVLNHFPLPQKQPHGDLQEGQAEQKPLQIPETTGMLISEKHPSSTEEHGIAPNLKSESQYLKLQKMSSQQSMITEQPRNPSNQSRQVVFYQLYPLLLPQLNKDRGRQLTTLFGRLKSRQILKDAFVRELKSIVGDEMLRMAVKEAPLMPSPNHRLLPKASVPQQPPRMLSISAGAAQLTNPRSSALHLRGPNSATDPSHSLPSTVQVQTDSSHPLIKNSARKLPEAECHSDSEGMQVSQISSSSVVATNQERECFSVPMHGNAGGTCHLFSGTSVNTCALPVKPQPSDAQLRQVLQHQSIGSTQSGGEARGANIMSVSKTERQNSMNEPSRLQGGSLTHFTTNATLQQNPNPSQSSNKEQSSRPVSSMAYVKQELIDQTAEQQHKPPLPSSHGLPSVSAGVLEQGNASSVISMDESLEKQPSRMGVSSSPSSSTITVPQSSVSPSFMMQVNPSVSLGPRISSGTSPCGINNKTPPKKPSIGRKKPLEALGSSPPQSSKKQKVSGAFSDIEQLNDVTAVSGVNLREEEEQLFPGPKEDSRASEASRKSVQKEEERLILEKAPLQKKLAEIMVKCGLKSVTHDVERCLSLCVEERMRGLINNLIRLSKQRVDAEKSRHHTITNSDVRQQIMDLNQKAREEWEKKQAGAEKIRRLNEPEVDNGVDGDEEKDESHPKSFKTNIEADGKLRTTAANVAARAAVGGDDMFSKWQLMAQARQKHEGEIDAASGSQPGKDVNHKPTSTTGRIMKGSQEAEKRGGPAPVARAGPIRKSGRNQVIMPQTRMARTISVKDMIAALEREPQMSKSMLIYRLHERIQS >ONI01785 pep chromosome:Prunus_persica_NCBIv2:G6:14250887:14254910:-1 gene:PRUPE_6G158300 transcript:ONI01785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLACLLALAFVAAEAQQMQSNISQGSSLTTTNSTWLSRSGLYAFGFYRQGDGYAVGIFLAGIPQKTVVWTANRDDPPVSNNATLLFTGDGLALPTAEGQNYLVKSSGSASYASMLNSGNFVLYNSGREIVWQSFDHPTDTLLPGQRLLSGNELFSAKSEADHSTGIFRLKMQNDGNLVQYPVDTPDTSVYAFYSSLTVGQGENVTLNFGADGHLYLLNRNGTNIRNITDGGLPTDEGKLYLMRIDVGGIFRLYSHDLKKNAIWSVEWESSKDKCVPKGLCGLNSYCVLIDLEPDCKCLPRFKSVNQGNQTFGCERNFVADACINRNENFTYTMEELESTWEDDSYMSLRLSDKDDCIQGCLEDCDCEAVFFDGTNCRKQRLPLRFGRRDKGTSERAFIKVGVPTKPDTDPRIVQPGSKKKAHKWIWIGTAIGAALLVMVLCISSYLLRRKLFSGETNPMSENEMLEWMESDRSTGDVKGLQNDGKMGNNLTIFSYASVVAATTNFSEENKLGQGGFGPVYKGKLVTGREIAVKRLSRCSGQGTSEFKNELILISELQHTNLVHLFGFCIHGEERMLIYAYMPNKSLDYFLFDSTRAMLLDWTKRFNIIEGIAQGLLYLHKYSRMRVIHRDLKASNILLDEYMKPKISDFGLARIFTHNELEANTNRIVGTYGYMSPEYAMEGIFSIKSDVYSFGVLVLEIISGRRNSSFYIADHVLNIVGYAWELWKEGRGLELMDPTLKDSCTEDQLLRCFQVGLLCVEENAADRPSMSDVVSMLTTETISLPLPTRPAFITIRNVIVSDISISRRELQIISVNGLSNTTVAGR >ONI01746 pep chromosome:Prunus_persica_NCBIv2:G6:13799397:13800946:-1 gene:PRUPE_6G156400 transcript:ONI01746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQFCGCRKDFTAYADVCFKNFGDRVLHWTTMNEPNVFVLGGYDAGFLPPQRCSIPFGLNCSRGNSSTEPYLATHNILLSHASAARLYKKNYQDKQRGFIGINLFAFWFFPRTKTIEDELATQRGFDFYFGWYLNPLVFGDYPDVMKKNAGSRIPFFTRLESQSVKGSFDFIGFNYYTAVYAEDNSVSLESTNRDYIADSAMKTIFQRRYCAETLQDLVIDGLCDNTHTNSLRIKNTHTTKRVSKHTRIQRCIEVQLCTYILFGDPL >ONH99039 pep chromosome:Prunus_persica_NCBIv2:G6:574437:580815:-1 gene:PRUPE_6G006900 transcript:ONH99039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVPSNSHGNLDEQIAQLMQCKPLSEPEVRGLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >ONI02154 pep chromosome:Prunus_persica_NCBIv2:G6:18619428:18621530:-1 gene:PRUPE_6G180300 transcript:ONI02154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDLRTILETSGVDVWTFIDTAIVVASVDYGTELKHRRDGIVERLYATTCMPPRCPNCDTDGPNGNQPKGVSPYTPQFVDRDDDEEEDKEGLDPYGGLFDDEQKNILEIKKQLEDPHQSEDSLVELLQSLADMEISFQELKEADIGRHVSQLRKQHPSDVVRRLAKQVVRKWKGIVDEWVKLHGELPSSSAMVDEDSPQQKIPQNGLHQVPDFAYSPNPHNGSSGSDKNNSEPERKPKAVPRREAPPKPAQSTPMSASAAQNRQREQKESNFDNDRLASARKRLQANYKEAENAKKQRTIQVMDIHDIPKPKNSFIAKNKGGGGSGSHQGRHW >ONI02152 pep chromosome:Prunus_persica_NCBIv2:G6:18619428:18621530:-1 gene:PRUPE_6G180300 transcript:ONI02152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDLRTILETSGVDVWTFIDTAIVVASVDYGTELKHRRDGIVERLYATTCMPPRCPNCDTDGPNGNQPKGVSPYTPQFVDRDDDEEEDKEGLDPYGGLFDDEQKNILEIKKQLEDPHQSEDSLVELLQSLADMEISFQELKEADIGRHVSQLRKQHPSDVVRRLAKQVVRKWKGIVDEWVKLHGELPSSSAMVDEDSPQQKIPQNGLHQVPDFAYSPNPHNGSSGSDKNNSEPERKPKAVPRREAPPKPAQSTPMSASAAQNRQREQKESNFDNDRLASARKRLQANYKEAENAKKQRTIQVMDIHDIPKPKNSFIAKNKGGGGSGSHQGRHW >ONI02155 pep chromosome:Prunus_persica_NCBIv2:G6:18618735:18623085:-1 gene:PRUPE_6G180300 transcript:ONI02155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDLRTILETSGVDVWTFIDTAIVVASVDYGTELKHRRDGIVERLYATTCMPPRCPNCDTDGPNGNQPKGVSPYTPQFVDRDDDEEEDKEGLDPYGGLFDDEQKNILEIKKQLEDPHQSEDSLVELLQSLADMEISFQELKEADIGRHVSQLRKQHPSDVVRRLAKQVVRKWKGIVDEWVKLHGELPSSSAMVDEDSPQQKIPQNGLHQVPDFAYSPNPHNGSSGSDKNNSEPERKPKAVPRREAPPKPAQSTPMSASAAQNRQREQKESNFDNDRLASARKRLQANYKEAENAKKQRTIQVMDIHDIPKPKNSFIAKNKGGGGSGSHQGRHW >ONI02151 pep chromosome:Prunus_persica_NCBIv2:G6:18619428:18621530:-1 gene:PRUPE_6G180300 transcript:ONI02151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDLRTILETSGVDVWTFIDTAIVVASVDYGTELKHRRDGIVERLYATTCMPPRCPNCDTDGPNGNQPKGVSPYTPQFVDRDDDEEEDKEGLDPYGGLFDDEQKNILEIKKQLEDPHQSEDSLVELLQSLADMEISFQELKEADIGRHVSQLRKQHPSDVVRRLAKQVVRKWKGIVDEWVKLHGELPSSSAMVDEDSPQQKIPQNGLHQVPDFAYSPNPHNGSSGSDKNNSEPERKPKAVPRREAPPKPAQSTPMSASAAQNRQREQKESNFDNDRLASARKRLQANYKEAENAKKQRTIQVMDIHDIPKPKNSFIAKNKGGGGSGSHQGRHW >ONI02156 pep chromosome:Prunus_persica_NCBIv2:G6:18618890:18623085:-1 gene:PRUPE_6G180300 transcript:ONI02156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDLRTILETSGVDVWTFIDTAIVVASVDYGTELKHRRDGIVERLYATTCMPPRCPNCDTDGPNGNQPKGVSPYTPQFVDRDDDEEEDKEGLDPYGGLFDDEQKNILEIKKQLEDPHQSEDSLVELLQSLADMEISFQELKEADIGRHVSQLRKQHPSDVVRRLAKQVVRKWKGIVDEWVKLHGELPSSSAMVDEDSPQQKIPQNGLHQVPDFAYSPNPHNGSSGSDKNNSEPERKPKAVPRREAPPKPAQSTPMSASAAQNRQREQKESNFDNDRLASARKRLQANYKEAENAKKQRTIQVMDIHDIPKPKNSFIAKNKGGGGSGSHQGRHW >ONI02153 pep chromosome:Prunus_persica_NCBIv2:G6:18618890:18623176:-1 gene:PRUPE_6G180300 transcript:ONI02153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDLRTILETSGVDVWTFIDTAIVVASVDYGTELKHRRDGIVERLYATTCMPPRCPNCDTDGPNGNQPKGVSPYTPQFVDRDDDEEEDKEGLDPYGGLFDDEQKNILEIKKQLEDPHQSEDSLVELLQSLADMEISFQELKEADIGRHVSQLRKQHPSDVVRRLAKQVVRKWKGIVDEWVKLHGELPSSSAMVDEDSPQQKIPQNGLHQVPDFAYSPNPHNGSSGSDKNNSEPERKPKAVPRREAPPKPAQSTPMSASAAQNRQREQKESNFDNDRLASARKRLQANYKEAENAKKQRTIQVMDIHDIPKPKNSFIAKNKGGGGSGSHQGRHW >ONH99215 pep chromosome:Prunus_persica_NCBIv2:G6:1346613:1350554:-1 gene:PRUPE_6G018400 transcript:ONH99215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQPQDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPAKDVTGSCDPYVEVKLGNYKGVTRHFEKKSNPEWNQVFAFSKDRLQASFLEAVVKDKDVVLDDFMGRVIFDLIDIPKRIPPDSPLAPQWYRLEDRKGVKVKGELMLAVWMGTQADEAFPDAWHSDAATVGPEGVNNIRSKVYLSPKLWYVRVNVIEAQDLLPNDKSRYPEVFVKVMHGNQVLRTRISQSKSINPMWNEDLMFVAAEPFEEPLFLTVEDRVGSGKDEILGKCVIALQNVQRRLDHKPVNTRWFNLEKHMIIDGEQKKDIKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKSSIGILEVGVLSAVGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIVDSFNPKWNEQYIWEVFDPCTVITMGVFDNGHIHGGDKGGKDSKIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEIQLAVRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRHQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWLDQICNWKNPLTTILIHVLYIILVLYPELILPTIFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAAHPDERDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLLSWRDPRATTLFVTFCLIAAIVLYVTPFQVVALLGGIYVLRHPRFRHKLPSAPLNFFRRLPARSDSML >ONH99213 pep chromosome:Prunus_persica_NCBIv2:G6:1346805:1350554:-1 gene:PRUPE_6G018400 transcript:ONH99213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQPQDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPAKDVTGSCDPYVEVKLGNYKGVTRHFEKKSNPEWNQVFAFSKDRLQASFLEAVVKDKDVVLDDFMGRVIFDLIDIPKRIPPDSPLAPQWYRLEDRKGVKVKGELMLAVWMGTQADEAFPDAWHSDAATVGPEGVNNIRSKVYLSPKLWYVRVNVIEAQDLLPNDKSRYPEVFVKVMHGNQVLRTRISQSKSINPMWNEDLMFVAAEPFEEPLFLTVEDRVGSGKDEILGKCVIALQNVQRRLDHKPVNTRWFNLEKHMIIDGEQKKDIKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKSSIGILEVGVLSAVGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIVDSFNPKWNEQYIWEVFDPCTVITMGVFDNGHIHGGDKGGKDSKIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEIQLALDSLRHQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWLDQICNWKNPLTTILIHVLYIILVLYPELILPTIFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAAHPDERDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLLSWRDPRATTLFVTFCLIAAIVLYVTPFQVVALLGGIYVLRHPRFRHKLPSAPLNFFRRLPARSDSML >ONH99214 pep chromosome:Prunus_persica_NCBIv2:G6:1346809:1350231:-1 gene:PRUPE_6G018400 transcript:ONH99214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPPQPQDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPAKDVTGSCDPYVEVKLGNYKGVTRHFEKKSNPEWNQVFAFSKDRLQASFLEAVVKDKDVVLDDFMGRVIFDLIDIPKRIPPDSPLAPQWYRLEDRKGVKVKGELMLAVWMGTQADEAFPDAWHSDAATVGPEGVNNIRSKVYLSPKLWYVRVNVIEAQDLLPNDKSRYPEVFVKVMHGNQVLRTRISQSKSINPMWNEDLMFVAAEPFEEPLFLTVEDRVGSGKDEILGKCVIALQNVQRRLDHKPVNTRWFNLEKHMIIDGEQKKDIKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWKSSIGILEVGVLSAVGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIVDSFNPKWNEQYIWEVFDPCTVITMGVFDNGHIHGGDKGGKDSKIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEIQLAVRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRHQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWLDQICNWKNPLTTILIHVLYIILVLYPELILPTIFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAAHPDERDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLLSWRDPRATTLFVTFCLIAAIVLYVTPFQVVALLGGIYVLRHPRFRHKLPSAPLNFFRRLPARSDSML >ONI02588 pep chromosome:Prunus_persica_NCBIv2:G6:21718267:21719188:-1 gene:PRUPE_6G208700 transcript:ONI02588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLAVDEKLDLEHDEKQVECLMIVGLWCAHPDQNLRPSIRQAIQTLNFEAAFPSLSPKMPVPDYHVPTPLIS >ONI01334 pep chromosome:Prunus_persica_NCBIv2:G6:10491694:10492680:1 gene:PRUPE_6G133700 transcript:ONI01334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQLMVDAASRGWRDANGMLSKATVETKILLKINEKLSFSVTALGLGGIQPFTAPEEVWKDYFKSHPKDTNIQTKTSEDCEDMQIVIGNATAIGRNSLGLGDDTDARTFRADDRHVGIEDFVFDDESKAFIPNHNKPPHQDPPLGHSSSSLPFQATNCEDLEGNAISNETTQQAMGRISLSIDSITTDFRGNYMWDAIKETPNLDERARYKAPSLLNTNTKKDAFLKMSPQERSNWISYNLE >ONI02883 pep chromosome:Prunus_persica_NCBIv2:G6:23040249:23041368:-1 gene:PRUPE_6G226200 transcript:ONI02883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKREQEEANEMVENNQDSDNEEDDKLGDWLSLSLNSNEPSAAGDCDPLSPKPSTSSNKVFSCNFCLRKFYSSQALGGHQNAHKRERGAAKRYQSHRMMMSSMGFPFNPVAAARSLGVQPHSLVQKPSRSDGSSMVARFSDPSTGFGMAWTPFMLEETMDLIWPGSFRVDKFPTQESDVHNKQLDLNLRL >ONI03999 pep chromosome:Prunus_persica_NCBIv2:G6:27083464:27087535:1 gene:PRUPE_6G296200 transcript:ONI03999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDKKKKKKNKKKKNKQVETTDNVPVSGNDQVSKDAADDGRLDDVPVTGNGQVPEAVADVCSDAMQDEDANGNRHESNGTECSLAESEKQHWLQREATLEETIKQLQKETDLHIQKEATLEDAIKQLRNENDSHIQKEATLEDFIKQLRHENDSHIQKEADQQKEIVQLQSEKDSWLQKEVGLEEKIGRLVDEKATLDSKESSLQEKIKHLERDRDTWILKEDSFKEMVAILNDDVAKLRAQVLELEQSRDNVVQVNQQLMENSSSLQLQIKNLESVSSTPSSDELPKHALEREDLNSQVEAACALVEKLMAENAELVEKVNELHLELDRRSATVELTSTKTSNITIAPPETASVIDPMSRSNEDMSTSDQKLDSPEVAAIKQEMHSNGSEDSQHVAFVPELPIYDEISEIVQIPLEENEVRNLELSVETDKNAAVPLIDAPLIGAPFRLMSFVARYVSGADLVNQAPTNSSH >ONI04000 pep chromosome:Prunus_persica_NCBIv2:G6:27083464:27087535:1 gene:PRUPE_6G296200 transcript:ONI04000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDKKKKKKNKKKKNKQVETTDNVPVSGNDQVSKDAADDGRLDDVPVTGNGQVPEAVADVCSDAMQDEDANGNRHESNGTECSLAESEKQHWLQREATLEETIKQLQKETDLHIQKEATLEDAIKQLRNENDSHIQKEADQQKEIVQLQSEKDSWLQKEVGLEEKIGRLVDEKATLDSKESSLQEKIKHLERDRDTWILKEDSFKEMVAILNDDVAKLRAQVLELEQSRDNVVQVNQQLMENSSSLQLQIKNLESVSSTPSSDELPKHALEREDLNSQVEAACALVEKLMAENAELVEKVNELHLELDRRSATVELTSTKTSNITIAPPETASVIDPMSRSNEDMSTSDQKLDSPEVAAIKQEMHSNGSEDSQHVAFVPELPIYDEISEIVQIPLEENEVRNLELSVETDKNAAVPLIDAPLIGAPFRLMSFVARYVSGADLVNQAPTNSSH >ONI05002 pep chromosome:Prunus_persica_NCBIv2:G6:29927722:29929731:1 gene:PRUPE_6G351100 transcript:ONI05002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQQVSNEAMATVAEKAPITVERKVRNDLETKLPKPYMPRAMTAPDTENINGTWGHKHCNMSVLQQHAAFFDQDKDGIIYPSETYRGFRALGFNVVASFIFMVLVHAAMSYATLPTWMPSPYFAIHIENIHRAKHGSDSGAYDTEGRYIPANLENLFSKYACTVPDKLTFKELWHMTQANRDAFDFFGWIASKLEWGVLYVLAKDEHGHLAKEAVRRCFDGSLFEYCAKSQKGAAGKLG >ONI01032 pep chromosome:Prunus_persica_NCBIv2:G6:8604116:8612812:-1 gene:PRUPE_6G117600 transcript:ONI01032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPVVKKRGRPRKRRAEDENVSDDRKAGPETKKRVVETRTMVLLGRYVLKDFGTSGVFLGKVVYYEAGLYRVNYEDGDCEDLESGEIRGILVGDDDFDTDLSARRKKLDDLVSKLSLKTAVGLDKNVVKSTPEVDRVEAPALSELGGGVTIETDETPVEGDADSSSDSCEYARDRDMDFDVEPPPVPPLQLPPSSGTIGVPEQYISHLFSVYGFLRSFSIPLFLNPFTLDDFVGSLNFRAPNTLLDAIHVALLRALRRHLETLSSDGSEVAPKCLRCIDWNLLDTLTWPVYLVQYVTIMGYAKGPEWKGFYDEVLDKEYYLLSVGRKLMILQTLCDDVLDTRDIRAELDTREESEVGIDYDAEVTNPLVSGPRRVHPRYSKTSACKDREAVEIITEVHEIKSSGNSNLIGSKGAKGNADATDVDVDHNSDECRLCGMDGTLICCDGCPSAYHTRCIGLMKLSIPEGSWYCPECTINKIGPAITTGTSLKGAQIFGIDSYEHIFMGTCNHLLVVKATIKTEACLRYYNQNDIPKVLKVLYASGQHTAFYMGVCKAILQYWNIPESILSFSEMSETEIKLANIKEDVNFSAQSLNLSDKENHNVTVDNAVVSSLETSFDMIQVDSTGDSTPLECLPTKMQIHARKKMKSGTSTGSGSQQADPSDLTYQSSADRSTAVDLTTCASGNMSSCYNGHANGMHPSVTLSTHSEEGNRVDSGKVNSASVVNCAYMGALYKPQAYINYYMHGEFAASAATKLAVISSEEARVSDSHALANPRKVASANNLLQTKAFSLIASRFFWPSSEKKLVEVPRERCGWCLSCKALVASKRGCMLNHAALNATKGAMKILASLRPIKNGEGNLVSIATYILYMEESLRGLITGPFVNENYRKQWRKQIYQASTFSTIKALLLELEANIRTIALSGEWIKLVDDWLVESSVIQSTTCTVGTTQKRGPSNRRGRKQNAIHEDKDDDCNDKSFVWWQGGKLSKLIFQRAILACSLVKKAARQGGWKKISGIVYADGSEIPKRSRQSVWRAAVEMSKNASQLALQVRYLDHHLRWSDLVRPEQNLPDGKGIETEASAFRNASIFDKQFVKNSNVYGVDFGTQKHLPSRLMKNIIEMEQNEGGNNKFWFPELRIPLYLIKDYEERLGKVLFPSAEEPLNVFCKLQRRHWKAPRRDIFFYLVCKRDNLDLCSCSSCQLDVLMRNAAKCSACQGYCHEECTISSTVSTKEEVEFLITCKQCYHAKALSKNENFKESPTSPFHLQIQEYHTPVTVTSVARPKNYSQPVTDVRAQDTRSEIKQATSDSQLAGKKQRRSICSWGIIWKKKNGVEAGTHFRVNNILLAGGSESRGLYPVCHLCHMPYQSDMMYICCETCKNWYHADAVELEESKVSDVAGFKCCKCRRIKSPVCPYTDPKDIKMQESKKVRTRRPKQETVGDDSDSATISDSKFCEPATPIFPMEEASIQEQDGDPLLFSLARVELITEYNSEVNDQWNTAGPGPRKLQVRRGVKREEDVDGFPESNITYAGIATPVETNYQSNPMEIVPSPHVEWDASINGVESGIMDDYEDLNYENMEPQTVFTINELLAPDDDDDGFLDGGQAFADESGNLENPYTVLQDGGPEQYNMATFTDQSKSTITVESDVNIMQCQICSHAEPGADLSCQNCGLLIHSNCSPWIESSSGNGSWKCGQCREWR >ONI00912 pep chromosome:Prunus_persica_NCBIv2:G6:7978664:7980630:1 gene:PRUPE_6G111100 transcript:ONI00912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSINSSSSSRSSCGLCPFAVQVIKGRWFTVFASFLIMAGAGATYLFGVYSKDIKSTLGYDQTTLNLLGFFKDLGANVGVLSGLIGEVTPAWFVLLVGSAMNFAGYFMIWLSVTEKIAKPKVWQMCLYICLGANSQNFANTGALVTCVKNFPESRGVMLGLLKGFTGLSGAIFTQLYLAIYPNDSKSLILLIGWLPAALSVVFVYTIRPMKAVKQQNELRVFYHFLYISVSLALFILAMSLIQKQVAFSQAAYAGTSAVVCLFLFLPLAIAIREELVLWNLKKKPVDPPTEVSIEKPQEIQEIKDDSDLQVQNTKSTTCFGDICNKPERGEDYTILQALLSMDMMILFIATFCGLGSSLTAVDNLGQIGESLGYPTKTIKTFVSLVSIWNYFGRVFSGFVSENLIVKWKVPRTLMMTFMLVLSSLAYLSIAFPFPGSVYVASVIIGVSFGAQLTLLFTIISELFGLKYFATLFNCGQLASPLGSYILNVKVTGMLYDREALKQLAEKGLTRSSVKELTCLGGQCYRLSFSILAAVTFFGALVSLVLVMRTRKFYKGDIYKKYREAA >ONH99902 pep chromosome:Prunus_persica_NCBIv2:G6:3983162:3986020:-1 gene:PRUPE_6G056700 transcript:ONH99902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKQSFKVCFCFKRIFRLKVAEPPEDIKNLFNQYAENGTITIDNLQNFLIEFQGEPNATKEDAQAIFNSLRHLNIFQRKGLHLDAFFRYLLGDLNPPFYSKVHHDMNAPLAHYFLFTGHNSYLTGNQLSSDSSVHPIINALLQGVRVIELDLWPNSKKNGVEVRHGGTLTSPVELIKCLRAIKDNAFIASEYPVVITFEDHLPSNLQAKVAKMVTETFGETLYSPRSEFIMEFPSPDSLKRRILISTKPPEYHESQRPRESGTTDNKQDNEQDDQDDQDEDEENATPEYKQLIAIHAGKPKGGLDIWHIDPIKVRRLSLSEQELENATRTRGSDIVRFTQRNLLRVYPKGTRLDSSNYDPMLGWTHGAQMVAFNMQGYGKYLWIMNGMFRANGGCGYVKKPEFLLALGPNNEAFDPNEPVPVKTNLKVKVYMGEGWHSDFHHTHFDLYSPPDFFVRVGIAGVPNDTKMMETQPIEDQWVPVWNKEFIFPLSVPELAVLRVEVKEYDTSGKHDFGGQTCLPIPELRTGIRAVPLHNRRGQRYKSVRLLMRFDFDYAE >ONH99901 pep chromosome:Prunus_persica_NCBIv2:G6:3982940:3986326:-1 gene:PRUPE_6G056700 transcript:ONH99901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKQSFKVCFCFKRIFRLKVAEPPEDIKNLFNQYAENGTITIDNLQNFLIEFQGEPNATKEDAQAIFNSLRHLNIFQRKGLHLDAFFRYLLGDLNPPFYSKVHHDMNAPLAHYFLFTGHNSYLTGNQLSSDSSVHPIINALLQGVRVIELDLWPNSKKNGVEVRHGGTLTSPVELIKCLRAIKDNAFIASEYPVVITFEDHLPSNLQAKVAKMVTETFGETLYSPRSEFIMEFPSPDSLKRRILISTKPPEYHESQRPRESGTTDNKDNEQDDQDDQDEDEENATPEYKQLIAIHAGKPKGGLDIWHIDPIKVRRLSLSEQELENATRTRGSDIVRFTQRNLLRVYPKGTRLDSSNYDPMLGWTHGAQMVAFNMQGYGKYLWIMNGMFRANGGCGYVKKPEFLLALGPNNEAFDPNEPVPVKTNLKVKVYMGEGWHSDFHHTHFDLYSPPDFFVRVGIAGVPNDTKMMETQPIEDQWVPVWNKEFIFPLSVPELAVLRVEVKEYDTSGKHDFGGQTCLPIPELRTGIRAVPLHNRRGQRYKSVRLLMRFDFDYAE >ONI01803 pep chromosome:Prunus_persica_NCBIv2:G6:14668107:14675049:-1 gene:PRUPE_6G159800 transcript:ONI01803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESNLNTWVSDKLMTLLGYSQPTVVQYIIGLTKQAKSPADVVGKLVELEFGLSSSAETSAFAEDIFARVPRKESGLNLYQKQEREAAMLVKKQKTYSLLDADDEDDNDGDTSSAQVISKSRKADSHKKRFRKKVLSQEDEDDEVIAQEGQVRRVKRRTCSPDDDDGSESEEERLRDQREREQLEQNIRERDTAATRKLTDRKLTRKEEEENIRRSNALERNDLEDLRKVSRQEYLKKREQKKLEEIRDDIEDEQYLFDGVKLTEAEYSELSYKKQIYELVKKRSDEVEDITEYRMPDAYDEEGGVNQEKRFSVAVQRYRDLSAGDKMNPFAEQEAWEDHQIGKATLKFGSKNKKQKSDEYQFVFEDQIDFIKASVMDGDEFDDDRQPSELLGSKAKSGLEKLQDDRKTLPIYTYRDKLLEAVENHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKIGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTVLKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYAVDIHYTKAPEADYLDAAIVTALQIHVTQPPGDILVFLTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEATPDGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASAMQRAGRSGRTGPGKCFRLYTAYNYYNDLDDNTVPEVQRTNLANVVLTLKSLGIHDLLHFDFMDPPPSEALLKALELLFALSALNKVGELTKVGRRMAEFPLDPMLSKMIVASDQYKCSDEVISIAAMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLKVYNSWKETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIELVSNLSDYETIKKAITSGFFPHSAKLQKNGSYRTVKHPQTVHIHPSSGLSQVLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVEDSMSKKMPRGEGRPQQD >ONH99449 pep chromosome:Prunus_persica_NCBIv2:G6:2345332:2347522:-1 gene:PRUPE_6G029900 transcript:ONH99449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYPPYRHQLAPDRHFEWNGTSLPAYIMSKDFTSLTPIQPLRLPDDLLPTQPGCERLRDLYMAKPREIDYRIVHLGGQEICLVLSIDTGFEPNGGVLRKMPIFVASFEFQLSDSKDLLTIKTGSCSVQCFLLGACSSMAIKLLATRAFTAVELRKKLHGNNFTLDTVEAVINDFINRWSSFSWGPYYFSAFFSKGVSKLDAENANKLVFEEGESDNDQKLVHGLSRLSMDNLLVQASKQWLRGLEVPKETRKSRIVLWLQYRGFSWDVIGFVLKKLESQYPP >ONI04206 pep chromosome:Prunus_persica_NCBIv2:G6:27773106:27774629:-1 gene:PRUPE_6G308800 transcript:ONI04206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQVNSGPTIEINGLKFTYPGINGHPPPGSKPLIEDFNLTLNAGDRCLLVGSNGAGKTTILKILGGKHMVEPHMVRVLGRSAFHDTALTGSGDLSYLGGEWRRDVAFAGFDVPIQMDVSADKLINGVSGVDPQRRAELIKVLDIDLSWRLHKVSDGQRRRVQICMGLLKPYKVLLLDEITVDLDVLARADLLKFLRKECEERGATIIYATHIFDGLEDWPSHIAYVANGKLQLALPMDRVKEMYKLSLMRTVESWLRKERDEERKRRNERKAKGLPEFEQQNEGSSGDVRAVNNGWAAGRLNSTIAGEENFVFSSNRVLRQ >ONI03427 pep chromosome:Prunus_persica_NCBIv2:G6:24922977:24924108:1 gene:PRUPE_6G256400 transcript:ONI03427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGTLEVTLVEARSLKNMDFIVKMNPYVVIQYGNQKHTSTIAKGQGTKPVWNEKFKFDAEYPDGEGHKYMLLFRIMDTQKLLDHDVFVGESKMYVKDVIASGIEKGKAELGVAKYRVVLQDKTYAGEISVALAFTLNS >ONI01069 pep chromosome:Prunus_persica_NCBIv2:G6:8887875:8892550:1 gene:PRUPE_6G119800 transcript:ONI01069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDSDLREIDLYAFQGLLKHEGKAKFGAAFHQWQVDAANFNIDGHYPVRELWARARSCWTRVLLHESRSVLVVAHNAVNQALVATAIGLGTEYFRTLVQSNCGVSVLDFIPRAEGGSPYICLNRLNQTPSSPVAARSSGGRKASNRIILVCHGSTQSNAETGFPSAGDQPMDMLGIIKSQKTAELLLDLKVSSIVSSPKKACVETATVISKVQEAADCLGVDCVPRYVETKQMENLDVEDILQQSSEGKTEIPPLQPGWLNEFGDKLTGAVWEQSERAWQSLLNEVADEAKSEKVVVAVGHPALHIALMGHCLHLTKEWLGSFHLDAGSITVIDFPDGPNGRGVIRCINYTAHLGRWSIPITRSTLDDEEFLM >ONI01067 pep chromosome:Prunus_persica_NCBIv2:G6:8887875:8892550:1 gene:PRUPE_6G119800 transcript:ONI01067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTPTPSLVLHTNSTVFFVFPIRTRTTPPSPSSPSRIRCSSGSGVQEVRKSSEEKELSSELYESVPFPAVKAAKRVVLVRHGQSTWNEEGRIQGSSNFSVLTKKGESQAETSRLMLVDDSFEVCFTSPLTRSKRTAEIIWGTRKEEMIMDSDLREIDLYAFQGLLKHEGKAKFGAAFHQWQVDAANFNIDGHYPVRELWARARSCWTRVLLHESRSVLVVAHNAVNQALVATAIGLGTEYFRTLVQSNCGVSVLDFIPRAEGGSPYICLNRLNQTPSSPVAARSSGGRKASNRIILVCHGSTQSNAETGFPSAGDQPMDMLGIIKSQKTAELLLDLKVSSIVSSPKKACVETATVISKVQEAADCLGVDCVPRYVETKQMENLDVEDILQQSSEGKTEIPPLQPGWLNEFGDKLTGAVWEQSERAWQSLLNEVADEAKSEKVVVAVGHPALHIALMGHCLHLTKEWLGSFHLDAGSITVIDFPDGPNGRGVIRCINYTAHLGRWSIPITRSTLDDEEFLM >ONI01068 pep chromosome:Prunus_persica_NCBIv2:G6:8889588:8892550:1 gene:PRUPE_6G119800 transcript:ONI01068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDSDLREIDLYAFQGLLKHEGKAKFGAAFHQWQVDAANFNIDGHYPVRELWARARSCWTRVLLHESRSVLVVAHNAVNQALVATAIGLGTEYFRTLVQSNCGVSVLDFIPRAEGGSPYICLNRLNQTPSSPVAARSSGGRKASNRIILVCHGSTQSNAETGFPSAGDQPMDMLGIIKSQKTAELLLDLKVSSIVSSPKKACVETATVISKVQEAADCLGVDCVPRYVETKQMENLDVEDILQQSSEGKTEIPPLQPGWLNEFGDKLTGAVWEQSERAWQSLLNEVADEAKSEKVVVAVGHPALHIALMGHCLHLTKEWLGSFHLDAGSITVIDFPDGPNGRGVIRCINYTAHLGRWSIPITRSTLDDEEFLM >ONI00722 pep chromosome:Prunus_persica_NCBIv2:G6:7163927:7167408:-1 gene:PRUPE_6G102800 transcript:ONI00722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSERSLDPQLWHACAGGMVHMPPVNSKVFYFPQGHAEHAQAHVDFSSASLRIPSLILCRVASIKFMADPETDEVFAKIKLNPIENNEPYASDEDSMEADGSENQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHSEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGLDGAGGPELASGWNNSHGGGSGACVLPYGGFSVFLREEENKMVRNGGGNLSPNGNLRGKGRVRPESVVEAATMAANGQAFEVVYYPRASTPEFCVKASAVRAAMRVQWCSGMRFKMAFETEDSSRISWFMGTIASVQVSDPIRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLIELVSNFPMIHMSPFSPPRKKLRLPQPDFTLDGQLTLPSFSGNPLGPSSPLCCLPDNTPAGIQGARHAQFRISLSDLHVNNKLQSGLFQSSFQRFDQNSRISSGIRAGHTSSNENLSCLLTMGNSSQNSEKSDNVKKHQFLLFGQPILTEQQISRSCSSDAVSQLLTGKDSKDGNQDRTKFLSDGSKSAHGMQVSLEKSSNAGFPWNKDFQGSDFDLETGHCKVFMESEDVGRTLDLSVLGSYEELYRRLANMFGIEKPQMLSHVLYRDVTGAVKQTGVEPFSDFMKKAKRLTILTHPTDESIGRTWIRGMQNAENGLSATNKTGPLSIFA >ONI00721 pep chromosome:Prunus_persica_NCBIv2:G6:7163738:7168177:-1 gene:PRUPE_6G102800 transcript:ONI00721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSERSLDPQLWHACAGGMVHMPPVNSKVFYFPQGHAEHAQAHVDFSSASLRIPSLILCRVASIKFMADPETDEVFAKIKLNPIENNEPYASDEDSMEADGSENQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHSEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGLDGAGGPELASGWNNSHGGGSGACVLPYGGFSVFLREEENKMVRNGGGNLSPNGNLRGKGRVRPESVVEAATMAANGQAFEVVYYPRASTPEFCVKASAVRAAMRVQWCSGMRFKMAFETEDSSRISWFMGTIASVQVSDPIRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLIELVSNFPMIHMSPFSPPRKKLRLPQPDFTLDGQLTLPSFSGNPLGPSSPLCCLPDNTPAGIQGARHAQFRISLSDLHVNNKLQSGLFQSSFQRFDQNSRISSGIRAGHTSSNENLSCLLTMGNSSQNSEKSDNVKKHQFLLFGQPILTEQQISRSCSSDAVSQLLTGKDSKDGNQDRTKFLSDGSKSAHGMQVSLEKSSNAGFPWNKDFQGSDFDLETGHCKVFMESEDVGRTLDLSVLGSYEELYRRLANMFGIEKPQMLSHVLYRDVTGAVKQTGVEPFRTWIRGMQNAENGLSATNKTGPLSIFA >ONI00723 pep chromosome:Prunus_persica_NCBIv2:G6:7163738:7167703:-1 gene:PRUPE_6G102800 transcript:ONI00723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSERSLDPQLWHACAGGMVHMPPVNSKVFYFPQGHAEHAQAHVDFSSASLRIPSLILCRVASIKFMADPETDEVFAKIKLNPIENNEPYASDEDSMEADGSENQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHSEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGLDGAGGPELASGWNNSHGGGSGACVLPYGGFSVFLREEENKMVRNGGGNLSPNGNLRGKGRVRPESVVEAATMAANGQAFEVVYYPRASTPEFCVKASAVRAAMRVQWCSGMRFKMAFETEDSSRISWFMGTIASVQVSDPIRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLIELVSNFPMIHMSPFSPPRKKLRLPQPDFTLDGQLTLPSFSGNPLGPSSPLCCLPDNTPAGIQGARHAQFRISLSDLHVNNKLQSGLFQSSFQRFDQNSRISSGIRAGHTSSNENLSCLLTMGNSSQNSEKSDNVKKHQFLLFGQPILTEQQISRSCSSDAVSQLLTGKDSKDGNQDRTKFLSDGSKSAHGMQVSLEKSSNAGFPWNKDFQGSDFDLETGHCKVFMESEDVGRTLDLSVLGSYEELYRRLANMFGIEKPQMLSHVLYRDVTGAVKQTGVEPFSDFMKKAKRLTILTHPTDESIGRTWIRGMQNAENGLSATNKTGPLSIFA >ONI02361 pep chromosome:Prunus_persica_NCBIv2:G6:20079086:20086506:1 gene:PRUPE_6G193600 transcript:ONI02361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKPNEASTSKETMDTTSSGVKRKRGITSMHRIVKNKNTGKKLVVEYTPKGKPYGKVASELASYIGVLARTTVPISVESWPKVEKDLKNKIWESVEAAFVLAPKSRKMVLTSASNRWRQFKSDLTRKYIMPFKDEAEALKNPPEDYGFIKQQHWQQFVNGRLTKEFQKLHNEQKERRAKFQQPHRMSRKGYVGLEAESRKTMPEDELDGSLLCKKARMDRKGQAAKIDLLTKEHSEQSDHSEHAEYAECGEHSEHTEQSEHAEPSEHAEHSEHSEHPEHSEHPEHSEHEEHSEHFDVSLSSKNNDVLTMALGAPEDSGRVRGVGGFFKPNVPQAQFDREDILDEVRKMIEQQRAWYEAKIAELEAKINGNIWTTPISLSTPVPNRSEKATCSGKKKNVLEDNAINFEELTFLGKRDTIKKRGKTAAKPQDLPKQDVNLPKSLKLLYRYAERAMLDGETISIDMEEAVFGVAKTVHVSQEDVMQFMEMKEISATCIIVYMRHLYDKLNQSNMVDLVGLTDPSSISVGAGDSDQKSRVIAGRLQKGSADQILLVPYNSGYHWMLTIISEDKDVCYFMDPLQRYFMDSLRRSMREEEWKYVVNNGIRQFNIQTGRGVRKPPVWKVLTGPKQPSNMECGYYVMRYMKEIVEDENLSFAVKWNGKTLNAYTQTELDEVRCEWTDIISDHM >ONI02363 pep chromosome:Prunus_persica_NCBIv2:G6:20079086:20086506:1 gene:PRUPE_6G193600 transcript:ONI02363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKPNEASTSKETMDTTSSGVKRKRGITSMHRIVKNKNTGKKLVVEYTPKGKPYGKVASELASYIGVLARTTVPISVESWPKVEKDLKNKIWESVEAAFVLAPKSRKMVLTSASNRWRQFKSDLTRKYIMPFKDEAEALKNPPEDYGFIKQQHWQQFVNGRLTKEFQKLHNEQKERRAKFQQPHRMSRKGYVGLEAESRKTMPEDELDGSLLCKKARMDRKGQAAKIDLLTKEHSEQSDHSEHAEYAECGEHSEHTEQSEHAEPSEHAEHSEHSEHPEHSEHPEHSEHEEHSEHFDVSLSSKNNDVLTMALGAPEDSGRVRGVGGFFKPNVPQAQFDREDILDEVRKMIEQQRAWYEAKIAELEAKINGNIWTTPISLSTPVPNRSEKATCSGKKKNVLEDNAINFEELTFLGKRDTIKKRGKTAAKPQDLPKQDVNLPKSLKLLYRYAERAMLDGETISIDMEEAVFGVAKTVHVSQEDVMQFMEMKEISATCIIVYMRHLYDKLNQSNMVDLVGLTDPSSISVGAGDSDQKSRVIAGRLQKGSADQILLVPYNSGYHWMLTIISEDKDVCYFMDPLQRYFMDSLRRSMREEEWKYVVNNGMGRR >ONI02367 pep chromosome:Prunus_persica_NCBIv2:G6:20080477:20085397:1 gene:PRUPE_6G193600 transcript:ONI02367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKPNEASTSKETMDTTSSGVKRKRGITSMHRIVKNKNTGKKLVVEYTPKGKPYGKVASELASYIGVLARTTVPISVESWPKVEKDLKNKIWESVEAAFVLAPKSRKMVLTSASNRWRQFKSDLTRKYIMPFKDEAEALKNPPEDYGFIKQQHWQQFVNGRLTKEFQKLHNEQKERRAKFQQPHRMSRKGYVGLEAESRKTMPEDELDGSLLCKKARMDRKGQAAKIDLLTKEHSEQSDHSEHAEYAECGEHSEHTEQSEHAEPSEHAEHSEHSEHPEHSEHPEHSEHEEHSEHFDVSLSSKNNDVLTMALGAPEDSGRVRGVGGFFKPNVPQAQFDREDILDEVRKMIEQQRAWYEAKIAELEAKINGNIWTTPISLSTPVPNRSEKATCSGKKKNVLEDNAINFEELTFLGKRDTIKKRGKTAAKPQDLPKQDVNLPKSLKLLYRYAERAMLDGETISIDMEEAVFGVAKTVHVSQEDVMQFMEMKEISATCIIVYMRHLYDKLNQSNMVDLVGLTDPSSISVGAGDSDQKSRVIAGRLQKGSADQILLVPYNSGYHWMLTIISEDKDVCYFMDPLQRYFMDSLRRSMREEEWKYVVNK >ONI02365 pep chromosome:Prunus_persica_NCBIv2:G6:20080477:20085773:1 gene:PRUPE_6G193600 transcript:ONI02365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKPNEASTSKETMDTTSSGVKRKRGITSMHRIVKNKNTGKKLVVEYTPKGKPYGKVASELASYIGVLARTTVPISVESWPKVEKDLKNKIWESVEAAFVLAPKSRKMVLTSASNRWRQFKSDLTRKYIMPFKDEAEALKNPPEDYGFIKQQHWQQFVNGRLTKEFQKLHNEQKERRAKFQQPHRMSRKGYVGLEAESRKTMPEDELDGSLLCKKARMDRKGQAAKIDLLTKEHSEQSDHSEHAEYAECGEHSEHTEQSEHAEPSEHAEHSEHSEHPEHSEHPEHSEHEEHSEHFDVSLSSKNNDVLTMALGAPEDSGRVRGVGGFFKPNVPQAQFDREDILDEVRKMIEQQRAWYEAKIAELEAKINGNIWTTPISLSTPVPNRSEKATCSGKKKNVLEDNAINFEELTFLGKRDTIKKRGKTAAKPQDLPKQDVNLPKSLKLLYRYAERAMLDGETISIDMEEAVFGVAKTVHVSQEDVMQFMEMKEISATCIIVYMRHLYDKLNQSNMVDLVGLTDPSSISVGAGDSDQKSRVIAGRLQKGSADQILLVPYNSGYHWMLTIISEDKDVCYFMDPLQRYFMDSLRRSMREEEWKYVVNNGIRQFNIQTGRGVRKPPVWKVLTGPKQPSNMECGYYVMRYMKEIVEDENLSFAVKVQITILMFAVRV >ONI02369 pep chromosome:Prunus_persica_NCBIv2:G6:20079086:20086506:1 gene:PRUPE_6G193600 transcript:ONI02369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKGYVGLEAESRKTMPEDELDGSLLCKKARMDRKGQAAKIDLLTKEHSEQSDHSEHAEYAECGEHSEHTEQSEHAEPSEHAEHSEHSEHPEHSEHPEHSEHEEHSEHFDVSLSSKNNDVLTMALGAPEDSGRVRGVGGFFKPNVPQAQFDREDILDEVRKMIEQQRAWYEAKIAELEAKINGNIWTTPISLSTPVPNRSEKATCSGKKKNVLEDNAINFEELTFLGKRDTIKKRGKTAAKPQDLPKQDVNLPKSLKLLYRYAERAMLDGETISIDMEEAVFGVAKTVHVSQEDVMQFMEMKEISATCIIVYMRHLYDKLNQSNMVDLVGLTDPSSISVGAGDSDQKSRVIAGRLQKGSADQILLVPYNSGYHWMLTIISEDKDVCYFMDPLQRYFMDSLRRSMREEEWKYVVNNGIRQFNIQTGRGVRKPPVWKVLTWNGKTLNAYTQTELDEVRCEWTDIISDHM >ONI02362 pep chromosome:Prunus_persica_NCBIv2:G6:20079086:20086506:1 gene:PRUPE_6G193600 transcript:ONI02362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKPNEASTSKETMDTTSSGVKRKRGITSMHRIVKNKNTGKKLVVEYTPKGKPYGKVASELASYIGVLARTTVPISVESWPKVEKDLKNKIWESVEAAFVLAPKSRKMVLTSASNRWRQFKSDLTRKYIMPFKDEAEALKNPPEDYGFIKQQHWQQFVNGRLTKEFQKLHNEQKERRAKFQQPHRMSRKGYVGLEAESRKTMPEDELDGSLLCKKARMDRKGQAAKIDLLTKEHSEQSDHSEHAEYAECGEHSEHTEQSEHAEPSEHAEHSEHSEHPEHSEHPEHSEHEEHSEHFDVSLSSKNNDVLTMALGAPEDSGRVRGVGGFFKPNVPQAQFDREDILDEVRKMIEQQRAWYEAKIAELEAKINGNIWTTPISLSTPVPNRSEKATCSGKKKNVLEDNAINFEELTFLGKRDTIKKRGKTAAKPQDLPKQDVNLPKSLKLLYRYAERAMLDGETISIDMEEAVFGVAKTVHVSQEDVMQFMEMKEISATCIIVYMRHLYDKLNQSNMVDLVGLTDPSSISVGAGDSDQKSRVIAGRLQKGSADQILLVPYNSGYHWMLTIISEDKDVCYFMDPLQRYFMDSLRRSMREEEWKYVVNNGIRQFNIQTGRGVRKPPVWKVLTWNGKTLNAYTQTELDEVRCEWTDIISDHM >ONI02370 pep chromosome:Prunus_persica_NCBIv2:G6:20081189:20086506:1 gene:PRUPE_6G193600 transcript:ONI02370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKGYVGLEAESRKTMPEDELDGSLLCKKARMDRKGQAAKIDLLTKEHSEQSDHSEHAEYAECGEHSEHTEQSEHAEPSEHAEHSEHSEHPEHSEHPEHSEHEEHSEHFDVSLSSKNNDVLTMALGAPEDSGRVRGVGGFFKPNVPQAQFDREDILDEVRKMIEQQRAWYEAKIAELEAKINGNIWTTPISLSTPVPNRSEKATCSGKKKNVLEDNAINFEELTFLGKRDTIKKRGKTAAKPQDLPKQDVNLPKSLKLLYRYAERAMLDGETISIDMEEAVFGVAKTVHVSQEDVMQFMEMKEISATCIIVYMRHLYDKLNQSNMVDLVGLTDPSSISVGAGDSDQKSRVIAGRLQKGSADQILLVPYNSGYHWMLTIISEDKDVCYFMDPLQRYFMDSLRRSMREEEWKYVVNNGIRQFNIQTGRGVRKPPVWKVLTGPKQPSNMECGYYVMRYMKEIVEDENLSFAVKGSIAVRGYHGDICPEA >ONI02366 pep chromosome:Prunus_persica_NCBIv2:G6:20080477:20085397:1 gene:PRUPE_6G193600 transcript:ONI02366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKPNEASTSKETMDTTSSGVKRKRGITSMHRIVKNKNTGKKLVVEYTPKGKPYGKVASELASYIGVLARTTVPISVESWPKVEKDLKNKIWESVEAAFVLAPKSRKMVLTSASNRWRQFKSDLTRKYIMPFKDEAEALKNPPEDYGFIKQQHWQQFVNGRLTKEFQKLHNEQKERRAKFQQPHRMSRKGYVGLEAESRKTMPEDELDGSLLCKKARMDRKGQAAKIDLLTKEHSEQSDHSEHAEYAECGEHSEHTEQSEHAEPSEHAEHSEHSEHPEHSEHPEHSEHEEHSEHFDVSLSSKNNDVLTMALGAPEDSGRVRGVGGFFKPNVPQAQFDREDILDEVRKMIEQQRAWYEAKIAELEAKINGNIWTTPISLSTPVPNRSEKATCSGKKKNVLEDNAINFEELTFLGKRDTIKKRGKTAAKPQDLPKQDVNLPKSLKLLYRYAERAMLDGETISIDMEEAVFGVAKTVHVSQEDVMQFMEMKEISATCIIVYMRHLYDKLNQSNMVDLVGLTDPSSISVGAGDSDQKSRVIAGRLQKGSADQILLVPYNSGYHWMLTIISEDKDVCYFMDPLQRYFMDSLRRSMREEEWKYVVNK >ONI02368 pep chromosome:Prunus_persica_NCBIv2:G6:20079086:20086506:1 gene:PRUPE_6G193600 transcript:ONI02368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKGYVGLEAESRKTMPEDELDGSLLCKKARMDRKGQAAKIDLLTKEHSEQSDHSEHAEYAECGEHSEHTEQSEHAEPSEHAEHSEHSEHPEHSEHPEHSEHEEHSEHFDVSLSSKNNDVLTMALGAPEDSGRVRGVGGFFKPNVPQAQFDREDILDEVRKMIEQQRAWYEAKIAELEAKINGNIWTTPISLSTPVPNRSEKATCSGKKKNVLEDNAINFEELTFLGKRDTIKKRGKTAAKPQDLPKQDVNLPKSLKLLYRYAERAMLDGETISIDMEEAVFGVAKTVHVSQEDVMQFMEMKEISATCIIVYMRHLYDKLNQSNMVDLVGLTDPSSISVGAGDSDQKSRVIAGRLQKGSADQILLVPYNSGYHWMLTIISEDKDVCYFMDPLQRYFMDSLRRSMREEEWKYVVNNGIRQFNIQTGRGVRKPPVWKVLTGPKQPSNMECGYYVMRYMKEIVEDENLSFAVKWNGKTLNAYTQTELDEVRCEWTDIISDHM >ONI02364 pep chromosome:Prunus_persica_NCBIv2:G6:20079086:20086506:1 gene:PRUPE_6G193600 transcript:ONI02364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPKPNEASTSKETMDTTSSGVKRKRGITSMHRIVKNKNTGKKLVVEYTPKGKPYGKVASELASYIGVLARTTVPISVESWPKVEKDLKNKIWESVEAAFVLAPKSRKMVLTSASNRWRQFKSDLTRKYIMPFKDEAEALKNPPEDYGFIKQQHWQQFVNGRLTKEFQKLHNEQKERRAKFQQPHRMSRKGYVGLEAESRKTMPEDELDGSLLCKKARMDRKGQAAKIDLLTKEHSEQSDHSEHAEYAECGEHSEHTEQSEHAEPSEHAEHSEHSEHPEHSEHPEHSEHEEHSEHFDVSLSSKNNDVLTMALGAPEDSGRVRGVGGFFKPNVPQAQFDREDILDEVRKMIEQQRAWYEAKIAELEAKINGNIWTTPISLSTPVPNRSEKATCSGKKKNVLEDNAINFEELTFLGKRDTIKKRGKTAAKPQDLPKQDVNLPKSLKLLYRYAERAMLDGETISIDMEEAVFGVAKTVHVSQEDVMQFMEMKEISATCIIVYMRHLYDKLNQSNMVDLVGLTDPSSISVGAGDSDQKSRVIAGRLQKGSADQILLVPYNSGYHWMLTIISEDKDVCYFMDPLQRYFMDSLRRSMREEEWKYVVNNGIRQFNIQTGRGVRKPPVWKVLTGPKQPSNMECGYYVMRYMKEIVEDENLSFAVKGSIAVRGYHGDICPEA >ONI02691 pep chromosome:Prunus_persica_NCBIv2:G6:22297212:22301063:-1 gene:PRUPE_6G215400 transcript:ONI02691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTISLSRSTLRSFSQTRTHLCSNPSQTPSPPSTPSILISYSFYSTSSKQEKEDKNDVASVFNRDPTVPPKLFVVQPRLRPDNYLQAKLNEALCLANSLEEQRDGYFDVDFFDKEIPPHIVVQNPIVRHQTRAVDAIFVNTILSGIQQRNLEKILGKPVLDRVGLIIEIFNAHACTKEGKLQAELAALMYKKTRLVRLRGKSGRSTFGTDGEAEVVSARGRGSGGRGFISGAGETELQLQRRRISERRSSLLLQIQEVRRTRAVQRAGRKNRGGSYGQGLPTVAVVGYTNAGKSTLVSALSNSDLYSDSRLFATVDPKLRSVFLPSGKKVLVSDTVGFISDLPVQLVEAFHATLEEVVEADLLVHVLDCTAPNLNEHRSTVFQILDQIGVSKEKLENMIEVWNKIDYQEEGMDFDECVDDIEDGEASSFSREKDDMDSELSSGAENDEAFEAIDDQDGDYSDGWLASEDVEDPWGEGGSCMGLKTTDDQQSECPKDWITEKQLELQVQSGPHVRTSALMGVGLQELLELIDEKLKEAPKANVVERDPFDRKWRPPRTEEAGVAVEQ >ONI01385 pep chromosome:Prunus_persica_NCBIv2:G6:11031211:11032398:-1 gene:PRUPE_6G136800 transcript:ONI01385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSMLLFLSLLLLVPYITSSNIIILPISHLPQNPHPDPYQHLSYLANSSLKRAHHLKNPQTTPPHTNAKIPLFSHSYGSYSSIPLSFGTPPQTLPFIMDTGSDLTWFPCGKNYQCIHCSIYLNNTAKVKSFIPKLSSSSKTLACLNPKCARIYPKIHCPDCKLGSKNCTWRCPWYTYPYGSGNPSGSLLSEMQHLSNCRWHYRDAVKKTKGVSYTPFVKNPEVPGRGHFLTSYYVGLRCITVGGRSVKISYRYLRPDKNSSGRTIVDSGTTFTYMAPEVFERMAGEFEKLTKGYKREEEVEALTRLRPFYNVSRIETPKFPSVMFRFNGGLRWRCRRRITWCQPVGRCCA >ONI05112 pep chromosome:Prunus_persica_NCBIv2:G6:30239904:30244350:-1 gene:PRUPE_6G356500 transcript:ONI05112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFYKNMVKVGKCVTYNKVVGIFGFTCEDHIGKVFHLCRQFLHFQVPFQSFGGQDNIRCLIPCTIDQGETGKMSASDPNSAIYVTDFAKGN >ONI02586 pep chromosome:Prunus_persica_NCBIv2:G6:21700129:21702204:-1 gene:PRUPE_6G208500 transcript:ONI02586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFKPFSHHQTQTLPSLLIYIFLLLLILKNVKSFSFNISSFNTNTKDLNFQGDAFPSRGVIQLTKNQVDGPLTESAGRATYFQPVRLWDSSTGRLTDFTTHFSFVMKALNDTYYGDGISFFLAPFGSEIPNHSTGGYLALFSSETALNASKNNIVAVEFDSYKNEWDPSPDHVGININSIASVANVSWKTTIKNGSVANAWVTYNSTTRNLTVFLTYSKNPTYNVGDCSVSYIVNLKDVLPELVSVGFSAATGYWVEIHNILSWSFSSTLEINSNKEAQKKLRLGIGLGAGFGLLSCGLGLFWFMSWRKRVNKIDEAYDMSMDDEFDKGTGPRRFTYRELSRATNNFSEGGKLGEGGFGGVYKGLLSESNTEVAVKRVSRGSKQGKKEYVAEVRIISRLRHRNLVQLIGWCHEQNEFLLIYELMPNGSLDSHLFGMKLHLTWTLRYKIALGLASAILYLHEEWEQCVVHRDIKSSNVMLDSNFNAKLGDFGLARLVDHELGSQTTVLAGTMGYLAPECVTTGRASKESDVYSFGVVALEISCGRRPVEAKAEPSRVRLVEWVWDLYGKDQILEAADERLCRDFDEQQIECLMAVGLWCCHPDPTVRPSIRQVINVLNFEAPMPSLPSKLPVPMYFAPPLSMCRFSYTSFNLTGSSVKDGTQCSCSSCATYNSSQSAGSSKALLNSRKVDV >ONI04112 pep chromosome:Prunus_persica_NCBIv2:G6:27489002:27493083:1 gene:PRUPE_6G303400 transcript:ONI04112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIAASHHVPTTDDEQVEKKVSEEEKASEKESVSLPQGSGEEITKTEDAASLATPAESEGVDHKKIEPPVVEAEKTNGAPVPDVPVGVETKVENESIADSQTHAIPKQVADAAESSIEVKTCIDSVVGEAPIEPSVGIIEIGQVEQPKIVDAPQSSVEAIEKPKESVATVLKEIKDSEPELAIAKVEEKPKDQSEFSQVEKKHDEEPEELVQDETVKDEGPVADKVEHITFLEQGKIETVDTPSLAVEDEPEQRKFTAEEKKYEVEPEKSVEDGRVKDEGSLIGKDKEPTFLEEGKTDKDDKPSLIGSPEEASLSREAQIKLQDEGDSSLPEVTEKITTEDHKKESSGVDVVEKLAKEAAVETEKVGEENAETGKVEREKVETEDSTQPIKEDQKKEISRVDVVEKLAEKAVKLDTVGEKEVETEEDVKEIIEDEKKELNGADVIDKRTEEAEVKFEKVEGENVAKNVETEDNEKRNVISEDSAKPIEEEQKKEVGGVDVVEKLAEVAAVKLENVENVISEDSSQPIEEERNKEVGGVGVVEKLAEVAAVKLENVENVISEDSSQPIEEEQEKEVGGVGVVGKLAEVAAVKLEHVGEEKVEKNDGIEENEKINVITEDSTQPIQEELKKELSGVDVVEKLAEEAVVETEKSGEENETKKVKLEENENINVINEVSIQPLEEEVESFISPAEVVEKSFEGAEKYVEPAVENERGEQIKDETSAKVETKKDESVEEKQDEVTRAIDEPLKDSKQYESEVKGGDKLEEKQDETDAGKLEKVDDDVAKSKQNIEPPPTKDGDQTKPSQDLPKEVPAKPSQKQSNTILSKVKQSLVKAKKAIIGKSPSSKNHASGTKDDIKVK >ONI01642 pep chromosome:Prunus_persica_NCBIv2:G6:13025810:13027503:-1 gene:PRUPE_6G150600 transcript:ONI01642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDSVKSTLQNLAFGNVMAAAARNYQKELLANEKAPATSSVNQEVDLDELMDDPELEKLHADRIAALKKEAEKREALKRKGHGEYREITEGDFLGEVTGTEKAICHFYHQEFYRCKIMDKHLKTLASKHVDTKFIKLDAENAPFFITKLGVKTLPCVIIFRKGVAVDRLVGFQDMGGKDDFSTRALEVVLIKKGIISEKKDEDDEDDGYNDGSRRTVRSSVNLDDSDSD >ONI01641 pep chromosome:Prunus_persica_NCBIv2:G6:13025517:13027639:-1 gene:PRUPE_6G150600 transcript:ONI01641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDSVKSTLQNLAFGNVMAAAARNYQKELLANEKAPATSSVNQEVDLDELMDDPELEKLHADRIAALKKEAEKREALKRKGHGEYREITEGDFLGEVTGTEKAICHFYHQEFYRCKIMDKHLKTLASKHVDTKFIKLDAENAPFFITKLGVKTLPCVIIFRLVGFQDMGGKDDFSTRALEVVLIKKGIISEKKDEDDEDDGYNDGSRRTVRSSVNLDDSDSD >ONI01332 pep chromosome:Prunus_persica_NCBIv2:G6:10477401:10480206:-1 gene:PRUPE_6G133500 transcript:ONI01332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRKQREPKEENVTLGPALRDGEHAFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMRIGRIEDVTPIPTDSTRRKGGRRGRRL >ONI03015 pep chromosome:Prunus_persica_NCBIv2:G6:23546517:23548572:1 gene:PRUPE_6G233600 transcript:ONI03015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNTSKHPHVVLFAYPLQGHVIPAVHLAIKLASKGITVTFVNTEAVHHQTVKSHAMEDDNIFKGVLQSGLDIRYVTINDGFPVEFDRSLNHDQFWESVIHDFPAQVDELLAKLVLSNPPVNCLISDTFFEWTTNIAKKYNLVTVSFWTEPALVFNLYYHLDLLNKNGHGHFTSHANPRQDTINYIPGVRAIEPKDLSSYLQPSDYTSPIHRIIVKAFEKIKTADFILCNTVQELESETISALQEKQQIYSIGPIFPTGFTKSRVATSLWSESDCIQWLSTRSRGSVLYVSFGSYAHASKREIEEIANGLLLSKVGFIWVLRPDIVSSDEPEILPFGFEDEIKDQEQGLIVPWCSQIEVISHPAIGGFITHCGWNSILESIWCNLPLLCFPLLTDQFTNRKLVVDDWRVGLNLCDQKPITKEEVAEKISHLMSGKSADELRRNVKEVRKALEGALAIGGSSHRNLDQFIDGVKAKVQEKTGFGFNNTEVS >ONI03016 pep chromosome:Prunus_persica_NCBIv2:G6:23546507:23548572:1 gene:PRUPE_6G233600 transcript:ONI03016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNTSKHPHVVLFAYPLQGHVIPAVHLAIKLASKGITVTFVNTEAVHHQTVKSHAMEDDNIFKGVLQSGLDIRYVTINDGFPVEFDRSLNHDQFWESVIHDFPAQVDELLAKLVLSNPPVNCLISDTFFEWTTNIAKKYNLVTVSFWTEPALVFNLYYHLDLLNKNGHGHFTSHGVRAIEPKDLSSYLQPSDYTSPIHRIIVKAFEKIKTADFILCNTVQELESETISALQEKQQIYSIGPIFPTGFTKSRVATSLWSESDCIQWLSTRSRGSVLYVSFGSYAHASKREIEEIANGLLLSKVGFIWVLRPDIVSSDEPEILPFGFEDEIKDQEQGLIVPWCSQIEVISHPAIGGFITHCGWNSILESIWCNLPLLCFPLLTDQFTNRKLVVDDWRVGLNLCDQKPITKEEVAEKISHLMSGKSADELRRNVKEVRKALEGALAIGGSSHRNLDQFIDGVKAKVQEKTGFGFNNTEVS >ONI01905 pep chromosome:Prunus_persica_NCBIv2:G6:16801890:16812884:-1 gene:PRUPE_6G166200 transcript:ONI01905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTQNILLASSGGLQVGSKGVRRSSTLICAPIMAESVDKMLIDMDKAKTLGADLLEIRLDHLKAFNDNVDLKTLIKESPLPTLFTYRPKWEGGQYDGDEKDRLDALRLAMELGADYIDVELQVAHEFIDSIYGKKPEKFKVIVSSHNYQHTPSVEALGNLVARIQATGADIVKIATTAMDITDVARIFQITVHSQVPTIGLVMGERGLISRILCAKFGGYLTFGTLDSGIVSAPGQPTMKDILHLYNFAQLGPDTKVFGIIGKPVSHSKSPFLYNEGFKSVGFNGVYVHLLVDDIAKFLQTYSSMDFAGFSITIPHKEAALKCCDEVDPVAKSIGAINCIIRRPTDGKLFGFNTDYVGAISAIEDGLTGSHKSGSITGSPLAGRLFVVIGAGGAGKALAYGAKQKGARIAIANRTYDRARELADTIGGDALSLADLDNFHPEEGMILANTTSIGMQPKVDETPISKHALRSYSLVFDAVYTPKMTRLLKDAEASGAIVVSGLEMFIGQAYEQFERFTGLPAPKELFRKVMDNSS >ONI01903 pep chromosome:Prunus_persica_NCBIv2:G6:16802778:16812884:-1 gene:PRUPE_6G166200 transcript:ONI01903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTQNILLASSGGLQVGSKGVRRSSTLICAPIMAESVDKMLIDMDKAKTLGADLLEIRLDHLKAFNDNVDLKTLIKESPLPTLFTYRPKWEGGQYDGDEKDRLDALRLAMELGADYIDVELQVAHEFIDSIYGKKPEKFKVIVSSHNYQHTPSVEALGNLVARIQATGADIVKIATTAMDITDVARIFQITVHSQVPTIGLVMGERGLISRILCAKFGGYLTFGTLDSGIVSAPGQPTMKDILHLYNFAQLGPDTKVFGIIGKPVSHSKSPFLYNEGFKSVGFNGVYVHLLVDDIAKFLQTYSSMDFAGFSITIPHKEAALKCCDEVDPVAKSIGAINCIIRRPTDGKLFGFNTDYVGAISAIEDGLTGSHKSGSITGSPLAGRLFVVIGAGGAGKALAYGAKQKGARIAIANRTYDRARELADTIGGDALSLADLDNFHPEEGMILANTTSIGMQPKVDETPISKHALRSYSLVFDAVYTPKMTRLLKDAEASGAIVVSGLEMFIGQAYEQFERFTGLPAPKELFRKVMDNSS >ONI01906 pep chromosome:Prunus_persica_NCBIv2:G6:16802777:16812884:-1 gene:PRUPE_6G166200 transcript:ONI01906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTQNILLASSGGLQVGSKGVRRSSTLICAPIMAESVDKMLIDMDKAKTLGADLLEIRLDHLKAFNDNVDLKTLIKESPLPTLFTYRPKWEGGQYDGDEKDRLDALRLAMELGADYIDVELQVAHEFIDSIYGKKPEKFKVIVSSHNYQHTPSVEALGNLVARIQATGADIVKIATTAMDITDVARIFQITVHSQVPTIGLVMGERGLISRILCAKFGGYLTFGTLDSGIVSAPGQPTMKDILHLYNFAQLGPDTKVFGIIGKPVSHSKSPFLYNEGFKSVGFNGVYVHLLVDDIAKFLQTYSSMDFAGFSITIPHKEAALKCCDEVDPVAKSIGAINCIIRRPTDGKLFGFNTDYVGAISAIEDGLTGSHKSGSITGSPLAGRLFVVIGAGGAGKALAYGAKQKGARIAIANRTYDRARELADTIGGDALSLADLDNFHPEEGMILANTTSIGMQPKVDETPISKHALRSYSLVFDAVYTPKMTRLLKDAEASGAIVVSGLEMFIGQAYEQFERFTGLPAPKELFRKVMDNSS >ONI01904 pep chromosome:Prunus_persica_NCBIv2:G6:16803445:16812634:-1 gene:PRUPE_6G166200 transcript:ONI01904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTQNILLASSGGLQVGSKGVRRSSTLICAPIMAESVDKMLIDMDKAKTLGADLLEIRLDHLKAFNDNVDLKTLIKESPLPTLFTYRPKWEGGQYDGDEKDRLDALRLAMELGADYIDVELQVAHEFIDSIYGKKPEKFKVIVSSHNYQHTPSVEALGNLVARIQATGADIVKIATTAMDITDVARIFQITVHSQVPTIGLVMGERGLISRILCAKFGGYLTFGTLDSGIVSAPGQPTMKDILHLYNFAQLGPDTKVFGIIGKPVSHSKSPFLYNEGFKSVGFNGVYVHLLVDDIAKFLQTYSSMDFAGFSITIPHKEAALKCCDEVDPVAKSIGAINCIIRRPTDGKLFGFNTDYVGAISAIEDGLTGSHKSGSITGSPLAGRLFVVIGAGGAGKALAYGAKQKGARIAIANRTYDRARELADTIGGDALSLADLDNFHPEEGMILANTTSIGMQPKVDETPISKHALRSYSLVFDAVYTPKMTRLLKDAEASGAIVVSGLEMFIGQAYEQFERFTGLPAPKELFRKVMDNSS >ONI01907 pep chromosome:Prunus_persica_NCBIv2:G6:16802792:16812884:-1 gene:PRUPE_6G166200 transcript:ONI01907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTQNILLASSGGLQVGSKGVRRSSTLICAPIMAESVDKMLIDMDKAKTLGADLLEIRLDHLKAFNDNVDLKTLIKESPLPTLFTYRPKWEGGQYDGDEKDRLDALRLAMELGADYIDVELQVAHEFIDSIYGKKPEKFKVIVSSHNYQHTPSVEALGNLVARIQATGADIVKIATTAMDITDVARIFQITVHSQVPTIGLVMGERGLISRILCAKFGGYLTFGTLDSGIVSAPGQPTMKDILHLYNFAQLGPDTKVFGIIGKPVSHSKSPFLYNEGFKSVGFNGVYVHLLVDDIAKFLQTYSSMDFAGFSITIPHKEAALKCCDEVDPVAKSIGAINCIIRRPTDGKLFGFNTDYVGAISAIEDGLTGSHKSGSITGSPLAGRLFVVIGAGGAGKALAYGAKQKGARIAIANRTYDRARELADTIGGDALSLADLDNFHPEEGMILANTTSIGMQPKVDETPISKHALRSYSLVFDAVYTPKMTRLLKDAEASGAIVVSGLEMFIGQAYEQFERFTGLPAPKELFRKVMDNSS >ONI03796 pep chromosome:Prunus_persica_NCBIv2:G6:26341369:26343396:-1 gene:PRUPE_6G282700 transcript:ONI03796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVSLALFLVFLFPICSLVQGVEFLFNGFNGSESNLTLEGASILKPSGMIRLTNKSHDIIGHAFYDKPINMFVKNSSSYPNASSFSTHFVFAIVSSSPGKGGFGLAFTLAPSPRFPGAEPGHFLGIVNSKNDNNSLNHIFAVEFDTVNGFKENSNTKGNHVGININSVYSVASEPAAYIVAGAHKEEMNLESGDLIQAWIDYDGKTQIVNVTLAPLDKRKPDKPLLSHPVDLSSILQQTMYAGFSASTGKEVSSHYIIAWSFAVDGMPRQLNLSQLPNPPKEKSSSSYKPQIKALIVALSVVVLVLLAILFFFTLYRKMVGFESESLEDWELDCPHRFKYKDLHAATKRFKASGVIGAGGFGEVYKGVLASTGCEIAVKKITRNSIQGMREFAAEIESLGRLRHKNLVHLQGWCKKKNNLLIVYDYIPYGSLDTLIFEPRDNFVLSWEQRFNILKGIASGLLYLHEEWEQVVIHRDVKSSNVLIGADMNPRLSDFGLARLYDHGEISHTTGVVGTIGYIAPELARTGKASTCSDVFAYGVLLLEVACGQRPIGSGEFILADWVMEKHQEDRILETVDPNLDSNYVVKEMKLVLELGLICSHFKPDARPTMRQVVRYLHEEEQLPEVDNWSIVDSGRISETNARFIQLLSTDTITSYQSSSLGVISCNSMSDGR >ONI01987 pep chromosome:Prunus_persica_NCBIv2:G6:17604983:17605411:-1 gene:PRUPE_6G171200 transcript:ONI01987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTGYQTSAIWIFVIATMMILPGLATLAPAPSNLKFLEECKSKLHDGCGKEIVDTIMKKWSISDGCCAELVLMGESCHIALVNKALSGPLAKLNKTVAFTKSAEIWTQCFNKRKGLLVKTRSTPKTTEKHPFVKEFPSPL >ONI03512 pep chromosome:Prunus_persica_NCBIv2:G6:25225212:25228001:1 gene:PRUPE_6G261900 transcript:ONI03512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDIRFFELNTGAKIPSVGLGTWQSSPGLVGDAVDAAIKAGYRHIDCAQLYANEKEIGSVLKKLFEDGVVKREDLWITSKLWCTDHAPEDVPEALDRTLRDLQLDCVDLYLIHWPARMKKGSVGFKHENLIDPDIPATWRAMESLYDSGKARAIGVSNFSTKKLSDLLDIARIPPAVDQVECHPSWQQAKLRSFCKSKGVHLSGYSPLGSPGTTWIKSEVLKNPILLTVAEKLGKTPAQVALRWGLQMGHSVLPKSTNEARIKENLDVFGWSIPEDLFPKFSEIEQARLIRGGSYVHDTFGPYRSIEEFWDGEI >ONI02491 pep chromosome:Prunus_persica_NCBIv2:G6:20961233:20965521:1 gene:PRUPE_6G201900 transcript:ONI02491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTKQSKWLEQNLQDMEEKVQYVLKLIEEDGDSFAKRAEMYYKKRPELIHFVEETYRAYRSLAERYDHISTELQNANNTIASVFPEQVQFAMDEEDDYSSPRMPKRPPDISKGNIPKVPKGPTKDLKSLLTKATAKNKLQPQRSMKTVTAKAVPKSGLSKPQALEEIDKAQKQILSLQTEKEFVKSSYENGLAKYWEIENQIKGMQEKVSNLQDEFGEGIVIDDNEARNLMAASALNSCQETLAQLQMKQESTAEEARIESQRVKDAAKNLESLKNEFQHGQINQEKPNAQDESVNVVNEKKLDQEVDSVTQQKQKLELLRDKIKEHYEAGPETSLTITEMADKIDELVNKVISLESAVSSQTALVQSLRSENDEIHEQIRILEDDKVSLIDGKKDLSKKLKELEEKLHQVQDLNQSVENQNDNLQTHFTEAHCNLGHISHKLRTVKPDEEVKVTGSSETKEGSLGEAKLQKQFEREEKTVNPDDGFKGLQEAKSGEELKATVSPQKDEEPRAEVRLSKKSTEHKETLNPADGSVKATDVLRTAGDQGEDVCQSLEINNEDKKHLDKDDKQTSVQPVDNLLNMEPKEQATRKEDDEQTSAQTVDNLLNKEPKEQSTGKEVDKQTSAQTVDNLLHMEPKEQATMKEDDKQTSVQTVDNLLNMEPKEQATEKEDDKQTSAQTVDNLLNMEPEEEEPDWQKLFLNGMEDREKILLAEYTTTLRNYKDLKKKFSEAENDLFETSVHIKELRSSGAMKDEEIKSLHKKLDLLLQSIGKNKDSEEFKALDHQQTPTSTPADKKDNDELEGTSTISPIEEKFRGNIDELLEENLDFWLKFSASFTQVQQFETTVEDLQSEISKLEERRKKQDGSSHAKYSMKSDARPLYKHLMEIQTELNVWLEKGAILKDELQCRFTSLCDIQEEITKALKTGAEDGDFKFTSYQAAKFQGEILNMKQENNKVADELQAGLDHVNALQLEVEKTMAKLNEEYRLSGSKKQPPAHLAHSESRSRVPLRSFIFGVTPKKQKRSIFAVVAPAMHKRYQDSKARSSQQ >ONI04823 pep chromosome:Prunus_persica_NCBIv2:G6:29478225:29479876:-1 gene:PRUPE_6G341500 transcript:ONI04823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSLLSPHNASTTHLLLRTPKTPNLRSSSYRPMSIKARTVPALTQDELKKLAADKAVEYVKSGMVLGLGTGSTAAFVVSKLGELLKSGELQNIVGVPTSKRTEDQARQLGIPLSVLDDHPKIDLAIDGADEVDPNLDLVKGRGGALLREKMVEAASDKFVVVADETKLVTGLGGSGLAMPVEVVQFCWKYNLVRLQELFNEEGVEAKLRLDGDGKPYITDNFNYIVDLYFKSPIKDGPAAGKEISKFEGVVEHGLFLDMATAVIIAGKDGVDVKTK >ONI02123 pep chromosome:Prunus_persica_NCBIv2:G6:18330464:18336983:-1 gene:PRUPE_6G178300 transcript:ONI02123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSEQILADKLSKLNSTQQCIETLSHWCIFHRSKAELVVTTWDKQFHNAQMVQKVPLLYLANDILQNSKRKGNEFVTEFWKVLPAALKVVIEEGDDHGKTVVSRLVGIWEERRVFGSRARSLKELMLGEEVPPPLEFNGKKRSRSVRIVKRDSRSIRTKLSIGGSAEKIVSAFHSVISEHPTEDAEMSKCKSAVHRVRKLEKDVDIACTNAKDPKRKTLSKELEEEENLLKQCIEKLKLVEASRVALVSQLKEALHEQESELENVRTHMQVAQAQAEEATNMRKRLNDEDYVFKPSSATSPPTDGNSKGGQTPRKSAAAIAALVADKLAASSSSQLIMTSVLSTFAAEEAKNAGLTKPSTSSNSFTSMPKSSGTDSMSKPERSIPVSDANVFISAQPPAVPPNHSYQSALVPQPQNQAPTSQGQFHMLPNPPSQQYLQPAGGVMSSYGYGSIPPLPLGPPPPPPHMVSPMVPLTQQPLQMNQQAVQITQQQPIPLTQQPPAPSFRPLQPPGMVYYGHPHNSQ >ONI04972 pep chromosome:Prunus_persica_NCBIv2:G6:29861555:29864329:1 gene:PRUPE_6G350100 transcript:ONI04972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGYTVTQHNFTVDVRTLHGCTKQVSPCSGIGPFSISKFLNKYNHEAQYGLQWKGDVNSKRNVCVLQRKGFQRSVCIDRVDEVNVDEWSIDNDEMGIEKKSGEEINKKKTRTSFILNVEGRFVGNSEETNNDILRKFCSRGRLIDASRLIEVMARQNQIPYFPSCTNLIRGLVKIDRLDKAAKILKIMIMSGGVPDIITYNMMVGGLCKRGQLRSAIDLVEDMSLTGCPPDVITYNAIIRCMFTFGNFDQAIAFWKDQLRKGCPPYIVTYTVLIELVCKHCGTMRAVEVLDDMVVEGCYPDIITYNSLINFTCRHNMLEDTALIIHNLLSHGLKPNAITYNTILHSLCSRGCWDEVDEIFTIMNETSESPTVVTYNILINGLCKFGLLDRAINFFTQMISQNCSPDIITYNTLLGALCREGMIDQGLELLHLLCGTSRSPGLITYNTVIDGLAKQGCMEKAMGLYGQMVENGIIPDEITHRSLVGGFCGVNLVEEAVLILKEMQKRGHPVRNISYKFVIHGLCRNKRVDVAIQVLEMMISNRCMPDGAIYSTIIEGVAAAGMTKEADELHQKLIEWNILSEEVMC >ONI04973 pep chromosome:Prunus_persica_NCBIv2:G6:29861556:29864329:1 gene:PRUPE_6G350100 transcript:ONI04973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGYTVTQHNFTVDVRTLHGCTKQVSPCSGIGPFSISKFLNKYNHEAQYGLQWKGDVNSKRNVCVLQRKGFQRSVCIDRVDEVNVDEWSIDNDEMGIEKKSGEEINKKKTRTSFILNVEGRFVGNSEETNNDILRKFCSRGRLIDASRLIEVMARQNQIPYFPSCTNLIRGLVKIDRLDKAAKILKIMIMSGGVPDIITYNMMVGGLCKRGQLRSAIDLVEDMSLTGCPPDVITYNAIIRCMFTFGNFDQAIAFWKDQLRKGCPPYIVTYTVLIELVCKHCGTMRAVEVLDDMVVEGCYPDIITYNSLINFTCRHNMLEDTALIIHNLLSHGLKPNAITYNTILHSLCSRGCWDEVDEIFTIMNETSESPTVVTYNILINGLCKFGLLDRAINFFTQMISQNCSPDIITYNTLLGALCREGMIDQGLELLHLLCGTSRSPGLITYNTVIDGLAKQGCMEKAMGLYGQMVENGIIPDEITHRSLVGGFCGVNLVEEAVLILKEMQKRGHPVRNISYKFVIHGLCRNKRVDVAIQVLEMMISNRCMPDGAIYSTIIEGVAAAGMTKEADELHQKLIEWNILSEEVMC >ONI04974 pep chromosome:Prunus_persica_NCBIv2:G6:29861541:29864329:1 gene:PRUPE_6G350100 transcript:ONI04974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGYTVTQHNFTVDVRTLHGCTKQVSPCSGIGPFSISKFLNKYNHEAQYGLQWKGDVNSKRNVCVLQRKGFQRSVCIDRVDEVNVDEWSIDNDEMGIEKKSGEEINKKKTRTSFILNVEGRFVGNSEETNNDILRKFCSRGRLIDASRLIEVMARQNQIPYFPSCTNLIRGLVKIDRLDKAAKILKIMIMSGGVPDIITYNMMVGGLCKRGQLRSAIDLVEDMSLTGCPPDVITYNAIIRCMFTFGNFDQAIAFWKDQLRKGCPPYIVTYTVLIELVCKHCGTMRAVEVLDDMVVEGCYPDIITYNSLINFTCRHNMLEDTALIIHNLLSHGLKPNAITYNTILHSLCSRGCWDEVDEIFTIMNETSESPTVVTYNILINGLCKFGLLDRAINFFTQMISQNCSPDIITYNTLLGALCREGMIDQGLELLHLLCGTSRSPGLITYNTVIDGLAKQGCMEKAMGLYGQMVENGIIPDEITHRSLVGGFCGVNLVEEAVLILKEMQKRGHPVRNISYKFVIHGLCRNKRVDVAIQVLEMMISNRCMPDGAIYSTIIEGVAAAGMTKEADELHQKLIEWNILSEEVMC >ONI04975 pep chromosome:Prunus_persica_NCBIv2:G6:29861556:29864329:1 gene:PRUPE_6G350100 transcript:ONI04975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQNQIPYFPSCTNLIRGLVKIDRLDKAAKILKIMIMSGGVPDIITYNMMVGGLCKRGQLRSAIDLVEDMSLTGCPPDVITYNAIIRCMFTFGNFDQAIAFWKDQLRKGCPPYIVTYTVLIELVCKHCGTMRAVEVLDDMVVEGCYPDIITYNSLINFTCRHNMLEDTALIIHNLLSHGLKPNAITYNTILHSLCSRGCWDEVDEIFTIMNETSESPTVVTYNILINGLCKFGLLDRAINFFTQMISQNCSPDIITYNTLLGALCREGMIDQGLELLHLLCGTSRSPGLITYNTVIDGLAKQGCMEKAMGLYGQMVENGIIPDEITHRSLVGGFCGVNLVEEAVLILKEMQKRGHPVRNISYKFVIHGLCRNKRVDVAIQVLEMMISNRCMPDGAIYSTIIEGVAAAGMTKEADELHQKLIEWNILSEEVMC >ONI01195 pep chromosome:Prunus_persica_NCBIv2:G6:9826527:9831890:-1 gene:PRUPE_6G127200 transcript:ONI01195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDDGGPGPASNGDAAVATRPKTKPKDGEGGDGEDPEQAKLRAGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGTRGEGNESEASRRIKTELLVQMQGVGTNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPNNLSESDFESLARKTEGFSGSDVAVCVKDVLFEPVRKTQDAMFFFKSADNMWIPCGPKQPGAIQITMQELAAKGLASQILPPPITKTDFDKVLARQRPTVSKSDLDVHERFTKEFGEEG >ONI02308 pep chromosome:Prunus_persica_NCBIv2:G6:19751853:19753063:-1 gene:PRUPE_6G190000 transcript:ONI02308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVGGRTQPHEVCVPYPSQGHWQPLLALALDLALMGGDALMGLPGFRFEAIPDGLPQSDTDATQDVPALSDSIRKNCLGPFKELLVKLGELSEMPPVTCIISDGVTGFGSRAAVEVSIPEVQFWSIGYFTFFPKCLAYAPLFFTSIILFWF >ONI00872 pep chromosome:Prunus_persica_NCBIv2:G6:7805916:7810403:-1 gene:PRUPE_6G109000 transcript:ONI00872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSGYGRDGIFRSLRPPLVLPRDLNLSMVSFLFRNSSSYSNKPALIDAESSETLSFSQFKSLVIKVSHGLLHLGIKKNDVVLIFAPNSIQFPICFLGIIASGAIATTSNPLYTVSELSKQVKDSNPKLVITVPELFEKVKDFNLPAVILGSQGASHIASRSKILTFHDLVESAGSASDFPLANIKQTDTAALLYSSGTTGMSKGVVLTHKNFIASSLMCTMEQEMTGEMHHVFLCVLPMFHVFGLAIITYSQLQKGNAVVSMSRFDLQKILMAVEKYKVTHLWVVPPIVLALAKNSAVKKFNLSSLRHIGSGAAPLGKELMEECAKVIPQGLIAQGYGMTETCGIASVENTLVGPRHTGSTGMLVSGVECQIVSVDTLKPQPPNKLGEIWVRGPNMMSGYFNNPQATNETIDKNGWVHTGDLGYFDEDGQLYVVDRIKELIKYKGFQVAPAELEGLLISHPEILDAVVIPFPDAEAGEVPVAYCVRTPNSSLTEEDVKNFIANQVAPFKRLRRVTFINSVPKSASGKILRRELIDKVRSKI >ONI00034 pep chromosome:Prunus_persica_NCBIv2:G6:4414313:4416634:-1 gene:PRUPE_6G064000 transcript:ONI00034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKSMDNIELKVLVNNVSNKVIFVESDGDFIDVLFSFLTIPMGTVIRLSHRSQPLGIGCMDNIYGSVESFDSQLFRTEECRAMLLRPRNRTEYLLDNLKLKFDDSEPMRYFMCSNPHCRIFRNSLVFSYYQKVRCPRCERLMDTETTLPVSGAEDVKNLGCTGWNSIEELTVNVGVDEVLKLLMCSLVSKMPLTETLLKHEPLPELSNENVDQEIYVESRMLGDATNEEEEKISIKLIVSKSRKMVCYAEAGEEFVNLLFSFLTLPLGFIVKQMQDNSMKGCIDQLYKSVQDLDEQCLKSNNHKKMLVSPKLLPGFGYKNHPLGIEEASYRLAVDTTLIHSNKQVKSVEFIDPKSHRNKDDNALGFLKGPAMFMITDSLNVSPISAILGLSILCELNVPVTDIEVQVAQVGKKEALCLLVASFVCDSALTSVFLRKPNRGFGCLSFLI >ONI01446 pep chromosome:Prunus_persica_NCBIv2:G6:11343795:11346324:-1 gene:PRUPE_6G140000 transcript:ONI01446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKPVKQESEEIFLKVVPPLDRTYVRWLARDLERIHGFTPAKPRAVKPPDHYIEYMHLNGWLDVDLADPDLAHLFK >ONI01445 pep chromosome:Prunus_persica_NCBIv2:G6:11343693:11346459:-1 gene:PRUPE_6G140000 transcript:ONI01445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKPVKQESEEIFLKVVPPLDRTYVRWLARDLERIHGFTPAKPRAVKPPDHYIEYMHLNGWLDVDLADPDLAHLFK >ONI01447 pep chromosome:Prunus_persica_NCBIv2:G6:11343793:11346459:-1 gene:PRUPE_6G140000 transcript:ONI01447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKPVKQESEEIFLKVVPPLDRTYVRWLARDLERIHGFTPAKPRAVKPPDHYIEYMHLNGWLDVDLADPDLAHLFK >ONI00501 pep chromosome:Prunus_persica_NCBIv2:G6:6343129:6345213:-1 gene:PRUPE_6G092000 transcript:ONI00501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSDRALEDLKLFHTIDRNIYYRLLMGLGFDPILSKYIVALWHTLERQSIGQKFMMNTLLLHDPFFNDLANESFELLKRLYSDCVHPPFEDIDSQFPKLRLLVPVKVSLSSVFNNKENTKKIMEEFVNEVLERAFSDIVPIYPYLAFIPQPIVSQQPLLHLMNGLIVQQGFQNSLNLCVDPNVVEAQPSLPAQEACDERPDRHQPNAERNERTLFLTFSRGHPVSEEELRGFFTRKFGECIEAICMGAEEGNSQPLFARAVVKSSSDITKILVGVDDSGKAKFSIHGKDVIVRRFFPRQNK >ONI04783 pep chromosome:Prunus_persica_NCBIv2:G6:29380902:29384599:-1 gene:PRUPE_6G339500 transcript:ONI04783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLVEPDYSDSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLKQYRLKHKRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVSGKKPDALYKVKDPEVRQFVEKCLATASLRLSARELLNDQFLQIDECDHDLRPVDYGRQIDDMGPLLRQPLFELHRSNSSFSNGYSNGFGYDSQNEWGYHPVDAESSGIELFEHHDDEHSEDVDISIKGKRREDGGIFLRLRIADKEGRIRNIYFPFDIETDTAFSVATEMVAELDITDQDVTRIADMIDGEIALLVPDWQPGPGIVETPRYANQGFCHNCASNHTSSGSMMEFLSNHPSAKNLQVLQCCKQGCASMHGRFEEVTYQADESEHHVTEGAPNESSQSDCLHYQEIWGQHESRELSSIGSGQSHSDGEYEKLDQLVTVEDEQDLELESNPASHAGNSTKNLSGSHSFSVIPSTYHDLSDTHENEIQEFRWLKAKHQMELRELRDQQIRLVSKSSDNGSKEHELANGVLSSLVSSTLQGLNNVMLSKSCAYDQRNLSPNFHANLNKSCEVMVSPNAEDEVTGKSFCTGSLLPQSLRRTVSLPVDAVDV >ONI04786 pep chromosome:Prunus_persica_NCBIv2:G6:29380902:29384599:-1 gene:PRUPE_6G339500 transcript:ONI04786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYTSWVDTANRNINFVTEMFTSGTLKQYRLKHKRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVSGKKPDALYKVKDPEVRQFVEKCLATASLRLSARELLNDQFLQIDECDHDLRPVDYGRQIDDMGPLLRQPLFELHRSNSSFSNGYSNGFGYDSQNEWGYHPVDAESSGIELFEHHDDEHSEDVDISIKGKRREDGGIFLRLRIADKEGRIRNIYFPFDIETDTAFSVATEMVAELDITDQDVTRIADMIDGEIALLVPDWQPGPGIVETPRYANQGFCHNCASNHTSSGSMMEFLSNHPSAKNLQVLQCCKQGCASMHGRFEEVTYQADESEHHVTEGAPNESSQSDCLHYQEIWGQHESRELSSIGSGQSHSDGEYEKLDQLVTVEDEQDLELESNPASHAGNSTKNLSGSHSFSVIPSTYHDLSDTHENEIQEFRWLKAKHQMELRELRDQQIRLVSKSSDNGSKEHELANGVLSSLVSSTLQGLNNVMLSKSCAYDQRNLSPNFHANLNKSCEVMVSPNAEDEVTGKSFCTGSLLPQSLRRTVSLPVDAVDV >ONI04788 pep chromosome:Prunus_persica_NCBIv2:G6:29380902:29384035:-1 gene:PRUPE_6G339500 transcript:ONI04788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTVLGKKPDALYKVKDPEVRQFVEKCLATASLRLSARELLNDQFLQIDECDHDLRPVDYGRQIDDMGPLLRQPLFELHRSNSSFSNGYSNGFGYDSQNEWGYHPVDAESSGIELFEHHDDEHSEDVDISIKGKRREDGGIFLRLRIADKEGRIRNIYFPFDIETDTAFSVATEMVAELDITDQDVTRIADMIDGEIALLVPDWQPGPGIVETPRYANQGFCHNCASNHTSSGSMMEFLSNHPSAKNLQVLQCCKQGCASMHGRFEEVTYQADESEHHVTEGAPNESSQSDCLHYQEIWGQHESRELSSIGSGQSHSDGEYEKLDQLVTVEDEQDLELESNPASHAGNSTKNLSGSHSFSVIPSTYHDLSDTHENEIQEFRWLKAKHQMELRELRDQQIRLVSKSSDNGSKEHELANGVLSSLVSSTLQGLNNVMLSKSCAYDQRNLSPNFHANLNKSCEVMVSPNAEDEVTGKSFCTGSLLPQSLRRTVSLPVDAVDV >ONI04785 pep chromosome:Prunus_persica_NCBIv2:G6:29381225:29383637:-1 gene:PRUPE_6G339500 transcript:ONI04785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYTSWVDTANRNINFVTEMFTSGTLKQYRLKHKRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVSGKKPDALYKVKDPEVRQFVEKCLATASLRLSARELLNDQFLQIDECDHDLRPVDYGRQIDDMGPLLRQPLFELHRSNSSFSNGYSNGFGYDSQNEWGYHPVDAESSGIELFEHHDDEHSEDVDISIKGKRREDGGIFLRLRIADKEGRIRNIYFPFDIETDTAFSVATEMVAELDITDQDVTRIADMIDGEIALLVPDWQPGPGIVETPRYANQGFCHNCASNHTSSGSMMEFLSNHPSAKNLQVLQCCKQGCASMHGRFEEVTYQADESEHHVTEGAPNESSQSDCLHYQEIWGQHESRELSSIGSGQSHSDGEYEKLDQLVTVEDEQDLELESNPASHAGNSTKNLSGSHSFSVIPSTYHDLSDTHENEIQEFRWLKAKHQMELRELRDQQIRLVSKSSDNGSKEHELANGVLSSLVSSTLQGLNNVMLSKSCAYDQRNLSPNFHANLNKSCEVMVSPNAEDEVTGKSFCTGSLLPQSLRRTVSLPVDAVDV >ONI04787 pep chromosome:Prunus_persica_NCBIv2:G6:29381225:29383637:-1 gene:PRUPE_6G339500 transcript:ONI04787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYTSWVDTANRNINFVTEMFTSGTLKQYRLKHKRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVSGKKPDALYKVKDPEVRQFVEKCLATASLRLSARELLNDQFLQIDECDHDLRPVDYGRQIDDMGPLLRQPLFELHRSNSSFSNGYSNGFGYDSQNEWGYHPVDAESSGIELFEHHDDEHSEDVDISIKGKRREDGGIFLRLRIADKEGRIRNIYFPFDIETDTAFSVATEMVAELDITDQDVTRIADMIDGEIALLVPDWQPGPGIVETPRYANQGFCHNCASNHTSSGSMMEFLSNHPSAKNLQVLQCCKQGCASMHGRFEEVTYQADESEHHVTEGAPNESSQSDCLHYQEIWGQHESRELSSIGSGQSHSDGEYEKLDQLVTVEDEQDLELESNPASHAGNSTKNLSGSHSFSVIPSTYHDLSDTHENEIQEFRWLKAKHQMELRELRDQQIRLVSKSSDNGSKEHELANGVLSSLVSSTLQGLNNVMLSKSCAYDQRNLSPNFHANLNKSCEVMVSPNAEDEVTGKSFCTGSLLPQSLRRTVSLPVDAVDV >ONI04784 pep chromosome:Prunus_persica_NCBIv2:G6:29381225:29384149:-1 gene:PRUPE_6G339500 transcript:ONI04784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLVEPDYSDSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLKQYRLKHKRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVVSGKKPDALYKVKDPEVRQFVEKCLATASLRLSARELLNDQFLQIDECDHDLRPVDYGRQIDDMGPLLRQPLFELHRSNSSFSNGYSNGFGYDSQNEWGYHPVDAESSGIELFEHHDDEHSEDVDISIKGKRREDGGIFLRLRIADKEGRIRNIYFPFDIETDTAFSVATEMVAELDITDQDVTRIADMIDGEIALLVPDWQPGPGIVETPRYANQGFCHNCASNHTSSGSMMEFLSNHPSAKNLQVLQCCKQGCASMHGRFEEVTYQADESEHHVTEGAPNESSQSDCLHYQEIWGQHESRELSSIGSGQSHSDGEYEKLDQLVTVEDEQDLELESNPASHAGNSTKNLSGSHSFSVIPSTYHDLSDTHENEIQEFRWLKAKHQMELRELRDQQIRLVSKSSDNGSKEHELANGVLSSLVSSTLQGLNNVMLSKSCAYDQRNLSPNFHANLNKSCEVMVSPNAEDEVTGKSFCTGSLLPQSLRRTVSLPVDAVDV >ONI00711 pep chromosome:Prunus_persica_NCBIv2:G6:7107873:7111446:1 gene:PRUPE_6G102200 transcript:ONI00711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPQYCSTLRQAEHSAAEVALNYLSNRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPVFTGTVELAGITFTGEPAKNKKQAEKNAAMAAWSSLKQLAKETASSSSEPENNDELEQITIARALLTYRLKEKMANPTAPILFPKKFPVHNPRPTSPQPPSAHTSKILPLICQKQAPRSRLQSNATNDNRIPSSQPSPLESRVLRPHRFPAAGAAPYVPIRQLRTPCHGIAPPVTIRTAVPVFSAPPLPPPPVMPCQAMRVPPLRVAPPVTMRQAVPVFSAPPIRKDDPPTTRKEDPPIIVKEDLPVIRKADPPAVIASSPQNDLIAQVEETGSASSNSLQESKTVQSLEQLKI >ONI02747 pep chromosome:Prunus_persica_NCBIv2:G6:22585463:22590285:1 gene:PRUPE_6G219800 transcript:ONI02747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVLKHGAGKWRTILTDPEFNSILHLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRNLPNPKHDNNPLAVSTVIQSHEEIVDAKPLAISGGKLQTTESKQPIARLDHLILEAITNLKELGGSDRAAIAMYIEEQYWAPPNLKKLLSSKLKHMVANGKLIKVKHRYRIAPSSASSEKRRSSSMLLLEGKQKDSSRADKSNVNILTKSQVDADLSKMRSMTAQEAAAAAAQAVAEAEAAIAAAEEAAREAEAAEAEAEAAQVFAKAAMKALKCRRLDLDMKLAHG >ONI02748 pep chromosome:Prunus_persica_NCBIv2:G6:22585430:22590285:1 gene:PRUPE_6G219800 transcript:ONI02748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVLKHGAGKWRTILTDPEFNSILHLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRNLPNPKHDNNPLAVSTVIQSHEEIVDAKPLAISGGKLQTTESKQPIARLDHLILEAITNLKELGGSDRAAIAMYIEEQYWAPPNLKKLLSSKLKHMVANGKLIKVKHRYRIAPSSASSEKRRSSSMLLLEGKQKDSSRADKSNVNILTKSQVDADLSKMRSMTAQEAAAAAAQAVAEAEAAIAAAEEAAREAEAAEAEAEAAQVFAKAAMKALKCRRLDLDMKLAHG >ONH99868 pep chromosome:Prunus_persica_NCBIv2:G6:3822221:3824721:-1 gene:PRUPE_6G054500 transcript:ONH99868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSVAVSNSPVFSPPSSLFCNKSSMITPSPETLTLATSSSSSDAMVSCSSPSSPFRLRIPKPLSGLSGSGPGSPVTQLKRKRPARLYIPVVSSSFVDAPAMESPGARREVVEADREGHYYVSCKRGRREALEDRYSATLNLQGDPKQAIFGIFDGHGGAKAAEFAAENLAKNILNEAVRRDDDEIEEAVKHGYLNTDSDFLKEDLRGGSCCVTAVIRNGNLVVSNAGDCRAVLSTGGAAEALTCDHRPSREDEKVRIENLGGFVDLSHGVWRINGSLAVSRGIGDRHLKQFVTPEPETTVVRIKPEHEFLIMASDGLWDKVSNQEAVDVVRPSWLAIDGQPLLACKKLVELSASRGSCDDISVMVVPLGLYV >ONI01919 pep chromosome:Prunus_persica_NCBIv2:G6:17016589:17019029:1 gene:PRUPE_6G167200 transcript:ONI01919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRNEDNHSDSDSDLEEMEQHMIICMHIYEYWQSYVDRTPLSYSIRMQVAAKRFQRSKDTIHRQFKRILGALCALAPCIIRPQSRGETPSQILNNPKYYPYFEKCIGAIDGTHVAAWALAQKQTSYRGRKILITQNVMCACSFDMMFTFIYMGWEGTANDSRVFIDAVMRPENEFPFPDEGPNPNSTLESEPNPVSEITLQSEPQSSQVSEITLQSEPQS >ONI00852 pep chromosome:Prunus_persica_NCBIv2:G6:7637332:7642558:1 gene:PRUPE_6G107300 transcript:ONI00852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSFGDDYDEATVAGFEVLRSPDASYDNVYPGNEDEARDPPLVPPHLQQTLLSYPASADTAGTLPLPQNVTLNHLYIENRESPRSVVALGFTHRFRSKFVTVVLYKPVQRRGTTST >ONI00851 pep chromosome:Prunus_persica_NCBIv2:G6:7637026:7642649:1 gene:PRUPE_6G107300 transcript:ONI00851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSFGDDYDEATVAGFEVLRSPDASYDNVYPGNEDEARDPPLVPPHLQQTLLSYPASADTAGTLPLPQNVTLNHLYIENRESPRSVVALGFTHRFRSKFVTVVLYKPVQRRGTTST >ONI00850 pep chromosome:Prunus_persica_NCBIv2:G6:7638014:7642641:1 gene:PRUPE_6G107300 transcript:ONI00850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSFGDDYDEATVAGFEVLRSPDASYDNVYPGNEDEARDPPLVPPHLQQTLLSYPASADTAGTLPLPQNVTLNHLYIENRESPRSVVALGFTHRFRSKFVTVVLYKPVQRRGTTST >ONI01164 pep chromosome:Prunus_persica_NCBIv2:G6:9585069:9586702:1 gene:PRUPE_6G125400 transcript:ONI01164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMMRLGVFLVILLMLVAAEAGTSHPKPKKVQCKNQDYPDCYCLELTCPSACPEQCEVDCVTCSPVCNCNKPGAVCQDPRFIGGDGITFYFHGKKDQDFCIVSDSNLHINAHFIGKRNQNMKRDFTWVQSLGILFGNHKLFIGAKTTSTWDDSNDRLSLSIDGEPINLPDSEGANWQSILSPGALSITRTKNTNSIEIEAEGNFKIKAVVVPITETDSMIHKYGVTQEDCFAHLDLSFKFYALSGEVNGVLGQTYASNYVSRVKMGVVMPVLGGDKEFASSSIFASDCAVSRFSGEFVKNNSSDSFEYMNCASGTDGRGVVCKR >ONI01104 pep chromosome:Prunus_persica_NCBIv2:G6:9059969:9067644:-1 gene:PRUPE_6G121800 transcript:ONI01104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLVGDDLARQSSSRRSWRSSSVREMWNTQDVFQQSSRQQTVNEEEELKWAAIERLPTYDRMKRGMLRQYMSNGRVVAEEVDVAHLGDHDKKQLMESILKVVEDDNERFLKRLRARNDRVGIDIPKVEVRYQNISIEGDAYVGTRALPTLLNSTLNQLEGLIGLIGLSPSKKRVVKILHDVSGIVKPSRMTLLLGPPSSGKTTLLKALAGKLDRDIRVTGKVTYCGHEFNEFVPQRTSAYISQHDLHYGEMTVRETLDFSGRCLGVGTRYDMLVEMSRREKDSGVKPDPEIDAFMKATSMSGKETSLITDYVLKILGLDICADIMVGDGMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMRQMVHILDVTMVISLLQPAPETYDLFDDIILISEGQIVYQGPRENVLEFFEYMGFRCPERKGVADFLQEVTSKKDQEQYWYKKDQAYRYVSVPDFVQAFKSFHVGQRLLEDLRVPYDKRAAHPAALVKEKYGISNMELFKACFAREWLLMQRNSFVYIFKTTQITIMATIAFTVFLRTTMKYGEQEDSARFWGALFFSLINVMFNGVAELSMTVFRLPVFFRQRDALFYPGWAFGLPIWITRIPISLMESFLWTAITYYTIGFAPAPSRFFKQFLAFFGIHQMAVSLFRFIAGLGRSEVVSGTIGSFSLLLVFILGGYIVAKDDIEPWMIWGYYVSPMMYGQNAIAINEFLDDRWSTPISNARMPTVGKTLLKERGLYTEEYWYWICIGALFAFSVLFNVLFIASLTFLNPLGETKTLIENDDSETKRKQKLSNSEGIDMQVRNAQGSTSSNVNVASGQAKRGMVMPFQPLSLAFNHVNYYVDMPVEMKSEGIEETRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQATFTRVSGYCEQNDIHSPYVTVYESLVYSAWLRLSRDATKDKRKMFVDEVMDLVELNPLRNSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQVIYAGPLGHQSHELVEYFEAIPGVPKIKEGYNPATWMLDVSSAAVEAQNNIDFAEIYANSELYRRNEELIKELSIPLPGSNDLHFPTQFSQSFIVQCKACFWKQHWSYWRNSRYNAIRFFMTAVIGIIFGVIFWSKGDSLHKQQDLINLLGATYSAVLFLGAGNASAVQSVIAIERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTFMYSCILYSMIGYTWKVEKFLYFYYFVFMCFTYFTMNGMMMVALTPNHQIAAIVSSFFTNFWNLFSGFLIARPLIPVWWRWYYWGSPIAWTIYGIMASQFGDIKTFIDTPEGSQRVDLYLKKNLGYEHDFVVPVFFAHIGWVLLFFFVFAYGIKFLNFQKR >ONI00472 pep chromosome:Prunus_persica_NCBIv2:G6:6210879:6211864:1 gene:PRUPE_6G090800 transcript:ONI00472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASPAPKEKKNPSFPPRRGLIKLQIFEKLVKVVVNKASNPGAQGKNRGEDSGGSSTSQTPPSSAYSSDAN >ONI03567 pep chromosome:Prunus_persica_NCBIv2:G6:25416854:25417244:-1 gene:PRUPE_6G265600 transcript:ONI03567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQMSFHWGNEATILFTGWPNQTTGMYILALFFVFVLAFAKEALSVWPIIKQTTNPMVAGIAQASVYSVRVGMGYLVMLAVMSFNVGIFIAAVAGHTFGDLVVKASSLALAKPSQPEV >ONH99420 pep chromosome:Prunus_persica_NCBIv2:G6:2214686:2220696:-1 gene:PRUPE_6G028400 transcript:ONH99420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKERRLAAKTNAGRRVKLDLFAEPSGDLGGSAEHDELGGDMKSKGHAGLPNSPSSSGQQPQNPLLLLGQYSDDELDDDSNQVLSNAAVGNSSPENNDEVKSSLGESYQHMDTNADEDLASQKVKQQGGDTNSAPNDCDQSMEDSDKRENDDVASSDLRTELYLTEQASVPETSSLQVIGDVSSGWKIVMHEESNSYYYWNTETGETSWEVPDVLTQETKLTSDQKTPTVAGKLENVPVGTEESNLTSDVKLDGFSNSDTNEGAANMVPHGTESYGHGCGCGSQMDQWNLACNNQATHDTMANEDFESGIDLSSRLVKHCEALLERLKSLQGSKEQLQDLNWISKYTLEVEIRLFDFQSLLSYGSSLLPFWMHSERQLKRVEIAINDEMSKISKSVQTDEVQAAHASFFQGETNFQESVGCKTEADQVEALDDFHATPSVDTLAIVSKESSGVNAEHVSEFGSPTRHMESGVSEQVNGVAVPIESTTKNDFCAGEEVDMDVDMEVEDSNSAGNTAIAYALNATVFAPSEQPINPSPPSVYTSSGPEDTFTIPPPPDEEWIPPPPPDNEQVPPPPPDEPPPQPPHPPLSSYPETGQAPYPEQYNFSYPSSSFEYYGHTVTEGPSSTFYGHPEGCQVSMPHAPLYYAAVPGTYTETSQAAANPVESVTYYGLQDGTRLSVPVVNGVESLQFHSESAPLSYENLVSDRTGSINSFAGAGSSGSLPNVNIDSSAVDGETGGASMDVPSTTSTIQAPATISVKSNVPVPSTNHAPSAASIPATSEVTKAQSKVPRTKKRTVAVASSLRSNKKVSSLVDKWKAAKEELLEDEEEPQNTYELFERKRQRGIEEWYAQQITSGEAKDNANFQPLGGDWREKVKRRKAQLARKAGQTASTPGPEAHMDGNQKPDLAELSRGLPSGWQAYWDESSKQVYYGNTATSETTWTQPTK >ONH99421 pep chromosome:Prunus_persica_NCBIv2:G6:2215180:2219215:-1 gene:PRUPE_6G028400 transcript:ONH99421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGQQPQNPLLLLGQYSDDELDDDSNQVLSNAAVGNSSPENNDEVKSSLGESYQHMDTNADEDLASQKVKQQGGDTNSAPNDCDQSMEDSDKRENDDVASSDLRTELYLTEQASVPETSSLQVIGDVSSGWKIVMHEESNSYYYWNTETGETSWEVPDVLTQETKLTSDQKTPTVAGKLENVPVGTEESNLTSDVKLDGFSNSDTNEGAANMVPHGTESYGHGCGCGSQMDQWNLACNNQATHDTMANEDFESGIDLSSRLVKHCEALLERLKSLQGSKEQLQDLNWISKYTLEVEIRLFDFQSLLSYGSSLLPFWMHSERQLKRVEIAINDEMSKISKSVQTDEVQAAHASFFQGETNFQESVGCKTEADQVEALDDFHATPSVDTLAIVSKESSGVNAEHVSEFGSPTRHMESGVSEQVNGVAVPIESTTKNDFCAGEEVDMDVDMEVEDSNSAGNTAIAYALNATVFAPSEQPINPSPPSVYTSSGPEDTFTIPPPPDEEWIPPPPPDNEQVPPPPPDEPPPQPPHPPLSSYPETGQAPYPEQYNFSYPSSSFEYYGHTVTEGPSSTFYGHPEGCQVSMPHAPLYYAAVPGTYTETSQAAANPVESVTYYGLQDGTRLSVPVVNGVESLQFHSESAPLSYENLVSDRTGSINSFAGAGSSGSLPNVNIDSSAVDGETGGASMDVPSTTSTIQAPATISVKSNVPVPSTNHAPSAASIPATSEVTKAQSKVPRTKKRTVAVASSLRSNKKVSSLVDKWKAAKEELLEDEEEPQNTYELFERKRQRGIEEWYAQQITSGEAKDNANFQPLGGDWREKVKRRKAQLARKAGQTASTPGPEAHMDGNQKPDLAELSRGLPSGWQAYWDESSKQVYYGNTATSETTWTQPTK >ONI04308 pep chromosome:Prunus_persica_NCBIv2:G6:28113793:28118663:-1 gene:PRUPE_6G315300 transcript:ONI04308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHDLEMEKARLLSLALNSGFDEESAKKCLDRLVDLYGDDGLDFITVEHCGDDFLAALAESMQDTEEWDDIQAMETEACGVLNNMFDKDILNGNEPDDDRGKINMVEDSPERQKYPNFLLLDSSDSEEVDLTTPMTKDAGSKPSPFPVWSSSVPPQNSGKRSSRSVDCESSITRDSVPSISSKKQCARTSKYESKTPTYEELQALDDAELANVVIFGNKIFRPLQHQACKAAMEKRDCFILMPTGGGKSLCYQLPATLKQGVTVVVSPLLSLIQDQIITLNLKFGVPATFLNSQQTTSQAAAVLQELRKDKPSCKLLYVTPERIAGNPSFLEVLKCLHSKAQLAGFVVDEAHCVSQWGHDFRPDYRGLGCLKQIFCDVPVMALTATATHSVREDILKALRIPRALVLERSFDRPNLKYEVIGKTKESLKQLGQLLMSRFQDQSGIIYCLSKSECVEVSNFLNEKFKIKTAYYHAGIAARQRVAVQKKWYTGEVQIVCATIAFGMGIDKPDVRFVIHNTISKSIESYYQESGRAGRDDLPAVCIVLYQKKDFSRVVCLLRNGQGCKSQSFKTAMAQAQKMQEYCELKTQCRRQMLLKHFGESFDENACKCGSNPCDNCLKASEQGKKKR >ONI04310 pep chromosome:Prunus_persica_NCBIv2:G6:28113786:28118680:-1 gene:PRUPE_6G315300 transcript:ONI04310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHDLEMEKARLLSLALNSGFDEESAKKCLDRLVDLYGDDGLDFITVEHCGDDFLAALAESMQDTEEWDDIQAMETEACGVLNNMFDKDILNGNEPDDDRGKINMVEDSPERQKYPNFLLLDSSDSEEVDLTTPMTKDAGSKPSPFPVWSSSVPPQNSGKRSSRSVDCESSITRDSVPSISSKKQCARTSKYESKTPTYEELQALDDAELANVVIFGNKIFRPLQHQACKAAMEKRDCFILMPTGGGKSLCYQLPATLKQGVTVVVSPLLSLIQDQIITLNLKFGVPATFLNSQQTTSQAAAVLQELRKDKPSCKLLYVTPERIAGNPSFLEVLKCLHSKAQLAGFVVDEAHCVSQWGHDFRPDYRGLGCLKQIFCDVPVMALTATATHSVREDILKALRIPRALVLERSFDRPNLKYEVIGKTKESLKQLGQLLMSRFQDQSGIIYCLSKSECVEVSNFLNEKFKIKTAYYHAGIAARQRVAVQKKWYTGEVQIVCATIAFGMGIDKPDVRFVIHNTISKSIESYYQESGRAGRDDLPAVCIVLYQKKDFSRVVCLLRNGQGCKSQSFKTAMAQAQKMQEYCELKTQCRRQMLLKHFGESFDENACKCGSNPCDNCLKASEQGKKKR >ONI04309 pep chromosome:Prunus_persica_NCBIv2:G6:28113784:28118680:-1 gene:PRUPE_6G315300 transcript:ONI04309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHDLEMEKARLLSLALNSGFDEESAKKCLDRLVDLYGDDGLDFITVEHCGDDFLAALAESMQDTEEWDDIQAMETEACGVLNNMFDKDILNGNEPDDDRGKINMVEDSPERQKYPNFLLLDSSDSEEVDLTTPMTKDAGSKPSPFPVWSSSVPPQNSGKRSSRSVDCESSITRDSVPSISSKKQCARTSKYESKTPTYEELQALDDAELANVVIFGNKIFRPLQHQACKAAMEKRDCFILMPTGGGKSLCYQLPATLKQGVTVVVSPLLSLIQDQIITLNLKFGVPATFLNSQQTTSQAAAVLQELRKDKPSCKLLYVTPERIAGNPSFLEVLKCLHSKAQLAGFVVDEAHCVSQWGHDFRPDYRGLGCLKQIFCDVPVMALTATATHSVREDILKALRIPRALVLERSFDRPNLKYEVIGKTKESLKQLGQLLMSRFQDQSGIIYCLSKSECVEVSNFLNEKFKIKTAYYHAGIAARQRVAVQKKWYTGEVQIVCATIAFGMGIDKPDVRFVIHNTISKSIESYYQESGRAGRDDLPAVCIVLYQKKDFSRVVCLLRNGQGCKSQSFKTAMAQAQKMQEYCELKTQCRRQMLLKHFGESFDENACKCGSNPCDNCLKASEQGKKKR >ONI03048 pep chromosome:Prunus_persica_NCBIv2:G6:23651356:23651532:-1 gene:PRUPE_6G235500 transcript:ONI03048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVVVVSVPVILFIVIVALAFYLIGRANGRREAVSAQQHFGPPVPPPLQAQEKSDQV >ONI03047 pep chromosome:Prunus_persica_NCBIv2:G6:23651217:23652105:-1 gene:PRUPE_6G235500 transcript:ONI03047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVVVVSVPVILFIVIVALAFYLIGRANGRREAVSAQQHFGPPVPPPLQAQEKSDQV >ONI00513 pep chromosome:Prunus_persica_NCBIv2:G6:6373472:6378328:-1 gene:PRUPE_6G092500 transcript:ONI00513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSVARSSTTPFPLNFVSKPSQSDHFPKTALNFDPRFKRCRIALALAYLRSDDNDRSRNGGDGHNHLVGGALELDSSAFEDFPYDVVSKDLHTLPRPLSSTHLSNSVSDGSRLRVAYQGVRGAYSESAAEKAYPNCEAVPCEQFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKLAVRHCLLANHGVEVEDLKRVLSHPQALSQCEHTLTKLGLVREAVDDTAGAAKHVAFHKLKDTGAVASSAAADIYGLTILAQDIQDDCDNVTRFLMLAREPIIPGTDRPFKRKALGSFLRRLLFLLCVKSISQRLKAVPCGSNRCEHLMIIMADTLTIFFMWILKHQWLIKVHKMPLGI >ONI00511 pep chromosome:Prunus_persica_NCBIv2:G6:6373472:6378328:-1 gene:PRUPE_6G092500 transcript:ONI00511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSVARSSTTPFPLNFVSKPSQSDHFPKTALNFDPRFKRCRIALALAYLRSDDNDRSRNGGDGHNHLVGGALELDSSAFEDFPYDVVSKDLHTLPRPLSSTHLSNSVSDGSRLRVAYQGVRGAYSESAAEKAYPNCEAVPCEQFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKLAVRHCLLANHGVEVEDLKRVLSHPQALSQCEHTLTKLGLVREAVDDTAGAAKHVAFHKLKDTGAVASSAAADIYGLTILAQDIQDDCDNVTRFLMLAREPIIPGTDRPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRKQPLRASDDNNGRYFDYLFYVDFEASMADQSAQNALRHLKEFATFLRVLGSYPMDRSMI >ONI00512 pep chromosome:Prunus_persica_NCBIv2:G6:6373472:6378358:-1 gene:PRUPE_6G092500 transcript:ONI00512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSVARSSTTPFPLNFVSKPSQSDHFPKTALNFDPRFKRCRIALALAYLRSDDNDRSRNGGDGHNHLVGGALELDSSAFEDFPYDVVSKDLHTLPRPLSSTHLSNSVSDGSRLRVAYQGVRGAYSESAAEKAYPNCEAVPCEQFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKLAVRHCLLANHGVEVEDLKRVLSHPQALSQCEHTLTKLGLVREAVDDTAGAAKHVAFHKLKDTGAVASSAAADIYGLTILAQDIQDDCDNVTRFLMLAREPIIPGTDRPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRKQPLRASDDNNGRYFDYLFYVDFEASMADQSAQNALRHLKEFATFLRVLGSYPMDRSMI >ONI02319 pep chromosome:Prunus_persica_NCBIv2:G6:19802826:19806077:1 gene:PRUPE_6G190900 transcript:ONI02319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENEFRSWDELIPDALGLIFRKLPLQETLTVIPRVCKSWGRAVAGPYCWQEVDIMEWSKFRTPETITRMLQMLVLRSSGSLRKLCVAGLPNDQSFSFIADHANSLRTLRLPRSEISDLIVEQVAARLSTLTYLDVSYCKTIGGPALEAIGKHCKHLRKLQRCMYGWEVLDKVSQDDEALAIAATMPKLNHLEILYLRISTEAVCQILLKCPELQLLDVRGCYSVKLDERFVKKFSGLKVIGPGSDNEGWDECSNYSVSSGYLAWDFVASVMDDDFDEEMLDEAWEDDQSMEDVEMRFYDGFYLDNGGFDWPLSP >ONI02318 pep chromosome:Prunus_persica_NCBIv2:G6:19802824:19806093:1 gene:PRUPE_6G190900 transcript:ONI02318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENEFRSWDELIPDALGLIFRKLPLQETLTVIPRVCKSWGRAVAGPYCWQEVDIMEWSKFRTPETITRMLQMLVLRSSGSLRKLCVAGLPNDQSFSFIADHANSLRTLRLPRSEISDLIVEQVAARLSTLTYLDVSYCKTIGGPALEAIGKHCKHLRKLQRCMYGWEVLDKVSQDDEALAIAATMPKLNHLEILYLRISTEAVCQILLKCPELQLLDVRGCYSVKLDERFVKKFSGLKVIGPGSDNEGWDECSNYSVSSGYLAWDFVASVMDDDFDEEMLDEAWEDDQSMEDVEMRFYDGFYLDNGGFDWPLSP >ONI02320 pep chromosome:Prunus_persica_NCBIv2:G6:19802938:19806077:1 gene:PRUPE_6G190900 transcript:ONI02320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEENEFRSWDELIPDALGLIFRKLPLQETLTVIPRVCKSWGRAVAGPYCWQEVDIMEWSKFRTPETITRMLQMLVLRSSGSLRKLCVAGLPNDQSFSFIADHANSLRTLRLPRSEISDLIVEQVAARLSTLTYLDVSYCKTIGGPALEAIGKHCKHLRKLQRCMYGWEVLDKVSQDDEALAIAATMPKLNHLEILYLRISTEAVCQILLKCPELQLLDVRGCYSVKLDERFVKKFSGLKVIGPGSDNEGWDECSNYSVSSGYLAWDFVASVMDDDFDEEMLDEAWEDDQSMEDVEMRFYDGFYLDNGGFDWPLSP >ONI00957 pep chromosome:Prunus_persica_NCBIv2:G6:8201469:8203160:-1 gene:PRUPE_6G113700 transcript:ONI00957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARNAIVATGLVAFAAAGLAFPFYMASSPKPVIDPSKPLSPQATFRGPYINTGSCDVGPDHQTYPKK >ONI04942 pep chromosome:Prunus_persica_NCBIv2:G6:29812819:29816386:1 gene:PRUPE_6G349300 transcript:ONI04942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAISHAFHCPKLQFSQRNFRPKVPDLRFQPSFFRTIPQNTRIICAAASAAGSSNPDSDLNPYEVLGVSPIEGFDMIKAAYTKKHKEAVRSGDQATAARLEKAYDKVMMAQLSNRKKGVTFGSFKVSKDIKYADKQPIVPWGPRFTKSTVQDMRINLAISAVFIAWLLIKRNAEYKPLQFLTFAFVYRIFEKLKSFEPPVSPTYTEDGEEAGRGLQMGKRLLRSLALVFGCIAVASLGYTGLLNLIEFTGSFIPAALYNNQELIITTATAVMLYILASYYR >ONI04359 pep chromosome:Prunus_persica_NCBIv2:G6:28209123:28218614:-1 gene:PRUPE_6G317500 transcript:ONI04359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHRLEKESGFFFNTKYFEEKVLAGEWDDVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKPKAVEILVNDLKVFSTFNEELYKEITQLLTLGNFSLNWQHQLCKNPRPNPDIKTLFTDHTCAPPNGPHTTTPVTLPVAAVAKPAAYPSLGAHGPFPVTAATANANALAGWMVNASVSSSVQAAVVTASSIPVPQNQVAILKRPRTPPATPGMVDYQSADHEQLMKRLRPAQSIGEVIYPTSRQQASWSPDDLPRTVAFTLHQGSSVTSMDFHPSNHTLLLVGSNNGDITLWELLLRERLVSKPFKIWDMTKCSLQFQATIVKDTPISVSRVTWSPDGSFVGVAFTKHLIHLYAYHGSNDLRQHVEVDAHNGAVNDLAFAHPNKQLCVVTCGDDKLIKVWDLTGRKLYNFEGHDAPVYSVCPHHKENIQFIFSTAIDGKIKAWLYDNLGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKEGESFLVEWNESEGAIKRTYSGFRKKSAGVVQFDTTQNHFLAVGEDSQIKFWDMDNNNILTSTDAEGGLPSQPRLRFNREGNLLAVTTADNGFKILANAVGVKTLKLMESTTSFEGLRPPIESTVIKASGSPSVTNVSAVNCKVERSSPVRSTPILLGIDHMSRSFEKMRSLDDAIDKSKIWQLTDIQDCAQCRLATMPDTTDTSSKVIRLLYTNSGTGILALGSNGVQKLWKWVRNEQNPSGKATASVVPQHWQPNSGLLMTNDVTGVDLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMAPPPASTFIAFHPLDNNIIAIGMEDSTIHIYNVRLDEVRSKMKGHQKRVTGLAFSTNLNILVSSGSDAQLCVWSIDTWEKRKSVAIHIPSGKAPAGDTRVQFHSDQIRLLVVHDTQLAIYDASKMDCIRQWLPQDVLPAPISYAAYSCNSQLVYAAFSDSNIGVFDADTLRLRCRIAPSAYLSQAVLNGSQAVYPLVVAVHPQEPNQFAVGLGDGSVKVIEPTESEGKWGSSPPVENGTLNGRAGSSSTTSNHTHDQIQRRE >ONI04361 pep chromosome:Prunus_persica_NCBIv2:G6:28209123:28218614:-1 gene:PRUPE_6G317500 transcript:ONI04361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHRLEKESGFFFNTKYFEEKVLAGEWDDVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKPKAVEILVNDLKVFSTFNEELYKEITQLLTLGNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCAPPNGPHTTTPVTLPVAAVAKPAAYPSLGAHGPFPVTAATANANALAGWMVNASVSSSVQAAVVTASSIPVPQNQVAILKRPRTPPATPGMVDYQSADHEQLMKRLRPAQSIGEVIYPTSRQQASWSPDDLPRTVAFTLHQGSSVTSMDFHPSNHTLLLVGSNNGDITLWELLLRERLVSKPFKIWDMTKCSLQFQATIVKDTPISVSRVTWSPDGSFVGVAFTKHLIHLYAYHGSNDLRQHVEVDAHNGAVNDLAFAHPNKQLCVVTCGDDKLIKVWDLTGRKLYNFEGHDAPVYSVCPHHKENIQFIFSTAIDGKIKAWLYDNLGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKEGESFLVEWNESEGAIKRTYSGFRKKSAGVVQFDTTQNHFLAVGEDSQIKFWDMDNNNILTSTDAEGGLPSQPRLRFNREGNLLAVTTADNGFKILANAVGVKTLKLMESTTSFEGLRPPIESTVIKASGSPSVTNVSAVNCKVERSSPVRSTPILLGIDHMSRSFEKMRSLDDAIDKSKIWQLTDIQDCAQCRLATMPDTTDTSSKVIRLLYTNSGTGILALGSNGVQKLWKWVRNEQNPSGKATASVVPQHWQPNSGLLMTNDVTGVDLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMAPPPASTFIAFHPLDNNIIAIGMEDSTIHIYNVRLDEVRSKMKGHQKRVTGLAFSTNLNILVSSGSDAQLCVWSIDTWEKRKSVAIHIPSGKAPAGDTRVQFHSDQIRLLVVHDTQLAIYDASKMDCIRQKPSCVPACCCSTSTRTQPVCCRVGRWLC >ONI04360 pep chromosome:Prunus_persica_NCBIv2:G6:28209366:28217611:-1 gene:PRUPE_6G317500 transcript:ONI04360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKESVHRLEKESGFFFNTKYFEEKVLAGEWDDVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKPKAVEILVNDLKVFSTFNEELYKEITQLLTLGNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCAPPNGPHTTTPVTLPVAAVAKPAAYPSLGAHGPFPVTAATANANALAGWMVNASVSSSVQAAVVTASSIPVPQNQVAILKRPRTPPATPGMVDYQSADHEQLMKRLRPAQSIGEVIYPTSRQQASWSPDDLPRTVAFTLHQGSSVTSMDFHPSNHTLLLVGSNNGDITLWELLLRERLVSKPFKIWDMTKCSLQFQATIVKDTPISVSRVTWSPDGSFVGVAFTKHLIHLYAYHGSNDLRQHVEVDAHNGAVNDLAFAHPNKQLCVVTCGDDKLIKVWDLTGRKLYNFEGHDAPVYSVCPHHKENIQFIFSTAIDGKIKAWLYDNLGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKEGESFLVEWNESEGAIKRTYSGFRKKSAGVVQFDTTQNHFLAVGEDSQIKFWDMDNNNILTSTDAEGGLPSQPRLRFNREGNLLAVTTADNGFKILANAVGVKTLKLMESTTSFEGLRPPIESTVIKASGSPSVTNVSAVNCKVERSSPVRSTPILLGIDHMSRSFEKMRSLDDAIDKSKIWQLTDIQDCAQCRLATMPDTTDTSSKVIRLLYTNSGTGILALGSNGVQKLWKWVRNEQNPSGKATASVVPQHWQPNSGLLMTNDVTGVDLEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMAPPPASTFIAFHPLDNNIIAIGMEDSTIHIYNVRLDEVRSKMKGHQKRVTGLAFSTNLNILVSSGSDAQLCVWSIDTWEKRKSVAIHIPSGKAPAGDTRVQFHSDQIRLLVVHDTQLAIYDASKMDCIRQWLPQDVLPAPISYAAYSCNSQLVYAAFSDSNIGVFDADTLRLRCRIAPSAYLSQAVLNGSQAVYPLVVAVHPQEPNQFAVGLGDGSVKVIEPTESEGKWGSSPPVENGTLNGRAGSSSTTSNHTHDQIQRRE >ONI00020 pep chromosome:Prunus_persica_NCBIv2:G6:4351898:4352853:-1 gene:PRUPE_6G063000 transcript:ONI00020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVYKGGEPKKERRRRKMACCGRPNRSDIHLSNEEEAEIESKTREFFDGVTPKRHTKPQRSEYTSSQYVDALSNSNKESEIPELVEFQRLENDTEQKIVVNGSEVGEEFVETDYYKDLNCVDKQHHTTGTGFIKVPNKSDKNYNLAPDTNTDCHASCQCNPATNDWIPDAADSAPLPSGKPGRSEN >ONI00019 pep chromosome:Prunus_persica_NCBIv2:G6:4350975:4352933:-1 gene:PRUPE_6G063000 transcript:ONI00019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVYKGGEPKKERRRRKMACCGRPNRSDIHLSNEEEAEIESKTREFFDGVTPKRHTKPQRSEYTSSQYVDALSNSNKESEIPELVEFQRLENDTEKIVVNGSEVGEEFVETDYYKDLNCVDKQHHTTGTGFIKVPNKSDKNYNLAPDTNTDCHASCQCNPATNDWIPDAADSAPLPSGKPGRSEN >ONI04328 pep chromosome:Prunus_persica_NCBIv2:G6:28152356:28155616:1 gene:PRUPE_6G316100 transcript:ONI04328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVSMCFSSFSQVPRCRIYNLAHFWSERFHNLSRTCGYNSSSKLYDSKIYVLDGGNSRKWTRRSVTTNTQGRNKSGQCSDPRKLRQEILDVTVSTSATLNLNKIETSQYQQIQHVDIRQLIAQNKDLASLVTVIAFDTETTGFSRERDHIIEIALQDLQGGENSAFQTLVNPERRVLNSHIHGITTNMVNRPDVPRMEDLIPILLKYVKSRQKPGGCVLFVAHNARTFDVPFLCNAFRRCGVDIPSDWLFKDTLPMGREAMKSEGSKPSSRSISLQALREHLGIPLDGSAHRAMSDVKVLAAVFQRLTYMLKLPLASLVEDAFTASEIGTPKKKSSR >ONI04330 pep chromosome:Prunus_persica_NCBIv2:G6:28151939:28155683:1 gene:PRUPE_6G316100 transcript:ONI04330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVSMCFSSFSQVPRCRIYNLAHFWSERFHNLSRTCGYNSSSKLYDSKIYVLDGGNSRKWTRRSVTTNTQGRNKSGQCSDPRKLRQEILDVTVSTSATLNLNKIETSQYQQIQHVDIRQLIAQNKDLASLVTVIAFDTETTGFSRERDHIIEIALQDLQGGENSAFQTLVNPERRVLNSHIHGITTNMVNRPDVPRMEDLIPILLKYVKSRQKPGGCVLFVAHNARTFDVPFLCNAFRRCGVDIPSDWLFKDTLPMGREAMKSEGSKPSSRSISLQALREHLGIPLDGSAHRAMSDVKVLAAVFQRLTYMLKLPLASLVEDAFTASEIGTPKKKSSR >ONI04329 pep chromosome:Prunus_persica_NCBIv2:G6:28152623:28155819:1 gene:PRUPE_6G316100 transcript:ONI04329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVSMCFSSFSQVPRCRIYNLAHFWSERFHNLSRTCGYNSSSKLYDSKIYVLDGGNSRKWTRRSVTTNTQGRNKSGQCSDPRKLRQEILDVTVSTSATLNLNKIETSQYQQIQHVDIRQLIAQNKDLASLVTVIAFDTETTGFSRERDHIIEIALQDLQGGENSAFQTLVNPERRVLNSHIHGITTNMVNRPDVPRMEDLIPILLKYVKSRQKPGGCVLFVAHNARTFDVPFLCNAFRRCGVDIPSDWLFKDTLPMGREAMKSEGSKPSSRSISLQALREHLGIPLDGSAHRAMSDVKVLAAVFQRLTYMLKLPLASLVEDAFTASEIGTPKKKSSR >ONI03931 pep chromosome:Prunus_persica_NCBIv2:G6:26928505:26930357:-1 gene:PRUPE_6G292400 transcript:ONI03931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLRYYLRNYILATHIWGRGKCYSSSKYMQKGPSYIVHEEIGLGVTLSYHRQLSHRSFKLPKWLEYFFAYCGVLSVQGSPIEWASTHRNHHQFTDTWDDPHSPIKGLWFSHIGWLFDYRSRFGSYEGRLKNVDDLKRQKFYRFLHVTYPLHSIAFGVLLYALGGMPFLAWGLGVRAALFAHATFALNSICHRWGKKVWDTGDMSRNNWVFGLLTHGEGWHNNHHAFQYSARHGFEWWEVDVTWYVIRFLEIVGLATDVKLPSETQKKRKALSNKLNEEKLETQVNDTKLETEAKNE >ONI05044 pep chromosome:Prunus_persica_NCBIv2:G6:30046624:30048162:1 gene:PRUPE_6G353100 transcript:ONI05044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLTCTASDLAPLLLTTTTTTLNATAIAEFLCSRFNTISIKFNDTTHAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALSYYLFGFAFAFGAPSNAFIGRHFFGLHNIPSLSGGDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSADGWASPIRPNNLLFGSGSIDFAGSGVVHMVGGIAGLWGAVIEGPRIGRFDRTGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTILKSYGEGGTYYGQWSAIGRTAVTTTLAGCTAALTTLFSKRLLAGHWNVLDVCNGLLGGFAAITSGCSVVEPWAAIVCGFVAAWVLIGCNKVAEKLKYDDPLEAAQLHGGCGAWGLIFTGLFATEAYVNEVYAGKPGRPFGLLMGGGGKLLAAQIVQVLVVLVWVSATMGPLFYGLHKLKLLRISREDETQGMDMTRHGGFAYVYHDEDDPSIKPEFMMRRVGATDDASPAITTP >ONI04837 pep chromosome:Prunus_persica_NCBIv2:G6:29520709:29522480:1 gene:PRUPE_6G342500 transcript:ONI04837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEFARLDCMTTVTIFSRSRRLMEHSCTSGRRTRKIKKKGIGESVFGVVQTHTSQGAGMHGTIWHFFTFFTLISVID >ONI02858 pep chromosome:Prunus_persica_NCBIv2:G6:22937871:22941130:1 gene:PRUPE_6G224900 transcript:ONI02858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEMCRRPLEKCFGGGDGGDGLLWHMDLKPHSSGDYSIAVVQANSALEDQGQVFTSPFATYIGVYDGHGGPEASRFITNRLFPFLHKFSIEQGGLSEDVIRKAFDATEEEFLDLVKASWPVRPQIASVGSCCLVGAISNGVLYVANLGDSRAVLGRRASEGQAVVAERLSTDHNVAVEEVRKEVKDLHPDDAHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFNRDPLFHHFGIPVPLKRPVMTAEPSILVRKLEPQDMFLIFATDGLWEHLSDEAAVKIVSKNSRVGIAKRLVRAAIEEAAKKRELRYEDIKRIEKGVRRHFHDDITVIVIFLDHSQVSPNASLTDPSLFNCTSVPVDIFSMNGDEADVSLHTIP >ONH99591 pep chromosome:Prunus_persica_NCBIv2:G6:2839460:2841545:-1 gene:PRUPE_6G037600 transcript:ONH99591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSTVASSVVGRFITRSSRVSGIPDPSFFTTSTKMALEQFTFGPYKIDSREVFYSTHLSYALVNLRPVVPAQKVGSRLETYHKASSLTLTIQDGPQAGQTVPHVHIHIIPRKGGDFKENDEIYDALDEKEKELKQKLDLDKDRKDRSLDEMAQEAEEYRKLFL >ONI01487 pep chromosome:Prunus_persica_NCBIv2:G6:11541475:11542045:1 gene:PRUPE_6G142500 transcript:ONI01487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHHLLHSMHLSWLLQLLRQFNESWLLFEGPQSWSPWSLPSKLKADTQQQDLNAITGFQAFSMNLGSEVEDLASIAWTSV >ONH99025 pep chromosome:Prunus_persica_NCBIv2:G6:525995:530717:1 gene:PRUPE_6G005700 transcript:ONH99025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHKKWIGGVLLLLIGMFTSVLGAFIGINIGTDVSDLPSETDTVALLKAHQISHVRLYNADTHMLKALSNSGIEVMVGVTNEEILGIGQSPSTAAAWINKNVAAYLPSTNITAIAVGSEVLTSIPHAAPVLVSAMNSLHKALVASNLNYQVKVSTPQSMDVIPKPFPPSTAGFNLSWGPTIYQILQFIKNTNSYYMLNAYPYYGYTEGNGIFPLDYALFRPLPSVKQIVDPNTLFHYTSMFDAMVDATYYSIEAFNFSGISIVVTESGWPWFGGSNEPDANTGNAQTYTNNLIRRVLNDSGPPSQPKLPINTYIYELFNEDERPGPVSVKNWGVLFTNGSSVYPLSLSTSNQITGNSSGVFCVAKADADPDKLQDGLNWACGQGQANCTPIQEGQRCYLPNTIANHASYAFNDYYQKMQSVGGTCDFDDTAMTTSVDPSYGSCKFTGSSNSSTIGGLTPAAIAPSSAVGGWSSNLQVSNLQYLIPAAFLVLLLL >ONH99026 pep chromosome:Prunus_persica_NCBIv2:G6:526136:530693:1 gene:PRUPE_6G005700 transcript:ONH99026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHKKWIGGVLLLLIGMFTSVLGAFIGINIGTDVSDLPSETDTVALLKAHQISHVRLYNADTHMLKALSNSGIEVMVGVTNEEILGIGQSPSTAAAWINKNVAAYLPSTNITAIAVGSEVLTSIPHAAPVLVSAMNSLHKALVASNLNYQVKVSTPQSMDVIPKPFPPSTAGFNLSWGPTIYQILQFIKNTNSYYMLNAYPYYGYTEGNGIFPLDYALFRPLPSVKQIVDPNTLFHYTSMFDAMVDATYYSIEAFNFSGISIVVTESGWPWFGGSNEPDANTGNAQTYTNNLIRRVLNDSGPPSQPKLPINTYIYELFNEDERPGPVSVKNWGVLFTNGSSVYPLSLSTSNQITGNSSGVFCVAKADADPDKLQDGLNWACGQGQANCTPIQEGQRCYLPNTIANHASYAFNDYYQKMQSVGGTCDFDDTAMTTSVDPSYGSCKFTGSSNSSTIGGLTPAAIAPSSAVGGWSSNLQVSNLQYLIPAAFLVLLLL >ONH99027 pep chromosome:Prunus_persica_NCBIv2:G6:528099:530693:1 gene:PRUPE_6G005700 transcript:ONH99027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALSNSGIEVMVGVTNEEILGIGQSPSTAAAWINKNVAAYLPSTNITAIAVGSEVLTSIPHAAPVLVSAMNSLHKALVASNLNYQVKVSTPQSMDVIPKPFPPSTAGFNLSWGPTIYQILQFIKNTNSYYMLNAYPYYGYTEGNGIFPLDYALFRPLPSVKQIVDPNTLFHYTSMFDAMVDATYYSIEAFNFSGISIVVTESGWPWFGGSNEPDANTGNAQTYTNNLIRRVLNDSGPPSQPKLPINTYIYELFNEDERPGPVSVKNWGVLFTNGSSVYPLSLSTSNQITGNSSGVFCVAKADADPDKLQDGLNWACGQGQANCTPIQEGQRCYLPNTIANHASYAFNDYYQKMQSVGGTCDFDDTAMTTSVDPSYGSCKFTGSSNSSTIGGLTPAAIAPSSAVGGWSSNLQVSNLQYLIPAAFLVLLLL >ONH99461 pep chromosome:Prunus_persica_NCBIv2:G6:2421277:2422247:1 gene:PRUPE_6G031100 transcript:ONH99461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVMKVQLKSEKCRTKALKIAAVAKGVSKVSIEMEKEHVEVIGDGVDAVDLAKSLKKKLGYATIVSVEEVKKPDDAKPVVPIEWTPSYIHYPVHYDGYYLW >ONH99462 pep chromosome:Prunus_persica_NCBIv2:G6:2419987:2422129:1 gene:PRUPE_6G031100 transcript:ONH99462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQLKSEKCRTKALKIAAVAKGVSKVSIEMEKEHVEVIGDGVDAVDLAKSLKKKLGYATIVSVEEVKKPDDAKPVVPIEWTPSYIHYPVHYDGYYLW >ONI00978 pep chromosome:Prunus_persica_NCBIv2:G6:8311393:8324461:1 gene:PRUPE_6G114800 transcript:ONI00978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIPPDNGVEGDDEREEEGEEEEEEEEEEEEEEEEEEEEEEEEPRLKYQRMGGSIPTLLTSDVATCIAVAERMIALGTHGGTVHILDFLGNQVKEFPAHTAAVNDLSFDIEGEYIGSCSDDGSVVINSLFTDEKMRFEYHRPMKAIALDPDYAKKSSRRFAAGGLAGHLYYNTKRWLGFRDQVLHSGEGPIHAVKWRASLIAWANDAGVKVYDTANDQRITFIERPRGSPRPELLLPHLVWQDDTLLVIGWGTSIKIASIKTNQSRAANGTVKHVSMSMSNMNQVDIVASFQTSYFISGIAPFGDSLVVLAYIPGEEDGEKEFSSSVPSRQGNAQRPEVRIVTWNNDELSTDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAEGDEPLYYIVSPKDVVIAKPRDAEDHIAWLLQHGWHEKALAAVEAGQGRSELLDEVGSRYLDHLIVERKYAEAASLCPKLLRGSASAWERWVFHFAHLRQLPVLVPYIPTENPRLRDTAYEVALVALATNPSFHMELLSTVKSWPPVIYSSLPVISAIEPQLNTSSMTDALKEALAELYVIDGQYEKAFSLYADLLKPDIFSFIEKHNLYDSIREKVVQLMMLDCKQAVPLLIQNKDLITPSEVVKQLLNASDKCDSRYFLHAYLHSLFEANPHAGKDFHDVQVELYADYDSKMLLPFLRSSQHYKLEKAYEICIGRGLLREQVFILGRMGNAKQALSVIINNLGDIEEAVEFVNMQHDDELWEELIQQCLHKPEMVGVLLEHTVGNLDPLYIVNMVPNGLEIPRLRDRLVKIITNYRTETSLRHGCNDILKADIVNLLVKYYKEAIHGIYLSNEEDEARTKRNDSRASQVIEKSPGVRSMEVKSKPRGGARCCMCFDPFSIQSLNVIVFFCCHAYHMTCLMDSTYTNGIKGSGATSSESVVEDDDDDTQSGDSRMRCILCTTAASRLK >ONI00979 pep chromosome:Prunus_persica_NCBIv2:G6:8311467:8324353:1 gene:PRUPE_6G114800 transcript:ONI00979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIPPDNGVEGDDEREEEGEEEEEEEEEEEEEEEEEEEEEEEEPRLKYQRMGGSIPTLLTSDVATCIAVAERMIALGTHGGTVHILDFLGNQVKEFPAHTAAVNDLSFDIEGEYIGSCSDDGSVVINSLFTDEKMRFEYHRPMKAIALDPDYAKKSSRRFAAGGLAGHLYYNTKRWLGFRDQVLHSGEGPIHAVKWRASLIAWANDAGVKVYDTANDQRITFIERPRGSPRPELLLPHLVWQDDTLLVIGWGTSIKIASIKTNQSRAANGTVKHVSMSMSNMNQVDIVASFQTSYFISGIAPFGDSLVVLAYIPGEEDGEKEFSSSVPSRQGNAQRPEVRIVTWNNDELSTDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAEGDEPLYYIVSPKDVVIAKPRDAEDHIAWLLQHGWHEKALAAVEAGQGRSELLDEVGSRYLDHLIVERKYAEAASLCPKLLRGSASAWERWVFHFAHLRQLPVLVPYIPTENPRLRDTAYEVALVALATNPSFHMELLSTVKSWPPVIYSSLPVISAIEPQLNTSSMTDALKEALAELYVIDGQYEKAFSLYADLLKPDIFSFIEKHNLYDSIREKVVQLMMLDCKQAVPLLIQNKDLITPSEVVKQLLNASDKCDSRYFLHAYLHSLFEANPHAGKDFHDVQVELYADYDSKMLLPFLRSSQHYKLEKAYEICIGRGLLREQVFILGRMGNAKQALSVIINNLGDIEEAVEFVNMQHDDELWEELIQQCLHKPEMVGVLLEHTVGNLDPLYIVNMVPNGLEIPRSGSPSENHHQLQD >ONI01274 pep chromosome:Prunus_persica_NCBIv2:G6:10260912:10266126:1 gene:PRUPE_6G131200 transcript:ONI01274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFRWRNLFLLENSLISSTSTATRFAWFHSTPTSFEKWKNKWTFDVKSSQQPSKNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHPKKTKRKFKRESFSEDGDNNPESIFRATFGKKWYTWSFDSCRYSSFKHSESGFEWSEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAII >ONI01273 pep chromosome:Prunus_persica_NCBIv2:G6:10260912:10267715:1 gene:PRUPE_6G131200 transcript:ONI01273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFRWRNLFLLENSLISSTSTATRFAWFHSTPTSFEKWKNKWTFNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHPKKTKRKFKRESFSEDGDNNPESIFRATFGKKWYTWSFDSCRYSSFKHSESGFEWSEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAFHLSALKWHPDKHEGPSQAMAAEKFKLCVNAYKSLCNALSPA >ONI01276 pep chromosome:Prunus_persica_NCBIv2:G6:10260912:10267545:1 gene:PRUPE_6G131200 transcript:ONI01276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFRWRNLFLLENSLISSTSTATRFAWFHSTPTSFEKWKNKWTFDVKSSQQPSKNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHPKKTKRKFKRESFSEDGDNNPESIFRATFGKKWSFKD >ONI01275 pep chromosome:Prunus_persica_NCBIv2:G6:10260912:10266126:1 gene:PRUPE_6G131200 transcript:ONI01275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFRWRNLFLLENSLISSTSTATRFAWFHSTPTSFEKWKNKWTFNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHPKKTKRKFKRESFSEDGDNNPESIFRATFGKKWYTWSFDSCRYSSFKHSESGFEWSEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAII >ONI01272 pep chromosome:Prunus_persica_NCBIv2:G6:10260912:10267714:1 gene:PRUPE_6G131200 transcript:ONI01272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFRWRNLFLLENSLISSTSTATRFAWFHSTPTSFEKWKNKWTFDVKSSQQPSKNYIRYATRQKRADTKKALKNLLFNSGSSKNFFQNIQNENDSGSSPQKHRSRSSRHAEKSHPKKTKRKFKRESFSEDGDNNPESIFRATFGKKWYTWSFDSCRYSSFKHSESGFEWSEQSSWKDKSKRWENSSDVESDDESCTIGSYSDRTILGLPPTGPLKTEDVKKAFHLSALKWHPDKHEGPSQAMAAEKFKLCVNAYKSLCNALSPA >ONI03928 pep chromosome:Prunus_persica_NCBIv2:G6:26918752:26920357:1 gene:PRUPE_6G292100 transcript:ONI03928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVEAAHGFLTKTGLFFTHTSIVAKLVAFASLSPLGCLVHAQALFEETTMDDPFTCNTMIRAYTNSVFPIKAIHIYNHMQETNVRSDHFTYNFALKACARVMKRMEEDEVKDCGFVIDRKGSEIHCRVLKLGFDRDLYVQNSLIFVYSQCGSVELARCVFDEMTDRSASSWNIMLTAYDQVADFESADYLFQSMPEKNVVSWNTLLARHVRLSNIEAAKIVFREMPVRNSVSWNSMIAGYVQVRDYDGALKLFREMQIAEVEATEVTLISILGACAETGALEIGRKIHESLNLQHHKIEGYLGVALVDMYSKCGKLSSAWEVFGELKMKPVGCWNAMIVGLGVHGYCNEALELFAAMERQLGEVTPNRITFIGVLIACSRKGLVEEGRRYFNQMVQEYKIVPDEKHYGCMVDLLSRWGLLDEAFEMIKAVPSGPSSLLWRTLLGACRVHGNVELAEQSFQQLAKLEPLRDADYVLLSNIYAEAERWDDVERLRNEMICKEVPKTLGFSHVDMK >ONI04566 pep chromosome:Prunus_persica_NCBIv2:G6:28759728:28761956:-1 gene:PRUPE_6G328500 transcript:ONI04566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQGHNQPQSMGMVGSGAQLTYGTNPYQHNQMVGSPNPGSVAGTVGAIQSTSQSAGAQLAQHQLAYQHIHHQQQQQLQQQLQSFWANQYQEIDKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTVSVGGPGDALPYCYMPPQHAPQVGAPGMIMGKPMMDPSMYAQQSHPYMGQPMWQQAPEQQQSPSDH >ONI04567 pep chromosome:Prunus_persica_NCBIv2:G6:28759728:28762109:-1 gene:PRUPE_6G328500 transcript:ONI04567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQGHNQPQSMGMVGSGAQLTYGTNPYQHNQMVGSPNPGSVAGTVGAIQSTSQSAGAQLAQHQLAYQHIHHQQQQQLQQQLQSFWANQYQEIDKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTVSVGGPGDALPYCYMPPQHAPQVGAPGMIMGKPMMDPSMYAQQSHPYMGQPMWQQAPEQQQSPSDH >ONI04568 pep chromosome:Prunus_persica_NCBIv2:G6:28759728:28761952:-1 gene:PRUPE_6G328500 transcript:ONI04568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQGHNQPQSMGMVGSGAQLTYGTNPYQHNQMVGSPNPGSVAGTVGAIQSTSQSAGAQLAQHQLAYQHIHHQQQQQLQQQLQSFWANQYQEIDKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTVSVGGPGDALPYCYMPPQHAPQVGAPGMIMGKPMMDPSMYAQQSHPYMGQPMWQQAPEQQQSPSDH >ONI04679 pep chromosome:Prunus_persica_NCBIv2:G6:29027229:29033061:-1 gene:PRUPE_6G334100 transcript:ONI04679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTRIVYFKLQAETPNSRSERTELKQSNLALQWKGLLGHIDTRFQKLQDEFDSERNSLQTRFQELQDQFDLEQNSPQARYQELEDREKELEEKVFSFHSKMESKAQELHGIERLTEERIKEVDVTEKRLVEVEKLVKEKETKCDLIQKSIEEGTEKLCWVRKSLEERSKELEIKEEEVTGAQGVLNAFREDIELNRRQLNAIRGSVEKEKNVLVLKQEGVKAAGKSLEECHKEIKLKKERLCLIQKVMVQCSNSLQSREKTIREMELKVKDYGLLKKSMEEWSCKLESKERELEGWVEKFELRNKQVESKFEELNLIHNRANEYLNEVEVQAKELELKQKQFDLMIQKRQRDLDSQDKLLQEQAKEIELKQKQFDLMIQERQKHLESEEKLLQEQAKELELKQKQFDSTQKSMETNVPSSSSIQSSANRNGRGLQLLMNENLKRIDLVGREISGVLQASSDPAKLVLDAMQGFYPSNLNVDNQEFDYDLRVIRRSCLLLLQELKRFSPQINPHVRDKAMELAADWKAKIKVATENWLEILGFLRLVSTYEFTTAYDAKELQTLLAIVVKQDQATEFCQAFGITNKAPVGNIVSLPVKIDEPECLPSQPHVGLDVKKDAISLAVQWQEKMRADTENSLEILGFLQFVAAYGLLSTLNGDEIVKLLGMICQHAQALELCEELGFADKIPELIGRVFANGLKMIDKPIGYNIMKMYMKLRDCLQARLILLGSFTYYFVQDLIERKQLFEAVKTYLHLQGVLVHKHIADFRAVVQCLKDNNLESDFLAKDVETEIAVLETLKKSMRSSVKHSNETQPLQLRQTRLTIRGGDMRVVGDVMADSAYGFLKTSLSFRGQQRPNHLGFVDQRFTTVPDDVVGG >ONI02706 pep chromosome:Prunus_persica_NCBIv2:G6:22389177:22390458:1 gene:PRUPE_6G216600 transcript:ONI02706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKRIAAPYDLMKQTKQLGRLPVVHFAARGVATPANAALMMQLGFFSGWD >ONI04889 pep chromosome:Prunus_persica_NCBIv2:G6:29675807:29677081:1 gene:PRUPE_6G346300 transcript:ONI04889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADTTLGHPHFCVVTVLLIFLVCQSTANGGFSAKLIHRDSPNSPFYNHYKTPSKLKRPIFYQNSAQSQVRPDNGQYLMKLSIGNPRFDVYGIADTGSDLLWTQCAPCDGCYKQINPKFDPKQSSTYSDLSCDAQECKAIGTGTCSPQHTCSYSYAYGGGALTQGLLAKETITITSTSGEANSLKNIVFGCGHNNTGGFNENEMGIVGLGGGSLSLVSQLGPLVGGKKLSFCLVPFRTDPRVESKISFGEGSEVSGDGVVSTPLVSKEDKTPYFVTVEGISVGDKLVPFSSSGKVSKGNMFMDTGTPPTLLPQDFYDRLVAEVKNQIPMAPIENDPSLATQLCYNSKTNLEGPILTVHFEGADVKLTPTQTFISPRDEVFCLSAQNVTSDGGIYGNFFQSNLLIGYDLEKMVASFKPTDCTKE >ONI01704 pep chromosome:Prunus_persica_NCBIv2:G6:13504600:13508836:1 gene:PRUPE_6G154900 transcript:ONI01704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAACCLLTLTLTVSFVPLVYGNSEGDALYTLRRSLSDPDNVLQSWDPTLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVPELGKLEHLQYLELYKNNIQGTIPADLGNLKSLVSLDLYNNNISGTIPSSLGKLKSLVFLRLNDNKLTGPIPRDLVGISSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFENNHRLEGPELLGLATYDTNCS >ONI02203 pep chromosome:Prunus_persica_NCBIv2:G6:19037459:19040864:1 gene:PRUPE_6G183200 transcript:ONI02203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSGSMQSSSGGDDEYDSRAESISALLSNPPSQLGHMSSHAPPHHHHHHHHQQTHHHLDPLSNMFDPLSSRLTNPNPLLNFDMAWSKTLRSDPNPTDLGGLSQPFLTNPNINQLGQSRGGGGGGGGSSTFAALQIPHDHQNVSASSSAPNNQTHNINSNSNNNNSNSNGVVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSSPFPRSRLDLFSSAAAASALMRSAGGGGGGGGGGGLGLEPSPPSYLLRPFAHKVSHQPPSSSSILDHPNLPSTNSSATNHHNNLLNMQQNPSPSSSAVLNFQSLFQPQHQQQQPKYSLPINSPNDLLASKTPHHHHHQGSLDHFGLTQQQLNVLPNNIVSSSDAALSRHDSNSNWGNGTGPSNNNKTNIDNNNVDHQGLMRSINGNYGNGKLNYSAGSSSNNIIHGDKAQDQNVAAAAARSEEEEEEEERRKIYQMV >ONI02204 pep chromosome:Prunus_persica_NCBIv2:G6:19038039:19039448:1 gene:PRUPE_6G183200 transcript:ONI02204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSGSMQSSSGGDDEYDSRAESISALLSNPPSQLGHMSSHAPPHHHHHHHHQQTHHHLDPLSNMFDPLSSRLTNPNPLLNFDMAWSKTLRSDPNPTDLGGLSQPFLTNPNINQLGQSRGGGGGGGGSSTFAALQIPHDHQNVSASSSAPNNQTHNINSNSNNNNSNSNGVVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSSPFPRSRLDLFSSAAAASALMRSAGGGGGGGGGGGLGLEPSPPSYLLRPFAHKVSHQPPSSSSILDHPNLPSTNSSATNHHNNLLNMQQNPSPSSSAVLNFQSLFQPQHQQQQPKYSLPINSPNDLLASKTPHHHHHQGSLDHFGLTQQQLNVLPNNIVSSSDAALSRHDSNSNWGNGTGPSNNNKTNIDNNNVDHQGLMRSINGNYGNGKLNYSAGSSSNNIIHGDKAQDQNVAAAAARSEGMVESWICSSD >ONI03682 pep chromosome:Prunus_persica_NCBIv2:G6:25938362:25941190:-1 gene:PRUPE_6G275000 transcript:ONI03682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTWDGSRGGHKNRKTDQTDRIKPNRTEKGRILTKPKKSTMDLNRTEELHLCCGCACGCCDFTISRPGLELHLYCGCACGCCDFTISRPGLVFHFFFYFDLTAVTVAAQIFNPELHLCCGCACGCCDFTISRPGLGTHNTNPIKSRHNHLHCRRLLCEGFWKNGCIIFFARILSLCVFL >ONI04809 pep chromosome:Prunus_persica_NCBIv2:G6:29426217:29429507:1 gene:PRUPE_6G340400 transcript:ONI04809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSNTSRTAQNLVTCVYQCRLRGRSCLITVTWSKNLMGQGLSVGIDDSSNQCLCKVDIKPWLFSKRRGFKSLEAYSSKIDIYWDLSSAKFGSGPEPLGGYYVGVVVDRQMLLLLGDMRKEAFKKTSASPVPSNAVCVAKREHVFGKRGFTTKAQFSNNGQVHDLVIECDTLGVNDPCLLVRVDSKPVMQVKRLRWKFRGNHTILVDGLAVEVFWDVHNWLFGTSPGNAVFMFKTCLSAEKLWASQPLSDPSALQWSFSQRFSDSKSQGLGFSLILYAWKNE >ONI04807 pep chromosome:Prunus_persica_NCBIv2:G6:29426204:29428483:1 gene:PRUPE_6G340400 transcript:ONI04807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSNTSRTAQNLVTCVYQCRLRGRSCLITVTWSKNLMGQGLSVGIDDSSNQCLCKVDIKPWLFSKRRGFKSLEAYSSKIDIYWDLSSAKFGSGPEPLGGYYVGVVVDRQMLLLLGDMRKEAFKKTSASPVPSNAVCVAKREHVFGKRGFTTKAQFSNNGQVHDLVIECDTLGVNDPCLLVRVDSKPVMQVKRLRWKFRGNHTILVDGLAVEVFWDVHNWLFGTSPGNAVFMFKTCLSAEKLWASQPLSDPSALQWSFSQRFSDSKSQGLGFSLILYAWKNE >ONI04808 pep chromosome:Prunus_persica_NCBIv2:G6:29426217:29428479:1 gene:PRUPE_6G340400 transcript:ONI04808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSNTSRTAQNLVTCVYQCRLRGRSCLITVTWSKNLMGQGLSVGIDDSSNQCLCKVDIKPWLFSKRRGFKSLEAYSSKIDIYWDLSSAKFGSGPEPLGGYYVGVVVDRQMLLLLGDMRKEAFKKTSASPVPSNAVCVAKREHVFGKRGFTTKAQFSNNGQVHDLVIECDTLGVNDPCLLVRVDSKPVMQVKRLRWKFRGNHTILVDGLAVEVFWDVHNWLFGTSPGNAVFMFKTCLSAEKLWASQPLSDPSALQWSFSQRFSDSKSQGLGFSLILYAWKNE >ONI04810 pep chromosome:Prunus_persica_NCBIv2:G6:29426188:29428490:1 gene:PRUPE_6G340400 transcript:ONI04810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSNTSRTAQNLVTCVYQCRLRGRSCLITVTWSKNLMGQGLSVGIDDSSNQCLCKVDIKPWLFSKRRGFKSLEAYSSKIDIYWDLSSAKFGSGPEPLGGYYVGVVVDRQMLLLLGDMRKEAFKKTSASPVPSNAVCVAKREHVFGKRGFTTKAQFSNNGQVHDLVIECDTLGVNDPCLLVRVDSKPVMQVKRLRWKFRGNHTILVDGLAVEVFWDVHNWLFGTSPGNAVFMFKTCLSAEKLWASQPLSDPSALQWSFSQRFSDSKSQGLGFSLILYAWKNE >ONI04806 pep chromosome:Prunus_persica_NCBIv2:G6:29426205:29428626:1 gene:PRUPE_6G340400 transcript:ONI04806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSNTSRTAQNLVTCVYQCRLRGRSCLITVTWSKNLMGQGLSVGIDDSSNQCLCKVDIKPWLFSKRRGFKSLEAYSSKIDIYWDLSSAKFGSGPEPLGGYYVGVVVDRQMLLLLGDMRKEAFKKTSASPVPSNAVCVAKREHVFGKRGFTTKAQFSNNGQVHDLVIECDTLGVNDPCLLVRVDSKPVMQVKRLRWKFRGNHTILVDGLAVEVFWDVHNWLFGTSPGNAVFMFKTCLSAEKLWASQPLSDPSALQWSFSQRFSDSKSQGLGFSLILYAWKNE >ONI04804 pep chromosome:Prunus_persica_NCBIv2:G6:29427172:29428295:1 gene:PRUPE_6G340400 transcript:ONI04804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSNTSRTAQNLVTCVYQCRLRGRSCLITVTWSKNLMGQGLSVGIDDSSNQCLCKVDIKPWLFSKRRGFKSLEAYSSKIDIYWDLSSAKFGSGPEPLGGYYVGVVVDRQMLLLLGDMRKEAFKKTSASPVPSNAVCVAKREHVFGKRGFTTKAQFSNNGQVHDLVIECDTLGVNDPCLLVRVDSKPVMQVKRLRWKFRGNHTILVDGLAVEVFWDVHNWLFGTSPGNAVFMFKTCLSAEKLWASQPLSDPSALQWSFSQRFSDSKSQGLGFSLILYAWKNE >ONI04805 pep chromosome:Prunus_persica_NCBIv2:G6:29426770:29428579:1 gene:PRUPE_6G340400 transcript:ONI04805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSNTSRTAQNLVTCVYQCRLRGRSCLITVTWSKNLMGQGLSVGIDDSSNQCLCKVDIKPWLFSKRRGFKSLEAYSSKIDIYWDLSSAKFGSGPEPLGGYYVGVVVDRQMLLLLGDMRKEAFKKTSASPVPSNAVCVAKREHVFGKRGFTTKAQFSNNGQVHDLVIECDTLGVNDPCLLVRVDSKPVMQVKRLRWKFRGNHTILVDGLAVEVFWDVHNWLFGTSPGNAVFMFKTCLSAEKLWASQPLSDPSALQWSFSQRFSDSKSQGLGFSLILYAWKNE >ONI05286 pep chromosome:Prunus_persica_NCBIv2:G6:30698649:30707074:1 gene:PRUPE_6G365800 transcript:ONI05286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENDDLLSSLSLGNPQPRPIQVNENHDLFTLTPNELFPDSSSSSSGDENDAVSLHSTSKRLDYMLQFLDRKLSDGNNKNTNNSNNNDKSSNASQGQGQGHRSSLPEFVAKGGGTGIFKVPVRGAVHPSRPPRLEVRPHPLRETQIGCFLRTMATTESQLWAGTECAVRVWNFKDLYSAAGQGDLGDEETVPFRESVCTSAVICLVKDEGSRVVWSGHRDGRIRCWKMESATPIPANPFKEGLSWQAHRGPVLSLVISCYGDLWSGSEGGVIKIWPWEAIEKALSLTTEERHMSSLLVERSYIEPWTQVAVNGFTNILTSDVRYLLSDHSGAKVWSAGYLSFALWDARTRELLKVFSTDGQIENRVDIPSAQDLSVEYVSGSKKDKTQSSFGFFQRSRNAIMGAADAVRRVAVKGAFGDDNRRTEAIVIAVDGMIWTGCTSGLLVQWDRNGNRIQDYHHHSSAVHCFCTFGLRIWVGYASGTVNVLDLEGNLLGGWVAHSSPVIKMAAGAGFIFTLANHGGICGWNITSPGPLDSILRSELAGKEFLYTRIESLKILTGTWNVGQGRASHDSLISWLGSVASTVGVIVVGLQEVEMGAGFLAMSAAKETVGLEGSSVGQWWLDMIGKTLDEGSTFERVGSRQLAGLLIAVWVRNNIRTHVGDVDAAAVPCGFGRAIGNKGAVGLRIRMYGRIMCFVNCHFAAHLEAVNRRNADFDHVYRTMNFCRPNFLNCAAASTSSAVQILRGTHAIGNNSAEGMPELSEADLVIFLGDFNYRLDGISYDEVRDFVSQRCFDWLRERDQLRVEMEAGNVFQGMREADITFPPTYKFERHQAGLAGYDSGEKKRIPAWCDRILYRDSRSASVSECSLECPVVSSISQSLLQLALSNQII >ONI05287 pep chromosome:Prunus_persica_NCBIv2:G6:30698946:30702650:1 gene:PRUPE_6G365800 transcript:ONI05287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENDDLLSSLSLGNPQPRPIQVNENHDLFTLTPNELFPDSSSSSSGDENDAVSLHSTSKRLDYMLQFLDRKLSDGNNKNTNNSNNNDKSSNASQGQGQGHRSSLPEFVAKGGGTGIFKVPVRGAVHPSRPPRLEVRPHPLRETQIGCFLRTMATTESQLWAGTECAVRVWNFKDLYSAAGQGDLGDEETVPFRESVCTSAVICLVKDEGSRVVWSGHRDGRIRCWKMESATPIPANPFKEGLSWQAHRGPVLSLVISCYGDLWSGSEGGVIKIWPWEAIEKALSLTTEERHMSSLLVERSYIEPWTQVAVNGFTNILTSDVRYLLSDHSGAKVWSAGYLSFALWDARTRELLKVFSTDGQIENRVDIPSAQDLSVEYVSGSKKDKTQSSFGFFQRSRNAIMGAADAVRRVAVKGAFGDDNRRTEAIVIAVDGMIWTGCTSGLLVQWDRNGNRIQDYHHHSSAVHCFCTFGLRIWVGYASGTVNVLDLEGNLLGGWVAHSSPVIKMAAGAGFIFTLANHGGICGWNITSPGPLDSILRSELAGKEFLYTRIESLKILTGTWNVGQGRASHDSLISWLGSVASTVGVIVVGLQEVEMGAGFLAMSAAKETVLRGALLGSGGWI >ONI05285 pep chromosome:Prunus_persica_NCBIv2:G6:30698649:30707074:1 gene:PRUPE_6G365800 transcript:ONI05285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENDDLLSSLSLGNPQPRPIQVNENHDLFTLTPNELFPDSSSSSSGDENDAVSLHSTSKRLDYMLQFLDRKLSDGNNKNTNNSNNNDKSSNASQGQGQGHRSSLPEFVAKGGGTGIFKVPVRGAVHPSRPPRLEVRPHPLRETQIGCFLRTMATTESQLWAGTECAVRVWNFKDLYSAAGQGDLGDEETVPFRESVCTSAVICLVKDEGSRVVWSGHRDGRIRCWKMESATPIPANPFKEGLSWQAHRGPVLSLVISCYGDLWSGSEGGVIKIWPWEAIEKALSLTTEERHMSSLLVERSYIEPWTQVAVNGFTNILTSDVRYLLSDHSGAKVWSAGYLSFALWDARTRELLKVFSTDGQIENRVDIPSAQDLSVEYVSGSKKDKTQSSFGFFQRSRNAIMGAADAVRRVAVKGAFGDDNRRTEAIVIAVDGMIWTGCTSGLLVQWDRNGNRIQDYHHHSSAVHCFCTFGLRIWVGYASGTVNVLDLEGNLLGGWVAHSSPVIKMAAGAGFIFTLANHGGICGWNITSPGPLDSILRSELAGKEFLYTRIESLKILTGTWNVGQGRASHDSLISWLGSVASTVGVIVVGLQEVEMGAGFLAMSAAKETVGLEGSSVGQWWLDMIGKTLDEGSTFERVGSRQLAGLLIAVWVRNNIRTHVGDVDAAAVPCGFGRAIGNKGAVGLRIRMYGRIMCFVNCHFAAHLEAVNRRNADFDHVYRTMNFCRPNFLNCAAASTSSAVQILRGTHAIGNNSAEGMPELSEADLVIFLGDFNYRLDGISYDEVRDFVSQRCFDWLRERDQLRVEMEAGNVFQGMREADITFPPTYKFERHQAGLAGYDSGEKKRIPAWCDRILYRDSRSASVSECSLECPVVSSISQYEACMDVTDSDHKPVRCIFTVDIARVDESIRRQELGEILKSNEKIKFMAEEICKIPETIVSTNNSIIKEGGHASDHCPRGSFGFPRWLEVTPSAGIIKPDHIAEVSVHHEEHQTLEEFVDGVPQNWWCEDTKDKEVILVVKVHGSYSTDTRHHRVCVRHCCSAKTNQMDPPEHRARQTQGTVLHRSDFQHLSSSCDVVDHLWSLRSP >ONI05284 pep chromosome:Prunus_persica_NCBIv2:G6:30698649:30707074:1 gene:PRUPE_6G365800 transcript:ONI05284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENDDLLSSLSLGNPQPRPIQVNENHDLFTLTPNELFPDSSSSSSGDENDAVSLHSTSKRLDYMLQFLDRKLSDGNNKNTNNSNNNDKSSNASQGQGQGHRSSLPEFVAKGGGTGIFKVPVRGAVHPSRPPRLEVRPHPLRETQIGCFLRTMATTESQLWAGTECAVRVWNFKDLYSAAGQGDLGDEETVPFRESVCTSAVICLVKDEGSRVVWSGHRDGRIRCWKMESATPIPANPFKEGLSWQAHRGPVLSLVISCYGDLWSGSEGGVIKIWPWEAIEKALSLTTEERHMSSLLVERSYIEPWTQVAVNGFTNILTSDVRYLLSDHSGAKVWSAGYLSFALWDARTRELLKVFSTDGQIENRVDIPSAQDLSVEYVSGSKKDKTQSSFGFFQRSRNAIMGAADAVRRVAVKGAFGDDNRRTEAIVIAVDGMIWTGCTSGLLVQWDRNGNRIQDYHHHSSAVHCFCTFGLRIWVGYASGTVNVLDLEGNLLGGWVAHSSPVIKMAAGAGFIFTLANHGGICGWNITSPGPLDSILRSELAGKEFLYTRIESLKILTGTWNVGQGRASHDSLISWLGSVASTVGVIVVGLQEVEMGAGFLAMSAAKETVGLEGSSVGQWWLDMIGKTLDEGSTFERVGSRQLAGLLIAVWVRNNIRTHVGDVDAAAVPCGFGRAIGNKGAVGLRIRMYGRIMCFVNCHFAAHLEAVNRRNADFDHVYRTMNFCRPNFLNCAAASTSSAVQILRGTHAIGNNSAEGMPELSEADLVIFLGDFNYRLDGISYDEVRDFVSQRCFDWLRERDQLRVEMEAGNVFQGMREADITFPPTYKFERHQAGLAGYDSGEKKRIPAWCDRILYRDSRSASVSECSLECPVVSSISQYEACMDVTDSDHKPVRCIFTVDIARVDESIRRQELGEILKSNEKIKFMAEEICKIPETIVSTNNVILQNQDTSILRITNKCGNKDAFFEIICEGQSIIKEGGHASDHCPRGSFGFPRWLEVTPSAGIIKPDHIAEVSVHHEEHQTLEEFVDGVPQNWWCEDTKDKEVILVVKVHGSYSTDTRHHRVCVRHCCSAKTNQMDPPEHRARQTQGTVLHRSDFQHLSSSCDVVDHLWSLRSP >ONI00647 pep chromosome:Prunus_persica_NCBIv2:G6:6980839:6987484:1 gene:PRUPE_6G100200 transcript:ONI00647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKSCSLAKMMGCCSCFGFIRKPKRKTRPNYGFNNHLSQELLLDEDIEDEDDGSYNGEVTRTTHADESEPQICGNRSEEILHFREQIGMICRQVPVKETHKCIRTEDENGNKMVNEYVRECKIGAGSYGKVVLYRSLVDGQHYAIKAFHKSHLLKLRVAPSETAMTDVLREVHIMKMLHHPNIVNLIEVIDDPDIDHFYMVLEYVEGKWVCEGSGPPGGIGECTARKYLRDIVSGLMYLHAHNIVHGDIKPDNLLVSRNGTVKIGDFSVSQVFEDENDELRRSPGTPVFTAPECCLGLTYRGRAADTWAVGVTLYCLVLGQYPFLGDTLQDTYDKIVNNPLVLPDDMTPQLKNLLEGLLCKDPNMRLTLEAVAEHTWVIGDDGSIPQYSCWCKRKSLREESNGTKDDSSITHSD >ONI00646 pep chromosome:Prunus_persica_NCBIv2:G6:6980743:6987484:1 gene:PRUPE_6G100200 transcript:ONI00646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKSCSLAKMMGCCSCFGFIRKPKRKTRPNYGFNNHLSQELLLDEDIEDEDDGSYNGEVTRTTHADESEPQICGNRSEEILHFREQIGMICRQVPVKETHKCIRTEDENGNKMVNEYVRECKIGAGSYGKVVLYRSLVDGQHYAIKAFHKSHLLKLRVAPSETAMTDVLREVHIMKMLHHPNIVNLIEVIDDPDIDHFYMVLEYVEGKWVCEGSGPPGGIGECTARKYLRDIVSGLMYLHAHNIVHGDIKPDNLLVSRNGTVKIGDFSVSQVFEDENDELRRSPGTPVFTAPECCLGLTYRGRAADTWAVGVTLYCLVLGQYPFLGDTLQDTYDKIVNNPLVLPDDMTPQLKNLLEGLLCKDPNMRLTLEAVAEHTWVIGDDGSIPQYSCWCKRKSLREESNGTKDDSSITHSD >ONI03628 pep chromosome:Prunus_persica_NCBIv2:G6:25653826:25659177:1 gene:PRUPE_6G270200 transcript:ONI03628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISHSSLAFTGTSSASDLFRSSSNGVSGVPLRALGKVRLGMRRNLAVVAKLRKVKKHEYPWPEDPDPNVKGGVLTHLSHFKPLKEKPKPVTLPFEKPLVDIEKKIIDVRKMANETGLDFSDQIILLENKYNQALKDLYTNLTPIQRVNIARHPNRPTFLDHVFNITDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIQRNFGMPTPHGYRKAMRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKSAKASPKAAEKLKITATELCKLQIADGIIPEPLGGAHADPSWTSQQIKNAINKEMDELHKLDTEALLKHRMMKFRNIGGFQEGIPIDPKKKKNMKKKDEPINVKTPVLDLEGEVEKVKQQILKAKESSNEPPMLPLTETIEKLRKEVDTEFSEAVKALGLKDRFATLREEFSKVNAQNQLLHPALKEKLEKLREEFNQGLASAPNYEDLKYKLDMLKELSKAYDLAEKNKKAAKLKQEVNKKFSDIMDREDVKEKVEALKAEVENSGVSNFNDLDDNLKGKIVELRKELEFEFIDVLKSLGLDVELKSKPVEQTLPSEVKTKIEELNEEINDRIENVINSSDLKDKIELLKLEVAKAGKTPDIAAKNKIVALEQQIRQSIAAAVESSNLKEKHEKLKAEVSKTIESSGGLDGSLKTENPNADSFSFDESRVVGANRTFG >ONH99357 pep chromosome:Prunus_persica_NCBIv2:G6:2087469:2089362:-1 gene:PRUPE_6G026400 transcript:ONH99357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTVTSLHLPPLNHTDSHTHRPNSQNLTTHLLHNFSSPLELKQLHAHLIKTNTPLTSLPLTRIAFVCSLNPSFSYAQKIFKHLENPEILAWNSCLKAFAEGKDPIDAVMLFYQLQSFHVLPDSFTLSFVLKACTRLLDVSNGRVLHGYVEKLGFQSNLFLMNMILNLYALCGEVRDARLLFDKMSHRDVVTWNIMMTQLVKRGDIKEAYDLFSRMPKRSVRSWTLMISGFVQCGKPKEAISLFLEMEEAGVRPNEVTVVAVLAACADLGDLGLGRRIHEYSNQSGFSRNARISNTLIEMYVKCGCLEDASTVFDGMKERTVVSWSAMIAGLAMHGQAEEALRLFSRMIQTGMDPNDVTFVGLLHACSHIGFVAQGREFFTSMTNDYGIVPRIEHYGCMVDLLSRAGLLQEAYEFITNMPIKPNSIVWGALLGGCKVHRNIELAEEATKHLSELDPLNDGYYVVLSNIYAEAQRWEDTARVRKLMRDRGVKKTPGWSSITVDGVIHEFVAGDEVHPQAQEIFQMWEKLVVKMKLKGYVPNTSVVLLDMEEDQKEKFLYRHSEKLALVFGLMNTGPGTPIRIMKNLRVCEDCHAAFKLISAIVNREIVVRDRNRFHCFKDGSCSCRDYW >ONI03975 pep chromosome:Prunus_persica_NCBIv2:G6:27006666:27011782:-1 gene:PRUPE_6G294400 transcript:ONI03975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKLGFLVGVWFLLCGVCVGRFVVEKNSLKVTSPSSLKSVYECAIGNFGVPQYGGTLVGTVYYPKANQKACKGFDDFDVSFKSKPGGLPTFLLVDRGDCFFTLKAWNAQKGGAAAILVADNRNEPLITMDTPEEENADADYLQKITIPSALISKSLGDSIKKSLSSGEMVNINLDWTEALPHPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQVLERKGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACFYKVANESGKPWLWWDYVTDFAIRCPMKEKKYNEECANQVIESLGADLKKIQKCIGDPEADEENAILKAEQDAQIGKGSRGDVTILPTLVINSRQYRGKLDKGAVLKAICAGFQETTEPAVCLSEDIETNECLENNGGCWQDKSANITACRDTFRGRVCECPTVQGVKFVGDGYTRCEASGALRCEINNGGCWTKTQNGRTYSACRDDHTNGCKCPPGFKGDGEKTCEDVDECKEKVNCQCAQCKCKNTWGSYECSCGGGLLYMREHDACISE >ONI03974 pep chromosome:Prunus_persica_NCBIv2:G6:27006574:27012102:-1 gene:PRUPE_6G294400 transcript:ONI03974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKLGFLVGVWFLLCGVCVGRFVVEKNSLKVTSPSSLKSVYECAIGNFGVPQYGGTLVGTVYYPKANQKACKGFDDFDVSFKSKPGGLPTFLLVDRGDCFFTLKAWNAQKGGAAAILVADNRNEPLITMDTPEEENADADYLQKITIPSALISKSLGDSIKKSLSSGEMVNINLDWTEALPHPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQVLERKGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACFYKVANESGKPWLWWDYVTDFAIRCPMKEKKYNEECANQVIESLGADLKKIQKCIGDPEADEENAILKAEQDAQIGKGSRGDVTILPTLVINSRQYRGKLDKGAVLKAICAGFQETTEPAVCLSEDIETNECLENNGGCWQDKSANITACRDTFRGRVCECPTVQGVKFVGDGYTRCEASGALRCEINNGGCWTKTQNGRTYSACRDDHTNGCKCPPGFKGDGEKTCEDVDECKEKVNCQCAQCKCKNTWGSYECSCGGGLLYMREHDACISKNASVSEFNWGFMWVIILCLGAVGVGGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGEIPNHVPRGDI >ONI00416 pep chromosome:Prunus_persica_NCBIv2:G6:5991386:5995313:1 gene:PRUPE_6G088000 transcript:ONI00416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGVMSDRDRCSIPLLTIASFLKHSPLLLSNVNFHRDLLGLPRASPFRQYTRLTVCTETPAQSQALNSGNPILKTYDLVAVKPLNQSAFELACEKLEVDIIAIDFSDKLPFRLKMPMVKAAIERGVFFEITYANIIADDQARKQIITNAKLLVDWTRGRNIIVSSAAPTANEFRGPYDVANLMSLLGLSMERAKMAISRNCRTIISNAMRKKHFFKEAIRVEVVSSGQEIDTNKPWSIDSFKWDPISSGDGDILLDDLAKSFTTSINKVSKTTKAIDFASVIDSMPSLGFQVKDVISGSGVVASQSMRTCKSIVSASEAIEQSVVTAEVPEQPDRLCFPQSGQTSLSDSLLEQQMFDCQNPQKSYSSSDTTKAFIDAMEIEAPTITTEAKPKNPDGTDGNFDLITTEIHDFQPQKCVTNRELNVVPANDNLTFHTLEIGLDAACNANSEVEISTNYQDTDIPAPHNEEAKCVKGSDTQSDVMDEILTVVDVECKEEKSLLLNSSSLPTSENEQFRESGDDAIILANQVPVLQSNGGMTIIGDYSVATHSSAEVTMEGEEHGKVDTEMNDPALIQSIPDDAGKSRPKRKTPYQAKTFPLKRLLHTIPFKKTRKSKRKIKMA >ONI00417 pep chromosome:Prunus_persica_NCBIv2:G6:5991386:5995252:1 gene:PRUPE_6G088000 transcript:ONI00417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGVMSDRDRCSIPLLTIASFLKHSPLLLSNVNFHRDLLGLPRASPFRQYTRLTVCTETPAQSQALNSGNPILKTYDLVAVKPLNQSAFELACEKLEVDIIAIDFSDKLPFRLKMPMVKAAIERGVFFEITYANIIADDQARKQIITNAKLLVDWTRGRNIIVSSAAPTANEFRGPYDVANLMSLLGLSMERAKMAISRNCRTIISNAMRKKHFFKEAIRVEVVSSGQEIDTNKPWSIDSFKWDPISSGDGDILLDDLAKSFTTSINKVSKTTKAIDFASVIDSMPSLGFQVKDVISGSGVVASQSMRTCKSIVSASEAIEQSVVTAEVPEQPDRLCFPQSGQTSLSDSLLEQQMFDCQNPQKSYSSSDTTKAFIDAMEIEAPTITTEAKPKNPDGTDGNFDLITTEIHDFQPQKCVTNRELNVVPANDNLTFHTLEIGLDAACNANSEVEISTNYQDTDIPAPHNEEAKCVKGSDTQSDVMDEILTVVDVECKEEKSLLLNSSSLPTSENEQFRESGDDAIILANQVPVLQSNGGMTIIGDYSVATHSSAEVTMEGEEHGKVDTEMNDPALIQSIPGKSRPKRKTPYQAKTFPLKRLLHTIPFKKTRKSKRKIKMA >ONI03617 pep chromosome:Prunus_persica_NCBIv2:G6:25618539:25621715:1 gene:PRUPE_6G269400 transcript:ONI03617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADSKSKSDISFAGTFVSSAFSACFAEICTIPLDTAKVRLQLQKKAVAGDVVALPKYRGMLGTVATIAREEGLSALWKGIVPGLHRQCLYGGLRIGLYDPIKTLYCGGSDFVGDVPLTKKILAALTTGALAITVANPTDLVKVRLQAEGKLPPGAPRRYSGALNAYSTIVRQEGVGALWTGIGPNIARNSIINAAELASYDQVKETLLKLPGFSDNVVTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSAYKSTIDCFLKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVKSIESS >ONI03722 pep chromosome:Prunus_persica_NCBIv2:G6:26066387:26070306:1 gene:PRUPE_6G277400 transcript:ONI03722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPSSSSSSSSSSHGAFISRDTSGAAATSSSSASQVREEEDDHNQYQQHTDFRHPEVETHEIGTSYGANLSPFDEVSTIIRDDTWSCIIVLLTFWFFVSMTLILGVYGSSSLELGPNSSILLQPSPIFVQSVKVEELNISSPGPVLYGFYNTPPLDTETTWSETHTVSVPADSHQEWIYFLNGGSQISISYSVYSSVFLIIAQGKEGLAQWLEEPTYPNTTLSWNLIHGSGMIEQDISKSSSYYVSVGNLNTEDVEVQLNFTVNALLYNTSAAYYKCSFKDASCSLKILFPNGNAAVLTSPGPEQDIPNANQYVKLSYGPRWATYIVGIGGMTVLMLVAFNFLNKFRCTNEDGIRVQQEDFRSERGPLLSYKDEDQSSWGSSYDSASNDEGGLEDFLAAGSLEGKSVRDGESNNTRRLCAICFDAPRDCFFLPCGHCVACFDCATRIAEAAATCPICRRNIKKVRKIFTV >ONI03723 pep chromosome:Prunus_persica_NCBIv2:G6:26066312:26070306:1 gene:PRUPE_6G277400 transcript:ONI03723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLILGVYGSSSLELGPNSSILLQPSPIFVQSVKVEELNISSPGPVLYGFYNTPPLDTETTWSETHTVSVPADSHQEWIYFLNGGSQISISYSVYSSVFLIIAQGKEGLAQWLEEPTYPNTTLSWNLIHGSGMIEQDISKSSSYYVSVGNLNTEDVEVQLNFTVNALLYNTSAAYYKCSFKDASCSLKILFPNGNAAVLTSPGPEQDIPNANQYVKLSYGPRWATYIVGIGGMTVLMLVAFNFLNKFRCTNEDGIRVQQEDFRSERGPLLSYKDEDQSSWGSSYDSASNDEGGLEDFLAAGSLEGKSVRDGESNNTRRLCAICFDAPRDCFFLPCGHCVACFDCATRIAEAAATCPICRRNIKKVRKIFTV >ONI04831 pep chromosome:Prunus_persica_NCBIv2:G6:29494272:29497210:-1 gene:PRUPE_6G341900 transcript:ONI04831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGQGIQIPRVELGTQGFEVSKLGFGCMGLSWINSPEAEKEGISLIKDAFSKGITFFDTSDIYGTDHYSEILVGKALKQLPREKVQIATKFGVVSLGPPRLVVRGDPQYVRVCCESSLKRLGVDYIDLYYQHRVDQSVPIEDTMGELKKLVEEGKIKYIGLSEASPDTIRRAHAVHPITAIQMEWSLWTRDIEEEIVPLCRERGIGIVPYSPLGRGFFAGKAVLENLDSKVHAAAHPRMTGENLEKNKHIYHRVESLAKKHECSPAQLALSWVLHRGNDVVPIPGTTKIKNLDTNIGSVALKLREEDLKEISDAVPLDQVAGEKTYEHASHVQWKFANTPQRNPN >ONH99428 pep chromosome:Prunus_persica_NCBIv2:G6:2235374:2238080:1 gene:PRUPE_6G028700 transcript:ONH99428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSFRSLLQLPSTLRTPSYVEKEQPKNTVAKNLHERLHSSAPKRLPRRLLLQFMGFSPILSHPVFAAPVPGMKEPEVIRTLKLPSGVRIQEIVEGQGSAANEGDLVEINYVCRRTNGYFVHSTVDQFSGESTPVILPLDEKQIIAGLKEVLVGMRIGGKRRALIPPYVGYINENLKPIPEEFGPRRSLLSHVNEPLIFEVQLLKVL >ONH99429 pep chromosome:Prunus_persica_NCBIv2:G6:2235497:2237774:1 gene:PRUPE_6G028700 transcript:ONH99429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSFRSLLQLPSTLRTPSYVEKEQPKNTVAKNLHERLHSSAPKRLPRRLLLQFMGFSPILSHPVFAAPVPGMKEPEVIRTLKLPSGVRIQEIVEGQGSAANEGDLVEINYVCRRTNGYFVHSTVDQFSGESTPVILPLDEKQIIAGLKEVLVGMRIGGKRRALIPPYVGYINENLKPIPEEVKPNNHDSFPELCC >ONI03706 pep chromosome:Prunus_persica_NCBIv2:G6:25999218:26002084:1 gene:PRUPE_6G276300 transcript:ONI03706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAKGKGAAKISKEALKPVDDGRVGKRKAAAADDKTSKRKARKEKRAKKDPNKPKRPPSAFFVFLEEFRKEFKKENPNVKGVAAVGKAGGDKWKSLSDAEKAPYEAKAAKRKAEYEKQMKAYNKKQESGADDGDEESERSRSEEGQPPEEEEEEEVEDEEEEEDDEDDD >ONI03707 pep chromosome:Prunus_persica_NCBIv2:G6:25999218:26002097:1 gene:PRUPE_6G276300 transcript:ONI03707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAKGKGAAKISKEALKPVDDGRVGKRKAAAADDKTSKRKARKEKRAKKDPNKPKRPPSAFFVFLEEFRKEFKKENPNVKGVAAVGKAGGDKWKSLSDAEKAPYEAKAAKRKAEYEKQMKAYNKKQESGADDGDEESERSRSEEGQPPEEEEEEEVEDEEEEEDDEDDD >ONI03705 pep chromosome:Prunus_persica_NCBIv2:G6:25999218:26002168:1 gene:PRUPE_6G276300 transcript:ONI03705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAKGKGAAKISKEALKPVDDGRVGKRKAAAADDKTSKRKARKEKRAKKDPNKPKRPPSAFFVFLEEFRKEFKKENPNVKGVAAVGKAGGDKWKSLSDAEKAPYEAKAAKRKAEYEKQMKAYNKKQESGADDGDEESERSRSEVNDEEDEASGEEGQPPEEEEEEEVEDEEEEEDDEDDD >ONI03704 pep chromosome:Prunus_persica_NCBIv2:G6:25999218:26002030:1 gene:PRUPE_6G276300 transcript:ONI03704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAKGKGAAKISKEALKPVDDGRVGKRKAAAADDKTSKRKARKEKRAKKDPNKPKRPPSAFFVFLEEFRKEFKKENPNVKGVAAVGKAGGDKWKSLSDAEKAPYEAKAAKRKAEYEKQMKAYNKKQESGADDGDEESERSRSEVNDEEDEASGEEGQPPEEEEEEEVEDEEEEEDDEDDD >ONI00132 pep chromosome:Prunus_persica_NCBIv2:G6:4778711:4781055:-1 gene:PRUPE_6G069700 transcript:ONI00132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDRERRWSLEGLTALVTGGTKGIGHAIVEELAGLGATIHTCARNEVDLNDCLSQWEKKGFQVTGSVCDVVSRAQREELINKVSSLFDGKLNILINNVGTSILKPTMEFTAEDYTFLMSTNLESAYHFCQLAHPLLKASGAGNIVFLSSVAGVVSVEVGSAYSATKGAINQLAKNLACEWAKDNIRINSVAPWFIKTPLAEHFLSDEFFLKIINSRTPLGRPGEPEEVSALVAFLCLPAASYITGQTICVDGGMTVNGLLFQGA >ONI01080 pep chromosome:Prunus_persica_NCBIv2:G6:8942900:8948545:-1 gene:PRUPE_6G120500 transcript:ONI01080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDGVVRSLSEVRHIPELRRNLISIDALNRAGYLYKAKEGKILVTQGLVVIMRGEIQPNNVYQLLGDTIASGAENSTMEAFCTRENSSLKVLDFKGVEVAKSEDKVHKGEVKTRDDRENVVGYVAIVKVLLTKMVMEGTLRRMKLDTRVEEDEYLQQTHKGVLLKVEIVVFWLTIDAWKEEGIQGVKRRSNGKEKKKSQFSARVQKNKKRGELKSEFSREKYKAEFKGKFLSVYKGIKGFEKIFQEIIYLNTENVLVPQDNELTTKWHALINQSLNKSSNRSLISKADGSLFSSKLSSKNFTKTLRTKSKMRLNSCSSSFEPDENGSNVFDISKILMASTRNHFKYNLIASKQMIQIFDTIWARKKYIQFVSQLRISCKEVDKLGRNLNVLEIFKSTQFLKIFKTTPHMMLNKITGHDMIIWLGDLNYNITLNYSEIRKLLETMIRMHLLTNTNIRLKHKHNEYSMDGNREKFTLHLCTNILIIKTCTLKRQKLPKKTEETHLATWNVGGKSPRTFLNMNDFLQKEVDELERNLDVLEIFKSTQFPKIFKITHHMMLNKITGHDMIIWLGDLNYNITMNYSKI >ONI00649 pep chromosome:Prunus_persica_NCBIv2:G6:6993225:6997983:1 gene:PRUPE_6G100400 transcript:ONI00649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHNVMINLTKQSKVSVIYHRLQLSLSLSRSHLFSQFLAGPRQHARRKTFPASFAGDLTIEIRYRLYALSGLQGRVNDGRRRYGSSDSLVPGLVDDVALNCLAWACRSDYASLSCINTKFNKLIKSGYLYELRKQLGILEHWVYLVCDLRGWEAFDPVSKKWMTLPKMPCDECFNHADKESLAVGSQLLVFGRELLDFAIWKYSAIHRSWVKCQGMNQPRCLFGSGSLGSISIVAGGSDKNGNVLKSAEIYDSESGRWEMLPNMHTPRRLCTGFFLDSKFYVIGGMSSPTESLTCGEEYDLETGKWRKIEGMFPYVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKEKNTWDVLGRLPVRADSSNGWGLAFKACGKELLVVGGQRGPEGEGIVLNSWSPKSGVKNGTLDWKVIGVKEHVGVFVYNCAVMGC >ONI00650 pep chromosome:Prunus_persica_NCBIv2:G6:6993453:6997983:1 gene:PRUPE_6G100400 transcript:ONI00650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNMAAPEEEVNTISNVSLQGRVNDGRRRYGSSDSLVPGLVDDVALNCLAWACRSDYASLSCINTKFNKLIKSGYLYELRKQLGILEHWVYLVCDLRGWEAFDPVSKKWMTLPKMPCDECFNHADKESLAVGSQLLVFGRELLDFAIWKYSAIHRSWVKCQGMNQPRCLFGSGSLGSISIVAGGSDKNGNVLKSAEIYDSESGRWEMLPNMHTPRRLCTGFFLDSKFYVIGGMSSPTESLTCGEEYDLETGKWRKIEGMFPYVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKEKNTWDVLGRLPVRADSSNGWGLAFKACGKELLVVGGQRGPEGEGIVLNSWSPKSGVKNGTLDWKVIGVKEHVGVFVYNCAVMGC >ONI03841 pep chromosome:Prunus_persica_NCBIv2:G6:26463494:26466297:-1 gene:PRUPE_6G285200 transcript:ONI03841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTETFTAPPNQGLATYTTSMRNLIKKHLGGVIFGCKDITIDECLSKQLFGLPAAHFLYVQNISPGLPLFLFNYTDRKLHGIYEAAGYGQMNINPCGWTTDGSNTTPFPAQVKFHARLHCRPLPESQFRGIIADNYYNEFHFWFELDHAQTRKLTSKLASGAVAPSTPAVQIYKGASVAVSPSPSVPQKSQLASVEVAPRTSAPQISKLASVGVAPRTSEPQKTLNWRSCFVALPSHDTIEEPEWLEKLTSEAEDLSNSCQNLDVPIAFGRYDPFKPQLDVKDAEQVGQERVYKKLKDLAIHDNLNNECQDMSLSGDVEDSVAGSSHSSFDQRSRESLCSLSAQKSGEIPLSLFEHQALIAKLIEEVGELKAFKTEQSQKISCLEYKLEQAETEIQRLKVWFED >ONI03842 pep chromosome:Prunus_persica_NCBIv2:G6:26463494:26466356:-1 gene:PRUPE_6G285200 transcript:ONI03842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVYLNNSLNISPGLPLFLFNYTDRKLHGIYEAAGYGQMNINPCGWTTDGSNTTPFPAQVKFHARLHCRPLPESQFRGIIADNYYNEFHFWFELDHAQTRKLTSKLASGAVAPSTPAVQIYKGASVAVSPSPSVPQKSQLASVEVAPRTSAPQISKLASVGVAPRTSEPQKTLNWRSCFVALPSHDTIEEPEWLEKLTSEAEDLSNSCQNLDVPIAFGRYDPFKPQLDVKDAEQVGQERVYKKLKDLAIHDNLNNECQDMSLSGDVEDSVAGSSHSSFDQRSRESLCSLSAQKSGEIPLSLFEHQALIAKLIEEVGELKAFKTEQSQKISCLEYKLEQAETEIQRLKVWFED >ONI03840 pep chromosome:Prunus_persica_NCBIv2:G6:26463487:26466427:-1 gene:PRUPE_6G285200 transcript:ONI03840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTETFTAPPNQGLATYTTSMRNLIKKHLGGVIFGCKDITIDECLSKQLFGLPAAHFLYVQNISPGLPLFLFNYTDRKLHGIYEAAGYGQMNINPCGWTTDGSNTTPFPAQVKFHARLHCRPLPESQFRGIIADNYYNEFHFWFELDHAQTRKLTSKLASGAVAPSTPAVQIYKGASVAVSPSPSVPQKSQLASVEVAPRTSAPQISKLASVGVAPRTSEPQKTLNWRSCFVALPSHDTIEEPEWLEKLTSEAEDLSNSCQNLDVPIAFGRYDPFKPQLDVKDAEQVGQERVYKKLKDLAIHDNLNNECQDMSLSGDVEDSVAGSSHSSFDQRSRESLCSLSAQKSGEIPLSLFEHQALIAKLIEEVGELKAFKTEQSQKISCLEYKLEQAETEIQRLKVWFED >ONH99286 pep chromosome:Prunus_persica_NCBIv2:G6:1874278:1875726:1 gene:PRUPE_6G023100 transcript:ONH99286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTSCPSQSQTNPTIAMEEKQEEEEEDRISQLPDDILDHILCFLPSKAAAKTTVLSSRWKHLFWSTVTRLNINMHKESTKHNVEPAIRLFEALFKCKTLISADIITNFFHLPEIICVPKLQFLHLEVTENIGSLMQGFHRIVEMQRRACLTFEGFIPILSANNHLVLCNTKTPRGEYFLEIETVGSLGELGCAAEKKKEAAYVYADRNYNMVAYSG >ONI02967 pep chromosome:Prunus_persica_NCBIv2:G6:23411257:23413248:1 gene:PRUPE_6G231500 transcript:ONI02967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGAAETNRKRGVENDKPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPIAAARAYDTAVFYLRGPSARLNFPEALPVEGGGVGCGCGDMSAALIRKRATEVGARVDAVETAMRHHRGGTAAPNGGAAEIKPCVGGFVDRVDLNKMPDPENSDGDCEWETN >ONI03669 pep chromosome:Prunus_persica_NCBIv2:G6:25878585:25880573:1 gene:PRUPE_6G273900 transcript:ONI03669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDKQRTKTDYIESFTAELIEKTMDKLAVVTSESEDLSIYRVPNKLREVKADAYNPCVVSIGPFHQGHHDLAATEKHKWLYMLHFLQYTKTAQEAEKCLKDCTNAIYDLDQCFQRHA >ONI01888 pep chromosome:Prunus_persica_NCBIv2:G6:16220412:16223190:1 gene:PRUPE_6G165100 transcript:ONI01888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVPAALLLLSALIVLINDNIIVKAARNESHVIKLGSSLSPDSNLSSWLSPSGRFAFGFYRQGSGFTVGIWLVNDLNQTEQSIVVWTARRDDPLVSSNSTLRLTGDGLLLQTEQGEQVPIASNFSTTASSAAMLDYGNFVVYDNRSKVIWQSFDSPTDTILGGQNLTAGTYLVSSVSASNHFSGRFSLQMQSDGNLVSYPVNISNTPENAYWSSGTVGSAQLLSLNASGFLVLIPETAHPLATGSYHAHDDGTIIHRATLDADGIFRLYLHNYSVMSPSSRVSIVWSNLHNQCEVKGFCGLNRYCAVLEKTNKTECQCYPGFVINPVDMFPGCYQNASDDGCTGNEDPRLRYKVASVHNISWPIDHPYSVVPLKNPQVCSDSCLEDCSCKAALYTNGNCNKYKLPLRSGIRSPDTTGFIKVDATHNLQFHNPMATRNSTLVKYETKNSHGLIVILAVSLGSIACVCFSFAVSSFIIYMHRLQRYKKLLDHTKVGLAEELFSLQSFSYTELENATHGFKEELGRGTFGAVYKGTLSTSNKIVAVKRLEKVVEEGVREFKAEITTIGRTHHRNLVQLLGFCIEGSQKLLVYEYMSSGSLAHLLFNKAATVRPSWRERVGIVLDVAKGVLYLHEECGVHIIHCNLKPQNILLDHSWTAKISDFGLARLLVPNDQTKIAAGVEQRSGYLAPEWQKNALISVKSDIYSFGIVLLETVCCRKNIELKVSVPDEMILSSWAYKCFKAGKLYELVEDDEQNVDLKTLERMVKVGLWCVQDDPALRPLMKNVILMLEGTTDIPVPPSPELPQAC >ONI02930 pep chromosome:Prunus_persica_NCBIv2:G6:23318823:23320506:1 gene:PRUPE_6G229900 transcript:ONI02930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLQVFVRDFVPSGLARLMKNDPDGQSVKTFLLQTPRLQGWGTAIDEFTPVRAEPVDSALWWIVLLRSYIEGTGDRTFKENLEVQKALKLIFHRFLSVSFDTFPTLLCADGCCMIDSRMVRIHGYPIEIQALFYFALRCAWGLLEQELHAKELLEQIDKRLTDLRFHIQNYYWLDVAQLNNIYRYKTKEYSSTAAGKFNVVPDSIPAWVFDFMPLRGGWFLVGNCIAILSSLATPEQATAIMDLIEEHWEVLIGEIPLKIAYPALEGHEWRVITGSDPKNTAWSYHNGGSWPTLLWLFTAACIKASRLEMAKRAIEQVEQRMSKDNWPEYYDGKVGRYVGMEARKLVPRTVAGYLVTKLMMENPATLHVVSLQN >ONH99521 pep chromosome:Prunus_persica_NCBIv2:G6:2577878:2582756:-1 gene:PRUPE_6G034100 transcript:ONH99521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLIRKVWESVSSRKGSNTESTSSSSSSSSLATSSTACLGAFDRVPTDLLMQIVRLVGPKEAAKLSAVCKAWRCLVSDNELWIFFLQNQKKQEEPHWDSFLFSETHLRSGYPLQPFWSQMPQLSFMAIYGQREQVPGSVIIDGGSGYCKFGWSKYACPSGRSATFLEFGNVESPMYSRLRHFFVTIYSRMQVKPSSQPVVLSIPICHYDDTESAKASRRQLKEAIYKVLFDINVPAVCAINQATLALFAARRTSGIVVNIGFQVTSIVPILNGKVMRKVGVEVMGLGALKLTGFLRELMQQNNIGFESLYTVRTLKELSYLKIHKRHLKPLEKVGLLFQKRGFKQEKSYSSHGLQECVLWVCTRLLLFVWTIVILQN >ONH99518 pep chromosome:Prunus_persica_NCBIv2:G6:2578154:2582573:-1 gene:PRUPE_6G034100 transcript:ONH99518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLIRKVWESVSSRKGSNTESTSSSSSSSSLATSSTACLGAFDRVPTDLLMQIVRLVGPKEAAKLSAVCKAWRCLVSDNELWIFFLQNQKKQEEPHWDSFLFSETHLRSGYPLQPFWSQMPQLSFMAIYGQREQVPGSVIIDGGSGYCKFGWSKYACPSGRSATFLEFGNVESPMYSRLRHFFVTIYSRMQVKPSSQPVVLSIPICHYDESAKASRRQLKEAIYKVLFDINVPAVCAINQATLALFAARRTSGIVVNIGFQVTSIVPILNGKVMRKVGVEVMGLGALKLTGFLRELMQQNNIGFESLYTVRTLKENLCYVAADYEAELSKNTQASFEATGEGWFTLSKERFQTGEILFQPRLAGVRTMGLHQAVALCMDHCHSAELTDDDAWFKTVVLSGGSACLPGLAGRLEKELHGLLPPSVSKGITVIPPPYGADTAWFGAKLISNVSTFPGPWCMTRKQFRQKSKAKLMW >ONH99519 pep chromosome:Prunus_persica_NCBIv2:G6:2578154:2582573:-1 gene:PRUPE_6G034100 transcript:ONH99519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLIRKVWESVSSRKGSNTESTSSSSSSSSLATSSTACLGAFDRVPTDLLMQIVRLVGPKEAAKLSAVCKAWRCLVSDNELWIFFLQNQKKQEEPHWDSFLFSETHLRSGYPLQPFWSQMPQLSFMAIYGQREQVPGSVIIDGGSGYCKFGWSKYACPSGRSATFLEFGNVESPMYSRLRHFFVTIYSRMQVKPSSQPVVLSIPICHYDDTESAKASRRQLKEAIYKVLFDINVPAVCAINQATLALFAARRTSGIVVNIGFQVTSIVPILNGKVMRKVGVEVMGLGALKLTGFLRELMQQNNIGFESLYTVRTLKENLCYVAADYEAELSKNTQASFEATGEGWFTLSKERFQTGEILFQPRLAGVRTMGLHQAVALCMDHCHSAELTDDDAWFKTVVLSGGSACLPGLAGRLEKELHGLLPPSVSKGITVIPPPYGADTAWFGAKLISNVSTFPGPWCMTRKQFRQKSKAKLMW >ONH99520 pep chromosome:Prunus_persica_NCBIv2:G6:2577878:2582756:-1 gene:PRUPE_6G034100 transcript:ONH99520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLIRKVWESVSSRKGSNTESTSSSSSSSSLATSSTACLGAFDRVPTDLLMQIVRLVGPKEAAKLSAVCKAWRCLVSDNELWIFFLQNQKKQEEPHWDSFLFSETHLRSGYPLQPFWSQMPQLSFMAIYGQREQVPGSVIIDGGSGYCKFGWSKYACPSGRSATFLEFGNVESPMYSRLRHFFVTIYSRMQVKPSSQPVVLSIPICHYDESAKASRRQLKEAIYKVLFDINVPAVCAINQATLALFAARRTSGIVVNIGFQVTSIVPILNGKVMRKVGVEVMGLGALKLTGFLRELMQQNNIGFESLYTVRTLKELSYLKIHKRHLKPLEKVGLLFQKRGFKQEKSYSSHGLQECVLWVCTRLLLFVWTIVILQN >ONI03294 pep chromosome:Prunus_persica_NCBIv2:G6:24508928:24514767:1 gene:PRUPE_6G249400 transcript:ONI03294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNGGKISKPSWPKVVARKWLNIPSGGDEFHSDYSLKMSDETGRRRSCSDQDRYVVVPDDFSDGCTLMEERTSLGVEAPAVTDNLNHRMFVGTWNVGGKSPHEDLNLREWLRSPTPADIYVLGFQEIVPLNAGNVLGAEDKSPAAKWLSLIREALNKNDPGLSQYYNNATHTEHPSHFDQQAIMKPRISFSDLLSLEDELNNADFERLLNSTSSGENSPSSPLMCSWPGSSPMQQRRYCLAASKQMVGIFLCVWVRADLCRHISNMKVSCVGRGIMGYLGNKGSISISMTLHGTTFCFVCAHLTSGEKEGDEMRRNSDVMEILKKTRFSHSYRTSQQPLPPDRILDHDKVIWLGDLNYRLAAACSDNTHELLKKHDWQALLERDQLRIEQQAGRVFKGWEEGRICFAPTYKYLANSDHYVVQTSKSREKRRTPAWCDRILWKGEGLKQMCYVRGESKFSDHRPVYSLFSVQLDLANKKKPNSAVTTTAASTAKAKSCMLKSSATCVAKVQAEELLLLTRAKSCLDNASRF >ONI03297 pep chromosome:Prunus_persica_NCBIv2:G6:24510447:24514767:1 gene:PRUPE_6G249400 transcript:ONI03297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERTSLGVEAPAVTDNLNHRMFVGTWNVGGKSPHEDLNLREWLRSPTPADIYVLGFQEIVPLNAGNVLGAEDKSPAAKWLSLIREALNKNDPGLSQYYNNATHTEHPSHFDQQAIMKPRISFSDLLSLEDELNNADFERLLNSTSSGENSPSSPLMCSWPGSSPMQQRRYCLAASKQMVGIFLCVWVRADLCRHISNMKVSCVGRGIMGYLGNKGSISISMTLHGTTFCFVCAHLTSGEKEGDEMRRNSDVMEILKKTRFSHSYRTSQQPLPPDRILDHDKVIWLGDLNYRLAAACSDNTHELLKKHDWQALLERDQLRIEQQAGRVFKGWEEGRICFAPTYKYLANSDHYVVQTSKSREKRRTPAWCDRILWKGEGLKQMCYVRGESKFSDHRPVYSLFSVQLDLANKKKPNSAVTTTAASTAKAKSCMLKSSATCVAKVQAEELLLLTRAKSCLDNASRF >ONI03295 pep chromosome:Prunus_persica_NCBIv2:G6:24508439:24514801:1 gene:PRUPE_6G249400 transcript:ONI03295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNGGKISKPSWPKVVARKWLNIPSGGDEFHSDYSLKMSDETGRRRSCSDQDRYVVVPDDFSDGCTLMEERTSLGVEAPAVTDNLNHRMFVGTWNVGGKSPHEDLNLREWLRSPTPADIYVLGFQEIVPLNAGNVLGAEDKSPAAKWLSLIREALNKNDPGLSQYYNNATHTEHPSHFDQQAIMKPRISFSDLLSLEDELNNADFERLLNSTSSGENSPSSPLMCSWPGSSPMQQRRYCLAASKQMVGIFLCVWVRADLCRHISNMKVSCVGRGIMGYLGNKGSISISMTLHGTTFCFVCAHLTSGEKEGDEMRRNSDVMEILKKTRFSHSYRTSQQPLPPDRILDHDKVIWLGDLNYRLAAACSDNTHELLKKHDWQALLERDQLRIEQQAGRVFKGWEEGRICFAPTYKYLANSDHYVVQTSKSREKRRTPAWCDRILWKGEGLKQMCYVRGESKFSDHRPVYSLFSVQLDLANKKKPNSAVTTTAASTAKAKSCMLKSSATCVAKVQAEELLLLTRAKSCLDNASRF >ONI03296 pep chromosome:Prunus_persica_NCBIv2:G6:24510449:24514767:1 gene:PRUPE_6G249400 transcript:ONI03296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERTSLGVEAPAVTDNLNHRMFVGTWNVGGKSPHEDLNLREWLRSPTPADIYVLGFQEIVPLNAGNVLGAEDKSPAAKWLSLIREALNKNDPGLSQYYNNATHTEHPSHFDQQAIMKPRISFSDLLSLEDELNNADFERLLNSTSSGENSPSSPLMCSWPGSSPMQQRRYCLAASKQMVGIFLCVWVRADLCRHISNMKVSCVGRGIMGYLGNKGSISISMTLHGTTFCFVCAHLTSGEKEGDEMRRNSDVMEILKKTRFSHSYRTSQQPLPPDRILDHDKVIWLGDLNYRLAAACSDNTHELLKKHDWQALLERDQLRIEQQAGRVFKGWEEGRICFAPTYKYLANSDHYVVQTSKSREKRRTPAWCDRILWKGEGLKQMCYVRGESKFSDHRPVYSLFSVQLDLANKKKPNSAVTTTAASTAKAKSCMLKSSATCVAKVQAEELLLLTRAKSCLDNASRF >ONI01530 pep chromosome:Prunus_persica_NCBIv2:G6:11773156:11774454:1 gene:PRUPE_6G144500 transcript:ONI01530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVHQNTLASFDSNGIFGIGIEKVWYIHKGNLLGLQEVVVKILLRRTDQGLEEFKNEISLIAKLQHRNLFRLLGYCIQEKENMFYEYKPNKIFDFFLFNPSKQSLLDWRKHFDIIEGIARRVFYVNQDSRLRKIPCDLKRSNILLNGHMTPQISELGMEIIFGMSQNEAYTTRVVGTYSYMSPEYAMEDLFSVKAVYSFAVELLEIVSGRRNNGLRSSEHSSFI >ONH99770 pep chromosome:Prunus_persica_NCBIv2:G6:3525821:3527789:-1 gene:PRUPE_6G049600 transcript:ONH99770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDMDSTRELEPPPTSVCHVVALPYPGRGHINPMMNLCKLLSSKKPDILITFVVTEEWHGFMGSDQKPHNIRFATVPNLIPSELVRANDILGFVEAVNTKLEAPVEQLLDRLEQPVSAIVADLGVVWATRVASRRNIPVASLWPMSASVISEWENYEVIADLELPTELNGDGLKMLKIKLEIVRSIYKAQYLVSSSVYELEPEVFDNLKAKFDLPIYPFGPSIPYFELSKSPPTNHNYLYNWLDSQPRHSVLYISLGSFLSVSKAQMDEIVAGVQNSGARFLWVARGDAFKLKDGVGDKGLVVSWCDQLRVLCHDSIGGFWSHCGWNSTLEAVYAGVPILTCPILGDQIPNAKKIVEDWKIGYRVLKKKVGATEHEHLVTREEIAELVQRIMDLESKEGKEMRKRAKQLQETCHRAIAKGGSSDKNLDAFIEDISRGHHH >ONI03867 pep chromosome:Prunus_persica_NCBIv2:G6:26557209:26565246:-1 gene:PRUPE_6G287100 transcript:ONI03867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAFGCSSFALLRPKQNDAAPEPLLCAQNFSLLRLKQSLRSCASPARWRSIGVPRASVEVVDDRLIEREENERVRVLRVGVICGGPSAERGISLNSARSVLDHIQGGDIHVNCYYIDTDLNAFAISPAQVYSNTPADFDFKLASLAQGFESLSDFAEHLAASVDIVFPVIHGKFGEDGGIQELLEKYKIPFVGTGSSECCQAFDKYNASLELSRQGFITVPSCLIQGSEADESELSKWFARNQLDPKSGKVVVKPTRAGSSIGVTVAYGLADSLSKANAVITEGIDSRVLVEIFLEGGSEFTAIVLDVGSGLDCHPVVLLPSEVELQFHGSVDVTEKDAIFNYRRKYLPTQQVAYHTPPRFPIDVIESIREGASRLFQKLGLRDFARIDGWFLPQSIHVTSSSDSKFGRTEMGTILFTDINLISGMEQTSFLFQQASKVGFSHSNILRSIIRHACVRYPNLASFGSVSDYAPRRSKTSLLNEAVHNCKGTRKVFVIFGGDSSERQVSLISGTNVWLNLQAFDDLEVIPCLLAPTTGDSSNEVDVSSRTVWSLPYSLVLRHTTEEVLDACTEAIEPDRVALTSQLRNRVVQNLMEGLKKHSWFTGFDITDEPPLKLTVEQWIKLAKEAQATVFLAVHGGIGEDGTLQSLLEAEGIPHTGPGVMASKICMDKLATSLALNHLSDLGVLTINKDLRRKEDLLSTPIGNVWHDLISKLQCETICVKPARDGCSTGVARLCCAEDLSVYVKALEDCVLRIPPNSLSKAHGTIEMPNPPPELLIFEPFINTDNIIVSRNENGHQILWSGQSRWVEITVGVIGKQGSMSSLSPSITVRESGDILSLEEKFQGGTGINLTPPPSSIISNEALQRSKRRIEIIANTLELEGFSRIDAFVNVDSGEVLIIEVNTVPGMTPSTVLIHQALAEEPPMYPHQFFRTLLDLALERSI >ONH98941 pep chromosome:Prunus_persica_NCBIv2:G6:213716:227892:1 gene:PRUPE_6G001200 transcript:ONH98941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDAKMIKVEEEIEGERVESNDYIFERIGEPVPIQRDESCFDPHGSPSRPLAVSEKHGLVFVAHSSGFCVARTKDVMASAAEIKERGSSSSIQELSVVDVPLPNLNILELSTDSSTLAATADANIHFFSVDSLLDKGLKPSFSFSLNESSSIKDMQWTRKPENFYVVLSNLGKLYHGTVGGPMKDVMDNVDAVGWSLKGKLIAVARRDILSILSSNFKERLSMLISFKSWTDDSNANCSIKVDSIRWVRHDSIILGCFQLTADGNEESYLVQVIKIKDGKFADGSCKPVLIPFYDLFSGLIDDILPSASGPYLLLSYLEQCELAITANRKNVDQHIVYLSWSLGNEKNEVVVVDIFRDSLLPRIELQENDDENLILGLCVDKISRSEKISVRLGEEQRELSPYCILMCLTLEGKLIMFHVASVSGITVSPTIVSVLSDEEEEEEDSTALVPVESKSSRPSSWLGKEQLEKVSMDAPLGIENRKELDRNVGLDFRIKDDIKSLDVNETLTSEFVTNQTINKESTNSNKKVEPPTNSQSFEADGQQEVIVPKRYPDKNGNQLQFPGLENRNIGSASTNVSLQGVPGHAFRDLTKTETQKIAGLGTAVQSTLKDTHKSFETAAGSPGKMEPTGLEGVSSQSWSSGNIISSKDTDVKSLLMPSNFIEGSRSGNASQIVAPIDAYGKPSGKPLHFKNISGSSTSVNFSDRLTENWGQRPSAAAGNIVSLPSISSSLMSSQESFSIRKSPNYNIYPSKESYSDLPPSRRLNSEPNSSKQFGNIKEMTKELDMLLQSIEEPGGFRDACTVNQKRSVEELERGIGTLSDRCRKWKSIMDERLQEIEHLLDITVQVLARKIYMEGIVKQASDSRYWDFWNCQKLSSELELKRRHILKMNQDLTDQLIQLERHFNALELNKFGENAGGHAGRRALQSRFGPSRHIQSLHSLYSTMTSQLAAADHLSECLSKQMAALKIESPSVKKKNVKKELFETIGIPYDASFNSPSPGATKDGGMPNEKLSFSLGSAASKDQPRRNVNAIKNYEPETARRRRDSLDRSWEDYEPTKATVKRLLLQESGKESTSRSSFAVDKQHFSPRLLEGSAITGPRDHISPATFLHPSENKGIQGMHMKQPFQSSATPFVWANELQGPLQPTGLTSPIMQEHKMSSASQLLPAGRQSFAREPNMTAEKFGNGIPYIEKSESDSVKEKSVVQSDTSQKPSISLVPTQTPSLLKKPNDTLNSFAKAWKG >ONH98938 pep chromosome:Prunus_persica_NCBIv2:G6:213798:227878:1 gene:PRUPE_6G001200 transcript:ONH98938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDAKMIKVEEEIEGERVESNDYIFERIGEPVPIQRDESCFDPHGSPSRPLAVSEKHGLVFVAHSSGFCVARTKDVMASAAEIKERGSSSSIQELSVVDVPLPNLNILELSTDSSTLAATADANIHFFSVDSLLDKGLKPSFSFSLNESSSIKDMQWTRKPENFYVVLSNLGKLYHGTVGGPMKDVMDNVDAVGWSLKGKLIAVARRDILSILSSNFKERLSMLISFKSWTDDSNANCSIKVDSIRWVRHDSIILGCFQLTADGNEESYLVQVIKIKDGKFADGSCKPVLIPFYDLFSGLIDDILPSASGPYLLLSYLEQCELAITANRKNVDQHIVYLSWSLGNEKNEVVVVDIFRDSLLPRIELQENDDENLILGLCVDKISRSEKISVRLGEEQRELSPYCILMCLTLEGKLIMFHVASVSGITVSPTIVSVLSDEEEEEEDSTALVPVESKSSRPSSWLGKEQLEKVSMDAPLGIENRKELDRNVGLDFRIKDDIKSLDVNETLTSEFVTNQTINKESTNSNKKVEPPTNSQSFEADGQQEVIVPKRYPDKNGNQLQFPGLENRNIGSASTNVSLQGVPGHAFRDLTKTETQKIAGLGTAVQSTLKDTHKSFETAAGSPGKMEPTGLEGVSSQSWSSGNIISSKDTDVKSLLMPSNFIEGSRSGNASQIVAPIDAYGKPSGKPLHFKNISGSSTSVNFSDRLTENWGQRPSAAAGNIVSLPSISSSLMSSQESFSIRKSPNYNIYPSKESYSDLPPSRRLNSEPNSSKQFGNIKEMTKELDMLLQSIEEPGGFRDACTVNQKRSVEELERGIGTLSDRCRKWKSIMDERLQEIEHLLDITVQVLARKIYMEGIVKQASDSRYWDFWNCQKLSSELELKRRHILKMNQDLTDQLIQLERHFNALELNKFGENAGGHAGRRALQSRFGPSRHIQSLHSLYSTMTSQLAAADHLSECLSKQMAALKIESPSVKKKNVKKELFETIGIPYDASFNSPSPGATKDGGMPNEKLSFSLGSAASKDQPRRNVNAIKNYEPETARRRRDSLDRSWEDYEPTKATVKRLLLQESGKESTSRSSFAVDKQHFSPRLLEGSAITGPRDHISPATFLHPSENKAGIQGMHMKQPFQSSATPFVWANELQGPLQPTGLTSPIMQEHKMSSASQLLPAGRQSFAREPNMTAEKFGNGIPYIEKSESDSVKEKSVVQSDTSQKPSISLVPTQTPSLLKKPNDTLNSFAKGKLPKQESVKDRPLTATVPSIEAGKKLNFPLSSLFAVPVATSQPGKVDQRDAATSKSQPGKILPSPTFSMSVLTPSSPVISSSSAPLSPLSISPSVVMPSNRSVDSSNTTADVSKPVSTSSLSFPSPIVSPRSFFSFDASNPLVSSSAPSPVTNSTSESSKTEIQHSFKTDTNANTILPPQECGPSTVETNLKLKPSVSSPHTIETSTGLASGSQASSNNTAGPTNNVRMNAQQEQPSAGHSPFPTLPTLGSVTGGRTDGLDVQNAQEDDMDEEAPDTSSTTELSLGSLGGFGLGSAPNPTAPKPNPFGGSFGNAGTNVTSPFSMTVPSGELFRPASFNIQSLQPSQSSQPANSGGFAGGFGTGTTAQAPSPSKFGQPVQVGPGQQALGSVLGTFGQSRQLGTSLPGTSFGSPGGFGGGIAGTNPTGGFSSAATGGFAGAASAGGGFASLASGGGGFGGAGSGGGGFAGAGSGGGGFAGAGSGGVGFVGAASAGSGFTGAVSSGGLPAAGGGFAAFGGQQGSGGFSGFGNAGGTGKPPELFTQMRK >ONH98939 pep chromosome:Prunus_persica_NCBIv2:G6:213522:227943:1 gene:PRUPE_6G001200 transcript:ONH98939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDAKMIKVEEEIEGERVESNDYIFERIGEPVPIQRDESCFDPHGSPSRPLAVSEKHGLVFVAHSSGFCVARTKDVMASAAEIKERGSSSSIQELSVVDVPLPNLNILELSTDSSTLAATADANIHFFSVDSLLDKGLKPSFSFSLNESSSIKDMQWTRKPENFYVVLSNLGKLYHGTVGGPMKDVMDNVDAVGWSLKGKLIAVARRDILSILSSNFKERLSMLISFKSWTDDSNANCSIKVDSIRWVRHDSIILGCFQLTADGNEESYLVQVIKIKDGKFADGSCKPVLIPFYDLFSGLIDDILPSASGPYLLLSYLEQCELAITANRKNVDQHIVYLSWSLGNEKNEVVVVDIFRDSLLPRIELQENDDENLILGLCVDKISRSEKISVRLGEEQRELSPYCILMCLTLEGKLIMFHVASVSGITVSPTIVSVLSDEEEEEEDSTALVPVESKSSRPSSWLGKEQLEKVSMDAPLGIENRKELDRNVGLDFRIKDDIKSLDVNETLTSEFVTNQTINKESTNSNKKVEPPTNSQSFEADGQQEVIVPKRYPDKNGNQLQFPGLENRNIGSASTNVSLQGVPGHAFRDLTKTETQKIAGLGTAVQSTLKDTHKSFETAAGSPGKMEPTGLEGVSSQSWSSGNIISSKDTDVKSLLMPSNFIEGSRSGNASQIVAPIDAYGKPSGKPLHFKNISGSSTSVNFSDRLTENWGQRPSAAAGNIVSLPSISSSLMSSQESFSIRKSPNYNIYPSKESYSDLPPSRRLNSEPNSSKQFGNIKEMTKELDMLLQSIEEPGGFRDACTVNQKRSVEELERGIGTLSDRCRKWKSIMDERLQEIEHLLDITVQVLARKIYMEGIVKQASDSRYWDFWNCQKLSSELELKRRHILKMNQDLTDQLIQLERHFNALELNKFGENAGGHAGRRALQSRFGPSRHIQSLHSLYSTMTSQLAAADHLSECLSKQMAALKIESPSVKKKNVKKELFETIGIPYDASFNSPSPGATKDGGMPNEKLSFSLGSAASKDQPRRNVNAIKNYEPETARRRRDSLDRSWEDYEPTKATVKRLLLQESGKESTSRSSFAVDKQHFSPRLLEGSAITGPRDHISPATFLHPSENKGIQGMHMKQPFQSSATPFVWANELQGPLQPTGLTSPIMQEHKMSSASQLLPAGRQSFAREPNMTAEKFGNGIPYIEKSESDSVKEKSVVQSDTSQKPSISLVPTQTPSLLKKPNDTLNSFAKGKLPKQESVKDRPLTATVPSIEAGKKLNFPLSSLFAVPVATSQPGKVDQRDAATSKSQPGKILPSPTFSMSVLTPSSPVISSSSAPLSPLSISPSVVMPSNRSVDSSNTTADVSKPVSTSSLSFPSPIVSPRSFFSFDASNPLVSSSAPSPVTNSTSESSKTEIQHSFKTDTNANTILPPQECGPSTVETNLKLKPSVSSPHTIETSTGLASGSQASSNNTAGPTNNVRMNAQQEQPSAGHSPFPTLPTLGSVTGGRTDGLDVQNAQEDDMDEEAPDTSSTTELSLGSLGGFGLGSAPNPTAPKPNPFGGSFGNAGTNVTSPFSMTVPSGELFRPASFNIQSLQPSQSSQPANSGGFAGGFGTGTTAQAPSPSKFGQPVQVGPGQQALGSVLGTFGQSRQLGTSLPGTSFGSPGGFGGGIAGTNPTGGFSSAATGGFAGAASAGGGFASLASGGGGFGGAGSGGGGFAGAGSGGGGFAGAGSGGVGFVGAASAGSGFTGAVSSGGLPAAGGGFAAFGGQQGSGGFSGFGNAGGTGKPPELFTQMRK >ONH98940 pep chromosome:Prunus_persica_NCBIv2:G6:213716:227892:1 gene:PRUPE_6G001200 transcript:ONH98940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDAKMIKVEEEIEGERVESNDYIFERIGEPVPIQRDESCFDPHGSPSRPLAVSEKHGLVFVAHSSGFCVARTKDVMASAAEIKERGSSSSIQELSVVDVPLPNLNILELSTDSSTLAATADANIHFFSVDSLLDKGLKPSFSFSLNESSSIKDMQWTRKPENFYVVLSNLGKLYHGTVGGPMKDVMDNVDAVGWSLKGKLIAVARRDILSILSSNFKERLSMLISFKSWTDDSNANCSIKVDSIRWVRHDSIILGCFQLTADGNEESYLVQVIKIKDGKFADGSCKPVLIPFYDLFSGLIDDILPSASGPYLLLSYLEQCELAITANRKNVDQHIVYLSWSLGNEKNEVVVVDIFRDSLLPRIELQENDDENLILGLCVDKISRSEKISVRLGEEQRELSPYCILMCLTLEGKLIMFHVASVSGITVSPTIVSVLSDEEEEEEDSTALVPVESKSSRPSSWLGKEQLEKVSMDAPLGIENRKELDRNVGLDFRIKDDIKSLDVNETLTSEFVTNQTINKESTNSNKKVEPPTNSQSFEADGQQEVIVPKRYPDKNGNQLQFPGLENRNIGSASTNVSLQGVPGHAFRDLTKTETQKIAGLGTAVQSTLKDTHKSFETAAGSPGKMEPTGLEGVSSQSWSSGNIISSKDTDVKSLLMPSNFIEGSRSGNASQIVAPIDAYGKPSGKPLHFKNISGSSTSVNFSDRLTENWGQRPSAAAGNIVSLPSISSSLMSSQESFSIRKSPNYNIYPSKESYSDLPPSRRLNSEPNSSKQFGNIKEMTKELDMLLQSIEEPGGFRDACTVNQKRSVEELERGIGTLSDRCRKWKSIMDERLQEIEHLLDITVQVLARKIYMEGIVKQASDSRYWDFWNCQKLSSELELKRRHILKMNQDLTDQLIQLERHFNALELNKFGENAGGHAGRRALQSRFGPSRHIQSLHSLYSTMTSQLAAADHLSECLSKQMAALKIESPSVKKKNVKKELFETIGIPYDASFNSPSPGATKDGGMPNEKLSFSLGSAASKDQPRRNVNAIKNYEPETARRRRDSLDRSWEDYEPTKATVKRLLLQESGKESTSRSSFAVDKQHFSPRLLEGSAITGPRDHISPATFLHPSENKGIQGMHMKQPFQSSATPFVWANELQGPLQPTGLTSPIMQEHKMSSASQLLPAGRQSFAREPNMTAEKFGNGIPYIEKSESDSVKEKSVVQSDTSQKPSISLVPTQTPSLLKKPNDTLNSFAKASLERLTSVMLQQAKASLVKFYLRPHSQCQF >ONI00187 pep chromosome:Prunus_persica_NCBIv2:G6:5005678:5007831:-1 gene:PRUPE_6G073400 transcript:ONI00187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLERLSVSKEKFHFGHGSIPVHSNQNLVNGFQVNHEPTNPPFLPTNSDHPSDSSTSLSSGSDGDTFDISDCSQPVLKYISDILLEEDLEGKPCMLQDCLALQAAEKSFYDVLNQKDPPSPNQPPLSDHQSFENSDDDSTHSCHRSNDYRAEKTDWVFDSSETSHVQSDSSETSHVQSSLVESPSDTLLASDSLDGNFGGVGEARKLLPNENFGIIDLEKYQFMSQGPNTLFRNLASKTENDGYNSTNRSKEKKNHQREDGDYAEEGRSNKQSAASADDSEPQEMFDKVLLCSVNHESKSCSHDEPLKNEGSGKLKPNKQSKGSKTARSKKQNNKREVVDFSTLLTQCAQAVASYDQRTASELLKQIRKHSSPYGDATERLAHYFADGLEARLAGTRTPSYSPLLSIQTPAAEILKAYQLYVTHCPFKKMLHFFSNRTIMKLAENATRLHIIDFGISYGFQWPCFIQRLSKRPGGPPNIRMTAIELPQPGFRPTERVEETGRRLDKYAKRFNVPFQYKVIAQKWETIQFEDLKIDRDELIVVNCMHRLKHIPDETVMASSPRDTVLKLIKRINPDLYIHGVINGAYNSPFFLTRFREAFFHFSAQFDMFEATIPREDEQRLMFEKAVFGKDIMNVIACEGLERVERPETYKQWQVRYQRAGFKQLPLDQELVKKVKTMLKVMGYHNDFRIDEDGHWMLQGWKGRIIMGLAFWKPA >ONI00188 pep chromosome:Prunus_persica_NCBIv2:G6:5005442:5008392:-1 gene:PRUPE_6G073400 transcript:ONI00188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLERLSVSKEKFHFGHGSIPVHSNQNLVNGFQVNHEPTNPPFLPTNSDHPSDSSTSLSSGSDGDTFDISDCSQPVLKYISDILLEEDLEGKPCMLQDCLALQAAEKSFYDVLNQKDPPSPNQPPLSDHQSFENSDDDSTHSCHRSNDYRAEKTDWVFDSSETSHVQSDSSETSHVQSSLVESPSDTLLASDSLDGNFGGVGEARKLLPNENFGIIDLEKYQFMSQGPNTLFRNLASKTENDGYNSTNRSKEKKNHQREDGDYAEEGRSNKQSAASADDSEPQEMFDKVLLCSVNHESKSCSHDEPLKNEGSGKLKPNKQSKGSKTARSKKQNNKREVVDFSTLLTQCAQAVASYDQRTASELLKQIRKHSSPYGDATERLAHYFADGLEARLAGTRTPSYSPLLSIQTPAAEILKAYQLYVTHCPFKKMLHFFSNRTIMKLAENATRLHIIDFGISYGFQWPCFIQRLSKRPGGPPNIRMTAIELPQPGFRPTERVEETGRRLDKYAKRFNVPFQYKVIAQKWETIQFEDLKIDRDELIVVNCMHRLKHIPDETVMASSPRDTVLKLIKRINPDLYIHGVINGAYNSPFFLTRFREAFFHFSAQFDMFEATIPREDEQRLMFEKAVFGKDIMNVIACEGLERVERPETYKQWQVRYQRAGFKQLPLDQELVKKVKTMLKVMGYHNDFRIDEDGHWMLQGWKGRIIMGLAFWKPA >ONI00068 pep chromosome:Prunus_persica_NCBIv2:G6:4567247:4568236:-1 gene:PRUPE_6G066100 transcript:ONI00068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPNFRRGSIFDPFSLDLWEPLKDFPFPSSSSLSTFPEFSRENSAFLNTRIDWKETPEAHVFKADLPGLKKEEVKVEVEDDRVLQISGERNVEKEDKNDKWHRVERSSGKFSRRFQLPENAKLNEIKAAMENGVLSVTVPKAEVKKPDVKAIEISG >ONI02253 pep chromosome:Prunus_persica_NCBIv2:G6:19577372:19581062:1 gene:PRUPE_6G187300 transcript:ONI02253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTNKWIEEVRTERGTDVIIVLVGNKTDLVDKRQVSIEEGDSKSREFGVMFIETSAKAGFNIKPLFRKIAAALPGMEALSSTKQEDMVDVNLKPTTNSSHTEQQGGCAC >ONH99648 pep chromosome:Prunus_persica_NCBIv2:G6:3035441:3036544:-1 gene:PRUPE_6G040900 transcript:ONH99648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTASASASASSSAAPILMGWDGDEKPHVLAVDDSFVDRRIIEKLLTNSACKVTTAENAQGALELLGLADGQQNFGNTVSKVNLIITDYSMPGMTGYELLKKIKESPTGKEIPVVVVSSEHIPTRIEKCLEEGAKEFLLKPLRQSDVNQLRCHLMKLGNPNDEGMVCMGR >ONH99836 pep chromosome:Prunus_persica_NCBIv2:G6:3714493:3717782:1 gene:PRUPE_6G052700 transcript:ONH99836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSCRALDPIGIGTPCSFPCHVGHRPRPSSTFGLGFLLLSPKSISDITSYSLLAGTLRYPLTTTTIKHRIRRHQVTSAPATMLPQNPVVADICATFIAGGVALFFLLLWEETAKRGFFDQKLNRKFVHVSIGLVFMLCWPLFSSGLQGAFLASLTPGINIFRMLLLGLGIWKDEATVKSISRSGDYRELLKGPLYYATTITLACIIYWRTSPIAIALICNLCAGDGLADIVGRRFGTRKIPYNRNKSIVGSVAMASAGFLTSIGYMHYFSRFGFVQESWDMVLGFLVVSLASALVESLPISTDVDDNLTVPLTSILVGGLVF >ONI01590 pep chromosome:Prunus_persica_NCBIv2:G6:12449839:12454759:1 gene:PRUPE_6G148100 transcript:ONI01590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTRVLAALFLVLALYCGLDPFKHSAIVDFPDFEAYKVDMPSWSSVPTERDPENLLQKSEIKFLNQVQGPESVAFDPLGRGPYAGVADGRVLFWNGQSWTDFAYTSPHRSDICSPKPSPLSYLKNEHICGRPLGLRFDKKTGDLYIADAYFGLLKVGPEGGLATPLTTEAEGVPLRFTNDLDIDEEGNVYFTDSSTTYQRRNFMQLVFSAEDTGRVLKYNPTTKETTVLEKNLQFPNGLSLSKDGSFFVFCEGSIGRLRKYWLKGEKAGTSEVFAVLPGFPDNVRTNENGDFWVAVHCRRNMYTHLCALFPQIRKFLLKLPISAKIQFLIHIGGRPHAVVVKYSPEGKILQILEDSQGKVVKAVSEVEEKDGKLWMGSVLMPFIAVYNLA >ONI02952 pep chromosome:Prunus_persica_NCBIv2:G6:23375640:23377423:-1 gene:PRUPE_6G230900 transcript:ONI02952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNISNTKLILLHPYIQKQGTSNRLWLLAFMSIFTLAFLLTIIYTSGLYAYSSTTTTTISAATITTTTTASTAVPQLPTTVINTLLYYSAKSNDTFKMSYADIKPISDALRKCSTPCNFLIFGLTHETLLWKALNNNGRTVFIDENRYFAAYMEEKHPEIDAYDVQYTTKSKELKELVAVAKEQIRNECRPVQNLLFSECKLGINDLPNHVYEVDWDVILVDGPRGDWPDAPGRVMPIFTSGVLARSKKGGNGKTHVFVHDFGGEVQRVCGEEFLCRENLVEASETLGHYVVERMEESSFQFCRSRPSSNSSLSAAS >ONI03569 pep chromosome:Prunus_persica_NCBIv2:G6:25418644:25419790:-1 gene:PRUPE_6G265800 transcript:ONI03569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANHLYTHYLFIPLQISHGPYFSLSFPKSSFIDANHLLLRQHILEILCNVLSHITTLIQRFEHPLFSLSFHILEDFNEFT >ONI00556 pep chromosome:Prunus_persica_NCBIv2:G6:6576858:6593368:1 gene:PRUPE_6G094700 transcript:ONI00556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASQKIIHALALPPAFSSSSPALVSGTFLFLRSSSPSYAYTAKPRFLSLSFSSSISPSHSTMPYNQRRGGLREQKWTEKQKTDSQPTSTGDSSAAAMEVATNRVGGLSLSGSSGQTNASVKPIQFGKVQSVNQGPAQGQKGIWIPKSYSTVSGAKTIEVEAPVDKSTVGIPGNGAGQAADKKTSVGLSKLFKGDLLENFTVDNSTYAQVQVRATFYPKFENEKSDQEIRTRMIEMVSNGLATLEVSLKHSGSLFMYAGNKGGAYAKNSFGNIYTAVGVFVLGRMFQEAWGREAAKMQAEFNDFLERNRVCISMELVTAVLGDHGQRPKEDFVVVTAVTDLGNGKPKFYATPEIIAFCRKWRLPTNHVWLFSTRKAVTSFFAAFDALCEEGTATPVCIALNEIADISIPGSKDHVKEQGEILEGIVARIVSQESSKHMEKVLNDFPPPPMDGVGLDLGPSVRELCAANRSSEKQQIKAILEGVGSSFCPDHSDWLGTGAGDAHSRNADNKLVLSKLLQSHAADFSTTKLQEMIRLMKEKRYPAAFKCYYNYHKIDSISSDNLFYKMVVHVHSDSAFRRYQKEMRSKPGLWPLYRGFFVDINLFKASKERAAEIAKDKSSIVEDVSSDMPGKYGLADEDANLMIKLKFLTYKLRTFLIRNGLSILFKEGPAAYKAYYLRQMKVWGTSAAKQRELSKMLDEWAVYIRRKCGNKQLSSSVYLSEAEPFLEQYAKRSPQNQALIGSAGNLVRTEDFLAIVEGGRNEEGDLERDLEVAPSSPRASARDTIPKAEGLIVFFPGLPGSAKSALCKELLNAPEGMGDDRPIQSLMGDLIKGRYWQKVADERRRKPYSIMLADKNAPNEEVWRQIEDMCHSTRASAVPVVPDSEGTDSNPFSLDALAVFMFRVLQRANHPGNLDKESPNAGYVLLMFYHLYEGKSRREFDGELVERFGSLVKMPLLKSDRNPLPDPVKSILEEGINLYKLHTAKHGRLESTKGTYAKEWAKWEKQLRDILFGNAEYLNSVQVPFESAVKDVSEQLRKIAQGEYKTPDTGKKKFGAIVFAAVSLPVMEISDLLDNLAAKNSEAGAFLKEKHLENLNKAHVTLAHKRSHGVTAVASYGTFLHKTVPVDLTKLFFSDKMAALEASLGSVEGERVVSKNEWPHVTLWTAEGVAAKEANKLPQLHSEGKATCIAIDPPATIDGTLEFF >ONI01488 pep chromosome:Prunus_persica_NCBIv2:G6:11544984:11545811:-1 gene:PRUPE_6G142600 transcript:ONI01488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAVQQNDEKPPHDIDREKLHPKVKEAVKIEAKSADQKVVVVVVDENTPLLPPSPKKNLKRADDDEEEEEEEDRNKFVTCIIYLFLLVILFFCATLYQTPKGFSSSSIQVHSFSVELFKVSVLNSQVTALWNISFFLTNPYSIVRVTYQVLDAEVFYRDEFLGGALIRPFVQQPEETEFMSVAVIVSPAVVSNQIAGAIDAERSQTWAVDFNVKIHAKIRVEWGGWRWIFASAPGTFEIWFNCEKLRVQFSSNATAGSILPGETAECGYDSEYR >ONI02282 pep chromosome:Prunus_persica_NCBIv2:G6:19619567:19627233:1 gene:PRUPE_6G187700 transcript:ONI02282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSVDVLHCYYAHGEENENFQRRSYWMLEEDLQHIVLVHYREVKGNRTNFNHTKGTEEAVPYSHETEEIALNSEMENSVSSSFNPNTFQMRSQATDTTSLSSAQASEFEDAESAYDHQASSRLQPFLELLQPKAEKINAGFSDAFYPMSFSNNYQEKLSAIPGVNFGSLTQAYKREDGNDAGVNYEPTKNLNSSLWEAALENSATGFQSLSFQPSFSATHSDTMGIISKQENGMLGHLFTDSFEKKQMCESKPRVQQGWQTLEENSSCSSSWLMDRNLHSNTVDDVSSFHEGLNAANLLNSLAPCHMNSDKTNDYSIPNDLQIQPSTTEQEYYLKSISKRNETIEGKANHASAIKPLLDGPFTEGLKKLDSFNRWMSRELGDVDDTQTQSNSETYWDTVESENGVDESSVPLQVRLDSYMLGPSLSQDQLFSIIDFSPNWAYENSEIKVLITGRFLKSQQAEACKWSCMFGEVEVRAEVIADGVLRCYTPVHKAGRVPFYVTCSNRLACSEVREFEYRVGQIPDYDAKDDNSGCTNDILSMRFGKLLSLSSTSPTFDPNSLAENSVLINKIDSLLKNDNGEWDRMLQLTSDEDFSSERVEEQLLHQLLKEKLHVWLLQKLAVGGKGPSVLDEDGQGVLHFGAALGYDWVLLPTITAGVSVNFRDVNGWTALHWAASCGRERTVASLISLGAAPGALTDPSTKYPTGRTPADLASAEGHKGIAGYLAESALSAHLSSLNLDIKEGNNAGISGANAVQTVSERIATPIGNGDLTDGLSLRDTLTAVCNATQAAARIHQVFRVKSFQRKQLKEYGGNEFGISDEHALSLIAVKSHKPGKRDEHVDAAAIRIQNKFRSWKGRKDYLIIRQRIVKIQAHVRGHQVRKNYRKIVWSVGIVEKIILRWRRKGSGLRGFKSEPLIEGPSIQVSSSKDDDYDLLKEGRKQNEERLQKALARVKSMVQYPEARDQYRRLLNVVTEIKETKVVCDSAANSSEGRADMDDDLIDFAELLDEDIFMPTAGP >ONI02281 pep chromosome:Prunus_persica_NCBIv2:G6:19617829:19627233:1 gene:PRUPE_6G187700 transcript:ONI02281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEIQVGSGCGISVTTTRIEFMADTKRYGLGNQLDIAQILLEAKHRWLRPAEICEILRNYKKFHISSEPASMPPGGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSVDVLHCYYAHGEENENFQRRSYWMLEEDLQHIVLVHYREVKGNRTNFNHTKGTEEAVPYSHETEEIALNSEMENSVSSSFNPNTFQMRSQATDTTSLSSAQASEFEDAESAYDHQASSRLQPFLELLQPKAEKINAGFSDAFYPMSFSNNYQEKLSAIPGVNFGSLTQAYKREDGNDAGVNYEPTKNLNSSLWEAALENSATGFQSLSFQPSFSATHSDTMGIISKQENGMLGHLFTDSFEKKQMCESKPRVQQGWQTLEENSSCSSSWLMDRNLHSNTVDDVSSFHEGLNAANLLNSLAPCHMNSDKTNDYSIPNDLQIQPSTTEQEYYLKSISKRNETIEGKANHASAIKPLLDGPFTEGLKKLDSFNRWMSRELGDVDDTQTQSNSETYWDTVESENGVDESSVPLQVRLDSYMLGPSLSQDQLFSIIDFSPNWAYENSEIKVLITGRFLKSQQAEACKWSCMFGEVEVRAEVIADGVLRCYTPVHKAGRVPFYVTCSNRLACSEVREFEYRVGQIPDYDAKDDNSGCTNDILSMRFGKLLSLSSTSPTFDPNSLAENSVLINKIDSLLKNDNGEWDRMLQLTSDEDFSSERVEEQLLHQLLKEKLHVWLLQKLAVGGKGPSVLDEDGQGVLHFGAALGYDWVLLPTITAGVSVNFRDVNGWTALHWAASCGRERTVASLISLGAAPGALTDPSTKYPTGRTPADLASAEGHKGIAGYLAESALSAHLSSLNLDIKEGNNAGISGANAVQTVSERIATPIGNGDLTDGLSLRDTLTAVCNATQAAARIHQVFRVKSFQRKQLKEYGGNEFGISDEHALSLIAVKSHKPGKRDEHVDAAAIRIQNKFRSWKGRKDYLIIRQRIVKIQAHVRGHQVRKNYRKIVWSVGIVEKIILRWRRKGSGLRGFKSEPLIEGPSIQVSSSKDDDYDLLKEGRKQNEERLQKALARVKSMVQYPEARDQYRRLLNVVTEIKETKVVCDSAANSSEGRADMDDDLIDFAELLDEDIFMPTAGP >ONH99985 pep chromosome:Prunus_persica_NCBIv2:G6:4210650:4217210:1 gene:PRUPE_6G060900 transcript:ONH99985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGAEAILVCVWRVLHFSMKTTSLCVQKHSFVSAILFFIYFLYVFFNLFVFWFPFLVCIAALLRVFWTSGGLTILEVKRDEKWINDRIPCKKSESVQDDVAVNRDGCSVLTKQKSRRTNVARRCREEGDAQAGKVEKDAPISTTWKDNLISGTALVGKNTKAVMEEKENKTSCHGESSTAKASSTDNIQLLVEQHQSVLDSDLSDSNLSSSDDSDGQTEKSEGGGIDGGIAQEDGNKAVQWTDDDQKNLMDLGFSEIERNKRLESLIARRRARKLFKIQVEKGLIDLDTIIPGQIAPIFIAKNNPFEFVEGCNEMDTPGSAPSILLPMQNPFDLPYDPLEEKPNLMADSFQQEFTAVQPKDVLFCRHESFSLGASSFPLETRKKAMDVKGFSRFKKQSETGSHDKHIERMLSGKHDDVIEALLSKVRDRSEIDGNPDIAENGIESSSVQATSSVKPKDGGSGESQSAIDIKGEIKIENISEGQMEQQITDDSNDDESSSTSYSEEDDHTFNASTSGPPPQNALSKVRHVPPKPLTCSVPKSKTAKEALYESSPSANERSRFEERLFYAERGTCHTPTYSIASDLQVEVSELGSPPLTIDGANSPTDRESLNLDGDFEREYMWAASSQSSRTEENESKSRGVRGLSEKDLADIRSSGNNKNTTGVAESSMPLQQPEELDDACSLSSSMTEIYGDSQVHSVNSDGKIHDDVRQVVEEVGNPRTSSSLNALSPENQEETMKLTDKLVSHPSVNPEESSNPPGETTKKVNIPAANTIDNSKDEKKNTDRDGGAQISTKKESVRGLSKPNEGTISESNRHLVKGSVNPAERQATLDSTQPTMDNENSNHSEGGLQKVTENEGKKELDAKKDLNPVQGNKDEQGTAQRGVSEVKQISGDPIASAPQKNLVSKDVPVNSTSSSSPTSVLLEHIPKDQVSSSISNPEGASKSEVGDKVKSNSSEEKPHDSTLLAPQNVMHSVEKPTNQQSNTSDSKTSQEPGKPPEKSTEEINIILNKQAEDIGNLSQKATEAASVLKKQADEIEKVSRKATEAAAELQNPAEETGNMSQKATEATLDMKKSAEEICSASQKANEVVSEIKVPAEGIINVSQKATEAAAELRKTSEEIANVSQKATTVELKKPAEESVSGSNKATEAAAQFKKAAEEIEDVSQKARESDAELKKPAEAIVNVSHKATDPTVELKQSAEGVGSVSQRETEAAAQLKKPAEENENVSQKASKVDAELNKPAEEIRNASEKQTGDATEFKKPAEEIGNASQKATYSTSDLKNPAEQIGNVLQNTREAASELKKVSDEIGSVSTKETVATAELKKPAEEVGSLSRKATEAPAELKRPPEEIGNVSQNANEAPVQLKNPSEEIGSVPQRARDAPAEVKKPAEEIGNVSQKASQTAAESLKQGEKTENLSGKAIEATAELKKPTEEMGNVSQKVREGPAELEKPIEKIGSASEKATEAAEELKKSAQEIGSGSASQKATEAHAELKKASEEIESGSQKATEPAGESKKPAENIENVWGKTTEATAGLEKPEVGSTSEKTTDTAAELKKPIEEIGNVSQKATEAPIELKKPSEEIGSVSPKVTETPAELKRPAEEIGKVSEKAIEAGVELKKRDEKVENLLEKATKTAAELKNPTAEIGSVSQKATKVSADEKSGSISQKATEAAPELEKTTEAAVELKKPVEEIKI >ONI01476 pep chromosome:Prunus_persica_NCBIv2:G6:11470371:11472873:1 gene:PRUPE_6G141600 transcript:ONI01476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSLLFAAYTVLLLLLVPSSCSQTASNNITADNSDCIRSCGNINISYPFRLKGESKHCGNKSFELSCEAKGNGTTHHAVLSLFSGKYYVQAINYNNFTIRLVDVGVHKIKDNYFSHPLFSLTPFNFSYYSDYTGPLPEYYHIESLMSIIFLSCEIPMNPSDLIVETAPCISGVNNYSSSNSSFSNLTTYSYFIQFRFGYGSENDRSLHNLLDSCKITLTVMVSPSTEEHMTSCKGIYNEIAHGFELSWLHHACKVMCRSGESCRLNRNDNGINCFQRRLNLIPKVLPYVAAKFSLGFCCLIALLIYKWRRRHLSMYDNIENFLRSNNNLMPIRYSYSDIKKMTRRFKDKLGEGGYGSVYKAKLRSGRLVAIKMLGKSKTNGQDFINEVGTIGRIRHVNVVRLIGFCVDGSKRALVYDFMPNGSLEKYIFSQQRDMSLSCQKIFEIALGVARGIDYLHQGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLYPLDNSIVSLTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMEMAGRRKNLNASIEQSSQFSQIYFPTWVSDQLKAGKDIEIEDDATYEEKKIIKKMMMVALWCIQMKPIERPSMNKVVEMLEGEIECLQMPPRPFLYPQQIPADE >ONI02421 pep chromosome:Prunus_persica_NCBIv2:G6:20457399:20457958:1 gene:PRUPE_6G197400 transcript:ONI02421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIIFSLFLLSLAFPHQTHNFFVTADSNLIQKTCKNTKYYGLCVSTLKSDPTSKTADTKGLAVIMVGIGMANATATSSYLSSQLVSSRNNDTNMKKVLKECADKYGFAGDALQASVKDLASESYDYASMHINAAADYPNACHNAFKRYPALAYPPELARREEGLKHICDVALGIIDNFGW >ONI04870 pep chromosome:Prunus_persica_NCBIv2:G6:29622123:29625810:1 gene:PRUPE_6G345000 transcript:ONI04870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKTHIVFFISFVSVFLNGLHAANSDSDSLILGCGSENEAKDADGRKWQPDSKYLTGSKGSPAQAQYQDPALLSEVPYMKARIFTSNATYKFPVKPRQRYIIRLYFYPAVYGSNNAEQSYFAVIANGITVLQNFSASITCKALTQAYIMREYFLAPLNKDSLEVTFSPSAGFAFVNGIELIGTPDMFGSATIVGASDQAFDGRSTNLQTMFRVNIGGQFISPTNDSGALTRTWYDDFAYVFGAQLGVTNEAAKDVKIDYKDMPGYIAPVDIYKTSRSMGEKKDINLSYNLTWVFNQVDPKFMYLVRLHFCDFYLSKTNQIVFTVYINNQTAEAEADVIGWTGGKGVPTYRDYVVAFKDGSDTNLWLALHPAMKSRPEFYDALINGVEIFKLEQGKTLAGPNPNPSEMLAKDQERERSFENQQQQQQGGSGTNKAHVIGGAAGGAAAFGIVAALCIAVYQRKKKALGTETHTSSWLPLYGNSHTSGTKSTISGKSTASSHLTTAAQGRCRRFSFIEMKQATKSFDESNVIGVGGFGKVYKGVIDAGMKVAIKRSNPQSEQGVHEFQTEIEMLSELRHKHLVSLIGFCDEDNEMCLVYDFMSRGTLREHLYKGNKSQAQLSWKKRLEICIGAAKGLHYLHTGARWTIIHRDVKTTNILLDENWEAKVSDFGLSKTGPNMDTGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCARPALNPSLPKEQVSLADWALHCQRKGTLEDIIDPHLKGKVNMESIKKFADTAEKCLSDAGTDRPTLNDILWNLEFALQLQDDTDGSNHSSRRARSDSDDAHLRNQNIMAMHYSNLSLGSESDLNEESNNTTNSNETTSDSSAIFSQIVNPKGR >ONH99742 pep chromosome:Prunus_persica_NCBIv2:G6:3383677:3386253:-1 gene:PRUPE_6G047100 transcript:ONH99742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELAVLPSSSVLFSSLESLRDGKPLLLHRNPLSPLFLSLLRCERFVRSEILFIVLIVLCLGSSMSIWRLLLFYGAICFSFCFSDAEFGWPDCGAMMEEVGLLLEIIWRLRLYRSGVCWSSSSDRTPAFSLFQSLPLTDLC >ONH99743 pep chromosome:Prunus_persica_NCBIv2:G6:3383630:3386253:-1 gene:PRUPE_6G047100 transcript:ONH99743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELAVLPSSSVLFSSLESLRDGKPLLLHRNPLSPLFLSLLRCERFVRSEILFIVLIVLCLGSSMSIWRLLLFYGAICFSFCFSDAEFGWPDCGAMMEEVGLLLEIIWRLRLYRSGVCWSSSSDRTPAFSLFQSLPLTDLC >ONH99071 pep chromosome:Prunus_persica_NCBIv2:G6:701409:704357:1 gene:PRUPE_6G009000 transcript:ONH99071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGVQSCNGGLQLSNDVKKNDNGDVDLVEEFDSYWQDINYRLTVSRVVSDTVIKGMVQEAAEKIADKELEVTKLKEMLHVYHEDVENEFLASLAISQESNRLVKQGTKDKIHPSSLEAILEYDRIEQSLSSLRGATKEEFKKLEAEIDSIRGSSVKIISELLGLSDILQHKVSDRWGIGVDRTLNCLKSAIETGFQQVEQMVRLSKADVREWQQEQELKAEIEAFVMRNCIWRFEEKIWDQFYSDKNVNGHGRMKEWISGLQQELDAISISLSVSDFGQLFSHVSLEGDEESNNFKKGDRPHRKVLNDLKSSSPSPATSSPTSTSSPSSSSSSWEENGAHDKSEINMSRDELINYSNTEMTELKRNRESKMQDMTEQLFSLRRELLKERGSSLPSKKNKEFDMLRRRISEVISKLDDILVENEPKATFGIDEESLSRLKDRLESLLSENASSEICSQIRRGKLSAFHYKFLKLQRKCQSTMVDLLASSFWQKQRSDWNKMQQLPWKRIRSDMN >ONH99944 pep chromosome:Prunus_persica_NCBIv2:G6:4108449:4109856:-1 gene:PRUPE_6G059200 transcript:ONH99944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGESSGAKPSCEIWAKLVPSDSRYPDVEISSDEIVICSEILFSSTDKWKWCKITRSSDHSSATIQNKSSNAIFVDGTVIQAEDTVVIRCGSEITSGPDKEGYLSYRFNVLPGPETCQKQLKISMDVEHAKCCICLNIWHEVVTVSPCFHNFCNGCFSEWLRRSQEKRSSVLCPQCRAIVQFVGRNHFLLNIAEEILKADSSLKRSDEEVAILNSYATIRSNLVVSSGKSLRGKRARSDVNEAVDDSPLPCPQCGTEFGGFRCNQNTIHLQCQACGGMMPSRTNISVPQHCSGCDKAFCGAYWNAQRVGHSDSHRMCSRETFKPISEHTISRIPTSAHENNRHEQVITEKCIAQLGRTLQDVIAEWIAKLNNREIDRTRMPLNHAEMITSGTPTCNNCYEKLISFLLYWFRISIPKNHLPPEASNRENCWYGYACRTQHHNEEHARKRNHVCRPTKGSNM >ONI04789 pep chromosome:Prunus_persica_NCBIv2:G6:29389347:29390405:1 gene:PRUPE_6G339600 transcript:ONI04789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAVVLHALNNLTVTRFVEDATSFEKCSKECFGALDADGKGGLSREKLRAGFGKLLPGIGYVSQPKDEINVLHDAIFKRFDADQNGVIDCHEFKSLLMETMLAVARGIGGSPVLVALEHGSLLRKAAEHEQARTSN >ONI00234 pep chromosome:Prunus_persica_NCBIv2:G6:5284318:5286509:1 gene:PRUPE_6G077300 transcript:ONI00234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKEDNKTSAQPNLSNSEPDAENAYASFQGLLALARITGSNADEARGACKRCGRVGHLNFQCRNFLSVKEDKDKDPDAIQADVASELGKLKRKLGRTNGKNVAESEESEDEDEDSESSDSDYDSEIEKIIAQRNGKRAVRKDSKKKNKDSDGDVSDTDSGERKKRGRSKKRSSKRKYNDDSDDSDECRKKRRKEKRRKRDESSEEDGERRRRHRKSRKEKRRRRSHRYSDDSESDSSEDSHSRKHRSRRSRKAVTPSDSDDDSRVGRGRKRSEKKSRKRHHEDDE >ONI03672 pep chromosome:Prunus_persica_NCBIv2:G6:25904997:25907612:1 gene:PRUPE_6G274200 transcript:ONI03672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSEVKKDQQLEDKEIPTKATLMEEIRQRMGGVKRTVDVCIYKVPSKLRKVNEDAYNPRVVSIGPYHQENPDLVDAMKEHKWRYMTSFLQQTNIPQESKECLERCVDAIYELCGEARQCYSETIKYNENELAKIMLLDGCFILELFVRCHANVEVNEDGQPDPVRKSAWMITALQHDLGLLENQIPFFILVRLYEIVKPRATKNYSVASLALKFFDPLSRKPRPEEKDQLGTDFKHLLDLLHKFYFLTAVSVPSVVLNNITEEQTSSCPSEPDYTSSNKWGFKYCTSELLEAAIEFQIPAWDDNKLLNINFDNGVLSIPQLIITDASSSVLRNLIAFEQYGVSSTNGVTSYAFLLQSLISNTSDFKLLLDKEIIAHNRFSDPEFLSEFETIVKDVVPKDDFYFAKLRDQVDKYKTPWYHFNKLKVFFVVQLQSEIMIVWKNRFTAKWSFLSVLSALALLILAFLQTYYTMHDPSS >ONI03673 pep chromosome:Prunus_persica_NCBIv2:G6:25905849:25907682:1 gene:PRUPE_6G274200 transcript:ONI03673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSEVKKDQQLEDKEIPTKATLMEEIRQRMGGVKRTVDVCIYKVPSKLRKVNEDAYNPRVVSIGPYHQENPDLVDAMKEHKWRYMTSFLQQTNIPQESKECLERCVDAIYELCGEARQCYSETIKYNENELAKIMLLDGCFILELFVRCHANVEVNEDGQPDPVRKSAWMITALQHDLGLLENQIPFFILVRLYEIVKPRATKNYSVASLALKFFDPLSRKPRPEEKDQLGTDFKHLLDLLHKFYFLTAVSVPSVVLNNITEEQTSSCPSEPDYTSSNKWGFKYCTSELLEAAIEFQIPAWDDNKLLNINFDNGVLSIPQLIITDASSSVLRNLIAFEQYGVSSTNGVTSYAFLLQSLISNTSDFKLLLDKEIIAHNRFSDPEFLSEFETIVKDVVPKDDFYFAKLRDQVDKYKTPWYHFNKLKVFFVVQLQSEIMIVWKNRFTAKWSFLSVLSALALLILAFLQTYYTMHDPSS >ONI04686 pep chromosome:Prunus_persica_NCBIv2:G6:29070846:29076816:-1 gene:PRUPE_6G334600 transcript:ONI04686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIASDLKMSETKQSCLGQAYEALHAQASSILHFGVQWKELEDHFESTRNSLQTRLRELENREKKIDDREKRLGALELNFDSEMASKAEKLRGVEKSIEEVESGKHHFNLQSLKLLIEEHNEELDVKEKRFSELQRLVGEKERECDLIDKRVKERTKKLNWVMKCVEERSREVESKKGEVEVVQGVLNKCREDIELQERQLNWMMGMIEERQKVYNLREEQINAAQIYIEECDDKMKLKMEELRLVQRSLEECSNTLESKENIIREMELKLRDFYLLKKSMEEWSSELEFKERELEGWFEKLELKEKQFEPQLEELHLMDKRINECLNEVQLQEKHLDSLQKSIQEREKNLDSLSYGLKLKERQLEQLAKELELKQKEVDWIRKSTETNTKKMRLKKKTNILDSQAKIEQLEHTPGNNATVPFSKSIQSRIYRNGRDLQLFLNEHLKSHDLLGTEISAILQASSDPAKLVLDAMQGFYPSNSVVENWECDFDLSVIRRSCILLLQELKRVSPQINPQVRGESKKLAGNWKDRMIVVVENWLEVLGFLLLLTTYDLTSTYDENELQSLLVVVSQHSLATELRQALGISESSIISSPVKIGEPISSLAKNGATCSLNLQPGAATDARNLQGFLNEHLNGNHSIQKEMSAALQTSSDPAKLVLDEIQTSFAQYWRKGDVGFDETFMFSNIALLEELMRVSRHVGPHLKEDAIKLAEQWKAKMRADTQNSLESLGFLQFVATYGLLPTLNGDEIKKLLGMIYQHKQALELCLTLGFADKIPDFIQNLIERKQLFEAFRFICTFKVNDKFSSVPLLKEYVEGARKSYRTTWRKKKSLDGKNEVVDHQIADLRAVIQCIEDYHLDSEYPSKDIEIQIVQLEKMKENWRKMAKSLGSKAEQEEKSLGFKAEQEEKSLGSKDGQGERSLAATQVEQEDKPLASKEKKPSISTSVSKVEQEDKSLASQEKKPSTSNSVSKVEQEDKSLASQQKKCSSIISASKVEQEDKSLASQKKKRSTRTSASKADQEEKKEKKCSASTPAASKAEQEEKKEKKCSTSTSSASKVEQEEKKEKKRSTSSCSSSKFEQRQQSKYKRPRTSATPYALPTFPRGYLQPSSSLLPKGNYGHHGHF >ONI00565 pep chromosome:Prunus_persica_NCBIv2:G6:6618649:6624955:1 gene:PRUPE_6G095300 transcript:ONI00565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISHLHCLQSVRVSFPCRPSVSNNYCYGRDNFTRKSSSLVYASTNNLDRDLHLQSKVETVLDSVKWDNRGLAVAIAQNVDTGAILMQGFANREAVATTVSSRKATFYSRSRSMLWTKGETSNNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGSETCYYTPVFDLLENQQDKEDKLALTTLYSLESTIAQRKGELEVPQSGKPSWTRRLLLDEKLLCSKIREEADELCRTLEDNEDKPRAASEMADVLYHAMVLLALKDVKMEEVLDILRRRFSQSGIEEKRSRNSQS >ONI00564 pep chromosome:Prunus_persica_NCBIv2:G6:6618649:6624955:1 gene:PRUPE_6G095300 transcript:ONI00564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISHLHCLQSVRVSFPCRPSVSNNYCYGRDNFTRKSSSLVYASTNNLDRDLHLQSKVETVLDSVKWDNRGLAVAIAQNVDTGAILMQGFANREAVATTVSSRKATFYSRSRSMLWTKGETSNNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGSETCYYTPVFDLLENQQDKEDKLALTTLYSLESTIAQRKGELEVPQSGKPSWTRRLLLDEKLLCSKIREEADELCRTLEDNEDKPRAASEMADVLYHAMVLLALKDVKMEEVLDILRRRFSQSGIEEKRSRNSQS >ONI01525 pep chromosome:Prunus_persica_NCBIv2:G6:11669460:11671833:1 gene:PRUPE_6G144000 transcript:ONI01525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSNHENAAGPLTRLWGKIVGFALKLKKLGKDDPRRIIHSFKMGLALTLVSIFYYFKPLYEGFGLAAMWAILTVVVVFEFTVGSTLGRGLNRMLATLTAAALGVGAHRLATLSGETGEPILIALFVFIIAGIVTFLRFIPQIKARYDYGMLIFLLTFCLISVSGYRDEEVIEMAFERLSTIVIGSCTSVIVCIFICPVWIGVDLHNQIATNIEKLGNFLEGYGEEYFKVSEEGQPRDKSSILDGYKSVLSSSSKEETMANLARWEPRHGKFRFRHPWKQYLKVGSITRQCAFKIEALNSYLISEIQSPPEVRSIIQEASTAVSSECGKALKELASALRKMTKSSAAERHIANSKDAAENLKSVIRSSLSKHDDILQIIPAGAVASLLCEVVKCTEEIADAAHKLASLAHFKNAKPKVTPEQKELPSQGIVQPVSGIDGMHHVITINEPSQSLQENRNLPPAMAPRMEV >ONI02861 pep chromosome:Prunus_persica_NCBIv2:G6:22965679:22968641:-1 gene:PRUPE_6G225200 transcript:ONI02861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQFQKKTTKNTESVCSKPSCFFCTMNEPDPSLRKARIIKAFQDLPLRNDQEHVLALSSLWNIAMMQPNDPEFPSLGIFECMAKLIHKGINDKKWLLSDQNIYIPYYAAHTIGSYTMNKVRFAEKAVESGVVFPLIELMRGKITWVEQRVAVRALAHIASHEKIFEEAIGVNEVEIVELAMKIAMTCPKEVFDKFVGLEPSKRLKYHCDLVTRGLGGLEIEDRKAEEWASQLQCWSLNLLNRFACKEKSLNLICKREFLIDLCGMWGGLANPTSPAVIRLLRSLCQTKIGRQSVANLQEVIKALCNLSRSSDDWQLMAIDCLLLLLKDPETRHKAMETSVVFLVDLVELRSIGGRPKVGDTITQALLQDYHKIKYGDLKFQRKKVERALKETWDLKVDRKRREKLMSEQEIGERKSMVRQLKQEGNLNFWSGNIEKAVMEYTEALHMCPLKMRKDRLVLHSNRAQCYLLLRNPEAVISDTTIALCLSSAVSPHGKSLWRRSQAYDMKGLAKESLIDCVTFVHGRMKSGQTERAEIPSYAARMINKQMNKTWLFAPAKSRSFNNHEELLESHGLSRPTVVDETIAEKRWRKL >ONH99875 pep chromosome:Prunus_persica_NCBIv2:G6:3862660:3871134:1 gene:PRUPE_6G055000 transcript:ONH99875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDLQECERCLISSTKDSVMVVTKDEYEKCHSAHPIFFSNNGLTVFTLDRPGPNPVSDMLLYASDTYRSQRIQSLMHGQLVFRGFKRENKEERSFNCCWLKNSKSLPASIKMETYFMSQDLWDTVDSGFNNPENPTVEQLSVVAPIVMGTLIFQASLAITSPYTLLEQRNSFRRWVWLATLQKFTVSKGGVDAAAALSIYWCDLKDKVAGLALVQSPYGGTPLASDILRERQIVDEETRRIMELLICKLIKASVEHNAWELKQYIEEFYWESGKRVMLLGKARKRFFKLHLSVHLSLLIVRTSRDWDTVGYTSGFDTVCVPFSSLKPIFQARTVSDAPPFDPSNIVSLQLMFSKFEFDGKLNPTFVEGAFKLPLSSIRAYLKEPITPRFVHLGSAGATRPDRPGLDLSKQPPAVRLNKELDFILTFKLKVSY >ONI01797 pep chromosome:Prunus_persica_NCBIv2:G6:14569375:14570980:1 gene:PRUPE_6G159200 transcript:ONI01797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINIDYTSKLAMNSSGVDLDDDHASMEDPILHQISSYEYSSLWPNLPLPHHPLHQIPSSSTAPPPPPHHHQSIADHQMLLLAGGNNNNIFVELNEHEDDEEAGDGGGGEEELGAMKEMMYKIAAMQPVDIDPATIRRPKRRNVRISDDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQIRLLQSSSSSSALSNNNHQLHDHDPQSAAAHDDHDHGQCNINIINNINGSSSVGARGARLPLDWPRHNINVNHINTAQKPNNHHAAAAAAATTTTSSARNPRH >ONI04864 pep chromosome:Prunus_persica_NCBIv2:G6:29611500:29613292:1 gene:PRUPE_6G344600 transcript:ONI04864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSCHFIFFIICLSALSKTPFANIINVPLPVFRNSLHSTMELVQNVASVIPRIRVYADAQDDHDDHDRNLNIAISHCADVLGSTYEALNWSLSAIHHDPKGYTQYYRTNTDVISHARARLDANLGSQKTCMEVFDGTKYSMAAQSFKQVTTSTQELLSMLQVPPGRGRRAANAGLKFLQTPNVTVCKAGNGNFRTIMEAVAAAPNHSRDHFVIFVKKGFYKENVKIDSQKWNLVMIGEGMDVTTISGSRSFRDGWSTFDSPTFAVSAEGFIAMDMGFENAAGPEGLQAVALLSASDGAVFYRCKISGYQDSLCVHVGRQFYRDCQISGSVDFIFGYGTAVFQNCALIVRKNVIGKISVVTAHGRYALNDSSGFSFQSCKIYADPDFIGEAYLGRPWGKYSRTVFIQSYISNVIMPEGWLEFRGSFGTDTLYYGEYMNMGPGAELAGRVKWTGYHVIANPSEADWFTAAKFIDGNSWLPSLGIPYIQGLKP >ONI01837 pep chromosome:Prunus_persica_NCBIv2:G6:15144804:15149346:1 gene:PRUPE_6G162200 transcript:ONI01837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAVRDKLRGKIGQTKVKRYWPGKAPEWADDADEDGDIRMSAAASLEKAFPTEEYSDVVRKDDPRLRRLAESRIDNREDARADHRRIRQAEIVSTIEEEAKRQEGLEAEEEDADALEERRRRIKEKLRQREQEEAPLLSEDEEEVKEEEEEESEYDTDSEEELTGMVMLKPVFVPKSERDTIAERERLEAEERALEESRKRNLEERKRETKQIVVEEIRKDEEIQKGLEQEANIADIDTDDEVNEAEEYETWKAREIARIKRDREDREAMIKEKEEIERVRNMTEEERREWERKHPKAAPRPKQKWRFMQKYYHKGAFFQSEPDDYAATVGTDGIYTRDFSSPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNAKMAGMNTPIIKPKGSKKLKDWESR >ONI01839 pep chromosome:Prunus_persica_NCBIv2:G6:15145901:15149193:1 gene:PRUPE_6G162200 transcript:ONI01839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAVRDKLRGKIGQTKVKRYWPGKAPEWADDADEDGDIRMSAAASLEKAFPTEEYSDVVRKDDPRLRRLAESRIDNREDARADHRRIRQAEIVSTIEEEAKRQEGLEAEEEDADALEERRRRIKEKLRQREQEEAPLLSEDEEEVKEEEEEESEYDTDSEEELTGMVMLKPVFVPKSERDTIAERERLEAEERALEESRKRNLEERKRETKQIVVEEIRKDEEIQKGLEQEANIADIDTDDEVNEAEEYETWKAREIARIKRDREDREAMIKEKEEIERVRNMTEEERREWERKHPKAAPRPKQKWRFMQKYYHKGAFFQSEPDDYAATVGTDGIYTRDFSSPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNAKMAGMNTPIIKPKGSKKLKDWESR >ONI01838 pep chromosome:Prunus_persica_NCBIv2:G6:15144804:15149370:1 gene:PRUPE_6G162200 transcript:ONI01838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAVRDKLRGKIGQTKVKRYWPGKAPEWADDADEDGDIRMSAAASLEKAFPTEEYSDVVRKDDPRLRRLAESRIDNREDARADHRRIRQAEIVSTIEEEAKRQEGLEAEEEDADALEERRRRIKEKLRQREQEEAPLLSEDEEEVKEEEEEESEYDTDSEEELTGMVMLKPVFVPKSERDTIAERERLEAEERALEESRKRNLEERKRETKQIVVEEIRKDEEIQKGLEQEANIADIDTDDEVNEAEEYETWKAREIARIKRDREDREAMIKEKEEIERVRNMTEEERREWERKHPKAAPRPKQKWRFMQKYYHKGAFFQSEPDDYAATVGTDGIYTRDFSSPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNAKMAGMNTPIIKPKGSKKLKDWESR >ONI02325 pep chromosome:Prunus_persica_NCBIv2:G6:19815223:19819986:-1 gene:PRUPE_6G191100 transcript:ONI02325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTCHQLFINSPPRFTLYITTSLPKTPALLSLPITSRPHFPISNHSLPNPHNSTSLSSHHSRLRVYESDGTLQSNDVVNGAFNLDYFLTVAEFLCLASSAIVSVGFALNCAVLSLKKTALVAMGNSVLASGAVALVMAVGIGAWIRMRQWRRICRESVKGGLEVNLFERIEKLEEDLRSSATIIRVLSRQLEKLGIRFRVTRKALKEPIAETAALAQKNSEATRALAVQEDNLEKELGEIQKVLLAMQEQQQKQLELILAIATSGKLRESRQVRDQEQSTTIIRDSSEEDSKQKEAHQI >ONI02326 pep chromosome:Prunus_persica_NCBIv2:G6:19815871:19819788:-1 gene:PRUPE_6G191100 transcript:ONI02326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTCHQLFINSPPRFTLYITTSLPKTPALLSLPITSRPHFPISNHSLPNPHNSTSLSSHHSRLRVYESDGTLQSNDVVNGAFNLDYFLTVAEFLCLASSAIVSVGFALNCAVLSLKKTALVAMGNSVLASGAVALVMAVGIGAWIRMRQWRRICRESVKGGLEVNLFERIEKLEEDLRSSATIIRVLSRQLEKLGIRFRVTRKALKEPIAETAALAQKNSEATRALAVQEDNLEKELGEIQKVLLAMQNMLHLLSCAGAAAKTT >ONI03532 pep chromosome:Prunus_persica_NCBIv2:G6:25282178:25284786:1 gene:PRUPE_6G263000 transcript:ONI03532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYQVVSSPKNVVRFLTLLTITVFFHCSATAKTQNSLKRGSSLSVEDDSDFLTSPDESFTCGFYGVGTNAYWFSIWFTNSKSRSVVWMANRDKPVNSLGSRVSLRKDGSLVLTDVDGATVWQTSTNSSSLDVERAELLNSGNLVLKDAHGKILWQSFDFPTDTLLPNQPFTKSKKLISTLGRGTFGTGYFSFYFDNDNVLKLMYDGPDISSLYWPDPDYGVFLNGRTNYNSSRIAVLDDSGNFLSSDKLQFSASDMGVGVKRRLTMDYDGNLRLYSLNSLTGFWVITWEAMAELCKVHGICGRNGICIYTPKPKCSCPPGYDVVDTSNLNKGCKPKFNLTCSQSQQVKFVQIQQVDFYGFDLNYSEPISFDNCRKFCLEDCRCEAFSYRLTGEGRCYTKSALFNGYKSPNFPGSIYLRLPMSVEASLSTKLNASDACSRTNVTKVVVGSPSMYSIKRLRWVYMYWFAFAVGAVEILFILSAWWLLFRRRGAAAPIEDGYHVISSQFRMFHYPELKKATKNFKEELGRGASGAVYKGVLADERVVAVKKLADIYQGEDVFWAEVSTIGKINHMNLVRIWGFCSDDKHRLLVSEYVENGSLDKHLFPQNFLGWNERFKVAIGIAKGLAYLHHECLEWVIHCDVKPENILLDSNFEPKIADFGLAKLSQRGSLSSMFSRIRGTKGYMAPEWALNLPITAKVDVYSYGVLILEMVKGIRLSSWVVEDSDDQEAELTRFVRVAKKKIRCGEDQWIEDMLDPRLEGQFSRNQAAKMVEIGVSCVEEDRSKRPAMDSVVQELLECADESHAGSPHRK >ONI05172 pep chromosome:Prunus_persica_NCBIv2:G6:30388671:30390295:1 gene:PRUPE_6G359600 transcript:ONI05172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSRSHIEMVKRFKIWAYKEGEIPIFHNGPMSYIYSIEGHFIDELDTSGNSPFLARHHHEAHSFFVPVSVKRVADFLYDRPKPYTFHGRLVRIVTDYINVVAHKYPYWNRSNGADHFMLSCHDWAPEIIDDDHEFYKNFIRVLCNSNTSEGFQPGRDVSLPEYNIPENTLGPSLLHQHPDNRPILAFFAGGAHGDIRKFLFEHWKDKDDEIQVHEYLPKGQNYHQIMGQTKFCLCPSGTEVASPRVVEAMYAGCVPVLISDYYSLPFADVLDWSKFTIEIPPKRIPEIKAILKAVPHSEYLKLQKRVMQVRRHFMLNRPAKPFDVFHMVLHSIWLRRLNIRLPN >ONI05171 pep chromosome:Prunus_persica_NCBIv2:G6:30387324:30390247:1 gene:PRUPE_6G359600 transcript:ONI05171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFEFRSPYLLSVIFLLLILLLLVLIPFSPFNQNHFNLISLSSSSSSTPRQSNQTSQYQYVSPAPSPSTSIVADHVKKKKSSGITIEEELARARAAIRKAIRTNKYTSDRQEIYIPRGSVYRNPYAFHQSHIEMVKRFKIWAYKEGEIPIFHNGPMSYIYSIEGHFIDELDTSGNSPFLARHHHEAHSFFVPVSVKRVADFLYDRPKPYTFHGRLVRIVTDYINVVAHKYPYWNRSNGADHFMLSCHDWAPEIIDDDHEFYKNFIRVLCNSNTSEGFQPGRDVSLPEYNIPENTLGPSLLHQHPDNRPILAFFAGGAHGDIRKFLFEHWKDKDDEIQVHEYLPKGQNYHQIMGQTKFCLCPSGTEVASPRVVEAMYAGCVPVLISDYYSLPFADVLDWSKFTIEIPPKRIPEIKAILKAVPHSEYLKLQKRVMQVRRHFMLNRPAKPFDVFHMVLHSIWLRRLNIRLPN >ONI01194 pep chromosome:Prunus_persica_NCBIv2:G6:9821348:9825508:-1 gene:PRUPE_6G127100 transcript:ONI01194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAYILKLTRVLTLLFFIAFTLAHEATANKKHYIVYMGHHSHPNSESVIRANHEVLASVTGSIVRAQDAALHHYSKTFQGFSARLTPEQAQQLAESDSVVSVFESKTNRLSTTHSWDFLGLDSIPQYNQMPMDSKSNVIVGVIDTGVWPESESFSDKGLGPVPEKFKGECVTGENFTLANCNRKIIGSRFYVQGFEVENGPLESFAPLPFFRSARDSDGHGSHTGSTIAGSVVPNASFFGMARGTARGGAPSTRLAIYKACWFNLCSDADVLSAMDDAIYDGVDILSLSLGPDPPQPTYFENAISIGAFHAFHRGILVSASAGNSGFPSTACNVAPWILTVAASTLDREFHSNVYLGNSRILKGSSLNPLKMERSYGLIAASAAALPEVTAKNASFCKNNTLNASLIKGKIVVCTFETFTDNRTDKSRVVRQGGGVGMILVDPFLKDVGFQFVIPGTLIGQEEAQELQEYMMTEKNPVAIISPTITFLKTKPAPEMAVFSSMGPNIITPDIIKPDVTGPGVNVLAAWSPVATAATAEMSVNYNIISGTSMSCPHVSAVAAILKSYQPSWSPAAIMSAIMTTATVLDNSRSTIGRDPNGTPTTPFDYGSGHINPAAAIDPGLVYDFDSHDIINFLCSTGASPLQLKNLTGSLVYCQKSPTPSYNFNYPSIGVSKMNGRVSVHRTVTYYGKGSTVYVANVDYPAGVNVTVAPSKLKFTKTGEKMSFRVDFAAFKNSNGSFVFGALTWSNGIQKVRSPIGLNVISV >ONH99828 pep chromosome:Prunus_persica_NCBIv2:G6:3679172:3679663:1 gene:PRUPE_6G052200 transcript:ONH99828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAASSSSVLIVSSSNISNFLTIKLDRTNFPLWLAKIVPLLRSHNLLSFVDGSSICPAAFLTDAEGKLTKYQDQQVLSWLNSSLSSTVLSTVALSSSARTTWVSLENRYASQSHNRILQLRSDLMRTTRGDLSIADYLDMALGEIPWAELV >ONH99377 pep chromosome:Prunus_persica_NCBIv2:G6:2148576:2158418:-1 gene:PRUPE_6G027500 transcript:ONH99377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRPPQVGEAPMDAGDWRSQLQPDSRQRIVNKIMDTLKRHLPFSGHEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETKSQNTMANSLQSNSAGNSNRPPDPGSFGMQPQVPNQGQSLSMPLPANQSQARQQLLSQNIQNNIPAAGVQSSAGLSSALPPSSGLTQTPIPSIVGQNQNMQNMGQGVPSNMFATSQRQLPGRQQVVPQQQQQQQQQQQSQNSQQYMYHQQLQHQQHPLLKPKYQQGNIPQLVQQQQQQPNLLQPTQLQSSQQPVMQTSSVIQPSVVQSSLSSLQQNQQSAIQQSTPSMLQQHPQSVLRQPQQQQASVVHQQQTSMPQQPILPPQQQQQQQLMVQQSNATSLSQNQLIGQQNNVGDMQQQQQQQRLLSQQNNILNLQQQQQQQQQQQQQQQQLMAQQSNLSNMHQPQLGPQSNVTGLQQQQHLGTQSGNSSMQTNQHSVHLLQQSKVQGQQQQQHQSSSNLLPPQGQQSQPQASQQQLLSQIQSQPPQMQQLGLQQQSNPLQRDMQQRLQASGQVPGTMLQPQNVMDQQKQLYQSQRPLPETSSNSTAQTGHATGGDWQEEVFQKIKVMKEMYLPELSEMYQKIATKLQQHDSLPQQPKSEQLDKLKMFRTMLERLISVLQISKSSISPGLKDKLLLYEKQIVNFINTNRPRKPVSSLQQGQLPPPHMHSMQQSQSQMTQVQSHENQMNPQLQSMNLQGSAMPQSNMTSLQQSSMSALSGVSTAQQNMMNSLPPSSSMDSGQGNALNSLQQVPVGSNQQTPVSAPQQANMNALSSQSGVNMLQANMNSIQSTSGMLQHQHLKQQQEHQMFQNSLKQQFQHRQMQQQLMQKQQLLQHQQQQQQQQQQQQLQLQAKQQLPAQLQAHQQQMPQLHQMNDVNDLKMRQGMGVKQGVFQQHLSAGQRAYPHPQLKSGSPFPTNQLLQAASPQISQHSSPQVDQQNLLTHPKAGTPLQTASSPFVIPSPSTPMAPSPMPGDSEKPSSLSNAGNVGHQQTTGVGAQVQSLAIGTPGISASPLLAEFSVPDTHVNALSTISGKSSVTEQPLERLIKAVKSMSPNALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNVMTHDGTNGTRKMRRYTSAVPLNVVSSAGSMNDSFKQLTNSETSDLESTATSRIKRPRIEANHALLEEIREINRRLIDTVVNISDEDVDPSAAAAEGGEGTIVKCSFDAVALSPNLKSQYASAQMSPIQPLRLLVPMNYPNCSPILLDKFPVEVSKEYEDLSVKAKSKFSISLRSISQPMSLGEIARTWDVCARAVISEHAQQSGGGSFSSKYGTWENCLSAA >ONH99379 pep chromosome:Prunus_persica_NCBIv2:G6:2149170:2157627:-1 gene:PRUPE_6G027500 transcript:ONH99379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRPPQVGEAPMDAGDWRSQLQPDSRQRIVNKIMDTLKRHLPFSGHEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETKSQNTMANSLQSNSAGNSNRPPDPGSFGMQPQVPNQGQSLSMPLPANQSQARQQLLSQNIQNNIPAAGVQSSAGLSSALPPSSGLTQTPIPSIVGQNQNMQNMGQGVPSNMFATSQRQLPGRQQVVPQQQQQQQQQQQSQNSQQYMYHQQLQHQQHPLLKPKYQQGNIPQLVQQQQQQPNLLQPTQLQSSQQPVMQTSSVIQPSVVQSSLSSLQQNQQSAIQQSTPSMLQQHPQSVLRQPQQQQASVVHQQQTSMPQQPILPPQQQQQQQLMVQQSNATSLSQNQLIGQQNNVGDMQQQQQQQRLLSQQNNILNLQQQQQQQQQQQQQQQQLMAQQSNLSNMHQPQLGPQSNVTGLQQQQHLGTQSGNSSMQTNQHSVHLLQQSKVQGQQQQQHQSSSNLLPPQGQQSQPQASQQQLLSQIQSQPPQMQQLGLQQQSNPLQRDMQQRLQASGQVPGTMLQPQNVMDQQKQLYQSQRPLPETSSTSLDSTAQTGHATGGDWQEEVFQKVRFMKEMYLPELSEMYQKIATKLQQHDSLPQQPKSEQLDKLKMFRTMLERLISVLQISKSSISPGLKDKLLLYEKQIVNFINTNRPRKPVSSLQQGQLPPPHMHSMQQSQSQMTQVQSHENQMNPQLQSMNLQGSAMPQSNMTSLQQSSMSALSGVSTAQQNMMNSLPPSSSMDSGQGNALNSLQQVPVGSNQQTPVSAPQQANMNALSSQSGVNMLQANMNSIQSTSGMLQHQHLKQQQEHQMFQNSLKQQFQHRQMQQQLMQKQQLLQHQQQQQQQQQQQQLQLQAKQQLPAQLQAHQQQMPQLHQMNDVNDLKMRQGMGVKQGVFQQHLSAGQRAYPHPQLKSGSPFPTNQLLQAASPQISQHSSPQVDQQNLLTHPKAGTPLQTASSPFVIPSPSTPMAPSPMPGDSEKPSSLSNAGNVGHQQTTGVGAQVQSLAIGTPGISASPLLAEFSVPDTHVNALSTISGKSSVTEQPLERLIKAVKSMSPNALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNVMTHDGTNGTRKMRRYTSAVPLNVVSSAGSMNDSFKQLTNSETSDLESTATSRIKRPRIEANHALLEEIREINRRLIDTVVNISDEDVDPSAAAAEGGEGTIVKCSFDAVALSPNLKSQYASAQMSPIQPLRLLVPMNYPNCSPILLDKFPVEVSKEYEDLSVKAKSKFSISLRSISQPMSLGEIARTWDVCARAVISEHAQQSGGGSFSSKYGTWENCLSAA >ONH99378 pep chromosome:Prunus_persica_NCBIv2:G6:2148576:2158417:-1 gene:PRUPE_6G027500 transcript:ONH99378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNWRPPQVGEAPMDAGDWRSQLQPDSRQRIVNKIMDTLKRHLPFSGHEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLTMETKSQNTMANSLQSNSAGNSNRPPDPGSFGMQPQVPNQGQSLSMPLPANQSQARQQLLSQNIQNNIPAAGVQSSAGLSSALPPSSGLTQTPIPSIVGQNQNMQNMGQGVPSNMFATSQRQLPGRQQVVPQQQQQQQQQQQSQNSQQYMYHQQLQHQQHPLLKPKYQQGNIPQLVQQQQQQPNLLQPTQLQSSQQPVMQTSSVIQPSVVQSSLSSLQQNQQSAIQQSTPSMLQQHPQSVLRQPQQQQASVVHQQQTSMPQQPILPPQQQQQQQLMVQQSNATSLSQNQLIGQQNNVGDMQQQQQQQRLLSQQNNILNLQQQQQQQQQQQQQQQQLMAQQSNLSNMHQPQLGPQSNVTGLQQQQHLGTQSGNSSMQTNQHSVHLLQQSKVQGQQQQQHQSSSNLLPPQGQQSQPQASQQQLLSQIQSQPPQMQQLGLQQQSNPLQRDMQQRLQASGQVPGTMLQPQNVMDQQKQLYQSQRPLPETSSTSLDSTAQTGHATGGDWQEEVFQKIKVMKEMYLPELSEMYQKIATKLQQHDSLPQQPKSEQLDKLKMFRTMLERLISVLQISKSSISPGLKDKLLLYEKQIVNFINTNRPRKPVSSLQQGQLPPPHMHSMQQSQSQMTQVQSHENQMNPQLQSMNLQGSAMPQSNMTSLQQSSMSALSGVSTAQQNMMNSLPPSSSMDSGQGNALNSLQQVPVGSNQQTPVSAPQQANMNALSSQSGVNMLQANMNSIQSTSGMLQHQHLKQQQEHQMFQNSLKQQFQHRQMQQQLMQKQQLLQHQQQQQQQQQQQQLQLQAKQQLPAQLQAHQQQMPQLHQMNDVNDLKMRQGMGVKQGVFQQHLSAGQRAYPHPQLKSGSPFPTNQLLQAASPQISQHSSPQVDQQNLLTHPKAGTPLQTASSPFVIPSPSTPMAPSPMPGDSEKPSSLSNAGNVGHQQTTGVGAQVQSLAIGTPGISASPLLAEFSVPDTHVNALSTISGKSSVTEQPLERLIKAVKSMSPNALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNVMTHDGTNGTRKMRRYTSAVPLNVVSSAGSMNDSFKQLTNSETSDLESTATSRIKRPRIEANHALLEEIREINRRLIDTVVNISDEDVDPSAAAAEGGEGTIVKCSFDAVALSPNLKSQYASAQMSPIQPLRLLVPMNYPNCSPILLDKFPVEVSKEYEDLSVKAKSKFSISLRSISQPMSLGEIARTWDVCARAVISEHAQQSGGGSFSSKYGTWENCLSAA >ONI00282 pep chromosome:Prunus_persica_NCBIv2:G6:5453740:5455174:1 gene:PRUPE_6G080100 transcript:ONI00282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENFYRQGIRTPSAEQIQQLTSRLRAYGHIEGKNVFYWFQNHKARQRQKQKQESVAYLNPFFHMTSQSMFPPPPPPLNHHPCPNVMCSPYYIPVQNELGFYQQSPYPYKVPFPGGAKGKPRTEKSVKPRTTHTGGSGYEPMPHGYSTSGGGYMINDVANESTGRCDQETLPLFPLQPTGILQGREYQNPSCGSGTANYADNSTAALIGSNSEIVGDQPFYDFFSG >ONI03788 pep chromosome:Prunus_persica_NCBIv2:G6:26315742:26316630:1 gene:PRUPE_6G282100 transcript:ONI03788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPDPFWSSLAPNDQFQNDQLHDVLISDPKFAVHGEIMMLVLVLLFATLFILLLLFPYTKKCGGPNHDIQFKP >ONI05139 pep chromosome:Prunus_persica_NCBIv2:G6:30324947:30332870:1 gene:PRUPE_6G358100 transcript:ONI05139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPKHFGDRAYRGRPPELDDKLKKSKKKKERDPNAEPGPIRQSKRRRLREESVLTATEEGVYQPKTKETRAAYEAMLSVIQQQLGGQPSSIVSGAADEILAVLKNETFKNPDKKKEIEKMLNPIPNSVFDQLVSIGRLITDFQDGGDAGGSAVANGDEALDDDVGVAVEFEENEDDEDESDLDMVQEDEEEDDDDVAEPNHSGAMQMGGGIDDDEMQEANEGMSLNVQDINAYWLQRNISDAYEKQMDPQQCQKLAEEVLKILAEGDDREVETKLLVNLQFEKFSLIKFLLRNRLKIVWCTRLARAEDQDERNKIEEEMLRLGPELAAILEQLHATRASAKERQKIVEKNIREEARRLKDESGGDGDRARRGLVDRDVDSGWLKSQAQLLDLDSIAQEQSRLLVSKKCVLPDGSYRHPSKGYEEIHVPALKPRPFDPDERLVKISDMPEWAQPAFRGMNQLNRVQSRVYETALFRADNILLCAPTGAGKTNVAVLTILQQIALHMNKEDGSINHNDYKIVYVAPMKALVAEVVGNLSNRLKEYGVTVRELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKDHIRLVGLSATLPNYEDVALFLRVDLKRGLFYFDNSYRPVPLSQQYIGIMVRKPLQRFQLMNDLCYEKVMDVAGKHQVLIFVHSRKETAKTARAIRDTALAKDTLGRFLKEDSASREILTTHTDLVKSNDLKDLLPYGFAIHHAGLNRADRQLVEDLFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYDPEKGAWTELSPLDVMQMLGRAGRPQFDSYGEGIIITGHNELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACSWLGYTYLYIRMLRNPTLYGLEADVLKRDITLEERRADLIHSAATILDKSNLIKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELVKLLDRVPIPVKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVNKKMWSVQTPLRQFNGITNDILMKLEKKDLAWDRYYDLSSQELGELIRMPRMGRALHKFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEDKVHGYVEPFWVIVEDNDGEYVLHHEYFLLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDRWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPLYEALYQDFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAVLRNHQKGSDSVMRVVYIAPIEALAKERYRDWEKKFGKGLKLRIELLTGETATDAKLLEKGQIIISTPEKWDALSRRWKQRKPVQQVSLFIIDELHLIGGQGGPILEVIVSRMRYIASLSENKIRIVALSTSLANAKDLGEWIGASSHGLFNFPPGVRPVPLEIHIQGVDLANFEARMQAMAKPTYTAIVQHAKNGKPALVYVPTRKHVRLTAIDLMTYSTADGGEKSSFMLRPVEDIEPFVERISDEILRGTLRNGVGYLHEGLTSLDQEVVSQLFEAGWIQVCVMSSSMCWGVSLSAHLVVVMGTQYYDGRENVHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHYLHDNLNAEVVSGIIENKQDAVDYLTWTFLYRRLTQNPNYYNLQGVTQRHLSDHLSELVENTLTDLEASKCVAIEDDMDLSSLNLGMIAAYYYTNYTTIERFSSSLTSKTKMKGLLEILTHASEYSQLPIRPGEEEVLRRLINHQRFSFENPKCTDPHVKANALLQAHFARQHLGGNLALDQREVIISASRLLQAMVDVISSSGWLSLAILAMEVSQMVTQGMWERDSMLLQLPHFTKELAKRCQENPGKSIETVFDLFEMDDDERRELLQMSDKQLLDIALFCNRFPNIDLTHEVQNSDNIRAGGEISLQVTLERDLEGRTEVGTVNAPRYPKAKEEGWWLVVGDTKTNSLLAIKRFSFQRRTKVKLEFAAPAEAGEKNYILYFMCDSYLGCDQEYEFTVDVKDAAGPDEDSGGE >ONI05140 pep chromosome:Prunus_persica_NCBIv2:G6:30324933:30332939:1 gene:PRUPE_6G358100 transcript:ONI05140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPKHFGDRAYRGRPPELDDKLKKSKKKKERDPNAEPGPIRQSKRRRLREESVLTATEEGVYQPKTKETRAAYEAMLSVIQQQLGGQPSSIVSGAADEILAVLKNETFKNPDKKKEIEKMLNPIPNSVFDQLVSIGRLITDFQDGGDAGGSAVANGDEALDDDVGVAVEFEENEDDEDESDLDMVQEDEEEDDDDVAEPNHSGAMQMGGGIDDDEMQEANEGMSLNVQDINAYWLQRNISDAYEKQMDPQQCQKLAEEVLKILAEGDDREVETKLLVNLQFEKFSLIKFLLRNRLKIVWCTRLARAEDQDERNKIEEEMLRLGPELAAILEQLHATRASAKERQKIVEKNIREEARRLKDESGGDGDRARRGLVDRDVDSGWLKSQAQLLDLDSIAQEQSRLLVSKKCVLPDGSYRHPSKGYEEIHVPALKPRPFDPDERLVKISDMPEWAQPAFRGMNQLNRVQSRVYETALFRADNILLCAPTGAGKTNVAVLTILQQIALHMNKEDGSINHNDYKIVYVAPMKALVAEVVGNLSNRLKEYGVTVRELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKDHIRLVGLSATLPNYEDVALFLRVDLKRGLFYFDNSYRPVPLSQQYIGIMVRKPLQRFQLMNDLCYEKVMDVAGKHQVLIFVHSRKETAKTARAIRDTALAKDTLGRFLKEDSASREILTTHTDLVKSNDLKDLLPYGFAIHHAGLNRADRQLVEDLFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYDPEKGAWTELSPLDVMQMLGRAGRPQFDSYGEGIIITGHNELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACSWLGYTYLYIRMLRNPTLYGLEADVLKRDITLEERRADLIHSAATILDKSNLIKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELVKLLDRVPIPVKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVNKKMWSVQTPLRQFNGITNDILMKLEKKDLAWDRYYDLSSQELGELIRMPRMGRALHKFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEDKVHGYVEPFWVIVEDNDGEYVLHHEYFLLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDRWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPLYEALYQDFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAVLRNHQKGSDSVMRVVYIAPIEALAKERYRDWEKKFGKGLKLRIELLTGETATDAKLLEKGQIIISTPEKWDALSRRWKQRKPVQQVSLFIIDELHLIGGQGGPILEVIVSRMRYIASLSENKIRIVALSTSLANAKDLGEWIGASSHGLFNFPPGVRPVPLEIHIQGVDLANFEARMQAMAKPTYTAIVQHAKNGKPALVYVPTRKHVRLTAIDLMTYSTADGGEKSSFMLRPVEDIEPFVERISDEILRGTLRNGVGYLHEGLTSLDQEVVSQLFEAGWIQVCVMSSSMCWGVSLSAHLVVVMGTQYYDGRENVHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHYLHDNLNAEVVSGIIENKQDAVDYLTWTFLYRRLTQNPNYYNLQGVTQRHLSDHLSELVENTLTDLEASKCVAIEDDMDLSSLNLGMIAAYYYTNYTTIERFSSSLTSKTKMKGLLEILTHASEYSQLPIRPGEEEVLRRLINHQRFSFENPKCTDPHVKANALLQAHFARQHLGGNLALDQREVIISASRLLQAMVDVISSSGWLSLAILAMEVSQMVTQGMWERDSMLLQLPHFTKELAKRCQENPGKSIETVFDLFEMDDDERRELLQMSDKQLLDIALFCNRFPNIDLTHEVQNSDNIRAGGEISLQVTLERDLEGRTEVGTVNAPRYPKAKEEGWWLVVGDTKTNSLLAIKRFSFQRRTKVKLEFAAPAEAGEKNYILYFMCDSYLGCDQEYEFTVDVKDAAGPDEDSGGE >ONI04753 pep chromosome:Prunus_persica_NCBIv2:G6:29291433:29309475:-1 gene:PRUPE_6G338000 transcript:ONI04753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRYPAVKDGAEHAIIKLRALSSPGEIAQNEDILKIFLMACEVKTVKLSVIGLSCLQKLISHDAVAPSALNEILSTLKDHAEMTDESVQLKTLQTVLIILQSPLHPETEDNMAQALGICLRLLENNRSSDSVRNTAAATFRQAVALIFDHVVCAETLPSGKLSSGGYISRTSPVSGDVSCSINLSESLDKSLYGRSSLMRETLTKAGKLGLRLLEDLTALAAGGSAIWLRVGSLQRSFALDILEFVLSNYVAVFRTLLPYEQVLQHQICSLLMTSLRTNAELEGEAGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLVKVTFLDLPLWHRILVLEILRGFCVDARTLRILFVNFDMHPKNTNVVEGMVKALARVVSSVQVQETSEESLAAVAGMFNSKAKGIEWSLDNDASNAAVLVASEAHSITLAVEGLLGVVFTVATLTDEAVDSGEIESPRYDYDPPAKCTGNTALLCLSMVDSLWLTILDALSFILSRSQGEAIVLEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTINFPIEAERRSILQSPGSKRSEPLVDQRESVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEVSTAVPKLTRESSGQSSDLNILSSLNSQLFESSALMHISAVKSLLSALCQLSQQCMAGITTGSVPTSSQKVGSINFSVERMISILVNNLHRVEPLWDQVVGHFLELADKSNQHLRNMALDALDESICAVLGSDQFQDNVTTRSRASQSMETGLAQLGSLECAVISPLRVLYLSTQSVDVRAGSLKILLHVLERHGEKLLYSWPDILEMLRSVADSSEKELVTLGFQSLRVIMNDGLSIIPADCLHVCVDVTGAYSAQKTELNISLTAIGLLWTTTDFIAKGLIHGPGEEKETGISDVHPILKQLNGENPKEETFDVSDNVNDQAPSINIVDRDRLLFSAFSLLQKLGADERPEVRNSAIRTLFQTLGSHGQKLSKSMWEDCLWNYVFPTLDRASHMAETSSKDEWHGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRSFFPFLRSLSNFWSGWESLLLFVKNSILNGSKEVAIAAINCLQTPVLSHSSKGNLPRPYLESILDAYEVVLQTSTHLSDNAAIKVKQEILHSLGELHVQAQRMFDDRLYKQLLAIIGSAVKQAIIINDSSETEFGHVPLVLRTVLEILPMLRPTEHISSVWLNLIRDFLQYLPRLSSAVQNEEDDAEEASTSDQVPDDHLRIKHETPNGTDSISSNRVEGSPSSGLKTSVTAGIPNYMFAEKLVPLLVDLFLQAPAVEKYILYPEIIQSLGRCMTTRRDNPDGALWRLAVEGFNRVLVDDARNSAINAGLDSGASKPERTRIWKEVADVYEVFLVGYCGRALPSDSFSTVDVKTDESLEMTVLDILGDKILKSPIDAPFDILQRLVSTLDRCASRTCSLPVDFVELMPSHCSRFSLTCLQKLFSLSSYDSKSNDWNSARYEVSKIAIMVLITRCEYILSRFLIDENDLGGRPLPSARLEEIIYVLEELAHLIIHSDTALVLPLQPHLKSALEKEKNHDTRPHLVVLFPSLSELVVSREARIRGSVQVLFRLIAKELGLNRVSISSENAEEFIPSTHQP >ONI04756 pep chromosome:Prunus_persica_NCBIv2:G6:29291384:29309527:-1 gene:PRUPE_6G338000 transcript:ONI04756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRYPAVKDGAEHAIIKLRALSSPGEIAQNEDILKIFLMACEVKTVKLSVIGLSCLQKLISHDAVAPSALNEILSTLKDHAEMTDESVQLKTLQTVLIILQSPLHPETEDNMAQALGICLRLLENNRSSDSVRNTAAATFRQAVALIFDHVVCAETLPSGKLSSGGYISRTSPVSGDVSCSINLSESLDKSLYGRSSLMRETLTKAGKLGLRLLEDLTALAAGGSAIWLRVGSLQRSFALDILEFVLSNYVAVFRTLLPYEQVLQHQICSLLMTSLRTNAELEGEAGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLVKVTFLDLPLWHRILVLEILRGFCVDARTLRILFVNFDMHPKNTNVVEGMVKALARVVSSVQVQETSEESLAAVAGMFNSKAKGIEWSLDNDASNAAVLVASEAHSITLAVEGLLGVVFTVATLTDEAVDSGEIESPRYDYDPPAKCTGNTALLCLSMVDSLWLTILDALSFILSRSQGEAIVLEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTINFPIEAERRSSILQSPGSKRSEPLVDQRESVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEVSTAVPKLTRESSGQSSDLNILSSLNSQLFESSALMHISAVKSLLSALCQLSQQCMAGITTGSVPTSSQKVGSINFSVERMISILVNNLHRVEPLWDQVVGHFLELADKSNQHLRNMALDALDESICAVLGSDQFQDNVTTRSRASQSMETGLAQLGSLECAVISPLRVLYLSTQSVDVRAGSLKILLHVLERHGEKLLYSWPDILEMLRSVADSSEKELVTLGFQSLRVIMNDGLSIIPADCLHVCVDVTGAYSAQKTELNISLTAIGLLWTTTDFIAKGLIHGPGEEKETGISDVHPILKQLNGENPKEETFDVSDNVNDQAPSINIVDRDRLLFSAFSLLQKLGADERPEVRNSAIRTLFQTLGSHGQKLSKSMWEDCLWNYVFPTLDRASHMAETSSKDEWHGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRSFFPFLRSLSNFWSGWESLLLFVKNSILNGSKEVAIAAINCLQTPVLSHSSKGNLPRPYLESILDAYEVVLQTSTHLSDNAAIKVKQEILHSLGELHVQAQRMFDDRLYKQLLAIIGSAVKQAIIINDSSETEFGHVPLVLRTVLEILPMLRPTEHISSVWLNLIRDFLQYLPRLSSAVQNEEDDAEEASTSDQVPDDHLRIKHETPNGTDSISSNRVEGSPSSGLKTSVTAGIPNYMFAEKLVPLLVDLFLQAPAVEKYILYPEIIQSLGRCMTTRRDNPDGALWRLAVEGFNRVLVDDARNSAINAGLDSGASKPERTRIWKEVADVYEVFLVGYCGRALPSDSFSTVDVKTDESLEMTVLDILGDKILKSPIDAPFDILQRLVSTLDRCASRTCSLPVDFVELMPSHCSRFSLTCLQKLFSLSSYDSKSNDWNSARYEVSKIAIMVLITRCEYILSRFLIDENDLGGRPLPSARLEEIIYVLEELAHLIIHSDTALVLPLQPHLKSALEKEKNHDTRPHLVVLFPSLSELVVSREARIRGSVQVLFRLIAKELGLNRVSISSENAEEFIPSTHQP >ONI04754 pep chromosome:Prunus_persica_NCBIv2:G6:29291398:29309475:-1 gene:PRUPE_6G338000 transcript:ONI04754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRYPAVKDGAEHAIIKLRALSSPGEIAQNEDILKIFLMACEVKTVKLSVIGLSCLQKLISHDAVAPSALNEILSTLKDHAEMTDESVQLKTLQTVLIILQSPLHPETEDNMAQALGICLRLLENNRSSDSVRNTAAATFRQAVALIFDHVVCAETLPSGKLSSGGYISRTSPVSGDVSCSINLSESLDKSLYGRSSLMRETLTKAGKLGLRLLEDLTALAAGGSAIWLRVGSLQRSFALDILEFVLSNYVAVFRTLLPYEQVLQHQICSLLMTSLRTNAELEGEAGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLVKVTFLDLPLWHRILVLEILRGFCVDARTLRILFVNFDMHPKNTNVVEGMVKALARVVSSVQVQETSEESLAAVAGMFNSKAKGIEWSLDNDASNAAVLVASEAHSITLAVEGLLGVVFTVATLTDEAVDSGEIESPRYDYDPPAKCTGNTALLCLSMVDSLWLTILDALSFILSRSQGEAIVLEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTINFPIEAERRSSILQSPGSKRSEPLVDQRESVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEVSTAVPKLTRESSGQSSDLNILSSLNSQLFESSALMHISAVKSLLSALCQLSQQCMAGITTGSVPTSSQKVGSINFSVERMISILVNNLHRVEPLWDQVVGHFLELADKSNQHLRNMALDALDESICAVLGSDQFQDNVTTRSRASQSMETGLAQLGSLECAVISPLRVLYLSTQSVDVRAGSLKILLHVLERHGEKLLYSWPDILEMLRSVADSSEKELVTLGFQSLRVIMNDGLSIIPADCLHVCVDVTGAYSAQKTELNISLTAIGLLWTTTDFIAKGLIHGPGEEKETGISDVHPILKQLNVDRDRLLFSAFSLLQKLGADERPEVRNSAIRTLFQTLGSHGQKLSKSMWEDCLWNYVFPTLDRASHMAETSSKDEWHGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRSFFPFLRSLSNFWSGWESLLLFVKNSILNGSKEVAIAAINCLQTPVLSHSSKGNLPRPYLESILDAYEVVLQTSTHLSDNAAIKVKQEILHSLGELHVQAQRMFDDRLYKQLLAIIGSAVKQAIIINDSSETEFGHVPLVLRTVLEILPMLRPTEHISSVWLNLIRDFLQYLPRLSSAVQNEEDDAEEASTSDQVPDDHLRIKHETPNGTDSISSNRVEGSPSSGLKTSVTAGIPNYMFAEKLVPLLVDLFLQAPAVEKCMTTRRDNPDGALWRLAVEGFNRVLVDDARNSAINAGLDSGASKPERTRIWKEVADVYEVFLVGYCGRALPSDSFSTVDVKTDESLEMTVLDILGDKILKSPIDAPFDILQRLVSTLDRCASRTCSLPVDFVELMPSHCSRFSLTCLQKLFSLSSYDSKSNDWNSARYEVSKIAIMVLITRCEYILSRFLIDENDLGGRPLPSARLEEIIYVLEELAHLIIHSDTALVLPLQPHLKSALEKEKNHDTRPHLVVLFPSLSELVVSREARIRGSVQVLFRLIAKELGLNRVSISSENAEEFIPSTHQP >ONI04757 pep chromosome:Prunus_persica_NCBIv2:G6:29291943:29309320:-1 gene:PRUPE_6G338000 transcript:ONI04757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRYPAVKDGAEHAIIKLRALSSPGEIAQNEDILKIFLMACEVKTVKLSVIGLSCLQKLISHDAVAPSALNEILSTLKDHAEMTDESVQLKTLQTVLIILQSPLHPETEDNMAQALGICLRLLENNRSSDSVRNTAAATFRQAVALIFDHVVCAETLPSGKLSSGGYISRTSPVSGDVSCSINLSESLDKSLYGRSSLMRETLTKAGKLGLRLLEDLTALAAGGSAIWLRVGSLQRSFALDILEFVLSNYVAVFRTLLPYEQVLQHQICSLLMTSLRTNAELEGEAGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLVKVTFLDLPLWHRILVLEILRGFCVDARTLRILFVNFDMHPKNTNVVEGMVKALARVVSSVQVQETSEESLAAVAGMFNSKAKGIEWSLDNDASNAAVLVASEAHSITLAVEGLLGVVFTVATLTDEAVDSGEIESPRYDYDPPAKCTGNTALLCLSMVDSLWLTILDALSFILSRSQGEAIVLEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTINFPIEAERRSSILQSPGSKRSEPLVDQRESVVLTPKNVQNSTCSCYSGFKNSLQHCSSTAQCIGSILGFGIRNPSSSRPSNPFTTCHHTVLFCQEVSTAVPKLTRESSGQSSDLNILSSLNSQLFESSALMHISAVKSLLSALCQLSQQCMAGITTGSVPTSSQKVGSINFSVERMISILVNNLHRVEPLWDQVVGHFLELADKSNQHLRNMALDALDESICAVLGSDQFQDNVTTRSRASQSMETGLAQLGSLECAVISPLRVLYLSTQSVDVRAGSLKILLHVLERHGEKLLYSWPDILEMLRSVADSSEKELVTLGFQSLRVIMNDGLSIIPADCLHVCVDVTGAYSAQKTELNISLTAIGLLWTTTDFIAKGLIHGPGEEKETGISDVHPILKQLNGENPKEETFDVSDNVNDQAPSINIVDRDRLLFSAFSLLQKLGADERPEVRNSAIRTLFQTLGSHGQKLSKSMWEDCLWNYVFPTLDRASHMAETSSKDEWHGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRSFFPFLRSLSNFWSGWESLLLFVKNSILNGSKEVAIAAINCLQTPVLSHSSKGNLPRPYLESILDAYEVVLQTSTHLSDNAAIKVKQEILHSLGELHVQAQRMFDDRLYKQLLAIIGSAVKQAIIINDSSETEFGHVPLVLRTVLEILPMLRPTEHISSVWLNLIRDFLQYLPRLSSAVQNEEDDAEEASTSDQVPDDHLRIKHETPNGTDSISSNRVEGSPSSGLKTSVTAGIPNYMFAEKLVPLLVDLFLQAPAVEKYILYPEIIQSLGRCMTTRRDNPDGALWRLAVEGFNRVLVDDARNSAINAGLDSGASKPERTRIWKEVADVYEVFLVGYCGRALPSDSFSTVDVKTDESLEMTVLDILGDKILKSPIDAPFDILQRLVSTLDRCASRTCSLPVDFVELMPSHCSRFSLTCLQKLFSLSSYDSKSNDWNSARYEVSKIAIMVLITRCEYILSRFLIDENDLGGRPLPSARLEEIIYVLEELAHLIIHSDTALVLPLQPHLKSALEKEKNHDTRPHLVVLFPSLSELVVSREARIRGSVQVLFRLIAKELGLNRVSISSENAEEFIPSTHQP >ONI04758 pep chromosome:Prunus_persica_NCBIv2:G6:29291433:29309475:-1 gene:PRUPE_6G338000 transcript:ONI04758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRYPAVKDGAEHAIIKLRALSSPGEIAQNEDILKIFLMACEVKTVKLSVIGLSCLQKLISHDAVAPSALNEILSTLKDHAEMTDESVQLKTLQTVLIILQSPLHPETEDNMAQALGICLRLLENNRSSDSVRNTAAATFRQAVALIFDHVVCAETLPSGKLSSGGYISRTSPVSGDVSCSINLSESLDKSLYGRSSLMRETLTKAGKLGLRLLEDLTALAAGGSAIWLRVGSLQRSFALDILEFVLSNYVAVFRTLLPYEQVLQHQICSLLMTSLRTNAELCLMLNQLEGEAGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLVKVTFLDLPLWHRILVLEILRGFCVDARTLRILFVNFDMHPKNTNVVEGMVKALARVVSSVQVQETSEESLAAVAGMFNSKAKGIEWSLDNDASNAAVLVASEAHSITLAVEGLLGVVFTVATLTDEAVDSGEIESPRYDYDPPAKCTGNTALLCLSMVDSLWLTILDALSFILSRSQGEAIVLEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTINFPIEAERRSSILQSPGSKRSEPLVDQRESVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEVSTAVPKLTRESSGQSSDLNILSSLNSQLFESSALMHISAVKSLLSALCQLSQQCMAGITTGSVPTSSQKVGSINFSVERMISILVNNLHRVEPLWDQVVGHFLELADKSNQHLRNMALDALDESICAVLGSDQFQDNVTTRSRASQSMETGLAQLGSLECAVISPLRVLYLSTQSVDVRAGSLKILLHVLERHGEKLLYSWPDILEMLRSVADSSEKELVTLGFQSLRVIMNDGLSIIPADCLHVCVDVTGAYSAQKTELNISLTAIGLLWTTTDFIAKGLIHGPGEEKETGISDVHPILKQLNGENPKEETFDVSDNVNDQAPSINIVDRDRLLFSAFSLLQKLGADERPEVRNSAIRTLFQTLGSHGQKLSKSMWEDCLWNYVFPTLDRASHMAETSSKDEWHGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRSFFPFLRSLSNFWSGWESLLLFVKNSILNGSKEVAIAAINCLQTPVLSHSSKGNLPRPYLESILDAYEVVLQTSTHLSDNAAIKVKQEILHSLGELHVQAQRMFDDRLYKQLLAIIGSAVKQAIIINDSSETEFGHVPLVLRTVLEILPMLRPTEHISSVWLNLIRDFLQYLPRLSSAVQNEEDDAEEASTSDQVPDDHLRIKHETPNGTDSISSNRVEGSPSSGLKTSVTAGIPNYMFAEKLVPLLVDLFLQAPAVEKYILYPEIIQSLGRCMTTRRDNPDGALWRLAVEGFNRVLVDDARNSAINAGLDSGASKPERTRIWKEVADVYEVFLVGYCGRALPSDSFSTVDVKTDESLEMTVLDILGDKILKSPIDAPFDILQRLVSTLDRCASRTCSLPVDFVELMPSHCSRFSLTCLQKLFSLSSYDSKSNDWNSARYEVSKIAIMVLITRCEYILSRFLIDENDLGGRPLPSARLEEIIYVLEELAHLIIHSDTALVLPLQPHLKSALEKEKNHDTRPHLVVLFPSLSELVVSREARIRGSVQVLFRLIAKELGLNRVSISSENAEEFIPSTHQP >ONI04755 pep chromosome:Prunus_persica_NCBIv2:G6:29291943:29309320:-1 gene:PRUPE_6G338000 transcript:ONI04755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSAEARRRYPAVKDGAEHAIIKLRALSSPGEIAQNEDILKIFLMACEVKTVKLSVIGLSCLQKLISHDAVAPSALNEILSTLKDHAEMTDESVQLKTLQTVLIILQSPLHPETEDNMAQALGICLRLLENNRSSDSVRNTAAATFRQAVALIFDHVVCAETLPSGKLSSGGYISRTSPVSGDVSCSINLSESLDKSLYGRSSLMRETLTKAGKLGLRLLEDLTALAAGGSAIWLRVGSLQRSFALDILEFVLSNYVAVFRTLLPYEQVLQHQICSLLMTSLRTNAELEGEAGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLVKVTFLDLPLWHRILVLEILRGFCVDARTLRILFVNFDMHPKNTNVVEGMVKALARVVSSVQVQETSEESLAAVAGMFNSKAKGIEWSLDNDASNAAVLVASEAHSITLAVEGLLGVVFTVATLTDEAVDSGEIESPRYDYDPPAKCTGNTALLCLSMVDSLWLTILDALSFILSRSQGEAIVLEILKGYQAFTQACGVLRAVEPLNSFLASLCKFTINFPIEAERRSSILQSPGSKRSEPLVDQRESVVLTPKNVQALRTLFNIAHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEVSTAVPKLTRESSGQSSDLNILSSLNSQLFESSALMHISAVKSLLSALCQLSQQCMAGITTGSVPTSSQKVGSINFSVERMISILVNNLHRVEPLWDQVVGHFLELADKSNQHLRNMALDALDESICAVLGSDQFQDNVTTRSRASQSMETGLAQLGSLECAVISPLRVLYLSTQSVDVRAGSLKILLHVLERHGEKLLYSWPDILEMLRSVADSSEKELVTLGFQSLRVIMNDGLSIIPADCLHVCVDVTGAYSAQKTELNISLTAIGLLWTTTDFIAKGLIHGPGEEKETGISDVHPILKQLNVDRDRLLFSAFSLLQKLGADERPEVRNSAIRTLFQTLGSHGQKLSKSMWEDCLWNYVFPTLDRASHMAETSSKDEWHGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRSFFPFLRSLSNFWSGWESLLLFVKNSILNGSKEVAIAAINCLQTPVLSHSSKGNLPRPYLESILDAYEVVLQTSTHLSDNAAIKVKQEILHSLGELHVQAQRMFDDRLYKQLLAIIGSAVKQAIIINDSSETEFGHVPLVLRTVLEILPMLRPTEHISSVWLNLIRDFLQYLPRLSSAVQNEEDDAEEASTSDQVPDDHLRIKHETPNGTDSISSNRVEGSPSSGLKTSVTAGIPNYMFAEKLVPLLVDLFLQAPAVEKYILYPEIIQSLGRCMTTRRDNPDGALWRLAVEGFNRVLVDDARNSAINAGLDSGASKPERTRIWKEVADVYEVFLVGYCGRALPSDSFSTVDVKTDESLEMTVLDILGDKILKSPIDAPFDILQRLVSTLDRCASRTCSLPVDFVELMPSHCSRFSLTCLQKLFSLSSYDSKSNDWNSARYEVSKIAIMVLITRCEYILSRFLIDENDLGGRPLPSARLEEIIYVLEELAHLIIHSDTALVLPLQPHLKSALEKEKNHDTRPHLVVLFPSLSELVVSREARIRGSVQVLFRLIAKELGLNRVSISSENAEEFIPSTHQP >ONH99657 pep chromosome:Prunus_persica_NCBIv2:G6:3063925:3064737:-1 gene:PRUPE_6G041500 transcript:ONH99657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLCGVALLTLLICILVTAPCVTNATITCGEVTALLTPCIPFGVFGGTVPPDCCKGIKGLNAAQNATAEDRRIACSCIQEGAALIPGINYDRINTLGDVCGSPCPYKVYPSTDCSKVN >ONI01891 pep chromosome:Prunus_persica_NCBIv2:G6:16261485:16265501:1 gene:PRUPE_6G165400 transcript:ONI01891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLTRDGLLLQTEQGKQVPITGNLSIKARSAAMLDYGNFVVYDHRSQVIWQSFDSPTDTILGGQNLNSGMDLVSSVSSTNHSSGRYWLKMQADGNLVSYQINITTPEASYWNSNTNGDMKLSLTVRGFLVLLPETGTGSAHPLATGSDDPRNNRTIIYRATLDADGIFRLYLHNYSVMSRRSRVLIVWSNLHDQCEVRGVCGFNSYCALIFGKTNKTDCHCYPGFVINPNDEFRGCYKNGSDDGCTGNENQRLRYNMASIDHILWPGDHPYSVVRLEKLQVCSDSCLEDCSCKAVLYINGSCNKYKLPLRYGRTSPGISATGFIKVAATHNLQLHNPTATGNSTLVKYETKNSRGLIVILAVSLGCIACICFAFAVSSFTIYVYRLKRYKKLLDHTKVGLAEELFSLQSFSYSKLEDVTHGFKDELGRGTFGAVYKGTLSTSNKVVAVKRLEKVVEEGVREFKAEITTIGRTHHRNLVQLLGFCIEGSRKLLVYEYMSNGSLAHLLFNKAVPVRPSWRERVGIVLDVAKAVLYLHEECGVRIIHCNLKPQNILLDHTWTAKISDFGLARLLIPNDQTEIAIGGEQRSGYLAPEWQKNALISVKSDIYSFGIVLLETVCCRKNIELKVSAPDEMILSSWAYKCFKAGEVYKLVEDDDQNVDLKTLERMIKVGLWCVQDDPGLRPLMKNVILMLEGTTDIPVPPSPELPKPVDF >ONI02756 pep chromosome:Prunus_persica_NCBIv2:G6:22601338:22601938:-1 gene:PRUPE_6G220200 transcript:ONI02756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILFIVMTCGDGGTLTAAYPTHSISIFISLVTIWNYLGRVTSGFSSEVLLKKYKFPCPLLLTLVLLLSCVGHLLIAFGIPNCLYFASVIIGFCSGAQWPLFFAIVSEIFGLKYCSTLINVGGAASPIGAYLLNVKMADNLYDAEALKQLEALGRVRKAGEDLTCEGVNCYKLAFIIMAAVALFGSLV >ONI00172 pep chromosome:Prunus_persica_NCBIv2:G6:4913380:4916113:1 gene:PRUPE_6G072100 transcript:ONI00172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFPLSPAFVAAFLLALSCFWSLPEFSAAAASGVTRHYKFDIKLKNVTRLCQTKSIVAVNGKFPGPRIIAREGDRIVVKVVNHVQNNISLHWHGIRQLQSGWADGPSYITQCPIQTNQSYVYNFTITGQRGTLFWHAHISWLRATVYGPLIILPKHNASYPFPKPHKEVPIIFGEWWKADPEAVIRQALQTGAGPNVSEAYTINGLPGPLYNCSKKDTFRLKVKPGKTYLLRLINAAVNDELFFSIANHSLTVVEADALYVKPFKTDILLITPGQTTNVLLRTKPSYPNATFLMLARPYFTGMGTFDNSTVAGILEYKNPSNPSASTSPRNPPLLRPTLPQINATSFVANFSAKFRSLANSKFPANVPKTVRKRFFFTVGLGTNPCPKNQTCQGPNNSSKFAASINNISFILPTTALLQSHFFGKSNGVFTTDFPTNPLQPFNYTGTPSNNTNINVSNGTKAVVLKFNTSVEVVLQGTGILGAESHPLHLHGFNFFVVGQGFGNFNPNKDPASFNLVDPVERNTIGVPSGGWVAIRFLADNPGVWLLHCHFDVHLSWGLRMAWVVQDGKLPNQKLPPPPSDLPKC >ONI02027 pep chromosome:Prunus_persica_NCBIv2:G6:17830822:17831293:-1 gene:PRUPE_6G173400 transcript:ONI02027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISILFMLQPGWHYPLSNAIHNRSTQLSPPQNPHQQRRPHSLSFGRCSPPPSFTLCHLFQPPFFPSGSINFSISSAFLKSIYLEMTSQAELMSA >ONI02025 pep chromosome:Prunus_persica_NCBIv2:G6:17829682:17831385:-1 gene:PRUPE_6G173400 transcript:ONI02025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISILFMLQPGWHYPLSNAIHNRSTQLSPPQNPHQQRRPHSLSFGRCSPPPSFTLCHLFQPPFFPSGSINFSISSAFLKSIYLEMTSQES >ONI02026 pep chromosome:Prunus_persica_NCBIv2:G6:17829682:17831384:-1 gene:PRUPE_6G173400 transcript:ONI02026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISILFMLQPGWHYPLSNAIHNRSTQLSPPQNPHQQRRPHSLSFGRCSPPPSFTLCHLFQPPFFPSGSINFSISSAFLKSIYLEMTSQVFDESP >ONI01027 pep chromosome:Prunus_persica_NCBIv2:G6:8568604:8570142:1 gene:PRUPE_6G117200 transcript:ONI01027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMRMWRPASTYPNLRRHRGGMPFLESSVLFNNYWGALFHSQCSERRKYKNTYKARQFESNSNSPEITDLEDALNLFNSMLQTRPLPSIGDFNKLLGQVAKLKHYSAAISLCKQMDLLPILPNVSTLNVINCFCHLGQMGGSLSVLAKLFKFGFQPDAATYNTLIKGFVMEDRISEGRINEAENLLTLMKERGCSPNGCTYNTIIRGCINNKETSRAIRLVQEMADMGFAADNSTMKWVVDLLSKDKLDPELVKRIWYFKLFYTVLKRKNHSI >ONH99966 pep chromosome:Prunus_persica_NCBIv2:G6:4181310:4183622:-1 gene:PRUPE_6G060200 transcript:ONH99966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLPILFTLFGATFLYLFWFYLLARKLTGPTVWPFVGSLPVLFMNRNSIHDWITSNLRATGGSSTYQTCTLALPFLARKQGLFTVTCNPKNIEHILRTRFDNYPKGPTWQAAFHDLLGEGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWVNRTIRNRLWCILDKAAKDHIAVDLQDLLLRLTFDNICGLTFGKDPETLSPELPDNPFAKAFDNATEGTLQRLLYPSFLWRLQKTFGLGAERQLEKSLRVVENYMNEAIVARKATPSDDLISRFMNKRDVDGNHFPSAVLQRIALNFVLAGRDTSSVALSWFFYLVMNNPLIEAKIVGEISTVLKSTRGDDQRLWLEEPLVFDEADKLVYLKAALAETLRLYPSVPEDFKYVVNDDVLPDGTFVPAGSTVTYSIYSVGRMKSIWGEDCMEFKPERWISADGNRFEPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSPVPGFRAQQKMSLTLFMKNGLQVYLHPRQLGGGPGLVAATSA >ONI04619 pep chromosome:Prunus_persica_NCBIv2:G6:28848552:28850617:-1 gene:PRUPE_6G330400 transcript:ONI04619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METILSSPSLSPLFNPKPSSPKTFLSPSLQPNSKSLLLTKPITSSLKKQSVPSLKTSLPIPKDWFSYAQQGLAALALSLALNFCPLLPSGNALASEFDVLNEGPPKDSYVVDDAGVLSRVTKSDIKRLMSELEYKKNFHINFITVRKLTSKADAFEYADQVLERWYPSVEEGNNKGIVVLVTSQKEGAITGGPAFVQAVGETILDATVSENLPVLATEEKYNEAIFSSAKRLVAAIDGLPDPGGPKLNDNKRESNFKTKEETDEKRGQFSLVVGGLLVIAFVVPMAQYYAYVSRK >ONI03418 pep chromosome:Prunus_persica_NCBIv2:G6:24863965:24868383:-1 gene:PRUPE_6G255800 transcript:ONI03418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKERQRWRAEEDALLRAYVQQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVICLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKNNKTVDPVDEGKYDRILETFAEKLVQERAAPSYLMATSNGSYLHTETSSPAPTMLPPWLSNSNVTSNVRPPSPSVTLSLSPTVAPSPPIPWLQPDRGSDNSIVLGNMPHHGSVPVCGENLVISELVECSRELEEVHRAWAAHKKEASWRLRRVELQLESEKACRRREKMEEIEAKVKALREEQKAALDRIEAEYREQLAGLRRDAEAKEQKLTEQWVAKHLRLSKFLDQMGGRPRLAEPNGR >ONI03417 pep chromosome:Prunus_persica_NCBIv2:G6:24863924:24868347:-1 gene:PRUPE_6G255800 transcript:ONI03417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKERQRWRAEEDALLRAYVQQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVICLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKNNKTVDPVDEGKYDRILETFAEKLVQERAAPSYLMATSNGSYLHTETSSPAPTMLPPWLSNSNVTSNVRPPSPSVTLSLSPTVAPSPPIPWLQPDRGSDNSIVLGNMPHHGSVPVCGENLVISELVECSRELEEVHRAWAAHKKEASWRLRRVELQLESEKACRRREKMEEIEAKVKALREEQKAALDRIEAEYREQLAGLRRDAEAKEQKLTEQWVAKHLRLSKFLDQMGGRPRLAEPNGR >ONI03419 pep chromosome:Prunus_persica_NCBIv2:G6:24863858:24868391:-1 gene:PRUPE_6G255800 transcript:ONI03419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKERQRWRAEEDALLRAYVQQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVICLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKNNKTVDPVDEGKYDRILETFAEKLVQERAAPSYLMATSNGSYLHTETSSPAPTMLPPWLSNSNVTSNVRPPSPSVTLSLSPTVAPSPPIPWLQPDRGSDNSIVLGNMPHHGSVPVCGENLVISELVECSRELEEVHRAWAAHKKEASWRLRRVELQLESEKACRRREKMEEIEAKVKALREEQKAALDRIEAEYREQLAGLRRDAEAKEQKLTEQWVAKHLRLSKFLDQMGGRPRLAEPNGR >ONI03416 pep chromosome:Prunus_persica_NCBIv2:G6:24863983:24868346:-1 gene:PRUPE_6G255800 transcript:ONI03416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKERQRWRAEEDALLRAYVQQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVICLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKNNKTVDPVDEGKYDRILETFAEKLVQERAAPSYLMATSNGSYLHTETSSPAPTMLPPWLSNSNVTSNVRPPSPSVTLSLSPTVAPSPPIPWLQPDRGSDNSIVLGNMPHHGSVPVCGENLVISELVECSRELEEVHRAWAAHKKEASWRLRRVELQLESEKACRRREKMEEIEAKVKALREEQKAALDRIEAEYREQLAGLRRDAEAKEQKLTEQWVAKHLRLSKFLDQMGGRPRLAEPNGR >ONI01154 pep chromosome:Prunus_persica_NCBIv2:G6:9338745:9343850:-1 gene:PRUPE_6G124700 transcript:ONI01154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHLHSVLPNPTSFKSTKVTTSTRRRLLANSVRCAEATQVTGETQRVTVRNGNDSLDICRVLNGMWQTSGGWGRIDRDDAVEAMLRYADAGLSTFDMADHYGPAEDLYGIFINRVRQERPPEFLEKVRGLTKWVPPPVKMTSSYVRDSINVSRKRMDVASLDMLQFHWWDYANTGYLDALKHLTDLKEEGKIKTVALTNFDTERLQIILENEIPVVSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLNIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQALLQTLKGIASKHGATIPNVAVKYILDQQAVAGSMIGVRLGLSEHIQDSNAVFSLALDEDDISSIQEVTKKGKNLLKVIGDCGDEYRRA >ONI01156 pep chromosome:Prunus_persica_NCBIv2:G6:9339315:9342874:-1 gene:PRUPE_6G124700 transcript:ONI01156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLHHNSLRKFTFSSIKKTRTKGIQKLSWRPVQGVLTEDIRRAVVKNGKDSLDISRVVNGMWQTSGGWGRIDRDNAVDAMLKYADAGLTTFDMADIYGPAEDLYGIFINRVRQERPPEFLEKVRGLTKWVPPPVKMTSSYVRDSINVSRKRMDVASLDMLQFHWWDYANTGYLDALKHLTDLKEEGKIKTVALTNFDTERLQIILENEIPVVSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLNIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQALLQTLKGIASKHGATIPNVAVKYILDQQAVAGSMIGVRLGLSEHIQDSNAVFSLALDEDDISSIQEVTKKGKNLLKVIGDCGDEYRRA >ONI01155 pep chromosome:Prunus_persica_NCBIv2:G6:9339315:9342874:-1 gene:PRUPE_6G124700 transcript:ONI01155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLHHNSLRKFTFSSIKKTRTKGIQKLSWRPVQGVLTEDIRRAVVKNGKDSLDISRVVNGMWQTSGGWGRIDRDNAVDAMLKYADAGLTTFDMADIYGPAEDLYGIFINRVRQERPPEFLEKVRGLTKWVPPPVKMTSSYVRDSINVSRKRMDVASLDMLQFHWWDYANTGYLDALKHLTDLKEEGKIKTVALTNFDTERLQIILENEIPVVSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLNIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQALLQTLKGIASKHGATIPNVAVKYILDQQAVAGSMIGVRLGLSEHIQDSNAVFSLALDEDDISSIQEVTKKGKNLLKVIGDCGDEYRRA >ONI01764 pep chromosome:Prunus_persica_NCBIv2:G6:13974306:13976887:1 gene:PRUPE_6G157100 transcript:ONI01764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKLKPSKTHHGPQTWKRISSPPMFRRARILIYSRHKKGGRLKEKELKQSILEPPVCSSICICKMLQCMREARKLWV >ONI01763 pep chromosome:Prunus_persica_NCBIv2:G6:13974305:13976005:1 gene:PRUPE_6G157100 transcript:ONI01763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFKKIKKKRKKFNRPHSRVVALPISFFWHVLFKKDESKTETIQNPPWPSNLETHFLPSHVSQSKNPHLLTA >ONI01305 pep chromosome:Prunus_persica_NCBIv2:G6:10348031:10353533:-1 gene:PRUPE_6G131600 transcript:ONI01305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQINLVSMSSPQAQHVPAAEIQLMAGAPTTGLGIQSPPHCVIHIPLPQPKTPSLHLLEENNGEAREKYLGLCVPLHKAALRGDWKTAKSILSKDEKLLTASITKGWETALHIAAGAKHVHFVKELVQMMDAEDLALQDNKQNTALSFAAAAGTVEIAEIMIKKNISLPTIRGGEGMTPIYMAALLGQSEMAEYLYPRTHEIFDERDRNALFFTCVDTGLYDLAMEMLRNYTALATVRNGSNETALHVLARRPSEFTSQSTGLWSRITNSFWKFAYEGNSKQTKALQLVQQLWEEILKLDHQVVMSLIKYPSQLLFDATRLGNFEFLAALLSAYPDLFWELDGKNRSIIHVAVLHRHASIFNLVHEIGFFKDFITAMSDDEDNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFER >ONI01303 pep chromosome:Prunus_persica_NCBIv2:G6:10348195:10351677:-1 gene:PRUPE_6G131600 transcript:ONI01303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQINLVSMSSPQAQHVPAAEIQLMAGAPTTGLGIQSPPHCVIHIPLPQPKTPSLHLLEENNGEAREKYLGLCVPLHKAALRGDWKTAKSILSKDEKLLTASITKGWETALHIAAGAKHVHFVKELVQMMDAEDLALQDNKQNTALSFAAAAGTVEIAEIMIKKNISLPTIRGGEGMTPIYMAALLGQSEMAEYLYPRTHEIFDERDRNALFFTCVDTGLYDLAMEMLRNYTALATVRNGSNETALHVLARRPSEFTSQSTGLWSRITNSFWKFAYEGNSKQTKALQLVQQLWEEILKLDHQVVMSLIKYPSQLLFDATRLGNFEFLAALLSAYPDLFWELDGKNRSIIHVAVLHRHASIFNLVHEIGFFKDFITAMSDDEDNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPLSTEMKNKNGKTPRELFTSEHKELLRKGESWMKNTANSCMLVATIIATVVFSAAFSIPGGIADKTGAPNFVKETAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLGFVSDFIFIFAFVPVVLFLFLQYPLLSDMFLSTYCSSLIFQPRKHMIQ >ONI01304 pep chromosome:Prunus_persica_NCBIv2:G6:10348195:10350219:-1 gene:PRUPE_6G131600 transcript:ONI01304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLTKGTAMRCFLLVSIQVSMVDLAMEMLRNYTALATVRNGSNETALHVLARRPSEFTSQSTGLWSRITNSFWKFAYEGNSKQTKALQLVQQLWEEILKLDHQVVMSLIKYPSQLLFDATRLGNFEFLAALLSAYPDLFWELDGKNRSIIHVAVLHRHASIFNLVHEIGFFKDFITAMSDDEDNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPLSTEMKNKNGKTPRELFTSEHKELLRKGESWMKNTANSCMLVATIIATVVFSAAFSIPGGIADKTGAPNFVKETAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLGFVSDFIFIFAFVPVVLFLFLQYPLLSDMFLSTYCSSLIFQPRKHMIQ >ONI01302 pep chromosome:Prunus_persica_NCBIv2:G6:10348031:10353533:-1 gene:PRUPE_6G131600 transcript:ONI01302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQINLVSMSSPQAQHVPAAEIQLMAGAPTTGLGIQSPPHCVIHIPLPQPKTPSLHLLEENNGEAREKYLGLCVPLHKAALRGDWKTAKSILSKDEKLLTASITKGWETALHIAAGAKHVHFVKELVQMMDAEDLALQDNKQNTALSFAAAAGTVEIAEIMIKKNISLPTIRGGEGMTPIYMAALLGQSEMAEYLYPRTHEIFDERDRNALFFTCVDTGLYVWKFAYEGNSKQTKALQLVQQLWEEILKLDHQVVMSLIKYPSQLLFDATRLGNFEFLAALLSAYPDLFWELDGKNRSIIHVAVLHRHASIFNLVHEIGFFKDFITAMSDDEDNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPLSTEMKNKNGKTPRELFTSEHKELLRKGESWMKNTANSCMLVATIIATVVFSAAFSIPGGIADKTGAPNFVKETAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLGFVSDFIFIFAFVPVVLFLFLQYPLLSDMFLSTYCSSLIFQPRKHMIQ >ONI02943 pep chromosome:Prunus_persica_NCBIv2:G6:23336036:23337908:1 gene:PRUPE_6G230200 transcript:ONI02943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAAGVGLAAAAADDPFKGSPFAAVSDWSYGISRRYQHVLDRTTPHVLYRWLACLGVALVYVIRVYLVQGFYVVSYGLGIYILNLLIGFLSPQVDPEIHDLASDGPSLPTRGSDEFRPFVRRLPEFKFWYSIAKAFCIAFVMTFFSAFDVPVFWPILLFYWVVLFVLTMRRQIVHMIKYKYVPFSFGKQRYDGRKASSSSTETAGLLPRD >ONI02942 pep chromosome:Prunus_persica_NCBIv2:G6:23336036:23337908:1 gene:PRUPE_6G230200 transcript:ONI02942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAAGVGLAAAAADDPFKGSPFAAVSDWSYGISRRYQHVLDRTTPHVLYRWLACLGVALVYVIRVYLVQGFYVVSYGLGIYILNLLIGFLSPQVDPEIHDLASDGPSLPTRGSDEFRPFVRRLPEFKFWYSIAKAFCIAFVMTFFSAFDVPVFWPILLFYWVVLFVLTMRRQIVHMIKYKYVPFSFGKQRYDGRKASSSSTETAGLLPRD >ONH99138 pep chromosome:Prunus_persica_NCBIv2:G6:960955:962868:-1 gene:PRUPE_6G013800 transcript:ONH99138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFNEYNSLFFVINLFMLCSAVRSDLNADFYKQTCPDLLKIVRKEVKDALKIEMRMAASLLRLHFVDCFVNGCDASILLDGSNSEKFATPNLNSVRGFQVVDAVKSAVESACSGVVSCADILALIARDSVLLSGGPTWKVLLGRRDGLVPNQRGANLAIPSQYDTLDTIISKFANVGLNVTDVVSLSGAHTIGQARCATFSKRLWNFFNTGGPDSTMEKDMLSDLRHVCLVNGDGNETTALDRNSNDLFDNHYYQNLLDGKGLLHSDQILFNGGDDETKSVVDNYRRKPKLFFDDFIKSMIKMGNIGPVTGSSGQIRKNCRVIN >ONI03607 pep chromosome:Prunus_persica_NCBIv2:G6:25590620:25591789:-1 gene:PRUPE_6G268600 transcript:ONI03607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLLSFFTGFVTLIQGFLLLANAFAVLNEDRFLDPRGWTLLQIQGGRTTLKGKIIGLIHLCQFLRLPLIILNIVVIVFKLIFG >ONI01427 pep chromosome:Prunus_persica_NCBIv2:G6:11254165:11256877:1 gene:PRUPE_6G138400 transcript:ONI01427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGNFSLLAAAARRLEGKVALITGGASGIGESTARLFSKHGAKVVIADVQDDLAESVCRDLSPSPTLFVHCDVTKEEDVENAVQTTTNKYGELDIMFNNAGIIGTNKPNILDNDKVEFEQVIRVNLVGAFLGIKHAARVMIPAGKGSIINTASVCSTIGGCASHAYTSSKHGLVGLVRNTAVELGQHGIRVNCVSPYAVATKLAKEFFKIDDDGVHGAYSNLKGRVLKAEDIAEAALYLGSDESKYVSGHNLLVDGGYTIMNA >ONI02224 pep chromosome:Prunus_persica_NCBIv2:G6:19396362:19397043:1 gene:PRUPE_6G185000 transcript:ONI02224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATTQTTVAHPAPYYVQAPPPPAGYPTRDDQNPPAAAHGPVETKSKGDDFWKGCAAALCCCCLLDACF >ONH99380 pep chromosome:Prunus_persica_NCBIv2:G6:2159091:2162261:-1 gene:PRUPE_6G027600 transcript:ONH99380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQNQLYQSQRALPETSSTSLDSTAQTGHATGGDWQEEEEVFQKIKLMKEMYLPELSEIYQKIATKLQQHDSLPQPPKSEQLDKLKMLRTMLERLISVLQISKSSISPGLNCKLFFYEKQIVNFINACEASFCKASMDSTAAPPT >ONI01374 pep chromosome:Prunus_persica_NCBIv2:G6:10833148:10836876:-1 gene:PRUPE_6G135900 transcript:ONI01374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLRVECFSFPRTLPIRNRLLPNRNPSVSALRTSPPPVSELAEEDILQAFFKERQLNGDLVSKVSDVFWQKEFTKSVVDADDVGRFADTPQQAEQVAENDTGGFLKLSRTNEWVLGDISAPINKKAIAKALQNDSERRKKLNLLQYEALQRELMLLSVGIGTACTGYCLIALSFQAAVSYATGVIFSCLYLKLLYQQADNISRDAVPQIFRQRKTKKYNQKQL >ONI01375 pep chromosome:Prunus_persica_NCBIv2:G6:10830965:10837118:-1 gene:PRUPE_6G135900 transcript:ONI01375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLRVECFSFPRTLPIRNRLLPNRNPSVSALRTSPPPVSELAEEDILQAFFKERQLNGDLVSKVSDVFWQKEFTKSVVDADDVGRFADTPQQAEQVAENDTGGFLKLSRTNEWVLGDISAPINKKAIAKALQNDSERRKKLNLLQYEALQRELMLLSVGIGTACTGYCLIALSFQLFVP >ONI01372 pep chromosome:Prunus_persica_NCBIv2:G6:10832736:10836876:-1 gene:PRUPE_6G135900 transcript:ONI01372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLRVECFSFPRTLPIRNRLLPNRNPSVSALRTSPPPVSELAEEDILQAFFKERQLNGDLVSKVSDVFWQKEFTKSVVDADDVGRFADTPQQAEQVAENDTGGFLKLSRTNEWVLGDISAPINKKAIAKALQNDSERRKKLNLLQYEALQRELMLLSVGIGTACTGYCLIALSFQAAVSYATGVIFSCLYLKLLYQQADNISRDAVPQIFRQRKTKKIGIRSEDVEDFLEKSIKGCGIALSSPRLVIPAAIYGLWILSRQYLASDLFDFQLVPAMLGMFVYKAAALYQVYRDNEDLQFIFPENEEGLK >ONI01373 pep chromosome:Prunus_persica_NCBIv2:G6:10830965:10837118:-1 gene:PRUPE_6G135900 transcript:ONI01373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLRVECFSFPRTLPIRNRLLPNRNPSVSALRTSPPPVSELAEEDILQAFFKERQLNGDLVSKVSDVFWQKEFTKSVVDADDVGRFADTPQQAEQVAENDTGGFLKLSRTNEWVLGDISAPINKKAIAKALQNDSERRKKLNLLQYEALQRELMLLSVGIGTACTGYCLIALSFQYMFLLTCKSTAQAAVSYATGVIFSCLYLKLLYQQADNISRDAVPQIFRQRKTKKIGIRSEDVEDFLEKSIKGCGIALSSPRLVIPAAIYGLWILSRQYLASDLFDFQLVPAMLGMFVYKAAALYQVYRDNEDLQFIFPENEEGLK >ONI05142 pep chromosome:Prunus_persica_NCBIv2:G6:30335384:30337629:1 gene:PRUPE_6G358300 transcript:ONI05142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVMVMMVCLTAANVARGQPKPGCSGSCGNLTNIPYPFGTSLECSFDETFVISCNDTTYQNGGHHKQAYFPNSFIPITNISLRDHELRVSGPIARDCYYADNEEYDRHAEVVMQTNSKFPVSSKRNVLVAIGCEAIGAIESPINYDALLNNANLSSSSVGRMRAGCLSLCSRVEEVKNGTCSGAGCCQISIADGVIDYSLMAENLFNHSDFNPCDYSFVVEVGAYSFSSLDLVDLQKRESFPVVLDWAVGNYQSCEQVNDSSTACQSRHSECYNSTNGPGYRCKCLEGFQGNPYLVDGCQDINECEIRNLCVSQATCHNNVGGVECHCPKGHIGDGLTSGKGCILKDDKYLRFAIPMGFCLCLLAVLIGGLWIYWGLKRRRFMKLKEKFFRQNGGLLLQQQLSDHKGSIEMIKIFTAEELKRATKNYDESMVLGQGSFGTVYKGTLLDNKVVAIKKSKVCDKNQIETFINEMIVLSRVNHRNVVKLLGCCLETEVPLLVYEFITNGTLYSHIHDRSQDSCSALSWQMRLKIATEIAGALAYLHSETCIPIIHRDVKTANILLDEDYIAKMSDFGTSRLIPVDETQLTTLVRGTFGYLDPEYSQSSQLTEKSDVYSFGVVLVELLTAKQVISFARPERERNLAIYFVSQMNADRLLEILDDQVPLNEEIIEQLREVADLASKCLRMRGEERPAMKDVTAELERIMNNLVN >ONI04444 pep chromosome:Prunus_persica_NCBIv2:G6:28427339:28433224:1 gene:PRUPE_6G321800 transcript:ONI04444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANGVSADEVIAKLLEMGFENSTVKKAVKEVGPSFDDALDYILNGCCSTNRRATRASSTSSSSMRNVKAPGKRPLPASFPSAQIRQSSILEHFQSNSRPKRSKTDGVPDVSVSGSEIVRGPIEQCLKPPSGVNCSVEVLSDTSPLDCLENQSDWQKKANSLLQKHFGYSSLKNFQKEVLAAWMAHQDSLVLAATGSGKSLCFQIPALLTGKVVVVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSTVENKSMSGMYDIIYVCPETILRLIKPLQKLAENRGIALFAIDEVHCVSKWGHDFRPDYRRLSELRKNFSACNLKFLKFDIPLMALTATATIQVRKDILRSLSMSKETKVVLTSFFRPNLRFTVQHSRTSASSYENDFHELIDTYTGKRRMGEKKQIVMSQELNNVMDSANGSISDEDNISQDDLDNFEDGYSDKDEVDSSQENGSSASKGRELSVEYLEDDIDIFQSVNDWDVSCGEFCGQSLCEDWNTRKETISDIIDLPNKPEERLKLLQEPLEKGSTIIYVPTRKGTLSIANYLCRCGVKAAAYNAALPKSHLRQVHKMFHENTLEVVVATIAFGMGIDKLNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCILFANLTRVPSLLPSRRSEEQTKQAYKMLSDCFRYGMNSSCCRAKKLVEYFGEDFSSEKCLLCDVCVAGPPELKNLRKEADLIMQVISAHHASQYRIGSYDDATSSDIRLRRESYMGKLNLRMIISKIREQSQEFMATELLWWQGLVRIMESKGYIKEGDNKTHVQLKFPELTELGLEFLETKGEQTFYVHPEADMLLSANRPKSFSTFSEWGRGWADPEIRRQRLENMQCNRKPFNAGGKRGRRKSRKQRHSPNLRTARGRIEAKLSKKGARPRSLKH >ONI04446 pep chromosome:Prunus_persica_NCBIv2:G6:28427526:28433224:1 gene:PRUPE_6G321800 transcript:ONI04446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANGVSADEVIAKLLEMGFENSTVKKAVKEVGPSFDDALDYILNGCCSTNRRATRASSTSSSSMRNVKAPGKRPLPASFPSAQIRQSSILEHFQSNSRPKRSKTDGVPDVSVSGSEIVRGPIEQCLKPPSGVNCSVEVLSDTSPLDCLENQSDWQKKANSLLQKHFGYSSLKNFQKEVLAAWMAHQDSLVLAATGSGKSLCFQIPALLTGKVVVVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSTVENKSMSGMYDIIYVCPETILRRLSELRKNFSACNLKFLKFDIPLMALTATATIQVRKDILRSLSMSKETKVVLTSFFRPNLRFTVQHSRTSASSYENDFHELIDTYTGKRRMGEKKQIVMSQELNNVMDSANGSISDEDNISQDDLDNFEDGYSDKDEVDSSQENGSSASKGRELSVEYLEDDIDIFQSVNDWDVSCGEFCGQSLCEDWNTRKETISDIIDLPNKPEERLKLLQEPLEKGSTIIYVPTRKGTLSIANYLCRCGVKAAAYNAALPKSHLRQVHKMFHENTLEVVVATIAFGMGIDKLNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCILFANLTRVPSLLPSRRSEEQTKQAYKMLSDCFRYGMNSSCCRAKKLVEYFGEDFSSEKCLLCDVCVAGPPELKNLRKEADLIMQVISAHHASQYRIGSYDDATSSDIRLRRESYMGKLNLRMIISKIREQSQEFMATELLWWQGLVRIMESKGYIKEGDNKTHVQLKFPELTELGLEFLETKGEQTFYVHPEADMLLSANRPKSFSTFSEWGRGWADPEIRRQRLENMQCNRKPFNAGGKRGRRKSRKQRHSPNLRTARGRIEAKLSKKGARPRSLKH >ONI04447 pep chromosome:Prunus_persica_NCBIv2:G6:28427526:28433224:1 gene:PRUPE_6G321800 transcript:ONI04447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANGVSADEVIAKLLEMGFENSTVKKAVKEVGPSFDDALDYILNGCCSTNRRATRASSTSSSSMRNVKAPGKRPLPASFPSAQIRQSSILEHFQSNSRPKRSKTDGVPDVSVSGSEIVRGPIEQCLKPPSGVNCSVEVLSDTSPLDCLENQSDWQKKANSLLQKHFGYSSLKNFQKEVLAAWMAHQDSLVLAATGSGKSLCFQIPALLTGKVVVVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSTVENKSMSGMYDIIYVCPETILRRLSELRKNFSACNLKFLKFDIPLMALTATATIQVRKDILRSLSMSKETKVVLTSFFRPNLRFTVQHSRTSASSYENDFHELIDTYTGKRRMGEKKQIVMSQELNNVMDSANGSISDEDNISQDDLDNFEDGYSDKDEVDSSQENGSSASKGRELSVEYLEDDIDIFQSVNDWDVSCGEFCGQSLCEDWNTRKETISDIIDLPNKPEERLKLLQEPLEKGSTIIYVPTRKGTLSIANYLCRCGVKAAAYNAALPKSHLRQVHKMFHENTLEVVVATIAFGMGIDKLNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCILFANLTRVPSLLPSRRSEEQTKQAYKMLSDCFRYGMNSSCCRAKKLVEYFGEDFSSEKCLLCDVCVAGPPELKNLRKEADLIMQVISAHHASQYRIGSYDDATSSDIRLRRESYMGKLNLRMIISKIREQSQEFMATELLWWQGLVRIMESKGYIKEGDNKTHVQLKFPELTELGLEFLETKGEQTFYVHPEADMLLSANRPKSFSTFSEWGRGWADPEIRRQRLENMQCNRKPFNAGGKRGRRKSRKQRHSPNLRTARGRIEAKLSKKGARPRSLKH >ONI04445 pep chromosome:Prunus_persica_NCBIv2:G6:28427526:28433224:1 gene:PRUPE_6G321800 transcript:ONI04445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANGVSADEVIAKLLEMGFENSTVKKAVKEVGPSFDDALDYILNGCCSTNRRATRASSTSSSSMRNVKAPGKRPLPASFPSAQIRQSSILEHFQSNSRPKRSKTDGVPDVSVSGSEIVRGPIEQCLKPPSGVNCSVEVLSDTSPLDCLENQSDWQKKANSLLQKHFGYSSLKNFQKEVLAAWMAHQDSLVLAATGSGKSLCFQIPALLTGKVVVVISPLISLMHDQCLKLAKHGVSACFLGSGQPDSTVENKSMSGMYDIIYVCPETILRLIKPLQKLAENRGIALFAIDEVHCVSKWGHDFRPDYRRLSELRKNFSACNLKFLKFDIPLMALTATATIQVRKDILRSLSMSKETKVVLTSFFRPNLRFTVQHSRTSASSYENDFHELIDTYTGKRRMGEKKQIVMSQELNNVMDSANGSISDEDNISQDDLDNFEDGYSDKDEVDSSQENGSSASKGRELSVEYLEDDIDIFQSVNDWDVSCGEFCGQSLCEDWNTRKETISDIIDLPNKPEERLKLLQEPLEKGSTIIYVPTRKGTLSIANYLCRCGVKAAAYNAALPKSHLRQVHKMFHENTLEVVVATIAFGMGIDKLNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCILFANLTRVPSLLPSRRSEEQTKQAYKMLSDCFRYGMNSSCCRAKKLVEYFGEDFSSEKCLLCDVCVAGPPELKNLRKEADLIMQVISAHHASQYRIGSYDDATSSDIRLRRESYMGKLNLRMIISKIREQSQEFMATELLWWQGLVRIMESKGYIKEGDNKTHVQLKFPELTELGLEFLETKGEQTFYVHPEADMLLSANRPKSFSTFSEWGRGWADPEIRRQRLENMQCNRKPFNAGGKRGRRKSRKQRHSPNLRTARGRIEAKLSKKGARPRSLKH >ONI04396 pep chromosome:Prunus_persica_NCBIv2:G6:28311489:28312952:-1 gene:PRUPE_6G319200 transcript:ONI04396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLKSSLAFLVLVFAFFFCYVMSSGSYDYFQFVQQWPPTNCRVRVKRPCSNPRPLQYFTIHGLWPSNYSNPKMPSNCTGSQFKKQNLYPYMQSKLKISWPDVESGNDTKFWEGEWNKHGTCSERTLNLMQYFQRSHAMWKSHNITEILKNASIVPHPTKTWKYSDIESPIKRATKRTPVLRCKRDPVQANTQLLHEVVFCYEYDALKLIDCNRTDCWNNVDIKFQ >ONI01664 pep chromosome:Prunus_persica_NCBIv2:G6:13147085:13150900:1 gene:PRUPE_6G151700 transcript:ONI01664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSELINANPVIYEKKERRVRSVPTAAADEYAVEPIDQQEIFDHIRDIKDPEHPYSLEELKVITEDAIEVDDSRGYIRVTFTPTVEHCSMATVIGLCLRVKLLRSLPSRYKLISN >ONI01662 pep chromosome:Prunus_persica_NCBIv2:G6:13146692:13150900:1 gene:PRUPE_6G151700 transcript:ONI01662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSELINANPVIYEKKERRVRSVPTAAADEYAVEPIDQQEIFDHIRDIKDPEHPYSLEELKVITEDAIEVDDSRGYIRVTFTPTVEHCSMATVIGLCLRVKLLRSLPSRYKVDIRVAPGSHATEAAVNKQLNDKERVAAALENTNLLDMVDECISPTYE >ONI01665 pep chromosome:Prunus_persica_NCBIv2:G6:13147397:13150898:1 gene:PRUPE_6G151700 transcript:ONI01665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSELINANPVIYEKKERRVRSVPTAAADEYAVEPIDQQEIFDHIRDIKDPEHPYSLEELKVITEDAIEVDDSRGYIRVTFTPTVEHCSMATVIGLCLRVKLLRSLPSRYKLISN >ONI01663 pep chromosome:Prunus_persica_NCBIv2:G6:13147397:13150898:1 gene:PRUPE_6G151700 transcript:ONI01663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSELINANPVIYEKKERRVRSVPTAAADEYAVEPIDQQEIFDHIRDIKDPEHPYSLEELKVITEDAIEVDDSRGYIRVTFTPTVEHCSMATVIGLCLRVKLLRSLPSRYKVDIRVAPGSHATEAAVNKQLNDKERVAAALENTNLLDMVDECISPTYE >ONI03173 pep chromosome:Prunus_persica_NCBIv2:G6:24117154:24119415:-1 gene:PRUPE_6G243000 transcript:ONI03173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQQSKADLAKKQKIVEDKTFGLKNKNKSKNVQKYVQTLKQSVQPQSDPSKTAAKKKKEEDKAKDKELNELFKVAVSQPKVPVGVDPKSILCEFYKAGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKRDHDTMEEWDQETLEKVVESKKNEYQQNKPTDIVCKYFLEAVEKKQYGWFWVCPNGGKNCHYRHALPPGYVLKSQMKALLEEEAEKIPIEEEIENQRAKVTTSTPMTTELFMQWKKKKMAERDAGLAAEMAERAKNDRMSGRELFLSDSSLFVDDVEAHEKYQRDQEPPVAEQKVSANSNRDRLGGGAKVTNDDDDDELDIDELNELEASLSKVTIQEPDTAA >ONI03172 pep chromosome:Prunus_persica_NCBIv2:G6:24116675:24119542:-1 gene:PRUPE_6G243000 transcript:ONI03172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQQSKADLAKKQKIVEDKTFGLKNKNKSKNVQKYVQTLKQSVQPQSDPSKTAAKKKKEEDKAKDKELNELFKVAVSQPKVPVGVDPKSILCEFYKAGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKHTMEEWDQETLEKVVESKKNEYQQNKPTDIVCKYFLEAVEKKQYGWFWVCPNGGKNCHYRHALPPGYVLKSQMKALLEEEAEKIPIEEEIENQRAKVTTSTPMTTELFMQWKKKKMAERDAGLAAEMAERAKNDRMSGRELFLSDSSLFVDDVEAHEKYQRDQEPPVAEQKVSANSNRDRLGGGAKVTNDDDDDELDIDELNELEASLSKVTIQEPDTAA >ONI04493 pep chromosome:Prunus_persica_NCBIv2:G6:28560804:28565894:-1 gene:PRUPE_6G324200 transcript:ONI04493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFKYLTPLMKFRTKAPCRAQLNSVVAATVNKPDHVTFVNHRLVLLPTSFMKMPRFLCMTYVTRSQPLTSASTAAKTSQNITIDPHPKEMEEEKTKFETGHEGKKIKGRVVLMKKNVLDLNDFKASVLDRVHELLGKAVSLRLISSVKGDPGFQGKLGNPAYLEDWITTITPLTAGESAFKVTFDWEDEIGVPGAFLIINNHHTEFFLKTVTLEDVPGEGRVHFVCNSWVYPAEKYTKDRVFFVNKTFLPSETPLPLQKYREEELVHLRGDGKGELQEWDRVYDYAYYNDLGNPDKGPKYARPTLGGSSKYPYPRRGRTGRPATETDSNSESRLPLLMSLNIYVPRDERFGHLKLSDFLAYALKSIAQFIRPELEALFDKTPNEFDSFEDVLKLYEGGIPLPEGLLKDIGDNIPAEMLKEIFRTDSAQLLRFPMPQVIKEDKSAWRTDEEFAREMLAGVNPVNIRRLQEFPPASKLDPKVYGDQTSTITEQDIGNTMDGLTVDEAFKQNKLFILDHHDALMPYLRRINSTSNKIYASRTILFLKSDGTLKPLVIELSLPHPDGDRFGRISKVYTPSEEGVEGSIWQLAKAYVAVNDSGYHQLISHWLNTHAVCEPVVIATNRQLSVVHPIYKLLHPHFRDTMNINAFARQILINAGGVLETTVFPARYAMEMSSVVYKDWVFTEQAFPEDLIKRGVAIKDANSPHGLRLLIEDYPYAVDGIEIWFAIKTWVEDCCSFYYKTDDIIQKDTELQSWWKELVEEGHGDKKDEPWWPKMQTREDLVETCTIIIWTASALHAAVNFGQYPYAGYLPNRPTISRKFMPEKGTPEYKELESSPDTVFLKTITAQLQTVLGIALIEILSRHSTDEVYLGQRDTPEWTADTEPLKAFDKFGKKLAEIEDRITSMNNDEKLKNRVGPVKVPYTLLFPTSEGLTGRGIPNSVSI >ONI04494 pep chromosome:Prunus_persica_NCBIv2:G6:28561066:28565875:-1 gene:PRUPE_6G324200 transcript:ONI04494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFKYLTPLMKFRTKAPCRAQLNSVVAATVNKPDHVTFVNHRLVLLPTSFMKMPRFLCMTYVTRSQPLTSASTAAKTSQNITIDPHPKEMEEEKTKFETGHEGKKIKGRVVLMKKNVLDLNDFKASVLDRVHELLGKAVSLRLISSVKGDPEKGFQGKLGNPAYLEDWITTITPLTAGESAFKVTFDWEDEIGVPGAFLIINNHHTEFFLKTVTLEDVPGEGRVHFVCNSWVYPAEKYTKDRVFFVNKTFLPSETPLPLQKYREEELVHLRGDGKGELQEWDRVYDYAYYNDLGNPDKGPKYARPTLGGSSKYPYPRRGRTGRPATETDSNSESRLPLLMSLNIYVPRDERFGHLKLSDFLAYALKSIAQFIRPELEALFDKTPNEFDSFEDVLKLYEGGIPLPEGLLKDIGDNIPAEMLKEIFRTDSAQLLRFPMPQVIKEDKSAWRTDEEFAREMLAGVNPVNIRRLQEFPPASKLDPKVYGDQTSTITEQDIGNTMDGLTVDEAFKQNKLFILDHHDALMPYLRRINSTSNKIYASRTILFLKSDGTLKPLVIELSLPHPDGDRFGRISKVYTPSEEGVEGSIWQLAKAYVAVNDSGYHQLISHWLNTHAVCEPVVIATNRQLSVVHPIYKLLHPHFRDTMNINAFARQILINAGGVLETTVFPARYAMEMSSVVYKDWVFTEQAFPEDLIKRGVAIKDANSPHGLRLLIEDYPYAVDGIEIWFAIKTWVEDCCSFYYKTDDIIQKDTELQSWWKELVEEGHGDKKDEPWWPKMQTREDLVETCTIIIWTASALHAAVNFGQYPYAGYLPNRPTISRKFMPEKGTPEYKELESSPDTVFLKTITAQLQTVLGIALIEILSRHSTDEVYLGQRDTPEWTADTEPLKAFDKFGKKLAEIEDRITSMNNDEKLKNRVGPVKVPYTLLFPTSEGLTGRGIPNSVSI >ONI04138 pep chromosome:Prunus_persica_NCBIv2:G6:27554824:27557623:1 gene:PRUPE_6G304900 transcript:ONI04138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPNHHHNHTPNGDRKTHVPKKPKLSPAFITASEIASEFSHHDPNIARINNGSFGSCPAFIIEAQRQWQLKYLAQPDHFYFNELTKGIHQSRTIIKDLINADHVEEVSIVDNATTAAAIVLQQAAWGFSESKFDKGDAVVMLHYAYGSVKKSIEAYISRAGGYVIEVPLPFPLTSNDEIITEFKKALEKGKANGRRVRLAVIDHITSMPCVVIPVRELVKICREEGVDQVFVDAAHSIGCTDVDMKLIGADYYTSNLHKWFFCPPAIAFLYCRKSPKCPELHHPVVSHEYGNGLAIESAWIGTRDYSPQLVVPSVLDFVNRFEGGIEGIKKRNHETVVEMGNMLAKAWGTHLGCPPEMCASMIMIGLPACLGISSEKDTQKLRTHLREKFGVEVPIYFRAPKNGEVESITGYCRISHQVYNKVDDYYKFRDAINQLVSEGFTCASLLSN >ONI04137 pep chromosome:Prunus_persica_NCBIv2:G6:27554824:27557623:1 gene:PRUPE_6G304900 transcript:ONI04137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPNHHHNHTPNGDRKTHVPKKPKLSPAFITASEIASEFSHHDPNIARINNGSFGSCPAFIIEAQRQWQLKYLAQPDHFYFNELTKGIHQSRTIIKDLINADHVEEVSIVDNATTAAAIVLQQAAWGFSESKFDKGDAVVMLHYAYGSVKKSIEAYISRAGGYVIEVPLPFPLTSNDEIITEFKKALEKGKANGRRVRLAVIDHITSMPCVVIPVRELVKICREEGVDQVFVDAAHSIGCTDVDMKLIGADYYTSNLHKWFFCPPAIAFLYCRKSPKCPELHHPVVSHEYGNGLAIESAWIGTRDYSPQLVVPSVLDFVNRFEGGIEGIKKRNHETVVEMGNMLAKAWGTHLGCPPEMCASMIMIGLPACLGISSEKDTQKLRTHLREKFGVEVPIYFRAPKNGEVESITGYCRISHQVYNKVDDYYKFRDAINQLVSEGFTCASLLSN >ONI04136 pep chromosome:Prunus_persica_NCBIv2:G6:27554820:27557623:1 gene:PRUPE_6G304900 transcript:ONI04136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPNHHHNHTPNGDRKTHVPKKPKLSPAFITASEIASEFSHHDPNIARINNGSFGSCPAFIIEAQRQWQLKYLAQPDHFYFNELTKGIHQSRTIIKDLINADHVEEVSIVDNATTAAAIVLQQAAWGFSESKFDKGDAVVMLHYAYGSVKKSIEAYISRAGGYVIEVPLPFPLTSNDEIITEFKKALEKGKANGRRVRLAVIDHITSMPCVVIPVRELVKICREEGVDQVFVDAAHSIGCTDVDMKLIGADYYTSNLHKWFFCPPAIAFLYCRKSPKCPELHHPVVSHEYGNGLAIESAWIGTRDYSPQLVVPSVLDFVNRFEGGIEGIKKRNHETVVEMGNMLAKAWGTHLGCPPEMCASMIMIGLPACLGISSEKDTQKLRTHLREKFGVEVPIYFRAPKNGEVESITGYCRISHQVYNKVDDYYKFRDAINQLVSEGFTCASLLSN >ONI04139 pep chromosome:Prunus_persica_NCBIv2:G6:27554817:27557634:1 gene:PRUPE_6G304900 transcript:ONI04139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPNHHHNHTPNGDRKTHVPKKPKLSPAFITASEIASEFSHHDPNIARINNGSFGSCPAFIIEAQRQWQLKYLAQPDHFYFNELTKGIHQSRTIIKDLINADHVEEVSIVDNATTAAAIVLQQAAWGFSESKFDKGDAVVMLHYAYGSVKKSIEAYISRAGGYVIEVPLPFPLTSNDEIITEFKKALEKGKANGRRVRLAVIDHITSMPCVVIPVRELVKICREEGVDQVFVDAAHSIGCTDVDMKLIGADYYTSNLHKWFFCPPAIAFLYCRKSPKCPELHHPVVSHEYGNGLAIESAWIGTRDYSPQLVVPSVLDFVNRFEGGIEGIKKRNHETVVEMGNMLAKAWGTHLGCPPEMCASMIMIGLPACLGISSEKDTQKLRTHLREKFGVEVPIYFRAPKNGEVESITGYCRISHQVYNKVDDYYKFRDAINQLVSEGFTCASLLSN >ONH99232 pep chromosome:Prunus_persica_NCBIv2:G6:1441292:1443935:-1 gene:PRUPE_6G019400 transcript:ONH99232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEMGCYSVGREGLLEDLALFWKHGVEVTVLSSSPGHIDAILQGVDGCFDEKEGPYLVLHHLLLAYANSVKLYKTKYQTSQKGLMGITIVKHWFVPLSESKQNKAPALRVLDLCMDAATRIASGEYPRRMLAILGRQLSKFTRAQSKLLAGSLDFLGINYYASYYASDAHKSTQLSLNGVQGALDWLNIYPKGIEELLIYTTNKYRNSLIYITENGIDEFNNPKLSLAEVLNDTTKIDYYKHHIYYIQSAIRRGDRVKGYFPWSLLDNYK >ONI02294 pep chromosome:Prunus_persica_NCBIv2:G6:19699501:19701315:1 gene:PRUPE_6G188700 transcript:ONI02294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVLQLIERYHTPFLSKTRVSEGESDEFHRLHHLLRISHHQPPSTPSSSQWFSLDGSLLSALSFLLSLSLSLSLFTSSLDLSRWLTP >ONI02292 pep chromosome:Prunus_persica_NCBIv2:G6:19699501:19701315:1 gene:PRUPE_6G188700 transcript:ONI02292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVLQLIERYHTPFLSKTRVSEGESDEFHRLHHLLRISHHQPPSTPSSSQWFSLDGSLLSALSFLLSLSLSLSLFTSSLDLSRWLTP >ONI02293 pep chromosome:Prunus_persica_NCBIv2:G6:19699501:19701314:1 gene:PRUPE_6G188700 transcript:ONI02293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVLQLIERYHTPFLSKTRVSEGESDEFHRLHHLLRISHHQPPSTPSSSQWFSLDGSLLSALSFLLSLSLSLSLFTSSLDLSRWLTP >ONI03098 pep chromosome:Prunus_persica_NCBIv2:G6:23821247:23824163:-1 gene:PRUPE_6G238500 transcript:ONI03098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMMSGDGFSIIPSAIDGFAQEHQLNANPSSQKPNPNNPVAKKKRNLPGTPDPDAEVVALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRLNKEVKKKVYICPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALTEESARLTTSVAGAATNLNFGNETMLNNTMMHNGHAVQDDVSQISQYGQGLIRQDFNGLDHRQQQHEKPSLSLWLNQQQANSNSHQLNLMDNMAANPNQLYVTSSSSTAFPEMAQMPPANNLNQLFGSPNAMSTFGSSNINLFGSGSASSSTSTSAANNLSLSSPIQEGGIHKGNSNLVGSGGLSKPPAAPMSATALLQKAAQLGSTRSSSNNNNNNQGSSSSSSLGVNVMSSSPSSSNTLIRSFSSNMNQNRSNELHQIVQNANQSGGGGANSNTSSTLEQLMNNITHRGSSTIGNLDHQNSLTRDFLGMGGGGAAADQLSHNNRPMFSPQELAKFASSMSQFTGNH >ONI00854 pep chromosome:Prunus_persica_NCBIv2:G6:7660387:7662861:1 gene:PRUPE_6G107500 transcript:ONI00854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEGEPGSSMHGVTGREQTFAFSVASPIVPTDPTAKFDLPVDSEHKAKVFKIFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVSDAGGYLAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPLVFDIIGRVGATPFTAWRIAFFIPGWLHVIMGIMVLTLGQDLPDGNLAALQKKGDVAKDQFSKVLWHAITNYRTWIFVLLYGYSMGVELSIDNVIAEYFYDRFNLKLHTAGIIAAAFGMANIVARPFGGFASDRAARYFGMRGRLWTLWILQTLGGVFCIWLGRANSLPIAVFAMILFSVGAQAACGATFGVIPFISRRSLGIISGLTGAGGNFGSGLTQLVFFSSAAFSTATGLSLMGVMIVCCTLPVTLVHFPQWGSMFLPPSKDVVKSTEEFYYGAEWNEEEKQKGLHQQSLRFAENSRSERGRRVASAPTPPNTTPSHV >ONI02517 pep chromosome:Prunus_persica_NCBIv2:G6:21059354:21061742:-1 gene:PRUPE_6G203200 transcript:ONI02517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEETIGETEGNPEMESPRGLRKMEPCEDEPSPRGVLEVPVTDSDYSSSSCASSSSGEKTAGQRTLVRDISNGLQWKGKGMFDALKKKSARRFSTIPLLGTSYELSRRNLRRRLARIWTAEDEEEGADVDGMIVTKPSWRNFDFAELAAATGNFKPENLVGKGGQAEVYKGLLSNGQIIAVKKLMKKDKEKENEDRVGDFLGELGIIAHISHPNAARLLGFGIDGGLHLVLQFSPHGSLASLLFGSEESMDWKVRFKVAVGIAEGLRYLHHECHRRIIHRDIKASNILLTEDYEAQISDFGLAKWLPEKWTHHVVFPIEGTFGYLSPEYFMHGIVDEKTDVFAYGVLLLELITGRRAVDSTQQSLVIWVCICYAIA >ONI02516 pep chromosome:Prunus_persica_NCBIv2:G6:21058225:21062080:-1 gene:PRUPE_6G203200 transcript:ONI02516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEETIGETEGNPEMESPRGLRKMEPCEDEPSPRGVLEVPVTDSDYSSSSCASSSSGEKTAGQRTLVRDISNGLQWKGKGMFDALKKKSARRFSTIPLLGTSYELSRRNLRRRLARIWTAEDEEEGADVDGMIVTKPSWRNFDFAELAAATGNFKPENLVGKGGQAEVYKGLLSNGQIIAVKKLMKKDKEKENEDRVGDFLGELGIIAHISHPNAARLLGFGIDGGLHLVLQFSPHGSLASLLFGSEESMDWKVRFKVAVGIAEGLRYLHHECHRRIIHRDIKASNILLTEDYEAQISDFGLAKWLPEKWTHHVVFPIEGTFGYLSPEYFMHGIVDEKTDVFAYGVLLLELITGRRAVDSTQQSLVIWAKPLLDASNVKELADPRLEDAFDPVEMKRAMVTASMCISHQSTKRPYMNRVVQILKGEGGIVDQLKQKSSVVRSLVLEACDLEDYTCSNYLSDLNRHRQLVMDQ >ONI05210 pep chromosome:Prunus_persica_NCBIv2:G6:30504228:30506886:-1 gene:PRUPE_6G362400 transcript:ONI05210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGTTTTTTRVAVLGGVLLFFAFVLNVSGNLVFSVNHKFKGRDKQVSLSALKEHDARRHGRLLAADAAVDLQLGGSGHPSEAGLYFAKIGIGSPPKDFHVQVDTGSDLLWVNCEACENCPTKSNLGFKLSVYDTKSSSTSNKVTCDQEFCTSTLNGRLPSCKPNMLCNYNIAYGDGSATSGYYVKDNIQLDKVTGNHQTTSTNGTVIFGCGAKQSGNLGKSPAAVDGILGFGQANASIISQLASSGKVKRQFSHCLDNVKGGGIYAIGEVVEPKVKNTTPLLPNMPHYTVSMKTIEVGGDAIELTTDIFGLFGDRKSAVIDSGTTLAYLTPEVFEPFIKKIFARQSGLKLHTVEEQFTCFEYTEKVDDGFPIVKFGFKSSASLTVYPHDYLFQLRDNVWCSGWQSNSMKSKDGKSLTLLGDLVLSNKLVLYDLENQAIGWTDYNCE >ONI05209 pep chromosome:Prunus_persica_NCBIv2:G6:30503142:30507253:-1 gene:PRUPE_6G362400 transcript:ONI05209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGTTTTTTRVAVLGGVLLFFAFVLNVSGNLVFSVNHKFKGRDKQVSLSALKEHDARRHGRLLAADAAVDLQLGGSGHPSEAGLYFAKIGIGSPPKDFHVQVDTGSDLLWVNCEACENCPTKSNLGFKLSVYDTKSSSTSNKVTCDQEFCTSTLNGRLPSCKPNMLCNYNIAYGDGSATSGYYVKDNIQLDKVTGNHQTTSTNGTVIFGCGAKQSGNLGKSPAAVDGILGFGQANASIISQLASSGKVKRQFSHCLDNVKGGGIYAIGEVVEPKVKNTTPLLPNMPHYTVSMKTIEVGGDAIELTTDIFGLFGDRKSAVIDSGTTLAYLTPEVFEPFIKKIFARQSGLKLHTVEEQFTCFEYTEKVDDGFPIVKFGFKSSASLTVYPHDYLFQLRDNVWCSGWQSNSMKSKDGKSLTLLGDLVLSNKLVLYDLENQAIGWTDYNCTSSIKLKDDKSGQVYSVGAHNLYSASSRIMGTLLTFLLLVIAMLQILH >ONI02724 pep chromosome:Prunus_persica_NCBIv2:G6:22475351:22475931:1 gene:PRUPE_6G218000 transcript:ONI02724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYCQLLLVANTKVLFKTRRKLEHDNVLSIIISCKHKRLANVIRLLIILVLVWPKDVQQDPLVGCCLRYASVSTCWDVSSHTQDVVIAAIRSFLPLWVSSSLSFSISWCFHSSHWSSNSELEDQPQLKSIVELN >ONI02901 pep chromosome:Prunus_persica_NCBIv2:G6:23182657:23185881:1 gene:PRUPE_6G227800 transcript:ONI02901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLHNHKIHIPLFSLLFFLFHFSSLHFLSLAYEQPDKYFVNCGSKDDANLNGRVFTADSSSVSLCSDSEKSRAIESTNQSRNLYQTARIFYNQSHYEFTIAENGTYIVRLHFLTFSSSVNLSSALFDVLAFPTVSNFGFKLLNNFTAKNSSNSPLIEEFFLAIGPGSLKLYFTPQGSSFAFVNAIEVFLAPLEFSPRNYSSSFPLVLRKTYRVNVGGQKITPDDDIIWRNWEQDDRYLSNSNSAEDSRPSSQPLIRQPVESDGFVGADSDLIAPDLVYQTAKLMTTSNEPSNPFNITWSFNVSADARHLVRVHFCDIIGPPGNLVFNLYSNGNFITKVGGPDFSFNSLYSPFYYDFVRSNGSEFINISIGPSTDTTTNNSFLNGLEMLEIIEGPALSNPPASVCTMKGPNKIKVGLVVGSVIGGLSLICILIVGILFGLKYRKAKRVETSEWSPMPAFGGGSTHSRLTDGTITGSPMDYLNLGLKISFAELQQATNNFDTKLLIGEGGFGNVYRGTLLNGRNVAVKRGKRDEQGSGQGLPEFQTEIMVLSQIRHRHLVSLIGYCDERSEMILVYEFMEKGSLRDHLYGSNLPRLSWKQRLEICIGAARGLHYLHRGAAGGIIHRDVKSTNILLDENHVAKVADFGLSRSGPLDETHVSTNVKGTFGYLDPEYIMSQQLTEKSDVYAFGVVLLEVLCARSAIDTMLPRDQMNLAEWGMLCKKKGLLEQIVDSSIKNQIDPSSFRNFSETAEKCLREDANDRPTMGDVLWDLEYAFQLQQTAKHREPHEDSTANASSAFVLPNVPRFPSVSSTINTDDLALPGDDELDTTEVEVFSLLRVGDAR >ONH99589 pep chromosome:Prunus_persica_NCBIv2:G6:2824549:2829251:1 gene:PRUPE_6G037400 transcript:ONH99589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWWRSSGMVMKQVVGSNHLGKPNSPYPCIGQVFGLKGFAKQECGLLTNPAISSSSLIPRRTLSSSDDFNGAGEINRGGGGGGGGETISFAEAKRLMRLVNVEALKMRLGAEGKEAIPYSDLIEACQSIGVARSPEEAAAFARVLDEAGVILLFRDKVLLHPNRVVELVQRAVPLVLTPEDDPMWEELKVLQEKKEEIDVQAHKQVRRILWSGLVLVVAQLGLFFRLTYWDFSWDVVEPLAYFTTTTCIGIGYAYFLITSRDPTYQDLMKRLFLRRQRKLIKRHNFDVERFKEIQKKCGAPLHASASIKNRVGMEVELDDALHRE >ONH99588 pep chromosome:Prunus_persica_NCBIv2:G6:2824540:2829251:1 gene:PRUPE_6G037400 transcript:ONH99588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWWRSSGMVMKQVVGSNHLGKPNSPYPCIGQVFGLKGFAKQECGLLTNPAISSSSLIPRRTLSSSDDFNGAGEINRGGGGGGGGETISFAEAKRLMRLVNVEALKMRLGAEGKEAIPYSDLIEACQSIGVARSPEEAAAFARVLDEAGVILLFRDKVLLHPNRVVELVQRAVPLVLTPEDDPMWEELKVLQEKKEEIDVQAHKQVRRILWSGLVLVVAQLGLFFRLTYWDFSWDVVEPLAYFTTTTCIGIGYAYFLITSRDPTYQDLMKRLFLRRQRKLIKRHNFDVERFKEIQKKCGAPLHASASIKNRVGMEVELDDALHRE >ONH99587 pep chromosome:Prunus_persica_NCBIv2:G6:2824549:2829251:1 gene:PRUPE_6G037400 transcript:ONH99587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWWRSSGMVMKQVVGSNHLGKPNSPYPCIGQVFGLKGFAKQECGLLTNPAISSSSLIPRRTLSSSDDFNGAGEINRGGGGGGGGETISFAEAKRLMRLVNVEALKMRLGAEGKEAIPYSDLIEACQSIGVARSPEEAAAFARVLDEAGVILLFRDKVLLHPNRVVELVQRAVPLVLTPEDDPMWEELKVLQEKKEEIDVQAHKQVRRILWSGLVLVVAQLGLFFRLTYWDFSWDVVEPLAYFTTTTCIGIGYAYFLITSRDPTYQDLMKRLFLRRQRKLIKRHNFDVERFKEIQKKCGAPLHASASIKNRVGMEVELDDALHRE >ONI01966 pep chromosome:Prunus_persica_NCBIv2:G6:17491212:17491728:1 gene:PRUPE_6G169500 transcript:ONI01966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSHCYAKGIRIMEVASRQKSFGLAVCWLSSGLLRWKGREKFLKIPDLLKLRDYGTRLRFGPLYGHLFQLTSGVFLCPIFF >ONI02591 pep chromosome:Prunus_persica_NCBIv2:G6:21739853:21740559:-1 gene:PRUPE_6G209000 transcript:ONI02591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDEVIEHHRRSPNLSRCMMSPSCFPVQGEMEYTRLQSCDRSKRSPRWRNLLRRLLSDRGRSRNMYGSKTTSFQYDAVSYSQNFDDGCHLQEQVNRRHIQAMFHDVRWDRLHE >ONI05205 pep chromosome:Prunus_persica_NCBIv2:G6:30491519:30496140:1 gene:PRUPE_6G362100 transcript:ONI05205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSAESRSPLPDSPSSPAWGVRRRKSTKKKMGSRNSSFDYRREEPLHRIPGRMFLNGSSEVASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPFGHMVAKRVRDSLPLKLSANWEVTITSEEVLKEISLNNSGSLNSDGTPFVYVDEDFRASIDIEETDKHPEIFQTLKESFLKAFKIMDRELRTQPSIDCFCSGTTAVTLVKQGRDLIIGNVGDSRAVLCTRDKDDTLIAIQLTVDLKPNLPAEAERIRKCRGRVFALQDEPEVSRVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDISFWRLTEKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVETAVRAWRQKYPTSKVDDCAVVCLFLDSDSNSGSTAANNWSSEQPATTDEVNGGTEQEDLSGTTCLVRSGTARTGEEILQEQEEEEEEEEGEGEDEEEASKENGAEEMNSEAGKEWSALEGVSRVNTLLTLPRFVPTKEDKKVWKKV >ONI05206 pep chromosome:Prunus_persica_NCBIv2:G6:30493333:30496140:1 gene:PRUPE_6G362100 transcript:ONI05206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKRVRDSLPLKLSANWEVTITSEEVLKEISLNNSGSLNSDGTPFVYVDEDFRASIDIEETDKHPEIFQTLKESFLKAFKIMDRELRTQPSIDCFCSGTTAVTLVKQGRDLIIGNVGDSRAVLCTRDKDDTLIAIQLTVDLKPNLPAEAERIRKCRGRVFALQDEPEVSRVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDISFWRLTEKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVETAVRAWRQKYPTSKVDDCAVVCLFLDSDSNSGSTAANNWSSEQPATTDEVNGGTEQEDLSGTTCLVRSGTARTGEEILQEQEEEEEEEEGEGEDEEEASKENGAEEMNSEAGKEWSALEGVSRVNTLLTLPRFVPTKEDKKVWKKV >ONI01172 pep chromosome:Prunus_persica_NCBIv2:G6:9677480:9689265:1 gene:PRUPE_6G126100 transcript:ONI01172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRPRLLVEHMLLSFLLWQFGFRHGSSETTTELDPKEVVVLNLILDKLRYSGPSYSNSSICHYGDLETIKLKINCTEDCGHDKNSYCHIKDFSFTGAALTGFIPEEVEELKHLETLDLSGNQLTGSIPDTLWNLSSLIQLDLSMNQLNGGISKRIESLRNLTILDLSGNQLTGSIPDTLWNLSSLIALDLSRNQLTGGISEHIQFLRNLTALYLGYNFLSGQIPSSLGALRSLQTLSLSYNELSGPIPYQLGNITALQTLVLNQNQLSGRLPPSFSNLSNLQNFWAASNDLTGEFPDYATLTQMNVFSISGNYMSGPFPADFIRNWTLISHLSILGNNFEGSLPAELFSFSSLDYLTISDVANSGFQFPRTANLSNIETLILRNCSITGEIPEYIGKMSNLEYLDLSFNILTGRIPQSMSGLNLTHLSLAKNKLNDTIPAWLVAVKTRLDLSYNSFSKVSFGVPDDMRDHLNLFACCRSSSLTDPDQLFVDPKKRMPTHCPRRKSKSRSLFINCGGEGLTVGDKYYEADNSTSLYYISPSKTWGYSLSGDLSPESNSSNFIQTQSCGIHVAESDLYLKARIAPLFLSYYAFCLQKGKYNVTLHFAEIVFKEKESYSILKRRVFDVYIQENRVLMNFDIAKEAKGPDEPQTKYFIADVSDSVLEISFYWAGKGCADDPPTLNGPLISAISITPVPQRSALWRKLKKALIITLPSSVVVLLLLLAFMWKIGWLGKRELSEIQIGQDKHVTLQELIGATRKFSSKMEIGRGHFGRVYKAELEGGQTTVAVKRLSTNSKEKVEELLNEFYALKSLRHENLVQLLDAYFGEGLHLLIYEYMPNLSIADAFFGSKSRLKFNWENRFNICLGIARGLDHLHEHPRLKMVHRDIKAENILLDGALNAKISDFGMASLYTEEEQLMIIKVEAPNGHMAPEYVVQGFVTSKVDVYSFGVLILEIVSGKKNAGYKFNHESEYLLDMAYVAYKNGSLVDLVDKNLSGNYDAKQAITILTLAVMCTNISPTLRPRMADVVSILVGEKTFEQINRPTVDDHQLNVAMAHGECTKADSSTSTDVSARASTSTKLIKGIEETEIHYAEPDLEILEESQTSFSG >ONI02147 pep chromosome:Prunus_persica_NCBIv2:G6:18514091:18518071:1 gene:PRUPE_6G179900 transcript:ONI02147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETAERGQDCFICKKGGHYAKDCPEKQKRGSLKSQICLKCGDSGHDMFSCRNDYLTEDLKEIQCYVCKRFGHLCCVKYVDTSPQEVSCYRCGQLGHTGMTHQRFGELSNYSTPILKSHKEKRDYTGFKSAPHDLNKAHKRKQTQYEERGMTTPQKTKHRGGWIMDDPGDFSPRNGKRNSWKSPATPPSGRVHASSSRSSKKMWKVSGSPISQGQSRSFHHRYSASRFSNSSADGIRRNYDWW >ONI02146 pep chromosome:Prunus_persica_NCBIv2:G6:18514091:18518071:1 gene:PRUPE_6G179900 transcript:ONI02146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETAERGQDCFICKKGGHYAKDCPEKQKRGSLKSQICLKCGDSGHDMFSCRNDYLTEDLKEIQCYVCKRFGHLCCVKYVDTSPQEVSCYRCGQLGHTGMGCVSLRGGETNDFVSPRLCYKCGEGGHYARACTSFANTHQRFGELSNYSTPILKSHKEKRDYTGFKSAPHDLNKAHKRKQTQYEERGMTTPQKTKHRGGWIMDDPGDFSPRNGKRNSWKSPATPPSGRVHASSSRSSKKMWKVSGSPISQGQSRSFHHRYSASRFSNSSADGIRRNYDWW >ONH99231 pep chromosome:Prunus_persica_NCBIv2:G6:1430216:1433264:-1 gene:PRUPE_6G019300 transcript:ONH99231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQLESLLLSLVLVIGFSLQSSEAATDPPVVCDFLNRSSFETGFVFGAASASYQYEGAAFEDGRGPSIWDNFTHQYPEKIADGTNGDVAINQYHRYKEDLAIAKNIGLDAYRFSISWSRLLPNGTLSGGVNQAGIEYYNKLINETIRQGLKPFATIFHWDLPQALEEEFGGLLSHQIVNYFRDYADLCFREFGDRVKYWITLNEPYTVSNMGYAIGTFAPGRCSNWQQLNCTGGDSAREPYLVTHHLLLSHAAAVQVYRSKYQASQKGVIGITLVSHWFKPVSEASHHRNAATRALDFMFGWFMEPITSGHYPHSMRLLVGPRLPKFNEEDSKLLAGSFDFLGLNYYTTYYASYAGHNNSVKASYVTDPRVNQSPELNGVLIGPQVHIKSSAGSSWLYVYPKGIHDLLVYVKEKYNDPIIYITENGVDELDDPNLSLVEALNDTDRIDYYHRHICYVQAAIKDGVKVKGFFAWSLLDNFEWASGYTVRFGLNYVDFKDGLKRYEKRSAQWFKNLLKK >ONI04016 pep chromosome:Prunus_persica_NCBIv2:G6:27099901:27101681:-1 gene:PRUPE_6G296500 transcript:ONI04016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYLIHQFLNNPPLYFSLFFPSPFNPFPLFSVSLIPLFSYHIITLRINLTLKSSQIVFEIDGAQERRRMRN >ONI04017 pep chromosome:Prunus_persica_NCBIv2:G6:27100326:27101681:-1 gene:PRUPE_6G296500 transcript:ONI04017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYLIHQFLNNPPLYFSLFFPSPFNPFPLFSVSLIPLFSYHIITLRINLTLKSSQIVFEIDGAQERRRMRN >ONI01574 pep chromosome:Prunus_persica_NCBIv2:G6:12236299:12241809:1 gene:PRUPE_6G147200 transcript:ONI01574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQGQYGDSGVNTYAAAAPMHHMSGQRMEHKSSHFEGRLEAFTPERDNPYASSKSEGQWRWERDGSKGSNPMASHMYNEGQGGDTSRSYYQGQRPDPKLAMEKQNNNDSRSQSHNEDMDLGYEDKPSSQTFEELEQKFLGDIRKLTKEQNDAEDAENARHKEKIGAINAQYEEQLVALRARHASRRDELLRRESNARQHQYQQSVMDRYPNSSMGPSELRGYSGVAASAAGGEGHRAYNTDQYESYRERARFLGGSRDHGFEPRGPYPGGRVYDTGSRYY >ONH99168 pep chromosome:Prunus_persica_NCBIv2:G6:1099500:1103573:1 gene:PRUPE_6G015200 transcript:ONH99168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAASSLQMATARPCMSSSRRAFVSSAAMLNGNFKLASWTKLSSACHIASAQPFHRSFTSSSLKMDKFVTKAMAGASENKPASGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKIYPLDAVFDNPEDVPEDIKTNKRYAGSSKWTVQEAAESVKSDFGSIDILVHSLANGPEVVKPLLETSRKGYLAALSASSYSYVSLLKHFLPIINPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRRKGIRVNAISAGPLRSRAAKAIGFIDMMIDYSSANAPLQKELSAGGREHSCVLGITFGLCHYWRCYICRQWFECNGCGS >ONH99166 pep chromosome:Prunus_persica_NCBIv2:G6:1099314:1104180:1 gene:PRUPE_6G015200 transcript:ONH99166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAASSLQMATARPCMSSSRRAFVSSAAMLNGNFKLASWTKLSSACHIASAQPFHRSFTSSSLKMDKFVTKAMAGASENKPASGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKIYPLDAVFDNPEDVPEDIKTNKRYAGSSKWTVQEAAESVKSDFGSIDILVHSLANGPEVVKPLLETSRKGYLAALSASSYSYVSLLKHFLPIINPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRRKGIRVNAISAGPLRSRAAKAIGFIDMMIDYSSANAPLQKELSAEEVGNTAAFLASPLASAITGGVIYVDNGLNAMGVGVDSPIFEKLDIPKAQH >ONH99167 pep chromosome:Prunus_persica_NCBIv2:G6:1099314:1104182:1 gene:PRUPE_6G015200 transcript:ONH99167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAASSLQMATARPCMSSSRRAFVSSAAMLNGNFKLASWTKLSSACHIASAQPFHRSFTSSSLKMDKFVTKAMAGASENKPASGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKIYPLDAVFDNPEDVPEDIKTNKRYAGSSKWTVQEAAESVKSDFGSIDILVHSLANGPEVVKPLLETSRKGYLAALSASSYSYVSLLKHFLPIINPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRRKGIRVNAISAGPLRSRAAKAIGFIDMMIDYSSANAPLQKELSAEEVGNTAAFLASPLASAITGGVIYVDNGLNAMGVGVDSPIFEKLDIPKAQH >ONH99165 pep chromosome:Prunus_persica_NCBIv2:G6:1099500:1103573:1 gene:PRUPE_6G015200 transcript:ONH99165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAASSLQMATARPCMSSSRRAFVSSAAMLNGNFKLASWTKLSSACHIASAQPFHRSFTSSSLKMDKFVTKAMAGASENKPASGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKIYPLDAVFDNPEDVPEDIKTNKRYAGSSKWTVQEAAESVKSDFGSIDILVHSLANGPEVLKPLLETSRKGYLAALSASSYSYVSLLKHFLPIINPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRRKGIRVNAISAGPLRSRAAKAIGFIDMMIDYSSANAPLQKELSAEEVGNTAAFLASPLASAITGGVIYVDNGLNAMGVGVDSPIFEKLDIPKAQH >ONH99164 pep chromosome:Prunus_persica_NCBIv2:G6:1099772:1104180:1 gene:PRUPE_6G015200 transcript:ONH99164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKLLGSACFGSFFSLVRFHLFKMATTAASSLQMATARPCMSSSRRAFVSSAAMLNGNFKLASWTKLSSACHIASAQPFHRSFTSSSLKMDKFVTKAMAGASENKPASGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKIYPLDAVFDNPEDVPEDIKTNKRYAGSSKWTVQEAAESVKSDFGSIDILVHSLANGPEVVKPLLETSRKGYLAALSASSYSYVSLLKHFLPIINPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRRKGIRVNAISAGPLRSRAAKAIGFIDMMIDYSSANAPLQKELSAEEVGNTAAFLASPLASAITGGVIYVDNGLNAMGVGVDSPIFEKLDIPKAQH >ONH99169 pep chromosome:Prunus_persica_NCBIv2:G6:1099500:1103573:1 gene:PRUPE_6G015200 transcript:ONH99169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAASSLQMATARPCMSSSRRAFVSSAAMLNGNFKLASWTKLSSACHIASAQPFHRSFTSSSLKMDKFVTKAMAGASENKPASGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKIYPLDAVFDNPEDVPEDIKTNKRYAGSSKWTVQEAAESVKSDFGSIDILVHSLANGPEVVKPLLETSRKGYLAALSASSYSYVSLLKHFLPIINPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRRKGIRVNAISAGPLRSRAAKAIGFIDMMIDYSSANAPLQKELSAGGREHSCVLGITFGLCHYWRCYICRQWFECNGCGS >ONI00589 pep chromosome:Prunus_persica_NCBIv2:G6:6746430:6760260:1 gene:PRUPE_6G097000 transcript:ONI00589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASCKEKLAYFRIKELKDVLTQLSLSKQGKKQDLVDRILALLSEDQVSKMWPKKNTVRKEQVAELVDDTYRKMQISGAPDLASKGQCISDSSNVKIKGEIEDPFQSDIKVRCLCGRLLETESMIKCEDPRCQVWQHMSCVIIPEKPVEGNLPVPELFYCEMCRLSRADPFWVSIQHPLHPVKLNATNSPTDGSNPVQTVEKTFHLTRADKDLLSKQEYDVQAWCMLLNDKVAFRMQWPQYADLQVNGMPVRAINRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARMFCLGVRIVKRRTLQQVLNVIPKESDGERFEDALARVCRCVGGGTAMDNDDSDSDLEVVADSFTVNLRCPMSGSRMKVAGRFKPCLHMGCFDLEVFVEMNQRSRKWQCPICLKNYALENVIIDPYFNRITSKMRYCGEDVAEIEVKPDGSWRVKTKSESDRRDLGELGWWYLPDSTLAPTDEEIIPKTEVLKQVKQEGVSDGHTGLKLGMRKNRNGVWEFSKPEDMNTSSDNRLQVPFGDHEVKVIPMSSSATGSGRDGEDASVNQDGGGNFDFSTNNGIEMDSFSLNVDSVYGFSGQNPSATVGDAEVIVLSDSDDDIMPSGTIYRGERNDTGGINFPVAPSGIADSYGEDPTLGTGGNPCLGLFNGNDDDFIPLWPPLAPGTQSGPGFQLFSSEADVPDTLVGLPHGSINCSTSMNGYTLASETGMGSATLVPDSSVGRSDADMNDGLVDNPLAFAGDDPSLQIFLPTRPSDASVHSDLRDQADMSNGVPTDDWISLRLGGDASGINGAPATPNGLNSRMQMPSRDGAMDSLADTGIEPCSPRHDEERQILCEPLQRPEYRG >ONI00588 pep chromosome:Prunus_persica_NCBIv2:G6:6746430:6760260:1 gene:PRUPE_6G097000 transcript:ONI00588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASCKEKLAYFRIKELKDVLTQLSLSKQGKKQDLVDRILALLSEDQVSKMWPKKNTVRKEQVAELVDDTYRKMQISGAPDLASKGQCISDSSNVKIKGEIEDPFQSDIKVRCLCGRLLETESMIKCEDPRCQVWQHMSCVIIPEKPVEGNLPVPELFYCEMCRLSRADPFWVSIQHPLHPVKLNATNSPTDGSNPVQTVEKTFHLTRADKDLLSKQEYDVQAWCMLLNDKVAFRMQWPQYADLQVNGMPVRAINRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARMFCLGVRIVKRRTLQQVLNVIPKESDGERFEDALARVCRCVGGGTAMDNDDSDSDLEVVADSFTVNLRCPMSGSRMKVAGRFKPCLHMGCFDLEVFVEMNQRSRKWQCPICLKNYALENVIIDPYFNRITSKMRYCGEDVAEIEVKPDGSWRVKTKSESDRRDLGELGWWYLPDSTLAPTDEEIIPKTEVLKQVKQEGVSDGHTGLKLGMRKNRNGVWEFSKPEDMNTSSDNRLQVPFGDHEVKVIPMSSSATGSGRDGEDASVNQDGGGNFDFSTNNGIEMDSFSLNVDSVYGFSGQNPSATVGDAEVIVLSDSDDDIMPSGTIYRGERNDTGGINFPVAPSGIADSYGEDPTLGTGGNPCLGLFNGNDDDFIPLWPPLAPGTQSGPGFQLFSSEADVPDTLVGLPHGSINCSTSMNGYTLASETGMGSATLVPDSSVGRSDADMNDGLVDNPLAFAGDDPSLQIFLPTRPSDASVHSDLRDQADMSNGVPTDDWISLRLGGDASGINGAPATPNGLNSRMQMPSRDGAMDSLADTASLLLGMNDGSRSDKTSRQRSNSPFSFPRQKRSVRPRLYLSIDSDSE >ONI00591 pep chromosome:Prunus_persica_NCBIv2:G6:6746937:6756620:1 gene:PRUPE_6G097000 transcript:ONI00591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASCKEKLAYFRIKELKDVLTQLSLSKQGKKQDLVDRILALLSEDQVSKMWPKKNTVRKEQVAELVDDTYRKMQISGAPDLASKGQCISDSSNVKIKGEIEDPFQSDIKVRCLCGRLLETESMIKCEDPRCQVWQHMSCVIIPEKPVEGNLPVPELFYCEMCRLSRADPFWVSIQHPLHPVKLNATNSPTDGSNPVQTVEKTFHLTRADKDLLSKQEYDVQAWCMLLNDKVAFRMQWPQYADLQVNGMPVRAINRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARMFCLGVRIVKRRTLQQVLNVIPKESDGERFEDALARVCRCVGGGTAMDNDDSDSDLEVVADSFTVNLRCPMSGSRMKVAGRFKPCLHMGCFDLEVFVEMNQRSRKWQCPICLKNYALENVIIDPYFNRITSKMRYCGEDVAEIEVKPDGSWRVKTKSESDRRDLGELGWWYLPDSTLAPTDEEIIPKTEVLKQVKQEGVSDGHTGLKLGMRKNRNGVWEFSKPEDMNTSSDNRLQVPFGDHEVKVIPMSSSATGSGRDGEDASVNQDGGGNFDFSTNNGIEMDSFSLNVDSVYGFSGQNPSATVGDAEVIVLSDSDDDIMPSGTIYRGERNDTGGINFPVAPSGIADSYGEDPTLGTGGNPCLGLFNGNDDDFIPLWPPLAPGTQSGPGFQLFSSEADVPDTLVGLPHGSINCSTSMNGYTLASETGMGSATLVPDSSVGRSDADMNDGLVDNPLAFAGDDPSLQIFLPTRPSDASVHSDLRDQADMSNGVPTDDWISLRLGGDASGINGAPATPNGLNSRMQMPSRDGAMDSLADTGSFSFDRIATMSFRSVHDWH >ONI00590 pep chromosome:Prunus_persica_NCBIv2:G6:6746430:6760260:1 gene:PRUPE_6G097000 transcript:ONI00590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVASCKEKLAYFRIKELKDVLTQLSLSKQGKKQDLVDRILALLSEDQVSKMWPKKNTVRKEQVAELVDDTYRKMQISGAPDLASKGQCISDSSNVKIKGEIEDPFQSDIKVRCLCGRLLETESMIKCEDPRCQVWQHMSCVIIPEKPVEGNLPVPELFYCEMCRLSRADPFWVSIQHPLHPVKLNATNSPTDGSNPVQTVEKTFHLTRADKDLLSKQEYDVQAWCMLLNDKVAFRMQWPQYADLQVNGMPVRAINRPGSQLLGANGRDDGPIITPYTKDGINKISLTGCDARMFCLGVRIVKRRTLQQVLNVIPKESDGERFEDALARVCRCVGGGTAMDNDDSDSDLEVVADSFTVNLRCPMSGSRMKVAGRFKPCLHMGCFDLEVFVEMNQRSRKWQCPICLKNYALENVIIDPYFNRITSKMRYCGEDVAEIEVKPDGSWRVKTKSESDRRDLGELGWWYLPDSTLAPTDEEIIPKTEVLKQVKQEGVSDGHTGLKLGMRKNRNGVWEFSKPEDMNTSSDNRLQVPFGDHEVKVIPMSSSATGSGRDGEDASVNQDGGGNFDFSTNNGIEMDSFSLNVDSVYGFSGQNPSATVGDAEVIVLSDSDDDIMPSGTIYRGERNDTGGINFPVAPSGIADSYGEDPTLGTGGNPCLGLFNGNDDDFIPLWPPLAPGTQSGPGFQLFSSEADVPDTLVGLPHGSINCSTSMNGYTLASETGMGSATLVPDSSVGRSDADMNDGLVDNPLAFAGDDPSLQIFLPTRPSDASVHSDLRDQADMSNGVPTDDWISLRLGGDASGINGAPATPNGLNSRMQMPSRDGAMDSLADTGIEPCSPRHDEERQILCEPLQRPEYRG >ONI01880 pep chromosome:Prunus_persica_NCBIv2:G6:16083304:16085634:-1 gene:PRUPE_6G164400 transcript:ONI01880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMACLLLALAFVAAEAQQMQSNISQGSSLKPTTNSTWLSRSGLYAFGFYRQGNGYAVGIFLAGIPEKTVVWTANRDDPPVSNNATLLFTGDGLALTTAEGQNYLVKSSGSASYASMLNSGNFVLYNSGRKIVWQSFDHPTDTLLPGQRLLSGNELFSAKSEADHSTGIFRLKMQKDGNLVQYPVDTPDTSPYAFYASSTYGAGENLTLNLGADGRLYLLNGTGSNILNITDGGLPTDEGKLYLMRIDANGIFRLYSHDLTKNAIWSVEWESSKDKCVPKGLCGLNSYCVLIDLEPDCRCLPRFESVNQGNQTSGCQRNFVADACKNRNENFTYTMEELESTTWEDVSYMSLRLSDKDDCIQVCLEDCNCEAALFDGTNCRKQRLPLRYGRRNTGTSDRALIKVGVPTKPHTDPRIVQPGSKKKGRTDILIIGLSFTAFGSILLLISVIVLWKHNVWAYKRMSAVNGDLELNESVAPRRYAYEELEEMTNNFKEELGRGASSTVYKGLILGTQKPVAVKRLEKVAAEGETEFQTEIRVIGRTHHKNLVRLLGYCLDGAKKLLVYEYMSNGSLSDVLFTSERQPFWEERMGIARNIARGFLYLHEECDTQIIHCDIKPQNILLDEFMCPKISDFGLAKLLKADQTRTTTGIRGTKGYVAPEWHRKMPITVKADVYSFGIVLLEIICCRRNVDWSLPEEEAILDELACNCFEKGELGKLAGDEEIERRQFERMIKVGLWCIQDEPSLRPSMKKVLLMLEGTVDIPTPPSPSSFFSAI >ONI04764 pep chromosome:Prunus_persica_NCBIv2:G6:29324310:29324610:-1 gene:PRUPE_6G338300 transcript:ONI04764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSNSIEFNQNKRAARTQAPIAINYDVGSPTWGDLKLAPLLSAMEVTNLGHESS >ONH99062 pep chromosome:Prunus_persica_NCBIv2:G6:669209:669740:1 gene:PRUPE_6G008200 transcript:ONH99062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLAHGLVGGFWSHCGLSEGVPMLCRLCFSDKKVNARYVSEVFKIGIQLENELERAEIERGVRKLMVDEDGKGMRVRARELKEKIDVSMKGGSTYHSMKSACGAYKTLHFKVVEATTSR >ONI03645 pep chromosome:Prunus_persica_NCBIv2:G6:25730982:25734172:1 gene:PRUPE_6G271500 transcript:ONI03645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWVRFLVLFLACLLPGLVESRIRHYKFNVVLKNTTRLCSTKPIVTINGRFPGPTLYAREDDTVLVKVTNHVKYNVSIHWHGVRQLQTGWADGPAYITQCPIPPGQSYVYNFTITGQRGTLLWHAHILWLRATVHGALVILPKRGVPYPFPAPHKEVNVILAEWWKSDTEAVINQALSSGVAPNVSDAHTINGHPGSVANCSSQGGFTLPVEKGKTYLLRIINAALNEELFFKIAGHKLTIVEVDATYVKPFKTDTIVIAPGQTTNALITANQNSGKYLVAASPFMDSPIAVDNLTATATLHYSGTLATTPTTLTNPPPQNATQVANNFINSLKSLNSKKFPAKVPLKIDHNLLFTVGLGINPCPTCKAGNGSRVVASVNNVTFVMPTTALLQAHVFNISGVFTTDFPGNPPNTFNFSGGPSANASMATTNGTKLYRLAYNSTVQLVLQDTGTIAPENHPVHLHGYNFYAVGRGVGNYNPKKDPKKFNLVDPVERNTVGVPSGGWAAIRFQADNPGVWFLHCHLEVHTTWGLKMAFLVDNGKGPNESILPPPSDLPKC >ONI00426 pep chromosome:Prunus_persica_NCBIv2:G6:6017587:6018827:1 gene:PRUPE_6G088300 transcript:ONI00426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMHLFLHLLLVFYLTHCRAHRVSVFCPIYCRAHRVSVFRLIYCRAHRASVFCLTYCRAHRVSMFCLIYYHVHKISVFCLTYCLAHRISVFCLTYYRAHMIFCVLLLCVLLCALFVRVFMTRPSLQLFDWSMSSMVFYDSLVKLDMRNIFVPT >ONI02321 pep chromosome:Prunus_persica_NCBIv2:G6:19806522:19813967:-1 gene:PRUPE_6G191000 transcript:ONI02321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRKLEEVQRMLTFVQSRGIPTTSSPDDCSCFLTKLILLLVQPCGELDLGKKCSLVSEYMPKISAAFLDEASKWLNGEGYEERSVENALQLACSHKPESSSLDNSYEEMAMVGLDAMQRANSTLEDFCRSYFMFHGMDINKPQSVFQYLPVLSFTESYIYQLDRLNEKTLHAPSNEMNMLERGSQTEGQWLISRCTNMFKSDPFRPLSCLLECHGLLTKRIQDEFKSGEGYWALERKLCYALINKTEISVEDVIKAINQKSFDYRVLNLLLYQLRGEEVNELHMEFLSISEFLVEVADDLFDYEEDVIENNFNILRMFVRTYGACAPTVLAKYIAEAEEKYNNLLKMLDPQLSLNYRRRCVEATKEGGNMSAHPLGTWSIPPLILDEEFYRSSLLDSKTQL >ONI02324 pep chromosome:Prunus_persica_NCBIv2:G6:19809632:19813967:-1 gene:PRUPE_6G191000 transcript:ONI02324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRKLEEVQRMLTFVQSRGIPTTSSPDDCSCFLTKLILLLVQPCGELDLGKKCSLVSEYMPKISAAFLDEASKWLNGEGYEERSVENALQLACSHKPESSSLDNSYEEMAMVGLDAMQRANSTLEDFCRSYFMFHGMDINKPQSVFQYLPVLSFTESYIYQLDRLNEKTLHAPSNEMNMLERGSQTEGQWLISRCTNMFKSDPFRPLSCLLECHGLLTKRIQDEFKSGEGYWALERKLCYALINKTEISVEDVIKAINQKSFDYRVLNLLLYQLRGEEV >ONI02323 pep chromosome:Prunus_persica_NCBIv2:G6:19808425:19813967:-1 gene:PRUPE_6G191000 transcript:ONI02323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRKLEEVQRMLTFVQSRGIPTTSSPDDCSCFLTKLILLLVQPCGELDLGKKCSLVSEYMPKISAAFLDEASKWLNGEGYEERSVENALQLACSHKPESSSLDNSYEEMAMVGLDAMQRANSTLEDFCRSYFMFHGMDINKPQSVFQYLPVLSFTESYIYQLDRLNEKTLHAPSNEMNMLERGSQTEGQWLISRCTNMFKSDPFRPLSCLLECHGLLTKRIQDEFKSGEGYWALERKLCYALINKTEISVEDVIKAINQKSFDYRVLNLLLYQLRGEEL >ONI02322 pep chromosome:Prunus_persica_NCBIv2:G6:19806634:19813967:-1 gene:PRUPE_6G191000 transcript:ONI02322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRKLEEVQRMLTFVQSRGIPTTSSPDDCSCFLTKLILLLVQPCGELDLGKKCSLVSEYMPKISAAFLDEASKWLNGEGYEERSVENALQLACSHKPESSSLDNSYEEMAMVGLDAMQRANSTLEDFCRSYFMFHGMDINKPQSVFQYLPVLSFTESYIYQLDRLNEKTLHAPSNEMNMLERGSQTEGQWLISRCTNMFKSDPFRPLSCLLECHGLLTKRIQDEFKSGEGYWALERKLCYALINKTEISVEDVIKAINQKSFDYRVLNLLLYQLRGEEVNELHMEFLSISEFLVEVADDLFDYENIWSVCPNCAGKIHS >ONI00582 pep chromosome:Prunus_persica_NCBIv2:G6:6707989:6708525:-1 gene:PRUPE_6G096600 transcript:ONI00582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSSSPLFFFTFFIVFNTNQIKQPGHLASILVKNPTSRSATQQIHSHILTSGFLLHHSISNALLLLFNTLLRCSSFGPFPREAFTFYKHLQHFPLSFDSFTYSFLLHASITLKSNNPGNQIHALTHKLGFHFHVYVQTALLNMYVACGSLLLALHVFYEMPDWNSVTWNFMIIELVS >ONI02205 pep chromosome:Prunus_persica_NCBIv2:G6:19048482:19052660:-1 gene:PRUPE_6G183300 transcript:ONI02205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEAVTLLLVNLASIMQRADESLLPGVYKEVGASLHTDPTGLGSLTLFRSIVQSACYPLAAYLAMRHNRAHVIALGAFLWAAATFLVGFSSTFLQVAVSRGLNGIGLAIVTPAIQSLVADSTDESNRGTAFGWLQLTGNLGSIIGGLCSVLIASTTFMGIPGWRIAFHLVGLISVIVGILVRLFANDPHYLESNGRAKDITSHKPFSSEVKDLIIEAKSVIRIPSFQILIAQGVFGSFPWSGLSFAPLWLELIGFSHKETAVLWTIFIIGGSLGSVFGGIMGDVLAKPFPNAGRIVLSQISAGSAIPFAAILLLVLPDDPSTGFVHGLVLFIMGWFTSWNAPATNNPIFAEIVPERSRTSIYALDQSFESILSSFAPPIVGILAQHVYGFKPIPKGSSNSVEIETDRENAASLAKALYTALGIPMTLCVLIYSFLYCTYPRDRDRARMQALAESEMQELETDCSRFSGEEYSEVCVSESKVLNGSEKSKTDMEYGLEGSIDLDDTDEKSLLSCQQPSNLRE >ONI05277 pep chromosome:Prunus_persica_NCBIv2:G6:30677010:30679410:1 gene:PRUPE_6G365500 transcript:ONI05277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFSPPAVELGEVEEVYEIDLEQLESLLSLLQSSADGCLESSFDGLNLTLHPEFVIKVVETPLVLGENLIRFFKWALKEKPEFGVTTHILDALVRATCSGLVRKRDVYYLWDLVKEVGEKENTVVHVEILNALISSFSKLGKGKAALEVFNKFGDFGCVPNADTYYFTIEALCRRSIFGWAQSVCEKMLDAGILPDGEKVGRIISWFCKGKKAKDAHLVYSSAANVKKQYLPPASVYFLISSLCREDETVKLALDMLDDFAGEARKYAIKPFSAVVRGLCRTKDVDGAKKLLLEMTMKGPPPGNAVFNMVINCYCKAGDMGEAIEMMNLMKSRGLKPDVYTYTVIMSGYTNGGQMEEACKILSEAKNKHPKLSPVTYHTLIRGYCKLEEFDKGLKLLREMKDSGVQPNVDEYNKLIQSLCLKALDWETAEKLLEEMKDNGLHLNGITRGLIKAVKELKEEKIETENVVAEA >ONI05276 pep chromosome:Prunus_persica_NCBIv2:G6:30677010:30679397:1 gene:PRUPE_6G365500 transcript:ONI05276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSVVTARASLSTQAAQKLCATRNHKVLSSKTLTLVDQSPHFPTRLSLCQTRRFLSQLSENPSDSDSAEGQQLSVDFGENGDTHRENLTPGNGLVGEEKGDTQMNMFSPPAVELGEVEEVYEIDLEQLESLLSLLQSSADGCLESSFDGLNLTLHPEFVIKVVETPLVLGENLIRFFKWALKEKPEFGVTTHILDALVRATCSGLVRKRDVYYLWDLVKEVGEKENTVVHVEILNALISSFSKLGKGKAALEVFNKFGDFGCVPNADTYYFTIEALCRRSIFGWAQSVCEKMLDAGILPDGEKVGRIISWFCKGKKAKDAHLVYSSAANVKKQYLPPASVYFLISSLCREDETVKLALDMLDDFAGEARKYAIKPFSAVVRGLCRTKDVDGAKKLLLEMTMKGPPPGNAVFNMVINCYCKAGDMGEAIEMMNLMKSRGLKPDVYTYTVIMSGYTNGGQMEEACKILSEAKNKHPKLSPVTYHTLIRGYCKLEEFDKGLKLLREMKDSGVQPNVDEYNKLIQSLCLKALDWETAEKLLEEMKDNGLHLNGITRGLIKAVKELKEEKIETENVVAEA >ONI03394 pep chromosome:Prunus_persica_NCBIv2:G6:24763204:24767821:1 gene:PRUPE_6G254500 transcript:ONI03394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMPNPRLRSLLWWRRWAKKDWAIAAVGFTVVAFALTLLSNSWRDEPDSDITRPFHPITASDLVELTLLQNAKARGALCLDGSAPGYHFKKGFGSGANNWLLHIEGGGWCNTMESCSWRKGTSLGSSKYMDHKVPFSGILSSHPSENPEFFNWNKVKIRYCDGASLAGHPENDLKNGSALFFRGQLIWEAVMDELLSVGLSKAKQALLSGCSAGGLATLIHCDDFRRLLPTDATVKCLADAGFFLDEKDVLQHRTMRAFYHDVVLLQGLAKSLHQDCVTRMEPSKCLFPEEIIKNINTPVFLVNPAYDFWQIQHILIPEASDPHGYWQKCKLNIYNCNPSQLEILQGFRGSMLKALNEFRKNKEGGMFINSCFIHCQTWITETWHSPSSPRINNKTIAESVGNWYFSRNVVKQIDCPFPCNPTCYHMNFTVFSRG >ONI03396 pep chromosome:Prunus_persica_NCBIv2:G6:24763204:24765159:1 gene:PRUPE_6G254500 transcript:ONI03396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMPNPRLRSLLWWRRWAKKDWAIAAVGFTVVAFALTLLSNSWRDEPDSDITRPFHPITASDLVELTLLQNAKARGALCLDGSAPGYHFKKGFGSGANNWLLHIEGGGWCNTMESCSWRKGTSLGSSKYMDHKVPFSGILSSHPSENPEFFNWNKVKIRYCDGASLAGHPENDLKNGSALFFRGQLIWEAVMDELLSVGLSKAKQALLSGCSAGGLATLIHCDDFRRLLPTDATVKCLADAGFFLDEYVYVY >ONI03395 pep chromosome:Prunus_persica_NCBIv2:G6:24763204:24767821:1 gene:PRUPE_6G254500 transcript:ONI03395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMPNPRLRSLLWWRRWAKKDWAIAAVGFTVVAFALTLLSNSWRDEPDSDITRPFHPITASDLVELTLLQNAKARGALCLDGSAPGYHFKKGFGSGANNWLLHIEGGGWCNTMESCSWRKGTSLGSSKYMDHKVPFSGILSSHPSENPEFFNWNKVKIRYCDGASLAGHPENDLKNGSALFFRGQLIWEAVMDELLSVGLSKAKQALLSGCSAGGLATLIHCDDFRRLLPTDATVKCLADAGFFLDEKDVLQHRTMRAFYHDVVLLQGLAKSLHQDCVTRMEPSKCLFPEEIIKNINTPVFLVNPAYDFWQGSAVRC >ONH99171 pep chromosome:Prunus_persica_NCBIv2:G6:1110008:1115139:1 gene:PRUPE_6G015400 transcript:ONH99171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREHGTSGHPWGTLEELLLVCAVNRHGTKSWDSVAMEVQTKSSSTFASTLLTAQDCRDKFDDLKRRFVSKNDNQSHSLGQMVDELRNLRVDELRHEVHRRDVSIVSLELKVKRLEEERERSLKEEAEAHPNNDLQSGGEDQLEASPENIAGETNSGEDFDSDERENRSFNESNSTSQRGEAKENSVVAKQSKEEEPGPDGNEPDRVRTGTRTEPDRDCSVNGKVIDDEKEDNKKGGETVGATQLGESNEFWESVSESKREGKRGGVASKQNSDVQSSASLSKRKRRRGGGGGEGGGRRSRSRSSSGEEAEGDEVSPATSKGIKPKLVKHEPLIKVIRIIRSHRLGSVFERRLRSQESERYKSLIRQHMDLHEVQSRLNKGVYTDCTHKFFRDLLLLFNNAVVFLRKTSPEHMAAQELRAIVLKEMTDQLPKPQPAIDTVKLHAPKLESKVPKIEPDSSVKPSKPSIVVCGLRRSANGGGGAKNRKGDKRERGEVEEKGKASEVEDKGIRKKRTQERGGPRGSRRGSSKNVGKTEHAYGGNELSSHDGLEEMKMEKIENAKTTKQGAARTFLKRMKQNSTSTVVKRGSSDVSEGGESEDSMVEEEEKKQKGKTKPDERKKRVTRSSTGRRGGRGGGRRNEESSGRAKRGVGRPPKRPETTEAGNGSGKRGRGNGEADQMGSAGRPRKRTRR >ONI00142 pep chromosome:Prunus_persica_NCBIv2:G6:4813540:4814151:1 gene:PRUPE_6G070100 transcript:ONI00142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDQAIQENSEQVSDDKQGASQAAKSYECTFCKRGFSNAQALGGHMNIHRKDKAKLKQVSSSNTIETKQHQQQQQRLLDIPKMPSSYSSPIVPTINSSEHNKLKPSRDAERNTSTWPWFLNEEGDATMRHNIDPNHLSQVQQQLPLFSQATSQARVHENPGLRQVHGDQGLQPSASELDLELRLGPERQDSSSKANTTRKFF >ONI02953 pep chromosome:Prunus_persica_NCBIv2:G6:23377857:23379076:1 gene:PRUPE_6G231000 transcript:ONI02953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIVVMWIGPLLLATLLTTSLSKWDPHRRKPTYAHSIISGAGAMTLKAEDPPLVLTTLNERVGEVRNGELR >ONI04822 pep chromosome:Prunus_persica_NCBIv2:G6:29475004:29477713:1 gene:PRUPE_6G341400 transcript:ONI04822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSKSIAFFAALLVLLPMAALASDWDNIAPSIAAEVCKEVECGRGTCKFDVDDPLGFTCECEAGWKRTRDGDDDLQFLPCVIPNCTLDYSCQPAPPPVPDKEFPRNLSAFDPCYWVYCGEGNCVRNRTYAHTPICECKSGFSNLLNVSAFPCYNECTLQPDCKSLGITVAKSTSTDNNQATSFLPGKLQLMTMAMVYVGIILWK >ONI02651 pep chromosome:Prunus_persica_NCBIv2:G6:22084944:22089869:1 gene:PRUPE_6G212600 transcript:ONI02651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLPLKSLLVLFAVHLCVSSVMASLAGDTQTLIRVKAKLSDPDGKLDDWVPNSDHNPCNWTGITCEPNTHTVLAVNISGLGIAGGFPYGFCHIRTLRNLSVSFNSINGSLQTQTLSLCSHLQVLELESNYIVGELPEFSPDFTDLQVLDLQSNNFSGDIPASFGRLPSLKVLLLSQNLLNGSIPSFLCNLTELTRLALAYNPFKHAVLPSEIGNLTKLETLFIPQSNVKGQIPDSIGNLVSLKSLDLSQNSLTGVLPESIGRLRSAFEIELFTNHLFGELPESIANLSSLRYLDLSLNAFTGKLSEKIAGMRLVSLNLNDNFLQGEVPQILGSNPILRQLKLFNNSFSGSLPENLGRYSDLDDLDVSTNKFTGELPKYLCYKKKLTRLVAFSNQFSGNLPDTLSECDSLGYVRIEHNEFSGVVSDKFWGLPLLTFLQINNNRFNGTFSPSISAANGLTTLLISGNQFSGGIPPEMCKLSDLAKLDLSKNQFSGDLPLCITELKKLQKLKMQENMFSGQIPSQVSSWTELIELNLASNQLSGWIPPELGDLPVLNYLDLSENFLTGEIPVELTKLKLNQFNVSNNKLYGKIPSGFNYELYVSGLMGNPNLCSPDLKPMPTCSKPKSAAPFLIVILSVCVLLLVGSLAWYLKLRSKAFGGKTKRLYRVTTFQRVGFNEEEVMSSLTKENQIATGGSGHVYRVKLKTGQTVAVKKLWGGSREPETEGVFRSEVETLGRIRHGNIVKLMFCCSGEDSRILGYEYMENGSLGDCLHGEKVGALEDWAKRFEIAVGSAHGLAYLHHDCVPAIVHRDVKSNNILLDEDWTPRLADFGLAKTLQKDVAAGCGAMSRIAGSYGYIAPEYAYTLKVTEKSDVYSFGVVLLELITGKRPNDLSFGENQDLVKWVSEAAVGSPERGEENGGDGNGCFNADLSQIVDPRMNLSTCDYDEIEKVLMVALLCTSAFPINRPSMRKVVEMLNDRNQSRSFKMMAQI >ONI00126 pep chromosome:Prunus_persica_NCBIv2:G6:4747960:4749977:-1 gene:PRUPE_6G069200 transcript:ONI00126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQANSNGKDSRWSLHGMTALVTGGTKGIGYAIVEELAGLGATIHTCSRNEVQLNDCLSQWKMKGFDQVTGSVCDVVSKAQREELINKVSSLFHGKLNILVNNVGTAEIKPAIENTTEDYSFIMSTNLESAYHFSQLAHPLLKASGAGNIIFMSSVAGVVSLGTASIYAATKGAMNQLAKNLACEWAKDNIRTNSVAPWFIRTPLTEPLLSNQKFLEAVNSRCPLGRTGEPKEVSALVAFLCFPAASYITGQTICVDGGFTVNGLLFQGP >ONI03907 pep chromosome:Prunus_persica_NCBIv2:G6:26778229:26780042:-1 gene:PRUPE_6G290100 transcript:ONI03907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYQAFSFKLSFSLVLLLIVGVGLGGVASAELSASFYDKNCPNALSTIKSAVDSAVYKEARMGASLLRLHFHDCFVNGCDASLLLDDTATFKGEKTSVANANSLRGFEVIDNIKAELESLCPNMVSCADILAVAARDSIVALGGPTYTVALGRRDSTAANVTATEDLPSPFVNVTKLIAAFSKKGFTVKEMVALSGAHTIGQARCAFFRGRLYNDTDINASFAAAVRAKCPGSGGSGDNNMSELDVTTPTTFDSSYFKNVMSQKGLLHSDQQLYSGGFTKTAVDAYANNAASFMEDFAEAMNKMGRLSPLTGSIGQIRTNCRKVN >ONI00958 pep chromosome:Prunus_persica_NCBIv2:G6:8204293:8205276:-1 gene:PRUPE_6G113800 transcript:ONI00958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDIYEYRHVVLPQEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQVLAK >ONI00959 pep chromosome:Prunus_persica_NCBIv2:G6:8203919:8205587:-1 gene:PRUPE_6G113800 transcript:ONI00959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDIYEYRHVVLPQEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQVLAK >ONI03451 pep chromosome:Prunus_persica_NCBIv2:G6:24982731:24987564:-1 gene:PRUPE_6G257400 transcript:ONI03451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSIGRSLSHSARSKFKRNVISGTYNGRTTFLQETVPLGNACISGVDGELGLLRGYLTYNGAGKQLVSNTYLSNFKSFLANPRIRRFFSSRGHEKKNYENYYPKNKKEIPKGDGQKSGSKEGSNAGDQGNPREFFIPWHQIIGPIMFFGFVFTSVLLNPQQAKEISFQEFKNKLLEPGLVDHIEVANKSVAKVYVRSSPHDKKQSGDDAVKGPADGSSSGGNTTQYKYYFNIGSVESFEEKLEEAQEALGFDRHDFVPVIYVSQINWFQELMRYGPTALLLGALWYMSRKMPSIGGPGGKGGRGIFNIGKAQITKLDKNAKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESRVPFLSISGSDFMEMFVGVGPSRVRSLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRNQIFQIYLNKLKLDLEPSYYSERLAALTPGFAGADIANVCNEAALIAARNESPKITMKHFEAAIDRVIGGLEKKNKVVSKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQRDDAFEMAKPYSSKTGAIIDSEVREWVAKAYVRTIELIEEHKEQVGQIAELLLEKEVLHQDDLVRVLGERPFKSNEPTNYDRFKEGFQEEDKEPKETTEGGNVDDGRSPPIQPDVVPA >ONI03452 pep chromosome:Prunus_persica_NCBIv2:G6:24982654:24987593:-1 gene:PRUPE_6G257400 transcript:ONI03452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSIGRSLSHSARSKFKRNVISGTYNGRTTFLQETVPLGNACISGVDGELGLLRGYLTYNGAGKQLVSNTYLSNFKSFLANPRIRRFFSSRGHEKKNYENYYPKNKKEIPKGDGQKSGSKEGSNAGDQGNPREFFIPWHQIIGPIMFFGFVFTSVLLNPQQAKEISFQEFKNKLLEPGLVDHIEVANKSVAKVYVRSSPHDKKQSGDDAVKGPADGSSSGGNTTQYKYYFNIGSVESFEEKLEEAQEALGFDRHDFVPVIYVSQINWFQELMRYGPTALLLGALWYMSRKMPSIGGPGGKGGRGIFNIGKAQITKLDKNAKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESRVPFLSISGSDFMEMFVGVGPSRVRSLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRNQIFQIYLNKLKLDLEPSYYSERLAALTPGFAGADIANVCNEAALIAARNESPKITMKHFEAAIDRVIGGLEKKNKVVSKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQRDDAFEMAKPYSSKTGAIIDSEVREWVAKAYVRTIELIEEHKEQVGQIAELLLEKEVLHQDDLVRVLGERPFKSNEPTNYDRFKEGFQEEDKEPKETTEGGNVDDGRSPPIQPDVVPA >ONI01026 pep chromosome:Prunus_persica_NCBIv2:G6:8561446:8566816:1 gene:PRUPE_6G117100 transcript:ONI01026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCSPPPSHSHLPNAVVSNSVPEFRIPLQCPQNPKLHFELTNSLKLVASRHSSFRNRRFYFNCAGWDTTFVEGQNGDFTVAEARVSEPRIDGSGGGNDGGDGGDGGDSFDGGDSFGGGGRGGEGEGDGGDKGGEGDEFGPLLKFDEVMKESKARGVKLPQDMVEAAKTTGLREVFLQRYLDLQGSVWPLGFLMKYCSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGIAVDIALVGMLAPYARIGKPSVSRGLFGRLQHACAALPSSVFEAERPGCKFSVKQRVATYFYKGVLYGSVGFVCGLIGQGIANFIMNAKRSIKKTEEDIPVPPLVKSAALWGVFLAVSSNTRYQIVNGLEGLVEASPLAKRVPPVAMAFTIGVRFANNIYGGMQFVDWAKLSGVQ >ONI01438 pep chromosome:Prunus_persica_NCBIv2:G6:11315140:11315757:-1 gene:PRUPE_6G139400 transcript:ONI01438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKHKLIKTLLISNIAGCGCGKPKLSDVYEPTPKPKTQIPQNPTPQRRSSSSSCDKNGSFTVDDDDDDNEDQCTSTTISFNNNSSSHPSDSSESTNPKNTKFPSPKWTKIDDSIAVVKESNDPYQDFRQSMLQMIVEKQIYSKEDLQELLSCFLELNSASHHDVIIQVFTQIWNDLIRESKKPIM >ONI05215 pep chromosome:Prunus_persica_NCBIv2:G6:30520398:30523017:1 gene:PRUPE_6G362800 transcript:ONI05215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPCPKSLIFPQFPKQSLNLERWVLILFLVVVVVVLPLPTTATAVSPSSSIYDHLRQQGLPMGILPKGITEYSLNGSTGEFRVLLAQPCHAKFENQVLYDFNVSGVLSFGRIANLSGVSAQELFLWFPVKGIRVDVPSSGLIYFDVGVVDKQFSLSLFESPPDCTAVDPSDPNFIPAAHHTDHYSHSPSGSSSKIESQNLGDEVSQKSELRASS >ONI01855 pep chromosome:Prunus_persica_NCBIv2:G6:15478122:15481679:-1 gene:PRUPE_6G163000 transcript:ONI01855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSASSHILLLPICFIFLSFSSPCCANSGDLDALLKLKNAMNTGHKTSGVLEDWKPSVHYCSFSGVSCDQQQSRVVSLNVSNVPLIGSIPAEIGLLNKLVNLTIAGNNLTGRLPAAMANLTCLKHLNISNNIFIGRFPGEIFLGMPELEVLDAYNNQFSGQLPPELASCKRLKHLQMGGNYFTGEIPENYSNIQSLEYLGLNGNWLTGKLPASLALLKNLKELYVGYFNSFDGGIPPELGSLTWLQVLDLASCNLSGSIPRSLGLLKHLRSLFLQVNCLNGFIPPELSGMASLVLLDLSINKLTGEIPESFSELKTISLLNLYKNNLYGFVPDFIGHLPHLEVLNLWENNFTFELPESLGRNGRLVDLDVTGNHLTGLIPQDLCRGGRLKTLILMENHFFGPIPEELGQCKSLVKIRMMKNTITGTVPVGIFNLPNVVMIELNENYLSGQLPTQMYADSLAILTLSGNQISGVIPRAIGNLNNLQILSLEMNKFYGKIPKEIFYLKWLSKINISINNLDGEIPASISNCSSLAILDFSRNNLVGEIPRGTTKLEAIDLVNFSRNQLTGQIPDEIPYITSLTTLDLSYNNFTGTIPQSSQFLAIVSFEGNPYLCRNVSCPSLINQRAREHNAFGSPSKLALIIIGPLLVLLLIILLIFLLLKVYRITKMRKIQKSKGWRLIVFQQLHLNVEDLLQCLKLENIIGKGSAGVVYRGTMPSGLEVAIKQLVGSSRGGQRDHGFSAEIKTLGQIKHRNIVRLLGYMSNNESNLLLYEYMPNGSLGKLLHGPNAAELQWERRYKISVEAAKGLCYLHHDCSPLIIHRDVKSHNILLDSNLEAHVADFGLAKYFQGPADCMSSIAGSFGYIAPEYGYTLKVDEKIDVYSFGVVLLELITGRKPVMNLEDEDMNIVSWVRKTTSKIPYKPSPASPAVLLALVDPKLSGYPLQGVLYVFNIAMMCVENDSCARPTMRAVVNMLTNPPPSSPTEVYL >ONI04287 pep chromosome:Prunus_persica_NCBIv2:G6:28044716:28047830:-1 gene:PRUPE_6G313600 transcript:ONI04287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFMFRKRASSGSWVVLCQNPEAKTQFVPYLLFSTLSEPQPTTLNKPSKPQNLSNSIENKRILDSGSSSFSLKTSKTLLGSVHNHTFSRNIFVSGSKPLALSSASGKNITGSHCSPLSSISFGSQRRGIWHLSTENRVLASGCGVLVLPMVKSLYSSRGGLAPCSLGCLFGTQAAMEPSTCDGLTVDRIIASEWLILDEDESDWKSHAAAIAQSIHLIKKRLQWKKLMIRLDLLSAEVNKPDLWNDPVHAGKISREHGSLMGKMKEVKAFERELLEHIDMVKLAREENDGELESESMNALLKMRITSKEKETEALLAGEQDPCSCYIEVQSGAGGTESNDWAAMVMQMYKVWAQQRGYKISVVDEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSSKRRHTSFAAVAVTPINEDASAHVQINESDLRIERFRSGGPGGQHANTTDSAVRIVHVPTGITATSQNERSQHMNKASAMAVLQSRLDQLEMARQTQMNAQYSQSLTDITWGSQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDGFIMSYLSASLDKDEDDR >ONI04286 pep chromosome:Prunus_persica_NCBIv2:G6:28044449:28048810:-1 gene:PRUPE_6G313600 transcript:ONI04286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFMFRKRASSGSWVVLCQNPEAKTQFVPYLLFSTLSEPQPTTLNKPSKPQNLSNSIENKRILDSGSSSFSLKTSKTLLGSVHNHTFSRNIFVSGSKPLALSSASGKNITGSHCSPLSSISFGSQRRGIWHLSTENRVLASGCGVLVLPMVKSLYSSRGGLAPCSLGCLFGTQAAMEPSTCDGLTVDRIIASEWLILDEDESDWKSHAAAIAQSIHLIKKRLQWKKLMIRLDLLSAEVNKPDLWNDPVHAGKISREHGSLMGKMKEVKAFERELLEHIDMVKLAREENDGELESVQSGAGGTESNDWAAMVMQMYKVWAQQRGYKISVVDEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSSKRRHTSFAAVAVTPINEDASAHVQINESDLRIERFRSGGPGGQHANTTDSAVRIVHVPTGITATSQNERSQHMNKASAMAVLQSRLDQLEMARQTQMNAQYSQSLTDITWGSQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDGFIMSYLSASLDKDEDDR >ONH99934 pep chromosome:Prunus_persica_NCBIv2:G6:4069849:4071325:-1 gene:PRUPE_6G058300 transcript:ONH99934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSWEESVEYSINTIYLLFSAYLVFVMQLGFAMLCAGSVRAKNAMNIMLTNVVDAVVGSLSFYIFGFAFAFGEGARSNPFIGTSFFALNDIPSSSYGYDYSFFLFQWAFAIAVAGITSGSIAERTQFSAYLIFSCFLSGFVYPVVAHWVWSDSGWLSPNSSNLLLGSGAIDFAGSGVVHLVGGVAGLWGSFIEGPRVGRFDAFGKPIPIRGHNATLVVLGTFLLWFGWFGFNPGSFDKILVAYPDTSDQGNWTAVGRTAVITTLAGSTAGIVTLFGRRFIVGHWDALDVCNGVLGGFVAITSGCAVVEPWAAVVCGFLAAWVLIGLNILALKLQFDDPLEATQLHGGCGAWGLIFTGLFAKEEFVIQVYNSGTVGTVRPYGLLMGGGWGLLGAQVTEVLVIAAWVSLTMGPLFYALQSLQILRISVDDEVAGLDVSSHGGHAYVHSDENHPRFYAEYVSLQDNGS >ONI03815 pep chromosome:Prunus_persica_NCBIv2:G6:26404342:26407588:1 gene:PRUPE_6G284200 transcript:ONI03815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWNRKKTQFPVLVFLLFLFIVFSNLHSENSILQIQDGHDRAPRHQDSITYVKPNLFSRQNHGPEILDRFSTCNSTKEYSGRKIAWTDRKPRYGHRRETLESCDVFSGKWVFDNNSYPLYKESDCPYMSDQLACHKHGRSDLGYQYWRWQPHNCNLKRWNATEMWEKLRDKRLMFVGDSLNRGQWISMVCLLQSVIPADKRSMSPNAALTIFKAEEYNATVEFLWAPLLVESNSDDPVNHRLDDRIIRPDSVLKHASEWENADILIFNTYLWWRQGPVKLLWSAEANGACEELDSLGAMELAMGAWADWASKVNPLKKRVFFVTMSPTHLWSREWKPESEGNCYSETSPIDLEGYWGSGSDLPTMHMVEKVLSKLSSKVSVLNITQLSEYRKDGHPSIYRKFWEALKPEQLSNPASYSDCIHWCLPGLPDVWNEFLFHFL >ONI04976 pep chromosome:Prunus_persica_NCBIv2:G6:29864794:29867143:-1 gene:PRUPE_6G350200 transcript:ONI04976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKGYKPDVILCTKLIKGFFNSRNIEKAIRVMQILEKYGEPDLFSYNALISGFCKANRIESANKVLDRMRSQGFSPDVVTYNIMIGSLCSRGKLGLALKVMDQLVKDNCRPTVITYTILIEATIVDGGIDEAMKLLDEMLSRGLKPDMYTYNAVIRGMCREGMLDRAFQFVRSLDSKGCPPNVISYNILLRALLNRGKWEEGEKLVTNMCSRGCEPNVVTYSILISTLCRDGKVEDAVNVLKIMKKKGLTPDAYSYDPLVSAFCKEGRLDLAIEFLDYMISDGCLPDIVNYNTILAALCKSGKADQALQIFENLGEVGCPPNVSSYNTMFSALWNCGDRVRALGMVSEMVGKGIKPDEITYNSLISCLCRDGMVDEAIGLLVDMETGGFQPTVISYNIILLGLCKTRRVVDAIQVLTEMVEKGCRPNETTYILLIEGIGFAGWRAEAMELANSVFSLRAISEDSFKRLNRTFPMLDVFKELTLSEIKN >ONI04211 pep chromosome:Prunus_persica_NCBIv2:G6:27789478:27790065:-1 gene:PRUPE_6G309200 transcript:ONI04211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANAHCCINLLPLALVIFILFNAVHPCLSFNQPTRQRIDKVYHQMEEYGFCDQTFTQNIKTPSADFARLTQVALDQTTQNAASTHAFILQLLSKITDKPPKNALTACESAYCVVMQAFLQEAVGDFNRKDYDSMLEDEGVAPRAQASCEITFITPPSPVDPLKEIHRQMGILIAMAVVTGHELLGHRMMKSTT >ONI01108 pep chromosome:Prunus_persica_NCBIv2:G6:9098873:9100702:1 gene:PRUPE_6G122200 transcript:ONI01108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFPYDKLVTSVGNKKSLYERCLLDINFKLRLEFLENYLIIPLELSGALIDEMHIMGNPKLDEGSVSFRS >ONI04066 pep chromosome:Prunus_persica_NCBIv2:G6:27276340:27277895:-1 gene:PRUPE_6G300200 transcript:ONI04066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMALATATGTVESVSSRWSMASLRSALPSTPDRCTVSASSSSSPCGHRSPRLSVSLNQSLHKPQFGSFTGLSPLNPLLSLGLSEYTSFEHNFTIIDSGGRILAMRHGRKVPKLNRPPDQRKALLRGLTTQLLKHGRIKTTRARASAMRKYVDKMITLAKNGTLHKRRQALGFIYEKQIVHALFAEVPDRYGERNGGYTRIIRTLPRRGDNAPMAYIELV >ONI00316 pep chromosome:Prunus_persica_NCBIv2:G6:5536387:5536828:-1 gene:PRUPE_6G081900 transcript:ONI00316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLICSWTVFGIDYDERIQIFFQGNDHIKAMMSTRTTRGVCLVKFCTNLSLSLSFKLGGKLGIQSLEICSWLRCLTCTTVECEAKVAMLNAPSFFTSYIHLSQIFTN >ONH99464 pep chromosome:Prunus_persica_NCBIv2:G6:2428534:2431036:1 gene:PRUPE_6G031300 transcript:ONH99464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSAYRVETTSRLAQWRIDNLASCTYRKSDPFKIGKWNWHLSLEKNRVLYVKLYPEISNLTRDNPPIASFIIRVVCSVGDRKTLTHPEIKNKKLKSNEDFVWAIEVPLTGKFIIDVEFLDLKTESGDSGEPCSIWAEGLTQKRSNATALVSLGRMLTEGIHTDIMINVSDGSIGAHRAILAARSPVFRSMFSHDLKEKELSTINISDMSIDACQAFLNYIYGNIGHEEFLTNRLALLHAADKYDISDLKDACHESLLEDIDAKNVLERLQNASLYQLPRLKTSCMRYLVKFGKIYDIQDDFNAFLLSADRDLIAEIFHEVLNAWKGF >ONH99760 pep chromosome:Prunus_persica_NCBIv2:G6:3477256:3479859:-1 gene:PRUPE_6G048700 transcript:ONH99760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLKMSALAGFSCINLYPDNSHQNTCQVLIRFPPQSSDFTCRNIKDEEQSHHNKSKPDKVIAGFVQWAMDRYQLTLTRLFFGLGLNLNEFFILINGLQRVINFVLTLPIA >ONI00167 pep chromosome:Prunus_persica_NCBIv2:G6:4899160:4900822:1 gene:PRUPE_6G071800 transcript:ONI00167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSPSPTTSYWCYRCTRFVRVWVQDSTLSCPHCETGFLEEIETTPHPQPPPIHHHRRFPSSHVHDQNPAPTSRRVRRAPVDRSSPFNPVIVLRGGAESNTESNSFELYYDDAAGSGLQPLPPTMSEILMGSGFDRLLDQLSQIEITGLGRPENPPASKSAVDSMPVVQIGETHVVSDAHCAVCKEAFELGSEAREMPCKHIYHSDCILPWLAMRNSCPVCRHELPADRMTELRIPGLDERPWG >ONI02444 pep chromosome:Prunus_persica_NCBIv2:G6:20542911:20556408:-1 gene:PRUPE_6G198800 transcript:ONI02444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQRNNNRWNWEVSGFEPRKLSSSSSTASSFDHDDYKPGAPLVRRYSISAASALAQSEFSNHSVTSKLQKLKDQVKLAREDYLELRQEASELHEYSNAKLERVTRYLGVLANKTRKLDQFALETEARISPLINEKRRLFNDLLTAKGNIKIYCRARPLFEDEGSSIVEYPDDYNIRVNTGDDALSNPKKDFELDRVYGPHVGQAELFRDVQPLVQSALDGYNVSIFAYGQTNSGKTHTMEGSSHDRGLYARSFEELFDLANSDSTSTSRFKFSVTVFELYNEQIRDLLPESGDALPKIRMGSPESFVELVQEKVDNPLDFSKALKDAFQSRGNDPSKFNVSHLIITIHIYYNNLITGENTYSKLSLVDLAGSEGLIAEDDSSERVTDLLHVMKSLSALGDVLSSLTSKKDAIPYENSMLTKVLADSLGGNSKTLMIVNVVPNSANLSETLLSLNFSSRARNAVLGLGNRDTIKKWRDIANDARKELYEKEKESQDLKQEVLGLKHSLKDANDQCVLLFNEVQKAWKVSYTLQSDLKSENIMLADKQKIEREQNAQLRNQVAQLLQLEQDQKVQIEQRDSTIQALQAKMKSIESRLSEAQHSSEDQSALGSYLSNAKAIGDGMDSPPVTKKLEEELKKRDALIERLHEENEKLFDRLTEKASLAGSPKLSSPLSKGPLNVQSRDLVRNDSRGHSMDVVPSSPALAADKTEGTVAVVKSGADKVKTTPAGEYLTSALNDFDPEQHDSLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFVRKMEPQRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVENFLEKANTGRSRSSSRGNSPGRSPVHYVDEHIQGFRVNLKPEKKSKFSSVVSKIRGLDQDTPRQQVTAGKLREINEEAKSFAIGNKALAALFVHTPAGELQRQLRSWLAENFDFLSVLGDDASGGTTGQLELLSTAIMDGWMAGLGAAVPPNTDALGQLLSEYSKRVYSSQLQHLKDIAGTLASEGAEDAAQVAKLRSALESVDHKRRKILQQIRSDVALLTLQDGGPPIQNPSTAAEDARLASLISLDGIVKQVKDIVRQSSMSTLSKSKKKQMLASLDELAERMPSLLDIDHPCAQRQIADARHMIQSIPEEDDHLQEQSHALKPSTDLGFGTETDVAQWNVLQFNTGATTPFIIKCGANSNAELVIKADAKIQEPKGGEVVRVVPRPSVLESMSLEEMKHVFSQLPEALSLLALARTADGTRARYSRLYRTLAMKVPSLRDLVSELEKGGVLKDVRS >ONI02445 pep chromosome:Prunus_persica_NCBIv2:G6:20543129:20556121:-1 gene:PRUPE_6G198800 transcript:ONI02445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQRNNNRWNWEVSGFEPRKLSSSSSTASSFDHDDYKPGAPLVRRYSISAASALAQSEFSNHSVTSKLQKLKDQVKLAREDYLELRQEASELHEYSNAKLERVTRYLGVLANKTRKLDQFALETEARISPLINEKRRLFNDLLTAKGNIKIYCRARPLFEDEGSSIVEYPDDYNIRVNTGDDALSNPKKDFELDRVYGPHVGQAELFRDVQPLVQSALDGYNVSIFAYGQTNSGKTHTMEGSSHDRGLYARSFEELFDLANSDSTSTSRFKFSVTVFELYNEQIRDLLPESGDALPKIRMGSPESFVELVQEKVDNPLDFSKALKDAFQSRGNDPSKFNVSHLIITIHIYYNNLITGENTYSKLSLVDLAGSEGLIAEDDSSERVTDLLHVMKSLSALGDVLSSLTSKKDAIPYENSMLTKVLADSLGGNSKTLMIVNVVPNSANLSETLLSLNFSSRARNAVLGLGNRDTIKKWRDIANDARKELYEKEKESQDLKQEVLGLKHSLKDANDQCVLLFNEVQKAWKVSYTLQSDLKSENIMLADKQKIEREQNAQLRNQVAQLLQLEQDQKVQIEQRDSTIQALQAKMKSIESRLSEAQHSSEDQSALGSYLSNAKAIGDGMDSPPVTKKLEEELKKRDALIERLHEENEKLFDRLTEKASLAGSPKLSSPLSKGPLNVQSRDLVSRNDSRGHSMDVVPSSPALAADKTEGTVAVVKSGADKVKTTPAGEYLTSALNDFDPEQHDSLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFVRKMEPQRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVENFLEKANTGRSRSSSRGNSPGRSPVHYVDEHIQGFRVNLKPEKKSKFSSVVSKIRGLDQDTPRQQVTAGKLREINEEAKSFAIGNKALAALFVHTPAGELQRQLRSWLAENFDFLSVLGDDASGGTTGQLELLSTAIMDGWMAGLGAAVPPNTDALGQLLSEYSKRVYSSQLQHLKDIAGTLASEGAEDAAQVAKLRSALESVDHKRRKILQQIRSDVALLTLQDGGPPIQNPSTAAEDARLASLISLDGIVKQVKDIVRQSSMSTLSKSKKKQMLASLDELAERMPSLLDIDHPCAQRQIADARHMIQSIPEEDDHLQEQSHALKPSTDLGFGTETDVAQWNVLQFNTGATTPFIIKCGANSNAELVIKADAKIQEPKGGEVVRVVPRPSVLESMSLEEMKHVFSQLPEALSLLALARTADGTRARYSRLYRTLAMKVPSLRDLVSELEKGGVLKDVRS >ONI03966 pep chromosome:Prunus_persica_NCBIv2:G6:26987831:26992285:-1 gene:PRUPE_6G293900 transcript:ONI03966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLFFFILLAPLLVRALEPVFNDDVLGLIVFKAGLRDPKAKLTSWSEDDNNPCSWVGVKCDPRTNRVSELVLDGFSLSGHVGRGLLRLQFLQILSLANNNFTGTINPDLPHLGSLQVIDLSQNSLSGPIPDEFFMQCGSLRVVSFARNNLTGQIPQSLSICQTLVAVNFSSNQLSGKLPSGIWYLRGLQALDLSDNLLEGEVPEGMENLYDLRVINFRKNWFSGKLPWDIGSCLLLKLLDFSENFFSGSIPESIQRLSSCSSLSLQGNSFAGQIPNWLGDLRSLEMLDISGNNFSGGIPSSFGNLELLEKLNLSRNEFTGSLPESLTNCIKLLAIDVSHNLLAGKLPSWIFKLGIQSVSLSGNRLSGSAEYSSLTSMEASNGGLQVLDLSSNEFSDVLPSDIGVLSRLQFLNMSGNHLLGSIPASIGKLKTASVVDLSDNQLNGSIPSEIGGVVSLKELRLQKNFLTGKIPSQIEKCSSLTSLMLSQNNLTGPIPAAIANLSNLQYVDLSLNKFSGSLPKELTNLSHLLYFNVSHNHLQGDLPLGGFFNTISPSSVSGNPSLCGSVVNRSCTSVHPKPIVLNPNSSNPIGSSSSPTHGHKIIFSISALVAIGAAAFIAIGVIAVTVLNIHVRSSVSRSAAPLELSGGEDYSCSPTTDPNYGKLVMFSGDADFGAGTQALLNKDCELGRGGFGVVYRTVLRDGRSVAIKKLTVSSLIKSQEDFEREVKGLGKIRHHNLVALEGYYWTPSLQLLIYEYIPCGSLYKNLHDGLGKSCLTWRQRFNIILGMAKGLAHLHQINIIHYNLKSTNVLIDSSGAPKVGDFGLARLLPTLDRCILSSKIQSALGYMAPEFACQTVKITEKCDVYGFGILVLEVVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECLDQKLLGNFPADEAIPVVKLGLICASQVPSNRPDMNEVINILELIQCPSEVQEELE >ONI03967 pep chromosome:Prunus_persica_NCBIv2:G6:26987831:26992290:-1 gene:PRUPE_6G293900 transcript:ONI03967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLFFFILLAPLLVRALEPVFNDDVLGLIVFKAGLRDPKAKLTSWSEDDNNPCSWVGVKCDPRTNRVSELVLDGFSLSGHVGRGLLRLQFLQILSLANNNFTGTINPDLPHLGSLQVIDLSQNSLSGPIPDEFFMQCGSLRVVSFARNNLTGQIPQSLSICQTLVAVNFSSNQLSGKLPSGIWYLRGLQALDLSDNLLEGEVPEGMENLYDLRVINFRKNWFSGKLPWDIGSCLLLKLLDFSENFFSGSIPESIQRLSSCSSLSLQGNSFAGQIPNWLGDLRSLEMLDISGNNFSGGIPSSFGNLELLEKLNLSRNEFTGSLPESLTNCIKLLAIDVSHNLLAGKLPSWIFKLGIQSVSLSGNRLSGSAEYSSLTSMEASNGGLQVLDLSSNEFSDVLPSDIGVLSRLQFLNMSGNHLLGSIPASIGKLKTASVVDLSDNQLNGSIPSEIGGVVSLKELRLQKNFLTGKIPSQIEKCSSLTSLMLSQNNLTGPIPAAIANLSNLQYVDLSLNKFSGSLPKELTNLSHLLYFNVSHNHLQGDLPLGGFFNTISPSSVSGNPSLCGSVVNRSCTSVHPKPIVLNPNSSNPIGSSSSPTHGHKIIFSISALVAIGAAAFIAIGVIAVTVLNIHVRSSVSRSAAPLELSGGEDYSCSPTTDPNYGKLVMFSGDADFGAGTQALLNKDCELGRGGFGVVYRTVLRDGRSVAIKKLTVSSLIKSQEDFEREVKGLGKIRHHNLVALEGYYWTPSLQLLIYEYIPCGSLYKNLHDGLGKSCLTWRQRFNIILGMAKGLAHLHQINIIHYNLKSTNVLIDSSGAPKVGDFGLARLLPTLDRCILSSKIQSALGYMAPEFACQTVKITEKCDVYGFGILVLEVVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECLDQKLLGNFPADEAIPVVKLGLICASQVPSNRPDMNEVINILELIQCPSEVQEELE >ONI03968 pep chromosome:Prunus_persica_NCBIv2:G6:26987831:26992391:-1 gene:PRUPE_6G293900 transcript:ONI03968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLFFFILLAPLLVRALEPVFNDDVLGLIVFKAGLRDPKAKLTSWSEDDNNPCSWVGVKCDPRTNRVSELVLDGFSLSGHVGRGLLRLQFLQILSLANNNFTGTINPDLPHLGSLQVIDLSQNSLSGPIPDEFFMQCGSLRVVSFARNNLTGQIPQSLSICQTLVAVNFSSNQLSGKLPSGIWYLRGLQALDLSDNLLEGEVPEGMENLYDLRVINFRKNWFSGKLPWDIGSCLLLKLLDFSENFFSGSIPESIQRLSSCSSLSLQGNSFAGQIPNWLGDLRSLEMLDISGNNFSGGIPSSFGNLELLEKLNLSRNEFTGSLPESLTNCIKLLAIDVSHNLLAGKLPSWIFKLGIQSVSLSGNRLSGSAEYSSLTSMEASNGGLQVLDLSSNEFSDVLPSDIGVLSRLQFLNMSGNHLLGSIPASIGKLKTASVVDLSDNQLNGSIPSEIGGVVSLKELRLQKNFLTGKIPSQIEKCSSLTSLMLSQNNLTGPIPAAIANLSNLQYVDLSLNKFSGSLPKELTNLSHLLYFNVSHNHLQGDLPLGGFFNTISPSSVSGNPSLCGSVVNRSCTSVHPKPIVLNPNSSNPIGSSSSPTHGHKIIFSISALVAIGAAAFIAIGVIAVTVLNIHVRSSVSRSAAPLELSGGEDYSCSPTTDPNYGKLVMFSGDADFGAGTQALLNKDCELGRGGFGVVYRTVLRDGRSVAIKKLTVSSLIKSQEDFEREVKGLGKIRHHNLVALEGYYWTPSLQLLIYEYIPCGSLYKNLHDGLGKSCLTWRQRFNIILGMAKGLAHLHQINIIHYNLKSTNVLIDSSGAPKVGDFGLARLLPTLDRCILSSKIQSALGYMAPEFACQTVKITEKCDVYGFGILVLEVVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECLDQKLLGNFPADEAIPVVKLGLICASQVPSNRPDMNEVINILELIQCPSEVQEELE >ONI03654 pep chromosome:Prunus_persica_NCBIv2:G6:25775870:25778248:1 gene:PRUPE_6G272400 transcript:ONI03654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKLKAGFVVWPNLLTTLIMSIMASYLTGAWELSITHAAAIVNLYSGVVGIMPVGMICIVYMTGYMGSYWMILLSRFAYTAGLVLLAMSTPPVLAGATGTCSSYKAECIGQVQKVLFYTSLPLIAVGVSAYLGSALTFIDELLPQTNPEQLPPPESEQLPPPPESEQLPPPPESEQLPPPPEPEKLPPPAPAAEQLQPPASKKLPPKEVDKQITPESNGTSWAKFFKSEWFFGVISMIFFPGAALLAIGYINPWSIKFGIGAICTVVSTIIFLCGLRSFPRGRGAGQKPISLTKMFRKQDAKSILRLMPTCMTCILTGIVVSIGNTYFIEQATDMNPHVGRLLVPIIVLPICFQGYLKAYLANQIKWCAADPRFGIAVSKIIATLCCITAAKVETRRLGVVRSEGLIEDDDEDTKKISMTMFWLVPQFLLLGLAEELSEKSIVCFFTDKLEIKTPEEDEATKESKNMYMKIFAQAVSGVGIICGVLSVYAVGETSARVGGSSWFQFTLNRSRLDNYYWTLAALTAINLVLDLLVRCVVFRD >ONI03758 pep chromosome:Prunus_persica_NCBIv2:G6:26215573:26217441:1 gene:PRUPE_6G280400 transcript:ONI03758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENQNESSNPTASPTKRPIPPYVKALSGSLGGIVEATCLQPIDVIKTRLQLDRTGSYKGIIHCGATVSRTEGVRALWKGLTPFATHLTLKYALRMGSNAVLQGAFKDAETGKVSNHGRLISGFGAGVLEALVIVTPFEVVKIRLQQQKGLSHDLLKYKGPIHCARMIIREEGILGLWSGAAPTVMRNGTNQAAMFTAKNAFDVLLWKKHEGDGRVLLPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQSRGVDGQMKYKGMIHAIRTIYAEEGLLALWKGLLPRLMRIPPGQAIVWTVADQVIGLYERRYLPSAAL >ONI04256 pep chromosome:Prunus_persica_NCBIv2:G6:27944451:27953227:-1 gene:PRUPE_6G311900 transcript:ONI04256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLLSPPSSLSHPTKLSSLYASSKGRKPISLSTYLFQWRPKRFDFSKKMVVKACVKVEEKNVQGSSGSEWGKVSAVLFDMDGVLCDSEEPSRLAGVDVFAEMGVEITVEDFVPFMGTGEANFLGGVAAVKGVKGFDPEAAKKRFFEIYLDKYAKPNSGIGFPGALELITQCKGKGLKVAVASSADRIKVNANLAAANLPLSMFDAIVSADAFEKLKPAPDIFLAASKILDVPVSECIVIEDALAGVQAAKAAKMRCIAVKTTLSEETLKAAGPSLIRNEIGNVSLDDILSGGSGGYNGNIQGPQFPYMSSQNTTEKLTEENNGLMQKTGTSNDGVFSDGGVLRRDIVRYGSLGIALSCLAFTISNWKAMQYASPKAIWNVIFGINQPSLKQKEGESNVERIQQFVNYISDLETRGTAPIVPEFPAKLDWLNTAPIKFSRDLKGKVVLLDFWTYCCINCMHVLPDLEFLEKKYKDMPFTVVGVHSAKFDNEKDLEAIRNAVLRYGITHPVVNDGDMYLWRELGVNSWPTFAIVGPNGRLLAQVSGEGRRKDLDDLVEAALLFYGRKKMLDNAPIPLSLEKDNDPRLVTSPLKFPGKLAIDVLNNRLFISDSNHNRIVVTDLDGNFIVQVGSTGEEGLRDGSFDDATFNRPQGLAYNPKKNLLYVADTENHALREIDFVNDTVRTLAGNGTKGSDYRGGGKGSTQLLNSPWDACFHPVNEKVYIAMAGQHQIWEHNTDDGVTRAFSGDGYERNLNGSSSSSTSFAQPSGISLSLDLKELYIADSESSSIRALDLKTGGSNLLAGGDPVFSDNLFKFGDHDGIGSEVLLQHPLGVLCAQSGQIYIADSYNHKIKKLDPANKRVSTVAGIGKAGFKDGTSLEAQLSEPSGIVEAKNGRIFIADTNNSLIRYLDLNKEEAELHTLELKGVQPPTAKSKSLKRLRRRSSADTQTITVDGGSSNEGNLSIKISVPEGYHFSKEARSKFSVETEPETAVSMDPLDGYLSPEGSAILHFKRPSPSVSLGRINCKVYYCKEDEVCLYQSLLFEVTFREESPESNPEEITLAYVVKPKASTNSLQLPVAG >ONI00975 pep chromosome:Prunus_persica_NCBIv2:G6:8287051:8302420:-1 gene:PRUPE_6G114700 transcript:ONI00975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNLFPFGSVLGNPFLFNGDLSEGLESPGVLFLVPFLLFQGGEMDLSKVGEKILSSVRSARSLGLLPSASDRPEVPARAAAAAAVARAIAGLPPHQRFGLSSSSQELSSIYGSTPQGPVVEEIEEEFYEEDFDPVRHILEHIPSEENELTYFERRATLRLAQLDRVAERLSRNVMEHHEVMVKGMHLVRELEKDLKVANVICMNGRRHLSSSRNEVSRDLIVNSNSKKKQALLDMLPVLTELRHASEMQAELENLVEEGNYCKAFQVLSEYLQLLDSFSELSAVQEMSRGVEVWLGKTLQKLDSLLLGVCQEFKEEGYITVVDAYALIGDISGLAEKIQSFFMQEVLSETHSILKNIVQEDKGVHMQNSRLTYSDLCLQIPEPKFRQCLLNTLAILFKLMCSYHEIMGFQLGNKDAASKTSSMTHKESEISQTPGGVQQILSPCSSQKVNGSLLESVDIMHDSSYIEESTNISSSVESTGNTSSMCTSSGNLVDDEARKDDSAASTSGSPWYQLRKDATAFVSQTLQRGRKNLWQLTTTRVSVLLSSASVSSASIHQFLKNYEDLSVFILAGEAFCGFEATDFRQKLKAVCENYFVAFHRQNIYALKMVLEKEIWLIMPPDTVQEITFPGLLGDGAPLIVPSDGNSTNARVLHSDKSTKLVDTGVKKSGFSNWLRNGNPFLLKLTHTSKEGLKWNGAISGEIDGNFSERLGDKVSPRKSDGSHSNGANSVLEEENEDLLADFIDEDSQLPSRISKPKLLRNQSSHYNDGDIIAQTGSSICLLRSMDKYARLMQKLEIVNVEFFKGICQLFEVFFHFVFETFAQQNSNSGGKGSPDPINYRLKTALSRIQQDCDQWIRAPSSSPTSLNSAFAHTDITPMSPPSTNFGNTPGTSVGLKERCAGADTISLVARMLHRSKAHLQTMLLQNNGAVVEDFYVHLVDAVPDLIEHIHRTTARQLLHINGYVDLLLGEFKHYKTRLAHGGIRREVQDLLLEYGLKIVSQTLIEGLSRVKRCTDEGRALMSLDLQVLINGLQHFVSMNVKPHLQIVEAFIKAYYLPETEYVHWARAHPEYTKNQIVGLVNLVASMKGWKRKTRLEVLEKIE >ONI00976 pep chromosome:Prunus_persica_NCBIv2:G6:8287051:8302421:-1 gene:PRUPE_6G114700 transcript:ONI00976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNLFPFGSVLGNPFLFNGDLSEGLESPGVLFLVPFLLFQGGEMDLSKVGEKILSSVRSARSLGLLPSASDRPEVPARAAAAAAVARAIAGLPPHQRFGLSSSSQELSSIYGSTPQGPVVEEIEEEFYEEDFDPVRHILEHIPSEENELTYFERRATLRLAQLDRVAERLSRNVMEHHEVMVKGMHLVRELEKDLKVANVICMNGRRHLSSSRNEVSRDLIVNSNSKKKQALLDMLPVLTELRHASEMQAELENLVEEGNYCKAFQVLSEYLQLLDSFSELSAVQEMSRGVEVWLGKTLQKLDSLLLGVCQEFKEEGYITVVDAYALIGDISGLAEKIQSFFMQEVLSETHSILKNIVQEDKGVHMQNSRLTYSDLCLQIPEPKFRQCLLNTLAILFKLMCSYHEIMGFQLGNKDAASKTSSMTHKESEISQTPGGVQQILSPCSSQKVNGSLLESVDIMHDSSYIEESTNISSSVESTGNTSSMCTSSGNLVDDEARKDDSAASTSGSPWYQLRKDATAFVSQTLQRGRKNLWQLTTTRVSVLLSSASVSSASIHQFLKNYEDLSVFILAGEAFCGFEATDFRQKLKAVCENYFVAFHRQNIYALKMVLEKEIWLIMPPDTVQEITFPGLLGDGAPLIVPSDGNSTNARVLHSDKSTKLVDTGVKKSGFSNWLRNGNPFLLKLTHTSKEGLKWNGAISGEIDGNFSERLGDKVSPRKSDGSHSNGANSVLEEENEDLLADFIDEDSQLPSRISKPKLLRNQSSHYNDGDIIAQTGSSICLLRSMDKYARLMQKLEIVNVEFFKGICQLFEVFFHFVFETFAQQNSNSGGKGSPDPINYRLKTALSRIQQDCDQWIRAPSSSPTSLNSAFAHTDITPMSPPSTNFGNTPGTSVGLKERCAGADTISLVARMLHRSKAHLQTMLLQNNGAVVEDFYVHLVDAVPDLIEHIHRTTARQLLHINGYVDRIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLAHGGIRRETLIEGLSRVKRCTDEGRALMSLDLQVLINGLQHFVSMNVKPHLQIVEAFIKAYYLPETEYVHWARAHPEYTKNQIVGLVNLVASMKGWKRKTRLEVLEKIE >ONI00977 pep chromosome:Prunus_persica_NCBIv2:G6:8287429:8302200:-1 gene:PRUPE_6G114700 transcript:ONI00977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNLFPFGSVLGNPFLFNGDLSEGLESPGVLFLVPFLLFQGGEMDLSKVGEKILSSVRSARSLGLLPSASDRPEVPARAAAAAAVARAIAGLPPHQRFGLSSSSQELSSIYGSTPQGPVVEEIEEEFYEEDFDPVRHILEHIPSEENELTYFERRATLRLAQLDRVAERLSRNVMEHHEVMVKGMHLVRELEKDLKVANVICMNGRRHLSSSRNEVSRDLIVNSNSKKKQALLDMLPVLTELRHASEMQAELENLVEEGNYCKAFQVLSEYLQLLDSFSELSAVQEMSRGVEVWLGKTLQKLDSLLLGVCQEFKEEGYITVVDAYALIGDISGLAEKIQSFFMQEVLSETHSILKNIVQEDKGVHMQNSRLTYSDLCLQIPEPKFRQCLLNTLAILFKLMCSYHEIMGFQLGNKDAASKTSSMTHKESEISQTPGGVQQILSPCSSQKVNGSLLESVDIMHDSSYIEESTNISSSVESTGNTSSMCTSSGNLVDDEARKDDSAASTSGSPWYQLRKDATAFVSQTLQRGRKNLWQLTTTRVSVLLSSASVSSASIHQFLKNYEDLSVFILAGEAFCGFEATDFRQKLKAVCENYFVAFHRQNIYALKMVLEKEIWLIMPPDTVQEITFPGLLGDGAPLIVPSDGNSTNARVLHSDKSTKLVDTGVKKSGFSNWLRNGNPFLLKLTHTSKEGLKWNGAISGEIDGNFSERLGDKVSPRKSDGSHSNGANSVLEEENEDLLADFIDEDSQLPSRISKPKLLRNQSSHYNDGDIIAQTGSSICLLRSMDKYARLMQKLEIVNVEFFKGICQLFEVFFHFVFETFAQQNSNSGGKGSPDPINYRLKTALSRIQQDCDQWIRAPSSSPTSLNSAFAHTDITPMSPPSTNFGNTPGTSVGLKERCAGADTISLVARMLHRSKAHLQTMLLQNNGAVVEDFYVHLVDAVPDLIEHIHRTTARQLLHINGYVDRIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLAHGGIRREVQDLLLEYGLKIVSQTLIEGLSRVKRCTDEGRALMSLDLQVLINGLQHFVSMNVKPHLQIVEAFIKAYYLPETEYVHWARAHPEYTKNQIVGLVNLVASMKGWKRKTRLEVLEKIE >ONI03808 pep chromosome:Prunus_persica_NCBIv2:G6:26382991:26383839:1 gene:PRUPE_6G283600 transcript:ONI03808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRRGRNRSHVKMMGWRTWMCFKRSFLLPTKCFLLKLTSRSRNKAKGNGHGLVNLYKDMESCGEYEDIRVMWEIIHSSPQKTNSSNCRKRSSSHWRFCLQPT >ONI04355 pep chromosome:Prunus_persica_NCBIv2:G6:28194932:28201718:-1 gene:PRUPE_6G317100 transcript:ONI04355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFAKPENALKRAEELINVGQKQDALQSLHDLITSKRYRAWQKPLERIMFKYVELCVDLRKGRFAKDGLIQYRIICQQVNVSSLEEVIKHFMHLSTEKAEQARTQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDREVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKIATELELWQEAFRSVEDIHGLMCMVKKTPKASLMVVYYAKLTEIFWISASHLNHAYAWLKLFTLQKSFNKNLSQKDLQLIASSVVLAALSVAPYDQTRAASHLEFENEKERNLRMANLIGFNLEPKLDRGDVLSRSSLLSELVSKGVLSCATQEVKDLYHLLEHEFLPLNLAVKMEPLLTKISKVGGKLSSASSVPEVQLSQYVPALEKLGTLRLLQQVSQVYHTLKIECLSSMIPFYDFSVVEKIYVDAVKHKFIAMKVDHMKGVMLFGNLGLESDGLRDHLTNLAESLNEGRAIMYPPLKGASKLGEILPTLADTVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKLQKITEEAEQKRLASEYEQRKNQRILKEIEERELEEAQALLQEARSRKKGKKPLLEGEKVTKQSLMELALSEQLRERQEMEKKLLKLARTMDYLERAKREESAPLIEAAYQQRLVEERVLHERNQQLEVELSQQRHEGDLKEKNRLARMLENKMSFQERVLHRRQSEYDRRTAEREEQISQMIQARKHEREAKRKKIFYVRSEEERLRKLHEEEEARKHEEAERKRKEEAEYRAKLDEIAEKQRQRERELEEKERLRKEALLGRPAELPRPAEPRPVEPAVAAPAAAAAAAPAPGKYVPRFRRGGTEPAAQTAPDLDRRASRPDDRPPPSSDRWRSDERRPPTFGGSKSSWSSSRVPSRGSER >ONI04354 pep chromosome:Prunus_persica_NCBIv2:G6:28194932:28202003:-1 gene:PRUPE_6G317100 transcript:ONI04354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFAKPENALKRAEELINVGQKQDALQSLHDLITSKRYRAWQKPLERIMFKYVELCVDLRKGRFAKDGLIQYRIICQQVNVSSLEEVIKHFMHLSTEKAEQARTQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDREVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKIATELELWQEAFRSVEDIHGLMCMVKKTPKASLMVVYYAKLTEIFWISASHLNHAYAWLKLFTLQKSFNKNLSQKDLQLIASSVVLAALSVAPYDQTRAASHLEFENEKERNLRMANLIGFNLEPKLDRGDVLSRSSLLSELVSKGVLSCATQEVKDLYHLLEHEFLPLNLAVKMEPLLTKISKVGGKLSSASSVPEVQLSQYVPALEKLGTLRLLQQVSQVYHTLKIECLSSMIPFYDFSVVEKIYVDAVKHKFIAMKVDHMKGVMLFGNLGLESDGLRDHLTNLAESLNEGRAIMYPPLKGASKLGEILPTLADTVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKLQKITEEAEQKRLASEYEQRKNQRILKEIEERELEEAQALLQEARSRKKGKKPLLEGEKVTKQSLMELALSEQLRERQEMEKKLLKLARTMDYLERAKREESAPLIEAAYQQRLVEERVLHERNQQLEVELSQQRHEGDLKEKNRLARMLENKMSFQERVLHRRQSEYDRRTAEREEQISQMIQARKHEREAKRKKIFYVRSEEERLRKLHEEEEARKHEEAERKRKEEAEYRAKLDEIAEKQRQRERELEEKERLRKEALLGRPAELPRPAEPRPVEPAVAAPAAAAAAAPAPGKYVPRFRRGGTEPAAQTAPDLDRRASRPDDRPPPSSDRWRSDERRPPTFGGSKSSWSSSRVPSRGSER >ONI00199 pep chromosome:Prunus_persica_NCBIv2:G6:5081697:5084953:1 gene:PRUPE_6G074300 transcript:ONI00199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGKSVKLNGQLQQQQQQHQNGHLSPFKFAKLLDPEASWDKDQLGDVLHWIRQAMALVCGLLWGAIPLVGGIWFIIFLVISTGIIYGYYAMILNVDEEDFGGHGALLQEGLFASITLFLLAWILVYSLGHF >ONI00143 pep chromosome:Prunus_persica_NCBIv2:G6:4818045:4820778:-1 gene:PRUPE_6G070200 transcript:ONI00143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLTSNSFLLTSTPNSRATTTLKNPRLSVLAKRAGPLSAFRFGKSGGDGSSSDEGQADDSTNSSSFRFDFGKIPDVKSLIPVVSQPSLGLSFGNPRTKDPRTVFVAGATGQAGVRIAQTLLREGFSVRAGVPELGAAQELARVASKYKIISNEESKRLNAVESVFQDAEAIAKAIGNASKVVVTIGPAENGPTAEVTPFDALQVIQAAQLAGVGHVAIIYDGNTSGVSTYNVLDGLSSFFNNLFSGSQPLTVAEFLQKVIETGVSYTFIKTSLTEDFSPESSYNVVVSAEGSGGANDYKVAKAQIANLVADVFLNTSVAENKVVEVYTDPSAPSKPVDQLFSTIPEDGRRKAYAESIAKAKAEEEAITAAERAREAAEARKKLEEEVKKLTEQEARATTLAEDAQVKAEAAGGTIDSLFNKAKDIGSGLSWDKFSSQLADTVQKSTETTKVQIATVRGQAKARTLPRQKAVAKQVPTPKLASLKPKEQPKPKAKQTDANKEVRKVFGGLFTQETVYVDDD >ONI02519 pep chromosome:Prunus_persica_NCBIv2:G6:21094295:21094897:1 gene:PRUPE_6G203400 transcript:ONI02519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWAIWEARNGLLWNNKKSRPEQVSLHASLRLQDFLRVNNCLGSQSRQGQIKQMWQPPHENSLKINVDGAWKPGTTEGGVGVVVKDSTGKFVAEALAARTGTILAMERGYQNVVFESDALQIVTALRNHSIDRSVIGPVVEDTKSLLTQITGEGFTHIRRTANGVAHRLARFALHIGGSLYWFE >ONI04782 pep chromosome:Prunus_persica_NCBIv2:G6:29374797:29377622:1 gene:PRUPE_6G339400 transcript:ONI04782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLLQPNPLKWKHSSCPFLLPPLRPLPTKPIKFSSTFDVEQASCNFKVKCFKHSTDSKPDLDDTQSVPLVIKDNPANPLELIASKLLNALKALRKPAMAAVLLGLLLMSDPNSALAASGGRVGGNSFSSRSSSSSSSSRSYSVPRTSSSRPDFSYSAPYYAPSPFGFSGGGGVYVGPAFGFGVGAGSSFFLILTGFAAFVLVSGFLSDRSEGSVLTATEKTTVLKLQVGLLGMGRALQRDLNRIAETADTSTSEGLGYVLTETTLALLRHPDYCISGYSSVSCSKARHRGCRETL >ONI04781 pep chromosome:Prunus_persica_NCBIv2:G6:29374648:29378971:1 gene:PRUPE_6G339400 transcript:ONI04781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLLQPNPLKWKHSSCPFLLPPLRPLPTKPIKFSSTFDVEQASCNFKVKCFKHSTDSKPDLDDTQSVPLVIKDNPANPLELIASKLLNALKALRKPAMAAVLLGLLLMSDPNSALAASGGRVGGNSFSSRSSSSSSSSRSYSVPRTSSSRPDFSYSAPYYAPSPFGFSGGGGVYVGPAFGFGVGAGSSFFLILTGFAAFVLVSGFLSDRSEGSVLTATEKTTVLKLQVGLLGMGRALQRDLNRIAETADTSTSEGLGYVLTETTLALLRHPDYCISGYSSVAQKRGIEDAEKRFNQLSIEERGKFDEETLVNVNNIKRQSSSSQRANGFRNEYIVITILVAAEGVHKLPAINGSGDLKEALQKLGSIPSNKILAVEVLWTPQNEIDTLSERELLEDYPLLRPL >ONI01367 pep chromosome:Prunus_persica_NCBIv2:G6:10787434:10792516:1 gene:PRUPE_6G135600 transcript:ONI01367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSTSSTLTSANGNAQPRFQFIVMNRRSTENLVEDLLGDFEFEVQVPYLLYRNAAREVNGIWFYNASECEDVANLFSRILGAYSKVSSTKSEFEELEAVPTIAFMDGPLEPSSSTACIVTDVPDDPAFVNFFSTATTIVNSSTTTITGQPYQPSSTISLPSHPVGVAPSPIPSMQISSATLAPFLDIPESHSNTKLVNNLVKPSSFFVPPSSSPTSMPRVSSSVPTAPHLHPAVSLQRPYGAPLLQPFPPPAPPPSLTPASFPIPNCGPVNRDKVRNALMLLVQDNHFIDMVYGALLNAHHS >ONI01366 pep chromosome:Prunus_persica_NCBIv2:G6:10787434:10792516:1 gene:PRUPE_6G135600 transcript:ONI01366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNGKLRPNLDQQSTKLLNLTVLQRIDPFIDEILITATHVTFYEFNTDLSEWSRKDVEGSLFVVKRNAQPRFQFIVMNRRSTENLVEDLLGDFEFEVQVPYLLYRNAAREVNGIWFYNASECEDVANLFSRILGAYSKVSSTKSEFEELEAVPTIAFMDGPLEPSSSTACIVTDVPDDPAFVNFFSTATTIVNSSTTTITGQPYQPSSTISLPSHPVGVAPSPIPSMQISSATLAPFLDIPESHSNTKLVNNLVKPSSFFVPPSSSPTSMPRVSSSVPTAPHLHPAVSLQRPYGAPLLQPFPPPAPPPSLTPASFPIPNCGPVNRDKVRNALMLLVQDNHFIDMVYGALLNAHHS >ONI04399 pep chromosome:Prunus_persica_NCBIv2:G6:28318134:28321940:1 gene:PRUPE_6G319400 transcript:ONI04399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKFSSEEVSAQNQVKASVQRKIRQSIADEYPGLEQALEDLLPKKSPLIVAKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKLQVDRGAIKFVLAGANIMCPGLTSPGGALDDTVAAETPVAIMAEGKQHALAIGFTKMSAKEIRDINKGIGVDNMHYLNDGLWKMEHLD >ONI04398 pep chromosome:Prunus_persica_NCBIv2:G6:28317828:28321940:1 gene:PRUPE_6G319400 transcript:ONI04398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKFSSEEVSAQNQVKASVQRKIRQSIADEYPGLEQALEDLLPKKSPLIVAKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKLQVDRGAIKFVLAGANIMCPGLTSPGGALDDTVAAETPVAIMAEGKQHALAIGFTKMSAKEIRDINKGIGVDNMHYLNDGLWKMEHLD >ONI03401 pep chromosome:Prunus_persica_NCBIv2:G6:24796646:24797996:-1 gene:PRUPE_6G254800 transcript:ONI03401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVYPLQSQPGTNNPFSYNLATSVNQNGSNLTPMSSSFHNLWSHFNDVNQCEVDWQSLCSAGFETGASSAYQFPPNLPDNKGDLDPQQNVPVLPPPEGNFNDQCGLLNINVGGGNVENSNRYLHDIYMKPDSLFTDEQDNCQPPSQQQDGGHDQLPYQVEVQDPAANQVQVEETSNVYGYASIC >ONI01939 pep chromosome:Prunus_persica_NCBIv2:G6:17313267:17319096:1 gene:PRUPE_6G168500 transcript:ONI01939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLTLAVDSKSCSGTSSDEYCKKTQYAPIGTRKSFASVSLHEDKPFDFLRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIVLKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKMFPENLSALAHFTAGAFGGVAASLVRVPTEVVKQRMQTGQFTSASDAVRLIASKEGFKGFYAGYGSFLLRDLPFDAIQFCLYEQLRLGYKAAAKRELNDPENAIIGAFAGALTGAATTPLDVIKTRLMVQGSANQYKGIIDCVQTIVREEGPPALLKGIGPRVLWIGIGGSIFFGVLERTKRFLSQRRPTLPEDSKQD >ONI01940 pep chromosome:Prunus_persica_NCBIv2:G6:17313446:17319096:1 gene:PRUPE_6G168500 transcript:ONI01940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLTLAVDSKSCSGTSSDEYCKKTQYAPIGTRKSFASVSLHEDKPFDFLRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIVLKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKMFPENLSALAHFTAGAFGGVAASLVRVPTEVVKQRMQTGQFTSASDAVRLIASKEGFKGFYAGYGSFLLRDLPFDAIQFCLYEQLRLGYKAAAKRELNDPENAIIGAFAGALTGAATTPLDVIKTRLMVQGSANQYKGIIDCVQTIVREEGPPALLKGIGPRVLWIGIGGSIFFGVLERTKRFLSQRRPTLPEDSKQD >ONI01938 pep chromosome:Prunus_persica_NCBIv2:G6:17312823:17319145:1 gene:PRUPE_6G168500 transcript:ONI01938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLTLAVDSKSCSGTSSDEYCKKTQYAPIGTRKSFASVSLHEDKPFDFLRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIVLKGLYSGLAGNLAGVLPASAIFVGVYEPTKQKLLKMFPENLSALAHFTAGAFGGVAASLVRVPTEVVKQRMQTGQFTSASDAVRLIASKEGFKGFYAGYGSFLLRDLPFDAIQFCLYEQLRLGYKAAAKRELNDPENAIIGAFAGALTGAATTPLDVIKTRLMVQGSANQYKGIIDCVQTIVREEGPPALLKGIGPRVLWIGIGGSIFFGVLERTKRFLSQRRPTLPEDSKQD >ONI02235 pep chromosome:Prunus_persica_NCBIv2:G6:19474840:19475445:1 gene:PRUPE_6G186100 transcript:ONI02235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQFSSLSCELRIIQAKNIEFKTTGNLFVRYYISAGNKGRIRLNTREISAKSNHVWNESISLECSGSETDAMGLKQESIVFELRWRSTVPVFGRIGGSQLLGRAEVQWKEVLESPNMELNQWVSVVSTSGHALEGVKPPKLQVGIKVQVQADHVELEKRRQRNRRMKKWDECGCEKGHGHSCTCSDYEIFALAAALEAF >ONI05166 pep chromosome:Prunus_persica_NCBIv2:G6:30375453:30378076:-1 gene:PRUPE_6G359300 transcript:ONI05166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVGAFNSITITPPSASFVVNKVPINTPTSLRLHYFCRPLRPLRSFSLSNHSSFGPQLSYKRTFSFRCSAALTPELKNTLDKVVTSHKVVLFMKGTRDFPQCGFSNTVVQILKSLNVPFETINILENELLRQGLKEYSSWPTFPQLYVEGEFFGGCDITVEAYKTGELQELLEKAMCS >ONI05167 pep chromosome:Prunus_persica_NCBIv2:G6:30374537:30378076:-1 gene:PRUPE_6G359300 transcript:ONI05167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVGAFNSITITPPSASFVVNKVPINTPTSLRLHYFCRPLRPLRSFSLSNHSSFGPQLSYKRTFSFRCSAALTPELKNTLDKVVTSHKVVLFMKGTRDFPQCGFSNTVVQILKSLNVPFETINILENELLRQGLKEYSSWPTFPQLYVEGEFFGGCDITVEAYKTGELQELLEKAMCS >ONI03932 pep chromosome:Prunus_persica_NCBIv2:G6:26933975:26935200:1 gene:PRUPE_6G292500 transcript:ONI03932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIESRSSSACVLEGIETRRLQSVPLVLDTEKHNLPLLFFPIKTPHSAPHTSLTNINSIDQSTPSERNTTTIAMAILKVVCALFMCMVVAAPLITEAALTCPQIQAGLAPCLGYLQRGGVPAGGCCPGIKRLVGSATTTADRQNACKCLKTVAGAVKGINPGYAAALPSLCGVKIPYKISASTNCNSVK >ONH99154 pep chromosome:Prunus_persica_NCBIv2:G6:1064011:1065007:-1 gene:PRUPE_6G014600 transcript:ONH99154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSKNAIPPHCFNRSLLRSFSYVLFDLTLSFLPYHIATSYFHLLPHPLLPYISWPIYWILQGCMLTSVWIIAHECGHHAFSDYQIVDNVVGLVLPTALLVLYFSRKYSHRRNHSIDIGSMERDEVFVPKPKSNMPWYNNYFNNTPGRLLVILVTLTVGWPLYLAFNISGQEYDRFTCHYDPNGPIFSNWERLQVHISDLGIMSAIYVLYKIVKAKGDYGVLNKVFHTHVVHHLFSTMPHYHAMEATNVLKPILGEYYSVDATPIWKALWREARECLFIEPDEDGAQNDVFWYRNKF >ONI00001 pep chromosome:Prunus_persica_NCBIv2:G6:4281885:4287815:1 gene:PRUPE_6G062000 transcript:ONI00001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEKKVNKSLDVTEDESEAVSRLVVPVIPTGGVSFYDAFVVRGIRVNRVEPGLVVCTLKVPPRFTDRGGNLANGAIANLVDVLGFCTAYVPGHPMNVSVDISISYMSTAKLHDELEITSRLLGRIGRYSGTIVILRNKTTGETIAEGRQSFFSSRPIAKL >ONI00002 pep chromosome:Prunus_persica_NCBIv2:G6:4282159:4287277:1 gene:PRUPE_6G062000 transcript:ONI00002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEKKVNKSLDVTEDESEAVSRLVVPVIPTGGVSFYDAFVVRGIRVNRVEPGLVVCTLKVPPRFTDRGGNLANGAIANLVDVLGFCTAYVPGHPMNVSVDISISYMSTAKLHVSKAFPLQSNTHFPTHPL >ONH99093 pep chromosome:Prunus_persica_NCBIv2:G6:816187:819217:1 gene:PRUPE_6G011100 transcript:ONH99093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGLRQISIMFNEKTKIHARNIISGTRSIHSSSPLFFHNRLASFMKPLSRNENDHDIDASLEKEKEKEKEKLTADFQKAVQEDPPSLKRQGFSAIPDVKWAGVGDDALRHELDLHIEFEMKLETGFLLYGPPGCGKTLIAEAVANEVGANFIHIKGPELLNKKWGESEKAVRTLFSRARACTPCILFFDEVDALTTKRGQEGGQSVELLLNQLLVQLVGGDQRKGVIVIGATNSFHDHDDDDYDDDYAFLHL >ONI03566 pep chromosome:Prunus_persica_NCBIv2:G6:25415641:25416299:-1 gene:PRUPE_6G265500 transcript:ONI03566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQDDMAGMPGMSMTPPAPKGDLKNTTDGAMSSSLTWTTDVTVLFRGWPDDSVPMYVLALFFVFLLAVAVEVLSVSPKHKPGTKTFICTLTQTGVYTFRTALAYLVMLAVMSFNTGILIAAVAGHALGFFIIKVRSHGLQPNVADPKV >ONI00785 pep chromosome:Prunus_persica_NCBIv2:G6:7421806:7423445:-1 gene:PRUPE_6G104900 transcript:ONI00785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFATLILVCSFLIVLVLLLSCQATSDEVEDEREFNYEDDSEKGPARWGKIRQEWSMCNKGSMQSPIDLLDDRVEVVSDLGRLQGSYRPRNATLENRGHDIMLKWEADAGFIRINGTLYQLKQCHWHSPSEHTVNDKSFDLEVHLVHQSPTGKNAVTGILYKIGEPDPFLTSIMDYLADISGTCEKQKVVGMVDPKQIKAGSIKYYRYIGSLTIPPCTQDVIWTIVEKVRSVSSEQVKLLRVAVHDGSDTNARPVQPRNRRVVQLYKPTVEEEN >ONI05094 pep chromosome:Prunus_persica_NCBIv2:G6:30201286:30204589:1 gene:PRUPE_6G355800 transcript:ONI05094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNHIFRFHFHTTFIFIISEVPSCLYKFGVSARFIMAYIPPHKRHSKESESPLPTPELLAPQFKKKLNLRSSKFNADWDGKVIYANHARSRWCVIGLDDENQFPSSVNLEPISLETTERRTGEKPLALINTSLDKEGSEVKWYLPKSPWVSLAENVLEDLLSSFENVKNDMKCPKLEQVKPSLVARVGKVLFQRSPSVNMESIRNNLDTEILKQWKRSFYTTLPVSYKENILKEVVPNIGVDFEEEKDEYQVKLSDSTRPDSILSCKCSVMKEHGKLQLYKIELNQLRDMVIDISCPNKNLDLRVMLCTKRPVTALSDDEMQSIRDLISSAVLDPDVKGGLRWPLGKESSGDRYKVVGIWHVIANMYTNPSLRLKVRHADRFDFRTSTGEATWEVSLMLKKVVSKLQEEKVEFSSISEILEENMRMIWDNFLCSEHFLI >ONI01030 pep chromosome:Prunus_persica_NCBIv2:G6:8586433:8601209:1 gene:PRUPE_6G117500 transcript:ONI01030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGMRGLSVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLISSSYRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNHHDSYWSCLPKCVKILERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYIIGEFGHLLARRPGCSPKELFSVIHEKLPAVSTYTIPILLSTYAKIFMHTQPPDAELQNQIWAIFNKYESCIDVEIQQRAAEYLALSRRGAALVDILAEMPKFPERQSALIKKAEDTEVDTAEQSAIKLRAQQQTSNALVVTDQRPANGTPPVNQLGLVKIPSMSSNVDHNSTDEVLSQENGTLSTVDPQPASADLLGDLLGPLAIEGPPGTAVQSQPSVIPGVGGDSNAVDAAAIVPVGEEQNSVQPIGNIAERFLALCLKDSGVLYEDPNIQIGIKAEWRVHQGCLVLFLGNKNTSPLVSVQAIILPPSHFKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFGNNMVNVKLRLPAVLNKFLQPIPVSAEEFFPQWRSLSGPPLKLQEVVRGVKPMPLAEMANLLNSLRLMVCPALDPNPNNLVASTMFYSESTRAMLCLVRIETDPADRTQLRMTVSSGDPTLTLELKEFIKEQLCSIPTAPRAPGPVSPAHPVAQPTSPAAALTDPGAMLAGLL >ONI01031 pep chromosome:Prunus_persica_NCBIv2:G6:8586498:8601209:1 gene:PRUPE_6G117500 transcript:ONI01031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGMRGLSVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLISSSYRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVSNHHDSYWSCLPKCVKILERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTVEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYIIGEFGHLLARRPGCSPKELFSVIHEKLPAVSTYTIPILLSTYAKIFMHTQPPDAELQNQIWAIFNKYESCIDVEIQQRAAEYLALSRRGAALVDILAEMPKFPERQSALIKKAEDTEVDTAEQSAIKLRAQQQTSNALVVTDQRPANGTPPVNQLGLVKIPSMSSNVDHNSTDEVLSQENGTLSTVDPQPASADLLGDLLGPLAIEGPPGTAVQSQPSVIPGVGGDSNAVDAAAIVPVGEEQNSVQPIGNIAERFLALCLKDSGVLYEDPNIQIGIKAEWRVHQGCLVLFLGNKNTSPLVSVQAIILPPSHFKMELSLVPDTIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFGNNMVNVKLRLPAVLNKFLQPIPVSAEEFFPQWRSLSGPPLKLQEVVRGVKPMPLAEMANLLNSLRLMVCPALDPNPNNLVASTMFYSESTRAMLCLVEGVHQGTTM >ONI01090 pep chromosome:Prunus_persica_NCBIv2:G6:8990403:8991301:-1 gene:PRUPE_6G120900 transcript:ONI01090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSASPPSSSAAASMGLDSGDKVPHVLAVDDSFVDRKIIERLLTHSSCKVTTAENAHGALELLGLADGQQNFSNTVSNVNMIITDYCMPGMTGYELLKKIKVMLTFD >ONI00204 pep chromosome:Prunus_persica_NCBIv2:G6:5092022:5096512:1 gene:PRUPE_6G074600 transcript:ONI00204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKEEKKKKGEIFMERDQSAAASNLEIKVVRPRRNDNLVHPAGQAPRTTRRRSYSSNYNPFKRWVSWLVPSFVVANIVVFVVTMFVNDCPKNSASCIARFLGRLSFQPLKENPLLGPSSATLEKMGALDVQRMVHKHQAWRMISCMWLHAGVFHLLANMLSLVFIGIRLEQEFGFVRIGLLYVLSGFGGSLLSSLFIQYGISVGASGALFGLLGSMLSEIIANWTMYENKLAALLTLIVIVIVNLAVGILPHVDNFAHIGGFLSGFLLGFVFLIRPQFKWLTQRNAPPGHVSTPVKSKHKTYQYVLWVLALIILIVGFTIGMVTLLRGVNLNDYCSWCHYLSCVPTSKWSCKSQQIYCLSSQLGNQLNLTCVSNGRNGTYSLLDGNPTRAQQLCAELCS >ONI03483 pep chromosome:Prunus_persica_NCBIv2:G6:25067967:25070390:-1 gene:PRUPE_6G259800 transcript:ONI03483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALFFKLLMILTLASLAAAEDVSFTYNGFRSADLSVDGNAQFTPNGILVLTNDTEQQIGHAFYPNPVTFKNSYSNSNAFSFSTTFVFAIRSPLATRGGHGLAFVIAPQRGLPGALNGRFLGLFNLANNGNTTNHVFAVELDTVWTEGFHDIDNNHVGIDINGLKSEDSASAAYYAKKNGGLRNLTLISGQPMQVWVDYDGTKKQINVTLAPINVDKPHAPLISLNYDLSSILNRTMYVGFSSATGSLPTSHYVLGWSFKMNGQAQELALSQLPKLPRIGGKKISKLITIGVPVIFVSLVFLAILGAIYAMRKKKFAELLEDWELEYGPQRFKYKELYIATKGFREKELLGRGGFGKVYKGILPTSKTEIAVKRISHESRQGMREFVAEIVSIGRLRHRNLVPLLGYCRRKRELFLVYDYMPNGSLDKYIYDQPMATLNWSQRFKVIRGVASGLFYLHEEWEQVVVHRDVKASNVLLDRELNGRLGDFGLARLYDHGTDPQTTHIVGTVGYLAPEHTRFGRATTSTDVFSFGAFVLEVACGRRPIEIKGPLQHVVLVDWVFSCWKRGNILEARDRKLGTEIISEEVELVLKLGLLCSHSEPSARPSMRQVVQYLESDIALPELSLLGLTSTGLTFAPHEGFDDFAQSYPSSSVKGVSNIESAVLSGGR >ONI03044 pep chromosome:Prunus_persica_NCBIv2:G6:23632217:23634989:-1 gene:PRUPE_6G235200 transcript:ONI03044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLLRRALGSRSTQFLSGAANPSPSLLSSSSPSSQAARVFSSDSTPIRATLFPGDGIGPEIAESVKQVFREAEVPIEWEEHYVGDQIDPRTQSFLTWESLESVRKNRVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPDIKYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSSVTMLRHLELHDKADRIQNAILSTIAEGKFRTADLGGASSTSDFTKAICDHL >ONI03856 pep chromosome:Prunus_persica_NCBIv2:G6:26515897:26519140:-1 gene:PRUPE_6G286200 transcript:ONI03856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQELREPLQAQVQEEPYNSQYDPLPSHLIRYLYVGHFLARWGTRMWEFSVGLYMISIWPDSLLFAAIYGAVESASTALFGPLIGHWVDRFPYVKVLWLWLVTQNLSFMIAGGTVMALLVYSDLKLTSFNAFVLLVILTNISGAVGVLSTLAGTILVERDWVVVISECHSDPEVLLTKMNSVLRRIDLFCKLCAPVLTGFIISFVSLKASALTLALWNTISIWLEYWLFISVYNGIPALGESSQRKISRPSRTDVEESTSTSDQERISLLSHDENDSQPAEESWIKRITEAVSRIPYVGAWKVYLQQDVVLPGVALALLFFTVLSFGTLMTATLEWQGTPAYAIGIARGISAAIGIAATIVYPVLQSHILTLRTGLWSIWSQWTFLLLCVASIWVHNSLLSAYLLMAGVATSRLGLWMFDLAVIQQMQDQVPEFDRCIVGGVQNSLQSGMDLMGYVMGIIISNPQDFWKLNLLSFAAVTLAALLYTLHLYRIRKHLLHFEKLPPWLKCFTTSS >ONI03857 pep chromosome:Prunus_persica_NCBIv2:G6:26516467:26519477:-1 gene:PRUPE_6G286200 transcript:ONI03857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQELREPLQAQVQEEPYNSQYDPLPSHLIRYLYVGHFLARWGTRMWEFSVGLYMISIWPDSLLFAAIYGAVESASTALFGPLIGHWVDRFPYVKVLWLWLVTQNLSFMIAGGTVMALLVYSDLKLTSFNAFVLLVILTNISGAVGVLSTLAGTILVERDWVVVISECHSDPEVLLTKMNSVLRRIDLFCKLCAPVLTGFIISFVSLKASALTLALWNTISIWLEYWLFISVYNGIPALGESSQRKISRPSRTDVEESTSTSDQERISLLSHDENDSQPAEESWIKRITEAVSRIPYVGAWKVYLQQDVVLPGVALALLFFTVLSFGTLMTATLEWQGTPAYAIGIARGISAAIGIAATIVYPVLQSHILTLRTGLWSIWSQWTFLLLCVASIWVHNSLLSAYLLMAGVATSRLGLWMFDLAVIQQMQDQVPEFDRCIVGGVQNSLQSGMDLMGYVMGIIISNPQVIYIQFITSYNLVEKN >ONI03855 pep chromosome:Prunus_persica_NCBIv2:G6:26515549:26519477:-1 gene:PRUPE_6G286200 transcript:ONI03855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQELREPLQAQVQEEPYNSQYDPLPSHLIRYLYVGHFLARWGTRMWEFSVGLYMISIWPDSLLFAAIYGAVESASTALFGPLIGHWVDRFPYVKNLSFMIAGGTVMALLVYSDLKLTSFNAFVLLVILTNISGAVGVLSTLAGTILVERDWVVVISECHSDPEVLLTKMNSVLRRIDLFCKLCAPVLTGFIISFVSLKASALTLALWNTISIWLEYWLFISVYNGIPALGESSQRKISRPSRTDVEESTSTSDQERISLLSHDENDSQPAEESWIKRITEAVSRIPYVGAWKVYLQQDVVLPGVALALLFFTVLSFGTLMTATLEWQGTPAYAIGIARGISAAIGIAATIVYPVLQSHILTLRTGLWSIWSQWTFLLLCVASIWVHNSLLSAYLLMAGVATSRLGLWMFDLAVIQQMQDQVPEFDRCIVGGVQNSLQSGMDLMGYVMGIIISNPQDFWKLNLLSFAAVTLAALLYTLHLYRIRKHLLHFEKLPPWLKCFTTSS >ONH99354 pep chromosome:Prunus_persica_NCBIv2:G6:2079104:2083027:1 gene:PRUPE_6G026200 transcript:ONH99354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYVEDGVPEEKLPGKLQSDVDVIDDEVNDNPIEQVRLTVSTTDDPSQKTLTFRTWVLGIISCSILAFVNQFFGYRQNQLYVSSVSAQILVLPLGKLMAATLPEKPIRFPFTKWSFSLNPGPFNLKEHVLITIFANSGSNSVYAVNIITIVLAFYHRKMHPAAAFLLAQTTQMLGYGWAGIFRKYLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGRTRLQFFFMVFICSFSYYIVPSFLFPSISAFSFVCWIWKDSITAQQIGSGMHGLGIGSFGIDWSTVAGFLGSPLATPGFATINILIGFVLIVYVVTPIAYWNNAYDARKFPIFSSHTFDSTGQIYNISSVLDQKNFDIDMVRYTGYSKLYLSTFFAFSYGLSFATLTATISHVGLFHGRTIWQMWKKTTSAVRDQIGDIHTRLMKKNYEAVPQWWFHIILVLMVALAIFCCEGFGQQLQLPWWGVLMACGIALFFTLPIGIIQATTNQQPGLNVITELVIGYIYPGKPLANVAFKTYGYISMSQALMFLQDFKLGHYMKIPPKSMFVVQLVGTIVASSVYFGTSWWLLTTIEHICDPSKLPEGSPWTCPGDDVFYNASIIWGVIGPLRMFTDKGVYPELNWFFLIGLLAPVPVWLLSKQFPNQRWIKLINMPIILGATGYMPPARAVNYLAWLAVGIFFNFYVYRKYKGWWARHNYILSAALDAGVAFTAVLLYFTLQSKDITGPNWWGLLADDHCPLATCPTAPGIVAKGCPVL >ONH99355 pep chromosome:Prunus_persica_NCBIv2:G6:2079818:2083023:1 gene:PRUPE_6G026200 transcript:ONH99355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLPEKPIRFPFTKWSFSLNPGPFNLKEHVLITIFANSGSNSVYAVNIITIVLAFYHRKMHPAAAFLLAQTTQMLGYGWAGIFRKYLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGRTRLQFFFMVFICSFSYYIVPSFLFPSISAFSFVCWIWKDSITAQQIGSGMHGLGIGSFGIDWSTVAGFLGSPLATPGFATINILIGFVLIVYVVTPIAYWNNAYDARKFPIFSSHTFDSTGQIYNISSVLDQKNFDIDMVRYTGYSKLYLSTFFAFSYGLSFATLTATISHVGLFHGRTIWQMWKKTTSAVRDQIGDIHTRLMKKNYEAVPQWWFHIILVLMVALAIFCCEGFGQQLQLPWWGVLMACGIALFFTLPIGIIQATTNQQPGLNVITELVIGYIYPGKPLANVAFKTYGYISMSQALMFLQDFKLGHYMKIPPKSMFVVQLVGTIVASSVYFGTSWWLLTTIEHICDPSKLPEGSPWTCPGDDVFYNASIIWGVIGPLRMFTDKGVYPELNWFFLIGLLAPVPVWLLSKQFPNQRWIKLINMPIILGATGYMPPARAVNYLAWLAVGIFFNFYVYRKYKGWWARHNYILSAALDAGVAFTAVLLYFTLQSKDITGPNWWGLLADDHCPLATCPTAPGIVAKGCPVL >ONI00071 pep chromosome:Prunus_persica_NCBIv2:G6:4583378:4583874:-1 gene:PRUPE_6G066400 transcript:ONI00071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPNFRRSSIFDPFSLDLWDPFKDFQFPSSSSLSTFPEFSRENSAFLNTRIDWKETPEAHVFKADIPGLKKEEVKVEVEDDRVLQISGERNVEKEDKNDKWHRVERSSGKFLRRFQLPENAKVNEIKAAMENGVLSVTVPKTEVKKPHAKAIEISG >ONI01092 pep chromosome:Prunus_persica_NCBIv2:G6:9000133:9001837:-1 gene:PRUPE_6G121000 transcript:ONI01092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKQMWEQQQSQMQRVKNSGIVSYNGSPVNDDKEEEMSRSAVALFLAKEEEIERKKSEVKDKVQAQMGRVEETTKRLAEIQEEKEYKEALEALNEKNKEKAQLVSRLKELVGESEKLRMKKLEELNKHVETLR >ONI01091 pep chromosome:Prunus_persica_NCBIv2:G6:8998718:9001837:-1 gene:PRUPE_6G121000 transcript:ONI01091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKQMWEQQQSQMQRVKNSGIVSYNGSPVNDDKEEEMSRSAVALFLAKEEEIERKKSEVKDKVQAQMGRVEETTKRLAEIQEELEALTDPMRKEVANVRKRIDIVNKELKPLGQSCQRKEKEYKEALEALNEKNKEKAQLVSRLKELVGESEKLRMKKLEELNKHILVPRNDMLE >ONI01093 pep chromosome:Prunus_persica_NCBIv2:G6:9000382:9001571:-1 gene:PRUPE_6G121000 transcript:ONI01093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKQMWEQQQSQMQRVKNSGIVSYNGSPVNDDKEEEMSRSAVALFLAKEEEIERKKSEVKDKVQAQMGRVEETTKRLAEIQEELEALTDPMRKEVANVRKRIDIVNKELKPLGQSCQRKEKEYKEALEALNEKNKEKAQLVSRLKELVGESEKLRMKKLEELNKHVETLR >ONI02241 pep chromosome:Prunus_persica_NCBIv2:G6:19501688:19504280:-1 gene:PRUPE_6G186600 transcript:ONI02241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRVPDVVPSPVEDAEQLRKAFEGWGTNEALIISILTHRNAAQRKLIQQAYAETYGEELLKSLDKELSSEFERAVLLWTLVPAERDAFLANEATKRFTSSNKVLLEIATTRSSHDLLLVKQAYHARFKKSLEEDVAYHTSGDLRKLLVPLLSTYRYEGDEISVPLAKKEAKILHEKISDKAYNDEELIRILSTRSKAQLNATLNHYNNEFGNAINKDLKADKDDEFLLLLRQTIKSLTVPEKYFEKVLRLAINKLGTDEGALTRVVTTRAEVDLHRIKQEYHRRNSVPLDQAIVKDTSGDYEKFLVELVGHGDA >ONI03507 pep chromosome:Prunus_persica_NCBIv2:G6:25163586:25166103:1 gene:PRUPE_6G261400 transcript:ONI03507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVWVDYDGIQKQINVTLGPINVDKPHIPLLSLKNDLSTVLNTTMYVGFSSTPGSVITSHYVLGWSFEMNGQAPELVLSQLPKLPRIGRKKISNILTVGVPVMSVSLVLLAISSLIYAIRRKKKFAELLEDWELEYGPQRFKYKELYMATKGFREKELLGTGGFGKVYKGILPSSKTEIAVKRVSHESRQGMREFVAEVISIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPVVTLNWRQRFRVIKGVASGLFYLHEEWEQVVVHRDVKASNILLDSKFNARLGDFGLARLYDHGKDPKTTRIVGTLGYLAPENARLGRATTHADVFSFGAFLLEVACGRRPIQTQGVLVDLVFFCWKRSNILEVIDINLGSEFVAEEVELVLKLGLLCSHSEPSARPSMRQVVHYLEGDIPLPELSSLGLSSGGLKFAHHENFDDSAMSYPSSMGKEMSYVPESSLLSGGR >ONI03506 pep chromosome:Prunus_persica_NCBIv2:G6:25163422:25166308:1 gene:PRUPE_6G261400 transcript:ONI03506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKLVIVILVSLAAAEDLNFTYNGFLSANLSLDGVAHITSNGLLKLTNDTGLGHAFYPNPVTFKNSSNATAFSFSTTFVFAIRSRLGPRLGGHGIAFVIAPTRGLPGGFKNQYLGVFNETNNGNANNHIFAVELDSFQDPELGDINNNHVGIDINGLRSVKSTPAGYHALNNGGFRNLTLISGQPMQVWVDYDGIQKQINVTLGPINVDKPHIPLLSLKNDLSTVLNTTMYVGFSSTPGSVITSHYVLGWSFEMNGQAPELVLSQLPKLPRIGRKKISNILTVGVPVMSVSLVLLAISSLIYAIRRKKKFAELLEDWELEYGPQRFKYKELYMATKGFREKELLGTGGFGKVYKGILPSSKTEIAVKRVSHESRQGMREFVAEVISIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPVVTLNWRQRFRVIKGVASGLFYLHEEWEQVVVHRDVKASNILLDSKFNARLGDFGLARLYDHGKDPKTTRIVGTLGYLAPENARLGRATTHADVFSFGAFLLEVACGRRPIQTQGVLVDLVFFCWKRSNILEVIDINLGSEFVAEEVELVLKLGLLCSHSEPSARPSMRQVVHYLEGDIPLPELSSLGLSSGGLKFAHHENFDDSAMSYPSSMGKEMSYVPESSLLSGGR >ONI01832 pep chromosome:Prunus_persica_NCBIv2:G6:15104823:15106340:-1 gene:PRUPE_6G161900 transcript:ONI01832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFLQPILLQALAWGPDVPTQGFVSLPFNRSYYHIQKPYDVPEEQRYSFVDGIHKCWVYSTDKPHTTTSQTMPRTEIAIQGYNYSSGVWEFEAYGYVPYGTSSVCIMQVFGASAPHATTLMLGVYNGSLMYYTGPVLVPNIYDKWFKLNVIHDVEAAKVKVYIDGCLKLEADGRGGTSHAFKCGVYAQHNDSFYMESRWKHIQVSRKCRP >ONI01831 pep chromosome:Prunus_persica_NCBIv2:G6:15104823:15106238:-1 gene:PRUPE_6G161900 transcript:ONI01831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDSSFQLLGFFCLMISFLQPILLQALAWGPDVPTQGFVSLPFNRSYYHIQKPYDVPEEQRYSFVDGIHKCWVYSTDKPHTTTSQTMPRTEIAIQGYNYSSGVWEFEAYGYVPYGTSSVCIMQVFGASAPHATTLMLGVYNGSLMYYTGPVLVPNIYDKWFKLNVIHDVEAAKVKVYIDGCLKLEADGRGGTSHAFKCGVYAQHNDSFYMESRWKHIQVSRKCRP >ONI01830 pep chromosome:Prunus_persica_NCBIv2:G6:15104791:15106238:-1 gene:PRUPE_6G161900 transcript:ONI01830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDSSFQLLGFFCLMISFLQPILLQALAWGPDVPTQGFVSLPFNRSYYHIQKPYDVPEEQRYSFVDGIHKCWVYSTDKPHTTTSQTMPRTEIAIQGYNYSSGVWEFEAYGYVPYGTSSVCIMQVFGASAPHATTLMLGVYNGSLMYYTGPVLVPNIYDKWFKLNVIHDVEAAKVKVYIDGCLKLEADGRGGTSHAFKCGVYAQHNDSFYMESRWKHIQVSRKCRP >ONI05103 pep chromosome:Prunus_persica_NCBIv2:G6:30227385:30230707:-1 gene:PRUPE_6G356200 transcript:ONI05103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPCFGSQRSKRSNSKRLENASPPIGQAELKKQKSAEGVNPADINAKTFTFRELATATKNFRQECLLGEGGFGRVYKGTFQSSGQVVAVKQLDRNGMQGNKEFLGDVLTLSLLHHRNLVNLIGYCADGDQRLLVYEYISGSSLDDRVLVDGSDHKPLDWYARVKIAYGAAMGLEYLHEKANPPVIYRDLKSSNILLDEEFNPKLSDVGLAKLGPDGDKMHGPSRLMGTYGFCAPEYSKSGEVTMKSDVYSFGVVLLELITGRRAIDTTRPNNEQNLVSWAQPLFRDPKKFPDLADPLLNGEFPEKDLNQAVAIASMCLQEEAGVRPFMSDVVATLSFLSTTPPPPEAIPAPLPADPKPTENDDENLDGSECASECSEVEDGEVSDQEESIRISSNNLRGTDISDGDGSEDEPQETGTESKEWHSFSSPKGSMRFRDESVYSSQGGSKESSVSSQKSNKGDHNVDGNSSQVSSNQSKYASISSRSSSRGSTEESVSNSQKNCSRKSEKESATVTLGGISSTGEHSNEGGSVCTDDQQNGSRGPDDRHNISSRPDEQQNRNRAPLPVLKDGNVHFDPNHARMESREALTPKKCQSSHY >ONI02078 pep chromosome:Prunus_persica_NCBIv2:G6:18108763:18110603:-1 gene:PRUPE_6G176500 transcript:ONI02078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWVGAILGLLALVYVLQAWLSKSKNKKKRLPPGPRGFPLFGNLHMLGEFPHRDLHRLAQKHGDIMYMRLGLAPVVVASSPQAAELFLKTHDLVFASRPPLQAAEHISYGQRNLSFGAYGSYWRTMRKMCTLELLSSHKTNSFKPMRKEELALLTKFIQEAASDRVTVDLSGKVASLTADMSCLMVFGKKYMDKEFDERGFKAVIQEGMHLAATPNFGDFIPFIAPLDLQGLTKRMKGVSKVFDAFFEKIIDEHIQSTDQERTKDFVDVMLGFMGSEESEYRIERSNIKAIILDMLAGAMDTTATAIEWTLSELIKHPRVMKKVQKELENVVGMEREVEESDLEKLEYLDMVVKETMRLHPVAPLLLPHAAIEDCNVNGFHIPRKSRVIINIFAIGRDPSIWTDAEKFIPERFDGSNIDFRGRDFQFIPFGSGRRGCPGMQLGITMVQLVVAQLVHCFDWELPNNMLPSELDMTEEFGLTVPRAKHLLAVPTYRLQQQ >ONI04633 pep chromosome:Prunus_persica_NCBIv2:G6:28891683:28892151:1 gene:PRUPE_6G331400 transcript:ONI04633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPLHPKTLSSIPHPQGFSSECQWLVRVNKHIIRIKIWFHLHQHLLVLDWVNEI >ONI02131 pep chromosome:Prunus_persica_NCBIv2:G6:18363325:18365961:-1 gene:PRUPE_6G178800 transcript:ONI02131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFLFDDIFTLEKVDPDGKKFDKVSRIVAQSEKRGVSLTLDVNTEIYPMHEKDKFLMVLSPTLNWSGAPVAGKQGQVEQKSLADKFDYIMHGLLYKMSTEKGKSEYSKEGVKVEVYASFGGLQMMLKGDPTNCTKFKIDQNMFLLIRKLI >ONI00954 pep chromosome:Prunus_persica_NCBIv2:G6:8182544:8187850:-1 gene:PRUPE_6G113400 transcript:ONI00954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRLSKNPKKNQIRALLFFLAQQSLTDDDDASSFSSDFAQQRRIGTVSLEYRSNIGRSNFQSGTRLGRAKARMEMGSLKRKSEEAAAEAEGASQKQQKKENGFVTLDDEAVACLHDVSYPEGFVVPPSSSASAGEASEPAKKFNFTLDPFQSEAIKCLEKAESVMVSAHTSAGKTVVASYAIAMSLRNKQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSEVTREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNARFVFLSATVPNAKEFADWLAKIHRQPCHIVYTDYRPTPLQHYIFPSGGNGLFLVVDEKGKFREDSFQKALNALVPAADGAKKKDSGKWQKGLIMGKAAEESDIFKMVKMIIQRQYDPVILFSFSKRECESLAMQMSKMDLNGDNEKENIEKVFWYAMDMLSDDDKKLPQVSHMLPLLKRGIGVHHSGLLPILKEVIELLFQDGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDERGICILMVDEKLEPSTAKMMLKGSADCLNSAFHLSYNMLLNQLRSEDGNPENLLRNSFYQFQADRAIPNLEKQRKDLEQERDSIIIEEEDSVKNYYNLLQQYKSLKKELRDIVLSPKYCLPFLKPGRLVSIQCARNDGASPSFSVEDPVTWGVVLNFQRVKNVSEDDASKKPEGSDYTVDVLTRCGVSADGVAKKTIKIFPLKEPGEPVVVSISISQINTMSRLCMVIPNDLLPLQARENTLKRVLETLSRFDKEKIPMLDPEEDMKIESSSYRKVSRRIEALENLFDRHEVANSPLIEQKLKVFHMKQELAAKIKSIKKTMRSSTALAFKDELKARKRVLRRLG >ONI00953 pep chromosome:Prunus_persica_NCBIv2:G6:8180289:8187855:-1 gene:PRUPE_6G113400 transcript:ONI00953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRLSKNPKKNQIRALLFFLAQQSLTDDDDASSFSSDFAQQRRIGTVSLEYRSNIGRSNFQSGTRLGRAKARMEMGSLKRKSEEAAAEAEGASQKQQKKENGFVTLDDEAVACLHDVSYPEGFVVPPSSSASAGEASEPAKKFNFTLDPFQSEAIKCLEKAESVMVSAHTSAGKTVVASYAIAMSLRNKQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSEVTREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNARFVFLSATVPNAKEFADWLAKIHRQPCHIVYTDYRPTPLQHYIFPSGGNGLFLVVDEKGKFREDSFQKALNALVPAADGAKKKDSGKWQKGLIMGKAAEESDIFKMVKMIIQRQYDPVILFSFSKRECESLAMQMSKMDLNGDNEKENIEKVFWYAMDMLSDDDKKLPQVSHMLPLLKRGIGVHHSGLLPILKEVIELLFQDGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDERGICILMVDEKLEPSTAKMMLKGSADCLNSAFHLSYNMLLNQLRSEDGNPENLLRNSFYQFQADRAIPNLEKQRKDLEQERDSIIIEEEDSVKNYYNLLQQYKSLKKELRDIVLSPKYCLPFLKPGRLVSIQCARNDGASPSFSVEDPVTWGVVLNFQRVKNVSEDDASKKPEGSDYTVDVLTRCGVSADGVAKKTIKIFPLKEPGEPVVVSISISQINTMSRLCMVIPNDLLPLQARENTLKRVLETLSRFDKEKIPMLDPEEDMKIESSSYRKVSRRIEALENLFDRHEVANSPLIEQKLKVFHMKQELAAKIKSIKKTMRSSTALAFKDELKARKRVLRRLGYVTSDDVVELKGKVACEISSAEELTLTELMFNGVFKDIKVEEMVSLLSCFVWQEKLKDATKPREELDLLFSQLQDTARRVAEVQLECKVEIDVDSFVSSFRPDIMEALYAWAKGSKFYEIMSVTPVFEGSLIRAIRRLEEVLQQLIQAAQSIGETELESKFEEAVSKIKRDIVFAASLYL >ONI02829 pep chromosome:Prunus_persica_NCBIv2:G6:22905820:22910010:1 gene:PRUPE_6G224200 transcript:ONI02829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIESSVWEPNPGLYIFIFFASLFSILAFPYASNKYSSTGSSSSSSSKAPSLFDHGISPTASSLRFQRNFLLIYSLASVMEGLWSVFGEFELAYYGLSREQMMFSLCVGFAASLLIGSFLGVLSDVIGPKKVCFLFCILHLFLGLWKRIIDHPSVLATSICLSLAASIFSFSFETWMVVQHEEQGHRQDMLSETFWLMSFFESASLIGSQVLSNWLIGNNVDKNMASHSTAAIFLAIIALVCLLRGWTETPQKVALKEYRASFSKYVFGDKRIWLLVWAQACLHFSVAVFWILWAPIIVADGREVHLGLIYPCFLGSRMLGSTIFPWLISGLSSLRTEDCLVYAFIIMGLVLSITAYDYQEIGVLVTLFCIFHAGLGMIFPSLARLRTMYVPNALRGGMISLSQAPANAAILLFLVQGKYYNNIGNSTIIAFAALGLFTAAGCMHVLKRYGKQPYHNWRKL >ONI02833 pep chromosome:Prunus_persica_NCBIv2:G6:22905930:22909997:1 gene:PRUPE_6G224200 transcript:ONI02833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIESSVWEPNPGLYIFIFFASLFSILAFPYASNKYSSTGSSSSSSSKAPSLFDHGISPTASSLRFQRNFLLIYSLASVMEGLWSVFGEFELAYYGLSREQMMFSLCVGFAASLLIGSFLGVLSDVIGPKKVCFLFCILHLFLGLWKRIIDHPSVLATSICLSLAASIFSFSFETWMVVQHEEQGHRQDMLSETFWLMSFFESASLIGSQVLSNWLIGNNVDKNMASHSTAAIFLAIIALVCLLRGWTETPQKVALKEYRASFSKYVFGDKRIWLLVWAQACLHFSVAVFWILWAPIIVADGREVHLGLIYPCFLGSRMLGSTIFPWLISGLSSLRTEDCLVYAFIIMGLVLSITAYDYQEIGVLVTLFCIFHAGLGMIFPSLARLRTMYVPNALRGGMISLSQAPANAAILLFLVQGKYYNNIGNSTIIAFAALGLFTAAGCMHVLKRYGKQPYHNWRKL >ONI02832 pep chromosome:Prunus_persica_NCBIv2:G6:22905926:22909997:1 gene:PRUPE_6G224200 transcript:ONI02832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIESSVWEPNPGLYIFIFFASLFSILAFPYASNKYSSTGSSSSSSSKAPSLFDHGISPTASSLRFQRNFLLIYSLASVMEGLWSVFGEFELAYYGLSREQMMFSLCVGFAASLLIGSFLGVLSDVIGPKKVCFLFCILHLFLGLWKRIIDHPSVLATSICLSLAASIFSFSFETWMVVQHEEQGHRQDMLSETFWLMSFFESASLIGSQVLSNWLIGNNVDKNMASHSTAAIFLAIIALVCLLRGWTETPQKVALKEYRASFSKYVFGDKRIWLLVWAQACLHFSVAVFWILWAPIIVADGREVHLGLIYPCFLGSRMLGSTIFPWLISGLSSLRTEDCLVYAFIIMGLVLSITAYDYQEIGVLVTLFCIFHAGLGMIFPSLARLRTMYVPNALRGGMISLSQAPANAAILLFLVQGKYYNNIGNSTIIAFAALGLFTAAGCMHVLKRYGKQPYHNWRKL >ONI02831 pep chromosome:Prunus_persica_NCBIv2:G6:22905957:22909997:1 gene:PRUPE_6G224200 transcript:ONI02831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIESSVWEPNPGLYIFIFFASLFSILAFPYASNKYSSTGSSSSSSSKAPSLFDHGISPTASSLRFQRNFLLIYSLASVMEGLWSVFGEFELAYYGLSREQMMFSLCVGFAASLLIGSFLGVLSDVIGPKKVCFLFCILHLFLGLWKRIIDHPSVLATSICLSLAASIFSFSFETWMVVQHEEQGHRQDMLSETFWLMSFFESASLIGSQVLSNWLIGNNVDKNMASHSTAAIFLAIIALVCLLRGWTETPQKVALKEYRASFSKYVFGDKRIWLLVWAQACLHFSVAVFWILWAPIIVADGREVHLGLIYPCFLGSRMLGSTIFPWLISGLSSLRTEDCLVYAFIIMGLVLSITAYDYQEIGVLVTLFCIFHAGLGMIFPSLARLRTMYVPNALRGGMISLSQAPANAAILLFLVQGKYYNNIGNSTIIAFAALGLFTAAGCMHVLKRYGKQPYHNWRKL >ONI02830 pep chromosome:Prunus_persica_NCBIv2:G6:22905820:22909997:1 gene:PRUPE_6G224200 transcript:ONI02830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIESSVWEPNPGLYIFIFFASLFSILAFPYASNKYSSTGSSSSSSSKAPSLFDHGISPTASSLRFQRNFLLIYSLASVMEGLWSVFGEFELAYYGLSREQMMFSLCVGFAASLLIGSFLGVLSDVIGPKKVCFLFCILHLFLGLWKRIIDHPSVLATSICLSLAASIFSFSFETWMVVQHEEQGHRQDMLSETFWLMSFFESASLIGSQVLSNWLIGNNVDKNMASHSTAAIFLAIIALVCLLRGWTETPQKVALKEYRASFSKYVFGDKRIWLLVWAQACLHFSVAVFWILWAPIIVADGREVHLGLIYPCFLGSRMLGSTIFPWLISGLSSLRTEDCLVYAFIIMGLVLSITAYDYQEIGVLVTLFCIFHAGLGMIFPSLARLRTMYVPNALRGGMISLSQAPANAAILLFLVQGKYYNNIGNSTIIAFAALGLFTAAGCMHVLKRYGKQPYHNWRKL >ONH99916 pep chromosome:Prunus_persica_NCBIv2:G6:4024348:4027195:-1 gene:PRUPE_6G057500 transcript:ONH99916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDRNQPNSTHRVLVSGNYCHDVLIKDGVVLAETLGGAASFISNVFDGLSVPYNLVSKVGSDFAYSVPRDPIVVPNCKTTLFHAHFDSGVDGDGRQDRVLKRVSSCDPIKPSDLPESRFDFGMAVGVGGEVTVETMEKLLEICNTVFVDIQALIRAFDGVNGTVKHVALKESGFFHLLPRMGFLKASAEEALFMEVEEVRKLCCVVVTNGRRGCRVYWRDGEVEVGPFPTNQVDPTGAGDSFLGGFVAGLVNGLTVPDAALLGNLFGSLTVGQIGLPKFDFRLLQRAREEVQRRKMQCVNCYERKDDKFRFVNAAGHEQFHASLGVAKQTTKCLAHEPQWDLPSSPPKSTEQVVLCQYTTQSKSLTGSVYEEAIKTVDVESKP >ONI00219 pep chromosome:Prunus_persica_NCBIv2:G6:5218751:5219603:1 gene:PRUPE_6G075900 transcript:ONI00219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWIFDKNGVARLITNPTRESFEQKEPPYPGTATAPGARPRVLVYLPANQVIRSYSELEQRLTELGWTRYPNSLRPDLLQFHRSESSADLISLPTNFANFKSLHMYDIVVKNRSFFEVRDPAAL >ONI04045 pep chromosome:Prunus_persica_NCBIv2:G6:27228143:27230760:-1 gene:PRUPE_6G298700 transcript:ONI04045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLQVALICWSVMLIGVLRVLFRLMKEMWLKPARIRSVLRKQGIRGPPPSFIAGNVPEMQKIQSSNQKPSDANHVHHNWVPSIFPYLQRWEQLYGPVYVYATGSKQHLYVSDPKLLKELKLQNYMDLGVPRYLSKPFQPLIGDSIIRANGQDFAYQKKVIAPEFFLNKVKGMVCLMEESAVAFIKTWESRVVESEGGVVDIKVDEELKTLSADIISRACFGSSYSQGNQIFAKIAILQEALSNPSLLFGFLNFSLFPTESEKKIKSLRKEVDALLLKLVRDRQKESQSGGTSEKDLLQMILQSAANNSTDKPQQYMHKTDQFILDNCRSIYFAGSETTALTASWTLMLLALHPEWQERVRVEIAEVCGVDDDQLRQCLKDMDKLNKLKTLTMVIQESLRLYGPGVILAREALAKMKLGDFTVPEGVHIWTLIPTLHRDPENWGPDANEFKPERFANGVSEACKYPQAYVPFGHGSRSCIGQTFSMVQLKIVLPLILSKFSFSLSPNYQHSPVYKMLLLPKHGIRLLVRRVQ >ONI04046 pep chromosome:Prunus_persica_NCBIv2:G6:27228156:27229970:-1 gene:PRUPE_6G298700 transcript:ONI04046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGVPRYLSKPFQPLIGDSIIRANGQDFAYQKKVIAPEFFLNKVKGMVCLMEESAVAFIKTWESRVVESEGGVVDIKVDEELKTLSADIISRACFGSSYSQGNQIFAKIAILQEALSNPSLLFGFLNFSLFPTESEKKIKSLRKEVDALLLKLVRDRQKESQSGGTSEKDLLQMILQSAANNSTDKPQQYMHKTDQFILDNCRSIYFAGSETTALTASWTLMLLALHPEWQERVRVEIAEVCGVDDDQLRQCLKDMDKLNKLKTLTMVIQESLRLYGPGVILAREALAKMKLGDFTVPEGVHIWTLIPTLHRDPENWGPDANEFKPERFANGVSEACKYPQAYVPFGHGSRSCIGQTFSMVQLKIVLPLILSKFSFSLSPNYQHSPVYKMLLLPKHGIRLLVRRVQ >ONH98930 pep chromosome:Prunus_persica_NCBIv2:G6:139726:146917:-1 gene:PRUPE_6G000600 transcript:ONH98930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSGGVGGGGAVAGVGAGGATTVGGGAGGGGNDVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFSGISWFLDLFNYYVNSDDQDVFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSARDEGWAAFRNILAEINEASRLFILPNQQSSEPSERLVGLSDDVGAGFISGHSSQTAPTSELNVDRSAELPAQDEIGNMGVSKVIRADQKRFFFDLGSNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMTGANVRTVDSPQR >ONH98931 pep chromosome:Prunus_persica_NCBIv2:G6:141206:146625:-1 gene:PRUPE_6G000600 transcript:ONH98931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNSGGVGGGGAVAGVGAGGATTVGGGAGGGGNDVELLCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFSGISWFLDLFNYYVNSDDQDVFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSARDEGWAAFRNILAEINEASRLFILPNQQQSSEPSERLVGLSDDVGAGFISGHSSQTAPTSELNVDRSAELPAQDEIGNMGVSKVIRADQKRFFFDLGSNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMTGANVRTVDSPQR >ONH98963 pep chromosome:Prunus_persica_NCBIv2:G6:307166:311760:1 gene:PRUPE_6G002500 transcript:ONH98963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFAAMTSVGSLAAPSSRVIDRKFDNLSSRASISPFSFSRRHNVVLRRTRSPRICAMAKELHFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTKALVHELKSMSKEVEDSELADVAAVSAGNNYEVGNMIAEALSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEEAIRGGYPVIIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLTLDNVGKEVLGHASKVVLTKDTSTIVGDGSTQEAVNKRVAQIKNLIEAAEQDYEREKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDTLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNPKYGYNAATGNYEDLMAVGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPEAAVPAGNPMDNSGYGY >ONH98964 pep chromosome:Prunus_persica_NCBIv2:G6:306848:311838:1 gene:PRUPE_6G002500 transcript:ONH98964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFAAMTSVGSLAAPSSRVIDRKFDNLSSRASISPFSFSRRHNVVLRRTRSPRICAMAKELHFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTKALVHELKSMSKEVEDSELADVAAVSAGNNYEVGNMIAEALSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEEAIRGGYPVIIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIREEVGLTLDNVGKEVLGHASKVVLTKDTSTIVGDGSTQEAVNKRVAQIKNLIEAAEQDYEREKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDTLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNPKYGYNAATGNYEDLMAVGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPEAAVPAGNPMDNSGYGY >ONI00925 pep chromosome:Prunus_persica_NCBIv2:G6:8049761:8053634:1 gene:PRUPE_6G111900 transcript:ONI00925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSSLGSMMRKKLTDITNLQTAKAISKDEKPPEDCPTDKDYIDQLMRERMTLMRLVSERNKIVELSGAELQKLRISLQKLQQQNLNLAQSNSRMLAELNLGREKVKAEKCQNTESQVSKLKEVDEAALHKADNDGEPCNDNKRRVTRSRSMGPSTACPKVENKEKVENKRRCLRRQSARFRSQTENLFEIEDVKFPVSRTPDKMHNSGPTPLISCASKEEKENCAPRRSSVGRRPRKAAEKVHSYKEVPLNVKLRRAE >ONI00924 pep chromosome:Prunus_persica_NCBIv2:G6:8049761:8053634:1 gene:PRUPE_6G111900 transcript:ONI00924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSSLGSMMRKKLTDITNLQTAKAISKDEKPPEDCPTDKDYIDQLMRERMTLMRLVSERNKIVELSGAELQKLRISLQKLQQQNLNLAQSNSRMLAELNLGREKVKTLQHELLCKDALLKAKNLEIEVKAEKCQNTESQVSKLKEVDEAALHKADNDGEPCNDNKRRVTRSRSMGPSTACPKVENKEKVENKRRCLRRQSARFRSQTENLFEIEDVKFPVSRTPDKMHNSGPTPLISCASKEEKENCAPRRSSVGRRPRKAAEKVHSYKEVPLNVKLRRAE >ONI04497 pep chromosome:Prunus_persica_NCBIv2:G6:28593799:28594981:1 gene:PRUPE_6G324500 transcript:ONI04497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRQLILCTCRHAEIEALIHIVMDDFKDAFAAPSQICLLKAVAAEFSDRRLAMKLTNQ >ONI02679 pep chromosome:Prunus_persica_NCBIv2:G6:22221609:22223785:1 gene:PRUPE_6G214300 transcript:ONI02679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDATLKAYIEKHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKQQQAQQSRRAASSLKQEMIKRSESAATFANLPVEFVNQNPYWAPELPVAVPMVNYQTHEPQLIKDQASIKNMLIKLGGRFSADNTTTTTTNTNFLYPVDISSSSSSDHQFYQNPIDVLTCTSAPNNNIYAADQFASTHYDANNNGGGSSSPTMFQGLDDHNNNLQADHHLSELVVYGNDFPHQHQQLDGFYQAAETLNNGSTGTSTTSAESEISWGDINSLVYSTQMVSDYETCQQRMPTVHSVFAESTYFGLK >ONI03540 pep chromosome:Prunus_persica_NCBIv2:G6:25316460:25318003:1 gene:PRUPE_6G263600 transcript:ONI03540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIDAIRRFIIPCFSPTKKTTHSAGTKKRLSTSLRDDLDDIDAATSKTHDPRDQDSQSSSPTTENDAVSTIAPQQPRPSKSMVIGTIFGHRLGHVWFCIQHDRLSTKPTLLLELSIKTHQLVNEMRFGLVRVTLECDESGRSQLATCPLHSVPLWTVHCNGRQLGFAGRRRASDKVKLMLKTMQSTTVGAGVMPTGYGLESDSGQEVMYMRANYEHVVGSADSESFHLINPDQYPGQELSVFLLRSKHS >ONH99431 pep chromosome:Prunus_persica_NCBIv2:G6:2239447:2240516:1 gene:PRUPE_6G028900 transcript:ONH99431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAAPLKDYKGSAKFTLDFKWKPRLLSLNCHSSEIKTKQDSKPTIISIIMLFVDDGQKGYPANRAYRQESGVREKKGGVVDCNLLNHLYSVVLPLP >ONH99515 pep chromosome:Prunus_persica_NCBIv2:G6:2573484:2575668:1 gene:PRUPE_6G033900 transcript:ONH99515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNQSFCFSFAIIFFFLLMGFPASSSSPTFISDGIFESQASIGRNLLQAKKGCPVNFEFLNYTVITSRCKGPQYPAAQCCDAFKELACPYTDVLNDLTNECASIMFSYINLYGNYPPGLFANECHEGKEGLLCPALPPSASADDVNGVHTTCNRSPLLMLTAGFVVLLFRLL >ONH99516 pep chromosome:Prunus_persica_NCBIv2:G6:2573626:2575668:1 gene:PRUPE_6G033900 transcript:ONH99516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNQSFCFSFAIIFFFLLMGFPASSSSPTFISDGIFESQASIGRNLLQAKKGCPVNFEFLNYTVITSRCKGPQYPAAQCCDAFKELACPYTDVLNDLTNECASIMFSYINLYGNYPPGLFANECHEGKEGLLCPALPPSASADDVNGVHTTCNRSPLLMLTAGFVVLLFRLL >ONH99388 pep chromosome:Prunus_persica_NCBIv2:G6:2167493:2168484:-1 gene:PRUPE_6G027800 transcript:ONH99388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNGRPPQVADPSIDAGGDWRSQLPPGGRQRIPNQIMDTLQKLLPPDQVGQELMKIAVRFEEKYCTSMNSSWGSIFPATFNTSFLVNIMRCPGGLRAP >ONH99389 pep chromosome:Prunus_persica_NCBIv2:G6:2167634:2168487:-1 gene:PRUPE_6G027800 transcript:ONH99389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNGRPPQVADPSIDAGGDWRSQLPPGGRQRIPNQIMDTLQKLLPPDQVGQELMKIAVRFEEKYCTSMNSSWGSIFPATFNTSFLVNIMRCPGGLRAP >ONI04711 pep chromosome:Prunus_persica_NCBIv2:G6:29167593:29169880:1 gene:PRUPE_6G335600 transcript:ONI04711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFNTRGNQPPSDLIIEANKRIYTKLAKDVELRPAKDVELKPTQDVELKPSKAVELKLARVVVLNAEKIVEDLKLVKDIQEIKSKPIYSQISEVATEHQVHPPWELLDPNDPNVINAAKFAVLEYNKNVTSSSNKLLFQKVNWCEYAYLAGAFYGLDIAAKNESLPSPPQNYIVGVWARLNRPLKLRVYAYFEYDSATAYVALTLHQARVSDTPRTPLSKHSPYTAKTLSEYGSTHTYGQLMY >ONI00185 pep chromosome:Prunus_persica_NCBIv2:G6:4996873:4997899:1 gene:PRUPE_6G073200 transcript:ONI00185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMEGDPHPGAIIGRMSFQSFNPSIDKLNEASANPCQPAATSSGIQSEKGSFRENGSSTDKAECSNTDKSNCERDGDHKRKPSEVVSGKQYPNKSPKVDQGDQLSSPNKSKGSFKKPKGEKLDWNILRPTKNQNKRV >ONI00184 pep chromosome:Prunus_persica_NCBIv2:G6:4995901:4998151:1 gene:PRUPE_6G073200 transcript:ONI00184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRELSSTLRNLKFMQRATQREEKKEEDVKPAGDFTFPASQIRKCMVIMEGDPHPGAIIGRMSFQSFNPSIDKLNEASANPCQPAATSSGIQSEKGSFRENGSSTDKAECSNTDKSNCERDGDHKRKPSEVVSGKQYPNKSPKVDQGDQLSSPNKSKGSFKKPKGEKLDWNILRPTKNQNKRV >ONI02340 pep chromosome:Prunus_persica_NCBIv2:G6:19939698:19942436:-1 gene:PRUPE_6G192100 transcript:ONI02340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDAKLRAFWDKRISQEVNGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGDNDLPGLTDVEKPRMRGPKRASKIRKLFNLTKDDDVRKYVNTYRRTFTSKTGKKCSKAPKIQRLVTPLTLQRKRARIAEKKKRVAKAKSEAAEYQKLLASRLKEQRDRRSESLAKRRSRLSGASKPSAA >ONI01449 pep chromosome:Prunus_persica_NCBIv2:G6:11353405:11354634:1 gene:PRUPE_6G140200 transcript:ONI01449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGDWINYRRWDGKWLWMSLGWSEVVASTWVVAGALVVVGAWVSGLSNPIQAKKV >ONI04677 pep chromosome:Prunus_persica_NCBIv2:G6:29017583:29022883:-1 gene:PRUPE_6G334000 transcript:ONI04677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSGPLAVSDLHTTNGTRRNSTLICAPVMAESVDQMLVQMGKAREVGADLVEIRLDFLKNFSPRQDLEILIKHSPLPTLFTYRPAWEGGQYKGDDNKRQDALHLAMELGADYIDVELQVAHEFYNSIQGEKPEKVKIIVSSHNYQKTPSTEEIGDLVARIQATGADIVKIATTALDITDSARVFQVLVHSQVPMIGLVMGEKGLISRVLSAKYGAFLTFGTIEAGVVSAPGQPTVKDLLDLYNFRLIGLDTKVHGVIGNPIGHSKSPHLYNAAFKSINFNGIYLPLLVDSVANFIDTYNSPDFVGYSFTIPHKESGLKCCDEIDPKAKEIGAVNCMIRRPTDGKLIGYNVDYLGAIAAIEEGLQALNGSSNTSGSLLAGKLFVVMGAGGAGKALAYGGKEKGARVVVANRTYDKAKALANKVGGEAITLAELENFHPEDGMVLANTTSVGMKPRTDQTPISKKALKHYSLVFDAIYTPKWTRLLQEAQESGAAVVSGTEMLLNQAFVQIESFSGVPAPKQLIRDVLARNT >ONI04678 pep chromosome:Prunus_persica_NCBIv2:G6:29018327:29022650:-1 gene:PRUPE_6G334000 transcript:ONI04678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSDLHTTNGTRRNSTLICAPVMAESVDQMLVQMGKAREVGADLVEIRLDFLKNFSPRQDLEILIKHSPLPTLFTYRPAWEGGQYKGDDNKRQDALHLAMELGADYIDVELQVAHEFYNSIQGEKPEKVKIIVSSHNYQKTPSTEEIGDLVARIQATGADIVKIATTALDITDSARVFQVLVHSQVPMIGLVMGEKGLISRVLSAKYGAFLTFGTIEAGVVSAPGQPTVKDLLDLYNFRLIGLDTKVHGVIGNPIGHSKSPHLYNAAFKSINFNGIYLPLLVDSVANFIDTYNSPDFVGYSFTIPHKESGLKCCDEIDPKAKEIGAVNCMIRRPTDGKLIGYNVDYLGAIAAIEEGLQALNGSSNTSGSLLAGKLFVVMGAGGAGKALAYGGKEKGARVVVANRTYDKAKALANKVGGEAITLAELENFHPEDGMVLANTTSVGMKPRTDQTPISKKALKHYSLVFDAIYTPKWTRLLQEAQESGAAVVSGTEMLLNQAFVQIESFSGVPAPKQLIRDVLARNT >ONI02358 pep chromosome:Prunus_persica_NCBIv2:G6:20066290:20068975:-1 gene:PRUPE_6G193400 transcript:ONI02358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLGSSDSLGAMISICPSTEEQSPRNNHVYRRDFQSMLDGLDEEGCVEEGGHVSEKKRRLSVEQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDFGVLKANYDSLKLNYDNLQHENEALVKEIKQLKSKLQEENTESNNLSVKEEQMVAKDQSNYKVVDHELSKSPPPPPLGSSVPATESKELNFESFNNTNNGAVGLEAVSLFPDFKDGSSDSDSSAILNEDNSPNLTISSSGMLQNHQLMKSPASTSLKFNCCSSSSPSSSSMNCFQFQKTYHPQFVKIEEHNFFSSEEACSFFSDEQAPTLQWCCPDQWN >ONI02359 pep chromosome:Prunus_persica_NCBIv2:G6:20066818:20068364:-1 gene:PRUPE_6G193400 transcript:ONI02359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLGSSDSLGAMISICPSTAEEQSPRNNHVYRRDFQSMLDGLDEEGCVEEGGHVSEKKRRLSVEQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDFGVLKANYDSLKLNYDNLQHENEALVKEIKQLKSKLQEENTESNNLSVKEEQMVAKDQSNYKVVDHELSKSPPPPPLGSSVPATESKELNFESFNNTNNGAVGLEAVSLFPDFKDGSSDSDSSAILNEDNSPNLTISSSGMLQNHQLMKSPASTSLKFNCCSSSSPSSSSMNCFQFQKTYHPQFVKIEEHNFFSSEEACSFFSDEQAPTLQWCCPDQWN >ONI03860 pep chromosome:Prunus_persica_NCBIv2:G6:26524309:26526383:-1 gene:PRUPE_6G286400 transcript:ONI03860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLPNRASPVLSKALETKQCLLQGFNSFKHLKHAHARLLRLGLDQDNYLLNMVLRSGFDFGHASYSRLVFDQTTQPNIFLWNTMIRGLVSDDCFDDAIEFFISMRTEGILPNSFTFPFVLKACARRSDFPLGLNIHTLVVKTGFNFDVYVKTSLLCLYAKCGYLEHAHKVFDDIPDKNVVSWTAIICGYIGAGQYREAIDTFRRLLEMGLRPDSFSLVRVLSACGKLGDLSSGEWIDRYITEIGMGKNVFVATSLVDLYAKCGQMEKARGIFDGMLEKDIVSWSSMIQGYASNGLPKEAIDLFFQMQKENLKPDCYAMVGVLSACARLGALELGEWAGSLMDKHEFFVNPVLGTALIDMYAKCGCMIQAWEVFKGMKKRDHVVWNAAMSGLAMNGHVKTVFGLFGQVEKNGIRPDGNTFMGLLCGCSHAGLVDEGRRYFNNMTSVFSLAHTIEHYGCMVDLLSRAGLLDEAYNLIKTMPMKANSVVWGALLGGCRLHRQTQLAELVLKQLIELEPWNSAHYVLLSNIYSASHKWDEAADTRSRMNEQGMKKIPGCSWIEVNGVVQEFLVGDKSHALSEKIYAKLDELAKELKAAGYVPTTDFVLFDIEEEEKEHFLGCHSEKLAIAFGLISTAPKDTIRVVKNLRVCGDCHEAIKLISKITERQIIIRDNNRFHCFIDGSCSCKDYW >ONI04890 pep chromosome:Prunus_persica_NCBIv2:G6:29678539:29679623:1 gene:PRUPE_6G346400 transcript:ONI04890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSIGNPPFEVYGIADTGSDLVWTQCVPCHGCYKQINPNDLPCDAQECHAIGTGTCSPQHTCNYTYGYGGAEMTQGVLAKETITITSTSGEATSLKDIVFGCGHNNTGTFDENEMGIVGLGGGPLSLVSQLGPLVGGKKFSFCLMPFHTDPSVESKISFGEAKDKTPCFVTVEGISVGDKLVPFSSSGNVSKGNTFMDTGAPPTLIPQDFYDRLVAEVKGQIPMTPVENDPSLGFQLCYKSKTNLKGPILTVHFQGAADVRLLPKQTFIPPREEVFCFAMQNVTSDGGIYGNFAQSDFFISYDLESSVVFFKPTDCTKEQALFLFSFLGNKIKG >ONI04630 pep chromosome:Prunus_persica_NCBIv2:G6:28879884:28881930:-1 gene:PRUPE_6G331100 transcript:ONI04630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPPDHGFCTNGVVRLVFVLVAVCLVGYTIRPSLHWSLKEKGSCPQCPCDCSKDFDPIPVDIINSSFSDCGKHEPEVKEEMEKDIIALMSEELSLQQTVANETLQRTDALLMGARRVSLHYQKEAEKCNAGVETCEEARERAQAELVEELRLSEVWERRARELGWTDNS >ONI04629 pep chromosome:Prunus_persica_NCBIv2:G6:28879899:28881839:-1 gene:PRUPE_6G331100 transcript:ONI04629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPPDHGFCTNGVVRLVFVLVAVCLVGYTIRPSLHWSLKEKGSCPQCPCDCSKDFDPIPVDCGKHEPEVKEEMEKDIIALMSEELSLQQTVANETLQRTDALLMGARRVSLHYQKEAEKCNAGVETCEEARERAQAELVEELRLSEVWERRARELGWTDNS >ONI02688 pep chromosome:Prunus_persica_NCBIv2:G6:22290029:22293789:1 gene:PRUPE_6G215200 transcript:ONI02688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLSCALQNFRHLLKTCIAERDLFTGKSLHALYFKSLLPPSTYLSNHFILLYSKCGRLSSARNAFDQTQEPNVFSFNAIVAAYAKESHTHIAHQMFDKIPHPDLVSYNTLISAYADRGETEPALSLFTGMRNMGLDMDGFTISAVITGCCDDIGLIRQLHSVAVSGGFDSYVSVNNALVTYYSKNGFLGEAKRVFYVMGEMRDEVSWNSMIVAYGQHRQGLRALALFQEMVRMGLKVDMFTLASVLTAFTCVEDLLGGLQFHAKLIKTGFHQNSHVGSGLIDLYSKCAAGGMSDCRKLFEEIPYPDLVLWNTMISGYSQNDEFSEDALDCFRQMQRVGHCADDCSFVCVISACSNLSSPSQGKQIHALAIKSDIPSNKVSVNNALVAMYSKCGNLHDARRLFDRMPEHNTVSLNSMIAGYAQHGIGVESLRLFEHMLVMDIVPSSITFISVLSACAHTGKVEEGQKYFNVMKEKFKIEPEAEHYSCMIDLLGRAGKLDEAERLIETMPFNPGSVGWATLLGACRTHGNIELAVKAANQFLQLDPSNAAPYVMLSNMYARDGKWEEVATIRKLMRDRGVKKKPGCSWIEVNKRVHVFVAEEISHPMIKGIHEYLEEMSRKMKRAGYVPDLRWTLVKDDESVQGEKEIRLGHHSEKLAVAFGLISTRKGEPILVVKNLRICGDCHNAIKFISAIAGREITVRDAHRFHCFKEGHCSCGDYW >ONI03326 pep chromosome:Prunus_persica_NCBIv2:G6:24602292:24603215:1 gene:PRUPE_6G251200 transcript:ONI03326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLFTSTLTTLSLRWPVLVYAATWTTLLTLTVAVASFSPEVAFVSSISSTSSFSRACDSEGSVRVPSDVPGDILCLPAHLFSKSSIDFIVPPVFAAVVVAGSAFLVRAVGLWETDEVP >ONI05203 pep chromosome:Prunus_persica_NCBIv2:G6:30477026:30481236:1 gene:PRUPE_6G361900 transcript:ONI05203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKERPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELIAKCIESSDLNFSRYGDTFFEVVFTGGRTQPGTTKPDEGERHPYSVLESEATRDVILPSVIYIQKILRRRPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPMLKDNLVGKGLVLSFITDFFKEYLIDNSLDDLISILKRGKVEDNLLEFFPSTKRSDESFSEHFTKEGLVALVEYNEKKIFEVKLKEMKSALTTQITEETDMSEVIETVKQRVKDAKLPDVEVVRILWDVIMDAVQWSGKNQQQNANAALRQVKTWAELLNTFCTNGKLELELIYKVQMQCYEDAKLMKLFPEIVRSLYDQDVLAEVTILHWFRRGTNPKGRQTFVKALEPFVNWLEEAEEEE >ONH99188 pep chromosome:Prunus_persica_NCBIv2:G6:1183262:1183909:-1 gene:PRUPE_6G016400 transcript:ONH99188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSTSIEVEQNLCVIYLSYVLKSLSSRELLHYELVYNYSGSKHITESLKRCGISESSTYVLAACFNSSSDEPCIASGYQKIRETINGKEIELEELGGRVDQAQVQKHYKITSVELGISSLAEAIT >ONI03988 pep chromosome:Prunus_persica_NCBIv2:G6:27049207:27051723:-1 gene:PRUPE_6G295400 transcript:ONI03988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDCLPSSPLSFTVTKFRQLGFCRTNQRPQSPPHPYFYIPNCLKLSNFTNLDITGLRNGRSLVLCRRASENPSEDFGFGAELGFKEKRENLFDLEAKPSDAAKKNGIDPTKEGNASLGSDFLQSEGGLGDEKQSTKTDESLENENWVRMEGADGDGLENEEGLKHTDGKVGLRKGRQVMRRSNILAKQVISIRSALSLGFVSQLWVNTNSWMVMFVEVKRNLLSGESERFLLDDITQVGDVVLVEDESVVENEFKIAGLETLVGYQVVTPGRRTMGKVRGYSFNINSGAVESLELDSFGISIIPSSLVSTYALFVEDVLEVVSDAVIVHEAAASRIHRLTKGFLDSQNVATSLDELDEYSDFERPARSDKHTRRSFGNQKFNSRKSKTNDDWDLPMDYF >ONI04168 pep chromosome:Prunus_persica_NCBIv2:G6:27642426:27642820:-1 gene:PRUPE_6G306400 transcript:ONI04168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVNKVMLILTTILVVAALHVEGGRRIKSHDENGEGVDEPQNFYGGAAGGIFPGPPGFVTGVSFGPSGLCTLPGGCVPVPVLPTIPAVPSAGGSVPFTP >ONI00642 pep chromosome:Prunus_persica_NCBIv2:G6:6943789:6945323:-1 gene:PRUPE_6G099800 transcript:ONI00642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKITMSHILLALFVVLVSMEAALVMGAGSGNGNGNGNGNTASGNGNGNGNGNDDEADDTAVAHYDELTALESGEERGFCKAKGPCYYKTLVCPSECPQRKPKKNKKHKGCFINCGSKCEVTCKYRKANCNGYGSLCYDPRFVGGDGVMFYFHGAKGGDFAIVSDKNLQINAHFIGTRPAGRTRDFTWVQALAVMFDTHTLVIAAKRVSKWDDKVDALMVKWDGEAVTIPADGDAEWRTNGENREVIVERTDDTNYVRVTVAGLLEMDIKVRPIGEEENRVHNYQIPADDTFAHLETQFRFSNLSDLVEGVLGKTYRPGYVSPVKVGVPMPMMGGEDKYQTSSLFSPLCKVCRFEKQPELAATGGVAQY >ONI00524 pep chromosome:Prunus_persica_NCBIv2:G6:6409545:6412759:1 gene:PRUPE_6G092900 transcript:ONI00524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSNHLIGLLNFATFLLSIPILGGGIWLSSRANNTDCLKFLQWPLIIIGVSIMVVSLAGFAGACYRNTFLMWVYLFVMFFIIAALIGFIIFAYAVTDKGSGRPVTNRAYVEYYLGDYSGWLEEHVAKDSNWRKIASCVRDSKVCQKMGRTVSGVPQTADMFYNRKLSSVQSGCCKPPTECGYTYVNETTWETGGGMMGTNPDCALWNNDQRQLCYSCNSCKAGVLASLKKSWRKVSVINIVVLIILVIFYVIGCAAFRNNRRIDNDEPYGEARMTKSQPSAFQL >ONI00635 pep chromosome:Prunus_persica_NCBIv2:G6:6922369:6925009:-1 gene:PRUPE_6G099600 transcript:ONI00635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGKATTPKKSKETKKPSSKAITPKKNQETKKPSIKKTTETKKPGTKKTISDKNQTSNGNVSDSDVEEIPSSSSESLKDTKQNGGKITKTPTPKSKQSNKKRKQKQEEEEEVEEKEEMKSYTFPMERVKRIIRSEDSEMRISHDAVFLVNKATEKFLQKFCEDAHACCVKDRKKSLAYKHLSTVVSKRKRYDFLSDYVPEKVKAEDALAKRKLAEAKAD >ONI00638 pep chromosome:Prunus_persica_NCBIv2:G6:6923261:6924646:-1 gene:PRUPE_6G099600 transcript:ONI00638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGKATTPKKSKETKKPSSKAITPKKNQETKKPSIKKTTETKKPGTKKTISDKNQTSNGNVSDSDVEEIPSSSSESLKDTKQNGGKITKTPTPKSKQSNKKRKQKQEEEEEVEEKEEMKSYTFPMERVKRIIRSEDSEMRISHDAVFLVNKATEKFLQKFCEDAHACCVKDRKKSLAYKHL >ONI00636 pep chromosome:Prunus_persica_NCBIv2:G6:6923030:6925008:-1 gene:PRUPE_6G099600 transcript:ONI00636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGKATTPKKSKETKKPSSKAITPKKNQETKKPSIKKTTETKKPGTKKTISDKNQTSNGNVSDSDVEEIPSSSSESLKDTKQNGGKITKTPTPKSKQSNKKRKQKQEEEEEVEEKEEMKSYTFPMERVKRIIRSEDSEMRISHDAVFLVNKATEKFLQKFCEDAHACCVKDRKKSLAYKHLFRLCGAYQRNLFLIHLLETDY >ONI00637 pep chromosome:Prunus_persica_NCBIv2:G6:6923261:6924646:-1 gene:PRUPE_6G099600 transcript:ONI00637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGKATTPKKSKETKKPSSKAITPKKNQETKKPSIKKTTETKKPGTKKTISDKNQTSNGNVSDSDVEEIPSSSSESLKDTKQNGGKITKTPTPKSKQSNKKRKQKQEEEEEVEEKEEMKSYTFPMERVKRIIRSEDSEMRISHDAVFLVNKATEKFLQKFCEDAHACCVKDRKKSLAYKHL >ONI00634 pep chromosome:Prunus_persica_NCBIv2:G6:6922369:6925008:-1 gene:PRUPE_6G099600 transcript:ONI00634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGKATTPKKSKETKKPSSKAITPKKNQETKKPSIKKTTETKKPGTKKTISDKNQTSNGNVSDSDVEEIPSSSSESLKDTKQNGGKITKTPTPKSKQSNKKRKQKQEEEEEVEEKEEMKSYTFPMERVKRIIRSEDSEMRISHDAVFLVNKATEKFLQKFCEDAHACCVKDRKKSLAYKHLFVSKRKRYDFLSDYVPEKVKAEDALAKRKLAEAKAD >ONI03556 pep chromosome:Prunus_persica_NCBIv2:G6:25370209:25372893:1 gene:PRUPE_6G264600 transcript:ONI03556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKQVGSSSSFTSELFGSKESSSSSGIFGAIFAPSSKELVLGRESLRSEVTGKKLTDEPLHVKPGEPVGDSNGSEGESQNMPNKDMSSFYQDQRVQQPCHLSSSIYYGGQDIYSYPQSTQSPGFNSYKKDGTEDDSGSACRGNWWQGSLYY >ONI03555 pep chromosome:Prunus_persica_NCBIv2:G6:25370103:25373659:1 gene:PRUPE_6G264600 transcript:ONI03555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKQVGSSSSFTSELFGSKESSSSSGIFGAIFAPSSKELVLGRESLRSEVTGKKLTDEPLHVKPGEPVGDSNGSEGESQNMPNKDMSSFYQDQRVQQPCHLSSSIYYGGQDIYSYPQSTQSPGFNSVYKKDGTEDDSGSACRGNWWQGSLYY >ONI03554 pep chromosome:Prunus_persica_NCBIv2:G6:25370209:25372893:1 gene:PRUPE_6G264600 transcript:ONI03554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKQVGSSSSFTSELFGSKESSSSSGIFGAIFAPSSKELVLGRESLRSEVTGKKLTDEPLHVKPGEPVGDSNGSEGESQNMPNKDMSSFYQDQRVQQPCHLSSSIYYGGQDIYSYPQSTQSPGFNSVGLSIIKGSLAEAYTHQDI >ONI03557 pep chromosome:Prunus_persica_NCBIv2:G6:25370209:25372893:1 gene:PRUPE_6G264600 transcript:ONI03557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKQVGSSSSFTSELFGSKESSSSSGIFGAIFAPSSKELVLGRESLRSEVTGKKLTDEPLHVKPGEPVGDSNGSEGESQNMPNKDMSSFYQDQRVQQPCHLSSSIYYGGQDIYSYPQSTQSPGFNSVVRIFVCFAFCFFLLNNCWFYHLTLRIRFFKNFPGNNANTV >ONI03660 pep chromosome:Prunus_persica_NCBIv2:G6:25823709:25828340:1 gene:PRUPE_6G273000 transcript:ONI03660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQKQAEEAIVSNFSEAHDHEGKEDHHQDKEEENTSLFNVKNFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGLLSGILLQIFYGIVGSWTAYLISVLYIEYRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQTEGVTHTAPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLFATVYVFTLTIPSATSVYWAFGDELLNHSNAFSLLPKNAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPFFLPSWTAMYVINSFIVVWVLVIGFGFGGWASMTNFVRQVDTFGLFAKCYQCKPPKPLPAAAAPHH >ONI01059 pep chromosome:Prunus_persica_NCBIv2:G6:8801750:8804150:-1 gene:PRUPE_6G119200 transcript:ONI01059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALLPPSSNHLKISNVPTRLTRLAPNRLAVVKCAYRSDAVHFHNGIGSSRADWQSSCAILASNVVSQQPQPPPDKPGTADHVASVNGHKTNVDLDLVPIEKLSSSSSGDDKSCSPPPPPRALTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPKCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLNRVISHPQALAQCELTLTKLGLNVAREAVDDTAGAAEFVAANNLRDTGAIASARAAELYGLEILETGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVSDSNEGTAKHFEYLFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWSPSRGD >ONI01130 pep chromosome:Prunus_persica_NCBIv2:G6:9183973:9186343:1 gene:PRUPE_6G123100 transcript:ONI01130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGATLLTQQLNNFIRSLREQGILDFHFEELKELESDTPCLVVEAITMFLRDADCSIAELLRTLGAPLINYTRVTEIAFQLKGSSSSVGGCRMAHACCELRDASEAYSKERYKTVLLPSPSWL >ONI01129 pep chromosome:Prunus_persica_NCBIv2:G6:9183662:9187792:1 gene:PRUPE_6G123100 transcript:ONI01129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGATLLTQQLNNFIRSLREQGILDFHFEELKELESDTPCLVVEAITMFLRDADCSIAELLRTLGAPLINYTRVTEIAFQLKGSSSSVGGCRMAHACCELRDASEAYSKERSLSGFDRVRREYLVLRENLNQILQMEQAINAFEPRRRHQ >ONI04224 pep chromosome:Prunus_persica_NCBIv2:G6:27820349:27824039:-1 gene:PRUPE_6G309900 transcript:ONI04224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLENLLLEAAGRTNSAGKKRQRLPPRKQRGGSYSDDGSDSRDEDSDYDRSYASRKPSRVPLKKRLEHERSSDEESDYGDGSDHEGANSDDSDVGPDLYKNEADRKWLAELSELEREMILTDRAEKKDGKDFMEKLRSKRGNARSTQSRKETSPLPLSRGVRSSARTADKSAAMDDALNQLRAKRLKQQDSKAHHKLRDALRASEGSQGTSPIKSKWSLSNSSQIENASGLHSEDEASSADGELLDSDDDVSEPPTFQEIKEITIPRSKLAKWFMEPFFEELIVGCFVRVGIGRSSSGPVYRLCMVQNVDAMNPDRQYKLEGKVTHKYLNCVWGSESSAAKWQMAMVSDSAPQEEEFKQWFKEVERTAGRMPSKQEVLEKREMLQKSNTYVYSATTVKQMLQEKKYASSRPVNIAVEKDKLRRQLEIAESKDDEVEVEKIKTRLQELEASRQTQGKDTKAFRLAEMNRKNRVENFKNASGLKRVNMNLKAGEAGYDPFSRRWTRSRNYYVARPGGGGDEAAEATVGTAGVASNEDKATATGKSGMEATEAALEAAAGAGKLVDTSAPVDKGTESNMLHNFDLPISLAPLQKLGGPQGAQIAYMTRKQKIEATVGCQVRDDGKKHASTLSVNDYIRRRGLLN >ONI03319 pep chromosome:Prunus_persica_NCBIv2:G6:24583941:24587021:1 gene:PRUPE_6G250800 transcript:ONI03319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFCLKCYPWSFTQSITQTPPPPPSSSHKLFTSLSFPLLHHHDQLVTHSSLSYSTPVSTHHLPPDFTPQQLLDTLRRQNDESSALRLFDWASKQPNFTPNSTIYEEVLRKLGKVGSFESMRNILDEMKLAGCQISSGTFVIFVQSYAAFDLYDEILGVVEMMENEFGCKPDTHFYNFLLNVIVEGDKLKLVETANMGMLSRGIKPDVSTFNILIKALCRAHQIRPALLLMEEMSNHGLSPDEKTFTTLMQGYIEEGDMKGALRMRDQMVEYGCPWTNVTINVLVNGFCKEGKVEEALSFIEKMSNEGFSPDQFTFNTLVKGLCRVGHVKHALEIMDVMLQQGFDLDIYTYNSLVSGLCKLGEIEEAVEILDQMVSRDCSPNTVTYNTLISTLCKENRVEEATKLARVLTSKGILPDVCTVNSLIQGLFLNSNHKAAVELFEEMKMNGCQPDGFTYSMLIDSYCSRGRLKEALNLLKEMELRGCARNVVIYNTLIDGLCKNKRIEDAEEIFDQMELQGISRNSVTYNILIDGLCQSRRVEEASQLMDQMIIEGLKPDKFTYNSLLTYFCRAGDIKKAADIVQTMTSNGCEPDIVTYGTLIGGLCKAGRIQVASRLLRSLQMKGLVPSPQAYNPVIQSLFKRKRTTEAMRLFREMMEKGDPPDSITYKIVLRGLCNGGGPIAEAVEFAVEMMGKGYLPEFSSFAMLAEGLQALSMEDTLINLVDMVMEKAKLSDREVSMISGFLKIRKYQDALATLGGILNSEKPKKSYWQR >ONI03333 pep chromosome:Prunus_persica_NCBIv2:G6:24631335:24636969:-1 gene:PRUPE_6G251700 transcript:ONI03333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVNITSIKTASSGLLQGDNPLNFAFPLLIVQTTLIIVVSRFLAFLLKPLRQPKVIAEIAGGILLGPSAFGRNKQYLHKIFPSWSTPILETVASIGLLFFLFLVGIELDLSSIRRRSGRSAVGIALAGISVPFICGIGVALLLRKTIDGADKAGFTQFLVFMGVSLSITAFPVLARILAELKLLTTRVGETAMAAAALNDVAAWILLALAVALAGDGVGGHKKSPLVSIWVFLSGLAFVAFMMVVIRPAMNWVARRSSPEQDSVDEAYICLTLAGVMVAGFVTDLIGIHSIFGAFVFGLTIPKGGLFADRLTQRMEDFVSGLLLPLYFASSGLKTDVAKIKGGEAWGLLALVISTACAGKILGTFVVALMFKIPVRESLTLGVLMNTKGLVELIVLNIGKEKKVLNDETFAILVLMALFTTFITSPLVMAIYKPARGISLRPRRKLGDLSTSEAFKDELRVVACVHGPPNVPSLVGLIESIRSSKKSQLKLFLMHLVELTERSSSIIMVQRARKNGFPFFNRLGRGQLHDSIVGAFQAYSQLGRVSVRPTTAISAMSTMYEDICHVAEDKRAAMIILPFHKQLRFDGDDQETTEIVGHSWRGVNQKVLQNAPCSVAVLVDRGFGRPRSQTPKPTTILTQRICIIFFGGPDDREALELGGRMAEHPAVKVTVVRFVEKEGLESNGPHGLMLKPSPSKSIDNSYSFSTAKMDRKKEKKLDEGAMAEFRSKWDGKAEYIEKVGANNIIEGVLATGRSGDQDLLVVGKGRFPSAMVAELADRQAEHAELGPVGDMLASSDHGVVSSVLVIQRHDVAHAVETPMSKIVHHEYERFVADESSSNALEISKESV >ONI03334 pep chromosome:Prunus_persica_NCBIv2:G6:24631486:24636969:-1 gene:PRUPE_6G251700 transcript:ONI03334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLSITAFPVLARILAELKLLTTRVGETAMAAAALNDVAAWILLALAVALAGDGVGGHKKSPLVSIWVFLSGLAFVAFMMVVIRPAMNWVARRSSPEQDSVDEAYICLTLAGVMVAGFVTDLIGIHSIFGAFVFGLTIPKGGLFADRLTQRMEDFVSGLLLPLYFASSGLKTDVAKIKGGEAWGLLALVISTACAGKILGTFVVALMFKIPVRESLTLGVLMNTKGLVELIVLNIGKEKKVLNDETFAILVLMALFTTFITSPLVMAIYKPARGISLRPRRKLGDLSTSEAFKDELRVVACVHGPPNVPSLVGLIESIRSSKKSQLKLFLMHLVELTERSSSIIMVQRARKNGFPFFNRLGRGQLHDSIVGAFQAYSQLGRVSVRPTTAISAMSTMYEDICHVAEDKRAAMIILPFHKQLRFDGDDQETTEIVGHSWRGVNQKVLQNAPCSVAVLVDRGFGRPRSQTPKPTTILTQRICIIFFGGPDDREALELGGRMAEHPAVKVTVVRFVEKEGLESNGPHGLMLKPSPSKSIDNSYSFSTAKMDRKKEKKLDEGAMAEFRSKWDGKAEYIEKVGANNIIEGVLATGRSGDQDLLVVGKGRFPSAMVAELADRQAEHAELGPVGDMLASSDHGVVSSVLVIQRHDVAHAVETPMSKIVHHEYERFVADESSSNALEISKESV >ONI02884 pep chromosome:Prunus_persica_NCBIv2:G6:23044633:23046131:-1 gene:PRUPE_6G226300 transcript:ONI02884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRSGSSLSRSLISTARASTIRSSPSISSLPRSPLHNLRTSSRRSLFSLPVNVSQLACAQSLMPLHSALASARLTSHISVEARHCCELSQGTSIDPS >ONI03683 pep chromosome:Prunus_persica_NCBIv2:G6:25951534:25957500:1 gene:PRUPE_6G275100 transcript:ONI03683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSHTHGRHILLKFLHVFILLCMSTRLESAALPKSTSANNESDRLALLELKKRITKDPLHVMSSWNDSTDLCSWVGVTCNPSTKRVMILNLEDQQLAGSLPPSIGNLTHLTGINLNANNFTGEIPQETGRLHSLQHLNLSANSFGGKIPANISHCTQLRFLNLRSNQLIGSIPSQLSSLLSLTHLLLSLNNLTGSIPEWIGNLSSLNSLYLSNNNFQGSIPNELRRLTGLTELSLGLNNLSGMVPSSIYNMSSLSGFTVSNNQLHGELPPNLGITLPNLEQFYCGGNNFTGTIPASLSNASRLIGLDFSGNGLYGPLPAENLGRLQSLLWLNLEQNRLGSGKANDLNFISFLANCTSLEMLDLASNNFGGELPGSVSNLSTQLQYIVLGHNLIHGSIPNGIGNLVNLIFLGAGFNKLSGSVPDGIAKLHNLQELFLNGNKFSGPVPSFLGNLTSMTKLFMDDNGFEGSIPPSLGNCKRLQILDLSNNSLTGTIPKELVGLSFVAIYLKMSNNSLTGALPSEMGVLINLVQLDVSRNKLSGEIPKTIGSCILLENLHLEGNEFEGTIPQSLENLRGLEEIDFSYNNLSGQIPGFLGNFKFLKHLNLSHNDFEGELPKEGIFSNATEVSILGNDKLCGGIPELLLPACSSQKAHSSRGLLTRKVIIPVTCGLALIITLSCFIAARSMVKKSRGRPGILQSYKDWKLGVSYSELVHSTNGFSVDNLIGLGSFGSVYKGVVPSDGTTVAIKVFNLQQPGSFKSFLDECNALRSIRHRNLLKIITACSSIDNQGNDFRSLVFKYMANGSLDSWLHPRDDVQSQCKRLSLIQRLTIAIDVASALDYLHHHCETSIAHCDLKPSNVLLDEDMVAHVGDFGLARFLLEASNNPSESQSISTGLKGSIGYIPPEYGMGGQVSILGDIYSYGILLLEMFTGKRPTDDMFRDNLSIQQFTAKGLPDHAIDVADPSLLLERDDAEADDDRYGADDIQERPITRYRDHGPVQARRLEECLVSVMQIGLSCSAISQGERMRMDVVVNKMKTIRDSYLSLR >ONI03684 pep chromosome:Prunus_persica_NCBIv2:G6:25952909:25957500:1 gene:PRUPE_6G275100 transcript:ONI03684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSHTHGRHILLKFLHVFILLCMSTRLESAALPKSTSANNESDRLALLELKKRITKDPLHVMSSWNDSTDLCSWVGVTCNPSTKRVMILNLEDQQLAGSLPPSIGNLTHLTGINLNANNFTGEIPQETGRLHSLQHLNLSANSFGGKIPANISHCTQLRFLNLRSNQLIGSIPSQLSSLLSLTHLLLSLNNLTGSIPEWIGNLSSLNSLYLSNNNFQGSIPNELRRLTGLTELSLGLNNLSGMVPSSIYNMSSLSGFTVSNNQLHGELPPNLGITLPNLEQFYCGGNNFTGTIPASLSNASRLIGLDFSGNGLYGPLPAENLGRLQSLLWLNLEQNRLGSGKANDLNFISFLANCTSLEMLDLASNNFGGELPGSVSNLSTQLQYIVLGHNLIHGSIPNGIGNLVNLIFLGAGFNKLSGSVPDGIAKLHNLQELFLNGNKFSGPVPSFLGNLTSMTKLFMDDNGFEGSIPPSLGNCKRLQILDLSNNSLTGTIPKELVGLSFVAIYLKMSNNSLTGALPSEMGVLINLVQLDVSRNKLSGEIPKTIGSCILLENLHLEGNEFEGTIPQSLENLRGLEEIDFSYNNLSGQIPGFLGNFKFLKHLNLSHNDFEGELPKEGIFSNATEVSILGNDKLCGGIPELLLPACSSQKAHSSRGLLTRKVIIPVTCGLALIITLSCFIAARSMVKKSRGRPGILQSYKDWKLGVSYSELVHSTNGFSVDNLIGLGSFGSVYKGVVPSDGTTVAIKVFNLQQPGSFKSFLDECNALRSIRHRNLLKIITACSSIDNQGNDFRSLVFKYMANGSLDSWLHPRDDVQSQCKRLSLIQRLTIAIDVASALDYLHHHCETSIAHCDLKPSNVLLDEDMVAHVGDFGLARFLLEASNNPSESQSISTGLKGSIGYIPPEYGMGGQVSILGDIYSYGILLLEMFTGKRPTDDMFRDNLSIQQFTAKGLPDHAIDVADPSLLLERDDAEADDDRYGADDIQERPITRYRDHGPVQARRLEECLVSVMQIGLSCSAISQGERMRMDVVVNKMKTIRDSYLSLR >ONI02349 pep chromosome:Prunus_persica_NCBIv2:G6:19995024:20001282:-1 gene:PRUPE_6G192800 transcript:ONI02349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNDVVSGTFRALVESADRKFARVRDVPAYGRVHNQHYFHKVFKAYMRLWKYQQEKRSKLIEAGLNRWEIGEIASRIGQLYFGQYMRTSEARFLVEAYVFYEAILSRSYFEGSNSSSKAFGKKDLGVRFKELRFYARFLLVSLILNRTEMVQLLAERFKALVDDCKANYRETNFKEWRLVVQEIVRFMKVDTAFMNVRPLRYSTLFDSHPSSLPYVARFHAKRVLKFQDALLTSYHRNEVKFAELTLDTYRMLQCLEWEPSGSFYQKRAVESKENGTFIDHSGASAASGVIDMNLAADMTDPTLPPNPRKAVIYRPSVTHLIAVMATICEELPLDSIMLVYLSASGKAGRNNVTQAYNSGGSHKSSKNKVPLPAQNNSMPESCINNKGESSGYYDQYLWFGPRGNGGLSNLYPGDIIPFTRRPLFLIIDSDNSHAFKVLHGAERGETAALFLSPLRPAFKNPADADLMQNGSQFTFFLTAPLSAFCQLVGFSSSDTEAEVYNNAESILSAAFSEWEVILCTSTSLDLVWAQVISDPFLRRLILRFIFCRAVLSFFCPPEDSEQYLPICLPLLPISVSPDSEVVQSAVHRVAKHLSVSDFFHFVDT >ONI02348 pep chromosome:Prunus_persica_NCBIv2:G6:19995153:20001282:-1 gene:PRUPE_6G192800 transcript:ONI02348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNDVVSGTFRALVESADRKFARVRDVPAYGRVHNQHYFHKVFKAYMRLWKYQQEKRSKLIEAGLNRWEIGEIASRIGQLYFGQYMRTSEARFLVEAYVFYEAILSRSYFEGSNSSSKAFGKKDLGVRFKELRFYARFLLVSLILNRTEMVQLLAERFKALVDDCKANYRETNFKEWRLVVQEIVRFMKVDTAFMNVRPLRYSTLFDSHPSSLPYVARFHAKRVLKFQDALLTSYHRNEVKFAELTLDTYRMLQCLEWEPSGSFYQKRAVESKENGTFIDHSGASAASGVIDMNLAADMTDPTLPPNPRKAVIYRPSVTHLIAVMATICEELPLDSIMLVYLSASGKAGRNNVTQAYNSGGSHKSSKNKVPLPAQNNSMPESCINNKGESSGYYDQYLWFGPRGNGGLSNLYPGDIIPFTRRPLFLIIDSDNSHAFKVLHGAERGETAALFLSPLRPAFKNPADADLMQNGSQFTFFLTAPLSAFCQLVGFSSSDTEAEVYNNAESILSAAFSEWEVILCTSTSLDLVWAQVISDPFLRRLILRFIFCRAVLSFFCPPEDSEQYLPICLPLLPISVSPDSEVVQSAVHRVAKHLSVSDFFHFVDT >ONI02350 pep chromosome:Prunus_persica_NCBIv2:G6:19996282:20001159:-1 gene:PRUPE_6G192800 transcript:ONI02350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNDVVSGTFRALVESADRKFARVRDVPAYGRVHNQHYFHKVFKAYMRLWKYQQEKRSKLIEAGLNRWEIGEIASRIGQLYFGQYMRTSEARFLVEAYVFYEAILSRSYFEGSNSSSKAFGKKDLGVRFKELRFYARFLLVSLILNRTEMVQLLAERFKALVDDCKANYRETNFKEWRLVVQEIVRFMKVDTAFMNVRPLRYSTLFDSHPSSLPYVARFHAKRVLKFQDALLTSYHRNEVKFAELTLDTYRMLQCLEWEPSGSFYQKRAVESKENGTFIDHSGASAASGVIDMNLAADMTDPTLPPNPRKAVIYRPSVTHLIAVMATICEELPLDSIMLVYLSASGKAGRNNVTQAYNSGGSHKSSKNKVPLPAQNNSMPESCINNKGESSGYYDQYLWFGPRGNGGLSNLYPGDIIPFTRRPLFLIIDSDNSHAFKAGFTWCGKRRDSCSVSFTFETSIQEPS >ONI03083 pep chromosome:Prunus_persica_NCBIv2:G6:23765819:23769585:-1 gene:PRUPE_6G237400 transcript:ONI03083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSIPCTSCFFSSPEKVVENGMQDGENQESFRIFSSKELKSATNGFHSSKKLGEGGFGSVYKGRLRDGSLVAIKVLSTEIESMRGEREFIAELSTLSVIRHENLVRLQGCCVDGAGRYLVYDYMENNSLTHTLLGGEQNRMRFSWDARRHISLGIARGLAYLHEEVEPHILHRDIKASNILLDENFTPKVGDFGLSKLLRDNHSHISTRVAGTIGYLAPEYAISGRLTRKSDVYSFGVLLLEIVSGRAVVDFDLEHGEHHLVQKAWEAFKAGNLVQLVDPTLQMNFPEDEAVRFLNLGLLCVQEMAKLRPPMSRAVKILSGEVDIKDSQISEPGLISDIMEIKMGQQQSCDQSTFSKASTASSTQNQSRSEDFVMSL >ONI03084 pep chromosome:Prunus_persica_NCBIv2:G6:23767441:23769224:-1 gene:PRUPE_6G237400 transcript:ONI03084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGENQESFRIFSSKELKSATNGFHSSKKLGEGGFGSVYKGRLRDGSLVAIKVLSTEIESMRGEREFIAELSTLSVIRHENLVRLQGCCVDGAGRYLVYDYMENNSLTHTLLGGEQNRMRFSWDARRHISLGIARGLAYLHEEVEPHILHRDIKASNILLDENFTPKVGDFGLSKLLRDNHSHISTRVAGTIGYLAPEYAISGRLTRKSDVYSFGVLLLEIVSGRAVVDFDLEHGEHHLVQKAWEAFKAGNLVQLVDPTLQMNFPEDEAVRFLNLGLLCVQEMAKLRPPMSRAVKILSGEVDIKDSQISEPGLISDIMEIKMGQQQSCDQSTFSKASTASSTQNQSRSEDFVMSL >ONH98997 pep chromosome:Prunus_persica_NCBIv2:G6:407903:412413:1 gene:PRUPE_6G003800 transcript:ONH98997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVAKLSKNLTRPLFFLAPRTLTTLTAPSSLSNLLTSLGCDTSITHCTNTCLTGRTLLQSPWSAIQHRGAVVLGSDVRAGNVIERKDRLYQVIKVEHSHEGRGKAHIKVELRDVDSGNKTSQRLSTDEAVERVFVETKSYIYMCTDRDGIVLLIDPDTYDQLEVPEDLFGKKAKYLQEELKVKVELFNGIPLSASVPKHVTCIVKEAQPPVKGIAATPKDKIAEMQNGFTMKVPPHIIAGEAVIIDTEDDSYVRRAKA >ONH98998 pep chromosome:Prunus_persica_NCBIv2:G6:407903:411214:1 gene:PRUPE_6G003800 transcript:ONH98998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVAKLSKNLTRPLFFLAPRTLTTLTAPSSLSNLLTSLGCDTSITHCTNTCLTGRTLLQSPWSAIQHRGAVVLGSDVRAGNVIERKDRLYQVIKVEHSHEGRGKAHIKVELRDVDSGNKTSQRLSTDEAVERVFVETKSYIYMCTDRDGIVLLIDPDTYDQLEVPEDLFGKKAKYLQEELKVKVELFNGIPLSASVPKHVTCIVKEAQPPVKGIAATPKDKIAEMQNGFTMKVRIYFLNILDKLIYCFQ >ONI03905 pep chromosome:Prunus_persica_NCBIv2:G6:26774304:26777880:1 gene:PRUPE_6G290000 transcript:ONI03905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRVGVLVLFVLGASWAGDARQLANLNLPVTKTAHHIQVVETQTLQVSGDEFVGNDNVCTLCEEFAAQALDYLSENKTQTEIIEALHQTCYQLGSFKQQCITLVDYYAPLFFLEVSSLQPSEFCRKVNLCQQVALFSSQLREDSCGLCHRAVSEVLVKLKDPDTQLEIIELLLKACNSVENYAKKCKRIVFEYGPLFLANAEQFLETTDICTTLHACNSSVASTEEASPVTVVTVLSDSKSRQQRDTGMMEE >ONI03906 pep chromosome:Prunus_persica_NCBIv2:G6:26774041:26777880:1 gene:PRUPE_6G290000 transcript:ONI03906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRVGVLVLFVLGASWAGDARQLANLNLPVTKTAHHIQVVETQTLQVSGDEFVGNDNVCTLCEEFAAQALDYLSENKTQTEIIEALHQTCYQLGSFKQQCITLVDYYAPLFFLEVSSLQPSEFCRKVNLCQQVALFSSQLREDSCGLCHRAVSEVLVKLKDPDTQLEIIELLLKACNSVENYAKKCKRIVFEYGPLFLANAEQFLETTDICTTLHACNSSVASTEEASPVTVVTVLSDSKSRQQRDTGMMEE >ONI05024 pep chromosome:Prunus_persica_NCBIv2:G6:29981544:29985840:-1 gene:PRUPE_6G351900 transcript:ONI05024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCESWCWSSEFDYELYDYSEPEPFSLPSPLPHWPQGRGFATGRMCLGEIEVIQITKFESIWSCNLLHGKSKGVTFYRPAGIPDDFFCLGYYCQPNDQPLRGYVLVARDTVATSLEDGCTQDSVLELPALRKPVNYSLVWNADSNKNGCGYIWLPNPPVGYKAMGFVVTDNSEEPRPEEVRCVREDLTEACETRDRVLAMDSKLSEEQFQVWNTRPCKRGMLCSGVSVGTFFCSTYLDSDDELEVACLKNIDSSLHAMPNLNQIHALIEHYGPTVFFHPDEVYLPSSVQWFFKNGALLYHEDSGNGEPIDYRGSNLPSGGENDSDFWIDLPNDDDARNHLKGGNIESAELYVHVKPALGGTFTDIAMWVFCPFNGPATIKIGLVSIAMNKIGQHVGDWEHFTLRVSNFTGELWQAYFSEHSGGRWVDVSDLEFIEGNKPIVYSSKYGHSSYPHPGTYLQGSSKFDIGVRNDAARSKFCIDSSTKYQIVAAEYLGDGVISEPCWLQYMSDWGPTIVYDSRSELDKLIDHLPFFVRFSVENLFDLFPTQLYGEEGPTGPKEKDNWLGDERC >ONI03777 pep chromosome:Prunus_persica_NCBIv2:G6:26278665:26280529:1 gene:PRUPE_6G281300 transcript:ONI03777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTICGKTIPPVHSRCIKDQQLSLLHLKKSLIFNEDSYYSYPTKVISWNSSTDCCSWLGVTCSTDRHVVRLDLSRESISGGIDNSSSLFNLQHLQSLNLANNMFGYGSRIPSAIGNAFPFDGPEQLKNLTNTDLSYNSLLSLYNGKIPSWIWSLKYFYDLNLSCNSLGTLEAPFFKPDVCELDLHSNQLQGKLPIFLRNAYYLDYSQNNFSSIIPTGIGDFLTSDTSFPSLSSNNLHGHIPVSICNGGLRVLDLSNNSLSGMIPQCLSTKAIIGVLNLRKNNLTGTISNFEIPEYYELDTLNLGENHIEGQFPKSLANCTVLQVLNLGKNHLADYFPCLLKNISTLRVLVLQSNKFYGRIECPKTNGTWPMLQIIPRRSLTTWRSMMANKDDSLTEGKSHPPFGNMRVLESLDLSQNKLSGQIPPQLAKLTFLSFLNLSNNQLVGRIPTSTQFST >ONI00205 pep chromosome:Prunus_persica_NCBIv2:G6:5098393:5101539:1 gene:PRUPE_6G074700 transcript:ONI00205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTWLSALLNLIYKPVCHAFIIKLRMGVLVMKLILLIYSLVNGALFVCSMHLRMGGNETDRLALLAIKAQIKQDPHNVLSSWNESIHFCSWHGVSCGRYHHQRQRVTKLNLQSQDLVGSLSPNVGNLSFLRELELQNNNFSSKIPPEIGNLGRLQVLSLHNNSFSGPIPYNISYCSNLIFMNFGFNGLVGNLSSLEPIPSMIGLKALEELDLSHNNLWCEIPKFLERFVSLKKLDLSFNDFWGEVPTGGAFKNASVILITGNPMLCGGIANLQLPKCESPKGGSSRSLIIRFVLSGLALLGIAMEMYYFFLCSSRKKRKEIPLSTLPNSLVQVSYATLLKATDEFSSANRIGAGSFGSVYRGVLYDDGKAQLVAVKVFNLLRHGASKSFKSECEALRNIRHRNLVKIMTACSSVDFHGHDFKALVYEYMERGSLEEWLHPPTEIEEVREALNLEQRLDIAIDVACTLDYLHNHCETPIVHCDLKPSNVLLDNEMTGHVSDFGLARFLSQKTGTNASENQTSSIGIKGTVGYAAPEYGMGSEVSTNGEVYSFGILLLEMFSGKRPTDDMFNGDLNLHTFVKMDFPERVMEIADSTLFEGGINERRVRKIEVCLNSIFRIGIECSAVSPTDRLKNINDAVPQLHFIRDVLVGTSKKLG >ONI02620 pep chromosome:Prunus_persica_NCBIv2:G6:21930951:21936474:1 gene:PRUPE_6G210900 transcript:ONI02620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGNGEFTFANGNGTAAVANGNGTARNGLAKIQTQKKGNGMCHDDSTPPVKAQTIDELHSLQKKKSAPTTPIKGTQGGAFAITLSEVERQKQQLQSISASLASLTRETGPKVVRGDPAKQSDTPKVSSHVSHHHHFTPTISVSDSALKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVKDDTTEDELWWGRGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTMYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWTENGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGAVLENIVFDEHTREVDYSDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLADKMLKHGATGWLVNTGWSGGSYGSGKRIKLPYTRKIIDAIHDGSLLNAKYKKTEVFGLEIPTEVEGVPSEILDPVNTWSDKNAHNETLLKLAGLFKRNFETFTNYKIGKDNKLTEEILAAGPNF >ONH99272 pep chromosome:Prunus_persica_NCBIv2:G6:1782847:1786368:-1 gene:PRUPE_6G022200 transcript:ONH99272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLQQHHHKPQQHMNSSLMRYRSAPSSYFANLDSDFCEPLFNRPSSPETERIFARFLTGEGGGNGDGGGGGTEETASHHKVTTQTNNQQTQFMVPKVDNEAVVIQQQQQSHLNNYSSVSQGFYQSPSSKPPLPNQSLNSANEGAYSMGTSQLPSVKTGGVTNSNLIRHSSSPAGLFSHMNIDVTGYAALRGMGNYGASNSTNEEASFSSTSRLKNFSSGPPSTSGLMSPIAEIGNKRMRSDNQDSRGFGDGSGNNYVTGFPIDSWDDSAMMSGDITRSTSFREDDIKAFTGLSPSETQDVEAGNRPPTLLAHHLSLPKTSAEMAAIEKFMQFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQTQVQTLSDNRAKCTCSSKPQ >ONH99273 pep chromosome:Prunus_persica_NCBIv2:G6:1782809:1786746:-1 gene:PRUPE_6G022200 transcript:ONH99273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLQQHHHKPQQHMNSSLMRYRSAPSSYFANLDSDFCEPLFNRPSSPETERIFARFLTGEGGGNGDGGGGGTEETASHHKVTTQTNNQQTQFMVPKVDNEAVVIQQQQQSHLNNYSSVSQGFYQSPSSKPPLPNQSLNSANEGAYSMGTSQLPSVKTGGVTNSNLIRHSSSPAGLFSHMNIDVTGYAALRGMGNYGASNSTNEEASFSSTSRLKNFSSGPPSTSGLMSPIAEIGNKRMRSDNQDSRGFGDGSGNNYVTGFPIDSWDDSAMMSGDITRSTSFREDDIKAFTGLSPSETQDVEAGNRPPTLLAHHLSLPKTSAEMAAIEKFMQFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQTQVQTLSDNRAKCTCSSKPQ >ONH99270 pep chromosome:Prunus_persica_NCBIv2:G6:1782847:1786293:-1 gene:PRUPE_6G022200 transcript:ONH99270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLQQHHHKPQQHMNSSLMRYRSAPSSYFANLDSDFCEPLFNRPSSPETERIFARFLTGEGGGNGDGGGGGTEETASHHKVTTQTNNQQTQFMVPKVDNEAVVIQQQQQSHLNNYSSVSQGFYQSPSSKPPLPNQSLNSANEGAYSMGTSQLPSVKTGGVTNSNLIRHSSSPAGLFSHMNIDGYAALRGMGNYGASNSTNEEASFSSTSRLKNFSSGPPSTSGLMSPIAEIGNKRMRSDNQDSRGFGDGSGNNYVTGFPIDSWDDSAMMSGDITRSTSFREDDIKAFTGLSPSETQDVEAGNRPPTLLAHHLSLPKTSAEMAAIEKFMQFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQTQVQTLSDNRAKCTCSSKPQ >ONH99271 pep chromosome:Prunus_persica_NCBIv2:G6:1782840:1786746:-1 gene:PRUPE_6G022200 transcript:ONH99271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLQQHHHKPQQHMNSSLMRYRSAPSSYFANLDSDFCEPLFNRPSSPETERIFARFLTGEGGGNGDGGGGGTEETASHHKVTTQTNNQQTQFMVPKVDNEAVVIQQQQQSHLNNYSSVSQGFYQSPSSKPPLPNQSLNSANEGAYSMGTSQLPSVKTGGVTNSNLIRHSSSPAGLFSHMNIDVTGYAALRGMGNYGASNSTNEEASFSSTSRLKNFSSGPPSTSGLMSPIAEIGNKRMRSDNQDSRGFGDGSGNNYVTGFPIDSWDDSAMMSGDITRSTSFREDDIKAFTGLSPSETQDVEAGNRPPTLLAHHLSLPKTSAEMAAIEKFMQFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKTLSDNRAKCTCSSKPQ >ONH99703 pep chromosome:Prunus_persica_NCBIv2:G6:3248382:3250316:-1 gene:PRUPE_6G044900 transcript:ONH99703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISHYNRFCFFVLLMLLRIASAQLSSDYYATTCPRALSVVRSSVINAVVKEHRMGASLLRLHFHDCFVNGCDASVLLDDTSNFTGEKTALPNVRSLRGFEVIDTIKSQLESLCPGVVSCADILAVAARDSVLLFGGPSWTVQLGRRDSTTASLSDANTELPSPSLDLKDLISSFSTKGFSAKEMVALSGSHTMGQARCQMFRDRIYNETNINSEFATFLKSNCTQSSGTDDNLSPLDITSPVFFDNAYFKNLVDSKGLLHSDQQLFSGGSTDSLVTTYSNSSGTFYTDFANAMLKMGNLSPLTGTSGQIRTNCRKTN >ONH99704 pep chromosome:Prunus_persica_NCBIv2:G6:3248382:3249727:-1 gene:PRUPE_6G044900 transcript:ONH99704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSYGFQQGCDASVLLDDTSNFTGEKTALPNVRSLRGFEVIDTIKSQLESLCPGVVSCADILAVAARDSVLLFGGPSWTVQLGRRDSTTASLSDANTELPSPSLDLKDLISSFSTKGFSAKEMVALSGSHTMGQARCQMFRDRIYNETNINSEFATFLKSNCTQSSGTDDNLSPLDITSPVFFDNAYFKNLVDSKGLLHSDQQLFSGGSTDSLVTTYSNSSGTFYTDFANAMLKMGNLSPLTGTSGQIRTNCRKTN >ONI01860 pep chromosome:Prunus_persica_NCBIv2:G6:15486458:15493393:-1 gene:PRUPE_6G163200 transcript:ONI01860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRLASLLSTAIRTKPTLSPSPISFQSLHLLQCPNPNPSIGDVKGFGFQPQGLRAYSLLSLNDLRGKVPRKQKTRKGRGIGSGKGKTAGRGHKGQKARGSGKLGFEGGQTPLRRRLPKRGFKNPFSLTFQPVGLGKIAKLINAGKIDSSELITMKTLKDTGAIGKQIKDGVRLMGRGAEQIQWPIHLEVSRVTVRAKEAVEAAGGSVRRVYYNKLGFRALLKPEWFEKKGRLLPKAARPPPKQKDKVDSIGRLPAPTKPVPFFTEEEVASSSST >ONI03486 pep chromosome:Prunus_persica_NCBIv2:G6:25071577:25075123:-1 gene:PRUPE_6G259900 transcript:ONI03486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFLGGHGIAFVIAPTRGLPGGFQNQYLGVFNETNNGNPTNHIFAVELDSVQNPEFGDINNNHVGIDINGLRSVKSTPAGYHALNNGGFRNLTLISGQPMQVWVEYDGIQKQINVTLGPINVDKPHIPLLSLKYDLSTVLNTTMYVGFSSTPGSLVTSHYVLGWSFEMNGQAPELVLSQLPKLPRIGRKKISNFLTLGVPVMSVSLVLLSISSLIYAIRRKKKFAELLEDWELEYGPQRFKYKELYMATKGFREKELLGSGGFGKVYKGILPSSKTEIAVKRISHESRQGMKEFVAEIISIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPVVTLNWRQRFRVIKGVASGLFYLHEEWEQVVVHRDVKASNILLDSEFNARLGDFGLARLYDHGKDPKTTRIVGTLGYLAPEHARLGRATTHTDVFSFGAFLLEVACGRRPIEAQGQDLDVVLVDWVFSCWKRSNILEAIDLNLGSEFVAEEVELVLKLGLLCSHSEPSARPSMRQVVHYLEGDLPLPELSSLGLSSGGLKVAHCEGFDDSAMSYPSSMGKEMSYVPESSLLSGGR >ONI03484 pep chromosome:Prunus_persica_NCBIv2:G6:25071578:25075123:-1 gene:PRUPE_6G259900 transcript:ONI03484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSKSLAETTQLSSSLQTLKLYAGYTVILVSLAAAEDLNFTYNGFLSANLSLDGVARFTSNGLLKLTNDTGLGHAFYPNPVTFKNSSNATAFSFSTTFVFAIRARMGPFLGGHGIAFVIAPTRGLPGGFQNQYLGVFNETNNGNPTNHIFAVELDSVQNPEFGDINNNHVGIDINGLRSVKSTPAGYHALNNGGFRNLTLISGQPMQVWVEYDGIQKQINVTLGPINVDKPHIPLLSLKYDLSTVLNTTMYVGFSSTPGSLVTSHYVLGWSFEMNGQAPELVLSQLPKLPRIGRKKISNFLTLGVPVMSVSLVLLSISSLIYAIRRKKKFAELLEDWELEYGPQRFKYKELYMATKGFREKELLGSGGFGKVYKGILPSSKTEIAVKRISHESRQGMKEFVAEIISIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPVVTLNWRQRFRVIKGVASGLFYLHEEWEQVVVHRDVKASNILLDSEFNARLGDFGLARLYDHGKDPKTTRIVGTLGYLAPEHARLGRATTHTDVFSFGAFLLEVACGRRPIEAQGQDLDVVLVDWVFSCWKRSNILEAIDLNLGSEFVAEEVELVLKLGLLCSHSEPSARPSMRQVVHYLEGDLPLPELSSLGLSSGGLKVAHCEGFDDSAMSYPSSMGKEMSYVPESSLLSGGR >ONI03485 pep chromosome:Prunus_persica_NCBIv2:G6:25071609:25075123:-1 gene:PRUPE_6G259900 transcript:ONI03485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFLGGHGIAFVIAPTRGLPGGFQNQYLGVFNETNNGNPTNHIFAVELDSVQNPEFGDINNNHVGIDINGLRSVKSTPAGYHALNNGGFRNLTLISGQPMQVWVEYDGIQKQINVTLGPINVDKPHIPLLSLKYDLSTVLNTTMYVGFSSTPGSLVTSHYVLGWSFEMNGQAPELVLSQLPKLPRIGRKKISNFLTLGVPVMSVSLVLLSISSLIYAIRRKKKFAELLEDWELEYGPQRFKYKELYMATKGFREKELLGSGGFGKVYKGILPSSKTEIAVKRISHESRQGMKEFVAEIISIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPVVTLNWRQRFRVIKGVASGLFYLHEEWEQVVVHRDVKASNILLDSEFNARLGDFGLARLYDHGKDPKTTRIVGTLGYLAPEHARLGRATTHTDVFSFGAFLLEVACGRRPIEAQGQDLDVVLVDWVFSCWKRSNILEAIDLNLGSEFVAEEVELVLKLGLLCSHSEPSARPSMRQVVHYLEGDLPLPELSSLGLSSGGLKVAHCEGFDDSAMSYPSSMGKEMSYVPESSLLSGGR >ONI03487 pep chromosome:Prunus_persica_NCBIv2:G6:25071608:25075124:-1 gene:PRUPE_6G259900 transcript:ONI03487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFLGGHGIAFVIAPTRGLPGGFQNQYLGVFNETNNGNPTNHIFAVELDSVQNPEFGDINNNHVGIDINGLRSVKSTPAGYHALNNGGFRNLTLISGQPMQVWVEYDGIQKQINVTLGPINVDKPHIPLLSLKYDLSTVLNTTMYVGFSSTPGSLVTSHYVLGWSFEMNGQAPELVLSQLPKLPRIGRKKISNFLTLGVPVMSVSLVLLSISSLIYAIRRKKKFAELLEDWELEYGPQRFKYKELYMATKGFREKELLGSGGFGKVYKGILPSSKTEIAVKRISHESRQGMKEFVAEIISIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPVVTLNWRQRFRVIKGVASGLFYLHEEWEQVVVHRDVKASNILLDSEFNARLGDFGLARLYDHGKDPKTTRIVGTLGYLAPEHARLGRATTHTDVFSFGAFLLEVACGRRPIEAQGQDLDVVLVDWVFSCWKRSNILEAIDLNLGSEFVAEEVELVLKLGLLCSHSEPSARPSMRQVVHYLEGDLPLPELSSLGLSSGGLKVAHCEGFDDSAMSYPSSMGKEMSYVPESSLLSGGR >ONI03488 pep chromosome:Prunus_persica_NCBIv2:G6:25071608:25075123:-1 gene:PRUPE_6G259900 transcript:ONI03488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFLGGHGIAFVIAPTRGLPGGFQNQYLGVFNETNNGNPTNHIFAVELDSVQNPEFGDINNNHVGIDINGLRSVKSTPAGYHALNNGGFRNLTLISGQPMQVWVEYDGIQKQINVTLGPINVDKPHIPLLSLKYDLSTVLNTTMYVGFSSTPGSLVTSHYVLGWSFEMNGQAPELVLSQLPKLPRIGRKKISNFLTLGVPVMSVSLVLLSISSLIYAIRRKKKFAELLEDWELEYGPQRFKYKELYMATKGFREKELLGSGGFGKVYKGILPSSKTEIAVKRISHESRQGMKEFVAEIISIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPVVTLNWRQRFRVIKGVASGLFYLHEEWEQVVVHRDVKASNILLDSEFNARLGDFGLARLYDHGKDPKTTRIVGTLGYLAPEHARLGRATTHTDVFSFGAFLLEVACGRRPIEAQGQDLDVVLVDWVFSCWKRSNILEAIDLNLGSEFVAEEVELVLKLGLLCSHSEPSARPSMRQVVHYLEGDLPLPELSSLGLSSGGLKVAHCEGFDDSAMSYPSSMGKEMSYVPESSLLSGGR >ONI03993 pep chromosome:Prunus_persica_NCBIv2:G6:27070419:27073782:1 gene:PRUPE_6G295900 transcript:ONI03993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTNFEAVFIFVFLCVATTIHVVLAANYIPSDKIFLNCGGPPETTDSNGLKWTSDIGSKFASGANSTTSPAATQDPAVPEVPFMTARVFRSEYTYKFPVASGRKFIRLYFYPASYAGLNASNAIFTVTAQSYTLLKNFSVAQTTEALDYVFITKEFSVNVEGETLNISFAPSSSIANAYAFVNGIEIVSMPDIYGAADGTMIVGQSSPFFIDNSTALENVYRINVGGKDISPPGDTGLFRSWYDDTQYLLGAAFGVPETADPNMTVKYPKNMPTYIAPEDVYTTARSMGPNAKINLNYNLTWIFSIDSGFSYLVRLHFCEVAQIFTKVNQRVFDIFLNNQTAESAVDVVAWAGGNGIPVYRDYVVLVPKGSPQVDLWLELHPDTSAKPNYYDAILNGVEIFKISDTTGNLGGPNLIPLPKQDTIDPTKVRSSSGHGKAKSDHKAIIAGGVSGGIVLALVLGFFAICVARHRRHGKDSSTSEGPSGWLPLSLYGNSQSAVSAKTNTTGSYTSSLPSNLCRHFSFAEIKSATKNFDEALLLGVGGFGKVYKGEIDGGATQVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPSLPKEQVSLAEWAAHCHKKGSLDHILDPYLKGKITPECFKKFAETAMKCVSDQSIDRPSMGDVLWNLEFALQLQESAEESGKGIGAMELEEEPFMACKGKKYPDAVPAFDGNVTDSRSSGMSMSIGGRSLASDDSDGLTPSAVFSQIMNPKGR >ONI03386 pep chromosome:Prunus_persica_NCBIv2:G6:24743372:24747954:1 gene:PRUPE_6G254300 transcript:ONI03386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENLASNSMANISWLHGLLWWRNWGKREWAISAIGFAVFVFALAFVSYSWISDPDSNLSSSFHRITATDLVDLTLLHNATRRGAVCLDGSLPGYHYQKGFGSGANSWVLYIEGGGWCNTIESCFWRREIHLGSSKHMGHRVHFTGILSPHQAQNPEFFNWNRVKVQYCDGASLAGHPDKELRNGTRLFFRGQLIWEALMDKFFSLGLSKAKQALLAGCSAGGLAALIHCDEFRGLLPKNGLRKSLHKDCIARKEPAECLFPKEIIKNIATPVFLLHSAYDFWQIQNILIPEGSDLYHYWQKCRLDIHNCNATQVEILKGYRRSLLKALKEFQKNKEGGMFISSCFIHCQAWMTETWHAPTSPRINNKTIAESVGDWYFNRNASKKIDCPFLCNPTCYHVNFTHG >ONI03388 pep chromosome:Prunus_persica_NCBIv2:G6:24743372:24747139:1 gene:PRUPE_6G254300 transcript:ONI03388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENLASNSMANISWLHGLLWWRNWGKREWAISAIGFAVFVFALAFVSYSWISDPDSNLSSSFHRITATDLVDLTLLHNATRRGAVCLDGSLPGYHYQKGFGSGANSWVLYIEGGGWCNTIESCFWRREIHLGSSKHMGHRVHFTGILSPHQAQNPEFFNWNRVKVQYCDGASLAGHPDKELRNGTRLFFRGQLIWEALMDKFFSLGLSKAKQALLAGCSAGGLAALIHCDEFRGLLPKNGLRKSLHKDCIARKEPAECLFPKEIIKNIATPVFLLHSAYDFWQIQNILIPEGSDLYHYWQKCRLDIHNCNATQVEILKGNWV >ONI03387 pep chromosome:Prunus_persica_NCBIv2:G6:24743372:24747812:1 gene:PRUPE_6G254300 transcript:ONI03387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENLASNSMANISWLHGLLWWRNWGKREWAISAIGFAVFVFALAFVSYSWISDPDSNLSSSFHRITATDLVDLTLLHNATRRGAVCLDGSLPGYHYQKGFGSGANSWVLYIEGGGWCNTIESCFWRREIHLGSSKHMGHRVHFTGILSPHQAQNPEFFNWNRVKVQYCDGASLAGHPDKELRNGTRLFFRGQLIWEALMDKFFSLGLSKAKQALLAGCSAGGLAALIHCDEFRGLLPKNGLRKSLHKDCIARKEPAECLFPKEIIKNIATPVFLLHSAYDFWQIQNILIPEGSDLYHYWQKCRLDIHNCNATQVEILKGNWV >ONI04375 pep chromosome:Prunus_persica_NCBIv2:G6:28233924:28239654:-1 gene:PRUPE_6G317900 transcript:ONI04375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKLIANGAVLSEAMNMNAASFSFTPIFEELPVATIVSVSRPDTGDISPMLLSYTIEFQYKQFKWRLLKKASQVLYLHFALRKRAIIEEFHEKQEQVKEWLHSIGIVDQTAVVQDDDEPDDGAVPVHNEESVRNRYVPSRAALPILRPALGGQQSISDRAKVAMQGYLNHFLGNMDLVNSREVCKFLEVSKLSFSQEYGPKLKEGYVMVKHLPKIAGADSDVNSFASLCLGFCSNNWQKVWAVLKPGFLALLEDPFDTELLDIIVFNVLPTSNENACSQIYLANQIKERNPLRYTLRVASGNQSLRLRTTSNAKVKDWVAAINDAGLRPHEGWCHPHRFGSFASPRGLTDDGSQAQWFVDGQAAFEAIASSVEAAKSEIFITGWWLCPELYLRRPFHSNSSSRLDALLYEKARQGVQIYILLYKEVALALKINSSYSKKLLSNIHENVRVLRYPDRFPTGIYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTVEHKVGDCPPHIWPGKDYYNPRESEPNSWEDTMKDELERERYPRMPWHDVQCALWGPPCRDIARHFVQRWNHAKRNKAPHEQTIPLLMPQHHMVIPHYMGRSREIDVEKKNKEETPNSFSPSQDIPLLLPQEADGLNAPIVDKKPSALDLNHNLLEQPTDDLYADMQMEGFVDDLHSMDLKSETNLNMVAQSGLTTSNEGLESPEEHDHAVAADDYGQIGPRTACHCQVVRSVSQWSAGSSQAEDSIHKAYCSLIEKAEHFVYIENQFFISGLSGDEIIQNRVLEALYRRIKLAHKEQKCFRVIVVIPLLPGFQGGVDDGGAATVRALMHWQYRTISWEKHSILHNLKVLLGPKTHDYISFYGLRSYGRLFEGGPVSTSQVYVHSKVMIIDDCVALIGSSNINDRSLLGSRDSEIGVVIEDKEFLESSMNGLPWKAGKFAYSLRCSLWSEHLGLHAGEINQISDPVSDTTYKDLWLATAKENSIIYQDVFSCIPNDSIHSRAALRQCMAHQKEKLGHTTIDLGIAPEKIQSCENGEVKETDPMERLKHVRGHLVSFPLEFMQQEDLRPVFNESEFYTSPQVFR >ONI04376 pep chromosome:Prunus_persica_NCBIv2:G6:28233709:28239453:-1 gene:PRUPE_6G317900 transcript:ONI04376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGYLNHFLGNMDLVNSREVCKFLEVSKLSFSQEYGPKLKEGYVMVKHLPKIAGADSDVNSFASLCLGFCSNNWQKVWAVLKPGFLALLEDPFDTELLDIIVFNVLPTSNENACSQIYLANQIKERNPLRYTLRVASGNQSLRLRTTSNAKVKDWVAAINDAGLRPHEGWCHPHRFGSFASPRGLTDDGSQAQWFVDGQAAFEAIASSVEAAKSEIFITGWWLCPELYLRRPFHSNSSSRLDALLYEKARQGVQIYILLYKEVALALKINSSYSKKLLSNIHENVRVLRYPDRFPTGIYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTVEHKVGDCPPHIWPGKDYYNPRESEPNSWEDTMKDELERERYPRMPWHDVQCALWGPPCRDIARHFVQRWNHAKRNKAPHEQTIPLLMPQHHMVIPHYMGRSREIDVEKKNKEETPNSFSPSQDIPLLLPQEADGLNAPIVDKKPSALDLNHNLLEQPTDDLYADMQMEGFVDDLHSMDLKSETNLNMVAQSGLTTSNEGLESPEEHDHAVAADDYGQIGPRTACHCQVVRSVSQWSAGSSQAEDSIHKAYCSLIEKAEHFVYIENQFFISGLSGDEIIQNRVLEALYRRIKLAHKEQKCFRVIVVIPLLPGFQGGVDDGGAATVRALMHWQYRTISWEKHSILHNLKVLLGPKTHDYISFYGLRSYGRLFEGGPVSTSQVYVHSKVMIIDDCVALIGSSNINDRSLLGSRDSEIGVVIEDKEFLESSMNGLPWKAGKFAYSLRCSLWSEHLGLHAGEINQISDPVSDTTYKDLWLATAKENSIIYQDVFSCIPNDSIHSRAALRQCMAHQKEKLGHTTIDLGIAPEKIQSCENGEVKETDPMERLKHVRGHLVSFPLEFMQQEDLRPVFNESEFYTSPQVFR >ONI04374 pep chromosome:Prunus_persica_NCBIv2:G6:28233709:28239847:-1 gene:PRUPE_6G317900 transcript:ONI04374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKLIANGAVLSEAMNMNAASFSFTPIFEELPVATIVSVSRPDTGDISPMLLSYTIEFQYKQFKWRLLKKASQVLYLHFALRKRAIIEEFHEKQEQVKEWLHSIGIVDQTAVVQDDDEPDDGAVPVHNEESVRNRYVPSRAALPILRPALGGQQSISDRAKVAMQGYLNHFLGNMDLVNSREVCKFLEVSKLSFSQEYGPKLKEGYVMVKHLPKIAGADSDVNSFASLCLGFCSNNWQKVASGNQSLRLRTTSNAKVKDWVAAINDAGLRPHEGWCHPHRFGSFASPRGLTDDGSQAQWFVDGQAAFEAIASSVEAAKSEIFITGWWLCPELYLRRPFHSNSSSRLDALLYEKARQGVQIYILLYKEVALALKINSSYSKKLLSNIHENVRVLRYPDRFPTGIYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTVEHKVGDCPPHIWPGKDYYNPRESEPNSWEDTMKDELERERYPRMPWHDVQCALWGPPCRDIARHFVQRWNHAKRNKAPHEQTIPLLMPQHHMVIPHYMGRSREIDVEKKNKEETPNSFSPSQDIPLLLPQEADGLNAPIVDKKPSALDLNHNLLEQPTDDLYADMQMEGFVDDLHSMDLKSETNLNMVAQSGLTTSNEGLESPEEHDHAVAADDYGQIGPRTACHCQVVRSVSQWSAGSSQAEDSIHKAYCSLIEKAEHFVYIENQFFISGLSGDEIIQNRVLEALYRRIKLAHKEQKCFRVIVVIPLLPGFQGGVDDGGAATVRALMHWQYRTISWEKHSILHNLKVLLGPKTHDYISFYGLRSYGRLFEGGPVSTSQVYVHSKVMIIDDCVALIGSSNINDRSLLGSRDSEIGVVIEDKEFLESSMNGLPWKAGKFAYSLRCSLWSEHLGLHAGEINQISDPVSDTTYKDLWLATAKENSIIYQDVFSCIPNDSIHSRAALRQCMAHQKEKLGHTTIDLGIAPEKIQSCENGEVKETDPMERLKHVRGHLVSFPLEFMQQEDLRPVFNESEFYTSPQVFR >ONI01931 pep chromosome:Prunus_persica_NCBIv2:G6:17193492:17198815:1 gene:PRUPE_6G168000 transcript:ONI01931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGKADRKASLDAASWLFNVVTSVGIIIVNKSLMATHGFSFATTLTGLHFATTTLLTVILKWLGYIQPSQLPLPDLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLTMIPVSCFLEVVLDNVRYSRGTKLSITLVLLGVAVCTVTDVSVNAKGFIAALVAVWSTSLQQHYVHSLQRKYSLGSFNLLGHTAPVQAASLLILGPFLDYWLTNKIVYAYDYHLISMSLIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNHQVVIGMFIAILGMIWYGNASSKPGGKERLPSSKSQKNSALSESSEVDEKV >ONI01930 pep chromosome:Prunus_persica_NCBIv2:G6:17193271:17198872:1 gene:PRUPE_6G168000 transcript:ONI01930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGKADRKASLDAASWLFNVVTSVGIIIVNKSLMATHGFSFATTLTGLHFATTTLLTVILKWLGYIQPSQLPLPDLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLTMIPVSCFLEVVLDNVRYSRGTKLSITLVLLGVAVCTVTDVSVNAKGFIAALVAVWSTSLQQHYVHSLQRKYSLGSFNLLGHTAPVQAASLLILGPFLDYWLTNKIVYAYDYHLISMSLIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNHQVVIGMFIAILGMIWYGNASSKPGGKERLPSSKSQKNSALSESSEVDEKV >ONI01439 pep chromosome:Prunus_persica_NCBIv2:G6:11317653:11318036:-1 gene:PRUPE_6G139500 transcript:ONI01439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSNERRRFSWTKFRSQSFPTTNSEVNCPLLEYTSLKDLMPSSTRPQTRSSPKALAMQSAYQIPISNLLVQKAAWAYLQPMPEPKEAVPRFSTMQLFGNLKIFSRSVVTAIRRVFGRLLGAIHIRR >ONI05073 pep chromosome:Prunus_persica_NCBIv2:G6:30172110:30180159:1 gene:PRUPE_6G355200 transcript:ONI05073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVQGEARQPQVVVGASRAHFGVSRDDSYAPKPEPSPLSLVPFKSHHDAHEVDEDAHLSLAHQMYKAGNYKEALEHSKIVYERNPIRTDNLLLLGAIYYQLHEFDLCIAKNEEALRIEPHFAECYGNMANAWKVRKKATMTLQFSTIWLPLRFLRPNFCDAWSNLASAYMRKGRLDEAAQCCRQALALNPRLVDAHSNLGNLMKARGLVQEAYSCYLEALRLQPNFAIAWSNLAGLFMESGDLNRALQYYKEAVKLKPAFPDAYLNLGNVYKALGMPQEAIVCYQRALQTRPNYAMAFGNLASTYYEQGQLELAILHYKQAISCDTRFLEAYNNLGNALKDIGRVDEAIQCYNQCLTLQPNHPQALTNLGNIYMEWNMVAAAASYYKATLTVTTGLSAPFNNLAIIYKQQGNYADAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYIHAISIRPTMAEAHANLASAYKDSGHVDAAIKSYKQALLLRPDFPEATCNLLHTLQCVCSWEDRDKMFSEVEGIIRRQINMSLLPSVQPFHAIAYPIDPILALEISRKYAAHCSIIASRFGLSSFNHPALISIKRNGGPERLRVGYVSSDFGNHPLSHLMGSIFGMHNKDNVEVFCYALSANDGTEWRQRIQSEAEHFVDVSSLSSDMIAKMINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGANYIDYLVTDEFVSPLRFSHIYSEKLVHLPHCYFVNDYKQKNQDVLDPSCGHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAVAQGVQADQIIFTDVAMKGEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCLATGLGEEMIVSNMKEYEEKAVSLALNPPKLHALANKLKAARLTCPLFDTARWVRNLERAYFKMWNLHCSGQKPQHFKVAENDLEFPYDR >ONI05074 pep chromosome:Prunus_persica_NCBIv2:G6:30172062:30180547:1 gene:PRUPE_6G355200 transcript:ONI05074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVQGEARQPQVVVGASRAHFGVSRDDSYAPKPEPSPLSLVPFKSHHDAHEVDEDAHLSLAHQMYKAGNYKEALEHSKIVYERNPIRTDNLLLLGAIYYQLHEFDLCIAKNEEALRIEPHFAECYGNMANAWKEKGNNDLAIQYYLVAIELRPNFCDAWSNLASAYMRKGRLDEAAQCCRQALALNPRLVDAHSNLGNLMKARGLVQEAYSCYLEALRLQPNFAIAWSNLAGLFMESGDLNRALQYYKEAVKLKPAFPDAYLNLGNVYKALGMPQEAIVCYQRALQTRPNYAMAFGNLASTYYEQGQLELAILHYKQAISCDTRFLEAYNNLGNALKDIGRVDEAIQCYNQCLTLQPNHPQALTNLGNIYMEWNMVAAAASYYKATLTVTTGLSAPFNNLAIIYKQQGNYADAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYIHAISIRPTMAEAHANLASAYKDSGHVDAAIKSYKQALLLRPDFPEATCNLLHTLQCVCSWEDRDKMFSEVEGIIRRQINMSLLPSVQPFHAIAYPIDPILALEISRKYAAHCSIIASRFGLSSFNHPALISIKRNGGPERLRVGYVSSDFGNHPLSHLMGSIFGMHNKDNVEVFCYALSANDGTEWRQRIQSEAEHFVDVSSLSSDMIAKMINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGANYIDYLVTDEFVSPLRFSHIYSEKLVHLPHCYFVNDYKQKNQDVLDPSCGHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAVAQGVQADQIIFTDVAMKGEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCLATGLGEEMIVSNMKEYEEKAVSLALNPPKLHALANKLKAARLTCPLFDTARWVRNLERAYFKMWNLHCSGQKPQHFKVAENDLEFPYDR >ONH99768 pep chromosome:Prunus_persica_NCBIv2:G6:3506850:3509027:-1 gene:PRUPE_6G049400 transcript:ONH99768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRRSLSTSLLTSLIKPDRTLSASEYSLLSIKRDASLASTRCRRKVRFSGKSEDQISVEPQVFDNLKAKFASPIYPFGPSIPYFELSKSPPTNHNYLYNWLDSQPKHSVLYISLGSFLSVSKAQMDEIVAGVQNSGARFLWVARGDASKLKDGVDDKGLVVSWCDQLRVLCHDSIGGFWSHCGWNSTLEAVYAGVPILTCPVFGDQILNAKKIVEDWKIGCRVLKKKKKGFDEEDLVTREEIVQHVQRFMDLESKEGKEMRERAKQLQETCQGAIAKGGSSDTNLDAFINDVFHKTIK >ONI03499 pep chromosome:Prunus_persica_NCBIv2:G6:25116397:25117380:-1 gene:PRUPE_6G260700 transcript:ONI03499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQARYWMWAKRKHNSLSSSQHDHLQVPISNPSNDDSWEEQAFAEDAAGPLGGCIWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLKQSPNDETTLQNHHHPDDRSVQNHNPFSSSSLGSFQYPSHQVCALVYNPNNPSSDPGATIASSSPSSGSRVSAQLPCKENCADQTLKIPPSSSASLSFPQSWSILGTNRNNYDKSVARNIIEAEKISEAVESGCRAKGDHHVTSTTDLHNLSVSLNLVVRCARPSVSGDEDKAIISCKRRRTEDVPSSTPFFLKSGSVDKVHHLQSEGFELSCTSSIEDLDLELRLGDRPKVIKVDK >ONI04691 pep chromosome:Prunus_persica_NCBIv2:G6:29096431:29098309:-1 gene:PRUPE_6G334800 transcript:ONI04691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVSESCVDSLLTEMVSMYCNRLYANKPELAASRIDAIGYQVGHQLSERYTMERPRFTDHLDAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWVSRMSLDPSSENGDLSQENSEAADESKAAQEPNMHLHFSCGVIKGALHNLGIACAVSADTSQLPACGSSLIREQWRTQP >ONH99483 pep chromosome:Prunus_persica_NCBIv2:G6:2498954:2499530:1 gene:PRUPE_6G032500 transcript:ONH99483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHGKQQASGTKVVLAAMGGLAIGGSLVFLMGFSFLASLTLLVLSSPLLLIFSPLLFFAGFVFVGAVVGFAVAGAMALTGMSTLGWIFQELGGTSLLGFGGGLAERLKEQGKDWAGFLQHGNEQGIKIARRGQNLLVV >ONI00144 pep chromosome:Prunus_persica_NCBIv2:G6:4822487:4828073:-1 gene:PRUPE_6G070300 transcript:ONI00144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDISKVNMEVMHPWIAKRVTDLLGFEDEVLINFIYGLLEGKVVNGKEVQISLTGFMEKNTVKFMKELWTLLISAQNNASGVPQQFLDAKQEEARTKKEEADRFANEIQRKKDKERMDQQEILKKMEGGVDKKSSNIALEPNSKHMSPRTSNDRSEDERGNEKRNGVKARNKVSRSPNSGNSSLSPSERRRSKSVSKSPKARRRSISSERGYRSPPRRPITTLRRHSPEGSLSPRGRSPYSRRRSRSYSPQRSPSPYRRRLRSPYRHRSPSPGRRRTPPPIRRRRSPSPVRRRRSPSPIRRRRSPSPVRRRRSPSPVRRRRSPSPVRRRRSPSPLRRRMSPSPVRRRRSRSPVHRRSPSPARRWYRRSPLTSRRRSPVRGGRRSPTPRNRSPSPYVRSSASPIQNGSPSPIRGTKYQRSPSQSPQERTRSQFSPVPRQSSSSPGSLQRESKKEKDSRKRAPDLSPSPEKSRILSESPPGVRSASEERRSSSPYESPVRRPREQMTRNISSSPPRKPREQKLRRGSPEISEEEDGDDRPKSTERRSKNTSVISKPIVSPAKVHNKEDYSPERVASGHRYTESRSRLDNMEFRNKEQEMRSGKSSGRGAPGTPEQQKAATMSKDSLPGETQQASYPNEGRRTDDKNRSHSKNSKDSERHHKPGSVHSSVEKVDHINQSGTFDSGSEESDKCRGGDKEKRKNKRSERQAVTSDDDYSNDSEIEDKKGSKRRRKEEKRLRKEKKRRRREERRRRKEERRAEKLKGKNYSDASASGGEHVGRRELHSSDNEEAEAIQKKLEIELRKKALESLKAKKGINH >ONI02221 pep chromosome:Prunus_persica_NCBIv2:G6:19382548:19387895:1 gene:PRUPE_6G184800 transcript:ONI02221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSCNPNMDKVSSDCPYPGCFFCVMKEGNPSKRRASILKFFRELPAQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPAVASHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLTKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIESLCNIARSSDDWQYMTIDCLLWLLQDPSTCHKVIDKAVPALVDLAEITTLGDHKKLGDSIVNVLEECVQSQGTGRNSFSNRTKELIEELLNSRQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHCEGDAGDIYGRETDDSEWETASESDIGNDGRDEMGNDDDDDEDDDDSEWKNDDERKEKYDKSSMKDLKHGYNVQLAEDEP >ONI02222 pep chromosome:Prunus_persica_NCBIv2:G6:19382548:19387895:1 gene:PRUPE_6G184800 transcript:ONI02222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSCNPNMDKVSSDCPYPGCFFCVMKEGNPSKRRASILKFFRELPAQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPAVASHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLTKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIESLCNIARSSDDWQYMTIDCLLWLLQDPSTCHKVIDKAVPALVDLAEITTLGDHKKLGDSIVNVLEECVQSQGTGRNSFSNRTKELIEELLNSRQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHCEGDAGDIYGRETDDSEWETASESDIGNDGRDEMGNDDDDDEDDDDSEWKNDDERKEKYDKSSMKACGR >ONI02220 pep chromosome:Prunus_persica_NCBIv2:G6:19383610:19387895:1 gene:PRUPE_6G184800 transcript:ONI02220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSCNPNMDKVSSDCPYPGCFFCVMKEGNPSKRRASILKFFRELPAQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPAVASHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLTKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIESLCNIARSSDDWQYMTIDCLLWLLQDPSTCHKVIDKAVPALVDLAEITTLGDHKKLGDSIVNVLEECVQSQGTGRNSFSNRTKELIEELLNSRQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALALCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHCEGDAGDIYGRETDDSEWETASESDIGNDGRDEMGNDDDDDEDDDDSEWKNDDERKEKYDKSSMKDLKHGYNVQLAEDEP >ONI01450 pep chromosome:Prunus_persica_NCBIv2:G6:11356648:11359650:-1 gene:PRUPE_6G140300 transcript:ONI01450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSALSVLLFMIIQLAIPATLFGVDPTIFCSPTARNYTPNSQFENNLKQLLESLSSNTSISGGFYNTTIGNNTDRVYGQALCRGDVNSTVCRNCVYEASQELFKRCNTNDAMIWYEACQVRYSFQMFFSLMVYIGNYPKQDSREKRVSNPDSFSHVLIYLMKNLSDEAAFNPSKHMFATGEVKFSGKKNIYGLQQCTRDISESNCKTCLVSALGDLWACCSSNQGGAVVGRNCNVRFEMFRFFDDMSSSLLIYPYFEGDKRKTLKVVVICASTFLLAFLIVCCNVHRRRKKGLEELKENSQHVLLHDLASPTSVTITEDRQLTSSEELAFMNLAAIRVATDDFSDSNKLGQGGFGTVYKEVAVKRLSRKSWQGLEEFKNEVILIAKLQHRNLVRLLACGFEGEEKLLLYEFMPNKSLDTFIFDSERRAELNWKTYYNIIEGIARGLLYLHEDSRLRVIHRDLKPSNVLLDHEMVAKISDFGLARIFCERQNPTKTRRVVGTYGYMAPEYAMEGLFSVKSDVFSFGVILLEIIGGKRNSGFYLTEHAKTLIAYAWRLWKAGKELEFVEPLLMESCTKTEVLRCMHIALLCVQEDPAERPTMSAVVVLLGSESVDLPEPKQPAIFAVRRVLPVNESITDPSANGLTMSIIAPR >ONI03791 pep chromosome:Prunus_persica_NCBIv2:G6:26321947:26323960:-1 gene:PRUPE_6G282300 transcript:ONI03791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSDSSKTSPSGEQTEGGSESGENYDDIEESGKPKNNGGSSSNSTVEESDQRKASVRPYVRSKMPRLRWTPDLHLRFIHAVERLGGQERATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKIDDAGQVLADHQGHLVECGDKNIYNLSQLPMLQGYNRSHSTSFRYGDAKSWTAYENPRYPRSSGFQGNWSSSSAYNYLTSCSFGEQSSWIARTLKQECQLYNIRESLQAQHQHQARLSQQLIDLNPTNTHVQVQPKPKELITSFNNSNNLELTSKKNEDHQSKSLKRTASDCEDLDLDLSLRLTSRNKNEDDIHEVDSSLSLSLYSPPTSKLSRLKE >ONI03790 pep chromosome:Prunus_persica_NCBIv2:G6:26321835:26324029:-1 gene:PRUPE_6G282300 transcript:ONI03790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSDSSKTSPSGEQTEGGSESGENYDDIEESGKPKNNGGSSSNSTVEESDQRKASVRPYVRSKMPRLRWTPDLHLRFIHAVERLGGQERATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKIDDAGQVLADHQGHLVECGDKNIYNLSQLPMLQGYNRSHSTSFRYGDAKSWTAYENPRYPRSSGFQGNWSSSSAYNYLTSCSFGEQSSWIARTLKQECQLYNIRESLQAQHQHQARLSQQLIDLNPTNTHVQVQPKPKELITSFNNSNNLELTSKKNEDHQSKSLKRTASDCEDLDLDLSLRLTSRNKNEDDIHEVDSSLSLSLYSPPTSKLSRLKE >ONH99578 pep chromosome:Prunus_persica_NCBIv2:G6:2793373:2794605:-1 gene:PRUPE_6G037000 transcript:ONH99578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGLMTTSPTHMRQLLITCAELISQLDFSSARRLISLLSSKSSPFGDSTERLTHQFVKALSLRLNNPNPSSSAALTTAATAAASSSSNYLLLEEEDDNNNEEALHSCYLTLNQITPFIRFSHLTANQAILEAIDSSHHSIHILDFDIMHGVQWPPLMQALTDRSYNSDRTVQHPPPMLRITATGHSLALLLKTGDRLLKFANSLGLAFHFHPLVLNDAVQPSDIISPSTLGLLPNEALAVNCVLYLHTLVTDDSRELSLFLRKIKSLNPKVLTIANKEANHNHPLFFNRFVEALEHYGAVFDSLEATLPPNSRERQAVEDVWMGREIRDVVGAEEGRRRQRHEKYETYWEVMLRRAGFENVALSPFALSQAKLLLRLHYPSEGYQLRIINDSFFLGWQNRPLFSVSSWH >ONI01328 pep chromosome:Prunus_persica_NCBIv2:G6:10464917:10465546:1 gene:PRUPE_6G133100 transcript:ONI01328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTSTLKFFSLLICSIAIVQMATAGDPDIITDFIAPPNGTVDGNFFTYTGFRVLVGGGPPSTAFKVLKATLAEFPSLNGQSVSYAVLQFPSGTTNPPHTHPRSAELLFLVAGTLEVGFVDTKNNLFTQTLQAGDLFVFPKGLAHFQFNADSQNPALAISAFGSANAGTVSIPSTLFATGIDDNVLAISFKTDVATIQKLKAGLAAKP >ONI00253 pep chromosome:Prunus_persica_NCBIv2:G6:5334414:5337964:1 gene:PRUPE_6G078500 transcript:ONI00253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGFGKVSGCFTGGKERRCHGRHDVAVVASDSYDEGLGHSFCYVRPDPTWLASSKVHSEEATTFRTISGASVSANTSTPLSTSLVDLYSYNSIDRAAAFESSTSFASIPLQPIPRNLINSGPISGNLGAGVPGSGPLERGFLSGPIERGFMSGPLDRGIFSGPMEKGYSEQFQRSFSIGGLGFKPRSGKRKLIRVLQRAISKTIYRGQNSIVLPIKSRVVSVKEDWVVGGQEKFHHHNENLTVSSVNFSSEGSLEDDESLASQNLQWAQGKAGEDRVHVVVSEEDGWVFVGIYDGFNGPDAPDYLLSNLYSAVNKELKGLLWDERAESGAVAAPATSPVHYEDSNSVSNMESDGDLGRNPMVDDCAQCVEQENHPSASGDGNLDSNSRSMEGRNSKTKYRGTARKWEDNQRRWRCEWDRERVELDRRLKEQLSKFVGSDGSSAGGATINHSDVLKALSQALRKTEEAYLEVADKMLLENPELALMGSCVLVMLMKGEDVYVMNVGDSRVVLAQKAEPDYWLGKIRQDLERINEETLNDLEASDGERANTIPNLTAIQLTMDHSTSVEEEVHRIRNEHPEDPCAVMNDRVKGSLKVTRAFGAGFLKQPKWNNALLEAFQIDYVGTSPYITCFPSLHHHRLGPKDRFLVLSSDGLYQYFTNKEAVSEVELFITLQPEGDPAQHLVEEVLFRAAKKAGMDFHELLQIPQGDRRRYHDDVSIIVISLEGRIWRSCVYK >ONI03854 pep chromosome:Prunus_persica_NCBIv2:G6:26508058:26511483:-1 gene:PRUPE_6G286100 transcript:ONI03854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRTIVTFNSNMNNNNHLFHFLSEEIIFIILDFLNQNPIDKKAFSLACKSFYAIEAKHRKKLKPLCSEHIPKVLNRYPHVSHIDLTLCPRITDTSLTTISNACMSSLRSIDLSGSNCFSGAGLLSLAVNCKNLVEIDLSNATELRDSAVAALAEAKNLEKLWLGRCKQITDMGVGCIAVGCRKLRLISLKWCPGVGDLGVGLLAVKCKDIRSLDLSYLPITDKCLPSIFKLQYLEDLVLEGCFGIDDDSLSGLKHGCKSLKKLDISSCQNITHVGLSSLTGGSGGFLEQIILSHGSPVTLALADSLKKLPMLQSIKLDDCPVTYAGLKAIGNWCASLRELSLSKCAEVTNESLSSLLTKHKDLRKLDITCCRKITYASIDHITNSCTALTSLRMESCTLVPREAFVLIGQRCQFLEEIDITDNEVDDEGLKSICRCSNLSSLKLGICLNITDEGVANIGMRCSKLVELDLYRCTGISDSGISAVANGCPGLEMINIAYCKDITDSSLISLSKCSSLNTFESRGCPLITSLGLAAIAVGCKQLAKLDIKKCSSIDDAGMIPLAHFSQNLRQINLSYSSVTDVGLLSLASISCLQSLTILHLKGLSPSGLAAALLACRGLTKVKLQATFKTLLPQALFEHLEARGCVFQWRDKFFRAELDPQCWKIQLQDIMQLR >ONI03853 pep chromosome:Prunus_persica_NCBIv2:G6:26507686:26511681:-1 gene:PRUPE_6G286100 transcript:ONI03853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRTIVTFNSNMNNNNHLFHFLSEEIIFIILDFLNQNPIDKKAFSLACKSFYAIEAKHRKKLKPLCSEHIPKVLNRYPHVSHIDLTLCPRITDTSLTTISNACMSSLRSIDLSGSNCFSGAGLLSLAVNCKNLVEIDLSNATELRDSAVAALAEAKNLEKLWLGRCKQITDMGVGCIAVGCRKLRLISLKWCPGVGDLGVGLLAVKCKDIRSLDLSYLPITDKCLPSIFKLQYLEDLVLEGCFGIDDDSLSGLKHGCKSLKKLDISSCQNITHVGLSSLTGGSGGFLEQIILSHGSPVTLALADSLKKLPMLQSIKLDDCPVTYAGLKAIGNWCASLRELSLSKCAEVTNESLSSLLTKHKDLRKLDITCCRKITYASIDHITNSCTALTSLRMESCTLVPREAFVLIGQRCQFLEEIDITDNEVDDEGLKSICRCSNLSSLKLGICLNITDEGVANIGMRCSKLVELDLYRCTGISDSGISAVANGCPGLEMINIAYSIAVGCKQLAKLDIKKCSSIDDAGMIPLAHFSQNLRQINLSYSSVTDVGLLSLASISCLQSLTILHLKGLSPSGLAAALLACRGLTKVKLQATFKTLLPQALFEHLEARGCVFQWRDKFFRAELDPQCWKIQLQDIMQLR >ONI02227 pep chromosome:Prunus_persica_NCBIv2:G6:19402211:19402800:1 gene:PRUPE_6G185300 transcript:ONI02227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTYSGAAYPPPPCSTPPGQAPPPAGYPTRDAPNPHGHGAVETKSKGDGFWKGCCAALCCCCVLDACF >ONH99495 pep chromosome:Prunus_persica_NCBIv2:G6:2517118:2530725:-1 gene:PRUPE_6G032900 transcript:ONH99495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYNAWLPPPVAEESKKEKESFSWVVSSVKGSYKPDDPESVYSTLKWVSVIDLFVKAKSDVSLEDVTALVEFGLELFHVSQNKLYAQVRWGNILVKLLNKHRKKLSLKVKWRPLYDTLIHTHFTRNTGPEGWRLRQRHFETTTSLVRSCRKFFPRGSAFEIWSEFRSLLENPWHNSSFEGSGFVRLFLPTNLDNQEFFSHGWIKEFLHLWDSIPNCQFWNSQWAAVIARVVKNYNFIDWECYLPALFTRYLNMFEVPVANGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSIVYLLKPGSSAQEHFEKLVNLLEQYYHPSNGGRWTYALERFLLYLVVSFQKRLQHEQLNIGKNIQADQYLGRSERIFFVNVVLKLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFVASRFHMALETMTATHQLQIAVMSVAFVGRSLFLSSLSSSAVKPVDPGSGDEFIDLLVVSLSNALLGMDANDPPKTLATMQLIGSIFSNMSSLDDDIDELSVMPMIRFSEWLDEFLCRLFSLLLHLEPSSVTNEGLHSSATSGTFLVEEGPYYYCMLEILFGRLSRPLYNQALKKISKFVKTNILPGAIAEVGLLCCACVHSNPEEAVTQLVEPILLSVISSLEGTPATGFGGRGMCDASVSTKVKPTISPALETAIDYQLKVLSVAISYGGPALLRYKDHFKEAIISAFESPSWKVNGAGDHLLRSLLGSLILYYPIDQYKCILHHPNAAALEEWISTKDYSDDKPMVAPKWHIPSVEEVEFANELLDLHFWLALDDLSRICETKVHSDPGDEKEHLKVTLLRIDSSLQGVLSCLPDFTPSSRNGTVEHPNQASFLIAGATGSSVGSTKLREKATEIIHAACKYILDKKADDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIIEPSINFIVSAQSKGKRRPRWALIDKAFMHSTWRSSQSSYHVYRTNANFGPPDHVNLLVDNLLNLTLHSYETVRVLAGKALLKMIKRWPSMISKCVLCLTENLRSPKSPEYVVLGSCAVLATQTVLKHLTMDPKAFSSFILGILSSSHHESLKTQKAINELFVKYNIYFAGVSRSIFTTSGNHTDAPDFSDLVSQITSMSFDSIGLHWRYNLMANRVLLLLAMASRNDPNSSSKILSETAGHFLKNLKSQLPQTRILAISALNTLLKESPYKLSPEEQASPPGNLHGSRKSSLEGELTQIFQEDGFFSETLTSLSHVHIVTDTESTSSRGNHGSSFQSLADKSITRFYFDFTASWPRTPTWISLLGSDTFYSNFARIFKRLIQECGMPVLLALKSSLEEFANAKERSKQCVAAEALAGILHSDVNGISVAWENWILVQLQNIILSQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQPVLDCLATPLPRTVTTTVVAKRYAFLSAALIELSPQRMPLTEVQLHYRLLEELLGNMCHSSAQVREAIGVTLSVLCSNIQLYESFDHEHSHAEERRDVAKKFDGRSWVQFLKERASEVLINIQNTTQSDSLETPATISPENGHLNGDSQDDVKWMETLFHFIISSLKSGRASYLLDVIVGLLYPVISLQETSNKDLSTLAKASFELLKWRVFWGPHLQEAVSVILSSANDSNWRIRSATLTYLRTFMYRHTYILSSTEKQQIWRTVEKLLVDNQVEVREHAAAVLAGLMKGGDEDLAKDFRDKAYTEAAILQRKRKRRSLSSSQSIASIHGAVLALVASVLSAPYDMPSWLPEHVTLLARFGGEPSPVKSTVTKAVAEFRRTHADTWNIQKDSFTEEQLEVLADTSSSSSYFA >ONI04779 pep chromosome:Prunus_persica_NCBIv2:G6:29371205:29372212:1 gene:PRUPE_6G339200 transcript:ONI04779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGAKRKVRQMEIEASQKPADTQPLRKRVKAVEPEPEPSPKPESPEEPRKMEELWKAAFPIGTGWDKMEAVHNKFKWDFTNLQQALEEGGKLHQEIIGKDSNKVYLFGTIECHIHRSSEEEITVPVVVAIVSPSRPAYELSIEPFDSQGNGEETRVPMKQLHMDWVPYIPPDKKRDAQEAERVMKSAQVFVLGNTQSRAALKPLKEEGSMKLQHYMPYLDHKEEDHWCWVEREIDGVAKTIINELKDDNELSPVVKAGLKEYVLDKLYEAKRKHQREFRQAMRSVRAAPEAEEEGFENMRIYKFYPMQSPETPDISKAKYKFINKYCGKAHEVL >ONH99092 pep chromosome:Prunus_persica_NCBIv2:G6:808189:810095:1 gene:PRUPE_6G011000 transcript:ONH99092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNANDSQFVRGYHRDSDSDSKEAKCNEAKRDVKGPRFKDLGGMKKVLEQLEDVICRLRFPKLAKSLGGNPISGILLHGPPGCGKTQLAHAIANETGFSFHNVSAPSLINSYYGESERNIRRLFSEAYETSPSIMFIDEIDSIASKREDSRYVTALAQLLTCMDEQRSHNVLVIAATNRPDALDPALRRLGRFDLEISFNVPDESDRVEILKVVTSNLGLEGPLNLVKIARSTAGYVGADLRAVADRACDIARKRILAERYPNMSIASMNEANYNEDWVEKEKEKLAITIRDGPTVGSMGSNDPMDSMEAR >ONH99458 pep chromosome:Prunus_persica_NCBIv2:G6:2405663:2409880:-1 gene:PRUPE_6G030800 transcript:ONH99458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSYSSAPCYDTVNLRWKLIVISSSSHQFSLLGFRKNRVFGAKFDVRRKFLSCYNLDQPFLKQLNHQGGFRSLDTGENFKHVGPILSSGSSDGYVIEGEENESISKTGEPVTKVLSPGLPDESKGESGAPVNSCF >ONI03305 pep chromosome:Prunus_persica_NCBIv2:G6:24539896:24544165:1 gene:PRUPE_6G249900 transcript:ONI03305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIETVQKVEPPQKVIDSHHHHHHHHSSHPPLNERILSSMTRRSIAAHPWHDLEIGPGAPKIFNCVIEIPKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQEPVVPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYQDIKDLPPHRLAEIRRFFEDYKKNENKEVAVDDFLPASAAYDAIQHSMDLYADYIVESLRR >ONH99882 pep chromosome:Prunus_persica_NCBIv2:G6:3916534:3917530:1 gene:PRUPE_6G055500 transcript:ONH99882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGGSRLCLCLLLAFALISSARNNIPFSDGEVLVAMEGRSLMVRTDDYSDPTANRGHDPSSSSSSFSRAKAGGRGGRKG >ONI01023 pep chromosome:Prunus_persica_NCBIv2:G6:8554915:8559226:1 gene:PRUPE_6G117000 transcript:ONI01023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAGKVSEFRKEGNDWFCKAGLPSDVTVVVDGVSFHLHKFPLISKSGKIARMYEESKSTRDKIFTALLEEFPGGPDTFLIAAKFCYGMRVELTPRNIVMIYCTADYLEMTDEYGEGNLLSKSESFFHKNVLHNWKDCILALQSCETVIQRAEKLHVVNKCVNALSVMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSSESDWWFEDISYLSVSLFERLIKTMETRGIRPENLVGAVMHYTRKYLPGLGRWQGGQHGIPRTVTSFSLTPIALDQRVVLESIEKLLPRKKGKSFCRFLLGLLRVALILGVSETCKDALERRIGRQLELATLDGLLIPTYSDADALYDTDCFERIIHHFMSSESKVTPFSPSSFDLETSPSSRPLQKVAKLVDNYIAEVASDVTLKPVKIRSLAEALPESSRTLFDGLYRSLDIYFKAHPWLSDKEKEELCSIIDFSKLSIDACAHASQNERLPLRVVLQVLFFEQMHLRTALAGCLHGLETESGPAGPVTVPGDMAGQIIQRDGWVTMVRENRVLKVDMEKMRSRVGELEEEFSKIKQEMKKVNKSHSSLSSPRVVARRIGCSLLSRPSDAKPDTIESTGPSPRVSVEQARPSLHSRHKKSFSLF >ONI01024 pep chromosome:Prunus_persica_NCBIv2:G6:8556613:8559226:1 gene:PRUPE_6G117000 transcript:ONI01024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEESKSTRDKIFTALLEEFPGGPDTFLIAAKFCYGMRVELTPRNIVMIYCTADYLEMTDEYGEGNLLSKSESFFHKNVLHNWKDCILALQSCETVIQRAEKLHVVNKCVNALSVMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSSESDWWFEDISYLSVSLFERLIKTMETRGIRPENLVGAVMHYTRKYLPGLGRWQGGQHGIPRTVTSFSLTPIALDQRVVLESIEKLLPRKKGKSFCRFLLGLLRVALILGVSETCKDALERRIGRQLELATLDGLLIPTYSDADALYDTDCFERIIHHFMSSESKVTPFSPSSFDLETSPSSRPLQKVAKLVDNYIAEVASDVTLKPVKIRSLAEALPESSRTLFDGLYRSLDIYFKAHPWLSDKEKEELCSIIDFSKLSIDACAHASQNERLPLRVVLQVLFFEQMHLRTALAGCLHGLETESGPAGPVTVPGDMAGQIIQRDGWVTMVRENRVLKVDMEKMRSRVGELEEEFSKIKQEMKKVNKSHSSLSSPRVVARRIGCSLLSRPSDAKPDTIESTGPSPRVSVEQARPSLHSRHKKSFSLF >ONI01025 pep chromosome:Prunus_persica_NCBIv2:G6:8554915:8559226:1 gene:PRUPE_6G117000 transcript:ONI01025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVELTPRNIVMIYCTADYLEMTDEYGEGNLLSKSESFFHKNVLHNWKDCILALQSCETVIQRAEKLHVVNKCVNALSVMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSSESDWWFEDISYLSVSLFERLIKTMETRGIRPENLVGAVMHYTRKYLPGLGRWQGGQHGIPRTVTSFSLTPIALDQRVVLESIEKLLPRKKGKSFCRFLLGLLRVALILGVSETCKDALERRIGRQLELATLDGLLIPTYSDADALYDTDCFERIIHHFMSSESKVTPFSPSSFDLETSPSSRPLQKVAKLVDNYIAEVASDVTLKPVKIRSLAEALPESSRTLFDGLYRSLDIYFKAHPWLSDKEKEELCSIIDFSKLSIDACAHASQNERLPLRVVLQVLFFEQMHLRTALAGCLHGLETESGPAGPVTVPGDMAGQIIQRDGWVTMVRENRVLKVDMEKMRSRVGELEEEFSKIKQEMKKVNKSHSSLSSPRVVARRIGCSLLSRPSDAKPDTIESTGPSPRVSVEQARPSLHSRHKKSFSLF >ONI05056 pep chromosome:Prunus_persica_NCBIv2:G6:30101273:30102310:1 gene:PRUPE_6G353800 transcript:ONI05056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNFSIGRQTLLCGNRQPRDDAVIKDIHDLQSFQLIVAPLPSSSRSSTTTTSTASTAAAPKKGEAEPCDKTEVHAKQINSINSYKLLQERAPLYGSGTTIQNQRVLAILNSACYVEAGRGQGRPSRLKICTREVGGLDTQTSGLDLDSASDAR >ONI03291 pep chromosome:Prunus_persica_NCBIv2:G6:24477350:24481201:1 gene:PRUPE_6G249100 transcript:ONI03291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAISLPLTSPARRSLASFTSLRSSSPILKLNSVRGSVSLRTACLRVSAASSSMSVEAVEKASPASFLDRRETGFLHFVKYHGLGNDFILVDNRDSSEPKITPEQAAKLCDRNFGIGADGVIFALPGINGTDYTMRIYNSDGSEPEMCGNGVRCFARFIAELENLHGKQRFTVHTGAGLIVPEIQDDGKVKVDMGEPILKATDVPTGLPANKNQSVVKSDLDVDGVTWNVTCVSMGNPHCVTFGTKGGQNLYKFSLLHTSRCVFGSVVQEQHWLAELVLVL >ONI03290 pep chromosome:Prunus_persica_NCBIv2:G6:24477350:24481201:1 gene:PRUPE_6G249100 transcript:ONI03290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAAISLPLTSPARRSLASFTSLRSSSPILKLNSVRGSVSLRTACLRVSAASSSMSVEAVEKASPASFLDRRETGFLHFVKYHGLGNDFILVDNRDSSEPKITPEQAAKLCDRNFGIGADGVIFALPGINGTDYTMRIYNSDGSEPEMCGNGVRCFARFIAELENLHGKQRFTVHTGAGLIVPEIQDDGKVKVDMGEPILKATDVPTGLPANKNQSVVKSDLDVDGVTWNVTCVSMGNPHCVTFGTKGGQNLQVDELKLAEIGPKFENHVVFPARTNTEFVQVFTPSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERNCTVDLPGGPLQIEWREEDNHIYMTGPAEVVFYGSVPL >ONI01253 pep chromosome:Prunus_persica_NCBIv2:G6:10112968:10113647:1 gene:PRUPE_6G130200 transcript:ONI01253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSTHSFQLCPDPRSQPLVTAPRLHGRGCFKSALCMCVLDYGSAFCVGGLSTTILRSKHLYVGLLVTHLWQLWICNGGAASGKWQL >ONI01674 pep chromosome:Prunus_persica_NCBIv2:G6:13260544:13264217:-1 gene:PRUPE_6G152500 transcript:ONI01674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLKLPANCVVFQQQRRAVLARAGTSRLDATGFNWKQRASSSSSSAMNMIPKMSISAIPNQSLEKSLPKNKIDIPIMVNSCSGKMGKAIIQAADSAGLSVVPASFGSAEESGQTVQVGANEILIHGPSERERTLASLLEKYPNLIVVDFTVPSAVNDNAELYCKVGVPFVMGTTGGDRDRLYKTVEDSLVYAVISPQMGKQVVAFLAAVEIMAEQFPGAFSGYSLQVMESHQASKVDTSGTAKAVISCFQKLGVSFDMEQVQLVRDPQQQLEMVGVPEEHLSGHAFHMYHLSSPDETSIRRQRSGYTI >ONI01673 pep chromosome:Prunus_persica_NCBIv2:G6:13260900:13263948:-1 gene:PRUPE_6G152500 transcript:ONI01673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLKLPANCVVFQQQRRAVLARAGTSRLDATGFNWKQRASSSSSSAMNMIPKMSISAIPNQSLEKSLPKNKIDIPIMVNSCSGKMGKAIIQAADSAGLSVVPASFGSAEESGQTVQVGANEILIHGPSERERTLASLLEKYPNLIVVDFTVPSAVNDNAELYCKVGVPFVMGTTGGDRDRLYKTVEDSLVYAVISPQMGKQVVAFLAAVEIMAEQFPGAFSGYSLQVMESHQASKVDTSGTAKAVISCFQKLGVSFDMEQVQLVRDPQQQLEMVGVPEEHLSGHAFHMYHLSSPDETVSFEFQHNVCGRSIYAEGTIDSVIFLAKKVHSKAEKRLYNMIDVLREGNMR >ONI02219 pep chromosome:Prunus_persica_NCBIv2:G6:19356818:19358820:1 gene:PRUPE_6G184700 transcript:ONI02219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLMYLSFRKVLLLSLVSVFLFSTLLLSVYSEPQLESSQFLGTRKMSELDKNQDQPKKIKPANSLSSKNQTKLIKPALSSSKNQTKLIKSSLSSKNQTKLLKPNLSSKNQTKLIKSSSNSIKTSSSPTKNIELKKLNSTSKSSNSVKPNSISSKKISDLTKPSTPKNKTTKPTTTTKQSQNPPKTEKKPTQQKPKKPIEPSWIDQEDDTDFVSDFTDLPGKFQQTLIPDLERISTTSKIYLNKANKQMTNQFKPIVGNKYAATIASIVSCAFLLIPLLLVSLLFNRIKAYFSLQKLLIFIQVYLSIYFSILCLSSLVTGLEPLKFFYATSQSTYVCLQVLQTLGYVLYLLLLLMYLILVFSTDSGLGSKMLGLGQTFVGFSVGLHYYMSVFHRAVLRQPPKTNWKVHGIYATCYLVICLFATAERRKKAYLEEGGEEGKKS >ONI02604 pep chromosome:Prunus_persica_NCBIv2:G6:21810868:21811571:1 gene:PRUPE_6G209800 transcript:ONI02604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFTIPCFVLILVLLSTTNVWKIATVEGSKCCTDHPELGKCVPGADDNPNSGKCWKFCTSGCEKGGICKLFGDHHHCHCLC >ONI01082 pep chromosome:Prunus_persica_NCBIv2:G6:8968215:8974540:-1 gene:PRUPE_6G120700 transcript:ONI01082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGETTLEYTPTWVVAAVCSVVMLISLAVERVLYYTDKYLKKKTQKPVFEALQKIKQELLLGLIALLLTTFQDRLGKICITEKQASQWLPCKKQDMSTSTTAPRYCSEGKVPLLSAVAWHHLHIFIFVLAAVHATFCVLTILFAKAKMSQWKHWEDSIKRDYHPEEVLKRKVIAVRDHVFVRSRVLGFGRNSDFIGWLFAFLKQFYGSVSKEDYLTMRQGFVQTHCRGNPKFNFHKYMIRALAADFKSVVGISWYLWIFVVIFLLLNVWGWHSYFWIAFIPLILLLAVGTKLEHVITQLANEVAEKHVAIEGDLVVQPSDNQFWFHRPRLILFLIHIILFQNSFQLAYFFWLWYQYSFDSCIMGKVAYIIPRLIIGAFIQFVCSYSTFPLYAIVTQMGSSFKKAIFEEHIQEGLIGWARSAKRNKPLRRVDPKEACATVNESSVEVGTTGEIEPEPPLRSPK >ONI02114 pep chromosome:Prunus_persica_NCBIv2:G6:18250446:18257573:1 gene:PRUPE_6G177400 transcript:ONI02114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHSDASPLIAPAPVAEPSEIDLEAGPGEQIQCRICLESDGRDFIAPCKCKGTSKYVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHVIADRKWRTLKFRFFVTRDIIFIFLAVQLVIASLAYLVYLIDGFQQFWLRLAWGFDSELSFYYISGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGVCADCHLPGTLCMWTDCTTCWGSCASMAGECGCLGGAGEAGLPILFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSDWSPPPLPPEHIQQLKTLGLL >ONH99101 pep chromosome:Prunus_persica_NCBIv2:G6:851184:851702:-1 gene:PRUPE_6G011800 transcript:ONH99101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTCTASYQSLKASFHLLLQKIIFIVSRLDNLDDPEAPAPAAKPQPSATTTAAAARNLDWAKIVVVYCLSTGVAMALIHTQVDPSKLPLSFFFLGLAVLLAFACIMVSKFVQHSNCPRITVHLFHFFGVFFAVTAFFISITIPFPLWFKCTASVIYVASGLVVIFCNHFYN >ONI02184 pep chromosome:Prunus_persica_NCBIv2:G6:18855310:18860281:-1 gene:PRUPE_6G181800 transcript:ONI02184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPYPDPTGEDESQSITNRRIQRLSLHLTPNYPQPKNDATHHQLQLLECAKAAMLKVDTDSLSNYLRGKHKDIQDRVLDYFNNRPDLQTPLEILKDDHRELCMRQLVALVRDAGIRPFRYVIEDPAKYFAILEAVGSVDVSLAIKMGVQYSLWGGSVLNLGTKKHKDKYFDGIDNMDYPGCFAMTELHHGSNVQGLQTVATFDPLTDEFIIDTPNDGAIKWWIGNAAVHGKFATVFAKLMLPTHDTKGVSDMGVHAFIVPIRDFETHQTLPGIEIHDCGHKVGLNGVDNGALRFHSVRIPRDNLLNRFGDVSRDGKYTSSLPSINKRFAATLGELVGGRVGLAYSSVSVLKIAAIIAIRYSLLRQQFGPPKQPEVTILDYQSHQHKLMPMLASTYAFHFATLHLVEKYAEMKKTHDEQLVGDVHSLSAGLKAYVTGYTAKSLSICREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYKEKFQGGTLTVTWNYLRESMNSYLSQPNPVTARWESEDHLRDPKFQLDAFRYRTSRLLQSVAVRLRKHSKTLGSFGAWNRCLNHLLTLAESHIESVILATFIESVQNCPDASSRAALKLVCDLYALERIWKDIGTYRNVDYVAPNKAKAIHKLSEYLSFRVRNIARELIDAFDIPDHVTRAPIAMQSDAYSHYTQYVGF >ONI04039 pep chromosome:Prunus_persica_NCBIv2:G6:27208513:27211791:-1 gene:PRUPE_6G298300 transcript:ONI04039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLDQPSTESSLQLPEKRILELILDILQRRDTYEIFAEPVDPNEVEGYYEIIEEPMDFGTMRAKLHEGMYRNLEQFEHDAFLITENAMHFNSTATIFFRQARAIHELTKKVFHVLETYPEKFELEFSETRQRSGRRARGEAGRSASSSYPKIATNMKSLSMKIAEFSKAIPCSLSGSSNVRRCQVKTGCSGTIDAREHRNLSGTQDDRRSRSFEADPRCTYRPWTSYLDENESTGLTLSSNLKQLEHANQQDIGYTESLMLFVKDLGPTAQKIARKKLLGSFQLQKSQIPLASTFTQWAPSTLNDIFASSQSQNFQGNLHGHPSIKKSTGDSFHSWDADKRGKGSLGDEIGIQSGKVAVASSSDRQNSHGTFGGKIQSSEFHQNRRNEIQLDSYSLKTHAADNSCSVSGFKNIGSNSTPLILNQWKSVNRAQSLEPPQWKSVNQTQSLEPPSDSSQSNLLEPRLRNLGFSSFSRTKNKLSSFNSREDCDQTKAETSQVSKTDQARPPVRQFTFDLPYLRAQLGKINSSGQDRFLQKGSGAELILPDKNSHQRASTCTRHLEMRNQPHSDYQNQPSMDTQYTDLALQL >ONI03200 pep chromosome:Prunus_persica_NCBIv2:G6:24205776:24208702:1 gene:PRUPE_6G244400 transcript:ONI03200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIRFAWLSIWGCFKLSRNLYISYEVQGISKTNSTLSVKEDPKDGGSDHIAAQTFVFRELAAATRNFRAECLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDISPGKRRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRATGEQNLVAWARPLFKDRKKFSQMADPMLQGQYPQRGLYQALAVAAMCVQEQPNMRPVIADVVTALTYLASQKHDQETQPVQSSRLAPCTPPRTKRDSERKAQLW >ONI03199 pep chromosome:Prunus_persica_NCBIv2:G6:24205395:24208702:1 gene:PRUPE_6G244400 transcript:ONI03199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIPCSGKSNSKAKTKKERKNTIELQEKPLDQIKPTSGISKTNSTLSVKEDPKDGGSDHIAAQTFVFRELAAATRNFRAECLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDISPGKRRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRATGEQNLVAWARPLFKDRKKFSQMADPMLQGQYPQRGLYQALAVAAMCVQEQPNMRPVIADVVTALTYLASQKHDQETQPVQSSRLAPCTPPRTKRDSERKAQLW >ONH99099 pep chromosome:Prunus_persica_NCBIv2:G6:840132:847213:-1 gene:PRUPE_6G011600 transcript:ONH99099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRKSKSSPSEAPPSNSASANKLKRTEEPIAKKEVAESMEKTASPPRKLKGKAVAVKDGEEPEAKFLGKPVKDTEARKRWPKRYLGKMKQVSGPNNSNQDEDAIQARGHYIKAEVDGRNIFDLYDDAHVQAGDGNEPYVCKIVEMFEAIDGTLYFTAQWYYRSPDTVIKECATIDKRRVFLSEIQDVNPLDCLVEKLNIVRLSLNVDQNEKSKLISTCKYFCDTTYLLPYSTFMNLPEENIQTGSDDSTISSEADVDRACEVNSEGADVDKACQVNSKVAQLCPVRECGKPEVTLLDLYSGCGAMSTGLCLGAQLSNVNLVTRWAVDVNEYACQSLKLNHPETEVRNESAEDFLSLLKEWRKLCFYFNLVETKDSENDQFDSENDQFDFFETEDEEEEEEDGDSGNDENDSDDPEFEVDYVLEVCYGDPNKTNKRGLYFKIRWKGYGDDEDTWEPIDGLGHCRKAIGDFVSQRYASKAFPLPGDVDVVCGGPPCQGISGFNRFRNTQQPLEDEKNKQLIVFMDFVQYLNPKFVLMENVVDIVKFSEGLLGRYALGRLVGMNYQARMGMMAAGAYGLPQFRMRMFMWGARPTERLPQYPLPTHDVVVRGVIPTQFEGNTVAYDEGGPVQLERKLLLEDAISDLPAVENSENRDEMPYAGPPQTEFQRFIRLSKEYLLGSSKDESLHKTLYDHRPLELNADDYARVCEIPKRKGANFRDLPGVRVRVDNKVEWDPDVERVYLKSGKPLVPDYAMSFVKGSSSKPFARLWWDETVPTVVTRAEPHNQAILHPVQDRVLSIRENARLQGFPDYYKLCGPVKERYIQVGNAVAVPVARALGYALGLALKGSAGVDPVFPLPEKFPNIQCQIHSASSEDDA >ONH99098 pep chromosome:Prunus_persica_NCBIv2:G6:839936:847311:-1 gene:PRUPE_6G011600 transcript:ONH99098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRKSKSSPSEAPPSNSASANKLKRTEEPIAKKEVAESMEKTASPPRKLKGKAVAVKDGEEPEAKFLGKPVKDTEARKRWPKRYLGKVSGPNNSNQDEDAIQARGHYIKAEVDGRNIFDLYDDAHVQAGDGNEPYVCKIVEMFEAIDGTLYFTAQWYYRSPDTVIKECATIDKRRVFLSEIQDVNPLDCLVEKLNIVRLSLNVDQNEKSKLISTCKYFCDTTYLLPYSTFMNLPEENIQTGSDDSTISSEADVDRACEVNSEGADVDKACQVNSKVAQLCPVRECGKPEVTLLDLYSGCGAMSTGLCLGAQLSNVNLVTRWAVDVNEYACQSLKLNHPETEVRNESAEDFLSLLKEWRKLCFYFNLVETKDSENDQFDSENDQFDFFETEDEEEEEEDGDSGNDENDSDDPEFEVDYVLEVCYGDPNKTNKRGLYFKIRWKGYGDDEDTWEPIDGLGHCRKAIGDFVSQRYASKAFPLPGDVDVVCGGPPCQGISGFNRFRNTQQPLEDEKNKQLIVFMDFVQYLNPKFVLMENVVDIVKFSEGLLGRYALGRLVGMNYQARMGMMAAGAYGLPQFRMRMFMWGARPTERLPQYPLPTHDVVVRGVIPTQFEGNTVAYDEGGPVQLERKLLLEDAISDLPAVENSENRDEMPYAGPPQTEFQRFIRLSKEYLLGSSKDESLHKTLYDHRPLELNADDYARVCEIPKRKGANFRDLPGVRVRVDNKVEWDPDVERVYLKSGKPLVPDYAMSFVKGSSSKPFARLWWDETVPTVVTRAEPHNQAILHPVQDRVLSIRENARLQGFPDYYKLCGPVKERYIQVGNAVAVPVARALGYALGLALKGSAGVDPVFPLPEKFPNIQCQIHSASSEDDA >ONI00165 pep chromosome:Prunus_persica_NCBIv2:G6:4883567:4892090:-1 gene:PRUPE_6G071600 transcript:ONI00165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEIGRRPMLAGNEGSFGDEFEKEIGMLLREQRRQEVDDRESELNIFRSGSAPPTVEGSLNAVGGLFAAGGGGGGGGAAAFSDFPGAKNGFASEEELRSDPAYLQYYYSNVNLNPRLPPPLLSKEDWRFAQRMKGGGSSVLGGIGDRRKVNRADDASQRSLFSMPPGFNSRKQESEVEPDKVRGSAEWGVDGLIGLPGLGLGNKQKSLAEIFQDDLGRASPVSGLPSRPASRNAFDENVDGSAEADLAHLRRDVMASDGLRSSANGQGSSAAQSMGPPSSYSYAAALGASLSRSTTPDPQLVARAPSPCLTPIGGGRVGTSEKRGISSPSSFNAVSSGINESGDLVGPFSSMNLSANGVIDDENHLPSQIKQDVDDHQNYLFGLQGGESHARQLTYLKKSESGHMHMPSVPHSAKGSYSDLGKSNGGGPDFSNSSSDRQVELQKAAVSSNNLYLKGSPTSNHNGGGSLHPQYQQVDTANSSFSNYGLSGYSMNPALASMVASQLGTGNLPPLFESAMGSPGMDSRVLGGGMASGPNLAAAASESHNLGRLGSPIAGSGLQAPFVDPMYLQYLRTSEYAAAQLAALNDPSVDRNYLGNSYMNLLELQKAYLGALLSPQKSQYGVPLGGKSAGSNHHGYYGNPAFGVGMSYPGSPMASPVIPNSPVGPGSPMRHNELNMCFPSGMRNLAGGVMGPWHLDGGGNIDESFASSLLEEFKSNKAKSFELSEIGGHVVEFSADQYGSRFIQQKLETATTEEKNMVYQEIMPQALALMTDVFGNYVIQKFFEHGLQSQRRELANKLFGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVEELDGNVMRCVRDQNGNHVIQKCIECVPEDAVHFIVSTFFDQVVTLSTHPYGCRVIQRVLEHCNDMNTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKPHERSAIIKELAGKIVQMSQQKFASNVVEKCLTFGGPAERELLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSSHPA >ONI02327 pep chromosome:Prunus_persica_NCBIv2:G6:19849331:19852362:1 gene:PRUPE_6G191200 transcript:ONI02327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNPATLSSKSLCNTHSLSPPTPQSHQPIFSPFLTKRKTLHPICAAAAADSGKAPVVAEKPPKASTEVKPVSGKWSLESWKTKKALQLPEYPDQNALETVLQTIESFPPIVFAGEARHLEEKLAEAALGNAFLLQGGDCAESFKEFSANNIRDTFRVMLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSEAYEVKDGVKLPSYKGDNINGDAFDEKSRNPDPQRLIRAYCQSAATLNLLRSFATGGYAAMQRVTQWNLDFAQNSEQGDRYQELASRVDEALGFMTAAGLTIEHPIMTTTDFWTSHECLHLPYEQSLTRKDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGLANPLGIKVSNKMDPKELVNIIEILNPSNKPGRITIIARMGAENMRVKLPHLIRAVRQAGQIVTWVCDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQALELSFIIAERLRKRRIGTQRSLSLGL >ONI02328 pep chromosome:Prunus_persica_NCBIv2:G6:19849419:19852025:1 gene:PRUPE_6G191200 transcript:ONI02328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNPATLSSKSLCNTHSLSPPTPQSHQPIFSPFLTKRKTLHPICAAAAADSGKAPVVAEKPPKASTEVKPVSGKWSLESWKTKKALQLPEYPDQNALETVLQTIESFPPIVFAGEARHLEEKLAEAALGNAFLLQGGDCAESFKEFSANNIRDTFRVMLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSEAYEVKDGVKLPSYKGDNINGDAFDEKSRNPDPQRLIRAYCQSAATLNLLRSFATGGYAAMQRVTQWNLDFAQNSEQGDRYQELASRVDEALGFMTAAGLTIEHPIMTTTDFWTSHECLHLPYEQSLTRKDSTSGLYYDCSAHMLWVGERTRQLDGAHVEFLRGLANPLGIKVGFQILILVE >ONI02998 pep chromosome:Prunus_persica_NCBIv2:G6:23492294:23494113:-1 gene:PRUPE_6G232400 transcript:ONI02998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLHCSSPTSQTTSRLPFTPLITASSHLSSTQKPTQLSNWVSEFRSKSLNLVFSGALTLGFSLSGVGPVEAKVGVNKPELLPKEFSPVIDVAGFLSDGQEKRLAQEIDDIEKDTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNVGASVDLDVPRSFWSRLAGKYGNMFYWKEKGEDGAIEAAVVAISSCLKEPVGSDNCSEVK >ONI02999 pep chromosome:Prunus_persica_NCBIv2:G6:23492763:23494038:-1 gene:PRUPE_6G232400 transcript:ONI02999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLHCSSPTSQTTSRLPFTPLITASSHLSSTQKPTQLSNWVSEFRSKSLNLVFSGALTLGFSLSGVGPVEAKVGVNKPELLPKEFSPVIDVAGFLSDGQEKRLAQEIDDIEKDTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNVGASVDLDVPRSFWSRLAGKYGNMFYWKEKVKFPHCSDISMFVMRLFALHKIF >ONI01495 pep chromosome:Prunus_persica_NCBIv2:G6:11578593:11579506:1 gene:PRUPE_6G143000 transcript:ONI01495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLKKVPMLQSIDERVLKMICDHLKPVIYTENSYVIRAGEPLDLMLFITQGIIWTFTGTSAGDGSVQLNGSSSLSSSTSITKCLEKGDFYGEELLSRISTYISFSDLPICTENVKCHTKVEAFALLAKDLRRVVSEFWWYFPDLKNSELKEKSALSSLRAVRQRNRSKKEATLPPKSDADREQMPAN >ONH99067 pep chromosome:Prunus_persica_NCBIv2:G6:682869:684384:1 gene:PRUPE_6G008700 transcript:ONH99067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQHRCRRLVLVPCPFQGHMTPMLQLGTILHSRGFYITIAHTQFNFPNPLNFPDFNFLEIYEDVISGFNANCKAPLRESLARMMEKEDQHSKIACIIYDEYISAANMLTYQEIPRLLKDDLWYSTYVGAEAMMLELVPGLEPLRFKDLPITNFRDLDDLPQLIVNAHDSRSYSAIIWNTMDCLEQSSLAHLRQEYQLPLFPIGPLHRTIPAPSISLLKEDQNCISWLDKQSHNSVIYVSVGSIAFLDSKELAEMAWGLANSEHPFLWVVRTDSISLPESFQETVGERGCITKWAPQKQVLAHSAVGGFWSHCGWNSTIESISEGVPMICQPYFGDQRVNSRYLSLVWGVGLEWENDMNRGPIKEAIRMLMVGKEGEVIRQSAKDLKVKIELSMKQAVSSYNSLNELVDQILSF >ONI01107 pep chromosome:Prunus_persica_NCBIv2:G6:9085415:9093444:-1 gene:PRUPE_6G122100 transcript:ONI01107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAGDDLARQSSSRRSWRSTSVREMWNAPDVFQRSGRQQAVDEEEELRWAAIERLPTYDRMRRGMLRQAMSNGRVITEEVDVANLGAQDKKQLMESILKVVEEDNERFLQRLRARNDRVGIEVPKVEVRFQNVSIEGDAYVGTRALPTLLNSTLNQLEGLIGLIGLSPSKKRVVKILQDVSGIIKPSRMTLLLGPPSSGKTTLLKALAGKLDKDLRETGKVTYCGHEFKEFVPRRTSAYISQHDLHYGEMTVRETLDFSGRCLGVGTRYDMLVELSRREKDSGIKPDPEIDAFMKATSMTGQETSLITDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMRQMVHIMDVSMVISLLQPAPESYDLFDDIILLSEGQIVYQGPRENVLEFFEFMGFRCPDRKGVADFLQEVTSKKDQEQYWYKKNQPYRYVSVSDFVRAFTTFHVGQRLVEELRVPYDKRTVHPAALVKEKYGISNMEIFKACFAREWLLMKRNSFVYIFKTTQITIMATIALTVFLRTEMKAGQAQDSAKFWGALFFSLINVMFNGMAELAMTVFRLPVFFKQRDALFFPGWAFGLPIWLTRIPISLMESGIWIILTYYSIGFAPAASRFFKQFLAFFGIHQMALSLFRFIAALGRSEVVSGTIGSFTLLLVFVLGGFVVAKDDILPWMIWGYYVSPMMYGQNAIAINEFLDKRWSTPVNGNDTVGKVLLRERGLFTTETWYWICVGALFGFSLLFNVLFIGALTFLDPLSETKTLIENDDNSESRKRRQSNPEGIDMQVRNAQGIVSAENNQAKRGMVLPFQPLSLAFNHVNYYVDMPAEMKSQGIEETRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGSITISGFPKNQATFARVSGYCEQNDIHSPFVTVYESLLYSAWLRISKDVKTETRKMFVDEVMDLVELNPLRHALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQVIYAGPLGRQSHKLVEYFEAIPGVSKIKEGYNPATWMLEVSSASIEAQNDVDFAEIFANSDLYRRNQELIKELSVPEPGSKDLYFPTQYSQSFLTQCKACFWKQHWSYWRNSRYNAIRFFMTICIGVLFGIIFWGKGDDIHKQQDLINLLGATYSAILFLGASNASAVQSVVAVERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTLVYSCLLFFMIGYNFKVEKFLYFYYFIFMCFTYFSMYGMMVVALTPGHQIAAITMSFFLSFWNLFSGFLIPRPLIPIWWRWYYWGSPVAWTIYGIFTSQVGDIKTEITVNIDEKKAVDVFLKEFLGFDYDFLIPVVVAHVGWVLLFFFVFAYGIKFLNFQRR >ONI00896 pep chromosome:Prunus_persica_NCBIv2:G6:7857583:7859913:-1 gene:PRUPE_6G109800 transcript:ONI00896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSRRESTSAPSTSPWKYHVFLSFRGIDTRKGFTDHLYDRLWWRSITTFRDNNELERGTTISPNLLRAIEESRFAIIILSPNYASSTWCLDEVSKIVECKDVDKILPIFYDVDPSDVRKQTGTFAEAFNKHKKNFKQDKAKVQRWRAALTKVGNISGWISKDRYEAELIKEIVEEVCNKVYPTIVTLLGSTTKLVGIDFRLKKIELLLDREAKDVRFIGIWGPGGTGKTTIARLVYERIFHHFDVSYFLANVREVCATHGIVHLQKQLLSPILRQKVNEVWDVHSGATMTKYCLCNKKVLLFIDDANQLSQLKVLAGNKDWFGLGSRIIITTRKEHLLIEHHIEERYELLGLQDVPEDFHPHRRDKPEGDLNLELHNDESQQKDDGPAKVQSKSHNKQLGKVPKAVEEGGVDMDSPPKFKVCVGCKRNIDGEYKNWKGALQWHPLCFCCHACDLPITDSKFKKHENHPYHPSCYMERRHSQCVVCVSLIPPNSDGRIESSVNHFWRQQSCPSHQDDGTPRCCSCGRLQPGGDTRYHLLNDGRSLCLDCRDSAITEADECEALFLKVQEMFDLKIQEKNIRIYLIDKGELLKARKAIVEKKGRVSDQLLAAETTDGFIIWTSGPFPQVTAMGILSDLPRLVTGSTMASNLIQAWLKVKCYNIRNMSPQVKKGFSQVLAHMWLDFEMNSGSDFEKKLGEYLQYRIEKSSDCGEGFSLGKKAVLKHGLRKTLHHIRKTGSFPPVE >ONI01103 pep chromosome:Prunus_persica_NCBIv2:G6:9058353:9059930:1 gene:PRUPE_6G121700 transcript:ONI01103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKSNPRVSASMKMKRVSDAMKPQKTAMAKRGSTLKNKAGRLSKLCDIAVYMIAVGADGKINAWPENQQDLKSVLLKYKNLKRGFKNGIEKGLFSVEKGFLDIVDDRENRDLGIQDNCLGAKLESLNERIGAVTMKMMMMRDDDKCSFIDLYKNIMEPDAADQQQLSSLNLNLNLHPTDLVVPTPKPSLIEEPQEQPQPQPPSVPSVEISDDDMKLQLSDCEIGLMEDDMQFCDNLDDYEPTVDTIYDFLSWVLGDEDQNDNGAMEDDVQPQSTNHISDDEHDDIRLLLTDCEIGLMQEDLQFCDNDLSDFLAPLETMLLQDPQHG >ONI01102 pep chromosome:Prunus_persica_NCBIv2:G6:9058353:9059913:1 gene:PRUPE_6G121700 transcript:ONI01102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKSNPRVSASMKMKRVSDAMKPQKTAMAKRGSTLKNKAGRLSKLCDIAVYMIAVGADGKINAWPENQQDLKSVLLKYKNLKRGFKNGIEKGLFSVEKGFLDIVDDRENRDLGIQDNCLGAKLESLNERIGAVTMKMMMMRDDDKCSFIDLYKNIMEPDAADQQQLSSLNLNLNLHPTDLVVPTPKPSLIGEINLNLDPGDLVIPTANSISQFQQDYLSSIHEPTVDANDYLSLLMGNDDHNDVGLMEDYLQLYDLELPEEPQEQPQPQPPSVPSVEISDDDMKLQLSDCEIGLMEDDMQFCDNLDDYEPTVDTIYDFLSWVLGDEDQNDNGAMEDDVQPQSTNHISDDEHDDIRLLLTDCEIGLMQEDLQFCDNDLSDFLAPLETMLLQDPQHG >ONI01572 pep chromosome:Prunus_persica_NCBIv2:G6:12190881:12196890:-1 gene:PRUPE_6G147000 transcript:ONI01572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRLIRKLRPRLLAWLRRSRSGRVLFMKRFPYKDIKRATDGFQSIMYTTSHIAAYKARFQDGGVVLIKEMRDYDQGKDAFYREVQLLGRLHHRHLLALKGFSTGHRRLLVFDNIERGSLKEHLTDPIRTPLDWKTRLQIVIGVVAALEYLLLFNEPPMYHVSISSSSIMLDENFNAKLSDVGLQSSGENYVTVPHTSCAKDCMGQECGNIMFQLGVLILELVTGQSSDDRAADLVQWIREYRFCSSIHKMLDPDLGTSVSP >ONI01571 pep chromosome:Prunus_persica_NCBIv2:G6:12190881:12196890:-1 gene:PRUPE_6G147000 transcript:ONI01571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFPYKDIKRATDGFQSIMYTTSHIAAYKARFQDGGVVLIKEMRDYDQGKDAFYREVQLLGRLHHRHLLALKGFSTGHRRLLVFDNIERGSLKEHLTDPIRTPLDWKTRLQIVIGVVAALEYLLLFNEPPMYHVSISSSSIMLDENFNAKLSDVGLQSSGENYVTVPHTSCAKDCMGQECGNIMFQLGVLILELVTGQSSDDRAADLVQWIREYRFCSSIHKMLDPDLGNSYDSRELKSLLAVAKLCVRYGDKPKTSILQVLRYLHKKIESPHD >ONI01569 pep chromosome:Prunus_persica_NCBIv2:G6:12190881:12196891:-1 gene:PRUPE_6G147000 transcript:ONI01569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRLIRKLRPRLLAWLRRSRSGRVLFMKRFPYKDIKRATDGFQSIMYTTSHIAAYKARFQDGGVVLIKEMRDYDQGKDAFYREVQLLGRLHHRHLLALKGFSTGHRRLLVFDNIERGSLKEHLTDPIRTPLDWKTRLQIVIGVVAALEYLLLFNEPPMYHVSISSSSIMLDENFNAKLSDVGLQSSDCMGQECGNIMFQLGVLILELVTGQSSDDRAADLVQWIREYRFCSSIHKMLDPDLGNSYDSRELKSLLAVAKLCVRYGDKPKTSILQVLRYLHKKIESPHD >ONI01570 pep chromosome:Prunus_persica_NCBIv2:G6:12191188:12196663:-1 gene:PRUPE_6G147000 transcript:ONI01570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRLIRKLRPRLLAWLRRSRSGRVLFMKRFPYKDIKRATDGFQSIMYTTSHIAAYKARFQDGGVVLIKEMRDYDQGKDAFYREVQLLGRLHHRHLLALKGFSTGHRRLLVFDNIERGSLKEHLTDPIRTPLDWKTRLQIVIGVVAALEYLLLFNEPPMYHVSISSSSIMLDENFNAKLSDVGLQSSGENYVTVPHTSCAKDCMGQECGNIMFQLGVLILELVTGQSSDDRAADLVQWIREYRFCSSIHKMLDPDLGNSYDSRELKSLLAVAKLCVRYGDKPKTSILQVLRYLHKKIESPHD >ONI04028 pep chromosome:Prunus_persica_NCBIv2:G6:27148029:27150730:1 gene:PRUPE_6G297200 transcript:ONI04028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRKEILAAIITGAVASSVILYATVMLLITRCLRHRDRPPSMRHSSSKITMKIDGVKAFTFKEMTLATRNFDSSTQLGRGGYGKVYRGILSDDTIVAIKRAEEGSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLCVTAKGSLSFGTRLQIALGSAKGILYLHNEANPPIFHRDIKTTNILLDSNLMAKVADFGLSRLAPLQDDAGTGPSYVSTVVKGTPGYLDPEYLLTNKLTDKSDVYSLGIVFLELLTGVLPISHGKNIVREVNLAHQAGLMFSIIDSRMGSYPSECVERFLALALRCCYEKQDMRPAMLEVVRELENIIKIMPAADTILSPSAASNSDQSPTSSSYVTRDTSYVSSSAVGSDLSSGVVPTIVPR >ONI05175 pep chromosome:Prunus_persica_NCBIv2:G6:30397006:30400682:-1 gene:PRUPE_6G359900 transcript:ONI05175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSNSLLFPLTTSSGFSRSVRFARTTNWCSTSDKQKGEPRNVLCACMAPPPNLRSDESFAAKFEDSFKSENLSTVREPEDDSDVLIECRDVYKSFAEKHILRGVSFKIRHGEAVGIIGPSGTGKSTVLKIIAGLLAPDKGEVYIRGRKRDGLISDEEISALRIGLVFQSAALFDSLNVRENVGFLLYENSSMPDDQISKLVTDSLAAVGLKGVEDRLPSELSGGMKKRVALARSIICDTTKEAIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHTKDQAAIEKPGQIASYVVVTHQHSTIRRAVDRLLFLYEGKIVWQGMTHEFTTSTNPIVQQFASGNLDGPIRY >ONH99774 pep chromosome:Prunus_persica_NCBIv2:G6:3536939:3539309:-1 gene:PRUPE_6G050000 transcript:ONH99774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLKDNHISVCHVVALPYPGRGHINPMMNLCKLLSSKKPDNTLLITFVVTEEWHGFIGSDPKPDNIRFATLPNAIPSELVRAKDFPGFVEAVSTKLEAPFEQLVDRLEPPVSAIVADPYVVWAVRVGNRRNIPVAALWPMSASVFSFFHHFELLKQNGHFPLDVTERGDEIVDYIPGLGTTSIADLPTIFSVDGQKVLDRAIEAVSGVAAKAQYLLSTSVYELEPQVFDTLKAKFAFPVYPIGPSIPHLELSESPPTDHNDLNNWLDSQPKHSVLYISLGSFLSVSKSQMDEIVAGVRNSGVRFLWVARGDASKLKDGVGDKGLVVPWCDQLRVLCHDSIGGFWSHCGWNSTLEAVYAGLPVLTCPIFWDQMPDSKQIVEDWKIGYRVLKKKVGAAEHEHLVTREEIAELVQRFMDLESKEGKEMRKRAKQLQETCHGAIAKGGSSDKNLDAFIEDISRGHHHHQL >ONI02921 pep chromosome:Prunus_persica_NCBIv2:G6:23285701:23288146:1 gene:PRUPE_6G229300 transcript:ONI02921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNIGMMDGAYFVGRSEILAWINSTLHLNLNKVEEACSGAVQCQLMDAVHNGMVPMHKVNFDAKSEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNGGSMNTYNALERRDACKGGKEAHKRGAQSQTSAKGAAASQRSHSSHTARRNDVPPSVNSTSQSGKISRPASSGGPAPPVYDEQITELKLSVDSLEKERDFYFAKLRDIEILCQNPEIEHLNVVAAIQKILYATEDDASVVADAQAMLSRPSKEADLLSPIAEVSEEKVSSETQKRKTYLNLDVDAAAITTLSPKQRISDASDVHCSGSPLMTY >ONI03685 pep chromosome:Prunus_persica_NCBIv2:G6:25957101:25960331:-1 gene:PRUPE_6G275200 transcript:ONI03685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ACTFSNGTETDRLALLAIKAQVIKDPHGIFSSWNDSLHCCNWTGLNLELNHFHNVIPQEIGHLFRLKYLNLSNNSLIWLRLGFNKLIGKIPFQLGSLQKLHYNNLSGLLPSSIGNLSSVKSLSLAVNSLEGKIPDSLGRLKNLEFLGLGLNQLSVDFGGLTSLWWLVLASNSLGTGEADDLSFFNSLSRCRNLQILDLSDNQFGGVLPNSISNFSNQLVSLRLGRNQLSGSIPSGIENNFVNLTELTMEKNNFSGSIPAIIGNLWMLRRLDLSENEFSGQIPSSLANITQLYALYLQKNRLTGTIPLSFGILSYLQELDLSQNHLNGTIPKQLMSQSSLTISLNLAHNQLTGSLPSEFLERTSRLGSFTLLLIWPNSSFFQHFSLVNLNLSFNHFEGGVPTGGVFKNTSAISVAGNQKLCGGIPELEFPACIASKPKKRKISQGLKLMILLLSGLLGLVLVMPLLVIWRLRKMKAKPSTDASPTKEFHLKVSYETLLQATGGFSSANLIGTGSFGSVYIGILGPNDTAVAVKVLYLHQEGALKSFVAECEALRNIWHRNLVKILTDCSSLDFQGNDFKSLIYEHMPNGSLESWLHPISEAGDVDGDLRILSLLQRLNIAIDVASALDYLHHHCQDPIPSNILLDNDLIAHVGDFGLARFVPEATTRCNLNQSSSVGLKGTVGYAAPEYGMGSTITTYGDVYSFGILLWEMFTGKKPTDDMFKDGMQLHNFVSNALPEQISEILDPLFVEGGRGEDEELTYEEKCIVGQGKDDLNQDCLVAILKIGVACSVESPRGRMDISHVVKVLKQVRDTLIGSALN >ONI01573 pep chromosome:Prunus_persica_NCBIv2:G6:12206600:12212132:1 gene:PRUPE_6G147100 transcript:ONI01573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIVHLKLNFIVLCFSTLFLLLPFTQSTDVKYCSQTDEYAVKVQGVEILPDPVVRGKPATFNISASTGQAISSGKVVIEVYYFGVRVHTETHDLCEKLSCPVSAGNFVLSHTQTLPGITPPGSYNLKMTIKDDHNQKLSCISFNFKIVFGSLVSAS >ONI03497 pep chromosome:Prunus_persica_NCBIv2:G6:25106078:25107596:-1 gene:PRUPE_6G260500 transcript:ONI03497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWPDTVPPYKVSEDTSRFKYSCAYGSRSAVRIARIVRELRVGVAKHDDTVFFTYSLLAVLAKYDHNQMYYIGGTPESVDHYGGGGFAVSYPLAAELVLDGCIDRYDQLYGSDQRIQCHSTTWTMCSPYFQNDPRIDSVKKLIGVSNMDPDRTLQLITVRKPEAALQTLQMWRSKVVPTRSVISDSFESSGEDVTARTYKRHKSIAEINCGKCHCRPALAGKVFNVTAAKLSPELWDKAPRRQCCKIINGTQGGGVVDSVVQVQIRECNRFESVTPP >ONI01929 pep chromosome:Prunus_persica_NCBIv2:G6:17162703:17163237:1 gene:PRUPE_6G167900 transcript:ONI01929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPLKTDVYEMDVWFWECRIFLDPWFDHMSLMQLWLLPDSRRLVSSGVFTNSCSSGCHGGAHLGGLFGNGNDDDS >ONI05148 pep chromosome:Prunus_persica_NCBIv2:G6:30349522:30355332:1 gene:PRUPE_6G358700 transcript:ONI05148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVRKDLVPGGPGSVKMIPVDSDDLWYAYNLIAPGDIVMAVTVRKVLRESASGGRDAERVKLKLEIKVEEVADYDKVGAVLRIRGKNILENEYVKIGAFHTLELELQRPFVLRKDVWDSLALHELNQASDPAASADLAVILMQEGLAHVLLVGKSMTITRSRIETSIPRKHGPAIAGYESALSKFFENVLQAFLKYVDFSVVRCAVIASPGFTKDQFHRHLLLEAERRQLRSIIENKSRIILVHTSSGYKHSLREVLDAPNVMNMIKDTQAAQEVRVLQDFFGMLSNDPDRACYGPKHVEVAHERMAIQTLLITDELFRNADVVARQKYANLVKSVKDSGGTVHIFSSLHVSGEQLAQITGIAAILRFPLPDLDDIEM >ONI05149 pep chromosome:Prunus_persica_NCBIv2:G6:30349777:30355332:1 gene:PRUPE_6G358700 transcript:ONI05149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVRKDLVPGGPGSVKMIPVDSDDLWYAYNLIAPGDIVMAVTVRKVLRESASGGRDAERVKLKLEIKVEEVADYDKVGAVLRIRGKNILENEYVKDVWDSLALHELNQASDPAASADLAVILMQEGLAHVLLVGKSMTITRSRIETSIPRKHGPAIAGYESALSKFFENVLQAFLKYVDFSVVRCAVIASPGFTKDQFHRHLLLEAERRQLRSIIENKSRIILVHTSSGYKHSLREVLDAPNVMNMIKDTQAAQEVRVLQDFFGMLSNDPDRACYGPKHVEVAHERMAIQTLLITDELFRNADVVARQKYANLVKSVKDSGGTVHIFSSLHVSGEQLAQITGIAAILRFPLPDLDDIEM >ONH99202 pep chromosome:Prunus_persica_NCBIv2:G6:1293883:1300210:-1 gene:PRUPE_6G017800 transcript:ONH99202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILSHFSSKASLSLLPLPRLSLSTALYFRRRPSKFQFCPSKHFQFPRLPLLVCNLSSGTSQQVPMDSPPSEATLSVDSLTHDLQNQKLTSDGHDQMNNKSENNGVNNTHAVRLKLEDLNWDNSFVRELPGDPRTDTIPREVLHACYTKVSPSAEVENPQLVAWSESVSKLLELDPEEFGRPDFPLLFSGASPLVGSLPYAQCYGGHQFGMWAGQLGDGRAITLGEVLNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHNLGIPTTRALCLVTTGKLVTRDMFYDGNPKEEPGAIVCRVSPSFLRFGSYQIHASREKEDLAIVRTLADYAIRHHFPHIENMSRSESLSFNTGNEEHSIVDLTSNKYAAWAVEVAERTASLVAKWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNIAQFTRTLSAAQLIDDKEANYAMERYGTRFMDDYQAIMTKKLGLPKYIKQLISKLLNNMAVDKVDYTNFFRLLSNIKADPSIPEEELLNPLKAVLLDIGQERKEAWISWVKIYIEELAASGIPDEERKASMNAVNPKYILRNYLCQSAIDAAEQGDFGEVQRVLNVMERPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >ONH99203 pep chromosome:Prunus_persica_NCBIv2:G6:1294611:1299218:-1 gene:PRUPE_6G017800 transcript:ONH99203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILSHFSSKASLSLLPLPRLSLSTALYFRRRPSKFQFCPSKHFQFPRLPLLVCNLSSGTSQQVPMDSPPSEATLSVDSLTHDLQNQKLTSDGHDQMNNKSENNGVNNTHAVRLKLEDLNWDNSFVRELPGDPRTDTIPREVLHACYTKVSPSAEVENPQLVAWSESVSKLLELDPEEFGRPDFPLLFSGASPLVGSLPYAQCYGGHQFGMWAGQLGDGRAITLGEVLNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHNLGIPTTRALCLVTTGKLVTRDMFYDGNPKEEPGAIVCRVSPSFLRFGSYQIHASREKEDLAIVRTLADYAIRHHFPHIENMSRSESLSFNTGNEEHSIVDLTSNKYAAWAVEVAERTASLVAKWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNIAQFTRTLSAAQLIDDKEANYAMERYGTRFMDDYQAIMTKKLGLPKYIKQLISKLLNNMAVDKVDYTNFFRLLSNIKADPSIPEEELLNPLKAVLLDIGQERKEAWISWVKIYIEELAASGIPDEERKASMNAVNPKYILRNYLCQSAIDAAEQGDFGEVQRVLNVMERPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >ONH99293 pep chromosome:Prunus_persica_NCBIv2:G6:1889040:1889234:1 gene:PRUPE_6G023500 transcript:ONH99293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFSNCKAMFLMVIAMVLVSVTAQDTAPAPSPALDAGAGTLVTISSLYIYSPVLFSLFALLRH >ONI01348 pep chromosome:Prunus_persica_NCBIv2:G6:10706305:10710577:-1 gene:PRUPE_6G134900 transcript:ONI01348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQFRSLLMCVLLLLLGFALANTNAARTDSPVVCATLNRTNFDTLFPGFTFGTATAAYQLEGAANIDGRGPSVWDAFTHNHPEKITDGSNGDVAIDQYHRYKEDVAIMKDMGLDAYRFSISWSRLLPNGTLSGGINKKGIEYYNNLTNELIRNGIEPLVTLLHWDVPQALDEEYGGVLSPRIVDDFKAYAELCYKEFGDRVKYWTTLNEPYTISNHGYTIGIHAPGRCSSWYDPTCLGGNSSTEPYLVTHNLLLAHAAAVKLYREKYQASQEGVIGITVVSHWYEPASESQKDINASVRALDFMYGWFMDPLTRGDYPQSMRYLVKERLPNFTEEQSKSLIGSYDYIGVNYYSARYASAYPENYSITTTPSYLTDAYVNITTELNGVPIGPQAASNWLYVYPKGLYDLVLYTKKKYNNPIMYITENGMDEFNNPKVSLERALDDSNRILYYYRHLCYLQEAILEGANVQGYFAWSLLDNFEWSEGYTVRFGINYVDYDNGLKRHSKVSTHWFKSFLKGSSISKEKIRRRGNNNATAHKFVYQI >ONI01349 pep chromosome:Prunus_persica_NCBIv2:G6:10706305:10710237:-1 gene:PRUPE_6G134900 transcript:ONI01349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDMGLDAYRFSISWSRLLPNGTLSGGINKKGIEYYNNLTNELIRNGIEPLVTLLHWDVPQALDEEYGGVLSPRIVDDFKAYAELCYKEFGDRVKYWTTLNEPYTISNHGYTIGIHAPGRCSSWYDPTCLGGNSSTEPYLVTHNLLLAHAAAVKLYREKYQASQEGVIGITVVSHWYEPASESQKDINASVRALDFMYGWFMDPLTRGDYPQSMRYLVKERLPNFTEEQSKSLIGSYDYIGVNYYSARYASAYPENYSITTTPSYLTDAYVNITTELNGVPIGPQAASNWLYVYPKGLYDLVLYTKKKYNNPIMYITENGMDEFNNPKVSLERALDDSNRILYYYRHLCYLQEAILEGANVQGYFAWSLLDNFEWSEGYTVRFGINYVDYDNGLKRHSKVSTHWFKSFLKGSSISKEKIRRRGNNNATAHKFVYQI >ONI05072 pep chromosome:Prunus_persica_NCBIv2:G6:30169822:30170769:1 gene:PRUPE_6G355100 transcript:ONI05072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQVVIKKRKMVSTKTNYNYIDEKAAAARNYLEKIKKRGFESKKRKHGDDYDDKDEGSKLMMKKKKKQNKEKAKKAVSKVSALPSKPFTPPHAPPIELRNMIESMQGHHLQFLTYKILHDTDLNPHHDRLSMPKNQLFDKKFLGKELKEKVKGGGLLEVKVIDPCLTAYELRMKRWVFKTSYSYVLNSGWSKIFTDKTNNLKAKDMLEVWTFRVSDPNLVDQERICFALVKHKDVKYPQEDIGSSSSMSKKEEGKEDVNGGTDSMEGVGSSMSKKEDVNGSTAHDEANESSMTEKFGARKHITIKFRNITFAKN >ONI03514 pep chromosome:Prunus_persica_NCBIv2:G6:25232228:25233885:1 gene:PRUPE_6G262100 transcript:ONI03514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSMLRRHTYSALALASRAVGSARTFHGAISTVPTFSGDHSPRSSFPTRRFSTATATATATEVIGNESLNGGLESAIKCAQERKIKIPEVPDEFPFEIIDIPGEKTILLKRQFQGETIQVGVDAPHVFPADEDSDEYENDDPGIPMVVSISKENGMQLEFGVTGFEEEVSIDSLSIVHPDHSPEQFPYDGPEFHELDEGLQNAFYKYLEPRGIQCSMTVFLTDYMRKKDNKEYLLWLKNLKGYIEQ >ONH99104 pep chromosome:Prunus_persica_NCBIv2:G6:860644:865210:1 gene:PRUPE_6G011900 transcript:ONH99104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRRGVRRGRARVAHKPSDLPPPSRRTRATVAREAAEAVVRPRTRLAVRKLKEEEKQEQEPEPEPEQEDRVIVISEKDSDSEGKKGKEIVEEDKKAVMADDSGGLSANKAAGQEEEGSTAPFPEKVQVGGSPLYKVERKLGKGGFGQVFVGRRVTGGVDRTSGPGAIEVALKFEHRNSKGCTYGPPYEWQVYNTLGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNTSGQAMSAEMVACIAVESLSILEKMHSRGYVHGDVKPENFLLGQPSTAQEKKLFLVDLGLATKWKDTNGGHVDYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPPLRQFLEVVVNMKFDEEPNYSKLISLFESLIGSNPAVRPIKIDGAQKIISQVGQKRGRLNIEEDDDGQPRKKVRLGVPATQWISVYNARMPMKQRYHYNVADARLAQHVERGIQDGLLISCVSSCSNLWALIMDAGTGFTNQVYELSPFFLHKEWIMEQWEKNYYISSIAGANNGSSLVVMSKGTLL >ONH99103 pep chromosome:Prunus_persica_NCBIv2:G6:860681:866856:1 gene:PRUPE_6G011900 transcript:ONH99103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRRGVRRGRARVAHKPSDLPPPSRRTRATVAREAAEAVVRPRTRLAVRKLKEEEKQEQEPEPEPEQEDRVIVISEKDSDSEGKKGKEIVEEDKKAVMADDSGGLSANKAAGQEEEGSTAPFPEKVQVGGSPLYKVERKLGKGGFGQVFVGRRVTGGVDRTSGPGAIEVALKFEHRNSKGCTYGPPYEWQVYNTLGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNTSGQAYVHGDVKPENFLLGQPSTAQEKKLFLVDLGLATKWKDTNGGHVDYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPPLRQFLEVVVNMKFDEEPNYSKLISLFESLIGSNPAVRPIKIDGAQKIISQVGQKRGRLNIEEDDDGQPRKKVRLGVPATQWISVYNARMPMKQRYHYNVADARLAQHVERGIQDGLLISCVSSCSNLWALIMDAGTGFTNQVYELSPFFLHKEWIMEQWEKNYYISSIAGANNGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGFRITSTAATWDQAALILSVPKRKPGDETQETLRTSQFPSTHVKEKWAKNLYLACLCYGRTVS >ONH99102 pep chromosome:Prunus_persica_NCBIv2:G6:860644:866864:1 gene:PRUPE_6G011900 transcript:ONH99102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRRGVRRGRARVAHKPSDLPPPSRRTRATVAREAAEAVVRPRTRLAVRKLKEEEKQEQEPEPEPEQEDRVIVISEKDSDSEGKKGKEIVEEDKKAVMADDSGGLSANKAAGQEEEGSTAPFPEKVQVGGSPLYKVERKLGKGGFGQVFVGRRVTGGVDRTSGPGAIEVALKFEHRNSKGCTYGPPYEWQVYNTLGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNTSGQAMSAEMVACIAVESLSILEKMHSRGYVHGDVKPENFLLGQPSTAQEKKLFLVDLGLATKWKDTNGGHVDYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPPLRQFLEVVVNMKFDEEPNYSKLISLFESLIGSNPAVRPIKIDGAQKIISQVGQKRGRLNIEEDDDGQPRKKVRLGVPATQWISVYNARMPMKQRYHYNVADARLAQHVERGIQDGLLISCVSSCSNLWALIMDAGTGFTNQVYELSPFFLHKEWIMEQWEKNYYISSIAGANNGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGFRITSTAATWDQAALILSVPKRKPGDETQETLRTSQFPSTHVKEKWAKNLYLACLCYGRTVS >ONI03081 pep chromosome:Prunus_persica_NCBIv2:G6:23757870:23759831:1 gene:PRUPE_6G237200 transcript:ONI03081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRGQGGIQQLLAAEQEAQHIVNAARSAKMARLKQAKDEAAKEIAEYRAQMELEFQKKVAASSGDSGANVKRLELETEQKIKHLSAEAARISSDVVQMLLKQVTTVKN >ONI03080 pep chromosome:Prunus_persica_NCBIv2:G6:23757991:23759756:1 gene:PRUPE_6G237200 transcript:ONI03080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRGQGGIQQLLAAEQEAQHIVNAARSAKMARLKQAKDEAAKEIAEYRAQMELEFQKKVAAQSSGDSGANVKRLELETEQKIKHLSAEAARISSDVVQMLLKQVTTVKN >ONH99527 pep chromosome:Prunus_persica_NCBIv2:G6:2622550:2623190:1 gene:PRUPE_6G034700 transcript:ONH99527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIRSAVGKLGSKYSSRCHSNGRIVANMVRVGDFQIRSAVVNNYNIDRDVLFSTSKPPSSYGYSSRHPFLFGNYHKKPPPVLHLQYDSDFEEPCGGFTPCPCFIDDPDTISPTRFAVKEYNKQKV >ONI01884 pep chromosome:Prunus_persica_NCBIv2:G6:16193333:16194009:1 gene:PRUPE_6G164800 transcript:ONI01884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESENTQQVNGKGKSKQDYNAWTVEESRMLLQLMVDATSHGWRDANGMLSKATVETKLLPKINEKLRCHKTYSQYQSRLKYFKREYQKYSQLLHPTTKKFTAPEEVWKDYFKSHSKDTSIQTKTCEDYEDLQIVIGNATAIGRNSLGLDDDTDGRTFRAEDRYVGIEDFVFDDESQEDEEIYETI >ONI00288 pep chromosome:Prunus_persica_NCBIv2:G6:5478885:5479883:1 gene:PRUPE_6G080600 transcript:ONI00288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSYHNSLTILLTTFLILCSLAHATSPAPFLTLVNNCPFPLWPGIQPNSGHPVLERGGFYLPALSHRSFPTPTQPWSGRIWARTHCTQTQNHFSCLTGDCGGRLECNGAGGAAPATLAQVSLHHGPNDLFSYGVSLVDGFNVPLTITPHEGHGVCPVVGCKADLLATCPERLRVTSHPGVVACKSACEAFRTDELCCRNHYNSPQTCRASSYSEFFKRACPATFTFAHDSPTLMHQCSSPHELKVIFCH >ONI03922 pep chromosome:Prunus_persica_NCBIv2:G6:26880058:26884432:-1 gene:PRUPE_6G291500 transcript:ONI03922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTRLGRTCADGGKPQLPAYVETDPSGRYGRFREMLGKGAMKTVYKAFDEVLGMEVAWNQVKLKDVFGSPDELQRLYSEVHLLKNLNHDSIIQYYMSWIDANRRTFNFITEMFTSGTLREYRQKYQRVNIGAVKNWARQILRGLAYLHKLDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRGSHHAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPNAFYKIEDLEAQRFVGKCLENASKRLPAHELLLDPFLASDDRELLSTPRIPFQNLMPNDDSAVVEVEEEEEEEEEEEEEELPLDLGVDLKRSTNMIITGKMNPEDDTIFLKVQISDRDGQNARNIYFPFDIVNDTAIAVATEMVKELEISDWEPSEIAEMIEKEISSLIPGHKKWGTPQDYHAHRHSFNYEDEDDDHDHGHHPFYSFSSCSSSQNSLHAFSSHCKTQFDCGENISNDQDWLQGDLFINDDASSQSSFSSCNHSNINYFSGTEDDHHDLISCFGKSHKSRFCPSESRAANCYKHCNSQLHHQRPHELNHPLCHHQRKLPRIQSLIDVRSQLLHRSLVEEINKRRLFKTVGAVENIGFQTPGS >ONI03668 pep chromosome:Prunus_persica_NCBIv2:G6:25871078:25872658:1 gene:PRUPE_6G273800 transcript:ONI03668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSKQKPEESLDDDTQTQKDEWKKTLIGKLGNVHQREYLSHYGRGRKGKIRGEYCIYRVPDKLRQVKEDAYRPGVVSIGPLHQDNQNLAPMVQYKWSYLLSFLDQQAIDASEEHSRNCATTRLEECINAIHGLDEVIRQCYTEKITYTEYELAEIMLLDGCFILELFLRFDRNLNYMKQQDLNYDQVLRSAWMTEALQHDLALLENQIPFFILELLYDTIKPHIIPTCKAPDLVAALALNFFQPLSQKKFINEEPEGTGFKHLLDLLHKFYFHPPGHLSIPVGSISENERRPGILQKIVPCLVSKTKRERQTPRLPSHRQRNPASDEKWGFKYCATDLLESGIELQVGSSTQGYLLEISFKAGVVIIPQVRIYETTSSLLRNLIAYEQCSLSSMHSVTSYAFLLKSLIGSSRDINLLRTRNIIEQNIRTGDKEYLAQFESILDQVAMKDDYCFGDLFDQVNEYRMSWYSLSRLRVFFRVQFKRQKRILCTTYFSTTWKVISLMAGIFLLLLTSLQTYYTVKPRG >ONI04448 pep chromosome:Prunus_persica_NCBIv2:G6:28433923:28434626:1 gene:PRUPE_6G321900 transcript:ONI04448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMDFSHVIFAVIGFSSSIFFFVPNIKKWHMQQVTTEKLRIVNEALEQAEERAARFQERHDRILSQICSFYLINKELEDALAGARATMREALEFAANLRRLQMKIITSFPSDQLIVMAAESG >ONI02211 pep chromosome:Prunus_persica_NCBIv2:G6:19171716:19173121:-1 gene:PRUPE_6G183900 transcript:ONI02211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEASPWKNPIMKCLDAFKRKHGPLLVWTVAPLLLLWQSIMILQKAEIKINKMVVGRVFVPNKTLVSSPKFPTGCFPLPSSQQTRRRALLSQVKMCALSDPLHSTPHHLSHITKYLEFQAMK >ONH99681 pep chromosome:Prunus_persica_NCBIv2:G6:3152964:3155135:1 gene:PRUPE_6G043000 transcript:ONH99681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKRPHRLRFMISKPCLFFLLSISALFTTINSNTCPDSRCALKAKDGTYFQEFGKQSGNYMEGRIDMRAQRLNIPLSMARRYLSGPGSSPPRCTSKCGRCTPCKPVHVPVPPGTPVTTEYYPEAWRCKCGNRLYMP >ONI02924 pep chromosome:Prunus_persica_NCBIv2:G6:23289950:23291908:1 gene:PRUPE_6G229500 transcript:ONI02924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAISRMVKMLFARKEMRILMVGLDAAGKTTILYKLKLGEVVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVSEARDELHRMLSEDELREATLLVFANKQDLPNAMSVSEITDKLGLHSLRQRRWYIQAACATSGQGLYEGLDWLSSNISSKAR >ONI02923 pep chromosome:Prunus_persica_NCBIv2:G6:23290354:23291928:1 gene:PRUPE_6G229500 transcript:ONI02923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAISRMVKMLFARKEMRILMVGLDAAGKTTILYKLKLGEVVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVSEARDELHRMLSEDELREATLLVFANKQDLPNAMSVSEITDKLGLHSLRQRRWYIQAACATSGQGLYEGLDWLSSNISSKAR >ONI03472 pep chromosome:Prunus_persica_NCBIv2:G6:25042942:25044308:-1 gene:PRUPE_6G259000 transcript:ONI03472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSFLFPLLFLLAPISITNGAQLILVNNCNESIWPGILGTAGLATPQSGGFHLGSGQELVLDVPEKWSGRIWGRQGCQFDNDGKGNCETGDCFGQLHCQGKGGVPPATVVEMTLGSSTSPLHFYDVSLVDGFNLPVSMKPVGGGIGCGVASCEVDLNVCCPSALEVRRGGRVVGCKSACLAMQSAKYCCTGSYANPNTCKPTLFAHLFKAICPKAYSYAFDDSSSLNKCRASRYVITFCPAQ >ONI02192 pep chromosome:Prunus_persica_NCBIv2:G6:18940520:18941424:-1 gene:PRUPE_6G182500 transcript:ONI02192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERGQPAAAADDDANEDPPLSEPQTQIVPLAPPPFPGAATYVIQIPKDQIYRVPPPENALIVERHRKPEKQKQKSLCCGARCLVIGAILFFICLVIGITLLALSLTKKPKEPTFSITHVHVKNPKSKSSSGKNSHPGYEVSMKVKNPNEHGIDYANSGGASLIYKEKTLGKGKFPLKNQGGDDSTAVKLVLDGSKGPLPRDVKKSMEDTDSEVRVSLALKMDLSVKVKGFIKTWNMDTEVECHFKVSTLGKGTRVLDQKCEAEFKG >ONI02072 pep chromosome:Prunus_persica_NCBIv2:G6:18013547:18016450:1 gene:PRUPE_6G175900 transcript:ONI02072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGVRKGAWTKEEDDLLRLFIQKHGDGKWNQVSLHSGLKRCRKSCRLRWLNYLKPNIKRGHFAEDEIDLILRLHKLLGNRWSLIAGRLPGRTANDVKNFWNTRLGRKMASGVVEKVKEQSPETKKDIAVRPQPRRLSKTSHYLSGHKTVTSHHNQPEENFSKLPILPSSPIEDEVDWWETLLEDEDNVERTKCSCLVLEEDHFTNCCVEDLAPWTRTDFRYLEDCPCWIGSSDMDLWNLST >ONI03572 pep chromosome:Prunus_persica_NCBIv2:G6:25434304:25435024:1 gene:PRUPE_6G266100 transcript:ONI03572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQTSSPSKRHALGETTQSTSLVSFQFDISPLLLFGLEGPYGIEIATTSRSKNERLKLKSSRQVQPLNFTIG >ONI02213 pep chromosome:Prunus_persica_NCBIv2:G6:19241128:19242140:1 gene:PRUPE_6G184100 transcript:ONI02213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNGNGYGFYNSSYGENPDQVYAIGLCRGDVTVDNCRGCLSNATQRLTQDCPNQKEAFGVIEQCTLRYSNRSIYGAMETFPALMWYNPQNVTSDVDGFFQELKTLLEDLRGQAAGNGSLRKFAAETATAINFQTIYGLAQCTPDLTEQVCSDCLGSSLADIPKYFQGMQGAVISKPSCNVSMRPIASLTLQLSDHCRLLRRHCLVLLPCQPVQEDRRVTDLRLSSLLLCQLLFMLYSSAFV >ONI04040 pep chromosome:Prunus_persica_NCBIv2:G6:27214242:27217373:1 gene:PRUPE_6G298400 transcript:ONI04040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSQRFLEELRQSIVDSNEDSGMVGDHSVQSATCALCQRTFSPENVATGDLETISMCGDCKFLYLEDHGGPTHDSYQGTPSTRRRARNSSSESLDNIFSQQFSHMINLMRQNQSPGSGLEDFPADGDPAARVSQRTSSRTTPSGSRRWRRVLSDTESDGYDNGDSLYGENESNLSFGRYRAFHGESDAISFSAYGGDSDASVDLHGFLDTETIIQPDDGSAFDSDTDIDPMHAGLDQWNSDDTEEEEEEEDDDDDDDERDEEDDEWEEADAEINIVESREAPGRLRNLLVSSPGGSNGLVNWRQQVYSPESEGMIRWRMRGRSQIYTRNIFSNTEESELLPYVGNSGDYLDAGGFEELLEHLAEADSLRRGAPPASVSFVKSLPRVAIHKEHEKHDDLACAICKDVLTIGTEVNQLPCSHLYHPSCILPWLSARNTCPLCRYELPTDDRDYEEGKRNISGRVEIRNVQQQNASEDSSSVAFNRAEEDEEFAFSERRMEQRFLSDRGAATTNGSGGENSRGRWFLLAAAPIVSLVGIVLVLWLGSPLMERRGLAGNHNFAGQARRPTHVTGPSPNPRENRSRRWWSLF >ONI04750 pep chromosome:Prunus_persica_NCBIv2:G6:29287933:29289329:-1 gene:PRUPE_6G337800 transcript:ONI04750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKLSVEAEAIFREGVVLVLSRWSALQLAVDNEWGGRDSRRKSEQLAADVFSWFTQSKEVLYIDDLEDILNEAMLSLNTVTEDGSIEEVAEKLMFMNEECLNGDFKSVESLREANHRRVALPHVKQKISIVDRYELVHHITINF >ONI04748 pep chromosome:Prunus_persica_NCBIv2:G6:29287049:29289329:-1 gene:PRUPE_6G337800 transcript:ONI04748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKLSVEAEAIFREGVVLVLSRWSALQLAVDNEWGGRDSRRKSEQLAADVFSWFTQSKEVLYIDDLEDILNEAMLSLNTVTEDGSIEEVAEKLMFMNEECLNGDFKSVESLREANHRRVALPHVKQVNDDDDDDDNDNNERDDTLENDGPSNMMVDTPESQSNSNPVDMTDNKPTPKPAKTEDGWEVVGPRRSKGKRN >ONI04749 pep chromosome:Prunus_persica_NCBIv2:G6:29287060:29289329:-1 gene:PRUPE_6G337800 transcript:ONI04749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKLSVEAEAIFREGVVLVLSRWSALQLAVDNEWGGRDSRRKSEQLAADVFSWFTQSKEVLYIDDLEDILNEAMLSLNTVTEDGSIEEVAEKLMFMNEECLNGDFKSVESLREANHRRVALPHVKQVSE >ONI01815 pep chromosome:Prunus_persica_NCBIv2:G6:14917473:14922106:-1 gene:PRUPE_6G160800 transcript:ONI01815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSRFLLSITLLLVHHSSICTVGATQTNITADQSALLALKSHITSDPHNILVNWSTTTSVCNWVGITCGARHLRVASLNLSYMSFTGTIPPHLGNLSFLVALSFKNNSFHGSLPHELSYLCHLKLISFRFNNFMGSIPSWFGSFPKLQSFDLYGNQFSGTIPSTIFNLSTLQYINLSANKLSEIPNEISNLDHVEELYVQYNALKGPVPVVVFNMSSLTTLNLYGNNLSGGLPDNICQHLPSLQILNFGRNHFDGPLPSKLWQCRKLLFLNLEENNFSGSIPKNIGNLTMMKEISLSINNLTVLSLSQNQLSGSLPANLGLGLPNLQILHLSEAGLSGVIPNFSNASMLTRLELGQNSFTGFIPGTLCALTNLQWLKLDNNNLTIDTSTPEANTLSCLVNLRSLTILALAANPLNARLDDSFRNCSTSSLQYIYLYYCNMRGDIPIGIGNLSSLVMLSMRGNQLSGSIPASLRRLGNLQALFLTDNKLRGYIPYQLCQLDNLAYLFLSSNQLYGSIPSCLGNLTASLRYLSLASNSLSSTIPSTFWRLAYILYVNLSSNYLIGHLSQDIGNLKVVTEVDLSNNNLSGILPSTIGGLRDLVNLPLANNNLEGHIPSSFDGLLSLQLLDLSRNNLSGVIPKSLEALLLLKYMDVSFNRLQGEIPTGGPFQNFSAQSFVSNKALCGAARLQVPPCKNGTLEPNWRKAKYIIPGIISVILCGLCIYLCTTKRNVEVAEEATSLPQLLWRRVSHVELLRGTNGFNENNLLGSGGFGSVYKGTLSDGIDVAVKVFSLQIEGVFKSFDRECEMLSNIRHRNLIKIISCCSEIDFKALVLDYMPNGSLEKWLYSQNSLNILQRLNVMIDAASALEYLHHGYSIPIVHCDMKPSNILLDDDMVAHVADFGIAKLLDGGDSITQTMTLATIGYMAPEYGLEGMVSTRGDVYSFGILVMETFTRRKPTDEMFGGEMNIKQWIAKSLVLPDAKIDEVVDANLLGIGTEQEDDDHVRKRDCMSAIMRLALTCCAESPEGRISMKEVVATLNKIKTKFLKDAAAGRRGVLLNRPLVQQRFN >ONI02916 pep chromosome:Prunus_persica_NCBIv2:G6:23259453:23260448:-1 gene:PRUPE_6G229000 transcript:ONI02916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRKDMDRIKGPWSPEEDDALQRLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDDTIIRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSDIGVVFPGGGVGGSGGYDGHFLHDHEQPPLKRSVSAGSGMPVSTGLYMSPGSPSGSDMSDSSIVPVMSLSECHVYRPVARSGGVLPLSETTSSSNDNNSNNEKQQEPTTSLSLSLPGVDSGEVSNRVAATESTQAPVPIPIPIPIPIQNPVPDPAPARAPFQNIPVELLAVMQGMIRKEVRSYMAGLEQSGICMQQAASNDGFRNVAVKRIGVSRIE >ONI04054 pep chromosome:Prunus_persica_NCBIv2:G6:27246114:27247515:-1 gene:PRUPE_6G299500 transcript:ONI04054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASSSKEEERSFKAAGGGGGGDPRRQSRKCRTICLAVTAVVLVATVVLVILCFTVFKAREPEMTINSVVLKDLDVALNIPRLSVDVNLTLDVDLSVKNPNKAGFKYKSGSALLNYRGELVGQGRIGSGEISADHTKPMNVTLTIMADRFLEKSELFSDVVAGTLPFTTLTKVSGKVVIFGIFKFHVISTSSCDVSINVSNKTVGEQKCTRKTKL >ONI01315 pep chromosome:Prunus_persica_NCBIv2:G6:10383726:10387381:1 gene:PRUPE_6G131900 transcript:ONI01315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMAARFVTRRLSSSGKVLSEEERAAENVYIKKTEQEKLQKLARKGPKPEENPTASSEGSISDAKPSGSTSGESTAKVSTDKYRNYAVVAGVVTVGAAAGWYLKSSGKKQEVQD >ONI01314 pep chromosome:Prunus_persica_NCBIv2:G6:10383391:10387421:1 gene:PRUPE_6G131900 transcript:ONI01314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMAARFVTRRLSSSGKVLSEEERAAENVYIKKTEQEKLQKLARKGPKPEENPTASSEGSISDAKPSGSTSGESTAKVSTDKYRNYAVVAGVVTVGAAAGWYLKSSGKKQEVQD >ONI01313 pep chromosome:Prunus_persica_NCBIv2:G6:10383391:10387395:1 gene:PRUPE_6G131900 transcript:ONI01313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMAARFVTRRLSSSGKVLSEEERAAENVYIKKTEQEKLQKLARKGPKPEENPTASSEGSISDAKPSGSTSGESTAKVSTDKYRNYAVVAGVVTVGAAAGWYLKSSGKKQEVQD >ONI03158 pep chromosome:Prunus_persica_NCBIv2:G6:24023242:24029781:-1 gene:PRUPE_6G241900 transcript:ONI03158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHLTSCCPIKDSFSLQITLTLTDSLTYPPSTTVLSFLFFLLFYFICILLSIIRVCLLGFHFVPFYFIFNLSQWCLPLQLYLSVAHARTHFAFSSQPFLWSPPLSSSSFHTAMEISLLLFSLLLLIACSSAQMPGFVSLDCGGEGVFTDDLGLEWTPDQLNYGETSFISVANDKRKQYSTLRHFPADSRKYCYTMNVTSRTRFLLRATFLYGNFDDNNVYPKFDISLGATHWSTIVISDATTIEMRELIFLATSPTASVCLSNATTGQPFISTLELRQFNGSVYYTDFEQQFYLSVSARINFGADDEAPIRYPDDPFDRIWLSDSVKKANFLVDIADGTKKVSTKLPIDVNKDERPPEKVMQTAVVGTNGSLTYRLNLDGFPGFGWAVTYFAEIEDLAPDDSRKFRLVLPGNPELSKPIVNIEENAQGKYRLYEPGYTNLSLPFVLSFRFGKTSDSSKGPLLNAMEINKYLEKNDGSQDGAVISSFASHYSSADWAHEGGDPCLPVPWSWVECNSDPQPRVVKIKLSSKNLTGDIPSDLTKLSGLVELWLDGNSLTGPIPDFTGFVDLKIIHLENNQLTGGLPSSLTNLPSLKELYVQNNMLSGTVPLGLLNKVVLNYTGNVNLRKGKTSGSRVNIIIGTSVGAAVLVIVTIVSCVLLRKGKKKYYDQDHQLGRPLPRQGLLSSKSDSAPTEAAHCFSYSEIEEATRNFEKKIGSGGFGVVYYGRMKDEREIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQFLGYCQEDGRSMLVYEFMHNGTLKEHLYGPLTREQSINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKSSNILIDNHMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELISGKVTHRER >ONI03157 pep chromosome:Prunus_persica_NCBIv2:G6:24023003:24029849:-1 gene:PRUPE_6G241900 transcript:ONI03157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHLTSCCPIKDSFSLQITLTLTDSLTYPPSTTVLSFLFFLLFYFICILLSIIRVCLLGFHFVPFYFIFNLSQWCLPLQLYLSVAHARTHFAFSSQPFLWSPPLSSSSFHTAMEISLLLFSLLLLIACSSAQMPGFVSLDCGGEGVFTDDLGLEWTPDQLNYGETSFISVANDKRKQYSTLRHFPADSRKYCYTMNVTSRTRFLLRATFLYGNFDDNNVYPKFDISLGATHWSTIVISDATTIEMRELIFLATSPTASVCLSNATTGQPFISTLELRQFNGSVYYTDFEQQFYLSVSARINFGADDEAPIRYPDDPFDRIWLSDSVKKANFLVDIADGTKKVSTKLPIDVNKDERPPEKVMQTAVVGTNGSLTYRLNLDGFPGFGWAVTYFAEIEDLAPDDSRKFRLVLPGNPELSKPIVNIEENAQGKYRLYEPGYTNLSLPFVLSFRFGKTSDSSKGPLLNAMEINKYLEKNDGSQDGAVISSFASHYSSADWAHEGGDPCLPVPWSWVECNSDPQPRVVKIKLSSKNLTGDIPSDLTKLSGLVELWLDGNSLTGPIPDFTGFVDLKIIHLENNQLTGGLPSSLTNLPSLKELYVQNNMLSGTVPLGLLNKVVLNYTGNVNLRKGKTSGSRVNIIIGTSVGAAVLVIVTIVSCVLLRKGKKKYYDQDHQLGRPLPRQGLLSSKSDSAPTEAAHCFSYSEIEEATRNFEKKIGSGGFGVVYYGRMKDEREIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQFLGYCQEDGRSMLVYEFMHNGTLKEHLYGPLTREQSINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKSSNILIDNHMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELISGQEAISNENFGVNCRNIVQWAKLHIESGDIQGIIDPSLHGEYDIQSMWKIAEKALMCVQAHGFMRPSISEVLKEIQDAISMERDAGAARDGTSDTSRNSIHSSLNLGSMDLGGTDNFLSIDESIARPTAR >ONI01796 pep chromosome:Prunus_persica_NCBIv2:G6:14544215:14554111:1 gene:PRUPE_6G159100 transcript:ONI01796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSRKRSSKWDLAEEPQFEDANVQDNGWMGKPETNDMQRSKHDLGLASREPLPGSRGSHKIESINKGSNRYMDDSMVWDGDGNCSTRMSPGLDEWRQHHSRSPKSGWSRSLRGRSRSWSRSRSRSQSWSRSPDRGYRRESVFLDRNRSRSGVSAQLCKDFTAGRCRRASDCQFLHEGSSNYDDSWDSRHRKGGASKYSPDTGDYPLKSGRSSVYCTDFAKGKCRRGSSCKFDHHRASDGYSKGSMNERENERRKRDASTERGADRVPHRSGDIPCKFFAAGNCRNKKYCRFSHHIQARASPERKSRDGRWGLSDAGPAWDGPRWSDTVALSDAAKLTADNNGNISVPELRPSAWSMDDNRWGHGLNNDNQKCADPSVTHEAAQRNEKDTHLWKEDNVGAHVGLPKSRDTEKWLGDMSPDWNYTIQSSNHVGKEEHSGNALGSEPSSQVHGAASIMQPMIAERSNFLQNKDMREDGAIPLPYDDRNPIEKSASSRNDLNVSANIMPRQSFDNSGQSSSAFPFSGLSTVRQGQTLIPTHPQGGIVKSPQDTLSSESKSVIKLDIGDAKTSLETSLVTGIPQVPNVVGDKELVQLTNLSASLAQFLGNHQQHSQFYAVLNSHNAPPPLAKSEGSSEQLSAAAIQPDPVISEKPYDPIQDSRELRNSNNPVFLLPNNAEKTRVDGKVDKPSNVVSPSSFPSGANGNDYLQAHNSVEPKQKNCQLSQLEPLAKSEIVKGNSEFGAQESKNVKEESKSPDIGPLEGTEKDGAEEGKKSKEVKGSRAFKFALVEFVKDLLKPTWKEGQINKDAYKTIVKKVVDKVTSTMQGANIPQTQEKIDHYLSFSKPKLTKLVQAYVEKTQKG >ONI01795 pep chromosome:Prunus_persica_NCBIv2:G6:14544219:14554111:1 gene:PRUPE_6G159100 transcript:ONI01795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSRKRSSKWDLAEEPQFEDANVQDNGWMGKPGRPFHHKESGREWLSSELAGSNGSKWSGLETNDMQRSKHDLGLASREPLPGSRGSHKIESINKGSNRYMDDSMVWDGDGNCSTRMSPGLDEWRQHHSRSPKSGWSRSLRGRSRSWSRSRSRSQSWSRSPDRGYRRESVFLDRNRSRSGVSAQLCKDFTAGRCRRASDCQFLHEGSSNYDDSWDSRHRKGGASKYSPDTGDYPLKSGRSSVYCTDFAKGKCRRGSSCKFDHHRASDGYSKGSMNERENERRKRDASTERGADRVPHRSGDIPCKFFAAGNCRNKKYCRFSHHIQARASPERKSRDGRWGLSDAGPAWDGPRWSDTVALSDAAKLTADNNGNISVPELRPSAWSMDDNRWGHGLNNDNQKCADPSVTHEAAQRNEKDTHLWKEDNVGAHVGLPKSRDTEKWLGDMSPDWNYTIQSSNHVGKEEHSGNALGSEPSSQVHGAASIMQPMIAERSNFLQNKDMREDGAIPLPYDDRNPIEKSASSRNDLNVSANIMPRQSFDNSGQSSSAFPFSGLSTVRQGQTLIPTHPQGGIVKSPQDTLSSESKSVIKLDIGDAKTSLETSLVTGIPQVPNVVGDKELVQLTNLSASLAQFLGNHQQHSQFYAVLNSHNAPPPLAKSEGSSEQLSAAAIQPDPVISEKPYDPIQDSRELRNSNNPVFLLPNNAEKTRVDGKVDKPSNVVSPSSFPSGANGNDYLQAHNSVEPKQKNCQLSQLEPLAKSEIVKGNSEFGAQESKNVKEESKSPDIGPLEGTEKDGAEEGKKSKEVKGSRAFKFALVEFVKDLLKPTWKEGQINKDAYKTIVKKVVDKVTSTMQGANIPQTQEKIDHYLSFSKPKLTKLVQAYVEKTQKG >ONI01794 pep chromosome:Prunus_persica_NCBIv2:G6:14544217:14554111:1 gene:PRUPE_6G159100 transcript:ONI01794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSRKRSSKWDLAEEPQFEDANVQDNGWMGKPGRPFHHKESGREWLSSELAGSNGSKWSGLETNDMQRSKHDLGLASREPLPGSRGSHKIESINKGSNRYMDDSMVWDGDGNCSTRMSPGLDEWRQHHSRSPKSGWSRSLRGRSRSWSRSRSRSQSWSRSPDRGYRRESVFLDRNRSRSGVSAQLCKDFTAGRCRRASDCQFLHEGSSNYDDSWDSRHRKGGASKYSPDTGDYPLKSGRSSVYCTDFAKGKCRRGSSCKFDHHRASDGYSKGSMNERENERRKRDASTERGADRVPHRSGDIPCKFFAAGNCRNKKYCRFSHHIQARASPERKSRDGRWGLSDAGPAWDGPRWSDTVALSDAAKLTADNNGNISVPELRPSAWSMDDNRWGHGLNNDNQKCADPSVTHEAAQRNEKDTHLWKEDNVGAHVGLPKSRDTEKWLGDMSPDWNYTIQSSNHVGKEEHSGNALGSEPSSQVHGAASIMQPMIAERSNFLQNKDMREDGAIPLPYDDRNPIEKSASSRNDLNVSANIMPRQSFDNSGQSSSAFPFSGLSTVRQGQTLIPTHPQGGIVKSPQDTLSSESKSVIKLDIGDAKTSLETSLVTGIPQVPNVVGDKELVQLTNLSASLAQFLGNHQQHSQFYAVLNSHNAPPPLAKSEGSSEQLSAAAIQPDPVISEKPYDPIQDSRELRNSNNPVFLLPNNAEKTRVDGKVDKPSNVVSPSSFPSGANGNDYLQAHNSVEPKQKNCQLSQLEPLAKSEIVKGNSEFGAQESKNVKEESKSPDIGPLEGTEKDGAEEGKKSKEVKGSRAFKFALVEFVKDLLKPTWKEGQINKDAYKTIVKKVVDKVTSTMQGANIPQTQEKIDHYLSFSKPKLTKLVQAYVEKTQKG >ONI03752 pep chromosome:Prunus_persica_NCBIv2:G6:26190835:26193267:1 gene:PRUPE_6G279900 transcript:ONI03752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIISRTVNHLRYVNRYLVRSRLPISSPPLAESLQNRHLPLLQQSLGIYRRCLLSTITNTAANEGNPESKTSENANSDHSGDGNKSGESHESNNAGKSVRGGPVSWLSFLLLVATGAGIVLYYDKEKRQHIEEIFKASKEVKQGPSVGKAAIGGPFNLINHDGKRVTEKDFLGKWTLLYFGFTHCPDICPDELQKLAAAVDKIKEKGGIEIVPLFISVDPERDTVEQVREYVKEFHPKLIGLTGSSDEIRSVARAYRVYYMKTQEEDSDYLVDHSIVMYLMSPKMEFVKFFGKNNDVDSLAEGITKEIKQYKKK >ONI04037 pep chromosome:Prunus_persica_NCBIv2:G6:27204338:27204699:1 gene:PRUPE_6G298100 transcript:ONI04037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGRYMHNWVEVAPPIMISHEKCSNSPKLETIVEEGSESFEILPKRVVFLLPVFLSFISYLILYRQIA >ONI03438 pep chromosome:Prunus_persica_NCBIv2:G6:24956645:24962642:1 gene:PRUPE_6G256900 transcript:ONI03438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQRRLDYGFNGYQVPEVPRASRSARGRVPIKKKLEANQIRAFEILASVAGKLLQESEHPLPTNDSCGKDAHFIFDDTTKSKQEKGNLSKEDPCERGSCDEQTLLCVGRMQECQQSYTLNGVSHSQENFSYEINRASKSFDHSESIYSNKIFSDSPLFGDSVEEKPRGVLKRKLETGPSKDMSIKDERTQILGNSEDIMKVEGMPPELVSCGHDVKTSFSGDCKNHDPLLCHCANIKVDSRDDDENCVRYAQPLSVVKEFEHPPDAGDEKINNLAASRHWRVAPERRIGGYSRNDGKIRQVYRHGRNCYTHQRSQKIYPFKKRKFFNRMPLATPDRGFNCESIFNSPDKRVNSDNYCAEIGPSSSTTGGQVPLKSSDCNVKLSIKSFKVPDLFIEIPATATVGSLKRTVMEAVTSILGDGLHVGILVRGKKVRDDNKTLLQTGISQDEKSRNLGFMLEPKRAKLTYSPRSKDPSLPSGRSRELTRHSASLVSQPGTSNVSPNPPVINFGGYVKRDLNAVPSLPSTSIEKTPQASQALVAVPPISMNALAVVPFHRKSGHPEYVQRRIRRPFSVPEVEALVQAVEKLGTGRWRDVKLRAFDGAKHRTYVDLKDKWKTLVHTARISAQQRRGEPVPQELLDRVLAAHACWSQRRAKQQVKAAV >ONI03439 pep chromosome:Prunus_persica_NCBIv2:G6:24956645:24962643:1 gene:PRUPE_6G256900 transcript:ONI03439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQRRLDYGFNGYQVPEVPRASRSARGRVPIKKKLEANQIRAFEILASVAGKLLQESEHPLPTNDSCGKDAHFIFDDTTKSKQEKGNLSKEDPCERGSCDEQTLLCVGRMQECQQSYTLNGVSHSQENFSYEINRASKSFDHSESIYSNKIFSDSPLFGDSVEEKPRGVLKRKLETGPSKDMSIKDERTQILGNSEDIMKVEGMPPELVSCGHDVKTSFSGDCKNHDPLLCHCANIKVDSRDDDENCVRYAQPLSVVKEFEHPPDAGDEKINNLAASRHWRVAPERRIGGYSRNDGKIRQVYRHGRNCYTHQRSQKIYPFKKRKFFNRMPLATPDRGFNCESIFNSPDKRVNSDNYCAEIGPSSSTTGGQVPLKSSDCNVKLSIKSFKVPDLFIEIPATATVGSLKRTVMEAVTSILGDGLHVGILVRGKKVRDDNKTLLQTGISQDEKSRNLGFMLEPKRAKLTYSPRSKDPSLPSGRSRELTRHSASLVSQPGTSNVSPNPPVINFGGYVKRDLNAVPSLPSTSIEKTPQASQALVAVPPISMNALAVVPFHRKSGHPEYVQRRIRRPFSVPEVEALVQAVEKLGTGRWRDVKLRAFDGAKHRTYVDLKDKWKTLVHTARISAQQRRGEPVPQELLDRVLAAHACWSQRRAKQQVKAAV >ONI03440 pep chromosome:Prunus_persica_NCBIv2:G6:24957179:24962638:1 gene:PRUPE_6G256900 transcript:ONI03440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQRRLDYGFNGYQVPEVPRASRSARGRVPIKKKLEANQIRAFEILASVAGKLLQESEHPLPTNDSCGKDAHFIFDDTTKSKQEKGNLSKEDPCERGSCDEQTLLCVGRMQECQQSYTLNGVSHSQENFSYEINRASKSFDHSESIYSNKIFSDSPLFGDSVEEKPRGVLKRKLETGPSKDMSIKDERTQILGNSEDIMKVEGMPPELVSCGHDVKTSFSGDCKNHDPLLCHCANIKVDSRDDDENCVRYAQPLSVVKEFEHPPDAGDEKINNLAASRHWRVAPERRIGGYSRNDGKIRQVYRHGRNCYTHQRSQKIYPFKKRKFFNRMPLATPDRGFNCESIFNSPDKRVNSDNYCAEIGPSSSTTGGQVPLKSSDCNVKLSIKSFKVPDLFIEIPATATVGSLKRTVMEAVTSILGDGLHVGILVRGKKVRDDNKTLLQTGISQDEKSRNLGFMLEPKRAKLTYSPRSKDPSLPSGRSRELTRHSASLVSQPGTSNVSPNPPVINFGGYVKRDLNAVPSLPSTSIEKTPQASQALVAVPPISMNALAVVPFHRKSGHPEYVQRRIRRPFSVPEVEALVQAVEKLGTGRWRDVKLRAFDGAKHRTYVDLKDKWKTLVHTARISAQQRRGEPVPQELLDRVLAAHACWSQRRAKQQVKAAV >ONI03437 pep chromosome:Prunus_persica_NCBIv2:G6:24957230:24962642:1 gene:PRUPE_6G256900 transcript:ONI03437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQRRLDYGFNGYQVPEVPRASRSARGRVPIKKKLEANQIRAFEILASVAGKLLQESEHPLPTNDSCGKDAHFIFDDTTKSKQEKGNLSKEDPCERGSCDEQTLLCVGRMQECQQSYTLNGVSHSQENFSYEINRASKSFDHSESIYSNKIFSDSPLFGDSVEEKPRGVLKRKLETGPSKDMSIKDERTQILGNSEDIMKVEGMPPELVSCGHDVKTSFSGDCKNHDPLLCHCANIKVDSRDDDENCVRYAQPLSVVKEFEHPPDAGDEKINNLAASRHWRVAPERRIGGYSRNDGKIRQVYRHGRNCYTHQRSQKIYPFKKRKFFNRMPLATPDRGFNCESIFNSPDKRVNSDNYCAEIGPSSSTTGGQVPLKSSDCNVKLSIKSFKVPDLFIEIPATATVGSLKRTVMEAVTSILGDGLHVGILVRGKKVRDDNKTLLQTGISQDEKSRNLGFMLEPKRAKLTYSPRSKDPSLPSGRSRELTRHSASLVSQPGTSNVSPNPPVINFGGYVKRDLNAVPSLPSTSIEKTPQASQALVAVPPISMNALAVVPFHRKSGHPEYVQRRIRRPFSVPEVEALVQAVEKLGTGRWRDVKLRAFDGAKHRTYVDLKDKWKTLVHTARISAQQRRGEPVPQELLDRVLAAHACWSQRRAKQQVKAAV >ONI04262 pep chromosome:Prunus_persica_NCBIv2:G6:27971142:27975789:1 gene:PRUPE_6G312400 transcript:ONI04262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPGETARKKAMWLYPKVTGLNPSERWGHSACYARGVLYVFGGCCGGMHFSDVLMLNLETMVWNTLASTGQGPGPRDSHSAVVLGHRMIVFGGTNGSKKVNDLHILNLATKEWTQPECTGTPPSPRESHTATLVGDEKLVVFGGSGEGKGNYLNDLHVLDLNTMRWTSPEVKSDIPVPRDSHSSLAIGKKLLVYGGDRGDRYYGGVDVFDMDTLTWSRLAVQGSSPGARAGHAAVSVGTKVYVIGGVGDKHYYNDVWVLDVSTCSWTQLDICGQQPQGRFSHTAVVTDSDIAVYGGCGEDERPLNELLVLQLGAEHPNGRYNISMCKIFGSHWNQERRRLSKGADFNTQKTMLMGNHVVVRETAEPESEAKRSLQNKSDSTLHPKRRRTTSTKAWDVESEQEEHSLSLSQHSSPSHSDQEQTPNPRIVDSAPGSQGFNLFKKQIQSPRNSQSPTALSNCKDLRNSVQKSPNLNLLGDHQTEQKREQHPHVSTGRPIMQYPVVEQKTYEAVPVQNLIGAEVQGKVDGAFDSGFLMTATVNGRLYRGVLFAPGAGIISRGPTVAQSTSSSTSQIPIAIAQPFPNPNRTEPPLKLSEQPMKNSMPGSGLGLRQPQVAGPFSVIRATSSLAKENNLRSDLPGVFLSLGGPGSGSGGS >ONI04264 pep chromosome:Prunus_persica_NCBIv2:G6:27972834:27975789:1 gene:PRUPE_6G312400 transcript:ONI04264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGGMHFSDVLMLNLETMVWNTLASTGQGPGPRDSHSAVVLGHRMIVFGGTNGSKKVNDLHILNLATKEWTQPECTGTPPSPRESHTATLVGDEKLVVFGGSGEGKGNYLNDLHVLDLNTMRWTSPEVKSDIPVPRDSHSSLAIGKKLLVYGGDRGDRYYGGVDVFDMDTLTWSRLAVQGSSPGARAGHAAVSVGTKVYVIGGVGDKHYYNDVWVLDVSTCSWTQLDICGQQPQGRFSHTAVVTDSDIAVYGGCGEDERPLNELLVLQLGAEHPNGRYNISMCKIFGSHWNQERRRLSKGADFNTKTMLMGNHVVVRETAEPESEAKRSLQNKSDSTLHPKRRRTTSTKAWDVESEQEEHSLSLSQHSSPSHSDQEQTPNPRIVDSAPGSQGFNLFKKQIQSPRNSQSPTALSNCKDLRNSVQKSPNLNLLGDHQTEQKREQHPHVSTGRPIMQYPVVEQKTYEAVPVQNLIGAEVQGKVDGAFDSGFLMTATVNGRLYRGVLFAPGAGIISRGPTVAQSTSSSTSQIPIAIAQPFPNPNRTEPPLKLSEQPMKNSMPGSGLGLRQPQVAGPFSVIRATSSLAKENNLRSDLPGVFLSLGGPGSGSGGS >ONI04265 pep chromosome:Prunus_persica_NCBIv2:G6:27972943:27975789:1 gene:PRUPE_6G312400 transcript:ONI04265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSDVLMLNLETMVWNTLASTGQGPGPRDSHSAVVLGHRMIVFGGTNGSKKVNDLHILNLATKEWTQPECTGTPPSPRESHTATLVGDEKLVVFGGSGEGKGNYLNDLHVLDLNTMRWTSPEVKSDIPVPRDSHSSLAIGKKLLVYGGDRGDRYYGGVDVFDMDTLTWSRLAVQGSSPGARAGHAAVSVGTKVYVIGGVGDKHYYNDVWVLDVSTCSWTQLDICGQQPQGRFSHTAVVTDSDIAVYGGCGEDERPLNELLVLQLGAEHPNGRYNISMCKIFGSHWNQERRRLSKGADFNTKTMLMGNHVVVRETAEPESEAKRSLQNKSDSTLHPKRRRTTSTKAWDVESEQEEHSLSLSQHSSPSHSDQEQTPNPRIVDSAPGSQGFNLFKKQIQSPRNSQSPTALSNCKDLRNSVQKSPNLNLLGDHQTEQKREQHPHVSTGRPIMQYPVVEQKTYEAVPVQNLIGAEVQGKVDGAFDSGFLMTATVNGRLYRGVLFAPGAGIISRGPTVAQSTSSSTSQIPIAIAQPFPNPNRTEPPLKLSEQPMKNSMPGSGLGLRQPQVAGPFSVIRATSSLAKENNLRSDLPGVFLSLGGPGSGSGGS >ONI04263 pep chromosome:Prunus_persica_NCBIv2:G6:27971142:27975789:1 gene:PRUPE_6G312400 transcript:ONI04263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPGETARKKAMWLYPKVTGLNPSERWGHSACYARGVLYVFGGCCGGMHFSDVLMLNLETMVWNTLASTGQGPGPRDSHSAVVLGHRMIVFGGTNGSKKVNDLHILNLATKEWTQPECTGTPPSPRESHTATLVGDEKLVVFGGSGEGKGNYLNDLHVLDLNTMRWTSPEVKSDIPVPRDSHSSLAIGKKLLVYGGDRGDRYYGGVDVFDMDTLTWSRLAVQGSSPGARAGHAAVSVGTKVYVIGGVGDKHYYNDVWVLDVSTCSWTQLDICGQQPQGRFSHTAVVTDSDIAVYGGCGEDERPLNELLVLQLGAEHPNGRYNISMCKIFGSHWNQERRRLSKGADFNTKTMLMGNHVVVRETAEPESEAKRSLQNKSDSTLHPKRRRTTSTKAWDVESEQEEHSLSLSQHSSPSHSDQEQTPNPRIVDSAPGSQGFNLFKKQIQSPRNSQSPTALSNCKDLRNSVQKSPNLNLLGDHQTEQKREQHPHVSTGRPIMQYPVVEQKTYEAVPVQNLIGAEVQGKVDGAFDSGFLMTATVNGRLYRGVLFAPGAGIISRGPTVAQSTSSSTSQIPIAIAQPFPNPNRTEPPLKLSEQPMKNSMPGSGLGLRQPQVAGPFSVIRATSSLAKENNLRSDLPGVFLSLGGPGSGSGGS >ONI03090 pep chromosome:Prunus_persica_NCBIv2:G6:23785489:23785689:-1 gene:PRUPE_6G238000 transcript:ONI03090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGKKSWISGCLVFILMLPLFTLFGDFCLCSLALIYSCSDCFIFFNLMLSVVWAAIFCPFNIIS >ONI02056 pep chromosome:Prunus_persica_NCBIv2:G6:17915968:17916607:1 gene:PRUPE_6G174700 transcript:ONI02056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEIPETHVLQLKTEGENTPTDNKFLPVLIRLIYVHASCAFKVVSDYHMFFETSQNTTYTFNNCLTFNIPSVLRDFPLRDVASTSWGMGLLLVFFPKDNGTTIVDKSNKLFSFSSSSSPSHSCSSS >ONI00431 pep chromosome:Prunus_persica_NCBIv2:G6:6038086:6041496:1 gene:PRUPE_6G088600 transcript:ONI00431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGEMMCKGIAPNVITYTSLIHGVCKLGEWKEATRLLNDMVSKNIFPNVFTFNVLVDTLCKEGMVGEAEGVVEMMIERDIEPDRVTYNSLMDGFCLRGEMSKARKVFKLMLSKGSMVNVVCYNTLINGYCKHKMMDEAMMLLREMSRKGLVPDIVTYSTLVDGCCKVGKLGVAQKLFSEMQACGQLPNVQTYAILLDGLCKNQQLSTAIQLFKEMEGKKLDVDIVIYTILIKGLCVAEKIESARELFCGLSSRGLQPDVRTYTIMIDGLCIGGRTSEAEKLLFEMEEQGCSPNGWTYNVIIRGYINNNETVRAMELIQQMVEKGFSADAWTTELIVDLLCKDKVDPALLPLMQKENYELNLPRLKLNRSSDHPKKH >ONI00432 pep chromosome:Prunus_persica_NCBIv2:G6:6038127:6041496:1 gene:PRUPE_6G088600 transcript:ONI00432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCKGIAPNVITYTSLIHGVCKLGEWKEATRLLNDMVSKNIFPNVFTFNVLVDTLCKEGMVGEAEGVVEMMIERDIEPDRVTYNSLMDGFCLRGEMSKARKVFKLMLSKGSMVNVVCYNTLINGYCKHKMMDEAMMLLREMSRKGLVPDIVTYSTLVDGCCKVGKLGVAQKLFSEMQACGQLPNVQTYAILLDGLCKNQQLSTAIQLFKEMEGKKLDVDIVIYTILIKGLCVAEKIESARELFCGLSSRGLQPDVRTYTIMIDGLCIGGRTSEAEKLLFEMEEQGCSPNGWTYNVIIRGYINNNETVRAMELIQQMVEKGFSADAWTTELIVDLLCKDKVDPALLPLMQKENYELNLPRLKLNRSSDHPKKH >ONI00430 pep chromosome:Prunus_persica_NCBIv2:G6:6038397:6040890:1 gene:PRUPE_6G088600 transcript:ONI00430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMMIRTTTAATSSSSCYCWSSRDVFTFNTLINGFLLKNRVAEAAGIFNKMIAGEMMCKGIAPNVITYTSLIHGVCKLGEWKEATRLLNDMVSKNIFPNVFTFNVLVDTLCKEGMVGEAEGVVEMMIERDIEPDRVTYNSLMDGFCLRGEMSKARKVFKLMLSKGSMVNVVCYNTLINGYCKHKMMDEAMMLLREMSRKGLVPDIVTYSTLVDGCCKVGKLGVAQKLFSEMQACGQLPNVQTYAILLDGLCKNQQLSTAIQLFKEMEGKKLDVDIVIYTILIKGLCVAEKIESARELFCGLSSRGLQPDVRTYTIMIDGLCIGGRTSEAEKLLFEMEEQGCSPNGWTYNVIIRGYINNNETVRAMELIQQMVEKGFSADAWTTELIVDLLCKDKVDPALLPLMQKENYELNLPRLKLNRSSDHPKKH >ONI00429 pep chromosome:Prunus_persica_NCBIv2:G6:6038132:6041496:1 gene:PRUPE_6G088600 transcript:ONI00429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMMIRTTTAATSSSSCYCWSSRGMNCFHSNSTFLLFVNNYFDFFHSQPSKPIKSTRTQLEQPKRVLPKITNVEDAFNVFDRMLQMRPLPSVVRFTKILGQVAKLKHYSAVISLYNQMGMSRIGHHVYTLTILINCYCHLNQMGFSLSVLGKFFKLGLEPDVFTFNTLINGFLLKNRVAEAAGIFNKMIAGEMMCKGIAPNVITYTSLIHGVCKLGEWKEATRLLNDMVSKNIFPNVFTFNVLVDTLCKEGMVGEAEGVVEMMIERDIEPDRVTYNSLMDGFCLRGEMSKARKVFKLMLSKGSMVNVVCYNTLINGYCKHKMMDEAMMLLREMSRKGLVPDIVTYSTLVDGCCKVGKLGVAQKLFSEMQACGQLPNVQTYAILLDGLCKNQQLSTAIQLFKEMEGKKLDVDIVIYTILIKGLCVAEKIESARELFCGLSSRGLQPDVRTYTIMIDGLCIGGRTSEAEKLLFEMEEQGCSPNGWTYNVIIRGYINNNETVRAMELIQQMVEKGFSADAWTTELIVDLLCKDKVDPALLPLMQKENYELNLPRLKLNRSSDHPKKH >ONI02336 pep chromosome:Prunus_persica_NCBIv2:G6:19878242:19885224:-1 gene:PRUPE_6G191700 transcript:ONI02336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGRGRGGSRTQRRHFRQNRENVWKRPKSDPSSENNPENNGENRGWQPFATQNPAFDEYYKEQGIVTPEEWDGFIEVLRKPLPAAFRINSSSQFCSDIRTQLENDFMISLQAEVSEGGELVPIRPLPWYPENLAWHSNFSRMQLRKNQTLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVRPDHFVLDMCAAPGSKTFQLLEIIHRSTKPGSLPDGLVVANDLDVQRCNLLIHQTKRMCTANLIVTNHEAQHFPGCRLKKSCSTASEIGAEKEPPISQLVFDRVLCDVPCSGDGTLRKAPDIWRKWHVGLGNGVHPLQVQIAMRGLSLLKVGGRMVYSTCSMNPVENEAVIAEILRKCDGSVELVDVSSELPQLVRRPGLKKWKHHRQCNKVRDKGRWLVSHKNVSKYRKSVIVPSMFPSGRRFTEPTDHNGSMEVEEKHENGGNGNVEDALESSDDPATLANEQDEEVSDFPLERCMRIVPHDQNGGAFFIAVFHKRSDLPANQGKPNSLEGELGPRNDEPQVQLQNQSTEDTNGIVASLADGTDETFSEAASEAELIKDELDGDSLELDPSVTCEENVNVLEEAQAPSDKEIDPKKAGGKRKLQTQGKWRGVDPVVFFKDEATINSIKTFYGIDESFPFNGHLVTRNSDANHVKRIYYVSKSVKDVLELNFSVGQQLKITSIGLKMFERQTAREGNLAPCSFRISSEGLPLILPYITKQIVHASPVDFKHLLQYKSIKFADFVDAELGQKASGLMSGCCVIVLRKDGKALSDSIEVDESTIAIGCWKGKSSLSVMVTAIDCQELLERLLMRMETEKGSVEKKDKASNAKEGEEQDVKDMDKNGDEESITLETEG >ONI02335 pep chromosome:Prunus_persica_NCBIv2:G6:19877956:19885363:-1 gene:PRUPE_6G191700 transcript:ONI02335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGRGRGGSRTQRRHFRQNRENVWKRPKSDPSSENNPENNGENRGWQPFATQNPAFDEYYKEQGIVTPEEWDGFIEVLRKPLPAAFRINSSSQFCSDIRTQLENDFMISLQAEVSEGGELVPIRPLPWYPENLAWHSNFSRMQLRKNQTLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVRPDHFVLDMCAAPGSKTFQLLEIIHRSTKPGSLPDGLVVANDLDVQRCNLLIHQTKRMCTANLIVTNHEAQHFPGCRLKKSCSTASEIGAEKEPPISQLVFDRVLCDVPCSGDGTLRKAPDIWRKWHVGLGNGVHPLQVQIAMRGLSLLKVGGRMVYSTCSMNPVENEAVIAEILRKCDGSVELVDVSSELPQLVRRPGLKKWKVRDKGRWLVSHKNVSKYRKSVIVPSMFPSGRRFTEPTDHNGSMEVEEKHENGGNGNVEDALESSDDPATLANEQDEEVSDFPLERCMRIVPHDQNGGAFFIAVFHKRSDLPANQGKPNSLEGELGPRNDEPQVQLQNQSTEDTNGIVASLADGTDETFSEAASEAELIKDELDGDSLELDPSVTCEENVNVLEEAQAPSDKEIDPKKAGGKRKLQTQGKWRGVDPVVFFKDEATINSIKTFYGIDESFPFNGHLVTRNSDANHVKRIYYVSKSVKDVLELNFSVGQQLKITSIGLKMFERQTAREGNLAPCSFRISSEGLPLILPYITKQIVHASPVDFKHLLQYKSIKFADFVDAELGQKASGLMSGCCVIVLRKDGKALSDSIEVDESTIAIGCWKGKSSLSVMVTAIDCQELLERLLMRMETEKGSVEKKDKASNAKEGEEQDVKDMDKNGDEESITLETEG >ONI01202 pep chromosome:Prunus_persica_NCBIv2:G6:9851490:9856176:-1 gene:PRUPE_6G127600 transcript:ONI01202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHETRSHSHPNTEEDKVMTRKQKAENKAHEAEQSPKKPKSEGDNGHANGKSSEDIVAEFEKVCTAVKDNLSAEQMREILEANGQDSSGSDANVLRKCQDLLFYGPLDKCPACNGNLEFTGIRYSCTGSYSEWASCTYSTKDPPRKQEPIKLPDSILNSPASDLIKKYEDPSRRPRKVLSIPDKPFTGMLMSLMGRLSVSHQYWRKEIEKHGGKVANHVIGVTCLIASSVERERGGSSKLAEAMERGIPVVSEAWLKDSIEKQEPQPLEAYDIVTDLAPDGRGIPWEKMDPSEEALESLSAELKLYGKRGVHKDTKLQERGGKIFEKDGILYNCAFSRCDLGKKLNDYSVMQLISVPESNLNLYYKKGKVGDDPKAEERLEEWENVEAAVKEFVRLFEEVTGNEFEPWEREKKIQKKPLKFYPIDMDDGLDVRHGGLGLHQLGVAATHCKLEPLVANFMKVLCSQEIYKYALMEMGYDPPELPMGMLSDAHLKRCEEVLQKFVETLKSMKDTGPKAKAVWSDFSQRWFTLMHSTRPFIFKDFQELADNAAAALETIRDITVASHLIGDMGGSTVDDPLSERYNKMGCSISPLDKESDDCKMILKYLETTYEPVKVGDVEYKVSVDNIFVVESSACPSVDEIKKLPNKVLLWCGTQSSNLLRHLHRGFLPAICSLPVPGYMFGKAIVCSDAAAEATRYGFTAVDRPEGFLVLAVASLGDEIIEIKSPPEDTKSLEEKKVGVKGMGRKKTDESEHFVWQDDIKVPCGRLVPSDNKDSILEYNEYAVYDPKQV >ONI01200 pep chromosome:Prunus_persica_NCBIv2:G6:9851350:9856176:-1 gene:PRUPE_6G127600 transcript:ONI01200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHETRSHSHPNTEEDKVMTRKQKAENKAHEAEQSPKKPKSEGDNGHANGKSSEDIVAEFEKVCTAVKDNLSAEQMREILEANGQDSSGSDANVLRKCQDLLFYGPLDKCPACNGNLEFTGIRYSCTGSYSEWASCTYSTKDPPRKQEPIKLPDSILNSPASDLIKKYEDPSRRPRKVLSIPDKPFTGMLMSLMGRLSVSHQYWRKEIEKHGGKVANHVIGVTCLIASSVERERGGSSKLAEAMERGIPVVSEAWLKDSIEKQEPQPLEAYDIVTDLAPDGRGIPWEKMDPSEEALESLSAELKLYGKRGVHKDTKLQERGGKIFEKDGILYNCAFSRCDLGKKLNDYSVMQLISVPESNLNLYYKKGKVGDDPKAEERLEEWENVEAAVKEFVRLFEEVTGNEFEPWEREKKIQKKPLKFYPIDMDDGLDVRHGGLGLHQLGVAATHCKLEPLVANFMKVLCSQEIYKYALMEMGYDPPELPMGMLSDAHLKRCEEVLQKFVETLKSMKDTGPKAKAVWSDFSQRWFTLMHSTRPFIFKDFQELADNAAAALETIRDITVASHLIGDMGGSTVDDPLSERYNKMGCSISPLDKESDDCKMILKYLETTYEPVKVGDVEYKVSVDNIFVVESSACPSVDEIKKLPNKVLLWCGTQSSNLLRHLHRGFLPAICSLPVPGYMFGKAIVCSDAAAEATRYGFTAVDRPEGFLVLAVASLGDEIIEIKSPPEDTKSLEEKKVGVKGMGRKKTDESEHFVWQDDIKVPCGRLVPSDNKDSILEYNEYAVYDPKQVSIRFLVGVKYEEKNAVMEPE >ONI01201 pep chromosome:Prunus_persica_NCBIv2:G6:9851068:9856312:-1 gene:PRUPE_6G127600 transcript:ONI01201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKQKAENKAHEAEQSPKKPKSEGDNGHANGKSSEDIVAEFEKVCTAVKDNLSAEQMREILEANGQDSSGSDANVLRKCQDLLFYGPLDKCPACNGNLEFTGIRYSCTGSYSEWASCTYSTKDPPRKQEPIKLPDSILNSPASDLIKKYEDPSRRPRKVLSIPDKPFTGMLMSLMGRLSVSHQYWRKEIEKHGGKVANHVIGVTCLIASSVERERGGSSKLAEAMERGIPVVSEAWLKDSIEKQEPQPLEAYDIVTDLAPDGRGIPWEKMDPSEEALESLSAELKLYGKRGVHKDTKLQERGGKIFEKDGILYNCAFSRCDLGKKLNDYSVMQLISVPESNLNLYYKKGKVGDDPKAEERLEEWENVEAAVKEFVRLFEEVTGNEFEPWEREKKIQKKPLKFYPIDMDDGLDVRHGGLGLHQLGVAATHCKLEPLVANFMKVLCSQEIYKYALMEMGYDPPELPMGMLSDAHLKRCEEVLQKFVETLKSMKDTGPKAKAVWSDFSQRWFTLMHSTRPFIFKDFQELADNAAAALETIRDITVASHLIGDMGGSTVDDPLSERYNKMGCSISPLDKESDDCKMILKYLETTYEPVKVGDVEYKVSVDNIFVVESSACPSVDEIKKLPNKVLLWCGTQSSNLLRHLHRGFLPAICSLPVPGYMFGKAIVCSDAAAEATRYGFTAVDRPEGFLVLAVASLGDEIIEIKSPPEDTKSLEEKKVGVKGMGRKKTDESEHFVWQDDIKVPCGRLVPSDNKDSILEYNEYAVYDPKQVSIRFLVGVKYEEKNAVMEPE >ONI01203 pep chromosome:Prunus_persica_NCBIv2:G6:9851420:9856312:-1 gene:PRUPE_6G127600 transcript:ONI01203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKQKAENKAHEAEQSPKKPKSEGDNGHANGKSSEDIVAEFEKVCTAVKDNLSAEQMREILEANGQDSSGSDANVLRKCQDLLFYGPLDKCPACNGNLEFTGIRYSCTGSYSEWASCTYSTKDPPRKQEPIKLPDSILNSPASDLIKKYEDPSRRPRKVLSIPDKPFTGMLMSLMGRLSVSHQYWRKEIEKHGGKVANHVIGVTCLIASSVERERGGSSKLAEAMERGIPVVSEAWLKDSIEKQEPQPLEAYDIVTDLAPDGRGIPWEKMDPSEEALESLSAELKLYGKRGVHKDTKLQERGGKIFEKDGILYNCAFSRCDLGKKLNDYSVMQLISVPESNLNLYYKKGKVGDDPKAEERLEEWENVEAAVKEFVRLFEEVTGNEFEPWEREKKIQKKPLKFYPIDMDDGLDVRHGGLGLHQLGVAATHCKLEPLVANFMKVLCSQEIYKYALMEMGYDPPELPMGMLSDAHLKRCEEVLQKFVETLKSMKDTGPKAKAVWSDFSQRWFTLMHSTRPFIFKDFQELADNAAAALETIRDITVASHLIGDMGGSTVDDPLSERYNKMGCSISPLDKESDDCKMILKYLETTYEPVKVGDVEYKVSVDNIFVVESSACPSVDEIKKLPNKVLLWCGTQSSNLLRHLHRGFLPAICSLPVPGYMFGKAIVCSDAAAEATRYGFTAVDRPEGFLVLAVASLGDEIIEIKSPPEDTKSLEEKKVGVKGMGRKKTDESEHFVWQDDIKVPCGRLVPSDNKDSILEYNEYAVYDPKQV >ONI00760 pep chromosome:Prunus_persica_NCBIv2:G6:7309968:7314395:-1 gene:PRUPE_6G104000 transcript:ONI00760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHNSRSVRFPDDVELAKLPTINGGGVVKFKYKIDGSQVPESCEEEVPGKTGKSLRAKVLSRVFSEDYERVQKKILDPRGPTIRRWNKIFLITCLVSLFVDPLFFYLPAVRDELCIDIGKPLEVILTIVRSVADVFYIIQIFIRFRKAYIAPSSRVFGRGELVIEPSKISLRYLRLDFWIDLIAALPLPQVLIWIVIPRLGGSTMTNTKNVLRFIIIFQYIPRLFLIYPLSSQIVKATGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSVCDLEKTFCEYDYFDCHRSRDPKRNSWFQSSNVTNLCNPDKSSYQFGMYGDAVTYDVTTSSFFNRYFYCLWWGLRNLSSLGQNLSTSTYVGEIMFAIIIATLGLVLFALLIGNMQTYLQSTTVRLEEWRIKRTDTEEWMSHRQLPPELRLSVRKYDQYKWLATRGVDEETLLKGLPMDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALCTEGTYLVREGDPVNEMLFIIRGHLDSYTTNGGRTGFFNSCHIGPGDFCGEELLTWALDPRPSVILPSSTRTVKSISEVEAFALVAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRLWAACFIQAAWRRCKKRREAAELKAKENYKAAEPAKPPPVSSLVTYAARLAASTRRGANKHSGSDSGVVTSLQKPAEPDFSVDEE >ONI00761 pep chromosome:Prunus_persica_NCBIv2:G6:7309968:7315323:-1 gene:PRUPE_6G104000 transcript:ONI00761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHNSRSVRFPDDVELAKLPTINGGGVVKFKYKIDGSQVPESCEEEVPGKTGKSLRAKVLSRVFSEDYERVQKKILDPRGPTIRRWNKIFLITCLVSLFVDPLFFYLPAVRDELCIDIGKPLEVILTIVRSVADVFYIIQIFIRFRKAYIAPSSRVFGRGELVIEPSKISLRYLRLDFWIDLIAALPLPQVLIWIVIPRLGGSTMTNTKNVLRFIIIFQYIPRLFLIYPLSSQIVKATGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSVCDLEKTFCEYDYFDCHRSRDPKRNSWFQSSNVTNLCNPDKSSYQFGMYGDAVTYDVTTSSFFNRYFYCLWWGLRNLSSLGQNLSTSTYVGEIMFAIIIATLGLVLFALLIGNMQTYLQSTTVRLEEWRIKRTDTEEWMSHRQLPPELRLSVRKYDQYKWLATRGVDEETLLKGLPMDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALCTEGTYLVREGDPVNEMLFIIRGHLDSYTTNGGRTGFFNSCHIGPGDFCGEELLTWALDPRPSVILPSSTRTVKSISEVEAFALVAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRLWAACFIQAAWRRCKKRREAAELKAKENYKAAEPAKPPPVSSLVTYAARLAASTRRGANKHSGSDSGVVTSLQKPAEPDFSVDEE >ONI04657 pep chromosome:Prunus_persica_NCBIv2:G6:28985591:28988730:1 gene:PRUPE_6G333200 transcript:ONI04657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTAIDTFYLTDEQLQNSPSRKDGIDEATETTLRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKKVAASCLWLASKLEECPKKARQVIIVFHRMECRRENLPIEPLDPYSKKYSDLKMELSKAERHILKEMGFICHVEHPHKFISNYLATLETPPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDAEKSGIDEVCRVLAHLYSLPKAKYVPVCKDGDSFTFSNKSWNSQSQPNPKEVPTNSPSAIDNTSNIKAAAEVNPESGGSKGVLAKLATDKLKDSKESDESMPIEGEAKEDFSMKSKSERRTESSGDKSKDRDRERERERDRDRDRDRDRMKVRDRDRGRDSDRERDREEAERDRTKDRGYRSKDRAKDSGGHLEKSRHHSSRDRDYHNSSYSSRDKDRHRHHSYA >ONI04658 pep chromosome:Prunus_persica_NCBIv2:G6:28985591:28988730:1 gene:PRUPE_6G333200 transcript:ONI04658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTAIDTFYLTDEQLQNSPSRKDGIDEATETTLRIYGCDLIQESGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKKVAASCLWLASKLEECPKKARQVIIVFHRMECRRENLPIEPLDPYSKKYSDLKMELSKAERHILKEMGFICHVEHPHKFISNYLATLETPPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDAEKSGIDEVCRVLAHLYSLPKAKYVPVCKDGDSFTFSNKSWNSQSQPNPKEVPTNSPSAIDNTSNIKAAAEVNPESGGSKGVLAKLATDKLKDSKESDESMPIEGEAKEDFSMKSKSERRTESSGDKSKDRDRERERERDRDRDRDRDRMKVRDRDRGRDSDRERDREEAERDRTKDRGYRSKDRAKDSGWLWVLISPHHIVKIGFMNLRHFKFSHLRNSFNLNFYVECTILMQGAIWRNQDITRHEVMYI >ONI01386 pep chromosome:Prunus_persica_NCBIv2:G6:11075966:11077342:-1 gene:PRUPE_6G136900 transcript:ONI01386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELAKLISQHHPNLPITILLTTSTPFETSTTSSSYINLISSDQNQTNPNLPISFFTLPSLHLHQSHIENPVESAIEFMRLNIPNVLQALETISQSSKVLAFITSSVHHPYDPQIPTYFYFTSCASALALFLYLPTIHNQTPKSFRDLNDAVLHFPGLPPLRASQMPLPLLDRSGPAYEYFLNFAACLPKSQGIITNTFQALEPSAIKAISDNSCVPNHQTPPIFHIGPLNFDAKNRASSVCEDRSTSTKCLMWLDEQPSQSVVFLCFGSRGALSEAQLSEIAMGLERSKQRFLWVVRSPPGSSSVEPDLEILLPKEFLERTKNRGLVVKSWAPQAAILRHGCVGGFVTHCGWNSVLEAVTYGVPMAAWPLYAEQAVNGVVLVEEMKLGIPVESKEGFVSAEEVEKKVSLLMDGKSLRERSQAMKAMALAAWNNGGSSFTSFSEMVTSWKQIAVPRSV >ONI03346 pep chromosome:Prunus_persica_NCBIv2:G6:24654738:24655968:1 gene:PRUPE_6G252200 transcript:ONI03346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >ONI02239 pep chromosome:Prunus_persica_NCBIv2:G6:19490523:19491721:-1 gene:PRUPE_6G186400 transcript:ONI02239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKFMGKSFLSHRTKSSQVFWRSDLDALNLSVEYWFVFTLNFVFKGLLLEFPILLHNQRAVLLWTLVPAERDAFLANEATKRFTSSNKLLLEIATSRSSHDLLLVKQAYHVHYKKSPEEDVAYHTSGDLLKEVPAEPDAFLANEATKRFTSSNKLLLEIATSRSSHDLLLVKQAYHVRYKKSLEEDVAYHTSGDLLKRYEGDEISMPLAKKEAKILNEKISDKAYDDVELIRILSTRITEANNYKLDLHSIKQEYHRRISVPLDQEIVKDTSGDYEKFLVELVGHGDA >ONI02029 pep chromosome:Prunus_persica_NCBIv2:G6:17856888:17859424:1 gene:PRUPE_6G173600 transcript:ONI02029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNFGDEFTIESYRIPWLVWIQVMVMILLLILLFCFSILVLDLDDHSNTTTTSNNKPSSSSTSRLVADDFPKHNTSTTAFTHRLQNTQVEESIKGEIVASTTSRIMRGEDLLEMEAPTAFHLYFHPCYYFRLARLAFLKCLGLDFASESSSTPQRGKRRES >ONI02880 pep chromosome:Prunus_persica_NCBIv2:G6:23005351:23008970:-1 gene:PRUPE_6G225900 transcript:ONI02880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTHDHSQPLLVDSEQDQPETAYDSADKVHVVGIDEPEDDDFKTPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGNSLLWLLMWATAMGLLVQLLSARLGVATGRHLAELCRDEYPTWARMVLWVMAELALIGADIQEVIGSAIAIKILSNGALPLWSGVVITALDCFIFLFLENYGVRKLEAVFAVLIATMALSFAWMFGEAKPSGTELLIGILVPKLSSRTIKQAVGVVGCIIMPHNVFLHSALVQSREIDHSKRGRVQEALNYYSIESTIALVISFVINLFVTTVFAKGFYGTDLADSIGLVNAGQYLQEKYGGGVIPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLDLRLKKWMRALITRSCAIIPTIIVALIFDTSEDTLDVMNEWLNVLQSIQIPFALIPLLFLVSKEQIMGVFKIGPVLKIVAWLVAALVMVINGYLLLDFFSAEVNGLLVGFIVCAFTAGYLGFIVYLVFQGIDFSSWCGSKRLQIQ >ONI00865 pep chromosome:Prunus_persica_NCBIv2:G6:7731068:7732074:1 gene:PRUPE_6G108300 transcript:ONI00865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSRTTSITCKKKKSIVKLKIVVEKLKKSLLCGRSKSSVSNCDDSKNVSEDVKEGHFAVIAVDGDEPKRFVVALSYLTHPTFLKLLEQAAEEYGFDHEGAITIPCPPSELEKVLHDDQQWQEEERLV >ONI00867 pep chromosome:Prunus_persica_NCBIv2:G6:7738492:7739254:1 gene:PRUPE_6G108500 transcript:ONI00867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRTSSACKKKNSIVKLKVVVEKLQRSLYLGRSKPSNYSDDSTRVPEDVKEGHFAVIAVDGDEPKRFVVALSYLTHPTFLKLLEQAAEEYGFDHEGALTIPCQPRELEKILDDDRQWQKEERSSSSDGNWGSCKAMVQSY >ONI02606 pep chromosome:Prunus_persica_NCBIv2:G6:21843437:21847407:-1 gene:PRUPE_6G210000 transcript:ONI02606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLRTQNTSLNSMSPNWKSLFFLLLLQLFKLCFSKSQPFTSSSSNSDLQFPCKPPHHSSYPFCNTSLPITTRAQSLISLLTLREKIQQLSNNASAIPRLGIPPYEWWSESLHGIATNGPGVSFNGTIPSATSFPQVIVTAAAFNRTLWSLIGSAIAVEARSMYNLGQAGLTFWAPNINIFRDPRWGRGQETPGEDPMVASAYAIEFVNGFQGGNWGITHDGFGERRVLEGHDGGSDDGLMLSACCKHFTAYDLELWGNFSRYSFNAVVSEQDLEDTYQPPFRSCIQQGKASCLMCSYNAVNGVPACAQKDLLDKARNEWGFKGYITSDCDAVATVYEYQNYTTSSEDAVADVLKAGKVQEEDIDKALLNLFSVQLRLGLFDGDPRNGQFGSLGPKDVCTSEHKALALEATRQGIVLLKNDKKFLPLEKGVDFSLAVIGPLANNASLLGGGYTGIPCSSKGLFEGLQEYTKRALYAAGCLDVPCKSRAGFREAIHTVKMADFVVIVVGLDLTQEREDHDRVSLLLPGKQMALVSSVAAASKEPVILVLTGGGPLDVTFAKEDPRIASILWIGYPGESGGRALAEVLFGDFNPGGRLPMTWYPESFTNIPMNDMNMRADPSQGYPGRTYRFYTGSRLYGFGDGLSYSKFTYNIVSAPKKLRLSRPLKVDSSRNVLHQAGDTLDYLHIDEVISCDSLRFFVEITVTNIGDMDGGHTVMLFSRMTKVVKGAPKQQLIGFNRVHTGSYKSTATSILVDPCTHFSFANDYGEWILPLGDHRLMVGDIEHTVSIEIN >ONI02607 pep chromosome:Prunus_persica_NCBIv2:G6:21843589:21847181:-1 gene:PRUPE_6G210000 transcript:ONI02607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLRTQNTSLNSMSPNWKSLFFLLLLQLFKLCFSKSQPFTSSSSNSDLQFPCKPPHHSSYPFCNTSLPITTRAQSLISLLTLREKIQQLSNNASAIPRLGIPPYEWWSESLHGIATNGPGVSFNGTIPSATSFPQVIVTAAAFNRTLWSLIGSAIAVEARSMYNLGQAGLTFWAPNINIFRDPRWGRGQETPGEDPMVASAYAIEFVNGFQGGNWGITHDGFGERRVLEGHDGGSDDGLMLSACCKHFTAYDLELWGNFSRYSFNAVVSEQDLEDTYQPPFRSCIQQGKASCLMCSYNAVNGVPACAQKDLLDKARNEWGFKGYITSDCDAVATVYEYQNYTTSSEDAVADVLKAGMDINCGTFLLRHTLSTIKKGKVQEEDIDKALLNLFSVQLRLGLFDGDPRNGQFGSLGPKDVCTSEHKALALEATRQGIVLLKNDKKFLPLEKGVDFSLAVIGPLANNASLLGGGYTGIPCSSKGLFEGLQEYTKRALYAAGCLDVPCKSRAGFREAIHTVKMADFVVIVVGLDLTQEREDHDRVSLLLPGKQMALVSSVAAASKEPVILVLTGGGPLDVTFAKEDPRIASILWIGYPGESGGRALAEVLFGDFNPGGRLPMTWYPESFTNIPMNDMNMRADPSQGYPGRTYRFYTGSRLYGFGDGLSYSKFTYNIVSAPKKLRLSRPLKVDSSRNVLHQAGDTLDYLHIDEVISCDSLRFFVEITVTNIGDMDGGHTVMLFSRMTKVVKGAPKQQLIGFNRVHTGSYKSTATSILVDPCTHFSFANDYGEWILPLGDHRLMVGDIEHTVSIEIN >ONI03471 pep chromosome:Prunus_persica_NCBIv2:G6:25040707:25042908:-1 gene:PRUPE_6G258900 transcript:ONI03471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTDVALIHEEVAVAPTFGSSLPVPNVQEIVKSDPLHVPERYLIKNQEDMPKDADHTCNLSSEIPTIDFSLLSRGHKEELTKLDLACKEWGFFQMVNHGVATEVLQGMKDAAAKFFELPVEEKNKVAMTSDDIQGYGHAYVVSEEQILDWSDLLFLIVYPSQYRKLKFWPTTPEEYKEAIEAYSIEVKRVGEELIRYISLIMGMEKDALLALHQELVQGVLVNYYPPCCMPDKVLGKSPHSDTSTITILMQEDNVTGLQIRKEGEWVPVKPIPNALVVNVGDVVEIWSNGKYKSIEHRAVTTESRARLSYATFLFPHDDVEVEPFDHMVESPGSLRMYKKVRYGDYLRQSLKRKMEGKAHTDAAKTAS >ONI04479 pep chromosome:Prunus_persica_NCBIv2:G6:28519959:28524342:1 gene:PRUPE_6G323700 transcript:ONI04479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTSFSPETSGRSNMDVDTDVFTHVEAVPRFSQADLDISRHRISMFLSRHTAYELLPESGKVIALDVNLPVKQAFHILHEQGVPVAPLWDFGKGQFVGVLSALDFILILKELGNHGSNLTEEQLETHTIAAWKEAKLRLNRQLDGNGRCYPRRLVSAGPYDSLKEVALGILQNKVATIPIVDSSSQDGSLPQLLHLASLSGILKCICRHFRHSSSSLPILQHPISEFPIGTWVPNIAEPNGRPLAMLRPNSSLADALSLLVQAEVSSIPIVDENDSLLDIYSRSDITALARDKAYTQIHLDGLSIYQTLQLGRDANSPYGFLSGQRCQMCLRSDPLHKVIERLANPGVRRLVIVEAGSKRVEGIISLSDVFRFLLG >ONI04478 pep chromosome:Prunus_persica_NCBIv2:G6:28518489:28524342:1 gene:PRUPE_6G323700 transcript:ONI04478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSGPGTAHESRGLSGPVLFPTRFVWPYGGRRVFLSGSFTRWLELIPMSPVEGCPTVFQVVWNLTPGYHQYKFCVDGEWRHNEQQPFVTGNCGTVNTIFLAGEPDMVPTSFSPETSGRSNMDVDTDVFTHVEAVPRFSQADLDISRHRISMFLSRHTAYELLPESGKVIALDVNLPVKQAFHILHEQGVPVAPLWDFGKGQFVGVLSALDFILILKELGNHGSNLTEEQLETHTIAAWKEAKLRLNRQLDGNGRCYPRRLVSAGPYDSLKEVALGILQNKVATIPIVDSSSQDGSLPQLLHLASLSGILKCICRHFRHSSSSLPILQHPISEFPIGTWVPNIAEPNGRPLAMLRPNSSLADALSLLVQAEVSSIPIVDENDSLLDIYSRSDITALARDKAYTQIHLDGLSIYQTLQLGRDANSPYGFLSGQRCQMCLRSDPLHKVIERLANPGVRRLVIVEAGSKRVEGIISLSDVFRFLLG >ONI01811 pep chromosome:Prunus_persica_NCBIv2:G6:14839663:14842497:-1 gene:PRUPE_6G160400 transcript:ONI01811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEVFGSPRSTHILHEDILQFGEMSKISGTCIVVYMSAIKVLNVKRNRKGRKTPQWIILMGTPKQPTNTECGYFVMRYMKEIVEDKNLEFASKWSKTNEPKIKLMKYGMSGQIM >ONI00402 pep chromosome:Prunus_persica_NCBIv2:G6:5889945:5893597:1 gene:PRUPE_6G087100 transcript:ONI00402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAPAEQPQAAPPSLPRKKMTKQLTGKRDDTPLHSAARSGNMGVVMDILENTEEAELKELLAKQNSSDETALYVAAEYGYVDLVREIIKYYDLADAGIKARNGFDAFHIAAKQGDMDVLNVLMEAHPELTMTVDLSNTTALHTAATQGHTEVVNFLLEAGSSLATIARSNGKTALHSAARNGHLVVVKALLEKEPGIATRVDKKGQTALHMAAKGQNLEMMEELIKADPSLINMADNKDNTALHIATRKGRAEIVKLLLEHSETDTKAVNKSAETALDTAEKTGNSDIKAFLQERGVQSAKEIKPQATNPARELKQTVSDIKHEVHHQLEHTRQTRRRVQGIAKRLHKMHTEGLNNAINSNTVVAVLIATVTFAAIYQIPGQYVDDPKDIPHGQSLGEANIAPKLAFMIFFIFDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWLACVLVSVAFLALSFVVVGGQKWLAIGVTIIGTVIMAATLGTMCFWVVRHRIEAKNMRSIRRSSLGSRSRSLSLSAVISESELLNNDYKKMYAI >ONI02646 pep chromosome:Prunus_persica_NCBIv2:G6:22072338:22075183:-1 gene:PRUPE_6G212400 transcript:ONI02646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDDLFNINAGIVRTLSEAIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTFDPKKLLGVTMLDVVRANTFVAEVLGLDPRDVNVPVVGGHAGVTILPLLSQVKPPCSFTPKEVDYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDASVVECAFVASQVTELPFFASKVRLGRTGVEEIYPLGPLNEYERAGLERAKKELESSIQKGVSFVRK >ONI02645 pep chromosome:Prunus_persica_NCBIv2:G6:22072112:22075285:-1 gene:PRUPE_6G212400 transcript:ONI02645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSSGENVNQRIARISAHLDPPNLHMENTASVSRLNCRAKGAAAGFRVAVLGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNTPGVTSDISHMDTGAVVRGFLGQQQLEEALTGVDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLSEAIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTFDPKKLLGVTMLDVVRANTFVAEVLGLDPRDVNVPVVGGHAGVTILPLLSQVKPPCSFTPKEVDYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDASVVECAFVASQVTELPFFASKVRLGRTGVEEIYPLGPLNEYERAGLERAKKELESSIQKGVSFVRK >ONI02647 pep chromosome:Prunus_persica_NCBIv2:G6:22072802:22074998:-1 gene:PRUPE_6G212400 transcript:ONI02647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSSGENVNQRIARISAHLDPPNLHMENTASVSRLNCRAKGAAAGFRVAVLGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNTPGVTSDISHMDTGAVVRGFLGQQQLEEALTGVDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLSEAIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTFDPKKLLGVTMLDVVRANTFVAEVLGLDPRDVNVPVVGGHAGVTILPLLSQVKPPCSFTPKEVDYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDASVVECAFVASQACD >ONI00447 pep chromosome:Prunus_persica_NCBIv2:G6:6116490:6139919:1 gene:PRUPE_6G089600 transcript:ONI00447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMNRGQAKTLIFTKQPFIEDEGHRKIEKWQFSAMSDTEIVNMAETQIYKCNYYDPNQKPIEGGLLDPRLGPANKSSGECATCGAVMKDCPGHFGFLVLALPVLNVGYLSTVVDILKCICKLCSGILLEEGMRNDFLKKMRSPKMEPLRKTELMKKIVKKCNNLTTNYRPVKCSKCGFINGSVKKAVGVLGIIHDRSKLNGVMDDFRTTLSHTKESKASFNVATHMLNPARIYSLFKRMVDEDCELLNLSNRPQNLIIKNIAVPPIAIRPSVIVDRSLSNENDITERLKRIIQSNAILRRDLLEAKSAPKCLASWDILQVEVAQYINSDIRGVPFSMQTAKPLSGFVQRLKGKGGRFRGNLSGKRVEYTGRTVISPDPNLKITEVGVPIKMAQILTYPERVSHHNIEKLRQCVSNGPDKYPGARMLRNPDGTEWSLKVNRKNAADGLKYGDIVERHLEDGDIVLFNRQPSLHRMSIMCHRAKVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEAVMLMGVQNNLCTPKNGEVLVASTQDFLTSSFLITRKDTFYDRASFSLMCSYMGDGTDPVDLPTPAVIKPIELWTGKQLFSVLVRPNSNVRVYLNFTVNEKSYSKTEDGGPEAMCPNDGFVYFRNSELIAGQLGKGTLGNGNKDGLYSVLLRDYKAHAAASCMNRLAKLSARWIGNHGFSIGISDVQPSDNLYNEKEKIIKEGYNKCAGKIKLYNEGQLPLEPGCDAAQSLESGITKILNNIRDQTGKLCMQTLHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPNGFIDRSLPHFPRKAKTPAAKGFVASSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRRLSKILEDLSIQYDNTVRNASGCIVQFCYGDDGMDPAMMEGEGGAPLDFRRLFLKAKATCPAGENESLSLEEVSEIVKDRLSKQDMTPDRGCSAGFKSSLEEFLDKYAKELRKTHDTFVLDQSPAWKEKSASLEKIVQNISGVTCKQLEVFLNTCISRYHSKKVEAGTAIGVIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINGAKKISTPIVTAILEHNNNAKFARVVAGRIEKTILGQHVKVLDIRKLEILPQEADRSRLHFNLYYLKSMLPKVIVRGISTVQRVVIDAKDVKKNKKDLEVTCADGDKREEKEYKLFAEGTGLLAVMGTEGVDGCKTTSNNVMEVQQTLGIEAARVCIIEEIKHTMKSHGMNIDDRHMIFLADVMTYRGEVLGITRFGVQKMDKSVLMMASFERTDDILFNACVNGRVDKVEGVSESIIMGIPMQIGTGIFKVRQRVSSDLRQPTYLGPNSDVELHYGPHPSLT >ONI00446 pep chromosome:Prunus_persica_NCBIv2:G6:6116490:6139919:1 gene:PRUPE_6G089600 transcript:ONI00446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMNRGQAKTLIFTKQPFIEDEGHRKIEKWQFSAMSDTEIVNMAETQIYKCNYYDPNQKPIEGGLLDPRLGPANKSSGECATCGAVMKDCPGHFGFLVLALPVLNVGYLSTVVDILKCICKLCSGILLEEGMRNDFLKKMRSPKMEPLRKTELMKKIVKKCNNLTTNYRPVKCSKCGFINGSVKKAVGVLGIIHDRSKLNGVMDDFRTTLSHTKESKASFNVATHMLNPARIYSLFKRMVDEDCELLNLSNRPQNLIIKNIAVPPIAIRPSVIVDRSLSNENDITERLKRIIQSNAILRRDLLEAKSAPKCLASWDILQVEVAQYINSDIRGVPFSMQTAKPLSGFVQRLKGKGGRFRGNLSGKRVEYTGRTVISPDPNLKITEVGVPIKMAQILTYPERVSHHNIEKLRQCVSNGPDKYPGARMLRNPDGTEWSLKVNRKNAADGLKYGDIVERHLEDGDIVLFNRQPSLHRMSIMCHRAKVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEAVMLMGVQNNLCTPKNGEVLVASTQDFLTSSFLITRKDTFYDRASFSLMCSYMGDGTDPVDLPTPAVIKPIELWTGKQLFSVLVRPNSNVRVYLNFTVNEKSYSKTEDGGPEAMCPNDGFVYFRNSELIAGQLGKGTLGNGNKDGLYSVLLRDYKAHAAASCMNRLAKLSARWIGNHGFSIGISDVQPSDNLYNEKEKIIKEGYNKCAGKIKLYNEGQLPLEPGCDAAQSLESGITKILNNIRDQTGKLCMQTLHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPNGFIDRSLPHFPRKAKTPAAKGFVASSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRRLSKILEDLSIQYDNTVRNASGCIVQFCYGDDGMDPAMMEGEGGAPLDFRRLFLKAKATCPAGENESLSLEEVSEIVKDRLSKQDMTPDRGCSAGFKSSLEEFLDKYAKELRKTHDTFVLDQSPAWKEKSASLEKIVQNISGVTCKQLEVFLNTCISRYHSKKVEAGTAIGVIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINGAKKISTPIVTAILEHNNNAKFARVVAGRIEKTILGQVSKSIKIVMTSRSASIVITLDMVMIQDAHLSIDANVVKESILRTPRIKLKQEHVKVLDIRKLEILPQEADRSRLHFNLYYLKSMLPKVIVRGISTVQRVVIDAKDVKKNKKDLEVTCADGDKREEKEYKLFAEGTGLLAVMGTEGVDGCKTTSNNVMEVQQTLGIEAARVCIIEEIKHTMKSHGMNIDDRHMIFLADVMTYRGEVLGITRFGVQKMDKSVLMMASFERTDDILFNACVNGRVDKVEGVSESIIMGIPMQIGTGIFKVRQRVSSDLRQPTYLGPNSDVELHYGPHPSLT >ONI03908 pep chromosome:Prunus_persica_NCBIv2:G6:26786784:26787292:1 gene:PRUPE_6G290200 transcript:ONI03908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGMSKVLVIGISLFVCLLFQCEQIYGKEYVVGDEKGWSPDHNVSSWPEGKKFKAGDVLNFKYTNPSFHVVALGNYPGYYEACDSYPVLKEIYSSGNDHVVLEKGTNSFVPFDISYCKRGMKLQVEAE >ONI04634 pep chromosome:Prunus_persica_NCBIv2:G6:28894116:28895162:-1 gene:PRUPE_6G331500 transcript:ONI04634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCRKIAPHSFLGLTSTTTTTTTSTAASTSIDAHHEKLPFKCHHCISPLSCTASGGGGLLGLVNAPDYHHIKHQPLLLESSSIKSSSSSSDSSLSPLFSASPSPSPPPPPAIEFEAKKDYAGGFGFIDDIGGGVDGLMSCTESLGFESSDERRVDDHQIIETIGHRHQNEDDDPVEEDACLRMMRQSMRRVSKWKRTGEKRAEAKKFPPPLSSLNQNGQPRYFLRPVRKEGRLELTEVRIYRPEILRAYRQDGRLRLHLVTREPDLQEEEEVEGIQEENEEEEEEEDVIDEKESIINVKEAEEEEEEKKLAVGEGFRRCQNLVSGGHHQHRGNLGVWSQRQHCVTTR >ONI03806 pep chromosome:Prunus_persica_NCBIv2:G6:26377447:26380072:1 gene:PRUPE_6G283400 transcript:ONI03806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINFNPFESWFKKPPNPLPPINLLSLTDSFFHRATQTTTSPNFSALSLSNLFKGPPKPDPKTPSEPEKPGPYTEMLEQYFWECENAPDYRHAPEVDKILSEDPIFEKKENPTEEELRENEKFWKEFRESPVVQFLARAEQIAEKINEMELKENDRPYRDEDKKLWQAVPNVIGPDGRPMPRKAIKTREESDDKFWDFTRQFFFGLWGFRQRPYPPARPIDVAQAVGYKKLEKRYYDFIMRSGGWYYKDRLGRTRGPLELITLKTAWGAGIIDKDTFIWGEDMDEWVPIHMVYGLEPAIATWEVRLGAAATAFIHKLQKGIPPWVPLKGHEKKTYKQLQEEAIESKRRDLAVLEANDGVWPGVRIPSHALFLWASGSELTTILEEDHMPNKYIPKDLRIELSKAIPGLRPWEVLSVEQAMDQITYEGEWYREPLGTYTTGPPYIRHWNMDVKRLYRIFANLSTRVYLKMERTIPGFDKIMEKVQADANARYARRKARREAEKKAALERSLGVQSNP >ONI05241 pep chromosome:Prunus_persica_NCBIv2:G6:30560877:30564894:-1 gene:PRUPE_6G363800 transcript:ONI05241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHLRPLCSPTAILCPKPPNSKLPQLLQRPTSYTSRFWYTVSTKLSSYCRFPPTVLLATPESQVSTGLNTDTREWAMQDFYFLRRDVETTAERVKEIRDSACLQQLEQEVADLELKAADSSFWDDRAKAQENLSALTDVKDRIRLLNEFKSQVEDAETIVKLTEEMDSVDNGLLEEAASIIKELNKGLDQFELTQLLSGPYDKEGAVIFITAGAGGTDAQDWAEMLLRMYVRWGEKQRYKTRVVEKSQGEEAGIKSATIEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGIDVMPLLPEESLKVDLPEEDLEISFSRAGGKGGQNVNKVESAVRITHIPTGVTVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDVVKAEWGQQIRNYVFHPYKLVKDVRTGYETSDITCVMDGDLEPYIKAYLKHKYSMKVTAAGVV >ONI05242 pep chromosome:Prunus_persica_NCBIv2:G6:30561164:30564687:-1 gene:PRUPE_6G363800 transcript:ONI05242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHLRPLCSPTAILCPKPPNSKLPQLLQRPTSYTSRFWYTVSTKLSSYCRFPPTVLLATPESQVSTGLNTDTREWAMQDFYFLRRDVETTAERVKEIRDSACLQQLEQEVADLELKAADSSFWDDRAKAQENLSALTDVKDRIRLLNEFKSQVEDAETIVKLTEEMDSVDNGLLEEAASIIKELNKGLDQFELTQLLSGPYDKEGAVIFITAGAGGTDAQDWAEMLLRMYVRWGEKQRYKTRVVEKSQGEEAGIKSATIEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGIDVMPLLPEESLKVDLPEEDLEISFSRAGGKGGQNVNKVESAVRITHIPTGVTVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDVVKAEWGQQIRNYVFHPYKLVKDVRTGYETSDITCVMDGDLEPYIKAYLKHKYSMKVTAAGVV >ONI04049 pep chromosome:Prunus_persica_NCBIv2:G6:27236907:27238957:1 gene:PRUPE_6G299000 transcript:ONI04049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDCVNELDQCKLPSQKSLEKLDRASALGLHKDAAETPMKLMANGMASLGPITPKADRENGDFTIDFISPPSFLKKPSMVICLSSNTNRNGDDPFGCCADGSSPRTPVDGVFDPFAPGRDDLALAPRCKKIVSKYRSVVARQLNFDSPVQSSEGEIWTDVESISDEEMFEAVFENLLEVIVSEQTEGVFSECSRKGWDSDDCKTPPPEPLLNGVAESCPGAPLRPSGKSRNIDLGLIRKLEF >ONH99705 pep chromosome:Prunus_persica_NCBIv2:G6:3251847:3258120:-1 gene:PRUPE_6G045000 transcript:ONH99705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSTLTPQRSVLHSPFLNPISLPAATSGQTRRRRFRVSFPRNSATPSDQSTGATSTPPPDVFGGKRELTGIQPVVEKLSPPLRLATSAIVIAGAVAAGYGLGLRLSKSQNAAFGGAAVLGAAGGAAAYALNSCAPEVAAIDLHNYVAGVDDPKAVKKEDIEGIARKYGVSKQDEAFNAELCDLYCRFVTSVLPPGAEELKGDEVETIVSFKNSLGVDDPEAASMHMEIGRRIFRQRLETDREGDLEQRRAFQKLIYVSTLVFGDASSFLLPWKRVFKITDSQVELAIRDNAQRLYASKLKSVGRDIDAEQLVRLKEAQHAYRLSDEYAEDLFKEHARKLVEANISAALSIIKSRTRAARGVTHVVEELEKMLAFNSLLISLKNQPDAARFAPGVGPISLLGGEYYGDRKIDDLKLLFRAYVTDSLSTGRLEENKLSALNQLRNIFGLGKREAESIVLDVTSKVYRKRLSQAVSAGELEAADSKAAFLQNICEELHFDPERASQIHEEIYRQKLQLCVADGELNEEDVAALLRLRVMLCIPQQTVEAAHSDICGSLFEKVVKEAIASGVDGYDADVKQAVRKAAHGLRLSREAAMSIAGKAVRKIFINYVKRARSVGSRTEAAKELKKMIAFNTLVVTELVADIKGESSDDTSTEEPIKEQEIEVLEDEEWESIQTLRKIRPDKELAAKLGKPGQTEITLKDDLQERERTDLYKTYLLFCITGEVKRIPFGAQITTKKDDSEYVLLNQLGGILGLSTTEIVEVHRSLAEQAFRQQAEVILADGQLTKARVEQLNELQKQVGLPPQYVQKIIKNITTTKMAAAIETAIGQGRLNIKQIRELKESSVDLDSMISETLRESLFKKTVDEIFSSGTGEFDEEEVYEKIPLDLNINAEKAKNVVQELARSRLSNSLIQAVSLLRQRNRQGVVSSLNDLLACDKAVPAKPLSWDVPEELADLFAIYLKSDPAPEKLLRLQYLLDINDSTAASLREMGDRLQTIGAEEENFVF >ONI02120 pep chromosome:Prunus_persica_NCBIv2:G6:18309597:18309956:1 gene:PRUPE_6G178000 transcript:ONI02120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGASYAGVYVMQKRQKEKMEKKEDETRGKGESSIAKESKVSAPGRTKKVHAENFQASDTTLSKVFTNAYAWLIFQVIYSCLTCVLYKFPSFRLCFHFHL >ONI04234 pep chromosome:Prunus_persica_NCBIv2:G6:27841446:27842672:-1 gene:PRUPE_6G310500 transcript:ONI04234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSENVASMMEPWAFRTNTFADSWISETFSRDTKTLTRALQKSLSNNPESLDSSSDMFMPFLNNILQPDTAPTPTVSGLSGSEDPDTSPALPKRQQRNAIPVSGAGGGKVSKRKSRAAKRSQTTFITADPSNFRQMVQQVTGVRFGNEQVPLASILKPEPQRPGSRLPGIGCCMPTLDTSAFLLEHHNQQQQQLVGPTTMTGAGLNSTLTGLGPKSFGQTSIGDDVASAGGVASGGLGFDSFSSFPTLESWKVM >ONH99193 pep chromosome:Prunus_persica_NCBIv2:G6:1205667:1205879:1 gene:PRUPE_6G016900 transcript:ONH99193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRGSYLIFLVTTCCHESVVLPRRSSTTISLLVVVLKISTSDSSWGVGFTYCTRRFLYRSSLLMFRPLP >ONI05251 pep chromosome:Prunus_persica_NCBIv2:G6:30591323:30594024:-1 gene:PRUPE_6G364400 transcript:ONI05251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRMGTTMLQSSLLAVENQISERSPITLANQNGCSEPSFIRQTSKIGIKSVPFLQRSFHSTRHQWRIAFALDTGGVPDNGGQEGIDGNSSDLNRTRLGRIVTAGGRQLLEKLNIARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLMYRKPPSQSSGRLKSLVMMINYWKAGVCLGLFVDAFKLGS >ONI05252 pep chromosome:Prunus_persica_NCBIv2:G6:30591396:30594006:-1 gene:PRUPE_6G364400 transcript:ONI05252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRMGTTMLQSSLLAVENQISERSPITLANQNGCSEPSFIRQTSKIGIKSVPFLQRSFHSTRHQWRIAFALDTGGVPDNGGQEGIDGNSSDLNRTRLGRIVTAGGRQLLEKLNIARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLMYRKPPSQSSGRLKSLVMMINYWKAGVCLGLFVDAFKLGS >ONI05250 pep chromosome:Prunus_persica_NCBIv2:G6:30591323:30594021:-1 gene:PRUPE_6G364400 transcript:ONI05250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRMGTTMLQSSLLAVENQISERSPITLANQNGCSEPSFIRQTSKIGIKSVPFLQRSFHSTRHQWRIAFALDTGGVPDNGGQEGIDGNSSDLNRTRLGRIVTAGGRQLLEKLNIARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLMYRKPPSQSSGRLKSLVMMINYWKAGVCLGLFVDAFKLGS >ONH98928 pep chromosome:Prunus_persica_NCBIv2:G6:113905:116144:1 gene:PRUPE_6G000400 transcript:ONH98928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMMLMLLLCLSVGTISVVHCGNPYLFFTWNVTYGTLSPLGVPQQVILINGQFPGPNINSTTNDNIVLNVFNNLDEPFLVTWNGIQQRKNSWQDGTLGTMCPIPPGTNYTYQFQVKDQIGSYMYYPITAMHKAGGAFGGLRVNSRLLIPVPYADPEDDYTVLIGDWYTKSHTQLKKLLDSGRSMARPDGVLINGKSSKGDGKDEPLFVMKPGKTYKYRICNVGLKNSLNFRIQGHSMKLVELEGSHTVQNTYDSMDVHLGQCFSVLVTADKDPKDYYMVASTRFTKSVLTGKGIIRYENGKGPASPELPKAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINISRTIKLVNSASKVDGKLRYAINGISHVDSETPLKLADYYKVWDKVFKPDTMKDTPPATAADKITMVTNVVNITIKDFVEIIFENPEKSLQTWHLDGYSFFSVASEPGTWTPAKRSNYNLLDAVSRYSVQVFPKSWAAIMLTFDNAGMWNIRSDQPERRYLGQQFYVDVENIARSLRNEYNMPDNALVCGIVKDMPKPAPYSM >ONI03813 pep chromosome:Prunus_persica_NCBIv2:G6:26397227:26397928:1 gene:PRUPE_6G284000 transcript:ONI03813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNPPKSSVLLHRINTPTSAESNTASLQCQNQRGGGALLLGNNNNNNKRRAPLPEALERHHTHAVGPDQCCSAVTQEIAAPVSTVWSVVRRFDNPQAYKNFVKSCHVIVGDGDVGTLREVRVISGLPANSSTERLEILDDESHVISFSMVGGDHRLSNYKSVTTLHPSPSGGTVVVESYVVDVPHGNSKEDTWTFVDTIVRCNLQSLAHIAENLDRRK >ONI04838 pep chromosome:Prunus_persica_NCBIv2:G6:29522683:29524333:-1 gene:PRUPE_6G342600 transcript:ONI04838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHSMGEVLTEQQIAEFQEAFCLLDMDGDGCITIEELGTALKSLDQHPTEEELQNMISEIDADGNGTIEFGEFLHLMARKIQLRHVMINLGERLTDEEVEQMIREADLDGDGLVNYDEFVRMMLAF >ONI04839 pep chromosome:Prunus_persica_NCBIv2:G6:29522940:29524240:-1 gene:PRUPE_6G342600 transcript:ONI04839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHSMGEVLTEQQIAEFQEAFCLLDMDGDGCITIEELGTALKSLDQHPTEEELQNMISEIDADGNGTIEFGEFLHLMARKIQENEVDAELREAFKVFDKDQDGYISPNELRHVMINLGERLTDEEVEQMIREADLDGDGLVNYDEFVRMMLAF >ONI01058 pep chromosome:Prunus_persica_NCBIv2:G6:8782183:8791214:1 gene:PRUPE_6G119100 transcript:ONI01058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELKVYQRREEHASRGNVMEEKQVQKQNFLFPPTPVKPITRRSQKTNARRSFSEEDKGLSNPIAALPTKEKVHNCSGITDTNLGYVDFFDLNKIVLESDDTNYAYMDSEKTSKGFLEAELAVEHVAKNDNEVLTMCEEQLLQGSSVTSPTPQSSPSATNRSSFDMMSTCFSQVVQSHIDAEKPKEPIGDMLPRVELWLGPAAIPDSMSQCKSDDQQNQKNVKRENHTKNDDCKFNAANCIGGSALPSTSSLSGKKNNLKRRPEGGKDLNKRPQKRRKKRGYRPRVVGVGKPRRTLEPKTPQKATPKPKTPKPNRMPASSKKNMSVKKSSSNLKNLVPIEVFVDKVDLSVSDRATLNIDLQHQSNDVSAIILATPPQKARIGRQKAKDSSLDSEGSGYFAAVDEAEKLGGNCINQNDWQYNAFQVDQTTFLVNQCLNSSRKLGLNFPQICRKRRMRRRRWKVDRWSVLASKFHENASHVTPTGTNKKSVPKKSQKEVFNMVGYKNHGRLRYQTTEIIDLTDGLQSLIKTKKKRSKGRTVVNFAGLTATPICNQLPRALLRERVGERQETETFQRLDANKAVLFANKNGGAVIDKWTAMGHSLVNLELHKGERERNPSEHEGPCFTNLRQHECKEGAAEERLTKMVPSLLNLEFRNDKTDRSLLQNETMQLTDKSIDSLEDSFSPLVQKCPYVSHRGNHDQDAHVARNDQRILVPFQGQRDQGTNSPHGQLGMLAAYKGKNNKRLAELSDTEYELALTWKVQMKDKVNEDQRKEGDEDWWRNEREVFHGRINLLISRMHIIQGNRKFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAARFPCQSTSNKTDGKDINMVGNQESIGSSIVSIMPVSDEELLTCEAAECGIKESSSDKSNDFEGASRSRCDSYKPPEVSQIHFNEKVDHVEILEDSLVSYNPQVQQKLSTEVGFHASEGMSSLPPECSSPTKDEMAALYYVNMPLDKPESTTDTNMIQNPKLCSQPPNDANSFNQTEQKGNKLQQSDMKSGETKKATRRGKKEKAIMQAKTDWEFCRTIFGTSGDRNRNHMDSVDWEAVRHAEVGEIAHAIQVRGQQNIIAERVKKFLNQVYQDHKQIDLEWLRNAPPALVTKYLRDIKGLGLKSVECVRLLTLQHVAFPVDVNVGRIAIRLGWVPLQPLPESLQIHLLKEMPVFDSVQQYLWPRLETLDPLTLYELHYQMITFGKVYCTKKNPNCNSCPMRGECRHYASAFASARLALPGTGKKARQTKKDSSTATPIVGFEALNSISLSFLEANRESEYQTKSCEPIIEEPSSPQPEPAELPDIEDLCRSDPNYITTIKMRDGICSENLKPFMGVFRENHMPTELVASQTAHIPAPKLKLVDRLRTKHLVYVVPDHHPLLAGFETREHDDPSPYLLAIWTSDQISNSSQSPQKKCNSQESGELYNGEMTCFSHRRLPEQASDTIHGTILIPCRTATRGSFPLNGTYFQVNEVFADHESSVRPINVPRSWIWRLDRRIAYFGTSTSTILKGIETAEVRGCFWAGFMGVRAFDRKTRTPEELSKLFHVSTAKAGGKKNKKED >ONI01057 pep chromosome:Prunus_persica_NCBIv2:G6:8782304:8791214:1 gene:PRUPE_6G119100 transcript:ONI01057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELKVYQRREEHASRGNVMEEKQVQKQNFLFPPTPVKPITRRSQKTNARRRLKKNLSHNSLLITDSQLDLEDSNGVSLFSAAPSFSEEDKGLSNPIAALPTKEKVHNCSGITDTNLGYVDFFDLNKIVLESDDTNYAYMDSEKTSKGFLEAELAVEHVAKNDNEVLTMCEEQLLQGSSVTSPTPQSSPSATNRSSFDMMSTCFSQVVQSHIDAEKPKEPIGDMLPRVELWLGPAAIPDSMSQCKSDDQQNQKNVKRENHTKNDDCKFNAANCIGGSALPSTSSLSGKKNNLKRRPEGGKDLNKRPQKRRKKRGYRPRVVGVGKPRRTLEPKTPQKATPKPKTPKPNRMPASSKKNMSVKKSSSNLKNLVPIEVFVDKVDLSVSDRATLNIDLQHQSNDVSAIILATPPQKARIGRQKAKDSSLDSEGSGYFAAVDEAEKLGGNCINQNDWQYNAFQVDQTTFLVNQCLNSSRKLGLNFPQICRKRRMRRRRWKVDRWSVLASKFHENASHVTPTGTNKKSVPKKSQKEVFNMVGYKNHGRLRYQTTEIIDLTDGLQSLIKTKKKRSKGRTVVNFAGLTATPICNQLPRALLRERVGERQETETFQRLDANKAVLFANKNGGAVIDKWTAMGHSLVNLELHKGERERNPSEHEGPCFTNLRQHECKEGAAEERLTKMVPSLLNLEFRNDKTDRSLLQNETMQLTDKSIDSLEDSFSPLVQKCPYVSHRGNHDQDAHVARNDQRILVPFQGQRDQGTNSPHGQLGMLAAYKGKNNKRLAELSDTEYELALTWKVQMKDKVNEDQRKEGDEDWWRNEREVFHGRINLLISRMHIIQGNRKFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAARFPCQSTSNKTDGKDINMVGNQESIGSSIVSIMPVSDEELLTCEAAECGIKESSSDKSNDFEGASRSRCDSYKPPEVSQIHFNEKVDHVEILEDSLVSYNPQVQQKLSTEVGFHASEGMSSLPPECSSPTKDEMAALYYVNMPLDKPESTTDTNMIQNPKLCSQPPNDANSFNQTEQKGNKLQQSDMKSGETKKATRRGKKEKAIMQAKTDWEFCRTIFGTSGDRNRNHMDSVDWEAVRHAEVGEIAHAIQVRGQQNIIAERVKKFLNQVYQDHKQIDLEWLRNAPPALVTKYLRDIKGLGLKSVECVRLLTLQHVAFPVDVNVGRIAIRLGWVPLQPLPESLQIHLLKEMPVFDSVQQYLWPRLETLDPLTLYELHYQMITFGKVYCTKKNPNCNSCPMRGECRHYASAFASARLALPGTGKKARQTKKDSSTATPIVGFEALNSISLSFLEANRESEYQTKSCEPIIEEPSSPQPEPAELPDIEDLCRSDPNYITTIKMRDGICSENLKPFMGVFRENHMPTELVASQTAHIPAPKLKLVDRLRTKHLVYVVPDHHPLLAGFETREHDDPSPYLLAIWTSDQISNSSQSPQKKCNSQESGELYNGEMTCFSHRRLPEQASDTIHGTILIPCRTATRGSFPLNGTYFQVNEVFADHESSVRPINVPRSWIWRLDRRIAYFGTSTSTILKGIETAEVRGCFWAGFMGVRAFDRKTRTPEELSKLFHVSTAKAGGKKNKKED >ONI04362 pep chromosome:Prunus_persica_NCBIv2:G6:28218849:28222269:-1 gene:PRUPE_6G317600 transcript:ONI04362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFSSMFSGLVRSLSIKKGKNNRGNSDARDAAEAMAKEAKKNDMILRSSGIVNVDGSNNFASVFSKRGQKGVNQDCCIVWEEFGCQEDMMFCGIFDGHGPWGHFVAKRIRETMPPSLLCSWQETLAQTSLDPDLDLELDKKGHRFNIWKHSYIKTCAAIDQELDRHRRIDSFYSGTTALSIVRQGELIFIANVGDSRAVLATTSEDGSLVPVQLTVDFKPSLPQEAERIIQSKGRVFCLDDEPGVQRVWQPDGETPGLAMSRAFGDYCVKGFGLISVPEVTQRNITSRDQFVVLATDGVWDVVSNQEAVQIVGSTADRAKSAKRLVECAVHAWKRKRRGIAMDDISAICLFFHSSPLSDPQQVHPISIATPK >ONI02596 pep chromosome:Prunus_persica_NCBIv2:G6:21776335:21778431:1 gene:PRUPE_6G209300 transcript:ONI02596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFDFLVQFEATTKSKLASLNEKLDVLERKLELLEVQVGTASANPSLFARDA >ONI02597 pep chromosome:Prunus_persica_NCBIv2:G6:21776304:21778668:1 gene:PRUPE_6G209300 transcript:ONI02597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFDFLVQFEATTKSKLASLNEKLDVLERKLELLEVQVGTASANPSLFARDA >ONI02595 pep chromosome:Prunus_persica_NCBIv2:G6:21776335:21778431:1 gene:PRUPE_6G209300 transcript:ONI02595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFDFLVQFEATTKSKLASLNEKLDVLERKLELLEVQVDTYALDAFSRM >ONH99692 pep chromosome:Prunus_persica_NCBIv2:G6:3196690:3200178:-1 gene:PRUPE_6G044000 transcript:ONH99692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRSSSLCSIWVIVLLVGFTGSSSAQLSTNFYSKSCPKVFDAVKSVVQSAVNKEKRMGASLLRLHFHDCFVNGCDGSILLDDTSSFTGEKTARPNNNSVRGFEVVDNIKSQVEKTCPGVVSCADILAIAARDSVKILGGPSWNVKLGRRDSKTASLAAANSGVIPPPTSTLSQLKTRFQAVGLNERDLVALSGAHTIGQARCTSFRARIYNETNIDASFAKARQSKCPSTVGSGDNNLAPLDVQTPNTFDTAYFKNLINQKGLLHSDQILYNNGGSTDSLVKTYSGSANTFNSDFAKAMIKMGDNKPLTGSNGEIRLNCRRPN >ONI03408 pep chromosome:Prunus_persica_NCBIv2:G6:24817155:24818527:1 gene:PRUPE_6G255300 transcript:ONI03408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGMLAADLEGLPEEDKHRMATLIDQLQIRDSLRMYNSLVERCFTDCVDTFQRKALTKQEDSCVRRCAEKFLKHSMRVGMRFAELNQGAATQD >ONH99158 pep chromosome:Prunus_persica_NCBIv2:G6:1078792:1082014:-1 gene:PRUPE_6G014800 transcript:ONH99158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGGGRKRGRLEAASFNGNGGFKKSKPEMESFTTGIGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGIKAVSQMTGSNPTLPPPPRNSAAPPSFPDGSSPPSVKTRLCNKYNTVEGCKFGDKCHFAHGEWELGRPTAQSYEDPRGGGGYPGRMGGGRMEPPQQTHGAAARFGATATAKISVDASLAGAIIGKNGVNSKQICRVTGAKLSIREHESDPKLRNIELEGTFDQIKDASAMVRELIVNVSSAAGPHMRNNPGMAASAPASNFKTKLCENFTKGTCTFGDRCHFAHGPEELRRQGM >ONH99159 pep chromosome:Prunus_persica_NCBIv2:G6:1078380:1082187:-1 gene:PRUPE_6G014800 transcript:ONH99159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSNPTLPPPPRNSAAPPSFPDGSSPPSVKTRLCNKYNTVEGCKFGDKCHFAHGEWELGRPTAQSYEDPRGGGGYPGRMGGGRMEPPQQTHGAAARFGATATAKISVDASLAGAIIGKNGVNSKQICRVTGAKLSIREHESDPKLRNIELEGTFDQIKDASAMVRELIVNVSSAAGPHMRNNPGMAASAPASNFKTKLCENFTKGTCTFGDRCHFAHGPEELRRQGM >ONI01037 pep chromosome:Prunus_persica_NCBIv2:G6:8648199:8649946:-1 gene:PRUPE_6G118000 transcript:ONI01037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFCWPKSVPLLGGQCMKAVYLLSNPTGTMKLYEFVIIFGCLMLFLAQIPSFHSLRHINLVSVVLCLAYSVCTAAACIYIGSSSKGPHKDYSLKGNTQNRVFGVFNANAIIATTFGNGIIPEIQATIAPPVKGKMFKGLCLCYAVVIMTFSSVAISGYWAFGNQAEGLILSNFLDDGKPLVPKWFIFMTNIFTILQLSAVGVVYLQPTNEVLERAFVDPTSKEFSTRNVIPRVIFRSLSVVVATTIAAMLPFFGDINSVIGAFGFIPLDFILPVVFYNLTFKPSKKSPIFLLNTTIAVVFSILGVIAAIAAVRQISLDAKSYRLFANV >ONI01036 pep chromosome:Prunus_persica_NCBIv2:G6:8648013:8653336:-1 gene:PRUPE_6G118000 transcript:ONI01036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLPVPPSSEEAEVIGQEEAHDQEKQLDAGALFVLKSKGSWVHCGYHLTTSIVAPALLSLPYAFTFLGWAAGIFCLVIGAIVTYSYNLLSLVLEHYAKLGHRHLRFRDMAHDILVPLLGGQCMKAVYLLSNPTGTMKLYEFVIIFGCLMLFLAQIPSFHSLRHINLVSVVLCLAYSVCTAAACIYIGSSSKGPHKDYSLKGNTQNRVFGVFNANAIIATTFGNGIIPEIQATIAPPVKGKMFKGLCLCYAVVIMTFSSVAISGYWAFGNQAEGLILSNFLDDGKPLVPKWFIFMTNIFTILQLSAVGVVYLQPTNEVLERAFVDPTSKEFSTRNVIPRVIFRSLSVVVATTIAAMLPFFGDINSVIGAFGFIPLDFILPVVFYNLTFKPSKKSPIFLLNTTIAVVFSILGVIAAIAAVRQISLDAKSYRLFANV >ONI01038 pep chromosome:Prunus_persica_NCBIv2:G6:8648063:8649423:-1 gene:PRUPE_6G118000 transcript:ONI01038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGLCLCYAVVIMTFSSVAISGYWAFGNQAEGLILSNFLDDGKPLVPKWFIFMTNIFTILQLSAVGVVYLQPTNEVLERAFVDPTSKEFSTRNVIPRVIFRSLSVVVATTIAAMLPFFGDINSVIGAFGFIPLDFILPVVFYNLTFKPSKKSPIFLLNTTIAVVFSILGVIAAIAAVRQISLDAKSYRLFANV >ONI04052 pep chromosome:Prunus_persica_NCBIv2:G6:27242957:27243505:-1 gene:PRUPE_6G299300 transcript:ONI04052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLGGKPQYIAMAMAAGLVISVALIMGILSLTAYRGKDPITMLNGVVLRDLQISQDVPKLTMDLNLSVKNPNKYAFRPTKNTAFLLYRGVMVGEAVIEVGEIAPGATKSTTVTLTILAERMQGNPAIHMDVAGGMVPFNTYTKISGKVKVFRLVGVTVISTGLCGLDVDVQSKTIGDPKCA >ONI01591 pep chromosome:Prunus_persica_NCBIv2:G6:12455635:12456694:1 gene:PRUPE_6G148200 transcript:ONI01591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCQSLGHKRRSTRTGFSSSPQNLPSALRMTTPSQTNLHRILPPSAIKIIINNTRHRATPSRRAKRRHGLLTQSRRRGTLLL >ONH99322 pep chromosome:Prunus_persica_NCBIv2:G6:1984407:1986778:-1 gene:PRUPE_6G024700 transcript:ONH99322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGFSNCAAVLLLTFLVSLQAVFGIRFVIDREECFSHNVQYEGDTVHISFVVIKVDASWHYTQDGVDLVVKGPSGEQIHDFRDKTSEKFDFVTRHKGVHQFCFTNKSPYHETIDFDIHVSHFTYYDQHAKDEHFNPLLEQVSKLEEALYNIQFEQHWLEAQTERQAIVNEAMSRRAIHKAFFESAALVGASALQVYLLRRLFERKLGVTRV >ONH99323 pep chromosome:Prunus_persica_NCBIv2:G6:1984407:1986130:-1 gene:PRUPE_6G024700 transcript:ONH99323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGFSNCAAVLLLTFLVSLQAVFGIRFVIDREECFSHNVQYEGDTVHISFVVIKVDASWHYTQDGVDLVVKGPSGEQIHDFRDKTSEKFDFVTRHKGVHQFCFTNKSPYHETIDFDIHVSHFTYYDQHAKDEHFNPLLEQVSKLEEALYNIQFEQHWLEAQTERQAIVNEAMSRRAIHKAFFESAALVGASALQVYLLRRLFERKLGVTRV >ONI01692 pep chromosome:Prunus_persica_NCBIv2:G6:13414910:13420113:1 gene:PRUPE_6G154100 transcript:ONI01692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITAQHHLSISHTKLPRPFSSSSFRASAASLLASTTSLPFQCSNNILQGQGVLRIKGKQLRSTFGPIYASSSKAQSPPPPQKWLLEPVGDGDTRHIGFKVPMPSAFEISADEVTVGRLPEEADMVIPVATVSGVHARIQKKEGKLLVTDLDSTNGTFIDDKRLSAGVVATVPPGSCLTFGDEHLAVFRVSKLENVEAASNPDPSEDKVEADIPNENVKTS >ONI03747 pep chromosome:Prunus_persica_NCBIv2:G6:26181128:26183032:-1 gene:PRUPE_6G279600 transcript:ONI03747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIIQLSPIHTPSLRIFSSHSSQNTPSLSFYLPAKALTFPSISLKPYTTAKPRALVVTSAVKSLSETELVPVPAEADEIAGKLPADTGVYAVFDQNGELQFVGLSRNIAASVLTHRKSLPELCYSVKVGVVDEPDRAVLTQSWKSWMEEHIKATGKVPPGNESGNATWVRQPPRKKPDLRLTPGRHVQLTVPLEELIDRLVKENKVVAFIKGSRSAPLCGFSQRVVGILENQGVDYESVDVLDEEYNSGLRETLKKYSNWPTFPQIFVNGELLGGCDILTSMQEKGELAGVFKK >ONI01482 pep chromosome:Prunus_persica_NCBIv2:G6:11530808:11533140:-1 gene:PRUPE_6G142200 transcript:ONI01482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSRWLLMIVVIVVDALNGGVGHEDCRETRCGPREPAIRYPFRLKGRQPIHCGCRGFDVSCTDFNQTMLELPSSSAKVFVDAINYTSHTISGTQPAYVRFRCLPRELFYSDGSYPLELVGRATLFSCPPSTVRDEYCSNRYCCLTRLSPCHGNNSGNRIYAVIDYSCSIADMPLVSCTKVHDYPSDPAYISHENIALYQGPFLLLHWSIPSCEHCKGRNLRRTNLKILGICALCLVLIATGTTICYVYNSNKKENENQLRIERFLDEYRAMKPSRYSYADIKRITSQFKEKLGQGAYGTVFKGMLSSELLVAVKILNNSNENGEDFINEVGTMCQIHHLNVVRLVGVCADGFIRALVYEYLPNGSLQNFLSSADNKNSFIGWEKLQDIALGIAKGIEYLHQGCDQRILHFDIKPHNVLLDQDFTPKVSDFGLAKFCAKDQSAISMTTARGTMGYIAPEIFSRNFGNVSYKSDVYSFGILLLEMVGARKNFKVMEDSTSQVYFPEWIYNLLEQRNDLRIHIGDDEVDVTIARKLAIVGLWCIQWHPIDRPSMKVVVQMLEREGENLAMPPNPFASTSS >ONI00712 pep chromosome:Prunus_persica_NCBIv2:G6:7124587:7132245:1 gene:PRUPE_6G102300 transcript:ONI00712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVAHHRESSSGSSINKHLDAGKYVRYTSEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPRQIKVWFQNRRCREKQRKESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGFMRQQLHTAPTTDASCDSVVTTPQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELIYTQTYAPSTLAPARDFWTLRYTTSLDNGSFVVCERSLSGSGAGPNAASAAQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQRMTIAALRYIRQIAQETSGEVVYSLGRQPAVLRTFSQRLIRGFNDAVNGFNDDGWSLINCDGAEDVIIAVNSTKNLTTSNPANSLALLGGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAASLKAGSYAYPGMRPTRFTGGQIIMPLGHTIEHEELLEVVRLEGHSLTQEDAFASRDIHLLQICSGVDENAVGACSELVFAPIDEMFPDDAPLVPSGFRIIPLDSKTSDSKDTLATHRTLDLTSSLEVGSTTNNAAGELSSFHNTRSVLTIAFQFPFENSLQENVATMARQYVRSVISSVQRVAMAISPSGLSPSLGGPKLSPGSPEALTLAHWICQSYSFHVGAELLRPDSLGGDSMLKHLWHHQDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDISLDKIFDECGRKTLCADFAKLMNQGFAHLPAGICMSTMGRHVSYEQAVAWKVLAAEENSVHCLAFSFMNWSFV >ONH99969 pep chromosome:Prunus_persica_NCBIv2:G6:4189208:4190083:-1 gene:PRUPE_6G060500 transcript:ONH99969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDTNTQVYHERQRLQFCLLHSLNNLFQQKDVFTRSSLNEIAEKLVLEEPNNETWTPLSVLFKPHHNALTGNYDINVLIAALEDKGKNVVWHDRRNGASSIDLDGPEDALMGILLNVPVRMFAGLWKSRHWVSLRKIDGVWYNLDSDLVAPQAFEDTEKVREFLDYIIGHGGEVLLVMNNKQ >ONI01850 pep chromosome:Prunus_persica_NCBIv2:G6:15387815:15388384:-1 gene:PRUPE_6G162500 transcript:ONI01850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYETHHHSSIPKETALQALNTIIQLHFEKTLEKKRSIDLQKKELHKLFILFFIFLSLIFFAEAQSSRLQCRHCWVPIVLLSLAHLIFYVAVAQTLRCINGFKYQRRCHKLTLGLATEKLREMKMRLSSANGGGGDFDGVSENEFEIHYQEPPESYFGKFKRNWALHFGFLIVIYGFMVASSVVLLCF >ONI01442 pep chromosome:Prunus_persica_NCBIv2:G6:11332294:11333534:1 gene:PRUPE_6G139800 transcript:ONI01442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVNEDQSSSSCPKPIKIRQVWAHNLESEFELIRAVIDDYTYISMDTEFPGLIFRQPYSHDLQPSDHYKTLKSNVDALNLIQVGLTLSDAHGNLPDLGSSSTSFIWEFNFSDFDVTRDPHAPDSIALLRSQGIDFDRNRSEGIDSARFAALLMSSGLVLNESVSWVTFHSAYDFGYLVKILTGQQLPSGLKDLEEFLKIVRVFFGNRVYDVKHMIRFCDGLYGGLEQVAKRLEVNRAVGKSHQAGSDSLLTLHTFQQIKKKCFVNYDEVEKHAGKLFGLAVV >ONI02578 pep chromosome:Prunus_persica_NCBIv2:G6:21649761:21654649:-1 gene:PRUPE_6G207900 transcript:ONI02578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPEQEHPTKAFGWAARDSSGVLSPFKFSRRATGKNDVTFKVLYCGICHTDLSMLKNEWGISMYPLLPGHEIVGQVTEVGSNVEKFKVGDSVGVGYLVGSCQSCDNCGNNAENYCPEMIFTSGAKYHDGSTTYGGYSNIMVADEHFVVRIPDNLPLDGAAPLLCAGVTTYSPLRYFGLDKPGMHVGVVGAGGLGHVAIKFAKAMGVKVTVISTSPNKKKEAIENLGADSFLVSRDNGQMQAAMGTMDGIIDTVSAVHPLLPLLGLLKTNGKLVLVGVPAKPLELPVIPLITGRKIVAGSNIGGLKETQEMIDFAGKHNITANIELIPIDYVNTAMERLIKADVRYRFVIDIANTLKSSS >ONI04895 pep chromosome:Prunus_persica_NCBIv2:G6:29692379:29697403:1 gene:PRUPE_6G346800 transcript:ONI04895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQSSCCCDSFGFRPCLVASKTKNAFSCYMDLKDKNKLLPFVSSRSLKQPQLNRNPVLACRASRGSHVIPVGKDDLPIHGVAEVIVGVLGGGQLGRMLCQAASQMAIKVMVLDPQENCPASKLAYHHMVGSFDDSATVQEFAKRCGVLTVEIEHVDVETLEKLELQGVDCQPKPSTIRIIQDKYLQKVHFSQHDIPLPEFMQIDDLEGAKRAGDLFGYPLMIKSKRLAYDGRGNAVAKSEDELSSAVTALGGFDRGLYVEKWAPFVKELAVIVARGRDNSILCYPAVETIHKENICHIVKAPANMSWKIRELATDIASRAVSSLEGAGVFAVELFLTKDDQILLNEVAPRPHNSGHHTIESCYTSQYEQHLRAVVGLPLGDPSMRTPAAIMYNLLGEDEGEPGFHLAHQLIARALCIPGATVHWYDKPEMRKQRKMGHITIVGPSMGNVEKLLDSMLNEESSESQSAVAPRVGIVMGSDSDLPVMKDAAKVLNMFGVPNEVRIVSAHRTPELMYSYALSARERGIQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASTLDGVDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRMLGVCDADLLSRMSQYQEDTRNEVLTKAEKLQKDGWESYLNP >ONI01840 pep chromosome:Prunus_persica_NCBIv2:G6:15301313:15305769:-1 gene:PRUPE_6G162300 transcript:ONI01840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCATKPKVLKAEGASEAPEPAPEAAAKEEVVAAVPVDDQAKEKDVVIVAAADDEDEKKKKKIELAPEEEGGGDDKVKEIVDDDEQGSKHRSLSLLFKNEEGKEEATETEKQPEPSETEKPPEEQKAEPAEIAIEDAPEPETKTKQPSEEKVTEEVKEVAEAATEEVKGTVTENSTEEGKDVEVKGGAAEEHVKV >ONI01841 pep chromosome:Prunus_persica_NCBIv2:G6:15301313:15305669:-1 gene:PRUPE_6G162300 transcript:ONI01841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCATKPKVLKAEGASEAPEPAPEAAAKEEVVAAVPVDDQAKEKDVVIVAAADDEDEKKKKKIELAPEEEGGGDDKVKEIVDDDEQGSKHRSLSLLFKQNEEGKEEATETEKQPEPSETEKPPEEQKAEPAEIAIEDAPEPETKTKQPSEEKVTEEVKEVAEAATEEVKGTVTENSTEEGKDVEVKGGAAEEHVKV >ONI04512 pep chromosome:Prunus_persica_NCBIv2:G6:28627568:28631629:-1 gene:PRUPE_6G325200 transcript:ONI04512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQLFNCLVEISEKCRRSVEVFRDYKFLGTRNRVDGTVGEYKWMTYGEAATAREAIGSGLRFHGLEKGACVGLYFINRPEWLIVDYACSAYSFISVPLYDTLGPDAVKYAVNHAGVQAVFCAPQTLSTMLTFVSEIPSVQLIVVVGGMDEHLPSLPSTSRVKLISYLKLISQGRSNLQPFCPPKPEDVATICYTSGTTGTPKGVVLTHGNFIANAAGFCHAVTFYPSDIYISYLPLAHIYERTNQIISVYYGVAIGFYQGDNLKLMDDLVALRPTLFCSVPRLYNRIYAGIANSVKTSGTLRERLFQTAYSSKKQSIMSGSNGSPIWDRLVFNKIKEKLGGRVRFMGSGASPLSPDVMDFLRVCFGCQVIEGYGMTETSCAMSMMDEGDNLSGHVGSPNPACEIKLVDVPEMNYTSEDQPHPRGEICVRGPIVFQGYHKDEIQTKEVIDDDGWLHTGDIGLWLPGGQLKIIDRKKNIFKLAQGEYIAPEKVENVYAKCEFVAQSFVYGDSFNSCLVVIVVVDPDVLKAWAASAGIKYEDLSQLCSDPRARTAVLASMDALGKEAQLRGFEFAKAVTLVLEPFTLENGLLTPTFKIKRPQAKEHFAKAISTMYSELSDAVPAKL >ONI04511 pep chromosome:Prunus_persica_NCBIv2:G6:28627568:28632237:-1 gene:PRUPE_6G325200 transcript:ONI04511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLHAPQRRLHAIHSHLLPPSTDHPPHSHLHANLTAGEFAHGHGYSVVLPEKLHTGKWDVHRSARSPFNLVSRFADHPQIGTLHDNFVRSVEVFRDYKFLGTRNRVDGTVGEYKWMTYGEAATAREAIGSGLRFHGLEKGACVGLYFINRPEWLIVDYACSAYSFISVPLYDTLGPDAVKYAVNHAGVQAVFCAPQTLSTMLTFVSEIPSVQLIVVVGGMDEHLPSLPSTSRVKLISYLKLISQGRSNLQPFCPPKPEDVATICYTSGTTGTPKGVVLTHGNFIANAAGFCHAVTFYPSDIYISYLPLAHIYERTNQIISVYYGVAIGFYQGDNLKLMDDLVALRPTLFCSVPRLYNRIYAGIANSVKTSGTLRERLFQTAYSSKKQSIMSGSNGSPIWDRLVFNKIKEKLGGRVRFMGSGASPLSPDVMDFLRVCFGCQVIEGYGMTETSCAMSMMDEGDNLSGHVGSPNPACEIKLVDVPEMNYTSEDQPHPRGEICVRGPIVFQGYHKDEIQTKEVIDDDGWLHTGDIGLWLPGGQLKIIDRKKNIFKLAQGEYIAPEKVENVYAKCEFVAQSFVYGDSFNSCLVVIVVVDPDVLKAWAASAGIKYEDLSQLCSDPRARTAVLASMDALGKEAQLRGFEFAKAVTLVLEPFTLENGLLTPTFKIKRPQAKEHFAKAISTMYSELSDAVPAKL >ONI04509 pep chromosome:Prunus_persica_NCBIv2:G6:28627157:28632413:-1 gene:PRUPE_6G325200 transcript:ONI04509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLHAPQRRLHAIHSHLLPPSTDHPPHSHLHANLTAGHGYSVVLPEKLHTGKWDVHRSARSPFNLVSRFADHPQIGTLHDNFVRSVEVFRDYKFLGTRNRVDGTVGEYKWMTYGEAATAREAIGSGLRFHGLEKGACVGLYFINRPEWLIVDYACSAYSFISVPLYDTLGPDAVKYAVNHAGVQAVFCAPQTLSTMLTFVSEIPSVQLIVVVGGMDEHLPSLPSTSRVKLISYLKLISQGRSNLQPFCPPKPEDVATICYTSGTTGTPKGVVLTHGNFIANAAGFCHAVTFYPSDIYISYLPLAHIYERTNQIISVYYGVAIGFYQGDNLKLMDDLVALRPTLFCSVPRLYNRIYAGIANSVKTSGTLRERLFQTAYSSKKQSIMSGSNGSPIWDRLVFNKIKEKLGGRVRFMGSGASPLSPDVMDFLRVCFGCQVIEGYGMTETSCAMSMMDEGDNLSGHVGSPNPACEIKLVDVPEMNYTSEDQPHPRGEICVRGPIVFQGYHKDEIQTKEVIDDDGWLHTGDIGLWLPGGQLKIIDRKKNIFKLAQGEYIAPEKVENVYAKCEFVAQSFVYGDSFNSCLVVIVVVDPDVLKAWAASAGIKYEDLSQLCSDPRARTAVLASMDALGKEAQLRGFEFAKAVTLVLEPFTLENGLLTPTFKIKRPQAKEHFAKAISTMYSELSDAVPAKL >ONI04510 pep chromosome:Prunus_persica_NCBIv2:G6:28627157:28632413:-1 gene:PRUPE_6G325200 transcript:ONI04510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLHAPQRRLHAIHSHLLPPSTDHPPHSHLHANLTAGEFAHGHGYSVVLPEKLHTGKWDVHRSARSPFNLVSRFADHPQIGTLHDNFVRSVEVFRDYKFLGTRNRVDGTVGEYKWMTYGEAATAREAIGSGLRFHGLEKGACVGLYFINRPEWLIVDYACSAYSFISVPLYDTLGPDAVKYAVNHAGVQAVFCAPQTLSTMLTFVSEIPSVQLIVVVGGMDEHLPSLPSTSRVKLISYLKLISQGRSNLQPFCPPKPEDVATICYTSGTTGTPKGVVLTHGNFIANAAGFCHAVTFYPSDIIANSVKTSGTLRERLFQTAYSSKKQSIMSGSNGSPIWDRLVFNKIKEKLGGRVRFMGSGASPLSPDVMDFLRVCFGCQVIEGYGMTETSCAMSMMDEGDNLSGHVGSPNPACEIKLVDVPEMNYTSEDQPHPRGEICVRGPIVFQGYHKDEIQTKEVIDDDGWLHTGDIGLWLPGGQLKIIDRKKNIFKLAQGEYIAPEKVENVYAKCEFVAQSFVYGDSFNSCLVVIVVVDPDVLKAWAASAGIKYEDLSQLCSDPRARTAVLASMDALGKEAQLRGFEFAKAVTLVLEPFTLENGLLTPTFKIKRPQAKEHFAKAISTMYSELSDAVPAKL >ONI03551 pep chromosome:Prunus_persica_NCBIv2:G6:25356794:25357273:1 gene:PRUPE_6G264300 transcript:ONI03551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYQLLLLCLILADAYVSVTMAADEKAQTTEQPNSASIPSTQAPTPSPSNDKNLYKAQAPVIRKLGKHQASESKPPTLSPSSAPASTNPSPETEESLSIVEEEIHLKKHHHSPDRSVAGGGVILGGLATTFLVAVFCYIRATARHKADTAGPGAHIAS >ONI03189 pep chromosome:Prunus_persica_NCBIv2:G6:24177908:24181014:1 gene:PRUPE_6G244100 transcript:ONI03189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTRLSKPSFTSLSSVSRISRCLYSGIAPEPSSHGASPYSPRLGPKPNLSVKDPKDRNIQWVFLGSPGVGKGTYASRLSNLLGVPHIATGDLVREELAASGPLSKELSEIVNQGKLVSDEIIISLLSKRLEAGGAKGELGFILDGFPRTIRQAEILEGVTEIDLVLNLKLREDVLVEKCLGRRMCSQCGGNFNVASINVKDSNGSPAISMAPLLPPPHCMSKLVTRADDTEEVVKHRIHVYNEKSRPVEEFYRSRGKLLEFDLPGGIPESWPKLLEVLNLDEYKEKQSAAA >ONI03188 pep chromosome:Prunus_persica_NCBIv2:G6:24177908:24181014:1 gene:PRUPE_6G244100 transcript:ONI03188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTRLSKPSFTSLSSVSRISRCLYSGIAPEPSSHGASPYSPRLGPKPNLSVKDPKDRNIQWVFLGSPGVGKGTYASRLSNLLGVPHIATGDLVREELAASGPLSKELSEIVNQGKLVSDEIIISLLSKRLEAGGAKGELGFILDGFPRTIRQAEILEGVTEIDLVLNLKLREDVLVEKCLGRRMCSQCGGNFNVASINVKDSNGSPAISMAPLLPPPHCMSKLVTRADDTEEVVKHRIHVYNEKSRPVEEFYRSRGKLLEFDLPGGIPESWPKLLEVLNLDEYKEKQSAAA >ONH99198 pep chromosome:Prunus_persica_NCBIv2:G6:1278625:1281075:-1 gene:PRUPE_6G017400 transcript:ONH99198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTVRLLLFSSLFLLPVSVFAQTNGGIAVGDFLTATAANSSPWLSPSGDFAFGFFPLGSNDLFLLSIWYAKIPDRTIVWNANRDNEAAVAPKGSTVNLTANSGLVLRSPQGDELWESGTSAGVVAKGVMNDTGNFVLQDRNLESLWETFNNPTDTMLPGQTFERSRKLSSRQSETNYSKGRFQLLLQDDGNLVISTINLPTNLANAPYYATDTTSGTVAGSEGKELVFNVSGYLYVVRENGGKYNLEVGEAVSARDNYIRATLNFDGIFAQYYHPKNFTGNVSWTLRWSEPDDICQRNREDSGVGVCGYNSICTLKDKRPTCGCPKGFSLLDPNDPYRGCKPDFIQGCEEDELSRTNDLYDVEALTNTDWPTSDYVQLKPFTAEKCNESCFQDCLCAVAIFRSETCWKKKLPLSNGRVDTGLNSQAFIKVRKDNSTLPIPAPQHPCPDDKKKKSQTTVIRAESVLLGTSIFVNFILGACLCLGFVLIFRKKHVRSAEIVLDSNLRSFSYEQLREATNDFKEELGKGAFGTVYKGVLQIGSGVQVAVKKLNYVMQEIEKEFKTELNVIGQTHHKNLVRLFGYCDEGQQRLLVYELLSNGTLASYLFTDIKPSWRQRIEIAYGVARGLLYLHEECSTQIIHCDIKPQNILLDDYYTARISDFGLAKLLKMDQSKTHTAIRGTKGYVAPEWFRNMPITTKVDVYSFGVVLLEIICCRRSVDVENNCEEKAILTDWVYDCFLGGALDAIVDYEVQALGDKTTLENFVMVAIWCIQEDPSLRPNMRKVVQMLEGVVEVQVPPCPSPFSRACLKESFVSQV >ONI03561 pep chromosome:Prunus_persica_NCBIv2:G6:25386456:25387825:1 gene:PRUPE_6G265000 transcript:ONI03561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEEVKHFGVRGSPLSLRVDIALRLKGVKSKFCEEDLQNKSPLLIKYNPVHKKVPVLVHNEKPIAESLVILEYIDETWKHQGLPILPQDPYQRANARFWASFIDEKCSPAICKAYWEIEGHEKAMEEACELLQSLENELKDNKFFGGETIGFVDMAASFIGYWLRPIQEAVGVEVLTKEKCPKLYDWCDEFVSHSVVKEVLPPNKETLIAFFLGRCESTKLLAK >ONI03930 pep chromosome:Prunus_persica_NCBIv2:G6:26924640:26926976:-1 gene:PRUPE_6G292300 transcript:ONI03930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKAMNILQFLLLILHLSLQATHGIGRYGGEWQTAHATFYGGSDSSGTMGGACGYGNLYSQGYGTSTAALSTALFNNGLSCGACYQLRCNHESDPKWCLPGGITITATNFCPPNNALSNDNGGWCNPPLQHFDLAEPAFLHIAAYRAGIVPVLFKRVPCVKKGGIRFTMNGHSYFNLVLITNVGGSGDVRAVSIKGSKTGWLPMSRNWGQNWQSNSYLNGQSLSFKVTASDGLTLTSSNVVPASWKFGQTFEGGQF >ONI01828 pep chromosome:Prunus_persica_NCBIv2:G6:15056193:15059943:1 gene:PRUPE_6G161700 transcript:ONI01828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEIQKTYKKGTAHRYSTQTITMRSLTSGKVFFMVYCLTLLYVSSPHWVASATSTTDTEAKALLNWKASLFQNKALNILNWYPPAHNANNSSTNPKANTIPCTWTGVSCNTAGSVNKINLSTCGIQGNISEDFGVYPNLDFMNISNNNLYGEISHNWGQCPKLTTLLMAGNNLTGSIPPEIGNATQIHVLDLSSNHLVGLIPKEFGKLSSLVRLILNGNQLSGRIPSEFGSLNDLEYLDLSTNKFNESIPSVIGDLVKLHYLNLSNNKLAQTIPFKLGKLVQLNDLDLSHNSLEGRIPSEMGSMQSLVKLDLSHNNLSGSIPSSFEEMHGLLYVDISYNHLEGPLPNISAFREALPEGLKGNKGLCGIVRGLPPCNAHGSKKDQKFLFSLLAVIVFLSASFTIVFVIVQRKKKHQDKAQKNMNEEISFSVLNFDGKSMYEEIIRATEDFDPPYCIGKGRHGSVYIASLPSANVVAVKKLHLLQNDQKNLQNEFLNEVRALTEIRHRNIVKLYGFCAHKRHSFLVYEYLERGSLGAILSKEEEAKELGWSKRVNIVKGVAHALSYMHHDCLPPIVHRDISSKNILLDSEYKACVSDFGTAKFLNPDSTNWTAAAGTFGYIAPELAYTMKVNEKCDVYSFGAVTLEIIMGSHPGDVFSSLSSGASSSSSSASLAHEMPISDVLDQRISQPTKQEAWEVVSLVKIAFASLNPNPQCRPTMKKISQLLSSTQRLHLPKPLHMTTCGELLAVDGFTT >ONI01827 pep chromosome:Prunus_persica_NCBIv2:G6:15056193:15059940:1 gene:PRUPE_6G161700 transcript:ONI01827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEIQKTYKKGTAHRYSTQTITMRSLTSGKVFFMVYCLTLLYVSSPHWVASATSTTDTEAKALLNWKASLFQNKALNILNWYPPAHNANNSSTNPKANTIPCTWTGVSCNTAGSVNKINLSTCGIQGLSGNQLHDSIPTSFGNLSNLEILHLRDNRLSGSIPQELENLKNLIQLHLDTNQLSGYLPPNICQGGKLTNFSVFRNYLTGPIPKSLKNCTGLIRVRLDQNQFTGNISEDFGVYPNLDFMNISNNNLYGEISHNWGQCPKLTTLLMAGNNLTGSIPPEIGNATQIHVLDLSSNHLVGLIPKEFGKLSSLVRLILNGNQLSGRIPSEFGSLNDLEYLDLSTNKFNESIPSVIGDLVKLHYLNLSNNKLAQTIPFKLGKLVQLNDLDLSHNSLEGRIPSEMGSMQSLVKLDLSHNNLSGSIPSSFEEMHGLLYVDISYNHLEGPLPNISAFREALPEGLKGNKGLCGIVRGLPPCNAHGSKKDQKFLFSLLAVIVFLSASFTIVFVIVQRKKKHQDKAQKNMNEEISFSVLNFDGKSMYEEIIRATEDFDPPYCIGKGRHGSVYIASLPSANVVAVKKLHLLQNDQKNLQNEFLNEVRALTEIRHRNIVKLYGFCAHKRHSFLVYEYLERGSLGAILSKEEEAKELGWSKRVNIVKGVAHALSYMHHDCLPPIVHRDISSKNILLDSEYKACVSDFGTAKFLNPDSTNWTAAAGTFGYIAPELAYTMKVNEKCDVYSFGAVTLEIIMGSHPGDVFSSLSSGASSSSSSASLAHEMPISDVLDQRISQPTKQEAWEVVSLVKIAFASLNPNPQCRPTMKKISQLLSSTQRLHLPKPLHMTTCGELLAVDGFTT >ONI01826 pep chromosome:Prunus_persica_NCBIv2:G6:15056141:15059940:1 gene:PRUPE_6G161700 transcript:ONI01826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEIQKTYKKGTAHRYSTQTITMRSLTSGKVFFMVYCLTLLYVSSPHWVASATSTTDTEAKALLNWKASLFQNKALNILNWYPPAHNANNSSTNPKANTIPCTWTGVSCNTAGSVNKINLSTCGIQGTLHEFSFLFFPNLEYLDLRMNKLFDVIPPQISYLSKLDYLDLSLNQLSGRIPPEIGLLKNLTLLDLHENTFFGDIPKEIGNMKSIEELYLYKNKVNGSIPRSLCNLTRLAYLYLYKNQLSGSIPNEIGNLKSLVDLQLSSNTLSGHIPPNIGNLQKLNTLYLHTNKLSGYIPNEIGNLKSLMDLNLGDNQLRGSIPRFLANISTLTNLSVFGNQLSGIIPNEIGNLKSLVDLQLSSNTLSGPIPLSIGNLKKLNTLYFHNNTLSGLIPKEIGSIKSLVNLGLSGNQLHDSIPTSFGNLSNLEILHLRDNRLSGSIPQELENLKNLIQLHLDTNQLSGYLPPNICQGGKLTNFSVFRNYLTGPIPKSLKNCTGLIRVRLDQNQFTGNISEDFGVYPNLDFMNISNNNLYGEISHNWGQCPKLTTLLMAGNNLTGSIPPEIGNATQIHVLDLSSNHLVGLIPKEFGKLSSLVRLILNGNQLSGRIPSEFGSLNDLEYLDLSTNKFNESIPSVIGDLVKLHYLNLSNNKLAQTIPFKLGKLVQLNDLDLSHNSLEGRIPSEMGSMQSLVKLDLSHNNLSGSIPSSFEEMHGLLYVDISYNHLEGPLPNISAFREALPEGLKGNKGLCGIVRGLPPCNAHGSKKDQKFLFSLLAVIVFLSASFTIVFVIVQRKKKHQDKAQKNMNEEISFSVLNFDGKSMYEEIIRATEDFDPPYCIGKGRHGSVYIASLPSANVVAVKKLHLLQNDQKNLQNEFLNEVRALTEIRHRNIVKLYGFCAHKRHSFLVYEYLERGSLGAILSKEEEAKELGWSKRVNIVKGVAHALSYMHHDCLPPIVHRDISSKNILLDSEYKACVSDFGTAKFLNPDSTNWTAAAGTFGYIAPELAYTMKVNEKCDVYSFGAVTLEIIMGSHPGDVFSSLSSGASSSSSSASLAHEMPISDVLDQRISQPTKQEAWEVVSLVKIAFASLNPNPQCRPTMKKISQLLSSTQRLHLPKPLHMTTCGELLAVDGFTT >ONH99964 pep chromosome:Prunus_persica_NCBIv2:G6:4177183:4180986:1 gene:PRUPE_6G060100 transcript:ONH99964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARALIFSFSPKPHIPPTDISAPKRSFCPDSRPQTPFRFRVQIQNREHYWVLRVSGRDSGGGKRRGGKVFADVKSEAYDISESVDKFEKGLNDVPSRKEEELEEEPLTVAEASWLEQFPKRWVIVVLCFSAFLLCNMDRVNMSIAILPMSAEYNWNPGTVGLIQSSFFWGYLLTQIAGGIWADTVGGKQVLAFGVIWWSIATILTPVAAKVGLPFLLVVRAFMGIGEGVAMPAMNNILSKWIPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWFAVWISKAHSSPLEDPELRPAEKKLILASSVSKEPVKEIPWKLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAFSANLGGWIADTLVSKGFSVTRVRKIMQTIGFLGPAFFLTQLSHINSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTASTGYILQHGSWDDVFKVSVGLYLFGTVIWNLFSTGEKIID >ONH99965 pep chromosome:Prunus_persica_NCBIv2:G6:4177756:4180986:1 gene:PRUPE_6G060100 transcript:ONH99965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNYRAIKKLFFSELWQVNMSIAILPMSAEYNWNPGTVGLIQSSFFWGYLLTQIAGGIWADTVGGKQVLAFGVIWWSIATILTPVAAKVGLPFLLVVRAFMGIGEGVAMPAMNNILSKWIPVAERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWFAVWISKAHSSPLEDPELRPAEKKLILASSVSKEPVKEIPWKLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAFSANLGGWIADTLVSKGFSVTRVRKIMQTIGFLGPAFFLTQLSHINSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTASTGYILQHGSWDDVFKVSVGLYLFGTVIWNLFSTGEKIID >ONI04880 pep chromosome:Prunus_persica_NCBIv2:G6:29650992:29652996:1 gene:PRUPE_6G345600 transcript:ONI04880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQMQRVAVSPQEQANIDAAKKNRIQVSNTKKPLFFYVNLAKRYIEQHNEVELSALGMAITTVVTIAEILKNNGLAIEKKVSTSTVGMKDENKGRLVQKAKIEIVLGKSEKFDAIMKMNAAILAPEAVAEAKK >ONH99066 pep chromosome:Prunus_persica_NCBIv2:G6:680162:682356:1 gene:PRUPE_6G008600 transcript:ONH99066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIKKCRIIHQKLNCYIMINFYKHQHKARQFPIAVAYWGEREREREREEKRREEKRREERKRGKEREMEKKTQSRQRLVLFPCPYQGHINPMLQLGTFLHSKGFSITVVHTHFNSPNPSNHPEFTFFPIPDDLTADEISSGNIMAILLALNANCKASFEKTLTEVMEKEPQNKITCIIHDDIMYFSEAVARHLNIPNIMLRTTSVTNFLARSAVLQLHSEDHLPFPDSLSLNPVPQFHPLRFKDLPTSNLDTFENYSKLAVNAGNVRTASAIIWNTVDCLEQSSLAQIQQQCQVPILSIGPLHKIAPAASSSLLEEDTSCIAWLEKQSHKSVIYVSLGSVASIGEKELSEMAWGLANSNQPFLWVIRPGSICGLDWNETLPQGFIEAVGERGCIVKWAPQREVLAHGAVGGFWSHCGWNSTLESLSEGVPMICTPSFGDQKVHARYISQVWRVGVQLENELERGEIERAVRTLMVDDDGEGMRVRAKDLKEKIEVCMKKGGSSYSFLNKLVELIMSL >ONI01142 pep chromosome:Prunus_persica_NCBIv2:G6:9287402:9310824:1 gene:PRUPE_6G124200 transcript:ONI01142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFQSRKRDRGSSWSSKKQKVMRSAEEELESKLGFDLFSEGDKRLGWLLTFASSSWEDQDTRKVYSCVDLYFVSQDGSAFKSKYKFRPYFYAASKEKTEMDVEAYLRRRYENQIADIEIVEKEDLDLKNHLSGLRKSYLKLSFDNVQQLMNVKSDLLHVVERNHAKFDAADAYESIVIGKREQRPQDFLDCIIDLREFDVPYHVRFAIDNDIRCGQWYDVSVSSTGVMLEMRTDLLQRAEVHVCAFDIETTKLPLKFPDAEYDLIMMISYMVDGQGFLIINRECVGDDIEDLEYTPKPEFEGFFKVTNVKNEVELLRQWFAHMREVKPGIYVTYNGDYFDWPFLETRAAYHGLKMSDEVGFQCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYHPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDASAYEQLINNLDRDLQYAIRVEGKMDLESVSNYEEVKSSILEKLVGLRDEPIREESPLIYHLDVAAMYPNIILTNRLQPSSIVTDEICTACDFNRPGKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFVDGANSKLSKSFLELPKMEQQSRLKERLKKYCQKAYRRVVDKPVTELREAGICMRENSFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTKDLKKKLTISYPCVMLNVDVARNNTNDQYQTLTDPIGNTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVASVANRWLDLLDNQGKDVADSELLEYISESSTMSKSLADYGEQKSCAVTTARRLANFLGDSMVKDKGLRCQYIVACEPKGTPVSERAVPVAIFETDAEIMKFYVRKWCKVSSDVGIRSIIDWSYYKQRLGSAIQKIVTIPAAMQKVANPVPRVAHPDWLHKKVREKDDKFRQRKLVDMFRSLNRDHLLEKNSDTIGPNHVIDEEIVEDLEDFNRKSSSINRPRPIVRCYEVNNKKNSVETAGQIDSQQQRTNNKENELQEQNAVSSEAIDRNVDYHGWLQIKKRKWKDTLDRRKKQRLNNARSVHHANNEVLGGGRNHKEPQGKGVNSYFRRNEEALTRCHWQILQLVPSSQGDQFSAWVVVEGIMLKIPVTVPRVFYINSKAPIEKLFEKISEEKPQGKNVNKTLPHGRRAYNLYEAIIDEGRFKTLSKKLAALLGDPEVEGIYETKVPLEFNAIVQIGCVCKVDKTAKKRNVQDGWSVSELHMKTTTECTYLDESISFFYLYQSTSEGRAIFIAYFPASRTITVVVVNPYQNKDLSASFLEKQFREACQALSIQPPPRNGIIIKVDYVGFPKDAEMILHRAINEHRHEHHGPTVAVIECPNFQLMKSSIGALDDFPCVSIPSNARDSNYQVLGWQQVAAKIGMQRCAASPQWLRERISLSRYAHVPLGNFELDWLIHAADIFFSRALRDQQQVLWISDDGNPDLGGINEEDTCFADEVYQHDFAFPGAYRKVTVELKIHHLAVNALLKSNQVNEMEGGALLGFESDVNSTSDLHVGFDEATSCAHTLRVLKQLIQRCLANAVTSGNVYADALLQHLYRWLCSPQSKLHDPALHRILHKVMSKVLAILMIEFRKLGAKIIFANFCKVIIDTGKFDLSGAKAYCDSLLKTLQARELFEWIELEPLQFWHSLLFMDQYNYGGIPARADGSMHGEAQMDIVSSWNIAEYLPKKIQDHFVYIVSQFLYIPWDYEQKQAAVRTSLQNDSNCTPSITVAAAETFESHMIDFLKGQMSSYFTDKLLGIVHDTILHMKELNKSEDGHHRSPGLPQLAGDIHKGDAALEFIKHVCGVFSLDQNVHHDVMVMRRNLLKYLRVREFAPEAEFCDPCALFTLPNVICSYCNDCRDLDVCRDSALLTGEWRCVVPQCGQPYDREVMENALLQIVRQRERLYHLQDLVCLRCKQVKAAHLADQCVCAGSYKCREDATKFRSKMELIWKIAIHQKFQLLQECTEWILEVDHS >ONI01144 pep chromosome:Prunus_persica_NCBIv2:G6:9287402:9310824:1 gene:PRUPE_6G124200 transcript:ONI01144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFQSRKRDRGSSWSSKKQKVMRSAEEELESKLGFDLFSEGDKRLGWLLTFASSSWEDQDTRKVYSCVDLYFVSQDGSAFKSKYKFRPYFYAASKEKTEMDVEAYLRRRYENQIADIEIVEKEDLDLKNHLSGLRKSYLKLSFDNVQQLMNVKSDLLHVVERNHAKFDAADAYESIVIGKRPQDFLDCIIDLREFDVPYHVRFAIDNDIRCGQWYDVSVSSTGVMLEMRTDLLQRAEVHVCAFDIETTKLPLKFPDAEYDLIMMISYMVDGQGFLIINRECVGDDIEDLEYTPKPEFEGFFKVTNVKNEVELLRQWFAHMREVKPGIYVTYNGDYFDWPFLETRAAYHGLKMSDEVGFQCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYHPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDASAYEQLINNLDRDLQYAIRVEGKMDLESVSNYEEVKSSILEKLVGLRDEPIREESPLIYHLDVAAMYPNIILTNRLQPSSIVTDEICTACDFNRPGKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFVDGANSKLSKSFLELPKMEQQSRLKERLKKYCQKAYRRVVDKPVTELREAGICMRENSFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTKDLKKKLTISYPCVMLNVDVARNNTNDQYQTLTDPIGNTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVASVANRWLDLLDNQGKDVADSELLEYISESSTMSKSLADYGEQKSCAVTTARRLANFLGDSMVKDKGLRCQYIVACEPKGTPVSERAVPVAIFETDAEIMKFYVRKWCKVSSDVGIRSIIDWSYYKQRLGSAIQKIVTIPAAMQKVANPVPRVAHPDWLHKKVREKDDKFRQRKLVDMFRSLNRDHLLEKNSDTIGPNHVIDEEIVEDLEDFNRKSSSINRPRPIVRCYEVNNKKNSVETAGQIDSQQQRTNNKENELQEQNAVSSEAIDRNVDYHGWLQIKKRKWKDTLDRRKKQRLNNARSVHHANNEVLGGGRNHKEPQGKGVNSYFRRNEEALTRCHWQILQLVPSSQGDQFSAWVVVEGIMLKIPVTVPRVFYINSKAPIEKLFEKISEEKPQGKNVNKTLPHGRRAYNLYEAIIDEGRFKTLSKKLAALLGDPEVEGIYETKVPLEFNAIVQIGCVCKVDKTAKKRNVQDGWSVSELHMKTTTECTYLDESISFFYLYQSTSEGRAIFIAYFPASRTITVVVVNPYQNKDLSASFLEKQFREACQALSIQPPPRNGIIIKVDYVGFPKDAEMILHRAINEHRHEHHGPTVAVIECPNFQLMKSSIGALDDFPCVSIPSNARDSNYQVLGWQQVAAKIGMQRCAASPQWLRERISLSRYAHVPLGNFELDWLIHAADIFFSRALRDQQQVLWISDDGNPDLGGINEEDTCFADEVYQHDFAFPGAYRKVTVELKIHHLAVNALLKSNQVNEMEGGALLGFESDVNSTSDLHVGFDEATSCAHTLRVLKQLIQRCLANAVTSGNVYADALLQHLYRWLCSPQSKLHDPALHRILHKVMSKVLAILMIEFRKLGAKIIFANFCKVIIDTGKFDLSGAKAYCDSLLKTLQARELFEWIELEPLQFWHSLLFMDQYNYGGIPARADGSMHGEAQMDIVSSWNIAEYLPKKIQDHFVYIVSQFLYIPWDYEQKQAAVRTSLQNDSNCTPSITVAAAETFESHMIDFLKGQMSSYFTDKLLGIVHDTILHMKELNKSEDGHHRSPGLPQLAGDIHKGDAALEFIKHVCGVFSLDQNVHHDVMVMRRNLLKYLRVREFAPEAEFCDPCALFTLPNVICSYCNDCRDLDVCRDSALLTGEWRCVVPQCGQPYDREVMENALLQIVRQRERLYHLQDLVCLRCKQVKAAHLADQCVCAGSYKCREDATKFRSKMELIWKIAIHQKFQLLQECTEWILEVDHS >ONI01147 pep chromosome:Prunus_persica_NCBIv2:G6:9290230:9310824:1 gene:PRUPE_6G124200 transcript:ONI01147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEMRTDLLQRAEVHVCAFDIETTKLPLKFPDAEYDLIMMISYMVDGQGFLIINRECVGDDIEDLEYTPKPEFEGFFKVTNVKNEVELLRQWFAHMREVKPGIYVTYNGDYFDWPFLETRAAYHGLKMSDEVGFQCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYHPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDASAYEQLINNLDRDLQYAIRVEGKMDLESVSNYEEVKSSILEKLVGLRDEPIREESPLIYHLDVAAMYPNIILTNRLQPSSIVTDEICTACDFNRPGKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFVDGANSKLSKSFLELPKMEQQSRLKERLKKYCQKAYRRVVDKPVTELREAGICMRENSFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTKDLKKKLTISYPCVMLNVDVARNNTNDQYQTLTDPIGNTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVASVANRWLDLLDNQGKDVADSELLEYISESSTMSKSLADYGEQKSCAVTTARRLANFLGDSMVKDKGLRCQYIVACEPKGTPVSERAVPVAIFETDAEIMKFYVRKWCKVSSDVGIRSIIDWSYYKQRLGSAIQKIVTIPAAMQKVANPVPRVAHPDWLHKKVREKDDKFRQRKLVDMFRSLNRDHLLEKNSDTIGPNHVIDEEIVEDLEDFNRKSSSINRPRPIVRCYEVNNKKNSVETAGQIDSQQQRTNNKENELQEQNAVSSEAIDRNVDYHGWLQIKKRKWKDTLDRRKKQRLNNARSVHHANNEVLGGGRNHKEPQGKGVNSYFRRNEEALTRCHWQILQLVPSSQGDQFSAWVVVEGIMLKIPVTVPRVFYINSKAPIEKLFEKISEEKPQGKNVNKTLPHGRRAYNLYEAIIDEGRFKTLSKKLAALLGDPEVEGIYETKVPLEFNAIVQIGCVCKVDKTAKKRNVQDGWSVSELHMKTTTECTYLDESISFFYLYQSTSEGRAIFIAYFPASRTITVVVVNPYQNKDLSASFLEKQFREACQALSIQPPPRNGIIIKVDYVGFPKDAEMILHRAINEHRHEHHGPTVAVIECPNFQLMKSSIGALDDFPCVSIPSNARDSNYQVLGWQQVAAKIGMQRCAASPQWLRERISLSRYAHVPLGNFELDWLIHAADIFFSRALRDQQQVLWISDDGNPDLGGINEEDTCFADEVYQHDFAFPGAYRKVTVELKIHHLAVNALLKSNQVNEMEGGALLGFESDVNSTSDLHVGFDEATSCAHTLRVLKQLIQRCLANAVTSGNVYADALLQHLYRWLCSPQSKLHDPALHRILHKVMSKVLAILMIEFRKLGAKIIFANFCKVIIDTGKFDLSGAKAYCDSLLKTLQARELFEWIELEPLQFWHSLLFMDQYNYGGIPARADGSMHGEAQMDIVSSWNIAEYLPKKIQDHFVYIVSQFLYIPWDYEQKQAAVRTSLQNDSNCTPSITVAAAETFESHMIDFLKGQMSSYFTDKLLGIVHDTILHMKELNKSEDGHHRSPGLPQLAGDIHKGDAALEFIKHVCGVFSLDQNVHHDVMVMRRNLLKYLRVREFAPEAEFCDPCALFTLPNVICSYCNDCRDLDVCRDSALLTGEWRCVVPQCGQPYDREVMENALLQIVRQRERLYHLQDLVCLRCKQVKAAHLADQCVCAGSYKCREDATKFRSKMELIWKIAIHQKFQLLQECTEWILEVDHS >ONI01143 pep chromosome:Prunus_persica_NCBIv2:G6:9287402:9310824:1 gene:PRUPE_6G124200 transcript:ONI01143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFQSRKRDRGSSWSSKKQKVMRSAEEELESKLGFDLFSEGDKRLGWLLTFASSSWEDQDTRKVYSCVDLYFVSQDGSAFKSKYKFRPYFYAASKEKTEMDVEAYLRRRYENQIADIEIVEKEDLDLKNHLSGLRKSYLKLSFDNVQQLMNVKSDLLHVVERNHAKFDAADAYESIVIGKREQRPQDFLDCIIDLREFDVPYHVRFAIDNDIRCGQWYDVSVSSTGVMLEMRTDLLQRAEVHVCAFDIETTKLPLKFPDAEYDLIMMISYMVDGQGFLIINRECVGDDIEDLEYTPKPEFEGFFKVTNVKNEVELLRQWFAHMREVKPGIYVTYNGDYFDWPFLETRAAYHGLKMSDEVGFQCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYHPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDASAYEQLINNLDRDLQYAIRVEGKMDLESVSNYEEVKSSILEKLVGLRDEPIREESPLIYHLDVAAMYPNIILTNRLQPSSIVTDEICTACDFNRPGKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFVDGANSKLSKSFLELPKMEQQSRLKERLKKYCQKAYRRVVDKPVTELREAGICMRENSFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTKDLKKKLTISYPCVMLNVDVARNNTNDQYQTLTDPIGNTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVASVANRWLDLLDNQGKDVADSELLEYISESSTMSKSLADYGEQKSCAVTTARRLANFLGDSMVKDKGLRCQYIVACEPKGTPVSERAVPVAIFETDAEIMKFYVRKWCKVSSDVGIRSIIDWSYYKQRLGSAIQKIVTIPAAMQKVANPVPRVAHPDWLHKKVREKDDKFRQRKLVDMFRSLNRDHLLEKNSDTIGPNHVIDEEIVEDLEDFNRKSSSINRPRPIVRCYEVNNKKNSVETAGQIDSQQQRTNNKENELQEQNAVSSEAIDRNVDYHGWLQIKKRKWKDTLDRRKKQRLNNARSVHHANNEVLGGGRNHKEPQGKGVNSYFRRNEEALTRCHWQAIIDEGRFKTLSKKLAALLGDPEVEGIYETKVPLEFNAIVQIGCVCKVDKTAKKRNVQDGWSVSELHMKTTTECTYLDESISFFYLYQSTSEGRAIFIAYFPASRTITVVVVNPYQNKDLSASFLEKQFREACQALSIQPPPRNGIIIKVDYVGFPKDAEMILHRAINEHRHEHHGPTVAVIECPNFQLMKSSIGALDDFPCVSIPSNARDSNYQVLGWQQVAAKIGMQRCAASPQWLRERISLSRYAHVPLGNFELDWLIHAADIFFSRALRDQQQVLWISDDGNPDLGGINEEDTCFADEVYQHDFAFPGAYRKVTVELKIHHLAVNALLKSNQVNEMEGGALLGFESDVNSTSDLHVGFDEATSCAHTLRVLKQLIQRCLANAVTSGNVYADALLQHLYRWLCSPQSKLHDPALHRILHKVMSKVLAILMIEFRKLGAKIIFANFCKVIIDTGKFDLSGAKAYCDSLLKTLQARELFEWIELEPLQFWHSLLFMDQYNYGGIPARADGSMHGEAQMDIVSSWNIAEYLPKKIQDHFVYIVSQFLYIPWDYEQKQAAVRTSLQNDSNCTPSITVAAAETFESHMIDFLKGQMSSYFTDKLLGIVHDTILHMKELNKSEDGHHRSPGLPQLAGDIHKGDAALEFIKHVCGVFSLDQNVHHDVMVMRRNLLKYLRVREFAPEAEFCDPCALFTLPNVICSYCNDCRDLDVCRDSALLTGEWRCVVPQCGQPYDREVMENALLQIVRQRERLYHLQDLVCLRCKQVKAAHLADQCVCAGSYKCREDATKFRSKMELIWKIAIHQKFQLLQECTEWILEVDHS >ONI01141 pep chromosome:Prunus_persica_NCBIv2:G6:9287402:9310824:1 gene:PRUPE_6G124200 transcript:ONI01141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFQSRKRDRGSSWSSKKQKVMRSAEEELESKLGFDLFSEGDKRLGWLLTFASSSWEDQDTRKVYSCVDLYFVSQDGSAFKSKYKFRPYFYAASKEKTEMDVEAYLRRRYENQIADIEIVEKEDLDLKNHLSGLRKSYLKLSFDNVQQLMNVKSDLLHVVERNHAKFDAADAYESIVIGKREQRPQDFLDCIIDLREFDVPYHVRFAIDNDIRCGQWYDVSVSSTGVMLEMRTDLLQRAEVHVCAFDIETTKLPLKFPDAEYDLIMMISYMVDGQGFLIINRECVGDDIEDLEYTPKPEFEGFFKVTNVKNEVELLRQWFAHMREVKPGIYVTYNGDYFDWPFLETRAAYHGLKMSDEVGFQCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYHPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDASAYEQLINNLDRDLQYAIRVEGKMDLESVSNYEEVKSSILEKLVGLRDEPIREESPLIYHLDVAAMYPNIILTNRLQPSSIVTDEICTACDFNRPGKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFVDGANSKLSKSFLELPKMEQQSRLKERLKKYCQKAYRRVVDKPVTELREAGICMRENSFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTKDLKKKLTISYPCVMLNVDVARNNTNDQYQVSLEHFSKLFISIALGTTLAKCIVYCNLVCLICTTLPFLPNSQHHFYQTLTDPIGNTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVASVANRWLDLLDNQGKDVADSELLEYISESSTMSKSLADYGEQKSCAVTTARRLANFLGDSMVKDKGLRCQYIVACEPKGTPVSERAVPVAIFETDAEIMKFYVRKWCKVSSDVGIRSIIDWSYYKQRLGSAIQKIVTIPAAMQKVANPVPRVAHPDWLHKKVREKDDKFRQRKLVDMFRSLNRDHLLEKNSDTIGPNHVIDEEIVEDLEDFNRKSSSINRPRPIVRCYEVNNKKNSVETAGQIDSQQQRTNNKENELQEQNAVSSEAIDRNVDYHGWLQIKKRKWKDTLDRRKKQRLNNARSVHHANNEVLGGGRNHKEPQGKGVNSYFRRNEEALTRCHWQILQLVPSSQGDQFSAWVVVEGIMLKIPVTVPRVFYINSKAPIEKLFEKISEEKPQGKNVNKTLPHGRRAYNLYEAIIDEGRFKTLSKKLAALLGDPEVEGIYETKVPLEFNAIVQIGCVCKVDKTAKKRNVQDGWSVSELHMKTTTECTYLDESISFFYLYQSTSEGRAIFIAYFPASRTITVVVVNPYQNKDLSASFLEKQFREACQALSIQPPPRNGIIIKVDYVGFPKDAEMILHRAINEHRHEHHGPTVAVIECPNFQLMKSSIGALDDFPCVSIPSNARDSNYQVLGWQQVAAKIGMQRCAASPQWLRERISLSRYAHVPLGNFELDWLIHAADIFFSRALRDQQQVLWISDDGNPDLGGINEEDTCFADEVYQHDFAFPGAYRKVTVELKIHHLAVNALLKSNQVNEMEGGALLGFESDVNSTSDLHVGFDEATSCAHTLRVLKQLIQRCLANAVTSGNVYADALLQHLYRWLCSPQSKLHDPALHRILHKVMSKVLAILMIEFRKLGAKIIFANFCKVIIDTGKFDLSGAKAYCDSLLKTLQARELFEWIELEPLQFWHSLLFMDQYNYGGIPARADGSMHGEAQMDIVSSWNIAEYLPKKIQDHFVYIVSQFLYIPWDYEQKQAAVRTSLQNDSNCTPSITVAAAETFESHMIDFLKGQMSSYFTDKLLGIVHDTILHMKELNKSEDGHHRSPGLPQLAGDIHKGDAALEFIKHVCGVFSLDQNVHHDVMVMRRNLLKYLRVREFAPEAEFCDPCALFTLPNVICSYCNDCRDLDVCRDSALLTGEWRCVVPQCGQPYDREVMENALLQIVRQRERLYHLQDLVCLRCKQVKAAHLADQCVCAGSYKCREDATKFRSKMELIWKIAIHQKFQLLQECTEWILEVDHS >ONI01145 pep chromosome:Prunus_persica_NCBIv2:G6:9287402:9309613:1 gene:PRUPE_6G124200 transcript:ONI01145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFQSRKRDRGSSWSSKKQKVMRSAEEELESKLGFDLFSEGDKRLGWLLTFASSSWEDQDTRKVYSCVDLYFVSQDGSAFKSKYKFRPYFYAASKEKTEMDVEAYLRRRYENQIADIEIVEKEDLDLKNHLSGLRKSYLKLSFDNVQQLMNVKSDLLHVVERNHAKFDAADAYESIVIGKREQRPQDFLDCIIDLREFDVPYHVRFAIDNDIRCGQWYDVSVSSTGVMLEMRTDLLQRAEVHVCAFDIETTKLPLKFPDAEYDLIMMISYMVDGQGFLIINRECVGDDIEDLEYTPKPEFEGFFKVTNVKNEVELLRQWFAHMREVKPGIYVTYNGDYFDWPFLETRAAYHGLKMSDEVGFQCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYHPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDASAYEQLINNLDRDLQYAIRVEGKMDLESVSNYEEVKSSILEKLVGLRDEPIREESPLIYHLDVAAMYPNIILTNRLQPSSIVTDEICTACDFNRPGKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFVDGANSKLSKSFLELPKMEQQSRLKERLKKYCQKAYRRVVDKPVTELREAGICMRENSFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENFTFKTKDLKKKLTISYPCVMLNVDVARNNTNDQYQTLTDPIGNTYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVASVANRWLDLLDNQGKDVADSELLEYISESSTMSKSLADYGEQKSCAVTTARRLANFLGDSMVKDKGLRCQYIVACEPKGTPVSERAVPVAIFETDAEIMKFYVRKWCKVSSDVGIRSIIDWSYYKQRLGSAIQKIVTIPAAMQKVANPVPRVAHPDWLHKKVREKDDKFRQRKLVDMFRSLNRDHLLEKNSDTIGPNHVIDEEIVEDLEDFNRKSSSINRPRPIVRCYEVNNKKNSVETAGQIDSQQQRTNNKENELQEQNAVSSEAIDRNVDYHGWLQIKKRKWKDTLDRRKKQRLNNARSVHHANNEVLGGGRNHKEPQGKGVNSYFRRNEEALTRCHWQILQLVPSSQGDQFSAWVVVEGIMLKIPVTVPRVFYINSKAPIEKLFEKISEEKPQGKNVNKTLPHGRRAYNLYEAIIDEGRFKTLSKKLAALLGDPEVEGIYETKVPLEFNAIVQIGCVCKVDKTAKKRNVQDGWSVSELHMKTTTECTYLDESISFFYLYQSTSEGRAIFIAYFPASRTITVVVVNPYQNKDLSASFLEKQFREACQALSIQPPPRNGIIIKVDYVGFPKDAEMILHRAINEHRHEHHGPTVAVIECPNFQLMKSSIGALDDFPCVSIPSNARDSNYQVLGWQQVAAKIGMQRCAASPQWLRERISLSRYAHVPLGNFELDWLIHAADIFFSRALRDQQQVLWISDDGNPDLGGINEEDTCFADEVYQHDFAFPGAYRKVTVELKIHHLAVNALLKSNQVNEMEGGALLGFESDVNSTSDLHVGFDEATSCAHTLRVLKQLIQRCLANAVTSGNVYADALLQHLYRWLCSPQSKLHDPALHRILHKVMSKVLAILMIEFRKLGAKIIFANFCKVIIDTGKFDLSGAKAYCDSLLKTLQARELFEWIELEPLQFWHSLLFMDQYNYGGIPARADGSMHGEAQMDIVSSWNIAEYLPKKIQDHFVYIVSQFLYIPWDYEQKQAAVRTSLQNDSNCTPSITVAAAETFESHMIDFLKGQMSSYFTDKLLGIVHDTILHMKELNKSEDGHHRSPGLPQLAGDIHKGDAALEFIKHVCGVFSLDQNVHHDVM >ONI04335 pep chromosome:Prunus_persica_NCBIv2:G6:28162288:28165134:1 gene:PRUPE_6G316400 transcript:ONI04335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDSWSARLSTASRRYQNALQSRSDMFMGFEEFDGDDDIREEFPCPFCSEYFDIVGLCCHIDEEHPVEAKNGVCPVCAMRVGVDMVAHITLQHGSMFKMQRKRKTRNGGAHSTLSLLRKELREGNLQSLFGGSSCILSSSNAAPDPLLSSFILPMADDFVSVQPHFSIETSSAKKSIDERLSERYVIKFSTAFDGHLKPISPACSNYGFLTSCSSWMP >ONI04334 pep chromosome:Prunus_persica_NCBIv2:G6:28162288:28165815:1 gene:PRUPE_6G316400 transcript:ONI04334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDSWSARLSTASRRYQNALQSRSDMFMGFEEFDGDDDIREEFPCPFCSEYFDIVGLCCHIDEEHPVEAKNGVCPVCAMRVGVDMVAHITLQHGSMFKMQRKRKTRNGGAHSTLSLLRKELREGNLQSLFGGSSCILSSSNAAPDPLLSSFILPMADDFVSVQPHFSIETSSAKKSIDERLSERNVPSPPLSIKDKEEKTKRCAFVQGMLLSTILDDGL >ONI04333 pep chromosome:Prunus_persica_NCBIv2:G6:28162288:28165815:1 gene:PRUPE_6G316400 transcript:ONI04333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDSWSARLSTASRRYQNALQSRSDMFMGFEEFDGDDDIREEFPCPFCSEYFDIVGLCCHIDEEHPVEAKNGVCPVCAMRVGVDMVAHITLQHGSMFKMQRKRKTRNGGAHSTLSLLRKELREGNLQSLFGGSSCILSSSNAAPDPLLSSFILPMADDFVSVQPHFSIETSSAKKSIDERLSERPNTFGHRNVPSPPLSIKDKEEKTKRCAFVQGMLLSTILDDGL >ONI03785 pep chromosome:Prunus_persica_NCBIv2:G6:26306485:26309258:1 gene:PRUPE_6G281800 transcript:ONI03785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKNPNLNPKVPVPVQNDEIDWEMRPGGMLVQRREDGDDASAASASASSRGPMIKIDVVHGPAHQAQYELFVPAHSTFGDVKIHLAQKTGLQPSAQKLFFRGKEKEDEEQLHIAGVKDNSKVLLMEDRKPEEKKVEELRESNAGEVGGNSNADEIGVNSSDMSKAFQAIAEVRAEVDKLSDRVAALEVAVGGGTKVSDKEFATSTELLMRQLLKLDGIKADGEAKMQRKAEVRRVQHFVDALDTLKVRNSNPFNNSSNAASVTTKWETFDSGVGCLSAPTPMPSSTEVNQDWEHFD >ONI03662 pep chromosome:Prunus_persica_NCBIv2:G6:25833037:25834339:1 gene:PRUPE_6G273200 transcript:ONI03662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFKLSYMLSLLHQQSRAAEDAEVAEEYHTQPATKCLEECMDAIYGLDEVVRQCYTEKINYKEDELAEIVLLDGCFILELFLRCDRNLKYMKSAWTIAGLQHDLALLENQIPFFVLELLYNTIKPHITRCKLPQSVGSLALNFFHPLEIDSDNEQHSALQRVTSRLLGKIKGENQTPPCLPSHHQRDQASKGKWEFNYCASELLESGIEFKVGPSTQQYLLDIKFEDGVIIIPQLRIHETTNSLLKNQIAYEQCCLRSTHRVTSYVFLLKSLIRTSGDSKLLQARNIIEHNNLIRDKEFLSQFESVLDQVVMKDNFCYAALLHQVNKYCKSWYSFSRVRVFLWVQFQRQKRILCDTYFSPPWKVISLVGGVFLLLLTSLQTYYTIYPRH >ONI05076 pep chromosome:Prunus_persica_NCBIv2:G6:30183321:30187203:1 gene:PRUPE_6G355400 transcript:ONI05076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPPAQFAHPSFTLIPSNPNPNPNFFHSQPQNTQPVISTPPLPPPDLSTTISSLDSLVRDSYQTLDSLSALLPLQNPNYDNPQSSLIPCPFNPHHRVHPHSLFSHSLHCPSHPHPLPHLNYPKTLKSSDQSQTEKSFLQTLHGSEADLRLSLEHYYADFGSNFFYSDCPGVVNFSGLDGVNRMFTLPLILSVECANFIGRGEREIMDFEKEWCRILPSELWAIKTEVEGWNEFPFTYSYRVLCAILGLGVVKEYDVGTWIIANSPQYGIVIDVAMRDHIFLLSRLCLKAILREALSKVKEGDPESTHFECPTLVQALMWLASQLSILYGAQNGKLFVINVLKKCLLDAALGSLTFPLEQQVTEYPALEEGLLNLDANGSGVRDAEVMKPLSTHGGENSMVKENIFSREVFVSQVAAAVAALHERFLLEEKLKAQRVSQTFTRYQRMVDHEYVSQRADEERKNRSQYRPIIDHDGLPRQQSCNQETNKPKTREELLAEERDYKRRRMSYRGKKVKRTTLQEYMEEIKQAGGIGCFEKGTEGEGSFPFELPSAPEITTDAEKPTKSNYDSAGCSPSRSRKRSHSSYYAIDSVTSRDASAKGSEKPRRSLQGHHHYLEDHRSDSRDRRDMVKHSRSPESRRNPGWAHGQTRHHRERDDLEVRKTKHREISRSSSSISKYRDNRSSSHSNSGENSKVRRDRKYARAGRYSEKEKSPDYH >ONH99748 pep chromosome:Prunus_persica_NCBIv2:G6:3419336:3422433:1 gene:PRUPE_6G047600 transcript:ONH99748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGNLTLAPKNITECLDFPANVHSEGILNYNTAAGMPHTLPNLEMQMVLIFLVTKAFYAILRYLGVPRFTTQIITGLILGPTFLGKLPMLKQYLFNLQSQEIIGVLSEFGYGLFMFLIGVKMDLGMIMRTGQKALCTGVACVVVPLVVGILVQTQLTSSYFNLTEQEIFKLSFVTASHCLTPFPVVACLLEDLKILNSEIGRLGMSAALVSDICSVFLQFVGTIARMVKQEWSRFTILGIGSSIGYIIVVASILRPAMYWVIRHTPKNRPVKKAYLNIIIVVVLSSGVLSHMYGQSFHFGPFILGLAVPAGPPLGSAIEANLGLFVSDVLLPIFVTTCSMRVDFSWLSYFKTDAFTQINGILIVLVLVTKFLASIVPPLYCNLPFSDALTVALILSCKGIVNLAAYTDLRDNQIINDPSYVLAITSILVTATLVPIAVKYLYDPSRKYAGYQKRNMMHLKPNAELKILACIHRSANMPAVINLLDAACPSKENPIGVYVLHLIELVGRASPIFISHELHKNSVSNVSYSDDILLYFNQFVRENLGAVSLSLFTAISAPKYMYEDICHLALDKLVSLIVLPFHRRWSIDGSVESEDINIRTLNCGVLDRAPCSVGILVDRSHLGRSTSTVSPQSSFSVAIIFLGGKDDREAIAFSKRMAKDSTISLTVIRLVPRTNEAVGSDWDLDTEGLKYFRDDDVDERFVMYLEEAVKDGPQTALLLRYIVDQYDLIIVGRRYNVQSPQTAGLSEWTEFPELGTVGDLLASPDINCRASILVVQQQRMVTK >ONI02570 pep chromosome:Prunus_persica_NCBIv2:G6:21557663:21561082:-1 gene:PRUPE_6G207100 transcript:ONI02570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNDWGFSTHPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCVVGSCQSCDNCANNIENYCPKYILTYGAKYHDGTITYGGYSDFMVADEHFVIRVSDNLPLDGAAPLLCAGITTYSALRYYGLDKPGMHVGVVGLGGLGHVAVKFAKAMGVRVIIISTSPNKKKEAIEHLRADSFLVSRDQDQKQAVVGTMDGIIDTVSAVHPLLPLIALLKSNGKLVMVGAPEKPLELPVFPLLMGKPIT >ONH99088 pep chromosome:Prunus_persica_NCBIv2:G6:793305:793532:1 gene:PRUPE_6G010600 transcript:ONH99088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNFAFVQGQFNGSTISLLGRNSIFNKVRELPVVGGSGAFRFARGYAEATTHTFDGTTTGDATVEYNIYVLHYY >ONH99190 pep chromosome:Prunus_persica_NCBIv2:G6:1185892:1188808:-1 gene:PRUPE_6G016600 transcript:ONH99190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTAQLLLLSSLFLQLVLVLSQTNGSIAVGGSLTATAEGNSSSSWLSPSGDFAFGFRPLGNNDLFLLSIWYAKIPDRTIVWYANNNLVGAPAAPLGSTVNLTAHSGLVLTSPRGEELWKSETIVGVVANGVLNDTGYDSSKKLWESFKNPTDTILPGQIIEREGNLASRQSENNYSNGRFQLWLQQAGTFCSTPPYYGSATTNGTVPGSEGKQLVFNDSGFMVSAMAYYTRATLNFDGVFAQYVHPNTFSGNASWEPLWSLPENICLDIDADKGPGVCGLTSICTLSAADKRPKCECPRGFSLHDPNDLYGTCKPDFIKNCEEEEYDVQVQTNTDWRNSEYNRSEEFTADNCRESCIQDCLCGVAIYSNRTCWKKELPLSYGRVDSSLHATTFIKFRKDNSTLSVPPSPSPDDKKNKQTTFLGVRPVISATTLLVCLVLIAVAFSLGLYFTFQKKQVRIMQSGLNINLRPFSYQELQEATNGFTEELGRGNFGVVYKGIIQNGSQVQVAVKKLRCVKQDGDEEFKAEVNVIGKTHHKNLVRLLAYCDEGQQRLLVYELLNNGTLASFLFADLKPSWGQRIKIAYGITKGLEYLHEECSTPIIHCDIKPQNILLDDNCTARIADFGLGKLLTMDQSHTPTGIRGTRGYATPEWWFRNVPITTKVDVYSFGVVLLEIICCRRSVVNNCEEKAILINWVYDCYQE >ONH99274 pep chromosome:Prunus_persica_NCBIv2:G6:1798907:1800144:1 gene:PRUPE_6G022400 transcript:ONH99274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIRLHLTVVAVILFAVTFASVRACPPSDLAALLAIKAALHEPHFGIFNSWTGTDCCHNWHGVSCDPETGRVADINLRGEAEDPIYEKNHRTGYMTGTISPAICRLTRLSSLTIADWKGITGEIPKCITTLPFLRIFDLMGNKISGQIPAGIGRLHRLTVLNFADNLISGPIPTSLTNLSSLMHLDLRNNKISGELPRDFGRLGMLSRALLSRNLIGGTIPSSIAQIYRLADLDLSLNQISGPIPPTIGKMAVLATLNLDSNKISGRIPPSLLVSGISNLNLSKNFLDGIIPDVFGPSSYFTVIDLSFNKLRGNIPKSIISASYIGHLDLSHNHLCGRIPAGSPFDHLEPSSFTYNDCLCGKPLKTC >ONH99838 pep chromosome:Prunus_persica_NCBIv2:G6:3720041:3721798:1 gene:PRUPE_6G052900 transcript:ONH99838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDGHPMVIGGFQSVGRVESLRLIVLISATAGRESFSHGIQLMVPLKKFSSKSCDVEMYSKKILDSNFHKNKNIQHGKEFGNRRSIVYLLL >ONI01806 pep chromosome:Prunus_persica_NCBIv2:G6:14760393:14768999:1 gene:PRUPE_6G160100 transcript:ONI01806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTQRASAFLSSAAQLGPQQNHDVFLSFRGVDTRNSFVSHLYHELQHRGIKTFKDDPKLERGTTISSELFNAIEESRLAIIVLSPNYASSSWCLDELTKILQCMKSKGTILPVFYNVDPADVRTQRGNFGCAFTEYEERFRKDIEKVKRWRAALTEVANLSGLDSKNECERKLIEKIVEWVWRKVHHTLKLLDSTELVGLKFVSKQVDLLLAPDADDVRFIGIWGMGGIGKTTIAKLVHDSVGIHFEVSCFLANIREVSERGNLVDLQRKLLFPILKQQITHVWDEQSGTYFIKNCLCNKKVLLILDDVNDSCQLEKLVGEKDWFGKGSIIIITTRDERLLVKHDIHISYKVEALGDDDALELFSQNAFKKKIPDEGFWELSKSFVNYARGLPLALKLLGCLVYKRDQDEWKSELEKLRKNLEPKVFDLLKLSYDGLDEMNKNIFLDVAFFHKGKDKKQVIEILDRCGLCGCIGIDALVQKSLLTNISDNIVGMHDLIQEMAFEIVHRECPEEPGRRSRLCNHDDISHVFINNTATNKIQGIALRMGRLEKADWNCEAFSRMYNLKYLEFYNVIISSSPRRLPNSLRIIKWSGYPSRFLPPGFQPNFLISLEMRDNKLVRLWDGRKDLPNLKKMDLCYSENLTATPDFTGIPKLEQLKFERCENLVEIHPSIAFLKWLKYLNLNGCKNVKSLPREVEMDSLAYFSLESCSKVKTIPEFSGQMKNLSSLNLNETSIEKLPSSIGRLVGLTSLNIRDCKNLLGLPSAICNLKSLEWLNANGCSNIDKLPESLGEMECIEWLFLGGTAIRQVPWSIVRLKNLKYLDFGGCGSQLKYQRNGFVLDSLDGLLSLTRLDLSDCGLCEGDIPGDIGCLSSLVELKLSGNNFVSLPASIRCLSKLRLFRVNMCQRLQQLPHLPSNSTLDINTDNCTSLKMLSGLLKWSRNGEIEMYTFTLRSANCFGLVNNEGCDSLTLRMLRRFLQGFVGQLKIVIPGKKIPEWFSNQSVGDSLTVELPPDSCSTWIGIAFCAVFRENQTNPADDLSEFPCFRIDCSAGGEFLARSDTFKRGNVVSDHLWVIYVSREKLKRKCGQIKVLLETYYSQYSEWSPRKYCSSVKKCGLRLVLEQDVEELNQIMMDKSIITSTTTSPSNSADTQGQQRDVDDGADPKW >ONI05243 pep chromosome:Prunus_persica_NCBIv2:G6:30566408:30567852:1 gene:PRUPE_6G363900 transcript:ONI05243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKRSKDAVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVQDL >ONI00653 pep chromosome:Prunus_persica_NCBIv2:G6:7014661:7020266:1 gene:PRUPE_6G100700 transcript:ONI00653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEKMGTEEKHEAEVHDRDENSTQGTIAVPVHKIEDSMGVTEEAPQVHPWKRQNLYLEIPSRTSEDCVEDFVAIKMPPTPSPTPRKVNFLLTPGSIDARVSGSPGPSSAKGKSSIKALFPKLSFKYRSSSDIEKASNLASEGSSTGAREKPSISRSLSLTKLFTPRMRRTSSLPVTPIALSHPESAHGGSVGGSLTSIRKESQVQISRSLSVPVNNKERQLRRMDSFFRVIPSTPRVKEVDVISISSPTVDSGKDDDDAGEDISEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVQNLSVTLLRIQSIRARNAGTSRFDQEDANGYRVWQEVPVLVIISMLAYFCFLEQLLVEKMGTGAIAISLPFSCVLGLLSSMTSTTMVKRRFVWVYASVQFALVVLFAHIFYSLVRVQAVLCILLATFAGLGVAMSGSSIIVECLRWKRRWQTTSQQPLSS >ONI00654 pep chromosome:Prunus_persica_NCBIv2:G6:7016647:7020264:1 gene:PRUPE_6G100700 transcript:ONI00654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTEEAPQVHPWKRQNLYLEIPSRTSEDCVEDFVAIKMPPTPSPTPRKVNFLLTPGSIDARVSGSPGPSSAKGKSSIKALFPKLSFKYRSSSDIEKASNLASEGSSTGAREKPSISRSLSLTKLFTPRMRRTSSLPVTPIALSHPESAHGGSVGGSLTSIRKESQVQISRSLSVPVNNKERQLRRMDSFFRVIPSTPRVKEVDVISISSPTVDSGKDDDDAGEDISEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVQNLSVTLLRIQSIRARNAGTSRFDQEDANGYRVWQEVPVLVIISMLAYFCFLEQLLVEKMGTGAIAISLPFSCVLGLLSSMTSTTMVKRRFVWVYASVQFALVVLFAHIFYSLVRVQAVLCILLATFAGLGVAMSGSSIIVECLRWKRRWQTTSQQPLSS >ONI02954 pep chromosome:Prunus_persica_NCBIv2:G6:23380038:23384459:1 gene:PRUPE_6G231100 transcript:ONI02954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLLPLLLLLITTVQSQPSISPPSPPPPNLLLPSSDAVALLSFKSGADLNNKLLYTLNERFDYCQWQGVKCAQGRVVRFVLQSYSLRGEFPPDTLTRLDQLRVLSLHNNSLSGPIPDISHLQNLKSLFLNRNDFSGALPPSILSLHRLSVLDLSFNNFSGPIPLRLSALDRLTSLQLQSNRFNGTLPALNQSFLFVFNVSGNDLTGPVPPTLSRFDASAFQLNPGLCGEIVNRACSSRAPFFESRNASATSPASEPLVESAQSQGVVLSKPSPKNHKKTGLILGLAIGVALLIAGLLCLFAVARNRTKTITHEATKPSSINEGIDHIHTNPNPNAFRNETQVTEQRGMQYPSKVKTVDEFEQAQPRAIPRSGNLVFCYGEAQLYSLEQLMRASAELLGRGTIGTTYKAVLDNQLIVTVKRLDAGKTAITSREAFDEHMDAVGGLRHPYLVPVRAYFQAKGERLVIYDYQPNGSLFNLIHGSKSTRAKPLHWTSCLKIAEDVAQGLAYIHQSSTLIHGNLKSSNVLLGGDFEACLTDYSLTFFADTSANEDPDSAGYKAPEIRKSSRRATSKSDVYAFGILLLELLTGKHPSQHPLLVPTDVPDWVRAMRDDDVGDDNQLGMLAEVACISSLTSPEQRPAMWQVLKMIQEIKESVMTEDNAGVGFSKQ >ONI03415 pep chromosome:Prunus_persica_NCBIv2:G6:24859631:24862085:1 gene:PRUPE_6G255700 transcript:ONI03415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEETYDPQSLETVPHNSQSPTFDGDQRNLQLKNDLALVCIPQARADEGSSSSHHPNEYNVHLRLKRTLSYIISLLPMDKELYASIVHRTRKYSFAQRLRGWKLERRQRSTLMCDMYYRHQESNMFFRSNTEVVNFILYEAKPQDKRTTKRKAQRIADSAETSEQGRRKRLTTPTTRKGRREADHCESSHGGNEYSVGSVVQAAVALLQLSLDEQIHTGPSAPPTAVSHEPAREWNQATIIPLDGHANNNPDVSHPVDAEPNPSPDQQEDQPENQNVNPPVESTEPNPKAPVDGLNASDQAEPNGGDEEGVRKVEANDTTTVDEDENLFEGLEPLDWNMIHLPDKPEIPDLNSHVDSTEPNPGAPVDGLNAGDQAEPNGGDEEGIDQAEANDPTTVDEDENLFEELGPLDGRIFYLPEL >ONI01393 pep chromosome:Prunus_persica_NCBIv2:G6:11104288:11105059:-1 gene:PRUPE_6G137200 transcript:ONI01393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFIDPATVSASSGTIADRSRLLASRLQKTDGEQIFLMPYNPGRHWILLIVRAKRETVYFLDPLPRNRVVDEEAKNIVNSAIKIYNSHIARPGRKAVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFEKKVRRNYLHTFYVIFCIINDGHVKLPSLNRYSTSVMKNIVVCD >ONH99626 pep chromosome:Prunus_persica_NCBIv2:G6:2999112:3004539:1 gene:PRUPE_6G040100 transcript:ONH99626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVQYNKTMKRTESRKSHPWWWDSHISPKNSKWLPENLEEMDRSIKRMLKLIEEDGDSFAKKAEMYYQKRPELIAHVEEFYRLYRSLAERYDHVTGELRKNVTLDLQSQSSCLSDIGSELPSAWPSPDVQPQRLGRRRSGPRAAGFDFFLGPGVSSSDNYQKEGDESSSLTDSEPESDDSSVNNYSTPLGNGGDHGQMRKIIELEIDLREVKEKLRMQQEDNADSSFTDSKTDHSEGFSAKIAEYEQELTTANEKLRNSEEEIARLNIKLKRYESSELNNGFDAALETSKQKEAKRDEGEQDIEINEMSDVHKSVGGPEEVQDPDSKMEALMKELKITKDRLQISEKEIASLRHQLESNKASEEIQRLQGQLESANKDISMWRAKLNTEKREVSKLQERITRLKSSLSDRDHEVMDLKIAVSDAEEKIFPEKSQVKAEISRLQSERTHLEDQLRDWESRGRLLEDEIRQMKAGKTEMEERLNGKIEQLKEDILERSNQTENLNKTLDAMKIERDEINTKVVTLKAEASSRDDQINEMDKNLQQIQMEHQELLNGAEGARKLVEELTARAKELEEEIQRQRVTIMEGAEEKREVIRQLCFSLEHYRNGYHRLRQACMGNKQRVPVLAS >ONH99627 pep chromosome:Prunus_persica_NCBIv2:G6:2999112:3004539:1 gene:PRUPE_6G040100 transcript:ONH99627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTESRKSHPWWWDSHISPKNSKWLPENLEEMDRSIKRMLKLIEEDGDSFAKKAEMYYQKRPELIAHVEEFYRLYRSLAERYDHVTGELRKNVTLDLQSQSSCLSDIGSELPSAWPSPDVQPQRLGRRRSGPRAAGFDFFLGPGVSSSDNYQKEGDESSSLTDSEPESDDSSVNNYSTPLGNGGDHGQMRKIIELEIDLREVKEKLRMQQEDNADSSFTDSKTDHSEGFSAKIAEYEQELTTANEKLRNSEEEIARLNIKLKRYESSELNNGFDAALETSKQKEAKRDEGEQDIEINEMSDVHKSVGGPEEVQDPDSKMEALMKELKITKDRLQISEKEIASLRHQLESNKASEEIQRLQGQLESANKDISMWRAKLNTEKREVSKLQERITRLKSSLSDRDHEVMDLKIAVSDAEEKIFPEKSQVKAEISRLQSERTHLEDQLRDWESRGRLLEDEIRQMKAGKTEMEERLNGKIEQLKEDILERSNQTENLNKTLDAMKIERDEINTKVVTLKAEASSRDDQINEMDKNLQQIQMEHQELLNGAEGARKLVEELTARAKELEEEIQRQRVTIMEGAEEKREVIRQLCFSLEHYRNGYHRLRQACMGNKQRVPVLAS >ONI04740 pep chromosome:Prunus_persica_NCBIv2:G6:29263865:29266487:1 gene:PRUPE_6G337200 transcript:ONI04740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWSSSSSTSSPKGIVITVPALVLAASVAAIFFFFLLSSLSSSCSCSGRDAGGAPASATGGDVSVTRAGDGGGTHFSVSEGGGESIWSSKEDVEWVKDQIRVNGLHMQDNVLRKGINPRTRAEQLQDLLQFKGISHYEGPESENHTALPCPGELLTEEHHSNYGEPWAGGRDVFEFLAQSTHLKPESHVLEIGCGTLRVGLHFIRYLNAGHFHCLERDELSLMAAFRYELPSQGLLHKRPLIVKGDNMDFSRFGSEVVYDLIYASAVFLHMPDKLVWVGLERLTNKLKPFDGRIFVSHNIKFCSRLGGDECAKRLKSLGLEYIGKHTHDSLLFNHYEIWFEFRRSKG >ONI04739 pep chromosome:Prunus_persica_NCBIv2:G6:29263822:29266243:1 gene:PRUPE_6G337200 transcript:ONI04739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWSSSSSTSSPKGIVITVPALVLAASVAAIFFFFLLSSLSSSCSCSGRDAGGAPASATGGDVSVTRAGDGGGTHFSVSEGGGESIWSSKEDVEWVKDQIRVNGLHMQDNVLRKGINPRTRAEQLQDLLQFKGISHYEGPESENHTALPCPGELLTEEHHSNYGEPWAGGRDVFEFLAQSTHLKPESHVLEIGCGTLRVGLHFIRYLNAGHFHCLERDELSLMAAFRYELPSQGLLHKRPLIVKGDNMDFSRFGSEVVYDLIYASAVFLHMPDKLVWVGLERLTNKLKPFDGRIFVSHNIKFCSRLGGDECAKRLKSLGLEYIGKHTHDSLLFNHYEIWFEFRRSKG >ONI00913 pep chromosome:Prunus_persica_NCBIv2:G6:7983877:7992517:1 gene:PRUPE_6G111200 transcript:ONI00913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTRYKKLSGSQNLRQQLVLSTLTFTPIQIHDIRTNETWPGLLKYEVSLVKLLALVHHGCSFEINDTGTGFKFKPGIAMGRLKLDHDCGFERSIGYFLEPLSLLGLFAKKPITITLKGITNDSKGPSIDTFRSTTFPILKRFGVPSEGLELEIRSHGCAPKGGGEVVVAIPVVQSLECVKFSTRVSVQFENTMVHAARGVFHPFLPDVHIFTDHRAGPQAGESHGEHISEVDYEKKELVPPKDVGLKIASVLLGEIGQGGVVDSNHQFAAIWVCRVCFFFFVSLCPQDASKVRVGKLSPYGIDTLKNISDFLGVKFVIMPCASTSTVLLKCVGCGMRKLSRKIS >ONH99229 pep chromosome:Prunus_persica_NCBIv2:G6:1415928:1418289:-1 gene:PRUPE_6G019100 transcript:ONH99229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMGLDAYRFSISWSRLLPNGKLTGGVNKEGIKYYNNLINELLRNGLKPFVTLFHWDLPQVLEDEYGGFLSPHIINHFQDYAELCYREFGDRVKHWITLNEPWTYSNGGYASASLAPGRCSDWQNLNCTGGDSATEPYLVAHHSLLSHAVAVKVYKDKYQASQKGVIGITLVSHWFVPISKAKHHKNAALRSLDFMFGWFMEPLTSGDYPHSMRSFVGNRLPKFTKEQSKAVMGSFDFLGLNYYTAYYATYAPQHNSVNASYLTDARANQSFERNGVPIGPKAASDWLYVYPRGIQDLLLYTKKKYDDPLIYVTENGIDEYNDPKLSLEEALNDTQRVDYYYNHLSYLQRAIKDGVNVKGYFAWSLLDNFEWSSGYSVRFGINYVNYNDGQKRYPKVSAHWFKSFLKKY >ONH99228 pep chromosome:Prunus_persica_NCBIv2:G6:1415695:1420447:-1 gene:PRUPE_6G019100 transcript:ONH99228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRAKLSKSPELPVMAMQFGSLVLGVLLLTGFALTSSKAAFVPSHYDTAFLNRSSFPAGFIFGTASSSYQYEGAAKEDGRGPSIWDTYTHKYPEKIKDGSNGDVANDEYHRYKEDVGIMKNMGLDAYRFSISWSRLLPNGKLTGGVNKEGIKYYNNLINELLRNGLKPFVTLFHWDLPQVLEDEYGGFLSPHIINHFQDYAELCYREFGDRVKHWITLNEPWTYSNGGYASASLAPGRCSDWQNLNCTGGDSATEPYLVAHHSLLSHAVAVKVYKDKYQASQKGVIGITLVSHWFVPISKAKHHKNAALRSLDFMFGWFMEPLTSGDYPHSMRSFVGNRLPKFTKEQSKAVMGSFDFLGLNYYTAYYATYAPQHNSVNASYLTDARANQSFERNGVPIGPKAASDWLYVYPRGIQDLLLYTKKKYDDPLIYVTENGIDEYNDPKLSLEEALNDTQRVDYYYNHLSYLQRAIKDGVNVKGYFAWSLLDNFEWSSGYSVRFGINYVNYNDGQKRYPKVSAHWFKSFLKKY >ONI02352 pep chromosome:Prunus_persica_NCBIv2:G6:20025966:20026593:-1 gene:PRUPE_6G193000 transcript:ONI02352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSDLIEHTGFGWDPNANTVTASEDVWASYIKSKPRAKQYRTHGLVHYQLLEEIFNTTTATGQLRYASNQLPPNSDEEHELENNFLNTGVHIDVDLDDDGVNLETDHGKGKRKSVTATPPERCPKKWHKIESYLEVCSEVMSQRLQTIQKEKSVEASSTSNEMYSIEECIGIVEEI >ONI00101 pep chromosome:Prunus_persica_NCBIv2:G6:4641397:4641963:1 gene:PRUPE_6G067200 transcript:ONI00101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVSRRLTTTTRIHIMALDGIVNVNSLFSFAIFVGLNWYPTTDPAAMLIGSDKACAARADSAEGLIAFHVYSFSSFLFSSLVALALKQAIKISRAAGHDGGYGYHVRGTNMGNLNRVALRVGTLVSAFGSVFGCGFLMMALVDLVQIKLGRLGCGSFYTLAAIGPLVTLVPLALVIYVFLVLHAFTR >ONI03971 pep chromosome:Prunus_persica_NCBIv2:G6:26997677:26999408:1 gene:PRUPE_6G294100 transcript:ONI03971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVPEEILIHDILLRLPSKSPLNCTRVCQSWRSLIRSSAFIHTHLSHAIIKSNNQNDDAQLLLVVFQCNSVIALGSQPFISDYSKLINPFVAYNELQGCPKDNLDLRVRTVKVVETCNGLVCLHHRLTTLIWNPCTRKFVILPPGTVSASEYDPRSYSFGYDSCTDDNKVLRFVRERRVSCAVELWSLARGSWRNVVTPNFVDEMLPSTMFGSVIEPAFVNGAMHWIQDRADENVILSFDLSTESFGKILLPNADSRIKFRMFPFNDSGYFHLWVMKEYGVAESWAKKGGEVVLKWTSSGGRKADDVLNAMIFEWMAAAAVETTHFMDSRRDGCSRSDYQFMDYSVESLVLLDHQIVKPHGYTAGKNTF >ONI02859 pep chromosome:Prunus_persica_NCBIv2:G6:22944832:22946466:1 gene:PRUPE_6G225000 transcript:ONI02859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVEEAHNLRVLGSGQQVIVLAHGFGTDQSVWKHLVPHLVDDYRVVMYDNMGAGTTNPEYFDFERYATLEGYAYDLLAILEELRIGSCIFVGHSVSGMVGAIAAITRPDLFTKLVMVGASPRYLNDVDYYGGFEQEDLEQLFDAIRSNYKAWCSGFAPMAVGGDLDSVAVQEFSRTLFNMRPDIALSVAQTIFQSDTRQILHLITVPCHILQSVKDLAVPVVVTEYLHQNLGGESIVEVMSSDGHLPQLSSPDIVIPVMLRHIRYDIAA >ONH99691 pep chromosome:Prunus_persica_NCBIv2:G6:3191972:3194560:1 gene:PRUPE_6G043900 transcript:ONH99691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMSTARHNNSFGRPQGMMMMNNTTLNTITPCAACKLLRRRCAEECPFSPYFSPHEPQKFSAVHKVFGASNVSKMLIEVAENQRADAANSLVYEANLRLRDPVYGCMGVISTLQQQIQSLQQELNAVRTEILRYQYKEATNHMVSSTNIMHHHPSLVSTSGMVSIAGPPQAIPKPPPPPPPPPPPSSSVVFSSSSSSSASSLYNPSTNTTGYSSMSSDNIPYFD >ONH99690 pep chromosome:Prunus_persica_NCBIv2:G6:3193275:3194560:1 gene:PRUPE_6G043900 transcript:ONH99690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDRDIITLDEIGKKIRRESDPSGDDQMGTMSTARHNNSFGRPQGMMMMNNTTLNTITPCAACKLLRRRCAEECPFSPYFSPHEPQKFSAVHKVFGASNVSKMLIEVAENQRADAANSLVYEANLRLRDPVYGCMGVISTLQQQIQSLQQELNAVRTEILRYQYKEATNHMVSSTNIMHHHPSLVSTSGMVSIAGPPQAIPKPPPPPPPPPPPSSSVVFSSSSSSSASSLYNPSTNTTGYSSMSSDNIPYFD >ONI03914 pep chromosome:Prunus_persica_NCBIv2:G6:26826847:26829608:-1 gene:PRUPE_6G290700 transcript:ONI03914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIEVVAGAGDDSGESSFSPPTEVNLFGKYELGRLLGRGAFAKVYHARNVDTGQSVAIKAVSKQKVLKGGFTAHVKREISIMRRLRHPHIVKLYEVLATKTKIYFIMEFAKGGELFAKISKGRFSEDLSRRYFQQLISAIGYCHSRGVFHRDLKPENLLLDENWNLKVSDFGLSAVTEQVRPDGLLHTLCGTPAYVAPEILGKKGYEGAKVDIWSCGIILFVLNSGYLPFNDQNLMVMYRKIYKGDFRFPRWTSPDLRRLISSLLDTNPETRITVDEILKDPWFGKGYEEIKFHFEDFELKDLRDGDNDTSLNAFDLISFSSGFDISGLFSKSEISDCGERFISAETPETIIEKVEEIAKAENVAVMEKKSWGAKLAGQNGNFVVMIGIYRLTEELVVVELNLRQRQSECSQRIWKDKLRPQLSCLIYRPEEDVAGN >ONI00471 pep chromosome:Prunus_persica_NCBIv2:G6:6207132:6208064:1 gene:PRUPE_6G090700 transcript:ONI00471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSTPQEKKNTNRPPKRGQIKVQIFENLVKAVASSMTSKPGAQGRNRGEEGGGGGSASQTPPPSAYNSDANSDF >ONI00984 pep chromosome:Prunus_persica_NCBIv2:G6:8348658:8353014:1 gene:PRUPE_6G115200 transcript:ONI00984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAADPINDFETFYYNQTLDHFNFRPDSFNTFQQRYLINFKHWGGSNVSAPIFAYLGEEEAIDVDLSIVGFLSENAHQFQALQIFIEHRYYGKSVPFGSREEAFQNADTLGYFNSAQALADYAEVLIHVKKKLHAQHSPVIVIGASYGGMLASWFRLKYPHVALGALASSAPILYFDNIVPPEDGYYSIVTKDFREASETCYQTIKKSWSDIDAIASQPEGLSFLSEKFHTCRPLKKSSELKNYLDNLYCNAAQYNSPPSYPVTVVCGAIDGASGNDTLTKIFAGVVAFSGNTSCYVNQPRNLTETDMGWSWQTCSDMVIPMASVSNDSMFPAYQFDLKDYIKSCKAQYGVPPRPHWVTTYFGGHDIKLALERFASNIIFSNGLRDPYSSGGVLEDISDTIVAVHAKNGSHCLDILNADNITDPDWLVNQRKIEVKIIKRWIARYYADLQAFKK >ONI03478 pep chromosome:Prunus_persica_NCBIv2:G6:25052590:25054827:-1 gene:PRUPE_6G259400 transcript:ONI03478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRVAESSAPSQLSGSNCGQTSPSACSLLSVGQVVTFWEGEIVDTKNYTFFTGKWEATPEDDIRHWTKFPSFSALQSHVEVDGGKSLDLSNYQYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSINGFYYDPNSSPFQKLELKSTNEGRQGFSFSSYELR >ONI03479 pep chromosome:Prunus_persica_NCBIv2:G6:25052590:25054833:-1 gene:PRUPE_6G259400 transcript:ONI03479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRVAESSAPSQLSGSNCGQTSPSACSLLSVGQAFSGTQNVSSLQKDEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFFTGKWEATPEDDIRHWTKFPSFSALQSHVEVDGGKSLDLSNYQYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSINGFYYDPNSSPFQKLELKSTNEGRQGFSFSSYELR >ONH99522 pep chromosome:Prunus_persica_NCBIv2:G6:2609790:2610430:1 gene:PRUPE_6G034200 transcript:ONH99522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVDDDMDDEFREGSGKPDGKDTKKGSLYYEFRRNARSVKSIILHFQVCLIGYFLHIVNLSMNFCHTTTTAIFYLID >ONI00336 pep chromosome:Prunus_persica_NCBIv2:G6:5624692:5628784:1 gene:PRUPE_6G083200 transcript:ONI00336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNHADGYESKFIMQIVKVIDNKLSRTPFAIAPYPIGIDSRVENINSWLQDGSTDVGILLVNGVGGIGKTTLAKFAYNINFRRFERSCFLEDVREISNQPNGLVHLQIQFLHHIMSGREVKIQCVSEGIKKIRDAIISKTILLVLDDVDHMDQIDAIFFMQDWFCPGSKIMITTRCAGLLRGHQVAKSKVYDAETLDVDESLQLFSWHAFGQDHPIEGYISLSKRVKDRCGGLPLALQVLGSSLSGRHIDVWESTLEKLKTIPDNQIIKKLRISYDALQDNPDDQNLFLHIACFFVGQGKDYVVRILDGCNFFTIVGIENLVNRCLVTIDDENNVKMHQMIWDMGREIVRLESKAPGKRSRLWRDKDSFDVLKEKSGTETIEGLALNMRMLSVNTPSGNTNEVVLETNAFSRMSKLELLQLCHLRLNGCYEEFPKGLRWLCWLEFPSKSLPSEIPLECLVYLEMHHSNLRQVFNRKKNLIQVLKKGRKHLPSLKTLDLSHSHSLTEIGNFSLAPNLERLILKDCASLVDVHESIGNLKRLNYLNMKDCKKIRKLPKNLFMLKSVDTLIVSGCSSLNEFPKELRNMESLKVLKVTQVLTTTGNVKSCLRRNPETFWASLPSSLTQLKLKSCNLSDEAFPKDIGNLPSLELLDLSDNPISGLPDCIRGVTRLDQLLFSNCKRLKTLVGLPRARYFGVFDCALLEKVTFQSSLFGFTPFEVLSISNPKLIEIEYMYKLEPLGNCDVEMLDLLGLSKYLESMETVLMIFPCRIAVESCRAVESTKLDWIRRYPIQGLYESGIFSTFLPGNVVPGHFSYTSKKSWSISFSVPSSTSTFRIRGLNVFSMYTCSGSGSYAGYSCEIISPIIIKVSNKSTGMKWIYDPSCFGIPDPGEDMIFLSHWKMGNQLLKGGDQVTVSMFMRSVFQLKEWGVQLVHEQDQENIRMMRTQHDNDDYECPVSFPCVIFGRSPEFEVMSRTYFLSHGPQSRRALTFTRWRDSVLFNDIFGDSDQQEEQALPLATTMRDAGDNRYFVFSMLAVDVLYDNVVLRSCLFGTQS >ONI01792 pep chromosome:Prunus_persica_NCBIv2:G6:14389574:14390907:-1 gene:PRUPE_6G158900 transcript:ONI01792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFLPWHLLLLKHNRCSQNISRGSSLTPTTNTTWLTRSGLYAFGFYRQGNGYAVGIFLAGIPQKTVVWTANRDDPPVSNNATLLFTGDGLALPTAEGQNYLVKSSGSSSYASMLDSGNFVLYNSGREIVWQSFHHPTDTLLPGQRLLSGNELFSANSEADHSTGIFRLKMQNDGNLVQYPVAAADTSIYAFYSSLTVGQGENVTLNFGADGHLYLLNRNGTNIRNITDGGLPTDEGKLYMIRKRMQFVEWESSKDKCVPKGLCGLNSYCVLIDLEPDCRCLPRFESVNQGNQTSGCERNFVADACKNRNENFTDTMEELESTTWEDISYMSLRLSDKDDCIQGCLADCDCEAVFFDGTNCRKRRLPLRFGRRDKGTSERAFIKVGVPTKPDTDPRIVQPGSKKKGRTDILIIGLSFTALIHFVVDFRDCVVGIYKNERCEW >ONI03827 pep chromosome:Prunus_persica_NCBIv2:G6:26450882:26455835:1 gene:PRUPE_6G285000 transcript:ONI03827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSTVRDMSMEVRVEAFCALGKIEMVSEEILLQTLSKKVLVTMKGKKSLAQCSDEQLETSGSSVAGAFMHGLEDEFHEVRKAACHSLRTLTILSAKFAGEALNLLMDVLNDDSILVRLQAFETMHRMASFDCLTVQETHMHMFLGTLVDNDTLIRSSARKILKLAKLQKLKLFRLTIDALLENLERHPQDEADVLSVLFHIGRNHGKFVVRIIEEVFPQMEPMSNGKLGFDSVRVAALLVLAISAPLSHERDCNIPPTIFSYAVTYLGRISQALSDLMNQNSLLDYLSQCSRSSGPYAIEFNFKVGEPCLPNANVPTYTSNEIIGSIAMPLPQKTGGTSEILSPTIKKPREAGTSLVEYQLDVHDEVTKSMNVILAKVKDIWPLVLSGFTNEVLRTLRSCREELATFTSDSHASAGVFSFTKQYIQIVKLLTKAWVNFLSSTHFPCGMGELDLVLGKLDRRLRDLKSAFIRLSEEEELHILELILVTCMLRLSEVEICCHLGTLRKLSSMMSRVEYLLRDGSVEPSRFIIGVGKLSSEFGSSSLNEASFNPLLIRRVLESFSLKQLVLCGRLKHMKAELDIPDNEYENPLRFVAGLPVGIPCHITLHNISAESRLWLKMTVNKDNESTQFVFLDLNHFGGCDDVRVFMFTAPFYKTPKAFSFTIRVCICMECLSEVEDVSSVKRWGPRHELTYLCREKDVYLSMVK >ONI03826 pep chromosome:Prunus_persica_NCBIv2:G6:26450873:26455966:1 gene:PRUPE_6G285000 transcript:ONI03826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHVFLTCDHPLNLPTLSTNEPLSLSALASLRSLIINPSTTAPTISSVIETLTRSLQLSRDPLAIHHTLKLLTDMALRLPHLSGVVFDSVCSHSLLSTDSTRVAAESLDALASIAEGNRVLAPGIEELDDRLFASLCFSPSLSVRPWLLRNADRFGVQPHLLFTLFLGFTKDPYPYVRKVALDGLVDLSKNGVIEDPDMIEGCYFRAVELLNDMEDCVRSAAVRTVCAWGLMLVACKSETKAYWSDEVFVKLCSTVRDMSMEVRVEAFCALGKIEMVSEEILLQTLSKKVLVTMKGKKSLAQCSDEQLETSGSSVAGAFMHGLEDEFHEVRKAACHSLRTLTILSAKFAGEALNLLMDVLNDDSILVRLQAFETMHRMASFDCLTVQETHMHMFLGTLVDNDTLIRSSARKILKLAKLQKLKLFRLTIDALLENLERHPQDEADVLSVLFHIGRNHGKFVVRIIEEVFPQMEPMSNGKLGFDSVRVAALLVLAISAPLSHERDCNIPPTIFSYAVTYLGRISQALSDLMNQNSLLDYLSQCSRSSGPYAIEFNFKVGEPCLPNANVPTYTSNEIIGSIAMPLPQKTGGTSEILSPTIKKPREAGTSLVEYQLDVHDEVTKSMNVILAKVKDIWPLVLSGFTNEVLRTLRSCREELATFTSDSHASAGVFSFTKQYIQIVKLLTKAWVNFLSSTHFPCGMGELDLVLGKLDRRLRDLKSAFIRLSEEEELHILELILVTCMLRLSEVEICCHLGTLRKLSSMMSRVEYLLRDGSVEPSRFIIGVGKLSSEFGSSSLNEASFNPLLIRRVLESFSLKQLVLCGRLKHMKAELDIPDNEYENPLRFVAGLPVGIPCHITLHNISAESRLWLKMTVNKDNESTQFVFLDLNHFGGCDDVRVFMFTAPFYKTPKAFSFTIRVCICMECLSEVEDVSSVKRWGPRHELTYLCREKDVYLSMVK >ONI05194 pep chromosome:Prunus_persica_NCBIv2:G6:30458213:30460231:-1 gene:PRUPE_6G361400 transcript:ONI05194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCISLIKQSHTLLSLKTVHASILRTHLHLNLFLCTNLIAHYASLGSTSHAYTLFSAFSSSSSSSDVFLWNVMIRGFVDNGLYHKAIVLYSKMWELGTQPDHFTFPFVLKACGYVCDDRLGVKLHGDVIQCGCASDLFVGNSLIGLYGKFGQVETARKVFDKMPDRNVVSWSSMIGAYSQSGCFKHGWFLFAMMLNESIRPNRAAILNVMACVSRENQADEVCGVVTANGLDLDRLVQNAAMQMYARCRRIDVARGFFDKISDKDLVSWASMIEAYVQVDLPLEALELFKEMRVQRILPDLVALLSVIRACSNLASFQQARLIHGHAIRCFFKNHIALETSVVDLYVKCGSLVYARRVFDEMRERNIISWSTMISGYGMHGHGREAVNLFNQMKALTKPDHIAFLSVLSACSHGGLIAEGWDCFNSMSRDFHVTPRPEHYACMVDLLGRSGRLKEAFEFIERMPVRPDAGVWGSLLGACRIYSNIELAKIAARQLFELDGENPGRYVLMSNIYASSGKQKDADKIRDLMKKRGVRKVAGHTSIEIKNKVYTFVAGDKSHTQTDLIYSELEKVINRIRQEGYKPDLNFALHDVEEEMKEKMLYAHSEKLAIVFGLLNSGPESVIRIKKNLRVCGDCHTASKLISKVTGREIVMRDAHRFHHFKGGACSCGDYW >ONI03109 pep chromosome:Prunus_persica_NCBIv2:G6:23882663:23885531:1 gene:PRUPE_6G239400 transcript:ONI03109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYKHHAFSSFIILMLFPLLLQFQFGKSELQLNYYSESCPKAEEIIKQEVTKLYYEHGNTAVSWLRNLFHDCFVQGCDASLLLESVNGIESEKESGRSFGMRNFKYVNTIKKALENECPSTVSCADVVALSARDGIVMLGGPHIEMKTGRRDSKESYAKIVEEFIPNHNDSLSSVLSRFQSVGIDVEGTVALLGAHSVGRVHCVNLVHRLYPTVDPTLDPDYAEYLKGRCPTPIPDPKAVQYSRNDRETPMILDNNYYKNLLKNKGLLIVDQELASDPATLPFVQKMASDNDYFNEQFSRAALLLSEYNPLSGDQGEVRKDCQYVNTN >ONI03110 pep chromosome:Prunus_persica_NCBIv2:G6:23882733:23885446:1 gene:PRUPE_6G239400 transcript:ONI03110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYKHHAFSSFIILMLFPLLLQFQFGKSELQLNYYSESCPKAEEIIKQEVTKLYYEHGNTAVSWLRNLFHDCFGCDASLLLESVNGIESEKESGRSFGMRNFKYVNTIKKALENECPSTVSCADVVALSARDGIVMLGGPHIEMKTGRRDSKESYAKIVEEFIPNHNDSLSSVLSRFQSVGIDVEGTVALLGAHSVGRVHCVNLVHRLYPTVDPTLDPDYAEYLKGRCPTPIPDPKAVQYSRNDRETPMILDNNYYKNLLKNKGLLIVDQELASDPATLPFVQKMASDNDYFNEQFSRAALLLSEYNPLSGDQGEVRKDCQYVNTN >ONI00983 pep chromosome:Prunus_persica_NCBIv2:G6:8339260:8344531:1 gene:PRUPE_6G115100 transcript:ONI00983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLISLLQCLLIAISLFITPPVSASPLNIPRLSPTGGTFEHGNYFADGQPKALSNFDPNDFETFYYKQTLDHFNFRPDSFSTFQQRYLINSKYWGGSNVSAPILAYLGAEDAVDNSLSSIGFLSENANQLQALQIFIEHRYYGKSIPFRSREEAFQNASTLGYFNSAQAIADYAEILIHVKKQLHAEHSPVIVIGGSYGGMLASWFRLKYPHVALGALASSAPILYFDNIIPPEKGYYSIVTKDFREVSETCYQTIKKSWSEIDEIASQPEGLSILSRKFQTCSQLLTSSVLENYLMLMYTGAAQYNRPPRYPVTVVCGGIDGASHGNDTLSKIFAGVVAYRGNKSCYVNEPKNKSETDVGWGWQTCSDMVIPIGISNDSMFPANEFDLNDYIETCKAQYGVPPRPHWATTYFGGHDIKLALSGFASNIIFSNGLRDPYSSGGVLENISDTVVAVHTKNGSHCLDIVGANTTDPDWLVNQRKVEVKIIKGWLDKYYAELRAYSNNKSIPLQTIKTEEGIR >ONI00982 pep chromosome:Prunus_persica_NCBIv2:G6:8339292:8343389:1 gene:PRUPE_6G115100 transcript:ONI00982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLISLLQCLLIAISLFITPPVSASPLNIPRLSPTGGTFEHGNYFADGQPKALSNFDPNDFETFYYKQTLDHFNFRPDSFSTFQQRYLINSKYWGGSNVSAPILAYLGAEDAVDNSLSSIGFLSENANQLQALQIFIEHRYYGKSIPFRSREEAFQNASTLGYFNSAQAIADYAEILIHVKKQLHAEHSPVIVIGGSYGGMLASWFRLKYPHVALGALASSAPILYFDNIIPPEKGYYSIVTKDFREVSETCYQTIKKSWSEIDEIASQPEGLSILSRKFQTCSQLLTSSVLENYLMLMYTGAAQYNRPPRYPVTVVCGGIDGASHGNDTLSKIFAGVVAYRGNKSCYVNEPKNKSETDVGWGWQTCSDMVIPIGISNDSMFPANEFDLNDYIETCKAQYGVPPRPHWATTYFGGHDIKLALSGFASNIIFSNGLRDPYSSGGVLENISDTVVAVHTKNGSHCLDIVGANTTDPDWLVNQRKVEVKIIKGWLDKYYAELRAYSNNKSIPLQTIKTEEGIR >ONH99722 pep chromosome:Prunus_persica_NCBIv2:G6:3307810:3308333:-1 gene:PRUPE_6G045700 transcript:ONH99722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIFFFVHFIGTFSASEIETEIASNHPRAPGVKTGQTRKTSFLIPHHTCYPVTEDKGGRVHTQKERRFKFVQEG >ONI02995 pep chromosome:Prunus_persica_NCBIv2:G6:23465175:23467631:1 gene:PRUPE_6G232100 transcript:ONI02995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCEGCAKKIKRAVKNFQGVEQVKTECAANKVTVTGKVDPAGLREKLEQKIKKKVDLISPQPKKDGGGDKKPAAAEEKAEKKPEEKKPAEKKTEEKKPKEAPKESTVVMKMRLHCEGCMQKMKSKISKFKGVNTVSFDAPKDIVTVKGFMDAQELVPYLREKFRRSVEVVPPKKDDGAAAKPKDAAAGGEKKEKDGGGEKKDKEAAAGGGEKKEVVAAAPGGGAPKVEVNKMEYSGYPYPPPSYYWYDEGHVYNHNKFVMEAQAHQAHVSQGSSSHGYAVPTEHYPAPQMFSDENPNGCSVM >ONI02994 pep chromosome:Prunus_persica_NCBIv2:G6:23464971:23467756:1 gene:PRUPE_6G232100 transcript:ONI02994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKKEGAKNEGEKKPAAADAGAKKDDGSLTVVLKTDMHCEGCAKKIKRAVKNFQGVEQVKTECAANKVTVTGKVDPAGLREKLEQKIKKKVDLISPQPKKDGGGDKKPAAAEEKAEKKPEEKKPAEKKTEEKKPKEAPKESTVVMKMRLHCEGCMQKMKSKISKFKGVNTVSFDAPKDIVTVKGFMDAQELVPYLREKFRRSVEVVPPKKDDGAAAKPKDAAAGGEKKEKDGGGEKKDKEAAAGGGEKKEVVAAAPGGGAPKVEVNKMEYSGYPYPPPSYYWYDEGHVYNHNKFVMEAQAHQAHVSQGSSSHGYAVPTEHYPAPQMFSDENPNGCSVM >ONI01712 pep chromosome:Prunus_persica_NCBIv2:G6:13578502:13581286:1 gene:PRUPE_6G155500 transcript:ONI01712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVHPRDSPFHTETTPLSLSRPSPPDSEKPVPPPGTYVIQIPKDQVYRVPPPENASRYQSYTHRKTRRSSCHCCCCWFLGLLAAIVFLSAAAAGIFYLVVRPEAPNYSVESIAFKGFNLTTTSSPPSAISPEIHVTVRAQNPNKKIGIYYERESSVKLFYSDIKLCDGVLPAFYQPSKNVTEFRTALTGSGIELTSAVQKGLVDAQKQGKVPLELDLRAPVRIKVGPIKTWTITVKVACHLTVNKLTADANIVSRDCDYSVDPW >ONI01833 pep chromosome:Prunus_persica_NCBIv2:G6:15107521:15111014:-1 gene:PRUPE_6G162000 transcript:ONI01833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPEEEHPEKAFGWAARDTSGILSPFRFSRRENADDDVTIKVLYCGVCHSDVHSVKNEWGFTNYPIVPGHEIVGVVTKAGKNVEKFKVGDRVGVGVIVGSCMKCETCDQDLENYCPRTIFTYNSLDHDRTKTYGGYSDMIVVHHRYVLRFPDNLALDAGAPLLCAGITVYSPMKYYGMTEPGKHLGVAGLGGLGHVAVKIGKAFGLKVTVISSSPGKEDEAVKRLGADSFLLSSDPAKLKAAMGTMDYIIDTVSAVHALAPLIGLLKLNGKLVTVGLPDKPLELPIFPLVLGRKLVGGSDIGGVKETQEMLDFCAKHNITSDIELIRMDYINTAMERIAKSDVRYRFVIDVGNSLTQ >ONI01834 pep chromosome:Prunus_persica_NCBIv2:G6:15108092:15111014:-1 gene:PRUPE_6G162000 transcript:ONI01834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPEEEHPEKAFGWAARDTSGILSPFRFSRRENADDDVTIKVLYCGVCHSDVHSVKNEWGFTNYPIVPGHEIVGVVTKAGKNVEKFKVGDRVGVGVIVGSCMKCETCDQDLENYCPRTIFTYNSLDHDRTKTYGGYSDMIVVHHRYVLRFPDNLALDAGAPLLCAGITVYSPMKYYGMTEPGKHLGVAGLGGLGHVAVKIGKAFGLKVTVISSSPGKEDEAVKRLGADSFLLSSDPAKLKAAMGTMDYIIDTVSAVHALAPLIGLLKLNGKLVTVGLPDKPLELPIFPLVLGMPI >ONI01978 pep chromosome:Prunus_persica_NCBIv2:G6:17549023:17550132:-1 gene:PRUPE_6G170400 transcript:ONI01978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFSRRTALFLFWFIIVSLQIPSTIGEGQQQVEKNEQEQTTFGKTMQDTVNVLYDTISVLQKSHQNAWDKVKTIISEMQLQFSPPNLEGADEADGKGSTGGVGMGAKLKEAAHKSFETGKHTVEESAKSAGAAVGETMHKTAEKVKERVSHEEPHAEL >ONI01979 pep chromosome:Prunus_persica_NCBIv2:G6:17549172:17549897:-1 gene:PRUPE_6G170400 transcript:ONI01979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFSRRTALFLFWFIIVSLQIPSTIGEGQQQVEKNEQEQTTFGKTMQDTVNVLYDTISVLQKSHQNAWDKVKTIISEMQLQFSPPNLDFRGADEADGKGSTGGVGMGAKLKEAAHKSFETGKHTVEESAKSAGAAVGETMHKTAEKVKERVSHEEPHAEL >ONI00235 pep chromosome:Prunus_persica_NCBIv2:G6:5286627:5289000:-1 gene:PRUPE_6G077400 transcript:ONI00235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSMIGDLDSLPEEDKLRMATMIDQLQIRDSLRMYNSLVERCFTDCVDTFKHKSLQKQEETCVRRCAEKFLKHSMRVGMRFAELNQGAATQD >ONI02230 pep chromosome:Prunus_persica_NCBIv2:G6:19447480:19448091:1 gene:PRUPE_6G185600 transcript:ONI02230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSANNSGAAYPPPPHSTAPSPYFVQAPPPAGYPTRDGPNPHGHGAVETKSKGDGFWKGCCAALCCCCVLDACF >ONI01804 pep chromosome:Prunus_persica_NCBIv2:G6:14675837:14682272:-1 gene:PRUPE_6G159900 transcript:ONI01804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQEAVHFDKITARLKKLSYGLSSDHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYACLAARIVVSNLHKNTKKSFSETIKMMYNHVSERSGLKAPLIADDVYEIIMKNAACLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVSVGIHKDDIDSVIRTYHLMSQRWFTHASPTLFNSGTPKPQLSSCFLVCMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADVFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQNDGNWSLFCPNESPGLADCWGEKFEELYTRYEREGKAKKVVQAQQLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVREKGVPVESHPSKLVGSRGSRNRYFDFDKLAEVTAIVTANLNKIIDVNYYPVESAKTSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALKASSELAAKEGPYETYNGSPVSKGIIQPDMWDVTPSDRWAWAALREMISKNGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPAIKNNIIYEDGSVQKIPEIPDDLKVIYRTVWEIKQRTLVDMAVDRGSYIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRSRAAADAIKFTVDTPILQEKPEVVDDVSTQKAQMVCSLTNREDCMACGS >ONI02334 pep chromosome:Prunus_persica_NCBIv2:G6:19874976:19877439:1 gene:PRUPE_6G191600 transcript:ONI02334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGYGDSNQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLIIEHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHIPRWLEELRSHADKNIVIILIGNKSDLENQRVIPTEDAKEFAQKEGLFFLETSALESTNVESAFLTVLTEIFNIVNKKSLAASENQANGNPASLSGKKIVIPGPAQEIPAKSKVCCTS >ONI01158 pep chromosome:Prunus_persica_NCBIv2:G6:9353149:9357081:-1 gene:PRUPE_6G124800 transcript:ONI01158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPILKGLLRGYVQSLFNEGIVNNHFSQIQTLKSDADPDCAVRLINIYLLDVERMLSELTCLSDLPDVDFSKLATLARSIEEKSSLVGAEHVRSACADLIQACERMQKQK >ONI01157 pep chromosome:Prunus_persica_NCBIv2:G6:9350903:9357277:-1 gene:PRUPE_6G124800 transcript:ONI01157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPILKGLLRGYVQSLFNEGIVNNHFSQIQTLKSDADPDCAVRLINIYLLDVERMLSELTCLSDLPDVDFSKLATLARSIEEKSSLVGAEHVRSACADLIQACERMQKQNFLRALGWIKNEFAHTRNKLDSFVQMERRIFRVEGREVK >ONI03886 pep chromosome:Prunus_persica_NCBIv2:G6:26682449:26684605:-1 gene:PRUPE_6G288500 transcript:ONI03886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTSLSIPTSPANSTKRTNPIFKDHVPIRRSCSDHNHLCSYTNRIRAASSTQPNNSRSIGIFPFKISSSLVPNCLGSFLFGPYKPMDVVEKNMNMNMVEKSGESTGNEGEEIKRANWMEWLVELRSKWSNIWQQKQVEDEEEDNNNGEEGCCAEGCSLEEKNGEKGYKSYDPESFSRFLVRVPWSETKLFSKLAFLCNMVYVIPEIKGEVLQRYYDLQFVTSSFEKKAEAAAYMEASTMTVVVAANESEKHETTKDFRASQHSSPCEWLVCDDSSTFTRCFVVQNSHGTLISLFFTQEDICAILDLQGLDSHASWQAYLLFEPTKFEGTNVLVHRGIYEAAEAMYEQFMPEILDHLERKGEQAKFQFTGHCIGGSIALLVHLMLLTRNLVKPSTLRPVVTFGSPFVFCKGHKIFEQLSLDESDHIHCVMMHRDIVPRAFSSNYNNQVITLLRRLNGSFQTHPCLIKSKRLYSPMGNLFILQPDEKSSPPHPLLPPGSALYVLNKTQCGSSSGVLMAFLNSPHPLETFSDPAAYGSEGTILRDHDSCNYLKAMNMVLRQHTRMVVRKVRKQRNLLWPILTSPSPHSWNDEDKSDL >ONI02531 pep chromosome:Prunus_persica_NCBIv2:G6:21248150:21248704:1 gene:PRUPE_6G204400 transcript:ONI02531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHAPASNIVRYWSPPNGNFVKINVDGAVNLLIGFRGLGIVIRDSMGDLLLAAYKGLHGMFSPKATKLYAAIMRLQIASQMGHRSVILEMDAKEIIMNLQTYEQSWLVEGALVDEVRGLFNRFDHISCHFAPRERNQVAHLLAKQALLPQDFQVWLEDDPLWISNVLANDKLFTKFNFILDVM >ONI03262 pep chromosome:Prunus_persica_NCBIv2:G6:24361827:24363743:-1 gene:PRUPE_6G247200 transcript:ONI03262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKGMRSLCFHSKSPSFAAYSSSPSRPSSVSFPTPRRVPVTNFTDAMIEDIIGNAAVLVMKWNPETSTYAKVTSLFYESKREAQQFIRCVTELQKAMHFLVSEDPTSEKIVHAQSLMEIAMKRLQKEFYQILSMNRAHLDPESVSTRSRSSVTSARSSTSDYDDGGATTDDDVRFAGESISEVEQVSSVAMADLKSIAECMISSGYAKECVHIYKIIRKSIIDEGMYKLGVERLSSSQIHKMDKEVLDLRIRSWLNAVKMSISTLFKGEQILCDHVFASSASIRESCFTHISREAATLLFGFPQVLVAKSKKSSSSLDVFRLLDMYTSISEAWPEIDSIFSFESTAAVRSQALNSLIKLSESVRSMLSDFESTIQKDSSKSVAHGGGVHDLTLRVMNYLSLLTDYSNVLVDIVTDWPPPAKSSLPESYFDSPHSEDGQAPVISLRMAWLLLVLLCKLDDKAKHYKDVSLSYLFLANNLQYMISKVRTSNLQYLLGEDWISKHETKVRQFAENYEGLAWGKVFASLPENLTAEISPEEARLIFRNFNFSFEEAHRKQRTSVVLDGKLREEMQVSIAKKLVSAYREFYDAHRLTVGGGRNVALYVRFAPEDVENHLSDLFFGAMDLDGSSSHRRSSLRL >ONH99514 pep chromosome:Prunus_persica_NCBIv2:G6:2566772:2572660:1 gene:PRUPE_6G033800 transcript:ONH99514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLEGLGACDEIRDRRSDFENSEEERRRSRICNLKKKAINASSKFTHSLKKRGKRKIDYRVPSVSIEDVRDEKEESAVQELRQRLLDMDLLPARHDDYHTLLRFLKARDLNIEKTIHLWEEMLKWRKEFGTDTILEDFEFEELDEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMQITSIERYLKYHVQEFERALQEKFPACSIAAKRQICSTTTILDVQGLGMKNFTRTAANLLGAMTKIDTNYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDVKTIAKIQVLEPKSLSKLLEVIDSSQLPDFLGGSCTCSAEGGCLKSNKGPWNDIEIMKLLHAAEATFVREITRVSNEQQKLDSYIQIRPLKGRTSDTSFVESASDNDDPCSSLGRRSSTFPPLDALNEEASDPNAYYSCDDNLPLVEKAVTSDQGVGHRQGQSLNYSVENVSREATSNSEGSSVNFRHNIVKDQIERGDFSRVARMLISFLVRVIPFSHILRFEFWGRKNNIYPSNLVESNTHSQSPTPIPEAVNEEDYVLPCLQRLQQLEKVYEDLNNKPAAIPLEKERMLMDSLDRIKSVEHDLEQTKRVLHSAVVKQLEIAKLLDNLQESKFRQKRPFC >ONI04305 pep chromosome:Prunus_persica_NCBIv2:G6:28097479:28101647:1 gene:PRUPE_6G315000 transcript:ONI04305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKTFFAFGALALLFAASVFADDVVVLTEENFESHVGQDRAALVEFYAPWCGHCKKLAPEYEKLGSSFKKAKSILIGKVDCDEHKGVCSKYGVSGYPTIQWFPKGSLEPKKYEGGRTAEALAEFVNKEGGTNVKISAAPSNVAVLTQDNFNEVVLDETKDVLVEFYAPWCGHCKSLAPTYEKVATAFKLEEGVVIANLDADKYKDLAEKYGVSGFPTLKFFPKNNKEGEEYGGGRDLEDFVDFINEKSGTSRDGKGQLTSKAGVLANLDDLVKEFVKAGNDEKKTIFSKIEEEVRKLEGSAARYGKIYLKAAENSLKKGADYAKNEIQRLERILEKSVNPTKADEFTLKKNILYTFASSS >ONI01396 pep chromosome:Prunus_persica_NCBIv2:G6:11153943:11157550:1 gene:PRUPE_6G137500 transcript:ONI01396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQNQQHTHISPVDRQCKIRKRGGSSSSSSSLVRRYRLKRAILVGKRGGSSTPVPTWKTTISATRSSPCAAMMMPNAASDDGEELAKQQQRPASKDGGGGGGKGKGVPLSVSARKLAATLWEINEVPLKKKDAKAIKEMRIREGVAQMPSLAGSLPPKLSDPSYTPISEKKNGSGGDENQRRLSAVSRNLHLTDYQLGGFEIHRSSSLTEVKDQSCGKPDRKCVNGFTCLKEVSKGLSTSKELLKVLTRIWGLEEQHSLSTTLLSALRVELDRARIQVHHMIREQRSNCNEIEYIMRQFAEEKAAWKSKERERVRAAIACIAEELEVEKKLRRQTERLNKKLGKELADKEAALSKAMKELEREKRAKEIFEQVCDELATGLGEDRAQVEELKRESEKVREEVEKEREMLQLADVLREERVQMKLSEAKYHFEEKNAAVEQLRNELEAHLRSKMGEGSGDSPDLKRIEELEAYLKKINFGSLQSAKKEGNGMEVVAYREECDDEDDSGGDSDLHSIELNMDNTNRSYKWSYACGDDTEYDSKRTSVDKQFKGRRSLSEKIQWESICLNKNSNGVDREFGIKSQGHSDGNHMEKSELVPQTQTQEYEAETKKNGSAKGLTDLRLSNSKVVPIQSFAGTTCQYQSLPQKDPGSEVCGS >ONI00302 pep chromosome:Prunus_persica_NCBIv2:G6:5501425:5505544:1 gene:PRUPE_6G081100 transcript:ONI00302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILVTGGAGFIGSHLVDRLMENEKNEVIVVDNYFTGSKDNLKKWIGHPRFELIRHDVTETLLVEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRDEPLTVQNPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMLELAETVKELINPGVEIKRVENTPDDPRQRKPDITKAKELLGWEPKIKLREGLPLMEEDFRLRLGAAKKN >ONI00301 pep chromosome:Prunus_persica_NCBIv2:G6:5500327:5505933:1 gene:PRUPE_6G081100 transcript:ONI00301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSSNGVHQTTTKSPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVVDNYFTGSKDNLKKWIGHPRFELIRHDVTETLLVEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRDEPLTVQNPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMLELAETVKELINPGVEIKRVENTPDDPRQRKPDITKAKELLGWEPKIKLREGLPLMEEDFRLRLGAAKKN >ONI00298 pep chromosome:Prunus_persica_NCBIv2:G6:5500563:5505933:1 gene:PRUPE_6G081100 transcript:ONI00298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSSNGVHQTTTKSPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVVDNYFTGSKDNLKKWIGHPRFELIRHDVTETLLVEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRDEPLTVQNPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMLELAETVKELINPGVEIKRVENTPDDPRQRKPDITKAKELLGWEPKIKLREGLPLMEEDFRLRLGAAKKN >ONI00299 pep chromosome:Prunus_persica_NCBIv2:G6:5500334:5505544:1 gene:PRUPE_6G081100 transcript:ONI00299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSSNGVHQTTTKSPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVVDNYFTGSKDNLKKWIGHPRFELIRHDVTETLLVEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRDEPLTVQNPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMLELAETVKELINPGVEIKRVENTPDDPRQRKPDITKAKELLGWEPKIKLREGLPLMEEDFRLRLGAAKKN >ONI00303 pep chromosome:Prunus_persica_NCBIv2:G6:5502716:5505544:1 gene:PRUPE_6G081100 transcript:ONI00303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRDEPLTVQNPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMLELAETVKELINPGVEIKRVENTPDDPRQRKPDITKAKELLGWEPKIKLREGLPLMEEDFRLRLGAAKKN >ONI00300 pep chromosome:Prunus_persica_NCBIv2:G6:5500651:5505193:1 gene:PRUPE_6G081100 transcript:ONI00300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSSNGVHQTTTKSPPLPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVVDNYFTGSKDNLKKWIGHPRFELIRHDVTETLLVEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRDEPLTVQNPGTQTRSFCYVSDMVDGLIRLMEGEHTGPINIGNPGEFTMLELAETVKELINPGVEIKRVENTPDDPRQRKPDITKAKELLGWEPKIKLREGLPLMEEDFRLRLGAAKKN >ONH99580 pep chromosome:Prunus_persica_NCBIv2:G6:2811701:2814726:1 gene:PRUPE_6G037200 transcript:ONH99580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAFKAFSSHGNIVKNGVLRHIRLVNPLIQPVAFSRFESATPARIEEHGFESTRIADILKGKGKGADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGEQKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLRAMQLMTDNRIRHIPVIDDRGMIGMVSIGDVVRAVVSEHREELDRLNAYIQGGY >ONI00177 pep chromosome:Prunus_persica_NCBIv2:G6:4953557:4959521:1 gene:PRUPE_6G072600 transcript:ONI00177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSRIGRSFSRSSRSRNSIYGSGRSAALNGNEAILGVPRLGSYLGRVDGDLGFLRSYFASSIAAHKACVSDFSYILGNPKLRRHFSSEAPKKKNYENFYPKEKKEIPKGDEQKSESKDDSKADDQGSFQETFLRQFQNLITPLLVIGLFLSSFSFGSPDQQQISFQEFKNKLLEPGLVDHILVSNKSVAKVYVRSSPRSQTSDEVVQGPINGNPARANGGQYKYYFNIGSVESFEEKLEDAQEALGIDPHDYVPVTYVSEMVWYQELMRFAPTLLLLASLLFMGRRMQGGLGIGGSGGRGGRGIFNIGKAQVTKVDKNAKNKIYFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFQIYLKKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEGALIAARNESALVTMQHFEAAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVTGWFLEYAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQRDDGFEMAKPYSSKTGAIIDSEVREWVGKAYTRTVEIIEEHKEQVAQIAELLLEKEVLHQDDLLRVLGERPFKSSEVTNYDRFKEGFEEKDDEKIVEIPLVGSEEDGSSPLEPQVLPT >ONI04636 pep chromosome:Prunus_persica_NCBIv2:G6:28906863:28908173:-1 gene:PRUPE_6G331700 transcript:ONI04636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGGSNYPVHQTIASAANLANLLPTGTVLAFQTLIPTFSNNGSCQLSNKFLTASVIIFCALLCFLSSFTDSFKDNDTDGKLYYGIATFKGLYIFNYSKLENINTDLEKYKIKFLDFVHAFMSLFVFLIFALSNSHVQSCFLSGVGINYSELAMNLPLGAGILSSCFFTIFPTARRGIGYTDMVPPA >ONH99126 pep chromosome:Prunus_persica_NCBIv2:G6:895673:896207:-1 gene:PRUPE_6G012800 transcript:ONH99126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSVPIVSFGAGGSVLQPYGVAWYSLYFETFGWSARRGFFMRMREWVLVCHFRFWGFFPGSLCFSRPYMISFSS >ONI02528 pep chromosome:Prunus_persica_NCBIv2:G6:21217100:21218701:-1 gene:PRUPE_6G204200 transcript:ONI02528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKEHLDRKNKHVIDYDEDEKKKHVIDDDEYEKKKKVDAKGATSILEGVSLSHIYGIKPHHLQNELVEKILPRLDVKSLLRFQLVSKDWMSMIRKPELTMQHKEMKPNPNYLFLLTSASEARPEICFTRIEVHPYRKRAKQEIILNVSHHITEPEIPNHREYSLPNASVTFRSQAVNGLVCTFGSNGQVYKVFILNPYTRILLTLPDGPPQRPHLQSPSHFHNQIAFHFGFDPNREEYKVLQAQWALEGATASYSVFPGEVLEFKLCIITLGSTEWRQIEPPLFLTANEAYDFLDNTNKSLFVRRSGSMYWLYFHSILAFDVGLETFSLIQVPQSFSYPWCISSEFSLVEVEESLALIWKDKLGQPRLSIRRHDSWETREEDLISCWKSNFHKTLFPISPSLIMATEHYPRRIRKFVGLELLDVPTKTTRMYELKIKAPLRCTPQQFVEAQKQRKHIEVPFPHACSIVHYEESFMIPQAAAGPATPAAPAAPAAPPAVAAAAPAGLATPAAPSAAAPAGPATPAAPPAPPAG >ONI03232 pep chromosome:Prunus_persica_NCBIv2:G6:24290736:24293397:-1 gene:PRUPE_6G246100 transcript:ONI03232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKYLSNDSLTVSGNRLRRVRDVDMKREFAFVEFSDPRDADDARYSLDGREFDGTRLIVEFAKGGPRGSREYLGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERQCKNSPKELRRGRSRSPGSRSPRRGRSRSPSYSRSRSYSRSRSPVRRGRSRSLDRYDRSLSPPYRKSPPPSKGRKHSLTPDEGSPRGRGSPSPRNGRLSTERDGSEYSPRGNSRSPVSPIGKSRSPSSPGRDSPSVGKYPSPTETNGRSPSPRDDRSPVDYEDGRSPSP >ONI03233 pep chromosome:Prunus_persica_NCBIv2:G6:24290964:24292706:-1 gene:PRUPE_6G246100 transcript:ONI03233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKYLSNDSLTVSGNRLRRVRDVDMKREFAFVEFSDPRDADDARYSLDGREFDGTRLIVEFAKGGPRGSREYLGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERQCKNSPKELRRGRSRSPGSRSPRRGRSRSPSYSRSRSYSRSRSPVRRGRSRSLDRYDRSLSPPYRKSPPPSKGRKHSLTPDEGSPRGRGSPSPRNGRLSTERDGSEYSPRGNSRSPVSPIGKSRSPSSPGRDSPSVGKYPSPTETNGRSPSPRDDRSPVDYEDGRSPSP >ONI03231 pep chromosome:Prunus_persica_NCBIv2:G6:24290736:24294145:-1 gene:PRUPE_6G246100 transcript:ONI03231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRYGNTRLYVGRLASRTRSRDLERLFSRYGRVRDVDMKREFAFVEFSDPRDADDARYSLDGREFDGTRLIVEFAKGGPRGSREYLGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERQCKNSPKELRRGRSRSPGSRSPRRGRSRSPSYSRSRSYSRSRSPVRRGRSRSLDRYDRSLSPPYRKSPPPSKGRKHSLTPDEGSPRGRGSPSPRNGRLSTERDGSEYSPRGNSRSPVSPIGKSRSPSSPGRDSPSVGKYPSPTETNGRSPSPRDDRSPVDYEDGRSPSP >ONI03496 pep chromosome:Prunus_persica_NCBIv2:G6:25103432:25105818:-1 gene:PRUPE_6G260400 transcript:ONI03496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSRKTLHHHPFNLWKFLVSPTIKAVDVFSLTIKSTLALCSVASISLILCFAFSNYSHFPLPHINTVTNFNQNGSFSIEGHEQTNISHILFSIGGSVKSWNKRRHYSQLWWKPNVTRGFVWLDEKPFPNMTWPETIPPYKVSEDTSRFKYSCWYGSRSAVRIARIVKESFELGLPNVRWFVMGDDDTVFFTHNLVSVLAKYDHNQMYYIGGNSESVEQDVTHSYTMAYGGGGFAVSYPLAAELVKILDGCIDRYDKFYGSDQRIQACLSEIGVPVTKELGFHQLDIRGSPYGLLAAHPVAPLVSLHHLDYLESIFPNLNRIDSVKKLVSGYKVDPGRILQQSFCYDLNRNWSVSVSWGYTVRLYPFLVTAKKLETAVETFRTWRSWDAGPFTFNTRPVSSDKYERPVVFMLDRVESVDGGKTLTGYKRYSMGKEEKDRPKCNYTSALAVQFFNVSAPKFNPDLWNKAPRRQCCEIINDTDGADNVVHVQIRGCKQFESVSPHQTNRASKNN >ONH98999 pep chromosome:Prunus_persica_NCBIv2:G6:413124:417897:-1 gene:PRUPE_6G003900 transcript:ONH98999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKNKPKSGVWPTIKPFVNGGTSGMLATCVIQPVDMIKVRIQLGQGSAAQVTRNMIKEEGIGALYKGLSAGLLRQATYTTARLGSFRILTNKAIEANDGKPLPLYQKALCGLTAGAIGASVGSPADLALIRMQADATLPAAQRRHYTNAFHALYRIVSDEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDSLGFGEATTVLGASTVSGFFAAACSLPFDYVKTQIQKMQPDAQGKYPYTGSFDCALKTLKAGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQIQKLEANFGL >ONI00196 pep chromosome:Prunus_persica_NCBIv2:G6:5060193:5063787:-1 gene:PRUPE_6G074100 transcript:ONI00196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKRLDYGFNGYQVPATPRATRSARRRGAIRKRVDDNSMGAFDLLATIAGKLLLEGESSPASSHTSKDQCAGTKENCLDGDKPLKVEPCDQGSCDRRIPVSDHISQGPNQSSCSKESPVHQNESSVMTTSNCSERFASDMLVSGKCKNETGSFTSKIEAGSSGCREAGEFKLGGEVKTLIKDETNKSAKVLIGTGPDMCGLEDPVVWEGEPPALVSSDSSTKVPMYVDHIPQRSFPTSRDDVKVVSRDDDENSSGCTHPVTSIKYSRPAPSRIGDRRIRKILASKYWKVAPKLKDDIHSNSCKWLPCTKRMYRDQKPNYHNRKNCYKRQRSQMNIPFKKRKLFDRSAVLNIDEGISREGFFDSRGKGITGDASCSKMQGAAMKSSSLAGQHSSFQSRSSHVKLRIKSFRVPELFIEIPDTATVGSLKKTVMDAVTAVLGGGLCVGVLLQGKKVRDDNKTLLQTGISQDNQLDSLGFTLEPNSSQNPPPLCSGDSPCMLPCDVLQPLTRYPSGPTSEASPEPHTASLGNFIESDHDSAPSPTDMSAEKSTTDSKALVAVPDMSVEALAVVPGHRKSKRFDIGQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQAKQQLKQPETCLLV >ONI00195 pep chromosome:Prunus_persica_NCBIv2:G6:5059542:5065418:-1 gene:PRUPE_6G074100 transcript:ONI00195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKRLDYGFNGYQVPATPRATRSARRRGAIRKRVDDNSMGAFDLLATIAGKLLLEGESSPASSHTSKDQCAGTKENCLDGDKPLKVEPCDQGSCDRRIPVSDHISQGPNQSSCSKESPVHQNESSVMTTSNCSERFASDMLVSGKCKNETGSFTSKIEAGSSGCREAGEFKLGGEVKTLIKDETNKSAKVLIGTGPDMCGLEDPVVWEGEPPALVSSDSSTKVPMYVDHIPQRSFPTSRDDVKVVSRDDDENSSGCTHPVTSIKYSRPAPSRIGDRRIRKILASKYWKVAPKLKDDIHSNSYRDQKPNYHNRKNCYKRQRSQMNIPFKKRKLFDRSAVLNIDEGISREGFFDSRGKGITGDASCSKMQGAAMKSSSLAGQHSSFQSRSSHVKLRIKSFRVPELFIEIPDTATVGSLKKTVMDAVTAVLGGGLCVGVLLQGKKVRDDNKTLLQTGISQDNQLDSLGFTLEPNSSQNPPPLCSGDSPCMLPCDVLQPLTRYPSGPTSEASPEPHTASLGNFIESDHDSAPSPTDMSAEKSTTDSKALVAVPDMSVEALAVVPGHRKSKRFDIGQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQAKQQLKQPETCLLV >ONI00358 pep chromosome:Prunus_persica_NCBIv2:G6:5690500:5694512:1 gene:PRUPE_6G084500 transcript:ONI00358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRKSSQSKPIPLLTMRPAEDEEEEEEEEPSSSSKRKLQMVTTSPFKLPDGWSVEEKRRPLSNSCNPGQIDRYYYEPDTGLKFRSLAAVQRYLTEGQIDTRTIRSKPGSECTIQNTPSTNRNTSSFLLPDDWEIEEKRRYNSATVDKVPVLF >ONI01969 pep chromosome:Prunus_persica_NCBIv2:G6:17501424:17504197:1 gene:PRUPE_6G169700 transcript:ONI01969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLQNPNPSSAGPYHFGESIDPSMDFDEFSDYFMLDYDVDDHQDSSSLSTVSPEKFMADRSTGSSGGATSRNSNNNMKCRNEGRRNKIEMGHRVAFRTKSELEVMDDGFKWRKYGKKSVKNSPNPRNYYKCSSGGCNVKKRVERDREDSSYVITTYDGVHNHESPCVVYYNQMPPPVDPNNIWTLRASSQSSASS >ONI00252 pep chromosome:Prunus_persica_NCBIv2:G6:5326106:5327000:1 gene:PRUPE_6G078400 transcript:ONI00252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPSSPPNLFSLMTTTRKPHTDPSLFATTATRKTQNHLSLPSLSDHHHQTHNQPPETQSNHHHHPIQQPSSPN >ONI05028 pep chromosome:Prunus_persica_NCBIv2:G6:30008646:30013194:1 gene:PRUPE_6G352300 transcript:ONI05028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIMRLKVWFVLIALVLAATIRPNGAFESSSSRRQTSTEAYVTLLYGDEFLLGVRVLGNSIRDTGSTKDMVALVSDGVSNYAMKLLEADGWIVEKISLLANPNQVRPSRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKNIEDLFKCSKFCANLKHSERLNSGVMVVEPSETVFNDMMRQVSTLPSYTGGDQGFLNSYYSDFPNAHLFEPSLPAEVRKSRPAPAMERLSTLYNADVGLYMLANKWMVNESELRVIHYTLGPLKPWDWWTSWLLKPVDVWQNARVQLKESLPGTGGGRNPNDALLVSFLFLLPFCALLFCYYRLFLQSQEYFCSLCRSSLYDQIKHLYYKIRSTVTLNYTVVSTSSSINPNHQTRVPVYLGGISIVVCFMAALVALALAFAIVPRQVMPWTGLLMMYEWTFTIFFILFGGYLHLIYQWGKGTAVQAGALSSVPESVDYDSGKGHQRQESLCDSATLCYGLGMAFLAIAAPSLPCLFGITALFLRLGLMVVGGLALASFMTYASEHLATRSFLRGFEDRDGSRSRSFCLLC >ONI03753 pep chromosome:Prunus_persica_NCBIv2:G6:26193246:26200739:-1 gene:PRUPE_6G280000 transcript:ONI03753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYAIHLAMAALVGASLVAVSAYYMHRKTLNQLLEFAKTVEREREDNSDGGDSPQHMKKRRSHARRKGSGYYRRCSASLPDVTAISGGIDGDDHRRNGLLPVDGIPAGLPRLHTLPEGKSTELASSAKRTGNLIRPTSPKSPVASASAFESVEGSDDEDNMTDNAKLGTVGPDGKILFENLPNHVNANGEQIPIAPSSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFAKLKITPTEVPSTDEVEVYVVLQECLELRKRYVFSETVAPWEKEIISDPSTPKPNPAPFFYTSEEKSDHYFEMQDGVVHVYPNKDSREELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLSASKYQMAEYRVSIYGRKQSEWDQMASWIVNNELYSENVVWLIQLPRLYNIYKEMGIVTSFQNILDNIFIPLFEVTIDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAFSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTANNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGREYYKRGPDGNDIHKTNVPHIRVEFRETIWREEMQQVYLGKAMIPKEVER >ONI03639 pep chromosome:Prunus_persica_NCBIv2:G6:25697286:25699401:-1 gene:PRUPE_6G270900 transcript:ONI03639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSAEAAAPPPPLHPPQPPQPNRPSTSCDRHPEEHFTGFCPSCLCERLAVLDPSSSSSSTSRKPPTSSTAAAALKAIFKPPIGGGGASSTKTRPTSFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRVRNTLWTLFHQDDGRNPNKKEPQPPTEVEVETRNLGGSSSSFRGPVLESKEEEEEEDDENQSSDDDGDRIEISEEPNVANVIEDRVPEILEEEEEEEQFQPPPEPELFEVEDLKPMKDHIDLDSQTKKRDLKEIAGSFWSAASVFSKKLQKWRQKQKLKKRRNGGGSATLPVEKPIGRQFRETQSEIADYGFGRRSCDTDPRFSLDAGRMSFDDPRYSFDEPRASWDGYLIGRTFPRMPTMVSVVEDAPVVHVSRCDAQIPVEEPPMNSIEEETVPGGSAQTRDYYSDSSSRRRKSLDRSNSIRKTAAAVVAEIDEMKSVSNAKVSPTTVPDNRDLRDFYNSNSLRDDCSETFEMSSFRGGDSGSVGVNGERNKGCKKSRRWSKAWNIWSFIHRRGGNKEEDEDRYSSVRSNGVERSFSESWPELRGGERNGEAKGFNPKIMRSNSSASWRNSSHGFGGVGGSFGSMRKNYSNGAAVAAAAAAAAVEANGNAGRKKKDDQFVLERNRSARYSPNHIDNGLLRFYLTPMRSSWRSGAGKTRSSHAHSIARSVLRLY >ONI03206 pep chromosome:Prunus_persica_NCBIv2:G6:24214598:24219457:-1 gene:PRUPE_6G244600 transcript:ONI03206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEQSFNVLHAPKPRRDAYGFALRPQHVQRYREYSHIYKEEEEERSNKWKNFLEHVEKSSELSSPEKAHKEELQAEATERKAETVPESGEEGNDTSSGKSVSGCSSERDPEKELQHSKETKKSKVQTWTLIRSSLGSIENMMSFRIRKRKIMKDKQIIVDKDHLPSEAASSGGASEDDVEEDVCFSDALNDSPDAFTAENSVSDGFSDSVKVAGEVEAMDGGVPHSVQSIGTEEAVANGVPPEPFFPWKLELESLVHGGVPTDLRGEVWQAFVGVKARRVERYYYELLAQETNTTESVDKDNSSGVPRKWRRQIEKDIPRTFPGHPALNEDGRNSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDEYFDGYYTEEMIESQVDQLVFEELVRENFPKLVNHLDYLGVQVAWFTGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALLELYGPALVTTKDAGDAITLLQSLVGSTFDSSQLVLTACMGFLAITETRLQDLRDKHRPAVLAVVEERSKMGQVWKDSKGLASKLYSFKHDPIVTEEKNTTEEGTTDGYVSHSKSGSRNLDELLSGTSVDSEVDSLPELQDQVIWLKVELCRVLEEKRSAVLRLLAT >ONI03205 pep chromosome:Prunus_persica_NCBIv2:G6:24212481:24219234:-1 gene:PRUPE_6G244600 transcript:ONI03205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEQSFNVLHAPKPRRDAYGFALRPQHVQRYREYSHIYKEEEEERSNKWKNFLEHVEKSSELSSPEKAHKEELQAEATERKAETVPESGEEGNDTSSGKSVSGCSSERDPEKELQHSKETKKSKVQTWTLIRSSLGSIENMMSFRIRKRKIMKDKQIIVDKDHLPSEAASSGGASEDDVEEDVCFSDALNDSPDAFTAENSVSDGFSDSVKVAGEVEAMDGGVPHSVQSIGTEEAVANGVPPEPFFPWKLELESLVHGGVPTDLRGEVWQAFVGVKARRVERYYYELLAQETNTTESVDKDNSSGVPRKWRRQIEKDIPRTFPGHPALNEDGRNSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDEYFDGYYTEEMIESQVDQLVFEELVRENFPKLVNHLDYLGVQVAWFTGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALLELYGPALVTTKDAGDAITLLQSLVGSTFDSSQLVLTACMGFLAITETRLQDLRDKHRPAVLAVVEERSKMGQVWKDSKGLASKLYSFKHDPIVTEEKNTTEEGTTDGYVSHSKSGSRNLDELLSGTSVDSEVDSLPELQDQVIWLKVELCRVLEEKRSAVLRAEELETALMEIVKLDNRRELSARVEQLEKEVAELQQALADKTEQESAMLKVLMWMEQEQKVTEDARISAEQEVAVQKNAVHVLQKRIYAGKI >ONI03203 pep chromosome:Prunus_persica_NCBIv2:G6:24211563:24219042:-1 gene:PRUPE_6G244600 transcript:ONI03203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLLSKRSLEADSRDAYGFALRPQHVQRYREYSHIYKEEEEERSNKWKNFLEHVEKSSELSSPEKAHKEELQAEATERKAETVPESGEEGNDTSSGKSVSGCSSERDPEKELQHSKETKKSKVQTWTLIRSSLGSIENMMSFRIRKRKIMKDKQIIVDKDHLPSEAASSGGASEDDVEEDVCFSDALNDSPDAFTAENSVSDGFSDSVKVAGEVEAMDGGVPHSVQSIGTEEAVANGVPPEPFFPWKLELESLVHGGVPTDLRGEVWQAFVGVKARRVERYYYELLAQETNTTESVDKDNSSGVPRKWRRQIEKDIPRTFPGHPALNEDGRNSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDEYFDGYYTEEMIESQVDQLVFEELVRENFPKLVNHLDYLGVQVAWFTGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALLELYGPALVTTKDAGDAITLLQSLVGSTFDSSQLVLTACMGFLAITETRLQDLRDKHRPAVLAVVEERSKMGQVWKDSKGLASKLYSFKHDPIVTEEKNTTEEGTTDGYVSHSKSGSRNLDELLSGTSVDSEVDSLPELQDQVIWLKVELCRVLEEKRSAVLRAEELETALMEIVKLDNRRELSARVEQLEKEVAELQQALADKTEQESAMLKVLMWMEQEQKVTEDARISAEQEVAVQKNAVHVLQEKYDKAMSSLTEMEKRVKVAESMLEATLQYESGQAKALKSPRAATTQGNTKKLGILSFGLGWRDRNKGGSDLKSPSEQREPSSPKDKTGEEEKGHAK >ONI03204 pep chromosome:Prunus_persica_NCBIv2:G6:24211562:24219043:-1 gene:PRUPE_6G244600 transcript:ONI03204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLLSKRSLEADSRDAYGFALRPQHVQRYREYSHIYKEEEEERSNKWKNFLEHVEKSSELSSPEKAHKEELQAEATERKAETVPESGEEGNDTSSGKSVSGCSSERDPEKELQHSKETKKSKVQTWTLIRSSLGSIENMMSFRIRKRKIMKDKQIIVDKDHLPSEAASSGGASEDDVEEDVCFSDALNDSPDAFTAENSVSDGFSDSVKVAGEVEAMDGGVPHSVQSIGTEEAVANGVPPEPFFPWKLELESLVHGGVPTDLRGEVWQAFVGVKARRVERYYYELLAQETNTTESVDKDNSSGVPRKWRRQIEKDIPRTFPGHPALNEDGRNSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDEYFDGYYTEEMIESQVDQLVFEELVRENFPKLVNHLDYLGVQVAWFTGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALLELYGPALVTTKDAGDAITLLQSLVGSTFDSSQLVLTACMGFLAITETRLQDLRDKHRPAVLAVVEERSKMGQVWKDSKGLASKLYSFKHDPIVTEEKNTTEEGTTDGYVSHSKSGSRNLDELLSGTSVDSEVDSLPELQDQVIWLKVELCRVLEEKRSAVLRAEELETALMEIVKLDNRRELSARVEQLEKEVAELQQALADKTEQESAMLKVLMWMEQEQKVTEDARISAEQEVAVQKNAVHVLQEKYDKAMSSLTEMEKRVKVAESMLEATLQYESGQAKALKSPRVLCRAATTQGNTKKLGILSFGLGWRDRNKGGSDLKSPSEQREPSSPKDKTGEEEKGHAK >ONI03202 pep chromosome:Prunus_persica_NCBIv2:G6:24211595:24219458:-1 gene:PRUPE_6G244600 transcript:ONI03202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEQSFNVLHAPKPRRDAYGFALRPQHVQRYREYSHIYKEEEEERSNKWKNFLEHVEKSSELSSPEKAHKEELQAEATERKAETVPESGEEGNDTSSGKSVSGCSSERDPEKELQHSKETKKSKVQTWTLIRSSLGSIENMMSFRIRKRKIMKDKQIIVDKDHLPSEAASSGGASEDDVEEDVCFSDALNDSPDAFTAENSVSDGFSDSVKVAGEVEAMDGGVPHSVQSIGTEEAVANGVPPEPFFPWKLELESLVHGGVPTDLRGEVWQAFVGVKARRVERYYYELLAQETNTTESVDKDNSSGVPRKWRRQIEKDIPRTFPGHPALNEDGRNSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDEYFDGYYTEEMIESQVDQLVFEELVRENFPKLVNHLDYLGVQVAWFTGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALLELYGPALVTTKDAGDAITLLQSLVGSTFDSSQLVLTACMGFLAITETRLQDLRDKHRPAVLAVVEERSKMGQVWKDSKGLASKLYSFKHDPIVTEEKNTTEEGTTDGYVSHSKSGSRNLDELLSGTSVDSEVDSLPELQDQVIWLKVELCRVLEEKRSAVLRAEELETALMEIVKLDNRRELSARVEQLEKEVAELQQALADKTEQESAMLKVLMWMEQEQKVTEDARISAEQEVAVQKNAVHVLQEKYDKAMSSLTEMEKRVKVAESMLEATLQYESGQAKALKSPRAATTQGNTKKLGILSFGLGWRDRNKGGSDLKSPSEQREPSSPKDKTGEEEKGHAK >ONH99070 pep chromosome:Prunus_persica_NCBIv2:G6:692982:694267:1 gene:PRUPE_6G008900 transcript:ONH99070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFMNNVNLHETLGETNNFRLMAQYLRQNRKKMTPSPLCHSSTMVTHNSMKFSPKIANSNLIQGKKE >ONH99069 pep chromosome:Prunus_persica_NCBIv2:G6:692974:693807:1 gene:PRUPE_6G008900 transcript:ONH99069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFMNNVNLHETLGETNNFRLMAQYLRQNRKKMTPSPLCHSSTMVTHNSMKFSPKIANSNLIQGKKE >ONI05151 pep chromosome:Prunus_persica_NCBIv2:G6:30355607:30357861:1 gene:PRUPE_6G358900 transcript:ONI05151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGVVVVVRGVRQNDGNITNFVLANCMIASLGGLIFGYDSGGVLSRELFLKKFLPSALLKHDDERDHENMYCKSEDRQPMVMFTSSLYLAALVASLVASTITRACGRNMSMRIASFMYLIGAILTAAALNIPTLILGRILIGTGIGFAIQSSLIYLSEMAPAYIRGALNFGFQMNVTIGILLGNFVNYGTGQIKGGWGWRLSLTLAVIPAVMLVVGSLFLPDTPNSMLDRGQPADKVKKMLQNIRGTKNVEEEFQGLVYASEAAKKVDSPWKQLLLHPRYRPHLVMCVLVPVFQQLTGINAITFYAPVLYKALGFGRQASLVSSAVTGVVNVVATCVSVAGVDTFGRRPLFLQGGVQMFVCQVAVATMVAIKFGVSGQGNLTQSEADFLLILICFYVAAFAWSWGPLGWLIPSEMCPLEVRSAGQALNVSINMLCTFGIAQSSLAMFCHLKFGLFFLFAGFVALMTIFIYYFLPETKNVRIEDMDSVFREHWFWGRYIPDEVHELDMRY >ONI03763 pep chromosome:Prunus_persica_NCBIv2:G6:26229048:26231703:1 gene:PRUPE_6G280700 transcript:ONI03763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKNGALDLYLARRLLQIGVTDVFSVPGDFNLTLLDYLIAEPGLSNIGCCNELNAGYVAYGYARWRGVGACVVTFTVGGLSLLNAIAGAYSEDLPVICIVGGPNSNDFGSDNRILHHTIGLPDFSQELRCFQNVTCYQAVINNLEDGSELIDTAISIALKESKPVYICISCNSAGIPHPTFRPEPVPISFPPRFSNQMALEASVGAAADLLDKAVKTVMVADAFAELADASGYALAVQSTTLISLGHTGGSVSTAFCSEIVESADAYLFAGSVFNDYSSVGYSALLKKEKAVIVQPDRVTIGNGPAFGCVLLKCAPTEPLRVNVLFQHVQNMLSSQTAVIADTGDSWFNCQELKLPPGCRYEFQMQYESIGASLGYAQAAPTKRVIAFIGDGRYTIEVEIHDGPYNVIKNWGYTGLIDAIHNGEGKCWTTKVRCEDELIEATEVANGDKKECSCFIEVIVHKDDTSKELLQWGSRFAAANSRPPSRHQ >ONI04242 pep chromosome:Prunus_persica_NCBIv2:G6:27853993:27854588:1 gene:PRUPE_6G310900 transcript:ONI04242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWRSASAFRSPMGWAPRSLLRWWPELSLSIVDDVVWPLVTAFESVALVSMLFFFFVFCGCTV >ONI01672 pep chromosome:Prunus_persica_NCBIv2:G6:13258945:13260447:-1 gene:PRUPE_6G152400 transcript:ONI01672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDPSTSSILEDDQDTINSTTTSTITPTPTTTTSASASASSHNSSLSKCQSAVQSLSTILPSLPPSLSSSSNPAHTLLHDHHTASHISSLLRQPDSGAGDNNLCRWLYDTFQSSDPDLQLLVLRFLPIIAGIYISRAALRVPLAGFEAVLLALYAHETATRSGHSITVSVPDLSHPSLYHESKAPTKNNSTGLNLAVISPSLEPYGTVRSTRRARIVGVALELYYSKIHEMPVQSKIEFCEFCRVWAGQDGEMYKEMTGSSCSSRSESKEEDGNGEKAGSIPLPWELMQPVFRILGHCLLCPNQNKELVDKGSEACRSLYARSMHDINPKAILVTGSLLRLTKQALDTNDDFDPTEIPKSRIISI >ONI03076 pep chromosome:Prunus_persica_NCBIv2:G6:23742504:23743435:1 gene:PRUPE_6G236800 transcript:ONI03076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHSGNISLDDVIEIAKIMKHRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPLD >ONI04938 pep chromosome:Prunus_persica_NCBIv2:G6:29795270:29799621:1 gene:PRUPE_6G349000 transcript:ONI04938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSVLDDIINRLLEVRGRPGKQVQLSESEIRQLCLASKEIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPNANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDEKILCMHGGLSPELQNLDQIRNLQRPTDVPDAGLLCDLLWSDPSKDVQGWGGNDRGVSYTFGPDTVTEFLQKHDLDLVCRAHQVVEDGYELFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPADKKSKFNFGSTTTAKPGNTSTGVNAFGSTTTAKPGNSVTGIKSLMQR >ONI02476 pep chromosome:Prunus_persica_NCBIv2:G6:20903781:20905007:1 gene:PRUPE_6G201000 transcript:ONI02476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDWTVSDFLTEQGWNTDWLMGCLPLHVVQKIHCIFAGFNHTEADSCIWQLTSNGEFSVKTAYLSLFTEETNYTWNWDMIWKLQVPPKIKTFLWLLIQGKLLTNVQRVRRNLASNSNCPCCNGSMESLDHLFRRCRHATKVWNSIGIPNQVAHSFSMDFKDWLFTNIKASFSCMQGIPWSSLFLAALWFCWKWRCKKVFDLNFSPPPWPHIPIIHFSREWLVANRSRNSKLPKHVLKLHWSPPCAGWFKINVDGSCMGELGAISAGGIIRNDAGVWVKGFVTKLGCGSILEAELWGVFRGLLLTWNEGIRRIQMECDSLTAVSLINGETGTNHPLSSIIHCCKDLLLRDWECTIYHIYREQNSAADHMAHLGQYSSLGFHVIDLPPPSIVSLLANDSSRGTTARLVPV >ONH99369 pep chromosome:Prunus_persica_NCBIv2:G6:2118854:2122002:1 gene:PRUPE_6G027100 transcript:ONH99369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPYSDPSSEATSASPAWQDMFRSASIRKSSTPEPQVPEPQAPPKDPSKRIDPDHKTTLSGDPQVRLALYITMAHAGLAFTIFILYAVGKLLEEYLRPIQWAVLCSIPLRGIQQTLVGFWSEPLRLGLTETLLAVPVAMFRVFVGTLVEIREVCFRIFLRKPKSEYRRRHQSEFSKLLRWLVSFWILILAYERIGGVGSLAILGLGFLFSAKGVDSTMSTVSSLRCSSFRRSPISAFFTRRILIRLKTIVAIGLIFAMIVGFLVGVTFFSYKIGVESKDAVISLKLHVEESNYTEKIGIKQWMEENDVPGMVDRYTSKLYETVSDQIDSLAMQYNMTEFATGIKHFIVRQSANSSEPSTALASPSPYTEKLLSLRNRISKREWGHIYTEVDAIVRELVITREDLVEKAKGFAIRGMDVSQRILASSTSVLGGSAKFMFSIGSSIVSGAAEIFNFVSQLMVFFWVLYYLITSESGGVTAQVMSMLPISKSARVRCVEVLDNAISGVLLATAEIAIFQGCLTWLLLRLYKIHFLYMSTVLAILSSLLPIFPSWFATIPAALQLVLEGRYIIAIILSVIHLVLMDYGASEIQEDIPGHSEYLTGLSILGGMTLFPSALEGAIMGPLITTVVIALKDLYVEFVLGEPKDKVE >ONH99746 pep chromosome:Prunus_persica_NCBIv2:G6:3398363:3401066:1 gene:PRUPE_6G047400 transcript:ONH99746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGNLTLTLKNMTQCVEFPPHVHSAGIFSYKTSAGIPYTLPNLEMQMVLIFCMTQAFYFVLRYFGVPRFSTQVITGIILGPTLLGHFKFFGQYLFNVNSQEILGVLAEFGYGFFMFVIGVKMDLGMINRTGQKALCVGVACVVVPLVVGMLVQTKLTSSFFNLTEQEMFRLPFVNVINCMTPFPVVALLLEQLKILNSEIGRLGMSAALVSDIFSGFLQFVGKMVKMIKENSREDTITMVGASIGYIIVVVALLRPAMYWVIKQTPENRPVKKTYVNIIIMLMLTSGVLSHMYGQGFHFGPYIFGLAVPAGPPLGSAIEEKLNLFVSDVLLPIFVTACSMRTDFWSLKYLSTDAYTQVNGILFVVVLVTKFFASIVPPLYCRMPFSDALSIALILSCKGIVNLSAYTDYRDNLTISDPSFALAITSVLVTATFVPIAVKYLYDPSRKYAGYQRRNMMHLKPNAELKILSCIHRSANMPAVINLLDAACPSKENPIAVYVLHLIELVGRASPVFISHQMQRKSSSNVSYSDNVILYFNHFVRENLGAVSLSTFTSISAPKYMHEDICTVALDKLVSLIVLPFHRRWSVDGSVESEDNNTRILNCSVLDRAPCSVGILVDRGHLGQSSIVAPGSSFSVAIIFLGGKDDREALAFVKRMTNDSTISLTVIRLVASADEVDGSKWDNVLDAEELKGFRYNDVREGFVIYLEEVVEDGPQTALFLRSIVDDYDLIIVGRRFNVNSPQTSGLSEWSEFPELGTIGDLLASPDITCRASILVVQQQKMVTQ >ONI04197 pep chromosome:Prunus_persica_NCBIv2:G6:27749411:27751815:-1 gene:PRUPE_6G308100 transcript:ONI04197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLKSMLFDGQLCSTRPVHIFNFGDSNSDTGGLAAGLGYSVNPPNGRTFFGSSTGRLSDGRLVIDLLCQSLNLSLLSPYLDSLVGSNFSNGANFAVVGSSTIPKRVPFSLNIQVMQFIHFKAIAAAGSKHWINDEDFRKALYMIDIGQNDLSDSFTNDLSYVQVTERIPSVIEEIKSAVKALYDQGGRNFWIHNTGPLGCLPQKLSLVEKKDLDPYGCLSSYNAAARSFNEALLHLCNGMRSELKDAVIVYVDIYAIKYDLIANSTKYGFSTPLMACCGNGGPPYNFNVRGLCGQPGSHVCDEGTRFVSWDGIHYTEAANTIVASKILSTNYSTPRIPFDFFCGI >ONI01742 pep chromosome:Prunus_persica_NCBIv2:G6:13730360:13731389:1 gene:PRUPE_6G156100 transcript:ONI01742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPEATTYHLNSIMFDHLPLLMYVRPSYWEKRKRQFLFEEMWTIVEGCQETITHALEYEHGTVVEKLKACQGSLWTWNNEQCTSIESIRITFCDYFQGLFTCNGLNESPVVTEVVKLMITQAQNMHLNQAFLQEEIETALRQMFPTKSPRVDGDDVVDFYLDVLNGGTKKVNHTLLTLIPKVDKPTKVTEFQPISFCMVIYKMICKIIVNRLKPIMPLIILEFQSTFLSLHGVMKKI >ONI05010 pep chromosome:Prunus_persica_NCBIv2:G6:29941287:29943296:-1 gene:PRUPE_6G351400 transcript:ONI05010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPPLASSFQLLEINLISAQDLYPASKSMRTFAVAWVNPQRKLTTRVDQNGHTNPTWNEKFVFRVDDEFLKDDTSKIMIEIYASAWLRDVLIGTAAVVVNNLQNKSKMRFMAIQLRRPSGRPQGILNIGLGLLDNTMRSMPLYSELSSSAVGYWDLMEGKGANQKNHDPNYKDQDKFIIFQRSQSDRTGSDYGFSKSRPPSSVCDGLSKSKASSAICNAATGGFSKTTKPQGSFCNSSIVNGSELSSAQKGGKGGSICSDVGPSPSVVAAAIAKGIYPLGHVGGNVVRHAAQGDARNSLLDEWTDQDSVEGLKTKIERWRTELPPVYDCKNKNNNNNNNNNNTSQHPKLLQSSHQLTNRPPKSRRSRSGGRSLFSCFALGCELSITCGGGGKKPKKKSSGKVHLGTSDMTFDDSSYLR >ONI00627 pep chromosome:Prunus_persica_NCBIv2:G6:6896780:6897667:-1 gene:PRUPE_6G099100 transcript:ONI00627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLQHQPLFSLTLLLILLFHCTKILAQAPAAAPAAAPAAPVAPAAPIAPAAPIAPAAPSITGPAVPAPPADAPAPPGPTNITKILEKAGGFNVFIRLLKSTQIDRQLYSQLNNSNSQLTVLAPTDSAFSRLSTGSLNSLGDEQKVQLLQFHLIPDFLTIQNFQTLSNPVRTQAGTGFQYPLNITTTGSSVNISTGLVNTSISGTVYSDNQIAIYKVNSVLQPYGVFAPKHHQPSPAPAPAQEKPKKESSSSDSDDTTPDVAEVKSGAIPCLIPKINAIVSTGVAVVAAAALSFS >ONI01699 pep chromosome:Prunus_persica_NCBIv2:G6:13486360:13487462:1 gene:PRUPE_6G154700 transcript:ONI01699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLKSRVRWLMILMVMIRVLHVECRQPVLHRVGGGRFTWAPNIDFTEWSNQENFYVGDWLYFGFDKHIYNVLEVNKTSYDNCIDKDFIYNVTRGGRDVFNLTEANTYFFLSGRGYCFEGMKVAVQVREIPPEPLLLNHGFQSYVYIHAILLAMLATACACIILF >ONI00894 pep chromosome:Prunus_persica_NCBIv2:G6:7846076:7849686:-1 gene:PRUPE_6G109600 transcript:ONI00894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFSHISLSLLSLLLLPFFSAAFPNNGPLQFSSTPKLQAENFIRDLNLFPIDEINTVPHKTSLDEAFAGPMLVEKQFKMPFLGAASGPSVQELGHHAGYYRLPNSKAARMFYLFFESRTNKNDPVVMWLTGGPGCGSEIAVFYENGPFQIANNLSLAWNDYGWDKASNLLFVDQPIGTGFSYTSDEGDIRHDEEGISNDLYDFLQAFFAQHPQFAKNDFYVTGESYAGHYIPAFASRVHKGNKAKEGMYINFKGFAIGNGLTNPEIQYKAYPDFALQTGLIKKADYDRISTTIPDCEQAIKTCGSEGGEACASSYEVCNSIFEKIINIIGSTNYYDIRKQCEGDMCYDFSNMETFLNKKQVRDALGVGGIDFVSCSSTVYDAMLMDWMRNLEVGIPALLEDGIKVLVYAGEYDLICNWLGNSKWVHAMEWSGQKAFGASSTVPFKVGATEAGLLKSHGPLTLLKVHNAGHMVPMDQPEAALQMLTSWMQGKLAIAESVERIAPK >ONI01543 pep chromosome:Prunus_persica_NCBIv2:G6:11983221:11985732:1 gene:PRUPE_6G145500 transcript:ONI01543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLSKSLTRSPSSHFMKKNIMEQEASKVIVEKLGIVTILGPSDSERTKAASLRRTLSADMSSKRWLAQHGFFPMKKIASSEELSASIDTQDNTSSSSEDEDRYQERKGKFPIWSSLDEEDQKKKMSKAEAEKKQQGQLDLWSSIICQKANEEANKAVDTAAPYVHPLVKKQSSSLTVKSLEVCTESLGSETGSEGFSSYPPSETGDIEVLDKEQEEDRAEVPRQQEEEKEKVPVAQAFDGEEFRVVKYNSAASKKLPPTRSFPPPLPSFSGCDGTSVRMRTHRNNGRVVLEAVSMPSPNNFRAQRQDGRLVLTFLATTPSSCEETESEEVVDKEEQSREEDFEENFVNFQEGGEEKESEKSDDDDDDGDDDEEEEKATKENGIKEIEIVMEEAPKVLTSRVTNVHRLALMMNKPIGFANKTHGWANKFNEVVKYGGDDEEVEVVEPTPLAKSLPPRPGRVARLIPKPPAAAKTAAAAGSFNAYEYYWRTKSTATVALNPLPQATSQSLKSNGFMSKNQVANDQQQLLVLRGNKGDHLAPFSKGCKEPRRSLLFWEPYCIATS >ONH99460 pep chromosome:Prunus_persica_NCBIv2:G6:2413397:2414137:1 gene:PRUPE_6G031000 transcript:ONH99460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQKIVMKLQMNCEKHRTNALKIAAVAKGVSKVSIEAEKEQMEVIGDGVDTTRLTMSLRKKLGSAAIVSVEPVKANAEEEKPTPTTTQYWTSSYVHHPRHRSTHYRVVHDESPQQINCSIM >ONI04453 pep chromosome:Prunus_persica_NCBIv2:G6:28447585:28448413:1 gene:PRUPE_6G322400 transcript:ONI04453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAHSMLNRFITLKAEEKKKPKERRPYLASECRDLAEASKRRQQIMGEIVAEIQNEELGEHRLRDLNDEINKLIREKVHWERRIVELGGPNYAKHAPKMTDLDGNIINVPNTSGRGPGYRYFGAAKKLRGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDDEDGVLEKVEAPAEWKRMEEIRKEARRAVKSGEVVSVAKAKEEIEKMVLEKKKKELLSKYTSDGLLEEQT >ONI04596 pep chromosome:Prunus_persica_NCBIv2:G6:28793080:28794978:1 gene:PRUPE_6G329200 transcript:ONI04596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTEATVKSKKTVFVTVGTTSFDALIRAVDTQEVKAELLRRGYTQLLVQMGRGSYIPTKSEGGDETLAVDYFTFSSSIADHLRAASLVISHAGSGSIFETLRHGKPLIVVVNEDLMDNHQSELAEELADRKHLYYARTRTLHRVIADMNLDSLIPYHPGDATPVAKLINQFLGFADD >ONH99622 pep chromosome:Prunus_persica_NCBIv2:G6:2994100:2995672:-1 gene:PRUPE_6G039900 transcript:ONH99622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYKTFVGGKIGLICFTLGMFLVFQSAHAFNKTAPTHNVNLSPFAGWMSAFYCVNKTAPVHCSPKGQLTFSGIVDVAPSEKADYCSDTTGCSKHALGVLECIYLVKRDFWFHNNATVGFLNRTITEGCSNKNNNSGISTANFKSSGMKVYQKMYIPFVASLSSLAFIAMSNIM >ONH99329 pep chromosome:Prunus_persica_NCBIv2:G6:2004661:2008198:-1 gene:PRUPE_6G025100 transcript:ONH99329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIVNLSRTGSLTDPKMEPPTLVINTVLSVLSYNYPTEKLCVYLSDDGGSEFTFYALLEASRFAKYWIPFCKKFNVEPRSPEAYFALYSDVHGIKYGQEWLEIKKLYEEMKNRIESAVATAEIPVEIKKQHKGFSEWNLEVAKNDHQSIVQIITDGRDINAVDNDGCRLPTMVYMSREKRPQQLHNFKAGALNALLRVSSEISNAPFILLLDCDMYANNADSIREALCFFLDEKYGPEIAYVQHPQGYNNLTKDDIYGNECFVINAVELAGLGGYGAALFCGTGCFHRRECLFGRKYSKDYRGHWNIESQKTIDRSIKELEESAKTLISCSYEKGTQWGKEMGLIYGCPVEDIATGLAVQCRGWKSIYYNPERKDFLGVAPNTLDTALIQHKRWSEGLFQIFFSKYCPFIYGHGKIHLGAQMAYCIYLLWAPFSFPTLYYVTVPPLCLLHGIPLFPKVSSLWFLAFAYVFIAKNVYSIVEALRSGSTLKAWWYLQRMWLIRRITSYFFAFFDTIKRQLGLSETEFALTDKVITDDVSKRYEQEIMEFGSASIMYTVLATSALLNFLSLVWGTKRVVMDRHSKALDQLISQVILSGILVLINLPVYQALFIRSDKGHIPSSVMFKSFFLLALACLMPIY >ONH99328 pep chromosome:Prunus_persica_NCBIv2:G6:2004880:2008102:-1 gene:PRUPE_6G025100 transcript:ONH99328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQEGGGEESLPPLFESRRARFIGLYKVFASTILVGVCLIWVYRVTNIPRAGEAGRWAWIGMLMAEFWFGLYWIITQSPRWNVTHRQPFKDRLSHRYEDKLPGVDIFICTADPKMEPPTLVINTVLSVLSYNYPTEKLCVYLSDDGGSEFTFYALLEASRFAKYWIPFCKKFNVEPRSPEAYFALYSDVHGIKYGQEWLEIKKLYEEMKNRIESAVATAEIPVEIKKQHKGFSEWNLEVAKNDHQSIVQIITDGRDINAVDNDGCRLPTMVYMSREKRPQQLHNFKAGALNALLRVSSEISNAPFILLLDCDMYANNADSIREALCFFLDEKYGPEIAYVQHPQGYNNLTKDDIYGNECFVINAVELAGLGGYGAALFCGTGCFHRRECLFGRKYSKDYRGHWNIESQKTIDRSIKELEESAKTLISCSYEKGTQWGKEMGLIYGCPVEDIATGLAVQCRGWKSIYYNPERKDFLGVAPNTLDTALIQHKRWSEGLFQIFFSKYCPFIYGHGKIHLGAQMAYCIYLLWAPFSFPTLYYVTVPPLCLLHGIPLFPKVSSLWFLAFAYVFIAKNVYSIVEALRSGSTLKAWWYLQRMWLIRRITSYFFAFFDTIKRQLGLSETEFALTDKVITDDVSKRYEQEIMEFGSASIMYTVLATSALLNFLSLVWGTKRVVMDRHSKALDQLISQVILSGILVLINLPVYQALFIRSDKGHIPSSVMFKSFFLLALACLMPIY >ONI04710 pep chromosome:Prunus_persica_NCBIv2:G6:29163136:29164213:1 gene:PRUPE_6G335500 transcript:ONI04710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSREMKMYNLPDYKQVLRTIDLCPIQDHCFQMAWSIPLNFAKILLIGYLSDTLLGWLSKYNINEAPFLFLFYFFFQAEVTILKLTEERSSSIQERKKLQEQLAQLNQRGVSVKRVQVGFPLLYVCMVALISVALGYCLHP >ONI02978 pep chromosome:Prunus_persica_NCBIv2:G6:23445129:23449536:1 gene:PRUPE_6G231800 transcript:ONI02978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEAVQGWEKVEADAGFLKQELDKALQIRAAREERIAQLDAALKECMQQLRFVREEQEQRVHDAMMKTSREFEKSQMVLEEKLAETTKRLSKIGAENTHLSNALSVKENLIGDLRKQLTQVEADFNALTSRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQNLEGAKKIAKLESECQRLRLLVRKRLPGPAALAKMKTEVEMLGRDSVDMRRRKLNPNGLMYDSTVDNFPETPSKRVNILTDQLYAMEEENQTLKEALNKKMNELQFSRNMYARIASKLSQVETPLEESSRGQTTMEPMRSSLMSREVSVASMSDIGSDDKVSCADSWASALITELEHFRNEKQKGSLTSKTVGASDINLMDDFVEMEKLAVVSADKLSVGSPVSSANAFVGTLETEYSSALVGSEMVPVSDSESGFNMSNRETRFKNIPDGKAPNWIQDMVKLVLEHNRGAGRNPEQILEDIRLALASTENQKPGELVNARTNGNHFDASNPSSVKSCISWKGSDRSLVTDSPSGVSDVDISSPKRSNQQFQPDLSKSLCKIIELIEGISVPSPDYNPENGTRKDGNLSTYKNSEYTGYMVRVFQWKTSELGDLLQQFVHACYDLLNGKAGLDKFAQELTTALDWILNHCFSLQDVSSMKDAIKKQFDWDDTRSESEAEAGVVGHFLDTDKLRVRREQLSCVPTSTSSNGHSIQIEGLQANLVNENRKLKDELVNVESAKRELEGRFQSACDKSEYLMNQLKESEKAIASLRTELQSLRDSKGIIEDQIKNHKVMNEDLDTQLTVARVELSEARQKFSSLEVELENKYNCCEELEATCLELQLQLESVKKKSPNSDPNPDERQAQNDWEITAASEKLAECQETILNLGKQLKAMAAPRKQPFLTSHH >ONI02976 pep chromosome:Prunus_persica_NCBIv2:G6:23443064:23449536:1 gene:PRUPE_6G231800 transcript:ONI02976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKPWLWRKKSTEKPNVGAAAAAEDKVNVLGKGNEDEIEAIRAEKAELENNLKTLSDKLASALSECNSKDELVKKHAKMAQEAVQGWEKVEADAGFLKQELDKALQIRAAREERIAQLDAALKECMQQLRFVREEQEQRVHDAMMKTSREFEKSQMVLEEKLAETTKRLSKIGAENTHLSNALSVKENLIGDLRKQLTQVEADFNALTSRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQNLEGAKKIAKLESECQRLRLLVRKRLPGPAALAKMKTEVEMLGRDSVDMRRRKLNPNGLMYDSTVDNFPETPSKRVNILTDQLYAMEEENQTLKEALNKKMNELQFSRNMYARIASKLSQVETPLEESSRGQTTMEPMRSSLMSREVSVASMSDIGSDDKVSCADSWASALITELEHFRNEKQKGSLTSKTVGASDINLMDDFVEMEKLAVVSADKLSVGSPVSSANAFVGTLETEYSSALVGSEMVPVSDSESGFNMSNRETRFKNIPDGKAPNWIQDMVKLVLEHNRGAGRNPEQILEDIRLALASTENQKPGELVNARTNGNHFDASNPSSVKSCISWKGSDRSLVTDSPSGVSDVDISSPKRSNQQFQPDLSKSLCKIIELIEGISVPSPDYNPENGTRKDGNLSTYKNSEYTGYMVRVFQWKTSELGDLLQQFVHACYDLLNGKAGLDKFAQELTTALDWILNHCFSLQDVSSMKDAIKKQFDWDDTRSESEAEAGVVGHFLDTDKLRVRREQLSCVPTSTSSNGHSIQIEGLQANLVNENRKLKDELVNVESAKRELEGRFQSACDKSEYLMNQLKESEKAIASLRTELQSLRDSKGIIEDQIKNHKVMNEDLDTQLTVARVELSEARQKFSSLEVELENKYNCCEELEATCLELQLQLESVKKKSPNSDPNPDERQAQNDWEITAASEKLAECQETILNLGKQLKAMAAPRKQPFLTSHH >ONI02977 pep chromosome:Prunus_persica_NCBIv2:G6:23444872:23449536:1 gene:PRUPE_6G231800 transcript:ONI02977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTKELKDRIPKGIEAIRAEKAELENNLKTLSDKLASALSECNSKDELVKKHAKMAQEAVQGWEKVEADAGFLKQELDKALQIRAAREERIAQLDAALKECMQQLRFVREEQEQRVHDAMMKTSREFEKSQMVLEEKLAETTKRLSKIGAENTHLSNALSVKENLIGDLRKQLTQVEADFNALTSRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQNLEGAKKIAKLESECQRLRLLVRKRLPGPAALAKMKTEVEMLGRDSVDMRRRKLNPNGLMYDSTVDNFPETPSKRVNILTDQLYAMEEENQTLKEALNKKMNELQFSRNMYARIASKLSQVETPLEESSRGQTTMEPMRSSLMSREVSVASMSDIGSDDKVSCADSWASALITELEHFRNEKQKGSLTSKTVGASDINLMDDFVEMEKLAVVSADKLSVGSPVSSANAFVGTLETEYSSALVGSEMVPVSDSESGFNMSNRETRFKNIPDGKAPNWIQDMVKLVLEHNRGAGRNPEQILEDIRLALASTENQKPGELVNARTNGNHFDASNPSSVKSCISWKGSDRSLVTDSPSGVSDVDISSPKRSNQQFQPDLSKSLCKIIELIEGISVPSPDYNPENGTRKDGNLSTYKNSEYTGYMVRVFQWKTSELGDLLQQFVHACYDLLNGKAGLDKFAQELTTALDWILNHCFSLQDVSSMKDAIKKQFDWDDTRSESEAEAGVVGHFLDTDKLRVRREQLSCVPTSTSSNGHSIQIEGLQANLVNENRKLKDELVNVESAKRELEGRFQSACDKSEYLMNQLKESEKAIASLRTELQSLRDSKGIIEDQIKNHKVMNEDLDTQLTVARVELSEARQKFSSLEVELENKYNCCEELEATCLELQLQLESVKKKSPNSDPNPDERQAQNDWEITAASEKLAECQETILNLGKQLKAMAAPRKQPFLTSHH >ONI01807 pep chromosome:Prunus_persica_NCBIv2:G6:14773935:14775277:-1 gene:PRUPE_6G160200 transcript:ONI01807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERHHRGLFHHKKDEDRPIETSDYPQSGYSDEGRPGGVGGYGVTATHESEIDYKKEEKHHKHLEHLGEAGVAAGGVFALHEKHKERKTQSMPTGTR >ONI02119 pep chromosome:Prunus_persica_NCBIv2:G6:18302641:18303000:1 gene:PRUPE_6G177900 transcript:ONI02119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGASYAGVYVMQKRQKEKMEKKEDETRGKGESSIAKESKVSAPGRTKKVHAENFQASDTTLSKVFTNAYAWLIFQVIYSCLTCVLYKFPSFRLCFHFHL >ONI04303 pep chromosome:Prunus_persica_NCBIv2:G6:28087594:28088804:1 gene:PRUPE_6G314800 transcript:ONI04303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIALVTYKFLCFTLALIAVSCCYSGYAEENPAQTFVTALACFNNKFIYAGCDEAYRLNESGNFNVPPEATDLFCHGPCLAETQQVLNCVDHMLSGFVFNNRATLPDIRGALRAGCSYTSQRGKFNGFGPFGEYIQGETSNAQKLPNFSSFFTFLIVTGCSLFILH >ONH99609 pep chromosome:Prunus_persica_NCBIv2:G6:2924109:2927565:1 gene:PRUPE_6G038900 transcript:ONH99609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVDSFTANTYEEARNQRLEENKRKFQDLGISNISKTLTHLTASPNKSQQRVSRPKAKNTCFDLEPRRSSRQRNPIQSYRDDVDIGLPTLRKRSRKTSSSWGSYLARPIEEVRAASYEERSAAFQAAEKLQENLQTENPTFVKSMVRSHVYSCFWLGLPSKFCEDHLSKMGSEMVLEDEDGNEYDAVYIGKRAGLSGGWRGFALEHKLDDGDALVFELTEPARFKIYIVKAYPMPSLECFKNNVDKEEITSAEKTSKAAMKTDSESNQKRRSKRGIVPEMDESQTSPHPEPNQKRRSKRGTVPAIVDTKTSPDSESIQKRRSERGVVPVMEDSKTSPVLEPNQRRRSKRGMVPEMDDTKTFPDSESIQKRRSERGVVPVMKDSKTSPVLEPNQRRRSKRGMVPEMDDTKTFPDSEPNQKRRSKRGTVPAMVDTKTSQDSESIQKLRSERGVVPVMEDSKTSPVLEPNQRRRSKRGMVPEMDDTKTSPDSESNQKQRSKRGIVPEMDDTKTTPKVLPDSPKGQEIKQEVSPMKKNEVAPKQIRKKAKANRVRSTENPEGCEVQEASTESPEGCEVKQEASTTETDSRVVKDEKECVARKPRKKVVKERLFRKRA >ONH99610 pep chromosome:Prunus_persica_NCBIv2:G6:2924109:2927565:1 gene:PRUPE_6G038900 transcript:ONH99610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVDSFTANTYEEARNQRLEENKRKFQDLGISNISKTLTHLTASPNKSQQRVSRPKAKNTCFDLEPRRSSRQRNPIQSYRDDVDIGLPTLRKRSRKTSSSWGSYLARPIEEVRAASYEERSAAFQAAEKLQENLQTENPTFVKSMVRSHVYSCFWLGLPSKFCEDHLSKMGSEMVLEDEDGNEYDAVYIGKRAGLSGGWRGFALEHKLDDGDALVFELTEPARFKIYIVKAYPMPSLECFKNNVDKEEITSAEKTSKAAMKTDSESNQKRRSKRGIVPEMDESQTSPHPEPNQKRRSKRGTVPAIVDTKTSPDSESIQKRRSKRGTVPAMVDTKTSQDSESIQKLRSERGVVPVMEDSKTSPVLEPNQRRRSKRGMVPEMDDTKTSPDSESNQKQRSKRGIVPEMDDTKTTPKVLPDSPKGQEIKQEVSPMKKNEVAPKQIRKKAKANRVRSTENPEGCEVQEASTESPEGCEVKQEASTTETDSRVVKDEKECVARKPRKKVVKERLFRKRA >ONI00663 pep chromosome:Prunus_persica_NCBIv2:G6:7040939:7042177:-1 gene:PRUPE_6G101100 transcript:ONI00663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFIELCSPLLLAFLLVQFSIVSSSSPPRPSPTPSPQPAADSPSPKSPSTKSPSPISLPPSPSNAPVNSPHPSSPPAPPQSSPSQSPSADDTPPVPSPAPSNPSDVNHSDVNADGDGAKNSSGGMSPGKKAGVVLGVIVGVGVVGLAGFVYKKRQDNVRRSQYGYAARREIL >ONI02734 pep chromosome:Prunus_persica_NCBIv2:G6:22526195:22529294:-1 gene:PRUPE_6G219000 transcript:ONI02734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPELPPMSKPSPRTKPSSWLADSLLFLSGAFLALLVLFTFYSFVSTYSSPNFGRTVTSPPPTAEPDQCADDANLRRDPPDPTFYDDREVRYTLGDPVQNWDEKRRQWLLLHPSLAPGAGERILMVTGSQPAACRNPIGDHLLLRFFKNKVDYCRLHGHEIFYNNALLHPRMGSYWAKLPVIRAAMVAHPEVEWIWWVDSDALFTDMEFKLPLDRYKNHNLVVHGWAHLVMETHSWTGLNAGVLLIRNCQWTMDFLEVWASMGPQTPDYEKWGETLRSTFKDKAFPESDDQTGLAYLIYKEKEKWGKKIYMESEYYFEGYWAEIVGTLDKIEDRYAEIERGEEDNAVRLRRRHAEKVSEQYGEFREEYLSEAGNGRGSWRRPFITHFTGCQPCSGRHNEMYKANSCWDGMEKALNFADNQVLRKYGYVHPSTMDRAVSTVPFDYPSE >ONH99290 pep chromosome:Prunus_persica_NCBIv2:G6:1882815:1883336:-1 gene:PRUPE_6G023300 transcript:ONH99290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEETGCQPHPEGPILCVNNCGFFGSVATRNMCSKCHKDMMLKEEQAKLAASSFGNIVNGTSNSNGNEPVVAAGVDVQAHLVEPKTLSLQPSFSFGSGSGGSGEAKPEGPKRCGTCNKRVGLTGFNCRCGHLFCAVHRYSDKHDCPYDYHTAARDVIAKANPVVKADKLEKI >ONH99291 pep chromosome:Prunus_persica_NCBIv2:G6:1882390:1885052:-1 gene:PRUPE_6G023300 transcript:ONH99291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEETGCQPHPEGPILCVNNCGFFGSVATRNMCSKCHKDMMLKEEQAKLAASSFGNIVNGTSNSNGNEPVVAAGVDVQAHLVEPKTLSLQPSFSFGSGSGGSGEAKPEGPKRCGTCNKRVGLTGFNCRCGHLFCAVHRYSDKHDCPYDYHTAARDVIAKANPVVKADKLEKI >ONI00880 pep chromosome:Prunus_persica_NCBIv2:G6:7837159:7840007:1 gene:PRUPE_6G109300 transcript:ONI00880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRQSIHKATSHLHNPITQTPIFTNSISQLTLFIFLSLSLTPLSLSSSSSSSLPKKPPPLPILPLPSAPQLQWQLGHMAMFLHFGPNTFTDSEWGTGHVDPSAFNPTKLNATQWVKVAKDSGFSRVILTAKHHDGFCLWPTEYTNYSVSSSPWRNGGGDVVGELAMAAREAGIGLGLYLSPWDRHEPFYGKTLEYNEFYMGQMTELLTRYGEIKEVWLDGAKGEGEKDMEYFFDSWFSLIHQLQPGAVIFSDAGPDTRWVGDEAGVAGSTCWSLFNRSDAKIGDTDYQYSSGGDPFGHDWVPAECDVSIRPGWFWHLSELPKSAGTLLDLYYKSAGRNCLLLLNVPPNSSGLISSEDIQVLQEFSELRRSIFSHNLAINALINASCTRGGSSHSWFNPYNVVKEGIQSYWAPEVNQSNWILYLNLQEIVSFNILQVQEPIQMGQRVIEFHLEVLNEDGEWNEVINGTTIGYQRLLQFPTVKSQYLRFVIDKARADPLISYLGIYMDEFSILSNLSNTTSQASINGSQVLHGHIASNHSQISAI >ONI04547 pep chromosome:Prunus_persica_NCBIv2:G6:28717624:28719088:1 gene:PRUPE_6G327400 transcript:ONI04547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGKQQFPPQKQETQPGKEHAMDPTPQFTNPDYKPSNKLQGKVALVTGGDSGIGRAVCHLFAQEGATVAFTYVKEQEDKDARDTMQMIKQAKTSDAKDPMALAADLGYDENCKKVVDEVAKAYGRIDILVNNAAEQYKASSVEDIDEPRLERVFRTNIFSYFFVTRHVLKHMKEGGSLICTTSVVAYKGNDKLLDYTATKGAIVSFIRGLALHLVGKGIRVNGVAPGPIWTPLIPSCFDEEETAQFGSEVPMQRAGQPFEVGPSYVFLASNAFSSYYTGQVFHPNGGVIVNA >ONI02387 pep chromosome:Prunus_persica_NCBIv2:G6:20191717:20192535:-1 gene:PRUPE_6G194800 transcript:ONI02387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPRVGATTITTGVTTVDCQKQVRSWRLLRSLIELLIPTCNCTFIEDHDQDQLQAKQEQQKSHANNYYYPHYPQPSFACSNNIITGTIFGYRRGKVSFCIQTNSKSNPILLLELALPTTVLAKEMQGGFLRIALESTSPGNGASTNSNSLLATPVWTMYCNSRKVGYAIKRRPSEHDWEALRMMGSVVVGAGIISGNKEDDEIMYLRANFERVCGSANSESFHLIDPDESIGQELSIFLYRSR >ONI01271 pep chromosome:Prunus_persica_NCBIv2:G6:10241770:10243750:-1 gene:PRUPE_6G131100 transcript:ONI01271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLVFFRHFSDTVAEALHFCLESGIFRRFPSRSTIAGGMVLGIAAILGRHSRMWGEEEATGPEGLIKYPSQLLFDATRLGNFEFLAALLSAYPDLFWELDENKRSIIHVAVLHRHASIFNLVHEIGFIKDFITAMSDDEDNNILHLAAKLAPQNQLNLVSGAALQMQRELVWFEEVKKIVQPLSTEMKNKKGKTPRELFTSEHKGLLHKGESWMKNTAKSCMLVATIIATVVFSAAFSIPGGIADKTGAPNFVKETAFLIFAISDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSYILFKSYISAKKTYDPIESHGLNVNAPTETLPPQSCICLYILA >ONI05104 pep chromosome:Prunus_persica_NCBIv2:G6:30233170:30235355:1 gene:PRUPE_6G356300 transcript:ONI05104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFILGGGSQKKTDVGVGGGEEEEEEEGRISCGTVMVDHIDLQNLPEGCIANVVSLTTPRDACRLSLVSRSFKSASESDAVWDRFIPPETHTILSLQSPSSSVSSSPSSPSSTSNSKSKSKKELYLTLCDNPILIEQGKMSFSLDKSSGKKCYMISARALSIVWADTPHYWKWISLPDSRFEEVAELVSVCWLEIRGRIGRRMLSPSTLYKAYLVFKSTAGAYGFDHQPVEVSVGMLGGEEEPTRHTVFLDAERGQNAAYHIGPGPRRIGLINRRHFLGYQSSQPREINEAQYPKERDDGWLEIELGEFFCQGGGDGGDGELEMACLEVTGGHWKGGLIVQGIEIRPKIKD >ONI01973 pep chromosome:Prunus_persica_NCBIv2:G6:17540587:17540811:-1 gene:PRUPE_6G170100 transcript:ONI01973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVSGWHRPIILPLPGAGGDRCSVKPALRNSLHSRNHEAQSLRPSHMAQLLAWNGQVRIEPLQTDPLYWANVPTP >ONI03916 pep chromosome:Prunus_persica_NCBIv2:G6:26842544:26844228:1 gene:PRUPE_6G290900 transcript:ONI03916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHKFRLSDMMPNAWFHKLKDMSKPRKNPNSPHPSKKKKQQQKPTFASTAKFTEPSKPKQQLPHQCLPRQSYYFTRELTSAAPGHRFCSSSPTNPKASDTNFPDPPPKKPSKQKPKKRITSLPSDPHLVTSSVSAGCGCRAPIESVWTKSDSPPELWSSSTLDSSPEPESHDEDDGELELHEPEFRCDRVLATETFDGMVSMSSSCAAYLADSEEKDVVIDVDKASLSMKLSDVKLSDMADNGLYSFSELELAPIITKPPKFSEMVRDVKKKKETKEPSRCRRSSAKFQDRNAHGSLSVKVAKEESTSTKTIKEQRTASSVRRVSSNATSPGVRLRMNSPRIANRKINQANLSRRSVSSNSSSKRRSLSESFAIVKSSFDPQRDFRESMVEMIMENNIKASKDLEDLLACYLSLNSDEYHELIIKVFKQIWFDLTDLRSK >ONH99132 pep chromosome:Prunus_persica_NCBIv2:G6:923671:925730:-1 gene:PRUPE_6G013200 transcript:ONH99132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSSSPNNYSTKILASILFAILLILCAGAGYGEAQLSPTFYDKDCPNATSIVRAVIEEALQTDLRIAASLTRLFFHDCFVNGCDGSILLDNSSTIDSEKGALPNNNSARGFDVVDNIKTALETACPGIVSCADILAISAEESVSLSGGPSWTVLLGRRDSTTANRTAANEALPAPSFTLDELKASFAAVGLDTTDLVALSGAHTFGRAQCQFFSDRLYAFNSTGSPDPTLNSTYLETLSALCPQSGNGSVLADLDPSTPDGFDADYFSNLQVHYGLLQSDQELFSTSGADTVDIINSYSANQSAFFESFVISMNKMGNISLLTGTDGEIRLNCSKVNEDTYGSSATLIAEY >ONH99061 pep chromosome:Prunus_persica_NCBIv2:G6:661251:665191:1 gene:PRUPE_6G008100 transcript:ONH99061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIGAPKTSVIVPQHQRGPQTSTGGSYQNKASGSLPEKKYTHCGGDKHTSTSCYELIGTHLLLSLSLSQFKPSLCLSQGSIFVKSVIRASNPSAATESSSPGLYSAKKYELTVPNVDVVLEDVRPYLIADGGNVDVVSVEDGVVSLKLQGACGSCPSSTTTMKMGIERVLKEKFGDGLKDISSSMTSLNPKSKSLLKAT >ONH99057 pep chromosome:Prunus_persica_NCBIv2:G6:661251:665191:1 gene:PRUPE_6G008100 transcript:ONH99057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIGAPKTSVIVPQHQRGPQTSTGGSYQNKASGSLPEKKYTHCGGDKHTSTSCYELIGTHLLLSLSLSQFKPSLCLSQGSIFVKSVIRASNPSAATESSSPGLYSAKKYELTVPNVDVVLEDVRPYLIADGGNVDVVSVEDGVVSLKLQVHLQLVCAICREEPALHFWNSNQVRAYLQRQWKQILSGRVSLQDFVSAKEVRLGTYQLALFLFWQDKQTMLLLMGNSSSPSLAKIQRKL >ONH99058 pep chromosome:Prunus_persica_NCBIv2:G6:661251:665191:1 gene:PRUPE_6G008100 transcript:ONH99058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIGAPKTSVIVPQHQRGPQTSTGGSYQNKASGSLPEKKYTHCGGDKHTSTSCYELIGTHLLLSLSLSQFKPSLCLSQGSIFVKSVIRASNPSAATESSSPGLYSAKKYELTVPNVDVVLEDVRPYLIADGGNVDVVSVEDGVVSLKLQVHLQLVCAICREEPALHFWNSNQVRAYLQRQWKQILSGRVSLQDFVSAKEVRLGTYRDCIYFSVLISLLFVMYCLLT >ONH99059 pep chromosome:Prunus_persica_NCBIv2:G6:661251:663703:1 gene:PRUPE_6G008100 transcript:ONH99059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIGAPKTSVIVPQHQRGPQTSTGGSYQNKASGSLPEKKYTHCGGDKHTSTSCYELIGTHLLLSLSLSQFKPSLCLSQGSIFVKSVIRASNPSAATESSSPGLYSAKKYELTVPNVDVVLEDVRPYLIADGGNVDVVSVEDGVVSLKLQGACGSCPSSTTTMKMGIERVLKEKFGDGLKDISSSMTSLNPKSKSLLKAT >ONH99060 pep chromosome:Prunus_persica_NCBIv2:G6:661251:665191:1 gene:PRUPE_6G008100 transcript:ONH99060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIGAPKTSVIVPQHQRGPQTSTGGSYQNKASGSLPEKKYTHCGGDKHTSTSCYELIGTHLLLSLSLSQFKPSLCLSQGSIFVKSVIRASNPSAATESSSPGLYSAKKYELTVPNVDVVLEDVRPYLIADGGNVDVVSVEDGVVSLKLQGACGSCPSSTTTMKMGIERVLKEKFGDGLKDISSSMTSLNPKSKSLLKAT >ONI02161 pep chromosome:Prunus_persica_NCBIv2:G6:18732280:18737707:-1 gene:PRUPE_6G180800 transcript:ONI02161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFTSQIYNIALELFLAKSQDKQTEAVEQLIHQPLRIHLKSLAVVVQCAVALFLTMSASSSLMYLAPAQSLSQPRRVKLVQSPTSLSVSLPISRMLCLKSNHQRNLFACCSLNVQDSAGQDTPIEKRYPAFPTVMDINQIREILPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMIEAMAQVGGLVMLQPEVGGSRDSFFFAGIDKVRFRKPVIAGDTLVMRMTLVKFQKRFGIAKMEGKAYVGGDLVCEGEFLMASGSV >ONI04296 pep chromosome:Prunus_persica_NCBIv2:G6:28070599:28074261:-1 gene:PRUPE_6G314200 transcript:ONI04296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKIERRMLLESKQALNKSFSGIDTTAKLLSPLSPSAVNLARSLSSSLNGTELNFATIQTRVSYIGLDGLLFSLYNHEDQTFAVFSNTSFSSNWYTQPVNRDTGKLYGAAVASDSLVTVNSTWFQQALNSTSGYSWLGRGWDKAQHSMFLSTVPMDGRGVISFGIPAKVVVDHVTALDFHGGYFHLATSNGDVIVQTKLPKTQFEISNNTVSVQMVRPNSNATGDLGKFSCNHDDDDKLEPYIHSWKMKRIKYMTYCSTLEIIGVKSVYVLTCPSNGLVNLNHKSVVLLGLLVFLILASVCIFILLIIRAARREMILCARIMKQEGATRQAERKNMNKTKAFSRANHDVRTSLAAITGLIELCHQDANPDSELAANLAQMHTCTKDLLVILNSVLDISKIEAGKAQLEVEEFNLAQLLEDVVDMFYPIAIKKGVDIVLDPCDDSIAKTCNVRGDRGKLKQIMCNLLSNAVKFTSEGHITVRAMVPKTSYGNEIIASNHNRVLKCLSWLFYKGKGAFSDLDAIHTAQKDPNSTKFVIEVDDTGEGIPKDKREFVFENFVQVTDRATGKEGSGLGLGVVQSLVRLMGGEIKILDKELGERGTCFNFDVSLLTCKPNSGDIEEEFSNDRSANEFQPFGIQLLAHSPKPEGSHVVLFIEGDERRKILVKYISSLNIRVSYVKHAKNLLPQLEKIKCKLEISYFNYSEKSQLGFLDQLSPSASNNSESRASDGSGIKEGDGQILQPDKKTNSRNSTAGIVLIVIDTNAGVFSELYATVASFRKDIHKSRCKVVWLDNPLTRNTHPMQLEGQKLAPPLDFIIYKPFHGSRLYKVLGLIPELKGCNLPKLEKRKVAQEVQKMDPKLAGNEVGTSSSPHHDDSLQQAVVHKCVDKRSDQELLYGKKVLLVEDSAAVRMVVSTYLKKLGAVVEICHDGKEAFDQICRALRDHSKEAHSKSTPYDYIFMDCEMPIMNGFEATRLIRMEEKQYGIRLPIIALTAHAINEEVNRMIEAGMDVHLTKPLQIDMLLEVIKSIENK >ONH99216 pep chromosome:Prunus_persica_NCBIv2:G6:1352697:1357485:-1 gene:PRUPE_6G018500 transcript:ONH99216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPQIAILGAGTFMRTQYIPRLAEISKLLLLKSIWSRTEESARGAVEIAQKHFPGVECKWGDKGLQEIIEDSSILGVAVVLAGQAQVDFSLRLLKAGKHVLQEKPAAASTSELETALSSYRSIFANIPDKPIWAVAENYRFEPAFVEGKKLVNDIGDVMSIQVLVEGSMNSSNPYFSSSWRRNFTGGFILDMGVHFVAGLRMLAGCELVSVSAITSHVDKTLPAPDNVSSLFQLENGCSGVFVMVVSSRSPKIVWRFVGLKGTLQIERGNQDGRHGYLVLFYGSDGQSKSSFYQFSGVDEEFKAFINDISQANLRKGTGYEAEPRMSFLEGARDVAVLEAMLESGGKQGAPVHVKKYH >ONI04545 pep chromosome:Prunus_persica_NCBIv2:G6:28714787:28715804:-1 gene:PRUPE_6G327200 transcript:ONI04545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYKLSLFLLALINCLLFLSHPASCNEEEDKIFQGLNSFKQSLKKNSNAECLADEIADELEDQPCSSADDYAIEPGNGPKFPKFDKLIKKCHIDINTTTDGIILPVCVPNWDPDLVIKNYTHTQYAKYLNGSKYTGAGVGTEDDWVVVVLSTDTESGSFSGAPSLAAIGMVHYMMAMLLGLFLILLC >ONI01604 pep chromosome:Prunus_persica_NCBIv2:G6:12619783:12629261:-1 gene:PRUPE_6G149000 transcript:ONI01604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISDTASAIKSRFGFHDHSSDPVRSTPGLPKSAAKEAVSAVRSIRDWNDDEDDDGKVSTSTASSNQSFEFREDPSFWKDHNVQVIIRIRPLSNAEISVQGYGKCIRQESCQAITWTGHPESRFTFDIVADENVSQEQLFKVAGLAMVDNCMIGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFSRIQKEKEAGRDEKLKFVCKCSFLEIYNEQILDLLDPSSNNLQIREDIKKGVYVENLKEVEVTSARDVMQQLIQGAANRKVAATNMNRASSRSHSVFTCIIESKRECQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNISNGKSLHVPYRDSKLTFLLQDSLGGNSKTIIIANVSPSSCCSLETLSTLKFAQRAKFIKNNAIVNEDASGDVIAMRVQIQQLKKEVSHLRGLVNGGTGNQDNDTLAVSFPGSPGSFKWDGPNGSFSPFTSSKRTSQKKDYEVALVGAFRREKDKDIALQTLAAESQAALQLAKQREDEIQGLKMRLRFREAGIKRLEAVACGKISAETHLLKEKEEHLKEIEVLRAQVDRNQEVTRFAMENLRLKEEIRRLKSFYEEGEREIMNEQIMALQNKLLDALDWKLMHESELSNSNVPMEVQNDDNFLISNQEQASPWQSSIKEENEFLRMQAIQNQSEMDTLQKKLDLCLEEKEAMERNINDLMTKLEEERSSRAMKEDTHQLELPSLSADVPIMSFNDQMELKTMVDAIAAASEREAEAHETAIILSKENDGLRMKLKVLIEDNNKLIELYEGATSDSTYRNINKLECAHDGTETHSNGGGFIDLSKEKEAEMNKVVENLEHQLVEMHEENEKLMGLYEGAMQERDELKRVLASGGQKSVTVKGEFDSPEKLVEVDGGASPMSLEEKNCIGKNGLPGSDGGESRQFEKPTLCQGAVSMEESGFSGSNERGGLSHISDEVNPDTEESGGSRILVDRAGRCTVNTGNSGNEVDAGTQSDMELETSDLTAVKLLEALNLVRKKLETADEQLLDSAKTITVFGSLEKVMLEVGKLSGEIEAMEAEIQVKQQLFESCELLTSKVKENIARIDKKLSALKYSLSSFSSSVVYFEQREARARARVAASTSYLEQKKGQLGCLQAQKDEIAAAQREMQGSEAELKISLACLKSKLEEENRKQENEQVLFAIDNVEKLDRSQKNWHLVGTKATELLKSAEEKTKLQAEMKTSREKLGVMRKELEDLNVKSGKVDKEMLAVQAEVQKGVKSVEEMELALQNVIQEKEMLLEVKDNGKAEAESLVVEYQQHVFESVLKEAESKIVEEELQIELRMLEELRTARALAAAKTMQLLDTRSGSCLLSEKMEEELQSVRKYVVEAKSLLGERYSHLLNSSVS >ONI01603 pep chromosome:Prunus_persica_NCBIv2:G6:12619512:12629441:-1 gene:PRUPE_6G149000 transcript:ONI01603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISDTASAIKSRFGFHDHSSDPVRSTPGLPKSAAKEAVSAVRSIRDWNDDEDDDGKVSTSTASSNQSFEFREDPSFWKDHNVQVIIRIRPLSNAEISVQGYGKCIRQESCQAITWTGHPESRFTFDIVADENVSQEQLFKVAGLAMVDNCMIGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFSRIQKEKEAGRDEKLKFIREDIKKGVYVENLKEVEVTSARDVMQQLIQGAANRKVAATNMNRASSRSHSVFTCIIESKRECQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNISNGKSLHVPYRDSKLTFLLQDSLGGNSKTIIIANVSPSSCCSLETLSTLKFAQRAKFIKNNAIVNEDASGDVIAMRVQIQQLKKEVSHLRGLVNGGTGNQDNDTLAVSFPGSPGSFKWDGPNGSFSPFTSSKRTSQKKDYEVALVGAFRREKDKDIALQTLAAESQAALQLAKQREDEIQGLKMRLRFREAGIKRLEAVACGKISAETHLLKEKEEHLKEIEVLRAQVDRNQEVTRFAMENLRLKEEIRRLKSFYEEGEREIMNEQIMALQNKLLDALDWKLMHESELSNSNVPMEVQNDDNFLISNQEQASPWQSSIKEENEFLRMQAIQNQSEMDTLQKKLDLCLEEKEAMERNINDLMTKLEEERSSRAMKEDTHQLELPSLSADVPIMSFNDQMELKTMVDAIAAASEREAEAHETAIILSKENDGLRMKLKVLIEDNNKLIELYEGATSDSTYRNINKLECAHDGTETHSNGGGFIDLSKEKEAEMNKVVENLEHQLVEMHEENEKLMGLYEGAMQERDELKRVLASGGQKSVTVKGEFDSPEKLVEVDGGASPMSLEEKNCIGKNGLPGSDGGESRQFEKPTLCQGAVSMEESGFSGSNERGGLSHISDEVNPDTEESGGSRILVDRAGRCTVNTGNSGNEVDAGTQSDMELETSDLTAVKLLEALNLVRKKLETADEQLLDSAKTITVFGSLEKVMLEVGKLSGEIEAMEAEIQVKQQLFESCELLTSKVKENIARIDKKLSALKYSLSSFSSSVVYFEQREARARARVAASTSYLEQKKGQLGCLQAQKDEIAAAQREMQGSEAELKISLACLKSKLEEENRKQENEQVLFAIDNVEKLDRSQKNWHLVGTKATELLKSAEEKTKLQAEMKTSREKLGVMRKELEDLNVKSGKVDKEMLAVQAEVQKGVKSVEEMELALQNVIQEKEMLLEVKDNGKAEAESLVVEYQQHVFESVLKEAESKIVEEELQIELRMLEELRTARALAAAKTMQLLDTRSGSCLLSEKMEEELQSVRKYVVEAKSLLGERYSHLLNSSVS >ONI03281 pep chromosome:Prunus_persica_NCBIv2:G6:24446568:24447068:-1 gene:PRUPE_6G248700 transcript:ONI03281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTCMIIMINQVHHLIEECIIFNMSKEECMEALSKHANIKPVITSTVWKELEKENKEFFEAYTKNRETRASEMEITKQRIEKMLFDLSQKDSSDDDDQK >ONI03280 pep chromosome:Prunus_persica_NCBIv2:G6:24446128:24447511:-1 gene:PRUPE_6G248700 transcript:ONI03280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSASYIHMVHHLIEECIIFNMSKEECMEALSKHANIKPVITSTVWKELEKENKEFFEAYTKNRETRASEMEITKQRIEKMLFDLSQKDSSDDDDQK >ONI00305 pep chromosome:Prunus_persica_NCBIv2:G6:5510777:5511349:1 gene:PRUPE_6G081300 transcript:ONI00305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONI00515 pep chromosome:Prunus_persica_NCBIv2:G6:6390123:6391894:-1 gene:PRUPE_6G092700 transcript:ONI00515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAARKLKDHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >ONI00516 pep chromosome:Prunus_persica_NCBIv2:G6:6390123:6391746:-1 gene:PRUPE_6G092700 transcript:ONI00516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAARKLKDHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENVSSDCWIWS >ONI01073 pep chromosome:Prunus_persica_NCBIv2:G6:8902787:8904296:1 gene:PRUPE_6G120000 transcript:ONI01073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDKISCFSENAVNISHPSCSSYANTACVSPKLTPSVQNAVSCVYKITLSTKKQFLVTVSWCKNHYAQGLTIKFGDDPTACFKLNMNSRLFRKKKGTKVLESDNSKMEIFWDLSKAKYDTSGPEPVDAFYILVMVDSEIGLILGDMAEEAVAKKFKTSATPVAKVSLVSRQEHCSGNDLYSTKAQFSDTGIVHDILIRCSGEKDGLKHPVLSVSIDTKTVIRVKRLQWNFRGNQTIFLDGLLVDLMWDVHNWFFNPSSRYAVFMFRTRSGMDSRLWLEEKLVQKEQDRVEFSLLIYACKSS >ONI01074 pep chromosome:Prunus_persica_NCBIv2:G6:8902806:8904301:1 gene:PRUPE_6G120000 transcript:ONI01074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRLFRKKKGTKVLESDNSKMEIFWDLSKAKYDTSGPEPVDAFYILVMVDSEIGLILGDMAEEAVAKKFKTSATPVAKVSLVSRQEHCSGNDLYSTKAQFSDTGIVHDILIRCSGEKDGLKHPVLSVSIDTKTVIRVKRLQWNFRGNQTIFLDGLLVDLMWDVHNWFFNPSSRYAVFMFRTRSGMDSRLWLEEKLVQKEQDRVEFSLLIYACKSS >ONI01075 pep chromosome:Prunus_persica_NCBIv2:G6:8902774:8904797:1 gene:PRUPE_6G120000 transcript:ONI01075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRLFRKKKGTKVLESDNSKMEIFWDLSKAKYDTSGPEPVDAFYILVMVDSEIGLILGDMAEEAVAKKFKTSATPVAKVSLVSRQEHCSGNDLYSTKAQFSDTGIVHDILIRCSGEKDGLKHPVLSVSIDTKTVIRVKRLQWNFRGNQTIFLDGLLVDLMWDVHNWFFNPSSRYAVFMFRTRSGMDSRLWLEEKLVQKEQDRVEFSLLIYACKSS >ONH99432 pep chromosome:Prunus_persica_NCBIv2:G6:2247140:2251266:1 gene:PRUPE_6G029000 transcript:ONH99432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATLRNLLLSPNIFSITLLLSINSLFFSCYSIDEQGQALLAWKNSLNGSTDALKSWNPLDTSPCNWFGVRCSSNGEVVEITLKALDFQGPLPSNFQSLKSLKTLILSSSNLTGTIPKEFGQYRELSFVDVSGNSLSGEIPEEICRLNKLQSLSLNTNFLEGKIPSGIGNLSSLVYLTLYDNQLSGEIPKSIGSLTKLEVFRAGGNKNLNGELPWEIGNCTNLVMLGLAETSITGSLPSSIGMLKRIQTIVLYTSLLSGPIPEEIGNCSELQNLYLYQNSITGPIPRRIGELSKLQSLLLWQNSLVGSIPDELRSCRELTVMDLSENLLTGKIPKSFGELSKLQELQLSVNQLSGTIPSEISNCMDLTHLEVDNNDISGEIPVLIGNLKSLTLFFAWQNRLTGNIPESLSDCQELQAVDLSYNNLFGSIPRNIFGLRNLTKLLLLSNDLSGFIPPDIGNCTNLYRLRLNHNRLAGTVPSEIGNLKSLNFVDLSNNRLVGAVPPSISGCQNLEFLDLHSNGITGSVPDTLPTSLQFVDISDNRLTGQLPHSIGSLTELTKLNLGKNQLSGSIPAEILSCSKLQLLDLGNNGFSGEIPKQLGQIPSLEISLNLSCNLFSGEIPSQFSGLSKLGVLDLSHNKLSGNLNTLKNLENLVSLNVSFNDLSGELPNTPFFRKLPLSDLTANKGLYISGGVVTPADRIRSSHNRSVMKLITSILISISGVLLLLAVYSLVRAQITSNILREDDNWEMTLYQKLEFSVDDIVKNLTSSNVIGTGSSGVVYRVAIPNGETLAVKKMWSSEESGAFNSEILTLGSIRHKNIIRLLGWGSNRSLKLLFYDYLPNGSLSSLLHGAGKGGADWEARYDVVLGVAHALAYLHHDCVPAILHGDVKAMNVLLGPGYEPYLADFGLARTVNSIGDDDFSKTSQRPQLAGSYGYMAPEHASMQRITEKSDVYSFGVVLLEVLTGRHPLDPTLPGGAHLVQWIREHLARNRDPVDILDQKLRGRADPTMHEMLQTLAVAFLCVSTRADDRPMMKDVVAMLTEIRHVETARGEPELLKGGGLQSILASPPARKAVSQGSSNCSFAFSEDSMQ >ONH99732 pep chromosome:Prunus_persica_NCBIv2:G6:3348452:3350051:1 gene:PRUPE_6G046500 transcript:ONH99732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINKTLFWWMHKLMDEMSTEIACFGLGNVHVFPVTCPKLSRKFLEKQDATYAGLERLVQESDLSRLNYVKACAREAFRLHPIAPFNVPHVSILQHDTWDEPLKFMPERHLKEDDRLCTGMCGCVTTALGTSKTVMLFARLLHGFSWNVPPTESRIDLTE >ONH99284 pep chromosome:Prunus_persica_NCBIv2:G6:1847517:1856072:-1 gene:PRUPE_6G022900 transcript:ONH99284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVAGKPLVIEEVNVNPPQAMETRIKVVCTSLCRSDITTWESQAIFPRIFGHEATRIVESVGQGVTEFTVGDHVLTVFIGVCGKCRQCTSGKSNICEVLGLERRGVMRCDQRTRFSINGEPIYHYCAVSSFSEYTVVHSGCAVKISSVVPLEKVCLLSCGVAAGLGAAWNVADISNGSSVVIFGPGTVGLSVAQGAKLRGASQIIGVDTNPEKGENEKAFGITAFINPHDSKDPIQQIITLKGSLFGGWKPKSDLPSLVDMYTKKEIQVEEYITHNLPFEDVNKAFNLMREGKCLRCAIHIEK >ONI04743 pep chromosome:Prunus_persica_NCBIv2:G6:29271777:29276508:-1 gene:PRUPE_6G337500 transcript:ONI04743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQDTSPSVLLFFDKERFIFNAGEYESQLGSHPIASGFHEILIVSEVSLGTKSKYEKQSFAQIV >ONI00321 pep chromosome:Prunus_persica_NCBIv2:G6:5555890:5556928:1 gene:PRUPE_6G082400 transcript:ONI00321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSNGGFGILRTSALGRSFWARHSSTNLFVGGLSYDTNEPVLKEAFGKHGEIIEVKVICDHVSGKSKGYGFVKFTSGIEASTALKEMDGQLLDGRQIRLEFAHRG >ONH99004 pep chromosome:Prunus_persica_NCBIv2:G6:437483:439110:-1 gene:PRUPE_6G004300 transcript:ONH99004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCTTLGAVSLPPLLTKILAGTYVPVDAAKLSISTMQLGRMVSILSSVGRCCSNLLGSYMQSAFPAVVKIVIPFAPLFVVLAASLLACSVFSENVVRLKASMVGMKNSSLGVVLATSHFTSPMVALPTALFAVIMNIMGSSLAFFWRYVDPSDSKETPTVEV >ONI04496 pep chromosome:Prunus_persica_NCBIv2:G6:28580035:28585076:-1 gene:PRUPE_6G324400 transcript:ONI04496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNLFDKITGQEQNGKNSRKIKGTVVLMKKNVLDFNDFNASVLDRVHELLGQGVSLQLISADHGDSENGFKGKLGEPAYLEDWITTITPLTVGDSAYKVTFDWEEEIGVPGAILIKNNHHSEFFLKTITLEDVPREGRVHFVCNSWVYPAEKYTKDRVFFVNKTFLPSETPLPLRKYREEELVHLRGDGKGELQEWDRVYDYAYYNDLGNPDKGPKYARPTLGGSSEYPYPRRGRTGRPPTKTDSNSESRIPLLMSLNIYVPRDERFGHLKLSDFLAYALKSIVQFIRPELEALFDKTPNEFDSLEDVLKLYEGGIPLPEGLLKDIGDNIPAEMLKEIFRTDGAQLLRFPMPQVIEEDKSAWRTDEEFAREMLAGVNPVNISLLQEFPPASKLDPKVYGDQTSRITEQDIGNNLDGLTVHEALKQNKLFILDHHDALMPYLRRINSTSNKIYASRTVLFLKSDGTLKPLVIELSLPHPDGDQFGRISKVYTPAEEGVEGSIWQLAKAYVAVNDSGYHQLISHWLNTHAVCEPVVIATNRQLSVVHPIYKLLHPHFRDTMNINAFARQILINAGGILETTVFPARYAMEMSSVVYKDWVFTEQALPADLIKRGVAVKDANSPHGLRLLIDDYPYAVDGIEIWFAIKTWVEDYCSFYYKTDDIIQKDIELQSWWKELVEEGHGDKKDEPWWPKMQTREDLVETCTIIIWTASALHAAVNFGQYPYAGYLPNRPTISRKFMPEKGTPEYKELESSPDTVFLKTITAQLQTVLGIALIEILSRHSTDEVYLGQRDTPEWTADTEPLKAFDKFGRKLAEIEDRITRMNNDEKLKNRVGPVKMPYTLLFPTSEGGLTGRGIPNSVSI >ONI02398 pep chromosome:Prunus_persica_NCBIv2:G6:20356058:20359919:1 gene:PRUPE_6G195900 transcript:ONI02398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPKPVSEAEAKTQAPNQTQDPNPKAAGEALNGDQNWATFVMGSQAQTQTEASGTPTGSGPKKSVHWSPELVSESHNSSAGVASDSHQGSNPYVAPSPMLTSSFSFKDSVETVRGVFGRWRKSVGEATKKAEDLAGNTWQHLKTSPSFADAAMGRIAQGTKVLAEGGYEKIFQSTFETAPEEQLQNSFACYLSTSAGPVMGVLYVSTAKLAYCSDNPISYKADDQTEWSYYKVVIPLHQLKAVNPSSSRSNPAEKYIQVISVDNHEFWFMGFLNYDGAVQSLQEALQARSSCV >ONI05064 pep chromosome:Prunus_persica_NCBIv2:G6:30128857:30131171:1 gene:PRUPE_6G354500 transcript:ONI05064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYNYNLAKRRKTKAEGHNFVLADRISELPDEILVSILSLLSLKEAATTSILSKRWQHLWASTMTLNFDAKLDLGSSNLRHFRGLQPEIRYQESHRYINWVNRVLEQHKGPSIERFRACFDIDHRFTSSIDKWIQFAMTRRVQILELEFIVAFSVIYEGHYAFPYPDLKHLCPSPLHSLGHNIGGFKSLKVLSFRYVDVTGEILEYFLFHCPVLEHLTVHASTNLVNLRVVGSSIALKHLAIVRCFGIKSIQIRDANLVSFVYNGGFVNLDISNVPLLIEVSIFETDDDFELDTDIIKIVLGQLSCVVSQLEILRLDIDQPCYHMFKSKIYDKKYEFPVFANLKHLELIVESDYRWLLHQLNSFIKASPYLQRLTLKLQFQTWKCDRKLKKAAICPHHYLKVVEIVGYRGRRLAVTQVMHLIKSAVALEKIVIDPVRRWLYPKGMERVLAEVKKEVKARDHAMQHIKHKVPSTIEFVCL >ONI00394 pep chromosome:Prunus_persica_NCBIv2:G6:5817905:5820574:-1 gene:PRUPE_6G086500 transcript:ONI00394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFLLLAILLILFPILTSKIFQTHLHLPPSPLALPIIDHYHLLGPLIHRTFHNLSLRFGPLFSLCLGSLQCFVVSSADLAKEFLSTHELSFISHAQSLAIESITYNASLAFAPYGPYWKFIKKLTLKELLGNHSINNLVSIRTQEYLRLLRFLGKKAESGEAVNLTEEFPKLWNNVILQMIVGNRGLSAEGRVVLAEEAGVLVRQATRLFGEVSLCDFFWACKKLDLGGFVKRIEETRRRFDVLVEKVIREREELRKKERMEEKEEVKDFLATLLDMLEDGSAEVEFTRLHIKALITDLFMAETDTNAISLEWALAELINHPRVLKKAKEEIHRAVGNRRVAGDSDVPNLPYIQAIIKETFRLHPPVPLVTRNSVQQCKIGGYDIPTNTMLHVNVWAIGRDPKNWESPLDFWLERFLQLGEDDGQMSAVDVDVRGQHFHLMPFGSGRRVCRGMNLAMKMLPGVLAALIQCFDWKVDGSDCKKMNGDDVLEMDERPGFTAPRAHDLVCVPVARFSPLNILDP >ONI03812 pep chromosome:Prunus_persica_NCBIv2:G6:26389913:26392767:-1 gene:PRUPE_6G283900 transcript:ONI03812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQILNKAGSYWFSQKANKELTSVGDDINSLQTSIEGGTKWLVNKLKGKMQKPLPELLKDFDMAVGIFPRDATNYEFNEETGKLTVYIPAACEVGYRDSSVLRFFTIVTGYLKKGRLEDIQGMKTKVIIWVNVSCITSEGSKLHFTAGLKRTRNREAYEVLRDGITVEKF >ONI00955 pep chromosome:Prunus_persica_NCBIv2:G6:8195589:8196428:1 gene:PRUPE_6G113500 transcript:ONI00955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKAEKAPAEKKPRAEKKLPKEASGATDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ONH99821 pep chromosome:Prunus_persica_NCBIv2:G6:3643361:3649148:1 gene:PRUPE_6G051900 transcript:ONH99821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGFKFGFFMVASAPILLGLAFRTYFGGGDANSRFSIIFVVLSLVVSVIMAFSGVFRSLLHYMLPRKRPSEGVVVEEEEDAIVSKNNSTSSSSAAASSVKKHRIGHFVAESTVNSNSLISNNHGIVERDVPIMALGHSNPSDIDEDLHSRQLAVYGRETMRRLFASNVLISGIQGLGAEIAKNLILAGVKSVMLHDEGKVELWDLSSNFVFSEDDVGKNRALVAVQNLQELNNAVVVHTLTTKLAKEQLADFQAVVFTDISLEKAIEFNDYCHNHQPPIAFIKTEARGLFGSVFCDFGPEFTVFDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMKELNDGKPRKIKNARAYSFTLEEDTTGFGTYEKGGIVTQVKQPKVLNFKPLREALNDPGDILFSDFSKFDRPPLLHLAFQALDKFVSESGCFPIPGSEEDAQKLISIASNINEKLGDGRLEDINPKLLRHFAFGAKAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLQPSDLKPLNSRYDAQISVFGSKLQKKLEDSKVFLVGSGALGCELLKNLALMGVSCSNHGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPRLNVEALQNRVGPETENVFDDTFWENLSVVINALDNVNARLYVDQRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSKPSEYVTTMRNAGDAQARDTLERVLECLDRERCETFQDCIGWARLKFEDYFSNRVKQLIYTFPEDATTSTGAPFWSAPKRFPHPLQFSAADPGHLHFVIAAAILRAETFGIPIPDWVRNTKKVAEAVEKVEVSEFQPKKDAKIVTDDEATNLTPQSLDDAQVINELIIKLEHCREKLPPGFRMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATTTAMATGLVCLELYKVLDGGHKLEDYRNTFANLALPLFSMAEPVPPKVIKHRDMSWTIWDRWILRGNPTLRELIQWLKDKGLKAYSISFESCLLYNTMFSRHQDRMDRKMVDLVREVAGAELPPYRRHFDVVVACEDEEDNDIDIPLVSIYFR >ONH99822 pep chromosome:Prunus_persica_NCBIv2:G6:3643361:3649148:1 gene:PRUPE_6G051900 transcript:ONH99822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGFKFGFFMVASAPILLGLAFRTYFGGGDANSRFSIIFVVLSLVVSVIMAFSGVFRSLLHYMLPRKRPSEGVVVEEEEDAIVSKNNSTSSSSAAASSVKKHRIGHFVAESTVNSNSLISNNHGIVERDVPIMALGHSNPSDIDEDLHSRQLAVYGRETMRRLFASNVLISGIQGLGAEIAKNLILAGVKSVMLHDEGKVELWDLSSNFVFSEDDVGKNRALVAVQNLQELNNAVVVHTLTTKLAKEQLADFQAVVFTDISLEKAIEFNDYCHNHQPPIAFIKTEARGLFGSVFCDFGPEFTVFDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMKELNDGKPRKIKNARAYSFTLEEDTTGFGTYEKGGIVTQVKQPKVLNFKPLREALNDPGDILFSDFSKFDRPPLLHLAFQALDKFVSESGCFPIPGSEEDAQKLISIASNINEKLGDGRLEDINPKLLRHFAFGAKAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLQPSDLKPLNSRYDAQISVFGSKLQKKLEDSKVFLVGSGALGCELLKNLALMGVSCSNHGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPRLNVEALQNRVGPETENVFDDTFWENLSVVINALDNVNARLYVDQRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSKPSEYVTTMRNAGDAQARDTLERVLECLDRERCETFQDCIGWARLKFEDYFSNRVKQLIYTFPEDATTSTGAPFWSAPKRFPHPLQFSAADPGHLHFVIAAAILRAETFGIPIPDWVRNTKKVAEAVEKVEVSEFQPKKDAKIVTDDEATNLTPQSLDDAQVINELIIKLEHCREKLPPGFRMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATTTAMATGLVCLELYKVLDGGHKLEDYRNTFANLALPLFSMAEPVPPKVIKHRDMSWTIWDRWILRGNPTLRELIQWLKDKGLKAYSISFESCLLYNTMFSRHQDRMDRKMVDLVREVAGAELPPYRRHFDVVVACEDEEDNDIDIPLVSIYFR >ONH99824 pep chromosome:Prunus_persica_NCBIv2:G6:3643354:3649148:1 gene:PRUPE_6G051900 transcript:ONH99824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKRPSEGVVVEEEEDAIVSKNNSTSSSSAAASSVKKHRIGHFVAESTVNSNSLISNNHGIVERDVPIMALGHSNPSDIDEDLHSRQLAVYGRETMRRLFASNVLISGIQGLGAEIAKNLILAGVKSVMLHDEGKVELWDLSSNFVFSEDDVGKNRALVAVQNLQELNNAVVVHTLTTKLAKEQLADFQAVVFTDISLEKAIEFNDYCHNHQPPIAFIKTEARGLFGSVFCDFGPEFTVFDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMKELNDGKPRKIKNARAYSFTLEEDTTGFGTYEKGGIVTQVKQPKVLNFKPLREALNDPGDILFSDFSKFDRPPLLHLAFQALDKFVSESGCFPIPGSEEDAQKLISIASNINEKLGDGRLEDINPKLLRHFAFGAKAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLQPSDLKPLNSRYDAQISVFGSKLQKKLEDSKVFLVGSGALGCELLKNLALMGVSCSNHGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPRLNVEALQNRVGPETENVFDDTFWENLSVVINALDNVNARLYVDQRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSKPSEYVTTMRNAGDAQARDTLERVLECLDRERCETFQDCIGWARLKFEDYFSNRVKQLIYTFPEDATTSTGAPFWSAPKRFPHPLQFSAADPGHLHFVIAAAILRAETFGIPIPDWVRNTKKVAEAVEKVEVSEFQPKKDAKIVTDDEATNLTPQSLDDAQVINELIIKLEHCREKLPPGFRMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATTTAMATGLVCLELYKVLDGGHKLEDYRNTFANLALPLFSMAEPVPPKVIKHRDMSWTIWDRWILRGNPTLRELIQWLKDKGLKAYSISFESCLLYNTMFSRHQDRMDRKMVDLVREVAGAELPPYRRHFDVVVACEDEEDNDIDIPLVSIYFR >ONH99823 pep chromosome:Prunus_persica_NCBIv2:G6:3643847:3649128:1 gene:PRUPE_6G051900 transcript:ONH99823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGFKFGFFMVASAPILLGLAFRTYFGGGDANSRFSIIFVVLSLVVSVIMAFSGVFRSLLHYMLPRKRPSEGVVVEEEEDAIVSKNNSTSSSSAAASSVKKHRIGHFVAESTVNSNSLISNNHGIVERDVPIMALGHSNPSDIDEDLHSRQLAVYGRETMRRLFASNVLISGIQGLGAEIAKNLILAGVKSVMLHDEGKVELWDLSSNFVFSEDDVGKNRALVAVQNLQELNNAVVVHTLTTKLAKEQLADFQAVVFTDISLEKAIEFNDYCHNHQPPIAFIKTEARGLFGSVFCDFGPEFTVFDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMKELNDGKPRKIKNARAYSFTLEEDTTGFGTYEKGGIVTQVKQPKVLNFKPLREALNDPGDILFSDFSKFDRPPLLHLAFQALDKFVSESGCFPIPGSEEDAQKLISIASNINEKLGDGRLEDINPKLLRHFAFGAKAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLQPSDLKPLNSRYDAQISVFGSKLQKKLEDSKVFLVGSGALGCELLKNLALMGVSCSNHGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPRLNVEALQNRVGPETENVFDDTFWENLSVVINALDNVNARLYVDQRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSKPSEYVTTMRNAGDAQARDTLERVLECLDRERCETFQDCIGWARLKFEDYFSNRQLPY >ONH99003 pep chromosome:Prunus_persica_NCBIv2:G6:424860:425404:1 gene:PRUPE_6G004200 transcript:ONH99003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALEVGTTSNSRSPCKCRLFEAKPFHNHGVQFITPNQDLFNKGVDVLSRLRCSYAPDAKSS >ONI02433 pep chromosome:Prunus_persica_NCBIv2:G6:20520533:20521407:1 gene:PRUPE_6G198400 transcript:ONI02433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIEGIVVGIVGNGVEGSGGRVTLGALGMVGNVGFGIDGICVLGKEGNVGFGSAGIKGVVGNGGNAALGSVGKEGSGGNVALGIGRDGMVGSVNAGGGAAVSRRFRAARLTSRLDKHNAATTIENSRKQCLKPAILVDI >ONI04526 pep chromosome:Prunus_persica_NCBIv2:G6:28667777:28669777:1 gene:PRUPE_6G326200 transcript:ONI04526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVCVSPFICFGLGGGKMKAKDESQMGKRIGVEARLIWFSKCFLMSFLFSLL >ONI04525 pep chromosome:Prunus_persica_NCBIv2:G6:28667777:28669777:1 gene:PRUPE_6G326200 transcript:ONI04525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVCVSPFICFGLGGGKMKAKDESQMGKRIGVEARLIWFSKCFLMSFLFSLL >ONI01256 pep chromosome:Prunus_persica_NCBIv2:G6:10155580:10159083:-1 gene:PRUPE_6G130400 transcript:ONI01256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGKHGSPSYVPSAPSLPESYRQQYFQGSGGSYGYWQQHSQGNGSSYSYGQQQGPSSYGHSGFPPGTDPAVISSFQMVDRDRSGFIDDNELQQALSSGYQRFSLRTIRLLIFLFKSPNDPLRVGPKEFAALWTCLGQWRGIFEKYDKDRSGKIDSMELRDALYSLGLAIPPSVLQLLISKYDDGSGSRVELNFDSFVECGMIVKGLTDKFKERDLRYTGSATINYDTFMSMVIPFFVSYN >ONI01257 pep chromosome:Prunus_persica_NCBIv2:G6:10156652:10158553:-1 gene:PRUPE_6G130400 transcript:ONI01257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGKHGSPSYVPSAPSLPESYRQQYFQGSGGSYGYWQQHSQGNGSSYSYGQQQGPSSYGHSGFPPGTDPAVISSFQMVDRDRSGFIDDNELQQALSSGYQRFSLRTIRLLIFLFKSPNDPLRVGPKEFAALWTCLGQWRGIFEKYDKDRSGKIDSMELRDALYSLGLAIPPSVLQLLISKYDDGSGSRVELNFDSFVECGMIVKVRNS >ONI04872 pep chromosome:Prunus_persica_NCBIv2:G6:29629665:29632935:-1 gene:PRUPE_6G345200 transcript:ONI04872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEADVAVAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMISHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >ONI03734 pep chromosome:Prunus_persica_NCBIv2:G6:26117102:26122709:-1 gene:PRUPE_6G278500 transcript:ONI03734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAVAREDVKSNFSGHDHGRRDSSAGKKAPTTVLTGVPKENIEEKYLVDRELGRGEFGVTYLCIDRHSRELLACKSISKRKLRTAVDIEDVRREVAIMKHLPKNSSIVSLKEACEDENAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGLIDFKRDPWPNISESAKSLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNIPLGDVVKSRLKQFSMMNRFKRKALRVIADFFSIDEVEDIKEMFKKIDTDNDGIVSIEELKSGLRNFGSQLAESEVQLLIEAVDTNGKGTLDYGEFIAVSLHLQRMANDEHLHKAFSYFDKNSNGYIEPDELRDALMEDGADDCTDVANDIFQEVDTDKDGLISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLMKDGSINLGNE >ONI01436 pep chromosome:Prunus_persica_NCBIv2:G6:11302115:11303450:1 gene:PRUPE_6G139200 transcript:ONI01436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGGEDPNPKQKPIVIREVWAHNLESEFELIQSVIDAYPFISMDTEFPGLIFRPAVDTTRPYSSQLRPSDHYRVLKSNVDALSLIQVGLTLSDSRGNLPDLGGSPTRFIWEFNFSDFDVARDPHAPDSIALLRRQGIDFDRNRSEGVDSARFAALMMSSGLVCNDSVSWVTFHSAYDFGYLVKILTRRNLPSGLVEFLRILRVFFGNRVYDVKHMMRFCKSLYGGLDRVARTLEVDRAVGKCHQAGSDSLLTWHAFQKMRDVYFVHGGPEQHAGVLYGLEVF >ONI04611 pep chromosome:Prunus_persica_NCBIv2:G6:28836110:28839219:-1 gene:PRUPE_6G330100 transcript:ONI04611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQELHPSIPSCLHLLSALLSMEPTDSLIALARVCGGGLVTEEVQRFVWDHCIAKDAGKLHAPYLKKFLKKLISEVELNHGDVLDELYELYAHFMASLKDSDFEKGNARVFKCISFLFPDGCSKLSSCPKSRKLMAPLQCSLNMLEGDTGCSVWPSSLFLSECILSFPELFSNKSCFEVGSGVGLVGICLAHVKASKVILSDGDLSTLANMKVNLGLNHFSVETDMSETTEDPNMVKCLHMPWESVSESELQNLKPDIILGADVIYDPVCLPHLVRILAFLLNPKKLYPEKGSGNHLGLSLESKCTDGKVNGTHQGSAGNGDKFQAFLPKRTENSATNAGLKKGSMAFIATVIRKIETFNKFCALLDSANLTIKDLTDSLRPFNLLPHMHSYDRTGVRLFAISCK >ONI04612 pep chromosome:Prunus_persica_NCBIv2:G6:28836345:28838648:-1 gene:PRUPE_6G330100 transcript:ONI04612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGKLHAPYLKKFLKKLISEVELNHGDVLDELYELYAHFMASLKDSDFEKGNARVFKCISFLFPDGCSKLSSCPKSRKLMAPLQCSLNMLEGDTGCSVWPSSLFLSECILSFPELFSNKSCFEVGSGVGLVGICLAHVKASKVILSDGDLSTLANMKVNLGLNHFSVETDMSETTEDPNMVKCLHMPWESVSESELQNLKPDIILGADVIYDPVCLPHLVRILAFLLNPKKLYPEKGSGNHLGLSLESKCTDGKVNGTHQGSAGNGDKFQAFLPKRTENSATNAGLKKGSMAFIATVIRKIETFNKFCALLDSANLTIKDLTDSLRPFNLLPHMHSYDRTGVRLFAISCK >ONI03539 pep chromosome:Prunus_persica_NCBIv2:G6:25314296:25316223:1 gene:PRUPE_6G263500 transcript:ONI03539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVANLVMYLHTKYNLDNVVSANVFNIWSGSCNIAPLFGAFVADTYVGKFYTLLFSSIASLLGMGTLTLTAGLHELTPSACKGQTECPQPNAWQLAVLYSGLGLLVVGSGGLRPCNIAFGADQFDTKTEKGRAQLDSFCNWWYLLFTVALLIALTGVVYIQTNVSWILGFSIPTACFGLSIIIFLLGTKLYIRIKPQGSVFTDIIKVVVATCRKYGSNAGQTSGKLFYDPPSIGSESQTAARTDRLKFIDKAAMIMDPSEVDSQGKPTNGWRLCSVQQVEQLKSVVWILPVWITGIFCFIGMNQMNSFGIFQAIQMNKAIGPKFQIPPAWMGLAPMIALSIWIIIYESLYIPQMQKRNKNESGRLTMEQRFKIGIVMSVLCMVVAGLTEMKRRNSALKHGTFESPITVALLVPQFALSGLIEAFAAIALMELLTVQWPQSMRTFAGAVFFLSLSMASYLTSLLITIVKKVSGLNGNSSWLGGNDLNKNRLDYYYYTIAGLGVVNFVYFHFYARHYLSDAAAVENSENQVNSDTEKGCRSRDTERGL >ONI03538 pep chromosome:Prunus_persica_NCBIv2:G6:25313945:25316223:1 gene:PRUPE_6G263500 transcript:ONI03538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHATHSSSGGGLDSQSPPPKTPVGGWRAVRYILGNETFEKVASMSLVANLVMYLHTKYNLDNVVSANVFNIWSGSCNIAPLFGAFVADTYVGKFYTLLFSSIASLLGMGTLTLTAGLHELTPSACKGQTECPQPNAWQLAVLYSGLGLLVVGSGGLRPCNIAFGADQFDTKTEKGRAQLDSFCNWWYLLFTVALLIALTGVVYIQTNVSWILGFSIPTACFGLSIIIFLLGTKLYIRIKPQGSVFTDIIKVVVATCRKYGSNAGQTSGKLFYDPPSIGSESQTAARTDRLKFIDKAAMIMDPSEVDSQGKPTNGWRLCSVQQVEQLKSVVWILPVWITGIFCFIGMNQMNSFGIFQAIQMNKAIGPKFQIPPAWMGLAPMIALSIWIIIYESLYIPQMQKRNKNESGRLTMEQRFKIGIVMSVLCMVVAGLTEMKRRNSALKHGTFESPITVALLVPQFALSGLIEAFAAIALMELLTVQWPQSMRTFAGAVFFLSLSMASYLTSLLITIVKKVSGLNGNSSWLGGNDLNKNRLDYYYYTIAGLGVVNFVYFHFYARHYLSDAAAVENSENQVNSDTEKGCRSRDTERGL >ONI00280 pep chromosome:Prunus_persica_NCBIv2:G6:5445944:5448191:-1 gene:PRUPE_6G080000 transcript:ONI00280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFSGLGIGLSLVFGCLLLALVAELYYLLWWKKRITNREIEEDYSNHAKELFQFSCWKKPSSLQTNSNSQENVREPDANHSSSESDLELGSSKDLLLKLNGEENVEISELMRLHNLAGPPRFLFTINEETKEDLESEDGKSRGDRSRKGSRTRSLSDLILSVDTPYLSPLPSPSLKSSPLSSLDSYKHHGFNPLFESSTEAELSRLRSSPPPKFKFLRDAEEKLFRRLMEEAEKRAAKNCGSAQDFGVNATPNSTMATEEKDGSFIRLVVGKNKENQREHHHQNLPQNPPSSSKTGGLSNSAE >ONI00281 pep chromosome:Prunus_persica_NCBIv2:G6:5446627:5447556:-1 gene:PRUPE_6G080000 transcript:ONI00281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFSGLGIGLSLVFGCLLLALVAELYYLLWWKKRITNREIEEDYSNHAKELFQFSCWKKPSSLQTNSNSQENVREPDANHSSSESDLELGSSKDLLLKLNGEENVEISELMRLHNLAGPPRFLFTINEETKEDLESEDGKSRGDRSRKGSRTRSLSDLILSVDTPYLSPLPSPSLKSSPLSSLDSYKHHGFNPLFESSTEAELSRLRSSPPPKFKFLRDAEEKLFRRLMEEAEKRAAKNCGSAQDFGVNATPNSTMATEEKDGSFIRLVVGKNKENQREHHHQNLPQNPPSSSKVLPLASSPTMFKST >ONI05068 pep chromosome:Prunus_persica_NCBIv2:G6:30149236:30160989:1 gene:PRUPE_6G354800 transcript:ONI05068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVEEAIAALSTFSLEDEQAEIQGPGIWVSTDSGATDSPVEYSDVSAYRLSLSEDTKALNQLNALIQEGKEMGSVLYTYRSCVKALPQLPDSMKQSQADLYLETYQVLDLEMSRLREIQRWQASALAADMQRFSRPERRINGPTVTHLWSMLKLLDTLVQLDHLKNAKASIPNDFSWYKRTFTQVSVQWHDTDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFAVESLELDFALLFPERHILLRVLPILVVLATSSEKDSESLYKRVKINRLINIFKNDPVIPAFPDLHLSPAAIMKELSIYFQKFSTQTRLLSLPSPHELPSREAQEYPLHYLIINHIGSIRAEHDDFAIRFSSSMNQLLLLKSTDSADIDWCKEVKGNIYDMVVEGFQLLSRWTARIWEQCAWKFSRPCKDIVPSESKEASASFSDYEKVVRYNYSAEERKALVELVSYIKSIGSMMQCSDTLVADALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANTSKSESGSLQQGGEESKANFFYPRPVAPTAAQVHCLQFLIYELVSGGNLRKPGGLFGNSGSEIPVNDLKQLETFFYKLSFFLHMLDYSVTVATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDYVLESHNAGILESVLMPFDIYNDSAQQALVSLKQRFLYDEIEAEVDHCFDIFVSKLCDSIFTYYKSWAASELLDTSFLFALDNGEKYSVEPMRFTALLKMTRVKLLGRMIDLRSLVAERMNKVFRDNIEFLFDRFESQDLCAIVELENLLDILKHAHGLLSRDLSIDSFSLMLNEMQENISLVSYCSRLASQIWSEMQNDFLPNFILCNTTQRFIRSSKVPLVPIQKPSVPYAKPNFYCGTQDLNAAHQSFARLHSGFFGMPHIFSIVRLLGSRSLPWLIRALLDHISNKIATLEPMITGLQEALPKSIGLLPFDGGVTGCMRLVKEQLNWGTKSQLKAEVLRGIKEIGSVLYWLGLLDIVLRETDTTHFMQTAPWLGLLPGADGQILHSQDGGESPIVNLFKSATSVIVSNPGCPNPTSFHTLSKQAEAADLLYKANMNTGSVLEYALAFTSAALDKYCSKWSAVPKTGFIDITTSKDFYRIYSGLQIWYLEDSVRVPPSSHEVLGDSVAWGGCTIIYLLGQQLHFELLDFSYQVLNVAEVEIASITQTHKSPHFFQGWDGLLEVMKKARRLNNHVFSMLKARCPLEDKTACAIKQSGAPLHRIKFENTVSAFETLPQKEA >ONI03929 pep chromosome:Prunus_persica_NCBIv2:G6:26922030:26925570:1 gene:PRUPE_6G292200 transcript:ONI03929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENAAALHTAVNSVQALGRGFDVNFDTRLLYCKGVAGSRILELDEEHTRDLCLYDDIIVPNVSRDIKQSEESMGRQSSGVCSFHEMVEYFNQKSNASGGFPLGSFNFAFSFTGSKHIDAAATKTLSVDGFYIPLAKVQLFKTPLVLQENVKEAVPTNWDPTSLASFIENFGTHVITSVTIGGKDVIYVKQHQSSPLSTMEIKNYVQDIGTQRFSDTESNRSSGQMKFNDKGVDSGLYNSQGIYPQPTTAPYLAGKEDVTVIFRRRGGDDLEQNHTRWARTVRSSPDVIEMTFFPITALLEGVTGKEHLTRAIGLYLEYKPPIEELRYFLEFQISRIWAPVYDRNLGPQRKEPVCPSLQFSIMGQKLYVSQEQVTVGRKPVTGVQLCLEGSKQNRLCIHLQHLASLPKILLPYWDTHVAIGAPKWQGPEEQDSRWFEPVKWRNFSHVSTAPIENPETFIGDLSGVYIVTGAQLGVWDFGSRNVLYMKLLYSRLPGCTIRRSLWDHTPKEKSKKLTSTSDSSSGSRENVAGNKLVKFVDMSEMSKGPQDDPGHWLVTGGKLGVEKGKIVLRVKYSLLNY >ONI03442 pep chromosome:Prunus_persica_NCBIv2:G6:24965009:24967985:1 gene:PRUPE_6G257000 transcript:ONI03442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDRRLRAFSDSFNGVQLGNRVLPILSHPNIVARSQFDSNAFLDNNYKEFNYPQPVLTPNNVSSYASVSPEDDSQEDCDFSDVVLKYINQMLMEEDMEDKTCMLQESLELQAAEKSFYEVLGKKYPPSPELHQDYAIQYGESPGDSFSGTRSNYITSTCNSGGYFGDNTLIQSPDGHLAQLKGLPAYSISQSRYGSSTRVSSLDGQVDSPSSLHMPDLNTESQSVWQFKKGVEEASRFLPGETKLVVNLEANGLSAQAPKVGTNGEVVKVEKKDEGEYSPSGSRGRKNLYREDDDVEESRRSKQAAVSTESILRSELFDTVLLCSTGEGLERLESLREALQNGMSKSMPQNGQSKGSNGGKGRGKKQTGKKEVVDLRTLLISCAQAVAADDHRSANELLKKVRQHSSPFGDGTQRLAHCLADGLEARLAGTGSQICKALVSKRTSAADFLKAYHLYLAASPFKKISNFVSNKTIMNLAQNATRVHVIDFGILYGFQWPTLIQRISWRDGGPPRLRITGIEFPQPGFRPAERVEETGRRLAAYAEKFNVPFEYNAIAKNWDTIKLEELKIDRDEVLVVNFLYRGKNLLDESVAVDSPRDRVLDLIRRINPDLFIHGIVNGAFNAPFFVTRFREALFHFSSLFDMLETVVPREDRERMLIETEIFGREALNVIACEGWERVERPETYKQWQVRNLRAGFVQLPLDRGLVKRAGEKVRSGYHKDFVIDEDSRWLLQGWKGRTVYALSTWKPA >ONI03444 pep chromosome:Prunus_persica_NCBIv2:G6:24964641:24967837:1 gene:PRUPE_6G257000 transcript:ONI03444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMEEDMEDKTCMLQESLELQAAEKSFYEVLGKKYPPSPELHQDYAIQYGESPGDSFSGTRSNYITSTCNSGGYFGDNTLIQSPDGHLAQLKGLPAYSISQSRYGSSTRVSSLDGQVDSPSSLHMPDLNTESQSVWQFKKGVEEASRFLPGETKLVVNLEANGLSAQAPKVGTNGEVVKVEKKDEGEYSPSGSRGRKNLYREDDDVEESRRSKQAAVSTESILRSELFDTVLLCSTGEGLERLESLREALQNGMSKSMPQNGQSKGSNGGKGRGKKQTGKKEVVDLRTLLISCAQAVAADDHRSANELLKKVRQHSSPFGDGTQRLAHCLADGLEARLAGTGSQICKALVSKRTSAADFLKAYHLYLAASPFKKISNFVSNKTIMNLAQNATRVHVIDFGILYGFQWPTLIQRISWRDGGPPRLRITGIEFPQPGFRPAERVEETGRRLAAYAEKFNVPFEYNAIAKNWDTIKLEELKIDRDEVLVVNFLYRGKNLLDESVAVDSPRDRVLDLIRRINPDLFIHGIVNGAFNAPFFVTRFREALFHFSSLFDMLETVVPREDRERMLIETEIFGREALNVIACEGWERVERPETYKQWQVRNLRAGFVQLPLDRGLVKRAGEKVRSGYHKDFVIDEDSRWLLQGWKGRTVYALSTWKPA >ONI03441 pep chromosome:Prunus_persica_NCBIv2:G6:24964599:24968826:1 gene:PRUPE_6G257000 transcript:ONI03441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDRRLRAFSDSFNGVQLGNRVLPILSHPNIVARSQFDSNAFLDNNYKEFNYPQPVLTPNNVSSYASVSPEDDSQEDCDFSDVVLKYINQMLMEEDMEDKTCMLQESLELQAAEKSFYEVLGKKYPPSPELHQDYAIQYGESPGDSFSGTRSNYITSTCNSGGYFGDNTLIQSPDGHLAQLKGLPAYSISQSRYGSSTRVSSLDGQVDSPSSLHMPDLNTESQSVWQFKKGVEEASRFLPGETKLVVNLEANGLSAQAPKVGTNGEVVKVEKKDEGEYSPSGSRGRKNLYREDDDVEESRRSKQAAVSTESILRSELFDTVLLCSTGEGLERLESLREALQNGMSKSMPQNGQSKGSNGGKGRGKKQTGKKEVVDLRTLLISCAQAVAADDHRSANELLKKVRQHSSPFGDGTQRLAHCLADGLEARLAGTGSQICKALVSKRTSAADFLKAYHLYLAASPFKKISNFVSNKTIMNLAQNATRVHVIDFGILYGFQWPTLIQRISWRDGGPPRLRITGIEFPQPGFRPAERVEETGRRLAAYAEKFNVPFEYNAIAKNWDTIKLEELKIDRDEVLVVNFLYRGKNLLDESVAVDSPRDRVLDLIRRINPDLFIHGIVNGAFNAPFFVTRFREALFHFSSLFDMLETVVPREDRERMLIETEIFGREALNVIACEGWERVERPETYKQWQVRNLRAGFVQLPLDRGLVKRAGEKVRSGYHKDFVIDEDSRWLLQGWKGRTVYALSTWKPA >ONI03443 pep chromosome:Prunus_persica_NCBIv2:G6:24964641:24968826:1 gene:PRUPE_6G257000 transcript:ONI03443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDRRLRAFSDSFNGVQLGNRVLPILSHPNIVARSQFDSNAFLDNNYKEFNYPQPVLTPNNVSSYASVSPEDDSQEDCDFSDVVLKYINQMLMEEDMEDKTCMLQESLELQAAEKSFYEVLGKKYPPSPELHQDYAIQYGESPGDSFSGTRSNYITSTCNSGGYFGDNTLIQSPDGHLAQLKGLPAYSISQSRYGSSTRVSSLDGQVDSPSSLHMPDLNTESQSVWQFKKGVEEASRFLPGETKLVVNLEANGLSAQAPKVGTNGEVVKVEKKDEGEYSPSGSRGRKNLYREDDDVEESRRSKQAAVSTESILRSELFDTVLLCSTGEGLERLESLREALQNGMSKSMPQNGQSKGSNGGKGRGKKQTGKKEVVDLRTLLISCAQAVAADDHRSANELLKKVRQHSSPFGDGTQRLAHCLADGLEARLAGTGSQICKALVSKRTSAADFLKAYHLYLAASPFKKISNFVSNKTIMNLAQNATRVHVIDFGILYGFQWPTLIQRISWRDGGPPRLRITGIEFPQPGFRPAERVEETGRRLAAYAEKFNVPFEYNAIAKNWDTIKLEELKIDRDEVLVVNFLYRGKNLLDESVAVDSPRDRVLDLIRRINPDLFIHGIVNGAFNAPFFVTRFREALFHFSSLFDMLETVVPREDRERMLIETEIFGREALNVIACEGWERVERPETYKQWQVRNLRAGFVQLPLDRGLVKRAGEKVRSGYHKDFVIDEDSRWLLQGWKGRTVYALSTWKPA >ONH99064 pep chromosome:Prunus_persica_NCBIv2:G6:675604:677725:1 gene:PRUPE_6G008400 transcript:ONH99064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKAESGQLLVLVPCPYQGHINPMLKLGNFLHSKGFSIAIVHTHFNSPNPSNHPEFTFFPIPDGLTADEISSGNAVTIVLAINANCKASFEQCLTDRVTEQELQNKITCIIYDEFMYFSESVANDLNIPSILLRTQSATNFIARNAMIRLHSKGCTPFPDSMLLNSVPELHPLRFKDLPIYIFGPLENYSKLLTNANNVRTSSAIIWNTLDCLDQSSLAQIKQQCQVPIFSIGPLHKFATAATSSLLEEDTGCVAWLDKQSHNSVIYVSLGSLASISEKELAEMAWGLAISRQPFLWVIRPGSICSSDWIELLPQGFLEAVGERGCIVKWAPQMEVLSHDAVGGFWSHCGWNSTLESITEGVPMLCRPCFSDQTVNARYVSQVWKIGIQLENELERGEIERTVKKLMVDEEGKGMRVRAKELKEKIEVIMKGGSTYHSLNELVEFIRSF >ONI03358 pep chromosome:Prunus_persica_NCBIv2:G6:24689305:24689781:1 gene:PRUPE_6G252900 transcript:ONI03358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLGGPLDVKMIVLIGILALAVAIIVIVTHACLADDDDEIHINRYRNRPRRTRTRTTTETRATTTTISNSSSSAHHQLIPTVEEIKDGICAVCLCEFKDGEAIRVLPECMHLFHAECIDMWLSSHSNCPLCRTDIEPPPQHVVLSMPSFSEGGLGST >ONH99361 pep chromosome:Prunus_persica_NCBIv2:G6:2092736:2096264:-1 gene:PRUPE_6G026600 transcript:ONH99361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRKIFIGGLAKDTTYATFAKHFGKYGEIVDSVIMKDRFTGTPRGFGFITYADPSVVDTVIEETHVINGKQVEIKRTIPKGQGQSKDFKTKKIFVGGIPSSVIEEEMKSFFSKYGKVVEHQIIRDHETNRSRGFGFVIFDSEEVVDELLSKGNMIDMAGTQVEIKKAEPKKASNPSPAPAYGSNSRARSFNDGFGAYGSSYGGFDGGFAPGPYRTPGGLGGRYGGGYGYGYGSDSGEFGGGYGGFGSSSLGGYRGESSLGYSSRFGPYGGGFGGGYGASGLGGYGRGGEGYGSYGSSNYGGGYDSGPGATYGGAGGPYGRGGYSSSSRYHPYAR >ONH99360 pep chromosome:Prunus_persica_NCBIv2:G6:2093880:2096593:-1 gene:PRUPE_6G026600 transcript:ONH99360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSNAGHPHTGDGASPGKIFIGGLAKDTTYATFAKHFGKYGEIVDSVIMKDRFTGTPRGFGFITYADPSVVDTVIEETHVINGKQVEIKRTIPKGQGQSKDFKTKKIFVGGIPSSVIEEEMKSFFSKYGKVVEHQIIRDHETNRSRGFGFVIFDSEEVVDELLSKGNMIDMAGTQVEIKKAEPKKASNPSPAPAYGSNSRARSFNDGFGAYGSSYGGFDGGFAPGPYRTPGGLGGRYGGGYGYGYGSDSGEFGGGYGGFGSSSLGGYRGESSLGYSSRFGPYGGGFGGGYGASGLGGYGRGGEGYGSYGSSNYGGGYDSGPGATYGGAGGPYGRGGYSSSSRYHPYAR >ONH99359 pep chromosome:Prunus_persica_NCBIv2:G6:2092736:2096757:-1 gene:PRUPE_6G026600 transcript:ONH99359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSNAGHPHTGDGASPGKIFIGGLAKDTTYATFAKHFGKYGEIVDSVIMKDRFTGTPRGFGFITYADPSVVDTVIEETHVINGKQVEIKRTIPKGQGQSKDFKTKKIFVGGIPSSVIEEEMKSFFSKYGKVVEHQIIRDHETNRSRGFGFVIFDSEEVVDELLSKGNMIDMAGTQVEIKKAEPKKASNPSPAPAYGSNSRARSFNDGFGAYGSSYGGFDGGFAPGPYRTPGGLGGRYGGGYGYGYGSDSGEFGGGYGGFGSSSLGGYRGESSLGYSSRFGPYGGGFGGGYGASGLGGYGRGGEGYGSYGSSNYGGGYDSGPGATYGGAGGPYGRGGYSSSSRYHPYAR >ONI02867 pep chromosome:Prunus_persica_NCBIv2:G6:22981884:22983441:-1 gene:PRUPE_6G225500 transcript:ONI02867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRASGSLVPQTSSPAIETRVDNSGLIEAQVGNLVEDLKGTSFDAQRDATFELRLLAKQSMENRIVIANCGAISLLVDLLRSTDKRVQENAVTTLLNLSINVENKTEITAANAIEPLIHVLETGGAEAKENSAATLFSLSVIEDNKVRIGRSGAIGPLVDLLGNGTPRGRKDAATALFSLSIFHENRRPIVQAGAIKYLVELMDPAAGLVDKVVAVLANLSTIPEGKTAIGQEGGIPGLVEAVELGSAKGKEHAAAALLQLCTSSDRYCRMVQQEGAVPPLVVLSQYGTPRAQKKAESLLRVLSK >ONI04601 pep chromosome:Prunus_persica_NCBIv2:G6:28799499:28801478:-1 gene:PRUPE_6G329400 transcript:ONI04601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGNPLLKHIRNVRWEFADIVCDYLLGQSSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHIDVEDVVKPLLEVTKTALLHECTLLCGWSLEECGRYLETIKVYENKPADIIQGQMDTDYLSRLNHALTTVRHVNKTDVVTLGTTFGSLSHIMDVSMEDLARCPGIGERKVKRLYDTFHEPFKRVVASRPAVPETSAPSNAEPGSVDEEDKEAEETEDESKRRKKEPELTVKSALSVAFSKYADKVNKKNSKTQREEKGETSAAMEAETEKR >ONI04599 pep chromosome:Prunus_persica_NCBIv2:G6:28799020:28802585:-1 gene:PRUPE_6G329400 transcript:ONI04599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEHRGQNPESDQNKNKKKTVIKIPSFQEVLESAQSKSTPLSLFTPSQSFSQAFAFVKSSEFYSSPPPPATSSQPLQASDTGNSSKTGQSDVPSSSAPTNAVASSSSPAQRRNAIIVSHRQKGNPLLKHIRNVRWEFADIVCDYLLGQSSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHIDVEDVVKPLLEVTKTALLHECTLLCGWSLEECGRYLETIKVYENKPADIIQGQMDTDYLSRLNHALTTVRHVNKTDVVTLGTTFGSLSHIMDVSMEDLARCPGIGERKVKRLYDTFHEPFKRVVASRPAVPETSAPSNAEPGSVDEEDKEAEETEDESKRRKKEPELTVKSALSVAFSKYADKVNKKNSKTQREEKGETSAAMEAETEKR >ONI04598 pep chromosome:Prunus_persica_NCBIv2:G6:28799021:28802584:-1 gene:PRUPE_6G329400 transcript:ONI04598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEHRGQNPESDQNKNKKKTVIKIPSFQEVLESAQSKSTPLSLFTPSQSFSQAFAFVKSSEFYSSPPPPATSSQPLQASDTGNSSKTGQSDVPSSSAPTNAVASSSSPAQRRNAIIKGNPLLKHIRNVRWEFADIVCDYLLGQSSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHIDVEDVVKPLLEVTKTALLHECTLLCGWSLEECGRYLETIKVYENKPADIIQGQMDTDYLSRLNHALTTVRHVNKTDVVTLGTTFGSLSHIMDVSMEDLARCPGIGERKVKRLYDTFHEPFKRVVASRPAVPETSAPSNAEPGSVDEEDKEAEETEDESKRRKKEPELTVKSALSVAFSKYADKVNKKNSKTQREEKGETSAAMEAETEKR >ONI04600 pep chromosome:Prunus_persica_NCBIv2:G6:28799499:28801616:-1 gene:PRUPE_6G329400 transcript:ONI04600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSYEFNFGWSKVHELEKFKLCSVWHSYILQFYCFQLSVKSNSSMQKGNPLLKHIRNVRWEFADIVCDYLLGQSSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHIDVEDVVKPLLEVTKTALLHECTLLCGWSLEECGRYLETIKVYENKPADIIQGQMDTDYLSRLNHALTTVRHVNKTDVVTLGTTFGSLSHIMDVSMEDLARCPGIGERKVKRLYDTFHEPFKRVVASRPAVPETSAPSNAEPGSVDEEDKEAEETEDESKRRKKEPELTVKSALSVAFSKYADKVNKKNSKTQREEKGETSAAMEAETEKR >ONI02736 pep chromosome:Prunus_persica_NCBIv2:G6:22534108:22538032:-1 gene:PRUPE_6G219200 transcript:ONI02736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEIQNFEDSKLSSEAMEDHQACSPKEGKGKRLWKKVKYQLVEYHTLPNYLRDNEYILGHYRSEWPLKQVLLSMFTIHNETLNVWTHLIGFFLFLSLTIYTAIKLPEVVDLHALQHFPDVLKKADLHKLHEEFMTCLPSLPNMPDLNRLRGELKTSLPSMDLLPSLSGWHVMELLNNCLPQRFSHGNQTDSSMKEDLANIVAPLMLRPITRWPFFAFLGGAMFCLLSSSACHLLSCHSERMSYIMLRLDYAGIAALISTSFYPLVYYSFMCNPFFCNLYMGFITLLGIATVLVSLIPVFQNPEYRIVRVSLFLSMGMSGILPVMHKLVLFSDQPEALHTTGYEILMGALYGVGALVYATRVPERWMPGKFDIAGHSHQLFHILVVAGAYTHYRAGLVYLKWRDLEGC >ONI02737 pep chromosome:Prunus_persica_NCBIv2:G6:22534406:22537252:-1 gene:PRUPE_6G219200 transcript:ONI02737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEIQNFEDSKLSSEAMEDHQACSPKEGKGKRLWKKVKYQLVEYHTLPNYLRDNEYILGHYRSEWPLKQVLLSMFTIHNETLNVWTHLIGFFLFLSLTIYTAIKLPEVVDLHALQHFPDVLKKADLHKLHEEFMTCLPSLPNMPDLNRLRGELKTSLPSMDLLPSLSGWHVMELLNNCLPQRFSHGNQTDVCVLSSMKEDLANIVAPLMLRPITRWPFFAFLGGAMFCLLSSSACHLLSCHSERMSYIMLRLDYAGIAALISTSFYPLVYYSFMCNPFFCNLYMGFITLLGIATVLVSLIPVFQNPEYRIVRVSLFLSMGMSGILPVMHKLVLFSDQPEALHTTGYEILMGALYGVGALVYATRVPERWMPGKFDIAGHSHQLFHILVVAGAYTHYRAGLVYLKWRDLEGC >ONI02775 pep chromosome:Prunus_persica_NCBIv2:G6:22670882:22672000:1 gene:PRUPE_6G221300 transcript:ONI02775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQVVVTFGFNSRGSKPVGCTLWLKASQTHLSSVGTPHDIYQKIRHVWDVEQTCPYHKWHKTFGSSTLN >ONI03159 pep chromosome:Prunus_persica_NCBIv2:G6:24046757:24049337:-1 gene:PRUPE_6G242000 transcript:ONI03159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMKILISLQLLGLTLLCVGIFHCQAWPRYTFVVEETPYRRLCSTKNILTVNGQFPGPTLYARTGDTIIVDVYNKGNRNITIHWHGAKQPRNPWSDGPDYITQCPIQPGGRFTQTIIFSSQEGTLWWHAHSEWDRATVHGAMVIYPKKGDTYPFPKPYAEFPIILGEWWKKDIGQLYNETIQSGGDPNISSAFLINGQPGDLYPCSKPDTFKLMVDYGKTYLLRLINSAVQEMLFFSIANHKVTVVGSDASYTKPFSSDYVTISPGQTIDLLFTADQSPNHYYIAAKAYVGGAGILYDNTTTTAILQYNGNYTSTSTPSLPNLPSHNDTKASVHFTGSLRSLADKNHPVDVPRNITTPLFYTLSINTLPCLNNSCAGPNGTRLAASVNNISFVDPSIDILQAYYYHVNGAFGTRFPNFPPFLFNFTAQDLPLYLQTPKQGTVVKILEYNSTVEIVFQGTNLVAGDDHPMHLHGFSFYVVGWGLGNFDEDKDPLKYNLVDPPLQNTIAVPVNGWTAVRFKADNPGVWFMHCHLDRHMSWGMDVTFIVKNGKGLGAQILAPPPGMPPC >ONI01968 pep chromosome:Prunus_persica_NCBIv2:G6:17493878:17495267:-1 gene:PRUPE_6G169600 transcript:ONI01968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISMKRRTLLKVIVLGDSGVGKTSLMNQYVYKKFHRQYKATIGADFVTKELQIDDKLVTLQVWDTAGQERFHSLGAAFYRGADCCVLVYDVNVSKSFETLQNWHEEFLKQADPTDPEAFPFVLLGNKIDVDGGNSRAVSEKKAREWCASKGNIPYFETSAKEDYNVDEAFLCIAKTGMINEHEQDIYFQGISETVSEAEQRGRCAC >ONI01967 pep chromosome:Prunus_persica_NCBIv2:G6:17493642:17495657:-1 gene:PRUPE_6G169600 transcript:ONI01967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISMKRRTLLKVIVLGDSGVGKTSLMNQYVYKKFHRQYKATIGADFVTKELQIDDKLVTLQVWDTAGQERFHSLGAAFYRGADCCVLVYDVNADPTDPEAFPFVLLGNKIDVDGGNSRAVSEKKAREWCASKGNIPYFETSAKEDYNVDEAFLCIAKTGMINEHEQDIYFQGISETVSEAEQRGRCAC >ONI03312 pep chromosome:Prunus_persica_NCBIv2:G6:24556980:24560504:-1 gene:PRUPE_6G250400 transcript:ONI03312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVCVPQYVSIKFPAIPRFGSSRRDFKLPAISMTASEVTNHIPPAPIFLPQGPWKQIPGGVTAAEGFKAAGLFGGLRAKGDKPDLALVTCDVDATSAGAFTTNVVAAAPVLYCKTVLNTSKTARAVLINAGQANAATGDAGYQDVIESVSSLAKLLQMKPEEVLIESTGVIGQRIKKAALLKSLPKLVNSLSASTEGADAAAVAITTTDLVSKSVAIQSQVGGTDIRIGGMAKGSGMIHPNMATMLGVITTDAMVSSDVWRKMVQVAVNRSFNQITVDGDTSTNDTVIALASGLSGSTKISSYNSNQAVQLQAGLDVVMQGLAKSIAWDGEGATCLIEVTVTGADSEPEAAKIARSVASSSLVKAAVYGRDPNWGRIAAAAGYAGISFDQTKLRVLLGDILLMDGGEPQLFDRRAASDYLKKAGEIHGTVVINVSVGDGPGRGQAWGCDLSYDYVKINAEYTT >ONI03313 pep chromosome:Prunus_persica_NCBIv2:G6:24556709:24560876:-1 gene:PRUPE_6G250400 transcript:ONI03313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPLLLARAVLINAGQANAATGDAGYQDVIESVSSLAKLLQMKPEEVLIESTGVIGQRIKKAALLKSLPKLVNSLSASTEGADAAAVAITTTDLVSKSVAIQSQVGGTDIRIGGMAKGSGMIHPNMATMLGVITTDAMVSSDVWRKMVQVAVNRSFNQITVDGDTSTNDTVIALASGLSGSTKISSYNSNQAVQLQAGLDVVMQGLAKSIAWDGEGATCLIEVTVTGADSEPEAAKIARSVASSSLVKAAVYGRDPNWGRIAAAAGYAGISFDQTKLRVLLGDILLMDGGEPQLFDRRAASDYLKKAGEIHGTVVINVSVGDGPGRGQAWGCDLSYDYVKINAEYTT >ONI02208 pep chromosome:Prunus_persica_NCBIv2:G6:19100041:19102505:1 gene:PRUPE_6G183600 transcript:ONI02208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFPPFRWYNVQNVSYDVDGFFQELRTLLDDLRGQAAGNGSLRKFAAGTATAPNFQTIYGLAQCTPDLTEQDCRDCLGSSLADIPECCQGKVGARISKPSCDVRFEIYRYVDPKTVPPLPSSLPPLSSPPPPPLSSPALPSTSTGDGRLLNGKDIAVKRLSTNSGQGDLEFKNEVLLVAKLQHRNLVRLLGFCLEGSERLLVYEFVPNASLDHIIFDPTERAQLDWVRRYKIIVGTARGLLYLHEDSRLKIIHRDLKASNILIDTEMNPKISDFGMARLFVLDQTQGNTSRIVGTFGYMAPEYAMRGHFSVKSDVYSFGVLVLEIVSGQKNSGFRHGENAEDLLSFAWRSWREGTASNLIDPTLKTGSRNEIMRCIHIGLLCVQENVADRPTMASVILMMNSYSFTLPVPSQPAFYLHRSIGFDMSLRSEYNSGATRSDRSKSNSVVIMEYETFTEPHPR >ONI03602 pep chromosome:Prunus_persica_NCBIv2:G6:25575703:25579230:1 gene:PRUPE_6G268100 transcript:ONI03602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGLLQWSPCHQSLSLSFTIPTTKHTQLPLSISATLEPATSTQQHLTARERRQLRNERRESKAGTNWKEQVEEKLLEKPTKKFANWKEELNINNLAREGPQWWIVKVSRLKGQETAQLIARLLARNYPHIDFKVYAPAIHERKKLKNGTYSVKPKPLFPGCVFIRCVLDKEIHDFIRECDGVGGFVGALVGNTKRQITRPRPVSEFDMEAIFRQAKEEQQKAEQAFEQDQQEAALNSGLNSDDAVKSTGDSKPKRRSRKTLDPLINGSSKGKNEKLVPGSSVRVVSGTFAEYVGSLKKLNRRTKKATVGFTLFGKESLVDLDVSEIVSETM >ONI03601 pep chromosome:Prunus_persica_NCBIv2:G6:25575703:25579230:1 gene:PRUPE_6G268100 transcript:ONI03601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGLLQWSPCHQSLSLSFTIPTTKHTQLPLSISATLEPATSTQQHLTARERRQLRNERRESKAGTNWKEQVEEKLLEKPTKKFANWKEELNINNLAREGPQWWIVKVSRLKGQETAQLIARLLARNYPHIDFKVYAPAIHERKKLKNGTYSVKPKPLFPGCVFIRCVLDKEIHDFIRECDGVGGFVGALVGNTKRQITRPRPVSEFDMEAIFRQAKEEQQKAEQAFEQDQQEAALNSGLNSDDAVKSTGDSKPKRRSRKTLDPLINGSSKGKNEKLVPGSSVRVVSGTFAEYVGSLKKLNRRTKKATVGFTLFGKESLVDLDVSEIVSETM >ONI03981 pep chromosome:Prunus_persica_NCBIv2:G6:27022179:27023997:-1 gene:PRUPE_6G294900 transcript:ONI03981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELIHGREVASGQLGRVINLHNNRSLTVNGDHNHDLGSGNGEGFVNKMLGSFSNTLFISNGKEMKEFHHHDQIIQVNTSGGGGGGAGAGAGASSWDVDHLLDHAIKCEASNEETCKSASTSSTDRRGSYKKRKTCHSWIKDSPALTEDGQAWRKYGQKLILNAKHPRNYFRCTHKFDQACQATKHVQQVEDDPPLFRTTYYGNHTCRDHLKACELVLDCTSPRESSKFIRFDDNNLSSKQEHPFFSSFKSLKREECFKEETTPSDYMTTQHHNQLALSDYLVSPPDLPAFTSPGLMSGFASANTAQLDEDAIYRKMAGFNYDDFAFDEFLQYELR >ONI00445 pep chromosome:Prunus_persica_NCBIv2:G6:6111586:6113749:-1 gene:PRUPE_6G089500 transcript:ONI00445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSGTVLSRKPISLSKATSTLSSFVSSDTGASQEFSVYLQRALASFKELKQLHKELKAPRSQRRRSRHRSEAENDDAETVGENPTRSVVVSQEVSHGLELETKPKPKRQRQESNSNGRLENEEPNRPVVDAVVRRKEDEKKEKKGEFLNFREHRGAIAERGGEGAEKREASDPVEVHDKKKKKKRDNVSNCDGNGDHFDKGYGGGKVAEGPGEGVERREAADSAEMHNKKKNKKKGDTVGNSVAVEMHDKKKKKNKEDNVGNSDENAVQIEKGDGEAKIRNEEVKKEEGKKRKSGVLDAMEENLDKKKKRRKS >ONI04089 pep chromosome:Prunus_persica_NCBIv2:G6:27395919:27400977:-1 gene:PRUPE_6G301900 transcript:ONI04089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIIGVSSIYPQTPCSELYRRAAASTTTTTATTSSPSLSLAFPERPHFNSVLRAKSGLVRQSSLVASAIATPNSVLSEEAFKGLGGFSKDSLDSDSEYDSETEPASAAGDDDELALSKLGLPQRLVDSLEKRGISSLFPIQRAVLVPALEGRDIIARAKTGTGKTLAFGIPILKRLTEDDEQRSSHRRTGYLPRVLVLAPTRELAKQVEKEIKESAPYLNTVCVYGGVSYITQQSALSRGVDVVVGTPGRIIDLINGNSLKLGEVQYLVLDEADSMLAVGFEEDVEVILQKLPTQRQSMLFSATMPAWVKKLARKYLDNPLTIDLVGDQEEKLAEGIKLYALSTTGSSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSMSLTTSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDSETFVHRSGRTGRAGKQGTAVLMFTNNQRRTVRTLERDVGCKFEFVSPPTIEEVLESSAQHVVATLSGVHPESVQFFTPTAQKLIDEQGTNALAAALAQLSGFSRPPSSRSLITHEQGWTTLQIIRDPAFARGFLSARSVTGFLSDVYSAAADEVGKIHIIADERVQGAVFDLPEEIAKELLNRQIPPGNTISKINKLPALQDDGPVNDYYGRFSGRDRNSRRGGSRDRQGSSGFRSSRGWGSSDGADDSFRSGGRGGGRGGGRSFGNSNSQSRTSRSTDDDWLIGGRPSSRSSSRDRSFGGSCFNCGRSGHRASECPTKQGY >ONH99368 pep chromosome:Prunus_persica_NCBIv2:G6:2115131:2118488:1 gene:PRUPE_6G027000 transcript:ONH99368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRRDNEIEDISMSPPTMGSMQIAGSSGFGHNLEFMSQAYLRNRYSEIDIEDESSNTNKDGPLPIFLKFEDVEFRVRSSKASSNTVKAMVSKVASQLNMEDNYKQILRGITGSIGPGEILALMGPSGSGKTTLLKVIGGRILDNVKGKITYNDIPYNQALKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPSSMSRQQKYARVEMIVKELGLERCRHTRIGGGFIKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANRLLLILQGLAKGGRTIITTIHQPSSRMFHSFDKLLLISEGYPVYYGKGAESLEYFSSLRFIPEIPMNPAEFLLDLATGQVNDISVPEDLVVPQGQGTLDSEKAVVRYLQLKYKTQLEPKEKGENHGTTKVPDHLRVAIQAKKDWTITWCEQFMILFKRTFRERCRDYFDKLRLVQAVGVAVLLGLLWWKSKTDTEAQLRDQVGLMFYICIFWTSSSIFGAVYVFPFEKVFLVKERKADMYRLSVYYVCSTLCDMMAHVFYPTFFMLIVYFMAGFIRTVPCFFLTLFSVLLVAVTSQGAGELFGALVLSIRRAGMVASLILMLFLLTGGYYVQHIPKFMQWLKYLSFMYYGFRLLLKVQYSGDQLYECESEGGCRSLQSSPTFDMVDLNGGMEEVWILLAMAIGYRICAYFCLRRRISISNM >ONI05265 pep chromosome:Prunus_persica_NCBIv2:G6:30618208:30620693:1 gene:PRUPE_6G364800 transcript:ONI05265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLHDQLTLLQDLKVTVHHSCLVFPSQQTQKKTVFLSNIDQVLKFDVQTVHFFGAHKDFPESQIVAEKVKDALAKILVPYDFLAGRLKLNPNTSRLEIDCNAAGAGFVVASSEYALDEIGDLVYPNSAFAQLVCTAMDSRILNPAAGDDRPLFILQVTTFKCGGFAMGISNNHATFDGLSFRLFLDNLAAVAANKPLVAIPYNDRHILAARSPPHAPFLHPELQELKNMSTLDDLNPTVFEATQEELDFNIFRLTATDVARLKDKAKSTTASSSFNVVTALIWRCKALSSSSSSDDDEDVNYKRAASSSSSSRESRILYAVDIRPRLKPPLPKAYTGNAVLTAYASAKCRELEEVPFSRMVEMVSEGATRMTDEYARSVIDWGELHKGFPHGEVLVSSWWRLGFADVEYPWGKPRYSCPVVYHRKDIILLFPEQRDMHEYSSSATTTSTTTMAGRAGGAGVNILVALPHKEMQKFQALFHKFLLDD >ONI05199 pep chromosome:Prunus_persica_NCBIv2:G6:30466737:30469971:-1 gene:PRUPE_6G361700 transcript:ONI05199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTTGAGLLNTAARLSFFHKSHHHYPLLFSNSPSLRFSPTSLISNPTSFASLPARLSLSFNASTMADAPDAGMDAVQRRLMFEDECILVDENDRVVGHDTKYNCHLMEKIESENLLHRAFSVFLFNSKNELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIDENSLGVRNAAQRKLLDELGIPAEDVPVDQFIPLGRILYKAPSDGKWGEHELDYLLFTVRDVNVHPNPDEVADIKYVNQEQLKELLRKADAGEEGLKLSPWFRLVVDNFLFKWWDNVEKGTIKEAADMKTIHRLT >ONI05200 pep chromosome:Prunus_persica_NCBIv2:G6:30467166:30469879:-1 gene:PRUPE_6G361700 transcript:ONI05200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTTGAGLLNTAARLSFFHKSHHHYPLLFSNSPSLRFSPTSLISNPTSFASLPARLSLSFNASTMADAPDAGMDAVQRRLMFEDECILVDENDRVVGHDTKYNCHLMEKIESENLLHRAFSVFLFNSKNELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIDENSLGVRNAAQRKLLDELGIPAEDVPVDQFIPLGRILYKAPSDGKWGEHERNIPTLVDCTLFLFNTLDEAPCFKTVMFNLSVVFFSCLQLITFSSRSEMLMCIQTLTK >ONI05198 pep chromosome:Prunus_persica_NCBIv2:G6:30466737:30469879:-1 gene:PRUPE_6G361700 transcript:ONI05198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTTGAGLLNTAARLSFFHKSHHHYPLLFSNSPSLRFSPTSLISNPTSFASLPARLSLSFNASTMADAPDAGMDAVQRRLMFEDECILVDENDRVVGHDTKYNCHLMEKIESENLLHRAFSVFLFNSKNELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIDENSLGVRNAAQRKLLDELGIPAEDVPVDQFIPLGRILYKAPSDGKWGEHELDYLLFTVRDVNVHPNPDEVADIKYVNQEQLKELLRKADAGEEGLKLSPWFRLVVDNFLFKWWDNVEKGTIKEAADMKTIHRLT >ONI00115 pep chromosome:Prunus_persica_NCBIv2:G6:4697613:4697903:1 gene:PRUPE_6G068300 transcript:ONI00115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKTICFCIPAGRKHKDNEEKHDESSHSNSRRSRKSRGHVVATGTSDHGEGNDGDTTAAASAPTMAAAAVMMSTAHMSTMDGDGGGGSAHGGGDG >ONI03912 pep chromosome:Prunus_persica_NCBIv2:G6:26817287:26822695:-1 gene:PRUPE_6G290600 transcript:ONI03912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRFEAIELQKLRKTATRRCRNCLTPYRDQNPGGGRFMCSYCGHISKRPVLDLPVLPGMGLSNSGIIKELVGKGGKILNGKVWSENGWMCGQDWLENGNWVSGSIAGKSSYWRKDGSSFFGGDENCLAEKSYSGVVIFACKLLTSFFLSVRWLWRKVFRISTSGEDDASDEHKGMLAKRGENGTNLNESRGEKARRKAEEKRQARIEKELLEEEERKQREEVARLVEERRRLRDEKKEAERDRGKTSPPAREKDNKKEAEKKRQERRKEKDKGSSKSNSDVEELEKKAGKESERKRDFDKKSDIDRREHLKSGVDFLKGQSTETAQSIKNASATNFDRGNAGSRYLDRMRGTIFNSSKAFSGGSFFGKGANTTVTKETKSSISADQVHSHAHKRDLCPPDRIAVRPLMNGDDKSIHRPVNSEPQPGTAPKKSWQQLFTRSSSVPSSSSANVISRPNSMFQTEVQSPQLSGQSSSMQSFDNPINFGLPSPFTLSTTYPKESSTSLGFSPAIEPMFPRIGEGHHELIPEEPELFEDPCYVPDPVSLLGPVSESLDNFQLDMGAGFVKDMGLERPRTLKNGSASSEVNKPSPIESPMSREKHNNSNRFPSTPKAQDMHALPLDDANANDKGTWQMWNSCPLGQEGLGFAGGPPSWLLPPELNRSNKDDLMHPSSQKPMVSLFATEDQGISGSHSPQSRSIFLGNGQNGGAFSPVTGSSDHDPWSQKAFFPPLSTAENHYPLKPPDETTKNDLIFGSPRRSTTNHPFEMSPANCWSKKEWDECVAVQGTGEGVGKPSALRPHIRGLYPTPDVQSLW >ONI03913 pep chromosome:Prunus_persica_NCBIv2:G6:26817567:26822687:-1 gene:PRUPE_6G290600 transcript:ONI03913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRFEAIELQKLRKTATRRCRNCLTPYRDQNPGGGRFMCSYCGHISKRPVLDLPVLPGMGLSNSGIIKELVGKGGKILNGKVWSENGWMCGQDWLENGNWVSGSIAGKSSYWRKDGSSFFGGDENCLAEKSYSGVVIFACKLLTSFFLSVRWLWRKVFRISTSGEDDASDEHKGMLAKRGENGTNLNESRGEKARRKAEEKRQARIEKELLEEEERKQREEVARLVEERRRLRDEKKEAERDRGKTSPPAREKDNKKEAEKKRQERRKEKDKGSSKSNSDVEELEKKAGKESERKRDFDKKSDIDRREHLKSGVDFLKGQSTETAQSIKNASATNFDRGNAGSRYLDRMRGTIFNSSKAFSGGSFFGKGANTTVTKETKSSISADQVHSHAHKRDLCPPDRIAVRPLMNGDDKSIHRPVNSEPQPGTAPKKSWQQLFTRSSSVPSSSSANVISRPNSMFQTEVQSPQLSGQSSSMQSFDNPINFGLPSPFTLSTTYPKESSTSLGFSPAIEPMFPRIGEGHHELIPEEPELFEDPCYVPDPVSLLGPVSESLDNFQLDMGAGFVKDMGLERPRTLKNGSASSEVNKPSPIESPMSREKHNNSNRFPSTPKAQDMHALPLDDANANDKGTWQMWNSCPLGQEGLGFAGGPPSWLLPPELNRSNKDDLMHPSSQKPMVSLFATEDQGISGSHSPQSRSIFLGNGQNGGAFSPVTGSSDHDPWSQKAFFPPLSTAENHYPLKPPDETTKNDLIFGSPRRSTTNHPFEMSPANCWSKNGMNA >ONI04078 pep chromosome:Prunus_persica_NCBIv2:G6:27338363:27343981:-1 gene:PRUPE_6G301200 transcript:ONI04078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMGLLLFLAFCSAGIHVIFSETNSRDAAVFISLKGAWTNLPPSWNDKSNDPCGMNWEGVTCHNSRVTALRLSAMDLKGQIEGDIGGLSELISLILAGCGFSGNIPEELGNLGELTFLALNTNKFTGQIPASLGNLSNLIWLDLVDNQLTGTLPISTPVTSGLDKLLKAEHFHFSKNQLSGTIHPKLFSSEMKLIHILFDGNQFIGEIPSTIALVQTLEVLRLDRNALTGNVPSHISNLKHVSELNLAHNKLTGPLPDLTGMRSLHTVDLSNNSFDPSEAPRWFSNLTSITTIVLEFGALEGTVPEKMFNIPTLQQVKLKNNSFNDTLNFGDSISPQLQLVDLQNNQIPKINPPSEYKHTLLLVGNPVCTNGTSSNSFCQLPQQDAETYTTSSNCACITCPDNQKLSPQSCQCAYPFEGTLYFRAPSFRELSNVNTFHSLEMSLWDKLGLTPGSVSLENPFFDINDYLQIHLALFPPTGIYFNRSEIIRIGFDLSNQTYKPPEEFGPYYFIPAPYTFPGGNKSSMSTGVIIGISVCCVVLVLGLVVVGIYAIRQKKRAERAIGLSRPFASWAPSGKDSGGAPQLKGARCFSFDELKKCTNNFSDSNEIGSGGYGKVYRGMLSDGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVCLLGFCFEQGEQMLVYELMPNGTLKESLSGRSGIHLDWKRRLRITLGSARGLAYLHELANPPIIHRDVKSTNILLDEHLTAKVADFGLSKLVADSGKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITARQPIEKGKYIVREVRLMMDKNDEEHYGLRELMDRSIRNSGTLTGFGRFLELALQCVEESAADRPTMSELVKAIETILQNDGMNTNSTSASLSATEFAASKGAPKHPYNDGLPKKEVNDSTRAFDYSGGYAVSAKIKPK >ONI02403 pep chromosome:Prunus_persica_NCBIv2:G6:20389671:20395970:1 gene:PRUPE_6G196200 transcript:ONI02403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSHAAIAPRLAALHHHRASHGLSHPSSPSLRLPASNGVAKKSRVPFAQSKSHNQDIDFSDPDWKSKFQRDFERRFNIPHITDVFPESVPIPSTFCLRMRTPVIEDFAGGYPSDEEWHGYINNNDRVLLKTIYYSSPTSAGAECIDPNCTWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPYGYRGFSDKELAEMPLSRKVVQNVHLSGGSLLGVSRGGPSVSEMVDSLEERGINMLFVLGGNGTHAGADAIHNECRKRRLRVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAISSAYIEAHSAYHGVGIVKLMGRSSGFIAMHASLSSGQIDICLIPEVPFQMHGPHGILHHLKYLIQTKGSAVVCVAEGAGQNLLQKTNATDASGNVVFGDIGVHIQQETKKYFKEAGVPVDVKYIDPTYMIRACRANASDGILCAVLGQNAVHGAFAGYSGITVGTCNTHYVYLPIPEVISHPRLIDPNSRMWHRCLTSTGQPDFV >ONI00828 pep chromosome:Prunus_persica_NCBIv2:G6:7554700:7557049:1 gene:PRUPE_6G106300 transcript:ONI00828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVELPRKNPTRAGSEKLTNITTVENAFNVFDRMLQKRPLPSLVRFTQILTQVAKLKHYSAVISLYNQMGFSLSVLGKFFKLGLEPNVLTFNTLINGYLLEHRVAEAAALFHKMMRAGNWKPNVVTFGTLIMGFCMRGNNCAAIQLLRKMEERPCKPDLVAYSRIIDSLCKDTQVVDALNLFSEMISKGIAPNVITYNSLMNGVCKLGEWKEATRLLNETVSKNIVPDVFTFKVLVDTLCKEGMVVEAEGVVEMMIQRDIEPDMVTYNSLMDGWLLFARRNR >ONI05240 pep chromosome:Prunus_persica_NCBIv2:G6:30559157:30560494:1 gene:PRUPE_6G363700 transcript:ONI05240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSSLFSWYLSLSLPPEGQKPSNREITKGEGEVWSAIGISSQGVNKKCRRQIKSMNARDQISTLCSQGIHSSIHLTINMMHSAAPITMNF >ONI04817 pep chromosome:Prunus_persica_NCBIv2:G6:29456869:29459969:1 gene:PRUPE_6G341000 transcript:ONI04817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGVPAEQLHQFIAASRTSLPLPLPLPLPLSSFPAALHASSSPPPNINTFSAAAAAAAAPFDPNYNNNPSHHHLHHHHHHHQLLLQPQPQPQPHQHQLLNNPGVQLHRQSATPKNHEEKKESNLVSINNNLEIERERSSSISQVPISDPWSNDELLALLRIRSTMDNWFPEFTWEHVSRKLAELGFKRSAEKCKEKFEEESRYFNNINFTKNYRFLSDLEELCHGGDDQNPDQAAAGAENKNQQKVEKPSNNEGDEDSRCQILDEDSTRNETVAGSKEFDDQDKEKEVVERTKSNVVRKRKRQRRFEMLKGFCEDIVNRMMAQQEEMHSKLLEDMVKRSEEKLAREEAWKKQEMDRMNKELEIMAHEQAIAGDRQTTIIKFLKKFASSSSSSTSSEPSPDHDHRTNSSSLINHARNPNHPTCSQEKEPASSTISQKPGTSSHTPNNPSTPISLTESLAPQSPSSSTLAPTPTIPKVPIPPENPSSDHLNTQNLTSNEDKQDLGKRWPRDEVLALINLRCSLFNNGSADQDKNGVVKAPLWERISQGMLEKGYKRSAKRCKEKWENINKYFRKTKDVNKKRSLDSRTCPYFHQLSTLYNQGILVSPSDHIQGPDDQTRSASPENQSLASPVVPHTGLDSSDQGRSSADDDLSKHNIIGEGEKNNTVQPVPAAAFDFEF >ONI04160 pep chromosome:Prunus_persica_NCBIv2:G6:27618622:27626284:1 gene:PRUPE_6G306100 transcript:ONI04160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMVGRDEIESLRIELEEFGRSLRLSFQSRSSSFRGNSVLSSAKDDSDAQYAEHWAAIERLPTFERLKSSLFDQGEDENEADGEGKRVVDVTKLRALERHLFIEKLIKHIENDNLRLLRKIRNRIDRVGVELPTVEVRYKDLFVEAVCEVVHGKPLPTLWNSVKSMLSVFAKFPGLKSREATISIIKDFRGTIKPGRLTLLLGPPGCGKTTFLKALSGNLDKSLKWSGEVTYNGHKLGEFVPQKTSAYISQLDVHIPEMTVRETLDFSARCQGIGSRADIMLEVSKREKEAGIIPDPDVDTYMKAISVQGLKRTLQTDYILKILGLDICADTLVGDAMRRGISGGQKKRLTTAEMIIGPTKALFMDEITNGLDSSTAFQIVACLQQLVHITDATLLVSLLQPAPETFDLFDDLILMSEGKIVYHGPRDHVLEFFEDCGFKCPERKGVADFIQQVISKKDQSHYWYHAEPHNYVSIDMFSKKFKTSSFGKKLDEDLIQTDDEPQNHKNSLSFSVYSLSKWELFRACASRELLLMRRNSFIYVFKTVQLITIAFITMTVFLRTRMDVDVLHGNYYMGALFFALIILLVDGIPEMSMTLQRLEVFYKQRDLCFYPAWAYAVPATLLKVPVSFVEALVWTSLTYYVIGYSPEAQRFFCQFLLLFAVHLTSLSMFRFLASFFQTMVATMTAGSFAILFLLLFSGFVIQKPSMPAWLEWGFWVSPLTYGEIGLSVNEFLSPRWQKMLSANTTIGREVLGSRGLNFDGYLYWISLGALFGFSIVFSIGYTLSLSFLKSPGSSRGIISHEKLSQIHRADDYLSDANVEKKLTNHPPKTTNKGRMVLPFTPLTLVFQDVQYYVIPSETRERGFNQKKLQLLSDVTGAFSPGVLTALMGVSGAGKTTLLDVLAGRKTSGYTEGDIKIGGYPKVQETFARILGYCEQTDVHSPQITVEESLIFSAWLRLASQIDSKTKAEFVNEVLETIELDGIKDALVGIPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTTGLDARAAAIVMRAVKNVANTGRTIVCTIHQPNIDIFEAFDELILLKTGGRIIYSGPLGQHSSRVIEYFQSIPGVHKIRDNYNPATWMLEVTSTSAEAELGVDFAQIYRKSSLHENNIELVRQLSTPPPGSRDLYFSTRFSQNGWMQFKSCLWKQNLSYWRSPPYNLMRIMHTVVSSIIFGALFWNQGQHITNQQNLFNILGSMYAGVLFLGINNCSTVLQYVATERTVMYREKFAGMYSPWAFSLAQVAVEVPYLFIQAVIFLIITYPMIGYYGSAYKVFWYFYAVFCSLMYHNYMGLMLVSLTPNFMIAAILSSVFYTLYNLFAGFLIPRPQIPKWWIWLYYLTPTSWSLHGLLTSQYGDINKEVIVFGENKTVSDFLKDYFGFHHDQLALVAVVLIAFPIAFASIFAFCIGRLNFQRR >ONI03594 pep chromosome:Prunus_persica_NCBIv2:G6:25542805:25543421:-1 gene:PRUPE_6G267500 transcript:ONI03594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVDLEQGSHRRDLSADGSVCFSDADDGSCYSQFYSTNGGSYDEYNFAFVSDPEAGVVSDSRRASSVSDGSVQLEIEIGPPEIKVHLDKVERDCRICQLGLESNSHESGVPIELGCSCKDDLAAAHKHCADTWFKIKGNNNSGEEGIEPSNSDA >ONI03592 pep chromosome:Prunus_persica_NCBIv2:G6:25540852:25544126:-1 gene:PRUPE_6G267500 transcript:ONI03592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVDLEQGSHRRDLSADGSVCFSDADDGSCYSQFYSTNGGSYDEYNFAFVSDPEAGVVSDSRRASSVSDGSVQLEIEIGPPEIKVHLDKVERDCRICQLGLESNSHESGVPIELGCSCKDDLAAAHKHCADTWFKIKGNKTCEICQSVARNVVGPNDIEFTEQLSEANSASTTAAVSAPGPSTDTQSFWHGHRFLNFLLACMVFAFVISWLFHFNVPS >ONI03591 pep chromosome:Prunus_persica_NCBIv2:G6:25540008:25544126:-1 gene:PRUPE_6G267500 transcript:ONI03591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVDLEQGSHRRDLSADGSVCFSDADDGSCYSQFYSTNGGSYDEYNFAFVSDPEAGVVSDSRRASSVSDGSVQLEIEIGPPEIKVHLDKVERDCRICQLGLESNSHESGVPIELGCSCKDDLAAAHKHCADTWFKIKGNKTCEICQSVARNVVGPNDIEFTEQLSEANSASTTAAVSAPGPSTDTQSFWHGHRFLNFLLAC >ONI03593 pep chromosome:Prunus_persica_NCBIv2:G6:25542805:25543421:-1 gene:PRUPE_6G267500 transcript:ONI03593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVDLEQGSHRRDLSADGSVCFSDADDGSCYSQFYSTNGGSYDEYNFAFVSDPEAGVVSDSRRASSVSDGSVQLEIEIGPPEIKVHLDKVERDCRICQLGLESNSHESGVPIELGCSCKDDLAAAHKHCADTWFKIKGNNNSGEEGIEPSNSDA >ONI00703 pep chromosome:Prunus_persica_NCBIv2:G6:7067513:7069501:-1 gene:PRUPE_6G101600 transcript:ONI00703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDVEGAEHGEFAAKDYHDPPPTPFFDPEELTKWSFYRALIAEFVATLLFLYITVLTVIGYKSQSSGDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLPRAVLYIVAQSLGAICGVGLVKAFQKTYYEEYGGGANELAAGYNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFIVHLATIPITGTGINPARSFGAAVIYNKDKAWDDQWIFWLGPFIGAAIAALYHQYILRAGAIKALGSFRSNA >ONI04913 pep chromosome:Prunus_persica_NCBIv2:G6:29742867:29744113:-1 gene:PRUPE_6G347900 transcript:ONI04913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRKRKTGPQRLEELSNPRKIKLDMDAFGVSSTPGALFLMASTSSAKKKNNPADSSSLRQVTVSSAHDVVVALETRDERKFSFRVPETVNDGDYMSIRSQIHTCNACYQRNDVIATNPRDLTPPPPPAEDLPPYQHFRIM >ONI01040 pep chromosome:Prunus_persica_NCBIv2:G6:8671521:8671976:1 gene:PRUPE_6G118200 transcript:ONI01040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVCDWGVQALEAVKSKDVDNGTLSQLEELIENRRDALFSPLHAAGYILNPRYFGRGQTRDKTVMRGWKATLDRYEYESADRRVLREQLNSYWRLEGSFGD >ONI01039 pep chromosome:Prunus_persica_NCBIv2:G6:8665207:8666433:-1 gene:PRUPE_6G118100 transcript:ONI01039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDWTVSDFLTEQGWNTDWLMGCLPLHVVQKIHCIFAGFNHTEADSCIWQLTSNGEFSVKTAYLSLFTEETNYTWNWDMIWKLQVPPKIKTFLWLLIQGKLLTNVQRVRRNLASNSNCPCCNGSMESLDHLFRRCRHATKMWNSIGIPNQVAHSFSMDFKDWLFTNIKASFSCMQGIPWSSLFLAALWFCWKWRCKKVFDLNFSPPPWPHIPIIHFSREWLVANRSRNSKLPKHVLKLHWSPPCAGWFKINVDGSCMGELGAISAGGIIRNDAGVWVKGFVTKLGCGSILEAELWGVFRGLLLTWNEGIRRIQMECDSLTAVSLINGETGTNHPLSSIIHCCKDLLLRDWECTIYHIYREQNSAADHMAHLGQNSSLGFHVIDLPPPSIVSLLANDSSRGTTARLVPV >ONI00191 pep chromosome:Prunus_persica_NCBIv2:G6:5025889:5029019:-1 gene:PRUPE_6G073700 transcript:ONI00191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLLERYSLSLERFNFGHGLASTNLDHPSDSNTYLSSGSDGDTTDISDYNRPVIKYISDILLEEDLEGKPCMLQDCLTLQAAEKSFYDVLNQKDPLSPNQPPLSVHQSFENSDDDSPHSCHNSNASIAAKTNWVFDPSETSKVQSSLVQSLPDPGLDSDSLSEMQRLEYFGGLREASKFLPNVKFETIDLKGSQLMPPGLDQWPQATNTLMRRPDNDDYSSTNRSKGKKNHQRDDGDYPEEGRSNKQPVAFADDSEPQEMFDEVLLCLGNHEFELCSNDESLITEGSGKLQHKKQSKGSKTAGSKKQNNNRELVDLSTLLTQCAQAVASYEQRTASELLKQIRQHSSPYGDATQRLAHYFADGLEARLAGARTPSYSPLISMQISAAEILKAYEVFVTSSPFRTVSNFLANRTILKLAEKATRLHVIDFGISYGFQWPCFIQRLSKRPGGPPKLRITAIELPQPGFRPTEKVEETGRRLKKYAERFNVPFEYNVIAQKWETIQFEDLKIDRNEMIVVNCMNRLRHIPDETVMVNSPRDIVLKLIKKINPDLFIHGVVNGTYNSPFFVTRFREALFHFSALFDVFEASVPREDERRLMFEKAVYGKDILNVVACEGLERVERPETYKQWQVRNVRAGFKQLPLDQEILKKVERMLKFMGYHNDFRIDEEGHWILQGWKGRTIMALSFWKKA >ONI02482 pep chromosome:Prunus_persica_NCBIv2:G6:20926707:20931122:1 gene:PRUPE_6G201400 transcript:ONI02482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGLNVMMKSNFQEWNVPKSRHQRLLKSALQRQTSEGELSDLWTPLANQSLKPCAESSIATSLPEKSEGFIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWRDSSSFMDIFDVDHFINVLKDDISIVKELPSDFSWSTREYYATAIRATRVKTAPVHASANWYLENVLPVVQSYGIAAIAPFSHRLTFDNLPMDIQRLRCKVNFQALVFVPHIRALGDALISRLRNPSGKSGAVGTNYLQEMPDVKNKQGAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRRLFPLMEDKKSLASSEERAQIKGKASLLAAADYYVSMHSDIFISASPGNMHNALVGHRTYENLKTIRPSMSLLGQLFLNKSISWSDFQQSVVEGHQNRQGQIRLRKPKQSIYTYPAPDCMCQA >ONI02483 pep chromosome:Prunus_persica_NCBIv2:G6:20926707:20931116:1 gene:PRUPE_6G201400 transcript:ONI02483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEWNVPKSRHQRLLKSALQRQTSEGELSDLWTPLANQSLKPCAESSIATSLPEKSEGFIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWRDSSSFMDIFDVDHFINVLKDDISIVKELPSDFSWSTREYYATAIRATRVKTAPVHASANWYLENVLPVVQSYGIAAIAPFSHRLTFDNLPMDIQRLRCKVNFQALVFVPHIRALGDALISRLRNPSGKSGAVGTNYLQEMPDVKNKQGAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRRLFPLMEDKKSLASSEERAQIKGKASLLAAADYYVSMHSDIFISASPGNMHNALVGHRTYENLKTIRPSMSLLGQLFLNKSISWSDFQQSVVEGHQNRQGQIRLRKPKQSIYTYPAPDCMCQA >ONI03942 pep chromosome:Prunus_persica_NCBIv2:G6:26962527:26966586:1 gene:PRUPE_6G293300 transcript:ONI03942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFTVLKSKKKKSEQSIYIKRVPNHKEQTPATLPEPQVQTRSLQSAPPSFRNRVKPIQPVNSRATNNRTRALSAPSTLDAADQEALSSVEYEEPEDSKYRSGTIKEQPSPIPQPLPLPSPQGAAALRTTGSFKSVTTSGPLYASGPLPLPPTGALPAGTLPTGTLRNFLYEEIASACHNFSSDRCMSEGLSSIIYKASFGDDSTSSKKFEATVTRLHPSTQGLKEFINEINTLASLQHPNLCKLLGFHAREGSEQKMLVYERLFHGSLDRLLYGRSDKPPIDWNTRMKIALCAAQGLTFLHEEGPFQAMYNEFSTANIQLDKDFSAKLSGYGCVGHIPEAEISNNSVAVANLSVETLERGMLTPKSNVWSFGIVLLELLTGRRNLDSRHPKEERNLVKWSRPFLADDCRLSLIMDAQLKGRFPAKAARAVADIAQRCLQKDPSERPTMRTVVEHLKIVQEMKYSSRFPLQEPAFAGKHMSRSPSLNGIVTPVPRLSFSPSPPSRARPSISPTRRPALPMSLPPRACSSTLTLEELGRQQSRKSSSAVPRASVEGF >ONH99688 pep chromosome:Prunus_persica_NCBIv2:G6:3184043:3186693:-1 gene:PRUPE_6G043700 transcript:ONH99688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKSNVLMQRYEIGRVLGQGTFAKVYYARSLITNQGVAIKVIDKDKIMKVGLMDQIKREISVMRLVRHPNIIHLYEVMATKTKIYFVIECAKGGELFNKVAKGKLKEDVARKYFQQLINALDFCHSRGVYHRDIKPENLLLDENDNLKISDFGLSALAESKRQDGLLHTTCGTPAYVAPEVINRRGYDGVKADIWSCGVVLYVLLAGYLPFHDPNLMEMYRKIGKAEFRCPNWFSPEARRLLYKMLDPNPNTRVSLAKVKESSWFRKGTNSKNMKSEIENKDVAPISAEASGPSENSSLAAEAKQEPVRPPNLNAFDIISLSAGFDLSGLFEKDSLNREARFTSRQPGPVIISKLEEMAKHLKLKVKKKDDGLLKMDALKEGRMGILSIDAEIFQITPTFHLVELKKSNGDTLEYQKMLEDIRPALQDIVWVWQGEEEQQLQEQQQQLQLQPQEEQLEQHQPQ >ONI02297 pep chromosome:Prunus_persica_NCBIv2:G6:19709442:19719314:-1 gene:PRUPE_6G189000 transcript:ONI02297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIFAVLVLACLCSFALPDSQGEALYSLRTSLNSSPEQLKDWNINQVNPCTWSNVNCDPNNKVTSVALQGNGITGEIPKEFGSLTSLTALNLDENNLTGEIPSSLGNLHELHFLSLNQNNLSGNIPESLSSLTKLINLQLASNALSGQIPQQLFHVPKYNFTGNRLNCGVNFSHRCESDIDDSGSSNKPKLGIIIGVVGGLIGLLFFGGLLYFICKRRHKGYKREVFVDVAGEVDRRIAFGQLKRFSWRELQLATDNFSEKNVLGQGGFGKVYKGVLSDNTKIAVKRLTDYESPGGEAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLREIKPGEPVLDWPTRKQVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDNNLNDSFNIQEVEMMIKVALLCTQGSPEDRPLMSEVVRMLEGEGLAERWEEWQNVEVTRRQEYERLQRRFDWGEDSVYNQDAIELSGGR >ONI02298 pep chromosome:Prunus_persica_NCBIv2:G6:19709780:19717843:-1 gene:PRUPE_6G189000 transcript:ONI02298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIFAVLVLACLCSFALPDSQGEALYSLRTSLNSSPEQLKDWNINQVNPCTWSNVNCDPNNKVTSVTLSNIGFSGILSPKLGALTTLQTLALQGNGITGEIPKEFGSLTSLTALNLDENNLTGEIPSSLGNLHELHFLSLNQNNLSGNIPESLSSLTKLINLQLASNALSGQIPQQLFHVPKYNFTGNRLNCGVNFSHRCESDIDDSGSSNKPKLGIIIGVVGGLIGLLFFGGLLYFICKRRHKGYKREVFVDVAGEVDRRIAFGQLKRFSWRELQLATDNFSEKNVLGQGGFGKVYKGVLSDNTKIAVKRLTDYESPGGEAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLREIKPGEPVLDWPTRKQVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDNNLNDSFNIQEVEMMIKVALLCTQGSPEDRPLMSEVVRMLEGEGLAERWEEWQNVEVTRRQEYERLQRRFDWGEDSVYNQDAIELSGGR >ONH99305 pep chromosome:Prunus_persica_NCBIv2:G6:1928336:1928680:-1 gene:PRUPE_6G024100 transcript:ONH99305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNVNELRDHVDRRKRAQPAIGQRDLRHCWECALSSSVIISCIPTSVMLPAPSDLHLSNTYMILYKFSFKGKQKKEVAVVTN >ONH99304 pep chromosome:Prunus_persica_NCBIv2:G6:1927261:1929189:-1 gene:PRUPE_6G024100 transcript:ONH99304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNVNELRDHVDRRKRAQPAIGQRDLRHCWECALSSSVIISCIPTSVMLPAPSDLHLSNTYMILYKFSFKGKQKKEVAVVTN >ONH99303 pep chromosome:Prunus_persica_NCBIv2:G6:1927263:1929189:-1 gene:PRUPE_6G024100 transcript:ONH99303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNVNELRDHVDRRKRAQPAIGQRDLRHCWECALSSSVIISCIPTSVMLPAPSDLHLSNTYMILYKFSFKGKQKKEVAVVTN >ONI00427 pep chromosome:Prunus_persica_NCBIv2:G6:6024737:6025657:-1 gene:PRUPE_6G088400 transcript:ONI00427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKLKLIFTLFFLQAFLTSPTSIQAAPANSDLFREYIGAEFNDVKFSDVPINPNVEFHFILSFAIDYDPSGSSPTNGKFNVFWDSDNLSPSQVSSIKNQHSNVKVALSLGGDSVSSGSAYFKPSSIDSWVSNAVSSLTSIIQQYNLDGIDIDYEHFSADPDTFSECIGKLITTLKNNGVISFASIAPFDDDQVQSHYLALWKSYGHLIDYVNFQFYAYDQGTTVSQFINYFKTQSSNYNGGKVLASFISDGSGGLSPENGFFTACHRLKSEQQLHGIFVWSADDSKKIGFRYEKQSQALLATPH >ONI02680 pep chromosome:Prunus_persica_NCBIv2:G6:22236784:22239254:-1 gene:PRUPE_6G214400 transcript:ONI02680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLSTKATCNSHGQDSSYFLGWQEYEKNPYHEVQNTKGIIQMGLAENQLSFDILESWLAKNPDAAGFKRSGESIFAELALFQDYHGLPAFKKALVDFMAEIRGNKVTFDPNHLVLTAGATSANETLIFCLANPGEAILIPTPYYPGFDRDLKWRTGVEIVPIHCTSSNGFQITETALQEAYLEAQKRNLRVKGVLVTNPSNPLGTTMTRSELNLLLSFVEDKGIHLISDEIYSGTVFSSPSFTSVMEILKERKCDENSPMWNRVHVVYSLSKDLGLPGFRVGAIYSNDDMVVAAATKMSSFGLISSQTQYLLSAMLSDKKLTKNYISENQKRLKQRQKRLVSGLQKAGISCLKGNAGLFCWVDMRHLLRSNTFEAEMELWKKIVYEVRLNISPGSSCHCTEPGWFRVCFANLSEKTLDLAMHRLKAFVGEYFNVPDTNGRSLTNHSRRRSLTKWVFRLSFDDRSPVPGR >ONI04985 pep chromosome:Prunus_persica_NCBIv2:G6:29878391:29883988:1 gene:PRUPE_6G350500 transcript:ONI04985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVALVSGGKDSCYAMMKCIQYGHQIVAVANLMPADDSVDELDSYMYQTVGHQIVVSYAECMGVPLFRRRIQGSTRHQKLSYRMTLGDEVEDMFFLLNEVKRQIPSVTGVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNGIVAITVKVAAMGLDPSKHLGKEMASLQPYLHKLKELYGINVCGEGGEYETLTLDCPLFVNARIMLDEFQVILHSSDSIAPVGVLHPLAFHLENKAQSCSLGSSDKTHEMYHEKKGFVCEVQGDHPQGCDAACQDDAPVNNLVELAEHKLHISRTQKGDTFSICSRLQDSCTTSTGLQEDLEAVLKKIESLLVENGFGWENVLYIHLYIADMNEFATANDTYVRYITQEKCPFGVPSRSTIELPLLQVGLGSAYMEVFVANDHTKRVLHVQSISCWAPSCIGPYSQATLHTEILHMAGQLGLNPPTMTLCQGGAIDELEKALENSEAVAKCFNCSVSTSAIAFVIYCSTKIPSTERFKIQDKQDAFLKQTRVFNLDKGTNSEAFDPIFLYVLVPDLPKGALVEVKPILFVADDIEEPTGDVKEQSCSSTPGYWGFQHAGWHDSCFQKCVVPGKLCTVILSVSSEPAATICHDHLVGDKNKGDNQNSLTEWQMDRVSRFCIYLLDKIITESGFSWDDTMYLRFYFPISLQVPANALSLMFTNAFDELAAMGRIIRTGKEPIFNLVPVLGAGRSSASMDDIITCELLARKS >ONH99883 pep chromosome:Prunus_persica_NCBIv2:G6:3917924:3921952:1 gene:PRUPE_6G055600 transcript:ONH99883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFRSLIQVSATARSATKPRISDPFSYTLLSRFSSEPAPIHETPAPQPPTQYSGLGPTKPGEKPRVVVLGTGWAGCRLMKGLDTDIYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPAISREPGSYFFLSNCVGLDPDKHLVQCETVTDGAEPLKPWKFEISYDKLVIALGAKPTTFGIQGVEEHAIFLREVYHAQEIRRKLLLNLMLSDVPGVSEEEKSRLLHCVVVGGGPTGVEFSGELSDFIQRDVRQRYSHVKNYIHVTLIEANEILSSFDDRLRHYATKQLTKSGVRLVRGIVKDVKAQKIILNDGTEVPYGLLVWSTGVGPSPLVNSLPLPKAPGGRVGVDEWLQVPSVQDVYSIGDCSGFVESTGKPTLPALAQVAERQGKYLANLLNKIGKAGGGRANGAKEYKYEDPFVYKHLGSMATLGRYKALVDLRQSKDGKGLALAGFTSWFIWRSAYLTRVLSWRNRFYVAINWATTFVFGRDITRI >ONI01277 pep chromosome:Prunus_persica_NCBIv2:G6:10262404:10263114:1 gene:PRUPE_6G131300 transcript:ONI01277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKLLVLQQVSASNGKFSSKQMKKSREREREREREREILDALVVSLLVVISLSGAFPVLK >ONI03240 pep chromosome:Prunus_persica_NCBIv2:G6:24323768:24329367:1 gene:PRUPE_6G246600 transcript:ONI03240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVVKVGSLISQGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKMVRINVNGVDANFHMYLDNSGEAYFVKEVDSGKGSETNGVVKDSVTLEPTQEESGLEIDNQNNGNENVLEIRRLEHSVSDSMVVQLRDERNSLGANLIQRAESDSDRRYYEYKDEQSSLEGSVELSEYGSSRYDNLDSNHIVESQNLNSEVILVSVDGHVLTAPISASELSTEDVQDTPRFNLGPGEETDFCEGNEEFSSGETAWAADYICKLNESTTNASGNVCGVQNEDNVICRQLEVDEGGRGLACQAQETQKTSRQERDLQMHRDSEDASTNKADVFKSCLGLEEMAKRGGKADVEDMGSSLEVQNSPEKSNQTLPDPVVDRTEDASVIELRNDNELSASCGSVSPGNNMSPRVQVGSESVEKIVSSLEQMSIESISVHSVSNDPDWKDEQCVTSAAVDETESSQQIPATGDECSKNELIEPPTESSSEETQVHSSIRFEISLCGNELRVGMGVKAAAEAFAARRVSAQDFITSATSILKNENLIIRYRERYFLWEKAAPVVLGMAAFDLDLPVAPEDAIPVEQDGSEKPRDEDSGMPSTPTGRRWRLWPIPFRRVKTLEHTSSNSSNEDEFVDSESGLQNSQLEATPESPQKQFVRTNVPTNEQIASLNLKEGQNMITFSFSTRVWGTQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVARLFCAIKENGYQLLFLSARAIVQAYLTRSFLVNLKQDGKALPNGPVVISPDGLFPSLYREVVRRAPHEFKIACLEDIKKLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHHRADVKTYTSLHTLVNDMFPPTSLVEQEDFNSWNYWKVPLPDIE >ONI03241 pep chromosome:Prunus_persica_NCBIv2:G6:24323768:24329367:1 gene:PRUPE_6G246600 transcript:ONI03241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKTHLDSILAQVKRPTSVKAMRSLVQNEDNVICRQLEVDEGGRGLACQAQETQKTSRQERDLQMHRDSEDASTNKADVFKSCLGLEEMAKRGGKADVEDMGSSLEVQNSPEKSNQTLPDPVVDRTEDASVIELRNDNELSASCGSVSPGNNMSPRVQVGSESVEKIVSSLEQMSIESISVHSVSNDPDWKDEQCVTSAAVDETESSQQIPATGDECSKNELIEPPTESSSEETQVHSSIRFEISLCGNELRVGMGVKAAAEAFAARRVSAQDFITSATSILKNENLIIRYRERYFLWEKAAPVVLGMAAFDLDLPVAPEDAIPVEQDGSEKPRDEDSGMPSTPTGRRWRLWPIPFRRVKTLEHTSSNSSNEDEFVDSESGLQNSQLEATPESPQKQFVRTNVPTNEQIASLNLKEGQNMITFSFSTRVWGTQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVARLFCAIKENGYQLLFLSARAIVQAYLTRSFLVNLKQDGKALPNGPVVISPDGLFPSLYREVVRRAPHEFKIACLEDIKKLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHHRADVKTYTSLHTLVNDMFPPTSLVEQEDFNSWNYWKVPLPDIE >ONI04212 pep chromosome:Prunus_persica_NCBIv2:G6:27791010:27791534:-1 gene:PRUPE_6G309300 transcript:ONI04212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLFFLVINITPSLAQDTQQLIDHICRQMEEFGFCSQTFKENLKSPNADIVALTQITIERATDNATKTHDFIRQVLDNTNDPALKNALRECENAYRVLMESFDSAALSFFQKDYDSVQRYETVTPRAEASCEDSLSTPPNTQNPLTNKNRQMRILIAMALVSLHDLMATQHN >ONH99182 pep chromosome:Prunus_persica_NCBIv2:G6:1154260:1158321:1 gene:PRUPE_6G016000 transcript:ONH99182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPHIGNTDDQESRQCFLAGLVVRSLSISTSNWRCAETLGDYLAERNIMGIYDIDTRVITRRLRQDGSLIGVLSTERTKTDEELLEMSRSWDIVGVDLISGVSCKAPHDWVDKTNTEWEFNSSKRGGEAFRVIAYDFGIKHNILKRLASYGCKITVVPSTWPASETLKMKPDGVLFSNGPGDPSAVPYAVETVKEIIGKVPAFGICMGHQLLGLALGGKTFKMKFGHHGGNHPVRNLCSGHVEISAQNHNYAVDSSSLPEGVEVTHVNLNDGSCAGLAYPAQNIMSIQYHPEASPGPHDSDYAFREFIQLMKKVKVNSRVMAALSFI >ONH99184 pep chromosome:Prunus_persica_NCBIv2:G6:1156328:1157958:1 gene:PRUPE_6G016000 transcript:ONH99184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYFNFAGVDLISGVSCKAPHDWVDKTNTEWEFNSSKRGGEAFRVIAYDFGIKHNILKRLASYGCKITVVPSTWPASETLKMKPDGVLFSNGPGDPSAVPYAVETVKEIIGKVPAFGICMGHQLLGLALGGKTFKMKFGHHGGNHPVRNLCSGHVEISAQNHNYAVDSSSLPEGVEVTHVNLNDGSCAGLAYPAQNIMSIQYHPEASPGPHDSDYAFREFIQLMKKVKVNSRVMAALSFI >ONH99179 pep chromosome:Prunus_persica_NCBIv2:G6:1154260:1158572:1 gene:PRUPE_6G016000 transcript:ONH99179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITVAINLALINPSTLFHPKSPNPSKLRAFTLRCSSATSTAVPDLAEIPWKTSDARLVLEDGSIWRAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTDDQESRQCFLAGLVVRSLSISTSNWRCAETLGDYLAERNIMGIYDIDTRVITRRLRQDGSLIGVLSTERTKTDEELLEMSRSWDIVGVDLISGVSCKAPHDWVDKTNTEWEFNSSKRGGEAFRVIAYDFGIKHNILKRLASYGCKITVVPSTWPASETLKMKPDGVLFSNGPGDPSAVPYAVETVKEIIGKVPAFGICMGHQLLGLALGGKTFKMKFGHHGGNHPVRNLCSGHVEISAQNHNYAVDSSSLPEGVEVTHVNLNDGSCAGLAYPAQNIMSIQYHPEASPGPHDSDYAFREFIQLMKKVKVNSRVMAALSFI >ONH99183 pep chromosome:Prunus_persica_NCBIv2:G6:1155005:1158321:1 gene:PRUPE_6G016000 transcript:ONH99183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMPSLSDDQESRQCFLAGLVVRSLSISTSNWRCAETLGDYLAERNIMGIYDIDTRVITRRLRQDGSLIGVLSTERTKTDEELLEMSRSWDIVGVDLISGVSCKAPHDWVDKTNTEWEFNSSKRGGEAFRVIAYDFGIKHNILKRLASYGCKITVVPSTWPASETLKMKPDGVLFSNGPGDPSAVPYAVETVKEIIGKVPAFGICMGHQLLGLALGGKTFKMKFGHHGGNHPVRNLCSGHVEISAQNHNYAVDSSSLPEGVEVTHVNLNDGSCAGLAYPAQNIMSIQYHPEASPGPHDSDYAFREFIQLMKKVKVNSRVMAALSFI >ONH99178 pep chromosome:Prunus_persica_NCBIv2:G6:1154260:1158321:1 gene:PRUPE_6G016000 transcript:ONH99178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITVAINLALINPSTLFHPKSPNPSKLRAFTLRCSSATSTAVPDLAEIPWKTSDARLVLEDGSIWRAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDQESRQCFLAGLVVRSLSISTSNWRCAETLGDYLAERNIMGIYDIDTRVITRRLRQDGSLIGVLSTERTKTDEELLEMSRSWDIVGVDLISGVSCKAPHDWVDKTNTEWEFNSSKRGGEAFRVIAYDFGIKHNILKRLASYGCKITVVPSTWPASETLKMKPDGVLFSNGPGDPSAVPYAVETVKEIIGKVPAFGICMGHQLLGLALGGKTFKMKFGHHGGNHPVRNLCSGHVEISAQNHNYAVDSSSLPEGVEVTHVNLNDGSCAGLAYPAQNIMSIQYHPEASPGPHDSDYAFREFIQLMKKVKVNSRVMAALSFI >ONH99180 pep chromosome:Prunus_persica_NCBIv2:G6:1154260:1158321:1 gene:PRUPE_6G016000 transcript:ONH99180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILHVYTDDQESRQCFLAGLVVRSLSISTSNWRCAETLGDYLAERNIMGIYDIDTRVITRRLRQDGSLIGVLSTERTKTDEELLEMSRSWDIVGVDLISGVSCKAPHDWVDKTNTEWEFNSSKRGGEAFRVIAYDFGIKHNILKRLASYGCKITVVPSTWPASETLKMKPDGVLFSNGPGDPSAVPYAVETVKEIIGKVPAFGICMGHQLLGLALGGKTFKMKFGHHGGNHPVRNLCSGHVEISAQNHNYAVDSSSLPEGVEVTHVNLNDGSCAGLAYPAQNIMSIQYHPEASPGPHDSDYAFREFIQLMKKVKVNSRVMAALSFI >ONH99181 pep chromosome:Prunus_persica_NCBIv2:G6:1154260:1158321:1 gene:PRUPE_6G016000 transcript:ONH99181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILHVYTDDQESRQCFLAGLVVRSLSISTSNWRCAETLGDYLAERNIMGIYDIDTRVITRRLRQDGSLIGVLSTERTKTDEELLEMSRSWDIVGVDLISGVSCKAPHDWVDKTNTEWEFNSSKRGGEAFRVIAYDFGIKHNILKRLASYGCKITVVPSTWPASETLKMKPDGVLFSNGPGDPSAVPYAVETVKEIIGKVPAFGICMGHQLLGLALGGKTFKMKFGHHGGNHPVRNLCSGHVEISAQNHNYAVDSSSLPEGVEVTHVNLNDGSCAGLAYPAQNIMSIQYHPEASPGPHDSDYAFREFIQLMKKVKVNSRVMAALSFI >ONI01100 pep chromosome:Prunus_persica_NCBIv2:G6:9034406:9035593:1 gene:PRUPE_6G121600 transcript:ONI01100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKSNPRVSASMKMKRVSDAMKPQKTAMAKRGSTLKNKAGRLSKLCDIAVYMIAVGADGKIDAWPENQQDLKSVLLKYKNLKRGFKDGIEKGLFSVEKGFLEIVDDRENRDLGIQDNCLWAKLESLNERIGAVTMKMMRDDGKCSVMDLYKNIMEPDAADQQQLSSLNLNLNLHPTDLVVPKPNLIGEINLNLDPVEDYLQLYDLELPEEPQEQPQPQPPSVPSVEISGDDMKLLLSDCEIGLMEDDMQFCDNLDDYEPTVDTIYDFLSWVLGDEDQNDNGAMEDDVQPQSTNHISDDEHDDIRLLLTDCEIGLMQEDLQFCDNDLSDFLAPLETMLLQDPQHG >ONI04043 pep chromosome:Prunus_persica_NCBIv2:G6:27217438:27222348:-1 gene:PRUPE_6G298500 transcript:ONI04043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFLQVVDVAHRAGGESLLGTIKIAVLPIAKVFTVCSLGLLMASKYVNIFPASGRKLLNGLVFSLLLPCLIFSQLGQAITLQKMLEWWFIPVNVVLGSTSGSIIGYIVASIVRPPYPFFKFTIVQIGIGNIGNVPLVLISALCRDKSNPFGDSTTCKTDGTAYISFGQWVGAIILYTYVFHMLAPPPGGTFDIEEGELPIKNPRNGKIPDQVPLLTHDENEEAAHEEEVAETNSNVSHTPKITDFLVFFYEKLKLKQLLQPPIIASILAMVLGSIPFLKKIIFTADGPLFFFTDSCIILGEAMIPCILLALGGNLVDGPGSSKLGLRTTVAIIIGRLVLVPPVGLGIVMLADKLGFLPAGDKMFRFVLLLQHTMPTSVLAGAVANLRGCGREAAAVLFWVHIFAIFSMAGWIVLYLNILF >ONI04041 pep chromosome:Prunus_persica_NCBIv2:G6:27217438:27221966:-1 gene:PRUPE_6G298500 transcript:ONI04041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFLQVVDVAHRAGGESLLGTIKIAVLPIAKVFTVCSLGLLMASKYVNIFPASGRKLLNGLVFSLLLPCLIFSQLGQAITLQKMLEWWFIPVNVVLGSTSGSIIGYIVASIVRPPYPFFKFTIVQIGIGNIGNVPLVLISALCRDKSNPFGDSTTCKTDGTAYISFGQWVGAIILYTYVFHMLAPPPGGTFDIEEGELPIKNPRNGKIPDQVPLLTHDENEEAAHEEEVAETNSNVSHTPKITDFLVFFYEKLKLKQLLQPPIIASILAMVLGSIPFLKKIIFTADGPLFFFTDSCIILGEAMIPCILLALGGNLVDGPGSSKLGLRTTVAIIIGRLVLVPPVGLGIVMLADKLGFLPAGDKMFRFVLLLQHTMPTSVLAGAVANLRGCGREAAAVLFWVHIFAIFSMAGWIVLYLNILF >ONI04042 pep chromosome:Prunus_persica_NCBIv2:G6:27217928:27221338:-1 gene:PRUPE_6G298500 transcript:ONI04042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFLQVVDVAHRAGGESLLGTIKIAVLPIAKVFTVCSLGLLMASKYVNIFPASGRKLLNGLVFSLLLPCLIFSQLGQAITLQKMLEWWFIPVNVVLGSTSGSIIGYIVASIVRPPYPFFKFTIVQIGIGNIGNVPLVLISALCRDKSNPFGDSTTCKTDGTAYISFGQWVGAIILYTYVFHMLAPPPGGTFDIEEGELPIKNPRNGKIPDQVPLLTHDENEEAAHEEEVAETNSNVSHTPKITDFLVFFYEKLKLKQLLQPPIIASILAMVLGSIPFLKKIIFTADGPLFFFTDSCIILGEAMIPCILLALGGNLVDGPGSSKLGLRTTVAIIIGRLVLVPPVGLGIVMLADKLGFLPAGDKMFRFVLLLQHTMPTSVLAGAVANLRGCGREAAAVLFWVHIFAIFSMAGWIVLYLNILF >ONI00335 pep chromosome:Prunus_persica_NCBIv2:G6:5615551:5620427:1 gene:PRUPE_6G083100 transcript:ONI00335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFRHQEPSSSNSSPCRCCYDVFLSFRGKDTRKTFTDHLYAAFVEKGFPTFRDKEEVERGEGIKPELQKAIQQSRSSVIVFSKDYASSGWCLDELVFILEHKRISSDHVVLPVFYDVDPSHLRHQTGRVGEAFSWHEENQDSPNKIKQWRAALREVADLSGMVLKNEADGYESKFVNQIIREIDDKLSRMRTPFTVAPYPIGMDSRVEFINSWLQDGSTDVGILLINGVGGIGKTTIAKFAYNSNYRGFERRCFLEDVRETSKQPNGLVLLQRQFLHHIMSGREVKIHSVSEGNKKIRDAIISKPVLLVLDDVDHMKQIDAIFSMQDWFCPGSKIMITTRCAGLLRDHELSNCSVYNVETLKANESLELFSWHAFRQAHPREDWLKLSEMIADRCGGLPLALQILGSSLSGRTIDVWKSALEKLKAIPNNEILQRLRISYDALQDNPDDQNLFLHIACFFVGKRKDYIVRILDGCDFFTVVGIENLMDRCLVTVDQDEKVITTLPAINRQCPRRIRPDKPLKGLALDMHMHSLNTPWGNSDEEVLETNAFARMSKLRLLHLSHVRFKGCIEEFPKGLRWLCWLEFPLKSIPSDFPLECLVYLEMPHSNLRQVFKGIKYLRSLKTVDLSHSHSLTEISSFLLVPNLERLVLEDCMSLVDVHESIVNLKNLVCLNLKGCRKIRKLPKNLFKLQSLDTLSLSGCSNLKDFLTELRKMESLKVLDVSKIPISQVFMTTTGKVNPSVGRTPNNLWSFLPRGLVHLNLLSCNLLDEAFPKDFGNLPSLKFLDLSKNPICSLPQCIRGLRGLVRLNFSNCKRLQTLEGLPRVRTFIVVGCRMLERVTFQSSSCVPLEYLSMYNPKLVEIKYPYNFVPIARCDAETIKLLGLCNLKSEGITIQMLFP >ONH99427 pep chromosome:Prunus_persica_NCBIv2:G6:2226976:2230320:-1 gene:PRUPE_6G028600 transcript:ONH99427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDGQPDLFIHIIPDKTNNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADKVIVTTKHNDDEQYVWESQAGGSFTVTRDNSGEVLGRGTKITLHLKEDQLEYLEERRLKDLVKKHSEFISYPISLWIEKTTEKEISDDEDEEEKKEEEGKVEDVDEEKEKEEKKKKKIQEVSHEWSLVNKQKPIWMRKPEEITKEEYSAFYKSLTNDWEEHLSVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEYLGFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIEMFQEIAENKEDYNKFYESFSKNLKLGIHEDSQNKTKLAELLRYHSTKSGEEMTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEAKKKEELKEKFEGLCKVVKDVLGDRVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDNSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDDDAVDGDAEMPPLEDADADAEGSKMEEVD >ONI03799 pep chromosome:Prunus_persica_NCBIv2:G6:26368650:26369352:1 gene:PRUPE_6G283000 transcript:ONI03799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASGKIDLEKLILYSDDLVGFLKDKKDLNNLEHSLQHSKALRSSCDADFNEVQNLLQDYEKKVDACKQKTEVAYSEVVADEEIDLLQRELDGVIETESLFMEELR >ONI00015 pep chromosome:Prunus_persica_NCBIv2:G6:4335329:4338057:-1 gene:PRUPE_6G062800 transcript:ONI00015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSRRSRYSYSPSPKRYSRSLSRSVSRSRSRSRSPSVENPGNNLYVTGLSPRITKRELEKHFASEGKVIDVHLVVDPWTRESRGFGFVTMENVDEADRCIKYLDRSVLEGRVITVERARRRRGRTPTPGRYLGLRTIRVRRRTPSYSPPRRSPTYSPYRRSCSQSPHSSDRSRSRSYSPHYRRRRSYSPDYSRHRYYSRSRTPYSRSPVRHHARGYSPYDSREYSPDDSYYGRRHRYREYSPDDSYYGRRHCYRYVSPSVSPRARRRSRRSYSPSISPRPRRSYKRSYSPSVSPRPRRTSRRSYSPYSPSPSPRPRRSSRSIYSPSVSPEPKKKRSGKSYSRSVSPRRRSSISYRESSRRSYLRHRSPSVSASSRYISRSASPSSTSPSS >ONI04442 pep chromosome:Prunus_persica_NCBIv2:G6:28419953:28423851:1 gene:PRUPE_6G321600 transcript:ONI04442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSFQILHMIFIVSFFFSLPNAHIITADALPDAARDFSVHGLQQMSSMEDNIDGIQSLHIGKVSGEDENKENAISVVEKFRALLGLKSFQKKMPSVGDTENVSPSPSPSPFSEAEAPSPAPAPAPVLHIHAHSHPPHRLHSIPKPHTIPREDKGRITRILVAVLVSAGAATVICVLSLIWACRKYKKAKKKPTRAISVYSKKGGARGGSKYGSSQKSASKVSLNPGTTDLMYIESLSMDLEQQQLSCPKETCETVNTSPNLSTARCTLREREESNQELVKSEFDDNASSSSSTREIMSVHEDIAEPIKYESNCANSPTREKVIPIDSYSSEDESFHSFGDSNSSNIRLSNASAGTLGDASDILSTNVSNREPCLAPSFMNLPDQPATPNQNPTPVLDLLSPRNAEHKIPTAPCSFNCEKNFTAPPAPPPPPPPPIIHFPHFHLSSSSARIASKASCSSSTLPNLSSPRQSDSSSGSNQTPEGGFSVSPQNPSGPSETPPPIPPPPCPPPFSKVINSLSRGPPPPPLPQSTPLGKDGTPLPKLKPLHWDKVRAAPDHSMVWDKLRSSSFELDEEMIESLFGYNLQGTMKNDEAKSKSPSPSKHVLEPKRLQNITILSKALNSTAEQVCEALLQGNGLGLQQLEALVRMEPTKEEEAKLSGYKGDINELGSAEKFVKAVLKVPFAFLRVEAMLYRETFEDEVVHLRNSFSVLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGAKAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRAEGIRVSDSIMGRISQKNKIITLEEKEEDYRRMGLDLVSGLSTELYNVKKTATLDLDVLASSVSNLSDGMAKIKHLIHKELCMDEKSGNLISSMKSFINYAEKSLKELQGDENRVLSHVKEITEYFHGNVSKEEANPLRIFVIVRDFLGMLDHVCKELRSSKAARTPNPLAPFR >ONI02675 pep chromosome:Prunus_persica_NCBIv2:G6:22196458:22203172:1 gene:PRUPE_6G214100 transcript:ONI02675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQSELFARTPGSGRALSITPGARILQSPFSDEAIWKRLKEAGFDEESIKRRDKAALIAYIAKLEAEIFDHQHHMGLLIMERKELASKYEEVKASNETTELLHKRDQAAYVSALAEARKREECLKKVVGVKEECISSIEKSMHEMRAESAETKVAAESKLAEARNMVEGAQKKFTEAEAKLHVAESLQAEASRFHRVAERKMQEVEAREDALRRNILSFKTDCDTKEKEISLERQSLCERQKTLQQEQDRLLDAQALLNQREDFIFGRSQELNRLEKELEDVKANIEKERRALDDGKLNLELTEASLVNREEALTRREALLNKKEQEILVLQEKLVSKESDEIRKALASHEVELRKKKFEFDSELDVKRKLFEDEIEAKRRAWELREVDLNQRDDLLQEREHDLEVQLRTLVDREKDVAEMSNLVDEKEKTLRDAEKEFELNNVLLQREKEEIIKMKVELQCSLDSLEDKRKQLDCAREKFEVLKTETSELSDLEMKLKEEIDLVRAQKQELMAEADKLAVEKAKFESEWELIDEKREELQKEAEHVAEERLAFSKFIKDEHDNLRQEKEEMRDQHKRDVELLVSEREDFMNKMVHERSEWFGKMQKERADFLLEIEMRKRELENCIDKKHEELECSLKEKEIAFEQEKKNEFQNINSLKEEAAKEREQVALERKRLETERIEINLDRERRDREWAELNNSIEELRVQREKLKEQRELLHADREEILGQIQHLKELESLKAALDSASVSEMQQSDLVPRSRKTSRRYLKQLTSVREADHNSHNEENVANISNSSIMLKSGFSPSSSARFSWLKRCRELLFKQSPEKHQTEYEENHVISREETSLTVTEQVDTSSKYDGHRYTGNGNSPRFFSKRQNAFGEPKVIVEVPFVGETVKGTHTESEIKEFDGESCSPLISEHVCQGGRKRRVDKSLSNDGFDPLLEPRQNLKKRRQQQDATVNSSEHANTHCIVSTQEKVLEDQNISMPLPSDQICEGAEEGSALIVDKIIKVSEVIFEETGTGSLGNEGKLEAQNSIVEAHHGQNGVFQGAVGQVTEHCQIQAEDTSAKHVQSQ >ONI02676 pep chromosome:Prunus_persica_NCBIv2:G6:22196458:22203172:1 gene:PRUPE_6G214100 transcript:ONI02676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQSELFARTPGSGRALSITPGARILQSPFSDEAIWKRLKEAGFDEESIKRRDKAALIAYIAKLEAEIFDHQHHMGLLIMERKELASKYEEVKASNETTELLHKRDQAAYVSALAEARKREECLKKIEKSMHEMRAESAETKVAAESKLAEARNMVEGAQKKFTEAEAKLHVAESLQAEASRFHRVAERKMQEVEAREDALRRNILSFKTDCDTKEKEISLERQSLCERQKTLQQEQDRLLDAQALLNQREDFIFGRSQELNRLEKELEDVKANIEKERRALDDGKLNLELTEASLVNREEALTRREALLNKKEQEILVLQEKLVSKESDEIRKALASHEVELRKKKFEFDSELDVKRKLFEDEIEAKRRAWELREVDLNQRDDLLQEREHDLEVQLRTLVDREKDVAEMSNLVDEKEKTLRDAEKEFELNNVLLQREKEEIIKMKVELQCSLDSLEDKRKQLDCAREKFEVLKTETSELSDLEMKLKEEIDLVRAQKQELMAEADKLAVEKAKFESEWELIDEKREELQKEAEHVAEERLAFSKFIKDEHDNLRQEKEEMRDQHKRDVELLVSEREDFMNKMVHERSEWFGKMQKERADFLLEIEMRKRELENCIDKKHEELECSLKEKEIAFEQEKKNEFQNINSLKEEAAKEREQVALERKRLETERIEINLDRERRDREWAELNNSIEELRVQREKLKEQRELLHADREEILGQIQHLKELESLKAALDSASVSEMQQSDLVPRSRKTSRRYLKQLTSVREADHNSHNEENVANISNSSIMLKSGFSPSSSARFSWLKRCRELLFKQSPEKHQTEYEENHVISREETSLTVTEQVDTSSKYDGHRYTGNGNSPRFFSKRQNAFGEPKVIVEVPFVGETVKGTHTESEIKEFDGESCSPLISEHVCQGGRKRRVDKSLSNDGFDPLLEPRQNLKKRRQQQDATVNSSEHANTHCIVSTQEKVLEDQNISMPLPSDQICEGAEEGSALIVDKIIKVSEVIFEETGTGSLGNEGKLEAQNSIVEAHHGQNGVFQGAVGQVTEHCQIQAEDTSAKHVQSQ >ONI02179 pep chromosome:Prunus_persica_NCBIv2:G6:18840275:18844666:1 gene:PRUPE_6G181500 transcript:ONI02179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCGDANVEMTKDDTDITHDNSVKNDKKKKSIIPRLFGVKRTGREGSDEDIFKSDGDGIDYHLDLEKKIAARRQAFMEATPIMRKNFSDRQASPGIQSLNLSNFEHSMAPATETKEFRIFVATWNVGGKSPNNGLNLQDFLQVEGSSDVYVLGFQEIVPLSAGNVLVIEDNEPAAKWLALINQALNKPQNACSNVHSSSDSSNQGSNNNTTKESKSPGSLNIFQKPSLKALRKSFKANSSLLKSCNCPVEYPYQERRRIRKLSDTTSESDSAASPRSIYGSDGSVDEFLAIAGIQSPSSSGDMNYRLVTSKKMVGIFLSVWVRKELVHHIGHLRVSTVGRGIMGCLGNKGCISISMTLHQTSFCFVCCHLASGEKEGDELKRNADVTEILKSTQFPKICKNPNRRPCERITDHDRMIWLGDLNYRMALSYEETRVLLEDNDWDTLLEKDQLNIEREAGRVFSGFKEGQIFFAPTYKYSQNSDSYAGETAKSKKKRRTPAWCDRILWRGEGFEQLSYIRGESRFSDHRPVCAVFSVEVDVLRSKNNRFRKGYSCTAPRLEYDECMPQRHSFYEF >ONH99459 pep chromosome:Prunus_persica_NCBIv2:G6:2409982:2412063:1 gene:PRUPE_6G030900 transcript:ONH99459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQEDEAKHVVHLSLPQQLVFVRWNLANQDEQKIVIKVQLTSENCRTKALKIAVEAKGVSNVCIDVDKAEVEVTGVGVDAVSLAESLEKQLGFASIVSVGEVKKPEEPELVILIQWTSSYIHCPHYDGFCRC >ONI03464 pep chromosome:Prunus_persica_NCBIv2:G6:25023270:25024440:-1 gene:PRUPE_6G258300 transcript:ONI03464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMSSPLTIISAEPLKQPVAVGRSSPSLLTTQAGSKSLLWNSRIEKKRALTVVAAIGDVSADGTPYLIAGAAAVALLGTAFPIIFSRKDLCPECDGAGFVRKSGVTLRANAARKDEAQIVCARCNGLGKLNQIDKR >ONH99311 pep chromosome:Prunus_persica_NCBIv2:G6:1942953:1949164:-1 gene:PRUPE_6G024300 transcript:ONH99311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLSLGVLLSAETNRSSFSSKSLKLDKRTKTSISAPRKGKLNPSHKTQKVNLEISPHRAVSAVRLMRIEMGGAFADLLNEKGKGSGANEMGYVGRTLGFRTRDLEDRDLRLVTDIVGGTIRWKRYLDHLISSLCHDANTFTRMEPLLLQILRIGFYEIIKLDMPPYAVVDENVRLAKVALRHGAGNMVNAILRKLVLHMENSSLPLPKLEGDDRTQARALATLHSHPVWMVRRWTKHLGQEEAIRLMMWNNSDPSFSLRANSGKGVSRADLVTKLDVLKVPHELSFYLNDFVRMKTGLQMVIQAGLLKEGLCSVQDESAGLVVSVVDPQPGESIVDCCAAPGGKTLYMASLLSGKGMVHAVDINEGRLRILKETAKLHQVDDVITTIHADFRTFSDNNTMKCDKVLLDAPCSGLGVLSKRADLRWNRKLEDMEQLKNLQDELLDAASLLVKPGGVLVYSTCSIDPEENEERIAAFLLRHPDFCIDPIGRYVPPDFVTEHGFYFSNPVKHFLDGAFAARLSRAI >ONH99310 pep chromosome:Prunus_persica_NCBIv2:G6:1942739:1949178:-1 gene:PRUPE_6G024300 transcript:ONH99310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLSLGVLLSAETNRSSFSSKSLKLDKRTKTSISAPRKGKLNPSHKTQKVNLEISPHRAVSAVRLMRIEMGGAFADLLNEKGKGSGANEMGYVGRTLGFRTRDLEDRDLRLVTDIVGGTIRWKRYLDHLISSLCHDANTFTRMEPLLLQILRIGFYEIIKLDMPPYAVVDENVRLAKVALRHGAGNMVNAILRKLVLHMENSSLPLPKLEGDDRTQARALATLHSHPVWMVRRWTKHLGQEEAIRLMMWNNSDPSFSLRANSGKGVSRADLVTKLDVLKVPHELSFYLNDFVRMKTGLQMVIQAGLLKEGLCSVQDESAGLVVSVVDPQPGESIVDCCAAPGGKTLYMASLLSGKGMVHAVDINEGRLRILKETAKLHQVDDVITTIHADFRTFSDNNTMKCDKVLLDAPCSGLGVLSKRADLRWNRKLEDMEQLKNLQDELLDAASLLVKPGGVLVYSTCSIDPEENEERIAAFLLRHPDFCIDPIGRYVPPDFVTEHGFYFSNPVKHFLDGAFAARLSRAI >ONI02290 pep chromosome:Prunus_persica_NCBIv2:G6:19683507:19684888:1 gene:PRUPE_6G188500 transcript:ONI02290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRLFVMMRIGSIYKLIVRQVISSLSIKPIEASIYEAFSLSYIYFVRACRKIMSVSLEALAMAGTDYRSIAMTVEEWERCDLELSPPHLLAEDEEEKDERQFEEQVMGKETKKGREGGNFPTPISC >ONI01743 pep chromosome:Prunus_persica_NCBIv2:G6:13739742:13750985:-1 gene:PRUPE_6G156200 transcript:ONI01743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVSLLLCFLLTIKSVSSSTDNFCRDDFPLAPAFVFGASSSAYQVEGAAGEDGRTPSILDTYAQAGHFHGATGDVACDAYHKYKEDVQLMADTGLEAYRFSISWSRLIPNGKGPVNPKGLQYYNNLIDQLISHGIQPHVTLHHSDLPQALDDEYGGWVSRKIVKDFTAYADVCFKNFGDRVLHWTTMNEPNVFVLGGYDSGFSPPQRCSIPFGLNCSRGNSSTEPYLATHNILLSHASAARLYKKNYQDKQRGFIGINLFAFWFFPQTKTIEDELATQRGLDFYFGWYLNPLVFGDYPDVVKTNAGSRIPFFTRLESQSVKGSFDFIGFNYYTVSYAEDNSISLKSTNRDYIADSAMKTRLLKCNESSFEYPIAPWGLQRLLEYMKQNYGNPPIYIHENGQQTARNSSLEDRSRVKYLRGHIQSLLAAVRNGSNARGYFIWSFMDSLELLNGYESSFGLYYIDLDDPDLKRQPKLSAHWYSHFLKSKNLTSLDGFLESLSHGYHSQ >ONI01744 pep chromosome:Prunus_persica_NCBIv2:G6:13739946:13750858:-1 gene:PRUPE_6G156200 transcript:ONI01744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVSLLLCFLLTIKSVSSSTDNFCRDDFPLAPAFVFGASSSAYQVEGAAGEDGRTPSILDTYAQAGHFHGATGDVACDAYHKYKEDVQLMADTGLEAYRFSISWSRLIPNGKGPVNPKGLQYYNNLIDQLISHAGIQPHVTLHHSDLPQALDDEYGGWVSRKIVKDFTAYADVCFKNFGDRVLHWTTMNEPNVFVLGGYDSGFSPPQRCSIPFGLNCSRGNSSTEPYLATHNILLSHASAARLYKKNYQDKQRGFIGINLFAFWFFPQTKTIEDELATQRGLDFYFGWYLNPLVFGDYPDVVKTNAGSRIPFFTRLESQSVKGSFDFIGFNYYTVSYAEDNSISLKSTNRDYIADSAMKTRLLKCNESSFEYPIAPWGLQRLLEYMKQNYGNPPIYIHENGQQTARNSSLEDRSRVKYLRGHIQSLLAAVRNGSNARGYFIWSFMDSLELLNGYESSFGLYYIDLDDPDLKRQPKLSAHWYSHFLKSKNLTSLDGFLESLSHGYHSQ >ONI04698 pep chromosome:Prunus_persica_NCBIv2:G6:29118871:29122116:1 gene:PRUPE_6G335200 transcript:ONI04698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALQEAPSQPGLPISLSLGSNSTLGEGASGSRFYSWLGECHGAFHNVALIVPSLLFVLYLAYQARKSFSKLSHGRSYIMIAYYGCLWLVSLLNLAWCCLQAWECTPGKELAWNFLSLFTTSGMLFLEVSLLAFLIQGNYASGLEALTRTFVVSGVLVVLDILLKAIYLFGFGIPLFVDNKDRTDRMKWDLWVVHRLVITAIYGFILFMYHSKWRERLPARPAFYKYTAVMFMLNILALFACGLTGNGAGFGFWLYGATVVCYHALYLPLLYVTFLADFFQEEDLHLENVYYSEMKDAGFFDADWE >ONI04034 pep chromosome:Prunus_persica_NCBIv2:G6:27189956:27192248:1 gene:PRUPE_6G297800 transcript:ONI04034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVWMEAILPLGIIAGMLCVMGNAQYYIHKAAHGRPKHIGNDMWDVAMERRDKKLIEQATAPSSN >ONH99758 pep chromosome:Prunus_persica_NCBIv2:G6:3471270:3472971:-1 gene:PRUPE_6G048500 transcript:ONH99758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVSNPMRRMSSRTRKVASKMTAALQSSDNRTQAALARLEALENDNAGLEMVEANDDDEASLDDDDQVYIQKKHSKGTKRKTRQAKALEARKAPRTFLELVHEANLDSLPPHVPSYLKAAVGPPSSTSRRHFCTVCGSAANYTCVRCGVRFCSSRCQNIHNDTRCLKFVA >ONH99757 pep chromosome:Prunus_persica_NCBIv2:G6:3471217:3472971:-1 gene:PRUPE_6G048500 transcript:ONH99757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVSNPMRRMSSRTRKVASKMTAALQSSDNRTQAALARLEALENDNAGLEMVEANDDDEASLDDDDQVYIQKKHSKGTKRKTRQAKALEARKAPRTFLELVHEANLDSLPPHVPSYLKAAVGPPSSTSRRHFCTVCGSAANYTCVRCGVRFCSSRCQNIHNDTRCLKFVA >ONI02299 pep chromosome:Prunus_persica_NCBIv2:G6:19713492:19714769:1 gene:PRUPE_6G189100 transcript:ONI02299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTWNILTWGDSKALQCDAEGWRDAKKFLISLLKTLCDAKGRSESRSLYFSYHFLSYSVSNIFSLKYFYLQQSGSPDHFIYLCNNQDFLMVWDAQWPKTAEMVLPIRIVLEQFKNHKPNS >ONI01752 pep chromosome:Prunus_persica_NCBIv2:G6:13907736:13912009:-1 gene:PRUPE_6G156700 transcript:ONI01752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNCCRKSAKCAHASSINFSGSTKSNAKGQLDTASSIQETPSGGLNKALIVPKADTSVPSSLKSFSFSDLKNATKNFRSESLLGEGGFGWVFKGWIDANTFAPTKPGTGLVVAIKRLKRESFQGHKEWLAEVNYLGQLHHENLVKLIGYCSESDNRLLVYEFMPKGSLENHLFRKGVQPISWAVRMNIAIDVARGLSFLHSLDANVIYRDLKASNILLDSDFNARLSDFGLARDGPTGDNTHVSTRVVGTRGYAAPEYVATGHLTPKSDVYSFGVVLLELLTGRRAMDDERAGSVEETLVDWAKPFLSDTKRVLRIMDTRLGGQYPKKAAQTAAELTLQCLHTDPKYRPLMIDVLATLERLHTKNTLRTQPHKPEHHGAKHLNHPHRITNSNTKLE >ONI05176 pep chromosome:Prunus_persica_NCBIv2:G6:30401467:30402753:1 gene:PRUPE_6G360000 transcript:ONI05176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHFLSTQSTRLKQTPQKGEAPNSQREPHTQPNKLKPKRPAPPKSPRHGRMGSSRTREIANKIREKQKLMKRKKKTKKTENCYAKTE >ONI04850 pep chromosome:Prunus_persica_NCBIv2:G6:29562528:29565215:1 gene:PRUPE_6G343500 transcript:ONI04850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQYCRGIRLLSSTSTSGRIHWDPTVALELNHPTLILLEKCRTRYHFKQILGQMMRTCLIGQTFPMSRLLTFSAISHPDNLDMAILLFNHYTPNPNLYIYNSMISALSFSKSQPFAVYNSMLHSGICPDKHTLLYLLQASTCVSEAKQLHSHAVVTGLLSHGYLQNTLTKIYMEEGQMGLACQVFRDVPTPDPVLFNIMIVGYAKMGYCSEALQLFYEMVGLGLKPDEFTILGLLISCGLLGDSRLGMSVHAWIERRTITSSNLILGNALLNMYVKWNKLELAQSIFNALVDKDIVSWNTMTAGYAKVGKLELARTYFKQMPRRDLVSWNSLIAGYAKKGDYTMAVKVFNNMIRVNVRPDNITLVNLVSAAAEIGALDQGKRIHGLIVRLQLKIDVFLGSALIDMYCKAGSIERAFMVFRGLTEKDVTIWTTMITGFGFHGDGNKSLDLFSEMQRVLLPNGVTLVAVLTACSHSGLVDEGLNIFYNMKNNFDIEPGVEHYGCLVDLLCRSGRLVEAKAVIEKMPMKPSRNIWGAMLSACRALGDMELAEIASAELLKLEPEKEGGYILMSNMYAACGRWSYSDKIREIMEIRGVKKTAGCSSVIVDGVIHDFVVATI >ONI00798 pep chromosome:Prunus_persica_NCBIv2:G6:7492828:7493052:-1 gene:PRUPE_6G105700 transcript:ONI00798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATNFIWNLFKQTLSPSERKNVQLVTLIVENIGGVAYTSNNEIHFRAQYNQVCHKISDVTSSVNEILCYFSY >ONI00133 pep chromosome:Prunus_persica_NCBIv2:G6:4783276:4790984:-1 gene:PRUPE_6G069800 transcript:ONI00133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQRRYGLFFFRGLTDLDTGGTKGTGRMVQIIPESVGKLWSEWNVRVMVVSSLCLQILLILVGNRRKYIAKPWIRIVTWTAYLSADWVATVALGMISSFQGENNDPESSTNSNSSQILMVLWVPFLLLHLGGPDTITAYSLEDNELWLRHLLGLLVQAVAALYIFLQSWTASQYQLPSLAIPIFVSGIIKYGERTWVLRSASSERFRELLLPPPNQSDYAEFATEVYSKEIRGEPAAFSMIPEAPFVPGVRPTIAEAKPIHEAYQLFRILKRLYADLILDHYDERWCHYMIGDHPFDYIFKLLEIELGFMYDVLYTKANVVYSLTEKPVKFGGIQKFVGTYDIWEKYCYSTLEDVPIKMKQFIFEQLKVARSESHVSQVLSWRGDQVLEKANCDEKLSWSLVEVPFDQSILLWHIATDLCYYTDLEKYQEIPTPDSKHNFSKLVSNYLMHILVTRPFMLPKGIGKIRFRDTCAEATNFFEKRRSIISGSNGKGRARQVLLEVNTDVDPESVIGDRSKTVLFTGCRLAKQLQSLETEEEWGNEEKWEMIAKVWIEMLCYAASQCGWNEHAQHLRKGGELLTHVCLLMANFGLSEQFQIRVGAPRISIGTRG >ONI00134 pep chromosome:Prunus_persica_NCBIv2:G6:4783290:4790984:-1 gene:PRUPE_6G069800 transcript:ONI00134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSSLCLQILLILVGNRRKYIAKPWIRIVTWTAYLSADWVATVALGMISSFQGENNDPESSTNSNSSQILMVLWVPFLLLHLGGPDTITAYSLEDNELWLRHLLGLLVQAVAALYIFLQSWTASQYQLPSLAIPIFVSGIIKYGERTWVLRSASSERFRELLLPPPNQSDYAEFATEVYSKEIRGEPAAFSMIPEAPFVPGVRPTIAEAKPIHEAYQLFRILKRLYADLILDHYDERWCHYMIGDHPFDYIFKLLEIELGFMYDVLYTKANVVYSLTEKPVKFGGIQKFVGTYDIWEKYCYSTLEDVPIKMKQFIFEQLKVARSESHVSQVLSWRGDQVLEKANCDEKLSWSLVEVPFDQSILLWHIATDLCYYTDLEKYQEIPTPDSKHNFSKLVSNYLMHILVTRPFMLPKGIGKIRFRDTCAEATNFFEKRRSIISGSNGKGRARQVLLEVNTDVDPESVIGDRSKTVLFTGCRLAKQLQSLETEEEWGNEEKWEMIAKVWIEMLCYAASQCGWNEHAQHLRKGGELLTHVCLLMANFGLSEQFQIRVGAPRISIGTRG >ONI00135 pep chromosome:Prunus_persica_NCBIv2:G6:4784482:4790717:-1 gene:PRUPE_6G069800 transcript:ONI00135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRRMVQIIPESVGKLWSEWNVRVMVVSSLCLQILLILVGNRRKYIAKPWIRIVTWTAYLSADWVATVALGMISSFQGENNDPESSTNSNSSQILMVLWVPFLLLHLGGPDTITAYSLEDNELWLRHLLGLLVQAVAALYIFLQSWTASQYQLPSLAIPIFVSGIIKYGERTWVLRSASSERFRELLLPPPNQSDYAEFATEVYSKEIRGEPAAFSMIPEAPFVPGVRPTIAEAKPIHEAYQLFRILKRLYADLILDHYDERWCHYMIGDHPFDYIFKLLEIELGFMYDVLYTKANVVYSLTGIIFRSISLVCCISTFFLFCLVIDKHRYSTIDVAITYLLSVGAIALELYAIAALVLTDWTQHWLSKYKHWLSQPKYWLIKRLHTLAPPMYRAISYFRLKLSYEKGCLEP >ONI03658 pep chromosome:Prunus_persica_NCBIv2:G6:25793552:25794433:1 gene:PRUPE_6G272800 transcript:ONI03658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTKWSPPPAGKYKLNVDAAFIPETGVGGIGAVVRNDNGEVMAAMALPLARVVNDVKKDEEESWASDGHLIGDIKRSLQHFEDVIISYSPRGANQVAHFLAKHALNCNTMVTWIEEVPFWLESIVNDDMVVSS >ONI03890 pep chromosome:Prunus_persica_NCBIv2:G6:26697991:26701123:-1 gene:PRUPE_6G288800 transcript:ONI03890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HGQCIEGQKSSLLQLKKSLIFDSSASSKLISWNSSTDCCSWVGVTCTSGRVVGLDISSESVSGGIDNSSSLFDLQHLQSLNLAYNGLGYGSQIPSANPNLSLLIRNLLELTELHLDGVSISAQGTDWCQAISSSLPKLRVLSLINCNLSGPFDISLLKLHSLSVIRLDYNELSIEVPEFLSKFRNLTSLHLSECGLHGSFPKQIFQIPTLQTIDLSFNPQLQGSLPEFPKNGSLRSLVLNNANFTGLLPNSIGELKMLYNIDISSCNFTGSIPRSMEGLTQLSYVDLSSNKFNGSVPISLLETLYLKRNKLEGPIPMNIFNLPRLRTLQLSSNNLNNSFSLNVIQQSKNLFFLDLSHNSLLASCKLRRFPGFLRNQSELYNLDLSQNQIHGEIPNWIWRLGYLAMLNLSCNSLVTLEGPFLNLTSNLLLLDLHSNQLQGRIPIFQPVVNYLDYSKNNFSFNIPYDIGDFLTQTRFFSLSNNNLHGIIPGSLCNVKSLQVLDLSSNSLSGMIPRCLSATTNLVVLNLRRNNLAGTISDKFSANCSLGTLDLGANKIGGKFPKSLARCEMLAVLNLGHNQITDVFPHLLKEISTLRVLVLRSNRFYGNIGCPKTNGTWSKLQIIDLADNHFSGEIPGDCLTTWPEMMVDGDDPAQVLNHPEFQILTIYTSLDLSCNNFSGSIPKEIGELKALYILNLSSNALTGEIPSSLGNLLKVESLDLSNNSLSGEIPPQLARLTFLSFLNVSCNHLVGRIPTSTQFSTFPAASFTGNEGLWGPPLTGDNTTELSPPPPSEKGFSHSGPEIDFDVLSVEIGCIFGFGTVVMPLVFCKRWRKWYYRSVQNIFFKMFPSLDKRRDSRGRRVSRNRRRRH >ONI00573 pep chromosome:Prunus_persica_NCBIv2:G6:6653881:6654243:-1 gene:PRUPE_6G096000 transcript:ONI00573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITHHHLTFSKEMADHDRKREAMKRQRSQARAELHTNQELGFERSSGSVGDKNMEGGVDEDLASVLHDMCCSYLACTAKVA >ONI02015 pep chromosome:Prunus_persica_NCBIv2:G6:17769143:17772476:-1 gene:PRUPE_6G172900 transcript:ONI02015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTTTTQSSSATEAQLIPSLPDDVALNCIARVPRWYYPTLSIVSRPIRSLLSSPLFFTTRSLLSSTEHFLYLTLRLHHNPSAWFTLYQNPNPNNPNNPHVLIPVPPLPAPTVGAAYAVVGPTIYVIGGSINDVPSSHVWVLDCRLHTWRKGPPMRVAREFSAAGVVDGKIYVIGGCVADSWARNEFWAESLDPGTGRWEAVRSPIDVRAKWMHASAVIGARVYAMADRGGVVLDPKAGTWDVVDKRLDLGWRGRACVVHGILYCYDYLGKIRGFDVKKRVWKELKGLEKGLPKFLCGATMANVGEKLVVLWEGKGNGKEIEIWCAEIEVEKNGDGELQGKIGWSHKLISVPKNFSIVNCLAVTL >ONI02014 pep chromosome:Prunus_persica_NCBIv2:G6:17769143:17772424:-1 gene:PRUPE_6G172900 transcript:ONI02014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTTTTQSSSATEAQLIPSLPDDVALNCIARVPRWYYPTLSIVSRPIRSLLSSPLFFTTRSLLSSTEHFLYLTLRLHHNPSAWFTLYQNPNPNNPNNPHVLIPVPPLPAPTVGAAYAVVGPTIYVIGGSINDVPSSHVWVLDCRLHTWRKGPPMRVAREFSAAGVVDGKIYVIGGCVADSWARNEFWAESLDPGTGRWEAVRSPIDVRAKWMHASAVIGARVYAMADRGGVVLDPKAGTWDVVDKRLDLGWRGRACVVHGILYCYDYLGKIRGFDVKKRVWKELKGLEKGLPKFLCGATMANVGEKLVVLWEGKGNGKEIEIWCAEIEVEKNGDGELQGKIGWSHKLISVPKNFSIVNCLAVTL >ONH99172 pep chromosome:Prunus_persica_NCBIv2:G6:1112579:1117629:-1 gene:PRUPE_6G015500 transcript:ONH99172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQPPDHQPISYGFLFILFFSSIISAKYACELTERSSLMSFSLSLSSPPLNWTSVDCCQWEGITCDQDGLVTQLLLPSKGLQGGIFPSSLANLTHLTHLNLSHNSLYGSLNQTGFFSSLNRLEILDLSSNLLSGELPASLPSRNVIRMVDLSSNHLHGEIPSSFFQQAWNLTSFSVGNNTLTGSIPSSICLHSSPSIRLLDFSFNKFSGNIFPGLGACSKLQVFRAGYNNISGLLPDDIYNASTLEEITLPRNSLYGAIGERIANLTNLTILDLQSNGLSGLLPASIGKLSKLKLMFLQFNNLQGSLPPSLMNCTNLVELNLGLNLFEGNISTLDFSKLVKLTEIDLGHNNFTGFWPVSLYSCKSLKAIRLSKNNLEVQIQPEILSLQFLSFLSISYTRLTNATGAIKILMGCKSLKVLLLSSSFYLGEEIPALDNIDDFDGFQNLQMLDLSNCKLSGQIPAWLSKLKKLEVLILNFNRITGPIPSWLGTLPRLFVVALGSNQISGEIPKELFRLPMLVSEKTAVQVDDDVLELPIYTSTNGTLLQYKLSYFPRVLDIRNNSISGSIPIEIGQLQLLQMLYLNTNNFSGTIPEQISNLKNLEGLDLSMNHLSGNIPSSLASLSFLKSFNVSYNDLQGSIPTGTQLQSFNASAFEGNSKLCGAPLPNECHPKNGPDAEHKNNQDVDNGHQILWFELSVVLGFIVGFLGVCCSLLFNNTWRYRYFQFLDNVQHKLYLMQRKLRRVRVDRQLQDQVQVSNQRPEVNSSNLYSDTDGKNWSEFATLTSHNAARPPPFNDPTKEDPYASLGTEEEIFFDTSNIEGGEIQTQDLRFTAN >ONH99173 pep chromosome:Prunus_persica_NCBIv2:G6:1113344:1117708:-1 gene:PRUPE_6G015500 transcript:ONH99173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQPPDHQPISYGFLFILFFSSIISAKYACELTERSSLMSFSLSLSSPPLNWTSVDCCQWEGITCDQDGLVTQLLLPSKGLQGGIFPSSLANLTHLTHLNLSHNSLYGSLNQTGFFSSLNRLEILDLSSNLLSGELPASLPSRNVIRMVDLSSNHLHGEIPSSFFQQAWNLTSFSVGNNTLTGSIPSSICLHSSPSIRLLDFSFNKFSGNIFPGLGACSKLQVFRAGYNNISGLLPDDIYNASTLEEITLPRNSLYGAIGERIANLTNLTILDLQSNGLSGLLPASIGKLSKLKLMFLQFNNLQGSLPPSLMNCTNLVELNLGLNLFEGNISTLDFSKLVKLTEIDLGHNNFTGFWPVSLYSCKSLKAIRLSKNNLEVQIQPEILSLQFLSFLSISYTRLTNATGAIKILMGCKSLKVLLLSSSFYLGEEIPALDNIDDFDGFQNLQMLDLSNCKLSGQIPAWLSKLKKLEVLILNFNRITGPIPSWLGTLPRLFVVALGSNQISGEIPKELFRLPMLVSEKTAVQVDDDVLELPIYTSTNGTLLQYKLSYFPRVLDIRNNSISGSIPIEIGQLQLLQMLYLNTNNFSGTIPEQISNLKNLEGLDLSMNHLSGNIPSSLASLSFLKSFNVSYNDLQGSIPTGTQLQSFNASAFEGNSKLCGAPLPNECHPKNGPDAEHKNNQDVDNGHQILWFELSVVLGFIVGFLGVCCSLLFNNTWRYRYFQFLDNVQHKLYLMQRKLRRVRVDRQLQDQVQVSNQRPEVNSSNLYSDTDGKNWSEFATLTSHNAARPPPFNDPTKEDPYASLGTEEEIFFDTSNIEGGEIQTQDLRFTAN >ONI05046 pep chromosome:Prunus_persica_NCBIv2:G6:30050435:30051625:-1 gene:PRUPE_6G353300 transcript:ONI05046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMMRLEDHLRMKKLADESFNQIVAEVKAVARDPADREEVSKLLTERVVFDLIHEFQRKSKEAQQSNVSISNKRERVEAQVVIKKRKVVSTETNYNYIDEKVDIARNYLEKIKKRGFESRKRKHGNDYGDEDEASKLMLTKKKKQNKEKAKKAESKGSSLPSKPSTPPDAPPIELKRMIESMNGHHLQFVMYKIIHPTDLNPHHDRLSMPKNQLSNKKFLGKELKQKLKGGGLLEVKVIDPCLTEYELRMKRWVFKSNYSYVLNSGWSKIFTDKTNNLKAKDMLEVWTFRVSDPNLVDQERICFALVKHKDVKDPQEDIGSSSSMSKKEEGKQDVNDGTDSMEAVGTLMSKKEDVNSSTACGDEANESSMAKNQSEFGARKHITIKFRDVTFAKN >ONI03571 pep chromosome:Prunus_persica_NCBIv2:G6:25432434:25433284:-1 gene:PRUPE_6G266000 transcript:ONI03571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHHNIPSPPPPAFNGSTGFMPTRRRKMMMHMTFFWGHSAEVLFSGWPGRDNPVMYALSLLCVFFLAVLVELLSHCSFFKPGANGVAVGFLQTGLYTLRSGLSYLVMLAVMSFNGGVFLAVVGGHAVGFLLFRSRAFKKSDGSGIDRQASLPPMTCG >ONI03825 pep chromosome:Prunus_persica_NCBIv2:G6:26444601:26447840:-1 gene:PRUPE_6G284900 transcript:ONI03825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLYISTNVNLDGFDTDSIFSEATKAISSITGKPEDYVMVLLKGSVPISFGRSTSEPAAYGELVAMGGINKPVKRQLIATLGTIMEAKLSIPKTRFFLKVVDISTATGSKL >ONI01851 pep chromosome:Prunus_persica_NCBIv2:G6:15425129:15427557:-1 gene:PRUPE_6G162600 transcript:ONI01851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENSKLPENPSTATSNQNNEEVAGGGGPASVGFEEEDRAGLEEGYRNWSGNRWPRQETLALLKIRSDMDAEFKEASIKVPLWQEVSRKMGELGHNRTAKKCKEKFENIYKYHRRTKESGRPNGKAYRFFEQLEALDHHDFELPPPPASEKVQTSVAEIATNPTNVVYNAIPCSSIQHPDSSFVENSSSTTSSSSKESEGTHKKKRRLTEFFERMMNEVIDKQENLQKKFVEVLEKHEQDRIAREEAWKMQELARIKREREILVQERSVAAAKDAAVLAFLQKFSEQSGTMQFPEQAFSVHFPAQPPSSMQFPEQPSPVQLPEQPPSGQFPEQSTPVQLPVNSQADTLMEKQEKTNDANVVHMSLDKQERNNGRSYMHMSSSRWPKEEVEALIKIRADFDLQYQESGPKGPLWEEISAAMVKLGYDRSAKRCKEKWENINKYYRRIKESNKKRPEDSKTCGYVRLLDALHNKKTNSVESQVNSTYELKPEELLMHMMGGQAEQHQPETVTEDGDSENVDQIQGDDENADGDGYQIASGNPSSMAIMS >ONH99904 pep chromosome:Prunus_persica_NCBIv2:G6:3986858:3990349:-1 gene:PRUPE_6G056800 transcript:ONH99904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYRMCMCFTRKFRVTEAEPPLDVKEAFNKYAEGGTHMTAEQLQNFLLEFQADGGVGGGAEASDAERIVEQVLQKRHHIAKLISRRTLTLDDFHHYLFSPDLNPPIRDQVHQDMTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVVELDIWPNSTKDNVHVLHGRTLTTPVELIKCLKSIQEHAFSESPYPVIITLEDHLTPDLQAKVAQMLIETFGEMLFYPESDCLKELPSPEELKYRIIISTKPPEEYRKAKTANEKEHDSHKSEEDLWGKEPSELTEEHEDDDMSDSDSSEDNSHSNRGSFSSAEHEYKRLITIHAGKPKGGLKEALKVELDKVRRLSLSEQALEKAAESHGTDVVRFTQKNILRVYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQSSR >ONH99903 pep chromosome:Prunus_persica_NCBIv2:G6:3986754:3990412:-1 gene:PRUPE_6G056800 transcript:ONH99903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYRMCMCFTRKFRVTEAEPPLDVKEAFNKYAEGGTHMTAEQLQNFLLEFQADGGVGGGAEASDAERIVEQVLQKRHHIAKLISRRTLTLDDFHHYLFSPDLNPPIRDQVHQDMTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVVELDIWPNSTKDNVHVLHGRTLTTPVELIKCLKSIQEHAFSESPYPVIITLEDHLTPDLQAKVAQMLIETFGEMLFYPESDCLKELPSPEELKYRIIISTKPPEEYRKAKTANEKEHDSHKSEEDLWGKEPSELTEEHEDDDMSDSDSSEDNSHSNRGSFSSAEHEYKRLITIHAGKPKGGLKEALKVELDKVRRLSLSEQALEKAAESHGTDVVRFTQKNILRVYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRSLWLMHGMFRANGGCGYVKKPDFIMNESDNQIFDPKAKLPVKKTLKVKVYMGDGWHLDFKQTHFDLYSPPDFYTRVGIAGVPADEIMKKTKKKEDDWTPVWEEEFTFPLRVPELALLRVEVHEYDMSEKDDFGGQTCLPVSELRQGIRAVPLFDRKGTKYNSVRLLMQFEFI >ONH99085 pep chromosome:Prunus_persica_NCBIv2:G6:786169:787159:1 gene:PRUPE_6G010300 transcript:ONH99085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTPSSILATQFIILSLLSSFAIILVQGEDQGFVRSLDPKLLGLKKEKLSHFRFYWHDIYSGKNPSAVGVVRAPTNSSTLFGSVSMIDDPLTQGPELSSKLWGRAQGFYASASQQEVGLLMAMNFHFIEGKYNGSTVSVLGRNQVFNKVREMPIIGGSGLFRFARGYVEARTHSFTPNTGDAIVEYNVYVLHY >ONI00428 pep chromosome:Prunus_persica_NCBIv2:G6:6031259:6037672:1 gene:PRUPE_6G088500 transcript:ONI00428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQSQQRRGGLVSLSPSQTPRSSDKSVRDLRSGDSNSINRHEKDKGVNVQVLVRCRPLSEDEMRVHTPVVISCHESRREVSAIQNIANKQIDRTFAFDKVFGPASQQKELYDQAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQVAEYSMKVTFLELYNEEISDLLAPDESTKFIDDKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATVSPSIHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSALIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLNEEAEKKAMAEKIERMELDSESKDKQLMELQELYSSQQLLTVDLSDKLEKTEKKLEETGNALFDLEEKHRQANATIKEKEFLIANLLRSEKSLVERAFELRGELENAASDVSSLFAKIERKDKIEDGNRILVQKFQSELTQQLEILHKTVAVAVTQQEQQLKGMEEDMQSFVSTKAEATEELRGRLGKLKNMYGSGIKALDGIAGDLEGNSQSTFCHLNSEVSSHSSALEDLFKGIASEADELLNDLQGNLHNQAEKLSAYAQQQREAHARAVETARSTSKVTVDFFKTLDLHASNLTQIVEEAQTVNNKKLSELEEKFEECAANEERQLLEKVAELLASSNARKKKLVQTAVNDLRESTTSRTSKLQQEMSTMQDSTSSIKAKWTVHMEKTESHYLEDTFAVESGKKDMEEVLQNCLKQATMGAEQWKNAQGSLLSLEKSNVASVDSIVRRGTEANQALRDRFSSAVSAALEDVDAADKNLLSSIDHSLQLDHEACGNLNSMIIPCCGDLRELKGGHYHNIVEITENAGKFLLDEYVVDEPSCSTPRKRSFNLPSIASIEELRTPAFEELLRSFWDGRSAKQQANGDLKHIAAAYEAAQSIRDSRVPLTAIN >ONI04033 pep chromosome:Prunus_persica_NCBIv2:G6:27181960:27186766:-1 gene:PRUPE_6G297700 transcript:ONI04033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPICSRLLNQEILRVSGMMSNQGFGDFDRMRHRSPSPMASSNLMSNVTGTGLGGWNGLPQERLGGPHGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPANVVDIRLRQAQEIIEELLKPVDESQDFIKRQQLRELALLNSNFREESPGPSGSVSPFNSSGMKRAKTGR >ONI04924 pep chromosome:Prunus_persica_NCBIv2:G6:29772519:29773620:1 gene:PRUPE_6G348700 transcript:ONI04924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFFQNPISEFSFDSSSSSFNSTLDQYYSSDHHHHLSWSWDFVDHHHHSQPLPQLPFNENDSEEMLLYGVLAEAPAGGDHNNSSETITHSNKDIHEEVNSSNSTPEEDQEISYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDTAEEAALAYDQAAFAMRGSLAVLNFPADVVYQSLVEMEYGFEEGSSPVLALKRRHSLKRKSSMNKKKKLSDHQKNDDEDQLVLEDLGADYLEELLRISAMT >ONH99324 pep chromosome:Prunus_persica_NCBIv2:G6:1988969:1992904:1 gene:PRUPE_6G024800 transcript:ONH99324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEEHLPLFETKKAKGRVLFRIFAASIFAGICLIWVYRVSHIPKAGEDGRFAWIGLLGAEIWFGFYWLLTQASRWNPVYRHTFKDRLSQRYENELPGVDIFVCTADASIEPPMMVMNTVLSVMAYEYPPEKLSVYLSDDGGSEITYYALMEAAEFAKHWIPYCKKYNVEPRSPAAYFVSKHGDDAVEDNHQAKDFVFIKKLYKYMENKIENAVKLGQVSDQVRSKHKGFSQWDSYSSRRDHDTILQIVIDGRDPINATDNEGCKLPTLVYLAREKRPQCHHNFKAGAMNALIRVSSNISNGKLLLNVDCDMYSNNSLAIRDTLCFLMDEEKGHEIAFVQFPQNFKNLTKNELYASLRVINEVEAHGVDGYGGPLYVGTGCFHRRDTLCGRKFSKDSKSDMKWDNRKREELGIHELEENTKSLASCTFEENTQWGKEMGLKYGCPVEDIITGLSIHCRGWKSVYCNPAREAFLGLAPATLPHILVQHKRWSEGNFHIFLSKYSPAWYAYGKIRLGHQLGYLRYSLWASNCLATLVYSTLPSLYLLRGASLFPQMSSPWLIPFAYVIIGKYTWSFVEFLWCDGTILGWWNEQRIWLYQRTSSYLFAFIDTILFSIGYTNSAFVITAKVAEEDVSKRYEKEIMEFGASSPMLTILATLALLNLCCFAGFVLEEAIAGSKGIAKAYETMSLQVLLCGVLILINLPLYQGLYLRKDKGKMPSSIAYKSMVIAVFSCVCFKLSC >ONI00580 pep chromosome:Prunus_persica_NCBIv2:G6:6676659:6679633:1 gene:PRUPE_6G096500 transcript:ONI00580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKYLTSLSLSLSLSLSLPRLNPPPRFHFFAGTSDSENFAVRPPFQAPFLAKASSLPPLPSPPLFSDRKPGERRWQRREKRPKLPTSPATFPAVASCAVTWNRYSDYAYAAGGTLSGSSSVGLLPVVD >ONI00581 pep chromosome:Prunus_persica_NCBIv2:G6:6676659:6679633:1 gene:PRUPE_6G096500 transcript:ONI00581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKYLTSLSLSLSLSLSLPRLNPPPRFHFFAGTSDSENFAVRPPFQAPFLAKASSLPPLPSPPLFSDRKPGERRWQRREKRPKLPTSPATFPAVASCAVTWNSQ >ONI00356 pep chromosome:Prunus_persica_NCBIv2:G6:5684634:5688574:1 gene:PRUPE_6G084300 transcript:ONI00356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSRWSQSNPIPLLTKRPAGEEEEEEPSCHSKRELQLVTTSPFRLPDGWYVEEKRRPLSNTSHPGKIDRYYIEPGTGLKFRSLSAVQRYLTEGKIETRTKNSEPGNEWNKQITPRTTWSTSSFILPDGWEVEEKPRNNSCIIDKTYIEPGTGQRFRSLISVERYLTGANEDTPLKALVPANKSGLSPGSGRQKMKSLGEIQSQKVVSSSLTRNITGANDRPSKLNFGRPPAKVKWVLGGPGGCMWNPFMDESKVPDSVLQKWSKTFVSSLYGGNIGAPSS >ONI00619 pep chromosome:Prunus_persica_NCBIv2:G6:6843896:6844935:-1 gene:PRUPE_6G098300 transcript:ONI00619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRNVSLAFHFHPTDQEIIRSILYKMVIEREPLNPSYHGIVHDEDLFGTKEPWKIWEDYGRDQLHDQDLYFICQLKRINYCSSRTHRRIGCEGTWSQRVAPKLIYDGNPNPIGNVRKLRYKNPKSEHNAEWFLDEYSLFVGDEGHDQTTPGFDFVVCRLRKNHNKINGYFLLAARSHNGNQGLTIMLIHRDSPESPTPLPTQPHTSTNNSKTQFSSYPKLACRPSTSNPKLILLSIMFNNRILC >ONI01319 pep chromosome:Prunus_persica_NCBIv2:G6:10411134:10411974:-1 gene:PRUPE_6G132200 transcript:ONI01319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRAARKTSSSHTCRYYRYDVFLSFRGQDTRKTFTDHLYGALNNARFHTFRDENEVREEKVSARTA >ONI05070 pep chromosome:Prunus_persica_NCBIv2:G6:30163247:30167430:1 gene:PRUPE_6G354900 transcript:ONI05070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEKTSYDLLITINIVLVTALMAYGSEGLNDEGQYLLEIKSRLVDRFDHLSSWNSNDFTPCGWRGVNCSNGYNPVVLSLNLSSMNLSGSLSPNIGGLVHLNHLDLSFNELSRNIPKDIGNCSSLEVLLLNNNKFEAQIPKAFGRLSSLQVLNVCNNRISGPFPEEIGNLSSMSQLVAYTNNISGPLPRSIGNLKSLRTFRAGENLISGSLPTEIGKCEGLEYLGLAQNQLSGEIPKEIGMLENLGALVLWNNQLSGVIPKELGNCTNLGTLALYENKLVGEIPKELGSIVFLEKLYLYRNMLNRTIPREIGNLSLAKEIDFSENFLSGDIPFELSKIAGLRLLYLFENQLTGVIPDELTTLTNLTRLDLSINFLTGPIPTGFQYMTELVMLQLFHNLLSGIIPQGLGVYSPLWVVDLSENLLTGRIPRHLCRNSIMILLNLGSNRLTGNIPTDITGCKSLVQLRLVGNNLTGTFPSEMCKLANLSTVELGQNKFSGVIPPEIGNCRTLQRLHLSGNYFAFELPREIGNLSQLVTFNVSSNLLSGRIPPEIFNCRMLQRLDLSNNNFSDALPSEIGTLSQLELLKLSENNLSGNIPGSFESLKSLLGCNFSFNGLTGPIPRLPLFQNMPANSFFGNKGLCGGPLGDCGTPPSSLSFPQDMVKKSSRLGKIIAIISAAIGGVSLILIVVLIYVMRRPVDVASLQEKPCSSPVLDTYFSPKVGFTFEDLVMVTENFDESFEIGRGACGTVYKAVLPSGHTVAVKKVVSNREGNNVDNSFHAEILTLGKIRHRNIVKLYGFCYHQDSNLLLYEYMERGSLGELLHGTSCSLDWITRFMIALGAAQGLAYLHHDCKPMIFHRDIKSNNILLDDKFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTLKVTEKCDIYSYGVVLLELLTGRTPVQSIDQGGDLVTWVRNYFLHHSLSSGVFDARLNLEDEATVSHMITVLKIALLCTSMSPSDRPTMREVVSMLIGSNEREAHFDNDTNSSDIESHFD >ONI05069 pep chromosome:Prunus_persica_NCBIv2:G6:30163247:30167430:1 gene:PRUPE_6G354900 transcript:ONI05069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEKTSYDLLITINIVLVTALMAYGSEGLNDEGQYLLEIKSRLVDRFDHLSSWNSNDFTPCGWRGVNCSNGYNPVVLSLNLSSMNLSGSLSPNIGGLVHLNHLDLSFNELSRNIPKDIGNCSSLEVLLLNNNKFEAQIPKAFGRLSSLQVLNVCNNRISGPFPEEIGNLSSMSQLVAYTNNISGPLPRSIGNLKSLRTFRAGENLISGSLPTEIGKCEGLEYLGLAQNQLSGEIPKEIGMLENLGALVLWNNQLSGVIPKELGNCTNLGTLALYENKLVGEIPKELGSIVFLEKLYLYRNMLNRTIPREIGNLSLAKEIDFSENFLSGDIPFELSKIAGLRLLYLFENQLTGVIPDELTTLTNLTRLDLSINFLTGPIPTGFQYMTELVMLQLFHNLLSGIIPQGLGVYSPLWVVDLSENLLTGRIPRHLCRNSIMILLNLGSNRLTGNIPTDITGCKSLVQLRLVGNNLTGTFPSEMCKLANLSTVELGQNKFSGVIPPEIGNCRTLQRLHLSGNYFAFELPREIGNLSQLVTFNVSSNLLSGRIPPEIFNCRMLQRLDLSNNNFSDALPSEIGTLSQLELLKLSENNLSGNIPGAVGNLLRLTELQMGGNSFSGGIPAELGALSSLQIALNLSYNNLSGEIPPQLGNLILLEFLLLNNNNLTGDIPGSFESLKSLLGCNFSFNGLTGPIPRLPLFQNMPANSFFGNKGLCGGPLGDCGTPPSSLSFPQDMVKKSSRLGKIIAIISAAIGGVSLILIVVLIYVMRRPVDVASLQEKPCSSPVLDTYFSPKVGFTFEDLVMVTENFDESFEIGRGACGTVYKAVLPSGHTVAVKKVVSNREGNNVDNSFHAEILTLGKIRHRNIVKLYGFCYHQDSNLLLYEYMERGSLGELLHGTSCSLDWITRFMIALGAAQGLAYLHHDCKPMIFHRDIKSNNILLDDKFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTLKVTEKCDIYSYGVVLLELLTGRTPVQSIDQGGDLVTWVRNYFLHHSLSSGVFDARLNLEDEATVSHMITVLKIALLCTSMSPSDRPTMREVVSMLIGSNEREAHFDNDTNSSDIESHFD >ONH99195 pep chromosome:Prunus_persica_NCBIv2:G6:1236254:1238692:-1 gene:PRUPE_6G017100 transcript:ONH99195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVLPHLLFLLFLLFLLLPFSVIAQTNGNISVGSTLTAGEESAWWLSPSNDFALGFQKLDNDHFLLAIWCHKIPQKTIVWYANEGNPSLRKSEVELTDQGLLLRPPQGDQQLLTEFPAAAIAYGVMNDMGNFMIVDTSFRVIWETFNHPTDTLLPDGHILYYIFNLILSKYAYDAYYISSSRDEANDTNSCYKVIFDQSGYLYILRRSGERFFITTPGQALSHTRYYVRATLHFDGVFIISYQPKNSTTSESWSVIHTEPDNICVKIAGELGTGPCGYNSVCTLKEDRRPSCRC >ONI00994 pep chromosome:Prunus_persica_NCBIv2:G6:8382551:8386213:-1 gene:PRUPE_6G115500 transcript:ONI00994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPFGILIAGAILMSAAVVLCSFPAALSLERAFPNSLRVELSQLRARDRARHGRMLQSSNGIVNLPVRGTFDPFRVGLYYTKLQLGSPPRDYYVQIDTGSDVLWVSCGSCNGCPETSGLQIELNLFDPQSSSTSQFISCSDRRCSLGIQSSDSGCSTQSNQCSYTFQYGDGSGTSGYYVSDLLHLETILEGSVTQNASASIVFGCSTLQTGDLTKSDRAVDGIFGFGQQGMSVISQLASQGVAPNVFSHCLRGDDGGGGILVIGEIVEPNIVYSPLVPSQPHYNLNLQSISVNGQILQIDPSVFATSSNRGTIVDSGTTLAYLASEAYDPFISAVTASVSQSVHPVVSNGNQCYLITSSVSDIFPQVSLNFAGGTAMILRPQDYLIQQGSISGAARWCIGLQKLQGSGITILGDLVLKDKIIVYDLGGQRIGWANYDCSMSVNVSATSRTGKSEYVNAGQISDSSSLHLDPYDLIPASIVAFLVLIISLQGNFLFL >ONI00995 pep chromosome:Prunus_persica_NCBIv2:G6:8382149:8386464:-1 gene:PRUPE_6G115500 transcript:ONI00995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCFSPLMVSLIYLFGEPSIRSALGSIIQNCNWDLLREIIMCRLIPEVMFCGSVVVPATVALKQVDSRCSLGIQSSDSGCSTQSNQCSYTFQYGDGSGTSGYYVSDLLHLETILEGSVTQNASASIVFGCSTLQTGDLTKSDRAVDGIFGFGQQGMSVISQLASQGVAPNVFSHCLRGDDGGGGILVIGEIVEPNIVYSPLVPSQPHYNLNLQSISVNGQILQIDPSVFATSSNRGTIVDSGTTLAYLASEAYDPFISAVTASVSQSVHPVVSNGNQCYLITSSVSDIFPQVSLNFAGGTAMILRPQDYLIQQGSISGAARWCIGLQKLQGSGITILGDLVLKDKIIVYDLGGQRIGWANYDCSMSVNVSATSRTGKSEYVNAGQISDSSSLHLDPYDLIPASIVAFLVLIISLQGNFLFL >ONI02409 pep chromosome:Prunus_persica_NCBIv2:G6:20416124:20419318:1 gene:PRUPE_6G196600 transcript:ONI02409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTATTQAISNLPNHHKLLITNPTTNITSKPSLPLRQTSLSRNSIRLHSRLLLRRATTSEETPSGGNPYAGEERDSVISLEDVPPPENNFYNRTWTEEAPKEDSTVDGVDGQTQISEFFNNLDIKLDSDDTYSVLIYGGGALFALWLASAVVGAIDSIPLFPKLLEVVGLGYTIWFSTRYLIFKENREELFAKIQVLKQQVLGSDDD >ONI03987 pep chromosome:Prunus_persica_NCBIv2:G6:27047487:27048764:-1 gene:PRUPE_6G295300 transcript:ONI03987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALQSPVTGDQLLRPKSGRKPLQPRNTRATLVNSNLTKLKPMHKWIGVDDDSNKENRPIYATPTKMIEPIDASLAEELSAIRKKMERLRLDRERTEKMLEERDMVMEMQMKELESRGQIQKMLEIELDRIYRLNQLHTRSNRVSPIRSLREKEQQKKATKIESTTQEVTAEDMEESVDENTPQKLSSASSNSEIVTEKTDK >ONI04631 pep chromosome:Prunus_persica_NCBIv2:G6:28882025:28884452:-1 gene:PRUPE_6G331200 transcript:ONI04631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQNCNSKPQPVLPKRIILMRHGESQANIDLATYSTTPHNKIPLTEVGLAQAHLAGAHLHRVISDIDTNSPNWRVYFYVSPYERTRSTLCQIGRSFSRTRVIGVREECRLREQDFGNFRSQVRIKAIKETKEKFGRFFFRNPEGESAADVYDRVSSFLESLWRDIDMNRLRHDPCHDLNLIIISHGLTARVFLMKWFKWTVEQFEHLNNLGNCEFQVMQLGKGGEYSLAIHHSEEELVEWGLSPEMIADQKWRAHANRGDWNERCPWYLDTFFNRLADSEDSGESEDIWHETDEKIRQSN >ONI01753 pep chromosome:Prunus_persica_NCBIv2:G6:13935812:13943169:1 gene:PRUPE_6G156800 transcript:ONI01753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALSGFDEVVRMEPEKAEWGFKALKQTVKLYYRLGRYKEMMDAYRVMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMNKILKELHKSCQKEDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGDRSKGMKKYAAIDKWNTQLKSLYQTISNRVY >ONI01754 pep chromosome:Prunus_persica_NCBIv2:G6:13935812:13943169:1 gene:PRUPE_6G156800 transcript:ONI01754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKDPEGALSGFDEVVRMEPEKAEWGFKALKQTVKLYYRLGRYKEMMDAYRVMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMNKILKELHKSCQKEDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGDRSKGMKKYAAIDKWNTQLKSLYQTISNRVY >ONI03311 pep chromosome:Prunus_persica_NCBIv2:G6:24554137:24556700:1 gene:PRUPE_6G250300 transcript:ONI03311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSPCGKSVLKNVDSFPGLQPSANQAQSPNSSLSDRLVSDLVNAVDESLNIKDVEEESLNIKDFVEESLNLKDVGKEYVGIKDVGEVEHASSNEEIISGVEKRKPCDGPKAQQGKITQNCLNKCVTFPNANGVLPRNASSDMAEEEPETAMQTPAPSKLLSAMKGSREKEGLSPGKLTVTWAPDVYDPPTTSMSHTVTGKKQQKSKNKKNWKRDGKKGQKGSSSRGKEKKQYRKGGASERSHRYLDSRETLVGTNNDFGDLVVGSPDQHSYCGSSFLKNSLTSMHYPVAEAL >ONI05272 pep chromosome:Prunus_persica_NCBIv2:G6:30659809:30660387:-1 gene:PRUPE_6G365300 transcript:ONI05272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSMNISNGAGGARSGSQYHTHKVFLLCNYILLGAASSCIFLTLSLRLLPSVCGFFLILLHIFTIGGAISGCAAASAGTTSGKWYGAHMVATVLTAIFQGSVSVLIFTRTGDFLGELRSYVRDEDGAVILKLAGGLSVVIFCLEWVVLTLAFFLKYYASVQGTTTASPAMRSSKVQQEEDLKDWPWPFQV >ONI05249 pep chromosome:Prunus_persica_NCBIv2:G6:30588330:30590201:1 gene:PRUPE_6G364300 transcript:ONI05249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSELDININDGVLNDSSDAELKHSNDGALVGSFVEVEEMSHLGQGQDDEVTQNPCKDGSSVVVEAHEPYVGQEFDTEETAQAFYNAYGMRVGFMTRMNYLARSKHGGTIIGRTFVCNKEGYRKPDRRDKTTIKPRAPTRVGCKAMLSIKKISIGKWVVTRFMKEHTHALTATANKGQKGLIADQVPDDKTKIEELTRELFLERKQSASLREIVDLLFDHIEEHTQDLSKKVQYVVDKVKEIESEGKSPSILNLMYTVYIHISFII >ONI02557 pep chromosome:Prunus_persica_NCBIv2:G6:21395562:21396255:-1 gene:PRUPE_6G205800 transcript:ONI02557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKITVISTSPGKEEEAIKHLHADSFLVSRDEDQMQAAMGTMDGIIDTVSANHPLLPYIDLLKSHGKLVMVGAPEKRPELPVFPLLMGRKIVAGSCVGGMKETQEMIDFAAKHNVTADIEVIPIDYVNTAIERLAKTDVRYRFVIDIGNTLKPSS >ONI03449 pep chromosome:Prunus_persica_NCBIv2:G6:24974285:24976420:-1 gene:PRUPE_6G257300 transcript:ONI03449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNVRFFLSSAEGFLIEMEFDDRYRQAQRTKYDCLLFDLDDTLYPYSTGIATACRNNIEDYMVEKLGIERSIIPELGNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENIKPDPVLRNLLLNLPYRKIIFTNADKVHAAKALSRLGLEDCFEGIICFETLNPTHKSIVSDDEDDIEFVGPAAEVPATTTSSSQIFDIIGHFAQQNPTSKLPKTPIVCKPSEAAIERALKIANIDPQRTLFFEDSVRNIQAGKRVGLQTVLIGTSQRVKGADYALESIHNLREALPELWEVEMISEVRYSGKVAVETSVTA >ONI03450 pep chromosome:Prunus_persica_NCBIv2:G6:24974285:24977010:-1 gene:PRUPE_6G257300 transcript:ONI03450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDDRYRQAQRTKYDCLLFDLDDTLYPYSTGIATACRNNIEDYMVEKLGIERSIIPELGNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENIKPDPVLRNLLLNLPYRKIIFTNADKVHAAKALSRLGLEDCFEGIICFETLNPTHKSIVSDDEDDIEFVGPAAEVPATTTSSSQIFDIIGHFAQQNPTSKLPKTPIVCKPSEAAIERALKIANIDPQRTLFFEDSVRNIQAGKRVGLQTVLIGTSQRVKGADYALESIHNLREALPELWEVEMISEVRYSGKVAVETSVTA >ONI03448 pep chromosome:Prunus_persica_NCBIv2:G6:24974285:24976839:-1 gene:PRUPE_6G257300 transcript:ONI03448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSFLIEMEFDDRYRQAQRTKYDCLLFDLDDTLYPYSTGIATACRNNIEDYMVEKLGIERSIIPELGNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENIKPDPVLRNLLLNLPYRKIIFTNADKVHAAKALSRLGLEDCFEGIICFETLNPTHKSIVSDDEDDIEFVGPAAEVPATTTSSSQIFDIIGHFAQQNPTSKLPKTPIVCKPSEAAIERALKIANIDPQRTLFFEDSVRNIQAGKRVGLQTVLIGTSQRVKGADYALESIHNLREALPELWEVEMISEVRYSGKVAVETSVTA >ONI00710 pep chromosome:Prunus_persica_NCBIv2:G6:7090454:7096134:1 gene:PRUPE_6G102100 transcript:ONI00710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESRASSSGDEASEPNPNPIPKPPEDQLAALALSETDSHANGVVHGSAGENNHNHQEIESDEEEVEANSAAPGLAEVEESREGLPRGSVGGVVWARTNSELEVDGPSSPSSSGYAGERGSSSASSGGSGIDEISEVRNEEVVDGFSDLQASWVPGKRHVDEDDASLSWRKRKKHFFILSNSGKPIYSRHGDEHKLAGFSATLQAIISFVENGGDHVKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLRMQLELIYGQMLLILTKSVNRCFEKNPKFDMTPLLGGTDIVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDMLLLSNFVMASESFRTSESFSPICLPRYNPMAFLYAYVHYLDADTYLMLLTTSSDAFYHLKDCRIRIEMVLLKSSVLSEIQRSMIDGGMRVEELPLDPLPRSASFSPHLGQHTLSTDSPDRFREPYIGVGGPAGLWHFIYRSIFLDQYVSSEFSPPISSPRQQKRYHCQIF >ONI00709 pep chromosome:Prunus_persica_NCBIv2:G6:7090273:7098365:1 gene:PRUPE_6G102100 transcript:ONI00709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESRASSSGDEASEPNPNPIPKPPEDQLAALALSETDSHANGVVHGSAGENNHNHQEIESDEEEVEANSAAPGLAEVEESREGLPRGSVGGVVWARTNSELEVDGPSSPSSSGYAGERGSSSASSGGSGIDEISEVRNEEVVDGFSDLQASWVPGKRHVDEDDASLSWRKRKKHFFILSNSGKPIYSRHGDEHKLAGFSATLQAIISFVENGGDHVKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLRMQLELIYGQMLLILTKSVNRCFEKNPKFDMTPLLGGTDIVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDMLLLSNFVMASESFRTSESFSPICLPRYNPMAFLYAYVHYLDADTYLMLLTTSSDAFYHLKDCRIRIEMVLLKSSVLSEIQRSMIDGGMRVEELPLDPLPRSASFSPHLGQHTLSTDSPDRFREPYIGVGGPAGLWHFIYRSIFLDQYVSSEFSPPISSPRQQKRLYRAYQKLYASMHDRGIGPHKTQFRRDENYVLLCWVTQDFELYAAFDPLADKALAIKTCNRVCQWVKDVENEIFLLGASPFSW >ONI02464 pep chromosome:Prunus_persica_NCBIv2:G6:20699562:20702009:1 gene:PRUPE_6G199900 transcript:ONI02464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKSPQSKPNPVAEDYVTTLCITKLSNFNDLPYRLKQCFLYCSLFPEDHVIINIRLIRLWIAEGFVEHVEGLTPEEVANSYLTELIFRNMLQERFLGSIPASKKEKFCAIHDGSETGALCLSIQTTKGEIGSCTGISRLRSFLVFATGVSSFSFSNKLPFDLKLLKVLDLEYVPTTTKKQKDDEDDVIDTYYVAYIKTTVVKLIFHFDFSIKDDVEIVVSVIIYDVYVNRVDVEKLNNVGYKYMRVVLQNLYVLFSETNNDDKY >ONI01822 pep chromosome:Prunus_persica_NCBIv2:G6:14985431:14994196:-1 gene:PRUPE_6G161300 transcript:ONI01822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRGRLVAGSHNRNEFVLINADENARIKSVQELSGQICQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDDEEDDIDDLDNEFNYGNLDTMGPHQVAEAVLSSRLNIGRGSDCNVRIPTHSEHESPLGSEVPLLTYGEEDSEISSDRHALIVPPYLGHGNRVHPMPFPDPSPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKKQNDKLQVVKHEGDNNGGNFGGNEPDDPDLPMMDEGRQPLSRKLPIPSSRINPYRMIIILRLVILGLFFHYRILHPVKDAYGLWLTSVICEIWFAVSWILDQFPKWSPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFCQKIDYLKNKVHPAFVRERRAMKREYEEFKVRINGLVAMAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGNNGVRDVEGCELPRLVYVSREKRPGFDHHKKAGAMNALIRVSAIISNAPYLLNVDCDHYINNSKAIRESMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPTKKKPPSRTCNCWPKWCCLWCGSRKSKNAKSKKDKKKKSKQREASKQIHALENIEEAIEEPNTNKSSNMSQLKLEKKFGQSPVFVASAVLENGGIPHDVSPASLLKEAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKLPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPI >ONI01821 pep chromosome:Prunus_persica_NCBIv2:G6:14986562:14993510:-1 gene:PRUPE_6G161300 transcript:ONI01821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRGRLVAGSHNRNEFVLINADENARIKSVQELSGQICQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDDEEDDIDDLDNEFNYGNLDTMGPHQVAEAVLSSRLNIGRGSDCNVRIPTHSEHESPLGSEVPLLTYGEEDSEISSDRHALIVPPYLGHGNRVHPMPFPDPSPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKKQNDKLQVVKHEGDNNGGNFGGNEPDDPDLPMMDEGRQPLSRKLPIPSSRINPYRMIIILRLVILGLFFHYRILHPVKDAYGLWLTSVICEIWFAVSWILDQFPKWSPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFCQKIDYLKNKVHPAFVRERRAMKREYEEFKVRINGLVAMAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGNNGVRDVEGCELPRLVYVSREKRPGFDHHKKAGAMNALIRVSAIISNAPYLLNVDCDHYINNSKAIRESMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPTKKKPPSRTCNCWPKWCCLWCGSRKSKNAKSKKDKKKKSKQREASKQIHALENIEEAIEEPNTNKSSNMSQLKLEKKFGQSPVFVASAVLENGGIPHDVSPASLLKEAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKLPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSLPLIVYCSLPAICLLTGKFIVPEISNYASIIFMALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGAFSELYIFKWTALLIPPMTLLIINIVGVVVGISDAINNGYDSWGPLFGRLFFAFWVIMHLYPFLKGLLGKQDRMPTIILVWSILLASILTLMWVRVNPFVSKGGPVLEVCGLNCD >ONI05134 pep chromosome:Prunus_persica_NCBIv2:G6:30308484:30309786:-1 gene:PRUPE_6G357900 transcript:ONI05134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDCTMLNALLRHYDRNLKCFIFNGNSLYFSLVDVLLITGMPIDGRPVIGRIGKTENLFFNAFGIDPPPESLDGGRIRLSWLREKYSNNPVRPDEDGWAHYLRAYIMYVIGSYLIPDDCTGLVSAQYLGLLDNLQEVGSYAWGAAAWASLYNSLDRGIVNGLAYALMVFAIEHIKPLRIELIGETVDLPMECPLMVSWSHLLHKRLKANTVPKFGVYMQILGNVTDENFVQRPYERLSHTLLREGYERYALQLAKACSVTLCINYHIVVPHKPNNYARQFGFENIDLDSIPELPDVVVEVRKKGPKKDLNLADHGHFPLYINSWLGRFPLRGPRIGMFILVSLIFFNNSDFYSRTALPIFFF >ONI03368 pep chromosome:Prunus_persica_NCBIv2:G6:24712705:24715980:1 gene:PRUPE_6G253500 transcript:ONI03368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCRETPQSGLFGPLQDGELELVEAMLEADPSVFQQRKGRARLSALHVAAVEGQIEVLSLLLDRSVINPDVVDRHKLTPLMLAAINGRIACVQKLIQAGANILMFDSLNGRTCLHYAAYYGHADCLQAILSAAHSSPVASSWGFSRFVNIRDAGGATPLHLAARQSRPECVHALLDNGALVCASTGGYGRLPYTVALRRKHRACAAVLNPSSAEPLVWPSPLKFISELNPEAKALLERALTEANMEREKAILKEAVNSLPSPLHSDAETDDNASEASDVDLCCICFDQLCTIEVGPCCHQMCAHCTLALCCHKKPDPSTTCPTVPVCPFCRTTITQLVVANIKANNDVELEMSPSKPRRSRKSNVSEGSSSFKGLSPMSSFGRMGGRSSGKIAAETNEEIHKP >ONI03367 pep chromosome:Prunus_persica_NCBIv2:G6:24712705:24715980:1 gene:PRUPE_6G253500 transcript:ONI03367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCRETPQSGLFGPLQDGELELVEAMLEADPSVFQQRKGRARLSALHVAAVEGQIEVLSLLLDRSVINPDVVDRHKLTPLMLAAINGRIACVQKLIQAGANILMFDSLNGRTCLHYAAYYGHADCLQAILSAAHSSPVASSWGFSRFVNIRDAGGATPLHLAARQSRPECVHALLDNGALVCASTGGYGYPGSTPLHLAARGGSLDCVRELLAWGADRLQLDASGRLPYTVALRRKHRACAAVLNPSSAEPLVWPSPLKFISELNPEAKALLERALTEANMEREKAILKEAVNSLPSPLHSDAETDDNASEASDVDLCCICFDQLCTIEVGPCCHQMCAHCTLALCCHKKPDPSTTCPTVPVCPFCRTTITQLVVANIKANNDVELEMSPSKPRRSRKSNVSEGSSSFKGLSPMSSFGRMGGRSSGKIAAETNEEIHKP >ONH99843 pep chromosome:Prunus_persica_NCBIv2:G6:3733780:3737313:-1 gene:PRUPE_6G053200 transcript:ONH99843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPQIHSMNQSGQELCLSKLSFLFSLFSLSPTKSTQKPTMFFLRVHSVDTNHPLSLEDAEFTTITTSKITSATESNPNTSPKFSERRGIAHLFRSIGHSSLPNSPASRSTLLFVIAVPNYLSFDDFIRFCGSRIDHALELVFIRNDGMEDRYSVLVELKNQMTADGFYHTLNGKKYSPGEAEVCHILFLLSVDYTDSDEIAGTPPEGCTELPTCPVCLERLDADTSGIASTLCDHSFQCPCISKWTYLSCQVCRFCQQQDEKPACSVCGISVNPWVCVICGFVGCGRYTEGHAVRHWKDTQHCYSLELNRQQIWDYVGDAYVHRLNQSKIDGKLITDTDSQCMSVEGDCDRCECSDDSGISGALYSSKVETIVDEYNRLLASQLETQRQYYESLLMEAKSKKESSILEAVEKAVNSKMQDIQAKLEKCLEERNAVADINRNLIKDQETWRGKLKEIEVREAASLRLREEKMVDLEEQIRDLTVYIEAQKTLNDMTDSDGIKGGTLLPVPSSKQSSPANSRRQTKSGRRRN >ONH99844 pep chromosome:Prunus_persica_NCBIv2:G6:3733397:3737377:-1 gene:PRUPE_6G053200 transcript:ONH99844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPQIHSMNQSGQELCLSKLSFLFSLFSLSPTKSTQKPTMFFLRVHSVDTNHPLSLEDAEFTTITTSKITSATESNPNTSPKFSERRGIAHLFRSIGHSSLPNSPASRSTLLFVIAVPNYLSFDDFIRFCGSRIDHALELVFIRNDGMEDRYSVLVELKNQMTADGFYHTLNGKKYSPGEAEVCHILFLLSVDYTDSDEIAGTPPEGCTELPTCPVCLERLDADTSGIASTLCDHSFQCPCISKWTYLSCQVCRFCQQQDEKPACSVCGISVNPWVCVICGFVGCGRYTEGHAVRHWKDTQHCYSLELNRQQIWDYVGDAYVHRLNQSKIDGKLITDTDSQCMSVEGDCDRCECSDDSGISGALYSSKVETIVDEYNRLLASQLETQRQYYESLLMEAKSKKESSILEAVEKAVNSKMQDIQAKLEKCLEERNAVADINRNLIKDQETWRGKLKEIEVREAASLRLREEKMVDLEEQRSYSLH >ONI02124 pep chromosome:Prunus_persica_NCBIv2:G6:18338852:18343474:-1 gene:PRUPE_6G178400 transcript:ONI02124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPYPILDNRPIDQWKVTELKEELKKRKLTTKGLKDDLIKRLDEAIRIERANNGLNGDPQPVVGVKVVEPRSGSRTPKSIGSGGRKRTEKVDVPVQIDNRAATLDQGNIQEGYILVDKDACAIVEEELGQSTTVESTVETSVTVTESVVTEEVVVVQDSQNTEANKGNGNSKPLLENEDPKPQLENEDPKPQLENEKPEPQLEDPKPQLENVDLKPQLENEALKPQLEIEDLKPQLENEGLEPTAKDDMLGSSAPNYQVSEVSILGSEVKYDSISTDSVSINETIELKDNIIADHVKLELDIVKPEMVDPSSSTVVPVSGDSHPMDVDEPLEDKASLGEKDDNITNADISKKNDNADLGYSEILNLERSSGDDSMEEDVLDSKQIDSKYSSDDVGDIGEKNEVPIVNEESCAGIVGDDIATDKNDVSVENKNHPALPAEKRKLNDQAAVGSNEPVKRRRWNSENLKVPELQSPLQTPSVTPKDTFQTPGLKRSFSRSNSTMSEDAPKERVVPPSQKPPTNSLRIDRFLRPFTLKAVQELLGKTGKVTDFWMDHIKTHCYVTYSSAEEALETRNAVYNLQWPPNGGRLLVAEFVDPQEVQTRVQIPQTPATPISSGPAVPVAPSTSQPQPSPRQPRQQQQQLPPPPSLPPPPPLTAPSSARERLPLPPPPPLPEKLDTPIVTLDDLFRKTKSTPRIYYLPLSEEQVAEKLAAQGKNAKQ >ONI04488 pep chromosome:Prunus_persica_NCBIv2:G6:28540069:28551866:-1 gene:PRUPE_6G324000 transcript:ONI04488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDAFSVRIVSVDYYMAPPIPGVDISYSSFQGGKVNEVPVIRIFGSTPAGQKTCLHIHRALPYLYVPCADIPIQLHEKGDSYTHDMSLLIEKALKLKGNAGSKRQHVHSCSLVQARKLYGYHSSEEVFVKIYLYSPHDVSRAANLLLGSSVLDKCLQPHESHIPFILQFLVDYNLYGMGHLHVSKIKFRHPIPDAFCPRKSVHNGQPSQDIDKSTCMPADFQADIHGHLSLGSPVWISSTIPVDWTWNSPGEFDASSNPDMNCIKRQSICELEGDATVDEILNQQLKIYTSFSQTRSDVKMVQSLIPIWEEYERTGIHEAAIPPDPAKPLPEDTLKTLAGGLEFNNKLIKVHGEAESSLCRTQLRKDVRSVEQMTSPKDEGNFVQLQTDNLTDGDIIRSPSTQDFTEERVSNAKLSLNKELPASQVIETINMKAADNEALGLLRWLATSQAADDINSDDELVCETILSPLLPATTIDKVLEKANVDYETESQKECQDILDSVGDLIDFEGGKEKASYPSDRNHSSKRSSEYVIPRVDGCGDDAISTPCDGSSGSFSEIEGKSEFRTSDHQVQDDSSSFNHKHKRKKSLWGSLPLSATQKMKTEGELIKLYRETNKPVGTSSSSEDQVGKRAGIGACDLKESSMLARCSVRDLMRRKRSYRIEPPECGSQGIKEVLLGREENEDTLLCAKRLDFQMSCADATTFEGLSSKGGVCEMPFENPVGVNAITVATFLNNEGSGGQKLGVDSVLCGLRNSPFGVIPSDDKGLIEMSFCRKPPVADWNYGESKNASSLYDDCQDEREIQNKCVRSESSSHQESVMGVPIHYQTDGSYLYLLTPATTPPSAKNVCRWLSSDEKGSSRNLTGLQSYLPNDWEKSSPECGSIDDVLPILHQGSQENHGNHETERTEIVQREGDAVKVQTCSEYSQDSSQISGPDGRSKPTPLSQIGFRDPASVGGGQQLTLLSVEVQAESRGDLRPDPRFDAINLISLAIQNDSDSIVEIFVLLHSKAESSQRILDGISGCKVLVFYEEKYLFDHFIKTVCSLDPDVLMGWDIQGGSLGFLAERASLFGIGLLNKISRVPSETKMEAEDLEIPEKAIQEKMIHEAVIADPVVLDPIVEDEWGRTHASGVHVGGRIVLNVWRLMRGEVKLNIYTVEAVAQAVLRRKVPYILNKVLTKWFLSGPGRARYRCIEYLNERAKLSLEIMNQLDMINRTSELARVFGIDFFSVLSRGSQYRVESMFLRLAHAQNYVAISPGPKQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCYSTCLGKVVPSEANTLGVSSFSPDPHDLHNLKDQILLTPNGVMYVPEKVRKGVLPRLLEEILSTRIMVKQAMKKLSSSEQVLHRIFNARQLALKLISNVTYGYTAAGFSGRMPCAEIADSIVQCGRSTLEKAISYVNAHGKWNARVIYGDTDSMFVLLKGRSIEDSFQIGHEIASEITAMNPNPIALKMEKVYSSCFLLTKKRYVGYSYESPEQTEPIFDAKGIETVRRDTCGAVAKTMEQSLRLFFEHQDMYEVRAYLQRQWKRILSGRVSLQDFVFAKEVRLGTYRASAFSSLPPAAIVATKAMRTDPRAEPRYAERVPYVVIHGEPGARLVDLVVDPLILLAIDSPYRLNDLYYIHKQIIPALQRVFGLLGADLSQWFSDMPRPAREAFGKRLFYASNPHRTRIDYYYLSRHCILCGELVQASAHLCNQCCENKSFAAVAVTGRTSKLEREMQQLAGICRHCGGGDWVVESGIKCTSLACSVFYERRKVQKELQGLASVAAETGFYPKCMVEWF >ONI04490 pep chromosome:Prunus_persica_NCBIv2:G6:28541553:28551657:-1 gene:PRUPE_6G324000 transcript:ONI04490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDAFSVRIVSVDYYMAPPIPGVDISYSSFQGGKVNEVPVIRIFGSTPAGQKTCLHIHRALPYLYVPCADIPIQLHEKGDSYTHDMSLLIEKALKLKGNAGSKRQHVHSCSLVQARKLYGYHSSEEVFVKIYLYSPHDVSRAANLLLGSSVLDKCLQPHESHIPFILQFLVDYNLYGMGHLHVSKIKFRHPIPDAFCPRKSVHNGQPSQDIDKSTCMPADFQADIHGHLSLGSPVWISSTIPVDWTWNSPGEFDASSNPDMNCIKRQSICELEGDATVDEILNQQLKIYTSFSQTRSDVKMVQSLIPIWEEYERTGIHEAAIPPDPAKPLPEDTLKTLAGGLEFNNKLIKVHGEAESSLCRTQLRKDVRSVEQMTSPKDEGNFVQLQTDNLTDGDIIRSPSTQDFTEERVSNAKLSLNKELPASQVIETINMKAADNEALGLLRWLATSQAADDINSDDELVCETILSPLLPATTIDKVLEKANVDYETESQKECQDILDSVGDLIDFEGGKEKASYPSDRNHSSKRSSEYVIPRVDGCGDDAISTPCDGSSGSFSEIEGKSEFRTSDHQVQDDSSSFNHKHKRKKSLWGSLPLSATQKMKTEGELIKLYRETNKPVGTSSSSEDQVGKRAGIGACDLKESSMLARCSVRDLMRRKRSYRIEPPECGSQGIKEVLLGREENEDTLLCAKRLDFQMSCADATTFEGLSSKGGVCEMPFENPVGVNAITVATFLNNEGSGGQKLGVDSVLCGLRNSPFGVIPSDDKGLIEMSFCRKPPVADWNYGESKNASSLYDGRATDEFCPFFVRDCQDEREIQNKCVRSESSSHQESVMGVPIHYQTDGSYLYLLTPATTPPSAKNVCRWLSSDEKGSSRNLTGLQSYLPNDWEKSSPECGSIDDVLPILHQGSQENHGNHETERTEIVQREGDAVKVQTCSEYSQDSSQISGPDGRSKPTPLSQIGFRDPASVGGGQQLTLLSVEVQAESRGDLRPDPRFDAINLISLAIQNDSDSIVEIFVLLHSKAESSQRILDGISGCKVLVFYEEKYLFDHFIKTVCSLDPDVLMGWDIQGGSLGFLAERASLFGIGLLNKISRVPSETKMEAEDLEIPEKAIQEKMIHEAVIADPVVLDPIVEDEWGRTHASGVHVGGRIVLNVWRLMRGEVKLNIYTVEAVAQAVLRRKVPYILNKVLTKWFLSGPGRARYRCIEYLNERAKLSLEIMNQLDMINRTSELARVFGIDFFSVLSRGSQYRVESMFLRLAHAQNYVAISPGPKQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCYSTCLGKVVPSEANTLGVSSFSPDPHDLHNLKDQILLTPNGVMYVPEKVRKGVLPRLLEEILSTRIMVKQAMKKLSSSEQVLHRIFNARQLALKLISNVTYGYTAAGFSGRMPCAEIADSIVQCGRSTLEKAISYVNAHGKWNARVIYGDTDSMFVLLKGRSIEDSFQIGHEIASEITAMNPNPIALKMEKVYSSCFLLTKKRYVGYSYESPEQTEPIFDAKGIETVRRDTCGAVAKTMEQSLRLFFEHQDMYEVQKHVLFLLIVLELV >ONI04489 pep chromosome:Prunus_persica_NCBIv2:G6:28540429:28551657:-1 gene:PRUPE_6G324000 transcript:ONI04489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDAFSVRIVSVDYYMAPPIPGVDISYSSFQGGKVNEVPVIRIFGSTPAGQKTCLHIHRALPYLYVPCADIPIQLHEKGDSYTHDMSLLIEKALKLKGNAGSKRQHVHSCSLVQARKLYGYHSSEEVFVKIYLYSPHDVSRAANLLLGSSVLDKCLQPHESHIPFILQFLVDYNLYGMGHLHVSKIKFRHPIPDAFCPRKSVHNGQPSQDIDKSTCMPADFQADIHGHLSLGSPVWISSTIPVDWTWNSPGEFDASSNPDMNCIKRQSICELEGDATVDEILNQQLKIYTSFSQTRSDVKMVQSLIPIWEEYERTGIHEAAIPPDPAKPLPEDTLKTLAGGLEFNNKLIKVHGEAESSLCRTQLRKDVRSVEQMTSPKDEGNFVQLQTDNLTDGDIIRSPSTQDFTEERVSNAKLSLNKELPASQVIETINMKAADNEALGLLRWLATSQAADDINSDDELVCETILSPLLPATTIDKVLEKANVDYETESQKECQDILDSVGDLIDFEGGKEKASYPSDRNHSSKRSSEYVIPRVDGCGDDAISTPCDGSSGSFSEIEGKSEFRTSDHQVQDDSSSFNHKHKRKKSLWGSLPLSATQKMKTEGELIKLYRETNKPVGTSSSSEDQVGKRAGIGACDLKESSMLARCSVRDLMRRKRSYRIEPPECGSQGIKEVLLGREENEDTLLCAKRLDFQMSCADATTFEGLSSKGGVCEMPFENPVGVNAITVATFLNNEGSGGQKLGVDSVLCGLRNSPFGVIPSDDKGLIEMSFCRKPPVADWNYGESKNASSLYDGRATDEFCPFFVRDCQDEREIQNKCVRSESSSHQESVMGVPIHYQTDGSYLYLLTPATTPPSAKNVCRWLSSDEKGSSRNLTGLQSYLPNDWEKSSPECGSIDDVLPILHQGSQENHGNHETERTEIVQREGDAVKVQTCSEYSQDSSQISGPDGRSKPTPLSQIGFRDPASVGGGQQLTLLSVEVQAESRGDLRPDPRFDAINLISLAIQNDSDSIVEIFVLLHSKAESSQRILDGISGCKVLVFYEEKYLFDHFIKTVCSLDPDVLMGWDIQGGSLGFLAERASLFGIGLLNKISRVPSETKMEAEDLEIPEKAIQEKMIHEAVIADPVVLDPIVEDEWGRTHASGVHVGGRIVLNVWRLMRGEVKLNIYTVEAVAQAVLRRKVPYILNKVLTKWFLSGPGRARYRCIEYLNERAKLSLEIMNQLDMINRTSELARVFGIDFFSVLSRGSQYRVESMFLRLAHAQNYVAISPGPKQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCYSTCLGKVVPSEANTLGVSSFSPDPHDLHNLKDQILLTPNGVMYVPEKVRKGVLPRLLEEILSTRIMVKQAMKKLSSSEQVLHRIFNARQLALKLISNVTYGYTAAGFSGRMPCAEIADSIVQCGRSTLEKAISYVNAHGKWNARVIYGDTDSMFVLLKGRSIEDSFQIGHEIASEITAMNPNPIALKMEKVYSSCFLLTKKRYVGYSYESPEQTEPIFDAKGIETVRRDTCGAVAKTMEQSLRLFFEHQDMYEVRAYLQRQWKRILSGRVSLQDFVFAKEVRLGTYRASAFSSLPPAAIVATKAMRTDPRAEPRYAERVPYVVIHGEPGARLVDLVVDPLILLAIDSPYRLNDLYYIHKQIIPALQRVFGLLGADLSQWFSDMPRPAREAFGKRLFYASNPHRTRIDYYYLSRHCILCGELVQASAHLCNQCCENKSFAAVAVTGRTSKLEREMQQLAGICRHCGGGDWVVESGIKCTSLACSVFYERRKVQKELQGLASVAAETGFYPKCMVEWF >ONI01399 pep chromosome:Prunus_persica_NCBIv2:G6:11186953:11189808:-1 gene:PRUPE_6G137800 transcript:ONI01399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVSPSTEELMTSCKDIYNEIAHGFELSWLLHACTESCPSGEISCWENCTGTGIQSPRPVPWYDKIIMFIIDKVCEWIPQLCK >ONI01767 pep chromosome:Prunus_persica_NCBIv2:G6:14009099:14025923:1 gene:PRUPE_6G157300 transcript:ONI01767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTDIVDLSSDDELGEVDVKPVKLEPGLVGRKLQQNENNKALLVKHQKSKTPCAIRESEENRSSNALSTGHSNSSILDQGQSPVDDTGLSYTSPLSPAPLCRQFWKAGNYNDGIGSKVTIQNGKNYLHVHPMFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVIVDKTSNPRDGSPALLIQDNGSGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSTMRLGADVIVFSRHQDKRVLTQSIGLLSYTFLARTGHDRIVVPMVDYEFNTSTETLEIMHGTEHFMSNLSMLLQWSPYSTEADLLKQFNDIGAHGTKVIIYNLWFNDDRNVELDFDTDPEDIRISGDTKKVNASSKWKTVNEEHIANRFHYSLRVYLSILYLRIPETFQIVLRGRVVEHHNIANDLKFPEFILYKPQMGGSVEGQVITTIGFLKEAPHVSFHGFNVYHKNRLILPFWQVVSYLDSRGRGVVGVLEANFVEPSHNKQDFEKTSLFQKLEARLKEMTWEYWDYHCGLIGYQVKKKLIPQVSSNRTPHSGVQQPVTLGQSSPAVGSKKAALGRFEQLIPDSQGRMKRKENGDIPPVKNVKAKLIDGKSQNVQLVNPTGSELKDEAVNLMQENKKLRAKCLEYEKRGEELNLKVTRLRSEIKELNDEYSRMMSELESLETVKEESGV >ONI01772 pep chromosome:Prunus_persica_NCBIv2:G6:14009183:14025747:1 gene:PRUPE_6G157300 transcript:ONI01772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVIVDKTSNPRDGSPALLIQDNGSGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSTMRLGADVIVFSRHQDKRVLTQSIGLLSYTFLARTGHDRIVVPMVDYEFNTSTETLEIMHGTEHFMSNLSMLLQWSPYSTEADLLKQFNDIGAHGTKVIIYNLWFNDDRNVELDFDTDPEDIRISGDTKKVNASSKWKTVNEEHIANRFHYSLRVYLSILYLRIPETFQIVLRGRVVEHHNIANDLKFPEFILYKPQMGGSVEGQVITTIGFLKEAPHVSFHGFNVYHKNRLILPFWQVVSYLDSRGRGVVGVLEANFVEPSHNKQDFEKTSLFQKLEARLKEMTWEYWDYHCGLIGYQVKKKLIPQVSSNRTPHSGVQQPVTLGQSSPAVGSKKAALGRFEQLIPDSQGRMKRKENGDIPPVKNVKAKLIDGKSQNVQLVNPTGSELKDEAVNLMQENKKLRAKCLEYEKRGEELNLKVTRLRSEIKELNDEYSRMMSELESLETVKEESGV >ONI01769 pep chromosome:Prunus_persica_NCBIv2:G6:14009171:14025747:1 gene:PRUPE_6G157300 transcript:ONI01769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTDIVDLSSDDELGEVDVKPVKLEPGLVGRKLQQNENNKALLVKHQKSKTPCAIRESEENRSSNALSTGHSNSSILDQGQSPVDDTGLSYTSPLSPAPLCRQFWKAGNYNDGIGSKVTIQNGKNYLHVHPMFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVIVDKTSNPRDGSPALLIQDNGSGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSTMRLGADVIVFSRHQDKRVLTQSIGLLSYTFLARTGHDRIVVPMVDYEFNTSTETLEIMHGTEHFMSNLSMLLQWSPYSTEADLLKQFNDIGAHGTKVIIYNLWFNDDRNVELDFDTDPEDIRISGDTKKVNASSKWKTVNEEHIANRFHYSLRVYLSILYLRIPETFQIVLRGRVVEHHNIANDLKFPEFILYKPQMGGSVEGQVITTIGFLKEAPHVSFHGFNVYHKNRLILPFWQVVSYLDSRGRGVVGVLEANFVEPSHNKQDFEKTSLFQKLEARLKEMTWEYWDYHCGLIGYQVKKKLIPQVSSNRTPHSGVQQPVTLGQSSPAVGSKKAALGRFEQLIPDSQGRMKRKENGDIPPVKNVKAKLIDGKSQNVQVTRLRSEIKELNDEYSRMMSELESLETVKEESGV >ONI01771 pep chromosome:Prunus_persica_NCBIv2:G6:14009183:14025786:1 gene:PRUPE_6G157300 transcript:ONI01771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTDIVDLSSDDELGEVDVKPVKLEPGLVGRKLQQNENNKALLVKHQKSKTPCAIRESEENRSSNALSTGHSNSSILDQGQSPVDDTGLSYTSPLSPAPLCRQFWKAGNYNDGIGSKVTIQNGKNYLHVHPMFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVIVDKTSNPRDGSPALLIQDNGSGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSTMRLGADVIVFSRHQDKRVLTQSIGLLSYTFLARTGHDRIVVPMVDYEFNTSTETLEIMHGTEHFMSNLSMLLQWSPYSTEADLLKQFNDIGAHGTKVIIYNLWFNDDRNVELDFDTDPEDIRISGDTKKVNASSKWKTVNEEHIANRFHYSLRVYLSILYLRIPETFQIVLRGRVVEHHNIANDLKFPEFILYKPQMGGSVEGQVITTIGFLKEAPHVSFHGFNVYHKNRLILPFWQVVSYLDSRGRGVVGVLEANFVEPSHNKQDFEKTSLFQKLEARLKEMTWEYWDYHCGLIGYQVKKKLIPQVSSNRTPHSGVQQPVTLGQSSPAVGSKKAALGRFEQLIPDSQGRMKRKENGDIPPVKNVKAKLIDGKSQNVQLVNPTGSELKDEAVNLMQENKKLRAK >ONI01770 pep chromosome:Prunus_persica_NCBIv2:G6:14009165:14025920:1 gene:PRUPE_6G157300 transcript:ONI01770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTDIVDLSSDDELGEVDVKPVKLEPGLVGRKLQQNENNKALLVKHQKSKTPCAIRESEENRSSNALSTGHSNSSILDQGQSPVDDTGLSYTSPLSPAPLCRQFWKAGNYNDGIGSKVTIQNGKNYLHVHPMFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVIVDKTSNPRDGSPALLIQDNGSGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSTMRLGADVIVFSRHQDKRVLTQSIGLLSYTFLARTGHDRIVVPMVDYEFNTSTETLEIMHGTEHFMSNLSMLLQWSPYSTEADLLKQFNDIGAHGTKVIIYNLWFNDDRNVELDFDTDPEDIRISGDTKKVNASSKWKTVNEEHIANRFHYSLRVYLSILYLRIPETFQIVLRGRVVEHHNIANDLKFPEFILYKPQMGGSVEPFWQVVSYLDSRGRGVVGVLEANFVEPSHNKQDFEKTSLFQKLEARLKEMTWEYWDYHCGLIGYQVKKKLIPQVSSNRTPHSGVQQPVTLGQSSPAVGSKKAALGRFEQLIPDSQGRMKRKENGDIPPVKNVKAKLIDGKSQNVQLVNPTGSELKDEAVNLMQENKKLRAKCLEYEKRGEELNLKVTRLRSEIKELNDEYSRMMSELESLETVKEESGV >ONI01768 pep chromosome:Prunus_persica_NCBIv2:G6:14009183:14025747:1 gene:PRUPE_6G157300 transcript:ONI01768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTDIVDLSSDDELGEVDVKPVKLEPGLVGRKLQQNENNKALLVKHQKSKTPCAIRESEENRSSNALSTGHSNSSILDQGQSPVDDTGLSYTSPLSPAPLCRQFWKAGNYNDGIGSKVTIQNGKNYLHVHPMFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVIVDKTSNPRDGSPALLIQDNGSGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSTMRLGADVIVFSRHQDKRVLTQSIGLLSYTFLARTGHDRIVVPMVDYEFNTSTETLEIMHGTEHFMSNLSMLLQWSPYSTEADLLKQFNDIGAHGTKVIIYNLWFNDDRNVELDFDTDPEDIRISGDTKKVNASSKWKTVNEEHIANRFHYSLRVYLSILYLRIPETFQIVLRGRVVEHHNIANDLKFPEFILYKPQMGGSVEGQVITTIGFLKEAPHVSFHGFNVYHKNRLILPFWQVVSYLDSRGRGVVGVLEANFVEPSHNKQDFEKTSLFQKLEARLKEMTWEYWDYHCGLIGYQVKKKLIPQVSSNRTPHSGVQQPVTLGQSSPAVGSKKAALGRFEQLIPDSQGRMKRKENGDIPPVKNVKAKLIDGKSQNVQLVNPTGSELKDEAVNLMQENKKLRAKCLEYEKRGEELNLKVTRLRSEIKELNDEYSRMMSELESLETVKEESGV >ONI01766 pep chromosome:Prunus_persica_NCBIv2:G6:14009048:14025919:1 gene:PRUPE_6G157300 transcript:ONI01766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCRMTLTDIVDLSSDDELGEVDVKPVKLEPGLVGRKLQQNENNKALLVKHQKSKTPCAIRESEENRSSNALSTGHSNSSILDQGQSPVDDTGLSYTSPLSPAPLCRQFWKAGNYNDGIGSKVTIQNGKNYLHVHPMFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVIVDKTSNPRDGSPALLIQDNGSGMDPEAMRRCMSFGFSDKKSKSAIGQYGNGFKTSTMRLGADVIVFSRHQDKRVLTQSIGLLSYTFLARTGHDRIVVPMVDYEFNTSTETLEIMHGTEHFMSNLSMLLQWSPYSTEADLLKQFNDIGAHGTKVIIYNLWFNDDRNVELDFDTDPEDIRISGDTKKVNASSKWKTVNEEHIANRFHYSLRVYLSILYLRIPETFQIVLRGRVVEHHNIANDLKFPEFILYKPQMGGSVEGQVITTIGFLKEAPHVSFHGFNVYHKNRLILPFWQVVSYLDSRGRGVVGVLEANFVEPSHNKQDFEKTSLFQKLEARLKEMTWEYWDYHCGLIGYQVKKKLIPQVSSNRTPHSGVQQPVTLGQSSPAVGSKKAALGRFEQLIPDSQGRMKRKENGDIPPVKNVKAKLIDGKSQNVQLVNPTGSELKDEAVNLMQENKKLRAKCLEYEKRGEELNLKVTRLRSEIKELNDEYSRMMSELESLETVKEESGV >ONI03036 pep chromosome:Prunus_persica_NCBIv2:G6:23597484:23606577:1 gene:PRUPE_6G234500 transcript:ONI03036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLRINACWVPHAFLFLIIFFNLLPRTRCVNGDDYSRTKNPAVLPMVTQLIYSRLTNITKTLSTDLKADMGFCIKNVHDDWNGAFNFTGKLGFLTDCIKQTSGDVTNRLCTAAEIRSYFKSFTAGGIKRSNYLKLNKNCNLTSWVSGCEPGWGCSLGQNQNVDLKASSIPSRTRDCQPCCAGFFCPEGITCMIPCPLGSFCPRAGLNKTTGLCDPYSYQVPAGEPNHTCGGADIWADVDSKTDIFCSAGSYCPSPIHKNICSSGHYCRMGSTAENSCLKLTACGRGTANQKIHAYGIILIVLLSLVLLVIYNCSDQVLAIREKRAAKSRESAARHARETAQARERWKSARDGLKKRKTGLHEQMSRTFSRKKSAKSEQLKVLGQAKPGTDDSLLPPKIPGTLSGEQSSAAASKGKKKEPSDLTKMMQSLEDDPNSNEGFDLQIGDKNIKKQAPKVKNLHTHSQIFKYAYGQLEKEKAMQQQSKNLTFTGLIQMATDTDVKTRPTIEVDFKDLTLTLKGKEKTLLRCVTGKLLPGRVSAVMGPSGAGKTTFLSALAGKVTGCTVTGSILINGKSEPMHSYKKIIGFVPQDDIVHGNLTVEENLRFSARCRLSANMPKPDKVLVVERVIESLGLQSVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSASSQLLLKALRREAREGVNISMVVHQPSYALFRMFDDFILLAKGGLTVYHGSVKKVEEYFAGIGIVVPDRVNPPDHFIDILEGIEKPSSGVTHEELPVRWMLHNGYPVPPDMLHHLDGIAASSAGPKPVMKRDQSFAADMWQDVKSNVVVKKDHLQHNFFTSNDLSDRITPGVVRQYRYFLGRVGKQRLRDAQMLAADYLILLLAGAILGTLGKVKDETFGAHGYTYTVIAVSLLCKIAALRTFSLDKLQYWRESASGISSLAHFLSKDTLDHFNTIIKPLVYLSMFYFFNNPRSSFQDNYIVLLCLVYCVTGIAYAIAIYLEPSPAQLWSVLLPVVSTLIANQVKDSEVAKRVANFCYTKWALEAFFIANAERYSGVWLITRCNQLMKRSYDLDDWTLCLVVLIVIGVLSRVLAFFLMVTFQKK >ONI04456 pep chromosome:Prunus_persica_NCBIv2:G6:28453212:28454480:-1 gene:PRUPE_6G322600 transcript:ONI04456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPLEITIVSASDLKDVNMFSKMDVYAAVSVSGDPGNKKQKTPVAKDGGTNPKWNNYSIKFTLDDAALLDNRLTLNIELVSERSLGDTKIGSVQIPLKELFDSLGGGDYQKKQIKYVGYSVQTSSGKPKGSINFGYKFGHPRSSSGYSLEKQKPKPRSSSGYSLEKQYSLEKQKPKPPSDSRNEFEFELPKMKMKERF >ONI00553 pep chromosome:Prunus_persica_NCBIv2:G6:6552365:6556181:1 gene:PRUPE_6G094500 transcript:ONI00553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRTDPDDLHTILSEQRRDLMAAKTLDSDLDMAFKLQMQEAMSASLALNPSLASSSSSSSRNSPPPSPPHDAILDLAATLMLEDVERFAQEWEDHERTVSEMMKTKEDLNRRIHDQKFAADLCDVPDDYWAKHGDYYERPYSADESSSSSSTKAAAVETENLRLYCKGLVSEERVRDMKVVVAGAGVAICDPRDNLIFEARKNLEAVADGVVLSNEAAELEAIIEGLNKALTLDLKSVTFYCDDYMLYQYVTNRVRPGNSKVATLVNQVALLQRKFEYCSPSLVTRTDIKFALKVAREAIVSQITWRADSSNGKSLKETCVICFEETDVAEMFSIDGCLHRYCCSCMKQHVEVKFLNGMGAECPHEGCKNEVNIDSCAKFLAPKLVEAISQRIKESSIPVTDKVYCPNPRCSALMSKKEVLEYTKTTFVRAEQTGARRCMKCHYYFCINCKVPWHFNMTCYDYKRSHPYPHREDQLLNSLATKKLWRQCVKCNHMVELAEGCYHITCRCGYEFCYTCGAEWKNKKATCSCRIWDERNIIREQPRQAQPIIREQPRQAQPIIREQPRQAQPIIREQPRQVRRRQ >ONI00554 pep chromosome:Prunus_persica_NCBIv2:G6:6552365:6556181:1 gene:PRUPE_6G094500 transcript:ONI00554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRTDPDDLHTILSEQRRDLMAAKTLDSDLDMAFKLQMQEAMSASLALNPSLASSSSSSSRNSPPPSPPHDAILDLAATLMLEDVERFAQEWEDHERTVSEMMKTKEDLNRRIHDQKFAADLCDVPDDYWAKHGDYYERPYSADESSSSSSTKAAAVETENLRLYCKGLVSEERVRDMKVVVAGAGVAICDPRDNLIFEARKNLEAVADGVVLSNEAAELEAIIEGLNKALTLDLKSVTFYCDDYMLYQYVTNRVRPGNSKVATLVNQVALLQRKFEYCSPSLVTRTDIKFALKVAREAIVSQITWRADSSNGKSLKETCVICFEETDVAEMFSIDGCLHRYCCSCMKQHVEVKFLNGMGAECPHEGCKNEVNIDSCAKFLAPKLVEAISQRIKESSIPVTDKVYCPNPRCSALMSKKEVLEYTKTTFVRAEQTGARRCMKCHYYFCINCKVPWHFNMTCYDYKRSHPYPHREDQLLNSLATKKLWRQCVKCNHMVELAEGCYHITCRCGYEFCYTCGAEWKNKKATCSCRIWDERNIIREQPRQAQPIIREQPRQVRRRQ >ONI03524 pep chromosome:Prunus_persica_NCBIv2:G6:25252213:25252691:1 gene:PRUPE_6G262500 transcript:ONI03524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVQFVVQFYKVKGYTGHSKATNKCRVWLLCNAFYERHSYESKIIVCAKVG >ONI03634 pep chromosome:Prunus_persica_NCBIv2:G6:25679964:25683708:-1 gene:PRUPE_6G270700 transcript:ONI03634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSTTTTSQLHISYPARLFKTQSTLINTEKTQLGFKPRGRVQAKCETGIASSAGFGLGNRGRRRKTEGFQRGKPWVVTCTAEGIERGMLMGNRGGGSGEAAAAKKAISVPERFKVVALLACVMCLCNADRVVMSVAIVPLAAKNGWTSSFLGVVQSSFLWGYIFSSVIGGALVDKYGGKRVMAWGVAMWSLATLLTPWAANHSTTSLLAVRAFFGLAEGVALPSMSTLLSRWFPTQERASAVGISMAGFHIGNVIGLLLTPVMLSSIGISGPFILFSSIGLLWLTIWAYTVTNDPGESYCISKSELRLIQAGKSDSPVNSGKLPPLRLLLSKLPTWTIILANITNNWGYFVLLSWMPVYFKTVFGVNLKQAAWFSAVPWGTMAVSSYIAGAASDSLIKAGYSLTSVRKIMQSIGFIGPGVSLLCLNYANTPTDAAVLLTAALCFSSFSQAGFLLNIQDIAPQYAGFLHGISNSAGTFAAIVSTIGTGYFVQWLGSFQAFLTVTAALYFATAIFWNLFATGERVF >ONI04943 pep chromosome:Prunus_persica_NCBIv2:G6:29816687:29823089:1 gene:PRUPE_6G349400 transcript:ONI04943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDDNYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKKPPPGFYDVADEDRPVEQPQFPTTIEELEGKRRIDVEAQLRKQDIAKNKIAQRQDAPSAILQANKLNDPETVRKRSKLMLPAPQISDHELEEIAKMGYASDLAGSEELTEGSGATRALLANYSQTPRLGMTPQRTPQRTPSGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNLMLTPSATPGGAGLTPRIGMTPTRDSFGMTPKGTPIRDELRINEEIDIHDSAKLEQRRNLQFGLGNLPQPKNEYQIVMQPVPEDNEEPEEKIEEDMSDRLARERAEEEARQQALLRKRSKVLQRELPRPPAASLEFIRNSLTRADGDKSSFVPPTSVEQADEMVKKELLSVLEHDNAKYPLTEKVDKRKKKGAKRSAGGPSASVPVIEDFEEDEMTEAGSMIKEEAQYLRVAMGHEEESLDEFVDAHKTCLNDLMYLPTRGAYGLSSVAANMEKLAALQNEFENVKKKMEDDIQKAASIESKVKVRTYGYEMRAKDGLWPKIEETFKQMDTAAKELECFKALQKQEKLAASHRINNIWEEVQKQKELERNLQKRYGDLVVELERVQHRMDEYRAQAEKQEEIAAMNCDQELAEATENVTVLQTTENPDPTTASDELGSTVPGGASNGEATNLQMDGDKDIDAVKDRETVSSDVNLPANMPSAVEGENDPNSQLTSSGGIHSSGVAAQDSVSKGDNVSNNLVATENKMVNDPDDGVISDNVTSSAVAEDQKVEMRQNLTELEGSVQAAGDGGLANGSTAIVSIEGEVENSAENAAPNLNVFNVEETA >ONH99524 pep chromosome:Prunus_persica_NCBIv2:G6:2611922:2614309:-1 gene:PRUPE_6G034400 transcript:ONH99524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQVVKRLKTHKQNHYIDLRFHICSSPNLYRWLPKKERQLGGERQRVNCWLGFDDVEERRRGRRRRQRVMAVRSVLGKLGRSRFIPDNGRLIMVGSSPTVCSSPHHPSDYYGVVHDQNKADQKSNPFLLGSLMKDPVYCHLDFGGLGPARFAIEELRKKRNIQLQSVRVLRVLAEKDVGLPRYDSYYDLLLEAVDADVMRYFEVTVSLNSRGGLWLEDFCLLVDNKKPIRLYHHLGTIIMACCFHNILKNLVQEKALVQNG >ONH99813 pep chromosome:Prunus_persica_NCBIv2:G6:3609012:3611381:-1 gene:PRUPE_6G051600 transcript:ONH99813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASGGTGPPDPNTSGGEGPSSAATGSIAAAAGGAVVPAAAAEASSSPAPPSRYESQKRRDWNTFLQYLKNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHAAGCPYFGHPNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRIYLREVREGQAKARGIPYEKKKRKRPTVTATAVVGNVSAGPSQGGGDGDVGGGDGGGGSSTTAADAATTSTAV >ONI00510 pep chromosome:Prunus_persica_NCBIv2:G6:6370606:6372940:1 gene:PRUPE_6G092400 transcript:ONI00510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTNPDEKILSYNDVVLRRSDLDILSGPYFLNDRIIEFYFSYLSSCSEEILLVPPSIAFCIMNYPVGQGLTEFLEPLHLPEKKLVVFPINDNDDVSEAGGGSHWSLLAFERDANKFFHHDSNRGMNSIHAKRLYNAVVSFMSVSSSATKPVYQECNSSPQQMNGYDCGLYVLAIARVICSWYGEKDEDGDKMWFSAVQGQVTPYVVARMRNEILGQIRDLMPIK >ONI02789 pep chromosome:Prunus_persica_NCBIv2:G6:22723479:22727901:1 gene:PRUPE_6G222100 transcript:ONI02789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKVDTIHEGSAPVSKEVSRTIPPWPGPVTNNFHKGLSIDGSPQRGYSCVSTATKDSWERLFDEGYRADVSVNTDDGGIIYAHANILGMASPAMKGLLKQSSRFHRQRSISILGVPHDAVRVFIRFLYSSCYEKKEMEEFVVHLLVLSHVYMVPQLKQECEKKLEHGLLTTENVVDIFQLALLCDAPRLSLICHRMILKNFKTVCTTTGWKVMKKSHPALEKELVESMIEEDNRQKERIKKVNERKIYLLLYEAMEALVHIYKDGCQTIGPSDKDFEENQAPCKYVACKGLELLVRHFAGCKLRVPGGCIHCKRMWQLLELHSRLCANSHTCRVPLCRNFKERIKKQSKKDEIRWKMLVKKILRTKRIAGAPFISSAKGTFAW >ONI02790 pep chromosome:Prunus_persica_NCBIv2:G6:22724384:22727399:1 gene:PRUPE_6G222100 transcript:ONI02790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKVDTIHEGSAPVSKEVSRTIPPWPGPVTNNFHKGLSIDGSPQRGYSCVSTATKDSWERLFDEGYRADVSVNTDDGGIIYAHANILGMASPAMKGLLKQSSRFHRQRSISILGVPHDAVRVFIRFLYSSCYEKKEMEEFVVHLLVLSHVYMVPQLKQECEKKLEHGLLTTENVVDIFQLALLCDAPRLSLICHRMILKNFKTVCTTTGWKVMKKSHPALEKELVESMIEEDNRQKERIKKVNERKIYLLLYEAMEALVHIYKDGCQTIGPSDKDFEENQAPCKYVACKGLELLVRHFAGCKLRVPGGCIHCKRMWQLLELHSRLCANSHTCRVPLCRNFKERIKKQSKKDEIRWKMLVKKILRTKRIAGAPFISSAKVSSLWQ >ONI02791 pep chromosome:Prunus_persica_NCBIv2:G6:22723420:22727688:1 gene:PRUPE_6G222100 transcript:ONI02791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKVDTIHEGSAPVSKEVSRTIPPWPGPVTNNFHKGLSIDGSPQRGYSCVSTATKDSWERLFDEGYRADVSVNTDDGGIIYAHANILGMASPAMKGLLKQSSRFHRQRSISILGVPHDAVRVFIRFLYSSCYEKKEMEEFVVHLLVLSHVYMVPQLKQECEKKLEHGLLTTENVVDIFQLALLCDAPRLSLICHRMILKNFKTVCTTTGWKVMKKSHPALEKELVESMIEEDNRQKERIKKVNERKIYLLLYEAMEALVHIYKDGCQTIGPSDKDFEENQAPCKYVACKGLELLVRHFAGCKLRVPGGCIHCKRMWQLLELHSRLCANSHTCRVPLCRNFKERIKKQSKKDEIRWKMLVKKILRTKRIAGAPFISSAKVSSLWQ >ONH99366 pep chromosome:Prunus_persica_NCBIv2:G6:2111266:2114599:-1 gene:PRUPE_6G026900 transcript:ONH99366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESREILLKSLENSGVCVLNDVSSVRDLTPATLVSICGQSLNLIGKTPPFPTSLPGSSVADKFKLCTDIASAIKSLGYLADLSFHQFLYPSEEDSYKLIRFLVERLSELSEDIGKSGPKGVNDTREVKEHSFESNLEDHKTGDQGSDLSYDKVGAKLDKLTFNGKLPELTNTNDEDASFSTSADAHLIPQKLDENGVDHVSSSSTGEFNKGGQNSVLSRKHSTEKLQNQEKLLMEEVTAKASELKHLEEELELLKEAADMAFDIHHPVEFYLAKLNEQVDVRKHHLGELKSEWEVVRKHLEEKKKILEESLYANNSDAQEKLQKLKNLELGKESILSAVQKREEELSKLSMDLAKQPKLESRRSYIERVKEITKNSRKQEADIEQILKDTRELQLESNSIQERLHRTYAVVDEMVFREAKKDAVGKQAYRLLTSIHETFEQIREKILANDRIRREVAEHEKKQMAMGSRSLNVGKLQADLDAIMRENEYLEKCLQDK >ONH99367 pep chromosome:Prunus_persica_NCBIv2:G6:2111469:2113342:-1 gene:PRUPE_6G026900 transcript:ONH99367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLRQFLYPSEEDSYKLIRFLVERLSELSEDIGKSGPKGVNDTREVKEHSFESNLEDHKTGDQGSDLSYDKVGAKLDKLTFNGKLPELTNTNDEDASFSTSADAHLIPQKLDENGVDHVSSSSTGEFNKGGQNSVLSRKHSTEKLQNQEKLLMEEVTAKASELKHLEEELELLKEAADMAFDIHHPVEFYLAKLNEQVDVRKHHLGELKSEWEVVRKHLEEKKKILEESLYANNSDAQEKLQKLKNLELGKESILSAVQKREEELSKLSMDLAKQPKLESRRSYIERVKEITKNSRKQEADIEQILKDTRELQLESNSIQERLHRTYAVVDEMVFREAKKDAVGKQAYRLLTSIHETFEQIREKILANDRIRREVAEHEKKQMAMGSRSLNVGKLQADLDAIMRENEYLEKCLQDK >ONH99233 pep chromosome:Prunus_persica_NCBIv2:G6:1488765:1492749:-1 gene:PRUPE_6G019500 transcript:ONH99233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFRSLLLCVVLLLLGFALANTNAARTDPPVVCATLNRTHFDTLFPGFTFGAATAAYQLEGAANIDGRGPSVWDNFTHEHPEKITDGSNGDVAIDQYHRYKEDVAIMKDMGLDAYRFSISWSRLLPNGKLSGGINKKGIEYYNNLTNELLRNGIEPLVTLFHWDVPQALVDEYGGLLSPRIVDDFKAYADLCYKEFGDRVKHWTTLNEPYTISNHGYTIGIHAPGRCSDWYNQNCLGGDSGIEPYLVTHYLLLAHAAAVKLYREKYQAYQNGVIGITVVSHWFEPASESQQDKDAAFQALDFMYGWFMDPLTRGDYPQIMRSILGARLPNFTEEQSKSLSGSYDYIGINYYSARYASAYPKDYSVTTPPSYLTDVHVNVTTDLNGVPIGPRAASDWLYVYPKGLYDLVLYTKEKYNDPIMYITENGMDEFNNPKLSLEQALNDANRIDYYYRHLCYLQAAMKEGANVQGYFAWSLLDNFEWSEGYTVRFGINYIDYDNGLERHSKLSTHWFKSFLKRSSISKKKIRRCGNNNGRATKFVYQI >ONH99234 pep chromosome:Prunus_persica_NCBIv2:G6:1489163:1492721:-1 gene:PRUPE_6G019500 transcript:ONH99234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFRSLLLCVVLLLLGFALANTNAARTDPPVVCATLNRTHFDTLFPGFTFGAATAAYQLEGAANIDGRGPSVWDNFTHEHPEKITDGSNGDVAIDQYHRYKEDVAIMKDMGLDAYRFSISWSRLLPNGKLSGGINKKGIEYYNNLTNELLRNGIEPLVTLFHWDVPQALVDEYGGLLSPRIVDDFKAYADLCYKEFGDRVKHWTTLNEPYTISNHGYTIGIHAPGRCSDWYNQNCLGGDSGIEPYLVTHYLLLAHAAAVKLYREKYQAYQNGVIGITVVSHWFEPASESQQDKDAAFQALDFMYGWFMDPLTRGDYPQIMRSILGARLPNFTEEQSKSLSGSYDYIGINYYSARYASAYPKDYSVTTPPSYLTDVHVNVTTADLNGVPIGPRAASDWLYVYPKGLYDLVLYTKEKYNDPIMYITENGMDEFNNPKLSLEQALNDANRIDYYYRHLCYLQAAMKEGANVQGYFAWSLLDNFEWSEGYTVRFGINYIDYDNGLERHSKLSTHWFKSFLKRSSISKKKIRRCGNNNGRATKFVYQI >ONH99714 pep chromosome:Prunus_persica_NCBIv2:G6:3266184:3267915:-1 gene:PRUPE_6G045200 transcript:ONH99714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTSIILDASKYIQDLKRKLEQMNQEIIAAARSSSAAQNPFPQLKVEPREGGFLIKLFAERSCSGLLVFILEAFEELGLDVHQARVSCSNNFLLEAVGTINDNQSADQKDAQAVKEAVLQAIQNWSEVTQQE >ONH99713 pep chromosome:Prunus_persica_NCBIv2:G6:3266120:3268067:-1 gene:PRUPE_6G045200 transcript:ONH99713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKVQKRASVSKKLQSLRSISNSHAHSKTSIILDASKYIQDLKRKLEQMNQEIIAAARSSSAAQNPFPQLKVEPREGGFLIKLFAERSCSGLLVFILEAFEELGLDVHQARVSCSNNFLLEAVGTINDNQSADQKDAQAVKEAVLQAIQNWSEVTQQE >ONI02808 pep chromosome:Prunus_persica_NCBIv2:G6:22761077:22763718:-1 gene:PRUPE_6G222600 transcript:ONI02808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDHSRRVNNIVVLREFDPSKDCEGVEEVERRCEVGPGGELSLFTDLLGDPICRVRHSPAYLMLVAEQVGEEQEEKQVVGMIRGCIKTVTCGKKLSRNGKNVTHHNKNDDVLDDTLKPLPVYTKLAYILGLRVSPSHRRMGIGLKLVHRVEEWFRENGAEYSYMATDNDNKPSINLFTDKCGYSKFRTPAILVNPVFAHRVKLSSGVHVIKLSPSDAESLYRRRFATTEFFPRDIDAVLNNRLSLGTFLAVPRGTFTAGNWPGSDQFLADPPESWAVLSVWNCKDAYTLEVRGASRVKRTLAKTTRIVDRALPWLRLPSVPELFRPFGFHFLYGLGGSGPRAEKFVKALCDHAHNLAKERGCGVVATEVSSREPLRLGIPHWKRLSCDEDLWCIKRLGEDYSDGSVGDWTKAPPGMSIFVDPREF >ONI00719 pep chromosome:Prunus_persica_NCBIv2:G6:7155397:7156761:1 gene:PRUPE_6G102700 transcript:ONI00719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >ONI00720 pep chromosome:Prunus_persica_NCBIv2:G6:7155397:7157251:1 gene:PRUPE_6G102700 transcript:ONI00720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >ONI02715 pep chromosome:Prunus_persica_NCBIv2:G6:22440310:22441156:1 gene:PRUPE_6G217500 transcript:ONI02715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVKALFFLALLLCSTLVCAARPEPAFSAATSAKTQFEGVETEFDEVVNESCEGAGEEECLMRRTLAAHVDYIYTQKHNP >ONI02714 pep chromosome:Prunus_persica_NCBIv2:G6:22440310:22441230:1 gene:PRUPE_6G217500 transcript:ONI02714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVKALFFLALLLCSTLVCAARPEPAFSAATSAKTQFEGVETEFDEVVNESCEGAGEEECLMRRTLAAHVDYIYTQKHNP >ONH99915 pep chromosome:Prunus_persica_NCBIv2:G6:4014841:4020462:-1 gene:PRUPE_6G057400 transcript:ONH99915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTKRRRKKKSSVDPKPVSKEDEGQKVIRALTEVFSSVSLDDAVSAYREANGDPNKAAEILERAVVESSEEPFTSSTTSGVSGSDAGSSWGSGSSSGSGSSEGFESGCIQNLVSEKGFRGKQKRVVAAAGTVSTVLGKDYVSSNPRRGSWSTMTKLKGFGNGVAAGEEDAEQFLCSMLGDESELSLAVVRDVLCQCGYDVQKALDALLDVSSSSIEQSSSSSNNSLIFKEDGRYRIDQSDTLTDRASDCTSHSSESELQDNIWYSGYTCRNYAKVLASSEAQSPVSPRSTPAELPQKVLESLFNITKSPEYEPTAMNWKNVVNKLQSLAPGFDVCTSSSAEAQKETFVKGDEYHAFRGTAKEHWDSVRSHYQKAAMAYSKGSREYAGYLADQGKVQTKLAREADERASQDIFKARNKGIENMITIDLHGQHVKQAMKLLKIHLLFGTYAQSVQFLRVITGCGSHGVGKSKLKQSVIKLIENEGIKWSEENQGTVLIKLGAQKEFSFLDSESDPE >ONI00602 pep chromosome:Prunus_persica_NCBIv2:G6:6780975:6783558:-1 gene:PRUPE_6G097400 transcript:ONI00602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSSKGHSLLYFVTQIPTLALCFSSSSSLSSAPIQIKHPRHLASILLKNPTSRSATQQIHSHILTSGFLLHHSISTAHLLLFNTLLRCYSFGPFPRDAFTFYKHLQHCSLSFDSFTYSFLLHASIALNSTNPGAQIHALTHKLGFHFHVYVQTALLNMYAACGSLLLALHVFYEMPERNSVTWNVMITSLAKWGELKLARSLFDQMPAPTVVSCTAIIDGYSRKNQPRDAVALFRRMVVEDHVEPTEVTLLAIFPAVSVLGALKICQSLHAYGEKRGFNASDIRVTNSLLDSYAKCGCIDSASRLFEEIPVERKNLVSWTSIISGFAMHGMGKEAVEYFNSMENVGLKPNRVTFLSIFNACSHGRLIDEGLNFFGKMVDEYEIALDIKHYGCLIDMLGRAGRLEEAENMALEIPSNIVNVVIWRTLLGACSFHGNVEMGERVTKKILEMERGYGGDYVLMSNIFAGVGRFSDAERMRSLLDERNAFKLPGHSLV >ONH99940 pep chromosome:Prunus_persica_NCBIv2:G6:4094475:4097079:-1 gene:PRUPE_6G058800 transcript:ONH99940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTPLISLPRHPNSSSPTFSTDLRFSSHPALSLIDQCTSIKQLKQVHAQMLRTGVLFDPYSASKLITASALSSFSSLDYARQVFDQIPQPNVYTWNTLIRAYASSSDPAESILVFLDMLDHCSECPDKYTYPFAIKAASELRALQVGRGFHGMAIKASLGSDIYILNSLVHFYGSCGDLDLARRVFMKTPKKDVVSWNSMITVFAQGNCPQEALELFKEMEAENVKPNDVTMVSVLSACAKKVDLEFGRWVCSHIQRNEIKENLTLNNAMLDMYVKCGSVDDAKRLFDRMPEKDIVSWTTMLDGYAQLGNYEEAWRVFAAMPSQDIAAWNVLISSYEQSGKPKEALAVFNELQKSKSPKPDEVTLVSTLAACAQLGAIDLGGWIHVYIKKQVMKLNCHLTTSLIDMYAKCGDLDKALEVFNSVERRDVFVWSAMIAGLAMHGQGRDALEFFSKMLEAKVKPNAVTFTNVLCACSHTGLVDEGRTFFYQMEPVYGVVPGIKHYACMVDILGRSGNLDEAVELIEKMPIPPTASVWGALLGACKLHGNVVLAEKACSHLLELDPRNHGAYVLLSNIYAETGKWDEVSGLRKHMRDAGIKKEPGCSSIEVNGSVHEFLVGDNSHPLCKEIYSKLDEMALRLKSNGYVPNKSHLLQFVEEEDMKDHALILHSEKLAIAFGLISLSPSQPIQVVKNLRVCGDCHSVAKLISKLYDREILLRDRYRFHHFRDGHCSCNDYW >ONI01632 pep chromosome:Prunus_persica_NCBIv2:G6:12882902:12884064:-1 gene:PRUPE_6G149800 transcript:ONI01632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTHRGTTEASSSRPNRQRPTTSTRRQRVALQDHFEDTTEVEYVALTHDSNVDTEVVDPRCLSMRVQTYP >ONI03610 pep chromosome:Prunus_persica_NCBIv2:G6:25595562:25599255:-1 gene:PRUPE_6G268900 transcript:ONI03610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGPNSSSNSSPRHPLALPTKTRRRVADGLMDAERLPTSSVVNPDNNNNVVYDCGTGGDDEENDITPNGIIFGPFHHLNDHNHYSPHPLMKYLLLRRRAFFCVPDTWLPLIEDGFLWTVAMLQMLRSGRNMGRKIFGALLLMAVISVFIRFSLLSHHMEVVGEAKRTGGRLLILKSYKDDWAAAQQAVTENQASNSMPQRVLERERLSESEPSTMFKRLLERSPTPEIWRKPNSDNFDKCIARPKNRIRTGSKTNGYLLVHANGGLNQMRTGICDMVAVAKIMNATLVLPLLDHQSFWTDPSDFKDIFDWRHFMKVLKDDIDIVEYLPTRYAALKPLQKAPISWSKASYYRSEMIPLLKKHKVLKFTHTDSRLANNGLASSIQRLRCRANYKALQYAKEIEDLGRVLVDRLRKNSNPFVALHLRYEKDMLAFTGCSHNLTAEESEELRVMRYNVKHWKEKEIDSEERRKQGGCPFSPREAALFLKAMGYPSTTTIYIVAGEIYGGNSMAAFRSEYPNVFSHSTLATEQELEPFKPYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFSKSINPDRQNFVKLVDQLDKGAVLWETFSLEVKSLHSDRLGAPYVRQVGESPRLEENFYANPFPGCICNKSEEQIARQKLDERRSISVSSQR >ONH99339 pep chromosome:Prunus_persica_NCBIv2:G6:2029993:2034716:-1 gene:PRUPE_6G025500 transcript:ONH99339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIANKEAIKQFQLLMEEVDEPLKNTFENVHQGYPDETFVRFLKARDGNVGKAHKMLIDCLQWRIQSEIDNILAKPIIPTDLYRAVRDSQLVGLSGYSKEGLPVIAVGLGLSTFDKASVNYYVQSHIQMNEYRDRVVLPSATKKYGQYIGTCVKVLDMTGLRLSALNQIKLLTVISTIDDLNYPEKTDTYYVVNVPYIFSACWKVVKPLLQERTRRKIQVLQGCGRDELLKIMDYASLPHFCRKEGSGSSRHSENGHTNNCFSFDHPFHQELYNFVKQQAVLRESVAPLKQGSFHVNFPETDHEGAEIAKTIETEFHKFGNQNGLTKSLNGLRVNAA >ONI00618 pep chromosome:Prunus_persica_NCBIv2:G6:6840924:6841781:1 gene:PRUPE_6G098200 transcript:ONI00618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGTSQSQTTASSSTSRPGVMAPRGSAAATAGMRRRRIGGSTSSGSVGGGGGGSGAGNNMLRFYTDDAPGLKITPTVVLVMSLCFIGFVTALHVFGKLYLHRSGGGA >ONI02638 pep chromosome:Prunus_persica_NCBIv2:G6:22000452:22004734:1 gene:PRUPE_6G211700 transcript:ONI02638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIPAVLIWMSTRNAYCLSSLASLMVSMLPCLLMVLPEAEAMHLCNSVLHLDKIINWEYLSDCCGQCLEVQAQKNHNKSNSACIIGKTYTMVGTQDDPGLMVLSLHTIFDLIKRDKSSDEFEVTCSYLEVYNEVIYDLLEKSSGHLELREDPEQGVIVAGLRCIKVQSADKILELLNLGNSRRKTESTEANATSSRSHAVLEIKVKRKQKNKYRNQVMRGKLALVDLAGSERASETNSGGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTVMVATVSPVDSQYHHTVNTLKYADRAKEIKTHIQKNIGTIDTHVSDYQQMIDSLQIEVCRLKKELAEKESQLSVKPVEKAADDELSWLNILSHETSENVQERINLQKASFELEETNLHNRLELQHLDDAIAKEQAIGKDGEVLEVMRTRRQVILDNIRENDEAGVNYHMEIEANEKHRCQLQNMIEEAISNNGNKTYLRILSQYRLLGMANTELQFEMAMRDQVINNQREALRNMWDLLMGLGLDERQIMDLASKKGITIEDWTTTPHLGLSAREQSPDLASSKYASFGHCRGMGQSYSRSSCTFQQSQDFGSMSVPQGHLDLAHSFSREEHHSSYYLLSHDHSPSACMSMRTSSEHWFGGRSSLRFGTLDKTPQDMRKSYPVRENSSFTR >ONI02637 pep chromosome:Prunus_persica_NCBIv2:G6:21998536:22005761:1 gene:PRUPE_6G211700 transcript:ONI02637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIRAPATKQTTTLTVAVKCRPFRERERGRDIVRVIDNKEVLVLDPDLSKDYLERIQNRTKEKKYCFDHAFDPGCTNLDVYTKCILSVISGVVNGLNATVFAYGSTGSGKTYTMVGTQDDPGLMVLSLHTIFDLIKRDKSSDEFEVTCSYLEVYNEVIYDLLEKSSGHLELREDPEQGVIVAGLRCIKVQSADKILELLNLGNSRRKTESTEANATSSRSHAVLEIKVKRKQKNKYRNQVMRGKLALVDLAGSERASETNSGGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTVMVATVSPVDSQYHHTVNTLKYADRAKEIKTHIQKNIGTIDTHVSDYQQMIDSLQIEVCRLKKELAEKESQLSVKPVEKAADDELSWLNILSHETSENVQERINLQKASFELEETNLHNRLELQHLDDAIAKEQAIGKDGEVLEVMRTRRQVILDNIRENDEAGVNYHMEIEANEKHRCQLQNMIEEAISNNGNKTYLRILSQYRLLGMANTELQFEMAMRDQVINNQREALRNMWDLLMGLGLDERQIMDLASKKGITIEDWTTTPHLGLSAREQSPDLASSKYASFGHCRGMGQSYSRSSCTFQQSQDFGSMSVPQGHLDLAHSFSREEHHSSYYLLSHDHSPSACMSMRTSSEHWFGGRSSLRFGTLDKTPQDMRKSYPVRENSSFTR >ONH99956 pep chromosome:Prunus_persica_NCBIv2:G6:4150943:4153073:-1 gene:PRUPE_6G059700 transcript:ONH99956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRTGPPLTAIDRFLWGSQSNFSHQNNASSKANVVSTNGLLGFSSFGGAIGHEASCWPSYGAAAQYPQEVSFLDGLLGDHGEPLMMSWSGQAEQNPNTGFIEEAKVSERRVGKRARKGLTAAALIKGQWTDEEDRKLIRLVKQYGVRKWAQIAEKLVGRAGKQCRERWHNHLRPDIKKDSWTEEEETILVDAHTEVGNRWAEIAKRIPGRTENAIKNHWNATKRRQNSRRKNRQAEGKDGNNNKKPQSSILQNYIRSKNLMSNNNNNNNNAASPNVSTMSNNTPTSSSTLSHENPSKTHLNILATEPSDQSTTSNCCDSPLNLGDTLYNDEELHFMQTFFTNNVHTFNPHQQHPSHSIDSSNNSNNIGLIVSPVEPTQNAINPTTSTTPTPLYSDLYLSYLLNGTANSSSSFSSTDHHHLGFYNTSMEYLMAHDQYHEAAAAAASSNEKREMDLIEMVSSSQFAQGANRTSF >ONI02430 pep chromosome:Prunus_persica_NCBIv2:G6:20499803:20500189:-1 gene:PRUPE_6G198100 transcript:ONI02430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPKLCFIFSFMVVLAVSSTQMSQAARQLLDTPAKPPPLAIPTIPSLPNLTLPPLPSLPTLPSNPSLPTLPTAPTLPKPTLPTLPTTLPPLPANPQLPTLPTFPKVPTINIPSTIPTIPFFSPPPSK >ONH99177 pep chromosome:Prunus_persica_NCBIv2:G6:1131803:1133251:-1 gene:PRUPE_6G015900 transcript:ONH99177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKEHIVLFPFMAQGHIIPFLALAQLLEQKKGCTITLINTPLNIKKLSSSLSPSSSIRLLEIPFKSSDHGLPPDAENTHALTHRLIFKLFQASLSLKPSFKHLMHNLVHERKGHGRPACIISDIFFAWTTEIAHELGMRHAVFSTVGGFGMACYYSLCLHLPHLKAKSDEIEFTLPDFPEANKFHSSQLSENLRASNGTDPFALYLKKVFTEVMKTNALIINTVEELDRIGTMYLRKTFNMPVWAVGPLLLSSENGTNRAGKEAKLTPKACKNWLDAKTPNSVLYISFGSQNTISKSQMMQLAMALEASSKNFIWVVRPPLEYDIDAEFKEDEWLPEGFVQRIKTQNKGLIVEKWAPQVEILSHKATSAFLSHCGWNSVVESLIHGVPLIGWPMASEQFFNAKYLVEQVGVCVEVARGKSCEVRHEDIVAKMELVMNETEKGNQLRRKACEVKEIIKDAMKDEDGHKGSSIKALEDFLTA >ONI01667 pep chromosome:Prunus_persica_NCBIv2:G6:13170357:13174303:-1 gene:PRUPE_6G151900 transcript:ONI01667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPERKQDHQKAAHPSIIATMGSNEGYGAERYAVVSGANKGIGYEVVRQLAACQGVVVVLTARDEKRGRDATSQLHHLGFSNVLFHQLDVLDPFSIQSLANFIDNRFGRLDILVNNAGASGAVVDEEALKSLNIDPTTWLSGKAVNKIQCAIKFTYEKAEECLNTNYYGAKRLTEALLPLLKLSPQGGRIVNVSSLRSELKRIPSEEIRNELGDVETLTEEKVDALLTKFLVDFKQNTLEANGWTLMLPAYSISKVTLNAYTRILAKKYPKMYINCVHPGFVNTDINWHTGTMTVEEGAAGPVKLALLPNGGPTGCYFDQTEVADF >ONI01512 pep chromosome:Prunus_persica_NCBIv2:G6:11616629:11619618:1 gene:PRUPE_6G143300 transcript:ONI01512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEQTGLHHAHITSHKQTTNCYSDHWRKNIPVLAKSHRVYSIDLIGYGYSDKPNPRQFGESSFYTFETWGTQLNDFCIDVVKDEAFFICNSIGGLVGLQAAVMEPQLCKGIMLLNISLRMLHIKKQPWYGRPLIRSFQNLLRNTDVGKYFFKTVATPESVRNILCQCYHDTSQVTEELVQKILLPGLEPGAVDVFLEFICYSGGPLPEELLPQVKCPVLIGWGEKDPWEPIELGRAYGKFDSVEDFVVLPNVGHCPQDEAPELVNPLVESFVARHAALSASISTAT >ONI01511 pep chromosome:Prunus_persica_NCBIv2:G6:11615077:11620018:1 gene:PRUPE_6G143300 transcript:ONI01511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPALSVSKLDFPFLSSQRNITFNKENLHQVKMPRRMSKFSGLESNGFILFSSLSGQIGEGSFPSPEATANNNAPEVQVQSRIWNWRAYSIRYQHAGNRGPALVLIHGFGANSDHWRKNIPVLAKSHRVYSIDLIGYGYSDKPNPRQFGESSFYTFETWGTQLNDFCIDVVKDEAFFICNSIGGLVGLQAAVMEPQLCKGIMLLNISLRMLHIKKQPWYGRPLIRSFQNLLRNTDVGKYFFKTVATPESVRNILCQCYHDTSQVTEELVQKILLPGLEPGAVDVFLEFICYSGGPLPEELLPQVKCPVLIGWGEKDPWEPIELGRAYGKFDSVEDFVVLPNVGHCPQDEAPELVNPLVESFVARHAALSASISTAT >ONI02330 pep chromosome:Prunus_persica_NCBIv2:G6:19855402:19859760:-1 gene:PRUPE_6G191400 transcript:ONI02330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEHEVYGGEIPDEGEMEGDIEAHHADVDMSTADDDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPAAVAASQANKEEVDARSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLETEAVQEALVLNESELHGRQLKVLPKRTNVPGMKQYRPRRFNPYMGYRFRRPYVPPYFYSPYGYGKVPRFRRPARYMPYY >ONI02331 pep chromosome:Prunus_persica_NCBIv2:G6:19858079:19859573:-1 gene:PRUPE_6G191400 transcript:ONI02331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEHEVYGGEIPDEGEMEGDIEAHHADVDMSTADDDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPAAVAASQANKEEVDARSVFVGNGHCCSSSSVYTGHVTM >ONI02332 pep chromosome:Prunus_persica_NCBIv2:G6:19856632:19859599:-1 gene:PRUPE_6G191400 transcript:ONI02332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEHEVYGGEIPDEGEMEGDIEAHHADVDMSTADDDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPAAVAASQANKEEVDARSVFVGNGHCCSSSSVYTGHVTM >ONH99157 pep chromosome:Prunus_persica_NCBIv2:G6:1066594:1071085:1 gene:PRUPE_6G014700 transcript:ONH99157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYLHLPSTKETVDPEFYDFLKEHDQELLQFNDEDIDEDSDTNLKEDETPVDDEIQVDEETGRHDVLQKKKKPSKQVITSEMVDSWCNSIREDGKLSAIHSLMKAFRTACHYGDDKEDESMLDFSVMSSSVFNKVMLFVLKEMDGIIRKLLELPAFGGKKETILDVMNTKRWKNYNHLVKSYIGNALHVLRQMTDTEMISFTLRRLQYSSIFLAAFPVLLRKYIKTAVDLWGLGGGSLPLVSLLFLRDLCVRLGSDCLDECFKGIYKAYVLNCQFITAAKLQHVQFRANCVIELYGVDLPTAYQHAFVFIRQLAMILREALNAKTKEAFRKVYEWKFMNCLELWTGAISSYGSEADFRPVVYPLAQIIYGVARLVPTARYFPLRLRCVRMLNRIAASTGTFTPVSMLLLDMLEMKELNRPATGGVGKALDLRTILKVSKPTLKTRAFQEACVLSVVDELAEHLAQWSYSIAFPEVSFIPAVRLRSFCKSTKVERFRKAMRELIRQIEANCQFTNERRMSISFLPNDTAAASFLEDEKKSGVSPLSKYVLTLRQVAQQRNDSLFESSVLVGEHSSVFGSKVRESDEEYDPKDEEGTTVFSSSWLPGTDSKAKEPKDTKKKKRKRKTEHQDQVAMDEDIVQDLVLSSDEEDGSLSNTFSAEEDEEGKPAPSKLESKKHKHSTNTSKKNVKSQAKRSKKRKKANGDKSLKA >ONH99155 pep chromosome:Prunus_persica_NCBIv2:G6:1065814:1071084:1 gene:PRUPE_6G014700 transcript:ONH99155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKNKGEKVREIVKTSKLNVRVFGGRFSELEQKEVKEPFIVMEEQHPVTNSKSRKNQDGNKSQKSSKSPGRAKEHKDQLERLSEKDPEFYDFLKEHDQELLQFNDEDIDEDSDTNLKEDETPVDDEIQVDEETGRHDVLQKKKKPSKQVITSEMVDSWCNSIREDGKLSAIHSLMKAFRTACHYGDDKEDESMLDFSVMSSSVFNKVMLFVLKEMDGIIRKLLELPAFGGKKETILDVMNTKRWKNYNHLVKSYIGNALHVLRQMTDTEMISFTLRRLQYSSIFLAAFPVLLRKYIKTAVDLWGLGGGSLPLVSLLFLRDLCVRLGSDCLDECFKGIYKAYVLNCQFITAAKLQHVQFRANCVIELYGVDLPTAYQHAFVFIRQLAMILREALNAKTKEAFRKVYEWKFMNCLELWTGAISSYGSEADFRPVVYPLAQIIYGVARLVPTARYFPLRLRCVRMLNRIAASTGTFTPVSMLLLDMLEMKELNRPATGGVGKALDLRTILKVSKPTLKTRAFQEACVLSVVDELAEHLAQWSYSIAFPEVSFIPAVRLRSFCKSTKVERFRKAMRELIRQIEANCQFTNERRMSISFLPNDTAAASFLEDEKKSGVSPLSKYVLTLRQVAQQRNDSLFESSVLVGEHSSVFGSKVRESDEEYDPKDEEGTTVFSSSWLPGTDSKAKEPKDTKKKKRKRKTEHQDQVAMDEDIVQDLVLSSDEEDGSLSNTFSAEEDEEGKPAPSKLESKKHKHSTNTSKKNVKSQAKRSKKRKKANGDKSLKA >ONH99156 pep chromosome:Prunus_persica_NCBIv2:G6:1065814:1071084:1 gene:PRUPE_6G014700 transcript:ONH99156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKNKGEKVREIVKTSKLNVRVFGGRFSELEQKEVKEPFIVMEEQHPVTNSKSRKNQDGNKSQKSSKSPGRAKEHKDQLERLSEKDPEFYDFLKEHDQELLQFNDEDIDEDSDTNLKEDETPVDDEIQVDEETGRHDVLQKKKKPSKQVITSEMVDSWCNSIREDGKLSAIHSLMKAFRTACHYGDDKEDESMLDFSVMSSSVFNKVMLFVLKEMDGIIRKLLELPAFGGKKETILDVMNTKRWKNYNHLVKSYIGNALHVLRQMTDTEMISFTLRRLQYSSIFLAAFPVLLRKYIKEAFRKVYEWKFMNCLELWTGAISSYGSEADFRPVVYPLAQIIYGVARLVPTARYFPLRLRCVRMLNRIAASTGTFTPVSMLLLDMLEMKELNRPATGGVGKALDLRTILKVSKPTLKTRAFQEACVLSVVDELAEHLAQWSYSIAFPEVSFIPAVRLRSFCKSTKVERFRKAMRELIRQIEANCQFTNERRMSISFLPNDTAAASFLEDEKKSGVSPLSKYVLTLRQVAQQRNDSLFESSVLVGEHSSVFGSKVRESDEEYDPKDEEGTTVFSSSWLPGTDSKAKEPKDTKKKKRKRKTEHQDQVAMDEDIVQDLVLSSDEEDGSLSNTFSAEEDEEGKPAPSKLESKKHKHSTNTSKKNVKSQAKRSKKRKKANGDKSLKA >ONI00868 pep chromosome:Prunus_persica_NCBIv2:G6:7742324:7746975:-1 gene:PRUPE_6G108600 transcript:ONI00868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDASKKKAAQKKAAAAAKRGGKAAASSKSAATESQNGADKLSNGVGAMHISDRNCTGVLCSHPLSRDIRIESLTVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPDHMDIYHLSREIEASDMSSLEAVISCDEERIRLEKEVEELAAQDDGGGEQLERIYERLEALDAATAEKRAAEILYGLGFDKQMQAKKTRDFSGGWRMRIALARALFINPTILLLDEPTNHLDLEACVWLEETLKNFERILVVISHSQDFLNGVCTNIIHMQSKKLKIYTGNYDQYVQTRSELEENQMKQYKWEQEQISNMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDKVLVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNIDFGVDLDSRIALVGPNGAGKSTLLKLMTGELFPSDGMVRRHNHLRIAQFHQHLAEKLDMELSALAYMIKEYPGNEEEKMRAAIGKFGLSGKAQVMAMKNLSDGQRSRVIFAWLAFRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKRHLKVKAGLGE >ONI01219 pep chromosome:Prunus_persica_NCBIv2:G6:9988029:9988518:-1 gene:PRUPE_6G128700 transcript:ONI01219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVAFAGFSAVSFRFINVSIQKTVSQQIFQNSDIPFTRFSYDCRFLVYIISQKHPSFPLKLLSMLNLDLNTT >ONI01995 pep chromosome:Prunus_persica_NCBIv2:G6:17689380:17692150:1 gene:PRUPE_6G171700 transcript:ONI01995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKARLPRKTRNPDLIRGVGKFSRSKMYHKRGLWAIKAKNGGVFPRHDPKPTAETGPEKVPKFYPADDVKKPLVNKRKLKPTKLRASVTPGTVLIILAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVNVEKFDDKYFAKQVEKKKNKGGREFFEAEKEEKNVFPQEKKDDQKSVDASLIKSIDAVPDLKTYLAARFSLKSGMKPHELVF >ONI01350 pep chromosome:Prunus_persica_NCBIv2:G6:10743059:10745109:1 gene:PRUPE_6G135000 transcript:ONI01350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFRPFSPPPAAKASQFNFSDSSNSPFSIPKTHHPSSRKSFSKIQSSKFGNFLDLKPESKPEFFDFDLPKFDPSSRSRLDVIIIGTGPAGLRLAEQVSSYGIKVCCVDPSPLSMWPNNYGVWVDEFESLNLENCLDKTWPMACVHVDDNKTKYLDRPYGRVSRKKLKTLLLERCLSNGVQFHRAKVWKIQHQEFESSILCDDGNELKASLVVDASGFASSFIEYEKPRNHGYQIAHGILAEVEEHPFDLDKMLLMDWRNSHLGNEPYLRTSNSKFPTFLYAMPFDSNLVFLEETSLVSRPVLSYIEVKKRMVARLRHLGIRVKRIIEDEKCLIPMGGPLPRIPQSVMAIGGTSGVVHPSTGYMVARTMALAPILAEAIAECLGSTRMIRGQPLYHKAWNGLWPIERRCVREFYSFGMETLLKLDLDGSRRFFDAFFDLDPYYWHGFLSSRLSLRELALLSLSLFGRASFPSRFDIVTKCPLPLVKLMGNLALEAA >ONI04199 pep chromosome:Prunus_persica_NCBIv2:G6:27756876:27757100:1 gene:PRUPE_6G308300 transcript:ONI04199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFDGSLMNYHASTGFVIRDSEGHVLIASSNNIGENSINVAEYVALRDGLAVALDRGWDQIVIEGDSKLVIDSI >ONI00396 pep chromosome:Prunus_persica_NCBIv2:G6:5858144:5860407:1 gene:PRUPE_6G086700 transcript:ONI00396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKGMRTLFFKSPSPSPSNSPSRSATQPSSPRRSFSDSLMEENIEIAQTLITQWDSDSSSYNNISSLFHDDRQEARLYLKSVKDLQSAMQHFLSSQDSSAEKLIIAQNLMQSAMKRLEKEFYQILSGNRDYLDAETVSSRSSRASALSSVSDLESESEDESVSAVDQISTIAMSDLKSIADCMISSGYGKECVRIYKIIRKSIVDEGLYLLGVEKLSLSQVQKMDWQVLETKIKNWLSAVKVAVKTLFYGERLLCEHVFAASDSIAESCFNEISKEAAMTLFGFPELVGKCKKLSPEKMFRILDLYQAVSDLWPEMESIFSFESTSAVRSLAVNSLIKLGEAVRTMLMDFESAIQKDSSKTPVPAGGVHPLTRYVMNYISFLADYSEILGDIVVDWPLTISTPLPEAYFGCYDAEESPISIRLAWLVLVLLCKLDGKAKLYKDVALSYLFLANNLQYVVGKVRGSNVKYLLGEYWVQKHESKVKQYAANYERMGWSKVFASLPEDTTAQISSDQAKSYFKRFNAAFEEAYKKQTSWVVPDSKLRDELKVSVAKKLVPVYREFYEKHRVGMRRECGEDSLVRYAPENLDNYLSDLLYGATSGGRVSSFSSCSSSPSHSHGRQGR >ONI02536 pep chromosome:Prunus_persica_NCBIv2:G6:21292390:21295795:-1 gene:PRUPE_6G204800 transcript:ONI02536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGKQSQPHSQSSSPMLFSLQGSLLTLAIFTLLSFIYFSLNSVHFSSSPTIHISADTNEQFSDIYHSPEVFRLNFAEMEAKFKVYIYPDGDPKTYYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFIPISCHKMRGKNTTYDEMTVIVRDYVESLIFKYPYWNRTLGADHFFVTCHDVGVRATEGFPLLVKNSIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENSNIIKLL >ONI02535 pep chromosome:Prunus_persica_NCBIv2:G6:21291920:21295837:-1 gene:PRUPE_6G204800 transcript:ONI02535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGKQSQPHSQSSSPMLFSLQGSLLTLAIFTLLSFIYFSLNSVHFSSSPTIHISADTNEQFSDIYHSPEVFRLNFAEMEAKFKVYIYPDGDPKTYYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFIPISCHKMRGKNTTYDEMTVIVRDYVESLIFKYPYWNRTLGADHFFVTCHDVGVRATEGFPLLVKNSIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTTLGFWAGHRNSKIRVILARVWENDTELYILNNRINRAEGNLLYQKKFYNTKFCICPGGSQVNSARITDSIHYGCIPVILSNYYDLPFNDILDWRKFAVILTEKDVYNLKQSLKDIPYSEFLTLHKNLVKVQQHFQWNSPPVKYDAFHMVMYDLWLRHHVIKY >ONI03969 pep chromosome:Prunus_persica_NCBIv2:G6:26994250:26997313:1 gene:PRUPE_6G294000 transcript:ONI03969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLQSFSLLNPNPSCGSKKPAPLALCSSPSSSLRFHQLTGARGYGAPIVLPKGLTGAYLSLHRRSLWTRPIVAAAASQEESKHPEIEVEKEKDTAKLKSEESEEAWKQTLAAFKEQALKMQGISQEAYELYSKKALVILKETSEQLKIQADKARIDLSEIAKEISEEGKEYISTAAKNSPEPVKEIVETFNSSADDLNDVSKVRDFHVGIPYGLLLSLGGFLSFMVTGSISAIRFGVIIGGALLFLSVSSLRSYKQGESSPLTLKGQAAIASIIFLREVRLLAQRSSFPNLTTTLVSGAVVAFYVYKIVQNRKHQKGSNFEKETEI >ONI03970 pep chromosome:Prunus_persica_NCBIv2:G6:26994250:26997313:1 gene:PRUPE_6G294000 transcript:ONI03970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLQSFSLLNPNPSCGSKKPAPLALCSSPSSSLRFHQLTGARGYGAPIVLPKGLTGAYLSLHRRSLWTRPIVAAAASQEESHPEIEVEKEKDTAKLKSEESEEAWKQTLAAFKEQALKMQGISQEAYELYSKKALVILKETSEQLKIQADKARIDLSEIAKEISEEGKEYISTAAKNSPEPVKEIVETFNSSADDLNDVSKVRDFHVGIPYGLLLSLGGFLSFMVTGSISAIRFGVIIGGALLFLSVSSLRSYKQGESSPLTLKGQAAIASIIFLREVRLLAQRSSFPNLTTTLVSGAVVAFYVYKIVQNRKHQKGSNFEKETEI >ONI04110 pep chromosome:Prunus_persica_NCBIv2:G6:27471585:27474851:1 gene:PRUPE_6G303200 transcript:ONI04110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKIWVAERLKQRRAVMKFLWVLGLVTALVFSKWVDGFLKKSLNKTELSLLETYGGSNAANNTLMVELTLIEGAGAKGAVCLDGTLPAYHLHPGHGSGANSWLIHLEGGAWCNDIRSCSNRKNSAYGSSSLMEKERAFTGILSNEAEDNPEFFNWNRVMLRYCDGASFSGDSENKEANLQFRGQRIWLSAMEDLMLKGMRYANQALLTGGSAGGLASILHCDEFRGLFPTTTKVKCMSDAGFFLDAVDISGGRTLRNLYTGVVGLQGVQENLPHYCTSHLDPTSCFFPENLIANITTPLFILNAAYDSWQIQNSLAPLSADPHGDWNGCRLNLASCSPSQMQFLQGFRNQMLDALKQFSMSDQNGMFINSCYVHGQTGQGAWFAPDSPAVGNKTIAEAVGDWYFDRAEVKVVDETCRRLVPPVTA >ONI04514 pep chromosome:Prunus_persica_NCBIv2:G6:28634510:28638865:1 gene:PRUPE_6G325300 transcript:ONI04514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRHSLDVTDIVHPDGQNLLAVLVYPPDHPGSIPPDGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISVTGPVKVIDPHLVSSFYDNYKRAYLHATTELENKSTRVAECSLNIQVTTDLEGNFCLIEHLQTQHLSIPAGSRVQYTFPELFFYKPNLWWPNGMGKQSLYKVSITVDVKGYGESDLWSQLFGFRKIESYIDNTTGGRLFKVNGQPIFIRGGNWILSDGLLRLSKKRYSTDIKFHADMNFNMIRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGVPVSNPNGPLDHDLFLLCARDTVKLLRNHPSLALWVGGNEQIPPDDINKALKQDLRLHPHFESSLNEGGKFVEDSPAVLRDPSQYLDGARIYIQGSMWDGFANGKGDFTDGPYEIQNPEDFFKDDFYKYGFNPEVGSVGMPVSATIRATMPPEGWRIPLFKKVSNYYQEVPNPIWEYHKYIPYSKPGKVHDQILLYGSPKDLNDFCLKAQLVNYIQYRALLEGWTSRMWTKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLVTYLLEVVNTTSEELSDIAIEASVWDLEGICPYYKVHEKLSVPPKRTVPIAEMKYPKSKNPKPVYFLLLKLYRMSDDRIISRNFYWLHLSGGDYKLLESYRKKTVPLKIISQVFIKGTTNEMHMLVENTSKKPESKSRTYRNDFATKQGDVDFDVASVHSTHDGADKKHEASWFQKISRHFTKESDGLRVAEINGSDIGVAFFLHFSVHGLKQGHKEGEDTRILPVHYSDNYFSLVPGEAMPIKISFEVPPGVTPRVTLDGWNYHGVHTVH >ONI04513 pep chromosome:Prunus_persica_NCBIv2:G6:28633939:28638942:1 gene:PRUPE_6G325300 transcript:ONI04513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGKTTLDSGWLAARSTEVHLSGTQLTTTQPPSVGPTTPWMEAVVPGTVLATLVKNKVVPDPFYGLENETIIDIADSGREYYTFWFFTTFQCKLSGTQHLDLNFRAINYSAEVYLNGHKKVLPKGMFRRHSLDVTDIVHPDGQNLLAVLVYPPDHPGSIPPDGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISVTGPVKVIDPHLVSSFYDNYKRAYLHATTELENKSTRVAECSLNIQVTTDLEGNFCLIEHLQTQHLSIPAGSRVQYTFPELFFYKPNLWWPNGMGKQSLYKVSITVDVKGYGESDLWSQLFGFRKIESYIDNTTGGRLFKVNGQPIFIRGGNWILSDGLLRLSKKRYSTDIKFHADMNFNMIRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGVPVSNPNGPLDHDLFLLCARDTVKLLRNHPSLALWVGGNEQIPPDDINKALKQDLRLHPHFESSLNEGGKFVEDSPAVLRDPSQYLDGARIYIQGSMWDGFANGKGDFTDGPYEIQNPEDFFKDDFYKYGFNPEVGSVGMPVSATIRATMPPEGWRIPLFKKVSNYYQEVPNPIWEYHKYIPYSKPGKVHDQILLYGSPKDLNDFCLKAQLVNYIQYRALLEGWTSRMWTKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLVTYLLEVVNTTSEELSDIAIEASVWDLEGICPYYKVHEKLSVPPKRTVPIAEMKYPKSKNPKPVYFLLLKLYRMSDDRIISRNFYWLHLSGGDYKLLESYRKKTVPLKIISQVFIKGTTNEMHMLVENTSKKPESKSRTYRNDFATKQGDVDFDVASVHSTHDGADKKHEASWFQKISRHFTKESDGLRVAEINGSDIGVAFFLHFSVHGLKQGHKEGEDTRILPVHYSDNYFSLVPGEAMPIKISFEVPPGVTPRVTLDGWNYHGVHTVH >ONI04300 pep chromosome:Prunus_persica_NCBIv2:G6:28082159:28082995:-1 gene:PRUPE_6G314600 transcript:ONI04300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVAESERRILVAVDEGEESMHALSWCLKNVVSQNSKDTLILLYAKPPRAVYTALDGTGYLFSSDILAAMEKYGAEVAACVIEKAKKMCKDLQQDVKVETRVENGDPRDVICQMAEQLGADVLVMGSHGYGLIKRAFLGSVSNHCAQNVKCPVLIVKKPKTNAFSK >ONI04301 pep chromosome:Prunus_persica_NCBIv2:G6:28082173:28082989:-1 gene:PRUPE_6G314600 transcript:ONI04301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVAESERRILVAVDEGEESMHALSWCLKNVVSQNSKDTLILLYAKPPRAVYTALDGTGRREDPSGYLFSSDILAAMEKYGAEVAACVIEKAKKMCKDLQQDVKVETRVENGDPRDVICQMAEQLGADVLVMGSHGYGLIKRAFLGSVSNHCAQNVKCPVLIVKKPKTNAFSK >ONI01750 pep chromosome:Prunus_persica_NCBIv2:G6:13822686:13831733:-1 gene:PRUPE_6G156600 transcript:ONI01750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVSLLLCFLLIKFSSGTDNFSRDDFPPGFVFGASSSAYQVEGAADEDGRTPSILDTFAHAGNFDGATGDVACDEYHKYKEDVKLMADTGLEAYRFSISWSRLIPNGKGPVNPKGVQYYNNLIDELISNGIQPHVTLHHSDLPQALEDEYGGWVGREIVKDFTAYADVCFKTFGDRVLYWTTMNEPNVFSLGGYDIGFLPPQRCSAPFGVNCSRGNSSVEPYIAVHHLLLSHASAARLYKKKYQDKQHGFVGINVFAYWFVPLTKTIEDELAAQRALDFYSGWILNPLVFGDYPDVMKKNAGSRLPAFTSLESESVKGSCDFLGINYYNTLYATDYSSVLKKETRDFNADSGIKITISNNSLGPATTTGICQAKLWKSSNIYPRKWSTNSTQFIIRGLAKGEIFGRTHPKFA >ONI01751 pep chromosome:Prunus_persica_NCBIv2:G6:13822686:13831733:-1 gene:PRUPE_6G156600 transcript:ONI01751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGLEAYRFSISWSRLIPNGKGPVNPKGVQYYNNLIDELISNGIQPHVTLHHSDLPQALEDEYGGWVGREIVKDFTAYADVCFKTFGDRVLYWTTMNEPNVFSLGGYDIGFLPPQRCSAPFGVNCSRGNSSVEPYIAVHHLLLSHASAARLYKKKYQDKQHGFVGINVFAYWFVPLTKTIEDELAAQRALDFYSGWILNPLVFGDYPDVMKKNAGSRLPAFTSLESESVKGSCDFLGINYYNTLYATDYSSVLKKETRDFNADSGIKITISNNSLGPATTTGICQAKLWKSSNIYPRKWSTNSTQFIIRGLAKGEIFGRTHPKFA >ONI01749 pep chromosome:Prunus_persica_NCBIv2:G6:13822888:13830980:-1 gene:PRUPE_6G156600 transcript:ONI01749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGLEAYRFSISWSRLIPNGKGPVNPKGVQYYNNLIDELISNGIQPHVTLHHSDLPQALEDEYGGWVGREIVKDFTAYADVCFKTFGDRVLYWTTMNEPNVFSLGGYDIGFLPPQRCSAPFGVNCSRGNSSVEPYIAVHHLLLSHASAARLYKKKYQDKQHGFVGINVFAYWFVPLTKTIEDELAAQRALDFYSGWILNPLVFGDYPDVMKKNAGSRLPAFTSLESESVKGSCDFLGINYYNTLYATDYSSVLKKETRDFNADSGIKITSLQNDTTFEFPITPWGLQRLLEYVKQNYGNPPIYIQENGQRTRRNSSLEDWPRVKYLDGHIQNLLEAVRNGSNVRGYFIWSFLDSLELLDGYESSYGLYYIDLDDPDLRRQPKLSAHWYSQFLKRKNVISLDGFIKSISHDRIQ >ONI01748 pep chromosome:Prunus_persica_NCBIv2:G6:13822888:13831631:-1 gene:PRUPE_6G156600 transcript:ONI01748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVSLLLCFLLIKFSSGTDNFSRDDFPPGFVFGASSSAYQVEGAADEDGRTPSILDTFAHAGNFDGATGDVACDEYHKYKEDVKLMADTGLEAYRFSISWSRLIPNGKGPVNPKGVQYYNNLIDELISNGIQPHVTLHHSDLPQALEDEYGGWVGREIVKDFTAYADVCFKTFGDRVLYWTTMNEPNVFSLGGYDIGFLPPQRCSAPFGVNCSRGNSSVEPYIAVHHLLLSHASAARLYKKKYQDKQHGFVGINVFAYWFVPLTKTIEDELAAQRALDFYSGWILNPLVFGDYPDVMKKNAGSRLPAFTSLESESVKGSCDFLGINYYNTLYATDYSSVLKKETRDFNADSGIKITSLQNDTTFEFPITPWGLQRLLEYVKQNYGNPPIYIQENGQRTRRNSSLEDWPRVKYLDGHIQNLLEAVRNGSNVRGYFIWSFLDSLELLDGYESSYGLYYIDLDDPDLRRQPKLSAHWYSQFLKRKNVISLDGFIKSISHDRIQ >ONI01814 pep chromosome:Prunus_persica_NCBIv2:G6:14903026:14904417:1 gene:PRUPE_6G160700 transcript:ONI01814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPITIAAHDWTSPAPGVMQTRAKAKTKQRKLAAKNFKYVILGGGVSAGYAAREFAKQGLKPDELAVISKEAVAPYERPALSKAYLFPESPARLPGFHVCVGSGGERLLPEW >ONH99698 pep chromosome:Prunus_persica_NCBIv2:G6:3225380:3226349:-1 gene:PRUPE_6G044400 transcript:ONH99698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPTSAKLSSGFYNKVCPQALPAIRKVVKRAISHEPRTGASLLRLHFHDCFVNGCDGSVLLDDTANFIGEKTAFPNLNSIRGFNVMDDIKKAILAVAARDSVSILGGPDYKVQLGRRDARNANRNLPPQFFSFSQLLSNFQAHGLNLKDLVVFSAAHTIGLARCTTFRARIYNDTNIDPKFAASAKYNCPTTGGDNNTRPLGM >ONI00399 pep chromosome:Prunus_persica_NCBIv2:G6:5878464:5883561:1 gene:PRUPE_6G086900 transcript:ONI00399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAETETSGERYKLLLSCPSGLLPSQVSVVFDGDYDRIPHPDINLENSVSEIWDQRVHKNPSLYNGTKFRYGKHIWHDGGGSNQEPHVCLHLGLTDYRNFVGTNLNHLWESFLVASEDDAIRCQHTSSPLGNGAIVETSDKKILVLQRSNNVGEFPGHFVFPGGHPEPQEVGLVSHHHEDLTDSKVINDKVSHEMFDSIVREVVEEIGVPSASLHEQVFIGISCRVLNVRPTAFFFMKCSLSSKEVQKLYATAQDSFESTQLFTVPMIDLDNMASKMPGCHEGGLALYKLMVEAVKNV >ONI00398 pep chromosome:Prunus_persica_NCBIv2:G6:5878464:5883114:1 gene:PRUPE_6G086900 transcript:ONI00398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAETETSGERYKLLLSCPSGLLPSQVSVVFDGDYDRIPHPDINLENSVSEIWDQRVHKNPSLYNGTKFRYGKHIWHDGGGSNQEPHVCLHLGLTDYRNFVGTNLNHLWESFLVASEDDAIRCQHTSSPLGNGAIVETSDKKILVLQRSNNVGEFPGHFVFPGGHPEEPSASSPFFPSIHVHAYIMGSGCKFFSAWFTSMHSPHYQPQEVGLVSHHHEDLTDSKVINDKVSHEMFDSIVREVVEEIGVPSASLHEQVFIGISCRVLNVRPTAFFFMKCSLSSKEVQKLYATAQDSFESTQLFTVPMIDLDNMASKMPGCHEGGLALYKLMVEAVKNV >ONI00400 pep chromosome:Prunus_persica_NCBIv2:G6:5878464:5884101:1 gene:PRUPE_6G086900 transcript:ONI00400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAETETSGERYKLLLSCPSGLLPSQVSVVFDGDYDRIPHPDINLENSVSEIWDQRVHKNPSLYNGTKFRYGKHIWHDGGGSNQEPHVCLHLGLTDYRNFVGTNLNHLWESFLVASEDDAIRCQHTSSPLGNGAIVETSDKKILVLQRSNNVGEFPGHFVFPGGHPEPQEVGLVSHHHEDLTDSKVINDKVSHEMFDSIVREVVEEIGVPSASLHEQVFIGISCRVLNVRPTAFFFMKCSLSSKEVQKLYATAQDSFESTQLFTVPMIDLDNMASKMPGCHEGGLALYKLMVEAVKNV >ONH99080 pep chromosome:Prunus_persica_NCBIv2:G6:747312:750660:-1 gene:PRUPE_6G009800 transcript:ONH99080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKPKSHMGLIPILIFFISINPRPSLSLSESPATVFEILPKYGLPSGLLPSSVSNYTLSDDGRFVVVLGKPCYVQFEYLVYYEKTITGKLTYGAITELKGIQVQRFLFWFDVDEIRVDLPPSDSIYFTVGIINKKLDVDQFQNVHSCRNGLSGSCLGSLKRGIQLPSPVEDIEMLITE >ONI03605 pep chromosome:Prunus_persica_NCBIv2:G6:25589517:25590243:1 gene:PRUPE_6G268400 transcript:ONI03605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVFGKIRVETPKYKVLQSTIDYEIRQYPPSVIAQLTYHPSQMKGDKDGGFSVLANYIGAFGNPQNTKPEKIAMTAPVITKSSGEKIAMTAPVVTKTGGAEDEKKMVTMEFLLPSKYEKAEEAPRPVDERVVIREEGERKYGVVTFRGVATDEVVEEKVEKLKQSLERDGYKIIGEFLLARYNPPWTLPPFRTNEVMIPIE >ONH99579 pep chromosome:Prunus_persica_NCBIv2:G6:2802801:2806966:-1 gene:PRUPE_6G037100 transcript:ONH99579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCCSTSKGMGKRIDTVELSTVDSADDASPKTKNSSKRWKRKKTGGDQVGCKDLGHEIDIPGRLIANGGSKVACLYTQQGKKGTNQDAMLVWENFCTRNDTIFCGVFDGHGPFGHMVAKKVRDSLPFILCTQWKANSNGELSNLGTTENANGNSNIEETASPSIDDEWCEPLEVQENEKLPDMYLPLKRSFLKAFRLMDKELKLHPTIDCFCSGTTAVTLVKQGQNLVLGNVGDSRAVLATRDKDNSLIAVQLTVDLKPDLPAESARSINARERVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVYYRQLTERDEFIILATDGVWDVLSNKEAIEIVASAPGHTTAARALVDCAVRAWRLKYPTSKNDDCAVVCLFLEQLSVGAEVVTENDVSKINGEATERMAITDEKTEDLKISDSHAVVLEHSGTVRSSDEIVPIFESTEQKLPTKFEGQSKRSLAECISTTEDEDWSALEGVTRVNSLLSLPRLLSGDKRAASWRKKWL >ONI03724 pep chromosome:Prunus_persica_NCBIv2:G6:26072894:26074112:1 gene:PRUPE_6G277500 transcript:ONI03724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGVVAVYGNGAITESKQSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADEEHHINKHNFRVPFVCGCRNLGEALRRVREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPVKRGRAIVQAVTHYRDPDVLAEVSCGLGEAMVGLNLKDEKVERFANRSE >ONI02635 pep chromosome:Prunus_persica_NCBIv2:G6:21978659:21980805:1 gene:PRUPE_6G211500 transcript:ONI02635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVEEVRNKQVILKDYVSGNPKESDMYVTTSSIKLKVPQGSKAVVLKNLYLSCDPYLRIRMDRIQGSNVFTSFTPGSPLSGFGVAKVLDSGHPEFKEGDLVWGTTGWEEYSLITEPEQFFKIHHTDVPLSYYTGLLGMPGMTAFAGFHEVCSPKKGDRVFISAAAGGVGQLVGQFAKLMGCYVVGSVGSKEKVDLLKNKLGFDEAFNYKEEQDLDAALKRHFPEGIDIYFENVGGKMLDAVLLNMRPHGQISVCGLISHYNLNQPQGFSNLLSLIYNRIRMEGFAVFDYFHLYPNFLDMVIPYIREGKIVYLEDITQGLESAPAALVGLFSGRNVGKQVVLVSNE >ONI00465 pep chromosome:Prunus_persica_NCBIv2:G6:6178637:6182636:-1 gene:PRUPE_6G090300 transcript:ONI00465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRILRKASQTQNAKQLSSSFSKFTRSFSQLSSQSKPPSFGIAFDIDGVVLRSEAPIGGSPQVFRRLYDDSGNLSIPYVFLTNGGGFTESKRALELSELLGVKISPLQVLQGHTPFKQLVNRFENELIVAVGKGEPAAVMSEYGFKNVLSIDDYASCFENIDPLAPYKKWTTKQVKEVAARDLVFSQRVQAAFIVSDSVDWSRDIQVLCDILRTGGLPGREIGHQPHLYFAHDDLAYQAAFPTERFGMGAFRIALESIFNRIHPHALEYTTFGKPNPFVFNNAELVLKQLVSALHDNLQVANQANAGSYQFQTLYMIGDNPSVDINGARQAGHPWFSILTRTGVFKGKENHAEFPADLVVDTVEEAVDFVLRKECIS >ONI00466 pep chromosome:Prunus_persica_NCBIv2:G6:6179650:6182420:-1 gene:PRUPE_6G090300 transcript:ONI00466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRILRKASQTQNAKQLSSSFSKFTRSFSQLSSQSKPPSFGIAFDIDGVVLRSEAPIGGSPQVFRRLYDDSGNLSIPYVFLTNGGGFTESKRALELSELLGVKISPLQVLQGHTPFKQLVNRFENELIVAVGKGEPAAVMSEYGFKNVLSIDDYASCFENIDPLAPYKKWTTKQVKEVAARDLVFSQRVQAAFIVSDSVDWSRDIQVLCDILRTGGLPGREIGHQPHLYFAHDDLAYQAAFPTERFGMGAFRIALESIFNRTKARMLMMMISCDELCIMLKLLVQINVQNPSSCSGVYNFWETKSICIQ >ONI01567 pep chromosome:Prunus_persica_NCBIv2:G6:12187923:12190117:1 gene:PRUPE_6G146900 transcript:ONI01567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTRYYEILGVSQNASHDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYDVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGSPFGAGGGSSRGRRQRRGEDVVHSLKVSLEDIYLGTSKKLSLSRNVLCSKCNGKGSKSGASLKCAGCQGTGMKVTIRHLGPSMIQQMQHACNECKGTGETISDKDRCTQCKGEKVVQEKKVLEVIVEKGMQSGQKISFPGEADEAPETVTGDIVFIIHQKEHPKFKRKGEDLFVEHSLSLTEALCGFQFVLTHLDGRQLLIKSNPGEVVKPDSFKAINDEGMPLYQRPFMKGKLYIHFNVDFPESLNPEQVKALEAILPSKPGASQLTDMEVDECEETTLHDVNMEEEMRRKQQAQAEAYDEDDDMPGGAQRVQCAQQ >ONI01568 pep chromosome:Prunus_persica_NCBIv2:G6:12187804:12190260:1 gene:PRUPE_6G146900 transcript:ONI01568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTRYYEILGVSQNASHDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYDVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGSPFGGGGSSRGRRQRRGEDVVHSLKVSLEDIYLGTSKKLSLSRNVLCSKCNGKGSKSGASLKCAGCQGTGMKVTIRHLGPSMIQQMQHACNECKGTGETISDKDRCTQCKGEKVVQEKKVLEVIVEKGMQSGQKISFPGEADEAPETVTGDIVFIIHQKEHPKFKRKGEDLFVEHSLSLTEALCGFQFVLTHLDGRQLLIKSNPGEVVKPDSFKAINDEGMPLYQRPFMKGKLYIHFNVDFPESLNPEQVKALEAILPSKPGASQLTDMEVDECEETTLHDVNMEEEMRRKQQAQAEAYDEDDDMPGGAQRVQCAQQ >ONI04050 pep chromosome:Prunus_persica_NCBIv2:G6:27239983:27240594:-1 gene:PRUPE_6G299100 transcript:ONI04050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENSDHLTKPNKRKRSCLIAIGVVLFLILLLFIIALILALTVFKTKQPRTQILSASVDGVAPRISFPAVQIELNITLDLMILVENRNHASFKHGTGKTFLLYQGNQVGDADLYPGFIPARGNSTLPCRLTLQADRLANNMSNLISDVLGGEFVLETRTRIPGRVTLLGFIKKHAVAVSECQLTIGFPDMTVKRQVCKSKAKL >ONI04883 pep chromosome:Prunus_persica_NCBIv2:G6:29660904:29663970:1 gene:PRUPE_6G345800 transcript:ONI04883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRFSITPSYKKVQGLFFFFFFSHTSSPKLRTSLLISNFFISMGKSQHSSNSKCFCKKIFSVTCPVCKLAQTHVSAQEKSKVKAEMPPPTKILAEPIHVKANSKVEKQNPKLEAQLTKSNRTASLGYNDAFSDYISRAKIRIRAMSNVGMGMTASRSREDGYDTKKEDNGKDTFSDYINRAKMRIRKTSSIGSRKLISFKRE >ONH99631 pep chromosome:Prunus_persica_NCBIv2:G6:3018538:3021657:1 gene:PRUPE_6G040400 transcript:ONH99631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLLEKTLLGLFIAVIVAITISKLRGKRFKLPPGPIPVPVFGNWLQVGDDLNHRNLTDLAKKFGDIFLLRMGQRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGEGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRHGWESEAAAVVEDVKKYPGSATNGMVLRRRLQLMMYNNMYRIMFDRRFESEEDPLFMKLKGLNGERSRLAQSFDYNYGDFIPILRPFLRGYLKICKEVKEKRIRLFKDYFVDERKKLSSTKTTTNEGLKCAIDHILDAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQKKLRDELDSVLGPGVQITEPDTQKLPYLQAVIKETLRLRMAIPLLVPHMNLNDAKLGSYDIPAESKILVNAWWLANNPALWKKPEEFRPERFLEEEAKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGQSKLDTTEKGGQFSLHILKHSTIVLKPRS >ONI04840 pep chromosome:Prunus_persica_NCBIv2:G6:29526650:29529832:1 gene:PRUPE_6G342700 transcript:ONI04840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGALTVLDGNHLRAIDLSLPEAEVSLTGAQVLDLADSKASSSLFGLSLPQSLKSSALKRISLQDDDVFRLKELDREQALKVITDYITAIADELKDDPLVISVLDGYTLRLFLEDEDDFAMLAENLFTDLDVEDTGKINKNEIRNALVHMGVEMGVPPISEFPPLSDILKKHKADGEEELGQAQFAELLQPVLQELSEALAKKHFVTIQNIKIVNGSKLRKLLADEKQLNIIVEKILADGSGNTEKIRSFLEKTGTELGLPPSEANEAVALLYDAVFADLEEAGEDKFGNLVKQILEKFAEQLEASPVFHDI >ONI02279 pep chromosome:Prunus_persica_NCBIv2:G6:19596559:19600615:1 gene:PRUPE_6G187500 transcript:ONI02279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEMHPLDFSLTHLIKSQPSDPDPDPGPLFLGGLFLQPNDTVPPSFLSLVPPKTRFSFTRRGKTVRLRGLRGGGGGALFFSVSLSIKRSEGNGDDGCVGESGQVLGQNGNTSISSEEAVVVFEKGESETVRKGSGAMNTTKHLWSGAVAAMVSRTFVAPLERLKLEYIVRGEQKNLFELIRTIAASQGLKGFWKGNLVNILRTAPFKAINFYAYDTYRNHLMKLSENEESTNYERFLAGAAAGITATLLCLPMDTIRTKMVAPGGEALGGVIGAFRHMIQTEGFFSLYKGLLPSIVSMAPSGAVFYGVYDILKSAYLHSPEGRKRIQHMKQEGEKLNALEQLELGPIRTLLYGAIAGACSEAATYPFEVVRRHLQLQVRATKLSAFATCVKIVEQGGVPALYAGLTPSLLQVLPSAAISYLVYEFMKIFLKVEST >ONI04036 pep chromosome:Prunus_persica_NCBIv2:G6:27199663:27204069:1 gene:PRUPE_6G298000 transcript:ONI04036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPGGNLDSFRAASGNSSSRRYGMLSASNIIQAPLSALLEYSGLLRGRSNHQEAESLINGRSAAAFRDHHHSQLDQSPTTSNDGEVSIRIIGAGEQEHDREGAGLVVGQLREVTVPPNEVSAPPMAGVASVSLTTEEGQTDGRTDRSSGEGMPQSLNGSADGEGVDGAGSNGRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKVAVLIGISLAFALHVVGVYWWYQNDDLLYPLIMLPPKLIPPFWHAVFIIMVNDTLVRQAAMVLKCILLIYYKNSRGRNYRKQGQMLTLLEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >ONI00797 pep chromosome:Prunus_persica_NCBIv2:G6:7486759:7487073:-1 gene:PRUPE_6G105600 transcript:ONI00797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVWQWNGNGGAPSGLIEGIADFVRLKAGYTPDHWVKNGEDNRWDQGYDVTARFLDYCENVKTEFVANLNRKMRFGYSVNFFKDITGRTVDQLWRDYKAKFGK >ONI02216 pep chromosome:Prunus_persica_NCBIv2:G6:19273481:19276096:1 gene:PRUPE_6G184400 transcript:ONI02216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLMFSFRFMFFLSPVLFLMISTQSISPWCYNDKGNYTTNSTYQTNLNTLLSSLFSPSNNGNGYGFYNSSYGENPDQVYAIGLCRGDVTVDICRDCLSKGTQQLTQVCPNQKEAFGVFDLCTLHYANRSIYGAIETFPAFRWYNVQNVSNVDGFFQELRTLLDALRGQAAGNGSLRKFAVGTATAPNFQTIYGLAQCRPDLTEQNCIYCLGSSMADIPEYFPGKEGGLISKPSCDLRYEIYPIVDRTTVRPLPSSSPPLSSPPPPSTSTGGSKSNRSQIVIIIVVPIVVSVVVIVIFFCICLRVRRTKKKLETGLSTNSGQGDLEFKNEVLLVAKLQHRNLVRLLGFCLEGRERLLVYEFVPNASLDHIIFDPTKRAQLDWVRRYKIVVGIARGLLYLHEDSRLKIIHRDLKASNILIDAEMNSKISDFGMARLFVLDQTQGNTSRIVGTFGYMAPEYAMHGHFSVKSDVYSFGVLVLEIVSGQKNSGFRHGENAEDLLSFAWRSWREGTASNLIDPTLNTGSRNEIMRCIHIGLLCVQENVADRPTMASVILMMNSYSFTLPVPSQPAFYLHRSIGLDMSL >ONI01514 pep chromosome:Prunus_persica_NCBIv2:G6:11633624:11634209:1 gene:PRUPE_6G143500 transcript:ONI01514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSMSNALMIKLIFLWLPDCRRIGHGCFGAEAAVFLRQNRKVLAEKEEEEVVAKGVKLHEQAAASAGNFADNGKHNLVGWELRKVPSGPDPLHHNGNSPKKPRNDP >ONI03400 pep chromosome:Prunus_persica_NCBIv2:G6:24780591:24785174:1 gene:PRUPE_6G254700 transcript:ONI03400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNLPDENSNNPSGNEHSAGCVWGLLHVMKYHHWHYVKKRLVHKRHRCNKHAAGVGDPGNEANASTQDASMQDENDAKIDKSTDEGKMRQSIPTVKNVKARIKARIAGEISKKKGRHNRSSSCPARSQLKRTESMHRLEPPSHLDPIADMVLNEGSPRIVHQNNKNSAAASKSESLPTTSYEKPTSGNNNCGESCPIVLGDHKVHDQVDENQQDHTLIQDKLDDNTMQDMLEQKLIHVKELDADASLHHLKEYLEALDIINVNKELLVKILHDPGSPLAQHFHNQQAVSAKTSLSKSESFPVPGPSDRRGSEPIKLKHKHELIRSPAKEESKSKIGSQAQKLAASTSLKYVGERSMPSINEYSEDGILKLNQAIADNSYSGSANNEMAIKRFKDLRQKIKHVIKESTKERHMITMDALLHKIPHGQKLTKGLEQEIDNHSKDLAMSIEGKDSPGSSYESDHSVSSLKKKKQRHMRRASSLNASLDRYCQLYETSCSREAKGHTSERLKLRKERVDSPLQPVLKTLGRIFSLPEIKSYKYQSEESSDVFSSGAPTRFAVDGRASRRSSFDEQNSLDIPIGSEIHLQLDAPVESKTENLAEVGELKVASTSVANNEASDPVDSIFDDIGNLTAGNSVSYEEQNVGPRSESIINHADPFPISVPDFDVSLSEGAEMKLNSRDGLENLAKQSEEPVLDTPKVVHSGLDTEKTQCHGKHLSYDMSHLQVDARDMAEFNYVRDVLEQSGFNGNESLGTWHSDDQPVDPLAYEGVEGCLVHDPDCSGNEEGGKCDHFLLFDLINEVLMEIYGRSYTYCPMTLSSLCNIPLMPAGHQVLKQVWALVSWYLSLRPEFDQSLDYVVSRDLAKNDGWMNLQFDSECIGIELEDLIFDDLLEEVSLSLWMDQVPS >ONI00392 pep chromosome:Prunus_persica_NCBIv2:G6:5813734:5814633:-1 gene:PRUPE_6G086300 transcript:ONI00392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKSIPLPLKLKIWLVFLALAIPSITLARILDEENPATPEEPDTTPTQTPLSNVAPAAATSATVSNSEAYHPLTFFLHDIIGGSNPSARAVTGIVTNPAVNGQVPFAKPNGAVVPIGNGIPQNNNNNGIINNNNVPFFTGLGGNTASNLIQNNGNNNIIGGNGLPYLNGAQLPPGITLQKLMFGTLTVFDDELTEGHELGSGLLGKAQGFYVASSEDGSSQTIAFTAMFQSGGYADSLTFFGVHLVAASESHLAVMGGTGKYLNAKGYALVKTIPATNQHNTDGVDTVLQFTAYLTY >ONI01648 pep chromosome:Prunus_persica_NCBIv2:G6:13060693:13069681:-1 gene:PRUPE_6G150900 transcript:ONI01648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQREGCLVFAVNGERFELPSVDPSTTLLEFLRTQTRFKSVKLGCGEGGCGACVVLLSKYDPVVDEVKDFNVSSCLTLLCSINGCSITTSEGLGNSKDGFHPIQQRFAGFHASQCGFCTPGMCVSLFAALVKAEKTNRLEPPPGFSKLTVSEVEKSIAGNLCRCTGYRSIADACKSFAADVDMEDLGFNSFWRKGDSKEVKIDSLPLYNHDAENCTFPEFLRNEIRSSMFLDSKRYGWYSPVSVEELQNLLKANDFSNENEMKLVVGNTGMGYYKELKCSDRYIDLRYVPELSMIKVDLTGVEIGAILTISEVIEMLRKKDKGEFPSRGEIVLNKIANHMEKIGSGFLRNTASIGGNLVMAQRKCFPSDIATILLAVDSEVGIMNGSRSEMIKLEDFLTRPPLDPKSVLLSVKIPHQEAVRQVSPETNTTLLFETYRATPRPLGNALPYLHAAFLAEVSSCKISNGIMVEHCCLAFGAYGTKHAIRARKVEEFLTGKTLTAGVLYEAIKLVRATVVPEEGTMSPAYRSSLATGFLFEFFSPLIDSESEISNGFLESHFSADSSMLKKNQRCKIPTVVTSAKQVLGLSTEYYPVGEPITKSGALLQASGEAVYVDDIPSPTNCLYGAFIYSTKPLARVKGIKFKPKPHPDGVSALISFKDIPNSGENVGSKTMFGTEPLFADDLTQCAGQPIAFVVADTQKHADLAANFVVVDYEMEGIEPPILSVEEAVKKSSYFEVPPFIYPKQVGDISNGMAAADHKILSAEIKLGSQYYFYMETQTALAVPDEDNCMVVYSSIQCPEFAHSVISKCLGIPENNVRVITRRVGGGFGGKAIKAMPVATACALAAQKLHQPVRMYLNRQVDMIMAGGRHPMKIIYSVGFKSNGKITALQLDILINAGTSPDISPILPRNIVCALKKYDWGALSFDIKLCKTNTPSRSAMRAPGEVQGSFIAEAVIEHVASTLSMEVDSVRSVNLHTQYSLDLFYEHSAGEPLEYTIPLIWDKLAKSSSFNPRTEMIKEFNRCNKWKKRGISRVPIVHEVSLRPTPGKVSILSDGSVAVEVGGIELGQGLWTKVKQMAAFALGSIQCDGSGDLLDKIRVVQSDTLSLIQGGFTAGSTTSESSCEAVRLCCNILVERLATLKERLQEKMGSTNWETLIQQASLQAVNLSASSYFVPDFASMEYLNYGAAVSEVEVNLLTGETTILRSDMIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYLSNSEGLVVSKGTWTYKIPSMDNIPKQFNVEILNSGHHRKRVLSSKASGEPPLLLAVSVHCATRAAIKESRKQLLQWGGLDGSASIFQLDVPATMPVVKELCGLEAVERYLEWVAGYGRKADGKCHYLCTQKDHAS >ONI01650 pep chromosome:Prunus_persica_NCBIv2:G6:13060300:13069987:-1 gene:PRUPE_6G150900 transcript:ONI01650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGFNSFWRKGDSKEVKIDSLPLYNHDAENCTFPEFLRNEIRSSMFLDSKRYGWYSPVSVEELQNLLKANDFSNENEMKLVVGNTGMGYYKELKCSDRYIDLRYVPELSMIKVDLTGVEIGAILTISEVIEMLRKKDKGEFPSRGEIVLNKIANHMEKIGSGFLRNTASIGGNLVMAQRKCFPSDIATILLAVDSEVGIMNGSRSEMIKLEDFLTRPPLDPKSVLLSVKIPHQEAVRQVSPETNTTLLFETYRATPRPLGNALPYLHAAFLAEVSSCKISNGIMVEHCCLAFGAYGTKHAIRARKVEEFLTGKTLTAGVLYEAIKLVRATVVPEEGTMSPAYRSSLATGFLFEFFSPLIDSESEISNGFLESHFSADSSMLKKNQRCKIPTVVTSAKQVLGLSTEYYPVGEPITKSGALLQASGEAVYVDDIPSPTNCLYGAFIYSTKPLARVKGIKFKPKPHPDGVSALISFKDIPNSGENVGSKTMFGTEPLFADDLTQCAGQPIAFVVADTQKHADLAANFVVVDYEMEGIEPPILSVEEAVKKSSYFEVPPFIYPKQVGDISNGMAAADHKILSAEIKLGSQYYFYMETQTALAVPDEDNCMVVYSSIQCPEFAHSVISKCLGIPENNVRVITRRVGGGFGGKAIKAMPVATACALAAQKLHQPVRMYLNRQVDMIMAGGRHPMKIIYSVGFKSNGKITALQLDILINAGTSPDISPILPRNIVCALKKYDWGALSFDIKLCKTNTPSRSAMRAPGEVQGSFIAEAVIEHVASTLSMEVDSVRSVNLHTQYSLDLFYEHSAGEPLEYTIPLIWDKLAKSSSFNPRTEMIKEFNRCNKWKKRGISRVPIVHEVSLRPTPGKVSILSDGSVAVEVGGIELGQGLWTKVKQMAAFALGSIQCDGSGDLLDKIRVVQSDTLSLIQGGFTAGSTTSESSCEAVRLCCNILVERLATLKERLQEKMGSTNWETLIQQASLQAVNLSASSYFVPDFASMEYLNYGAAVSEVEVNLLTGETTILRSDMIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYLSNSEGLVVSKGTWTYKIPSMDNIPKQFNVEILNSGHHRKRVLSSKASGEPPLLLAVSVHCATRAAIKESRKQLLQWGGLDGSASIFQLDVPATMPVVKELCGLEAVERYLEWVAGYGRKADGKCHYLCTQKDHAS >ONI01646 pep chromosome:Prunus_persica_NCBIv2:G6:13060303:13069987:-1 gene:PRUPE_6G150900 transcript:ONI01646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQREGCLVFAVNGERFELPSVDPSTTLLEFLRTQTRFKSVKLGCGEGGCGACVVLLSKYDPVVDEVKDFNVSSCLTLLCSINGCSITTSEGLGNSKDGFHPIQQRFAGFHASQCGFCTPGMCVSLFAALVKAEKTNRLEPPPGFSKLTVSEVEKSIAGNLCRCTGYRSIADACKSFAADVDMEDLGFNSFWRKGDSKEVKIDSLPLYNHDAENCTFPEFLRNEIRSSMFLDSKRYGWYSPVSVEELQNLLKANDFSNENEMKLVVGNTGMGYYKELKCSDRYIDLRYVPELSMIKVDLTGVEIGAILTISEVIEMLRKKDKGEFPSRGEIVLNKIANHMEKIGSGFLRNTASIGGNLVMAQRKCFPSDIATILLAVDSEVGIMNGSRSEMIKLEDFLTRPPLDPKSVLLSVKIPHQEAVRQVSPETNTTLLFETYRATPRPLGNALPYLHAAFLAEVSSCKISNGIMVEHCCLAFGAYGTKHAIRARKVEEFLTGKTLTAGVLYEAIKLVRATVVPEEGTMSPAYRSSLATGFLFEFFSPLIDSESEISNGFLESHFSADSSMLKKNQRCKIPTVVTSAKQVLGLSTEYYPVGEAVYVDDIPSPTNCLYGAFIYSTKPLARVKGIKFKPKPHPDGVSALISFKDIPNSGENVGSKTMFGTEPLFADDLTQCAGQPIAFVVADTQKHADLAANFVVVDYEMEGIEPPILSVEEAVKKSSYFEVPPFIYPKQVGDISNGMAAADHKILSAEIKLGSQYYFYMETQTALAVPDEDNCMVVYSSIQCPEFAHSVISKCLGIPENNVRVITRRVGGGFGGKAIKAMPVATACALAAQKLHQPVRMYLNRQVDMIMAGGRHPMKIIYSVGFKSNGKITALQLDILINAGTSPDISPILPRNIVCALKKYDWGALSFDIKLCKTNTPSRSAMRAPGEVQGSFIAEAVIEHVASTLSMEVDSVRSVNLHTQYSLDLFYEHSAGEPLEYTIPLIWDKLAKSSSFNPRTEMIKEFNRCNKWKKRGISRVPIVHEVSLRPTPGKVSILSDGSVAVEVGGIELGQGLWTKVKQMAAFALGSIQCDGSGDLLDKIRVVQSDTLSLIQGGFTAGSTTSESSCEAVRLCCNILVERLATLKERLQEKMGSTNWETLIQQASLQAVNLSASSYFVPDFASMEYLNYGAAVSEVEVNLLTGETTILRSDMIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYLSNSEGLVVSKGTWTYKIPSMDNIPKQFNVEILNSGHHRKRVLSSKASGEPPLLLAVSVHCATRAAIKESRKQLLQWGGLDGSASIFQLDVPATMPVVKELCGLEAVERYLEWVAGYGRKADGKCHYLCTQKDHAS >ONI01649 pep chromosome:Prunus_persica_NCBIv2:G6:13059826:13069987:-1 gene:PRUPE_6G150900 transcript:ONI01649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQREGCLVFAVNGERFELPSVDPSTTLLEFLRTQTRFKSVKLGCGEGGCGACVVLLSKYDPVVDEVKDFNVSSCLTLLCSINGCSITTSEGLGNSKDGFHPIQQRFAGFHASQCGFCTPGMCVSLFAALVKAEKTNRLEPPPGFSKLTVSEVEKSIAGNLCRCTGYRSIADACKSFAADVDMEDLGFNSFWRKGDSKEVKIDSLPLYNHDAENCTFPEFLRNEIRSSMFLDSKRYGWYSPVSVEELQNLLKANDFSNENEMKLVVGNTGMGYYKELKCSDRYIDLRYVPELSMIKVDLTGVEIGAILTISEVIEMLRKKDKGEFPSRGEIVLNKIANHMEKIGSGFLRNTASIGGNLVMAQRKCFPSDIATILLAVDSEVGIMNGSRSEMIKLEDFLTRPPLDPKSVLLSVKIPHQEAVRQVSPETNTTLLFETYRATPRPLGNALPYLHAAFLAEVSSCKISNGIMVEHCCLAFGAYGTKHAIRARKVEEFLTGKTLTAGVLYEAIKLVRATVVPEEGTMSPAYRSSLATGFLFEFFSPLIDSESEISNGFLESHFSADSSMLKKNQRCKIPTVVTSAKQVLGLSTEYYPVGEPITKSGALLQASGEAVYVDDIPSPTNCLYGAFIYSTKPLARVKGIKFKPKPHPDGVSALISFKDIPNSGENVGSKTMFGTEPLFADDLTQCAGQPIAFVVADTQKHADLAANFVVVDYEMEGIEPPILSVEEAVKKSSYFEVPPFIYPKQVGDISNGMAAADHKILSAEIKLGSQYYFYMETQTALAVPDEDNCMVVYSSIQCPEFAHSVISKCLGIPENNVRVITRRVGGGFGGKAIKAMPVATACALAAQKLHQPVRMYLNRQVDMIMAGGRHPMKIIYSVGFKSNGKITALQLDILINAGTSPDISPILPRNIVCALKKYDWGALSFDIKLCKTNTPSRSAMRAPGEVQGSFIAEAVIEHVASTLSMEVDSVRSVNLHTQYSLDLFYEHSAGEPLEYTIPLIWDKLAKSSSFNPRTEMIKEFNRCNKWKKRGISRVPIVHEVSLRPTPGKVSILSDGSVAVEVGGIELGQGLWTKVKQMAAFALGSIQCDGSGDLLDKIRVVQSDTLSLIQGGFTAGSTTSESSCEAVRLCCNILVERLATLKERLQEKMGSTNWETLIQQASLQAVNLSASSYFVPDFASMEYLNYGAAVSEVEVNLLTGETTILRSDMIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYLSNSEGLVVSKGTWTYKIPSMDNIPKQFNVEILNSGHHRKRVLSSKASGEPPLLLAVSVHCATRAAIKESRKQLLQWGGLDGSASIFQLDVPATMPVVKELCGLEAVERYLEWVAGYGRKADGKCHYLCTQKDHAS >ONI01647 pep chromosome:Prunus_persica_NCBIv2:G6:13060356:13069987:-1 gene:PRUPE_6G150900 transcript:ONI01647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQREGCLVFAVNGERFELPSVDPSTTLLEFLRTQTRFKSVKLGCGEGGCGACVVLLSKYDPVVDEVKDFNVSSCLTLLCSINGCSITTSEGLGNSKDGFHPIQQRFAGFHASQCGFCTPGMCVSLFAALVKAEKTNRLEPPPGFSKLTVSEVEKSIAGNLCRCTGYRSIADACKSFAADVDMEDLGFNSFWRKGDSKEVKIDSLPLYNHDAENCTFPEFLRNEIRSSMFLDSKRYGWYSPVSVEELQNLLKANDFSNENEMKLVVGNTGMGYYKELKCSDRYIDLRYVPELSMIKVDLTGVEIGAILTISEVIEMLRKKDKGEFPSRGEIVLNKIANHMEKIGSGFLRNTASIGGNLVMAQRKCFPSDIATILLAVDSEVGIMNGSRSEMIKLEDFLTRPPLDPKSVLLSVKIPHQEAVRQVSPETNTTLLFETYRATPRPLGNALPYLHAAFLAEVSSCKISNGIMVEHCCLAFGAYGTKHAIRARKVEEFLTGKTLTAGVLYEAIKLVRATVVPEEGTMSPAYRSSLATGFLFEFFSPLIDSESEISNGFLESHFSADSSMLKKNQRCKIPTVVTSAKQVLGLSTEYYPVGEPITKSGALLQASVYVDDIPSPTNCLYGAFIYSTKPLARVKGIKFKPKPHPDGVSALISFKDIPNSGENVGSKTMFGTEPLFADDLTQCAGQPIAFVVADTQKHADLAANFVVVDYEMEGIEPPILSVEEAVKKSSYFEVPPFIYPKQVGDISNGMAAADHKILSAEIKLGSQYYFYMETQTALAVPDEDNCMVVYSSIQCPEFAHSVISKCLGIPENNVRVITRRVGGGFGGKAIKAMPVATACALAAQKLHQPVRMYLNRQVDMIMAGGRHPMKIIYSVGFKSNGKITALQLDILINAGTSPDISPILPRNIVCALKKYDWGALSFDIKLCKTNTPSRSAMRAPGEVQGSFIAEAVIEHVASTLSMEVDSVRSVNLHTQYSLDLFYEHSAGEPLEYTIPLIWDKLAKSSSFNPRTEMIKEFNRCNKWKKRGISRVPIVHEVSLRPTPGKVSILSDGSVAVEVGGIELGQGLWTKVKQMAAFALGSIQCDGSGDLLDKIRVVQSDTLSLIQGGFTAGSTTSESSCEAVRLCCNILVERLATLKERLQEKMGSTNWETLIQQASLQAVNLSASSYFVPDFASMEYLNYGAAVSEVEVNLLTGETTILRSDMIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYLSNSEGLVVSKGTWTYKIPSMDNIPKQFNVEILNSGHHRKRVLSSKASGEPPLLLAVSVHCATRAAIKESRKQLLQWGGLDGSASIFQLDVPATMPVVKELCGLEAVERYLEWVAGYGRKADGKCHYLCTQKDHAS >ONI02725 pep chromosome:Prunus_persica_NCBIv2:G6:22482093:22484999:1 gene:PRUPE_6G218100 transcript:ONI02725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRNRLLSPQITSFLSLSSSPPLKIQPFTLSFRTLISNPTHRNPTPNRHVSASKATANAAIFDKTLLFIPPGVDPAEVTENMVLSGSNIVLGPYAGDAKIKEVEFVKSSARAKDCPKHDRPEFAILGRSNVGKSSLINALVRKKDVALTSKKPGKTKLINHFLVNKSWYFVDLPGYGFAKAPEAARMDWSAFTKGFFLNRDTLVAVLLLVDASVPPQKIDLDCANWLGRNNIPMTFVFTKCDKMKASKGKRPDENLRDFQQFIRENYRVQPPWIMTSSVTGFGRDELLLHMSQLRNYWDQ >ONI03536 pep chromosome:Prunus_persica_NCBIv2:G6:25310444:25313665:1 gene:PRUPE_6G263400 transcript:ONI03536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCSSSFGISNVVTLRNEVVLRKMWSQNLIFDGVRFRNDAKEVALPVFCRNNRFRHGVNSFSVKKDRNFMVMSMSQPQAESHSELGAVTVSNEGADVILGKETRILENEYRSKTENGVLDGSGGDGKYTNGRGGGGGGGGDDDGDDKEEEEFGPLMKFEEVMKETEARGASLPSDMIEAAKSVGIRKVLLLRYLDLQGSAWPIGFLMKSCSMLRDRMLADPSFLFKIGTEIVIDSCCATFAEVQKRGKDFWAEFELYVADLLVGVVVNVALVGMLAPYARIGQPSLSKGFLGRLQHSYGALPSSVFEAERPGCRYSVNQRIATYFFKGILYGTVGFGCGIIGQGIANLIMTAKRSVKKSEEDIPVPPLIKSAVLWGVFLALSSNTRYQIINGLERLVEASPMAKQIPPIALAFTVGVRFANNIYGGMQFVDWARWSGVQ >ONI03537 pep chromosome:Prunus_persica_NCBIv2:G6:25310444:25313665:1 gene:PRUPE_6G263400 transcript:ONI03537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCSSSFGISNVVTLRNEVVLRKMWSQNLIFDGVRFRNDAKEVALPVFCRNNRFRHGVNSFSVKKDRNFMVMSMSQPQAESHSELGAVTVSNEGADVILGKETRILENEYRSKTENGVLDGSGGDGKYTNGRGGGGGGGGDDDGDDKEEEEFGPLMKFEEVMKETEARGASLPSDMIEAAKSVGIRKVLLLRYLDLQGSAWPIGFLMKSCSMLRDRMLADPSFLFKIGTEIVIDSCCATFAEVQKRGKDFWAEFELYVADLLVGVVVNVALVGMLAPYARIGQPSLSKGFLGRLQHSYGALPSSVFEAERPGCRYSVNQRIATYFFKGILYGTVGFGCGIIGQGIANLIMTAKRSVKKSEEDIPVPPLIKSAVLWGVFLALSSNTRYQIINGLERLVEASPMAKQIPPIALAFTVGVRFANNIYGGMQFVDWARWSGVQ >ONI04862 pep chromosome:Prunus_persica_NCBIv2:G6:29606878:29608390:-1 gene:PRUPE_6G344400 transcript:ONI04862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADHSTLCHLHLVTINLFFYLVCHSSLASNVHGGGFSVQLIRRNSPNSPFYNHNKAPSRSLYRSFRRLMEPSNPNTPQSEVRRDYDGEHLMKLSIGTPPVDIYGVADTGSTLIWTQCEPCLDCYKQKNPKFDPRKSSTYRSLTCGAQECNLLIDSGSYSCSSPDDHKALCNYNYSYVDGAATRGVLAKETIIMKSTSGEPVSVKNILIGCGHNNTGETFLENQMGVVGLGSGNMSFINQIGPGLGGKRFSYCLVPPHANPTATSKMSFGNGSEVLGEGVVSTPLISTLDKTAYRITIAGMSVGDKFVPFNSSETNAVSKGNTILDSGTPNTYLPQQFYDPLVAELKKQIPRTPIEIADPTFGTLVCYNTATNLKGPTLTVHFEGGANLQLTPTQTFFQHQEFKNFCFAMQNSSYVTNDDFVLYGNYVQSNFLIGFDLDRRLVSFKPTDCINTSAAIPTSFFYFSTYLLNLLPIVILSL >ONI02396 pep chromosome:Prunus_persica_NCBIv2:G6:20327019:20328302:1 gene:PRUPE_6G195700 transcript:ONI02396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGLCIGGRTSEAEKLLIEMEEKGCSPNGWTYNVIIRGFINNNETVRAMELIQQMVKGGSPADASTTELIIDLLCKDKVDPALLPLMQKENYELNLPQLKLKRSSDHSNKH >ONI03133 pep chromosome:Prunus_persica_NCBIv2:G6:23977922:23979191:1 gene:PRUPE_6G240900 transcript:ONI03133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANAEIPYDFDEDEGSEENKENPLLDEEKKKKARKLTRNMQKKNKKNYDVGYYMCRARFNTLGGLQSHQIRHYKFFKACQVCSLRFASHLEYQDHVCPSKPMLKVRG >ONH99371 pep chromosome:Prunus_persica_NCBIv2:G6:2132488:2134587:-1 gene:PRUPE_6G027300 transcript:ONH99371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYHFVYKDVEGASTQWDDIQRKLGNLPAKPPAFKPAPFTPAEDEASLPKDKSWIDEKTERDLEDLEDNPDLDDDRFLEDYRKKRLAELREAAKVARFGSVVLISGSDFVREVSQAPADVWVVAVLYKEGIAECGVLMQCLEDLATKYPATKFVKIISTDCIPNYPDRNVPTVLVYNNGAVKANYVGLQSFGRRCTPEGVALVLCQSDPVLNDGQNGNDRSRKAVIDGVRKRFIEKVVTEHENDDDGSSSD >ONH99372 pep chromosome:Prunus_persica_NCBIv2:G6:2132488:2134088:-1 gene:PRUPE_6G027300 transcript:ONH99372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYHFVYKDVEGASTQWDDIQRKLGNLPAKPPAFKPAPFTPAEDEASLPKDKSWIDEKTERDLEDLEDNPDLDDDRFLEDYRKKRLAELREAAKVARFGSVVLISGSDFVREVSQAPADVWVVAVLYKEGIAECGVLMQCLEDLATKYPATKFVKIISTDCIPNYPDRNVPTVLVYNNGAVKANYVGLQSFGRRCTPEGVALVLCQSDPVLNDGQNGNDRSRKAVIDGVRKRFIEKVVTEHENDDDGSSSD >ONI03477 pep chromosome:Prunus_persica_NCBIv2:G6:25050112:25051762:-1 gene:PRUPE_6G259300 transcript:ONI03477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLCDEVWPSSPAVNDPMSQMDHCGSNSYCGSSMYTTKEDFKQALAICLGKEMSYMPGPNYAEKLCSNSLIIARFKSIHWFIKCRSRLNLSLGTVFYAANYLDRFISTNHCNGWEYWMVDLLSVACLSIATKFNDTCTPTLLEIQMEDLDHLFEPSTIQRMEMMLLKALGWRLASTTSYSYLELLIQIMDSLKPQLHQEFIARVNKLLLGAISDLKLLGFRPSVITMSALRCSLDKLQTSTATSDACLTDLTSLLDHDRKAELVKCHKIMEEQSVDGLDNLIGHEIFHFCPSSPTTVLLKERINSYDNHVDFSLFNMRGRNMINAEPGRRINKRKREEDDQ >ONI05047 pep chromosome:Prunus_persica_NCBIv2:G6:30053168:30053930:-1 gene:PRUPE_6G353400 transcript:ONI05047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMMRLEDLRKKKFGDESFNQIVAKVKAVARDPAHPANPEEIFRLLTERVVFDLIQFQRKSKGAHKSNVSISN >ONI03581 pep chromosome:Prunus_persica_NCBIv2:G6:25510873:25513050:-1 gene:PRUPE_6G267000 transcript:ONI03581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKQPHVVIFPFPLQGHMKPLLCLAELLCHAGLHVTYVNTHHNHQRLANRQALSTHFPTLHFESISDGLPEDDPRTLNSQLLIALKTSIRPHFRELLKTISLKAESNDALVPPPSCIMTDGLVTFAFDVAEELGLPILSFNVPCPRYLWTCLCLPKLIENGQLPFQDDDMNVEITGVPGMEGLLHRQDLPGFCRVKQADHPSLQFAINETQTLKRASALILDTVYELDTPCISHMALMFPKIYTLGPLHALLNSQIGDMSRGLASHGSLWKSDLNCMTWLDSQPSKSVIYVSFGTLVHLTRAQVIEFWYGLINSGHPFLWVMRSDITSGDHQIPPELENGTKERGCIVDWVSQEEVLAHKSVGGFLTHSGWNSTLESIVAGLPMICWPNLGDHYIISRTVCRQWKIGLQLNENCDRSNIESMVQTLMGSKREEIQSSMDAISKLARDSVAEGGSSHNNLEQLIEYIRNLQHQN >ONI03508 pep chromosome:Prunus_persica_NCBIv2:G6:25168153:25170168:1 gene:PRUPE_6G261500 transcript:ONI03508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKLVLVILVSLAAAEDLNFTYNGFLSANLSLDGVAQVTSNGLLKLTNDSGNDNVLGHAFYPNPVTFKNSSNATAFSFSTTFVFAIRSGFGLRLGGQGIAFVIAPTRGLPGGSLIQYLGVFNQTNNGNASNHIFAVELDPIQNPEFGDIDNNHVGIDINGLRSVSSTPAGYHALNNGGFRNLTLISGQPMQVWVEYDGIQKQINVTLGPINVDKPHIPLLSLKYDLSTVLNTTMYVGFSSTAGAVFTSHYVLGWSFEMNGQAPELVLSQLPKLPRIGPKKISNFLTVGVPVMSVSLVLLVVSGLIYFIRRKRKFAELLEDWELEYGPQRFKYKDLYIATKGFKENELLGTGGFGKVYRGILPTSKIEIAVKRVSHESRQGMKEFVAEIVSIGRLRHRNLVSLLGYCRRKGELLLVYDYMPNGSLDKYLYDCPTVTLNWSQRFRVITGVASGLFYLHEEWEQVVIHRDVKASNVLLDGELNARLGDFGLARLYDHGTDPQTTHIVGTLGYLAPEHIRSGRATTSTDVFAFGAFLLEVACGRRPIEAQGPDQDLILVDWVFSFWNRARPSMRQVVQYLEGDIALPELSLLGFSSNGLTFAHHEGFDDFAMSYSSSLGKGFSHSSYAAESAFLSGGR >ONI04032 pep chromosome:Prunus_persica_NCBIv2:G6:27173038:27174218:-1 gene:PRUPE_6G297600 transcript:ONI04032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATAQLRYSTVARHHSNPSDNRRFSNNPNSSISFPYSKTLNRAISFVPKPIWDGKPELSFSGGNGDGHSGAGAGGNSGGWSRGGGDGNSDDSSPSSSSAFQGFGVLGMFLNGWRSRVAADPQFPFKVLMEEVVGVSSCVLGDMASRPNFGLNELDFVFSTLVVGSILNFTLMYLLAPTLSASAATLPSIFASCPASHMFEPGSYGLVNRLGTFVYKGAVFAAVGFAAGLAGTALSNGLIKLRKKMDPNFETPNKPPPTLLNALTWAAHMGISSNLRYQTLNGAEFLLEKGLPPLAFKTSVVVLRCLNNVLGGVSFVVLARLTGSQSVGEAKSVDGGGEVGSAAEKEKLVEESEDLQSNQSTYK >ONI03926 pep chromosome:Prunus_persica_NCBIv2:G6:26909462:26910058:1 gene:PRUPE_6G291900 transcript:ONI03926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMCTFCCCSIILVALVFALGITGFVSWIIAGFVRVSHLKRINYQVTDASLLQFNVTNNNTTLEYNLALNITLQNPNDKIGLHFDRIEASAIFMKQTLNNVSLSSSSYLGHKKTVLLPALFKGQRQVALRADEVSNFKNAGDFDIMLNLDVKYWVKFLASKVKIKQPHIACSLKVPLNNGKPAEGFKVTQCKVQSSDK >ONH99880 pep chromosome:Prunus_persica_NCBIv2:G6:3912716:3914498:1 gene:PRUPE_6G055400 transcript:ONH99880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >ONH99881 pep chromosome:Prunus_persica_NCBIv2:G6:3912716:3914498:1 gene:PRUPE_6G055400 transcript:ONH99881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >ONH99480 pep chromosome:Prunus_persica_NCBIv2:G6:2488289:2489978:-1 gene:PRUPE_6G032300 transcript:ONH99480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNEAPVSMEVEAVPSKPSSASAALPPKPIFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKVWMEIYTPVYEQMKIDIRMNLKGRKVELKSRADTPDVSNLQKCSDFVQAFMLGFDVIDAIALLRMDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHILGSFANIKVARDSLCSLILGSPAGKVYSKLRAVTARLAERF >ONH99479 pep chromosome:Prunus_persica_NCBIv2:G6:2488289:2489907:-1 gene:PRUPE_6G032300 transcript:ONH99479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNEAPVSMEVEAVPSKPSSASAALPPKPIFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKVWMEIYTPVYEQMKIDIRMNLKGRKVELKSRADTPDVSNLQKCSDFVQAFMLGFDVIDAIALLRMDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHILGSFANIKVARDSLCSLILGSPAGKVYSKLRAVTARLAERF >ONI02700 pep chromosome:Prunus_persica_NCBIv2:G6:22367091:22369422:-1 gene:PRUPE_6G216300 transcript:ONI02700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAKTACALIFITVLNGAVFRPTSSDPSDEACLTHLSQSIQDATKSLQNWTKTTFANPCSGFTSYLQGATCNNGRIYKLSLTNLALRGSISPFLANCTNLQALDLSSNFLTGPIPSDLQYLVNLAVLNLSSNRLQGPIPPQLTLCAYLNVIDLHDNLLTGTIPQQLGLLVRLSAFDVSNNKLSGPIPVSLGNRSGNLPRFNATSFDGNKELYGYPLAPLKSKGLSVLAIVGIGLGSGFASLVLSFTGVCIWLKITERKMALEEGKVSHLMPDY >ONI03376 pep chromosome:Prunus_persica_NCBIv2:G6:24719054:24721695:-1 gene:PRUPE_6G253700 transcript:ONI03376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNLYPIVDDKDLDDAALWAVIDSAAASHSSSKYKPQSRKPLAIKYPNYPSPPPKLFKTPRLPFSDDSGSKSSSTEGEVVEDLSAFHPPRKMARTSSSGVNDTSPLVVVRNVQRTTPTTPTTPFYSSPETHVSPGIGNYASPVCYGQRDERDNSSGSVHSLTGRFPSVSLFKEYQNAAMAILEKTDYTMISGHPFIKKSGWRKISFYFNLSFEIKDKTIEFDENRNVLRAEFVGREVLRWMGRV >ONI03375 pep chromosome:Prunus_persica_NCBIv2:G6:24719407:24721611:-1 gene:PRUPE_6G253700 transcript:ONI03375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNLYPIVDDKDLDDAALWAVIDSAAASHSSSKYKPQSRKPLAIKYPNYPSPPPKLFKTPRLPFSDDSGSKSSSTEGEVVEDLSAFHPPRKMARTSSSGVNDTSPLVVVRNVQRTTPTTPTTPFYSSPETHVSPGIGNYASPVCYGQRDERDNSSGSVHSLTGRFPSVSLFKEYQNAAMAILEKTDYTMISGHPFIKKSGWRKISFYFNLSFEIKDKTIEFDENRNVLRAEFVVRAHMQGGRFSDGWGACDRREKKFNKPNHDIPSTAETRAKSKASQDLLGIGEYRPGASQFHH >ONI01395 pep chromosome:Prunus_persica_NCBIv2:G6:11140767:11143238:1 gene:PRUPE_6G137400 transcript:ONI01395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANMATASTVIGLSSSSLSPKRTCLSSGFVKPIAVGNPLRQARASGGRFTCNFQRDWLRRDLNVIGFGLIGWLAPSSIPAIDGKSLTGLFFESIGTELAHFPSPPALTSQFWLWLVTWHLGLFITLTFGQIGFKGRTEDYF >ONI03994 pep chromosome:Prunus_persica_NCBIv2:G6:27074537:27077864:-1 gene:PRUPE_6G296000 transcript:ONI03994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSKSKTKEQDSEQSGAEFTGANVHLITTTESWDQKMEEAKRDGKTVIANFSATWCGPCKMIAPLYRELSEQYTSLMFLVIDVDELTDFSTSWDIKATPTFFFLRDGQQIDKLVGANKPELQKKIISVVDSAPCQK >ONI03995 pep chromosome:Prunus_persica_NCBIv2:G6:27074838:27076937:-1 gene:PRUPE_6G296000 transcript:ONI03995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSKSKTKEQDSEQSGAEFTGANVHLITTTESWDQKMEEAKRDGKTVIANFSATWCGPCKMIAPLYRELSEQYTSLMFLVIDVDELTDFSTSWDIKATPTFFFLRDGQQIDKLVGANKPELQKKIISVVDSAPCQK >ONI00853 pep chromosome:Prunus_persica_NCBIv2:G6:7644977:7647286:1 gene:PRUPE_6G107400 transcript:ONI00853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEGEPGSSMHGVTGREQTFAFSVASPIVPTDPTAKFDLPVDSEHKAKVFKIFSLANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVSDAGGYLAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLLMPLVFDIIGRVGATPFTAWRIAFFIPGWLHVIMGIMVLTLGQDLPDGNLAALQKKGDVAKDQFSKVLWHAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFYDRFNLKLHTAGIIAATFGMANLVARPFGGFASDRAARYFGMRGRLWTLWILQTLGGVFCIWLGRANSLPIAVFAMILFSVGAQAACGATFGVIPFISRRSLGIISGLTGAGGNFGSGLTQLVFFSSSAFSTATGLSLMGVMIVCCTLPVTLVHFPQWGSMFLPPSKDVVKSTEEFYYGAEWNEEEKQKGLHQQSLRFAENSRSERGRRVASAPTPPNTTPSHV >ONI03405 pep chromosome:Prunus_persica_NCBIv2:G6:24811661:24812655:1 gene:PRUPE_6G255100 transcript:ONI03405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCCGGKCGCGAGCKCGSGCGGCGMYPDISMEQSTTTETLIMGVAPQTSHFEASEMGVVAENGCKCGDNCTCNPCKCGK >ONI03535 pep chromosome:Prunus_persica_NCBIv2:G6:25306404:25309468:1 gene:PRUPE_6G263300 transcript:ONI03535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDWSEAGLSSLRQGSSLKVEEEIDFLVSLNRTFSSGFYKVGTNASCYSIWFTNSVNKTVVWMANRDKPVSGRGSKLTLHRNGNLVLTDGVGSIVWSTNTFSDASVEARLLETGNLVLINQAKEVLWQSFDSPTDTLLPSQKLVRNTTLVSMRSQGTYLSGFYNFKFGDSNVLYLVYNGPQFSSAYWPMPGVNVFDFGRKPYNSSRVAILDGVGEFISSDGLVFNASDYGFGPKRRLTLDYDGMLRLYSLDESTGLWEVSWLPDAVGVCLVQLSRANNSKGCSPMFNLIHDANKLDFMELPNSDYYGFDLDTYALGVSFEACRNACLYDSRCKGFGYALDGRGQCFPKSFLRNGFHIPSTFISIYIKIPKLLMSTDEVLRKLKPYELNCSAAQVALQHSEPEVEKSNKTRFMEYLIGFLSSFAIIEAICIGVTWWCIFQKHAHEEFLNMGYIVLSMVFKRFTYEELKKATNGFKHEVGKGGFGAVYKGVLDDERVVAVKRLDGILQGDAEFWAEVSVIGNINHRNLVKLWGFCADNEHKLLVYEYMENGSLDRLLFSSDGELGLEQRYNIALGTAKGLSYLHEECLEWVLHCDVKPQNILLDDHLEPKVADFGMSKLFKDIHGMKDIQGIGFSKARGTRGYMAPEWMMNLTINAKADVYSYGIVLLELLSGKSASILVSALAKEYNECNQLSQCVTEKIREEGLEEVLDPRLLGELDKKKLERLMKVALLCVQDDRSARPAMSKVVELLLENDERGPDEEDQRERERERERE >ONH99776 pep chromosome:Prunus_persica_NCBIv2:G6:3542433:3544827:-1 gene:PRUPE_6G050200 transcript:ONH99776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPNTSPITTSHVVALPFPGRGHINPMMCLCNSLASLNPAADNTDIIVTVIVTEEWLGFLGSEPKPDNVSFATIPNVIPSEIGRGDDSPGFYETVLRKIKTPVEDLLDRLEPPVTALIADTYLVWSVEIGNVRNIPVASLWTMSASVYSVFHFFDLLVQHSHFPVNLEERGEEHVDYIPGLPSTCVADLSTCFYGKGLNVLDRALESVASLSKAQWLLLPSVYKLEAQVIDALRANTSIPVYHIGPTIPHSKLETESRPSEAHYFDWLDKQPSGSVLYMSQGSLHSAPKAQMDEIADGLRESRVRFFWVAREAASELKERCGEMGIVVAWCDQLRVLCHDSIGGFWSHCGWNSTSEAVFAGLPMLTFPIYWDQVPNSKMIVEDWKIGWRVKKKGVGEEESLVGREEIAGIVRRFMDLESEEGKEMRSKARELRDIYRQAIRKGGSTHADLEAFVSDICKQDF >ONI03761 pep chromosome:Prunus_persica_NCBIv2:G6:26223649:26228161:1 gene:PRUPE_6G280600 transcript:ONI03761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRLVLWIFLSALLFFLTMEATTIDPYKVLGVERNASQREIQKAFHKLSLQYHPDKNKNKGAQAKFSEINNAYEILSDEEKRKNYDTYGDEKGSPGFGAGSPGDHGGYTYFTNGGPGKNQFTYGPSDWQSMGGQGGSKSFSFSFGGPSGPSSFGFGMDDIFSNLFGGKPGGGGQFGGFSGSTGSRPGSQPGSRGSPVSISAISSQVYKKEIVDQGMTWLLLSYTPSLKGHQHVESVIKEVASSLQGALKIGSINCETEPSLCKDLGIYPRRMPRVFVYSYKVSERGSLVEYDGDWAAKPLKMFCQDHLPRFSKRVDVKHFESSTVTVHKLPSVVLLSTKKDTPVIWRVLSGLHHNHFLFYNAEVNDVSDPTVKKLGVDALPAIIGWLSNGEKHVLKAGITIKDMKSAINELSALLEGFEKKNKKASSSQAKKSPTGEKQIPLLTESNFDALCGEKTPVCIIGAFRSSKERKKLESILNTLSQKSLSRQQNSADGRDSISYTLLDASKKASFLNAFDKAGFKSLDKVLVAYKPRRGTFAVFEGEMTTEEVERFIGSVLNGDIRFTKTRQKPVLK >ONI03762 pep chromosome:Prunus_persica_NCBIv2:G6:26223386:26228045:1 gene:PRUPE_6G280600 transcript:ONI03762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRLVLWIFLSALLFFLTMEATTIDPYKVLGVERNASQREIQKAFHKLSLQYHPDKNKNKGAQAKFSEINNAYEILSDEEKRKNYDTYGDEKGSPGFGAGSPGDHGGYTYFTNGGPGKNQFTYGPSDWQSMGGQGGSKSFSFSFGGPSGPSSFGFGMDDIFSNLFGGKPGGGGQFGGFSGSTGSRPGSQPGSRGSPVSISAISSQVYKKEIVDQGMTWLLLSYTPSLKGHQHVESVIKEVASSLQGALKIGSINCETEPSLCKDLGIYPRRMPRVFVYSYKVSERGSLVEYDGDWAAKPLKMFCQDHLPRFSKRVDVKHFESSTVTVHKLPSVVLLSTKKDTPVIWRVLSGLHHNHFLFYNAEVNDVSDPTVKKLGVDALPAIIGWLSNGEKHVLKAGITIKDMKSAINELSALLEGFEKKNKKASSSQAKKSPTGEKQIPLLTESNFDALCGEKTPVCIIGAFRSSKERKKLESILNTLSQKSLSRQQNSADGRDSISYTLLDASKKASFLNAFDKAGFKSLDKVLVAYKPRRGTFAVFEGEMTTEEVERFIGSVLNGDIRFTKTRQKPVLK >ONI00278 pep chromosome:Prunus_persica_NCBIv2:G6:5436687:5439851:1 gene:PRUPE_6G079800 transcript:ONI00278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDSAKNQVAMNPINTVFDAKRLIGRRFSDASIQSDMKLWPFKVIPGAGDKPTIVVNYKGEEKQFAAEEISSMVLTKMREIAEAYLGSAVKNAVVTVPAYFNDSQRQATKDAGVIAGMNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISNNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIENMVQEAEKYKSEDEDHKKKVEAKNALENYAYNMRNTVRDEKIGSKLNPADKKKIEDSVEDAIQWLDGNQLAECDEFEDKMKELENLCNPIIAKMYQGAGAEAGGGGVDDDAPPPAASGAGPKIEEVD >ONI02397 pep chromosome:Prunus_persica_NCBIv2:G6:20339198:20340660:-1 gene:PRUPE_6G195800 transcript:ONI02397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGFAGFQVLLVLGLLATSCIAQAPSAAPTATPPTATPPTAKPPTATPPTANPPSPAPVPAPTTSPTPSPTTAPAPSTTAPASSPSAETPPSTSPPAPAGPAGSPNSPTAETPSPPPPSGNYAPGLASRAAIAGTALAGALFAVVLA >ONH99250 pep chromosome:Prunus_persica_NCBIv2:G6:1686770:1689583:-1 gene:PRUPE_6G020900 transcript:ONH99250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSVLDLLCFFLFMILPYSTIAQTSRNISLGSSLTARNDDNSSWASPSGEFAFGFQQIGKDGFLLAIWFNKITERTIVWSANGNNLVPQGSQVELTSDGQFMLNDATGKRIWYAESAGTGVAYAAMLDTGNFVLANQSSSTLWQSFDQPTDTILPTQTLNQNSNLYARYTATNYSRGRFLFALQSDGNLVLYTTNFPQDSANSAYWSTKTDTGFQIIFNQSGIIYLASRNGSVLNPISPNAVSIQDFYQRATLEYDGVLRHYVYPKSTSSSAGRWPVAWSTSTFVPPNICLSIVEGVGGGACGFNSLCRLGDEGPICQCPNGYNSIDPNDALRGCKQNFVPQSCDQASPETDLFDFQEMQNTNFPGGDYEHFRGVTEDWCRQNCLNDCFCAVAIFNPAGDCFKKRLPFSNGMIDSSISGKTLIKFGKVNSTLKSAGGANTKKKDNSTLILVGSVLLSSSGVLNFLLPVITYLVVSRVYSRNAKVNQSHPVMSGMNLKYFTYEELKKATNEFKEELGRGASATVFKGVLVSDNGKCVAVKSLDTKVRENDLEFRAEVSAIGRTNHRNLVQLLGFCNEGQHRILVYEFMSNGSLASFVFGDPMPNWYQRRQIALGIARGLLYLHEECSGQIVHCDIKPQNILLDDYFTARISDFGLAKLLRMDQTRTMTAIRGTKGYVAPEWFRSLPITVKVDVYSYGILLLEIIFCRKHFEAVAEDEDQMILADWAYDCYKQKKLHRLFKNDDEAINDINKMEKYVMIAIWCIQEDPSLRPTMKKVTLMLEGTVEVSAPPDPSSFISSIL >ONI03744 pep chromosome:Prunus_persica_NCBIv2:G6:26167702:26168445:-1 gene:PRUPE_6G279300 transcript:ONI03744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRSDSGSISNIFGAFFEAWLVRQEHFLDELLSAQQRINEARDEDQRDLVYRVLLHYQQYYDEKSRIAQRDVFLVFSPPWFTSFERSLLWIAGYKPGLAFRLLTESVPDLSDGQRVRMARLMEETRVEERALNDKLAKIHESVAAPPLVDVARRYGRFANGEIVEEDVAIDELKSALESVLENSNLLRTTMATKLVDMLSSAQAVRFLAAVVQFQLKIRSLGLERDADKQRELNGGGGGRSPIGNW >ONI04295 pep chromosome:Prunus_persica_NCBIv2:G6:28066437:28068860:-1 gene:PRUPE_6G314100 transcript:ONI04295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVNYFPILAPCYKRSVNRDTFIRKIIYTLLLFFIYKLYARRERKVFCASENSAEGLLLLPPPSKRKVKSKSIRAMLMDEDDEIDKDPSTFDSGKYPFHIDIVDQYDSDASLNDISVEEWDE >ONI03292 pep chromosome:Prunus_persica_NCBIv2:G6:24481850:24489613:1 gene:PRUPE_6G249200 transcript:ONI03292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTPDQSKKVGVGVSPSPSPFLTPRPERRRRTLEWNPNRQDKDKEVNVQVLLRCRPLSDDEQRLNIQKVISCNEHKREVTVLQSLNNKQVDRVFTFDRVFGPKSQQKSIYDQAISPIVNEVLDGFNCTVFAYGQTGTGKTYTMEGGMRNKSGNLPAEAGVIPRAVRHIFETLEAQNADYSVKVTFLEIYNEEITDLLAPDDNPRTAEDRQRKSISLMEDGKGCVIVRGLEEEAVYNVNEIYSVLERGSAKRRTADTLLNKRSSRSHSVFSITVHIKEATVGDEELIKCGKINLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSTHIPYRDSKLTRLLRDSLGGKTKTCIIATISPTAQCMEETLSTLDYACRAKNIKNKPEANQKMSKAVLLRDLYSEIERMKEEVRAAREKNGVYIPRERFVQEEAEKKARIEKIEQLENDLNLTEKQAESFRELYLSEQEQKLDLQSELKACKISLETSNKALLDLQEKYQVAITTLKEKELIISKMLFSENLLIGRAKELRTDLQNASEEMNSLYEKLDQKDRMEAENQSLVLTFGSQLDRGLKDLHKTILGSVSQQQNQLRCMEEHVHTYLASKCDAAQVLDSKIKKITETYSSGVSALKELANMLKTKASSDLEQINARVLSQTVAVEKFLVTAVMEANEVIQDIQHSLDEQKQLLAFSTRQQEEGLQRSLISTQVISKATGNFFDDLRDRALTVMRSLEESQIQRVHQLVNFEKMFKEEAAKEEKQAMEKIAVILATLTSKKASIVSKASTNIQDTSKQENTRLQKEMFDMQQLATDAGKELSEYFGKVESNFMTDTFSAAESHAIMENCLQECSERVGDSRKQWEKAQSAINTLNQNSVAKIESTVKENISANHSAHEEFVSTSSRVDADFNAIASDTSSCVNASLMLDHEKTKEIDSMATLCLDQLKSVQDKHGEGVSTIRNEAEKRLVKDYLVDKHSGGTKKRVITVPSVESIEEMRSSIEVTEDCISDNKSKRSQMEIKTPGPRTPFADVN >ONI01453 pep chromosome:Prunus_persica_NCBIv2:G6:11369439:11370308:-1 gene:PRUPE_6G140500 transcript:ONI01453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSMYVSAIVRLDFILVGSLGFDFLWLDMTEWGGKLGFGSFVVAWFGSW >ONI01454 pep chromosome:Prunus_persica_NCBIv2:G6:11370037:11370192:-1 gene:PRUPE_6G140500 transcript:ONI01454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSMYVSAIVRLDFILVGSLGFDFLWLDMTEWGGKLGFGSFVVAWFGSW >ONI03746 pep chromosome:Prunus_persica_NCBIv2:G6:26172541:26177849:-1 gene:PRUPE_6G279500 transcript:ONI03746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSCPSGKFKLYEQLELQEFQDKFVIKSIEAPDQGFSIDRRDGTIEPLNGINFLALPTKTSTIYGVAGTIRLLAGNYVLVITSRTEVGAFLGFPVYRVTSMKFLSCNEVLKNSTLQEKKDEAYFMALLKTVQSTPGLYFSYQTDITLNFQRRHKLMEGWMAKPMWKQADPRFVWNRNLLDELIEYKVCNIFNWGMVWYFFNLHLSFQAAQLKLKGSPATLTIFSRRCTRRLGTRMWRRGANLEGDVANFIETEQLVECEGFRSSLLQIRGSIPLLWEQIVDLSYKPQLKVIDHEQTSNVVERHFFDLFQRYGEIIAVDLTDKHGDEGQLSMAFSAEAQNLPNVRYVSFDFHHVCGNSNFENLKLLYEQISEQFEKQGYLLIDAKGSILEEQKGIVRSNCIDCLDRTNVTQSYLAQKSLDAQLQRIGVLDSSECISMFAEDYQKFRALWAEQGDEISLEYAGTYALKGDLVRYGKQTFGGILKDGMSALSRYYLNNFHDGIRQDAMDLISGRYTVRRDSSPFQTDSFSYLPVASALLIGGLTLTSVTLQQAGRNAQQFMSSVLWAGVTAGVAAVVKANGRQFCSRPRLCRLV >ONI02602 pep chromosome:Prunus_persica_NCBIv2:G6:21796829:21802279:1 gene:PRUPE_6G209600 transcript:ONI02602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEQEVEWIKAQNIGISVDLVAAAQKQLLFLAAVDRNRFLYEGPALERAIYRYNACWLPMLAKYSEFQISEGPLVVPLDCEWIWHCHRLNPVQYKTDCEKLYGKILDNSNVLSSVQGSCKGQTEEIWNSMYPEEPYNLDLNKALSEDISERISGLEKCTKYDLISAVKRQSPFFYQVSRPHMNHDVFLQGAVARYKGFLHLIKRNREKSLKRFCVPTYDVDLIWHSHQLHPVSYCKDLNELLGKVLEHDDMDSDRTKGKKLDVGFSGTTKQWEEAFGTRYWKAGAMYRGSAPCPVTTTPYKSSVMSKDVVASTEYQKVLQLPKVKFVEVLLEFVEVRNLPEGHKGSLFVSFSKTQHDLFFHAKRRLSILSQSGEKQVACFQCEPTGELLFELISHSPSHLPMKKTYKTLGSTSFSLQDFLIPLSKLDAEKWLEVVPTSGNENSKPIYLRIAVSFTVPALAQHALHMVRSRPLSKSSCFLPFLGKDQDAKNFTHVIDETGTKLISLQMRHPEKANPRANTILKKEVIGITESGKISTLAESVGTGWSLMDSHWFLHPKKVPNGDGHFFVLQGKNMVKLFRGRKLDYESKHCEKHESEQEFMTLVEFSAEDPYGKAVALLDLKSRFVQVKEDSMLVPGITLAFIFCDMLKKEGYDGFSVNAKEIGNVAEEINENHEEGKTTNLTSSGVTEGGLNNEVAEDVVMPEKGGGCGAGCGSGCGNAIRSASAGCGSGCGGGCGSGCGGGCGSLVKSGGCGGCGGGGGCGGGCGGGCGSMLKSGGCGGCGGSGGCGGCGGGCGSILQSGGGWENLFKGSGSGDTCAHANTSGNSFANQHPNEASARVNEVAVA >ONI00620 pep chromosome:Prunus_persica_NCBIv2:G6:6852172:6852417:-1 gene:PRUPE_6G098400 transcript:ONI00620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRHVSLAFHFPPTDQEIIRSILYKMLVEREPLNLSYKGIVHDKDLFGTKEPWKIWEDYGGDQLHDQDLYFLCQLSSRG >ONI02673 pep chromosome:Prunus_persica_NCBIv2:G6:22182010:22184887:1 gene:PRUPE_6G213900 transcript:ONI02673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLVGCHFHALILKTVLAAWMRLKYPHIAIGALASSAPILQFEDIVPPETFYDIVSNSFRRESSSCFNTIKESWDTLVTEGKKQNGLRQLTKTFHLCRELNSTDDLANWLESAYSYLAMVNYPYAAEFVMPLPGHPIKEVCRKIDCCPDGTSTLERIFEGVSIFYNYTGQAKCFELEDESDVGTDGWNWQACTEMVMPMSSSRDASMFQTYDYNLSSFQEECWKDHNVKPRPTWITTEFGGHDIKATLKSFGSNIIFSNGLLDPWSGGSVLQNVSETIVALVAEEGAHHIDLRFSKKEDPEWLVEQRATEIKLIEGWLEKFYQERKSKFDM >ONI02672 pep chromosome:Prunus_persica_NCBIv2:G6:22181014:22184959:1 gene:PRUPE_6G213900 transcript:ONI02672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKYFHLSLSLLLFITIITSSPPSLASRLSNFPSSRWAPRFLGKFSTSSPPKQPQPPKRQQQQPQIRYETRYFAQRLDHFSFSDSPRFQQRYLINTEHWVGPQRLGPIFFYCGNEGDIEWFAENTGFVWEVAPQFGAMILFPEHRYYGESMPYGSKDEAYKNASTLSFLTAEQALADFAVLIAELKRNLRAEGCPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPPETFYDIVSNSFRRESSSCFNTIKESWDTLVTEGKKQNGLRQLTKTFHLCRELNSTDDLANWLESAYSYLAMVNYPYAAEFVMPLPGHPIKEVCRKIDCCPDGTSTLERIFEGVSIFYNYTGQAKCFELEDESDVGTDGWNWQACTEMVMPMSSSRDASMFQTYDYNLSSFQEECWKDHNVKPRPTWITTEFGGHDIKATLKSFGSNIIFSNGLLDPWSGGSVLQNVSETIVALVAEEGAHHIDLRFSKKEDPEWLVEQRATEIKLIEGWLEKFYQERKSKFDM >ONI04080 pep chromosome:Prunus_persica_NCBIv2:G6:27348004:27348441:1 gene:PRUPE_6G301400 transcript:ONI04080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKVCLLVMKKTMTEAIFGGVPETESAKQFMESIETKFKESGKAETENLMSRLANMKYEGGGSVREHLMGLMDIATKLNMLKVPIAPNYLVHIAQESLPYEQMKSTYNTLNEDWTIDDLITIAVLEENRLKAYSGVVNVVTARK >ONI04816 pep chromosome:Prunus_persica_NCBIv2:G6:29447870:29454701:1 gene:PRUPE_6G340900 transcript:ONI04816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLKPVPSCFSMLKPYCSATPPPPTTLKIITAMKTTEEPDVGIFCYISQLPGFRGILKQRYSDFMVNEVDTEENVVHLTNLDAPAETVKEIETKTHDATSKDYTTEIESFRSLVDPDDAERLEAIINQINSGSEDSILPIVLSPDYDKSHRTAVHNFFKENFKFLVTDTVDGPDASSKCIRVRINSGGQNSRGRNSRKRKERGDKPFDSRGSDDWSENVGKFLRFHLYKENKDTQEALGIIAKMLGIQPRSFGFAGTKDKRAVTTQRVTVFKQLASRLAALNDRLIGIKVGDFCYVKEGLVLGQLLGNRFTITLRGVVADSEDTIKASVIALGKQGFINYFGLQRFGSGSVPTHLIGATLLRGEWKSTVSLFLDPREGEKNAITEAREYYKETNDIEGTLRQLPRHLVSEKAILQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASMRVQKYGTDRVVLGDLVYCKGNETEKVSEVVSSKCVDENGNDTLDPIDLDDISGTNLPEERLNLVKAVTAEDILSGNYTIDDVVLPMPGSRVIFPENDIAHVFHDLAKKDAISLTESVHNVKEFSITSMTGSYRRVFQKPMDFEWEILKYIDGNKPLVDTDFDKIAKTKPSSLNEDGRLHDKTKQSECLDNDIGLQTDDNGAKAKGEELPQAESLCDTNPQETQTALKLSFTLPASCYATMAIRELLKTSTSVAFHKTLN >ONI03641 pep chromosome:Prunus_persica_NCBIv2:G6:25710965:25715959:-1 gene:PRUPE_6G271100 transcript:ONI03641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDDDYTNDGTVDIHKNPANKKKTGNWRACGFILGNECCERLAYYGMSTNLVNYLGKHLSMGNVAASTTVTNWSGTCYATPLIGAFLADAYLGRYWTIAIFSVIYALGMTFLTLTASIKGLKPWCDKSGCQATSSQRAACFVALYMIALGTGGIKPCVSSFGADQFDETDETERKKKSSFFNWFYLSINIGALIASTVLVWIQMTVGWNWGFGIPAVAMAIAVVFFFFGSKLYRLQKPGGSPLTRIIQVIVASIRKCNVKVPADKSLLYETPDEECNIQGSRKLEHTDKLRFFDKAAVESETDHAKDLPNPWRLCTVTQVEELKSIIRLLPIWASGIVFAAVYSQMSTMFVLQGNTMDQHMGPNFKIPSASLSLFDTVSVIFWAPIYDQVIVKLARRFTGHERGFTQLQRMGIGLGLSIFSMVVAGILEVARLGIVRKNNYYDLEYIPMSIFWQVPQYFIIGCAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTLLVTVVTKVTTRNGHLGWIPDNLNRGHLDYFYWLLAALSIINFFVYLWIAKWYTYKKAQKDLKTTYKISILLFLLLFLPLLYPNSKPTLKAEKMIGLKLIQTSFTTTKHNILHRGRLSNAKNSILRFSKSSDSDSESEASPPQGDTRKQDLLVRIAMLQAQKVRLTDYLDERSEYLTKFGEEATAEFDKIGEDALKDLDEASTRIMDNINSRMHAFEESAGINIEEMEKNENELAAFEGEIDKERNEGLFFKNLTQGKPKEKVDATEETKKIKKLTKKSAGSETRRNIYVALIGLLLIQIVESSITATPDWRKVAVLGAIFVGLVAQVIYEQKMLSETEITEEGKTEEERR >ONI04091 pep chromosome:Prunus_persica_NCBIv2:G6:27414350:27415279:1 gene:PRUPE_6G302100 transcript:ONI04091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLWGQSIHHVGPNPSISRSNSLNSSSSGSGCYYNSYMELSSNNYTMMEKRQLFLRSYQFSRKKSLTERIKGSFCKAKKVIWLRLRSARKLRKLVCFRLRYGLAYRRRRLCRLLNNYHHTRKCNNSYCFW >ONI01110 pep chromosome:Prunus_persica_NCBIv2:G6:9104916:9107645:-1 gene:PRUPE_6G122400 transcript:ONI01110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEETPFRPREKLLEKQKFFQSIHKYTYLKGPYDKITSVAIPAALAASSLFLIARGVYNMSHGIGKKE >ONI01853 pep chromosome:Prunus_persica_NCBIv2:G6:15453794:15458105:-1 gene:PRUPE_6G162800 transcript:ONI01853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKDLSPTLSLRSNDDHSLHLRWNSQAAHTFGFHRQLQGLLAQDEEHYDRYLAEFKIGAEHNDQSTLFDLILDVYEQHKKFILLGERVVLVTLAFDLNVNHPYKPLVEAIKKFKLAHSALAQVAWNFVNDGIKSGGNNLTLKG >ONI00738 pep chromosome:Prunus_persica_NCBIv2:G6:7246334:7247707:1 gene:PRUPE_6G103600 transcript:ONI00738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDDRVGFRFRPTDEELITLFLYKMVVEKKALMAAYDTIIRKFNIFGTEFEPSEIWNGFGGEQLHPHDQELYFFSELNTLSSKAGSRKINRKVGLGGGTWSGERCDAVYDEEGNEIGHKRKFRYENEGSEEHSGWFLEEYSLSGEGCAFDFVICRLRKNERLFGNKRKSSSSLSERQYPKKKMLTKPKPSLTTKGKKVKKDEQQMGSQENMNLEPQNYRSPSTLVVSDDEKGNNQLTYNNHDDQFINNSNYFPDDNTMMVNEIITLDDINTLDDTKMIFSDMEWPLPELPQIADQNHVPLPASSVGADSVDGVIEACEPSNLVNDNQMGSNNSDEHQDQLLNNTDYCPHDTLIFLGDSDEEGYLINSPTIDDYMDELFPDLAGEWPLPQMPQIAATDESQVPFPTSEVGAESTKGGEMEAYCNPSHFQHMGNYQPLEEDINCFSNYSFVDELIGH >ONI02518 pep chromosome:Prunus_persica_NCBIv2:G6:21088724:21092222:1 gene:PRUPE_6G203300 transcript:ONI02518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGDDGRGYELACKLESCNVWRSWLGDSTYANFAPFLNSPSTWEAFMDSKSRAHLHLQLRARALLFDKACVSLFLRPHSNSSSSSSSSSSSSSLAVSKLNPYYLQLHPDDVYFTLENSSQDGVQVQQRDPSVSSKIQSKAAFGVGSRYGESEIDNKPSRFKNDELPETWYNQFMERYRISKPYRLSSADRESEKRTPEEMSAYLKLLERHKKRRLAFKEDQYMGYGNPILENVSHMNPNSVLDGSNSVDSEISFFPETMFTFNCVPDSALPPLNREEDNQKVECYGVLDMLPQIMTRSPVMLERLGIRPEYLSMEQGGILHRGKNGSGGNRKCLSKEQAAQLSQTVIARMLTSIGFESATEVPIDVFSQMLSCHISKLGGSLKVLTDSYRKQCSAIELLKMFLQTIGYSNFGPLMEQVKDGSRNFQQTQQQIHGSQSQLQPQHQNPIRLPQQTSRQMLPQMQQVALSKNVPFQQQQPLERMRRRQPSTPRAGMDMDKDRPMVQVKIEAPSELPMDGNAFYGLNNRNLQMQFRQQIPAMSNLTMPNVHPQSGNQFRQMASLQIPQMQAQNAGVLRAPPVKVEGFQELMGGDASSKHDSDENRLTSPISK >ONI03666 pep chromosome:Prunus_persica_NCBIv2:G6:25858321:25859234:-1 gene:PRUPE_6G273600 transcript:ONI03666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEYNQFAAVAVGDKRKHDQISSPSHGKEGNKPGDPPTPEKTNLEGRASNQRRRGETENKLETSSETDSIKATLVLHEDLKQLSEIIHTIEGNCPNITGSVNVLDLFISSKNHIEYGRKKAGRGVMMLKEGLSILYEAQELLKVINSKPQE >ONI03163 pep chromosome:Prunus_persica_NCBIv2:G6:24065194:24070902:1 gene:PRUPE_6G242300 transcript:ONI03163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAKRPPKNARFHFGLHIQTLKPCFSLSISSCFILSRVSSPHSIRCFSLLLHRTLKIMSDKGEKTCPICAEEMDFTDQQLKPCKCGYEVCVWCWHHIMEMAEKDGKEGRCPVCRTTYDKEKIVGVAANCQKLVADINLKRKQKKLKGPEAKKQLTDVRVLQRTLVYVIGLPLNLANEDLLKRREYFGQYGKVLKVSVSRTANGVIQQSPNNGCCVYISYTKEYEAARCIQSVHSFMLDGKCLRACFGTTKYCHAWLKNVPCNNPDCWYLHDFGSQEDSFTKDGLVSAFERSKDHQNIGVTNNLHRRPGKGLPPPMDECSKCERSTEAKPLVNQPSDIAGNVVKSSCADSDFRRSIPARAPWVMEVSSSVPTATSSPGSERLPHQNPETSNDLHPLSSEVVRNESSTRNVRSIISAEGCKGHFNGLDPLVLNEQNINNNGQVAVSNTTSEALVDRTSIKDLGCLLPNNGIGRGIRATSTDLSSNKNICYPSSNLSNKSVDNKGNSISEFDRTVEPTSVLPEMGSGKYFGGSDTSKPSIDCSLAYDIGESGIISNILAMDLDASEGSLSPFHNLIKLLGETDKDCSLLKVQSSRKLLDKKQSRFSFAQQEDSCDNVWQASNDYSALSDLMDKKGSFVDLESNKFLRIPSYASSKVPVTEAPSSVPPGFSVPSRAPPPGFPSHLSSRRVNQAFDSSVNHLLQPSCISTGNSGNTGDVKNNGQAILEVGEGILARGLSRRATLSQFNTSEPDARRHLMRQQTSTQQNLGFQDHFSTRYSSLNDAHRISPQHPDQFQPNNTSSFVQSSTQQLSDMHVSNSHWAQWNEVRSVSDLGISDLLRNDRLGFTNLIPCYENMKSPAGCFSHLSNRAFEM >ONI03162 pep chromosome:Prunus_persica_NCBIv2:G6:24065194:24072308:1 gene:PRUPE_6G242300 transcript:ONI03162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAKRPPKNARFHFGLHIQTLKPCFSLSISSCFILSRVSSPHSIRCFSLLLHRTLSAGASLWRCLFLRKIMSDKGEKTCPICAEEMDFTDQQLKPCKCGYEVCVWCWHHIMEMAEKDGKEGRCPVCRTTYDKEKIVGVAANCQKLVADINLKRKQKKLKGPEAKKQLTDVRVLQRTLVYVIGLPLNLANEDLLKRREYFGQYGKVLKVSVSRTANGVIQQSPNNGCCVYISYTKEYEAARCIQSVHSFMLDGKCLRACFGTTKYCHAWLKNVPCNNPDCWYLHDFGSQEDSFTKDGLVSAFERSKDHQNIGVTNNLHRRPGKGLPPPMDECSKCERSTEAKPLVNQPSDIAGNVVKSSCADSDFRRSIPARAPWVMEVSSSVPTATSSPGSERLPHQNPETSNDLHPLSSEVVRNESSTRNVRSIISAEGCKGHFNGLDPLVLNEQNINNNGQVAVSNTTSEALVDRTSIKDLGCLLPNNGIGRGIRATSTDLSSNKNICYPSSNLSNKSVDNKGNSISEFDRTVEPTSVLPEMGSGKYFGGSDTSKPSIDCSLAYDIGESGIISNILAMDLDASEGSLSPFHNLIKLLGETDKDCSLLKVQSSRKLLDKKQSRFSFAQQEDSCDNVWQASNDYSALSDLMDKKGSFVDLESNKFLRIPSYASSKVPVTEAPSSVPPGFSVPSRAPPPGFPSHLSSRRVNQAFDSSVNHLLQPSCISTGNSGNTGDVKNNGQAILEVGEGILARGLSRRATLSQFNTSEPDARRHLMRQQTSTQQNLGFQDHFSTRYSSLNDAHRISPQHPDQFQPNNTSSFVQSSTQQLSDMHVSNSHWAQWNEVRSVSDLGISDLLRNDRLGFTNLIPCYENMKSPAGCFSHLSNRAFEM >ONH99912 pep chromosome:Prunus_persica_NCBIv2:G6:4009919:4011933:1 gene:PRUPE_6G057200 transcript:ONH99912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTTSFLLCTLVLFGTLALIQAKKSKEDLKEVTHKVFFDVEIAGKPAGRVVIGLFGKTVPKTAENFRALCTGEKGIGKSGKPLHFKGSKFHRIIPSFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGLLSMANAGSDTNGSQFFITTVTTNWLDGRHVVFGKVLSGMDVVYKIEAEGNQNGTPKSKVVIADSGELPL >ONH99913 pep chromosome:Prunus_persica_NCBIv2:G6:4010100:4011450:1 gene:PRUPE_6G057200 transcript:ONH99913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTTSFLLCTLVLFGTLALIQAKKSKEDLKEVTHKVFFDVEIAGKPAGRVVIGLFGKTVPKTAENFRALCTGEKGIGKSGKPLHFKGSKFHRIIPSFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGLLSMANAGSDTNGSQFFITTVTTNW >ONI03239 pep chromosome:Prunus_persica_NCBIv2:G6:24319139:24322648:-1 gene:PRUPE_6G246500 transcript:ONI03239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPLVPLVIAALVAALVSPTAAEIKSLTISSDNRPMILFEKFGFTHSGHVTIRVSHVSVTSKLSDINPSRLGFFLLSEESLLQVLVEIQQNPQLCVLDSRYITHLFNFKELSPPPLSSFNHSYPVSAPNEYSLFFANCAPETTVSMLVRTEVYNLDPDGARDYLSAGLTHLPSLFFVFFLAYLVFVGFWVYLCYTNKRSVHRIHLLMGGLLLMKALNLISAAEDKHYVKVTGTAHGWDVLFYIFQFLRVVLLFTVIVLIGTGWSFLKPFLQEKEKKVLMIVIPLQVLANVASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYQWVSNAAEESASLVFYMVMFYMFRPVERNEYFILDEEEEEAAEMALRDEEFEL >ONI03176 pep chromosome:Prunus_persica_NCBIv2:G6:24127798:24128103:-1 gene:PRUPE_6G243300 transcript:ONI03176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKLLTALQLKGMHGPWLVFVQLKDIQPICTSCLETGSLMYLSDCIIRLFGRFSFMEQFFIKNLIKQTSTLQDFEFFFSSHFLFLGIVKVSLLNLIIWMA >ONI03850 pep chromosome:Prunus_persica_NCBIv2:G6:26499698:26500564:1 gene:PRUPE_6G285800 transcript:ONI03850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQNDTSPKRPEHAGSPPPPMVQTAPPAAPSPPSADVENQTTGTGFGVPGILQRWKKEDSLRRGSLALWGLSTVFSFLAFIIMVCNKQGYLEDLGYSEDFNQYEEYSYVLAIAILSMLYSGVQAARQVHQLFTGREVFQRQTSAWVAFIGDQIMAYLLLSSSSSAMPITNREREIQIDLFTDSSAAAISMAFLAFVALALSALISGYKLSSQSYI >ONI03626 pep chromosome:Prunus_persica_NCBIv2:G6:25650082:25652694:-1 gene:PRUPE_6G270100 transcript:ONI03626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKRSNEIVLEQLKNGIAQFELVSSPVLSISSSNSQVNPTALFGDYSHRFFARIGPSLGSSATKKVERYSVQKVTGDGRCLFRALVKGMAFNKGFSLSPREEKNDADELRMAVKEIICEDEEERPKYEAALVAITVDESLNRYCQRITRPDFWGGESELLVLSKLCGQPITVYIPEHEHTNGGRSSGFIPIAEYGTEFGKGSRNRKPRKVVRLLYSGSNHYDLLV >ONI03627 pep chromosome:Prunus_persica_NCBIv2:G6:25650410:25652594:-1 gene:PRUPE_6G270100 transcript:ONI03627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKRSNEIVLEQLKNGIAQFELVSSPVLSISSSNSQVNPTALFGDYSHRFFARIGPSLSRGSSATKKVERYSVQKVTGDGRCLFRALVKGMAFNKGFSLSPREEKNDADELRMAVKEIICEDEEERPKYEAALVAITVDESLNRYCQRITRPDFWGGESELLVLSKLCGQPITVYIPEHEHTNGGRSSGFIPIAEYGTEFGKGSRNRKPRKVVRLLYSGSNHYDLLV >ONI03972 pep chromosome:Prunus_persica_NCBIv2:G6:27001528:27003575:-1 gene:PRUPE_6G294200 transcript:ONI03972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLALPVPVISLLLLFFFSGEISMLVNGQSALDYACNYADCSPTKKGGSCYDPDRPVHHASFAMNAYYQKMGRNQWNCHFNNTSLISLADPSYNPCCQFVSGGSGPPLPQEKEDTWCVPKPGTPDSELQNIINFTCGILKECSEILEHGSCYFPNTLIHHASFAMNLYYKTDGRYNCDFNGVGLIVVTNPSFGDCIYVCVCPKGKWKL >ONI04824 pep chromosome:Prunus_persica_NCBIv2:G6:29481071:29484297:1 gene:PRUPE_6G341600 transcript:ONI04824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAESASAIQRNQVDLLDFIDWSGVECLNQSTSHSVANALKQGYREDDGLNLESDADEQLLLYIPFLQVIKLHSIVIKGPEEEGPKTVKLFSNKEHMGFSNVNDFPGSDTLDLSPENLKGKPVVLKYVKFQNVRSLTIFIEDNQSGSELTKVQKIVLCGTTVETTDMKGLKKIEDGH >ONH99053 pep chromosome:Prunus_persica_NCBIv2:G6:640411:645339:1 gene:PRUPE_6G007700 transcript:ONH99053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTQSCKRLVLVPCPYQGHINPMLQLGTFLHSKGFSITIVHTHFNSPNPSNHPEFTFLPIPDGLTEHEILSGNLVAILLALNANCKASFQQCLTQLMEQEPQNSISIIYDDIMYFSEAVANYLNIPSIVLRTVSITNFIARSTVLQLLSKGSFPFPESMSRNPVPDLDPLRFKDLPISNFDTYENYSKLVVNLHNVRTSSAVIWNTVDCLEQSSLAQIQQQCQVPIFTIGPLHKIATAASTSLLEEDMGCIAWLDKQSHNSVIYVSLGSVASISEKELAEMAWGLAKSKQPFLWVIRPGSICGSDWIELLPQGFIEAIGEKGCIVKWAPQRQVLAHDAVGGFWSHCGWNSTLESLSEGVPMICWPCFSDQKVHARYVSQVCKIGIQLENELERGEIERAVRKLMVDDDGKGIRARAKELKEKIEVSMKGGSSYHCLNELVKLIRSF >ONH99052 pep chromosome:Prunus_persica_NCBIv2:G6:642434:645339:1 gene:PRUPE_6G007700 transcript:ONH99052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTQSCKRLVLVPCPYQGHINPMLQLGTFLHSKGFSITIVHTHFNSPNPSNHPEFTFLPIPDGLTEHEILSGNLVAILLALNANCKASFQQCLTQLMEQEPQNSISIIYDDIMYFSEAVANYLNIPSIVLRTVSITNFIARSTVLQLLSKGSFPFPESMSRNPVPDLDPLRFKDLPISNFDTYENYSKLVVNLHNVRTSSAVIWNTVDCLEQSSLAQIQQQCQVPIFTIGPLHKIATAASTSLLEEDMGCIAWLDKQSHNSVIYVSLGSVASISEKELAEMAWGLAKSKQPFLWVIRPGSICGSDWIELLPQGFIEAIGEKGCIVKWAPQRQVLAHDAVGGFWSHCGWNSTLESLSEGVPMICWPCFSDQKVHARYVSQVCKIGIQLENELERGEIERAVRKLMVDDDGKGIRARAKELKEKIEVSMKGGSSYHCLNELVKLIRSF >ONI04504 pep chromosome:Prunus_persica_NCBIv2:G6:28612218:28615086:-1 gene:PRUPE_6G324900 transcript:ONI04504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIITEEEEDQQRQEPKRSSEIKPTQPSKSKPTPSPTETPVAPQNGQNPFAFWFYFTFTVSLVTFFFISLSSLSPQDPKSWFLSLPTSLRQHYSKGRTIKVQTHSNQNPVEVFSTEQGPITAENVVIVHGLGLSSYSFRKVIESLGSKGVRAVAFDLPGNGFSDKSVVEVGEGPTGILGRFWYVCSEIQEKGLFWAFDEIIETGQIPYEEIESRMPKQKFVKPIEMGPDEIGKVLGQVIETVSLAPVHLVLHDSALGMLANWVLENSETVRSVTLIDTSSRSTGALPLWVLGVPVVREVVLRFSYAYTWLIKLCCSRGIDVLEVDAHRLLLKGRDGTKAIVGMGKKLNHSFDIAEWGGSDGLIGVPMQVLWSSSWSKEWSEEGNRVASALPQATFVTHSGGRWPQEDAADEVAENIAYFVSSLPASVRKVEEEPIPEHIQKMFDEAKSGEHHGHDDGHDHHHGHGHVHGTGYPDAYGLGHSHGW >ONI01676 pep chromosome:Prunus_persica_NCBIv2:G6:13276058:13278827:1 gene:PRUPE_6G152700 transcript:ONI01676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFECQVSTEVSKNLVDCVDNSFGQQGEPNQFNSKLEAENLGISEQTSDSYTEKEKIALSKPTLIKKPNSDSCGSKQFLSSDVVLNSRRSITDLPPALLSEILNFLDPKELGMVSCVSTYLCRLASEHHVWKDFYCERWGNSTVPTSLGSGVSDEMSWKEFFVEREFRSKTFMGRYSIDVMYGHNEAVLTVFLLASAKLIFTAGYDQTVKMWNMEEGLLIASSRSLGCTIRAVAGDTKLLVAGGTDGFIQCWRAVEGFPHLFDIKGPQNQNTEFRLWEHEGPITSLALDLTRIYSGSWDMTVRVWDRLSLKCVKVLRHSDWVWALVPHDLTVASTSGSDVCIWDTSSGRLMTIIHSAHVGNTYSLARNHTGDFLFTGGEDGAIHMYEITSQGLETNALFVATWVPHSGCVYSLAFEFPWLVSASADGKLALIDVRKLLRTRKHDSGKRFSCVKLVDRSSMEPPQRMLHGFGKNLMSVGIGADRIVCGGDEGVVRIWNFTEALEIERRVCALKAIRLENRMRRRKLHLENSSKGSRNDQCSVAAKKNPVTGDRIGWHSKRALSGKLKS >ONH99697 pep chromosome:Prunus_persica_NCBIv2:G6:3217327:3220720:-1 gene:PRUPE_6G044300 transcript:ONH99697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRSSSVCSIWVIVLLVGFTGSSSAKLSTKFYSKSCPKVFNAVKSVVQSAVHKEKRMGASLLRLHFHDCFVHGCDGSLLLDDTSSFTGEKTASPNNNSLRGFKVVDKIKSQVEKTCPGVVSCADILAIAARDSVKILGGPSWHVKLGRRDSKTASFFAANRSGVLPSPTSTLSQLKTRFQAVGLNERDLVALSGAHTIGKAQCSSFRDRIYNDTNIDASFAKARQRKCPSTVGSGDNHLAPLDVQTPNTFDTAYFKNLINQKGLLHSDQVLYNNGGSTDSLVKTYSGSANAFNSDFAKAMIKMGDIKPLTGSNGEIRLNCRRPN >ONI00483 pep chromosome:Prunus_persica_NCBIv2:G6:6248472:6249680:-1 gene:PRUPE_6G091200 transcript:ONI00483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLSVLGKFFKLGLEPDVFTFTTLINGFLLKNRMAEAAGIFNKMIAGGNCQPDVITYGTLVKAFCMKGNNSAAIQLLRKMEEGACKPDLVVYSTIIDSLCKDTLVDDAFNLFSEMISKGIAPNAITYTSLIHGICKLGEWKEATRLLNDMVSKNIFPDVFTFSILVDTICKEGMVVEAEGVVEMMIQRGIELMLSKGSMVNVVSYSTLINGYCKHRKIDEAMMLFLDMSHKGLVPNTITYNTLLDGFCKTGRIQDAQKLFSKMQACGQLPDAQTYSILLDGLCKNRQLSRAMQLFCEMEAKKLDIDIVIYNILLEGLFITGKIESARDLFCGLSPKGLRPNVRTYTIMINGLCIGGLTSEAENLLVEMEGKGCYPDGCTYNTIIRGLIRNKETSRAMVLI >ONI03603 pep chromosome:Prunus_persica_NCBIv2:G6:25581445:25582925:1 gene:PRUPE_6G268200 transcript:ONI03603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSFSRHLAAFVLLIFFLQPSAADSNSSFSFTEFGKGPKFESNIALYGDAEVVNGGYAVQLTSSVRSSAGRTMYKKPIKLTEGKPQKSVSFSTNFSFSVSTGNGDGLAFVMVPSGFNLSMFANSSFGLSLGHGKGKFKVVAVKFNALRDAKVHVGIDVGSSVSAKVSTSSSKNLNLTSGNKTHAWIDYEAGSNRLEVRLSQFAHPRPADPLLWYPIDLSELWEHEKVFVGLSAMNRNSSQTCLITSWSFEQRHVPHWMHSQPLDPEVFAKNSKPVRVETKKDCVKRVFGAMVFGVACGALASLLALYLWTVFGNRRPVVPEGYAEQPKEFEYDKVKVGVDDKAIEDGKQ >ONI02410 pep chromosome:Prunus_persica_NCBIv2:G6:20417286:20418327:1 gene:PRUPE_6G196700 transcript:ONI02410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRQLCRSERLPEQLSVFLRLYSSSTMTSVHFDNLKHHFHLCTSRHGDGLVIIYLFYCSLMSILIFAQVVRVYFILFYSKL >ONI02694 pep chromosome:Prunus_persica_NCBIv2:G6:22316614:22316976:1 gene:PRUPE_6G215700 transcript:ONI02694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQWVLAVLVLVVPLVTAAAPDVALETWDPVQNTSEPYWINTAEFAVSSYNKHEKKNLVFESVVKGKTRYEVTGLYIVLVIKVKDGSLPSANYDVGVRDKYAGPNPLDLIYFRRRPKFW >ONI00571 pep chromosome:Prunus_persica_NCBIv2:G6:6641664:6642511:-1 gene:PRUPE_6G095800 transcript:ONI00571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMLNLHGTFGLPLCVSGITHHHLTFSKEMADHDRRREAMKRQRSQARAELHANQELGFERSSGSVGEEIREGGFDEDLASVLHDLCCSYLACTAKAA >ONH99967 pep chromosome:Prunus_persica_NCBIv2:G6:4185526:4186229:1 gene:PRUPE_6G060300 transcript:ONH99967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTMVFAPVPTGRASSRVYAATAAKGGSKEEKGLLDWILGGLAKEDQLLETDPILKKVEDKNGATNGGRKGTVEIPQKKKGGFGGLFVKKD >ONI02665 pep chromosome:Prunus_persica_NCBIv2:G6:22139418:22141058:1 gene:PRUPE_6G213600 transcript:ONI02665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFGFLGFLGINICFGLVFVWQMNSNTDAVRDEVDFEFLGNRTGQPYTVQTNIYAHGKGNREQRVNLWFDPAADFHTYTILWNHHHIVFYVDDVPIRVYKNNEARGVPYPKLQPMGVFSTLWEADDWATRGGLEKINWSKAPFFSYYKDFDIEGCSVPGPANCASSATNWWEGTAYQALNALEYRRYRWVRINHMIYDYCTDKSRYPVAPPECLAGF >ONI02664 pep chromosome:Prunus_persica_NCBIv2:G6:22139410:22141164:1 gene:PRUPE_6G213600 transcript:ONI02664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYPSLRSLIASLCFCFLAFSLSAFGRPATFLQDFQVTWSDSHIRQIDGGRAIQLILDQNSGCGFASKRKYLFGRVSMKIKLIPGDSAGTVTAFYMNSNTDAVRDEVDFEFLGNRTGQPYTVQTNIYAHGKGNREQRVNLWFDPAADFHTYTILWNHHHIVFYVDDVPIRVYKNNEARGVPYPKLQPMGVFSTLWEADDWATRGGLEKINWSKAPFFSYYKDFDIEGCSVPGPANCASSATNWWEGTAYQALNALEYRRYRWVRINHMIYDYCTDKSRYPVAPPECLAGF >ONI03469 pep chromosome:Prunus_persica_NCBIv2:G6:25038340:25040333:-1 gene:PRUPE_6G258800 transcript:ONI03469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPDAPAYGSSLPAPNVQEIVRSDPLLVPERYLIRNEEDLPKCGDHISHLSSEVPIIDFSLLSKGHKEELKKLDLACKEWGFFQMVNHGVATEVLQAMKDAAAEFFELELEEKNKIAMPPDDIQGYGHTHVVSEKQILDWSDSLSLFVYPSRYRKLKLWPTTPKEFKEVIEVYSSEVKKVGEELLRSLSIIMGMERDTLLGLHKELLQALRVNYYPQCCMPDKVLGLSPHSDKNSITILLQEDNATGLQIRQAGEWVPVKPIPNALVVNVGDAIEIWSNGKYKSIEHRVVTTERSVRMYKKVRFGDYLRQAFKKKINGKAHIQTARIES >ONI03470 pep chromosome:Prunus_persica_NCBIv2:G6:25038625:25040168:-1 gene:PRUPE_6G258800 transcript:ONI03470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPDAPAYGSSLPAPNVQEIVRSDPLLVPERYLIRNEEDLPKCGDHISHLSSEVPIIDFSLLSKGHKEELKKLDLACKEWGFFQMVNHGVATEVLQAMKDAAAEFFELELEEKNKIAMPPDDIQGYGHTHVVSEKQILDWSDSLSLFVYPSRYRKLKLWPTTPKEFKEVIEVYSSEVKKVGEELLRSLSIIMGMERDTLLGLHKELLQALRVNYYPQCCMPDKVLGLSPHSDKNSITILLQEDNATGLQIRQAGEWVPVKPIPNALVVNVGDAIEIWSNGKYKSIEHRVVTTESKARLSYASFLLPNDDVEVEPFDYMVESTGSVRMYKKVRFGDYLRQAFKKKINGKAHIQTARIES >ONI04403 pep chromosome:Prunus_persica_NCBIv2:G6:28327209:28328656:-1 gene:PRUPE_6G319700 transcript:ONI04403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPPVRAPRITKYLKPYVLKMHFTNKYVTAQVIHTPTATVASSASSQEKALRESMEIRRDVAAAGKIGKILGERLLLKNIPAVCVQLKREQKYHGKVKAVVDSVVEAGVKLL >ONH99068 pep chromosome:Prunus_persica_NCBIv2:G6:684388:691799:-1 gene:PRUPE_6G008800 transcript:ONH99068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVPINEQRGSDFDPSLALDLWDPFTDFPFPFPSSLSNVFREFNLGSSVNSRLDWRETRHAHILKAALPAFMNEDVLVELQDERVLQISTDSGSFMTKFKLPDNAKIEQLKAFMSNGVLTVTVPKEEPSRPNIRAIEISGED >ONI01824 pep chromosome:Prunus_persica_NCBIv2:G6:15025141:15028951:-1 gene:PRUPE_6G161500 transcript:ONI01824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVADLPKTEANVLRGHEGTVLAARFNSDGNYCLSAGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTSDNSKLCSCGGDRQIFYWDVATGKVIRKFRGHEGEVNAVKFNEYSSVVVSAGFDQSLRAWDCRSHSNEPIQIIDTFTDSVMSVCLTKTEIIGGSVDGTVRTFDMRIGREVSDDLGQPVNCISMSNDGNCILASCLDSTLRLIDRSSGELLQQYKGHTCKSYKLDCCITNTDAHVTGGSEDGFIFFWDLVDASVATKFRAHSSVVTSVSYHPKDNCMISSSVDGTIKVWKS >ONI05000 pep chromosome:Prunus_persica_NCBIv2:G6:29921604:29924543:-1 gene:PRUPE_6G351000 transcript:ONI05000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFGSSKAMSSSFPNLSTPLDDKYSRLPDSFQVTSQREKTRYSKLPQDSSPGHLFSSSSRPNDVHFSSVSPCESRSQNSPFIFKLPVDEKSLSLTHSSHSEVQPTALINYSEENKDISWYPDSLQEFLHFPENVPDQTGLVDSSTGVITSEDHAEKTDWSDWDPLISFDDALDPNWELPIDVDAVDPKPKVLNPYSDILVQPPQIQQHQPVQSEEFRPSPETLSTAPPTKPRMRWTQELHEAFVEAVNQLDGSERATPKGILNLMKVEGLTIYHVKSHLQKYRTARYKPESSEGACEKVSTPVEETNSLDLKASMGITEALRLQVELQKRLHEQLENQRKLQLQIEEQGKYLEKMFEQQRKMEDSRVKAASSTVDDHATPPSNLVCPSPGEDKPETSKHDHGKTPISASSMSTPLEEGSQDANRKKQKAQETVSQEEPDHPGDVESGTQPTKRARNG >ONI04998 pep chromosome:Prunus_persica_NCBIv2:G6:29921193:29925650:-1 gene:PRUPE_6G351000 transcript:ONI04998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFGSSKAMSSSFPNLSTPLDDKYSRLPDSFQVTSQREKTRYSKLPQDSSPGHLFSSSSRPNDVHFSSVSPCESRSQNSPFIFKLPVDEKSLSLTHSSHSEVQPTALINYSEENKDISWYPDSLQEFLHFPENVPDQTGLVDSSTGVITSEDHAEKTDWSDWDPLISFDDALDPNWELPIDVDAVDPKPKVLNPYSDILVQPPQIQQHQPVQSEEFRPSPETLSTAPPTKPRMRWTQELHEAFVEAVNQLDGSERATPKGILNLMKVEGLTIYHVKSHLQKYRTARYKPESSEACEKVSTPVEETNSLDLKASMGITEALRLQVELQKRLHEQLENQRKLQLQIEEQGKYLEKMFEQQRKMEDSRVKAASSTVDDHATPPSNLVCPSPGEDKPETSKHDHGKTPISASSMSTPLEEGSQDANRKKQKAQETVSQEEPDHPGDVESGTQPTKRARNG >ONI05001 pep chromosome:Prunus_persica_NCBIv2:G6:29921604:29924543:-1 gene:PRUPE_6G351000 transcript:ONI05001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFGSSKAMSSSFPNLSTPLDDKYSRLPDSFQVTSQREKTRYSKLPQDSSPGHLFSSSSRPNDVHFSSVSPCESRSQNSPFIFKLPVDEKSLSLTHSSHSEVQPTALINYSEENKDISWYPDSLQEFLHFPENVPDQTGLVDSSTGVITSEDHAEKTDWSDWDPLISFDDALDPNWELPIDVDAVDPKPKVLNPYSDILVQPPQIQQHQPVQSEEFRPSPETLSTAPPTKPRMRWTQELHEAFVEAVNQLDGSERATPKGILNLMKVEGLTIYHVKSHLQKYRTARYKPESSEGACEKVSTPVEETNSLDLKASMGITEALRLQVELQKRLHEQLENQRKLQLQIEEQGKYLEKMFEQQRKMEDSRVKAASSTVDDHATPPSNLVCPSPGEDKPETSKHDHGKTPISASSMSTPLEEGSQDANRKKQKAQETVSQEEPDHPGDVESGTQPTKRARNG >ONI04999 pep chromosome:Prunus_persica_NCBIv2:G6:29921193:29925235:-1 gene:PRUPE_6G351000 transcript:ONI04999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFGSSKAMSSSFPNLSTPLDDKYSRLPDSFQVTSQREKTRYSKLPQDSSPGHLFSSSSRPNDVHFSSVSPCESRSQNSPFIFKLPVDEKSLSLTHSSHSEVQPTALINYSEENKDISWYPDSLQEFLHFPENVPDQTGLVDSSTGVITSEDHAEKTDWSDWDPLISFDDALDPNWELPIDVDAVDPKPKVLNPYSDILVQPPQIQQHQPVQSEEFRPSPETLSTAPPTKPRMRWTQELHEAFVEAVNQLDGSERATPKGILNLMKVEGLTIYHVKSHLQKYRTARYKPESSEACEKVSTPVEETNSLDLKASMGITEALRLQVELQKRLHEQLENQRKLQLQIEEQGKYLEKMFEQQRKMEDSRVKAASSTVDDHATPPSNLVCPSPGEDKPETSKHDHGKTPISASSMSTPLEEGSQDANRKKQKAQETVSQEEPDHPGDVESGTQPTKRARNG >ONI04997 pep chromosome:Prunus_persica_NCBIv2:G6:29921193:29925651:-1 gene:PRUPE_6G351000 transcript:ONI04997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFGSSKAMSSSFPNLSTPLDDKYSRLPDSFQVTSQREKTRYSKLPQDSSPGHLFSSSSRPNDVHFSSVSPCESRSQNSPFIFKLPVDEKSLSLTHSSHSEVQPTALINYSEENKDISWYPDSLQEFLHFPENVPDQTGLVDSSTGVITSEDHAEKTDWSDWDPLISFDDALDPNWELPIDVDAVDPKPKVLNPYSDILVQPPQIQQHQPVQSEEFRPSPETLSTAPPTKPRMRWTQELHEAFVEAVNQLDGATPKGILNLMKVEGLTIYHVKSHLQKYRTARYKPESSEGACEKVSTPVEETNSLDLKASMGITEALRLQVELQKRLHEQLENQRKLQLQIEEQGKYLEKMFEQQRKMEDSRVKAASSTVDDHATPPSNLVCPSPGEDKPETSKHDHGKTPISASSMSTPLEEGSQDANRKKQKAQETVSQEEPDHPGDVESGTQPTKRARNG >ONI05173 pep chromosome:Prunus_persica_NCBIv2:G6:30390360:30393238:-1 gene:PRUPE_6G359700 transcript:ONI05173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVFLFHVVGDLTVGKPEMVELCETETMEAAIKAIGESMECGIPVWKKKSHVGMVENDEMRQQRFVGILNSLDIVAFFAKSECLEDHDKALKTPVSDVVVPNNSLLRQVDPATRLIDALEMMKHGVKRLLVRKSVVWKGMSKRFSVIYSGKWLKNMDTSGSSNSLAANRPSSSSATSTRDKFCCLSREDVIRFLIGCLGALAPIPLSSISTLGAINTNYQFVEASSAAIEATHKLPEDPSAVAVVEHTPEDQYKIIGEISASKLWKCDYLAAAWALANLSAGQFVMGVEDNASSRSLPDISVNQIAGNNNVANGGGSTKPKKFSSRSIGFSPASASLGVSRSMYRGRSAPLTCKVTSSLAAVMAQMLSHRATHVWVTEDESDDILVGVVGYADIMAAVTKQPAPITPAPGRSTEGNEIHN >ONI04695 pep chromosome:Prunus_persica_NCBIv2:G6:29108193:29111069:-1 gene:PRUPE_6G335000 transcript:ONI04695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGVAVLGSSEGVKGTINFTQEGDGPTTVTGSISGLKPGLHGFHVHEFGDTTNGCLSTGPHFNPDGKHHGAPEDEIRHAGDLGNITVGDDGTANFTIIDKQIPLAGPQSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRTACGIIGLQN >ONI04693 pep chromosome:Prunus_persica_NCBIv2:G6:29107849:29111159:-1 gene:PRUPE_6G335000 transcript:ONI04693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGVAVLGSSEGVKGTINFTQEGDGPTTVTGSISGLKPGLHGFHVHEFGDTTNGCLSTGPHFNPDGKHHGAPEDEIRHAGDLGNITVGDDGTANFTIIDKQIPLAGPQSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRTACGIIGLQN >ONI04694 pep chromosome:Prunus_persica_NCBIv2:G6:29107849:29111189:-1 gene:PRUPE_6G335000 transcript:ONI04694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGVAVLGSSEGVKGTINFTQEGDGPTTVTGSISGLKPGLHGFHVHEFGDTTNGCLSTGPHFNPDGKHHGAPEDEIRHAGDLGNITVGDDGTANFTIIDKQIPLAGPQSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRTACGIIGLQN >ONI04696 pep chromosome:Prunus_persica_NCBIv2:G6:29108855:29110267:-1 gene:PRUPE_6G335000 transcript:ONI04696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGVAVLGSSEGVKGTINFTQEGDGPTTVTGSISGLKPGLHGFHVHEFGDTTNGCLSTGPHFNPDGKHHGAPEDEIRHAGDLGNITVGDDGTANFTIIDKQIPLAGPQSIIGRAVVVHADPDDLGKGKWT >ONI04020 pep chromosome:Prunus_persica_NCBIv2:G6:27106397:27108164:1 gene:PRUPE_6G296700 transcript:ONI04020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQIFEADKKKIKQEYDRKAKQVDVRKKIEYSMQLNASRIKVLQAQDDIVNSMKEAAGKDLLRVSDDNKAYKKLIKDLIVLSLMRLKEPAVLLRCREVDKKVVESVLEEAKKAYADKASASVPKVTIDDRVFLPPPPKGGDSHEPFCSGGVVLASQDGKIVCENTLDARLDVVFRQKLPEIRKRLLGQTSAS >ONI00949 pep chromosome:Prunus_persica_NCBIv2:G6:8150610:8151685:-1 gene:PRUPE_6G113000 transcript:ONI00949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDPSTSSTLEDDQDTINSTTITPSSTTTTSVSASASSHNSSLSKSQSAIQSLSTILPSLPPSLFSSSNPARSLLHDHHTASHISSLLRQPDSGAGDNNLCRWLYDTFQSSDPDLQLLVLRFLPLIAGIYISRVALRVPLAGFEAVLLALYAHETATRSGHSITVSVPTSPAKNNSTDLNLAVISPSLEPYGTVRSTRRARIVGVALELYYSKIHEMPVLSKIEFCQFCKLSAGQDGEMYKEMTGSSCSNRNECKEEDGNGEKEGRVPLPWELMQPVLRILGHCLLCPNQNKELVDKGSEACRSLYARSMHDINPNAILATGSLLRLTKQTLDSKDDFDPTEIPKS >ONI00920 pep chromosome:Prunus_persica_NCBIv2:G6:8018868:8024328:1 gene:PRUPE_6G111800 transcript:ONI00920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDMDNFLDFESEDPLLNRPAVTKKRKKAIGLDDLLTDFYKEEDKLVEKKSKRAKPRRNNDSDEEDNHKEAALYDIVDKCENEMREISGKEDSFVWGICAFGDQKTPPPLSFPEIKSCTLLQSFINDELNSVIDISTENGDTFLEGLLVNGWLSKLVFASRHLEKSVATWTFNLMLYSPKEDLRASACDFWCAILSFENEVDLQPIKIDWFPSYSELKIALESYGFLFKLSSNTDSACSNSASRGPAQNIRAWLKLATASCQMRNKRAMYLTSEAEELIEVIICLFSDRQLQGLLVLLHECMQSAISYFTNEEWESSCEKIAKSLACRVPKDLNCLRIVECISVVNTRSKLFRSTVAHQILLSYFDHKAPSEEGILKLLIPINVKDKSCDFFKMYIHLVLTENWLLSNQLLEDKPVLKAMWRLYLRNCSCMIASTDLRCFASKVRNKASYLLQGTVS >ONI00923 pep chromosome:Prunus_persica_NCBIv2:G6:8019129:8024328:1 gene:PRUPE_6G111800 transcript:ONI00923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREISGKEDSFVWGICAFGDQKTPPPLSFPEIKSCTLLQSFINDELNSVIDISTENGDTFLEGLLVNGWLSKLVFASRHLEKSVATWTFNLMLYSPKEDLRASACDFWCAILSFENEVDLQPIKIDWFPSYSELKIALESYGFLFKLSSNTDSACSNSASRGPAQNIRAWLKLATASCQMRNKRAMYLTSEAEELIEVIICLFSDRQLQGLLVLLHECMQSAISYFTNEEWESSCEKIAKSLACRVPKDLNCLRIVECISVVNTRSKLFRSTVAHQILLSYFDHKAPSEEGILKLLIPINVKDKSCDFFKMYIHLVLTENWLLSNQLLEDKPVLKAMWRLYLRNCSCMIASTDLRCFASKVRNKASYLLQGTVS >ONI00921 pep chromosome:Prunus_persica_NCBIv2:G6:8018868:8024328:1 gene:PRUPE_6G111800 transcript:ONI00921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDMDNFLDFESEDPLLNRPAVTKKRKKAIGLDDLLTDFYKEEDKLVEKKSKRAKPRRNNDSDEEDNHKEAALYDIVDKCENEMREISGKEDSFVWGICAFGDQKTPPPLSFPEIKSCTLLQSFINDELNSVIDISTENGDTFLEGLLVNGWLSKLVFASRHLEKSVATWTFNLMLYSPKEDLRASACDFWCAILSFENEVDLQPIKIDWFPSYSELKIALESYGFLFKLSSNTDSACSNSASRGPAQNIRAWLKLATASCQMRNKRAMYLTSEAEELIEVIICLFSDRQLQGLLVLLHECMQSAISYFTNEEWESSCEKIAKSLACRVPKDLNCLRIVECISVVNTRSKLFRSTVAHQILLSYFDHKTKAVIFSRCTYTWC >ONI00922 pep chromosome:Prunus_persica_NCBIv2:G6:8019239:8024328:1 gene:PRUPE_6G111800 transcript:ONI00922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREISGKEDSFVWGICAFGDQKTPPPLSFPEIKSCTLLQSFINDELNSVIDISTENGDTFLEGLLVNGWLSKLVFASRHLEKSVATWTFNLMLYSPKEDLRASACDFWCAILSFENEVDLQPIKIDWFPSYSELKIALESYGFLFKLSSNTDSACSNSASRGPAQNIRAWLKLATASCQMRNKRAMYLTSEAEELIEVIICLFSDRQLQGLLVLLHECMQSAISYFTNEEWESSCEKIAKSLACRVPKDLNCLRIVECISVVNTRSKLFRSTVAHQILLSYFDHKAPSEEGILKLLIPINVKDKSCDFFKMYIHLVLTENWLLSNQLLEDKPVLKAMWRLYLRNCSCMIASTDLRCFASKVRNKASYLLQGTVS >ONI00919 pep chromosome:Prunus_persica_NCBIv2:G6:8018868:8024328:1 gene:PRUPE_6G111800 transcript:ONI00919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDMDNFLDFESEDPLLNRPAVTKKRKKAIGLDDLLTDFYKEEDKLVEKKSKRAKPRRNNDSDEEDNHKEAALYDIVDKCENEMREISGKEDSFVWGICAFGDQKTPPPLSFPEIKSCTLLQSFINDELNSVIDISTENGDTFLEGLLVNGWLSKLVFASRHLEKSVATWTFNLMLYSPKEDLRASACDFWCAILSFENEVDLQPIKIDWFPSYSELKIALESYGFLFKLSSNTDSACSNSASRGPAQNIRAWLKLATASCQMRNKRAMYLTSEAEELIEVIICLFSDRQLQGLLVLLHECMQSAISYFTNEEWESSCEKIAKSLACRVPKDLNCLRIVECISVVNTRSKLFRSTVAHQILLSYFDHKAPSEEGILKLLIPINVKDKSCDFFKMYIHLVLTENWLLSNQLLEDKPVLKAMWRLYLRNCSCMIASTDLRCFASKVRNKASYLLQGTVS >ONI02571 pep chromosome:Prunus_persica_NCBIv2:G6:21565161:21567544:-1 gene:PRUPE_6G207200 transcript:ONI02571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLEEEHPKKAIGWAICAFGWAVRDSSGVLSPFNFSRRETGEKDVTFKVAYCGICHSDLHLIKNELESTEWGASTYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCMVGSCHSCDNCANNLENYCPRWIPTFGGKYHDGTTTYGGYSDIMVADEHFVVNIPDNLPLDGAAPLLCAGITTYSPLRYFGLDKPGVAGLGGLGHVAVKFAKATGVKVTVISTSPGKEEEAIKHLHADSFLVSRDEDQMQAAMGTMDGIMDTVSANHPLLPYIDLLKSHGKLVMVGAPEKRPELPVFPLLMGRKIVAGSCVGGMKETQEMIDFAAKHNVTADIEVIPIDYVNTAMERLAKTDVRYRFVIDIGNTLKPSS >ONH99197 pep chromosome:Prunus_persica_NCBIv2:G6:1252468:1254885:-1 gene:PRUPE_6G017300 transcript:ONH99197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTVRLLLFSSLFLLPVSVFAQTNGGIAVGDSLTATAASSSSWLSPSGDFAFGFSPLGRNDLFLLSIWYAKIPDRTIVWYNGAAVAPEGSTVFLIDDSGLVLTNPQGDELWKSETGAGIVAQGVMNDTGNFVLQDINSESLWETFNNPTDTLLPGQTLERGGKLFSRQSVTNYSKGVFQLFLQPDGNLVINTINFVTHFANEPYYATDTGTVAGSEGKELVFNVSGYLYVLRENGGKYNLAVGKAVSARDNYIRATLTFDGIFAQYYHPKNFTGNVSWTILWSEPDDICQRNREYSGVGVCGYNSICTLRRDKRPTCGCPKGFSLLDPNNPYRGCKPDFIQGCEEDELSGTKYLYDVEVLTNTDWPTSDYVQLKPFTAERCNESCFKDCLCAVAIFRSETCWKKKLPLSNGRVDVSLNSQAFIKVRKDNSTLQFPPMPNPDDKKTKKKSSNTLIRVESIILAASIFVSFMFSAAACPGFFFVFRKKHVRSIDNILDSNLHSFSYQVLREATNGFTEELGRGAFGVVYKGTIQIGSGVQVAVKKLNGVIQDGEKEFKTELRVIGKTHHKNLVCLVGYCDEGQHRLLVYEFLSKGTLASFLFADTKPSWTQRIEIACGVAKGLLYLHEECCTQVIHCDIKPQNILLDDYYTARISDFGLAKLLMMNQSHTHTAIRGTKGYVAPEWFRNMPITAKVDVYSFGVVLLEIICCRRSVDADNSREERAILTDWVYDCYRGGMLDAVLVLDNEVQALDDRMKPEKLVMIAIWCIQDDPSLRPTMRKVVQMLEGVVEVHVPPCPSPYTPRTS >ONI05169 pep chromosome:Prunus_persica_NCBIv2:G6:30378929:30381563:-1 gene:PRUPE_6G359400 transcript:ONI05169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLKLPAIPFPVSLRHGCSLQFRSTATPISTSTRMALSPHNVSFHTNTTTQKLPILLFDIMDTVVRDPFYHDVPAFFRMSFEELIECKHPTAWIEFEKGIIDEMELARKFFTDGRPLDLEGLKDCMRKGYSYVEGIEELLHSLKDSNYEMHAFTNYPIWYEMIEDKLNISKYLSWTFCSCISGKRKPDPEFYLEVERLLKVDPASCIFIDNSMRNVEAAKEIGIIGLHFKNADLLRQDLSLLGIGISTNQTKLAQE >ONI05168 pep chromosome:Prunus_persica_NCBIv2:G6:30378643:30381629:-1 gene:PRUPE_6G359400 transcript:ONI05168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLKLPAIPFPVSLRHGCSLQFRSTATPISTSTRMALSPHNVSFHTNTTTQKLPILLFDIMDTVVRDPFYHDVPAFFRMSFEELIECKHPTAWIEFEKGIIDEMELARKFFTDGRPLDLEGLKDCMRKGYSYVEGIEELLHSLKDSNYEMHAFTNYPIWYEMIEDKLNISKYLSWTFCSCISGKRKPDPEFYLEVERLLKVDPASCIFIDNRFASTRSFFAGDWHFNKSNQACTRIVFFITSCNSILMYINCFTSCFLAGNQEFSFKMRSELANFLSNFPERKSPSYVESCMFLWSQSFSITILPPIDLNRKPWGGLLI >ONH99036 pep chromosome:Prunus_persica_NCBIv2:G6:563185:564153:-1 gene:PRUPE_6G006600 transcript:ONH99036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVSWSYHNGSSWPTLLWLLTAACIRTGRPQTAKRAIEQVEQRLSKDGWPEYYDSKAGRYVRKQARKYNTWSISGYLVAKLMIENPANLSLIPLEEDKKIAKPRLTRSASF >ONI02886 pep chromosome:Prunus_persica_NCBIv2:G6:23076777:23084197:-1 gene:PRUPE_6G226500 transcript:ONI02886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSVELNFLVFRYLQESGFTHSAFALGYEAGINKSTIDGNLVPPGALITFVQKGLQYLEMEANLSNSDADLDEDFSLINPLDLITKDLYQLRQMIKEKRKNLQKDRDKDKERESYKKDKELEKEHEGERARVKEKERQEREKEFEKDRERIEKNKEQEKQHEDHNDRDMVTDQEEKINVKHEENGASGEPMDIPTTDVEIPSSDVTILEGHTSEVCACAWSPAGSLLASGSGDSTARIWTIAEGSSRLSQNGSSNVLVLKHVKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWTTNGELRSTLSKHKGPIFSLKWNKKGDYLLTGSCDKTAIVWDVKAEESKQQFEFHSGPTLDVDWRNNNSFAMSSTDNMIYVCKIGETRPIKTFTGHQGEVNCVKWDPTGSLLASCSDDISAKIWSMKQEKCVHDLREHSKEIYTIRWSPTGPGTNNANQQLVLASASFDSTVKLWDVELGKLLYSLNGHRDPVYSVAFSPNGEYLASGSLDKSMHIWSLKEGKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTVCVLDFRM >ONI02887 pep chromosome:Prunus_persica_NCBIv2:G6:23076496:23084197:-1 gene:PRUPE_6G226500 transcript:ONI02887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSITSVELNFLVFRYLQESGFTHSAFALGYEAGINKSTIDGNLVPPGALITFVQKGLQYLEMEANLSNSDADLDEDFSLINPLDLITKDLYQLRQMIKEKRKNLQKDRDKDKERESYKKDKELEKEHEGERARVKEKERQEREKEFEKDRERIEKNKEQEKQHEDHNDRDMVTDQEEKINVKHEENGASGEPMDIPTTDVEIPSSDVTILEGHTSEVCACAWSPAGSLLASGSGDSTARIWTIAEGSSRLSQNGSSNVLVLKHVKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWTTNGELRSTLSKHKGPIFSLKWNKKGDYLLTGSCDKTAIVWDVKAEESKQQFEFHSGPTLDVDWRNNNSFAMSSTDNMIYVCKIGETRPIKTFTGHQGEVNCVKWDPTGSLLASCSDDISAKIWSMKQEKCVHDLREHSKCIV >ONI02594 pep chromosome:Prunus_persica_NCBIv2:G6:21768148:21775614:1 gene:PRUPE_6G209200 transcript:ONI02594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHILKLHVRKRALLASLLIFNMLMATRVILSRSVHPLLLKRTPFLHFAPKHLPKSQSCPLWSSSFSFCLRLHKSATTNPSLASRPCSSLSATAMASSASVDEAFGTNPLLQDFDFPPFDVVDAKHVRPGIRALLKKLEGDLEELERTVEPTWPKLVVPLEKIVDRLTVVWGLVDHLKSVKDSLELRSAIEEVQPEKVKFQLRLGQSKPIYNAFKAILESPDWQTLTEARKRIVESQIKEAVLCGVSLEDDKRDKFNKIEQELERLSHKFQENVLDATKKFEKLVTDKNEIEGLPATALGMAAQTALSKGHENATAENGPWIITLDGPSFLSVMQHARNRSLREEIYRAYVTRASSGDMDNTAIIDSILKLRLEKAKLLNYNNYAEVSMATKMATVEKAEELLEKLRSASWNAAVQDMEDLKNFSKSKDAPEAYDLNHWDTSFWSERLRESKFDINEEELRPYFSLPKVMDGLFNLANILFGINVEPADGLAPVWNNDVRFYRIKDSSGSPIAYFYFDPYTRPSEKKGGAWMDEVLARSHVLSRDGASARLPVAHMVCNQTPPVGNKPSLMTFHEVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGETLPEETYKKLLAARTFRAGSLSLRQIRFASVDLKLHTDYKPGGSESIFDVDRRVSERTQVIPPLPEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDSKAVKETGHKFRETILALGGGKPPLEVFVEFRGREPSPEPLLRHNGLLATASA >ONI05027 pep chromosome:Prunus_persica_NCBIv2:G6:30001975:30002705:1 gene:PRUPE_6G352200 transcript:ONI05027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLITRYKVGVSIPIWGEGRTAQQKKETIKKRTSKVKVNMSQEPDLPGYIFFFNPFCFGCLLVFIAN >ONI03149 pep chromosome:Prunus_persica_NCBIv2:G6:24006111:24008530:-1 gene:PRUPE_6G241600 transcript:ONI03149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKPPSSSPLTFNSNNPFPATHSSLTLHWVSSPHYPSSISSPTRPFRLLATANPPTNPTSDLSLAATAALPNEDQKLLTLLRQRKTEEAWIAYTQSTHLPNPTCLSRLLSQLSYQNSHSGLTRAQSIITRLRNERQLRHLDANSLGLLAVAAAKAGQTRYAASIVKSMLRSGFLPHVKAWSAVVSRLAATGDDGPADALKLFHSVTRRVRRFSEPELVADSRPDTAAFNAALNACANLGDTKRFLKLFDEMPEYGAEPDVLTYNVMIKLCARVGRKDLLVFVLERILDKGITLCLTTLNSLVAAYVGFGDLETAEQMVQAMREGRRDLCKILRDLNSNNSKSSLSDGDVFEKLLPNSVRANDCEPPLLPKAYTCNSRIYTTLMKGYMNVGRVTDTVRMLEALRHQDDSSSHPDHVTYTTVISAFVKAGSMDRARRVLAEMTRVGVPANRITYNILLKGYCQQLQIDQAKELLREMADDAGIEPDVVSYNILIDGCILVDDSAGALGFFNEMRTRGLAPTKISYTTLMKAFALSGQPKLANKVFDEMLNDPRVKADLVAWNMLVEAYCRMGLVEEAKKIIQRIKDNGFHPDVATYGSLANGIALARKPGEALLLWNEVKERCRVKKEGETSDPSDPPTLKPDEGLLDTLADICVRAAFFKKALEIVACMEENGIPPNKTKFTKIYVEMHSRMFTSKHASQARQDRRIERKRAAEAFKFWLGLPNSYYGSEWRLEPIDGDE >ONI00250 pep chromosome:Prunus_persica_NCBIv2:G6:5309902:5312512:-1 gene:PRUPE_6G078200 transcript:ONI00250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAPNKKPTKTNQAHKDISSDQFNDHADILEIFKANKEFFFKILQDPDVNTNQFPGLQNSKNKVRLTKSRSFPVADSSQARNIRPKSTLKHKQNEVWSFPKGEILLSGTQTPKLVTSESQEDYSMKSMPYVAGDISVGSSVMKQETSFSSPGLPEGFSHRGWNQLVINRFKDITQKLMHAIQEGKKENADPSIKALFRKDPSGCDEKELSETPDIAMGQQRNKVDGFDDNLGKPRIGRVRRTSSLDESLNRYTQLFESSYSSDQSKWDRSRSLKLKSEEKVPSTGNAQKFTRRNLSLPDLDYFCSTLNGAPKDAFRLGMPVKNAVDHNTNKENDGHVDPKSVSFPVDTDKSEQLDAITETEFQNNMVERSENSGNIVDTKDLDEHLVETAIGEIITHQKQEIGLRMNPESELAEPIESSILEPNLSDYITSHAEFSTSEGSEINPRSSHVNESDSLPASCNTVNTEIEHKSVDNHSARFVFNKVDDPDSEFNYVKYVLELSGFIGHEDLGTWHSQDQPLDPALFKELEACFQHELDHQLLFDLVNETLLEVYERSYTYFPRALSLSGCIRPMPKGHHLLDDVWTRVSSYLSLRPEMDQSLDDVVARDLAKGDRWMNQQWDTECVALELEDLIFDELLDEAICI >ONI00249 pep chromosome:Prunus_persica_NCBIv2:G6:5309902:5314391:-1 gene:PRUPE_6G078200 transcript:ONI00249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQLQRQDSGVESNRPGCMWSLMHMLDYHHWNNVKNMLPHRKRAGGRRVRCNYGSRKATLNSRDVGQREEFAAADAEPLLVQHPSTETSSAKKRSGKSRTKASSAKEKPREESTKSWILSFHVQSWLWRTSEVHDVQPSENCLDKTGKRGSTSPSKKQALSTEESEKVMELAPNKKPTKTNQAHKDISSDQFNDHADILEIFKANKEFFFKILQDPDVNTNQFPGLQNSKNKVRLTKSRSFPVADSSQARNIRPKSTLKHKQNEVWSFPKGEILLSGTQTPKLVTSESQEDYSMKSMPYVAGDISVGSSVMKQETSFSSPGLPEGFSHRGWNQLVINRFKDITQKLMHAIQEGKKENADPSIKALFRKDPSGCDEKELSETPDIAMGQQRNKVDGFDDNLGKPRIGRVRRTSSLDESLNRYTQLFESSYSSDQSKWDRSRSLKLKSEEKVPSTGNAQKFTRRNLSLPDLDYFCSTLNGAPKDAFRLGMPVKNAVDHNTNKENDGHVDPKSVSFPVDTDKSEQLDAITETEFQNNMVERSENSGNIVDTKDLDEHLVETAIGEIITHQKQEIGLRMNPESELAEPIESSILEPNLSDYITSHAEFSTSEGSEINPRSSHVNESDSLPASCNTVNTEIEHKSVDNHSARFVFNKVDDPDSEFNYVKYVLELSGFIGHEDLGTWHSQDQPLDPALFKELEACFQHELDHQLLFDLVNETLLEVYERSYTYFPRALSLSGCIRPMPKGHHLLDDVWTRVSSYLSLRPEMDQSLDDVVARDLAKGDRWMNQQWDTECVALELEDLIFDELLDEAICI >ONI04254 pep chromosome:Prunus_persica_NCBIv2:G6:27926339:27927454:1 gene:PRUPE_6G311700 transcript:ONI04254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQKHNLVATARCEGEGPCIGRLIERLSHLPDHIAHRIVSFLSMHDLARLSYVSKCCRELCLSSPFLNFSKDDCVEAADACGRKQRLLNSLESSSSSSSPCVCPDVYVRVISWVDKAVRCYLEELKLNLHHIGEPNLIFPSFLFLCGSLKSLCVRMKGILKTPSSISNLKYLELLHVVIEDDEGFFKWISCSCKCIQILRLAYPRGIKNLNIESSSLEIFNLYQPRHGLDTYHISCENLQQLSISLRTDSSSITSLNIFAPNLKTLCWTGNLTIHPNLGKFPSLEYAMIKFDSVGTVYLGKTLNFKYTSIILGPRAGVDDFDPLCEVFRSYALTLKESVIKV >ONI01537 pep chromosome:Prunus_persica_NCBIv2:G6:11851478:11852647:1 gene:PRUPE_6G145000 transcript:ONI01537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPLDVALDIFSRLPITSLMQIKCVCRSWRAKGKDPRLLIMYQAIANKRNPCLILHCDSPIQNNLHFVESSQIRHHEHYTNNARRINAQIRYVAPEFHVVEVAFGFGFHPTTMEYKIVWISYLNNNYQGHQLPLQSRVQVLTLGSNAWRISVSPRCKLEQGSSEALVNGNLHWVTTRHKHRPGRLSPGCRSPNICNFHLVVLGGCLSAVVCHDDGKIDIWMMKEYGSKESWTKEYVIGESLFRSLRERRNSRPSSRIWKRPTLSKGKIQVLCSLRNGEILLEYENGVLVSYNAESEEFQQLMIHELPKWFCTIVHFETLYPADVILQMQL >ONI03117 pep chromosome:Prunus_persica_NCBIv2:G6:23907869:23912059:-1 gene:PRUPE_6G240000 transcript:ONI03117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTSFLFAGVSFNRKKFAVDFSRFQKKKESEKTIEDLSFLEIAKPEAEQEEEEEEEPVVPVKKRKRKAEVSEPVEGISVFKTSKSAKAAKKEIEKPLIERSEQKKKLNKQLERDSLLRKDHNIHVSGNNIPSPLENFSELSSRYGCESYLLRNLAELGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVCPMLMKLKHASKDGIRAVILCPTRELADQTTRECKKMAKGNKFYIKLMTKEFARNSDFSKRPCDILISTPLRLRLAIRKKKVDLSRVEYLVLDESDKLFELGLLKQIDSVVKACSNPSIIRSLFSATLPDFVEELARTIMHDAVRVIIGRKNTASETIKQKLVFAGSEEGKLLALRQSFAESLNPPVLIFVQSKERAKELYGELLFENIRVSAIHSDLSQTQRENAVDDFRAGKTWVLIATDVIARGMDFKGVNCVINYDFPDSAAAYIHRIGRCGRAGRSGEAITFYTEADIPYLRNIANVMSASGCEVPSWAMALRKQKWKKHRPGRESISTQPKDEQESTNKKKKKDEQESINKKKRRKMSKNRAIVGGRELSLVDSSKT >ONI03116 pep chromosome:Prunus_persica_NCBIv2:G6:23907592:23912189:-1 gene:PRUPE_6G240000 transcript:ONI03116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTSFLFAGVSFNRKKFAVDFSRFQKKESEKTIEDLSFLEIAKPEAEQEEEEEEEPVVPVKKRKRKAEVSEPVEGISVFKTSKSAKAAKKEIEKPLIERSEQKKKLNKQLERDSLLRKDHNIHVSGNNIPSPLENFSELSSRYGCESYLLRNLAELGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVCPMLMKLKHASKDGIRAVILCPTRELADQTTRECKKMAKGNKFYIKLMTKEFARNSDFSKRPCDILISTPLRLRLAIRKKKVDLSRVEYLVLDESDKLFELGLLKQIDSVVKACSNPSIIRSLFSATLPDFVEELARTIMHDAVRVIIGRKNTASETIKQKLVFAGSEEGKLLALRQSFAESLNPPVLIFVQSKERAKELYGELLFENIRVSAIHSDLSQTQRENAVDDFRAGKTWVLIATDVIARGMDFKGVNCVINYDFPDSAAAYIHRIGRCGRAGRSGEAITFYTEADIPYLRNIANVMSASGCEVPSWAMALRKQKWKKHRPGRESISTQPKDEQESTNKKKKKDEQESINKKKRRKMSKNRAIVGGRELSLVDSSKT >ONI00275 pep chromosome:Prunus_persica_NCBIv2:G6:5416335:5418276:-1 gene:PRUPE_6G079500 transcript:ONI00275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSIPVYLDPPNWHQQPNHHPLGSTTTGNENPLELPPLPLPPLTHVGGQGSTGGGGPGSIRPSSMSDRARMAKIPQPETALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKSKSNSSSSSRSKSPAATSTDHIQTGSNSSDHHMIDSHLSQLPPPTHQSLPFLASTIQSLGRYGGAGNMGLNFNEIQQGQTDHHHHMGFQFHQIGGNNINNLNGGILGGDNQWRNLNLQQIPFLGGSGFDSSPSTGLYQFQTADQGVDHHHQAPTDPMAANNSRVNNTDDHLPPPVKVEDNRGLSLTRPSLGTNISENNNNQFWGGNLNAWTDLSGLNSSSTSHLL >ONH99124 pep chromosome:Prunus_persica_NCBIv2:G6:891064:892075:1 gene:PRUPE_6G012600 transcript:ONH99124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHRQSYRAGEAKGQTQEKTSQMMGSLDEKAQAVKDKTYETAQAAKERTRGAAQSTKDKIQGKEEVAKDKADVAKGKVWQSKEVTKQKASEEAGKAQDTAEAGKDKSGGFLQQTGESVKNMAQSAADAVKSTFGMAKDEDEDTPYYRKDRD >ONI03918 pep chromosome:Prunus_persica_NCBIv2:G6:26856505:26858698:1 gene:PRUPE_6G291100 transcript:ONI03918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVKTVDEGETTALVYNFVANGNLQQRFSADVTLHVLTWTRCKPSIVHRDLKTSNILLNENLHAMIANFGLSKVLATESATHVSTDPKGTFRYLDPQYYNTGKLNKKSDIYSFGIVLLELITGRAAILRDVEAEPIHICRWVSPNFDTREIESIVDSRIQGTYNIPSAWKALQIAMACVPLTAIQRPDITFIYNDLKECLETEMSSGRTQIVGNDDLANYIDHQPPILF >ONI01868 pep chromosome:Prunus_persica_NCBIv2:G6:15717819:15719389:-1 gene:PRUPE_6G163800 transcript:ONI01868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQHAKGLVFINSNAFKFSLLKTAVRIASVRIFHPKPILKTEFQLRVLTFQISPNGIHEPVEVGEKTDFERKILIIKTVFYADGIILMDECFDGFEDPAKLNRKWEKLA >ONI03259 pep chromosome:Prunus_persica_NCBIv2:G6:24352828:24354882:-1 gene:PRUPE_6G247000 transcript:ONI03259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCILLQIKGKKVLLDYDPGLSKTVGQANATPLVSAATRGHTAVVKELLSKDPSLLDVSKSNGKNALHLAARQGHVDIVKTLLDRGPQLARSTDKKGQTALHMAVKGVSSKVVRLIVERDPAIVMLPDKFGNTALHVATRKKRVEIVNELLLVRYTNVNALTRDHKTSLDIAEGLPYSEEITTIKENLTQYGAVRANELNQPRDELRNTVTEIKKDVHTQLEQARKTNQSVSGIAKELRKLHREGINNATNSVTVVASLFATVAFAAIFTLPGGDLDSGEAVVSFRVSFKIFFIFNALSLFTSLAVVLVQITLVRGETKTERRVVEVINKLMWLASVCTTVAFISSAYIVVGRRNIWAAILVTVIGGVIMAGVLGTMTYYVVKSKKFRRVRKREKMSRTGTIYSSRHSESDSEVNRIYAL >ONI03256 pep chromosome:Prunus_persica_NCBIv2:G6:24352828:24355774:-1 gene:PRUPE_6G247000 transcript:ONI03256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRLGVGRDSGCEGLGRDLEKGLVQPSTPGALSEPPSSPHPSRPVLVFSNSSKSLLGSNSGKALVVSNSGKALVVSNSSKSLVLSNSGKRIDQLGKKKYVKQVTGRHNDTELHLAARRGDLAAVQQFLGEIDAQMVGSEFDEEVAEIRSAIVNEVNELGETVLFIAAEQGHLGIVEELLPYMTEEGISLKNQVGFDALHIAANQGQKAIVQVLLDYDPGLSKTVGQANATPLVSAATRGHTAVVKELLSKDPSLLDVSKSNGKNALHLAARQGHVDIVKTLLDRGPQLARSTDKKGQTALHMAVKGVSSKVVRLIVERDPAIVMLPDKFGNTALHVATRKKRVEIVNELLLVRYTNVNALTRDHKTSLDIAEGLPYSEEITTIKENLTQYGAVRANELNQPRDELRNTVTEIKKDVHTQLEQARKTNQSVSGIAKELRKLHREGINNATNSVTVVASLFATVAFAAIFTLPGGDLDSGEAVVSFRVSFKIFFIFNALSLFTSLAVVLVQITLVRGETKTERRVVEVINKLMWLASVCTTVAFISSAYIVVGRRNIWAAILVTVIGGVIMAGVLGTMTYYVVKSKKFRRVRKREKMSRTGTIYSSRHSESDSEVNRIYAL >ONI03254 pep chromosome:Prunus_persica_NCBIv2:G6:24350995:24355993:-1 gene:PRUPE_6G247000 transcript:ONI03254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRLGVGRDSGRDLEKGLVQPSTPGALSEPPSSPHPSRPVLVFSNSSKSLLGSNSGKALVVSNSGKALVVSNSSKSLVLSNSGKRIDQLGKKKYVKQVTGRHNDTELHLAARRGDLAAVQQFLGEIDAQMVGSEFDEEVAEIRSAIVNEVNELGETVLFIAAEQGHLGIVEELLPYMTEEGISLKNQVGFDALHIAANQGQKAIVQVLLDYDPGLSKTVGQANATPLVSAATRGHTAVVKELLSKDPSLLDVSKSNGKNALHLAARQGHVDIVKTLLDRGPQLARSTDKKGQTALHMAVKGVSSKVVRLIVERDPAIVMLPDKFGNTALHVATRKKRVEIVNELLLVRYTNVNALTRDHKTSLDIAEGLPYSEEITTIKENLTQYGAVRANELNQPRDELRNTVTEIKKDVHTQLEQARKTNQSVSGIAKELRKLHREGINNATNSVTVVASLFATVAFAAIFTLPGGDLDSGEAVVSFRVSFKIFFIFNALSLFTSLAVVLVQITLVRGETKTERRVVEVINKLMWLASVCTTVAFISSAYIVVGRRNIWAAILVTVIGGVIMAGVLGTMTYYVVKSKKFRRVRKREKMSRTGTIYSSRHSESDSEVNRIYAL >ONI03257 pep chromosome:Prunus_persica_NCBIv2:G6:24352828:24355066:-1 gene:PRUPE_6G247000 transcript:ONI03257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSEFDEEVAEIRSAIVNEVNELGETVLFIAAEQGHLGIVEELLPYMTEEGISLKNQVGFDALHIAANQGQKAIVQVLLDYDPGLSKTVGQANATPLVSAATRGHTAVVKELLSKDPSLLDVSKSNGKNALHLAARQGHVDIVKTLLDRGPQLARSTDKKGQTALHMAVKGVSSKVVRLIVERDPAIVMLPDKFGNTALHVATRKKRVEIVNELLLVRYTNVNALTRDHKTSLDIAEGLPYSEEITTIKENLTQYGAVRANELNQPRDELRNTVTEIKKDVHTQLEQARKTNQSVSGIAKELRKLHREGINNATNSVTVVASLFATVAFAAIFTLPGGDLDSGEAVVSFRVSFKIFFIFNALSLFTSLAVVLVQITLVRGETKTERRVVEVINKLMWLASVCTTVAFISSAYIVVGRRNIWAAILVTVIGGVIMAGVLGTMTYYVVKSKKFRRVRKREKMSRTGTIYSSRHSESDSEVNRIYAL >ONI03258 pep chromosome:Prunus_persica_NCBIv2:G6:24350995:24355993:-1 gene:PRUPE_6G247000 transcript:ONI03258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCILLQIKGKKVLLDYDPGLSKTVGQANATPLVSAATRGHTAVVKELLSKDPSLLDVSKSNGKNALHLAARQGHVDIVKTLLDRGPQLARSTDKKGQTALHMAVKGVSSKVVRLIVERDPAIVMLPDKFGNTALHVATRKKRVEIVNELLLVRYTNVNALTRDHKTSLDIAEGLPYSEEITTIKENLTQYGAVRANELNQPRDELRNTVTEIKKDVHTQLEQARKTNQSVSGIAKELRKLHREGINNATNSVTVVASLFATVAFAAIFTLPGGDLDSGEAVVSFRVSFKIFFIFNALSLFTSLAVVLVQITLVRGETKTERRVVEVINKLMWLASVCTTVAFISSAYIVVGRRNIWAAILVTVIGGVIMAGVLGTMTYYVVKSKKFRRVRKREKMSRTGTIYSSRHSESDSEVNRIYAL >ONI03260 pep chromosome:Prunus_persica_NCBIv2:G6:24350995:24355993:-1 gene:PRUPE_6G247000 transcript:ONI03260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCILLQIKGKKVLLDYDPGLSKTVGQANATPLVSAATRGHTAVVKELLSKDPSLLDVSKSNGKNALHLAARQGHVDIVKTLLDRGPQLARSTDKKGQTALHMAVKGVSSKVVRLIVERDPAIVMLPDKFGNTALHVATRKKRVEIVNELLLVRYTNVNALTRDHKTSLDIAEGLPYSEEITTIKENLTQYGAVRANELNQPRDELRNTVTEIKKDVHTQLEQARKTNQSVSGIAKELRKLHREGINNATNSVTVVASLFATVAFAAIFTLPGGDLDSGEAVVSFRVSFKIFFIFNALSLFTSLAVVLVQITLVRGETKTERRVVEVINKLMWLASVCTTVAFISSAYIVVGRRNIWAAILVTVIGGVIMAGVLGTMTYYVVKSKKFRRVRKREKMSRTGTIYSSRHSESDSEVNRIYAL >ONI03255 pep chromosome:Prunus_persica_NCBIv2:G6:24351105:24355993:-1 gene:PRUPE_6G247000 transcript:ONI03255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRLGVGRDSGCEGLGRDLEKGLVQPSTPGALSEPPSSPHPSRPVLVFSNSSKSLLGSNSGKALVVSNSGKALVVSNSSKSLVLSNSGKRIDQLGKKKYVKQVTGRHNDTELHLAARRGDLAAVQQFLGEIDAQMVGSEFDEEVAEIRSAIVNEVNELGETVLFIAAEQGHLGIVEELLPYMTEEGISLKNQVGFDALHIAANQGQKAIVQVLLDYDPGLSKTVGQANATPLVSAATRGHTAVVKELLSKDPSLLDVSKSNGKNALHLAARQGHVDIVKTLLDRGPQLARSTDKKGQTALHMAVKGVSSKVVRLIVERDPAIVMLPDKFGNTALHVATRKKRVEIVNELLLVRYTNVNALTRDHKTSLDIAEGLPYSEEITTIKENLTQYGAVRANELNQPRDELRNTVTEIKKDVHTQLEQARKTNQSVSGIAKELRKLHREGINNATNSVTVVASLFATVAFAAIFTLPGGDLDSGEAVVSFRVSFKIFFIFNALSLFTSLAVVLVQITLVRGETKTERRVVEVINKLMWLASVCTTVAFISSAYIVVGRRNIWAAILVTVIGGVIMAGVLGTMTYYVVKSKKFRRVRKREKMSRTGTIYSSRHSESDSEVNRIYAL >ONI02660 pep chromosome:Prunus_persica_NCBIv2:G6:22121127:22122883:1 gene:PRUPE_6G213300 transcript:ONI02660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLASALRQTLTPKPTSTASHLARALSTAAAVAVAEPYEDADGISMKGVKISGRPLYLDMQATSPVDPRVVDAMLPYYLTRYGNPHSRTHMYGWESDSAVETARAQIADLIGSSPKEIVFTSGATECNNISVKGVMHFYKDKKRHVITTQTEHKCVLDSCRHLQQEGFDVTYLPVKSDGLIDLDELRAAIRPDTGLVSVMAVNNEIGVIQPMEEIGEICKEFKIPFHTDAAQALGKIPIEVDKWNVSLMSLSGHKVYGPKGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGFGAACEIAKKEMEYDQKRITELQERMLNGIREKLDFVVVNGSTERRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEAEIDRAVQLTVLQVKKLRDMSPLYEMVRDGIDIKNIQWSQH >ONI00069 pep chromosome:Prunus_persica_NCBIv2:G6:4571555:4572031:-1 gene:PRUPE_6G066200 transcript:ONI00069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSFRRGSIFDPFSLDLWDSLKDFPFPSSSSLSTFPEVSLEKSTFLNTRIDWKETPEAHVFKADLPGLKKEEVKVEVADDRVLQISGERNVEKEDKNDKWHRVERSSGKFLRRFQLPENAKVDEIKAAMENGVLSVTVPKAKVKKPDVKAIEISG >ONH99509 pep chromosome:Prunus_persica_NCBIv2:G6:2546823:2547272:-1 gene:PRUPE_6G033500 transcript:ONH99509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQAMSSARNLVNEFANVGLQDRLQVKNLYLRKVHLLVGTASFPLINTRFYYYPPFWSKKIFLQQLFREKNHLYKQIRKTRSIRETEN >ONH99358 pep chromosome:Prunus_persica_NCBIv2:G6:2089883:2092148:-1 gene:PRUPE_6G026500 transcript:ONH99358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGELRYEIAQNAYIKLVLHALKHKSSAVNAVLLGRVSSQNDAVEITDSVPLFHSQIGLLPQLEISLILIEEHYAAKGVSIVGYFHANERFDDHELGGIAKNIGDHIYRYLPQAAILLLDNRKLEALTRTKDRSPVMQLYTKDASRIWKLIGSDGNQLTIKEPSANVVLLDYVSTEKWQDVVDFDDHLDDISKDWLNPELFK >ONI05145 pep chromosome:Prunus_persica_NCBIv2:G6:30341059:30344478:-1 gene:PRUPE_6G358500 transcript:ONI05145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPSEEAAEIEDNWAEANSDRVSWIMNKGLGLGKIILVTAIVISSAPLVLPPLVVISAIGFAFCVPCAVVLASYACTKKLMSKLLPYSTPPLLLENGTLMSNDDEEEEEQGEEQGGKIDTEKEEGWDSGGILGGGKMRIELVEEENDEIFEEGRIEEGDECVQEGVKKNAQEYFAEDENRYEEDVGESTDVEEMLILDNINVVNIEGLIQDYKDDTLLDENSVSDMYRLVSNVVEGAEEIGLTPIEVTAIVMDESGDQETASNTAEEEELVEETREFLEKIRDEGNADNAMDLEKQYTGEVEGGKEVRDQQFDWNAEEREILVEDKISDIRLEKPTGTMDGGVGRVPKECEDMQERQEMKHVNDANTTTVSGHVKPTGDIGSVLESKNADNNSKAEKPIGEANDVGNTLEAETRNLRPVMSSIVEDKQKPVISKEEIVLQGGRAEDNVNNLDFQLIREKETVVSSNVDAGEIADESGLHLFDEKNVSGQQYNSYETSEGHGGDEGADFAELPVSHRAHESTDAVISSGKDVSMHPNGVPYDEAKIWRQIDAMRRIVGYKATPHATCIEELKALYLFTGVELPASFGDHPDLAQVNDKLRFLMSIIGVKLD >ONI05146 pep chromosome:Prunus_persica_NCBIv2:G6:30341983:30343722:-1 gene:PRUPE_6G358500 transcript:ONI05146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPSEEAAEIEDNWAEANSDRVSWIMNKGLGLGKIILVTAIVISSAPLVLPPLVVISAIGFAFCVPCAVVLASYACTKKLMSKLLPYSTPPLLLENGTLMSNDDEEEEEQGEEQGGKIDTEKEEGWDSGGILGGGKMRIELVEEENDEIFEEGRIEEGDECVQEGVKKNAQEYFAEDENRYEEDVGESTDVEEMLILDNINVVNIEGLIQDYKDDTLLDENSVSDMYRLVSNVVEGAEEIGLTPIEVTAIVMDESGDQETASNTAEEEELVEETREFLEKIRDEGNADNAMDLEKQYTGEVEGGKEVRDQQFDWNAEEREILVEDKISDIRLEKPTGTMDGGVGRVPKECEDMQERQEMKHVNDANTTTVSGHVKPTGDIGSVLESKNADNNSKAEKPIGEANDVGNTLEAETRNLRPVMSSIVEDKQKPVISKEEIVLQGGRAEDNVNNLDFQLIREKETVVSSNVDAGEIADESGLHLFDEKNVSGQQYNSYETSEGHGGDEGADFAELPVSHRAHESTDAVISSGKDVSMHPNGVRL >ONI00415 pep chromosome:Prunus_persica_NCBIv2:G6:5967645:5970344:1 gene:PRUPE_6G087900 transcript:ONI00415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGYRKFDLFIWVLSVLCLGWLCSGFNPADIYLIDCGSPANTSVGNRVYVADNLASKFLSTPKDVVANISLKSITSFDDSPLYQTARIFTESSKYTFSISQSGRHWIRLYFYPFVFGGYDLSKAKFSVSTQNHVLLGDFSVQNASLVKEFSVNVTSDSLVITFTPSNNSFAFLNAIEVVSVPNQLITDDANNGLHGLTTHTQALETSWRVNMGGPTVSFEKDTLWRTWVPDQSFQVNANLAKYVSNIAAVTYDAGSALATKDIAPQTVYGTLTEMKSADDTNSNFNVSWEFTVDPGFQYLVRFHFCDVVSKSLYQLYFNVYLDSLIVARDLDLSTLATNKLAVPYYMDYVTTSAAVSNKLRISIGPSPLNNAYPNAILNGLEIMKLNNSAGSLGGANSLVPSSNSSSKSNVGVIVGAGVGSFIAVVLAAILFVLYRRRKRLADQGHSKTWLPFSINGTNSHTMGRKYGTTASAASNYSYRFPFGVVQEATNNFDESWVIGIGGFGKVYKGVLNDGTKVAVKRGNPRSQQGLAEFRTEIEMLSQFRHRHLVSLIGHCDDDSEMILVYEYMENGTLKSHLYGSGHPSLSWKQRLEVCIGAARGLHYLHTGCAKAIIHRDVKSANILLNENLMAKVADFGLSKKGPEIDQSHVSTAVKGSLGYLDPEYFRRKKLTEKSDVYSFGVVLFEVLCARPVLDPSLTKEMNLDEWAMEWQKKGQLEQIIDSTLAGKIRPDSLRKFGETAEKCLADCGVDRPSMGDVLWNLEYALQLQEAEIPGDAEENSTNTIGEQSPQVNNFQ >ONI00342 pep chromosome:Prunus_persica_NCBIv2:G6:5654257:5658277:1 gene:PRUPE_6G083700 transcript:ONI00342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLADTDTRAEPTTIKINQPDQGAGAGQAAQRSACCGS >ONI00345 pep chromosome:Prunus_persica_NCBIv2:G6:5654275:5658275:1 gene:PRUPE_6G083700 transcript:ONI00345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLADTDTRAEPTTIKINQPDQGAGAGQAAQRSACCGS >ONI00343 pep chromosome:Prunus_persica_NCBIv2:G6:5654502:5658279:1 gene:PRUPE_6G083700 transcript:ONI00343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLADTDTRAEPTTIKINQPDQGAGAGQAAQRSACCGS >ONI00344 pep chromosome:Prunus_persica_NCBIv2:G6:5654258:5658275:1 gene:PRUPE_6G083700 transcript:ONI00344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLADTDTRAEPTTIKINQPDQGAGAGQAAQRSACCGS >ONH99534 pep chromosome:Prunus_persica_NCBIv2:G6:2651193:2652365:1 gene:PRUPE_6G035200 transcript:ONH99534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSTLFSAPAISSTISRSHTHQLSPTQISFQGLRPLTKAAPSTKLSFSAPNTKRSTGVVRAELNPSLVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGVTHFEAGDTRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATSSNGYDPKFFE >ONH99576 pep chromosome:Prunus_persica_NCBIv2:G6:2774033:2777507:-1 gene:PRUPE_6G036800 transcript:ONH99576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSSGLTFKLHPLVIVNISDHYSRVKSQMHPPITNTATTTTTPPPNNGAEGAVETAPSSSSSSALASSPRVFGCVIGVQRGRTVEIFNSFELLYDPATHSLDRAFLEKKQELYKKVFPHFYILGWYSTGTDAQESDMNIHKALMDINESPVYVLLNPLINPAQKDLPITIYESELHVIDGIPQLIFVSSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHHYLLAMQKGDIPCENSLLRQVSSLLRRLPAIESGKFQDDFLMEYNDTLLITSLAVLTNCSRYELN >ONH99575 pep chromosome:Prunus_persica_NCBIv2:G6:2774014:2778206:-1 gene:PRUPE_6G036800 transcript:ONH99575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSSGLTFKLHPLVIVNISDHYSRVKSQMHPPITNTATTTTTPPPNNGAEGAVETAPSSSSSSALASSPRVFGCVIGVQRGRTVEIFNSFELLYDPATHSLDRAFLEKKQELYKKVFPHFYILGWYSTGTDAQESDMNIHKALMDINESPVYVLLNPLINPAQKDLPITIYESELHVIDGIPQLIFVSSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHHYLLAMQKGDIPCENSLLRQVSSLLRRLPAIESGKFQDDFLMEYNDTLLITSLAVLTNCSSTMNELVDKFNTAYDRHTRRGGRTTFF >ONH99650 pep chromosome:Prunus_persica_NCBIv2:G6:3041491:3048421:1 gene:PRUPE_6G041000 transcript:ONH99650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKICMNVLCGTTNTHEWKKGWPLRSGGFAHLCFKCGAAYEKLVFCDKFHAGESGWRDCSLCRKPLHCGCIVSKSLYECLDYGGVGCISCAKSSQPRVIQNDDVLNGFGGLKISNYSDRQSTVVQNGAFSNTVDEGKLLQLCKIMEANESNLLPQPQRGDINVSLVQKKQEEVINHKGEVGLGFSSTTQPSIGSLTFSKSDNGRTMIEDMNKSSSQPSLSMTLGSPSATPSFVQPFPGGLVDGREQSKTPSSFQQGHGREQGTTPSFQQGLVDGREQSKTSSSFQQGLLDGREQSKTSSSFQQGLVDGREQSKTPSSFQQGLVDGREQSKTPSSFQQGQKSRPILPKPLKPGVAMSSETNKGGFPNVRVARPPAEGRGKNQLLPRYWPRITDQELQKLSGDLNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQSEGLPIKIQDVKGNEWLFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPGGRLVMGFRKASKSLDMQDPQTSILPNGSTPGETSCPSVVENPATGSGHPGLFQTNTGSKDPHLHALSEHLHLTDGDMSLHKNDYHGHRTSEDLLQQPVSNSDKKRARNIGPKSKRLLMHSEDVLELRLTWEEAQDLLRPPPSVKPSIVTIEDHEFEEYDEPPVFGKRSLFTASSSERQEQWAQCDDCSKWRRLPADVLLPPKWTCSENSWDTSRRSCSAPEEMSQKDFDSLLRASKDLKKRRIIENCTETQVHEPSGLDALASAAILGDNVVDSGEQSVGATTRHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCLTVRRRFKTLMMRKKKRQSEREAENAQKDNNNHKDESEINGTSTEVGLHMNHSSENGGCQSRIEADVAESSTAGQIDLNCEPNPYVQASGLTLLRLADAVSQPLNNYRKESCLANMMCEPQAGIGSSLLTQATDESERRLSNEGCLSAVAAWDCEGRGDAD >ONH99651 pep chromosome:Prunus_persica_NCBIv2:G6:3041353:3048396:1 gene:PRUPE_6G041000 transcript:ONH99651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKICMNVLCGTTNTHEWKKGWPLRSGGFAHLCFKCGAAYEKLVFCDKFHAGESGWRDCSLCRKPLHCGCIVSKSLYECLDYGGVGCISCAKSSQPRVIQNDDVLNGFGGLKISNYSDRQSTVVQNGAFSNTVDEGKLLQLCKIMEANESNLLPQPQRGDINVSLVQKKQEEVINHKGEVGLGFSSTTQPSIGSLTFSKSDNGRTMIEDMNKSSSQPSLSMTLGSPSATPSFVQPFPGGLVDGREQSKTPSSFQQGHGREQGTTPSFQQGLVDGREQSKTSSSFQQGLLDGREQSKTSSSFQQGLVDGREQSKTPSSFQQGLVDGREQSKTPSSFQQGQKSRPILPKPLKPGVAMSSETNKGGFPNVRVARPPAEGRGKNQLLPRYWPRITDQELQKLSGDLNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQSEGLPIKIQDVKGNEWLFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPGGRLVMGFRKASKSLDMQDPQTSILPNGSTPGETSCPSVVENPATGSGHPGLFQTNTGSKDPHLHALSEHLHLTDGDMSLHKNDYHGHRTSEDLLQQPVSNSDKKRARNIGPKSKRLLMHSEDVLELRLTWEEAQDLLRPPPSVKPSIVTIEDHEFEEYDEPPVFGKRSLFTASSSERQEQWAQCDDCSKWRRLPADVLLPPKWTCSENSWDTSRRSCSAPEEMSQKDFDSLLRASKDLKKRRIIENCTETQVHEPSGLDALASAAILGDNVVDSGEQSVGATTRHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCLTVRRRFKTLMMRKKKRQSEREAENAQKDNNNHKDESEINGTSTEVGLHMNHSSENGGCQSRIEADVAESSTAGQIDLNCEPNPYVQASGLTLLRLADAVSQPLNNYRKESCLANMMCEPQAGIGSSLLTQATDESERRLSNEGCLSAVAAWDCEGRGDAD >ONH99649 pep chromosome:Prunus_persica_NCBIv2:G6:3041346:3048520:1 gene:PRUPE_6G041000 transcript:ONH99649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKICMNVLCGTTNTHEWKKGWPLRSGGFAHLCFKCGAAYEKLVFCDKFHAGESGWRDCSLCRKPLHCGCIVSKSLYECLDYGGVGCISCAKSSQPRVIQNDDVLNGFGGLKISNYSDRQSTVVQNGAFSNTVDEGKLLQLCKIMEANESNLLPQPQRGDINVSLVQKKQEEVINHKGEVGLGFSSTTQPSIGSLTFSKSDNGRTMIEDMNKSSSQPSLSMTLGSPSATPSFVQPFPGGLVDGREQSKTPSSFQQGHGREQGTTPSFQQGLVDGREQSKTSSSFQQGLLDGREQSKTSSSFQQGLVDGREQSKTPSSFQQGLVDGREQSKTPSSFQQGQKSRPILPKPLKPGVAMSSETNKGGFPNVRVARPPAEGRGKNQLLPRYWPRITDQELQKLSGDLNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQSEGLPIKIQDVKGNEWLFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPGGRLVMGFRKASKSLDMQDPQTSILPNGSTPGETSCPSVVENPATGSGHPGLFQTNTGSKDPHLHALSEHLHLTDGDMSLHKNDYHGHRTSEDLLQQPVSNSDKKRARNIGPKSKRLLMHSEDVLELRLTWEEAQDLLRPPPSVKPSIVTIEDHEFEEYDEPPVFGKRSLFTASSSERQEQWAQCDDCSKWRRLPADVLLPPKWTCSENSWDTSRRSCSAPEEMSQKDFDSLLRASKDLKKRRIIENCTETQVHEPSGLDALASAAILGDNVVDSGEQSVGATTRHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCLTVRRRFKTLMMRKKKRQSEREAENAQKDNNNHKDESEINGTSTEVGLHMNHSSENGGCQSRIEADVAESSTAGQIDLNCEPNPYVQASGLTLLRLADAVSQPLNNYRKESCLANMMCEPQAGIGSSLLTQATDESERRLSNEGCLSAVAAWDCEGRGDAD >ONH99107 pep chromosome:Prunus_persica_NCBIv2:G6:871166:874757:-1 gene:PRUPE_6G012100 transcript:ONH99107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKLDTGGGVKSPLLASGGRSRRLSRRNSYNSLRNDFVSRLPDNVRAGIDPESPEGIDYSRTTGLNRGEKEYYETQFATLQSFEEVDSIVQADCIDEDDLEERAQHERAMKISNYANILLLGLKIYATIKSGSIAIAASTLDSLLDLLAGGILWFTHLAMKNINIYKYPIGKLRVQPVGIIIFAAIMATLGFQVLIQAVEQLVTDDPTEKMSSSQLVWLYVIMIFATVVKLALWFYCRSSGNKIVRAYAKDHYFDVVTNVVGLVAAVLANRFYWWLDPAGAILLAVYTIVNWSGTVMENAVSLVGQSAPPEFLQKLTYLVIMHPEVKRIDTVRAYTFGVLYFVEVDIELPEEMPLKEAHAIGETLQIKIEKLPEIERAFVHLDFECEHKPEHSVLVKLPNTQP >ONH99621 pep chromosome:Prunus_persica_NCBIv2:G6:2989998:2993696:1 gene:PRUPE_6G039800 transcript:ONH99621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNQNEVKDEVVPDIAPFDPTKKKKKKKVVIQDINDDSVDKLAEKTENLAVSDGQESTFSGLKKKKKKPVETSILNEDSGDAVEDLNERAGEDEEGEGIVLEAPSYPWEGSDRDYTYEELLDRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVNEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRTVAPIKAGFVARVGRRNAGT >ONI03167 pep chromosome:Prunus_persica_NCBIv2:G6:24101003:24103423:-1 gene:PRUPE_6G242600 transcript:ONI03167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAARPLVTVQTLDGDMATDQSQTVALPDVMKASIRPDIVTFVHANISKNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVVSAIAASAVPSLVLARGHKIETVPELPLVVSDSAEGVEKTSAALKLLKQIGAYADAEKAKDSHAIRPGKGKMRNRRYINRKGPLIVYGTEGAKLVKAFRNIPGVDIINVERLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGSFDKVSEKKKGYVLPRSKMVNADLARIINSDEVQSVVRPIKKEFKRAPLKKNPLKNLNTLLKLNPYAKTARRMALLAEEQRVKAKKEKLDKKRKPISKEEASTIKAAGKAWYKTMISDSDYTEFENFTKWLGVSQ >ONI03595 pep chromosome:Prunus_persica_NCBIv2:G6:25545760:25548576:-1 gene:PRUPE_6G267600 transcript:ONI03595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREMETAYDAAPAAVEVDAEDGGDSGEEATQAVAGGGGESHRKSGRDRVKGPWSPEEDSILSRLVSKFGARNWSLIARGIDGRSGKSCRLRWCNQLDPSVKRKPFTDEEDQMIIAAHAFHGNKWAAIARLLPGRTDNAIKNHWNSTLRRRCMDPDKIKLESGNTVEDVSLDKTKASSEETFSYGDVNSSKSMEGREVSSLEHMDCQYEDKGSTEGQFSHEVKEQPTVCRPAACISAFSVYNHSDSQETGVSFPRLIPVQGPLVQVSKLDVNISKLLDGVYGERSIPDQCGHGCCCANGMNAQNSLLGPEFVDFSEPPSFPSFELAAIATDISNLAWIKSGLENSSVRAMGDAADRTVPHESQGQMGHFEESRMNNLFRFEERNDRLMGMKTNVLST >ONI01863 pep chromosome:Prunus_persica_NCBIv2:G6:15588789:15592030:-1 gene:PRUPE_6G163400 transcript:ONI01863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >ONI01864 pep chromosome:Prunus_persica_NCBIv2:G6:15588820:15591833:-1 gene:PRUPE_6G163400 transcript:ONI01864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >ONI01937 pep chromosome:Prunus_persica_NCBIv2:G6:17281067:17281897:1 gene:PRUPE_6G168400 transcript:ONI01937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKSSSRGTSYGFASIVKPDIMAPGSLILGVWNPNISVAGIRSNMSLYSDYYILSGTSPATVHVAGVTALLKATHPNWSPAALRSAIVTTANLFDNSYNRILDGKSYRKANPLAMGLGLINPNKALDPGLIYDATSHDYVNLLCSYLNSKQISEITRSNNYSCANPSKNLNYPSFILLYGNSKPKVRNFERIVTNAGKDSTRYKVVVKTPKNIVVVVRPEILVFERKNEKISYNVTSQYTGGEYKRVSSRKIVWVEENGEHTVRNPIVVSPCIGG >ONI02351 pep chromosome:Prunus_persica_NCBIv2:G6:20024205:20025468:-1 gene:PRUPE_6G192900 transcript:ONI02351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPCRNSILSGHEYVQELLNGNPDRIYDSFRMDKHVFLRLCQTLETLDLLHYDRHVGFQEAVHIFLFIVSHNIRMRVEAERFQRSKDTIHRQFKHVLTALCGLSPCIICPSSKGETPPEILNNPKYYPYFERFFGVLKARFPIFKLMPNYLPHRQRRIPIACCVLHNFIQREARRDRLFEEFQVDDIIVEGEDMATPNLDMSPENIAQMTNIRDKIAQDLWRDFTQDS >ONI04609 pep chromosome:Prunus_persica_NCBIv2:G6:28828601:28835612:-1 gene:PRUPE_6G330000 transcript:ONI04609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELKNQAEVEVEAIHLWATPRSLSTSLMYSFAQRDDIEVLDEPLYATFLRVTGFDRPYREEVLSKMEPDGNKVVKDIILGPGRKKYRLCKHMAKQRVPGLPIDLMKTGKHVILTRNPLDILPSFDKVVPPSLLELGLADLVSIYSELSELGRPPPVIDAAELQVDPEATLRGLCEELDIPFQSTMLKWEAGRKPIDGVWAPWWYETVHKSTGFQPPRKYPMPFPMSLYDVLEQSLPFYNFLRRHVKQKSCLLKSRLSEPDLPVPENEKLLAWVGDEIVPRESAKVSVFDSVVQGGDSVWEGLRVYNGKIFKLDEHLDRLFDSAKALAFNNVPTREGVKEAIFSTLIRNGMFDNSHIRLSLTRGKKVTSGMSPAFNLYGCTLIVLAEWKPPVYDNTSGITLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNANAADAIMLDKDGYVSETNATNIFLVKKGRVLTPHADYCLPGVTRATVMDLVVKEELVLEERRISLSEFHTADEVWTTGTMGELSPVVKIDGRLVGDGKVGPVTRRLQNAYKELTEASGVPIPTYH >ONI04610 pep chromosome:Prunus_persica_NCBIv2:G6:28829094:28834829:-1 gene:PRUPE_6G330000 transcript:ONI04610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDGNKVVKDIILGPGRKKYRLCKHMAKQRVPGLPIDLMKTGKHVILTRNPLDILPSFDKVVPPSLLELGLADLVSIYSELSELGRPPPVIDAAELQVDPEATLRGLCEELDIPFQSTMLKWEAGRKPIDGVWAPWWYETVHKSTGFQPPRKYPMPFPMSLYDVLEQSLPFYNFLRRHVKQKSCLLKSRLSEPDLPVPENEKLLAWVGDEIVPRESAKVSVFDSVVQGGDSVWEGLRVYNGKIFKLDEHLDRLFDSAKALAFNNVPTREGVKEAIFSTLIRNGMFDNSHIRLSLTRGKKVTSGMSPAFNLYGCTLIVLAEWKPPVYDNTSGITLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNANAADAIMLDKDGYVSETNATNIFLVKKGRVLTPHADYCLPGVTRATVMDLVVKEELVLEERRISLSEFHTADEVWTTGTMGELSPVVKIDGRLVGDGKVGPVTRRLQNAYKELTEASGVPIPTYH >ONI01099 pep chromosome:Prunus_persica_NCBIv2:G6:9032678:9033692:1 gene:PRUPE_6G121400 transcript:ONI01099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKSNPKKSEAMKMKRVSDAMKPRKTAMAKRCSALRKKKTNELSKLRRVLKGQIEKGSFSAEKGFLEIVEDRKNWDLGIQDDCLESKLKALNERIGAVMRKMRIMESSVEPEAADQQLTCNGFEFDDYEPTVDTLDDFLSWVLGGDHHNDIAVMEDDLKLYDLDTMLPEEPQQLEQQPLSVQSMDTITSDDDMRLLLSDCEIALMQEDLSDFLAPLETMLLQHPQQQQPIKF >ONI04327 pep chromosome:Prunus_persica_NCBIv2:G6:28148979:28150523:1 gene:PRUPE_6G316000 transcript:ONI04327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNWGRRFVALDSVLGRKEPFPQAMNGNKKRCSDCMTTETPLWRGGPAGPKSLCNACGIRHRKRGIPTVSLMSKAPKRRKEKICGGSSSTITTTYNIAASATYNNASATTAKSTFGSGGGGINLNEPPEVRFVGFGEEVFLQDSQAEGE >ONI02746 pep chromosome:Prunus_persica_NCBIv2:G6:22582115:22584713:-1 gene:PRUPE_6G219700 transcript:ONI02746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLQRLSSHARLLRTSVASGSRPFSADALVEYMPGEIGKVSGIPDEHLRRRVVIYSPARTASQQGSGKVGKWKINFVSTQKWENPLMGWTSTGDPYAHVGDSTLGFDSEEAAKSFAERHGWDYVVKKRQTPLLRVCVCRQLQVQGPP >ONI02745 pep chromosome:Prunus_persica_NCBIv2:G6:22581924:22584816:-1 gene:PRUPE_6G219700 transcript:ONI02745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLQRLSSHARLLRTSVASGSRPFSADALVEYMPGEIGKVSGIPDEHLRRRVVIYSPARTASQQGSGKVGKWKINFVSTQKWENPLMGWTSTGDPYAHVGDSTLGFDSEEAAKSFAERHGWDYVVKKRQTPLLRVKAYADNFKFKGLPKTEEA >ONI01829 pep chromosome:Prunus_persica_NCBIv2:G6:15072051:15074129:-1 gene:PRUPE_6G161800 transcript:ONI01829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVHHRYVLRFPDNLALDAGAPLLCAGITVYSPMKYYGMTEPGKHLGVAGLGGLGHVAVKIGKAFGLKVTVISSSPGKEDEAVKRLGADSFLLSSDPAKLKAAMGTMDYIIDTVSAVHALAPLIGLLKLNGKLVTVGLPDKPLELPIFPLVLGMPI >ONI04214 pep chromosome:Prunus_persica_NCBIv2:G6:27801899:27803292:-1 gene:PRUPE_6G309400 transcript:ONI04214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDITTATTMVPATKAASGPSRAYVTFLAGTGDYVKGVVGLAKGLRKVKSKYPLVVAILPDVPKEHRQILVSQGCIVKEIEPVYPPPNQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFQNIDHLFDYPDGYFYAVMDCFCEKTWSSSPQYKIGYCQQCPDKVQWAPELGPRPPLYFNAGMFVYEPSLPVYHDLLRTLQVSPTTSFAEQDFLNVFFRDKYKPIPSNYNLVLAMLWRHPENIQLDQVKVVHYCADGSKPWRYTGKEENMQREDIKMLVKKWWDIYDDESLDFKNIVAAAEAGNGADQVDLQAFKAALSEAGVVNFRTAPSAA >ONI04213 pep chromosome:Prunus_persica_NCBIv2:G6:27801695:27803481:-1 gene:PRUPE_6G309400 transcript:ONI04213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDITTATTMVPATKAASGPSRAYVTFLAGTGDYVKGVVGLAKGLRKVKSKYPLVVAILPDVPKEHRQILVSQGCIVKEIEPVYPPPNQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFQNIDHLFDYPDGYFYAVMDCFCEKTWSSSPQYKIGYCQQCPDKVQWAPELGPRPPLYFNAGMFVYEPSLPVYHDLLRTLQVSPTTSFAEQDFLNVFFRDKYKPIPSNYNLVLAMLWRHPENIQLDQVKVVHYCADGSKPWRYTGKEENMQREDIKMLVKKWWDIYDDESLDFKNIVAAAEAGNGIQGGSVGGWRCQFQNRPICCLVGPCCFSKRMKSI >ONI02356 pep chromosome:Prunus_persica_NCBIv2:G6:20046498:20047838:-1 gene:PRUPE_6G193200 transcript:ONI02356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSESGRGCNKHQLFGGDDMMMKQYSSPGVCSSCLSERLSELYAPSSTFFSERLARTVSSPRNQSNSSSSSVSSSAGSPPVNNYYYSTSFSGRGPQRRHRRHASEILGSFSFMTRSSTSTGGGYGRGGHDDYDDGVMKKSRSVAAVVSKTNHHRFGDQKASSGGGKQKKKKRGFWSKLLRTTGSKTKEVLKHSKSSLG >ONH99105 pep chromosome:Prunus_persica_NCBIv2:G6:867750:871173:1 gene:PRUPE_6G012000 transcript:ONH99105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSHLSAIPQRLSKSSLCHFNTKSVGFHVRSNLENDSCSPGDSDHSSKPSKESQASISRRQCLTCLCSTVALISNSAISTSVPKAFALDGKERPAGCRNCGGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGVPNNKGLLRRPDAKQLLDKMYNGRLLPNS >ONH99106 pep chromosome:Prunus_persica_NCBIv2:G6:868299:871173:1 gene:PRUPE_6G012000 transcript:ONH99106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHMTVAKTRFMSLINDWWKGDGSNYHLYVNNLAVNTKSVGFHVRSNLENDSCSPGDSDHSSKPSKESQASISRRQCLTCLCSTVALISNSAISTSVPKAFALDGKERPAGCRNCGGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGVPNNKGLLRRPDAKQLLDKMYNGRLLPNS >ONI04141 pep chromosome:Prunus_persica_NCBIv2:G6:27562146:27563639:1 gene:PRUPE_6G305100 transcript:ONI04141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKIIMFCRHYVPPILLLPYQTFQFLYPKLSFQVSRASNHHFHNLKADEIQTHVPIETKLSSSFITDSEIQSEFAHHAPGVARMNNGSFGCCPASIISDLHQWQLKLLRQPDHFYLNELQNRILESRTVVKDLINAEDVDEVSIVDNVSTAVAIVLQQTAWAFAERKFNQGDALIMFHCAYGAVKNSIKAYFLRAGGYVIEVPFKFPLNSNEEIISEFRKALEREKGNGRRVRLAVIDHVTCMPSVIMPVKHLVKICREEGVEQVLIDAAHGVGCIDVDMQEIGADFYTSNLYKWFFCPPAVAFLYCRKSVTNSDLQLHHPVVSHEYGNGLAKETGWVGTRDYSPYLVLPSVMEFVNRFEGGVEGIRKMNHDAVVEMGKMLAEAWGTNLGCPPDMCASMIMVGLPACLGISSDDDAIKLWARLCKKFGVEVKIHYQAPKDAEVAPTTGYVRICHQIYNKVDDYNKLRDAINQLVRDGFTCALEVYICSAFIHFIGL >ONI00049 pep chromosome:Prunus_persica_NCBIv2:G6:4501910:4504256:1 gene:PRUPE_6G065000 transcript:ONI00049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLANRAVTDRTIKLWRLTSSFLRNFSTASESPSAAAASPSSSASKKPKRKKKKNLFEVAQFLPNWGLGYQMAKTHWVGVSYQITKINLYKDGRHGKAWGIVHKEGLPAADAPKKISGVHKRGWRYIPNSKSPESVPSVTKPTESAPAAEVQAA >ONI02057 pep chromosome:Prunus_persica_NCBIv2:G6:17928142:17935730:1 gene:PRUPE_6G174800 transcript:ONI02057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGAKRKASQKEAENHKESSKAANSSKATRTRTTKRVKASEPQSEPQYLEDPRNLEDLWKAAFPVGTEWDQLDSVYQFKWDFSNLEQAFEEGGKLYDLGKNKVYLFGCTEPQLVSVNGENKVVCIPVVVAVVSPFPPSDKIGINSVQREAEEIIPMKQMKMDWIPYIPLENRDSQVDRLKSQIFILGCTQRRAALKHMKIDRLKKFEYCLPYFYQPFKEDELEQSTEVPIMFPGEPKPVYCEFDWELDELEEFTDKLIEEEELSADQKDAFKEFVKEKVREQKKNNREAREARRKAIEEMSEEAKAAFENMRFYKFYPVQTPDTPDISNVKAPFINRYYGKAHEVL >ONI02073 pep chromosome:Prunus_persica_NCBIv2:G6:18046211:18051664:-1 gene:PRUPE_6G176000 transcript:ONI02073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGDTLEESLHVELRELIKFTKVLLKKE >ONI04412 pep chromosome:Prunus_persica_NCBIv2:G6:28363582:28367558:-1 gene:PRUPE_6G320400 transcript:ONI04412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKADTTTHHHHLNLSEPDISDHKPSKPKRVASLDIFRGLTVSLMILVDDAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIALSLKRIPDQLLAVKRVILRTLKLLFWGVLLQGGFLHDPDKLTYGVDMKEIRWCGILQRIALAYLVVALIEIFSRGAQTKNMAPSRFSIFKLYYWHWLAAACVLTIYFAVIYGTYVPDWQFTVLYRESIDYGKSFTVACGVRGKLDPPCNAVGYIDREVLGINHMYPRPAWKRSKACTENSPYAGPFRHDAPSWCHAPFEPEGIVSSISAILSTIIGVHFGHVLIQMKGHPARLKHWIPVGCALLVLGIILHFTHAIPSNKQLYTFSYVCITSGAAALVYSAFYILVDIWGIKYLFLPLEWIGMNAMLVYVMAAEGIFAGFINGWYYEDPHNTLIYWIQKHIFVGVWHSRRVGILLYVLFAEILFWGIVAGILHRLGIYWKL >ONI04060 pep chromosome:Prunus_persica_NCBIv2:G6:27259342:27261470:-1 gene:PRUPE_6G299800 transcript:ONI04060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLDALIERLLEARINRGKRIQLIESEIRQLCITAKEVFLRQPNLLELEAPINVCGDIHGQYPDLLRLFEYGGFPPDANYVFLGDYVDRGKQSIETICLLLAYKVKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKIFTDSFNCLPVAAVIDDKIFCMHGGLSPELNNLEQLRAIERPIDVPDQGLLCDLLWSDPDRDIKGWGENDRGVSYTFGADKVAEFLMKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQIVKPWRGKAPQLE >ONI04059 pep chromosome:Prunus_persica_NCBIv2:G6:27259690:27261647:-1 gene:PRUPE_6G299800 transcript:ONI04059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLDALIERLLEARINRGKRIQLIESEIRQLCITAKEVFLRQPNLLELEAPINVCGDIHGQYPDLLRLFEYGGFPPDANYVFLGDYVDRGKQSIETICLLLAYKVKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKIFTDSFNCLPVAAVIDDKIFCMHGGLSPELNNLEQLRAIERPIDVPDQGLLCDLLWSDPDRDIKGWGENDRGVSYTFGADKVAEFLMKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQIVKPWRGKAPQLE >ONI00230 pep chromosome:Prunus_persica_NCBIv2:G6:5272438:5274972:1 gene:PRUPE_6G076900 transcript:ONI00230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKSSATAPPKLLLLFLLVSACHVMGAAAYSIGVNYGTIADNLPPPSQVATFLKTKTTIDRVKIFDANPDMLRAFADTKIAVTVTVGNGDVPALAKLSAAQAWVSANILPFHPRTIINRIAVGNEILATSDKDLIAHLLPAMKSLHEALQLANISTVQVGTPHSLGILAPNTGPPSQGRFRTGYDRVVFTPMLEFHRQTKSPFLINPYPFFGFVPETLNYALFKPNAGVFDNATGMNYTNMFDAQMDAVFSAIKKVGYEDVDLVVAETGWPSAGDPTQPDVNVDNAASYNGNLVRHVSSGKGTPLMPNRTFETYIFALFNENLKPTTSERNYGLFKPDLNPVYDVGILRNQQAAGPTSGVASGPSSGGAAGPTSGGASGPTSGGARAPSSSEKRWCVPRSNVSDAALQANIDYVCSSGVDCKAIQKGGPCFQPNTVRSHAAYVMNAYYQSVGPQDYNCDFKHTGFITTTDPSYDACTYPYKSEKLEKSVEGGSMKMKSSRVAEIFMMVVASCMIF >ONI04201 pep chromosome:Prunus_persica_NCBIv2:G6:27759465:27762119:-1 gene:PRUPE_6G308400 transcript:ONI04201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVLQGLGWAQSVGLILTLSGAVLLIILSASVDGHLGPTRPVHIFNFGDSNSDTGGLVAGLGYFVNPPNGRTFFRRSTGRLSDGRLVIDLLCQSLNVSLLSPYLDSLAGSRFSNGANFAIVGSSTLPKRVPFSLNIQVMQFIHFKATAGSKHLINDDGFQKALYMIDIGQNDLADSFTKNLSYAQVTKRIPSVIAEIKSAVKALYDQGGRNFWIHNTGPLGCLPQKLSLVENKDLDPYGCLSSYNAAARLFNEALRHLCNGMRSELKDAVIVYVDIYAIKYDLIANSTKYGFSRPLMACCGNGGPPYNYNVGLTCGHPGSQVCDEGSLFVNWDGIHYTEAANTIVASKILSMNFSTPRIPFDFFCCS >ONI04200 pep chromosome:Prunus_persica_NCBIv2:G6:27759465:27762842:-1 gene:PRUPE_6G308400 transcript:ONI04200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKDMKGSGITKGEDEEEDAESLLENGRIQRKDKGVVGEGTFGRSPRPFNLALHHQQIPDKNAAAANHGRIAPDDEKKGLGWAQSVGLILTLSGAVLLIILSASVDGHLGPTRPVHIFNFGDSNSDTGGLVAGLGYFVNPPNGRTFFRRSTGRLSDGRLVIDLLCQSLNVSLLSPYLDSLAGSRFSNGANFAIVGSSTLPKRVPFSLNIQVMQFIHFKATAGSKHLINDDGFQKALYMIDIGQNDLADSFTKNLSYAQVTKRIPSVIAEIKSAVKALYDQGGRNFWIHNTGPLGCLPQKLSLVENKDLDPYGCLSSYNAAARLFNEALRHLCNGMRSELKDAVIVYVDIYAIKYDLIANSTKYGFSRPLMACCGNGGPPYNYNVGLTCGHPGSQVCDEGSLFVNWDGIHYTEAANTIVASKILSMNFSTPRIPFDFFCCS >ONI02228 pep chromosome:Prunus_persica_NCBIv2:G6:19416037:19416540:1 gene:PRUPE_6G185400 transcript:ONI02228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGSYYVQAPPPAGYPTRDALNPASAHHGPVETKSKGDGFWKGCCAALCCCCLLDACF >ONI02778 pep chromosome:Prunus_persica_NCBIv2:G6:22689575:22690328:1 gene:PRUPE_6G221600 transcript:ONI02778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNPRVTSSRRKNRKAHFTAPSSVRRVLMSAPLSSDLRAKHNARSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRIDKDRKSLLDRKAKGRAAADKDKGTKFTAEDIMQNVD >ONH99352 pep chromosome:Prunus_persica_NCBIv2:G6:2064771:2065342:1 gene:PRUPE_6G026000 transcript:ONH99352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNSQHADDVFYNASIIWGVIGPLRMFTDKGVYPELNWFFLIRLLAPVPVWLLSKQFPNQRWIELINMPIILGATESTKGWWARHNYILSASLDAGVAFTAVLLYFTLQSKDIMGPNWWGQEADDHCPLATCPTAPGIVAKGCPVL >ONH99018 pep chromosome:Prunus_persica_NCBIv2:G6:492389:496304:-1 gene:PRUPE_6G005300 transcript:ONH99018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKISSIPSLQIPSKFDKAQSKKLTSFCFNSIPSSSFSLVQRNISTFKIFTTTSSSVSTEPANPPELEVENRTQQEKFDWYAQWYPLMPVCDLDKRVPHAKKVLGIDVVVWWDRNESAWKVFDDSCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPADGPPIHTSKKACVAAYPSTVQNGIVWFWPNSDPQYKDVLAEKKPPYIPELDDPSYSGLMGNREIPYGYEVLIENLMDPAHVPYAHYGIMRTNQPKEKADREGGRPLELSVQKLDINGFIAKQEWGRSKFLPPCVFYASPLDPVDQGNGAASSAGTKKVSSAQRRALLIFICIPVSPGNSRLIWTFPRNFGVWIDKIVPRWMFHVGQNLILDSDLYLLHVEVLVPCGELQQLQCSTQRSEGAGSCVAGRLFFFSWNCCCNQAGCVISGCKNYASCNGYTILCSFKMVGSLYLQTVSLPRLQPCSSLRFKFTHCSDIMKTLNTQWCNVTMIYMSRFHNYDIH >ONH99019 pep chromosome:Prunus_persica_NCBIv2:G6:492389:496303:-1 gene:PRUPE_6G005300 transcript:ONH99019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKISSIPSLQIPSKFDKAQSKKLTSFCFNSIPSSSFSLVQRNISTFKIFTTTSSSVSTEPANPPELEVENRTQQEKFDWYAQWYPLMPVCDLDKRVPHAKKVLGIDVVVWWDRNESAWKVFDDSCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPADGPPIHTSKKACVAAYPSTVQNGIVWFWPNSDPQYKDVLAEKKPPYIPELDDPSYSGLMGNREIPYGYEVLIENLMDPAHVPYAHYGIMRTNQPKEKADREGGRPLELSVQKLDINGFIAKQEWGRSKFLPPCVFYASPLDPVDQGNGAASSAGTKKVSSAQRRALLIFICIPVSPGNSRLIWTFPRNFGVWIDKIVPRWMFHVGQNLILDSDLYLLHVEERKIMDAGPNQWQKACFVPTKSDALVVGFRKWLIKYAGGQVDWRGKFSGALPPTPPREQLLDRYWSHVVSCSSCSAAHKGLRVLEVVLQVVSFSLVGIVAATKQAALSVAARTTLVAMAILSFAASKWLAHFIYKQFHFHDYNHALR >ONI02173 pep chromosome:Prunus_persica_NCBIv2:G6:18808451:18810768:1 gene:PRUPE_6G181200 transcript:ONI02173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRFRRPTRETSPDRAKACSMQMQMQPRLNNKPIKKHQVVYYLSRNGHLEHPHYMEITHLANRPLRLRDAMERLTALRGKGMPSLYSWSCKRSYKNGYVWNDLAENDTIYPAEGAEYVLKGSELLEGCSAERLDQLQISSSQQIQDTNFESKRRSPLAPTRNRREHDHQQAENKYLITKYPHHDHDPDQDYDEQEEEEDYEDEKTSYTSSTTPHSRCSRGVSTTDELEEPPQTRKTPTESTHPEPSPPPNPSIILSNQPNQTTSLSERFEDGEPVAVSTSKSSAQSRNSVLLQLIACGSSAVAKAKNAPCVKQHTVYNSPFERKSSESFRKEVVYKAAVKVAAEEDMINYMSENPRFGNLQAEEKEYFSGSIVESIKEDRISAERALKRSNSYNEERSTKAGLAAETVEEEKREKAGVKGKCIPRKLSSAVSKQTRK >ONI04635 pep chromosome:Prunus_persica_NCBIv2:G6:28906122:28906866:1 gene:PRUPE_6G331600 transcript:ONI04635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSSLSFTPSFVKLANPKLLPSIPSKPFLPFKLQSPSDLKLHTATSHLNCFPSTKTSPLFTCRIQANQSSSSEQTAPTEQAPQVLHVYEINELDRKRIGITAGISTLIQNKPEKNDYGHISAQGAYLTYEDSYLAVTGGSGIFEGVYGQVKLHQLVYHPFKILYTFYLKGIKDLPEQLLGKHVEPTPDVVPTAAAQAISNFID >ONI02690 pep chromosome:Prunus_persica_NCBIv2:G6:22293879:22296163:-1 gene:PRUPE_6G215300 transcript:ONI02690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRAVGSTRRNGNFPFAGAFNSKSKSSPLLSLGLVVVGAILIVGYVYKGLGVFGGGANVVSRVEGDFSCTLEVQRAIPFLKKAYSGSMHKVLHIGPDTCSVVSALLKDDEIEAWGVEPYEIEDADRNCRSLVRKGIVRVADIKFPLPYKAKSFSLVIVSDALDYLSPKYLNRTLPELARVSSDGLVIFSGQNAELLLVDTLLCSDQLRRK >ONI02689 pep chromosome:Prunus_persica_NCBIv2:G6:22293816:22296815:-1 gene:PRUPE_6G215300 transcript:ONI02689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRAVGSTRRNGNFPFAGAFNSKSKSSPLLSLGLVVVGAILIVGYVYKGLGVFGGGANVVSRVEGDFSCTLEVQRAIPFLKKAYSGSMHKVLHIGPDTCSVVSALLKDDEIEAWGVEPYEIEDADRNCRSLVRKGIVRVADIKFPLPYKAKSFSLVIVSDALDYLSPKYLNRTLPELARVSSDGLVIFSGFPGQQRAKVSELSKFGRPAKMRSSSWWIRYFVQTSLEENEVAIKKFEQVTTKESYQPSCQVFHLNSYH >ONI00917 pep chromosome:Prunus_persica_NCBIv2:G6:8013215:8013791:1 gene:PRUPE_6G111600 transcript:ONI00917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGLEAFVVEMELGINNGILGEPPTPSLLLYYGDNHSAVVQLHQREDVEKLIAEHKVDHKLIVLDVGLRHCGPCVKVYPTVVKLSRKMSDTVFLKDMEVVEVPTFLFIRDGEICERYVGSGKGELIGEILRYQGVRVTY >ONH99859 pep chromosome:Prunus_persica_NCBIv2:G6:3786673:3789983:-1 gene:PRUPE_6G054000 transcript:ONH99859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIGSLDSCKPSNNNVVCPPQNGTASVHDSHPPSTIASSDATLGRHLARRLVEIGVQDVFSVPGDFNLTLLDHLIAEPGLTNIGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNTNDYGTNRILHHTIGLADFSQELRCFQTVTCFQAVVNNLEDAHEQIDTAISTALKESKPVYISISCNMPGIPHPTFSREPIKFAISPRMTNKMGLEVAVEAAAAFLNKAVKPVMVGGPKLRVAKAHEAFVEFADASGYAVSVMPSAKGHFPETHPHYMGTYWGAVGTAYCGEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVMGNGPSFGCVLMKDFLQALAKRVNKNTTAYENYHRIFVPEGQPVKCQPNEPLRVNIMFQHIQKLLSADTAVIAETGDSWFNCQKLKLPEGCGWCCRYEFQMQYGSIGWSVGATLGYAQSVPSKRVIACIGDGSFQVTAQDVSTMIRCEQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGNCWTTKVRTEEELTEAIATATGEKKDCLCFIEVIVHKDDTSKELLEWGSRVSSANSRLPNPQ >ONH99858 pep chromosome:Prunus_persica_NCBIv2:G6:3786348:3790164:-1 gene:PRUPE_6G054000 transcript:ONH99858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIGSLDSCKPSNNNVVCPPQNGTASVHDSHPPSTIASSDATLGRHLARRLVEIGVQDVFSVPGDFNLTLLDHLIAEPGLTNIGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNTNDYGTNRILHHTIGLADFSQELRCFQTVTCFQAVVNNLEDAHEQIDTAISTALKESKPVYISISCNMPGIPHPTFSREPIKFAISPRMTNKMGLEVAVEAAAAFLNKAVKPVMVGGPKLRVAKAHEAFVEFADASGYAVSVMPSAKGHFPETHPHYMGTYWGAVGTAYCGEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVMGNGPSFGCVLMKDFLQALAKRVNKNTTAYENYHRIFVPEGQPVKCQPNEPLRVNIMFQHIQKLLSADTAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQSVPSKRVIACIGDGSFQVTAQDVSTMIRCEQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGNCWTTKVRTEEELTEAIATATGEKKDCLCFIEVIVHKDDTSKELLEWGSRVSSANSRLPNPQ >ONH99100 pep chromosome:Prunus_persica_NCBIv2:G6:848361:849047:-1 gene:PRUPE_6G011700 transcript:ONH99100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHVIINTVYDHYLKPLLIAITTKILQLVKSLIISPLDHDDYDPNLDDPEAALQVAEAAAAYSYRDRRRRRNNKNKLDWAKIIVVFCFTAAIGLALLPLQLHDSHELPLNFYFLGLTVLLAFTCILVSKFVHFNYCPAGISISYLFHNLGLFFGFTAFLISITIPFPLWFKCTAYSIYVAACFFIILCNLRFNKYYKPHNLKHPNPQNFENNVVVNDPAVLESSSSSS >ONI03361 pep chromosome:Prunus_persica_NCBIv2:G6:24692871:24695585:1 gene:PRUPE_6G253100 transcript:ONI03361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAHAQWLMILTATSLLFLIVLLTVTLTGHGKYSSGASDFYYEQRQFTTLDRNFGDTAENNDGDSNRLGLPKLPRFAYLISGSKGDGPQLRRLLQALYHPRNYYLLHLDLEASDAERLELAKFVKSEALIREFRNAMVIGNADLVTAKGPTMIASTLHAIAILLKRAKDWDWFINLSASDYPLMSQDELLHIFSFLPRELNFLEHTSNIGWKENQRARPIIVDPGLYHSKKSGVFWAKERRSMPASFKLFMGSTWVLLTKSFLEFCVLGWDNLPRTLLMYYTNFLSSPEGYFHTLACNHKDYQNTTVNHNLHYIRWDSPPKANPINLTLEHYNDMVQSGAPFAGSFARDDPVLDIIDKELLKRPRGQFTPGGWCLGKLGKDPCLACGNPNAVKPTVVSKMLEKLIVKLLDSENFRPKQCK >ONI03360 pep chromosome:Prunus_persica_NCBIv2:G6:24692871:24695521:1 gene:PRUPE_6G253100 transcript:ONI03360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAHAQWLMILTATSLLFLIVLLTVTLTGHGKYSSGASDFYYEQRQFTTLDRNFGDTAENNDGDSNRLGLPKLPRFAYLISGSKGDGPQLRRLLQALYHPRNYYLLHLDLEASDAERLELAKFVKSEALIREFRNAMVIGNADLVTAKGPTMIASTLHAIAILLKRAKDWDWFINLSASDYPLMSQDGNFTNFINLVIFFNYRLIKVSKLLLLELLHIFSFLPRELNFLEHTSNIGWKENQRARPIIVDPGLYHSKKSGVFWAKERRSMPASFKLFMGSTWVLLTKSFLEFCVLGWDNLPRTLLMYYTNFLSSPEGYFHTLACNHKDYQNTTVNHNLHYIRWDSPPKANPINLTLEHYNDMVQSGAPFAGSFARDDPVLDIIDKELLKRPRGQFTPGGWCLGKLGKDPCLACGNPNAVKPTVVSKMLEKLIVKLLDSENFRPKQCK >ONI02754 pep chromosome:Prunus_persica_NCBIv2:G6:22595847:22599071:-1 gene:PRUPE_6G220000 transcript:ONI02754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMKKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSVSKSELHDLLSKSSLSGIPLLVLGNKIDKPGALSKQALTDEMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >ONH99129 pep chromosome:Prunus_persica_NCBIv2:G6:914109:918424:1 gene:PRUPE_6G013000 transcript:ONH99129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKTPPLHFIIFNESTDTSAKRNQIKEITPRKNEAKRFDIFFSEPKMSSSSSLKTVRQLQVASPVPADIDIANSVEPFHISEIAKDLNLSPKHYDLYGKYKAKVLLSVIDELKGSDDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFQDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEATQSDKALMNRLCPPNKEGKRSFNDIMFRRLTKLGISKTSPEDLTPEEVKKFARLDIDPDSITWRRVMDINDRFLRKITIGQGPDEKGMVRETAFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKAGDPVTADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGADIGTEKFMNIKCRYSGLTPQCAIIVATIRALKMHGGGPDVVAGKPLNNAYVTENVALVEAGCINLAKHISNTKAYGVNVVVAVNKFSTDSEAELNAVRNSALAAGAYDAVICTHHAHGGKGAVDLGIAVQRACENVTQPLKFLYPLDFSIKEKIEAIARSYGASGVEYSEQVSSSVVRSLKFNIWS >ONH99128 pep chromosome:Prunus_persica_NCBIv2:G6:914109:918998:1 gene:PRUPE_6G013000 transcript:ONH99128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKTPPLHFIIFNESTDTSAKRNQIKEITPRKNEAKRFDIFFSEPKMSSSSSLKTVRQLQVASPVPADIDIANSVEPFHISEIAKDLNLSPKHYDLYGKYKAKVLLSVIDELKGSDDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFQDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEATQSDKALMNRLCPPNKEGKRSFNDIMFRRLTKLGISKTSPEDLTPEEVKKFARLDIDPDSITWRRVMDINDRFLRKITIGQGPDEKGMVRETAFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKAGDPVTADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGADIGTEKFMNIKCRYSGLTPQCAIIVATIRALKMHGGGPDVVAGKPLNNAYVTENVALVEAGCINLAKHISNTKAYGVNVVVAVNKFSTDSEAELNAVRNSALAAGAYDAVICTHHAHGGKGAVDLGIAVQRACENVTQPLKFLYPLDFSIKEKIEAIARSYGASGVEYSEQAEKQIEMYSKQGFSGLPICMAKTQYSFSHNASEKGAPTGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTTTGRVIGLS >ONH99130 pep chromosome:Prunus_persica_NCBIv2:G6:916025:918778:1 gene:PRUPE_6G013000 transcript:ONH99130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLKTKQVLPKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEATQSDKALMNRLCPPNKEGKRSFNDIMFRRLTKLGISKTSPEDLTPEEVKKFARLDIDPDSITWRRVMDINDRFLRKITIGQGPDEKGMVRETAFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKAGDPVTADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGADIGTEKFMNIKCRYSGLTPQCAIIVATIRALKMHGGGPDVVAGKPLNNAYVTENVALVEAGCINLAKHISNTKAYGVNVVVAVNKFSTDSEAELNAVRNSALAAGAYDAVICTHHAHGGKGAVDLGIAVQRACENVTQPLKFLYPLDFSIKEKIEAIARSYGASGVEYSEQAEKQIEMYSKQGFSGLPICMAKTQYSFSHNASEKGAPTGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTTTGRVIGLS >ONI04156 pep chromosome:Prunus_persica_NCBIv2:G6:27603895:27606575:-1 gene:PRUPE_6G305900 transcript:ONI04156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLTEIEHGLSGPSPSPDSGTQTTTTRARVGASVSSSTSIWRFAFFLSSQLNVPWL >ONI03071 pep chromosome:Prunus_persica_NCBIv2:G6:23725754:23726819:1 gene:PRUPE_6G236500 transcript:ONI03071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKEVEEERRLYQCARNYEKIVKQFDELIEMMNTRSRLPKRRKNSTTAAPAPASQHEDNEVFAQTITRFLQDLRTNPAEPDCSTAPGDGN >ONI01064 pep chromosome:Prunus_persica_NCBIv2:G6:8828285:8835159:-1 gene:PRUPE_6G119500 transcript:ONI01064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDPKTRPRPGPWPPAPDSSAMPPSSWAKKTGFRPKFSGETNASDSGQISLPPPRPREPEAQPDLEAGRARPQPAPNGVPEREVAAPAPAAPSDKDQTVKRRKDSNGGSKVAANGPSGPNGQAAAGPTEPNSQPRRPARIEEVVDVLPHGVDDDGNVARHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSIILIPLVIVPAMGGTYEDTGNVVSTVLFVSGVTTLLQTSFGSRLPLIQGPSFVYLAPALAIINSPEFRGLNGNNFKHIMKELQGAIIIGSAFQAILGYSGLMSIFLRLINPVVVSPTIAAVGLSFYSYGFPLVGTCLEIGAVQILLVVIFSLYLRKVSIFGHRVFLIYAVPLGLAITWSAAFLLTEAGAYSYKGCDINVPASNIISEHCRKHVSRMKHCRVDTSHALRSSPWFRFPYPLQWGTPVFHWKMAFIMCVVSIISSVDSVGSYHASSLLVASRPPTPGVLSRGIGLEGLSSVLAGLWGTGTGSTSLTENVHTLAVTKMGSRRAVELGACVLIVLSLVVYNNAHGVGKE >ONI01063 pep chromosome:Prunus_persica_NCBIv2:G6:8826387:8834805:-1 gene:PRUPE_6G119500 transcript:ONI01063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDPKTRPRPGPWPPAPDSSAMPPSSWAKKTGFRPKFSGETNASDSGQISLPPPRPREPEAQPDLEAGRARPQPAPNGVPEREVAAPAPAAPSDKDQTVKRRKDSNGGSKVAANGPSGPNGQAAAGPTEPNSQPRRPARIEEVVDVLPHGVDDDGNVARHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSIILIPLVIVPAMGGTYEDTGNVVSTVLFVSGVTTLLQTSFGSRLPLIQGPSFVYLAPALAIINSPEFRGLNGNNFKHIMKELQGAIIIGSAFQAILGYSGLMSIFLRLINPVVVSPTIAAVGLSFYSYGFPLVGTCLEIGAVQILLVVIFSLYLRKVSIFGHRVFLIYAVPLGLAITWSAAFLLTEAGAYSYKGCDINVPASNIISEHCRKHVSRMKHCRVDTSHALRSSPWFRFPYPLQWGTPVFHWKMAFIMCVVSIISSVDSVGSYHASSLLVASRPPTPGVLSRGIGLEGLSSVLAGLWGTGTGSTSLTENVHTLAVTKMGSRRAVELGACVLIVLSLVGKVGGFLASIPQVMVAALLCFMWAMLAALGLSNLRYSEAGSSRNIIIVGLSLFFSLSIPAYFQQYGISPNSNLSVPSYFQPYIVASHGPFRSKYGGVNYVLNTLLSLHMVVAFLVAVILDNTVPGSRQERGVYVWSEPEVAKREPAVAKDYGLPFRIGRVFRWVKWVGL >ONI01062 pep chromosome:Prunus_persica_NCBIv2:G6:8825711:8835159:-1 gene:PRUPE_6G119500 transcript:ONI01062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSDPKTRPRPGPWPPAPDSSAMPPSSWAKKTGFRPKFSGETNASDSGQISLPPPRPREPEAQPDLEAGRARPQPAPNGVPEREVAAPAPAAPSDKDQTVKRRKDSNGGSKVAANGPSGPNGQAAAGPTEPNSQPRRPARIEEVVDVLPHGVDDDGNVARHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSIILIPLVIVPAMGGTYEDTGNVVSTVLFVSGVTTLLQTSFGSRLPLIQGPSFVYLAPALAIINSPEFRGLNGNNFKHIMKELQGAIIIGSAFQAILGYSGLMSIFLRLINPVVVSPTIAAVGLSFYSYGFPLVGTCLEIGAVQILLVVIFSLVPLGLAITWSAAFLLTEAGAYSYKGCDINVPASNIISEHCRKHVSRMKHCRVDTSHALRSSPWFRFPYPLQWGTPVFHWKMAFIMCVVSIISSVDSVGSYHASSLLVASRPPTPGVLSRGIGLEGLSSVLAGLWGTGTGSTSLTENVHTLAVTKMGSRRAVELGACVLIVLSLVGKVGGFLASIPQVMVAALLCFMWAMLAALGLSNLRYSEAGSSRNIIIVGLSLFFSLSIPAYFQQYGISPNSNLSVPSYFQPYIVASHGPFRSKYGGVNYVLNTLLSLHMVVAFLVAVILDNTVPGSRQERGVYVWSEPEVAKREPAVAKDYGLPFRIGRVFRWVKWVGL >ONI03650 pep chromosome:Prunus_persica_NCBIv2:G6:25760218:25763028:-1 gene:PRUPE_6G272000 transcript:ONI03650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFDGVKRVVDLCAAPGSWSQVLSRKLYLPAKSSSDSKDGDVPLIVAIDLQPMAPIEGVIQVQGDITNARTAEIVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHVLKEGGKFIAKIFRGKDTSLLYCQLKLFFPLVTFAKPKSSRNSSIEAFAVCENYSPPDGFNPKDLHRLLEKVGSPSGADDLDCSSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPKDAQGTYRSLDPIQPPIAPPYKRALEMKKASSQGITELEKPSLES >ONI05188 pep chromosome:Prunus_persica_NCBIv2:G6:30440885:30443736:1 gene:PRUPE_6G360800 transcript:ONI05188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTCLNTKYISSSSVLKPTYVTNTNNHFKFQRFQHYGWYQSRAVGDLPNESLPDTLHAKSVKNGSLDNLDVRNYVTSLYVKSNKLDYAHKLFGESPDRDVRSWTILISGFARIGYCRMVLELFKQMRIERVCPNQFTLSSVLKSCSSLSDFRMGKGIHGWILSNGIDLDVVLDNSILDVYVKCGAFDYAEKFFETMKERDTVTWNVMMGAYMYIGDMEKALDLFRRLPFKDVTSWNTIIYGLMRNGHETYALELLSEMVEIGPPFDKVTFSVALVLASSLYVLELGRQIHGRVLRFGIQNDGFLRTSLIDMYSKCGKMEKASLIFKTLPLRTNSKFTCHETKTEVVSWSSMVSGYVRNGEYEYALLTFCSMVREQIMVDRFSLTSIVSACANVGILLLGQHIHAHIQKIGYKTDVHLGSSYIDMYAKCGSLNDAWVIFKQTVDLNVVLWTSMISALALHGQGKEAVRLFEFMIQEGNKPNEVSFVVVLNACSHAGLLEEGCKYFSLMKEVYGIKPGTEHFTCMVDLYGRAGRLDEIKEFIHKNGISQLSSVWKSFLSSCRLHKNFELGKWVSERLLQLEPFDEGSYVLLSNMCAANHRWEEAAGMRRLMQKRGINKVAGQSWIQQKNQVHSFVMGDRSHPHSREIYSYLDELIGRLKEIGYSLDVEMVMQDVEEEQGEVLLGYHSEKLAIAYGIMSTTSGIPIRIMKNLRVCTDCHNFIKYTSQLLDREIIVRDLHRFHHFKHGLCSCGDYW >ONI03268 pep chromosome:Prunus_persica_NCBIv2:G6:24391190:24391595:1 gene:PRUPE_6G247600 transcript:ONI03268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASFLSEHKRTMHDKAPAVWMNPALPNCCGFEERSINVLFLLLGQMLGCCKLFELKYFRKHAKNHIGLIILLFATFEY >ONI02903 pep chromosome:Prunus_persica_NCBIv2:G6:23202754:23204205:1 gene:PRUPE_6G228000 transcript:ONI02903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWPNKIKVGLVVGSVIGGLSLICILIVGILFFLKYRKAKRVETSEWSPMPAFGGGSTHSWLTEGTITGSPMNYLNLGLKISFAELQQATNNFDTKLLTGEGGFGNVYRGTLLNDRNVAVKRGKRDEQGSGQGLPEFQTEITVLSKIRHRHLVSFIGYCDERSEMILVYEFMEKGSLRDHLYDSNLPRLSWKQRLEICIGAARGLHYLHKGAAGGIIHRDIKSTNILLDENHVAKVADFGLSRSGPLDETHVSTNVKGTFGYLDPEYIMSQQLTEKSDVYSFGVVLLEVLCARPAIDRMLPRDQMNLAEWGMLCKKKGLLEQIVDSSIKNQIDPSSLRKFSETAEKCLQEDANDRPTMGDVLWDLEYAFQLQQTVKHREPHEDSTANASSAFVLPNIPCFPSVSSTINTDDLALPRDDELDTTEVEVFSQLRVGDAR >ONI01707 pep chromosome:Prunus_persica_NCBIv2:G6:13551832:13553764:-1 gene:PRUPE_6G155200 transcript:ONI01707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASSTQGTLTLVVPKGKRFLLNAVAFEGPCRAGKVNFQIQGSIVAPNNIGAWTNKEMWIHFSDVQGLTVNGGGRIDGNGAVWWKACGSKGCQRPTALHFNKCHGFQLSRLAIFNSPKNHISICSCNGPRVYGLLIWAPKDSPNTDGIDISRSTRVTIQSSHIATGDDCIAINSGSSYIKIRDIRCGPGHGISIGSLGEHGEYSQVEEVQVSNCSFKGTTNGVRIKTWEGGSGYARKITFEGITFEDTKNPIIIDQHYFDKRPTSAMDISKSVQVSDVTYRNIKGTCTDEKAITLACAGGGCTNIVMNNVSIKSDLPNKRSYAYCDNAHGTSSFSLPSVPCLSH >ONI03123 pep chromosome:Prunus_persica_NCBIv2:G6:23926580:23928705:1 gene:PRUPE_6G240400 transcript:ONI03123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAKPISSPGRTDKFPPPLVRFLRTNVGSRSRGRLRSSPMFVRKKNTAIETQEPSSPKVTCMGQVRVRRSSNQGGSKPLRARRTGAPTLRRCKWIQNAMLCRHFPGKIKPKSLGPVWRKWVPFFQVGFCRKGQIKEDAPKIESKFGDGIEVSDGEEEEEDQEYERKAKAFVSSSSSSPPRNALLLTRCRSAPYRSSSLASRFWGSPIRAEETEEEQSTETQNGGNCTENERPISERESILDQEAKLDSESEEKLKIFMELESSIRERMAKSASIEDTDEDLGGSARPLILTRCKSEPARTAEKLDPELSFWRKRRLGIVDSCSPSIL >ONH99663 pep chromosome:Prunus_persica_NCBIv2:G6:3098659:3100528:1 gene:PRUPE_6G042000 transcript:ONH99663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQALSLAPLALSLVLFNLHLHGAFADYGGWEGAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCNNDPRWCRPGSIIVTATNFCPPNFAQSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVTFRRVPCMKKGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSRTGWQPMSRNWGQNWQSNTYLNGQSLSFQVTTSDGRTVTSYNVAPGNWQFGQTFSGGQF >ONI00724 pep chromosome:Prunus_persica_NCBIv2:G6:7176783:7180679:-1 gene:PRUPE_6G102900 transcript:ONI00724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVSADVTKLTKRENISAMASSMPTDDNFNIESFLPDELKALDFPMEDIEECVEDEDWYAQFQNLEPPSFEDLFCPRVLDGNDDMKPKNLSTSYGQTSQRNQLPRTAAKTTRGISSIHSEDLESIGSCAAQFEPKFEKRTRSKLSRSKRSSPAIFNTQFFPSTSSNSSASENLYHWDASESDLEGSLTEEMSNAAKRKQKKKRNLSQLSSAEMRKLSTEEPGESRETKRCMHCAVTKTPQWREGPLGPKTLCNACGVRYRSGRLFPEYRPAASPTFVASVHSNSHKKVIELRNKGCQGATMGILSSAQ >ONI00725 pep chromosome:Prunus_persica_NCBIv2:G6:7177145:7179433:-1 gene:PRUPE_6G102900 transcript:ONI00725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMPTDDNFNIESFLPDELKALDFPMEDIEECVEDEDWYAQFQNLEPPSFEDLFCPRVLDGNDDMKPKNLSTSYGQTSQRNQLPRTAAKTTRGISSIHSEDLESIGSCAAQFEPKFEKRTRSKLSRSKRSSPAIFNTQFFPSTSSNSSASENLYHWDASESDLEGSLTEEMSNAAKRKQKKKRNLSQLSSAEMRKLSTEEPGESRETKRCMHCAVTKTPQWREGPLGPKTLCNACGVRYRSGRLFPEYRPAASPTFVASVHSNSHKKVIELRNKGCQGATMGILSSAQ >ONI02031 pep chromosome:Prunus_persica_NCBIv2:G6:17860640:17861710:1 gene:PRUPE_6G173800 transcript:ONI02031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANILSLSYVRVLFCCFCFFIVVHPLFSLNDNPTILFCCFCFFMVVHLVFPQSQPHCHIHAFVLPLAIECSTDFSSLVLVSLKTNVCEMASWFWECGIFLDPSFDHMSMMRLLLLPHSHSSVSSGVFTNFCSSGRGAYHGGSDGGNVDGDNSWLKLTLLDRVRVYSVVGLLFVGLI >ONI02593 pep chromosome:Prunus_persica_NCBIv2:G6:21760597:21767295:1 gene:PRUPE_6G209100 transcript:ONI02593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALALRRLSSSGDKPIRPLFNATSHYYMSSLPNEAVYDKEKPGVTWPKQLNAPLEAVDPEIADIIEHEKTRQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEFIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFQVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYAHIRKVCNNQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGKEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYKAYQEQVLSNCSRFAQSLVEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVKLAVKIKGEAQGTKLKDFVAALPAPHFQSEIAKLRHDVEEYAKQFPTIGFEKETLKYKN >ONI02592 pep chromosome:Prunus_persica_NCBIv2:G6:21760959:21766853:1 gene:PRUPE_6G209100 transcript:ONI02592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALALRRLSSSGDKPIRPLFNATSHYYMSSLPNEAVYDKEKPGVTWPKQLNAPLEAVDPEIADIIEHEKTRQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEFIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFQVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYAHIRKVCNNQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGKEVLYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYKAYQEQVLSNCSRFAQSLVEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVKLAVKIKGEAQGTKLKDFVAALPAPHFQSEIAKLRHDVEEYAKQFPTIGFEKETLKYKN >ONI04198 pep chromosome:Prunus_persica_NCBIv2:G6:27756120:27756848:1 gene:PRUPE_6G308200 transcript:ONI04198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISEWHIRDVEDVTKPSHPRVGLLKKVWKLNIPPKVKNFVWLLIRKRLQVRARLHRFMQHISPIYPFCQSLPETIQHMFMDCQYAKDVWALSPDLMPLPSQAGDLYIWLLSLSPTSTKSELDPLSKALLICWQIWEARNNVVFHDSKATLASCFHAAACVGLDFWRLNSKARLDSADSMMIK >ONI02240 pep chromosome:Prunus_persica_NCBIv2:G6:19492556:19498771:-1 gene:PRUPE_6G186500 transcript:ONI02240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHLHHALRHFHLVPLLSCRKHYYTPSQILSFSTTTTLCTHASPTYPHTDLLTLSSNVQTLHQTKQVHASALLNGLLPHSVSLCASLMLSYATFKYPETSHHLFEQTVHRCRTAFLWNTLIRAYSIAQVNDNFQTYNRMVRSGVGLDDHTFPFVLKACSDLLDVKKGMEIHGVVFKVGFDFDVFVGNTLLMLYGSCGDMRDAKRVFDEMRERDVVSWNTVIGVFTANGFFVQALHYYREMNLGIGFKPNLVSVISVLPVCAELEDERMAIQIHCYVVKAGLDLLVTTGNALVDVYGKCGNANASKQVFGEIIQKNEVSWNAAITSLSYMGHNIEALATFRWMIDEGVKPNSVTISSMIPVLVELAFFGVGRRLHGFSIRMGIESDVFIANSLIDMYAKSGRSNEASNVFQEMDKRNIVSWNAMIANFGQNRLELEAIGLVRQMQGHGEIPNSVTFTNLLPTCARLGSLHYGKEIHARTVRMLYASDLFVSNALTDMYAKCGRLDLARNVFDISLRDEVSYNILIIGYSQTTDCLESLNLFSEMKLVGMIHDIVSFVGVISACANVTAIKQGKEIHGSLVRKLFHTHLFVANSLLDFYTKCGRIDLAAKVFDRIPSKDVASWNTMILGYGMLGELNTAISLFEAMREDGVEYDSVSYIAVLSSCSHGGLVEKGKKYFEGMQALNIEPTEKHYACMVDLLGRAGLMEEAVELIKGMPIVPDANIWGALLGACRIHGNVELASWAADHLFRLNPEHCGYYILLSNMYAEAGRWDEVNRVRELMKSRGVKKNRACSWVQVQDQVHAFAVGESLETLNSDSWIVES >ONI02249 pep chromosome:Prunus_persica_NCBIv2:G6:19533867:19534614:-1 gene:PRUPE_6G186900 transcript:ONI02249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQAENSSIGRLGKRLTTPSILVKFELASSLPRKYSPKKRARNLGSRLAESLRRALEEQRKAEQRKDGGGGKVGQPTIPMDGDPDPLRVIPPSTPSLQPTIVLNGDGSTEKDRDMDTSAVELGPKKRRVNNGDINQHQANFSGLRIHSAFTLFFRTCQLSICNSKLEGFEGKSHNGKINMTLQATLEATCLQYHA >ONI02248 pep chromosome:Prunus_persica_NCBIv2:G6:19533369:19538345:-1 gene:PRUPE_6G186900 transcript:ONI02248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQAENSSIGRLGKRKYSPKKRARNLGSRLAESLRRALEEQRKAEQRKDGGGGKVGQPTIPMDGDPDPLRVIPPSTPSLQPTIVLNGDGSTEKDRDMDTSAVELGPKKRRVNNGDINQHQANFSGLRIHSAFTLFFRTCQLSICNSKLEGFEGKSHNGKINMTLQATLEATCLQYHA >ONI04257 pep chromosome:Prunus_persica_NCBIv2:G6:27953942:27955960:-1 gene:PRUPE_6G312000 transcript:ONI04257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSTTTTNLPHHIKPKEVSAESTASTSKLSQKTILHILNTKCTTSLQHLKQAHGVALRSGHFQDHYVAGAIVKCYASQHFSNFGFALKVFDSVWRPNVFVWNIVIKGCLENNEALSCISYYCKMVAMNARPNKFTYSILFKACTLVQAVEEALQIHAHVVKNQFGEDGHIRSAGIQMYASFGLVEEARRMLDEAAELNDVICSNAMIDGYMKCGDVEAAKELFEKHMPSKNVGSWNAMVSGLARCGMLKEARELFDGMSEKDEISWSAMVDGYIKRGCHKEALEIFNEMQKENLLSPRKFILSSVLAACANMGAVDQGKWVHAYIKRNTIQLDAVLGAALLDMYAKCGRLDMAWEVFENIKQKEISTWNAMIGGLAMHGRADDAIELFSKMQRSKLEPNGVTFLNVLNACAHSGFVDKGLNFFSSMKQFYGIEPEVEHYGCMVDMFGRAGQLEEAEQLINSMPIKPNAAVLGALLGACRIHGNAEMGERVGRILLELEPQNSGRYALLSNIYAKAGRWDDAEKVRMLMKERGVKTSPGISMVDIGGMVHEFKVGEGSHPQMKEVYLMLETIIEKLQMEGYSPNSSQVLFDIAEEEKETALQYHSEKLAIAFGVLNTKPGTTIRVTKNLRTCEDCHSAIKIFSKVYERDIIVRDRMRYHHFRNGRCSCKDFW >ONI04519 pep chromosome:Prunus_persica_NCBIv2:G6:28654734:28656784:1 gene:PRUPE_6G325800 transcript:ONI04519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSVCGWTPPIFPFQKAVKTHRGHKFTLKNCRERTGEDAPPSGTSSAFAILGVQPTCSATELKAAFRAKVKQFHPDVNRTGKDSGVMIRRVIQAYEMLSNYSRSEIIERECVDPFDNPECEAFDVFVNEVLCVGKGCPYSCVQRAPHAFTYASTGTARAASPGVGDDYQVQLAVGQCPRSCIHYVTPLQRIILEELLDSILNMPYDSSAEADLLYSLIVKARFENNRYRKPKKQPKASTQHVDWF >ONI02453 pep chromosome:Prunus_persica_NCBIv2:G6:20579696:20581419:-1 gene:PRUPE_6G199200 transcript:ONI02453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYPSHTFARRRVVPRRAKAFFTIFARRNMRDDVFVALKLGRLNATLRDVFFFVAQKPMKSILLLSFNDLPYRLKQCFLYCCLFPEDHVIVNHRLIRLWIAEGFVEHVKGVTPEEVAKSYIMGLVFRNMLQEMYRDYHPACKMHDLLREIALSIAKKEKFCVVHDGSETVEEIGALRLSIQTTNGEIGSCTGISRLRSFLVFATGLSSFSFSNKSPFDLKLLKVLDLEDVPLDNLPDNLTSISGKAIGVRIPSSISKMKKLQSLAYIESEGNIIRLIGSMTQLTLLGITNVKERDEEDLCASIQEMKLMERNFFELRNFFEDRLYLSGKLEKVKHWFCSLHCLTDLFLGDSRLEENLLPHIEALRSLRLLCLDNSSIRKESCFSRGFVNLRYLEVLNFSFLNKITIEKGVMSNLEFLDISKCLRLETLPQGIEHLTKLQGYVFESVSKQFTESIREGGVDKDANCRRDM >ONI04548 pep chromosome:Prunus_persica_NCBIv2:G6:28725388:28726515:1 gene:PRUPE_6G327500 transcript:ONI04548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDITDSSNSRPLVSLSANSNHHHDLMNVQLLPTKLDGTNYLAWSHFVRLYITGKGRIGYLTGEKKQPDNTDPKFITWVEEDAMLRSWLLQAMTPDISLGYMRLDSAHAIWDAVSQTYSEGGCDAQIYELKRRIQATTQQGKTLETYFNSLQALWQELDYYQPCDMKCSNDTAALKKRIEKEHTFEFLAGLNPDLDQVRTQVLGKDPFPSLREAYAYVRAQALRRSAMVMPPSLEGSALISTANHSAWAPPVHQSSSSAAVSSSNVAKSSKSDDKDALKCDYCHQTKHVREQCFKLNGYPPWWPGKKGEKAEGSKGGGGKGGRSSSRAYHTSSSDQNDQPTSQLSSAQMEQIVQECARLLSDKGSKGASGSLAISS >ONH99185 pep chromosome:Prunus_persica_NCBIv2:G6:1158925:1160760:-1 gene:PRUPE_6G016100 transcript:ONH99185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNNIEMEHGQFGKSTAQIEVTDNTLVDNLNMLLQPFKLSLSSKYSKAVLYHLEAIINQSLYQDFENCVFQKNGSPKLLDPQQARQAQFSSFVALRNLSWNEVLRKGTKYYCEEFSKFCDQKMSCIITTLNWTRPWPEQVLQAFFVATKCIWLLHLLAFSFNPPLGILRVEENRSFDPHYMEDMFMDRQRSHGSSRVKIMVMPGFYVQDKVLRCKVLCRYKSAGSIS >ONH99872 pep chromosome:Prunus_persica_NCBIv2:G6:3851711:3855948:1 gene:PRUPE_6G054800 transcript:ONH99872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCFLAHQRLAIIDPASGDQPLYNEDKSIVVTVNGEIYNHEELRKRLPNHKFRTGSDCDVIAHLYEEYGENFVDMLDGMFSFVLLDTRDNSFIVARDAIGITSLYIGWSLDGSVWIASELKGLNDDCEHFESFPPGHLYSSKEGALKRWYNPTWFSEAIPSAPYDPLALRSAFETAVIKRLMTDVPFGVLLSGGLDSSLVASITARHLAGTKAAKQWGAQLHSFCVGLEGSPDLKAAKEVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKSTSAWGLEARVPFLDKDFINVAMAIDPEFKLIKKDQGRIEKWVLRKAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKSHAALHVTDKMMLNAARIFPHNTPNTKEAYYYRMIFERFFPQNSARNTVPGGPSVACSTATAVAWDAEWSKNLDPSGRAIFEVHQQAYDKQAAPVTSSIVPPEIIDNVPQMKVSTPGVAILS >ONH99871 pep chromosome:Prunus_persica_NCBIv2:G6:3851581:3855948:1 gene:PRUPE_6G054800 transcript:ONH99871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLKHRGPDWSGLYQHGDCFLAHQRLAIIDPASGDQPLYNEDKSIVVTVNGEIYNHEELRKRLPNHKFRTGSDCDVIAHLYEEYGENFVDMLDGMFSFVLLDTRDNSFIVARDAIGITSLYIGWSLDGSVWIASELKGLNDDCEHFESFPPGHLYSSKEGALKRWYNPTWFSEAIPSAPYDPLALRSAFETAVIKRLMTDVPFGVLLSGGLDSSLVASITARHLAGTKAAKQWGAQLHSFCVGLEGSPDLKAAKEVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKSTSAWGLEARVPFLDKDFINVAMAIDPEFKLIKKDQGRIEKWVLRKAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKSHAALHVTDKMMLNAARIFPHNTPNTKEAYYYRMIFERFFPQNSARNTVPGGPSVACSTATAVAWDAEWSKNLDPSGRAIFEVHQQAYDKQAAPVTSSIVPPEIIDNVPQMKVSTPGVAILS >ONH99999 pep chromosome:Prunus_persica_NCBIv2:G6:4277505:4278993:1 gene:PRUPE_6G061900 transcript:ONH99999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAKKCLELTPDESEAVSRVVVPEIRVGKEPSLYDLFATTGIRVDRVEPGFAVCSFKVPPRLTDKDGNLSNGAIANLVDVAGASLIYVMGLPMNVSVDMSISYVSKAKIDDELEITSKRLGQKGGYSGTSVLMRNKATGEIVAEGRHSLFRSPAASKL >ONI02573 pep chromosome:Prunus_persica_NCBIv2:G6:21607267:21609300:-1 gene:PRUPE_6G207400 transcript:ONI02573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPEEEHPKKAIGWAARDSSGVLSPFKFSRRASGEKDVTFKVLYCGICHTDLHTAKNDWGSSKYPLVPGHEIVGVVTEVGSKVQKFKVGDKVGVGCIVGSCGSCDNCSHNLENYCPKYILTYGGKYHDGTITYGGYSDFMVTEEGFVIRIPDNIPLDGAAPLLYAGITTYSPLRHYGLDKPGMHVGVVGLGGLGHVAVKFAKALGARVTVISTSPNKKDEAIKSLKADAFLVSREQDQMKTAMGTMDGIIDTVSAVHPLLPLIGLLKCDGKLVMVGLPEKPFELPITPLVLGRKIIAGSCIGGIKETQEMIDFAAEHNITSDIEVIPIDYLNTAMERLLKADVRYRFVIDVANTLKAA >ONI03927 pep chromosome:Prunus_persica_NCBIv2:G6:26915404:26918386:1 gene:PRUPE_6G292000 transcript:ONI03927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSVNNGGRGERAHEQTVLRRSVEVEPILVPQQLTIDENLLVDPNLLFIGSKIGEGAHGKVYEGRYRDRIVAIKVLHRGSTSEERAALESRFAREVNMMSRVKHENLVKFIGACKDPLMVIVTELLPGMSLRKYLMSIRPNPLELHVAIKFALDIAHAMECLHANGIIHRDLKPDNLLLTENQKHVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPRLPEDISPDLAFVIQSCWVEDPNLRPTFSQIIRMLNSFLFKLSPPSPPIPDTDTNEAAASNGTMSELSVRTRGKFAFLRQLFNAKRTKNSQ >ONI03919 pep chromosome:Prunus_persica_NCBIv2:G6:26864548:26869512:-1 gene:PRUPE_6G291200 transcript:ONI03919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGATTENSGLNQSILPTILEFSGWETGGSGSGLRARANGTGAVDRGGRKWILLVKKISEQQPREVSCSYHNGGSWPTLLWLCTAACIKTGKPQTVKRAIEQVEQRLSKDRWPEYYDGKAGRYIRKQARKYQTWSISGYLVAKLMIENPVNLSLISLEEDKKIAKPRLTRSTSF >ONI00109 pep chromosome:Prunus_persica_NCBIv2:G6:4673631:4675627:-1 gene:PRUPE_6G067800 transcript:ONI00109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVHIVYLGSLPDGEYSPMAHHLNILQSIVQGSSPENLLVRSYKRSFNGFAAKLTDQEREKLANEGSSLCFPSRTFQLQTTRSWEFMGLNEKVSRNSVVESDIIVGVIDTGIWPESESFKDDGFGPPPKSWKGVCDGGKNFTCNNKIIGARRYTAESARDELGHGTHTASTVAENAVKDVNFYGLANGTAGGGVPAVRIVAYKVWGLSLGYNVLAAFDDAIADGVNIISVSMGTVEAGIDDDPVAIAWPMNGTSNNVSTGAFAYGSGHISPVNAINPGLVYEASEEDYIRLLCTIYDEGKVR >ONI01029 pep chromosome:Prunus_persica_NCBIv2:G6:8577950:8580999:-1 gene:PRUPE_6G117400 transcript:ONI01029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIPDPQHPFDVTNLGDASNMFDKMLKQGSRPSVVRFTQLLGQVAKLKHYLVVISWYKQITLLGVVLDAFILNIIVNCFVLALFFKFGLQPDVTTFNTLIRGLGLDNRVHEADSLVRKMAFFGEGCKPNVITFDTTIIDGLYKDQLVAEAFKLFSEMESKGIPPNIVTYTFLFLGLYKSCPLTKATQFFTEISSKGIFPNVQTFSILVDSVYMNKAKGIFDLMLKKGSIVDVFSHSILINGYCNKRRTKEAMHLFEEMTRKGMIPDTVTYTTLIGGFCKDRRIDDAQNIFSKMKVGGPLPNIFTYSVLLDGLCKNGHIDMALKFFGELECSNVDFGISPYNILIDGLCMAGRFESAMDLFRSLPSKGLKPDVKTYTILIIALSTMGLFTEAEALLRGMEEKGCSSDSVTYNTIIQRFLLNDELSRAQKLIQEMVAKGFSADDLTTTMIVDLIAEGKLDTDLHPVEKKSE >ONI04065 pep chromosome:Prunus_persica_NCBIv2:G6:27270960:27272679:-1 gene:PRUPE_6G300100 transcript:ONI04065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVYPNAANAKIALAYEPERLKLSGSDQEDATVLTVWKKSLLLNCNGFTVFDNKGNLVFRVDNYLAGHKGEIVLMDACGKPLLTIRRKRLSLGDNWVVYDGETAVNPRFCVRKNVGILNNNKCLAHVTSANNDKGASKNYDNKNSMLYQVEGSYGQRCCAVYDNKRRKVAEIKRKEAAVGGVALGVDVFRLIVQPEIDTSVGMAFVILLDQMYGTSSFSRRSS >ONH98961 pep chromosome:Prunus_persica_NCBIv2:G6:292300:295137:-1 gene:PRUPE_6G002300 transcript:ONH98961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIPSTWKGRCVKGEMFEPAKACNRKLIGARYYLRGFEEEYGPLNTSGNPEYRSARDFLGHGTHTASTAVGSIVKNNASFFGLAQGTARGGAPRARLAVYKVCWGKDYDGKCSEADILAGFDDALHDRVHVISASFGSKPPLLPLFVSEAAIGSFHAMQLGVTVVFSAGNEGPDPSQVTNVAPWGICVAASSVDRMFPTRITLDNKLSFMGESFIITPIKGKLADATTYFVNGVCRPENWIQSKFAVGRVILCFSSIGPYEIDEAEAAAKKANASAVIFVEAMTRQVAVDIIPSVHVNLEQGTKIKHYLAQSPTKPVVQIESSKTVIGKSLAPRVAYFSSRGPSSITPDILKPDISAPGVNILAAWPPQTSPTLTLDDKRSVSWNFQSGTSMSCPHVSGVVALIKSAHPTWSPAAIRSAIITTAYTRDTSFDRIVADGSMKASDPLDFGAGHIDPIKAMDPGLVYDMKTSDYILFLCNIGYTEDQISQIVLCPSGTDTSCPQVLQSNVNLNYPSITFANLQSTVTIKRSVRNVGKNKNVIYFCTISEPDGVEVVIWPRVLIFSWFKVESTYFVTLKPKKESQGRYDFGEIVWSDGLHKVRSPLVVSVNTTCCDSHEYIPSPRKSQNAI >ONH98960 pep chromosome:Prunus_persica_NCBIv2:G6:292300:295950:-1 gene:PRUPE_6G002300 transcript:ONH98960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSYKHSFSGFSAKLNSSQATTLANMDEVISVFRSKTLRLHTTRSWDFLGLTLSSSSSTSTAGTPLQLAYGEDIIVGIFDTGIWPESDSFREEPRMRPIPSTWKGRCVKGEMFEPAKACNRKLIGARYYLRGFEEEYGPLNTSGNPEYRSARDFLGHGTHTASTAVGSIVKNNASFFGLAQGTARGGAPRARLAVYKVCWGKDYDGKCSEADILAGFDDALHDRVHVISASFGSKPPLLPLFVSEAAIGSFHAMQLGVTVVFSAGNEGPDPSQVTNVAPWGICVAASSVDRMFPTRITLDNKLSFMGESFIITPIKGKLADATTYFVNGVCRPENWIQSKFAVGRVILCFSSIGPYEIDEAEAAAKKANASAVIFVEAMTRQVAVDIIPSVHVNLEQGTKIKHYLAQSPTKPVVQIESSKTVIGKSLAPRVAYFSSRGPSSITPDILKPDISAPGVNILAAWPPQTSPTLTLDDKRSVSWNFQSGTSMSCPHVSGVVALIKSAHPTWSPAAIRSAIITTAYTRDTSFDRIVADGSMKASDPLDFGAGHIDPIKAMDPGLVYDMKTSDYILFLCNIGYTEDQISQIVLCPSGTDTSCPQVLQSNVNLNYPSITFANLQSTVTIKRSVRNVGKNKNVIYFCTISEPDGVEVVIWPRVLIFSWFKVESTYFVTLKPKKESQGRYDFGEIVWSDGLHKVRSPLVVSVNTTCCDSHEYIPSPRKSQNAI >ONI01540 pep chromosome:Prunus_persica_NCBIv2:G6:11901457:11902971:1 gene:PRUPE_6G145200 transcript:ONI01540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQIPEYFICPISLQIMKDPVTVLTGITYDRESIEQWFSTTHDMSCPVTKQALPRDNALTPNHTLRRLIQQWCKENATNGVGLIPTPKLTLNKIYVSGLVQDLGVSHLQANALKKLEALALENAQRNRRSMGEAGVAKAMVLFIVRCYKEGAQRNGVLEALRILHHVWSVDDDHEVKLIVNGSNDFLESLTWVLGCDPEMDNHAILMKSEAILVMKMAMEVITASQLERLNLEFFKNIVMVSKEKISQEALKSALLVLIEACLLGRNRMKMVESGAMFQLIELELARPERHITELIFNLLANLCGCADGRAQLLKHAGGIALVSKRILRVSPATDDRAVHILSLIAMFSATHEVLQEMLLVGAVSKLCMVMQGDCTAHLKKKVRGILRLHSNVWNNSPCIAVYLVTRPR >ONI01539 pep chromosome:Prunus_persica_NCBIv2:G6:11901457:11904858:1 gene:PRUPE_6G145200 transcript:ONI01539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQIPEYFICPISLQIMKDPVTVLTGITYDRESIEQWFSTTHDMSCPVTKQALPRDNALTPNHTLRRLIQQWCKENATNGVGLIPTPKLTLNKIYVSGLVQDLGVSHLQANALKKLEALALENAQRNRRSMGEAGVAKAMVLFIVRCYKEGAQRNGVLEALRILHHVWSVDDDHEVKLIVNGSNDFLESLTWVLGCDPEMDNHAILMKSEAILVMKMAMEVITASQLERLNLEFFKNIVMVSKEKISQEALKSALLVLIEACLLGRNRMKMVESGAMFQLIELELARPERHITELIFNLLANLCGCADGRAQLLKHAGGIALVSKRILRVSPATDDRAVHILSLIAMFSATHEVLQEMLLVGAVSKLCMVMQGDCTAHLKKKVRGILRLHSNVWNNSPCIAVYLVTRPRPP >ONI04919 pep chromosome:Prunus_persica_NCBIv2:G6:29755598:29756515:-1 gene:PRUPE_6G348200 transcript:ONI04919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWWAGQVGLPGGVNETSAAATNSPMKNIIKPDLGISMNNNTTGTSSLGGSGGDDDDDRDNNSDDPKEGAIEVATRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEISNGADIADSVARFARTRQRGVCVLSGSGTVTNVTIRQASPAGSVMALHGRFEILSLTGAFLPGPAPPGSTGMTIYLAGVQGQVVGGSVVGPLVASGPVMVIAATFSNATYERLPLEEEEEVGGNNSGQAAGGGGSPPGIGGSGGGMGDPSIGVGVYNLQPNMLPNGGGQALSHDQGAAYSSWAHGQGGGRAPF >ONI01101 pep chromosome:Prunus_persica_NCBIv2:G6:9034267:9043523:-1 gene:PRUPE_6G121500 transcript:ONI01101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLVGDDLARQSSSRRSWRSSSVREMWNTQDVFQQSSRQQTVNEEEELKWAAIERLPTYDRMKRGMLRQYMSNGRVVAEEVDVAHLGDHDKKQLMESILKVVEDDNERFLKRLRARNDRVGIDIPKVEVRYQNISIEGDAYVGTRALPTLLNSTLNQLEGLIGLIGLSPSKKRVVKILHDVSGIVKPSRMTLLLGPPSSGKTTMLKALAGKLDRDIRVTGKVTYCGHEFNEFVPQRTSAYISQHDLHYGEMTVRETLDFSGRCLGVGTRYDMLVEMSRREKDSGVKPDPEIDAFMKATSMSGKETSLITDYVLKILGLDICADIMVGDGMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMRQMVHILDVTMVISLLQPAPETYDLFDDIILLSEGQIVYQGPRENVLEFFEYMGFRCPERKGVADFLQEVTSKKDQEQYWYKKNQAYRYVSVSDFVQAFRSFHVGQRLLEDLRVPYDKRAAHPAALVKEKYGISNMELFKACFAREWLLMQRNSFVYIFKTTQITIMATIAFTVFLRTTMKYGEEKDSARFWGALFFSLINVMFNGVAELPMTVFRLPVFFRQRDALFYPGWAFGLPIWITRIPISLMESFLWTAITYYTIGFAPAPSRFFKQFLAFFGIHQMAVSLFRFIAGLGRSEVVSGTIGSFSLLLVFILGGYIVAKDDIEPWMIWGYYVSPMMYGQNAIAINEFLDDRWSTPINNSRMPTVGKTLLRERGLYTEEYWYWICIGALFAFSVLFNVLFIASLTFLNPLGETKTLIENDDSETKRKQQLSNSEGIDMQVRNAQGSSSSNVNVASGQAKRGMVMPFQPLSLAFNHVNYYVDMPVEMKSEGIEETRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQATFTRVSGYCEQNDIHSPYVTVYESLVYSAWLRLSRDATKDKRKMFVDEVMDLVELNPLRNSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQVIYAGPLGHQSHELVEYFEAIPGVPKIKEGYNPATWMLDVSSAAVEAQNNIDFAEVYANSELYRRNEELIKGLSIPLPGSNDLHFPTQFSQSFIVQCKACFWKQHWSYWRNSRYNAIRFFMTAVIGIIFGVIFWSKGDSLHKQQDLINLLGATYSAVLFLGAGNASAVQSVIAIERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTFMYSCILYSMIGYTWKVEKFLYFYYFVFMCFTYFTMNGMMMVALTPNSQIAAIVSSFFTNFWNLFSGFLIARPLIPVWWRWYYWGSPIAWTIYGIMASQFGDVKTFIDTPEGSQRVDLYLKKNLGYEHDFVVPVFFAHIGWVLLFFFVFAYGIKFLNFQKR >ONI04907 pep chromosome:Prunus_persica_NCBIv2:G6:29732946:29733816:-1 gene:PRUPE_6G347500 transcript:ONI04907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHESSTGMTEAPFSNKKNDTEITVKEQYGGDSDDKEIVQLQIEGRGLSLVDGTYDKPLACFGCGIGWFSFLLGFAFPPIWYYATVLYFGNYLKGPRERPGLAASAIAALICSVIVLVTLLAVFW >ONI00374 pep chromosome:Prunus_persica_NCBIv2:G6:5756087:5756824:1 gene:PRUPE_6G085400 transcript:ONI00374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSPPSQDPPWRPWVISFVALVCAFTLIFSYYKLLKRLCSASFSRNLNRRRILNEINPDDPSLQFQSRGLDFSVKRSLPVTQFKKKDEAEAAEHSQSNADCAICLGEFEGGEWLKKLPKCSHEFHISCIDTWFVSHSNCPLCRSQVYDFMHDCLVPMDTALETLSQDEIQERASHYQMLQTLSQEEIQERASHYQMLRFTILED >ONI04524 pep chromosome:Prunus_persica_NCBIv2:G6:28664360:28666509:1 gene:PRUPE_6G326100 transcript:ONI04524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARTPPKQRKMVAPLNPVLLRETVKKVDRCMARLQELQYTVSGGTKVISGVSLSPRSTRGYLRTSLRCKQETARIKGSAPRKSPVGKFPANAGGEWQRMSLPAMLVGETVGEILQASQFAREIVAAVGSKTKKTTVEDPKTPLTQQRKQRQFPENTELRARRKKEKHNKSQSFQSESGSPILQRARSRINFKVSPPNKREVLKENNRYLANRVSPKNRPWAKKSVLFPNPLFLSSDPSSCSNPQKFCRTRSPIIGRNENNNKPRIQTPHKFLIKSPPSASKFQVKIKSPPLVSSLSPTKIAKKSPKMSTASKLRRSFSPSRLATRLVSPLKSRKSVQKSEGLVMRGLEQRPTCSVPLGISARRI >ONI03060 pep chromosome:Prunus_persica_NCBIv2:G6:23672584:23674938:1 gene:PRUPE_6G235900 transcript:ONI03060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASTASLALPSLYPKTLALYTPKPTSVPFYSVSSSSLTLGCKPISISASFLNSGRFQSASSRFVRNVAVSSEFEQDEEVLSDDGEASFAPDLKLFVGNLPFSVDSAQLAGLFESAGNVEMVEVIYDKTTGRSRGFGFVTMSSVQEAEGAARQLNGYELDGRALRVNYGPPPPRSEDSFRGARGGGGSDSSNRLYVGNLAWGVDNLALENLFSEQGKVLEAKVVYDRDSGRSRGFGFVTYGTADEMNSAMESLDGVDLNGRSIRVTAAEPRPRRQF >ONI02010 pep chromosome:Prunus_persica_NCBIv2:G6:17753964:17756498:-1 gene:PRUPE_6G172600 transcript:ONI02010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFALHLRHCQKKITKTQALNFSTFACPVLQSQDPCLPIQNLQSRRRALVNLLQLRVSDQPIWYDKRMHAQVVVSGFQDDVFLANLLLHSYAKSDCIVYARKLFDKMPEKNSVTWSSMVSMYTKHGNDEEALVMFSEFCRNSDGKPNEYTLASVIRACTRLGGVDQGAQVHSFVAKTGFDQEVYVGTSLVDFYSKNGDIEEAKLIFEGLKVKSAVTWTIMISGYAKCGRSEVSLKLFNQMRDTDVLPDKYVLSSLLTACSALKFIGGGKQIHAYVLRRGTVMDVSVVNVLVDFYAKCGEVQAGRKLFNTIVVKDLISWTTMIAGYMQNSFNREAVKLFSEMARLGWKLDGFGCSSILTSCASLEALDHGREVHAYAIRVNLVYEDYVKNSLIDMYAKCDSLTNARRVFDSMADHNVVSYNAMIEGYSRQDKMSEALDLFNEMRLRLLHPSLLTFVSLLGVSAALFALELSKQIHGLVTKYGYCLDVFAGSALIDVYSKCSFISDARLVFEEMYEKDIVVWNAMFCGYTQQLESEEALKLYLELQLSRQNPNEFTFAALVSAASNLASIQHGQQFHNQLIKMGLDSDPFVTNALVDMYSNCGSIEEACKIFDSKIWSDVACWNSIISTYAQHGEAEQALIMFDRMMKEQIKPNFITFVGVLSACSHAGLVDDGLRHFESMPQFGIEPGTEHYACIVSLLGRAGKLFEAKEFVMKMPIKPPAIVWRSLLSACTAAGNIELGRYAAEMAILSDPVDSGSYILLSNIYASKGMWADVKRVREKMEYNGVVKETGRSWVEANNEVHTFAAKDRTHRKTGLILSILDSLILQMKGLGYVPDTTTLLIND >ONI03482 pep chromosome:Prunus_persica_NCBIv2:G6:25065010:25067880:-1 gene:PRUPE_6G259700 transcript:ONI03482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSLSNLSAGDFAMDAGHEEAYEILLNTGIQAELVLERLRGKRIRMGKDFFAKVRIFFATFFLGSVDCYKDFSIHAILHRN >ONI04771 pep chromosome:Prunus_persica_NCBIv2:G6:29359747:29362232:1 gene:PRUPE_6G338800 transcript:ONI04771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDQDDAVLPEGDLSSSSQDDEEEDDVVDDDEEEDDVDDDDDVLNDDVINSASPSTSSAGATDSLPVAIATPTVTVALPARDPPPLALATTTSTATTVIANDAVLSSDPKRHAVLSPQPEEKKPAALDDSRRLFQRLWTDEDEIELLQGFLDYTTMRGNKGSHHGQNDTALFYDQIKSKLQLDFNKNQLVEKLRRLKKKYRNVLNKIASGKEVSFKSPHDQATFEISRKIWSNIGRIGPDDNALDDEDPSPNPNNPNFNNYEIKNEEAAGFAGDKKSTPRSRKRSRTQLRAEERAPPSRGGFVEPSPVIKDNNNSINNSNHGGGGGNSCSNCNVHGLIEETVKSCLSPLFKELLSSAMGGGSARGFGGLSLNPIPLSFGGPSMNLNFGGCEGADEKWRKQQILELEVYSKRLELVQNQIKVALEELRSSRG >ONI04772 pep chromosome:Prunus_persica_NCBIv2:G6:29359747:29362232:1 gene:PRUPE_6G338800 transcript:ONI04772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDQDDAVLPEGDLSSSSQDDEEEDDVVDDDEEEDDVDDDDDVLNDDVINSASPSTSSAGATDSLPVAIATPTVTVALPARDPPPLALATTTSTATTVIANDAVLSSDPKRHAVLSPQPEEKKPAALDDSRRLFQRLWTDEDEIELLQGFLDYTTMRGNKGSHHGQNDTALFYDQIKSKLQLDFNKNQLVEKLRRLKKKYRNVLNKIASGKEVSFKSPHDQATFEISRKIWSNIGRIGPDDNALDDEDPSPNPNNPNFNNYEIKNEEAAGFAGDKKSTPRSRKRSRTQLRAEERAPPSRGGFVEPSPVIKDNNNSINNSNHGGGGGNSCSNCNVHGLIEETVKSCLSPLFKELLSSAMGGGSARGFGGLSLNPIPLSFGGPSMNLNFGGCEGADEKWRKQQILELEVYSKRLELVQNQIKVALEELRSSRG >ONI03648 pep chromosome:Prunus_persica_NCBIv2:G6:25750863:25756176:-1 gene:PRUPE_6G271800 transcript:ONI03648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCASLSINPSLSPPSFSTATQSSFSSAFCHSPSPAFLRFPSYHSSRTKPSSLYGQGVCFCYSERSRGLRESRKLEFKASNMTITEVEQEEEEEAPPPYVDSEINSRPRRIALFVEPSPFAYVSGYKNRFQNFIRYLREMGDEVMVVTTHEGVPDEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVAQFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVKPMWLVIKFLHRAADLTLVPSAAISKDLLEAGVAAANTIRLWNKGVDSESFHPRFRSHEMRLRLSNGEPEKPLIVHVGRLGVEKSLDFLKSVMDRLPEARIAFIGDGPYREDLEKLFSGMPAVFTGMLGGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGIPDIIPLDQEGKTGFLYDYGDVDDCLRKLQPLLENKELRETIGQAAREEMEKYDWKAATRVIRNEQYNAAIWFWRKKRAQFLRPLQWFMKRIFPPSPPEIKCR >ONI01556 pep chromosome:Prunus_persica_NCBIv2:G6:12122566:12124470:-1 gene:PRUPE_6G146400 transcript:ONI01556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVATDLFIGKFVSILESEAASIAGVRDQVDEIKRELVIMKSFLEDADGGKKAHTEVEKAWVASIRDLANDVENIIDEFMHHMYKQQRGGRCPKWLLKTIHFPKNLWYMGQIAKKLHKIAGKIRAIPERNERYGGAAAVEGKSTSEDIRRRVQNQAESSLYHKENELQHQTVVSVVGMGGSGKTTLVARTFTNEVVKKHFKCYAWITVSQSYVIEDLLRRLIKEFHKARREEVSADMNAMCYIELVEILVNYLETKRYLAVLDDVWDILLWERIRLSFPDKQLGSRVMLTTRREDIGSYSFGVESHVHKIQPLERRDAWELFSMKAFSSYHNKSCSPELLPLARKLVEKCEGLPLAALSGLMSSKKSLTDWSKVYNSLNWHLTNNSLKKLQTAMLWNSFFVTCYRKGIENANKHELPESIRRLRNLQTLDLLKTDIEALPIGISKLINLRHLVMCHSKSGSKYKLYIEVLFVNYNTFVFLNIFQKQVQQLKILPGLELE >ONI01928 pep chromosome:Prunus_persica_NCBIv2:G6:17136989:17137693:-1 gene:PRUPE_6G167800 transcript:ONI01928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSAFAKLLDKHCLEGHNFLTWYPNLKIFMTIEKIMYVLEKAPDIEPPSEDASQEVRAEYDKHVDDDCQAMCHILASMNEELQKSNEHMMHAADIISHLQELYREGTCNRRFSAMIGLIEQLESLNSPLDRNLTTNIFLASLSDSFSQFVVNYNMGKMENTLSELQNMCVIAEKTFKIQGGNETIVVFEKSTSSANPGNKGKGKFNASKKKK >ONI03742 pep chromosome:Prunus_persica_NCBIv2:G6:26152197:26161197:-1 gene:PRUPE_6G279100 transcript:ONI03742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKRLLQKAIHQSQHNMSHGNLTSADLDLRVAVHYGIPSTASILAFDPIQRLLAIGTLDGRIKVIGGDGIEGLLISPKQLPYKYIEFLQNQGYLVSILNDNDIQVWNLESRCLVYCLEWESNITAFSVINGSNLMYVGDDYALVAVMKYDAEEGKLLQLPYHISANSLSETAGFPFPTDQPIVGVLPQPCSSGNRVLIAYQNGLVILWDVSEDQIVFVGGGKDLQLKDGVVKSTNEVNIDSPEETLEHQLGDKEISALCWASSNGSILAVGYIDGDILFWNTSSSASIKGQQALSPSNNVVKLRLSSAERRLPVIVLQWSKDYKSHNDCDGQLFIYGGDEIGSEEVLTVLTLEWSPGMGNLRCVGRTDLTLTGSFADMILLPSSGTTGGNHKADVFVLTNPGQLHFYDEASLSALVSQKERNLSISGLEFPVVIPTTNPTMMVAKLIRVPTGENLLKALSEISSVVNRGSIPNPSAGTKWPLTGGVPSQLSISKNNGIERVYLAGYSDGSVRIWNATYPLLSFICLVQGKEQGIKVAGSSAPVSRLDFCVFTLNLAVGNECGLVQIYNLKDSSDGTKFLFVTQTKSEVHNLPQGKGPQCRAVLSLINSPVQALQFVKHGGKLAVGFECGHVAVLDTSSLTVLFFLNDVSFSSSPTISMTWKELTNSQGHLKSPKHSETKTTVYPTEEVMFILTKDAHIHVIDGNTGNMIIPQSWHLKKESIAISMYVIDGRISASKVSDDNPPEEASKDSSTKNEPVPGSSPFVINSPETEQNSSSENPYSEERLLNSFILLCCVDSLRLYSTKSVIQGNNKPIRKVKHARPCIWTATFKKADRVSGLVLLFQTGEIEIRSLPDLELVKESSLMSILRWNCKANMDKTMSADDSHFTLANGYESAFVSMLAVENGFRIPESLPCLHDKVVAAAADAALSVSLNQKKKRGTAPGLLGIVKGLKGGKMVHTGDSAATPKSTFDHLEGMFWKSQQSGPSPHVDHQEVVELNIDDIEIDEPLSVASTSSSHDVKREGESEREKLFQGGTGDTKPRLRTAEEIRAKYRKAEDVSSVASQARNKLMERGEKLERISRRTEDLQNGAEDFASLANELVKTLEGRKWWHI >ONI03741 pep chromosome:Prunus_persica_NCBIv2:G6:26152502:26161032:-1 gene:PRUPE_6G279100 transcript:ONI03741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKRLLQKAIHQSQHNMSHGNLTSADLDLRVAVHYGIPSTASILAFDPIQRLLAIGTLDGRIKVIGGDGIEGLLISPKQLPYKYIEFLQNQGYLVSILNDNDIQVWNLESRCLVYCLEWESNITAFSVINGSNLMYVGDDYALVAVMKYDAEEGKLLQLPYHISANSLSETAGFPFPTDQPIVGVLPQPCSSGNRVLIAYQNGLVILWDVSEDQIVFVGGGKDLQLKDGVVKSTNEVNIDSPEETLEHQLGDKEISALCWASSNGSILAVGYIDGDILFWNTSSSASIKGQQALSPSNNVVKLRLSSAERRLPVIVLQWSKDYKSHNDCDGQLFIYGGDEIGSEEVLTVLTLEWSPGMGNLRCVGRTDLTLTGSFADMILLPSSGTTGGNHKADVFVLTNPGQLHFYDEASLSALVSQKERNLSISGLEFPVVIPTTNPTMMVAKLIRVPTGENLLKALSEISSVVNRGSIPNPSAGTKWPLTGGVPSQLSISKNNGIERVYLAGYSDGSVRIWNATYPLLSFICLVQGKEQGIKVAGSSAPVSRLDFCVFTLNLAVGNECGLVQIYNLKDSSDGTKFLFVTQTKSEVHNLPQGKGPQCRAVLSLINSPVQALQFVKHGGKLAVGFECGHVAVLDTSSLTVLFFLNDVSFSSSPTISMTWKELTNSQGHLKSPKHSETKTTVYPTEEVMFILTKDAHIHVIDGNTGNMIIPQSWHLKKESIAISMYVIDGRISASKVSDDNPPEEASKDSSTKNEPVPGSSPFVINSPETEQNSSSENPYSEERLLNSFILLCCVDSLRLYSTKSVIQGNNKPIRKVKHARPCIWTATFKKADRVSGLVLLFQTGEIEIRSLPDLELVKESSLMSILRWNCKANMDKTMSADDSHFTLANGYESAFVSMLAVENGFRIPESLPCLHDKVVAAAADAALSVSLNQKKKRGTAPGLLGIVKGLKGGKMVHTGDSAATPKSTFDHLEGMFWKSQQSGPSPHVDHQEVVELNIDDIEIDEPLSVASTSSSHDVKRGKLFKGNQKGKSYFKVGLVIQSPDLELPKKLELSTERLRMFLLWLLKQEISSWREEKNLRESAGALKICRMGQKTLHHWLMSLSRHWKVENGGIFRKFGFVCIVFEFPSPGLLVLSREGRFRTLLKHPKDEVIQLRTPSVACDPADIQEVVLLQCRELSCHCCLCCKVIYVARLQNIASRILILHS >ONH99869 pep chromosome:Prunus_persica_NCBIv2:G6:3831736:3834435:-1 gene:PRUPE_6G054600 transcript:ONH99869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVQRKIYLYNVTFGLYMLDWWERYLFNTLVVVLMWFIFYNGSRYVTEFCKRPRPVDNVEFGCQINQFTTLGLASVPSGK >ONI03675 pep chromosome:Prunus_persica_NCBIv2:G6:25911446:25913106:-1 gene:PRUPE_6G274400 transcript:ONI03675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKRMSHKVLDHSHFSRTTKSSLLSTISFAAIFFIIYADIFVSNLPMQTIDLRNHEVLDHNHDQTQVPQEQIESTFITDQSKPQLSIQAKIQELEDEIRDPLVPPENVTKEERLVWFRRKLPELEILKSNNLSQQFHGRVLEFLNNGCSLQFYMVWLSPAKSFGKRDFLTMDTLFKSHPQGCLMIISNSMDSARGYRILKPLLDRGFKILAIAPDLPFLVKNTPAESWLEELKSGRTDPGYIPLSQNLANLIRLAMLYKYGGIYLDTDLIILKDLSGLRNAIGAQSLDSESKIWNRLNGAVMIFDINHPILLEFLEEFATTFNGNKWGHNGPYLVSRVIARLEGSGRPLDYNFTILPPEAFYPLDWIRIHRIFRKPERESESRAVEMTLNELNARETYVVHLWNKRSRQLAIGEGSVMARLISEHCVICQDLYVS >ONI03718 pep chromosome:Prunus_persica_NCBIv2:G6:26051667:26053816:-1 gene:PRUPE_6G277100 transcript:ONI03718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALFSPSSLFHDDEDDTSSGEEDTESQQSYVERRHKFPGMELVIREFSFHQLNANLLWPGTFAIAEWLVEHRPSIEGRHCLELGSGTGALAIFLRRLLNLDITTSDYNDQEIEENIAHNCRVNGITPVLPHIKHTWGDTFPTADPDWDLIIASDILLYVKQYANLIKTLLFLLKSYKPKDNNARPQAKDEQSGGQSFSLPRPAFLMSWRRRIGKEDESLFFSGCEDAGLEVKHLGSRVYCIKP >ONI02066 pep chromosome:Prunus_persica_NCBIv2:G6:17969399:17979719:1 gene:PRUPE_6G175300 transcript:ONI02066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICSLPIPELTFLSPQTTPKRRLSVSRVSLSKISLSGYPRCGIQSRIRASKEDGLVVEEREAELIKKVNGVELSGNGAGVSTSGSSYGSNGSVKKYSNGSVNGVSNGSLVKFVNGNGVAAEVVEEFEVLESKEEGRKKNIEEIGKEDAWFKRTGQPKVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFLIKAWLNNRKFTYKGGMTEEKKTLRRKALAKWLKENILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSDTAISIVEEELGAPLKDIFDRFDYEPIAAASLGQVHRARLKGQEVVVKVQRPGLKDLFNIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECANVLYEEIDYTKEAANSELFASNFRNMDYVKVPTIVWEYTTPQVLTMEYVPGIKINKIKAIDQLGIDRQRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLETFYGVYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTALFFLNSFEERLAAQRKEKEMATAELGFKKPLSKEERIEKKKERLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEVVLKDLRNRWDRQSRAFYNLFRQADRVEKLAEIIQRLEQGDLKLRVRTLESERAFQRVATVQKTVGNAVAAGSLINLATILYINSIRFPAIVAYVLCAFFGLQVLIGIIKVKKFDERERLITGTA >ONI02065 pep chromosome:Prunus_persica_NCBIv2:G6:17969263:17980209:1 gene:PRUPE_6G175300 transcript:ONI02065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICSLPIPELTFLSPQTTPKRRLSVSRVSLSKISLSGYPRCGIQSRIRASKEDGLVVEEREAELIKKVNGVELSGNGAGVSTSGSSYGSNGSVKKYSNGSVNGVSNGSLVKFVNGNGVAAEVVEEFEVLESKEEGRKKNIEEIGKEDAWFKRTGQPKVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFLIKAWLNNRKFTYKGGMTEEKKTLRRKALAKWLKENILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSDTAISIVEEELGAPLKDIFDRFDYEPIAAASLGQVHRARLKGQEVVVKVQRPGLKDLFNIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECANVLYEEIDYTKEAANSELFASNFRNMDYVKVPTIVWEYTTPQVLTMEYVPGIKINKIKAIDQLGIDRQRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLETFYGVYEKDPDKVLQAMIQMGVLVPTGDMTAVRRTALFFLNSFEERLAAQRKEKEMATAELGFKKPLSKEERIEKKKERLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEVVLKDLRNRWDRQSRAFYNLFRQADRVEKLAEIIQRLEQGDLKLRVRTLESERAFQRVATVQKTVGNAVAAGSLINLATILYINSIRFPAIVAYVLCAFFGLQVLIGIIKVKKFDERERLITGTA >ONH99134 pep chromosome:Prunus_persica_NCBIv2:G6:939763:942302:-1 gene:PRUPE_6G013400 transcript:ONH99134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPEKMPPSSSSSASQNNCSIKISVSILFAILLILCAGAGYGEAQLTTTFYDEVCPCAITTVRGVILDALQTDPRIAASLTRLHFHDCFVNGCDGSILLDNSSSTSSTIDSEKAAFANNNSARGFDVVDNIKTALETACPGVVSCADILAIAAEESVSLSGGPSWTVLLGRRDSTTANRTAANEALPAPSFTLDELKASFAAVGLDTTDLVALSGAHTFGRAKCQSFSNRLYDFNSTGLPDPTLNSTYLETLRELCPQNGNASVLANFDPSTPNTFDGKYFSNLQVRKGLLQSDQELFSTSGADTINIVNNFSVNQSAFFESFVKSMIKMGNISPLTGTDGEIRLNCRRVNGDSYGSSATLIAEY >ONI05037 pep chromosome:Prunus_persica_NCBIv2:G6:30033030:30034801:1 gene:PRUPE_6G352700 transcript:ONI05037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDSEWVTPGEVLGKASKFKAGRGAYVSPDNLTVYASLTGLRRILSPRPDSPDQRPTVEVTGHKAHGAIPEPGSIVIARVTKVMARMASADIMCLGTKSVREKFTGIIRLQDVRATEIDKVDMHMSFHPGDIVRAVLSLGDARAYYLSTAKNELGVISAQSAAGATMVPISWTEMQCPLTGQIEHRKVAKVGG >ONI05036 pep chromosome:Prunus_persica_NCBIv2:G6:30032857:30035026:1 gene:PRUPE_6G352700 transcript:ONI05036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDSEWVTPGEVLGKASKFKAGRGAYVSPDNLTVYASLTGLRRILSPRPDSPDQRPTVEVTGHKAHGAIPEPGSIVIARVTKVMARMASADIMCLGTKSVREKFTGIIRLQDVRATEIDKVDMHMSFHPGDIVRAVVLSLGDARAYYLSTAKNELGVISAQSAAGATMVPISWTEMQCPLTGQIEHRKVAKVGG >ONI01713 pep chromosome:Prunus_persica_NCBIv2:G6:13591079:13591666:1 gene:PRUPE_6G155600 transcript:ONI01713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLHRQQILESVVKYEQALKRIMGRESDEYFESEHKYRIVKEGEFLLKHAAKFYTRNVLNEFKDEWSKVNVYKVKEMPCDNEYHAYLVKTKLREHEEFVVKLNLQTYKGMCECQNFEFVGILCQYLLKVFVRLDVDTLPDHFILPRWRQDANKFRIMDLKSLVTNDGKEELEALRLSHMCHQANKVGLHCSIFK >ONI03611 pep chromosome:Prunus_persica_NCBIv2:G6:25603716:25607193:-1 gene:PRUPE_6G269000 transcript:ONI03611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLLSEQRSELGEKGSEKWSSYQYVGRAGSVIPTASLAGTEVSVEEIRSAAADSDHYPPSIHAALVSSPEPDPTEQAVAYQGGYGGGFGGTTNELHRQILDEVEIRELLIDHVGHRCCWGSRPARTWKIQKVEDCNVYVGTLDTFIEERETIRETEPNLGGKIDGKDKGPELGIWELDLKSQFPVLFIPYKESREIIPHSESIEKCSGCAGRGDSVCPTCNANQEPGFYKENLMTQCSACHGRGLIAHKDGSDSICGSCNGKGKIPCATCGSRGLIKCLTCQGSGSLLTRNVGLVRWKTLSTRKVSATSGAASVPDEVFHRAKGVQLCNTQAYQCTPAFFADSFFLNQFSSEVIADRAPVPRTARVISERHTISVVPVTRVTMAHRSRLFSFYIIGFSREVYLKDYYPARFCWGLCPCLEWLKL >ONI01877 pep chromosome:Prunus_persica_NCBIv2:G6:15983965:15985142:-1 gene:PRUPE_6G164100 transcript:ONI01877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELKSIISHLAKLKKAMAESEQQPEEATPSNYKKKSKAKKPNPVADVDPKKNWYDWYKALEPRLKTSWQKSVIYDVLALCTSGDFPCDCSLIIAGLCFWSSSVNCMRLHCGMMTPALLDLAAIVGLRPHGVVYSAADLPEPLLKPDYDKPNKNFTNWIKTHFGYIGSSFGAPIGSTNGVSYMKHIAFLQIQVTKEVQPLAEALADGQAVALVVLPKPMSCNPLGPIWLFQLWPQVYFPELGLANVTFRGDSLLGKSIASLPLPKHHVEDCFRFFYGCSQRSPSDLSMCLDHRYPGYLALDLASIPTLETKEER >ONI01120 pep chromosome:Prunus_persica_NCBIv2:G6:9138734:9141087:-1 gene:PRUPE_6G122700 transcript:ONI01120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLHSTVATPSNLRFCHSSNRPSLKTPFLLLPPQFPSLEKSTFRSHSLKSSTSFSSGSVTKASMAASSPSTEAETKPFSVLFVCLGNICRSPAAEGVFRDLVKKRGLDSKFKIDSAGTIDYHEGNQADPRMRAASKRRGIEITSLSRPIRLPDFRDFDLILAMDNQNRDDIIEAFNRWKFREPLPEDAHKKVKLMCSYCKKHDETEVPDPYYGGPQGFEKVLDLLEDACESLLDSILAENKHILDS >ONI01989 pep chromosome:Prunus_persica_NCBIv2:G6:17624239:17624625:-1 gene:PRUPE_6G171400 transcript:ONI01989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFIGYQTSAILIFVIATMMILPGLATLAPSPSNFMFLQECKSRLHARCGKEIFITIIKEWSISDRCCMEFVTMGQSCHFALVNKALSGPLSKLNKSDALTKSVEIWNQCFELSQFLSPATSPSSEE >ONI00410 pep chromosome:Prunus_persica_NCBIv2:G6:5923627:5927837:-1 gene:PRUPE_6G087500 transcript:ONI00410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFPYCSSVLGQLTMASSIVLLLLLIALALLSVSANLVSIDCGSSDSYTDENSIKWVGDDDFVQNGESQVVQTTNGVSNVMGTLRVFSTRKKNCYSIKAEKGSQFLVRASFYYGNYDKKSAPPSFDLQLDGNYWNTIQTSAEGVVYYEVIYITKGDSIELCLAQTQPNQLPFISAIEIRGLASDMYNHVDSEYAMLLTRRVAYGATEAIRYPSDDFDRIWDAVEVGNGLVKVTTDAQTIDTSVPDQPPVAAFQYGITTSKTSEAILLVTDLPPVEVPIYIIMYFSEVTELDSTQTRSFEIYIDGKSHFDPIVPAYGSVVEMSITNISASSNTSFSLVATSDSTLPPLINAMEVYYVSGPITDGTDSKDVAGLATLQDKFSTLQEWTGDPCLPSPFTWDWVNCSADDTPRVTALYLSGFGLSGQLPEFSSLDALQTIDLHNNSLSGSIPDFLGTLPNLKQLNLADNKLSGPIPTTISNNNKLKLVSSGNPNLCASGGSCKTVVDNSGGPSSGSSSKKKNSKLPLILGIAIPAFFVFWVIVVIFVCSMSQSKRRRAAAIAATNAGQNGGANMPNGLPPQGAPMNPQMMGKFGEVMMNQFAGNDEDQGTPEQGTPEQIRNQQVEERPLQY >ONH99083 pep chromosome:Prunus_persica_NCBIv2:G6:762288:767329:1 gene:PRUPE_6G010100 transcript:ONH99083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAENDEDLPSAPQDPHHNSSRHQIDREDVEQLELEKREVIGEIIKLNPSYKAPPDYKPLLKEATVPIPVSPRVIFLYFGEVSYFYFMLKKREVQGGYEKLYVHISADTFEKIDAAVAVLVLLVTSVSGNLASVSGDNPHAPSQGPDTTTPNMIPTMLNQGIVQPVAGPTQTPPNGQFQYPGPFFSTGPSSTPMNIPGYIPLDSSRPIFNNPSYQSTSPFNSTHLPSLFGPPPALASPRQNPPTQVLQHLYMAQTRPGYVGPPRNLSLMSPQPSSVQTNISAPLTFMGNRPPPTGQLFNIGPFAQHVSNHPHGPSGNSTGWSSGVPAPQPGVVSMPPPSNISTANMVSSVAFPPGPSTLLSSAPVNHAAPSFTSIPRPQVGIPSTLAASMLPTPAVAQPKPFMSPLSGSVPAHSTGQVTSLAPSMQPRVPIPVTGSVPNFTPPKPPMMTAPSPGDFTFQPHRPPNPSFQPVPQPSSHYTAHNASPARPMVPQPSPQAPSFQLPVQNMTPQLGSEVLSRPQVGDHMGQPPPAHISAVPFARNSTAISVPPRHATFLDTSPVAPRTPHLPMRPRNFNPAHQMPNFPIPLPPRPGNHIQIQQNYPAHSTRPEMPQAPNQQFSNNLAFASGKPASRPGGQQLYDPFSPTSMSTATQQQGGNPAKMGK >ONI00794 pep chromosome:Prunus_persica_NCBIv2:G6:7463274:7463737:-1 gene:PRUPE_6G105300 transcript:ONI00794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLDMNKAYDRIEWDFVKEVSLKLGFDRTWVRQVMGWIISIRFIMLLNGKSGSSFKPSRGLKQGYLFLHVERGIVQGIKFSGDGPTLSHLFFADDSIMFLKATKQNCTTVESILTSYCRRCSCKVVVPKDCLTNL >ONI02207 pep chromosome:Prunus_persica_NCBIv2:G6:19066249:19069520:1 gene:PRUPE_6G183500 transcript:ONI02207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTQSIRPWCYNDKGNYTTNSTYQTNLNTLLSSLFSPSNNGNGYGFYNSSYGENPDQVYTIGLCRGDVTVDICRDCLSKGTQQLTQVCPNQKEAFGVFDLCTLHYANRSIYGAIETFPPLMWYNVQNVSYDVDGFFQELKTLLDDLRGQAAGNGSLRKFAVGTVTAPNFQTIYGLAQCRPDLTAQDCSYCLGSSMADIQEYFPGKEGGLISKPSCDLRYEIYPIVDPTTVRPLPSSSPPLSSPPPPSTSTGGSKSNRSQIVIIIVVPIVVSVVLIVIFFCICLRVRRTKKKLETGKLIQGSDDTDEIGSAESLQFDLATIRVSTDDFSEANKLGEGGFGSVYRGRLLNGKDIAVKRLSTNSGQGDLEFKNEVLLVAKLQHRNLVRLLGFCLEGSERLLVYEFVPNASLDHIIFDPTKRAQLDWVRRYKIVVGIARGLLYLHEDSRLKIIHRDLKASNILIDAEMNSKISDFGMARLFVLDQTQGNTSRIVGTFGYMAPEYAMHGHFSVKSDVYSFGVLVLEIVSGQKNSGFRHGENAEDLLSFAWRSWREGTASNLIDPTLNTGSRNEIMRCIHIGLLCVQENVADRPTMASVILMMNSYSFTLPVPSQPAFYLHRSIGLDMSLRSEYNSGATRSDRSKSNSVLVMEYETFTEPHPR >ONI00140 pep chromosome:Prunus_persica_NCBIv2:G6:4803703:4804541:-1 gene:PRUPE_6G070000 transcript:ONI00140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSREKRWSLEGLTALVTGGTKGIGYAIVEELAGLGATIHTCARNEVDLNDCLSQWGKKGFKVTGSVCDVVSKTQREELINKVSSLFDGKLNILTRWHRCSSSNEHCVSLDQDPPWHCSVYKQCGN >ONI00139 pep chromosome:Prunus_persica_NCBIv2:G6:4803495:4804541:-1 gene:PRUPE_6G070000 transcript:ONI00139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSREKRWSLEGLTALVTGGTKGIGYAIVEELAGLGATIHTCARNEVDLNDCLSQWGKKGFKVTGSVCDVVSKTQREELINKVSSLFDGKLNILINNVGTNIPKPTTEYTAEDYTFLMSTNLESAYHLCQLAHPLLKASGAGNIVFLSSVAGVVSLEIGTIYSATKKVP >ONI00138 pep chromosome:Prunus_persica_NCBIv2:G6:4802316:4804789:-1 gene:PRUPE_6G070000 transcript:ONI00138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSREKRWSLEGLTALVTGGTKGIGYAIVEELAGLGATIHTCARNEVDLNDCLSQWGKKGFKVTGSVCDVVSKTQREELINKVSSLFDGKLNILINNVGTNIPKPTTEYTAEDYTFLMSTNLESAYHLCQLAHPLLKASGAGNIVFLSSVAGVVSLEIGTIYSATKKEQ >ONI00141 pep chromosome:Prunus_persica_NCBIv2:G6:4803703:4804541:-1 gene:PRUPE_6G070000 transcript:ONI00141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSREKRWSLEGLTALVTGGTKGIGYAIVEELAGLGATIHTCARNEVDLNDCLSQWGKKGFKVTGSVCDVVSKTQREELINKVSSLFDGKLNILTRWHRCSSSNEHCVSLDQDPPWHCSVYKQCGN >ONI00137 pep chromosome:Prunus_persica_NCBIv2:G6:4802316:4804789:-1 gene:PRUPE_6G070000 transcript:ONI00137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSREKRWSLEGLTALVTGGTKGIGYAIVEELAGLGATIHTCARNEVDLNDCLSQWGKKGFKVTGSVCDVVSKTQREELINKVSSLFDGKLNILINNVGTNIPKPTTEYTAEDYTFLMSTNLESAYHLCQLAHPLLKASGAGAMNQLAKNLACEWAKDNIRTNSVAPWFIKTLLGDHFLGHEKTLKIINSRTPLGRPGEPEEVSALVAFLCLPAASYITGQTICVDGGVTVNGLLFQGA >ONI03909 pep chromosome:Prunus_persica_NCBIv2:G6:26797123:26797838:1 gene:PRUPE_6G290300 transcript:ONI03909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGMSKLVVIGISLFVCLLLQCEEIYGKEYLVGDEKRWNPDTNLSSWPEGKKFKAGDVLNFQYTSHLFDVVALGNYPGYEACDPYPVPKKIYSSGNDYVVLEKGTNSFVPFDVSYCKRGMKLQVEAE >ONI02767 pep chromosome:Prunus_persica_NCBIv2:G6:22630021:22630385:-1 gene:PRUPE_6G220600 transcript:ONI02767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVVRKPGSNINEAAVMDFVAKQVVPYKKIRHVSFINSIPKSPAGKILRRELVSHALSSRSSKL >ONI03798 pep chromosome:Prunus_persica_NCBIv2:G6:26361248:26367020:-1 gene:PRUPE_6G282900 transcript:ONI03798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKREVGGEVVVKEEGEPNYSTESPIKKLRAAANTDDSKSNDYKNNTTIDNSNNSSKDVKVPIMALGNGNSNDIDEDLHSRQLAVYGRETMRRLFASNILVSGLQGLGAEIAKNLVLAGVKSVTLHDDGVVELWDLSSNFFFSEADIGKNRALACVQKLQELNNAVVISTLTTELTKEQLSDFQAVVFTDISLEKAIEFNDYCHNHQPPISFIKSEVRGLFGSVFCDFGPEFTVLDVDGEDPHTGIIASISNDNPALIACVDDERLEFQDGDLVVFTEVHGMTELNDGKPRKIKNARPYSFTIEEDTTNFAAYEKGGIVTQVKQPKVLNFKPLREALKDPGDFLLIDFSKFDRPPLLHLAFQALDKFISELGRFPVAGSDDDAKKLISLVTNINDSLADGRLEEIDHKILRHFAFGARAVLSPMAAMFGGIVGQEVVKACSAKFHPLFQFFYFDSVESLPSETLDPSDLKPLNSRYDAQISVFGAKLQKKLEDSKMFTVGSGALGCEFLKNLALMGVSCGKEGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINGRLNIEALQNRASPDTENVFDDTFWENLDVVINALDNVNARLYIDQRCLYFQKPLLESGTLGAKCNTQMVVPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKVPAEVNAYLTNPAEYTTAMMNAGDAQARNNLESVIECLDKERCETFQDCISWARLKFEDYFVNRVKQLTYTFPEDAKTSSGTPFWSAPKRFPRPLQFSVDDLSHLQFIMGASILRAETFNIPIPDWVKSHTKFADAVNKVIVPDFQPKKDVKIVTDEKATNVLPASIDDAVVINELIVKLEKCKEQLPPAFKMNPIQFEKDDDTNYHMDVIAGFANMRARNYGIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLDGGHKLEDYRNTFANLALPLFSMAEPVPPKVIKHQGMSWTVWDRWIIKDSPTLEQLLQWLKEKGLNAYSISYGSCLLYNSMFPRHRDRMDKKMVDLATGVAKAELPPYRKHFDVVVACEDEEDNDIDIPQISIYFK >ONI03699 pep chromosome:Prunus_persica_NCBIv2:G6:25985990:25989978:-1 gene:PRUPE_6G275900 transcript:ONI03699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLNSSPLPSIRRLSFLNPKFLSSQTQFPKPHLESSLSENPNPSTNSSQSVTSKSDFPEKATSKIEFHENLFGRDSELTQTKVISTLLSHRSEPNSALKHFIWAEKERGFLKGVDAFCVLLHILTGFEETHVRAQILLNQYASGDSGPSQQVFFDRLVDCAKRFDFELESRVFSYLLNSYVRANRIKYAIDCFDRMIELELYPCVTCMNILLVELVRRKMIVNARELYDKMVLRGMGGDRATLRVMMHACLKEGQPNKAEEYFRQARARGIELDAASHGVAIQAVCSKPNLRLALELLKEMREMGWVPSVGTFTSVIKACVKQGNMVEALRIKDEMVSCGNSINLVVATSLMKGYCVQGNLESALDLFNIIIEDGLSPKKVMYAVLIEYCCHNGNMEKAYELYVQMKNMDILPDVFIVNNLVRGFLKYRSLEDACKLFDEAVECSVANVFLYNNILSWLCGEGKVSEACSLWDKMLYNGVVPNLVSYNSMIHGYCRIGNMERAHNVFLELLERGLKPNVFTYSILIKGYFRKGDIKRALDVFNDMVAAKITPTEFTVNIVIDGLCKAGCTSEASDRLNKIVERGFVPGCMSYNNIIDGFIKEGAMNSALAVYREMREGGVSPNVVTYTSFVNGFCKSNQIDLALQMWNDMKKKGIKLDVTAYCALIDGFCKRRDMGTARKLFSEFLEVGLSPSTAVYSSMIWGFQNLNNMEAALDLHKKMISEGIPCDLKAYTTLIDGLLKRGELQVATDLYSEMLQKKIVPDIKTYTVLINGLCNKGQLENARKILEDMNKRSMTPSVHIYSTLIAGNFKEGNLQEAFRLHDEMLDRGLVPDDITYDILVNGKFEGANALVGTSCA >ONI03700 pep chromosome:Prunus_persica_NCBIv2:G6:25986971:25989550:-1 gene:PRUPE_6G275900 transcript:ONI03700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLNSSPLPSIRRLSFLNPKFLSSQTQFPKPHLESSLSENPNPSTNSSQSVTSKSDFPEKATSKIEFHENLFGRDSELTQTKVISTLLSHRSEPNSALKHFIWAEKERGFLKGVDAFCVLLHILTGFEETHVRAQILLNQYASGDSGPSQQVFFDRLVDCAKRFDFELESRVFSYLLNSYVRANRIKYAIDCFDRMIELELYPCVTCMNILLVELVRRKMIVNARELYDKMVLRGMGGDRATLRVMMHACLKEGQPNKAEEYFRQARARGIELDAASHGVAIQAVCSKPNLRLALELLKEMREMGWVPSVGTFTSVIKACVKQGNMVEALRIKDEMVSCGNSINLVVATSLMKGYCVQGNLESALDLFNIIIEDGLSPKKVMYAVLIEYCCHNGNMEKAYELYVQMKNMDILPDVFIVNNLVRGFLKYRSLEDACKLFDEAVECSVANVFLYNNILSWLCGEGKVSEACSLWDKMLYNGVVPNLVSYNSMIHGYCRIGNMERAHNVFLELLERGLKPNVFTYSILIKGYFRKGDIKRALDVFNDMVAAKITPTEFTVNIVIDGLCKAGCTSEASDRLNKIVERGFVPGCMSYNNIIDGFIKEGAMNSALAVYREMREGGVSPNVVTYTSFVNGFCKSNQIDLALQMWNDMKKKGIKLDVTAYCALIDGFCKRRDMGTARKLFSEFLEVGLSPSTAVYSSMIWGFQNLNNMEAALDLHKKMISEGIPCDLKAYTTLIDGLLKRGELQVATDLYSEMLQKKIVPDIKTYTVLINGLCNKGQLENARKILEDMNKRSMTPSVHIYSTLIAGNFKEGNLQEAFRLHDEMLDRGLVPDDITYDILVNGKFEGANALVGTSCA >ONI01207 pep chromosome:Prunus_persica_NCBIv2:G6:9902931:9904540:-1 gene:PRUPE_6G128000 transcript:ONI01207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKNTCLDVHAALIVTLILLTATIAVANDDTPVPADYSQVNTWFNNNVKSYKERQGTLDPALVTAEVGQTVVKVMKDGSGEFKTITDAVNSIPADNTKRVIVYIGGGEYNEKITIPRNKPFVTFYGSPTNMPTLTFSSGAPKYGTMDSATVIAESDYFVAVNLIIKNSSPKPARNSVGQQALALRVSGTKSALFNCRLIGFQDTLCDDKGNHFFKDCFIEGTVDFIFGSGKSLYLNTELHVLGDNEMTVITAQARDSASEDTGYSFVHCNITGTGNGTYLGRAWRISPRVVFAYTGMSEVITPAGWNNKNRPERDSTVFYGEYKCSGPGSNMVGRVKYAKQLNEEQIKPFLNLGYIQGSKWLLPPPNPKV >ONH99353 pep chromosome:Prunus_persica_NCBIv2:G6:2069708:2073473:1 gene:PRUPE_6G026100 transcript:ONH99353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGFVFEDGVPEAKLPGRLQSDIDVTGDDEVNDCPIEEVRLTVPITDDPSQPTLTFRTWVLGILSCAVLSFVNKFFGYRTNQLSVSSVSAQIVVLPLGKLMAATLPTRKFRVPFTKLSFSMNPGPFNLKEHVLITIFANSGAGGVYAVHIITIVKAFYKRDQHPAAAFLLAQTTQLLGYGWAGIFRKYLVDSPYMWWPSNLVQVSLFRALHEREKRPKGGLTRLQFFLIVFICSFAYYIIPGYLFPTISAFSIVCFIRKKSITAQQIGSGMNGLGIGSFGLDWSTIAGFLGSPLATPGFAIINMLIGFVLVLYILTPISYWSNAYDAKKFPIFTSHTFASTGKTYEISRILNATTFNIDLNAYNSYSKLYLSTFFAFNYGLSFATLTATISHVALFHGKSIWYMWKKTTSAVKDQIGDIHTRLMKKNYEAVPQWWFHIILVLMVALSIFACEGFGKQLQLPWWGVLMACGIALFFTLPIGIIQATTNQQPGLNVITELVIGYIYPGRPLANVSFKTYGYISMAQALTFLGDFKLGHYMKIPPKSMFVAQLVGTIVASSVYFGTAWWLLTSVDHICDVSNLPEGSPWTCPGDDVFYNASIIWGVIGPLRMFTSKGVYPEMNWFFLIGLLAPVPIWFLSKKFPNQKWIRLINMPIILGSTGNMPPAKAVHYITWFAVGIFFSFYVYKRHKGWWARHNYILSAALDAGLAFMGVLLYFTLQSNNINGPEWWGLEGDHCPLAICPTAPGVVAKGCPVQ >ONI02622 pep chromosome:Prunus_persica_NCBIv2:G6:21949625:21952927:-1 gene:PRUPE_6G211100 transcript:ONI02622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSASSVLQFILRFHVGLYFFLLRLFLFFQFFAMLNSEIEDQVFNLFKDFMARVAKFDDLVNLGSILLTGFQQGLEFLRRPPVEKTSELVKNIIRANETQRVKSYIEAGCINSDDGVQNTNKLHACQLGLRDHLSKGKSVLNELECLVEDVNGAMENLSPFQDDSFADRLNEEASTDDKEEIASSRPKRNEVTDYAECMGIIYSMVKQDYMMQERVVSALNAKSSSGELESYCLMWSLRPFINSEVMDHAWKLIP >ONI04443 pep chromosome:Prunus_persica_NCBIv2:G6:28424258:28427284:1 gene:PRUPE_6G321700 transcript:ONI04443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKKIQALSFTFLLLFISEFLCGIASAAGGSSKVGTVIGIDLGTTYSCVGVYKNGNVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAPLNAERTIFGVKRLIGRKFDDPEVQRDIKFLPYKVVNKDGKPYIQVKVKGETKVFSPEEISAMILGKMKETAEAYLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKEEKNILVYDLGGGTFDVSILSIDNGVFEVRSTSGDTHLGGEDFDHRVMDYFIKLIKKKYNKDISKDNKALGKLRKECERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNLDLFKKTMGPVKKALEDAGLKKKDIHEIVLVGGSTRIPKVQQLLKDFFDGKEPNKGVNPDEAVAFGAAVQGGVLSGEGGDETKDLLLLDVAPLSLGIETAGGVMTKLIPRNTVIPTKKSQIFTTYQDQQTTVSIKVYEGERSLTKDCRLLGKFDLTGIAPAPRGVPQIEVTFEVDANGILQVRAEDKAAKRSQSITITNDKGRLSQEEIERMVKEAEEFAEEDNKVREKIDARNKLETYIYSMKSTINDKDKLADKIDSDDKEKIESTLKEALEWLDDNQNADKDDFDEKLKEVEAVCNPVIKQVYEKSGGASSADSQDEEEPNDEL >ONI02122 pep chromosome:Prunus_persica_NCBIv2:G6:18327300:18330150:1 gene:PRUPE_6G178200 transcript:ONI02122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCGQQVVLISGCSQGGIGHALARAFAAEDCLVVATSRSLSSMADLQEDPRLFLQELDVVSDESVDHVVSSVLEKYGRIDVLINNAGVQCVGPLAEVPLSALQNTFNTNVYGSMRLIQAVVPHMASRKKGKIVNLGSVTVLAPGPWAGGYTASKAALQALSDSLRLELRHFGINVITVVPGAIRSNIGNSALAGYNQMPEWKLYKPFEAAIRARATMSQGPHSTSAEEFAKRTAAAILKENPLAWFSYGHHSTIFAILYHLPLFIRDFVMKKAMKC >ONH99634 pep chromosome:Prunus_persica_NCBIv2:G6:3023634:3024868:-1 gene:PRUPE_6G040700 transcript:ONH99634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKLVLLDFWPSSYGMRVRIALAEKGIKYEAREENLADKSPLLLEMNPLHKMIPVLIHNGKPISESLLLLQYIDEVWHHTSPLLPSDPYQRSQARFWADYIDKKIYSLGKRVWKEKGEKQEATKKEFMEGLRTIEGELGDKPYFGGEDFGFVDVVLVPLTSWFYSFEVCGNFSIEAECPKLVGWARRCMERDSVSNSLPHPQKIYAFVLELKQKYGL >ONI00664 pep chromosome:Prunus_persica_NCBIv2:G6:7045808:7050000:-1 gene:PRUPE_6G101200 transcript:ONI00664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFVLVFALSALLFFSRSALCKDSNPIDDDDDDLSFLEEPTDQNDAAPHYPDPDHYDEDNYDDLENFSDFDEGGGDHEDAYKEPEVDEKDVVVLKGTNFSDTVEKNRFVMVEFYAPWCGHCQALAPEYAAAATELKGENVILAKIDSTEENELSQEYGVEGFPTVFFFIDGVHKPYTGQRTKEGIVTWIKKKIGPGIQNVTTLDEAERILTSESKVVLGYLNSLVGPESDELAAASRLEDDVNFYQTVDPKVAKLFHLDPEVKRPALILLKKEAEKLSYFDGKFEKSAIAEFVFANKLPLVITFTRENAPQIFESEIKKQLLLFATSKDSEKVLPDFQAAAKLFKGKLIFVYVQTDNEDIGKPVSDYFGITSDAPTVLGYTGNDDSRKFVHEGEVTLANLKAFGQDFIEDKLKPFFKSDPIPETNDGDVKIVVGNNFDEIVLDESKDVLLEIYAPWCGHCQSLEPTYNKLAKHLRGIDSIVIAKMDGTTNEHPRAKADGFPTLLFFPAGNKSFDPISVDADRTVVAFYKFLKKNASIPFKLQKPTSTPKSEAVSDAKESQESSSSTNDLRDEL >ONI04906 pep chromosome:Prunus_persica_NCBIv2:G6:29730086:29732825:1 gene:PRUPE_6G347400 transcript:ONI04906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHYKEEKEAKKEAFRKYLESSGVLDALTKVLVALYEQNDKPSSALEFVQQKLGGPSVSEYEKVQAELSELQKKYNTLLAAHQETCSELEGLKSSQVPNVAPVSIKDKETTDEEALKEKF >ONI03501 pep chromosome:Prunus_persica_NCBIv2:G6:25132019:25137165:-1 gene:PRUPE_6G260900 transcript:ONI03501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTALRQLLKSLCSNHSPWKYAVIWKLKHQSDLILAWEDGYCHHPKPREAVEHSPDDIYFSDASVHDGGSTGYPIGLAVADMSHLQHTFGKGVVGEVACTGNHKWVSLHSLCTRESDSKLVPECPDEWLLQFALGIKTILLVPVLPYGVLQLGSMETVAEDQAVVAFVKDRFNAIHNVVGKTVPSTLFRDIRAESLWPQSSSLMENTFESSAVTINPLKVERSEEVGNIRLNDILLSTLEQFVQLPTIENVLLDSGTDQSEVLKRIGENEIGVPRIYHIGESNPLSQCVDTEMLETIETQMFGLSCLEEELVAHSQYGGYNVDVLGDPLSGFNSYSAGGIAEQLLNYNNAEDISYNRKDSFFSFPENCELHKALGTTFQRQTDEHLWNSSISIDDTCSSSGLQKDFIRSIEPSRLSKGSDAENLFESMVARDDTSSSRSDNIKSCMTTSSQFPASCEQLKFEASAPTESDSMTWNHASASFKGTMSTLLDKEQLGKGYTSTKPKKEQKSSGASARRTRLSNSPKLRPRDRQLIQDRVKELRELVPNGAKCSIDGLLDRTIKHMMYLRTMTDQAEKLGCYAHQEVPRSNNMSEAKIGGQNGTSRGFEIGSELQICPIVVEDLQHPGHMLIEMLCDEHGLFLDIAQAIRRLELTILKGVMETRSSNMWAHFIVEAPRGFHRMDVFWPLLHLLQRRRNNISSKI >ONI00156 pep chromosome:Prunus_persica_NCBIv2:G6:4855185:4857482:-1 gene:PRUPE_6G071000 transcript:ONI00156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIQKLAKPFGLELLAQLQQGGSSPTPQTLNKIISSCATSTSLDLGIRLHAVVIKLGFCSNIYICSALVDMFGKCGSLANAQKQFDEMSDRNVVTWNSLISGYLQAELPKRAIGLFLEMLKVGVVPTPFSLSGALVGCSQLEAEELGAQVHGLSLKTGLCYNVVVGTGLIDMYSKCCSVNDSRRVFNQMPERNVITWTSMVTGYAQNGQSDEAMILAREMLRLGLKPNYVTYNSLLSSFSSPDFWDHCRQIHCRIMKEGFEFNVYIVVTLLTIYSDCSNSLEDFQKLCSCVAIWDQISWNAVIAGFSNIGSCEEALKCFSDMRQARIATNFFTFASILRAVGTLSDLEAGKKIHALIFKSGQASNFCVQNGLVSMYARCGAIHDSKWVFTLMNEHDVVSWNSLLAGYAHHGFGLETVELFEQMRRAGVKPDNTTFLIVLTACSHVGLVHQGLMYFDLMRNDDLLEPPRMEHYATVVDLFGRAGNLHEAQAFVDSMPIEPGPSVYKALLSACKVHGNKEIALRSAKKLQELWPNDPATYILLSNVLVTGGCWDDAAGVRKLMYDRGIRKTPGHSWI >ONI04624 pep chromosome:Prunus_persica_NCBIv2:G6:28871689:28872669:1 gene:PRUPE_6G330800 transcript:ONI04624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGREQHFQSLSICQRLYNIISRSLAYQTVKTVTLGPPMKQGLAQLHDESMPQKTSGRRPEEETHEAATIAQQAKPPKKTVSICDRVEDMEKAMKLRRRSKSFEKLNWLELAKEELKQKPLRSILKVGSGLSKKMAHICES >ONI01589 pep chromosome:Prunus_persica_NCBIv2:G6:12425295:12425528:1 gene:PRUPE_6G148000 transcript:ONI01589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQQGNNKGKGKEKENYESWTMDDTNELLHLLVDAINSGLRDVNGSLSKKNVKRVILSRLNAKITFPKTYNHYLS >ONI02776 pep chromosome:Prunus_persica_NCBIv2:G6:22676572:22677620:-1 gene:PRUPE_6G221400 transcript:ONI02776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVKGSIPKSENTKQYYEAIAQRFKKSEKAVKSTLLNQLINMRYDGQGCVRAHIMNMIDIETKLQDLELSSSCFKFTA >ONI03456 pep chromosome:Prunus_persica_NCBIv2:G6:25002530:25004537:-1 gene:PRUPE_6G257800 transcript:ONI03456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYEENPSQIDSSHVMSVLKRIKKRKFQAEQLGLPIPKHKCWERIFPSDEPVSMFDENPEVKNMQTCATKGKVERVILDGGSESESGKGSNSFAGDSDSATSVYVEAKLEPVYAMACLNDRPSTSYVNEVHQPTYPDGEIQAFENYDEHLQEFGNQVDYIFSEYGDDCIEQCKDKEFEDVIYPIGSNTNKYILSSGRWSVNQDNSDVQSASKRKPTIDQEFEQYFSTLML >ONI02435 pep chromosome:Prunus_persica_NCBIv2:G6:20525255:20526983:-1 gene:PRUPE_6G198600 transcript:ONI02435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHAKRSSSCSPKAQKLLTLSAHYLSHRDFSFCRKFALKARESDPHNSGADRILAVADVLLAADHQNPTDWCSILQIPPPGSENPTLVRTQFEKLKTLLEPSKNGFAFAQEAFELVQKAWSFLSDQDKKTHFANGSENGQETPKQREQKEKNVGENGKGCNVEETFWTVCPYCYYMFEYGKVYEDCCLRCQNCRKAFHGVAIKAPSPDIIVQGKEQYNFCFGYFQMEYVDPKKQMETEVTGNKDKDVVVISDDDDGDGTDDEFEDDLLDGNVGFEGMHEEKVKIGSEGVVGTDIGGSGRAELRSEGRTPVKRVKTLARRLKSVKSKSVARNTKKIMGNEMRSRRVELMGDERVEGADTSVEDGNGIDKGGSGSGSGMDGLEFFEGEDDIYVGIGDSPV >ONI00567 pep chromosome:Prunus_persica_NCBIv2:G6:6626193:6627387:-1 gene:PRUPE_6G095500 transcript:ONI00567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNPINSTLRPIHYLSQTQIDPVPKIASNGFLGIYDRFLLYNFYSTKPPSRSFRRRENKRVKSSKSTLDEVQFQRAISQLLPRFTPEELCNVITQQDDPIVCLELFNWASQQPRFKHDALYNSIIYFFTEARKLTRAVNIFKHMQNSRNLNCRPSIRTYNILFTAFLSRGSNSYINHMYMETIRCLFRQMVDDGIEPDIYSLNSMIKGYVLSLHVNDALRIFHQMGVVYNCLPNSFSYDYLIHGLCSQGRTNNAKQLCNEMKSKGFIPSSKSYNSLVNALALNGEVEEAVKYLWEMIEKQRSAEFITYRTVLDEICRQGRVGEAMRLLKEFQEKDLLNGHTYRKLLYVLEDDYGDSNAHSQFR >ONI04533 pep chromosome:Prunus_persica_NCBIv2:G6:28684963:28691547:-1 gene:PRUPE_6G326600 transcript:ONI04533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAAGTDNPATPTAVRIVVAGDRGTGKSSLIVTAATENFPANVPPLLPPTRLPEDFYPERVPITIIDTSSRPEDNSKVAEELKRADAIVLTYACDQPQTLDRLSTFWLPKLRQLEVKVPVIVVGCRLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQETQTLKDQCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQEKLPEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLADELIPSPTKRTPDQSVEMTNEAIDFLRVTFDVFDSDEDGALRPRELDELFSTAPASPFSEFPYTDAAERNAFGGLSLDGFLSQWALMTLLNPASTMENLIYIGYPGDVSSTIRVTRKRRLDRKKQQSERNVFQCFVFGPKRAGKSALLDSFLGRPFSDNYNPNTEERYAVNVVDQPGGLKKTLVLREIPEDGVSKLLSSKEALAACDIAVFVHDSSDELSWTRATQLLVEVASHGEDTGFEVPCLIVAAKDDMDSFPSAIQHSTRVSQDMGTEAPIPISTKLGDLNNLFRKIVSAAEHPHLSIPETEAGRSRKQYHRLINRSLMVVSVGAAVAIVGLAAYRVYAARKNASG >ONI04532 pep chromosome:Prunus_persica_NCBIv2:G6:28684963:28691537:-1 gene:PRUPE_6G326600 transcript:ONI04532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAAGTDNPATPTAVRIVVAGDRGTGKSSLIVTAATENFPANVPPLLPPTRLPEDFYPERVPITIIDTSSRPEDNSKVAEELKRADAIVLTYACDQPQTLDRLSTFWLPKLRQLEVKVPVIVVGCRLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQETQTLKDQCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQEKLPEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLADELIPSPTKRTPDQSVEMTNEAIDFLRVTFDVFDSDEDGALRPRELDELFSTAPASPFSEFPYTDAAERNAFGGLSLDGFLSQWALMTLLNPASTMENLIYIGYPGDVSSTIRVTRKRRLDRKKQQSERNVFQCFVFGPKRAGKSALLDSFLGRPFSDNYNPNTEERYAVNVVDQPGGLKKTLVLREIPEDGVSKLLSSKEALAACDIAVFVHDSSDELSWTRATQLLVEVASHGEDTGFEVPCLIVAAKDDMDSFPSAIQHSTRVSQDMGTEAPIPISTKLGDLNNLFRKIVSAAEHPHLSIPETEAGRSRKQYHRLINRSLMVVSVGAAVAIVGLAAYRVYAARKNASG >ONI04534 pep chromosome:Prunus_persica_NCBIv2:G6:28685545:28691537:-1 gene:PRUPE_6G326600 transcript:ONI04534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAAGTDNPATPTAVRIVVAGDRGTGKSSLIVTAATENFPANVPPLLPPTRLPEDFYPERVPITIIDTSSRPEDNSKVAEELKRADAIVLTYACDQPQTLDRLSTFWLPKLRQLEVKVPVIVVGCRLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQETQTLKDQCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQEKLPEGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLADELIPSPTKRTPDQSVEMTNEAIDFLRVTFDVFDSDEDGALRPRELDELFSTAPASPFSEFPYTDAAERNAFGGLSLDGFLSQWALMTLLNPASTMENLIYIGYPGDVSSTIRVTRKRRLDRKKQQSERNVFQCFVFGPKRAGKSALLDSFLGRPFSDNYNPNTEERYAVNVVDQPGGLKKTLVLREIPEDGVSKLLSSKEALAACDIAVFVHDSSDELSWTRATQLLVEVASHGEDTGFEVPCLIVAAKDDMDSFPSAIQHSTRVSQDMGTEAPIPISTKLGDLNNLFRKIVSAAEHPHLSIPETEAGRSRKQYHRLINRSLMVVSGEVYIKKARI >ONI03225 pep chromosome:Prunus_persica_NCBIv2:G6:24262652:24264780:-1 gene:PRUPE_6G245500 transcript:ONI03225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLGLLRASIMSSLSVRTAMAQNRCLTSLLREPARRFSTEAKNPLQDSSTSDQPLESSPSDKPQKSSPSNKPQESSPSESFFETPSTGSVYGRLLGVKRNTLKTDVVNLLEGCNLSLDDVKMDYNRWFTAIGMLVQFPSRQAYDNAIRMIAKKGRLFKLERANRAEWDSLTPYDGKTVLLQGIPPNAVPEDVDRFLSGCEYDSSSLQLSFRPSQEPAKWATVRFHTQTEAMNAFLVKNKGFCLNGEVLMRVLQ >ONI01774 pep chromosome:Prunus_persica_NCBIv2:G6:14093728:14095013:-1 gene:PRUPE_6G157500 transcript:ONI01774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVILIMEDENNNNNSNSNNNISVSVVIVGAGPSGIATSALLNSLSVSNLVLEKEDCCGSLWKKRSYDRLNLHLAKSFCSLPMMSHPFRTSIFMSKDDFIRYLDEYVARFNVNPRYCNDVEEAVYDEEEGKWRVTVKDTASGTVYEIASDFLVVATGENSQPFLPSDLPGMETFTGKVVHSSDYKNGAVFKDEKVLVIGCGNSGMEISNDLADNGAHTSHCC >ONH99685 pep chromosome:Prunus_persica_NCBIv2:G6:3169120:3174030:1 gene:PRUPE_6G043400 transcript:ONH99685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEAKLRYKLNKKIKIPVGNSKFSNNGRKKADSTLYESEKGKKGNLKPRVTGKKFHATEEGSRGVLNKREKFNSVNSDRRRKRIYGDQDAAGEAMRFSNSEAPYRKALSRKGQNQVKDGSFDNENNVIRSKLRPRPTWGSNGLQRTAFGTKRFSPTSPKVSNKTKIKDDFANEKNNSRSPLHHRSIWGSNGLENAASETKRFSSKDRRAKQVDNQASALKKHNKFKPDLSNRLDPSRESSPHISFSNSAKRKLRDNKILDEGSQVIDGQPKKRKRIRLDPHDISNKRFDDTIVINESTKEKEKDEEEKAEISKNAQFRAIQPSPSILKYVEDNLLGRRRMIELRRAGYNTELSAPLDNIPSSTSSERESIEENIFKNKLTFFAAAKVSSSFPPPDLPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFNLGSKLSLVDLPGYGFAYAKEEVKDAWVELVKEYVSTRVGLKRVCLLIDTKWGMKPRDHELIDLMERAQTKYQILLTKTDTVFPIDVARRAMQIEESLKAKKSLVQPAVMVSSKSGAGIRSLRTVLAKIARVAKV >ONI03146 pep chromosome:Prunus_persica_NCBIv2:G6:23996066:23999699:-1 gene:PRUPE_6G241400 transcript:ONI03146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNADVVLSDPSDDGVNLSESVELDDMGLEVGDGGTNEGLEGKGPKGELVNIVEDSEVKIGSLDRVTEEKGSVVSSQVGIDGGEGREGLKGPQFGAGGDGIAANNKGLVLESEDTFRSVESSFSFEKDRGKDEISRERAESEIVSAVDGDEAKLNVAVHGTDDSMRDDKKEDVALVAEIAYVEKERGQNVEQGQAGEQSLDASSSMQDNVKLESLGTTGSVGQVTDDIVAVDQKVVNHNESLHLKDLNLSSHSEMLTSDGLENQALEVDVEAQTNENKLTCDDAPWVSKNTEKGPNLSSMVIDSNPSMRTDGNVSMDVKSTSSELEFHGSDLVWGKVRSHPWWPGQICDPSASSEKANKYFKKGTYLIAYFWDQTFAWNEAPKIKPFLKHFSQMEKQSDIEEFHDAIACALDEVSRRVEFGLACSCISKDVYSKLKTQIISNAGIREEASRRDGGDSSLSAASFEPVKLIKFIKELAQFPYSRADRLELVTSRAQLSAFYRWKGYSQLPEFSMLGGLLDDDDILLLEKKHNGEVTENALPVIKDDDLMEKSKITDNSSRKRKHISGDSMCPSKKEKSLSDVVAEKYLSTSTSENGSEGKSGCNLISQSSSKKRKAVDSLAGDSAVKQWRSDSSTGPDSNSLQNKQAFRVGDRICRVASQLSGLSPILKNYNATSTEGAVQDKGKVKTVSEKAQTERLAGREYPSPDEMLSQLYLAAINPMNGYSFLTSPITCFSEFRNTICLDCPGPEEHQLSLNQLFGGKLGKKSARTGKKSISSGITEKSETEAIPHEQPSRKNQNENGKLVPGAPTDKDTSTAEPQSSLELNPNLDSEQKIGGRDLDLETSKPALHMNESCEKDLSPTALILKFTDLESVPSEANLNKIFSCYGPLTEVLRKSSRATVVFRRRSDAETAFSSTGKYSTFGPSLVSYRLKFLPPTPSKASPSPSATKRGRKPATSLEGNAAN >ONH99301 pep chromosome:Prunus_persica_NCBIv2:G6:1911186:1916310:-1 gene:PRUPE_6G023900 transcript:ONH99301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNDEVRFTSSPSVKVPRPPQNRQLDLPFLFARTRNSFAQLIDSLKTRSAFAQFPPLKWPPFLSTELNQCIAVTQNGRSHSLPILCSASLSLTRSADSAESESRNRNADHSQFVGKSPLLCSASLSLTRPDESTQSQQKGHSSSRHDEERVLISEVLVRNKDGEELERKDLEAEALAALKACRPNSALTVSEVQEDVQRIFDSGYFCSCMPVAVDTRDGIRLIFQVKPNQEFQGLVCEGANVLPAKFIKDAFCDGYGKVINLKRLNEVISSINDWYMDRGLFAMVSAVESLSGGVLKLQVSEAEVNNISIRFLDRKTGEPTVGKTKPETILRQLTTKKGQVYSMLQGKRDVETVLTMGLMEDVSIIPQPAGDAGKVDITMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLHVSLERGQIDSIFRINYSDPWIAGDDMRTSRTIMVQNSRTPGTLIHGNQQDGSNLTIGRITAGIEFSRPIRPKLSGTAGLIFQHAGARDERGNPIIKDFFSSPLTASGNNHDDMLLAKLESVYTGSGDHGSSMLVLNMEQGLPVLPEWLVFNRINARARKDLELGPARFLLSLSGGHVVGNFPPHEAFAIGGTNSVRGYEEGAVGSGRSYTVGSGEISFPVIGPVGGVIFADYGTDLGSGPTVPGDPAGARLKPGSGYGYGFGIRLDSPLGPLRLEYAFNDKHTKRFHFGVGHRN >ONH99300 pep chromosome:Prunus_persica_NCBIv2:G6:1911147:1916337:-1 gene:PRUPE_6G023900 transcript:ONH99300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNDEVRFTSSPSVKVPRPPQNRQLDLPFLFARTRNSFAQLIDSLKTRSAFAQFPPLKWPPFLSTELNQCIAVTQNGRSHSLPILCSASLSLTRSADSAESESRNRNADHSQFVGKSPLLCSASLSLTRPDESTQSQQKGHSSSRHDEERVLISEVLVRNKDGEELERKDLEAEALAALKACRPNSALTVSEVQEDVQRIFDSGYFCSCMPVAVDTRDGIRLIFQVKPNQEFQGLVCEGANVLPAKFIKDAFCDGYGKVINLKRLNEVISSINDWYMDRGLFAMVSAVESLSGGVLKLQVSEAEVNNISIRFLDRKTGEPTVGKTKPETILRQLTTKKGQVYSMLQGKRDVETVLTMGLMEDVSIIPQPADAGKVDITMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNLFGRNQKLHVSLERGQIDSIFRINYSDPWIAGDDMRTSRTIMVQNSRTPGTLIHGNQQDGSNLTIGRITAGIEFSRPIRPKLSGTAGLIFQHAGARDERGNPIIKDFFSSPLTASGNNHDDMLLAKLESVYTGSGDHGSSMLVLNMEQGLPVLPEWLVFNRINARARKDLELGPARFLLSLSGGHVVGNFPPHEAFAIGGTNSVRGYEEGAVGSGRSYTVGSGEISFPVIGPVGGVIFADYGTDLGSGPTVPGDPAGARLKPGSGYGYGFGIRLDSPLGPLRLEYAFNDKHTKRFHFGVGHRN >ONI03565 pep chromosome:Prunus_persica_NCBIv2:G6:25408662:25415034:1 gene:PRUPE_6G265400 transcript:ONI03565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGEGAVQEIVPQKEKEPTEDEKRRQKIAPGTLMKAVVRPGGGDATPSDNYQVVYHYTVRTLDGVVVESSRSEYGGKGIPIRHVLGKSKMIVGLLEGIPTMLKGEIAMFKMKPQVHYGEDDCPVVAPSSFPKDDELHFEIEMIDFFKAKVISDDLGVVKKVITEGQGWECPREPYEVKAWICAKTGDGKVIVTRTQGEPFFFNFGKSEVPKGLEMGIGTMTREEKAVIYVTSQYLTTSPFLPAVEGLEEVHFEVELVHFIQVRDMLGDGRLIKRRIRDGKGEFPMDCPLQDSLLRVHYKGMLQNEEKTIFFDTRVDNHGQPLEFCSGEGLVPEGFEMCVRLMVPEEIALVTCPPDYAYDKFPRPANVPEGAHIQWEIELLGFEMPKDWTGLNFQTIMEEAEKIRNTGNRLFKERKFELAKAKYDKVLREFNHVNPQDDEEGKIFSNTRNLLHLNVAACYLKMGECRKSIETCNKVLEANPGHVKALYRRGMAYMTVGDFEEAKSDFNTMIKFDKSTEPDATAALLKVKQEEQEVEKKVRKQFKGLFDKKPGEIAEAGTEDVDETAGEIHKNDDKEDSDGDHSDESHETADDAPRTSWLSRLWPRRLLAALGRPGCTIL >ONH99094 pep chromosome:Prunus_persica_NCBIv2:G6:824672:826429:-1 gene:PRUPE_6G011200 transcript:ONH99094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTESLVGNLVRKSYEMEGSLTFKLGEEELERGMRSPIILRPKQNRGTNEPQKKRKQSAKNWKKRRKDLQKWGNKISRDPKKKRSIVFWLKKKIILIISRSFDHHHDDVFDDPESTSAAPEAEPQPSVPAPAPAARPKPTKYQNLDWEKIIVVYCLSTAVAMALTPVQVHSNQLPLTFRFLGLTVLFSFACIMVSKFIHNNNCPRITVDLFHSFGVFFGITAFLICIAIPFPLWFKCTASVIYVVSGLVIMFCNYFL >ONI04836 pep chromosome:Prunus_persica_NCBIv2:G6:29515819:29517265:1 gene:PRUPE_6G342400 transcript:ONI04836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPLKGNKNEERDDRRTLGLVLLRGEEVVSMTVEGPPPQEESRAKAASAAALAGPGVGRAAGRGIPTAPLAQAQPGLSGPVRGVGGPAPGMMQPQISRPPQMSAPPMSYPAAPVIRPPPGQMAPYPGQGPPQMVRGPPPGVPPPQFARPGGPGPPQQFPGPPPMQFGQRPMGPPPQMMRGPPPPPRPGMPPPPGGGVPVYGPPRPGMPPPPPNQQNQQQQ >ONI00279 pep chromosome:Prunus_persica_NCBIv2:G6:5440275:5442708:-1 gene:PRUPE_6G079900 transcript:ONI00279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPIIRVQSCLRRAWQSPQNHRHKNIENFEGTASPRVALSVFSSISFWLKPPIRYCLNQRMGRKAGTVYLNPKKFGALHKPCMKDMLAFLNCLALNHNNDDKCARQKELLGTCMDSQSNKNRKSMGSINYHLQRLSRGRK >ONI00714 pep chromosome:Prunus_persica_NCBIv2:G6:7138388:7140541:1 gene:PRUPE_6G102500 transcript:ONI00714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLILGLGRAFRRKRTSSLDILSSKRAPRDYYKGKNCKPIGFHTRKAKYVVQQEKLPNYVVPDLTDFKLKPYVSQCPRDVKTSESAGSTK >ONH99563 pep chromosome:Prunus_persica_NCBIv2:G6:2725480:2726267:-1 gene:PRUPE_6G036100 transcript:ONH99563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRETLTSSDGRAVLGRCCKCLKEALIRTSWTDLNSRRREVDGVICRSGQTQKCAIFRIRSFPGC >ONI00493 pep chromosome:Prunus_persica_NCBIv2:G6:6275564:6276703:1 gene:PRUPE_6G091500 transcript:ONI00493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCKGIASDVITYTSLIHGVCKLGKWKEATRLLNEMVSKNIFPDVCTFNVLVNALCKEGMVGEAEGVVGVMVQRDIEPNTVTYNSLMDGYCLRGEIGKAQNVFELMLSKGSMVNVVSYSTLINGYCKHKRIDESMMLFLDMSHKGLVANTITYNILLDGFGKAGRIQDAQKLFSKMQACGQHPNAQTYSILLDGLCKNRQLSRAMQLFGEMEAKKLDINIVIYNILIEGLCIAGKIESARDLFCGLSSKGLQPNVRTYTIMISGLCFAGLTSEAENLLIQMEEKGCSPDGWTFNTIIRGFIHNKQTSRAMVLIQTMVEKGFSADASTMELIVNLLSKDEVDPALLPLIK >ONI01527 pep chromosome:Prunus_persica_NCBIv2:G6:11709475:11712384:-1 gene:PRUPE_6G144200 transcript:ONI01527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESASHEKVGLLASWWVRLTAMPNMIACKVSEIAKNTKKLGQDDPRRVFHSLKVGFALTLVSLFYYYQPLYDNFGVSAMWAVMTVVVVFEFSVGATLGKGLNRALATLLAGALGIGAHHLASLSGQIGEPIVIGFFVFLQAATSTFIRFFPQIKARYDYGLLIFILTFSLISVSGFRDDEILELAHKRLATIFIGGSACVIISIVVCPVWAGEDLHNLIAANIENLGSFLEGFGDEYFKTLEDAESKEDKAFLQAYKSALNSKSSEESLANFARWEPGHGRFHFCHPWKQYLKVATLTRQCAYRIEALHSRLTADNKVSPEILNIIQESCTKLSLETGKALKELAAAFKEMTKSPSPETHMAKAKAAAKSLRSLLKSGLWEDTELLEVMPAATVGSLLLDVVNCSEKIIESVNELAFLANFKTVEATVSQEKSQLGKLDTKEKNPNMDVVVTIAEAPSVLPENGKKVGSQQVEV >ONI02252 pep chromosome:Prunus_persica_NCBIv2:G6:19567712:19576045:-1 gene:PRUPE_6G187200 transcript:ONI02252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSQTLPQTPESDYEGTQRQSIVIPSKHITIANTFEKKEHSWFITSHVSTDLTIQVQDVTFNVHKYPLISKCGYIGRLEFQPSISNFGYDLKLESFPGGSETFEIILKFCYGLPMDLNPNNIAPLRCASEFLEMTEDLQDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKTSKVNIVGDTDCQEGWWFNEVATFRIDHFMRIITAINAKSTRPEVVGKCIMHYAERWLPGMNAEFEGLRGYGFGKNDLQFSILSRSKEEGGYVHSKEQKAIIESLVSVLPPQNEAISCKFLLRMLKMAMLYSASPALISELEKRVGMMLEDANVNDLLIPSCNNADQGKLVDTVHLKNAQCTMHDTEVVQRIVEYFLMHAQQGQQQKTARINVSKLLDNYLAEIAEDPNLSITKFQILAELLPENARTCDDGLYRAIDTYLKTHPSLPEHDRRRLCRIMNCDKLSLDACMHAAQNDRLPMRTIVQVLFSEQVKMRTAMQEKESQSGNNSEQEGNHSSTDAEIKNLKAELENVKIKMAELQSDYSELQQEYERIGSKQKNVSGWSLGWRKIKNSFHAKVEGNETGEGQQRPNPAGRRPPSRRRSSMS >ONI03676 pep chromosome:Prunus_persica_NCBIv2:G6:25916442:25917403:1 gene:PRUPE_6G274500 transcript:ONI03676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLILGALPIPTQTLTFSSRISFSQSETVSISLPSSTASLSVSTATTPLVPSVYCGRGDKKTERGKRFNHSYGNARPRNKNKGRGPPRVPVPVAPPKKDKFEDDTVVKVEIDESLS >ONI03677 pep chromosome:Prunus_persica_NCBIv2:G6:25916442:25917403:1 gene:PRUPE_6G274500 transcript:ONI03677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLILGALPIPTQTLTFSSRISFSQSETVSISLPSSTASLSVSTATTPLVPSVYCGRGDKKTERGKRFNHSYGNASEQEQGKRST >ONI00444 pep chromosome:Prunus_persica_NCBIv2:G6:6109489:6111237:1 gene:PRUPE_6G089400 transcript:ONI00444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNKNETPAPKQIYCRKINIQSITYFYKYLAQGSTTASQKYRTSIFPLSVAMTTFKLLMFLSLQMFFTTMSSIQAANSKLFREYIGAENNGVTFEEVPVDSKVEFHFILSFAIDYTQSNHPTPTNGNFNVFWDTQNLTPAHVSAIKARHQNAKVALSLGGDTVSNKYAYFSPTSINSWVRNAINSIVQITEKYHLDGIDIDYEHFQTDPNTFAECIGRLLFYLKQNNIVSFTSIAPYENDAVQPYYLALWRKYGHLIDYVNFQFYAYDKGTTINQFLKHFEIQSSNYKGGKILVSFGTDGSGGLSPEHGFFEACARLQRQGKLHGIFIWSADDSKKAHFRYEKQSQTFLASIK >ONI03911 pep chromosome:Prunus_persica_NCBIv2:G6:26803481:26804167:-1 gene:PRUPE_6G290500 transcript:ONI03911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRFILVFLSAIVAGFFVMRNLTFNPDLENLFLSDSASTSDQLQDQSTYPSFSSKAVCAAASGFWTFIDMASGRYLWKTFVSSSSSSTA >ONI01997 pep chromosome:Prunus_persica_NCBIv2:G6:17692164:17696792:-1 gene:PRUPE_6G171800 transcript:ONI01997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSKLHRLDLRSSFLTGSAPFDARMTAFPPRLCSVSIRIAAQSTRGNNTNSIKTTNLYKPRRPNAKIPTRISALAVETSVAETTAENDIESLFSDNSKNEFEHKRGNKNSNSGASGISSGVKLENVRKSYKGVTVLKDVSWEVKKGDKVGLVGVNGAGKTTQMRIIAGLEEPDSGNVIKAKPNMKIAFLSQEFEVSLSRTVKEEFMSAFKEEMEIAEKLEKVQKALENSVNDLELMGRLLDEFDKLQNRAQAVDLDMVDAKINKLMPELGFAPEDSDRLVASFSSGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEDYLNQQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTYDGNYSEYFIAKAAWIETQNAAWEKQQKEIEQTKDLIQRLGAGANSGRASSAEKKLEKLQEEDLIERPFQRKQMKIRFPERGRSGRFVATIKNLEFGFEDKVLFNRANLAIERGEKIAIIGPNGCGKSTLLKLIMGLQKPIAGEVEIGEHNVLPNYFEQNQAEALDLNKTVLETVEEAAEDWRLDDIKGLLGRCNFKSDMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAITEYKGTVITVSHDRYFIKQIVNRVVEVKDRKLQNYAGDYNYYLEKNLDARERELEREAEIEEKAPKVKAKSKMSKAEKEARKKQKMQAFQQAKAKSKGTKNAKRWN >ONI01996 pep chromosome:Prunus_persica_NCBIv2:G6:17692374:17696567:-1 gene:PRUPE_6G171800 transcript:ONI01996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSKLHRLDLRSSFLTGSAPFDARMTAFPPRLCSVSIRIAAQSTRGNNTNSIKTTNLYKPRRPNAKIPTRISALAVETSVAETTAENDIESLFSDNSKNEFEHKRGNKNSNSGASGISSGVKLENVRKSYKGVTVLKDVSWEVKKGDKVGLVGVNGAGKTTQMRIIAGLEEPDSGNVIKAKPNMKIAFLSQEFEVSLSRTVKEEFMSAFKEEMEIAEKLEKVQKALENSVNDLELMGRLLDEFDKLQNRAQAVDLDMVDAKINKLMPELGFAPEDSDRLVASFSSGWQMRMSLGKILLQPDLLLLDEPTNHLDLDTIEWLEDYLNQQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTYDGNYSEYFIAKAAWIETQNAAWEKQQKEIEQTKDLIQRLGAGANSGRASSAEKKLEKLQEEDLIERPFQRKQMKIRFPERGRSGRFVATIKNLEFGFEDKVLFNRANLAIERGEKIAIIGPNGCGKSTLLKLIMGLQKPIAGEVEIGEHNVLPNYFEQNQAEALDLNKTVLETVEEAAEDWRLDDIKGLLGRCNFKSDMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAITEYKGTVITVSHDRYFIKQIVNRVVEVKDRKLQNYAGDYNYYLEKNLDARERELEREAEIEEKAPKVKAKSKMSKAEKEARKKQKMQAFQQAKAKSKGTKNAKRWN >ONI01210 pep chromosome:Prunus_persica_NCBIv2:G6:9930203:9931812:-1 gene:PRUPE_6G128300 transcript:ONI01210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKNTCLDVHAALIVTLILLTAIIAVANDDTPVPADYSQVNTWFNNNVKSYKERQGTLDPALVTAEVGQTVVKVMKDGSGEFKTITDAVNSIPADNTKRVIVYIGGGEYNEKITIPRNKPFVTFYGSPTNMPTLTFSSGAPKYGTMDSATVIAESDYFVAVNLIIKNSSPKPARNSVGQQALALRVSGTKSALFNCRLIGFQDTLCDDKGNHFFKDCFIEGTVDFIFGSGKSLYLNTELHVLGDNEMTVITAQARDSASEDTGYSFVHCNITGTGNGTYLGRAWRISPRVVFSYTGMSEVITPAGWNNKNRPERDSTVFYGEYKCSGPGSNMVGRVKYAKQLNEEQIKPFLNLGYIQGSKWLLPPPNPKV >ONI02346 pep chromosome:Prunus_persica_NCBIv2:G6:19988221:19991188:-1 gene:PRUPE_6G192600 transcript:ONI02346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLILRTPVPANLISGACYIFRCLLSPQFKLFSTQDVYVCNVKIGDLSRAGKIEAARQLFDEMPTKDVVTWNAIVTGYRKNGYFGESKRLFGLMPARNVVSWNSMIAGCFENEMVDEAFRYFRSMPERNIASWNAMISGYVKYDRLEEASRLFEDMPRRNVISYTAMIDGYAKKGDLERARALFDCMPHKNAVSWTVLISGYVENGKFDEARELYEQMPEKNVVAMTAMVTGYSKEGKMGEARTLFDQIQCKDHVSWNAMITGYTQNGSGEEALKLHSQKLKIGLRPDKCTLVSVLTACSTLALLEEGRQAHVLIIKHGYESNLSICNALITMYSKCGAILDSELAFKQIESPDLVSWNTIVAAFTQHGLYERALAFFNQMGLLGFQPDGITFLSLLSACAHAGKVNESIDLFEAMVSNYGIAPRSDHYACLVDILSRAGQLEKACKIIQEMPFDADCQIWGSLLASCSVYVNVQIGELAAKKILDLNPHSSGPYVSLSNIYAAAGKWRDVARLRTLMKEHGVKKQHAHSWTEIGNKVHIFLGGDISHPDIDKIHLMLKRISLHMAVDDFAEIGVPWSCSY >ONI01747 pep chromosome:Prunus_persica_NCBIv2:G6:13810777:13819705:-1 gene:PRUPE_6G156500 transcript:ONI01747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVSLLLCFLLIKFSSGTDNFTRDDFPPGFVFGASSSAYQVEGAADEDGRTPSILDTFAHAGNFDGATGDVACDEYHKYKEDVKLMADTGLEAYRFSISWSRLIPNGKGPVNPKGVQYYNNLIDELISNAGIQPHVTLHHSDLPQALEDEYGGWVGREIVKDFTAYADVCFKTFGDRVLYWTTMNEPNVFSLGGYDIGFLPPQRCSAPFGVNCSRGNSSVEPYIAVHHLLLSHASAARLYKKKYQDKQHGFVGINVFAYWFVPLTKTIEDELAAQRALDFDSGWILNPLVFGDYPDVMKKNAGSRLPAFTSLESESVKGSCDFLGINYYNTLYAKDYSSVLKKEKRDFIADSGIKIMSLQNDTTFEFPVTPWGLQQVLEYVKQNYGNPPIYIQENGQRTRRNSSLEDWPRVKYLDGHIQNFA >ONI02774 pep chromosome:Prunus_persica_NCBIv2:G6:22664879:22669519:-1 gene:PRUPE_6G221200 transcript:ONI02774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVVKGPRPLICGPPRGAESGPLAVPSLSGAKLGFLRVKGSNAIGSRFLRPIKAMEDSSVSLRNDCAAISGRNLRQMSTMENPTNIVWHKSPVEKLDRQHLLQQKGCVIWITGLSGSGKSTVACALSQGLHMRGKLTYILDGDNVRHGLNRDLSFKAEDRAENIRRIAEVARLFADAGIICIASLISPYRKDRDVCRALFPEGDFIEVFMDVPLHVCENRDPKGLYKLARAGKIKGFTGVDDPYEPPLNCEIVLQQKGRDCVPPGEMAETVIAYLEEKGYLQA >ONI04379 pep chromosome:Prunus_persica_NCBIv2:G6:28251608:28254648:1 gene:PRUPE_6G318100 transcript:ONI04379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDHLPEDCFAHILSFTSPGDACHCSLVSWSFRTMADLDSVWEKFLPSDHAEILSRLVCPITYSSNKELFIKLCRPNLIDGGKKMFSIEKSTSKKCYMLSARDLSITWACNPLYWTWRPLVESRFAEVAELRTIWWLEICGTMNTQMLSPKTVYGAYLIAKLADRAYGLDSLPSEVSLEVGNFKSQGTVYLSTRHDQSRKQAAAADLEHEHFSKRIGASRRRVLEGDHGGGLCERKDGWMEVEIGSFYNEGCANNDVKMSVKEVKGAHLKGGLIVEGIEIRPKI >ONI04380 pep chromosome:Prunus_persica_NCBIv2:G6:28252447:28254648:1 gene:PRUPE_6G318100 transcript:ONI04380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIEKSTSKKCYMLSARDLSITWACNPLYWTWRPLVESRFAEVAELRTIWWLEICGTMNTQMLSPKTVYGAYLIAKLADRAYGLDSLPSEVSLEVGNFKSQGTVYLSTRHDQSRKQAAAADLEHEHFSKRIGASRRRVLEGDHGGGLCERKDGWMEVEIGSFYNEGCANNDVKMSVKEVKGAHLKGGLIVEGIEIRPKI >ONI04280 pep chromosome:Prunus_persica_NCBIv2:G6:28020758:28022778:-1 gene:PRUPE_6G313200 transcript:ONI04280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQKKRIQLVAFIAGIIVLSITAEKCRQLVGEKYASRSGQFTFLNCFDMSSGTLACSVKEGVKLYVNNIRASHVERIRYKALESALADALSQGIPAKDAAKQAQKAGAKAAKLATRQAKRIIGPIISSGWDFSEAIYYGGAVTEGTVRGTGTLFGTYAGGFLGEQRLGRFGYLVGSQLGSWVGGRIGLMVYDVINGVNYLLQFGLPENSEVRETSAYESSEGSEAEAFEASNTYESSETYEHSELR >ONI01605 pep chromosome:Prunus_persica_NCBIv2:G6:12636902:12638694:-1 gene:PRUPE_6G149100 transcript:ONI01605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSTIFPYKTTKQHGKNTQQLHSPTSLLLPISSPLLFLPFLTILASPPHHHNTSMSTSTTTPPNTLSYEECRGVLRVYNDGSIVRSPNPSFDVPILDDGSVAWKDVVFDPTNHLQLRLYKPAATTRSPSSSKKLPIFYYIHGGGFCIGSRAWPNCQNYCFQLASQLQCVVVSPDYRLAPEHRLPAAIHDGAAALQWLRAQAEADEPDTWLTDVADFENVFVSGDSAGGNIAHNLAVRFGPGSAELGPVRVRGYLLLAPFFAGTVLSKSEAEGPKDAFLNWELIDRFWRLSIPIGENTDHPLVNPFGPFSRSLEPVALDPILVVVGGSDLLKDRAEDYAKRLKNWGKKVEYVEFEGEQHGFFTVHPNSQAAKDLMPILKRFITENSS >ONI03868 pep chromosome:Prunus_persica_NCBIv2:G6:26569232:26569522:1 gene:PRUPE_6G287200 transcript:ONI03868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLSCKLITFLHRPLFWSHPHLVLGFFFDLKSVRDALSKCNFLPLIWCSWLLHILRIHTPSISSCITCVQRM >ONI04113 pep chromosome:Prunus_persica_NCBIv2:G6:27493213:27498216:-1 gene:PRUPE_6G303500 transcript:ONI04113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSEFYRMAGRVDSSQDFEPVNDFVELVWENGQIMMQGQSSRTRKSPTCNNSLPSYTPKNRDKDVGNGNIAKTGKFGSVESVLDEIPLSVPSSEMGLSEDDEMLPWLNYSIDEPLHHEYCDEFLPELSTVTANEISSNSNLASMDKRSSSSQVYRDSNTNSAHEGAYLEQRNAPKVASIDGADVSRPRTGTSQLYPLSSQQSQSLFPSFRSRVSDIVGDNTGNATHPTVCKNSTQVSSAGVFPGIKMQRQDPVMPSNNNSSVVNFSHFSRPAALVKSNLQSIGVVAGSGLSSMEKIGNKGKVSAATSNNPPESTLIDSSSGLSKESNSQCQHITVTSNVELKPTEAKPLEESCAAKQSEAACQEDASKNDTNTNHIPCESANRVLRDGEKTLEPVVASSVCSGNSVERGSDDPTHALKRKSRDTDESECHSDDVEEESVGVKKIAHARGMGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPPMMFPAGMQHMHAPRMAHFSPMGLGMGMGMGLGMGFGMGMPDMNGGSSSFPMLQVPPMQGAHFPNSPMAGHTAFNGMVGSNLQMFGLPGQGVPMPMQRPPLVPSSGGPFMKSSVGLNACGAGGPMENAESTPVSGSKDSVQNMNSQVVQNTNANSSMNQTSSQCQATNEGFGQPALVRNNVQAADVDNNRANRSSSGNDVVLSRTAS >ONI02061 pep chromosome:Prunus_persica_NCBIv2:G6:17959164:17964486:-1 gene:PRUPE_6G175100 transcript:ONI02061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARVSEPLNLHPTTNSSGTAQPEAKGEKKKKKVFQRTAQARAQDSTTNTQHKKEKGRKRKTSTHFPSLAFPFSISHNHSELNSMSGRRNQTSPVGSPLSGNVSDGSSKEQDKFLPIANVSRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCLREKRKTINGDDLLWAMTTLGFENYVGPLKGYLNKYRETEGEKNSMTRQEEDPSQQQQQHLNTSNTNMQHSSNNEQMNTVLNANNISMSTSKVDLFNGGFYFLEGQQQQQEVTQNYNLVSAGAYNLSRINESGDVNGNRDLATHHLHNGIGW >ONI03088 pep chromosome:Prunus_persica_NCBIv2:G6:23782125:23783042:1 gene:PRUPE_6G237700 transcript:ONI03088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLPQSSAPISYNLAVQQVGSTIVICLRAQRVPQPWPSKSENSYLNEATVIVISPTPTSCLSALLSFGCTGREEEEEEEEKGKRKLHYVKSRIYPSDSPHMQFT >ONI01124 pep chromosome:Prunus_persica_NCBIv2:G6:9141545:9144837:-1 gene:PRUPE_6G122800 transcript:ONI01124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMRPTCEIVESRDEVDSQSKTDKKGGKHCMLKSGNKYSIEDDINQLFQAIDGRNSARISGLSNEASKDALRKSAMKRPMRVSSSNASGIGISEPVSLKQALRGLCISQASEMAAMKRLSRPGRSSGVSEAGTIKRLYRAVVDEANGSGLPMNQVKGNYVEVSLVPEASKNSEKNPGSMRSEPQVHPATTFTDAMPDASLTRLAPQDQISPFPTEVKSEKLKGEDRKLESTDSSSNFHDSKKVMQMGAVASISTEVPLNTSNSDKGLHSAASPSCSSAGSKVSKSSGSSSHLIKPVLGSKSFVKKNVKQDSSSGSSSSASDNRKVDNDLGPSTSKLKDQTHNCTPKHEREGNEKESLVSSSTSLSKEMNSVLVNRGTSKPDFPLNCSNRTKSIVTKVDERSRSREKGDFSQSSKSSIGDYSSTTSTSEESSLSGSGRSGKRPHMSRDLRWEAIHHVQKQHGTLGLRHFKLLRRIGSGDIGTVYLAELTGTNCVFALKVMDNEFLVTRKKMIRAQTEREILEILDHPFLPTLYAHCVSEKLSCLVMEYCPGGDLHVLRQKQPGRSFCEQAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCAVNPTLLKSSSPVLESTKKMSSPCIESSCIDPFCLQPSWQVSCFTPRLLSAAAKSRKIKSELAAQVSPLPQLVVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLFELLYGRTPFKGSGNEDTLANVVSKSLKFPNTPIVSFHARDLIRGLLIKDPENRLGSVKGAAEIKQHPFFEGLNWALIRCAVPPELPRWCDVGFGAPSASQKQEGKELEGIGEHIDFELF >ONI01122 pep chromosome:Prunus_persica_NCBIv2:G6:9141197:9145261:-1 gene:PRUPE_6G122800 transcript:ONI01122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMRPTCEIVESRDEVDSQSKTDKKGGKHCMLKSGNKYSIEDDINQLFQAIDGRNSARISGLSNEASKDALRKSAMKRPMRVSSSNASGIGISEPVSLKQALRGLCISQASEMAAMKRLSRPGRSSGVSEAGTIKRLYRAVVDEANGSGLPMNQVKGNYVEVSLVPEASKNSEKNPGSMRSEPQVHPATTFTDAMPDASLTRLAPQDQISPFPTEVKSEKLKGEDRKLESTDSSSNFHDSKKVMQMGAVASISTEVPLNTSNSDKGLHSAASPSCSSAGSKVSKSSGSSSHLIKPVLGSKSFVKKNVKQDSSSGSSSSASDNRKVDNDLGPSTSKLKDQTHNCTPKHEREGNEKESLVSSSTSLSKEMNSVLVNRGTSKPDFPLNCSNRTKSIVTKVDERSRSREKGDFSQSSKSSIGDYSSTTSTSEESSLSGSGRSGKRPHMSRDLRWEAIHHVQKQHGTLGLRHFKLLRRIGSGDIGTVYLAELTGTNCVFALKVMDNEFLVTRKKMIRAQTEREILEILDHPFLPTLYAHCVSEKLSCLVMEYCPGGDLHVLRQKQPGRSFCEQAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCAVNPTLLKSSSPVLESTKKMSSPCIESSCIDPFCLQPSWQVSCFTPRLLSAAAKSRKIKSELAAQVSPLPQLVVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLFELLYGRTPFKGSGNEDTLANVVSKSLKFPNTPIVSFHARDLIRGLLIKDPENRLGSVKGAAEIKQHPFFEGLNWALIRCAVPPELPRWCDVGFGAPSASQKQEGKELEGIGEHIDFELF >ONI01123 pep chromosome:Prunus_persica_NCBIv2:G6:9141176:9146535:-1 gene:PRUPE_6G122800 transcript:ONI01123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMRPTCEIVESRDEVDSQSKTDKKGGKHCMLKSGNKYSIEDDINQLFQAIDGRNSARISGLSNEASKDALRKSAMKRPMRVSSSNASGIGISEPVSLKQALRGLCISQASEMAAMKRLSRPGRSSGVSEAGTIKRLYRAVVDEANGSGLPMNQVKGNYVEVSLVPEASKNSEKNPGSMRSEPQVHPATTFTDAMPDASLTRLAPQDQISPFPTEVKSEKLKGEDRKLESTDSSSNFHDSKKVMQMGAVASISTEVPLNTSNSDKGLHSAASPSCSSAGSKVSKSSGSSSHLIKPVLGSKSFVKKNVKQDSSSGSSSSASDNRKVDNDLGPSTSKLKDQTHNCTPKHEREGNEKESLVSSSTSLSKEMNSVLVNRGTSKPDFPLNCSNRTKSIVTKVDERSRSREKGDFSQSSKSSIGDYSSTTSTSEESSLSGSGRSGKRPHMSRDLRWEAIHHVQKQHGTLGLRHFKLLRRIGSGDIGTVYLAELTGTNCVFALKVMDNEFLVTRKKMIRAQTEREILEILDHPFLPTLYAHCVSEKLSCLVMEYCPGGDLHVLRQKQPGRSFCEQAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCAVNPTLLKSSSPVLESTKKMSSPCIESSCIDPFCLQPSWQVSCFTPRLLSAAAKSRKIKSELAAQVSPLPQLVVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLFELLYGRTPFKGSGNEDTLANVVSKSLKFPNTPIVSFHARDLIRGLLIKDPENRLGSVKGAAEIKQHPFFEGLNWALIRCAVPPELPRWCDVGFGAPSASQKQEGKELEGIGEHIDFELF >ONI01121 pep chromosome:Prunus_persica_NCBIv2:G6:9141197:9146314:-1 gene:PRUPE_6G122800 transcript:ONI01121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMRPTCEIVESRDEVDSQSKTDKKGGKHCMLKSGNKYSIEDDINQLFQAIDGRNSARISGLSNEASKDALRKSAMKRPMRVSSSNASGIGISEPVSLKQALRGLCISQASEMAAMKRLSRPGRSSGVSEAGTIKRLYRAVVDEANGSGLPMNQVKGNYVEVSLVPEASKNSEKNPGSMRSEPQVHPATTFTDAMPDASLTRLAPQDQISPFPTEVKSEKLKGEDRKLESTDSSSNFHDSKKVMQMGAVASISTEVPLNTSNSDKGLHSAASPSCSSAGSKVSKSSGSSSHLIKPVLGSKSFVKKNVKQDSSSGSSSSASDNRKVDNDLGPSTSKLKDQTHNCTPKHEREGNEKESLVSSSTSLSKEMNSVLVNRGTSKPDFPLNCSNRTKSIVTKVDERSRSREKGDFSQSSKSSIGDYSSTTSTSEESSLSGSGRSGKRPHMSRDLRWEAIHHVQKQHGTLGLRHFKLLRRIGSGDIGTVYLAELTGTNCVFALKVMDNEFLVTRKKMIRAQTEREILEILDHPFLPTLYAHCVSEKLSCLVMEYCPGGDLHVLRQKQPGRSFCEQAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCAVNPTLLKSSSPVLESTKKMSSPCIESSCIDPFCLQPSWQVSCFTPRLLSAAAKSRKIKSELAAQVSPLPQLVVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLFELLYGRTPFKGSGNEDTLANVVSKSLKFPNTPIVSFHARDLIRGLLIKDPENRLGSVKGAAEIKQHPFFEGLNWALIRCAVPPELPRWCDVGFGAPSASQKQEGKELEGIGEHIDFELF >ONI02011 pep chromosome:Prunus_persica_NCBIv2:G6:17763928:17764719:1 gene:PRUPE_6G172700 transcript:ONI02011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKSIIERRDGEGEGERDWKNERTNGSHGRKWAAEALSRAQGSGCWRFLLLMSFFYIYIFYGFKL >ONI01659 pep chromosome:Prunus_persica_NCBIv2:G6:13141725:13143825:1 gene:PRUPE_6G151500 transcript:ONI01659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKPFAANTNRVFQDIEPLEEWVREQASDAFLVHLSGYKKENLKIQVTSARYVRVLGERPLGDNKWERFRKEFPIPSNCDPNDISAKFENSVLTVKLPKMIAPAVDTKVSRTPTTEAPKPPTQEAPKPPAKEAPKPPATEAPKPPTTEATKLPKPMSTGGPQPQKTGANNQEQIKKSNEATSQGKNSNYAPPQTTEKEPSRVSKSSNQVEAEASNAAQKTMRKDKSGDGLDKIAAKSTEKLEEDRGKKVEDSTKEELRRAIFGHPEDNAGVEKCSFSCNYKQVVYGLVMEMKQQPRKMVKLGLAFLFVLVLWLYVKHTIKSIQEIKRQEL >ONI02555 pep chromosome:Prunus_persica_NCBIv2:G6:21385404:21386828:-1 gene:PRUPE_6G205600 transcript:ONI02555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADEHFVIRIPDKLPLEGAAPLLCAGITTYSPLRYYGLDKPGMHVGVVGLGGLGHVAVKFAKAKGVRVTAISTSPSKKEEAIKRLKADSFLVSREQDQMLAAMGTMDGIIDTVSAVHPILPLIRLLKTNGKLVVLGAPEKPHELPVFPLLMGKAHINGVTHFKVQRLDWTKESYNFD >ONH99612 pep chromosome:Prunus_persica_NCBIv2:G6:2939067:2946894:-1 gene:PRUPE_6G039100 transcript:ONH99612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQSRVWTYAAVFATCLCWFSLRALAQNPNITHPAEVTALKAIKGSLVDPNKNLSNWNRGDPCTANWTGVFCYNTSLSDGYLHVQELQLLNMNLSGSLSPELGRLSFMKILDFMWNEITGSIPKEIGNITSLELLLLNGNQLSGPLPDELGYLPNLDRIQIDQNNISGSLPKSFANLNKTKHFHMNNNSISGQIPHELSRLPSLVHFLLDNNNLYGYLPQEFSELPNLLILQLDNNNFDGTTIPDSYSKMSKLLKLSLRGCNLHGPIPDLSGIPNLGYIDLSSNQLNGSLPSGKLSDEITTINLSNNNLTGRIPANFSGLPHLQKLSIANNSLDGSVPATLWQTRTLNATERLILELQNNNLANISGSTEVPQNVTVWLRGNPLCSNANLNKFCGSESDDKNSSQGSTNSTASCMSQACPPPYEYLPVVCFCAVPLPVEYRLKSPGFSDFRPYKSTFEEYITSGLDLSLDQLDLTSFVWEKGPRLRMYLKLFPVYVNDSSSHTFNKSEVQRIMGMFTSWKIPDSDVFGPYELINFILLDPYKNVVATSTKSGLSKGALAGIILGTIAGAVTLSAFVSLLILRKHLRDRHTISRRRHTSKSSVKIDGVKSFSYGEMAMATNNFNSSAQVGQGGYGKVYKGILADGTVVAIKRAQEGSLQGEKEFLTEIELLSPLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDHLSVRSKEPLGFEMRLRIALGSAKGILYLHTEANPPIFHRDIKASNILLDSKFIAKVADFGLSRLAPVPDLEGAVPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNIAFQSGMIFSVIDGRMGSYPSECVEKFLSLALKCCQDETDARPSMAEVVRELENIWFMMPESDSRTTESVMSNSGGKVMTPPSSSNAVKHPYVSSDVSGSDLVSGVVPTITPR >ONI01688 pep chromosome:Prunus_persica_NCBIv2:G6:13385276:13395041:1 gene:PRUPE_6G153800 transcript:ONI01688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIMNMPVESLDRRRDRKEKSSEDPQSSPQQEAPPPPPPPPPTRRRDRDEGRDDRDLDRPPSRRPDYYDRNRSPPPPPIRERERDRERGYKRRSSLSPPPPYRDRRHSPTRRSPPPPFKRSRREDGGYEGRRGSPRGGFGPGDRRFGYDYTGYDREMGGRPGYADERPHGRYAGRSSGGYGPPDWDSGRGGFGDASSTGTTQREGLMSYKQFIQELEDDILPAEAERRYQEYRTEYITTQKRAYFNAHKDEDWLKDKYHPTNLLTVIERRNEHARKVAKDFLLELQSGTLDLGPGVNSVAPNKSGHTSEPNSDDEADVGGKRRRHVREPNKENDLLSAAPKAHPVSSEPRRIQVDIEQAQALVRKLDSEKGIVENILAGPDNDKNREKLHGGSTGPVIIVRGLTSVKGLEGIELLDTLITYLWRIHGLDYYGMSESNEAKGLRHVRAEGKSSDIISNGAEWEKKMDLRWQERLQSQDPLEIMTAKEKIDATASESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVIEVTSKLREELYFQNYMNDADAPGGTPIMQQPVLREKPQRRRPGVENRLKDERGNRRERENRVNGGERYDRSDNMQSSEFQSNNDGPEGGNLDEPMFDNFGGQGVRVGPPFPSDIPPPPVLMPVPGAGPLGPFVPAPPEVAMQMLREQGGPPPFEGSGRNVWSGPQMSGPAPILALSPAFRQDPRRLRSYQDLDAPEDEVTVIDYRSL >ONI01687 pep chromosome:Prunus_persica_NCBIv2:G6:13385276:13395041:1 gene:PRUPE_6G153800 transcript:ONI01687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIMNMPVESLDRRRDRKEKSSEDPQSSPQQEAPPPPPPPPPTRRRDRDEGRDDRDLDRPPSRRPDYYDRNRSPPPPPIRERERDRERGYKRRSSLSPPPPYRDRRHSPTRRSPPPPFKRSRREDGGYEGRRGSPRGGFGPGDRRFGYDYTGYDREMGGRPGYADERPHGRYAGRSSGGYGPPDWDSGRGGFGDASSTGTTQREGLMSYKQFIQELEDDILPAEAERRYQEYRTEYITTQKRAYFNAHKDEDWLKDKYHPTNLLTVIERRNEHARKVAKDFLLELQSGTLDLGPGVNSVAPNKSGHTSEPNSDDEADVGGKRRRHVREPNKENDLLSAAPKAHPVSSEPRRIQVDIEQAQALVRKLDSEKGIVENILAGPDNDKNREKLHGGSTGPVIIVRGLTSVKGLEGIELLDTLITYLWRIHGLDYYGMSESNEAKGLRHVRAEGKSSDIISNGAEWEKKMDLRWQERLQSQDPLEIMTAKEKIDATASESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVIEVTSKLREELYFQNYMNDADAPGGTPIMQQPVLREKPQRRRPGVENRLKDERGNRRERENRVNGGERYDRSDNMQSSEFQSNNDGPEGGNLDEPMFDNFGGQGVRVGPPFPSDIPPPPVLMPVPGAGPLGPFVPAPPEVAMQMLREQGGPPPFEGSGRNVWSGPQMSGPAPILALSPAFRQDPRRLRSYQDLDAPEDEVTVIDYRSL >ONI05192 pep chromosome:Prunus_persica_NCBIv2:G6:30451209:30451907:1 gene:PRUPE_6G361200 transcript:ONI05192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGIADRTGKEKGGHAKMECPHCKITAPDVKSMQIHHEARHPKIPFEEEKIVNRHASTSTSTSTQVAEPSKDANKPRPGVRGSLKK >ONI01670 pep chromosome:Prunus_persica_NCBIv2:G6:13208800:13209141:-1 gene:PRUPE_6G152200 transcript:ONI01670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGNGESTSVIPPPRLFKALVLESDAFIPKIAPQSVKSAEIVEGDGGVGTIKKISFDEGSHYSYVKHRIDGLDEDNFVYSYTLVEGDALSDKVEKISYEIKLWHLLMEVPS >ONI01636 pep chromosome:Prunus_persica_NCBIv2:G6:12921915:12923819:1 gene:PRUPE_6G150100 transcript:ONI01636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTVEGDGSGAGRYTLRPTRISNEDILFCIDVGPESMVELKVAMSPNGRPSTRLDSIKQAILLFINAKLTINPENRFAFASLGKSASWLRKEFSSEVESAFAAFRVLPATSSSSNADLTSLFRVAAHEAKKSRAQNRLLRVILIYCRSSIRPHHQWPVNQKLFTLDVVYLHEKPGPDNYPQEVYNSLVDAVEHVSEYEGYTLETGQLLARILFRYMCMLLSHPQQRCPRDQLDIPKPLGKKLPAADSMTTDDSITVSGKSEVQNIRT >ONI04419 pep chromosome:Prunus_persica_NCBIv2:G6:28381791:28382835:-1 gene:PRUPE_6G320800 transcript:ONI04419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEPKDPFKGVDWKAVGSDMQKDPSVKPGIKKRLPRKIRQIPDCYFLPRRSLPYNIAFFGACIAGGIGAGMLLEVWINKKVKDDGGVIWEFDK >ONI04418 pep chromosome:Prunus_persica_NCBIv2:G6:28381443:28382911:-1 gene:PRUPE_6G320800 transcript:ONI04418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEPKDPFKGVDWKAVGSDMQKDPSVKPGIKKRLPRKIRQIPDCYFLPRRSLPYNIAFFGACIAGGIGAGMLLEVWINKKVKDDGGVIWEFDK >ONI04417 pep chromosome:Prunus_persica_NCBIv2:G6:28381469:28382848:-1 gene:PRUPE_6G320800 transcript:ONI04417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEPKDPFKGVDWKAVGSDMQKDPSVKPGIKKRLPRKIRQIPDCYFLPRRSLPYNIAFFGACIAGGIGAGMLLEVWINKKVKDDGGVIWEFDK >ONI05137 pep chromosome:Prunus_persica_NCBIv2:G6:30312039:30317483:-1 gene:PRUPE_6G358000 transcript:ONI05137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIPLLQFVPTKTFQAKIHSNRLRPTKQRGTFGLSMVTCSSSNGREPDSVDGVKSVERLLEEKRRAELSARIASGEFTVEKTGYPSQLKNGLSKLGVPREILDFLFSWADAHDGSPKIPEAKGAIGAIQSEAFFIPLYELYLTYGGIYRLTFGPKSFLIVSDPSIAKHILRDNSKAYSKGILAEILEFVMGKGLIPADGEIWRVRRRAIVPALHQKYVAAMINLFGEATERLCQKLDAAASDGEDVEMESLFSRLTLDIIGKALFNYDFDSLTNDTGIVEAVYTVLREAEDRSVAPIPVWEIPIWKDISPRQRKVATALKLINTTLDDLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSTEPSVMSKLQEEADSVLGDRCPTIEDVKKLKYATRVINESLRLYPQPPVLIRRSLEDDRLGDYSIKRNEDIFICIWNLHRSPKQWDDADKFKPERWPLDGPNPNETNQNFRYLPFGGGPRKCVGDMFATYETVVALAMLVRRFNFQMALGAPEVKMTTGATIHTTEGLKMTVTRRIKPPIVPTLEMPTFEVDTSVGASKGDSLVGKKGL >ONI05136 pep chromosome:Prunus_persica_NCBIv2:G6:30312317:30317345:-1 gene:PRUPE_6G358000 transcript:ONI05136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIPLLQFVPTKTFQAKIHSNRLRPTKQRGTFGLSMVTCSSSNGREPDSVDGVKSVERLLEEKRRAELSARIASGEFTVEKTGYPSQLKNGLSKLGVPREILDFLFSWADAHDGSPKIPEAKGAIGAIQSEAFFIPLYELYLTYGGIYRLTFGPKSFLIVSDPSIAKHILRDNSKAYSKGILAEILEFVMGKGLIPADGEIWRVRRRAIVPALHQKYVAAMINLFGEATERLCQKLDAAASDGEDVEMESLFSRLTLDIIGKALFNYDFDSLTNDTGIVEAVYTVLREAEDRSVAPIPVWEIPIWKDISPRQRKVATALKLINTTLDDLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSTEPSVMSKLQEEADSVLGDRCPTIEDVKKLKYATRVINESLRLYPQPPVLIRRSLEDDRLGDYSIKRNEDIFICIWNLHRSPKQWDDADKFKPERWPLDGPNPNETNQNFRYLPFGGGPRKCVGDMFATYETVVALAMLVRRFNFQMALGAPEVKMTTGATIHTTEGLKMTVTRRIKPPIVPTLEMPTFEVDTSVGASKGDSLVGKKGL >ONI05138 pep chromosome:Prunus_persica_NCBIv2:G6:30312293:30316326:-1 gene:PRUPE_6G358000 transcript:ONI05138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLIPADGEIWRVRRRAIVPALHQKYVAAMINLFGEATERLCQKLDAAASDGEDVEMESLFSRLTLDIIGKALFNYDFDSLTNDTGIVEAVYTVLREAEDRSVAPIPVWEIPIWKDISPRQRKVATALKLINTTLDDLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSTEPSVMSKLQEEADSVLGDRCPTIEDVKKLKYATRVINESLRLYPQPPVLIRRSLEDDRLGDYSIKRNEDIFICIWNLHRSPKQWDDADKFKPERWPLDGPNPNETNQNFRYLPFGGGPRKCVGDMFATYETVVALAMLVRRFNFQMALGAPEVKMTTGATIHTTEGLKMTVTRRIKPPIVPTLEMPTFEVDTSVGASKGDSLVGKKGEVSSAHCR >ONI05135 pep chromosome:Prunus_persica_NCBIv2:G6:30311904:30317527:-1 gene:PRUPE_6G358000 transcript:ONI05135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIPLLQFVPTKTFQAKIHSNRLRPTKQRGTFGLSMVTCSSSNGREPDSVDGVKSVERLLEEKRRAELSARIASGEFTVEKTGYPSQLKNGLSKLGVPREILDFLFSWADAHDGSPKIPEAKGAIGAIQSEAFFIPLYELYLTYGGIYRLTFGPKSFLIVSDPSIAKHILRDNSKAYSKGILAEILEFVMGKGLIPADGEIWRVRRRAIVPALHQKYVAAMINLFGEATERLCQKLDAAASDGEDVEMESLFSRLTLDIIGKALFNYDFDSLTNDTGIVEAVYTVLREAEDRSVAPIPVWEIPIWKDISPRQRKVATALKLINTTLDDLIAICKRMVDEEELQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSTEPSVMSKLQEEADSVLGDRCPTIEDVKKLKYATRVINESLRLYPQPPVLIRRSLEDDRLGDYSIKRNEDIFICIWNLHRSPKQWDDADKFKPERWPLDGPNPNETNQNFRYLPFGGGPRKCVGDMFATYETVVALAMLVRRFNFQMALGAPEVKMTTGATIHTTEGLKMTVTRRIKPPIVPTLEMPTFEVDTSVGASKGDSLVGKKDNAVN >ONI01166 pep chromosome:Prunus_persica_NCBIv2:G6:9624162:9631680:-1 gene:PRUPE_6G125600 transcript:ONI01166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTSIGNLSTTLVGFDVSFNQIHGGIPNGIGNLFNLNILFMNYNQFTGNIPADIGRLQKIGRIGLDNNKLSGHIQSSVGKLTELTTLELQGSDLGGSIPPSLGQCRKLLLLKLSQKKLSGSITQQVWGLSSLSVVLNLSQNHLTGSLPMEVGNLKGLSSLDLYDNMLSGELPRNLGKCESLEVPRLQGDSFQGTILLPFETLRGIQVLDLSRNNLSGKFPQYLEGLRLLNLNISFNDFEGMLPVGGAFKNTSATLLLETASSVAVLLNSTCPNATFHPKNPRKGG >ONI00053 pep chromosome:Prunus_persica_NCBIv2:G6:4523307:4527299:-1 gene:PRUPE_6G065400 transcript:ONI00053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRFCLCFRPSNNISNLNDSEDIEAGKENEKYWLPEFSLDQLRVATSGFSSHNVVSELGEKGPNVVYKGKLEDGRLIAVKRYTLSAWPDSRPFLEEARAVGRLSNERLANLIGCCVEDDERFLVAEFMPNATLFKHLFQWETQPMTWAMRMRVALYVAQALDCCSSKGKALYHDLNAYRVMFDQDGNPRLSCFGLINYSTDGKSYPNLAFAPPEFLRTGRVIPESMVYSFGTLLLNLLSGRHIPPSHALDLIRDKNFLMLMDSCLEGHFSNDDGTELVRLASKCLQYEPHNRPNFKYIVSALVPLQEQTEVPSYVLMGVPHGNIPPKQTVLSPLGEACSRLDLARIHEILLMIGYKEDEEAQTELSFQMWTDQMQERLNFKKNGDAAFRAKEFASAIDFYTEFIDRGSIESGTLFARRCLCYLMSDMAQEALGDAMQALVRHPEWPTAFYLQAAALKSLGLDNDAEQTLKDGSSICQT >ONI00054 pep chromosome:Prunus_persica_NCBIv2:G6:4524102:4527299:-1 gene:PRUPE_6G065400 transcript:ONI00054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRFCLCFRPSNNISNLNDSEDIEAGKENEKYWLPEFSLDQLRVATSGFSSHNVVSELGEKGPNVVYKGKLEDGRLIAVKRYTLSAWPDSRPFLEEARAVGRLSNERLANLIGCCVEDDERFLVAEFMPNATLFKHLFQWETQPMTWAMRMRVALYVAQALDCCSSKGKALYHDLNAYRVMFDQDGNPRLSCFGLINYSTDGKSYPNLAFAPPEFLRTGRVIPESMVYSFGTLLLNLLSGRHIPPSHALDLIRDKNFLMLMDSCLEGHFSNDDGTELVRLASKCLQYEPHNRPNFKYIVSALVPLQEQTEVPSYVLMGVPHGNIPPKQTVLSPLGEACSRLDLARIHEILLMIGYKEDEEAQTELSFQMWTDQMQERLNFKKNGDAAFRAKEFASAIDFYTETKSYKFPTFM >ONI02380 pep chromosome:Prunus_persica_NCBIv2:G6:20142714:20145091:-1 gene:PRUPE_6G194400 transcript:ONI02380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEAVVLQADRYSYGFKDFHAVGGGGGGSWSHGFGFGEDHQEEKVYTDHHMLNNDVGQQGFNNPSLEASMLQSVNDFETNSGPAFNRAFSSTEAPAASTGRRKRRRIQNIKNKEDMETQRMTHIAVERNRRKQMNDYLAALRAMMPACYAQRGDQASIIGGAINFVKELEQLLQSLEGHRRTEQQHLNLASIFSSFFTFPQYSTCLNSHGHGVYNSSVHSNELMAEKQSAIADVEVTMVESHANVKVLMKKQPKQLLKMVLGLHSLGLMILHVNVTTADNMILYTFSVKVEDNSQLTSMNEIAADVYEMVGRIQEEAQLLAQ >ONI03293 pep chromosome:Prunus_persica_NCBIv2:G6:24489896:24490735:1 gene:PRUPE_6G249300 transcript:ONI03293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMREDEVGLGMKGLDMEKFLMLVSCDMETKFRKCSSPNDVFECKTCNRKFPSFQALGGHRASHNRPRTSMDHDQQSETRKRSNLMATKNKPKAHECSICGLEFGMGQALGGHMRRHRASNMNLGFSSKVPDEVVVASKIPVLRRSSSKRIMCLEMDLNLTPLENDLKLLFGAMAPKVDAFVS >ONH99153 pep chromosome:Prunus_persica_NCBIv2:G6:1047686:1054451:1 gene:PRUPE_6G014500 transcript:ONH99153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLEDLLAEDGFKGRKSLTRSRTSYHSGSTLRHFPNSEEHRKHSMSGDRIRPEKTRSDVSRYGVRNNLPTGDDIRGRRAREDLLVRDKIEGGSKKEIRDGLGGKGPTSRSVWEARSLSSIFPQNQAANEIVEVDDEDFERYKDIYSNELYSSERRKDKYSNGSMENEGYEERSMKETEVDRRHSHGSSSNKHVAGRTSFSENNRQSRKQPETSHDRSRRDSSYSKNSEDARGQKRDKVLRAVSEPALDEIAIQAMVSILSGYIKRFLKDNNFRSALRDNCISSLNFIHQEEGHSESRIIASLEQAIETVEKAAEESASEKDLKRASLQLSVITGLNSADLKDGFTSGVPNYKLSACAHVYLSVVTTLLPELWDHLFLPHLSHLKVWYDQEADSLADRQNKPRKLKLLGKAYNEILDSGTYQFAVYYKDWLTEGAESPSIPSIPIPSVSLQEFQQGGSHSHSSEAPSPGGPQSMVSKRLYDSVFGRSSKPESDEAEDDGDIENFDSCMRSSDGSADAKQKSQHSSETVQYRYQDVEEESTKRAPEDGFLSENGLLMTEEQKWGYLGVSDLPEIDLNHHFDNICGENTESTQMLHASACAKENKLTLKTLEKSNYEQQRAEGSTVSNCSEASIASSIVNPIKERSSFEELHGNYFEEGIIFWSIPQDFICPLTGRLFEDPVTLETGQTFERLAIKAWFDKGNRICPVTGKSLECLAVPLHNFILKRVIHSWKSEHCRKLLAFASQVVGTSGRDGSKHYDERAIFVLEQLLTCFSKEERTENAKHLTSLGGLQFLLQLFELGKVEEKSRAAALLSCCIEADADCRNIIARDINKQYVMELLQSKQIKIRTNAVLLLTELICLKGKKDVTTFLSGLQNEGIVNAMDVLLVCLQSSPANHRSLVAVLLLHVDLLVEVEPQKYGMHREEAVDAITEALDCSLTDANVRENCCKALLILRRYFSFSGKLLSRSWILKPADFSGSCEVNSVDNEDGSLAHGASPSDDEDNSIEDWLRNLTVTLLGNGKKSFLETLSKCLGSENLDLMRVCLITAEWLSRALSSLSGSEFQLTAFSSLIFPLKERLKNGEQVEQKILASVSMLNFSKISECRVLLRESTEDIAVPLENLAEVTWSAKLLHAIISGENL >ONI03300 pep chromosome:Prunus_persica_NCBIv2:G6:24519686:24521937:-1 gene:PRUPE_6G249600 transcript:ONI03300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFGHGLLERVKAAKPFFAVIFLQFGLAGMDILSKAALNQGMSNYVLVVYRHVVATAVVAPFALILDKKIRPKMTLPIFIKIMLLGLLEPVIDQNLYFMGMRYTTATFAAAMCNILPALTFAMAWILRLEKVKLKCIRSQSKVFGTAATVAGAMIMTLVKGPLLDLFWTRGTTSHEQQTGGAISLQSSIKGAVMITIGCFCWACFMILQSITLKTYPAELSLSAWICLMGTLEGTALALVMERGNSAVWAIKWDAKLLAACYSAVFCSGLAYYIQGVVMKYRGPVFVTAFSPLSMVIVAVMSSFILREQLYLGRLLGAAVIVAGLYMVVWGKSKDYKSETPTIEEEVASTKQAQAKENPNLQAITIASSGE >ONI01694 pep chromosome:Prunus_persica_NCBIv2:G6:13426333:13433819:1 gene:PRUPE_6G154300 transcript:ONI01694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLQSHRAEMLTIAMAVVAIGVGTAYYFYVTKKPKGCLDPEKFKEFKLVKRIQLSHNVAKFKFALPTPTSVLGLPIGQHISCRGKDSLNEDVVKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFQVMREGDYLAVKGPKGRFKYQPNQVRAFGMLAGGTGITPMFQVARAILENPSDRTNVHLIYANVTYEDILLKEELDNLSSNFPNRFKIYYVLNQPPEIWEGGVGFISKEIIQTYCPAPAPDIKILRCGPPPMNKAMAANLESLGYSPEMQFQF >ONH99050 pep chromosome:Prunus_persica_NCBIv2:G6:630847:632817:-1 gene:PRUPE_6G007500 transcript:ONH99050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLDIFCASPASTSICSSMDQHAMVRLGPASIDHPHHHPHHRLHDRPKNQPHNQTHHHHVPCSSQLPIDPKPFYEKCRKSFSSAQTQLRRKSSADIHDLTRTRSLNGSSSSRYLLSDSPFVGWLSEADRNVSANTILLPRQVPSKPRRLMISSDHSPALRSSSTRSRHQVVVLRVSLHCKGCEGKVRKHLSKMEGVTSFSIDFPTKKVTVIGDVTPLGVLSSVSKVKKAQLWPSPTSSSPSSRWSA >ONI03973 pep chromosome:Prunus_persica_NCBIv2:G6:27002639:27005132:1 gene:PRUPE_6G294300 transcript:ONI03973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGENVEREREREQFEVSCIRIRFVFLSGSIPCSIGGLKSLVQLSLENNNLEGTISNSFGKLLSLEDLVLFKNNLSGLIPMSLELLHLRFLNLSFNRFYGEIPTGGAFQNLSAQSFFSNGALCGAARLLVPPCKKSTSNLKYLIPGILSTILLLVSVSIFIQRRKRKVERVSHLEFLRATNGFNKSNLLGTGGFRSVVYKGTISDGIDVAVKVFNLQLEGAFKSFDSECEVLNNIRHRNLIKIIGCCSQIDFKALVLQYMPNGSLDKWLYSQNSHLNNILQRLNILTDVASALDYLHHGHGYPKHDVHCDVKPSNILLDDDMVAQVADFGIARLLDGGDSITQTMTLGKK >ONI01914 pep chromosome:Prunus_persica_NCBIv2:G6:16964219:16964565:1 gene:PRUPE_6G166900 transcript:ONI01914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKSFSGYYSTGYTEAQFGFEDRSKSYSFNGPVSCSKVDELSSTSGNPELERRKRVASYNMYAVEGKFKSSLRNSFKWIKGKFVDNFYDE >ONH99478 pep chromosome:Prunus_persica_NCBIv2:G6:2476497:2477224:-1 gene:PRUPE_6G032200 transcript:ONH99478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATLSLSASNPLSFTSLPKMPLPRQRHQLRVSAAGAFTETRPRSKASTSLYEVLRVNDNASQTEIKSAYRSLAKLYHPDASPSESDGRDFIEIHNAYATLSDPAARAMYDLSLSAHLQHRVRRRSSVGFRPDGFYPTRRWETDQCW >ONI01443 pep chromosome:Prunus_persica_NCBIv2:G6:11335220:11342624:-1 gene:PRUPE_6G139900 transcript:ONI01443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRAHDPPAQPPQPIMQQALKRQLAFSSMKPPFSATADYHGFVPDPRQLTDSEAYGIYVKPSQKRKSDAADYEAESSDRTAAPGYTEVVTSPLQTPVSSKVGKANKTSRLTKCSRSGPQTPASNVGSPSGANLTPAGPCRFDSSLGLLTKKFINLIKHAEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRTGDVDENYPSLQAQVENLSDEERRLDQQIREMQERLRDLSEDESNKKWLFVTEEDIKGLPCLQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRMVLRSTMGPIDVYLVSQFEEKFEEINGVEVPTDIPSSSGVNENPATTMVTENRGKDVEIQGPDDHRMCADPTASQDIMSGMMKIVPSDVDSDADYWLLSDADISITDMWRTEPGVVWNELGAIDEDYMVNVSTPRPQTPPSSSTQVPATANRTKT >ONI01444 pep chromosome:Prunus_persica_NCBIv2:G6:11335757:11342307:-1 gene:PRUPE_6G139900 transcript:ONI01444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRAHDPPAQPPQPIMQQALKRQLAFSSMKPPFSATADYHGFVPDPRQLTDSEAYGIYVKPSQQKRKSDAADYEAESSDRTAAPGYTEVVTSPLQTPVSSKVGKANKTSRLTKCSRSGPQTPASNVGSPSGANLTPAGPCRFDSSLGLLTKKFINLIKHAEDGILDLNNAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRTGDVDENYPSLQAQVENLSDEERRLDQQIREMQERLRDLSEDESNKKWLFVTEEDIKGLPCLQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRMVLRSTMGPIDVYLVSQFEEKFEEINGVEVPTDIPSSSGVNENPATTMVTENRGKDVEIQGPDDHRMCADPTASQDIMSGMMKIVPSDVDSDADYWLLSDADISITDMWRTEPGVVWNELGAIDEDYMVNVSTPRPQTPPSSSTQVPATANRTKT >ONI01043 pep chromosome:Prunus_persica_NCBIv2:G6:8693928:8694557:1 gene:PRUPE_6G118400 transcript:ONI01043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLGFYFFFSVGGISLCVQCAWDNGSSRVQTINHGVGPTQSSPSWPSLILTPVCLLALHQGLLGTHVWKY >ONI04884 pep chromosome:Prunus_persica_NCBIv2:G6:29664624:29665436:1 gene:PRUPE_6G345900 transcript:ONI04884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCGKICSALCCSTGQTYDPHHRMSKPSLPTHDHKAQKANDHHVSKPHGNKQEGHPSSELETNDRFSDYIYLAKKKIRHSMTNLGGSSNSKANSVHDSKGEEATKDTFSDYIKRVKSKIKKTTSSNGSRKNLKGKTG >ONI00067 pep chromosome:Prunus_persica_NCBIv2:G6:4566311:4566802:1 gene:PRUPE_6G066000 transcript:ONI00067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRPCLGNSHTQNPFSNGNSHTQNPILHCSLNLLYLRILWKLKPPQELAAAALHSLPFVVLVHLLYIPLSNDLKCRPRAPPSPLLSSAPEGLP >ONH99136 pep chromosome:Prunus_persica_NCBIv2:G6:950190:952287:-1 gene:PRUPE_6G013600 transcript:ONH99136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFSIVSAIILLTICVLGNSNAQLSSTFYSSTCPNVTSVVRGVVEQAQQNDIRIGAKLIRVHFHDCFVNGCDGSIMLDNADGIESEKDAVPNQSTDGYDVVDDIKTALENVCPGVVSCADILAIASQILVSANGGPTWEVQLGRRDSRTANRAGTTALPSPFENLEQITKKFNDVGLDSTDLVALSGAHTFGRARCLTFVHRLYNFSGTGNPDPTIDTTYLETLRQICPNGGNGGTLADLDQSTRDEFDHNYFTNLQNKRGLLQTDQELFSTSGDTVAIVNRFANSQSDFFDSFGQSMINMGNIRPLTGSDGEIRSDCRRVN >ONI04249 pep chromosome:Prunus_persica_NCBIv2:G6:27896051:27897277:-1 gene:PRUPE_6G311300 transcript:ONI04249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSTSTVVRKKSYKTGVDADQARRRSEDNLVEIRKNKREENLLKKRSQGLPPSQQQLLDGTQTTVVFQNRLESIPSMVQGVWSDDPALQLETTTQFRKLLSIERSPPIDEVIKAGAVPRFVEFLGRHDMPQLQFEAAWALTNVASGTSDHTRVAIEHGAVPMFVQLLSSGSDDVREQVVSHYLSHFSST >ONI00737 pep chromosome:Prunus_persica_NCBIv2:G6:7239182:7242308:-1 gene:PRUPE_6G103500 transcript:ONI00737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMTGFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHAAQRLNFFESKSIDMKTVMLFGILNGISIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKFSLFLLLVGVGIASVTDLQLNFVGTILSLLAIITTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQYLTKQNVFAHKYSSIVLVFIILSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTYRNIIGILVAIFGMGLYSYFCLQENKKKQAGDLSLGSQIKDKDGIPLLSIDKDGHEVKKSTKNSLV >ONI03614 pep chromosome:Prunus_persica_NCBIv2:G6:25610154:25612768:1 gene:PRUPE_6G269200 transcript:ONI03614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLQHTTLLLRVFLLVLILENVKGSSSSASNYLIGLGSYDITGPAADVNMMGYANAEQTASGIHFRLRARTFIVAEQQGNRVVFVNLDACMASQIVTIKLLERLKVRYGELYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSVFVNKGELLNAGVNRSPSAYLSNPAEERSKYKFDVDKEMTLIKFEDKEWGAVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFERHGVVRGFDGLSSNISGTGRVPRRVSSLVPKDNGNGNELMKLAASFQSSQGRIVTRLSSVARRVRNALMKAERPQFVSAFCQSNCGDVSPNVLGAFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGERQFRKAVELFDKATEKLKGKVGFQHAYIDFSSLEVSLPKVGGPNEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNAFWRLVRDFLKAPDQEQVNCQHPKPILLDTGEMKEPYDWAPSILPVQILRIGQLVILSVPGGESKPIIFY >ONI03613 pep chromosome:Prunus_persica_NCBIv2:G6:25609504:25614203:1 gene:PRUPE_6G269200 transcript:ONI03613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLQHTTLLLRVFLLVLILENVKGSSSSASNYLIGLGSYDITGPAADVNMMGYANAEQTASGIHFRLRARTFIVAEQQGNRVVFVNLDACMASQIVTIKLLERLKVRYGELYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSVFVNKGELLNAGVNRSPSAYLSNPAEERSKYKFDVDKEMTLIKFEDKEWGAVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFERHGVVRGFDGLSSNISGTGRVPRRVSSLVPKDNGNGNELMKLAASFQSSQGRIVTRLSSVARRVRNALMKAERPQFVSAFCQSNCGDVSPNVLGAFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGERQFRKAVELFDKATEKLKGKVGFQHAYIDFSSLEVSLPKVGGPNEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNAFWRLVRDFLKAPDQEQVNCQHPKPILLDTGEMKEPYDWAPSILPVQILRIGQLVILSVPGEFTTMAGRRLRDAVKRVLTSGGRKEFDNNVHVVIAGLTNTYSQYVTTFEEYKVQRYEGASTLYGPHTLEAYIQEFKKLATALINGQTVEPGPPPPDLLDKQISLLTPVVLDTTSLGVKFGDVKTDVPPNSTFKRSDVVTATFWSACPRNDLLTEGTFALVEILQDRKTWVPAYDDDDFCLKFKWSRPEKLSPQSHATIEWRIPNTAVSGVYRISHFGASKALFGSIRHFTGSSSAFVVA >ONI01683 pep chromosome:Prunus_persica_NCBIv2:G6:13341770:13344952:-1 gene:PRUPE_6G153400 transcript:ONI01683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVATAVEKLTNLIIQEAILLDGVGEKVEQIRNELRWMQSFLKDADHAAEQDRNERFRNWVSQIREVAFDAEDVVETYLREAAAASQSLWKKVVMPIHLHKVKRGIEKIQTRIDHISKQKDSFGIASMIASSREGGEGSISTNERLRWWRQPLPHIEEDDLIDLVQDTEALLTQLSSMEPRRGVVSIVGMGGLGKTTLAKKLYNHIELRRQFNCKAFVYVSQEYRRRETLRRIIKDVNVPYIGDLEEVDEEEMVKKLYEFLRGRKYLVVLDDVWENEVWDSLEAAFPTSGMAGSKVMLTTRNREVALHADARSTPHEPRMLTEDESLELLRKKALPGMDHFPSDLENLGREMVTKCGGLPLALVVLGGLLSRKMKTREEWELVLQNISWHLIDQDRVSAILALSYKDLPFYLKSCFLHLGIFPEDFSIPKTQLMRLWVAEGFLPQQGEEKAEGVAENCLNELINRCMIQVGTLTSLGRVKTICIHDLLRDFSLSVSREENFLGIYTGGEVESSVSPSTKSRRIALHSNPTQHCVLTSPFLNPYAPHLRSLHFFNRFLHPEVYFIKKDFKLLKVLDLKDTIGFMRLPSTIGILIQLRYLGLSQILKTYYIPPSIGNLKNLETLDLGYSYSPIPNVIWKMKRLRHMLLRDVSKPNCVNLRLDTLSHLQTLKTIRAGRWIEDGGLANMISLRRLGIERLSQERVNLVISILRRMCYLQSLSLEVMNNETFPTSMGLSHFQHLHKLCLKGKIEKLPHVREFPPNLVKLSLIGSELQKDSIVQLERLPYLKMLVLGNQSYKWRELVCSSEGFPQLQVLHLVSLMELEEWTVEENAMMKLKHLKIERCLKLKKIPERLKLFTTTLEKLEIVAMDKSFEQQIRLMYIRD >ONI03921 pep chromosome:Prunus_persica_NCBIv2:G6:26875355:26877181:-1 gene:PRUPE_6G291400 transcript:ONI03921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMRGRSRLTSGAPPPSPIPTAKGSRSASNENFTQFLDKCLQIPDLAWPPQLHPHFSGTRHPVPAEVDLRSLSSDAIARLLVSARESGAFRITNHGISAEELGSVVREAESVFGNDGNLTRRFIERTGNREEIKWVRESGQKVAEDEKYQVFCKSMEKVASKVEAIADQVSEVLFANAEKQVEKKMRSELGKVRLYRYNHQDHSMEQNPSSNYLQNEIINGNNLRECEDHHALCLHLPLEHSQFNIRSEGEGGSLCFDAGPETLVVTVGNQLEGFKCVSGEMIFVPDIIRSQASFSIQFKVPLLSNSRKKSNTVSITDQIFIAVILCLLYMIFVFVYTYITTT >ONI02232 pep chromosome:Prunus_persica_NCBIv2:G6:19453955:19454938:-1 gene:PRUPE_6G185800 transcript:ONI02232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFHARVENHPCRTRGSPRVPSPLLRSIRRRAASPNKFRERNLTARDELDVSLVDFIQAQPWWNRRNGKDHFMALGRVVQDFIRKPNDRDYGAGRLLNLPAVKNMSVLIIERHPWQGKNQYGIPYPSYFHPSTRQEMAWWQNKMRKVRRPYLFSFIGAPRRKAAIRNEFIRQCGESTRCKLLNCGTPDGRSKCGEPSEVLKVMTESRFCLQAPGDSFTRRSTFDSVLAGCIPVFFSPHSAYTQYGWYLPGERSSYSVFIDQKSKASERIEEELMKISSKKVKMMREKLLGLVPSLTYAHPNATNSGIGDAVDVALVSLAKQVNKIIN >ONH99752 pep chromosome:Prunus_persica_NCBIv2:G6:3442488:3445183:-1 gene:PRUPE_6G048000 transcript:ONH99752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGEEKLLAVARHIAKTLGHNDNMADDILQIFSNFDGRFSREKLASDDEDRPRSCAALELTLKSLDRQISQYVAADHPIWSDSADSSAFLDSIDELIATIRDWTPLAVDKSVGVCLARAEDLMQQAMFRLEDEFRSLVERGGESLELSRAYRGESNGNLSFDSGDDEEEEEMIGNGGDHQIPTAQPIGDYDIVIDALPSGTINDLHEIAKRMVTAGFGKECSHVYSSCRREFLEESLSRLGLQKLSIEEVQKTPWQDLEDEIERWIKSANVALRILFPSERRLCDRVFYGLSSAADLSFMEVCRGSTIQILNFADAVAIGSRSPERLFKILDVFESLRDLMSEFESVFSDQYCLFLRNEAMTIWKRLGEAIRGIFMELENLISRDPAKTPVPGGGLHPITRYVMNYLRAACRSRQTLEQVFEDSTAVSHQPKVDDRSSSSSMSVQMAWIMELLESNLEAKSKIYRDPALCYVFMMNNSRYIVQKVRDSELGSLLGDDWIRKHTAKVRQYHVNYQRSSWSKVLGVLKLESGSLAPNVAVKSMKEKLKLFNIYFDEICKTQSNWVVFDDQLRDELRIALAKILLPAYQNFIGRFQNVPEIGRHDKYIKYANEDIEAKINDLFRGSRGSAGAGRK >ONI02499 pep chromosome:Prunus_persica_NCBIv2:G6:21001934:21002767:1 gene:PRUPE_6G202300 transcript:ONI02499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYGQQRPFSRDNLTPTRVVRLPNDNAVVVADLRGRLAETEARLARARAREAELSRRLNEMKRFVSVMEILETYLKRRFREQQEYVSDLFSPSPLSSK >ONI02562 pep chromosome:Prunus_persica_NCBIv2:G6:21491927:21493984:-1 gene:PRUPE_6G206300 transcript:ONI02562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEQEHPKKAFGWAARDSSGVLSPFNFSRRETGEKDVTFKVAYCGICHSDLHLIKNELESTEWGASTYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCMVGSCHSCDNCANNLENYCPRWIPTFGGKYHDGTTTYGGYSDIMVADEHFVVNIPDNLPLDGAAPLLCAGITTYSPLRYFGLDKPGMHVGVAGLGGLGHVAVKFAKAMGVKVTVISTSPGKEEEAIKHLHADLFLVSRDEDQMQAAMGTMDGIIDTVSANHPLLPYIDLLKSHGKLVMVGAPEKRPELPVFPLLMGRKIVAGSCVGGMKETQEMIDFAAKHNVTADIEVIPIDYVNTAMERLAKTDVRYRFVIDIGNTLKPSS >ONI01204 pep chromosome:Prunus_persica_NCBIv2:G6:9870683:9873935:-1 gene:PRUPE_6G127700 transcript:ONI01204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWNHHVEGQNLCFQAPPFIEWLKPPSSFSSSSSPSFCLSENSTTMQCLPLLSQFTDTKPMKIEDAGVQKEGFGVKEEKMEQVTVALRIGLPNSTSEADQSDTHHENKKVLDLKEEEGVAMRKSFHGCSFNAESRFWIPTPAQILVGPMQFACSICSKTFNRYNNMQMHMWGHGSEFRKGPDSLKGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFMCRKCAKTFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHSPHPSLDGFHEDEKECITGSEDD >ONI01352 pep chromosome:Prunus_persica_NCBIv2:G6:10752135:10758534:1 gene:PRUPE_6G135200 transcript:ONI01352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIQLPEPPSPKLGTPEIFEGGVYGVVRRAVVIGNGFPGSENQSIGLVRALGLADKHVLYRVTRPKGGMNEWLHWLPVSLHKKLDYIIRQICVLLIYRGRKTLPLPTENGGSAGLKCVLEADVQQIVTMARQTFETDGPILVVASGRDTISVASSIKRLASDTVFVVQIQHPRLHLNRFDLVITPKHDYYPLTREAQKQVPQFIHRWLTPREPPDRHVVLTTGALHQIDATALRNAASAWRDEFATLPKPILVVNIGGPTGNCRYGADLAKQLTTNLHSVLASCGSIRICFSNRTPEKVSNVITKELGDNPKVYIWDGQEPNPHMGHLAWADAFVVTADSVSMISEVCSTGKPAYIMGAERCTWKFSEFHKSLKERGVVRPFTGSENISESWSYPPLNDTAEAASRVHKALAERGWRLRP >ONI04390 pep chromosome:Prunus_persica_NCBIv2:G6:28277925:28280014:-1 gene:PRUPE_6G318800 transcript:ONI04390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMTMEGMMDKGVLDDIIRRLLEGKGGKQVQLSEAEIRQLCVNARQIFLSQPNLLEVRAPVRICGDIHGQYQDLLRLFEYGGYPPSATYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAALIDEKILCMHGGLSPELENLDQIKELQRPTDIPDNGLLCDLLWSDPDARIEGWAESDRGVSCTFGADKVIEFLDKNELDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDEGLVCSFEILKPVDNKASPSGSNSSKLNLKKLPKTGKN >ONI04391 pep chromosome:Prunus_persica_NCBIv2:G6:28277974:28279823:-1 gene:PRUPE_6G318800 transcript:ONI04391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLTGDIHGQYQDLLRLFEYGGYPPSATYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAALIDEKILCMHGGLSPELENLDQIKELQRPTDIPDNGLLCDLLWSDPDARIEGWAESDRGVSCTFGADKVIEFLDKNELDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDEGLVCSFEILKPVDNKASPSGSNSSKLNLKKLPKTGKN >ONI04388 pep chromosome:Prunus_persica_NCBIv2:G6:28277540:28280510:-1 gene:PRUPE_6G318800 transcript:ONI04388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMTMEGMMDKGVLDDIIRRLLEGKGGKQVQLSEAEIRQLCVNARQIFLSQPNLLEVRAPVRICGDIHGQYQDLLRLFEYGGYPPSATYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAALIDEKILCMHGGLSPELENLDQIKELQRPTDIPDNGLLCDLLWSDPDARIEGWAESDRGVSCTFGADKVIEFLDKNELDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDEGLVCSFEILKPVDNKASPSGSNSSKLNLKKLN >ONI04389 pep chromosome:Prunus_persica_NCBIv2:G6:28277540:28280510:-1 gene:PRUPE_6G318800 transcript:ONI04389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLTGDIHGQYQDLLRLFEYGGYPPSATYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAALIDEKILCMHGGLSPELENLDQIKELQRPTDIPDNGLLCDLLWSDPDARIEGWAESDRGVSCTFGADKVIEFLDKNELDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDEGLVCSFEILKPVDNKASPSGSNSSKLNLKKLN >ONI04392 pep chromosome:Prunus_persica_NCBIv2:G6:28278054:28280014:-1 gene:PRUPE_6G318800 transcript:ONI04392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMTMEGMMDKGVLDDIIRRLLEGKGGKQVQLSEAEIRQLCVNARQIFLSQPNLLEVRAPVRICGDIHGQYQDLLRLFEYGGYPPSATYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAALIDEKILCMHGGLSPELENLDQIKELQRPTDIPDNGLLCDLLWSDPDARIEGWAESDRGVSCTFGADKVIEFLDKNELDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDEGLVCSFEILKPVDNKASPSGSNSSKLNLKKVCVPFFILFS >ONI01466 pep chromosome:Prunus_persica_NCBIv2:G6:11424762:11425857:1 gene:PRUPE_6G141100 transcript:ONI01466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVLVGKVLLTMMIVCVVGSASAQSASNVRATYNLYNPQNINWDLRTASVFCATWDADKPLSWRSKYGWTAFCGPVGPTGQDSCGKCLLVTNTGTGAKVTVRIVDQCSNGGLDLDVNVFNQIDTNGQGNAQGHLIVNYDFVDCGD >ONI01607 pep chromosome:Prunus_persica_NCBIv2:G6:12640257:12643234:-1 gene:PRUPE_6G149200 transcript:ONI01607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSCYSLHPLASASSSSTTSLTANHSLPYQPQTKPEIPLLFRCSATSAARPEVIIQPTRLFVQPILLFAGFDKPLDTQTFLATISVLAAIALSLFLGLKVVQNVSSVAMVK >ONI01606 pep chromosome:Prunus_persica_NCBIv2:G6:12640515:12643164:-1 gene:PRUPE_6G149200 transcript:ONI01606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSCYSLHPLASASSSSTTSLTANHSLPYQPQTKPEIPLLFRCSATSAARPEVIIQPTRLFVQPILLFAGFDKPLDTQTFLATISVLAAIALSLFLGLKGDPVPCERCAGNGGTKCVFCSNGKMKMETGLIDCKVCKGAGLVLCKKCAGSGYSRRL >ONI02931 pep chromosome:Prunus_persica_NCBIv2:G6:23325541:23329884:1 gene:PRUPE_6G230000 transcript:ONI02931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFIATAEKKVLVEIVKLSQKRGMKGNKGDWKQFLNIYDKKFGASLSDPGKRSNDVLTAYLRTFDKEDDLKVLAKVVQCHSNRQAVDQLLKTFPENESPEQSLVRSTLEHPQYPLDYSFPSHEQDWVVSKRGKKSKATISNGMVAVDCEMVLCEDGSEALVKVCVVDENLQVKLDELVNPRKKVADYRTEITGVSASDLDRVTCTLADIQKRLKKLLSGETILVGHSLCNDLQALKIDHARVIDTAYIFKYSDGPIFRKPSLNNLCKSVLGYEVRKPGSPHNCLDDACAAMKLVLAKIKREIGDIIPPVQEDVREVNIAKLLLHRIPITVPSEELHNAIPGNYTIEVKQPSVKAQGDKYSAFAIFKNPQEADQAYEKVQGNKEKDSSGRPQKLISFKLSKGIFTDLYVRKMAQDDSCAQVSSKRALEVEENPGVHKKQKTDQNIEEEMVADLSQCCDHLKEIERLKQEQQKVSEQCCDNLKEIEGLKQQLKNKEFEISTLNKIVSKYQGGKKKVK >ONH99861 pep chromosome:Prunus_persica_NCBIv2:G6:3791577:3795936:-1 gene:PRUPE_6G054100 transcript:ONH99861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSEELMEQGISPRSMQKVSYTTVLTLAYQSLGVVYGDLSTSPLYVYKTTFSGKLSLQEDDEEIFGVLSFIFWTFTLIALCKYVFIVMLADDNGEGGTFALYSLLCRHARLSILPNQEITDEKLSEYVTEGTTDTWQSSALKLFFNKHPGFRKGLLVFVLFGTCMAIGDGVLTPAISVLSAVSGIKLKFTELHDNYVVIISCVLLVGLFSLQHHGTHRVAFMFAPIVTAWLLCISGIGIYNIFHWNRRIFHALSPVYMLKFLRSTGVEGWVSLAGVVLSITGVEAMFANLGHFSSLSIKMAFTLLVYPSLVISYMGEAAFLSKHHEDIQRSFYKAIPEAVFWPVFIIATFASVVGSQAVISATFSIISQCCALKCFPRVKIVHTSSKIYGQIYIPEVNWMLMCLCLAVTIGLRDTNMMGHAYGLAVTAVMFVTTCLMALVMIIVWKKRILNAVAFLMLFGSIELIYIAASISKIPEGGWIPVVLSLIFMGVTYIWNYGTMMKHQFDVENKVSINRIVCLGPSLGMVRVPGIGLVYTNLVTGIPPVFGHFVTNLPAFHKVLIFVSVKSVQVPHISGKERLLISRVGPKECGMFRCIVRYGYKDLQQETCNFENILVSSILQFVETEEECPLEPVTGCSREFADAEARHAPDHTLVDSNDEENMETSLSKDESLRILEAKESGVTYILGHSHAKAKKSSSFFKKVAIDIVYAFLRKNCREPDVVLNVPHTSLLEVGMIYYV >ONH99860 pep chromosome:Prunus_persica_NCBIv2:G6:3791229:3796294:-1 gene:PRUPE_6G054100 transcript:ONH99860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSEELMEQGISPRKVSYTTVLTLAYQSLGVVYGDLSTSPLYVYKTTFSGKLSLQEDDEEIFGVLSFIFWTFTLIALCKYVFIVMLADDNGEGGTFALYSLLCRHARLSILPNQEITDEKLSEYVTEGTTDTWQSSALKLFFNKHPGFRKGLLVFVLFGTCMAIGDGVLTPAISVLSAVSGIKLKFTELHDNYVVIISCVLLVGLFSLQHHGTHRVAFMFAPIVTAWLLCISGIGIYNIFHWNRRIFHALSPVYMLKFLRSTGVEGWVSLAGVVLSITGVEAMFANLGHFSSLSIKMAFTLLVYPSLVISYMGEAAFLSKHHEDIQRSFYKAIPEAVFWPVFIIATFASVVGSQAVISATFSIISQCCALKCFPRVKIVHTSSKIYGQIYIPEVNWMLMCLCLAVTIGLRDTNMMGHAYGLAVTAVMFVTTCLMALVMIIVWKKRILNAVAFLMLFGSIELIYIAASISKIPEGGWIPVVLSLIFMGVTYIWNYGTMMKHQFDVENKVSINRIVCLGPSLGMVRVPGIGLVYTNLVTGIPPVFGHFVTNLPAFHKVLIFVSVKSVQVPHISGKERLLISRVGPKECGMFRCIVRYGYKDLQQETCNFENILVSSILQFVETEEECPLEPVTGCSREFADAEARHAPDHTLVDSNDEENMETSLSKDESLRILEAKESGVTYILGHSHAKAKKSSSFFKKVAIDIVYAFLRKNCREPDVVLNVPHTSLLEVGMIYYV >ONI01854 pep chromosome:Prunus_persica_NCBIv2:G6:15464109:15467231:1 gene:PRUPE_6G162900 transcript:ONI01854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTKEQVESSLTSKLNPAHLEVIDTSGGCGASFTIEVVSEKFEGKRLLERHRVVNAALEEEMKQIHALSIKKALTPEQWKQQQESEKPEPAA >ONI00707 pep chromosome:Prunus_persica_NCBIv2:G6:7084900:7086612:1 gene:PRUPE_6G101900 transcript:ONI00707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKSYYARPNYRYLSSDHHHPTLAPDSAFELDESDIYNFARSNSPEFRKPVPSSRVVSASKNRRSEAADRSDRTGGTAASLPVGIPDWSKILRDEYRENRKSDDDDDGDDDVEGGVRVPPHEFLARQMARTRIASFSVHEGVGRTLKGRDLSRVRNAIWEKTGFED >ONI00706 pep chromosome:Prunus_persica_NCBIv2:G6:7084900:7086612:1 gene:PRUPE_6G101900 transcript:ONI00706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKSYYARPNYRYLSSDHHHPTLAPDSAFELDESDIYNFARSNSPEFRKPVPSSRVVSASKNRRSEAADRSDRTGGTAASLPVGIPDWSKILRDEYRENRKSDDDDDGDDDVEGGVRVPPHEFLARQMARTRIASFSVHEGVGRTLKGRDLSRVRNAIWEKTGFED >ONI01383 pep chromosome:Prunus_persica_NCBIv2:G6:11022965:11024072:-1 gene:PRUPE_6G136600 transcript:ONI01383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPWNLPPFFVSLEAATFYHEVVSKRKFVAERSYMLDALPTKAWCGASQLFTHYHLHTLNAISRVLFLYLTEHMLYISHALPKVILTLHSLECIRLWLVILYVYDYSSSVNHKDRQLMCDSSWVLTKFCLIL >ONI01775 pep chromosome:Prunus_persica_NCBIv2:G6:14117187:14117845:1 gene:PRUPE_6G157600 transcript:ONI01775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSHKYPTKHQNGSDYYSHVQRMARAPSVLTEVPHYSQTLFNNQTSVREDNGEYEHQQKQHIPEAHESVEVTERVTQYDKNGNYVIFEETVDVEADNFIEGKHKGFELCKWKTFKGH >ONI03078 pep chromosome:Prunus_persica_NCBIv2:G6:23754179:23756193:1 gene:PRUPE_6G237000 transcript:ONI03078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIEVGGGQHRFSGKDYQDPPPAPLIDAEELGQWSFYRAIIAEFIATLLFLYITVLTVIGYKSQSEGDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYMVAQSLGAICGVGLVKAFQNAYFTKYGGGANGLADGYSQGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFIVHLATIPITGTGINPARSLGAAVIYNNDKAWDDQWIFWVGPFIGAAIAAFYHQYILRAGAVKALGSFRSSSNI >ONI04860 pep chromosome:Prunus_persica_NCBIv2:G6:29602734:29603314:-1 gene:PRUPE_6G344200 transcript:ONI04860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFIFYLILCLNSATATPVGNNNYNINGGFSVQPIHQNSPNSPFYNHNNRNKAFRRLMSPSKDANLTQSQVKRDMDDGEGAQLMKLSIGTPPHDIYAVADTGSTLLWTQCEPCPGCYKQKNPKFDPEKVCNYNYSYLDETVTAGVVARETVTLTSTSGKPKP >ONI03175 pep chromosome:Prunus_persica_NCBIv2:G6:24125872:24127203:-1 gene:PRUPE_6G243200 transcript:ONI03175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKKKRQTPTTVADKKKRRRPDPSSDDDTHHPFKSLLKPDPLILQTLDDLRSATASSSSSSKSITLADLAVGSTCREVSDLDLPSVQSEIELQMLKVIKSILDGNGFAFEVPSRAAANQLYVPELDRIVLKDKTSLRPYANVSTVRKATITARLLQLIHQLCLKNIHVTKRDLFYTDVKLFQDQTQSDSVLDDVSCMLGCTRSSLNVIASEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYIRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKLELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLTMTDQDIKTGKEMLEEDFVKKNPKWVEELTLMVKTKQKAEIQALSTFGFQYLSETYLPLKLQEQDWI >ONI02569 pep chromosome:Prunus_persica_NCBIv2:G6:21552290:21552752:1 gene:PRUPE_6G207000 transcript:ONI02569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLTRLQCVPNVDDKSVFDICFDKALHGSVQIINWDNLNLGCYVVLGCKINHLLCLLHASDITTSYHLSSCNISKVSA >ONI04683 pep chromosome:Prunus_persica_NCBIv2:G6:29043076:29045891:-1 gene:PRUPE_6G334300 transcript:ONI04683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLFFILFKFLTVTRDGQWTPMGTNNHHYHYYHHLIGIHLGQWVTRCPSLPTLQVVPQHRDKYDLMEV >ONI01920 pep chromosome:Prunus_persica_NCBIv2:G6:17093424:17096810:-1 gene:PRUPE_6G167300 transcript:ONI01920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDNFTTLFFTLQSSLPNEAVYDKEKPRVTLPKKLNSPLEAVDSEIADIIEHEKTRQWKGLELISSENYTSLFIDMAESLCQKRALEAFQLDPAKWGVNVQPLSGSPADFQTDTKKISAVSNFFETMPYRLNESTGYINYDQLEKSATLFRPNLIVAGASAYAHLYDYAHIRKAGEDFIIYHSIKLVVLARLDDNKVDCF >ONI00869 pep chromosome:Prunus_persica_NCBIv2:G6:7753189:7754908:1 gene:PRUPE_6G108700 transcript:ONI00869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVKRYVLRLFISLKYITANVVDRNNGRIVTSASTVEHSIKGSLECGRSCNAKAASVVGEVLAKRLKVDGLDQGQGRGIHVNVNNELEKKGFKNRTKIWAIVNALKNNGVKLVLDDNDENTSRPSY >ONH99777 pep chromosome:Prunus_persica_NCBIv2:G6:3546527:3547861:-1 gene:PRUPE_6G050300 transcript:ONH99777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYHSFLLRSPKKEEQQVPDSINNSGEESSDTTTTTTTTTKLDDAFITNGDDHVVDIMDDWERIMGIEEEEDGKLQSENMYSGHKLNWDFMDWDEFPKGEEGEEIEQKVFQTADRCFFEDESYYERKVVKSESVAFWDEDDEKRVHLNLNLNYQEVLDAWSDRGPLWADDCSRSSMASNGNYMGEVPIMEEDRTRREASVLRYREKRQSRLFSKKIRYQVRKLNADKRPRLKGRFVKRCDS >ONH99778 pep chromosome:Prunus_persica_NCBIv2:G6:3546088:3548123:-1 gene:PRUPE_6G050300 transcript:ONH99778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYHSFLLRSPKKEEQQVPDSINNSGEESSDTTTTTTTTTKLDDAFITNGDDHVVDIMDDWERIMGIEEEEDGKLQSENMYSGHKLNWDFMDWDEFPKGEEGEEIEQKVFQTADRCFFEDESYYERKVVKSESVAFWDEDDEKRVHLNLNLNYQEVLDAWSDRGPLWADDCSRSSMASNGNYMGEVPIMEEDRTRREASVLRYREKRQSRLFSKKIRVDL >ONI02099 pep chromosome:Prunus_persica_NCBIv2:G6:18126911:18131828:1 gene:PRUPE_6G176900 transcript:ONI02099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPAAQPPAHPFLNTSDTTAGTSPQLPAVLPPFLSTSDTTVGTSPQLPAVLPPFLSTSDITSGTSPQLPAVLPRGEIIDDSHKEDDVSISMGHLSEESMSWQDWENSFMAFKAFFNGGAKICEAITLGFHVDFPINLVRNLACAVFGVRAIHSMKLSHGSSEVKAAADTLNIKQQELDSQRREVHAFFLAKCVTETTTRSSPRASFVLFGHSS >ONI02100 pep chromosome:Prunus_persica_NCBIv2:G6:18126911:18131828:1 gene:PRUPE_6G176900 transcript:ONI02100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPAAQPPAHPFLNTSDTTAGTSPQLPAVLPPFLSTSDTTVGTSPQLPAVLPPFLSTSDITSGTSPQLPAVLPRGEIIDDSHKEDDVSISMGHLSEESMSWQDWENSFMAFKAFFNGGAKICEAITLGFHVDFPINLVRNLACAVFGVRAIHSMKLSHGSSEVKAAADTLNIKQQELDSQRREVHAFFLAKCVTETTTRSSPRASFVLFGHSS >ONI02098 pep chromosome:Prunus_persica_NCBIv2:G6:18126911:18131828:1 gene:PRUPE_6G176900 transcript:ONI02098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPAAQPPAHPFLNTSDTTAGTSPQLPAVLPPFLSTSDTTVGTSPQLPAVLPPFLSTSDITSGTSPQLPAVLPRGEIIDDSHKEDDVSISMGHLSEESMSWQDWENSFMAFKAFFNGGAKICEAITLGFHVDFPINLVRNLACAVFGVRAIHSMKLSHGSSEVKAAADTLNIKQQELDSQRREVHAFFLAKCVTETTTRSSPRASFVLFGHSS >ONI01559 pep chromosome:Prunus_persica_NCBIv2:G6:12141984:12142841:-1 gene:PRUPE_6G146600 transcript:ONI01559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSTPFLVSFLLLLSLSLLFLFAPRFLPPSRPPIPISASDELDDQILFNRALNPNPRKPKPTFSHLALDSKSSKPKIAFLFLTNSDLHFAPLWSLFFSKTNSNLYNIYVHADPAANVTLPPGTVFHNRLVPSKRTYRASATLISATRRLLASAVIDDPANLFFAVLSQYCVPLHSFRYVYNSLFFSTTFDLTRPATGSDAELAQMGLKVRPKSFIEILSKSASLWKRYSARGRFAMMPEVPFEQFRVGSPVLSPHPTPRARGFEGSGAVEKIQNAVLSRRPVLP >ONI01558 pep chromosome:Prunus_persica_NCBIv2:G6:12140814:12142841:-1 gene:PRUPE_6G146600 transcript:ONI01558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSTPFLVSFLLLLSLSLLFLFAPRFLPPSRPPIPISASDELDDQILFNRALNPNPRKPKPTFSHLALDSKSSKPKIAFLFLTNSDLHFAPLWSLFFSKTNSNLYNIYVHADPAANVTLPPGTVFHNRLVPSKRTYRASATLISATRRLLASAVIDDPANLFFAVLSQYCVPLHSFRYVYNSLFFSTTFDLTRPATGSDAELAQMGLKVRPKSFIEILSKSASLWKRYSARGRFAMMPEVPFEQFRFFLLTRRHALVVLKDRALWRKFRMPCYREDQCYPEEHYFPTLLSMADPDGLTRYSLTRVNWTGTVKGHPYTYLAGEVSAELIHRLRKSNLSESYLFARKFSPDCLKPLLGLAEKVIFRD >ONI02137 pep chromosome:Prunus_persica_NCBIv2:G6:18396786:18398179:1 gene:PRUPE_6G179200 transcript:ONI02137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPIPEIWPNRNILPKVFYLLKYGLARHCNLAEMSYPNYMSYPTNMAYLIHYVLTDHKVRPEYMCFSLTPQHKDYSV >ONI01192 pep chromosome:Prunus_persica_NCBIv2:G6:9788334:9793904:-1 gene:PRUPE_6G126900 transcript:ONI01192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESILQFIQNKNILVTGATGFLAKIFVEKILRVQPNVKKLYLLLRAADKKSATQRLHNEIIGKDLFRVLREKWGAGMNSIVSEKLTVVPGDISLEDLGLKDSNLREEMLSQIDVIVNLAATTNFDERYDVALDINTLGAKHVLNFAKQCVKLKVLVHVSTAYVCGEKDGLLLENPYHMGETLNGTSGLDIDSEIRQVQEKLRELRAEGLTEQTITSDLKDFGLKRANIYGWPNTYVFTKAMGEMLIGDLKENLPLVIVRPTIITSTYKEPFPGWVEGVRTIDSLAVGYGKGKLTFFLCDINAIADVIPADMVVNAIIAAMAAHANQPGEVIYQVGSSMRNPVKYSNFHDYGFRFFTEKPWINKDGTPVKVGKVAVMGSMTTFHRYMTIRYLLLLKGLEVVNTAFCQYFKGTYVDLNRKIKFVMRLVELYRPYLFFKGVFDDMNTEKLQMAVRENTTEADMFYFDPSCINWDDYFMNIHLPGVVKYVFK >ONI05214 pep chromosome:Prunus_persica_NCBIv2:G6:30516936:30520256:1 gene:PRUPE_6G362700 transcript:ONI05214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGLQLFDGGSDSENEMEIEIENENDDIPQIKINEEYARRYEHNKKREDLQRYEELKKRGLVADPSRQSDSDSESSSSEDEDDILLANSKKKDLEFLEALIKVKNRDPSLKNKDVELFKSDGTEKTRAKGEGNGKAKKKMYLKDVVAKHLIEDGPELKEDDNNTKVYDEEQEQRRKEFLSAVAEDEEDDNGELLVEKDKNAVGDDDDDDSVVNAEYDQKLNECFPDEDENARFLRDFIKFRQWKEDKRSKGSSPDVKEEDLEMVSEDEMEIERQEEYEHRFQENAGDRILGHSRQVEGSVRKKVKARKEQRKSKEDRMEIARLEREEELRHLKNLKKKENDENLKKIMEIAGIKEGEVSSFDAKELEKEFNPQEYDRMMKKAFGEEYYEAEDADFAFGSDMDEDGGEIEKPDFDKEDELLGLPKGWDSVGSGDGFLAAREKVLKLKKENVGDHEEEEEEEEEEEEEEEEEEEEETVSEEGKQEKKRKLALLERAKKEMMDEYYKLDYEDTIGDLKTRFKYAKIKPNRYGLSTAEILVMDEKELNQYVSLKKLAPYAEKEWKVPNNKRNEIKQKVKEIFKQGKPGNKKNRKKQKISDAKDLNLSMDAAGQSSTGAPEHEKKLVDSNGDKGNPSRSARRRRNQAARKLPASRLMAYGLMPVKSKKKGKH >ONI04597 pep chromosome:Prunus_persica_NCBIv2:G6:28795238:28798756:1 gene:PRUPE_6G329300 transcript:ONI04597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNTIAAATSAGPIGLAAENQTYKHHHSHSQLYSHHHHHGIFPSKSVLIIIISTISVMVLLAIIFIILMLRRVVKSTKNNGNIYKESSIMNNTSSRFIAQTTVAFNSSPDVKGGCLQGGNSGRSIRTPIVTAASRYKGVQVFSYKELEEATDGFSEANVIGHGGFGVVYRGVLRDGTEAAIKMLHREGRQGERSFRVEVDLLSRLHSPYLVELLGYCADQHHRLLIFECMPNGTLQHHLHSTNKHQPLDWGTRLRIALDCAKALEFLHEHAIPSVIHRDFKCTNVLLDQNFHAKVSDFGLAKMGSDKINGQISTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELITGRVPVDTKRPPGEHVLVSWALPRLTNREKVLEMVDPALQGQFSKRDLIQIAAIAAMCVQPEPEYRPLMTDVVPSLIPLVKNSSSSGSSRFQNHITSPRY >ONI03161 pep chromosome:Prunus_persica_NCBIv2:G6:24057520:24060068:-1 gene:PRUPE_6G242200 transcript:ONI03161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCYPTVSEEYQKAVDKCKRKLRGHIAEKHCAPIILRLAWHSAGTFDVQSKTGGPFGTIRHPEELAHEANNGLDIAVRLLEPIKEKFPILSYADFYQLAGVVAVEITGGPDVPFHPGRPDKQEPPPEGRLPDGSKGSDHLRDVFGHMGLSDKDIVVLSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFKELFSGEKEGLIQLPSDKALLEDPVFRPLVETYAADEDAFFADYAEAHLKLSELGFADAE >ONI01671 pep chromosome:Prunus_persica_NCBIv2:G6:13235207:13238876:1 gene:PRUPE_6G152300 transcript:ONI01671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKYDVFLSFRGEDTRNTFTSHLHAALLGKKVETYIDYRIERGDKIAPALLEAIEKSKLSVIIFSKNYASSTWCLDELVHILKCKERDGQFVIPIFYDINPSHVRKQQGSFADAFAQHEERFKDNMDKVHKWRLALRKAAKISGFDDSNKIGLESDLVKTVVKDILTKLNRKTSSDLKGLVGIESRIEEIESLLCIDSQDVCSVGVWGMGGIGKTTLADAIFHQISSKFEASCFLANVRVKSEEKDGLIHLRNTLVRKILDDENLNIDTPSIGSDLVRKRLGRTKVLIVLDDVDDSSQIELLAGDHARFGPGSRIIITTRDRSLLKKTVEDDKIYKVKALTRDEALQLFHLNAFKNNTPRGDYTELAQKVVGYAGGIPLAVQILGSSFIQCERKEDWLDELINLKTFLSKKIQKVLRLNFDGLEENEKEIFLDIACFDKVQTLYIVKRMLDASGFSVAGIRVLSDKSLISVSENMTIEMHDLLQDMGKEIVREQCIEEPGKRSRLFMAEDVYRVLKNNTGTATVQAIFMNMSEIGPLHSNRAYFKRMYNLRLLNVDNSSFGNYWELDVSLPNSLRYLCWVGYQLESLPSEFSPENLVELRMSYSNVELLWNEDQNLGNLKVLDLSYSRNLTEVPDFSQSHKLEYINLEGCTSLVQIPSCCQYLDKLTYLNLGGCSNLESLPEMPGNIEYLDMSSTAIKEMPSSVWSNEKISCLDIQWCKDLKNLPSSSCKLKLCNLSFQGCSSLGKFSELPSNIMELELSETAIKVLPSSIENLSCLKKIVLQNCGRFVSLPTSFCKLNSLERLDFTGCFKFEYFPEILEPMEHLNFLSLSQTAVKELPSSIDNLMGLQTLQLYGCKNLKFVPNSIYNLDSLKTLMFGGCLKLKSLPFFSVGLCSLEELNLSYCGILEISDSLICLTSLRDIDLSGTMIRSLPASIPKVEEDTKIERDCDEYGASGSDDIFGTLCVREWKWKDRKNDMVNYVLRQLKRKKEKAKEFFGALGR >ONI03817 pep chromosome:Prunus_persica_NCBIv2:G6:26423592:26426110:-1 gene:PRUPE_6G284400 transcript:ONI03817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEASDGDKKLPKRRNGCDSIEDTLAKWKNYNDRFDFAKDGVKKKRKAPSKGSKKGCMKGKGGPENSDCVYRGVRQRTWGKWVAEIREPNNFSGVSKKNSRLWLGTFPTAYDAAFAYDEAARAMYGGLARLNFPQNTMKLKEYSNSVYSGTTKTTTSSSYESSTTYNNGDEAEGLDMSSKCAMESSRFVVGKSKESYDFFEDCVHKEPKQETDCSVGFASQDLEVLDATLREAKDVKCELETEYELARNDAEETSVFQTGSYESFNHRPDYLHNELQVVNLDSVPDGKPYYNDWESLETFLRSNNDYLNNELVDAECNGRNDCNPSEDVNVEKPVTSEAMEKEFPMILESGSHNGLDDSCHYMHNEQTNVASNLVTADFEPSNDEIKRSMTDQELRGGLVETTNLNGHNGFIDSYACLDDIRPSNDIKMQENRLANLHNWSVEESYGIDAQNQQGERLPNLPNQSQTQPQGNIPGRLAHTEEASLDIDFDVDLFRQNYDSGVLEEQVFHGSWFPYS >ONI03818 pep chromosome:Prunus_persica_NCBIv2:G6:26423961:26425340:-1 gene:PRUPE_6G284400 transcript:ONI03818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKGGPENSDCVYRGVRQRTWGKWVAEIREPNNFSGVSKKNSRLWLGTFPTAYDAAFAYDEAARAMYGGLARLNFPQNTMKLKEYSNSVYSGTTKTTTSSSYESSTTYNNGDEAEGLDMSSKCAMESSRFVVGKSKESYDFFEDCVHKEPKQETDCSVGFASQDLEVLDATLREAKDVKCELETEYELARNDAEETSVFQTGSYESFNHRPDYLHNELQVVNLDSVPDGKPYYNDWESLETFLRSNNDYLNNELVDAECNGRNDCNPSEDVNVEKPVTSEAMEKEFPMILESGSHNGLDDSCHYMHNEQTNVASNLVTADFEPSNDEIKRSMTDQELRGGLVETTNLNGHNGFIDSYACLDDIRPSNDIKMQENRLANLHNWSVEESYGIDAQNQQGERLPNLPNQSQTQPQGNIPGRLAHTEEASLDIDFDVDLFRQNYDSGVLEEQVFHGSWFPYS >ONI02339 pep chromosome:Prunus_persica_NCBIv2:G6:19936192:19938912:1 gene:PRUPE_6G192000 transcript:ONI02339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLFSLFLLHIIVSAAGAQLRPHYYSESCPKAEAVVRHVMKKALIREPRSLASVMRFQFHDCFVNGCDASLLLDDTPTMLGEKLALANINSLRSYEVVDEVKETLEKVCPGIVSCADIIIMASKDAVALTGGPDWEVKLGRLDSLSASQEASDNVMPSPRANASFLVDLFGKFNLSVKDLVALSGSHSIGQGRCFSIMFRLYNQSGTGRPDPVFDPKFRGKLNKLCPLNVDQNVTGDLDATPVVFDNQYFKDLVAGRGFLNSDQTLFTFPETRAFVQLFSRDQHEFFKAFVEGMIKMGDLQVDQQGEVRRNCRVVNSRLL >ONI02238 pep chromosome:Prunus_persica_NCBIv2:G6:19486691:19489009:1 gene:PRUPE_6G186300 transcript:ONI02238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPRRDTADMLTWKEVPQSDSPATAPSGHRSHQPSDGISKVLHGGQITDEEAQSLNKQKPCSGYKLKEITGNGIFAAGSGNNAAPESNDASNKTGLRIYQQALNGVSQISFSVDEHVTPKKPITIPEVAKQRELSGTLQSDSDSKSNKHISNAKSKELTGNDIFGPPPETVPRSVAAAHTLETRETRDMGEPAPRNLRTSVKVSNPAGGQSNIMFSEEPVVKTSRKIHNQKFAELTGNNIFKGDVPPGSAEKPLSTAKLREMNGSDIFADGKASSRDYLGGVRKPPGGESSIALV >ONI02735 pep chromosome:Prunus_persica_NCBIv2:G6:22531635:22532888:-1 gene:PRUPE_6G219100 transcript:ONI02735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTHQTKPCADGFCFLAGLFFGLFCFWSLTLYHYKPHFGFIPFSAKASDYGAEAPILHSDPPHETFYDDPELSYFIEKPVNNWDQKRHVWLDLHPSFTAGSRDRVLLVTGSQPSVCKNPIGDHLLLRFFKNKVDYCRLHGHDIFYNDAYLHPKMDSYWAKLPIIRAAMLAHPEAEWIWWMDSDAVFTDMEFKVPLGRYKDHNLVVHGWPNMVYEEKNKSWTGLNAGVLLIRNCQWSMDLIDVWVSMGPLSPDFERWGQILTSVFKDKLFSVSDDQSSLIYLLFTNKEKWGDKTYLEGEYNLEGYWLALVGKYDTLAESYIKMERNEGVLRRRHAEKVNDWYAAEREKYLQGHERRPFVTHFTGCQPCSGEHNPQYSADSCWNEMKRALNYADNQVLKNYGFVHPELSSSEVSVVI >ONI03523 pep chromosome:Prunus_persica_NCBIv2:G6:25247225:25250587:1 gene:PRUPE_6G262400 transcript:ONI03523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCRIKFTLRCPSSDTQRFASTCRLPNPSYPLLLNLNPASSSNPKKVSCSSARRRSFGTVRAHMATEEKPVSEDRMLVFVPPHPLIKHWVSVLRNEQTPCPIFRNAMAELGRLLIYEASRDWLPTVTGEIQSPLGVASVEFVDPREPISVVPILRAGLALVEHASSILPATRTYHLGIGRNEETLQPTVYLNKLPDKFPEGSRVFLVDPMLATGGTIVAAMNLLKDHGVDNKQIKVISAVAAPPALQKLSEKFPGLHVYTGIIDPIVNEKGFIIPGLGDAGDRSFGT >ONI00223 pep chromosome:Prunus_persica_NCBIv2:G6:5240700:5244459:-1 gene:PRUPE_6G076300 transcript:ONI00223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKFFVGGNWKCNGTTAEVKKIVTTLNEAEVPSEDIVEVVVSPPFVFLAFVKSLLRSDFHVAAQNCWVRKGGAFTGEVSAEMLVNLGIPWVILGHSERRLILNESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTVAVVAAQTKAIAEKVSSWENIVLAYEPVWAIGTGKVASPAQAQEVHFELRKWLQDNVGAEVAASTRIIYGGSVNGANCKELATQPDVDGFLVGGASLKPEFIDIIKSATVKKND >ONI01131 pep chromosome:Prunus_persica_NCBIv2:G6:9189204:9191569:1 gene:PRUPE_6G123200 transcript:ONI01131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRTDIAEQLKELISSLKEQGILDEKFDEVMRRLKDERNPRFAVEIINHFYGDAENNLAELTYLSVVPIIDYPQLTNVALNLKEACSSFGCSRMAIACGELRDASEAKNKEGCHMVLEHIRREYAVLQKNLNQIAQLDRAIYDNAIRGRYY >ONI03702 pep chromosome:Prunus_persica_NCBIv2:G6:25993291:25996038:-1 gene:PRUPE_6G276100 transcript:ONI03702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSALWSFANKFFTCGVIGVYVSDRFVGVAPVRGSSMSPTLNPGTTSLMGLAIDDYVLVEKRCLQNYKFSHGDVVVFSSPSNHKERHIKRITALPGEWIGTRHSYDVLKIPEGHCWVEGDNSSSSLDSRSFGPIPLGLVQGRVTHIAWPPQRIGAVEKRTPQDTISSS >ONI04255 pep chromosome:Prunus_persica_NCBIv2:G6:27938959:27940371:-1 gene:PRUPE_6G311800 transcript:ONI04255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLISNVDGSLDASLIESSRKKRRKIGAVESDRNPTTNTGARWRSETEQRIYSTKLVEALRQARQRSSRAAKVSGGGREVKKAADRVLAAAAKGTTRWSRAILRTRLRLNQKLHKRRTARVTGNNRLKKAEVRREGRKSPVVERKVRVLSRLVPGCRKASLPNLLEETSDYISALEMQVRAMAALTELLSGSPVNHVGSSLSSSS >ONI02058 pep chromosome:Prunus_persica_NCBIv2:G6:17934038:17934571:1 gene:PRUPE_6G174900 transcript:ONI02058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLQCYFLLLFVIFFLPFSHFLLSPLPLPISGVKTSAAHALRFLLLTFCLLPPTSRLPLSRSEISAMHKYSAQTGMILGVV >ONH99728 pep chromosome:Prunus_persica_NCBIv2:G6:3334384:3337899:-1 gene:PRUPE_6G046100 transcript:ONH99728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTLLRRATGSGCPRHDPWRLTATMSYSSKDKKSTVKKAKKGKDKNDPAAATDDAVSDVDAALFDEKARARRLQADENDPSLDVGPNGRPLFTATPTLSQLTRKDACSYFKLKMEELNNVLPEGLPLGMVKEFEDAMQSAVLVRQSFLDLRDNFRWIVDPPLHSSTTKGTKVRKQIVLDGPVSCGKSIALSMLVQWARGEGWLVLYVPRGRDWTYGGFFYKNPQTGLWDTPVQAENILKDFLKFNESRLKQLFCQIFDPIPLGEGAGVGWMKDGIDTMAMPDGSTLYDLVDTGIKHTHAAVGVVVRLRKELSLVKDIPVLIAIDQYNSWFTFSEYEEPVTVRSTRPIHAKELATVKAFRSMRHDDLMVGAFSHSTAVGKLRQDLPEVPTDARVNFPRYTLDEAAAVCHYYLRQRLIRREAFTEENWKKIYYLSNGNGAEIRWLVPLMRGDGMQADG >ONI03359 pep chromosome:Prunus_persica_NCBIv2:G6:24690586:24691980:1 gene:PRUPE_6G253000 transcript:ONI03359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPTVLNKPPPPFPAPPRSVDFSALGFILGLVAVITIPALVYAFFFSIKCPPNPFRRRHHRSSTTASGPIRPEPNTLNRNDVISDVKYQKQTHVKEIGTECPVCLSVFADGEEVRQLSVCKHSFHALCINMWLKDHSNCPICRAYIAVDRSSGNNRTASGAARDRDDQQQGLPDASSMV >ONI00113 pep chromosome:Prunus_persica_NCBIv2:G6:4684974:4688900:-1 gene:PRUPE_6G068100 transcript:ONI00113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEEVPFTRTESQEIEIPIVSEGSDALPVPPQLPCSSVCVFFKKEHCSLDSRERSKSAKKLCGLIIASVLFMVVEIIGGVKANSLAVLTDAAHLLTDVAGFSIALFTVMASGWEATSYQSFGYHRLEVLSALLSVQLIWLVSGILIFEAVDRILHKNEKVNGFLMFEVAAFGFLVNLIMVMWLGHDHTHHACGGLGHDHHHDHNQEHNHDHHHDHHHNREEEYAIIEDDKTSLVSSSSENTKILNINLQGAYLHVIADMIQSVGVMIAGGIIWAKPDWLVVDLICTLIFSVFAVSTTISMLRNIYGILMERTPSEIDITNLEKGLKCIKGVEDIQDLHVWELTVGKTVLSCHVKAEPAVSSSQIIGKIRDYCEKTYRIHHVTIQIE >ONI00112 pep chromosome:Prunus_persica_NCBIv2:G6:4685435:4688756:-1 gene:PRUPE_6G068100 transcript:ONI00112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDHEEVPFTRTESQEIEIPIVSEGSDALPVPPQLPCSSVCVFFKKEHCSLDSRERSKSAKKLCGLIIASVLFMVVEIIGGVKANSLAVLTDAAHLLTDVAGFSIALFTVMASGWEATSYQSFGYHRLEVLSALLSVQLIWLVSGILIFEAVDRILHKNEKVNGFLMFEVAAFGFLVNLIMVMWLGHDHTHHACGGLGHDHHHDHNQEHNHDHHHDHHHNREEEYAIIEDDKTSLVSSSSENTKILNINLQGAYLHVIADMIQSVGVMIAGGIIWAKPDWLVVDLICTLIFSVFAVSTTISMLRNIYGILMERTPSEIDITNLEKGLKCIKGVEDIQDLHVWELTVGKTVLSCHVKAEPAVSSSQIIGKIRDYCEKTYRIHHVTIQIE >ONI00320 pep chromosome:Prunus_persica_NCBIv2:G6:5553060:5554097:1 gene:PRUPE_6G082300 transcript:ONI00320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGKVKKGAGGRKGGGPKKKPVTRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSEKAAAKEPKSPSKATKSPKKA >ONI01138 pep chromosome:Prunus_persica_NCBIv2:G6:9270135:9273236:-1 gene:PRUPE_6G123900 transcript:ONI01138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRQRSRVAWLKEGDKNTHFFHGRASSRSKRNRVCGIFDANQAWQTEEQRIGDLFCDYFKTLFSSSGGQQMERILNEVRPVITSAMNAQLLQAFTREELEHTLFQMFPTKAPGHDGMPALFFQKYWHIVGDKVAKKCLQILNGEGSVREFNHTLIALIPKVKMPTIVSEFRPISLCTTVYKMIAKTIANRLKTVLSHVITETQSAFVPNRMILDNVMAAFEIMNTIKGVKKGRDVQMALKLDMAKAYDRVEWVFLRAMMLKLGFSATWVSKVMDCISTTTFSVLWKGTPVGHIMPQRGLRQGCPLSPYLFLICTEGFSCLLRGAERRGDLVGVQVARGAPSVTHLLFADDSILFMKATNKDCMALETLFQTYEEVTGQQINYSKSALSLSPNATRADFDMIEGVLNVPVVRCHENYLGLPTIAGKGRKQLFQHLKDKLWKHISGWKEKLLSRAGKEILIKAVLQAIPTYSMSCFRIPKGLCKELNGIMARFWWAKAKDKRGIHWVKWELLCKSKFAGGLGFRDLEAFNQALLAKQCWRILRTPESLVARIFRARYHPSVPFLEAEVGTNPSFIWRSLQWGKELLNKGLRWRVGSGVSIQVYTDKWLPAPSCFKIMSPPQLPLSTRVCDLFTSSGQWNVPLLKDIFWDQEVDAILQIPLASLAGHDCLIWHYERNGMYSVKSGYRLAGLEKDKMSGEPSARVDLNSKFWKKIWALKIPNKIKFFLWRCAWDFLPCGQILFNRKIAPTPICPKCHRKAESVLHAVWLCEAAKEVWRNSAWGNVCEVWRVNSFRELWHALQLSSSGEEQGLFAYLCWGLWNRRNSFIFEGKSETAIQLLSRMTKLAQEFSDANNILHTIHGRQSSPQAPLQGWRPPPAVKSGDSVRGVGVVVRNANGEFMAACVRRIHASYGARQTELMATIEGLRFAIDMGFTDAILEMDAQDCLNSIFSTEEYNGIDGPLLEEVNYLLNNFRAVVCHWTPRCGNKVAHTLAQFAFHCNEFVTWIEEAPSWLLPVLEADVLSLEC >ONI04920 pep chromosome:Prunus_persica_NCBIv2:G6:29759046:29761826:1 gene:PRUPE_6G348300 transcript:ONI04920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLFEGLPPPSANPPPSSSELQERKPPQEALKPQQKRKTATFNTASSSSPAPAPAPAPAPPKPILKSALKRPKPSESNAEEAPVTEKKLRFKTTTDASEQQVIEAMQKIASHIKNPTKFSKASKLAIQLIQAGSVKPETGDYFFSVLEAAMASPTSCMDPSLRADYHALFSAAKDASECLNKKQKNQLTAWRIRAVMANELSTDDSFQFSKTAAQVKEAISNLPVATEDNDREEAAVLEDETKIADQDNQMEQDMVSAAPAEENNEKESDPFGLDAFLTPTPMKKDDKTKGKKDGITKIRKEEGETKRFLRSQREALVLCLEIAARRYKTPWCQTVIDILAKHAFDNIARFTSKQRNAIEKLWASIREQHNQRKQGKSVTGKLDVNAFEWLQQKYATEKISIRHSVGGSGDRKTEMWLG >ONI04557 pep chromosome:Prunus_persica_NCBIv2:G6:28744674:28747274:-1 gene:PRUPE_6G328100 transcript:ONI04557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETPNLFVKNNFSLCSNFYAKMQGFVFPGIDRPATGMKSVDREYPAHRSVSGGRQSKCVMSPSNAGMVAETIERRNKHWFVQTKVASDLIIQVGDSSFHLHKLPMVMRSGHLNRLVFQRSDAERETSSKIHMDNLPGGTKVFELVVKFCYGWKADLTAANIAPLYCAAHFLEMSEDFEEGNLISKTEAFLSFLIFSSWKDTFRILKSCESISSWANEFKITKRSAEAIAWKACTNVKAFGSSENDNAQCFNVLPNNAENLKFEDEADIWWFEDVSSLRIDHFIEVIQALKCKGMRADLVGSCIAHWTAKWLARITSGLERMTLKHMTHQLLRVTAECLIKVLPTEENSVTCNFILHLLKLGFMTKINSELLSMLERRIALMLDQCRAPDLLVKNYGGKDSVHDVGLIVRVVVSYVSIVLSSPTPNMFAVGRLIDGYLTLVAKDENLTVNSFQSLVEALPKDARYCDDNLYRAIDMYLKAHPSLTEEKRMSLCGSLEYHRLSQEAREHVMKNDRLPLKITTRFILLEQVNMTRSMTAIGSNYRRTKTQAIVRVNKGLGKGWMNSKKEINLMTKEVETMRVQLNDLQMCKLKLQNQLKRCII >ONI02820 pep chromosome:Prunus_persica_NCBIv2:G6:22861117:22863548:-1 gene:PRUPE_6G223600 transcript:ONI02820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIDRGESTAAPTTANMTSKKKELLSSALKRTSECDVNIHVGGVSFSLHKFPLISKCGYIRKLISESSDADLSVIELPDVPGGAEAFELAAKFCYGINFEISTENIAMLRCVAEYLVMTEDNAVGNLVGRTDAYLNEVALKSLAGAVSVLHISESFLPMAEKVKLVSRCIDAIALIVCKENQFCVSGMGDSVNESAVSSAVYHSKPVVDWWAEDLTVLRIDIFQRVLIAMMARGFKQYALGPVLMLYAQKSLRGLELFGKGRKKIEPRQEHEKRVVLETIVSLLPKEKNAMSVSFLSMLLRAAKTLETTVACHLDLEKRMGMQLAQAVLDDLLIPSYSFTGDTLFDVDTVQRIMSNYLEYETEGSHLLYNAEDEYVSPPQTDMERVGKLMENYLAEIATDRNVSVSKFIGIAELVPEQSRATEDGMYRAVDIYLKAHPALSDMERKKVCSMMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDVMNGNLIGGESSVLPSKGNLYSTDIHPVPDELSILRRQNEELKIELVKMKKRLKELETSTVRTSISSPMGNISTSADKPPLPRKSFINSVSKKLGRLSPFVRTDGVTPSIPKGRTRAAKDRRHSIS >ONI02821 pep chromosome:Prunus_persica_NCBIv2:G6:22860650:22864032:-1 gene:PRUPE_6G223600 transcript:ONI02821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIDRGESTAAPTTANMTSKKKELLSSALKRTSEWIFSQEIPSDVNIHVGGVSFSLHKFPLISKCGYIRKLISESSDADLSVIELPDVPGGAEAFELAAKFCYGINFEISTENIAMLRCVAEYLVMTEDNAVGNLVGRTDAYLNEVALKSLAGAVSVLHISESFLPMAEKVKLVSRCIDAIALIVCKENQFCVSGMGDSVNESAVSSAVYHSKPVVDWWAEDLTVLRIDIFQRVLIAMMARGFKQYALGPVLMLYAQKSLRGLELFGKGRKKIEPRQEHEKRVVLETIVSLLPKEKNAMSVSFLSMLLRAAKTLETTVACHLDLEKRMGMQLAQAVLDDLLIPSYSFTGDTLFDVDTVQRIMSNYLEYETEGSHLLYNAEDEYVSPPQTDMERVGKLMENYLAEIATDRNVSVSKFIGIAELVPEQSRATEDGMYRAVDIYLKAHPALSDMERKKVCSMMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDVMNGNLIGGESSVLPSKGNLYSTDIHPVPDELSILRRQNEELKIELVKMKKRLKELETSTVRTSISSPMGNISTSADKPPLPRKSFINSVSKKLGRLSPFVRTDGVTPSIPKGRTRAAKDRRHSIS >ONI00898 pep chromosome:Prunus_persica_NCBIv2:G6:7897523:7899660:1 gene:PRUPE_6G110000 transcript:ONI00898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRSGVQKLGTVLLVGLKIWVLTGNKMETAINIGFACSLLRQGMKQIYVSTANFDMLGQDSKEAVVHDWEVFLLL >ONI02117 pep chromosome:Prunus_persica_NCBIv2:G6:18284607:18287241:1 gene:PRUPE_6G177700 transcript:ONI02117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCRNRLFSGLLFLCCGFLGFITSPAEAAIKKYQFDVQVNNVSRLCHAKPIVTINGRFPGPTVYVREGDRVLINVTNHAQYNMSIHWHGLKQYRNGWADGPAYVTQCPIQTGSSYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVILPKPGTPFPFPQPYREAEIILGEWWNGNVEEFVNKANNLGLPPNSSDAHTINGKPGPLFPCSEKHTFSMEAEQGKTYLLRIINAALNDELFFGIAGHNLTVVEVDAVYTKPFTTEAILIAPGQTTNVLVQANQASGRYFMAARPFMDAPVAIDNKTATGIFQYRGIPNTVLPSLPQLPASNDTAFALSYNKKLKSLNTPNFPANVPLKVDRKLFYIIGFGKESCPTCLNGTRFVASLNNISFEMPQVGLLQAHYFNLKGVFKTDFPDRPQTPFNYTGAPLTANLGTSTGTRLSKIAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPAKDPAKYNLVDPVERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVEDGPGSDHSVLPPPKDLPPC >ONI00793 pep chromosome:Prunus_persica_NCBIv2:G6:7455115:7456029:1 gene:PRUPE_6G105200 transcript:ONI00793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYKMKKIILINRVVGPPTPSPLSLRLCLLRLSATLSSATLSSATLCDSALILYISLPLSLSLYDQIHQPPPRTLQKQQNKIK >ONI03900 pep chromosome:Prunus_persica_NCBIv2:G6:26754953:26755433:-1 gene:PRUPE_6G289600 transcript:ONI03900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHTRERERETERSFMQGVRGCGGGMVMRWVLGGRRRLLRSVYARSR >ONI01836 pep chromosome:Prunus_persica_NCBIv2:G6:15142860:15144140:-1 gene:PRUPE_6G162100 transcript:ONI01836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSDPVGPRSVHRKALLNALADQLPINSIRFSSKLTAIETQEHEGSSISIIHMADGTVIKAKVLIGCDGVHSVVARWLGLAEPVYSGRSAVRGLAVFPQGHGLDNNVQQYLGLGRRAGFVPLNDKEIYWFFTCTSPAKGADMVDEPEVIKREVIENYAKDLPPIYLDVVQHSDLSALTWAPLMFRYPWHVVFGNLSKQNITVAGDAMHPMTPDLAQGGCSALEDAVVLGRHIGKSFIQNGQLVPKEMAVTIGKYVEERRWRVALLIAGSYLSGWVQQAGSGWGMKFLRDAIFYRFFYLIIIKFTRYDCGKLNF >ONI01835 pep chromosome:Prunus_persica_NCBIv2:G6:15142147:15145474:-1 gene:PRUPE_6G162100 transcript:ONI01835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVIVGAGIAGLATAVALKRAGVEALVLERSEGLRATGAALTLSRNAWVALDALGISQNLAPLYAPIKKGYITNLDTGEIQDVSFVASNGDPVGPRSVHRKALLNALADQLPINSIRFSSKLTAIETQEHEGSSISIIHMADGTVIKAKVLIGCDGVHSVVARWLGLAEPVYSGRSAVRGLAVFPQGHGLDNNVQQYLGLGRRAGFVPLNDKEIYWFFTCTSPAKGADMVDEPEVIKREVIENYAKDLPPIYLDVVQHSDLSALTWAPLMFRYPWHVVFGNLSKQNITVAGDAMHPMTPDLAQGGCSALEDAVVLGRHIGKSFIQNGQLVPKEMAVTIGKYVEERRWRVALLIAGSYLSGWVQQAGSGWGMKFLRDAIFYRFFYLIIIKFTRYDCGKLNF >ONI01551 pep chromosome:Prunus_persica_NCBIv2:G6:12048247:12050088:1 gene:PRUPE_6G145900 transcript:ONI01551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGWGKIGTMMQWVCVVFFSLNFKRVRAYWDDDAVAMADMVTGGERKQCWWVGLLALGCCWLWLVCRRRCWLWEKGTVMSLAKGSGQFVPPFPRLP >ONI00197 pep chromosome:Prunus_persica_NCBIv2:G6:5073363:5078557:-1 gene:PRUPE_6G074200 transcript:ONI00197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPKKEQSNGFFAAMNSGLSVFSNAMHRSVGGLLGYEGVEVINPEGGKEDAEEEAHRGRWKQEERDSYWRMMQKYIGSDVTSMVTLPVLIFEPMTMLQKMAELMEYSNLLDRADECEDPYLRLVFATSWAISVYYAYQRTWKPFNPILGETYEMVNHSGVTFIAEQVSHHPPMSAGHAENEHFAYDVTSKLKTKFLGNSVDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMTNLTTGDKAVLYFQPCGWFGAGRYEVDGYVYNAAEEPQILMTGKWNESMSYQPCDMEGEPLPGTELKEVWHIADAPKNDKFQYTYFAHKINSFDTAPKRLLASDSRLRPDRYALEKGDLSKSGAEKSRLEERQRAEKREREAKHHQFTPRWFDLTEEVTPTPWGDLEVYQYNNKYNEHRAAVDSSDSIDEVDVKLIEFNPWQYGNLSAE >ONI00198 pep chromosome:Prunus_persica_NCBIv2:G6:5072746:5078657:-1 gene:PRUPE_6G074200 transcript:ONI00198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPKKEQSNGFFAAMNSGLSVFSNAMHRSVGGLLGYEGVEVINPEGGKEDAEEEAHRGRWKQEERDSYWRMMQKYIGSDVTSMVTLPVLIFEPMTMLQKMAELMEYSNLLDRADECEDPYLRLVFATSWAISVYYAYQRTWKPFNPILGETYEMVNHSGVTFIAEQVSHHPPMSAGHAENEHFAYDVTSKLKTKFLGNSVDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMTNLTTGDKAVLYFQPCGWFGAGRYEVDGYVYNAAEEPQILMTGKWNESMSYQPCDMEGEPLPGTELKEVWHIADAPKNDKFQYTYFAHKINSFDTAPKRLLASDSRLRPDRYALEKGDLSKSGAEKSRLEERQRAEKREREAKHHQFTPRWFDLTEEVTPTPWGDLEVYQYNNKYNEHRAAVDSSDSIDEVDVKLIEFNPWQYGNLSAE >ONI03920 pep chromosome:Prunus_persica_NCBIv2:G6:26871953:26872795:-1 gene:PRUPE_6G291300 transcript:ONI03920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNSASVSLKLLIDPKSHKVLFAEASKEVVDFLFSFLSLHVATVTRLLSTDGNLYQSAESLSVNSYLPLNLKDTLLKPKTTISANLSKLFYICVRCSNRIPESYGIPCPQCRSCNISTPVSYVSPSAPTGATSSNIKAGYVKGGVIYMIVDNLEVKPMTTESSVAVLQKFNVKGIDALQGLKLVKASSESNTALTNVFLGKKQHKLFNFGPLATLSFWGFILL >ONI02755 pep chromosome:Prunus_persica_NCBIv2:G6:22600934:22602302:1 gene:PRUPE_6G220100 transcript:ONI02755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNNHPLTEQSISYLIVFPTLSWSLILVVLLLRRLNCEQQQLLLWPLFLSKELCHLKQLHQIQLPLRTFCKYHLYKISLS >ONI01971 pep chromosome:Prunus_persica_NCBIv2:G6:17536704:17539226:-1 gene:PRUPE_6G169900 transcript:ONI01971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPFKIRVRRYIQFFRLAVKRKRHWKTTLTVTNDSGADDLIPGQTTQDLSRRRRNLSQSVLASESWVKQELTWRKIGAFLRKIKLIQQITISMQHTIMQIKFIIKTDA >ONI02568 pep chromosome:Prunus_persica_NCBIv2:G6:21529897:21531320:-1 gene:PRUPE_6G206900 transcript:ONI02568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSQEQQHPMKAFGWAARDSSGVLSPFNFSRRHEIVGVVTEVGSRVQKYEVGDKVGVECLVGSCQSCDKCANNLENYCPGLILTYGAKYHDGIPPRMEHFVIRIPDKLPLEGAAPLLCAGITTYSPLRYYGLDKPGMHVGVVGLGGLGHVAVKFAKAMGVRVTAISTSPSKKEEAIKRLKADSFLVSREQDQMLAAMGTMDGIIDTVSAVHPILPLIRLLKTNGKLVVLGAPEKPHELPVFPLLMGRKMVGGSNIGGVKETQEMIDFAAKHNITAEVEVIPIDYVNTAMERLAKADVRYRFVIDVGNTLKPPDSCNRN >ONI00568 pep chromosome:Prunus_persica_NCBIv2:G6:6631515:6632504:-1 gene:PRUPE_6G095600 transcript:ONI00568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKCGSIEKALLVFEKLPKRNVITWNAIISGLAMHGRVEDALDYFKKMEPAGVVPSDVTYIGILSACSHAGLVEQGRSFFNRMVNVISLEPRIEHYGCMVDLLGRAGLLEEAEELILNMPIQPDDVTWKALLGACKKQGNIDMGKRVAEVLMDLAPHDSGSYVALSNMYASLGNWEAVAKVRLQMKDMDIRKDPGGSSIELDGVIHEFVVEDESHPRAREIHSMLEEISNQLSLEGHRPDTTQVLLNMDEEEKQSVLHYHSEKIATAFGLISTAPQTPLRIVKNLRICEDCHSSLKLISKIYERMIIVRDRKRFHHFEQGLCSCMDYW >ONI04071 pep chromosome:Prunus_persica_NCBIv2:G6:27293230:27297748:1 gene:PRUPE_6G300700 transcript:ONI04071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEGIVPITRAFLASYYDTYQFSPLSNDVARLSSEIRSFTADLLNSSPAAEGEERLLVSELESEPPHKVDENMWKNREHMEEILYLLEKPHWPRSLQQQLSPDDAELATIIDRLHQKFQTSLKTLESFQAKNSERVFNTVMTYIPQDFRGKLIRQQRERSERNKQAEVDALVSSGASIRDRYALLWKQQMERRRLLAQLGSATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYRLTTMVLLIRVFISLSWGRFETRKLKKEEVAVLEQAADVYTTEFERFITFISEVFANSPFFISAEVAGALEGRNNDDYKEISVPAGKTHEVSLSVDAINSYIAWDFSLVQGKINLDIGFSVEYTNPSGEKSLILPYRRFESDQGNFCTCEVGNYKLLWDNSYSSFFKKALRYKVDCIPPVAEPVAPAATEAKE >ONI03067 pep chromosome:Prunus_persica_NCBIv2:G6:23708237:23712341:1 gene:PRUPE_6G236200 transcript:ONI03067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFMDSKEKLKEGEKCLDPQLWHACAGGMVQMPPVNAKVFYFPQGHAEHACGPVDFRNFPRVPPYIFCRVSAIKFMADPETDEVYAKIRLVPLSASEAGYEDDGIGGLNGSETPDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGPESSSGWNPTGGNCTMPYGGYSAFLREDENKLMRNGNGNGSNSNGSLMGKGKVGPESVFEAATLASNGQPFEVVYYPRASTPEFCVKASLVKAALQIRWCPGMRFKMAFETEDSSRISWFMGTISSVQVAEPLRWPESPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLTPFSPPRKKMRLPQHPDFPFEGQLPMPTFSGNLLGPSSPFGCLPDKTPAGMQGARHGHYGLSLSDMHLNKLQTGLFPAGFTPLDHAATATKFSNNTMTQKPTMSENVSCLLTMAHSTQTSKKPDDVKPPQLVLFGQPILTEQQISLSCSGDTVSPVLTGNSSSDGNAEKTANLSDNSGSALHQQSLQERSSCEGFQWYKDTRQETEPSLETGHCKVFMESEDVGRTLDLSVFGSYDELNRKLADMFGIENSETLNHVLYRDATGAVKHIGDEPFSDFMRTARRLTILMDSGSNNVGM >ONI03066 pep chromosome:Prunus_persica_NCBIv2:G6:23708844:23712064:1 gene:PRUPE_6G236200 transcript:ONI03066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFMDSKEKLKEGEKCLDPQLWHACAGGMVQMPPVNAKVFYFPQGHAEHACGPVDFRNFPRVPPYIFCRVSAIKFMADPETDEVYAKIRLVPLSASEAGYEDDGIGGLNGSETPDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGPESSSGWNPTGGNCTMPYGGYSAFLREDENKLMRNGNGNGSNSNGSLMGKGKVGPESVFEAATLASNGQPFEVVYYPRASTPEFCVKASLVKAALQIRWCPGMRFKMAFETEDSSRISWFMGTISSVQVAEPLRWPESPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLTPFSPPRKKMRLPQHPDFPFEGQLPMPTFSGNLLGPSSPFGCLPDKTPAGMQGARHGHYGLSLSDMHLNKLQTGLFPAGFTPLDHAATATKFSNNTMTQKPTMSENVSCLLTMAHSTQTSKKPDDVKPPQLVLFGQPILTEQQISLSCSGDTVSPVLTGNSSSDGNAEKTANLSDNSGSALHQQSLQERSSCEGFQWYKDTRQETEPSLETGHCKVFMESEDVGRTLDLSVFGSYDELNRKLADMFGIENSETLNHVLYRDATGAVKHIGDEPFSCSDFMRTARRLTILMDSGSNNVGM >ONI03068 pep chromosome:Prunus_persica_NCBIv2:G6:23709184:23712064:1 gene:PRUPE_6G236200 transcript:ONI03068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFMDSKEKLKEGEKCLDPQLWHACAGGMVQMPPVNAKVFYFPQGHAEHACGPVDFRNFPRVPPYIFCRVSAIKFMADPETDEVYAKIRLVPLSASEAGYEDDGIGGLNGSETPDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGPESSSGWNPTGGNCTMPYGGYSAFLREDENKLMRNGNGNGSNSNGSLMGKGKVGPESVFEAATLASNGQPFEVVYYPRASTPEFCVKASLVKAALQIRWCPGMRFKMAFETEDSSRISWFMGTISSVQVAEPLRWPESPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLTPFSPPRKKMRLPQHPDFPFEGQLPMPTFSGNLLGPSSPFGCLPDKTPAGMQGARHGHYGLSLSDMHLNKLQTGLFPAGFTPLDHAATATKFSNNTMTQKPTMSENVSCLLTMAHSTQTSKKPDDVKPPQLVLFGQPILTEQQISLSCSGDTVSPVLTGNSSSDGNAEKTANLSDNSGSALHQQSLQERSSCEGFQWYKDTRQETEPSLETGHCKVFMESEDVGRTLDLSVFGSYDELNRKLADMFGIENSETLNHVLYRDATGAVKHIGDEPFRYESIPTELCNGSVEWRI >ONI04684 pep chromosome:Prunus_persica_NCBIv2:G6:29047084:29048253:-1 gene:PRUPE_6G334400 transcript:ONI04684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANTDNSLEILCFLQFIATYGLVSTFDVDETVKLLGMISQHKQAIELHQTLGVADKIPDFIQNLIERKQLIEAIRFICTFNLIDKFPPAPLLKEYMEDAWQSFWTIWLAKESLDEKNKVVDKQIADLRAVIQCIKDYNLESEYASKDIEIQIVELEKLRGTWRHSGRPYVFEQELEKRKESSSSTSDPRFQPPEKRQAIAAARPCALPTFTRGYPQLSSSSQLWYGHSVQFDMAANDQEAAGANFGAMQLSLSSRLHSGHPEQFGMAGGARIVKLEGPK >ONI00220 pep chromosome:Prunus_persica_NCBIv2:G6:5219675:5220897:1 gene:PRUPE_6G076000 transcript:ONI00220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVRLREQQVCRGAYIRILGGPNGIYIDLEAGKKYLVFFRFGGREYDWRAAWKLKDIEARERERGSGSEKKIRSRD >ONI02121 pep chromosome:Prunus_persica_NCBIv2:G6:18311183:18314027:-1 gene:PRUPE_6G178100 transcript:ONI02121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRNRRRKQQKLVNLDWTELPRGILEIIFERLTVTDCISVSDVCKAWRHVVAQELAGWQSRGVPWLMMSGKDREVRTCISILQKQHWDIVLPEAYGRYCWGSYQDWLILVKHIGCFYLEISLLNPFSRNKTDLPKTWNFYHKIVLSGLPILDNYVCMLVHSQCRELSFWVPGAELWFKHKLDGDPFEDAVFCDGSFYLISNDYNIWQIKSANIFASIRTYDAYEVKIDCHEVIMSEEQENSGVLKYLVESCGELLLVCRLYNTKAEAVLETHDFKVYSLDFSLMSWKRVHDLGDKILFLGKCCSRSVSSTELGVAMRNCIYFSNDHAAPWWNEWDSDHLYGISARLNLNNAGRKDWGVFSLGNGTHEDFCFRGNRDRWGPIWLTCPQWWCCRKLAVNRYSN >ONH99135 pep chromosome:Prunus_persica_NCBIv2:G6:943750:945296:-1 gene:PRUPE_6G013500 transcript:ONH99135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFSIVAAIILLTICVLGNSNAQLSSTFYTTTCPNVTSVVRGVVEQAQQNDIRIGAKLIQVHFHDCFVNGCDGSIMLDNADGIESEKDARPNQSTDGYDVVDDIKTALENVCPGVVSCADILAIASQILVSANGGPTWEVQLGRRDSRTANQSGTTAIPSPFENLEQITKKFSDVGLDSTDLVALSGAHTFGRARCLTFVHRLYNFSGTGNPDPTIDTTYLETLRQICPNGGNGGTLADLDQSTRDEFDHNYFTNLQNKRGLLQTDQELFSTSGDTVAIVNRFANSQSDFFDSFGQSMINMGNIRPLTGSDGEIRSDCKRVN >ONI01887 pep chromosome:Prunus_persica_NCBIv2:G6:16202128:16204761:-1 gene:PRUPE_6G165000 transcript:ONI01887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKKGKAKAKANPAESTQPVNPNNNFPTSIRYIPPSSVAITIHAKPGSKIASITDFSDEALGVQIDAPAKDGEANAALLDYISSVLGVKRRQVSIGSGSKSRDKVVIVEEMTLQSVFDILDKASKST >ONI01886 pep chromosome:Prunus_persica_NCBIv2:G6:16201149:16204761:-1 gene:PRUPE_6G165000 transcript:ONI01886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKKGKAKAKANPAESTQPVNPNNNFPTSIRYIPPSSVAITIHAKPGSKIASITDFSDEALGVQIDAPAKDGEANAALLDYISSVLGVKRRQVSIGSGSKSRDKVVIVEEMTLQSVFDILDKASKST >ONI04019 pep chromosome:Prunus_persica_NCBIv2:G6:27103661:27105699:1 gene:PRUPE_6G296600 transcript:ONI04019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRAMVQRTQEVFVLVYLTLFSKATGFKPYPKPSLPQVEGAAFCLSWRLAVEADNVRAWRTVPTQCLGYIETYMIGGQYDRDVDFLVGQILSYVNGIALSGDGMDAWILDVDDTCISNVFYYKSKRYGCDPYDPSGFKAWAMTGGCPAIPGMLGLFSKLVSSGFKVFMVTGRDEETLGQVTTENLHNQGFVGYERLILRTAAYKGQGAVAYKSSIRKQLAAEGYKIWGNVGDQWSDLQGDFVGNRTFKLPNPMYFVP >ONI04018 pep chromosome:Prunus_persica_NCBIv2:G6:27102829:27105699:1 gene:PRUPE_6G296600 transcript:ONI04018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRAMVQRTQEVFVLVYLTLFSKATGFKPYPKPSLPQVEGAAFCLSWRLAVEADNVRAWRTVPTQCLGYIETYMIGGQYDRDVDFLVGQILSYVNGIALSGDGMDAWILDVDDTCISNVFYYKSKRYGCDPYDPSGFKAWAMTGGCPAIPGMLGLFSKLVSSGFKVFMVTGRDEETLGQVTTENLHNQGFVGYERLILRTAAYKGQGAVAYKSSIRKQLAAEGYKIWGNVGDQWSDLQGDFVGNRTFKLPNPMYFVP >ONI03160 pep chromosome:Prunus_persica_NCBIv2:G6:24051999:24053093:-1 gene:PRUPE_6G242100 transcript:ONI03160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGTTAQGGGVAGDNLSQHEARLQAAAAAASIYEKSHVYPSANRLVSWTCGTVALPQFQRQMTDSANTESSGVSHPQLATPGGPSNLSSPQFPHYMFVPFEVPGANVAYGERLGGDPNITASQLEAQKKFLQHQIEILQNQLQTLQKPKLKESMDTGPSASSDGKGKNVESSSSSVSDCGRHVELEEIDS >ONI02136 pep chromosome:Prunus_persica_NCBIv2:G6:18387203:18391814:1 gene:PRUPE_6G179100 transcript:ONI02136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSLLSVLLLLACVLLEPQNARALTLSARLIHRFSDEAKALRISRSGEDPKDLGRWPQRNSMDYYHLLASTDFQKRKMKLGSRSHFQFLFPSEGSNTVSFGNDFGWLHYTWIDIGTPNVSFLVALDTGSDLFWVPCDCIQCAPLSASYYSTLDRDLNEYSPSGSNTSKHVSCSHELCESGTNCKSPKQSCPYTVDYYTENTSSSGLLVEDILHFAAGGDDGPNTSIEAPVIIGCGMKQSGGYLDGIAPDGLLGLGLGEISVPTFLAKAGLTKNSFSMCFDEDDSGRLFFGDQGPAAQQSTSFLPSNGNYETYIVGVEACCIENSCLKQTSFKALVDSGTSFTFLPEALYDKISEEFDRQVNATITNYAGSPWKYCYNTSSQDLPKVPSVTLMFVANNSFVVHDPVFPINGNQGVVGFCLAIQPTDGDIGTIGQNFMTGYRTVFDRENLKLGWSRSNCQDFSDAKSMPLTPSKGTPPNPLPTNEQQSTPGGFAPAVAGRAPSKPSAASSRLVSSQFCSLRLLPLLLVLFLIVLVI >ONI01727 pep chromosome:Prunus_persica_NCBIv2:G6:13709130:13709721:-1 gene:PRUPE_6G155900 transcript:ONI01727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNNNVASGSQVSAVWNNQNTTIVCDMCIKEVEAGNRPEQWKIWKELIGKETGLGWNSKLRTIDSSDKWWHNKIEINPKYVKLNRKGISPNMEEKFDRMFMNTTSTGDHAWAPSSGVLSTHLVYFQTN >ONI02458 pep chromosome:Prunus_persica_NCBIv2:G6:20663789:20664001:1 gene:PRUPE_6G199600 transcript:ONI02458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTADLFIGKFVAILESEAASIAGVDDQVDEIKLELEFMKAFLAVADGGNKAHTQVEEVWITSMISKQ >ONH99005 pep chromosome:Prunus_persica_NCBIv2:G6:446730:447596:-1 gene:PRUPE_6G004400 transcript:ONH99005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEHEEAPKQQKENGVSVSANKQSKLKTKCKFVGVRQRPSGKWVAEIKDTTKKIRMWLGTFETAEEAARAYDEAAYLLRGSNTRTNFSTHVPSNSPLSLKIRNLLNHKKSLNLKQKQSPPPTPNSSLKSTPIAATTTRISSSSSICSGNIQAFSDTSSANCNANSSSPLPCYKSQDIQAFDDAYRPDLSNCIGELQPAMFHLDQSWPFLAGFDQLPLTHEGMDLTKGIGNVAAAEAEVAEFERLKVERQISASIYAMNGGNEYVDNEYDGSDALWDLPTLSQLFCLG >ONI01494 pep chromosome:Prunus_persica_NCBIv2:G6:11571833:11574108:-1 gene:PRUPE_6G142900 transcript:ONI01494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKESLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKRYEEKEEEKRYEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSKMEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKKANMCSMVGFIDPATVSANSGTITARSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI00961 pep chromosome:Prunus_persica_NCBIv2:G6:8206106:8214090:-1 gene:PRUPE_6G113900 transcript:ONI00961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGTDSEEFVVMSKVRTGLKREFAFALKAQAEVSGSLGRTRGSNSLNENGKRLKKATTNEVQKDAGDEKLTSGDDILAGGEMVEGDNVKVMEDLDETMSEEDAKSDVVDLISDDEPKTHVDDSVLSERVYEDELKNGEVEMAVDDEPQTGCIGDSVNEDEAQEEQLKKSGPEKPLVDEELPEMIESGGDKVEGEVIEKPERRFTRSALKPKAGKVNHLPGKSDSQQLNSEMQKSPFVSKSKLEMKMPKMVRKFVKLKDFLDTGILEGQPVKYLRKVRGAGDTWLMGVITGSSILCHCDSCQGTEVVTPAVFELHAGSSNKRPPDYIYLENGNTLRDVMTVCQNSPLGILEEAVRLAVGCSSINKCTICLNCKESIHGEGTRSAVLLCISCMELKKSGEATPAVGANHSDESPKPVMVPKGPDTMSNCSSLKPVPAPKFPDTVSKYSSPKPVTVPNCPDTALKCSSSESKSQGRVTRKDLRLHKLVFEEDVLPDGTEVAYYSHGEKMLVGYKKGPGISCSCCNDVVSASQFEAHAGFASRRKPYLFIYTSNGVSLHELALSLSRNRKSSTKKNDDLCSMCRDGGDLLCCDNCPRAFHKAGRVAGVDPIEQITNRCIRIVTTFEEKFGGCALCRGHEFSGSDFGPGTVILCDQCEKEFHVGCLKDNGIEDLKEIPKGKWFCCPDCHRVHSALQKLVVHGGQKLPDSLLNVVRKKHNEKGTEFGANLDIKWRVLNGKTSTDDESLQLLSKALAIFHDRFAPIVDPTSRLDFIKEMLYGGTIQTQEFGGMYCAIITVNQLVVSAGMFRIYGAEVAELPLVATSADYQGQGYFQTLFSCIERFLAFLNVKSLVVPAADEAESIWKKRFGLEKLTQNEISNYRKSYQMMIFHGTSMLRKPVPKCRILA >ONI00962 pep chromosome:Prunus_persica_NCBIv2:G6:8206100:8214164:-1 gene:PRUPE_6G113900 transcript:ONI00962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGTDSEEFVVMSKVRTGLKREFAFALKAQAEVSGSLGRTRGSNSLNENGKRLKKATTNEVQKDAGDEKLTSGDDILAGGEMVEGDNVKVMEDLDETMSEEDAKSDVVDLISDDEPKTHVDDSVLSERVYEDELKNGEVEMAVDDEPQTGCIGDSVNEDEAQEEQLKKSGPEKPLVDEELPEMIESGGDKVEGEVIEKPERRFTRSALKPKAGKVNHLPGKSDSQQLNSEMQKSPFVSKSKLEMKMPKMVRKFVKLKDFLDTGILEGQPVKYLRKVRGAGDTWLMGVITGSSILCHCDSCQGTEVVTPAVFELHAGSSNKRPPDYIYLENGNTLRDVMTVCQNSPLGILEEAVRLAVGCSSINKCTICLNCKESIHGEGTRSAVLLCISCMELKKSGEATPAVGANHSDESPKPVMVPKGPDTMSNCSSLKPVPAPKFPDTVSKYSSPKPVTVPNCPDTALKCSSSESKSQGRVTRKDLRLHKLVFEEDVLPDGTEVAYYSHGEKMLVGYKKGPGISCSCCNDVVSASQFEAHAGFASRRKPYLFIYTSNGVSLHELALSLSRNRKSSTKKNDDLCSMCRDGGDLLCCDNCPRAFHKECLSLPSVPEGTWYCKQCQSMFEREKFVEHNANAVAAGRVAGVDPIEQITNRCIRIVTTFEEKFGGCALCRGHEFSGSDFGPGTVILCDQCEKEFHVGCLKDNGIEDLKEIPKGKWFCCPDCHRVHSALQKLVVHGGQKLPDSLLNVVRKKHNEKGTEFGANLDIKWRVLNGKTSTDDESLQLLSKALAIFHDRFAPIVDPTSRLDFIKEMLYGGTIQTQEFGGMYCAIITVNQLVVSAGMFRIYGAEVAELPLVATSADYQGQGYFQTLFSCIERFLAFLNVKSLVVPAADEAESIWKKRFGLEKLTQNEISNYRKSYQMMIFHGTSMLRKPVPKCRILA >ONI00960 pep chromosome:Prunus_persica_NCBIv2:G6:8206106:8214089:-1 gene:PRUPE_6G113900 transcript:ONI00960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGTDSEEFVVMSKVRTGLKREFAFALKAQAEVSGSLGRTRGSNSLNENGKRLKKATTNEVQKDAGDEKLTSGDDILAGGEMVEGDNVKVMEDLDETMSEEDAKSDVVDLISDDEPKTHVDDSVLSERVYEDELKNGEVEMAVDDEPQTGCIGDSVNEDEAQEEQLKKSGPEKPLVEGEVIEKPERRFTRSALKPKAGKVNHLPGKSDSQQLNSEMQKSPFVSKSKLEMKMPKMVRKFVKLKDFLDTGILEGQPVKYLRKVRGAGDTWLMGVITGSSILCHCDSCQGTEVVTPAVFELHAGSSNKRPPDYIYLENGNTLRDVMTVCQNSPLGILEEAVRLAVGCSSINKCTICLNCKESIHGEGTRSAVLLCISCMELKKSGEATPAVGANHSDESPKPVMVPKGPDTMSNCSSLKPVPAPKFPDTVSKYSSPKPVTVPNCPDTALKCSSSESKSQGRVTRKDLRLHKLVFEEDVLPDGTEVAYYSHGEKMLVGYKKGPGISCSCCNDVVSASQFEAHAGFASRRKPYLFIYTSNGVSLHELALSLSRNRKSSTKKNDDLCSMCRDGGDLLCCDNCPRAFHKECLSLPSVPEGTWYCKQCQSMFEREKFVEHNANAVAAGRVAGVDPIEQITNRCIRIVTTFEEKFGGCALCRGHEFSGSDFGPGTVILCDQCEKEFHVGCLKDNGIEDLKEIPKGKWFCCPDCHRVHSALQKLVVHGGQKLPDSLLNVVRKKHNEKGTEFGANLDIKWRVLNGKTSTDDESLQLLSKALAIFHDRFAPIVDPTSRLDFIKEMLYGGTIQTQEFGGMYCAIITVNQLVVSAGMFRIYGAEVAELPLVATSADYQGQGYFQTLFSCIERFLAFLNVKSLVVPAADEAESIWKKRFGLEKLTQNEISNYRKSYQMMIFHGTSMLRKPVPKCRILA >ONI02374 pep chromosome:Prunus_persica_NCBIv2:G6:20117615:20118271:-1 gene:PRUPE_6G193900 transcript:ONI02374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWTSNNPKLNPKAVDGNEMLKGLNLTPVSHKSSGLDLIQNCDLPPPMKVFTGSDNKTVLSSMNRACSMSMTQEDDNRDEFDMCGNRGDGGENEKLELLKALRLSQTRAREAEKKAEKLGKEKDCLSDALLAEARELFAYRQWVRLLELKVSKLESQWAEQEEEGSFLGGRSKGLEEQSVKEGDEGENGDGISWIVALALCFGIAGVGFAFGCRYLC >ONI02231 pep chromosome:Prunus_persica_NCBIv2:G6:19448351:19450761:-1 gene:PRUPE_6G185700 transcript:ONI02231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRKQISPLNTPLEEEKDKYYYSKYNETQFSLNNPNLKFAALVFIFLSSWLLLLLFWFPPKTTNNVAVLHLAHKTQNAETINLATAATKSPFKVPTCDPSVAVYVYPLPPKFNTGLLSRCKTLNVYTDMCPHVANRGLGQPLPKLGSPAAWFATHQFIAEMIFHARVENHPCRTLDPARAALFYVPFYGGLHASSMFKEANLTSRDELAVDLVHHLQAQPWWGRNSGRDHFIALGRTAWDFMRATDGPDFGANSLLNLPAVKNMSVLTVERHPWQGSNQHGIPYPSYFHPSTWQEILTWQNKVREMNRPNLFSFIGGPRKGLEKAAIRNEFIRQCGESTRCFLMNCGPSGASKCHEPSEVLKVMTESTFCLQAPGDSFTRRSTFDSVLAGCIPVFFSPHTAYTQYKWFLPEEVKTYSVYIDEKSPASRKIEDELLKISGEKVKAMREKLVDLIPSLTYAHPNATDVGFGDAVDVTLASLANHVSKMMN >ONI03170 pep chromosome:Prunus_persica_NCBIv2:G6:24109332:24111816:1 gene:PRUPE_6G242800 transcript:ONI03170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQARIGSLTSSRYFWTTRNNSSSSSSSSSSSSCYSPLKPFCSRRDSQEPQQNGDSNGDKFSTDWDKAWSNIRKQGKTKKKKKSLFSQFSPNKYVTWNPTQSNYPSSEEVDPIKRTERSNLMLWTSPRFTLAGAIVIVTFLLVYTIIAPVK >ONI01812 pep chromosome:Prunus_persica_NCBIv2:G6:14857808:14859503:-1 gene:PRUPE_6G160500 transcript:ONI01812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSRFLLSITLLLLQYSSIVTGAAKTNITTDQSALLAMRSHITSDPHNILVNWSTSTSVCNWVGVTCGARHLRVVSLNLSYMVFTGTIPPHLGNLSFLVALSFNNNSFYGTLPHELSYLRRLKFISLGFNNFMGSIPSWFGSFPKLQRLDLYGNQFSGTVPSTIFNLSTLQDIDLGANKLSGAIPREIGNLTMLKGIYLDSNNFNEIPKEIGLLDQVEILYVSLNALKGPVPVAVFNMSSLTMLTLYGNSLSGGLLDNICTSS >ONI03389 pep chromosome:Prunus_persica_NCBIv2:G6:24757649:24761717:1 gene:PRUPE_6G254400 transcript:ONI03389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHLTLKNLSYMGRIIRDMLGTRCIRDMLGTRCIRRMFGIPYVRREEVWSMTMGILAALPVPQMAILVFFPTLRASRAVNRMRIMNSLILLQYVPRIYPIYRHCKDLNKNKLDNCISDQIERKTWVPGLLNFILYILASYVFGTFWYFFSIQRQVECWGHACQSGNGCEFRIFDCDDDVTLRNVTLLNDLCPINPSNAKVFDFGIYLDALQSGMLGSTDFPRKVLQCFSWGVRNLSSFGSNLRTSMNAWETLFVVSICISGLLLFIYLLGHLQTFMQATNKEYWIRRKMWKIDSDIIRMSDEYGLSNNTEDIHKIVRRQLRREEDLDVENIFSILPFYVQNIIKRHLLLPKLKKVPTLQGIDENVLADIFLDHLEQVIYDGGNYIIREGEPLDMMIFISRGSVLTYNTSSTGHVGGGSGLSNTIGRLTGGDFYGQELISWATTSTSFSDLPISSKTLKSLEKVEVFAIRASVLLHIVSKHKEYFKTETQHPHPTDSNLIEINEHGNLKLLHMQYCARSGTHLLASYL >ONI03392 pep chromosome:Prunus_persica_NCBIv2:G6:24757719:24761574:1 gene:PRUPE_6G254400 transcript:ONI03392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDTIFVIFYILSVFLDPLLLYSLILNDDRKCVVVDTKLKKIAIVLRSLADLSYMGRIIRDMLGTRCIRDMLGTRCIRRMFGIPYVRREEVWSMTMGILAALPVPQMAILVFFPTLRASRAVNRMRIMNSLILLQYVPRIYPIYRHCKDLNKNKLDNCISDQIERKTWVPGLLNFILYILASYVFGTFWYFFSIQRQVECWGHACQSGNGCEFRIFDCDDDVTLRNVTLLNDLCPINPSNAKVFDFGIYLDALQSGMLGSTDFPRKVLQCFSWGVRNLSSFGSNLRTSMNAWETLFVVSICISGLLLFIYLLGHLQTFMQATNKEYWIRRKMWKIDSDIIRMSDEYGLSNNTEDIHKIVRRQLRREEDLDVENIFSILPFYVQNIIKRHLLLPKLKKVPTLQGIDENVLADIFLDHLEQVIYDGGNYIIREGEPLDMMIFISRGSVLTYNTSSTGHVGGGSGLSNTIGRLTGGDFYGQELISWATTSTSFSDLPISSKTLKSLEKVEVFAIRASVLLHIVSKHKEYFKTETQHPHPTDSNLIEINEHGNLKLLHMQYCARSGTHLLASYL >ONI03391 pep chromosome:Prunus_persica_NCBIv2:G6:24757649:24761655:1 gene:PRUPE_6G254400 transcript:ONI03391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHLTLKNLSYMGRIIRDMLGTRCIRDMLGTRCIRRMFGIPYVRREEVWSMTMGILAALPVPQMAILVFFPTLRASRAVNRMRIMNSLILLQYVPRIYPIYRHCKDLNKNKLDNCISDQIERKTWVPGLLNFILYILASYVFGTFWYFFSIQRQVECWGHACQSGNGCEFRIFDCDDDVTLRNVTLLNDLCPINPSNAKVFDFGIYLDALQSGMLGSTDFPRKVLQCFSWGVRNLRTSMNAWETLFVVSICISGLLLFIYLLGHLQTFMQATNKEYWIRRKMWKIDSDIIRMSDEYGLSNNTEDIHKIVRRQLRREEDLDVENIFSILPFYVQNIIKRHLLLPKLKKVPTLQGIDENVLADIFLDHLEQVIYDGGNYIIREGEPLDMMIFISRGSVLTYNTSSTGHVGGGSGLSNTIGRLTGGDFYGQELISWATTSTSFSDLPISSKTLKSLEKVEVFAIRASVLLHIVSKHKEYFKTETQHPHPTDSNLIEINEHGNLKLLHMQYCARSGTHLLASYL >ONI03390 pep chromosome:Prunus_persica_NCBIv2:G6:24757719:24761674:1 gene:PRUPE_6G254400 transcript:ONI03390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHLTLKNLSYMGRIIRDMLGTRCIRDMLGTRCIRRMFGIPYVRREEVWSMTMGILAALPVPQMAILVFFPTLRASRAVNRMRIMNSLILLQYVPRIYPIYRHCKDLNKNKLDNCISDQIERKTWVPGLLNFILYILASYVFGTFWYFFSIQRQVECWGHACQSGNGCEFRIFDCDDDVTLRNVTLLNDLCPINPSNAKVFDFGIYLDALQSGMLGSTDFPRKVLQCFSWGVRNLSSFGSNLRTSMNAWETLFVVSICISGLLLFIYLLGHLQVPTLQGIDENVLADIFLDHLEQVIYDGGNYIIREGEPLDMMIFISRGSVLTYNTSSTGHVGGGSGLSNTIGRLTGGDFYGQELISWATTSTSFSDLPISSKTLKSLEKVEVFAIRASVLLHIVSKHKEYFKTETQHPHPTDSNLIEINEHGNLKLLHMQYCARSGTHLLASYL >ONI03393 pep chromosome:Prunus_persica_NCBIv2:G6:24757719:24761574:1 gene:PRUPE_6G254400 transcript:ONI03393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDTIFVIFYILSVFLDPLLLYSLILNDDRKCVVVDTKLKKIAIVLRSLADLSYMGRIIRDMLGTRCIRDMLGTRCIRRMFGIPYVRREEVWSMTMGILAALPVPQMAILVFFPTLRASRAVNRMRIMNSLILLQYVPRIYPIYRHCKDLNKNKLDNCISDQIERKTWVPGLLNFILYILASYVFGTFWYFFSIQRQVECWGHACQSGNGCEFRIFDCDDDVTLRNVTLLNDLCPINPSNAKVFDFGIYLDALQSGMLGSTDFPRKVLQCFSWGVRNLSSFGSNLRTSMNAWETLFVVSICISGLLLFIYLLGHLQVPTLQGIDENVLADIFLDHLEQVIYDGGNYIIREGEPLDMMIFISRGSVLTYNTSSTGHVGGGSGLSNTIGRLTGGDFYGQELISWATTSTSFSDLPISSKTLKSLEKVEVFAIRASVLLHIVSKHKEYFKTETQHPHPTDSNLIEINEHGNLKLLHMQYCARSGTHLLASYL >ONI04095 pep chromosome:Prunus_persica_NCBIv2:G6:27435067:27440257:1 gene:PRUPE_6G302500 transcript:ONI04095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPSDSSTNSGRSRHSARIIAQTTVDAKLHADFEESGSSFDYSNSVRVSGSVGRDQQPRSDKVTTAYLHHIQKGKLIQPFGCLLALDEKTFKVIAYSENAPEMLTMVSHAVPSVGDYPVLGVGTDIRTIFTAPSASALHKALGFGEVSLLNPILVHCKTSGKPFYAITHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAIARLQSLPSGSMERLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVVSEITKPGLEPYLGLHYPSTDIPQASRFLFMKNKVRMIVDCCAKQVKVLQDEKLPFDLTLCGSTLRSPHSCHLQYMKNMESIASLVMAVVVNEGDDEVASPDSVQPQKRKRLWGLVVCHNTSPRFVPFPLRYACEFLAQVFAIHVNKEIELEDQMVEKNILRTQTLLCDMLLRDAPLGIVSQSPNIMDLVKCDGAALLYKSKIWRLGITPSDFQLHDIASWLAEYHMDSTGLSTDSLYDAGFPGALALGDVVCGMAAVRITSKDMLFWFRSHTAAEIRWGGAKHESGEKDDGWRMHPRSSFKAFLEVVKSRSLPWKDFEMDAIHSLQLILRNAFKDVETVDVNPNAIHVKLSDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLVNGWNTKISELTGLSVDKAIGNHLLSLVEDSSTKMVKRMLDLALHGKEEQNIQFEIKTHGSRSDLGPISLVVNACASRDIRENVVGVCFVAQDITGQKTVMDKFTRIEGDYKAIVQNPNPLIPPIFGTDEFGWCSEWNPAMTKLTGWKREEVIDKMLLGEVFGINMACCPLKSQEAFVNLGIVLNHAMTGQVSEKVPFGFSARSGKHIECLLCVSKKLDSEGSVTGVFCFLQLASPELQQALHVQRLSEQTAVKRSKELSYIKRQIRNPLAGIMFSRKMMEGTELGTEQKQLLHTSAQCQHQLNKILDDSDLDTIIDGYLDLEMVEFTLHEVLLASVSQVMIKSNAKSIQIVHDAAEEIMNETLYGDSLRLQQVLADFLAVSINFMPTGGQLTIAANLTKDQLGQSVHLVHLELRITHAGGGIPEGLLNQMFGNDIAISEEGIGLLVSSRLVKLMNGDIRYLREAGKATFIISVELAAAHKLRSSITRSDSRHE >ONI04096 pep chromosome:Prunus_persica_NCBIv2:G6:27435067:27439986:1 gene:PRUPE_6G302500 transcript:ONI04096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPSDSSTNSGRSRHSARIIAQTTVDAKLHADFEESGSSFDYSNSVRVSGSVGRDQQPRSDKVTTAYLHHIQKGKLIQPFGCLLALDEKTFKVIAYSENAPEMLTMVSHAVPSVGDYPVLGVGTDIRTIFTAPSASALHKALGFGEVSLLNPILVHCKTSGKPFYAITHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAIARLQSLPSGSMERLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVVSEITKPGLEPYLGLHYPSTDIPQASRFLFMKNKVRMIVDCCAKQVKVLQDEKLPFDLTLCGSTLRSPHSCHLQYMKNMESIASLVMAVVVNEGDDEVASPDSVQPQKRKRLWGLVVCHNTSPRFVPFPLRYACEFLAQVFAIHVNKEIELEDQMVEKNILRTQTLLCDMLLRDAPLGIVSQSPNIMDLVKCDGAALLYKSKIWRLGITPSDFQLHDIASWLAEYHMDSTGLSTDSLYDAGFPGALALGDVVCGMAAVRITSKDMLFWFRSHTAAEIRWGGAKHESGEKDDGWRMHPRSSFKAFLEVVKSRSLPWKDFEMDAIHSLQLILRNAFKDVETVDVNPNAIHVKLSDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLVNGWNTKISELTGLSVDKAIGNHLLSLVEDSSTKMVKRMLDLALHGKEEQNIQFEIKTHGSRSDLGPISLVVNACASRDIRENVVGVCFVAQDITGQKTVMDKFTRIEGDYKAIVQNPNPLIPPIFGTDEFGWCSEWNPAMTKLTGWKREEVIDKMLLGEVFGINMACCPLKSQEAFVNLGIVLNHAMTGQVSEKVPFGFSARSGKHIECLLCVSKKLDSEGSVTGVFCFLQLASPELQQALHVQRLSEQTAVKRSKELSYIKRQIRNPLAGIMFSRKMMEGTELGTEQKQLLHTSAQCQHQLNKILDDSDLDTIIDGYLDLEMVEFTLHEVLLASVSQVMIKSNAKSIQIVHDAAEEIMNETLYGDSLRLQQVLADFLAVSINFMPTGGQLTIAANLTKDQLGQSVHLVHLELR >ONI01894 pep chromosome:Prunus_persica_NCBIv2:G6:16272684:16278424:-1 gene:PRUPE_6G165600 transcript:ONI01894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNSTCRWERKREETVGAEVWKAVHRESGEVVALKQVFLSRLNRRLKNCLDCEIKFLSSVCHPNIVRLLKAFQNEGCIFLVLEFCNGGNLAAYIRQHGRVQEQIARKFMQQLGAGLEILHSHHIIHRDLKPEDILLSLSDDDVVVKVISVSQAIKYGSFIFCLFFSTMDRSLHPGDYAETVCGSPLYMAPEVLQFERYDGKVLKNIKSSTCPPFDQLILSRLHPDSVDMCSKLLSQNPVYCPCEDKVKVYSFGFVVLAIGTMNLVVGKQYGRLSVLFKVKEEHER >ONH99730 pep chromosome:Prunus_persica_NCBIv2:G6:3342923:3343420:-1 gene:PRUPE_6G046300 transcript:ONH99730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDQDEISTETTTLGELLVAENIGSRHRKVVKALYKALALKDTSTTSKLVAPDLEWWFHGPRHCQHMMRTLTGESRHVEFKFRPRSITPVGDRVVAEGWEGSKAYWAHVWSVKEGMITQLREYFNTWVTVIVGNSEVEDEMMKLWQSDPRERSQRSLPDIVLAI >ONI04922 pep chromosome:Prunus_persica_NCBIv2:G6:29765210:29765772:1 gene:PRUPE_6G348500 transcript:ONI04922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPKVFFDILIGKMKAGRVVVELFSDVTPKTAENFRALCTGDKGIGAAGKPLHFKGSTFHRIIPNFMCQGGDFTRGNGTGGESIYGTKFADENFKLKHTGPGILSMANAGPNTNGSQFFICTDKTPWLDGKHVVFGKVVEGYTVVKEMEKVGSDSGTTSQPVVIEDCGQIKDEN >ONH99416 pep chromosome:Prunus_persica_NCBIv2:G6:2200268:2204877:1 gene:PRUPE_6G028100 transcript:ONH99416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEIFLSSALGWCIEWLQAYFLVLDDIMDSSHTRRGQPCWFRLSKIGMIAVNDGILLRNHIPRVLKNHFKGKPYYVDLLDLFNEVEFQTAHGQLIDLITTHEGEKDLSKYSLALHRRIVQYKTAYYSFYLPVACALLMAGEDLESHVDVKNILIEMGTYFQVQDDYLDCFGDPEVIGKVGTDIQDFKCSWLVVKALELSNEGQKKLLHENYGKDDQTCIEKVKELYKVLDIQGVFLEYESSSYQKLTKSIESHSSKAVQAVLKSFLAKIYKRQK >ONH99415 pep chromosome:Prunus_persica_NCBIv2:G6:2200394:2204106:1 gene:PRUPE_6G028100 transcript:ONH99415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLRSKFLEVYSVLKSELLNDPAFEFTDVSRQWVDRMLDYNVPGGKLNRGLSVIDSLKLLKDGRELMDDEIFLSSALGWCIEWLQAYFLVLDDIMDSSHTRRGQPCWFRLSKIGMIAVNDGILLRNHIPRVLKNHFKGKPYYVDLLDLFNEVEFQTAHGQLIDLITTHEGEKDLSKYSLALHRRIVQYKTAYYSFYLPVACALLMAGEDLESHVDVKNILIEMGTYFQVQDDYLDCFGDPEVIGWDRYSRLQVLLVGCESFRTFQRGTKETIT >ONH99414 pep chromosome:Prunus_persica_NCBIv2:G6:2200268:2204877:1 gene:PRUPE_6G028100 transcript:ONH99414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLRSKFLEVYSVLKSELLNDPAFEFTDVSRQWVDRMLDYNVPGGKLNRGLSVIDSLKLLKDGRELMDDEIFLSSALGWCIEWLQAYFLVLDDIMDSSHTRRGQPCWFRLSKIGMIAVNDGILLRNHIPRVLKNHFKGKPYYVDLLDLFNEVEFQTAHGQLIDLITTHEGEKDLSKYSLALHRRIVQYKTAYYSFYLPVACALLMAGEDLESHVDVKNILIEMGTYFQVQDDYLDCFGDPEVIGKVGTDIQDFKCSWLVVKALELSNEGQKKLLHENYGKDDQTCIEKVKELYKVLDIQGVFLEYESSSYQKLTKSIESHSSKAVQAVLKSFLAKIYKRQK >ONI03336 pep chromosome:Prunus_persica_NCBIv2:G6:24643068:24644157:-1 gene:PRUPE_6G251900 transcript:ONI03336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONI03505 pep chromosome:Prunus_persica_NCBIv2:G6:25154777:25156861:-1 gene:PRUPE_6G261300 transcript:ONI03505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELIGRPGSVSGLLLRIGQFSCASIAISVMVTTDGFATFTAFCYLIASMGLQLLWSLGLACLDIYALWKKRDLQNPILVSLFVVGNSYSITSCCKLLRWAYSYVFKRPTFLQGGIPSPMHQLQVCSCVHFCHLGPYCRIFSRDVLDPIGIGLETHVFLPYH >ONI03276 pep chromosome:Prunus_persica_NCBIv2:G6:24427995:24428324:-1 gene:PRUPE_6G248300 transcript:ONI03276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTARTIQNSFTLIFQQPRLSSTAITVLWAAAVALTPAMQKGSETAGNLHITLNVIMFCFSCGKYLLDGR >ONI02005 pep chromosome:Prunus_persica_NCBIv2:G6:17724420:17731183:-1 gene:PRUPE_6G172300 transcript:ONI02005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRDLLEPPSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIVYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINFTSTVTNTHLDLDTVKAICSEYRIHNADITLRFDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLEKIWEYLNLTRIYTKPKGMNPDYEDPVILSSKTRTVEDFCERIHKDFIKQFKYALVWGSSAKHKPQRVGKEHLLEDEDVVQIIKKV >ONI02144 pep chromosome:Prunus_persica_NCBIv2:G6:18499196:18503959:1 gene:PRUPE_6G179700 transcript:ONI02144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSLQTSSSSSIARLGSQTRFSGADLGPDPKSIGFPSHPRSSLVLPLKLPNSKSRSRAMVMAVAVSGNDQTQIAEVDISLSPRVNSVKPSKTVAITDQATALVQAGVPVIRLAAGEPDFDTPSIIAEAGINAIREGYTRYTPNAGTLELRQAICHKLKEENGISYTPDQIVVSNGAKQSIVQAVLAVCSPGDEVIIPAPFWVSYPEMARLADATPVILPTSISDNFLLDPKLLESKLTEKSRLLILCSPSNPTGSVYPKKLLQEIAQIVARHPRLLVISDEIYEHIIYTPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAGPKHFVAACGKIQSQFTSGASSISQKAGVAALGLGYAGGEAVSIMVKAFRERRDFLVKSFGELSGVKISEPKGAFYLFIDVSSYYGTEAEGFGKIENSESLCRYLLDKGQVALVPGDAFGDDTCIRISYAASLTTLQAAVERIKKALVTLK >ONI04555 pep chromosome:Prunus_persica_NCBIv2:G6:28743380:28743743:-1 gene:PRUPE_6G327900 transcript:ONI04555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEANRKLLEDWKPVYISVCDVTDAADTCQTYSMKLEKKESYCRPLLTLIDLTKAREHFFYTIGPFGRIVDKRKLLVLSDREIGPRWSDNNKDTLEFTVFPVPRLDLRTLF >ONI00965 pep chromosome:Prunus_persica_NCBIv2:G6:8239075:8245347:1 gene:PRUPE_6G114200 transcript:ONI00965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSVLEPSILRDSQSHASRRIGNERRVNTRPSSLLRMWRELEDEHVVSRAQERISERLLQQRSDRLIDDISRADAAEGHSSEHTGDLDDESVAESECRLWSQGQIGSSNEHENCSNFSSEHSDFGEVERGRVRQVFREWMNCGVAECSSSVSNMSNSSRAEWLGETEQERVRIVREWVQINSQQRGASGDNSGEQPAEIGNQIERVRDGLVVNQTEGRSELTRRGIRKLCGRQALLDMLKKAERERQRELQELLEHQAVSHFAHRNRIQSLLRGRFLRNGRVIENERSTSMAENELGLLRQRHTVSGLREGFCSRLDNTACGQVSSSHSETSSSVSSGSRNGHAEEENLQEVHGFFEQSDANEEASDDRGHDRCGMSNGRGDLGGNTILDINSQESNVHVEGWQEEVPDNVVRDGHCSTIFDIVERSVGTGSNMIGNLQATTAVEQPLETLQNDAGEHSNMREVIDVSNESEPSGEESVICEQSGDTYNLQGNMVGDVNFQWGVNFQEFTSQVQQWQDRVSENEEGDWEEPVVEYNDLRENVGRITVGDQQETAGYEWSQELLEGEDRENSHLEEVSEVWHEESGFQEAVHSWLEEPSDQDADPVRQIDTFYFPDDDNAHSTEIRELLSRRRVSNLLSSGFRQNLDRLIQSYVERQSHATIDWELDDTSPSPESAEQDLEQTGGYQNEGQVDSVESPSPSTALPSQQIPPSPLWDQESHPDNWPQHDMHQRFGIDWEIINDMRIDMGRLQQRMNNLQRMLEACMDMQLELQRSIRQEVSDALNRSSGSQGLCEDGLLEDGSKWDHVRKGICCICCDRSIDSLLYRCGHMCACSKCATELVESSGKCPMCRAPAVEVIRAYSVL >ONI00966 pep chromosome:Prunus_persica_NCBIv2:G6:8239295:8245347:1 gene:PRUPE_6G114200 transcript:ONI00966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSVLEPSILRDSQSHASRRIGNERRVNTRPSSLLRMWRELEDEHVVSRAQERISERLLQQRSDRLIDDISRADAAEGHSSEHTGDLDDESVAESECRLWSQGQIGSSNEHENCSNFSSEHSDFGEVERGRVRQVFREWMNCGVAECSSSVSNMSNSSRAEWLGETEQERVRIVREWVQINSQQRGASGDNSGEQPAEIGNQIERVRDGLVVNQTEGRSELTRRGIRKLCGRQALLDMLKKAERERQRELQELLEHQAVSHFAHRNRIQSLLRGRFLRNGRVIENERSTSMAENELGLLRQRHTVSGLREGFCSRLDNTACGQVSSSHSETSSSVSSGSRNGHAEEENLQEVHGFFEQSDANEEASDDRGHDRCGMSNGRGDLGGNTILDINSQESNVHVEGWQEEVPDNVVRDGHCSTIFDIVERSVGTGSNMIGNLQATTAVEQPLETLQNDAGEHSNMREVIDVSNESEPSGEESVICEQSGDTYNLQGNMVGDVNFQWGVNFQEFTSQVQQWQDRVSENEEGDWEEPVVEYNDLRENVGRITVGDQQETAGYEWSQELLEGEDRENSHLEEVSEVWHEESGFQEAVHSWLEEPSDQDADPVRQIDTFYFPDDDNAHSTEIRELLSRRRVSNLLSSGFRQNLDRLIQSYVERQSHATIDWELDDTSPSPESAEQDLEQTGGYQNEGQVDSVESPSPSTALPSQQIPPSPLWDQESHPDNWPQHDMHQRFGIDWEIINDMRIDMGRLQQRMNNLQRMLEACMDMQLELQRSIRQEVSDALNRSSGSQGLCEDGLLEDGSKWDHVRKGICCICCDRSIDSLLYRCGHMCACSKCATELVESSGKCPMCRAPAVEVIRAYSVL >ONI00967 pep chromosome:Prunus_persica_NCBIv2:G6:8239109:8245347:1 gene:PRUPE_6G114200 transcript:ONI00967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGLHNVSVLEPSILRDSQSHASRRIGNERRVNTRPSSLLRMWRELEDEHVVSRAQERISERLLQQRSDRLIDDISRADAAEGHSSEHTGDLDDESVAESECRLWSQGQIGSSNEHENCSNFSSEHSDFGEVERGRVRQVFREWMNCGVAECSSSVSNMSNSSRAEWLGETEQERVRIVREWVQINSQQRGASGDNSGEQPAEIGNQIERVRDGLVVNQTEGRSELTRRGIRKLCGRQALLDMLKKAERERQRELQELLEHQAVSHFAHRNRIQSLLRGRFLRNGRVIENERSTSMAENELGLLRQRHTVSGLREGFCSRLDNTACGQVSSSHSETSSSVSSGSRNGHAEEENLQEVHGFFEQSDANEEASDDRGHDRCGMSNGWQEEVPDNVVRDGHCSTIFDIVERSVGTGSNMIGNLQATTAVEQPLETLQNDAGEHSNMREVIDVSNESEPSGEESVICEQSGDTYNLQGNMVGDVNFQWGVNFQEFTSQVQQWQDRVSENEEGDWEEPVVEYNDLRENVGRITVGDQQETAGYEWSQELLEGEDRENSHLEEVSEVWHEESGFQEAVHSWLEEPSDQDADPVRQIDTFYFPDDDNAHSTEIRELLSRRRVSNLLSSGFRQNLDRLIQSYVERQSHATIDWELDDTSPSPESAEQDLEQTGGYQNEGQVDSVESPSPSTALPSQQIPPSPLWDQESHPDNWPQHDMHQRFGIDWEIINDMRIDMGRLQQRMNNLQRMLEACMDMQLELQRSIRQEVSDALNRSSGSQGLCEDGLLEDGSKWDHVRKGICCICCDRSIDSLLYRCGHMCACSKCATELVESSGKCPMCRAPAVEVIRAYSVL >ONI01697 pep chromosome:Prunus_persica_NCBIv2:G6:13442466:13444052:-1 gene:PRUPE_6G154500 transcript:ONI01697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNNFLVGQIPYQIRGLPNLENLLLWNNSSTNILPQSLGFSEKLVRVDVYSNLLDSPIPPNLCKYYKFVDISSNNFTGTIT >ONI02422 pep chromosome:Prunus_persica_NCBIv2:G6:20464775:20465989:1 gene:PRUPE_6G197500 transcript:ONI02422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSGPRGERGTKYEDPIHRKIFAHGLGWDTNAETLTSVFKEYGEIEDCKAVCDKVSGKSKGYGFILFKTRSGARKALKQPRKEIGNRMTACQLAAIGPGPAPSAAAAVPVAVQAAQSQTVSEYTQRKIYVSNVGADLEPRKLLMFFSRFGEIEEGPLGLDKMTGRPKGFCLFVYKSQRNFDGHILHCQKAIDGPKPVKSQHPNQHHHKSHNSKFQRNENPGYVGGAAAGMGHLMAPASGGIGFNQGAAAAQALNPALGRSLTALFATQGAGLGLTNLLGTFGTAPNVNPGVPGAGHAIQGGYANQASISPGMMGSYGNQGAMQGGSPNPQIGQGGSGRGQHGVGQSGGAPCLGH >ONI04092 pep chromosome:Prunus_persica_NCBIv2:G6:27418454:27418977:1 gene:PRUPE_6G302200 transcript:ONI04092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAPTSSSPLYICNTQQYQKSYNLTSFLLLLSSWSSVQQMGFQDIVGGRLGCQYEKLTKRNEKVGRPGRHWVKKMNGRLKGLRLSRSRKLTLKIFSAVVWHSRFARICSDIVNRMKADGAYPSIIFSTQWGLPVLSHSSVRGCSIKCSPNIVPPIRNCIK >ONH99453 pep chromosome:Prunus_persica_NCBIv2:G6:2368928:2369771:1 gene:PRUPE_6G030300 transcript:ONH99453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKILVKVQMHCDKCRTKALKIAASAYGVSKVSIEGANRDHVEVIGDGVDSVCLTELLRKKLGFAAIVKVEQVKGDKREEKPTANYIQYCAPQCPPMYCEVVREYPEPTCSIM >ONI00214 pep chromosome:Prunus_persica_NCBIv2:G6:5181585:5182760:-1 gene:PRUPE_6G075400 transcript:ONI00214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMLFFSKTKNPINRIYPIWESFIMAALPSGCKSRSLSLSFLPCFDQTRQIESYVLGTYNDLILCCVEDGFARDYSICNPYTKQWIALPPTPRVHLESVLTGFTCDHHYYNREEMMDDRTSTSNNKLEYRYRVVRIPAPVVEHNFLEFAVEIFSSETGEWRESVISSSRGFNFTCHSCTPGVAYNGMVCWLVFGGLLIGVDPFNNINNSISTGANITGDIVVNNELCRFVQFHKPEYKIERVECLGVCRGRLRMCHFDLEDPRRSGIVCVWELKEVEEEDDQQADGASRLMKWCLIKRVDLKELVAENPQINKCWATTIGCCFARVRAFDTNDEDILYLDLGGDIVTFNFGKRTAFVRGRISPGGGTMSFNALTKAFPLSLPRWPTPLPN >ONI02194 pep chromosome:Prunus_persica_NCBIv2:G6:19008293:19011934:-1 gene:PRUPE_6G182700 transcript:ONI02194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESPEKKSGCGILSAVFGRNSFWPRRTTSTGSLPVANNNNATFVKTPSTPNSKRRRGGSDEASFLDSSTNPSDAPPSKPITKSSQYSNRAPPIQQQQQQQLQQNIHGRNGRPPQEAAAQAGPMVSSSAPSKMAPSQGYVNQGKRVPKEAVGISGELDSMIADHQKSKGSNTLVRASSSNVMLFGNLGNLRQPGGGGGGGGGNANSNNVLDYLPKTAREEIPMTNNVNVGKMSAAKEEKRQSITEPPASLCRALSTRMDPETLKIMGNEDYKNGRFAEALALYDAAISIDPNKASYRSNKSAALTALGQLLEAVFECREAIRIEPQYHRAHHRLATLYFRLGEADKALYHYKHSGPEADQEDLAKVKSLQALLNKCTEARRLRDWNTLIKASESVILAGADSAPQIYALQAEALLKLNRHQEADEALSRGPNFEVDACSKFFGPIGNANLLATRAQVDMVAGRLDDALEAAQRASRLDSNNREANMVARKARAVTVSRSKGNELFKTARFSEACVAYGEGLEHDPYNSVLLCNRGACWSKLGQLDKAIEDCTAALNVRPSYSKARLRRADCNAKLEKWEASIQDYEILLKETPEDEEVSRALSEARQQLKKL >ONI02195 pep chromosome:Prunus_persica_NCBIv2:G6:19008712:19011206:-1 gene:PRUPE_6G182700 transcript:ONI02195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESPEKKSGCGILSAVFGRNSFWPRRTTSTGSLPVANNNNATFVKTPSTPNSKRRRGGSDEASFLDSSTNPSDAPPSKPITKSSQYSNRAPPIQQQQQQQLQQNIHGRNGRPPQEAAAQAGPMVSSSAPSKMAPSQGYVNQGKRVPKEAVGISGELDSMIADHQKSKGSNTLVRASSSNVMLFGNLGNLRQPGGGGGGGGGNANSNNVLDYLPKTAREEIPMTNNVNVGKMSAAKEEKRQSITEPPASLCRALSTRMDPETLKIMGNEDYKNGRFAEALALYDAAISIDPNKASYRSNKSAALTALGQLLEAVFECREAIRIEPQYHRAHHRLATLYFRLGEADKALYHYKHSGPEADQEDLAKVKSLQALLNKCTEARRLRDWNTLIKASESVILAGADSAPQIYALQAEALLKLNRHQEADEALSRGPNFEVDACSKFFGPIGNANLLATRAQVDMVAGRLDDALEAAQRASRLDSNNREANMVARKARAVTVSRSKGNELFKTARFSEACVAYGEGLEHDPYNSVLLCNRGACWSKLGQLDKAIEDCTAALNVRPSYSKARLRRADCNAKLEKWEASIQDYEILLKETPEDEEVSRALSEARQQLKKL >ONH99235 pep chromosome:Prunus_persica_NCBIv2:G6:1513335:1517316:1 gene:PRUPE_6G019600 transcript:ONH99235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFRSLLLCVVLLLLCFALANTNAARTDPPVVCATLNRTHFDTLFPGFTFGAATAAYQLEGAANIDGRGPSVWDNFTHEHPEKITDGSNGDVAIDQYHRYKEDVAIMKDMGLDAYRFSISWSRLLPNGKLSGGINKKGIEYYNNLTNELLRNGIEPLVTLFHWDVPQALVDEYGGLLSPRIVDDFKAYADLCYKEFGDRVKHWTTLNEPYTISNHGYTIGIHAPGRCSDWYNQNCLGGDSGIEPYLVTHYLLLAHAAAVKLYREKYQAYQNGVIGITVVSHWFEPASESQQDKDAAFQALDFMYGWFMDPLTRGDYPQIMRSILGARLPNFTEEQSKSLSGSYDYIGINYYSARYASAYPKDYSVTTPPSYLTDVHVNVTTDLNGVPIGPRAASDWLYVYPKGLYDLVLYTKKKYNDPIMYITENGMDEFNNPKLSLEQALNDANRIDYYYRHLCYLQAAMKEGANVQGYFAWSLLDNFEWSEGYTVRFGINYIDYDNGLERHSKLSTHWFKSFLKRSSISKKNIQRCGNSNGRATKFVYQI >ONH99878 pep chromosome:Prunus_persica_NCBIv2:G6:3908658:3909137:-1 gene:PRUPE_6G055200 transcript:ONH99878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNSFSKSQANQYFIFFSMSILILALSVCLFLFLMKILVQNLSEFDAYIKIVVITSLSRIRHVREPKKRKETKESSET >ONI01336 pep chromosome:Prunus_persica_NCBIv2:G6:10500492:10502412:-1 gene:PRUPE_6G133900 transcript:ONI01336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDKIEPPKGPGQCSTDKRDTWVNSRHQRTIRDNLDRMLSHRVGNGSGFGYVGGNNLVVDLNPSPYAIRPPDPRFEVGAMYETMRPRKRSLQCQRFNLCLLVAKWWGEVQLPWAIAMRISLEFMFFYCKEIVHTCSLDETELHNCLQERESVGLLDRGPICQHTHVSLFISCSSYLYKCHKEEKYSILNRLRVFHLERPGLGLKEIAQEYNLNWDSSNTEAGSSILNLEFF >ONI05041 pep chromosome:Prunus_persica_NCBIv2:G6:30038509:30040748:1 gene:PRUPE_6G352900 transcript:ONI05041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLMLLLLPLFFLLMMMTMRDLAAAAADDHGMEDCPISKCSGGGHADDGPVIQFPFRLKHHPLHCGHPEFEVFCVNNMTMIQLSPSSGLFPIRNIDYSTRSFDVYDDDDADGCLLRRLLNFTISTSSLFQPAASQLLRDRFSKYPFQKTRPMYNCAYYVLLSEDSPYLESDMISSYAPHFTEFILLNCSTAKNFSAQEFGFKAITCLSAPGHQVLAVPSYTSVIDLPVPTCSTLSSRKLLLPLENQYPCEPDNVLLLKWESMEYFPRCQNCTEEGGRCEFNDTTNQIECYPHPIFPHPQQYPQGHVSTRHIIIGVSLACCFVLISVMAVAIFFYVKQQRNSIEEKENQIKVEKFLNDHKSHVPTRYSHADIKKITNGFKKKLGEGGFGSVFRGKLPNGVPVAVKVLGDSKGNGEDFVNEVGTIGRIHHVNVVRLLGFSAEAGKRAVIYELMPNRSLEKFISSKDQSNNALFDWEKLDNIVNGIAKGIEYLHQGCEQRILHFDIKPHNILLDHDFNPKISDFGVAKLCSKEDSIISMTAARGTVGYIAPEVFNGNFGSVSHKSDVYSFGMLVLEIVGARKEAALTSGITNEAYFPELIYKCLIQGEALGLELINTDEDAEIAKKLVIVALWCIQWYPVNRPSMKAVVRMLEGASENLIMPPNPFASATSTQSQTEQPKTTESS >ONI05040 pep chromosome:Prunus_persica_NCBIv2:G6:30038367:30040855:1 gene:PRUPE_6G352900 transcript:ONI05040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLMLLLLPLFFLLMMMTMRDLAAAAADDHGMEDCPISKCSGGGHADDGPVIQFPFRLKHHPLHCGHPEFEVFCVNNMTMIQLSPSSGLFPIRNIDYSTRSFDVYDDDDADGCLLRRLLNFTISTSSLFQPAASQLLRDRFSKYPFQKTRPMYNCAYYVLLSEDSPYLESDMISSYAPHFTEFILLNCSTAKNFSAQEFGFKAITCLSAPGHQVLAVPSYTSVIDLPVPTCSTLSSRKLLLPLENQYPCEPDNVLLLKWESMEYFPRCQNCTEEGGRCEFNDTTNQIECYPHPIFPHPQQYPQVSGHVSTRHIIIGVSLACCFVLISVMAVAIFFYVKQQRNSIEEKENQIKVEKFLNDHKSHVPTRYSHADIKKITNGFKKKLGEGGFGSVFRGKLPNGVPVAVKVLGDSKGNGEDFVNEVGTIGRIHHVNVVRLLGFSAEAGKRAVIYELMPNRSLEKFISSKDQSNNALFDWEKLDNIVNGIAKGIEYLHQGCEQRILHFDIKPHNILLDHDFNPKISDFGVAKLCSKEDSIISMTAARGTVGYIAPEVFNGNFGSVSHKSDVYSFGMLVLEIVGARKEAALTSGITNEAYFPELIYKCLIQGEALGLELINTDEDAEIAKKLVIVALWCIQWYPVNRPSMKAVVRMLEGASENLIMPPNPFASATSTQSQTEQPKTTESS >ONH99267 pep chromosome:Prunus_persica_NCBIv2:G6:1776117:1780015:-1 gene:PRUPE_6G022100 transcript:ONH99267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAHQDLGFCFGSARVSLTPILAPSSTRRLSSSFIETSRPVPARRKLAWVSLDGRLVNADEASSARAIKGGLNPEQAVAWELFSPTQRFLFVAVISVAAAESKKNRHITQLTKSVELRDQLLSSMQQKLDSLCEQMNNIKDHSATVDPSTLENAELQRNESFGSHKIKFVDCGCWLCDQHRDLQNGLGGNNVMKASNGDETLQYKMSFPIVQEQEERRMSDLSDLASSVTSAADIQLNTLAIEQDIYNLKKDCEEKDGTIQDLTTLLQSSENAGSRRIAELEDIIRRKNSTITRLKRDMVVLEQKVVHLTRLQRPSFSSSNSHDIQIPHMTDNLLYDMDSTTSPSSSDSDCSPVNRTKAPPSSKYPEVPQVHDSLSTSQKLVKEKASISMVKPTASHIKYQSLNPLKEITMNSRPVHSVSKKPQPMSPRPVHSVSKNSQPMSPKSQPASPKSQPMSPVKKSSTIHSSRPRQLSAGADSRKIIKRRSLPGRKDATPATPQKRWA >ONH99268 pep chromosome:Prunus_persica_NCBIv2:G6:1776835:1780015:-1 gene:PRUPE_6G022100 transcript:ONH99268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAHQDLGFCFGSARVSLTPILAPSSTRRLSSSFIETSRPVPARRKLAWVSLDGRLVNADEASSARAIKGGLNPEQAVAWELFSPTQRFLFVAVISVAAAESKKNRHITQLTKSVELRDQLLSSMQQKLDSLCEQMNNIKDHSATVDPSTLENAELQRNESFGSHKIKFVDCGCWLCDQHRDLQNGLGGNNVMKASNGDETLQYKMSFPIVQEQEERRMSDLSDLASSVTSAADIQLNTLAIEQDIYNLKKDCEEKDGTIQDLTTLLQSSENAGSRRIAELEDIIRRKNSTITRLKRDMVVLEQKVVHLTRLQRPSFSSSNSHDIQIPHMTDNLLYDMDSTTSPSSSDSDCSPVNRTKAPPSSKYPEVPQVHDSLSTSQKLVKEKASISMVKPTASHIKYQSLNPLKEITMNSRPVHSVSKKPQPMSPRPVHSVSKNSQPMSPKSQPASPKSQPMSPVKKSSTIHSSRPRQLSAGADSRKIIKRRSLPGRKDATPATPQKRWA >ONI03869 pep chromosome:Prunus_persica_NCBIv2:G6:26577768:26579240:-1 gene:PRUPE_6G287300 transcript:ONI03869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRASALKPSSKFFLRKHRKWPVSPHNTKWHQTFNQNQAFQSLKKSSPPQNQPQHLLSTLIYSFNSYNCEPNPEAYNFVIKTLTKTSQFKDIASVLDRLEFVEKFNTPEYIFAHLISFYGQAKRTQDAIDLFCRIPKFRCVPSAHSLNSLLYVLCGSREGLKMVPEILLRSHIMSIRLEESSFQILVNSLCGIGKVGYAIEIMNCMISYGYGLNVKMCSLILSSLCEQKDSSGFEVLGFVEEMKKLGFCPGMMDYSNVIRYMVKQGRGLDALNVLVKMKVEGIKPDIVCYTMVLHGVIAEGDYKNADELFDELLLLGLVPDVYTYNVYVNGLCKQNKVKDGLKMISSMEELGCKPNLITYNILLKGLCNTGELSRARELVSEMTLKGIGVNLQTHRIMLDGLFGQGDIDEACIFMDEMLDKFLCRFCSSFDEVIYGLCRKGLVCKAMDLLKKMVDKNVAPGAKAWEALLLSSGSEPGFAETTWTDLVNPS >ONH99028 pep chromosome:Prunus_persica_NCBIv2:G6:531122:533822:1 gene:PRUPE_6G005800 transcript:ONH99028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPAAGSLAGMLTMTKPSAGSLASRSTWVSTTSVSSSGKRIQREMVELNLDPPPGCCAGPKGDNLYNWVATIIGPPGTPYQGGIYFLDITFPYDYPFQPPKVVFKTRIYHCNVDSAGNVSLEILKDSWSPALTITKVLQALQSIFTKPDPYNPLVPGIAHLYLQDEAKHAELAAEWTLRFAK >ONI00846 pep chromosome:Prunus_persica_NCBIv2:G6:7618741:7620093:-1 gene:PRUPE_6G107000 transcript:ONI00846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQNVLRTLNTVKRTPVRPYQEFIELISKTPETATSKPLNTTIISLLSKLKPNFLNLILSDPKFKCSRCLLFFNFLLKNQSFISFKIDLHAHLTLICRLLKARKFTEAEEIFKCISVDENSRYPFSVIASAAEICCLEPRVKAKLFNLMIKVYSDSENFKQVFETLDFMKSNGIQIDERTCTVHLLALNRRDEVQKGLDFFYRLLESGTKVSVYSLTVMVDGLCRSGEIKRSRELVEEMVSIGTKPNIITFNTIVDACAKRWNFPELDLILLVMEKEGMAFDIKTYQFLIDGFASSGKVEEAERLVGEMHDKGLKVNTHSYNLIINGYCRLGSMESAHSLFRKMAERSTFQNADTYWALINGFCKVGALGLAMEYLNEMQKKGIELDNVILNILIDGLCNKGMADEAFQLQALMVKKAFNADLSGCKETVNGLSKLNQAEEANEDAGPN >ONI05150 pep chromosome:Prunus_persica_NCBIv2:G6:30351504:30351833:1 gene:PRUPE_6G358800 transcript:ONI05150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCARKLHDRLVNVFTKMWTLKISVIKSSKSRSCMCTLLSYITYYVPALTTLCD >ONI02642 pep chromosome:Prunus_persica_NCBIv2:G6:22040650:22043959:1 gene:PRUPE_6G212100 transcript:ONI02642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEVVVAAALTATATVVVAAALLRQWKRKKQRQWRETQKILRKFARDCATPVPKLWLVSNALDSDMKAFLASSGTITTLNMLVSYVASLPSGDEEGFYYGVNLRGTNFLILCARLGGKNKPISDLFREEIPIPSNLMAATSKELFDFVAVELGKFISEHPDGQADSAEENKLGCIVSCPVDQAVVSHQTAIKWKSFSADSKVGKKLVSDFNQALEEHGVNLRVYAIVDDTVGNLAGGRYYNKESVAAVTLAMGTDAAYVEPADAALQWHGPSPKLGEMVISTQWGDFSSPHLPITIFDTCLDAESSNPGRRRFEKLISGMYLGEIVRRVLLKMAQETALFGDHVPSKLMTPYQLSSPDMAAMHQDASDDREIVGEKLTKVFGITSTSPRVREVVSEVCDIIAERGARLAGAGILGIIKKLGRIENKKSVVTVEGGLYEHYRVFRNYLNSSVWEMLGNDLSDNVVIEHSHGGSGTGALFLAASQMDMQNDDPDLDNDNVDPDSDNNDPDHDPDPDADT >ONH99955 pep chromosome:Prunus_persica_NCBIv2:G6:4144862:4148019:1 gene:PRUPE_6G059600 transcript:ONH99955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVASTCLQWSQPIVPHSTPSSQTLASAIASPSSKRRTRGGDGGAVLCLYVHSLNRSALFGAPSTKLNRSRSCELRKPRFRSMKRACSANLDAFSDEEFSKKIQELALRFHLSDDFDGEDNGDGNTANSETERADSDSGRVNDSSSTMRFEQNQSLFELPLDLELPWSSDWQQRDEIVPEASIERRANSVDLPLSLRMIKRKLQWQEGFREAGESACSSVKKAFASMVFIIRELHTYTLQMREVLFYEDLQGILGRVQKEMHASFVWLFQQVFSQTPTLMVYVMILLANFTVYSLGHNAAIAAAPPPGIYSSATETSISVEEVQNHNDKLDNTQFDSSTSKSFSVNGNVNGKTTSIGGNNGGGGKARPVGSGTEGDGRFDGMDQYRTIVPDGASQLSSLGTTTEAESVSGQESREEELAVWNSIVEEAHEMRGNHEALNHETMQRFVSPVVANMEGDDYEEYFRTELLYQTGLSIEPSNALLLANYAQFLYQVAHDYDRAEEYFKKAVGLEPPDAEAYNKYATFLWRVRNDLWAAEETFLEAISADPSNSYYAANYAHFLWDTGAEDTCFPLNSPDDATQDA >ONH99954 pep chromosome:Prunus_persica_NCBIv2:G6:4144338:4148019:1 gene:PRUPE_6G059600 transcript:ONH99954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVASTCLQWSQPIVPHSTPSSQTLASAIASPSSKRRTRGGDGGAVLCLYVHSLNRSALFGAPSTKLNRSRSCELRKPRFRSMKRACSANLDAFSDEEFSKKIQELALRFHLSDDFDGEDNGDGNTANSETERADSDSGRVNDSSSTMRFEQNQSLFELPLDLELPWSSDWQQRDEIVPEASIERRANSVDLPLSLRMIKRKLQWQEGFREAGESACSSVKKAFASMVFIIRELHTYTLQMREVLFYEDLQGILGRVQKEMHASFVWLFQQVFSQTPTLMVYVMILLANFTVYSLGHNAAIAAAPPPGIYSSATETSISVEEVQNHNDKLDNTQFDSSTSKSFSVNGNVNGKTTSIGGNNGGGGKARPVGSGTEGDGRFDGMDQYRTIVPDGASQLSSLGTTTEAESVSGQESREEELAVWNSIVEEAHEMRGNHEALNHETMQRFVSPVVANMEGDDYEEYFRTELLYQTGLSIEPSNALLLANYAQFLYQVAHDYDRAEEYFKKAVGLEPPDAEAYNKYATFLWRVRNDLWAAEETFLEAISADPSNSYYAANYAHFLWDTGAEDTCFPLNSPDDATQDA >ONI01879 pep chromosome:Prunus_persica_NCBIv2:G6:16056962:16057628:1 gene:PRUPE_6G164300 transcript:ONI01879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLKAMALLKKVGRALLKYLGRPLLLQKASRSAFVVETQKWLGESARVSRPGEIQGVASWALRFLAGQSSGFLWPDLGGFVEAEGQGRPGARCGWESFEIRLGIVQSAASWAVLESG >ONI03046 pep chromosome:Prunus_persica_NCBIv2:G6:23639324:23642649:-1 gene:PRUPE_6G235400 transcript:ONI03046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSIKQNGHQNRSLESSELCIKKDPLNWGVAAETLKGSHLDEVKRMVAEYRKPVVKLGGESLTISQVAAIATHDSGVKVELSESARAGVKASSDWVMDSMSKGTDSYGVTTGFGATSHRRTKQGAALQKELIRFLNAGVFGSTKESGHTLPHQATRAAMLVRINTLLQGYSGIRFEILEVITKFLNNNVTPCLPLRGTITASGDLVPLSYIAGMLTGRPNSKAVGPDGQTLSAAEAFEFVGINSGFFELQPKEGLALVNGTAVGSGLASTVLFDTNILALLSEILSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAKKLHEQDPLQKPKQDRYALRTSPQWLGPQIEVIRYSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTFLVALCQAIDLRHLEENLRNTVKNTVSQVAKRTLTTGVNGELHPSRFCEKDLLKVVDREYVFAYIDDPCNATYPLMQKLRQVLVEHALTNGENEKNASTSIFQKIVAFEEELKVLLPKEVDSARAALDSGTAGVPNRITECRSYPLYKFVREELGAEYLTGEEVRSPGEECDKVFSAICEGKIIDPILDCLEGWNGAPLPIC >ONI05141 pep chromosome:Prunus_persica_NCBIv2:G6:30333108:30333967:-1 gene:PRUPE_6G358200 transcript:ONI05141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLTLFTSLLKFGHVARNAASTTPRIFLATAPRRIQASSSQQDAASVANEARNAAENVTQTTKDMAGKVSATAQDVSEKAKQTAQDAWSQAKGTAQKAADTVVGKTEESKEFVKENAEQVKKSMNTKNKN >ONI04204 pep chromosome:Prunus_persica_NCBIv2:G6:27767881:27771058:1 gene:PRUPE_6G308600 transcript:ONI04204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >ONI04203 pep chromosome:Prunus_persica_NCBIv2:G6:27767638:27771058:1 gene:PRUPE_6G308600 transcript:ONI04203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >ONH99082 pep chromosome:Prunus_persica_NCBIv2:G6:757195:761118:1 gene:PRUPE_6G010000 transcript:ONH99082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTKTSPKLHHETTVIKSAIISRLIVLTLILLWRTLLSPYDTSAPINPNCLSNNTSQPNVDLQQQQQQQQHVLLPSLGSAIESSIVWDSVYFVRIAQCGYEYEQTYAFLPLLPLCISLLSRTVLAPLVPVIGQRAVLGLSGFVINNIAFVIVAVYLYRLSVVILKDHDAALRASILFCFNPASIFYSSIYSETLYALFSVGGLYHLISGKDVIAVLWFALSGFSRSNGIINAGYFCFQTMHQAYDAIFLRKRAFLALQVVVGGALRCICIFVPFVAFQAYGYNNICLGHLPNNMSPWCKARVPLLYNYIQSHYWGVGFLRYFQVKQLPNFLLASPILSLALCSIVHYAKLKPEIFFSLGFCAPPEHKDSAAVFFSFAENSSNSRENRNLKARKQVTKDGASLLPEEYKLSAKQGYLSAAVLPCILHLGFMAATAFFVMHVQVATRFLSFSPPLYWFASYIMKSPGTGKRWGYIVWAYSAAYILLGSLLFSNFYPFT >ONI02906 pep chromosome:Prunus_persica_NCBIv2:G6:23215813:23218591:1 gene:PRUPE_6G228200 transcript:ONI02906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFGGGSTHSRLTDGTITGSPMNYLNLGLKISFAELQQATNNFDTKLLIGEGGFGNVYRGTLLNGRNVAVKRGKRDEKGSGQGLPEFQTEIMVLCKIRHRHLVSLIGYCDERSEMILVYEFMEKGSLRDHLYDSNLPRLSWKQRLEICIGAARGLHYLHTGAAGGIIHRDVKSTNILLDENHVAKVSDFGLSRSGPLDETHVSTNVKGTFGYLDPEYIMSQQLTEKSDVYSFGVVLLEVLCARPAIDRMLPRDQMTLAEWGMLCKKKGLLEQIVDSSINYQIDPSSLRKFSETAEKCLQEDANDRPTMGDVLWDLEYAFQLQQTAKHREPHEDSTANASSASVLPNIQRFPSVSSTINIDDLALPGDDELDTTEVEVFSQLRVGDAR >ONI02905 pep chromosome:Prunus_persica_NCBIv2:G6:23215813:23218591:1 gene:PRUPE_6G228200 transcript:ONI02905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSLRSVGPSIHTTTTNNSFLNGLEMLGIIEGPALSNPPASVCNMKGRKKIKVGLVVGSVIGGLSLICILIVGILFGLKYRKAKRVETSEWSPMPAFGGGSTHSRLTDGTITGSPMNYLNLGLKISFAELQQATNNFDTKLLIGEGGFGNVYRGTLLNGRNVAVKRGKRDEKGSGQGLPEFQTEIMVLCKIRHRHLVSLIGYCDERSEMILVYEFMEKGSLRDHLYDSNLPRLSWKQRLEICIGAARGLHYLHTGAAGGIIHRDVKSTNILLDENHVAKVSDFGLSRSGPLDETHVSTNVKGTFGYLDPEYIMSQQLTEKSDVYSFGVVLLEVLCARPAIDRMLPRDQMTLAEWGMLCKKKGLLEQIVDSSINYQIDPSSLRKFSETAEKCLQEDANDRPTMGDVLWDLEYAFQLQQTAKHREPHEDSTANASSASVLPNIQRFPSVSSTINIDDLALPGDDELDTTEVEVFSQLRVGDAR >ONI02907 pep chromosome:Prunus_persica_NCBIv2:G6:23215813:23218591:1 gene:PRUPE_6G228200 transcript:ONI02907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFGGGSTHSRLTDGTITGSPMNYLNLGLKISFAELQQATNNFDTKLLIGEGGFGNVYRGTLLNGRNVAVKRGKRDEKGSGQGLPEFQTEIMVLCKIRHRHLVSLIGYCDERSEMILVYEFMEKGSLRDHLYDSNLPRLSWKQRLEICIGAARGLHYLHTGAAGGIIHRDVKSTNILLDENHVAKVSDFGLSRSGPLDETHVSTNVKGTFGYLDPEYIMSQQLTEKSDVYSFGVVLLEVLCARPAIDRMLPRDQMTLAEWGMLCKKKGLLEQIVDSSINYQIDPSSLRKFSETAEKCLQEDANDRPTMGDVLWDLEYAFQLQQTAKHREPHEDSTANASSASVLPNIQRFPSVSSTINIDDLALPGDDELDTTEVEVFSQLRVGDAR >ONH99011 pep chromosome:Prunus_persica_NCBIv2:G6:470983:472272:-1 gene:PRUPE_6G004800 transcript:ONH99011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSESTTKNSIEKWSVAFISEETRLFQFVKCSSIFCSRVVYAWGSFCLFGFPYIVYYGFLDVLCLKFCSECALCFLCFYMLVFSFLETSKQTVTTHSLVVLGCW >ONI03236 pep chromosome:Prunus_persica_NCBIv2:G6:24300038:24306186:-1 gene:PRUPE_6G246300 transcript:ONI03236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGQDFGKQKEDKNPRPSDGDVFPAWAKEIQECEKHFGVDRKLGLSSADVEKRREKYGWNELEKHEGQSIWSLVLEQFNDTLVRILLAAAVISFVLAWLDGEEGGEKEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHASVIRNGSKVPSLAAKELVPGDIVELKVGDKVPADMRVVELISSTLRVEQGSLTGESEAVNKTNKPVSEDVDIQGKKSMVFAGTTIVNGHCICLVAQTGMLTEIGKVHSQIHAASQSEEDTPLKKKLNEFGEMLTMIIGVICALVWLINVKYFLTWEYVNGWPANFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVALGPKPTILRKFKVDGTTYNPLDGKIHDWPTGRMDANLQMIAKIAAVCNDAGVTHAEQKYVAHGMPTEAALKVLVEKMGLPEGSLGAESSESELLRCCQKWNEFESRVATLEFDRDRKSMGVIVNSRSQKKSLLVKGAVENVLERSTKVQLLDGTVVPLDENSKNNIVKALNEMSTSALRCLGFAFKDELTDFESYDGDEDHPAHRLLLDPSTYSSIESNLVFVGLVGLWDPPREEVFDAIEDCRAAGIRVMVITGDNKNTAEAICREIGVFDDDEDINPRSITGREFMCLPDRKAYLRQSGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGISGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLISAWILFRYLVIGMYVGVVTVGVFIIWYTHGSFLGIDLSGDGHSLVTYSQLANWGQCSSWTNFTASPFTAGTQVISFENDPCDYFHHGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLVAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLAVALPVILVDEILKLVGRWTSRSQVTKRREKPKTE >ONI03237 pep chromosome:Prunus_persica_NCBIv2:G6:24302077:24306186:-1 gene:PRUPE_6G246300 transcript:ONI03237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGQDFGKQKEDKNPRPSDGDVFPAWAKEIQECEKHFGVDRKLGLSSADVEKRREKYGWNELEKHEGQSIWSLVLEQFNDTLVRILLAAAVISFVLAWLDGEEGGEKEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHASVIRNGSKVPSLAAKELVPGDIVELKVGDKVPADMRVVELISSTLRVEQGSLTGESEAVNKTNKPVSEDVDIQGKKSMVFAGTTIVNGHCICLVAQTGMLTEIGKVHSQIHAASQSEEDTPLKKKLNEFGEMLTMIIGVICALVWLINVKYFLTWEYVNGWPANFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVALGPKPTILRKFKVDGTTYNPLDGKIHDWPTGRMDANLQMIAKIAAVCNDAGVTHAEQKYVAHGMPTEAALKVLVEKMGLPEGSLGAESSESELLRCCQKWNEFESRVATLEFDRDRKSMGVIVNSRSQKKSLLVKGAVENVLERSTKVQLLDGTVVPLDENSKNNIVKALNEMSTSALRCLGFAFKDELTDFESYDGDEDHPAHRLLLDPSTYSSIESNLVFVGLVGLWDPPREEVFDAIEDCRAAGIRVMVITGDNKNTAEAICREIGVFDDDEDINPRSITGREFMCLPDRKAYLRQSGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGISGTEEALNYTRRVNLIY >ONI03235 pep chromosome:Prunus_persica_NCBIv2:G6:24300337:24305653:-1 gene:PRUPE_6G246300 transcript:ONI03235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGQDFGKQKEDKNPRPSDGDVFPAWAKEIQECEKHFGVDRKLGLSSADVEKRREKYGWNELEKHEGQSIWSLVLEQFNDTLVRILLAAAVISFVLAWLDGEEGGEKEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHASVIRNGSKVPSLAAKELVPGDIVELKVGDKVPADMRVVELISSTLRVEQGSLTGESEAVNKTNKPVSEDVDIQGKKSMVFAGTTIVNGHCICLVAQTGMLTEIGKVHSQIHAASQSEEDTPLKKKLNEFGEMLTMIIGVICALVWLINVKYFLTWEYVNGWPANFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVALGPKPTILRKFKVDGTTYNPLDGKIHDWPTGRMDANLQMIAKIAAVCNDAGVTHAEQKYVAHGMPTEAALKVLVEKMGLPEGSLGAESSESELLRCCQKWNEFESRVATLEFDRDRKSMGVIVNSRSQKKSLLVKGAVENVLERSTKVQLLDGTVVPLDENSKNNIVKALNEMSTSALRCLGFAFKDELTDFESYDGDEDHPAHRLLLDPSTYSSIESNLVFVGLVGLWDPPREEVFDAIEDCRAAGIRVMVITGDNKNTAEAICREIGVFDDDEDINPRSITGREFMCLPDRKAYLRQSGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGISGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLISAWILFRYLVIGMYVGVVTVGVFIIWYTHGSFLGIDLSGDGHSLVTYSQLANWGQCSSWTNFTASPFTAGTQVISFENDPCDYFHHGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLVAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLAVALPVILVDEILKLVGRWTSRSQVTKRREKPKTE >ONI00531 pep chromosome:Prunus_persica_NCBIv2:G6:6441976:6443194:1 gene:PRUPE_6G093400 transcript:ONI00531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFREEDREYDAVRDEHQVLSEDTKQGVVHGFDDSKGKEVALRSLNCENYQDPDRSRICNVCGKRFGSGQALGGHISVHFEPRLGPKKMNNKFKVTKQHQLQYYPSLDNKFSCHICKKIFPSRKSLYGHMGSHSRRVRSPSFSSSSSSTSSSAECNDLDLKEQDCDRVKFLPGWAKEDRGDMKIANQTPNYNNDFARKYNKFPSSKRLKFLDGHTCNICYKKFPTGQALGGHKRAHYFDGAQPVLEAPPPATVKVASTDDHDDGETIDPVDSSPLMQLAEQALHLASRRILDLDLNEPPKEE >ONI00351 pep chromosome:Prunus_persica_NCBIv2:G6:5664978:5665641:1 gene:PRUPE_6G084000 transcript:ONI00351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAANTLVEADQEVDVDLEPTIDFKFLTSFLESDDDEALDDDHEPTIDYKRLTSFLKFDDSFLTPWNWNPNHNASACDDNTSSVETKQECIMFQPGSFFCDEEGVKKTQKPSREKLTYSRQNMVR >ONI03008 pep chromosome:Prunus_persica_NCBIv2:G6:23507539:23515535:1 gene:PRUPE_6G232900 transcript:ONI03008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIGSTVIVNSEAHPHQGGFFVQDGGPGLAPSTSNHVALQQPVKKPTRQWAAWTHQEEESFFAALRQVGKNFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPGLYLDSKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALEHQLLKDRKKSVRKRPSQGENCPPNAPSTVSNQNRPSGHDNRTVKLVLVDSHNIQKLGPGKASLKRNVNIGVNRSNKGDSSTMKPARQRRKPGAISSAAYKKWEKAAIAGVSLVADAAEHLERAITDKEVEQDRSAAGQSSAVPVGKVLPPMPAFVQNIDAESGTNNCMKLKLQLFPIDDGTRRALENDKHNPYLELTLSTRKKISSVLDHLNRKWGNSNVASGELTLFHYSVQRDNLMGYPRWTQDSIVSAADVYATIGCPPVFRLRYGWFSNTELGSVGLQTPVASCSNQGEHDINEEKRKEQIVDSAPVSPLSTDNQSADIHKDHLTSTNNSCVLSALAYQHSQPQLPERGKPRYNHSLGLTSDEEPNEINGYICASPNDNFVGDPTEITSWHKKEAGDQNTSRHRGEVDDLRSITGTALSAGVWADSLTNISMGDLLSGVSHDLDTNCIDPPVAEGSQCLQQIPFSCDSFDAVIAAHISRQNKTGCQPTMECHVSSIWDAEETCDAFSFQKNSVFCQEVSSLSAVAPPGACKQTAVASSAGSDCMVEEIPVVERPIDDPPRGPIDECQSDPRILESSVKDFNALTDIYWPESLGPLDLEMPSSKYHSEDFVLSDSLSGLNRLIASSLDAFQNCSFFGANKREPTASVEARETASFSDFKIGNGV >ONI03329 pep chromosome:Prunus_persica_NCBIv2:G6:24615250:24618443:-1 gene:PRUPE_6G251400 transcript:ONI03329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFALVTGRPGPSGFGSASTAEQVTDGVDASNLTAIVTGGASGIGLETARVLALRGAHVIIASRNVEAAKEAKQLILKDNRSARVDVLTLDLCSIKSVRAFVDSFNALDLPLNLLINNAGVMFCPHRLSEDGIELQFATNHLGHFLLTNLLLEKMKNTARTTGVEGRIVNLSSIAHVHTYKDGIYFDKINDRMSYNDKRAYGQSKLANILHANELSRRLQEEGVNITVNSVHPGLIMTPLMRHSALLMRTLKLFTFWFWKNVPQGAATTLYVALHPKVKGVTGKYYVDCNEMKPSSYARDENLAKKLWDFSNKLIDGASKS >ONI03644 pep chromosome:Prunus_persica_NCBIv2:G6:25725318:25726021:-1 gene:PRUPE_6G271400 transcript:ONI03644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLHHEVEVEFPDGLREKHSRTHSGTLLEFGQTKNGKMITAMAFTVGIPAAIGALLILGNKVKTRGVLRPIEPEVYVPAMDIIQAYGIKVMEKIE >ONI04357 pep chromosome:Prunus_persica_NCBIv2:G6:28204803:28205273:1 gene:PRUPE_6G317300 transcript:ONI04357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKSPEKKSSSNGRSFMKSKTKCNSRANDDDTNLCAPFCYIKGDNAERVDIGVAHATFRVIGEQESSGNLNLVPSKFMPTNRWKSRMARSLTKMVSRQIDWEDNSKQEDSKAMEERGAQELCKKRILMGSKCRPLSLSGTLQYDENGILITEIMP >ONH99562 pep chromosome:Prunus_persica_NCBIv2:G6:2716419:2717363:-1 gene:PRUPE_6G036000 transcript:ONH99562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELKKSSVIRLNVGGNKFCTSVDTLTQREPNSMLAAMFSGRHNIACEEDCEKGCVFIDRDGTHFRHILNWLRDATVPLLEERCKYSELLREAEYFQLVGLTEEIHAFLNKEDDDQIAKLDADFTRRDIINFILSNATMKFRGFNLSGLDLSKLDLTKIDFSYACLRNVCFSGCDLTRVKFNYVDAEGAIFNNASLTSTEFVGANLRDASFVDAKLRFAKIKSACLVNCSFLRAILYNAHLYDADLTNANFEAASLECAALKNLKATNTANFRDAYLISSGVEDVNLQHLVGAKLGVVTACGNGFICKKPDEIR >ONI00216 pep chromosome:Prunus_persica_NCBIv2:G6:5195958:5199817:-1 gene:PRUPE_6G075600 transcript:ONI00216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKSFHLPKTPRSVFCCLGASIERALAKKFEISQVSEEMDIAKLKQNVRDHLEKVFLEQDKALAKSEVQKNENKKKLEHIEAQKKELMKKLEQSEAKKNELQEKHAQSEAQKKELQKKHEQSEAQKKELQKKHEQSEAQKKELQKKHEQSEAQKKELQKKFEQSESHNKDLQKNLDHSEAQKKELQKKLEQSEAQKNGLQFRKQREIQLMKQMYGETVLEKRMADEKMIEKKKENEKLHRKIIELEKLCDQDKDQAEVKDGKQEKTDEDDEDEDEDQEQDQDEDQEQDPDEVNDDDGNEKMKKIRKKLKETEEELEYAEAHNHKLIAKESELEEARKELISGWDSASRAFIGVKRMGELESKPFQTVCKRKYPMDEADDQAAALCSLWESYLRDSCWKPFKTIRVAFRQQPKITIDEGDEKLKKLKDEFGDEVYNAVTTALLESKEYNSHHWRCTVSELWNFKQGRRASLKEGVSYLLKQLKLQKRRRSS >ONI01217 pep chromosome:Prunus_persica_NCBIv2:G6:9987581:9989921:1 gene:PRUPE_6G128600 transcript:ONI01217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMVSNTAQTAAVSEDLPPASPRIRLADGRYLAYRESGVPKNKANYKIIIVHGFGSSKEMSFLAPQELIDELGIYFLLYDRAGYGESDPNPKRSVKSEALDIEELADQLELGLKFYVIGVSMGSYPTWSCIKHIPHRLAGVALVVPVVNYRWPSLPDHLIKDDYRRKLIKWGLFFAEFAPGLLRWWVTQKWLPSTSVLERNPVFFNSRDIEVLKTIPGFPMLSQEKLRQQGVFDTLHHDFKLAFSRWDFDPMDLSNPFPQNQSSVHIWQGYEDKVVPFLLQRYISFKLPWIQYHEVPDGGHLIVHYAGLCEAILRALLLGEEHLHYKPTIAKIVS >ONI01218 pep chromosome:Prunus_persica_NCBIv2:G6:9987821:9989921:1 gene:PRUPE_6G128600 transcript:ONI01218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNTAQTAAVSEDLPPASPRIRLADGRYLAYRESGVPKNKANYKIIIVHGFGSSKEMSFLAPQELIDELGIYFLLYDRAGYGESDPNPKRSVKSEALDIEELADQLELGLKFYVIGVSMGSYPTWSCIKHIPHRLAGVALVVPVVNYRWPSLPDHLIKDDYRRKLIKWGLFFAEFAPGLLRWWVTQKWLPSTSVLERNPVFFNSRDIEVLKTIPGFPMLSQEKLRQQGVFDTLHHDFKLAFSRWDFDPMDLSNPFPQNQSSVHIWQGYEDKVVPFLLQRYISFKLPWIQYHEVPDGGHLIVHYAGLCEAILRALLLGEEHLHYKPTIAKIVS >ONH99753 pep chromosome:Prunus_persica_NCBIv2:G6:3447758:3448414:1 gene:PRUPE_6G048100 transcript:ONH99753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPIPISSQQSSHNPQSSFTIPIPSLRPILTRLSGLSGLSRHALSNSRPWTELIDRTAFSRPGSLSEAASRVRKNAAYFRVNYLIVLAVVLAYSLISHPFSLLTLVTLSGAWIFLYVLRPSDQPLVIFGRTFSDTQALFGLGLATLIAILVTSVLSLILTAVMVGVVIVCAHGAFRDPEDLFLDDQQPLASGFTSIFGGGDPSFGSASASVMSRV >ONI03901 pep chromosome:Prunus_persica_NCBIv2:G6:26759405:26760819:1 gene:PRUPE_6G289700 transcript:ONI03901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWSEDDFLHAESSHLDGDQVATVSDGVSDLQDLQRRIKAIEKAMVEKERHFSANQVEKKFGDGVGNTMKKREISGSGNEILTKYIILD >ONI03902 pep chromosome:Prunus_persica_NCBIv2:G6:26759385:26761139:1 gene:PRUPE_6G289700 transcript:ONI03902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWSEDDFLHAESSHLDGDQVATVSDGVSDLQDLQRRIKAIEKAMVEKERHFSANQVEKKFGDGVGNTMKKREISGSGNEILTKYIILD >ONI01322 pep chromosome:Prunus_persica_NCBIv2:G6:10442794:10443717:1 gene:PRUPE_6G132500 transcript:ONI01322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIHQLHLELIQAQQRRLKGASIKERSCSLVPRVVPSSIVADLCLCHAIVEFIMFFWHEPMWFFLLR >ONI01327 pep chromosome:Prunus_persica_NCBIv2:G6:10462717:10463346:1 gene:PRUPE_6G133000 transcript:ONI01327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTSSLKFFSLLICSIAIVQMATAGDPDIITDFIAPSNGTVDGNFFTYTGFRVLVGGGPPPTAFNVLKATLAEFPSLNGQSVSYAVLQFPSGTTNPPHTHPRSAELLFLLGGTLEVGFVDTKNNLFTQTLQAGDLFVFPKGLAHFQYNADSQNPALAISAFGSANAGTVSIPSTLFATGIDDNVLAISFKTDVATIQKLKAGLAAKP >ONI03362 pep chromosome:Prunus_persica_NCBIv2:G6:24695916:24699483:1 gene:PRUPE_6G253200 transcript:ONI03362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNYSGDSLGGFFQKHSSAESSVSASLNNAAAFGSARRSITFDHDDTGTASVETNAPKKKRVSRMTGGGLRQFSAKVCDLLESKGRTTYAELADYIMSELAGNDSETATSLSEFNDKNIPRRVYDALNVLEALDIITRVKKEIKWNGIPDRKMDLEGMKAECVRMMNRIGRKTAYLKDLEEQFADLQNLMFCNKELLKSGNTHPGGFSLPFILVQTSRDAAVEIEISEDKHMVHFDFNSAPFFLHDDTYILKLLRRHQRPERTNVSQNSSVHPPSPCPSIVSGGAGPFYWNSGTETPN >ONI03363 pep chromosome:Prunus_persica_NCBIv2:G6:24695916:24698663:1 gene:PRUPE_6G253200 transcript:ONI03363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNYSGDSLGGFFQKHSSAESSVSASLNNAAAFGSARRSITFDHDDTGTASVETNAPKKKRVSRMTGGGLRQFSAKVCDLLESKGRTTYAELADYIMSELAGNDSETATSLSEFNDKNIPRRVYDALNVLEALDIITRVKKEIKWNGIPDRKMDLEGMKAECVRMMNRIGRKTAYLKDLEEQFADLQNLMFCNKELLKSGNTHPGGFSLPFILVQVYYFVIFCWFA >ONI00228 pep chromosome:Prunus_persica_NCBIv2:G6:5261715:5265189:1 gene:PRUPE_6G076700 transcript:ONI00228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRESSGQNLNPTRSTPDRRPNYPDYRAGTLNSDIPPDPLLRPGSFIDATTRTSNGQNVKEAQKPRRAPEQSKCAEPATSGSGMENPDGGAGRVKRKGFVEPLENWLPQGWSVEERVRASGATAGSTDRYYVDPVSGRRFRSKVEVLYFLETGTIKRKKTTENASGDKTSVEGSGSHKQKKSSTKPKSSALNFDFVNVPENVVWVLTDSSAGSWTPFIGNKEVPESTTQEWAAAFTSATSRKY >ONI01481 pep chromosome:Prunus_persica_NCBIv2:G6:11507409:11508178:1 gene:PRUPE_6G142100 transcript:ONI01481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNALVYDFTSNGSLDKYIFSQQGDVSLSCQKIFEIALGVARGIDYLHQGLDMQILHFDIKPHNILLEEEFYSQADVYSFGMLLMEMAGRRKNLNACIEQSSQFSQIYFPTWVSDQLKAGKDIEIGDDATDEEKKIIKKMMMVALWCTQMKPIERPSMNKVVEMLEGEIESLQMPPRPFLYPQQIPADEVGVDNRSPCASGASESEEITLIADANEVNQY >ONH99853 pep chromosome:Prunus_persica_NCBIv2:G6:3770137:3778321:-1 gene:PRUPE_6G053800 transcript:ONH99853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGASKRTEDGSHGGEEPEEEEYLDQGGGQLYVSLKMENNFKLKKGDLFPHVFGSVPLVGSWDSSKALSMERESASMWELSFVVPPNHETLDFKFLLRPKYSNAPSVVEEGPNRQLIGGALQGDQRLALFRISSDEVLEYRVFIKADRVSPFDLAASWRAYQENLRPSAVRGIPDVSLGTVPEIGAENGSSTSLELDLEHYVVPAPSTSANSGLVYAANMTETPRSLSLTGIFTNADGSTSFSHSFKDSGASVDRHVPLKDMEVVIPDSSKLYSSSGMVESKSMGTFSPFQRQDSHRGLFVDRGVGSPRLVKSSSTSTFSTEPRLDKEKKNSMPEAAGAVAAAAVADQMLGPKEDSHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGANQNADFFRADNREGMEARNEVAALAFDDMVSWMQEGGQVGIFDATNSTSKRRNMLMKLAEGKCKIIFLETLCNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFKSRLAYYEKVYEPVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESKDNVRGRIGGDNPLSDTGEIYAKKLANFVEKRLKSERAASIWTSTLERAILTASPIVGFPKIQWRALDEIYVGVCDGMTYEEIKKNMPEESRMKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEMPLHTIIEIQMGVTGVQEKRYKLMD >ONH99855 pep chromosome:Prunus_persica_NCBIv2:G6:3772002:3778361:-1 gene:PRUPE_6G053800 transcript:ONH99855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGASKRTEDGSHGGEEPEEEEYLDQGGGQLYVSLKMENNFKLKKGDLFPHVFGSVPLVGSWDSSKALSMERESASMWELSFVVPPNHETLDFKFLLRPKYSNAPSVVEEGPNRQLIGGALQGDQRLALFRISSDEVLEYRVFIKADRVSPFDLAASWRAYQENLRPSAVRGIPDVSLGTVPEIGAENGSSTSLELDLEHYVVPAPSTSANSGLVYAANMTETPRSLSLTGIFTNADGSTSFSHSFKDSGASVDRHVPLKDMEVVIPDSSKLYSSSGMVESKSMGTFSPFQRQDSHRGLFVDRGVGSPRLVKSSSTSTFSTEPRLDKEKKNSMPEAAGAVAAAAVADQMLGPKEDSHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGANQNADFFRADNREGMEARNEVAALAFDDMVSWMQEGGQVGIFDATNSTSKRRNMLMKLAEGKCKIIFLETLCNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFKSRLAYYEKVYEPVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESKDNVRGRIGGDNPLSDTGEIYAKKLANFVEKRLKSERAASCTYISYSIMCFGHGVVWLKYKLKICN >ONH99854 pep chromosome:Prunus_persica_NCBIv2:G6:3770137:3778361:-1 gene:PRUPE_6G053800 transcript:ONH99854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGASKRTEDGSHGGEEPEEEEYLDQGGGQLYVSLKMENNFKLKKGDLFPHVFGSVPLVGSWDSSKALSMERESASMWELSFVVPPNHETLDFKFLLRPKYSNAPSVVEEGPNRQLIGGALQGDQRLALFRISSDEVLEYRVFIKADRVSPFDLAASWRAYQENLRPSAVRGIPDVSLGTVPEIGAENGSSTSLELDLEHYVVPAPSTSANSGLVYAANMTETPRSLSLTGIFTNADGSTSFSHSFKDSGASVDRHVPLKDMEVVIPDSSKLYSSSGMVESKSMGTFSPFQRQDSHRGLFVDRGVGSPRLVKSSSTSTFSTEPRLDKEKKNSMPEAAGAVAAAAVADQMLGPKEDSHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGANQNADFFRADNREGMEARNEVAALAFDDMVSWMQEGGQVGIFDATNSTSKRRNMLMKLAEGKCKIIFLETLCNDERIIERNIRLKIQQSPDYAEEPDFEAGLQDFKSRLAYYEKVYEPVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESKDNVRGRIGGDNPLSDTGEIYAKKLANFVEKRLKSERAASIWTSTLERAILTASPIVGFPKIQWRALDEIYVGVCDGMTYEEIKKNMPEEYESRMKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEMPLHTIIEIQMGVTGVQEKRYKLMD >ONI03108 pep chromosome:Prunus_persica_NCBIv2:G6:23877491:23879406:1 gene:PRUPE_6G239300 transcript:ONI03108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNYSFLCLLGAIDRLWFHHTILFSEPMSLFGPKSTVEDQTPQDSIITDSFTYPSSSVSFTPLADEETDFSPLLYEENSSDSPETATPQDDSNNEEEDETNSTDSINHKKKKKIRPTRLNLLANRMLKRSHSSDQQKRPQKNRTSSSASATSSPGYAAAEAAARKLQKSMSCRSLGELELEEVKGFMDLGFTFKREHLSPRMMSLVPGLQRLGMSNNKKLQNGEDDDDSVEVAAEKDEDGEGEVEVTRPYLSEAWLIKRPDSPLLNLRLPRVSAAADMKKHLKYWARTVASEIHQES >ONI00772 pep chromosome:Prunus_persica_NCBIv2:G6:7335499:7342275:-1 gene:PRUPE_6G104400 transcript:ONI00772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQVEVVALACVISLIWIQQSLCDEVSSTSNATDWTCRCSLSYQGNQSYTLEPYCSASCNCSQDAGSSTRWTCICAADQLPKVAADSHDTNCFTACNCTYGSLNAAKSSKKHIPSKVVVIILLVCVILTTLAFLASVACYFCRRNKCPIQPPLFSSDKETSCNSATNLISHKSSSVFETKINMDSPINKGTGCFTSCLFKSKIRATPGAIIQFSYFELESATDKFSNSNLIGLGGSSYVYRGQLKGGRIVAVKRLKAQQGPDSDSVFITEIEMLSRLHHYHVVPLLGYCFEIHGKNAERLLVFEYMNNGNLRDCLDGDEGKNVDWGTRVAIAIGAARGLEYLHEAAAPRILHRDVKSTNILLDENWQAKITDLGMAKRLKADGLPSASSSPARMQGTFGYFAPEYAIVGRASLKSDVFSFGVVLLELITGRKPIHKSSSKGEESLVIWATPRLQDSRRVITELADPDLAGNFPEEEMQIMAFLAKECLLLDPDARPNMSEVVQILSTIVPERSKRRNFPVNLFQHMGTDGHKDAEELRRARSSKGSVRCSLPLDIDRNLCAEKRTDTVSDNYMERLILLTSNARSWRASDDETVDLTEPRFESFCLANAKPL >ONI04053 pep chromosome:Prunus_persica_NCBIv2:G6:27244353:27245676:-1 gene:PRUPE_6G299400 transcript:ONI04053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKREEGIMKKLGKPVPIAAAVVGVLVITAFIITVILSVTVYRGKDPITMVDGVVLRDLHISHKVPKLTVDLNLSVKNPNKEAFRPTKGTALLVYRGAPMGEAVIDTGEIAAGTTKSMTVTLSIMPERLQGNPEIYMEVKAGMVPFNTYTKISGKVKVFNMVKVNVISTGLCGFAVDVKSKTECFGHLRNILALNPQEPDEEFLLSAMEADFKCKCTEEEHSKGAENLTLEDQTMGLLSLEWCLEMEPSKIHLKDWTVRLINHNFQHLELLDIELFL >ONI00074 pep chromosome:Prunus_persica_NCBIv2:G6:4597253:4600656:-1 gene:PRUPE_6G066700 transcript:ONI00074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPKGLFTLRGLGGKNNGYFCLTYVRVETTEIGKTNTRVSNDVKKNDNGDVDLVEEFDSYWQDINYRLTVSRVVSDTVIKGMVQEAAEKIADKELEVTKLKEMLHVYHEDVENEFLASLAISQESNRLVKQGTKDKIHPSSLEAILEYDRIEQSLSSLRGATKEEFKKLEAEIDSIRGSSVKIISELLGLSDILQHKVSDRWGIGVDRTLNCLKSAIETGFQQVEQMVRLSKADVREWQQEQELKAEIEAFVMRNCIWRFEEKIWDRFYSDKNVNGHGRMKQRISGLRQELDAISKSPTSTSSSSSSSSWEENGTHDKDELINYYNTEMTELKRNHESKVQDITEQLFSLGRELLKERGSSLPSKKNKEFDMLRRRISEVISKLDDILVENEPKATFGIDEESLSRLKDRLESLLSENAQLRDLLTDKKREIKCLSLQVSEAAEKMSEHNGGSSSQLFLAEAKERLEQDAAAALEKEKERYELAAQELENVRGEIEHLNMESIIMQEIVVVIFREALKDAEMENLEKGKQLEVEVANKEKLNQKILFLAEAKKRLEQEAAAALEKEKERCELAAQELENLRGESNAAHRNLVEIHKADICKLDQMLLDVNQEKQNAVSLFEAKERKFKQQLKSLVVYSCGLLRAITDFECRVTRDISGNPSRLKSLSSQSRSLKQKAKVLVKRKILYKQGFERKCSDLEKAEAEVDLLGQEVDTLSSLVEKIYMALDHYSPILQHYSGGTCVRG >ONI04517 pep chromosome:Prunus_persica_NCBIv2:G6:28648032:28651269:1 gene:PRUPE_6G325600 transcript:ONI04517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNLKNCVTAMIGCFLFILLVLPSSYAFLCEESTDCHALLKFKEGLRSDPKGHLQTWNKANPFCNWTGITCHPHIQNRVVGLELMDMDLRGGISPFISNISLLITLSLQGNRFYGKIPSSLGGLSELAFLNVSENNLEGNIPGSLHGCQSLKVIDLNYNNLSGIIPKELSWMKNLTYLCLSQNRLTGVLPSSLSNLTELTQLELAVNYFTGKIPPQLGALRKLEILYLHRNFLEGSSQQFRGEVPAELGMLKNLEVLYFHSNYYLGGSSSGNSSLSFLTALTNCSVLKKLHFGSCSFKENIPSSVGGLSKDLFYFNLLDNYIMGSIPDSIGNLSSLVTLYLSYNLLKGKIPPSFGKLGNLQRLYLERNRILGPIPDDLGNMRNLGVLNLGKNSIGGLIPPSLANLSQLRYLDLSRNHLSGKIPVELTQCSLMMLLDLSFNSLQGSVPAEVGLLSNLALSLNLSNNHFEGQVPASIGKLVSLQAIDFSKNKFSGVIPSLIGSCISLVYLNLSNNMIEGTIPESLKLISHLEVLDLSHNRINGTFPIWIANQQMIRTLNLSYNRLSGEVPYTGRFVFLNRSSFLGHVVLCGGSALIGLPPCEVQNIKRRVKNWVIYSMVAAVAVSCVLIAFFVHRFFLRKEDSKSAHVMLMEFPEHHGSQTFTQRELEIATFGFNEAYLLGRGTFGSVYKGIIDNGKITVAVKVLHSDCSQSFKSFKRECQILSEIKHRNLVRMVGYPWNRGFKALILDYIGNGNLGQHLYPGGLEEGACGLTLRERMSIAVDIANGLDYLQEGCQVQVLHCDIKPENILIDNDMVAHVADFGIGKLISADKLKEHVSTTGFLLGWIGYIPPEYGQGIEVSAKGDVYSFGVMLLEMITRRRPTSNMFSDGLDLRKWILDVVDTTLKQDAYLEGTHGALEELEQCSIQMLDVGMMSREDNPHKRPPMSSVVKSLKKCLQISGI >ONI04627 pep chromosome:Prunus_persica_NCBIv2:G6:28875425:28879870:1 gene:PRUPE_6G331000 transcript:ONI04627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHAPFALLPMPFPESHWKQACELAPIFNELVDRVSLDAKFLQDSLSRTKKVDAFTSRLLDIHSKMLEINKKEDIRLGLHRSDYMLDEQTKLLLQIETNTISCSFPGLGCLVSDLHRSLLNHYGEFLGLDSKRVPNNPAASQFVEALANAWTEYNNPRAVVMLVVQADERNMYDQHWLSALLKEIHNVSTLRKTLAEIDAEGELLPDGTLVVGGQAIAVVYFRAGYTPNDYPSESEWRARLLMEQSSAIKCPSISYHLAGTKKIQQELVKPNVLERFVDNKEDIAKLRKCFAGLWSLDDSDIVKDAIERPGLYVMKPQREGGGNNIYGNDVKEALMRLQKEGSEADAAYILMQRIFPTVSRTFLMRNGICYKDDTVSELGIYGAYLRNKERVILNDQCGYLMRTKAASSDEGGVAAGFAVLDSLYLT >ONI04628 pep chromosome:Prunus_persica_NCBIv2:G6:28874803:28879870:1 gene:PRUPE_6G331000 transcript:ONI04628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEQTKLLLQIETNTISCSFPGLGCLVSDLHRSLLNHYGEFLGLDSKRVPNNPAASQFVEALANAWTEYNNPRAVVMLVVQADERNMYDQHWLSALLKEIHNVSTLRKTLAEIDAEGELLPDGTLVVGGQAIAVVYFRAGYTPNDYPSESEWRARLLMEQSSAIKCPSISYHLAGTKKIQQELVKPNVLERFVDNKEDIAKLRKCFAGLWSLDDSDIVKDAIERPGLYVMKPQREGGGNNIYGNDVKEALMRLQKEGSEADAAYILMQRIFPTVSRTFLMRNGICYKDDTVSELGIYGAYLRNKERVILNDQCGYLMRTKAASSDEGGVAAGFAVLDSLYLT >ONI04626 pep chromosome:Prunus_persica_NCBIv2:G6:28874714:28879895:1 gene:PRUPE_6G331000 transcript:ONI04626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASYSSCSHSSTLSTHTLLHSHPKATAFFHIRQSGLPNPSFKLNPTNQIFQTHLRIMAQPSQVLPLQGGKVEVGETQEGSGTRPLLDFHGIDQDLVEKIVYDALVWSSLHGLVVGDKSVQRSGKVPGVGMVHAPFALLPMPFPESHWKQACELAPIFNELVDRVSLDAKFLQDSLSRTKKVDAFTSRLLDIHSKMLEINKKEDIRLGLHRSDYMLDEQTKLLLQIETNTISCSFPGLGCLVSDLHRSLLNHYGEFLGLDSKRVPNNPAASQFVEALANAWTEYNNPRAVVMLVVQADERNMYDQHWLSALLKEIHNVSTLRKTLAEIDAEGELLPDGTLVVGGQAIAVVYFRAGYTPNDYPSESEWRARLLMEQSSAIKCPSISYHLAGTKKIQQELVKPNVLERFVDNKEDIAKLRKCFAGLWSLDDSDIVKDAIERPGLYVMKPQREGGGNNIYGNDVKEALMRLQKEGSEADAAYILMQRIFPTVSRTFLMRNGICYKDDTVSELGIYGAYLRNKERVILNDQCGYLMRTKAASSDEGGVAAGFAVLDSLYLT >ONI01980 pep chromosome:Prunus_persica_NCBIv2:G6:17573312:17573861:-1 gene:PRUPE_6G170500 transcript:ONI01980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTGYQASAIRIFVIATMMILSGLATLAPAPSNLKFLEECKSRLHNGCGKEIVVTIIKKWSISDGCCAKLVLMGKSCHIALVNKALSGPLAKLNKTVALTKSAEIWTQYIKRFSQYLSPATSPSLEE >ONI00655 pep chromosome:Prunus_persica_NCBIv2:G6:7020737:7021190:1 gene:PRUPE_6G100800 transcript:ONI00655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYINVSVMAKQKKERECFYHHIISKKWALEQVFLEFSVRASSFSATARKQALRVNSPWRTLKRHKIHLDENSSVLGCTLYGLVCHYQSYSQDWAEDQRSPFWVRTLSFPS >ONI03852 pep chromosome:Prunus_persica_NCBIv2:G6:26503630:26506039:-1 gene:PRUPE_6G286000 transcript:ONI03852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSFTHLLTSNMNNMDSNIDQERTNWGLSDYSSGSLMDRNGNEIPNFKSLQPPSLPMSPPPVSPSSYLASTPAFSPTDFFSSPMFLSSSNTLQSPTSGAFSSQIFDWMSNSKETQQGMEREQKMFSDFSFQPETRPAATSSSTFNIQASSNMASEESLKTGQKPWDFNRISRQADSLTEKTGVKSEFEPLQSIFPEIGTNQTNMQSNGPSGAPKPDTIHCTQSSQFVREQKSDDGFNWRKYGQKQVKGSENPRSYYKCTYPNCSTKKKVERSLDGHITQIVYKGSHNHPKPQSTRRSTSQSIQGSSYGISDQSVPTISNPKIESVTMQEDSSASIGEDEFEQNSPISNSGGAEDENEPEAKRWKGENANDQPFSAPGSRIVKEPRIVVQTTSEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSVGCPVRKHVERASHDTRAVITTYEGKHNHDVPAARGSGSYSNANRPASDNGSNNNSNVSMAVRPLALPNHSNLSYLNSLQNTRQPTTERQSPYTLKMLQSEGSYGFPEF >ONI01465 pep chromosome:Prunus_persica_NCBIv2:G6:11422648:11423685:1 gene:PRUPE_6G141000 transcript:ONI01465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSFTAQLALKRHLTPHLAHHSQSHHPINPSCQTHSSISAQPNNLSTTPHSLASNSSTAKKSFAFLGAGLKSVIKHRQRDLGDVGGWILGKGGAVYACGEGRRWRDLGQWRER >ONH99239 pep chromosome:Prunus_persica_NCBIv2:G6:1564105:1566175:-1 gene:PRUPE_6G019800 transcript:ONH99239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFNNPKLSLKQALDDANRIDYYYRHLCYLQAAIKEGANVQGYFAWSLLDNFEWRDGYTIWFGINYIDYDNGLERHSKLSTH >ONI02904 pep chromosome:Prunus_persica_NCBIv2:G6:23205826:23210633:1 gene:PRUPE_6G228100 transcript:ONI02904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLHNHKIHIPLFSLLFFLFHFSSLHFLSLAYEQPDKYFVNCGSKDDANLNGRVFTADSSSVSLCSDSEKSRAIESTNQSRNLYQTARIFYNQSHYEFTIAENGTYIVRLHFLTFSSSVNLSSALFDVLAFPNVSNSGFKLLSNFTAKNSSNSPLIKEFFLGIDPGTFKIYFVPQASSFAFVNAIEVFLAPASFSPENYNSSLPLVLHTIYRLNVGGQKFTPDNDKIWRNWDPDHIYLSNSNSAEDFRPSSSSTLFRQYSVESHGFVAADSDFIAPDLVYLSAKVMTSSTKGRSNPFNITWSFNVSADARHLVRVHFCDIIGPPGNLVFNLYSNGNFIKKVGGPAFRFNSLYSPFYYDFVLSSNGSEFINISIGPSIDTTTNNSFLNGLEMLEIIEGPALSNPPASVCNMKGRNKIKVGLVVGSVIGGLSLICILIVGILFGLKYRKAKRVETSEWSPMPAVGDHSWLTDGNITGSPMNYLNLGLKISFAELQQATNNFDTKLLIGEGGFGNVYRGTLLNGRNVAVKRGKRDEKGSGQGLPEFQTEIMVLSKIRHRHLVSLIGYCEERSEMILVYEFMEKGSLRDHLYDSNLPRLSWKQRLEICIGAARGLHYLHKGAAGGIIHRDVKSTNILLDENHVAKVADFGLSRSGPLDETHVSTNVKGTFGYLDPEYIMSQQLTEKSDVYSFGVVLLEVLCARPAIDRMLPRDQMNLAEWGMLCKKKGLLEQIVDSSIKNQIDPSSLRKFSETAEKCLQEDANDRPTMGDVLWDLEYAFQLQQTVKHREPHEDSTANASSAFVLPNIPCFPSVSSTINTDDLALPRDDELDTTEVEVFSQLRVGDAR >ONI03865 pep chromosome:Prunus_persica_NCBIv2:G6:26553169:26553908:1 gene:PRUPE_6G286900 transcript:ONI03865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNAIVEALYKALAQGHTEAVAKLLASDLEWWFHGPPQSQHMMRVLTGEASHTDFRFEPRSITEVGDCVIVEGWEGAKAYWVHVWTLKEGLITHFREYFNTWLTVRDLKPQGWENFTVWQSMPRDLFHRSLPSILLAI >ONI02287 pep chromosome:Prunus_persica_NCBIv2:G6:19644304:19644570:-1 gene:PRUPE_6G188200 transcript:ONI02287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEFNKDPFVRFIYAMNEEHRKDLDCKMTQNSAVNFCHVLAMENLARAILLVGFRDRHLIVLVVVDLLPGNMKSFFFFKTNKLRLAT >ONI03807 pep chromosome:Prunus_persica_NCBIv2:G6:26380923:26381830:1 gene:PRUPE_6G283500 transcript:ONI03807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVIPESESLLLPLNLSPKGGGGAKAEEGHQEANGSEGRETGGEDREVEKEKASMNGGDEGKDEEKGGGLITHLMSIVSPKNTPKAGEVAKRKVEFEVEDDIGNGGFSDKSKPEQDVASGSENNGGGVISNLISNFFNQGDGGGRGENDEVDKAEKVMKDVGNKRMKMVEEEKGKVEGGGGGGGGIIDNFVSHLPTSLPDDAAPSTDEASILITSIVRD >ONI00847 pep chromosome:Prunus_persica_NCBIv2:G6:7621563:7622459:1 gene:PRUPE_6G107100 transcript:ONI00847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNIHVQPSPSQVEHVGWITKVEIAAPCSMGVLQRETQLFNWGFSEVSLSLHLYKSIWPWKTLNLESLVTSSRCSFYIPSSSAWWERM >ONI04194 pep chromosome:Prunus_persica_NCBIv2:G6:27731474:27733076:-1 gene:PRUPE_6G307900 transcript:ONI04194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVQGLGWADQSVGLILTLSGAVLLIILSSSVDGQLCSTRPVHIFNFGDSNSDTGGLAAGLGYSVNPPNGRTFFGSSTGRLSDGRLVIDLLCQSLNVSLLSPYLDSLAGSNFSNGANFAVVGSSTIPKRVPFSLNIQVMQFIHFKAIAAAGSKHWINDEGFRKALYMIDIGQNDLSDSFTKGLSYVQVTETIPSVIEEIKSAVKALYDQGGRNFWIHNTGPLGCLPQKLSLVEKKDLDPYGCLSSYNAAARSFNEALLDLCKAMRSELKDAVIVYVDIYAIKYDLIANSTKYGFSTPLMACCGNGGPPYNFNVRGLCGQPGSHVCDEGSRFVSWDGIHYTEAANTIVASKILSTNYSTPRIPFDFFCCS >ONI05042 pep chromosome:Prunus_persica_NCBIv2:G6:30041428:30044112:1 gene:PRUPE_6G353000 transcript:ONI05042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTFLQPLSNLSSPTTLVSLKNPHHIPSFTSLNPSIIPKTRPFKSFTASFSLAESNSPKSLQPNLQPFLQELADSFDLPQDYFAQLPSDLRLDLNDAAFDLSNGRVIDECGQELGETLLNLSRAWEAADTSTSHALARQLPGLEESLTGNAKSAFGKRLVSAGRRFQSMGQYGQGELQKIAKVMITTGRVLSESSTSTVTDEQPKKESRMLKFGELQLELTSDKAIIGAIISVGFGILSWELAQGIQNIPESSLQYANENALMLAKSLRGALLAVCYSSAFLSALTSVGLVLLGRQLKSSKEK >ONI05043 pep chromosome:Prunus_persica_NCBIv2:G6:30041379:30044112:1 gene:PRUPE_6G353000 transcript:ONI05043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTFLQPLSNLSSPTTLVSLKNPHHIPSFTSLNPSIIPKTRPFKSFTASFSLAESNSPKSLQPNLQPFLQELADSFDLPQDYFAQLPSDLRLDLNDAAFDLSNGRVIDECGQELGETLLNLSRAWEAADTSTSHALARQLPGLEESLTGNAKSAFGKRLVSAGRRFQSMGQYGQGELQKFGELQLELTSDKAIIGAIISVGFGILSWELAQGIQNIPESSLQYANENALMLAKSLRGALLAVCYSSAFLSALTSVGLVLLGRQLKSSKEK >ONI01323 pep chromosome:Prunus_persica_NCBIv2:G6:10449960:10450517:-1 gene:PRUPE_6G132600 transcript:ONI01323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKLDWSWSSAIIGAASALATTALISSKPKDPTFDVVSIKLTSFKLNFPLLDAELILTVQVTNPNVVPIQYSSTTMSIFYKGALLGSADVEAGSQPAKSSQLLQLPARLDGLQLAHHAASFIADAARREMVLDAAVDIAGTARVLWWDHKFKVHVDSHITVDPVFLEVIDQENKSKMDISLTGV >ONI01899 pep chromosome:Prunus_persica_NCBIv2:G6:16720210:16721127:-1 gene:PRUPE_6G166000 transcript:ONI01899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPFKHLLLVAFILLCFISITAEGRSLGVVSKSADDGHQKSHDLHDPFKQKEEDHEGLLPDESNDLLAMDYTPASKKPPIHN >ONI05115 pep chromosome:Prunus_persica_NCBIv2:G6:30254733:30258562:1 gene:PRUPE_6G356800 transcript:ONI05115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHHNGVPLPFIFSLVGLFLLALHVNMSSAAERSTYIVHMDKSLMPKSYASHQHWYSSIVDSFKAQIPTSSDGHKISPSLLYTYDNAFHGFSAVLSAEELETLKNSPGFVSAYSDKSITLDTTHTTQFLSLNPSTGLWPASNYGEDIIIGVIDTGVWPESKSFRDDGMTKKLPARWKGTCEVGQEFNSSLCTFKLIGARYFNKGVMAANPGVTLSMNSARDSQGHGTHTSSTAAGNYVDDASYFGYAKGTARGVAPRSRLAIYKVIWDEGRYASDVLAGMDQAIADGVDVISISSGFDSVPLYEDPVAIASFAAMEKGVVVSTSAGNEGPSLGTLHNGIPWVLTVAAGTVDRSFGGTLTLGNGLTITGFTLFPTNAIVENFPLVYNKTFSACNSTELLSSAPDAIIICDDTWPIRSQLFLIIQSKVLGAVFISSDPEILELGYVASPSVVVNPKDAPPVIKYAKKSAKPTVSIKFQQTLIGTKPAPAAAFYTSRGPSPSYPSILKPDIMAPGSLVLASWAPKVPAGRIGFNVYLPSDYNLISGTSMSCPHASGVAALLKGAHPEWSAAAIRSALMTTANPLDNTNNPIRDDGDNFNFASPLAMGAGQIDPNRALEPGLIYDATPQDYVNLLCSTNFTRKQILAITRSYAYDCSNPSCDLNYPSFIALYNDHHKTKTKVQKFQRTVTNVGDGAARYKAAVIAPKGSKVTVSPEILIFGETYEKQSFTVTIKYKAKKKGTVSSGALVWIEQNGKYTPNVMASGSLVLAAWIPNDTVGQIGQNVFLSNEYHMVVSGTPIQGRS >ONI03888 pep chromosome:Prunus_persica_NCBIv2:G6:26685623:26689448:-1 gene:PRUPE_6G288600 transcript:ONI03888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLIGRRVLSGTAQSLLRQRCFSQVAQSEVLPDNGVATPKMPLFDYTPPPYTGPTADEILAQRKEYLSPSMFYFYNKPLNIVDGKRQYLFDESGRRYLDGFGGIATVSCGHCHPDVVEAIVNQTKRIQHSTILYLNHAIGDFAQALASKLPANLKVVFFTNSGTEANELAMLIARLYTGSHDIISLRNAYHGNAAGTMGATAQGNWKFNVIQSGVHHAVNPDPYRGVFGADGEKYANDVQDLIDFGTSGHVAGFMCEAIQGVGGIVELAQGYLPAVYNSVKKAGGLFIADEVQCGFARTGSNFWGFEGHGVVPDIVTMAKGIGNGIPLGAVVTTPEVAEVLTRRNYFNTFGGNPVCTAAGLAVLKVIEKEKLQDNAFVVGSYLKERLNALKDKYELIGDVRGRGLMLGVELVTDRELKTPAKAETVHIMEKMKDLGVLIGKGGFYGNVFRITPPLCFTKDDADFLVDAMDYTISKI >ONI03887 pep chromosome:Prunus_persica_NCBIv2:G6:26685340:26689817:-1 gene:PRUPE_6G288600 transcript:ONI03887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLIGRRVLSGTAQSLLRQRCFSQVAQSEVLPDNGVATPKMPLFDYTPPPYTGPTADEILAQRKEYLSPSMFYFYNKPLNIVDGKRQYLFDESGRRYLDGFGGIATVSCGHCHPDVVEAIVNQTKRIQHSTILYLNHAIGDFAQALASKLPANLKVVFFTNSGTEANELAMLIARLYTGSHDIISLRNAYHGNAAGTMGATAQGNWKFNVIQSGVHHAVNPDPYRGVFGADGEKYANDVQDLIDFGTSGHVAGFMCEAIQGVGGIVELAQGYLPAVYNSVKKAGGLFIADEVQCGFARTGSNFWGFEGHGVVPDIVTMAKGIGNGIPLGAVVTTPEVAEVLTRRNYFNTFGGNPVCTAAGLAVLKVIEKEKLQDNAFVVGSYLKERLNALKDKYEHLGVLIGKGGFYGNVFRITPPLCFTKDDADFLVDAMDYTISKI >ONI01685 pep chromosome:Prunus_persica_NCBIv2:G6:13358789:13363452:-1 gene:PRUPE_6G153600 transcript:ONI01685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAVLHVISTSILGITAVTMANTIAGEETVHKLASLLLVVLGGGYILLFLTGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGMLTLVFHDHDGEGGTTGEHLHRKIIAL >ONI03609 pep chromosome:Prunus_persica_NCBIv2:G6:25593280:25595423:1 gene:PRUPE_6G268800 transcript:ONI03609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFQLLPPYLPLHQLHFSRPSSRSLIAKPSEPLKNQTLKPHYLLKPPKSHKLNPPRASINGEDNGSIESSEPTRRGRKKGHTSSSSSSATSPPKQTKRSEKAQVENGTVETAKPTIQTENPDSELEDYDDGIDFPYDDPPLVCCFGAAQKEFVPTVRVSDNPMHPDIYSQWKMLQWDPPEFVRAPGGSPSNVAISHVRLGGRAAFMGKVGDDDFGDELVLMMNKEKVQTRAVKFDRNAKTACTYMKIKFDDEKMWAETVKESAEDSLLSSELNLAVLKEARIFHFSSEVLTSPSMQLTLLRAMSYSKKFGGLVFFDVNLPLPLWKSRDETRKYMERAWNEADIIEVSRQELEFLLDEDYYVRKRNYRPQYYAEEFGQTKKRRDYYHYTPEEISPLWHDGLKFLFVTDGTLRIHYYSPSFHGVVIGTEDVLITPFTCDRTGSGDAVVAAIMRKLTTCPEMYENQDVLERQLRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >ONH99038 pep chromosome:Prunus_persica_NCBIv2:G6:572052:574223:1 gene:PRUPE_6G006800 transcript:ONH99038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSTFSLAAKTYIRESNGIRHRKSMVTAKRAEVITAPKQRSRPVFPELSIQGIPIDDLHVQEIVQRQSQTYSMDREGGRRKPQFCPSFLEEAYERCRNICAEYAKTFYLGTLLMTEERQKAIWAIYVWCRRTDELVDGPNSVYMSSEVLDRWEERLQDIFEGRPYDMLDAALTHTVFSFPLDIKPFRDMIEGMRMDTRKCRYQNFQELYLYCYYVAGTVGLMSVPVMGIAPDSLISAQSTYDAALYLGIGNQLTNILRDVGEDAMRGRVYLPQDELAQFGLGDNDVFSRKVTGQWREFMKEQITRARFYFNLAEEGASQLDKASRWPVWSSLLIYRNILDAIEDNDYDNLTKRAYVRRAKKLLMLPLAYSRSLSTSSLLSQQHKNVRSTKSF >ONH99192 pep chromosome:Prunus_persica_NCBIv2:G6:1204105:1204940:1 gene:PRUPE_6G016800 transcript:ONH99192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSQARLSGSPNFERCHRHELPRHSIKYEAVPNTSLEISEVIRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRKATP >ONI02475 pep chromosome:Prunus_persica_NCBIv2:G6:20874694:20877405:1 gene:PRUPE_6G200900 transcript:ONI02475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATDLFIGKFVAILESEAASIAGVRDQVDEIKQELVFMKSFLDDADGGEQAHTQVQKAWVASVRDLANDVENTIDEFMYHVYEQRNGGRFARWIHKTIHFPKHLWYKRKIANKLQKIATAIRAITERNQRYGGGAAVEGKSTSSEDIRRWVQNQGESSIYHKEDELVGIEGDKNLLMGWLMNEEQRQTVVSVVGMGGSGKTTLVARTFKDEIVQRHFECYAWITVSQSYVIEDLLRRLIKEFHKAKKEEVPADMNAMSYNELLEILMNCLGTKRYLVVLDDVWDVHLWEKIRFSFPDKQLESRVMLTTRREDIASSSFGVESHVHKIQPLERSDAWELFSMKAFSSYQNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLAEWSTVYNSLNWHLTNNSLLEPMKMRILLFSFNDLPYRLKQCFLYCSLFPEDHVIINIKLIKLWIAEGFVEHVEGVTPEEVAKSYLMELIFRNMLQERFVASRPACKMHDVMREIALSKAKKEKFCTVHDGSETVEETGALRLSIQTTNGEIGSCTGISRLRSFLVFATGVSSFSFSNELPFDLKLLKALDLEDVPIDNLPDRVTCLFNLKYLNLDGTLIKELPESIGQLRNLQALNVMDTNIEALPRGISKLLNLRHLVAGRFISRKIIGVRIPSSIGKMKKLQSLAYIESEGNIIRLIGSMTQLTFLGITNVKERDEEDLCASIQEMKVLSRLLLFGADGEEFLRVDALSSPPPYLDRLDLIGKLGKVPHWFCSLHSLTIMNLTQSALEEDLLPHIEALPSLRSLSLDNASVRKELCFNRGFVKLRQLWFWNLALLNKITIEKGAMPNLELLSIDGCLTLETLPQGIEHLAKLQGYRFYNVSEKFRESINEGGVDHPRMLLVVERCKKYT >ONI02149 pep chromosome:Prunus_persica_NCBIv2:G6:18546267:18547637:-1 gene:PRUPE_6G180100 transcript:ONI02149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIGRAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI04642 pep chromosome:Prunus_persica_NCBIv2:G6:28929671:28931777:-1 gene:PRUPE_6G332200 transcript:ONI04642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGCSSLASFTSFGSCVASGHEIPHRKLSNAPCPLDIDAFRKIIREGDQPLLFLDVQTECQFMLEGIRLLRSEYLRTTGYFSPPSNAAEACWHAYESLSNESLPGFGVQSTCGFKASLMTGGCMNITTRSQFESLISQSELQGIQDSCNQSLENNSTCDSCKQRLWRVSAANFGRPVDGNESDCNSYPFMYAAAFASRYGPTNLGTVKCLFSLDFNAFNVNTKRIKHTKVMHRAVLVGCVSGFFVAVLVVWVLWRRHKKYRGRKRNMESTEMGFASAMEIIGENTSLVKFPLEEIKKATKNFSRENIIGMGGYGNVYKGILADGSEVALKRFKNFSAGGDEAFAHEVEVIASIRHVNLVTVRGYCTVAVPLQGHQRIIVCELVHNGSLYDHLFDSRMKKLSWPIRQKIASGIARGLAYLHYGVEPAIIHRDVKASNILLDQTFEPKLADFGFAKFTPEGFTHLSTRVAGTLGYVAPEYAMYGQLSERSDVYSYGVVLLELLSGKKAVAEADGTGILLLADWAWSQVREGNPLDVVDESMPELGFAEVMERHVLVAALCSHPVAYARPTMDQVVKMLESDFPLPSIPDCPLVDEMVKIDQPASFSGSRYISSPEGQQPCSYESDHPIPSDLEKVGSSQKHM >ONI02813 pep chromosome:Prunus_persica_NCBIv2:G6:22800063:22806078:-1 gene:PRUPE_6G223000 transcript:ONI02813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTPRLVVPIDLNNKPWQQKLPLHNRWHPHIPPVAEVKTGEVFRVEMVDWTGGSIKDDDSALDIKSIDLSTVHYLSGPIRVLDKDGTPAKPGDLLAVEICNLGPLPGDEWGFTATFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSMELLNIWNERERELEENGLNSMKLCEVLHQRPLANLPSTKGCVLGGIKEGTPEWEKIALEAARTIPGRENGGNCDIKNLSSGSKIYLPVFIEGANLSTGDMHFSQGDGEISFCGAIEMSGFLELKCEIIRDGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHYLDATVAYKRAVLNAIDYLSKFGYSKEQSYLLLSCCPCEGRISGIVDSPNAIATLAIPTAIFDQDIRPRANKVPVGPRIVRKPDVLKCTYDGSLATTRNPSSAT >ONH99451 pep chromosome:Prunus_persica_NCBIv2:G6:2355685:2356472:-1 gene:PRUPE_6G030100 transcript:ONH99451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFYLTNGSLSSVLHGAGKGGADWEATYDVVLGMAPALAHLHHDCVPAILHGDVKAMNVLLGPGYEPYLADFGFAGIVNGIEHASMQRITEKSDVYIFGVVLLEVLTGRHPLDPTLPGNLARKRYLVDILDQKLRGRADPTMHEMLQALAVAFLCISTRADDRPMMKDVVAMLTEIRHLETARGEPELLKEGGLQ >ONI02619 pep chromosome:Prunus_persica_NCBIv2:G6:21913183:21917787:-1 gene:PRUPE_6G210800 transcript:ONI02619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVRLVHDGPLCALKILTRQNLNHKFTISPADLHLSFAASPVSTADVLKAFVTLGTSNFSRSKETNMGREKTTYLEFEARKDKKKLKEKRKKDEADRNSSLIEASKDKMGELVQVQKFDKNGGEGVKKKKKRKREMKVADSELKSNLTTEQVLIKDCDKSNGKVMEEVDFADKEKKRKKLFEDDNMDESAKRFNCSKDRDVAELNKVEVDKKKKKKKERREKVGGQLVQNITTDGKETEVESEHSDGKIMENDGIMKKEKRKHTKNTRSEMQENVVEKLGTESSRIQENSFLENDGNVRATGDETSNINIDDVNVEDGNKGKKRAKSEKHMKKAKSDKGSLRTQKSVRGPDLSENSTPKKATKRVSFADDVEVFSPSDGPKDEEKGLVRGKRFSKEEDKLVKEAVLTYIEEHGLGEEGIDKILNCGSNPEIRNCWKDIGGFLPWRPYKSIYYRAHILFERAEERNWTPEEYEMVRLAAMEAKAKGEPKPNWRKVGNELGKHRIHVKDAWRRIKLPNMKKGQWSQEEYQTLFDLVNKDLQMRVLEEKKSKHGMLRDNIKWESISETLGSRTNPVCCQKWYYQLTSPLVTEGLWSDTDDYRLLYALDSLDACCMEDVNWDDLLEHRSGDVCRNRWNQMVKHIGRHGTKSFAEQVEILSKRYCADALEAREVFDSKPACG >ONI00969 pep chromosome:Prunus_persica_NCBIv2:G6:8252098:8253212:-1 gene:PRUPE_6G114400 transcript:ONI00969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDPKASDQHQVLSIFHDHQQPPQGLKEKDDNSEPIIRKEGDDCRTPTSRDHKIPTIQSCPTTPRKKGQAFVCKRKLAVFDFFERTGREEVESFFRSCFESPSPRVKKRCTSI >ONI03150 pep chromosome:Prunus_persica_NCBIv2:G6:24009299:24017378:-1 gene:PRUPE_6G241700 transcript:ONI03150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGQKRTEVDDELPADKRACSSLEFRPSSSNSSAQTHMNSMNSTPETNDHDMDTTSSASASSRSEGEHEKDSAYGSCDSDDADPRHSELRDYQRRRSSGDHGKFKRILSSLSEETDPSGQLAVLTELCEVLSFCTEDSLSGMTSDSLSPLLVRLARHETNADIMLLAIRAITYLCDVHPRSSAFLVRHDAVPALCQRLMAIEYLDVAEQCLQALEKMSREQPLACLQAGAIMAVLNYIDFFSTSIQRVALSTVVNICKKLPSECPSPFMEAVPILCNLLQYEDPQLVENVAVCLIKITERVSQSTEMLDELCKHGLIRQVTHFMNLNNRATLSQPICNGLIGLLGKLSSGSVIAFRTLYELNISSTLKDILSTYELSHGMSSSHVVDGHCNQVYEVLKLLNELLPTSAGDQDDPQLSDKESFLVNQPDLLQKFGMDILPLLIQVVNSGANLYICYGCLSVINKSISLSTSDMLVELLQNANISSFLAGVFTRKDPHVLILALRITELILQKLSDYFLDSFIKEGVFFAIDALSTPEKCQLVTLEKCSRLVFPVFSGTQPLFDPSQKSASREVLRCLCYAFATGKSPLVSETGSCMLEKDSVYNLAKHIRTTYFAPELYDPGKALTDVLQKLRKFSAALSDLNTSMNNDALDQHEERFYGIMRQVMEKLGGGEPISTFEFIESGILKSLMTYLSNSQYLRQKGEVSAVNTDIYSVEKRFEVFARLLFSPSDLLSADVPIITLIRKLQNALSSLENFPVILSHMPKLRSSYAAVPYGRRTTYTCIRVRFVKDKGDTYLCDYSEDVLTVDPFSSLHAIQEFLWPKVNAKRTNHIKSATRVKGQSESPPLRSPSNASSSQGGSPHPMDPESMSMDLPELQETVEKLVQCPSDEDTEMEEQCPASCSNEDSSLKLILYLDGQQLEPSLTLYQAILQQQMKEHEIVIGAKLWSQVYTLTYRKAEGQDGTRKECPYSAESSAVSDKVGVYELYTSFFSSMFSCELASDLEKSSPTFDIIYLLKSLESMNKFIFYLMSHQRICAFAEGKINDLDNFQMSVIPVPQNEFVSNKLTEKLEQQMRDALAVSIGGMPLWCNQLMTSCPFLFSFEVKCKYFRLAAFGPLLVQPHSPSYRDSGVASDRRLSSGGMPRKKFLVFRNQILDSAAQMMDLHASHKVLLEVEYNEEVGTGLGPTLEFYTLVSHEFQKSGLGMWREDHGSFISGTTHAEDTGILICPFGLFPRPWSSTLDTSDGIHFSEVMKKFVLLGQIVGKALQDGRVLDLHFSKAFYKLILGQELGLYDIQSFDPELGRTLLEFKALMDRKKFMESVHGRTTFEFDSCFRKTKIEDLCLDFTLPGYPDFVLSSRPDNKMVNVTNLEDYVSFVADATVKAGITRQVEAFKSGFNQVFPIEHLQIFTEEELEHLLCGERDSWAFNELLDHIKFDHGYTVSSPPIVNLLEIIHKFDQEQRRAFLQFVTGAPRLPPGGFASLSPKLTIVRKHSSNCADLDLPSVMTCANYLKLPPYSSKERMKDKLLYAITEGQGSFHLS >ONI03151 pep chromosome:Prunus_persica_NCBIv2:G6:24009187:24017398:-1 gene:PRUPE_6G241700 transcript:ONI03151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGQKRTEVDDELPADKRACSSLEFRPSSSNSSAQTHMNSMNSTPETNDHDMDTTSSASASSRSEGEHEKDSAYGSCDSDDADPRHSELRDYQRRRSSGDHGKFKRILSSLSEETDPSGQLAVLTELCEVLSFCTEDSLSGMTSDSLSPLLVRLARHETNADIMLLAIRAITYLCDVHPRSSAFLVRHDAVPALCQRLMAIEYLDVAEQCLQALEKMSREQPLACLQAGAIMAVLNYIDFFSTSIQRVALSTVVNICKKLPSECPSPFMEAVPILCNLLQYEDPQLVENVAVCLIKITERVSQSTEMLDELCKHGLIRQVTHFMNLNNRATLSQPICNGLIGLLGKLSSGSVIAFRTLYELNISSTLKDILSTYELSHGMSSSHVVDGHCNQVYEVLKLLNELLPTSAGDQDDPQLSDKESFLVNQPDLLQKFGMDILPLLIQVVNSGANLYICYGCLSVINKSISLSTSDMLVELLQNANISSFLAGVFTRKDPHVLILALRITELILQKLSDYFLDSFIKEGVFFAIDALSTPEKCQLVTLEKCSRLVFPVFSGTQPLFDPSQKSASREVLRCLCYAFATGKSPLVSETGSCMLEKDSVYNLAKHIRTTYFAPELYDPGKALTDVLQKLRKFSAALSDLNTSMNNDALDQHEERFYGIMRQVMEKLGGGEPISTFEFIESGILKSLMTYLSNSQYLRQKGEVSAVNTDIYSVEKRFEVFARLLFSPSDLLSADVPIITLIRKLQNALSSLENFPVILSHMPKLRSSYAAVPYGRRTTYTCIRVRFVKDKGDTYLCDYSEDVLTVDPFSSLHAIQEFLWPKVNAKRTNHIKSATRVKGQSESPPLRSPSNASSSQGGSPHPMDPESMSMDLPELQETVEKLVQCPSDEDTEMEEQCPASCSNEDSSLKLILYLDGQQLEPSLTLYQAILQQQMKEHEIVIGAKLWSQVYTLTYRKAEGQDGTRKECPYSAESSAVSDKVGVYELYTSFFSSMFSCELASDLEKSSPTFDIIYLLKSLESMNKFIFYLMSHQRICAFAEGKINDLDNFQMSVIPVPQNEFVSNKLTEKLEQQMRDALAVSIGGMPLWCNQLMTSCPFLFSFEVKCKYFRLAAFGPLLVQPHSPSYRDSGVASDRRLSSGGMPRKKFLVFRNQILDSAAQMMDLHASHKVLLEVEYNEEVGTGLGPTLEFYTLVSHEFQKSGLGMWREDHGSFISGTTHAEDTGILICPFGLFPRPWSSTLDTSDGIHFSEVMKKFVLLGQIVGKALQDGRVLDLHFSKAFYKLILGQELGLYDIQSFDPELGRTLLEFKALMDRKKFMESVHGRTTFEFDSCFRKTKIEDLCLDFTLPGYPDFVLSSRPDNKMVNVTNLEDYVSFVADATVKAGITRQVEAFKSGFNQVFPIEHLQIFTEEELEHLLCGERDSWAFNELLDHIKFDHGYTVSSPPIVNLLEIIHKFDQEQRRAFLQFVTGAPRLPPGGFASLSPKLTIVRKHSSNCADLDLPSVMTCANYLKLPPYSSKERMKDKLLYAITEGQGSFHLS >ONH99434 pep chromosome:Prunus_persica_NCBIv2:G6:2259268:2260107:-1 gene:PRUPE_6G029200 transcript:ONH99434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPTPFSLICAVLLYDNSLFHLAARAMFALLSPSCILIWSFNFTYQTLTRSKKSFIEILDNEIGAYDRWAARGEDAMLPHVKLEEFRIGSQFWILKRKHVRVVVGDHRLWSKFKLPCQRWDTCYPEENYFPTLLNMREPGGCVPATLMHVDWRGRFDGHPRTYEASDLGPDLITSLRNDRPKYDDEKESDNGSDWSLTKLRDPFLFASKFPPDAIGLLMSMASDVIFKD >ONI04393 pep chromosome:Prunus_persica_NCBIv2:G6:28281722:28282692:-1 gene:PRUPE_6G318900 transcript:ONI04393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVENQDVQEKMHRYAVWAIPPNDVVRRIKKVTEGLRAEFGGPQIEPHITIVGSILLSHERAVEGFTEACESFQQHSFKVGHVATRKFFYQCVSLFIHPSLYANLTEEERIKAQEKVTILNRFELYKTKNEDRDQQS >ONI04833 pep chromosome:Prunus_persica_NCBIv2:G6:29503937:29506892:-1 gene:PRUPE_6G342100 transcript:ONI04833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKAVQIPRVQLGSQGFEVSKLGFGCMGLSGVYNSPVPEEDGISIIKHAFSKGITFFDTADVYGPHLNEILLGKALKQLPREKVQVATKFGITGRRDPPRITVKGTPEYVRSCCEASLNRLGVDYIDLYYQHRVDTTVPIEETVGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITALQIEWSLWTRDIEEELIPVCRELGIGIVPYSPLGRGFFGGRGVVEGVAANSFAANHPRFVGENLNKNKEIYHRIESLAKKHQTSPIQLALSWVLHQGNDVVPIPGTTKIKNLDSNIGSLGVKLKEEDIKEISDAVPINQAAGDSDSGILMQWRWKLANTPPKDSKI >ONI00442 pep chromosome:Prunus_persica_NCBIv2:G6:6103382:6103519:-1 gene:PRUPE_6G089200 transcript:ONI00442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILLLLQKQQPPCEMEGRDMRNSEELSALGVKDEDLILIVCNAA >ONI00441 pep chromosome:Prunus_persica_NCBIv2:G6:6103113:6103927:-1 gene:PRUPE_6G089200 transcript:ONI00441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILLLLQKQQPPCEMEGRDMRNSEELSALGVKDEDLILIVCNAA >ONI00440 pep chromosome:Prunus_persica_NCBIv2:G6:6102406:6103928:-1 gene:PRUPE_6G089200 transcript:ONI00440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISLSVKILLLLQKQQPPCEMEGRDMRNSEELSALGVKDEDLILIVCNAA >ONI04099 pep chromosome:Prunus_persica_NCBIv2:G6:27447570:27449224:-1 gene:PRUPE_6G302700 transcript:ONI04099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSALLVLAFAMVFGNSAADPDLLQDICVADLAAGVKVNGFVCKDAANATAEDFFTAGLAKPGLTNNTFGSLVTAANVLKVPGLNTLGVSLARIDYGPGGINPPHTHPRATEIVFVLEGELDVGFITTANKLISKTIKKGEVFVFPKGLVHFQKNKGKVPAAVIAGFNSQLQGTVNIALTLFAATPDVPDHVLTQTFQVGTKEVEKIKSRLAPKA >ONI01004 pep chromosome:Prunus_persica_NCBIv2:G6:8458320:8463500:-1 gene:PRUPE_6G116100 transcript:ONI01004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPWPLVLHLPLHSSSHSSQLFCSQLRSKTELSAFSPISLKLSVSLSPRPKCFRSATEEDRFSEPETLSDDEESRSNDDVQVNSQHQATTTTKKTETRSGGSLRVTTSDSLSLGIREPVYYVEEVKANGTISTRKINRRQLLKSSGLRPRDIRSIDPSLFLTNSVPNLLVRERAILLNLGSLRAIAMQERVLIFDYNSIGGKAFIEALLPRLNPKNMNGGPSMPFELEVVEAALLSRIQRLEQRLMDVEPRVEKLLEVLPNRLTADILEQLRISKQTLVELGSRAGALRQMLFDLLEDPHEIRRICIMGRNCTLKKGNDDVECAVPLEKQIAEDEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVALGALVAGIFGMNLRSYLEEHVFAFWLTTAGIIVGAVVGFVLMYSYLRTRKIL >ONI01783 pep chromosome:Prunus_persica_NCBIv2:G6:14208162:14210442:1 gene:PRUPE_6G158100 transcript:ONI01783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQVSGVFLRMLIDMNTAKPLAPGFFMPCPVAGKRRIRLKYEGLKDFCMKCGRLGHCRVCQWLVNTKMLSDKWQFDDDLRAPVVTKQSSLLFPEKKATAKPIVRPIDIFDQNHWRRHWEKDGEGLYDSPVGGQHVNASNDSLVNATVKGTSATNRSKQMTQSVMQNQTEGLQSGTSLTVVPQRGVCKL >ONI04892 pep chromosome:Prunus_persica_NCBIv2:G6:29684745:29685429:1 gene:PRUPE_6G346600 transcript:ONI04892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSAYSPSSASLKKRKRDSKAKAGQQKRLNEESERLVRKITEGYEVYEAMNKRRRTMELANSFLRAEKMKLLGYLNDIHLFICKFQETGVDGNPLPKPPLSMLD >ONH99699 pep chromosome:Prunus_persica_NCBIv2:G6:3232499:3234049:-1 gene:PRUPE_6G044500 transcript:ONH99699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRSLLVCIVVVAATIVIPTSAQLSASFYNRVCPQALPAIRRVVKQAIFREPRIGASLLRLHFHDCFVNGCDGSILLDDTSSFTGEKTAFPNANSVRGFDVIDDIKNAVDKACKGSVVSCADILAVAARDSVFLLAGPLYQVQLGRRDARTASLNDANRNLPPPVFSFSQLLSNFQAHGLDLTDLVVLSAAHTIGLARCGTFRARIYNDTNIDPNFAVSARQNCPSSGGDDNTEPLDATTRRFDTVYFKSLLQQKGLLHSDQELYKNNGTDSDKLVLKYSRNPFAFARDFSASMIKMGSIKPLTGNDGEVRLNCRKIN >ONI01553 pep chromosome:Prunus_persica_NCBIv2:G6:12082510:12083042:1 gene:PRUPE_6G146100 transcript:ONI01553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIKLYDQPPLDSTERTSCTSLPCSSGDFDLMLEYPPLALPKYFFLTPRILPILFISHIFQLTILLSVTLLIRVLLYP >ONI03099 pep chromosome:Prunus_persica_NCBIv2:G6:23830614:23832830:1 gene:PRUPE_6G238600 transcript:ONI03099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQQTSDNIQLPAGFRFHPSDEELIVHYLKNKVTSSPLPATIITELDLYKYNPWELPAKASFGEEEWYFFTPRDRKYPNGSRPNRAAGLGYWKATGTDKHIFSSCGTKSIGVKKALVFYTGHPPKGVKTEWIMNEYRLLDTTMWSSKQKGSMRLDDWVLCRVRQKCNGSRSIWEDQNSPPSYKLGAYTKQADEKCSKDTNPSIEMVRNYLYKDCPMLPYIFASPELPYTKTTSSISFLGSGDTKSCTTIHENDSSNKNNGQLLASSLESLINPFKRKPAAEGNGHHQSFVTPSKRICSREYQEEVSTSIGRDGCSMNFWGVDHSGSAENNFNADQWSSMIQYQELSQLIGFQCK >ONI00180 pep chromosome:Prunus_persica_NCBIv2:G6:4977474:4978432:1 gene:PRUPE_6G072900 transcript:ONI00180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGQVSLMEMVEQRLSKDGWPEYYDGKAGRYIGKQARKYQTWSISGYLVAELMIENPANLSLISLEEDKKIAKPRLTRSASF >ONH99996 pep chromosome:Prunus_persica_NCBIv2:G6:4270508:4271179:1 gene:PRUPE_6G061600 transcript:ONH99996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKRYQDVKAGYQLAKSLNAQKYLKKIGLGKEDYYFWKQIGKALLCTYTLFGVAWLYNEKSPLGWWTLKPKPKEERELAHLYERRQFPYPGDEEAMEEFVAKGGMIGTTIGPKGFVETDKDAFNYQKELQNKKLDQEAQKLWLRMKNEVIQELQEKGYVGDAE >ONI00152 pep chromosome:Prunus_persica_NCBIv2:G6:4849054:4852183:1 gene:PRUPE_6G070800 transcript:ONI00152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSSVGTVNRTPMSLNSSGSGSSIPSSAFLGSSLKKVSSRVPYQKIVSAGKLKITAEIGDEEKQTKEDRWRGLAYDISDDQQDITRGKGLVDSIFQAPQGSGTHYAVMSSYEYISTGLREYNYDNTMDGFYIAPAFMDKLVVHITKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFKPDNVSADDVVKIVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVDGIGKKLVNSKEGPPTFEQPKMTVEKLLHYGQMLVQEQDNVKRVQLADKYLSDAALGDANQDAIKRGEFYGKAAQQIKVPVPEGCTDPSAANFDPTARSDNGSCQYE >ONI00153 pep chromosome:Prunus_persica_NCBIv2:G6:4849243:4852025:1 gene:PRUPE_6G070800 transcript:ONI00153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSSVGTVNRTPMSLNSSGSGSSIPSSAFLGSSLKKVSSRVPYQKIVSAGKLKITAEIGDEEKQTKEDRWRGLAYDISDDQQDITRGKGLVDSIFQAPQGSGTHYAVMSSYEYISTGLREYNYDNTMDGFYIAPAFMDKLVVHITKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFKPDNVSADDVVKIVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVDGIGKKLVNSKEGPPTFEQPKMTVEKLLHYGQMLVQEQDNVKRVQLADKYLSDAALGDANQDAIKRGEFYGSPTN >ONI00154 pep chromosome:Prunus_persica_NCBIv2:G6:4849243:4851777:1 gene:PRUPE_6G070800 transcript:ONI00154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSSVGTVNRTPMSLNSSGSGSSIPSSAFLGSSLKKVSSRVPYQKIVSAGKLKITAEIGDEEKQTKEDRWRGLAYDISDDQQDITRGKGLVDSIFQAPQGSGTHYAVMSSYEYISTGLREYNYDNTMDGFYIAPAFMDKLVVHITKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFKPDNVSADDVVKIVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVDGIGKKLVNSKEGPPTFEQPKMTVEKLLHYGQMLVQEQDNVKRVQLADKYLSDAALGDANQDAIKRGEFYG >ONI01270 pep chromosome:Prunus_persica_NCBIv2:G6:10234819:10239872:-1 gene:PRUPE_6G131000 transcript:ONI01270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPRSTISTSSKIKFEEPESSSSSEDEEEIEQELADVTFGELQKARANGSHLVHLKPKEEKKGGRVNKNRPMEVSCKKPVTRFREIIQGSKKVVRDPRFESLCGTLDVDGFRKRYDFLFANELPAEREELQKQVKKSKDPEVIEELKKRISWIDKQLKSESAKRTEAAILAEHKQKEREAAKQGKQPFFLKKSEIRKKRLMEKYKQLKGSGKLEAFIEKRRRKNAAKDHRFMPYRRPDNTEQQI >ONH98925 pep chromosome:Prunus_persica_NCBIv2:G6:68981:102857:-1 gene:PRUPE_6G000100 transcript:ONH98925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQSSRLHRLLTLLDTGSTQATRFTAARQIGDIAKSHPQDLSSLLKKVSQYLRSKNWDTRVAAAHAVGAIAENVKHTSLIELFTSIESKMSDAGISGAVEDMVAFPIFDSNVAGTSFRSFDLNKVLEFGALLASGGQEYDLANDHMKNPREKLARQKQTLRRRLGLDICEQFMDVNDMIKDEDLILHSSHGNGINPRVYASHNIHQLVANMVPSVLSKRPSPRELNLLKRKAKINSKDQSKGWSEDGDMEVSCAQNITLKGSCPDSFGTNKEFVDFEHDEENFEHDGVGRWPFHSFVEQLILDMFDPVWEVRHGSVMALREILTHQGASAGVFMPDLNLDSAMFTELENKYKSYTMKRERDIDLNMQVPIDESGPKLKKPKFEDVSSPFIDTVVSASKDGDFDISMQTEDGGCKSPSGQVNGQLHVTSLKVDPKCFLNAMPHPHEQSAETTELKGHSDNKGSFQKMDVLKSLTENSDMLNLVKLARHSWLKNCEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGVVFKYMHPTLVHETLNILLKMQCRPEWEIRHGSLLGIKYLVAVRREMLHNLLDQILPACKAGLEDPDDDVRAVAADALIPTAAAIVALNGQTLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEEMIPKIFEALTLKENLEFDLNELGSIDDTGEGISLQDNPFMLSTLAPRLWPFMRHSITSVRYSAILTLERLLEAGCKRSISEQSSTSFWPSFILGDTLRIVFQNLLLESNDEILKRSERVWRLLVQCPVGDLEIAARSYMSSWIELATTSYGSALDSTKMFWPVALPRKSHFKAAAKMRAVKLENESCRNIGLESAKASIPEEKAGDASTNNVQIVVGADVELSVTHTRVVTAAALGVFASRLQEGSMQYAIDPLTNALTSLSGVQRQVAAMVLISWFKEIKSVGMFENDGVMPGFPHHLKNGMLDLLACSDPAFPTKDSLLPYAELSRTYCKMRCEASQLLKAIQSSGMFQSFLSTSKINLESLSVDSAINFASKLPMLCNDVAENDSVERHIVDGIESAKQQLLTTSGYLKCVQSNLHVTVSSLVAASVVWMSELPARLNPIILPLMAAIKREQEEILQEKAAEALAELISHCISRRPSPNDKLIKNICNLTCLDPSETPQARVICSIDIIDDQDLLSFGRNTGKQKSKVHVLAGSEDRSKVEGFISRRGSELALRHLCEKFGASLFDKLPKLWDCLTEVLKPSSIESLSPADEKKITQAMESVKDPQILINNIQVVRSIAPMLNEDLKLKLFALLPYIFKCVRHSHVAVRLASSRCITSMAKSMSMHVMGAVIENAIPMLGDATSVNARQGAGMLISLLVQGLGVELVPYAPLLVVPLLRCMSDCDQSVRQSVTHSFAALVPLLPLARGLPPPVGLSEGFSRSTEDAKFLEQLLDNSHIDDYKLSTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDIVEHRTLNDSNLPPSLIICPSTLVGHWAYEIEKYIDVSVISTLQYVGSAQERFSLREHFERHNVIVTSYDVVRKDIDYLGKLLWNYCILDEGHIIKNAKSKITISVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTDRQFQATYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRFCDLSPVQLKLYEQFSGSHVRQEISSMVKVNESADTGGHSDSPRASSHVFQALQYLLKLCSHPLLVLGEKVPDSIACLLSELLPGGSDPISELHKPYHSPKLVALQEILEECGIGVDASSSEGSISVGQHRVLIFAQHKAFLDLIERDLFHSHMKSVTYLRLDGSVEPEKRFDIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLIFVEHDWNPMRDHQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQKFKLSVANAVINAENASMKTMNTDQLLDLFATAETSKKGTVSKHPDGKFDGVMKLPGTGKGLKAILGGLEELWDQSQYTEEYNLSQFLAKLDG >ONH99218 pep chromosome:Prunus_persica_NCBIv2:G6:1358355:1364307:-1 gene:PRUPE_6G018600 transcript:ONH99218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGGSSKSQTEPKKRQSKTPRKPKDSVLEQKSPAEFFAENKNIAGFDNPGKCLYTTVRELVENSLDSTESISELPVIEITIEDIEKSKFNSMIGLIDRDRVDEALYDDYETAKAREKRLAKEARAQEIQAKNASVGKKVKEPPASKTMKSRGEASFYKVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKSQNYISFCRLDIDIHRNIPHVHLHEKQDNKDQWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAEFLFKFVSDASDKNVTIRFARRTDVMPPVPLETKHHPSSVDLLLIKRLIEETPKQNLLQFLQHEFVNIRKAYAERLIGELGPEFSPKMPIKSITSQQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATYSGSARVFEGHPFIVEAGVSVGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTALKRINWSGYKINQTQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVKSAIQQCCIQLKTKIVKKIQAREQQERKRSLSMYADNAATAAYNVLEAMEKSQASKKQRYEVKDAEMHKEILTHSTVRKETLRELYEEISAGAITKEMLREKLLQHVEQVDYEMALEYATHSGVSEEPREAIFIQSLEAAEDNFMDLHSPAFVFRLFC >ONH99219 pep chromosome:Prunus_persica_NCBIv2:G6:1358636:1363211:-1 gene:PRUPE_6G018600 transcript:ONH99219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMKILSHVDSYDEGEDIEKSKFNSMIGLIDRDRVDEALYDDYETAKAREKRLAKEARAQEIQAKNASVGKKVKEPPASKTMKSRGEASFYKVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKSQNYISFCRLDIDIHRNIPHVHLHEKQDNKDQWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAEFLFKFVSDASDKNVTIRFARRTDVMPPVPLETKHHPSSVDLLLIKRLIEETPKQNLLQFLQHEFVNIRKAYAERLIGELGPEFSPKMPIKSITSQQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATYSGSARVFEGHPFIVEAGVSVGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTALKRINWSGYKINQTQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVKSAIQQCCIQLKTKIVKKIQAREQQERKRSLSMYADNAATAAYNVLEAMEKSQASKKQRYEVKDAEMHKEILTHSTVRKETLRELYEEISAGAITKEMLREKLLQHVEQVDYEMALEYATHSGVSEEPREAIFIQSLEAAEDNFMDLHSPAFVFRLFC >ONH99217 pep chromosome:Prunus_persica_NCBIv2:G6:1358355:1364249:-1 gene:PRUPE_6G018600 transcript:ONH99217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGGSSKSQTEPKKRQSKTPRKPKDSVLEQKSPAEFFAENKNIAGFDNPGKCLYTTVRELVENSLDSTESISELPVIEITIEDIEKSKFNSMIGLIDRDRVDEALYDDYETAKAREKRLAKEARAQEIQAKNASVGKKVKEPPASKTMKSRGEASFYKVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKSQNYISFCRLDIDIHRNIPHVHLHEKQDNKDQWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAEFLFKFVSDASDKNVTIRFARRTDVMPPVPLETKHHPSSVDLLLIKRLIEETPKQNLLQFLQHEFVNIRKAYAERLIGELGPEFSPKMPIKSITSQQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATYSGSARVFEGHPFIVEAGVSVGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTALKRINWSGYKINQTQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVKSAIQQCCIQLKTKIVKKIQAREQQERKRSLSMYADNAATAAYNVLEAMEKSQASKKQRYEVKDAEMHKEILTHSTVRKETLRELYEEISAGAITKEMLREKLLQHVEQVDYEMALEYATHSGVSEEPREAIFIQSLEAAEDNFMDLHSPAFVFRLFC >ONI02565 pep chromosome:Prunus_persica_NCBIv2:G6:21513268:21515204:-1 gene:PRUPE_6G206600 transcript:ONI02565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAASEAKSPVNAYGWAARDSSGVLSPFHFTRRANSDDDITVKILYCGICHGDIHLVKNKIWPTLYPTVPGHEIVGQVTRTGKNVTKFRVGDIAGVGCIVGSCGSCDNCKQDLENYCPKMLWTFQGQHEDGTRAFGGYSDNMVVEERYAVLIPNGFALAGTAPLLCAGITVYSPLKHFGLSKSTTHLGVVGLGGLGHMAVKFAKAFGVKVTVVSSSPSKQKEAVERLGADSFLVSHDQEQLEAAMGTMDGIIDTVSSPHPLLPLIGLLKTSGKLILVGAPIQPPELPVFPIIMGRKLIAGSAMGGLKETQEMIDFAAKHNITADVEVVPMDYVNTALDRLDKGDVKYRFVIDVAKTINNHDEASP >ONI02246 pep chromosome:Prunus_persica_NCBIv2:G6:19510035:19517567:-1 gene:PRUPE_6G186800 transcript:ONI02246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVFGCIDNSQAKRSRIIELSRRLRHRGPDWSGLHCHGNCYLAHQRLAIVDPASGDQPLYNEDKTVIVTVNGEIYNHKQLREKLKSHQFRTGSDCEVIAHLYEEHGEEFVDMLDGMFSFVLLDTKDNSYIAARDAIGITPLYMGWGLDGSIWFASEMKALSDDCERFISFPPGHIYSSKQGGLRRWYNPPWFLEQTPSSSYDPIVLRKAFEKAVFKRLMTDVPFGVLLSGGLDSSLVAAVACRYLAESEAACQWGSQLHTFCIGLESSPDLKAAREVADYLGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHQETCQKIKALHLYDCLRANKSTSAWGVEARVPFLDKEFINIAMAIDPEWKMIRPDLGRIEKWVLRNAFDDVQKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANKQVTDAMLTHASFIYPENTPTTKEAYYYRTIFEKFFPKNAARSTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGIHSAAYEEAVDAKNKSSLSGSPKKLQGVGEKAAAVV >ONI02247 pep chromosome:Prunus_persica_NCBIv2:G6:19511514:19517819:-1 gene:PRUPE_6G186800 transcript:ONI02247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVFGCIDNSQAKRSRIIELSRRLRHRGPDWSGLHCHGNCYLAHQRLAIVDPASGDQPLYNEDKTVIVTVNGEIYNHKQLREKLKSHQFRTGSDCEVIAHLYEEHGEEFVDMLDGMFSFVLLDTKDNSYIAARDAIGITPLYMGWGLDGSIWFASEMKALSDDCERFISFPPGHIYSSKQGGLRRWYNPPWFLEQTPSSSYDPIVLRKAFEKAVFKRLMTDVPFGVLLSGGLDSSLVAAVACRYLAESEAACQWGSQLHTFCIGLESSPDLKAAREVADYLGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHQETCQKIKALHLYDCLRANKSTSAWGVEARVPFLDKEFINIAMAIDPEWKMCM >ONI02245 pep chromosome:Prunus_persica_NCBIv2:G6:19509534:19517819:-1 gene:PRUPE_6G186800 transcript:ONI02245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVFGCIDNSQAKRSRIIELSRRLRHRGPDWSGLHCHGNCYLAHQRLAIVDPASGDQPLYNEDKTVIVTVNGEIYNHKQLREKLKSHQFRTGSDCEVIAHLYEEHGEEFVDMLDGMFSFVLLDTKDNSYIAARDAIGITPLYMGWGLDGSIWFASEMKALSDDCERFISFPPGHIYSSKQGGLRRWYNPPWFLEQTPSSSYDPIVLRKAFEKAVFKRLMTDVPFGVLLSGGLDSSLVAAVACRYLAESEAACQWGSQLHTFCIGLESSPDLKAAREVADYLGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHQETCQKIKALHLYDCLRANKSTSAWGVEARVPFLDKEFINIAMAIDPEWKMIRPDLGRIEKWVLRNAFDDVQKPYLPKVTDAMLTHASFIYPENTPTTKEAYYYRTIFEKFFPKNAARSTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGIHSAAYEEAVDAKNKSSLSGSPKKLQGVGEKAAAVV >ONI04169 pep chromosome:Prunus_persica_NCBIv2:G6:27643494:27644835:-1 gene:PRUPE_6G306500 transcript:ONI04169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATLKMSLIFFLAMFMVVFMASAAPKVGFYQRSCPSAEAIVRKAVNKAVAKNPGIAAGLIRMHFHDCFVRGCDASILLDSTPGQPSEKEHPANNPSLRGFEVIDEAKAEIEAKCPKTVSCADILAFAARDSALKVGGINYDVPSGRRDGRVSLQDEPTQHLPPPSFNAKQLEDSFARKGLSLDEMVTLSGAHSIGVSHCSSFSDRLYFFNATHPQDPSMDPIFARNLKKKCPRSSNNDRVTVPLDVLTPNRLDNKYYTDLKNHHGLLTSDQTLLTSRSTAGIVRNNARFGAAWANKFAAAMVKMGSIDVLTGRQGEIRNNCKVVN >ONH99706 pep chromosome:Prunus_persica_NCBIv2:G6:3261262:3264941:1 gene:PRUPE_6G045100 transcript:ONH99706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLRLPFSLWLPRLPIQSFRFSKPILPLRLNLIYCSSSISSTAQQTSTHLEKWQSVRKKKVVLRVGYVGSDYRGLQMQRDEHSLSTIEKELETAIFNAGGIRDSNLGNLNKIAWARSSRTDKGVHSLATMISFKMEIPEKAWDGDPYGIALVNHINYYLPHNIKVFSILPAQRSFDPRKECNLRMYSYLLPADVIGIKSHFSSAEIDYHISDFNSILNCFEGEHPFHNYTIRSKYRRKLPVKKSRRHGSVSITGRSTGEASASESEESDVDENFDGTNTPESDAEVLNQNSSPSSNSEGNLRNACEKQGDGGNDQSAGSVIRARWLYEPDERDRISASHFRKIFLCSCGKLEKSLGHDYVELSISGESFMLHQIRKMVGTAVAIKRKLLPRDILTLSLAMFSRIILPLAPSEVLFLRGNRFSIRGRPGNVTRPEMMAMVESGEIIKAVDEFYTSVMLPEVSKFLEPSGYPWKEWVENLDRNTGIPDAELDEVRNAWKVWKEKFDSVAPVLNQ >ONH99708 pep chromosome:Prunus_persica_NCBIv2:G6:3261335:3264815:1 gene:PRUPE_6G045100 transcript:ONH99708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVSAIVISGTLTRLRGPEVAEQIKESFDPRKECNLRMYSYLLPADVIGIKSHFSSAEIDYHISDFNSILNCFEGEHPFHNYTIRSKYRRKLPVKKSRRHGSVSITGRSTGEASASESEESDVDENFDGTNTPESDAEVLNQNSSPSSNSEGNLRNACEKQGDGGNDQSAGSVIRARWLYEPDERDRISASHFRKIFLCSCGKLEKSLGHDYVELSISGESFMLHQIRKMVGTAVAIKRKLLPRDILTLSLAMFSRIILPLAPSEVLFLRGNRFSIRGRPGNVTRPEMMAMVESGEIIKAVDEFYTSVMLPEVSKFLEPSGYPWKEWVENLDRNTGIPDAELDEVRNAWKVWKEKFDSVAPVLNQ >ONH99709 pep chromosome:Prunus_persica_NCBIv2:G6:3261955:3264815:1 gene:PRUPE_6G045100 transcript:ONH99709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVSAIVISGTLTRLRGPEVAEQIKESFDPRKECNLRMYSYLLPADVIGIKSHFSSAEIDYHISDFNSILNCFEGEHPFHNYTIRSKYRRKLPVKKSRRHGSVSITGRSTGEASASESEESDVDENFDGTNTPESDAEVLNQNSSPSSNSEGNLRNACEKQGDGGNDQSAGSVIRARWLYEPDERDRISASHFRKIFLCSCGKLEKSLGHDYVELSISGESFMLHQIRKMVGTAVAIKRKLLPRDILTLSLAMFSRIILPLAPSEVLFLRGNRFSIRGRPGNVTRPEMMAMVESGEIIKAVDEFYTSVMLPEVSKFLEPSGYPWKEWVENLDRNTGIPDAELDEVRNAWKVWKEKFDSVAPVLNQ >ONH99710 pep chromosome:Prunus_persica_NCBIv2:G6:3261955:3264780:1 gene:PRUPE_6G045100 transcript:ONH99710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFKMEIPEKAWDGDPYGIALVNHINYYLPHNIKVFSILPAQRSFDPRKECNLRMYSYLLPADVIGIKSHFSSAEIDYHISDFNSILNCFEGEHPFHNYTIRSKYRRKLPVKKSRRHGSVSITGRSTGEASASESEESDVDENFDGTNTPESDAEVLNQNSSPSSNSEGNLRNACEKQGDGGNDQSAGSVIRARWLYEPDERDRISASHFRKIFLCSCGKLEKSLGHDYVELSISGESFMLHQIRKMVGTAVAIKRKLLPRDILTLSLAMFSRIILPLAPSEVLFLRGNRFSIRGRPGNVTRPEMMAMVESGEIIKAVDEFYTSVMLPEVSKFLEPSGYPWKEWVENLDRNTGIPDAELDEVRNAWKVWKEKFDSVAPVLNQ >ONH99707 pep chromosome:Prunus_persica_NCBIv2:G6:3261348:3264780:1 gene:PRUPE_6G045100 transcript:ONH99707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLRLPFSLWLPRLPIQSFRFSKPILPLRLNLIYCSSSISSTAQQTSTHLEKWQSVRKKKVVLRVGYVGSDYRGLQMQRDEHSLSTIEKELETAIFNAGGIRDSNLGNLNKIAWARSSRTDKGVHSLATMISFKMEIPEKAWDGDPYGIALVNHINYYLPHNIKVFSILPAQRSFDPRKECNLRMYSYLLPADVIGIKSHFSSAEIDYHISDFNSILNCFEGEHPFHNYTIRSKYRRKLPVKKSRRHGSVSITGRSTGEASASESEESDVDENFDGTNTPESDAEVLNQNSSPSSNSEGNLRNACEKQGDGGNDQSAGSVIRARWLYEPDERDRISASHFRKIFLCSCGKLEKSLGHDYVELSISGESFMLHQIRKMVGTAVAIKRKLLPRDILTLSLAMFSRIILPLAPSEVLFLRGNRFSIRGRPGNVTRPEMMAMVESGEIIKAVDEFYTSVMLPEVSKFLEPSGYPWKEWVENLDRNTGIPDAELDEVRNAWKVWKEKFDSVAPVLNQ >ONH99711 pep chromosome:Prunus_persica_NCBIv2:G6:3261262:3264942:1 gene:PRUPE_6G045100 transcript:ONH99711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFKMEIPEKAWDGDPYGIALVNHINYYLPHNIKVFSILPAQRSFDPRKECNLRMYSYLLPADVIGIKSHFSSAEIDYHISDFNSILNCFEGEHPFHNYTIRSKYRRKLPVKKSRRHGSVSITGRSTGEASASESEESDVDENFDGTNTPESDAEVLNQNSSPSSNSEGNLRNACEKQGDGGNDQSAGSVIRARWLYEPDERDRISASHFRKIFLCSCGKLEKSLGHDYVELSISGESFMLHQIRKMVGTAVAIKRKLLPRDILTLSLAMFSRIILPLAPSEVLFLRGNRFSIRGRPGNVTRPEMMAMVESGEIIKAVDEFYTSVMLPEVSKFLEPSGYPWKEWVENLDRNTGIPDAELDEVRNAWKVWKEKFDSVAPVLNQ >ONH99712 pep chromosome:Prunus_persica_NCBIv2:G6:3261315:3264815:1 gene:PRUPE_6G045100 transcript:ONH99712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYLLPADVIGIKSHFSSAEIDYHISDFNSILNCFEGEHPFHNYTIRSKYRRKLPVKKSRRHGSVSITGRSTGEASASESEESDVDENFDGTNTPESDAEVLNQNSSPSSNSEGNLRNACEKQGDGGNDQSAGSVIRARWLYEPDERDRISASHFRKIFLCSCGKLEKSLGHDYVELSISGESFMLHQIRKMVGTAVAIKRKLLPRDILTLSLAMFSRIILPLAPSEVLFLRGNRFSIRGRPGNVTRPEMMAMVESGEIIKAVDEFYTSVMLPEVSKFLEPSGYPWKEWVENLDRNTGIPDAELDEVRNAWKVWKEKFDSVAPVLNQ >ONI05189 pep chromosome:Prunus_persica_NCBIv2:G6:30443737:30445365:1 gene:PRUPE_6G360900 transcript:ONI05189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERMERLVLLPFTFGCVSESSVAVGVQPPRRSKSDTIPSPIRTKEVGDEEEEEDSLSGESTKNSFRSLSLPKPNISTSGIHRLFKGFKNFSQIFVYKDDDMEEIMEMDMEIGGPTDVKHVTHIGWDGSASAASATTDPIRGWDNLISPDLLSVSPVSWSQFELSMPSQADVAVPLVNGSSS >ONI00225 pep chromosome:Prunus_persica_NCBIv2:G6:5252452:5254262:-1 gene:PRUPE_6G076500 transcript:ONI00225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLFVGGNWKCNGRTAEVKKIVTTLNEAELPSEDIVEVVESPPFVFLAFVKSLLWSDFHVVSQNCWVRKGSAFTERLVSCLLGAEMLVNLGIPWVIVGHSERRLVLNESNEVTTLSQGLKVIACVGETLEQRETGSTVAVVAAQTKAIAVSSWENIVLAYEPIWAIGTRKVASPAQTQEPEFIDIIKSARVVKKNVRLETKLDLICNIYILL >ONH99199 pep chromosome:Prunus_persica_NCBIv2:G6:1284716:1287139:-1 gene:PRUPE_6G017500 transcript:ONH99199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQTFNSETGCSEYCNLTVGASLCNSSPCFSPSGDFAFGFLPLGNNDLFLLSIWYAKIPNGTIVWYANRDNKPALAPNGSTVNLANSGLELTSPQGEELWKSETIVGVVANGVMNNTGNFVLQDGKSGNLWETFNNPTDTLLPGQKIERSGTLSSRYSETDYSKGRFQLLLQEDGKLVLSSINLPTEFANEPYYETDTTSGTVAGSEGKELVFNVSGYLYVLRENGGKYNLAGEKVVSARDNYIRATLNFDGIFAQYYHPKNFTGNVSWTLLWSEPDDICRRITEDSGFGICGYNSICKLNADNRPTCQCPRGFSLLDPKEPFGSCKPDFIQGCEEDELTTTKDPYDVIVMTNIDWPISDYAEFRPFTAEKCNESCFQDCLCAVAVFRNETCWKKKLPLSNGRVDVSLNSKAFFKVRKDNTTLQFSPMPNPDDTKKKSSNTLIPMESVILATPIFVSFMFSAAVCLGFSSFSRRNNYRELQEATNGLTEELGRGAFGVVYKGTIQIGSGVQVAVKKLNCVIQDGEKEFKTELSVIGKTHHKNLVCLVGYCDEGQHRLLVYEFLSNGTLASFLFADTKPSWTQRIEIACGVAKGLLYLHEECSTQIIHCDIKPQNILLDDYYTAWISDFGLAKLLMMNQSHTHTAIRGTKGYVAPEWFRNMPITAKVDVYSFGVVLLEIICCRRSVDVDNSSEERAILMDWVYDCYRGGMLDAVLVLDNEVQALDDRMKPEKLVMIAIWCIQDDPSLRPTMRKVVQMLEGVVEVHVPPCPSPYTTRTG >ONI00324 pep chromosome:Prunus_persica_NCBIv2:G6:5560053:5560826:-1 gene:PRUPE_6G082600 transcript:ONI00324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLSSQLFVNRLSSFTNNEQLKRLFSPFGDVTEARMVVDRITQRPKGFGFVTYKSDVEAQKALKAMNGRMVDGRLIFVEFAQNQKQEQDTKS >ONI00325 pep chromosome:Prunus_persica_NCBIv2:G6:5560228:5561002:-1 gene:PRUPE_6G082600 transcript:ONI00325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLSSQLFVNRLSSFTNNEQLKRLFSPFGDVTEARMVVDRITQRPKGFGFVTYKSDVEAQKALKAMNGRIT >ONI00323 pep chromosome:Prunus_persica_NCBIv2:G6:5559491:5561002:-1 gene:PRUPE_6G082600 transcript:ONI00323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLSSQLFVNRLSSFTNNEQLKRLFSPFGDVTEARMVVDRITQRPKGFGFVTYKSDVEAQKALKAMNGRNQKQEQDTKS >ONI04721 pep chromosome:Prunus_persica_NCBIv2:G6:29231245:29233625:-1 gene:PRUPE_6G336500 transcript:ONI04721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCPSSCFSAYVSSSSSSISSSQFSQSPANVHEFLSLLQQFSERLIGVKAIHSQIITSKLVKVYSDLGSLVDARHVFDQFFHPITILCNAMIYGYLRKERYNETLNLFSLMGSCNLETDSYMYYKRDMEVIKRVVDKGIESDWFLGSSMINFFVKFDKIVVGWPSLVTMVSIIQVCMKGGDFKLGKCVHGYIVRLGMGNEVRTSLIDMHSNMGEMQSACWVFETMQTRNLVSWNVMILGCTQNGLVHKSFVFFHRLVTSDGRFDFGTMVSLVQGCSPTADLESGKILHCCAFRRGFDLNPFFSTAIVDLYSKCGAIKQAPFVFDRIEERNVITWTAVLVGLAQNGHAEEALKRFCQMREEGIAANSVTLVSLVHSCFHLDSLKKGRSVHGNLIRHVHAFGVVNMTALIDMYAKCGKIKYSERIFENCSICGDVILWNSMITSYGIHGYGLQALGVYRRMKDEGFKPNETSFFSLLTACSHSGLVEEGIKLFHSMERDPDIVLTEKHYAGYTADRLLCIDSMNPGIYVVLSNIYAQARRWDSVNYIQSHMRTKGLKKTPGYNSHPTWAEIHQHLENLRVAVEASDYVPDTNGVLRDVDEPAKVRLQWDHSERLAIAFGLLSTPAGSLIRITKNLRVCVDCHNVTKYIYKIVRRELIVRDANRFHHFVDGKCSCNDYW >ONI00334 pep chromosome:Prunus_persica_NCBIv2:G6:5586438:5588115:-1 gene:PRUPE_6G083000 transcript:ONI00334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKDHPDPSDKSKKVLYFCFSEYCLGTGEGFEMFDPKYDKWVTLPEPPFFHRRYRGHHTDSVVVGSNIFVSCLSSIYRFDMADSRQIWKEHSFTNCTALPYGWDEKSLALEMSDGDWLIFTCFLELSYDPHEIKDNPCCDDYDCNNSNVDFYPPYRHQLAPDRHFEWHGTSLPAYIMSKDFTSLTPIQPLRLPDDLLPTQPGCERLRDLYMAKPREIDYRIVHLGGQEICLVLSIDTGFEPNGRVLRKMPIFVASFEFQLSDSKDVLTIKTGSSSVQCFLLGAHSSATKLSMCGAFWL >ONI02860 pep chromosome:Prunus_persica_NCBIv2:G6:22954695:22964902:1 gene:PRUPE_6G225100 transcript:ONI02860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSERAELAKLCSSRNWSKAIRVLDSLLSQSSSIQDICNRAFCYSQLELHKHVIKDCDRALQLDPALLQAYILKGCALSALGRKEDALLVLEQGYEHALRQSADLKQLLELEDLVRTAKEERSIGYETHAKQSASSMFASESRSHVNGKSSETHENHNKLSDQSELCSESTVTSEVHSNSNGNLDVPNGIGDIAAASKKFDSQMNGNHDNRDKLGYDSESCNDLSDTCSKLPMICSKSSDVTETPPTPPKLSSKSDIRHEISDDSKRNKKFCVARLSKSKSISVDFRLSRGIAEVNEGKYAHAISIFDQILKEDPNYPEALIGRGTAYAFQRELEAAIADFTKAMESNPLACEAWKRRGQARAALGEFVEAIEDLSKALEFEPNSADILHERGIANFKFKDFYNAVEDLTACVKLDKDNTSAYTYLGLALSSIGEYKKAEEAHLKAIQLDQNFLEAWVQLTQFYQDMANPTKALKCLQQALQIDGRFAKAYHLRGLLLHGMGEHRKAIKDLSTGLSIENTNIECLYLRASCYHALGEYGHAVKDYDAVLDLELDSMEKFVLQCLAFYQKEIALYTASKINSEFCWFDIDGDIDSLFKEYWCKRLHPKNVCEKVYRQPPLRESLKKGKLRKQVFTVTKQKTALLQAADCIGRKIQYDCPGFLPNRRQHRMAGLAVIEVAQKVSKAWRSFQAEWKYSNKGTSSKNGKRGRRRERVNLPSQNRGGAGCSTSSSSETSTSYGITEANSSARSMMSWHDVYSVAVKWRQISEPCDPVVWINKLSEEFNAGFGSHTPLILGQAKVVRYFPNFERTLDVAKTVMKERSYVYNKVDNLIDLSRDGKLKDILEAKSCADLHRAVGEDFWLSTWCNSTAFEGKYLEGTRITLVKTGENRYDFAIRTPCTPSRWDEFDAEMAKAWEAICNAYCGENYGSTDSSVLENVRDAILRMTYYWYNFMPLSRGSAAVGFVVMLGLLLAANMEFTGSIPQGLQVDWDAILNFDPNSFVDSTKSWLYPSLNATTSWKDYPDVGSILATTGSVVAALSTCDDVASKSPEEC >ONI00310 pep chromosome:Prunus_persica_NCBIv2:G6:5523607:5526556:-1 gene:PRUPE_6G081600 transcript:ONI00310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGIEHDVYTVNIFINCYCHLNQMGFSLSVLGKFFKLGLEPNVFTFNTLINGFLLKNRVVQAAELFNKMINAGNCQPDAVTYGTLVKGFCMKGNNRAAIQLLRKMEEGACKPGLVVYNTIIDSLCKDKLVDDALNLLSEMMSKGIAPDVITYTSLIHGVCKLGEWKEAKRLLNEMVSKNFFPDVCTFSVLVDTLCKEGMVGEAEGVVEMMIERDIQPNTVTYNSLMDGYCVRGEMSKARKVFKLMLSKGSMVDVFNYSTLINGYCKRKMMDEAMTLLREMSRKGLVPNTVTYNTLVDGCCKVGKLGDAQQLFSEMQACGQLPDVQTYAILLDGLCKSGQLSTAIQLFREMEGKKLDVNIVIYNILIEGLCLAGKIESARVLFRGLSSKGLQPAVRTYTIMINGLCAA >ONI01793 pep chromosome:Prunus_persica_NCBIv2:G6:14481169:14485353:-1 gene:PRUPE_6G159000 transcript:ONI01793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNISRGSSLTPTTNTTWLSRSGLYAFGFYRQGNGYAVGIFLAGIPQKTVVWTANRDDPPVSNNATLLFTGDGLALPTAEGQNYLVKSSGSSSYASMLDSGNFVLYNSGREIVWQSFHHPTDTLLPGQRLLSGNELFSANSEADHSTGIFRLKMQNDGNLVQYPVDTPDTSVYAFYSSLTVGQGENVTLNFGADGHLYLLNRNGTNIRNITDGGLPTDEGKLYLMRIDVGGIFRLYSHDLKKNAIWSVEWESSEDKCVPKGLCGLNSYCVLIDLEPDCKCLPRFKSVNQGNQTSGCERNFVADACINRTENFTYTMEELESTWEDDSYMSLRLSNKDDCIQGCLEDCDCEAVFFDGTNCRKQRLPLRYGRRVNGTSLRALIKVGVPTKRGTDPRIVQPGSKKKGETNPMVENELLEWMESDRSTGDVNGLQNDGKLGNNLTVFRYASVVAATTNFSEENKLGQGGFGPVYKGKLVTGREIAVKRLSRCSGQGTSEFKNELILISELQHTNLVQLFGFCIHGEERMLIYAYMPNKSLDYFLFDSTRATLLDWTKRFNIIEGIAQGLLYLHKYSRMRVIHRDLKASNILLDEYMKPKISDFGLARIFTHNELEASTNRIVGTYGYMSPEYAMEGIFSIKSDVYSFGVLMLEIISGRRNSSFYIADHVLNIVGYAWELWKEGRGLELMDPTLKDSCTEDQLLRCFQVGLLCVEENAADRPSMSDVVSMLTTETISLPLPTRPAFITIRNVIVSDISISRRELQILSVNGLSNTTVAGR >ONI03038 pep chromosome:Prunus_persica_NCBIv2:G6:23610641:23616144:1 gene:PRUPE_6G234700 transcript:ONI03038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEAHSRNKFVNMKSQRVKVEGSFAPALEDETETIEHLLAEPKSEYVTVDGVLCCGKENAGKCMDIEDFSCGYDFGLNTYSGGLHSAHPRGRYDELEFGVLDGLLDEVDEVEDIHAINGLASPCDDYLLDIGFTGKASELGFGPCEKSHLGNSSSESQSPRLSGSSNGAVGISESSTVTIQEFECKNNSIDKAVTHEFHGGFRRKKRHRTPVEGNVYPASINLQNLELDNDEKSLVREIVSGENEKLSVEATKLGAFCKEKRLRKPTLRYIEEFSGKKSKDSNERENCSAVTATQDRSKVRCQNEHHHMSPGILSSVPEEDSISENQTLAEFRTQRKLAKKHASILALESDEQPITSESEDDHVPKKRSRKHDRRKHQRMWTLSEVTTLVDGISEYGVGRWTDIKRVLFSSSAYRTPIDLRDKWRNLLRASCGKKMKKEVEQKEMRALPKSLVRRVRELAMVHPYPRQRGKKFAPPILPTPSKSASSDLIRKYVRRKNRS >ONI03039 pep chromosome:Prunus_persica_NCBIv2:G6:23610641:23616144:1 gene:PRUPE_6G234700 transcript:ONI03039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEAHSRNKFVNMKSQRVKVEGSFAPALEDETETIEHLLAEPKSEYVTVDGVLCCGKENAGKCMDIEDFSCGYDFGLNTYSGGLHSAHPRGRYDELEFGVLDGLLDEVDEVEDIHAINGLASPCDDYLLDIGFTGKASELGFGPCEKSHLGNSSSESQSPRLSGSSNGAVGISESSTVTIQEFECKNNSIDKAVTHEFHGGFRRKKRHRTPVEGNVYPASINLQNLELDNDEKSLVREIVSGENEKLSVEATKLGAFCKEKRLRKPTLRYIEEFSGKKSKDSNERENCSAVTATQDRSKVRCQNEHHHMSPGILSSVPEEDSISENQTLAEFRTQRKLAKKHASILALESDEQPITSESEDDHVPKKRSRKHDRRKHQRMWTLSEVTTLVDGISEYGVGRWTDIKRVLFSSSAYRTPIDLRDKWRNLLRASCGKKMKKEVEQKEMRALPKSLVRRVRELAMVHPYPRQRGKKFAPPILPTPSKSASSDLIRKYVRRKNRS >ONI01321 pep chromosome:Prunus_persica_NCBIv2:G6:10441131:10446545:-1 gene:PRUPE_6G132400 transcript:ONI01321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLHSPSHFCSSPRAGSSSSSSSSPPPSNSSSVSLSCAPPSSLSYAPHPSEEEDCESAVTDCEVDDRDKRRREQLSLLALLVTFFRKSLIPCKSDRRELCAMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASTTVFGVSTESMQLSYDSRGNSVPTILLLMQGRLYAEGGLQAEGIFRINAENSQEEHVRDQLNRGDVPEGIDIHCLAGLIKAWFRELPAGVLDSLSPEQVMRCQTEEDCSQLVRLLPPTEVSLLDWAINLMADVVQQEHLNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLILKTLRERKDAVVEPPVCYEEPSDEHGHQSPSQSCREDTGKDNEEKEQSLITEEPILGSSSNSNHVNNLTNREASSSISLVDGDGCCDTAAQVDSVTAEIQGESAKNKPGESSISNFKMGPKSTSDHQPVVWGTGTVEKNRGISNLSRIDSRMERIEAWR >ONH99780 pep chromosome:Prunus_persica_NCBIv2:G6:3553980:3558070:-1 gene:PRUPE_6G050500 transcript:ONH99780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIFHSLVLNKRFDDATLRVLESALVSKDVKSSIEVRSGLRQFLRSESLSVLREISEKSAGEKLLVLEFLVRSFALVGDVESCLALRYEALLLRDLKSATNPWLQVPYTEWLNFAHQSKDSGFYSVAGRACENALVCFKRKCAQDPKTDEVYVTKKSTEDAKADGVLENVQVIEQIKRLKDCAMASASSHSVQAQTADYLKKRLKEKSMDCSSVCKDTKPVASTLFRNGIKKRNLRKLNESRSLSRMTDGS >ONI03739 pep chromosome:Prunus_persica_NCBIv2:G6:26146383:26149592:1 gene:PRUPE_6G278900 transcript:ONI03739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRQHVLSSPPLDLSHHFGGCSEPFSLCPLSLRASNTFLPLLVTIARLPCSSTVSSTTSLSISMERQNPKIHSFLPVSRPFVQSLSVITGDEEVSTFTSGGGAGARAAEDLLLGFPAAFLCFWVSAKPSQTGGFFSCVEGAKPGLGSGGSGMTTTESKHQVKGGLLLDVVVSKGATILELLSSKDEVALLIVVVVVLCGGWWATSQLRSLDSIEHGLYCSAHPRQPLVCQEAV >ONI04260 pep chromosome:Prunus_persica_NCBIv2:G6:27961433:27962794:1 gene:PRUPE_6G312200 transcript:ONI04260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLVASILALFFLLGALEARYNLQDDVVVSAAIIVDQNGHGNFTTVQQAIDSIPSNNSQWTRIHINHAIYIEKVVVPKDKPYILLEGDLDHYPVIEFGDGGNVVTSPTFIAHADNFIAKNIIFKCSFLSVQDTLSDARGRHYFYECTIKGAIDFIFGSGQSLYEKCQIVSVTDVIGFPGYITANGRGGPDDPAGFVFKDCHVSGTGPINLGRAYGNHSRVLFSGTYMENIITPQGWMAAWNTGNEDLISYSEANCSGPGADLSQRVTWEKKLSDEEVESLTNAAKFIDQDGWLAKQPK >ONI01585 pep chromosome:Prunus_persica_NCBIv2:G6:12395478:12396174:-1 gene:PRUPE_6G147700 transcript:ONI01585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNAVVLMLMAALLVASTRAQSPVSSPAKSPALSPKQASAPPLSASPSPSGTPQLAAPSTSPVAASPPSPPSSSPAASPPTSVLGSPSEALAPNGAVSNRFSGPGFVAVGVFAAALLM >ONI03643 pep chromosome:Prunus_persica_NCBIv2:G6:25722014:25722711:-1 gene:PRUPE_6G271300 transcript:ONI03643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRLAYSSTEQDMVLLHHEVEVEFPDGLREKHSRTHSGTLLEFGQTKNGKMITAMAFTVGIPAAIGALLILGNKVKTRGVLRPIEPEVYVPAMDIIQAYGIKVMEKIE >ONI02388 pep chromosome:Prunus_persica_NCBIv2:G6:20212469:20216840:-1 gene:PRUPE_6G194900 transcript:ONI02388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSADLFIGKFVAILESEAASIAGVRDQVDVIKQELVFMKSFLEDADRGEQAHTQVQKAWVASFRDLANDVENIIDEFMYHMYEQQIGGRFGRWIRRTVHFPKNLWYKRQIANKLQKITVAIRAIPERNQRYGGAAAVEGKSTSEDIRRWARNQAESSLYHKEDELVGIEGDKNMLLGWLMDEVKHQTVVSVVGMGGSGKTTLVARTFKDDIVKRHFECYAWITVSQSYVIEDLLRRLIKEFHKAMKEEVPADINAMSYNELLEILVNYLETKRYLVVLDDVWDVHLWDKIRFSFPDKQLGSRVMLTTRREDIASSSFGVESHVHKIQPLKRGDAWELFSMKAFSSYPNKSCSPELLPLARELVEKCLPLAIVALSGLMSSKNSLTEWSTVYHSLNWHLTNNSLLEPMKSILLLSFNDLPYRLKQCFLYCSLFPEDTVITNNRLIRLWIAEGFVEHVNGLTPEEVANSYLMLLIFRNMLQQRFRGPLPACKMHDLLREIALSIAKKEKFCAVHDGSETAVEETGAPRLSIQITNGEIGSCTGISRLRSFLVFATGVSSFSFSNKLSFDLKLLKVLDLEDVPLDYLPDNLMSLFNLKYLNLSGTPITELPESIGKLRNLQTLNVTLTKIVALPRGISKLINLRHLLVGRFISRNFIGVRIPSSISKMKKLQTLAYIESEGNIIRLIGSMTQLTFLGITNVKERDEEDLCASIEEMKVLSRLFLSVADGEEFLRVNALSSPPPYLDRLDLIGKLEKVPHWFCSLHSLAYLNLRGSRLEEDLLRHIEALPSLRYLFLEDASVRKELCFSRGFVKLGYLRLQNLALLNKITIEKGAMSNLEFLDIRRCLTLETLPQGIEHLTKLQRYIFHNVSEQFMESIEEGGVDHPRMLLVDERCKKYT >ONI03515 pep chromosome:Prunus_persica_NCBIv2:G6:25234300:25236436:1 gene:PRUPE_6G262200 transcript:ONI03515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNEEKTGTLHFDLNTGAKIPSVGLGTWKAEPGVVGQAVIAAVKNGYRHIDCASIYGNEKEVGVALKELFSTGVVQRSELFITSKLWCSDHAPADVSKALSKTLEDLQLDYIDLYLIHWPLRTKPGSVGLAPENMAPLCLSETWNAMEGLYASGQARAIGVSNFSSKKLQDLLSYAKVPPAVNQVECHPVWQQPALHNLCKSAGVHLSAYSPLGSPGSWVKGEVLKEPILNEIAEKLKKSPAQVCLRWGIQSGHSVLPKSVNENRIKENLSLFDWSIPPELFSKISGIHQQRLLRGDFAIHETASPYKSLQELWDGEI >ONI03516 pep chromosome:Prunus_persica_NCBIv2:G6:25234300:25236476:1 gene:PRUPE_6G262200 transcript:ONI03516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNEEKTGTLHFDLNTGAKIPSVGLGTWKAEPGVVGQAVIAAVKNGYRHIDCASIYGNEKEVGVALKELFSTGVVQRSELFITSKLWCSDHAPADVSKALSKTLEDLQLDYIDLYLIHWPLRTKPGSVGLAPENMAPLCLSETWNAMEGLYASGQARAIGVSNFSSKKLQDLLSYAKVPPAVNQVECHPVWQQPALHNLCKSAGVHLSAYSPLGSPGSWVKGEVLKEPILNEIAEKLKKSPAQVCLRWGIQSGHSVLPKSVNENRIKENLSLFDWSIPPELFSKISGIHQQRLLRGDFAIHETASPYKSLQELWDGEI >ONI01695 pep chromosome:Prunus_persica_NCBIv2:G6:13434407:13441887:-1 gene:PRUPE_6G154400 transcript:ONI01695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLQPQIILLKEGTDTSQGKAQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGSVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFIEDGVHPQNLIRSYRTASYLAIEKIKELAVSIEGKSLEEKKTLLAKCAATTLSSKLIGGEKDFFASIVVDAVIAIGDEDRLNMIGIKKVSGGNMRDSFLVSGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVQSGAKVVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSINNVIDEVLGTCECFEEKQVGNERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMEISRYLWQKARKITGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGALYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLVLSVDETVKNPKSESAQGEAAASAMGGRGRGGGLRGRGRGMRRR >ONI01696 pep chromosome:Prunus_persica_NCBIv2:G6:13434726:13441862:-1 gene:PRUPE_6G154400 transcript:ONI01696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLQPQIILLKEGTDTSQGKAQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGSVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFIEDGVHPQNLIRSYRTASYLAIEKIKELAVSIEGKSLEEKKTLLAKCAATTLSSKLIGGEKDFFASIVVDAVIAIGDEDRLNMIGIKKVSGGNMRDSFLVSGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVQSGAKVVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSINNVIDEVLGTCECFEEKQVGNERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMEISRYLWQKARKITGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHAF >ONH99879 pep chromosome:Prunus_persica_NCBIv2:G6:3909544:3911429:-1 gene:PRUPE_6G055300 transcript:ONH99879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESYPPLFRSLNNSTQIVDSDEKADPVHDLEDPIPLVDLQSLKLGKQLGEACEQWGIFRLVNHGVPPTLLSQLQDHAEKFFSLPFESKQSLITSPLSYFWGTPALTPSGAALHRKGSTLENINLVEGFNVPIAQLNQFQADNHIVASFSLVLEEYGKHLARIATTIFEAMLKNLGLDPVESKANLSHSTGSVRVYRYPRCSNSNSAWGLDVHTDSSVVSILNQDDVGGLEVLKDNDWFTVKPIPNTLIINLGDMMQAISDDKYKSVTHRVKVNKNKERISICYFVFPGEGSVIRSSKYKPFTYSDFQEQVQQDIKTVGYKVGLEKFKISEAV >ONI04956 pep chromosome:Prunus_persica_NCBIv2:G6:29839369:29841366:1 gene:PRUPE_6G349800 transcript:ONI04956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVSEPITKNPPITFETPIINSSTSSMITPSSSAISPATTADSISTPTPSRYENQKRRDWNTFCQYLRNHRPPLSLPMCSGAHVLEFLRYLDQFGKTKIHNQTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEANPFGARAVRLYLREVRDFQAKARGVSYEKKRKRPNNNNNSNNNKPKPNMNAMLIASSAASSTTTTTS >ONI02070 pep chromosome:Prunus_persica_NCBIv2:G6:18003660:18004024:1 gene:PRUPE_6G175700 transcript:ONI02070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQAHFTVPFGISNTAQRRSPLPLTRLPSLTQSASTFSPLPVFSSKFRTQHSSLIPSLPIARFSALSISLKSQFGNFS >ONI01160 pep chromosome:Prunus_persica_NCBIv2:G6:9409583:9411348:-1 gene:PRUPE_6G125000 transcript:ONI01160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGRGRFGRVYKAELEGGQTTVAVKRLSTHSKEKIEELLNEFYTLKSLRHENLVQLLDAYFGEGLHLLIYEYMPNLSIADAVFGSKSRFKFNWETRFNICLGIARGLEHLHEHPRLKMVHRDIKAEEQLMIIKVEAPNGHMAPEYVVQGFVTSKVDVYSFGVVILEIVSGRKNAGYKFNHESEHLLDMAYVAYKNGSLVDLVDKNLSGIYDAKQAITILTLAVMCTNISPTLRPRMADVVSILVGEKTFEQINPPTVDDHQLNVAMAHGECTKADSSTSTDVTSRASTSTKLIKGIDETQNSSAETNLEISEESRTSH >ONI04026 pep chromosome:Prunus_persica_NCBIv2:G6:27116725:27122005:1 gene:PRUPE_6G297000 transcript:ONI04026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMRVCGCVIALWSSCFVLLAVAQVLIPSEVNALRAVKNRLSDPRKHLTNWNNGDPCKSHWTGVFCFNTVGADGYLHLEELQLLNMNLSGSLAPELGQLSHLLILDFMWNELSGTIPKEIGNMTSLKLLLLSGNKLSGSLPDELGYLSKLNRLQVDQNYMSGPIPKSFVNLVNVKHLHMNNNSFSGQIPSELSKVPTLLHLLFDNNNLSGYLPPELSNLPNLRIIQFDNNNFMGNEIPASYGNLSQLAKISLRNCSLQGEIPDFSRIPSLSYLDLSWNRLSGSIPSHRLSHNMTTIDLSDNQLNGSIPESFSDLPSLQKLSLENNLLTGSVPAIWWKISFSTKARLKLDLRNNSLSNISGELNPPANVTLRLEGNPICKNASIQNVGQFCRSEAGGDGIPDSSTNSTQTMTCPSQACPTDNFYEYVPSSPVPCFCASPIIVEYRLKSPSFSYFPPYIQKFEMYFTRSLDLSLYQLSIDSIFWQEGPRLQMHLKLFPMFINPHSNTFNFSEVHRIRGILTSWELPPTDFFGPYELLNFTLLGPYSNMIIGPRRMGIRKGILAAIITGAVASFVILSATVMLLITRCSRHRDRPPSRRHSSSKITMKIDGVKAFTFKEMTLATRNFDSSTQLGRGGYGKVYRGILSDDTIVAIKRAEEGSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLCGMKFPTFPVS >ONI04025 pep chromosome:Prunus_persica_NCBIv2:G6:27116725:27123435:1 gene:PRUPE_6G297000 transcript:ONI04025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMRVCGCVIALWSSCFVLLAVAQVLIPSEVNALRAVKNRLSDPRKHLTNWNNGDPCKSHWTGVFCFNTVGADGYLHLEELQLLNMNLSGSLAPELGQLSHLLILDFMWNELSGTIPKEIGNMTSLKLLLLSGNKLSGSLPDELGYLSKLNRLQVDQNYMSGPIPKSFVNLVNVKHLHMNNNSFSGQIPSELSKVPTLLHLLFDNNNLSGYLPPELSNLPNLRIIQFDNNNFMGNEIPASYGNLSQLAKISLRNCSLQGEIPDFSRIPSLSYLDLSWNRLSGSIPSHRLSHNMTTIDLSDNQLNGSIPESFSDLPSLQKLSLENNLLTGSVPAIWWKISFSTKARLKLDLRNNSLSNISGELNPPANVTLRLEGNPICKNASIQNVGQFCRSEAGGDGIPDSSTNSTQTMTCPSQACPTDNFYEYVPSSPVPCFCASPIIVEYRLKSPSFSYFPPYIQKFEMYFTRSLDLSLYQLSIDSIFWQEGPRLQMHLKLFPMFINPHSNTFNFSEVHRIRGILTSWELPPTDFFGPYELLNFTLLGPYSNMIIGPRRMGIRKGILAAIITGAVASFVILSATVMLLITRCSRHRDRPPSRRHSSSKITMKIDGVKAFTFKEMTLATRNFDSSTQLGRGGYGKVYRGILSDDTIVAIKRAEEGSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLCVKAKGSLSFGMRLQIALGSAKGILYLHNEANPPIFHRDIKATNILLDSNLMAKVADFGLSRLAPLQDDEGTGPSYVSTAVRGTPGYLDPEYFLTSKLTDKSDVYSLGIVFLELLTSVLPISHGKNIVREVNLAHQAGLMFSIIDSRMGSYPSECVERFLALALRCCYEKQDKRPAMLEVVRELENIIKIMPAADTIFSPSAAKYSDQSPTSSSYLTRDTSYVSSSVIGSDLSSGVVPTIAPR >ONI04024 pep chromosome:Prunus_persica_NCBIv2:G6:27117092:27123435:1 gene:PRUPE_6G297000 transcript:ONI04024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMRVCGCVIALWSSCFVLLAVAQVLIPSEVNALRAVKNRLSDPRKHLTNWNNGDPCKSHWTGVFCFNTVGADGYLHLEELQLLNMNLSGSLAPELGQLSHLLILDFMWNELSGTIPKEIGNMTSLKLLLLSGNKLSGSLPDELGYLSKLNRLQVDQNYMSGPIPKSFVNLVNVKHLHMNNNSFSGQIPSELSKVPTLLHLLFDNNNLSGYLPPELSNLPNLRIIQFDNNNFMGNEIPASYGNLSQLAKISLRNCSLQGEIPDFSRIPSLSYLDLSWNRLSGSIPSHRLSHNMTTIDLSDNQLNGSIPESFSDLPSLQKLSLENNLLTGSVPAIWWKISFSTKARLKLDLRNNSLSNISGELNPPANVTLRLEGNPICKNASIQNVGQFCRSEAGGDGIPDSSTNSTQTMTCPSQACPTDNFYEYVPSSPVPCFCASPIIVEYRLKSPSFSYFPPYIQKFEMYFTRSLDLSLYQLSIDSIFWQEGPRLQMHLKLFPMFINPHSNTFNFSEVHRIRGILTSWELPPTDFFGPYELLNFTLLGPYSNMIIGPRRMGIRKGILAAIITGAVASFVILSATVMLLITRCSRHRDRPPSRRHSSSKITMKIDGVKAFTFKEMTLATRNFDSSTQLGRGGYGKVYRGILSDDTIVAIKRAEEGSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLCVKAKGSLSFGMRLQIALGSAKGILYLHNEANPPIFHRDIKATNILLDSNLMAKVADFGLSRLAPLQDDEGTGPSYVSTAVRGTPGYLDPEYFLTSKLTDKSDVYSLGIVFLELLTSVLPISHGKNIVREVNLAHQAGLMFSIIDSRMGSYPSECVERFLALALRCCYEKQDKRPAMLEVVRELENIIKIMPAADTIFSPSAAKYSDQSPTSSSYLTRDTSYVSSSVIGSDLSSGVVPTIAPR >ONH99447 pep chromosome:Prunus_persica_NCBIv2:G6:2301302:2302109:-1 gene:PRUPE_6G029700 transcript:ONH99447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLPPPPPSPIPPSPLPQPPAQRHIQHPPQAPRQTTPVSLNQIIISKLATNRKVPAPDAIDQVSSKSTKQPILRQPQRTKPLIWCCAIVCLIFSLILIIFGIATLIIFLVVKPKTPLFDIPNASLNTIYFDSPEYFNGDFTFLANFSNPNRKIDIRFEYLDLELYFSDRLIATQSLGPFTQRPGEGRLGSVRLISSLVYLPENHAVALRTQVQNNRVNYNIRGTFKVRATLGMIHFSYWLHSRCQLQMTGPPTGVLVARSCKTKR >ONI02140 pep chromosome:Prunus_persica_NCBIv2:G6:18472021:18475180:-1 gene:PRUPE_6G179400 transcript:ONI02140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSALILTTPKPLPHIQSLHPTISFSSCNSKPTCSCSSSSPSTAENNAKVSWASKFVTKKSVLDVGFGLLAASLVALSPLEANATRIEYYATVGEPLCELNFVRSGLGFCDVSVGSGVDAPRGELINVHYTARFADGTVFDSSYKRGRPLTMRIGVGKVIRGLDQGIYGGDGVPPMQGENVSSTFLHI >ONI02139 pep chromosome:Prunus_persica_NCBIv2:G6:18472362:18475111:-1 gene:PRUPE_6G179400 transcript:ONI02139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSALILTTPKPLPHIQSLHPTISFSSCNSKPTCSCSSSSPSTAENNAKVSWASKFVTKKSVLDVGFGLLAASLVALSPLEANATRIEYYATVGEPLCELNFVRSGLGFCDVSVGSGVDAPRGELINVHYTARFADGTVFDSSYKRGRPLTMRIGVGKVIRGLDQGIYGGDGVPPMQVGGKRKLHIPSHLAYGPEPAGCFSGDCNIPGNATLVYDINFVGIYSGNRPLPANR >ONI03277 pep chromosome:Prunus_persica_NCBIv2:G6:24432057:24435222:-1 gene:PRUPE_6G248400 transcript:ONI03277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLESATLPSASANASGNETDRVALLDLKKRITQDPLHVMSSWNDSIHFCSWVGVTCNPSTKRVLILDLSSYKLAGSLPSSIGSLTHLTGLSLRNNSFHGEIPQEMGRLRSLQALNVSENSLGGKIPTNISHCTQLRVLYLRFNAITGSIPDNLRSLMSLNHLELFSNKLTGTIPNWIGNFSSLEGLRLTLNNFHGSIPSELGRLTSLEVFSLAANNLYGIIPSSIYNISSIKHLSVSINQFHAELPRNLGINLPNLEYFYCGSNKFTGTIPASLLNSSRIQILYFPINGFTLKALDLSSNQFGGEFPRSIANLSTQLTSLYLGGNLIHGSVPDDIGNLVNLTILAMELNHLTGTVPDGIGKLQKLVGLYLNDNQFSGPIASSLGNLTSLTQLDMFNNRFEGSIPPSLGNCQSLLELNLSYNNITGTIPRELFEVSSLPISLDISQNYLTGSLAYRVGDLVNLLELDVSGNKLSGKIPKTLGSCIMLMRLYLEGSLEEIDISCNHLSGQIPEFLGKFTHLNHLNLSYNDFEGELPKEGIFSNASGLSVIGNNRLCGGLPKLRLHACSIKKSHSSQRLLALKVVIPVACALAFIIAMSCFIVARSKVKNSRGGRVASYSYKGWESFSYLKLFQSTGGFSVDNLIGLGSFGSVYKGVLPTDGRVVAVKVLNLQQEGASKSLMYECKVLRSIRHCNLLKIISACSSFDTQGNDFKSLVFEFMANGSLDSWLHRRDDDQSQSKRLSLIQRLNIANDVASALDYLHRRCETTIVHCDLKPNNVLLGEDMVAHVGDFGLARFHFCWVIGFHRLHSSRYEFLPPFSSYFGILLLEMFTGKRPTDDMFTEGLSIHQFAAMAMPDHAMDIIDPSLLIVRDDADGDDEIYNNDIRARPIGECLVSVMEIGLSCSAILPSERIQMDVVVNKMKAARDSYLYLRRRRRIRS >ONI00041 pep chromosome:Prunus_persica_NCBIv2:G6:4462974:4464048:1 gene:PRUPE_6G064600 transcript:ONI00041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNDPPTLITIPSTTATPSVFRKDTSPSSSSSPSSKGRSFLDKTRYKFWVLAAILLLAFWSMFTGSVTLKWSAGNLTRLSDDLDLPSFDDLDILEVEEREKVVRHMWDLYTQSSRSSSNRLPRFWQEAFEAAYEHLSSDVAPVRDAAVLEIAKMPLSLY >ONI02636 pep chromosome:Prunus_persica_NCBIv2:G6:21988509:21991355:1 gene:PRUPE_6G211600 transcript:ONI02636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRQRSDSKAHCLVFPYPNLQGHINPMLQFSKLLHHKGVKVTFVSTIFAYNKLMQKTSGCSYIPLETISDGHDQGGKAHAESVEAYLERFWQVGSQTLAQLIEKLLSSEYPVDCIVYDSMMPWSLDVAKKYGIVGASFFTQSCAVDSILYHVHKGLFKLPVVDDQSEISLPGLPPLKLFDMPSFVYDFGSYPAIFKMVVGQFSNVEKADWVLCNTFYELEKQVVDWMATFLPLKTIGPTIPSHYLDKRLEDDKQYGVSLFNTNNDACMKWLNEQPKGSVAYVAFGSAAQLGVEQMEELAWGLRRSKSKFLWVVREAEAAKLPKGFVEETSEKGLVVSWCPQLEVLANEAVGCFISHCGWNSTLEAFSLGVPVVALPQWTDQSTNAKFIMDVWKIGVKALADEKGVVRQEEVEHCISEIMEGERGKEMQRKALEWKELARKAVDEGGSSSNNIDEFISKLVLSREKRCACEK >ONI01456 pep chromosome:Prunus_persica_NCBIv2:G6:11389071:11390601:-1 gene:PRUPE_6G140700 transcript:ONI01456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDVERQNRDWTKLRYELEVGINPLNCDSWSRYIRLEEDSALALAHNHRIRELYSRALAHVPPLCKLLWKRYVDLWIDYAWYEEFVAPGGDLFSFVKAWLHATQFEIRQLNLEGARKILGASRDCALKAAIFDKYIEMELTLGNVDRCRKLYENYLDWSPRNSNTWVKYAELEKTLGEEERARGIFEIALGQSQLNKPGLLWKDSLVAQEREEQCACIQRCRGVFERAVQYLDMNKLKVERLMLLKEWLIMEASFGDMGDVSLVKAKFPINSMRRGRGLASTLPSPLHLRCSHQYLSRKFETRVPYAKSPNLSVSPFPDISPQICNQPYFASQSTHSSYLSIPSFTQIVYLRPEFIAGKGPAD >ONI03042 pep chromosome:Prunus_persica_NCBIv2:G6:23625383:23627450:-1 gene:PRUPE_6G235000 transcript:ONI03042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQHPRLNHLRSTAQVLRQATTSFAGNLFTFFFLSLLIFSFRTVVENGTYLLTSFIDRDPSLKSLLSRLDLAGAASPNHRSSRSPADSPSPVTLRRRHRPFLHLTRVGTLDDDFFSGDDGDTRSQFGPNRIAPILIFSSASHSTSKLGFNGTYGTRVSEIVRSGLTFKADKFTISDDRAGEGDREGNSSEGEEKGGDEELDDRVVDLQFFIKGLELGRRDVATLFFLVSFLSAAYGWVILGFLITYSWVLGVVFTTVVNDLIGRITSFVGLVWDGSRLGVKRLSGFILMRWAVRDALTQLLGLWYFGEIEDQYSFFKLFIRLKLMPFSVMPPWIRGYEKEISGFLLMWFVLDTLVAFVFAVDAWVAIVDSRKNGREIVKEGCYLMLSMLRQAIQIKCLEAIFCGSSVRWIVARICGKSFAKLFQATVEVYFMVAWLIFYFAARARCRDASSQGDRIGALD >ONI04518 pep chromosome:Prunus_persica_NCBIv2:G6:28652140:28654240:1 gene:PRUPE_6G325700 transcript:ONI04518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNLNCIRATIGCFVFILLALPSSSAFLCEESTGCHALLKFKQGLRSDPEGLEIIDMVLQGGISPYISNLSLLTTLSLQGNRFYGQIPSSLGGLSELAFPIDLTRCSLMMLLDLSFNSLQGSVPVQIGLLSNLDFSLNLSNNHFEGQLPISIGELVSLQAIDFSKNKFFGVIPGLIGSCISLVYLNLSKNMLEGTIPRSLKSITHLEVLDMSHNRLNGTVPIWIANEQMIRNLNLSYNNLSGEVPYTGRITFFFINKSSFLGNVGLCDGSAQLGLPPCEVQKQKGRTKNWVIYSVVAAVAVSCVVGAVFVHSFFFRKYSKTAHGMLMAPPGKHGSRSFTERELETATLSMRGTFGSVYKAIIDNGESTVAVKVLHGDSNQSFKSFKRECQILSEIKHRNLIRLVGYAWNTGFKALVLDFIGNGNLAQHLYPGGIEEGACKLTSRERISIAIDIANGLEYLQEGQNVLINTNMVAQVADFGIGKLISADKLEEHLSTMRSLRGSIGYIPPEYGQGVEVSAKGDVYSFGVVLLEMFTRKRATSNVFSDGLDLRKWVGSAFPDQIWDVVDTTLKQEARSRGTRDALEKLEQCSIQLLEKRVHTNDPLCPLLCQC >ONI04887 pep chromosome:Prunus_persica_NCBIv2:G6:29669041:29669250:-1 gene:PRUPE_6G346100 transcript:ONI04887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKCTTQQTNIKCHTNKKHHETSCMSLEETSILKKNYLTKLKANSNRLQLAFHKRIMSSKSGQTLGKNS >ONI02158 pep chromosome:Prunus_persica_NCBIv2:G6:18691855:18695513:1 gene:PRUPE_6G180500 transcript:ONI02158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIESFKVESPNVKYTENEIHSVYSYETTELVHEERKGTYQWIVKPKTVKYEFKTDIHVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISDMNLADAMARARVLDIDLQKQLRPYMESMLPLPGIYDPDFIAANQGARANNVIKGTKKEQVQQIIEDIREFKEQNKVDKVVVLWTANTERYSNVVVGLNDTVENLLGSVDKNEAEISPSTLYAIACVLENVPFINGSPQNTFVPGLIDLAIRRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVADSKRAMDEYTSEIFLGGKNTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQLKSEAEGKFHSFHPVATILSYLSKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >ONI03045 pep chromosome:Prunus_persica_NCBIv2:G6:23637436:23637669:1 gene:PRUPE_6G235300 transcript:ONI03045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLLMGQILQLMLELINSSSQPSLAVVRLSQPPTAHNSSSQQASTSQTVQIRRLKSPAKEGHGEFEMMFYGTVIGF >ONI00333 pep chromosome:Prunus_persica_NCBIv2:G6:5581842:5584863:-1 gene:PRUPE_6G082900 transcript:ONI00333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGIPWNFFRAREKIAITCLKSRGYSSSLLIRYIPKKSSQVKMSENYQQTEAFTAVELRKKLHGNNFTLDTVEAVINDFISRGLINDSLYAEAFSCSRWSSLSWGPYYFSALFSKGVSKLDAENAIKLVFEEGESDNDQKLVHGLSKLSMDNLLVQASKQWLRGQEVPKETRKSRIVHWLQYRGFSWDVIGFVLKKLESQYPP >ONH99608 pep chromosome:Prunus_persica_NCBIv2:G6:2921591:2923162:1 gene:PRUPE_6G038800 transcript:ONH99608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQPVRMKAVVYALSPFQQKVMPGLWKDLPTKIHHKISENWISATLLLGPLVGVYSYVQSYQEKEKLEHRY >ONI01622 pep chromosome:Prunus_persica_NCBIv2:G6:12785812:12802662:-1 gene:PRUPE_6G149500 transcript:ONI01622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMTPAPIDQPEDEEMLVPHSDLPENNHQPMEVVAQPETANNVENQPVEDPPSSRFTWRIDNFSRMNTKKLYSDIFVVGGYKWRVLIFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFCLGLVNQIHNKYSVRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTLIIEAEVLVRRVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDLPSQSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDIKRALEEQYGGEEETNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEQDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLVEQIGKDIYFDLVDHDKVRSFRIQKQTPFNVFKEEVAKEIGIPVQFLRFWLWAKRQNHTYRPNRPLTPVEETQSVGQLREVSNKVHNAELKLFLEVELGLDLHPIAPPDKTKDDILLFFKLYDPEKEELRYVGRLFVKSTGKAAEILSKLNEMAGYAPEEEIDLYEEIKFEPNVMCEPIDKKFTFRACQLEDGDIVCFQKPTPVENGEHLRYPDVPSFLDYVHNRQVVHFRSLEKPKEDDFSLELSKLHTYDDVVERVAQQLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLTDMLVHYNQTTDILYYEVLDIPLPELQGLKTLKVAFHHATKEEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYHKIYKVFPHSEKIENINDQYWTLRAEEVPDEEKNLGPNDRVIHVYHFTKDTAQNQMQIQNFGEPFFLVIHEGETLAEIKARVQKKLQVADEEFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDSAPKRAYAANQNRHTFEKPVKIYN >ONI01623 pep chromosome:Prunus_persica_NCBIv2:G6:12785812:12802662:-1 gene:PRUPE_6G149500 transcript:ONI01623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMTPAPIDQPEDEEMLVPHSDLPENNHQPMEVVAQPETANNVENQPVEDPPSSRFTWRIDNFSRMNTKKLYSDIFVVGGYKWRVLIFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFCLGLVNQIHNKYSVRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTLIIEAEVLVRRVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDLPSQSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEQDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLVEQIGKDIYFDLVDHDKVRSFRIQKQTPFNVFKVGQLREVSNKVHNAELKLFLEVELGLDLHPIAPPDKTKDDILLFFKLYDPEKEELRYVGRLFVKSTGKAAEILSKLNEMAGYAPEEEIDLYEEIKFEPNVMCEPIDKKFTFRACQLEDGDIVCFQKPTPVENGEHLRYPDVPSFLDYVHNRQVVHFRSLEKPKEDDFSLELSKLHTYDDVVERVAQQLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLTDMLVHYNQTTDILYYEVLDIPLPELQGLKTLKVAFHHATKEEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYHKIYKVFPHSEKIENINDQYWTLRAEEVPDEEKNLGPNDRVIHVYHFTKDTAQNQMQIQNFGEPFFLVIHEGETLAEIKARVQKKLQVADEEFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDSAPKRAYAANQNRHTFEKPVKIYN >ONI01625 pep chromosome:Prunus_persica_NCBIv2:G6:12786215:12794239:-1 gene:PRUPE_6G149500 transcript:ONI01625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYIRESDKDKIICNVDEQDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLVEQIGKDIYFDLVDHDKVRSFRIQKQTPFNVFKEEVAKEIGIPVQFLRFWLWAKRQNHTYRPNRPLTPVEETQSVGQLREVSNKVHNAELKLFLEVELGLDLHPIAPPDKTKDDILLFFKLYDPEKEELRYVGRLFVKSTGKAAEILSKLNEMAGYAPEEEIDLYEEIKFEPNVMCEPIDKKFTFRACQLEDGDIVCFQKPTPVENGEHLRYPDVPSFLDYVHNRQVVHFRSLEKPKEDDFSLELSKLHTYDDVVERVAQQLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLTDMLVHYNQTTDILYYEVLDIPLPELQGLKTLKVAFHHATKEEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYHKIYKVFPHSEKIENINDQYWTLRAEEVPDEEKNLGPNDRVIHVYHFTKDTAQNQMQIQNFGEPFFLVIHEGETLAEIKARVQKKLQVADEEFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDSAPKRAYAANQNRHTFEKPVKIYN >ONI01624 pep chromosome:Prunus_persica_NCBIv2:G6:12786497:12802500:-1 gene:PRUPE_6G149500 transcript:ONI01624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMTPAPIDQPEDEEMLVPHSDLPENNHQPMEVVAQPETANNVENQPVEDPPSSRFTWRIDNFSRMNTKKLYSDIFVVGGYKWRVLIFPKGNNVDHLSMYLDVADSASLPYGWSRYAQFCLGLVNQIHNKYSVRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTLIIEAEVLVRRVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDLPSQSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEQDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLVEQIGKDIYFDLVDHDKVRSFRIQKQTPFNVFKEEVAKEIGIPVQFLRFWLWAKRQNHTYRPNRPLTPVEETQSVGQLREVSNKVHNAELKLFLEVELGLDLHPIAPPDKTKDDILLFFKLYDPEKEELRYVGRLFVKSTGKAAEILSKLNEMAGYAPEEEIDLYEEIKFEPNVMCEPIDKKFTFRACQLEDGDIVCFQKPTPVENGEHLRYPDVPSFLDYVHNRQVVHFRSLEKPKEDDFSLELSKLHTYDDVVERVAQQLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLTDMLVHYNQTTDILYYEVLDIPLPELQGLKTLKVAFHHATKEEVVIHTIRLPKQSTVGDVINDLKTKVELSHPDAELRLLEVFYHKIYKVFPHSEKIENINDQYWTLRAEEVPDEEKNLGPNDRVIHVYHFTKDTAQNQMQIQNFGEPFFLVIHEGETLAEIKARVQKKLQVADEEFAKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDSAPKRAYAANQNRHTFEKPVKIYN >ONI04593 pep chromosome:Prunus_persica_NCBIv2:G6:28782867:28784355:-1 gene:PRUPE_6G329000 transcript:ONI04593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLHNFLLFFCSLSLIILTSSATKSQTHVPIRPNKLVLKVQKDRATNLHVAQIHKRTPLVQFPFVIDLTGRFLSVNCENQYTSSTYKAPVCHSSQCARANSHMCRTCSSLKTRPGCHTNACGLLTTNPVTQQSAQGELAEDVLKIPSTQGSSPGPMVTHPHFLFACAPSNILQKGLPKNVQGVAGLGHSPISLPYQLASHFGFPPKFALCLTSSPGKNGAVFFGAGPYFMKPGIDVSRQLTYAPFTVGQQGEYYINILSIKINNAMLPSIPKGGFGGAMISTTTPYTTLQTPIFRALNQLFMNQLRGVPHVKPVAPFGACFDANRIPTSKMGPTVPSIDLVLDNKKNIMWRIFGANAMIQPRPGVMCLAFVDGGMRPKAPIVIGTQQLEDNLLQFDLMNSRLGFSSSLLFRRTNCANFNFGTSSTNTDP >ONI03357 pep chromosome:Prunus_persica_NCBIv2:G6:24688193:24688657:1 gene:PRUPE_6G252800 transcript:ONI03357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWTEESHAGDDLGFEFETLKLIGFLLCLAIGTIVAVTYQCLAVADQRRMRNNNNTQQQQNEQQPQGLQINRQLIPVVEYSKESKDGICAVCLCEFKVGEAIRVLPECMHLFHAGCIDMCLSSHSNCPLCRTDIEPRHVVLLVPQFRGGNLSI >ONI01035 pep chromosome:Prunus_persica_NCBIv2:G6:8644708:8647802:1 gene:PRUPE_6G117900 transcript:ONI01035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENNMVTTSQPPQTESKPNNEVETFMEPVQMNIEPNQDLDQSGAPIGRVELTGTDVLVKRKRGRPRKYEMVGEEGNVVGLVSASPSSTYSGSYSESLPKRGRGRPKGSGKLQLLSPRGGLSVDPAGGGFYTQVLTAETGEDIVHKILSLSETNPRSLCILTATGVVCSAVIRQPSSYTGILRFKGRFQILTLSGSFVYDATQNRRGKNGMLSVALCHPDGNIFGGAVAGALIAAEPVQIIATSFLQETSSNTSKELKRRHSAESSTSTSMLGNSSCLAMVPLLMPPPTIVHDESCISPTSALLEFPSHSGAGNVIAANRNMNPATLPGFDQNALQPMPDPTTSPHIDTFIP >ONI04923 pep chromosome:Prunus_persica_NCBIv2:G6:29767564:29769752:-1 gene:PRUPE_6G348600 transcript:ONI04923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVTANILKPFSSFNPNTNTNTNTNLLLFSTSFLNPHPPPLLYPKPSSLKPISATLTPSSSSQQQQVYQPFRPPPSPVPAKFRSLDANGRLEILANRLGLWYEFAPLIPSLLQEGFTPPTIEEVTGISGVEQNRLVVAAQVRDSLVQSKTDPKILAEFDTGGSELLYEIRLLSVQQRAAAARYIIENKLDAKGTQDLARSMKDFPRRRGDKGWESFDYAHPGDCLGFIYYRQAREHKNPSEPRTAALEQALKVAGTDKAKKIILTDLEGETDEKEGREGDVIDVVRVPVVRLKFGEVAESSKVVILPVCRADEKDKEVLEAPWECSSEGEFGVVVAEKGWKRWVVLPGWEPVVGLGKGGVVVSFSDARVLPWKVNRWYKEEPILLVADRSKKEVTADDGFYLAAVEGGGLGFKVVRGSALKETGVKESLGTVVMIVRPPRDETDDQLSEEDWE >ONI03729 pep chromosome:Prunus_persica_NCBIv2:G6:26094270:26096076:1 gene:PRUPE_6G278000 transcript:ONI03729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADYYKILQVPRNASEVDLKEAAEAKFNQLCQAYDVLSDPRKRAVYDQYGEEGLSGGSRPGGSRSPEDIFAEFFGGRGGGMGQSRDDGFRDPDDVFSDFFGQRVNMGESRAGGSRSRSPEIRSMNGENIFESLIAAAEGNGRQRKAAAIKRTLPCSLEELYMGNTRKIKISKDVVGASGRRSTVEEVVNIEIKPGWKKDTKITFPEKGHDVERGVIPADIIFIIEEKPHSFFKRDGDDLVVTQNISLADALTGHTALMTTLDGRNLRVSIDSIIGPTHEEVVKGEGMPIQKEQGKKGNLILKFNIRIPKLTSEQKTSIKQLLTSL >ONI03792 pep chromosome:Prunus_persica_NCBIv2:G6:26329519:26334588:1 gene:PRUPE_6G282400 transcript:ONI03792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNIFTTMRSLKIMDGCKGTQVFAINPSGTTTTTTNNGGSGGGVGDKLLHHLQDHLRVNSTRSRSSRSSLSFQSPNPVGNNLVLETLLPYGLPSSDLLEPQIEPSLKSVDFVETLADVYRRIDHCPQFEKSKMYMEQCAIFRGLSDPKLFRRSLRSARQHAVDVHTKVVLAAWLRYERREDELIGSSAMDCCGRNVECPKASLVSGYDPESAFESCMCSRAPGGEEDDTPRREEDDTPRGEEDDDDFVMVGDEECSTSEEDGNMSFCIGDAEVRCVRYKIASLSTPFYAMLYGNFKERRREKINFTQNGISVEAMRAVEIFSRTKRVDYFDVRIVLDLLSFANRFCCDDMKSACDSHLASLVCELEDAMLLIDYGLEETAHLLVAACLQVFLRELPSSLHNPHMMRLFCNSEARQRLTMTGHASFILYYFLSQIAMEEDMRSNTTVMLLERLGECATESWQKQLAFHQLGVVMLERKEYKDAQWWFEAAVEVGHIYSLVGVARAKFKRGHKYAAYKQMNSLISDYTPVGWMYQDRSLYCIGKEKMMDLTTATQLDPTLSYPYKLRAVCLLEENQIEAGITEINKIISFKVSPDCLELRAWFSIALEDFEGALRDVRALLTLDPNYMMFHGKMHGDHLVELLRPLVQQWSQADCWMQLYDRWSSVDDIGSLAVVHHMLANDPGKSLLRFRQSLLLLRLNCQKAAMHSLRLARNHSGSEHERLVYEGWILYDTGHREEALAKAEESISIQRSFEAFFLKAYALADSSLDSESSTYVIQLLEEALRCPSDGLRKGQALNNLGSVYVDSDKLDLAADCYTNALNIKHTRAHQGLARVYHLKNHRKAAYDEMTKLIEKARNNASAYEKRSEYCDRDMAKNDLSTATQLDPLRTYPYRYRAAVLMDDHKEAEAIEELSKAISFKPDLQLLHLRGAFHESMGDFVSTVRDCEAALCLDPNHADTHDLYAKARERVNEQLK >ONI00786 pep chromosome:Prunus_persica_NCBIv2:G6:7436094:7436656:1 gene:PRUPE_6G105000 transcript:ONI00786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLALALILMVASSSFAAGKASNEHDGYLHGRELGEEAEVGQGGYDGYPGSSVNNHHHLPWQDFNSKGGSDNDNGGG >ONI03862 pep chromosome:Prunus_persica_NCBIv2:G6:26539846:26541503:1 gene:PRUPE_6G286600 transcript:ONI03862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQVMPEKALHGIHGLGRDNNTTAPPPKRLDGKVAIVTGGARGIGEATVRLFARHGAKVIIADVEDAVGLTLANSLSPSVTFVHCDVSLEEDIENLVESTISRYGQLDIMFNNAGILGNQSKHKSIMDFDTDEFDQVMRVNVRGAALGMKHAARVMVPRGNGGCIISTSSVAGVAGGLGPHAYTASKHAIVGLTKNAACELGRYGIRVNCISPFGVATSMLVNAWRTSGDDEEEEECMDLGMPCEQEVEKMEDFVRGLANLKGQTLRAKDIAEAALYLASDESKYVSGHNLVVDGGITTSRNCVGL >ONI02067 pep chromosome:Prunus_persica_NCBIv2:G6:17981338:17982108:-1 gene:PRUPE_6G175400 transcript:ONI02067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSKLAVFLVFSFYFVLLVHGKVQSNELRVSSGNSFNAGRADHL >ONI02711 pep chromosome:Prunus_persica_NCBIv2:G6:22418828:22420130:1 gene:PRUPE_6G217200 transcript:ONI02711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKVHSQGSVPFSWEAMPGVSKVTNQDCPTDFGVGALNCLSAEEDSGDSPNKLVNNPEIKIPLPPCPLLKAPSRSSSTKGFRWHVEADPFLLAYKECTKSTSTLNNNSGKLPGIENNKKGFGAIGCKVRKSRFNMFSCKNSCDVREDNFVKLSQLPALPRDTSGSTR >ONH99496 pep chromosome:Prunus_persica_NCBIv2:G6:2519526:2520120:1 gene:PRUPE_6G033000 transcript:ONH99496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSTTSVYSKRRASPQILNSTLQKQYNWCYETYREFRLLISQPLKTRYLVVAITNHLKLRELIAYNYKIIKLRAQH >ONI00272 pep chromosome:Prunus_persica_NCBIv2:G6:5405522:5411398:1 gene:PRUPE_6G079400 transcript:ONI00272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKVALVQSLIDLVNEIASISDYRCTVKKQYCNLARRLKLLTPMFEEIRDSKEAVPGETLKALLSFMEALESAKDLLRIGSESSKIYLVLEREQIMKKFHEVTSQLEQALSGISYENLDISDEVKEQVELVLAQFRRANGRFDSPDVELYEDLLSLYSRNDAATDPAVLKRLVEKLELAGIAELTQESLALHEMVTSSGGDPGESIEKMSMLLKKIKDFVQTENPDLDAPATEKNILASCSGQASTDKNHKVPPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLEAGHGTCPKTQQNLSNTTLTPNYALRSLIAQWCEANGIEPPKRPNARLNKTASACSPAERTKIEILLRKLTSANPEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPRLVGLLSTPDSRTQEHAVTALLNLSICEDNKGSIISCGAVPGIVHVLKNGGMEARENAAATLFSLSVVDENKVRIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVSTLMQLLTEPGGGMVDEALAILAILASHPEGKSAIGAAEAVPVLVEVIGTGSPRNRENAAAVLVHLCSGDQQHIVEAQELGVMGSLMELAQNGTDRGKRKAAQLLERINRFVEQQTQHAQAQAKAQSETETQQSQPPPSTTNAVDS >ONI00273 pep chromosome:Prunus_persica_NCBIv2:G6:5405522:5411398:1 gene:PRUPE_6G079400 transcript:ONI00273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKVALVQSLIDLVNEIASISDYRCTVKKQYCNLARRLKLLTPMFEEIRDSKEAVPGETLKALLSFMEALESAKDLLRIGSESSKIYLVELVLAQFRRANGRFDSPDVELYEDLLSLYSRNDAATDPAVLKRLVEKLELAGIAELTQESLALHEMVTSSGGDPGESIEKMSMLLKKIKDFVQTENPDLDAPATEKNILASCSGQASTDKNHKVPPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLEAGHGTCPKTQQNLSNTTLTPNYALRSLIAQWCEANGIEPPKRPNARLNKTASACSPAERTKIEILLRKLTSANPEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPRLVGLLSTPDSRTQEHAVTALLNLSICEDNKGSIISCGAVPGIVHVLKNGGMEARENAAATLFSLSVVDENKVRIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVSTLMQLLTEPGGGMVDEALAILAILASHPEGKSAIGAAEAVPVLVEVIGTGSPRNRENAAAVLVHLCSGDQQHIVEAQELGVMGSLMELAQNGTDRGKRKAAQLLERINRFVEQQTQHAQAQAKAQSETETQQSQPPPSTTNAVDS >ONI00274 pep chromosome:Prunus_persica_NCBIv2:G6:5408441:5410972:1 gene:PRUPE_6G079400 transcript:ONI00274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFHEVTSQLEQALSGISYENLDISDEVKEQVELVLAQFRRANGRFDSPDVELYEDLLSLYSRNDAATDPAVLKRLVEKLELAGIAELTQESLALHEMVTSSGGDPGESIEKMSMLLKKIKDFVQTENPDLDAPATEKNILASCSGQASTDKNHKVPPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLEAGHGTCPKTQQNLSNTTLTPNYALRSLIAQWCEANGIEPPKRPNARLNKTASACSPAERTKIEILLRKLTSANPEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPRLVGLLSTPDSRTQEHAVTALLNLSICEDNKGSIISCGAVPGIVHVLKNGGMEARENAAATLFSLSVVDENKVRIGASGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVSTLMQLLTEPGGGMVDEALAILAILASHPEGKSAIGAAEAVPVLVEVIGTGSPRNRENAAAVLVHLCSGDQQHIVEAQELGVMGSLMELAQNGTDRGKRKAAQLLERINRFVEQQTQHAQAQAKAQSETETQQSQPPPSTTNAVDS >ONI04332 pep chromosome:Prunus_persica_NCBIv2:G6:28160333:28161145:1 gene:PRUPE_6G316300 transcript:ONI04332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNPSKQQNFHKSRMLRQSMFSSAAYSQPEDSPHQDVIATVEKSMKKYADNLMRFLEGISSRGSRFKAQVS >ONI02053 pep chromosome:Prunus_persica_NCBIv2:G6:17892645:17894244:-1 gene:PRUPE_6G174400 transcript:ONI02053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKEDEYVFKLCEVMFDSESQACPKLIDRFEEREENVNVNQNECQWIISLHRINYFAADGFFNTFIELLQQTENVTKGRIEINDQSPKWLLKQEAPKIVRSINRQLHEKSIKTKDKSFTSNLKIEALISAQLVLASHSPSVFSILISSPVVSAVGERYYKVTAEALRVCREFFHVVRLNIEVY >ONI00375 pep chromosome:Prunus_persica_NCBIv2:G6:5758271:5761149:-1 gene:PRUPE_6G085500 transcript:ONI00375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKADVSKKADPKSQAVKAAKALKSGPILKKKAKKIRTSVTFHRPRTLKKERNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQSKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >ONI00319 pep chromosome:Prunus_persica_NCBIv2:G6:5547262:5550053:-1 gene:PRUPE_6G082200 transcript:ONI00319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGFAVDDDCKLKFLELKAKRTYRFIVFKIEEEQKQVIVEKLGEPAESYENFTASLPADECRYAVYDFDFVTEENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEIGLDVIKSRAS >ONI01790 pep chromosome:Prunus_persica_NCBIv2:G6:14387587:14389111:-1 gene:PRUPE_6G158800 transcript:ONI01790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHGYWRCSIGETNPMSENEMLEWMESDRSTGDVKGLQNDGKMGNNLTIFSYASVVAATTNFSEENKLGQGGFGPVYKLFGFCIHGEERMLIYAYMPNKSLDHFLFDSTRATLLDWTKRFNIIEGIAQGLLYLHKYSRMRVIHRDLKASNILLDEYMKPKISDFGLARIFTHNELEASTNRIVGTYGYMSPEYVMEGIFSIKSDVYSFGVLVLEIISGRRNSSFYIADHVLNIVGYAWELWKEGRGLELMDPTLKDSCTEDQLLRCFQVVLLCVEENAADRPSMSDVVSMLY >ONI01791 pep chromosome:Prunus_persica_NCBIv2:G6:14387385:14388329:-1 gene:PRUPE_6G158800 transcript:ONI01791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIHRDLKASNILLDEYMKPKISDFGLARIFTHNELEASTNRIVGTYGYMSPEYVMEGIFSIKSDVYSFGVLVLEIISGRRNSSFYIADHVLNIVGYAWELWKEGRGLELMDPTLKDSCTEDQLLRCFQVVLLCVEENAADRPSMSDVVSMLY >ONI01137 pep chromosome:Prunus_persica_NCBIv2:G6:9254844:9256917:1 gene:PRUPE_6G123800 transcript:ONI01137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVLGGKTSPSAADLKDILGSVGAEADGDRIELLLSEVKGKDITEVIASGREKLASVPSGGGAVAYSAPAADAGAAAPAAAEQKKEEKVEEKEDTDDDMGFSLFD >ONI02200 pep chromosome:Prunus_persica_NCBIv2:G6:19017832:19019874:-1 gene:PRUPE_6G182900 transcript:ONI02200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSWIRHGFNRVARIVGRAADPKKENFPVLEGHPVAQQHAEVALETHDTVKKKEQLLKEFKIYRWSPDHPNNKPYLHSYFVDLSNCGPMVLDALQKIKAEDDSSLSYWRSCREGICGSCSMNIDGTNTVACLRPIDADTSKPTTITPLPHMFVIKDLVVDLTNFYQQYKLIEPWLKTTKPPKDGREYRQSPSDRKKLDGLYECILCACCTTSCPSYWWNPEEFLGPAALLHAYRWIADSRDEFTEPRLQALTDDHTRLYRCRTIKNCTATCPKSLNPADAINKMKTRHLLSQPMERVEDQGYMLCQANQLVESF >ONI02824 pep chromosome:Prunus_persica_NCBIv2:G6:22891625:22896755:1 gene:PRUPE_6G223900 transcript:ONI02824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHMIYAHNPAIPLRFLLVCTLVALRAPVAHPPIVRTPSPCPPHETQSPPTAKATHQISASDNLGGAAMSKARVYADVNVIRPKEYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNTNERCIIKILKPVKKKKIKREIKILQNLCGGPNVVKLLDIVRDQHSKTPSLIFEFVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAKEAMGHPYFSQVRAAESSRMRT >ONH99313 pep chromosome:Prunus_persica_NCBIv2:G6:1958444:1974196:1 gene:PRUPE_6G024400 transcript:ONH99313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKRRRAVEVPPKIRSFINSVTAVPLENIEGPLKGFVWEFDKGDFHHWVDLFNHFDSFFEKHIKSRKDLQVEDNFLDSDPPFPREAVLQVLRVIRIILENCTNKHFYSSYEQHLSSLLACTDADVVEACLQTLAAFLKKTVGKYSIRDAALNSKLFALAQGWGGKEEGLGLIACAIQNGCGHIAYELGCTLHFEFYASNDSTDDIPATQGLQIIHLPNINTHPEADLELLSKLIAEYNVPSSLRFSLLTRLRFARAFGSLATRQQYACIRLYAFIVLVQANSDADDLVSFFNTEPEFVNELVSLLSFEDVVLEKIRILCLLSLVALCQDRSRQPTVLTAVTSGGQRGILSSLMQKAIDSVISDTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTNPQHLHLVSTSVHILEAFMDYSNPAAALFRDLGGLDDTISRLHVEVSHVENGSKQQDEDSEIIGRSAQVVAGTSTELDNMQPLYSEPLVSYHRRLLMKALLRAISLGTYAPGNTARVYGSEESLLPQCLCIIFKRAKDFGGGVFSLAATVMSDLIHKDPTCFPVLDAAGLPSAFLDAIMDGVLCSAEAITCIPQCLDALCINTNNGLEAVKERNAMRCFVKIFTSRTYLRALTSDTPGSLSSGLDELMRHASSLRGPGVDMLIEILNAISKIGHGVDASYMSTDPLCSSTPVPMETDGEERNLVLSDGGESSKMDSSEQTAEPSPDSLTGNVELFLPDCVSNAARLLETILQNGDTCRIFVEKKGVEAVLQLFTLPLMPLSVSVGQSISVAFKNFSPQHSASLARAVCSFLREHLKSTNELLVSVGGTQLAVVESAKQTKVLKHLSSLEGILSLSNVLLKGTTTVVSELGAADADVLKDLGSTYREIIWQISLCNDVKSDEKISAEQEPESAEAAPSNASGRESDDDANIPMVRYMNPVSIRNQPLWAGEREFLSVVRSGEGLHRRSRHGFTRIRGGRTGRHLEALNVDSESSSTVLETSTSQDLKKKSPDVLVMEILNKLASTLRSFFTALVKGFTSPNRRRVDSGSLTLASKTLGTALAKVFLESLSFSGHSTSAGLDTSLSVKCRYLGKVVDDMVSLTFDSRRRTCYTTTVNNFYVHGTFKELLTTFEATSQLLWTLPYCVPTSGIDHEKTAEGSKLSHSPWLLDTLQSYCRVLEYFVNSSLLLSTTSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFIASIVSLVMHVYSGVGDVKQNRSGISGSTNPRFMPPPLDESTITTIVEMGFSRARAEDALRRVETNSVEMAMEWLFSHPEDPVQEDDELARALALSLGNSSDASKADSVDKSVDVLAEEGCVKAPPVDDILAASVKLFQSSDTMAFPLTDLLVTLGNRNKGEDRPRVVSYLIQQLKNCPLDFSKDTSALSMVSHVIALLLSEDGSTRETAAQHGIVSAAIDILMNFKAKDESGNELIVPKCISALLLILDNMLQSRPKSSENVEDTQTGSLPESGEHASLSIPASDTEKKQATDTHEKDSATAFEKILGKSTGYLTMEECHNVLAVACDLIKQHVPAMIMQAVLQLCARLTKTHSLALRFLENGGLAALFGLPRSCFFPGYDTVASAIVRHLLEDPQTLQTAMELEIRQALSGNRHGGRTSSRTFLTSMAPVISRDPLVFMKAAAAVCQLETSGGRTFVVLLKEKEKEKEKSKVSAVEAGLSSNECVRIPENKPHDGSGKCSKNHKKIPANLTQVIDQLLEIVLKYHFPKSQEDCVNNLSAMEVDEPAMKVKGKSKVDETRKLESESERSAGLAKVTFVLKLLSDILLMYVHAVGVILKRDLEMTHLRGSNQLDGPGLGGILHHVIHRLLPLTIDKSAGPDEWRDKLSEKASWFLVVLCGRSSEGRRRVINELVKALSSFSNLDSSSTTSILLPDKRVYAFVDLVYSILSKNSSSSNLPGSGFSPDIAKSMIDGGMIQCLTGILRVIDLDHPDASKTVNLILKALESLTRAANASEQYFKSDETNKKKSTGLNGRSDDQVTAASGDNTVGHNQNISSEQDATDAVQTEQVGQGASQSEGNPDANPNQLVEQDMRIDVEGPLASNPPMELGMDFMREEMDGNVLHNTDQIDMTFRVENRADDDMGDEDDDMGDDGEDDEDDDEGEDEDEDIAEDGGGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDDFHENRVIEVRWREALDGLDHLQVLGQPGATSGLIDVAAEPFEGVNVDDLFGLRRPLGFDRRRQTSRSSFERTVTEANGFQHPLLLRPSQSGDLVSMWSAGGNSSRDLEALSSGSFDVAHFYMFDAPVLPYDHVPSNLFGDRLGGAAPPPLTDYSVGMDSLQLSGRRGPGDGRWTDDGQPQAGPQAAAIAQAVEEQFISELRSIAPADIPAERQSQNSRVQEKQPDHPPLNDSQVAAENDDSSHQRNEDQNQDRGGETIHQIISSSESVPCQEQVNPESVGSEVPEPMSIQPPSLNSTPNDSMDTGDGNGTAGEQLGSVPELDSADLQCEGGSEVPSNVHDVTVEAVGCDGSSRTEGQVGNVSASFGFEAPNPDEPSSQNTLVAPEANQAEPVSLNNEAPGANAIDPTFLEALPEDLRAEVLASQQAQPVQPPSYAPPSVDDIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNNRRNGLGFDRQTVIDRGVGVTIGRRAVSALADSLKVKEIEGEPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCTHSVTRAILVRLLLDMIRPEAEGSVSGLATINSQRLYGCNSNVVYGRSQLLDGLPPLVLRRILEILTYLATNHSAVANMLFYFDFSGVPEPLSSIHMETKKDKGKEKMGEGGYSSKISGNTQDVNVPLILFLKLLNRPHFLHGTAHLEQVMGLLQVVVYTSASKLEGRSQSERVDGNSQNLAINEASGDGQKGPALEQESDHGDKPISGESSTSDGKRNTDTYNIFLKLPESDLHNLCSLLGREGLSDKVYMLAGEVLKKLASVAAAHRIFFISELSELANGLSASAVGELVTLRNTQMLGLSAGSMAGPAILRVLQALCSLTSPRASENSGLENDAEQEERATMSKLNVALEPLWQELSNCISATETHLGQSSFCPTMSTINIGDHVQGSSSSSPLPPGTQRLLPFMEAFFVLCEKLQANLSMTLQDNANVTAREVKESAGNSDPSTAKCHSCGDSQRKFDGAVTFTRFAERHRRLLNAFIRQNPGLLEKSLTMMLEAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPNQDMKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKNQVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTNAIRPQINSFLEGFTELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTVASSVVEWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFRALQGISGAQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLHERLMLAIHEASEGFGFG >ONH99312 pep chromosome:Prunus_persica_NCBIv2:G6:1958497:1974196:1 gene:PRUPE_6G024400 transcript:ONH99312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKRRRAVEVPPKIRSFINSVTAVPLENIEGPLKGFVWEFDKGDFHHWVDLFNHFDSFFEKHIKSRKDLQVEDNFLDSDPPFPREAVLQVLRVIRIILENCTNKHFYSSYEQHLSSLLACTDADVVEACLQTLAAFLKKTVGKYSIRDAALNSKLFALAQGWGGKEEGLGLIACAIQNGCGHIAYELGCTLHFEFYASNDSTDDIPATQGLQIIHLPNINTHPEADLELLSKLIAEYNVPSSLRFSLLTRLRFARAFGSLATRQQYACIRLYAFIVLVQANSDADDLVSFFNTEPEFVNELVSLLSFEDVVLEKIRILCLLSLVALCQDRSRQPTVLTAVTSGGQRGILSSLMQKAIDSVISDTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTNPQHLHLVSTSVHILEAFMDYSNPAAALFRDLGGLDDTISRLHVEVSHVENGSKQQDEDSEIIGRSAQVVAGTSTELDNMQPLYSEPLVSYHRRLLMKALLRAISLGTYAPGNTARVYGSEESLLPQCLCIIFKRAKDFGGGVFSLAATVMSDLIHKDPTCFPVLDAAGLPSAFLDAIMDGVLCSAEAITCIPQCLDALCINTNNGLEAVKERNAMRCFVKIFTSRTYLRALTSDTPGSLSSGLDELMRHASSLRGPGVDMLIEILNAISKIGHGVDASYMSTDPLCSSTPVPMETDGEERNLVLSDGGESSKMDSSEQTAEPSPDSLTGNVELFLPDCVSNAARLLETILQNGDTCRIFVEKKGVEAVLQLFTLPLMPLSVSVGQSISVAFKNFSPQHSASLARAVCSFLREHLKSTNELLVSVGGTQLAVVESAKQTKVLKHLSSLEGILSLSNVLLKGTTTVVSELGAADADVLKDLGSTYREIIWQISLCNDVKSDEKISAEQEPESAEAAPSNASGRESDDDANIPMVRYMNPVSIRNQPLWAGEREFLSVVRSGEGLHRRSRHGFTRIRGGRTGRHLEALNVDSESSSTVLETSTSQDLKKKSPDVLVMEILNKLASTLRSFFTALVKGFTSPNRRRVDSGSLTLASKTLGTALAKVFLESLSFSGHSTSAGLDTSLSVKCRYLGKVVDDMVSLTFDSRRRTCYTTTVNNFYVHGTFKELLTTFEATSQLLWTLPYCVPTSGIDHEKTAEGSKLSHSPWLLDTLQSYCRVLEYFVNSSLLLSTTSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFIASIVSLVMHVYSGVGDVKQNRSGISGSTNPRFMPPPLDESTITTIVEMGFSRARAEDALRRVETNSVEMAMEWLFSHPEDPVQEDDELARALALSLGNSSDASKADSVDKSVDVLAEEGCVKAPPVDDILAASVKLFQSSDTMAFPLTDLLVTLGNRNKGEDRPRVVSYLIQQLKNCPLDFSKDTSALSMVSHVIALLLSEDGSTRETAAQHGIVSAAIDILMNFKAKDESGNELIVPKCISALLLILDNMLQSRPKSSENVEDTQTGSLPESGEHASLSIPASDTEKKQATDTHEKDSATAFEKILGKSTGYLTMEECHNVLAVACDLIKQHVPAMIMQAVLQLCARLTKTHSLALRFLENGGLAALFGLPRSCFFPGYDTVASAIVRHLLEDPQTLQTAMELEIRQALSGNRHGGRTSSRTFLTSMAPVISRDPLVFMKAAAAVCQLETSGGRTFVVLLKEKEKEKEKSKVSAVEAGLSSNECVRIPENKPHDGSGKCSKNHKKIPANLTQVIDQLLEIVLKYHFPKSQEDCVNNLSAMEVDEPAMKVKGKSKVDETRKLESESERSAGLAKVTFVLKLLSDILLMYVHAVGVILKRDLEMTHLRGSNQLDGPGLGGILHHVIHRLLPLTIDKSAGPDEWRDKLSEKASWFLVVLCGRSSEGRRRVINELVKALSSFSNLDSSSTTSILLPDKRVYAFVDLVYSILSKNSSSSNLPGSGFSPDIAKSMIDGGMIQCLTGILRVIDLDHPDASKTVNLILKALESLTRAANASEQYFKSDETNKKKSTGLNGRSDDQVTAASGDNTVGHNQNISSEQDATDAVQTEQVGQGASQSEGNPDANPNQLVEQDMRIDVEGPLASNPPMELGMDFMREEMDGNVLHNTDQIDMTFRVENRADDDMGDEDDDMGDDGEDDEDDDEGEDEDEDIAEDGGGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDDFHENRVIEVRWREALDGLDHLQVLGQPGATSGLIDVAAEPFEGVNVDDLFGLRRPLGFDRRRQTSRSSFERTVTEANGFQHPLLLRPSQSGDLVSMWSAGGNSSRDLEALSSGSFDVAHFYMFDAPVLPYDHVPSNLFGDRLGGAAPPPLTDYSVGMDSLQLSGRRGPGDGRWTDDGQPQAGPQAAAIAQAVEEQFISELRSIAPADIPAERQSQNSRVQEKQPDHPPLNDSQVAAENDDSSHQRNEDQNQDRGGETIHQIISSSESVPCQEQVNPESVGSEVPEPMSIQPPSLNSTPNDSMDTGDGNGTAGEQLGSVPELDSADLQCEGGSEVPSNVHDVTVEAVGCDGSSRTEGQVGNVSASFGFEAPNPGDSHTSSVPTNVDVDMNCIDEVNQTGHPMPAFENGTDEPSSQNTLVAPEANQAEPVSLNNEAPGANAIDPTFLEALPEDLRAEVLASQQAQPVQPPSYAPPSVDDIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNNRRNGLGFDRQTVIDRGVGVTIGRRAVSALADSLKVKEIEGEPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCTHSVTRAILVRLLLDMIRPEAEGSVSGLATINSQRLYGCNSNVVYGRSQLLDGLPPLVLRRILEILTYLATNHSAVANMLFYFDFSGVPEPLSSIHMETKKDKGKEKMGEGGYSSKISGNTQDVNVPLILFLKLLNRPHFLHGTAHLEQVMGLLQVVVYTSASKLEGRSQSERVDGNSQNLAINEASGDGQKGPALEQESDHGDKPISGESSTSDGKRNTDTYNIFLKLPESDLHNLCSLLGREGLSDKVYMLAGEVLKKLASVAAAHRIFFISELSELANGLSASAVGELVTLRNTQMLGLSAGSMAGPAILRVLQALCSLTSPRASENSGLENDAEQEERATMSKLNVALEPLWQELSNCISATETHLGQSSFCPTMSTINIGDHVQGSSSSSPLPPGTQRLLPFMEAFFVLCEKLQANLSMTLQDNANVTAREVKESAGNSDPSTAKCHSCGDSQRKFDGAVTFTRFAERHRRLLNAFIRQNPGLLEKSLTMMLEAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPNQDMKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKNQVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTNAIRPQINSFLEGFTELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTVASSVVEWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFRALQGISGAQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLHERLMLAIHEASEGFGFG >ONI04051 pep chromosome:Prunus_persica_NCBIv2:G6:27241751:27242260:-1 gene:PRUPE_6G299200 transcript:ONI04051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWLTVICPAVTSVLMLISIILLLLSMTVYKKMTPITTVDVVALRHIDMSRNVPEISVDVNMSVMNPNGVAFKYTHSTVLLVYRGVVVGEALIEAGVISKAATKTANVILASNLADRLQGESELIMIHSDMLAGTLPFNIQIKFSGRLFSLLKIFQVSCRRGLYQLMLF >ONH99248 pep chromosome:Prunus_persica_NCBIv2:G6:1655320:1657656:-1 gene:PRUPE_6G020700 transcript:ONH99248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPYSTIAQTSRNISSGSSPLTARNDDNSSWPSPSGEFAFGFRQIGKDGFLLAIWFNNIPERAIVWSANGNNLVPQGSQVELTSDGQFILKDATGNRIWYVESTDTEVAYAAMLDTGNFVLANRNSSTFWQSFDHPTDTILPTQTLNQNSSLYARYTATNYSRGRFLFVLQSDGNLVLSTTNFPQDSANSAYWSAETTNIGFQVIFNQSGPIYVVSRNGSVLKTILPTSVSTQNYYQRVTLEYDGVLRHYFYPKSASSIAEPRAWSTAVSIPQNICLSIQEDMGRGACGINSLCRLGDEGPICDCPRGYTSIDPNDVRKGCKQNFVPQSCDQVSPEHLFDFEVMQNTNFPLGDYEHFTEVTENWCRQNCLDDCFCAVAIVNSGGHCFKKRLPFSNGMIGPSVNAKALIKFGKDNSTLRSGGGAITKEKDNSTLILVGSVLMSSSGFLNILLPLITYLVVSRVYSRKAKVTQPHPVMSGMNLKDFTYEELKKVTNEFKDEIGRGASATVFKGVLASDTGRCVAVKRLDAKVRENDLEFKAEMSAIGRTNHRNLVQLLGFCNEGEHRILVYEFMSNGSLAGLLFGESMPNWYQRRQIALGIASGLLYLHEECSSQIVHCDIKPQNILLDDCFTARISDFGLAKLLRLDQTRSMTAIRGTRGYVAPEWFRSLPITVKVDVYSYGILLLEIIFCRKHFVEVADNEDEMILADWAYDCYMQKKLHWLFKNDEEEINDINEMEKYVMIAIWCIQEDPSLRPTMKKVTLMLEGTVEVSAPPPLS >ONI00306 pep chromosome:Prunus_persica_NCBIv2:G6:5512389:5515896:1 gene:PRUPE_6G081400 transcript:ONI00306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSDDVVVIQKGNRPGEPCVITVNCPDKTGLGCDICRIILDFGLYIEKADFSTDGIWCYLVLWVFPHSSSPIVRLSNLKNQLQSVCPSCTMSYYFFQQTTRPSSSAVYLLTFVCLDRKGLLHDVTQILSELELSIQSVKVTTTPDDRVLDLFFITDNMDLLHTKERQDETLKQLHDVLGESCISCELQLADPVYESHRGIPSLSPVVAEELFTCELLDKETLSQALSPDMTKLKESNVTMDNSLSPAHTLLQIHCADHKGLLYDIMRTLKDCNIKISYGRFSPNVKGYRDLDLFIQQKDGKKFVDPDKQSALCLCLKVEMLHPLRVIIADRGPDTELLVANPVELSGKGRPRVFYDVTLALKTLGICIFSAEIGRVSASDREWEVYRFLLEENHKFQLSNMVAKNQIVDRVRRTLMGW >ONI04914 pep chromosome:Prunus_persica_NCBIv2:G6:29744560:29748280:1 gene:PRUPE_6G348000 transcript:ONI04914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRELALGLLVFYFIRFVSAIDNDFAHCNCDEEGFWSIPNILEYQRVSDFLIAIAYFSIPIELLYFVSCSNVPFKWVLLQFIAFIVLCGLTHLLNAWTYNGRQSFQLMLSLTIAKFLTALVSCATAITLLTLFPLILKVKVRELFLRQNVLELDQEVGMMKIQKEASWHVRMLTREIRKSLDKHTILYTTLVELSKTLDLHNCAVWMPNEDRAEMNLTHELKSSSSRNYLRSIPINDPDVLEIRESERVTILSPESALGSASSGESGESGAVAAIRMPMLRVSNFKGGTPQLVDTHYAILVLVLPVMDSRGWSHHEMEIVEVVADQVAVALSHAAVLEESQLMREKLGEQNRALQQAKKNAMMASQARHSFQKVMSHGMRRPMHTILGLLSMFQENLSFKQSLIVDTMAKTSYVLCTLINDVMEMSAKDNGRFPLEMRPFQLHSMIKEASCLAECLCMYKGFGFEVDVQSSLPNQVIGDERRAFQVILHMVGYLLSTYNGVGTVIFRAISESGYEGQDDRLQGIWRSNVPDEYVSIKFEFEISEGSSRPGGLVSLMHYAGGRHNNDEIKKGLSFSICKKIVQMMQGNIWISMNPVDFAESMTLVLRFQILPSIGRSMHLPGNNLEQPNSNSQFRGLGVIVADDDNVNRTVTNKLLEKLGCQVTAVSSGFECLSALSDAENSFKIVVLDLHMPEMDGFEVAMRIRKFHSPNWPLIIALTASAEEHVWERCLQMGMNGLIRKPVLLQGMADELRRVLQ >ONI02696 pep chromosome:Prunus_persica_NCBIv2:G6:22347563:22349482:-1 gene:PRUPE_6G215900 transcript:ONI02696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLCQQTTSLCTKHHLSLFSKPNSPFNLFHTNHKATKQTNNPHVFSERRSGFGLVRLETDPEPSPDDPNQCEFAADVEKIYRILRKFHSRVPKLELALEQSGVVLRSGLAERVLNRCGDAGNLGYRFFVWASQQPNYRPSYEVYKAMIKSLSKMRQFAAVWALLEEMRKENPHMITQEVFVVLMRRFASARMVKKAVEVLDEMPKYGCEPDEYVFGCLLDALCKNGSVKEAASLFEDMRVRFTPSVKHFTSLLYGWCREGKLMEAKFVLVQMKEAGLEPDIVVFNNLLSGYAQAGKMVDAYELLKEMRRKGCEPNAASYTTVIQALCGREKMEEAMRVFVEMQRSGCEADVVTYTTLISGFCKWGKIERSYEILDGMIQKGFMPNQMTYMHIMLAHEKKEELEECVELLEEMRKIGCIPDLGIYNTLIRLACKLGEVKEGVRLWNEMEVAGFSPGLDTFVIMIHGFLGQGFLIDACDYFKEMVGRGLLSGPQYGTLKELMNALLRDEKLEIAKDVWSCIVTKGCELNVSAWTIWIHALFSKGHVKEACSYCLDMMEADVMPQPDTFAKLMRGLRKLYNRQIAAEITEKVRKMAADRQITFKMYKRRGERDLKEKDKGKKDGRKRRARRRRWPSKAKDL >ONI03733 pep chromosome:Prunus_persica_NCBIv2:G6:26106869:26109286:-1 gene:PRUPE_6G278400 transcript:ONI03733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLQSWPEPIVRVQSLAESGITAIPDLYIKPPTKRPSLLPANCNQAEVEVNIPVIDLQNLLSQEESLRETTLRSISSACREWGFFQVVNHGVSEELMKRARDTWREFFGLPLDVKQEYSNKPSTYEGYGSRLGVEKGAILDWSDYYFLHYMPASLRNHSKWPALPSSCRKLIEEYSEATVRLCGTLMKLLSQNLGLEEDQLLNAFGGEENIGACLRVNFYPKCPQPDLTLGLSEHSDPGGLTLLLPDENVAGLQVRKGQNWVTVKPVPNAFIVNIGDQMQVLSNAIYKSVEHRVIVNSVKDRVSLALFYNPKSDLLIQPAKKLVTKDRPALYAPMTFDQYRLFIRTKGPCGKAQVESLKSYE >ONI01885 pep chromosome:Prunus_persica_NCBIv2:G6:16197633:16199954:-1 gene:PRUPE_6G164900 transcript:ONI01885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLLLALAFVAAEAQQMQSNISRGSSLTPTTNSTWLSRSGLYAFGFYRQGNGYAVGIFLAGIPEETVVWTANRDDPPVSNNATLLFTSDGLALPTAEGQNYLAKSSGPASYASMLDSGNFVLYNSGREIVWQSFDHPTDTLLPSQRLLSGDELFSAKSEADHSTGIFRLKMQNDGNLVQYPVDTPDTSVYSFYSSFTDGEGENVTLNFGADGHLYLLNRNGSNIRNITDGGLPTDEGKLYLMRIDVGGIFRLYSHDLKKSATWLVEWESSKDECVPKGLCGLNSYCVSIDLQADCRCLPGFESVSQGNQTSGCERNFVADACKNRNENFTYTMEELESTTWEDVSYMSSRLSDKDACIQGCLEDCNCEAALFDGTNCRKQRLPLRYGRRDIGTSNIALIKVGVPTKPGTEPRIVQPGSKKKGRTDILIIGLSFTAFGSILLVISVIVLWKHNVWAYKRMRAVNGDLELNESVALRRYAYEELEKMTNNFKEELGRGASSTVYKGLILGSQKPVAVKRLEKVAAEGETEFQTEIRVIGRTHHKNLVRLLGYCLDGAKKLLVYEYMSNGSLADVLFTRERQPFWEERMGIARNIARGFLYLHEECDTQIIHCDIKPQNILLDEFMCPKISDFGLAKLLKADQTRTTTGIRGTKGYVAPEWHRKMPITVKADVYSFGIVLLEIICCRRNVDWSLPEEEAILDELASHCFEKGELGKLAGDEEIERRQFERMIKVGLWCIQDEPSLRPSMKKVLLMLEGTVDIPTPPSPSSFFSAI >ONI00066 pep chromosome:Prunus_persica_NCBIv2:G6:4563645:4564616:-1 gene:PRUPE_6G065900 transcript:ONI00066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSFRRGSIFDPSSLDIWDPFKDFPFPSSSSLSTFPKFSRENSAFLNTRIDWKETPEAHLFKADLPGLKKEEVKVEVEDNRVLQISGERNVEKEDKNDKWHRVERSSGKFLRRFQLPENAKLNEIKAAMENGVLSVTVPKAEVKKPDVKAIEISG >ONI05133 pep chromosome:Prunus_persica_NCBIv2:G6:30307422:30307939:-1 gene:PRUPE_6G357800 transcript:ONI05133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPFCILHPSLSLKQRALTADAARALDKAKMMRFDEKSSNFYCTEIGRIASHFDIQCSSVETYNEMLRPHMNETEVIVFVNYFLRVNPEAGLFFFDFSYRPVPLHSSISVLVSRILQPSY >ONI00393 pep chromosome:Prunus_persica_NCBIv2:G6:5815010:5817408:-1 gene:PRUPE_6G086400 transcript:ONI00393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSRARAINQAQANLLRHCSNPIQAQQPYHTFRPNKPKTKPRRERLHRDTTKLRRPIPFVSDVKEVEDPEEGLSLFYEYHQMGFKHDYPSYSALLYKLARSRNFEAVETILGHVRDRNIHCKDTLFIALIQHYGKANLVEKAIELFNQMPSFNCVRTLQAFNALLNVLVDSGRFVEADEIFGRCSKMGLRPNSISYNIMMKGWLQKGDGEEACKVFDEMLEKKVQPSVVTYNSLIGFFGRKGELEKANGLLEDMKQKGKYPNAVTYALLMEGFCMLGKHEEAKKMMFDMEYRGCKPRLLNYGVLMSDLGRRGKIDEAKSLLQEMKKRRFKPDVVLYNILINFLCKEGRAAEAYKVLIEMQVGGCVPNAATYRMMVDGFCQIEDFEGGLKVLIAMLTSRHCPRLETFECLVTGLVKCGKIDDAFVVLEEMEKRNMQFCFEAWEALVVDACGENVVAGEVVTELISVH >ONI02727 pep chromosome:Prunus_persica_NCBIv2:G6:22498702:22499601:-1 gene:PRUPE_6G218300 transcript:ONI02727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHFSSSSASSTTTYLSQTMQPTKGLISTSNSLPYHQSSLHSVRRPSSKPWRKPAVAPLPPTPPRIYKVDPINFKDLVQSLTSGPGFLEARSLQSVAPAPIDVQRNASVLNNVLSSSSASSPASKIISPFSAMYKDLAETLEMSSTPHHQKAHDSNVDPSYLSLNLQSPSSHHWFSFPLLSPGTMSSLEQSTML >ONI03112 pep chromosome:Prunus_persica_NCBIv2:G6:23893329:23895542:1 gene:PRUPE_6G239600 transcript:ONI03112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFEFADKVPPSFDGVAAAKGFNPGLIVLLVVVGLLVIFLVGNYALYVYAQRTVPPKKKKPVSKKKLKRERLKQGVSAPGE >ONI03378 pep chromosome:Prunus_persica_NCBIv2:G6:24724231:24728549:-1 gene:PRUPE_6G253900 transcript:ONI03378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMERKKTLTMNWDGLRDDEDDDRFFESNDRVSSAVPLDLEASSSEDEDFDDSRMSFASCVSSVRRDFDLRPFVTPQTTPPSTTPPSPTHEAMTQASVAPMSPDYNIWMAAPGSITERRKRLLQGMGLADGLGKDLTSFKQFTSIKRLISSKIPNGVVSVPRSVTRSKEHVEKSTAQPEPEPEPEPEPEPELETEALPLVLVRSRSEGDIEAFSVDKQRKEEIIGTISKQRLTRTCSTIAGARSTICPYTESVRASPSEVGGATPASSRPVRTSGGLSSLFSNNRIGAFFLIKNLDTGTEFIVNEYDQDGCWNRFSDLQTGKQLNMDEFEKCVGYSPVVKEVMRRQNVSSEGGGDRKVGNANSFVSKSLRMSKRRGVAMLKNSIKGMGSAVSVLIGEKDREAATPTPTPPPTPPDPKSGKNTTSSSSEWIKVRHTGKHYKELSALHLCQEIQAHEGSIWTIKFSLDARFLASAGEDRIIHVWEVQECEIMSLDGNSTPLHQSFGSSTPDRSPSISDQAALVPFEKKKKGKGSSARKTNPIPEYVHVPETVFSFSEKPVCSFEGHLDAVLDLSWSRNQLLLSSSMDKTVRLWDLETKTCLKLFAHNDYVTCIQFNPLDDNYFISGSLDAKIRLWNIPVRQVVDWTDLHEMVTASSFTPDGLACLIGSHKGNCRMYSTEDCKLSQHSQIDIQNKKKNQAKKITGFQFSPMNPSEMLVTSADSRIRIVDGTNMTHKFRGFRNTSSQIGASFSPNGKYVICASEDSHVYVWKREEPRTSGAGKKSIISSNSHEHFQCKDVSVAIPWPGTIKGDPPPVPVQHSKRHSKRSTQQQQQQQPPSGNASPTQEDAAGLSKRLLPPLPKKNNTNNKGDALDQIPATPPLLEDQDPAQISRTESGIGESFNSDPSSIRYGGGDSSMSVGSASTSSWSSSWSWLDNIGNNSHANQTTQATAWGLVIVTATLEGEIRAYQNFGLPRKMQNNIFGGPT >ONI03379 pep chromosome:Prunus_persica_NCBIv2:G6:24725164:24728317:-1 gene:PRUPE_6G253900 transcript:ONI03379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMERKKTLTMNWDGLRDDEDDDRFFESNDRVSSAVPLDLEASSSEDEDFDDSRMSFASCVSSVRRDFDLRPFVTPQTTPPSTTPPSPTHEAMTQASVAPMSPDYNIWMAAPGSITERRKRLLQGMGLADGLGKDLTSFKQFTSIKRLISSKIPNGVVSVPRSVTRSKEHVEKSTAQPEPEPEPEPEPEPELETEALPLVLVRSRSEGDIEAFSVDKQRKEEIIGTISKQRLTRTCSTIAGARSTICPYTESVRASPSEVGGATPASSRPVRTSGGLSSLFSNNRIGAFFLIKNLDTGTEFIVNEYDQDGCWNRFSDLQTGKQLNMDEFEKCVGYSPVVKEVMRRQNVSSEGGGDRKVGNANSFVSKSLRMSKRRGVAMLKNSIKGMGSAVSVLIGEKDREAATPTPTPPPTPPDPKSGKNTTSSSSEWIKVRHTGKHYKELSALHLCQEIQAHEGSIWTIKFSLDARFLASAGEDRIIHVWEVQECEIMSLDGNSTPLHQSFGSSTPDRSPSISDQAALVPFEKKKKGKGSSARKTNPIPEYVHVPETVFSFSEKPVCSFEGHLDAVLDLSWSRNQLLLSSSMDKTVRLWDLETKTCLKLFAHNDYVTCIQFNPLDDNYFISGSLDAKIRLWNIPVRQVVDWTDLHEMVTASSFTPDGLACLIGSHKGNCRMYSTEDCKLSQHSQIDIQNKKKNQAKKITGFQFSPMNPSEMLVTSADSRIRIVDGTNMTHKFRGMHLLQSSEPFTSCLHIFWSIMPYMQFDHKQVSEIPAAKLELHLVQMGSMSYAPVKILMYMFGSEKSPEPRGQEKKVSSVQILMNISSVKMSQ >ONI03665 pep chromosome:Prunus_persica_NCBIv2:G6:25852661:25853476:1 gene:PRUPE_6G273500 transcript:ONI03665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLGQGLNPIIMRSEKKPLGLKEVATSGGKQGNNGINKNHHSQNLNLSRLSSQPKDQTNEPEEHEKQNNPRR >ONI03989 pep chromosome:Prunus_persica_NCBIv2:G6:27054657:27057546:1 gene:PRUPE_6G295500 transcript:ONI03989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQLPGLTKARMCKPIQTQLLFPMDTMDAVVSDHVELDFDDVFGPLPVQASVEVNYGDSANSAAFEDATELIYDNPVVIHNRSHSLVGPSSFVSQSLKLSKLTISDTEDSVELVECVNGDTIKEFQEPLIDDGVTEKTLENDEEISMKIESVGIEDFEVLKVVGQGAFAKVYQVRKKGTSEIYAMKVMRKDKIMEKNHAEYMKAERNILTKIDHPFIIQLRYSFQTKYRLYLVLDFVNGGHLFFQLYHHGLFREELARIYAAEIVSAVSHLHANGIMHRDLKPENILLDTDGHAMLTDFGLAKQLEENTRSNSMCGTVEYMPPEIVLGKGHNKAADWWSVGVLLFEMLTGKPPFTGNREKIQQKIVKEKIKLPSFLSSEAHSLLKGLLQKDASHRLGSGPLGCEEIKRHKWFKPINWKRLDAREIQPSFRPQVSGKQCIANFDKCWTDMSVVDSPAASPNAAGNPFTGFSYVRPAASFLQKNSPMY >ONI01483 pep chromosome:Prunus_persica_NCBIv2:G6:11533374:11536383:1 gene:PRUPE_6G142300 transcript:ONI01483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSLLFAAYTVLLLLLLLHVPSSCSHTASNDNSDCTRSCGNINISSPFRLKGDSKHCGVSDKSYELSCEAKGNGTTHHAVLYLLSGKYYVQAINYNNFAIRLVDAGVHKIKDNYFSHPLYSLTSFNFSYYSDYTGPYYWNLRGSMSIIFLSCENPMNPSDLIVETAPCISGVNNYSSSNSSFSNLTTYSYFIKSNLTTYDLGDSCKITLMVMVSPSTEEHMTSCKGIYNEIAHGFELSWEPCSPNSTAIAVSCSPEAPWSREIPWYNEIIMLIIEKVGYRIHPIYAFLGFDRLFSMGGYRLYLGQLLGCAVAPLVLYLAAKFTIGFPFVTALLIYKWRRRHLSMYENIEDFLQSNNNLMPIRYSYSDIKKMARGFKDKLGEGGYGSVYKAKLRSGHLVAIKMLGKSKTNNGQDFINEVATIGRIRHVNVVRLIGFCVEGSKRALLYDFMSNGSLEKYIFSQQGDVSLSCHKIFEIALGVARGIDYLHQGCDMQILHFDIKPHNILLNENFTPKVSDFGLAKLYPLDNSIVSLTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMEMAGRRKNLNAGIEQSSQFSQIYFPTWVSDQLKAGKDIEIGDDATDEEKKIIKKMMMVALWCIQMKPIERPSMNKVVEMLEGEIETLQMPPRPFLYPQQIPADEVGVDNRSPCASSASESEEITLIANAN >ONI01484 pep chromosome:Prunus_persica_NCBIv2:G6:11533374:11536383:1 gene:PRUPE_6G142300 transcript:ONI01484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSLLFAAYTVLLLLLLLHVPSSCSHTASNDNSDCTRSCGNINISSPFRLKGDSKHCGVSDKSYELSCEAKGNGTTHHAVLYLLSGKYYVQAINYNNFAIRLVDAGVHKIKDNYFSHPLYSLTSFNFSYYSDYTGPYYWNLRGSMSIIFLSCENPMNPSDLIVETAPCISGVNNYSSSNSSFSNLTTYSYFIKSNLTTYDLGDSCKITLMVMVSPSTEEHMTSCKGIYNEIAHGFELSWEPCSPNSTAIAVSCSPEAPWSREIPWYNEIIMLIIEKVGYRIHPIYAFLGFDRLFSMGGYRLYLGQLLGCAVAPLVLYLAAKFTIGFPFVTALLIYKWRRRHLSMYENIEDFLQSNNNLMPIRYSYSDIKKMARGFKDKLGEGGYGSVYKAKLRSGHLVAIKMLGKSKTNNGQDFINEVATIGRIRHVNVVRLIGFCVEARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMEMAGRRKNLNAGIEQSSQFSQIYFPTWVSDQLKAGKDIEIGDDATDEEKKIIKKMMMVALWCIQMKPIERPSMNKVVEMLEGEIETLQMPPRPFLYPQQIPADEVGVDNRSPCASSASESEEITLIANAN >ONI03013 pep chromosome:Prunus_persica_NCBIv2:G6:23539540:23541613:1 gene:PRUPE_6G233400 transcript:ONI03013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNTSKHPHAVIFPYPLQGHVIPAVHLAIKLASKGITVTFVNTQAVHHQIVKSHAMEDDNIFNGVRQSGLDIHYVTINDGFPVDFDRSLNHDQFWESVLHDFPAHVDELLAKLVLSNPPVNCLISDTFFVWPTIIAKKYNLVTVSFWTEPALIFNLYYHVDLLNKNGHGHFTSHANPRQDTIDYIPGVRAIEPKDLSSYLQPSDYTSPVHRIIVKAFEKIKTADFILCNTVQELESETVSALQEKQQIYSIGPIFPTGFTKSRVATSLWSESDCIQWLSTRSRGSVLYVSFGSYAHASKREIEEIANGLLLSKVGFIWVLRPDIVSSDEPEILPFGFEDEIKDQEQGLIVPWCSQIEVISHPAIGGFITHCGWNSILESIWCNLPLLCFPLLTDQFTNRKLVVDDWRVGLNLCDQKPITKEEVAEKISHLMSGKSADELRRNVKEVRKALEGALAIGGSSHRNLDQFIDGVKAKVQEKTGFGFNNTEVS >ONI03612 pep chromosome:Prunus_persica_NCBIv2:G6:25607199:25609304:1 gene:PRUPE_6G269100 transcript:ONI03612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGERIRLYVRGTVLGYKRSKSNQYPSASLIQIENVNTKEEVAWYAGKRLAYIYKAKVKKNGSHYRCIWGKVSRPHGNSGVVRAKFKSNLPPKSMGARVRVFMYPSNI >ONI03642 pep chromosome:Prunus_persica_NCBIv2:G6:25718470:25720456:-1 gene:PRUPE_6G271200 transcript:ONI03642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDIHTKDGTIDYQNRPAIKKNTGTWKACPYILGNECCERLAYYGINTNLVNYLKFQLNQRNVVAVNNVTNWSGTCYVTPLLGAFLADAYLGRYWTIAAFSIIYVFGMTLLTLSASLQGLKPPCDTNNVCHPTGLQAGVFFMGLYLIALGTGGIKPCVSSFGADQFDDSDEAEKKNKGSFFNWFYFSINIGALVASSVLVWIQTNVGWSWGFGIPAVAMALAVVSFFLGTRLYRNQRPGGSPLTRICQVFVASFGKFRVKVPGDKSLLYETADEEPVVKGSRKLDHTEELSFLDKAAVETPSDKIKDSVMAWRLCTVTQVEELKSLVKLLPIWATGIIFSAVYSQMGTLFVLQGNTMDLHVTKSFEIPSASLSLFDTISVIFWVPVYDRLIVPFVRKFTKRNNGFTQLQRIAIGLVISIFAMLAAGTLELVRLNEVRKHNYYDLKHMPMSIFWFFTFIGQLEFFYEQAPDAMRSLCSALSLTTAALGSYLSTMLVNIVTDVSTRDGKAGWIPDNLNYGHLHYFFWLLACLSVMNLGFYLLVARWHTYKRAVVPNH >ONI01878 pep chromosome:Prunus_persica_NCBIv2:G6:15989495:15991987:-1 gene:PRUPE_6G164200 transcript:ONI01878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETLKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ONH99720 pep chromosome:Prunus_persica_NCBIv2:G6:3298079:3300802:1 gene:PRUPE_6G045600 transcript:ONH99720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSMETSVPVPCTNSQIPVDLFVSKKHPGLPSGELGFVDSSGNPVYKVTRQSLTSSSNKRVLLDAAGNPRFALCRNDKGCWQGYKGGDAGEKDLVFRVKRTKSKLTRTELEIFLVGENSADSTCDFKVKGFPYQRSCTIYIGNDIVAQTSLMYKLNQLFPKRGKFRLTIFPGSVDHALVAALIVIFLD >ONH99721 pep chromosome:Prunus_persica_NCBIv2:G6:3298079:3300976:1 gene:PRUPE_6G045600 transcript:ONH99721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSMETSVPVPCTNSQIPVDLFVSKKHPGLPSGELGFVDSSGNPVYKVTRQSLTSSSNKRVLLDAAGNPRFALCRNDKGCWQGYKGGDAGEKDLVFRVKRTKSKLTRTELEIFLVGENSADSTCDFKVKGFPYQRSCTIYIGNDIVAQTSLMYKLNQLFPKRGKFRLTIFPGSVDHALVAALIVIFLD >ONH99719 pep chromosome:Prunus_persica_NCBIv2:G6:3298076:3300802:1 gene:PRUPE_6G045600 transcript:ONH99719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSMETSVPVPCTNSQIPVDLFVSKKHPGLPSGELGFVDSSGNPVYKVTRQSLTSSSNKRVLLDAAGNPRFALCRNDKGCWQGYKGGDAGEKDLVFRVKRTKSKLTRTELEIFLVGENSADSTCDFKVKGFPYQRSCTIYIGNDIVAQTSLMYKLNQLFPKRGKFRLTIFPGSVDHALVAALIVIFLD >ONI01061 pep chromosome:Prunus_persica_NCBIv2:G6:8824226:8824816:-1 gene:PRUPE_6G119400 transcript:ONI01061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKIDIKKINNKNYLKATFSKRRKGLFRKATDLCCLSGAQIAIITFSPGNKPFIFGHPSAETVIDRFLGEQASCTTDGDDDEGIGPVEGEDGHEDLEASHDEEDIGSVDAEDSHEDSEAHQEDEAGGDGEKVSVWWDEPIDENLGLHELKKYKGLLECLKENVASKLYEMNKREFYTKDYLAMLNSEIGSTSNA >ONI03979 pep chromosome:Prunus_persica_NCBIv2:G6:27018012:27019058:1 gene:PRUPE_6G294700 transcript:ONI03979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLVYLDTILVTLSLFLLISYHAYLWHTFKTKPSHTTIGIDSIRRRTWFLEIKESDGLKAMLAVQSLRNTQMVAIFTASIAIAFSLALAALTNNAYNASHLLIESPLFGSQSGRMFALKYGFASVILLFSSLCSSMATVFFIDTIFLINASAEFSSSGITQTLFERGYMLALIGHRLLCISFPLMLWLFGPVPVALSSLALVWWLSELDFVGKFNKSNRQSLS >ONI05011 pep chromosome:Prunus_persica_NCBIv2:G6:29943952:29946187:1 gene:PRUPE_6G351500 transcript:ONI05011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTLKPFFLCLAALLLLLLSLLSSANPINSDYNTLVYNQCANQTFTTPPTQQTLSSLFQELIAHSSQSKFFKHTQATGDDDETQTGISGLFQCIQDISNEECQSCVSTLPDLSNTLCRESVSARVQLHGCYMHYELDGYDSESSNHKLMHQTCGELSNGLAGGFEEMRDAAFAALESGIVMNGDGFYKTSYEAVQVMAQCVGGLGTCECGLCVSSAVQIAEAECGAALSGQIYLEECFLSYTYHPDEILDHPHPEKRRDRNGSNGKSVAIVVGGAAALCLGFVFLLFVKSWSKKEDD >ONI05060 pep chromosome:Prunus_persica_NCBIv2:G6:30120249:30122218:1 gene:PRUPE_6G354200 transcript:ONI05060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYQTSTLPSSSASLFDHRQDIVFLFCFAILKTNDSSMASASYLALGSLAIFGLAMALGTLSSQAEGRAFFVFGDSLVDNGNNNYLATTARADSPPYGIDYPTGRPTGRFSNGFNIPDFISQQLGAESTLPYLSPELTGQKLLVGANFASAGIGILNDTGIQFVNIIRMSRQLEYFQQYQQRVSALIGTQRTKQLVNQALVLLTVGGNDFVNNYYLVPFSARSRQYDLPDYVKLLISELKKLLLRMYDLGTRRVLVTGTGPLGCVPAELAQRSRNGECSAELQRAASLYNPQLVQMLKSLNSQLGSDVFIAANTQQTRNDFISNPQAFGFSTSKIACCGQGPYNGLGLCTVASNLCPNRGRYAFWDAFHPSEKANKLIVQNILTGSTKYMDPMNLSTILALDSRT >ONI02007 pep chromosome:Prunus_persica_NCBIv2:G6:17731869:17740932:1 gene:PRUPE_6G172500 transcript:ONI02007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVCTHHQVLPTPASMSSINISKSSQAQLLFRSHLLNQNKPTFLTSTPHLHTTSKPQSKPHILCSQSTNPKAARRPDYIPNRISDPNYVRIFDTTLRDGEQSPGASLTSKEKLDIARQLAKLGVDIIEAGFPAASNDDFEAVKMIAKEVGNSVDKDGYVPVICGLSRCNKKDIKRAWDAVECAKRPRIHTFIATSAIHMEHKLRKTKEQVVEIARDMVSYARSLGCDDVEFSPEDAGRSDREFLYQILGEVIKAGATTLNIPDTVGYNVPSEYSQLISDIKSNTPGIENVIISTHCQNDLGLSTANTIAGASAGARQLEVTINGIGERAGNASLEEVVMTLDCRGDHVLGGLYTGINARHIYITSKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGYERSNEAGIVLGKLSGRHALKKRLEELGYELADDQLETIFWRFKAVADQKKRVTDADLRALVSDEVFQPEVVWKLHDLQVTCGTLGLSTATVKLIDADGREHVACAVGTGPVDSAYKAVDLIVKEPVILLEYSMNAVTEGIDAIATTRVLIRGENSNITTHAFTGETVQRTFSGIGAGMDIVVSSVKAYVGALNKMLGFKESSPTKVPVERTRVSA >ONI02009 pep chromosome:Prunus_persica_NCBIv2:G6:17732955:17740932:1 gene:PRUPE_6G172500 transcript:ONI02009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVCTHHQVLPTPASMSSINISKSSQAQLLFRSHLLNQNKPTFLTSTPHLHTTSKPQSKPHILCSQSTNPKAARRPDYIPNRISDPNYVRIFDTTLRDGEQSPGASLTSKEKLDIARQLAKLGVDIIEAGFPAASNDDFEAVKMIAKEVGNSVDKDGYVPVICGLSRCNKKDIKRAWDAVECAKRPRIHTFIATSAIHMEHKLRKTKEQVVEIARDMVSYARSLGCDDVEFSPEDAGRSDREFLYQILGEVIKAGATTLNIPDTVGYNVPSEYSQLISDIKSNTPGIENVIISTHCQNDLGLSTANTIAGASAGARQLEVTINGIGERAGNASLEEVVMTLDCRGDHVLGGLYTGINARHIYITSKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGYERSNEAGIVLGKLSGRHALKKRLEELGYELADDQLETIFWRFKAVADQKKRVTDADLRALVSDEVFQPEVVWKLHDLQVTCGTLGLSTATVKLIDADGREHVACAVGTGPVDSAYKAVDLIVKEPVILLEYSMNAVTEGIDAIATTRVLIRGENSNITTHAFTGETVQRTFSGIGAGMDIVVSSVKAYVGALNKMLGFKESSPTKVPVERTRVSA >ONI02008 pep chromosome:Prunus_persica_NCBIv2:G6:17731862:17740932:1 gene:PRUPE_6G172500 transcript:ONI02008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVCTHHQVLPTPASMSSINISKSSQAQLLFRSHLLNQNKPTFLTSTPHLHTTSKPQSKPHILCSQSTNPKAARRPDYIPNRISDPNYVRIFDTTLRDGEQSPGASLTSKEKLDIARQLAKLGVDIIEAGFPAASNDDFEAVKMIAKEVGNSVDKDGYVPVICGLSRCNKKDIKRAWDAVECAKRPRIHTFIATSAIHMEHKLRKTKEQVVEIARDMVSYARSLGCDDVEFSPEDAGRSDREFLYQILGEVIKAGATTLNIPDTVGYNVPSEYSQLISDIKSNTPGIENVIISTHCQNDLGLSTANTIAGASAGARQLEVTINGIGERAGNASLEEVVMTLDCRGDHVLGGLYTGINARHIYITSKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGYERSNEAGIVLGKLSGRHALKKRLEELGYELADDQLETIFWRFKAVADQKKRVTDADLRALVSDEVFQPEVVWKLHDLQVTCGTLGLSTATVKLIDADGREHVACAVGTGPVDSAYKAVDLIVKEPVILLEYSMNAVTEGIDAIATTRVLIRGENSNITTHAFTGETVQRTFSGIGAGMDIVVSSVKAYVGALNKMLGFKESSPTKVPVERTRVSA >ONI03924 pep chromosome:Prunus_persica_NCBIv2:G6:26892592:26895414:1 gene:PRUPE_6G291700 transcript:ONI03924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTGGRSIVSLFLILLLSLSMSCISDAYRPGDIVPMSKTGLYHSMKTEWHDMIGRHCPIFAVNREVLIPIVKPMGYTGADAYKLSFQVGREKFLIPWLFVINRKSSEVPMIDVHLRYSGSDLHGVTAKVVDMPHHYVEIHPDIRKQFWDPQHWPKHVLVRYTWQEQSEIDVTSGFYVLFGSGLMLSFILSIYILQSSRDKLARFVRERVAESSMPAGGVAKVE >ONH99455 pep chromosome:Prunus_persica_NCBIv2:G6:2385787:2386728:1 gene:PRUPE_6G030500 transcript:ONH99455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSYIERFIDWICFWEKDISCTLPTTKQKIVFKVQINSEKYKTKALKTAAKARGVSNVSVEVEKELMEVTGVGVDSVCLAKSLQKKLGYATIVSVEEAEKEDNKKEDKKKEEIKAIQWASTYFPRPMFPVYYDSDGNPILN >ONI01015 pep chromosome:Prunus_persica_NCBIv2:G6:8477360:8479309:-1 gene:PRUPE_6G116500 transcript:ONI01015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCSFLASFSLLIMVLSLAHGQPLVPALFLFGDSVVDAGNNNNVKTIIKANFPPYGRDFSNHKPTGRFCNGKLASDFTAENLGFTSYPPAYLSKEAAGKNLLIGANFASAGSGYYDSTAKLYHTISLNQQLENYKEYQNKVVGIAGKANLTSIISGAVYLVSAGSSDFVQNYYINPLLYKVYTANQFSDILIQSYAKFIQNLYVLGARKIGVTTLPPLGCLPAAITIFGADSNECLAKFNKDAVSFNNKLNATSQSLQKQLSGLTLVIFDIYQPLYNLVTKPAENGFFEARKACCGTGLVETSILCNAESIGTCANASEYVFWDSVHPSEAANKVLADDLLSSGISLIF >ONI01014 pep chromosome:Prunus_persica_NCBIv2:G6:8477139:8479411:-1 gene:PRUPE_6G116500 transcript:ONI01014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCSFLASFSLLIMVLSLAHGQPLVPALFLFGDSVVDAGNNNNVKTIIKANFPPYGRDFSNHKPTGRFCNGKLASDFTAENLGFTSYPPAYLSKEAAGKNLLIGANFASAGSGYYDSTAKLYHTISLNQQLENYKEYQNKVVGIAGKANLTSIISGAVYLVSAGSSDFVQNYYINPLLYKNLYVLGARKIGVTTLPPLGCLPAAITIFGADSNECLAKFNKDAVSFNNKLNATSQSLQKQLSGLTLVIFDIYQPLYNLVTKPAENGFFEARKACCGTGLVETSILCNAESIGTCANASEYVFWDSVHPSEAANKVLADDLLSSGISLIF >ONI01579 pep chromosome:Prunus_persica_NCBIv2:G6:12283291:12286216:-1 gene:PRUPE_6G147400 transcript:ONI01579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSEGRDFMFCDLCGTMRTLSSTKHAQCPLCKRKISAKEISGRQISYTATAEDIRRELGISIIREEKVQLQKTDAKTCEKCGHNEHTYYSRQMRSADEGATTFYVCTNCQHQFTEN >ONI04535 pep chromosome:Prunus_persica_NCBIv2:G6:28693010:28696012:1 gene:PRUPE_6G326700 transcript:ONI04535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLDLESISEATSGAIGSLLSTTILYPLDTCKTRYQAEVRGNDQARYKNLSDVFWEAISTRQVLSLYQGLETKNLQSFIAQFVYFYGYSYFKRLYLETSGSKSIGTRANLILAAAAGACTAIVTQPLDTASSRMQTSAFGKSKGLLKTLAEGTWIDAFDGLGISLLLTANPAIQYTVFDQLKQRLLERKNKTGNGSSPVALSALAAFALGAVSKTVATVLTYPAIRCKVMIQAAEDDNEKTKNARPKSSKTIPGVLCAIWKKEGILGFFKGLHAQILKTVLSSALLLMIKEKLSAATWVLLLSIRRYLLLTRGRLKDA >ONI03077 pep chromosome:Prunus_persica_NCBIv2:G6:23744662:23745450:1 gene:PRUPE_6G236900 transcript:ONI03077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEMKNLTGWMEVLPAPIIYPQKPSNSPGLETIFEESIEESDDDS >ONI04142 pep chromosome:Prunus_persica_NCBIv2:G6:27564889:27566691:1 gene:PRUPE_6G305200 transcript:ONI04142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNNGSFGCCPASVISALQQWQLKMLRQPCNFFLNDLQNRILESRTIIKDLINAEDVDEVSIVDNISTAAAIVLQQTAWAFAEGKFNKGDTVIMFSCTYGAVKNSIKAYFSRAGGYVIEVPFNFPLNSNEEIISEFRKALEREKGNGRRVRLAVIDHVTCMPSVVMPVKQLVKIVREEGVEQVFIDAAHGVGCVDVDMQEIGADFYASTLYKWFFCPPAAAFLYCRKSATYSDLELHHPVVSHRYGKGLAEESFWVGTRDYSPYLVLPSAMEFVKRFEGGVEGIRKMNHDAVVEMGKMLAEAWGTNLGCPPDMCASMIMVGLPSCLGISSDDDAMKLWAHLRKKFGVEVRIHYQAPKDGEVVLTTGYIRICHQIYNKVDDYYKLRDTINQLVHDGFTCALEFSN >ONI00732 pep chromosome:Prunus_persica_NCBIv2:G6:7221394:7222366:-1 gene:PRUPE_6G103300 transcript:ONI00732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDEIVVEQQTSEVTNINTLNPHHPEAAGVLSSTSHAITIPSQTKPTLSPSAQGRAEEKAKEEKEKAEKAEKEEKRLPLTLAVLVGLATVLFVIGINPASYAKPEFDNVVSRDSQCKPHDCPCCPFFVIVSLFLNIAITLVWWLLLLMCRRFPYNGILWGILFFVWAIYWIILRAKIPLNFWKPTCGAFSSLLLLLLLLRIKAQPCWEPIKIFMMKHVKAFWDKFVCCLFGIPCFVWNIYEVYKER >ONI00950 pep chromosome:Prunus_persica_NCBIv2:G6:8158799:8160139:1 gene:PRUPE_6G113100 transcript:ONI00950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQEELVLSSDQASQMIMIKGKRTKRPRPLSPNGVVAAGTSSSSSACGGGGAMGGDHEYNYYGNSFTSPTTSGEIYESTEEEEDMANCLILLAQGDHVTVNPKQIFEQRLEQTSNMGKAGFFVYECKTCNRSFPSFQALGGHRASHKKPKAMSTEDKKPAPAHFIPASTFEEFEDQSKQFIKYKSSPPPAISSQIGSKPKIHECSICGSEFTSGQALGGHMRRHRAAAAAANNSTNHVAALPSNIGTSTKLQRTVLPLDLNLPAPEDNDHHHHQLHHHHQHRDSKFQFVPTQQTTLVFNAPALVDCHY >ONI01371 pep chromosome:Prunus_persica_NCBIv2:G6:10810801:10820742:-1 gene:PRUPE_6G135800 transcript:ONI01371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAKPGGERQVLESMYSMIALVFILVACVELCDAATVVDVYRLIQYDISGAPFGSRLANLNHHAGSLHFAPGSDLSRTVLIIPLRELNISFVKEYITQNQPLGGLLFLLPQIFNIENRERAKSNHQTDGEELLKNDLAELEKLLIHSKIPYPVYFAFEDDDIEAVLADVKRNDATGQPATATTGGYKLVVSVPEPKKRASPTITNIQGWLSGLKTDGDANQLPTIAIVASYDTFGAAPALSVGSDSNGSGVVALLEIARLFSVLYSNPKTRGRYNLLFGLTSGGPYNYNGTHKWLRSFDQRQRESIDYAICLNSIGSWDNDLWIHVSKPPENAYIKQIFEGFSNVAEELGVKVGLKHKKINISNPRVAWEHEQFSRLRVTAATLSELSVAPELLESTGSLVDGRPLVNEAAIIKSVKLVAESLARHIYGHEGKNVQIFADNSSLAVNPSYIKSWLDLLSQTPRVAPFLSKNDPFILALKKELEDHTDEVNVQHEVLDGMFTFYDSTRASLNVYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKVKTA >ONI02306 pep chromosome:Prunus_persica_NCBIv2:G6:19745256:19747448:-1 gene:PRUPE_6G189800 transcript:ONI02306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGGRTQPPHVVCVPYPSQGHVGPMMQLAKLLHSKGFHITFVNTEFNHRRLIRSQGHPSSLVGLPGFRFEAIPDGLPPSDKDATQYVPALSDSIRKNCLGPFKELLVKLGQLSEVPPVTCIISDGVIGFGSRAAVELGIPEVQFWTASACGFMGYLQYSELLKRGLVPFKEKNLDNQDDPLDVLIDWIPGMKNVRLKDIPYHPNEIMFDFMGSEAQNCLKSSAIIFNTFDEFEHQVLEAISGIFPNIYTIGPLPLLCRHISADDSQIKSLSPSLWKEDRECVEWLDKREPNSVVYVNYGSVAVMTEQHLKEFAWGLANSKHPFLWIVRADVVMGSDDSALLPPEYFVEIKDRGKIASWCTQEQVLAHPSVAVFLTHCGWNSILETVCEGVPVICWPFFADQQTNCGYACSSWGIGLEVKHDVKRYEIEALVKEMMEGEKGKELKQKALEWKNKAIEATDIGGPSYNNFERLIKNALHYDG >ONI04209 pep chromosome:Prunus_persica_NCBIv2:G6:27781968:27782819:-1 gene:PRUPE_6G309000 transcript:ONI04209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFSSLSNFGSLVSQAVVSKVYTARLSLTKMICFFASLQSSFLALFFTRNPISWRLEWNLQLSTIAYCGVVISALAYYLQTWCISYKGPIFAAMFSPLQVITVALFSAISFAERLHFGSLIRAFLVIVGLYCVLWGKKER >ONI04208 pep chromosome:Prunus_persica_NCBIv2:G6:27781683:27783100:-1 gene:PRUPE_6G309000 transcript:ONI04208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYLFKGSVKRPLINISVGEMRHVKENWIKGALLILTSHIAWSAWLILQAVVSKVYTARLSLTKMICFFASLQSSFLALFFTRNPISWRLEWNLQLSTIAYCGVVISALAYYLQTWCISYKGPIFAAMFSPLQVITVALFSAISFAERLHFGSLIRAFLVIVGLYCVLWGKKER >ONH99444 pep chromosome:Prunus_persica_NCBIv2:G6:2294425:2296969:1 gene:PRUPE_6G029500 transcript:ONH99444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFVGKYAEELIKNAKYIATPGKGILAADESTGTIGKRLSSINVENIESNRQALRELLFTSPNALTYLSGVILFEETLYQKTTDGKPFVEVLQENNVIPGIKVDKGTVELAGTNGETTTQGFDSLGARCAQYYKAGARFAKWRAVLKIGPTEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGDHDIKKNAAVTETVLAAVYKALNEHHVLLEGTLLKPNMVTPGSDSPKVTPEVIAEYTVTALRRTVPAAVPGIVFLSGGQSEEEATLNLDAMNKLDVLKPWTLSFSFGRALQASTLKTWGGKKENVGKAQENFLTRCKANSDATLGKYGGGSADGLASESLFVKGYKY >ONI00372 pep chromosome:Prunus_persica_NCBIv2:G6:5738025:5740160:-1 gene:PRUPE_6G085200 transcript:ONI00372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSRIAIGNPAEFGQPDALKAALAEFISVLIFVFAGEGSGMAFSKLTDGASTTPAGLIAAALAHAFALFVAVSIAANISGGHVNPAVTFGAFIGGNISLVRSVLYWIAQLLGAVIACLLLRFATGGLETSAFSLSSGVSVWNAVVLEIVITFGLVYTVYATALDPKKGNIGIIAPIAIGFIVGANILVAGAFDGASMNPAVSFGPAVVSWTWDNHWVYWLGPFIGAAIAALVYDLIYITPDTHEQLPTTDY >ONI01913 pep chromosome:Prunus_persica_NCBIv2:G6:16952698:16962726:1 gene:PRUPE_6G166800 transcript:ONI01913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPIILSDEEDQNGLSTPFQPFHCKKRRTQLDPNPIPTVLVLDDDPTPQKQPGPTSTPDFVMETPMSDLAIVKCTKAPSHFQARVSDSEHKFPGVNGLICLESDNEPESGHARKKGKEIESIASGCDVVKDLDWRFSFVESTCSLGDAELAHMSEGNFSQSTLQDDIDQVLDYPENRMDQMGNIMKQRRTTAITDNENITKEATGRRKLTKEERTRLMEEKKLKKIQEKLQREALKAEAAEMKKIQKEKQKWEKGKFALKSIVAEIDSKVVELGSVGGNLLTRFAEKGLTYRITSNPIERSIVWTMTVPEHISQLSPEEIEIQYILLVYEAEEFCNLVINESLLDHVFSVRSRYPSYTVCYLTNRLMAYINKREKELYKNPTNHSGRRLPPVEEALAKLTTNFFKVHSRQCMDEAELAEHVVGLTCSLSSCQFRKKLTRLDVNANGSLIPKDCLDRNLIKKSPWLKALVAIPKVQPRFAIAIWKKYPTMKSLLSIYMDPNISVHEKEFLLKDLTTEGLLGDDRRLGEVCSKRVYRILMAQSGCIKTDDVEDGADFFRH >ONI00072 pep chromosome:Prunus_persica_NCBIv2:G6:4586158:4587008:1 gene:PRUPE_6G066500 transcript:ONI00072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSFRRSSIFDPFSLNLWDPFKDFPFPSSSSLSTLPEFSRENSAFLNTRIDWKETPEAHVFKADLPGLKKEEVKVEVEDDRVLQISGERDVEKEEKNDKWHRVERSSGKFLRRFQLPENAKVDEIKAAMENGILSVTVPKAEVKKADVKAIEISG >ONI00802 pep chromosome:Prunus_persica_NCBIv2:G6:7532418:7534790:1 gene:PRUPE_6G106100 transcript:ONI00802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKSKMSVDATQPVSTPRRTPQLAQPTASSFEPSISNTLSGRYTSTSSYKLSSQSQASTSSSSTSLSILKDSLPVPENPILYDYSELCAATNNFLSKRFNPTPSSSSSTPSWRCTLRGKDAVIFQRKFRVKLSTPELRQRLSTLCRSHHTSITKLLGACVSSDYAFLVYEFVSGASLAACLRNPNNPNYTVLSTWASRMQIATDLAQGLDYIHNSTGLNVNLVHNHIKSGSVLVTEPHFNARLCHFGAAQLCGETDSYTHLDPNPKSRSEIEAVEGPDPKLNRTSSRNNQFEGVRGYMSPEFQASGVATQKSDVYAFGVVLLELLSGREPFKYRFDKTMGDFIRTSVVDSAQAAIRHGGLRTWVDSRLKDSFPVEVAEKLTRLALECVHVDPDQRPSMGRVSGKISKLYLESKFWSDNMRAPTGISVSLAPR >ONI03307 pep chromosome:Prunus_persica_NCBIv2:G6:24548907:24549636:1 gene:PRUPE_6G250100 transcript:ONI03307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKAEKKPVTEKAPAEKKPKAEKKIPKEGGVEKKKKKVKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSC >ONI04243 pep chromosome:Prunus_persica_NCBIv2:G6:27861823:27862603:1 gene:PRUPE_6G311000 transcript:ONI04243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQSKLERKQPQARVTEVRTAVERARERMSWWWSASAFRSLMGWTSRSMLRWCLELSLSIVDDVVWPLVTAHESVALVSMLFFFFVFCGRTV >ONI00414 pep chromosome:Prunus_persica_NCBIv2:G6:5953215:5956897:-1 gene:PRUPE_6G087800 transcript:ONI00414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPYREEKASFWENLANFAMVDSPPWLCIGDMNEILGNDEKEGGIPWPWGRTRYLRSFMDTNGLLELRSTSQFYTWENQHDPVSLIRMKLDRGLINDQWLFLWPDLCVHVEPRVGSDHSPLVLYFAPKIQRRAGGFKFEAYWADEHDCGTIIQRGWKNDIVGDSFAALSANLGVCREELQKWSKEKFPNNLSRINLLMKSLSNLQSGPLEENYRHQESAIWDEMSVLWSREETYWKQRSRLNWLSAGDANTKFFHTTTLQRRQRNKIETLLKSEGNCISGDQAIREEFGIFFGNLFKSGGPRNWGGILNCVHASITEAQNKRLTDPFSMEEVRTAVKQLGSLKAPGPDGFPGLFYDRFWEIIQNFVNNLVTDFFLGNSRLELLNHTHIVLIPKIPKPTSVNHFRPISLCNNSYKILSKLLANRLKTLLPMLISQHQNAFVPGRQIQDNILLAHEAFHYLRLKSSKKSFELGLKLDMNKAYDRVEWDFLEATLCKFSFDNRWVELVMLCVKTITFSLVLNGSPGSPFSPSRGLRQGDPLSPYLFLLVSEVLSLNIINSTDTGMLRGIKLSRGGPELSHLFFADDSLFFLQATPPNCSALKSIIECYCSASGQEVNFNKSSLYFSPNTDTGTRNQFGLILGGRSKVEALAYVRDRINSKIAGWKLKLLSQAVREVLIKSVAAAIPAHPMSCFLLPATICNSINTDLARFCGGGMGFLDLQAFNRSLLAKQCWRIMRNPNALWARILKARYFPECSFLDAKKGGRASWALSSLLVGRDIIEKGAYWQIGNGRSVSVWKDRWLMGYGSGKISPLPSSNRFTPLLVADLIDVGNRSWNISHIEPFIPPSEAMLIRSTPIGSLATRDPLVWPAVKNGDYTVKSGYYHAINVSPPDPCDRASSSHAVNSDVWKVIWRAHITPKIRNFMWRALTNSIPTCANLFGRKLARSPTCRLCGLFPETVEHLLLLCSWTRAVWFGCPFGYAPNLASITTLDSWLSSFLRSSFADGDQRDWGISLFMFCSWEIWKARCKAIFNDIRPSPPLAKTGVGGIGAVIRDHNGSFIGAASQPCNCSSAAECEASAAIMGLSFASSLHVQNVVVETDYSELVSCVKKGSASGNWRFYLFLAEFRSLEASFIQCD >ONI03423 pep chromosome:Prunus_persica_NCBIv2:G6:24906479:24910192:-1 gene:PRUPE_6G256200 transcript:ONI03423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSVWMCFTLTFMSAILAFQAVAFTAPSDVTALQDFYEALNSPPQLKGWRLDGGDPCGESWVGVSCSGSSVIHLKLQGLNLSGYLSAQLHNMFNLKTLDVSSNNIVGEIPYVLPPNATHINLACNSLTSIPHSLPAMKSLRHLNLSHNLLSGPVGNVFTGLQNLRELDLSYNNFTGDPNSFKSLANLTGLFLQNNKFSRSVAYLVELPLTNLNIENNDHQINFSNVFQEEHKSKIKKKDISCSYVHCVYWGWPSIG >ONI03424 pep chromosome:Prunus_persica_NCBIv2:G6:24907336:24909878:-1 gene:PRUPE_6G256200 transcript:ONI03424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSVWMCFTLTFMSAILAFQAVAFTAPSDVTALQDFYEALNSPPQLKGWRLDGGDPCGESWVGVSCSGSSVIHLKLQGLNLSGYLSAQLHNMFNLKTLDVSSNNIVGEIPYVLPPNATHINLACNSLTSIPHSLPAMKSLRHLNLSHNLLSGPVGNVFTGLQNLRELDLSYNNFTGDPNSFKSLANLTGL >ONI02733 pep chromosome:Prunus_persica_NCBIv2:G6:22525280:22526453:1 gene:PRUPE_6G218900 transcript:ONI02733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREEKRRKFNEAVLNTLFPPPSPPSSPPVHSPKDEDVPLSILLQDFEEDLGESGSATTSGDDDGNGESEPQRLTRAQRKRLRKKKLKEDASRRRELIGPLLPPTVDQGENEAQGVRRNASEEPDAAIVEKPGDEPPACSNQNKVKQRRKAKKLAREREQD >ONI02554 pep chromosome:Prunus_persica_NCBIv2:G6:21358238:21358738:-1 gene:PRUPE_6G205500 transcript:ONI02554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMDGIIDTVSAPHPLLPLIGLLKTSGKLILCNGRTKRDTTDVEVVPMDYVNTALDRLDKGDVKYRFVIDVAKTINNHDEASP >ONI01161 pep chromosome:Prunus_persica_NCBIv2:G6:9486946:9488262:1 gene:PRUPE_6G125100 transcript:ONI01161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMMRLGVFLVILLMLVAAEAGTSHPKPKIVQCKNKDYPDCYCLELTCPSACPEQCEVDCVTCSPVCNCNKPGAVCQDPRFIGGDGITFYFHGKKDQDFCIVSDSNLHINAHFIGKRNQNMKRDFTWVQSLGILFGNHKLFIGAKTTSTWDDSNDRLSLSIDGEPINLPDSEGANWQSILSPGALSITRTKNTNSIEIEAEGNFKIKAVVVPITETDSMIHKYGVTQEDCFAHLDLSFKFYALSGEVNGVLGQTYASNYVSRVKMGVVMPVLGGDKEFASSSIFASDCAVSRFTGEFVKNNSSDSFEYMNCASGTDGRGVVCKR >ONI03789 pep chromosome:Prunus_persica_NCBIv2:G6:26317467:26319335:1 gene:PRUPE_6G282200 transcript:ONI03789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQAKTSAGNHSQSAASGSTSSPENKSSQMETIQSPNPIPSSTGDAGPSDPKQLRRILANREAARRAHSRKKEYERKLEREAKEAEERVAMLASKVAFQRMHLTILSGKNSQMRETLQVLENHQAHKEAETEALVKERETLLLLRSLQLQQQQGGSSRSSNNPNNVDK >ONI01440 pep chromosome:Prunus_persica_NCBIv2:G6:11319934:11321466:1 gene:PRUPE_6G139600 transcript:ONI01440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVLVPCLNSASFFNNNGQSRNRCCASIQPSKASSYSKRFRVRALKEKTEEEIKNPSSADSAEEITKKYGLEAGLWKIFSSKEEGKGGVENKSKGDDAKQLLAKYGGAYLATSIILSIISFSLCYALVSAGIDVQALLQKVGISGGETGEKVGTFALAYAAHKAASPIRFPPTVALTPIVARWIGKKVEKEK >ONI01049 pep chromosome:Prunus_persica_NCBIv2:G6:8726604:8730218:1 gene:PRUPE_6G118600 transcript:ONI01049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSVSPTLLLSNMYQRPDMITPGVDAQGQPIDPRQIQRHFEEFYEDLFQELSKYGDIESLNVCDNLADHMVGNVYVQFREEEHASNALQNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKRISRELRHELFGSYRRRHSHSRSRSRSPYRHRSYEERSHGSRGHSRRYDDEDRYPESWSRRNKTVSPGGRRGRSRSRSPDGRRRRSRSRSPGRRRNHSPVREGSEERRAKIEQWNREREQNENSSNYEHQQQ >ONI01048 pep chromosome:Prunus_persica_NCBIv2:G6:8726625:8730218:1 gene:PRUPE_6G118600 transcript:ONI01048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSVSPTLLLSNMYQRPDMITPGVDAQGQPIDPRQIQRHFEEFYEDLFQELSKYGDIESLNVCDNLADHMVGNVYVQFREEEHASNALQNLSGRFYAGRPIIVDFSPVTDFREATCRQYEENTCNRGGYCNFMHLKRISRELRHELFGSYRRRHSHSRSRSRSPYRHRSYEERSHGSRGHSRRYDDEDRYPESWSRRNKTVSPGGRRGRSRSRSPDGRRRRSRSRSPGRRRNHSPVREGSEERRAKIEQWNREREQNENSSNYEHQQQ >ONI02470 pep chromosome:Prunus_persica_NCBIv2:G6:20800504:20803377:-1 gene:PRUPE_6G200400 transcript:ONI02470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVYEQRNGGRFSRWIHKTIHFPKHLWYKRQIANKLQKIAVAIRAIPERNQRYRGAAAVEGKSTSEDIRRWVQNQAESSLYQKEDELVGIEGDKNMLLGWLMDEAKHQTVVSVVGMGGSGKTTLVVRTFKDDIVKRHFECYAWITVSQSYVIEDLFRRLIKEFHKAKKEEVPAAMNAMSYNELLEMLVNYLETKRYLIVLDDVWDVHLWDKIRFSFPDKQLGSRVMLTTRREDIASSSFGVESHVHKIRPLERSDAWVLFSMKAFSSYPNKSCSPELLPLARELVEKCEGLPLAIVALSGLMSSKKSLTEWSTAYNSLNWHLTNNPLLEPMKSILLFSFNDLPYRLKQCFLYCSLFPEDTVIINNRVIRLWIAEGFVEHVEGLTPEEVANSYLMELIFRNMLQERFYGSLPACKMHDLLREIALSIAKKEKFLAVLDGSETVEETGALRLYITASFVSHLCHWHLEDVPLDYLPDNLTSLFNLKYLNLCGTPITELPESIGKLRNLQTLNIMATKIKALPRGISKLLSLRHLLMGPYYDGFWVKIPSSIGKMKKLQSLAFIESEGNIIRLIGSMTQLTFLGITNVKERDEEDLCALIQEMKVLSRLFLFVADGEEFLRVDALSSPPPYLDRIYLTGKLEKVPHWFCSLHSLRNLYLNNASVRNLALLNKITIEKGAMPNFEFLEIHSCMTLETLPQGIEHLTKLQRYTFDNASEEFMESIKEGGVDHARMLLVDERCKKYT >ONI04154 pep chromosome:Prunus_persica_NCBIv2:G6:27592588:27595550:-1 gene:PRUPE_6G305700 transcript:ONI04154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHIKNPAWSLPRFPETVHIIMANAASTCLFLFFMSLLSSCSSGTLVGFSYHASGNTTTSSPSRTISFLKQNKIIPSQIRVFVEDPRILSTLPNTDVAVDLYLNENMISSKSSSISWLKTHVIPFLSQANIKSSIVISGSDYARKSSLPMLLSTLKYMHSAVLASLPSDRRIKVSVAFSLTSLENLRRGHERDLHRICTCINEVRSSVIVEANIDGELSMGDRFVQSVIERASLANSVLPCNDVPMVLTIKSPAAPSASEVAEFSVKILKALESNTQITGRLVGLYAEVASMDDFAQKELKREEEQIFPSSRRELLSSFHLKTTSHDTFDTPTVFPTTPISAPPLPTTPTPTIVTVPATNPVTVTPVNPAAPVEVPSTTPVTIPPTNPVSSPVTNPATTPITPITIPPTNPVTTSPPPSGGAPVTTPVTNPVSPPATTNNTPAVPGQSWCVAKSGAQQTALQAGLDYACGMGGTDCSQIQQGGSCYNPNSLQNHASYAFNSYYQKNPVSTSCDFGGVATIVNANPSTGSCIYQSSSSSSTPTTSNPASTTPTPTSTIPPPTSTTPPSTSTTPPPAITSIPPPGEGVSGSGTPPSVLNSSNPASGSMPAFGSDSPPGFNTTTSTSASLRPSVGCVFLVTTLVTRKIVLTM >ONI00616 pep chromosome:Prunus_persica_NCBIv2:G6:6834949:6835169:1 gene:PRUPE_6G098000 transcript:ONI00616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKNCCKTFFSQVFYSFYAFTMKALEAKSRVSISSLYKIMDMLCFQIIINHMKDMSK >ONI02132 pep chromosome:Prunus_persica_NCBIv2:G6:18365671:18372704:1 gene:PRUPE_6G178900 transcript:ONI02132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRSILQISCRRGLRKIPRSISSQHISRFLRREFSAAASKTAPQQPGGAGSLPPPPPENGGSSAKVFLGSAVVGAGLVGAYKTGYLDLIFGAKEKSDLHKEAGIGVEEKLVEEEKHDEEKAGNFEQKIETHSDVAHVEGLSGRDGEEHSQVDDNKSESDITSEKSLPEFSPNANLGLSSEGNVDAKSTEEKTGVEITEEVQTSPIVSQTNADQQQENEVKTLPHQQDITEDKAQVALGNNEEPSGSLLKTYNLSDEADESIATNSNNENDQVSKEKEALVDAIEGLNDAYISKDGKLVLDFLQAIHAAEKRQAELDARVYSEEKRTLKEKYEKKLKDAGARELMLAEKAAMLDKELKRERAKAAAALKSLQEKLEEEFKTELEHKENEEEMKLKKVEELAKAELAAAIASEKTTQIEKMAEANLHINALCVAFYARSEEARQTHSAHKLALGALALEDALSKGLPIQTEIEALHTYLEGIDKDSILDLVLSSLPEETRRNGTDTLLQLNQKFDALKGTVRHLSLIPLGGGGILAHSLAHIASWLKVKEVDQSGDGIESIINKVESCLAGGKIAEAAEALEEGVKGTQATEVVREWVKRARNRAITDQALTLLQSYATSISVT >ONI03000 pep chromosome:Prunus_persica_NCBIv2:G6:23494923:23495693:-1 gene:PRUPE_6G232500 transcript:ONI03000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYFGNAYRGDPGVPHADPERFVNIWIGSAAFSALTWVNPYMWQLSNQFNWHDKAMCFEQYHWKKAMKKGEPYKFKWNQYMDKDLRDSYYFNWPVYFP >ONI02777 pep chromosome:Prunus_persica_NCBIv2:G6:22684185:22687105:-1 gene:PRUPE_6G221500 transcript:ONI02777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGWRNLGVVETIYEEEDYEFSSASPSLSPALSSPCTPLHSRVESWSRATGHKAEVLIRVQGKCFHLHKDALTSRSTYLKRQLTDFVSDFALPLNISAETFTLVAEFCYGAHLVITLLNLAALRTAAELLEMTESNCDGDDNLLHLTDTYFRQVVAVNRDYASVVFRSCLSLLPEAETAAFLVSGCIEAFALSNDGDGGADWLNEVITVRPEDFQIVAEAIQRSFGNHDVVYKLADLYIVRYNEKITEDEKTQICSSIDCSKLSPQVLLDAVQNPIMPLRFIVRAMLIEQLNTRRTIISAAAAAVAADHTQIQPHDHHDNKKSIEPTGEATTLGSLLQRDTMIRQSAQLRATMAATSSRIQSLEEELNGMKKLLDECHEKKENSILLEDGRRSASFHYGTHENSNKITKGDKASASSASFRILSGKGSTERSEGTSNWSSSCKGTPRSTKKISERLINGLKKAFRVSGSAKEAASKDKIIRYGGDIGYNKDEAIVIQ >ONI00617 pep chromosome:Prunus_persica_NCBIv2:G6:6838841:6839763:1 gene:PRUPE_6G098100 transcript:ONI00617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAEGLLGKLGRQCYAVLCLGVIILAVTLVNYVGTEEQKDPMERTRRRLRAIHGPPSSQRQSA >ONI03270 pep chromosome:Prunus_persica_NCBIv2:G6:24394521:24395129:1 gene:PRUPE_6G247800 transcript:ONI03270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAYEKISWVASLLVLLLGFASCSSQGGGGEFTHRSLNFWKAARARVPPTGPNTGTSRKFNFGTLPKGTLIPPSWPSKRTSRPPPPPPHPSNFDTLPKYTPIPPSGPSGRTSDPPPPPPFNFGTLPKSTPIPPLGPSRRTSDPPPPPHPFNFGTLPKYTPIPPSGLSGRTSSPPPPFNFRMHYSGPSHGPPRYEIPPPPAF >ONI01883 pep chromosome:Prunus_persica_NCBIv2:G6:16134622:16137156:1 gene:PRUPE_6G164700 transcript:ONI01883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKDYFGALKLVKPSQLFVLYITNNPAPRPLNIQSPVFSSQGENAEHYEDEDTAHGYDGDEENGQYDFVDVEIHEEFGEAEEEVEVESDEAEQGGEDEEEDCDFIDRRRSEDKLEDCPWLLHDAHFEKWSTVKVKTYHPIPTCGRSQRTRFATSQLLAKRFDEDLRTNPNMSVAEFMTLVRKHYSINVTRDQCYKAKNLAKESIQGSIEEQYSKLWDYCEKLKRQNPGSTVLVKTSLRGDDLVFERLYICFAQLRKGFIEGCRTMVGFDGAFIKGQHPGQLLSAIRIDANNGTGNGWVFIIEKQKGLGQAIEALKSDAEHRHCRLWSVARSTTLPQWEAEMENIMEMSTPAHAWLQDRPAIHWSMPHFTTGPKCDILLNNLCECFNSAILEARDKPIITMVERIRTYLMLRIIEKNLKESGSCIAQNAGGNRFQVTHMLGRQYAVDLNTHSCSCRKLDLCGILCCHVMAAISRQQRSPMTYVNEVHRPIKPPLSHKQSGRPKKARTRPVDEIPKGATKLRRYGIVIHCSVCGGEGHNATNCGRADGNMGRGRGRGRGRGRGRGRGKGRGTGSVHQSEEE >ONH99209 pep chromosome:Prunus_persica_NCBIv2:G6:1321202:1322215:-1 gene:PRUPE_6G018100 transcript:ONH99209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKKALTGFSTFIIILMVASIPFVQPSRLQVRTFTLTENTNTNTKGLQINQADRFGNGEGAKVFNAVEDSARQVPAGPDPLHHNNNPIGP >ONH99633 pep chromosome:Prunus_persica_NCBIv2:G6:3021953:3023344:1 gene:PRUPE_6G040600 transcript:ONH99633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWVVTRPSNQRESERADMRTQSCSISVHITMLPSLLSHPEHRLIVHGSNIKTINIYKILQRNQIKSRRRLSRDSIKY >ONI00643 pep chromosome:Prunus_persica_NCBIv2:G6:6949031:6950535:-1 gene:PRUPE_6G099900 transcript:ONI00643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIRVSYVLVALFVVLISMEASIVFGQGNGNANGNGNKGSGNGNANGNGNTGSGNGNANGNGNTGSGNGNANGNGNTGSGNGSGNGNGNTGSGNGNGNGNGNTGSGNGNGNGNGNTSSGNGNGNGNSNTGSGNGNGNGNGNVNVNSKDITNYDVLSPLPSGQEQCQCKAYTACYYKTLVCPAECPHRKPKKNKKQKGCFVNCSNKCEVTCKYRKANCNGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDENLQINAHFIGTRPVGRTRDFTWVQALSIMFDTHTLVIAAKRVSKWDDKVDALMVRWDDEDINIPTDGEAEWRTSGEDREVIIERTDDANNVRVTVAGLAEMDIKVRPIGEEENRVHKYQIPADDTFAHLETQFRFSNLSDLVEGVLGKTYRPGYVSPVKVGVPMPMMGGEDKYQTPSLFSTLCKVCRFQTATQSDQFAITSGGVAQF >ONI02354 pep chromosome:Prunus_persica_NCBIv2:G6:20040452:20046208:1 gene:PRUPE_6G193100 transcript:ONI02354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRDPLRPYMDIIDNLLAQMFKEDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRGAREEYYRYKSEYMTYVMEALELEDTIKNYERRNSTGWKYVSTFRPSRTGRLGSILDTIEFFWRCILRKEVEKLLAIILGIISAAILLAEATLLPRVDLSLFSILINSVSKQEVLVQVFAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVNLLMICSMVARYAPPVSYNFLNLIRLGEHKTIFEKRMGNIDQAVPFFGSEFNRIYPLIMVVYTLLVASNFFDRIINFFGRWKRFRFQTEVDDMDGFDPSGLIILQKERSWIEQGLKVGEHVIPLARNFNSTDVETGSSNMDRTLVEMKATSSLSAEGANGTPSKSSKEDRRYSSSKEAISNKYAAIREQSRQASFNTNPVEKNISAAKVSLLDGDNSNPDNTAGGSPTGLSSKWESMKNGFQNFKANIAAKKFIPIRQVQDTIDLSRASSNESLDEIFQRLKRPSVDHVSYVDEDEDGTEGKSGPSR >ONI02353 pep chromosome:Prunus_persica_NCBIv2:G6:20036056:20046208:1 gene:PRUPE_6G193100 transcript:ONI02353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFYLISLPLTLGMVLFTLKYFAGPEVPRYVLLTVGYTWFCSLSIIVIVPADIWTTVNHIESGGISFFWSWSYWSTFLLTWTVVPLIQGFEDAGDFTVTERLKTSVHVNLLFYLILGAIGLFGLVLLIMMHKNWGGGVLGFAMGCSNTFGLVTGAFLLGFGLSEIPKGLWKNSDWTIRQKVLSHKIAKMAVKLDDAHQDLSNAIVVAQATSTQMSKRDPLRPYMDIIDNLLAQMFKEDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRGAREEYYRYKSEYMTYVMEALELEDTIKNYERRNSTGWKYVSTFRPSRTGRLGSILDTIEFFWRCILRKEVEKLLAIILGIISAAILLAEATLLPRVDLSLFSILINSVSKQEVLVQVFAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVNLLMICSMVARYAPPVSYNFLNLIRLGEHKTIFEKRMGNIDQAVPFFGSEFNRIYPLIMVVYTLLVASNFFDRIINFFGRWKRFRFQTEVDDMDGFDPSGLIILQKERSWIEQGLKVGEHVIPLARNFNSTDVETGSSNMDRTLVEMKATSSLSAEGANGTPSKSSKEDRRYSSSKEAISNKYAAIREQSRQASFNTNPVEKNISAAKVSLLDGDNSNPDNTAGGSPTGLSSKWESMKNGFQNFKANIAAKKFIPIRQVQDTIDLSRASSNESLDEIFQRLKRPSVDHVSYVDEDEDGTEGKSGPSR >ONI02355 pep chromosome:Prunus_persica_NCBIv2:G6:20039386:20046208:1 gene:PRUPE_6G193100 transcript:ONI02355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRDPLRPYMDIIDNLLAQMFKEDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRGAREEYYRYKSEYMTYVMEALELEDTIKNYERRNSTGWKYVSTFRPSRTGRLGSILDTIEFFWRCILRKEVEKLLAIILGIISAAILLAEATLLPRVDLSLFSILINSVSKQEVLVQVFAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVNLLMICSMVARYAPPVSYNFLNLIRLGEHKTIFEKRMGNIDQAVPFFGSEFNRIYPLIMVVYTLLVASNFFDRIINFFGRWKRFRFQTEVDDMDGFDPSGLIILQKERSWIEQGLKVGEHVIPLARNFNSTDVETGSSNMDRTLVEMKATSSLSAEGANGTPSKSSKEDRRYSSSKEAISNKYAAIREQSRQASFNTNPVEKNISAAKVSLLDGDNSNPDNTAGGSPTGLSSKWESMKNGFQNFKANIAAKKFIPIRQVQDTIDLSRASSNESLDEIFQRLKRPSVDHVSYVDEDEDGTEGKSGPSR >ONI04527 pep chromosome:Prunus_persica_NCBIv2:G6:28673581:28679340:-1 gene:PRUPE_6G326300 transcript:ONI04527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIFGSVRRSLVFRTASDTEESPVTLVDKINSCIRKSRVFSKPSLPLPSMPKDNAPPIRWRKGELIGCGAFGRVYMGMNLGSGELLAVKQVLIAANSASKEKTQAHIKELEEEVKLLKNLSHPNIVRYLGTVREEETLNILLEFVPGGSISSLLGKFGSFPEAVIRTYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSFEAKDFLLKCLQKEPNLRPVASELLQHPFVTGQHMEPHPVFRNSVMEESEVPSPSYSTNLHIFEMSTCPDSDDMCNLGSLQHSTIHPNKLLGSRHVWGRNSSDDDMCQIDDKDDTMVGEAKLSSSLMPDNLKSFNPMCEPTDELGCKFGGSSETEQNGMNLDTNHQMDFPASCSGTIGEGEKDFSFPCGTSLAEEDDELTELKITAFLDEKALELKKLQTPLYEEFYNSLNVVCSPIFLDNSPDETTSRYLKLPPKSRSPSRGPIGTPSVVADALSSGSPGSNGRRVSNIGNGNDQTAQDMPSPPLSNLKGLVVDGQQEPGSPSMSFSERERKWKEELDQELERKREMMRQAGVGGKSSSPKDRALNRQRERTRFASPGN >ONI04529 pep chromosome:Prunus_persica_NCBIv2:G6:28673613:28679248:-1 gene:PRUPE_6G326300 transcript:ONI04529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIFGSVRRSLVFRTASDTEESPVTLVDKINSCIRKSRVFSKPSLPLPSMPKDNAPPIRWRKGELIGCGAFGRVYMGMNLGSGELLAVKQVLIAANSASKEKTQAHIKELEEEVKLLKNLSHPNIVRYLGTVREEETLNILLEFVPGGSISSLLGKFGSFPEAVIRTYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSFEAKDFLLKCLQKEPNLRPVASELLQHPFVTGQHMEPHPVFRNSVMEESEVPSPSYSTNLHIFEMSTCPDSDDMCNLGSLQHSTIHPNKLLGSRHVWGRNSSDDDMCQIDDKDDTMVGEAKLSSSLMPDNLKSFNPMCEPTDELGCKFGGSSETEQNGMNLDTNHQMDFPASCSGTIGEGEKDFSFPCGTSLAEEDDELTELKITAFLDEKALELKKLQTPLYEEFYNSLNVVCSPIFLDNSPDETTSRYLKLPPKSRSPSRGPIGTPSVVADALSSGSPGSNGRRVSNIGNGNDQTAQDMPSPPLSNLKGLVVDGQQEPGSPSTRSMSFSERERKWKEELDQELERKREMMRQAGVGGKSSSPKDRALNRQRERTRFASPGN >ONI04528 pep chromosome:Prunus_persica_NCBIv2:G6:28673580:28679340:-1 gene:PRUPE_6G326300 transcript:ONI04528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIFGSVRRSLVFRTASDTEESPVTLVDKINSCIRKSRVFSKPSLPLPSMPKDNAPPIRWRKGELIGCGAFGRVYMGMNLGSGELLAVKQVLIAANSASKEKTQAHIKELEEEVKLLKNLSHPNIVRYLGTVREEETLNILLEFVPGGSISSLLGKFGSFPEAVIRTYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSFEAKDFLLKCLQKEPNLRPVASELLQHPFVTGQHMEPHPVFRNSVMEESEVPSPSYSTNLHIFEMSTCPDSDDMCNLGSLQHSTIHPNKLLGSRHVWGRNSSDDDMCQIDDKDDTMVGEAKLSSSLMPDNLKSFNPMCEPTDELGCKFGGSSETEQNGMNLDTNHQMDFPASCSGTIGEGEKDFSFPCGTSLAEEDDELTELKITAFLDEKALELKKLQTPLYEEFYNSLNVVCSPIFLDNSPDETTSRYLKLPPKSRSPSRGPIGTPSVVADALSSGSPGSNGRRVSNIGNGNDQTAQDMPSPPLSNLKGLVVDGQQEPGSPSMSFSERERKWKEELDQELERKREMMRQAGVGGKSSSPKDRALNRQRERTRFASPGN >ONI02333 pep chromosome:Prunus_persica_NCBIv2:G6:19868317:19872566:-1 gene:PRUPE_6G191500 transcript:ONI02333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSSTNVPRWTPSPSPTRSLLASAVEGPSSKESHVLDDDHAEMKRQSSTASAEDGISLSCSSMDRIFPFSVVFKTGAAPNSCLDIHEAPSLRLESAVEKSVGIDQTVAKPGYVEDQSYVVGDDIEIGLRKKGICLTWKDLWVGVSDGKNGKRMILQELTGFAQPGEMLAIMGPSGSGKSTLLDALAGRLSSDTQQTGEILINGRRETLAFGTSAYVTQDDTLMTTLTVREAVYYSAQLQLPDSMSKVEKKERAEMTIREMGLQDSMDTRIGGWSVKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYHVMNRIVKLAHRDGRTVIASIHQPSSEVFELFQNLCLLSSGRTVYFGPASMAEQFFASNGFPCPTLRNPADHYLRTINKDFDVDIEQGFDGKTSTEEAINILTQSYKSSNHFQQVQKQVAEICQQKGGALEKGSQANFITQCLVLTRRSFVNMYRDLGYYWLRLAIYIALCLCVGTIFYDIGSTFGSIQARGAMLMFVGAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVSAFVVGNTFSSIPYLLIISLIPGAIAYYMVGLQKSFEHFAYFALLLFVSMMLVESLMMIVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPFWRYPMYYIAFHKYANEGFYKNEFEGLTFPNDQAARPSTITGEEILRSIWQVQMGYSKWVDLAILFGMVIVYRLMFLGIIKTTEKFVPIIKALLVGTPKHSS >ONI01668 pep chromosome:Prunus_persica_NCBIv2:G6:13199423:13201998:1 gene:PRUPE_6G152000 transcript:ONI01668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAIMLLMMMTTTTMLHAVLGQEAPAPAPGPASPDCQTAVLGLADCLSYVMPGSNLTKPDKPCCPELAELVKDNPICLCSLLANSNSSNSVGLEIDVNRALKLPTVCKVSTPPPSTCELLGIPVGAPTASEAPANSPGSGLTPQGPSAATSPKSGASKTANSVMAFLAGLVIAVLPIW >ONI04651 pep chromosome:Prunus_persica_NCBIv2:G6:28966133:28968830:-1 gene:PRUPE_6G332800 transcript:ONI04651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTNEVNVNESRTVVPLNTWVLISNFKLSYNLLRRPDGTFNRHLAEFLDRKVPANAKPVDGVVSFDVIIDRETGLLTRIYQPANAEESVLNILNLDKPVSNEVVPVIIFFHGGSFAHSSANSGIYDILCRRLVGICKAVVVSVNYRRAPENRFPCAYDDGWTALQWVNSRSWLKSTKDSKVHIYLAGDSSGGNIVHNVALRAVESGIDVLGNILLNPMFGGQERTESEKRLDGKYFVTIQDRDWYWRAFLPEGEDRDHPACNPFGPRGNNLEAIKFPKSLVVVAGLDLVQDWQLAYAKGLEKAGKNIKLMYLEQATIGFYLLPNNDHFYTVMDEISKFVCSNC >ONI03877 pep chromosome:Prunus_persica_NCBIv2:G6:26618230:26623159:1 gene:PRUPE_6G288000 transcript:ONI03877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNCGDYVHVQIAERNILGEMVKIVRKKADMQVREKILILLDCWQEAFGGPGGKHPQYYWACEELRRSGVEFPKHSLEAPAIFTPPVTQTTLRHAQAGYGMPSNSSRRLDETMATEMENLSLSSLDSMRNVMELLSDMLQAVNPSDRMAIKDEVIVDLVNRCRANQKKLMQMLTTTGDEELLARGLDLNDGLQSLLAKHDAIVSGLPVPTQATNVSPQPTEKSLSSSKQTEVKDSSASDSSPKPNTNPSAPVGTMTKVQIYEDEEEEDEFAQLARRHIKPRAIPPQNTSSGSDDGLALVSTSSTINPSSTTATSVPSNALALPDPPAQVKTTKEQDMIDLLSITLSTTAASPDTTPHAPASNPQAAPSNGNMHQVPVSPSVQGNPYPSQPYPGNLGMASQNSYVVPWAQPQPQLQQQFRPQLQPQTQPQFQSQFQPQTQPQFQSQFQPQYSSGYPPPPWAATPGYSNNQNHLSANNMFSTPRANATTSYMPAQGARPLQHFNSFPARGINGSDNNGGFPVIPGPRSPAPAAGQKPFVPSYRLFEDLNVFGNADGKFKMTNSNTSSSLSGTAGQGMVGGRK >ONI03876 pep chromosome:Prunus_persica_NCBIv2:G6:26617504:26623159:1 gene:PRUPE_6G288000 transcript:ONI03876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVASSSSSSSSATVAVDKATSDLLISPDWTMNIDICDSVNSHHWQAKDVVKAVKRRLQHKSSKVQFLALTLLETMVKNCGDYVHVQIAERNILGEMVKIVRKKADMQVREKILILLDCWQEAFGGPGGKHPQYYWACEELRRSGVEFPKHSLEAPAIFTPPVTQTTLRHAQAGYGMPSNSSRRLDETMATEMENLSLSSLDSMRNVMELLSDMLQAVNPSDRMAIKDEVIVDLVNRCRANQKKLMQMLTTTGDEELLARGLDLNDGLQSLLAKHDAIVSGLPVPTQATNVSPQPTEKSLSSSKQTEVKDSSASDSSPKPNTNPSAPVGTMTKVQIYEDEEEEDEFAQLARRHIKPRAIPPQNTSSGSDDGLALVSTSSTINPSSTTATSVPSNALALPDPPAQVKTTKEQDMIDLLSITLSTTAASPDTTPHAPASNPQAAPSNGNMHQVPVSPSVQGNPYPSQPYPGNLGMASQNSYVVPWAQPQPQLQQQFRPQLQPQTQPQFQSQFQPQTQPQFQSQFQPQYSSGYPPPPWAATPGYSNNQNHLSANNMFSTPRANATTSYMPAQGARPLQHFNSFPARGINGSDNNGGFPVIPGPRSPAPAAGQKPFVPSYRLFEDLNVFGNADGKFKMTNSNTSSSLSGTAGQGMVGGRK >ONI00005 pep chromosome:Prunus_persica_NCBIv2:G6:4310406:4311889:1 gene:PRUPE_6G062200 transcript:ONI00005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRKVNKSLELTQDESESVSQLVIPATRYGESSFYDAFALRGIRLDRVEPGVLVVCSFKVPPRLTDRSGNLANGAIANLVDIVGAAVAYVPGLSMNVSVDISISYMSTAKLHDELEITSRMLGRVGGCSGTIVILRNKTTGEIIAEGRHSLFRSHVGPIPKL >ONI03771 pep chromosome:Prunus_persica_NCBIv2:G6:26253172:26256664:1 gene:PRUPE_6G281000 transcript:ONI03771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVHHFPSSAKKFFQNENFAALLVPPLLILLPAVHSQCIKDQQQSLLHLKKSLQFDQSSCRTPLISWNSSTDCCSWVGVTCTSNGHVVGLDLSRETISGPISGSFANFSNLRVLNLSSNTISGTVPGFFVKFSKLTSLNLSGCSLSGTFPNEIFQVPTLQTIDLSANFKLGGSLPEFPKKIGSLQSLSLSETNFSGSLPDSIGNLKMLSTIDLSNCSFHGSIPSSLFSLPLLSQLNLSHNQFSGELAFSNVSSNLVTLDLSFNNFEGQISVSIFNFRGLQSLNLSSNNFSAFPFNGPQQLKNLTNIDLSYNSLLSLYNGTDSSYSSFPQIDSLNLAANKLGTIPYFLRNQSTLSSVDLSENHIRGKIPHWIWSFDQLSNLNLSCNYLVTLEAPLLDSKVKTVDLHSNQLHGQIPTFLPSAIYLDYSRNNFNSIPSNIGDFLTNTLFFSLSSNNLHGLIPASICIYASNLQILNLSNNSLSGMIPQCLTAMRDLSVLNLARNNLTGSVSNIEVTEDSSLQILEIGGNQLRGKVPKSLAKCTILEVLNIGNNNITDSFPCLLKNISTLRVLILRSNNFYGGTECLNTNGTWSELQIIDLAHNNFSGEIQGILWRTWQKMMDTKNGSLLKTVPTKRNVGSHTKRKLSLVALESPEATNALSCNRLGGPSCRDNSNAISPLEYSVSVIVTSKGFEMELVKILSIFTLIDFSSNNFSGPIPKGMGELKSLRVLNLSRNAFTGEIPSSFGNMRVLESLDLSQNKLSGHIPPQLVKLTYLASLNLSYNQLIGRIPTGNQFSTFPNDSFTGNKGLSGYPLTVDNKAGFPPPPTVNGRPPNSGHHREVNWDLIIVEIGFTFGFGVAVGSLVLCKRWSKWYYKAMYNILLKIFPQLEERIGIHRRHVYINQRWWRR >ONH98987 pep chromosome:Prunus_persica_NCBIv2:G6:373808:376362:-1 gene:PRUPE_6G003400 transcript:ONH98987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEGKSQRESKATESDFVLQWGNRKRLRCAKVKKDQSLSNTKSSDCLRKKKITSRAEKESPAPLPQRIDKNSASPMNSGKLFVTSPEKEDRYYTTRGSIGLDDNGKVLGLDYPGHARDDKGGHVWPRLFLSLSSKEKEEDFMAMKGCKLPQRPKKRAKLLQKSLLLVMPGVWLSDLCQERYEVREKKASKKRPRGLKAMGSMDSDSD >ONI03576 pep chromosome:Prunus_persica_NCBIv2:G6:25480861:25481379:-1 gene:PRUPE_6G266500 transcript:ONI03576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIPSTIFVGHYDPFCHDVWDPFQEFHYGFPREATSFTNSMIDWKETSDGHAYVLKEDLPGFRREEIKVDVEEGKVLRIRGEKNVEREEKRDHWHRIERSSGKFIRRLSLPENAKADKMKVFMENGELTVTVPKEKVNCYPHATRAVQISGH >ONI01789 pep chromosome:Prunus_persica_NCBIv2:G6:14380946:14384520:-1 gene:PRUPE_6G158700 transcript:ONI01789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMACLLLALAFVAAEAQQMQSNISRGSSLTPTTNTTWLSRSGLYAFGFYRQGNGYAVGIFLAGIPQKTVVWTANRDDPPVSNNATLLFTGDGLALPTAEGQNYLVKSSGSSSYASMLDSGNFVLYNSGREIVWQSFHHPTDTLLPGQRLLSGNELFSANSEADHSTGIFRLKMQNDGNLVQYPVDTPDTSVYAFYSSLTVGQGENVTLNFGADGHLYLLNRNGTNIRNITDGGLPTDEGKLYLMRIDVGGIFRLYSHDLKKNAIWSVEWESSEDKCVPKGLCGLNSYCVLIDLEPDCKCLPRFKSVNQGNQTSGCERNFVADACINRTENFTYTMEELESTWEDDSYMSLRLSDKDDCIQGCLEDCDCDAVFFDGTNCRKQRLPLRYGRRVNGTSLRALIKEGVPTKPDTDPRIVQPGSKKKGRTDILIIGLSFTALIHFVVDFRDCVVETQCLGIYKNERCELNGDCELNEIVAPRRYAYEDLEKMTNNFKEELGRGASSIKRLEKVAAEVKTEFQTEIRVIGKTHHKNLVRLLGYCLDGAIKLLVYEYMSNGSLADVLFTRERQNSTRATLLDWTKRFNIIEGIAQGLLYLHKYSRMRVIHRDLKASNILLDEYMKPKISDFGLARIFTHNELEASTNRIVGTYGYMSPEYAMEGIFSIKSDVYSFGVLMLEIISGRRNSSFYIADHVLNIVGYAWELWKEGRGLELMDPTLKDSCTEDQLLRCFQVGLLCVEENAADRPSMSDVVSMLTTETISLPLPTRPAFITIRNVIVSDISISRRELQILSVNGLSNTTVAGR >ONI03182 pep chromosome:Prunus_persica_NCBIv2:G6:24170264:24174163:-1 gene:PRUPE_6G243900 transcript:ONI03182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFFTFGAKEIVKKVASLAAQEISLKLCYEMRNNHKFGGEAVEMWVQKLEDIAHDADDVLDDYGYELLRRKVQLQNQMNKKVLNFFSLHNPVAFRLKIAHKIKKINASLANLRNEAASVGLFARQTFIDATSRDVGLVLDRETVSVFDLDEKYIVGREELVSDIVTALINSSNNRETHPCVMAIVGINIYHENEIDRYFNKKIWICVSTPFDVKTILSGILEYLEPAKAGDGKKWEDLMSCLLNIKDTQGSSILVTTRSASVASIVQTLPMRDLRKLKDDECWLILKNIALSVRSAPLSEDQERIGRDIAKKCGGLPFMAKILGGMMLSKKKRILSVLKLSFDELKSSSLKQCFAYCSMFIKDFTIEKDCLIELWMAQGLLHPSTNSSSLDMEDVGNKYFNILLANSFFQDVRKDGINVITHCKMHDLVHDLAELVSKSKSKDSNEDRHMPQISTSTLHGIPKGNIHKLRSMFTCLTISGDISATTINKLPQSIGKLYNLQTLRMHDLYPEEFPKELQNLINLRHIYFDKGYEMKYPVGMGRLNNLQSLSFFIVGKERGRGIEELGGLKHLKGELSIYDLEHVRDGEEANKAKLAEKTNIRRLRFEWGWHRSSAINNDMDVLEGLEPHSGLEILEICKFSGDTFPPWMMCRDLFSSLKILKIDYAKNLIEWTEAAVLPTERMAVFPRLEELLLRNCDQLKSAPIHFPCLKKLEIDSMNSGMRIANINTQLTTLTHLTIKKIRGLASLPEGMLKNNKSLSYLEIENCPELTCIAADVFGCCPSLESLRISSCPNLRTLPDGLHTLLSLKELIIRGCESLDLPSGLQYCTSLQHLSINSCQNLEAIPSLDSLTQLLELRIYNCDGLKSVPLSVFAASLTRLKELEIGGFWKELDSFPAFQVIPQLEILTLWDWPKLQSHPEQVQHLTSLTCLKIRSFDGMEALPEWLGNLASLDFLFISMCENLKYLPTLEAMQRLTKLKHILLGCCFLLTERCLRDSGPECL >ONH99841 pep chromosome:Prunus_persica_NCBIv2:G6:3728853:3731034:1 gene:PRUPE_6G053100 transcript:ONH99841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPNEDDMSSSSDTQITSHIFGDLLDSIIVDVASECHRIAKLGLDRNFEEEEEELRLSAQARVRVADPSNSGEANSKYVVDIFGQTHPSVANEVFECMNCGRAIMAGRFAPHLEKCMGKGRKARAKVTRSSTAAQTRQSRGNPASTYSTYSNSNSTSRLSNGTSGVAGEEYSNGALEEP >ONH99840 pep chromosome:Prunus_persica_NCBIv2:G6:3729198:3731007:1 gene:PRUPE_6G053100 transcript:ONH99840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPNEDDMSSSSDTQITSHIFGDLLDSIIVDVASECHRIAKLGLDRNFEEEEEELRLSAQARVRVADPSNSGEANSKYVVDIFGQTHPSVANEVFECMNCGRAIMAGRFAPHLEKCMGKGRKARAKVTRSSTAAQTRQSRGNPASTYSTYSNSNSTSRLSNGTSGVAGEEYSNGALEEP >ONH99842 pep chromosome:Prunus_persica_NCBIv2:G6:3728932:3731007:1 gene:PRUPE_6G053100 transcript:ONH99842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPNEDDMSSSSDTQITSHIFGDLLDSIIVDVASECHRIAKLGLDRNFEEEEEELRLSAQARVRVADPSNSGEANSKYVVDIFGQTHPSVANEVFECMNCGRAIMAGRFAPHLEKCMGKGRKARAKVTRSSTAAQTRQSRGNPASTYSTYSNSNSTSRLSNGTSGVAGEEYSNGALEEP >ONI02145 pep chromosome:Prunus_persica_NCBIv2:G6:18506359:18507997:1 gene:PRUPE_6G179800 transcript:ONI02145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTSSSKEGTPEIVFFDIETNVPNRAGQRFWVLEFGAIVVCPQKLVELESYSTLIRPGDLSAVALRSGRSDGITRETVANAPLFKEVSDKIFSILNGRVWAGHNIRRFDCIRIKEAFAEIGRPAPTPVGIIDSLGVLTDKFGRRAGNMKMATLASYFKLGQQKHRSLEDVRMNLEVLKNCATVLFLEASLPSLLNGNCRGSSTITTRSRSNGKSLCREETNRKSPPTALIGYQRAVPYASRGSLEKMTEKVKNLLCRAQGNQPLNTILKHSHSLLR >ONI01869 pep chromosome:Prunus_persica_NCBIv2:G6:15732248:15737125:-1 gene:PRUPE_6G163900 transcript:ONI01869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRLREGKEEKLKNVEKNCTGSEPTKLTVSTILEPITTMLPIFFFVHFIGTFSASEIEIEIASNHPRAPGVKTGQTRKTSFLIPHHTCYPVTEDKGGRVHTQKERRFKFVQGG >ONI00783 pep chromosome:Prunus_persica_NCBIv2:G6:7400283:7410406:-1 gene:PRUPE_6G104700 transcript:ONI00783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSPPKRKSEFEMKRTPSPMRRFERAKNNSSTSSGSKMSGSKSSGSSLKERKGEMKEKSMKDLALGTREVSKSGKENAGTAHVKNKIRNGRDYLASFKKNKKEVKASVNASKDVCKTKVPLLRSSEREREHTDEGQPLRFQRELLEGKHPGKSCSGLSQGKRKREQLLEELSNTTEVESDEVVKKRKMVVNSNDDPHYVKPGLEGKINPGCKEGASVTPADIVPHSSARSTSCVNTIADEKCEREPLKLRIKLLEGKHPRWKSCCVLSQGKRKKRERLLDELSKTPEVEGDEVVKMRKMMVNSNDDSPYLKPVSEGKTTPRCKGGASVTAAHNVPHCFARSTTCVNTTTDEKCDSTSHNVDRNVLEKPKSNLFASEERRKLIDAQKNLNLLLKPGISKLCGVLQLSDVVKAMAKNLLEYVMNNHHVNTEPATTLQALQIALCWTAASFLKQKVDHKESLLLAKQHLNFACIKEEAYYFYSMLRCLKETFLHRTRIFKVAVSPKSAKLSSKDALKDHSHAKVLSKIEDLSMNLEYLAKTDVSKSIKDIYKKFKKKMTKLTEKQRKDKNDYEEEKGHIDREKKTELAVIQFCYQNNTSMRTYRLKMLDKRIEEHKLHMDIHRECLEEFHLQEWFKLKEQEKQWVENVQSWANVELLNGSPSNGPEPWSKCLQTSEEVRVNKDSEDLASLREHGLDKVVLIGLSGTQENAPDEGVVCGGFIRTVTSPARPLSANGVLDTMTSGTFLFTDCMEKNEAGSSGDDQENGVSMNPCAKELITDGGTSDYGEVLLDVPEIVCCTDGSEKVVTPSLPSFEECIHNADTSLIPDGEVRLEVPKSGKSTDGPEQTLPLDMSSVQWKPNGAALSVPDREAPLGLHESASSSHNIVSASTPSSEEQIHAVMVTVKDKVVESRLFETGSSNDDQGNLVTVDPSEEQNPENAIYDTVNSIHGLHNVISVSAPSSEDQIHVVTVTIPESGVFETVSSNDGQGNLVSVDPASEEQTLEKSTDYETVSSSHGLHNVVSVSAPSSEERIHIVTVTIPDKVVDSGVLETTSLNGGQGDVVSVDLPSEEQILEKTSAYDESVSSIHGLHNVVSVDPPSSEEQRHAVTVTMPDKEVGSGVLETVSSNDGLANLVSMDPPSSKKQISKKAIEHETISLTHGLQNLESVSPPSSEERITVPAKEDESRVLETVSSNDGLVNLLSMDPSSSEERIPEKASEHETVSLSQIHAVTETMPDKEVESGMLETVSSSDGLGNLVSVDPPSSKEKNPEKATEKESSELHVMASNSATGINQQKGVDTAAISNSSGENPLVNSLLLQPVTALVHGGSVTFDQAHQQKGTLLATLTAVQCGDPQASELQDTSQPVENPVSTSVAMVSYNHSYHDVPDNEPVVQVPVLPSSNTLDHSSPELFSVAGINIQPISEDHTFNQVAQAPMRIAGNLPDLSDQTILQPMTCFSLQQPVDIPTSGFGMLFQDTRATSITSSYNTCPIPSAPRGASQMPLPLSPDPLQNELEKLDKEADQIHKSHEDMKLRLKSDCDKEIEEAVADIRRKYEIRFQETDAEFHLRKKESDAIRNMVLMNKILSLVWTKYMDYTRASSASGPQQDENFVFFQHLDQLSIRQNQHVHSPVASSSLASPPAASLQTSIASLPSLQVTPLAPATNPHCTTPPMQLPAPFPSIPARPPHISSPSSTGIPQGGGEIRAPAPHFQPFRPSTSMSNPHLQPFTPSTSMRNWQSHSNSHASSVSLPHIPRLPPPMQQYVPYTRAHHPENAGGLPALSVYALPLIMDINGRFGSNPSGSLPSMPNLGSTLDHLHLSDPAITGGAHVNPVRTGRSTDVVYLSDDD >ONI01865 pep chromosome:Prunus_persica_NCBIv2:G6:15685374:15685913:1 gene:PRUPE_6G163500 transcript:ONI01865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKPQLNNHPDRKGPTSVVVWSIYSLRQNNHPPPSSPPHQPPTPPPKITVTVNFSPSKRILLSSHLTSSSSKPSKLPKLSPHTHNPIPTAPNPSLHQKFFQKLLEPSSDIPKPSPSSNPPA >ONI03663 pep chromosome:Prunus_persica_NCBIv2:G6:25842920:25844200:1 gene:PRUPE_6G273300 transcript:ONI03663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSDEEIQKEVRGRAKWIAEIEERLGGVNEAEDSCIYKVPSKLRRVKQDAYNPRVVSIGPFHRGDPDLVARFLQQTDDPYESRSCLKTARKLFIFRHETFSFLPELADPDSKNKWGFNCSATQLLESGIRFKVGSSEDQLLNITFSNGVIRFPPLVMDDSASSILRNLIAFEQCSLSNMHGVTSYAFLIKSLIRSSADIKRLRQYGIIQHNWIGDQENLSQFEGIVDGVVMKDFYIDRLCAEVNAYTSRYWFRRYIKYLYRTYFSSAWSKIVFLASILAFILTSLQTYFTIYPR >ONI00952 pep chromosome:Prunus_persica_NCBIv2:G6:8175184:8176903:1 gene:PRUPE_6G113300 transcript:ONI00952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGATSNCFQTMPQQEEDEHQGLQIFSMTEDPQVSSFSTSSGPENHSTSLLYSLSVLKEKVRQAKSLFSILISPDHHHHQSQPPESTSMAIASMNNVIQEIIVTASSMMFTCQQMALVSPPPPPPPPGNNNPTSTSNTIDHEEQLLHHQGLNKPPPPRFSQPNFGSGLDNKIETPFYPTNDDQALDWFSDSYIDCTTRDTKTRHVQGKQQPISPKSFDIIELDAADLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTTVALSNPMKKNNSSTNNNNNHGAGGTDGNTDCSTNLPRKYSCPQVGCRWNQKHAKFQPLKSMICVKNHYKRSHCPKMYVCKRCNRKQFSVLSDLRTHEKHCGDLRWQCSCGTTFSRKDKLMGHVALFTGHTPVVISSLASRLGKADQRSHGSQM >ONI02118 pep chromosome:Prunus_persica_NCBIv2:G6:18298806:18299677:1 gene:PRUPE_6G177800 transcript:ONI02118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGATYATVYVMQKRQKEEMKKKLEHMGGRSGQSSTVQDTKVSAHGRNKKVYPGTFQSPNNSPVEAGHN >ONI03859 pep chromosome:Prunus_persica_NCBIv2:G6:26520996:26523616:-1 gene:PRUPE_6G286300 transcript:ONI03859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METESSSKKETNHRLPLSEVVSDCIKRWFKDTLKEAKAGDINMQVLVGQMYYNGYGVPRDAHMGRIWITRASRTRSSVWKVSDKQPGYNASDSDSDELKGDS >ONI03858 pep chromosome:Prunus_persica_NCBIv2:G6:26520996:26524039:-1 gene:PRUPE_6G286300 transcript:ONI03858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METESSSKKETNHRLPLSEVVSDCIKRWFKDTLKEAKAGDINMQVLVGQMYYNGYGVPRDAHMGRIWITRASRTRSSVWKVSDKQPGYNASDSDSDELKGDS >ONI04405 pep chromosome:Prunus_persica_NCBIv2:G6:28336542:28338605:-1 gene:PRUPE_6G319900 transcript:ONI04405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPKSTVDSIREWVVEHKLRAVGCLWLSGLAGSIAYNWSQPNMKTSVRIIHARLHAQGLTLAALAGAAVVEYYEHKSGSKADKYAKYFNIENFSHKD >ONI03894 pep chromosome:Prunus_persica_NCBIv2:G6:26721174:26723443:-1 gene:PRUPE_6G289200 transcript:ONI03894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFACVCLYTFSHQEVKPSHRRWTHQILHHVKELCLKNNRHAAHARLP >ONI02712 pep chromosome:Prunus_persica_NCBIv2:G6:22428993:22430024:-1 gene:PRUPE_6G217300 transcript:ONI02712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTVPAMLPSDSLLHFPAFDGGFTPGGGGFTPWDCSELFPAIQSPKPTISTLSSGPVQSPKPVISSSGSDDDPNRSVEPVRMNRTHANAKSCPNDASNRAVSVVDERKRRRMISNRESARRSRMRKQKHLENLRNQVNRLRIENRELKNRLSYVLYHFQRVRTDNDRLQSEHVLLRQKLSDIRQILVYRQLQHMSSAWPCNTVIPEQTPSLIA >ONI02895 pep chromosome:Prunus_persica_NCBIv2:G6:23153579:23153797:1 gene:PRUPE_6G227300 transcript:ONI02895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGFGRRACPAASMAQRVAGLTLGSLIQCFEWERVSEKTIDMAEGKEINMPKVIPLEAMCKARPIMNKFLS >ONI04304 pep chromosome:Prunus_persica_NCBIv2:G6:28088865:28091848:-1 gene:PRUPE_6G314900 transcript:ONI04304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSNNAAGVDNTFRRKFDREEFLERARERERQEEEGPHKSKSKGPPVQRKPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYFCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLQQVQERFEKLKKRKTDGTFTEQDLDERILKQQQEEEERKRQRRERKKEKKKEKVVEEETEMDPDVAAMMGFGGFGSTKK >ONI02914 pep chromosome:Prunus_persica_NCBIv2:G6:23249598:23251072:-1 gene:PRUPE_6G228800 transcript:ONI02914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKAFGWAARDTSGILSPYSFNLRKTGSEDVVLKVLYCGVDHTDLHQMRNEIHNTNYPLVPGHEVVGEVVELGSEVKKFRVGDLVGVGGIVGSCGECLSCQSNMEQYCHNKIVTYNDTNKDGSPTRGGFSSAMVVHQRFVVRIPEKLALDQAAPLLCAGVTAYSPLKQFMGSNKVLKAGILGLGGVGHLGVSSDKKREEALEILGADAFLVSSNAAEMEGAANSVDYILDTVPALHPLRLYLSLLKVDGKLIIVAAVPKPLQLDAVDIILGKRTITGSLIGSMEETREILEFWADKGLKSFIETVKMDYLNEAFERMERNDVRFRFVLDVAGSDLE >ONI04114 pep chromosome:Prunus_persica_NCBIv2:G6:27516019:27516954:1 gene:PRUPE_6G303600 transcript:ONI04114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTATFVDIIIAILLPPLGVFLKFGCEAEFWICLILTLFGYLPGIIYAIYILTK >ONI01660 pep chromosome:Prunus_persica_NCBIv2:G6:13143873:13146301:-1 gene:PRUPE_6G151600 transcript:ONI01660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCHGKKWGLPRYTTMKDGPDHNPAFKASVSVNGFSFDSPAACKSSKKAQNQAAMLAFLHFTSPPSSFSSADHEHTIESKEFFNTLKGPEHAAANAVSMSSSQDGAQTSVESGHCKNLLQELAQREGFYMPQYKTARSGASHLPTFSSTVEVEGEEFYGKAGKSKKQAELSAAKVAYIALKERGLSRATEITSCHLREGALKTTQSSDLRMTVDSVKNLIHEEQLVSSPDIKYEECTKQMKGTLHDLSANANLEAEVCDSFQAVLEMDNIKETGNPSSCSELMFPSTEESPSSPAFIQPNLPAVTNVGIGTGVRSYLLCNRVRVYTQFPDIAFPNRITVLPVSDDKWVAFRLEFPNEESN >ONI01661 pep chromosome:Prunus_persica_NCBIv2:G6:13143873:13146393:-1 gene:PRUPE_6G151600 transcript:ONI01661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCHGKKWGLPRYTTMKDGPDHNPAFKASVSVNGFSFDSPAACKSSKKAQNQAAMLAFLHFTSPPSSFSSVEPTVTADHEHTIESKEFFNTLKGPEHAAANAVSMSSSQDGAQTSVESGHCKNLLQELAQREGFYMPQYKTARSGASHLPTFSSTVEVEGEEFYGKAGKSKKQAELSAAKVAYIALKERGLSRATEITSCHLREGALKTTQSSDLRMTVDSVKNLIHEEQLVSSPDIKYEECTKQMKGTLHDLSANANLEAEVCDSFQAVLEMDNIKETGNPSSCSELMFPSTEESPSSPAFIQPNLPAVTNVGIGTGVRSYLLCNRVRVYTQFPDIAFPNRITVLPVSDDKWVAFRLEFPNEESN >ONI02601 pep chromosome:Prunus_persica_NCBIv2:G6:21788233:21791436:-1 gene:PRUPE_6G209500 transcript:ONI02601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFMALELSNSITKPSIFFDATTFFPPNENVPPTTLLIPPISFASLKSKHRRKTRAAQSSPTTSPQASNIATAQVAEQVEVEVAEGYTMTQFCDKIIDVFMNEKPRAKEWRKFLVFREDWEKYKESFYNRCRTRADMEGDQTMKEKFTSLGRKVKKIDDEMERHSELLKEIQDNPTDVNAIVARRRKDFTEEFFRHVNLLSEVYDSLEDRDAMARLGARCLSAVSAYDNTLEYVETLDTAQAKFDDMLNSPSVDVACEKIKSLAKAKELDSSLVLLINSAWASAKESTTMKNEVKDIMYHLYKATKSSLRSIAPKEIKLLKHLLNITDPEERFSALATAFSPGDGPEAKDPKAVYTTPKELHKWIKIMLDAYHLNAEETDIREAKQMTQPVVIQRLFILKETIEEEYLERSTDQKSQTEDTKPEEL >ONH99590 pep chromosome:Prunus_persica_NCBIv2:G6:2828904:2838727:-1 gene:PRUPE_6G037500 transcript:ONH99590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMQSMQAVLKQYFGFSSFRPYQKDVIDKIIEGNDSLIVMATGSGKSLCYQVPPLVVGKTGVVVSPLISLMQDQVMSLKQRGIRAEFMGSSQTDSTVQNRAESGQFDILYMTPEKACLIPASFWSKLLSVGICLFAVDEAHCISEWGHDFRVEYKKLDKLRGILVDVPFIALTATATEKVRTDIVNSLKMQNPYVTIGSFDRPNLFYGVKSFNRGQSFVHELVQEVSKFVRRDGSTIIYCTTIKDVEQVFKSLKELGIKVGTYHGQMDSKARAESHRLFIRDELDVMVATIAFGMGIDKPNIRQVIHYGCPKSLESYYQESGRCGRDGVASVCWLYYTRSDFAKSDFYVGELQTESQRRAVVESLMAAQQYCLLTTCRRKFLLGHFGEKVSADKCGTCDNCISSKRERDMSREAFLLMACIQSCGSKWGLNMPVDILRGSRAKKIIDPQYDKLPLHGLGKDYSSNWWKALGYQLISSGYLMETVNDIYRTVSVSPKGYQFLSSAGPDHQAPLILPVTSEMVDDEDNKHASGEVGEIKSLATVECEGFSEAEKQLYHLLLEERRKLARAIGTAPYAICGDQTIKKIALTRPSTKARLANIDGVNQHLVVAHGNNFLRIIQDLSQGLNLTLDGEATVQTAFTRKVYPVSNQPKKLTPAKFEAWKMWHEEGLSIRKIANFPGRPAPIKELTVHEYLMDAAQEGCEIDWIRLCDEVGLTRKVFSDIQCAISKVGSSEKLKPIKEELPEDISYGHIKTCLAMQKYGISLDVIPSNLHNAQKAGQLSNKETESSPCSTRTSPIEGPCEAETLVQNSVATLGENEETASVPLTWGQTVKLPKVHFEDALSKKRQKLSSPDDGNSIALKATESSLFNWLKNKDGVTLAEILEHFNGSEEKSVIDLLSCLEGDFLIYRKNNMYMII >ONI00338 pep chromosome:Prunus_persica_NCBIv2:G6:5630955:5635670:1 gene:PRUPE_6G083400 transcript:ONI00338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFGEQEASSSSFPTFRDEEELERGEDIKPEFEKAIQQSRSSVIVFSKDYPSSRWCLDELVLILKQKRISDHVVLLVFYNVDPSHMRHQTGSVGEAFARHEENQQSPNKVKQRRAALREVADLAGMVLQNQANGYESKFVKQIVKVVDEKLSRMRTPFIVASYPIGIDSRVKYINSWLKDGSADVDVRETSRQPNGLVQLQLQFLYHILSGRGVKIHSVSEGINKIRDAIISKRVLVLDDVDDMHQIKAIFGMLDWFYPRSKIIITRYAGLLRDHELSTCKVYNVETLKANESLELFSWHAFGQAHPCEDYMKLSEMIADRCKGLSLALQVLGSSLFGRTIDVWKSALEKLKAIPNNEILQRLRISYDALQDNPDDQNLFLHLHASLVLDGCDFFTIVGIENLLDRCLVTVDENQKVSMHQMICDMGREIVHLGSKEPEKPSRLWNDTDSFNLLREKSGTETIEGLGLDMHMHSVNTPWGDSDEMVFKTTAFARMPKLRLLHLSHVRFKGCYEEFPKGLRWLCWLEFPLKSLPSDLPLERLVYLEMPHSNVRQVFKGIKYLPSLKNVDLESLVLEDCSSLVDVHESIGNLNRLVYLNLKGCKKIRKLPKNLFNLQSLDTLPLSGCSNMKSLKVLDVAEIPISQVFMTTTGEVKPRIGRNPESLWSILPRCLAHLSIRSCSLSDEAYPKDFGHLRSLEFLGLSKNPIRGLPHGVRGLRGLVRLVITQCYSLQTLKGLLRVTNFAVVDCRLLERITFQSSLCVPIELLSMHNPKLVEIECLYKFVLYEYGIISTFLPVNVVPGQFSHKNRGSCSISFTVPCSNLRIRGLNIFSVYTVNDGDLDPGYSCDKISPIVTKVHNKSTGMKWIYDPSLIGFPDLGEDMIFLSHWSLGNELKGGDQVIVSMFMRSLFQVNEWGIQLVHEQHQDQEKMMIMIAQQDDNTVPSFPSVVVGRLPEFEMIPRTYFLSNGPLSRRTLTFGHWRESDLFDDIFGDGDSHYSDEETDKQVLQQGSRTKSKRLNHEVGCASIMSCSCYVSSMTIHYWQVVQLRIIGMYDWFFYVVFILERKSTCSYQSSRVPTPEKADSEC >ONI03111 pep chromosome:Prunus_persica_NCBIv2:G6:23888120:23891574:1 gene:PRUPE_6G239500 transcript:ONI03111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFHCPTFSLVVFLPIFFLVITNAATEFDFGTLTLSSLKLIGDAHLNNGSVRLTRDLAVPTSGSGRVLYSKPVRFRQPSSPFPASFSTFFSFSITNLNPSSIGGGLAFLIAPDDAELGDAGGFLGLESGSDPGSGFVAVEFDTLMDVEFKDINSNHVGLDLNSMVSTRVGDLSSIDVDLKSGDLVNSWIEYDGSSRVINVSVSYSNLKPRDPVLSYSLDLGQYVSDFMYVGFSGSTQGSTEIHSVDWWSFSSSFDSMLSPSGSSSPPPPPPTTTLMNPTANSVKSPPPSMPPSGSSNTSSTNQKNSKSSSCRNHLCREGPGAVAGVVTASAFVLALFAGVFIWVYSKKFKNVRKSDNSFASDVIKMPKEFTYRELKAATKCFNANRIIGHGAFGIVYKGILPETGDIVAVKRCSHSSQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWPHRRKILFGVASALAYLHQECENQVIHRDIKTSNIMLDEGFNAKLGDFGLARQIEHDKSPDATVAAGTMGYLAPDYLLTGRATEKTDVFSFGAVVLEVGSGRRPIEREVSGVGKVGVCSNLVEWVWSLHREGKLLMAADPRLEGQFDEGEMRKVLLVGLACSHPDPSARPTMRGVVQMLVGEAEVPMVPRTKPSTTFSTSHLLMSLQDSVSDCNGMITLSTSSSELSFHGGGDHIV >ONH99472 pep chromosome:Prunus_persica_NCBIv2:G6:2448936:2451247:1 gene:PRUPE_6G031600 transcript:ONH99472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNEAPVSMEVEAVPSEPSSASAALPPKPIFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKVWLDIYTPVYEQMKIDIRMNLKGRKVELKTRADTPDVSNLQKCSDFVQAFMLGFDVIDAIALLRMDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHILGSFANIKVARDSLCSLILGSPAGKVYSKLRAVTARLAERF >ONI03075 pep chromosome:Prunus_persica_NCBIv2:G6:23735735:23736813:-1 gene:PRUPE_6G236700 transcript:ONI03075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKAIFPTSSIGDNPIGSDGLFEFDEADIWSSSNPVAEQKKNAIPSYRELKKMGRKTTMLMSMADQKNSAKVTSASLPVNIPDWSNILKEAYKDQRQRDSDEDRGKEDFDGGDDDDDGGDGRVPPHEYLARTRGASFSVHEGIGRTLKGRDLRRVRNAIWKKVGFED >ONH99574 pep chromosome:Prunus_persica_NCBIv2:G6:2773155:2773536:1 gene:PRUPE_6G036700 transcript:ONH99574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAVTGGMLARSAEIMGWAGIVINGCVRDVDEINECEIGLRALATCPVRPIKNDSGSGQKHVPVSIGGVLIHEGEWLYADGDGILVSSSELSI >ONI00561 pep chromosome:Prunus_persica_NCBIv2:G6:6600158:6602668:1 gene:PRUPE_6G095000 transcript:ONI00561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVLGFGVLLLVMWYGVSVAVGYTGDPDEDWRRKKEEREGRPGSGDMRRPEYEKPESEKEDWYLLPQSRQVVKTEAGEMSVVMRVGGRVVDKPMHIGFITMEPKSLFIPQYLDSNLVLFVRRGEAKVGLIYRDELGERRLKSGDVYRIPAGSPFYLVNTGEGQRLHIICSLDTSESLGLGSVQSFFIGGGSNPQSVLAGFDHDILTNAFNVSSSELMEVLTSQQKGPIVYLSDSHSPNLWAKFLQLKEQDRLQEMKKMVDFQQEPDHHQDQTQTWSWRKLLNSVFGAGSDDNKKRAEDYDKGKGKAPDSYNLYDRKPDFRNNYGWSMELDESDYAPLKDSGVGVYLVNLTAGAMMAPHVNPTATEYGIVLRGSGTIQIVFPNGTSAMNTNVQDGDVFWVPRYFPFCQIASRSGPLEFFGFTTSARKNRPQFLAGASSVLQTIRGPELAAAFGVSEDRLRKFIDAQREAVILPSAQAAPPYKEDRQQPREEDEKKQPREEDERKQPKGDDRGTFERVPEVIKSFGTDMVMGFD >ONI04021 pep chromosome:Prunus_persica_NCBIv2:G6:27107810:27111706:-1 gene:PRUPE_6G296800 transcript:ONI04021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVSENPFSFSSRSPTPKLCSSFTTRIFSDVAGDITIVVDGESFLLHKFPLVSRSGKIRKMVADAKDSTAKLELLNIPGGPLAFELAMKFCYGINFEITTANVAHLRCAAEYLEMTEDYRDENLIARTETYLDEVVVQSLEKSVEVLSTCETLPSIAEEVGIPSRCVEAIAMNACKEQLVSGLSMLNCDGESTELKSACLEWWVEDLSLLRIDYYQRVICAMTRLGVRPDSIFASLMHFAQASLKGIGKCQTWNPGKLKLNTGMAEHDQKTVVESLVSLMPTEQSCSVPLSFMFGMLRMAIMVDATIACRLELERRIAFRLEMVSLDDLLIPSIQAGGSLYDVDTVHRILVNFLQRIEEEESEDCGYESEGLGSPSHDSLLKVGRLIDTYLAEIAPDPCLSLQKFIAMIEILPDYARVIDDGLYRAVDIYLKVHSMLTEQECKKLCKFIDCQKLSQEACNHAAQNDRLPVQMTVRVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQLFMKQGMMDKTGNGKTFLTSLSKGIGRIGIFSGQAGGKPKKSGRKSRGSEGKTGRSRRYSVS >ONI04022 pep chromosome:Prunus_persica_NCBIv2:G6:27107810:27110605:-1 gene:PRUPE_6G296800 transcript:ONI04022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADAKDSTAKLELLNIPGGPLAFELAMKFCYGINFEITTANVAHLRCAAEYLEMTEDYRDENLIARTETYLDEVVVQSLEKSVEVLSTCETLPSIAEEVGIPSRCVEAIAMNACKEQLVSGLSMLNCDGESTELKSACLEWWVEDLSLLRIDYYQRVICAMTRLGVRPDSIFASLMHFAQASLKGIGKCQTWNPGKLKLNTGMAEHDQKTVVESLVSLMPTEQSCSVPLSFMFGMLRMAIMVDATIACRLELERRIAFRLEMVSLDDLLIPSIQAGGSLYDVDTVHRILVNFLQRIEEEESEDCGYESEGLGSPSHDSLLKVGRLIDTYLAEIAPDPCLSLQKFIAMIEILPDYARVIDDGLYRAVDIYLKVHSMLTEQECKKLCKFIDCQKLSQEACNHAAQNDRLPVQMTVRVLYFEQLRLKNALSGSSGDGLLSQRISSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQLFMKQGMMDKTGNGKTFLTSLSKGIGRIGIFSGQAGGKPKKSGRKSRGSEGKTGRSRRYSVS >ONH99540 pep chromosome:Prunus_persica_NCBIv2:G6:2674409:2679002:1 gene:PRUPE_6G035600 transcript:ONH99540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDEEKEASKSAKAPQKTPLDTMVSSGLTIKIASSTTPTTKTCSSQETGKETLPSPNLKNSIESSPYNSPSLVSPPSSAFVSALQSPYISPRALTPKPQETQESSNPTTQPSPLVSLCRGSQSDDIPSSSYTPPSDQYEYSDDVSDPLKLKFDSAPPRISFSFPVPRISFAKGPVSPASNAKLRSCDVYIGFHGQNPSLVRFCKWLKSELELQGIACFVADRAKYSDTQSQEIADRVICSVTYGVVVVTSSSFINHLSMEEVRFFAQKKNLFPIFFDTGPAEILGLLNYSSIDKECKEAIDGLMKSNEFKLEANEGNWRNIVSKAAGVLRAKLGRQSVSQTDMEGVDELPFPRNKFFVGREKEIMEIETALFGSSGDYLEQECSMTIIKGEASGHSEGVADDESEVVTTRGGRYINLEMGKCKEPNLEAWIEPVVGRNSFKRSKYKKSKSGNYKSLGSSVICLNGVPGIGKTELALEFAYRYCQRYKMVLWIGGEARYFRQNILNLSQNLGLDVSADAEKDRGRIRSFEEQEFEAFKRVKRELFRDMPYLIVIDNLETEREWWEGKDLHDLIPRNTGGSHVIITTRLSKVMNFDAMQLPPLPVSDAMILIRGRKKKDYSAEELEILMKFDEKLGRLSFGLWLIGSLLSELAIAPSALFEAISQMQLDEGSPCPFISITEEQYYKNNSFLMKVISFCFAVLQQSSGIINLLASRMLLVGAWFAPTPISLTLLTTAANNMPATKSRLRKWTNCISVTFGSCSSCFAPQAWKSAEEDSAHLLVKLGLARTAKKPFGCWIQFHPITQVYTKRKEGLVAAKATVQGIRKIGNPLVNLDHLWATAFLVFGFKSEPPLVQLKAIDMVLYIKKTALPLAIRAFTTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWCHGSLCWKNKLQSNQRVDEYVWQDVTLLKATLLETRAKLLLRGGHFDSGEELCRTCISIRTVMLGHNHAQTLAAQETLAKLVRMRSKI >ONI01169 pep chromosome:Prunus_persica_NCBIv2:G6:9645941:9646596:-1 gene:PRUPE_6G125800 transcript:ONI01169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSHVKTFRSIINTSEAVGIVSRRTFAIGGGKAKKGSKGAAQGDAPKASNLDKEVKSTTVVGANILKDGTDPKILPDAEYPDWLWRLLDKRPALSELSRKNIETLPYKDLKRFVKLDNRARIKDNNSLKAKN >ONI02572 pep chromosome:Prunus_persica_NCBIv2:G6:21569701:21572354:-1 gene:PRUPE_6G207300 transcript:ONI02572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSTEQEHPKKAFGWAARDSSGVLSPFKFSRRETGEKDVSFKVLYCGICHSDLHMVKNEWGSSTYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCMVGSCHSCDSCANNLENYCPKMILTYGSKYLDGTTTYGGYSDIMVADEHFIVRVPDSLPLDGAAPLLCAGITTYSPLRYFGLDKPGMHVGVVGLGGLGHVAVKFAKAMGVKVTVISTSPNKKEEAIDHLHADSFLVSRDEDQMQAALGTMDGIIDTVSAVHPLLPLIGLLKSHGKLVMVGAPEKPLELPVFPLLMGRKILGGSCIGGMKETQEMIDFAAKHNITADIEVIPIDYLNTAMERLVKADVRYRFVIDIGNTLKSSS >ONI02744 pep chromosome:Prunus_persica_NCBIv2:G6:22571990:22581852:1 gene:PRUPE_6G219600 transcript:ONI02744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRSRPSNYHQTLCSCHCKPRIFNYNLKVKNQRVGFIGLACLWQGMGKRKRRLMEAQGFGWEGHDLGAYVISEEDIRFVEALREVQPYVFLNRGRTFVVAIAGEIVDIPIYFDALLQDIAFLHHLGIRFVLVPGTHVQIDELLAERGKKPKFVGPYRVTDSDSLTAAKEAAGAISVMIEAKLSPGPSICNIRRHGDSSRLHEVGVSVESGNFLAAKRRGVVAGVDYGATGEVKKVDVSRMRKRLNDDCIVILSNLGYSSSGEVLNCNTYEVATACALAIEADKLICIIDGPILDESGRLIRFLTLEEADMLIRRRAKQSEIAASYVKAVDEENLSGLGHDDPNATQQNGNALKGRYTTMFHNGVGFDNGSGLWSGEQGFAIGGHERQSRLNGYLSELAAAAFVCRGGVQRVHLLDGTKGGVLLLELFKRDGMGTMVASDLYEGTRMARVSDLSGIRQIILPLEASGTLVPRSDEELLQALDSFIVVEREGQIIACAALFPFQEDRCAEVAAIAVSPDCRGQGQGDKLLDYIEKKASSLGLVKLFLLTTRTADWFVRRGFSECSIESIPEKRRRKINLSRKSKYYSKQLLPDTSGITVNRAFT >ONI04852 pep chromosome:Prunus_persica_NCBIv2:G6:29575247:29576745:-1 gene:PRUPE_6G343700 transcript:ONI04852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFEQDLNFEATELRLGLPGTKEPEHNTCSSALINRSNKRPLPADMNEDKYSSAAKRCDQQISQPPPSMAQVVGWPPVRSYRKNCLQAKKTEAEGCGIYVKISMDGAPYLRKMDLKVYRGYPELLKALEDMFKFKVGDYCEKDLGYNNRSEFVPTYEDKDGDWMLLGDVPWEMFITSCKRLRIMKGSEAKGLGCAL >ONI00108 pep chromosome:Prunus_persica_NCBIv2:G6:4657222:4660801:-1 gene:PRUPE_6G067700 transcript:ONI00108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHLALLFYHAFTILILTMSLLSKATSEDREVSIVYLGSLPHGVYSPLSHHHSILQRVIQGSSLESLLVRSYKRSFNGFAAKLTDQEREKLANMKEVVSVFPSRTYQLQTTRSWDFMGFDEKINRNATVESDIIVGVIDSGIWPESESFTDVGFGPAPKRWKGACKGGKNFTCNNKLIGARYYTAESARDGTGHGTHTASTAAGNGVKDASFYGLAQGTARGGVPSARIAAYKVCAPTCMAHNILAAFDDAINDGVDIITISIGLGFMEDVYGDAISIGAFHAMENGILTTNSAGNNGPSDGTVSSPAPWILTVAASSIDRRIIDKVVFGMGTTVVGNSVNSFTLNGTSFPLVHGKDVSSNCTEEDAGYCKEGCLDSQLVKGKIVLCDRYTSAIPEAYKAGALGSVVLNFYNDDDLSLILPLAATALHPKEYSVMMSYMNSTRDPQGTILKSEHIKDPAAPHVAFFSSRGPNLILPEIIKPDISAPGVQILAAYSPDASISEFEDKRHVKYKIMSGTSMACPHAAGVAAYVKSFHPDWSPATIKSSLMTTAWPMNDTSTKSNISTGEFAYGSGHINPVKAINPGLVYEASKEDYIRLLCMKYDEGKVRLVSGDNSTCPTDKGSPLDHNYPSMAAKVTPMNPFAVKFHRRVKNVGLANSSYKATIFQDSKVEIKVVPEILSFKSLNEEKAFDVTVAGSGVPFGSQVSTQLVWFDGAHSVRSPIVVYTAAMQMQDQYPF >ONI04830 pep chromosome:Prunus_persica_NCBIv2:G6:29491147:29494271:1 gene:PRUPE_6G341800 transcript:ONI04830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQQSRVVVHSKLTAVSSRPVKAGTTHNLTALDHAMGLHTLHVVFYYKHKLFGCFDLDPLRLSLSEALSLHPPVMGRMAQKPDGNWEVKCNDAGVRVFMARVATTLDEWLRSADGSEERLLTVWDDMPDDPSTWSPYRIQINEFEGGGAAIGVSCTHMHADPTCVTLLVKAWAETHRKQAIAHPLLVGESSALGGRPVDQGIIKTKSAAAYYEAKSVAAKTPLPEKKMSTATFKFSSAMIKQGLLQIHKTCPEANPFDLLAAVFWTSIACLKPPKSATKHSLSICTDFRKKLCSGWHYGNALHFSMLSVPDVEDVENTNWLEHVAGAVHRHVWSQKEEEFWSGVDWFGSQKGEEGKFGAAFRMYGPELTCVSMEHMNEKEPMMYAALFDKDKKPAHVSYHVGNVEGEGLIMVMPASEGGLGRMVMVTLPEEELARLCEAQPILSLKPTMLLSGKQA >ONI05291 pep chromosome:Prunus_persica_NCBIv2:G6:30728378:30728842:-1 gene:PRUPE_6G366100 transcript:ONI05291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYQVTRKSNAVTFFLDRDGADVRGYFAWSLLDNFEWAQGYTKRFGLVYIDYKNELSRHPKSSAYWFLRFFKGGEGKHDKEQ >ONI04553 pep chromosome:Prunus_persica_NCBIv2:G6:28740039:28742471:-1 gene:PRUPE_6G327800 transcript:ONI04553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLNVNKNTSSSSSEDSNPCPICLGPIIQDSYLDKCFHKFCYNCILRWTKVVAHKQSCLPTSVKCPLCKTENLSIIHGYDGSSFQKHYINQDSGFILSKDHKYRLQCYYTEPGFLNDIFDVLRYWKSHKYLQPNRWLQSWLRREIQALMQEEDVDIIMHHIHGLIHSSLTRVSIIFVSELACLNPAFFRHEQKGQTKTPEAKQREFQESISDAARPFLAARTDRFVNEVELFLASGLNIEAYDAVCMQRLGWSAPGVTTEPTEGELAEHRPVIPYLYIFYESDCSD >ONI04552 pep chromosome:Prunus_persica_NCBIv2:G6:28739966:28742585:-1 gene:PRUPE_6G327800 transcript:ONI04552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLNVNKNTSSSSSEDSNPCPICLGPIIQDSYLDKCFHKFCYNCILRWTKVVAHKQSCLPTSVKCPLCKTENLSIIHGYDGSSFQKHYINQDSGFILSKDHKYRLQCYYTEPGFLNDIFDVLRYWKSHKYLQPNRWLQSWLRREIQALMQEEDVDIIMHHIHGLIHSSLTRHEQKGQTKTPEAKQREFQESISDAARPFLAARTDRFVNEVELFLASGLNIEAYDAVCMQRLGWSAPGVTTEPTEGELAEHRPVIPYLYIFYESDCSD >ONI04554 pep chromosome:Prunus_persica_NCBIv2:G6:28739966:28742585:-1 gene:PRUPE_6G327800 transcript:ONI04554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMEVLFKSITSTRILGFLNDIFDVLRYWKSHKYLQPNRWLQSWLRREIQALMQEEDVDIIMHHIHGLIHSSLTRVSIIFVSELACLNPAFFRHEQKGQTKTPEAKQREFQESISDAARPFLAARTDRFVNEVELFLASGLNIEAYDAVCMQRLGWSAPGVTTEPTEGELAEHRPVIPYLYIFYESDCSD >ONI01186 pep chromosome:Prunus_persica_NCBIv2:G6:9713809:9716230:-1 gene:PRUPE_6G126400 transcript:ONI01186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDSIGSAFCLFFFSFTALFSLFSVLVFIIRLRPWCNCHVCRSFLTSSWSKDFDNLCDWYTHLLRKSPTGTIHLHVLGNIITADPENVEHILKTKFHNYPKGKPFTTILGDLLGRGIFNVDGNAWLFQRKMASLELGSVSVRSYALEIVSSEIQSRLIPLLASLAEDRNSAVDLQDLFRRFSFDNICKFSFGLDPGCLQLSLPISDFAVAFDTASKLSAERAMTSSPIVWKIKRFFNIGSERQLRDAVKMVDSLAKSMIEQRREMGNFSTQKDLLSRFMANIDDDKYLRDIVVSFLLAGRDTIASGLTSLFYLLSQHPEVKRAILEELDRVMGRSGSESRSWAASFEQMREMHYLHAVIYETMRLYPPIQFDSKYCQEDDVLPDGTFVPSGTRVTYHQYAMGRMERVWGSDCLEFKPERWLKNGLFTPECPFKYPVFQGGERVCLGKELALLEMKSVAVALVRRFDIQVSEPDRTPRFAPGLTATVRGGLPVRIQERKG >ONI03504 pep chromosome:Prunus_persica_NCBIv2:G6:25151642:25154358:1 gene:PRUPE_6G261200 transcript:ONI03504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQMQKNECAQSVTATRRCGNCGTTPVPYPLSTGPGCGETWYRIRCTAGTLWLDALHNSSYPITSINQETRRITIRPASFAPNTCLSSDFHSQGIQLDPNLPFNITSSNTVLLLICTDAMLNLQAPINCSSNSLCHSFIRDNAVACTRETLCCTFRTGGSQTAYIIRVHGGGCSAYQSFVNFDGPPSSAVVGVKKTKWPEPGVELEWVSPGEPICKSPADCKDLLNSKCLVDPRSVGQRSCFCNGGFKWDFINGFCQSMAMVVRGVVGIMGIRKAQKGLMKKQERMILSSKSSGKSARIFFTTKEIARATNYFSKDNLIGSGGFGEVFKGTFDDGTITAIKRAKLGNTKGIDQILNEVRILCQVNHRSLVRLLGCCFELDQQPVLIYEYVPNGTLFDHLHLHHEGKWATISWHQRLRIAHRTTEGLAYLHSSAVPPIYHRDVMSSNILLDEKLEAKVSDFGLSRLVESTDTSKNTHIFTSAQGTLGYLDPEYYINFQLTDKIDVYSFGVVLLELLTSMKVIDFNRGEEDVNLAVYMKRVMKEERLMDVVEPVIKEGASKLDLETMKALGFLAASCLDEQRQNRSSMKEVADEIEYIGIHSHGNLSKS >ONI04918 pep chromosome:Prunus_persica_NCBIv2:G6:29748529:29751403:1 gene:PRUPE_6G348100 transcript:ONI04918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIMLLLGLMHGTHFTTGMPLERRYLLEEFPELVNCKENSKVLEVGCGNGSTVLPILRGNENIIVYACDCSNEALERVKETVYASNKVSFEHRFHPFCCDFSVTVFPTWLACNPCQENIAQTQQLCFSDGRGKSQKNLNDSYSLSESRCCIGGVDFVTLIFTLSALPLHRMPESIKECFSVLKPGGLLFFRDYGLYDMSMLRFEIDKRVGFREYMRSDGTRSYFFCLDTVRNLFVGAGFTELELEYCCVKSVNRRNGKSMRRVWVHGKFQKPV >ONI04916 pep chromosome:Prunus_persica_NCBIv2:G6:29748529:29751403:1 gene:PRUPE_6G348100 transcript:ONI04916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAEYFSKDFDWDELRVQVENDPSFSYHLLPFQPNPISISLADEVHYAAAGSHAWNAFHHRHASGKFFKERRYLLEEFPELVNCKENSKVLEVGCGNGSTVLPILRGNENIIVYACDCSNEALERVKETVYASNKVSFEHRFHPFCCDFSVTVFPTWLACNPCQENIAQTQQLCFSDGRGKSQKNLNDSYSLSESRCCIGGVDFVTLLELEYCCVKSVNRRNGKSMRRVWVHGKFQKPV >ONI04915 pep chromosome:Prunus_persica_NCBIv2:G6:29748529:29751403:1 gene:PRUPE_6G348100 transcript:ONI04915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAEYFSKDFDWDELRVQVENDPSFSYHLLPFQPNPISISLADEVHYAAAGSHAWNAFHHRHASGKFFKERRYLLEEFPELVNCKENSKVLEVGCGNGSTVLPILRGNENIIVYACDCSNEALERVKETVYASNKVSFEHRFHPFCCDFSVTVFPTWLACNPCQENIAQTQQLCFSDGRGKSQKNLNDSYSLSESRCCIGGVDFVTLIFTLSALPLHRMPESIKECFSVLKPGGLLFFRDYGLYDMSMLRFEIDKRVGFREYMRSDGTRSYFFCLDTVRNLFVGAGFTELELEYCCVKSVNRRNGKSMRRVWVHGKFQKPV >ONI04917 pep chromosome:Prunus_persica_NCBIv2:G6:29748529:29751403:1 gene:PRUPE_6G348100 transcript:ONI04917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAEYFSKDFDWDELRVQVENDPSFSYHLLPFQPNPISISLADEVHYAAAGSHAWNAFHHRHASGKFFKERRYLLEEFPELVNCKENSKVLEVGCGNGSTVLPILRGNENIIVYACDCSNEALERVKETVYASNKVSFEHRFHPFCCDFSVTVFPTWLACNPCQENIAQTQQLCFSDIHTVSITTS >ONI01909 pep chromosome:Prunus_persica_NCBIv2:G6:16849444:16850311:-1 gene:PRUPE_6G166400 transcript:ONI01909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCKRFLLLASFVLLCFVSPSAARALSLHGDGEKGMDYKFSPNQDGTAAGDDSDELVAMDYTPAKKNTPIHN >ONI05093 pep chromosome:Prunus_persica_NCBIv2:G6:30199433:30200852:1 gene:PRUPE_6G355700 transcript:ONI05093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIPWTREEDQLLRKCVEQYGEGKWHRVPLLAGLNRCRKSCRLRWLNYLRPNIKRGSFEEEEVDLIIKLHKLLGNRWSLVAARLPGRTGNDVKNYWNCHLSKKLNAQEAEDKNKHCARNVQVMRPPASNYASTNSKRPYSTALDQAPSNNFCQPVNLLAREGGSSSSTQIPTPMPMPMPCYIGDGQNQMFQAEHDESNKNVPSVEEEDINDGINMGDFPMEGLPFKGVREHDQDDDADDDGVPNHNKWEWDDSILGDVDLWTHC >ONI03965 pep chromosome:Prunus_persica_NCBIv2:G6:26982045:26985991:1 gene:PRUPE_6G293800 transcript:ONI03965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNGFLTVFLFIFIVAEASNASLLSKHRILIGEPQNDSSTVPGKDSPVPSPPPPPPSPPPPVSSSGGNRSVPMADDDPSKNKTDTLPPAKTPKADPGPRGLNNNTKTDEGKGPNKEKKDPNPTPDTESKKDPNPVPATTPKQDPNSKQLKDEPNSASAPPEGGKVKNKEDSPEGGKVKNKEDSPEGGKVKSKEIEKEKNDNVNNSQNADRESCDGIIKRCQIKDVVVACIKSFDSGSKEVVILVQNIGDSTLKSKVSAENTKMDLKIAKHKNEKVNISLDIDRSTKITLNTGNGECELHMDPPVSDGNFFLRLPSYEKVVTPINGAYFLIVTVLIFGGTWAFCKARKRKQRTGGGVPYQELEMGLPESVSATAVETAEGWDQGWDDDWDGDNAVKSPGAHLVGSISANGLTSRSANKDGWENDWDD >ONI04520 pep chromosome:Prunus_persica_NCBIv2:G6:28656050:28658827:-1 gene:PRUPE_6G325900 transcript:ONI04520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPNCLFYFCSPSSISNSTSTSTTQLPLSYSHSIFNGCNGISLTKAWPSSSSRICAKFEQFQGEPPQDNLEDTTPPTLEAFEEDGEQEEEDDSCLPSDLEGAVRQSGEASATFVSSGGLRAIVELLIPQLQFLDEEGAQAELWELSRVFLDTLIEETGGQRVKAIFPDAGAAALLKYRWKDAAFGFSSLSDRKPVQSEDEIVVMVVPDYQMLEYVEKLASTLSDDPPRPLIMWNPRLISEDVGVGFNVRKLRRYFLSTFTTVYSMRPMQSGAVFRCYPGSWKVFYDDKDRPNRYLLAKELIRRPDAEELEMIFGNVDEKSEQGQSLFDQAAGIFSSLNRFMRVISR >ONI04521 pep chromosome:Prunus_persica_NCBIv2:G6:28656789:28658827:-1 gene:PRUPE_6G325900 transcript:ONI04521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPNCLFYFCSPSSISNSTSTSTTQLPLSYSHSIFNGCNGISLTKAWPSSSSRICAKFEQFQGEPPQDNLEDTTPPTLEAFEEDGEQEEEDDSCLPSDLEGAVRQSGEASATFVSSGGLRAIVELLIPQLQFLDEEGAQAELWELSRVFLDTLIEETGGQRVKAIFPDAGAAALLKYRWKDAAFGFSSLSDRKPVQSEDEIVVMVVPDYQMLEYVEKLASTLSDDPPRPLIMWNPRLISEDVGVGFNVRKLRRYFLSTFTTVYSMRPMQSGAVFRCYPGSWKVFYDDKDRPNRYLLAKELIRRPDAEELEVSYLLPTPSLEQITQNV >ONI00145 pep chromosome:Prunus_persica_NCBIv2:G6:4829938:4831265:-1 gene:PRUPE_6G070400 transcript:ONI00145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNGVNVGGHQSGRSDYTSRTDYISLIWFGFDYKKKNPPLHSHSRPLSSLQLKLKPHLKRETPSEASLFLIRKPVVPLVSSSLFASAKPCLRYSVLLGENSSYHFNPTLLELRFCVQSER >ONI02337 pep chromosome:Prunus_persica_NCBIv2:G6:19923796:19926200:1 gene:PRUPE_6G191800 transcript:ONI02337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLFPLILLHITVAAAQLRPGHYLKTCPGAEFVVRDVMEKALIREPRSLASVMRFQFHDCFVNGCDASMLLDDTTTMLGEKLSLSNINSLRSYEVVDEAKEALEKVCPGVVSCADIIIMASRDAVALTGGPNWEVKLGRLDSLTASQEASDNIMPSPRANASLLIDLFGKFNLSVKDLVALSGSHSIGQGRCFSIMFRLYNQSGTGRPDPALEPKFREKLNKLCPLNVDQNVTGDLDATPFVFDNQYFKDLVAGRGFLNSDQTLFTFPQTRKFVKQFSINQSEFFEAFVEGMIKMGDLQLEQPGEIRRNCRVANSRSVNTF >ONI00648 pep chromosome:Prunus_persica_NCBIv2:G6:6987495:6988058:-1 gene:PRUPE_6G100300 transcript:ONI00648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDTTHQTHLASFWPWEAVFQYSRKVRLRLISSSRKVPLRLVFVTIYPPCRSDSKSKEKERKGTKPKFSTVQ >ONI02214 pep chromosome:Prunus_persica_NCBIv2:G6:19247267:19249172:1 gene:PRUPE_6G184200 transcript:ONI02214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAPTLVSGWAWLPSNILDLILEKLIPISDYIRFSAVCKHWQSVALHRKKQRIKSCHKQIPMLIIPTIDGSSERRGLYSVTQGKTCSFELNVYYSKRFCGSSHGWLACVDENLVVTLLNPFTGRTISLPPVPKSTWRSTVAYRCDYYINKVVLSADPSFLPNDYEVLVIYDGYGKQIAHFKSGDDAWTSIDQVIGFDDVIYYKGQFLGVSLGGSVFSMNVSRDQTIKPRVSLLVPMDPGTDNKTYLVQSSQGDLMLVRKFKRVNHCKRFMKSLNFKIFKFERLKFERVNGYDRFTETLSFKVFKLFCAHGERPQWVEIESIGNEALFLGTNQSMCVSALDFPGCQPNSVYFTDDCVDVECHKPKGPHDMGTFNLENRSMGTHYCLDRLQKHMPPAIWILPSMV >ONI02302 pep chromosome:Prunus_persica_NCBIv2:G6:19728616:19728960:1 gene:PRUPE_6G189400 transcript:ONI02302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLKVKGSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYSIQKESTLHLVLRLRGGMQIFVKTLTGKTITLDVESSDTIDNVLCVFVWLCPGVF >ONI01430 pep chromosome:Prunus_persica_NCBIv2:G6:11267047:11269044:1 gene:PRUPE_6G138700 transcript:ONI01430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSFSLLAAAARRLEGKVALITGGASGIGESTARLFSKHGARVVIADVQDGLAESVCRDLSSSSISFVHCDVTKEEDVENAVKTATRKYGKLDIMFNNAGTGGVAKPNILDNDKAEFEQVIRVNLIGAFLGIKHAARVMIPAGQGSIINTASVCSTIGGGSSHAYTSSKHGIVGLMRNTAVELGQHGIRVNCVSPYIVATPLAKNFFKLDDNGVHGAYSNLKGGVLKVEDIAEAALYLGSDESKYVSGHNLLVDGGFTIVNPRFCMFEQS >ONI04984 pep chromosome:Prunus_persica_NCBIv2:G6:29872685:29878057:1 gene:PRUPE_6G350400 transcript:ONI04984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEIAVDAASFDSELLQLTEVSPLALKSNPSYVESLFEQWLSLPETNRLVTQLLAEAKAGGSLTVHGNSSSPHATAGNALPSMFPAGSAPPLSPRSSTGSPRIMKQRTGPSNLGSPLKVVSEPVKELIPQFYFLNGRPPPNELKEQCLFRINQFFSGNVDGLQMQEFKSVAKEVCKLPSFFSTSLFRKIDVNSTGFVTRDTFTDYWVNGNMLTMDIATQIYSILKQPDLKYLTQDDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRSGNGHLTLRELKRGNLIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVARKFTSKVEGKMGYQDFVYFILSEEDKSSEPSLEFWFKCVDLDGNGVLTRNELQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPENESYITLRDLKGCKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >ONI03756 pep chromosome:Prunus_persica_NCBIv2:G6:26210801:26211654:-1 gene:PRUPE_6G280200 transcript:ONI03756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQERSDPSRRKELDEKARQGEVVVPGGTGGKSLEAQEHLAEGRSRGGQTRREQVGHEGYRELGHRGGETRKEQIGHEGYREMGKKGGLSTKDKSGGERAAEEGIPIDESKYKTKSR >ONI02731 pep chromosome:Prunus_persica_NCBIv2:G6:22523143:22524177:1 gene:PRUPE_6G218700 transcript:ONI02731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPVVAEEIAKVACYDTAGIEAGSIPEINFKGYLLRNPSTDINIDANSRVPFAHRLALIPDELYKSAKKSCRGLYTGINQSNKQCAMDLQAFSVCTEKLNFELILEPQCRPSSDSNSKDHQKNLLESSEDSQFKLNLIHLSLFPKLQEPGLGFPKFGCRDYKNLLIHVWANDDNVQKALHVHNVREC >ONI00479 pep chromosome:Prunus_persica_NCBIv2:G6:6218425:6223389:1 gene:PRUPE_6G091000 transcript:ONI00479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMMMRNIAASSSSYCSSRRWRGMPCLHSNSTVVFANDYFAFLHCQPSKSIKSTRTQLEQPVRNSPKITTVEDAFNVFDRMLQMRPPPSVVRFNQILGQVAKLKHYSAVISLYYQMDVSGIGPDVYTLNILINCCCHLNKMGFSLSVLGKFFKVGLEPNVITFNILFNGFLLENSEAEAAGIFNKMIAGGNCQPSVITYGTLVKGFCMKGNNSAAIQLLRKMEEGACKPDLVVYNTIIDSLCKDTLVDDALNLFSEMMCKGFAPNVITYTSLIHGVCKLGEWKEATRLLNEMVSKNIFPNVFTFSVLVDTICKEGMVVEAEGVVEMMIQRGIEPDTVTYSSLMDGYCLRGEMDKAQQVFELMLSKGSMVNVVSYNTLINGYCKHKKIDEAMMLFLDMSHKGLVPNTITYNTLLHGFCKTGRIQDAQKLFYEMQACGQLPDVQTYYILLDGLCENRQLSRAMQLFGEMEAKKLDIDIVIYSILIKGLCIAGKLESARDLFCGLSSKGLQPSVWTYNIMINGLCIGGLTSEAEKLLVEMEGKGCSPDGCTYNTIIRGLISNKETSRAMVLIQQMVEKGLSADASTMELIVQLLSKDEVDPALLPLIKESL >ONI03274 pep chromosome:Prunus_persica_NCBIv2:G6:24418315:24422233:-1 gene:PRUPE_6G248100 transcript:ONI03274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVGFLLSYACICVNKTLSIHTKKKKTLGFLFALFLQKGMEHSRTTHSRMILFTFLHGFIILCMSTPLESATLPSASANASGNETDCVALLDLKKRITQDPLHVMSSWNDSIHFCSWVGVTCNPSTKRVLILDLSSYKLAGSLPPSIGNLTHLTGLSLRNNRFHGEIPQEMGRLRSLQALNLSENSLGGKIPTNISHCTQLRVLDLRFNAITGSIPDDLRSLMSLNHLELYSNKLTGTIPSWIGNFSSLKGLRLTRNNFHGNIPSELGRLTSLEVFSLAANNLYGIIPSSIYNISSIKNFSVSANQLHGEVPRNLGINLPNLESFMCGSNKFTGTVPASLFNSSRIQILDFPSNGFTGTVPAENLGTLRSLVSLSFADNSLGNKKTDDLNFLSFLANCTSLKALDLSSNQFGGEFPRSIANLSTQLRSLYLGGNLIHGSIPDDIGNLVNLTLLAMELNHLTGTVPDGIGKLQKLAGLYLNDNQFSGPIASSLGNLTSVTQLYMFNNRFEGSIPPSLGNCRSLLELKLSYNNITGTIPRELFEVSSLSISLEISQNYLTGSLPYEVGDLVNLVELDVSGNKLSGEIPTTLGSCIMLMRLYLEGNEFEGTIPQSLKSLRSLEEIDISRNNLSGQIPEILGMLTHLNRLNLSYNDFEGELPKEGIFSNASGLSVIGNNRLCGGLPKLRLHACSIKKSHSSQRLLAPKVIIPVACALAFIIALSCFLIARSKVKKSRGGPAASHSYKGWKSISYSELVQSTGGFSVDNLIGSGSFGSVYKGVLPADGRAVAVKVLNLQQQGASKSFIDECKVLRSIRHRNLLKIISACSSVDNQGNDFMSLIFEFMANGSLDSWLHPRDDDESQSKRLSLIQRLNIAIDVASALDYLHRHCETTIVHCDLKPSNVLLGDDMVAHVGDFGLAKFLFEASDSSSQSQTISAGLRGSIGYIPPEYGMGGQVSILGDIYSFGILLLEMFTGKSPTDDMFTEGLSIHQFTAMAMPDHAMDIIDPSLLTERDDADVDDERYNNDIKARPITSYQDGSPIRATRLEECLVSVMEIGLSCSAILPSERIQMDVVMNKMKAARDSYLYLRRRRRIRS >ONI02561 pep chromosome:Prunus_persica_NCBIv2:G6:21467623:21468050:-1 gene:PRUPE_6G206200 transcript:ONI02561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEESYAILIPNGLALAGTTPLLCAGITAYSPLKYFGLSKLDRHLGVPEFGGVGHMAVKFAKAFGAKVTVISSSPSKKKEAVERLGADSFLVSHNQEQLESRGINTIQAITTTNFSNHEDVAL >ONI00861 pep chromosome:Prunus_persica_NCBIv2:G6:7701625:7709905:1 gene:PRUPE_6G107900 transcript:ONI00861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDIDVRVEEGGDSINIATTPVSPSLSKLNSGSLPSPPLPEGATAVRKIAGASVVWKDLTVTIKGKRKYSDKVVKSSNGYALPGTITVIMGPAKSGKSTLLRAIAGRLPHSARMYGEVFVNGAKLHMPYGSYGFVEREITLIGSLTVREFLYYSALLQLPGFFCQKKSIVEDAIHAMSLGDCSNKLIGGYCFMKGLSNGERRRVSIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLASTGCAIIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDKIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILRLTEKEGPVLKSKGKAGTATRIAVLTWRSLLIMSREWKYYWLRLILYMIFTLSVGTVFSGSGHSLSSVVTKVAAIFVFVSFTALLSISGVPAVIKEVEVYTSEESNHHLGALIFLFGQLLSSIPFLFLISIPSSVVFYFLLGLRDEFSLLMYFMLNFFMCLLVNDGLMLVVVSLSRDVFWSTLTLVSVQVVMMLSAGYFRIRNALPGPVWTYPISYIAFHTYSIQGLLENEYIGTSFAVGQVRTISGYQALRSAYDISPDKNSKWQNLLILFLMAVGYRILVFVVLYFRVGKKKSLHNIFKCNRDTTNNAR >ONI00860 pep chromosome:Prunus_persica_NCBIv2:G6:7702368:7709879:1 gene:PRUPE_6G107900 transcript:ONI00860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDIDVRVEEGGDSINIATTPVSPSLSKLNSGSLPSPPLPEGATAVRKIAGASVVWKDLTVTIKGKRKYSDKVVKSSNGYALPGTITVIMGPAKSGKSTLLRAIAGRLPHSARMYGEVFVNGAKLHMPYGSYGFVEREITLIGSLTVREFLYYSALLQLPGFFCQKKSIVEDAIHAMSLGDCSNKLIGGYCFMKGLSNGERRRVSIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLASTGCAIIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDKIIAMCKNWQDDNGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILRLTEKEGPVLKSKGKAGTATRIAVLTWRSLLIMSREWKYYWLRLILYMIFTLSVGTVFSGSGHSLSSVVTKVAAIFVFVSFTALLSISGVPAVIKEVEVYTSEESNHHLGALIFLFGQLLSSIPFLFLISIPSSVVFYFLLGLRDEFSLLMYFMLNFFMCLLVNDGLMLVVVSLSRDVFWSTLTLVSVQVVMMLSAGYFRIRNALPGPVWTYPISYIAFHTYSIQGLLENEYIGTSFAVGQVRTISGYQALRSAYDISPDKNSKWQNLLILFLMAVGYRILVFVVLYFRVGKKKSLHNIFKCNRDTTNNAR >ONI03306 pep chromosome:Prunus_persica_NCBIv2:G6:24544984:24547545:1 gene:PRUPE_6G250000 transcript:ONI03306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFTNTTNFDNLMLQTLMGRLQIRPPTNNSFLSQTLEELLFDAANLSGDEDDDDENKTQLAKEESKLEKDIIRVILSGKTDSLKPNSGQAVTIGEHHICVGFHEETGSDYRVWEWHGHIMLFDEENGYTPEYIYGNYFERLIGKARTGSSGVRVEEEAKEEEEDKEQVGNLGLRELIDGGDSGQGRILHRNINAGSSRV >ONI03301 pep chromosome:Prunus_persica_NCBIv2:G6:24522357:24523424:1 gene:PRUPE_6G249700 transcript:ONI03301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDFDLINILVHLGYKKEHSTRGFWFKRECCSLRFVMLCGLHMHQRAQQHSKLCSFNWSILKVLIFHPLSLSLTHTHTHTSHKWEIYLSLYCFLCIKLLPKLNKETQPPPTELDLYSIW >ONI03680 pep chromosome:Prunus_persica_NCBIv2:G6:25923515:25927349:1 gene:PRUPE_6G274800 transcript:ONI03680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEFSCKTAFNKITIKNYMQTCIFNKMRQIYFKFLHGFFLLCMSSSTFGDESDHLALLDLKKRITEDPLRIMSTWNSSINFCSWVGVTCNHSNKRVVILNLEAQKLAGSLPPSIGNLTYLTGINLIDNNFHGEIPQEMGRLLRLQYLNLTSNSFGGKIPSNISHCTQLRVLDVGSNKLIGSLPDQLSSLLNLTHLWVDENNLTGTFPDWIGNFSSLYAISLAHNNFQGNIPNELGRLTRLGRFVIPGNKFSGMVPSSIYNISSIYYITVTDNQLHGELPKDVGITLPYLEIFAGGVNKFTGSIPVSLSNASRLRKLDFAENGLTGKLPAENFGSLQSLSRLNFDDNRLGSGKTGDLSSLSFLANCTNLEVLSFSRNRLGGELPESISNLSTKIRIFTMGGNLIQGSIPIGIANLVNLTNLGMEQNYFGGSLPDAIGKLQKLQGLYLYLNKFSGPIPSSLGNLTSVTTLLMEGNRFEGSIPPSLGNCQSLLILNLSSNQLSGTIPKEVVGISSLSISLSMSNNSLTGPLPSEVGELVNLSELDVSGNNLSGEIPITLGSCTSLVSLHLEGNEFEGNIPETLTKLRGVEEIDISRNHLSGKIPEFLGKFRALKQLNLSYNDFESALPEEGIFSNASGVSVHGNNKLCGGIPELLLPVCSNKKPHSSQGLLSPKVVIPVTFAIAFIALSCFIAACRMVKRSRGPLLTSHSYGDWKLAVSYLELAQSTNGFSLDNIIGSGSFGSVYRGVLSSNGMVVAVKVLNLNQEGASKSFIDECKALRSIRHRNLLKIITACSSIDNQGNEFKSLVSEFMENGSLDQWLHPRDDEQSQSKRLSLIQRLNVAIDVASALDYLHHNCETCIVHCDLKPSNVLLDEDMVAHVGDFGLARFLLEASNNPTKTQTMSVGLKGSIGYIAPEYGMGGQVSTLGDVYSYGILLLEMFTGKRPTDDMFKDGLSIHQFTAMACPDHVMDIVEPSLLLETDDENDEDDKYGNRIEERPVAGYKDPGPVKAKRLEECLDSLMQIGLSCSATSPRDRMSMDVVVNKMNAIRASYLNIRRRRRRLKSTR >ONI03800 pep chromosome:Prunus_persica_NCBIv2:G6:26369906:26371878:-1 gene:PRUPE_6G283100 transcript:ONI03800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLKFIPLLVLLSFLSVYASRSSFEDQGINVQSTPEPRKLKGPPPTKVENVELVLYYETLCPYCKEFIVKELIKVFDRDLIDIVNLRLVPYGNAHIQEPDNTIVCQHGPDECYLNSIQACAINSRPDVKQHFKLIHCVENQTIEGKQSKEEGWKSCCQKLRMNQKPVQDCYDSGLERKLILQYANETNHLVPHHTYIPWVVVNNQPLYDDYQNFVSYVCKAYKGSPKPEVCRSLLPKINSSGNADSNGQASIRVKGSRNN >ONI01957 pep chromosome:Prunus_persica_NCBIv2:G6:17405142:17407221:1 gene:PRUPE_6G168800 transcript:ONI01957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAWVFFIMLAALTDLVRGLGVNWGQMSSHPLPPTNVVKMLKVNGIMKVKLFDADPKTMKALAGSGLDVMVGIPNEKLDKLSHDYELAQKWVKENVTEYLYKGGVSISSVAVGNEPFLSSYNGSYVKTLFPSVKNIQRALDEAGVGGKIKCVVPFNADVYESPSNKPSDGRFRKNIMKEMMEILHFLRAKKAPFVVNIYPFLSLYQSSGFPQEFAFFDNGSPIRDKGAQYSNVFDANYDTLLWALKKVGFANLDIVIGEVGWPTDGNGYCNNRLAKKFYDGLLKKLASKEGTPLRKGYLEVYLFGLFDEDQKSIAPGDFERHWGIFRYDGKPKFPMDLAGQKSGHQMLKGVEGVKYLERQWCVLNSDVRNLSNAQVELNYACSMADCTSLGKQRSCDLELHDRISYAFNEFYQNRDQDVRACDFSGMGTKVTQDPSTGDCIFPVQVALSAGERRHGLSYALSVFAPLFFIMSFFTLL >ONI04038 pep chromosome:Prunus_persica_NCBIv2:G6:27205165:27208036:-1 gene:PRUPE_6G298200 transcript:ONI04038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQGMSRASTFKEEIIHRPPDDNSASILQSSSSFSGSSSLAAQAIRASTLSAERNNNRSKDFSAYDESATKAGFWGVLARKAKAILDEDGEFLQDDMLGGVSSQSSNASTGTQFQQPYLSSDNSIRMENPAIRKGLDRITTSLNQLGDTFEKAFEEGRTIVENKTADIKLQIKRRGDHPEAQAYYVNQSNSGQQPKNPDTQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCSQLEEENKRHRENRDKGNHRADDDLIRLQLETLLAEKSRLANENSVYARENRFLREIVEYHQLTMQDVVYLDDEEVSEVYPLTSSTGVSRMLSISPPSTPPSPPPEDPSPVTAPVTEEISPLLNKPQENKNVSANEATPSSNISGPNREDTKTPSSAEAATKSPSVADADPKTPPTAEASSKTPPTAEASPKTPSVAEDTKRPRASA >ONI01998 pep chromosome:Prunus_persica_NCBIv2:G6:17698677:17701291:-1 gene:PRUPE_6G171900 transcript:ONI01998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFNLSFLALLLLLLPPLALLLILYLIVRPRSVKIPIKNRHVFITGGSSGIGLALAHHAASEGARVSILARSVDKLEEAKNNIQLSTGIDVSIFSADVRDYDAVSKAVEEADPIDVLIVNQGVFVPEELEKQGLDEVKFMVDVNLIGSFNMIKAALPKMKKGDSVPRSIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVISHDIHVSLIFPPDTDTPGLTEETKKRPQLTSIIAESSGAMKAEAVAKKAFDGIKSAAFIVPCNLEGALLSIATAGMSPQRSFVMAFVEVVAAGLVRLVALFFQWNWYNGIHMWHAQNEKLNYFRSNFDSTALSFYSLYFGYIWVAGQQLLAAALHHLVHCKGTSYTAAVGCGILWFFESLTFTEGGFGYRIRTEHHLAFGIWYCLRKLVFLPPPFYLKLRLLSFQGIWYVKSSLLTLMDTGSCEIILRIKRFGISGLFFKTYVQKN >ONI02996 pep chromosome:Prunus_persica_NCBIv2:G6:23483759:23485266:1 gene:PRUPE_6G232200 transcript:ONI02996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAISVRPLISARHKANAATRFLTSRSNTHPPTSLDKWTLNDTASNAASSALRLVPHLHNPRHHLLHPPLSICRLLLLPRPPLSPLLRPRPPLLRHYLPLMTTPHATSDSAHSSSASCKTVRLVVKGRVQGVFYRNWTIENATQLGLKGWVRNRRDGSVETLLSGNPDAVQEMEQRCRRGPPSAVVTGLEVFPSTEDPGDGFERKQTV >ONI02687 pep chromosome:Prunus_persica_NCBIv2:G6:22288606:22289045:-1 gene:PRUPE_6G215100 transcript:ONI02687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKKFKQHIPEEMTLRILHRLPLKSLIRFSCFAKTHYNLAREQQTLSHRLLVSTQTSGLESIDLETRSFGDNSGVRRLSSPFNEPGHLRFLGSCNGLVFVLLEYSALDCDTCHNLTVVVSLFVICSYIEDFLM >ONI01198 pep chromosome:Prunus_persica_NCBIv2:G6:9838577:9840058:1 gene:PRUPE_6G127400 transcript:ONI01198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQAPQLCVNNCGFFGSPTTQNLCSKCYRDLQLKEQQTLALNQTLISSASASSSSHSFLSPLISASPSHDARVERVVETKEEEEKEQHAPPARQANKCMTCRRRVGLTGFKCRCGMTFCGTHRYPEQHACGFDFRAMGKEQIAKANPVVKGEKLQRI >ONI04069 pep chromosome:Prunus_persica_NCBIv2:G6:27286916:27288147:1 gene:PRUPE_6G300500 transcript:ONI04069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIHPAAVNRYHDHDQDHPLELQDVHDHRVPPSALTVWKRSSMSFQGTDGFTVFDDRGILTFRVDNYSRKNCCVRGGLVLMDGVGRALLTLKPQMLSMQDQWNAYRGEDGCGKSPKSSRVFAMRSGSGLFGSGNKSEAAEVFMGAHATGTPDFRIEGCFGRRNCKIRSRSGQLVASVARKRVNNTVLLDNDVFNLVVQPGFDLDLIMGFVIILDRICGKPFTPILCS >ONI02307 pep chromosome:Prunus_persica_NCBIv2:G6:19747832:19750599:-1 gene:PRUPE_6G189900 transcript:ONI02307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGEATKKLHAVCVPFPAQGHVNPMMQLAKLLHSRGFHITFVNTEFNHRRLIRSKGPDSVKGLPDFQFETIPDGLPPSDKDATQDVPAICDSTRKTCLGPFKELVTKINSSSQVPQVTCIVADGVTGFGRQAAQELGIPEVQFWTASACGFVGYLQYSELVKRGVVPFKDETFLHDGTLDTPIDWVPGMKNARLRDIPSFIRVTDVNDIMFDFLGSEARNCLKSSAIIFNTYDEFEHEVLEVISSMFPNIYTIGPLKLLERQLPETETKLVESLSSNLWKADTDCFKWLDQKKPSSVVYVNYGSVTTMTDQHLKEFAWGLANSKHPFLWIVRPDVVEGDSAILPDEFFEEIKERGYIASWCLQDQVLDHPSVGAFLTHCGWNSTIESVSGGVPVICWPFFAEQQTNCRYACTDWEIGMEVSPDVKRDEIKALVKEMLEGEKGMKMRQKAREWKKKAVEATDIGGSSYNNFDRLIKSLH >ONI04290 pep chromosome:Prunus_persica_NCBIv2:G6:28056662:28060256:1 gene:PRUPE_6G313900 transcript:ONI04290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRVPNSSLLSLILLSLLAIASAKVFFEERFEDGWDKRWVTSEWKKEENLAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEFSNKDKTLVFQFSVKHEQKLDCGGGYIKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILNYNNTNNLIKKDVPCETDQLTHVYTFIIRPDATYTILIDNAEKQTGSLYSDWDLLPAKKIKDPEAKKPEDWEDQEYIPDPEDKKPEGYDDIPKEIADSDAKKPEDWDDEEDGEWTAPTIPNPEYKGEWKPKKIKNPNFKGKWKAPLIDNPEFKDDPELYVYPNLKYVGIELWQVKSGTLFDNILITDEPEYAKQLAEETWGKQKDAEKAAFEELEKKLQEEESKEDPVDSDAEDDDADAEDGEESDSESKPDSTEESAETEAEKHDEL >ONI00897 pep chromosome:Prunus_persica_NCBIv2:G6:7866113:7867027:-1 gene:PRUPE_6G109900 transcript:ONI00897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPPKVKVCVGCKQNIKDRKYYRNGKGTHQWHPHCFRCHACSLPITEFLMHENHPYHRLCHRERHLRCGVCENLIPLNSDGTVLYSLHPISLQRSCPKHEDDGTPRCCGCARIKPRNTIYYLLNDGRHQCLECRDTAITEADQCEALFLEIQKEFDLKFQEKKFLIHFVEKTEFLKVSEHILLSGKPPEVTAIKILSGLPRLRTGLTMVRTMMGAWLEVKCCRIRNMSPQVKIDMSQVLAHMWLEFVMNSGSDFEKKLGNFYQRRIESDSGEGFRLGRKAVLKHGLRQTLDHIAMTGSLPLVD >ONH99056 pep chromosome:Prunus_persica_NCBIv2:G6:653120:655026:1 gene:PRUPE_6G008000 transcript:ONH99056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKSQSGQLLVLVPCPYQGHINPMLKLGTFLHSKGFSISIVHTHFNSPNPSNHPEFTFFPIPDGLTADEISSGNVVAIVFAVNANCKASFKHCLTDRVTEHEPQNKITSIIYDELMYFSESVANDLNIPRILLHTQSATNFIARNAVIRLHSKGCTPFPESMLANSVDELHPLRFKDLPITIFDTLENYSKLLAIANNVRTSSAIIWNTLDCLEQSSLAKVQQQCQVPIFSIGPLHKIATVASSSLLEEDTGCVAWLDKQSHNSVIYVSLGSLASISEKELAEMAWGLINSRQPFLWVIRPGSICGSDWIELLPQGFLEAVGERSCIVKWAPQMEVLAHGAVGGFWSHCGWNSTLESISEGVPMLCRPCFSDQKVNARYVSEVWKIGIQLENELERGEIERAVRKLLVDDDGKGMRVRAKELKEKIEVSMKGGSTDHFLNELVEVIKSF >ONI03261 pep chromosome:Prunus_persica_NCBIv2:G6:24357157:24360301:1 gene:PRUPE_6G247100 transcript:ONI03261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSHGHRKRRNGPVSSKTDNSGFKYGPSDQSAQIPSSSAKKTSMVALPYAHVDASLRALAAQAEGFGRLAIGGLRGALYHVTTLADDGPGSLRDGSRKKEPLWIVFEISGTIHLSSYLNVSSYKTIDGRGQRIKLTGKGLRLKECEHVIVCNLEFEGGRGPDVDGIQIKPNSKHIWIDRCSLRDYDDGLIDITRGSTDITISRCHFSQHDKTMLIGSDPSHIDDRCIRVTIHHCFFDATRQRHPRVRFAKVHLYNNYTRNWGIYAVCASVESQIFSECNIYEAGQKKVAFKYLTEKAADKEVAMTGHIKSEGDLFITGTQAGLTPTGVEHSMFHPSQHYQTFTVGPPTDDLKHVLQHCTGWQSVPRPADQTGVA >ONH99456 pep chromosome:Prunus_persica_NCBIv2:G6:2388621:2389436:1 gene:PRUPE_6G030600 transcript:ONH99456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFIKFLKFCVCEKDISCSLYNTKQKIVIKVQLTSQNCRTKALKIAAEAKGVSNVSIDVEKAVVEVIGIGVDAVSLAQSLEKQLGFASIVSVGEVKKPEEPKPAIPIEWTSSYIHCPRYAVHYDGFCRC >ONI01786 pep chromosome:Prunus_persica_NCBIv2:G6:14277083:14281144:1 gene:PRUPE_6G158400 transcript:ONI01786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIDVGGIFRLYSHDLKKNAIWSVEWESSEDKCVPKGLCGLNSYCVLIDLEPHCRCLPRFESVNLGNQTSGCERNFVADACKNRNENFTYTMEELESTWEDDSYMSLRLSDKDDCIQGCLEDCDCEAVFFDGTNCRKQRLPLRFGRRDKGTSERAFIKVGVPTKPDTDPRIVQPGSKKKAHKWIWIGTAIGAALLVMVLCISSYLLRRKLFSGETNPMIENEMLEWMESDRSTGDVKGLQNDGKMGNNLTVFRYASVVAATTNFSEENKLGQGGFGPVYKGKLVTGREIAVKRLSRCSGQGTSEFKNELILISELQHTNLVHLFGFCIHGEERMLIYAYMPNKSLDYFLFDSTRAMLLDWTKRFNIIEGIAQGLLYLHKYSRMRVIHRDLKASNILLDEYMKPKISDFGLARIFTHNELEANTNRIVGTYGYMSPEYAMEGIFSIKSDVYSFGVLVLEIISGRRNSSFYIADHVLNIVGYAWELWKEGRGLELMDPTLKDSCTEDQLLRCFQVGLLCVEENAADRPSMSDVVSMLTTETISLPLPTRPAFITIRNVIVSDISISRRELQIISVNGLSNTTVAGR >ONI03624 pep chromosome:Prunus_persica_NCBIv2:G6:25640844:25642349:1 gene:PRUPE_6G269900 transcript:ONI03624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNMKFQKPFSEGTKKNKMTTVLLFIVSNPPNKSCFRAKSQCSSISRIVEITNINLKTRNNKENHRKSIIFP >ONH99260 pep chromosome:Prunus_persica_NCBIv2:G6:1752309:1752919:-1 gene:PRUPE_6G021700 transcript:ONH99260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONI03019 pep chromosome:Prunus_persica_NCBIv2:G6:23563727:23564065:-1 gene:PRUPE_6G233800 transcript:ONI03019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIYLLLSGTSSDKSRSSAAEAGESDQTFEFQVFVNMALAVEESESNTTTSTTTSFNQNAQMNMMNGECESGCQRPPFGKKCRHLLKKQRTKFYILRRCIAMLLCWHERNEP >ONH99910 pep chromosome:Prunus_persica_NCBIv2:G6:4003972:4007679:-1 gene:PRUPE_6G057100 transcript:ONH99910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEPDQGQHVSGPSSSSSSSSSSPSSSQVQDEENNRPQNGDDIYNEQSQHNHIEQQQQRISRTFTYHMNLLVSDVAASEMKDDVWSCLVVLVTFWFFASMTLILGFYGSANLKLGPNCSLLIQPNPFFVQSIKAQELDEPKPGPMLYGFHKPPPLDVEVAWTETHDTPVPANYHKEWIYFLNKGSRIDIFYRVKPTSSLPLTLVVAQGSESMAEWIEDPSYGNATLSWNIIYESGKIQQEIPKSSNYYIAVGNLNPEDVEVELEFNIKSALYNTTEAYYKCSLDNQLCSLKLSLLGASAVVITSPGPKEGDPDDDWYIRLSYGPRWIMYFLGSGVMTVLLLMALRFCNVFQNSGEDRIEFQAGEAESERTPLLSPKDDDVLSWGSSYESVSNNEEDLEESLEVSSLVGKSINEGDSNNTQRLCVICFDGPRDCFFLPCGHCAACFTCGTRIAEEAGTCPICRRRMKKVRKIFTV >ONH99911 pep chromosome:Prunus_persica_NCBIv2:G6:4003972:4007511:-1 gene:PRUPE_6G057100 transcript:ONH99911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPEPDQGQHVSGPSSSSSSSSSSPSSSQVQDEENNRPQNGDDIYNEQSQHNHIEQQQQRISRTFTYHMNLLVSDVAASEMKDDVWSCLVVLVTFWFFASMTLILGFYGSANLKLGPNCSLLIQPNPFFVQSIKAQELDEPKPGPMLYGFHKPPPLDVEVAWTETHDTPVPANYHKEWIYFLNKGSRIDIFYRVKPTSSLPLTLVVAQGSESMAEWIEDPSYGNATLSWNIIYESGKIQQEIPKSSNYYIAVGNLNPEDVEVELEFNIKSALYNTTEAYYKCSLDNQLCSLKLSLLGASAVVITSPGPKEGDPDDDWYIRLSYGPRWIMYFLGSGVMTVLLLMALRFCNVFQNSGEDRIEFQAGEAESERTPLLSPKDDDVLSWGSSYESVSNNEEDLEESLEVSSLVGKSINEGDSNNTQRLCVICFDGPRDCFFLPCGHCAACFTCGTRIAEEAGTCPICRRRMKKVRKIFTV >ONH98981 pep chromosome:Prunus_persica_NCBIv2:G6:335015:338004:1 gene:PRUPE_6G003100 transcript:ONH98981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNSLMVVSVAHTSTEMWQYLACLPERLSSHQLLDLVFCFPLQQLGRLALCLWTFLCVPPPDSYYSYSYSDSDASSSTVVYNDYYYDSHSD >ONH98980 pep chromosome:Prunus_persica_NCBIv2:G6:335055:338023:1 gene:PRUPE_6G003100 transcript:ONH98980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNSLMVVSVAHTSTEMWQYLACLPERLSSHQLLDLVFCFPLQQLGRLALCLWTFLCVPPPDSYYSYSYSDSDASSSTVVYNDYYYDSHSD >ONH98979 pep chromosome:Prunus_persica_NCBIv2:G6:335138:337971:1 gene:PRUPE_6G003100 transcript:ONH98979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNSLMVVSVAHTSTEMWQYLACLPERLSSHQLLDLVFCFPLQQLGRLALCLWTFLCVPPPDSYYSYSYSDSDASSSTVVYNDYYYDSHSD >ONI02912 pep chromosome:Prunus_persica_NCBIv2:G6:23243635:23249794:1 gene:PRUPE_6G228700 transcript:ONI02912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSRDDSPTDGDTSSGDAPPSNSSLYSEGEKILAYHGPRIYEAKVQKAELRKNEWRYFVHYLGWNKNWDEWVGGDRLLKNTEDNIMKQQALDKKQGVDKNTKSGRSAQTKPKSSSDAKAEKEDHKNNVTKGKKRKNDLGAEKDTVSLEKLVKIQIPPTLRKQLVDDWEFIFQQDKLVKLPRSPTVDDILQKYLDYRTKKDGKVTDPVGEVLKGIRCYFDKALPVMLLYKKERKQYQETVVGDVSPSTIYGAEHLLRLFVKLPELLAYVNIEEETQTRLQQKLLDFLKFLQKNHSTFFLSAYDGSKSVEGKGKGKHD >ONI02913 pep chromosome:Prunus_persica_NCBIv2:G6:23245487:23249794:1 gene:PRUPE_6G228700 transcript:ONI02913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQALDKKQGVDKNTKSGRSAQTKPKSSSDAKAEKEDHKNNVTKGKKRKNDLGAEKDTVSLEKLVKIQIPPTLRKQLVDDWEFIFQQDKLVKLPRSPTVDDILQKYLDYRTKKDGKVTDPVGEVLKGIRCYFDKALPVMLLYKKERKQYQETVVGDVSPSTIYGAEHLLRLFVKLPELLAYVNIEEETQTRLQQKLLDFLKFLQKNHSTFFLSAYDGSKSVEGKGKGKHD >ONI02172 pep chromosome:Prunus_persica_NCBIv2:G6:18768601:18771819:-1 gene:PRUPE_6G181100 transcript:ONI02172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFYFKMYHLLALYLISCVVPLSLAQDFNLPFKAVNLGNWLVTEGWMKPSLYDGIPNNDLLDGTQVQFKSTKLQKYIAPENGGGSGSVVVVNRDSASGWETFRLWRIDESSFNLRVFNKQFVGLPNQDQGTGLIAVSDSPGSTETFRIVRNDGDQNRVRIQASNGLFLQATSETQLTADYGGSGWEDDNPSVFIMTILSDKTLRGEYQITNGYGPDKAPQVLQDHWNSYITEDDFRFLSSNGLNAVRIPVGWWIAKDPTPPKPFVGGSLQALDNAFTWAQNNGLKVIVDLHAVEGSQNANDHSATRDGYQEWGDSNIQDTVAVIDFLASRYANHPALAAVELMNEPMAPGVNLDSLKNYYKAGYEAVRKHTSSAYVILSNRLGPADAKELLSFAGGLDRVAIDVHFYSLYSDMFNSFSVQQNVDFIYNQRASDLDAVTTSNGPLTFVGEWVAEWAINGASIEDYQRFAKAQIDVYGRATFGWAYWAYKCQYDHWGLRWMIENNYIKLN >ONH99665 pep chromosome:Prunus_persica_NCBIv2:G6:3108313:3108615:1 gene:PRUPE_6G042200 transcript:ONH99665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVVVGGGSESIKRYAKVMLRRVNWAEAVKDEEEVDDDVNNDKPANKCVLVWQGSVARPSFNRYSVHECMTEAAARKIFADAGVAHYWDLEVNFADDET >ONI02288 pep chromosome:Prunus_persica_NCBIv2:G6:19649762:19651728:1 gene:PRUPE_6G188300 transcript:ONI02288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDESGLKKGPWTPEEDQKLMNYIQKNGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILNLHSILGNKWSAIASHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDIFSSLPHLLALVNLKELLDHGGHTSWDEQAVRLQAEAAQMARLQYLQCLLQPPPANNSFGAGSPSNLNDITDMETINLLSSLCSYQLDQNPPQYPGANFEPVQDHNSIPFSHLPDLQTYQTPSLNNNKDMVNQAEDQFAVVLSQGQNSPNNPWNIPSSSNTTPSPTSMAPPVAETSISNTMGDACSTSSFGGVANSAWSELLLEDSFFNEIA >ONI03772 pep chromosome:Prunus_persica_NCBIv2:G6:26269777:26272029:1 gene:PRUPE_6G281100 transcript:ONI03772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLLQYFLLLFLIPKICFTIIPAVHSLCTKDQQLSLLHLKKSLQFSHDPDSDSYPTKVISWNSSTDCCSWLGVNCSSDGHVVGLDLSSEAINDGIDDSSSLFDLQHLQSLNLADNHFTYGTRIPSAIGKLVNLRYLNLSSCSFYGSIPKSIANLTQLVSLHLGLNTFSGSIDSISWENLINLVDLQMDDNLLEGSIPSSLFYLPLLTQLVLSRNQFSGKLHAFSNTSSDLEYLDLSENQIQGKIPHWIWSFSHLYYLNLSCNSLVTLEAPLYNSSVSIVDLHSNQLQGQIPTFIPFGYQLDYSGNHFNSIPSDIGYFFTSTMFFSLSSNNLHGLIPASICNATSFLMSLDLSNNFLSGIIPPCLTAMRGLRVLNLARNNLTGTISNFQVTEYSLLEILKLDGNQLGGQFPKSLGNCIQLQVLNLGNNRITDTFPCLLKNMSTLRVLVLRSNNFYGGIGCPNTYGTWPVLQIIHLAHNNFTGEIPGIFLTTWQVMMAPEDGPLSIVKFQLDTIIAGKSMLIDYSFNDRITVTSKGLEMDLVRILSIFTLIDFSCNNFSGPIPKEMGEFKSLHVLNLSRNSLTGEIPSSFGNMQVLESLDLSQNKLGGEIPQQLAKLTFLSFLNISYNQLVGRIPPSTQFSTFPKDSFTGNKGLWGPPLTVDNKTGLSPPPALNGSLPNSGHRGINWDLISVEIGFTVGFGASVGSLVLCKRWSKWYYRAMYRMVLKIFPQLEERIGIHRRHVHINRRWRR >ONI02589 pep chromosome:Prunus_persica_NCBIv2:G6:21720146:21722107:-1 gene:PRUPE_6G208800 transcript:ONI02589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFNNLSSLPINSFIFLLLLPYACSINFQINRFESSADNIVYQGDAVASVGAVELINRRTYVCRVGWATYAKRVPIWDPDTGKLTDFSTHFSFIIDTTGENPYGHGIAFFLAPVGFQIPPNSAGGFLGLFNTTTSDFSGNQIFLVEFDSFVNPEWDPDYEHVGINNNSIYSAVTTPWNASIHSGDITDVWIAYNATTKNLSVHWSYQATSNPNESTSLFHQIDLKEILPEWVTIGFSAGTGKYGERDTILSWEFNSTLERKESSGNNAKKKTLVVGLTVSGGVLLIAGVFIALIVRRWKWKQKQRETLETVNLTSINEDLERGAGPRRFSYSDLVTATNNFSNERKLGEGGFGAVYKGYLTDLDIAVAVKKISSGSRQGRKEYITEVKVISSLRHRNLVQLIGWCHDGGQFLLVYEFMPNGSLDIHLFGKRSVVLSWAVRYKISLGLASALLYLHEGWEQCVVHRDVKSSNVMLDSSFNVKLGDFGLARLMDHELGPQTTRLVGTIGYLAPEYISTGRASKESDVYSFGVVALEIATGKRSMDRMGKDFEMGLIEWVWDLYGKGKLFLTVDERLHLEYEEKQVECLMIVGLWCAHPDKSSRPSIRQANQVLNFEAALPNLPLKMPVPVYEVPTPSFGSGDPLITTSTQEGR >ONI03463 pep chromosome:Prunus_persica_NCBIv2:G6:25018595:25022575:-1 gene:PRUPE_6G258200 transcript:ONI03463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSWMKLDEDLGPDRGDDNFHTDDEENQAHRSCEQYEADTESDIVRAPSRNLSQNSIDVNTYAWPQSYWQSIDMYTSVTPPSLGFLGAASLNSLSTLDKRHSISDSDSSTTRLLISQTSSDKEVPPSPSQVAKFSIYSSCASKGSLPELPPPKERCCSFSQAVLNGTNVLCGIGLLTTPYAIKEGGWLSLILLALYGVICCYTGILLKRCLESCPGLRTYPDIGQAAFGSTGRFFLSIILYLELYGACVEFLTMMSDNLASVFPDTQMTLGGIHLDSHHIFGITATLVILPTVWLQNLSLLSYLSVGGVLASILVALCLLWVGVVNQVGFHHRGPALNLSDISVTIGIYGFGFAGHSVFPNIYTSMKEPSQFSAVLITSVTFCFFIYTGVAVFGFLMFGDSIHSQFTLNMPTHFVASKIAVWTTIVNPMTKYALTMTPVALSVEELFPEAWTGSHCVSIFVRTLLVSSTLGVAMSFPFFGSVMALIGSLVAMLVAVVFPCVCYLILLRGRLTKLQIATCILIIIVGVVCSIIGTYSAISRIADQM >ONI03874 pep chromosome:Prunus_persica_NCBIv2:G6:26611274:26612283:1 gene:PRUPE_6G287800 transcript:ONI03874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAVPVPSAGPSAPPLSNPVVVVNSQFLSSYPVDLVISEKLMTIKEGSFSISDVNGNVMFNVKGSVLSLHDHRVLVDSAGAPIVTFRQKILTAHRRWQVYRGDSSDSKELLFSAKKSGIIQLKTELDVFLASNTKEDTHDFKVKGSFKERSCTIYTKENTIIAQMHKQGGVKNRVLGQDAFSVTVYPQVDFGFIVAVVVILHEINQDRKGED >ONI03273 pep chromosome:Prunus_persica_NCBIv2:G6:24410685:24413857:-1 gene:PRUPE_6G248000 transcript:ONI03273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPSNSATLPNGITFGNETDRLALLDLKKRITQDPLHLMSSWNDSFHFCSWVGVTCNHSNKRVVILNLSSQKLVGSLPPSIGNLTHLTRINLDSNSFHGEIPQEMGRLRSLQYLTLSRNSFGGKIPTNISHCTQLRELDVTFNELIGSIPNQLSSLLNLNYLSVAANNLTGAIPGWIGNFSSLHDLYIGLNNFHGSIPNELGGLTALQNISLPGNNLSGKVPSSIYNISSIYLFSVAVNQLHEELPPNLGINLPNLGEFYGGANKFTGTIPASLSNSSKLRRLDFSENGLTGTPAENLGSLQSLVWMTFGRNWLTSESGNLNFLSFLANCTSLEVLGLHTNLFQGELPRPITNLSSQLNYLYLGRNLIHGRIPDDIGKLENLSLFGVEQNNLSGTIPKGIGKLQKLEELNLNSNKFSGPIPSSLGNLTSLTKLFMFNNRFEGSIPQSLGNCQSLLVLNLSNNNLTGTIPRGLVGISSVSLYLGLFNNFLTGPLPFHVSDLVNLVELDVSGNKLSGEIPTTLGSCIMLRWLHLERNNFEGTVPQSLKSLTSLEEIDMSRNNLSGQIPEFMGKFPFLHYLNLSYNDFEGELPKEGIFSNASGLSIIGNNRLCGGLPNLRLHACSIKKSDSPQRLLALKVVIHVACALAFIIALSCFILVRSKVKKSRGSLTTSHSYQGWKSDLSYLELVQSTDGFSVEKLIGSGSFGSVYKGVLPSDERVVAVKVLNLQQPGAFKSFIDECKALKSIRHRNLLKIVTACSSIDNQGNDFKSLVFEFMENGSLDSWLHPKDDEQYQSKRLSLTQRLNIAIDVASALEYLHYHCEKTVVHCDLKPSNVLLGEDMVAHVGDFGLARLLLEASDNSSQSLTLSAGLKGSIGYIPPEMFTGKRPTDGIFGDGMSIHQFTAMAMPDHAMDIVDHSFLIERDFADGDDQRYKNDIGYQDDSPILETRLEECLVSVMQIGSHALQYYQLSGCIWMLLSTK >ONI04515 pep chromosome:Prunus_persica_NCBIv2:G6:28639579:28644548:1 gene:PRUPE_6G325400 transcript:ONI04515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGKTRLDSGWIGTRDREVTVSGTQLTTTHPPSPALPWMEAVVPGTVMATLVKGKDVPDPFYELQNDYIIDITESGRQYYTFWLFTTFQCKLSSTQFLDLNFRAINYYAEVYLNGHKKDLPRGMFRRHSLDVTDIAHPDGRNLLAVCVYPPDNPGTIPPEGGQGGDHEIGKDVVAQYVEGWDWMCPIRDRNTGIWDEVSISVTGPVKIIDPHLVSSFFDNYKRVYLHATTELENRSTWVAECSLNIQVTTELEGNVCLVEHLQTQHLSIPAGSRVKYTFPELFFYKPNLWWPNGMGKQSLYNVDITVDVKGYGESDLWSQLFGFRKIESHIDITTSGRLFKVNGQPIFIRGGNWTVSDGLLRLSKERYRTDIKFHADMNLNMLRCWGGGVAERPEFYHYCDIYGLLVWQEFWITGDVNGRGVPVSNPNGPLDHDLFLLCARDTVKLLRNHPSLALWVGGSKQIPPDDINTALKHDLRLHPYFESLSNESGKIVKDFSAGSDDPSQYLDGTRVYIQGSLWDGFANGKGDFTDGPYEIQNPEDFFKDDFYKYGFNPEVGSVGMPVSATIRATMPPEARQIPLFKKVSNDYEEVPNGMWVHYKYIPYSKPGKVHDQILLYGSPKDLDDFCLKAQLANYIQYKALLEGWTSRMWSKYTGVLIWKTQNPWPGLRGQLYDHFLDQTAGFYGCRSAAEPIHVQLNLATYFIEVVNTTSEELSDVAIEASVWDLEGTCPYYKALEKVSMPPKRTVPIAEMKYPKSKNPRPVYFLLLKLYHMSDYRIISRNFYWLHLSGGDYKLLEPYRKKTVPLKVTSQVSIKGTTYEMHMRIQNKSKKPVPKSLTYQNNFTTKQGDGDFDVASVESTHDGTDKELEVGWFRKISRHFTKENGGLRVAEINGNDTGVAFFLHFSVHGLKTDHKEGEDTRILPVHYSDNYFSLVPGEAMPIKISFEVPPGVTPRVTLDGWNYHGIHTVH >ONH99617 pep chromosome:Prunus_persica_NCBIv2:G6:2973605:2974746:1 gene:PRUPE_6G039500 transcript:ONH99617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGLGSSLPPPPGHNQSKASSARHVAFSETSAPSAPSKAHDQAIHFVPDLDDEHPKRFRPGCYACCAWGCLFFFAFILLALIVGFIFVAIFQSYLPQIHIRRFNATTINFAKANKDLGVKGKVDFLVEFFNKNEKTELRYGAFKITASSAHVQLGKTEFPEFRQGQKNTKSLNGTITVKLSGVDKDDADQLKTDIKNREVTLNLAITGSVSFPIGGVWFKSVPIISNCDAKQREVDFGNKAKCDYRIIAIK >ONI02788 pep chromosome:Prunus_persica_NCBIv2:G6:22714860:22716272:-1 gene:PRUPE_6G222000 transcript:ONI02788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSSDTSSFSLSSLLCHEDQSCLNQLEFESNTCIDSDPCFVYVDEDEYVENLVRREARCFGSKGFVPFTDCSASDICWLKTARLDSIEWIFNTGGNFGFQFQTVYLSVTYFDGFLSKRSIDDGKLWAIRLLSVACLSLAAKMEECKVPALSEFQVQDYNFESKVIQRMELLVLNTLEWKLGSITPFAYLHYFINKFCAESRPKGLFSGAIRLIVAMAKEIDLMDTRPSIIAAAAVLAASDGQLTRKMLELKMSVVSFLQSQENYEHIFSCYNHMQEMVERKDKTPPKFSISPSVLAIHSSSMDVLENSVGTKRRLTFSDSDHSCPTKKNHRP >ONI02787 pep chromosome:Prunus_persica_NCBIv2:G6:22714167:22716593:-1 gene:PRUPE_6G222000 transcript:ONI02787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSSDTSSFSLSSLLCHEDQSCLNQLEFESNTCIDSDPCFVYVDEDEYVENLVRREARCFGSKGFVPFTDCSASDICWLKTARLDSIEWIFNDGKLWAIRLLSVACLSLAAKMEECKVPALSEFQVQDYNFESKVIQRMELLVLNTLEWKLGSITPFAYLHYFINKFCAESRPKGLFSGAIRLIVAMAKEIDLMDTRPSIIAAAAVLAASDGQLTRKMLELKMSVVSFLQSQENYEHIFSCYNHMQEMVERKDKTPPKFSISPSVLAIHSSSMDVLENSVGTKRRLTFSDSDHSCPTKKNHRP >ONI01205 pep chromosome:Prunus_persica_NCBIv2:G6:9890002:9890355:-1 gene:PRUPE_6G127800 transcript:ONI01205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAQSSCGNFTIFSSNCPTSALNSCNENKLVTAWWPSSVNKVFPSSQETSAKEEAKKMRKMLPNFMKPEALQRYIGIMDTVAQRHFADGWENRKEVEVFPLAKNYTFLACCTAVC >ONI02856 pep chromosome:Prunus_persica_NCBIv2:G6:22931412:22932684:1 gene:PRUPE_6G224700 transcript:ONI02856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTQEQQQNRKPAAGASEAKGKIEEGLPMKDSPYLQYDDLEDYKRQAYGTEGHLQVEPGRGAGSTEGPTVSGANVSFQGDLSATEAINRQGVP >ONH99759 pep chromosome:Prunus_persica_NCBIv2:G6:3473519:3477013:-1 gene:PRUPE_6G048600 transcript:ONH99759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREFPVYHPPAAETHGWDGSSNSFHPAASVKAQVGNESIDFNFVYPPALISCGNYRPIPTLGFFLGDNPLKNPFTLLLLEVSFIILTTRVLRFLLKPLKQPRIVSEVIGGMVIGPSILGRSEKFSSIMFPVDNQFLVRNIGAMGFTYYFFLAGVKMDLSLVRKTSKKQLYIAIAGVALPCTFISIVAFSLRKSMDKELARFSSIGFICTGLALPLFPVLHSILKELNLLSSEIGRLGLSIAVISDAMGVGVMVIFEAAKQGEGKAMAVVWYLISLVVFVVLTVFVIRRALSRVVEITPDGKPVDQAYVMAILLGVLIMGFLADMFGIAIANGAFWLGLAIPDGPPLGSTLVERSETVILEVLMPFSFAFVGLYVDVNAMSSAGWSGLGPLFAITMTGYVSKFLGTLITSAFFELPIRDGVVLSFIMILRGQVEIVVFLHWMDKKIIEVPGFTLMVLAITSWTAIATPFISILYDPTRPYQVHKRRTIQHTPPEDSELRILLCIYDEDSTAALINLLEISNPTLSTPFVIFPLRLIDLVGRASPVLIDHEKQEDHDSKYAVSHTIHNALKNYQESKGECIEIHPFTAIVPNRTMYQDICDLALVKKATLIILPFHKECLDTLGGKLTELVRLGVRSVNSNVINHAPCSVGVLVDKGHVRHTYMAFRNNVLHFAVLFLGGADAREALCYADRMAGNLNVSLTVIRFLSHNSEGDDEMEKKMDDGVVTWFWVKNERNERVSYREVVVRNGEETIAAIQAVSDDNNNYDVWIVGRKQGINPVLLEGLSNWSENDELGIIGDFVSSYDFGGTASVLVVQQQVLRGQGADSTSGSSGGFPCNPIQKVKDVVNPWCRSLGFGIS >ONI04205 pep chromosome:Prunus_persica_NCBIv2:G6:27771723:27772787:1 gene:PRUPE_6G308700 transcript:ONI04205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILLPNRTENILFILKNTSSSSSWLFCSAQTNSNPSAWQSSLVLILAFFSAEAFSSRQLHGPAIISKSLKVAPNDHFRYENVTNLPSDVNFISMGAVTPVKYQGECGSGWAFAAVAAVEGLNKLKTGNLVSLSEQELVDCNVGLDNDGCRGGHFGYAFQYMIERNRSLAPEADYTYQGVDGGSCKADDYNKTDASGAITITDFENVPENDENALLKAVAYQPVSVAIDANAVEFQQYSGGVYGGPCGTDLTHAVTIVGYGTSDDGVKYWLIKNSWGEDWGERGYMRLQRDVLAKEGLCGIAREASYPIAA >ONI01431 pep chromosome:Prunus_persica_NCBIv2:G6:11274532:11276343:1 gene:PRUPE_6G138800 transcript:ONI01431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGNFSLLAAAARRLEGKVALITGGASGIGESTARLFSKHGAKVVIADVQDNLAESICRDLSSSSTSFVHCDVTKEEDVENAVKTATSKYGKLDIMFNNAGIAGIAKTNILDNDKAEFEQVIGVNLVGVFLGIKHAARVMIPAGQGSIINTASACSTIGGCASHAYTSSKHGVLGLMRNTAIELGQHGIRVNCVSPYIVATPLAKDFFKLDDDGVHGVYSNLKGGVLKAEDIAEAALYLGSDESKYVSGHNLLVDGGFTIVNARFCIFEQA >ONI01820 pep chromosome:Prunus_persica_NCBIv2:G6:14971398:14971691:-1 gene:PRUPE_6G161200 transcript:ONI01820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESELAAAQQLMQLSDEDNNNNSSSSSNKNTKHEGEEVDQRPLINVITWAKIEEIFGKEEDVDRPKKRRYRSLASIYLTSKPVNAGSAHGKKVRTF >ONI02393 pep chromosome:Prunus_persica_NCBIv2:G6:20301078:20302386:1 gene:PRUPE_6G195400 transcript:ONI02393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTSKLRELMGAIKDKASQSKAAILCKLNTNTLSSSSSLHLTLLRATTHDPFTPPNPKSLAALLSFGLSSRATASSAVESLMDRLQTTHDSAVALKCLLAVHHVIKYGSFILQDQLSVYPAGGGRNYLNLSNFRDDSTPVAWELSSWVRWYAQYIENLLLTSRLLGFFVGSNSCIVEKDKEEERVSALLNGNLVRETESLVSLLEGICKRPESLGLGGNRLVIEVLGLVGEDQISAMNEISVRVNEFDERLNCLSFGDSVELLGALKRSEDCEGRLLAVPNVKNDLVEGFWGLIREMKTKVGKEKESNNKLMATMRVEKESYSESARFGDRVLRPSDWVRFSSGRLAVDAFHLSTIQSCAR >ONI03001 pep chromosome:Prunus_persica_NCBIv2:G6:23496516:23497827:1 gene:PRUPE_6G232600 transcript:ONI03001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFYANLAGRTECNVFDIIRGSEDLESLQGFLGAAEVSQCLAGSGIVRGIVEYVGSAEEIPFAQPLPRFPVIKQRRLIPSFEQMGEAPQSKHLPNWLPAFPDPHTYIQTPMWNERKTDPREDKIEQARQRRKAERSLLSLQQRLLCNGEASGSGGVSVAVPLVSGGGNDGKGLLLQGSESNPSNPSNPSNPINPFLEPPIQPGEKDVSVSEVVLPSKFLDEVGQGKNGGSSVLDAFTPAIEAVKNGVWSDGDDERKQLLPDTRPAINFKFRSGKKFLGESSDVRLQKKGSVRPAYWFGRDEERDDKKRRAEFILRQSMENPQELTQL >ONI01599 pep chromosome:Prunus_persica_NCBIv2:G6:12601490:12601857:1 gene:PRUPE_6G148700 transcript:ONI01599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRGILVSFEHIKHFIRSGIRLLIITIILQKVAQINSIMDPFCEIPIMQKQMQASLNKSNNATTSDDVRAKRDHGTIFTH >ONI04614 pep chromosome:Prunus_persica_NCBIv2:G6:28840461:28844124:1 gene:PRUPE_6G330200 transcript:ONI04614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDHDTDAVDAINGTIITYGQTGAGKTYSMEGPSILVCDEQKRGLLPRVVEGLFDCIKSSGGTMKHSIKLSMVEIYMEKVRDLFDLSKDNIQIKESKSQGILLNGVTEISVSDPAEALQSLSSGIANRAVGETQMNMASSRSHCIYIFTVHQEVKRDDRLKTGKLILVDLAGSEKVEKTGAEGKVLEEAKTINKSLSVLGNVINALTCGSPGKVNHIPFRDSKLTRILQDSLGGNSRTALLCCCSPSPSNALESLSTLRFGMRAKHIKMSPRVKCNEDKCAKKQGPASSPIKHESCDIILDKLKERFDAEDVKLLEELFTLNGILFDPCSSEELDSAFEDVTSQTIVSLQQAVEELASTVDELKRENKGLKERLAAAERCHAAGKEAGDNNTSSLVHKLPGILSSFVSWVRSLSSIKLLNCEVN >ONI04613 pep chromosome:Prunus_persica_NCBIv2:G6:28839846:28844124:1 gene:PRUPE_6G330200 transcript:ONI04613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMTICARFRPLSSKERRDHGDTVCIRCIDAETFLFKDEKDEEFTFSFDKVFYEKSEQAQVYEFLAWPIVKDAVDAINGTIITYGQTGAGKTYSMEGPSILVCDEQKRGLLPRVVEGLFDCIKSSGGTMKHSIKLSMVEIYMEKVRDLFDLSKDNIQIKESKSQGILLNGVTEISVSDPAEALQSLSSGIANRAVGETQMNMASSRSHCIYIFTVHQEVKRDDRLKTGKLILVDLAGSEKVEKTGAEGKVLEEAKTINKSLSVLGNVINALTCGSPGKVNHIPFRDSKLTRILQDSLGGNSRTALLCCCSPSPSNALESLSTLRFGMRAKHIKMSPRVKCNEDKCAKKQGPASSPIKHESCDIILDKLKERFDAEDVKLLEELFTLNGILFDPCSSEELDSAFEDVTSQTIVSLQQAVEELASTVDELKRENKGLKERLAAAERCHAAGKEAGDNNTSSLVHKLPGILSSFVSWVRSLSSIKLLNCEVN >ONI02130 pep chromosome:Prunus_persica_NCBIv2:G6:18357515:18359649:1 gene:PRUPE_6G178700 transcript:ONI02130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLPLPLSLKPLALALLDTSYSQLSLALKAHWSPKPLIWAGTSPICIICTSSLNRQVESFLQLLPHLANHPH >ONH99919 pep chromosome:Prunus_persica_NCBIv2:G6:4033455:4036941:1 gene:PRUPE_6G057700 transcript:ONH99919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQNNIENGIISDNYKALLDNNHKEQWANNINGSRTPPQNMAASMVRKKSDPILVSNVRFQMLRSLLNNLQEVVLGTKLALLFPAIPLAIAADFYHFGRPWIFALSLLGLTPLAERVSFLTEQIAYFTGPTVGGLLNATCGNATEMIIALFALRQNKIDVVKYSLLGSILSNLLLVLGTSLLCGGLANLKKEQRYDRKQADVNSLLLLLGLLCHMLPLLFRYASGLNNPFATNTLQLSRISSIFMLIAYVAYIFFQLKTHRQFFESQEGDEDEEEEKPVIGFWSAFSWLVGMTIIIALLSEYVVGTIEAASNSWGISVTFISIILLPIVGNAAEHAGSIIFALKNKLDISLGVALGSASQISMFVVPLSVIVAWIMGVQMDLDFNLLETGSLAFTIIITAFTLQDGTSHYLKGVILFLCYIIIAACFFVDKIPMNETTVGTLGTVLEPSSGVLVA >ONH99147 pep chromosome:Prunus_persica_NCBIv2:G6:1017259:1017921:-1 gene:PRUPE_6G014300 transcript:ONH99147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHHHQVSTCYHQQAPTCDSRKEFGLSSSAETSAFSEDILARVPCKESGLNLYQKQEREAVMLVKKQKTYSLLDDDDGDRSSAQVVSESRKADSIRNDSGRRS >ONI01686 pep chromosome:Prunus_persica_NCBIv2:G6:13381303:13383098:1 gene:PRUPE_6G153700 transcript:ONI01686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCGKLSHSMCRFLVDKLNPCKSSIVLHEKTLKISVDDFVRIMGVKDGGEEVDFTGSMHEQHIVKKLLKSNELKQMMVGTEEAGDFFKVGFAMFALCTLLCPTTSVYVNLKYLLLLRDSKAIARKNLASYSFKFLLDSFRSFKENNQVYIGGCMLFLQLFYLDAIAHGSVLMDRPVLPFAARPEKQDRTPNDQGDNVKGFANLDKTLAPSVEVIRERKHAKRLHNEIMKRLNVGPFRMTNDISEDDRDLLSFAFCCNPLDPKAWRYTLNQICENNCYMCCHNDEHDKSSNHLSSTSPTITSIPPTRRRR >ONI03541 pep chromosome:Prunus_persica_NCBIv2:G6:25318326:25320311:1 gene:PRUPE_6G263700 transcript:ONI03541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAVVRETDMPHAMQSHAMELAYKALDLHEVSDCRSIAHHIKQKFDEAYGSAWHCVVGKNFGSCITHLCGTFIFFHVEMMEFLIFKDGKDSTESREEAIGVLQTESQDSDDA >ONI04620 pep chromosome:Prunus_persica_NCBIv2:G6:28850858:28854218:1 gene:PRUPE_6G330500 transcript:ONI04620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLLSLPPHPPIPPNNPKPTTPKLTPPQPTIALTDSNTSKRRFILESTSLFLISLTPQQYPVAHSSPEASATPRPSLSGIANTKSWFQFFGDGFAIRVPPQFQDVSEPEDYNTGLSLYGDKVKPKTFAARFASPDGYEVVSVVIRPSNSLKITFLEAKDITDLGSLKEAAKIFIPVGSTVYSARTIKIKEEEGFRTYYFYEFGIQEQHAALVAAVNGGKTYIAGATAPQSKWNDDGVKLRSAAISMTVL >ONI01480 pep chromosome:Prunus_persica_NCBIv2:G6:11505750:11506586:1 gene:PRUPE_6G142000 transcript:ONI01480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSLLFAAYTVLLLLLVPSSCSQTASNNITADNSDCIRSCGNINISYPFRLKGESKHCGNKSFELSCEAKGNGTTHHAVLSLFSGKYYVQAINYNNFTIRLVDVGVHKIKDNYFSHPLFSLTPFNFSYYSDYTGPLPEYYHIESLMSIIFLSCEIPMNPSDLIVETAPCISGVNNYSSSNSSFSNLTTYSYFIQFRFGYGSENDRSLHNLLDSCKITLTVMVSPSTEEHMTSCKGIYNEIAHGFELSWLHHACKVMCRSGESCRLNRNDNGINCFQR >ONI03819 pep chromosome:Prunus_persica_NCBIv2:G6:26434049:26435266:-1 gene:PRUPE_6G284500 transcript:ONI03819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPSIDKVAISGPALASMIQRFSTSQGSVDGLIFGHVSHIAPTLTDDSPNSTSSATLIATITGFFCSGSTHSFYNSSGRVDPVLLRRLVLAQAQSPSAHLLGWFSGRRKTHLRPSLREFSVSNALSSNPNLTFPIQNPPEGPSSPNLVPSLFLLFASPITDQTIHTHEYRAYHFRASKHSFEPKSIQIVNIGPAFRAQYENFSPNSPFPNLPFELRVSPMIVDRGEENLDQLRQVNKDQSELDMCTQGLEVGSLSRLMGSEAANYTTGVEDLYEKMLVKIESLTTLVEKSSANVLEQENLNRKLRYKAARSAALE >ONI03426 pep chromosome:Prunus_persica_NCBIv2:G6:24913079:24916654:-1 gene:PRUPE_6G256300 transcript:ONI03426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLTIPGTKSVACTLRPPWSLLLVLNRGFANSVAGLVGYLNRMNGFHQLPEFDQGKRSCRRRLAGHNERRRKPQPGSILSARFGRLSSSIYENSSRVGSFLMDFTAYPRLSGRDAWATTRTSERVPGNQNSNDTGKFLQHPWQSNSEITPSGLYLQGSAGRSNYPGPGIPPGECVTGVTDSSCALSLLSSQPWGSRNRVSGVGMNTVINTQGPPVGQPITHAGTANHFPTTSWGFKGNEAGSSSHEMLPDLGLGQISQPPLSTQYSGVLELSQQSSRRQQQMELGHTRGYDTSSQHIHWSL >ONI03425 pep chromosome:Prunus_persica_NCBIv2:G6:24912779:24917335:-1 gene:PRUPE_6G256300 transcript:ONI03425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMEMGSSSMTDSGSSSSSSPPNSSTESLNGLKFGRKIYFEDAGLGAAYKSGSAGSSSSGATPPKKQRGVNLAQSGQPPRCQVEGCQVDLSDAKAYYSRHKVCGLHSKTPMVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPQPGSILSARFGRLSSSIYENSSRVGSFLMDFTAYPRLSGRDAWATTRTSERVPGNQNSNDTGKFLQHPWQSNSEITPSGLYLQGSAGRSNYPGPGIPPGECVTGVTDSSCALSLLSSQPWGSRNRVSGVGMNTVINTQGPPVGQPITHAGTANHFPTTSWGFKGNEAGSSSHEMLPDLGLGQISQPPLSTQYSGVLELSQQSSRRQQQMELGHTRGYDTSSQHIHWSL >ONI00525 pep chromosome:Prunus_persica_NCBIv2:G6:6412872:6413612:-1 gene:PRUPE_6G093000 transcript:ONI00525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKWKAKGAEAKALADPMSKIVLQLQSSLIQSDARGILCGCSVLLAAEEEQAHLLGRAYFGDRVINAEKDKRWFQLDMEEAFFLCYSLKCLKIVGEDKRPKDVQELWQYMKSKKAAFPDFYKAYSHLRLKNWVVRPGFKYGADFVAYRHHPNLVHSDYAVLVSSEGDGEANGRLRVWSDIHGTLRLCLGVVKTLLVLSISKNGHDAASPSCLENYTVDERTVSRWVPEQGREDDTSVDVESQRSQ >ONI00526 pep chromosome:Prunus_persica_NCBIv2:G6:6412208:6414665:-1 gene:PRUPE_6G093000 transcript:ONI00526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKWKAKGAEAKALADPMSKIVLQLQSSLIQSDARGILCGCSVLLAAEEEQAHLLGRAYFGDRVINAEKDKRWFQLDMEEAFFLCYSLKCLKIVGEDKRPKDVQELWQYMKSKKAAFPDFYKAYSHLRLKNWVVRPGFKYGADFVAYRHHPNLVHSDYAVLVSSEGDGEANGRLRVWSDIHGTLRLCLGVVKTLLVLSISKNGHDAASPSCLENYTVDERTVSRWVPEQGREDDTSVDVESQRSQ >ONH99632 pep chromosome:Prunus_persica_NCBIv2:G6:3020053:3020364:1 gene:PRUPE_6G040500 transcript:ONH99632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKQKINPIKFTVHNCKPAKFGALVSRVYCEDKNFDLFFHTLLFYIFLQIILHISIINPRFYLNRS >ONH99779 pep chromosome:Prunus_persica_NCBIv2:G6:3550586:3551713:-1 gene:PRUPE_6G050400 transcript:ONH99779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFALVHKVFGASNVSKMLQELPIHQRGDAVSSLVYEANARVRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQQEPVALPNQIDHQHNHHHQDHDHDQKSLLLSNSDFNNIPHQYFSSFASSSNVIQDPLKRESLWT >ONI01545 pep chromosome:Prunus_persica_NCBIv2:G6:12026477:12027478:1 gene:PRUPE_6G145700 transcript:ONI01545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLKYMLYFDIFIFQRLQSILFPSSKVILNFHIPGHKMHVKYHGEMSMFPALNSFLPVKSMIAAIFLPKILEIFTGHSFPINSHIA >ONI03147 pep chromosome:Prunus_persica_NCBIv2:G6:24000588:24005943:1 gene:PRUPE_6G241500 transcript:ONI03147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGAPGRSSSSNNGSLCAPGFFSIWSTYLAQIELGDDKPWLNLTHSLIGFFSTTLGQVLLSQLKDDGGVSVLSIDFEKFRKMCDVEDLYVALEEKPKITLLCMSVAAHQVLLTQWDNNRVEEGIKVNIRLHNYPESMIALKNLKAAYIDKLVSVRGTVVKVSTVKPLVVQMGFDCVKCKTSITRMFPDGKFSPPSKCDLDGCKSRNFNPIRSTAQTIDFQKIRIQELLKPEDHEDGRVPRTVECELLEDLVDACIPGDVVTVTGIIRVINNYMDIGGGKSKGKSQGIYYLYLEAVSIKNSKSQSTPEDVQDSNSNDMALVDFCSFSPRDLEFIVKFSEEHGPDTFRQLLQSICPSIYGHELVKAGIILALFGGVRKHSNDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTRAGLTVAVVKDPMTSDYAFEAGAMVLADSGLCCIDEFDKMTTEHQALLEAMEQQCVSIAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKIGAALLSRFDLVFILLDKPNELLDKRVSEHIMSLHAGYGEHSPAAKRIRGASQSAEGIDMNGEGGSLVSRLRLDPNTDGDFVPLPVQLLRKYIAYARNYVFPRMSKPAAEILQKFYLKLRDHATSADSTPITARQLESLVRLTQARARLDLREEITAQDATDVVEIMRESLYDKYIDEHGVVDFGRSGGMSQQKEAKRFLSALNRQSELQQKDCFTISEIYSLADRIGLRVPDIDTFVDNLNSVGYLLKKGAKTYQVLSSSYSRSQSSSRSRG >ONI03148 pep chromosome:Prunus_persica_NCBIv2:G6:24000715:24005233:1 gene:PRUPE_6G241500 transcript:ONI03148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGAPGRSSSSNNGSLCAPGFFSIWSTYLAQIELGDDKPWLNLTHSLIGFFSTTLGQVLLSQLKDDGGVSVLSIDFEKFRKMCDVEDLYVALEEKPKITLLCMSVAAHQVLLTQWDNNRVEEGIKVNIRLHNYPESMIALKNLKAAYIDKLVSVRGTVVKVSTVKPLVVQMGFDCVKCKTSITRMFPDGKFSPPSKCDLDGCKSRNFNPIRSTAQTIDFQKIRIQELLKPEDHEDGRVPRTVECELLEDLVDACIPGDVVTVTGIIRVINNYMDIGGGKSKGKSQGIYYLYLEAVSIKNSKSQSTPEDVQDSNSNDMALVDFCSFSPRDLEFIVKFSEEHGPDTFRQLLQSICPSIYGHELVKAGIILALFGGVRKHSNDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTRAGLTVAVVKDPMTSDYAFEAGAMVLADSGLCCIDEFDKMTTEHQALLEAMEQQCVSIAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKIGAALLSRFDLVFILLDKPNELLDKRVSEHIMSLHAGYGEHSPAAKRIRGASQSAEGIDMNGEGGSLVSRLRLDPNTDGDFVPLPVQLLRKYIAYARNYVFPRMSKPAAEILQKFYLKLRDHATSADSTPITARQLESLVRLTQARARLDLREEITAQDATVSSNSAQMSLDKVPGSFYQSVFFAGCG >ONI04226 pep chromosome:Prunus_persica_NCBIv2:G6:27826927:27829804:-1 gene:PRUPE_6G310100 transcript:ONI04226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAKLKPLTLAAKSTLNHKPMSKTTINLSILETHLPKCQNLKQFNPILSQMILTGFIRDTYAASRILKFSTDSSFIHIDLSLRIFNLIEDANGFIWNTMMRAYIQRNCPQKALNLYKLMVDKNAEPDNYTYPLLVQACAIRVSEFEGRQIHNHILKTGFDSDVYVQNTLINIYAVCENMSDARNLFDEIPVLNPVSWNSILAGYVRAGDAEKAKLIYDRMPERNTIASNSMIVLFGRTGCVTEACRLFNELPEKDMVSWSALISCYEQNEMYEEALALFLRMVANGVMVDEVVVVTVLSACARLSIVHTGKLIHGLVVKIGIEAYVNLQNAFIHMYSSCGEIMAAQKLFNAAYHLDQISWNSMISGYLKCGLVEKARTLFDSMPKKDIVSWSAMISGYAQHDRFSETLALFQEMQLRGIRPDETTLVSVVSACTHLAALDLGQWIHAYIRKNGLKINVFLGTTLINMYMKCGCVENALEVFQGTAEKGVSTWNALILGLAMNGLVEKSLEMFSEMKKCGVAPNEITFIGVLGACRHMGLVDEGRRHFDSIVQEHKIEPNVKHYGCMVDLLGRAGMLKEAEELIESMPMTPDVATWGALLGACKKHGDHDMGERIGRKLIELDPDHDGFHVLLSNIYASKGNWDDVHEIREIMVQHGVVKMPGCSMIEANGIVHEFLAGDNKHPQIEEIEKKLDEMAKKLKMEGYAPDTNEVSFDIDEEEKETALFRHSEKLAIAFGLICTSPPTPIRIIKNLRICNDCHMAAKFISKAFNRDIVLRDRHRFHHFKQGSCSCKDYW >ONI04035 pep chromosome:Prunus_persica_NCBIv2:G6:27193316:27196199:-1 gene:PRUPE_6G297900 transcript:ONI04035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFRSLAMLFVVIWFSRLPDLSAQSSRGQPRALDALLQDYAYRAFVHPKTGVTFKGMVPLNLTGIQIAAMRLRSGSLYRRGVAMYKEFEIPQYVSEKPYVERLVLVYQNLGNWSMVYYPLPGYSYLAPVLGLLAYNASNLSATNLPELDIRASGDPIKIKFQDVKPTPAGTVAKCVSFDLDGSVNFSNVASGNICSTIQQGHFSIAVESIAPSPAPVSPSPTPAGAGKKNNNSKVWIIVGSVLGGLALLALLFFLVLWAYKYREKKSLQQMEKAADVGEALHMTSVGDTKAPAATFTRTQPTIESEYVP >ONI03421 pep chromosome:Prunus_persica_NCBIv2:G6:24878216:24879209:-1 gene:PRUPE_6G256000 transcript:ONI03421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCIRGLKKVDLLVSNPIKISETPIPRSSMQWYHNTASILLSLGFIGLSLPIVVHGYLIQITLYCSQGCYLTIFYSSK >ONI02375 pep chromosome:Prunus_persica_NCBIv2:G6:20120419:20121787:-1 gene:PRUPE_6G194000 transcript:ONI02375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINGFHPPMSTLHSQKTKLQVPHATLHSLPLTSDQQHPMLAYQRSSFTKFPYDHQLIRAHHRLLITKKNWIVGLMLSIIIPSLRHKWGPLLALKSKVDMAVDTVESVTEVVEELAEEVEKVAEQVEDKLPEDAKLREAVESVEHLAEDAVKKAELAKDVIHKVEEVEEEVEKALTNGSDVDSVKGEGEKKS >ONI02376 pep chromosome:Prunus_persica_NCBIv2:G6:20120591:20121651:-1 gene:PRUPE_6G194000 transcript:ONI02376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINGFHPPMSTLHSQKTKLQVPHATLHSLPLTSDQQHPMLAYQRSSFTKFPYDHQLIRAHHRLLITKVTQASEKSPPETQNPADKSPLSTWKNWIVGLMLSIIIPSLRHKWGPLLALKSKVDMAVDTVESVTEVVEELAEEVEKVAEQVEDKLPEDAKLREAVESVEHLAEDAVKKAELAKDVIHKVEEVEEEVEKALTNGSDVDSVKGEGEKKS >ONI03480 pep chromosome:Prunus_persica_NCBIv2:G6:25060688:25061195:-1 gene:PRUPE_6G259500 transcript:ONI03480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKLVIFILVSLAAAEDLSFIYNGFRSDNLSLDGIAGVTPNETFKNSSNGTVFSFSTTFVFAIRSEYADLSAHGMAFVVAPTRGLPGALPRQYLGLFNETNNGNATNHVFALEINTNHVFATKMNGKAQELSQLPKLPRIGADKIY >ONI00271 pep chromosome:Prunus_persica_NCBIv2:G6:5402016:5403173:1 gene:PRUPE_6G079300 transcript:ONI00271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQTDGSDSSSTPRPDPATSDSAKGSSLPTRRPCAAVFAFLVGPHYCSPLVLFDPTAGNSFNRAYEALEPSRMATGGQPATVALPRVYVVWSEATV >ONI02126 pep chromosome:Prunus_persica_NCBIv2:G6:18348047:18353088:-1 gene:PRUPE_6G178600 transcript:ONI02126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNKRFKNHKPHRGQSSRTNNHLPHYGRGANGSQNPACNDFGQCDAKKCTGRKLSRFGFLKELRVNNGFGGIVLSPVGTLCVSREDYSLIQRKGLAVVDCSWARLDDVPFVKLRCTAPRLLPWLVAANPVNYGRPCQLSCVEALSAALFICGEEETANLLLGKFKWGHGFLSLNRELLKAYSKCENSADIISVQNDWLSQNSQLPKVPAEVKGADESSLREDEGSYDSDDGLPPLERNMNHLDIQESDDESE >ONI02127 pep chromosome:Prunus_persica_NCBIv2:G6:18348300:18352933:-1 gene:PRUPE_6G178600 transcript:ONI02127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNKRFKNHKPHRGQSSRTNNHLPHEATEEEPTGPKIQLAMWDFGQCDAKKCTGRKLSRFGFLKELRVNNGFGGIVLSPVGTLCVSREDYSLIQRKGLAVVDCSWARLDDVPFVKLRCTAPRLLPWLVAANPVNYGRPCQLSCVEALSAALFICGEEETANLLLGKFKWGHGFLSLNRELLKAYSKCENSADIISVQNDWLSQNSQLPKVPAEVKGADESSLREDEGSYDSDDGLPPLERNMNHLDIQESDDESE >ONI02129 pep chromosome:Prunus_persica_NCBIv2:G6:18348300:18352552:-1 gene:PRUPE_6G178600 transcript:ONI02129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDFGQCDAKKCTGRKLSRFGFLKELRVNNGFGGIVLSPVGTLCVSREDYSLIQRKGLAVVDCSWARLDDVPFVKLRCTAPRLLPWLVAANPVNYGRPCQLSCVEALSAALFICGEEETANLLLGKFKWGHGFLSLNRELLKAYSKCENSADIISVQNDWLSQNSQLPKVPAEVKGADESSLREDEGSYDSDDGLPPLERNMNHLDIQESDDESE >ONI02128 pep chromosome:Prunus_persica_NCBIv2:G6:18348300:18352933:-1 gene:PRUPE_6G178600 transcript:ONI02128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNKRFKNHKPHRGQSSRTNNHLPHEGDSLPSDQATEEEPTGPKIQLAMWDFGQCDAKKCTGRKLSRFGFLKELRVNNGFGGIVLSPVGTLCVSREDYSLIQRKGLAVVDCSWARLDDVPFVKLRCTAPRLLPWLVAANPVNYGRPCQLSCVEALSAALFICGEEETANLLLGKFKWGHGFLSLNRELLKAYSKCENSADIISVQNDWLSQNSQLPKVPAEVKGADESSLREDEGSYDSDDGLPPLERNMNHLDIQESDDESE >ONI03698 pep chromosome:Prunus_persica_NCBIv2:G6:25985035:25985602:-1 gene:PRUPE_6G275800 transcript:ONI03698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFQVGLCLGHRLLANHNNPQSNWGPRTAFFRQIPKFKPHDSRGRPTPCRTLLNSPTNNSRPMMI >ONH99686 pep chromosome:Prunus_persica_NCBIv2:G6:3175202:3176236:1 gene:PRUPE_6G043500 transcript:ONH99686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRLILIFLSATLAGFFVLRNLKSDPKLDTPHHEEHETNHHHQNPNNSPNRFSKVRSAMESGFWTIVDMGSGRYLWRHFVSASPKPSN >ONI02379 pep chromosome:Prunus_persica_NCBIv2:G6:20130969:20133075:1 gene:PRUPE_6G194300 transcript:ONI02379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDHRKLILQTNFSEEDFCPIQCKQAPIQGGFCFLSCFKRCRDVCKNLIVYGGSELRPPLLPDPTLPPPPPSPPSPPLPPLIDYQPPSEQKHILETSMKITGCMIGVALLVAIVFSIVRFYWRRSNSRRRRSSSLPILFDTQQDFLDEDHGPVLDHPIWYIYTVGLPQSVIDSITVCKYKKDEGLIEGTDCSVCLSEFEEDESLRLLAKCSHAFHIPCIDTWLRSHKNCPLCRAPIVCDIARDQESVPEPISRDSGSRESIEVENLENNGGVGSLGSGTSEVGITDDENVFAIPTEGRTAENSGKVLPSSTVAAGSRDPRALSDLTDNRRVTEEDIQPIRRSVSMDSSSASRIYRDVANVIPEEGSSNSQLVHVKNPNSGIVSKYGSSSSSLAKLMRSSSIGFSLQMGPISMKRPFSSGRKFFSSKHGRSQSSILPS >ONH99968 pep chromosome:Prunus_persica_NCBIv2:G6:4186423:4188405:-1 gene:PRUPE_6G060400 transcript:ONH99968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAKTQMSQKEYVNRKRVFYFNHTSTRSVAQDRRYTENFRFRFPTWSGFLPMRLLKNLADKVAGTLCMGMTSMRTRPSRKDSLSRRSMPFVAPVDSHREQAIEDCIEFINSSSSLSRSTSIARHSP >ONI01005 pep chromosome:Prunus_persica_NCBIv2:G6:8466058:8466762:-1 gene:PRUPE_6G116200 transcript:ONI01005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEENDEVEIGETGLENTMSPEETTQEPKVNMIFNTADEVLDFYKKYANRVGFQMKKRSSKKGDCGELKYVTLSCSRSGIPQSTASNVLKPYPSVKCNCKAQNAQDSHGGMDDD >ONI04769 pep chromosome:Prunus_persica_NCBIv2:G6:29355437:29356072:-1 gene:PRUPE_6G338600 transcript:ONI04769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACATTRPTFSFHLSTSFSQHSHPKPKTQFTNPTLLPKIPSISLSLTHKPHKPNNLTTNSIDVSKEDKPTSDEPASPPPTSYIEPEPEPEQEEAAETTFDKRRLEEKFAVLNTGIYECRSCGYKYDEAVGDPSYPIPPGLQFDKLPEDWRCPTCGAAQSFFVSKSVEIAGFAQNQQFGLGGNALTSGQKAVLIFGGLFLFFVLFLSGYFLQ >ONH99744 pep chromosome:Prunus_persica_NCBIv2:G6:3391048:3392950:1 gene:PRUPE_6G047200 transcript:ONH99744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPTRKPNRLWNILIRILTFGVLVLAVRFAYVITLAGESCGYNDFCFFPNNLKLRFGGGEALRVRGSAQPRVPGLWTTKKWRRTVDYYSAIFQGLIADGFLSPNFKTLCVETLAGQDVLALQENGFIDSIGVSKNASPPLVVAGEAHRQPFNEATFDFEFSGLDRSGRPVDFSDELCRTLKPGGFFVAHIVAKDSYSVNSFLQLFNCCRLIRSREIDGLETTSVREVVMRKEAESSGGNSGYKCSVPGYKREIVRKAEALIEQEPLKPWIALKRNLKKVKYLTSMVDISYKQRYVYVDVGARSYGSSIGSWFKKQYPKQNISFEVYAIEADKAFHEEYRTKKGVSLLPYAAWVRNETLFFEITRDPSKKTVDKGRGMGRVQPVRPSSNYGDNVDKIEGFDFADWLKNTASERDFVVVKMDVEGTEFHLIPRLIETGAICLIDEIFLECHYNRWQRCCPGQRSPKFKKTYGQCLDLFNKLRDTGVLVHQWW >ONI02891 pep chromosome:Prunus_persica_NCBIv2:G6:23102357:23104142:1 gene:PRUPE_6G226900 transcript:ONI02891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQDILFYTFLSLISILFLLQTKRRLHKNLPPSPLPLPILGHLHLLKIPIHRTLQRLSQKHGHIFSLWFGSQRVVIVSSSSAAQECFTKNDIVLANRPRSLVSKHLAYNNTTIGTAQYGDHWRNLRRIGAIEIFSTSRLNAFLNVRKDEVKHLLLKLSQNARDDFGKVELKSMFLDLTLNVIMRMVAGKRYYGEDGSVDKEEARQFREIMEEIFAYAGAGNPADFLPILNWVGSVHGYEKKVMKLARRMDALLQGLIDEHRSEGRNGSTMIDHLLSLQESQPENYSDQTIKGLILVMLLAGTDTSAVTLEWAMSNLLNHPDVLKRARAELDAQVGQERLVEEHDISKLPYLQSIISETLRLYPAAPMLLSHFSSDDCTIGGFDVPRGTMVLVNAWAIHRDPKLWDDPESFIPERFRSGEDLSHQLMPFGLGRRSCPGSGLAQRTVGLTLGSLIQCFDWMRVSEKEIDMAEGKGLTMPKLVPLEVMCKARRIINKV >ONI00040 pep chromosome:Prunus_persica_NCBIv2:G6:4456269:4456541:1 gene:PRUPE_6G064500 transcript:ONI00040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKHSEAEAATREKEEEVAPERPKRTLSGWKENKDDQVNQTESATFFRNKEKVLVTCSRRNSYSECCAHNRGIEAYWKSSKKSQDLF >ONH99084 pep chromosome:Prunus_persica_NCBIv2:G6:769815:770843:-1 gene:PRUPE_6G010200 transcript:ONH99084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVGHVIPGLGFLLIGLWHLFNHIKLHLHQPNSYRAPPWFPTSKFKYLELIVIMGGSFVSIAMELFISPHKHQPLDDDGTIPSYHLHNFEHASISMTFLVYAAFAIILDKVGPKAQYGLTQLLGAIAFGQQLLLFHLHSADHMGPEGQYHMLLQIVILVSLATTLMGIGLPKSFLVSFVRSLSIFFQGLWLMVMGFMLWTPDLMPKGCFMNSEDGHFVVRCQGEEALHRAKSLVNLQFSWFLVGVSIFGVSFYLALVKFYGEKVDYFSLARQIEEDEDDPADDVESQKKSKLGDSKSFINHMEKTAFVPKDMER >ONI01911 pep chromosome:Prunus_persica_NCBIv2:G6:16891105:16891422:1 gene:PRUPE_6G166600 transcript:ONI01911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSSSNIIFMLVFDQLRINRALLDKRMPPIYAFEGTQVQPLGNIHITLTIGECPNQATTVTNFVIVDCSTTYNVVLGQPTFTELDVTISYRTLMLKFTNAHGI >ONI02413 pep chromosome:Prunus_persica_NCBIv2:G6:20444761:20445629:-1 gene:PRUPE_6G197000 transcript:ONI02413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVGKGDSSFSTCLARALGSAHNMVATSLKSQGSLRTTYRNCLSHLSELKRRGYLVLLSVEATLPSYEEETLISAIAGT >ONI01146 pep chromosome:Prunus_persica_NCBIv2:G6:9287973:9288197:-1 gene:PRUPE_6G124300 transcript:ONI01146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVARLYMKHRINCIKYLIPRWRYFKNQQKFSLTWPKNNNKIQVILSNFPLQDGSKHSLSFQNPKSPLLPSLSQ >ONI01975 pep chromosome:Prunus_persica_NCBIv2:G6:17547227:17548722:1 gene:PRUPE_6G170300 transcript:ONI01975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVWWSLLAAIPALVAGQAFRVKKRRAEEQRLKSARGREKNSDEIFVCERVCTSKRMLKKLGAFSKDPIPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDKCLRRCQSECLKLSSSSYS >ONI01977 pep chromosome:Prunus_persica_NCBIv2:G6:17547046:17548722:1 gene:PRUPE_6G170300 transcript:ONI01977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVWWSLLAAIPALVAGQAFRVKKRRAEEQRLKSARGREKNSDEIFVCERVCTSKRMLKKLGAFSKDPIPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDKCLRRCQSECLKLSSSSYS >ONI01976 pep chromosome:Prunus_persica_NCBIv2:G6:17547046:17548722:1 gene:PRUPE_6G170300 transcript:ONI01976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVWWSLLAAIPALVAGQAFRVKKRRAEEQRLKSARGREKNSDEIFVCERVCTSKRMLKKLGAFSKDPIPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDKCLRRCQSECLKLSSSSYS >ONI02071 pep chromosome:Prunus_persica_NCBIv2:G6:18005766:18010077:1 gene:PRUPE_6G175800 transcript:ONI02071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALKPLMFFRSPPKFQCLPSNTAKLSISRFSSLSSPMKIPNPNGNSHITDYLISTFKFTKTQASSISNRFSWVTSPEKPQSVRSFLRELGFSDTHIRSAVRGSPQILFSNIDKTLRPKLEFFQQLGLSSSDLASLISKNSTLLTVSLERKLVPCIEILKKILGNDENAKDLIRVLCRCNWVVRKDPESRLLGNIAFMESCGIVGSQLTMLLKRQPWLFIVQESVLRSLVSRVLEMGFSLNSRMLVHALYTVSCLRSGTISRKLDLLCIFGFSEDECMEMFRRTPGLLRTSEEKLKLGIDFFLNTVKFKKSVLIHTPWILMFSMENRVIARYRVLEVIKSKRLLKRDPTFYGALVLTEEEFLDKFISRFRDDAEVLLVAYKAHLLDSTQEEDS >ONI01654 pep chromosome:Prunus_persica_NCBIv2:G6:13074689:13076679:-1 gene:PRUPE_6G151200 transcript:ONI01654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVPWIVMSEIFAINIEGQARSFATLVNWLGAWLCSYTFNFLMSWSSYGTFILYAAINALAILFVILMVPETKGKTLEQIQGDINK >ONI01653 pep chromosome:Prunus_persica_NCBIv2:G6:13074690:13076676:-1 gene:PRUPE_6G151200 transcript:ONI01653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVPWIVMSEIFAINIEGQARSFATLVNWLGAWLCSYTFNFLMSWSSYGTFILYAAINALAILFVILMVPETKGKTLEQIQGDINK >ONI00239 pep chromosome:Prunus_persica_NCBIv2:G6:5300154:5300953:-1 gene:PRUPE_6G077800 transcript:ONI00239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGNGNCSCGSNCSCGSSCNCAYPDLSYSETTSTETIIAGVAPVKMYYEGSEMSYGAENDCKCGANCSCSSCGCHK >ONI01434 pep chromosome:Prunus_persica_NCBIv2:G6:11290777:11292058:1 gene:PRUPE_6G139000 transcript:ONI01434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGSTSPKLELRLNLSPPRTHSPIGSPNASESSSNMSLDRGSCVSSEPEQQTMYYQSSPEAKAMVLAGCPRCLMYVMLSDADPKCPKCKSTVLLDFLINEESTKRTRI >ONI04450 pep chromosome:Prunus_persica_NCBIv2:G6:28439274:28440642:1 gene:PRUPE_6G322100 transcript:ONI04450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAKGFRGRIGGGRKKAVSKSARAGLQFPVGRICRFMKAGRYADRIGTGAPIYMAAVLEYLAAEVLELAGNAARDNKKTRISPRHVLLAVKNDEELEELLKGVTIASGGVLPQINPVLLPKETTSSKASSKAPKLVNPTTNKSSFSAAVEDAPDFGNEANDAASDVADNASPNSPPKEEASSPSSGVSAVSATT >ONI03793 pep chromosome:Prunus_persica_NCBIv2:G6:26335001:26336192:1 gene:PRUPE_6G282500 transcript:ONI03793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFNVPLLSTRRLANSGSVDLQGASLSTSDRIPFSWEQVPGKPKDLNTSDDVHDGDTPRPKLPPGGWRRPHDLDDGCEGDDDFDDDVGDVDGDIDNVDYSNDAFSDAIDVFSLSEAIDIVEKKAQREHETTDSLRSKLAEESREMNQKCSNFIIERFLPDATALAASSALQLNESQISSSNELCYPWNYPEPEACVSRTVCRQSYSSPKGCGLHVFFPWRMKHKLCGIKSPVSAQAHCSVKHKKHRS >ONI01805 pep chromosome:Prunus_persica_NCBIv2:G6:14723979:14732363:1 gene:PRUPE_6G160000 transcript:ONI01805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESSSVPLSQAENGILDPEDPAKSPPSSPNSSTRKACCFVLQSWVSKKFMTGCVVLFPVAVTFFVTWWFIQFVDGFFSPLYAQLGIDIFGLGFVTSLLFVFFVGVFVSSWMGATVFSLGEWIIKKMPFVKHIYSASKQISAAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVTLQRDNEDEELCSVFVPTNHLYIGDIFLVNSKEIIRPNLSIREGIEIIVSGGMSMPQIISPQQRIAQQIEKIPLNRIK >ONI02617 pep chromosome:Prunus_persica_NCBIv2:G6:21901683:21905527:1 gene:PRUPE_6G210600 transcript:ONI02617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGWRRAFCTSIPKDRDTKVIIEKQQQQQQQQQQHCNNRSNQQSPKISSKFGFFSNPSTPRLQSQSQPVSSSPGLRCRTTAPSTTITTTNTPTSSLPNSPKLQCNISATTNPKKISSYSPRLLFQFQRSNPSSPKSPSSFSLLKSTLRLSKSRCGICLQSVKSGQGTAIFTAECSHSFHFGCIATHVKKNQLLLCPVCNTTWKELPLISVHNNTNNKQQTQQQVPISSPKKPRDVKTKPLRVYNDDEPLSSPTSGSRFNPIPEENDDENDAVEFQGFFVNPSSKPPSTRSSTDRNVKNVEVSLLPESAVVAVGRSYETYAVVLKIKAPQPIASETASLQRRAPIDLVTVVDVSAGASNAKIQMMKRAMRLIVSSLRDTDRLSIVAFSSTSKRLLPLRRMTSAGRRSARRIVDALCGVGNGMCVNDALKKAAKVLQDRRERNAVASIMLLSEGSQDANQKRSSSPVVSSTRFPHLDIPVHTVGLGDGSDDSLAQCLGGLLSVVVQDLSLQLGVVSGSALAEIAAVYSLTGRPAALGSGSIRLGDLYAGEERELLVELKASASTSTATGGPHSPHNVMSVRSTHRDPSSNELVCSKERVLLVPRSQTVRSASSNPNIERLRNLHVAARAVAESRRFVERSSDFSGAYHLLSSARSLLLQTSSASAEEFLRGLEGEMAELQRRRQVQLQAEVEAKQSKRGGANNGHLEEKQEPLTPTSAWRAAERLAKVATIRKSLNRVSDLHGFENARF >ONI04183 pep chromosome:Prunus_persica_NCBIv2:G6:27688961:27690484:1 gene:PRUPE_6G307100 transcript:ONI04183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGKYYCEYCDKEFQDTPSARRRHLQGLQHLRAKAQWYDSFKDPSHAYTEGLTKGVCNRFVKTGFCPYGDSCRYLHPKNNQQNMVTQGAPGLIDNNQSSTFQENQLVGGSSLPDVVVRDSMGMSWGNLPPSLMPPPEGGYPPLPFVDWG >ONI01813 pep chromosome:Prunus_persica_NCBIv2:G6:14871452:14873092:-1 gene:PRUPE_6G160600 transcript:ONI01813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGGAAAVAPLVADVSSEVKVVLLNNMLLLPILLDPSVLIILVCKSRVCVWCFCSLVLAVGHIVVAYRTSCRERRKLWVYKIDIEAVVVFKVGNFPSLSVYFIELGKK >ONI01028 pep chromosome:Prunus_persica_NCBIv2:G6:8571180:8571639:1 gene:PRUPE_6G117300 transcript:ONI01028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQETLKRLRVSKYQIKKMNSTGTQVQLTSIEPKSEGLLARLLAPPSFHIHINIPSILLEPNSWLIRQPHHQIR >ONH98954 pep chromosome:Prunus_persica_NCBIv2:G6:269965:274279:1 gene:PRUPE_6G001900 transcript:ONH98954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAQFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRGETYEAFENIYPVLTEFRKNQQ >ONH98951 pep chromosome:Prunus_persica_NCBIv2:G6:269965:274279:1 gene:PRUPE_6G001900 transcript:ONH98951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGLEGSQPVDLKKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAQFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSVRGETYEAFENIYPVLTEFRKNQQ >ONH98952 pep chromosome:Prunus_persica_NCBIv2:G6:271497:274279:1 gene:PRUPE_6G001900 transcript:ONH98952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAQFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRGETYEAFENIYPVLTEFRKNQQ >ONH98950 pep chromosome:Prunus_persica_NCBIv2:G6:269965:274279:1 gene:PRUPE_6G001900 transcript:ONH98950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGLEGSQPVDLKKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAQFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRGETYEAFENIYPVLTEFRKNQQ >ONH98953 pep chromosome:Prunus_persica_NCBIv2:G6:269965:274279:1 gene:PRUPE_6G001900 transcript:ONH98953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAQFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRGETYEAFENIYPVLTEFRKNQQ >ONI01990 pep chromosome:Prunus_persica_NCBIv2:G6:17651590:17655198:1 gene:PRUPE_6G171500 transcript:ONI01990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKIGDEFLKAKCLMNCEVALILEHKYDQLQQMSDDPRNQVSQVFEKSLQYVKRFSRYKNPDAVKQVREILSRYQLTEFELCVLGNLCPETVEEAIAMVPSIKAKGRVHDDDAIDKMLNDLALIKKFE >ONI01675 pep chromosome:Prunus_persica_NCBIv2:G6:13265435:13265689:-1 gene:PRUPE_6G152600 transcript:ONI01675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELHAAALAYYSNGSPERQRLAWSFFQSMDTNNDGRISSAEFYEFLQQSGYSWIVNDPSFFTKLDRNRDGGLDFYEVLTYIVI >ONI01889 pep chromosome:Prunus_persica_NCBIv2:G6:16223425:16225125:1 gene:PRUPE_6G165200 transcript:ONI01889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNILFLNRNSQEGHDYSLQAQPGGYLDQSHMLFNNGGGAVNNTINQRKRGRETSAATEISPSILPFSLQQQSQPPPPQLIDLSQLYSNNHDHNNPNVVSTGLRLSFGWLRVGTCTRVWLREGWVTGEGWLKGGVE >ONI00928 pep chromosome:Prunus_persica_NCBIv2:G6:8074349:8076498:1 gene:PRUPE_6G112200 transcript:ONI00928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQRSGPLLSSWQYYCQGKSMEELRHTLLYTTVELEQTRVAVQEELRKRDDQLSHLKDLLNKAIRERDEAQDKCQRLLLEKLLLQQQQQQQQLQLQLQQQQQQQTAPLSGISSIEDEPRRGIDSNNGFSSSDCEESIVSSPVLDPIQPTQLPAGPSVPHQTVELVPEKPLPEKGKLLQAVMKAGPLLSTLLLAGPLPQWRHPPPPLESFEIPPVTIPSPQPPLPTQIIHQDSSLININGCNTNCGIVNRKRAPCDGSDSPSETKFQRVALH >ONI00601 pep chromosome:Prunus_persica_NCBIv2:G6:6776053:6780670:1 gene:PRUPE_6G097300 transcript:ONI00601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPIRYASPDDETKKTTHKRKRGNKPSEESEPRNPYQAQQNEEEEKGEGDDDNKKEKKTKKMKGEEAEANAEGVEEENKRKKKVKSGGGSGIMSRDSFGSLNLSANTFKAIQELNFQYMTQIQARAIPSLLIGKDVLGAARTGSGKTLAFLIPALELLYHTKFTPRNGTGVVVICPTRELAIQTYAVAKDLLKYHSHTVDLVIGGAARRGEAERLVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLIIDEADRILEANFEEEMKQIIKLLPKKRQTALFSATQTTKVHDLARLSLKEHHLIDVDGGRTKVTNEGLKQGYFIVPSEERFILLYSFLVAIRSKKVMVFFSSCNSVKFHSDLLRYVNVDCFDIHGKQKQQRRTKTFFDFCKAEKGILLCTDVAARGLDIPAVDVIVQFDPPDDPKEYIHRVGRTARGEGGKGKAFLFLIPEEMQFIRYLKAEKVPVEEQQINKNKLKNVQSQLEKMVEGNYYLRQAAKEAYKSYLLAYNSHSMKDIFNVHRLDLQAVAASFCFSSLPKVNLNLNSSASKFRKKMHKVGGSRNGFSESNPYGMRKGRDEIRQFVKH >ONI01780 pep chromosome:Prunus_persica_NCBIv2:G6:14199350:14202518:1 gene:PRUPE_6G158000 transcript:ONI01780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFRKLNRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEIRREADKMVQLGKDGSLCAVRRAAAFVRGDDVMHKLFTELAYRYKDRTGGYTRLLRTRIRVGDAAPMAYIEFIDRENELRQSKPPAPQPQRVPLDPWARSKLCKQFAPPKEDKSSDI >ONI01781 pep chromosome:Prunus_persica_NCBIv2:G6:14199423:14201319:1 gene:PRUPE_6G158000 transcript:ONI01781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFRKLNRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEIRREADKMVQLGKDGSLCAVRRAAAFVRGDDVMHKLFTELAYRYKDRTGGYTRLLRTRIRVGDAAPMAYIE >ONI01782 pep chromosome:Prunus_persica_NCBIv2:G6:14199423:14202224:1 gene:PRUPE_6G158000 transcript:ONI01782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFRKLNRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEIRREADKMVQLGKDGSLCAVRRAAAFVRGDDVMHKLFTELAYRYK >ONI02171 pep chromosome:Prunus_persica_NCBIv2:G6:18763933:18766327:-1 gene:PRUPE_6G181000 transcript:ONI02171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEECIDNPIEEEPEEMGYAGEISNDVQNSEDLHSKQDSDDECDENREVTSNRRKINVPNFRQWRREAMLKNPTFDIGMHFANKTVFKEAVQHYTCMVGCLFVIFASRIDDSPTMVIKTLNLEHECSRDQRNYWLNSTFFAQRYASQLRADPDWSVPGFTAAIQRDFGLEPSMQQIYRAKLKARNLNKGSFVEQFHKLHDYCEELKRANPGSTVLLKTEMDGDQRRFHTLYICLEACKTGFLQGCRPIIGMDGCFIKGPHPGQLLTVVGIDGNNGMFPLAYAVVEIENKETWEWFIRNLIADLAIENGHGYAFISDKQKGLGLVLGDLLPNAEHRHCVRRFYNNFKISHSGLTLKQIMWDAARATTIPWWQCHMERMKQESEVAWKWLHPKSAVHWSRSHFRTQYKCDILLNNLCEAFNSSILKARDKPILSMLEGIRTNLMVRMANRWVVAWKWKRHVGPRIEQIMEKNKLEAGYCFPTLSGDMKYQVTNMHGGQFAVDLAIKTCSCRRWDLCGLPCPHAISCILRRKHDPYSYVDECYKREAYLKCYNPIISPMPSMDQWLSTGPHPLLPPLFKKQTGRPRKKRAREQGDXLAATKGNKLSKWVHDKFSCSKCGQAGHNKKTCGKLTSQQVARGGRKMQQSRSNSNAPAGTSISQPSLAVVRFSQPSPAPQVASQPPIAHNSSSQQAVQRRKLKSPAKKGRPSRV >ONI01711 pep chromosome:Prunus_persica_NCBIv2:G6:13573957:13577143:-1 gene:PRUPE_6G155400 transcript:ONI01711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVCSGWRRFLIFLPLIFILPHFFSVKQLHYNSNVEDLPKKKQGNKSNHLVLGPAAGQGLRNRLQCQGIKALNQTHSSASSHVSSGVDDIAFVTVFTIYNSTVNGRADDQLSHLVTVGNASYTKVERSMAILNVFINFIQVSMPRSNVVILTDPESDLSMHRNGVTVHPIQGEYSRDQLMLQRIRSYITFLETKLEELSQKQGHNHHYIFTDSDIAVVDDLGYIFREYPNFHLALTFRNNKAQPLNSGFIAVRGTSDGILRAKIFLQEVLKVYGSRYMGASRMLGDQLALAWVVKSHPSFDAKKFTKALAFIEDIGDASVLFLPCALYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLESWNFYNSYSDISDMLCLILKSGRTKYDF >ONI03087 pep chromosome:Prunus_persica_NCBIv2:G6:23778506:23779943:-1 gene:PRUPE_6G237600 transcript:ONI03087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKALKSQILHGSLARRVFFRAFFIAAAMSVIPLIHILSGPYITMFTFVNPGDCASEMGHTHVDLAPGKFNFQSRYLNPFWGSFDSDRCMQDVNLTVSVVRELMGKQLLNYGTKALCIGEGSASTVLALQDLGFPNARGVYERRFFSLKRKQFVYEIDYEDKSFDFVLSRDLDKVSVPALLVLEIERVLSPGGIGAILVGSSISAPNSLIRSATPISSLLKSSSVVHVNHIGNFTLVVFKKNYENAGLFEKYRLPADCQSLTNNRPFIGKMEPLVKEKPVDYGKKFNYLPNIVDLSSKKRLVYVDIGAAEHLNSNAKNWFLPSYPVERKAFHTYFVDHNTSVLLSYVKKPGITFVYHPGLAGIKAQVNVTTDGETEPYVGDEGFDFHAWFKETVQFADFVVLKMNAGNVELKFLTELFESGAICFVDELFLHCSGSVDAGGAMPVDCMDIFGSLRSSGVFVHQWWGDGNPVDVL >ONI04397 pep chromosome:Prunus_persica_NCBIv2:G6:28314179:28315496:-1 gene:PRUPE_6G319300 transcript:ONI04397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEEMALHRILPRLPSKSLIRFKCVRKSWYNLIDSPTFVENHLSISMHKIHSTCVLFNRFIYSDANTGEKELAFSFLYLRNDYDDDAENNVNFVVEDIKFPLSSRQYIGLGVIESLCMAGHCHGIVCLSESNSNLVLCNPGIKKIKLLPKSCLPDWWGCAVGFGYDPKSKDYKVSRIASYQADIYGDGLIPPPRVEIYTVSTDSWREIKNNSLETEATFFCPDYFQMYFQGICYWVGFEQPKQSVEYEDEEQKPMVFLFYTGDEIFHNILLPDSFYTYEDGSSYAYEMSYIMYTDLRIILWNGVIALFGFNRFSAFPDSYGVWVLDDGAKGSWTQHLTFEPLVGIKRVLEFWKSDEILMVTEDGDIVSYNLATEKLKNLPRNSSSDFETIVYVNSLVSITGRQQA >ONH99914 pep chromosome:Prunus_persica_NCBIv2:G6:4012836:4014128:1 gene:PRUPE_6G057300 transcript:ONH99914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYSGNRLVGFRFHPTDQELISYFLYKKVIAKQPLMALYSNIVHDFNLLGETEPWVVWDIFGGPDLIDEDLYFFSELKNLSPKGSRIKRKIEAGGTWSEAFSKKVYDETTGNPIGRKRNLRYENEGCEHHGKWLLEEYSLVVDQETQKIVLCRLKKNNRVWNKINNSTQELKEKPSNKKARKGLGSPRIKIEDEEPHRTSGLSTVYNNLDQELIIDTNYNAISNNICGDNQDNMLITSDFASAPVCYDYGGLIDEEYIFDVNELLATVEPQPLSLELPQMAETLEPLLSSDRTVDAWNSSGLENIYATNKKTSHIGSTVEKYFGNL >ONI02488 pep chromosome:Prunus_persica_NCBIv2:G6:20946955:20952215:-1 gene:PRUPE_6G201700 transcript:ONI02488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVCEELDEVKAELEKVRAEYKSKAELSENLRKAHNEQLTKFQQASSKIEKQAQELNEKAEEISVAQQMCEELKCSLKDKESIVQHLRAANDKLRVGCDEKYRKLEDTNRVMVLALDEANEKKMDQEKTIRAYREEIEGLKGRLSVSQKKCSEAEKKANAPKELRERDDVILKLEEDNQKVQDQLKWKKEQFRHLEEAHDKLREQFKASKKEWEMEKSSLLDEICSLQTSLDSQTRISDDLQNRLQRCNQALAHEESRRKYLEVQVSEFQTRFENVFSEREDAKSQLECLTAQRDKQIASLRQSLSTKETFYKEMEYQTGKLQQENQELRISLKELQEAQIQAAPGSPSLTKLRNKLKSLEQMHRDCIASHRAKEAEWSSRLESMTGELNKHKSELGSKDAAISGLRMELEQMLRDCTSNLKAKEYECSSQLEKMRVELNNSRSELESRDAALKELRMEVEQMHSDSTTKEAEGAEWNSQLEKMTSDVRNYLSELEYKDGMIKELKMELEACHSLSMQLKLENEELSVMLLVLKLGISEAQLKIVNGKFEMDLREKEREQNISQLMQQLEGKNAVLTTVQRGVKEECEKDELHLNQQVSQMESVLERELREVNDAIERTNTELAEKICEGNEIEFELEIWKSIAERLRSDLEVSLGMRKELEASLLAQVDVGETIKQQKNGLFCIFEEKDKIIDNLQQKIVLLEQKLEMLDTKDANSVKTETEMSFESENSIFLQIAREKDKNLEQLEKEISWLEQESLRIEFTGAVMAQIDAERTFEHEKAKLIQRVEQKYQRVNDLMQLVESLEHKFNCSLDSFSSQLAEKQAEIDLIHEAWEKITAAEVMAALEIEERKLMALELEEEICNIQQKLESQQTSLCESKQQALEAEAELETKELEVKRLTNQMKTKLINSDALIEELKSERRNLLEDVIKLSSEKENLLVFIGGLGDKIGEFSSTDKQLTSMLDKIMLSFDNKGSRMDLKWNDELVDPEQENVCTPTVMKISEAISDRRSPFRDLNN >ONI02055 pep chromosome:Prunus_persica_NCBIv2:G6:17905531:17905936:1 gene:PRUPE_6G174600 transcript:ONI02055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAHSSSSSRVPTCGNCRHDPNTKRGVLRTCIDCFLKGYHLLHFEYEKGICSIECCYSNDENVRCATKIFNKDKVHGKGFGDYDLLYNNCENFASYCKRGTLVSE >ONI01684 pep chromosome:Prunus_persica_NCBIv2:G6:13345018:13349435:-1 gene:PRUPE_6G153500 transcript:ONI01684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELNWRHQTVIQALLSRGPLKEDQFHRIFTGLTGKTPGSDRQRFDDFLLKINKALSYVQFELRGCRFQYDGQVYYGVVNNVSDEESKLGTKYSVAQIAFYKAIIEAIVQDGAAQGTISNIDALNLRLENQVLMGTTSQSHGGLPHVPPALKNFSISMKEKTLDELVQDQWLSVTPDNYIGLGVRSFLDLRSWFRSNDVPACEVCNEAGVKAALCQKEGCIARIHEYCLKKLFSERKGERVCPSCGTQWQYTVTKAEAVEDDEPNYPTQSQPPVGPKKKRLRRNEIGDGDISGSSQASLPGGPNLRRSTRSSIRLQ >ONI02497 pep chromosome:Prunus_persica_NCBIv2:G6:20971905:20976562:1 gene:PRUPE_6G202100 transcript:ONI02497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPDLDPSSSSIFSQQNSRLPDDTVFYAIFPDSSLTSSSTTASLQSLHLQILKTLTPFTADYIWQHEPFTLFPSTLPKPPCVCSLDHHLPHLHGKLRVADNFEDEWFTVFLLFHISTAFPDVSIRVWDSDGEFLLIEAAYHLPRWLNPQNSLNRVFIRHGDLHIVPKSRLPNPTITESLNFIVNFGQESVAPEPVQLAVKNRISEYPEKARRNMHVVRVRVPASVAQVLSQEPCLIALAVEGFYDRDIDTMKYAAKMERFLSRGREEELVCVAVKMSRAMYAQLVQQAFQAPKCYPMLNRSEDRVAYLEAELGMKIACGLEMMYQQRRKEGLEGKGSSWIAFRESLERSGYFEGLIPGSKEYQRLLQNAEEYYRSSASFSRTSEMMSAPVRRIDEILALPYTADDFRGQEVPPSDDDSWLYNGEEELNSELLERQKEIEHYNSKKKKNQKSKEQDDAGPSSSSNIDGFNPGDIAKTMQAFVQKMSSYEGAEVPENRNLKEVDFDADRFFKDVESMMKCHGDENATSDDDIEEGSSSDLDFDESEDESEEGEDTFMHSYSDALNEELKATTLKKSFVRADEQAPKKDEGTSNATEDMEEDFTPVDVDVNLVKNFLDSFSSQQGLPGPASNLLGLMGLQLPKDDDNNKNK >ONI04986 pep chromosome:Prunus_persica_NCBIv2:G6:29887111:29891152:1 gene:PRUPE_6G350600 transcript:ONI04986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNESNISLGTERTVKSIESMEDFSKYSHSPAHLAVARRDCASLRRIISTLPRLSKASEVSTEAESHEAELRADAVSAVIDRRDVPGRETPLHLAVRLRDPTSAEILMAAGADWSLQNENGWSALQEAVCTREEAIAMIIARHYQPLAWAKWCRRLPRIVASTARIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNVNLAPGSLIVLAHKEKEITNALEGAGAQPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDDEERVANGGDNDEYDDVLTAEEKMQLDSALRGNSDGACEDEENGVSDCHENGLGGSYENCESNGVVKEKKSWFGWNKKSSKGNDDSEDPKILKKFSKLAPEGGNQKSLDHQKPSSEFPRDDIADAKKGKDKNSKKKKKKVASDAKHESEYKKGLRPVLWLTPDFPLKTDELLPLLDILANKVKAIRRLRELLTTKLPHGTFPVKVAIPIVPTIRVLVTFTKFEELQPLEEFSTPLSSPAHFQDAKSKESEGSSSWISWVRGGRGGQSSDSDSHRYKDEIDPFLIPLDYTWVDANEKKRRMKAKKAKSKKHRKHATAKASDGGHQASEDVEE >ONI01109 pep chromosome:Prunus_persica_NCBIv2:G6:9103447:9103824:-1 gene:PRUPE_6G122300 transcript:ONI01109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHILFLCPQVKGVWFGAPLSYEPEIQRISKFDVWLLALSSNYSIHNHVRKEVLASVSIICWEIWKERCNAFLLTFPSRSWYCAQEINILPHLGRWIQNIPTFGLKQDPIIISAFTSYKYLKNHI >ONI01580 pep chromosome:Prunus_persica_NCBIv2:G6:12345412:12347215:-1 gene:PRUPE_6G147500 transcript:ONI01580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSNLMLKSLRLTIFHASMLVPSLVRLPRSGAASSPHLSRCLHRSKDEDRSRQPVYLLGKRVIPTDVLEAKSRIGPTSLTPLDTRRVALRQAKGLFAQVKGSLKLEKKNDVFTNLLLMEQKHLEACHKSVQKEKNQRLPVCRG >ONI02997 pep chromosome:Prunus_persica_NCBIv2:G6:23489981:23490760:1 gene:PRUPE_6G232300 transcript:ONI02997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKIRSKKPDDIRGLVMLRLLIRKLVQKGLSILSARGLHHHNDFDEATVVPEDVKEGHFAVFAVKGKEAERFVVKLESLQNPEFLRLLEEAEEEYGFEQKGALAVPCRPEELHKILENRREKNSSNNNNINLRVIPGC >ONH99196 pep chromosome:Prunus_persica_NCBIv2:G6:1241699:1244122:-1 gene:PRUPE_6G017200 transcript:ONH99196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTVRLLLFSCLFLLPVSVFAQTNGSIAVGDSLTATAANSSPWLSPSGDFAFGFFPLGSNGLFLLSIWYAKIPDRTIVWYADRDNEAAVAPKGSTVNLTANSGLVLKSPQGEELWKSEISVGVVANGVMNDTGNFVLQDRNSESLWETFNNPTDTLLPGQKIERSGTLSSRQSETNYLKGRFQLLLQGDGNLVISTINLPTEFANEPYYSTDTTSGTVAGSEGKELVFNVSGYLYVLRENGGKYNLAGEEVVSARDNYIRATLNFDGIFAQYYHPKNFTGNVSWTLRWSEPDDICQRNREDSGVGVCGYNSICTLKDKRPTCGCPKGFSLLDPNDPYRGCKPDFIQGCEEDELSGTKYLYDVEVLTNTDWPTSDYVKVYPFTAERCNESCFQDCLCAVAIFRSETCWKKKLPLSNGRVDVNLNSQAFIKVRKDNSTLQFPPMPNPDDKKTKKKSSNTVICVESIILAASIFVSFMFSAAACRGFFFVFRKKHVRSVENILDSNLHSFSYQELQEATNGFTEELGRGTFGVVYKGTIQIGSGVQVAVKKLNGVIQDGEKEFKTELRVIGKTHHKNLVCLVGYCDEGQHRLLVYEFLSKGTLASFLFTDIKPSWRQRIEIAYGVSRGLLYSHEDCGTQIIHCDIKPQNILLDDYYTARISDFGLAKLLMMNQSKTHTAIRGTKGYVAPEWFRNMPITTKVDVYSFGVVLLEIICCRRSVDVENNCEEKVILTDWVYDCYLEGELDAVVDYEVQALGDKTTLEKFVMVAIWCIQEDPSVRPNMKKVVQMLEGVVEVKVPPCPSAFVSQV >ONI02030 pep chromosome:Prunus_persica_NCBIv2:G6:17858121:17858539:1 gene:PRUPE_6G173700 transcript:ONI02030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWGAFFVSFVEQLERMLSVFKSERSAFGGNSILCKLSDFVVKPLYQLTYSKVQMFFSFSGRTLRFSHL >ONI03784 pep chromosome:Prunus_persica_NCBIv2:G6:26302830:26303770:1 gene:PRUPE_6G281700 transcript:ONI03784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEEFRLVLPGVDHEGRLPRKYTGEGQGAKKNISPPIEWYNVPQGTKSLALVVQDIDAPDPNGPIVPWTHWVVVNIPPTVKKLPEGFSGKEEEVGGDYGGIKEGNNDWKVPGWRGPKLPNHGHRFEFKLYALDDELHFGHKVTKERVLEAIQGHVLGEAVLMAIF >ONI01209 pep chromosome:Prunus_persica_NCBIv2:G6:9913853:9915461:-1 gene:PRUPE_6G128200 transcript:ONI01209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKNTCLDVHAALIVTLILLTAAIAVANDDTPVPADYSQVNTWFNNNVKSYKERQGTLDPALVTAEVGQTVVKVMKDGSGEFKTITDAVNSIPADNTKRVIVYIGGGEYNEKITIPRNKPFVTFYGSPTNMPTLTFSSGAPKYGTMDSATVIAESDYFVAVNLIIKNSSPKPARNSVGQQALALRVSGTKSALFNCRLIGFQDTLCDDKGNHFFKDCFIEGTVDFIFGSGKSLYLNTELHVLGDNEMTVITAQARDSASEDTGYSFVHCNITGTGNGTYLGRAWRISPRVVFAYTGMSEVITPAGWNNKNRPERDSTVFYGEYKCSGPGSNMVGRVKYAKQLNEEQIKPFLNLGYIQGSKWLLPPPNPKV >ONH99020 pep chromosome:Prunus_persica_NCBIv2:G6:496537:500461:-1 gene:PRUPE_6G005400 transcript:ONH99020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISFSCPFAEHTDLESGLESIIVKSISFGDDEVKTPVRSVSFKSGDTEPTIMKSLGSGKMTLETSVSFKGNELEKMVLVKVPSLEKSMSTASLSPLIKEMNIAPISPISKEMNFQSPRSDSSLGMIQPLQFPHPASPKHMAAIKLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKRSSISFFEIEKHETAISRWSRARTRAAKVGKGLSKNEKAQKLALQHWLEAIDPRHRYGHNLHFYYVKWLHCQSREPFFYWLDIGEGKEVNLVEKCPRSKLQQQCIKYLGPMERMAYEVVVEDGKFFYKQSGQVLHTTEEFNDAKWIFVLSASRTLYVGKKKKGTFQHSSFLAGGATSAAGRLVVEHGIIKAVWPHSGHYRPTEENFRDFVSFLEENKLDLTDVKLSPVEEEEEGSLSKKRSSAHIRCNSSEGDFIESECELKTKETIVEDLTRDETDSMVQAAAAMDSHMSSRLCSVSRKLSNLAIPNRERFFVTSETQPAASSCSSIPVESPVDGYETAEENLSSEQDYMVPKKNLFEENHEETEEENIPQESILKRINSHKGMKSFQLGKQLSCKWTTGAGPRIGCVRDYPSELQFRALEHVSLSPRSAARSRSYFSPQITSSLSPRVLTPTKCGGEMEAIISSPALERLKSRTQSSPLFTGSRASAIANVS >ONH99477 pep chromosome:Prunus_persica_NCBIv2:G6:2466890:2474737:1 gene:PRUPE_6G032100 transcript:ONH99477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGNPNPPPQQQPQPFDMQKFFKPTNPTPLSPQSQTQNNSNQNPNPNLSSSSFPIPPASFPPPSGPYSYPPQTAPFHHHQFQYHPHPQAHPHSNHPQIPYSPQDHHLLHQRSLSFPTPPLQPPSTYNIATAPSNPSNNNSSTSNSNPNSGARIMALLGAPSGNLELSAAQPELSVPPGVSIVPTIPMGIPPTGPTRMPSNKLPKGRHLIGDNVVYDVDVRLQGEFQPQLEVTPITKYGSDPQLVLGRQIAVNKSYICYGLKQGNIRVLNIHTALRSLFRAHTQRVTDMAFFAEDVHLLASVSVEGRLFVWKISEGPDEEGTPQITGKVVIAIQIVGEGEAVHPRVCWHCHKQEVLVVGFGKRVLRIDTTKVVKGEVPSADEPLKCPVEKLIDGVQFVGKHDGEVTDLSMCQWMTTRLVSASMDGTIKIWEDRKAQPLLVLRPYDGHPVYSATFVTAPHRPDHIILITVGPLNREVKIWSSASEEGWLLPSDAESWKCTQTLELKSSAEPRVEEAFFNQVIALSQAGLLLLANAKKNAIYAVHLEFGPDPAATRMDYIAEFTVTMPILSFTGTSISPHGEQIVQVYCVQTLAIQQYALELSKCLPPPLDNVGLEKSDSNISREPSGAEGFALDLSGSKPTEMLLANSNSALKQTIQDSSSEGAVSMRYPVSSSSVEATTSKDITTSSTESRPVAMASATSDSDVVFVASPPIPLSPRLSRKLSGLRSPTDGSDPGRTLNEHGGDQQVNDYSVDRQLDSVRSNLSDVPAVDDDSRNIEQKVGQDDLSSVLNSPIMFKHPTHLITPSEILMAASSSEGTNPIDSKNEGEANIQDVVVNSDMGNAEVEIKVVGEARSTQNDEFGSQGEPQNVISENKEKFFCSQASDLGIEMARECCAISAETYTTDEARQVDDSSMTEPLAQSNAGDEDQESAKDASGPCTTPPVFQSHTQTTKVKKQKWKNSQASGQSSPSPSVLNSIDSINEPGGSSSPPSAEAAFPQIMAMQDTINQLLTMQKELQKQMTMMVAVPVTKEGRRLEAALGRSMEKAVKANNDALWARFQEENAKNEKLLRDRNQQITSLINNFMNKDFPVMLEKMVKKELAVVGPAVARAITPAIEKAIPPAISDSFQRGVGDKAVNQLEKSVNSKLEATVSRQIQAQFQTSGKQALQDALKSSMEASVVPAFEKSCKAMFEQVDATFQKGMLEHTNAAQQHFDSAHSPLALALREAISSASSVTQTLSGEVADGQRKLIALAAARTSSSAVNPLVTQLTNGPLGGLHEKVEVPLDPTKELSRLVSERKYEEAFTGALQRSDVTIVSWLCSQVDLHGVLLLNPLPLSQGVLLSLLQQLACDISNDTSRKVAWMTDVAAAINPVNQMIAVHVRPVFEQVYQILHHQHSLPTISSAEHTSIRLLMHVINSMLMACK >ONI02559 pep chromosome:Prunus_persica_NCBIv2:G6:21424501:21424704:-1 gene:PRUPE_6G206000 transcript:ONI02559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IATVEGSKCCTDHPELGNCVPGADDNPNGGKCWTFCTSDCEKGGICKLFGDRHHCHCLCWFFFNPIP >ONI02309 pep chromosome:Prunus_persica_NCBIv2:G6:19753523:19756050:-1 gene:PRUPE_6G190100 transcript:ONI02309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVREATKKLHAVCVPFPAQGHVSPVMQLAKLLHSRGFHITFVNTEFNHRRLIRSKGPDSVKGLPDFQFETIPDGLPPSDKDATQDVPALCDSTRKTCLGPFKELVTKINSSSQVPQVTCIVADGITGFGRQAAQELGIPEVQFWTASACGFLGYLQYSELVKRGIVPFKEETFLHDGTLDTPIDWIPGMKNVRLRDIPSFIRVTDVNDIMFDFLGSESRNCLKSSAIIFNTYDEFEHEVLEVISTIFPNIYTIGPLKLLERHLSKTETKLVESLSSNLWKEDTECLKWLDQKKPSSVVYVNYGSITTMTDQHLKEFAWGLANSKHPFLWIVRPDVVEGDSAILPNEFFEEIKERGYIASWCPQDQVLAHPSVGAFLTHTGWNSTLESVSEGVPVICWPFFADQHTNCRYACTEWGIGMEVSPDVKRDEIEVLVKEILEGEKGMKMRQKAKEWKKKAVQATDIGGSSYNNFDRLIKSLH >ONI02574 pep chromosome:Prunus_persica_NCBIv2:G6:21610714:21613196:-1 gene:PRUPE_6G207500 transcript:ONI02574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSTEQEHPKKAFGWAARDSSGVLSPFKFSRRETGEKDVSFKVLYCGICHSDLHMVKNEWGSSTYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCMVGSCHSCDSCANNLENYCPKMILTYGSKYLDGTTTYGGYSDIMVADEHFIVRVPDSLPLDGAAPLLCAGITTYSPLRYFGLDKPGMHVGVVGLGGLGHVAVKFAKAMGVKVTVISTSPNKKEEAIDHLHADSFLVSRDQDQMQAALGTMDGIIDTVSAVHPLLPLIGLLKSHGKLVMVGAPEKPLELPAFPLLMGRKIVGGSCIGGMKETQEMIDFAAKHNITADIEVIPIDYLNTAMERLVKADVRYRFVIDIGNTLKSSS >ONI02313 pep chromosome:Prunus_persica_NCBIv2:G6:19775526:19778399:-1 gene:PRUPE_6G190500 transcript:ONI02313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKELLPPAQSTTTTYYDHSNDPWFKQRFSSAEEEKSSVIKPNPVPPYLKRQGFVPRKVEDFGDGGAFPEIHIAQYPLGMGRDKSTKLGTKILPVTVDAHGNIAYDAIVKQNENSKKIVYSQHKDLVPKILKNEEEEEDDDEEEELQKEIEETTAETKAALEKIVNVRLSAAQPKNVPKQSSDSKFIKYKPSQKSVAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSESLYVAEQKAREAVAMRSKVQKEMIMKEKEKKEQELRALAQKARSERTGAAPPASVPMPSDKTTMDTDMRGDYERPREKETDYPKETREEREERLQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASAGAGRGGEVMYDQRLFNQEKGMDSGFATDDQYNVYDRGLFTAQPTLSTLYRPKKDADADMYGGADEQMDKIMKTDRFKPDKGFGGAAERSGPRDRPVEFEKDVEEADPFGLDQFLTEVKKGKKAMEKVGTGGTMRASAGSSMRDGHEGGGSSRTRIGFERGR >ONH99993 pep chromosome:Prunus_persica_NCBIv2:G6:4257733:4261724:-1 gene:PRUPE_6G061300 transcript:ONH99993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVRVIRALVLVACLLFPASVECMVRHYKFNVVQKKTSRLCSSKPIVTVNGRYPGPTIYAREDDTVLIKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTITGQRGTLWWHAHILWLRATLHGALVILPKLGVPYPFPAPQKEVVVILGEWWKSDVESVINQALKSGSAPNVSDAHTINGHSGPLSTCSSQGGFKLPVRPGKTYMLRIINAALNEELFFKIANHKLTVVEVDAVYTKPFKTDTVLIAPGQTTNVLLSTNHGTGKYLVAASPFMDNPTILVDNKTATATLHYTGTPESTRTTLTAPPPQNATLVATKFTNSLRSLNSVKFPARVPLKIDHSLLFTVGLGLHTCASCANGNRVVADINNVTFVMPKISLLQAHFFNISGVFTDDFPGNPLNPYNYTGPQPTNMQTMKGTRLYRLAYNSTVQLVLQDTGMIAPENHPVHLHGFNFFEVGRGLGNFNPKTDPKRFNLIDPVERNTIGVPSGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGPNESVLPPPSDLPKC >ONI05114 pep chromosome:Prunus_persica_NCBIv2:G6:30246171:30246578:1 gene:PRUPE_6G356700 transcript:ONI05114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHHIHTPAATLDDDDDVEETATLSHTQNSAASSCSGRLSHLPTGGEDEEDPTTLIRVRAQQHPPVTYLMGPNSLLQHVLRNYDTRNSLLYESKRFLYNGNRVRQNHTPADLGMEDGDAIDAMSHMLGGGAAVT >ONI01999 pep chromosome:Prunus_persica_NCBIv2:G6:17705795:17708048:-1 gene:PRUPE_6G172000 transcript:ONI01999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRKLDANNTESSEPSKKQQPVVEEEEPKPQNEPAEEVVEEEEEEEVEEEEEEEDEQEEDKEDEGEEEEEDEDEEEPGVEYKQNENVTTTSTSAASDLAGDGADEDEPIQNLLEPFSKDQLIALLREAADTHGDVSDRIRKVADEDPIHRKIFVHGLGWDTNAETLTSVFKEYGEIEDCKAVCDKVSGKSKGYGFILFKTRSGARKALKQPQKKIGNRMTACQLAAIGPGPAPSTAAAVPVAVQAAQSQTVSEYTQRKIYVSNVGADLEPQKLLMFFSRFGEIEEGPLGLDKMTGRPKGFCLFVYKSPESAKRALEEPHKNFDGHILHCQKAIDGPKPVKSQHPNQHHHKSHNSKFQRNENPGYVGGAAAGMGHLMAPASGGIGFNQGAAAAQALNPALGQALTALFATQGAGLGLTNLLGTFGTAPNVNPGVPGAGHAIQGGYANQASISPGMMGSYGNQGAMQGGYPNPQIGQGGSGRGQHGVGQSGGAPYLGH >ONI02000 pep chromosome:Prunus_persica_NCBIv2:G6:17703282:17708034:-1 gene:PRUPE_6G172000 transcript:ONI02000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRKLDANNTESSEPSKKQQPVVEEEEPKPQNEPAEEVVEEEEEEEVEEEEEEEDEQEEDKEDEGEEEEEDEDEEEPGVEYKQNENVTTTSTSAASDLAGDGADEDEPIQNLLEPFSKDQLIALLREAADTHGDVSDRIRKVADEDPIHRKIFVHGLGWDTNAETLTSVFKEYGEIEDCKAVCDKVSGKSKGYGFILFKTRSGARKALKQPQKKIGNRMTACQLAAIGPGPAPSTAAAVPVAVQAAQSQTVSEYTQRKIYVSNVGADLEPQKLLMFFSRFGEIEEGPLGLDKMTGRPKGFCLFVYKSPESAKRALEEPHKNFDGHILHCQKAIDGPKPVKSQHPNQHHHKSHNSKFQRNENPGYVGGAAAGMGHLMAPASGGIGFNQGAAAAQALNPALGQALTALFATQGAGLGLTNLLGTFGTAPNVNPGVPGAGHAIQGGYANQASISPGMMGSYGNQGAMQGGYPNPQIGQGGSGRGQHGVGQSGGAPYLGH >ONI01958 pep chromosome:Prunus_persica_NCBIv2:G6:17411715:17414143:1 gene:PRUPE_6G168900 transcript:ONI01958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAPPPPPIQSKTKFQLNRRFAASAAGFLRPDSQQRAARFPSSPFSVQKTSYRTPSPILSAAVKEQKAGAVTESESKPVRIVALVGQGTLSPLKATPWEEVMLHTAKRLKWVDEGYEMLVFTDDICQSGNENAVNFMRELRRADILVIVSVTNQDSVNWIQTKSENVGNIICFDSSPNLSNKLGGSYIHKETKGNIFGKIFDTSQSKDSESVEVVQTVSQAWDRHNTDDIRFCLLVIINAYIRPVPILKNLRSKGLSTLSCMAKNCGPQILNCLLDPNCRKALQCLNQCSPVDQVCNYRCIASYESPNLEAFSLCVLQKNNCLGLDAKIPDKPYVPPMFKFQGKDLCHETAEDLFVGWLGSLNWSWRVVAGQNPAYDQFPCQYQLFYRGKARGSFWYEPVFQVRTLEGKMVWRRRKYRVKRSKIPGTFNFSVLDNGVISNEFWTVVDVPDDLSWGLFYYSGAARAAGQCYTGAVLVSPDGAYPNNMHKGRLAAALEKCGIKEWELYTVNNSSCLNPPLGIPEGSSLHSVIQVKNQTGISMVGLADA >ONI03880 pep chromosome:Prunus_persica_NCBIv2:G6:26644018:26644744:1 gene:PRUPE_6G288200 transcript:ONI03880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKWAILSVRPNLVFGHTAPQTSTLLFPSSNFLQSAPSGPSVAPIFLWLAERIFPRAVDLFTLNAAEIRKPFPPFFYINKGARFFHTGFSGITRKKDTDEEEEEPNFVLDCICSRRYISF >ONI01983 pep chromosome:Prunus_persica_NCBIv2:G6:17583093:17583479:-1 gene:PRUPE_6G170800 transcript:ONI01983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFTGYQTSAILIFVIATMMILPGLATLAPSPSNFMFLQECKSRLHARCGKEIFITIIKEWSISDRCCMEFVSMGQSCHFALVNKALSGPLSKLNKSDALTKSAEIWNQCFELSQFLSPATSPSSEE >ONI01193 pep chromosome:Prunus_persica_NCBIv2:G6:9816599:9820336:1 gene:PRUPE_6G127000 transcript:ONI01193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKPALRTLAQSYTNQTLKQYQLSYHSKPLCHLHAIAVSPKSESFVSESRKSVIAHHLLDKTPQRDLLEYNHLLFEYFRNDRNNEAINLFVGLWRSGLQANGSILSSVLKVCGCLSDQVAGRQLHCQCVKSGCAEDVSVGTSLVDMYMKTEGVRDGRKVFDEMGDRTVVSWTSLIAGYARNGLNDQALELFSEMRLQGNKPNPHTFVTVLGVLAAKGMVEKGSQVHTMVIKNGFESITFVCNSLINMYLKSGIVKDAKAVFDCMPNRDAVTWNSLIAGYVINGLDLEAFEMFNQMGLAGVKFTQPIFVTVIKLCANYKELVFARQLQCCVLKSGLAFDRNIKTALMVAYSKCSEMDDAYKIFSMMQGFQSVVTWTAMISGYLQNGGTEHAVKLFCQMSREGIKPNDFTYSAILMARPSFSIGQVHAQVIKTNYEKSPSVGTSLIDAYVKMQNVHEAEKVFHIIDEKDIVAWSAMLSGYAQIGDTEGAVKIYLQLAREGVIPNEFTLSSIINACAAPTAAVEQGKQFHACSIKLRLNNTLCLSSALVTMYAKRGNIDSANEVFKRQGERDLVSWNSMISGYAQHGNGKKVLEVFEDMRRQNLEMDGITFIIMISACTHAGLVDEGKKYFNIMVQDYHIDPTTEHYSCMVDLYSRAGNLEKAMDIINGMPFEAGANAWRALLGACRIHRNIELGKLAAEKLIALQPQDSAAYVLLSNIYATAGNWQERAKVRKLMDERNVKKQPGYSWIEVKNKTYSFLAGDLSHPMSDLIYSKLEELNNRLSDMGYQPDTNYVLHDVEEEHKAAFLSQHSERLAIAFGLIAKPPGSTIQILKNLRVCGDCHTVIKLISVIEARDIVVRDSNRFHHFKDGLCSCGDYW >ONI00121 pep chromosome:Prunus_persica_NCBIv2:G6:4726108:4728604:-1 gene:PRUPE_6G068900 transcript:ONI00121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQANSNGKDSRWSLHGMTALVTGGTKGIGYAIVEELAGLGATIHTCSRNEVQLNDCLSQWKMKGFDQVTGSVCDVVSKAQREELINKVSSLFHGKLNIFINNVGTAELKPAIESTTEDYSCIMSTNLESAYHFSQLAYPLLKVSGAGNIIFMSSVAGVVSVGTAGSIYGATKGAMNQLAKNLACEWAKDNIRTNSVAPWFIRTPLTEPLLSNQKFLEAVNSRCPLGRTGEPKEVSALVAFLCFPAASYITGQTICVDGGFTVNGLLFQGP >ONI05063 pep chromosome:Prunus_persica_NCBIv2:G6:30128043:30128659:1 gene:PRUPE_6G354400 transcript:ONI05063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHKSLQRLRLYMDAVNERNQREENKIANEIGECYPIMSISNVKEMFAVITLRKSKKWRGSVSIIAEVTPDEREKIRKLAKASNIGIINDNEASRSGKGEGGSKSGSGQGDGGSGNGSEAPQGGKGGGGGGTKTRCYGLFTCFGF >ONI03863 pep chromosome:Prunus_persica_NCBIv2:G6:26544259:26545672:-1 gene:PRUPE_6G286700 transcript:ONI03863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVIVVSIRESAVVRPAEESTTPQGSLWFSNSDLAFNNYHASAVYFYRPSASSDLNFFNSGLLNQALSKALLNHHNGRLEIDCNSQGVLFAVEESNCALDDFGDFAPTSDFRTLIPAVDYSGGISSYPILVLQTLLRARNPPQPTFPRIEYQPSPQMKLPCHHLKNINTIASIFRFTREQLNILKANSFEMLAGHIWRCACKARKLADDQDTKVLIPINGRSKLQLPLPPGFFGNVAFRAAPIAAAGDLIDDDYLKSALDYLELHRPCASPLVMGPLSIRCPNLRINSWIRLPIYDADFGCGRPIFMGPAALFDGMCLFLPIASNDGNLSFMISLPSEHMKSFSNFLYDNQGHNFKIAPQIITLFYYMMLFIYLA >ONI00099 pep chromosome:Prunus_persica_NCBIv2:G6:4633474:4636575:-1 gene:PRUPE_6G067000 transcript:ONI00099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFECSSSKPNYKDWDFKAAAKGFIGSSDFSSSSSSSVLEDELVSSESADTTDEEDDYIAELTRQMTHYMLQDDHDKANISSQKNQELLGSVGWPQYSTLWSPLGSSYGSPEGSSLEPTPPATPVKNHAWNSCTYADVLKKLDKLDITNQGLNSPQGASTCTKVAENSGVRSCSKQGLTFDQSQDFQKLEAYHRVKQEKISSKQGSSSRGRQANIGNQQFEPTNYKHQHKGGACGRSRSPTQFGLPAASNPWMSQQASPGMRAVFLGGSGSKTRSSCGTGVFLPCVIGNASQSPKKKGCSPVLIPAKVVQALKVHFDRVGELPRPNATGFSIQNDGFNKGDRASMQSTKKRHSRAVPEMNHNEMGLPQEWTY >ONH99249 pep chromosome:Prunus_persica_NCBIv2:G6:1673026:1675378:-1 gene:PRUPE_6G020800 transcript:ONH99249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPYSTIAQTSRNISSGSSPLTARNDDNSSWPSPSGEFAFGFRQIGKDGFLLAIWFNNIPERTIVWSANGNNLVPQGSQVELTSDGQFMLNDATGKRIWYAESAGTGVAYAAMLDTGNFVLANQSSSTLWQSFDQPTDTILPTQTLNQNSNLYARYTATNYSRGRFLFVLQSDGNLVLSTTNFPQDSANSPYWSAETTNIGFQVIFNQSGPIYVVSRNGSVLKTILPTSVSTQNYYQRVTLEYDGVLRHYFYPKSASSIAEPRAWSTAVSIPENICFSVAGEMGGGACGFNSLCSRGKDGPSCDCPRGYTFIDQNDVRKGCKQNFVPQSCDQVSPEHLFDFEVMQTTNFPHGDYEHFTEVPENWCRQNCLDDCFCAVAIVNSGGHCFKKRLPFSNGMIDPSVNAKALIKFGKDNSTLRPGGGAITKKKDNSTLILVGSVLMSSSGVLNILLPLITYLVVSRVYSRKAKVNQPHPVMSGMNLKDFTYEELKKATNEFKDEIGRGASATVFKGVLASDTGRCVAVKRLDAKVRENDLEFKAEVSAIGRTNHRNLVQLLGFCNEGEHRILVYEFMSNGSLAGLLFGESMPNWYQRRQIALGIASGLLYLHEECSSQIVHCDIKPQNILLDDCFTARISDFGLAKLLRLDQTRSMTAIRGTRGYVAPEWFRSLPITVKVDVYSYGILLLEIIFCRKHFVEVADNEDEMILADWAYDCYMQKKLHWLFKNDEEEINDINEMEKYVMIAIWCIQEDPSLRPTMKKVTLMLEGTVEVSAPPPLS >ONI03640 pep chromosome:Prunus_persica_NCBIv2:G6:25707643:25710440:1 gene:PRUPE_6G271000 transcript:ONI03640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFPKSLSPKRVLKLLQAEKNPHSALALLDSASRHPNYNHSPDVFHHILRRLLDPKLVAHVDRVVELIRTQKCKCPEDVALTVIKAYAKNSMPDKALAVFQQMEEIFGCAPGIRSYNSLLNAFIESNQWERAEKFFAYFETVGLSPNLQTYNILIKISCKKKQFEKAKALLSWMWEKGLKPDVFSYGTLINGLAKSGNLCDALEVFDEMVERGVSPDVMCYNILIDGFFRKGDSVNANEIWDRLVRDSEVYPNVVTYNVMIDGLCKCGKFDEGLEIWNRMKKNDRGPDLFTCSSLIQRLSEAGNVDGAERVYKEMVGKGLSPDVVVYNAMLNGFCLAGKVKECFELREVMEKHGCHNVVSYNIFIRGLFENGKVEEAISVWELMHEKGCVADSTTYGVLIHGLCKNGYLNKALWILKEGENTRADLDAFAYSSMINWLCKEGKLDEAARLVGQMDKCGYEPNSHVCNALIYGFIRASKLEDAIFFFRGMRTKFCSPNVISYNTLINGLCKAKRFSDAYVFVREMLEEGWKPDVITYSLLMDGLCQDRKIDMALNLWHQALDKGSEPDVTMHNIIIHGLCSAGKAEDALQLYFQMGRWNCVPNLVTYNTLMEGFYKIRDCEKASEIWARIFKDGLQPDIISYNVTLKGFCSCSRISDAIRFLEKALHLGILPTSITWYILVRAVLNNEAT >ONI01211 pep chromosome:Prunus_persica_NCBIv2:G6:9936921:9938612:-1 gene:PRUPE_6G128400 transcript:ONI01211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMSDPLVVGRVIGDVVDSFSQSVKMTVTYNSNKKVYNGHELFPSSLTLKPKVEVHGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDNTFGKEVVKYEIPRPNIGIHRFVFLLFKQKGRQTVIPPPSKDNFNTRKFAEANDLGLPVAAVFFNAQRETAARRR >ONI01212 pep chromosome:Prunus_persica_NCBIv2:G6:9937114:9938483:-1 gene:PRUPE_6G128400 transcript:ONI01212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMSDPLVVGRVIGDVVDSFSQSVKMTVTYNSNKKVYNGHELFPSSLTLKPKVEVHGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDNTFAGKEVVKYEIPRPNIGIHRFVFLLFKQKGRQTVIPPPSKDNFNTRKFAEANDLGLPVAAVFFNAQRETAARRR >ONH99240 pep chromosome:Prunus_persica_NCBIv2:G6:1581553:1584711:-1 gene:PRUPE_6G019900 transcript:ONH99240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQLGSTHLDDALIGKPQAALVEIWASQNGVIGITVVSHWIEPASESKEDIDAASRYLDFMFGWFMDPLTSGDYPQNMGQLVGERLPVFTEEQSKLLSGSFDFIGLNYYSARYASDVSKDYIAPPSYIADHRVNITIELNGVPIGPQAVSDWLYVYPKGIYKLLLHTKEKYNNPLIYITENGMDEFSNPILPLEEALNDINRIHYYYHHLCYLKDAIKDGVRVKGYFAWSVLDNFEWNSGYIVQFGINFVDYDNGLKRHSKLSAHTG >ONI00192 pep chromosome:Prunus_persica_NCBIv2:G6:5037609:5040687:-1 gene:PRUPE_6G073800 transcript:ONI00192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLERYYLSMERFNFGHGSASLYSNQNLVNGFQVNQESTSPVHLSTNLDHPSDSSTSLSSGSDGDTVDFSDYNHPVLKYVSDILLEEDLEGKTCMLQDCLALQAAEKSFYDVLNQEDPPSPNQLPLSVHQSFENSDDDSPRSCHRSNGSIAPNTDWVFDPSETSNVQSSLVQSLSDAGLVSDSLSEIGHSGGLGEASKFLPNVKLEGNRLMPPGLDQWPSSTNILMTTPDNDGYNSTNGSKGKKNHQREDADYPEEGRSNKQPVAFADDSEPQEMFDEVLLCHGNHEFESCSPDESLIAEGSGKLQRNKQKGSKTARSKKQNNNWELVDLSTLLTQCAQAVASYDQRTASELLKQIRQHSSPYGDANQRLAHYFADGLEARLAGARTPSYSFLVSMQISAAEILKAYEVFVTSSPFKTVSHFLANKTILKLAEKATRLHVIDFGISYGFQWPCFIQHLSKRPGGPPKLRITAIELPQPGFRPTERVEETGRRLKKYAERFNVPFEYNVIAQKWETIQFEDLKIDRNEVIVVNCMNRLKHMPDETVMVNSPRDIVLKLIKKINPDLFIHGVVNGTYNSPFFVTRFKEALFHFSALFDMFEASVPREDERRLLFEKAVYGRDILNVVACEGLERVERPETYKQWQVRNVRAGFKQLPLDQELLKRVKRMLKFMGYHNDFSIDEDGHWILQGWKGRTILALSFWKKA >ONI03465 pep chromosome:Prunus_persica_NCBIv2:G6:25024799:25026873:-1 gene:PRUPE_6G258400 transcript:ONI03465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGSASYWCYTCSRSIRVRIRAQDSILCPDCGAGFIEEIQTPTRSPLHHPFPTAAMFLDNTPISQSPSPPNLRRSQRSSRDRSPFNPVIVLRGPPDTESDPGSFELYYDDGAGLGLRPLPSSMSDFLMGSGFDRLLHQLTQLENGVARLDHPPASKAAIESMPVIKIADDHVSTESHCAVCKEAFELDSEAREMPCKHIYHSDCIFPWLSIRNSCPVCRHQLPTDVRGPRGSGRASPENNGVVGEEETVGLTIWRLPGGGFAVGRFTGGRRAAERELPVVYTEMDGGFNTAGAPRRISWASRRRARESGGFAQAFRNFFSFFGRFRSSRSGSGNVFSRSSRRRSQRWALEDN >ONI00070 pep chromosome:Prunus_persica_NCBIv2:G6:4575267:4576386:-1 gene:PRUPE_6G066300 transcript:ONI00070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIPSFRRGSIFDPFSLDVWDPFKDFPFPSSSSLSTFPEFSENSAFLNTRIDWKETPEAHLFKADLPGLKKEEVKVEVEDNRVLQISGERNVEKEDKNDKWHRVERSSGKFLRRFQLPENAKLDEIKAAMENGVLSVTVPKADVKKAEVKAIEISGKENN >ONH99801 pep chromosome:Prunus_persica_NCBIv2:G6:3585148:3588115:1 gene:PRUPE_6G051200 transcript:ONH99801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLVRLLEGCTETYENIFMGSVHFKDVALAHILVYENKSATGRHLCVEAISHYGDFVAKVAELYPEYKVPSLPKDTQPGLLREKNGAKKLMNLGLEFIPMDQIIKDAVESLKSKGFIS >ONH99799 pep chromosome:Prunus_persica_NCBIv2:G6:3584951:3588123:1 gene:PRUPE_6G051200 transcript:ONH99799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTGEVVCVTGGSGCIGSWLVRLLLDRNYTVHATVKDLKDEGETKHLEALEGAATRLRLFQIDLLDYNSIFAAINGCSGVFHLASPCIIDQVQDPEKELLDPAIKGALNVLTAAKQAGVRRVVLTSSNAAIIPSPCWPSDKIKGEDCWTDIDYCKQKGLWYSLSKTLAEKAAWEFAKEKGLDVVVVNPGTVLGDVISPRLNSSMVTLVRLLEGCTETYENIFMGSVHFKDVALAHILVYENKSATGRHLCVEAISHYGDFVAKVAELYPEYKVPSLPKDTQPGLLREKNGAKKLMNLGLEFIPMDQIIKDAVESLKSKGFIS >ONH99800 pep chromosome:Prunus_persica_NCBIv2:G6:3585091:3587947:1 gene:PRUPE_6G051200 transcript:ONH99800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTGEVVCVTGGSGCIGSWLVRLLLDRNYTVHATVKDLKDEGETKHLEALEGAATRLRLFQIDLLDYNSIFAAINGCSGVFHLASPCIIDQVQDPEKELLDPAIKGALNVLTAAKQAGVRRVVLTSSNAAIIPSPCWPSDKIKGEDCWTDIDYCKQKGLWYSLSKTLAEKAAWEFAKEKGLDVVVVNPGTVLGDVISPRLNSSMVTLVRLLEVCRRIPNPGC >ONI01516 pep chromosome:Prunus_persica_NCBIv2:G6:11637987:11640735:-1 gene:PRUPE_6G143700 transcript:ONI01516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPAYTATPVQLLQLPKQPPLILPLPNPSHTTSPNPTHPNKHSFYLEKKTQKPIDPTVSWTSSISHRCRNGQLAEAVAHFIRMRRAGIEPNHITFVTLFSGCAHFPSKGVLFGASLHAYARKLGLDTNDVMVGTAVIDMYAKCGGVDFSRLVFNGLYVKNSMSWNTMIDGYMKNGKVDDAVKLFEQMPQRDAVSWTVLIGGFVKKGQFEQALEWFREMQLSGVEPDYVTLIAVIAACADLGTLGLGLWINRFIMKQDFRDNIRISNSLIDMYSRCGCIGFARQVFESMPKRTLVSWNSMIVGFAVNGHAEEALKFFNLMQKEGFKPDGVSFTGALTACSHAGLVNEGLHYFDNMMRIHRITPRIEHYGCIVDLYSRAGMLEDALKVIEKMPMKPNEVVVGSLLAACRTNGNINLAERLMKYLYELDPGVDSNYVLLANIYAADGRWDGASRVRNTMKALGIQKTPGFSSVEIDCDIHEFVAGDKSHVDAEHIYSTLELLSFELKLCGYVPENIVRESYEFD >ONI01773 pep chromosome:Prunus_persica_NCBIv2:G6:14091690:14092599:-1 gene:PRUPE_6G157400 transcript:ONI01773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIGMLLSNYLPLKIVDKFVSLLAKLSYSDLSSYGIHRPVEGPFLFKALTGKTPVIDRGTIKKIRSKKIQVFPDVVSINQNTVEFKDGKRRCFDAIVLATGYRSVAHKWLKDYKFLLTDDGKPKGKYPNHWKGEKGVYCVGLTGKGLPGIFKDSKAVTEDIYRLTLMAQK >ONI01800 pep chromosome:Prunus_persica_NCBIv2:G6:14601676:14606767:-1 gene:PRUPE_6G159500 transcript:ONI01800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSDDGENDVFFDSVDLSSQESIVAKEEPGCSKLEYEIWMNEPPSIKERRESFLRKMGLAEFDSAKCGSVEMEMESGALSKMMGLQRLLECSRAASSSCISSSSYIEENLGCCRREGDNQANLMQNELDINLQDKLNLVSIQEAVRIISPSVRIISPSATDSSQREVEAPVEECGNSHEGRKKKESWWKQFINKTKRRGGKVVFEGSKPDTEILKQNRMKVQQNSKRCMEFAALCNGQEIRAHKGFIGAMKFSPDGQYLATGGEDGVVRIWCVTLTDASCNYLPAEGNFDSKLKKIKSGYRVIFPDKGFRIEESPLQEFHGHSSDVLDLAWSNSNCLLSSSMDKTVRLWEVGCSQCLNVFHHNDYVTCIQFNPLNDNYFLSGSIDGKVRVWGLFEKRVVDWADVRDVITAICYQPDGKGFVVGSVTGTCHFYEVSGEYLQLVVQMHIHGRTKTSGNQITGIQFSREKLQRVMITSEDSKIRIFEGVELIKKYKGLPKSGCQMSTSFTSNGKHIISVGEDSHVYLWDYDGFCVSSSKQIRSVKSCEHFFCEGVSVAVPWSGMRPEQRSLETASGRYCSQRQGHLEPAYGSRDAERFSLGSWLFSNGPCRGSATWPEEELPPRAPQHQDHQHHHHNHPARPDTWGLVIVTAGCDGTIRTFHNYGLPVRL >ONH99700 pep chromosome:Prunus_persica_NCBIv2:G6:3237625:3240266:1 gene:PRUPE_6G044600 transcript:ONH99700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANSHQPVWVLFTTFVVAAAIVLAPATCTAQLTRDFYQQSCPQALQIIRSVVNGAINRETRMGASLLRLHFHDCFVNGCDGSILLDDTDNFTGEKTASANLNSVRGFDVIDEIKATLNTYCNGNVVSCADILAVAARDSVEILGGPSYSYEVQLGRRDATTAVLNDANRNIPPPTFDFSQLLSIFQSHGLGLQDLILLSGGHTIGLARCTTFRDRIYNDTNIDPEFAASLREGCPANGGDDNTTPIDSTTTQFDTVYFKSLLQKKGLFHSDQELFKSDGSDSDNLVQHYANSPEDFKVDFGASMIKMGNINPLTGYAGEIRLNCRKIN >ONI00283 pep chromosome:Prunus_persica_NCBIv2:G6:5456322:5466968:1 gene:PRUPE_6G080200 transcript:ONI00283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTLVSSTPFVGTSLPSISRRGAYTLPYRSGNGLVSTRIRFSLHDVVPPINPFDSGADVASLLSRAEGLLYTLADAAVAVDPAASGSADATAQKNGGWFGFISEAMEFVLKILKDGLATVHVPYAYGFAIILLTVLVKLATLPLTKQQVESTLAMQNLQPKLKAIQERYKGNQERIQLETSRLYRQAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGQPPLGWHDTAAYLVLPVLLVASQYVSMEIMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRRLGGAKPVVSENASEIITAGRAKRSDSQPVEAGSRFRKLREEEKKNKLSKALSNEEVQTLASTSDSEAGSDEETKDKGEEILEAYASTVGKELPDDPRPRRSKRSKRKRAV >ONI04030 pep chromosome:Prunus_persica_NCBIv2:G6:27158165:27166121:1 gene:PRUPE_6G297400 transcript:ONI04030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMRVCGCVIALLCSCFVLLAVAQVTHPSEVNALRAVKSSLSDPRKHLKNWNNGDPCKSNWTGVFCFNTVGADGYLHLEQLQLLNMNLSGSLAPELGQLSHLLILDFMWNELSGTIPKEIGNMTSLKLLVLSGNKLSGSLPDELGYLSKLNILLVDQNYMSGPIPKSFVNLVNVKHLHMNNNSFSGQIPSELSKVPTLLHLLFDNNNLSGYLPPELSNLPNLRIIQFDNNNFGGTEIPASYGNLSQLAKISLRNCSLQGEIPDFSRVPNLLYLDLSGNHLSGSIPSHRLSHNMTTIDLSDNQLNGSIPESFSDLPSLQKLSLENNLLTGSVPAIWWNISFSTKARLKLDLRNNSLSNISGGLNPPANVTLRLEGNPICKNASIQNVGQFCRSRAGGDGIPDSSTNSTPKMTCPSQACPIDNFYEYVPSSPVPCFCASPIIVEFRLISPSFSYFTLYIQKFELYFTRSLDLSLYQLSIDSFVWQEGPRLRMHLKLFPMFINPHSNTFNFSEVHRIRRILTSWEIPLTDFFGPYELLNFTLLGPYSNMIVGPRRMGIRKGILAAIITGAVASFVILSATVMLLITRCLRHRDRPPSRRHSSSNITRKIDGVKAFTFKEMRLATGNFDSSTQLGRGGYGKVYRGILSDDTVVAIKRAEEGSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGNLRDWLCVKAKGSLSFGMRLQIALGSAKGILYLHNEANPPVFHRDIKATNILLDSNLMAKVADFGLSRLAPLQDDAGTGPSYVSTAVRGTPGYLDPEYFLTSKLTDKSDVYSLGIVFLELLTGVLPISHGKYIVREVKLAHQAGLMFSIIDSRMGSYPSECVQRFLALALRCCYEKQDKRPAMLEVVRELENIIKIMPAADTIFSPSAASYSDQSPTSSSYLTRDTSYVSSSVIGSDLSSGVVPTIVPSKFH >ONI01693 pep chromosome:Prunus_persica_NCBIv2:G6:13425134:13425912:-1 gene:PRUPE_6G154200 transcript:ONI01693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLRLSVKTLFNCRCVCKAWLFIISYPQFTHPHASRSPFGILIETFLPPPLTKPMELYFTHLELEEITFSPGNILPPVDAAMPEFCLINSCNGLLCFGANEGFPLFVCNPVLGEYITIPPANRNDKWLIVGLGFCIGTNVYKVFQLNNPDTEAEIYTIGAGGAWRSIGPPPPGDFNNLLFNNFLHGAVHWIPYGGRSTSSQVIQSFDFEREQFRPLSLPSLLAKNEFLYSLTLEVLGGNKIEFLF >ONI00218 pep chromosome:Prunus_persica_NCBIv2:G6:5207000:5212637:-1 gene:PRUPE_6G075800 transcript:ONI00218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRSKEEKGYSRSELEDYVDEYYYQLKKGSIKVKNSDSTYRCPFCDGKRRHDYRLKELSQHAYDIGRDSKGLKEKSKHLALERYIDRYLYVKSRLESAPKIESPKHSQRRTQVVAVVGSSQIREKSIHSEPRAHSQLLPSFFSAPTLPTPKAQPTTGSKAGSTLATQEDDQWFVWPPMGILANVKTELKDGRHVGESGSKLKTDLASKGFNPLRVHPLWTYRGHSGFAIVEFEKSWEGFHNAKSFDKSFEVDHLGKGDYNVMRNRGDNLYGWVARDDDYNLRSTVGDYLRKNGDLKTVSAQQQEEHTKNSKLVTTLTSTLVIKNSHLKEMERKCRTTDDALNKVEAEKEDILKACNEKREKMQKIAHDQLAKICLDHKKTALELEATKKELEDREKQLQQRRAQNDSERRKIYHERKMNEMATLEQKKADEKMLQLAEEQKREKEKLHKRIIELEKQLDAKQVLELEIERMRGALKVMNHMDEDEDLEARKKMTEIKEKLQEKEEEYTDVEELYKTLIVMERRNNDEVQEARKEVIKELRGSSSRASIGVKIMGDLDEKPFQTATKKKYSEEEADVKAVELCSLWQEHLRDPSWYPFRIITDKEGKTKEIINEEDDKLKALKNELGDEVYEKVTTAMKELNEYNASGRYTIPELWNFKEGRKASLEEGVVFLLNKWKLLRKRKR >ONI01778 pep chromosome:Prunus_persica_NCBIv2:G6:14192573:14196563:1 gene:PRUPE_6G157900 transcript:ONI01778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTSSVPVVSFNSVSSSPSSSLPCPSLSSSSARYRGLMVSNRRLHERLVVNCSSSEMGSSSDSANGRHTQMPSMAPYGVTMNGNGLSGPSYKWQRVLLKVSGEALAGDRTQNIDPKVTMEIAREVASVTRLGIEVAIVVGGGNIFRGSSWAGSSGLDRSSADYIGMLATVMNSIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRGAEINAEVVLKATNVDGVYDDNPRCNPNARLLDNLTYQEVMAKDLSVMDMTAITLCQENNIPVVVFNLNKPGNISKAIKGEKVGTLIGTTRNSTVTT >ONI01779 pep chromosome:Prunus_persica_NCBIv2:G6:14192573:14196563:1 gene:PRUPE_6G157900 transcript:ONI01779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTSSVPVVSFNSVSSSPSSSLPCPSLSSSSARYRGLMVSNRRLHERLVVNCSSSEMGSSSDSANGRHTQMPSMAPYGVTMNGNGLSGPSYKWQRVLLKVSGEALAGDRTQNIDPKVTMEIAREVASVTRLGIEVAIVVGGGNIFRGSSWAGSSGLDRSSADYIGMLATVMNSIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRGAEINAEVVLKATNVDGVYDDNPRCNPNARLLDNLTYQEVMAKDLSVMDMTAITLCQENNIPVVVFNLNKPGNISKAIKGEKVGTLIGTTRNSTVTT >ONI01269 pep chromosome:Prunus_persica_NCBIv2:G6:10190833:10194554:1 gene:PRUPE_6G130900 transcript:ONI01269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAMATILLVLLSLFIHSNAKEKAFDVRQHLSIVSRYSVVEDIADSSFVPSKIPDGCTPIHLNLVARHENRSPTKKRIKELDNLAAHLEVLLREAEEQNLSLEKLPGWLKGGELIIQGEDELYDIGIRTRARFPNLFNDDYHPDVYAIKATQVPGASASAVAFGMGLFSGKGSLGPGRHRAFVVPNESRASDTMLRFHDYCQNYKAFKKSQEPAVDKLKEPVYDEITSALRRRHRLNLTRKDKTSLWFLCKQEASLLNIVDQACALFSPSEVSLLQWTDDLEAFILKGYGKSINYKMGVPLLEDVVQSMEQAIKAEEDNPIARLRFAHAETVVPFSCLLGLFLDGSKFQKIQREQSLQLPPKHPQKRNWRGNTVTPFGGNNILVLYCCLANTSNKHFVQVLHNEHPIPMPERIVAPHLKHDYNSVCNVKLEQQEQKPVASKLSQLFRWMFSLGNGDKRSLDEL >ONI04954 pep chromosome:Prunus_persica_NCBIv2:G6:29830091:29832913:1 gene:PRUPE_6G349600 transcript:ONI04954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDREIGRSRCFGFVTFSDDKDMRNGIQGCQSLHLRTFDLCGCALAHVGVMDLLWFPRIYHRFLMLSFGPAKLFATWFDV >ONI00405 pep chromosome:Prunus_persica_NCBIv2:G6:5902839:5908671:-1 gene:PRUPE_6G087300 transcript:ONI00405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSSLNGSGSNLPDSSGRFATSFSGQSGAASPVFHHTGTIQGIHTFMEASMSQHAGTLTSRNSNLSHGSSHGHSGVTNRGGISVVGNPGFSSSTNGIGGSIPGILPTSAAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLSANSGSGSLTVQGQNRLMSSVLPQGSPQVISMLGNSYPNAGGPLSQSHVQVNNLSSMGMLNDVNSNDSSPFDINDFPQLTSRPSSAGGPQGQLGLGVSPIVQQNQEFSIQNEDFPALPGFKGGNAEYGMDIHQKEQLHDNTVSMMQSQHFSMGRSTGFNLGGTYSSHRPQQQQQHAPSVSSSGVSFSQVNNQDLLHLHGSDIFPSSHSTYHSQTSGPPGIGLRPLNSANTVSGMGSYDQLIQQYQQHQNQSQFRLQQMSAVNQSFRDQGMKSMQTSQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPEFSVPQCYYAKQPPALHQGYFSKFSVETLFYIFYSMPKDEAQLYAANELNNRGWFYHKEHRLWFIRVPNMEPLVKTNTYERGSYHCFDPNTFETIRKDNFVLQYEALEKRPVLPQH >ONH98926 pep chromosome:Prunus_persica_NCBIv2:G6:72941:73396:1 gene:PRUPE_6G000200 transcript:ONH98926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLAHTTKYNNFHTTLNKDPLSGQNNPIFWTKSIAQYPIILYDRKRFNLNNIQKIIQMLVQL >ONH99839 pep chromosome:Prunus_persica_NCBIv2:G6:3726122:3727145:-1 gene:PRUPE_6G053000 transcript:ONH99839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLDKVLEKVGMESAFGCSFCVQLPKCCTVEAFEFAANVCPGLVTLSLPGDLLDSKHANLELIGKWKTLEVLSLGSCLNLAKILAIIQTHCKNFYGLDLSRGSVHGREALSIMKFVPNIKYFNLKGAKVNPDGLVTLLCGCKDLVMLDARDCFGFNENDDEISKLASHISKFMCKGFEFPEFLCGMDNFVLPVDGYSFHLHVEETWDEMLNDLRDAFNDLSDEE >ONI02399 pep chromosome:Prunus_persica_NCBIv2:G6:20377394:20380994:1 gene:PRUPE_6G196000 transcript:ONI02399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDQEEHDEEMEMAASYDSLGNSAGGVRVKMSSSGPEGVAAIAAAAAGSQQQQQQQQQQQQQRKGASRYRECLKNHAVGIGGHALDGCGEFMPAGAEGTLDALKCAACNCHRNFHRKEGDSGGLALMTPDPYGQLVPLHGQHNHPQFSPYYRTPAGYLQLAPHHRPLALPSTSGGGGTHSRGEEQEDDVSNPSGGGGGGGDLGMGGGLHGTASSGKKRFRTKFTAEQKERMLTLAETLGWRIQKQDEPAIQQFCNETGVKRHVLKVWMHNNKHTLGPSNDEPNPS >ONI02400 pep chromosome:Prunus_persica_NCBIv2:G6:20377632:20380848:1 gene:PRUPE_6G196000 transcript:ONI02400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDQEEHDEEMEMAASYDSLGNSAGGVRVKMSSSGPEGVAAIAAAAAGSQQQQQQQQQQQQQRKGASRYRECLKNHAVGIGGHALDGCGEFMPAGAEGTLDALKCAACNCHRNFHRKEGDSGGLALMTPDPYGQLVPLHGQHNHPQFSPYYRTPAGYLQLAPHHRPLALPSTSGGGGTHSRGEEQEDDVSNPSGGGGGGGDLGMGGGLHGTASSGKKRFRTKFTAEQKERMLTLAETLGWRIQKQDEPAIQQFCNETGVKRHVLKVWMHNNKHTLGPSNDEPNPS >ONI04064 pep chromosome:Prunus_persica_NCBIv2:G6:27264692:27269055:-1 gene:PRUPE_6G300000 transcript:ONI04064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSGFNWKLADHPKLPKGKTIGLIVLDGWGEANPDQYNCIHVAETPVMDSFKKGAPERWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDSALETGKLYEGEGFKYIKESFPTNTLHLIGLLSDGGVHSRLDQLLLLVKGASERGAKRIRVHILTDGRDVLDGSSVGFAETLENYLAQLREKGVDAQIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPHKFKNAVEAIKTLRQEPNTSDQYLPPFVIVDENGKPVGPIVDGDAVVTFNFRADRMVMIAKALEYADFDKFDRVRFPKIRYAGMLQYDGELKLPSKYLVEPPEIDRTSGEYLTYNGVRTFACSETVKFGHVTFFWNGNRSGYFNPQMEEYVEIPSDSGITFNVQPKMKAVEIAEKARDAILSKKFEQVRVNLPNSDMVGHTGDIEATVVACKAADEAVKIIIDAIEQVGGIYVVTADHGNAEDMVKRNKKGQPLLDKNGNIQILTSHTLQPVPIAIGGPGLAPGVQFRKDVPNGGLANVAATVMNLHGFEAPADYETTLIEVVDN >ONI04062 pep chromosome:Prunus_persica_NCBIv2:G6:27264939:27268937:-1 gene:PRUPE_6G300000 transcript:ONI04062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSGFNWKLADHPKLPKGKTIGLIVLDGWGEANPDQYNCIHVAETPVMDSFKKLLVKGASERGAKRIRVHILTDGRDVLDGSSVGFAETLENYLAQLREKGVDAQIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPHKFKNAVEAIKTLRQEPNTSDQYLPPFVIVDENGKPVGPIVDGDAVVTFNFRADRMVMIAKALEYADFDKFDRVRFPKIRYAGMLQYDGELKLPSKYLVEPPEIDRTSGEYLTYNGVRTFACSETVKFGHVTFFWNGNRSGYFNPQMEEYVEIPSDSGITFNVQPKMKAVEIAEKARDAILSKKFEQVRVNLPNSDMVGHTGDIEATVVACKAADEAVKIIIDAIEQVGGIYVVTADHGNAEDMVKRNKKGQPLLDKNGNIQILTSHTLQPVPIAIGGPGLAPGVQFRKDVPNGGLANVAATVMNLHGFEAPADYETTLIEVVDN >ONI04063 pep chromosome:Prunus_persica_NCBIv2:G6:27265246:27268669:-1 gene:PRUPE_6G300000 transcript:ONI04063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSGFNWKLADHPKLPKGKTIGLIVLDGWGEANPDQYNCIHVAETPVMDSFKKGAPERWRLVRAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDSALETGKLYEGEGFKYIKESFPTNTLHLIGLLSDGGVHSRLDQLLLLVKGASERGAKRIRVHILTDGRDVLDGSSVGFAETLENYLAQLREKGVDAQIASGGGRMYVTMDRYENDWGVVKRGWDAQVLGEAPHKFKNAVEAIKTLRQEPNTSDQYLPPFVIVDENGKPVGPIVDGDAVVTFNFRADRMVMIAKALEYADFDKFDRVRFPKIRYAGMLQYDGELKLPSKYLVEPPEIDRTSGEYLTYNGVRTFACSETVKFGHVTFFWNGNRSGYFNPQMEEYVEIPSDSGITFNVQPKMKAVEIAEKARDAILSKKFEQVRVNLPNSDMVGHTGDIEATVVACKAADEAVKIIIDAIEQVGGIYVVTADHGNAEDMVKRNKKGQPLLDKNGNIQILTSHTLQPVPIAIGGPGLAPGVQFRKDVPNGGLANVAATVMNLHGFEAPADYETTLIEVVDN >ONH99445 pep chromosome:Prunus_persica_NCBIv2:G6:2298316:2299696:1 gene:PRUPE_6G029600 transcript:ONH99445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSISSSFRLFTAKLPSSIINPKALLASSPKHPNLSFFKRPITFERIPTISSSKLPSASASASAAASAASSASSSMSLQSIEELPPKLQEIVKLFQSVQESKAKYEQLLFYGKNLKPLDDQFKTKQNKVEGCVSQVWVRAYLDSDKNVYFEADSDSVLTKGLAALLVNGLSGRPVDEVLRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQKKAYELLVEEENGVSSSNLGAKSENSFKKVEFGLNSEVGGENNSTLGSKVDGGIEDLGVGLGSKEDIKQLELVPSVTNEDESNSGDLGSRGKRIKERLERGLSPIELEVEDISYQHAGHAGVRGSDGETHFNVKVVSKEFEGKSLVKRHRLIYGLLQEELQSGLHALSIVAKTPSELSGK >ONH99446 pep chromosome:Prunus_persica_NCBIv2:G6:2298254:2299531:1 gene:PRUPE_6G029600 transcript:ONH99446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSISSSFRLFTAKLPSSIINPKALLASSPKHPNLSFFKRPITFERIPTISSSKLPSASASASAAASAASSASSSMSLQSIEELPPKLQEIVKLFQSVQESKAKYEQLLFYGKNLKPLDDQFKTKQNKVEGCVSQVWVRAYLDSDKNVYFEADSDSVLTKGLAALLVNGLSGRPVDEVLRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQKKAYELLVEEENGVSSSNLGAKSENSFKKVEFGLNSEVGGENNSTLGSKVDGGIEDLGVGLGSKEDIKQLELVPSVTNEDESNSGDLGSRGKRIKERLERGLSPIELEVEDISYQHAGHAGVRGSDGETHFNVKVVSKEFEGKSLVKRHRLIYGLLQEELQSGLHALSIVAKTPSELSGK >ONH99885 pep chromosome:Prunus_persica_NCBIv2:G6:3926562:3931719:1 gene:PRUPE_6G055800 transcript:ONH99885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSYSSSVSSAVDSIHTSLADLCAPHYHQSPFDLPRRFSGFANRLQLSLTHLTRATSSLDALPPSVHTAFKGIASDLAAALETLSFYRTKGKISVLINCLSLCDSLADRTVAISGWLALLDLAIQDLNLPDLRKKIADLSRDMKQAHFKVTEREERVHHTLQKEGRTTQSKTSKAVESAIIMDLARALGIDPENHDELSKQIRLLKNDVAGSNSVSERRILVSLERIVDNWAIRPNISAWKAGMEFEDDDVHISPFKNFLCPLTKEVMRYPVVLQSSQTYERTAINYWFERCLEDGRDPTCPVTGEVLGSLEMKPNIGLAGAIEEWVNRNVEILVKISVQHLSKEPPVVDCLEGVLDNVYNISEEYPSCRYKVRNAGVLVLIVKMLRNSSKSIGTNLRSKALMVLLSMAKDEESKNIMLQEGITRLAIHSLIGSSEKEKEYAVKLLLEFSSDKACCIKIATEKGALVLLSSMAGNLEHPGLSNLANKVLKQMEKVEDNVQYLAAAGRFEPLLTRLCEGSDDVKIEMAFMVGSMTLTNSSKEQIARQGAKILIQMLSKPEGRAASLQALYNLSGLDDNATILVDSAVLPTLTDVLFKNQDTSPELKELAASTMANIVSNPGHWELASADKEGHPMQSESFIYSLLRFLPLASPQCQISILHIIYGIASSPQASESVACHIKSGEGIKTILPFLEHPEVEHRIHAFKLTRLLSERYGQDIANELRLSTRLPLCRDKLLDHLSTDSERSDAACILANLSLSEDEVKTLLGVGFVKWMITTLKNQRQISNGRISRPASSMLEGLLGLLLHITRNLEPQTLVTFKEHSLITIFCEHLGYPSNPRVKQLAALGLKILSEYGRSLAAVESERPPPHGMCSYLVFMCGRSSEEPSTCPIHNAPCEEDSQLCLLKSNSIKPLVDLLTDSNTSVQIAAVEALSTLVIDTSSSFKRAVDELEQLGVIEAVISLFIEVRPGELQERTTWIIERILRVDNHRHSLNQSLVWALVEAFKHGNANTKRHAQDALTSLKQLSAVSGKSSYQTRAQR >ONI02695 pep chromosome:Prunus_persica_NCBIv2:G6:22336023:22337386:1 gene:PRUPE_6G215800 transcript:ONI02695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKSGRGNFTTVQQAIDSIPSNNSLWTRILINHGLYMEKVVIPRNKPYIILEGDPRHDTAIEYGDFGSVIDSPTFKLFADNFVARNIIFKNSYDRLITPGPNGRKTTWAPAASISGDKASFYHCAFISLQDTLRDDVGRHYYYDCFVEGAIDFIWGNGQSIYEKCQLRSVTDKIGVPGFITAQGRKGLKETSGYVFKDCYVNGTGPIFLGRPYRDYSRVLFASTYMENIITPEGWSAWEKRSLDLITFSEVNCKGPGADMSHRIPWEKKLSDQEVAYLTNPASFIDQEGWLGKQPN >ONI04185 pep chromosome:Prunus_persica_NCBIv2:G6:27696852:27698292:1 gene:PRUPE_6G307300 transcript:ONI04185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSAHGAFGAAGDSVTDDAQAFSKAWDAACQIGRSSVVFLVPDKYSFLTQSSLFKGPCKSYLLFQLDGRIVAPSGPNKWSSRNSKSDWMDFYGIHGMTMQGGGLIDGRGEKWWNLPCTSALRFLKSSNLKVQGIGVQSSPKFHVCFDDCQNVRLEFISINSLGDSPKTDGIHIEDSNNVEIHHSRISPGDSSHDVDITNVTCGPRSKHGIVDQELWPKITFNNIEMDKVKNPIITNQYYCLKDHYPNQTAALKIYDVSHAQHIQCEKPTCAS >ONI00863 pep chromosome:Prunus_persica_NCBIv2:G6:7715671:7721811:1 gene:PRUPE_6G108100 transcript:ONI00863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAMAMAGLMNGERAVVLLFIGRVLFSLPLSLLFHGIALSLLALSALSLDILADSSTSLSQFNTRPGASSGILLGAVTLPAVAISKMIQLSRAFPLDQVGIEELESLTLQYWAASASCLSVLIFLCITLWRAPENMPPPPAHNVWHAKFSLSCIILHTAVSFVTFGTVSLTSFETALKLLWMLCHGLAAVKLIQHVIKTFPYCASIGESCLVTSGLVLYFGDMLAYTIEKVSGLTMKSEVVQYGSKRSEISIIIQGLLLGLLLFPMVFKFVLRMWESTFSTARSEVGTNNEIWRSLIFFSSLGFIMIVIIPSWMQLVQDFHMHPLLWVLSFIFSEPLKRLSLCVYWMCVIYVSVLRFYNISKNSKIERILLRKYYHLMAVSMFLPALIFQPEFLDLSFGAALAVFLALEIIRVWRIWPLGQSIHKFMNAFTDHRDSDLLIVSHFSLLLGCALPIWMSSGYNDRPLAPFSGILSLGIGDTMASVVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSVLLPLLASTGYILTEHWGSLLVAVTVSGLLEAYTAQLDNAFIPLIFYSLLCL >ONH99097 pep chromosome:Prunus_persica_NCBIv2:G6:839096:839835:1 gene:PRUPE_6G011500 transcript:ONH99097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSDSEISSMKPSNNNNAAKLTKPPFVPAKDDTKPVLQDPILRSDPIETEEAVLRLPPFPINRSKNPQPKMS >ONI02202 pep chromosome:Prunus_persica_NCBIv2:G6:19031124:19033375:-1 gene:PRUPE_6G183100 transcript:ONI02202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSWIRHGFNRVARIVGRAADPKKENFPVLEGHPVAQQHAEVALETHDTVRKKDRVLKEFKIYRWSPDHSNNKPYLHSYFLDLSNCGPMVLDALQKIKAEDDSSLSYRRSCREGICGSCSMNIDGTNTVACLRPIDADTSKPTTITPLPHMFVIKDLVVDLTNFYQQYKLIEPWLKTKKPPKDGREYRQSPSDRKKLDGLYECIVCACCTTSCPAYWWNPEEYPGPAALLHAYRWIADSRDEFAEQRLQALTEGNTRLYRCRTIKNCTANCPKSLNPADAISKMKTRHLLSQPVERVEDQGYVVSGRPIG >ONH99683 pep chromosome:Prunus_persica_NCBIv2:G6:3163151:3165016:1 gene:PRUPE_6G043200 transcript:ONH99683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQNNGWLQVLARLSFQLYEKISHFSISQTNFHHLSQMKLITLPFPLSCSSNPTLLRFKFKPQSSLNPTLDFPKSQSAQTPTKSPVIIIGGGLAGLAAATHLNSQNTPFLLLEASDAVGGRVRTDVVEGFLLDRGFQIFITAYSEAQNLLDYNALNLRKFYSGARIYYDGRFHTVSDPLRDFWASVRSLANPIGSIPDKLLIGLTRFRVLTKSDEEILSSGEEVSTMELLRKIGFSDSVIGRFFQPFFGGIFFDKELGTTSRLFDFIFKCLALGDNTLPSNGIGAIPQQLAAKLPSGSLVFNSRVVSIDFDDDAESLSSPSVRLESGEVLKSELGLIVAVEQPEANKLLAGKVNEPGRIKPDRSTVCLYFTADRANIPVSDPVLFLNGSGKGIVNNMFFATNVAPSYGPADKALVSVSLVGYFEGVDDDDLTTQVVRELSDWFGSSMVRSWRHLRTYRIKFAQPNQCPPLNSTRDPRVGFGVYVCGDHWTTATFDGALVSGRRAAEALLRDNALSRVD >ONH99054 pep chromosome:Prunus_persica_NCBIv2:G6:645668:647456:1 gene:PRUPE_6G007800 transcript:ONH99054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKAQSGHRLVLVPCPYQGHINPMLQLGTFLHSKGFSISIVHTHFNSPNPSNHPEFTFFPIPDGLTADEISSGNVVAIMFTINANCKASFKQCLTDRVTEQEPQNKITCIIYDEFMYFSESVANDLNIPRILLRTQSATNFIARNTLIRLHSKGCTPFPDSMSLNLVPELHPLRFKDLPISIFDTLENVLKLMANGHDVRTSSAIIWNTLDCLEQSSLAQIQQQCQVPIFSIGPLHKIATAASSSSLLEEDTSCIAWLDKQSHNSVIYVSLGSLAFISEKELFEMAWGLINSRQPFLWVIRPGSVCDSDGIEQLPQGFSEAVGERGCIVTWAPQMEVLAHGAVGGFWSHCGWNSTLESMSEGVPMLCRPCSSDQKVNARYVSQEWKIGLQLENELERGEIERAVKKLMVDDDGKGMRVRAKDLKEKIEVSMKGGSSYHCLNELVELIRSF >ONI00039 pep chromosome:Prunus_persica_NCBIv2:G6:4453915:4455203:-1 gene:PRUPE_6G064400 transcript:ONI00039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGSVNQLWQCSRILETTGMFMVEDSLIGRPVSPIIGVSVLNQLSVPLNDIEVQVVNVGDQEAFRPLVASFVTDSALTSVLLR >ONI04215 pep chromosome:Prunus_persica_NCBIv2:G6:27805420:27809030:-1 gene:PRUPE_6G309500 transcript:ONI04215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVAVQPQQRHPSFSLLPPSSLSDFNGTRLLHSQLQCKRRVSQPKVALQVTASSAKKILIMGGTRFIGLFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDKDYTDFASKILHLKGDRKDYEFVKSSLSAEGFDVVYDINGREAEEVVPILEGLPKLEQYIYCSSAGVYLKSDQLPHFETDTVDPKSRHKGKLETESLLESKGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPNSGIQITQLGHVKDLATAFIKVLGNEKASKEVFNISGEKYVTFDGLAKACAKAGGFPEPEIVHYNPKEFDFGKKKAFPFRDQHFFASIDKAKSVLGWKPEFDLVEGLADSYNLDFGRGTFRKEADFSTDDIILGKSLVLQS >ONI04685 pep chromosome:Prunus_persica_NCBIv2:G6:29059807:29063269:-1 gene:PRUPE_6G334500 transcript:ONI04685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTAPHLEESEMKQSKLKLTLMWKDLLEHIDFTKKDQETQFGILENQLEAKANELDGIDKLIQEKVKEVESSKQHLCSLQLLIQEHEEELSLKEKQFSDVQRFVGNKERECELIEIRYQERTKRFQKCEEELSLLENRFSDFERSVGEMERQYDVMGKRIQNRENRLNWLEKTVEEKLKLVEFRKKELKVIRAQLDKCSQKIELKERQFNEILGSIEEQQEEFSFKEKQNKGAQRSVDNCDKEIKLKEEKLSLIQKLIVDCSNTLKSRGKSIRAMDLKMKDFCLHKKTMEEWSCKLELREKQFESKVDDLNNRINECLNEVQLKEKHFDSVENLIQESKKHLDSQEKSLQEYSNGLEMKERQHAEWAKEIELKQQQIDSIRASTEDHTQNLEYTPASIIAVPSSSSNQSGMKRDGRGLQLLMNEHLKRIALLASEMSVHLKASSNPAELVLDAMEGFYPSNLDADKMKFDFDLTVIRRSCVLLLQELKRLSPQINHQVREEAIKLAADWKAKMTVAAENSLEISGFLWLLTAYELTSTYNARELRSLLAIVAQTEHATELCQTLDITEKAPAGNRVSFPVKIEEPESSPVRNVATSSSPNLQLDAITYERNLQQRLDEHFSENNSVQSKMFDYLRVSIDPGRLALTTMQKSLSEYWRKGGLEATVMMKNISMLEELVQVLPHVGSCGNLNKSKP >ONI03871 pep chromosome:Prunus_persica_NCBIv2:G6:26585506:26586904:1 gene:PRUPE_6G287500 transcript:ONI03871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPEASAPSIAYANPASLEYADPGTIAYAHPAVAIVSPHFCSPQPVDLAIVRKVLTITDGNFVVSDINGNVIFKVKGAHLSFRDHRILLDTAGYPIITLRKKFVSAHDRWQVFRGDSTETRDLIFSAKRSSMFQFKTKLDIFLANNIAEKAPDFRIKGSWFERSCVIYGGNSSTIVAQMHKKRTVQSVLFGKDNFMVTVYPGIDYAFIIALIVILDEINEN >ONI00322 pep chromosome:Prunus_persica_NCBIv2:G6:5557618:5558286:1 gene:PRUPE_6G082500 transcript:ONI00322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGQGQTKQKWQTPHANTLKINVDGAWKPGTTEGGVGVVVIDSTGKFVASCAVTLVNVFSALQVEALVARTGTVLAMERDFQNVVFESDAFQIHFKVTP >ONI02717 pep chromosome:Prunus_persica_NCBIv2:G6:22450691:22453904:1 gene:PRUPE_6G217600 transcript:ONI02717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRSRFLSFPMVIGAVVIGVVSGKAIFGPPLDEYWKKKQQEEAAAKETDASSS >ONI02716 pep chromosome:Prunus_persica_NCBIv2:G6:22450630:22453762:1 gene:PRUPE_6G217600 transcript:ONI02716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRSRFLSFPMVIGAVVIGVVSGKAIFGPPLDEYWKKKQQEEAAAKETDASSS >ONI02295 pep chromosome:Prunus_persica_NCBIv2:G6:19704196:19704937:1 gene:PRUPE_6G188800 transcript:ONI02295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMDTTTGQLSQIFLSRKLGFSTLKAVMCSDFMVSFNNPLEFSHILFLAKIIHEMTTLTFFSLIFNVC >ONI04027 pep chromosome:Prunus_persica_NCBIv2:G6:27136064:27140861:1 gene:PRUPE_6G297100 transcript:ONI04027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMRVCGCVIALLCSCFVLLAVAQVTNPSEVNALRAVKSSLSDPRQHLKNWNNGDPCKSHWTGVFCFNTVGADGYLHLEELQLLNMNLSGSLAPELGQLSHLLILDFMWNELSGTIPKEIGNMTSLKLLLLSGNKLSGSLPDELGYLSKLNRLQVDQNYMSGTIPKSFVNLVNMKHLHMNNNSFSGQIPSELSKVPTLLHLLLDNNNLSGYLPPEFSNFPNLTIIQFDNNNFGGTEIPASYGNLSRLAKISLRNCSLQGEIPDFSRIPSLLYLDLSWNHLSGSIPSHRLAYNMTTIDLSDNQLNGSIPESFSDLPSLQKLSLENNLLTGSVPAIWWNISFSTKARLKLDLRNNSLSNISGELNPPANVTLSYVSNAMASIISLFSELFLIYCQFCRSEAGGDGMPESSTNSTQKMTCPSQACPIDNFFEYVPSSPVPCFCASPIVVEYRLKSPSFSYFTPSIQKFEIYFTRSLDLSLYQLSIDSFVWQEGPRLRMHLKLFPMFINPHSNTFNSSEVHRIRRILTSWEIPLTDFFGPYELLNFTLLGPYSNSIASYTQRVLKESVPPIFQSAEAFQTTMVGSMNTRGKAAAMARSATTQSHSTRDPAIDMVAPPPLTTAAEHGGTSNQGGLVTTADLGPVLEQLQAFPPLPPRATHAPAYTFSENLARVQLGSAHFSPPDPR >ONI04356 pep chromosome:Prunus_persica_NCBIv2:G6:28202592:28204440:1 gene:PRUPE_6G317200 transcript:ONI04356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKGRRFQILKPKTLFPSYVFGDKTMNLVLLILISSIFASIAVAVTNQCEESFPVVGFGVLEDRALEKKTAGGTRGIGEVCGYGMGVVDHVLPGFMVAFESNQRFWRQS >ONH99200 pep chromosome:Prunus_persica_NCBIv2:G6:1288189:1289761:-1 gene:PRUPE_6G017600 transcript:ONH99200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSQRILLAFFALILMHAVSVTCSSLSLHQETQVPGRYRNQPRRLLASVASFSANPNKSSKAMEDPKKSVETSFRKAPPSKSNPTQNR >ONI00297 pep chromosome:Prunus_persica_NCBIv2:G6:5496118:5499844:1 gene:PRUPE_6G081000 transcript:ONI00297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPYQTNRAQALWLTCLASAFRTCLACTIVALTTLYGPQALQHQVAFPAFSYVTVILIAPDATLGNTLCGLWLGLYATAQTIGPAMLSLWLIGPARLSSGITALAVGLAAFVVALPEFTHLVCKRIALGQIVIVYVIAYIKGGEVQAIMHPVHVAASTGIGVLACVLAFLVPFPRLASREVKQNAKLLGENASERLKLFVKAFCAEDNTSALASISQAKSLAATATKLFQTIKRHQESMEWEKLPLKFSRYKYANPGDRLQGFEIPLKGMEMALTSTPSFPIKVVNGEHKDGLLRVNLSAPCDSTTVPESNAENVRFLQTLKTIPQTQQDLPPIFFLFCIKLFHGKLSATSPTEQGKLLVHQNEGAIDSRKQNGFCFKEVLSNLSIKARSKRLMIAFKCSLSLGLAVFFGLVYSKKNGFWSGLPVAISFASAREAAFKVANVKAQGTVLGTVYGVLGCFLFQRFLSIRLLSLIPWFIFTSFLQRSRMYGQAGGISAVIGAVLILGRANFGPPSEFAIARITETFIGLSCSIMVDLLLQPTRASTLAKAQLSRTLDTLQECINSVSLQSGRALLEENQKRLKNHVEELGKLIGEAEAEPNFWFWPFHSACYGKLLRSLSKMMDLLLFSAHAVEVLEQNSQMLEASWKDIVHTVECDLELFKKMVGSLIECFKEITLIKSITVLDQKSDIAHDLELGKSGNPTIFRICGSKDEEMDTIISSYLQHSKEVVDKIHVQSEELKSQMVLCLSALGFCISSIIRATKEIEEEIKELAQWENPSSHINLYEISCKVHALQK >ONH99615 pep chromosome:Prunus_persica_NCBIv2:G6:2959742:2964249:1 gene:PRUPE_6G039300 transcript:ONH99615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAMVLDPKPLPEPPASFPSIRSDLQASSEQHQASDEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYSDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLGDEVDLEDYVSRPDKISAAEISAICQEAGMHAVRKNRYVILPKDFEKGYQINVKKPDTDFEFYK >ONH99243 pep chromosome:Prunus_persica_NCBIv2:G6:1610260:1615376:-1 gene:PRUPE_6G020200 transcript:ONH99243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKRLTVTNHDDPGSGEEEKIPAQKRRGRPQKPLKDEIDEEETDKIEADDSENGKAGIASKEIKSPSVAENGKKRKRYSQVKEKSDSVKEENGIGTRSSTDDSTKSNGFRHNGSRRKNKPRRAAEAGVECK >ONI03428 pep chromosome:Prunus_persica_NCBIv2:G6:24927304:24929312:-1 gene:PRUPE_6G256500 transcript:ONI03428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVHMLCIASLLSLFMAANARIPGVYTGGPWEGAHATFYGGSDASGTMGGACGYGNLYSEGYGVNTAALSTALFNNGLSCGACFEIKCGDDPKWCHPGNPSIFITATNFCPPNYALPNDDGGWCNPPRTHFDLAMPMFLQIAEYKAGIVPVSFRRVPCRKEGGVRFTINGFRYFNLVLITNVAGAGDIVRVSVKGANTEWMPMSRNWGQNWQSNADLVGQTLSFRVTGSDRRTSTSWNVAPGHWQFGQTFSGKNFRV >ONI05066 pep chromosome:Prunus_persica_NCBIv2:G6:30141676:30148375:1 gene:PRUPE_6G354700 transcript:ONI05066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLLPSINSFPTFPCKFKCPNDTVSVVVRSSAVSAPEKRTRRKRRQTKGDNDSSSPSSSAAEKSLRFTFMEELMGRARNRDANGVSDVIYDMVAAGLTPGPRSFHGLIVAHALNGDTEAAMQSLRRELSSGLRPLHETFIALIRLFGSKGRATRGLEILAAMEKLHYDIRRAWLLLVEELVRTRHLEDANKVFLKGAKGGLRATDEVYDLLIVEDCKVGDHSNALDIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFSTFENMEYGGEEYMKPDTETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRLQPNMKTHALLVECFTKYCVVREAIRHFRALKTFEGGTKALHNEGNFGDPLSLYLRALCREGRILELLEALEAMAEDNQTIPPRAMILSRKYRTLVSSWIEPLQEEAELGHEIDYMARYIAEGGLTGERKRWVPRRGKTPLDPDVEGFIYSNPMENSFKQRCLEDWKIHHRKLLRTLRNEGVAALGDASESDYIRVEMRLRKIIKGPDQNVLKPKAASKMVVSELKEELEAQGLPTDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEEIDELISRIKLEEGNTEFWKRRFLGEGFSSDQEKAVDVSDSASVVDVAKEVENGEAEADDDDDGDNDDDDDNDDDDDDEEEEEEEEEVEVEVEVEQAERQDVERVKEKEIEAKKPLQMIGVQLLKDSDQTSTTSKKSRRRRSRVSAEDDNDDDWFPLDIFEAFKELRNRKVFDVSDMYTLADAWGWTWERELKNRPPRRWSQDWEVQLAIKVMLKAKLGGTPTIGDCAVILRAAIRAPLPSAFLKILQTTHTLGYVFGSPLYDEIISLCLDLGEVDAAVAIVADMETTGITVPDETLDRVISARRTT >ONI05067 pep chromosome:Prunus_persica_NCBIv2:G6:30141676:30148375:1 gene:PRUPE_6G354700 transcript:ONI05067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLLPSINSFPTFPCKFKCPNDTVSVVVRSSAVSAPEKRTRRKRRQTKGDNDSSSPSSSAAEKSLRFTFMEELMGRARNRDANGVSDVIYDMVAAGLTPGPRSFHGLIVAHALNGDTEAAMQSLRRELSSGLRPLHETFIALIRLFGSKGRATRGLEILAAMEKLHYDIRRAWLLLVEELVRTRHLEDANKVFLKGAKGGLRATDEVYDLLIVEDCKVGDHSNALDIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFSTFENMEYGGEEYMKPDTETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRLQPNMKTHALLVECFTKYCVVREAIRHFRALKTFEGGTKALHNEGNFGDPLSLYLRALCREGRILELLEALEAMAEDNQTIPPRAMILSRKYRTLVSSWIEPLQEEAELGHEIDYMARYIAEGGLTGERKRWVPRRGKTPLDPDVEGFIYSNPMENSFKQRCLEDWKIHHRKLLRTLRNEGVAALGDASESDYIRVEMRLRKIIKGPDQNVLKPKAASKMVVSELKEELEAQGLPTDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEEIDELISRIKLEEGNTEFWKRRFLGEGFSSDQEKAVDVSDSASVVDVAKEVENVFDVSDMYTLADAWGWTWERELKNRPPRRWSQDWEVQLAIKVMLKAKLGGTPTIGDCAVILRAAIRAPLPSAFLKILQTTHTLGYVFGSPLYDEIISLCLDLGEVDAAVAIVADMETTGITVPDETLDRVISARRTT >ONI03377 pep chromosome:Prunus_persica_NCBIv2:G6:24723202:24723935:-1 gene:PRUPE_6G253800 transcript:ONI03377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKRAKTTSTCSPLATVEEIQRRLVRPSSTSICPPPTSTFSSTDDFQSCHKAPDSSKFKLRTTTKKLEDYLDSVLLSQISSKLSRAKKVPETKLQKREVKDFEWPIDELKVLADSDKEDVNLKDDLDLTEEFGAGDVESCTPFRRFEQIAARRFEG >ONH99884 pep chromosome:Prunus_persica_NCBIv2:G6:3922054:3923878:-1 gene:PRUPE_6G055700 transcript:ONH99884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTQILLLGLPLFLFCTDLLNLFAPPPPPPHKPPHHHHHHHHLQHQPSPVAKDFPSQKPSAVGLGAGSTVKISFCTSCSYKGNALTITKMLESSFPGIHVVLSNHPPPLPKRVLSKLVPVAQVGVFGIVMAGEHIFPMLGIMTPPPWYYSLRANRFGTIASTWLLGNALQSFLQGTGAFEVFLNDELVFSKLKEGRFPGEIELRDDIAAKLGSSRITDGLGAAAP >ONI04124 pep chromosome:Prunus_persica_NCBIv2:G6:27536793:27537059:-1 gene:PRUPE_6G304300 transcript:ONI04124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNNRQKKSSFSFFSLFKSRRPRRGDDMGEDLNMSARKVWPSEEDRGGWIAEPGIDNKATAFIDRIHKSIVSESDCQTLTVNPAGKS >ONI01324 pep chromosome:Prunus_persica_NCBIv2:G6:10453254:10453805:-1 gene:PRUPE_6G132700 transcript:ONI01324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVKWSWTSAIIGAASALATTALLSSKPKDPTFHLISINLTSFKLNLPVLDTDLILNVHVTNPNITAVHYSSTSMSIFYNGSLLGSAEVQAGSQPPKSCQLLRLPARLDGLQLAHHAATFVADVAKREMVLDAAVDIAGAARILWWDHKFKVHVDSRVTVDPVFLDVIDQENKLEMEIFLA >ONI01921 pep chromosome:Prunus_persica_NCBIv2:G6:17107595:17109949:1 gene:PRUPE_6G167400 transcript:ONI01921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTHTLFQTTSKIAALARSGQITCARKLFEEMPHRDSVAWNAMLTSYTHLGFHQEALSLFHQMRISDTGPDHFTLTATLSACASGCNLRCGTKVHALVTVLGYQSYLPVNNSLIDMYGKCLDPSSARRVFEEMKLRNEVTWCSFLFAQTNSSQFDVARHVFSMMPRRVEIAWNIMIVGYARYGQVESCLDLLKGMKESLCQPDQWTFSALMNACAEALEFWHGCMVHAFIMKSGWSSAAEVKNSVLSFYAEVGFHGSAVKIFESTGILTQVSWNAMIDAYMKQGNTHEALLVFQRAPEKNIVSWTSIISGYAGNGHGDEAAKFFVDMVRSGVQPDDFTFGAVLYACSSLAVLGHGKMVHGIILHYGFHAYVFIGNGLVNMYAKCGDLQGSVRAFSDILQKDLVSWNAMLFAFGLHGQAIQALQIFKEMVENGVKPDNVTFIGLLMTCSHNGLIEESRVLFETMGSVYGISPEMEHVACMVDMLGRSGYLAEAKELVDKYSEVSSAETSSCEALLGACSAQGDVGFGRKLGESLKILEPHKETSYVLLSNLYCASGQWKEAEMVREMMVDQGVKKMPGCSWIEVRNKVTAFVAGKHSNPCMNELCNILHFINFEMRNPWLW >ONI03651 pep chromosome:Prunus_persica_NCBIv2:G6:25763703:25767005:-1 gene:PRUPE_6G272100 transcript:ONI03651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIKTQQVSARLIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSTYKGVVDVSNSYAVPFEEDDRDPSIWFLDHNYHEAMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHSLFHNYVPNPVLVIIDVQPKELGIPTKAYYDVEEVKENATQKSQKIFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLANEVTGKLTALKGLDARLREIRSYLDLVIDEKLPLNHEILYHLQDVFNLLPNLNVAELVKGFSVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDAKPATAPSVAGS >ONI03409 pep chromosome:Prunus_persica_NCBIv2:G6:24819480:24824789:1 gene:PRUPE_6G255400 transcript:ONI03409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSIPATSSQAWEEMEEVKLEECCLENKQSTAASSSSVSEGSGSAILKSPGVCSPASTSPTHRRTSGPIRRAKGGWTPQEDETLRNAVAAFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKIIELVAKYGPTKWSLIAKSLPGRIGKQCRERWHNHLNPDIKKDAWTLEEELALMNAHRIHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGKLPPAAKNGSQNGAKETSKSTATKRLLVCSTKGSDSTAQTSSGNADVCKLDEDGKDQFESSAPHQDLGASSSVLPNEPADSEGVECQLRSSFRDLSCCNSESGSKFENFAVNSKPQIESIINSQAESENCGFNNLVDEDRVKRTPVPVETPGYGSLYYEPPLESCGPFDSGFSSMRCFQHDYASSPNVSPISFYTPPCVKGSGLCNRSPESILKMAAKTFPNTPSILRKRKNTSQGHLPPNKIGLVDCESVREVLNVSDEQERHKNSAEMSGSQEESLCESPTSQGISTIGPNGKAFNASPPYRLRARRTAVFKSVEKQLEFTCDKEYDGNSKSVELSANGGSGVIKDCSHATKMEVT >ONI03410 pep chromosome:Prunus_persica_NCBIv2:G6:24819480:24824751:1 gene:PRUPE_6G255400 transcript:ONI03410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSIPATSSQAWEEMEEVKLEECCLENKQSTAASSSSVSEGSGSAILKSPGVCSPASTSPTHRRTSGPIRRAKGGWTPQEDETLRNAVAAFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKIIELVAKYGPTKWSLIAKSLPGRIGKQCRERWHNHLNPDIKKDAWTLEEELALMNAHRIHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGKLPPAAKNGSQNGAKETNVCKLDEDGKDQFESSAPHQDLGASSSVLPNEPADSEGVECQLRSSFRDLSCCNSESGSKFENFAVNSKPQIESIINSQAESENCGFNNLVDEDRVKRTPVPVETPGYGSLYYEPPLESCGPFDSGFSSMRCFQHDYASSPNVSPISFYTPPCVKGSGLCNRSPESILKMAAKTFPNTPSILRKRKNTSQGHLPPNKIGLVDCESVREVLNVSDEQERHKNSAEMSGSQEESLCESPTSQGISTIGPNGKAFNASPPYRLRARRTAVFKSVEKQLEFTCDKEYDGNSKSVELSANGGSGVIKDCSHATKMEVT >ONI03598 pep chromosome:Prunus_persica_NCBIv2:G6:25563295:25565896:1 gene:PRUPE_6G267900 transcript:ONI03598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKTNIKKRKISGPPRRNKKNDRNCCQPHNPTFTIQVKRKKQNFKNQKIKQEKKKRVANHKHKTMVAATLTSPSSQLLCSSSRSISQLSPLQQCLFGSKAIVSCPSNVNYSKKRHVGDGVKCMAVAAETEPKKKGGYQIQTLTNWLLKQEQEGVIDAELTIVLSSISLACKQIASLVQRASVSNLTGIQGAVNIQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECFASIEEDSTLDSAEQKCVVSVCQPGSNLLAAGYCMYSSSVIFVLTIGKGVFAFALDPMYGEFVLTQENVQIPKAGKIYSFNEGNYQLWDDKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGSARVLDIQPTEIHQRVPLYIGSVEEVEKLEKYLA >ONI05124 pep chromosome:Prunus_persica_NCBIv2:G6:30280380:30283048:1 gene:PRUPE_6G357200 transcript:ONI05124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFSFSILYAYLCLSLFYQPARTQQAYINGSTLWNCSGNPATSKGYLCDASVKSCEAFVTFRSRAPHDTAISIAYLLGSEASKIASINKVSASDKIPSNKLIVVPVSCSCSGNIFQHYSPYTVIKNDTYFKTANDTYQGLTTCQAMISQNYYDPENIPVGAVLTVPVRCACPSENQTADGITSLLTYIVAKNDTIASIGGMFGVNTQSIMAANMLSQDIIIDLNTPLLVPLKSKRCPTSDGSLADGIYLEHVDCIRGGKKFPVKLVTLLGIGIGLAFICMFLSGYKLYQFLRRRRIKTQQEKFFKQNGGFLLREKISSFGSSSKAKLFTAEEMERATDNYNQSRFLGQGGYGTVYKGMLLDGTIVAVKRSRAIDKHQIEQFINEVVILTQINHRNIVKLLGCCLETEVPVLVYEYIPNGTLSHHIQQKHIETPSLSWEHRFRITCEVAGAVSYMHSAASIPIFHRDIKSSNILLDHNYSAKVSDFGTSKSLPLDKTHLTTEVQGTFGYMDPEYFQSSKFTDKSDTYSFGVTLVEILTGKTPFSFAKEEGENLVASFISLTRENQLVQILDPQVVREAEMEHVGAIAELATRCLRLNGKKRPSMKEVSTELEGLRNTQRCLEKFQEPQSFKDETTFMHSTSEPMKDHTEESIDFSMEIESASF >ONI00354 pep chromosome:Prunus_persica_NCBIv2:G6:5680754:5684231:1 gene:PRUPE_6G084200 transcript:ONI00354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKKGKSSQSSKPIPLLTLRAAKKEEEPSSRSESQLQLVTTTTTPFRLPDDWSVEEKRRPLRNTSSPSRIDKYYIEPGTGLKFRSLPSVQRYLTEGQIETHMKKLKPGSECNMQIIPGTTCTSSFILPDDWEIEKKRRKNSRVIDKTYIEPGTGQRFRSLRAVERYLTEGNENTPLKLSLCSGDQKIKSLPDVQSQKVVSTPLDINISGESDRPSKLNLGRPPVKVNWVLAGRGGNMWNPFMDDSEVSGCVKQKWFETFKSSMYGGNISPPSHKL >ONI00353 pep chromosome:Prunus_persica_NCBIv2:G6:5680754:5684180:1 gene:PRUPE_6G084200 transcript:ONI00353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKKGKSSQSSKPIPLLTLRAAKKEEEPSSRSESQLQLVTTTTTPFRLPDDWSVEEKRRPLRNTSSPSRIDKYYIEPGTGLKFRSLPSVQRYLTEGQIETHMKKLKPGSECNMQIIPGTTCTSSFILPDDWEIEKKRRKNSRVIDKTYIEPGTGQRFRSLRAVERYLTEGNENTPLKLSLCSGDQKIKSLPDVQSQKVVSTPLDINISGESDRPSKLNLGRPPVKVNWVLAGRGGNMWNPFMDDSEVSGCVKQKWFETFKSSMYGGNISPPSHKL >ONI00355 pep chromosome:Prunus_persica_NCBIv2:G6:5680754:5684180:1 gene:PRUPE_6G084200 transcript:ONI00355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKKGKSSQSSKPIPLLTLRAAKKEEEPSSRSESQLQLVTTTTTPFRLPDDWSVEEKRRPLRNTSSPSRIDKYYIEPGTGLKFRSLPSVQRYLTEGQIETHMKKLKPGSECNTYIEPGTGQRFRSLRAVERYLTEGNENTPLKLSLCSGDQKIKSLPDVQSQKVVSTPLDINISGESDRPSKLNLGRPPVKVNWVLAGRGGNMWNPFMDDSEVSGCVKQKWFETFKSSMYGGNISPPSHKL >ONI04451 pep chromosome:Prunus_persica_NCBIv2:G6:28440810:28444335:1 gene:PRUPE_6G322200 transcript:ONI04451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFLRKLSTPNRIVAISAAAGSVLLYANGNRNSDYTASVSLPAPLRESLWLPWQSELSVPLFSLGNSSVPSSDISKDVSGVSAAGEIPKTCSGCLGRDSFAKAAAKVGPAVVNVSAPQGEFGISPGKGMGSGTIINQDGTILTCAHAVVDFHGLRASSKGKVHVTLQDGRTFEGTVVNADLQSDVAIVKINSKTPLPTAKLGSSSKLQPGDCVIAVGCPLSLQNTVTSGIVSCVDRKSTDLGLGGLRREYLQTDCAINPGNSGGPLVNIDGEVIGVNIMKVLAADGLSFAVPIDSVTKILDHFKRNGRVVRPWIGLKMIDLNEMIITQLKERDPTFPNVKKGILVPMVTPGSPAERAGFRPGDVVIEFDGKAVESIKEIIEIMGDRVGVPIKVVVKRARDELTLTVTAEESNSDM >ONI02611 pep chromosome:Prunus_persica_NCBIv2:G6:21874704:21875836:-1 gene:PRUPE_6G210400 transcript:ONI02611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDFSPPHVDASRPSLGFPLGTALLLIIIFSLSGIFSCCYHWDKLRSLRRSFTQDADPEADAEPQSPSKSKPPHMDLKQKQRQSLPVIMPGDQIPKFMALPCPREPPREEKIVVKVQKQPKPPRFPVPLY >ONI02683 pep chromosome:Prunus_persica_NCBIv2:G6:22253345:22257011:-1 gene:PRUPE_6G214700 transcript:ONI02683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAKKKKLEKESNNHLPQDIIVHILSRLPVKSLIRFSCVSKRWRSIVTDPEFAKSQFKVASERQTLRPRLLVSTASHLQSLNLDETASFDDNSAVRELSCPFNKSGSHLVLLGSCNGLVCVALDFHESYYIWNPSTGFFLKLPNPGFASKSVGNVYNYGFGYVAATDDYKVVAAARLIDPTDRDPVKVFSLRANSWERIAAPKLSNWSNGVLSNEALHWQHCLFEPFRQAILAFDLAKDEFREVALPILKEDNVKFGQVGVLLEGCLCVTTHRKTDPRCLMSNRNPELHHIEVWVMREYNVRESWTKLFKLKVSGHPEQMWPSSPIFFRESGAVVMQSRNMEAELTWFDEKEDKFCNPIKGKAKVCDRIEKEDEFEKDVVCSELYRLEGSPLVDNVIEYVESLLPFFSNINVADERTPPVEIPIQHLITVQQITVENISSMVPMKLTHTNFTQWKWLFLPVLKKYSVQGLVDGTEICPPAFLLDKNGKISNHVNPAFEKWMDRDQSVMVWLKSRISEDLLSYTIGASSSRALWMALEKLVVDASHSHFS >ONI00006 pep chromosome:Prunus_persica_NCBIv2:G6:4312038:4314576:1 gene:PRUPE_6G062300 transcript:ONI00006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAKKFLGLSQDESEAVSRLAVPERQAGEGKSFYDTFALAGNRVVRVEPGLIVCSFKVPPRLTDRTGKLASGAIANLVDVVGISVDFVEGLPMNVSVDMSISHLSTAKLDDELEIISKRLGQRGGYTGTLVLVRNKATGEIIAEGRLSMFRTQASSKL >ONI04487 pep chromosome:Prunus_persica_NCBIv2:G6:28535750:28539663:-1 gene:PRUPE_6G323900 transcript:ONI04487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPKLVIFFFHCTPVIGLSTFVVQLLSPPLIHGGFNTIVENSLYLSDSFPEMAQTPHSLLLLKRLSRCSPNLKPPSAFLSLLKPFSTSPTPISSLEPKPSSLSARLSFVFDQIDAIEKERSEKDQTLQKIRAWRESKKSQNNPELGLGVVSDSVNNAKLISSDSVSSESERFETPVAAKKEVEVVHPWPEWIELMERLVQQNYFDHRRNDEDRMIQDIGFNASEAVLAAEEDAQGVDFKDFKTVQTACLNFGKDRFDIMRSLSRQDIQVLVGFGCPSTDKKVVFSSKLLRKHTHLDEGDVCSSCSLRNSCERAYLITNKEDEARTIDIMRVLLAYGFDPVNGSVVNKSLLKQKSVKTVVRKLLHQVVKLSSVPIDPNLPPPVIKKPPPKVKQPPPPPRRRVGRDDIEMKKGDWLCSKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPGCNFLNYRRNMACFHCDCKRPPDKYLENKVQETQRGPRTRVEKTAVLHRDSNAWNFDFDDNESDGADVAAFEYADSSVIGEGSLGNQAQGQNFGRPKDSRVPRVHNEEYSDGDTVRPGRGFDDFNDEDDGIDNYELDTNNKNSAQSGSIDFSEFEGSESEDIEGSDNSSCGRRRTKSSYNKPHRSTRQQAAFSVTDDGELVSDKELSAHPNWKSSHVADSRSRGRGRNTTGPSRGLSFGSDDELGLSSDVDDVDQTFGSRQGKLNKLGSGRRDFQRRGNFDVEDDSVSGSESDNDDFQSNKNRLRRPKENNFKGRGSHNFTRDTQFESSGMKGGRRNSFNNDFDRSARGSHGSNKGFRGNDFDSQRMSNRGGDKQSFKGGPRREGFGKSGGRNSFSDDFDRSAGGSRGNNKGFRGNDFDGQRRSNRGADAHNFKGPRREGFGKQQRGGVNEYGRDKDRGFDDCRNSRRVIER >ONI04486 pep chromosome:Prunus_persica_NCBIv2:G6:28535189:28539712:-1 gene:PRUPE_6G323900 transcript:ONI04486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKPKLVIFFFHCTPVIGLSTFVVQLLSPPLIHGGFNTIVENSLYLSDSFPEMAQTPHSLLLLKRLSRCSPNLKPPSAFLSLLKPFSTSPTPISSLEPKPSSLSARLSFVFDQIDAIEKERSEKDQTLQKIRAWRESKKSQNNPELGLGVVSDSVNNAKLISSDSVSSESERFETPVAAKKEVEVVHPWPEWIELMERLVQQNYFDHRRNDEDRMIQDIGFNASEAVLAAEEDAQGVDFKDFKTVQTACLNFGKDRFDIMRSLSRQDIQVLVGFGCPSTDKKVVFSSKLLRKHTHLDEGDVCSSCSLRNSCERAYLITNKEDEARTIDIMRVLLAYGFDPVNGSVVNKSLLKQKSVKTVVRKLLHQVVKLSSVPIDPNLPPPVIKKPPPKVKQPPPPPRRRVGRDDIEMKKGDWLCSKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPGCNFLNYRRNMACFHCDCKRPPDKYLENKVQETQRGPRTRVEKTAVLHRDSNAWNFDFDDNESDGADVAAFEYADSSVIGEGSLGNQAQGQNFGRPKDSRVPRVHNEEYSDGDTVRPGRGFDDFNDEDDGIDNYELDTNNKNSAQSGSIDFSEFEGSESEDIEGSDNSSCGRRRTKSSYNKPHRSTRQQAAFSVTDDGELVSDKELSAHPNWKSSHVADSRSRDDVDQTFGSRQGKLNKLGSGRRDFQRRGNFDVEDDSVSGSESDNDDFQSNKNRLRRPKENNFKGRGSHNFTRDTQFESSGMKGGRRNSFNNDFDRSARGSHGSNKGFRGNDFDSQRMSNRGGDKQSFKGGPRREGFGKSGGRNSFSDDFDRSAGGSRGNNKGFRGNDFDGQRRSNRGADAHNFKGPRREGFGKQQRGGVNEYGRDKDRGFDDCRNSRRVIER >ONH99953 pep chromosome:Prunus_persica_NCBIv2:G6:4126232:4130823:-1 gene:PRUPE_6G059500 transcript:ONH99953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKPSAPAHNFHCREFKPSFSHFPSNTMPAIAKRSLELNDDKVMAVKSENAPEAVGSAGNSTPQRRKLRSSSSPMKLSPISKPMKLGSPRRRLSACANSSADGIEKYFSQKKVQTFCKSVEKSVDKPKWDPKDVKQISEAKEALHVSTVPSTVSCREDEQKRVLEFCKACVEQEKAGSLYICGCPGTGKSLSIEKVKQALVDWAGEAGFQEPDVLALNCSSLTKTSDIFSKILGKDQPPKKVKSTTSALQLLQNLYSQKPQTSRRKMTLIIADELDFLITKDRAVLHDLFMLTTYPFSRCILIGVANAIDLADRFLPRLQSLNCKPMVITFRAYNVEQIIRILQERLMTLPHTVFQPQALELCARKVAAASGDMRKALCICRSAIEILEAELKESNDNLNSSPVVDAFFEQQMAPAPVVKKPEIDIVKIGHMAAALSKTYKSPVVDTVQCLPQHQQIILCSAVKLFRRKKKDSTVTELNKSYVDICKSTLIPPVGVFEFSSMCRVLSDQGLLKLGGQCRDEKSKRVTLNVDEADITFALQGIRFFRNCLQ >ONI04415 pep chromosome:Prunus_persica_NCBIv2:G6:28378134:28381211:-1 gene:PRUPE_6G320700 transcript:ONI04415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARVERGDLWKNKALSVQLRLRERFRVEVDRRLKLHPIFTDDGYFSSTFQRWLQRFRDFRRDSLPSSTVFYRKRVGKEFNAEEESVLLRMLQAVAVPVIGNVCHVFMNGLNHIQVSNHVASMDDPLVLAALLPRHVLLDAQNLRWTLCATDRCFANPATSAFFRSVRVLPVSRGDGIYQKGMDTAISKLNQGGWVHIFPEGSRSRDGGKTMGSSKRGVGRLVLDADNIPMVVPFVHSGMQDIMPIGASIPRIGKTVTVVIGDPIYFDDLLNCEGAKHVSRGKLYDAVSSRIGHRLRELKVQVDKLAQVTRPQNLPAQNTERAAVILQQVDWESFGMGNLVSSEDDGSQVPETQVQLVSTHTQEPRSTDTDRRFRVGFSREGGIASRMRSFMDHPDLMGFAARGLFMNRRVHETTPSGRGVGPLKAWKQYLEANVLPQWN >ONI04416 pep chromosome:Prunus_persica_NCBIv2:G6:28378289:28380959:-1 gene:PRUPE_6G320700 transcript:ONI04416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARVERGDLWKNKALSVQLRLRERFRVEVDRRLKLHPIFTDDGYFSSTFQRWLQRFRDFRRDSLPSSTVFYRKRVGKEFNAEEESVLLRMLQAVAVPVIGNVCHVFMNGLNHIQVYGVEKLHDAVLHRPKGQPLITVSNHVASMDDPLVLAALLPRHVLLDAQNLRWTLCATDRCFANPATSAFFRSVRVLPVSRGDGIYQKGMDTAISKLNQGGWVHIFPEGSRSRDGGKTMGSSKRGVGRLVLDADNIPMVVPFVHSGMQDIMPIGASIPRIGKTVTVVIGDPIYFDDLLNCEGAKHVSRGKLYDAVSSRIGHRLRELKVQVDKLAQVTRPQNLPAQNTERAAVILQQVDWESFGMGNLVSSEDDGSQVPETQVQLVSTHTQEPRSTDTDRRFRVGFSREGGIASRMRSFMDHPDLMGFAARGLFMNRRVHETTPSGRGVGPLKAWKQYLEANVLPQWN >ONH99537 pep chromosome:Prunus_persica_NCBIv2:G6:2661273:2664038:1 gene:PRUPE_6G035400 transcript:ONH99537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEDQETRFRPRAGDQEQQPNKKTNQETESNNGGLFAKLKFPKPEPLDQERKYPAPPEFTRICEVCNKGFSSGKALGGHMRMHVQANRELFQARKNKIKKPTKILKPNNSDVSSSNGGGGFGVEGNSNSNSSMKPDCCVCGKNFPSMKSLFGHMRSHPEREWRGIQPPPTIAKNSSSSTLSDAVPQNNNKADDHQIDSAATPVGSNNSAPDLSKTLPGWSLTARRGRKSIGSSGNSESVSGLELSLGVEEQMEEAVHDLLMLAQSNPCFYGLSDKGKGAEMCEATNSNFFITNNSNQADYIKDKNQVIDYEGTSKKRKGTEMCFPSKNMKSEKKWFDDYDGMKGKGVLGIGFGPEKPSVKNFSKLGPMEDNKNNNIEEELSDSQNSESIVLASMKRRKRRKMKLIDLEGVVGEIIGAQGHHQSLHQKLRYKCSLCGKSFPSHQALGGHMSSHNKLKNNNNNIIVNHSSLDDQSASADVSAAEGGHNLDEAQTTMALDQDHHVAGAGSGSGAGGLGAHQAHHQCKICDKIFPTGQALGGHKRCHWTGPTEQLLPQSSQAPSPGEASQNAGRKVLNFDLNELPATEFEEGTDQYGAAGYATSSHNSVT >ONI03264 pep chromosome:Prunus_persica_NCBIv2:G6:24372115:24376121:1 gene:PRUPE_6G247300 transcript:ONI03264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKQASVNQRRDEEIPSNLASTYSPKALKHPRSLPRSINYLFKEQRLLFILVGILIGSTFFILQPTLYRLGPSDPNNPTTSISRSFSTAHDLVPKPTHAKVGRVPVGLGKRRLRIVVTGGAGFVGSHLVDKLLDRGNDVIVIDNFFTGRKDNLVHHFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYHRGADVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRRQPLTVYGDGKQTRSFQYVSDLVNGLVALMDGEHVGPFNLGNPGEFTMLELAE >ONI03263 pep chromosome:Prunus_persica_NCBIv2:G6:24371976:24376121:1 gene:PRUPE_6G247300 transcript:ONI03263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKQASVNQRRDEEIPSNLASTYSPKALKHPRSLPRSINYLFKEQRLLFILVGILIGSTFFILQPTLYRLGPSDPNNPTTSISRSFSTAHDLVPKPTHAKVGRVPVGLGKRRLRIVVTGGAGFVGSHLVDKLLDRGNDVIVIDNFFTGRKDNLVHHFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYHRGADVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRRQPLTVYGDGKQTRSFQYVSDLVNGLVALMDGEHVGPFNLGNPGEFTMLELAEVVKETIDSSATIEFRPNTADDPHKRKPDISKAKELLNWEPKVSLREGLPLMVSDFQNRILNEDEGKAIN >ONI01078 pep chromosome:Prunus_persica_NCBIv2:G6:8917884:8918920:-1 gene:PRUPE_6G120300 transcript:ONI01078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVDLGNNNMGEFKHLVIVKFKEDVVVDDILKGLENLVAEIDAVKSFEWGQDLESQELLRQGFTHVFLMTFDKKDDYAVFQSHPKHQEFSAIFSTVIEKLVLLDFPPTLVKTPPKAAPPEAPPEAPPA >ONI02581 pep chromosome:Prunus_persica_NCBIv2:G6:21675597:21678583:-1 gene:PRUPE_6G208200 transcript:ONI02581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPEEEHPVKAFGWAARDTSGHLSPFHFSRRATGDEDVRFKVLYCGICHSDLHSIKNEWGFSVYPMVPGHEIVGEVTEVGSKVKKVKVGDKVGVGCMVGACHACDNCNNDLENYCPKMLLTYNSVYTDGTLTYGGYSDSMVANEHYIVRFPENMPLDAGAPLLCAGITVYSPLKYFGLAEPGKHVGIVGLGGLGHVGVKFAKALGAKVTVISTSPSKKDEALNQLGAHSFLVSNDQDQMQAAMGTLDGIIDTVSAVHPIVPLIGLLKSHGKLILLGAPEKPLELPVFPLIVGRKVLAGSGIGGMKETQEMIDFAAKHNITAEIEVISMEYVNTAMERLAKNDVRYRFVIDVGNTLAATKS >ONI02949 pep chromosome:Prunus_persica_NCBIv2:G6:23368360:23370583:1 gene:PRUPE_6G230700 transcript:ONI02949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKIPKLPLISSKTLNPLTPKPHMASLLTAPEAQARTLHSDPPQDLDDVLCSDQHQQQEEQQQNFADTALSRCLSGESRIERAWAHWSKLGRPKLIVAPMVDNSELPFRMLCRKYGADAAYTPMLHSRIFTESEKYRDQEFTTCKEDRPLFVQFCANDPEVLLEAAKRVEPYCDYVDINLGCPQRIARRGNYGAFLMDNLPLIKSLVENLVQNLRVPVSCKVRIFPDLQDTIKYARMLEDAGCSLLAVHGRTRDEKDQKKVRANWDAIKAVKSSLRIPVLANGNIRHMDDVHDCLEATGADGVLSAESLLENPALFAGFRTAEWVPGNEESKKDGKLDQADLLVEYLKLCEQYPVPWRMIRAHVHKMLGQWFRIHPHIREDLNAQSILTFEFLYSIVDRLRELGAGIPLHLKETNAATICANGLAT >ONI02138 pep chromosome:Prunus_persica_NCBIv2:G6:18468263:18469364:1 gene:PRUPE_6G179300 transcript:ONI02138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGTLEYLSDLVNNSGHKHKKKKQLQTVELKVRMDCDGCELKVKKALSSLSGVKSVEINRKQQKVSVTGYVEANKVLKKAKSTGKRAEIWPYVPYNLVAQPYIAQAYDKRAPPGHVRNVENMNMTSSTGTVTRYEDPYTTMFSDDNPNACSIM >ONH99900 pep chromosome:Prunus_persica_NCBIv2:G6:3978499:3981252:1 gene:PRUPE_6G056600 transcript:ONH99900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHPPSSLIITIIFTAVISSLTPHDRVVHGLGLASTTAITYGTSTVCGIVADNPTQSIQCYQNIQTISVQPIVSFEAISGGKTFFCGLRSGGFSLLCWDTSLSSSCRFQPKRIYHSDTIALTDLAVGDAQVCAREVNSRIVRCWRGGDGTRGSLFPSPGEALDFHTITSGRGFTCGILMNGSRVLCWGQSRVGAEIQTGFENVLMSRLVVGESHACGLSVNGALVCKGNNDSGQLNVPSSTSAFEFSGLALGSNFTCAIRRRNGFVVCWGGRNRIEYDSDAIENVSFELIAAGLDFLCGLTTSNLSMICWGPGWTSSQNDLPLGMIVPGPCVQGPCSSCGVYPNSETLCGGSGKICKSCQIELPIAVPLPPINPPPPQVSAPASSSSITRNRLLLAFAIVGSVGAFTGLCTIIFCLWTRKCGSWSNHHDSVQPTSAVAPNAGSAVGIENDPNAPPSRSSSIKGYISGSSSKHGDKTESFHLAELSAATKHFSSENKIGAGSFGTVYKGKLSDGREVAIKRGDTSTKTKKFQEKETAFDSELALLSRLHHKHLVKLVGSCEEKDERLLVYEYMCNGSLHDHLHSKKNVERSSSIVNSWRMRIKIALDAARGIEYLHNYAVPTIIHRDIKSSNILLDENWTARVSDFGLSLLGPESDQEIMSSKAVGTVGYIDPEYFVSNVLTAKSDVYGFGVVLLELLTGKRAVFRLSEEGTGPMGVVEYAGPRMMAGELQSILDQRVVPPDPNEAEAVELVAYTARHCVNLEGKERPSMTDIVANLERALALCEDERFSFSTTTISLPSL >ONH99991 pep chromosome:Prunus_persica_NCBIv2:G6:4225420:4227850:-1 gene:PRUPE_6G061100 transcript:ONH99991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEMEILSPSSYFSSSNWLLEESKSTQWTPAENKMFENALAVHDKDTPDRWLKVAALIPGKTAMDVMKQYEELEVDVGKIEAGLVPIPGYSASPFTLEWVNRHGYDGFKQSYGLGGKRSSSARPADHERKKGVPWTEDEHKLFLLGLKKYGKGDWRNISRNFVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLNDMRTPSPDNKRPLSPEHSSVPQQPNSAATARTPFQWHHQQGGGANMAFNQAHRNMFMSHPYGISSYGLKMQGQDLHKGAPNNSYYGPQNMVFQMQSAQHYPYG >ONI02407 pep chromosome:Prunus_persica_NCBIv2:G6:20408652:20414150:-1 gene:PRUPE_6G196400 transcript:ONI02407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVPETLSREQYVYLAKLAEQAERYEEMVSFMEKLVVGSTAAGTELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRRNEEHVALVKQYRSKVETELSAICAGILELLEKHLVPSASTGESKVFYLKMKGDYHRYLAEFKNGDERKTAAEDTMVAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSSEKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDLQEQMDEA >ONI01535 pep chromosome:Prunus_persica_NCBIv2:G6:11830148:11832078:-1 gene:PRUPE_6G144800 transcript:ONI01535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTESTKAMRLIDEESIDVSMGGDTSIANVEDKESSPISKKPRGINIGKRKLRSPAWETFDLLPLGEDKKRRAKCKSCETIYVCDSRVFFAYVSPDIKLPCRNIVKARVLRMFKSERQKIQSLLSSDQGRICLTSDLWTSLCTDGYLALTAHFVDKDWRLQKRIINSCHMPPPHSGVTLFEKINTFLTEEIDSSVTKIRECVKHIKGSEARKQKFYDCVAQVGIVGRYFNEVTCLFSGTKYPTSNLFFPKIFIIQHQIKAAMEDSDIFMNKIGTNMNMKFEKYWSKYNLILAIAIILDPRYKLHFVEWAYTKLHGKDSREFKCVTDTLTCLFDVYTENLSPILNASYPTNETTSHNEGGDTILEDFDNSYKNGSSSCKKNELHKYLDEERLDRKQDIDVLSWWQMEHFHYPILSHIAQVVLTIPISTIA >ONI01266 pep chromosome:Prunus_persica_NCBIv2:G6:10175818:10185123:-1 gene:PRUPE_6G130700 transcript:ONI01266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPIDAGAHESSGIPWGVSVTPFATKDENGQSPVYGSDGELLPRCENCWAYFNTYCELDQWSWNCALCGNPQGLSSQAIARYSHPESCPEMMSSFVDLELPVEESDEAMQPRPVYVAAIDLSSLAPGSLFGLAAFSHKLGLYDVQGPIPVVKNVFIPPETEGTFPIELEDVMPLSQFLAPVETCKDRIGSALETLRPTTSWERTTAAGQGLEGVLMGGRGFGVAMEAILNYLGSEYGSTFALGRVFAFLSGPPDYGAGQLDTRRYGEQYASKREDADRALLPEQTPFYRDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSVESGGSLYLYSNADDSTLPQDMYRMLSRPYAFGCVLRLRTSSEFKTGHSYGHFFPDPQYENVQHIICCDSYATYAYDFEFEDTKGFARHGSEPPIIQIAFQYTVVLPPEELSDSGLVSSSRGKHSLKRRLRIRTLQCGVAQNINELYDSVDPEVVLSLLVHKVILASLEQGVREGRMLLHDWLVILTAQYNEAYKLVQLKNGSSVIGQVDVAFSQCPELQSLPRLVFALLRNPLLRFHEEGVHPDFRIYLQCLFSALEPSSLHRAVYPVLTSYSTPDKQAYPRHSLSRAALITSGSPIFFLDAFTTLIVFYSSTADPTLPFPPPHDCLLRTVINKLKQERCVTPKLIFIRGGKDDATAFENYLIEEQDVNGSGLTSVMGFVSFLEDVTQGVLEYMKSGI >ONI01267 pep chromosome:Prunus_persica_NCBIv2:G6:10176388:10184725:-1 gene:PRUPE_6G130700 transcript:ONI01267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPIDAGAHESSGIPWGVSVTPFATKDENGQSPVYGSDGELLPRCENCWAYFNTYCELDQWSWNCALCGNPQGLSSQAIARYSHPESCPEMMSSFVDLELPVEESDEAMQPRPVYVAAIDLSSSEEFLELTKSALLASLEALAPGSLFGLAAFSHKLGLYDVQGPIPVVKNVFIPPETEGTFPIELEDVMPLSQFLAPVETCKDRIGSALETLRPTTSWERTTAAGQGLEGVLMGGRGFGVAMEAILNYLGSEYGSTFALGRVFAFLSGPPDYGAGQLDTRRYGEQYASKREDADRALLPEQTPFYRDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSVESGGSLYLYSNADDSTLPQDMYRMLSRPYAFGCVLRLRTSSEFKTGHSYGHFFPDPQYENVQHIICCDSYATYAYDFEFEDTKGFARHGSEPPIIQIAFQYTVVLPPEELSDSGLVSSSRGKHSLKRRLRIRTLQCGVAQNINELYDSVDPEVVLSLLVHKVILASLEQGVREGRMLLHDWLVILTAQYNEAYKLVQLKNGSSVIGQVDVAFSQCPELQSLPRLVFALLRNPLLRFHEEGVHPDFRIYLQCLFSALEPSSLHRAVYPVLTSYSTPDKQAYPRHSLSRAALITSGSPIFFLDAFTTLIVFYSSTADPTLPFPPPHDCLLRTVINKLKQERCVTPKLIFIRGGKDDATAFENYLIEEQDVNGSGLTSVMGFVSFLEDVTQGVLEYMKSGI >ONI01060 pep chromosome:Prunus_persica_NCBIv2:G6:8822526:8823195:-1 gene:PRUPE_6G119300 transcript:ONI01060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHQINIMKINNKNYLKATFSKRRKGLFRKATDLCCLSGAQIAIITFSPGNKPFLFGNPSAKAVLDRFLGEQDSCTSNDHDEEGLGSTPEDSDHGDLEASQEGSNEIEDVMDEDRVNFWWDEPIDDELGIYELQKYKGLLEALKENVASKLDDMNRREFYTKDFLSMFCIS >ONI03020 pep chromosome:Prunus_persica_NCBIv2:G6:23566947:23567921:1 gene:PRUPE_6G233900 transcript:ONI03020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIIITGGQVTPEIETPHSATILDVKRGIEERLNVEVKRQTLWLDEIMLQDEDRVGNYFPQRYGVLRLSVTPWPGDPKCIIWVRNKNEHLGFVRIRETVMVADLRSKIERRWGILSGVTMFHLDTEMIDDRPLSAYYITDNSVVEIKIEIETR >ONH99597 pep chromosome:Prunus_persica_NCBIv2:G6:2855106:2856942:-1 gene:PRUPE_6G038000 transcript:ONH99597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAMSKKIFLTGVPGMRHLPTKSNREAWALAKEASTLILQVVKERQAAGYEKDLFQMILEGARNTDLSPEATDRFIVDNCKNIYLAGYETTAVSATWCLMLLASNQEWQERVRAQILQIFRGRIPDNEMVRKMKQLTMVIHETLRLYPPVTVVSREAFKDMKFGDINVPKGVNV >ONI04311 pep chromosome:Prunus_persica_NCBIv2:G6:28119213:28119572:-1 gene:PRUPE_6G315400 transcript:ONI04311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRYRSYAGAGCRNLDMVNVRRLSENRTMYMGRSHLDPSWAPYPMKTRQRDIQLRIGGKKPKERSSKPSKLWWNDPEFKRRGRVARYKLYGAEGKVKRSLKKGYRWFKRKCLEIVLR >ONI03727 pep chromosome:Prunus_persica_NCBIv2:G6:26087469:26089610:-1 gene:PRUPE_6G277800 transcript:ONI03727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIGQAAEVYVPRSIQLWRAFINWMGFYFQILLQILRDTPCVPHLLSFIGPSSPSFRPLPVVELPLNDLSSPAQVENGVINGPRHIEKLTVVLDLDETLVCAYETCTLPAIVRTQATEAGLKWFEIECVSSDKEPDGKPKVNHVTVFERPGLREFLKQVYEFADLVLFTAGVEDYARPLVDRIDVENLFKLRLYRPSTVSTECREHVKDLSCLSKDLCRTVIVDNNPFSFLLQPLNGIPCVPFSAGQPNDDQLLEVLLPHLKQLSLQKDVRPVLYERFHMPEWFQMHGFPVSVLTA >ONI03481 pep chromosome:Prunus_persica_NCBIv2:G6:25062126:25064120:-1 gene:PRUPE_6G259600 transcript:ONI03481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKLLILALANLAAAEDVNFTYNGFRSANLSLDGNAQFTPNGLLMMTNDTEDQKGHAFYPNPVTFKNSYSNSNVFSFSTTFVFAIRSVSATPGGHGMAFVIAPQRGLPGALNGPFLGLFKLANNGNTTNHVFAVELDTVQSTAFNDIDNNHVGIDINGLNSTDSASAAYYAKKNGGLRNLTLISGKPMQLWVEYDGTHKQINVTLAPINVDKPQAPLISLNHDLAPILNKTMYVGFSSSTGSMNGQAQELVLSQLPKLPRVGGKKMSKLLIIGVPVISVSLVFLAISGVIYAIRRKRKFAELLEDWELEYGPQRFKYKELYIATKGFREKELLGRGGFGKVYKGILPTSKTEIAVKRISHESRQGMKEFVAEIVSVGRLRHRNLVPLLGYCRRKGELLLVYDYMRNGSLDKYLYNQPTVTLNWSQRFKVIRGVASGLFYLHEEWEQVVVHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHIVGTVGYLAPEQARSGKATTSTDVFSFGAFLLEVACGRRPIERQGPLQGVILVDWVFSCWKKARPSMRQVVQYLEGDIAFPELSFLWHSSIGLTFAPHEGSDDFAKSYPSSFTGLSYVESTLLSGGR >ONH99530 pep chromosome:Prunus_persica_NCBIv2:G6:2632846:2635652:1 gene:PRUPE_6G035000 transcript:ONH99530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETTVPFKNLTSREYPDHKKKVHSLAWNYMGTKLTSCSVDQTARVWHIEPHGHGKVKVIELKWHTDSVDQQHAYRPDRTHIAVGNRDDELTILDVRKFRSIHKRKFNYEVNEIARSTRSEIFFLTTGMMRTILAYPSLRPVDTLMAHTAGCYCIAIDPLGRYFAVGSADSLVTLWGISEMLCVRTFTKLELPVRTISFNNTGEYLASASEDLFIEISNIQTGRTVHQIPCRAAMKSVEWNPKSNILESKGRPFYDTLIHTHFTR >ONI00208 pep chromosome:Prunus_persica_NCBIv2:G6:5139348:5142599:1 gene:PRUPE_6G075000 transcript:ONI00208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMGLGGNETDRLALLAIRAQIKQDPHNVTSSWNESTHFCLWQGVTCSRRHRQRVTKLDLQSQKLAGSLSPHIGNLSFLRELELQNNGFSNKIPPEIGHLRRLRVLSLYRNSFSGPIPYNLSYCSNLIFMNFGSNGLVGKIPSEFGSLSKLQRIVLQVNNLTGEIPPSLGNLSSLEVLAAFKNNLVGSIPTSLGQLKNLTFLSLGSNNLSGTIPPSIYNLSALYSFYVIENQIRGSLPSDLGNTLPDLQIFSIAANQIFGSLPPSLSNATNLREIQVQYNKLTGQVPDLRKLHNLRLFTIHYNRLGSGTDGDLSFLSDLTNGTELEHLHIEANNFGGTLPASISNLSTNLQMFWFHRNQIHGSIPTELGNLVNLESLLMWGNSLTGNIPTEIQKMSSLVELDISMNALSGSIPASFGNLTKLSSLFLQGNNLEGVIPSSLGNCKRLILLDLSNNKLSGAIPQQVIGLPSLSLLLNLSTNNFTGSLPMEVGKLKSLGELDVSNNMLSGELPGSLGSCESLEVLHLQDNFFKGSIPSSMIGLKGIEELDLSRNNLSGEIPKFLGGFVFLKKLDLSFNEFWGAVPTGGGAFKNASVISITGNTKLCGGIADLQLPKCKSQKGGSSRSLKLIIPLVLSGFALLVIVMVMSYFFLCSSRRKRKEIPLSTLANHFLQVSYATLLRATGEFSSANLIGAGSFGSVYKGILDDNDKHQLVAVKVFNLLRHGASKSFMAECEALRNIKHRNLVEIITACSSVDFHGNDFKALVYKYMDRGSLEEWLHPPTEIEEIREALNLEQRLDIAIDVACALDYLHNHCETPIVHCDLKPSNVLLDNEMTGHVSDFGLARFLSQEAGINVSNNHTSSIGIKGTVGYAAPEYGMGSEVSTNGDVYSFGILLLEMFAGKRPTDDMFNGDLNLHTFVKMAFPNRVMEIVDSTLFEGGTNERRVQKIEVCLNLILRIGIECSAESPTDRLKNISDAASELHSIRDVLLG >ONH99325 pep chromosome:Prunus_persica_NCBIv2:G6:1993594:1997839:1 gene:PRUPE_6G024900 transcript:ONH99325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEEHLPLFETKRAKGIVLYRLFAASVFAGICLILVYRVSHVPKAGTDGRFGWIGLLGAEIWFAFYWLLTQASGWNPVYRHTFKDRLSQRYESELPGVDIFVCTADLLPPMMVINTVLSVMAYDYPPEKLSVYLSDDGGSEITYYALLEAVEFAKHWTPYCKKYNVEPRSPAAYFVSVPAGDAVEDNQAKDFVVIKKLYKDMENKIENAVKLGHISGEVRSKHKGFSQWDTYKSRHDHDTILQIAIDGRDTNADDVKGCGLPTLVYLAREKRPQYHHNFKAGAMNALIRVSANVSNGNVILNVDCDMYSNNSMAIRDALCFLMDEGKGHEVAYVQFPQNFENLTKNELYSSFRIISEVEMHGSDGYEGPIYIGSGCFHRRDTLCGRKFIKGSRSDMKWEISRKREESGIHELEENSKSLASCTFEQNTEWGKEMGLKYGCPVEDVITGKAFLGLAPTTLPYTLVQHKRLSEGSLQILLSKYSPAWYGHGKISLALQLGYCIVCCWASNCWATLFYSIVPSLYLLKGISLFPQISSPWLIPFAYVIIGKYTWSFVEFLWSGGTILGWWNDQRMWLYKRTSSYLFAFVDTILYFLGYTDSAFAITAKVADEDVSQRYAKEIMEFGASSPMLTILATLALFNLYCFAEFVKEATTGSKGIAQVFETMALQILLCGVLILINIPLYQALYLRNDEGKMPASIAFKSMAFVLVACTCFKFSY >ONI04639 pep chromosome:Prunus_persica_NCBIv2:G6:28912522:28916129:1 gene:PRUPE_6G331900 transcript:ONI04639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKSLLRINSTLTLAGPGFCSMVTKPLTLLFKSGVDLYVENGIFKFKSIDGVCVFYESNGKLHIFPYSKGEVFVDKSLKLIEKSKLRSFIKLVQQQDEEWSQICSESLKISEEDLESPFVDFLDGMQLSAKIKSFILYIAMVDYDEENFNLEVCKSNLKTRAGIERLALYDKSWSENGPGPWIYPKYGHGDLLAFFGRRAAVKGCICLSSSRSICFLFFKEKHPTPLLACTYFFAHVYPLLFTCLFWANFVWFCLPLSLSSLENSIMQAMRRPVTAVLMDKDSGKYKGVRLASGQDLFSHQLVLNPAFTIPLAPAPSPPDCLREGLQGLSLKDTKGKVARGICITASSVKPKTSNCLLVYPPRTLYPEQDTLIGVIQIAGGSGMDACPKGMSADGTFRVIQTEGGSSEADVCPKGMYV >ONI04638 pep chromosome:Prunus_persica_NCBIv2:G6:28912522:28916175:1 gene:PRUPE_6G331900 transcript:ONI04638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKSLLRINSTLTLAGPGFCSMVTKPLTLLFKSGVDLYVENGIFKFKSIDGVCVFYESNGKLHIFPYSKGEVFVDKSLKLIEKSKLRSFIKLVQQQDEEWSQICSESLKISEEDLESPFVDFLDGMQLSAKIKSFILYIAMVDYDEENFNLEVCKSNLKTRAGIERLALYDKSWLAMRRPVTAVLMDKDSGKYKGVRLASGQDLFSHQLVLNPAFTIPLAPAPSPPDCLREGLQGLSLKDTKGKVARGICITASSVKPKTSNCLLVYPPRTLYPEQDTLIGVIQIAGGSGMDACPKGMSADGTFRVIQTEGGSSEADVCPKGMYV >ONI00116 pep chromosome:Prunus_persica_NCBIv2:G6:4698707:4699024:1 gene:PRUPE_6G068400 transcript:ONI00116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLPGQSWKDICWEKTKLREIFISTNFRLARSIQQLFAGKRSILTKFLPKRYISTNFHWERSISTKFSLPKTTFARKELYIQINSAPQFLSAAKRTLKLTEYTL >ONI00964 pep chromosome:Prunus_persica_NCBIv2:G6:8233141:8234103:1 gene:PRUPE_6G114100 transcript:ONI00964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSTSLPSQAPKSRSNPIRLIAIVLLACIVLLGIVVLITWLILKPKRLVYTIEDGSIQNFNLTNDHLSADFDFVLRAYNPNKRVSLYYDSIQATVNYNDQTLAFSGVDPFYQRHRNVTRFNIKFTAHSTALPSSVSNDLQLEKRSGRIQFDVWLKARIRFKVGAWKSRHRTLRVSCAPVLEFSRPKNLKRTYCYAEI >ONI05057 pep chromosome:Prunus_persica_NCBIv2:G6:30104082:30105662:-1 gene:PRUPE_6G353900 transcript:ONI05057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKLGFAEKWVRLIMSLVKSVELALVINGKPGSYFKPTRGICQGGPLSPYLFLFIGGWKQGLLSQPGKDILIKSVAQVVPTYLMGIFKFPKSLCKKQDEIKVHWISWATLGLPKNQGGMGFKDFNDFNLALPAKQCWRLIMKPNSQWPQPLKARYFSECSFLEAKKGGRASWAWASLLEGRKIILQGARWQILNGRQAKLWTDCWIPSLHDGKLHPHLKTSVDPNIRVEILIDWDSKTWNLTPIEASITDEEKLAIELIPIRNGREDDRLVWHGEKNGKFSVRSGYHCICDLQVETTARKASTSSWIDPLVWKNIRKAEVPPKMKKNFLWRVTHGRLLTAMALHKRKIARTPLCPICQAHEESIEHLLLFCPWVELIWFGGLLNYKIDK >ONH99947 pep chromosome:Prunus_persica_NCBIv2:G6:4112997:4114699:1 gene:PRUPE_6G059300 transcript:ONH99947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKIYVVYYSLHGHVETMAREVQRGVNAVEGVEATLWQVPETLPSIILQKVKAPPKADDVPEIRPEQLVEADGFLFGFPSRFGVMAAQFKAFFDATHEIWEKQALAGKPAGIFWSTGFHGGGQELTALTAVTQLAHHGMIFVPLGYTFGSGMFEMSEVKGGSSYGSGTFAADGSRQPTEIELQQAFYQGKYVAQFAKKLKS >ONH99946 pep chromosome:Prunus_persica_NCBIv2:G6:4113220:4114699:1 gene:PRUPE_6G059300 transcript:ONH99946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKIYVVYYSLHGHVETMAREVQRGVNAVEGVEATLWQVPETLPSIILQKVKAPPKADDVPEIRPEQLVEADGFLFGFPSRFGVMAAQFKAFFDATHEIWEKQALAGKPAGIFWSTGFHGGGQELTALTAVTQLAHHGMIFVPLGYTFGSGMFEMSEVKGGSSYGSGTFAADGSRQPTEIELQQAFYQGKYVAQFAKKLKS >ONH99945 pep chromosome:Prunus_persica_NCBIv2:G6:4112986:4114699:1 gene:PRUPE_6G059300 transcript:ONH99945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKIYVVYYSLHGHVETMAREVQRGVNAVEGVEATLWQVPETLPSIILQKVKAPPKADDVPEIRPEQLVEADGFLFGFPSRFGVMAAQFKAFFDATHEIWEKQALAGKPAGIFWSTGFHGGGQELTALTAVTQLAHHGMIFVPLGYTFGSGMFEMSEVKGGSSYGSGTFAADGSRQPTEIELQQAFYQGKYVAQFAKKLKS >ONH99948 pep chromosome:Prunus_persica_NCBIv2:G6:4113713:4114527:1 gene:PRUPE_6G059300 transcript:ONH99948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSRLWHAKYSAVLMQLKVLKQHFGRMEQVPETLPSIILQKVKAPPKADDVPEIRPEQLVEADGFLFGFPSRFGVMAAQFKAFFDATHEIWEKQALAGKPAGIFWSTGFHGGGQELTALTAVTQLAHHGMIFVPLGYTFGSGMFEMSEVKGGSSYGSGTFAADGSRQPTEIELQQAFYQGKYVAQFAKKLKS >ONI03009 pep chromosome:Prunus_persica_NCBIv2:G6:23513165:23513720:1 gene:PRUPE_6G233000 transcript:ONI03009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNMTVSCCFLDKARYIYFFWLCIKINAYPFCPPRLTFSFCNLLNVTIALFLSLRIFYSLAKLVL >ONI03115 pep chromosome:Prunus_persica_NCBIv2:G6:23905039:23907315:1 gene:PRUPE_6G239900 transcript:ONI03115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFKAPLPLTFHYSQPSLPSILLPKFKNQQCSNDYISSLCRQKLYKEALQAFEFLEGNTNFQIFPSTYADLVSACSFLRSLDHGRKIHDHILASKCEPDIILYNHILNMYGKCGSVKDAGKVFDAMPERNVVSWTSLISGHSQNKQEDKAIELYFEMLRSGCRPDHFTFGSIIKACSGLGNAWLGRQVHAHVLKSETGSHSIAQNALTSMYTKFGLIADAFDVFSHVQTKDLISWGSMIAGFSQLGYDKESLGHFKEMLCEGAHQPNEFIFGSAFSACSSLLQPEYGKQMHGMCIKFGLGRDIFAGCSLCDMYAKCGYLESARTVFYQIERPDLVSWNAIISGFSNGGDANEAISFFSQMRHKGLVPDEISVLSILSACTSPSTLYQGRQVHSYLIKRAFDCIVIVCNALLTMYAKCSNLYDAFIVFEDIRNHTDSVSWNAIITSCMQHNQAGEVFRLLKLMCSSEIKPDYITLKNVIGACANIASLEVGNQIHCFTIKSGLVLDVTITNGLIDMYTKCGSLGSAQNLFGLMENPDVVSWSSLIVGYAQFGYGEEALELFKTMKGLGIKPNEVTLVGVLTACSHIGLVEEGWQLYKTMESEHGIVPTREHCSCMVDLLARAGCLHEAEAFIEQMEFEPDILVWKTLLAACKTRGNVEIGKRAAENILKVDPSNSAALVLLCNIHASSGSWVEVARLRNLMRERDVRKVPGQSWIEVKDRTHVFFVEDSLHPERGKIYAMLEELWLQMLDDGYDPLQA >ONI02885 pep chromosome:Prunus_persica_NCBIv2:G6:23074247:23076109:1 gene:PRUPE_6G226400 transcript:ONI02885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTTPLVTTTPSHRQHPPSIDRLTFLIDKSKSINHLLQTHALLLRHGLHNHPILNFKLQRSYASLGRLDYTVALFQRTHNPSVFFWTSIIHSHAQCGLHDLALMYYTQMLTNGVEPNCFTFSAVMKTCPLRLGKALHSQTIKLGFDSDLYVRTGLVDVYARAGDVVSARQLFDTMPEKSLVSLTAMITCYAKRGEVEEARVLFDGMEERDVVCWNVMIDGYAQHGMPNEALLLFRKMLVAKIKPNELTVLSLLSACGQLGALESGRWLHSYIENNGIQVNTHVGTALIDMYSKCGSLEDACLVFDMIDEKDVVAWNSMIVGYAMHGFSRDALQLFHEMCRLSCQPTDITFIGVLSACAYAGLVSEGRAFFSSMKDEYGIEPKIEHYGCMVNLLSRAGQLEEAYEFVKNMKIDPDPVLWGTLLGACRLHGNITLGEEIAEFLLGQNLANSGTFILLSNIYAAAGNWDGVARVRTLMKHSGIQKEPGCSSIEVDNKVHEFLAGDRRSPRSKEIYMMIKEMNQWLTAHGYTPQIDTVLHDLGEREKEQSLEVHSEKLAIAFGLISTQPGTTIKIVKNLRVCSDCHAVTKLISKITGRKIVMRDRNRFHHFANGSCSCGDYW >ONI00621 pep chromosome:Prunus_persica_NCBIv2:G6:6853181:6857052:1 gene:PRUPE_6G098500 transcript:ONI00621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDILSRVDSICKKYDKYDIEKQHDLNPHSDDAFARLYARFDDEIQATRQKAEKAATETNRAVAVASNVEIRRTKTRLMEEIPKLRKLAQRKVKGLSKEDLETRNDLVLALPERIQEIPDGSETSATKAGGWATSASHKNIKFDSSDGNFDSAFFQQNEESNQFRTEYEMRKMKQDEGLDIISEGLGTLKNLAHDMNEELDRQVPLIDEIDTKVDKVTSEIKSNNVRLKDNLHKVRSSRNFCIDIILLCIILGIAFYIYNALR >ONI02899 pep chromosome:Prunus_persica_NCBIv2:G6:23175806:23176923:-1 gene:PRUPE_6G227700 transcript:ONI02899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHRTATKPSRSDEVLEADEQLKIANQIRAQFDSAAPKRPIKPNRSEPDSSSSSSTPNPVDYSIVDQPNIPELDKFRSLQSQSPVILSSAEGATTVQDEFVDTQYYKELNSIDKQHHMTGSGFIKVVREGGSDFDLQLAESHDDVIVGLMKIRSNPATNDWVPRTDEDEVFVNPSKPNRSESSY >ONI02900 pep chromosome:Prunus_persica_NCBIv2:G6:23176234:23176879:-1 gene:PRUPE_6G227700 transcript:ONI02900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHRTATKPSRSDEVLEADEQLKIANQIRAQFDSAAPKRPIKPNRSEPDSSSSSSTPNPVDYSIVDQPNIPELDKFRSLQSQSPVILSSAEGATTVQDEFVDTQYYKELNSIDKQHHMTGSGFIKVVREGGSDFDLQLAESHDDVIVGLMKIRSNPATNDWVPRTDEDEAWI >ONI04382 pep chromosome:Prunus_persica_NCBIv2:G6:28262986:28265114:1 gene:PRUPE_6G318300 transcript:ONI04382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSKFSEDMMGNILSRLPPKSLMRFRCVLKSWHDLIDKPSFVDQHLSTSMDNKVTSSTCVLLKHNVLTDPTIKDDEKAVRATLFNPDSNQRDILLSSLNLGSLVDDGLEIENHVVPPPMRGYALSLEISGSCDGLICLNTFNSEDIVLCNPALEEYRVLPKSCILLPPRVPRQVEENEDDDYYEEDDDDEIESNPKCVGFGYDPNSKDYKVVRAAQFVSGVFTQHPSKVEVYSLAADTWREIPVDIQPHGSLNPSYQMYFNGFFYWIAYWTEERNVILSFDMSEEVFHDIALPESGPDAYEYTSIAVWKDSLVLLTSPVENEAPKTLDLWVLDEELKGAKGLWTKHLAIGPLAKGVEAPLVFWKDEELLMVTTNGDVVNYSLDTQMLKHVPRHGLGEPTNIQAVPYVNSIVSIKPGNKIESI >ONI00532 pep chromosome:Prunus_persica_NCBIv2:G6:6446876:6448792:1 gene:PRUPE_6G093500 transcript:ONI00532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLQAKNKHLQEDDEDQEDQESMDHDDEDIHSQESEQEVELHDDQKGKQVVQIDVHHQNGDRVCEQCGKRFQNGKALGGHIKVHFNQSLLPKKNKNLFKPKNKHLSLKEAHSPVGDDHSNKISCYVCNKNFPSIKSLHGHMRLHRERDWKGVRPPGPALSPCDESNSSSSYENVSEDEDDGDSSLSDRTIETDNDVANFLVNWTKIEQRRRSLIANQTEPNHAENSGLSNQRQRMPHYAEDQVGEFAGKKPIEESPVGYKGSPSSKKIKCDGRSNKKSTMEASKSTGGTKPMKDIGLLDQTHKQIPTKRQEFGSRRSDTISDVNMDEDSGFSSKYVENQVGELSVKKPIQKSPVGYKGKPSFKIKLKLDGKGKASMQDVDENDDQTSWKTKPKKMTMKSTSKTGTKPIKDTALLYQTRKQMARKTQEFGTKFAEKGKYHEEAEAVEHGIKQFECNICPCKFSTGQALGGHKRGHNYKGVPVKEARGTAKVAPMDLEDGEIRTDPTKFNLDLNQLPHQTDDEDIGG >ONI01208 pep chromosome:Prunus_persica_NCBIv2:G6:9908393:9910003:-1 gene:PRUPE_6G128100 transcript:ONI01208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKNTCLDVHAALIVTLILLTATIAVANDDTPVPADYSQVNTWFNNNVKSYKERQGTLDPALVTAEVGQTVVKVMKDGSGEFKTITDAVNSIPADNTKRVIVYIGGGEYNEKITIPRNKPFVTFYGSPTNMPTLTFSSGAPKYGTMDSATVIAESDYFVAVNLIIKNSSPKPARNSVGQQALALRVSGTKSALFNCRLIGFQDTLCDDKGNHFFKDCFIEGTVDFIFGSGKSLYLNTELHVLGDNEMTVITAQARDSASEDTGYSFVHCNITGTGNGTYLGRAWRISPRVVFAYTGMSEVITPAGWNNKNRPERDSTVFYGEYKCSGPGSNMVGRVKYAKQLNEEQIKPFLNLGYIQGSKWLLPPPNPKV >ONI03728 pep chromosome:Prunus_persica_NCBIv2:G6:26090255:26093659:1 gene:PRUPE_6G277900 transcript:ONI03728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKVLQVERSAKDDDLKKAYRKLAMKWHPDKNPNNKKAAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGGVPPPDSSWSGGQGQGQDGGPTMFRFNTRSPDDIFSEFFGFSGFGGMPDMGGSRAGGSRAGGNPFPRSMFGEDIFAQFRGGAEASGNVPRKSAPIERTLPCTLEDLYKGTTKKMKISRDVPDASGRTTTVEEILTIEIKPGWKKGTKITFPEKGNEQRGIIPADLVFIIDEKPHSLFKRDGNDLIVTQKISLAEALTGHTAQLTTLDGRSLTVPINSIISPTYEEVVKGEGMPIPKEPSKRGNLKIKFNIKFPTKLTSEQKTGIKRLLTSS >ONH99176 pep chromosome:Prunus_persica_NCBIv2:G6:1129748:1130692:-1 gene:PRUPE_6G015800 transcript:ONH99176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHMHNEQTHICECLCMYSSTVCLCLELCMQIILLFMTVKHTELVMHLRHSLVVTCLKITISCSLQQHTMV >ONI00149 pep chromosome:Prunus_persica_NCBIv2:G6:4837923:4838291:-1 gene:PRUPE_6G070600 transcript:ONI00149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICNTYNNVTGRGEGRGKAPTRLQQHAPACLQLDQTAGTASTNPLLDDASMAIPLLSPVLILSPQPMPESSEKGGDQNVRSIAPPFSAAGGWQHPAVAPFTDPSSLFAVFQSQCMIANQAQ >ONI02816 pep chromosome:Prunus_persica_NCBIv2:G6:22841298:22843629:1 gene:PRUPE_6G223300 transcript:ONI02816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASRQFSRIDTLELKAQIEKKIGSQKAGKYFNLVTRYLSVKISKPDFDRLCIATIGKENVCLHNHFIRSILKNACLSRTPPPKESNIASSLSVKVPNGCQRSSLQLLCRDFPQSPRKGRTPNLRDRRLRDGLAAFRPQGKNHSSACEGPISNIQEQEKATELLSLGSRPPVSVEDGEEVDQAAESPSIHSMSPLTAPLGISINSGRTKKLLIKGSGPAIYNDTCQSSGELPDTSSLRKRLEQKLAMEGMGISEDCANLLNNGLEIFLKRLIKPCLDLAGSRSLDKHIDQAHSQASSNGMRPVRYIQRPTRPSSASILDFQVAMDLNPLLLGEDWPTKLEKVCLHAS >ONI00013 pep chromosome:Prunus_persica_NCBIv2:G6:4324073:4330742:1 gene:PRUPE_6G062600 transcript:ONI00013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAKPNGKSITDSDISSKIPATANPLAEEPSQIASNINYHAKFSPHFSPFKFQPEQAYYATADSVRDRLIQQWNETYLHFHKKNPKQTYYLSMEYLQGRALTNAIGNLNVQKAYADALNKLGHDLEEIREQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQRIAKDGQEETAEDWLEKFSPWEVVRHDVVYPVRFFGRVHVNPDGSRNWVEGEVLQALAYDVPIPGYKTKNTISLRLWEAKASAEDFNLFQFNDGQYESAAQLHSRAQQICAVLYPGDATEDGKLLRLKQQFFLCSASLQDIIFRFKERKGSTASLQWSEFPTKVAVQLNDTHPTLAIPELMRLLLDEEGLGWDEAWAVTTRTIAYTNHTVLPEALEKWSQPVMWKLLPRHMQIIEEIDKRFIATVHATRTDLVSKIPSLCILDNSPQKPVVRMANLCVVSAHTVNGVAQLHSDILKNELFVDYVSIWPSKFQNKTNGITPRRWLRFCSPELSNIITKWLKTDQWVTNLDLLTGLRKFADDSKLQEEWASAKLANKKRLAQYIEHTTGVQVDPSTLFDIQVKRIHEYKRQLLNILGAVYRYKKLKEMSPEERKKTTPRTIMIGGKAFATYTNAKRIVKLVDDVGAVVNKDPEVNTHLKVVFVPNYNVSVAELLIPGSELSQHISTAGMEASGTSNMKFSLNGCLIIGTLDGANVEIREEIGEDNFFLFGATADEVPKLRKDRENGLFKPDPRFEEAKQFVRSGAFGSYDYNPLLDSLEGNTGYGRGDYFLVGHDFPQYLDAQAKVDEAYKDRKKWLKMSILSTAGSGKFSSDRTIAQYAKEIWNIEECRVP >ONI03910 pep chromosome:Prunus_persica_NCBIv2:G6:26800421:26803360:1 gene:PRUPE_6G290400 transcript:ONI03910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKGSVLMQRYEMGRLLGQGTFAKVHHARNLKTGISVAIKIIDKERVLKVGMIDQIKREISVMRLIRHPNVVELYEVMASKTKIYFVMEYVKGGELFNKVSKGKLKEDVARKYFQQLISAVDYCHSRGVCHRDLKPENLLLDENENLKVSDFGLSALGESKRQDGLLHTTCGTPAYVAPEVINRKGYDGTKADIWSCGVILYVLLAGYLPFHDSNLMEMYRKIGKGDFKFPNWFSPEVRKLLSKILDPNPKTRISMAKIMQNSWFRKGLVQTPVIAEVPVKEPAPLDVDAIFGPSEGSNSAVESKQELAKPSNLNAFDIISYSAGFDLSGLFEQTEQKKEVRFTSNKTAPTIISKLEDIAKRLRLKIKKRDGGLLKMEGSTEGRKGVLGIETEIFEITPFFHLVEVKKCSGDTLEYQKVMKKDIRPALKDIVWTWQGEQPQQEQQQEPPQLGQPQQEEPEEQQEPPQLGQQEQQPSLALPVQVVSPQDS >ONI02420 pep chromosome:Prunus_persica_NCBIv2:G6:20455798:20456135:1 gene:PRUPE_6G197300 transcript:ONI02420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPIGYNICLSRLKLTFKYCDVPLPSFPTLPKPTLPTTPLIPRTQIPLPNIPTLPSIPAAPKLTLPPLPATPLPTIPTTIPSIPSIPTTIPAVPFLFPPPSN >ONI01098 pep chromosome:Prunus_persica_NCBIv2:G6:9022646:9024373:1 gene:PRUPE_6G121300 transcript:ONI01098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKSNPRVSASMKMKRVSDAMKPQKTAMAKRGSTLKNKAGRLSKLCDIAVYMIAVGADGEIDAWPEIQQDLKSVLLKYKNLKRGFKDGIEKGLFSVEKGFLEIVDDRENRDLGIQDNCLGAKLESLNERIGAVTMKMMRDDGKCSFMDLYKNIMEPDAADQQQLSSLNLNLNLDPTDLVVPTPKPNLIGEINLNLDPEEPQEQPQPQPPSVPSVEISDDDMKLLLSDCEIGLMEDDMQFCDNLDDYEPTVDTIYDFLSWVLGDEDQNDNGAMEDDVQPQSTNHITDDEHDDIRLLLTDCEIGLMQEDLQFCDNDLSDFLAPLETMLVQHQPHFELNLNF >ONI01652 pep chromosome:Prunus_persica_NCBIv2:G6:13073463:13074372:-1 gene:PRUPE_6G151100 transcript:ONI01652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLRLTPTLPNTFINLASPIVDAPYHIFFLTTIIGLIPAGYVTIKARLAFGEL >ONH99995 pep chromosome:Prunus_persica_NCBIv2:G6:4267338:4270035:1 gene:PRUPE_6G061500 transcript:ONH99995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDVPTKAAAAEDVYTKDGTVDFHGNPAKRNATGTWKACPFILGNECCERLAYYGMSSNLVLYFKTQLHQTSAVAAKNNSNWSGTCYLTPLLGAFLADAYLGRYKTIASFSIIYVIGMTLLTMSASVPGLKPTCSGKDNCHANGGQTAATFIALYLIALGTGGIKPCVSSYGADQFDDDDEVEKKHKGSFFNWFYFSINVGALIASSVLVWIQENVGWGWGFGVPAVAMAIAVVSFFSGSRLYRNQKPGGSPLTRIIQVLVASVRKYKVKVPEDKSLLYETADAESSSIQGSRKLDHTNEFRFFDKAAVEVQSDHIKDSKDPWRLSTVTQVEELKSIIRLLPIWATGIIFAAVYNQMSNFFVLQGNLMDIRVGHSSFEIPAASLSIFDTLSVIFWVPIYDRVLVPVARKYTGHKNGLTTLQRMGIGLFISIFSMICAAVLELIRLRSVREHNYYEYEHMPMSVFWQVPQYFLIGAAEVFTFIGQSEFFYDQAPDAMRSLCSALALSTVALGNYFNSILVTIVTKTTTKNKNPGWIPNNLNYGHLDYFFWLLAVLSVLNLGAYLLISKWYTYKKTVGTLR >ONI00704 pep chromosome:Prunus_persica_NCBIv2:G6:7074367:7075202:-1 gene:PRUPE_6G101700 transcript:ONI00704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHSGNISLDDVIEIAKIMKHRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEIADGDVEIPLD >ONI04341 pep chromosome:Prunus_persica_NCBIv2:G6:28182720:28184602:-1 gene:PRUPE_6G316700 transcript:ONI04341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPIFSKLSEELVTHILSRLPPKSLLRFKCIRKSWSVLITTPQFVEMHLSNFSSTRHQISTPSTTILFKHFILTDLNTDQKELLFSMFNLRTDDVDNDDMDGGDDHLRPVFEDLEVSCFRGVDTGGEFYRVGVDISSHCDGIVCLTDFHKKVALCNPAIREFKLLPESDVLLSSPEEVAILGVGLGRDLKHSKNYKVVRLVTYGDKKVDDDRFVVHPPRAEVFTLQGSDSWKEIEIGNIVTKTGFFLPQHAVSVYCKGVVYWPATDKDREYVVSYNYTDDEYDYCEENEGEDEEDNPENNERRAREEEERKERDMKAGILSFDFGEEVFDIIPYPPDVGYYESKIFGVWKESVAIFIYASMPDCFDIWVMDESGEKGSWTKYLTFEPEKPLFPLALWKSEEVVMVARDDVVLIFYNLETRKFKFKPPWHSCNNYECATS >ONI00296 pep chromosome:Prunus_persica_NCBIv2:G6:5489716:5493956:1 gene:PRUPE_6G080900 transcript:ONI00296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTLRRIPQEANVAMTGGKNKSHDHIVASRPNASMQHINSLRIGNGAASQAALFLLKMAALETVRRFSRAKCPWAWRGFQALQFLCYPPFKWFQRFAPFKALVNGMQVVSKPLLVLSIATAFSDEAEPFNRTSDGINNSDACSEVDSEVSSECINVSTLDTRIPDEFPQNVQPEMWLTQLHKELETQGITLPERIDEEELRRFYTAANGDFSCLLSSIKKTINWRKTYGILSVQELEMWSNMVFWHGFDVKHRPCLIVRLGLACTSLPSHDRPRFAQAIISQVEHGVFHLLDANNAQITVVVDCEGLSPLKIPMQVMRTCSSLLQDHFPNRLGCLFVIQLPPVLRVIAQTFIQVLKPYTREKLRIEGEMYRKILSEYLETLPSYLGGKCMCKICSDISITDMQQPHANEVAMTEQRPNVLEGGNPVSAHPTYEAEIDMDRNCDQVLRTAIVGILMIWVFIAFIAGFIDPESRPIFPK >ONI00905 pep chromosome:Prunus_persica_NCBIv2:G6:7938630:7939558:1 gene:PRUPE_6G110700 transcript:ONI00905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKPDSKPAYGYCGYLEVMPLSKGSSSSQLNESAELQIKDRYSYKDAYGGGGSSSFTESYKAGEFVDKSTGGLGYKQEANYTSIDKYVNKELGFTTEYQTQVKFKKSVYPNKTQVKFKKSASPYKSGTKSYNNRVDYY >ONI03549 pep chromosome:Prunus_persica_NCBIv2:G6:25343546:25346922:-1 gene:PRUPE_6G264100 transcript:ONI03549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKGAKPSKVEDEEKWVYDSSLDHKGNVPLRAQTGVWKASLFIVAIEFSERLSYFGIATSLIIYLTKVMHDDLKVAAKSVNYWSGVTTLVPLFGGFIADAYLGRFNTVLASSIIYLLGLILLCMSWFVPSLRPCDTKSCHGPRKIHEVVFFLAIYLVSIGTGGHKPALESFGADQFDDDHPEERKQKMSYFNWWNFGLCCGLLLGVTVVVYVQDHVSWGVADIVLTAVMAVSLVIFIVGRTNYRYRKPTGSPLTPMLQVLVAAIAKRSLPHPSDPAQLYEIPKSEKVHGRLLCHTKNLKFLDKAAIISAQTLAEKPSPWRLATVTKVEEMKLVLNMIPIWLATLPFGMCVAQASTFFIKQGATMNRNIGNGFEIPPASIFSLAAIGMIISVTFYEKLLVPILRRTTGNERGINILQRIGIGMVFSIATMVAAALVEKKRLGAVESDPVKGSHSMSVLWLAPQFVIIGLGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAGNFLSSLLITVVDHITEKGGKSWFGKDLNSSRLDRFYWVLACIVAANLCVYVFLARRYSYKNVQKVAVVADCYEGELEKGGPMA >ONI01325 pep chromosome:Prunus_persica_NCBIv2:G6:10455479:10456951:1 gene:PRUPE_6G132800 transcript:ONI01325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSSTAAVAVVAIGLVFLTFAGQCSGALEVGFYQGKCGRFVDVEGIVAGIVRTKFFRDRTIAAALIRMQFHDCFVNGCDASILLDGSASEKTAPPNLSVRGFDVIDAAKTAVESVCRGVVSCADIIAIATREAVYLSGGGRYNVQTGRRDGLISLAANVDLPAPSISVPDSVAAFARKGLNMTDMVHLLDRLYNFQNTGKPDPDMNVALLGRLRRICPQNSAGTNTTNLDQNPQSSFIVDNSFYKEIVARRGILQIDQELALDPTTQATVTALANSGTNSFATKFGQAMVKLGAVEVLTGSQGEIRRSCRAVNKPGFLTFN >ONI04549 pep chromosome:Prunus_persica_NCBIv2:G6:28731189:28734700:-1 gene:PRUPE_6G327600 transcript:ONI04549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKARAPGKIILSGEHAVVHGSTAIASSIDLYTYVSLRFPTPSDNDDALRLQLKDVGLEFSWPIGRIKEALSEKDIPNSSVPTSCSIESLRSLAALVDELKIPEAKIGLGAGVLAFLWLYSSIQGYKPATVVITSELPLGSGLGSSAAFCVALSAALLSFSDSVNLDLSHQGWLTFGEIELELLNKWAFEGEKIIHGKPSGIDNTVSTYGNMIKFKSGSLTRIKTNMTLKMLITNTKVGRNTKALVAGVSERTLRHPEAMASVFNAVDSISTELATIIQSPAPDDLSLTEKESKIEELMEMNQGLLQCMGVSHASIETVLRTTLKYKLASKLTGAGGGGCVLTLLPTLLSGTVVDKVTSELESCGFHCLTAAIGGNGVEICFGDSS >ONI02408 pep chromosome:Prunus_persica_NCBIv2:G6:20410044:20411162:1 gene:PRUPE_6G196500 transcript:ONI02408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLMLIGLVFSVFNFWFLSFLGPQPNFLYCQKKTTVSYFSALLTYSSLCQDFLDYPPFLISSICLFQILYCSSLVTSKLQTWFLVWFSKLAPSTLSLIYHFICDHIPF >ONI02769 pep chromosome:Prunus_persica_NCBIv2:G6:22642849:22644378:-1 gene:PRUPE_6G220800 transcript:ONI02769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFKLVSLQSDHHQSFNNSTTTRTSTPTTTTTTSSSSRSSFLSPSHQNLHPNYPHQNDDVEECFNFFMDEEDFSSSSSKHYYPSNHPTPPTPNPLLTTTTTPTPTDFSFSPALINFELSNGKWAPELLLETAKAIADKNSSRVQQLMWMLNELGSPYGDTDQKLTSYFLQALFSRMTDSGDRCYRTLISASEKTCSFESTRKMVLKFQEVSPWTTFGHVACNGAIMEAFDGEPKLHIIDISNTYCTQWPTLLEALATRTDETPHLRLTTVVATRASDGSGGAPAQKVMKEIGARMEKFARLMGVPFKFNAVHHSGDLSELNLSELDIRDDEALAVNCVGTLHSIQAVGNRRDYLVSAFRSLRPRIITLVEEEADLDVGVDGLDFVHGFQECLRWFRVYFEALDESFARTSNERLMLERAAGRAVVDLVACAPSESVERRETATRWSRRMHGAGFSPVTFSDEVCDDVRALLRRYKEGWAMTQCSEAGAGIFLSWKDQPVVWASAWRP >ONH99653 pep chromosome:Prunus_persica_NCBIv2:G6:3052891:3055351:-1 gene:PRUPE_6G041200 transcript:ONH99653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLILVIAITLIIAFFLSKFLFKGHQAKNLPGGSLGYPLLGESLSFRQAQKQNRGPQWFEERVSKHGPVFKTSLMGSPTVVVTGQAGNKFVLGARDDVLATKQPPTLATIAGKENIFELTGSRYKLVKGAMMSFLKPENLQNIVKHMDELIKTILLRETENKDTIKGVATMKKLTFEIASSILFGIKNEHTTEALSDDFSLAFKAIPTLPFNIPGTVYWRGLRARSRIVNRILPILKERREELSKGKLSPTSDVFTCLLTLTDENQHPISDDMIIDNYFTLIIASHDTSAILLSLMIWKLSRDSQIYNKVLDEQMDILRKREEGIDDGLTWAEIHKMKYTWRVAQELMRIIPPVFGSFRTAVKDTQYGGYDIPKGWQVFWMAHGTHMDKDIFDKPTEFDPSRFENPSKPIPPYTYLPFGGGLHTCIGNEFAKIELLITIHNLVTRFEWSQVHPEEAITRKPMPYPSMGLPIKIKPRKL >ONI03244 pep chromosome:Prunus_persica_NCBIv2:G6:24340604:24342461:1 gene:PRUPE_6G246800 transcript:ONI03244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSQASCENTDEDYIDMEVSSSSNFFCYSIGSPPQTREFEFQMSSVSQDKETTTSPADELFYKGKLLPLHLPPRLQMVQKILQSSNTSLGNKTQEAIEDSFSIPFINSSTITASTNTSTPLDRSCNISPSESCRVSSELTSDEYISEWTAEMCINFIGGHDHPKKSWSTKLQQIKQSSLGQKLKASRAYVKSLFSKPTCADDYSCAKPARNAEAENGSKVKDFCSNKYMKVAKKNPFGTIDNGRFQISSTTLMKSIEKEMAEENANTHRKSFSGAIQHPSAPKSLCSSTTSSVSSSSSSSFSFSSSGFSDMQLLKRSTSANSELESSIEGAIAHCKQSQQLFSSRKSANEGHGFCSLSASRMQFVGVKRSHQATSNDMRDTHMNMITLYD >ONI01477 pep chromosome:Prunus_persica_NCBIv2:G6:11491607:11491999:-1 gene:PRUPE_6G141700 transcript:ONI01477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRQGRHGHRRYVLELCDAPDLHRRVTLGDFSEPEAASVMAQLMLAVAHCLRLDVAHRDIKSDNTLFDGRDRLWIADFGSAETFGDGQSSIELIKSAKVFHYGSISLIVEPCRSAHLKVFQGSYRSK >ONI03810 pep chromosome:Prunus_persica_NCBIv2:G6:26383840:26386063:-1 gene:PRUPE_6G283700 transcript:ONI03810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRLISTFSIPNKQGDGAMSSLLLCSKAGSSSSDLSRAFSLSFREQRKLNKFAVSASTETKTATLTGVVFEPFEEVKSDAFVVPVSPLLSLARQRYADDSEAAINEQINVEYNVSYVYHALFAYFDRDNIALKGLAKFFKESSEEEREHAEKFMTYQNKRGGRVKLHSIIEPPSEFDHAEKGDALYAMELALSLEKLTNEKLLNLHKVAEHNNDAQLTDFVETEFLAEQVEAIKKIADYVTQLRRVGKGHGMK >ONI03809 pep chromosome:Prunus_persica_NCBIv2:G6:26383840:26386074:-1 gene:PRUPE_6G283700 transcript:ONI03809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRLISTFSIPNKQGDGAMSSLLLCSKAGSSSSDLSRAFSLSFREQRKLNKFAVSASTETKTATLTGVVFEPFEEVKSDAFVVPVSPLLSLARQRYADDSEAAINEQINVEYNVSYVYHALFAYFDRDNIALKGLAKFFKESSEEEREHAEKFMTYQNKRGGRVKLHSIIEPPSEFDHAEKGDALYAMELALSLEKLTNEKLLNLHKVAEHNNDAQLTDFVETEFLAEQVEAIKKIADYVTQLRRVGKGHGVWHFDQTLLHEGA >ONI00708 pep chromosome:Prunus_persica_NCBIv2:G6:7089293:7089520:-1 gene:PRUPE_6G102000 transcript:ONI00708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVCSSVFGVMNFQSHGLFCFKGFVLWASLLFVTGPYVNELYDSLSAYDCPLGYLLKPLFTWQKNKQTNAFYFIF >ONI02223 pep chromosome:Prunus_persica_NCBIv2:G6:19388955:19389584:1 gene:PRUPE_6G184900 transcript:ONI02223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGSVGLLASVAAAVFKPHCSLQYHIHLSFFCSFFFFLFRFRVSGFGMMLIRCYYLAIFFVVSVIVLYFTKNSYRSNLTSCQHLALMVLDSCNAYMQSRIAELEF >ONI04763 pep chromosome:Prunus_persica_NCBIv2:G6:29321382:29321524:1 gene:PRUPE_6G338200 transcript:ONI04763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQEGPWPRLVTSIALRRGACLWSPQVGEPMS >ONI03180 pep chromosome:Prunus_persica_NCBIv2:G6:24152368:24160490:-1 gene:PRUPE_6G243700 transcript:ONI03180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIVTFGAEGILTKVASLAQQEFNLLRGFKGELTSLRESLSKVQAMLQDAGKKKDRAEAVKMWVKDLEDIAHDADDVLDEYEYEVLRRKVEVQDQMKKKVLNFFSLHYNPIAFRHKMAHKIKKINSSLVNLKNEAASIDLVASSTFQGGSSHDAGVDRETVSSFAQDEKYVVGREKVVLDIVTTLIDSSNTPKNSLSVMPIVGFAGLGKTTLAKSIYNHDEIGRQFTIKIWICVSVPFEVKSILNKILVHFKLEKAQEKEAALKNLQKHLKGKRYLLVLDDVWNEDPDKWNDLTSCLSSVKDTQGSSILVTTRSEKVAKIVQTLPMCNLGKLSDDQCWLILKNRAFLDDSAPLTKDQERIGRDIARKCAGLPLLAKVLGNMMRFENIDRWRVIQESTIWDLSDGDERIMSILKLSFDELKPTLKQCFAYCSMFVKDFNIEKDDLVQLWMAQGLLHRSSSQSNLEMEDVGNQYFNILLEKSFFQDVSMDNYNVITHCKMHDLVHDLAELVSKSKSKDSNDGRHMAQPSALELQGISKGIVVRSMFFEGEVLGNILSRFKGLRVLKLQEADIDELPNSIGKLKHLRYLDISRRMIERLPQSVGKLYNLQTLRMRNLYLLKEFPKKLQNLINLRHIYFDRGYVMRYPVGMGRLSNLRSLSYFIVGKEKGCGIEELGGLKHLKGKISICHLEHVRDKEEAKKAKLAEKTNIRKLKFEWGEDRSSAINNDRDVLEGLKPHSELRILKICNFSSDQFPSWMMSGNLFSSLKKLSIDNAKNLIEWTEAAIFPRLEELFLRNCNQLKSAPTHFPCLQKLTIHSMDSGMPIANISTQLTTLTHLTIRTMKELASLPEGMLKNNKNLSYLEIQSCPDLTCIAADVFGCCASLESLSISWCPNLRTLPDGLHTLLSLKKLIIMDCQSLECIPVTQGVASLCKFPILKCLELCILPEGLECYTSLQMVQIWGCSKITSIPTTHGLPSLRELVIFNCDGLSSLPSGLQHCTSLEHLSITYCPNLEAIPSLDSLTQLRQLHIYNCDGLKDVHPSAFAASLTRLKELSIGGFWKELDSFPAFQVIPQLETLILFGWPKLKSLPEQVQHFTSLTALSILSFDGMEALPEWLGNLASLENLSISLCKNLMYLPTLEAMKCLTKLKHIWFFDCPLLKNRCNKDSGPEWPKISHIPHIDFFGDL >ONI03893 pep chromosome:Prunus_persica_NCBIv2:G6:26710540:26712602:1 gene:PRUPE_6G289100 transcript:ONI03893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYLFISLSLFFTYGHSKTDISLGYQLTVAVPIEYSLGFIGRAFLMETNEITPTFKTALSVEAVNDKYSCSLEVFLGDVKVWNSGHYSKFYTSNICVLQLTQDGDLKLKGPKDRVGWRTGTSGQGVQRLEILRTGNLVLLDGLGNIKWQSFNFPTDVMLWGQRLSVASRLISFPTNSTSYYSLEIEQSRIALYLNSGKWNYSYWEFKPTKNRNIAYVQLGRKGLELFNDKLKKIAQIHPSDHQTVQFGQPLRFLALGNRTGNLKLYFYSPSIAKFDVAFQALNTTCDLPLACKPYGICTLSSACSCIQVLIAENETSISGNSDCSQGISGVCGNGKTKAEMLELKGVGSVLRGAPKIVNVSKEACANLCLEDCNCTSALYSTAKGGMEVEECFLYGMVIGVKQVERGSGLSYMVKVRKGAHGGHGKSNLKRWVLVLVGVVDGLIILLVFGGLGYYLIKKRRKQTLPNGHNN >ONI04863 pep chromosome:Prunus_persica_NCBIv2:G6:29608762:29610733:1 gene:PRUPE_6G344500 transcript:ONI04863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGGGSETEVTWEDQQNINKFGRLNNRFHELQDEIKFAKESNENLEDASNELILTDEEVVRFQIGEVFAHVPKEEVESRIEEMKEVTSKNLEKLEEEKESILAQMAELKQILYGKFKDSINLEED >ONH99096 pep chromosome:Prunus_persica_NCBIv2:G6:836792:839095:1 gene:PRUPE_6G011400 transcript:ONH99096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEAAMAAVEEKLTSLASWGSSPCIIKETHFKQALAKITPSLSDKDLRDYGNFRKQQGKWTSKRKARKGEHVCQECPYRFFIYFYLTSFILRYLFF >ONI01801 pep chromosome:Prunus_persica_NCBIv2:G6:14620208:14621569:-1 gene:PRUPE_6G159600 transcript:ONI01801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDDLYIAVPSFFRCPISLDVMKSPVSLCTGVTYDRTSIQRWLDDGNNTCPATMQVLHTKDFVPNRTLHRLIQIWSDSLRPHRVDSVDSDSPSSPSELPARDQVSDLIQCMDSEPSSASSLDSLSKIARFAAESDENRKFLASSDGFMSLLVDFIGGGDNAVDGAQIGVLEQAVRIIHLLLDDEIQGREELMRWMLKKTGRDCLASLLVVLQQGSADARIASARILESIAVNAEAKFLISEKDGLLSELLKLTGPEKDPTLIEAGLSCLIAVSTPRKVKVRLVHLGAVKWLSKLLGDPNSATSTIEKVLKVLEMASSVKEGRAKICEDGKCVAGIVQRLLKVSGAATEHAVTILWSVCYLFKERAAQEAVAKANGLTKILLLMQSNCSPAVRQMSADLLKIFRVNSKSVISCYDTKTTHIMPF >ONH99024 pep chromosome:Prunus_persica_NCBIv2:G6:521009:525600:1 gene:PRUPE_6G005600 transcript:ONH99024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSPSPPRGGYGRRGRSPSPRGRYGGRSRDLPTSLLVRNLRHDCRPEDLRKPFGQFGTLKDVYLPKDYYTGEPRGFGFVQFVEPADAEEAKYQMDGQLLQGREVTVVFAEENRKKPSDMRHRERASTRSGRYRDRRRSPPRYSRSPRYSRSPPPRHTRSRSRSHDYYSPPPKRRDYSRSVSPPQERRYSREKSFSRSPPPYKGSRSHSESPDRGPSRSRSRSRSHSPRRIDRRTRSPINEDYPREPNGDRFPSP >ONH99023 pep chromosome:Prunus_persica_NCBIv2:G6:521199:525562:1 gene:PRUPE_6G005600 transcript:ONH99023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSPSPPRGGYGRRGRSPSPRGRYGGRSRDLPTSLLVRNLRHDCRPEDLRKPFGQFGTLKDVYLPKDYYTGEPRGFGFVQFVEPADAEEAKYQMDGQLLQGREVTVVFAEENRKKPSDMRHRERASTRSGRYRDRRRSPPRYSRSPRYSRSPPPRHTRSRSRSHDYYSPPPKRRDYSRSVSPPQERRYSREKSFSRSPPPYKGSRSHSESPDRGPSRSRSRSRSHSPRRIDRRTRSPINEDYPREPNGDRFPSP >ONH99022 pep chromosome:Prunus_persica_NCBIv2:G6:521199:525545:1 gene:PRUPE_6G005600 transcript:ONH99022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSPSPPRGGYGRRGRSPSPRGRYGGRSRDLPTSLLVRNLRHDCRPEDLRKPFGQFGTLKDVYLPKDYYTGEPRGFGFVQFVEPADAEEAKYQMDGQLLQGREVTVVFAEENRKKPSDMRHRERASTRSGRYRDRRRSPPRYSRSPRYSRSPPPRHTRSRSRSHDYYSPPPKRRDYSRSVSPPQERRYSREKSFSRSPPPYKGSRSHSESPDRGPSRSRSRSRSHSPRRIDRRTRSPINEDYPREPNGDRFPSP >ONI03404 pep chromosome:Prunus_persica_NCBIv2:G6:24808268:24808841:1 gene:PRUPE_6G255000 transcript:ONI03404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTRRVYIKSDIPQSTANGRPEFGGHHSKLSITDTEKGRGPNCCIILFDGPSQMLQKERRMELHH >ONI02738 pep chromosome:Prunus_persica_NCBIv2:G6:22552045:22555512:1 gene:PRUPE_6G219300 transcript:ONI02738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVLIQHPGSNKVERIYWSVSAHEVMTSNPGHYVALLIASPTMKSENGAPVKQLKLLRPDDTLLIGQVYRLISFEDVLKEFAAKKSVKLGKLLKDTGGPGLEMRMKDLGGPNPNPNLKSENHNSIKMERVRSNGGSSSTSSSYRNVARNHGGGGGGVGGQWRPALQSIAEIGT >ONI04834 pep chromosome:Prunus_persica_NCBIv2:G6:29507479:29510557:-1 gene:PRUPE_6G342200 transcript:ONI04834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQIIQIPRVQLGSQGFEVSKLGFGCMGLTGIYNAPVPEELGISIIKYAFNKGITFFDTSDVYGPHTNEVLVGKALKQLPRDKVQLATKFGIVKIENTQVVVNGTPEYVRSCCEASLKRLGVDYIDLYYQHRIDTTVPIEDTMEELKKLVKEGKIKYIGLSEASPNTIRRAHAVHPITAIQMEWSLWTREIEEEIVPLCRELGIGIVPYSPLGRGFFGGRGVMESIPANSFLASNPRLIGDNFEKNKVLYGNVGTLAEKHGCTPAQLALAWVLNQGDGVVPIPGTTKIKNLDTNIGSLGVKLTEEDVQEISDMIPINAAAGERIVASFITCSWKFADTPAKEGIILS >ONI02226 pep chromosome:Prunus_persica_NCBIv2:G6:19399952:19400435:1 gene:PRUPE_6G185200 transcript:ONI02226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTNSAAAYPPHPQPYSMAPGSYYVQAPPPAGYPTRDAPNPASAHHGPVETKSKGDGFWKGCCAALCCCCLLDACF >ONI00996 pep chromosome:Prunus_persica_NCBIv2:G6:8408561:8410495:1 gene:PRUPE_6G115600 transcript:ONI00996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSLSLSLSLSLSLSLSQPIERPRHLRLHYHQGLWGLLREETHDIWGRKVVVVGAGVAICDRRDNLIFESRKNLKNDVTQVTRLEKKFAYCNPCLVFSGGIKFASKLAIEATAFQSTSSAGTCKAMSVKETCLICFEDTPIARMFSIGTCHHKYCLACMKHHVEVNLQSGIVAQCPHKDCKCEVNIITCKKLLSPELADIMIERIKESSIPVTEKVYCAFPMCSALMSKKEVLEHTKTSFVSEGGRKCMKCQRYFCVNCKVPWHCDMSCYDYQRSETNSLAEEQLLKSLAIKKLWRQCSKCKHMVELDSGCYHITCRCGHQFCYTCGAEWKNKRATCSCPLWDEHHIIRP >ONI04400 pep chromosome:Prunus_persica_NCBIv2:G6:28321792:28324955:-1 gene:PRUPE_6G319500 transcript:ONI04400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHASLILLLLINSLVPFSSGKPDKVCTSQGGRFPPFSYEGKPPRRVNKGPKDLTLCRVFRKKTCCDVSQTHPALVSVRKLASTGEANPECLQLWELLECSICDPRIGVQPGPPVICASFCDRVFKACAEAYYSTDAITQVLAPCGVNDYVCGRASEWIVNGTEFCHAAGFAVKDDITVRKREAFCYGGKASLDLISDSWKVSQSEVPQKVERLRLLEEFQQRWREMPFSERVSWAVGGLVLTAGLLFVSKRKSRHQRHKLAALKHYKKLEAKMSQKSPSSPGNRKSK >ONI03201 pep chromosome:Prunus_persica_NCBIv2:G6:24209221:24210911:1 gene:PRUPE_6G244500 transcript:ONI03201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCGTIHFFGIYSKELKVTFGYDQETLNLLSFSKELVVNIGIYAGLIAEVTPTWFVLLLGAAMNFTGYFMIWLAVTGKIAKPQIWQMCAYIYIAANSMFYIYIAANSMCFTNTGIVPACVKNFPESRGIIIGLLKGYIGLSAAIITQIYIAIYGVQDRRSIILLIGWLPAAITLVFLHTIRPIRISTENQQHSQNQNKNQLRMFFQFLYVSIGLALFLMAITLTQKSVVFPPAAQAATATVVCIIVLLPLWMAELVNWKLIKQAPHVTIEKPQEVIPSKQEDPASSSSQREQQELEYQEVKKAKPLFSIDMLIIFIATLFGLGSSFTATDNLGQIGDALGYKPQAINTFVSLHSIWNFFGRIFSGFASEILLMKYKIPRPLMLTLALILASFGYLFIVFPFPGSLYFASVIIGFTLELQLPLCVTIISELFGLKHYALLLNFSQLMSPLASYILSAKITGALYDKEAKKELARLGLQRIKGQPLTCIGTQCYKLSFTILTAITLFAALGSLVLVKRTLKFYRSDIYKKFRDNAEKVVKAEEK >ONI01353 pep chromosome:Prunus_persica_NCBIv2:G6:10760269:10761581:1 gene:PRUPE_6G135300 transcript:ONI01353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKSDEVAMSSDAKANYDAKGKAVEGAPPPPPPPVVVTTKATKIRNIRGKKGLAIIDIVLRLGAGSAALAAAYIAGNTEQILPFFTQFFQFHAQYNDLPTFTFFVAANAVAVGYIAFSLPFSIVCIVRPHAIGPRLLLVFLDTVMVALVMSAAGASSAIVYLAHNGNLNSNWLAICMQYNDFCQALSGALVASFVAAVFFILLVVNSTFALKRK >ONI03446 pep chromosome:Prunus_persica_NCBIv2:G6:24970500:24973022:-1 gene:PRUPE_6G257200 transcript:ONI03446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAVAPRVPLVISNINCRFSNSRSVRQCHKCSWVAHPESSSLYFHYSTSFSASSVFVQRRVRKGFRSVRVITKAMADSGPSTVLVTGAGGRTGKIVYKKLREADQYVARGLVRTEESKESIGGADDIFIGDIRDANSILPAIQGIDALVILTSAVPKMKPGFDPTKGGRPEFYFDDGSYPEQVDWIGQKNQIDAAKAAGVKQIVLVGSMGGTDINNPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRAGGLQDKEGGIRELLIGKDDELLKTETRTIARADVAEVCIQALQFEEAKFKAFDLASKPEGTGTPTKDFKALFSQITTRF >ONI03447 pep chromosome:Prunus_persica_NCBIv2:G6:24970341:24973165:-1 gene:PRUPE_6G257200 transcript:ONI03447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGPSTVLVTGAGGRTGKIVYKKLREADQYVARGLVRTEESKESIGGADDIFIGDIRDANSILPAIQGIDALVILTSAVPKMKPGFDPTKGGRPEFYFDDGSYPEQVDWIGQKNQIDAAKAAGVKQIVLVGSMGGTDINNPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRAGGLQDKEGGIRELLIGKDDELLKTETRTIARADVAEVCIQALQFEEAKFKAFDLASKPEGTGTPTKDFKALFSQITTRF >ONI00587 pep chromosome:Prunus_persica_NCBIv2:G6:6740866:6742890:1 gene:PRUPE_6G096900 transcript:ONI00587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITIRIILENFLRVCIQKVETVLHSIKWDDRGLAIAIAQNVDTGAILMQGFLNREAVATTVSSRKATFYSRSRSMLWTKGETSNNFINVHDIFLDCVDCLMLLLLVPVLLLMPVMVPVLLLMPLLTCYYTPVFDLLENQQVLSI >ONH99525 pep chromosome:Prunus_persica_NCBIv2:G6:2615797:2617401:-1 gene:PRUPE_6G034500 transcript:ONH99525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAIRSVVGKLGSKYSSCCHSKGRAVANMVRVGDFQIRSSVVSNYNIDRDVLFSISKPPPSYGYSSRHPFLFGNHHKKALPVLQYCRSPRGFGGGHRVAPYSMDNPNTIALAIFAVKEYNKEKNAKLQLVTVLRAWYRCTGGSISYFFTMEAVYKGVVKVYQALVFVRSRQRELRLFGLLNVDNGSLLKLIVKGPTTSYEGLSACIDPWQVKKKIPRKTSRNCLEEEILSTLLHISPLR >ONH99763 pep chromosome:Prunus_persica_NCBIv2:G6:3495412:3496448:-1 gene:PRUPE_6G049000 transcript:ONH99763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATAPADPDFIEVTDYLNGSDSDTNIDEDEVAGEYYQPVSAVDSEDEDQSRNDTVSIHPQQQQQQQQQVLSNGVTMNQVQRGISSLRLNDNVEGTNNHNMSMSSEDEEDEEEEEASDSAIMRAFREDENRRNAPLPPENATRVREAMRGISFAGTPPPWADRVPEGNLIDRIHQLRRPV >ONI04404 pep chromosome:Prunus_persica_NCBIv2:G6:28334354:28336062:1 gene:PRUPE_6G319800 transcript:ONI04404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYFCKMTEEMEVQILARLPPKSLMRFKCVCKSWHALLNNPHFVAKHLHLYNNQPSSTCILFKRSVLSRTEHNKEELVFTFLNLRNDNKSNADHNLINCNVEDLHFPRSMGLKSRGQFIELPGLELGESVHIVGHCDGLFCLSLYTGELVFYNPAIKEFRVLPQSCLEDAFSCTLGFGYDPKRKDYVLLSIMSYGEEILDDERLVIHPPQAEIYTLSTNSWREIETHYLETETTYFWGNETFSTYFNGVFYWLGYEEKKEFVSFYDRLEEEKKQVIILFDTFDEVFHNMPLPDCFYEFPTHEMSLTVWNESIDTIALFGFYRCEFETFEVWVMDEFDGWTKHLSVVPKVDQEVDIPLALWRRNEVLLVDRDGRIFSYNFHTENLKFFPVHGVSRGDFQAVVCVNSIVPVK >ONI05184 pep chromosome:Prunus_persica_NCBIv2:G6:30425950:30426819:-1 gene:PRUPE_6G360500 transcript:ONI05184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPAHGVTFSLIFLLFLATVLTTTEASLTHANGSSAVAAAATDKKDKVEPLVPLVGAENFKTLVMNETRRRLGSFQICALCTCCGGAKGLCLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHI >ONI01643 pep chromosome:Prunus_persica_NCBIv2:G6:13040399:13042108:-1 gene:PRUPE_6G150700 transcript:ONI01643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNLGDASVGDDKGELLNKINEYLLGKRFLIVMDNVWSLDVTWWLRICEALPKGNGSSITTRIEKVAQKMGVKEARSHWPKCLSKDDSDGGECMHPELENVGKEIVERCKGLPLAIKAVGGIMLCKPSCYHEWRRIADHFRERLVENDNSVMAFLQLSYDELPSYLKSCFLCLSLYPEDCVITKDQLVHWWLGEGFIPLRNGRSAIAAEEDCFSRLTNRCLLEVVDKTYHGAISTCKIHDMVRDVTGEVNKIASNTTKKFCDSRILRAMDLSRLGLLTFQCGTNTLNALPQHPCSDTMKEVEVSFKYLPKGLGRLSNLGVLLGYKPTKSKQLEDCRIDKLFPPQQLHELSLKFYPRKISPAWLNPISLPILRYLSISSGNLAKDE >ONI03238 pep chromosome:Prunus_persica_NCBIv2:G6:24315848:24316727:1 gene:PRUPE_6G246400 transcript:ONI03238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVCISNCVNDARDPRVPMRATYVNLYKWPESDAEFVRSVSSKGRRSGAQQHGHPRVVDSISCRQMYLRSYTFSRKETVPEKTQKCFGRVKEKMVNNKKGKAKGGRKRSNKCLVLRKVKELSSAALFRIFRRFLSCSASVDVVN >ONH99738 pep chromosome:Prunus_persica_NCBIv2:G6:3356445:3359387:-1 gene:PRUPE_6G046700 transcript:ONH99738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGDIPPNQTIYIKNLNEKIKKEELKRSLYCLFSQYGKILDVVALKTPKLRGQAWVAFLEVTSASNAVRQMQNFPFYDKPMRIQYAKTKSDCIADADGSLGPKEKKKKQDDKAERKKRGDEGQQSATENGATAENGGRTQASSRQGNPNAHEAAAPNSILFIENLPHETTSAMLELLFKQYPGFREVRLIEAKPGIAFVEFEDDIHSSMAMTALQGFRITPQNSMAISFAKK >ONH99737 pep chromosome:Prunus_persica_NCBIv2:G6:3356244:3359500:-1 gene:PRUPE_6G046700 transcript:ONH99737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGDIPPNQTIYIKNLNEKIKKEELKRSLYCLFSQYGKILDVVALKTPKLRGQAWVAFLEVTSASNAVRQMQNFPFYDKPMRIQYAKTKSDCIADADGSLGPKEKKKKQDDKAERKKRGDEGQQSATENGATAENGGRTASSRQGNPNAHEAAAPNSILFIENLPHETTSAMLELLFKQYPGFREVRLIEAKPGIAFVEFEDDIHSSMAMTALQGFRITPQNSMAISFAKK >ONI04277 pep chromosome:Prunus_persica_NCBIv2:G6:28007304:28011199:1 gene:PRUPE_6G312900 transcript:ONI04277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEDFYRLNPGVISGSEIDVNVVHQVENMATSTSNTSCGFHGHPHPQGHVVDDNMLLFQTEGMGSDNHMSDLIKTQIANHPRFPDLVDAYLECQKVGAPLEMKSLLEEIGRVSHHPMSTCSEIGADPELDEFMESYCEVLRGYKEELSKPFDEATNFLTNIQSQLRNLCKGTFPKTSWDCNSDEGVGSSEEEFSCGEVEAAESQETAAARAGGDRELKDMLLHKYSGYLTNLRKEFLKKRKKGKLPKDARTALLDWWTTHYRWPYPTEEEKLHLSEVTGLDQKQINNWFINQRKRHWKPSEDMRFALMEGVGGSIGAPMLFDAGVGTGNIDDM >ONI02426 pep chromosome:Prunus_persica_NCBIv2:G6:20479213:20480136:1 gene:PRUPE_6G197700 transcript:ONI02426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPKLFFISSLLVVILSASSTQMSHAARHLLDTPAAAPPPTLAIPTIPSLPIPTQLPPLPSLPTLPKPSNTLPTLPTAPALPKTTLPPLPSTPLPTLPTAPTLPKPATLPPLPSTQLPTLPTTLPPLPTSQPQIPSLPKSTLPTVPTTLPPLPANPLPSFPSTIPSIPTIPSTIPSIPFFSPPPSN >ONH99629 pep chromosome:Prunus_persica_NCBIv2:G6:3007042:3013321:-1 gene:PRUPE_6G040300 transcript:ONH99629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVPPHLRSSSTTTTTTTETSSVTLDDQSKLSYPSSNSHFNNDKSSAASFSSFSHSNASRWSSGSISTANRALARPDAVVPQWKPSERVLRMKPELIEEVCLRLNLDVSVSPDSAPAPAPIESFADMCLHASIMKDIAYHGYTTPTSIQAQAMPVALSGRDLLGCAETGSGKTAAFAIPMIQHCLAQPPVQRGDGPLALVLAPTRELAQQIEKEVKAFSKSLESFRTAIVVGGTNIAEQRSELRAGVDVVVATPGRFIDHLQQGNTSVTRISFVVLDEADRMLDMGFEPQIREVMRNLSEKHQTLLFSATMPEEIEELAQEYLTNPVQVKVGKVSSPTANVTQTLEKVSESEKTDRLLALLVEEASRVERSGHPFPLTIVFVERKTRCDEVADALVAQGLHAVALHGGRTQGEREAALREFRKGTTNILVATDVASRGLDVSGVAHVINLDLPKSMEDYVHRIGRTGRAGSMGQATSFYTDRDMFLVANIKKAIADVGSGNAVAFATGKTARRKEREAAAAQKHARVALSKCSITGPTSVNIEDKYRFMIADSNSKREGAADSAWDD >ONH99630 pep chromosome:Prunus_persica_NCBIv2:G6:3008161:3013202:-1 gene:PRUPE_6G040300 transcript:ONH99630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVPPHLRSSSTTTTTTTETSSVTLDDQSKLSYPSSNSHFNNDKSSAASFSSFSHSNASRWSSGSISTANRALARPDAVVPQWKPSERVLRMKPELIEEVCLRLNLDVSVSPDSAPAPAPIESFADMCLHASIMKDIAYHGYTTPTSIQAQAMPVALSGRDLLGCAETGSGKTAAFAIPMIQHCLAQPPVQRGDGPLALVLAPTRELAQQIEKEVKAFSKSLESFRTAIVVGGTNIAEQRSELRAGVDVVVATPGRFIDHLQQGNTSVTRISFVVLDEADRMLDMGFEPQIREVMRNLSEKHQTLLFSATMPEEIEELAQEYLTNPVQVKVGKVSSPTANVTQTLEKVSESEKTDRLLALLVEEASRVERSGHPFPLTIVFVERKTRCDEVADALVAQGLHAVALHGGRTQGEREAALREFRKGTTNILVATDVASRGLDVSGVAHVINLDLPKQT >ONI04111 pep chromosome:Prunus_persica_NCBIv2:G6:27479715:27481958:-1 gene:PRUPE_6G303300 transcript:ONI04111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDDKLLNYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGPFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQRGIDPNTHKPLSEVLDQDDKEISKLSPTYKSNEKASVGSNELNLAEAVNSKPPAVSENRYPVEVSSSSKVLNNNSNNGSSSSSKNLTAVNPPTQEFFLDRACTTSHEGSTSSCRPYDLVGYFSFHQNQSNYGSDMGLAVNPNTTFSFLNQNPRSSEMLPVDFSSSMTPSLLPTMSSSSSIFTTPPTRVKPSISLPSDNSSTWDSNTFSNNGNRSSSSNMELQSNNNNNNSNSFFDSSAAAFSWGLPEPVKSDDAEEIKWSEYLHSPFLMGTTTTAIQNHHQAFQHNNTYTHDQVKPETSQFLSNSSSATTTTSTWHHQNQQAFQASEMCTKDLQRLAVAFGQTL >ONI02882 pep chromosome:Prunus_persica_NCBIv2:G6:23021726:23024427:-1 gene:PRUPE_6G226100 transcript:ONI02882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSVTSSPPAPPATASEKDAKALQFIEETTRNTDSVQERVLSEILSRNAETEYLKRFGLLGATDRDTFKSKVPVVTYEDLQPEIQRIANGDRSLILSSHPISEFLTSSGTSAGERKLMPTIHEELDRRTLLYSLLMPVMNLYVPDLDKGKGLYFLFVKAESKTPGGLVARPVLTSYYKSEHFKTRPYDPYNDYTSPNEAILCADSFQSMYTQMVCGLVMRHQVLRVGAVFASGLLRAIRFLQLNWRQLAHDIHNGTLNPKITDPSLRESMSGTLKPDPELSSFITKECCEENWEGIIPRIWPNTKYLDVIVTGAMAQYIPTLDYYSNGLPLACTMYASSECYFGLNLKPMCKPSEVSYTIMPNMAYFEFIPHDPSAPPLSKLSPPHLVDLADVEVGKEYELVITTYAGLCRYRVGDILEVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQKAIDNASVLLREFNTSVVEYTSFADTKTIPGHYVIYWELLVKDPANNSPSHEVLDKCCLAMEESLNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKAPRCVNFSPIMELLDSRVFSVHFSPSAPHWTPERRR >ONH99766 pep chromosome:Prunus_persica_NCBIv2:G6:3499553:3501939:-1 gene:PRUPE_6G049200 transcript:ONH99766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDATPKFKNPDFRPISQPQDYRQDATVSAHDGLHFWQFMIAGSIAGMVEHMAMFPVDTIKTHMQALGSCPIKSVGVRQALGSILKSEGPAGLYRGIGAMGLGAGPAHAVYFSVYEMSKKFFSGGNPNNPGAHAISGVFATVASDAVFTPMDMVKQRLQLGNNLHSPYKGVWDCVRKVFREDGVRAFYASYRTTVLMNAPFTAVHFATYEAVKRGLMEISPEHANDERLIVHATAGAAAGGLASMVTTPLDVVKTQLQCQGICGCDRFKSGSIRDVFRTIVRKDGYKGLVRGWAPRMLFHAPAAAICWSTYEASKTFFQELNGSSSNSGTIT >ONI01984 pep chromosome:Prunus_persica_NCBIv2:G6:17584305:17586526:1 gene:PRUPE_6G170900 transcript:ONI01984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCSSSPDEHVKVFYGNIVQWDDGGDFNCASFVCLDEEGLDSEYVGHVRKRLYYLITMYHLNIYI >ONI03332 pep chromosome:Prunus_persica_NCBIv2:G6:24626777:24631241:-1 gene:PRUPE_6G251600 transcript:ONI03332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNITTMRTSSDGAWQGDNPLHHAFPLLIVQTTLVLFVSRLLALLLKPLRQPKVIAEILGGILLGPSALGRNKHFLQIIFPSWSTPILESVASIGLLFYLFLVGLELDLSSIRRSGRKAITIAIAGISVPFLFGVGVTFLMRKAIHGENKVGYAQCLVFIGVALSITAFPVLARILAELKLLTTRVGETAMAAAAFNDVAAWILLALAVALAGGNHKSPLVSLWVLISGVAFVAFQLTLVRPFMNWVAKRSTSNDGLVDEAYICLTLAGVMISGFMTDLIGVHAIFGAFVFGLTIPKGEFAAKLTKRIEDFVSGLLLPLYFASSGLRTDVAKIQGVKAWGLLALVISVSCTGKILGTFLVAMLCMIPVRESLALGVLMNTKGLVELIVLNIGKEKKILNDEMFAILVLMALFTTFMTTPAVMAIYNPSWDVIASPTPRLLHSPTKSSKKQKDLRILACIHGPGSVPSLINLIESTGTAFENSPPLKVYVMHLVELTDRSSSIMMVHRVRKNGFPFINRLCQGVSQDQIPAAFEAYGRVGQVTIRHTTAISALSTMHQDILHVAEEKRVSIIILPFNRQCRTDVEETMENLGHDWRAVNQRVLSSANCSVALFVDRGFGGRPERRGAPSSATISKRVCVLFAGGPDDREALEFGWRLAHPSVMVTVVKWIQVEGTDSLEQSHEREVDEAAVAELKRSNESVEYIEKVVASNVAETVVSIGQSTEYDLVIVGKEQHHPAMVAELAHQQLEHAELGPIGDALASSSHGILSSILVIQAPRSKK >ONH99262 pep chromosome:Prunus_persica_NCBIv2:G6:1760614:1761198:1 gene:PRUPE_6G021900 transcript:ONH99262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKPIPRHMCGPAHKGHADLTSFPPSSSISLVVPQPFWRGVLNSLLGWALPHKAPPSGHKRPLVTHMRGTVLAFPDHDRSYTRSVRDRPTQLCID >ONI02730 pep chromosome:Prunus_persica_NCBIv2:G6:22521623:22522989:1 gene:PRUPE_6G218600 transcript:ONI02730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEFCAKYIKWLCLRMIFNLLLCSKSQLVTCTIIKSLPGFPGSLPFKLETGYIGADEKEDVQLFYYFVESERNPRDDPLMLWLTGGPTCSGLSGVAFEIGPMKFNMVEYNGSLPTFVINPYSWTKVSSIIFVDAPIGTGFSYSRSSKGSSDTLFVNHIYGFLKKVN >ONH99086 pep chromosome:Prunus_persica_NCBIv2:G6:788064:788649:1 gene:PRUPE_6G010400 transcript:ONH99086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISPILTFQFIISSLLLSSSAMTLVSGENHGFREKLTHIRLYWHDIVDGPHPTAIDVVSPPSKSSPTNFVLVRMFDNALTQGPELSSELVARAQGFYASAAQKELSLLMAQNFAFVQVFNKVRELPVIGGSGVFRFAKGYAEASTHTFDLTTGDATVEYNIYVLHY >ONI01635 pep chromosome:Prunus_persica_NCBIv2:G6:12902978:12906676:-1 gene:PRUPE_6G150000 transcript:ONI01635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKTNSFVLLVGLVCLCCCAEGTKIKVKAHHVKYKNPKEKINVRVEDLLARMTLKEKLGQMAQVDRGNITAKVMKEYNIGSVLSGGESVPRTQATPRDWIDMINKFQNWSLASRLGIPMIYGIDAVHGHNNVYKATMFPHNVGLGATRDPELVKRIGAATALEVRATGIQYSFAPCVSVCRDPRWGRCYESFSEDPNIVKQMTDFVIGLQGEIPAGSPKGVPYVGGTDKVAGSAKHYVGDGGTTKGINENDTVIDWQGLLNIHMPGYPEAIAKGVATVMVSYSSWNGVKMHANHDLVTKYLKDTLKFKGIVISDWLGVDKISNPPFTNYSNSVLLSIQAGLDMIMLPYNYIDFVKFLTEHVNNKRIPMSRIDDAVRRILSVKFMMGLFENPMSSYEYIPRLGCQEHRDLGREAVRKSLVLLKNGKTPTAPLLPLPKKANRILVAGTHANNLGYQCGGWSLTWQGVSGNNHTVGTTILGAIASAVNETTEIVFSLNPDTNFVKANKFDYAVVAVGELPYAETKGDSVNLTIAEPGPSIITNVCGAVKCAVIVVSGRPVVIEPYLSSMDALVAAWLPGTEGQGVADVLYGDYGFTGKLPRTWFRRVDQLPMNFGEKNYDPLLPFGFGLTTQPVNKR >ONI01479 pep chromosome:Prunus_persica_NCBIv2:G6:11494381:11494915:1 gene:PRUPE_6G141900 transcript:ONI01479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVALWCVQMRPSEHPSMNKVVEMLKGHIENLQMPRRPSLYPQQTPADEVGGDNTSPSASSGSKFEEISLVAMQIKCFNISHDVAVCKGNLAALLKGQL >ONI02575 pep chromosome:Prunus_persica_NCBIv2:G6:21628914:21631105:-1 gene:PRUPE_6G207600 transcript:ONI02575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPEQEHPKKAFGWAARDSSGVLSPFRFSRRATGENDVTFKVLYCGICHTDLHMVKNEWGLSTYPLIPGHEIVGVVTQVGSKVEKLKVGDHVGVGYMIGACQSCDSCSNNAENYCPEMIPTSGAKYHDGTTTYGGYSDIMVANEHFVVRVPDNLPLHGAAPLLCAGVTTYSPLRYFGLDKPGMHVGVVGVGGLGHVAVKFAKAMGVKVTVISTSPNKKAEAIENLGADSFLVSRDDGPMKAAMGTMDGIIDTVSAVHPLLPLIGLLKNNGKLVLVGAPEKPLELPVFPLLMGRKIMAGSVVGGMKETQEMIDFAGKHNITANVEVIPVDYVNTAMERLIKTDVKYRFVIDIANTLKSSS >ONH99607 pep chromosome:Prunus_persica_NCBIv2:G6:2917015:2920799:1 gene:PRUPE_6G038700 transcript:ONH99607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSYAQNFSPARALSPHLRTPPDVDSQYLTELLAEHQKLGPFAQVVPICGRLLNQEIIRVSGMMPNQGFSDFDRMQRGSPSPIGSDIMPNYRGSGLGGWNGRPHDRLGGPQGMNMEWQVAPSSPSSYIVKRILRLDIPVDSFPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKCSIRDIDKEESLRGRPGYEHLNDPLHIIIEAELPANIVDMRLRQAKQIIEELLKPMDESQDHYKREQLRELAMLKSNLREESPQPYGSVSPFTSSGMKRAKTGL >ONI01816 pep chromosome:Prunus_persica_NCBIv2:G6:14924244:14926747:-1 gene:PRUPE_6G160900 transcript:ONI01816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIPDEIVDLPNLEVLSFAFNDLNGLIPSSLFNISTVRALFLSPNQLSGSLPANIGLGLPNLQHLYIAANDLSGVIPNLSNAFTLIKIDLSKNSLTRFILRTLCALTNLQSLNLQMNKLMIDTSTLSCLVNLVNLTSLGLAINPLNARLDDSFQNCSTSSLYLYLFNCSMKGNIPIGIGNISSLVTLSLGYNELSGSIPTSLGRLQNLQALGFIDNKLRGYIPYQLCQLDNLAYLDLSSNQLYGSITSCLGNLTASLRYLSLGSNSLSSTIPSTFWRLAYILSVNLSSNYLIGHLSQDIGNLKVVIEVDLSNNNLSGILPSTIGGLQGLVNLSLANNNLEGPIPSSFDGLLSLQLLDLSRNNLSGVIPKSLEALSLLKYMDMSFNRLQGEIPTGGPFQNFSAQSFVSNKALCGAARLQVSPYKNGTHEPNWRKAKYIIPGIISVILFVASVSIFLLCRKRKVEVAGEATSLPQLLWRKVSHLELLRGTNGFNENNLLGSGGFGSVYKGTLSDGIDVAIKVFSLQLEGSFKSFDRECEMLSNIRHRNLIKIINCCSEIDFKALVLKYMPNGSLKKWLYSQNSLNILQRLNIMIDAASALEYLHHGYSIPIVHCDMKPSNILLDDDMVAHVADFGIAKLLGGGDSITQTMTLATVGYMAPEYGFKGMVSTRGDVYSFGIVVMETFTRRKPTDEMFDGEMNIKQWIAKSLVLPDAKIDEVVDANLLGIGTELEDDDHVRKRDCISAIMRLALTCRAESPEERISMKEVVATLNKIKTKFLKDATTGKGVLLNHPFVQQRFN >ONH99292 pep chromosome:Prunus_persica_NCBIv2:G6:1886520:1886747:-1 gene:PRUPE_6G023400 transcript:ONH99292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKIALAVLMVAVCMSAAMAAGSPKVGAPVEAPSPSATTAASAPTPSGSIANMPIVGSMVGASLLSLLAFYLH >ONI01133 pep chromosome:Prunus_persica_NCBIv2:G6:9204976:9206776:1 gene:PRUPE_6G123400 transcript:ONI01133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGKDLSQQLVELISSLQEQGILTDYFDDIKDLQDEINPRFVDEIFTIFLRVAEDYRAELTRNLSEPDVNYPEVNKLAIRFKSSSTSNACGLVALACQELVDASEAKNKEGCLVALDNVNREYLVAKENLNRIVGMEREIYDMKLCQKQPE >ONI02889 pep chromosome:Prunus_persica_NCBIv2:G6:23095176:23096082:1 gene:PRUPE_6G226700 transcript:ONI02889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQPPAKPAFSSSPRPSLSRKTQSTKPSTGSPKNTARSSAWPMVIVFILVRCAVQECFTKNDVVSANRPSLLLSKHLGYNNTIVTTCPHGDHWRNLRRIGAIEIFPSARPNAFADVRKDKVKYLIRKLSQNSIHGFAKETHPDYYTDQLIKGLILVILLAGIDTSSVTLEWAMCHPLNNP >ONI04094 pep chromosome:Prunus_persica_NCBIv2:G6:27423113:27424577:1 gene:PRUPE_6G302400 transcript:ONI04094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVDKEIASVGGSGGGSVGDDGDDDDDEEGGGSPGRCKCCDEHTKKQRGFRGSGFSTNFGKAKQVVLHPFTRSKKQLPRKDKTRASSASSCSVSSSSFSSGKRFGAGVNGGGNKGCYFCFTQPSTPGSPIGSQTSDPEHPNFTFGMLRDFMEKNDFFSKECNPHLDIDVSPNTKD >ONI02125 pep chromosome:Prunus_persica_NCBIv2:G6:18343846:18345910:1 gene:PRUPE_6G178500 transcript:ONI02125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYGLNLRPQQKKQPTRPPLPKPLGFGDDDDNDVEKEISRHASKNKSLKDIEEQQRKALEQDPSVFDYDGVYDEMKQKAIQPRAQDREERKPRYIQYLMKKAEERNREHEIVYERKLAKERTQEDHLYADKDKFVTSAYKRKLAEQAKWMEEERLRQLREEKDDVTKKKDLTDFYFNLGKNVAFGAEDAKSRKLAKQAELSKLEKHEDRPIAIASEPLESDGLKEGQLEKQVKMDKPEKHEDRAIASASELLDSPEVIEGHQEETLTISKSSVEPSDEKQASNTLAQDHSSVEQPPENQPKSNHHKRSEDALTAAKERYLARKRAKEQ >ONI05065 pep chromosome:Prunus_persica_NCBIv2:G6:30132708:30133672:1 gene:PRUPE_6G354600 transcript:ONI05065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISIDRISHLPDEILVSILSLLSLKEAAVTSLLSKRWQHLWASTDTLNFDSKLDVGNNILRFRRLTPELRHKKSRRYVDWVSRVMEQHKGPNMKRFRACFYLDRRFTTSIDRWIQIAMTKGVEVFF >ONI04087 pep chromosome:Prunus_persica_NCBIv2:G6:27390163:27393238:-1 gene:PRUPE_6G301700 transcript:ONI04087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMRMLLFLAIFYSAGICMISSVTDPNDAAVLLSLKNSWQNTPPSWDKSNDPCDSRWEGVTCNNSRVSGLGLSNISLKGKLYGDIGGLIELKSLDLSFNPGLTGPLSPRLGNLKNLSILILAGCSFNGEIPDELGNLAELSFLALNSNNFSGVIPPSLGKLSKLYWLDLADNQLTGTIPISTYHSPGLDLLLKAKHFHLNKNQLSGIIPSKLFSSKMALIHLLLDGNRFTGTIPVTLGLIKTLEVLRLDTNALAGSVPSDLNNLTNVNELNLAHNYLSGPLPDLSGMNSLNYVDLSNNSFDPSEAPLWFSTLPSLTTLAMEFGSLQGVVPEKLFSLPNLQLVKLKYNAFNDTLNMGDSINPQLQLVDLQNNQISRISLGYEYKNTLILFGNPVCSSSSGASNATFCQPPHQTTKS >ONH99664 pep chromosome:Prunus_persica_NCBIv2:G6:3103866:3107904:1 gene:PRUPE_6G042100 transcript:ONH99664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQKFHLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHIALWMKFFEHKPFDASSVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRSVQFSLTILILGVGVATVTDLQLNALGSFLSLLAVLTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFIVGPFLDGLLTNQNVFAFAYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSAVTYQVLGHLKTCLVLAFGYILLRDPFSWRNILGILIAVVGMVLYSYYCTLESQRKANEGSQQLPQTKESEVDPLLGVENGSGMLGEDSKVPVWNSNKDLHA >ONI02506 pep chromosome:Prunus_persica_NCBIv2:G6:21030588:21034602:-1 gene:PRUPE_6G202700 transcript:ONI02506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQAEKVYVALGNDIQDGFKTLQWTLKKWNSKPISIVILHVTYNISKDFVYTPFGKLPASSLSDEKLEVLMKYEQEKIDKLLSKYISSCGQVKAELLKVERCEQPIHKVITDLIFTHKITKLVMGFTFLKTSSWRIKSAVSGSFYVHHHKPDFCEFFVICGGRLVFLRGENNKGIMEDDQGVMVAKLREKGSLKSWLAKMFNENSADSLDKVSHPSLRFSTNPNSPNSQNQWESCVQEIENYFQHLLSLKLDEEEDCGQGNDRVQISPMEMARPEHADLNMIAAEDLESRKKMLREAQETIKFKRKEAKANAERSTKAEWTISLCNRRAEELEAKIKEEVTKREDLKKALDSEKEQLHEVIMDTEESKSRLNSLMELQYELSTKLHNSSLAKSNRETQLEKAVSTRAEMVREIEELRQQREVLHRRIEFCKEKDAIGMVARLSDMSCGFKDYTAEEIRLATNDFSERLRIKPGGDWTSMYRGRINHATVAIKMLNSANGISKQDFQAKVTILSHIRHPNLITMLGFCTELRCIVFEYMHNGSLRDVFLRDILFSSHISSKTRKRTLGWHDRARIASEICSGLGFLHTGKPRPIVHGRLSLSNILLDRNLVTKISGFGLSLSHDEQSVRSDIRAFGVLMMHLLTGRNWAGLGQAMNMDQAAVVRDLDEMAGQWPLDLAEKLAGLALRCLTSNRGPSRDLKLATVMEELNELKKRADDLVASERAMNRDVKAKDTSDVPSFFLCPIFQEVMKNPHAAADGFSYELDAIEEWLRMGHDTSPMTNLRLRHTFLTPNHTLRSLIQEWHNKRLLPPP >ONI02507 pep chromosome:Prunus_persica_NCBIv2:G6:21030908:21034381:-1 gene:PRUPE_6G202700 transcript:ONI02507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQAEKVYVALGNDIQDGFKTLQWTLKKWNSKPISIVILHVTYNISKDFVYTPFPSVFLSVGKLPASSLSDEKLEVLMKYEQEKIDKLLSKYISSCGQVKAELLKVERCEQPIHKVITDLIFTHKITKLVMGFTFLKTSSWRIKSAVSGSFYVHHHKPDFCEFFVICGGRLVFLRGENNKGIMEDDQGVMVAKLREKGSLKSWLAKMFNENSADSLDKVSHPSLRFSTNPNSPNSQNQWESCVQEIENYFQHLLSLKLDEEEDCGQGNDRVQISPMEMARPEHADLNMIAAEDLESRKKMLREAQETIKFKRKEAKANAERSTKAEWTISLCNRRAEELEAKIKEEVTKREDLKKALDSEKEQLHEVIMDTEESKSRLNSLMELQYELSTKLHNSSLAKSNRETQLEKAVSTRAEMVREIEELRQQREVLHRRIEFCKEKDAIGMVARLSDMSCGFKDYTAEEIRLATNDFSERLRIKPGGDWTSMYRGRINHATVAIKMLNSANGISKQDFQAKVTILSHIRHPNLITMLGFCTELRCIVFEYMHNGSLRDVFLRDILFSSHISSKTRKRTLGWHDRARIASEICSGLGFLHTGKPRPIVHGRLSLSNILLDRNLVTKISGFGLSLSHDEQSVRSDIRAFGVLMMHLLTGRNWAGLGQAMNMDQAAVVRDLDEMAGQWPLDLAEKLAGLALRCLTSNRGPSRDLKLATVMEELNELKKRADDLVASERAMNRDVKAKDTSDVPSFFLCPIFQEVMKNPHAAADGFSYELDAIEEWLRMGHDTSPMTNLRLRHTFLTPNHTLRSLIQEWHNKRLLPPP >ONI01963 pep chromosome:Prunus_persica_NCBIv2:G6:17471783:17477197:-1 gene:PRUPE_6G169300 transcript:ONI01963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSRLLSKSRPLCGNGVILQQERVIPVRYFAKEANRPVLKGDEMLKNIFLDVKKKFETALGILRKEKITIDPEDPAAVSQYAKVMKTIREKADLFSESQRIQHTIQSRTQDIPDARTYLLTLKEIRIKRGITDELGAEAMMMDALEKVENELKKPLLRNDKKGMALLQAEFEKINKKLGIRKEDLPKYEEQLELKKAKAQLEELKKDALEAMETQKKREEFKDEEMVDVKSLDIRNFI >ONH99452 pep chromosome:Prunus_persica_NCBIv2:G6:2366295:2366772:1 gene:PRUPE_6G030200 transcript:ONH99452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQKILVKVPMHCDKCRTKALKIAAAAHGVSKVSIEGADKDHIEVIGDGVDSVCLTRLLRKKLRSATIVKVEEVKEAKADTKEEKPTPPVQCISSASYCAPQCPSMYYGVVCEYPEPNNCSIM >ONI02686 pep chromosome:Prunus_persica_NCBIv2:G6:22282237:22284125:-1 gene:PRUPE_6G215000 transcript:ONI02686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIEFCVMKEYGVHESWTKLFKYSVADDLGLPDINPLRGLKTVFHTESGTVVAICYDKNELIRIEKLQNVVVTSRQYPAGEVLEEVVQYDESLLSLIEYENPTQGGNEERDSTQNNEEGASTKNNEEGA >ONI03633 pep chromosome:Prunus_persica_NCBIv2:G6:25678592:25678930:-1 gene:PRUPE_6G270600 transcript:ONI03633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRSLEEFWAFYMNQHSKPSTRRWHFVGTLVSIFFFLCSLLFNWWLLFLVPLAGYGCAWYSHFFVEGNVPATFGHPFWSLICDFKMFALMLTGNMDKEIKRLGKRPVLQGF >ONI03743 pep chromosome:Prunus_persica_NCBIv2:G6:26161774:26166638:1 gene:PRUPE_6G279200 transcript:ONI03743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPPNQDDVNKNERTNYFNSPAMDVSLAFPQATPASTFPPCTSDYYQFDDLLTPEEQAVRLRVRQCLEKDVAPIMAEYWEKAEFPFHVIPKFGALRIAGGTIKGYGCPGLSITANAFATAEIARVDASCSTFFLVHSSLAMLTIALCGSEAQKQEYLPSLAELKTVACWALTEPDYGSDASALRTTATKVEGGWILEGQKRWIGNSTFADVLVIFARNTTTNQINGYIVKKNVPGLTATKIENKIGLRIVQNGDILLKKVFVPDEDRLPGVNSFQDTSKVLAVSRVMVAWQPIGLSMGVYDMCHRYLKERKQFGAPLAAFQINQEKLVRMLGNVQAMVLIGWRLCKLYEKGKMTPGHASLGKAWITLRARETVALGRELLGGNGILADFLVAKAFGDLEPIYTFEGTYDINALVTGREITGIASFKPAASSQRSRL >ONI05213 pep chromosome:Prunus_persica_NCBIv2:G6:30511085:30516493:1 gene:PRUPE_6G362600 transcript:ONI05213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVNRERRYILQVLFNGLRRLEYRGYDSAGISIDHSFSIDPNTPQSSSNSPPPPLVFRQEGNIESLVKSVYQEVAETELNLEECFSHHAGIAHTRWATHGEPAPRNSHPQSSGSGNEFLVVHNGVITNYEALKESLVRHGFTFQSETDTEVIPKLAKYVYDKAKEGEGDHTITFSQVVLEVMRHLEGAYALIFKSQHYPNELIACKRGSPLLLGVKEFNENASNGSAFQDDNFLSKSGHAKELFLSSDANAVVEHTKKVLVIEDGEVVHLKDGGVSILKFDKGQHGGLSRVASVQRALSILEMEVEQINKGNYKHYMQKEIHEQPESLTTTMRGRLLRGGSCKAKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGIPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADSLSALEYALENGALCVGITNTVGSAIARNTHCGVHINAGAEIGVASTKAYTSQIVVMAMLALAIGGDTISNQARREAIIDGLFELPNKVREVLKLDQTMKDLAQELIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPTFVIATRDACFSKQQSVIQQLHARRGRLIVMCSKGDAASVCPGGSCRVIEVPQLEDCLQPVVNIVPLQLLAYHLTVLRGFNVDQPRNLAKSVTTQ >ONI01678 pep chromosome:Prunus_persica_NCBIv2:G6:13285842:13288373:1 gene:PRUPE_6G152900 transcript:ONI01678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSQEKLNFKASKLLLLVHLLALLVIAHGKLPPEVSNDLNAPAVYILGDSSVDCGDNTLFYPLIHSSMSLYSCNGSDSTLIPHLLAEKMGMPNILPFYSQNGSIDGILKGLNFGSAQATIMNPSSQSYQSVNQQLRQVFDSMQLLQLQLSQETALHFIRSSIFYLSFGKDDYIDLYQRKASGAAKPNYSDQEFSHVLANQMIHVIRNLYDMNVRRMICMGILPLGCTPRMLLDRYNSNSKGSEEDDDGRGCVEEINAQVSEYNKMLHEQIAMLRTELPDAQIVFCDVYQGIRKIINNPEHYGFEDVKNACCGLGMYGAAIGCLSKDTACEQDTSHVWWDLYNPTKAVNSLLADSAWAGSPLSRICRPTTIQELLSTASPSHPIPT >ONI01988 pep chromosome:Prunus_persica_NCBIv2:G6:17622378:17622722:-1 gene:PRUPE_6G171300 transcript:ONI01988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFIGYQTSVILIFVITTMMILPGLATLAPSPSNFMFLEECKSRLHARCGKEIFITIIKEWSISDRCCMEFVSMGQPCHFALVNKALSGPLSKLNKSDALTKSVEIWNHYYKK >ONI01474 pep chromosome:Prunus_persica_NCBIv2:G6:11443820:11444455:-1 gene:PRUPE_6G141400 transcript:ONI01474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKIASVNVGCISSRKLKWFAWGTGGLIVFIGLLVFVVFLVLHPSKPSFNLEDVTVYQFNVSKAPPYAITIIMQVTLSSRNPNSRIGVDYQPLHVYATYRDQQITMAYLLPPTYQGHKEPVVWSPPLTGYDMPISPYSQQSLDQDWHTGVVLMNIKLQGDVKWKVGTWISPKYHLYVHCPANIRLGDLNNGGPNLIKGQPVDNCDVEIAS >ONH99755 pep chromosome:Prunus_persica_NCBIv2:G6:3451752:3453072:1 gene:PRUPE_6G048300 transcript:ONH99755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDEESMCGKNQCLYQLRQNNASTTRAKGTAIHNEEETLGNKHNNLGFNKSRTETGAGQNTEAFGMQNLHIQV >ONI01076 pep chromosome:Prunus_persica_NCBIv2:G6:8914315:8915814:1 gene:PRUPE_6G120100 transcript:ONI01076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFKGKYHVTKEVISKVIKFNYFVQGMKIKNVITKRHTVLGLICFGILAADESTGTIGKRLSSINVENNESNRQALRELLFCAPGALQYLSGVILFEETLYQKTVGDKPFVDVLKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLAQRCQQYYEAGARFAKWRAVLKIGPNEPSQLAIHENANGLARYAVIFQENGLVPIVEPEILRVLAACYKALNEHHAILEDTLFKPNMVTPGSDAKKVSPEVVAYHTVRALQRTVPPAVPAVVFLSGGQSEEEATLNLNAMNKLNTKKPWSLSFSFGLALQQSTLKSRAGKDENVEKARVAFLGRCKANSEATLGTYKGDAALGDGASESLHVKDYKY >ONI02347 pep chromosome:Prunus_persica_NCBIv2:G6:19991373:19993486:-1 gene:PRUPE_6G192700 transcript:ONI02347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONI01317 pep chromosome:Prunus_persica_NCBIv2:G6:10394974:10398422:1 gene:PRUPE_6G132000 transcript:ONI01317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKREVMVSALQFACTDDVATNVATAERLVRAAHAKGANIILIQAQREDFFQRAKPYKDHPTILRMQKIAKELGVVIPVSFFEEANNAHYNSIAIIDADGADLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFKTKFATIGVAICWDQWFPEAARSLVLQGADILFYPTAIGSEPQDGGLDSRDHWRRVMQGHAGANVVPVVTSNRIGKEVIETEHGKSEITFYGNSFIAGPTGEIVATANDKEEAVLVAQFDLDKIKWKRHSWGVFRDRRPDLYKVLLTSDGSNSPL >ONI01316 pep chromosome:Prunus_persica_NCBIv2:G6:10393471:10398591:1 gene:PRUPE_6G132000 transcript:ONI01316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKREVMVSALQFACTDDVATNVATAERLVRAAHAKGANIILIQELFEGHYFCQAQREDFFQRAKPYKDHPTILRMQKIAKELGVVIPVSFFEEANNAHYNSIAIIDADGADLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFKTKFATIGVAICWDQWFPEAARSLVLQGADILFYPTAIGSEPQDGGLDSRDHWRRVMQGHAGANVVPVVTSNRIGKEVIETEHGKSEITFYGNSFIAGPTGEIVATANDKEEAVLVAQFDLDKIKWKRHSWGVFRDRRPDLYKVLLTSDGSNSPL >ONI01455 pep chromosome:Prunus_persica_NCBIv2:G6:11384716:11385757:1 gene:PRUPE_6G140600 transcript:ONI01455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELENPIVMTKVITFLSSLVQRVAESNDINCEFQPQKISVFHGQTRPPIAIQGYLERIFKYADCSPSCLIVAYVYLDRFSQRQPDLPINSYNVHRLLITSVMVAAKFMDDMYYNNAYYAKVGGISTIEMNFLEVDFLFGLNFNLNVTPSTFTTYCSYLQREMLLVQPPLDSADSSLSLIKSLKLQLCFDEGETSHQQQQLAV >ONI02551 pep chromosome:Prunus_persica_NCBIv2:G6:21333094:21336264:-1 gene:PRUPE_6G205200 transcript:ONI02551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHETNELTTSLPLQKETQAPHHPHKTNQSIIQAPSPAAKQNINMMSSDNTPPGKPPPGTKDGESLAAGGGGGGGASSRAKPTSSSSSGAKHQHPPPEQALKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKRVRSSSSNSRLSCSLDSKDSAGSSSSENMMLGGLKFFHGISPAMDFQLGGLSSLPSRLHHNPSSGISYNNNNNQFSAFGDVSGTSGLTISTPLDTSASCNSFLGFNYPLTLGGGGGGGGGGGGDGFSATQNMMSSMNVHSSLASSIESLSSINQDLHWKLQQQRLAMLFGSGNDHDQSTQTTQSQLEQQQKTQQIAPISLFQNLEVSSKADHQHQHQVVGTHGRREGASASGDTATEWFFGNSYAPPVTPTPTNSGGGNGGGGGNENTSNWQHGIQAWSSHDLQQYNALP >ONI04921 pep chromosome:Prunus_persica_NCBIv2:G6:29761946:29764414:-1 gene:PRUPE_6G348400 transcript:ONI04921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRRSDGPVVQISMQFRILCCKMDQIMVTTKPHLLSPSLLPPSRFKINQIPKKNPNSDPYKHQTTTSFTPHEHPNFEQTQQIHAHLIKTQFDHTIQIPLRHLQTHLSPAAQYNFLITSYIKNNCPKIALKLYAQMRRMDTQVDSFTIPSVLKACGQSSLAVLGKETHGFALKNGLDSDVFVSNALIQMYSECGNVVFARLLFDQMADRDVVSWSTMIRSYVRNRLFREALELIKEMHCMQVKPSEIAMVSMVNLFADVADREMGKAMHAYVVRNSTNEKLGVSISTALIDMYVKCGNLAYARRVFDGLAQKNIVSWTAMIAGYIHCRNLQEGAKLFNRMLMERNYPNEITMLSLVIESGSVGALELGKWLHAYILRNGFIMSLALATALVDMYGKCKEITYARALFDSVDNKDVMIWSALISAYAHANCTNQASDLFAQMKDSGVRPSQVTMVSLISLCAEVGALDLGKWVHSYINQQRMEVDVILRTALVDMYAKCGDMDMALRLFSEASNRDSCMWNAMMTGFAMHGCGKQALELFEQMDRQGVEPNDITFIGVLHACSHAGLVADGKLLFEKMVHVYGLAPKVEHYGCMVDLLGRAGNLDEAHKLIKSMPMQPNTIVWGALLAACKIHKNPNLAEVAARELLELEPQNCGYNILMSNIYAASNRWNEVDGVRKYMKDRGTKKEPGLSSIEVNGSVHDFIMGDKAHPQTRKIYEMLAEMTKKLKEAGYTPNTSVVLQNIDEEEKETAVNYHSERLAMAFGLISTAAGTPIRIVKNLRVCEDCHTATKLLSKIYGRVMIVRDRNRFHHFRDGYCSCGDYW >ONI04675 pep chromosome:Prunus_persica_NCBIv2:G6:29006320:29009667:-1 gene:PRUPE_6G333800 transcript:ONI04675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKEEAVRAMQLSEIKIQSNDFTGARKMAQKAQRLFPELENIEKLLTVCEVHCSSENKIGGSEMDWYGILQIQKFDNDATIKKQYRKLALLLHPDKNKFSGAEAAFKLIGEANRVLADQAKRSVYDMKCRALAKTGAPKPSAHPSNGNLFVRKHNNTASNIQSSPQSQYTSMNQHQQVQPETFWTCCPFCKIKYQYHQDFANRLLRCQKCRRAFVAHDLGIQFQVHPESVSNEFPNRKEPPSQGASNVASQSNGGTGNPSFTKYQNGNAASNPLSKTGFSADVSMDSNSEKKDIGHGVGMSKSGPVKPKDSEISRNKNKKRGRKSIFESNESCKTGNRATSDSEHVIIQEKVSKLSEPNGGNHNRRSSRKKQNLSYNENLNDDDFVSPPKRWRDSQLSSGVSKTDGSTDSATTPSVGGHKNEAEQNVTAPLEECSPSKRSKPGEFEKNVKEAAMPDNDDVSVEVPDPEFNKFGLGEDMLENVFSANQTWALYDPVDGMPRFYARVKKVFTPGFKVRFTWLESNPDDQGEIAWCNKELPVACGSGRSSFFVYPRKGETWALYQNWDIGWSSEPEKHVPYKFEFVEVVSDFDENNGVGVAYLGKVKGFVSLFQRSEQHGVILFQVPRNELFRFSHRIPSFKMTGDERDGVPKESFEFDPASLPTNLDDFIDLKKDNRAMNTEPNGLSREFLESEGKPVMGSGRVCAAEKQENSERETSMRRSPRKANSQSASSVKLEATRCDFTQPTGSASACQATDEIIKTPKKHLKNDSDRETFRLRRSPRDLSKNSTRANVTMKRPDSTNNESHPNFTPSKINSTSSQSDDRKRSSVKDLPSVSSMKSPVTPPSSSPACRLSQTQFYDFDGQKSEEKFQLGQIWALYSERSGMPKTYAQIKRIESKPNFQLHMALLEPCLEPEGMSEPVCCGTFKVKGGQTKVFPRTSFSHCLKAKPVGKKNFEINPRKGEVWALYKNHNPELGFANLGKGESEIVEVLDDNDQSTKVVVLAKLNGYKSVYRAPRIHRLKTGVIDIPQAEIGRFSHQILAFQHTMESDSRLAGYWELDPLSIPGKLEL >ONI01333 pep chromosome:Prunus_persica_NCBIv2:G6:10488017:10489878:-1 gene:PRUPE_6G133600 transcript:ONI01333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGYAYDRVPPGYHFCPSEQELLLYYLRPKVNAEEVPGENHVVFDFNLYSDQPRKIWDHFQTTRQNDLKMSNDLYLFTELQTKTTNGSRVSRTVGSGTWKGEDGGKKICAPGTDHVIGIKKRFRYENKGSAEHGKWLMNEFELGQSLIHNRQAKKYVLCLLRKKEEPEKKRKEPEEEDRRQVLCLQTQEKRQRMLPCIDNLPPPHPQPQPLENYAFVAELPVTQSLKPWIDNSPPLAAQVQDENLGQELLTSCVDFVPSFASEELSILEWDERLWEPFVLQSVDDVPEPVAVGTTMQGAENEVIYTASTSALPIDCTGHTATQADNPQSNVFGGLGGLDDSFNCTPWINSVEGEPASGINTTEEDNLRQS >ONI01326 pep chromosome:Prunus_persica_NCBIv2:G6:10457819:10458448:1 gene:PRUPE_6G132900 transcript:ONI01326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTSNLKFFSLLICSIAIVQMATAGDPDIITDFIAPPNGTVDGNFFTYTGFRVLVGGGPPPTAFTVLKATLAEFPALNGQSVSYAVLQFPSGTTNPPHTHPRSAELLFLVDGTLEVGFVDTKNNLFTQTLQAGDLFVFPKGLAHFQYNADSQNPALAISAFGSANAGTVSIPSTLFTTGIDDNVLAISFKTDVATIQKLKAGLAPKP >ONI04881 pep chromosome:Prunus_persica_NCBIv2:G6:29655467:29660572:1 gene:PRUPE_6G345700 transcript:ONI04881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDKLFGEHDGEEVPENVTEIEAETEDVQPQEQDQALALAEEEADAEALAQEQERAVSLAQEQEHALAQLQAHEQEHVLAQLQAQEQEQALAQLQAQEQEQALAQLQAHEQEQALAQLQAHEQEQALAQFQAQEEVEVQEEELHVREHNQEQHEDAVVGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKEEPDSSFPPAMDGLLRVHKRIIDGLDGDSSHAPPGMGGKVSTRLLVAASQAGSLIGKQGGTVKSIQESSNCIVRVLGAEDLPIFALQDDRVVEVVGDAVGVHKAIELIASHLRKFLVDRSIIPIFEMHMQMANPQMEHAPPHQQWGPPQGLPHNAGGGPGFGPPNPQYMPPPRQHDNYYPPADMPPPIEKQPHHGISAYGREAPMGVHQSSNAQSAPSMVTQITQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEISGSASQVQAAQQLIQNFMADAGAPQPTQTAGSVDQGYNSYAAHGSVYSSPPSNQGHAGHTGGYGSVYGSHYGY >ONI04882 pep chromosome:Prunus_persica_NCBIv2:G6:29655566:29660465:1 gene:PRUPE_6G345700 transcript:ONI04882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDKLFGEHDGEEVPENVTEIEAETEDVQPQEQDQALALAEEEADAEALAQEQERAVSLAQEQEHALAQLQAHEQEHVLAQLQAQEQEQALAQLQAQEQEQALAQLQAHEQEQALAQFQAQEEVEVQEEELHVREHNQEQHEDAVVGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKEEPDSSFPPAMDGLLRVHKRIIDGLDGDSSHAPPGMGGKVSTRLLVAASQAGSLIGKQGGTVKSIQESSNCIVRVLGADLPIFALQDDRVVEVVGDAVGVHKAIELIASHLRKFLVDRSIIPIFEMHMQMANPQMEHAPPHQQWGPPQGLPHNAGGGPGFGPPNPQYMPPPRQHDNYYPPADMPPPIEKQPHHGISAYGREAPMGVHQSSNAQSAPSMVTQITQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEISGSASQVQAAQQLIQNFMADAGAPQPTQTAGSVDQGYNSYAAHGSVYSSPPSNQGHAGHTGGYGSVYGSHYGY >ONI02209 pep chromosome:Prunus_persica_NCBIv2:G6:19163339:19164535:1 gene:PRUPE_6G183700 transcript:ONI02209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAISSTVVSGWAWLPSDLLYLIVEKLIPITDCIRLGAVCKNWQSVARHQKHQRLKSCHKQLPMLMVPSKHNRHERRGLYSVAKGETCSFELHVPYNKRLCGSSHGWLACVDENLEVTLLNPFTKRTVHLPPFTQVPQPIHKQAYRSDHYIKKVVLSADPSLFPNDYEAVALFDSNGTRVAHIKSGDHGWTHIDQTIRFFYGLNQVIGFDDVIYYRGQFLAASREGGVFAINVSKDPTYKPHVSLVVPIVQGIDDQAYLVESSSGDLLLVRKFQSMNYVECFTEILSFKVFKLFSDCGDKIGSERIEEIDSIGNDAFFLGGNNHSICVSALDFIGCHPNSIYFCIDKWVGVAYDEVQEPLGMAVFNFENRKFGTNYYCSSPSQKYMPPSTWILPTMV >ONI05071 pep chromosome:Prunus_persica_NCBIv2:G6:30167407:30168929:-1 gene:PRUPE_6G355000 transcript:ONI05071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTELIRRIQMKGKAKIKGLVNRLRRYACLTSNVIDEANHAHHDQTPLSDHLSSHEHIRQSYNGGLNEKELNDPRWGYCHRFWEDTVGLEQDIKALVAELAPAATTIRSSRTPSRIVAICGMAGLGKTTLARKVYNHDQIRRRFDCLAWAYVSENCQPRDVWESILIDLTTRNSYSSTYGSSDEERVANIRALPYAELARRVYQIQQEKRCLIVVDDIWSTEDWDHLCHAFPSPSPSPSPGGNNVKGCSSGSRILLTTRNREVASHADPKALIHEPKLLIEEEGWQLFLKTVFEGDNPGSSIKANTYEEELGRELVESCVGLPLAIYVLGTMLSLNMRIRRPKCEPQFLEWETANRDVLSYIMETRCYHNPPFLDAIYCDLPLLFNGYNNS >ONI03420 pep chromosome:Prunus_persica_NCBIv2:G6:24874564:24878175:1 gene:PRUPE_6G255900 transcript:ONI03420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCWSNKIKAETPSHTGFNSKNGSASNSKASSGSMPVTPRSEGEILQSTKLKSIGFSELKNATRNFRPDSVLGDGGFGSVFKGWIDESSFIATKAGTGMVIAVKRLNQDGIQGHKEWLTEINYLGELHHPNLVKLIGYCLEDDHRLLVYEFMPKGSMENHLFRRSSHFQPLSWSLRMKIALGAARGLAFLHNAETQVIHRDFKSANILLDSNYNAKLSDFGLARDGPTGDKSHVSTRVIGTQGYAAPEYLATGHLTAKSDIYSFGVVLLEMLSGRRAIDKNRPTGEHNLVEWAKPYLRNKRRVFRVIDSRLEGQYSLNRAQKAANLALQCLSIDPKYRPDVNEVVTTLEELQETKDMQKSTQKENHVTSHGHSNGAPNASRVTAYPRPSASPLFA >ONI02681 pep chromosome:Prunus_persica_NCBIv2:G6:22249416:22252307:-1 gene:PRUPE_6G214600 transcript:ONI02681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQQNLIKKAMAMEKTTLPDDIIVEILLKLPMESLIRFSCVSKRWRYLVISDPEFAQHHFKLGSKHKTLKRRLLFSSTSSKYPYELNWPEHLFGSVDLEGDSPVISKLVSPFKQGNGAIILGSCNGLVCAQFQEKFYICNPSTGLSNTLCNSGFLEYFRSKANGRRRRVEMSPIYHGFGYVSATDDYKLVVAIANSWKTIQAPFLSIQAHVIYIKQKRGTLSNEALHWLPWGGGPVVIAFDLAVEFRDVMLPIKNVFATRDTRMPDFQYMEVWVMREYDVCESWTVLFKCSIDYELPRPSSSIFIRESGTTLIRYCSGKKVELIWFDKNEDKFDNDVVCSRCMLEGLYYADDRIEYVESLLCLPDISCKL >ONI04079 pep chromosome:Prunus_persica_NCBIv2:G6:27343936:27344421:1 gene:PRUPE_6G301300 transcript:ONI04079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKEQANQENWCLCEADQLVSRGQKKHISYPRWSKKHFAKTSLHADTPFNSIPSTLPTTPLEDFYLMSP >ONI01401 pep chromosome:Prunus_persica_NCBIv2:G6:11199714:11202278:-1 gene:PRUPE_6G138000 transcript:ONI01401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSLLFAAYTVLLLLLLLLLHVPSSCSQTASNNFTANKAHDNSDCTRSCGNINISYPFRLKGDSKHCGNKRFELSCEAKGNGTTHHAVLSLLSGKYYVQAINYNNFTIRLVDVGVHKIKDNYFSHPLYSLAPFNFSNYSGCARPYDPTSSLMSIIFLSCENPMNPSDLIVETAPCISGVNNYSSSNSSFSNLITYSYFLQFWVGRGFVNGPSSYNLGDSCKITQMVMVSPSTEEHMTSCKGLYNEIAHGFELSWLHHACKVTCRSGESCRLNRNGNGIQCSPEEVELLIHVFTNVAELVIDSIYRYFHPMCLNFYREIVVCAIVYLALYVAAKFSFGFPFVTALLIYKWRRRHLSMYDNIEDFLRSNNNLMPIRLGEGGYGSVYKAKLRSGRLVAIKLLGKSKTNDGQDFINEVATIGRIRHVNVVRLIGVCVEGSKRALVYDFMLNGSLEKYIFSQQRDVSLSCQKIFEIALGVAVVSDFGLARLYPLDNSIVSLTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMEMAGRRKNLNAGIEQSSQLSQIYFPTWVSDQLNKGKDIEIRDDATDEEKKIVKKMMMVGLWCIQMKPSERPSMKKVVEMFEGDIENLQMPPRPSLYPQQIPADEVGGDNPSPCASSASEYEEIALIADANEVN >ONI03325 pep chromosome:Prunus_persica_NCBIv2:G6:24598611:24602241:1 gene:PRUPE_6G251100 transcript:ONI03325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESADFRGEETPLVVENSPIQSRRKHTRDVHILSSAFLLIFLAYGAAQNLETSLNTEDDLGTISLGILYLSFTFFSLVASPVVQAMGSKNALLLGTTGYWLFIAANLKPTWYTMVPASLYMGFAASIIWVGQGTYLTSTARSHARDNNLHEGTVIGHFNGEFWGMFACHQLIGNLVSLAMLRDGTGGSTSGTTYLFSVFLISMTLGTTLMCFLHKRDGKGEEDPQGSSLSFYASLLSLSKSIITPLFDVRMLLIIPLMAYSGLQQAFVWAVYTKYIVTPTLGVSGVGGAMAVYGAFDAICSLTAGRLTSGVKSITIIVSGGVLLQAIIFLWILLLYSPVSGALGIIYPLIMAALLGIGDGVLNTQLSALLGIFFKNDTEGAFAQLKVWQSASIAVVFFINSYISLQAMLIIMLAAIVVSYGAFVFLSLREKAFPSSSS >ONI02431 pep chromosome:Prunus_persica_NCBIv2:G6:20502846:20505128:-1 gene:PRUPE_6G198200 transcript:ONI02431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNSGTVSLKLLIDTTRNKVLFAEAGKDFVDFLFTLLSLPAGTIIRLLSKDAMVGSLGKLYESVETLNDEYLQPNLNKDTLLKPKEPVAAGPNLLGLLTDVKSDAPKTIYRCSNGSCIYRISYVADDPKAICPGCKHSMTTAVTYVASPTSEVQATYSGAGKVGYVKGLVTYMIMDDLEVKPLSTISCITLLSRFSVKDVGVLEEKVVDLGMDEGVKLLKASLQTKSVLTQVFLR >ONI04261 pep chromosome:Prunus_persica_NCBIv2:G6:27963863:27968824:1 gene:PRUPE_6G312300 transcript:ONI04261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGCGCTAPLSQYSLSFKSFNLFSACQASFPSAPPRPSSRICYRIASRRWLNLNHISVGSRRFNGFSSRCSITNTDLHFSHVATENEVQEETSAAEPDCSVSIVNLNSDMLETESLSLLTEDTYVDSLLTTLPVLSKEEQHTLAATPAHPMGLYALYASCLAGNLVEQFWNFAWPSAIALIYPSLLPVAFMGFFSKLAIIAGCPLVGTLMDHFPRVPAYNCLNIIQATAQLLSAAMIIHAHSVPPSVSSLLLRPWFIVLVLAGAVERLSGVALGVAMERDWVVLLAGVNRPIALAQANAILNRIDLLCEIAGASLFGILLSKYDPVTCLKFAGGLMVWSLPFAIVLTCLTNKLSSGVLDRPKCSQTCCKASTEGPLIDTNDILDKGVAAIKLGWKEYMQQPVLPASLAYVLLYFNVVLTPGSLMTAYLTQSGLNPSIIGGFSGLCAFMGVAATFVSSCLVRRLGILKAGAAGLIFQASLLTAAVAVYCSRSSSQQSPLLFFLCLIVLSRLGHMSYDVVAVQILQTGIPSSKANIIGTTEVAVASLAEFTMLGVAIVVNDVSHFGFLAVLSLLSVVGAAWMFCRWLLNPTDEQRTLFSFDAQCQ >ONI02722 pep chromosome:Prunus_persica_NCBIv2:G6:22470558:22473380:-1 gene:PRUPE_6G217900 transcript:ONI02722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFAKSIANSLKLDDEEDDVYHNTNPSSNTDPNSSPPDPQPDPQSGSPSTPTVKGDLSELTKTLSRQFWGVASFLAPPPDPTHPPNPSAPPQPADLEPSDEDVIAGIRSDFAEIGGKFKSGISKLSSNIAVSEFTKIASNLLQFGSEEEAEAAGAALGVTQEVLAFVRNVSMHPETWLDFPLSDDEDSDDFELSDVQQEHALAVERLAPSLAALRIELCPEHMSEAHFWMIYFVLLHPRLSKHDAELLSTPKIVEARAMLSYELKKGANEKQESDPSGSGTIYAKGIADSPKEQHLSVPPSVQSDLVSPHTSTIRSAPQPVAVVIETEKHTVQSSEIQIIDKPVIEEGPLKETKFQQPLLNSSSKVTDEKYEDDADDWLKEETAEIDGVRGTSIPIENDEDVSFSDLEDDDGEAASSYKKVTSGSDSSTKDSRDWVQLSRTSADSDKEINSVEIRHAGSDLEG >ONI02723 pep chromosome:Prunus_persica_NCBIv2:G6:22471052:22473380:-1 gene:PRUPE_6G217900 transcript:ONI02723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFAKSIANSLKLDDEEDDVYHNTNPSSNTDPNSSPPDPQPDPQSGSPSTPTVKGDLSELTKTLSRQFWGVASFLAPPPDPTHPPNPSAPPQPADLEPSDEDVIAGIRSDFAEIGGKFKSGISKLSSNIAVSEFTKIASNLLQFGSEEEAEAAGAALGVTQEVLAFVRNVSMHPETWLDFPLSDDEDSDDFELSDVQQEHALAVERLAPSLAALRIELCPEHMSEAHFWMIYFVLLHPRLSKHDAELLSTPKIVEARAMLSYELKKGANEKQESDPSGSGTIYAKGIADSPKEQHLSVPPSVQSDLVSPHTSTIRSAPQPVAVVIETEKHTVQSSEIQIIDKPVIEEGPLKETKFQQPLLNSSSKVTDEKYEDDADDWLKEETAEIDGVRGTSIPIENDEDVSFSDLEDDDGEAASSYKKVTSGSDSSTKDSRDWVQLSRTSADSDKEINSVEIRHAGSDLVSSRNMETKESNDWLDVEDIDVI >ONI01382 pep chromosome:Prunus_persica_NCBIv2:G6:11017149:11019556:1 gene:PRUPE_6G136500 transcript:ONI01382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKHWSTLNEPYTFSNFGYAIGSHAPGRCSTWQQLNCTGGDSSTEPYLVTHHLLLAHTAAVKLYKNKYQASQNGVIGITLVSHWFEPLSEEKENKNAALRALDFMFGWFVEPLTSGDYPQSMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYAGYYASDAPQNNSVYASYTTDAGVNLSSERNGVPIGSKGASEWLNVYPQGIQDLLLYTKKKYHNPVIYITENGVDEFNDPKLSLAEALNDTHRIDYYNRHLHYVQSSIDNGVKVKGFFPWTLLDNFEWSSGYSVRFGITYVDYNDRLKRHPKLSAHWFKSFLKPY >ONI00164 pep chromosome:Prunus_persica_NCBIv2:G6:4881620:4882682:1 gene:PRUPE_6G071500 transcript:ONI00164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMATTSISLSPPTFSHFLKPSHCQFLRTQKPCLPFASPTKKSIIFHNPHDNFTQKSTPIWQIYATSGEAVPAEAIPLETSQQIVSTGDEDGVSITISVLLFIAFVGLSVLTIGVIYLGVTDFLQKREREKLEKDEATNKKKSGKKRRVRARAGPKGFGQKVTIDDDDDDD >ONI04832 pep chromosome:Prunus_persica_NCBIv2:G6:29497598:29503580:-1 gene:PRUPE_6G342000 transcript:ONI04832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRSFQVHHNDSTFGVDFDTDDGLEVFKLQLLSLTSIPPDEQKLIGMDENRVVSDKSDLVAISEKLRLVSINEEQQETSTAENDELLKSDEELARMLQAEECAAPEDNGKVEGRLRTYVSIVLMYEDLERREAARKTVPVEELEEKALVSLAKEGNLTPSKNEQDHAFLLQLLFWFKQSFRWVNAPPCDGCGKETVLHGKVDPLPSEIRHGASRVEIYGCNFCPIGSRFPRYNDPLKLVETRSGRCGEWANCFTLYCRAFGYESRLILDFTNHVWTECFSQSLGRWLHLDPCEGVYDNPMLYESGWNKKLTYVIAITKDGVCDVTKRYTRKWHEVISRRNIITETALSAVLANVTKDCRRGFTFQVLSVLEDRDEKERQELERGLHSTDNASTSLPGRLNGEKEKAVEIPRVKLGSQGFEVSKVGFGCMGLSGVYNSPVPDEDGISIIKHAFSKGITFFDTADVYGPHLNELLLGKALKQLPREKVQVATKFGITGRPDPPRITVKGTPEYVRSCCEASLNRLGVDYIDLYYQHRVDTTVPIEETVGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITALQIEWSLWTRDIEEEIVPLCRELGIGIVPYSPLGRGFFGGRGVVESVAANSFVANHPRFVGENIDKNKNIYHRIESLAKKHQTSPVQLALSWVLHQGNDVVPIPGTTKIKNLDSNIGSLGVKLKEEDIKEISDAVPINQAAGDSDSGILMQWQWKLANTPPKHSKSEL >ONI02024 pep chromosome:Prunus_persica_NCBIv2:G6:17823850:17824669:-1 gene:PRUPE_6G173300 transcript:ONI02024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAARAIRCELQRQENPAVSRRDPAVPVQVPVPKPVAPEPKTAKIVLQPRLCTLRSYGSDLAGLMRTKKDDTSPFFAALSEYIESSKKSQDFEILSGRLAMVIFAATVATEAVTGNSLFGKVEVEGIAEAAGVCLAAVACAAVSAWLSSNRKNVGRVLSLSCNGLIDSLIDQIVDGLFYETTDLSDWSDEV >ONI00391 pep chromosome:Prunus_persica_NCBIv2:G6:5797400:5801497:1 gene:PRUPE_6G086200 transcript:ONI00391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELVPLMILFLLLAIPLLLFPTLSSKIFQTHLHLPPSPLALPIIGHYHLLGPLIHRTFHNLSLSFGPLFSLRLGSLQCVVVSTPNLAKEFLSTHELSFISHAQSLAIESITYNASLAFAPYGSYWKFIKKLTVNELLGNRSINNLVSIRTQEYLRLLRFLAKKAESGEAVNLTEEFPKLWNNVTVQMIVGNRGLSAEGRAARAEEAGVVVRQATRLFGEVSLCDFFWVCKKLDLRGFVKRIEETHRRFDVLVEKVIREREELRKKERMEEHGGEKEEEVKDFLDTLLDLLEDGSAEVEFTRIHLKALITDLFTAGTDTNAISLEWALAELINHPRVLKKAREEIDRAVGNQRVAGESDVPNLPYIQAIIKETFRLHPPVPLVPRNSVQQCKIGGYDIPTNTMLYVNVWAIGRDPKNWENPLDFCPERFLQLSEGGCQMNAVDVRGQHFQLMPFGSGRRVCPGVNLTMKMLPGVLAALIQCFDWKVDGSDCKKMNGDDVLEMDERPGLTAPRAHDLVCVPVARFSLLNILDP >ONH99825 pep chromosome:Prunus_persica_NCBIv2:G6:3649527:3653275:-1 gene:PRUPE_6G052000 transcript:ONH99825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVTGGEDSGGNISLSVKFSGRTIPITNLSPDSTIKDLKSLLQPLTNVLPRGQKLICKGKLLVDGMTLKESEVANGSRIMLMASQGLHQGDGPILKQAPTRPISRANNANTLGKEKTEVSVDKNRLDRWKATGVIGLRDYNLRAIPDEVWACGASARVIELSNNAIPVVPAKIGCLSSLQKLFLSANDILDNSINWEALVSLKLLTVLSLDQNHLTTLPPAFGLLTSLRQFHVANNKLSSLPNEIGLLTKLEVLKVNNNRISTMPTCIGDCIGLIEIDVSSNLLSELPETFGNLHNLKSLCLSNNGLKSLPSTLFKKCLQLTTLDLHNTEITMDVLRQTEGWESFDERRRLKHQKQLDFRVVNSGAFDEGADKS >ONH99826 pep chromosome:Prunus_persica_NCBIv2:G6:3650610:3653275:-1 gene:PRUPE_6G052000 transcript:ONH99826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVTGGEDSGGNISLSVKFSGRTIPITNLSPDSTIKDLKSLLQPLTNVLPRGQKLICKGKLLVDGMTLKESEVANGSRIMLMASQGLHQGDGPILKQAPTRPISRANNANTLGKEKTEVSVDKNRLDRWKATGVIGLRDYNLRAIPDEVWACGASARVIELSNNAIPVVPAKIGCLSSLQKLFLSANDILDNSINWEALVSLKLLTVLSLDQNHLTTLPPAFGLLTSLRQFHVANNKLSSLPNEIGLLTKLEVLKVNNNRISTMPTCIGDCIGLIEIDVSSNLLSELPETFGNLHNLKRERERERERERERERESFLCFDMEL >ONH99936 pep chromosome:Prunus_persica_NCBIv2:G6:4075987:4083726:-1 gene:PRUPE_6G058500 transcript:ONH99936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYAKDTDPAFQAAGAKLGLEIWCVENLKLVSVPKSSHGRFYSGSAYVILNTVLPKSGLPQHDIHYWLGHDTNKVDSALASDKALELDAALGSCTVQYRELQGQETGKFLSYFKPCIIPIEGVYASQKEHLNGETYKVSLLACKGDHVVHVKEVPFSRSSLNHSDVFILDTASKIFLFSGCNSSIQERAKALEVVQYIKENKHRANCEVATVEDGKFVGDPEVGEFWSLFGGYAPIPQDPPSSVQKQPDTPFVKLSWISTQGKLHACQTDSLSKEMLETDKCYMVDCDSEIFVWMGKHTSVTERKTSISAAEDFLRNQGRSAGTHSTFITEGLEPAKFRSYFYNWPQTVETKLYEEGRGKVAAMFKQQGYEVKELPDEEDIQPFIDCRGTLKVWRVDCEKLSLLPASEERKIFSGDCYVVQYTYLGNERSENLFYAWLGCGSVMEDRKDAMSHLNAIVDSTRGNPVLAQVMEKKEPSQFFSIFQTLIIFKGGMSTRYKKFIAEKGIGDETYDESKTALFRVQGTSPNNMQAIQVDPVSGSLNSSYCYILQTETYLFSFDANQPTWQATSVREGSESDIFWNALGGKADYARAKEIKGYIEDPHLFMLSTTEGDFKVKEIYNFTQDDLTTEDVLVLDCHTEIHVWIGCHSNVRSKQQALTLGLKFLETDVLVEGLSLETPIYVISEGHEPPFFTRFFEWDSLKSNMLGNSFERKLAILKGKPQQLEAPKRNSWKAYSRETTPDGLRSKSMTSNGQRSVSPASGVSVSSVTSSNNHILFSSTPINRKIFTGSSPNGSPDVSLASAGSPAAEAKLPATGGTQADGNEPREAGTNLLIYPYERLKVISKDPVAGIDITKREAYLADEEFQAQFAMTKRDFYKLAKWKQNKLKMALHLF >ONI04465 pep chromosome:Prunus_persica_NCBIv2:G6:28483943:28484991:-1 gene:PRUPE_6G323000 transcript:ONI04465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLPHYFHACKHVCFNQRCITIQSSGGNWKERPLADQFAPLESGFRRRLLVGLGSASLVVLGANFVGTTSFLLGLSPESSRSLKLDVLYPIGGYSRCIDTNEGFASWVGDQTLLYRAAEKKEFERSLDPPPLNINTKSRANTGRRGNVSEPVVAFGPPATTGELNVSVIVSPVPLDFKIEAFGGPKEVGEAVVRTVTGSGKRPDVKGTLIRTNLREDSLRNIKYYELEFRVESPLFHRHNIAVCCARGGRLFTLNAQAPESAWPEVKSDFHRIAESFSLTS >ONI04820 pep chromosome:Prunus_persica_NCBIv2:G6:29470511:29472130:-1 gene:PRUPE_6G341200 transcript:ONI04820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKWIINFLVGKQEKKINQTNVSISTEHPSTATKPGTPKVKRRWSFGRLAGKEACHRPSKSVDSIDTTILPLPLKAQQNHALTLAVVPRDVDDAAATRIQAAFRSHLARKALHALKGLVKLQALIRGHIVRKQTTATIMQMHALMAIQVRARFKRIQMAEEAQQANKKQLTIQRDHGVHRRRQYEEPSFPTANSPWNYPAASKPKPTRPPFTFQQQDYGDPACYDYTFKPSYMTNTKSSKAKVRSQSEPKQRPEGSTKHKTKHTKSWDATDGPMEDQVLKRSSSQFKPNGHKNHDPWFVKLYKSRRLFEEDKHASTGHSNYNESLAAFEVKI >ONI03461 pep chromosome:Prunus_persica_NCBIv2:G6:25011051:25013786:-1 gene:PRUPE_6G258000 transcript:ONI03461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLLQSPAFSMAILFAVCAFLCVFPEPALAKHARVTRHYKFNIKSQNVKRLCQTKSIVTVNGQFPGPRIIAREGDRLVIKVVNHVQHNLTLHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNFTVTGQRGTLWWHAHISWLRATVYGPIVILPKRHVPYPFPQPFQEVPIIFGEWWKADTEAVISQALQTGSGPNVSDAYTINGLPGPLYNCSAKDTYKLKVKPGRTYLLRLINAALDNELFFSIANHTLTVVETDAVYIKPFKTQTVLITPGQTTNVLLRTKSKSPKATFVMAARPYVTGPAAFDNSTTTGRLEYETSSVKANKNKNKKKLPLLKPVLPKLNDTLFSMNYNKKIRSLANAKFPANVPKAVNKNFFFTVGLGVSTCSKNQTCQGPNNTRVVAAINNVTFVQPNTALLQAHFFNQSKGVYTTDFPANPPFKFNYTGTPPSNIAVSSGTKVVVLPFNTSVELVLQDTSIIGAESHPLHLHGFNFFVVGFGSGNFDPKNDPKKYNLVDPAERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVLDGKRRNQKLLPPPSDLPKC >ONI03179 pep chromosome:Prunus_persica_NCBIv2:G6:24142593:24145178:-1 gene:PRUPE_6G243600 transcript:ONI03179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVVTFGVQETLRKVASLAAQDLSLLWGFEGEVTKLRDSLSMARAVLRDAGQSKGRTEAVGMWVEKLEDIAHEADDVLDEYRYELLRRKVEVQKQMKKNVSNFFSLHNPIAFRLKMAHKITKVNAALENLNNKAAGIGLVARSSRFEPETSHRGVALLDRETVSSFAQDEKYIVGREEVVSHIVTTLTNSSNYQENYLSVMPIVGMGGLGKTTLAKSIYHHREISRHFDKKIWICVSTSFKVKKILSGILEKLKPEKAGIRSKATICENLQEDLKGKRYLVVLDDVWNDDPQKWDNLISCLLSVKDTQGSTIIVTTRSVTVASIVQTLPRAFPDGSAPLDLDEAQERIGRDIAKKCAGVPLVAKVLGSMMRSKKSDGWKSIQKSTIWDLQEEEQRILSVLKLSFDELKSASLKQCFAYCSMFIKDFTIEKDDLIQLWMAQGLLHLSPTSSNREMEDIGNEYFNILLQNSFFQDVAKDSYNVITHCKMHDLVHDLAEHVSKSMGSNGIRHMSQISTSLLQEIPKRSVHRLRSVFSNGEDLGNILPSLKGLRILSLKKADIDELPISIGKLKHLRYLDISKTKIKLLPQSIGKLYNLQTLRMDDLKVEEFPKELQNLINLRHFYFDKDPMKFPVDMGRLSNLRSLSFFIVGKERGRGIEELAGLKHLK >ONI00866 pep chromosome:Prunus_persica_NCBIv2:G6:7734034:7735462:1 gene:PRUPE_6G108400 transcript:ONI00866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSRTTSTTCKKKSIVKLKIVVEKLQRSFSLGRSKSSSNSNFDDSKNVPEDVKEGHFAVIAVDGDEPKRFVVALSYLTHPTFLKLLEQAAEEYGFDHEGALMIPCQPSELEKILDEEQGGSSSDGNWSSCKAMVQSC >ONI01962 pep chromosome:Prunus_persica_NCBIv2:G6:17457337:17471072:1 gene:PRUPE_6G169200 transcript:ONI01962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVDERIRTLISNGVKNRHRSMFVIVGDKSRDQIVNLHYMLSNEVKKSRPTVLWCYKDKLELSSHKKKRAKQVKKLMQRGLLDPEKVDPFSLFVESGGLTYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTNLYTMVMDVHDRFRTESHSKATGRFNERFLLSIASCKACVVMDDELNILPISSHMRSIAPVPVKEDSEGISESQRELKDLKEQLSDAFPVGPLIKKCCTLDQGNAVATFLDTILDKTLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFEFVCKGFDALEYKEHIDYDVQKSSDPLLKKATVQINIFKQHRQTIQYIRPQEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQMSAKGPISGRLFKKIELKESIRYASSDPIESWLHGLLCLDITNYIPKLNGLPAPNECDLYYVNRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVCLEGQISRNSAKKSLSDGRQPFGDQIPWKFCEQFQDTVFPSLSGARIVRIATHPSAMKIGYGSQAVELLTRYYEGQFAPISEVDVEDVVETVPVRVTEAAEKVSLLEESIKPRTDLPHLLVHLRERRPEKLHYIGVSFGLTLDLFRFWWKHKFVPFYIGHIPSAVTGEHTCMVLKSLKNDELEVNNFRQFYQDFRRRFLRLLGYSFHSMDYRLAMSIIDPKINFTEQEPKLPTVDGFLRSITDILSPYDMKRLGAYTSNLADFHMILDLVSTLSHLYFQEKLPVTLSYAQASILLCIGLQNQDISYIEGLMKLDRQQILSLFIKVMKKFYKYLYAIASEEIESTLPRPKETVLEPHKISVDDDLNEAARKVEDGMRSNTDGLNPELLQQYAIGDRDAELENALQNGGVKLPAGGVVSVKSSRNKMEEKGKRKSSHNSGEKRRKHEHGSNSKSNKKKKYSQ >ONI01985 pep chromosome:Prunus_persica_NCBIv2:G6:17601075:17601514:-1 gene:PRUPE_6G171000 transcript:ONI01985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILSGLATLAPAPSNLKFLEECKSRLHNGCGKEIVITIIKKWSISDGCCAKLVLMGKSCHIALVNKALSGPLAKLNKTVALTKSAEIWTQLPQKLRSYTGVRH >ONI02190 pep chromosome:Prunus_persica_NCBIv2:G6:18919803:18920566:1 gene:PRUPE_6G182300 transcript:ONI02190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLNALVVLHGMVAAVLLSSPFFSKLLLLAATLLLILSSTISFSYWVSPKIHVFIFNFSFNLKLSILGVFVYGD >ONI01394 pep chromosome:Prunus_persica_NCBIv2:G6:11126256:11127683:1 gene:PRUPE_6G137300 transcript:ONI01394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSATSPTVDQGDGASISAVHPDIIMAHILTRLDGQTLASASCASSQLHAFSTEGKLWRDICSATWPSVADPRVDDLISTFPAGHRSFFSDSFPLLDNFPSRFDLSRPSSPPTTELISAVDIFYKDQLIFSKVQESETESGWFLCSPFRVDLLDPKETVPTPIRHVGEDQASLKQLEENLSLSWIVIDPTRKRAANFSSRRAVTVQRHWLTGEIQLRFATILAGEKRGEFVQCGMVVTCNWSEGGELHVREVSMQVEGMEGNHLNGKESLVILERAIEGGERRKEVKGKGRFEEYLEMKRERRERKERREKTLDMICIATGVTIFLTFWSFVFFR >ONI02639 pep chromosome:Prunus_persica_NCBIv2:G6:22014928:22017716:1 gene:PRUPE_6G211800 transcript:ONI02639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDPSESYKPYTLCETLTGHKSAISGVKFSSDGRLLGSSSADKTIRTYSLTFSDDSSSSTPTISAAQTFEGHSQGVSDLAFSADSRFMVSASDDKTLRLWDVATGQVIKTLHGHTNYVFCANFNPQSNMIVSGSFDETVRIWDVKTGKCLKVLPAHSDPVTAVDFNRDGSIIVSSSYDGLCRTWDASTGHCTKTLIDDENPPVSFVKFAPNGKYILVGTLDDTLRLWNFSTGKCLKTYTGHKSSRFCISSTFSVTNGKYIVSGSEDNCLYLWELQSRKIVQKLEGHTDTVISVSCHPTKNIIASGALGNDKTVKIWTQEN >ONI05283 pep chromosome:Prunus_persica_NCBIv2:G6:30691441:30697819:-1 gene:PRUPE_6G365700 transcript:ONI05283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMMNTIINGDGGGGGGGAAAAAAAAAAATATSARGTVSKRVPGMPLISGRNKDRDHEDLILFQELHKRQKERNILSLLQPVSDEFEPTGNYQLYRTAAAQKGSGFEFLAENDKNDYDWLKTPPVTPLFPSLEMETNGPELVIQREIPILHPLSRFAGGNCNSEAVERRNGHGRPKQKIPVMIPSQRRSSSSSTVSSNVNAQTKNSSKARARAVPAVVNHHLNQKAAVESDGDLTRLKRSANNNNMVNESYKANNIDQKDGSRSSISGADFVSSSRPMEEGVKMVYSKAKPTTASSTSTRRGGVSIVSSSTQIAAGFCDEKPPPNLRTEQRSTSASTTRGRGRASASTHQIQKAADHHQPAAKLLPRRQSCSPSVSRGRKVVEVQEEATTASLKGRIQFQTGNGTQVLGSRMVQRVMNARKSVTRECHHEEKDHKKPNSRFPMHS >ONI00625 pep chromosome:Prunus_persica_NCBIv2:G6:6884864:6885760:-1 gene:PRUPE_6G098900 transcript:ONI00625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLQHQPLFSLTLLLILLFHCTKTLAQAPAAAPAAAPAAPAAPVAPAAPIAPAAPIAPAAPSITGPAVPAPPADAPAPPGPTNITKILEKAGGFNVFIRLLKSTQIDRQLYSQLNNSNSQLTVLAPTDSAFSRLSTGSLNSLGDEQKVQLLQFHLIPDFLTIQNFQTLSNPVRTQAGTGFEYPLNITTTGSSVNISTGLVNTSISGTVYSDNQIAIYKVNSVLQPYGVFAPKHHQPSPAPAPAQEKPKKESSSSDSDDTTPDVAEVKSGAVPCLIPKINAIVSIGVAVVAAAALSFL >ONH99849 pep chromosome:Prunus_persica_NCBIv2:G6:3751354:3752683:-1 gene:PRUPE_6G053400 transcript:ONH99849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQEPIIRSYDGVADRGRVEDLERRCEVGPSERVFLFTDTMGDPICRIRNSPMHKMLVAELDNQLVGVIQGSIKLVTFHPNKPPPKDQAKVGYLLGLRVSPLYRRKGIGSSLVRRLEEWFVCNDVDYAYMATEKDNQASAGLFMNKFGYIKFRTPAILVNPVRYRPCRVSSGVEIAKLRIEEAEYLYRKCMASTEFFSHDIDKVLGNKLSLGTWVAYPRGDGLRVGDFGSNGDEVVPSNWAMLSVWNSGELFNLRLGKAPLSCFMYAKSWKLMDRFLPCFKLLPSMPDFFAPFGFYFMYGLHHEGPLSGKLVRNLCQFVHNMAANSSSEYSSKNCKVVVTEVGGCDPVKHYIPHWKLLSCSEDLWCIKPLKNDSLHELTKTHPTKSLFVDPREV >ONI03279 pep chromosome:Prunus_persica_NCBIv2:G6:24442138:24443522:-1 gene:PRUPE_6G248600 transcript:ONI03279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAKLQHRHQPFNLSLNPHRPSFPKPISSFKPPRSSPPFNFTSLTIRASSSSSSPSSADPPHQNPKNPKPSPFQTLAPLASPLLKTTCAAIAAAAFFFMRFHNRTAVASPTGAPSTVEPREEVSSTDSVTVEEKERLIEEQLSQNPDDVEALRSLMEVRIKAHKLTAAIQVLDRLIELEPEDYEWQLLKANVHSYMGEFELATSEFEEILAKDPLRVEAYHGLVMSASQSPEKLENVVTRVEKAMEGCKKQGNKSDVRDFKLLIAQIRVMESNYSEALKLYQELVREEPRDFRPYLCQGIIYTVLRKNKEAEKQFEKFRKLVPKNHPYKEYFDDNMFATKLFGQKVERERAESNI >ONI04912 pep chromosome:Prunus_persica_NCBIv2:G6:29738733:29742474:-1 gene:PRUPE_6G347800 transcript:ONI04912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASEVPVATVTQRSQKSVESQVGRIYEGFAKLPPDAQFRMLELKRENHIDYLKGGLRQLPSSFCILDALRPWVCFWNLHSLALLGESFDAQLQNNIVDFLCRCQDPNGGYGGGPGQMPHLATTYAAVCSLVTLGGHKALSSINRSEMCMFLRQMKHPSGGFRIHDGGEIDVRACYAAISVASILNILDDELVQNVGNYILSCQTYEGGISAEPGTEAHGGYTFCGVATMIMINQVNQLDLPRLIDWLVFQQGKECGFKGRTNKLVDCCYSFWQGGTFALLQRACSTIDQQLVIPVGGNTFEVNFNDIGHNFVKRRVEMEPLFDGRALQLYLLLCSQEENGGLKDKPGKSRDFYHTCYALSGLSVSLY >ONI04911 pep chromosome:Prunus_persica_NCBIv2:G6:29738733:29742863:-1 gene:PRUPE_6G347800 transcript:ONI04911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASEVPVATVTQRSQKSVESQVGRIYEGFAKLPPDAQFRMLELKRENHIDYLKGGLRQLPSSFCILDALRPWVCFWNLHSLALLGESFDAQLQNNIVDFLCRCQDPNGGYGGGPGQMPHLATTYAAVCSLVTLGGHKALSSINRSEMCMFLRQMKHPSGGFRIHDGGEIDVRACYAAISVASILNILDDELVQNVGNYILSCQTYEGGISAEPGTEAHGGYTFCGVATMIMINQVNQLDLPRLIDWLVFQQGKECGFKGRTNKLVDCCYSFWQGGTFALLQRACSTIDQQLVIPVGGNTFEVNFNDIGHNFVKRRVEMEPLFDGRALQLYLLLCSQEENGGLKDKPGKSRDFYHTCYALSGLSVSLY >ONI00125 pep chromosome:Prunus_persica_NCBIv2:G6:4742622:4745046:-1 gene:PRUPE_6G069100 transcript:ONI00125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSEREREREMAKADISRRWSLQGMTALVTGGTKGMGHAIVEELSGLGASVHTCARNQNQLNDCLNQWKTKGFHQVTGSVCDLSSRAQRHELINEVSSQFNGKLNILINNVGTTEAKATLDCTAEDFAFVTSTNLESSYHLSQLAHPLLKAAGAGNIVFISSVAGSVSVAGIGSIYAATKGAVNQLARSFACEWGKDNIRVNSVAPWFIKTSLSVDFLNNEQFSNAVNSRTPLGRPGEPEEVAALVSFLCLPAASYITGQTICADGGMTVNGFLFQG >ONI00563 pep chromosome:Prunus_persica_NCBIv2:G6:6606988:6610136:-1 gene:PRUPE_6G095200 transcript:ONI00563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVVKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >ONI02513 pep chromosome:Prunus_persica_NCBIv2:G6:21042408:21043905:1 gene:PRUPE_6G202900 transcript:ONI02513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFSTWPMGFDEVNFHQSQADLHFPWNNPLWLIIRASLPRYVVERFSLPIFVGFCLLLAIERVCFFPLFLRVCFPVFWGWFLADLARFATCVVDLVSISLFWRWSC >ONI00726 pep chromosome:Prunus_persica_NCBIv2:G6:7181911:7185644:-1 gene:PRUPE_6G103000 transcript:ONI00726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAQTSLTFRAVCLSPTTVYDHETSNFNISAQLQFPSPMTMTFRMLTLILSTTILDDCGTTYGSV >ONI02908 pep chromosome:Prunus_persica_NCBIv2:G6:23219966:23222724:1 gene:PRUPE_6G228300 transcript:ONI02908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLHNCRTLVPMFSLLALLLHLSSFHSLSLAYELPDENFINCGSDAAINFTGRTFIADDYFSSQKSKAVKASNQLPDNISTLYQTARIFSQQSYYKFEISENGTYFVRLHFLAFSSSVNLSTAFFDVLAFPNVSNSGFKLLSNFTAKNSSNSPLIKEFFLGIDPGTFKIYFVPQASSFAFVNAIEVFLAPASFSPENYNSSLPLVLHTIYRVNVGGQKITPDNDKRWRNWEQDDRYLSDPNSAKEFHYPGEPSYYNNGELNDPVTHFIAANDFIAPDSVYRTAKEMNITPSRPFNSFNITWSFNAARRNARHLVRVHFCDIIGQPGNIVFNLYSNGNFSKKVGGSQSLFDTQSGTPFYYDFVVSSNESQHISISVGARTNSTNKTAFLNGLEMLEIMEGSVSVSDVKESVKKNVGLVVGSVVGSLSFICILAFGILFGLKHIRRLTAIRGLKISFAEVQRATNNFDDEKLLGEGGFGNVYRGTLLDGREVAVKRAKKGSGQGLREFHTEIKILSKIHHRHLVSLIGYCDQKSEMIIVYEFMENGTLTDHLYGSDLPRMPWKQRLEICLGAARGLDYLHTVAAGAIIHRDVKSNNILLDKYNVAKVADFGLSKHGSVDETHVVTKVKGTLGYLDPDYMMSEQLSEKSDVYSFGVVLLEVLCGRPPIDGNLPRDEMNLAEWVLHCKTKGLLEQVVDSSLEGQIDANSLRVFSDTAEKCLRKEVNDRPTMGNVLSDLEYALRLHLALNPRELSSEDSTANASSAFINVERLPSLSSTVNADDTAVSAVGSVDTTPSQVFSQLKFGDAR >ONI04700 pep chromosome:Prunus_persica_NCBIv2:G6:29121701:29123133:-1 gene:PRUPE_6G335300 transcript:ONI04700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISGASSISLRSIPPRSHQNLALSRTNGLRSISLSDHGRSSLSFGLQQRSVRLSVSCAQAKPETVEKVCTIVRKQLALPDDSAVTGESKFAALGADSLDTVEIVMGLEEEFGISVEEDSAQSIATVQDAADLIEKIIEKNKA >ONI04699 pep chromosome:Prunus_persica_NCBIv2:G6:29120953:29123324:-1 gene:PRUPE_6G335300 transcript:ONI04699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISGASSISLRSIPPRSHQNLALSRTNGLRSISLSDHGRSSLSFGLQQRSVRLSVSCAAKPETVEKVCTIVRKQLALPDDSAVTGESKFAALGADSLDTVEIVMGLEEEFGISVEEDSAQSIATVQDAADLIEKIIEKNKA >ONI00448 pep chromosome:Prunus_persica_NCBIv2:G6:6140116:6142988:-1 gene:PRUPE_6G089700 transcript:ONI00448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENALKSREVSAMIKQGFISDQTLSFSPSRSISKLYSPTSSPSKTLSSPPPPPQLAGSTQSLTRPSQSQTLYEMMSDEQHRDSKLADRKVHEKVHKLLQNAPFRNPNWGGLVSGDVRLTVVAKDGFRVTMDVHKSVLAAKSRFFADKLRKDRGVSHCVEISDCDDVEVYVETVVLMYCEDLKRRLMGEEVSRVLGLLKVSAAIMYDEGIGSCLEYLKAVPWSEEEEGKVISQLNELQLHDDLASEVLLRVSSEPSTSARADEIFMRLLTGVLQAKDDRARREMKTLISRLLREDAASGSNNRLDVSKETLYHLCHRCLSSLVLCLSEATGMDDSRRDRGVLMAEIAREADNMQWIVDILVDKKMGDEFVKLWADQKELAVLHSKIPTMYRHEISRITAQLCIAIGSRQLLVPKETRFSLLSTWLEALYEDFGWMRRASFRSVDKKLVEEGISQTILTLPLQQQQGILLSWFDRFLNKGDDCPNIQKAFEVWWRRAFIKHVSEQENTQLQITVCDYPS >ONI01896 pep chromosome:Prunus_persica_NCBIv2:G6:16627784:16628914:-1 gene:PRUPE_6G165800 transcript:ONI01896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHKHCFEALDKSLSDILSHVNPLDNNVPFGGKPLLLGGDFRQILPVIPGGTREEIIDASLNSSYLWPSFKIFHLKENMRLSKNGLNIEEKQKINDFATWILRIGNGQIVDIDDPNDKDASWIKIPQDLLIHSYTHPIHSIFLATYPNFETNYNNFTYLRERAIVTPRNTTVTEINNYAIDLLPGQERIYLSSDSLCSSSENSENLTILYPTEFLNKLEFNGLPSYYLALKIGMPIMLLRNLNQSSGLCNGTRLVITQLYDKIIEAKILAGSNIGHKVFIPRISLTATESKWPFIFKRRQFPIRPCYAMTINKSQGQSLKQVGLYLSQPVFTHGQLYVALSRVTSRQGLKILIENNQEVPNNYTKNIVYKDVLQNL >ONI04349 pep chromosome:Prunus_persica_NCBIv2:G6:28189441:28190345:-1 gene:PRUPE_6G316900 transcript:ONI04349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTFFVLMLMNIIKTGHVSALGSCPKCGNVEVPYPFSTDDTCGDSRYKIYCNNSNNGNGILEFKSAEGFYYKILSIDPSAQRLIISPPDLVQDGDTCQTSDFSLQGLRLDEHLPFNVSTRNTVILLNCSDNLLRSPLNCTSNSLCRVFQDKLLEGKNNACKGGLCCHYLKDSHMTSYVIRVRVGGCTAYTSVVDIRPEDPVEKWSYGIELQWLPPN >ONI03548 pep chromosome:Prunus_persica_NCBIv2:G6:25340873:25342740:1 gene:PRUPE_6G264000 transcript:ONI03548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVSQQWLLLLLTSTAVIVFARADLPGTWELLVENAGVSSMHTAVTRFNTVVLLDRTNIGPSRKMLRKGHCRSDPKDAVLKHDCYAHSVLFDPKTNQIRPLMINTDTWCSSGQFLPDGSLLQTGGDLDGFKKIRKFDPCELNGSCDWVELADVELSEGRWYSTNQILPDGSVIIVGGRAANTVEYYPPRTGAVSLNFLADVEDTQMDNLYPYVHLLPNGHLFIFANNKAVSYDHVVDKIVREYPQLDGGPRNYPSAGSSAMLALDGDYSTAVIVVCGGAQYGAFIERSTDTPAHGSCGRIVATSPDPVWEMEDMPFGRIMGDMVMLSTGDVLIINGAQAGAQGFEQASNPCLYPLLYRPDQPVGLRFMTLNPGTVPRMYHSTANLLPDGRVLIAGSNPHYFYKFNAEFPTELRLEAFSPEYLGPDRANLRPVIEGVPETVRYGERFDVLVSVSLPVVGIVEVNLGNAPFATHSFSQGQRLVKLAVAPSVPDGDGRYRISCTAPPNGMVAPPGYYMAFAVNQGVPSVARWIHLGS >ONI02373 pep chromosome:Prunus_persica_NCBIv2:G6:20110182:20117116:1 gene:PRUPE_6G193800 transcript:ONI02373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSCGPYPRATFRQPQPPEIPHRYPPRQPNYRPDGCCRRPPPRPPSFIVVLLSDQRNRRTADIDAVIAKCKFKPENVEFSPSNVIVVSLFYTQWVHALEAIVCLWESRLDRVHNLTPKLNRFVSVPSDLEELQDRLRGLFTERIKKLIDGEAVKKWEEKRAQLSKEFDRVSKLLLRPSPVWTLDDLAQKKRRSKCEMELVESKIREFKSAMNCLLAYLDGNELEGCGEEGVQVFKFSREVYDWGRIQSIMARECHRLEEGLPIYAYRQQILQQILTQQVLVLIGETGSGKSTQLVQFLADSGIAAEQSIVCTQPRKIAATSLAERVTQESSGCYREKSIKFNPTFLSGQELNSKVIFMTDHCLLQHYMNDMNMSGISCIIIDEAHERSLNTDLLLALIKGLLGRRPSLRLVIMSATADAEVLSNYYYGCGIFSVVGRSFPVDVRYKPSFSEGTSSDATSYVSDVLRVATEVHKKEKEGTILAFLTSQMEVEWACQKFIAPGAIALPLHGKQTFEDQYNVFQSYPGRRKIIFATNLAETSLTIPGVKYVIDSGMAKESKFEPASGMNVLRVCRISRSSANQRSGRAGRTEPGICYRLYSKNDFEAMPPCQEPEIRRVHLGVAVLKILALGIKNLKDFEFIDAPCSEAIDMAMRNLIQLGAVKQTDDVFELTKDGRFLVKLGVEPRLGKLILGCYNHSLRREGLVLAAVMANSSSIFCRVGNDEEKLRSDCLKVQFCHRDGDLFTLLSVYKTWDNLAQEKKNTWCWENSINAKTMRRCQEMVKDLESCLKHELNMIIPSTWCWNPHESNDCDKYLKKVILSSLVENVAMFSGHDQLGYEVALSGQHVRLHPSCSLLVFGEKPSWVVFGELLSISNQYLVCVTSIDFNTLSTLCPPPLFDVSKMESQKLQLKVLTGFGSTLLKRFCGKGNCYLLHLVSRVRSICKDERINIKVDYYQNEITLFATLHDRDRVSSFVYDALECERKWMRNECLEKCLYHGSGVLPSIALFGAGAEIKHLELQKRCLTVDVVHSKLDSMDDKELLSELEKYASGSICAIHKFTGTGQESVDKGKSARITFLSPDVAQKAVELNESEFSGSILKVIPSQVGGDRKMLSFPAVRAKVYWPRRLSRGIAIVKCDVDDVAYMVNDFFNLLVGGRIVRCETSKRYKDSVVISGLEKDLSEAEILDVLRTATSRRILDFFLLRGDAVENPPCGACEDALLKEISTFMPKRYSHNSCSIQVFEPEQKNAFMRALITFDGRLHLEAAKALEQLEGKVLPGFLSWQKMKCQQLFHSSLSCPAPVYPVIKKQLDSLLSSFVQLNGVEWSLDRNANGSYRVKISANATKTVADLRRRVEELVKGKTIDHASLTPTILQLLFSRDGIALMHSLQRETGTYILFDRRNVSVQVFGSSDQVGVVQQKLVDSLLTLHENKLIEIRLQGSALPPELMKEVINRFGADLHGLKEKVPGADFSLNVRRQVISIHGNKDLKQKVEDNIYEIAQMTGSSTERFNSEADCPICLCEIEDEYRLAVCGHLFCRLCLVEQCESAIKNQDSFPMCCAHEGCRSLIVFSDLRYLLSSEKLEELFRASLGSFIASSGGNYRFCPSPDCSSVYQVAAPGTDGEPFVCGACYAETCTRCHLEYHPYLSCEQYREFKEDPDSSLKEWCKGKEHVKSCPVCRYTIEKIDGCNHIECRCGKHICWVCLASYGTSNECYDHLRSVHMAII >ONI01016 pep chromosome:Prunus_persica_NCBIv2:G6:8482591:8485555:-1 gene:PRUPE_6G116600 transcript:ONI01016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLCLAPSPSSLLLTTRHNNQKKNLRHQPCIITALFTNPAEEISLKPSTPLNSFEKAPHKPFHQTQPLKKVSAESLKYESGFLGAVPDKSGGDNGREGNNNIHDAMRYLTNILMSKVYDVSIESPLDYAPKLSEKLHANLWLKREDLQPVFSFKIRGAYNMMANLSKQQLARGVICSSAGNHAQGVAVSAQKLGCSAVIVMPLTTPKIKRESYDEAEAYAQQRAKDEGLIFVHPFDHPDVIAGQGTIGMEIMRQIQGPLHAIFVPVGGGGLIAGIAAYVKRVHPKVKIIGVEAYDANAMALSIHHGKRIMLERAGGFHRWHSIKKVGEETFRLCRELIDGFVLVDHDAICASIKDMFEENRSILEPAGAVAIAGAETYCKYYGLKGENVVAITSGANMNFDRLGLVSQLAVGRRCE >ONI02862 pep chromosome:Prunus_persica_NCBIv2:G6:22973240:22975137:1 gene:PRUPE_6G225300 transcript:ONI02862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNNVFTIALICILVAGVGGQAPAAAPTKSPATPAATTPTTSPSAATTPTTSPPAAAPTKPKSPSPVASPKSAPPASTPVSATPKPAAAAPTPVATPPTVAVSPPLPAPVSSPPSKAPASSPPAKSPPAAAPAPVAEPPTTPEAPTPAPSKKKSKHKSPAAAPTPASDSPPAPPAEAPGAASPGAETPAPSVADDQSGAVRCLHKVVGYIALGWAVLALF >ONI02920 pep chromosome:Prunus_persica_NCBIv2:G6:23280506:23283858:1 gene:PRUPE_6G229200 transcript:ONI02920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKRYCATLHLWTCLLLLLSVQSFGLDTDGLLLLSFKFSILHDPQRVLETWNSHDDTPCSWRGVVCRGVSSDTYQRVIGLALPNSQLLGSIPSNLGMIQHLQSLNLSNNSLNGSLPTSIFNATELRVLDLSYNLISGELPDTISQLTNLQLLNLSDNALAGNLPTNLTSLRSLTIVSLKNNYFSGGLPSGFQSVQVLDLSSNLINGSLPSDFGGNSLSYFNVSHNGLSGKIPPLFSEIIPGNAKTDLSFNNFSGEVPESQVFMNQETESFLGNPYLCGQPTKNPCPIPSSPSSSPNVSSPNSPPAFAAIPRTLNSSNPEAISPRSEKGRSRESQSGLRPAAIVGIIAGDIAGIALLALIFLYIYRLKKKKKSNKAEITTTLKKEANSNSTPPVNDWSSSSSESKGFTRWSCLRKRTEEEESSDTTASDTEDHQTDQNAQKGQEIKRQQEQEQSKGGTLVTVDGEKALELETLLKASAYILGATGSSIMYKAVLEDGSSLAVRRIGEHSVDRFKDFENQVKLVAKLVHPNLVRIRGFYWGVEEKLIIYDFVPNGSLANARYRKVGSSPCHLPWEARLRIAKGVARGLAYLHEKKHVHGNLKPTNILLDNDMEPKIGDFGLERLLSGDTSYKIGSSIRNFGSKRSTTSRDSFQDFGLGPSPGPSPSPSSMGASPYHAPESLRSLKPNPKWDAYSFGVILLELLTGKVVIVDETGQGLGLAVDDTSRAFRMADMAIRAELEGKEEALLACFKLGYNCASPVPQKRPAMKEALQVLEKFPSSSSSSYYYGH >ONI03021 pep chromosome:Prunus_persica_NCBIv2:G6:23568215:23570062:1 gene:PRUPE_6G234000 transcript:ONI03021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQKTFKTLKKLFPTDPTLISNQEIAKKISKTLINSGLQPLKSTPSLLFNLNPHITNLVLSNPLVPPLSCLSLFNFLQTNPSLTSHKPNLQAHVAIICRLYQAKKFAQMKIVLNGIVSNDILRCPVSEIVSLAEDERKGVKFVETLCDMLFRVYADNKMFEEAVGVFDYAEKKGFEIEERSCFVLLLALKKCGQVDLCLRFFDQMVEKGVQITVHSLTLVMNELCKRGEVKKAKALMGEMAGRQVKPNVVTYNTLLKAYIERKDFEGVNEALSLMEKDSVGYNAATCTLLIDWFGSCEKIEDAEKVFEEIHERGIEPDVYLYTSIINWNGRVGNMKRALFLFDELTQRGLVPNVHTYGALINGACKGGQMKMADILVNEMQSKGIDVNQVVFNTLIDGYCRKGMMDEALRLQDFMEGKGFQTDVFTYSTIASGLCKLNRNEEAKSLLFTMEERGVAPNVVCFTTLIDIFCKEGNFVEAKQVIQEMERKGERPNTVTYNALIDGYIKKGKMKEAHKLKKEMEDKGLMPDTYTYSSLIHGECIDGKVDEALKLFHEMYQRNLTRNVVTYTAMISGLSKDGRTDEAFKLYDEMKREGLTPDDRVYHSLVGSLHTAKS >ONI04439 pep chromosome:Prunus_persica_NCBIv2:G6:28408572:28410813:-1 gene:PRUPE_6G321400 transcript:ONI04439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTEPKAEAKAEPKEIEEISQPPLKYKTWVLRVSVHCEGCKKKINKSLKQMEGVYKTEVDMRQQKLTVTGNVEAETLIKKLTKSGKHAELWPDPKANNSNEKKKGKGKNKEKQQSDCEGCEESNHGGPGGSGDNEKETVKVEVGHAQGSGNKKKNEGGGPAGNKKADGGNMVKPNEGGGAPAKTGGGGQVKESKPEVVRQGGNLPNHPPVAEKKSEGGESDCEVEKSGGGGGGGSKNNKKKGPKGNANAEEDEGEECVAAPPSTGSPNHGNVPRGPSGPHVPQDPRGPRVPQGQGPYVPQGHFRLTGPTGPTGPYGSHGPRGPPYGPHGQSFAPAPANHIAPAPANHIAPAPANHSPPQQHVYEYQYPRYSPPMQAVHYNAGFPTNGYGASHYNTPAPNSHSFTETQAPSYHLDNYASQPYYPYHQPSYNLPQPSDSYGLFSDENPNGCYIM >ONI04440 pep chromosome:Prunus_persica_NCBIv2:G6:28408923:28410667:-1 gene:PRUPE_6G321400 transcript:ONI04440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTEPKAEAKAEPKEIEEISQPPLKYKTWVLRVSVHCEGCKKKINKSLKQMEGVYKTEVDMRQQKLTVTGNVEAETLIKKLTKSGKHAELWPDPKANNSNEKKKGKGKNKEKQQSDCEGCEESNHGGPGGSGDNEKETVKVEVGHAQGSGNKKKNEGGGPAGNKKADGGNMVKPNEGGGAPAKTGGGGQVKESKPEVVRQGGNLPNHPPVAEKKSEGGESDCEVEKSGGGGGGGSKNNKKKGPKGNANAEEDEGEECVAAPPSTGSPNHGNVPRGPSGPHVPQDPRGPRVPQGQGPYVPQGQGPYVPQGHFRLTGPTGPTGPYGSHGPRGPPYGPHGQSFAPAPANHIAPAPANHIAPAPANHSPPQQHVYEYQYPRYSPPMQAVHYNAGFPTNGYGASHYNTPAPNSHSFTETQAPSYHLDNYASQPYYPYHQPSYNLPQPSDSYGLFSDENPNGCYIM >ONI03056 pep chromosome:Prunus_persica_NCBIv2:G6:23663517:23664503:1 gene:PRUPE_6G235700 transcript:ONI03056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQKNLTKSKQKRNSREKKLKKGMARRGREGMGSHGRKNKLTRTGGVQREEREGGDKGKEGESVLRGRVTSEMLKLLG >ONI03414 pep chromosome:Prunus_persica_NCBIv2:G6:24853195:24856354:1 gene:PRUPE_6G255600 transcript:ONI03414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSATLKRKSSDVDCGNNVSEEDMQRFNCGPKEDFVRLSPEAMEERVRKFLKEAYENLRNWKFVVIEEESESEVPFLFSVSFCNFSFLLYSRCHSLHKILSFSLSLDLLSRMSSFDKIVLSTPSSSQMVPFEARVDRELHEQLYKKLKAIVSVSHWLLIPSTDLSTCTCLMSLVSINYMWLIS >ONH99620 pep chromosome:Prunus_persica_NCBIv2:G6:2981301:2983333:1 gene:PRUPE_6G039700 transcript:ONH99620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDVSQEQTYISNFISSPSKPMTSFQTISSVNPMVKFSEQVTTMTKLITHENQNSPVNPTHLPPKLVRIILTDADATDSSSDEEDSGVRRVKRHVRQISLELSSPSSSSSPSSSSSSSFSSGHSKRLRKDQRPTKKLGSRSPGSDQTRLNKFRGVRRRPWGRWAAEIRDPTRRKRVWLGTFDTAEEAATVYDRAAVLLKGPDAVTNFPNAAMTEAKVVTDVVVPAAEESYGCGSPTPNEAATSPTSVLRYDELTPFDGFSYGEVDAFGFDIDLPLSLPDIMLSNNNNFAHDEFGEFDVNDFWVDVIC >ONI03091 pep chromosome:Prunus_persica_NCBIv2:G6:23785463:23786743:-1 gene:PRUPE_6G237900 transcript:ONI03091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIIIFSLSLFFFVTLAYFNTSNPIPFSSFLILSLLLCLIKIEYDACFDLRNDVELVRSYSESSF >ONH99048 pep chromosome:Prunus_persica_NCBIv2:G6:623505:625094:1 gene:PRUPE_6G007300 transcript:ONH99048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSRARAINQAQANLLRHCSNPIHAQQPYHTFRPNKPKTKPHRERLHRDTTKLRRPIPFVSDVKEVEDPEEALSLFYEYHQMGFKHDYPSYSALLYKLARSRNFEAVETILGYVGRLGSFHCKDTLFIAKIHSREWSRRLQSFENERACREEQNTLFIALIQHYGKANLVEKAIELFNQMPSFNCVRTLQAFNALLNVLVDSGRFVEADEIFGRCSKMGFRPNSISYNIMMKGWLQKGDGEEACKVFDEMLEKKVQPSVVTYNSLIGFFGRKGELEKANGLLEDMKQKGKYPNAVTYALLMEGFCMLGKHDEAKKMMFDMEYRGCKPRLLNYGVLISDLGRRGKIDEAKSLLQEMKKRLFKPDVVLYNILINFLCKEGRAAEAYKVLIEMQVGGCVPNAATYRMMVDGFCQIDDFEGGLKVLIAMLTSRHCPRLETFECLVTGLVKCGKIDDACVVLEEMEKRNMQFCFEAWEALVVDACGENVVAGEVVTELISVH >ONH99201 pep chromosome:Prunus_persica_NCBIv2:G6:1291697:1293664:-1 gene:PRUPE_6G017700 transcript:ONH99201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPRNLEVLKMGAISYLDALKLQEKLASNRKIHKIPDTLLSLQHPPTYTLGKRRTDHNLLIPESELKRIGAELHYTQRGGDITFHGPHQAILYPIISLRDIGLGARKYVENLESSMIELASLYGVKASPGKKCETGVWVGDRKIGAIGVRISYGITSHGLAFNIDPDLDYFKHIVPCGIADKEVTSLRRETDLVLPTEEVIQDQLISCFARQFGYSNLVWKDAASILSDNGETE >ONH99230 pep chromosome:Prunus_persica_NCBIv2:G6:1424038:1427695:-1 gene:PRUPE_6G019200 transcript:ONH99230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLGSLLFGLVLVIGFSLQNSEAATDPPVVCDTINRTNFETGFIFGAASASYQYEGAVQEDGRGPSIWDNYTHQYPERIKDGSNADVTVDQYHRYQEDVNILNDTGLDAYRFSISWSRVLPNGTLSGGVNEAGIEYYNKLINETLLKGLKPFVTIFHWDLPQALEEEYGGFLSFQIVKHFREYANLCFERFGDRVKHWITLNEPYAYSNMGYAIGTFAPGRCSDWQQLNCTGGNSAIEPYLVTHNLLLAHANAVDLYKTTYQALQRGVIGITLVSHWFVPVSNATHHTNAQLRALDFMFGWFMDPITSGHYPHSMQVLVGPRLPKFTKEQSKLLAGSFDFLGLNYYTTYYASYAGHNNSVPPSYLTDARVNQSPELNGVPIGPQAGSDWLYIYPEGIYHLLLYIKNKYDSPLIYITENGVDELNDPTLSLEQALNDTVRIDYHHDHLCYVQAAIKNGTNVKGYFAWSLLDNFEWNSGFTVRFGLYYVDYNDGLKRYPKKSALWFKGWL >ONI00251 pep chromosome:Prunus_persica_NCBIv2:G6:5319109:5322098:1 gene:PRUPE_6G078300 transcript:ONI00251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITFLISHFTPTALLYFLLLSSSIHALNITTLLSPYPDFSDFTDLISSSISGDLLPRSSLTLLAVPNPFLSSTSALTRRLSPSSRADVLRYHVLLEYLSPSALLRIPPSGKLVTTLYQTTGRATNNFGSVNLTRDPSTGVVSIRSPAPFSPSNATVLSLVTNLPYNVSIFSVDSLLVPYGFDLMASETRPPLGLNITKALIDGHNFNVAASMLAASGVVEEFEADEGGAGITLFVPTDTAFSNLPSNVRLQSLAADKKAVVLKFHVLHSYYPLGSLESIVNPVQPTLATEDMGAGSYTLNISRINGSVAINTGIVQASVTQTVFDQKPVSIFGVSEVLLPREIFGKNPILTPKPGTPLPGSGGAPPPDISPSPESLTGPPSHLSSPPGFREEIGSKAAAAVGGLGSFGIASCCIGLYLMGIYLASFA >ONI02311 pep chromosome:Prunus_persica_NCBIv2:G6:19766557:19769583:-1 gene:PRUPE_6G190300 transcript:ONI02311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVREATKKLHAVCVPFPAQGHVSPVMQLAKLLHSRGFHITFVNTEFNHRRLIRSKGPDSVKGLPDFQFETIPDGLPPSDKDATQDVPALCDSTRKTCLGPFKELVTKINSSSQVPQVTCIVADGITGFGRQAAQELGIPEVQFWTASACGFLGYLQYSELVKRGIVPFQDETFLHDGTLDTPIDWVPGMKNARLRDIPSFIRVTDVNDIMFDFLGSESRNCLKSSAIIFNTYDEFEHEVLEVISTMFPNIYTIGPLKLLERHLPETETKLVESLSSNLWKEDTECLKWLDQKKPSLVVYVNYGSITTMTDQHLKEFAWGLANSKHPFLWIVRPDVVEGDSAILPNEFFEEIKERGYIASWCPQDQVLAHPSVGAFLTHTGWNSTLESVSEGVPVICWPFFAEQHTNCRYACTDWEIGMEVSPDVKRDEIEVLVKEILEGEKGMKMRQKAKEWKKKAVEATDIRGSSYNNFDRLIKSLH >ONI00530 pep chromosome:Prunus_persica_NCBIv2:G6:6427812:6429664:-1 gene:PRUPE_6G093300 transcript:ONI00530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMIKSTTAAAVASSSSCWSRRGMPRLHSNSTLVVFLNNYFAFFHSQPSKPIKSRSTQLKQLVRDLPNITNLGDAFSVFDRTLQMHPLPSVAPFNQILIQVVKLKHYSAVISLYNQMGMSGIEPDGYTLNILINCHWHLNQMGFSLTVLGNFFKLGLKPIVSTFNALINSFLLENRVAEAAGIFNKMIAGGNNSAAIQLLRKMEERACKPNLVVYNTIIDSLSKDTLVDDALNLFSEMMCKGVAPDGITYTSLIHGVCKLGEWKEATRLLHEMVSKNIYPDVHTFNVLGMVLEAEGMVEIMIQRDIEPNTVTMDKAKKVFELMLSNGSVVDAFSYSILINGFCKQKMMDEAMMLLGEMSGKGLVPNTVTYNTVVDGCCKMGKIRDAQQLFSKMQACGQLPNVQTYAILLDGLCKNRQLSTAIQLFREMEGKKLDVNIVIYTILIEGLCIARKIESARVLFRGLSSKGLQPNARTYNIMIKGLCIGGLTCEAEKLLTEMEEKVCSPDGYSYNIIIQGFINNNETDRAMELIQQMVERGFSADASTTELIVDLLCKDEVDPALFSLIQKENYEGNLPL >ONI02490 pep chromosome:Prunus_persica_NCBIv2:G6:20954859:20957751:1 gene:PRUPE_6G201800 transcript:ONI02490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFTRFSWCWWGGKEKEPANNGSSLNSSFECGFGGLREPGTVQFPSVMGTKMASSPRKVKRKWQSREERRIDREYDVVIVPSDGGGCLSGSESDDSDWSIGWLEPHGPGFQSDDKSDNSFAVLVPCYSPGCKEVVEGSNNELLSAIKNLPDDFSSDCKNYMEQWLSSLQNFDA >ONI02489 pep chromosome:Prunus_persica_NCBIv2:G6:20954859:20957751:1 gene:PRUPE_6G201800 transcript:ONI02489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFTRFSWCWWGGKEKEPANNGSSLNSSFECGFGGLREPGTVQFPSVMGTKMASSPRKVKRKWQSREERRIDREYDVVIVPSDGGGCLSGSESDDSDWSIGWLEPHGPGFQSDDKSDNSFAVLVPCYSPGCKEVVEGSNNELLSAIKNLPDDFSSDCKNYMEQWLSSLQNFDA >ONI02525 pep chromosome:Prunus_persica_NCBIv2:G6:21169413:21172230:-1 gene:PRUPE_6G204000 transcript:ONI02525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTEPKKLHIALFPWLAFGHIIPFFEVAKHVARRGHKVSFISTPRNIQRLPKIPQHLTSLINLVQIQLPRVKNLPENAEATMGVPYHIIPYLKMAHDELEQCISNFLQTHKPNWIIHDFAPYWLPPIASSLGISRAHFSVFNGSSLCFYGPQGLDCYGVRTLPEQFTVPVEWIPFPSNMVLKSFEAKNLFDSMKQNASSVSDWFRVKSTVQGCQVYFIRSCRETEGEWLDLLQELQQKPVVPTGLMPPVVQAREDKEDWSTIAQWLDKKKKATVVYIALGSELNLSQQDFTELALGLELSGLPFFWALRKPSWSGNGGSGKLPDGFKDL >ONI03550 pep chromosome:Prunus_persica_NCBIv2:G6:25350305:25354590:-1 gene:PRUPE_6G264200 transcript:ONI03550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLSFSNALTSPTPLFLHTELSHLSLQSITLTPKPTIIRMGGGPRTFPGGVSKWQWKRMQAKKAKQLLKARLCRERQIYEMRKRAELKAAVSELERPWEVVEKAPNLFSVSADEQVKVLADRFQRPGGFDMWTERDGPQLFHTMDDLPSARFFPKGVVHSVRPYKRISGPEDLEDLELQDEGFGGVEELGNGFKSKGKVGSSSESLDIEGSDGEGLNLKRSLESFSMNDQTGKKNNGRMKKKGNRRKSESSSGFDNGQIGCDRKQRVQSPIMRGGKSRHNRRNSSKSGVFDMSLQQDGSYELQAYNGQP >ONI00029 pep chromosome:Prunus_persica_NCBIv2:G6:4377387:4383045:-1 gene:PRUPE_6G063600 transcript:ONI00029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLGLGDNKVRRTYGALWFKIVILLVLCSVEGALSDSNYLIGLGSYDITGPAADVNMMGYANTEQIASGVHFRLRARTFIVAEPQGNRVAFVNLDACMASQLVKLKVVERLKARYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIAKSIIQAHENLGPGSIFVNKGEILDAGVNRSPSAYLNNPASERSKYKYDVDKEMTLLKFVDDQWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEETGSRSAYSGEVAADGIPRRVSNLFNDRHDNHHELLELAASFQSPPGKLATRTLSVARRVRGALRQADKPGFVSAFCQSNCGDVSPNVLGAFCTDTGLPCEFNHSTCGGKNELCYGRGPGYPDEFESTRMIGERQLRKAVDLFNKASEQLKGKVDYRHAYIDFSQLEVTLTKQGGGSKVVKTCPAAMGFGFAAGTTDGPGAFDFTQGDDKGNAFWRLVRNVLKTPGKEQVDCQNPKPILLDTGEMKQPYDWAPSILPIQIIRIGQLVILSVPGEFTTMAGRRLRDAVKTKLTSGSNGANVHVVIAGLTNTYSQYITTFEEYQVQRYEGASTLYGPHTLSAYIQEFKKLATALISGKPVAPGPQPPDLLDKQISLLTPVVMDATPRGVSFGDCSSDVPQNSTFKRGHDMVTVTFWSACPRNDLMTEGTFALVEILHGKDTWVPAYDDDDFCLRFKWSRPSKLSTRSQATIEWRIPQSATPGVYRIRHFGASKSLVGSIRHFTGSSSAFVVA >ONH99827 pep chromosome:Prunus_persica_NCBIv2:G6:3673480:3677876:1 gene:PRUPE_6G052100 transcript:ONH99827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKVLLTYKRKRQSRTDPVQGHECRNSLFVAPDDTSLRKPPDLQVHLIDKRSSEHYKRNSAVCHVCFVCCVGGNLKHCGKCLQSYNLQCLDKPHKEKKHIEVSGTRQIPIKTVLTSLDEVPSQRDAYGNKPSGKKVGSSSNANAGALVDDNNVGGRLVSQLVMNSAIITADFVRQKSSSAAAAFERKSSSECDGSSPRLNTSNLEDTDSFCRNKLDKLGGDSACVKLVDHLIDYFLPKE >ONI03980 pep chromosome:Prunus_persica_NCBIv2:G6:27019661:27020605:1 gene:PRUPE_6G294800 transcript:ONI03980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVVYLDTILVPLSLFVTVGYHAYLWHNFKNKPSHTTIGINMLKRRAWFLELNGGDDKKGMLAVQSLRNTLMGTTLTASIAILIEVSLAAFINNSYSATHLFSHAFFGSQSTMIFALKYGSAALSLAVSFLCSSMAIGFLIDANFLINASGDHEFTSSGNIRTIFEKGFILAFVSSRMLCITFLMLLWMLGPVPVWLSSLALVWGLYGLDFVGKLSKDNKQNLS >ONI03171 pep chromosome:Prunus_persica_NCBIv2:G6:24113611:24114358:1 gene:PRUPE_6G242900 transcript:ONI03171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPIEQAKPSNTNKARLPPKRGQIKVKIISSFVKLVVGVASRVRRGLSRHRKVATREAQAPMAPMQPEK >ONI05147 pep chromosome:Prunus_persica_NCBIv2:G6:30345341:30349505:1 gene:PRUPE_6G358600 transcript:ONI05147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVMVLNDIEATAARIGIDLSQLDLDSIRLPPGEDFGIISDDEDIYQEENSELDSGFGNIIVVDNLPVVPKEKYEKLENVIRKIYSQIGLIKDDGFWMPLDPETQKTLGYCFIEYNTPQEAELAKEKTHGYKLDRSHIFAVSMFDDFDRFMKVPDQWAPPESNPYKPGENLQQWLTDEKARDQLVIRAGSDTEVLWNDARHLKPEPVYKRAFWTESFVQWSPLGTYLATIHRQGAAVWGGASTFNRLMRYAHPQVRLIDFSPGEKYLVTYSSHEPSNPRDANRVVINIFDVRTGKVMRDFKGTPDDFAIGGTGGVAGVSWPVFKWAGGKDDKYFARMGKNVISVYETETFSLVDKKSMKVENVMDFSWSPTDPILALFVPELGGGNQPARVSLVQIPNKEELRQKNLFSVSDCKMYWQSNGEYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAVIHCDNTSSNNNPRPDVSFYSMRSGHNTGRVSKLTTLKGKQANALFWSPSGRFIILAGLKGFNGQLEFYNVDELETMATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFNGKLLYRILKDHFFQFLWRPRPPSFLTPEKEEEIAKNLKKYSKKYEAEDQDVSMFLSEQDREKRRMLKEEWEKWVAEWKRLHEEDKLERQKLREGEASDEEEEYEAKDIEVEETLDVSEEVVSFED >ONI04871 pep chromosome:Prunus_persica_NCBIv2:G6:29627457:29629571:1 gene:PRUPE_6G345100 transcript:ONI04871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVEEANKAAVESCHGVLSHLCQPKGQVHCKNLLAETEEAVFKFKRVVSLLGGGLGHGRVRKLKKFKPPPLPQNIFLDGPNYRLDISTKPLQLLPPTSLENRRAEKDSKYGSSLQHTQSQKVFLGNPVVDLDMKIKHPLQIPKTKPLQQYHFLEQKHDHRQEIQRLQLQPQQLKYQADTMYSGRNRGINLAFDRSTTRTPSMSSARSFVSCLSMDGGVANTHCDSFQLIRGVPQPPDRISQQQRRCNGAGEKGTVKCGSSGKCQYPKKRKVRVKRSFKVPAISNKIADIPADEYSWRKYGQKPIKGSPYPRGYYKCSSMRGCPARKHVERSLEDPAMLVVTYEGEHKHSPSDQ >ONI04340 pep chromosome:Prunus_persica_NCBIv2:G6:28176092:28182495:1 gene:PRUPE_6G316600 transcript:ONI04340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQVLPFSIVSVVEDVLQQHGSRLSDIDLASRKAEEASLRRYEAARWLRKTVGVVGGKDLPAEPSEEDFRLGLRSGIILCNVLNKVQPGAVPKVVEGPSDSVLIPDGAALSAYQYFENVRNFLVAVEEMGLPTFEASDLEQGGKSARIVNCVLALKSYNDWKQGGGIGSWKFGTNFKSPTSGKYFFRKNSEPFANSVTRTSSLGEKSLDSLSSEDINEASSSHALHMLVREVLFDRRQEEIPLIVESMLSKVMEEFEHRLASQNSLIKTASRDMTLSGPERSLSECSADMKVDDHEEKVAADIKEEEISDHEEGSKTESFKQKMLVDQQQKDIQELKHTLYDTKAGMRFLQMKYQEEFNSLGKHLHGLAHAATGYQKVLEENRKLYNQVQDLKGNIRVYCRVRPFLPGQSNRASTYDHIDDTSIKIITPSKYGKEGRKSFSFNKVFGPFSTQEEVFTDTQPLIRSVLDGYNVCIFAYGQTGSGKTFTMTGPRDITEESQGVNYRALSDLFLLSEQRKDTISYGISVQMLEIYNEQVRDLLSMDATNKRLEIRNSSQNGINVPDANLVPVSSTSDVIYLMNLGHKNRSVSATAMNDRSSRSHSCLTVHVHGKDLTSGSILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELEALGETLSTLKFAERVSTVELGAARVNKDSADVKELKEQIATLKAALARKEGQGVQLQHSHSLSPERFRVKSAGSSPLHSSQKSTGDWSGGRRQQLEDFGNVEVRKNTASKPKRRSLDLHDMLRSSPPWPPIGSPGLSGKEDDKDSVLSGDWIDKVMVNKQENASGEENLLGIGCWDVDNRQLPEMFGPSSLPDPPKLYMENQNFRKLMANKEESQDYEVQRSRYEAATTDDSDELEAATSDCSEPDMLWQLNPLKATGIPNGLGAKPKKPSPRPVRSPETRSLIPSLIPSPSRKPPNGVNQPLHRTGRQAGPGEGKRRSGNAK >ONI00037 pep chromosome:Prunus_persica_NCBIv2:G6:4439255:4440019:1 gene:PRUPE_6G064200 transcript:ONI00037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKKYIKVEKVPGGQLEDSVVLKRVMINKDVIAPGKMRRKIFNPRIILLDCPLEYKKGENQTNAEFLKEEDWGVLLKLEEEYIERLCVQILKFKPDVVITSKGLSDLACHYFSKAGVNAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGIFEVKKIGDEFFAFIVHCKEPKAYDSSKDILNEVERNLQDAMSVARNILKNPKLVPAGGATE >ONI04995 pep chromosome:Prunus_persica_NCBIv2:G6:29905292:29906998:1 gene:PRUPE_6G350800 transcript:ONI04995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPKCFTSCKRRKLKKSVTVTPFKHQQSFEGTEKVVQIQHAEPTKLEDVLEAIKLTKESKEEENEEQSKNDAKKKVTFDLNTKVHHEESSTTTKEVTNSLVESSDEKQEIQKELGPILGSIISSVAPSHPLNKRYENCENNEDEYEDVVDLDGSDLDAADDYEQGHVDDEFDSKQQISIQEESSESLFSLSIDSRKQVYDAEIDEKEVNSPMPKLSASNQEVNLIGSNQNARDQSVLKPIENLTQWKEVKQKATSTASKHQEKENINTEQDCYVPFSPEPTLKLSKHCPRPKSDDQGTGVDTSLSSWLVESETTPKSNASNNSVGNNSLCERVINSPGSREDRPILGAWTTEEIKQLSAFSTPRRSRSPSPDQIPIIGTVGSYWCHTGQTMDSDSSSSCSGMPRTAGRNREQGETERIRK >ONI04994 pep chromosome:Prunus_persica_NCBIv2:G6:29905083:29907255:1 gene:PRUPE_6G350800 transcript:ONI04994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPKCFTSCKRRKLKKSVTVTPFKHQQSFEGTEKVVQIQHAEPTKLEDVLEAIKLTKESKEEENEEQSKNDAKKKVTFDLNTKVHHEESSTTTKEVTNSLVESSDEKQEIQKELGPILGSIISSVAPSHPLNKRYENCENNEDEYEDVVDLDGSDLDAADDYEQGHVDDEFDSKQQISIQEESSESLFSLSIDSRKQVYDAEIDEKEVNSPMPKLSASNQEVNLIGSNQNARDQSVLKPIENLTQWKEVKQKATSTASKHQEKENINTEQDCYVPFSPEPTLKLSKHCPRPKSDDQGTGVDTSLSSWLVESETTPKSNASNNSVGNNSLCERVINSPGSREDRPILGAWTTEEIKQLSAFSTPRRSRSPSPDQIPIIGTVGSYWCHTGQTMDSDSSSSCSGMPRTAGRNREDPKVKWKSTAFEAKLERALDMGLLEA >ONI03582 pep chromosome:Prunus_persica_NCBIv2:G6:25518128:25522558:1 gene:PRUPE_6G267100 transcript:ONI03582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDVCPSSRFVEPAEDMGSRVELKNSSKEQNKSTIEDKVQQPQMSRRTKHLEKVKVRTGVGLPEYDLHQKARQDAGDSALVQEKSFRNRHKQSMKANAAKVDELVKHMSNLPGYLQRPERGENVKEKALNVGVLDWAQLEKWKHKQKHPPEKGSNSLLSSSRISSLEKTIGSSTSSDLVRTGTQHSSRCSNLKSSQKEGLSQGAKPSIQKDVRFQDSKTASKNAIHGHKKIPFPYGSRGRNHSDIMVDKGKGKDSGQKITSEMGNAAANLKSYGVSLGQKEEVSTWDGQAKNTKELQESDIKRKNIDRRIISENPEGAMSSNLGRYDVSLSSKGKAIASDDKTEKRMEELQKPDINLCHQRFPVEENTTVLSPPKELPQNGFTEVLELSKPRASRDELVTEAKGISFSGGFSTKEMDFAELCSEVPHSCPLPYGVEFNKVSNMMSSGSINSNSMGPSFVPSHLRSCSKGTQDLLYEDKFIEKKNSEKKLTRSSFDTSKTSDQEELATRNGRNTTPNRRFSFSLGRLGRSFSFKESSDIPQLSSTYVTAKSGPVRSGTSDCPDNPNREKASSHNRARSSPLRRLLDPILKHKEANPHHSVEAIKPLKANFNSFVPRPINVSDSLQAAKSEASWVQAFLQLTIKNGLPLFKFWVDNNSSCLAATTKYLSSGKDDVVQYFTFYSVNEIKRKIGGWMSQGSKAKSCRYVYNVVGQMKVSSSDFSNVDGQNFSKYMVRESLLYGVELRQADQESPKFVPTRELAAAVVKIPSKDLSHAEQQTNEEVLEKGYAKCSPEDRCSYSWEDSTIVILPGGVHSSPNKGEPSPLIDRWKSGGLCDCGGWDIGCKLRVLSNQNKSCQNLKASPYYPFSDHFELFDEEGAQQNRPVFSLAPGKDGSYSIEYNTSLSLFQAFFACVVVISSRKPSDLSEVSNRSEAKVFQEPSLIGNNGIQVTGPAKYAPNPPVSPIGRV >ONI04697 pep chromosome:Prunus_persica_NCBIv2:G6:29112455:29117886:-1 gene:PRUPE_6G335100 transcript:ONI04697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMRPVYVRQKSGNSTPGPPTSPMKSPLHHHGRSGSVGMGGAKKAQHTKAAAQRLAHVMANKPTEDDDEEEDDLSYDLSSLSSSTGSIGLAGGRSMRPRSPMSVRTVQDQPTSTRTTPGGRSSQSVNPVEQPSSTHSTPASRSYQSLNQAEQPSSAHSTPASRPFQSINTKSINSAAEQPHSLRSSIATRPLQPSSSNEQPTSARSMSAMNSVEQPHSIRSGMAATRSSQPTNSVEQPTSARSMSAMNSVEQPYSIRSGIATRSYQPTNSVEQPTSARSLATARPQLGTKPVHMVPASVPISLRPPSSADGPVDNRREPVPISLRPPSTAILSEAAAVDNRRDKRLSMDLGSLNMRETRTQRSSALQDELDMLQEENESLLEKLRLAEERYEETEARARQLEQQVATLGEGVTLEARLLSRKEAALQQREAALRLAAQTHGGSGGGSGRNDEAEYELKSLRIMTQRMILTREEMEEVVLKRSWLARYWSLCVEHGIHAEIATSRYEFWSALAPLPVEAVLAAGEKAKEEIEHNDLDIDEREDSPRNLNELSGERNIESMLLVEKGLREIASLKVEDAVAMAMAQHRRPSSMKTGLSELKLPIDGQFEAFELSKEESEDVLFKQAWLAYFWRRAKNHGVEADIADERLQFWINHNSKSPTTSHDAVDVERGLLELKKLGIETQLWRESRKWLEHDSMHRTRSLSDF >ONI05196 pep chromosome:Prunus_persica_NCBIv2:G6:30462534:30464943:-1 gene:PRUPE_6G361600 transcript:ONI05196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTTAEVCDAHSQLIGSGDLRVLEPIFQIYGRRQVFSGQIVTLKVFEDNVLVRGFLEEKGNGRVLVVDGGGSKRCAILGGNPVVQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALASHPMKANKKGIGEKQVPITIAGTRICDGEWLYADTDGILISRTELSV >ONI05197 pep chromosome:Prunus_persica_NCBIv2:G6:30462568:30464942:-1 gene:PRUPE_6G361600 transcript:ONI05197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTTAEVCDAHSQLIGSGDLRVLEPIFQIYGRRQVFSGQIVTLKVFEDNVLVRGFLEEKGNGRVLVVDGGGSKRCAILGGNPVVQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALASHPMKANKKGIGEKQVPITIAGTRICDGEWLYADTDGILISRTELSV >ONI02534 pep chromosome:Prunus_persica_NCBIv2:G6:21279931:21283574:-1 gene:PRUPE_6G204700 transcript:ONI02534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGLFVRPNPYLSPCLKTPNPRLNHSCRKQLCLKASAGNADGEEDRKLIRKGKDGWNIDYSGEKPGTPLLDTINYPAHMKNLSTEDLEQLAAELRVDIVYSVSQSGGHLSSSLGVVELAVALHHVFNTPDDKIIWDVGHQAYPHKILTGRRSKMHTIRKTSGLAGFPKRDESVYDAFGAGHSSTSISAGLGMAVARDLLGKNNNIISVIGDGAMTAGQAYEAMNNAGFLDSNLIVILNDNKQVSLPTATLDGPAMPVGALSGALTKLQSSTQFRKLREAAKSITKQIGGQTHQVAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNVSDLVTIFQRVKAMPAPGPVLIHIMTEKGKGYPPAEAAADKMHGVVKFDPRTGQQFKTKSSTLSYTQYFAESLIKEAEIDDKVVAIHAAMGGGTGLNYFQKRFPDRCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGIGSLLPANNKGTPLEIGKGRILMEGSRVAILGYGSIVQQCVEAASMLKTRDILVTVADARFCKPLDTDLIKQLAKEHEILITVEEGSIGGFGSHVSHFLSLSGILDGPLKLRAMVLPDRYIDHGAPQDQLEEAGLSSRHISATVLSLLGRPKEALRFK >ONI05247 pep chromosome:Prunus_persica_NCBIv2:G6:30577191:30581666:-1 gene:PRUPE_6G364100 transcript:ONI05247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMNWLGFSLSPQEVVPPDPSISEGDHHHHHHNDGHELSDDQTATLVSRLGFKYSDDISGTDVSGGCFDLTSHDDSSATTTAAAAVPNNHSHNHLIPPPFGMLHQAAAFNTTNIHSQGADWSNMNSSDSSTYKTTSDHLSMLMGSNNSYRSQNLENHHQQPKLENFLGRHSFVADEHHIGGQSSSSGTHAYNNNSTSSTSTSSSNIGLSMIKTWLRNQPAPVILPHHHDHHDHHDHHQRINKKDINTTDTTTTTSSSSSAVQTLSLSMSTGSHTHHNTTSTAAATGETCSTDRDNTCNDNNKLAVVRTTTSTAPPPGIDSQTTSTTAIATAIEAVPRKSVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFPISNYEKEIDEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMTRYDVKSILDSSTLPIGGAAKRLKDVEQAAQHQHHEMSLLVDGHNRSAPTDDHHDKMMMMMMNGSTGTCHDQYHHHQQQQLLIMGSGTAAADNYGSGTAGGWPTLAFNQAAAAAHQTPFGMHYYSSLVPYNNNSGQRVWCKQEQEQEQDIHSNTAPQSFYHHHQQQQQDQDQDLHHHQLLQLGSSHNFFQAAGMDSMEHSSGSNSVMYSTATATNGGGGDHGGYIIPMGTVISNDHNNGFGDGTNHDHQVKAVALGFENVFGSTTTSANATNVTGDAYNNHARNLYYLPQQPPQSSSVSSVSSAGVAKGGSAYDIHEAGQCNNWMPTAVPTSTNSNINMPPTFTVWNDT >ONI03811 pep chromosome:Prunus_persica_NCBIv2:G6:26388590:26390638:1 gene:PRUPE_6G283800 transcript:ONI03811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDASPAFRTVPQPHDFHPEISVSLSPTHDGLEFRQFMIAGSIAGLVEHMAMFPVDTLKTRMQVFGGSCSVQPIGVRQALGSILKLEGLAGLYRGIGAMGLGAGPAHAVYFSVYEMSKEFFTRGNPNSSAAHAVSGVFATVTSDAVITPMDVVKQRLQLQSSPYNGVADCVKRVLVDEGVGAFYKSYRTTVVMNAPFTAVHFATYEAAKRGLMEVSPESASDERLVIHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCDRFSSSSIGDVIRSLVTKDGYRGLMRGWVPRMLFHAPAAAICWSTYEASKNFFQELNGSESCRT >ONI01927 pep chromosome:Prunus_persica_NCBIv2:G6:17132474:17133319:-1 gene:PRUPE_6G167700 transcript:ONI01927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKASKLKQRKRKEKQGNEHERDEKCYLRWNIQMDHSLAEILREERQMGHKGDGGWKSVAYNTAAAILSAQYNIEVSADNIKNRVKTWKKFYAVVSDILSQSGFSWDATKKMITIDEENVWNEYVKSHEDARTFRYKVIANWDDIVDLCGKDRATGEGAETCFEAAEVMTPDSEPNNFVDLGADTQGFENSHIDDVSPNSRCPKKRNQPSSEIRPPKKRGTPNVLADSVAKMASSFEQFINATYKSLIH >ONI04780 pep chromosome:Prunus_persica_NCBIv2:G6:29372357:29374477:-1 gene:PRUPE_6G339300 transcript:ONI04780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARLYEVKDLNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKIEKSRKQLKERKNRAKKIRGVKKTKASDAAKAGKKK >ONI00397 pep chromosome:Prunus_persica_NCBIv2:G6:5873392:5875682:1 gene:PRUPE_6G086800 transcript:ONI00397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTLAPPLLINLPISLPIYINSQTTHLSLSLSLSLSLSLSLSLSHLVMELLSLLCAFSALYFIFMMWKRFDEKRDQECYILDYQCHKPSDDRKLDTRFSGEVIRRTKNLGLLEYQFLLKAIVSSGIGEQTYAPRIIFSGRESCPTLEDSVSEMEEFFHDSIQKLLDRSGISPSQLDVLVVNVSMFASVPSLASRIINHYKMREDIKVFNLTGMGCSASLISTDIVRNIFKSYKNVYALVVTSESLSPNWYSGNDRSMILANCLFRSGGCAVLLTNKRALKNQAMFKLKCLVRTHHGARDESYGCCIQQEDGQGMLGFHLDKILPKAATRAFVDNLREISPKILPIRELVRFMLVTLVRKLKSQSSKGGASTSPKPVINFKTGVDHFCLHTGGKAVIDGIGLNLGLSEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDTVLMISFGAGFKCNSCLLEVVRDLGDENVWKEDIAVYPPKSLTNPFLEKYGWIHQEDLSTFNPENVVI >ONI01151 pep chromosome:Prunus_persica_NCBIv2:G6:9320215:9323227:-1 gene:PRUPE_6G124500 transcript:ONI01151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRHLVSRQAVTNASKISARLRSPYGHGFTTMAADPVPLSFHSPSQGGSKPLKNPKCPKPISSFNRYIHSAQAQVGDPKIDSEVSDEEEDGVINEFLSRFVWIIRQKLNEAYPDCDKETIDGMLMIIVQKVVSEMEKGGIEQMLSESQSTPLQDFSEDLWKTVWEVSNMVLEDMKKEVKKEKMKGFLQEEEVKEMCRFAGEVGIRGDMLRELRFKWAREKMEETEFYQSLERLREEDRKSQEEGEAAEGMQAETTGEEEKSKVVSLPKRRGKIRYNIYGLDLSDSKWVDVADKIHEAEEIIWPQEPKPITGKCKLVTERIIQSNVTDDLSPLLAEWVELLQPSKIDWLNFLDRLRKQNTGLYFKVAELVLNEKSFQTNIRDYSMLIDAHAKQNHLEDAERILKKMNENGIQPDILTATSLVHMYSKVGNLDSAKEAFESLRSQGFKPDTKVYNSMIMAYLNAGQPKLAESLMREMEARDIKPTKEIFMALLRSFSQSGDVGGAGRIANIMQFAGFQPTLESCTLLVEAYGKAGDPDRARSNFDYMMKVGHRPDDRCTASMLAAYEKKNLLDKALNLLMQLEKDGFEPGVATYSVLIDWLGKLQLVGEAEQLLGKIAEQGETPPLKVHVSLFEMYAKAGVEKKALQALGVLEAKKEQLGSGEFERIINGLIDGGFKQEAHRIHGLMEARGFVPSSSLNLALAASRLKRSGTRGPQ >ONI01437 pep chromosome:Prunus_persica_NCBIv2:G6:11306726:11308099:1 gene:PRUPE_6G139300 transcript:ONI01437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVNEDQSSSSCPKPIKIRQVWAHNLESEFELIRAVIDDYTYISMDTEFPGLIFRQPYSHDLQPSDHYKTLKSNVDALNLIQVGLTLSDAHGNLPDLGSSSTSFIWEFNFSDFDVTRDPHAPDSIALLRSQGIDFDRNRSEGIDSARFAALLMSSGLVLNESVSWVTFHSAYDFGYLVKILTGQQLPSGLKDLEEFLKIVRVFFGNRVYDVKHMIRFCDGLYGGLEQVAKRLEVNRAVGKCHQAGSDSLLTLHTFQQITKKCFANYKEVEKHAGKLFGLAVV >ONI00903 pep chromosome:Prunus_persica_NCBIv2:G6:7933367:7934186:1 gene:PRUPE_6G110500 transcript:ONI00903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKPDSQLAAFVGYLQFMPLSNGSSSSQLNESQQVQIKDRYSYKHAYGGGSSSFTGSCKAGKYVDKRTGGLGYKQEAKYTSTAKHVNKELGFTTECQTQVKYKKSVYPNKTQVKKSASPNKTKVQRKCACPNKTQVRVQKSASPYKRVTKSYSKRIDYY >ONH99123 pep chromosome:Prunus_persica_NCBIv2:G6:888932:889198:-1 gene:PRUPE_6G012500 transcript:ONH99123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEETSLVLVDNTLMKVAMFVVVQALVYLILSSSSNLFSKNKLRSFNSFRPVRSASINRLLDAISDLPTGAADDPASPSCSPNPAKYR >ONI03915 pep chromosome:Prunus_persica_NCBIv2:G6:26838020:26840775:1 gene:PRUPE_6G290800 transcript:ONI03915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISPQAFPPRKRRPSAGAFISPKLSDQSLIRSLLSICQEISSLEPLRFLLRRTSLSMIRKTNLLSMLFEDLVRNSTAAFSPSALLCFQELYILLQRIQTLIEDSSHGSKMWLLIQTESLANCFHEFTLDLSTLLDILPIKELRLNDDVEEVVSLLRNQCSRSTTKSFVDPLDDDLRLEVCTMLDRLKSEIVPDHSRLSKIFIRLGIDSSACCTEEFESLQDEFQNQTEEKSKSQLIALVGLVRYAKCVLFGASTPGSDLIRRKSASELGFPVDFRCPISLELMRDPVAVATGQTYDRESIKLWIESGHNTCPKTGQTLAHSDLIPNRALKNLIAMWCRGQRIPFETAENNKGLVNAVKKNKAALEATRMTASFLVNKLSVSQSMDAANGVVYELRSLAKTDSDCRACIAEAGAIPLLVRYLSSDVGGAQPNLQVNAVTAILNLSILEANKTRIMETDGALNGVIEVLRSGATWEAKGNAAATIFSLSGVHGYRKRLGRKTRVIKGLLELAKEGPEHGCFRRDAMVAILNLAGEREAVARLVEGGVVEMIVQVMEGLPEEAVTVLEAVVRSGGILAITAAYGAIRKLGCVLREGSERARESAAATLVTICRKGGSEMVAELASMPGIERVIWELMGVGTVRAKRKAASLLRILRRWAAGLDSVVADEGYSQVVSSSIAATTTVAG >ONI00317 pep chromosome:Prunus_persica_NCBIv2:G6:5538944:5539481:-1 gene:PRUPE_6G082000 transcript:ONI00317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKEAGAAAGDKKKKRSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ONI02237 pep chromosome:Prunus_persica_NCBIv2:G6:19475955:19478538:-1 gene:PRUPE_6G186200 transcript:ONI02237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVSLTGETQFIHSLCAVVVKGHWNNILKPKIGSSLSSANIHQVLLQLSLHGYGPSPSWAFFKWVQSIPTYKHSLQCCWTMIHILTEHKHFKPAQQLLEKIAFKDFLSSPMVLNALVPIQDDPEVNSHVLSWLVIFYANSKMNQDAIQVFEHMRVHGFKPHLHACSALLNSLVKDRLTNMVWKVYKNMIQAGVVPNVHIYNVLIHACCKSGDTEKADSLVGEMELKCIFPDLFTYNTLISLYSKRGMHYEALSVQDRMERAGVSPDMVTYNSLIYAFCREGRMREAVKLFREIKGATPNHVTYTTLIDGYCRVHDLEEALRLCEVMKAKGLYPGVVTYNSILRKLCEDGRMRDANKLLNEMSERKVKPDNVTCNTLVNAYSKIGDMRSAVKVKERMLAAGLKLDEFTYKALIHGFCKVLEMESARDLLFSMLDAGFSPSYCTYTWIVDGYCNKGNEEAVIRLPDEFVKKGIYVDVSLYRALIRRLCKRERVDSAEKIFSFMEEKSISGDSVIYTSLAYAYLKAGKSSVVSVLLDEMYKRRLMVTRKIYRCFNASYASDNDIIRLFWDHMVERGLMSKNVINKEMQQT >ONI02236 pep chromosome:Prunus_persica_NCBIv2:G6:19476465:19478234:-1 gene:PRUPE_6G186200 transcript:ONI02236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVSLTGETQFIHSLCAVVVKGHWNNILKPKIGSSLSSANIHQVLLQLSLHGYGPSPSWAFFKWVQSIPTYKHSLQCCWTMIHILTEHKHFKPAQQLLEKIAFKDFLSSPMVLNALVPIQDDPEVNSHVLSWLVIFYANSKMNQDAIQVFEHMRVHGFKPHLHACSALLNSLVKDRLTNMVWKVYKNMIQAGVVPNVHIYNVLIHACCKSGDTEKADSLVGEMELKCIFPDLFTYNTLISLYSKRGMHYEALSVQDRMERAGVSPDMVTYNSLIYAFCREGRMREAVKLFREIKGATPNHVTYTTLIDGYCRVHDLEEALRLCEVMKAKGLYPGVVTYNSILRKLCEDGRMRDANKLLNEMSERKVKPDNVTCNTLVNAYSKIGDMRSAVKVKERMLAAGLKLDEFTYKALIHGFCKVLEMESARDLLFSMLDAGFSPSYCTYTWIVDGYCNKGNEEAVIRLPDEFVKKGIYVDVSLYRALIRRLCKRERVDSAEKIFSFMEEKSISGDSVIYTSLAYAYLKAGKSSVVSVLLDEMYKRRLMVTRKIYRCFNASYASDNDIIRLFWDHMVERGLMSKNVINKEMQQT >ONH99659 pep chromosome:Prunus_persica_NCBIv2:G6:3069176:3073485:-1 gene:PRUPE_6G041700 transcript:ONH99659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDVDVSRWVLELLIRDREKECIAKRVLAVAPFSDQDYRLKKTVLLRTIECDVYDALVSETMLETLEMIEDLDLSQGIATTDSMKAAYLAVATECTVKFLVGLMGKPSGKYLEAVDRIWRGRVGALERSETSQLISAELRQRMDEVEAGVWDLRVSKKLSRMNTRNDALRLVAVYVKEALALMGPPFITWAVRLNVPKEVADLEGDQIAVAKEANVGGGPEAEAQTKNGANVGDRSELEAQTENGANAGDGSELEAQMANAADVGGESELEAQMENGANVGGESELEAQMENGDNVQMASGTNAVDGSELEKEVVNETNAGDGSQLELQTTNGTNAAVESVLEVQMVSGANVGDGSELEAHTVNATNVGSESELEAQLVNVTNLGDGCELQAQRVNEASGSCGFESGPQPMTGVQLREVGARRMPPTMSRDLVLNEMDSGFTNREVLRFGDDQVHDTGVGLPSTNRELVLSLDPTTKDKEIQRSTGLRYKHLAHRRTRGPVRIRDAEDLGTDLSNSKYDSLSTPEVSNAQEALRSSILELQAVVTDPLPDALRVAETIRLDLAMKSVNAGAENLSVEKGAGPVQSHNDNLGNMSVPQSSGKEKDVPTPPVNQGKGTESVQTDDANLGNPSSSNKNNAPRPMTDPLPDALRVAETIRLDLAMKSVNVGAENLSVEIGAGPVQSHNDNLGNVSVPQSSGKEKDVPNPPVNQGKGTESVQTDDVNLGNPSSSNKNNAPRPSLMERNTTAHTYEWDDSIDTSQGAMKDPGRLYLPSPKRNAVSPLKKYENKRFTKRRKAKRWSLLEEDTLRTGVQKYGAGNWKFILNSYREIFEERTEVDLKDKWRNMTR >ONI04559 pep chromosome:Prunus_persica_NCBIv2:G6:28748934:28751207:1 gene:PRUPE_6G328300 transcript:ONI04559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKHYNTILSLLETCKSMSELKQLHGLMITTSVFNNVIPLSRLVDFCANSEARDLVYAKSVFHQINQPRVYIWNSMIRGYSNSENPAESLIMYREMLQRGYVPDNFTFPFVLKACSLITVYHYGRCVHNCIVKTGFELDMYASTCLLSMYASCADMNSGLKVFDNIPKWNVVAWTSLISGFVGNNQATEAIKVFKDMELQNVQPNEFTMVHVVVACARSRDIDTGKLVHSRIRQLGFDPFGSSFNFNIVLATAILDMYAKCGSLRTARDLFNKMPQRNLVTWNSMISAYNQYGRAEEAIGLFSDMQNAGFAPDEATFLGVIGACAQLGALALGQSLHSYVSKTSMGKDTAIGTALVDMYAKTGDAGSAQRIFDNLQKKDVMAWTSMITGLAMHGHGKEALHAFRKMQEDARVVPDQITYIGVLCACSHVGLVEEGQRHFASMVNIYGIEPTEEHYCCMVDLLSRAGRFEEAVRLLEEMPLQPNVAVWGALLNGCEIHENVDLADQVRRRITEMEPHGSGVYVLLSNIYARAGRWQEVKLARELMKERRIAKNLGHSSVEMKLLSS >ONI04650 pep chromosome:Prunus_persica_NCBIv2:G6:28961685:28963560:1 gene:PRUPE_6G332700 transcript:ONI04650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKVTHQPKDTKHEAHQSQSHNTHQATTMDEPSEKVQNNTHQATAKDEPSEKVQNLKSLNSLLLKETFDRRQQVESLMQAKEGLESELTRFRVESKLLESELTGKSEENVGLELEKSVFCVFVLAQMGQMVKEQVEIERAKSERDTEIAFLKREMNELMGSLENEKVKLNRVCWERDVVKSDFDGLAEEANGLRLKVVEMEKNERCTEDEVEKLKIQCQGLVQEKAEKERAVEVVIREKDLAQRKHAESERVTEGLKKEIEGIVREKNEIEKEKHGQEVRLFRLENEVEHLSKVELNLRKEKELLHIKVLELKKSINEAMGKEEERERDIKALVEEKREKEHSIERLTEELKNKEQRIKEIEQKKNEMEEAKVNQETEIAELNREVAEQRDIVSTLRNSCSGQEEKNERLVSEVSQYKDAVDRVMQERSEAQKSLDGEKKKVEDLMLTISDREKTIKETEKELGKLRSDRDNVSEKNKVMESRLESLVKEKDVMQKNLVEAQKKIHDWEAKFESEGAKLKRALTMLKNTAALVSSKSEGKEEVVANDHKLGKEIQPYVVELDAIQKAFRNKEKLVGDLKQQVESLHKIAEAQKKKSFWTLVSSATTIIAAASVAYVAKGR >ONI03356 pep chromosome:Prunus_persica_NCBIv2:G6:24686962:24687492:1 gene:PRUPE_6G252700 transcript:ONI03356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGPPHRRFELGPEIIGLLGVFAGVIVVATYQCLVNNCCCSQRRIQNANIQQQQQQQQNEQQRQGIQINPNMHRPPTSNNPPPAHLIPIFKYTKECKEGICAVCLCEFRDGETIRVLPECMHLFHAGCIDMWLNSHSNCPLCRTDIVPPGHVVLSMPPPQHVVLSMPHFSGGDI >ONI04358 pep chromosome:Prunus_persica_NCBIv2:G6:28205221:28208871:-1 gene:PRUPE_6G317400 transcript:ONI04358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTWSIFSYSIFPKVNIYYVHFKYVWILGAFYLRLTGTETDVYQYLEPLYNDYRKLRRNLADGNYALTHVDEVIDELLTKDYSCDIAMPRIKKRWTLEATGSLERRKSALEEDFEEKGEKEENDQLDGVDDEVHGRDYYRGRSPVRERDRGRRRDSHTYRDHDYDRDYDRNRDYDREHGHGRERDRDRDCYRLRDDKDHGHDRERDRQKRDCGRRRSYSRSRSRSRDHEGQDNRKRHGRSSMSPRRQDGHDEHGTCEESKKKKEKKEKKTDGTDHPDPDIAEVNRLRASLGLKPLKM >ONI04660 pep chromosome:Prunus_persica_NCBIv2:G6:28991720:28997886:-1 gene:PRUPE_6G333400 transcript:ONI04660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSDVRGGKEAVGGAQPRPTVHNDAVEFFYRSHGAFPLFSQLELTISASNLLDLDVTSKSDPMVIVYAKKSDGKLEELGRTEVILNSLNPVWIEKVSIAYQFEMVQPLIFHVYDVDTKYHNVPVKTLNLRDQEFLGEGSCGLSEIVTKQTRSLTLNLINKNWHGGMRKFGTLTVRAEETIASRSAVEIKFRCSHLENKDLFSKSDPFLRISRIVESGGSIPICKTEVVDNNLNPTWKPVCLSMQQFGSKDTPLVFECFDFNSNGDHVLIGKIQKSVADLEKLYKESSGVNFVFPTSRQGHEKVLKGQLFVDQLFEKEQFSFLDYISSGYELNFMVAVDFTGSNGDPRSPDSLHYIDPYGRLNSYQQAIREVGEVIQFYDVDKRFPAWGFGGRTTNGTVSHCFNLNGSASDFEVEGVEGIMGAYATALHNVSLAGPTLFGQVVNKAAEIAGRSLSSSNNKYYVLLIITDGILTDLQETKDALVRASNLPLSVLIVGVGNADFKQMEILDADSGPRLESSTGRIATRDIVQFVAMREVHSGQISVVQGLLEELPGQFLSYMRSMDTKPLTFHAGQPSTS >ONI02390 pep chromosome:Prunus_persica_NCBIv2:G6:20258118:20259623:1 gene:PRUPE_6G195100 transcript:ONI02390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLIKSFSLLMLLLAFPYAINAQATQELQNNNGYGYVSTFTSSMAMILVFLVCAFFLMVFFIICIRRCAEAADIESAGSAAPATVSRRRGLDPAVIETFPILVYSAVKDLKIGKEALECAVCLGEFDDYETLRLLPKCDHVFHPDCIDAWLAAHVTCPVCRAKLSAESNSRVPEPHNDPNLESSSTTSQIEVGEAGNNAVVVNVYENQSEDPQGVETANRTSTARSGISGKFPRSHSTGHSLSQLGGNTERYTLRLPEEVRRQLISLGINKLRRSNSYDVVLPRLESSRKGYRCGGGETKSNVDNQTGWFDSCVLLRTPSFLARGSSVTFKSPKGGGGDGDGVFGKTPLRSVKTPFDCLNAKAERSKESISRLPV >ONI01961 pep chromosome:Prunus_persica_NCBIv2:G6:17420656:17422781:1 gene:PRUPE_6G169100 transcript:ONI01961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLEQNIDGLTDGSDGNTKEDNHHTQRNNGSGSMQFADNDVKVHTQLRPTTNGEVNENSTNASGTGPPAGFLGVSLHSPYEKIGISTSFVAPSAGAGLPFDAMVLGSIWPLGFLMKYCSMLQNRMLAYPSFLFKVGTEKMGKDF >ONI02653 pep chromosome:Prunus_persica_NCBIv2:G6:22102661:22105922:1 gene:PRUPE_6G212800 transcript:ONI02653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKDHKVACATDEEPEANGILSKEDQSQPNVTLLTESTKTDSPSNGNAPSLNHQYPSTLQWPYTPQHGVEQSLFMPRPFIATQAPLPGVINQWPQFPHLQQNASNHQVPQGLPPSNYSQSAAPSWLPQRAGYTLPGLKAPATFPSFIAFGATDTSWQTPAAVGGGTSTTNQAQVPNFCYPVGYPYPGFPGPCDPSWWGQAQAQQPLCTYAFPGGYFPSPPALPPSCTTPLGQSFQKGIIRAPTKLSQKHQQLWDAQSAENVQLWNVINHLQSEIMDYKIRLVRLEAEVSSLKPAAEEPTAQVSGAVLSGQPSKRGRPKRSVASVDALPSPGESHRRTRGRKPAACKIHQFEMKPHVFEKVILNKVEDKEKAYHSTAAAEQGNNISNVVAHSGGNLEVNGSNSMMPEFHYQFQQDLPNVQMYRIGHTASSEMKGNDDKGNYVRTNNAITSQQTNGTSTKTNLALHMGAIGTASIGWPSSISSEPDRNEVNIGSQGFYNSGSVIRRGGKIIPGWSFVSEEDASEKLEDAVLGSGKDDNDENMGDDSSSGGEEIARTKDESAHNMDGSREGTSPNWS >ONI04556 pep chromosome:Prunus_persica_NCBIv2:G6:28743667:28744408:1 gene:PRUPE_6G328000 transcript:ONI04556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYPHPIYNLVKHAINLITFSWDTSRDANDYFLLNQYASVISVKIYGRHNVFWSGRLIHINVPAKTILVQFTHFFPS >ONI04652 pep chromosome:Prunus_persica_NCBIv2:G6:28972494:28973781:1 gene:PRUPE_6G332900 transcript:ONI04652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEAGSTKGGRGKPKASKSVSRSHKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKVGKGKGDIGSASQEF >ONI03092 pep chromosome:Prunus_persica_NCBIv2:G6:23798954:23803685:1 gene:PRUPE_6G238100 transcript:ONI03092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEETVAEENDGGISTNKAGPSIQKGGDAAEIIEEAPPGQPWRRQNLILQIPSRSLEDAKEDFVRINMPPTPSLTPKRVNFSPLPSPSLAKISGLPGPSSSKAKSTIKSLLPKLSFKHRNTTSEIQKAATLALGGLPAGTREKPLISRTWSFTKLLTPRMKNASSLPVTPIAHSNPESMHGGNTIDMLSSVKGEAQLPIHRSQSVPVINKDGSIYLGGVIRVIPTTPRMPERAVTTTSSTSPVTDTDGSDDGGEDIAVEEAVCRICLVELGECAETLKMECSCKGDLALAHQECAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQNVQTLNSRGSRAQQTEVTQYRVWQDVPILVIVSMLAYFCFLEQLLVGKMGSGAIAVSLPFSCILGLLASMTSTTMVRRKFVWVYATTQFTLVVLSAHVLYSLLHMHAVLSVLLATFMGFGVTMCGNSIIVEAFRWRERWLFQSNRQRGSQEVTQPNQSPENAQQAQTNPQQLENETQVAEAIHGS >ONI03093 pep chromosome:Prunus_persica_NCBIv2:G6:23799041:23803675:1 gene:PRUPE_6G238100 transcript:ONI03093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEETVAEENDGGISTNKAGPSIQKGGDAAEIIEEAPPGQPWRRQNLILQIPSRSLEDAKEDFVRINMPPTPSLTPKRVNFSPLPSPSLAKISGLPGPSSSKAKSTIKSLLPKLSFKHRNTTSEIQKAATLALGGLPAGTREKPLISRTWSFTKLLTPRMKNASSLPVTPIAHSNPESMHGGNTIDMLSSVKGEAQLPIHRSQSVPVINKDGSIYLGGVIRVIPTTPRMPERAVTTTSSTSPVTDTDGSDDGGEDIAVEEAVCRICLVELGECAETLKMECSCKGDLALAHQECAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQNVQTLNSRGSRAQQTEVTQYRVWQDVPILVIVSMLAYFCFLEQLLVGKMGSGAIAVSLPFSCILGLLASMTSTTMVRRKFVWVYATTQFTLVVLSAHVLYSLLHMHAVLSVLLATFMGFGVTMCGNSIIVEAFRWRERWLFQSNRQRGSQEVTQPNQSPENAQQAQTNPQQLENETQVAEAIHGS >ONI00182 pep chromosome:Prunus_persica_NCBIv2:G6:4989805:4992705:-1 gene:PRUPE_6G073100 transcript:ONI00182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHENYRISAFEQSYRCYPVSFIDKSHLEKGDKIIMPPSALDRLASLQIDYPMLFELNNPTVDRVTHCGVLEFVADEGLIYLPYWMMENMLLQEGDICQVKNKSLVKGTYVKLQPHTKDFLDISNPKAILETTLRSYSCLTTGDTIMVPYNNKKYYINIVETKPSSAISIIETDCEVDFAPPLDYVEPEKPAPITSSKKRLLEAEEEPPEKIAKFSPFTGSGKRLDGKPLTQSIAQVSSPILKQHQLKSENGTKSSKSSTCAARQHSGKLVFGSNVNQPTNETPKVAPKNSRQEPSQKAEDPSQKTDEPKFQAFTGKKYSLKG >ONI00183 pep chromosome:Prunus_persica_NCBIv2:G6:4989805:4992807:-1 gene:PRUPE_6G073100 transcript:ONI00183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHENYRISAFEQSYRCYPVSFIDKSHLEKGDKIIMPPSALDRLASLQIDYPMLFELNNPTVDRVTHCGVLEFVADEGLIYLPYWMMENMLLQEGDICQVKNKSLVKGTYVKLQPHTKDFLDISNPKAILETTLRSYSCLTTGDTIMVPYNNKKYYINIVETKPSSAISIIETDCEVDFAPPLDYVEPEKPAPITSSKKRLLEAEEEPPEKIAKFSPFTGSGKRLDGKPLTQSIAQVSSPILKQHQLKSENGTKSSKSSTCAARQHSGKLVFGSNVNQPTNETPKVAPKNSRQEPSQKAEDPSQKTDEPKFQAFTGKKYSLKG >ONI00980 pep chromosome:Prunus_persica_NCBIv2:G6:8321306:8321672:1 gene:PRUPE_6G114900 transcript:ONI00980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFLQWRPSTSLCGYYIFNHLWLDLTCHYVVLTKFFNKQLGLLFRSRSLYYCLAFIVSIWNE >ONI04849 pep chromosome:Prunus_persica_NCBIv2:G6:29555806:29561873:1 gene:PRUPE_6G343400 transcript:ONI04849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNPPEGLGDDFFEQILAVPPSYSTGGADSAGGGYGDVGSMPMVLQLGSGGSSSAGGGGGGGSGGGGYRGVGMGMGMPLGLNLEQGGFIGQERFRELREEVEANTNNNINTPNVSASVSSAMNFHSQPSPGPVAAVHHPPAIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSCNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAQLVADVPLSAVEGEGIEGGTNQQAWEKWSNDGTEQQVAKLMEEDVGAAMQFLQAKALCIMPISLAPAIFRTHQPDATTLVKPESNSSS >ONI04848 pep chromosome:Prunus_persica_NCBIv2:G6:29555806:29561873:1 gene:PRUPE_6G343400 transcript:ONI04848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNPPEGLGDDFFEQILAVPPSYSTGGADSAGGGYGDVGSMPMVLQLGSGGSSSAGGGGGGGSGGGGYRGVGMGMGMPLGLNLEQGGFIGQERFRELREEVEANTNNNINTPNVSASVSSAMNERDSVHMTNLFPAFGHLQNHSLRPTPPPPQPHQFHSQPSPGPVAAVHHPPAIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSCNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAQLVADVPLSAVEGEGIEGGTNQQAWEKWSNDGTEQQVAKLMEEDVGAAMQFLQAKALCIMPISLAPAIFRTHQPDATTLVKPESNSSS >ONI04996 pep chromosome:Prunus_persica_NCBIv2:G6:29907301:29911036:-1 gene:PRUPE_6G350900 transcript:ONI04996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQVGGQGTRPTFFEMAAAQQLPASLRAALTYSIGVLALRRPFLHRVLDYEDEFFSLLMLVLETHSLRTTDASFSESLYGLRRRAVKIKAMKDDPRLNPGDGIQHSGLEKRQRVLSVVFLVVLPYFKSKLHSIYNKEREARLQASLWGHVDERFDDADIFDRGEDSVIPRGNLDMESSVRTRLTRKIQKFIGACYPLLHASTEGFSFAYQMLYLLDATGFYSLGLHALGIHVCRATGQELMDTSSRISKTRSRERERLRGPPWLKALQGALLSGTYTVLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTIYPPPPPPPPPQVAKEGIPLPPDRTLCPLCSQRRANPSVITVSGFVFCYACIFKYVSQYKRCPITLMPTTVDQIRRLFHDV >ONH99370 pep chromosome:Prunus_persica_NCBIv2:G6:2122159:2125309:-1 gene:PRUPE_6G027200 transcript:ONH99370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDMAAHTTLKPRKEGQDTQDELQKKNLREELEERERKHYSSKDKSYSYDRDRRRGSQLLLEGGRRDTEIVPRNVDADDSDVEVKSDDESSEDDDDDDEEALMAELEQIRKEKAEEKLRKERQEREEELKVKEAELLRGNPLLNGATATSFSVKRRWDDDVVFKNQARGETKAPKRFINDTVRNDFHRKFLHKYMK >ONI04134 pep chromosome:Prunus_persica_NCBIv2:G6:27548646:27550543:1 gene:PRUPE_6G304700 transcript:ONI04134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRFLGFSDGELMRSDAKPCSRLMRHTAGIFSVGGALGFWILCRLHYGPRITVPRSLRWAACGAVSMSSTTALLVRLFSPECEPQNIATYDKGN >ONI01918 pep chromosome:Prunus_persica_NCBIv2:G6:17002824:17009756:-1 gene:PRUPE_6G167100 transcript:ONI01918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASLDEALGANPLLQDFDFPPFDVVDAKHVRPGIRALLKKLEGDLEELERTVEPTWPKLVVPLEKIVDQLTVVWGIVNHLKSVKDSSELRSAIEEVQPEKVKFQLRLGQSKPIYNAFKAILESPDWQTLTEARKRIVESQIKEAVLSGVSLEDDKRDNFNKIEQELKRLSHKFEENVLDATKKFEKLVTEKNEIEGLPATALGMAAQTALSKGHENAIAENGPWIITLDGPSFLSVMQHARNRSLREEIYRAYVTRASSGDLDNTAIVDSILKLRLEKAKLLNYNNYAEVSMATKMATVEKAEELLEKLRSASWNAAVQDMEDLKNFSKSKDAPEADDLNHWDTSFWSERLRESKFDINEEELRPYFSLPKVMDGLFNLANMLFGINIEPADGLAPVWNNDVRFYRIKDSSGSPVAYFYFDPYTRPSEKKGGAWMREVLARSHVLSRDGASARLPVAHMVCNQTPPVGNKPSLMTFRELETVFHEFGHALQHMLTKQDEGLVAGLRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGETLPEETYKKLLAARTFRAGSLSLRQIRFASVDLKLHTDYIPGGSESIFDVDRRVSERTQVIPSLPEDRFLCGFRHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDSEAVKETGHKFRETILALGGGKAPLEVFVEFRGREPSPEPLLRHNGLLATASA >ONI01917 pep chromosome:Prunus_persica_NCBIv2:G6:17002570:17010034:-1 gene:PRUPE_6G167100 transcript:ONI01917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASLDEALGANPLLQDFDFPPFDVVDAKHVRPGIRALLKKLEGDLEELERTVEPTWPKLVVPLEKIVDQLTVVWGIVNHLKSVKDSSELRSAIEEVQPEKVKFQLRLGQSKPIYNAFKAILESPDWQTLTEARKRIVESQIKEAVLSGVSLEDDKRDNFNKIEQELKRLSHKFEENVLDATKKFEKLVTEKNEIEGLPATALGMAAQTALSKGHENAIAENGPWIITLDGPSFLSVMQHARNRSLREEIYRAYVTRASSGDLDNTAIVDSILKLRLEKAKLLNYNNYAEVSMATKMATVEKAEELLEKLRSASWNAAVQDMEDLKNFSKSKDAPEADDLNHWDTSFWSERLRESKFDINEEELRPYFSLPKVMDGLFNLANMLFGINIEPADGLAPVWNNDVRFYRIKDSSGSPVAYFYFDPYTRPSEKKGGAWMREVLARSHVLSRDGASARLPVAHMVCNQTPPVGNKPSLMTFREHMLTKQDEGLVAGLRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGETLPEETYKKLLAARTFRAGSLSLRQIRFASVDLKLHTDYIPGGSESIFDVDRRVSERTQVIPSLPEDRFLCGFRHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDSEAVKETGHKFRETILALGGGKAPLEVFVEFRGREPSPEPLLRHNGLLATASA >ONI04202 pep chromosome:Prunus_persica_NCBIv2:G6:27763776:27766976:1 gene:PRUPE_6G308500 transcript:ONI04202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGDYLPFLAMVLVQMSYAGMNIISKLAIESDMNPLVLVAYRQVFATLSIAPFAYWMEWKTRPRITMPILFQTFLCSLTGATANQVFYFVGLKTSTPTIACALTNTLPAMTFILALIFRQESAKIKSKPGLSKVMGTVVCVSGAMLLSFYHGHIIGLGESKIHWAYAQRMGEQANSSSNGSSFVGPLCVIISTLGWAFWFIIQAKVGENFPAPYTSTTLMCLMASFECGIIAVIADHKVSAWSLKNPMRLISALYCGILGSALAFFLSSWSIQRKGPLYVSVFSPLLLIIVAISSWALLEEKLYLGTAIGSILIVCGLYLVLWGKNKETEVEKPTKETDTTKADHAKEYERNDLELQLQVHSKSDSDVMG >ONI00014 pep chromosome:Prunus_persica_NCBIv2:G6:4330152:4333130:-1 gene:PRUPE_6G062700 transcript:ONI00014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVILRKVKVRFVQKFFCFSRFMFLVVWPWFLIRSPQRSPQRSDVDNPMNNLHGRRGRTPISGRGHQTIPLHHRTPSYSPPRRSRMYSNHRRHYSRSPSYSSDLSRRSRLPKTYCAQCNRYRPYSYSRSPVRHRYLADSPYDSRGYSPDDSYHVRRYRHGRQSPSPLGARRRSRRSYSHSVSPRPRRSYRRNYPRLSPEPERRTVKNGTPCQNQ >ONI00968 pep chromosome:Prunus_persica_NCBIv2:G6:8246602:8247519:-1 gene:PRUPE_6G114300 transcript:ONI00968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRSTKTVVKTTKQVVRETVQVSSVVQSKRRKKQSEDSGDQTRKPVKTIKTISIETQEENQTQNVEISEPLKTRRIPIQTEEENQILKGQNAEAITTLTSDQKEAAAEEEEEGEGEKKEDQEDTVETSITSDEKEDEQKSEEVKTLEGEKEDSMETNTTSDEREEEEEETTKKEEHKSDEVKTQKGGKKSSEKKRKRKRRERGRGEEYKIYVHRVLKQVHPGMGVSSKGMTVLNNLMNDMFERLADEAARLTKYTARKTLSSREIQAAVKLVLPGELGRHAMAEGTKAVSTYVSNNNGRQSKS >ONI04395 pep chromosome:Prunus_persica_NCBIv2:G6:28287000:28289340:1 gene:PRUPE_6G319100 transcript:ONI04395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTLRKKENLIDILVRLPAKSLVRFLCTSKSWSDLIGGSSFVSAHLNRNATKHAHVCLLCLHHPNFERLVNRDDPYFKKEFQWSLFSNETFEEFSKLSHPVGSTEHYVIYGSSNGLVCISDEILNFDSPIYIWNPSLCCSPIRVPLHPRVNDYKAVRMMRTNKNALAVEVYSLRTDSWKMIGAIPPWLKCTWQHYKGTFFDGVAYHVIQKGPIFSIMSFDSGNEEFEEFIAPDAICGTFGLCIDIYKEQICLLFRCYGCEEEGMNKVDLWVLQEKRWKQLCPFIFPLDEWSGAIGISIDDELLMAITDFDKGVADLYLCNYECQDVEGNIVGDRRWLAGSR >ONI01691 pep chromosome:Prunus_persica_NCBIv2:G6:13406437:13407464:1 gene:PRUPE_6G154000 transcript:ONI01691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNGSKGVVFQSMQLQVGDVLNLLKGIKEMLLIICILIAVNVVFNLVIKKNEVLRWCFNLSKDMFWL >ONI02605 pep chromosome:Prunus_persica_NCBIv2:G6:21819599:21820752:-1 gene:PRUPE_6G209900 transcript:ONI02605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSYLIGLKPEPSKYQYKNQNLPHLLFLITLSEFSIPSNPSFVSVQKLVRVSLAEMNEPKYGYPYAAQGQGGYYQGPPVMAPPQYAAPPPRREPGFLEGCLAALCCCCLIDECCCDPSVLFFF >ONH99033 pep chromosome:Prunus_persica_NCBIv2:G6:547378:549346:-1 gene:PRUPE_6G006300 transcript:ONH99033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAANSSPNSKTVLPENEKQEAVQEPPMFSTTKIKSKRLSFIPLVFLIYFQVSGGPYGTESIVGSGGPLFAILGFLLFPVIWSIPEALVTAELATAFPGNGGFVIWTHQAFGPFWGFLVGFWKFLSAVINLSSYPVLCIDYIDPILPTSFSPLPHYLVISVSTMLLSVLNFIGLPTVGYAAISLGVLSYLPFLVISVFAIPKIDPSKWAVLGQKGRKKEWPLFFNSLFWNLNCWDNVSTLVVEGVKKPSKTLPKAFLSAGLLTCLTSLIPMLAATGAMSLDLDDWVDGFYTVVGEKIVGKRFKKWVRIGAFLSGIGVYQSQLSSCSYQLSGMAELGLLPKLFGVRSKRFNTPWLGIMIPTVISVLVSYMNFRHVTSLVNVLNSLGMLVELSAFIWLRVKFPGVERPFKIPITRLRWLIAVLFIPFGFLVYVICVGTKIIYLVIAVLTAVGIIWYFCMKIFQAAMWLDFNHVVKKLADYEDFGGYYERILK >ONI05220 pep chromosome:Prunus_persica_NCBIv2:G6:30540710:30543121:-1 gene:PRUPE_6G363300 transcript:ONI05220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARSAILKYLRVPVPAVPSNPSSTTPLFLSPFNAIRRRLFSEEVRGTFLDKSEVTDRVISVVKNFQKVDPSKVTPNAHFQNDLGLDSLDAVEIVMALEEEFGFEIPDNEADKINSIGLAVDFISSHPQAK >ONI02429 pep chromosome:Prunus_persica_NCBIv2:G6:20497419:20497949:-1 gene:PRUPE_6G198000 transcript:ONI02429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPKLFFILSLVVILSLSNTHMTEAARHLLDTPAAAPPPALAFPTIPSLPKQTLPPLPSIPTLPKPSNTLPTFPTAPTLPKATLPPLPSTPLPTLPTAPTLPNLATLPPLPTLPTTLPPLPSSQMPSLPKPSALPTLPTTLPPLPSLQIPTTIPSISTIASTIPTISFLSPPPSN >ONI03917 pep chromosome:Prunus_persica_NCBIv2:G6:26850272:26851758:-1 gene:PRUPE_6G291000 transcript:ONI03917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISEQKINIPDETVKEKSTMTYGGDIPTTKWASILYPTWSCMKDRILTSVLHNLDGNKDINIQSIRSVTSKGEGYKMAKHLWWNVLKEVPMFQTMDDRSLEAICSKFHPVFYGEDSYIIREGEPLGKMVFILRGNILTYATNNNGTNGSTTNICLKKGDIYGEELVKWASNSVTSSPNLPVLTKVSLSDLPISTTTLKCYTKVEAFTLMATDLKRIVQANKKDLQYWAGVA >ONI02419 pep chromosome:Prunus_persica_NCBIv2:G6:20453107:20454992:1 gene:PRUPE_6G197200 transcript:ONI02419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVALTKYNLIYHQRAAPIKHNRSIRICASILGIGCIAAGANKAMVTTAASSSSNGGAYTTIKERVRFEKEIKKSKFIAIAGPIPDEQSAQSFLSQVRDQRATHNCWAYKVGDQYRSNDDGEPSGTAGKPIHSAIESSGIDRVMVVVIRHFGGIKLGTGGLVRAYGGVASECLRNAPTCLVKSKVRMGVEVPFDLLGVLYHQLQSFQVEDIKQDYETGKDGITVVTFQVDFDRIEKLEDAIKSHCSRDLVFYKR >ONI01135 pep chromosome:Prunus_persica_NCBIv2:G6:9216717:9219092:1 gene:PRUPE_6G123600 transcript:ONI01135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKELGQEFKELVSSLQDQGILDEQFDQMKAVQNERNPCFVANLITTFLGDVENILAQLSTYLSAEDPDEVNYPQVATLALTLKCWWLPDGVSL >ONI02304 pep chromosome:Prunus_persica_NCBIv2:G6:19738989:19741673:1 gene:PRUPE_6G189600 transcript:ONI02304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGCFSLTASRDRCFRYSFSSHGLKSTTTDLGEGTIMHCWVPKSHSQSKPTLLLIHGIGANAMWQWHEFVAPLASRFNLYIPDLIFFGDSFSTRPDRSETFQAQCVVGLLEAHGVKKMSVAGISYGGFVAYSMAAQFGERIERLVLCCAGVCTEEKDMEKGMFQVRSVEEAVSILLPQRPQQVRQLLKISFHKPAKNVPSCFLNDFIEVMCTEHLQERKGLIQALHKDRKLSDLPKISHPTLIIWGEHDLVFPLELAHRLKRQIGESSQLVILKNAGHAINVEKPKEMYKHMKSFLIDPLAPPKQESHSNGRKVD >ONI00570 pep chromosome:Prunus_persica_NCBIv2:G6:6634626:6637946:1 gene:PRUPE_6G095700 transcript:ONI00570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAILIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLISEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTLKPGMLVTFAPTGLTTEVKSVEMHHESLQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTAQVIIMNHPGQIGQGYAPVLDCHTSHIAVKFAEMLTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKITKAAAKKK >ONI00569 pep chromosome:Prunus_persica_NCBIv2:G6:6635031:6637892:1 gene:PRUPE_6G095700 transcript:ONI00569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAILIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLISEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGTLKPGMLVTFAPTGLTTEVKSVEMHHESLQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTAQVIIMNHPGQIGQGYAPVLDCHTSHIAVKFAEMLTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKITKAAAKKK >ONI00381 pep chromosome:Prunus_persica_NCBIv2:G6:5782231:5784017:1 gene:PRUPE_6G086000 transcript:ONI00381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMMTATTSSYGSRSMPSLHSTVVFLFNDYSALFHSQPSNSKPNESRNTHPKQFGVRDPTTVTNLEHAFNVFDEMLRKRHLPSVFHFNQILGQVAKLKHHSAVISMYNQMGVSGVGPSDCTLTIVINCFCHLNQMGFSFSVLGQLFKSGFEPSVYTFNILINSSLTENRVAEAAEIFKKMMKTGNCKPSVVTFGTLIKGFCRMGNNGAAIQLLRKMEEGACNPNLVIYNTIIDSLCKDTLTVDALNLFSEMMSKGISPNVITYTSLIHGVCRLGNWKAATRLLNEMVSRNIFPNVHTFSILVDTLCKEGMAEEAQTVVERMIERDIEPNTVTYNSLMDGYCLRGEMDKAKKVFELMLCKDSMTDVRSYTILINGYCKRKMMDEAMMIFLEMSRRGLVSDTVAYTTLIDGFCKLGRIHDAQKLFSQMQGCGLLPDVQTYAVLLDGLCKTQHLPMAMELFRVMEGKKLDIDIVIYNILIEGLCIAGKIDSAKNFFMIYHQKDFNLMIYTTMISGLCNGGLISEAEKLVEEMEENGCSPDDCTYNTIIRGFINSNETSRAMVFIQQMVERGFSADASTTELIVDLLSKDDVDPAL >ONI03983 pep chromosome:Prunus_persica_NCBIv2:G6:27032355:27034523:-1 gene:PRUPE_6G295100 transcript:ONI03983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSWPESVLSNRERVMEELIQGRELASQLCRVLDDHKSTLVSGCGGGDVGSAEGLVTKILGSFANTLLILNGKEADGEIVSGDRIQGNSSGGGSADSSSWDANHAIIKSEDFHEEISCKSASTFKDRRGSYKRRKTSHSWTRDTPALTDDGHAWRKYGQKVIHNANHPRNYFRCTHKFDQSCQATKHVQQIVDDPPVFRTTYYGNHTCRDYLKASELIFDCTSHTESSSYIRFGDIKQDHPFFSSFTSVKKEVVVKEEKPQPSDEHLMASHHHNRSSSGDYNVSPHPTAFKSSCPLSGLSSTIDPYDHEGDVMSGLIVGPYYFDDEVLQYEF >ONI03899 pep chromosome:Prunus_persica_NCBIv2:G6:26749757:26750786:1 gene:PRUPE_6G289500 transcript:ONI03899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPQWRPQQYTPTRDLFGNFLEFGIRWKHFVVRGKPNPEWGLISSSGVGTPYGIYHFFFVVKTHVLNMKSIYVMFASKKNMYFTNIK >ONH99051 pep chromosome:Prunus_persica_NCBIv2:G6:638748:639149:-1 gene:PRUPE_6G007600 transcript:ONH99051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHFTYFLGNTTEIMFSGWPGQSLESYMLALVSVFLLSLVVEWLSHTKLIDGSTADHYVSDGLKQTLMYAIRVGLAYLVMLAVMSFNVGVLLAAISGYSVGFLIFGSRVFMRSKKIVPNMDQTDDLTPINCC >ONH99030 pep chromosome:Prunus_persica_NCBIv2:G6:540081:541761:-1 gene:PRUPE_6G006000 transcript:ONH99030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTMTSPSGITNFVINNGNGVKGLSEMGLKSLPKQYIQPLEERISTASFGIKNSEETVPIIDMSNWDDPKVAEAICSAAEKWGFFQLVNHGVPVEVLENVKEATHRFFELPAEEKSKNSKERSCSNNVRFGTSFSPQAEKALEWKDYLSLFYVSEDEASALWPPACKDEVLEYMKKSEILIKRLLEMLMKRLNVKEIDQEKEGLLMGSMRINLNYYPICPNPELTVGVGRHSDVSTLTVLLQDQIGGLYVRGGTDKKTWIHVPPVKGSLVINIGDALQIMSNGRYKSIEHRVAANGSKNRISVPIFVNPRPSDLISPLPEVLASGEKAVYKQVLYSDYVKHFFRKAHDGKSTIEFAKI >ONI03177 pep chromosome:Prunus_persica_NCBIv2:G6:24129179:24133237:-1 gene:PRUPE_6G243400 transcript:ONI03177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEVVTFGVQEIVKKVASLASEEISLVWGFQEEVRKLRESLLLTEAMLRDAGQLKEVGAEAVQIWVNKLEDIAHDADDVLDDYGYELLRREVEVQDQMTKKVLNFFSHHNPIAFRWKMGRKIEKINASLVTLKNDAASIGLVGRDPNATSSHEIVVDRETVSIFKQDEKNIVGREKLVSEIVTTLINSSNTQENENLPAMAIVGMAGLGKTTLAKCVYHEDEIGRRFTEKIWKCVSIPFEVKSILSKILEHLKPEKARMQDKDAIIKHLQEDLKGKRYLLVLDDVWNEDSEKWNDLMSCLLSVKDTQGSKIIVTTRSVRVAKIVHTLSSKWCDLEKLSDNQCWDILKDRAFPNESAPTLNEEEEKIGRDIAKKCGGIPLVAKVLGGMMRSKEIDGWRVIQESTIWNLPEEEKRISSVLKLSFDELKSPTLKQCFACCSMFIKDSEIEHDDLIQLWMAQGLLHPSPNNSDLEMEEVGNQYFNILLENSFFQDLVTLDHHSSTITTCKMHDLVHDLAEDVSKSKTKDSNEIRRVPQISNIKLEGIPKGIVHKVRSMFVGEVFGNILPKFKGLRVLKLQGDFIDELPNSIGKLKHLRYLDISATNIKKLPQSIGKLYNLQTLRMRYLRFEDPKELQNLINLRHIYFAVYYGDESYPVGVGRLNNLRSLSFFIVGKERGRGIKELGGLKHLKGQLSIYDLEHVRDGEEAKESKLAEKTNIRRLKLEWSADEYRRSRVIANDRNVLEGLKPHSALESLEIRNFSGETFPPWMMCGDLFSSLKRLTVENAKNLTEWRTEEAAVFSTTERRVVFSRLEELLLRNCDQLRSAPTDYFPCLQKLEIDSMNSGMPIANIISTQLTTLTRLTIKKIRGLVSLPEGMLKNNKNLAYLEIRDCPEFICIAADVYGCCASLESLRIYSCPNLRSLPHGLEHCTSLKELTIAHCESLECIPVTNGLPSLRELYISNCDELSSLPSGLQYCTSLEHLHCGNLEAIPITHGLPSLRQLKISFCAELSSLPNGLQHCTSLEHLSIINCGNLKAIPSLDSLTQLRELEICRCGGLKGLPPNAFAASLTRLKELEIGWFWEELDSFPVFQVIPQLETLSLWGWPKLSCLPKQFQCFTCLTSLTIHSFDDMEALPEWLGNLASLKILSIWKCKNLMYLPTLEAMKCLTKLQYIYIRNCPLLKERCNKDSGAEWPKISHIPNIYSKFFNFIICFLWF >ONI01552 pep chromosome:Prunus_persica_NCBIv2:G6:12078741:12084552:1 gene:PRUPE_6G146000 transcript:ONI01552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKSIHAGKAKIDVNVDFTHKLCASLMLPPLSNGSGSPLSLIIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNVLMAYRRPRPEWLAQQSFVVQHSISPEIGVHGIPMDNFSRSGSGGVNLSRLSVGMDLNEPASSKWSSTTSIKFEHVRPLNDNGQSMSRDLDGFPVTCSGSPHDSMVILKQESRYAKANDHSFFHFSLQIEQGIPVLSKWLIFNKFKFVASKGVKLGPAFLLTRMTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANSELTLPFNKMLEGAVFLDCGTDLGSAHHVPGNPALRQGKPGSGVGLGYGLRLKSQFGHFVVDYALNACQQKTVYFGISNLAS >ONI04692 pep chromosome:Prunus_persica_NCBIv2:G6:29099151:29103027:-1 gene:PRUPE_6G334900 transcript:ONI04692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVEKISGELHMRPELRKSFNLLKTHASKVANFTLQWQDLEEHFLSIDNSIQSKLDQLQKQQSKETHLTPTLQSQPSFKETELDFHDNSKETEFNPTDPQPSSRADSKDTQLTATLQSLKAQFNFVETQVKTGESLPESSSCNGVPIHDGKALLLYLNEHLKERDSVRDLIANALKVSVDAGKLVLEAVRWFYPSESTKGDGNLELTVVRKSCVLLLEELMKVRPVIKPEISQEAMKLALVWKAKVRGQTTNSLEVWGYLQLLGAFGLVGEFDSDETLKLVGCIAERKWAPELFRSLGFANRATDFIQKLVSENKRLDAIRFIHAFEQLDKFSPVPLLKEHLKFAKKDVSCKRGQDRLSAQDEAREKEIVALRGIIRCIDDYKLRVEPQYSPETLRKRITQLRRQRKERKATLTAPGREAQVQHLSGKKRTAPDSKTQPNKQNRNKHQRTAPASAARNASFREPATVHSVQQSYLRQVGLFESRGTEYFLTSAGMPGQATTPPNTSYGTTSTFNSLQASHHQQGGSFLGQGAEYSAGYYDSAHYAPDTHNNLPARSYGLVGSSPVTHTQHLTARDCGLNSAIASYGLEGSGHASSHMSPLATRYGTSIAANGRSGQFGSAGSPPPVRITSGSPNSSIAYVSRDPVRMPNYNDRSVSSSSGYGTLSQCPPSIYHL >ONH98943 pep chromosome:Prunus_persica_NCBIv2:G6:231196:235094:1 gene:PRUPE_6G001400 transcript:ONH98943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCESLRDRIQPWLRDYDRLQSLAVILLYIQVGCALVGSLGALYNGVLLINLAIALFALVAIESSSQSLGRTYAVLLVCTVFLDVFWFILFSHEIWNLSPGSNTIWYIFSVKLTLVMQIVGFSVRLSSSFLWIQIYRLGLSYVDTIALPREADFDLRNSFLSPTTPVVPRQTSDSSDALGGSIYDPAYYSSLFEDGQGKCYSGNGSTSAAEASKVKPSVVRSFEFVDQRQLTEYFIHMLIYIEFLVGWHFEAIFLDLN >ONH99729 pep chromosome:Prunus_persica_NCBIv2:G6:3339316:3340821:1 gene:PRUPE_6G046200 transcript:ONH99729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETHSFQIQSLDLANFQPCLQEGEDAEEARNKKTVTALYRALTSNDVDVVHLLLAPYLEWWFHGPPTHQHLNRLLTGASPYDPSFKFVPLSIVAFGSMVLVEGFDDCRSVSWVHAWTVTDGIITQVREYYNTSVTVTRLSSPEIRSQSGNCQSVWQSKLADNGSVPGLVLAL >ONI04073 pep chromosome:Prunus_persica_NCBIv2:G6:27305816:27309379:1 gene:PRUPE_6G300900 transcript:ONI04073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACALLGDHNGEHISGNGSSNNNSHGGGSPSCPMTSTTNSNSQGSSVEQQPPRQHQNQQQHQRHSTEGSKMVRKRMACEIEVQNYPTSRNTSASDYMRLSRRSSSIINNNPNPNATKVNNNSMVYPNYSTMLLPVPSSTNLTTLTSAGGALSPASASASSAAASAANWGPIDPLSLHPHHQSGALPSHQLQLQPKTLTPAVCGFSGLPLFPPEKTPPSNQSTATPSSISISMEDSSSATAWIDGIIKDLIHSSTNVSIPQLIHNVREIIFPCNPNLASLLEYRLRSISEPPPPPPPIPNFNPATVPELRRRRETLQLQQQQNQHHHHHQGPGALKLNLDSAALHDVAIFTNPTTVETASVATHVMNSNDLYLHSWTGGGGGAGPTPITCSQTNPHHPNSPFNQAIHHTQDKQLENSSSSSPAAESTTPTAAPATTTTATTTPTPPPTTPSAAVSLIRERKEEMRQQKRDEEGLHLLTLLLQCAEAVSADNFDEATKILLEISELSTPFGTSAQRVAAYFSEAMSARLVSSCLGIYASLPPSYVPISHTQKMVSAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIVDLDIMQGLQWPGLFHILASRPGGPPYVRLTGLGTSMEALEATGKRLSDFADKLGLPFEFFPVAEKVGSLDPERLNISKREAVAVHWLQHSLYDVTGSDSNTLWLLQRLAPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGASYGEESEERHVVEQQLLSREIRNVLAVGGPSRSGEVKFHNWREKFQQSGFRGISLAGNAATQATLLLGMFPSDGYTLVEDNGTLKLGWKDLCLLTASAWRPPFHATTNPNLHY >ONH99475 pep chromosome:Prunus_persica_NCBIv2:G6:2462034:2462694:1 gene:PRUPE_6G031900 transcript:ONH99475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGLPGLHSEPYMLGSQLSRKCLVFIMVNLFTGPNPNSKQIAIVRIAEQKMHMQILARHPMQHIFASLSPFLFCFF >ONI04122 pep chromosome:Prunus_persica_NCBIv2:G6:27531452:27532201:1 gene:PRUPE_6G304100 transcript:ONI04122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVSLTYITNDSARKATFKKRKKGLMKKANELSTLCDIQACVIIYSQYESHPEVWPSPLGVQRIVSQFRSLPEMDQSKKMVNQETFLRQRIVKANEQLKKLRKENREKEMSRVMFQSLTGKPLQGLTMIDLNDLGWLIDQNLKELVDKIKTRKEELAQRNPVPVPPTPAAVPAAGDHALNLNMHATMERQAFGLSNMGNTMQRPSWFTDMMNPNEQMGFGAVAEVLPFGDQYHHGHGLWSNNPFFP >ONH99497 pep chromosome:Prunus_persica_NCBIv2:G6:2532103:2534425:1 gene:PRUPE_6G033100 transcript:ONH99497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMKDAHVVEIPVDEEHQQKLSSAMTIISAIQHHPLMEISESPGHLLLLKLWRREEDLFGRRIARKESRMDSIRREIFQLCCFFLIFHGFFMTILFTSSVNSEEHICKKWWIPSILSVSTSFVFVFLVQVNLCRYWKVWNQLQREKTDNRALTRCIQELRMKGASFDLSKEPQSGKRMKSSSVEIKWKPVTWCSQYLITICLVCFAGLVFPVSKFMLCGF >ONI03560 pep chromosome:Prunus_persica_NCBIv2:G6:25384008:25385108:1 gene:PRUPE_6G264900 transcript:ONI03560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKLLRVWGSPFSSRVEIALRLKGVQYEFFEEDLQNKSALLLKSNPVHKKIPVLLHNNKPIAESQVVLEYIDETWKQGFSILPENPYERAHARFWARFIDEKCLSAIWKACWGSEEHEKAVEEACELLKLLEQELKGNKFFGGEKVGLVDIVGNFIAYWLRAIQEVVGVEILTKEKLPKLYDWSDEFVNVLQESLPPRDKLVAFFRGRFESTTTTSN >ONH99731 pep chromosome:Prunus_persica_NCBIv2:G6:3344841:3346639:-1 gene:PRUPE_6G046400 transcript:ONH99731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNLVQTSPRQAYVPNDPKGLASSNLWLEGKVAIVTRGIGEATVRLFVKNGAKVAIADIEDTSGTILANELRRHQKLDQQTISHYGRLDILFNNAGLVMVPRRSGCIISTASVAGAMGGLGPHAYTASKHAIIEEVVKGLANLKVATLKAKDIAEAALFLASDESKYVNGHNLVVDVGYSTSKNCVGL >ONI00110 pep chromosome:Prunus_persica_NCBIv2:G6:4676768:4678559:-1 gene:PRUPE_6G067900 transcript:ONI00110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEETVKLISAEGFEFVIHKDAAMVSQTIRNMLTSPGSFAETEHGEVTFPEISTTILEKICKYFYWNLQYASGKQTEFHIEPELVLELMMAANYLHT >ONI00151 pep chromosome:Prunus_persica_NCBIv2:G6:4844200:4848466:1 gene:PRUPE_6G070700 transcript:ONI00151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSTRSLFNLKLTKTHSSSLHHQPKKKPVGSPDHSSGDEAQSLISEATQQEEFSWVSVIIPFLFPALGGLLFGYDIGATSGATISLQSPELSGTAWFNLSAVQLGLVVSGSLYGALFGSLLVYPIADFLGRRRELIISAVLYLLGGLITAYAPSLSVLLVGRLLYGLGIGMAMHGAPLYIAETCPSQIRGTLVSLKELLIVLGILLGYFVGSFEINAIGGWRYMYGISAPIALLMGIGMWLLPPSPRWLLLRAAQGKGSVKEYKEKAVLALSKLRGRPPGDKVSERQIEETYVSLKSAYADQESEGSLLEVFQGPNLKAFIIAVGLVLFQQITGQPSVLYYAGPILQTAGFSAASDATRVSVVIGLFKFVMTGIAVLKVDELGRRPLLIVGVSGLALSLFLLSAYFKFLGGFPLVAVASLLLYVGCYQVSFGPISWLMVSEIFPLRTRGKGISLAVLANFASNAIVTFAFSPLKEALGAENLFILFGVIALLSLLFVVLLVPETKGLSLEEIESKLSK >ONI00150 pep chromosome:Prunus_persica_NCBIv2:G6:4844200:4848466:1 gene:PRUPE_6G070700 transcript:ONI00150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSTRSLFNLKLTKTHSSSLHHQPKKKPVILFSHAHFTPPLRSTNNDCFRANYGTFTNLSAPRLRFSVKVGSPDHSSGDEAQSLISEATQQEEFSWVSVIIPFLFPALGGLLFGYDIGATSGATISLQSPELSGTAWFNLSAVQLGLVVSGSLYGALFGSLLVYPIADFLGRRRELIISAVLYLLGGLITAYAPSLSVLLVGRLLYGLGIGMAMHGAPLYIAETCPSQIRGTLVSLKELLIVLGILLGYFVGSFEINAIGGWRYMYGISAPIALLMGIGMWLLPPSPRWLLLRAAQGKGSVKEYKEKAVLALSKLRGRPPGDKVSERQIEETYVSLKSAYADQESEGSLLEVFQGPNLKAFIIAVGLVLFQQITGQPSVLYYAGPILQTAGFSAASDATRVSVVIGLFKFVMTGIAVLKVDELGRRPLLIVGVSGLALSLFLLSAYFKFLGGFPLVAVASLLLYVGCYQVSFGPISWLMVSEIFPLRTRGKGISLAVLANFASNAIVTFAFSPLKEALGAENLFILFGVIALLSLLFVVLLVPETKGLSLEEIESKLSK >ONI03783 pep chromosome:Prunus_persica_NCBIv2:G6:26298418:26298978:-1 gene:PRUPE_6G281600 transcript:ONI03783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFSATINSSSDGSNSSSATKHNGTGEKIEGGVKSKSKGVRLSTDPQSVAARERRHRISDRFKILQSLVPGGTKMDTVSMLEEAIHYVKFLKAQIWLHQSMINFVDDDDGLHHDRSSSSSSSSMFQYQLPADHHLHHPTGSHDFYSQNNFVQPPSPAMNIPLPDPNYFQGQEAMPNIEAYMKYYS >ONI02357 pep chromosome:Prunus_persica_NCBIv2:G6:20063045:20063762:1 gene:PRUPE_6G193300 transcript:ONI02357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSYAQSEKIEQQNMRDRERERRETPCARLALKIRRKRVLRYLPKPYAPKEMKEKWKSHCWNPNL >ONI05035 pep chromosome:Prunus_persica_NCBIv2:G6:30031224:30032187:1 gene:PRUPE_6G352600 transcript:ONI05035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAATTSNSADSFPNLRIIVESNPSESRLSELGINSWPKWGCPPGKYTLKFDAAETCYLVKGKVKVYPKKAAASSSSSSSHHHHHQDVVEFVEFGAGDLVTIPKGLSCTWDVSVAVDKHYKFDSS >ONI01602 pep chromosome:Prunus_persica_NCBIv2:G6:12605993:12607088:1 gene:PRUPE_6G148900 transcript:ONI01602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLDLDLDLDQRESEKTWSCALYYITFSWCQCITFFYFKKSNSRKKNLLQQITTFFYVICDTSLLLMTHEGCIILLS >ONI05190 pep chromosome:Prunus_persica_NCBIv2:G6:30445489:30446640:-1 gene:PRUPE_6G361000 transcript:ONI05190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASPMASQLKSNFTSPITRPALVSPKGLSASPLSLLPSKRLSSFTIKAVQSDKPTFQVIQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVSPLLRGIEVGLAHGFLLVGPFVKAGPLRNTPYAGGAGSLAAAGLVVILSLCLTIYGISSFKEGDPSTAPALTLTGRKKEPDQLQTAEGWAKFTGGFFFGGISGVTWAYFLLYVVNLPYYVK >ONI01278 pep chromosome:Prunus_persica_NCBIv2:G6:10291527:10295131:-1 gene:PRUPE_6G131400 transcript:ONI01278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQINLVSMSSPQAQHVPAAEIRLMAGPSTTGLGIQSPPHHVIHITNLPQPKTPSLYLLEENNGEAREKYLRLCVPLHKAALRGDWKTAKSISGWETALHIAAGARHVHFVKELVQMMDAEDLALQDNKKNTALSFAAATGTSEMAEYLYPRTHEIFDEGDRNALFFTCVDTGLYDLAMKMLGNYTTLATVRNGANETALDVLARRPSEFANQSSGLWSRITNTFWKFAYKGNSKQTKALQLVQQLWKEILKLDDQVVMSLIKYPSQLLFDATRLGNFEFLAALLSAYPDLFWELDENKRSIIHVAVLHRHACIFNLVYEIGFIKDFITSMSDDEDNNILHLAAKSTQSCVRSSSSNAAREVKKIVQPLSTEMKNKKGKTPRELFTSEHKGLLHKGESWMKNTAKSCILVATIIATVVFSAAFSIPDGVALFSSSTSMLMFLYILTSRYAENDFLKSLPLKLMVGLASLFISMTSMMIAFSTAFYLSCHYGLGFVSDFIFIFAFVPVVLFLFLQYPLLSDMFLSTYCSSLIFQPRKHMIQ >ONI00051 pep chromosome:Prunus_persica_NCBIv2:G6:4509452:4511685:1 gene:PRUPE_6G065200 transcript:ONI00051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPNNAPTAVDKEQIFGMAEKEMEYRVELFNKLTHTCFNKCVEKKYKEAELNMGENSCIDRCVSKYWHVTNLVGQLLGAGRPPM >ONI02911 pep chromosome:Prunus_persica_NCBIv2:G6:23242177:23242665:1 gene:PRUPE_6G228600 transcript:ONI02911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYNEEINCDDDINCEAEINCDEDINCDEESTDVGTEEDAYSRPVDQISTNKQTDQSYSSPRNRRQHGGLSVALDEIPASFVEMIKASNEQTRVLCESLQPKGNEAGELYREVKPMGLSVTDRVKALRLLVANPFYAELFLTLDNEEKLEFVKQLIDESSKK >ONI03889 pep chromosome:Prunus_persica_NCBIv2:G6:26696885:26703542:1 gene:PRUPE_6G288700 transcript:ONI03889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLHLGTQNRVLAFHQTPRQQHLATENLKNHSRTHFLKFDGRKFLTCSNYRDQLTRSNLMVENSFYSVLSCSRKLKRGKIFSSLGRKNLMQKTNWRRSHLQKNELEETGVAWVGGPLTH >ONI02834 pep chromosome:Prunus_persica_NCBIv2:G6:22910590:22914381:-1 gene:PRUPE_6G224300 transcript:ONI02834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKSGKGNWSSKFKLKSKLGLPTTFILCSFFFLAGFYGSSLLSQDVGGGDNRLRTRLLETEYTSMPYGETGDDSLTSIPFQVLSWYPRALYFPNFATAEQCESIIGMAKPSLKPSTLALREGETEENTKDIRTSSGMFLSASDDKSGTLDVIEEKIARATMLPRTYGEAFNILRYEIGQKYNSHYDAFHPDQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGSNMDGNYDFRECVGLKVKPRKGDGLLFYSLLPNGTIDMLSIHGGCPVIEGEKWVATKWIRDQEQED >ONI05260 pep chromosome:Prunus_persica_NCBIv2:G6:30599364:30607727:-1 gene:PRUPE_6G364600 transcript:ONI05260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDDEFDMLDANDDDDNDEDFYSGGDDDAAAAMDSDEAGVADYEFIDNDSDDSNDVASHRYQQNYTVLTEADIRQHQEEDIMRISTVLSISKVSASILLRYYSWSVSKVHDEWFADEEKVRRSVGLPEKPVVDYPNAQEHNCGICFETYPRDKMHAAACGHPFCSSCWAGYISTAINDGPGCLMLRCPDPSCGAVVCQDMINALASAEDKEKYSRYFIRSYVEDNRKTKWCPAPGCDYAIDFIVGGGSYDVTCRCSYSFCWNCTEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYETAKQEGVYDETEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQTVHLEKLSDIQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLNEEDPSKDFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDSHGACSRTASSKNLGGTSNKGRGGRGKATTSRSSSSSRNIDDLGHWSCEHCTFANVKSATVCQMCQQRR >ONI03029 pep chromosome:Prunus_persica_NCBIv2:G6:23579044:23583852:-1 gene:PRUPE_6G234300 transcript:ONI03029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHEHDSDIIQWGLRLLDGDPVYNSSYYYGDMIQHNANDIYHEEYFRSHYDTESNHVENDEIIARTLQEEFSGLSVTEASEYSHAGEAQAQASVLADEWHGVSTRNYCSDHDYGQEEASSLCSSPGNHLELTDECALDGEVDWSLNPVPHIPRINGEIPSFDEATSDHQRLLDRLQIFGFLERKVEGDGNCQFHALSDQLYHTPDHHKYVRSQVVNQLKSHPEIYEGYVPMAYDDYLEKMSTNGEWGDHVSLQAAADSYGVKIFVLTSFKDNCCIEILPNIQTSKQVIYLSFWAEVHYNSIYPQGGSPSSEYKKKKKWRIFGNKH >ONI01706 pep chromosome:Prunus_persica_NCBIv2:G6:13535316:13540604:1 gene:PRUPE_6G155100 transcript:ONI01706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRRLNLLCVLVCFLLAEASEGSKARHYKWDVEYLFWSPDCVENVVMGINGQFPGPTIRAKAGDVVVVELTNKLHTEGVVIHWHGIRQFGTPWADGTASISQCAINPGETFIYRFKVDKAGTYFYHGHFGMQRSAGLYGSLIVDVEDGKKEPFHYDGELDLLLSDWWHQSVHHQEVGLSSKPFRWIGEPQTLLINGRGQYNCSLAAHYSNSSSSQCMLRGSEQCAPPILHVLPNKIYRLRIASTTALASLNLAIGNHKMVVVEADGNYVQPFAVDDLDIYSGESYSVLITTDQDPSNNYWLSVGVRGREPKTQQGLTILNYHPNSASKLPASSPPVTPLWNDYNHSKSFTNKIFASMGSPKPPRNYDRRITLLNTQNQLNGYTKWAINNVSLNLPPTPYLGSIKHGLRNAFNQENPPESFSNDYDVMKPPVNPNTTTGNGVYMLGMNTTVDVILQNANALSANVSEIHPWHLHGHDFWVLGYGEGKFSTKDETKLNLKNPPLRNTAVIFPYGWTALRFVADNPGAWAFHCHIEPHLHMGMGVVFAEGIQHLNRIPVEALACGLTGKMLMTTNNHN >ONI03674 pep chromosome:Prunus_persica_NCBIv2:G6:25909454:25911127:1 gene:PRUPE_6G274300 transcript:ONI03674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEDILQLEAEATDKNEWKREITKKLHQKVATGSENLSIYKVPKNLRKVKDEAYNSRVVSIGPFHLGNHALVAMRHHKWQYMQYFFARIEDHQQSLQCLEVCTNAIYDLDEKVRGCYSEKIDHIDKNVLAEIMLLDGCFILELFLRYDQHLNMKLEDESDPIFNSAWMIAALRHDLILLENQIPFFILKSLYDAMKSHLKGTPNSVTSLALKFFEMNQKSTKEEPDTKNHHLLHLLHKFYLPNLDFTTTNHQLKRSVTEIQPGHISISVSVELTSHIQHVSAIHLTSGIPRPEQAAATNIKRWGFNFSASNLLWAGIEFEKGLSEDHLLNITFVKGIIRIPPVDIHLTSDSLFRNLIAFEQCSLRSTHHITSYLILMKSLISSTRDIKLLRERGIINLLNHNSVGDQEYFTEFKTILDMVVVKDFCFGKLCDQVNAYCKSWLPWSKHKITTRVRFRRHINFLYRTYFSSPWSIISFVAAVALFVLTVIQTYYSIHSR >ONI02524 pep chromosome:Prunus_persica_NCBIv2:G6:21163604:21166356:-1 gene:PRUPE_6G203900 transcript:ONI02524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTEPKKLHIAVFPWLAFGHIIPFFEVAKHVARRGHKVSFISTPRNIQRLPKIPQHLTPLINLVQIPLPRVENLPENAEATMDVPYHIIPYLKIAHDGLEQGISNFLQTHKPDWIIHDFAPHWLPPIASSLGISRAHFSIFNASTLCFIGPTSPEGLDRYGVRTLPEHFTVPPEWIPFPSNLVFRSFEAKKLFDATKQNASGVSDLFRVQSTVQGCQVYLIRSCREIEGEWLDLLQELQQFPVVPIGLLPPLVQTREDKEDWSRIYQWLDKQENGTVVYIALGSELNLSQEDFTELALGLELSGLPFFWVLRTPSWSGDSDSVKLPDGFEERTKGRGLVWTTWAPQTKILAHDSIGGSLIEVLQYGRPLIMLPFLYDQGLIARFWDKKIGIEVPRNEEDGSFTRKSLDESLNLVVVDEEGKDYRDGAKEYRAHKDLHDRYMDKCVEYMENHVDA >ONI01351 pep chromosome:Prunus_persica_NCBIv2:G6:10748013:10749816:1 gene:PRUPE_6G135100 transcript:ONI01351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLMRLFSPPPATQSCQFFHSFNPPFSFPRTCGTSSRNTPFKAQSSERGNFLDLKPEAKPEFLDFDIPQFDTSTRSSSDVIIIGTGPAGLRLAEQVSQHGIKVCCVDPSPQSLWPNTYGVWVDEFESLQLESCLEKTWPRSCFYIDEDNVKYIDRPFGRISRKKFKTLLMERCLSNDVKFHKAKVLKIEHQEFESSIFCDDGNELKASLIVDASGFASTFMEYDKPRNHGYQTGHGILAEVDFHPFDLDKVVQLDWRDSHLRNEPSMLTRNSRFPTFLFAMPFDSSLIYLMETSLVGRPVLSYEEVKKRMVARLRHLGIRVKRVLEAEKCLIPMGGPLPRMSQEVMAMGGTSGLVNPSAGYMVASAMALAPVLAEAIVQCLGSTPMIRGQPLYDRVWKGLWPIERRCVREFYSFGMETLLKLDLNETRRFFDAFFDLDPYYLNGYLSSRLSPTELAFFSLSLFGNASVSSRVELLTKSAVPFVKMIGNLALEAKQYYI >ONI00562 pep chromosome:Prunus_persica_NCBIv2:G6:6603587:6606702:1 gene:PRUPE_6G095100 transcript:ONI00562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHSASLILMSALLFLLLSLTIHTGAEVITLTADTFSDKVKEKDTAWFVKFCVPWCKHCKNLGSLWEDLGKVIEGEDEIEIGEVDCSTSKPVCSKVDIHSYPTFKLFYDGEEVAKYQGPRDVESLKTFVLEEAEKAATKSQLDSDKEL >ONI04774 pep chromosome:Prunus_persica_NCBIv2:G6:29365760:29366931:-1 gene:PRUPE_6G339000 transcript:ONI04774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTPSKIHIPTMEALMGFLEREREREPFHFRSNFTEAKCGSGGERKAQKEEASRACFDDEVDDTRQQSHAAPEKTAACHSYVLADFLIICCCWWINLPADQ >ONI05059 pep chromosome:Prunus_persica_NCBIv2:G6:30115222:30117945:1 gene:PRUPE_6G354100 transcript:ONI05059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSIFASWVIILGLLGACASRRAEARAFFVFGDSLVDNGNNDYLATTARADSYPYGIDYPTHRPTGRFSNGLNIPDIISEQLGSEPTLPYLSPHLTGQNLLVGANFASAGIGILNDTGIQFLNIIRIFKQLEYFEQYQTRVAALVGPEQTERLVNQALVLITLGGNDFVNNYYLVPFSARSRQFSLPDYVVYLISEYRKVLARLYELGARRVLVTGTGPLGCVPAELAQHSRAGECSVELERAASLFNPQLVQMINGLNSQLGSDVFVAANAYEMHMDFISNPRAYGFVTSKIACCGQGPYNGIGLCTMVSNLCPNRDLYAFWDAFHPSEKANRIIAQQILTGSNKYMNPMNLSTILALDSRT >ONI05292 pep chromosome:Prunus_persica_NCBIv2:G6:30736202:30742951:-1 gene:PRUPE_6G366200 transcript:ONI05292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKDCLKEYGEADEVSRSDFPPKFVFGVATSAYQVEGACNEGGRGPSIWDAFSHSKGTIIDGSNGDVAVDQYHRYKEDVELIAKLGFDAYRFSISWSRIFPDGLGTKVNEEGISYYNNVINNLLEKGIQPYVTLYHWDLPLYLHENMGGWLNKKIVDYFSVYADTCFASFGDRVKDWITINEPLQTAINGYGVGIFAPGRHECSSTEPYLVAHHQLLAHAAAVSIYRSKYKDKQGGQVGLTIDCEWAEANSDKIEDKIAAARRLDFQLGWFLDPIYYGEYPKAMRERLGDRLPIFSEEDKELLENSLDFVGLNHYTSRFIAHVRETPEDGNFYKSQEMERIAEWEGGEAIGEKAASEWLYVVPWGIHKVLNYIAQRYNNPAIYVTENGMDDEDNDTSPLHEMLDDNLRVSYFKRYLSAVANAMRSYTKQTLQIPYISMSF >ONI01666 pep chromosome:Prunus_persica_NCBIv2:G6:13152704:13159670:-1 gene:PRUPE_6G151800 transcript:ONI01666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVSSITFGPCYGSLCFSAERPTLLRFTHYFKSHSTRAMASHIVGLPRIGPKRELKFALESFWDGKSSAEELQNVAAGLRSCIWKQLADAGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWKSGEVGLETYFSMARGNAHVRAMEMTKWFDTNYHYIVPELGPHIRFSYASHKAVDEFKEAKALGLDTVPVLIGPVSYLLLSKPARGTEQSFPPLSLLRYILPVYKEVVAELKAAGATWIQFDEPTLVWDLDANQLHAFAHAYSELESSLSGLNVLIETYFADVTAEAYKTLTSLKGVTGYGFDLIRGEKTLDLINDGFPSGKHLFAGVVDGRNIWANDLASSLRTLQALEGIVGKDKIVVSTSCSLLHTAVDIVNETELDKEVKSWLAFAAQKVVEVNALAKALSGHKDEAFFSINAAAQASRKSSPKVTNEAVQKAAAALKGSDLRRATNVTARLDAQQKKLNLPILPTTTIGSFPQTIDVRRVRREFKDKKISEEDYVNAMKEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTSNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSLAQGMTKRPIKGMLTGPVTILNWSFVRNDQPRFKTCYQIALAIKDEVEDLEKAGITVIQIDEPALREGLPLRKAEQALYLGWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSKSDEKLLSVLPEGLKFGSGIGPGVYDVHSPRIPSDTELCVKIKNIIKVLENNMVWVNPDCGLKTRKYSEVQPALSNMVAAAKYNRQMLRRLD >ONI00194 pep chromosome:Prunus_persica_NCBIv2:G6:5052682:5054723:-1 gene:PRUPE_6G074000 transcript:ONI00194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDMVTKPCMFHDPLAVQAAEKSLYEVLGEKYPPSPDQHPLNIESPDCPFSVTFSDFSAINSSSSSTSHSVDSRWSNADVIENKPSILETPIPDNFVFQSTSKPRSQLSSNGNGNGNGLVGSYMSELMVSNLFSESELVLQFNRGVEEASKFLPRGQLIVDVENNKPYTVASGKAEDVVVKTEKDDIELLATSSRGKKSHEREDTDLEDGRSNKQSAVYLEDTEAELSEIFDKVLLCGGGKAEPFVCGGEEVRQDEANKALQQNGQSVGTGNGKTRAKKKGDKKEVVDLRTLLILCAQAVSADDRRTANELLKQIRQHSSPFGDGSQRLAHCFANGLEARLAGTGTQIYTALSSKRTSAADMLKAYQTYVAACPFTKVAIIFANHMISKLAEKAETLHIIDFGILYGFQWPALIHCLSRRAGGPPKLRITGIELPQSGFRPEERVQETGHRLAKYCERYNVPFEYTAIAKKWETIQIEELKVKRDEVLAVNCLFRFKNLLDETVAVNSPRDAVLNVIRRMNPDIFVHGIINGSYHAPFFVTRFREALFHFSALFDMFDTNLPREDPMRLMFEEEFLGREVVNTIACEGSERVVRPETYKQWQVRNMRAGFKQLPLDRELMNKLRMKVKLGYHRDFVVDEDGNWMLQGWKGRIIYCSSCWVPSRT >ONI04606 pep chromosome:Prunus_persica_NCBIv2:G6:28822798:28825651:1 gene:PRUPE_6G329800 transcript:ONI04606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEELEYRCFIGGLAWSTSDRSLKDAFDKFGKLVEAKVVVDKFSGRSRGFGFVTFDDKKAMEEAIEEMNGMDLDGRTITVDKAQPHQGSGRDYDGDRGRDRGRDRDRGRDYGGGRGSGGGGDCFKCGKPGHFARECPSEGSRGGGRYGSRDDKYGGGGGGGGGGSGRYGGGGGGPDRNGDRYSGRSRDSGGRSSSGSDRFSRDRSGPYERRATGGGFRSG >ONI04607 pep chromosome:Prunus_persica_NCBIv2:G6:28822798:28825651:1 gene:PRUPE_6G329800 transcript:ONI04607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEELEYRCFIGGLAWSTSDRSLKDAFDKFGKLVEAKVVVDKFSGRSRGFGFVTFDDKKAMEEAIEEMNGMDLDGRTITVDKAQPHQGSGRDYDGDRGRDRGRDRDRGRDYGGGRGSGGGGDCFKCGKPGHFARECPSEGSRGGGRYGSRDDKYGGGGGGGGGGSGRYGGGGGGPDRNGDRYSGRSRDSGGRSSSGSDRFSRDRSGPYERRATGGGFRSG >ONH99994 pep chromosome:Prunus_persica_NCBIv2:G6:4263641:4265051:-1 gene:PRUPE_6G061400 transcript:ONH99994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQATTPARRVMVVADPTRESASALQYALAHVVLEHDELILFFVENQNTWRNTFTTLLKIPSIASTAIATMSLEGINADEGVDFLKEMKNACKRVKPKLSVRAERMGMEDGKDKANTILHQSDALGIDIIIIGQRRRISIEILGTYTWPGAGRGTTNKAIDTADYLIENSKCACVAVQKKGQDRGYLLNSRTHKNFWLLA >ONI00838 pep chromosome:Prunus_persica_NCBIv2:G6:7582486:7583848:1 gene:PRUPE_6G106600 transcript:ONI00838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYELFDGDPYSNCGTYGTVNQDDANYYQGQYFKQDVYDTDCRNVEYDELFAHALQEELSLLAIEEEPEKVEHLQLSVFPQDWLQQSVGNYGLTNNWSW >ONI04449 pep chromosome:Prunus_persica_NCBIv2:G6:28438258:28439020:1 gene:PRUPE_6G322000 transcript:ONI04449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKVTKGAGGRKGGERKKSVSKSVKAGLQFPVGRIARFLKKGRYAQRTGTGAPIYLAAVLEYLAAEVLELAGNAARDNKKTRINPRHVLLAVRNDEELGKLLQGVTIASGGVLPNINPVLLPKKTSNASSEAAEKAPKSPKSPKSPKKA >ONH98946 pep chromosome:Prunus_persica_NCBIv2:G6:241218:243978:-1 gene:PRUPE_6G001600 transcript:ONH98946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMESTGILCAVMLDTKGPEIRTGFLKDAKPVQLKQGQEITISTDYSIKGDETTICMSYKKLAEDVKPGSMILCADGTISFLVLSCDKQKGLVQCRCENSAVLGERKNVNLPGVVVDLPTLTDKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKLLGKHSKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTLHYGDVFKRIMDHSPVPMSPLESLASSAVKTANSSKAALILVLTRGGSTAKLVAKYRPGMPILSVVVPEIKTDCFDWSCSDEAPARHSLIFRGLVPVLSAGSSRASHAETTDEALDFAIQHAKTKGLCKNGDAVVALHRDGTASVIKILTVK >ONI00337 pep chromosome:Prunus_persica_NCBIv2:G6:5629643:5630261:1 gene:PRUPE_6G083300 transcript:ONI00337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYLCLTLYPYTTLLTCRSTCGALCCFNLLALTCST >ONI00500 pep chromosome:Prunus_persica_NCBIv2:G6:6340279:6342995:1 gene:PRUPE_6G091900 transcript:ONI00500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLSHNDEAHASSSHSGSRRGGWITFPFITGALVGLTLTAGGWLSNLIVFLIEEFNVKSIDAAQIANVVNGSSSFFPIIGAIVADSLFGSFSVISISSCISLLGIIVLALTATLNSLRPQPCLVGSELCQPTSTLQDAVLYTGIALASIGLGGTRYTLATMGANQFDKPKNQASFFNWYFFTLYSATIVALTVIVYIEDNAGWRWGFGLCVLTNIIGLAVFLSGTRFYNIDKPQGSPFVGLARVAVAAFRKRKLQLSSLSKDYYDRHDGVKEGIVTGTLSTSFRFLNRAAQKIEGDIRSDGSIAKPWRLSTMQQVEDFKTIIRILPLWSTSIFLCIPLGVQSSMIVLQALSMDRHIGPHFKMPSGSVIVIVLLSTAISLTLIDRFLCPVWQKLTGRSPTPLQRIGLGHVLNVLSMALSALVESKRLKLAKANHLQPVVPMLALWLFPQLVLAGIGEAFHFPGQVALYYQEFPVSLRSTSTAMISLVIGIAFYLSTGVINLVQRVTGWLPNDINNGKLDNVYWMLVVVGVLNFGYYLVCAKLYKYQNVKGADVNSGSADNEK >ONI02729 pep chromosome:Prunus_persica_NCBIv2:G6:22514887:22515833:1 gene:PRUPE_6G218500 transcript:ONI02729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAKARKESRLARFLKSPIRILIKARDFYIKSMTECSGTFDYATAMGCPTQIPSSLPKSYSTNSTKSSASNNEDYRELLRAASTRSVRSKVEFDLARKAQQPRQPPMAAEPNTVPRSRSVGIGRIDEDKACEFDEEEVKVKRDVYPRSRSYAVARRTIMS >ONI04121 pep chromosome:Prunus_persica_NCBIv2:G6:27528789:27533354:-1 gene:PRUPE_6G304000 transcript:ONI04121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSLCVSFSLCYLFGVHQACNHAFHRHCIIKCVDFSVTLPHSRESQFKG >ONI04072 pep chromosome:Prunus_persica_NCBIv2:G6:27297837:27300371:-1 gene:PRUPE_6G300800 transcript:ONI04072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRVALPWRNGLRLRVPSGSRGCCRSAHNTLRNDDGRPIVISPPLVSLDIPDDWAPCPAHSCFSSPISSSNEQTATVIDGKFIADEIKTRIGSEVRRMKEGIGMVPGLAVIVVGYRRDSQTYVRNKMMACAEVGIKSMVAQLPEDCTKDQLLGALSSFDGDPSVHGILVQLPLPQHLDEGKVLDVLRPEKDVDGFHPLNMGNLAMRGREPLFIPCTPKGCIEMLLRSGVEIAGRKATVIGRSNIVGLPTSLLLQRHHATVSIVHAFTKNPELITCEADIVVTAAGVPNLVRGNWLKPGAVVIDVGTNPVEDPSSEHGYRLTGDVCYEEAVRVASAITPVPGGVGPMTIAMLLSNTLDSAKRVYAFT >ONI00981 pep chromosome:Prunus_persica_NCBIv2:G6:8329060:8329735:1 gene:PRUPE_6G115000 transcript:ONI00981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLHCHCLAPPPRPNPLFFLFLTLSHSPSPLPKSSLSRHCRTPPPCPDPLWPLPKSTILSYTEFEAALETDREG >ONI04792 pep chromosome:Prunus_persica_NCBIv2:G6:29396900:29398317:1 gene:PRUPE_6G339900 transcript:ONI04792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEASTKRPLKIVAGADSFGCSLKDALVSHLRSLNIDVEDLGTSSYYSIAADVGRRVSSSSDGAVETRGLVACGTGVGVAIFANKFPGVFAATCLNPSEAQNARSINNSNVLAVSGMSTAPDSAIEILDTWLNTPFKSPCPASDSKPWPPEIETFLDNSIKEMPKIGAEEEKQAALGSEEASCTLCCLVKNRKLNPIDIIPGGSMKILRETPTSAIVRFKAGSVEPAHHHTFGHDLVVLEGKKSVWNLTKKARYDLGVGDYLFTPAGDSHRVKYYEDTEFFIKWEGQWDMFFDEELEAAHKAVAQELENGSN >ONH99751 pep chromosome:Prunus_persica_NCBIv2:G6:3439841:3441768:1 gene:PRUPE_6G047900 transcript:ONH99751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKQVKKMAPTTLFLMHIWFWITCSVNATRPSPKFPAILVFGDSTVDTGNNNYLKTVAKGNHFPYGKDFPGKVPTGRFSNGKLVPDFIASLLKIKEAVPPFLDPSLSNNDLVTGVSFASGGSGYDDITAAVVGIIPFSEQIELFKKYIVRVEGILGEKEADKLINRALVIISAGTNDFGFNIYDIPTRRLEFNITGYQDFLQKKLQMFIKELYELGCRKIVITGLPPIGCLPIQITAKSGNPKNRICVDNENSDAQIYNQKLAKLLPKIQSLFPGSKIVYADVYEPLTDMINNPQKFGFVETKRGCCGTGLVEAGPLCNALTPLCANDLEYLFWDSIHPSEAAYQYISKYLEKEVLPKLAYDHQSQQLISLSALTDHRY >ONI04625 pep chromosome:Prunus_persica_NCBIv2:G6:28873645:28874157:1 gene:PRUPE_6G330900 transcript:ONI04625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARESQSLLSPGIFHRLFNFLMKILQEQALRNVTLGSTSYQPCCSHTKSNANVMNNVTTTPLRPTTRMVHQDGQEIQNSCLESQNIS >ONH99837 pep chromosome:Prunus_persica_NCBIv2:G6:3718291:3719468:-1 gene:PRUPE_6G052800 transcript:ONH99837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKPTSTATWALHKLLSMSPAMAPNQDQEQDGIPFLSDRHFALHGEVMMVVVVVLFAAFILCLAVLPCLKRAKSPQLRLNSVDEEDSAVVAKPFNCFSLWLKKKRTDDVGAQENGAAELPLTSSSS >ONI01243 pep chromosome:Prunus_persica_NCBIv2:G6:10053440:10058258:1 gene:PRUPE_6G129500 transcript:ONI01243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEALQMSLPLVLLTILTFSALSATASASDRSYNVGDHVPLFVNKVGPLNNPSETYQYYDLPFCCPDPVIQKKESIGEVLNGDRLANTLYQLNFRQDKNGESLCQKKLKVAEVAKFRDAIVNDFYFQMYYDDLPVWGFIGKVEDESWTISDKGTKYYLFKHVLFDVFYNGNQIIEIRAFSDPNHVADITEDVDIDVEFTYSVKWNATSIQFQNRMDRYSKASLLPVRLKIHWFSFINSIVIIVLLMGLLTLLIMRRLKNDLRKCSNDEEEDKEVGWKYIHGDVFRYPSNMPLFCAVLGVGTQLLIMVCILFFLSFFGVLYPYNRGALFTSLVFICTLTSIVAGYTAAAFHTQFSVIGWERSVLLTAILYLGPLFVTSSILNTVAISYGATAAIPFGTLLAILLIYAFLAIPLLALGGVIGYCLRSEFQAPCATKQFPREIQRLAWYRRTHCQMFIGGLLPFSAIALQLHHLYASMWGYKIYTLPGILFLTFIILIIMTSILTVGLTYIQLSVEDHEWWWRSVLSGGSTAIFMFAYSIYFYARSNMTGFMQLSFFIGYNACMCYAFFLMLGAISFRVSLIFVRHIYGAVKSE >ONI01244 pep chromosome:Prunus_persica_NCBIv2:G6:10053440:10058258:1 gene:PRUPE_6G129500 transcript:ONI01244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYDDLPVWGFIGKVEDESWTISDKGTKYYLFKHVLFDVFYNGNQIIEIRAFSDPNHVADITEDVDIDVEFTYSVKWNATSIQFQNRMDRYSKASLLPVRLKIHWFSFINSIVIIVLLMGLLTLLIMRRLKNDLRKCSNDEEEDKEVGWKYIHGDVFRYPSNMPLFCAVLGVGTQLLIMVCILFFLSFFGVLYPYNRGALFTSLVFICTLTSIVAGYTAAAFHTQFSVIGWERSVLLTAILYLGPLFVTSSILNTVAISYGATAAIPFGTLLAILLIYAFLAIPLLALGGVIGYCLRSEFQAPCATKQFPREIQRLAWYRRTHCQMFIGGLLPFSAIALQLHHLYASMWGYKIYTLPGILFLTFIILIIMTSILTVGLTYIQLSVEDHEWWWRSVLSGGSTAIFMFAYSIYFYARSNMTGFMQLSFFIGYNACMCYAFFLMLGAISFRVSLIFVRHIYGAVKSE >ONI02074 pep chromosome:Prunus_persica_NCBIv2:G6:18051690:18052484:1 gene:PRUPE_6G176100 transcript:ONI02074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAESISMVILLTPLSKAILSPKARPQSSASRIWPIPKFTANPLIQFPEQSRRTPPAPIFPEAVLRDPSTFSLNTPVSGKSHVKEICTFSPAILSPHEAFCTFQFYWAQMYLECMYAYWLDKMWGPLGK >ONI01698 pep chromosome:Prunus_persica_NCBIv2:G6:13482971:13486045:1 gene:PRUPE_6G154600 transcript:ONI01698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGLSLRRVLTVGMTVAMVITLRAEMGNASGKMHSVGGSKTSWGPSNVNLTEWSSHETFYVGDWLYFGYDKYQYNVLEVNKTSYEKCIDKDFIKNITGGAGRDVFNLTQTKTYYFLSSGGYCFQGLKVAVNVLRPLPPAPAPTSTSTTTTFSSSLLLLLLLLFIII >ONI02732 pep chromosome:Prunus_persica_NCBIv2:G6:22524595:22524980:1 gene:PRUPE_6G218800 transcript:ONI02732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPYLGTLAWIRSLNFTVIHLWRPWLVDGEIAGLQLFELKSRLLLLFPVCRYSRKHSNNFTFATVKGGGHTAPEYKPKECFAMFKRWISQDPL >ONI03726 pep chromosome:Prunus_persica_NCBIv2:G6:26086538:26087348:1 gene:PRUPE_6G277700 transcript:ONI03726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRRLLKKLSFRPPNGVTQFNQRREMHSRNKKAMELIAKGAAKENFELALDADNSNTHARFWLSKLHLKYHVPGACRAIGAALLIEAANMGDPDAQYELGCKLRVENEYAQSDQQAFHYLEKAVDAVYLTGECAKKDIASALWCLHRASDKGHAGAAIAFGSLVLRGVEIPESVTKLTSKRGSLARTARKNGESLVTDPVEMARERFQIAAKGGCDLGLKWLERLEEEEKQLLTGSEMVEQT >ONH99787 pep chromosome:Prunus_persica_NCBIv2:G6:3560963:3565103:-1 gene:PRUPE_6G050700 transcript:ONH99787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMYGQEGGDGAPPPYGSSGSGGYGGSGGYGSGGGGYGGGGGGGGYGGGSGGGYGGKGGDGGGYGGGGRGGRGGGGYGGGGGRGGGYQGGDRGGRGADRGGGGRGGRGGSGRDGDWLCPNPGCGNLNFARRVECNKCGTPSPAGGGGDRGGGSGGGGYNRGGSGGGYGGNRGGRGGNYDGGRSSNFEGGKSSSYDGGRGGNFDGRGGGGSRGGSYGSNQGRDDGGYGQVPPHAPPSYGAAGGNYPPSYNASYGTDAVPPPTSYTGGPTSYPPSYGGPAGGYAGDGPGDARSGGRGGPPAKYDGGYGAGGRGGYGGAATEAPAKVKQCDQNCDETCDNARIYISNLPPDVTVDELQQLFGGIGQVGRIKQKRGYKDQWPYNIKIYTDESGKNKGDACLAYEDPSAAHSAGGFYNDYELRGYKINVAMAERSAPRAPLDQGGGRGGYGGGGRRDNYRDGGPDRHQHGGNRARPY >ONH99788 pep chromosome:Prunus_persica_NCBIv2:G6:3560963:3565079:-1 gene:PRUPE_6G050700 transcript:ONH99788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMYGQEGGDGAPPPYGSSGSGGYGGSGGYGSGGGGYGGGGGGGGYGGGSGGGYGGKGGDGGGYGGGGRGGRGGGGYGGGGGRGGGYQGGDRGGRGADRGGGGRGGRGGSGRDGDWLCPNPGCGNLNFARRVECNKCGTPSPAGGGGDRGGGSGGGGYNRGGSGGGYGGNRGGRGGNYDGGRSSNFEGGKSSSYDGGRGGNFDGRGGGGSRGGSYGSNQGRDDGGYGQVPPHAPPSYGAAGGNYPPSYNASYGTDAVPPPTSYTGGPTSYPPSYGGPAGGYAGDGPGDARSGGRGGPPAKYDGGYGAGGRGGYGGAATEAPAKVKQCDQNCDETCDNARIYISNLPPDVTVDELQQLFGGIGQVGRIKQKRGYKDQWPYNIKIYTDESGKNKGDACLAYEDPSAAHSAGGFYNDYELRGYKINVAMAERSAPRAPLDQGGGRGGYGGGGRRDNYRDGGPDRHQHGGNRARPY >ONI01566 pep chromosome:Prunus_persica_NCBIv2:G6:12185524:12185724:-1 gene:PRUPE_6G146800 transcript:ONI01566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARVRLTSGFEITAYITGIGHNLQEHSVVLVSRGMVKDLPGVRYHIVRGTLDAVGVKDRQQGRSSAL >ONI02305 pep chromosome:Prunus_persica_NCBIv2:G6:19741816:19743916:-1 gene:PRUPE_6G189700 transcript:ONI02305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKTLLPALLCVIFFNLLCFTIAQKPPACQTTCGSLKLKYPFGTGAGCGSPTFQPYIACEITNKDQKQQQQLLLTTHTASYPITSISYSTQTLTLTPPSMSSCSSMQPSPSNFGLDWASPFQLGRSTFILLSCPPPTSSLTLGGSSGIPVCDPSYSNLCASIYTCPSVVGLGLPLFPPTNTCCVYSPGNLDSKGELDLCGLKCAGYTSVVSLGDYPTDPSRWEYGVALKYSHGDLDSGIVDTKCKSCEMSDGVCGYSVDDHSFLCGCKNGYNTSSDCNNNFSPDQELFWGSASNLLPAWKMWFALVVFWEISYSF >ONI02474 pep chromosome:Prunus_persica_NCBIv2:G6:20861383:20865479:1 gene:PRUPE_6G200800 transcript:ONI02474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSVYESGDRSLSFIGRKSPDQDQSNSSNPNTASEGLGGERARKREEEEKEICYLERVGQREQHKEGDSADGGRENCQKALRERERERERERERGRAQRKLRERQEKGRVTNGEKKERGEEKKKREEEEKRRKKRREKEEKRRREKKKEKKRKEERKERRRKRKKIHEKVTAAALRGRRHSRTSLNAVSHLLYVQLNIHTYSRDQRMQKKRGEKAPNCCRNTQKEKKTATEIQRREASKRDTKLCHNTIQKCCEHLVSVHRHIVVLREDLASVLEYQKKIEDINRPDALLLAQPTFSRAKILQTEINDCQKAYRD >ONH99682 pep chromosome:Prunus_persica_NCBIv2:G6:3159928:3160626:1 gene:PRUPE_6G043100 transcript:ONH99682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLTCFHLSFCESFSSLLCESFSSPLGESFICIVMAWFFQALFLFYYSKFAILVGMPIPEFLRSYLIVSGDTPYCLNFDIRLLCYCNGSFMVSDFFS >ONI00842 pep chromosome:Prunus_persica_NCBIv2:G6:7588686:7592315:1 gene:PRUPE_6G106800 transcript:ONI00842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANALTSAAILCSPSQSLRRRVNQQQNNRVNYKQSRGRFVVKAAAKDIAFDQNSRRALQAGIDKLADAVGLTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASILAREIIKLGLLSVTSGANPVSIKKGIDKTVHGLIEELENKSRPVKGRDDVKAVASISAGNDEYIGTMIADAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKLIVEFENARVLITDQKITAIKDIIPLLEKTTQLRAPLLIIAEDVSGEALATLVVNKLRGIINVAAIKAPGFGERRKALLQDIAILTGAEFQANDLGLLVENTSVEQLGLARKVTISKDSTTIIADAASKDELQARIAQLKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTYVPALKQKLVDADEQLGADIVQKALVSPAALIAQNAGIEGEVVVEKIKDSEWEVGYNAMTDKYENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKSPAAAAAQGLTV >ONI01825 pep chromosome:Prunus_persica_NCBIv2:G6:15035874:15036810:1 gene:PRUPE_6G161600 transcript:ONI01825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTQALNIHIQILQTVTENTMAFKNAAFLLLMTTMCLIMSANNAIANARNMAAVGIKTGSQGGEGGSGGQGGLGECWNALVELKSCSNEVVLFFLNGQADIGTDCCKAIATITHHCWPAMLTSLGFTAEEGNILRGHCDAASAAGSTDNASSSAPASPPSAAASSAATPAI >ONI04155 pep chromosome:Prunus_persica_NCBIv2:G6:27597618:27601502:-1 gene:PRUPE_6G305800 transcript:ONI04155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNAGGKVADQRQQCEAIYRRRKPDKPTQDVEPSKQSCSARYVGRVSLAPAAGALNRKTSWTRSLSTRGRTSVAVGVCFEYHPQHKEPKRKGKRKGKAALPKGKYKFEEEKAYFQEVDAFELLEESPSPKPTTWVAGNQTGTVALPKLCSPLDKWLFKKLNFTFEPSSTLSKILQTSTMRPIPSEPIGGNNLKSSSLKNSSKVNSRLPSVQSRFELSLVDGSVLETVPDVGNDGCEDIVASVKKLSLASSSSDSEDLDPFRALLAVCGQSVPLKFRDVFSSYCDLDKITKIGEGTFGEAFNAGNYVCKIVPIDGNILVNGEVQKRSEELLEEVVLSRTLNYLRGHEGDFQNACTMFIETIDLRVCQGSYDAALISAWEDWDEDHGSENDHPKAFPDNQCYVVFVLEHGGKDLESFVLRNTDEARSLLVQVTAALAVAEAAYEFEHRDLHWGNILLSRNDSVTMPFTLEGKQMFIQTFGLGMSIIDFTLSRINTGDDILFLDLSSDPELFKGPKGDKQSETYRKMKEVTEDCWEGSFPRTNALWLMYLVDVLLLKKSFERTSKDERDFRSLKKRLDKYQSAREALLDPSFSNLLVDGGI >ONI00784 pep chromosome:Prunus_persica_NCBIv2:G6:7418554:7420929:1 gene:PRUPE_6G104800 transcript:ONI00784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLCFFILVCLNLSYAQQYYDPTECASDASYSGSRYICNMFQNSCRTFLVYRANPHFRTVSNISELFQMDSDALLGLNNLTSPSEALRAGREVLIPIDCSCSGQYFQASFSYMVSENTSYSEIACGVFEGLLKSFTFREENLSQENKLKVGTKLLLPLRCACPDKLASSSGVKYLVTYPFVEGDRPIILSQKFGISPEDLWAVNHLGPSQTVFPKTTVLVPLRAPPVLNFNILDSPPPAPDFLPTNPVEKTVKNTKVKKLYIAGSVVGFSLLLAALIAFIILYRRALKKWKSEKLQSFTALSSPISCSTVRSPIKSGQTGRSSPISSCLSPDILAGLKYSLFNYSLEELRRATRDFNEENKIGSLVYRGLINNVEVMIKQMRFEETSHVIDVHSKINHINILNLEAVSYGENHLAWSYLVFEYPSNGCLRDCLSSPSITPLKWHRRTQIAFDIATGLHYLHCCTFPSCAHLDINTRNIFVTENWRAKLSNIGTISAFGSSEGNDKGWVAPEYLLHGSASEKVDIFAFGVVLLELISAREDIDGKFFKESIKFLGGGASEGGFLEQLRSFMDPQLEDYPLAEALCLAVLAKACVEDDPLHRPSIDDIMKVLARMV >ONI02338 pep chromosome:Prunus_persica_NCBIv2:G6:19930643:19932130:1 gene:PRUPE_6G191900 transcript:ONI02338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLVSLFLLHIVTASATQLHPGYYLGTCPKAEIIVRDVMKKAFTRDPRSLASIMRFQFHDCFVNGCDASLLLDDTPTMLGEKLSLSNINSLRSYEVIDEAKAALEKACPGIVSCADVIIMASRDAVALTGGPDWQVKLGRLDSLTASQEASDNVMPSPTSNATFLIDLFGKFDLSVKDLVALSGSHSIGKARCFSVMSRLYNQSGTGRADPAFEPKFREKLNKLCPLDVDQNVTVGLDASPVVFDNQYFKDLVSGRGFLNSDQTLYTFPQTRGLVKQFSINQGVFFKAFVEGMLKMGDLKVDQPGEVRKNCRVVNY >ONI02345 pep chromosome:Prunus_persica_NCBIv2:G6:19984620:19988157:-1 gene:PRUPE_6G192500 transcript:ONI02345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQIMEQPEEELKEQLQTKEVQTDRAVDEQREMKKVADAANMRLSEELSTQEGAGVHSELNSVKDLLSDARQDLKSKEENIESLKLELGKAKDVELKLAKNAKTSEAHTQTLLSQGAERIRELELKADNAKISEAQTKALLAESKKRIRELEVKLAENLKSSEAEAHTKALLFASEKKVRDLELKLAEKVETSEPHTKALLSEREGRIQELELKLAEKVETSEARMKSLLSEREVRIQELEVKLAEVMKSSEAEAHTKTLLSESEGRIQELEHKLAENVETSDAHTKALLSEGEGIIRELELKLAENVETSEADMTALLSESDERIRELEMEIEKRKQSEARILDSLITQTNQLEQTKVFLEEAKFEIASIKNKLEKGEDESAQNSQERSTSHYHDQLENNLSKEEELESLKSEIQLTKESLVDAQKKEQLASSKAQKLLEEMGVLKRELKLTAEAEENGKKAMDDLAFALKEVATEANQLKDKLCVTQAELEHSRSQEECLNTMLKSSEESYKALLDEAKKEAERYKNTAARLKIEHEESVLAWSGKETGFVDCIRRAEEDRYAAQQENFRLHELLLETDNRAMVSKEENSKLRDILKQALNEANVAKGATAIAQAENSQLKDTLTEKEDALNFLTRENENYRVNEIAAHENIKELKRLLIESSKKEEKEKSPSKEKDGKKEEKEKTPSKDKESKKEAKEKLSSKEVKKEVKEKKPLKELKKEDAGKEKPPMSGDAKKEDKEKTSSKEDKEAAASQNGGLFGKVFSFNLKELKISNTHEEVDDDDHEIEIDEALKGSIFEVDSPGSATHHRRNSSFTFTDDGETLHSDDYDHLDGTQAENSRKKKALLRRFGDLIKRSTTYTKKEPSPSPDTKKEPSPSQDAKEETSPTKKEASPE >ONI00213 pep chromosome:Prunus_persica_NCBIv2:G6:5176714:5180519:1 gene:PRUPE_6G075300 transcript:ONI00213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKMGGNETDRLALLAIKAQIKQDPHTVLSSWNESIHFCSWHGVSCGRRHRQRVTRLDLQSQKLAGSLSPHIGNLSFLRALKLQNNSFSNKIPPEIGNLRRLQVLSLHNNSFSGPIPYNISYCSNLIFMNIGLNRLVGKIPSEFGSLSKLQQFVLQGNNLTGKIPPSLGNLSSLEVLAATQNNLVGSLPTSLGQLKNLTYLSLGANKLTGTIPPSIYNLSALDTFYLAYNQIQGRLPSDLGKTLPNLQVFNIGTNQFFGSIPLSLSNATSLRQFSIPYNNLTGQVPDFRKLHDLERFYIHQNHLGSGTDGDLTFLTDLTNSTELKYLIMHDNYFGGTLPTSISNLSTKIEMFWFYRNQIHGTIPTEIGNLVSLESLGMRENSFTGSIPTEIQKLSSLVELEISYNQLSGSIPSSLGNLTKLYRLFLQGNNLEGVIPSSLGDCQRLTALSLSNNKLSGAIPQQVIGRPSLSQLLNLSTNHFIGSLPTEVGKLKNLGVLDVSNNMLSGELPSSLGSCESLEVLHLQDNFFKGSIPSSMIGLKGIEELDLSRNNLSGEIPKFLGDFVFLKKLDLSFNEFWGAVPTGGGAFKNASAISITGNTKLCGGIADLQLPKCKSQKGGSSRSLKLIIPLVLSGFALLVIVMVMSYFFLCSSRRKRKEIPLSTLANHFLQVSYATLLRATDEFSSANLIGAGSFGSVYKGILDDNDKHQLVAVKVFNLLRHGASKSFMAECEALRNIKHRNLVEILTACSSVDFHGNDFKALVYKYMDRGSLEEWLHPPTEIEEIREALNLEQRLEIAIDVACALDYLHNHCETPIVHCDLKPSNVLLDNEMTGHVSDFGLARFLSQEAGINVSNNHTSSIGIKGTVGYAAPEYGMGSQVSTNGDVYGFGILLLEIFAGKRPTDDMFNGDLNLHTFVKMALPERVTDIADSTLFEGGNNERRVEKIVVCLNSIFRIGIECSAESPTERLKNISDAASELHSVRDVLLR >ONI02006 pep chromosome:Prunus_persica_NCBIv2:G6:17727728:17728355:1 gene:PRUPE_6G172400 transcript:ONI02006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTFMSSSKHVKQTCHCDGVKYPSCARVIAYLNMKKNLEKIMLENLHILSDKHVTCKIYFLAKYKISLINHEVYKRLLIGEIKLNM >ONI00879 pep chromosome:Prunus_persica_NCBIv2:G6:7827814:7829943:-1 gene:PRUPE_6G109200 transcript:ONI00879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDSKLKEYIEKQGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICSLFASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGMHMAPPSHHHHHHQRNSLKPPPFPSSSHHNYQNQPLIPSQPLSSLFKDLGSTYNRSFSSGFEIPHLSMTSNNFSNTTTNSSLFPTQECLVGSMQYNNYPVKKNSNQNNLLMFGSEGSCSTSSDGSCNQISYERESNIKQEEMVFQSFDQDPNNQRFMMLNYGNNNSGCSTGSAGHDHHHVINQWCERPDGFDPLAAENTLGYCDLEDVKQLISGGNNLNNCVNGSKSNSLFSNVDENKTEEKVMYFY >ONI02344 pep chromosome:Prunus_persica_NCBIv2:G6:19966928:19967500:1 gene:PRUPE_6G192400 transcript:ONI02344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGRVFVPNKTLVSSPKFPTRCFPLPSSQQTKRRALLSQAKLCALSDPIHSTPHHLSHITKYLEFEAMI >ONI02343 pep chromosome:Prunus_persica_NCBIv2:G6:19966953:19970553:1 gene:PRUPE_6G192400 transcript:ONI02343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGRVFVPNKTLVSSPKFPTRCFPLPSSQQTKRRALLSQAKLCALSDPIHSTPHHLSHITKYLEFEAMI >ONH99089 pep chromosome:Prunus_persica_NCBIv2:G6:794975:795773:1 gene:PRUPE_6G010700 transcript:ONH99089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDYNYYSYWDYFSIPLHLCFFISILVFILGFTWYINYESMFEDLMTQVKLFLMLVPLLLLLVVHCLSGGLSFFLPFPEKDSLHRAGGSPWGVGLVLVFLLIMISYQSSFHERWFPLLSR >ONI03568 pep chromosome:Prunus_persica_NCBIv2:G6:25417738:25418217:-1 gene:PRUPE_6G265700 transcript:ONI03568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHHNILSPPPPAFNGSTGFMPTRRRKMMMHMTFFWGHSAEVLFSGWPGRDNPVMYALSLLCVFVLAVLVELLSHCSVFKPGANGLAVGFLQTGLYTLRSGLSYLVMLAVMSFNGGVFLAVVAGHAVGFLLFGSRAFKKSDGSGIDRQASLPPMTCG >ONH99012 pep chromosome:Prunus_persica_NCBIv2:G6:473675:478123:1 gene:PRUPE_6G004900 transcript:ONH99012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWKRHRILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVMTHAYNNRSGVRYMTGGLKVYYVPWKPFLMQNTFPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLAEVSQAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPAPERLSSHEIVIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFIVGGDGPKRVRLEEMREKHSLQDRVEMLGAVQHSQVRSVLITGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAKPDPSDMVQAIKKAISILPKIDPQEMHNRMKELYNWHDVAKRTEIVYDRALKCSNQNLLQRLSRYLSCGAWAGKLFCLVMIIDFLLWHLLQLWKPAEDIEEVPDFVLSHDQDEGISQDNENQSLR >ONH99247 pep chromosome:Prunus_persica_NCBIv2:G6:1628595:1629251:1 gene:PRUPE_6G020600 transcript:ONH99247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITIWFAFGFGQIGKDGFLLAIWFNKITEKTIVWSANGDNLVPQGSKVELTACLCK >ONI00497 pep chromosome:Prunus_persica_NCBIv2:G6:6299269:6301810:1 gene:PRUPE_6G091700 transcript:ONI00497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVPPSSGDFPAVPSHGGQYIQYNIFGNLFEITNKYRPPIMPIGRGAYGIVCSVLNSETKEMVAMKKIANAFDNHMDAKRTLREIKLLRHLDHENVVAIRDVIPPPLRREFSDVYIATELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVIHRDMKPSNLLLNANCDLKICDFGLARPTAENELLTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGKDHVHQMRLLTELLGTPTESDLGFVRNEDARRYIRQLPPHPRQPLARLFPHVNPLAIDLIDRMLTFDPTKRITVEEALAHPYLERLHDVADEPICNEPFSFDFEQQPLGEEQMKDMIYREAIALNPEYA >ONI02563 pep chromosome:Prunus_persica_NCBIv2:G6:21500516:21501187:-1 gene:PRUPE_6G206400 transcript:ONI02563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFTIPCFVLILVLLSTTNVWKIATVEGSKCCTDHPELGNCVPGADDNPNGGKCWTFCTSDCEKGGICKLLGNRHHCHCLC >ONI02609 pep chromosome:Prunus_persica_NCBIv2:G6:21860707:21862829:1 gene:PRUPE_6G210200 transcript:ONI02609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTQHGFLEELLLAPRRDSWSTYSSNSSGLNELFPINGWSFDSFEENPVLVSSVNNPPFSGFSTQTDQPPSFEECPFSTDHHQSSSSYPFVDGLCTVPEIDSSYTKDNDTIAPPFPSQDQDYPSMVEDEEFGGFLGSENQRSCLEESKNIATCKVEMEQQATDHIPSAFNMGLCGEKRSKAKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERINKLQEEGIEDGTNQINLMDISKELKPSEVLVRNSPKFDVERRDMDTRIDICCAAKPGLLLSTVNTIEALGLEIQQCVISCFNDFSMQASCSEGAEQRTLLSPEDIKQALFRNAGYGGRCL >ONI04115 pep chromosome:Prunus_persica_NCBIv2:G6:27519120:27520636:1 gene:PRUPE_6G303700 transcript:ONI04115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEGTANFIDILIAILLPPLGVFLKFGCHVEFWICLLLTIFGYIPGIIYAVYAITK >ONI00363 pep chromosome:Prunus_persica_NCBIv2:G6:5709455:5711612:-1 gene:PRUPE_6G084800 transcript:ONI00363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHIHYRSDASQKTNVWKIGKPNNMDLQQSQPSACLLPVNFMLYKCYEVVWFDEEEDDVPRIIPQLKTLVTQETIKVPRGTLLSESGTMLMTEILSGMHVPVDEQPSIVGKVLNAVESTLCMLPIVVEVEEVTLRMSRNVINPEDVIERVTRESVETDEVRPIPATKSSIDCLEKVRLDSSEVMENCVICMKNFEACIEVISLPCSHVYHEACIVQWLETSHLCPLCRYPMPHVD >ONH99137 pep chromosome:Prunus_persica_NCBIv2:G6:958274:959968:-1 gene:PRUPE_6G013700 transcript:ONH99137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSSITFSRRYSLLMIMFFALCLVAKAQLSTDFYKATCPDLLKIVRREVLNAIKTEMRMAASLLRLHFHDCFVNGCDASLLLDVTDSEKAALPNLNSARGFEVVDRIKSSVESACSGVVSCADILAIAARDSVVLSGGTPWKVLLGRRDGLVANQTGANNGLPSPFETLDVIISKFATVGLDVKDVVSLSGGHTIGLAKCSTFSNRLFNFSGTGSPDSTLDQSMLTDLQNLCPLTGDGSNTAPFDRNSADLFDNHYFQNLINGKGLLGSDQILFSSDAAVTTNTKSLVLSYSSNSRLFLSDFADSMVKMGNISPLTGSAGEIRKNCRLVNS >ONI00136 pep chromosome:Prunus_persica_NCBIv2:G6:4794966:4797602:-1 gene:PRUPE_6G069900 transcript:ONI00136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRERRWSLEGLTALVTGGTRGIGYAIVEELAGFGATIHTCARNEVDLNDCLSQWEKKGFKVTGSVCDVVSKSQREELIKKLSSLFDGKLNILINNVGTNITKPTTEFTAEDYTFLMSTNLESAYHLCQLAHPLLKASGAGSIVFLSSVAGVISLEVGSIYSAAKGAINQLAKNLACEWAKDNIRTNSVAPWFITTPLGDHFLGNEKSLKIIKSRTPLRRPGEPEEVSSLVAFLCLPAASYITGQTISVDGGVTVNGLLFQGA >ONI01452 pep chromosome:Prunus_persica_NCBIv2:G6:11363270:11364895:-1 gene:PRUPE_6G140400 transcript:ONI01452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHSANLERQTMFYFPSVPKTINPVPFLCFLIILLCHLADAAPPYWKCSNVSYTDNSRFHKNLGDLLICLPSNASVSKYYNTSTGNDPDRVYGLYMCFDYVANEMCRRCINTAQSDMLTLCPHSKEAVVWEDSCLLRYSNENFFGRLNVRDNIADENSQNISDPKKFESVLNETFNRLAKQAAYNLSAAEMHATEEVAFEDKLIYAFVQCTTDLSRDDCDKCLARAKEDVLTLYHFSVGARLMSRSCYLRFELYAFYTAGASEASAPRSPNKNAFTAWEIHDEPKLMNGFHIHAGSRKKILLITILTVVSACLAILLLGSCVYLAVRKRNKEGRNYRKAQDPYISLAAIHAATSNFSDSNKLGEGGFGPVYKYFCDLKENRVVISQGILNDGREVAIKRLSSLSEQGLEEFTNEVLLIMKLQHKNLVRLCGFCLDGEEKLLAYEFMPNSSLDVILFGLFISVYPLNFNY >ONI01451 pep chromosome:Prunus_persica_NCBIv2:G6:11362161:11364895:-1 gene:PRUPE_6G140400 transcript:ONI01451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHSANLERQTMFYFPSVPKTINPVPFLCFLIILLCHLADAAPPYWKCSNVSYTDNSRFHKNLGDLLICLPSNASVSKYYNTSTGNDPDRVYGLYMCFDYVANEMCRRCINTAQSDMLTLCPHSKEAVVWEDSCLLRYSNENFFGRLNVRDNIADENSQNISDPKKFESVLNETFNRLAKQAAYNLSAAEMHATEEVAFEDKLIYAFVQCTTDLSRDDCDKCLARAKEDVLTLYHFSVGARLMSRSCYLRFELYAFYTAGASEASAPRSPNKNAFTAWEIHDEPKLMNGFHIHAGSRKKILLITILTVVSACLAILLLGSCVYLAVRKRNKEGRNYRKAQDPYISLAAIHAATSNFSDSNKLGEGGFGPVYKYFCDLKENRVVISQGILNDGREVAIKRLSSLSEQGLEEFTNEVLLIMKLQHKNLVRLCGFCLDGEEKLLAYEFMPNSSLDVILFDSKKRARLDWSRRISIISGIARGILYLHEDSRLRIIHRDLKASNILLDNDMNPKISDFGMARIFVGSEGEANTATIVGTYGYMAPEYAMEGLYSVKSDVFAFGVLLIEIITGRRNAGFHLIRRVPSLIAYAWQLWNEGNGLELMDPLMVDSCDADEFLRYLHIGLLCVQEDADDRPTMSNVVVMLKSETVTLSPPEKPAFSTGRFADHCEMRADHISSINEQSVSDVVPR >ONI00127 pep chromosome:Prunus_persica_NCBIv2:G6:4760795:4762499:-1 gene:PRUPE_6G069300 transcript:ONI00127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNLPFGLLGSSALILIWFIHNLITGIDYISDAAFISTGVSKSIAPHYKATHQKQAAYVRSFPSGVNNCYRVNIIKGTKYLIRTMEFVDASLSTNKELVYVPTFDYIHVCLVNKGSGTPFISALESRPLKTITYLSPTAPQALFLRSDVVMSTASASTSTNDNASMDFGWEAPDTTTGYNVYLHFAELQICHDQKHMIVDAMTNIKSTYGVLETDWEGDPCTPQEYVWEGIFLRVD >ONI04637 pep chromosome:Prunus_persica_NCBIv2:G6:28908174:28912207:-1 gene:PRUPE_6G331800 transcript:ONI04637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRESIEEGKLSNPLLVKNIDSESQVYGYGGRGENSGAGNEQQSGIFSSSATTMVVLSTLVAVSGSYVFGSAVGYSSPARSGVMDDLGLTVAEYSVFGSILTIGAMIGAVVSGRIADYIGRRGAMAFSEIFCILGWLAIAFSKVAWWAELGRLLVGCGMGLLSYVVPIYIAEITPKNLRGGFTTVHQLMICCGVSLTYLIGAFLNWRALALIGTVPCVIQILGLVFIPESPRWLAKIGQEKKCEDALQNLRGKNADISQEKSEIRDYTETLKRPSEASILDLFQRRYAYSVIVGVGLMVLQQFGGVNGIAFYASTIFISAGFSDSIGTIAMVAVQIPMTGLGVILMDKSGRRPLLLVSSTGTCLGCLLVGLSFLLQNLQQWKHVTPILALVGILVFTGSFSLGMGGIPWVIMSEIFPINMKGSAGSLVTLVSWLGSWIVSYAFNFLMDWNSAGTFFMFSCVSGVTILFVAKLVPETKGRTLEEIQTSMNRFSEKR >ONI00645 pep chromosome:Prunus_persica_NCBIv2:G6:6968306:6972080:-1 gene:PRUPE_6G100100 transcript:ONI00645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGVLALQGSFNEHIAALRRLGVKGVEIRKPEQLETVASLIIPGGESTTMAKLAEYHNLFPALREFVKMGKPVWGTCAGLIFLANKATGQKIGGQELIGGLDCTVHRNFFGSQIRSFEAELAVPELAAKEGGPEVFRGVFIRAPAILDVGPEVKVLADYLVPSNEALDSNSAVEAQEENTGSEKKVIVAVRQRNLLATAFHPELTADTRWHSYFLKMATETGEEASSSIVAVGADLNLDQQPKIDLPIFQ >ONI03380 pep chromosome:Prunus_persica_NCBIv2:G6:24731353:24732106:-1 gene:PRUPE_6G254000 transcript:ONI03380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLSLSLSLSLTLSLSLSLSLSLSLFFSSNKLQEIPNLLGLGKEDPCFHHDHDDQTQKPTLENPEQPSDHDHALHDHPQQEQPKNGADHIDTPAKKKRRTTESVEVEDDDDGFKTPTSLEHRIPPMTKCPPAPRKPLRKRKVVTSSSPSRRRIQFHFDHLDTKELEAFFASNFLLQDLRAKIKKAKRAHRDETR >ONI03106 pep chromosome:Prunus_persica_NCBIv2:G6:23868450:23871382:1 gene:PRUPE_6G239100 transcript:ONI03106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASEGTSIEYKPTWALATVYFFFIFTAVFLRYSIHLLANVQLMFLGFASLLLAVIQDSISKFCIPAKLVDTMLPCRKRVAPESTQKEEDAEHFVARNFSTGAGGLYGEIHRLLADEAVSDSCSDGKVPFMKKRSLHQLHILIFVLAVVHIVYSVLTMALGRAKMRRFQAWEKDAQAQTMGQHQVAHEKSVHLWITHLSSRHNNFNFQQYIQLSLEEDFRIMVGISPLMWFLAAPFMLLDVYGWYVYLWISYVPILVVLVLGTKLEHIVATMALQIKEQNSAISETPLVQHDDLFWFRKPKFVLVLLHYTLFVNAFEFAFFIWVTIQFGLTSCYHEHTMIIVTRVFLA >ONI02317 pep chromosome:Prunus_persica_NCBIv2:G6:19794918:19796115:-1 gene:PRUPE_6G190800 transcript:ONI02317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPLNLLFKATRAIMLLHFLLLSPASCFSTHSLRDLLFEEKTRLGSTPPSCHNKCNQCHPCMAVQVPTIPSHDRVEPGMTRSFPMMFFDPSHPGTNNKYSNYKPLGWKCHCGDHFFNP >ONI04235 pep chromosome:Prunus_persica_NCBIv2:G6:27843376:27847283:-1 gene:PRUPE_6G310600 transcript:ONI04235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNINNKDHEEREDEEEDQYGVLLYYKYTQIPDLDELFTFYHSNCNSLSLLGRVRISPLGVNVTVGGKLSALKKHIAAVESNSLFQGTDFKLAACGRPLNDKVAEECGFTSLSIRIVKELVTLSSNPLLKSPEFSDAGKHLSADEFHSMLQRAGQLDKESTERDRKLVLLDARNLYETRIGKFHTPDVETLDPGIRQYSDLPSWIDDNSDQLRGKNVLMYCTGGIRCEMASAYIRSKGSGYENVFQLFGGIQRYLEQFPDGGFFRGKNFVFDHRISVGSSDANILGTCLLCGVLFDDYSSRLRCCYCRMLVLVCNSCQRKGSVYVCELCKNHHKGVELVSSSENGRLSSIPQQIECETVSSDAKPSPQLPWRQAVGSPPRKLRILCLHGFRQNASSFKGRTASLAKKLKNIAELVFVDAPHELPFIYQPSTVTESHQNCTSPPSSLLQQSPPPPPSEHCRKKFAWFVAPDFRGKSESESDNPDREWKMGDGPFDPLQYQQQTAGFEESLAYIKTVYAQEGPFDGLLGFSQGAAMAAALVCAQQTRRRLLQTGEMEFGFVILCSGFGIMKLMEVEEEKIQMLKCPSLHIFGSDHGKDRQIANQASRKLASLFDDGCSVTIEHDSGHIIPTRPPYIDQVKDFLGRFL >ONI04236 pep chromosome:Prunus_persica_NCBIv2:G6:27843647:27847066:-1 gene:PRUPE_6G310600 transcript:ONI04236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNINNKDHEEREDEEEDQYGVLLYYKYTQIPDLDELFTFYHSNCNSLSLLGRVRISPLGVNVTVGGKLSALKKHIAAVESNSLFQGTDFKLAACGRPLNDKVAEECGFTSLSIRIVKELVTLSSNPLLKSPEFSDAGKHLSADEFHSMLQRAGQLDKESTERDRKLVLLDARNLYETRIGKFHTPDVETLDPGIRQYSDLPSWIDDNSDQLRGKNVLMYCTGGIRCEMASAYIRSKGSGYENVFQLFGGIQRYLEQFPDGGFFRGKNFVFDHRISVGSSDANILGTCLLCGVLFDDYSSRLRCCYCRMLVLVCNSCQQRKGSVYVCELCKNHHKGVELVSSSENGRLSSIPQQIECETVSSDAKPSPQLPWRQAVGSPPRKLRILCLHGFRQNASSFKGRTASLAKKLKNIAELVFVDAPHELPFIYQPSTVTESHQNCTSPPSSLLQQSPPPPPSEHCRKKFAWFVAPDFRGKSESESDNPDREWKMGDGPFDPLQYQQQTAGFEESLAYIKTVYAQEGPFDGLLGFSQGAAMAAALVCAQQTRRRLLQTGEMEFGFVILCSGFGIMKLMEVEEEKIQMLKCPSLHIFGSDHGKDRQIANQASRKLASLFDDGCSVTIEHDSGHIIPTRPPYIDQVKDFLGRFL >ONI03870 pep chromosome:Prunus_persica_NCBIv2:G6:26582645:26584056:1 gene:PRUPE_6G287400 transcript:ONI03870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPEASAPSVAYAHPAVAVVSPHFCSPYPMDIAIVRKLLTITHGSFVVTDVNGNIILKVKGDHLLDTAGYPILTLRQKLMSAHERWQVFRGKSTKSRDLIFSTKRSSMVQFYTKLDVFLAHNTTEKVPDFKVKGSWSEKSCVIYAGDYSTIVAQMHKKRTVKSELLGKDHFMVTVNPGIDYAFIVALIVILDEINTASTPTVPT >ONI00858 pep chromosome:Prunus_persica_NCBIv2:G6:7669751:7670829:1 gene:PRUPE_6G107700 transcript:ONI00858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYILCIALIYSLLFFPCFDVSLAARNIPSSAPSTMVRPLVTEGLDHYVSMKPQDLNHKQQVFHGREVNGCLPKGFRHASAPSRYVNYHALGQGCSSMRSKKP >ONI00758 pep chromosome:Prunus_persica_NCBIv2:G6:7261717:7266460:-1 gene:PRUPE_6G103800 transcript:ONI00758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLARNQNARSGDYLEGMLNDYVGGKAKLKAHKSTSARLVTALTCLQFAFAVYATFLLYYMSPSIDLRTKPDFAWATKIAQQWKHFIIPPHILNHYQVSSSLVGAEIQPITPSDVCEQEKIDFMQKKSNDAQMIKLKTELYKEVLDFQSKSIGTETLAQLMAMKSKWDLKGPNRPKITVILNHFKRKTLCAQLDTLHEQTLPFHHVWVLSFGSPNELSLKRIVDSYNDSRISFISSSYDFKYYGRFQMALQTEADLVYILDDDMIPGKKMLQILSHVAGTEKYKNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITLDKIVQVDFLSSSWFLSAELVKTLFIETPFTFSTGEDLHLSYQLQKYRNAGSFVLPVDPKDRETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSTGYITQWAAMYPQKIDALFYAHSVDEVKALAPLLEKFRSTVGKKAYIAVSGGNYCACEDAATALKWPQLVCKERRFKIFDLAVGALSGVSNSEVVVLQGVYSSMKGLIKIHNPSVVITVADIDPNVKKALKMATETNLNATTLVLLPRPSIPKVLWMADLRTTALPNWNRMRISINIITQNRVHSLTRLLKSLSDAYYLGDEVPISFNMDSKVDEATVRLVSSFEWPHGPKTLKRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSLPELSSISLYTPRLVEVVKERPKWNPTEFFKKIHPNTPYFHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKKNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVTLYPNFPNQASFSTNHMEPGAHISAKDNVVKHDKSDFEVPLLKEDFRNFLPGGKFPPASRLPSLNLFNQPLSLKGLKAAGAKLGQDVIGCNNATEIVMVDHQTGLPSRCAKF >ONI02674 pep chromosome:Prunus_persica_NCBIv2:G6:22186172:22187203:1 gene:PRUPE_6G214000 transcript:ONI02674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVAKFLLAFLCINIWLQATEALRFQFGVQTAKAHDFLRVHNNARKQIGLPPLEWDEKLAEFARHYVNLRAASGCGMIHSNGPYGENIFWGGGKQLWGGRFAVQSWSHEKKFYNHKTNSCIPGQMCGHYTQIVWKDTKRVGCARAACTNGGGQLIICNYDPPGNWLGEKPY >ONI01784 pep chromosome:Prunus_persica_NCBIv2:G6:14210443:14211810:-1 gene:PRUPE_6G158200 transcript:ONI01784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGLTMGLAVAFFSGKRRLDCFVTTGARRSSSNCHLSESIFVFTSHWQTLQCPNLPHFIQKSFRPSYFKRMRRFPATGHGMKKPGANGLAVFMSMSILRKTPETCIIGIFHLHYCSNSGSKLSGILWCA >ONI00575 pep chromosome:Prunus_persica_NCBIv2:G6:6664157:6666033:1 gene:PRUPE_6G096200 transcript:ONI00575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQVKSFKNAGILRYTATTVRHVFFIRTPPHSNVKVEVLIPCTIDKRIREWIKSAAQWRGFMWSYRGKGKLRILIRNRCGRESSSVTGVVRTVSHGGEGCSLTFLATIKWLKWPRTRMISN >ONI00178 pep chromosome:Prunus_persica_NCBIv2:G6:4959874:4962813:1 gene:PRUPE_6G072700 transcript:ONI00178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQNQYQQADQMPKYECLLFDLDDTLYPLSSGMSKQCTKNIEEYMVQKLGIAETTVTQLNQVLYKNYGTSMAGLRAIGYNFDNDDYHSFVHGRLPYEVLRPDHVLRTLLLSLPYRKLIFSNGDKFHVAKTLSKLGLKDCFEGVICFETLNPISDNEDSKSTGCRNVFDHSCLFDAGSALPVTPVVCKPFANAYEQAFQKANINPQTTLFFDDSIRNIQAGKDMGLHTVLVGTSNRTKGVDYAIESIHNIREALPELWEINNKKGISRSFSGKLAMETSVTA >ONI02188 pep chromosome:Prunus_persica_NCBIv2:G6:18883004:18884853:1 gene:PRUPE_6G182100 transcript:ONI02188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTNMEQYRETIRFYAVSSARPLRLVKNDPHRVRMDLRDNLNMSVSDFMKIVRKNYGIDITTNQFYKAKSIAKELIHGSIEEQYTKLCDYYEELKAHNPGSTVLTKTNLRGDNSVFKRIYIYIYIFGGLKKSFIARCRPTVGFDGCHVMGTHPGQILYAVGIDANNGMYPVAFAVIEQKGLGQAIRDLMPTAELMHCVRHLHNNFKIAGHNGLALKQRLWAATRSTTLPRFEVEMEKMMKKMPSNHWSMSHFTTHSKCDMLLNNLSRDKLILTLLERIRSYLMLRMARLREIVWAHDVGPRIFGIVEKLTTKSTQCIASYAGGGKYQVNNINDRMYVVDLERHTCTCRKWDLCRIPCLHSMVVIAKTEKSPYDFVHSLYKRAAYERAYESYISPIPSQEYWRKTWHIPIKPPIYHKQPGRPRTSRQKELDEIPKNATKLRRYEIVIYCKTCGGEGHNSTSCGNNG >ONI04186 pep chromosome:Prunus_persica_NCBIv2:G6:27700465:27703372:1 gene:PRUPE_6G307400 transcript:ONI04186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMIFAWVLVIQIFFFSFCFFFPPIHGSLQSTDTKHDKGLHLMSRISLPPSPAPEAVSPSYNPTTIFNVRSYGAIGDGVTDDTQAFKLAWDTACQTEDSEVLVPKGHTFMIQSTIFTGPCKPGLKMQIDGTLMPPDGPDSWPRNNSKRQWLVFYRINEMSMQGTGVIDGRGEKWWNLPCKPHKGFTGTTQPGPCDSPVAIRFFMSSNLSVIGLKVKNSPQFHFRFDNCQNVYIESLNIKTPALSPNTDGIHIENTNNVKLYNSVISNGDDCVSIGAGCYNVEIRNITCGPSHGISIGSLGIRNSRACVSNITVKDSIIKHSDNGVRIKTWQGGSGSVSRVTFQNIHMDTVRNPILLDQYYCLTKKCPNQTSAVHISDILYSKIKGTYDVRSPPMHFACSDSMPCTNLTLSEVELLPAQGKFVSNPICWSAYGTVQTLTIPPVFCLLGGTPEMLPQNGKERC >ONI02157 pep chromosome:Prunus_persica_NCBIv2:G6:18676584:18677249:-1 gene:PRUPE_6G180400 transcript:ONI02157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSLPLQSINPKVAKMAPMLQKARPKQRAHSFKLRTISCRADGLPMHKKKPNFYEVLSLGSENNVGLYDIKKAYRSMARKFHPDLCPPSAKEESTRKFIELQKAYETLSDPISREMYDYQLGLAGSSAGLGVEGFCMEVKRSVFQREVWEEQLRGLHKRSQTKRERKNYRSM >ONI03597 pep chromosome:Prunus_persica_NCBIv2:G6:25559118:25560721:-1 gene:PRUPE_6G267800 transcript:ONI03597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISTKFSLALFLSSLCIHAVLGGFICEDLPNDVCAFSVSSAGKRCLLETMAKKDGSIEYQCRTSEVLVQGVAEYIETDQCVKACGIDRKSVGISSDALLEPQFMTKLCSPTCYQKCPNIVELYFNLAAGEGAFLPDLCDKQRTNPHRAMLQLLSSGEAVAGPVSSKSPSGNLAFAPSQEEAYPPSEAFAPAPL >ONI02684 pep chromosome:Prunus_persica_NCBIv2:G6:22258716:22263355:1 gene:PRUPE_6G214800 transcript:ONI02684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRSSRKKKDAAVAANKRPPAAASPPQRQTQRRRQSAKEPEIQDIEDLFFSEEEAQRIRQALLEWYGLNRRELPWREAEEDVERRAYRVWVSEVMLQQTRVQTVVQYFHRWMSKWPTIHHLAQASLEEVNELWAGLGYYRRARFLLEGARMIVAEEVQFPKTVSQLRKVRGIGDYTAGAIASIAFKEVVPVVDGNVVRVIARLKAVSANPKDSSTVKKFWKLAAQLVDPFQPGEFNQALMELGATVCTPLSPSCHSCPVSIQCCALSISRADSSVLVTDYPVKVVKAKQRHDFSAVCVVQILGDEELSEGHRTNNGFLLVKRPDEGLLAGLWEFPSVLLAGEADLVTRRKAIDQYLNKHFRLNPRNTCDIVSREYVGENIHVFTHIRLKMYVELLVLHLKGGMKDLVSKQGKETVPWKCVDAEVLSSMGLTSGVRKVYTMVQKFKRETVAL >ONI02032 pep chromosome:Prunus_persica_NCBIv2:G6:17861742:17862877:1 gene:PRUPE_6G173900 transcript:ONI02032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSATEEQQREGPRFNSPCTFFEHVIMACLDCLGLHDDHNQHPPPLQTEREMKFNEETIMMMATRSRKATKPPISSGPGGQINYSSSVSSS >ONH99741 pep chromosome:Prunus_persica_NCBIv2:G6:3381613:3383331:1 gene:PRUPE_6G047000 transcript:ONH99741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDQVGSTKIEQNQMELDRYPAMKRIREEYSKIESKPPDDGVGSTQIEQNQMELDRYPKMKRIRGIESKPSDRVGSTQIGQNETEIGLRKTTISNCLSRLEEEYREIKSKPSDDFDCRMLERNPYEWQFGIRGASGTEFENGIYHGVLRFRKNYPLKPPSISLLTENGRFKTNTKIRIKRLNDWQPSWEVRDALHALIDEMPTYPDGLLGSVEYNKEERRDLARKSREAAPKYGTSERQKLINDIHKYLLNKSPSDPVTQLPQLSPAQTSNGTGGGYVVNVSGNIFHATNSNGVGILGSMNEFSDEGHKPKKVKLSWPELFWRRKQN >ONI03861 pep chromosome:Prunus_persica_NCBIv2:G6:26526814:26534538:-1 gene:PRUPE_6G286500 transcript:ONI03861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYQIRNEYGLADPELYGAADRDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEEVMATATRGHGLVVRVQQLEADFPSIEKAFLSQTNHSSFFSNSGVDWHPNLRSEQNMITRGDLPRFVMDTYEECRGPPRLFLLDKFDVAGDGACLKRYTDPSFFKVEPASSIATVEMQREKKIRKVKKKGSRWRNGETPEAALTSHAKLHELFLEERIENGHSDPARLVKLKKRHLNGSAVDSKTGKSYMEKFLETPSPERKLVCETSVTPPLLRLTSDNTGEPELRILDISIVSPAAMSPETKSTSSSPNSQEAILELSVDGFNGEAYDEEVAKGSEPNSDVETNKSYSNLQKVAVDKRLAGDGEHKTGGSVEGSTPSSSDDMTSEVDNYMDALATMDSEMETDNEYKPKNNVRFLNVEKYGTDSDANEEEHLDLPTRFPDSQSIGNSSASDDGKNSFEKDRASISHSDTLSNLVQSTPSECNGAAKEFPSTETCGADNFEMSSDQNSEIAESLEATLKEHVVSQNACIKEEVLPDSGDTSCSAFVRETSPTLQHSDPGANSQVVSLAGLVLDETPSDEINVGYKSLDINENGTHLDDSLAVVPNDSSQNKDEFTNTSSSHPVDESDDEDLGVSSDALLHLSDVEELSSEDQIGNNAVNEMSQTQCANEDSIESFARRKSDSPFLSISPTEEQVSSSALPEVQTPSVNSEVIPFVVDAAWSTEELCPVVDAPQTHGLLEQQDAPQTHGIIEQQDAQQTHVLIEQQDAPQTHVLIEQQDAPQTHVLIEQQDAPQTHGLIEQQDAQQTHVLIEQQDAPQTHVLIEQQDAPQTHGLLEQQISDLSEDVPQLESISAEAVAPHYKQKIDVEETSRTMDGEELRLVTSGADVEGGDTVSVELPSNCLTYPGHEDHAKSDDVVPETLHVETVAVPYTAVAQPDDHVNDVSHSSPNAISSPPRNFINLHESLPGFGDSQEKESELDEVVFPEFVTYSEVQKEASKKEVVSLDSESNSSKSVAYDLSSSTNGGHLDELTENSLAVCDVTAESNPSKSTTYDHSSSKISDNGHNFSPDQQSENSLAVHDVTTASTSLEMSHPESESQSLDQSDKEDVVSSPTCHLPEPETSSEKSLELQANQVDMEYLPRDGADRPEAALEQSLVFQSDQLDVECLQEDRASTNSSSLQSAQIGAPNHMDEERSKELPSTENVNQDIGLDASSESCPRDLPSQPLTSVVLPESAGQEVDVTKQIMEPLESTLPRLVPEATAVNLEDMPPLPPLPPMQWRIGKQHPSLPSFLPIQPSEADEKAQFDIPAPQREVLQPQNPFLPLTYVEDGKSQHVSEPLMGNVVHPAPYSLHLPAIVNDANYQYSFPDLGGAQFPNPFLSSSEISDDRSGHNHFALEGEKVQSSTNPFMVPHTECTTFRHEPESSDGAIILPLQQLTLETDLESKVLEHSLKNSEWEHGKPPPTSVTAPTMVDEQPQHSLTTSEGETTWSPNNSAAMSDYEVGRSNGIPVSKLPRPRNPLIDAVTAHGQSKLRKVTERIRPQVEPKVDERDSLLQQIRTKSFNLKPASVTRQTVTRPSIQGPTTNLRVAAILEKANAIRQALTGSDEDDEDSWSDS >ONI03632 pep chromosome:Prunus_persica_NCBIv2:G6:25672798:25678211:1 gene:PRUPE_6G270500 transcript:ONI03632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTAVRAFLICLILCAGSGFVHGEEPAENKFREREASDDSLGYPNIDEDALLNTQCPAKLELRWQTEVSSSIYATPLIADINSDGKLEIVVPSFVHYLEVLEGSDGDKHPGWPAFHQSTVHASPLLYDIDKDGVREITLATYNGEVLFFRVSGYMMVDKLVVPRRKVKKNWYGGLHPDPVDRTHPDVQDDSLVMEAMKSTLQTDGGTPKSNNSATLSTESHPDLNSSSTVSKESHPDLNTSSTISKESNLSMVNASNPENKTETNSSHVETVIKLPTSTDNYSVKNVSEETVNAVNATSSGRRLLEDKNLSESLEVGSESKNNSKEDVPIATVENDGRLEGDADSSFDLFRNSDELADEYSYDYDDYVDESMWGDEEWTEEQHEKLEDYVNVDAHILCTPVIADIDNDGVSEMVVAVSYFFDHEYYDNPERMKELGDIDIGKYVAGSIVVFNLDTKQVKWTAELDLSTETGQFRAHIYSSPTVVDLDGDGNLDILVGTSFGLFYALDHHGKVREKFPLEMAEIQGAVVAADINDDGKIELVTTDTHGNVAAWTPQGVEIWETHLKSLVPQGPTIGDVDGDGHTDVVVPTLSGNIYVLSGKDGSIVRPYPYRTHGRVMNQVLLVDLSKKGEKKKGLTLVTTSFDGYLYIIDGPTSCTDVVDIGETSYSMVLADNVDGGDDLDLIVSTMNGNVFCFSTPASHHPLKAWRLPNQGRNHVANRYNREGVFVSHSSRAFRDEEGKNFWVEIEIIDGYRYPSGSQVPYNVTTTLLVPGNYQGERRIVVNQIFSRPGKYRIKLPTVGVRTTGTVMVEMVDKNGLYFSDDFSLTFHMYYYRLLKWLLVLPMIGMFGVLVILRPQEAVPLPSFSRNTD >ONI02564 pep chromosome:Prunus_persica_NCBIv2:G6:21511013:21512437:-1 gene:PRUPE_6G206500 transcript:ONI02564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQELTGAEEQAVKAYGWAARDSSGVLSPFHFTRRGNGDNDISMKILYCGICHSDLHLAKDEVGMTIYPIVPGHEIVGEVTKVGRNVTKFKVGDIAGVGCMVGSCRSFDNCTQDLENYCPKMVWTYNKHHEDGSRTFGGYSDKIVVDEHFVVQIPKNLPLQGTAPMLCAGITVYSPMRYFGLMEPGKHLGVVGLGGLGHMAVKFAKAVGAKVTVISTSPNKKKEAVGEEACCWQCNWGNERDPRDDRLCSKAQYHSRC >ONI01910 pep chromosome:Prunus_persica_NCBIv2:G6:16871921:16872409:-1 gene:PRUPE_6G166500 transcript:ONI01910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDQPCCRCCFSFIFTLGLTALFMWLSLRTSKPTCKVRSLYIPALNKTLNDTTNKTLYVTLRLENGNKDKGIYYDAINLNFTLPSFYQGHQKKATKSAVGEPKELNWTAVSHATYSNGTVHFRVDLVTAVSFKIMFWRTGPELRMKSSATSATTVPQLPLYLS >ONI02210 pep chromosome:Prunus_persica_NCBIv2:G6:19165513:19166056:-1 gene:PRUPE_6G183800 transcript:ONI02210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGGGGRWPAARVRRWWSATGGGGQRWLWQVAGSGSPTVMAGGRSPAVVAGGGIPAVVAGGRIPAVVAGGEI >ONI04386 pep chromosome:Prunus_persica_NCBIv2:G6:28273021:28274929:1 gene:PRUPE_6G318600 transcript:ONI04386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLEDAEVTSKRRIAIIAVSGFFLVSMVVALTVGAGIDKGFHLNPFSDKRGSSTDKSASTKAIKAICQPTDYKQECLSSLTSAAGNTTDPIQLIKIGFQAAMKQIYEATKKSNLLQKLEKDHRTSMALDTCKELMELAVDELNQSFNRLGDTENFYKYKTFIMDLKVWLSASITYQESCLDAFENTTSSNDAGEEMKTLLKTSMRLSSNGLAMVAQIFSALTDLNNPDPSHRRRLLSFDGLPILGHGDLELPEWYEAGVRKLLTISTAPAPKAHKPDAVVSKDGSGSYKTICEALEHVPKYGNETFVIYIKEGVYNESVRVNRSMTNVMMIGDGANKTRITGNHNFVDGTPTYRTAAVAIHGDHFMAMDIGFENSAGPEKHQAVALRVSADEAIFYKCSMDGYQDTLYTHTHRQFYRDCTISGTIDFVFGDAAAIFQNCTFVVHKPLPDQSCIVTAQGRKEGRQPSAIVIQNSTITADPDYFLVKNVNKAYLGRPWKEYSRTIIMESYIDDVIQPEGWLPWAGQWGLRTCFYTEFRNTGPAANKARRVTWQGIKQITRRHAAQFTPGKFFRGDEWIKLSGVPYVPGLTTNRRSKNATTTRSVLRGF >ONI03631 pep chromosome:Prunus_persica_NCBIv2:G6:25663461:25672282:1 gene:PRUPE_6G270400 transcript:ONI03631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAMDLNASPLPEEDEDTFESHIEHYTAAPEERIESGADIARREREERRKRLKRDRPDDRPMHVSQPPAYDSYQTKNPKVYDKSRLPSGWLDCPASGQEIFCMIPSKVPLGESYNDCVPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTSRYYLTSDLKKEGIKHVKIACKGRDSVPDNLSVNQFVYEVIQFLSRQKQSKKYILVHCTHGHNRTGYMIIHYLMRSLSISVTQAIKMFADVRPPGIYKPDYIDALYSFYHERKPEMVVCPSTPEWKRSSEFDLNGEAVADDDDDDGGPAAPLNESQDTNGVMTNDDILGDEIPWDQQESMRQFCYQTLKLVVGGRGNSQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITMDGCYLVDRNFNFRRVQMRFPCKHTNEGLAEKTHHYTLLDGEMIIDTVPDSQKQERRYLIYDMMAINHVPIIERPFYERWKMLEKEVIEPRNHERHNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPRLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPEMNSVDFLFEVGDDDRQHLFLYERGKKKQMEGNKVAFKDGSDPSSYSGKIIECSWASEANEWVCMRIRTDKSTPNDFNTYKKVMRSIRDNITQDILLNEIYEIIRLPMYADRIRNDSKAAQQHANAARRR >ONI03012 pep chromosome:Prunus_persica_NCBIv2:G6:23536434:23538334:-1 gene:PRUPE_6G233300 transcript:ONI03012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNQCTKPHAILVPLPLQGHVIPFTNLAMKLASNGFTITFVNTQYIHHQITKSQPNNKTKQDDIFAAARKSGLDIRYKTVSDGFPLAFNRFQNLDQFLEGHLHVYPAHVDELVGDLVQSDPSITCFIADTFHTWPETIANKYNLVNISFWTEPALVLSIYYHLDLLRQNGHFGSHDKCEDIIDYIPGVQAIEPKDLMSHLQATDLLSPMLRIIYKAFHEIKRADFILINTVQELESETLSALHEKQPTYAIGPVFPSKTTKSIVATNLMSEFDCTQWLNAKPHGSVLFISFGSYAQVTTKDIEEIAHGLLLSKVSFIWVLRPDTTSYEESNILPVGFDDEIKDSGMIVPWCSQVKVLSHPAVGGFLTHCGWNSILESMWCGVPMLCFPLWTDQITNRKLVVDDWGIGLNICDGVKPITRVEVAEKINHVMSGKLGHGLQKEIRNVRQTMEGALALNGSSQKNFFQFISDVKTKVQTRN >ONI04505 pep chromosome:Prunus_persica_NCBIv2:G6:28617972:28619934:-1 gene:PRUPE_6G325000 transcript:ONI04505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKFSLIWHILVHTRKGCAISWSNGLPLLDVHSPTLVYCLVSSQKEKFRPTVFWSLVNHRQFGFWPLNDLLVIILLLFPEQALVLISSIIVLFQSYDFILHYAGYVL >ONI05191 pep chromosome:Prunus_persica_NCBIv2:G6:30447779:30450046:1 gene:PRUPE_6G361100 transcript:ONI05191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYTPLPKERGTKRGMDEQKKRNMNNDHDDVLEPPTNFSMVEDGIFRSGFPQPSNFPFLQSLNLRSIIYLCPEPYPEENLEFLRSQNIQLLQFGIEGKTEPSVSILKDTILEALKVLIDVRNHPVLIHCKRGKHRTGCLVGCLRKFQNWCLSSVFEEYQRFAGVKSRATDLRFLEGFDTLLLRQCLYSIIYQYQGYGSNKRRLLYREDNLQKPQTMKV >ONH99131 pep chromosome:Prunus_persica_NCBIv2:G6:919689:923415:1 gene:PRUPE_6G013100 transcript:ONH99131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPQSNPTRHQLHTRLIAESMTIVSPRPSVAHITDSTAAMSNTSPPSTRHSSPTKIDPSNFSLLSILVSKRKSMAFTYAFTFAFIACTFFLVFNPLPLRFKSILHASFYSSPQNPNAHLELSRKVDPFAQKPDSSPHLDEIASLKVGEVKNESLKGNNNEDAQSSNPILSLFSESANQTSSNTEIEFSGKVEGSKERKDTKKSKDSKVRSHSSSKDSNGKHGSKKKIDGKKASSKKQGKQTELKSELMNACDIFDGSWVRDNWYPLYAPGSCPLIDEPFNCFLNGRPDNGYERYRWQPKRCNIPRLNGKKMLRLLTGKRLVFVGDSLNRNMWESLLCILRNSVDNKSKVYEVSGREEFRTEGSYSFIFEDYNCSVEFFQSRFLVQEWEMPEPSGSKKETLRIDLIERSSDNYKNADVLIFNTGHWWTHEKTSSGKGYYQEGSHVYGELNVDDAFEKALTTWARWVDTNVNPNKTAVFFRGYSPSHFRGGEWNSGGHCHGETKPTTKMESTEYGGEYPSNMKILDSVVKKMKTPIFYLNITTMTDFRKDAHPSIYRKPNLTEEERKPPMIQDCSHWCLPGVPDTWNELIYAQLLRRNQKQYKQKKQQNQRTPF >ONI01337 pep chromosome:Prunus_persica_NCBIv2:G6:10531506:10533341:-1 gene:PRUPE_6G134000 transcript:ONI01337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGYAYDRVPPGYHFCPSEQELLLYYLRPKVNAEEVPGENHVVFDFNLYSDQPRKIWDHFQTTRQNDLKMSNDLYLFTELQTKTTNGSRVSRTVGSGTWKGEDGGKKICAPGTDHVIGIKKRFRYENKGSAEHGKWLMNEFELGQSLIHNRQAKKYVLCLLRKKEEPEKKRKEPEEEDRRQVLCLQTQEKRQRMLPCIDNLPPPHPQPQPLENYAFVAELPVTQSLKPWIDNSPPLAAQVQDENLGQELLTSCVDFVPSFASEELSILEWDERLWEPFVLQSVDDVPEPVAVGTTMQGAENEVIYTASTSALPIDCTGHTATQADNPQSNVFGGLGGLDDSFNCTPWINSVEGEPASGINTTEEDNLRQS >ONI03787 pep chromosome:Prunus_persica_NCBIv2:G6:26313577:26314992:-1 gene:PRUPE_6G282000 transcript:ONI03787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTEDWGRSQMLRRLAKQLQFYKPPSVLDELEDDNDDGIGLSSGISCNSLAVRNVKCSCANNLRERRAAVLICLFEGPEGELRVILTRRSMKLASHPGDVALPGGKMEEEDADESATALREAMEEIGLNSGLVQVVAKLECFMSQHLVTVVPVIGLVAEIEDFKPVLNPDEVDAIFDVPLEMFLKEDNHRFEEREWMGWKYILHLFDFESEQGQFLIWGLTASILIRAASVIYQQPPFFQGHLPDFQKLQKALHNVHNVNSNVP >ONI00438 pep chromosome:Prunus_persica_NCBIv2:G6:6095493:6096735:-1 gene:PRUPE_6G089000 transcript:ONI00438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGGPFLICCVLLLALMAGGQRATPRNKENCTYAVTIETTCTKGAETSNHISLRFGDTNSNDVVVRHLNSKHIRRVDPLEPEVLDDVPRKPFQACVVDEFQVKGACVESPICYLYLKLSGADDWRPGFAQVHVLEGSGSHLSSEYFYFRRYLPRHVWHGLDVCDDKEVTPFGIKHKRKVFWKKQVKKLPVP >ONH98977 pep chromosome:Prunus_persica_NCBIv2:G6:326568:333543:-1 gene:PRUPE_6G002900 transcript:ONH98977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALKLWSLSSQPLKHLVRCASSTTTTTTTLRRPYSYSYSFSSYSRVVLRCCSSTTTTTTTTNAGVRNRRVSTSSSTSDRDAVRAIRLKKVEELRSKGLEPYAYGWERTHTANQLQDIYKHLADGEESKSEKDAVSVAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKERLANDQFDQLKALVDIGDIVGVSGSIKRTEKGELSVYVDSFAILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRKRAKIVSEIRRAVESFGFIEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMIYMAEEIVTRCALAVLGNLTIDYQGVEIHLERPWRRETMHNLVKESTGIDFDELGNDLKVAKEVTLENLGGDLDYKDKSSLEACTSIGHLLNEVFEIVVEPKLLQPTFVLDYPIEISPLAKPHRRIAGLTERFELFICGRELANAFSELTDPTDQRGRLEEQVRQHNEKRAAAISKTESAEDKGKENDESYEVTLDDDFLTALEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKVQQ >ONH99194 pep chromosome:Prunus_persica_NCBIv2:G6:1211312:1213720:-1 gene:PRUPE_6G017000 transcript:ONH99194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITVRLLLFSSLFLLPVSVFAQTNGSIAVGASLTATAGNSSPWFSPSGDFAFGFLPLGNNDLFLLSIWYAKIPNRTIVWYANRDNKPALAPNGSTVNLANSGLELASPQGEELWKSETIVGVVANGVMNNTGNFVLQDGKSGNLWETFNNPTDTLLPGQKIERSGTLSSRYSETDYSKGRFQLLLQEDGKLVLSSINLPTEFANEPYYETDTTSGTVAGSEGKELVFNVSGYLYVLRENGGKYNLAGEEVVSARDNYIRATLNFDGIFAQYYHPKNFTGNVSWTLLWSEPDDICRRITEDSGFGICGYNSICKLNADNRPTCQCPRGFSLLDPKEPFGSCKPDFIQGCEEDELTTTKDPYDVIVMTNIDWPISDYAEFRPFTAEKCNESCFQDCLCAVAVFRNETCWKKKLPLSNGRVDVSLNSKAFFKVRKDNPTLQFSPMPNPDDKKKKSSNTLIPVESVILATSIFVSFIFSAAVCLGFLFVFRKKQVRSIKNMLDSNLYSFSYRELQEATNGFTEELGRGAFGVVYKGTIQIGSGVQVAVKKLNCVIQDGEKEFKTELSVIGKTHHKNLVCLVGYCDEGEHRLLVYEFLSNGTLASFLFADTKPSWTQRFEIACGVAKGLLYLHEECSTQVIHCDIKPQNILLDDYYTARISDFGLAKLLMMNQSHTHTAIRGTKGYVAPEWFRNMPITAKVDVYSFGVVLLEIICCRRSVDVENGCEDRAILTDWVYDCYRDGILDAVLDYEVEALDDRKKLVMIGIWCIQEDPSLRPTMRKVVQMLEGVVEVHVPPCPSPYTRTG >ONI01776 pep chromosome:Prunus_persica_NCBIv2:G6:14147887:14148353:-1 gene:PRUPE_6G157700 transcript:ONI01776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSKEKPENHSNIPQSNEASSSLIPVTTTSEAKRKRGPTEMHKIQKKKKKGKKLVVEFSPKGEAMGKVGKQYASYTGVMARTIIPIHLNNWAAVDDHLKEKIWTEITIKIPLVFVFGAFSLAFKYSWPYRYKL >ONI01802 pep chromosome:Prunus_persica_NCBIv2:G6:14663092:14664201:1 gene:PRUPE_6G159700 transcript:ONI01802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRRPVILLVCLFLTFIFTNLGNCHASRTAQVFKLKPKYQHSGHFSGFLPRRIPIPASGPSRKHNDIGLRSWRSP >ONH99528 pep chromosome:Prunus_persica_NCBIv2:G6:2628475:2629211:-1 gene:PRUPE_6G034800 transcript:ONH99528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLILQEGQSLVLLWWGTYYNQAVCGLVDKLGSLVVRLVFLPFEESSYATFARSASGLLSSFVYLMVLLMLS >ONI03990 pep chromosome:Prunus_persica_NCBIv2:G6:27057941:27060066:1 gene:PRUPE_6G295600 transcript:ONI03990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSITSVTMAYLPSPSLTTLKHNPKPTCFSPLKPTYSDHLCFITTQFKSHPQRCNAFFGDNIPGNVLETTLHLDQFPPFQYGYMQFQTATEELSETQKWGFLIFAGITWIYLTARPGILIGAIDAYLLAPLQLVLDSLIGRRRLKRTDFVIGDKLGEGSFGVVYSGAVVPKNVNVEETVQKRGRGRAPQLDERFKEKVILKKVKIGVQGAEEFGEFEEWFNYRLSRAAPETCATFLGSFVADKTSSQFTKGGKWLVWKFEGNQTLADYMKDRKFPFNLESVMFRRVLQDVDSVKRSALIIKQIMRQIITSLKKIHDTGIVHRDVKPANLVVTGRGQIKLIDFGAATDLRIGKNYVPDRTLLDPDFCPPELYVLPEETPVPPPEPIAAFLSPILWQLNSPDLFDMYSAGIILLQMAVPSLRSSAGLKNFNSEIKTVKYDLNKWRDYTRLRPDLSLLDLDSGRGWDLAAKLVSERGSLRRGRLSAAAALRHPYFLLAADQAAAVLSKLSFTK >ONH99598 pep chromosome:Prunus_persica_NCBIv2:G6:2864742:2868370:1 gene:PRUPE_6G038100 transcript:ONH99598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLQVDAKMLMSLVLLGFIGLLVRLYNGLVAKPKKLRSLLTKQGISGPPATLLLGNIMEIKNSRGSGNVFREGEAPTTHNCAALLFPFFEKWRKQYGEVFVFALGNTQILYANQPDAVREITTCTSLDLGKPTYQYKERGPLLGQGILTSNGASWAHQRKVIAPELYMEKVKGMINLITESTTTLINSWNSRIEAEGGVADIKIDSYIRSFSGDVISRACFGSNYSKGEEIFQKLRNLQEAMSKKIFLTGVPGMRHLPTKSNREAWALEKEASTLILQVVKERQAAGYEKDLLQMILEGARNTDLSPEATDRFIVDNCKNIYLAGYETTAVSATWCLMLLASNQKWQERVRAEVVQICQGQIPDNEMVRKMKQLTMVIQESLRLYPPVTVVSREAFKDMKFGDINVPKGFNVWTTVVTLHTDPEIWGPDAYEFNPDRFANGITGACKLPHLYMPFGVGPRVCLGQNLAMVELKVLLALIVSNFSFSLSPKYKYGPALRLVVEPEHGVDLLVTKL >ONI00206 pep chromosome:Prunus_persica_NCBIv2:G6:5105242:5108632:1 gene:PRUPE_6G074800 transcript:ONI00206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVMKLILIYSFFNGVLVSSMQMGGKETDGAALLAIKAQIKQDPHNVTSSWNESIHFCFWHGVTCSRRHNQRVTKLDLQSQNLAGFISPSIGNLSFLRELQLQNNSFSHEIPPQIGHLRRLQVLSLHNNSFSGPIPYNISYCSNLIFMDFGFNGLVGKIHSEFGSLSKLQRFVLQANPLTGEIPSSLGNLSSLEVLAVSHNNLVGSIPTSLGQLKNLKFLSLGSNYLSGTIPPSIYNLSALYSFGVALNQIHGSLPSDMGNSLPNLQFFNINTNQFFGSFPLTLSNATSLQVIDVQNNKLTGQVPDLRKLHNLQRFKIAVNHLGIGTDGDLSFLSGLTNATELKILIMGVNNFGGTLPTSISNLSTKLDMFWFNSNQLHGSIPTDIANLVNLESLAMKGNSFTGSIPSEFGQLSSLAELDISVNLLSGSIPASLGNLTKMYRLFLAGNILEGVIPSSLGKFQQLISLDLSNNKLSGAIPQQVIGLSSLSKLLNLSTNHFTGSLPMEVGKLKTLGILDVSNNMLSGEIPITLGKCESLSVLHLQGNFFRGNIPSSLIGLKAIEEVDVSRNNFSGEIPMFFEGFVFLKNLNLSFNEFWGAVPTGGAFKNASAISIAGNARLCGGITNLQLPKCKTKKGGLSPSLKLIIPLVLSGLAVLGIIAVVMSYFFLRPSIWKRKEILLSTLAKNFLQVSYATLVKATDEFSSANLIGAGSFGSVYKGILDGDDHKAQLVAVKVFNLLRHGAWKSFIVECEALRNIKHRNLVKIITACSSVDFHGNDFKALVYEYMENGSLEEWLHPPTEVEEVREALNLEQRLDIAIDVACALDYLHNHCETPIVHCDLKPSNVLLDNEMTGHVSDFGLARFLSQQTGTNASENPTSSIGIKGTVGYAAPEYGMGNEVSTNGDVYSFGILLLEMFAGKRPTDDMFNGDLNLHTYVKMAFPNRVMEIVDSTLFEGGTSERRVQKIEVCLNSIFRIGIECSAESPTDRLKNISDAASELHSIRDVLLG >ONH99526 pep chromosome:Prunus_persica_NCBIv2:G6:2620997:2622397:-1 gene:PRUPE_6G034600 transcript:ONH99526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGILWLKCCRSGLCFGIVSDRGFFDTNERRSMFKTLKKNHEAMVVRSVLGKLGRSRFIHNNERLTMAGSSPTVCSSPHHPFDYYGVVHDQNKADQKRNPFLHGSLMKKPVYSHLDFGGLGPARFATEELRKKMVYFFLFS >ONI00947 pep chromosome:Prunus_persica_NCBIv2:G6:8138077:8142339:1 gene:PRUPE_6G112800 transcript:ONI00947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAALAAMAAHTLMLSTYSSPTLFSQIQSPNSHPLPHSSFHGLSVKLPLKSQFQSMSLAAAHKPLTVVAATKKAVAVLKGNSSVEGVVTLSQEDDGPTTVNVRITGLTPGPHGFHLHEYGDTTNGCISTGPHFNPKNLTHGAPEDEIRHAGDLGNIVANADGVAEATIVDNQIPLTGPNAVIGRALVVHELVDDLGKGGHELSLSTGNAGGRLACGVVGLTPV >ONH99079 pep chromosome:Prunus_persica_NCBIv2:G6:741294:744547:1 gene:PRUPE_6G009700 transcript:ONH99079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQVDHLMPHGFLFIFLFSSIISTNIIHACNQTESSSLLSFILSLSSPPLNWTSLDCCRWEGISCNHDGWVTDVDLPSKGLKGGNFPLSLRNLTHLRYLNLSHNSLYGSLDQSAFFLSLNNLEILDLSYNLLSGELPDSLPSRNMIRMVDLSSNHFYGVIPSSFFQEARNLTSFSVSNNTFSGSIPSTICLHSSPLIKLLTFSLNKFSGNISPGLGQCLQLQVFHAGYNNLSGLLPEDIYNATTLEQIALPANSLYGAISDRIVNLTILTILDLNSNGFSGLLPANIGKLSNLKLMLLYANKLEGLLPSSLMNCTKLTELSLRFNNFEGDISAINFSRFSQLSKLDLMNNNFTGMLPTSIYSCKSLKAIRLSINHLKGQIQPEILSLKYLSFLSLGFNSLTNVTGAMKILMRCESLVFLSLTSSFVGEDMPSDEGIVEFDGFQKLRLLDLSHCEFSGQIPVWLSKLKKLEFLNLFHNRITGSIPSWLGTLPSLLYLDLSFNQISGEFPKELCRLPMLASEQTAAQLDRGYLELPMFASIPLILAKDTNYNFLSYAHALQYNYLSHLAPSIFLYNNSISGSIPTEIGRLQLLHVLYLGVNNFSGSIPDQISNLKNLEILDVSVNHLSGKLPASLASLNFLKSFNVSYNNLEGPIPRSTQLQSFNASVFEGNPKLCGAPLPNECRSINGVGGDNNNHQDEDQNGHQIPWFYISVLLGFIIGFWGVFGPLMVKKTWRYACFQALGKVQDRLITVWMTRMQRRLRS >ONI02215 pep chromosome:Prunus_persica_NCBIv2:G6:19253273:19255066:1 gene:PRUPE_6G184300 transcript:ONI02215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKNKGKVHPSPSSPSSSMKYVYTLHGLYKFDNLSPLPAAILTLASVLSLEDREVLAYLITRSMKTTPNISSISAQDPKKKSSKKGPKSTSTSTHQPQMFDCDCFDCYRSYWFKWDSSPNREPIHQAIEASEDHLANGEKTKKNSDRDFIFLEENAQVSHELAVESSASALLPENDVVLVGSNEKADGVEENDGKVEDLGEETHAELVLAYVALHNFLHKECCSDEFPIEPTNESSSSSSAVLPFNEDDTFEPIVQTQEQQ >ONI03940 pep chromosome:Prunus_persica_NCBIv2:G6:26956294:26958685:1 gene:PRUPE_6G293100 transcript:ONI03940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRHLLGTEPNTAPSFGNRTADSYISEANFDSNMVIILAALLCALICALGLNSIVRCALRCSYRFALETPEQAAARLAATGLKKSHLRQIPIAVYGSGVEIPATECPICLGEFEDGEKVRVLPECNHGFHVRCIDTWLLSHSSCPNCRHSLLELHHPKKSTRTNSPSTADASQNQEAAAVAAAEPSNSTNEPNQQGRVVIVIEQAS >ONI02434 pep chromosome:Prunus_persica_NCBIv2:G6:20522104:20523007:-1 gene:PRUPE_6G198500 transcript:ONI02434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIPSYYPKQISFPKGQGVFPVNTIYSKSFFKPKSKAETKVIAAKKHSDSERRRRMRINGQYATLRTVLPNLIKMDKASVLAETVRQVRELKRAVAEVEAACRHGDSDECVLPGGVDKLSLEQCDGEQEGLVKATLSCGDRPGLISDMTRALSSVKGRLVRAEMVIVGGRSKNVLWIQGLGDGKEGMVALRRALKVVIDRPIFAGLRKRFHLPQ >ONI02697 pep chromosome:Prunus_persica_NCBIv2:G6:22350691:22352895:1 gene:PRUPE_6G216000 transcript:ONI02697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHFYKETTKTIAEVAGEQILKLNQSLAKLTRSHCYSEALQLFTQILSSQSVRPDHYTLSAAVTACANSRDVVFGTQLHAHAIRTGLKAYPHVANTLLSLYAKAEDLNSVKWVFDEIENPDVYSWTTLLSACTKLGHVDYAYKLFDTMPEKRNVTIWNAMITGCAENGCEEVAIGLFGEMHRMGVMHDNYSFASVLSSCALEGLGFGRQVHTLVIKTGFLGRCSVVNALLTMYFNCRSVLEAFEVFEEAEDAVYDQITFNVMIDGFVNVGRDEEALKMFKLMQEVCLRPTELTFVSVMSSCSAARVANHIHAQAIKLGFEAFTSVSNAAITMYSGCGDLHAAYLVFQVLEEKDLISWNTMISTYSQGNSSKLAILIYLQMQRAAVKPDEFTFGSLLASSEFTETVQAVQALAQKDGLILNIQVSNALVSAYAKQGNMNLAYQVFEDINHKNLISWNAIISGFLFNGLVKEGLVQFSKLLMSEHRPDVCTLTSILSICASISALRDGKQVHGYILKFGFSPQMCLHNALITMYAKCGVIDWSVRVFNAMPQKDTVSWNSLISAYAQHGQGNEAVRCFEAMQDSAAVKPDQATFTAVLSACSHAGLVVDGTRIFNSMINDYGFMPQVDHFSCIVDLLGRAGYLDEAETVINSKHIKTHPNIWWTLISSCAAHGNLRLGRTVAGFLLETEQNNPTVYVLLASIYAAAGQWEEAANVRELMNRTGVAKTRGCSWIES >ONI03219 pep chromosome:Prunus_persica_NCBIv2:G6:24236224:24240454:-1 gene:PRUPE_6G245000 transcript:ONI03219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSCWKPSAVDDGPRRCGGEASGRVDGLLWYKDLGQHAWGEFSMAVIQANSVLEDQSQIESGPLSSTKSGPVGTFVGVYDGHGGPEASTYVNENLFCNLQRIAAEHRAISEHVIKKAYLATEENFLSMVKKKWLNKPQIASAGTCCLVGILCNGLLYTANVGDSRVVLGKIEKATKEVIAIQLSTEHNASIESVRDEVKSMHPYDPNIVVLRHRVWRVKGLIQVTRSLGDAYLKNAEFNREPLPLKFRLPEPFLKPILIPEPSISVLKLCPEDQFLIFASDGLWEHLSNQEAVDIVNSYPRKGIARKLVEAALQEAAKKREVRYSDLRKIERGVRRHFHDDISVVVVFLSTRLKGGAIPRKPQFSLKPDI >ONI04193 pep chromosome:Prunus_persica_NCBIv2:G6:27719472:27721830:-1 gene:PRUPE_6G307800 transcript:ONI04193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYFTTLSTTPTSVRNGVYKIRHLHLLLSVRVPIHQALRILKGPYDIIRTGHHVNGICTKYRITRDIYHNRKKRLMNIPIKELEELVGCEIYMRPKNDLVVAIGGSDRELKLVRQIVSACIVRTVPHSVKGLRDYLKMFLGIKKLSL >ONI00900 pep chromosome:Prunus_persica_NCBIv2:G6:7905246:7905701:1 gene:PRUPE_6G110200 transcript:ONI00900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVNDTVRETNNTIISIESGCVGEKRPRSKTIRIHRLLLLPLLSPFELGRVLPRTRKLVERTTRLHHGHLRLRPSALQVCIQNIERDPKELLTPECTGRKYVLFLNSWFPQSKQDYPQITDATRTGKRVWRKPHVTVQEAGFYKHRLRAG >ONI03708 pep chromosome:Prunus_persica_NCBIv2:G6:26007593:26007835:-1 gene:PRUPE_6G276400 transcript:ONI03708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVIISHDLVQECHICLEELKVGDEATKVPCKCKRIYFHRLCLQKWCLVQPSCPVCYVPCYVMINYTKKTNFFQKLWCF >ONI00395 pep chromosome:Prunus_persica_NCBIv2:G6:5848256:5850203:1 gene:PRUPE_6G086600 transcript:ONI00395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRNKTKAELLGQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREVYKNKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKEMYFPLRKFAIKA >ONH99611 pep chromosome:Prunus_persica_NCBIv2:G6:2927689:2929069:-1 gene:PRUPE_6G039000 transcript:ONH99611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCIHLVSWEKENVSSEALEAARIACNKYMAKFAGKDTFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPLGTCARVDIGQVLLSVRCKDSNKNHAHEALRRAKFKFPGRQKIIESRKWGFTKFSRADYVRLKAEHRIVPDGVNAKLLGCHGSLALRRPGGAFIDAAVN >ONI02471 pep chromosome:Prunus_persica_NCBIv2:G6:20804796:20807344:1 gene:PRUPE_6G200500 transcript:ONI02471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWLDDLADDLQSLSFTSTTTVLVTGKPEGCSRPSSPSFHHTLSPTPRTLSGPPSTKPSSLRLRLRHRHHRRRRRRHQPWSCPTPASAWTRRGKKKLPLWLPLVKNSGFYFQDISGFVVSPRFVFVVGNIIVIILLVKSGKFSGKDSSTGADLYDEFVHNSEKNHEMVMTHFPIPRLGRVGGHELDLIP >ONI02768 pep chromosome:Prunus_persica_NCBIv2:G6:22631318:22632198:-1 gene:PRUPE_6G220700 transcript:ONI02768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRCCPNFFSTSIRILLPQNPQLLQTGMINFFLFCWDVLNMLVNINSRYVNHAEAVADAIQRLWPIFKAIFDLRAWDNEVSVQMQVGCEFTH >ONI02296 pep chromosome:Prunus_persica_NCBIv2:G6:19708844:19709104:1 gene:PRUPE_6G188900 transcript:ONI02296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVESLAMAGVDYNMCYTNLEEMDGRDTDNAPQYLLAEEKPKDESEMNEKKELMVEKQPQVKENLKAWATVPHFHAVVIQDCREAI >ONI04841 pep chromosome:Prunus_persica_NCBIv2:G6:29531300:29535130:-1 gene:PRUPE_6G342800 transcript:ONI04841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGGHDYGAYTYENLEREPYWPSEKLRISITGAGGFIASHIARRLKNEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTKNVDHVFNLAADMGGMGFIQSNHSVIFYNNTMISFNMVEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKTLTSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDKKLPIQHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQIEKEKAQGTDLSNYGSSKVVGTQAPVQLGSLRAADGKE >ONI04865 pep chromosome:Prunus_persica_NCBIv2:G6:29612410:29614654:-1 gene:PRUPE_6G344700 transcript:ONI04865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKPPVGYGTITATNPAAAQTSKDLTFTSRANPQTSQPVHPARISQSVYPTRRPWPELFSLTSFSVPYNYADAMARIKHNIGYFRVNYAMAVLLIVFLSLLWHPVSMIVFLIVLVAWLALYFFRTGPVVLFNQSFDDRLVVVVLSLVTVVALVFTHVGLNVLVSLIVGVVVVGLHAAFRVTEDLFLDEETAVENGLVSVVANQPLRPTSYTRI >ONI02206 pep chromosome:Prunus_persica_NCBIv2:G6:19052856:19055097:1 gene:PRUPE_6G183400 transcript:ONI02206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGNPNRCYFTSDGNSYGFYNSSYGGENSNDQIYATGLCRGDIATDAYRRCLNDPTFELRRLCPNQKEALGFYDNCMLRYSNESIYGILQTRPLLYFMSMNNISTSKGPINSYSRWFSTQVCSLNHNISNFRTIYGLAQCSPDLSEQVCNECLVGAFGDILQCCYGREGGRVLNPSCTVRYESARFYDPTTVAPLPSPPIAMSSPPPSTNT >ONI03134 pep chromosome:Prunus_persica_NCBIv2:G6:23982256:23983188:-1 gene:PRUPE_6G241000 transcript:ONI03134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERCLIAASLAALVCHPSSTIKCFKPKPRPRSPQKQKPEDPSASPPKGSKRHGVVIGKEVPSKPKEKPCPKAASSSSSASKSRKSTKTKAEKPINLVSELVGGDPSIGVVESIIRSGWVSEVGLRIEKVLRVHHSLDVLHGFEEYRKTVKSKYEKDRIRKRMERLVVDGNELLQFHGAAITCSLGINGFSTICKKKCCQVCRIIASGFDTGDGTISFVHDSGKAHEKAAKECSGKGECARKAIVVCRVIAGRIAHYHAHGLMDEEEGEFDSAMEWGEQFSKPKRLVVLNSEAVLPCFVIIYNVKNKNLP >ONI01677 pep chromosome:Prunus_persica_NCBIv2:G6:13280011:13280911:1 gene:PRUPE_6G152800 transcript:ONI01677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLETDKLSLLTATTLPDSNSTRPFRRPPARIHPNSSFLWNRGAGFWVCERGRGRSSRGWL >ONH99133 pep chromosome:Prunus_persica_NCBIv2:G6:937302:940479:1 gene:PRUPE_6G013300 transcript:ONH99133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSPTQTTPQSTKHQPPTITKAEPKPLLSSSIFFNSRRAPALAYGFSFVCIACTLFLVFNPSGYSFPFGFKNIFHASFFYSSSAQTPNTPFELSRENPFSWKSESLPHLDESGSLKSDRQMGNAQPPNPIFFMSQDSNQTSKNTTIQVSGELEGSNRTNESSSSSNEIEAFKVNATEAKPVAVSSQSFSKDPNGKDGLAKNGVLGQAEAGRSSYVALTSKESDGGNEALPDSEKQSKQTGPGLDFWTNDCDIFDGRWVRDDFYPLYAPGSCPHIDESFNCFLNKRPDNGYEKYRWQPKHCNLPRLNGRNMLGLLRGKRLVFVGDSLNRNMWESLVCLLRNSVDDKSRVVEASGRSEFRTEGSHSFIFKDYNCSVEFFRSPFLVREWEMPDANGSLKETLRLDLIERSSDKYKSADVLIFNTGHWWTHEKTSRGKGYYQEGSHIYGELNVKEAFRKALMTWARWVNININLEKTIVFFRGYSPNHFRGGRWNSGGQCHGETEPTAKEANKGKYMSKMRILDSVIIEMKMPILYLNITRMTDFRRDAHPSIYRKQNFTEEERKSPLRYQDCSHWCLPGVPDTWNELLYAQLIRHNQKQQKQQHQEQQQGRP >ONI02944 pep chromosome:Prunus_persica_NCBIv2:G6:23338285:23339889:-1 gene:PRUPE_6G230300 transcript:ONI02944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVFNKELLSWYLITVKLRETVESGIATTPTSTNRSIELPEQAHQQVQKQEQQPSPLQIVIQNGGDKKYEDGPKSPDSEWVINIKDKLEQAGQDDAAGTWAKLCIYRVPHYLREGDDKAIVPQIVSLGPYHHGRRRLRQMDRHKWRSLHHMLKRNNQNIQLYLDSIKEVEEKARACYEGPVAMGISSNEFVEMMVLDGCFVLELFRGAAEGFKQLGYPRNDPIFAMRGSMHSIQRDMIMLENQLPLFILDRLLGLQFGDPEQKGLVAKLALRFFDPLMPTDEPLTKSDRSKLESSLGYANTFDPLSDQGGLHCLDVFRRSLLRRGLQPTPRVWIKKWSHANRVADKRRQQLIHCVTELREAGIKFKKRKTDRFWDVKFENGILRIPRLLIHDGTKSLFLNLIAFEQCHLDCSNDITSYVIFMDNLINSPQDVGYLHYCGIIEHWLGSDAEVADLFNRLCQEVVFDINDSYLSRLSEDVNRYYNHRWNAWRASLKHNYFNNPWATLSFVAAVVLLFLTFAQTFYGVYGYYRPPN >ONI04184 pep chromosome:Prunus_persica_NCBIv2:G6:27691909:27694365:1 gene:PRUPE_6G307200 transcript:ONI04184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQTLITTPPKFQQISSLEFKFHHPNATNARNSKACVTNITVSDSTIKNSDNGVRIKTWQGGSGSVSEVTFKDIHMDIVLNPIIIDQFYCLSKKCPNQTSALMINSVRFSNIKGTYDSRKPPMHLACSDSMPCKNLTLLGTDLVPAQGGAYLDPFCWKAFGPKDTFSTRQVPCLMKGYPKMLQHDFDRSCF >ONI04047 pep chromosome:Prunus_persica_NCBIv2:G6:27231474:27233722:-1 gene:PRUPE_6G298800 transcript:ONI04047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMSCSDLRDLSHGGSFSFSCSESDLNFSSLSHFTDEDEDGDDADGTSDDGSYIEIVLDHHDHPKPSCDRDDDDRVGGLDHVDYLRLSFSSSLLPELSTHTHQNISDPPNDQPVNETTSSSASCTLSSSSTESSCGARKEGSDQDSSMLQRSTPTKRGRLPAVNTLLLGLRSSSEFSIHADNADVARSRKTTNMRSSINGGIMKLLFKFRAMNLGALVASIVKPRQVACDPGRSKKKTKSKKSVLKPLDKWLAQKKQGKRKDSEEGEGEEKYSRVLEINLGAVRGVLEAIGNSMSTGIGRKDRRTRSCPSSIKSSPIHKGFASDERNKVYVYARETSIQAAIAHCKTSFEQPPTSA >ONI01817 pep chromosome:Prunus_persica_NCBIv2:G6:14953119:14960948:1 gene:PRUPE_6G161000 transcript:ONI01817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYDYLEKTVQENDERDSKKKTKKDGTEKSYRKREVDDDVSVGAEDEDRKSSKRSKGEYENGRDKDRHHRSGREREREGERDKDRDRDRERERDRDRSSRDKDKDKEKERERERRERDKERERERRDRREREERERREKEEKERSRRSVSRSEREEKDRPRRSSDREEKERPRRSSDREEKERAHRSSDREEKERPRKSIDREEKERSRRSRSRSDRDREREIREREREIELRESRRFKDKKEAVEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGGAVGVAGPYGAVDRKLYVGNLHFNMTETHLREIFEPFGPVELVQLPLDLETGQCKGFGFVQFAHLEHAKAAQSLNGKLEIAGRTIKVSSVTDHVGSQETGAKSADFDDDDGGGLSLNAQSRALLMQKLDRTGIATSIAGSLGVPVLNGSAPNQRAGTLPINGQAAVSAPILPATVMPFAVTEPIGNPSECLLLKNMFDPATEREPDFDIDIKDDVEEECSKCGRVKHIYVDKNTAGFVYLRFETVEAAAAAQQSMHLRWFAGRQISALFMQPQVYEARFGA >ONI00332 pep chromosome:Prunus_persica_NCBIv2:G6:5579828:5580702:1 gene:PRUPE_6G082800 transcript:ONI00332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRNINNNKIPNFEVITIRLLGWGLNRRLKLLFYLTNGSLSSVLQGAGKGGADWEATYDVVLGMAQALAHLHHDCVPAILHGDVKAVNVLLGPGYEPYLAGFGFAGIVNGIEHASMQRITEKNDVYIFGVVLLEVLTGRHPIDPTLPGNLARKRYLVDILDQKLRGRADPTMHEMLQALAVAFLCFSTRADDRPMMKDVVAMLTEIQHLETARGEPELLKEGGLQ >ONI00937 pep chromosome:Prunus_persica_NCBIv2:G6:8117199:8118769:1 gene:PRUPE_6G112600 transcript:ONI00937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGCFDDYNLFCPASTTPGVVFGFNDAVASDPSASFLGTLSDPSAPLLTTLPNFDGEMKGGEEDHNGEDSSGTTTTNKRQKVDRSRTLVSERRRRGRMKERLYALRSLVPNITKMDKASIVGDAVLYVQDLQKQAKKLKAEIESLEASLPGADDHEGYQDGSTENPSKNKVTNNINLVSKGIIQIDVSQVEEKGFYVKVACNKGGGVAAALYKALESFTSFNVQSSNLNTVSTDRFELTLALNVKECEQDIINLPNLKLWVTGAFLNQGFELASGFSAQ >ONI04971 pep chromosome:Prunus_persica_NCBIv2:G6:29857353:29860416:1 gene:PRUPE_6G350000 transcript:ONI04971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPSSSTAPASTSSQFTYANASSSSSYFPMPFHLQQPQYPTPYAAAAPPAPPVYPAPAPAPAPTSGVYSLPQQYQQAQQLFQRDAQTITPEALESVKAALANSEIEHKAEAKKKAIPRKAAGQSWEDPTLAEWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGFGFVSFANPSDLAGALKEMNGKYVGNRPIKLRKSNWRERIDYDALDRQKNYSQKKPKLSKKSILHK >ONI01003 pep chromosome:Prunus_persica_NCBIv2:G6:8453041:8456415:1 gene:PRUPE_6G116000 transcript:ONI01003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADARDRDELSDYSSEDEGTEDYKKGGYHAVSVGDSFKNGCYVVQSKLGWGHFSTVWLAWDTRNSRYVALKIQKSARYYTEAAMDEIKILKQIAEGDPGDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYNDYRGAPVHMVKEICFHMLVGLDYLHRQLSMIHTDLKPENVLLLSMIDPSKDPRKSGAPLILPTGKDKTASVSGAAKDIKKLNGDLTKNQKKKIRKKAKKAAHGCAGMETLEDNEADSTIVGSEDSINEVKSNGDSLEERVKDSVVGNESMKGEETEETQQGNTEQEKASRAARQKLFAAVDLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGAKYSTPADLWSFACVCFELATGDVLFDPHSGDNYDRDEDHLALMMELLGTIPRKTALGGRYSRDFFNRYGDLKHIRRLRFWPLNKVLMEKYSFSEQDANDMTEFLVPILDFVPEKRPTAAQCLTHPWISAGPRLLEPSVAGMEPHASNGSISEKREKDEREAIEVGMGNMAINESSKPVIESKRALNSSQDSQQA >ONI03805 pep chromosome:Prunus_persica_NCBIv2:G6:26375114:26376441:-1 gene:PRUPE_6G283300 transcript:ONI03805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRKLLTFFFFLLLLLIIPSYCNASEKVSVALYYETLCPYCADFIVNHLVKLFQNGLISIINLRLVPWGNAWLNSDGSFSCQHGSDECLLNTIDACTISIYPDVNRHFAFIHCVERLSLQGRHSAWANCFEMSRLGTTPIDCYNSGNGNVIEGKYGRETAQLKPPLRFVPWVLVNNQPLQEDYENFMAYICKAYKGQPPEACRSVRFTIESTGKEKPVPQVCRASEGRNSSHYQKHQHRKV >ONI03803 pep chromosome:Prunus_persica_NCBIv2:G6:26374883:26376588:-1 gene:PRUPE_6G283300 transcript:ONI03803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRKLLTFFFFLLLLLIIPSYCNASEKVSVALYYETLCPYCADFIVNHLVKLFQNGLISIINLRLVPWGNAWLNSDGSFSCQHGSDECLLNTIDACTISIYPDVNRHFAFIHCVERLSLQGRHSAWANCFEMSRLGTTPIDCYNSGNGNVDYENFMAYICKAYKGQPPEACRSVRFTIESTGKEKPVPQVCRASEGRNSSHYQKHQHRKV >ONI03804 pep chromosome:Prunus_persica_NCBIv2:G6:26374883:26376588:-1 gene:PRUPE_6G283300 transcript:ONI03804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRKLLTFFFFLLLLLIIPSYCNASEKVSVALYYETLCPYCADFIVNHLVKLFQNGLISIINLRLVPWGNAWLNSDGSFSCQHGSDECLLNTIDACTISIYPDVNRHFAFIHCVERLSLQGRHSAWANCFEMSRLGTTPIDCYNSGNGNVIEGKYGRETAQLKPPLRFVPWVLVNNQPLQEAYKGQPPEACRSVRFTIESTGKEKPVPQVCRASEGRNSSHYQKHQHRKV >ONI04588 pep chromosome:Prunus_persica_NCBIv2:G6:28775323:28777874:-1 gene:PRUPE_6G328800 transcript:ONI04588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGKKQKKPFDFQYRGSKSRKSKQGRVKLLSWACECSQNLITTSYRSLLPLQKVNPQIYSAESKALTLIP >ONI02097 pep chromosome:Prunus_persica_NCBIv2:G6:18123731:18124470:-1 gene:PRUPE_6G176800 transcript:ONI02097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTSLPGHDGSRWHQPVILPLQRAGGRRCSVNPASRGALLFRSHVAQSFRSDHMEQLFAWNGQASKEPYQTQPVNSGPKFHHLNAPT >ONI01970 pep chromosome:Prunus_persica_NCBIv2:G6:17505288:17505742:-1 gene:PRUPE_6G169800 transcript:ONI01970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLFAYTIVRKIEGKKNPRRSLASKKEEKIKKAKKKFWFNWDYLAGHSGSRWHRPVILPLPRAGSNHCSVKPALRSALHSRNHEAQSLRPSHMAQLFAWNGHARIKQH >ONI03875 pep chromosome:Prunus_persica_NCBIv2:G6:26612591:26613356:-1 gene:PRUPE_6G287900 transcript:ONI03875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAIRIVRKGMLSFTVGNLVVTRIDVNIIFKVEHKHSHSIGRRLLIDPAGNPILTLPRSNNTTENARDFKIKGSWLQRSCVIYAGKSSTIIAQMHKKQTANLFANDTFIVTISPGIDYAFIVALIVILDAINLDNGD >ONH99047 pep chromosome:Prunus_persica_NCBIv2:G6:613704:615825:1 gene:PRUPE_6G007200 transcript:ONH99047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELVLLMILFLLLVIPLLFFPTLTSKIFQTHLHLPPSPLALPIISHYHLLGPLIHRTFHNLSLRFGPLFSLRLGSLQCVVVSSAALAKEFLSTHELSFISHAQSLAIESITYNASLAFAPYGPYWKFIKKLTVNELLGNRSINNLVSIRTQEYLRLLRFLAKKAESGEAVNLTEEFPKLWNNVTLEMIVGNRGLSAKGRAVLAKEAAVVVRQATRLFGEVSLCDFFWVCKKLDLGGFVKRIEETHRRFDVLVEKVIREREELRKKERMEEEEEVKDFLDTLLDMLEDGSAEVEFTRLHIKALITDLFTAGTDTNAISLEWALAELINHPRVLKKAREEIDRAVGNRRVAGESDVPNLPYIQAIIKETLRLHPPVPLVTRNSVQPCKIGGYDIPTNTMLHVNVWAIGRDPKNWESPLDFWPERFLQLGEDDGQMTAVDVDVRGQHFQLMPFGSGRRVCPGMTLAMKMLPGVLAALIQCFNWKVDGSDCKKMNGDDVLEMDERPGLTAPRAHDLVCVPVARFSTLNILDP >ONI01669 pep chromosome:Prunus_persica_NCBIv2:G6:13201038:13201533:1 gene:PRUPE_6G152100 transcript:ONI01669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNKRKQNTHMVSILLSTSTNLWPKVIYSIYSTVDHRKVSLKINEFHCHIFINALEYTCLGMLFQKCLCKYCLC >ONI00603 pep chromosome:Prunus_persica_NCBIv2:G6:6783946:6787803:-1 gene:PRUPE_6G097500 transcript:ONI00603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRDKASPPPKPAKFSVYQNPSLSAALTANSLRPSKHALLCIFFLSSASAVAFMAMFSRENGIIDNLKLKNLSQEAAYLFSKAIQTFMGLIFLGTLFALFRAISLRNAAPSKGNSDKPCLTNRQLGLLGIKPKVEQVVSESSKKPPKSKPHMSSPSDVLVPLHQPITSSNRLSRISANKSNISGGTKMGSISSPSKSPGSTSSLYLVSGGVSPLSSVQNSPGVDSAVSTPWSSKRASTREIMSEEKFERFLAEVDEKITESAGKLATPPPTIRGFGAASPSSANTSGTTRSTPLRPVRMSPGSQKFTTPPKKGEGELPPPMSMEESIHAFERLGIYPQIEQWRDSLRQWFSSVLLNPLLDKIETSHIQVIQAAAKLGMSISISQVGSDLPTARTATVSSNDRTKEWQPTLTLDEDGLMHQLRATLVQAIDASASKLPQANLQQTPQQNPLVPIMQECVDAITEHQRLHALMKGELVKGLLPQSSIRAEYTVQRIRELAEGTCLKNYEYLGSGEVYDKKHNKWTLELPTDSHLLLYLFCAFLEHPKWMLHVDPASYAEARSSKNPLFLGVLPPKERFPEKYIAVVSGVPSALHPGASVLVVGRQSPPVFALYWDKKLQFSLQGMTALWDSILLLCHRIKVEYGGIVRGMHLSSSALSILPVLESEAED >ONI03552 pep chromosome:Prunus_persica_NCBIv2:G6:25357968:25361004:1 gene:PRUPE_6G264400 transcript:ONI03552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKSVMAVIRAARPSFRNNNDKVVFAVHASFLASGYVLTAAGPPAFSDSALSSPSTDEVGMDQWNELDDEYAFVYVNPEKGSKKVLVKCLVMNDKLLVDALADGSSEPVHLEINVGDHVGENGGSNYSTQFKNLEKLVKSLETQVLTKLDGSSSVSSSSNPSSSERNDRSTSEPVYGPSGSQPNPSGMVFPPINPTGGFSDLLPGPGAGMYPTRGGFSSGGMLLGPNDPRWFGGVGEPGFPGGEPGFPRFDPHRPAGVPPGARFDPYGPPGVPGFEPNRFARNPRRPGSGTHPDLEQFGGGSDFI >ONH99029 pep chromosome:Prunus_persica_NCBIv2:G6:534531:539732:1 gene:PRUPE_6G005900 transcript:ONH99029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSISEVGVEDLVEAGLAIDEAKHLEAVLKQHAISSDDPREVWRQLVSERVLKPSHPHELHQLIYYSVYANWDVSTQGLPLYWFPSLYQSRHTNLGRLMEAHGSELLGTSYKDPITSFSLFQKFSVQNPEAYWSILLKELSVSFREAPKCILDRTDKSKPSGAWFPYSTLNIAECCLLPTRHPRKEDDSSAVIWRDEGCDDSAVNHMTLKELREQVMLVANALDTTFSKGDAIAIDMPMTVNAVIIYLAIVLAGFVVVSIADSFAVKEIATRLRVSKAKGVFTQDFILRGGRKFPLYSRVAEAASCKAIVLPAIGSNVGIQLREQDLPWSDFLSSVSQNPRKNYYSPVYQPIDSTTNILFSSGTTGDPKAIPWTHLSPIRCAADSWAHIDIQVGDVFCWPTNLGWVMGPVLLYSCFLTGATLALYHGSPLGRGFGKFVQDAGVTILGTVPSLVKAWKNTQCMKGLDWTKIKLFASTGEASNVDDDLWLTSRAYYKPITECCGGTELSSSYIMGSPLQPQAFGAFSTRSMTTGFVILDEHGIPYPEDQACVGEVGLFPLYMGATDRLLNADHEEVYFKGMPMYNGMHLRRHGDILKRTVGGHFIVQGRSDDTMNLGGIKTSSIEIERVCDRADESVLETAAVSVAPVNGGPEQLVIYVVLKKEFDSKADQLKAKFSKAIQSNLNPLFKVNHVKIVAEFPRTASNKLLRRVLRDQMKQELSVRSRM >ONH99761 pep chromosome:Prunus_persica_NCBIv2:G6:3488483:3492054:1 gene:PRUPE_6G048800 transcript:ONH99761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLNSLPLSPPSQPPKLSEAPSPSSSSLNINSYYGSKGEASKPIVVSGNPPTFVSAPGRRIVAVGDLHGDLDQTRCALEMAGVLSPDGQDLWTGGETVLIQLGDILDRGEDEIAILSLLRSLDIQAKDEGGAVFQVNGNHETMNVEGDFRYVETGGFDECIDFLEYLDDNRDDWEEAFVGWTGVSKQLKEDRKMPQNYWDPWNLVRRQKGVIARSILLRPGGPLACELARHAVVLKVNDWVFCHGGLIPNHVAYGVERMNREVSHWMRGLSDSDESDNPHFPFIATRGYDSVVWNRLYSRDTSELDDYQNNQIHSILEETLHAVGAKAMVVGHTPQTTGANCKYNCSIWRIDVGMSSGVLNSRPEVLEIKDNKARVIRSKKDTSSESQVVDFI >ONI02655 pep chromosome:Prunus_persica_NCBIv2:G6:22113473:22113970:1 gene:PRUPE_6G213000 transcript:ONI02655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKTQMQLIETESARHVAFSKRRSGLFKKASELCTMCAVEVAIIIFSIGGKAFSFGHPNVHFVFNRLRCSENPDASTSSNEAAGQDPILHDLNKTHSDLIENVGLVKKLGKKMRRAIMEKPKPDWFYAPRESLSMEVLYEMKEALEAVQENVRRDKERLLAQA >ONI03923 pep chromosome:Prunus_persica_NCBIv2:G6:26885774:26890944:-1 gene:PRUPE_6G291600 transcript:ONI03923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLREDWSSELNGAVVEERPSSASSLSSSTSLLFSSNPASAAAAAGISAEYWKKAEEATQGVIAQVQPTDVSERRRKAVIDYVQRLIRGCLGCEVFPFGSVPLKTYLPDGDIDLTAFGGINVEEALANDVCSVLEREVQNGTAEFMVKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGLCTLCFLEQVDRLIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHASLNGPLAVLYKFLDYFSKFDWDNYCISLSGPVRISSLPELLVETPENGGNDLLLSNDFLKECVQMFSVPSRGYETNYRTFPPKHFNIVDPLKDNNNLGRSVSKGNFYRIRSAFTYGARKLGRILSQTEDNIDDEIRKFFANTLDRHGGGQRPDVQDLVPLSRYDGYGSVSLFAGTESQDQINYESESAYSSGMIGECGLNSEGSWNGEVTNVQIPSQCVNGPHESGMKVASRTMFSEDDSSSNGIAVSEYRLMGDAKDLATSRFQGLTISTDAQNPSPSNGEVSISPLGKAHHAPHLYFSHSSTGNGDISNGNQDQQLPESFGSADNWVGNQDENQFGCNQEVLSPVGSKHHLSRLSSIVGSSEDFHPSYSGYPKSSSTAGSPKPSNSLTDLSGDHDSHLCSLNYGRWCYEYELNAAIPPMVAPPVHSQFQSKKPWDVIRQSVQRRPNAFSQMNANGIVPRPAFYPMNPPMLPNGAGFGVEEMPKPRGTGTYFPNTNHFRDKPMITRGRNQALVRSPRNNSHSMTPNPENHMSERNSRDLSQVQMSHHKGGGKSVSSDSPSGSPRKKVHPHTNGSIHPSEQVVEFGSTGHAPSEAPGSGRHTNAGSSVSQNSSGSHGSPGMQWTKAELGADENRIAAQSYRLKDEDDFPPLSI >ONI03757 pep chromosome:Prunus_persica_NCBIv2:G6:26213716:26214593:-1 gene:PRUPE_6G280300 transcript:ONI03757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKMDPQKRRELDEKARKGEVVIPGGTGGKSLEAQEHLAEGRSRGGQTRKNEIGHEGYHEMGKKGGLSTTDKSGGERAAEEGIPLDESKYKTNGRSNE >ONI01956 pep chromosome:Prunus_persica_NCBIv2:G6:17402220:17404309:1 gene:PRUPE_6G168700 transcript:ONI01956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAVFLVCLLSIVMSVPTSLAQGLGVNWGSMGSHSLPPKNVVQMLKENGIKKVKLFDAEPDTMDALAGSGIQVMVGIPNEKLAKLADSYKEAQEWVKQNVTSYLRDGGVNIRYVAVGNEPYLTSYNGTYVKTTYPALKNIQKALDEAGSGGKIKATVPFNADVYESPSNKPSDGRFRKDIKNNIVEILRILNDNRTPFVVNIYPFLSLYQSSDFPKEFAFFEGGSEPVKDNNIDYKNVFDANCDTLISALKKVGFGNLDIIIGEVGWPTDGDKNANGKMAKKFYDGLLKKLATEDGTPLRKKKLEVYLFGLFDENQKSIAPGDFERHWGIFRYDGKPKFPMDLSGKGQGNNLLKGVQGVQYLDQQWCMLKSDVRNLSNTQPEVSYACSMSDCTSLGVGRSCDLDQHGNVSYAFNMYFQNKDQDVRACDFNGMANIVKQNASRGDCLFPIQILNAGVRPELSIFAGLFLILLSFFTLM >ONI02922 pep chromosome:Prunus_persica_NCBIv2:G6:23288547:23289407:1 gene:PRUPE_6G229400 transcript:ONI02922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAQAIKEVKDKNAQSPKETKVNTVDVRSSAGQQGHEQSNVQVVHQPHPSAKTNTSGGVLTGAAAAVATALQSAKDAISKHE >ONI05208 pep chromosome:Prunus_persica_NCBIv2:G6:30501423:30504480:1 gene:PRUPE_6G362300 transcript:ONI05208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASVSIIPSSSSTSSCCCTTTLLRRRRRIFKTTSPANKSIFTSNSNSHFTTASSSPHYHRPFLLRVTNDSSGSSESERREAVDRIVDGIEFGELCNEFECISSPSIESTARQLVRDILELREGNRALGTFAVSVQYKDPVRSFSGRDKYKRPLWITTALHKPSVSVQEMSMLSTSVLSIKWTVKGKPKNIVGAIASDLIIRINSQFTLNQISGQVIEHEEFWDLSASSAIGKAYFWTSRRLFATIEGGKDLADLVKTISNQFPREKQNMEIYPDPSGDPTKFFQRDDGFQRDVYQIALFLALVYFVVQLLRTTL >ONI03285 pep chromosome:Prunus_persica_NCBIv2:G6:24454345:24458073:-1 gene:PRUPE_6G248900 transcript:ONI03285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYIWSDQESVDELKHKLLCTNFELESAKMMAKEEVSKNEEIIKQLFELLKAACQERDEARNQLQKLMNELLPSIPADQTTHILSSQPNNENPIPQKTTNPSMLKESEALLPQTYNFHVYGSYPTNCNLGSLSFQGLPNANFADSSNLALPKRPVAQECGSKFDCASVLIDNIARLRPLPKKGRLMQAVMDTGPLLQTLLVAPLPKWKNPPTLIYGGDHYGSLIEQRLEAVESICPTQSSSSGSASFLESSPGFSQIPSSSVLNFARGSACLNNGLQLHVGNNTDAMQYQIATAHTSAGALLTETQKAHAHALQLGTSDAFFHARQLFDETPDLSVVSATSIIGSFARQHHHEEAIYLFSRMLLSNIRPNEFTFGTVIHSSTALGDLNIGKQLHACATKIGLHSNVFVGSATLDLYAKLSITQDAKRAFEDTQKPNVVSYTTLIYAYLKKEKLEEALKLFQVMPERNVVSWNAMIGGYSQTGHNEEAVNLFIEMLRNGLVPNHSTFPCAIIAAANIAALGMGRSFHACAVKFLGKLDVFIGNSLISFYAKCGSMEDSLLVFNKIEERNIVSWNAVICGYAQNGKGEEAISFFERMRLSSCKPNSVTLLGLLWACNHAGLVDQGYFYFNQARIEDPSILKPEHYACMVDLLSRSGCFGEAEEFISNLPFEPGIGFWKALLGGCQIHSNMELGEFAARKILALDPEDVSSYVMLSNAHSAAGRWQSVATIRREMKEKGLKRVPGCSWIEIRNRVHVFVTGDKNHHQVSEMLSILKILYRDFKGE >ONI04676 pep chromosome:Prunus_persica_NCBIv2:G6:29011346:29013607:-1 gene:PRUPE_6G333900 transcript:ONI04676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNIEEALRKKGGDFSGTLKMAQKANGIFPDLEKIPELVERCKALKKIPRLLAKCEVLYVMFEVGLSQLNGGHHPWTSSSKMQGRSNTNFKKDDKEPRTFTSCCPSCMGRSEVASQSNDGTENPSVTRFNGNAALSPVSKARTAADGSRGSKSNPEKNDIRHEVGVGKVGFQMSKSSLESGESCKTGNKARADSGHVELNGGYRLRRSSRKRQSICYNENLYHEGDSVCPPKRLRDSHMSSSTKKEHQNAAVTDGVFTRDMPTDSAAAAAVDRYKKEPKQKASASQEERFCSKNCKTGEFELEYRADAASGPNSNIPDPEFNKFGLDEDMPKNIFRANQTWALYAPEDGMPRFYALVKKVYTSGFKLKITWLEQQGVASCLWKGETWALYHNWDIGWSCEPEKHVPNKFEYVEVLQDFKQNVGIEVAYKRILPPNELYRFSNQIPSVKLTGDERDGVPKGMTGIKENEKFQKNDRNIEQVFGLKHVVDEAKQHQNVK >ONI00630 pep chromosome:Prunus_persica_NCBIv2:G6:6914285:6915115:-1 gene:PRUPE_6G099400 transcript:ONI00630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQPLFSLTLLLISLSQCTKILAQAPAAAPAAPAAPVAPAAPAAPSITGPAVPSPPADAPASLGPTNITKILEKAGGFNIFIRLLKSTQVDNQLYSQLNNSNSELTILAPTDNGFSQLKTGSLNSLSDEQKVQLLQFHLIPDFLTVQNFQTLSNPVRTQAGDGFEYPLNITTSGRAVNITTGLVNTSISGTVYSDGQLAIYQVDSVLKPYGVFAPKPQPPSPAPAPAQEKPKKTSSDDSPVSQVKSGAVPTLISKLNGVVSIAVSVVAAAALSFL >ONI03526 pep chromosome:Prunus_persica_NCBIv2:G6:25256228:25256605:-1 gene:PRUPE_6G262700 transcript:ONI03526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLHITYTSRNEDGDVDNHSHSYVCGLCCNDRFEFVFRKAYNGTSVPHGGTGCGRGGGLGGGAHINNDVEANIGIGTDFGGGADYGGGAGFGDGGIGGGAGFGGEGDFGGGGGGGGGGHVGSAC >ONI04790 pep chromosome:Prunus_persica_NCBIv2:G6:29391968:29392960:1 gene:PRUPE_6G339700 transcript:ONI04790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPACFSQPNTLSSSSQVPQNLITCIYQTQLCNSPTYLTLTWSKNLFSHSLTIHASDSFSITISLNPSTFSFFRTKPGSKSISLTHNHCQKIKLYWDFTRADFTHNSAEPESCFYIAISCNAKIEFFLGDLLDEFTRRSGVVVTHKLSQPALLSRREHVFGRRNYISRAQFLGSKHEIGIECSEGTLKVKVDGVISLIVKRLAWKFRGNERIFVGGVEFEFYWDVFNWVNNHNGANGNGHGVFVFQVGDGGVWPEMVGPEKRLMRKSLSTSAASASMPSMTSLSPSPSCSSVLQWAEESSDGGRSSCSSSTRSYGSNGGFSLLLYAWKRD >ONI00287 pep chromosome:Prunus_persica_NCBIv2:G6:5473542:5477309:-1 gene:PRUPE_6G080500 transcript:ONI00287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMMMRTNAAASSYCSSSSSRGMPRLHPNSTVVFVNNYFAFFSRSQASKAKNSRRRRTQIDQLAKDFPKITNVEDALKVFDRMLQLRPLPPLFRFTQVLCLVARLKQYSTVISSYNQMAVSGMVPDVYVLNILINCFCHLNQMGSSFSVLGKLFKLGFEPSVSTVNTLINGFLLENRVVEAAGIFNKMIKAGNCQPNAITFGTLVKGLCMKGNNGAAIQLLRKMEERAFKIDLIVYNTIIDSLCKDTLLVDALNLFSEMISRGIAPDVRTYTALIQGACKLGKWKEATRLLKEMESKNIFPNVHTFTVLVDTLCKEGMVVEAEGVVEMMIQRDMEPNVVTYSSLMNGYCLQGEMDKAKKVFELMLSKGSMVNGFSYAILINGYCNQKKIDEARMLFLDMSRMGLVQDNIICNIIMDGFCKVGRTQDAQKVFFEMQTSGQLPDVITYTVLLDGLCKNRQLSKAMQLFREMEGKKLDIDIKIYSILINGLCIAGKIKSARDLFCGLSSKGLQPDVVTHNVMINGLCLGGLTSEAEELLIEMEEKGCSPNDRTYNTIIRGLINNNETSRAMVLIRQMVEKGFSADVSTMELIVNLLCKDEVDPALLPLIRKSL >ONI02857 pep chromosome:Prunus_persica_NCBIv2:G6:22932809:22934905:1 gene:PRUPE_6G224800 transcript:ONI02857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIAAITNISAAAEDRVLDQDSVSEQLEEEEEEEEDNVSLSDLPVNWKKQDQTQYPNTKSEPTHANIEAQDEEFDFGYSMRGTSFWADTKMCAADEVFFQGQILPLRLSVSSDAGFAGLTRRSPASSVGFRSNSCRSRISPNSSFSSNSSSTTTTTTTTTTTTATRGIASSSKQRSPRRPRNQFYTEPSPKPQIKIPNPRLEKAGSRRHNSSMWDFFRLGLVRTPDIELQDINKALRSNSSANKSSVSRNSSVSSTHSTNFIANYYNATSAGGVLKSEDTKQNKQSKQRKQRFFDSIHGCKCSFETVASDNLIVKSKSSSSGRSSSSHINESRTETEYSAAHAMLKEKVEVELKMMKNNKQKQKQKQKQKQAMSHHRTYEWLKQLSHANSPPPLPVHV >ONI00502 pep chromosome:Prunus_persica_NCBIv2:G6:6347818:6348948:1 gene:PRUPE_6G092100 transcript:ONI00502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLLGFRFDPTDQEIIGFFLYKVVVANNPLTLMPPYNKVIYKCNLFGNKREPSEIWRDYGGDQLDDQDLYFVSELQRNGLRTQRKTGSCGTWSETETYQDVKDEVDEIIGRKRKFRYENGNTSEDHAGWLLDEYSLFEKGCKNRTSRNCYDFDVVICRLRRKCNMNKSGKKRKCSSRDQSNKKMKRDQSTKETKTENSVGPQIMNDESNQLMINDITTCDQDYLIDTNHKSFNIDELFVELDREPPLLHSQQLPQIVESQEKPLPTSCLCVNQFDGALEAAASNLSNFEYNSELARSTWQHNMNADDHQDPNLIFTVDELLAEDAEPLSTYALDDVYNVVSQPLDQNSCWSKSFMDQTYNSYIYSSIEEEIIADN >ONI03904 pep chromosome:Prunus_persica_NCBIv2:G6:26765757:26768906:1 gene:PRUPE_6G289900 transcript:ONI03904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDSGLDVKKERVFRAEIDTSAPFESVREAVSRFGGIGYWKPSQTKPSGPEHDMEEVGTAKLEEQALQLEKDLTLKEKETLDVLIELEQTKKVIDELKKKLQKEASEVNMALETNRGKEYMTPVVNEDDKENHGNEGGRCNSMEGVTPCPSSAPGLILMELKQAKLNLTRTTTDLADIRASVESFNKKLEKERIMLEKTRVRLTSNSLKVLSLEEELKKTKLNLQLAKDAETKGGPDNSLDISKELHRLSSETEQFKRMAEVAKSEVVRATSEIEQTRTKIKTAEIRLVAARKMKEAARAVEAVALAEIKALSYHESSSGDSLQKHDRVTLSFEEYSSLTHKVRDAEELSKNRVTDAMLHLDEANVSKTEILKKVEEATEEVKTTKKALEEALNRVETANKGKLAVEEALRKWRSQHGHKRRSVHNSTKFKNPHPSIHGRDLQLRDVNGLNLVTDGPIPVLRPTLSIGQILSRKLLLPEEVEMGVAGKSPVRQKMSLGQMLQKQNGDQPFSRRSERESNVRNSSAKRKKSGFARFSLLLTKQSRKKKKPTPNLK >ONI04847 pep chromosome:Prunus_persica_NCBIv2:G6:29550946:29553941:1 gene:PRUPE_6G343300 transcript:ONI04847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSFTLSTPRSPLSLPFSSSQSTFLTNNATTSLFPNKNKVNSGALVLGRTRTRTRTERVKKGLTCNALFGLGMPELVVIAGVAALVFGPKKLPEVGKSIGKTVKSFQQAAKEFETELKKEPEALTETPTAVSEEEKQEVKVSSSQENA >ONI00705 pep chromosome:Prunus_persica_NCBIv2:G6:7075398:7076899:1 gene:PRUPE_6G101800 transcript:ONI00705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSHSFTLRIVATKACHLLLGFVHSLMDSARHKLLFFLPFTMLLFGHSMINKLKLEKGSCNSVIYLAFWILRAFIITYVPQKCRDGLISSSFLMCFFLPVCSFCSVRICS >ONI00030 pep chromosome:Prunus_persica_NCBIv2:G6:4385128:4387871:-1 gene:PRUPE_6G063700 transcript:ONI00030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTNSIELKVLVDKGCNQVIFVEPDNDFVDVLFSLLTIPMGTIIRLARKHSDPVAIGCMNNLYASVENFDDQEFWMHTCEDMLLHPRNAADSQCNALKLKLDDAEPRRYFMSPDSCDLFAYSCSLHMCREKSLSFRASQDGSVFVKGQTRFTVTDDLQVIPPSSSANSVFTKLRVIDVDALEELTINIGTVEILNLLMYSLVSKTPLTETLLKPKQDLKSSSTILNQAIHIESQMSGDSMNDEEDKISLNLVVSKSKKMVCYAEAGEDFVNLLFSFLTLPLGFMVKNMKNGSLKGCIRHLYKTIQDLDGQYMISDHHKEMLIDPKLVPGFCYKNSLLGIEETSYYYSYYNYTFSTDRSLSPLEPDKVKLVSSDSVVLSAQGFLKRPAKFVVTDNLVVRPISRILELQVLKDLNVPVTDIEDQTVHVGKKEALHLLLSSFLCDSVLTNTFVADLREPKQEQ >ONI03596 pep chromosome:Prunus_persica_NCBIv2:G6:25553843:25557920:1 gene:PRUPE_6G267700 transcript:ONI03596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFAFVLACALALLASSVASGAIVEHSFNVNNLTVTRLCKEQSITVVNGSYPGPAIRARDGDTLVVHVFNQSPYNITIHWHGIFQLLSAWADGPVYVTQCPILPGHNYTYRFNITGQEGTLWWHAHVSWLRATVHGALIINPKAGRSFPFPKPYKEIPIILGEWWNGNVVDIENEGIATGIAPNNSNAYSINGLPGDLYDCSQNQTYQVQVVKGKTYLLRIINAALNNQLFYKIANHNMTVVAIDAAYTTPYVTDVVVIAPGQTTDVLITANQQTGSYYMAATPYMSANINFDNTTTRGIISYENSSSSSPIMPALPNPGDTPTAHKFNTNITGLAGGPQWVPVPTNVDEHMFVTVGVNLELCPVNATCQGPFNNRLSASMNNESFQLPTNLSMMQAQFYNVSGIYTTDFPDQPAVKFDYTDSNISLDLSLVYAPKSTKVKTLKFNSTVEIVLQNTAFLAIENHPIHLHGFNFHVLAQGFGNYDPINDPNKFNLVNPQIRNTIGVPVGGWAVIRFTANNPGMWFMHCHLDVHLPWGLGMVFEVENGPTPWVLPPPPADLPQC >ONH99701 pep chromosome:Prunus_persica_NCBIv2:G6:3242327:3244896:1 gene:PRUPE_6G044700 transcript:ONH99701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANSHQPVLVLFTTFVVAAAIVLAPTTCTAQLTCDFYQQSCPQALQIIRSVVNEAINRERRMGASLLRLHFHDCFVNGCDGSILLDDTVNFTGEKTAGANLNSVRGFDVIDEIKATLNTYCNGNVVSCADILAVAARDSVEILGGPSYSYEVQLGRRDATTAVLNDANRNIPPPTFDFSQLLSIFQSHGLGLQDLILLSGGHTIGLARCTTFRDRIYNDTNIDPEFAASLREGCPANGGDDNTTPIDSTTTQFDTVYFKSLLQKKGLFHSDQELFKSDGSDSDNLVQHYANSPEDFKVDFGASMIKMGNINPLTGYAGEIRLNCRKIN >ONI04252 pep chromosome:Prunus_persica_NCBIv2:G6:27906219:27908449:1 gene:PRUPE_6G311500 transcript:ONI04252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQKRKFVTAASCEGEGGRRRRMTDRFSNLPDQIAHVILSFLSMADLSRLSYVSKCWRELCLSSPVFNFSEYNCIGNADLCDSKLRLLNILERFLLLRADHKIQRFRFDWHLPPPKKNQSSSSSSCGCRDVYCRVMSCVQKVVRCNVEQLELNLLGLGEPNLNFPSSIFLCESLKSLSVCTNRAILRAPSSSSSFSSNLKDLQLLNVVIADDEGFFKWISCSCKCIRKLGLDHTCMIKNLNIESSSLERLSLSYPQPDLDTLNISCENLQLLSISLCPDSPSMTSLNIFAPNLKQLWWEGNLMNHPNLRKFQSLESAEVCFDSVGKVSLEKTQKFKSTSIKLKTRVDDFEPLSEVFHGLRINEVLILNEAAIKVMFKLEGSMPVSFNNVRSLRMNIGCVIDEIVPSMVSVLRGMPYLRTLYIKRCPPFHELESNKCGFDIGYWKLQNLGFINRLEFFTMEILDGYNVVELAWYVLECAQKLKKGVIICSAQNLEEVKRKLKKCKMISKAAIVFKERPKSERRLLF >ONI01866 pep chromosome:Prunus_persica_NCBIv2:G6:15698601:15704390:1 gene:PRUPE_6G163600 transcript:ONI01866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALVKRVQRDDVGHNRCMGTLGRTMIGSGIDIVASHTETGKGNREVELGAIGCLVCMRVVNRCVLCTCSLCVTV >ONI04722 pep chromosome:Prunus_persica_NCBIv2:G6:29234790:29236145:-1 gene:PRUPE_6G336600 transcript:ONI04722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYDYGYRSGYSNRKGAGPHPNEWSKTSYTDDECRTVIVDADGTQRTIIGCTPLHNPGAYVTKTETIIQERILSPAFPAAYKHSSPPTVVVEPVKQYGYADDKWRRPSSPVKGYGYPVDDYNKLHKPSSPVKGYGYAADDQRLRRTSSPEHDYPREVGNFLTKVHTEASRDQPSRVGPMSGVNWRQPPQQTGHNSTTGYGDYSDYNNKPLYKPNGNTNYDDYHRKNDSGSVGPTVISNGARPIRSTWAPPAPGREGRLTTPTDDMETALRYLKESAKRDEYQTDAAKRNVRFNVPSWP >ONI00566 pep chromosome:Prunus_persica_NCBIv2:G6:6624158:6625427:-1 gene:PRUPE_6G095400 transcript:ONI00566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLASLPLAHALVASSSSSRINPSDLEDSLLQLVQEHHHASLRIHNLTEKAQNEATKKAVCVAELLVEAVNGGVKELVIKEKRTELEIRALTATISSFIQQTDRWLSLTCSINTAIKEIGDFENWMKIMEFDSKSINAAIHNIHQA >ONH99049 pep chromosome:Prunus_persica_NCBIv2:G6:626393:627292:1 gene:PRUPE_6G007400 transcript:ONH99049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKSIPLPLKLKIWPEPDTTPTQTPLSNVAPAAATSATVSNSEAYHPLTFFLHDIIGGSNPSARAVTGIVTNPAVNGEVPFAKPNGAVVPIGNGIPQNNNNNGIINNNNVPFFTGLGGNTASNLIQNNGNHNNIISGNGLPYLNGAQLPPGITLQKLMFGTLTVFDDELTEGHELGSGLLGKAQGFYVATSEDGSSQTIAFTAMFQSGGYADSLTFFGVHRVAASESHLAVMGGTGKYLNAKGYALVKAIPATNQHNTGVDTVLQFTVCLTY >ONI00492 pep chromosome:Prunus_persica_NCBIv2:G6:6269440:6270809:1 gene:PRUPE_6G091400 transcript:ONI00492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSRRWRGMPCLHSNSTVVVFANDYFAFLHSQPSKPIKSTRTQLEQPERNSPKITTVEDAFIVFDRMLQMRPPPSVVRFDQILGQVAKLKHYSAVISLYNQMDVSGIRPDVCTLNLSVLGKFFKVGLEPNVITFTTLINGFLLKNRMAEAAGIFNKMIAEGNCQPDVVTFSTLVKGFCMKGNNSAAIQLLRKMEEGARKPNLVVYNT >ONH98978 pep chromosome:Prunus_persica_NCBIv2:G6:334125:334424:-1 gene:PRUPE_6G003000 transcript:ONH98978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKSVAMVVVTLISAMLMTASAGGGGAGAGEGGGQKARFVTCIRDCMPLCMQIKDVTEAACDKACELGCKQLQGKGPPSRGVRLYTEGKPVNISDTSN >ONI04394 pep chromosome:Prunus_persica_NCBIv2:G6:28283751:28285978:-1 gene:PRUPE_6G319000 transcript:ONI04394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEMVLHILSRLPSKSLMRFKCVRKSWYTMINDPMFVERHLSNSMHNKRSTSCILVNRFLHSDTSTGGQRSGFEVIESLSMIGQCDGIVCLCDRRDNIVLCNPAIKELKLLPKSCLPQLIQCAVGFGYDPKSKDYKIHRISCDGEEIYDQRLVFFPPRVEIYTLTTDSWREIKNNYLETENTFFWPEYFEMYWKGICYWLGYEQPKEFESYFDRLEDEKKKTMIFLFDTGDEVSHNILLPDCLYEAAVYRFDMRILLWNESVALFGLDSFGTFVECYGLWVLDDFDGAKGSWTRHCTFESVIDIKRVLAFWNNDEILLVDGCGNIVSYNLGTNNHKNLLIYTENLCRVETIVYVNTLVPIMGGNKLESVDK >ONH99762 pep chromosome:Prunus_persica_NCBIv2:G6:3492483:3495411:1 gene:PRUPE_6G048900 transcript:ONH99762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTFLRLNLHHPLILLTSLPTMTASLALSSISLNSSFVSGLRNGKGAPLGIRSLGRSRVSMTASVGSQTLQSDALFADYNSNFAFLFPGQGAQAVGMGREAQSVPAAAELYKKANDILGFDLLDVCINGPKEKLDSTVISQPAIYVTSLAAVELLRARDGGQQIIDSVDVTCGLSLGEYTALAFAGSFSFEDGLNLVKLRGEAMQEAADAAKSAMVSVIGLDSDKVQQLCDAANQEVDDANKVQIANYLCTGNYAVSGGVKGVEAVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAKLAATQIRTPRIPVISNVDAQPHSDPETIKKILARQVTSPVQWETTVKTLLSKGLKKSYELGPGKVIAGIVKRMERSAEIENIAA >ONI02428 pep chromosome:Prunus_persica_NCBIv2:G6:20491196:20491759:-1 gene:PRUPE_6G197900 transcript:ONI02428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPKIFFISSLLVVILSASSTQMSHAARHLLDTPAAAPPPTLAIPTIPSLPNPTQLPPLPSLPTLPKPSNTLPTLPTAPTLPKTTLPPLPSTPLPTLPTAPTLPKPATLPPLPSTQLPTLPTTLPPFPTSLPQIPSLPKSTLPTVPTTLPPLPANPLPSFPSTIPSIPTIPTTIPSTPFFTPPPSN >ONI03652 pep chromosome:Prunus_persica_NCBIv2:G6:25767361:25769394:-1 gene:PRUPE_6G272200 transcript:ONI03652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFTQHIVDAFLGNYRMLMISSTYAFVAGFGALALSTPPMLGWATALPLIAVGITGQATSLVQLAHDERPQWLGPLTFTAPITSLVQSAHDQTTPESGISSSTVMMAQLTALLRSARGQTPESDGPFVFTPGMRGLALAAVCILGYVTSWLIKYGVSALVIIMAAFSFAALSPCSSKRVKAQGVPVKWKETKNILLVTLVGALFVAMSVVSAIGNTYFVLQASHLNQKVWFVKFPLPILLFAYNEARSKFGGLKRSVVAISIGLAASMVVSSLCCVVAALVEARKLAVVKSSGLIDLPEETIPMSMFWLVPQFVLLGCADGIFRRAIGSLYMVALISDEEAKNLEASKVLQCQYAGFYDMAVYGVGVMGSVLSVLVVGEISAEGGKINWFQHTLNTSRLDNYYWTLAALVAINLLIFFVLAACCGACFYVVSRFKDAK >ONI00186 pep chromosome:Prunus_persica_NCBIv2:G6:4999593:5001653:-1 gene:PRUPE_6G073300 transcript:ONI00186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLDEIPSSMNKFIFDQGSVPAYSDQNIVTEFEADHDFTDPSFFLVNPDPSSDFSSLSGFSSEVDSPDSQSSNAILKYISEMLMEEELENKPCMLQDCLALQAAEKSLHDVLVQEYPSSANSLLTSVYQNVENPDEGSNHSSNGSVAASNWVGSDWDCVQDVFQSSHIQISATESPAYTLLVPNREGQIIDVESSSSFPIEPRELAKDVSFNSVQDGSSSPSGSRSKKNRQREDDDDLDEGRSNKQSAVYADESELPELFDKVLLCQGEKQESQSCSPQESEHKEGSGKLQLNRKSRGSKGKNTRKKKPDDNTGGVDLWTLLTQCAQAVASYDIRTANEQLRQIRQHSSPYGDGTQRLAHYLANGLELRLAAGVPLNPKMSAADLLRAYQTYITACPFHKMSNFYANRTIAKLAEKATRLHIIDFGVLYGYQWPCLIQGLSNRHGGPPTLRITGVEFPQPGFRPSERVEATGRRLANYCERFKVPFEYNVIAKNWETIQYEDIKIDRDELIVVNCLYRLKNLPDETVTDSPRDTVLKLIRRINPDIFIHGVVNGSYNAPFFDTRFREALFHFSSLFDMFEETLPREDQQRLLFEKEVFGRDVINVIACEGSRRFERPETYKQWQFRNKRAGFRQLPLDQEILKKVRSMVTSEYHKDFVVDEDGMWVLQGWKGRIIHAISYWKPV >ONH99717 pep chromosome:Prunus_persica_NCBIv2:G6:3291497:3293844:1 gene:PRUPE_6G045400 transcript:ONH99717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEFAPCTLSQMLGKTDPWQNNRVQDVYQKIIRSIVKSIVRLELKGIMRGPLDVDNIQLDENYEANIPIAANPETVLRSYRQEFVLLMEAILGKNHRRTVELSHFFNMIRCEREWYRFEQIIYHPLLRSPMERFHYYIDGLKHLQYVQCAENKSIKDLFTIRWNEKVDIKGAVGGLQGFHGVLNEREYEDNVWGALEFSSNACLDVNDHLFNQEYLTQNEMEEKLSSFFPKLLLQLYTFLIELYTHVDLREHIKEGEEET >ONI02812 pep chromosome:Prunus_persica_NCBIv2:G6:22796214:22799956:1 gene:PRUPE_6G222900 transcript:ONI02812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVRASSAWVASHSSHVVVDSSGIEKVAETIDTIPKVNWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLNYDNLAAGLKAALQNDISVFDVDRLQKYTGPELRELLKWPRPLPLEDERVRLLHEVGFELERSFDGKASNLVESCGKSAVKLVALVTRHFPGFRDHSVYKGHQVFLYKRAQIFAADLWGAFGGQGYGEFYDIGSITIMADYIVPAVLRQLGVLKYSATLASTIEANSQIDAGSEEEVELRACSIYAVEKMKELISMKSGKQVLSIELDLWLWSFGIQCPALQHHRTLSIYY >ONI01339 pep chromosome:Prunus_persica_NCBIv2:G6:10596649:10598747:1 gene:PRUPE_6G134200 transcript:ONI01339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLCNHFVLLGIVGGLRHVLGKDSDRVWCEDNVGGPNVAVFSIHDLSC >ONI02342 pep chromosome:Prunus_persica_NCBIv2:G6:19961260:19966060:-1 gene:PRUPE_6G192300 transcript:ONI02342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGVELTSSPEGHEDANNERLDLIDPTAPNWSLPSETFLRAAVSLKDQVVQATWEGGGDSGSGLRTGMVLDPTVYTGLLGTAFTCLRSYEATGNRQDLVLSTEVVDKCVTIERASTRHVTFLCGRGGVYAIGAVVANLIGDHQRRDLYLNLFLEVAQEKALSVGPEEGGFGMSYDLLHGRAGFLWAALFINKYLGQEKVPSDLLMPVVDAVLAGGRAGASDNPSCPLMYRWHGTRYLGAANGLAGILQVLLHFPLAKEDAEDVKATLRYMMANRFPHSGNYPSSEGNPRDKFVHWSHGAAGMAITLCKASQVFPGDREFRHAAIEAGEVVWKNGLLKKVGLADGVAGNAYAFLSLYRLTGEAIYEGRAKAFASFLYHNGRELVTVGDTHGAEHAYSLFQGLAGTACLLFDLHVPENARFPGYEL >ONI02898 pep chromosome:Prunus_persica_NCBIv2:G6:23165663:23166890:1 gene:PRUPE_6G227600 transcript:ONI02898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTGATSAASPPLRSSPPPASTHSPAPEMTKSSSYCASSHKTRGAQVSDVRPAYNITMTMVAGKRYYGDDDVADKEEARRIISETLRLSPPVPLLVPHFSSGDCTVGGFEVSLGTTVLEGLPGTAMAQRVVSLTLGPLIQCFDWERVNEVVVDIVDTRCMNRRTLLAHICRATFFHLQS >ONI03730 pep chromosome:Prunus_persica_NCBIv2:G6:26097709:26099126:1 gene:PRUPE_6G278100 transcript:ONI03730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGVFGSRSHEDLFSSAFEWNCNAGASRAGGSRRPDNDSVFSFGDKTFVQRGGDRPRKAVAIEKTLPCTLEDLYNGTTKKMKISRDVVGASGRKSTVEEVLTIVIKPGWKQGTKITYPEKGHDVEQGVIPADIIFTIEEKPHDFFKRRGDDLTVTLNISLAEALTGHTAQLATLDGRNLRVSIDSVISITHEEVVKGEGMPIQKGRGRKGNLILKFNIKIPKLTSEQKAGIRQLLTSS >ONI02663 pep chromosome:Prunus_persica_NCBIv2:G6:22129629:22132752:1 gene:PRUPE_6G213500 transcript:ONI02663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAQLRLMSDLRTITSEPPEGCSASPLSDDNLMVWSATIFGPEESPWEGGAFSLRLTFGERYPEKPPRVRFTSEMFHPNVYHDGTLCMDIIQDAWSPCHNVSTILTSIQSLLTDPNPASPANIEAAHMYQHDIQAYNKRVRRCARHSIDSL >ONH99170 pep chromosome:Prunus_persica_NCBIv2:G6:1103176:1106421:-1 gene:PRUPE_6G015300 transcript:ONH99170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSAKRQRTRSQSGDVDDAEQLRAVKRERVNANAGRVENESDLPSEQDSVQRRTLRSDYLAVKNLISEKRDDLMCPESERFCLIINKVEKLHEQVQKPREQVADAEALLDITNTLWTSVKSQSSAGISPSDFVTCVLNNFKQLNSSLASQEDAPVSIKWKDIGLAVAPIFKRAHGCCTMLGPMNTELKQRKAVVRSKHAKPTTTDRPDEIDDTQGEEKTDTDKNMSTMFDILRRNKRVRLEGLILNRKSFAQTVENLFALSFLVKDGRAEITVDANGSHLVSPRNAPAANLVASREVVYDHFVFRFDFKDWKLMKDMLPVGEELMPHRSPPNSLPASQEEPAAYSSQTALPTTPIRKLSRNRGRLVQEESVVEESPENDDAAGTNVVRRSKRKL >ONI00859 pep chromosome:Prunus_persica_NCBIv2:G6:7670885:7676626:-1 gene:PRUPE_6G107800 transcript:ONI00859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPAESSDSKKKDFSTAILERKKSPNRLVVDEAINDDNSVVSMHPETMEKLQLFRGDTILIKGKKRKDTICIALADDTCEEPRIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDSIEGVTGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPVKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDSALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARASAPCVLFFDELDSIATQRGSSSGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRFQIFKSCLRKSPVSKDVDLRALARYTQGFSGADITEICQRSCKYAIRENIEKDIERERRRGENPDSMDEDIDDEVAEIKAAHFEESMKYARRSVSDADIRKYQTFAQTLQQSRGFGTEFRFADNQTGATGADPFATSAGGADEDDLYS >ONH99835 pep chromosome:Prunus_persica_NCBIv2:G6:3700041:3702908:-1 gene:PRUPE_6G052600 transcript:ONH99835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQGLASLTHSLFAVKRQRFMGLRGFSAQSCGRVFPRICKHQKPNFIVAKSSKVRDFRLFKSVELDQFLTSDDEDEMGEGFFEAIEELERMTREPSDVLEEMNDRLSARELQLVLVYFSQEGRDSWCALEVFEWLRKENRVDKETMDLMVSIMCSWVKKLIQREHDIGDVVDLLVDMDCVGLKPSFSMMEKVISLYWEMGEKEKAVLFVKEVLKRGIVYSEEDDTDGHKGGPTGYLAWKMMVEGNYRDSVKLVIHLRESGLKPEVYSYLIAMTAVVKELNELAKALRKLKGFTRAGLIAEFDTENVGLIEKYQSDLLSDGVQLSNWVIQEGSSSLHGVVHERLLAMYICSGHGLEAERQLWEMKLVGKEADADLYDIVLAICASQKEASAIGRLLTRTEVTSSLRKKKSLSWLLRGYIKGGHFDDAAETVIKMLDLGLCPEFLDRAAVLQGLRKSIQESGGVDTYLKLCKRLSDASLIGPCLVYLFIRKYKLWITKML >ONI01245 pep chromosome:Prunus_persica_NCBIv2:G6:10060917:10061642:1 gene:PRUPE_6G129600 transcript:ONI01245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTHFSFMEENPNNMINMGSQQIPAFSGKRTKHHDPNNLVGFQSMQQPEELHGDGADHQVQSGRERLKRHQEEVAGRVTIPDSWDQEELLKDWIDYSPFDALLVPKGLTSAREALAMEGRRASSSQGVLRIESRC >ONI04466 pep chromosome:Prunus_persica_NCBIv2:G6:28485346:28487933:-1 gene:PRUPE_6G323100 transcript:ONI04466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIITGSAAGSFSSGNTGGEEVQQQQQQELLNHANFHGSNSFLSTTPPNINSNGTQPKQPPQVKRKRNLPGTPDPSAEVVALSPKTLMATNRFVCEICKKGFQRDQNLQLHRRGHNLPWKLKQRTSTEIIKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTREYKCDCGTIFSRRDSFITHRAFCDAIAEENNTANQGVLMSNNINNGAPILQGQVSNSDLISPSSINNSNKTTNNPSTRSSTTSDQFNHNFDTKIPLSLVPQQPLPMSSKPLNMLPRSLNNNNNNNTSSSSPSPLLFELSHGHGLIPSSSGHLSATELLQKAAQMGATMSSTSPSPSGTTITSMAPSTYGTTTTGGYNVNPFMQHRDHHNVNVSPQLENSFFGANNYAQMGMYGGLLFDPHQNNGLLKNMEQDNNGNSSKFGLRGTNVSGGDTLTVDFLGIGGSANVNRPEDFHGQQKQQHDQHQQQQQQQQDQLGFGGIDDDHLRMQRFN >ONI04420 pep chromosome:Prunus_persica_NCBIv2:G6:28383684:28386469:-1 gene:PRUPE_6G320900 transcript:ONI04420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSFSLGLTIFVSLSLTASSYSISSSSSCSPIFLKPSSLSTSPKATPSDLLSLLGSKSQSSTINPLVAQELTSCFKFLVPFTPNVNKLCPQKYPNRKVLNLKQKGDLCQREEEDELVWWPPQPVLELARLAVDSGGDPAAIQRALDPTMITVPDVEGSKANRCELTRTPYGRRFISEELNSYLEFLFELILARGPAFGLNVSLSRYDLFHGHLFLAIDTGRLGILFHAKEYPAYDKEVFPYNMGYCQVGSNVRYDDSMNLRNILWLAPLPSNSTKAWVAPGVLVALDAHPEGIIYRDLIPEYVNFARTIYEGLNFHYHFHSHMGDMPFSVS >ONI02652 pep chromosome:Prunus_persica_NCBIv2:G6:22099624:22102291:1 gene:PRUPE_6G212700 transcript:ONI02652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIHPDGQMPSDKTIGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEADEGEDGDEGDDY >ONI03604 pep chromosome:Prunus_persica_NCBIv2:G6:25583348:25585425:-1 gene:PRUPE_6G268300 transcript:ONI03604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDGTGRYEGDSELQLERINVYYNEASCGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPHNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEDEYYEDEEEEEGQEV >ONI04191 pep chromosome:Prunus_persica_NCBIv2:G6:27709051:27713355:1 gene:PRUPE_6G307600 transcript:ONI04191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSFSAVSVPSSVSRPSYLSSSAYQFSFGAPANYLRSGSGGRVVLHGGPLHLCRKFCGLKQWILENLHHQQHQRKLGPTNEYKSIKNQFKDHSTDQSGSTLSHEDSNDQTSKITSELEESSLPSSQPHASVTEPTTSVESPSMPQTHSSKFLVECGEEPSLCIAVIGATGELARRKIFPALFALYYSGFLPENVSIFGYSRKNMTDEDLRSMIASTLTCRVDHQGNCGDKMDVFLSRTHYINGGYDNREGMSKLNVLMKQFEGKSEANRIFYLSVPQEALINVACSLADNAQTLKGWNRVIIEKPFGFDVLSSHRLTQSLRSKFEEKQIYRIDHLLGRNLIENLTVLRFANLVFEPLWSRTYIRNVQVILSEDLGVQAGRYFDGYGIIRDIVHSHILQTIALLAMETPISLDGEDIRNEKAKLLRSVRKLEPSDVILGQYKGSTRDKVDLFMNSLTPTYFAAALYIDNARWDGVPFLIKAGMGLIQHRVEIRIQFHRVPGNLYRERMGHNIDLATNELILRDTPDEAILVRVNNKIPGLGFKLDSPELNLLYKDKYNVEVPDSYEHLLLDVINGDNHLFLRSDELAAAWNILTPILNEIDKKNIAPELYELGGRGPVGAYYLWAKHGVRWAED >ONI01139 pep chromosome:Prunus_persica_NCBIv2:G6:9279129:9279972:1 gene:PRUPE_6G124000 transcript:ONI01139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKIDIKKINNKNYLKATFSKRRKGLFRKATDLCCLSGAQIAIITFSPGNKAFIFGQPSAETVIDRFLGEQASCTTADHDDEGIGPVEGEDGHEDLEANHDEEDIGSVDAEDSHEDSEAHQEEEKEDEAGGGGDGEKVSVWWDEPIDENLGLHELKKYKGLLECLKENVASKLDERNKREFYTKDYLAMLNSEIGSTSTTCQERDLCD >ONI01555 pep chromosome:Prunus_persica_NCBIv2:G6:12110269:12112113:-1 gene:PRUPE_6G146300 transcript:ONI01555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYGELPIGNNHLVYGATQCTRDLSGIYCEECLDAAKNALLTKSHGKRGGSVYYGSCHIRFELALFFIIRLEYVKCISPLPSPSTKPRSVMITAQLSPLPKSTLLSFIEFESAMETD >ONI02289 pep chromosome:Prunus_persica_NCBIv2:G6:19660821:19664595:1 gene:PRUPE_6G188400 transcript:ONI02289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGQPLNIPAACSVAPTAEDPDPELPLRNLSMVRTRMDLLQCFLTESINTNALISKDQMDMVSAGIASAIREIISNGAALLACSQNPGPASDQTRTPAANNEVATEVKKEEENDFQNDDAEGEIVEVDAMELLAEHIHYCEICGKGFKRDANLRMHMRAHGNRFKTPEALAKPDKNPAEPSRKTRFSCPYIGCNRNKGHVKFRALKSVICVKNHFKRSHCPKMYSCNRCNKKSFSVVADLKSHLKHCGEARWRCTCGTSFSRKSKLFGHMALFEGHMPAVEDEKSVKAATAMDQDEDEEEEVEENTTSFEGREMGSNFFEDTEFSELLGGFGSIDGYFLDDMLVDL >ONI02580 pep chromosome:Prunus_persica_NCBIv2:G6:21670165:21673838:-1 gene:PRUPE_6G208100 transcript:ONI02580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAPEQEHPVKAFGWAARDSTSGHLSPFNFSRRATGDEDVRFKVLFCGICHSDLHSIKNDWGFSIYPIVPGHEIVGEVTEVGSKVKKVKVGEKVGVGVMVGACDSCESCNNHLENYCPKMILTYGAIYHDGTITYGGYSDTMVASERYIVRFPENMPLDAGAPLLCAGITVYSPLKYFGLGEPGKHVGIVGLGGLGHVGVKFAKALGAKVTVISTSPSKKDEALNHLGADEFLVIRDQDQLQAAMGTFDGIIDTVSAVHPIVPLIGLLKSHGKLILVGAPEKPLELPVFPLITGRKIVAGSGIGGIKETQEMIDFAAKHNITAEIELISMDYLNTALERLAKNDVRYRFVIDIGNTLAATKP >ONI04120 pep chromosome:Prunus_persica_NCBIv2:G6:27525538:27526779:1 gene:PRUPE_6G303900 transcript:ONI04120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKVKLAYIANDSTRKATFKKRKRGLIKKISELSTLCDVPACALIYSQYESLPDIWPSPSGVQRVIAQFRNMPEMEQGRKMFNQETFLRQRIVKSHEQLKRLRKENREKEVSRVMFQTLTGRPLQGLNMIDLNDLGWLIDQNVKELGEKIKSKREELLAQRNEVTMAAPNAAALPARAGDHGMDLNMQQPAMENTMQRPPWFSDVMTPQEPMGSGAAGGDEVLPFGDQNHPAFWHNSPFFH >ONI01655 pep chromosome:Prunus_persica_NCBIv2:G6:13092056:13092953:-1 gene:PRUPE_6G151300 transcript:ONI01655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGVNKDIADRFKPWNKVLCLRHIREMCDRSKQVIPGLLRRIRTMEEELQL >ONI00509 pep chromosome:Prunus_persica_NCBIv2:G6:6358259:6368352:-1 gene:PRUPE_6G092300 transcript:ONI00509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFIDNLPAMDLMRSEKMTFVQLIIPVESAHRAISYLGELGLLQFRDLNADKSPFQRTFVNQVKRCAEMSRKLRFFRDQISKAGLLSSVHPVLQLDVELEELEIQLAEHEHELIEMNSNSDRLQHSYNELLEFKIVLQKASGFLVSSNSRAVPEERELDENVYSNDDYGDSVSLLEQDIRPGPSDQSGLSFVSGIICKSKALRFERMLFRATRGNMLFNQASADEQIMDPLSTEMVEKTVFVVFFSGLQAKTKILKICEAFGANCYPVPEDITKQRQITREVSSRLAELEATLDAGIRHRNKALTSVGFHLGKWMNMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKTKIQEALQRATFDSNSQVGIIFHVTDAIESPPTYFRTNRFTSAFQEIVDAYGVARYQEANPAVYTCITFPFLFAVMFGDWGHGICLLLGALILIARESKLSAQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDTACSEAYTIGLIKYRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGILLSYFNARFFSSSIDIRYQFVPQVIFLNSLFGYLSLLIVIKWCTGSQADLYHVMIYMFLSPTDDLGENELFWGQRPLQIILLLLALIAVPWMLFPKPFILKKLHTERFQGRAYGMLGTSEMDLDVEPDSARQHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNFVIRLIGLAVFAFATAFILLMMETLSAFLHALRLHWVEYQNKFYYGDGYKFKPFSFASITEDED >ONI00508 pep chromosome:Prunus_persica_NCBIv2:G6:6357651:6368641:-1 gene:PRUPE_6G092300 transcript:ONI00508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFIDNLPAMDLMRSEKMTFVQLIIPVESAHRAISYLGELGLLQFRDLNADKSPFQRTFVNQVKRCAEMSRKLRFFRDQISKAGLLSSVHPVLQLDVELEELEIQLAEHEHELIEMNSNSDRLQHSYNELLEFKIVLQKASGFLVSSNSRAVPEERELDENVYSNDDYGDSVSLLEQDIRPGPSDQSGLSFVSGIICKSKALRFERMLFRATRGNMLFNQASADEQIMDPLSTEMVEKTVFVVFFSGLQAKTKILKICEAFGANCYPVPEDITKQRQITREVSSRLAELEATLDAGIRHRNKALTSVGFHLGKWMNMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKTKKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDTACSEAYTIGLIKYRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGILLSYFNARFFSSSIDIRYQFVPQVIFLNSLFGYLSLLIVIKWCTGSQADLYHVMIYMFLSPTDDLGENELFWGQRPLQIILLLLALIAVPWMLFPKPFILKKLHTERFQGRAYGMLGTSEMDLDVEPDSARQHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNFVIRLIGLAVFAFATAFILLMMETLSAFLHALRLHWVEYQNKFYYGDGYKFKPFSFASITEDED >ONI05271 pep chromosome:Prunus_persica_NCBIv2:G6:30655514:30659546:1 gene:PRUPE_6G365200 transcript:ONI05271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFNSMMTFPSHNLLSKSHNLSSPKIFMASTLHSSAKEMENLKKSFLPPREVHVQVKHSLPPQQIEIFKSLEDWADKNILVHLKPVEKCWQPQDFLPMPESDGFYDQVKELRERAKEIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFICHGNTARQAKEHGDPKLAQICGTIASDEKRHETAYTKIVEKLFEIDPDGTVLALADMMKKKISMPAPLMYDGQDDNLFEHFSAVAQRLEVYTARDYADILEFLVGRWNIEKLTGLSGEGRKAQDFVCRLPPRIRKLEERAQGKAKQSSTVPISWLFGREVKV >ONI00179 pep chromosome:Prunus_persica_NCBIv2:G6:4964798:4967322:1 gene:PRUPE_6G072800 transcript:ONI00179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEDQYQQYDCLLFDLDDTLYPQSSGISVQITKNIQEYMLQKLGMKESEVPELCISLYKDYGTTMAGLKAQGYNFEYDDFHSFVHGRLPYDSLNPDPILRGLLLSLPIRKVIFTNSDRAHAASVLGKLGIEDCFEMIICFETLNPTNNADDSVGAKETENTEQPNTGSVISKTPVVCKPFERAYEETFKIANINPKRTLFFDDSIRNLQTAKELGLHTVMIGTSHRTKGADHAFESIHNIKEALPELWETTEQCENVRYSREIAIEQPVQA >ONI04719 pep chromosome:Prunus_persica_NCBIv2:G6:29224755:29228099:-1 gene:PRUPE_6G336300 transcript:ONI04719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLNEGKLSQVEDLWKEFSSAESARQVVKLKAFSKFENTSEALEAATLLIDSKPSKGLRKFLRAHCSGETLAVADSKLGNVIKEKLKIDCVHNNSVMELMRGVRNQLTELIAGLQVQDLAPMSLGLSHSLSRYKLKFSAEKVDTMVIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKAVKLMGYRANAAKLDFSEILPEEVETELKEAAMISMGTEVSELDLINIKELCDQVLSLSEYRAQLYDYLKNRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKLKGKISRSLAAKTALAIRYDALGDNQDNTMGLENRAKLEARLRNLEGRELGRFAGSAKGKPKIEVYDKDRKKGAGGLITAAKAYNPAADSVLGQTTPAGEDKKEKKKKKKADDEDMPASNDINGNVEAEEEPVKKEKKKKKKHSAEDVDAVENADAGEKKKRKRKQAEEESDTPSKKKEKKKKKKSDD >ONI03365 pep chromosome:Prunus_persica_NCBIv2:G6:24709805:24711198:-1 gene:PRUPE_6G253400 transcript:ONI03365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAPKSGIFVGLNKGHIVTKRELAPRPSDRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRSGGVTEKKK >ONI03366 pep chromosome:Prunus_persica_NCBIv2:G6:24709144:24711303:-1 gene:PRUPE_6G253400 transcript:ONI03366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAPKSGIFVGLNKGHIVTKRELAPRPSDRKGKTSKRVHFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRSGGVTEKKK >ONH99935 pep chromosome:Prunus_persica_NCBIv2:G6:4071869:4075435:-1 gene:PRUPE_6G058400 transcript:ONH99935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRIPITWTVFLYLLLVSTQSIHTARARKTPKIQGPIKTVVVLVMENRSFDHILGWLKSTRPEIDGLTGNESNPLSVSDPNSPRVPVSSDAFFIDSDPGHSIQAIREQIFGSNRSFEDPAPMNGFAQQAESMAEGMSSKVMSGFKPEVLPVYTELANEFAVFDRWFASVPASTQPNRFYVHSATSHGASSNVRKDLIHGFPQKTIFDSLDENALDFGIYYQNIPATLFFRSLRKLKHVTKFHSYALKFKLHAKRGKLPNYAVIEQRYFDVKELPANDDHPSHDVARGQRFVKEVYETLRASPQWKEMALLITYDEHGGFYDHVPTPVSGVPSPDGIVGPDPFYFRFDRLGVRVPTILVSPWIEKGTVIHEPTGPTPDSHFEHSSIPATVKKLFNLKSNFLTKRDAWAGTFENYFYLRSTPRDDCPETLPEVTMSLRPGGPREDVSLSEFQVELIQLASQLNGDYVLNTYPYIGESMRVAEANRYAEDAVKRFLEAGRAALKAGANESAIVTMRPSLTSRVNMQGHGSSYLQTQ >ONH99212 pep chromosome:Prunus_persica_NCBIv2:G6:1332326:1342102:-1 gene:PRUPE_6G018300 transcript:ONH99212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGKSIEDQFSRLHPCLPMNTRIGIVGAGPSGLSAAYALVKLGYSNVTVLEKYHTVGGMCESVEIEGNIYDLGGQVLAANSAPVIFHLAKETGSELEEMDSHKLALIDNTTGEYQDIKVADDYVSVISLTLELQDKAASSGRIGVHAVSEYASDLTPVYLEHHGFKSVPKSVAYGYTASGYGYIQDMPYAYIHEFTRTSMAGKIRRFKGGYTSLWKKISESLPIVHCNTEVLEIRRHSDCVSVDLKSCDGEVKVMEFDKIIISGSFPLKNGRTYRSLPSHPAEHKSEVMEMGDVEKELFSKVQTIDYYTTVLKIKGIEHMPMGFYYFDEYISNPATIGHPVAMQRFFADTDIFLFWSYGNSVNITGPTVTKLAIDAAKLIGGEVKEVVLQRRFKYFPHVGSQEMMDGFYEKLESQLQGFKNTYYVGGLMAFELTERNSSYAMGLVCKHFANDNSMPNFPYAKSLFALQQQWGGSPKSMAELPEVEFPNLPSLDGYLKHWGAHRVTQNKLLYTWISEEGEVVSQRTYAELHANASCIAQKLLSCRKPVIKPGDRVLLVHVPGLDFVDAFFGCLRAKVLPVPVLPPDPLQRGGQALLKIENIAKSCGAVAILSTISYHWAVQAGSVKNMISLTGKNQKSKARWPNLPWLHTDSWIKNSKNVVVEGIADEFEPQGDDVCFLQFTSGSTGDAKGVMITHGGLIHNVKLMRKRYKSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGTAILFSPLTFIRNPLLWLQIMSKYQATHSAGPNFAFELVVRRLESDNKRKFDLSSMTFLMVAAEPVRQKTVKRFVELTHPFGLSQEVMAPGYGLAENCVFVSCAYGEGKPIMVDWQGRVCCGYVNPDDEDVNIRIVDPESGEELKEAGKEGEIWISSPSAGIGYWGREELSQKTYRNKLPDHPGRNYTRTGDLGRVIDRKLFITGRIKDLIIVAGRNIYSADVEKTVESASELVRPGCCAVIPVPVEILSTKGITVSDSSDQVGLVVIAEVRDGKPVGKDVVEQIQARVAEEHGVSVASVKMIRPKTISKTTSGKIKRFECLQQFTDGTLNVVPEPIITQRRLLRSFTTGTCKEGITPRPQLVRSSPPPSPKLSNKEIVDFLKRLVSEQTGISINKISNTESLVSYGIDSIGVVRAAQKLSDFLGIPVGAVDIFTATCIADLASFSENLVMNSQPQLLTTPSNVPQPDTGIDSAELVMEIPETHHLVISLFQLLALIYVALMLSIPAYLSVSAFMSCASATHTLVEGIPYLDYLTLLTFAPLAWIFCILSTCVSIAFLGNSFLKPNYALNAEVSIWSMDFVKWWALYKAHEVASKVMAEHLRGTVFLKYWFEMLGARIGSSVLLDTVDITDPSLVSIGDGAVIAEGALIQSHEVKNGVLSFLPIRIGQHSSVGPYSVVQKGTILGEEDEVMALQKCGSKSVVKAKNLQNGKMLPNVTMETQDEAIYQFIGIYIVGLLGTLSASVVYLVYIWMSQKPLSPQEFAFSCIFGAFHWMPYTIIAYAIMFSDVPSNIIYLSISMAVAYLSYGLVLSFLTSALTHFISSKQDKKTSHFRTWLCHRITIACHLRFAKLLSGTEAFCMYLRLLGAKVGKHCSIRAINPISDPKLISLGSGVHLGDFSRIIAGFYSFNGFISGKIEVQDNSVVGSESVVLPGSVIQQDVILGALSVAPVNSVLQAGGVYIGSQIPIMIKNTMHSLDDRIEEMDIKYKKIVGNLAANLAATTLKVESRYFHRIGVSGKGYLKIYDNIKGLPDHKIFCPGKSYPVIIRHSNSLSADDDARIDARGAAIRILSDETNDSALFDLTLKTGKAFYARTIADFATWLVCGLAAREEYVKRAPHVRDAVWTSLRHANSYAELHYYSNICRLFRFTDGQEMYVKFKLRPSDENISEEAGKVEPIGILPPDTGAIPRDDKDTRPLLFLAKDFKSRVNDQGGVRYVFQLQVRPVPHDESARDIALDCTKPWNDAEFPYIDVGEININQMLSAEESEQLDFNPFLQCHEVDVIRASSCSQSASIDHGRSLIYEICQHLRNGEPLPEAWKIFLQQSDVKVDLSGCPMAAALKKNDAHKVTLERTLFQTLWATFAQPLLQIVLPHFLLGLVIYAPLNWTLYLKDAQKLPLHWLFPLFWVSSGCLAGLACVVAKWLLVGKKKEGEAVHIWSIGVFLDTTWQAFRTLVGSYFMEMTSGSIFFVLWMKLMGSEIELDQGAYVDSMGALLNPEMVEIERGGCVGRDALLFGHIYEGDEGKVKFGKISIGEDGFVGSRAIAMPGVRVESGGCLSALSLAMKEEIVRSK >ONI04266 pep chromosome:Prunus_persica_NCBIv2:G6:27978345:27984650:1 gene:PRUPE_6G312500 transcript:ONI04266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFGGLGFSDVTNAVRKKRSNTSRRPRNDSPLPFDNRDTMSSTPPSEDVSKLSSDDNNDYGSITQKRDINLNLCSARASFSNIIEAESAQNMNKSEGGGSGESDEASNDGSFRGSNDHRHREIDSQRSSKGALAPANWKGTNKVAPFGVVSDCSENENKVKMVKLKVGNVTRTIQPSLRLMENSDDNRSFSSDKGSGLRGVPWKDLSKSVTDVGKADSSRGGMPEEPVRKSKRVLKRRLLDAVDDGDDDDVEVRYLEKLKTSKITSDHSVEYNEDEERKSRKERKISTVMKGSGVGQFSVDLGGYGISKSGKESRKSRGGRVSDDTDYEEEEEPVSDGEPSTKRKKLRKEFVESSSYNKEMTVTTRQRALKTGNNVASSLGASPIEFPNGLPPAPPRKQKEKLCALEQQIKKAEAAERRRMQVEKAARESEAEAIRKILGQDSSRKKREDKIKKRQEDLAHERAANAFILPSDSVRWVMGPSGSVVTFPDEMGLPAIFDSKPCSYPPPREKCAGPYCRNPYKYRDSQSKLPLCSLQCYKAIHEKTAPLSAFVLSSRSIGCPRSMARAPNKHGRDQALDFQGFLSDLQDWELSLKDKDKKMRPKDSHQEKLTRDLGTSSGNYDYSRNLDSINTMSSSFISEDSLPDAASEKELGNEYFKQKKFREAIDCYSRSIALSPSAVAYANRAMAYIKIKSFQEAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDAEFALRLEPQNQEIKKQYTEAKSLYDKTILQKASGAQKNSVQEMRKVGKLDTKVNGQSIQPASSSAQITEMTAVQDHTKRNNTTRNPEVKASVQELASRAASRVKAVAAEKIKPPNSAYQFEVSWRGFSGDNARQTSLLKVCKSIHVVYFKKSAKMQDSYVRCHPKVGDHECVLFAWWSSLWLTTAKILLVSSIVLFGWLPEVYQ >ONI05014 pep chromosome:Prunus_persica_NCBIv2:G6:29968404:29971211:-1 gene:PRUPE_6G351700 transcript:ONI05014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDKSGPPASNKDSPIDYSILLMPFRRRSTTDLQEAWPVLESSLEEYGISCELDMDQFFITFTTTRAKDPYAILNSRYLIRLLAAEVPPRQALKVTYGMPCYLIYTGYHLGGLCSKFGIKTDKYVSRKKLLTTLPIQELEKLTGCSIYLRPNNDMVAAMGPIKGLKLVRSIVEDCIVHNMPPAHRVKRLANYVQAIKGVEGLRL >ONI02728 pep chromosome:Prunus_persica_NCBIv2:G6:22505372:22508042:-1 gene:PRUPE_6G218400 transcript:ONI02728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMFQPSKRDGVVGFDGGGDGHVIDLDTAVKDGVLGGVGGGVVTTGVGEKLDLRKMIEELDLSEVPSVFICPISLEPMQDPVTLCTGQTYESSNILKWFSLGHLTCPTTMQELWDDSITPNRTLYHLIYTWFSQKYLLMKKRSEDVQGRASDLVETLKKVKGQARVQALKELHQVVAAHATARKTLIDKGGATVVSSLLGPFTSHVVGSEVIAILVNLTLDSESRTSLMQPAKISLMVDILNEGSIETKINCTRLIKMLMEEKDFRSEIISSHSLLVGLMRLVKDKRHTVGILPGLSLLRTICLHKEVRGFMVSIGAVPQLVDCLPSLDHECLELALFILDALSSLPEGISALKDCLNTIPNMVRLLMRISESCTQYALSILWSVCKHAPEECSSIALDAGLAAKLLLVIQSACGPVLKQQSAELLKLCSLNYTDTIFISKCKLTRTIQ >ONH99687 pep chromosome:Prunus_persica_NCBIv2:G6:3178066:3180606:1 gene:PRUPE_6G043600 transcript:ONH99687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRRKIGSEIPENRIGEEKEAEEGRFFACYLLTSRSPRYKGHTYIGFTVNPRRRIRQHNGEIGQGAWRTKRKRPWEMVLCIYGFPTNVSALQFEWAWQNPTVSKAVRQAAASFKSLGGLASKIKLAYTMLTLPPWQSLNITINFFSTQYTKHSAGCPRLPEQMKVKVCSMDELPSCTKLSDDLLENEDEWCNEGEFDEDMNTSTLHDETISDFMGHNSADDQQSDSGNRMNEVYRCSKEVGEDEWYNGRECDEAMNDGTLQEETSSDLIVQSSADDQQDNTAKTNKAHQGSQEVGEDCTEQFGFIASPVRTPSSNVTTSFGTEVTKDIGSADAISVKLGQPAMEQLTTIVADHQSPSRSYLRPCGAEVIDLTTPASLCRSHLCGKKSRVAPVYPRIIDLTKSPNFIQL >ONI00193 pep chromosome:Prunus_persica_NCBIv2:G6:5044672:5047324:-1 gene:PRUPE_6G073900 transcript:ONI00193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTINGVPDYTNGFNIDAQSFLPNSTQFPNLTNEYQFNQLSPDLNFLDNHFSLPSPDLEPGNFVPSISVSSDGESFVPSTSLSPDGVSFAPPMTTVSPGGDSSSDDNDFSETVFKFINQILMEENIEKKPCMFYDPLGLRVTEKSFYDALGQKYPSSPNQQPLYIDQNVESPDGNFSGNCSDCCGSNSSASPGTSNSVDPPWLGDPVDQKPSLSQTSLPNDHTFQFNSHPNSQLSVPLTNDLTSVGDELHVDNALQGSSFNRGLEEASKFLPKDNQLIVNLESNTTYPEVKRHAPTVIVKKEKSERKNSPNGSRGRKNHERGDVAPEEERSSKQSAVYIQESELSEMFDRVLLCTGGNNESPCDNVAFQNEASQALQPNGHPQESNGNGGKARAKKHEKKKETVDLRNLLILCAQAVSSNDFRTTSELLKQVRQHSSPDGDGSQRLAHFFANALEARMAGTGTGTQIFYASLASKRTAVVDTLKAYQVHLSACPFKRISIFFKNKMILKMAEKATTLHIVDFGILYGFQWPLLIQHLSKRPGGPPKLRITGIEIPQPGFRPADWIEETGRRLAKYCERFNVPFEYNAIASQNWESIKLEDLKTERNEVLAVNCMLRFKNLLEETVEVNCPRDSVLKLIRRMKPDIFVHTIVNGSYNAPFFVTRFREALFHFSALYDAFDINIARDNEERLMFEREFYGREAMNVIAFEGLERVERPETYKQWQVRCTRAGLRPLPLDQDMLKIFKDKVKAWYHKDFVIDQDSDWMLQGWKGRIVYASSCWVPA >ONH99187 pep chromosome:Prunus_persica_NCBIv2:G6:1165345:1168982:-1 gene:PRUPE_6G016300 transcript:ONH99187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENSCQRVMFFVVPNLEYLMGMTMKDQRLETLVRTKREERRKIYNKDNDCLATLVLENGNVAFTAQLLLLSSLFLQPILVLSQTNGSIAVGAYLTATAEGNSSSSWLSPSGDFAFGFRPLGNNDLFLLSIWYAKIPNRTIVWYANNNPVGAPAAPLGSTVNLTAHSGLVLTRPRGEELWKSENIVGVVANGVFNDTGNFVLEDDSSKKLWESFKNPTDTILPGQIIEREGNLASRQSETNYSNGRFQLQLQPDGNLLLNSVNFTTGSTNRPYYVSATTKGTVPGSEGKQLVFNDSGYIYVLRENGGKFALGNTRVSAMAYYTRATLNFDGVFAQYVHPKTFSGNASWEPLWSLPENICLDIDADKGPGVCGLNSICTFSPADKRPKCECPRGFSLHDPNDLYGICEPDFGKNCEEEKYDVQELTNTDWRTSEYNRSEEFTADNCRESCIQDCLCGVAIYSNRTCWKKELPLSYGRADNSLHATAYIKFRKDNSTLPVPPSPSPDDKKNKQTTFLGVRPVISATALVVCLVLIAAAFSLGLYFTFQKKQVRIMQSGLNINLRPFSYQELQEATNGFTEELGRGTFGVVYKGIIQNGSQVQVAVKKLRCVKQDGDEEFKAEVNVIGKTHHKNLVRLLGYCDEGQQRLLVYELLSNGTLASFLFADVKPSWGQRIKIAFGIAKGLEYLHEECSTPIIHCDIKPQNILLDDNCTARIADFGLAKLLMMNQSHTHTAIRGTKGYVAPEWFRNMPITTKVDVYSFGVVLLEIICCRRSVDVENNCEGKAILTNWVYDCYQEGKIDAVLDHETEALHDKKNLEKSVMVAIWCIQEDPSLRPTMRKVVQMLEGVVEVHAPPCPSSYTSSA >ONI00174 pep chromosome:Prunus_persica_NCBIv2:G6:4930445:4931273:-1 gene:PRUPE_6G072300 transcript:ONI00174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMNMMMMEIKEENDGCCTPKRCEFRIPESVAPPPAPKKKAYWAGKKKPQPPKNGYFNPPELELMFAVTPTRQALD >ONI04387 pep chromosome:Prunus_persica_NCBIv2:G6:28274801:28277125:-1 gene:PRUPE_6G318700 transcript:ONI04387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKAPLSLSRLIRYMQGKDNKIAVVVGTVTDDIRVYEVPQLKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNVVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGKRNSKGFRV >ONH99941 pep chromosome:Prunus_persica_NCBIv2:G6:4097885:4100707:1 gene:PRUPE_6G058900 transcript:ONH99941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVRLVFIPAPRSGHLVSTLQFAKRLIAREERISITILAIQSASPTTLSSYAKSVAASEPKIQLIDVPKPQPEHPPAQDSFKSPAKSFCLYIESHLPNVKKIVTNLVSSSHAKESLDSIHVAGLVVDFFCVSMIDVAKELHLPTYLFMTNNAGYQALMLHLPIRHKHNEVEPKDSDPEWLISGIVHPVPPRVLPVALTDGSYSAYVKVASRFRETRGIIANTFVELETYAINSFFHDGQTPPVYPVGPVIDLEDCQAHSNLEQAQRDKIIRWLDDQPQSSVVFLCFGSMGSFGAEQVKEIAVGLEQSGQRFLWALRMPPPKGKGMMPIDCPNPEEVLPDGFLERTHGKGLICGWAPQVEVLAHKATGGFVSHCGWNSILESLWHGVPIVTWPMYAEQQLNAFRMVKELGLALEMRLDYKKGGGEVVRADEIERAVVAVMDKESEVRKKVKQMGEMTRKAVKDGGSSFAYVGRFIEDVIGNNCGSN >ONH99893 pep chromosome:Prunus_persica_NCBIv2:G6:3942642:3943830:1 gene:PRUPE_6G056000 transcript:ONH99893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHQRQASPLEPFNFMLCSSIFLISLSQNPHLERASIISYFWNPSILSLVLPLLPIFSFVKSPRSLMKLSSSYWKYAKATPVSIFFLSFHKHTSREQSSSLTPAISSHIPIIFSSGNRSSFSLSC >ONI01066 pep chromosome:Prunus_persica_NCBIv2:G6:8857239:8858147:-1 gene:PRUPE_6G119700 transcript:ONI01066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKLKLIFTLFFLQALLTSTTSIQAAPANSDLFREYIGAEFVNVKFSDVPINPNTMTPQALPPTNGKFNVFWDSDNLSPSQVSSIKNQHSNVKVAFSLGGDSVNSGSAYLKPSSIDLWVSNAVSSVTSIIPQYNLDGIDNDYEHFRADTIPFSDCIGRLITTLKNTGVISFASIAPFDDDQVQSHYLALWKSYGHLIDYVNFQFYAYDQGTTVAEFIDYFKTQSSNQLQCELKLHGIFVWSADDSKKIGFRYEKQSQALLTK >ONI01397 pep chromosome:Prunus_persica_NCBIv2:G6:11158746:11163954:-1 gene:PRUPE_6G137600 transcript:ONI01397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPSPALVAEVEMGADPSAPTVRATVVQASTVFYDTPSTLDKAERLLAEAAGYGAQLVVFPEAFVGGYPRGSNFGIVIGNRTAKGKEEFRKYHASAIDVPGPEVDRLAAMAGKYKVFLVMGVIERDGYTLYCTVLFFDSQGSYLGKHRKIMPTALERIIWGFGDGSTIPVFETPIGKIGAAICWENRMPLLRTAMYAKGIEIYCAPTADSRDVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFAGTEEELTPDSVVCAGGSVIISPSGAILAGPNYDGEALISADLDLGEIAREKFAFDVVGHYSRPEVLSLTVKDHPTNPVTFVAASTKTEVSHTP >ONH99299 pep chromosome:Prunus_persica_NCBIv2:G6:1905931:1908706:-1 gene:PRUPE_6G023800 transcript:ONH99299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPYSNYFNNGWFNLNPLHHLSSPPTPSSINPYNFHAPPNYRDCNNFCSSNNLMVHNQSFFINHHQSSSSSSSPPSPPLREALPLLSLSPSRHHHPHEDLQEPSFNAMEADHQNNNNNSRKDSADQQSLVVSSSADIVDQDENDETVTVALHLGLPSRTLSTDLISRLSSPEISSDKEVVDVTVASAGYAPNRLNKGQYWIPTPTQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIKAFGHGHAAYGIDCFEEDDDAASEIEENYSSQ >ONI00048 pep chromosome:Prunus_persica_NCBIv2:G6:4496901:4499437:1 gene:PRUPE_6G064900 transcript:ONI00048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYHGDSELQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDDQMMNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEEYEDEQGEYEEEM >ONI02640 pep chromosome:Prunus_persica_NCBIv2:G6:22022741:22025403:1 gene:PRUPE_6G211900 transcript:ONI02640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSAKWFSELGIDDYNFIPQCDLNTLDEGFTAQDIATALGENFKQSLSSESYSSYPTLTTQNTKTTTTTLSGGSSINKTSQTSFERPAKQLKTSNWNSGITEHVSPKPSSSSSQILSFESSSSPSSKPQHFCNNFDSTLKPKDEAPSQINMQFSPLISKSSIKDCSQGTKRPYSITRTPSHAQEHIMAERKRREKLSERFIALSAIVPGLKKMDKASVLGDAIKHVKQLQERVKVLEEQTKKRTVESVVFVKKSQLSADDGTSSCGESFDAHSDEASLPEIEAKVSETDVLIRIHCEKQKGFVVKILSEVEKLQLSVVNSSVLPFGNSALDITIIAQMEDEFNMSVKDLARNLRGALLKFM >ONH99055 pep chromosome:Prunus_persica_NCBIv2:G6:649987:651268:1 gene:PRUPE_6G007900 transcript:ONH99055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNAQSGHRLVLVPCPFQGHIYPMLKLFFEQCLIDRVIEQEPQNKIICIIYDGIMYFSESVANYLNIPSILLRTQSAINFIALNPLLRLHSKGHTPFRESMSLNRVPELHPLRICPPPFSKHLKNYLKLVANLQKVRTSSAVIWNTMDCLEQSSLARIQKEFQVPIFTVGPLHKIATAASSSLLEEDTGCIVWLDKQSHNSVIYKELAEMAWGLANSKQPFLWVIRPGSICGSDWIELLPQEFIEAVGERGCILKWAPQMDFGALWEQISPQEYSVRKILLSSSPPLSPCKIEQIRGPYSGGVGQKPSDA >ONI02888 pep chromosome:Prunus_persica_NCBIv2:G6:23090419:23093200:1 gene:PRUPE_6G226600 transcript:ONI02888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGIFFSTTSLSLIFIIIFIFQFFLKTKRRRYTNLPPNPFSLPILGHLHLLKAPVHRTFHRLSQKHGPIFSLWFGSQRVVIVSSPSAVQECFTRNDIVLANRPSLLLFKHIGYNSTTISTSPYGDHWRNLRRIGAIEIFSSARLNTFANTRKDEVKHLICKLAQNSVHEFAKVELKSMFTELTFNIIMTMVAGKRYYGVDVSVDKEEAKQFRQIMKEVFAHSGAVNPADFLPILNWIGSNAYEKRVMKLAKKTDSFLQGLIDEQRSKGKNGTMIDHLLSLQDSQPDYYTDQLIKGFILVLLLAGTDTSSVTLEWALSHLLNNPHVLRKARAELDAQLDQEHLVDEQNISKLPYLQGIISETLRLCPAAPMLVPHFASDDCTIGGFDVPCGTMVLVNAWAIHRDPQLWDDPEMFKPERFKSGEDLSHKLMPFGMGRRACPGSGLAQRVVGLTLGTLIQCFEWKRVDEEEIDMTEGKGLTMPKAVPLEALCKTRSIVNKLLP >ONI02974 pep chromosome:Prunus_persica_NCBIv2:G6:23428124:23432068:1 gene:PRUPE_6G231700 transcript:ONI02974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDQRPRGDESERCSSQKTSADGDEEKGKRVGSVSNSSSSAVVVEDDGSDEEEDDDGPAKLAKTRGGGGGKIFGFSMAHEESMDGDPPVTVTVTRQFFPVELDSTTEIMGPTRGAVPPAAPPSSSSSSFPRAHWVGVNFGQSDSGSPGKPPAAVEAAHQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEDYEEDLKQMTNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIDAARAYDKAAIKCNGKEAVTNFDPSIYENELNPSSESSGVNAAEHNLDLSLGSSNSKKNNQAFGSSDHGQNAAMEVQHSASMQLEADWRNQGFRQKLNLQRDRSREETDAHRRDGYLETEAMQLLLRTNLHSPAPNEMHKYGQFSRRPTVGDTQMPHTFPPHFNSPNNYHHVQFPSSSEGGRIGSDLSLSMSDHPHQQQWQSGTPTSDIFATAAASSGFPPQIRPSAQNCWLQKSGFHSLTRR >ONI02975 pep chromosome:Prunus_persica_NCBIv2:G6:23428489:23431756:1 gene:PRUPE_6G231700 transcript:ONI02975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDQRPRGDESERCSSQKTSADGDEEKGKRVGSVSNSSSSAVVVEDDGSDEEEDDDGPAKLAKTRGGGGGKIFGFSMAHEESMDGDPPVTVTVTRQFFPVELDSTTEIMGPTRGAVPPAAPPSSSSSSFPRAHWVGVNFGQSDSGSPGKPPAAVEAAHQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEDYEEDLKQMTNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIDAARAYDKAAIKCNGKEAVTNFDPSIYENELNPSSESSGVNAAEHNLDLSLGSSNSKKNNQAFGSSDHGQNAAMEVQHSASMQLEADWRNQGFRQKLNLQRDRSREETDAHRRDGYLETEAMQLLLRTNLHSPAPNEMHKYGQFSRRPTVGDTQMPHTFPPHFNSPNNYHHFPSSSEGGRIGSDLSLSMSDHPHQQQWQSGTPTSDIFATAAASSGFPPQIRPSAQNCWLQKSGFHSLTRR >ONI03716 pep chromosome:Prunus_persica_NCBIv2:G6:26044431:26047319:1 gene:PRUPE_6G276900 transcript:ONI03716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYGKNIHEETMSTNVVSGTLVVNGLLKLELERIVDLKFLTEEGKLLFLKMIEKNQSEKKKKQLEFFLLSMLVEKLGVE >ONI02809 pep chromosome:Prunus_persica_NCBIv2:G6:22780596:22786826:-1 gene:PRUPE_6G222700 transcript:ONI02809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPSLEFTVSHHHHNLLSLFLARPHTAFFSPHLLSVSQSSRPLVRRIASSATPVPHRHTTSHRPPRPLHPFPETLAQKICKSIRRPGAASKARIYSDVNVIRPKDYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPEKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLHKYRIELDPHLAALVGRHSRKPWTKFINVDNQHLSVPEAIDFVDKLLRYDHQERPTAKEAMAHPYFYPIRNAESSRTRTQ >ONI02810 pep chromosome:Prunus_persica_NCBIv2:G6:22780875:22786826:-1 gene:PRUPE_6G222700 transcript:ONI02810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPSLEFTVSHHHHNLLSLFLARPHTAFFSPHLLSVSQSSRPLVRRIASSATPVPHRHTTSHRPPRPLHPFPETLAQKICKSIRRPGAASKARIYSDVNVIRPKDYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPEKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLHKYRIELDPHLAALVGRHSRKPWTKFINVDNQHLSVPEAIDFVDKLLRYDHQERPTAKEAMAHPYFYPIRNAESSRTRTQ >ONI04031 pep chromosome:Prunus_persica_NCBIv2:G6:27169099:27171973:1 gene:PRUPE_6G297500 transcript:ONI04031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFTFGKVLLFSLFSIVLFGYATAEFENEVSRKLISAPHKNVRSNVIDGTGIENSLSFEDSNNGLGELKSANSKVSVSTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLVQEGQGHGAGNWVVIGLLAGGLFILLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFSKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPSQVASAATLSVAFMEALSTLFQNFTHDYNSEDASGFFVSLLFGLGPLLGGSVLVAFALAFHLQHALLMGAASGIAFVLGAWRPLQLLLSLKMGFLSIMFLLAMGAASVHILSTSILKIAGRKRTSVHNLPAVNGFPVSVHTLQSFLACGAVALHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDNWHAALAAAALIGFMGPISAIGAILAGIDYSGLDHVMVFACGGLLPSFGNVVKRAVRLDMRKSSCGILMGVGFATLCLTCTKLVCLHTPYCNSAPEAVR >ONI02641 pep chromosome:Prunus_persica_NCBIv2:G6:22033581:22036082:1 gene:PRUPE_6G212000 transcript:ONI02641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMISSAKWVSDLEMEDPTFIHQYEMNSLDYSLDDLNFQSFSSESYSSYPNFTPKATHNFSNASIETPQQAGTHERPAKQPKNHTTWNPCTTDHTIMAKAASSSSSHLISFDNSNSSPPTSSQQFYGTLDNTMKPKNEVEYSNGKLNLTTLISQGSYDPQTCSPKHGQGIKRAATVTRSPLHAQDHVLAERKRREKLSQRFIALSALVPGLKKMDKASVLGDAIKYVKHLQERTKMLEEKAVKKTVEAVVFVKRTQYSADDDISSSDENFESSSDQPLPEIEARVSDKEVLIRVHCEKTKGCLAKILSEIESLDLTIVNSSVLPFGNSTLDITVIAQMDAEFSMTVKDLVKNLRQSLLKFV >ONI03135 pep chromosome:Prunus_persica_NCBIv2:G6:23983601:23983988:1 gene:PRUPE_6G241100 transcript:ONI03135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILLAIILPPLGVLLRYGCKVQFWFPLLLTLLGYIPRIIFAIYVFSEKKKLTNKLGARFPLDQMDQASY >ONH99749 pep chromosome:Prunus_persica_NCBIv2:G6:3425211:3428792:1 gene:PRUPE_6G047700 transcript:ONH99749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKTQALSNGTWVCQAQKGSRSKGFFFGDNPLDFPTTILYVQLSISALLTSFLQFILNPLGESTFTSQMLVGIILGPSVLGGGNVFADTVFPMKSFYISETFAFFGVMLFLFLVGVKMDISVVKQTGRKALVIGISAFFVPLILNMGFALVLQRTVTMEPKLHKSIIIIAVFQSMSSFHVIACLLADLKLLNSEIGRLAVSSSMVSGVLSFACLSLAFATRQSSIGRNKFSLPFMGISVFCMFILTVYIMRPIMLWMVAQTHKGKPFKDGYICYIFIMVMSCSLIGEVMGLHFMLGPMILGLAVPEGPPLGSALVEKLDSYISLILLPSYFVFGAARINFSLIKMKTVWVVELLTVSGFCGKLIGTVVPSLYCKMPAVDACSLGLIMSAQGIIDVITLQHGLFLKLIDEESFSIMALSALVSTAIITPVVKFLYDPSKRYMSTMRRRTIEHALPNAELRMLACIYQEDSTPPIINLLEVSNPTPKTPIFFYVVHLIRLSGRTAPVLITHRPGKRSARTFESHDSDRIVNAFRLYEEHSSGGLIMNALTAISPYATMHDDVCTLALEKRTSMVLIPFHKQFNELSGAGVLDNNPIRSVNQKILRNSPCSVGILLDRGTLNTNVTISCKNSYNFGMIFVEGPDDREALAYAMRMAEHPNVSLTVIRLVDHNMKHSVHRDHDMVLISKCKTAISGRKQHVYKEEHVKDSVDMINVIRSNSMEKLYDLILVGGRHDSNSPLFMGLTEWNEFPELGFIGDMLASSDSNCDVSVLVVQQQMLGSDRLMVSDSSFKSKGKEGSFSVVDMPRDDRVHPVDY >ONI04297 pep chromosome:Prunus_persica_NCBIv2:G6:28076790:28078046:1 gene:PRUPE_6G314300 transcript:ONI04297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSETTTTISSAPNPVRQKVRTYAAWAVIPDHVSNRIKKVMEGLRDEFGGPEITPHIPVLGSIRTREDDVIRNFKEACGKSSCFPCTVVDVLPGPFYYQNVYLFIHPDQVTYPIRNFTDHFDQLASMPHFSLLYGELIDEEKEKAKERAMVLDDGLVGLTFTITRFALYKCNKDRTQQSWEKIAEHSLPR >ONH99356 pep chromosome:Prunus_persica_NCBIv2:G6:2083270:2087321:1 gene:PRUPE_6G026300 transcript:ONH99356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVYEDGDGETKSHSKIHVNDDEVDDNPIEQVRLTVPITDDPSEPTMTFRTWVLGLLSCTTLAFINQFFGYRQNQLFVSSVSAQIVVLPLGKLMAAVLPTTQFSVPFTKWSFSFNPGPFSMKEHVLITIFANSGSNSVYAVNIITIVKAYYHRSIHIMAAFLLAHTTQMLGYGWAGLFRRYLVDSPYMWWPSNLVQVSLFRALHEKEKRPRGGRTKMQFFFIIFTSSFAYYIIPSFFFPSITALSLVCWVWKDSITAQQIGSGLKGLGIGSFAIDWSTVASFLGSPLAYPDVAVINMLIGYIVIFYIVTPIAYWTNTYDAKRFPLFSSHTFDYDGQTYNVSRVLDEKTFNMNLKEYNSYSKLYLSVYFAYNYGWGFATLTATISHVAFFYGKEIWQLWKKTTSGMKNQLGDIHTRIMKRNYESVPEWWFQTILVLMVALAIFTCEGFGKQLQLPWWGVLLACAIALSFTLPIGVIAATTNMQPGLNIITELIIGYMYPGKPLANVAFKTYGYISMSQALMFLGDFKLGHYMKIPPKDMFLVQLAGTLAATSAYFATSWWLLTTVENICNPAKLPEGSPWTCPGDDVFYNASIIWGVIGPLRMFTSKGIYPELNWFFLIGFLAPIPGWLLHKKFPNKKWIRHINMPVILGATSVMPPAKAVNYSSWFAVGIFFNLFVYRRYKEWWARHNYILSAALDAGVAFTAVILYFALQSKNIMGPKWWGLDQDDHCPLAKCPTAPGVVAKGCPVF >ONI01705 pep chromosome:Prunus_persica_NCBIv2:G6:13518286:13521800:1 gene:PRUPE_6G155000 transcript:ONI01705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANHLYTHYLFIPLQISHGPYFSIFPKITLHRCQPLAATATYTRDSLQCAQPHTYSDSK >ONI04723 pep chromosome:Prunus_persica_NCBIv2:G6:29237394:29238530:-1 gene:PRUPE_6G336700 transcript:ONI04723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHDYSYRSGYSTGNGVGSYTDQWGQTRHGSPPLVEPVRNYGYAADDNWRRPPSPPRHAIDGFHTRIHTDASRDPRVGPFNGITWGQQPNQIGYNGTTGYGGYSDYSNNTLYKPNGNTIRNDNYDDYHHNRKHDSVMGPINLTSHGGYHGKSSHSTWTSTLPVRGDGRLSIPTDDMERALHYLKESAKSSAWPRTT >ONH98949 pep chromosome:Prunus_persica_NCBIv2:G6:256654:259861:1 gene:PRUPE_6G001800 transcript:ONH98949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSLLSHSCCSSPTLKPHLSFSLSFTLSSSSSSSLLFGKSHLLGLIQRPLLATVSLSSPRTSLRTSTFTLDEALEKPNSDSREMLPKIDKSGRFCSPRAARELALSIVYAACLEGSDPVRLFEKRMNVRREPGYEFDRASLLEYNPMSFGGPPVTVETVEEADELLRNDEKESAIEAEVLAAPPKLVYSKLILRFTRKLLVAVMDKWDSHVLVIDKVAPPNWKDEPAGRILELCILHLAMSEITVLETRHPIVINEAVDLAKRFCDGSAPRVINGCLRTFVKGIEETGGLTTALGYKQKVVT >ONI01981 pep chromosome:Prunus_persica_NCBIv2:G6:17575361:17575747:-1 gene:PRUPE_6G170600 transcript:ONI01981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFTGYQTSAILIFAIATMTILPGLATLAPSPSNFMFLEECKSRLHARCGKEIFITIIKEWSISDRCCMEFVSMGQSCHFALVNKALSGPLSKLNKLDALTKSSEIWNQCFELSQLLSSATSPSSEE >ONI00771 pep chromosome:Prunus_persica_NCBIv2:G6:7334255:7334862:-1 gene:PRUPE_6G104300 transcript:ONI00771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPPNAPLLAPAPAPAPAPYDQGGCLELFLWMLCCCGLCRCCCPPLFEPGPPPP >ONI00304 pep chromosome:Prunus_persica_NCBIv2:G6:5505866:5507993:-1 gene:PRUPE_6G081200 transcript:ONI00304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFDPWPIFFKREWNRNWPFLVGFAITGTLITKFSLGLTEEDAKNSAFVQRHKR >ONI03664 pep chromosome:Prunus_persica_NCBIv2:G6:25847768:25849354:-1 gene:PRUPE_6G273400 transcript:ONI03664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLSNQEAEGSLAADEDAETQKSAWKKTLISKLGNVHQREYLSIYGRGRKKKIRGDYCIYRVPDKLRKVKEDAYLPGVVSIGPLHQDKQNLAPMVQYKWSYLVSFLDQQPKDAEPKHSRKCATKCLKECIDAIHGLDEVIRQCYTEKNNYTEYELAEIMLLDGCFILELFLRFDRNLNYMKQEDLNSDPVLRSAWMTAALQHDLALLENQIPFFILELLYDTIKPYVTKCKPPDLVASLALNFFHPLSQKKFINEEPEGTGFKHLLDLLHKFYFHPSGGHLSIPVESISGNEHRAGGAVQEVISCLVRKITRGKQTPCLPRHHPRDPKGDEKWGFKYCASDLLESGIELQVGSSMQDTLLEITFRRGVIIIPQVRIYETTSSLLRNLIAYEQCSLSSTHSVTSYAFLLKSLIGSSRDINLLRTRNIIEQNNRMGDKEYFAQFESILDQVAMKDDFCFGALFDQVNKYRTSWYSLSRLRVFLSVQCQRQKRILCTTYFSTTWKVISLMAGIFLLLLTSIQTYYTIHPR >ONI04939 pep chromosome:Prunus_persica_NCBIv2:G6:29804154:29806329:-1 gene:PRUPE_6G349100 transcript:ONI04939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDTLKDAYQLFEEIGRGRFGTIIRAFCPNSAEFVACKIIDKSGLTDAQDRACLEKEPKIMSLLAPHPNILKLFNVFETDDSLAMVLELCEPTTLYDRIIKRPLSEPEAASIIKQLLEAISHCHRVGVVHRDLKPENILFDSRNNLKLADFGSAEWVSEGGSMEGVVGTPYYVAPEVLMGRAYNEKVDVWSAGVMLYIMLGGIPPFYGESASDIFEAVLRGNLRFPPKVFRSVSPAAKDLLRKMICRDVSRRFSADQALRHPWILGGGEANPID >ONH99433 pep chromosome:Prunus_persica_NCBIv2:G6:2251940:2256324:-1 gene:PRUPE_6G029100 transcript:ONH99433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREWFKVEFTDEVEIEYVLENRPWFVQGLIFALKRWTLEFSPFYATVDSIVCWSCVFGLRKLTDMAIHAGILCLKTQFQFPCRVFVMSWAREKIAIKCLKSREYSSSVPVRYFPKKSSQVKKSENYQQTKVSEQKKSREFPDAGALKSEVKQCGRGVQKNRGFDEKPQNQNHVSYKNYLFNDVEKASPELEDQIDHLSGDVGYELMEEPEEVFEELNTHQVKKCYEKDVLHDAEKVAIKLLATRAFTAVELRKKLHGKNFSLDTVEAVINDFTSRGLINDSLYAEAFSRSRWSSSSWGPRRIKQALFSKGVSKLDAENAIKLVFEEGESDNDQKLVHGLSKLSMDNLLVQASKQWLRGQEVPKETRKSRIVRWLQYRGFNWDVIGFILKKLESQYPP >ONH99769 pep chromosome:Prunus_persica_NCBIv2:G6:3523008:3523968:-1 gene:PRUPE_6G049500 transcript:ONH99769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLCKLLSSKKPEILITFVVTEEWHGFMGSDQKPHNIRFATVPNLIPSELVRANDFLGFVEAVNTKLEAPVEQLLDRLELPVSTIVADPYVVWATRVASRRNIPVASLWPMSASVFSVLLHIETLEQKSHFPLNNVSEWGDDEVIADLDLPTVLNGDGLQIVKMNLEVVRSIYKAQYLVSSSVYELALLQKSKKTTVNHRRVFGFSMVVESTVIFSLINHDAK >ONI02180 pep chromosome:Prunus_persica_NCBIv2:G6:18845435:18846895:-1 gene:PRUPE_6G181600 transcript:ONI02180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFHGEVDPPGLGVLAEDEEEAVDISYGELKKRMWKDNMRLRKFKEDRKIIEEEGEEEEEEEEEEEEAETLAKQEVSRRKKMARAQDSILKYMVKIMEVCKGKGFVYGIVPEKGKPVTGSSDSLREWWKDKVIFNRNAPTAIAEYLPALIFEQGELDHGSYAHLLHELQDTTLGSLLSALMQRCVPAQRRFPLEKGLAPPWWPTGEELWWGDQGVSIDHGAPPYRKPHDLKKAWKVSVLAAVIKHMAPNSDRMRRLVRQSKCLQDKMTAKETAIWSKVVNQEEVLLQLTETCLKISDSNEKEDQKESPSGLSQIKGKNNGKRMCSLPSSEKRKNSIFDEEAWQNRQGLKSSELGLGFVYKKSKVDHESALCASGLLAEGKFPLFDEFPVDTGVTSMVDWINMELQKANQIGQNSGALVINGQVGGEVSDTKVGDYGASYWGGGIEDLAIDRAFDIQRGNMDLNLCSEEEISHSQESTSIWDLGYD >ONI02643 pep chromosome:Prunus_persica_NCBIv2:G6:22050733:22055030:1 gene:PRUPE_6G212200 transcript:ONI02643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLLLLLLHLHHSLAAREMSDYRALLSFKSSISSDPNSVLSSWTPTTSHCTWTGVTCDSRRHVTSLDLSSSDLVGTLSSDIAHLRFLSNLTLADNQFSGPIPSEISALSGLRLLNLSNNIFNTTFPPQLSNLTRLAVLDLYNNNLTGDLPVSVTHMTSLRHLHLGGNFFSGRIPPEFGRFPLLEYLAISGNELGGSIPPEIGNLTSLKELYIGYYNIYEGGIPPEIGNLSQLVRLDAANCNLTGEVPRELGRLQNVDTLFLQVNALSGSLTAELGSLKSLKSMDLSNNMFSGEIPGSFSELKNLTLLNLFRNKLHGAIPEFIGDLPELQVLQLWENNFTGSIPQGLGKNGKLITLDLSSNKLTGTLPPDMCFGNNLQTLITLGNFLFGPIPESLGRCGSLSRIRMGENFLNGSIPKGLFGLPKLSQVELQDNLLAGSFPETDTISVNLGQISLSNNRLSGSLPPTIGNFSGVQKLLLDGNKFSGRIPPEIGRLQQLSKIDFSHNKFLGPIAPEISQCKLLTFVDLSRNELAGEIPKEITGMRILNYLNLSRNHLVGSIPSSISTMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLVPCKDGVANGTHQPHVKGSLTASLKLLLVIGLLLCSIIFAVAAIIKARSLKKASESRAWKLTAFQRLDFTVDDVLDSLKEDNIIGKGGAGIVYKGAMPNGDNVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKILDPRLPSVPLHEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKAPGSKQGGGDSAITESFPPSGTSASESPTTTSNTKDHQQQAPPQSPPPDLLSI >ONI04140 pep chromosome:Prunus_persica_NCBIv2:G6:27559309:27561469:1 gene:PRUPE_6G305000 transcript:ONI04140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNAGSSTQENHFRQRLRVMAEKIVIFCRYYVPLIVLLPYRTLQFFYQIKTQVPIEPKHSSSFITDSEIQSEFAHHAPGVAMMNNGSFGCCPASVISALHQWQLKMFRQPSHFLLNELKNRILESRTIIKDHINAEDVDEVSIVDNISTAAAIVLQQTAWAFAEGKFNKGDAVIMFSCTYGAVKNSIEAYFSRAGGSVIEVPFNFPLNSNEEIISEFRKALEREKGNGRRVRLAVIDHVTCVPTVVMPVKQLVKICRDEGVEQVFIDAAHAVGSVDVDMQEIGADFYASTLYKWFFCPPVVSFLYCRKSATHSDLELHHPIVSHRYGKGLAEESFWVGTRDYSPYLVLPSVMEFVNRFEGGLKGIIKRNHDAVVEMGKMLAEAWGTNLGCPPDMCASMIMVGLPACLGISSDDDATKLRPHLCDKFGVEVRIHYQAPKDGEVGSTTGYVRICHQIYNKVDDYYKLRDAVNQLVRDGFTCALEVCICSAFIQFIGL >ONI02076 pep chromosome:Prunus_persica_NCBIv2:G6:18075101:18077102:1 gene:PRUPE_6G176300 transcript:ONI02076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNLLRVRKGAWTREEDELLRQYIQQHGEGKWQQVSLKAGLNRCRKSCRLRWLNYLRPNIKTGDFAEDEVDLMVRLRKLLGNRWSLIAGRLPGRTSNHVKNCWSTRLRKNMSSGAEKDKTLETTKTIILRPQPRTFSKKPNCLSSPAPTLQHIQLQENFNWPLPSSPPIENGIDEWKSQLADTNSVERAVCSGFQLEEDFFTNFWVENIAQNKGTGVNSADEGLLSNSDFSFHLWNFSKEK >ONI02303 pep chromosome:Prunus_persica_NCBIv2:G6:19731770:19734755:1 gene:PRUPE_6G189500 transcript:ONI02303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMLRHKPIYLFKRVPSSLPFCSFSASNYLNCQLHSFLSNQNSNLQYLSQSHALIVTSGNANNIFIAAKLISFYASLSKPTFSTKVFGSVCPKDTFLWNSIIKTHFSNGDYSKALDFFFQMRALGFAPTQFTLPMVVASCAELMLLEHGNNVHGLALKLGLFSGNSAVGSSFVYMYSKCGRMEDAYFMFEETTVRDVVCWTALIIGYVQNDEIEKGLECLCEMHRVGGSDERPNFRTLEVGLQACGDLGTLVEGKCLHGFVVKSGIGCSEAVKSLLLSMYSRCGVPGESYLSFCEIKDKDLLSWTSVIGVYARSGLMDECLSLFQGMQVSDIFPDEIVVNCMLSGFKNSTTINEGKAFLGSVIRKNYALSQMVHSALLSMYCKFELLTRAEKLFFGMQHQNKESCNTMICGYAKMGLHVKCIQLFRKMQHLGIEADSNSLVSVICSCFQLGAIHLGRSLHCYLIKVSMDENISVANSLLDMYGKSGHLKIARRIFSGTQRDIITWNTMISSYTHAGHSAEAIALFEKMIAVNFKPNSATLVTVLSACSHLASLGEGEKIHSHIKERRLEINLSLATALVDMYAKCGQLEKSRELFDSMEERDVISWNVMISGYATHGHAEPALEIFRKMENSNIKPNELTFLALLSACNHSGLVEEGKYLFGKMQDLSLKPNLKHYACMVDILGRSGNLQEAKDLVLSMPIPPDGGVWGSLLSACKIHNEIELGVRVARHAIESDPENDGYYIMLSNLYSSIGRWEEATNVRKMMEKQGIGKTQGWSVV >ONH98929 pep chromosome:Prunus_persica_NCBIv2:G6:135943:138099:1 gene:PRUPE_6G000500 transcript:ONH98929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVMYMLLFCLSAGVISVVQGEDPYLYFTWNVTYGTISPLGVPQQGILINGQFPGPNINSTSNNNIVLNVFNNLDEPLLFTWSGVQQRKNSWQDGTLGTMCPIPPGQNYTYRFQVKDQIGSYFYYPITAMHRASGGFGGLRINSRLLIPVPYADPEDDYTVLIGDWYAKGHTALKKHLDSGRSMARPDGVIINGKSAKGDGKDEPLFTMKPGKTYKYRICNVGLKNSLNFRIQGHPMKLVEMEGSHTVQNTYESLDVHVGQCFSVLVTADKDPKDYYMVASTRFTKKVLTGKGIMRYENGNGPASPELPEAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRTLKIVNSATKVDGKLRYAINGVSHVDPETPLKLAEYYGVADKVFKYDTIQDDPPATVEDKVTLAPNVVNQTFRNFVEIIFENHEKSIQSWNLDGYSFFAVAIEPGRWAPEKRKNYNLLDGVSRHTIQVFPKSWAAIMLTFDNAGMWNVRSEQSERRYLGQQFYVSVLSPARSLRDEYNLPDNTLVCGIVKDLPKPPPYSAGA >ONI03445 pep chromosome:Prunus_persica_NCBIv2:G6:24968041:24969904:-1 gene:PRUPE_6G257100 transcript:ONI03445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVMLVNYHKPLAITVQSQSQNPSFNSRKINPNQSHAWRARRRPIINGLNGDTESDPTNPQDKEVDNLGVKAALCVLKFYKREISPLLLNSCRYVPTCSEYSMQAYKKYGFAKGSVLTAWRICRCNPLGGTGYDPPRWFEETSLPEEE >ONI02291 pep chromosome:Prunus_persica_NCBIv2:G6:19691152:19692830:1 gene:PRUPE_6G188600 transcript:ONI02291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLEALAMAGKDYLSIAMTVEEWERLELSPPHLLAEDEEEKDERNLGEQVMGKETKKGREGGGQTKPAITVRIGQIDVYPYQIEPLSSPLDHKEQ >ONI01338 pep chromosome:Prunus_persica_NCBIv2:G6:10594258:10596507:1 gene:PRUPE_6G134100 transcript:ONI01338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPNPTRLRPKKSTTPSERFLGAYPHALPNNPSPATSIGDELNEDDVFWSNDVAAEPIHHSTPSSSTSSTPRHHSHHHHHHHHKGFSQPESFGILAALPEREPSSSNHRPHSHFYHKSSVSSSASSSPSQLIPAIPKPPHDRFHHPPLSSVKYHQSAPLNVPVLANAMRKHREFDAVDDDQDDDEGEGEMLPPHEIVARSSSHTPMLACSVLEGVGRTLKGRDLRRVRNAVWRQTGFLD >ONI01330 pep chromosome:Prunus_persica_NCBIv2:G6:10474787:10475413:1 gene:PRUPE_6G133300 transcript:ONI01330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTSTLKFFSLLISSFAIVQMAMAGDPDILTDFVVPPNGTVDGNFFTYTGFRVLVGGAPPTAFKVLKASLAEFPALNGQSVSYAVLQFPSGTTNPPHTHPRSAELLFLIQGSLQVGFVDTKNNLFTQTLQVGDLFVFPKGLVHFQYNADSQKPALAISAFGSANAGLVSIPSTLFTTGIDDNVLAISFKTDVATIQKLKAGLAPKP >ONI04546 pep chromosome:Prunus_persica_NCBIv2:G6:28715979:28717142:-1 gene:PRUPE_6G327300 transcript:ONI04546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIRLSFFFFVLFHAFLLLSPFVHGSDEDDDNLLQGINSYRRSLNLPVLIKNDNAGCLADRIADDIEDLPCSSPTNGANILPSSQSPLANLPKHLRKCKIDANSTQDGVILPVCVPKLVPTLVLTNYTHSPKYVKYLNDSRFSGVGVGSEDNWAVVVLASSNPSGNFANAAGSLVSMFGFGHYLVFLLLGVSLILVS >ONI05193 pep chromosome:Prunus_persica_NCBIv2:G6:30452177:30456932:-1 gene:PRUPE_6G361300 transcript:ONI05193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRTMDQEDDAVAENKTKGCGPGANTISIAERRAAKCGFNAERINTARFRTTSPLPSPAAAARSPCLTIPPGISPTALLDSPMMLPNSQALPSPTTGTFPLLPPSDDSSVLKSGTHEDGHRGSDFGSSFTFKRQGDPKYLPGYSSFENQGSTVDYQSLVLEQQPIDFEFPMEFPEEANAKNYAVDPSTHVKNLNSGILNANCVDLQRSNSSAASEQNSLPKEPIHGEDVGSHPFLEGEHRGSYPSAGMVRTSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCQVKKKVERSFDGQITEIIYKGAPHNHAMPQPNRRAGASLGSSFSFDETSEMSEGSRASVKVEGGSVWTNIQSGKDIKTGYDGRAEGLERTSSTSVVTDLSDPLSTTQGKSMSIFESAETPEFSSTLASHDDDDRATQGSISLGDDADDEESESKRRKKESCLIEPSLASRAVREPRVVVQIESEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCSVRKHVERASHNLKFVITTYEGKHNHEVPAARNSNHINSNGGNAHPSTANAQPALALPRSSNNPKPETQVQDLAPHFDRKPEFHEEYLRSSFLGNFNNDLKFGVPSIYQMKYPLQNTMPYSSFGLHANHGVTHQAGTVVPDFPISLPLNLPPSGNLPLSGFDFNNGKSVCPVQPYFSGQQLQEDDVRFLKPKQEQKDDNLYDACLPIIDQANASLSSSSSSSSLLYQRIMGGYPS >ONI03028 pep chromosome:Prunus_persica_NCBIv2:G6:23576364:23577409:1 gene:PRUPE_6G234200 transcript:ONI03028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFNSASSRDRHTKVNGRGRRVRMPAMCAARIFQLTRELGHRSDGETIEWLLRHAESSIVAATGTGTLPAEPISTSAPSVSSQVPSLACRAHPLSSLNGGGQFMYPLVSSAHQAQPNQPHQQPSIRLDLCQPAGLDYTEYRQHMPFTSLLLHPAENEEEEDGQQEEALCLEDG >ONI02068 pep chromosome:Prunus_persica_NCBIv2:G6:17992333:17993550:-1 gene:PRUPE_6G175500 transcript:ONI02068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSNRISREELRAGDHIYAYRRGHTFSHHGIYVGKDLVIHFTSTNDPINSSSSREPPCEKCGHDPNTKRGVLRTCINCFLKGHRLLRFEYEVSRLHFISKHKGTCSTECCYANEETIRRATEIFNKDKVHGKGFGDYDLLDNNCENFASYCKRGTPVSEQALKAMGIAAASSSTDTQTSSTSKTAMLLSFFSSKSS >ONI03963 pep chromosome:Prunus_persica_NCBIv2:G6:26978540:26980111:-1 gene:PRUPE_6G293600 transcript:ONI03963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISLMPSSYPTPFTLVQSPSPSPSPLWRTHNKRTQHARSCSATNPTGTTPLNVVNLADKTHQSYPKDYGLQSSVEEPKLDFDSIASRLQVQRFIDRIKALPFRETSVILGIFEHDGCFQTVSEFNALLMALVIAKEPDIALSLFNEVSAYRLVPDSLTFSIMIRCYCEKNDLDEAKRVLVHMVENGFYPNAATITVLINSLCKRGRLQRALEVLEVMGRIGCKPTVQIYNCLLKGLCYVGRVEDAYEMLMRIKKDAIKPDIYTFTAVMDGFCKVGRSDEAMELLDEAVEMGLTPDVVTFNTLFNGYCKEGRPMEGLNVLKQMKERNCNPDCITYSTLLHGLLKWGKTRNALKVYKEMVENGFEVDGRLMNNLVRGLCRRSRKEKDLFEDAHEVFEKMQNGILGINASTYGLMIQTLCMEKKMYAAVFCLQQMIGMGYSPWIITFNNVIKTLCVEGKVTEALLVLSIMYEGGRGTNGISYKPLIHGLNRRGSFLGACSVYGAALKRGVIPNTKPQQ >ONI02811 pep chromosome:Prunus_persica_NCBIv2:G6:22790484:22791822:1 gene:PRUPE_6G222800 transcript:ONI02811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVEKDDEEAFSLFGEIIESKIINDRETERSRGFGFVTFNHEKNLDGRNITINEAQSGGSGDGGGGNGGYNCGGYGSSGVGY >ONH99756 pep chromosome:Prunus_persica_NCBIv2:G6:3455800:3470752:1 gene:PRUPE_6G048400 transcript:ONH99756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFGLAGGIPERRVRPIWDAIDSRQFKNALKHVSTLLSKHPNSPYCLALKALILERMGKSDEAFAVCLNAKELLHSNDSVLMDDLTLSTLQIVFQRLDHLDMATSCYEYACGRFANNLELMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVFCGNGGEKLLVLAEGLIKKHVASHSLHEPEALMVYVSILEQQAKYGDALEILSGKLGSLLMVEVDKLRIQGRLIARAGDYAAAAIIFQKILELCPDDWECFLHYLGCLLEDDSNWCNRDNTDPIHPPKFVECKISSLADEMFDSRMSNASEFVLKLLQNIGDNFVRCPYLANIEIERRRHLHGKGDDEKFLDALIQYFVRFGHLACFTSDVEMFLEVLTPDKKAELLGKLKESSSSLSTEPTKVLGQSITLFKIQELIGNMFKLPVGELEGSAVQMVEMYCKNLPLSKDLDSQESMHGEELLSMACNVLIQLFWRTKNFGYFVEAIMVLEFGVTIRRYVWQYKILLLHLYSHLGALSLAYEWFKSLDVKNILMETVSHHILPQMLVSPLWTDLNNLLKDYLKFMDDHLRESADLTFLAYRHRNYSKVIEFVQFKERLQHSNQYLVARVEAPILQLKQNADNIEDEETVLESLKCGSHFVELSNEIGSKSLTFNEDLQSRPWWAPTSERNYLLGPFEGISYCPRENTMKEREANVRRVIERKSLLPRMIYLSIQSASASLKENLEVNGTRSDPKVPSELKLLLECYAKMLGFSLNDAIEVVLGVSSGLKSFEVFGLDLIDWINFSVFLNAWNLSSHEIGMANGEGGLSQAWHCVDSLLEKYVSAKVSSMETLISSPCVDLPVLVQLITEPLAWHGLVIQSCFRSCLPTGKKKKKTGVADQSSLSHLRDSVQSLCDTLEKVMKWLREQINKPEDENLETLLSALQKKGQNEGPGQVFQIIETFLSSKDDTELGDRISHALKSWSSVDVGRKIVTGKAMKASNLTLKPLCSCLLLGLLAFLAFLASFSAAETHYHEFVVQAKPVKRLCRTHNTITVNGQFPGPNLEVRNGDSLAIKVTNAARYNVTIHWHGVRQLRNPWADGPEYITQCPIQPGATYTYRFTIQDQEGTLWWHAHSRWLRATVYGALIIYPKLGSPYPFLMPKREVPLLLGEWFDRNPLDVQRLAAFTGGAPNASDAYTINGQPGDLYRCSKQETVRIPVDSGESILLRIINSALNQELFFSIANHRLTVVSADASYTKPFTTRVLMMGPGQTTDVLLTADQPPAHYYVAARVYQTAQNAPFDNTTTTAILEYKSAACSSKKGQSPPPALPLLPFFNDTATATAYTAQIKSPSQVKVPTQIDENLFFIVGLGLNNCTVPNSPRCQGPNNTRFTASMNNVSFVFPRTNSLMQAYYNGVPGVFTTDFPPVPLVQFDYTGNVPRGLWTPRRGTKLYKLKYGSNVQIVLQDTSIVTTEDHPMHLHGYHFYVIGSGFGNFNPRTDPAKFNLVDPPLRNTIGTPPGGWVAIRFVADNPGVWLMHCHLDAHLALGLAMAFLVENGKGPLQSVIPPPADLPRC >ONI00572 pep chromosome:Prunus_persica_NCBIv2:G6:6653246:6653678:-1 gene:PRUPE_6G095900 transcript:ONI00572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLEVNLLPRINSGSIRLLTFRNGSSWKMDLVTGRASWSRDSIKRVIERGHGLFSNGVPVWNWVGVSGGWG >ONI00038 pep chromosome:Prunus_persica_NCBIv2:G6:4451125:4453611:1 gene:PRUPE_6G064300 transcript:ONI00038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESLNNISLKALVNKGSNRVIFIESGHEFIDVLISFLTLPMGTIIKLARNHSAPLGIGCMKNLYASVKDIDVQHFRTNCWDMLLSPRNGAGPIVENLEMNIDDCDNTRFFRCSNGPCWTPTRLSYYNTVPCRCGNLMNIAVDVYAKAGHEVFVKGPVRLIISDDLQVLPPETSVSSSLLKKAGAMDSNTIEELSLDIGADEVFNLLMCSFVSKTPLTDIFLKRRPRSMFNKNIGTQQISINSRMIEEARNMYSEANEIFVKLIVSKSKNVVCYAEAGEDFVNLLFSFLMLPLGFILKAARDVCLKGCIDRLYKSVRNLDGRLWKSDTRKELVVRPKPSPGFCYQNHPLGIEEASNVLGDQAEIVDPLSQYYEDENEDSSLGFLKGPAMFMVTDSLVVSSISPILGLSVLNDMNVPITDVEVQTVHVGVEEAFGLLVASFGCESAALTSVFLRR >ONI04656 pep chromosome:Prunus_persica_NCBIv2:G6:28981346:28985414:1 gene:PRUPE_6G333100 transcript:ONI04656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQWILLCHGLVTLLVLVSFLCGQWPIFEGTPIQRINHFLTFGAYDYFQRFVGFVFGAKGTNAISSVEYFCCDRPNPILQIIYLAIVGGTYFLVANSSFGYIPGYYIGEIHRYTSLLAVGVGVLLFLLTCFSDPGTVKADNVSLYLSAYPYDNIIYSEKECSTCKIPKPARSKHCSICDRCVARFDHHCGWMNNCIGERNTQYFMAFLLWHTLLCIYGTVAIGLILAGQIKEFKVIDILTVYYGIENSFRSLSPHVVQWLLGAYNTQILLMVFLAIVSLSLAGFFGYHAKLCLTNTTTNETFKWQEYVNWQRKLNEARASSAALKASISGMSSERKPPENKWRAIFRRSPLKDVEVVVTNNIYDKGFFQNILEIIMPLSRRQLSLRTKSKSG >ONI04530 pep chromosome:Prunus_persica_NCBIv2:G6:28680423:28682321:-1 gene:PRUPE_6G326400 transcript:ONI04530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFFSSFSSKHFILYLFILYLCLLILILSSFIPGKPSNLVITSRHQQVFKNEIKNVDWFIAISKVFKIKGRKIKVGLVNVDDYIHSQLHGLEDQVEIETVSVGFERVSKDRKWGDYFPEWIDEDKKWGSPKCPKIPIPKLEGYKDIDVVLAKVPCDKEGIRDVFRLQVNLVVANLVVGEGWMKPDAHRTVYVVFIGSCGPMVEIFRCDDLLMHRGDYWVYMPDIGRLKQKVLMPVGSCQLAPGYAETGREIWKKFMYNSSSWTPYIKRRLAYVTILHSSEAYVCGAIALAQSIRQTNSTKDLVLLADHSITPSSIQGLTAAGWKIKQIERIRSAFAQKGSYNEWNYSKLRLWQLTEYDKVIFIDADLLVLKNIDRFFMYPQLSAVSNNKMLFNSGLMVVEPSNCMFEYLMRKTFKIKPYNGGDQGFLNEIFTWWHRLPWRLNALKMFNRPSKEGNNEMPKDLYAIHYLGKKPWTCYRDYDCNWEANGRSVFASDSTHRRWWKVYDKMPEELKSYCGLTKKMDAELKERRDRASKFNLSDGHWKIEVKDPRQHHLNI >ONI05075 pep chromosome:Prunus_persica_NCBIv2:G6:30181214:30182596:-1 gene:PRUPE_6G355300 transcript:ONI05075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASTKNSLLFFLHRSKPQTHCVLLRHLATVNAAPQNRVVPTKDDYFSAIQHITNIVRRDHFMERTLNKLRITVDSELVYRVLRACSAAGTESLRFFNWARTHHPTYHPTTLELEELVKTLARTKKYESMWKLLQSMQTHHGLTLSQESLCFVIEEYGNHGLVDQAVELFNRAPKTFNCLQTVEVYNALLFSLCQAKLFHAAYALVRRMIRKGLVPDKRTYSILVNAWCSNGKMREAQLFLEEMSSKGFNPPVRGRDLLVEGLLNAGYIEAAKEMVRKMVKEGFVPDVSTFNSLMEAICKCGEVEFCIDLYWEANGLGLCPDINTYKVLIPAVSKVGRIDDAFRLLHNSIEDGHRPFPSLYAPIIKGMCRRGQFDDAFCFFSEMKVKGHPPNRPVYTMLITMSGRGGRFVEAANYLVEMTEMGLMPISRCFDLVTDGLKNCGKHDMAKRIEQLEVSLRGT >ONI02902 pep chromosome:Prunus_persica_NCBIv2:G6:23190922:23191599:-1 gene:PRUPE_6G227900 transcript:ONI02902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGLGRWDGGPSSPLCLESPTYLLHHYHQNHNHEGPHFIDIKYEGMGWDQGGDLLVAMVKGSRGVEKTVLLVF >ONI00224 pep chromosome:Prunus_persica_NCBIv2:G6:5250715:5251426:1 gene:PRUPE_6G076400 transcript:ONI00224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHYDMEDPYMCVLELKEVEEDDQKADGIAGRLMKWCLIKKLVLDEMVAENPDIAKWPAAAGWWWLASVRAFDPKRRGYFVFTFG >ONI03667 pep chromosome:Prunus_persica_NCBIv2:G6:25865235:25866690:1 gene:PRUPE_6G273700 transcript:ONI03667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKWTMGIADKLSDVQNGSEDLSIYRVPRKLRKVKEDAYNPRVVSIGPLHRDNPNLIAMKEHKWRYMLFILQQTNDFNNTTECLKKCTNAIYGLGTFPLNTKVCESYAEDLTEIKEQDLAEIMLVDGCFMLELFLRYDQYCSDIQLKHQSNDPIFSNAWMIPALKHDLALLENQIPFFILEILYNIIKPHIPHTVEYTPPNSVTSLALNFFQPMNRKATNEDTKTADCKHLLHLLHNFFLQTRSDHIVELGPAKAPAKASKKKSKFKYCASDLYDAGVQFEKGLAEDHLLCIKFEDGEIKIPPVFIDDTTDSLFRNLIAFEQCHLKSSHHITSYVILMKSLIRSKEDIKLLKKKEIINENFAGGEYFVHFGSILDHVNPKDFFFGDLCDQVNDYSRSWFHWRKFKVFWMVRLQRYMRSLYNTYFSSPWSITAFFAAAVLFSLTVTQTYYAIHPR >ONI04632 pep chromosome:Prunus_persica_NCBIv2:G6:28888264:28890712:-1 gene:PRUPE_6G331300 transcript:ONI04632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQNCKSKPQPVLPKRIILMRHGESQGNLDTAAYTTTPDNKIPLTDVGLAQAHLAGAHLHRVISDIDTNNPNWRVYFYVSPYERTRSTLCQIGRSFSRTRVIGVREECRIREQDFGNFQVQDRMKAIKETREKFGRFFYRFPEGESAADVYDRVSSFLESLWRDIDMNRLRHDPCHDLNLIIISHGLTSRVFLMKWFKWTVEQFEHLNNLGNCEFRVMQLGKGGEYSLAIHHSEEELVEWGLSPEMIADQKWRAHANRGDWNERCPWYLDTFFDRLAESEDSGESEDIWHETDEKIQQSN >ONI04821 pep chromosome:Prunus_persica_NCBIv2:G6:29472979:29473522:-1 gene:PRUPE_6G341300 transcript:ONI04821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIGKEKLGIPEKPTILGTPGLDLISLGLVDADKLPKYNLTVEDGRRLAKEYSRVLMRRHRARQAAESTLLRLKKEAIEALPEHLKAAALVPDLSKFPENRFMATLTPPIEGYIERVKEAARKSSEKGKLR >ONI03454 pep chromosome:Prunus_persica_NCBIv2:G6:24997694:24998751:1 gene:PRUPE_6G257600 transcript:ONI03454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDCATPKRRECQIPEMLLCPPPPKKKAVGETQRDPPKNGYFQPPDLDALFALPPRTQACA >ONI04766 pep chromosome:Prunus_persica_NCBIv2:G6:29326476:29330540:-1 gene:PRUPE_6G338400 transcript:ONI04766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEENSGIAKDVTELIGKTPLVYLNHVVDGCVAKIAAKLEMMEPCSSVKDRIGYSMIKDAEEKGLIKPGESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRTILLGFGAELVLTDPARGMKGAVQKAEEIVAKTPNAYMLQQFENHANPKVHYETTGPEIWEGSGGKADAFVSGIGTGGTITGVGKYLKEQNPNIKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVIQISSEEAIETAKQLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFEP >ONI04765 pep chromosome:Prunus_persica_NCBIv2:G6:29326478:29330565:-1 gene:PRUPE_6G338400 transcript:ONI04765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEENSGIAKDVTELIGKTPLVYLNHVVDGCVAKIAAKLEMMEPCSSVKDRIGYSMIKDAEEKGLIKPGESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRTILLGFGAELVLTDPARGMKGAVQKAEEIVAKTPNAYMLQQFENHANPKVHYETTGPEIWEGSGGKADAFVSGIGTGGTITGVGKYLKEQNPNIKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVNLIDEVIQISSEEAIETAKQLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFEP >ONH99345 pep chromosome:Prunus_persica_NCBIv2:G6:2042999:2045381:1 gene:PRUPE_6G025700 transcript:ONH99345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERKLSAEAGSILQEGIGLVLSRWSALQLAVENEWGGRDSGRKAEQLIIDIFSWFNHSKEPLYIDDLEDVLNEAMLSLNTMTEDGSIEEVAEKLMIMHEECLDCNFKSIESLREANHRRVAVPHVREVANDDDDSDEENDDRDHCMGNDDSSNMMMVDTPESHSNVNVVSMLDSYPKPKLAAEAEDGWEVVGPRRHKGRRN >ONI05219 pep chromosome:Prunus_persica_NCBIv2:G6:30535859:30540219:-1 gene:PRUPE_6G363200 transcript:ONI05219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLPCPVAPSHQHLFLLVIIIIALSLHSFCFHCSCSEIIFEERFEEGWQRRWVKSDWKSSEGKAGSFKHTAGKWSGDPDDKGIQTSNDAKHFAISAKIPEFSNKNRTLVLQYSVRFEQEIECGGGYIKLMSGFVNQKKFSGDTPYSLMFGPDICGTDTKKLHVILSYQGQNYPIKKDLQCETDKLTHFYTFILRPDATYSVLVDNRERDSGSMYTDWDILPPRKIKDVNAKKPADWDLREYIDDPDSIKPEGYDSIPREISDPKAKEPDDWDEEENGLWKAPKIPNPAYKGPWKPKKIKNPNYKGKWKTPWIDNPEFEDDHDLYVLKPIKYVGVEVWQVKAGSVFDNILICDDPEYAKQVVEEVFTNREAEKDAFEEAEKKRKAQEEEEARRAREEGERRRRDRGYDRGRDRHRDRYRRHRHRDWDYDHDEL >ONI03574 pep chromosome:Prunus_persica_NCBIv2:G6:25440993:25443857:-1 gene:PRUPE_6G266300 transcript:ONI03574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTYPPPPPYYKLYKDYLQDPKSAPELPPEGTYICYGGNYTTDDILPSLEDQGVRQLYPKGPNIAMPPSIPVLIIYFKKELRSLNRELQLHILELADILVERPSQYARSVEDISLIFKNLHDLLNSLRPHQARATLIHILELQIQRRKQAVKDIKRRREEAQRLLKDYIGTLEDTGASFVLK >ONI03101 pep chromosome:Prunus_persica_NCBIv2:G6:23839031:23846300:1 gene:PRUPE_6G238800 transcript:ONI03101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRHQFSTSHVFEGENDQNWNHMNTEQPFGHLARAGTGENGSFLYPVENMFIDGMPFASNWNPAVRSYGYSNLNHNVDVPHYQPDASGPSHDPFQHPLGAGTFGTVGGNYAHHAPSSSYDRRAFHGVEGGFVDLTMSNGRGPHKRKSPGIPSVSERGSTSRYYSAGSSSSELPRSSELQQEKVNMDTPYMPWDHMSMMPSYRGNGLSIRGEGSMRNVRSRSEHDLESNLARTHLSSNPLHSSYSTNLPPIDHSSTMDLSGQGSIGLTHEWNHINVSPSHGRIITPDASGFSHDPNHFLVGSSVGNASVETGLYHHDFMSGRATAVPQSYPGALPQSVRGVRSSYSQRSAPTFRASSSNLRVGHAPHSEEGLQLASESYPRHPRPVATVGWRNSDRSGRSRMSNDRFRVSEETGLQDRFSSEGFMIVDRPALYGSRNMFDHHRDMRLDIDNMSYEELLALGERIGNVSTGVSEDLIPKCLTETIYCSSDQIQEEGTCVICLEEYKDRDDVGALKTCGHDYHVSCIKKWLSMKNSCPICKGCALPDNMK >ONI04903 pep chromosome:Prunus_persica_NCBIv2:G6:29723600:29727372:-1 gene:PRUPE_6G347200 transcript:ONI04903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQKRSANDIHAALTDDILHEILLRLPEKSVFNFILVSKRWLRVICSSSFRKDYHARWRISFHLLGFFVCNHLYLGRSKHGICRPPSERALHLLSTCEEGDNLLVSGIPKQLGYFIDSSNGLLLFGRHPMTYSVWNPITKQLCRLPQPQRYYKSLCIAFFSVTEECFEEIIHYKVIRARCDCRRDEVNTVSIETFSSVTGTWKHYTLTCSSTFALRPWAVATVISGVVYWFATQGNLAIYDPRLGDRRIVLLKLPDGTISQDYDECVLGEAPDGHLQYGQSSTSGMEIWVLEREGNSFSSNVQSQNRWNLRYKLSFKAMWKQNPDSAKNSKEAQILSFLPQNSEYVFIRAGWNIFLFHLKSRRLTLIPYLGRDSSIQWDDSQVMPYFRPSWPRSCLCP >ONI03656 pep chromosome:Prunus_persica_NCBIv2:G6:25786765:25789407:-1 gene:PRUPE_6G272600 transcript:ONI03656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNIFFNSFASAPKLYVVLTWADVLAVYAMWMTMVYLTEVWKLNFTHAAAIVNLYWGIVAVLPVGLQFLVDAFMGNYWMVLVSSFAYSAGLGFLSMSTPPVLAGATGTCSAYEPECIGQGQKILFYTAVALIAMGMSGHLTSLGAFIAEQFMDSQSNLEDSMPCHFFLSFLMVILVPIAGVFAIYYIKPWSIRYGISAICTLVATLIFLTGSCSYHTHRAQGSPLTTLFRVFAASASKIFRRCPRDASQLYEKLDDGYQISHTRRLRCLDKAAIILATQPLEQQQNNRWRLCRVTEVEETKSILCMIPICTTFILMGVVSSIGNTYFIEQATHMNRKVGRIKVPLPILLWFYDHAKQQFAKIYFQIGGLTRYGPTIGIAVSMIFAILCCITAAKVETRRLGAVKRHGLIDKPEETIPMSIFWLLPQFLLLGGLDGIADNSIGWFFINQVPPSMARYMVLFAIALFGVGIVGSVLSVYLVGEISGREGKPSWFQETLNKSRLDQYYWTLAALAAANLVLYVLMSIWYAYNDSRSEDDEAPDYGETAEPFDDNVQCCCCCA >ONI02016 pep chromosome:Prunus_persica_NCBIv2:G6:17773439:17774253:1 gene:PRUPE_6G173000 transcript:ONI02016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLQPKLPSKPSMNPLSVSSSLLVSPLSPFLPLRPQPTLPQQYQTKTTAEKSLSFNPCIPSLATSSSSRLNAK >ONH99246 pep chromosome:Prunus_persica_NCBIv2:G6:1624758:1627133:-1 gene:PRUPE_6G020500 transcript:ONH99246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSVLDLLCFFLFMILPYSTIAQTSRNISLGSSLTARNDDNSSWASPSGEFAFGFQQIGKDGFLLAIWFNKITERTIVWSANGNNLVPQGSQVELTSDGQFMLNDATGKRIWYAESAGTGVAYAAMLDTGNFVLANQSSSTLWQSFDQPTDTILPTQTLNQNSNLYARYTATNYSRGRFLFALQSDGNLVLNTTNFPQDYAYSAYWSAETTNIGFQVIFNQSGPIYVVSRNGSVLKTILQTSVSTQNYYQRVTLGYDGVLRHYLYPKSASSIAEPKAWSTAVSIPENICFSVAGEMGGGACGFNSLCSRGEDGPNCDCPRGYTSIDPNDVRKGCKQNFVPQSCDQVSPEHLFDFEVMQTTNFPHGDYEHFTEVPENWCRQNCLDDCFCAVAIVNSGGHCFKKRLPFSNGMIDPSVNAKALIKFGKDNSTLRPGGGAITKKKDNSTLILVGSVLMSSSGVLNILLPLITYLVVSRVYSRKAKVNQPHPVMSGMNLKDFTYEELKKATNEFKDEIGRGASATVFKGVLASDTGRCVAVKRLDAKVRENDLEFKAEVSAIGRTNHRNLVQLLGFCNEGEHRILVYEFMSNGSLAGLLFGESMPNWYQRRQIALGIASGLLYLHEECSSQIVHCDIKPQNILLDDCFTARISDFGLAKLLRLDQTRSMTAIRGTRGYVAPEWFRSLPITVKVDVYSYGILLLEIIFCRKHFVEVADNEDEMILADWAYDCYMQKKLHWLFKNDEEEINDINEMEKYVMIAIWCIQEDPSLRPTMKKVTLMLEGTVEVSAPPPLS >ONI02815 pep chromosome:Prunus_persica_NCBIv2:G6:22836944:22839388:1 gene:PRUPE_6G223200 transcript:ONI02815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQFTEAPPPALHQIITAPFSNLPMMNKNQTHRTRPWPGFPTSAKALGTNFGDANCMEQLLVHCANAIETNDATLAQQILWVLNNIAPQDGDSNQRLTCAFLRALIVRAVNIGSCKLLAAMANSQANFTIHTHKFSVIELASFIDLTPWHRFGFTAANAAILEAVEGYSVIHIVDLSLTHCMQIPTLVDAIAGRQEGNVSPPLLKLTVAGSTEDVPPMLDLSYEELGSKLVNFARSRNIILEFRVIPSSYTDGFANLIQQLRVQNLVYAESGEALVVNCHMMLHYIPEETLTLPSINSNPNLSSCGSSSSYGYDVASSSSTSASSSLRTMFLKALRGLDPTVVVLVDEDADLTSNNLVCRLRSAFNYLWIPYDTVDTFLPRGSKQRQWYEADMCWKIENVIAYEGFQRVERVEPKCRWVQRMRNANFQSVSFGEDAVLEVKAMLDEHAAGWGLKREEEDVVLTWKGHNVVFATAWMPA >ONI03608 pep chromosome:Prunus_persica_NCBIv2:G6:25592254:25593378:-1 gene:PRUPE_6G268700 transcript:ONI03608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTLLQGFLLLANAFAVLNEDRFLGPRGWTLLQIQGGKTTLKGQIIGFIHACQYFRLPLIVLNIITIAVKLISG >ONI04061 pep chromosome:Prunus_persica_NCBIv2:G6:27261984:27264568:-1 gene:PRUPE_6G299900 transcript:ONI04061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEKLEKVGEGTYGKVYKAKDKATGQLVALKKTRLEMDEEGVPPTALREVSLLQMLSQSLYIVRLLCVEHVDSKEGKPVLYLVFEYLDTDLKKFIDSHRKGPSPRPLAPSMVQSFLYQLCKGVAHCHSHGVLHRDLKPQNLLLDKERGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTGVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRLLGTPTDKQWPGVNALRDWHVYPQWQPQNLARAVPALGPDGVDLLEKMLKYDPAERISAKAALDHPYFDSLDKSQF >ONI01400 pep chromosome:Prunus_persica_NCBIv2:G6:11190016:11192146:1 gene:PRUPE_6G137900 transcript:ONI01400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLWWLAVVVVIGIGVTGGVGHEDCRETRCRPDGPAIRFPFRLKGRQPIHCGFRGFDLSCTEYNETMLEMASSTKLLVDDINYRSHAIRGRAYDGCLPRELFYSNGSYPFQFVGRTPLFSCPSSTVRDKYGCGYGCLARLSPCHGNNSGNHIYAVIGHCSIDYLPILSCIKLHDYTSDPAYIYDEIGILRWSIPSCEHCKGRNLRRTNMKILGICALCLVLIAKVTTIYHVYTSNKMEKENQLRIERFLDDYRAMKPSRYSYADIKRITNQFKEKLGQGAYGTVFKGKLSSELLVAVKILNNSNENGEDFINEVGTMCQIHHVNVVRLVGVCADGFIRALVYEYLPNGSLQNFLSSADVKNSFLGWDKLQDIALGIARGIEYLHQGCDQRILHFDIKPHNVLLDQDFTPKVSDFGLAKFCAKDQSAISMTTARGTMGYIAPEIFSRNFGNVSYKSDVYSFGILLLEMVGGRKNFKVLEDSTSQVYFPEWIYNLLVQGNDLRIHIGDEEGYVTIARKLAIVGLWCIQWHPMDRPSMKVVVQMLEREGEHLAMPPNPFASTSS >ONI04747 pep chromosome:Prunus_persica_NCBIv2:G6:29284788:29286278:-1 gene:PRUPE_6G337700 transcript:ONI04747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMFDRTDYGPSNISLPGRQCRHDPNDTLLKVDCTAHSILYDIGTNTLRPLNVQTDTWCSSGAVFPDGTLVQTGGYNDGDHVVRTFTPCTGDNCDWLEFPNYLVQRRWYASNQILPDGRIIIVGGRRQFNYEFYPRNFQDPPRTFWLDILRQTRDQDENNLYPFLHLLPDGNLFIFANTKSILFNYNQNVVVREFPEIPGGEPRNYPSTGSSVLLPLDENKPSNVNIEAEIIVCGGAPRNSFVLASGGEFIDALGTCGRLKVSGQNPYWVMEEMPVPRVMADMLLLPTGDVIIINGASLGTAGWEYGRDPVTKPVIYRPSEKPNRRFSVMAGSQRPRLYHSAAVLVPDGRVLVGGSNPHVYYNFTCVEYPTDLSLEAFSPPYMSVEYEPVRPRIVSVKEVLGYRQFFSVAFAVPELLSVSVLSVRLVAPSFTTHSFAMNQRMIVMKLIGVTRVAPETYEISLVGPSTGEIAPPGYYLLFVVHADIPSSAAWVKLQ >ONI04856 pep chromosome:Prunus_persica_NCBIv2:G6:29587101:29588073:1 gene:PRUPE_6G343900 transcript:ONI04856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQFRLHNTLYPTIKKDIIILERERERVLMKMAKLMFLLLVCVMSLNAASAQSASNVRATYHIYNPEKINWDLKAASAYCSTWDANKPLEWRRKFGWTAFCGPVGPRGQASCGKCLTVTNVRTGTQAKVRIVDQCSNGGLDLDQGVFKRLDTDGQGYAQGHLRVNYQFVNCGD >ONI02391 pep chromosome:Prunus_persica_NCBIv2:G6:20259996:20260551:1 gene:PRUPE_6G195200 transcript:ONI02391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIMLSFLRLCIPVFLFQLSIFITTFSRSLPILTIIQPPSTFVFKIPLAGPFVVHIITKMSIPSLLLFCSISSIRI >ONI04888 pep chromosome:Prunus_persica_NCBIv2:G6:29670815:29675113:-1 gene:PRUPE_6G346200 transcript:ONI04888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPLDSDTYGTLINGLCKLGKIGEAKELFNEMETKGCLPSVVTYTSLIHGFCQTNNLDEAVGLFEHMKTKCLYLQLFDGWMELLDLMVSKRHKPNSITYSTLLHGFCEEGMLQEALEILDRMKLQGMKPDAGLYGKVISSFCNICKFQEAANFLDEMVLRGANLTD >ONI02150 pep chromosome:Prunus_persica_NCBIv2:G6:18577248:18577838:-1 gene:PRUPE_6G180200 transcript:ONI02150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKMLRSAVVVCFLLGLLIGQSQATFQECYAGCMLICFIKQHKIGCFAKCLKSCFVIPTDIQAKPEHYCKLGCATSLCSNISTKDNINEDKVNACVDSCSGPCSKSYVSAKN >ONI03166 pep chromosome:Prunus_persica_NCBIv2:G6:24080147:24080759:-1 gene:PRUPE_6G242500 transcript:ONI03166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISIWNFFGRVFSVFISEILLMRDQIPRPLMVTIVLVIATTGYLLIAFSFPGALYIASVITGFTLGAQLPLVYSIISEIFGLKYYSTLFNFGQLASPLGSYLLTRKDLAKLGQTRTQGKDLTCIGTHCFKMAFIILAAVTFFSALVSFILVERAKPFYKGNIYKKLRENAEQVKAEEVEEMASPSFSNGFK >ONI01441 pep chromosome:Prunus_persica_NCBIv2:G6:11322044:11327224:-1 gene:PRUPE_6G139700 transcript:ONI01441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKDADPTLGFLTRKDADVMLPRPTRVKNKTPAPVQITAEQILREARERQEAEIRPPKQKITDPTELADYRLRKRKEFEDQIRSVRGNVRNWVKYAQWEESHKDFERARSVWEHALEVDHRNPTLWRNYAEAEMKNKFINHARNVWDRAVTLLPRIWYKYIHMEEIIGNGAGARQIYERWMNWMPDQQGWLSFIKFELRYNEVERARAIFERFVQCHPKVGAWIRYAKFEMKNGEVARARNVYERAVEIMGDDEEAEQLFVAFAEFEERCKETDRARRVYKFALDHIPKQRVEDLYKKFRRFQYQDEVRKNPLNYDFWFDYIRLEESAGNKDRIREVYERAIANVPPVPEKRYWQPYIYLWINYALYEELDAGDMERARNVYRECLQVIPHKKFSFAKIWLLAAGFEIRQLNLEGARKILGTAIGKSPKDKIFKKYIEIELNLGNFDRCRKLYEKYLHWSPENCYAWTKYAELEKSLCETERTRAVFELAIAQPALDMPELLWTAYIDFELSEGEFERTRELYERLLDRTKHLKVWISYAKFEASAIVEAMCSEDQDQDYLREQTKQCVQRVRRIFEKAFNYFRTSAPELKEERYGILDLNNAADTLEVQKRRIYDITNVLEGIGLIGKKLKNRIQWKSYSKLSDWLIRGLDVSRTGDAQVENLSDEERRLDQQIREMQERLRDLNEDESNKKWLFVTEEDIKGLPSGFFRVKEWTPQVTYGLTKIFWVLVWFGERHCKNLPFGTIMSDADHWLLSDADISITDVENRTHPFPERCCLSFHLLFIPWAGVVWNELGAIDEDYMVNVSTPRPQTPPSSSTQVPATANRTKT >ONI05127 pep chromosome:Prunus_persica_NCBIv2:G6:30289712:30292992:-1 gene:PRUPE_6G357500 transcript:ONI05127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESIAMLPWTISSSVPSDHRLLPRGGLCVSQSHSSAAPSRNCVVARYSSTQSSLLFSKDSLRKCLRPLKALVASETLDIPTTPFTGDDKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGYASIGGGSPLRRITDAQAEELRKALWAKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYITAMANLIEKELQSFDSPEKVMIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEELEKRKITNAYTLAYQSRVGPVEWLKPYTDETIVELGQKGVKRLLAVPISFVSEHIETLEEIDVEYKELAMKSGIEIWGRVPALGCEATFISDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSQRRELPAPVTVWEWGWTKSAETWNGRAAMLAVVVLLVLEVTTGEGFLHQWGILPIHR >ONI03937 pep chromosome:Prunus_persica_NCBIv2:G6:26940845:26942242:1 gene:PRUPE_6G292800 transcript:ONI03937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSAMTKLALVVALCMVVSVPIAQAITCGQLFSNLAPCIPYVRGGGAVPPACCNGIRNVNNLAKTTPDRQAACNCLKQLSASIPGVNPNNAAALPGKCGVSIPYKISASTNCKTVK >ONI00364 pep chromosome:Prunus_persica_NCBIv2:G6:5711936:5712690:-1 gene:PRUPE_6G084900 transcript:ONI00364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEGIRFEKLPQQPEVSVASKNKVFLTLDFGFFGQCPTLEFGLTLGFWTAVDPFEHLKAEGCLQCITNRPN >ONI03903 pep chromosome:Prunus_persica_NCBIv2:G6:26762459:26763520:-1 gene:PRUPE_6G289800 transcript:ONI03903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNGNPELPQKSQDENKFFSRLLSKESSIANPSFKVYYGGLAGSVPFIWESQPGTPKHTFSDDPIPPLTPPPSYYSNSFQKPTKKHSRSNLLHTLFPKISLNKKTHVPSSPSSSLFCSSDSSMHVPVSTRKFHGRRSRFSSRSSSFDSTGDFEEEEDAGSPTSTLCFRFSRVTSGGNRGCH >ONI01346 pep chromosome:Prunus_persica_NCBIv2:G6:10648589:10649619:1 gene:PRUPE_6G134700 transcript:ONI01346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTLPTPPCCTAPQMVEAPICLAEPCSLQRDKHVPFCTPLKEKKYGTTWPATTTSQWHPCANANCQTAIKQKRKIQGAPDFLRTAVRHLPWKALLEGVHVAYFTKEISDIFHIPLYHMLYYIKLLTFINITV >ONI02229 pep chromosome:Prunus_persica_NCBIv2:G6:19441514:19442089:1 gene:PRUPE_6G185500 transcript:ONI02229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTNSPAAAAAAYPHPQPYSTAPALGPSYVQAPPPAGYPTRDAPNPASAHYGPVETKSKGDGFWKGCCAALCCCCLLDACF >ONH99072 pep chromosome:Prunus_persica_NCBIv2:G6:704358:707130:1 gene:PRUPE_6G009100 transcript:ONH99072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIVVVIFREALKDAEMENLEKGKQLEVEVANKEKLNQKILFLAEAKKRLEQEAAAALEKEKERCELAAQELENLRGESNAAHRNLVERHKAEICKLDQMLLDVNQEKQNAVSLFEAKEREFKQQLKSLVVYSCGLLRAITDFECRVTQDISGKCSRLKSLSSQSHSLKQKAKVLVKRTILYKQGFERKCSDLLKAEAEVDLLGQEVDTLSSLVEKIYMALDHYSPILQHYAGIPEILKLVRGKLRGRTN >ONI02028 pep chromosome:Prunus_persica_NCBIv2:G6:17831526:17832529:-1 gene:PRUPE_6G173500 transcript:ONI02028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPKSPSQDQLHQTASSSSTVPPLPPPLPRQPSSLLQPSQNRKSRSKVIRVFRSVFRSFPIITPACRMPSLPMGRMDSSKTASSGTRVSGTLFGYRKGRVSLSIQETPRCLPSLVVELAMQTNVLQKEMGSGMVRIALECEKRADRDKTSLLDEPVWTMYCNGKKSGYAVKREATEEDLSVMELLKAVTMGAAVLPGKSEVEGPDGEMVYMRALFERIVGSKDSETLYMLSPEGNNGPELSIFFVRI >ONI03534 pep chromosome:Prunus_persica_NCBIv2:G6:25292168:25294882:1 gene:PRUPE_6G263200 transcript:ONI03534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPKFLIILLLAATIARSEARLSSLRQGSSLKVEEESDFLVSPNGTFSSGFYKVDTSASCYSIWFTNSVNKTVVWMANRDKPVSRRGSKLTLHRNGNLVLTDGVGSIVWSTNTFSDAGVEAQLLETGNLVLINQEKMVIWQPTDTLLPSQRLVKDTTLVSVRSQGTYLSGFYNFRFYDNNVLYLMYSGPLFSSVYFPKQDKTVFDFKRTPYNSSKVAILDEAGQFISSDDFMFNASDYGIGPKRQLTMDYDGILRLYSLDESTGLWEMSWLPDGVDAYGMCTYKPQPTCSCPYGFSLNDSSDGSKGCSPWFNLSNDATKSDFMVLPNTDYYGYDMETYALGISFSACRNACLTDSRCKGFGYALDGKGQCYPKFFLLNGFHKPNTSQIMHIKIPKGFLSKDEVITKLETYDLNCSAAQVSLKTSDLEVEKSNKNRYMVYLVGFVSSFAIIEAICIGLTWWYAFRKHAHEEFVNMGDIALATGFKRFTYAELKRATNGFKQEVGKGGFGTVYKGVLDKRVVAVKRLDGILQGDAEFWAQVSVIGNINHRNLVKLWGFCADNEHKLLVYEYLENGSLDKILFTSDGELGLEQRYNIALGTAKGLSYLHEECLEWVLHCDVKPQNILLDDDLEPKVADFGMSKLFKDIQGIRDIDGMGFSKARGTRGYMAPEWMMNLKIDAKADVYSYGIVLLELLSGKSASILISALARVH >ONI03717 pep chromosome:Prunus_persica_NCBIv2:G6:26047385:26051050:-1 gene:PRUPE_6G277000 transcript:ONI03717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQECLLENGTSSEEEVHLGRTARQLSASALRKKSDPTLPNSVQNGHLRELLVNLQVVLLGTKLAVLLPTIPLSIFAVYYGFGKPWIFTLSLLALTLLAERISFLTEQISYYTGPTVGGLLNATFGNATELIIAISALSHGKVALLKYSLLGSVLSNLLLVLGTSLFCGGLANLRREQKFERRQANVNSLLLLLATFCHTLPMLLQYAGDSAATKDQTLQFSRAISILMLLSYIAFMFFQLWTHHGFFELQQVSEVDGDEDVEEEVAEIGFWSGFAWLFAVTAITALLSEYVVGTIEDASKSWGLSFSFLSLILVPILGNAAEHAGSIIFAFKNKLDISLGVSLGSATQVAMFVVPCCVVVAWIMGINMDLNFNLIETVSLALAVITTAFALQDGTSHYVKGLVLIFCYIVIGICFFVFETPVDQTAST >ONI03892 pep chromosome:Prunus_persica_NCBIv2:G6:26705374:26709902:-1 gene:PRUPE_6G289000 transcript:ONI03892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLRRSISGLFFLRQVLSPLPVPEKMTCRNDRLPASSGAPASPATPSGNSVVPYDSVSSCGSWSNISAGFKSGKPHNRNENWQMIMVGSFEIYYKISAVASGIRLRQLVPMSASGPTDKGISNSAYINFNKRKYQMDSISKLVNMEDAAASSSSENVTKFPHESPMSDCRSEMEKYCLPTEFGNKRKHFSAVKPHSEPRNMKYGCASKNSDCSKGFHYNEPFDICLSGSRSYELKASYARNMENQNEEDHMVEFTNPEALNSTNLILRPGMVLLKHYVTHTEQVEIVKKCRQLGLGPGGFYQPGYKDGAKLRLQMMCLGHDWDPETRKYGSRRTIDGTQPPGIPHEFSLLVKRAIEEAHAHIKEELRVSSVEEILPSISPDICIANFYTTSGRLGLHQDRDESEKSLREGLPVVSISIGDSADFLYGDQRDIGKAESVVLESGDVLIFGGRSRHIFHGVTSIIPDSAPMNLLEETKLRPGRLNLTFRQY >ONI03991 pep chromosome:Prunus_persica_NCBIv2:G6:27064120:27064636:-1 gene:PRUPE_6G295700 transcript:ONI03991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTRKVFQVGDEVEVCSKQDGFHGSYYEATVIENLGDKVDALANDGWWAGIITRKSGDDWFVYFPSTFEHIAYPKKHIRLHLESRRNGGVSRDGRVFSCEGKPLSCKKKMRIVMGESSWRSVKARLV >ONI01912 pep chromosome:Prunus_persica_NCBIv2:G6:16894533:16894850:1 gene:PRUPE_6G166700 transcript:ONI01912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIEYLTKPTVTSDQREAMSVDSAPNWMTPIYDYLAQGTSPPDVEASHQLQNQAGWYIIINKHLYKRVFSLPYLQCITPKEAFNIQDHGCQGHSPRLLLAFLKD >ONH99747 pep chromosome:Prunus_persica_NCBIv2:G6:3409172:3412075:1 gene:PRUPE_6G047500 transcript:ONH99747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGNLTLPQENITECIEFPPNIHSPGLFKYKTAAGIPYTLPNLELQMVLVFFMTKAFYSVLRYLGVPRFTTQIISQEILGVLSEFGYGLFMFLIGVKMDLGMINRTGQKALCSGIACVLVPLVVGMLVQTKLTSSYFKLTANEMFKLPFMTATHCLTPFPVVACLLEDLNILNSEIGRLGMSAALVSDMFSVFLLFLGTLAKMVEESSARRSMLGIGSSIGYIIVVVAILRPAMYWVIRQTPENRPVKKAYLNIIIIVVLSSGVLSHMYGQGFHFGPFILGLAVPAGPPLGSAIEANLGLFVSDVLLPIFVTISSMRTDFLSLKYFKTDAFTHVNGILILVALVAKFLASIAAPLYCNMAFSDALAIAFILSCKGIVNLAAYTNFRDNQTINDPTFVLAITSVLVTATVVPIAVNFLYDPSRKYAGYQRRNMMHLKPNAELKILACIHRSTNMPAVINLLDAACPSIEHPIGVYVLHLIELVGRASPIFISHELQKKTVSNVSYSDNVILYFNQFVRENLGAVSLSLFTTISAPKYMHEDICTLALDKVVSLVVLPFHRTWSIDGSVESEDNNIRTLNCSVLDRAPCSVGILVDRGYLGRSTSIVAPQSSFYVAIVFLGGKDDREALAFAKRMAKDPTISLTVIRLVSTTNEGVDSDWDSVLDAEELKCFRYNDVDERYVMYMEEAVKDGPQTTFLLRSIADKYDLIIVGRRYEVHSSQTVGLSEWSEFPELGTVGDLLASPDFNCRASILVVQQQRMMRQ >ONH99261 pep chromosome:Prunus_persica_NCBIv2:G6:1753381:1756596:-1 gene:PRUPE_6G021800 transcript:ONH99261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDRPQPSSDSPTREPKSPLVLSIECLKGSSKADEWTGDMLQTADIVEELRIGSSVTVKSPFKNGKSGVQKILHASFKAKDTSILVKVRRGRDEFAELQACIVPNDSAGRSKQYMLRSIADPNYTVGFCDRTEADCFELQASRNSRMVSALTTGRLQDGYVSYPWERRMQEMLAVPHSSCFLSVLFLPKASDRSASRYNDLEDTLARANVWLIASQASGVPVVFMNIQTESLLTKISGETASSTVNAGSLSDLADLASASLYGFEDYHGVDIGVVRAVRLWYAPLGGEIPVEVKLQEGDFKLGFAISRTEEGFIYISSVVDGDENTPSSRSGLSNLYKEAMEASRLLVVSRVSNQKVLPWMVSSTGAVRCFDTISLSQKLSLHRHAKVPISMHVFMWDRAVVSPSAGQTRFRSSASPPPIIPLPPEVQLARQPNENQIQPLPQEVFEDESSSEGVSQRLSEVRLERDTAGESSFRFHDFALSSNWV >ONI00131 pep chromosome:Prunus_persica_NCBIv2:G6:4769503:4777548:-1 gene:PRUPE_6G069600 transcript:ONI00131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSSLCLQILLILFGNRRKYISKPWIRIVTWTAYLSADWVATVALGMVSSFQGENNDPESSTNSNSSQILMVLWVPFLLLHLGGPHTITAYSLEDNALWLRHLLGLLVQVGTALYIFLQSWTASQYQLPSLAIPIFVSGIIKYGERTWVLRSASSERFRELLLPPAKQSDYAEFATEVISKKNRGEPAAFSMVPEPPFVPGVRPTIAEAKPLHEAYQLFKILKRLYADLILDHHEERCDHPFDYVFKLLEIELGFMYDVLYTKANVVYSLTGIIFRSISLVCCISIFFVFCLVIDKHRYSTIDVAITYLLSVGAIALELYAIAALPKYWLIKHLHTLAPPMYRAISYFWLKLSYEKGWSGTMTQLNLIDFCLKEKPVKFGGIQKFIGTYDIWENQSYVSQVLSWRGDQVLKKTNCDEKLNWSLVEVPFDQSILLWHIATDLCYYTDLEKYQEIPTPDSKHNFSKLVSNYLMHILVTRPFMLPKGIGKIRFRDTCAEATKFFEKRRSIISDTNGKGRARQVLLEVNTDVDPESVIGDRSKTVLFTGCRLAKQLQSLETKEGWGNEEKWEMIAKVWIEMLCYAASQCGWNEHAQHLRKGGELLTHVCLLMANFGLSEQFQIRVGAPRISIETRG >ONI00651 pep chromosome:Prunus_persica_NCBIv2:G6:7000210:7003389:1 gene:PRUPE_6G100500 transcript:ONI00651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLGSTSSGRRRHRTQPPQGHGFVAATPPYPSQYPNGQDPNPSQHHQYPSQYPNAPNPNPPQFFQYPGFYPPPPVPGPYPQPYHGGGVYMGPPPANWAPGQLPYGAAPPPPGAPFVEHQKTVTIKNDVNIKKDSLRVEPDEENPGRFLIAFTFDAAAPGRITVMFFAKEDADCNLIATKESLFKSVTVPFEKGLGQKFRQPSGTGIDFSMFEEIGLTKEGDIEVYPLVVKAEAFPLNAGERESDGNSAGNSQITQAVFEKKENGEYKVRVMKQILWVNGMRYELQEIYGIGNAVEGDQNGNDSGKECIICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPVCRQPVERLLEIKVNNEANSSE >ONI02411 pep chromosome:Prunus_persica_NCBIv2:G6:20425116:20430182:1 gene:PRUPE_6G196800 transcript:ONI02411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEPPSGCSFPSPKLPRIPPSGCTPKLPRIDYGSYRRRFSSLRSDLSSGLKSTRKHYLQPRVPPNGSFSHQSLASLEAGGKRIKQGSSFLKTRTNTLCNRSSSYEIVQETYSCFLRLTSSPEEDAIQMQPGSSEIRVLFPHSNGDDLIIQVQNSKGQHCGHALVKVTAIAEPGDKIRWWPIYSSDLDDEPVGRIQLSMKYSSSPDENNNLKHGSIAETVAYDCALEVAMKVQHFQQRNLLLHGSWRWLVTEFASYYGVSKTYTNLRYLSYVMDVATPTKDCLTTVHDLLSDVKAKSTNLLSYQENCMLAKIEDQVKKTLASVFENYKSLEESSLSGMVDCFRPASGTAAPALAPAVQLYSLLYDILSPEAQLKLLKFFQVAAQKRLRMHCVEIDELVLTSNEGTQRDLSTSYQKMKSLILGLRNEILTDIEIHKQGILPSCIDLPKISSCIYSFNLCNRLRDFLVACPPRSLLPPVAELVIATAGFQQDLSLWNINPVKGRVDAKQLFHSHITMWIQDKRRHLLDQCKLDKAKWSGARTQHGTTPFVDDMHNELEKMLDEFEIISSRWPVYTSDLENVIADVEKAIVEALDKNYGDVLSPLKDNMTNKILGFKYVHKLSRQGGNMYSVPNELGILFNSMKRMLDVLWPNIENRLMSWNSCIPDGYATGVRLQDEAAMLRTKFRSYRLAVVEKLAENTRVASKTKLKKIIRGSKGKESVVPSRMQALEVLLLGTIDQLHTVCDPTVFVELCRELWDRMGQDVLHLLEDKRKKAVSRCKCLRVAVSKLDDIFASEMQRLRGNLLKKEYLEPPQSMKEIHSML >ONI00318 pep chromosome:Prunus_persica_NCBIv2:G6:5543881:5546619:1 gene:PRUPE_6G082100 transcript:ONI00318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITRPHRPLTNSIIHSKPRNICSSSKPTSQDNDENDDSHFVSSLSDVVRGKQSWKVAFNDPFISIALKSHHVEKVLIQNVRNPRLALRFFNFLGLHKSFNHSTASFCILIHALVQSNLFWPASSLLQTLLLRGLNPNEVFQSLLNCYRKLNCSSSLGFDLLVQNYVQNKRVLDGVVVVRLMRECEILAEVRTLNALLNGLVRIRHFNMVLQLFDEFVNVSLRPDAYMYTAVVRSLCELKDVHKAKEVIHYAESNKCELSVVTYNVLIHGLCKCQRAREAVEIKNLLGQKGLKADMVTYCTLVLGLCKVQEFEVGVELMNEMIELGFVPSEAALSGLMEGLRRKGKIEDAFDLVNRMGEVGVVPNLFAYNSLINSLCKDGKLEEAELLFDNMGKKGMFPNDVTYSILIDSFCRRGMLDVALCYFGKMTNAGIRVTVYPYNSLISGQCKFGKLSVAENLFSEMMNKGVAPTVVTYTSLINGYCKEEEMHKAFRLYHEMMAKGITPNTYTFTVIISGLCRANMMGEATKFFDEMVERGILPNEVTYNLMIDGHCREGNMVRAFELFDEMVKKGLVPDTYTYRPLISGLCSTGRVSEAKKFVDDLHKENYKLNEMCYSALLHGYCKEGRLHDALGACREMIERGVDMDLVCYAVLICGALKQQDTGRLFGLFNEMHNQGLRPDNVIYTSMIDVYGKTGKLDKAFGVWDIMVGEGCLPNVVTYTALVYGLCKAGYMDKAELLCKDMLFADTLPNHVTYGCFLDHLSKEGSMEKAIQLHNAMLARLSANTVTYNILIRGFCKMGKFQEASDLLVEMTANGVYPDCITYSTFIFEHCRSGNLLEAIKLWDVMLDRGLKPDILAYNFLIYGCCVTGELAKAFELRDDMMKRGLKPDRVTYNTLIRGTCNALIHGTSLTG >ONI01428 pep chromosome:Prunus_persica_NCBIv2:G6:11254912:11255684:1 gene:PRUPE_6G138500 transcript:ONI01428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLHGPPATRLLPFGERFFKVKKRLYGPPSNTTQKIREIFLFFVFFCQINLCSLLPMTLLMKYSFLKKKEKGKAI >ONI02929 pep chromosome:Prunus_persica_NCBIv2:G6:23309151:23312017:1 gene:PRUPE_6G229800 transcript:ONI02929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSSSFPEAPAEHGHREISTSRRFSFKPMPILSSSPVQPDEEPDFYEEVTHGRDYTADLPDECLASIFHFLGSGDRKQSSLVCHRWFRVDGQSRHRLSLKAQAGLLPFLPSLFVRFDSVTKLALRCDRKSISLDDDALVLISIRCKSLTRLKLRGCREITDLGMASFAQNCKGLKKLSCGSCMFGSKAMNAVVEHCTALEELSVKRLRGVQDGSEPIGAEMASSSLKSITLKEILNGQCFGPLIVGSKNLKTLKLIRCLGDWDRVLEKLGNGYRGLIEIHLERLQVTDLGLSGISKCSNLEVLHIVKAPECSNFGLICVAEHCKLLRKLHIDGWRTNRIGDEGLIAIAKECPNIQELVLIGVNPTSLSLTAIASNCQKLERLALCGSGSIGDAEFACIAAKCVALKKLCIKGCPISNVGLEMLAWGCPSLAKIKVKKCRGVSGEAAEWLRERRGSLTVNWDAGEIGSIDPSGCASGAVESGMEFQMDHVAVAIAPSSSNGPLALFRTKFGFFSGRSFVPCTFRRWPVSESSSSGNS >ONI04411 pep chromosome:Prunus_persica_NCBIv2:G6:28357481:28362059:-1 gene:PRUPE_6G320300 transcript:ONI04411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGMVEDTSFEDEQLAAMTTEDIIRATRLLDNEIRILKEEMQRTNLELDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEDARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >ONI04413 pep chromosome:Prunus_persica_NCBIv2:G6:28369145:28372617:-1 gene:PRUPE_6G320500 transcript:ONI04413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEEAELSEKQKKEIAKWFLLNSPPGEIQFVAKDVKAVLNDDVLYEEAASEAFPLYNKSHLISLEMPGRIGDVIVTSFGQLNETEFIDPRTAQVAVVDHIKQVCTVVRPALDEELPSAYVEEFRCALDAEILKYVDEAYPKGICSVYCGNGKDVEGPGSDFELVVVISAARHSPQNFCNGSWRSIWSIEFKDELQMLELSGKLQVGAHYFEEGNVQLDAKHECKDTTIFQSSEDSAIAIGNIIRQNETEYLSSLEESYSNLPDTTFKDLRRKLPVTRTLFPWQNTLQFSLTRDITKELGIGK >ONI00380 pep chromosome:Prunus_persica_NCBIv2:G6:5777228:5779454:1 gene:PRUPE_6G085900 transcript:ONI00380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLSPKLPKKVHGGDGGSYFSWCPSELPMLREGNIGAAKLALEKNGFALPQYCDSAKVAYVLQGNGVVGIVLPEKEEKILPVKKGDAIALPFGVVTWWYNKEDTEFVVLFLGDTSKAHKRGEFTSFYLNGSNGIFTGFSTEFVGRAWDLEESIVKTLVGKQSGKGIVKLSGVNLPEPKKEHRDGMTLNCEEAPLDVDIKNGGRVVVLNTKNLPLVGEVGLGADLVRLDGSAMCSPGFSCDSALQVTYIVRGSGRVQVVGVDGKRVLETTIKAGNLFIVPRYFVVSKIADPDGLEWFSIITTPNPIFTHLAGSIGCWKALSPQVLQAAFNVDADTEKLFRSKRTADAIFFPPPK >ONI01926 pep chromosome:Prunus_persica_NCBIv2:G6:17122784:17123904:1 gene:PRUPE_6G167600 transcript:ONI01926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNGRRSKSLESDEELNGLSFEECRRLKRVENDEFKLDDKADEISLWYGKVEKSESGEKAKSESESESENLSDSESESKRDDASESDEEDDCKLKKKSRKRSKSRKEMRRRMKSRRSISNYDESEGSESQGSYSNSEDHLRSKKKKKQKSPRESKTKKESKTDRESSKSRKEKEVIGDESNVQALKLKGIFKAQKKPTLDNEPVRMNAIRIRKENQVYSAEDKQALAMFNYEENAKHEHKVMGDLQWLVKHHIEQDVDPT >ONI03228 pep chromosome:Prunus_persica_NCBIv2:G6:24281423:24283829:1 gene:PRUPE_6G245800 transcript:ONI03228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRKPRISKVSINFIVFGVFLSCFPGCILSATVTLGSIVIYDTHEFIKGVKPIVYFTCQGENKTVFPDVKEKNVSYEFKGEESWQPLTEFSSKKCKRCGLYEKDTFKSDDIFDEWELCPSDFDSSDGKYVRFKSKEFNASFSCPKCLPAASPDSKSATAAHKEEKKNGWHIVLVILIVVIVLVVCIVGGVVAHKFWQKKKREQDQARFLKLFEDGDDIEEELGLDHVI >ONH99275 pep chromosome:Prunus_persica_NCBIv2:G6:1801344:1803140:-1 gene:PRUPE_6G022500 transcript:ONH99275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKSGRGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKLIWSRGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVTEIPPEGLRGLGTKVVDADD >ONI00624 pep chromosome:Prunus_persica_NCBIv2:G6:6877685:6879530:1 gene:PRUPE_6G098800 transcript:ONI00624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSEDGLSIPSTIKGLAQELSSSPNPNPNTDNQLKRKRNLPGTPGKYPDAEVIALSPKSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVIRKKVYVCPERTCVHHEPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKVCGTREYRCDCGTLFSRKDSFITHRAFCDALAAEESARFGSASTTNMNPSFINGCNNITNINPHHQPPRIPHFIPMFQQEFAGSDPAAANNSHLNSNAFMPELVATASMDMFGSSSSSQTQWLINNTKFPEEASFNLSMSSSSLARGLKEELEESKGSLSGTISASATSFYNNNNHQHQPMSATALLQKAAQMGSTRSSNNNNNNNNNPATTFNDTAFGFMSTSSNSSHNMSNQLSSYGHHHNKNEVESFNDLVNSMSSSTPATTIRDGSLFSSSFLSNKHLMNMPGAANLIKQSQSEQSSLTRDFLGVGGDAISGVPFFQQELAKLASMGDLSQYTSSGHQ >ONH99009 pep chromosome:Prunus_persica_NCBIv2:G6:462121:464026:1 gene:PRUPE_6G004600 transcript:ONH99009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRLGRRYFCTAAPQPWLFVGLGNPGNKYKGTRHNQVGFEMIDVFANSQGIEMSTVHCKAIFGQGFVGEVPVFLAKPQTYMNLSGESTGPLAAYYKLPLNRVLVFHDDMNLPCGVLRLHPNGGHGCHNGVKSVMYHFRGNREFPRLRIGIGRPPGQMDPKAFLLQKFNATAQGRIDAALQEGVDILKVLLSEGLAESARRFNSEQKYKHMRLETTMAT >ONI01033 pep chromosome:Prunus_persica_NCBIv2:G6:8618672:8622699:1 gene:PRUPE_6G117700 transcript:ONI01033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVEEGSLAPKRRLSCSTCFDALWFCYSPVHQMQQYYRLGVLDNCSGKWTSLVDCLSLKTKRSSEVQEILETREKAKSHIWTFRTPEEASVHWKEQFGHLDEME >ONI03234 pep chromosome:Prunus_persica_NCBIv2:G6:24294674:24298166:-1 gene:PRUPE_6G246200 transcript:ONI03234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKEFSPRIRRGVNPTLGELLKSVGDAQSPDHDHHILDLGFPCSSVPPSSHPFVLSFTNLTYSVKVRRKVNLIPSCFGANSTTAEVKLGSSNANTKVLLNGISGEAREGELMAVLGASGSGKSTLIDALADRISKDSLKGSITLNGEALDSRLLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALIDQLGLRNAATTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVVMSIHQPSYRILSLLDRLIFLSHGQTVYGGSPANLPVFFREFGHPIPETENRTEFALDLIRELEETPGGTKSLVEFNASWQLNKNQQRQEADPNNLINSITRPKLSLKDAISASISRGKLVSGAPNDPSLSSSVPTFANPCWIEIAVISKRSFTNSRRMPELFGIRLGAVLVTGLILATMFWKLDNSPKGVQERLGFFAFAMSTTFYTCAEAIPIFLQERYIFMRETAYNAYRRSSYVLAHSLISIPSLIFLSLAFAATTFWAVGLAGGVHGFLFFFFTICAAFWAGSSFVTFLSGIVTHVMLGYTVVVAILAYFLLFSGFFISRDRIPLYWIWFHYISLVKYPYEGVLQNEFDDPTKCFVRGTQMFDSTPLGTVPVAVKLELLKSMSTTLGVNITGSTCVTTGTDILKQQGITDLSKWSCLWITVAWGFFFRFLFYFTLLLGSKNKRR >ONI01376 pep chromosome:Prunus_persica_NCBIv2:G6:10894659:10896336:1 gene:PRUPE_6G136000 transcript:ONI01376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSDKDFFSHSLQIKTISNCQKPSNYVECRGNACGFRHYEFRVIVRSPYSFLSRCVSWYQSQVLPDSRCLQWLVQRKKIGPMETGNQSLSMNLMLQLFHTSWGLTALKAFSNGLQM >ONI01340 pep chromosome:Prunus_persica_NCBIv2:G6:10605030:10605979:1 gene:PRUPE_6G134300 transcript:ONI01340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSWVLPTTLLFALAFANIQLSTCQVVKAKVTCLDCHQNTDFSGIKVLVKCGQVKKLAMATTEKDGSFETKLPVGNSKSPMNCLARILGGPDQLYASRKVVASEIVKTQEPNSYTISTPLGFTTSCPLNIKEAACKAMNKFGSSKTVNLPLPPDWGLAPSSYYVPFIPIIGIP >ONH99031 pep chromosome:Prunus_persica_NCBIv2:G6:541994:542698:1 gene:PRUPE_6G006100 transcript:ONH99031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQAFFVSNYRINFKAFRACFLFWGWRKMKIAGGREKKIIGRGWVLWVWVRGGWGFGLWVRVVGGGGSWFSLQA >ONI03278 pep chromosome:Prunus_persica_NCBIv2:G6:24435689:24440427:-1 gene:PRUPE_6G248500 transcript:ONI03278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSRSSHGRLILFKFYHGFILILCMSTALESATLPSTSFRNETDRRALLDFKKGITSDPLHVMSSWNDSINFCSWIGVTCNHSTKRVSSLNLEAQNLAGSIPPSIGNLTHLTWINLGINNFHGQIPQEMGRLRSLQYLNLSYNSFGGNIPTNISHCTQLSVLDLFSNEIIGVIPDQLSSLLNLNHLWLSLNNLTGTIPGWIGNFSSLNSLRLSHNNFQGSIPNELGRLTALGRFVLAGNHLSGKVPASIYNISSIYVFSVVGNQLQGELPPNVGITLPNLELFLGGRNRFTGNIPASLSNASRLRSIDFGQNGLTGTIPAESLGSLQSLVRLNFRRNRLGSGRTGDLNFLSFLANCTSLEVLGLSRNQFGGELPGSIGNLSTQLKHLTIGGNLIHGSIPTDIGNLLSLNTLEVEHNYLGGSVPDGIGKLQKLGRLVLNVNNFSGPIPSSLGNLTSLTQLFMEDNRFEGSIPPSLGNCQNLLILNLSSNNLTGTIPKELIGLSSLSISLTISNNSLTGPLPSEVGDLIHLAELDVSGNKLFGEIPTTLGSCIMLERLHLEGNEFQGTIPQSLQNLSSLEEIDLSRNNLSGQIPEFLGKLSFLKYLNLSHNDFVGEIPKDGIFSNASSFSVLRNDKLCGGIPELLLHACSNKKPHSSRGVLVPKVGIPLACALAFIVALSCFIVACSMMKKSRGRPLTSRSYKDWRLGVSYSELVESTDGFSVDNLIGSGSFGSVYKGVLPGDGTVVAVKVLNLQQEGASKSFIDECKALKSIRHRNLLKIITACSTIDYQGNDFKSLVIEFMKNGSLDTWLHPRDDGQSQSNRLTLIQRLNIAIDVASALDYLHYRCETFIVHCDLKPSNVLLDEDMVAHVGDFGLASFLLERPNNSPGSRTMSAGLKGSIGYIPPEYGMGGKVSILGDIYSYGILLLEMFTGKRPTSDTLKDGLTIHQFTAMAMPDHAMDIVDPSLIIERDDSGSDDDRYVNDIQERQITRYQDCSTVEGRRLEECLVSVMQIGLSCSAISPAERMPMNVVVNKMSGIRDSYLNVRRRS >ONH98937 pep chromosome:Prunus_persica_NCBIv2:G6:207253:208469:1 gene:PRUPE_6G001100 transcript:ONH98937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLSWIRPSPEEQKACIDRSGSFNYEAKFRGATTKSLSSLQEDKGLSNNGFLFNHMRVLVGSGVDTYEKGKRALQDWRHFGLNWAFVDPKTPVQNGVKFCVCADDDEYADQDQKFLDPYHTITSGLEDP >ONI02910 pep chromosome:Prunus_persica_NCBIv2:G6:23234969:23236018:1 gene:PRUPE_6G228500 transcript:ONI02910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKFFSLLLLFLSSTFIAVSARPFNIMKHRNSGRRAIGGFFDGLSLEAIKESGPSPGEGHQFTNQQTLGGIKSSGPSPGTGNKFTNVETLGGIKDSGPSPGTGHKFTNVETLGGIKDSGPSPGTGNKFTNIETLGGIKDAGPSPGTGNKFTNVETLGGIKKSGPSPGTGNKVTNVEILGGIKDSGPSPGTGNKFINVETLGGIKDSGPSPGTGNKFTDNTHQ >ONI03851 pep chromosome:Prunus_persica_NCBIv2:G6:26501539:26503086:1 gene:PRUPE_6G285900 transcript:ONI03851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQNGTSPKHPEHAGSPPPPVVPTAPPAADVENQTPGHAQTTGTGFGVAGILRRWKREDLVRRGSLALRGLSLVFSLLAFIIMASNKHGDWKDFDNYEEYRYVLAIAILSTLYTGVQAARHVHQLSTGRELFQRRTSALADFIGDQIMAYLLISSASSAIPLTNRMRESQDNIFTDSSASAISMAFLAFVTLALSALISGYKLSSQSYI >ONI05177 pep chromosome:Prunus_persica_NCBIv2:G6:30403139:30406072:1 gene:PRUPE_6G360100 transcript:ONI05177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRLVFEKTYESGLWFQGKSLSKYLSAKDAPKRFSYRVQNIARNSSWGLGHTQSAIWGYVSCRIMVIFSSVEH >ONI04738 pep chromosome:Prunus_persica_NCBIv2:G6:29262269:29263093:1 gene:PRUPE_6G337100 transcript:ONI04738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWVLLPVASVTGRCLVATVRTERSSSICVRSSLDTNVSDMSVNAPKGLFPPGHYRAPEQSGYNWSWACRHVNSN >ONI00222 pep chromosome:Prunus_persica_NCBIv2:G6:5230910:5234610:-1 gene:PRUPE_6G076200 transcript:ONI00222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCLSARIKAESPCNTGVNSKIVSTDGNLSTSSKVSSFSAPPTPRSEGEILQSSNLKSFSFNDLKMATRNFRPDSVLGEGGFGSVFKGWIDENSFTAAKPGTGIVLAVKRLNQESFQGHREWLAEVNYLGQFHHPNLVKLIGFCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAAKGLAFLHSSETKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDIYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPFLANKRKIFRIIDNRLEGQYSMDGAYKAASLASRCLSTESKLRPNMDEVVTALEQLQDNGSGQGNASNGQRMRRRSADDVIKAKRAAADANKAANKSSNIVAYPRPSASPLYA >ONH99417 pep chromosome:Prunus_persica_NCBIv2:G6:2205395:2206434:1 gene:PRUPE_6G028200 transcript:ONH99417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVEQNPRTPRIKCKGQNLTIPEFSIEDFKKHSHMLASPTSQDASKSRWKNCLCSPTTHAGSFRCRHHRTSSFGSGLNRGASVGSNLSELGARKSSPLSDSVPAQ >ONI03065 pep chromosome:Prunus_persica_NCBIv2:G6:23698145:23701648:-1 gene:PRUPE_6G236100 transcript:ONI03065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMKLGAKSEAFHREGQTWLCTTGLPSDVIIQVGEMSFHLHKFPLLSKSGLLERLIEECSGEEGSACSLQLHGIPGGAKAFELVTKFCYGVKIELTALNVVILRCAAEYLQMSEDYEQGNLIAQAEVFLSEVFSNWRDSIVALETCEEVQRYAEDLHIASRCIDSLAMKACADPKLFSWPIVGGNDDMKNPTATVLWNGIVSDDAKPQPPSDDWWYEDASLLSLSLYKRLIQAVESKGMRPESIAASLIFYARKYLPLMNRQSSFNDTNYVNSGTTISNISEADQRALLEEIVGLLPHQKGVTSSKFLLRLLRTAMILHASPSCRENLEKRVGAQLDQAVLVDLLIPNMGYSVETLYDIDCIQRILDHFISINQASLASTSPCIIEEGQLMSGTDPLTPSTMVANLVDGYLAEVAPDVNLKLPKFQALAAVIPEYARPLDDGIYHAIDVFLKAHPWLTDSEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVDNLENSQNPSANLGLPTKNDVSGQVEPAHDRAVGVDHHDMRERVLELQKECSNMKMELQKLVKTKRSWSILPKRLGFRKKWEPCSPKESKPSDRMAPAPAPCCNGQQNHDNS >ONH99606 pep chromosome:Prunus_persica_NCBIv2:G6:2911753:2914902:1 gene:PRUPE_6G038600 transcript:ONH99606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQQVPSSSSSTSSPSFRFEEEDEKSRYPLPPPQSDDSLAAKAIRASSAHRDSSLSSAYAARGAQPAVNASSPSRFSNPPTTTTTASSSPSQPHEYTSIKNLNESKHGFWGVLARKAKAILDDDEDNAAENYDSPGRTRVDMPSTPTRGKQYRDPNQHVESRGRTDNPTLQKGLGAFTSSLSYISGTIGTALEEGRTIVENRTADIIQETRKHIKKKASGSAQDQAQKPQTQADLELQLKASRDVAMAMAAKAKLLLRELKTVKADFAFAKERCAQLEEENKILRENRERGDSPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREVVEYHQLTMQDVVYLDEGTEEVTEVYPIKVIPSYSSPASEVRGVSQNSSQ >ONI02579 pep chromosome:Prunus_persica_NCBIv2:G6:21651572:21654602:1 gene:PRUPE_6G208000 transcript:ONI02579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTHESKFKPLPILSEVETIINPKLALFHRLDPSPYRCLRLHLQGIVAYASGSRVNLALKPFASSSITSSTPTHHIFISLCFFHSKFSKTAQ >ONI01384 pep chromosome:Prunus_persica_NCBIv2:G6:11025898:11028986:1 gene:PRUPE_6G136700 transcript:ONI01384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLRSFLLGVLLLIGFAFTNSKADIRAPPTHFDTASLNRSSFPEGFIFGVGSGSYQYEGAANEGGRGPSIWDTFTHKYPEKINDSSNGDIAVDQYHRYKEDVGIMKNMGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKHWSTLNEPYTFSNFGYAIGSHAPGRCSTWQQLNCTGGDSSTEPYLVTHHLLLAHTAAVKLYKNKYQASQNGVIGITLVSHWFEPLSEEKENKNAALRALDFMFGWFVEPLTSGDYPQNMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYAGYYASNAPQNNSVYASYTTDAGVNLSSERNGVPIGSKGASEWLNVYPQGIQDLLLYTKKKYHNPVIYITENGVDEFNDPKLSLAEALNDTHRIDYYNRHLHYVQSSIDNGVKVKGFFPWTLLDNFEWSSGYSVRFGITYVDYNDTLKRHPKLSAHWFKSFLKPY >ONI02069 pep chromosome:Prunus_persica_NCBIv2:G6:17996749:17997448:-1 gene:PRUPE_6G175600 transcript:ONI02069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFSNRIFREELRPGDHIYAYRRWHRYSHHDIHSNINATNDPKSSSSSRESPCGKCRHDPNSKRGKGTCSIECCYSNEETVCLATEIFNKDKVHGKGFGDYDLLDNNCENFTSYCKTGKSVSEQALKAMSIASASSRTDTPSSYTSKTTMVLSLFSSKSF >ONI01125 pep chromosome:Prunus_persica_NCBIv2:G6:9161426:9165963:1 gene:PRUPE_6G122900 transcript:ONI01125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWLDDLADDLQSLSFTSTTTTNTATETKRSTSSASESTLTASASSSARIVPPSLVSKPRPANSSDPLWSAIHKIRSESPTRQLSLSDLKFSDRLGSGDIGSVYLAELKSGGGPQGQGGGVFAAKVMDKKELISRSKEGRARTEREILEMLDHPFLPTLYASIDAPNWLFLLTEFCPGGDLHVLRQRQPLKRFADPAVRFYASEVIVALEYLHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDDSASTAQIISTQNTPIAAPQKDYSLDPPPFASSSCILPNCIVPAVSCFHPKSKRKKKQGLRNGPEFVSEPIDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELFYGVTPFKGVDNELTLANIVARALELPKEPAVPPMAKDLISQLLVKDPARRLGSLMGASAVKRHPFFQGVNWALLRCTPPPFIPPPFTRRVVSDQTCPEKMEYY >ONI01126 pep chromosome:Prunus_persica_NCBIv2:G6:9161426:9165963:1 gene:PRUPE_6G122900 transcript:ONI01126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWLDDLADDLQSLSFTSTTTTNTATETKRSTSSASESTLTASASSSARIVPPSLVSKPRPANSSDPLWSAIHKIRSESPTRQLSLSDLKFSDRLGSGDIGSVYLAELKSGGGPQGQGGGVFAAKVMDKKELISRSKEGRARTEREILEMLDHPFLPTLYASIDAPNWLFLLTEFCPGGDLHVLRQRQPLKRFADPAVRFYASEVIVALEYLHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDDSASTAQIISTQNTPIAAPQKDYSLDPPPFASSSCILPNCIVPAVSCFHPKSKRKKKQGLRNGPEFVSEPIDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLELPKEPAVPPMAKDLISQLLVKDPARRLGSLMGASAVKRHPFFQGVNWALLRCTPPPFIPPPFTRRVVSDQTCPEKMEYY >ONH99269 pep chromosome:Prunus_persica_NCBIv2:G6:1782965:1783213:1 gene:PRUPE_6G022300 transcript:ONH99269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMTGSIRTQEPVLNRELSKEKAHLLINFNLLHSFVPNYATKKKHVCPMGSAFPFTSLKCGVELNLSLSLSLSLSLSLGRG >ONI00254 pep chromosome:Prunus_persica_NCBIv2:G6:5340489:5342335:1 gene:PRUPE_6G078600 transcript:ONI00254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSNNNSSNGAPSFHEFKKQASFFFKEKIKTARLVLTDVTPAQLLTEEASNGNPWAPDTRTLGSISKAAFELDDYTRIVEILHKRFLKFERKNWRVSYNSLIVIEHLLTHGPESVAVEFEVDKNVIEQMGSFQYIDEKGFNWGLALRKKSGRIVKLLEKGPLLKEERDRARKLTRGIQGFGSFCQRSSDSPAQGILRESSFETYGRSNSQSHFSNHENQENQFPSPTADDFTKKVEESKQSNENVVIIEAGKGAENSRSCGSFGDGEVAQKPETQTSFKENMIPNKEELDRWNCVGESNPLLGGERDGTRVENSAEDEHPFNEINNQSAASLIAASNALI >ONI01220 pep chromosome:Prunus_persica_NCBIv2:G6:9992092:9992597:1 gene:PRUPE_6G128800 transcript:ONI01220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVQLLAIKLLQSLLSFCTSFWNLFSGFLIPRSLIPVWWRWYYWGSPIAWTIYGIFASPFGDLKTVIDTPKGPQRVDLSIPQG >ONI03647 pep chromosome:Prunus_persica_NCBIv2:G6:25747746:25749909:1 gene:PRUPE_6G271700 transcript:ONI03647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSGSSVTIISKCVIYPNTKSTIKSLKLSVSDLPMLSCQYIQKGVLLSSQPFHISDLIASLKHSLSLALSHFPALAGRFETDAQGYVHIVCNDAGVDFIQAKAKHLSVDVLLPANEDVPHYFREFFAFDRTLSYSGHSRPLAAIQVTELADGIFIGCTVNHSVTDGTSFWHFFNTFAEICKGAKKISKSPDFSRDNIFNSPAVLQLPEGGPKVTFSGNEPLRERIFHFTRESILKLKNRANNSPLINGNGLLESAEIFGKQCNDTWKIVSRCKGAKTAEISSFQSLSAQLWRSVTRVRNLPDSKTTTFRMAVNCRHRLEPKLSPHYFGNAIQSIPTVASAGELLSRDLSWCAGLLRKNVAAHDNATVRRGVEDWESAPRLFPLGNFDGAMITMGSSPRFPMYDNDFGWGRPLAVRSGRANKFDGKISAFPGREGNGSVDLEVVLAPETMAGLESDTEFMQYVSAVV >ONH99939 pep chromosome:Prunus_persica_NCBIv2:G6:4092485:4094188:-1 gene:PRUPE_6G058700 transcript:ONH99939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFVLTTSETAGIGIQTTETAETVHVQFQLHKECNFGESFLLVGNEPIMGEWNPASAIPLNWSDGNIWTVELDMPVGIAVQYKFILKKITGDLSWQPGPDRILHTWNTKNNIAIAEDWKDSELQKISEVQIMNQNEALLVNPGLGPIVPGNVTLPGEESMLNVNKGAKVSDKIASADDKPTFSSNNEFVLEEKAIKSADGTLLGIRKEVRVSDDGKYVMKEESIGKITPSTVTSKIPGSVEDEETVPTYGGNILVPSLTPIQGVPYEETVPKELGKPISSKETLPKELENSMASKKALRKELGISMSAQEALPKELGISKSSEESLPNELGRYMSSEEPLPKELGRSMSFEEALPEELGISISSEEALPNELGKSMTSKEALPKEVGRSMSSEAVLPKELGKSITSDGYNSD >ONI03786 pep chromosome:Prunus_persica_NCBIv2:G6:26310593:26313331:-1 gene:PRUPE_6G281900 transcript:ONI03786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAGAYSEITPAVPDWLNKGDNAWQLTAATFVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLLGYRMAFGDQLLPFWGKGAPSLGQKFLINRAKVPKSTHFRDDGTVETKMVEPFYPMASLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFPPNNVLLMLAGAGMLWMGWSGFNGGAPYAANIDASIAVLNTNICAATSLLVWTSLDVVFFGKPSVIGAVQGMMTGLVCITPGAGLVQSWAAIVMGMLSGSIPWVSMMVLHKKSALLQKVDDTLGVFHTHAVAGLLGGLLTGLFAEPALCRLILPVGNSRGAFYGRNGGMQFLKQLVAAMFVAAWNIVSTTIILLAIRVFIPLRMPEEQLIIGDDAVHGEEAYALWGDGEKYDPTRHGWNTSVYGEETAPSPYINGARGVTINL >ONI02814 pep chromosome:Prunus_persica_NCBIv2:G6:22810918:22812912:1 gene:PRUPE_6G223100 transcript:ONI02814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKGLQRILHAPPLPPVDRNPRSPSHATVFVAKFFGRAGLMSFISAVPDSQRPVLFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPIPELLGGGSTSDEASDADGGGVNNCTDMWRLRDPSHNSGSSSRFTSSRSARVSSPKRKRSADEESSTKVLQLQHHADLDLRLTPSPTFKAKPKPETRRAGTPSMNSEESVVTTCFESGLPDNHHQYNQHSYGVGAERKLLNLFP >ONI02113 pep chromosome:Prunus_persica_NCBIv2:G6:18229266:18230770:-1 gene:PRUPE_6G177300 transcript:ONI02113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKITKTKKRRLIKTSDKTIEKKPKTQNPKQKFEEKEEREEEHPNPNQKQPQSDSDSGSVSDSDSDSSESDVENLPELLQAYTKDQLIELIVEAASKDSALFNRIRDAADGDVSHRKIFIHGLGWDTTRETLVAAFEPFGEIEECNLVLDKATGKAKGYGFVLFKTRRGAAKALKNPKKNISNRIASCQLASVGPANNNTTTSSSSFNAQSDSASRKIYVSSVPHDVDGEKLRIFFAKFGEIETGPMGFDSQTGKCKGFALFLYKTVEGAKKALEEPFKVFEGHQLQCQKAAEGSKNKNSAVAPPQGQMQQPTLAAVAAAQNMALFGQHPNLNPLYGGLMGNVGGGFVPGSANPGMVARALNPGIMGGALNPSVIPVSQVGQVGAGVGGYSGGSHGLVNLGGGSHGLVSLGGGSHGLSNPSLLGAYGTSGSLPMLQGLQHVYPNTQVQQPGSGRPQRAGGSFAGYTSYMCFSFW >ONI01065 pep chromosome:Prunus_persica_NCBIv2:G6:8854510:8856849:1 gene:PRUPE_6G119600 transcript:ONI01065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLRGKDMTQDSTFEFERKRNRPERYDRNLTENTLKAIKKIDKVRIIREERHHEKRMKGKKAKENREAVKELEQSISLVKAPTALQQDPSLTLPIKVKVSQQQPEKTVAMME >ONH99224 pep chromosome:Prunus_persica_NCBIv2:G6:1375482:1379456:-1 gene:PRUPE_6G018800 transcript:ONH99224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSRGSLLLVLVGFIVIFLASIVRSSKSVMTPSLSGSLYDTSFLNRSSFPSGFIFGTASASYQYEGGANEGGRGPSIWDTYTHKYPGKIKDGSNGDVAVDSYHRYKEDVGIMKEMNLDAYRFSISWSRVLPNGKLSGGVNKEGIGYYNNLINELLANGIKPFVTLFHWDLPQTLEDEYGGFLSPQVVKHFKDYSELCFKEFGDRVKHWITFNEPLALSVAGYATGDLAPGRCSEWLKRNCTGGDSGVEPYLVTHNQLLAHATAVKLYKEKYQTSQKGLIGITLVSLWVVPFSEAKKDKDAALRSLDFLLGWFMDPLTNGDYPYSMRSLVGIRLPKFEKEQSKLLKGSFDFIGLNYYTSNYVSDAPQLRAGNASYLKDSLTSISPVRNGIPIGPKAASESVYIYPRGIRDVLLYTKRKYHNPVIYITENGVDELNDPKLSLEEALVDNQRVDYHFRHLYYLEAAIKDGVNVKGYFAWSLLDNFEWTLGYTVRFGINYIDYKDGLKRYPKLSACWFKQFLRKY >ONI02054 pep chromosome:Prunus_persica_NCBIv2:G6:17894317:17899337:-1 gene:PRUPE_6G174500 transcript:ONI02054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTGALTTTLPTAPLLHSDSISSSSSPSSAFSKVCKRTASLSCSSSTSSTASTSSSSTGQPNGCDDCTRNTKSTQKFSYSRASPSVRWPHLKLTDSYPSPHTQFTPPLTTHVVQDSPDSDSEGKEEEDLNLGSVGSLDTNDETQQVLGRHSKTKAKKMTKLALKRAKDWRERVKLFTDRILGLKPDEFVADVLDDRKVQMTPTDFCFVVKWVGQSSWQRALEVYEWLNLRHWYSPNARMLATILAVLGKASQEALAVEIFTRAEPGIGNTVQVYNAMMGVYARNGRFNKVQELLNLMRERGCEPDLVSLNTLINARLRSGAMVPNLAIDLLNEVRRSGLRPDIITYNTLISGCSRESNLEEAVKVYNDMEAHNCQPDLWTYNAMISVYGRCGESSEAERLFKELESKGFFPDAVTYNSLLYAFARELDIEKVRDIGEDMMKMGFGKDEMTYNTIIHMYGKQGQHDLAFQLYRDMKMLGRTPDAVTYTVLIDSLGKANKITEAANVMSEMLDSGVKPTLRTYSALMCAYAKAGKQVEAQETFDCMVKSGIRPDHLAYSVILDIFLKVNETKKAITLYQEMLHDGFKLDHALYGFMLRVLGRENKLEVIERVIRDMEKVGGMNPQVISSILVKGECYDHAAKMLRLAITSGYELDRESLLSIVSSYSSCGRHSEACELLEFLREHAPGSNQLITEALVVIQCKAHRFDAALVEYSNTRGFHSFSRSSTMYEILIQGCEENELFGEASQVYSDMRLYGVEPSEHLYQIMVLIYCKMGFPETAHLLIDQAEMKGIFFDNVNIYVNVIEVYGKLKLWQKAESLVGSLRQRCKAVDRKVWNALIQAYAASGCYERARVIFNTMMRDGPSPTIDSVNGLLQALIADGRLDELYVLIQELQDMGLKISKSSILLMLEAFAREGNIFEVKKIYHGMKAAGYFPNMDCFRIMIKLLCRGKRVRDVEAMVYEMEEAGFKPDLSIWNSMLKLYAGIKDFKKTVKVYQQIQEAVLQPDDDTYNTLIIMYCRDCRPEEGLSLMQEMRRQGLEPKLDTYKSLISAFGKQKLLDQAEELFEELRSNGCKLDRSFYHTMMKMFRNSGNHAKAEMLFTMMKEAGIEPNFATMHLLMVSYGSSGQPQEAEKVLDNLKVTGLDLDTLPYSSVIGAYLKNGDYNIGIQKLNEMKEVGLEPDHRIWTCFIRAASLSQHKSEAIILLNALRDAGFDLPIRLVTEKPESLILEVDHCLEKLEPLEDNAAFNFVNALEDLLWAYELRATASWVFQLAVKRGIYNNDVFRVADKDWAADFRKLSAGSALVGLTLWLDQMQDASLEGYPESPKSVVLITGTSEYNMVSLNSTLKACLWEMGSPFLPCKTRSGLLVAKAHSLRMWLKDSPFCLDLELKDAPALPESNSMQLIDGCFLRRGLVPAFKEITERLGLVRPKKFARLALLSDEKREKVIQSDIEGRKEKLEKMKENDNPRRVSRIKKLRKRKYVRPSTLSNTKQIVSGQKALK >ONH99000 pep chromosome:Prunus_persica_NCBIv2:G6:415452:415880:1 gene:PRUPE_6G004000 transcript:ONH99000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYETKRKQREREREDHHQYPLTIKIHNINKMNSTSVCGHKVIIRFSQENLSKLSTNQPPTFVVIENNVI >ONH99895 pep chromosome:Prunus_persica_NCBIv2:G6:3952247:3953967:1 gene:PRUPE_6G056200 transcript:ONH99895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPSSSPSFSSCSSLSSTSTAFDAAMCNSKGAAAGCLAGIFRRILCYGSFPTYPSDHITEEEEQAANSAESYKDQDFKSMEKTGEKTESAATPSLVARLMGLESIPDVNLVNGQSTLNSISRSKSMNSVDRFAGGGDDPMQAQHRKVKSTQSFREMPTFLELENEEFFILSFENESKVKEIRSKGRKGEMGCREGKQKRAGKSKNNENITEREADKKKKQVQEGKSRRVLKDLNGREILRSRSRSSSDNPSNKVGNINGIVKDSKSASIPTICDVLKNVESECSSEDLSPVSVLDCGQFLVDPEAPTSDQEDSGLPCPCKDNGLIGDARRTKAREGKCLGSRKKEFHSGKHIEMCGEICRLVEDELVSSNWIIHNKGSWNQAGSAGIGADFESQILDQLLDELVDQFADLPLKIQNL >ONI03745 pep chromosome:Prunus_persica_NCBIv2:G6:26169622:26171722:-1 gene:PRUPE_6G279400 transcript:ONI03745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >ONI02377 pep chromosome:Prunus_persica_NCBIv2:G6:20123349:20124981:-1 gene:PRUPE_6G194100 transcript:ONI02377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIASSIHLSRWTSSPRLFAKHPGPGAVQHFSSSSTWKCQQGFRTNHALLSLTIGQRRTLSSQPCRNKIVCNSSPSPEVQSPSGAPSHSLRSWMIGMVFSVLIPFWRHKWGPLLQLKKEVDMIVDNVEAVVEVVEQVAEKVEEVADEIGDRLPDGKLKVAADLVESLAREAAKDAHLADQLIEKAEEVEDRVENFFESAMDKAGDLTKVVADEPNIVPVEKKFQ >ONI02567 pep chromosome:Prunus_persica_NCBIv2:G6:21526946:21529279:-1 gene:PRUPE_6G206800 transcript:ONI02567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVSPEQEHPNKAFAWAATDSSGVLSPFKISRRKTGEKDVALRVLYCGICHSDLHKVKNEWGTTSYPIVPGYEVVGVVTELGSKVEKFKVGDKVGVGCMVGSCQSCDSCANNFENYCPELILTYGEKYHDGTITYGGYSDFMVVDEHFTVRIPDNLPLDGAAPLFCAGITTYSPLRYFELDKPGMHVGVVGLGGVGHLAVKFAKAMGVKVTVISTSPNKEKEAIEHLHADSFIVIHDQDQMQAAMGTMDGIIDTVFAAHPLLPLIGLLKSNGKLVMVTAPEKNIQIPAFSLLMGRKMVAGSRIGGMKETQEMVDFAVKHNITADIEVIPVDYLNTAMERLAKSDVKYRFVIDIGNTLKSTS >ONI01002 pep chromosome:Prunus_persica_NCBIv2:G6:8447780:8448904:1 gene:PRUPE_6G115900 transcript:ONI01002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTNSSNSRPLISLPANSNHHDLMNVQLLPTKLDGTNYLAWSHFVRLYITGKGRIGYLTGEKKQPDDTDPKFITWVEEDAMLRSWLLQAMTPDISLGYMRLDSEHAIWDAVSQTYYEGGCDAQIYELKPLWQELDYYQPCDMKCSNDTAALKKWIEKERTFELLASLNLDLDQVRIQVLGKDPFPSLREAYAYVHAQALHRSTMVMPPSLEGYSAAVSSGNVAKSSKSDDKDDLKCDYCHQTKHVREHCFKLNGYPPWWPGKKGEKAEGSKRGGGKRSRSSSKAYHTSSSDQNDQPTSQLSSAQMEQIAQECARLLSDKGSKGASISLATSS >ONI03002 pep chromosome:Prunus_persica_NCBIv2:G6:23498361:23500801:-1 gene:PRUPE_6G232700 transcript:ONI03002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLGFVVGILGVVILSHAAYSTVQYRGLLKIMEEEFSGPPLNVVVELLLGLVLCMWAALTVPGTFLSIHPHSEENRIVSLPANLDFMIFNHRARVFPVEMDLNLKH >ONI01013 pep chromosome:Prunus_persica_NCBIv2:G6:8473653:8476314:-1 gene:PRUPE_6G116400 transcript:ONI01013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFGNDTVASFLMEFEMPNLALIYLLDIPKRTLTSWAWLRFGFQGAREMDYNLAALKLLCVQLKDAQETSSENAMELHNIIFQRAWLQGILVDVSADGECLYLDDGTGVIELSLRPEFRGRPWNIGMYVMVVGRYMVRTDEPPMIQVHKMVDLSASPDREAMWYLEVLEAYKMFYQPLMEGPV >ONI02915 pep chromosome:Prunus_persica_NCBIv2:G6:23252349:23258077:1 gene:PRUPE_6G228900 transcript:ONI02915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLHFPIPIRASNHHHSLLLSHHPTTTRLNSPSSPKPIIRAPLFRFKPLPPLRNSTTLRCTAYACDHDHHHHDHHHHHHHHHHHGSDELTGPQKQFVRFAKAVRWTDLADFLREHLQLCFCSTTLFLAAAACPYLMPKLAVKPMQNAFILIAFPLVGVSAALDALTDISGGKVNIHVLMALAAFASVFMGNALEGGLLLAMFNLAHIAEEYFTSRSMIDVKELKENYPDFALVLDINDEELPNTSNLAYKQVPVHDIQVGSFILVGAGESVPVDCEVFQGNATITIEHLTGEIKPLETTVGDRVPGGARNLDGRIIVKATKTWKESTLSRIVQLTEEAQLNKPKLQRWLDQFGEQYSKVVVVLSAAIALLGPFLFKWPFIGTSACRGSVYRALGLMVAASPCALAVAPLAYATAISSCAKKGILLKGGHVLDALASCHTIAFDKTGTLTTGGLAFKAIEPIYGHRMTNNISDFSSCCAPSCEKEALAVAAAMEKGTTHPIGRAVVDHSEGKDLPSVSVESFEYFPGRGLIATLNGIELGTGGDKLLKASLGSVDFITSLCRSEDASKKIKEAVNASSYGTEFVRAALSVNEKVTLIHLEDRPRPGVSDVIEELRDEAKLRVMMLTGDHESSAWRVANAVGINEVYSSLKPEDKLSHVKDVSRDMGGGLIMVGEGINDAPALAAATVGIVLAQRASATATAVADVLLLRDNISVVPFCIAKSRQTTSLVKQSVGLALSCIVLASLPSVLGFLPLWLTVLLHEGGTLVVCLNSIRALNHPTWSWRQDLWHLVCELKSRLVLPKKLNTSSNTAQPAVEQLRHSHPL >ONI00117 pep chromosome:Prunus_persica_NCBIv2:G6:4701907:4704347:1 gene:PRUPE_6G068500 transcript:ONI00117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRPGSGSAQPAKLRWGELEEDDGEDLDFLLPPKQVIGPDENGIKKVIEYKFNEDGNKVKITTTTRTRKLANARLSKRAVERRSWPKFGDAVHEDVGARLTMISTEEILLERPRAPGSKAEEPKAGGDSLAQLGKGGAALKLCRTCGKKGDHWTSQCPYKDLAPQAEAFSDKPPTSDAATGAAGTGKYVPPSVRAGADRTGSDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVALDKNTSISRGFGFVNFVNREDAQRAINKLNGYGYDNLILRVEWATPRTN >ONI04441 pep chromosome:Prunus_persica_NCBIv2:G6:28411787:28416681:-1 gene:PRUPE_6G321500 transcript:ONI04441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQEHRCDSLSETESTGVGHSGPLSGPLVTNKRNNSSKRSARSKSSDQEECYVEITLDVRDDTVLVHNIRGGDSETAMLASKLERRASSLSLGSQLSFRLRQVSQELKRMTSSKSFKRVDRTKSGAARALKGLKFMTKNVGSEGWSEIETRFDELAVDGTLPKLRFAQCIGMDESSEFAGELFDALARRRGITAGCVSKDELREFWEQISDISFDARLQTFFDMVDKNADGRITEEEVKEIISLSACANRLSQIQERVEEYAALIMEELDPDNIGYIELYNLEMLLLQGPTQSTNLVTDSGIQSQLLSQKLVPTKEHNPIRRWYKRLAYFMEDNWKRIWVIALWILICLGLFTWKFIQYKHRAVFDVMGYCVSIAKGGAETLKFNMALILLPVCRNTITWLRSKTKLGVIVPFDDNINFHKVIAFGIIVGVGLHAGSHLTCDFPRLLHSTDDEYDPMKPFFGNKRPDNYWWFVKGTEGWTGVVMVALMIVAYTLAQPWFRRNRLNLPKTLKRLTGFNAFWYSHHLFVIVYILFIIHGYFLYLSKNWDKKTTWMYLAVPILLYACERLIRAFRSGYKTVRILKVAVYPGNVLALHMSTPQGFKYTSGQYIYVNCSAISPFQWHPFSITSAPGDDYLSIHIRTLGDWTSQLKSIFSKVCQPPSSEQSGLLRADIAPGNVKLRMPRLLIDGPYGAPAQDYKEYEVLLLVGLGIGATPLISIVKDVLTNIKQQKETEDHQGNMVENNGDNKDNKRKSFVTKRAYFYWITREQGSFEWFRGVMDEVAENDKDGVIELHNYCTSVYEEGDARSALITMLQSLNHAKNGVDIVSGTRVKTHFARPNWRNVFKHVAVKHTNQRVGVFYCGAHGLVGELKRLSQDFSRKTETKFDFHKENF >ONI04742 pep chromosome:Prunus_persica_NCBIv2:G6:29271164:29271667:1 gene:PRUPE_6G337400 transcript:ONI04742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLRPFRLSDVDDLMSYAGDDQVTRSLRWKTLTTRDEALTFIKDVCIPHPWRQAICIDDRSIGFVTIFPGSGDDRYKADLGYAIAAKYWGQGITTKAVKIAVSQIFNDFPILVRLQAFADVENKASQRVFEKSGFQREGVLRKYGYLKGNIKDLVVYSFLSTEVAV >ONI00901 pep chromosome:Prunus_persica_NCBIv2:G6:7915817:7918028:1 gene:PRUPE_6G110300 transcript:ONI00901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGPQITLFIPNCKAILFSYAYYYPPSILSPTPVFKTESLCLCCIAFSFPLLFFFFLFMAPLLPLIFFLMFSSNSAQGPPPPGYNPSSKISTVGFDQGFRNLWGLEHQNLDQGALTIWLDSSSGSGFKSLHPYRSGYFGAAVKLQPGYTAGVITSFYLSNNEEHPGNHDEIDIEFLGTTPGKPYTLQTNVYIRGSGDANIIGREKKFHLWFDPTQDFHNYAIVWNPTEIIFLVDDVPIRRYQRKSDATFPLRPMWAYGSIWDASTWATEDGKYKADYSYQPFVGRYNNFKLGGCTADGSTTTSCQPPSASPSGATGLSQQQYSAMEWVQRNYLVYDYCRDPKRDHTQTPEC >ONI01895 pep chromosome:Prunus_persica_NCBIv2:G6:16612793:16615223:1 gene:PRUPE_6G165700 transcript:ONI01895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLKVAEKDQRAARHSNIGTEHSIEEWLYEPLVFSQQLRQRQRQRGGGSGGGGGGSEILASAGSSMLLRLDREREMSAMVSALAHVVAGDEELAAAESSLVTNRQSEDVGGGLISSSVGGSDSGTKRGREEEEGGGGQSVTRLCRAFGEFPEQSSSPVLGEGSSKTPPTQTAFPPSTFVYSATQIAEPIRRRYRGVRQRPWGKWAAEIRDPFKAARVWLGTFETAEAAAQAYDEAALRFRGNKAKLNFPENVRLRTPIADSPVTQLTNSAPPNTLLSVATSTEPIVHSQAFQSMQHSSHEASRNLLGYCKPVDVQRQQPMSLYDQMLLSSSATPSVSSSSSSPLASSVSSSSSSPPPPAFSSLFWTQSSLPLRPATGDQNSTAADFQSPQWSSSSHYSAPFSR >ONH99252 pep chromosome:Prunus_persica_NCBIv2:G6:1715348:1716101:1 gene:PRUPE_6G021100 transcript:ONH99252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONI04288 pep chromosome:Prunus_persica_NCBIv2:G6:28047986:28050511:1 gene:PRUPE_6G313700 transcript:ONI04288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRCRIYGNQYNLLTKMPFPLPLFSLQPATLFFTPKAFVFLSRSSITMSVQFDAINPSSQNALQTSQNYPVPLSPPLPPISKHIELARAMSASSKSSLFSLSRDDVVFEDQWLIVVNKPQGVYCESVLESVPQLLCDSAESVAGTPANQLELHLANRLDRDTSGLMVITKSHKVASKLVKAFTDHKISKTYIARCIGSAPKWERITLKSGHGRSKFGAWRVYAASDVGRTLPGGSMVRDMETSFEVLSINGQGSLKEPSEFKKDEANTIVVQEKAVIDKDTKKDEILVRARPRSGRTHQIRLHCQYLGISIRGDVKYEGVYEWKGRTYDGHELHAESLSFEHPITGLPVMFQAPVPSWASQALQP >ONI02360 pep chromosome:Prunus_persica_NCBIv2:G6:20071137:20072033:1 gene:PRUPE_6G193500 transcript:ONI02360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKIGKTPYISSKSFGVKMNYWVRQELNQLTLN >ONI00181 pep chromosome:Prunus_persica_NCBIv2:G6:4981007:4982469:1 gene:PRUPE_6G073000 transcript:ONI00181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTTPDKFCLVGHVMLLHLERSSWCFLCYFCFPFVVSLCLFFLFCGFEGFASLVCFCLFLPLGFSRLLFLFVVTSSFAFVLA >ONI00033 pep chromosome:Prunus_persica_NCBIv2:G6:4409459:4411939:-1 gene:PRUPE_6G063900 transcript:ONI00033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKTVKNIISLKAVVDKGSNKIIFAESDKDFIDVLLSFLTIPMGTIVRRDTKHSVSLCMNNLYASVRRLLQTEACKEMLLCPHNGAESHCENLKLKIDNDEPTGYFLCDSWQCTFENKSISHYKGVLCQCGRCMNLKCSLSVSFSAEQGGGIFVKESARFIITDDLRVMSPFSVASNPVFTKLGAMNKNSTTEQKTLNIGAHEVLNLLLRSLVSKKPLSETLLKLDPVPTPNPNLSLDQLILTSIESLLLEDMMNEEEEQKIVVKLTVSVSKDIVCYAEAEEDFVNLLLSFLTVPLGFILKHLRDASFKGCIDQLYNSVKDLDEQHLKSNYHKEILLSPKIYPGFCYENRLLGMEDGPVASYLYAYWKDDKGRLKDILTTDAALIPSNAVTVPLKLKHDKSPQGYLKGSTVFMVTDDLFITPISPAFGFYELNRLKVPLTDIKEETVEVGKKEALRLLVAAFLRDSALTDVFIRQMNQEEFIKRAWLSNR >ONH99241 pep chromosome:Prunus_persica_NCBIv2:G6:1595344:1596368:1 gene:PRUPE_6G020000 transcript:ONH99241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLELMVDAANRGWRDSNGLLSKQIVESKILPVLNAKLGCQKTYHQSQSHLKYSGFEWDSGSKKFTAIEEVWQNYFKSHPSQVHLQRYTFAEYEDLVIAIGNGTAAGKNSIGLVDDTDARTYEVGEMRPTRLQDTNEAFVPSQNETSYQSLSSGNFTSSPFLDTNLEAALEKLPQRKKPKTESEANNNSVETITRAELVEKVYVGIDSIAAITTEIRGIHSLMERERERERERERERERTNNVWDAINETPNLDNRARYKALGLVHKLGMKNAFLKMLPEERSEWILYNME >ONI04716 pep chromosome:Prunus_persica_NCBIv2:G6:29205374:29217311:-1 gene:PRUPE_6G336100 transcript:ONI04716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENNSNNVASNVAQAIAVALDWSSTSDARKAAVAFLESIKAGDVRVLANTSFHLVKKDWSSEIRLHAFKMLQHLVRLRWEELSPTERRNFANITVDLMSDIANPSEEWALKSQTAALVAEMVRREGLNLWQELLPTLVSLSYKGPIQAELVCMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPEILPLLYTLLERHFGAVLNEAGKQQLDLAKQHAATVTATLNAVNAYSEWAPLPDLAKYGIIHGCGFLLSSPDFCLHACEFFKLVSQRKRPIDDTSAPEFDSAMSNIFHILMNVSKEFLYRSGPSAGVIDESDIEFAEYICESMVSLGSTNLQCIAGDSTMLGLYLQQMLGFFQHLKLALHFQSLHFWLALMRDLMSKPKAVARSAGDGSDPVDTEKRKILSFLSDEICSAILDVSFQHMLKREKVLHGTSFALGPLELWSDDAEGKGNFGQYRSKLLELVKLVTSYKPLIAGANVSERIDKIIKNLLLSPMPAQDLAVMESMQLALENVVSTIFDGSNEIGGGHSEVQHGMCKIFEGLLQQLLSLKWTEPALVEVLGHYLDAMGPFLKYFPDAAGSVINKLFELLNSLPFVVKDPSTNSARYARLQICTSFIRIAKTADKSILPHMKGIADTMAYMQREGCLLRGEHNLLGEAFLVMASAAGIQQQQEVLAWLLEPLSQQWTQLEWQNNYLSEPLGLVRLCSETPVMWSVFHTITFFEKALKRSGTRKAHLNLQNNSTETATPLHPMASHLSWMLPPLPKLLRSIHSLWSPSVSQTLPGEIKAAMTMSDVEQFSLLGEGNPKFSKGAVTFSSGSLISASKEGYTEPNESDIRNWLKGIRDSGYNVLGLATTVGGSFYKCLDSQSVALALVENIHSMEFRHIRLLVHSVLIPLVKFCPVDLWETWLEKLLHPLFQHSQQALSCSWSSLLREGRAKVPDAHAILAGSDLKVEVMEEKLLRDLTREICSLLSVIASPQLNTGLPSLEHSGHVSRVDVSSLKDLDAFTSSSMVGFLLKHKGLALPALQICLEAFTWTDGESMTKVSSFCAALVALTISTNSTELQQFVSKDLFSAIIQGLALESNAFISADLISLCRDIYIYLCDRDPTPRQVLLSLPCIKQHDLLAFEEALTKTYSPKEQKQHMKSLLLLATGNKLKALVAQKSVNVITNVSTRPRNTVNVAETRVDEGESVGLAAIL >ONI00236 pep chromosome:Prunus_persica_NCBIv2:G6:5291671:5293877:1 gene:PRUPE_6G077500 transcript:ONI00236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWWYLSRTPSSASNAKPSDQYSTITLHNSIFPVSDSGLFNDICIVEIPNKPLIDHNKDNNKSSSKQVETFPCSSWEWFAYQFSPTQFHSVVVPRVLSPVFRIQVIGVLPEHFLCAKLVQSPHQPHATLCTYSCSENSLHDVPKMNCEDRNPFGAACALRDDWLYVAGGYKGKSSNIDPSSYLNSVERLNLKTWEWQSLQNMQEPRAFADGFTHKGRFFVVGGSATLKHSAEIYNPSTNSWVCLKSFVPKEADGFTAASLNGRLMLLTWSDQLGVKLWLWTVLVNPNLICRCRLISFFPNQIVERPRLKSHGAKMVQVGGKEVWVLVGESDRFCLQVDGSNALPVFPAPMFRPGDGHEAVQKGHIYAFSFTDGVGLSWRKIPVYSI >ONI00028 pep chromosome:Prunus_persica_NCBIv2:G6:4370782:4376463:-1 gene:PRUPE_6G063500 transcript:ONI00028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQDYLDNHMLSRKIEDAVNAAVRAKAPDPVLYISNHMRKAIPSVITKVKARQILDSRGIPTVEVDLHTNKGMFRASAPSSDLSGMYEAVELRDGDKGSYLGNSVIRAVKNVNEKISEALVGMDPTLQSQIDQAMIELDRTEKKGELGVNAILAVSIAACKAGAAQKEVPLYKHIADLSGKSQLTLPVPAFTVISGGKRAGNNLAIQEIMVLPIGANRFEEALQMGSETYHHLKAVITEKYGAHGCNVGEDGGFAPNISSIKEVLDLVKEAISRTGYNEQIKLAIDVAATDFCIGTKYDLDYKSANKSGQNFKSGQDMTEMYKELCNEYPIVSIEDPFDKEDWEHTKKFSGLGICQVVGDDLIMSNPKRIKRAIEESTCNALLVKINQIGTVTEAIEVVKLAKDAHWGVVTSHRCGETEDSFIADLSVGLSTGQIKAGAPCRGERLAKYNQLLRIEEELGDNAFYAGEDWRESP >ONI04608 pep chromosome:Prunus_persica_NCBIv2:G6:28826653:28827357:-1 gene:PRUPE_6G329900 transcript:ONI04608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQGALEQASTNHIEIPVYGNVADQPRQQSQRPEDEEDETFDYSQRTQWLRAAVLGANDGLVSVASLMMGVGAVKQDVKAMLLAGFAGLVAGACSMAIGEFVSVYTQYDIEVSQMKRDETRTNGAATENAQEAKKKKLQLPNPAQAAMASAIAFSIGAVVPLLGAAFISEHKVRLAVVVVLATVALVVFGGVGARLGRTSMMKSCARVLVGGWMAMAITFGLTKLIGSGGLEM >ONH99174 pep chromosome:Prunus_persica_NCBIv2:G6:1117880:1120361:-1 gene:PRUPE_6G015600 transcript:ONH99174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVEPTSVCNILEDNSGIAIAKRTMAVPSHTMPCGVFFMFFFWSTVISTNHACNKADHNSLWSSFDVPSSALNWSSSDCCHWEGITCDKDGRVTHLLLPSKRLQGSVSRSLGNLMHLSHLNLSHNQLSGPLEAGLILSLSFLEILDLSYNLLSGEVPLFPPSSYIRIVDLSSNQFNGTIPSSFLQHAGNLSSLNVSKNHISGQMPSSICLYSSLVRVFDFSYNNFSGSIPLGLGTCSKLEVFRAGFNSLSGTLPSDIYKAQALEEISLPSNKLFGPISDRIANLTSLTILEIYFNQLTGVLPHQIGKLSKLKLMHLHFNNLQGSLPPSLMNCTNLVELYLGFNRLEGNITMLNFSKLSQLTKLDLGSNYFSGFMPVSLYSCKSLKAIRLNGNDLEGQIQPEIVSLKSLSFLSLGWNRLTNVTGAIYILMGCKNLRLLFLPRSFLGEELPDGEAMVGLGFQNLRLLGLSDCQLTGHIPVWMSKLKKLEALDLSTNRLTGLIPGWLGTLPNLFFISLNNNSLSDELPKELFRLQALVSEKHATPTDHGDVELPIYAQRTNHSITALQYNYLSNLPRAIYIRNNSLSGNIPVEIGQLQHLNELDLSVNNFFGSIPGQISYLTNLERLDLSRNHLSGAIPSSLSNLHFLASFSVAYNNLHGQVPSGTQLQGFNATAFEGNPGLCGAPLLNECQQMNTNNNKTTNLEDVHDNANGIPWLHISVALGFIIGFWGVCGPLALSRSWRYAYFEFLSNVKDRFLG >ONH99529 pep chromosome:Prunus_persica_NCBIv2:G6:2630828:2631488:-1 gene:PRUPE_6G034900 transcript:ONH99529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRASSVGSQSQSLRDSSFVRQLSVEDFALYGVQFLLFVTCVLFLSREGVW >ONI04531 pep chromosome:Prunus_persica_NCBIv2:G6:28683825:28684809:1 gene:PRUPE_6G326500 transcript:ONI04531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVALDAMVFTALGILGKSATRTPRVLSVVSSVFERSIRKNEKLIRRSKMKDVITMFHASEVPALSIRRYIERIFKYSSCSPSCFVVAYIYIERFLQRTGICLTSLNIHRLLITSIMVAAKFMDDECYSNAHYAKIGGVSLSELNKLEIEFLLSLDFKLHVTINMFGKYCRQLEDGVGENQIS >ONI02427 pep chromosome:Prunus_persica_NCBIv2:G6:20487332:20487895:-1 gene:PRUPE_6G197800 transcript:ONI02427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPKIFFISSLLVVILSASSTQMSHAARHLLDTPAAAPPPTLAIPTIPSLPNPTQLPPLPSLPTLPRPSNTLPTLPTAPTLPKTTLPPLPSTPLPTLPTAPTLPKPATLPPLPSTQLPTLPTTLPPFPTSLPQIPSLPKSTLPTVPTTLPPLPANPLPSFPSTIPSIPTIPTTIPSIPFFTPPPSN >ONH99258 pep chromosome:Prunus_persica_NCBIv2:G6:1741063:1743456:1 gene:PRUPE_6G021500 transcript:ONH99258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFELAYAFCFLLMQLPFSTIAQTDGKNISLGSSLTALDNKSFWASPSGEFAFGFQEIGKYGFLLAIWFNKVPERTIVWSANGDNLVQKGSTVELTSAGQFRLNDITTGKQIWVASSYGTGVVYAAMLDTGNFVLANRNSIHLWASFDQPTDTILPTQTLSQNSRLFARYTASNYSRGRFQLTLESDGNLRLYTTLFPLDSINSPYWSTNIKDSGVELMFNQSGSIYLTASNGSILTMVSDEIVSMQDFYQRATLDYDGVFRHYVYPKSTGSSVGSWNMAWSTLSYKPKDICMSIFQDKGVGACGFNSICTQDQGPICQCPYGYTDMDPADVWKGCKPNFVPQSCGEASSPEAHLFYFAEMQNANWPVTEYNYFQPATEDWCRQACLADCFCAVANYRDGQCWLKGSPLFNGRIEPGSGIKALIKVRNESSTLISGDRDSRKKDNSTLILVGSLLLSSSEFLNILLLLITYLIVSRMYCGRAKVIQPYLVMNLKYFTYEELEEATNGFKEELGRGAFATVFKGVLRSSDNGKYVAVKRLDNMVKDNELEFKAEISSIGKTNHKNLVQLLGFCNEGQHRILVYEFMSNGSLAGFLFGESMPNWYKRRQIALGIARGLLYLHEDCSSQIIHCDIKPQNILLDDSIGARISDFGLAKLLKMDQTHTTTRIRGTKGYVAPEWFKNLPITLKVDVYSYGILLLEIVCCRRNFEQQAEDEDQMILADWAYDCYEQKKLHLLFKNDDEAMEDMKTMEKYVMIAIWCIQEDPSLRPTTKKLTLMLEGTVEVSIPPNPSSFTSSIM >ONI04844 pep chromosome:Prunus_persica_NCBIv2:G6:29541545:29542894:-1 gene:PRUPE_6G343000 transcript:ONI04844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKFGGVNFTVLKFIWPHCQIYTEDRLAVIILGSASGFYTHLLEEKSTLSLSLSVFCYIS >ONI04843 pep chromosome:Prunus_persica_NCBIv2:G6:29541545:29542890:-1 gene:PRUPE_6G343000 transcript:ONI04843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKFGGVNFTVLKFIWPHCQIYTEDRLAVIILGSASGFYTHLLEEKSTLSLSLSVFCYIS >ONI04385 pep chromosome:Prunus_persica_NCBIv2:G6:28269921:28272171:1 gene:PRUPE_6G318500 transcript:ONI04385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKFISFLPPTEPKMGLPNFLSVLIILSAFSCFSYGVTSSKDPNPSIQSMVMQTCTEIEDQNSCLTNVQAELKTMAPDNQNSASILTAAIRHTLNEARAAIQKITKFSSLSISYREQLAIEDCKELLDFSVSELAWSLGEMNKIRGGDNNEHYEGNLKAWLSAALSNQDTCLEGFEGTDRRLEDFVRGSLKQVTQLIGNVLALYTQLHSLPFKPPRDHGTPVNKSSSSDDHLPAWISEGDQELLRSNPQSGMHADAIVAADGSGKYRTITEAVNAAPNYSSKRHIIYVKKGVYRENIDMKKKKTNIMFVGDGIGQTVVTARRNFMQGWTTFRTATVAVSGKGFIARDMTFRNTAGPENHQGVALRVDSDQSAFFRCSMEGYQDTLYAHSLRQFYRECSIYGTIDFIFGNGAAVLQNCRIYTRVPLPLQKVTITAQGRKNPHQSTGFAIQDSYVLATQPTYLGRPWKQYSRTVFMNTYMSGLVQPRGWLEWYGNFALGTLWYGEYKNYGPGALLPGRVKWPGYHIIKDAAAASFFTVGRFIDGRAWLPSTGVKFTAGLRN >ONI00352 pep chromosome:Prunus_persica_NCBIv2:G6:5667950:5668753:-1 gene:PRUPE_6G084100 transcript:ONI00352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGREDQDDQTLDLVNCLMLLSKIDQPDSSPTKAEDLKRGRVFTCKTCNREFPSFQALGGHRASHKKPKLMPGGAVDLLQLAQSPGSPAKPKTHECHVCGLEFAVGQALGGHMRRHREEIQGNAVQARSSPAMPVLKKSNSSKRVSSFNLDLNLDLRLGLPGHHSQYDLATKLQLIS >ONI01823 pep chromosome:Prunus_persica_NCBIv2:G6:15024046:15025140:1 gene:PRUPE_6G161400 transcript:ONI01823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQDTFYISHGSPTLSIDESIPARKFLQSWKQNVYPNKPNSILIISGHWETSVPTVNAISGRHDTIYDFYGFPKSMYQLKYPAPGSPNLAGRVKDLLTASGFPRVDVDAKRGLDHGAWVPLMFMYPEADIPVCQLSIQTDRDATYHYNMGRALAPLKNEGVLVVGSGSATHNLRALREIRGGGGGAGSIVPWALEFDTWLKDALLEGRYEDVNQYEEKAPYAKMAHPWPDHFYPLHVATGAAGSDAKAKLIHGSWDLGALSYASYQFTSPPTS >ONI04516 pep chromosome:Prunus_persica_NCBIv2:G6:28644989:28647528:1 gene:PRUPE_6G325500 transcript:ONI04516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRWVFQFQNLNSHYLSSWASSLISPFKAKVQQNPSAETTSRLILNHVDISLLLSLCGKEGNFHLGSSLHASIIKNPEFFYPESQDDYRYVLVVWNSLLSVYLKCGQFSNAVKLFDNMGMKDTVSWNTMISGFFRNGESDVGFGYFKQMRGSDFYRFDRATLTSILAAFDGPEFCHLNKMMHGLVVLNGFERETAVGNALITSYCKCGSFGSGRRVFDEMFERNVITWTAMISGLAQNEFYVESLELFLEMRSGVVDPNSLTYLASLTACSGLQAISVGRQIHGLAWKLGIQSDLCIESALMDMYSKCGSLEDAWRIFESTEELDEISMTVILVGFAQNGFESEAIQIFVKMMKAGIEIDPNMVSAVLGVFGVDTSLGLGKQLHSLIVKKSFGHNSFVCNGLINMYSKCGELGDSVKVFSRMPQRNSISWNSMIAAFARHGDGSKALQLYEEMKMDGVQPTDVTFLSLLHACSHVGFVERGMEFLNSMNEDPGISPRPEHYACVVDMLGRAGLLTDAKNFIEGLPENPGVLVWQALLGACSIHGDSEIGKYAADQLLLAAPETPAPYVLLANIYSSEGRWKERARTIKGMKELGVAKETGISWIEIENKVQSFVVGDRMHPQAEIIYGVLAELYRLMTDEGYVPN >ONI00626 pep chromosome:Prunus_persica_NCBIv2:G6:6887575:6888444:-1 gene:PRUPE_6G099000 transcript:ONI00626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQQNQPLFSLTLLLIFFSHCTKILSQAPSASPAAAPAASATPGAPTITGPVVQAPPADAPAPSGPTNITKILEKAGGYKVFIRLLKSTQVDNQLYRQLNNSNSQLTVFAPTDDAFSNLGTGTLNSLSDEQKGQLVQFHLIPDFLTIQNFQTISNPVRTQAGSGLDYPLNITTSGNSVNISSGLVNTSISGTVYSDNQIAIYQVDSVLQPYGVFSPKRQPPSPAPAPAPVLGKPKLNSSSSDSDDSDTSTTPPVAEVKSGAVPTVLPKFNGIVSIAVAVVAAAALSFS >ONI00258 pep chromosome:Prunus_persica_NCBIv2:G6:5377032:5378336:1 gene:PRUPE_6G078900 transcript:ONI00258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTYTFSKSIPLIMDGETNISPPPNSQMLVSQKNHGPLSSMDFNFLVRPTLDFHRRECQTSRCKDKEEDGGQLQSSEEKQEDNFDMFVSSLKVQIPSAGEFCRLLQQDDNVDGVLDDEGLKTPTSLDHKITMNLQCPPAPRKPKSLPLKKRKGAGHPRIVLHLSSEIESLFPPVDDLLADVHVGGKIKKKLRV >ONI00583 pep chromosome:Prunus_persica_NCBIv2:G6:6711797:6713540:1 gene:PRUPE_6G096700 transcript:ONI00583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKKSWKKKTQRGIDPRKNREHTFTDGGRKLFVFAKKKARAREKRLMKYLRGRAKPNHRWKESKALRGSLRRRDGAVVDHDPHHLFCIGNLAYARKPLPWQTMATRSFSFGENGVQFSLSWQNTKSTANLVHFKRVVFSFCSDPYSLLFTLRFAGAKCLHFQLIYVSGASSLGFFCAKLRLSWHDIFPREKHKKEPTLPSLQASKQLAA >ONI04659 pep chromosome:Prunus_persica_NCBIv2:G6:28988996:28992503:1 gene:PRUPE_6G333300 transcript:ONI04659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTKSSLHSPTNGGLTTILSIDRGGITGIIEGVSLKFLEYELQRLDGKHARLVDYFDWVAGTSTGGLVMLMLATPDENNRPLFAAKDILPFYLKHCPKIFHQPRSLIGKIKHCLKGLVRSKYNGKYFHKLVKEKLGDKHLHDTLTNVVIPTVDIKLPCPVIFSSYELKRHPSLDALLSEICIGTSAAPPYFPAHQFSSTTSSQENSISLMASIAIKEAEKEILKRNPGCGNEELHKRILLISLGTGIANDEEEYDAKEVARWGAFQWLIGPHWSSPVVHMCIKVTGGILDYHTQCVLEDVQSHGHYLRIQDDTLSGRLASVDIATQKNLNDLVKVGEALLKKPVSRLDFDTSETEPLPLETNAEALVRMAKILSEERAQRIQEGHHPRRIQEGHHHKNNRLDALTKASFVS >ONI00948 pep chromosome:Prunus_persica_NCBIv2:G6:8147751:8148089:1 gene:PRUPE_6G112900 transcript:ONI00948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTINNFVIFVLFLLCQQACGWPWPWPRVTVRITNKLGGGQSMNIPCKSADNYLGLQILADDQETSWSFDPNIWQTTHFYCNVQWGNSCLHQCKYVINENHQNQRQEYPLTT >ONI00061 pep chromosome:Prunus_persica_NCBIv2:G6:4548739:4553210:-1 gene:PRUPE_6G065700 transcript:ONI00061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIFAREAPKLWRKVCAETSTEISLLAGNWKYFLAGLVFQYLHGLAAHGVHYLHRPGPTLQDAGFFFLPELGQDRTYLSETLFSVIFFSFVLWTFHPFVFQYKKIYTVLIWCRVFAYLGVSQMLRIITFYSTLLPGPNYHCREGSKLARLPPPESALEVLLINFPRGVMYGCGDLIFSSHMIFTLVFVRTYQKYGTKRCIKQIAWLVAVIQSLLIVASRKHYTVDIVVAWYTVNLVVFFIDQKLPELPDRSLGSTSQQLLPISSRDKDSKNREELDKFRNGNSANITT >ONI02286 pep chromosome:Prunus_persica_NCBIv2:G6:19635808:19637029:1 gene:PRUPE_6G188100 transcript:ONI02286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEEEAALSSSPNPLDVDPALQKKHQSMLDRLTNRHQTRLGSSLTRRSAESDSSSSPSFESTSTFLSRFSNSKSSIESQLAQCRFGLSESTQVKPQLDQISSSISDLEKLVAENSYFLPSYEVRSSLKTISDLRQSLEILSSELLPKKKFAFKNKPTRKDPIFESKEQEEEKKPEKPGFRVPESPGFWNKKGEILVHKFKGSELGEFTIADLDSCEVRLTGSVRALFVHRLRNCKVYTGPVTGSVLIDGVERCVFIMASHQIRIHNAKRSDFYLRVRSRPIIEDSCGVRFAPYCLSYQGIEDELREASLDGETKNWSNVDDFLWLRAVQSPNWSILPEDERVGLVDISNSEES >ONI01306 pep chromosome:Prunus_persica_NCBIv2:G6:10352127:10352978:1 gene:PRUPE_6G131700 transcript:ONI01306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKYAFVFSIQFSRSSASSIRLPILMIRTWRTKFHSLFTHESHKIYTGRDSRINKNKGDSLLYPIWGPKL >ONH99942 pep chromosome:Prunus_persica_NCBIv2:G6:4102832:4104280:-1 gene:PRUPE_6G059000 transcript:ONH99942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVEIVFIPVPGSGHLVSTLQFAKNLIDRNDTISITVLSIPSPFPSSLSSYTNSLVASEPRIRLIDVPQPPAKPPSIESFKSPAKRFSLYIETHLPNIRNIITEIVSSHAKSDSVRVAGIVVDFFCASMIDVAKELHLPSYLFMPSNTGYLSFMLHLPAYHEQNGEVPKDSDPEWLIKGIEIPVPPRVLPVALTDGSYSAYVKLASRFRETKGIIVNTFLELETHAINSFSDDDQTPPLYPVGPVIDVDDGQSHSNLEQAQRDRIIKWLDDQPQSSVVFLCFGSMGSFEAEQVKEIAAGLEHSGQRFLWALRMPPPKDKGMMPSDCSNLEEVLPDGFLERTQGKGLICGWAPQVEVLGHEAIGGFVSHCGWNSILESLWHGVPIVTWPMYAEQQLNAFRMVKESGLAMEMRLDYKKGSGEVVGADEIERAVVAVMDMDSEVRKKVKEMGEMTRKAVKDGGSSFASVGRFIEDVIGNNCGPN >ONI02828 pep chromosome:Prunus_persica_NCBIv2:G6:22902266:22905607:1 gene:PRUPE_6G224100 transcript:ONI02828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPDFLFTVVFSLLMAFWLSFVLPLVLSMCPSRDANDHVGKRRVLYECESPVEPEIHDWRSEIRGGFVEKVVKVEEFIGEEPKEESFLLQDFVDESCGSPKGHEGSKTDEYEVCGEVRVVCLSEKSVEDESSGGHGGLCGCSENMVDQSSERHEFGEIEVDLTKNEVGIFESEDIKFPECDGSDHEADRARESVVDGVEKEGLLGEEDDWEGIERTELERLFGAAVVFVGSKSNAERSLCVGSDVKTRLDGLHKIATRGPCFEPQPMAFKVSARAKWNAWQQLGNMSAEVAMEQYITLLSERVPGWMQDVHGDAQAYEKIASDLKTLVQNQSEPAGERKVEELKPDFKGLDN >ONI04090 pep chromosome:Prunus_persica_NCBIv2:G6:27409037:27413177:1 gene:PRUPE_6G302000 transcript:ONI04090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVPIYLSIVAFFCTVGAIALAISHIYRHLMNYTEPTYQRYIVRIIFMVPIYALMSFLSLVLPGSSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGSVVLSLSGRILKPSWVLMTCCLPPIPLDGRFIRRCKQGCLQFVILKPILVAVTLILYAKGKYADGNFSPNQSYLYLTIIYTISYTMALYALALFYVACRDLLQPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGLIKNAEDAAQYQNFIICVEMLIAAVGHLYAFPYKEYAGANIGEPRGLTGSLAHALKLNDFYHDTVHQFAPTYHDYVLYNHSEGDEGKRKYRSRTFVPTGPEMDAVRRNKHMFGNKIDDIQLSSLSSSSSSTPNNSGPVPDSANSDAMKSSLLVDTSNASSVPYDMSLIDLDLTSYPAKVPSANGGGTR >ONI04674 pep chromosome:Prunus_persica_NCBIv2:G6:29004305:29005862:-1 gene:PRUPE_6G333700 transcript:ONI04674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHVFGKVWNKGVHVYGGNRVLPCLYVSTGGFHSGQVNCAQRSFFGVEDFLDDNNSRPYTYQKEKISKNPQKHVSFKQRTIAYIEPFTLDVFISKRFVSASITHRVTCKQVAVAGTNSKDIKAVLKSRCDIPACLAVGQILADRAREADVYTAAYTPRDRDKFEGKIRAVVQSLIDSGIDVKVYLD >ONI04861 pep chromosome:Prunus_persica_NCBIv2:G6:29604594:29606021:-1 gene:PRUPE_6G344300 transcript:ONI04861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMILIIIYLMVCHNSATATASSSSNLNNGGFSVKLIRRNSLKPPLYNHNHKAYRRLMGSSDDQTTPQSEIRRDKEDGEGAQLMKLSIGSPPYEIYAVADTGSTLLWTQCEPCPNCYKQKNPKFDPKKSSSYGTLPCSAKECTYANGTGYTSCSTDDQKVCNYNYTYMDDSLTQGVMAKETITFGSSSGKPVSFKNVVFGCGHNNTGETFGKNEMGIVGLGLGNLSIISQISPYVGGRKFSHCLVPFDPDHPNDASIMSFGKGSEVSGEGVVSTPLITKDGKTQYFVTVEGITVGDKFVPFNSSWSVSKGNMFLDSGTQVTMLPQDFYDRLVTEVKKKMEPSSLKPTEVNDPSGTLLCYNATTNPKAPMMTVHFDGGAKVQLAPAQTFYQNKQDKLFCFGTLNSSNPLSEGVGLFGSYAQSNFLIGFDLEKMLVSFKATDCRKPSTRTANDASATPFSYFFNYLVSFILVAILYF >ONI02682 pep chromosome:Prunus_persica_NCBIv2:G6:22247458:22251715:-1 gene:PRUPE_6G214500 transcript:ONI02682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPHGALLNEALHWFYNPRQNEQCICAFDLAKEEFRVMPLPVLSEADKFDLRFQYLGVLEGCLSLSGWKSQGSIEIWVMKEYGLRESWNHLFKFDIVCYVPVLVSKSGNIVVKQIWSNDMAVIKIGENGKVVFWDYRCMNGHGRYETRDVIEYDETLLWVNHYEGLERQGKILKPQHVQGTDEVRKMKMKRVWKKKSNPI >ONI03814 pep chromosome:Prunus_persica_NCBIv2:G6:26400899:26403390:1 gene:PRUPE_6G284100 transcript:ONI03814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMLPKKHQLLPTTTWGIRSSLHSLIAILVATLLIGAVYLTQDGGLQLLYQDCTIITTLETRAAGAEDPKLSISSSKSRSSSKSKSSCNLFSGKWVSDNQSYPMYKERQCTYMSDQLACEKFGRKDLSYQNWRWQPHQCDLPRFNATKLLERLRNKRLVFVGDSLNRGQWVSMVCLVESAINPDLKSMPTKANGSLLIFKATEYNATIEFYWAPLLVESNSDDPVNHRVPDRIVRAQAIEKHARHWTDADVLVFNSYLWWRRSQMNVLWGSFESQDGIYKDVEMLRVYEMALRTWSDWLEVHVDRTKTKLFFVSMSPTHQSSKEWGESSRENCYKETQPITEEGYWGNGSDPKMMRLVENVLDGLKVRGLDVQILNITQLSEYRKEGHPSIYRKQWEPLTEEQESNPSSFADCIHWCLPGVPDVWNELLYAYIFQQ >ONH99963 pep chromosome:Prunus_persica_NCBIv2:G6:4167049:4169256:-1 gene:PRUPE_6G060000 transcript:ONH99963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANISTLFHKFLSLFILLLHLGCFTFTTNNHHPPTKKHKLSSLLSKPTLKPQKALTASWSYLKRIFTSPRTCKITCTNIIQSHLSTPPRSSHHSIVSLVQPDPDSKYPSGSFPESDISAASHPFFPLRNDIFPCTACGEIFPKPETLDNHQATRHAVSELIDGDSGKNIVRIIFKTGWTDTRKAPEIRRILKIHNSEKILSRFEEYREAVKCKAARNGPVRRRDERCIADGNELLRFHCSTFVCDLGLNGNSGICNREYCSVCGIIKSGFSPKLDGISTLSSSSRAHVAIPEDIEQEFEFMNVKRAMLVCRVVAGRVGCDSEEDLHDVDKEGGGFDSVVGRGGSGAHTRVDEEELLVFNPRAVLPCFVIVYTV >ONH99564 pep chromosome:Prunus_persica_NCBIv2:G6:2726408:2732310:1 gene:PRUPE_6G036200 transcript:ONH99564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEDEALLLRSSNDINKDENGGASAENGSDLEAQDGMSSRKNSISPTSRRGGIGGFKDLLIKHLDGAGGLSGRRLSFKRGRENHNHREIREPRSPVDPHHQHHNHQQHHEPLAGMDGNDELADSAPPEWALLLIGCLLGLATGLFVAAFNKGVHVIHEWAWAGTPNDGAAWLRLQRLGDTWHRILLIPVTGGVIVGMMHGLLEILDQITQSSSSQRQGFDLLAGVFPTIKAVQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLMMENNRERKIALVAAGAAAGISSGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGTQSAFTVPAYDLKSAAELPLYLILGMLCGAVSVAFTRLVAWFTKFFDFIKEKLGLPAVACPALGGLGAGIIALKYPGILYWGFTNVEEILHTGRIASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPMETEPSDTRNSARVYSVVSAAEERDEVMRRQLDSGHDLELSVIGNTSDSKTVSEELLLEDLKVSQAMSKNYVKVPVSVTMKEAIKCMRDNHQNCVLVVDDEDLLEGILTFGDVRRFQSKKSSDTSKSDCGFLDANTCLVSSVCTRGISYCGRARGIFTCYPDTDLAMAKELMEAKDIRQLPVVKRVREPSKEIKRRIVAILHYSSILNCLREEIKSREISQST >ONH99660 pep chromosome:Prunus_persica_NCBIv2:G6:3074499:3083224:1 gene:PRUPE_6G041800 transcript:ONH99660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCTFSSDDIVIKSPNDRRLYRLIKLENGLSALLVHDPEIYPEGPPEHSKSLEHSEAEEEEDEDEDDDEDGAEGEDSEGEDDDEEDDEEDEEDEEGEGGNGELKKKGKGGASQTKKAAAAMCVGIGSFSDPFEAQGLAHFLEHMLFMGSTEFPDENEYDSYLSKHGGSSNAYTEAEHTCYHFEVKREFLKGALRRFSQFFVSPLVKNEAMEREVQAVDSEFNQALQNDSCRLEQLQCHTSTPGHPFNRFSWGNKKSLVDAMEKGINLREQILKLYRDYYHGGLMKLVVIGGESLDVLEDWVVELYGNVKKGPQVNLEFKAEGPIWKAGKLYRLEAVKDVHILNLTWTFPCLHQDYLKKPEDYLAHLLGHEGRGSLHFYLKSRGWATSLSAGVGDEGMHRSSVAYVFRMSIHLTDSGLEKIFEIIGFVYQYIKLLRKVSPQEWIFRELQDIGNMEFRFAEEQPQDDYAAELAENLLLYPAENVIYGDYVYKIWDDELIKYVLGFFTPQNMRVDVVSKSSIKSEDFQCEPWFGSHYTEEDISPSLMDLWKDPPEIDVSLHLPSKNEFIPCDFSIRSDNLCLDPANISSPRCIIDEPLIKFWYKLDNTFKLPRANTYFRINLKSGYANLKSCVLTELYILLLKDELNEIVYQASVAKLETSVSLLSDKLELKVYGFNNKLPALLSKVLATAKSFLPTDDRFKVVKEDMKRTLKNTNMKPLSHSSYLRLQVLCQSFYDVEEKLHVLKELSISDLKSFIPELCSQLYIEGLCHGNLFEEEAISLSNIFKMNFSIQPLPIELRHKEHVICLPPGANLTRDASVKNKSDTNSVIELYFQIEQEVGIESTRLKALIDLFDEIVEEPLFNQLRTKEQLGYVVECSPRVTYRVFGFCFCVQSSEYNPIYLQGRVDNFINGLEELLEGLDGDSFENYRSGLMAKLLEKDPSLTYETNRYWNQIIDKRYIFDLSKREAEELRSVDKEDVINWYKMYLQQSSPKCRRLAIRVWGCNTDRKEAEARLESVQVIEDPATFKMSSRFYPSIC >ONI02698 pep chromosome:Prunus_persica_NCBIv2:G6:22353121:22357070:-1 gene:PRUPE_6G216100 transcript:ONI02698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQKAPCSHPYHPPPSSSPTTFRFKSSNIVTFVLHNHNNNQPHLSTTSFHNHKPTTTTATEKLSKSSSTSNSCISSSSSGSFSLIRTKMVAMVAVDPYVLWTFFASLVGFVALSVLRRRNYGNGMAKDGSKVQKNKNSTNKSLVSEQCVKSSDDGEFPPVYRSGTDVIIVGAGVAGAALAHTLAKEGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALFKDGKNTRLTYPLEQFHSDVAGRSFHNGRFIQRMREKASKLTNVQLEQGTVTSLLEENGTIKGVQYKTKDGQELKAYAPLTIVCDGCFSNLRRSLCRPQVEVPSCFVGLILENCELPFANHGHVILGDPSPILFYPISSTEVRCLVDVPGQKLPPIANGEMANYLKTVVAPQVPPELHDAFISAVDKGNIRTMPNRSMPANPQPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLKPLRNLHDPASLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDEARKEMRQACFDYLSLGGTCSTGPVALLSGLNPHPLSLVLHFFGVAVYGVGRLLLPFPSPKRMWIGARLILIALDIIFPIIKAEGVRQMFFPATIPAYHRAPPVE >ONI00916 pep chromosome:Prunus_persica_NCBIv2:G6:7997889:7999083:1 gene:PRUPE_6G111500 transcript:ONI00916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYPGSRVSTCVSFVISLTSFFGHTSINSLLAHNSFSSTIAGSSLRPLITALHLDFQISHTRKLSRVSMFLFSSFVQDLILSSSLNQISIVRKLWVPIRRQRGEPNHIARVQGHRKRTCSMDSSNCSHSTKTGNSQIFLLNKFSLVRTTFDC >ONI03107 pep chromosome:Prunus_persica_NCBIv2:G6:23873514:23877437:1 gene:PRUPE_6G239200 transcript:ONI03107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASGGASLEYTPTWALATVCFFFIFLSIFLEHSINLLVNFLRRHRKNALTEAVEKLKSELMLMGFVSLLLAVTQDSISKICIPAKIGGIMLPCRKKEATKEKETENVEHFVIVNKIVTNFSAAANGLYDEILRQTVHRRLAEEDDAAASDSCGDGKVAFMTKNALHQLHILIFVLAVMHILYSVLTMALGRAKMRRWESWEQETRTMEYQVENDPNRFRFARQTTFGRRHMTSCTETTFHLWLKCFFRQFYNSVAKVDYTTMRHGFIEAHLPSRHNFDFQKYIQRSLEEDFKVMVSISPLMWFVLAAFMLVDVYGWFVYLWLSYVPLLVVLVLGTKLEHIVAKMALQIKEHNSVIVGTPLVRLNDDLFWFGKPRFVLLLLHYTLFVNAFELAVFVWVTWQFGFTSCYHENTVIIVTRIFLAVTTQILCSYITLPLYALVTQMGSQFKGKIVEDQMADILKQWHAEVRNRRKKEQQLSQSARSSFSIEWSAMRNSIRRAFRPAEPADSVHSSNRWDTRDEIVEQEGSSSRGFRRNSDAGP >ONI00542 pep chromosome:Prunus_persica_NCBIv2:G6:6464170:6469583:1 gene:PRUPE_6G093800 transcript:ONI00542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHCLSHSEAALSPKLSIFANSKPYLSKPNHFSFFLYSKKLGARTAAPSLHLRSWPSKLATFTKNPSRRVNSVRSESSHSGAAANGEPPKLGKRTDIKKILILGAGPIVIGQACEFDYSGTQACKALKEDGYEVVLINSNPATIMTDPDLADRTYITPMTPELVEQILEKERPDALLPTMGGQTALNLAVALAESGALAKYGVELIGAKLEAIKKAEDRDLFKQAMKNIGVKTPPSGIGTTLDECIKIAHEIGEFPLIIRPAFTLGGTGGGIAYNKDEFEDICKAGIAASVTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPILTTQMKSVGESMALGRTFQESFQKAVRSLECGFSGWGCAKIKELDWDWEQLKYSLRVPNPDRIHAIYAAMKKGMKVDDIHELSYIDKWFLTQLKELVDVEQFLLARNLSDLTKDELYEVKKRGFSDKQIAFATKATEKDVRLKRLSLGVAPAYKRVDTCAAEFEANTPYMYSSYDFECEASPTQRKKVLILGGGPNRIGQGIEFDYCCCHTSFALQKAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNIIDLEKPDGIIVQFGGQTPLKLSLPIQQYLDENKPKCASGSGYVRIWGTSPANIDAAEDREKFNTILNELKIEQPKGGIAKSEADAIAIAKDIGYPVVVRPSYVLGGRAMEIVYSDDKLATYLENAVEVDPERPVLIDKYLSDAIEIDVDALADSQGNVVIGGIMEHIEQAGVHSGDSACSIPTKTIPASCLETIRSWTIKLARRLNVCGLMNCQYAITLSGDVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGKSLHDISFTKEVIPAHVSVKEAVLPFEKFQGCDVLLGPEMRSTGEVMGIDYEFPIAFAKAQIAAGQKLPLSGTVFLSLNDLTKPHLEKIATAFLGLGFKIVSTSGTAHILELAKIPVERVLKLHEGRPHAADMVANGQIQLMVITSSGDALDQIDGRQLRRLGLAYKIPVITTIAGALATAEAIRSLKSSTVKMIALQDFFDDESKAGSDKKLQSVSSSL >ONI00459 pep chromosome:Prunus_persica_NCBIv2:G6:6161398:6163304:-1 gene:PRUPE_6G090000 transcript:ONI00459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRLWSYSSRVPDQEDTVVAIGSAAPQQPQLKHHHHHTPRTNPDGLPHYDPRSGFGKKEGSWRSVSAEKWIHVIPVIVLFCLFVLWWFSVSVKVVSIDRRITAIRETEIPLPLNETRIDIAILAAAAMSPIASVPQNLTVNKATEVPSAEKK >ONI00350 pep chromosome:Prunus_persica_NCBIv2:G6:5662434:5663118:1 gene:PRUPE_6G083900 transcript:ONI00350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAANTLVEADQELDVDLEPTIDFKFLTSFLESDDDEALDDDHEPTIDDDHEPTIDYKRLTSFLEFDDSFLTPWNWNPNPNASACDDNTSSVETKQECIMFQPGSFFRDEEGGEEDTEALKIIRDICLIKIIGAICLIKIISTTMSKMKGV >ONH99225 pep chromosome:Prunus_persica_NCBIv2:G6:1394335:1398443:-1 gene:PRUPE_6G018900 transcript:ONH99225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQSTFLLGLLLVLGCAVTSSGATNSKHSVATCSAALLNRSSFPEGFLFGAAGSAYQYEGAANEGGRGPSIWDTFTHKYPERIKDRSNGDVAIDQYHRYKEDVQILKDTCFDAYRFSISWSRLLPNGKLSGGVNKEGIKYYKNLLNKLAASGIKPAVTLFHWDVPQALEDEYGGFLSLKIVNHFRDYAELCFKEFGDKIKYWITFNEPWTFSKNAYARGLLAPARCSNWQKLNCTGGDSATEPYLVTHHQLLAHAKVVKLYKDKYQASQKGLIGITLVSLWFEPLSDTKQDKDAASRAVDFMLGWFMDPLAKGEYPKIMQSLAGNRLPKFTKVQSKSVKGSFDFIGLNYYTTYYAADAPPLPNATTYPSYLTDARTQFLTERNGTSIGPKAGSSWLFIYPKGLQELLLHVKKNYHNPLIYITENGVSEFANPKLSREEALVDYHRIDYYDSHLYYLHAAIKDGVNVKGYFAWSLLDNFEWNDGYTVRFGIYYVDYKDELKRYPKLSANWFKNFLQKKKCTLKGSEKPKVSIH >ONI05185 pep chromosome:Prunus_persica_NCBIv2:G6:30427980:30431581:-1 gene:PRUPE_6G360600 transcript:ONI05185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDAGIPMSRAAAPVTDAPSLSPSLTQDAMWQMNLRSSETLEPGSYPERTGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIATARMKGEFPERIGQPECQYYLKTGTCKFGATCKFHHPRDKAGIAGRVALNILGYPLRPNEIECAYYLRTGQCKFGSTCKYHHPQPTNMMVSLRGSPVYPTVQSPTTPGQQSYAGGITNWSRASFIPSPRWQAPSSYAPLIVPQGVVSVPGWSAYSGQIGSVSSPESQQQPVGNSQIYGTSRQGEPENSGSQGTFSSYRSGSIPVGFYALQRENVFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPAPDCVLSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFDHPMGIFTYNPNASSSADAPARRLLGSTSATTSLNLSPEGLVEAGSANPRRLSLSEPRQIPSGDDNIDTED >ONH99163 pep chromosome:Prunus_persica_NCBIv2:G6:1092484:1098580:-1 gene:PRUPE_6G015100 transcript:ONH99163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEDNNRDAEVSGAVDTNIPENEQQVTTEITESKETKSPVQKSRGGWTLATILLANQGLATLAFFGVGVNLVLFLIRVLDQQNAAAANNVSKWTGTVYLFSLVGAFLSDSYWGRFLTCAIFQLILVLGLGLLSLSSWLYLIHPSGCGDGVTPCKPKSTVGVVMFYLSIYLIALGYGGHQPTIATFGADQFDETNPKQQSSKAAFFCYFYFALNVGSLFSNSILVYYEDKGFWTMGFLVSLGSAIVGLVSYLLAAPRYRYIKPSGNPLPRVAQVFVAAFRKWKVVPASPENLYEVEGSESAIKGSRKILHSKEIEFLDKAATITEMDQSGHNNPWRVCTVTQVEEAKCVLKMLPIWLCTIIYSVVFTQMASLFVEQGDVMESHIGNFHFPAASMSLFDIFSVLICTGIYRHIVVPVSGRLSGNPKGLSELQRMGIGLIIGLLSMVAAGATEVARLKHVQPGQKVSSLSIFWQIPQYVLVGASEIFMYVGQLEFFNGQAPDGIKSLGSSLCMASISLGNYVSSLLVTIVMMITARGENPGWIPNNLNEGHLDRFYFLIAILTALDFVVYVFCAKRYKGINLQGPELEMESIESPQEEVGINRV >ONI04253 pep chromosome:Prunus_persica_NCBIv2:G6:27915405:27915821:1 gene:PRUPE_6G311600 transcript:ONI04253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAMRIVSVFRFLSVATITTISICNGNLGVPCEQNERQALLMFKQDLKDPSNRLLSWVGEGDCWNWTGVVCDNLTGHVRELHLGNYYSDEYLNYSLYQENSLGGKVNSSLLNLKRLSYMDLSNSDFGGIQIPSFLDS >ONI02532 pep chromosome:Prunus_persica_NCBIv2:G6:21249881:21259760:1 gene:PRUPE_6G204500 transcript:ONI02532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIEMDDAYTLTLSEDEDICASILARFSNSTLEDHRHLCAAIGAMTQELKDENLPLTPVAYLGFTCSSLDGLSSQAEPSAHVIDALLTLLSIVFRKVSPAILVKKSEFLLELLARVLRSSSLTVGAALSGLKCISHLLIIRCRVNWSDVSSVYGFLLSFITDSRPKVRRQSHLCLRDVLQNFQGTPLLSPASEGVTNLFERFLLLAGGSNADAGEGPKGAQEVLYVLDALKECLFHISIKYKTAVLKYYKTLLALQQPLVTKRITDSLNILCLNPSTDVSPEVLLDLLCALALSVSTNETSVDGMTVTARLLGNGMAKIYSLNRQICIVKLPIVFNALRDVLASEHEEAIHAAVHTFKTLIHACIDESLIRQGVDQIVMNANLDARKSGPTIIEKVCATIESLLGYHYAGVWDLAFQVVSVMFDKLGVYSSYFMRGALKILEEMAKLSNEDFPFRKQLHECLGSALVAMGPETFLGLLPLNLEAEDPSQVNVWLFPILKQYTIGARLSFFTESILGMVQTMKDKSRELESQGRIFSSRSTDAFVHALWSLLPSFCNYASDTAESFNDLEQALCSALQDEPEIRGIICLSLQILVQQNKKIVGEVNDLSDSEVGSARHRAVANYTPQVTADNLSVLKSSACKLLLVLSGVFLNTTKDDAGCLQSTIGEFASIADTEAVSALFRSTMLKLLMVIKRARKAQSYRDCNSKRAQLFDLTVSLLPGLNADEINVLFGVIKSALQDDEGLIQKKAYKVLSIILRDCDRFLSSNSSKLKELPESSNSSKLKELPESSNSSKLKELPESSKSSKLDELVDIMIEVQPCHSSAKRHRLDCLYLLVAHVLKSDTKQRRDDIIRFLTEIVLALKEANKKTRNRAYDILIQIGHAYGDEEKGGKRDDLLEFFYMVAGGLAGETPHMISAAMKALARLAYEFSDLVSTASNLLPSTFLLLQRKNKEIIKANLGLLKVLVAKSQTEGLQLHLKSLVEGLLKWQDATKTHFKAKVKLLLEMLVRKCGLDAVKAVVPQEHIKLLNNIRKIKERKEWKLGSNSEEARSQVSKATASRLSRWNHTKVFSDFDDEETENSDTDYMDAKTVAGRRGKASSQLKSKASSLRRTNKNLLDQLEDEPLDLLDRQRTRSALRSFENLKRKMEWDDGPEIDSDGRLIIRDEAESYKKKPSEPDSDARSESGSYLSANSKKTQKRRKTSESGWATTGKEYGSKKAGGDLKRKDKLEPYAYWPLDRKMMSRRPEHRATARKGISSVVKMTKRLEGKSVSRFLPNNEQEHYTLWGLRLTPQTELMPFLCGEEHQHLFQIRRILITDSDSD >ONH89521 pep supercontig:Prunus_persica_NCBIv2:scaffold_18:8132:8563:-1 gene:PRUPE_I001600 transcript:ONH89521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAPEDDRDGIGCVKSANNQTYGHNLVLQAKLQQLRTQFPHAVITYADYWNAYFTVMKNPNQYGFKESFKVCCGTGDPYNFEVFAVCGTPSASACPSPSQYINWDGVHLTEAMYKALTDMFLDGKATHPPFSYFLDMKLRCG >ONH89520 pep supercontig:Prunus_persica_NCBIv2:scaffold_18:2637:3770:1 gene:PRUPE_I001500 transcript:ONH89520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRISELPHEILGSILSLLPLKEAVATSVLSKHLRYAWAWSTLTLDFDPEETLIDFIYHSRTLQNKKSHRYVNWVNRVVEQHSGPNIKLFRACFDLDRRFTSSIDKWIEFALKKRVQVLVLDFVKEYGVNKDSYMFPRKLLGLEEGFASRHCVGLKSLKVLSFNFVDVTGEILEHLLSVCPFLERLSVSFAEKLVNLRVVGSSIALKYLKLDECMDLRRVEISDTNLVSFIHTGTAIDLRLSNVPSLVEVAISSQNNDIARLCASTAFMRLAFTQLSCCLYQLETLMINTQEAEYNKDYTFPILPNLKHLELTVEGDYKLSLSQLSFFMKASPYLQRLVLKVYSLL >ONH89485 pep supercontig:Prunus_persica_NCBIv2:scaffold_51:506:2109:-1 gene:PRUPE_I005000 transcript:ONH89485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKNTCFGVHAALTMTLLILPTAIIAVADDDTPVPADHSQVNTWFNNNVNPYKERQGTLDPTLVTDEVGQTVIKVMKDGSGEFKTITDAVNSIPTNNTKHVIVYIGGGEYNEKITIPRNKPFITFYGSPTNMPTLTFAGTAQKYGTVDSATVIVESDYFVAANFIIKALALRVLGNKSALFNCRLIGFQDTLCDDKGNYFFKDCFIEGTVDFIFGSGKSLYLNTELHVLGNNEMTVITTQARDSASEDTGYSFLYCNITGTGNGTYLGRAWRTSPRVVFAYTSMSEVITSAGWNDKNRPEQYKCSGPGSSMVGRVKYTKQLNEEQIKPFLSLSYIQGSKWLLPPPNPKA >ONH89487 pep supercontig:Prunus_persica_NCBIv2:scaffold_51:8744:10243:-1 gene:PRUPE_I005200 transcript:ONH89487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHPQVNTWFNNNVNPYKERQGTLDPALVTAEVGQTVVKVMKDRSGEFKTITGAVNSIPVDNTKRVIVYIGGGEYNEKITIPRNKSFVTFYGSPTNIPTLTFAGTAQKYGTVDSATVIAESDYFVAANLIIKNSSPKPDGKRVGEQALALRVSGNKSALFNCRLIGFQDTLCDDKGNHFFQDCFIEGTVDFIFGSGKSLYLLHVLGNNEMTVIIAQARNSASEDTGYSFVHCNITGTGNGTYLGRAWRTSPRVVFAYTSMSEVITPADWNNKNRPKRDRTVFYGEYKCSGPASSMVGRVKYTKQLNEEQIKPFLSLSYIQSSKWLLPPPNPKV >ONH89486 pep supercontig:Prunus_persica_NCBIv2:scaffold_51:5913:7546:-1 gene:PRUPE_I005100 transcript:ONH89486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRTKPNASSTLAALSSTNHRRRRPLLLSGDDDHCSKCGPGNVFLVGIGLGDPELLTLKAYRVIQNADLLLYDRLVSNDVLELVDSGARLLYVGKTAGYHSRTQKVIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLRQQGIEVNVIPGTMIDLSGKRSYLVETFYDNI >ONH89460 pep supercontig:Prunus_persica_NCBIv2:scaffold_169:805:2628:1 gene:PRUPE_I001400 transcript:ONH89460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYRLVLEIGQFSSSFSFNSPPNRSSKAPEVQLTHRRDLRRVQLARTNSRRSTKETTRAIFPASAPPPKCRISL >ONH89459 pep supercontig:Prunus_persica_NCBIv2:scaffold_182:1700:4736:-1 gene:PRUPE_I001700 transcript:ONH89459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATARCEEIANQKFSQLILDEDWLALEEAVQIGPAQGFGKRLSSILSTYLSKYDIEATIYFDEGARNSKRQLLESKALDFVYPAYMTMLGHVRSKALEDFKVRLEQSLNKGRGFATYVRICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDIDAHASSVCSAKLSELNVNYEQQLSASLTGPVKTLLETGGKDIWASIRKLLNRETEVTISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEATKIMIHMKDRFSTVFNYDSDSTLRVWTGKEDITSITKDARSASMKLLSVMAAIRLDEQPGHIEKVLFSSLMDGAVTVSSSQDREIGASVDPFASSNWEEVSSKNTLITPVQCQSLWRQFIAETENSVTQAISAHVHFITSLTLRLTSVCFHFHLSSAPSRINGFCFS >ONH89525 pep supercontig:Prunus_persica_NCBIv2:scaffold_12:54584:55909:1 gene:PRUPE_I000400 transcript:ONH89525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLQLLGVYPSWYGWTFTIIMNISVTLALYSLIVFYHVFAKELAPHKPLAKFLCIKGIVFFCFWQGVVLDILAALKIIRSRHIWLDVEHIEEALQNIMVCVEMVLFSVFQKYAYSVEPYRDDGIPSKTRENKKE >ONI17748 pep chromosome:Prunus_persica_NCBIv2:G3:19379595:19382204:-1 gene:PRUPE_3G176700 transcript:ONI17748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEVVNSDEVVLGEEIEHVRLITLNRPRHLNVISSKVVSLLAEYLEKWEKDDKAELVIIKGSGRAFSAGGDLKMFYDGRNSKDSCLEVAYRMYWLGYHIHTYKKTQVALVHGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYILSHLPGHLGEFFALTGARLNGKELVAAGLATHFVPLEKLSDLEKRLISLNSGDENAVKAAIEEFAVEVQPDEESVLNKQSIIDECFSKDTVAEIIKSFEVEATKEGNGWISPVLKGLKRSSPTGLRITLRSVRFLTV >ONI17746 pep chromosome:Prunus_persica_NCBIv2:G3:19379082:19381985:-1 gene:PRUPE_3G176700 transcript:ONI17746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEVVNSDEVVLGEEIEHVRLITLNRPRHLNVISSKVVSLLAEYLEKWEKDDKAELVIIKGSGRAFSAGGDLKMFYDGRNSKDSCLEVAYRMYWLGYHIHTYKKTQVALVHGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYILSHLPGHLGEFFALTGARLNGKELVAAGLATHFVPLEKLSDLEKRLISLNSGDENAVKAAIEEFAVEVQPDEESVLNKQSIIDECFSKDTVAEIIKSFEVEATKEGNGWISPVLKGLKRSSPTGLRITLRSIREGRKQTLPESLKKEFRLTINILRAIISADVYEGIRALTIDKDNAPKWNPPSLDHVGDEKIDQVFQPFEEDLELQIPEKEEHRWDGKYENSPYASFKVTD >ONI17745 pep chromosome:Prunus_persica_NCBIv2:G3:19379082:19381985:-1 gene:PRUPE_3G176700 transcript:ONI17745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEVVNSDEVVLGEEIEHVRLITLNRPRHLNVISSKVVSLLAEYLEKWEKDDKAELVIIKGSGRAFSAGGDLKMFYDGRNSKDSCLEVAYRMYWLGYHIHTYKKTQVALVHGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYILSHLPGHLGEFFALTGARLNGKELVAAGLATHFVPLEKLSDLEKRLISLNSGDENAVKAAIEEFAVEVQPDEESVLNKQSIIDECFSKDTVAEIIKSFEVEATKEGNGWISPVLKGLKRSSPTGLRITLRSIREGRKQTLPESLKKEFRLTINILRAIISADVYEGIRALTIDKDNAPKWNPPSLDHVGDEKIDQVFQPFEEDLELQIPEKEEHRWDGKYENSPYASFKVTD >ONI17744 pep chromosome:Prunus_persica_NCBIv2:G3:19378870:19382204:-1 gene:PRUPE_3G176700 transcript:ONI17744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEVVNSDEVVLGEEIEHVRLITLNRPRHLNVISSKVVSLLAEYLEKWEKDDKAELVIIKGSGRAFSAGGDLKMFYDGRNSKDSCLEVAYRMYWLGYHIHTYKKTQVFATPEASIGFHTDCGFSYILSHLPGHLGEFFALTGARLNGKELVAAGLATHFVPLEKLSDLEKRLISLNSGDENAVKAAIEEFAVEVQPDEESVLNKQSIIDECFSKDTVAEIIKSFEVEATKEGNGWISPVLKGLKRSSPTGLRITLRSIREGRKQTLPESLKKEFRLTINILRAIISADVYEGIRALTIDKDNAPKWNPPSLDHVGDEKIDQVFQPFEEDLELQIPEKEEHRWDGKYENSPYASFKVTD >ONI17747 pep chromosome:Prunus_persica_NCBIv2:G3:19379044:19381138:-1 gene:PRUPE_3G176700 transcript:ONI17747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIGGSGPWNFNGWRCIFNGPNEVLSSHRKNCVFATPEASIGFHTDCGFSYILSHLPGHLGEFFALTGARLNGKELVAAGLATHFVPLEKLSDLEKRLISLNSGDENAVKAAIEEFAVEVQPDEESVLNKQSIIDECFSKDTVAEIIKSFEVEATKEGNGWISPVLKGLKRSSPTGLRITLRSIREGRKQTLPESLKKEFRLTINILRAIISADVYEGIRALTIDKDNAPKWNPPSLDHVGDEKIDQVFQPFEEDLELQIPEKEEHRWDGKYENSPYASFKVTD >ONI17743 pep chromosome:Prunus_persica_NCBIv2:G3:19378710:19383872:-1 gene:PRUPE_3G176700 transcript:ONI17743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEVVNSDEVVLGEEIEHVRLITLNRPRHLNVISSKVVSLLAEYLEKWEKDDKAELVIIKGSGRAFSAGGDLKMFYDGRNSKDSCLEVAYRMYWLGYHIHTYKKTQVFATPEASIGFHTDCGFSYILSHLPGHLGEFFALTGARLNGKELVAAGLATHFVPLEKLSDLEKRLISLNSGDENAVKAAIEEFAVEVQPDEESVLNKQSIIDECFSKDTVAEIIKSFEVEATKEGNGWISPVLKGLKRSSPTGLRITLRSIREGRKQTLPESLKKEFRLTINILRAIISADVYEGIRALTIDKDNAPKWNPPSLDHVGDEKIDQVFQPFEEDLELQIPEKEEHRWDGKYENSPYASFKVTD >ONI19886 pep chromosome:Prunus_persica_NCBIv2:G3:26678273:26684477:1 gene:PRUPE_3G303300 transcript:ONI19886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVKAKNRRGPTVQIDYLIHIHEIKPWPPSQSLRSLRSVLIQWENGDRNSGSTNPVVPSLGSVVGEGKIEFNHSFRLPVTLLRDMSVKGGGGGDGDAFQKNCLEFHLYEPRRDKTKGQLLATAIVDLADHGVVKETISVSAPMNSKRSFRNTDQPVLLIKIQPFVKGRISSSSGDSLSRGASLDKAGGESVSGLTNEEYAEEAEVASFTDDDVSSHSSQTISSALDTNRTLSPKKQETGEETRPHSTEGKNEKHALASKLGLERPNLIHEFMKGGSSCSSSVDLSSDPGSPVNGNASVANSPSSSSTILKAVGSETAPSPSASVLNEKAEESCTSMRSNGHERLSHEVNDKVFDGGSKITAVIQQSSKYDEKAWGIGRDCPEAAVSDDSSTEDNERKKQENRDERQHVDEEKQAQREGESFIAHEANGKQDPLGTKENIKHVKSVRSAIDSAKNALPRNDQNAEVKETGIQGDAQNSAGVAVSFRGKERKDAKVYPRDTRSVILESKIHQLEHRIKLLEGELREAAAVEAALYSVVAEHGSSMSKVHAPARRLSRLYLHACKESSRSRRASAARSIVSGLVLVGKACGNDVPRLTYWLSNSIVLRTIISQVTGEPELLLSPGSSIDRNGAGKVKNNVSSPIKWKASSSGKKEGMKLLNGSFSDCDNPHTFMSTLEKIESWIFSRIVESIWWQTLTPHMQSVAAKELNEGVDSGSRKNYRRTSSSIDQEQSNFSLDLWKKAFRDACERLCPVRAGGHECGCLPLLGRLVMEQSVARLDVAMFNAILRESSDEIPTDPVSDPISDLKVLPIPAGKSSFGAGAQLKSAIGNWSRWLTDLFGMDDDDDSLEDVNDDDDNDERQDKSFKSFHLLNALSDLMMLPKDLLLSKSIRTEVCPAFAAPLIKRILDTFVPDEFCTDPIPGVVLEALESEDTLEVGEEAVTNVPCTGAGTVYLPPSTTSIASIIGEVGGQSQLRRSGSSVLRKSYTSDDELDELNSPLASIFIDSSRSSPVATKLSWVSKGNSQQNAIRYELLRDVWMNSE >ONI19885 pep chromosome:Prunus_persica_NCBIv2:G3:26678410:26684477:1 gene:PRUPE_3G303300 transcript:ONI19885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVKAKNRRGPTVQIDYLIHIHEIKPWPPSQSLRSLRSVLIQWENGDRNSGSTNPVVPSLGSVVGEGKIEFNHSFRLPVTLLRDMSVKGGGGGDGDAFQKNCLEFHLYEPRRDKTKGQLLATAIVDLADHGVVKETISVSAPMNSKRSFRNTDQPVLLIKIQPFVKGRISSSSGDSLSRGASLDKAGGESVSGLTNEEYAEEAEVASFTDDDVSSHSSQTISSALDTNRTLSPKKQETGEETRPHSTEGKNEKHALASKLGLERPNLIHEFMKGGSSCSSSVDLSSDPGSPVNGNASVANSPSSSSTILKAVGSETAPSPSASVLNEKAEESCTSMRSNGHERLSHEVNDKVFDGGSKITAVIQQSSKYDEKAWGIGRDCPEAAVSDDSSTEDNERKKQENRDERQHVDEEKQAQREGESFIAHEANGKQDPLGTKENIKHVKSVRSAIDSAKNALPRNDQNAEVKETGIQGDAQNSAGVAVSFRGKERKDAKVYPRDTRSVILESKIHQLEHRIKLLEGELREAAAVEAALYSVVAEHGSSMSKVHAPARRLSRLYLHACKESSRSRRASAARSIVSGLVLVGKACGNDVPRLTYWLSNSIVLRTIISQVTGEPELLLSPGSSIDRNGAGKVKNNVSSPIKWKASSSGKKEGMKLLNGSFSDCDNPHTFMSTLEKIESWIFSRIVESIWWQTLTPHMQSVAAKELNEGVDSGSRKNYRRTSSSIDQEQSNFSLDLWKKAFRDACERLCPVRAGGHECGCLPLLGRLVMEQSVARLDVAMFNAILRESSDEIPTDPVSDPISDLKVLPIPAGKSSFGAGAQLKSAIGNWSRWLTDLFGMDDDDDSLEDVNDDDDNDERQDKSFKSFHLLNALSDLMMLPKDLLLSKSIRTEVCPAFAAPLIKRILDTFVPDEFCTDPIPGVVLEALESEDTLEVGEEAVTNVPCTGAGTVYLPPSTTSIASIIGEVGGQSQLRRSGSSVLRKSYTSDDELDELNSPLASIFIDSSRSSPVATKLSWVSKGNSQQNAIRYELLRDVWMNSE >ONI19887 pep chromosome:Prunus_persica_NCBIv2:G3:26678297:26684477:1 gene:PRUPE_3G303300 transcript:ONI19887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVKAKNRRGPTVQIDYLIHIHEIKPWPPSQSLRSLRSVLIQWENGDRNSGSTNPVVPSLGSVVGEGKIEFNHSFRLPVTLLRDMSVKGGGGGDGDAFQKNCLEFHLYEPRRDKTKGQLLATAIVDLADHGVVKETISVSAPMNSKRSFRNTDQPVLLIKIQPFVKGRISSSSGDSLSRGASLDKAGGESVSGLTNEEYAEEAEVASFTDDDVSSHSSQTISSALDTNRTLSPKKQETGEETRPHSTEGKNEKHALASKLGLERPNLIHEFMKGGSSCSSSVDLSSDPGSPVNGNASVANSPSSSSTILKAVGSETAPSPSASVLNEKAEESCTSMRSNGHERLSHEVNDKVFDGGSKITAVIQQSSKYDEKAWGIGRDCPEAAVSDDSSTEDNERKKQENRDERQHVDEEKQAQREGESFIAHEANGKQDPLGTKENIKHVKSVRSAIDSAKNALPRNDQNAEVKETGIQGDAQNSAGVAVSFRGKERKDAKVYPRDTRSVILESKIHQLEHRIKLLEGELREAAAVEAALYSVVAEHGSSMSKVHAPARRLSRLYLHACKESSRSRRASAARSIVSGLVLVGKACGNDVPRLTYWLSNSIVLRTIISQVTGEPELLLSPGSSIDRNGAGKVKNNVSSPIKWKASSSGKKEGMKLLNGSFSDCDNPHTFMSTLEKIESWIFSRIVESIWWQTLTPHMQSVAAKELNEGVDSGSRKNYRRTSSSIDQEQSNFSLDLWKKAFRDACERLCPVRAGGHECGCLPLLGRLVMEQSVARLDVAMFNAILRESSDEIPTDPVSDPISDLKVLPIPAGKSSFGAGAQLKSAIGNWSRWLTDLFGMDDDDDSLEDVNDDDDNDERQDKSFKSFHLLNALSDLMMLPKDLLLSKSIRTEVCPAFAAPLIKRILDTFVPDEFCTDPIPGVVLEALESEDTLEVGEEAVTNVPCTGAGTVYLPPSTTSIASIIGEVGGQSQLRRSGSSVLRKSYTSDDELDELNSPLASIFIDSSRSSPVATKLSWVSKGNSQQNAIRYELLRDVWMNSE >ONI16114 pep chromosome:Prunus_persica_NCBIv2:G3:5837081:5843655:1 gene:PRUPE_3G078900 transcript:ONI16114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRFQNLLGAPYRGGNAIITNNTELISPVGNRVSVTDLIKSQTITLPVQSSSNITRIAASPDGVFLLTVDENHRCQFINLRQRVVLHRISFKNAVNAIKFSPDGSHIAVATGKLVQIWRSPGFKKEFFPFELVRTFADCDDKVVSLEWSPDSSYLLAGSKDLTARLFCMKKLKFGVLKTKPFMFLGHRDTVVGVFFGIDKNTNKVCNVYTVTRDCYIFSWGLSGNDGEFDGMDVTEPPSPGTPDRDGDGTVEGVGSGDIKKRKGYEGRGGNLDEEGGYLLNRKWELLRKDNFMQAQAKLTACDYHRGLNMLVVGFSNGVFGLYQMPDFVCFHLLSISREKITTAVFNELGNWLTFGCAKLGQLLVWEWKSESYILKQQGHYFDVNCLAYSPDSLLLATGADDNKVKVWTVSSGFCFITFSEHTNAITALHFMANSHSLLSASLDGTVRAWDLFRYRNFRTFTTPESRQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGRLLDILSGHEGPVHGLMFSPTNAILASSSWDRTVRLWDVFDGKGAVETFSHTHDVLTVVYRPDGKQLASSTLDGQIHFWDPIDGLLMYTIEGRRDISGGRLMTDRRSAANSSSGKFFTTLCYSADGSYILAGGSSKYICMYDVADQVLLRRFQITHNLSLDGVLDFLNSKNMTDAGPLDLIDDDNSDTEEGIDKQTRGKLGYDLPGSMPNRGRPIVRTKSLRIAPTGRSFSAATTEGVLVYSIDDSFIFDPTDLDIDVTPEAVDAALNEDQLSKALILSLRLNEDSLIKKCIFTVNPIDIPAVAASIPYRYLQRLIEAFADLLESCPHLEFILRWCQELCKAHGNSIQQNFRQLLPALKSLQKAITRTHRDLADTCSSNEYMLRYLCSASSGK >ONI15013 pep chromosome:Prunus_persica_NCBIv2:G3:1520883:1526279:-1 gene:PRUPE_3G020900 transcript:ONI15013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERAPTMGSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGQLTSAPSRTGSFGGAASHSGPIMPNAAARANYTTSGPVSSGGMTGSTSVKKTNSGPLNKHGEPLKKSSGPQSGGVTRQNSGHIPPALPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKLQSSSVAHNPAVTTLGQDDYSFRKNFPKTILWSVILIFVMGFIAGGFILGAVHNAILLAVVGILFAAVAALFTWNTCWGRNAIVNFISRYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFRRVPRCVYTSTSLYEYRGWDSKPANPTHRRFTWGLRSSERHIVDFYISDFQSGLRALVKTGYGARVTPYVDESVIIDVNPENKDMSPDFVRWLGERNLSSDDRTMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPLTTGCQWSNCIFPASLEGVVLRCEDSSKNDVIPV >ONI15011 pep chromosome:Prunus_persica_NCBIv2:G3:1520883:1526279:-1 gene:PRUPE_3G020900 transcript:ONI15011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERAPTMGSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGQLTSAPSRTGSFGGAASHSGPIMPNAAARANYTTSGPVSSGGMTGSTSVKKTNSGPLNKHGEPLKKSSGPQSGGVTRQNSGHIPPALPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKLQSSSVAHNPAVTTLGQDDYSFRKNFPKTILWSVILIFVMGFIAGGFILGAVHNAILLAVVGILFAAVAALFTWNTCWGRNAIVNFISRYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFRRVPRCVYTSTSLYEYRGWDSKPANPTHRRFTWGLRSSERHIVDFYISDFQSGLRALVKTGYGARVTPYVDESVIIDVNPENKDMSPDFVRWLGERNLSSDDRTMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPLTTGCQWSNCIFPASLEGVVLRCEDSSKNDVIPV >ONI15010 pep chromosome:Prunus_persica_NCBIv2:G3:1520883:1526280:-1 gene:PRUPE_3G020900 transcript:ONI15010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERAPTMGSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGQLTSAPSRTGSFGGAASHSGPIMPNAAARANYTTSGPVSSGGMTGSTSVKKTNSGPLNKHGEPLKKSSGPQSGGVTRQNSGHIPPALPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKLQSSSVAHNPAVTTLGQDDYSFRKNFPKTILWSVILIFVMGFIAGGFILGAVHNAILLAVVGILFAAVAALFTWNTCWGRNAIVNFISRYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFRRVPRCVYTSTSLYEYRGWDSKPANPTHRRFTWGLRSSERHIVDFYISDFQSGLRALVKTGYGARVTPYVDESVIIDVNPENKDMSPDFVRWLGERNLSSDDRTMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPLTTGCQWSNCIFPASLEGVVLRCEDSSKNDVIPV >ONI15009 pep chromosome:Prunus_persica_NCBIv2:G3:1520883:1526375:-1 gene:PRUPE_3G020900 transcript:ONI15009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERAPTMGSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGQLTSAPSRTGSFGGAASHSGPIMPNAAARANYTTSGPVSSGGMTGSTSVKKTNSGPLNKHGEPLKKSSGPQSGGVTRQNSGHIPPALPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKLQSSSVAHNPAVTTLGQDDYSFRKNFPKTILWSVILIFVMGFIAGGFILGAVHNAILLAVVGILFAAVAALFTWNTCWGRNAIVNFISRYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFRRVPRCVYTSTSLYEYRGWDSKPANPTHRRFTWGLRSSERHIVDFYISDFQSGLRALVKTGYGARVTPYVDESVIIDVNPENKDMSPDFVRWLGERNLSSDDRTMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPLTTGCQWSNCIFPASLEGVVLRCEDSSKNDVIPV >ONI15012 pep chromosome:Prunus_persica_NCBIv2:G3:1520883:1526376:-1 gene:PRUPE_3G020900 transcript:ONI15012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERAPTMGSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGQLTSAPSRTGSFGGAASHSGPIMPNAAARANYTTSGPVSSGGMTGSTSVKKTNSGPLNKHGEPLKKSSGPQSGGVTRQNSGHIPPALPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKLQSSSVAHNPAVTTLGQDDYSFRKNFPKTILWSVILIFVMGFIAGGFILGAVHNAILLAVVGILFAAVAALFTWNTCWGRNAIVNFISRYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFRRVPRCVYTSTSLYEYRGWDSKPANPTHRRFTWGLRSSERHIVDFYISDFQSGLRALVKTGYGARVTPYVDESVIIDVNPENKDMSPDFVRWLGERNLSSDDRTMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPLTTGCQWSNCIFPASLEGVVLRCEDSSKNDVIPV >ONI15014 pep chromosome:Prunus_persica_NCBIv2:G3:1520880:1526394:-1 gene:PRUPE_3G020900 transcript:ONI15014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERAPTMGSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGQLTSAPSRTGSFGGAASHSGPIMPNAAARANYTTSGPVSSGGMTGSTSVKKTNSGPLNKHGEPLKKSSGPQSGGVTRQNSGHIPPALPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKLQSSSVAHNPAVTTLGQDDYSFRKNFPKTILWSVILIFVMGFIAGGFILGAVHNAILLAVVGILFAAVAALFTWNTCWGRNAIVNFISRYPDAELRTAKNGQYVKVSGVVTCGNVPLESSFRRVPRCVYTSTSLYEYRGWDSKPANPTHRRFTWGLRSSERHIVDFYISDFQSGLRALVKTGYGARVTPYVDESVIIDVNPENKDMSPDFVRWLGERNLSSDDRTMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPLTTGCQWSNCIFPASLEGVVLRCEDSSKNDVIPV >ONI14854 pep chromosome:Prunus_persica_NCBIv2:G3:864420:865830:-1 gene:PRUPE_3G012800 transcript:ONI14854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLCLVFFRVPFWLQANNESRGHNFTLNATFDDIEIDKYDGLVIPGGRSPEHLAMKASVVELARKFSDSRKPIAAICHGLLVLAPAGAVKGRKCTAVSTMKPGLVAAGAHWVEPDTLSVCVADDNLITGVTYYGNPEFIRLFLKALGGNICASERRVLIIIGNYAEDYEVTVPYQTLKVLGCHVDVVCPKKKAGDTCPTAIRDLEGGQTYSEIRGHNFVLTADFESIDASSYDALVLPGGKAPEFLALKEDVIVLVKQFMEARKPVASICHGLEILVAAGVLQGKKCTGYPGIKARVVSSGGTFVEADPIDRCVTDGNLVTAAAWHGQPELISQLMTLLDIRVSF >ONI14853 pep chromosome:Prunus_persica_NCBIv2:G3:864067:866407:-1 gene:PRUPE_3G012800 transcript:ONI14853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKGEVQRRVLLLCGDYMEDYEAMVPFQALQAFGVSVDAVSPVKKAGDICATAITIQVESTDQANNESRGHNFTLNATFDDIEIDKYDGLVIPGGRSPEHLAMKASVVELARKFSDSRKPIAAICHGLLVLAPAGAVKGRKCTAVSTMKPGLVAAGAHWVEPDTLSVCVADDNLITGVTYYGNPEFIRLFLKALGGNICASERRVLIIIGNYAEDYEVTVPYQTLKVLGCHVDVVCPKKKAGDTCPTAIRDLEGGQTYSEIRGHNFVLTADFESIDASSYDALVLPGGKAPEFLALKEDVIVLVKQFMEARKPVASICHGLEILVAAGVLQGKKCTGYPGIKARVVSSGGTFVEADPIDRCVTDGNLVTAAAWHGQPELISQLMTLLDIRVSF >ONI19779 pep chromosome:Prunus_persica_NCBIv2:G3:26382968:26386242:1 gene:PRUPE_3G297200 transcript:ONI19779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLVTLVVIRSLLQNIITESYSYNSLKLLFYFFSVIMVPYYI >ONI14899 pep chromosome:Prunus_persica_NCBIv2:G3:1082179:1083991:1 gene:PRUPE_3G015200 transcript:ONI14899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSFPLNNKLSEGDIVRLGSSIRSWLGLEETVKLNSFSHSTPSSNSTLSTSSSSCELSSSYSWGSALLLIVLWP >ONI16417 pep chromosome:Prunus_persica_NCBIv2:G3:7336408:7337354:1 gene:PRUPE_3G097100 transcript:ONI16417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLPKPDSIQIREVWNDNFEEEFALIRKIVDDYPYVAMDTEFPGIVLRPVGNFKNSYDYHYQTLKDNVDMLKLIQLGLTFSDEQGNLPTCGTDKHCIWQFNFREFNLNEDVFANDSIELLRQSGIDFKKNNEKGIDAKRFGELLMSSGIVLNDTVHWVTFHSGYDFGYLLKLLTCQNLPETQAEFFNLINIYFPTIYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKESFFSGSLEKYAGVLYGLGVENVLNTL >ONI18484 pep chromosome:Prunus_persica_NCBIv2:G3:21993415:21994212:-1 gene:PRUPE_3G218300 transcript:ONI18484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYAATKLSADAKPFSPLSPHLHQPPPQHHLPHVPVQASLHHPVFYQPQYYEPSNFSGGGHWSWQSPQYHQLGFNNIPVHHGVGFCYGPPPVPFVYDQGLPQFNMQTLRNKIVQQVEYYFSDENLCKDYFLRSLMDNKGWVPISNVAEFNKLKRMCTNIPFILDVLMQRSTRVEVHGHMIRRRNEWQRWLPVSAGSTTNPQTQVSLEEKEFMESLLVKNVYGDDVAVEFDGGSVVYNASNGGLSGRRAAPAMKTEWKPKAFKCC >ONI17735 pep chromosome:Prunus_persica_NCBIv2:G3:19349245:19352312:1 gene:PRUPE_3G176200 transcript:ONI17735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVFGVLLEEPNMYNNPETVLKDVYGTLEKLKQNGYYGFASEIQKKMRIIVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSN >ONI17725 pep chromosome:Prunus_persica_NCBIv2:G3:19349490:19358455:1 gene:PRUPE_3G176200 transcript:ONI17725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVFGVLLEEPNMYNNPETVLKDVYGTLEKLKQNGYYGFASEIQKKMRIIVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKADNPILHGRFWYYLMLAVHDWSSRDDLTSVAIVKVLNHLGQWEILHIPGRHPRTYLS >ONI17729 pep chromosome:Prunus_persica_NCBIv2:G3:19349262:19359156:1 gene:PRUPE_3G176200 transcript:ONI17729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVFGVLLEEPNMYNNPETVLKDVYGTLEKLKQNGYYGFASEIQKKMRIIVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKAGRPNLSCNSKGVESSWPVGNTSHSWKNEFNLLS >ONI17720 pep chromosome:Prunus_persica_NCBIv2:G3:19349263:19359156:1 gene:PRUPE_3G176200 transcript:ONI17720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDRCHESLNLKPSSIQVNVIFPLSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKADNPILHGRFWYYLMLAVHDWSSRDDLTSVAIVKVLNHLGQWEILHIPGRMNSICCLNLPIFGPRDDRWVTINTMEDGKTPTNLSFIDDRCLKVIGSIKRYSDDGRDRTLLDQVRGIRFEFIKGAEGYARITIDGAPEFDIPTDELAEIEISYHGQVNILAGPNCPAKSIHLSSQSSVSQANAKD >ONI17726 pep chromosome:Prunus_persica_NCBIv2:G3:19349262:19359156:1 gene:PRUPE_3G176200 transcript:ONI17726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDRCHESLNLKPSSIQVNVIFPLSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKADNPILHGRFWYYLMLAVHDWSSRDDLTSVAIVKVLNHLGQWEILHIPGRHPRTYLS >ONI17728 pep chromosome:Prunus_persica_NCBIv2:G3:19349490:19357857:1 gene:PRUPE_3G176200 transcript:ONI17728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVFGVLLEEPNMYNNPETVLKDVYGTLEKLKQNGYYGFASEIQKKMRIIVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDRCHESLNLKPSSIQVNVIFPLSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKAGRPNLSCNSKGVESSWPVGNTSHSWKNEFNLLS >ONI17719 pep chromosome:Prunus_persica_NCBIv2:G3:19349252:19359156:1 gene:PRUPE_3G176200 transcript:ONI17719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVFGVLLEEPNMYNNPETVLKDVYGTLEKLKQNGYYGFASEIQKKMRIIVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKAGRPNLSCNSKGVESSWPVGNTSHSWKTPTNLSFIDDRCLKVIGSIKRYSDDGRDRTLLDQVRGIRFEFIKGAEGYARITIDGAPEFDIPTDELAEIEISYHGQVNILAGPNCPAKSIHLSSQSSVSQANAKD >ONI17721 pep chromosome:Prunus_persica_NCBIv2:G3:19349262:19359156:1 gene:PRUPE_3G176200 transcript:ONI17721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDRCHESLNLKPSSIQVNVIFPLSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKAGRPNLSCNSKGVESSWPVGNTSHSWKTPTNLSFIDDRCLKVIGSIKRYSDDGRDRTLLDQVRGIRFEFIKGAEGYARITIDGAPEFDIPTDELAEIEISYHGQVNILAGPNCPAKSIHLSSQSSVSQANAKD >ONI17734 pep chromosome:Prunus_persica_NCBIv2:G3:19349245:19352312:1 gene:PRUPE_3G176200 transcript:ONI17734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVFGVLLEEPNMYNNPETVLKDVYGTLEKLKQNGYYGFASEIQKKMRIIVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDRYSVEMLYG >ONI17716 pep chromosome:Prunus_persica_NCBIv2:G3:19349263:19359156:1 gene:PRUPE_3G176200 transcript:ONI17716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVFGVLLEEPNMYNNPETVLKDVYGTLEKLKQNGYYGFASEIQKKMRIIVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDRCHESLNLKPSSIQVNVIFPLSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKADNPILHGRFWYYLMLAVHDWSSRDDLTSVAIVKVLNHLGQWEILHIPGRMNSICCLNLPIFGPRDDRWVTINTMEDGKTPTNLSFIDDRCLKVIGSIKRYSDDGRDRTLLDQVRGIRFEFIKGAEGYARITIDGAPEFDIPTDELAEIEISYHGQVNILAGPNCPAKSIHLSSQSSVSQANAKD >ONI17733 pep chromosome:Prunus_persica_NCBIv2:G3:19349263:19359156:1 gene:PRUPE_3G176200 transcript:ONI17733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKASTIGVQGTT >ONI17732 pep chromosome:Prunus_persica_NCBIv2:G3:19349263:19359156:1 gene:PRUPE_3G176200 transcript:ONI17732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVFGVLLEEPNMYNNPETVLKDVYGTLEKLKQNGYYGFASEIQKKMRIIVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKASTIGVQGTT >ONI17718 pep chromosome:Prunus_persica_NCBIv2:G3:19349252:19359156:1 gene:PRUPE_3G176200 transcript:ONI17718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVFGVLLEEPNMYNNPETVLKDVYGTLEKLKQNGYYGFASEIQKKMRIIVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKADNPILHGRFWYYLMLAVHDWSSRDDLTSVAIVKVLNHLGQWEILHIPGRMNSICCLNLPIFGPRDDRWVTINTMEDGKTPTNLSFIDDRCLKVIGSIKRYSDDGRDRTLLDQVRGIRFEFIKGAEGYARITIDGAPEFDIPTDELAEIEISYHGQVNILAGPNCPAKSIHLSSQSSVSQANAKD >ONI17722 pep chromosome:Prunus_persica_NCBIv2:G3:19349252:19359156:1 gene:PRUPE_3G176200 transcript:ONI17722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKADNPILHGRFWYYLMLAVHDWSSRDDLTSVAIVKVLNHLGQWEILHIPGRMNSICCLNLPIFGPRDDRWVTINTMEDGKTPTNLSFIDDRCLKVIGSIKRYSDDGRDRTLLDQVRGIRFEFIKGAEGYARITIDGAPEFDIPTDELAEIEISYHGQVNILAGPNCPAKSIHLSSQSSVSQANAKD >ONI17731 pep chromosome:Prunus_persica_NCBIv2:G3:19349262:19359156:1 gene:PRUPE_3G176200 transcript:ONI17731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKAGRPNLSCNSKGVESSWPVGNTSHSWKNEFNLLS >ONI17727 pep chromosome:Prunus_persica_NCBIv2:G3:19349490:19358455:1 gene:PRUPE_3G176200 transcript:ONI17727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKADNPILHGRFWYYLMLAVHDWSSRDDLTSVAIVKVLNHLGQWEILHIPGRHPRTYLS >ONI17717 pep chromosome:Prunus_persica_NCBIv2:G3:19349262:19359156:1 gene:PRUPE_3G176200 transcript:ONI17717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVFGVLLEEPNMYNNPETVLKDVYGTLEKLKQNGYYGFASEIQKKMRIIVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDRCHESLNLKPSSIQVNVIFPLSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKAGRPNLSCNSKGVESSWPVGNTSHSWKTPTNLSFIDDRCLKVIGSIKRYSDDGRDRTLLDQVRGIRFEFIKGAEGYARITIDGAPEFDIPTDELAEIEISYHGQVNILAGPNCPAKSIHLSSQSSVSQANAKD >ONI17730 pep chromosome:Prunus_persica_NCBIv2:G3:19349262:19359156:1 gene:PRUPE_3G176200 transcript:ONI17730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDRCHESLNLKPSSIQVNVIFPLSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKAGRPNLSCNSKGVESSWPVGNTSHSWKNEFNLLS >ONI17723 pep chromosome:Prunus_persica_NCBIv2:G3:19349252:19359156:1 gene:PRUPE_3G176200 transcript:ONI17723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKAGRPNLSCNSKGVESSWPVGNTSHSWKTPTNLSFIDDRCLKVIGSIKRYSDDGRDRTLLDQVRGIRFEFIKGAEGYARITIDGAPEFDIPTDELAEIEISYHGQVNILAGPNCPAKSIHLSSQSSVSQANAKD >ONI17724 pep chromosome:Prunus_persica_NCBIv2:G3:19349490:19358455:1 gene:PRUPE_3G176200 transcript:ONI17724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLSETESELSGAVLISDLGAIKDIVISIDTRRLTGDYYCRFISVLLDLTEALTKCQVFSHEWKMHSHRKTHLAVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKKEERIYNLRESSSRPYPEENLESELPVVEGEVVGFDEQLWKIRNFLLKPSPSSGAGFAAVGILGIAGAGKTTLVREFLSWWIVRGEFSPIIWLCLSNIIKENKQGEEEIEVSIVKCMLSKLDHDAVVDGDDIIQEEEKTIRSNNSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHLLEVQEVGDRLSHGLPKGSGGAVIVTSRIPEVVEAMVVPAEGSDQHYNSLIIRLEPLDRQSCWGIFKDTVYGYLPDFEYQQHLEKVEIEIKDLCDGLPLAARTLAEIMSHKSHSLRWTSPPYRKSEELLQLPDFFFGIPIGRRTYPPYRKSEELLQLPDFSFGIPMLVFIDMRREEELIYKFGNHLYSDQVFGVLLEEPNMYNNPETVLKDVYGTLEKLKQNGYYGFASEIQKKMRIIVVGEDYVVNWILGVICDLKLPESPSIAPVPPPTSKWGSNWYNEHQYGIAASFGWKKISNISPLRSYLVDVALAKPMRIDRCHESLNLKPSSIQVNVIFPLSWHCLIRMKHTQSSTSQQEKPYSSFMDQLPHYLHEVGDVGKADNPILHGRFWYYLMLAVHDWSSRDDLTSVAIVKVLNHLGQWEILHIPGRHPRTYLS >ONI16042 pep chromosome:Prunus_persica_NCBIv2:G3:5526707:5532938:1 gene:PRUPE_3G075300 transcript:ONI16042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYGKLAKRAVETEMPIMVQIQQLVRGAKNAVSLAQGVVHWQPPKQALDKVKELVWEPSISRYGADEGILELREALVKKLHNENKLYKSSVMVTSGANQAFVNLVLTLCDSGDSVVMFAPYYFNAYMSFQMTGVTNILVGPGHPETLYPDADWLEKTLSETKPTPKLVTVVNPGNPSGTYIPDPLLKRISDICRDAGSWLVVDNTYEYFMYDDLKHTCVEGNHIVNIFSFSKAYGMMGWRVGYIAYPSEVEGFATQLLKVQDNIPICASIISQYLALHSLEMGPEWVTERVKGLVKNKEIVLEALSPLGKDAVKGGEGAIYLWAKLPDKYADDDKFVHWLAHRHGVVVIPGSACGCPGNVRISFGGLLEDDCKAAAERLRRGLEELIRDGMVQ >ONI16043 pep chromosome:Prunus_persica_NCBIv2:G3:5526707:5532938:1 gene:PRUPE_3G075300 transcript:ONI16043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYGKLAKRAVETEMPIMVQIQQLVRGAKNAVSLAQGVVHWQPPKQALDKVKELVWEPSISRYGADEGILELREALVKKAFVNLVLTLCDSGDSVVMFAPYYFNAYMSFQMTGVTNILVGPGHPETLYPDADWLEKTLSETKPTPKLVTVVNPGNPSGTYIPDPLLKRISDICRDAGSWLVVDNTYEYFMYDDLKHTCVEGNHIVNIFSFSKAYGMMGWRVGYIAYPSEVEGFATQLLKVQDNIPICASIISQYLALHSLEMGPEWVTERVKGLVKNKEIVLEALSPLGKDAVKGGEGAIYLWAKLPDKYADDDKFVHWLAHRHGVVVIPGSACGCPGNVRISFGGLLEDDCKAAAERLRRGLEELIRDGMVQ >ONI17016 pep chromosome:Prunus_persica_NCBIv2:G3:13948237:13950545:1 gene:PRUPE_3G133700 transcript:ONI17016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLKSTAPRLLKSLVISLSVARSPLAQSLFLHWFYCLQKGLLFKNHFLVPLFALQAPATVILWFKFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI17006 pep chromosome:Prunus_persica_NCBIv2:G3:13947995:13951290:1 gene:PRUPE_3G133700 transcript:ONI17006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILELLFDGFCLLWLIFDRLDICFSAPQLDRSVAGDSVTVRETIYVSSSQINSATSFEVSGNLTLSGSLTPRSVSLPPFSLACTITTTVLDRVGVRFFFSCWFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI17017 pep chromosome:Prunus_persica_NCBIv2:G3:13947585:13951814:1 gene:PRUPE_3G133700 transcript:ONI17017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLKSTAPRLLKSLVISLSVARSPLAQSLFLHWFYCLQKGLLFKNHFLVPLFALQAPATVILWFKFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI17014 pep chromosome:Prunus_persica_NCBIv2:G3:13947593:13951378:1 gene:PRUPE_3G133700 transcript:ONI17014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLKSTAPRLLKSLVISLSVARSPLAQSLFLHWFYCLQKGLLFKNHFLVPLFALQAPATVILWFKFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI17011 pep chromosome:Prunus_persica_NCBIv2:G3:13947692:13951290:1 gene:PRUPE_3G133700 transcript:ONI17011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLKSTAPRLLKSLVISLSVARSPLAQSLFLHWFYCLQKGLLFKNHFLVPLFALQAPATVILWFNVLDRVGVRFFFSCWFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI17008 pep chromosome:Prunus_persica_NCBIv2:G3:13948009:13951292:1 gene:PRUPE_3G133700 transcript:ONI17008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLKSTAPRLLKSLVISLSVARSPLAQSLFLHWFYCLQKGLLFKNHFLVPLFALQAPATVILWFNSLACTITTTVLDRVGVRFFFSCWFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI17013 pep chromosome:Prunus_persica_NCBIv2:G3:13947694:13951550:1 gene:PRUPE_3G133700 transcript:ONI17013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLKSTAPRLLKSLVISLSVARSPLAQSLFLHWFYCLQKGLLFKNHFLVPLFALQAPATVILWFNVLDRVGVRFFFSCWFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI17012 pep chromosome:Prunus_persica_NCBIv2:G3:13947593:13951292:1 gene:PRUPE_3G133700 transcript:ONI17012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLKSTAPRLLKSLVISLSVARSPLAQSLFLHWFYCLQKGLLFKNHFLVPLFALQAPATVILWFNVLDRVGVRFFFSCWFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI17009 pep chromosome:Prunus_persica_NCBIv2:G3:13947593:13951292:1 gene:PRUPE_3G133700 transcript:ONI17009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLKSTAPRLLKSLVISLSVARSPLAQSLFLHWFYCLQKGLLFKNHFLVPLFALQAPATVILWFNSLACTITTTVLDRVGVRFFFSCWFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI17010 pep chromosome:Prunus_persica_NCBIv2:G3:13947585:13951801:1 gene:PRUPE_3G133700 transcript:ONI17010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLKSTAPRLLKSLVISLSVARSPLAQSLFLHWFYCLQKGLLFKNHFLVPLFALQAPATVILWFNVLDRVGVRFFFSCWFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI17007 pep chromosome:Prunus_persica_NCBIv2:G3:13947593:13951292:1 gene:PRUPE_3G133700 transcript:ONI17007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILELLFDGFCLLWLIFDRLDICFSAPQLDRSVAGDSVTVRETIYVSSSQINSATSFEVSGNLTLSGSLTPRSVSLPPLFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI17015 pep chromosome:Prunus_persica_NCBIv2:G3:13947593:13951550:1 gene:PRUPE_3G133700 transcript:ONI17015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLKSTAPRLLKSLVISLSVARSPLAQSLFLHWFYCLQKGLLFKNHFLVPLFALQAPATVILWFKFYSDAVAGAREALICGVSSLYMSLNWKKDVSYESDMKDAVGVSLPLIYAVVKSIQEGVCP >ONI15732 pep chromosome:Prunus_persica_NCBIv2:G3:4096447:4101575:1 gene:PRUPE_3G057800 transcript:ONI15732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKAVVIITAAAVCTAAAALVVRHRIRSSGRWARATAIIKELEEKCGTPIGKLRQVADAMAVEMHAGLASEGGSKLKMIISYVDNLPTGTEKGLFYALDLGGTNFRVLRVQLGGKGRGIISQEFTEVSIPENLMVGTSDALFDYIAAELAKFVAKEGQDYQLPPGRQRELGFTFSFPVLQSSINSGTLIKWTKGFSIDDAVGQDVVAELSKAIEKQGLDMRVSALVNDTVGTLAGGRYVNGDVVAAVILGTGTNAAYVERAHAIPKWHGLLPKSGDMVINMEWGNFKSAHLPLTEYDHSLDTESLNPGDQIFEKLISGMYLGEIVRRVLCKIAEEASLFGDTVPPKLKVPFILRTPDMSAMHHDTSSDLKVVRDILKNVLEISNTSLKVRKIVVELCNIVATRGARLAAAGIVGVLKKVGKDAFKDGEKQRTVVALDGGLYEHYNEYSKCMENTLRELLGEEVSESIVIEHSNDGSGIGAALLAASHSQYLGVDES >ONI16190 pep chromosome:Prunus_persica_NCBIv2:G3:6182532:6186399:-1 gene:PRUPE_3G083600 transcript:ONI16190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRPPSSEDMEKGREKARPSMPPPHLCPPPPKPWVPWLVPIIFVANVAMFIYTMYVNDCPSIDENKCILPSLKRFSFQPFKENPLLGPAGLTLKKLGALERQRVVEEGEGWRLLSCMWLHAGVVHLLANMLSLLFIGIRLEQEFGFIRIGLLYVLAGLGGSLGSTMHLMRTTSPTISVGASGALFGLLGAMLSELLINWTIYVNKCTALLILIVVIALNMAVGFLPKVDNSAHIGGFLAGFFLGFIILVRPQYGYIRRKHLPSTYDGKHKPRHKGYQYVLGSGALVILILGYTYGFGKLYGLKALKNLP >ONI16191 pep chromosome:Prunus_persica_NCBIv2:G3:6182532:6186117:-1 gene:PRUPE_3G083600 transcript:ONI16191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRPPSSEDMEKGREKARPSMPPPHLCPPPPKPWVPWLVPIIFVANVAMFIYTMYVNDCPSIDENKCILPSLKRFSFQPFKENPLLGPAGLTLKKLGALERQRVVEEGEGWRLLSCMWLHAGVVHLLANMLSLLFIGIRLEQEFGFIRIGLLYVLAGLGGSLGSTMHLMRTTSPTISVGASGALFGLLGAMLSELLINWTIYVNKCTALLILIVVIALNMAVGFLPKVDNSAHIGGFLAGFFLGFIILVRPQYGYIRRKHLPSTYDGKHKPRHKGYQYVLGSGALVILILGYTYGFGKLYGLKALKNLP >ONI16189 pep chromosome:Prunus_persica_NCBIv2:G3:6182532:6186226:-1 gene:PRUPE_3G083600 transcript:ONI16189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRPPSSEDMEKGREKARPSMPPPHLCPPPPKPWVPWLVPIIFVANVAMFIYTMYVNDCPSIDENKCILPSLKRFSFQPFKENPLLGPAGLTLKKLGALERQRVVEEGEGWRLLSCMWLHAGVVHLLANMLSLLFIGIRLEQEFGFIRIGLLYVLAGLGGSLGSTMHLMRTTSPTISVGASGALFGLLGAMLSELLINWTIYVNKCTALLILIVVIALNMAVGFLPKVDNSAHIGGFLAGFFLGFIILVRPQYGYIRRKHLPSTYDGKHKPRHKGYQYVLGSGALVILILGYTYGFGKLYGLKALKNLP >ONI16192 pep chromosome:Prunus_persica_NCBIv2:G3:6183990:6185980:-1 gene:PRUPE_3G083600 transcript:ONI16192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRPPSSEDMEKGREKARPSMPPPHLCPPPPKPWVPWLVPIIFVANVAMFIYTMYVNDCPSIDENKCILPSLKRFSFQPFKENPLLGPAGLTLKKLGALERQRVVEEGEGWRLLSCMWLHAGVVHLLANMLSLLFIGIRLEQEFGFIRIGLLYVLAGLGGSLGSTMHLMRTTSPTISVGASGALFGLLGAMLSELLINWTIYVNKCTALLILIVVIALNMAVGFLPKVDNSAHIGGFLAGFFLGFIILVRPQYGYIRRKHLPSTYDGKHKPRHKGYQYVLGSGALVILILG >ONI18540 pep chromosome:Prunus_persica_NCBIv2:G3:22242074:22242688:-1 gene:PRUPE_3G221700 transcript:ONI18540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYVGKATKIFIFVVTVLVVLGLVLGFGVLRHGLQKTHKCSADSDSCHSLSSPPLIFPNPNSSSNQPNPPSTDPTLTQPSPPTPNFNPPPPNPDSNQPPPTSISSPPPPPTSIQTPPPPATPIQTPPPPATPIQTPPPPATPIQTPPPPDPTLNPPSSRLRRLQRRSRRSIHQGSSGDAGPVPCLAPISSANSFPHNFFSFSSL >ONI17115 pep chromosome:Prunus_persica_NCBIv2:G3:14619379:14641876:1 gene:PRUPE_3G138600 transcript:ONI17115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKPLLGGEGSGDRLAVFRRRSDAITFGSPYQKAAALVDLAEDGVGLPEQILDQENFQSAAKFYFLYVRFSFLWAFLYFALIILNFLEKPLWCARYTEYTCSDRDYYYLGELPYLNAVESLIFEGITLIILMVHTFFPISYEGFNLYWKNHLNRFKVMGLLLLVADLMVYVLYLSPTAFDSLPLRIAPYIRVIFFILNFRELRSCMLILAGMLGTYLNILAMWLLFLLFASWLAYVMFEDTQQGKIMFTSYGTTLYQMFVLFTTSNNPDVWIPAYKASRWYSLFFVLYVLLGVYFVTNLILAVVYDSFKSQLAKQVSEMDQSRKSILKKAFNLLDKDNVGSLNKDQCIQLFEELNKYRTLPKISREEFGLIFDELDASGDFQLDIENNTGQKAWQAAEFVFGWIYVLEMALKVYSFGFQNYWRDGQNRFDFLVTWIIVIGETITFVTPDGLIFFSNGEWIRYLLIARMLRLIRILMHVQRYRAFLATFLTLIPSLMPYLGTIFCVMCIYCTLGVQVFGGIVNAGNASLEGTDFYENDYLLFNFNDYPNGMVTLFNLLVMGNWQIWIEGYKELTGTWWSMAYFVSFYLITILLLLNLVVAFVLEAFFAEMDLETSEKCEGDEKEVEGAEDRHRSLGSKTQSQRIDVLLHHMLSAELTETQPSNA >ONI17114 pep chromosome:Prunus_persica_NCBIv2:G3:14619379:14641876:1 gene:PRUPE_3G138600 transcript:ONI17114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKPLLGGEGSGDRLAVFRRRSDAITFGSPYQKAAALVDLAEDGVGLPEQILDQENFQSAAKFYFLYVRFSFLWAFLYFALIILNFLEKPLWCARYTEYTCSDRDYYYLGELPYLNAVESLIFEGITLIILMVHTFFPISYEGFNLYWKNHLNRFKVMGLLLLVADLMVYVLYLSPTAFDSLPLRIAPYIRVIFFILNFRELRSCMLILAGMLGTYLNILAMWLLFLLFASWLAYVMFEDTQQGKIMFTSYGTTLYQMFVLFTTSNNPDVWIPAYKASRWYSLFFVLYVLLGVYFVTNLILAVVYDSFKSQLAKQVSEMDQSRKSILKKAFNLLDKDNVGSLNKDQCIQLFEELNKYRTLPKISREEFGLIFDELDASGDFQINLEEFTDLCNAIGLRFPKEDTPSLFEKFPSFYHSLLSEKLKAFVRSPKFGYIISFILIVNLVAVIVETTLDIENNTGQKAWQAAEFVFGWIYVLEMALKVYSFGFQNYWRDGQNRFDFLVTWIIVIGETITFVTPDGLIFFSNGEWIRYLLIARMLRLIRILMHVQRYRAFLATFLTLIPSLMPYLGTIFCVMCIYCTLGVQVFGGIVNAGNASLEGTDFYENDYLLFNFNDYPNGMVTLFNLLVMGNWQIWIEGYKELTGTWWSMAYFVSFYLITILLLLNLVVAFVLEAFFAEMDLETSEKCEGDEKEVEGAEDRHRSLGSKTQSQRIDVLLHHMLSAELTETQPSNA >ONI17116 pep chromosome:Prunus_persica_NCBIv2:G3:14619379:14641876:1 gene:PRUPE_3G138600 transcript:ONI17116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKPLLGGEGSGDRLAVFRRRSDAITFGSPYQKAAALVDLAEDGVGLPEQILDQENFQSAAKFYFLYVRFSFLWAFLYFALIILNFLEKPLWCARYTEYTCSDRDYYYLGELPYLNAVESLIFEGITLIILMVHTFFPISYEGFNLYWKNHLNRFKVMGLLLLVADLMVYVLYLSPTAFDSLPLRIAPYIRVIFFILNFRELRSCMLILAGMLGTYLNILLAKQVSEMDQSRKSILKKAFNLLDKDNVGSLNKDQCIQLFEELNKYRTLPKISREEFGLIFDELDASGDFQINLEEFTDLCNAIGLRFPKEDTPSLFEKFPSFYHSLLSEKLKAFVRSPKFGYIISFILIVNLVAVIVETTLDIENNTGQKAWQAAEFVFGWIYVLEMALKVYSFGFQNYWRDGQNRFDFLVTWIIVIGETITFVTPDGLIFFSNGEWIRYLLIARMLRLIRILMHVQRYRAFLATFLTLIPSLMPYLGTIFCVMCIYCTLGVQVFGGIVNAGNASLEGTDFYENDYLLFNFNDYPNGMVTLFNLLVMGNWQIWIEGYKELTGTWWSMAYFVSFYLITILLLLNLVVAFVLEAFFAEMDLETSEKCEGDEKEVEGAEDRHRSLGSKTQSQRIDVLLHHMLSAELTETQPSNA >ONI18585 pep chromosome:Prunus_persica_NCBIv2:G3:22415963:22419248:-1 gene:PRUPE_3G224900 transcript:ONI18585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDIDTSRVDLADLECSGLSTDTITANQYLSGDQTIVSAGGVFELGFFKPGNSSNYYIGIWYKKVSVRTVVWVANREQPISDRFSSVLKISDGNLLLFSKSKGSVWSTSATSSTTTSASGSVEAVLLDNGNLVLRADGSSASTKSEPLWQSFDHPADTWLPGSRIGFNKITKQQSQILTSWKNSEDPAPGLFSFELDPKQINSFIIQWNRSQQYWTSGPWDENSDKFRWDPEMTLNDVFNYSYVTNENESYFTYSLYDPKRISRCVMYTSGQIQQLTWLETTSPRQWNLFWSKPKVYAFCGPFSTYNEQSLPLCSCLMGFEPESHRDWSLQDYSGGCSRKTTLQCGNATGTEDRFLEMPSMSLPASVEDKHSSIAYAKQCTSICLSNCFCIAYAYSSTAGCSIWTGDLFGLKQLAPDDGDGRTLYIRLAASEFKNPKSHKRSLVIATVSATAGLLTIIFCYFLWKKTWGKGRKYGAGGGKNDAGLPLYRLRRILAATNNFSEANKLGEGGFGPVYKGILPELQEVAIKRLSKKSGQGHEEFMNELKLIAKLQHTNLVRLLGCCIEKEEMILIYEYMPNRSLDKFLFGIYMLITAKINSLILLIYETSNPITFFQDLFPCFQKWCNAVSFSVCQKFYFKTKRKNIHLADYSPWFVESDPLEKTELDWGKRFRIIEGIAQGLLYIHKYSRLKIIHRDLKASNVLLDGSMNPKISDFGMARIFGMNQTEANTNRVVGTYGYMSPEYALYGHFSEKLDVFSFGVLLLEIVSGKKNASFYRFENSRTLAGWAWELWKEGRGMEVIDESAREACEPHEALKCMHVGFLCVQEDPADRPTMSSVFLMLQGNEAASLPLSKEPAFSMHRNHPRAAVGIPAVTSFSNNEITVSIPEGR >ONI18584 pep chromosome:Prunus_persica_NCBIv2:G3:22415595:22419331:-1 gene:PRUPE_3G224900 transcript:ONI18584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDIDTSRVDLADLECSGLSTDTITANQYLSGDQTIVSAGGVFELGFFKPGNSSNYYIGIWYKKVSVRTVVWVANREQPISDRFSSVLKISDGNLLLFSKSKGSVWSTSATSSTTTSASGSVEAVLLDNGNLVLRADGSSASTKSEPLWQSFDHPADTWLPGSRIGFNKITKQQSQILTSWKNSEDPAPGLFSFELDPKQINSFIIQWNRSQQYWTSGPWDENSDKFRWDPEMTLNDVFNYSYVTNENESYFTYSLYDPKRISRCVMYTSGQIQQLTWLETTSPRQWNLFWSKPKVYAFCGPFSTYNEQSLPLCSCLMGFEPESHRDWSLQDYSGGCSRKTTLQCGNATGTEDRFLEMPSMSLPASVEDKHSSIAYAKQCTSICLSNCFCIAYAYSSTAGCSIWTGDLFGLKQLAPDDGDGRTLYIRLAASEFKNPKSHKRSLVIATVSATAGLLTIIFCYFLWKKTWGKGRKYGAGGGKNDAGLPLYRLRRILAATNNFSEANKLGEGGFGPVYKGILPELQEVAIKRLSKKSGQGHEEFMNELKLIAKLQHTNLVRLLGCCIEKEEMILIYEYMPNRSLDKFLFDPLEKTELDWGKRFRIIEGIAQGLLYIHKYSRLKIIHRDLKASNVLLDGSMNPKISDFGMARIFGMNQTEANTNRVVGTYGYMSPEYALYGHFSEKLDVFSFGVLLLEIVSGKKNASFYRFENSRTLAGWAWELWKEGRGMEVIDESAREACEPHEALKCMHVGFLCVQEDPADRPTMSSVFLMLQGNEAASLPLSKEPAFSMHRNHPRAAVGIPAVTSFSNNEITVSIPEGR >ONI18582 pep chromosome:Prunus_persica_NCBIv2:G3:22415595:22419311:-1 gene:PRUPE_3G224900 transcript:ONI18582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDIDTSRVDLADLECSGLSTDTITANQYLSGDQTIVSAGGVFELGFFKPVLKISDGNLLLFSKSKGSVWSTSATSSTTTSASGSVEAVLLDNGNLVLRADGSSASTKSEPLWQSFDHPADTWLPGSRIGFNKITKQQSQILTSWKNSEDPAPGLFSFELDPKQINSFIIQWNRSQQYWTSGPWDENSDKFRWDPEMTLNDVFNYSYVTNENESYFTYSLYDPKRISRCVMYTSGQIQQLTWLETTSPRQWNLFWSKPKVYAFCGPFSTYNEQSLPLCSCLMGFEPESHRDWSLQDYSGGCSRKTTLQCGNATGTEDRFLEMPSMSLPASVEDKHSSIAYAKQCTSICLSNCFCIAYAYSSTAGCSIWTGDLFGLKQLAPDDGDGRTLYIRLAASEFKNPKSHKRSLVIATVSATAGLLTIIFCYFLWKKTWGKGRKYGAGGGKNDAGLPLYRLRRILAATNNFSEANKLGEGGFGPVYKGILPELQEVAIKRLSKKSGQGHEEFMNELKLIAKLQHTNLVRLLGCCIEKEEMILIYEYMPNRSLDKFLFDPLEKTELDWGKRFRIIEGIAQGLLYIHKYSRLKIIHRDLKASNVLLDGSMNPKISDFGMARIFGMNQTEANTNRVVGTYGYMSPEYALYGHFSEKLDVFSFGVLLLEIVSGKKNASFYRFENSRTLAGWAWELWKEGRGMEVIDESAREACEPHEALKCMHVGFLCVQEDPADRPTMSSVFLMLQGNEAASLPLSKEPAFSMHRNHPRAAVGIPAVTSFSNNEITVSIPEGR >ONI18587 pep chromosome:Prunus_persica_NCBIv2:G3:22415595:22419311:-1 gene:PRUPE_3G224900 transcript:ONI18587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDIDTSRVDLADLECSGLSTDTITANQYLSGDQTIVSAGGVFELGFFKPGNSSNYYIGIWYKKVSVRTVVWVANREQPISDRFSSVLKISDGNLLLFSKSKGSVWSTSATSSTTTSASGSVEAVLLDNGNLVLRADGSSASTKSEPLWQSFDHPADTWLPGSRIGFNKITKQQSQILTSWKNSEDPAPGLFSFELDPKQINSFIIQWNRSQQYWTSGPWDENSDKFRWDPEMTLNDVFNYSYVTNENESYFTYSLYDPKRISRCVMYTSGQIQQLTWLETTSPRQWNLFWSKPKVYAFCGPFSTYNEQSLPLCSCLMGFEPESHRDWSLQDYSGGCSRKTTLQCGNATGTEDRFLEMPSMSLPASVEDKHSSIAYAKQCTSICLSNCFCIAYAYSSTAGCSIWTGDLFGLKQLAPDDGDGRTLYIRLAASEFKNPKSHKRSLVIATVSATAGLLTIIFCYFLWKKTWGKGRKYGAGGGKNDAGLPLYRLRRILAATNNFSEANKLGEGGFGPVYKGILPELQEVAIKRLSKKSGQGHEEFMNELKLIAKLQHTNLVRLLGCCIEKEEMILIYEYMPNRSLDKFLFDPLEKTELDWGKRFRIIEGIAQGLLYIHKYSRLKIIHRDLKASNVLLDGSMNPKISDFGMARIFGMNQTEANTNRVVGTYGYMSPEYALYGHFSEKLDVFSFGVLLLEIVSGKKNASFYRFENSRTLAGWAWELWKEGRGMEVIDESAREA >ONI18583 pep chromosome:Prunus_persica_NCBIv2:G3:22415595:22419311:-1 gene:PRUPE_3G224900 transcript:ONI18583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDIDTSRVDLADLECSGLSTDTITANQYLSGDQTIVSAGGVFELGFFKPGNSSNYYIGIWYKKVSVRTVVWVANREQPISDRFSSVLKISDGNLLLFSKSKGSVWSTSATSSTTTSASGSVEAVLLDNGNLVLRADGSSASTKSEPLWQSFDHPADTWLPGSRIGFNKITKQQSQILTSWKNSEDPAPGLFSFELDPKQINSFIIQWNRSQQYWTSGPWDENSDKFRWDPEMTLNDVFNYSYVTNENESYFTYSLYDPKRISRCVMYTSGQIQQLTWLETTSPRQWNLFWSKPKVYAFCGPFSTYNEQSLPLCSCLMGFEPESHRDWSLQDYSGGCSRKTTLQCGNATGTEDRFLEMPSMSLPASVEDKHSSIAYAKQCTSICLSNCFCIAYAYSSTAGCSIWTGDLFGLKQLAPDDGDGRTLYIRLAASEFKNPKSHKRSLVIATVSATAGLLTIIFCYFLWKKTWGKGRKYGAGGGKNDAGLPLYRLRRILAATNNFSEANKLGEGGFGPVYKGILPELQEVAIKRLSKKSGQGHEEFMNELKLIAKLQHTNLVRLLGCCIEKEEMILIYEYMPNRSLDKFLFDPLEKTELDWGKRFRIIEGIAQGLLYIHKYSRLKIIHRDLKASNVLLDGSMNPKISDFGMARIFGMNQTEANTNRVVGTYGYMSPEYALYGHFSEKLDVFSFGVLLLEIAWELWKEGRGMEVIDESAREACEPHEALKCMHVGFLCVQEDPADRPTMSSVFLMLQGNEAASLPLSKEPAFSMHRNHPRAAVGIPAVTSFSNNEITVSIPEGR >ONI18586 pep chromosome:Prunus_persica_NCBIv2:G3:22415595:22419162:-1 gene:PRUPE_3G224900 transcript:ONI18586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKTNQKFLLSVIFLCLYMKTHVCLAADTITANQYLSGDQTIVSAGGVFELGFFKPGNSSNYYIGIWYKKVSVRTVVWVANREQPISDRFSSVLKISDGNLLLFSKSKGSVWSTSATSSTTTSASGSVEAVLLDNGNLVLRADGSSASTKSEPLWQSFDHPADTWLPGSRIGFNKITKQQSQILTSWKNSEDPAPGLFSFELDPKQINSFIIQWNRSQQYWTSGPWDENSDKFRWDPEMTLNDVFNYSYVTNENESYFTYSLYDPKRISRCVMYTSGQIQQLTWLETTSPRQWNLFWSKPKVYAFCGPFSTYNEQSLPLCSCLMGFEPESHRDWSLQDYSGGCSRKTTLQCGNATGTEDRFLEMPSMSLPASVEDKHSSIAYAKQCTSICLSNCFCIAYAYSSTAGCSIWTGDLFGLKQLAPDDGDGRTLYIRLAASEFKNPKSHKRSLVIATVSATAGLLTIIFCYFLWKKTWGKGRKYGAGGGKNDAGLPLYRLRRILAATNNFSEANKLGEGGFGPVYKGILPELQEVAIKRLSKKSGQGHEEFMNELKLIAKLQHTNLVRLLGCCIEKEEMILIYEYMPNRSLDKFLFDPLEKTELDWGKRFRIIEGIAQGLLYIHKYSRLKIIHRDLKASNVLLDGSMNPKISDFGMARIFGMNQTEANTNRVVGTYGYMSPEYALYGHFSEKLDVFSFGVLLLEIVSGKKNASFYRFENSRTLAGWAWELWKEGRGMEVIDESAREACEPHEALKCMHVGFLCVQEDPADRPTMSSVFLMLQGNEAASLPLSKEPAFSMHRNHPRAAVGIPAVTSFSNNEITVSIPEGR >ONI17424 pep chromosome:Prunus_persica_NCBIv2:G3:17703035:17710404:1 gene:PRUPE_3G158200 transcript:ONI17424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEMAMELVKHGLTLLFLDVPQHTLIGIDTQMFSVGPDFKGIKMIPPGPHFVYYSSSTRDGKEFSPIIGFFVDAGPSEVIVRKWDQQEERLVKVPEEEEERYCQAVRSLEFDRHLGPYNLSQYGDWKQLSNYITKSIIQRIEPIGGEITVASESTMHVNTPSTMMEKALDEQLNASRCSTPSDKSQTRGCYYTSIPRVTKRKGIHGQQLTSLNLDKTQLVESVLLEDYGGSEDLLLAELQFAYIAFLMGQSLEAFLQWKSLVSLLFGCTEAPFHTRSRLFAKFIRVIYYQLKQGLQKDCTDTNSGSTLLDDSWFSADSFLHRLFKDFFLLVQDASVVDGDLLSWTRKLKELLENNLGWEFQQNSAVDGMYFEDDDEFAPVVEMLDDPSSSVAPSV >ONI17425 pep chromosome:Prunus_persica_NCBIv2:G3:17703035:17710404:1 gene:PRUPE_3G158200 transcript:ONI17425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEMAMELVKHGLTLLFLDVPQHTLIGIDTQMFSVGPDFKGIKMIPPGPHFVYYSSSTRDGKEFSPIIGFFVDAGPSEVIVRKWDQQEERLVKVPEEEEERYCQAVRSLEFDRHLGPYNLSQYGDWKQLSNYITKSIIQRIEPIGGEITVASESTMHVNTPSTMMEKALDEQLNASRCSTPSDKSQTRGCYYTSIPRVTKRKGIHGQQLTSLNLDKLVESVLLEDYGGSEDLLLAELQFAYIAFLMGQSLEAFLQWKSLVSLLFGCTEAPFHTRSRLFAKFIRVIYYQLKQGLQKDCTDTNSGSTLLDDSWFSADSFLHRLFKDFFLLVQDASVVDGDLLSWTRKLKELLENNLGWEFQQNSAVDGMYFEDDDEFAPVVEMLDDPSSSVAPSV >ONI16603 pep chromosome:Prunus_persica_NCBIv2:G3:8857788:8860891:-1 gene:PRUPE_3G109500 transcript:ONI16603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYDVTSATYGAKPGSDVSTALAKAWSDACASPSASKVVVPSGTYKLKEATFRGPCKAPIEMQVQGTLQAPADAGQLTRPDTWVGFQYIDMLTLSGGGTFDGQGALSWNQNDCHKNKNCKPPPVNLRFEFLTNSKVQDITSLNSKFFHIHVFRCNHTTFQQLTITAPDESRNTDGIHIGASTGINITHSKIGTGDDCISIGDDSHEITVTGVTCGPGHGISIGSLGKYKEEKDVTGIIVKNCTLTNTENGVRIKTFPDSPSPSSASGIHYEDIIMVNVSNPILIDQLYCPYTKCEQKPPSKVKINNVSFKNIKGSSFTPLAVKLVCTTGKPCENVELTDIDLTYGGDKGPLTSVCSNVKPAITGVTKALGCATSSLAPLP >ONI14877 pep chromosome:Prunus_persica_NCBIv2:G3:1021435:1024985:-1 gene:PRUPE_3G014300 transcript:ONI14877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSYCFTSWVKSFVFFFTVVWLFFGYGRHGRVNAATTTVKVNSSTSKVEDAVSFHIYYGQTFKVIKNAIDGKSYLLIQNNSRMAARTKYCTSRIKSFVIPLSNYSIDADYNFPVSFFELLGLLGSLKGITSDSVASQCVLKLYEAGEIERAEWIKFLGVFANVESRANQVYDAVTQSYQCLTNRTKGRTSFKPTVAWMQYENGIWSFTKETYKLKYVEDAGGENVDDSINKITYNISSPDDLDQLHAILCTVDVVIDETYTSDAVNYNSSTFLQNINVEDHSCFVFLSNQSLWRYDKRIQNSTALDWYNGAVSQPQLVLADLFEVFFPTGNYTTTYFRNIAKDEGVVHITSEMCDRDISIAMEPTIPACG >ONI14878 pep chromosome:Prunus_persica_NCBIv2:G3:1021409:1024985:-1 gene:PRUPE_3G014300 transcript:ONI14878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSYCFTSWVKSFVFFFTVVWLFFGYGRHGRVNAATTTVKVNSSTSKVEDAVSFHIYYGQTFKVIKNAIDGKSYLLIQNNSRMAARTKYCTSRIKSFVIPLSNYSIDADYNFPVSFFELLGLLGSLKGITSDSVASQCVLKLYEAGEIEVIDKSEPQQLAKFAAHFITYTDQPQACNFASFVPYGEDNPLQRAEWIKFLGVFANVESRANQVYDAVTQSYQCLTNRTKGRTSFKPTVAWMQYENGIWSFTKETYKLKYVEDAGGENVDDSINKITYNISSPDDLDQLHAILCTVDVVIDETYTSDAVNYNSSTFLQNINVEDHSCFVFLSNQSLWRYDKRIQNSTALDWYNGAVSQPQLVLADLFEVFFPTGNYTTTYFRNIAKDEGVVHITSEMCDRDISIAMEPTIPACG >ONI14881 pep chromosome:Prunus_persica_NCBIv2:G3:1021726:1024211:-1 gene:PRUPE_3G014300 transcript:ONI14881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFFFYCILFNMQLLGLLGSLKGITSDSVASQCVLKLYEAGEIEVIDKSEPQQLAKFAAHFITYTDQPQACNFASFVPYGEDNPLQRAEWIKFLGVFANVESRANQVYDAVTQSYQCLTNRTKGRTSFKPTVAWMQYENGIWSFTKETYKLKYVEDAGGENVDDSINKITYNISSPDDLDQLHAILCTVDVVIDETYTSDAVNYNSSTFLQNINVEDHSCFVFLSNQSLWRYDKRIQNSTALG >ONI14880 pep chromosome:Prunus_persica_NCBIv2:G3:1021087:1024985:-1 gene:PRUPE_3G014300 transcript:ONI14880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSYCFTSWVKSFVFFFTVVWLFFGYGRHGRVNAATTTVKVNSSTSKVEDAVSFHIYYGQTFKVIKNAIDGKSYLLIQNNSRMAARTKYCTSRIKSFVIPLSNYSIDADYNFPVSFFELLGLLGSLKGITSDSVASQCVLKLYEAGEIEVIDKSEPQQLAKFAAHFITYTDQPQACNFASFVPYGEDNPLQRAEWIKFLGVFANVESRANQVYDAVTQSYQCLTNRTKGRTSFKPTVAWMQYENGIWSFTKETYKLKYVEDAGGENVDDSINKITYNISSPDDLDQLHAILCTVDVVIDETYTSDAVNYNSSTFLQNINVEDHSCFVFLSNQSLWRYDKRIQNSTALG >ONI14879 pep chromosome:Prunus_persica_NCBIv2:G3:1021644:1024211:-1 gene:PRUPE_3G014300 transcript:ONI14879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFFFYCILFNMQLLGLLGSLKGITSDSVASQCVLKLYEAGEIEVIDKSEPQQLAKFAAHFITYTDQPQACNFASFVPYGEDNPLQRAEWIKFLGVFANVESRANQVYDAVTQSYQCLTNRTKGRTSFKPTVAWMQYENGIWSFTKETYKLKYVEDAGGENVDDSINKITYNISSPDDLDQLHAILCTVDVVIDETYTSDAVNYNSSTFLQNINVEDHSCFVFLSNQSLWRYDKRIQNSTALDWYNGAVSQPQLVLADLFEVFFPTGNYTTTYFRNIAKDEGVVHITSEMCDRDISIAMEPTIPACG >ONI19340 pep chromosome:Prunus_persica_NCBIv2:G3:25286153:25288011:1 gene:PRUPE_3G272900 transcript:ONI19340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFFCNSSIQAWKRCMSLAQGCSNMRKLKATHAIFITNGLHLNNYAISKLIAFCALSNSGDLSYASLLFNQIQTPNSYLYNTLIRAYSRSSQPHLAVHYFLLMLKQSSLGPDNYTFNFVILACANCSWLVSGRQIHNWVVKNGLFLVDAHVQTALVRLYAECKVLDDSKKVFDEIPERDVIQWNVLMNGYVRCGLASEALKVFRDMLVTGFEPDNFCVATGLAACAHLGALRQGKWIDEYVKKRTGLKSDVFIGTALVDMYAKCGCIDLAVEAFEGMPKRNVVSWAAMIGGFAAHGCATNAIHSLERMQVDDGLRPDGVVLLVVLMACTHAGLLEKGKLLLDNMKTQYGIVPKHEHYSCVIDLLCKAGRLNEALKLIRKMPMKPLASVWGALLSGCRIHNNVDLAELAVKELLQLENDVRGEEVGAYVQLSNIYLGARRGEDAIRIRKMIGESGIKKTPGCSMIEVDGKVNEFVSGDVSHSHQAWICAMLDLICADSIQDPF >ONI19339 pep chromosome:Prunus_persica_NCBIv2:G3:25286019:25288003:1 gene:PRUPE_3G272900 transcript:ONI19339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFFCNSSIQAWKRCMSLAQGCSNMRKLKATHAIFITNGLHLNNYAISKLIAFCALSNSGDLSYASLLFNQIQTPNSYLYNTLIRAYSRSSQPHLAVHYFLLMLKQSSLGPDNYTFNFVILACANCSWLVSGRQIHNWVVKNGLFLVDAHVQTALVRLYAECKVLDDSKKVFDEIPERDVIQWNVLMNGYVRCGLASEALKVFRDMLVTGFEPDNFCVATGLAACAHLGALRQGKWIDEYVKKRTGLKSDVFIGTALVDMYAKCGCIDLAVEAFEGMPKRNVVSWAAMIGGFAAHGCATNAIHSLERMQVDDGLRPDGVVLLVVLMACTHAGLLEKGKLLLDNMKTQYGIVPKHEHYSCVIDLLCKAGRLNEALKLIRKMPMKPLASVWGALLSGCRIHNNVDLAELAVKELLQLENDVRGEEVGAYVQLSNIYLGARRGEDAIRIRKMIGESGIKKTPGCSMIEVDGKVNEFVSGDVSHSHQAWICAMLDLICADSIQDPF >ONI15904 pep chromosome:Prunus_persica_NCBIv2:G3:4919212:4922971:-1 gene:PRUPE_3G068300 transcript:ONI15904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVGAEWSGLRWTAILLVTGFLVLASAQGTSLEQDDASSRYINGTQKFQSDTDLFTRVFNFLWQQDPGLWSYEHVWPEMKLGWKIVVGTVIGFFGAAFGTVGGVGGGGIFVPMLTLIIGFDQKSSTAISKCMITGAAFSSVIYNLRLRHPTLDLPLIDYDLALLFQPMLVLGISIGVAFNVIFADWMITVLLIITFIGTSTRAFYKGIETWKKETIIKEEAARRQMQSNGDPREEVEYKPLPGGPSIGTPTEIREPKRTEVPIIENLYWKELLVLVAVWVIILVLQISKNYTTTCSATYWALNLLQLPVAFGVTIYEAVNLYTGRRVIASKGESNTDWKVHQLVLYSACGIIAGIVGGLLGLGGGFILGPVFLELGIPPQVSSATATFAMTFSASMSVIEYYLLRRFPVPYALYLAAVATLSAIVGQHVVRKVVMILGRASIIIFILAFTIFVSAISLGGVGIADMVEKIEHKEYMGFENICTYDA >ONI15905 pep chromosome:Prunus_persica_NCBIv2:G3:4918813:4923186:-1 gene:PRUPE_3G068300 transcript:ONI15905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVGAEWSGLRWTAILLVTGFLVLASAQGTSLEQDDASSRYINGTQKFQSDTDLFTRVFNFLWQQDPGLWSYEHVWPEMKLGWKIVVGTVIGFFGAAFGTVGGVGGGGIFVPMLTLIIGFDQKSSTAISKCMITGAAFSSVIYNLRLRHPTLDLPLIDYDLALLFQPMLVLGISIGVAFNVIFADWMITVLLIITFIGTSTRAFYKGIETWKKETIIKEEAARRQMQSNGDPREEVEYKPLPGGPSIGTPTEIREPKRTEVPIIENLYWKELLVLVAVWVIILVLQISKNYTTTCSATYWALNLLQLPVAFGVTIYEAVNLYTGRRVIASKGESNTDWKVHQLVLYSACGIIAGIVGGLLGLGGGFILGPVFLELGIPPQVSSATATFAMTFSASMSVIEYYLLRRFPVPYALYLAAVATLSAIVGQHVVRKVVMILGRASIIIFILAFTIFVSAISLGGVGIADMVEKIEHKEYMGFENICTYDA >ONI15908 pep chromosome:Prunus_persica_NCBIv2:G3:4918813:4922413:-1 gene:PRUPE_3G068300 transcript:ONI15908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEMKLGWKIVVGTVIGFFGAAFGTVGGVGGGGIFVPMLTLIIGFDQKSSTAISKCMITGAAFSSVIYNLRLRHPTLDLPLIDYDLALLFQPMLVLGISIGVAFNVIFADWMITVLLIITFIGTSTRAFYKGIETWKKETIIKEEAARRQMQSNGDPREEVEYKPLPGGPSIGTPTEIREPKRTEVPIIENLYWKELLVLVAVWVIILVLQISKNYTTTCSATYWALNLLQLPVAFGVTIYEAVNLYTGRRVIASKGESNTDWKVHQLVLYSACGIIAGIVGGLLGLGGGFILGPVFLELGIPPQVSSATATFAMTFSASMSVIEYYLLRRFPVPYALYLAAVATLSAIVGQHVVRKVVMILGRASIIIFILAFTIFVSAISLGGVGIADMVEKIEHKEYMGFENICTYDA >ONI15906 pep chromosome:Prunus_persica_NCBIv2:G3:4918813:4923372:-1 gene:PRUPE_3G068300 transcript:ONI15906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVGAEWSGLRWTAILLVTGFLVLASAQGTSLEQDDASSRYINGTQKFQSDTDLFTRVFNFLWQQDPGLWSYEHVWPEMKLGWKIVVGTVIGFFGAAFGTVGGVGGGGIFVPMLTLIIGFDQKSSTAISKCMITGAAFSSVIYNLRLRHPTLDLPLIDYDLALLFQPMLVLGISIGVAFNVIFADWMITVLLIITFIGTSTRAFYKGIETWKKETIIKEEAARRQMQSNGDPREEVEYKPLPGGPSIGTPTEIREPKRTEVPIIENLYWKELLVLVAVWVIILVLQISKNYTTTCSATYWALNLLQLPVAFGVTIYEAVNLYTGRRVIASKGESNTDWKVHQLVLYSACGIIAGIVGGLLGLGGGFILGPVFLELGIPPQVSSATATFAMTFSASMSVIEYYLLRRFPVPYALYLAAVATLSAIVGQHVVRKVVMILGRASIIIFILAFTIFVSAISLGGVGIADMVEKIEHKEYMGFENICTYDA >ONI15907 pep chromosome:Prunus_persica_NCBIv2:G3:4918813:4923340:-1 gene:PRUPE_3G068300 transcript:ONI15907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVGAEWSGLRWTAILLVTGFLVLASAQGTSLEQDDASSRYINGTQKFQSDTDLFTRVFNFLWQQDPGLWSYEHVWPEMKLGWKIVVGTVIGFFGAAFGTVGGVGGGGIFVPMLTLIIGFDQKSSTAISKCMITGAAFSSVIYNLRLRHPTLDLPLIDYDLALLFQPMLVLGISIGVAFNVIFADWMITVLLIITFIGTSTRAFYKGIETWKKETIIKEEAARRQMQSNGDPREEVEYKPLPGGPSIGTPTEIREPKRTEVPIIENLYWKELLVLVAVWVIILVLQISKNYTTTCSATYWALNLLQLPVAFGVTIYEAVNLYTGRRVIASKGESNTDWKVHQLVLYSACGIIAGIVGGLLGLGGGFILGPVFLELGIPPQVSSATATFAMTFSASMSVIEYYLLRRFPVPYALYLAAVATLSAIVGQHVVRKVVMILGRASIIIFILAFTIFVSAISLGGVGIADMVEKIEHKEYMGFENICTYDA >ONI17462 pep chromosome:Prunus_persica_NCBIv2:G3:17963907:17964569:-1 gene:PRUPE_3G160700 transcript:ONI17462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVFPNRSFPMDISSFSQIDTFHWILDMKTFVGEAYAQVREMCIFLLNNFTLPPDKALAVYIQSPGSSFFFCGAVTVARPSAVLSLPWPAPGGELQLTADAVPLSAKIGVSVEDLASLPSLDVTAEKRIERMAMKVGENLFNFMQSFCGVDGSKLVVPTDILDRWFNKFQERAKRDPEYLKGFAL >ONI17461 pep chromosome:Prunus_persica_NCBIv2:G3:17960088:17964765:-1 gene:PRUPE_3G160700 transcript:ONI17461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVFPNRSFPMDISSFSQIDTFHWILDMKTFVGEAYAQVREMCIFLLNNFTLPPDKALAVYIQSPGSSFFFCGAVTVARPSAVLSLPWPAPGGELQLTADAVPLSAKIGVSVEDLASLPSLDVTAEKRIERMAMKVGENLFNFMQSFCGVDGSKLVVPTDILDRWFNKFQERAKRDPEYLKGFAL >ONI17460 pep chromosome:Prunus_persica_NCBIv2:G3:17963907:17964569:-1 gene:PRUPE_3G160700 transcript:ONI17460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVFPNRSFPMDISSFSQIDTFHWILDMKTFVGEAYAQVREMCIFLLNNFTLPPDKALAVYIQSPGSSFFFCGAVTVARPSAVLSLPWPAPGGELQLTADAVPLSAKIGVSVEDLASLPSLDVTAEKRIERMAMKVGENLFNFMQSFCGVDGSKLVVPTDILDRWFNKFQERAKRDPEYLKGFAL >ONI17463 pep chromosome:Prunus_persica_NCBIv2:G3:17959126:17964765:-1 gene:PRUPE_3G160700 transcript:ONI17463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVFPNRSFPMDISSFSQIDTFHWILDMKTFVGEAYAQVREMCIFLLNNFTLPPDKALAVYIQSPGSSFFFCGAVTVARPSAVLSLPWPAPGGELQLTADAVPLSAKIGVSVEDLASLPSLDVTAEKRIERMAMKVGENLFNFMQSFCGVDGSKLVVPTDILDRWFNKFQERAKRDPEYLKGFAL >ONI14990 pep chromosome:Prunus_persica_NCBIv2:G3:1456683:1462215:1 gene:PRUPE_3G019800 transcript:ONI14990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDICGSDEPMHMNDNLHLQYMQDHEHHHGLDQISNVDGVADDHENGNGGAELVQADVPSDPMNLSDTRDGMMDHGPENGDQLTLSFQGQVFVFDSVSPEKVQAVLLLLGGREVPPSMPAVPVTTQHNNQGLTSTPQRLSVPQRLASLIRFREKRKERNFDKKIRYTVRKEVALRMQRKKGQFTSSKPNNDDSASAVTSSGSNESWSQDGNGSQHQEAVCRHCGINEKCTPMMRRGPDGPRTLCNACGLMWANKGTLRDLSKAAAAAAPQAGQNPSN >ONI14993 pep chromosome:Prunus_persica_NCBIv2:G3:1456683:1459587:1 gene:PRUPE_3G019800 transcript:ONI14993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDICGSDEPMHMNDNLHLQYMQDHEHHHGLDQISNVDGVADDHENGNGGAELVQADVPSDPMNLSDTRDGMMDHGPENGDQLTLSFQGQVFVFDSVSPEKVQAVLLLLGGREVPPSMPAVPVTTQHNNQGLTSTPQRLSVPQRLASLIRFREKRKERNFDKKIRYTVRKEVALRYGICICHNNQ >ONI14989 pep chromosome:Prunus_persica_NCBIv2:G3:1456683:1462215:1 gene:PRUPE_3G019800 transcript:ONI14989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDICGSDEPMHMNDNLHLQYMQDHEHHHGLDQISNVDGVADDHENGNGGAELVQADVPSDPMNLSDTRDGMMDHGPENGDQLTLSFQGQVFVFDSVSPEKVQAVLLLLGGREVPPSMPAVPVTTQHNNQGLTSTPQRLSVPQRLASLIRFREKRKERNFDKKIRYTVRKEVALRMQRKKGQFTSSKPNNDDSASAVTSSGSNESWSQDGNGSQHQEAVCRHCGINEKCTPMMRRGPDGPRTLCNACGLMWANKGTLRDLSKAAAAAAPQAGQNPSN >ONI14991 pep chromosome:Prunus_persica_NCBIv2:G3:1456683:1462215:1 gene:PRUPE_3G019800 transcript:ONI14991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDICGSDEPMHMNDNLHLQYMQDHEHHHGLDQISNVDGVADDHENGNGGAELVQADVPSDPMNLSDTRDGMMDHGPENGDQLTLSFQGQVFVFDSVSPEKVQAVLLLLGGREVPPSMPAVPVTTQHNNQGLTSTPQRLSVPQRLASLIRFREKRKERNFDKKIRYTVRKEVALRMQRKKGQFTSSKPNNDDSASAVTSSGSNESWSQDGNGSQHQEAVCRHCGINEKCTPMMRRGPDGPRTLCNACGLMWANKGTLRDLSKAAAAAAPQAGQNPSVSKNEDIKPDL >ONI14992 pep chromosome:Prunus_persica_NCBIv2:G3:1456683:1459981:1 gene:PRUPE_3G019800 transcript:ONI14992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDICGSDEPMHMNDNLHLQYMQDHEHHHGLDQISNVDGVADDHENGNGGAELVQADVPSDPMNLSDTRDGMMDHGPENGDQLTLSFQGQVFVFDSVSPEKVQAVLLLLGGREVPPSMPAVPVTTQHNNQGLTSTPQRLSVPQRLASLIRFREKRKERNFDKKIRYTVRKEVALRMQRKKGQFTSSKPNNDDSASAVTSSGSNESWSQDGNGSQHQEAVNNLDKAGLEERYL >ONI18137 pep chromosome:Prunus_persica_NCBIv2:G3:20834774:20838198:-1 gene:PRUPE_3G198800 transcript:ONI18137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSFPLLCPDWNADEEMLLLEGIEMYGFGNWTEVSEHVGTKSRQHCIDHFKAIYMNSPRFPLPDMSHVMGKSREELLALAKGSGEIKKEVPMLVEITLKEVSPFSTGVKCEELKKNPACQSSSHSTADDASGLVLGAVKKASNKAQIKDETKVYKVEESQVDRSVGGKKLRSLGDEGPSITEFSGYNFKRQEFEIEYDNDAEQILADMEFKDSDTNADRELKLRVLHVYSKRLDERKRRKDFILERNLLYPDPFEKNLSPEEREIYQRFKVFMRFHSNEEHKELLKSIIEEQQIVKRILDLQEARTAGCRTAAEASRYLEEKRKKENEESNLRIKESSQAGKGLQISPRGAFKGSTGLHPVSKDSFSTTQAISSSLDYWDITGLVGADLLSETEQRLCSEMRILPSHYLNMLQIISTEIENGNVKKKSDAHSLFKVEPSKVDRVYDMLVKKGMARE >ONI18135 pep chromosome:Prunus_persica_NCBIv2:G3:20834624:20839118:-1 gene:PRUPE_3G198800 transcript:ONI18135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRAASHPAVDNHNQSRGKRKRTASGAEPAENSSTAQRAVAQETSEPKGAFYHCNYCNKDISGKTRIKCVVCPDFDLCIECFSVGAELTPHKCNHPYRVMDNLSFPLLCPDWNADEEMLLLEGIEMYGFGNWTEVSEHVGTKSRQHCIDHFKAIYMNSPRFPLPDMSHVMGKSREELLALAKGSGEIKKEVPMLVEITLKEVSPFSTGVKCEELKKNPACQSSSHSTADDASGLVLGAVKKASNKAQIKDETKVYKVEESQVDRSVGGKKLRSLGDEGPSITEFSGYNFKRQEFEIEYDNDAEQILADMEFKDSDTNADRELKLRVLHVYSKRLDERKRRKDFILERNLLYPDPFEKNLSPEEREIYQRFKVFMRFHSNEEHKELLKSIIEEQQIVKRILDLQEARTAGCRTAAEASRYLEEKRKKENEESNLRIKESSQAGKGLQISPRGAFKGSTGLHPVSKDSFSTTQAISSSLDYWDITGLVGADLLSETEQRLCSEMRILPSHYLNMLQIISTEIENGNVKKKSDAHSLFKVEPSKVDRVYDMLVKKGMARE >ONI18136 pep chromosome:Prunus_persica_NCBIv2:G3:20834624:20839119:-1 gene:PRUPE_3G198800 transcript:ONI18136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSVQGYDWNADEEMLLLEGIEMYGFGNWTEVSEHVGTKSRQHCIDHFKAIYMNSPRFPLPDMSHVMGKSREELLALAKGSGEIKKEVPMLVEITLKEVSPFSTGVKCEELKKNPACQSSSHSTADDASGLVLGAVKKASNKAQIKDETKVYKVEESQVDRSVGGKKLRSLGDEGPSITEFSGYNFKRQEFEIEYDNDAEQILADMEFKDSDTNADRELKLRVLHVYSKRLDERKRRKDFILERNLLYPDPFEKNLSPEEREIYQRFKVFMRFHSNEEHKELLKSIIEEQQIVKRILDLQEARTAGCRTAAEASRYLEEKRKKENEESNLRIKESSQAGKGLQISPRGAFKGSTGLHPVSKDSFSTTQAISSSLDYWDITGLVGADLLSETEQRLCSEMRILPSHYLNMLQIISTEIENGNVKKKSDAHSLFKVEPSKVDRVYDMLVKKGMARE >ONI16625 pep chromosome:Prunus_persica_NCBIv2:G3:9267237:9268418:-1 gene:PRUPE_3G111200 transcript:ONI16625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKRKRATTDEIIVVDDSADDADHYLTISLSGCEWVRGPMLGQGGFGSVYLGFVKKPRLCLKGVPRIVAVKSTLASQAHDLMGEDVLLYIFRRCPFVINCYGEDETIGADGRHLFNVFLEYADGGTMADLIEKSMGFGLREFQVRKYTESILKGIKYIHERGFVHCDLKPENILIVSDTAGSSGGACFVPKIGDLGLTKKANQKWEKPSFGGTPMYLSPEAVVYGIQQQPSDIWALGCVVLQMLTGRHPWDFTPGAVFDVRDLLTALLASRIPAIPGWLSKEAKDFLQCCFTWNTSERFTAAMLLNHPFVTKLDNGVGEVKPIEQVSSAVASSVLDCPSFIPLGSWKSEDAEEMAQENDGFSEQEILPLKLMSRHVVPSPKPSTFAIMGAA >ONI17594 pep chromosome:Prunus_persica_NCBIv2:G3:18776680:18784516:1 gene:PRUPE_3G168800 transcript:ONI17594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDDFSFPKLLLAYLICSTAFLFFATFGQSATATAKLHSQEVNALKEIGKKLGKKDWDFRKDPCTGEGNWNVSIEGRRKGFESSVACNCTFNHNSSCHVISIALKAQNLSGTVPPEFSKLQHLKDLDLSRNYLNGSIPSQWGTMRLVTLSLMGNRLSGPFPKVLTNITTLRNLSIEGNHFSGPIPPEIGKLIKLEKLIVSSNAFTGELPLALAKLTNLSDMRICDNNFSGKIPDFIGNWTRISKLHIQGSSLEGPIPSSISGLRSLTDLRITDLRGTESPFPSLRNLESLKTLILRNCLIYGVIPAYIADMKRLKNLDLSYNELTGEIPASFVQLAKVDFTYLTGNQLTGTVPGWVPGRNNIVDLSYNNFTWESSSPNECPRGSVNLVESYSSSADKSSRIQPCLERNFPCHVSKNQRKYSLHINCGGKEVNIGGNRYEADREQRGASMYYMGQNWALSSTGNFMDNDIDSDIYIETNKSALSKNVSVLDSELYTTARGSPISLTYYGLCLINGDYTVKLHFAEIVFTNDRTFNSLGKRIFDVYIQDKLVLKDFNIESEAGGAGKPIVKNFTAVVSSNTLKIHFYWAGKGTTGIPDRGFYGPLISAISVDPNFEPPSFEGNKNHVVIAVGTVAAALLLLLLVLGILRRKGCLGGKISADKELRDLDLQTGLYTLRQIKAATKNFDAANKLGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQMLLIYEYMENNCVSRALFGSDPACRLKLDWPTRKKICIGIARGLAYLHEESILKIVHRDIKTSNVLLDKDFNAKISDFGLAKLNEDDNTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPALGSEYSSEETMLMLNVALMCTNASPTLRPTMPQVVSMLEGRTEVQDLLSDPGFSAINSKVRAIRNHFWQNPSCTQSMSTNGPRTDTSGNSYIETEENGRLLGVSSVTSVKSEE >ONI17600 pep chromosome:Prunus_persica_NCBIv2:G3:18776680:18784516:1 gene:PRUPE_3G168800 transcript:ONI17600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDDFSFPKLLLAYLICSTAFLFFATFGQSATATAKLHSQEVNALKEIGKKLGKKDWDFRKDPCTGEGNWNVSIEGRRKGFESSVACNCTFNHNSSCHVISIALKAQNLSGTVPPEFSKLQHLKDLDLSRNYLNGSIPSQWGTMRLVTLSLMGNRLSGPFPKVLTNITTLRNLSIEGNHFSGPIPPEIGKLIKLEKLIVSSNAFTGELPLALAKLTNLSDMRICDNNFSGKIPDFIGNWTRISKLHIQGSSLEGPIPSSISGLRSLTDLRITDLRGTESPFPSLRNLESLKTLILRNCLIYGVIPAYIADMKRLKNLDLSYNELTGEIPASFVQLAKVDFTYLTGNQLTGTVPGWVPGRNNIVDLSYNNFTWESSSPNECPRGSVNLVESYSSSADKSSRIQPCLERNFPCHVSKNQRKYSLHINCGGKEVNIGGNRYEADREQRGASMYYMGQNWALSSTGNFMDNDIDSDIYIETNKSALSKNVSVLDSELYTTARGSPISLTYYGLCLINGDYTVKLHFAEIVFTNDRTFNSLGKRIFDVYIQDKLVLKDFNIESEAGGAGKPIVKNFTAVVSSNTLKIHFYWAGKGTTGIPDRGFYGPLISAISVDPNFEPPSFEGNKNHVVIAVGTVAAALLLLLLVLGILRRKGCLGGKISADKELRDLDLQTGLYTLRQIKAATKNFDAANKLGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQMLLIYEYMENNCVSRALFGSDPACRLKLDWPTRKKICIGIARGLAYLHEESILKIVHRDIKTSNVLLDKDFNAKISDFGLAKLNEDDNTHISTRIAGTVLMCCKREGVYWSWLIQPWVQNIHQRRQC >ONI17597 pep chromosome:Prunus_persica_NCBIv2:G3:18776680:18784516:1 gene:PRUPE_3G168800 transcript:ONI17597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDDFSFPKLLLAYLICSTAFLFFATFGQSATATAKLHSQEVNALKEIGKKLGKKDWDFRKDPCTGEGNWNVSIEGRRKGFESSVACNCTFNHNSSCHVISIALKAQNLSGTVPPEFSKLQHLKDLDLSRNYLNGSIPSQWGTMRLVTLSIEGNHFSGPIPPEIGKLIKLEKLRICDNNFSGKIPDFIGNWTRISKLHIQGSSLEGPIPSSISGLRSLTDLRITDLRGTESPFPSLRNLESLKTLILRNCLIYGVIPAYIADMKRLKNLDLSYNELTGEIPASFVQLAKVDFTYLTGNQLTGTVPGWVPGRNNIVDLSYNNFTWESSSPNECPRGSVNLVESYSSSADKSSRIQPCLERNFPCHVSKNQRKYSLHINCGGKEVNIGGNRYEADREQRGASMYYMGQNWALSSTGNFMDNDIDSDIYIETNKSALSKNVSVLDSELYTTARGSPISLTYYGLCLINGDYTVKLHFAEIVFTNDRTFNSLGKRIFDVYIQDKLVLKDFNIESEAGGAGKPIVKNFTAVVSSNTLKIHFYWAGKGTTGIPDRGFYGPLISAISVDPNFEPPSFEGNKNHVVIAVGTVAAALLLLLLVLGILRRKGCLGGKISADKELRDLDLQTGLYTLRQIKAATKNFDAANKLGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQMLLIYEYMENNCVSRALFGSDPACRLKLDWPTRKKICIGIARGLAYLHEESILKIVHRDIKTSNVLLDKDFNAKISDFGLAKLNEDDNTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPALGSEYSSEETMLMLNVALMCTNASPTLRPTMPQVVSMLEGRTEVQDLLSDPGFSAINSKVRAIRNHFWQNPSCTQSMSTNGPRTDTSGNSYIETEENGRLLGVSSVTSVKSEE >ONI17598 pep chromosome:Prunus_persica_NCBIv2:G3:18776680:18784516:1 gene:PRUPE_3G168800 transcript:ONI17598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDDFSFPKLLLAYLICSTAFLFFATFGQSATATAKLHSQEVNALKEIGKKLGKKDWDFRKDPCTGEGNWNVSIEGRRKGFESSVACNCTFNHNSSCHVISMSIEGNHFSGPIPPEIGKLIKLEKLIVSSNAFTGELPLALAKLTNLSDMRICDNNFSGKIPDFIGNWTRISKLHIQGSSLEGPIPSSISGLRSLTDLRITDLRGTESPFPSLRNLESLKTLILRNCLIYGVIPAYIADMKRLKNLDLSYNELTGEIPASFVQLAKVDFTYLTGNQLTGTVPGWVPGRNNIVDLSYNNFTWESSSPNECPRGSVNLVESYSSSADKSSRIQPCLERNFPCHVSKNQRKYSLHINCGGKEVNIGGNRYEADREQRGASMYYMGQNWALSSTGNFMDNDIDSDIYIETNKSALSKNVSVLDSELYTTARGSPISLTYYGLCLINGDYTVKLHFAEIVFTNDRTFNSLGKRIFDVYIQDKLVLKDFNIESEAGGAGKPIVKNFTAVVSSNTLKIHFYWAGKGTTGIPDRGFYGPLISAISVDPNFEPPSFEGNKNHVVIAVGTVAAALLLLLLVLGILRRKGCLGGKISADKELRDLDLQTGLYTLRQIKAATKNFDAANKLGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQMLLIYEYMENNCVSRALFGSDPACRLKLDWPTRKKICIGIARGLAYLHEESILKIVHRDIKTSNVLLDKDFNAKISDFGLAKLNEDDNTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPALGSEYSSEETMLMLNVALMCTNASPTLRPTMPQVVSMLEGRTEVQDLLSDPGFSAINSKVRAIRNHFWQNPSCTQSMSTNGPRTDTSGNSYIETEENGRLLGVSSVTSVKSEE >ONI17596 pep chromosome:Prunus_persica_NCBIv2:G3:18776680:18784516:1 gene:PRUPE_3G168800 transcript:ONI17596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDDFSFPKLLLAYLICSTAFLFFATFGQSATATAKLHSQEVNALKEIGKKLGKKDWDFRKDPCTGEGNWNVSIEGRRKGFESSVACNCTFNHNSSCHVISIALKAQNLSGTVPPEFSKLQHLKDLDLSRNYLNGSIPSQWGTMRLVTLSIEGNHFSGPIPPEIGKLIKLEKLIVSSNAFTGELPLALAKLTNLSDMRICDNNFSGKIPDFIGNWTRISKLHIQGSSLEGPIPSSISGLRSLTDLRITDLRGTESPFPSLRNLESLKTLILRNCLIYGVIPAYIADMKRLKNLDLSYNELTGEIPASFVQLAKVDFTYLTGNQLTGTVPGWVPGRNNIVDLSYNNFTWESSSPNECPRGSVNLVESYSSSADKSSRIQPCLERNFPCHVSKNQRKYSLHINCGGKEVNIGGNRYEADREQRGASMYYMGQNWALSSTGNFMDNDIDSDIYIETNKSALSKNVSVLDSELYTTARGSPISLTYYGLCLINGDYTVKLHFAEIVFTNDRTFNSLGKRIFDVYIQDKLVLKDFNIESEAGGAGKPIVKNFTAVVSSNTLKIHFYWAGKGTTGIPDRGFYGPLISAISVDPNFEPPSFEGNKNHVVIAVGTVAAALLLLLLVLGILRRKGCLGGKISADKELRDLDLQTGLYTLRQIKAATKNFDAANKLGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQMLLIYEYMENNCVSRALFGSDPACRLKLDWPTRKKICIGIARGLAYLHEESILKIVHRDIKTSNVLLDKDFNAKISDFGLAKLNEDDNTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPALGSEYSSEETMLMLNVALMCTNASPTLRPTMPQVVSMLEGRTEVQDLLSDPGFSAINSKVRAIRNHFWQNPSCTQSMSTNGPRTDTSGNSYIETEENGRLLGVSSVTSVKSEE >ONI17595 pep chromosome:Prunus_persica_NCBIv2:G3:18776680:18784516:1 gene:PRUPE_3G168800 transcript:ONI17595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDDFSFPKLLLAYLICSTAFLFFATFGQSATATAKLHSQEVNALKEIGKKLGKKDWDFRKDPCTGEGNWNVSIEGRRKGFESSVACNCTFNHNSSCHVISIALKAQNLSGTVPPEFSKLQHLKDLDLSRNYLNGSIPSQWGTMRLVTLSLMGNRLSGPFPKVLTNITTLRNLSIEGNHFSGPIPPEIGKLIKLEKLRICDNNFSGKIPDFIGNWTRISKLHIQGSSLEGPIPSSISGLRSLTDLRITDLRGTESPFPSLRNLESLKTLILRNCLIYGVIPAYIADMKRLKNLDLSYNELTGEIPASFVQLAKVDFTYLTGNQLTGTVPGWVPGRNNIVDLSYNNFTWESSSPNECPRGSVNLVESYSSSADKSSRIQPCLERNFPCHVSKNQRKYSLHINCGGKEVNIGGNRYEADREQRGASMYYMGQNWALSSTGNFMDNDIDSDIYIETNKSALSKNVSVLDSELYTTARGSPISLTYYGLCLINGDYTVKLHFAEIVFTNDRTFNSLGKRIFDVYIQDKLVLKDFNIESEAGGAGKPIVKNFTAVVSSNTLKIHFYWAGKGTTGIPDRGFYGPLISAISVDPNFEPPSFEGNKNHVVIAVGTVAAALLLLLLVLGILRRKGCLGGKISADKELRDLDLQTGLYTLRQIKAATKNFDAANKLGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQMLLIYEYMENNCVSRALFGSDPACRLKLDWPTRKKICIGIARGLAYLHEESILKIVHRDIKTSNVLLDKDFNAKISDFGLAKLNEDDNTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPALGSEYSSEETMLMLNVALMCTNASPTLRPTMPQVVSMLEGRTEVQDLLSDPGFSAINSKVRAIRNHFWQNPSCTQSMSTNGPRTDTSGNSYIETEENGRLLGVSSVTSVKSEE >ONI17601 pep chromosome:Prunus_persica_NCBIv2:G3:18778167:18784283:1 gene:PRUPE_3G168800 transcript:ONI17601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVTLSLMGNRLSGPFPKVLTNITTLRNLSIEGNHFSGPIPPEIGKLIKLEKLIVSSNAFTGELPLALAKLTNLSDMRICDNNFSGKIPDFIGNWTRISKLHIQGSSLEGPIPSSISGLRSLTDLRITDLRGTESPFPSLRNLESLKTLILRNCLIYGVIPAYIADMKRLKNLDLSYNELTGEIPASFVQLAKVDFTYLTGNQLTGTVPGWVPGRNNIVDLSYNNFTWESSSPNECPRGSVNLVESYSSSADKSSRIQPCLERNFPCHVSKNQRKYSLHINCGGKEVNIGGNRYEADREQRGASMYYMGQNWALSSTGNFMDNDIDSDIYIETNKSALSKNVSVLDSELYTTARGSPISLTYYGLCLINGDYTVKLHFAEIVFTNDRTFNSLGKRIFDVYIQDKLVLKDFNIESEAGGAGKPIVKNFTAVVSSNTLKIHFYWAGKGTTGIPDRGFYGPLISAISVDPNFEPPSFEGNKNHVVIAVGTVAAALLLLLLVLGILRRKGCLGGKISADKELRDLDLQTGLYTLRQIKAATKNFDAANKLGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQMLLIYEYMENNCVSRALFGSDPACRLKLDWPTRKKICIGIARGLAYLHEESILKIVHRDIKTSNVLLDKDFNAKISDFGLAKLNEDDNTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPALGSEYSSEETMLMLNVALMCTNASPTLRPTMPQVVSMLEGRTEVQDLLSDPGFSAINSKVRAIRNHFWQNPSCTQSMSTNGPRTDTSGNSYIETEENGRLLGVSSVTSVKSEE >ONI17599 pep chromosome:Prunus_persica_NCBIv2:G3:18776680:18784516:1 gene:PRUPE_3G168800 transcript:ONI17599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDDFSFPKLLLAYLICSTAFLFFATFGQSATATAKLHSQEVNALKEIGKKLGKKDWDFRKDPCTGEGNWNVSIEGRRKGFESSVACNCTFNHNSSCHVISMSIEGNHFSGPIPPEIGKLIKLEKLRICDNNFSGKIPDFIGNWTRISKLHIQGSSLEGPIPSSISGLRSLTDLRITDLRGTESPFPSLRNLESLKTLILRNCLIYGVIPAYIADMKRLKNLDLSYNELTGEIPASFVQLAKVDFTYLTGNQLTGTVPGWVPGRNNIVDLSYNNFTWESSSPNECPRGSVNLVESYSSSADKSSRIQPCLERNFPCHVSKNQRKYSLHINCGGKEVNIGGNRYEADREQRGASMYYMGQNWALSSTGNFMDNDIDSDIYIETNKSALSKNVSVLDSELYTTARGSPISLTYYGLCLINGDYTVKLHFAEIVFTNDRTFNSLGKRIFDVYIQDKLVLKDFNIESEAGGAGKPIVKNFTAVVSSNTLKIHFYWAGKGTTGIPDRGFYGPLISAISVDPNFEPPSFEGNKNHVVIAVGTVAAALLLLLLVLGILRRKGCLGGKISADKELRDLDLQTGLYTLRQIKAATKNFDAANKLGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQMLLIYEYMENNCVSRALFGSDPACRLKLDWPTRKKICIGIARGLAYLHEESILKIVHRDIKTSNVLLDKDFNAKISDFGLAKLNEDDNTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPALGSEYSSEETMLMLNVALMCTNASPTLRPTMPQVVSMLEGRTEVQDLLSDPGFSAINSKVRAIRNHFWQNPSCTQSMSTNGPRTDTSGNSYIETEENGRLLGVSSVTSVKSEE >ONI16708 pep chromosome:Prunus_persica_NCBIv2:G3:9937764:9940792:1 gene:PRUPE_3G116700 transcript:ONI16708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGGPKEIYRRDMAPERIEISDEDDQSSDASYEIQAKNDCLRSSQKCLFFDLNEEASIGDDDQDVSSSNEGTQAVGSRISPVGNLSCNNTSVEGKERTIAVRQYVRSKMPRLRWTPDLHLAFIHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDESGQVISQSSRGMQVKDHILEAYRKFNPYGHLRHVDDNGSHFASPPVLKQQPYDDFNANSSR >ONI16707 pep chromosome:Prunus_persica_NCBIv2:G3:9937764:9940792:1 gene:PRUPE_3G116700 transcript:ONI16707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGGPKEIYRRDMAPERIEISDEDDQSSDASYEIQAKNDCLRSSQKCLFFDLNEEASIGDDDQDVSSSNEGTQAVGSRISPVGNLSCNNTSVEGKERTIAVRQYVRSKMPRLRWTPDLHLAFIHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDESGQVISQSSRGMQVKDHILEAYRKFNPYGHLRHVDDNGSHFASPPVLKQQPYDDFNANSSR >ONI19835 pep chromosome:Prunus_persica_NCBIv2:G3:26543448:26546554:1 gene:PRUPE_3G300400 transcript:ONI19835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGEIPENANEHCPGPQSDSAGKSDACEGCPNQQICATAPKGPDPDVVAIAERMATVKHKILVLSGKGGVGKSTFSAQLAFALAAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPEPDDAVIWRGPRKNALIKQFLRDVYWGELDFLVVDAPPGTSDEHISIVQCLDAGSIDGAIIVTTPQQVSLIDVRKEVSFCKKVGVQVLGIVENMSGLCQPVMDFKFLRMTEAGEQIDVTEWAREHLKERAPEFLSIIACTEVFDSSRGGAEKMCREMGVPFLGKVPLDPQLCKAAEEGRSCFVDQKCGVSAPALKKVIDKMMENQGLSRMLVDNNA >ONI19836 pep chromosome:Prunus_persica_NCBIv2:G3:26544875:26546554:1 gene:PRUPE_3G300400 transcript:ONI19836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKHKILVLSGKGGVGKSTFSAQLAFALAAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPEPDDAVIWRGPRKNALIKQFLRDVYWGELDFLVVDAPPGTSDEHISIVQCLDAGSIDGAIIVTTPQQVSLIDVRKEVSFCKKVGVQVLGIVENMSGLCQPVMDFKFLRMTEAGEQIDVTEWAREHLKERAPEFLSIIACTEVFDSSRGGAEKMCREMGVPFLGKVPLDPQLCKAAEEGRSCFVDQKCGVSAPALKKVIDKMMENQGLSRMLVDNNA >ONI15403 pep chromosome:Prunus_persica_NCBIv2:G3:3024371:3036507:-1 gene:PRUPE_3G042500 transcript:ONI15403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILNSALAEICSELQNGLPLQTLWPRLDPSLSSSNLDLSPHFKQALWDALRSVPTLKFDAKNAPYGPADPSILSFEDAEKLNLKLVADEGLRDNFMGLYNVRSANASLSKIQRMALERLVTARTNGITQSQLAKELGIEGRNFHYAVKSLECQGLIVKQSALLKTKEAGDSPFVTTNMLYLYRHGKHLGSQQKIEITKEEQTRESFGNGNESPASGDNFAGKYVKEDVLVKDYLPEMKAVCDKLEEANGKVLVVSDIKKDLGYSGTPGGHKAWRKVCRRLKAAGIVELFDAKVNEKVECCLRFPENSTQMSLEPKSLSHVDDHCNEEQQVKYGKRKKCQITDQLVELPIEQQIYELIDSTGSEGLTRNEVLERLGINNKKNCNRLAGMWSRFGMNIQPEMHQKAKTYRFWTSVEHNSESANPFLNKSENANENKITDLYIGSSDALDRSGQSQTRSAYDCSTLKGDTAGSRNMKIRYINTEPSGGSPRYSESNHMLLCPGNPQPLFLEPKDTTCDSKLSLLSTVEINGASLETPPAALKPLGSGSDPRYPCLSLTEDSTRREKRILERLQDEKFILRAELYRWLVSLEKDKCTTTDRKTIDRILKKLQELGHCKCIHINVPVVTNCGRSRTTLVVLHPSVQSLTPELVSEIHDTWRSFEIQSRGQCSSRWKKSGSFPVLKDVQRTQNHVGTDIRAMRSEAMRSNGFILAKMIRAKLLHSFLWDFLSSSTGSDDALASGKDVIELKNPHSRSKLFSLEAAIRAIPIELFLQVVGCTKKIDDMLEKCKRGLCLSDLSADEYKSLMDTHATGRLSLVIEILRRLKLIRMVSDEHLKDAIKVPHAISTHALEFKPYIEEPLSKDAISLSFRSVDLRPRIRHDFVLSNREAVDEYWQTLEYCYAAADPRAALHAFPGSAVHEVSLYRSWTKIRVMTAAQRDELLKRVEKDDPSEKLSFKECGKIAKDLNLTLEQVLRVYYDKRHQRLHGLQNKRDEVQPKKGRRVSRKRKRSSEQESVNFTETDEVTAQLEEQGNATLSDSVKQFIEEKSLLVISSDKHDTHLEPLADHLETGQEPEPNKDDDGCHSIISKCSFSNLKSTRTYKKEKLQSTRQRRFSWTEEADRQLIIQYVRHRATLGPKYHRIDWTSLPDLPAPPSTCQKRMALLKSNKRFRIAVMRLCNVIGERYAKFLEKTQNRSLTKDDCRLLLRGSTGEDNDRNLPNISNHNQGTGVQEEPWDDFDDNNIKRALEEVLHYKRMAKLDASKRVGSTCQDWSDLNTNAEEYDPQESELIASTTPYEDVQNHSGRGLKISARRSCCQHLNEKFFKLLHGVNVSTQVYKSLAVSNAVELFKLVFLSISTAPEVPNLLAEILRRYSECDLFAAFNYLRDRKIMVGGNDSQHFSLSQQFLHNISMSPFPTNSGKRATKFAHWLREREKDLMEGGIDLSADLQCGDIFHLFALVSSGELSISPCLPDEGMGEAEDLRSSKRKIDSNEFLDGDKTKKLKSFVAAEGEIISRREKGFPGIKVSVYRASFSTADAVDLFTNDTPCVKKIGGSYQLDSTCGQNILSHSHHMKEILDSSSTVRVLENCSNSPWEGMVRYAEHLLPSCSSQNQSSPIHPEVFRSIYSAIQTAGDQGLSMEDVSRITNIPGEKMTEFIIDVLQTFERVLKVNAYDSIRVVDSLYRGKYFMTSVPGICQKLEPPSERKPQRGNDGDSGCAHLQGDINMHVDDVHKVTFLNFPEEVCELSYKKQTSSELEGCMKGIEVSPRGDGEGESSKSSSGKLCVPILPWINGDGTINKIIYKGLRRRVLGIVMQNPGILEDEIIRRMDVLNPQSCRKLLELLILDKHISVRKMHQTTSNGLPPILRTLFGSSFTERKLVFREHFFANPTSTSLL >ONI15404 pep chromosome:Prunus_persica_NCBIv2:G3:3023754:3036723:-1 gene:PRUPE_3G042500 transcript:ONI15404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVSDIKKDLGYSGTPGGHKAWRKVCRRLKAAGIVELFDAKVNEKVECCLRFPENSTQMSLEPKSLSHVDDHCNEEQQVKYGKRKKCQITDQLVELPIEQQIYELIDSTGSEGLTRNEVLERLGINNKKNCNRLAGMWSRFGMNIQPEMHQKAKTYRFWTSVEHNSESANPFLNKSENANENKITDLYIGSSDALDRSGQSQTRSAYDCSTLKGDTAGSRNMKIRYINTEPSGGSPRYSESNHMLLCPGNPQPLFLEPKDTTCDSKLSLLSTVEINGASLETPPAALKPLGSGSDPRYPCLSLTEDSTRREKRILERLQDEKFILRAELYRWLVSLEKDKCTTTDRKTIDRILKKLQELGHCKCIHINVPVVTNCGRSRTTLVVLHPSVQSLTPELVSEIHDTWRSFEIQSRGQCSSRWKKSGSFPVLKDVQRTQNHVGTDIRAMRSEAMRSNGFILAKMIRAKLLHSFLWDFLSSSTGSDDALASGKDVIELKNPHSRSKLFSLEAAIRAIPIELFLQVVGCTKKIDDMLEKCKRGLCLSDLSADEYKSLMDTHATGRLSLVIEILRRLKLIRMVSDEHLKDAIKVPHAISTHALEFKPYIEEPLSKDAISLSFRSVDLRPRIRHDFVLSNREAVDEYWQTLEYCYAAADPRAALHAFPGSAVHEVSLYRSWTKIRVMTAAQRDELLKRVEKDDPSEKLSFKECGKIAKDLNLTLEQVLRVYYDKRHQRLHGLQNKRDEVQPKKGRRVSRKRKRSSEQESVNFTETDEVTAQLEEQGNATLSDSVKQFIEEKSLLVISSDKHDTHLEPLADHLETGQEPEPNKDDDGCHSIISKCSFSNLKSTRTYKKEKLQSTRQRRFSWTEEADRQLIIQYVRHRATLGPKYHRIDWTSLPDLPAPPSTCQKRMALLKSNKRFRIAVMRLCNVIGERYAKFLEKTQNRSLTKDDCRLLLRGSTGEDNDRNLPNISNHNQGTGVQEEPWDDFDDNNIKRALEEVLHYKRMAKLDASKRVGSTCQDWSDLNTNAEEYDPQESELIASTTPYEDVQNHSGRGLKISARRSCCQHLNEKFFKLLHGVNVSTQVYKSLAVSNAVELFKLVFLSISTAPEVPNLLAEILRRYSECDLFAAFNYLRDRKIMVGGNDSQHFSLSQQFLHNISMSPFPTNSGKRATKFAHWLREREKDLMEGGIDLSADLQCGDIFHLFALVSSGELSISPCLPDEGMGEAEDLRSSKRKIDSNEFLDGDKTKKLKSFVAAEGEIISRREKGFPGIKVSVYRASFSTADAVDLFTNDTPCVKKIGGSYQLDSTCGQNILSHSHHMKEILDSSSTVRVLENCSNSPWEGMVRYAEHLLPSCSSQNQSSPIHPEVFRSIYSAIQTAGDQGLSMEDVSRITNIPGEKMTEFIIDVLQTFERVLKVNAYDSIRVVDSLYRGKYFMTSVPGICQKLEPPSERKPQRGNDGDSGCAHLQGDINMHVDDVHKVTFLNFPEEVCELSYKKQTSSELEGCMKGIEVSPRGDGEGESSKSSSGKLCVPILPWINGDGTINKIIYKGLRRRVLGIVMQNPGILEDEIIRRMDVLNPQSCRKLLELLILDKHISVRKMHQTTSNGLPPILRTLFGSSFTERKLVFREHFFANPTSTSLL >ONI15401 pep chromosome:Prunus_persica_NCBIv2:G3:3023754:3036722:-1 gene:PRUPE_3G042500 transcript:ONI15401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILNSALAEICSELQNGLPLQTLWPRLDPSLSSSNLDLSPHFKQALWDALRSVPTLKFDAKNAPYGPADPSILSFEDAEKLNLKLVADEGLRDNFMGLYNVRSANASLSKIQRMALERLVTARTNGITQSQLAKELGIEGRNFHYAVKSLECQGLIVKQSALLKTKEAGDSPFVTTNMLYLYRHGKHLGSQQKIEITKEEQTRESFGNGNESPASGDNFAGKYVKEDVLVKDYLPEMKAVCDKLEEANGKVLVVSDIKKDLGYSGTPGGHKAWRKVCRRLKAAGIVELFDAKVNEKVECCLRFPENSTQMSLEPKSLSHVDDHCNEEQQVKYGKRKKCQITDQLVELPIEQQIYELIDSTGSEGLTRNEVLERLGINNKKNCNRLAGMWSRFGMNIQPEMHQKAKTYRFWTSVEHNSESANPFLNKSENANENKITDLYIGSSDALDRSGQSQTRSAYDCSTLKGDTAGSRNMKIRYINTEPSGGSPRYSESNHMLLCPGNPQPLFLEPKDTTCDSKLSLLSTVEINGASLETPPAALKPLGSGSDPRYPCLSLTEDSTRREKRILERLQDEKFILRAELYRWLVSLEKDKCTTTDRKTIDRILKKLQELGHCKCIHINVPVVTNCGRSRTTLVVLHPSVQSLTPELVSEIHDTWRSFEIQSRGQCSSRWKKSGSFPVLKDVQRTQNHVGTDIRAMRSEAMRSNGFILAKMIRAKLLHSFLWDFLSSSTGSDDALASGKDVIELKNPHSRSKLFSLEAAIRAIPIELFLQVVGCTKKIDDMLEKCKRGLCLSDLSADEYKSLMDTHATGRLSLVIEILRRLKLIRMVSDEHLKDAIKVPHAISTHALEFKPYIEEPLSKDAISLSFRSVDLRPRIRHDFVLSNREAVDEYWQTLEYCYAAADPRAALHAFPGSAVHEVSLYRSWTKIRVMTAAQRDELLKRVEKDDPSEKLSFKECGKIAKDLNLTLEQVLRVYYDKRHQRLHGLQNKRDEVQPKKGRRVSRKRKRSSEQESVNFTETDEEPEPNKDDDGCHSIISKCSFSNLKSTRTYKKEKLQSTRQRRFSWTEEADRQLIIQYVRHRATLGPKYHRIDWTSLPDLPAPPSTCQKRMALLKSNKRFRIAVMRLCNVIGERYAKFLEKTQNRSLTKDDCRLLLRGSTGEDNDRNLPNISNHNQGTGVQEEPWDDFDDNNIKRALEEVLHYKRMAKLDASKRVGSTCQDWSDLNTNAEEYDPQESELIASTTPYEDVQNHSGRGLKISARRSCCQHLNEKFFKLLHGVNVSTQVYKSLAVSNAVELFKLVFLSISTAPEVPNLLAEILRRYSECDLFAAFNYLRDRKIMVGGNDSQHFSLSQQFLHNISMSPFPTNSGKRATKFAHWLREREKDLMEGGIDLSADLQCGDIFHLFALVSSGELSISPCLPDEGMGEAEDLRSSKRKIDSNEFLDGDKTKKLKSFVAAEGEIISRREKGFPGIKVSVYRASFSTADAVDLFTNDTPCVKKIGGSYQLDSTCGQNILSHSHHMKEILDSSSTVRVLENCSNSPWEGMVRYAEHLLPSCSSQNQSSPIHPEVFRSIYSAIQTAGDQGLSMEDVSRITNIPGEKMTEFIIDVLQTFERVLKVNAYDSIRVVDSLYRGKYFMTSVPGICQKLEPPSERKPQRGNDGDSGCAHLQGDINMHVDDVHKVTFLNFPEEVCELSYKKQTSSELEGCMKGIEVSPRGDGEGESSKSSSGKLCVPILPWINGDGTINKIIYKGLRRRVLGIVMQNPGILEDEIIRRMDVLNPQSCRKLLELLILDKHISVRKMHQTTSNGLPPILRTLFGSSFTERKLVFREHFFANPTSTSLL >ONI15402 pep chromosome:Prunus_persica_NCBIv2:G3:3024371:3036507:-1 gene:PRUPE_3G042500 transcript:ONI15402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILNSALAEICSELQNGLPLQTLWPRLDPSLSSSNLDLSPHFKQALWDALRSVPTLKFDAKNAPYGPADPSILSFEDAEKLNLKLVADEGLRDNFMGLYNVRSANASLSKIQRMALERLVTARTNGITQSQLAKELGIEGRNFHYAVKSLECQGLIVKQSALLKTKEAGDSPFVTTNMLYLYRHGKHLGSQQKIEITKEEQTRESFGNGNESPASGDNFAGKYVKEDVLVKDYLPEMKAVCDKLEEANGKVLVVSDIKKDLGYSGTPGGHKAWRKVCRRLKAAGIVELFDAKVNEKVECCLRFPENSTQMSLEPKSLSHVDDHCNEEQQVKYGKRKKCQITDQLVELPIEQQIYELIDSTGSEGLTRNEVLERLGINNKKNCNRLAGMWSRFGMNIQPEMHQKAKTYRFWTSVEHNSESANPFLNKSENANENKITDLYIGSSDALDRSGQSQTRSAYDCSTLKGDTAGSRNMKIRYINTEPSGGSPRYSESNHMLLCPGNPQPLFLEPKDTTCDSKLSLLSTVEINGASLETPPAALKPLGSGSDPRYPCLSLTEDSTRREKRILERLQDEKFILRAELYRWLVSLEKDKCTTTDRKTIDRILKKLQELGHCKCIHINVPVVTNCGRSRTTLVVLHPSVQSLTPELVSEIHDTWRSFEIQSRGQCSSRWKKSGSFPVLKDVQRTQNHVGTDIRAMRSEAMRSNGFILAKMIRAKLLHSFLWDFLSSSTGSDDALASGKDVIELKNPHSRSKLFSLEAAIRAIPIELFLQVVGCTKKIDDMLEKCKRGLCLSDLSADEYKSLMDTHATGRLSLVIEILRRLKLIRMVSDEHLKDAIKVPHAISTHALEFKPYIEEPLSKDAISLSFRSVDLRPRIRHDFVLSNREAVDEYWQTLEYCYAAADPRAALHAFPGSAVHEVSLYRSWTKIRVMTAAQRDELLKRVEKDDPSEKLSFKECGKIAKDLNLTLEQVLRVYYDKRHQRLHGLQNKRDEVQPKKGRRVSRKRKRSSEQESVNFTETDEVTAQLEEQGNATLSDSEPEPNKDDDGCHSIISKCSFSNLKSTRTYKKEKLQSTRQRRFSWTEEADRQLIIQYVRHRATLGPKYHRIDWTSLPDLPAPPSTCQKRMALLKSNKRFRIAVMRLCNVIGERYAKFLEKTQNRSLTKDDCRLLLRGSTGEDNDRNLPNISNHNQGTGVQEEPWDDFDDNNIKRALEEVLHYKRMAKLDASKRVGSTCQDWSDLNTNAEEYDPQESELIASTTPYEDVQNHSGRGLKISARRSCCQHLNEKFFKLLHGVNVSTQVYKSLAVSNAVELFKLVFLSISTAPEVPNLLAEILRRYSECDLFAAFNYLRDRKIMVGGNDSQHFSLSQQFLHNISMSPFPTNSGKRATKFAHWLREREKDLMEGGIDLSADLQCGDIFHLFALVSSGELSISPCLPDEGMGEAEDLRSSKRKIDSNEFLDGDKTKKLKSFVAAEGEIISRREKGFPGIKVSVYRASFSTADAVDLFTNDTPCVKKIGGSYQLDSTCGQNILSHSHHMKEILDSSSTVRVLENCSNSPWEGMVRYAEHLLPSCSSQNQSSPIHPEVFRSIYSAIQTAGDQGLSMEDVSRITNIPGEKMTEFIIDVLQTFERVLKVNAYDSIRVVDSLYRGKYFMTSVPGICQKLEPPSERKPQRGNDGDSGCAHLQGDINMHVDDVHKVTFLNFPEEVCELSYKKQTSSELEGCMKGIEVSPRGDGEGESSKSSSGKLCVPILPWINGDGTINKIIYKGLRRRVLGIVMQNPGILEDEIIRRMDVLNPQSCRKLLELLILDKHISVRKMHQTTSNGLPPILRTLFGSSFTERKLVFREHFFANPTSTSLL >ONI15400 pep chromosome:Prunus_persica_NCBIv2:G3:3023754:3036722:-1 gene:PRUPE_3G042500 transcript:ONI15400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILNSALAEICSELQNGLPLQTLWPRLDPSLSSSNLDLSPHFKQALWDALRSVPTLKFDAKNAPYGPADPSILSFEDAEKLNLKLVADEGLRDNFMGLYNVRSANASLSKIQRMALERLVTARTNGITQSQLAKELGIEGRNFHYAVKSLECQGLIVKQSALLKTKEAGDSPFVTTNMLYLYRHGKHLGSQQKIEITKEEQTRESFGNGNESPASGDNFAGKYVKEDVLVKDYLPEMKAVCDKLEEANGKVLVVSDIKKDLGYSGTPGGHKAWRKVCRRLKAAGIVELFDAKVNEKVECCLRFPENSTQMSLEPKSLSHVDDHCNEEQQVKYGKRKKCQITDQLVELPIEQQIYELIDSTGSEGLTRNEVLERLGINNKKNCNRLADALDRSGQSQTRSAYDCSTLKGDTAGSRNMKIRYINTEPSGGSPRYSESNHMLLCPGNPQPLFLEPKDTTCDSKLSLLSTVEINGASLETPPAALKPLGSGSDPRYPCLSLTEDSTRREKRILERLQDEKFILRAELYRWLVSLEKDKCTTTDRKTIDRILKKLQELGHCKCIHINVPVVTNCGRSRTTLVVLHPSVQSLTPELVSEIHDTWRSFEIQSRGQCSSRWKKSGSFPVLKDVQRTQNHVGTDIRAMRSEAMRSNGFILAKMIRAKLLHSFLWDFLSSSTGSDDALASGKDVIELKNPHSRSKLFSLEAAIRAIPIELFLQVVGCTKKIDDMLEKCKRGLCLSDLSADEYKSLMDTHATGRLSLVIEILRRLKLIRMVSDEHLKDAIKVPHAISTHALEFKPYIEEPLSKDAISLSFRSVDLRPRIRHDFVLSNREAVDEYWQTLEYCYAAADPRAALHAFPGSAVHEVSLYRSWTKIRVMTAAQRDELLKRVEKDDPSEKLSFKECGKIAKDLNLTLEQVLRVYYDKRHQRLHGLQNKRDEVQPKKGRRVSRKRKRSSEQESVNFTETDEVTAQLEEQGNATLSDSVKQFIEEKSLLVISSDKHDTHLEPLADHLETGQEPEPNKDDDGCHSIISKCSFSNLKSTRTYKKEKLQSTRQRRFSWTEEADRQLIIQYVRHRATLGPKYHRIDWTSLPDLPAPPSTCQKRMALLKSNKRFRIAVMRLCNVIGERYAKFLEKTQNRSLTKDDCRLLLRGSTGEDNDRNLPNISNHNQGTGVQEEPWDDFDDNNIKRALEEVLHYKRMAKLDASKRVGSTCQDWSDLNTNAEEYDPQESELIASTTPYEDVQNHSGRGLKISARRSCCQHLNEKFFKLLHGVNVSTQVYKSLAVSNAVELFKLVFLSISTAPEVPNLLAEILRRYSECDLFAAFNYLRDRKIMVGGNDSQHFSLSQQFLHNISMSPFPTNSGKRATKFAHWLREREKDLMEGGIDLSADLQCGDIFHLFALVSSGELSISPCLPDEGMGEAEDLRSSKRKIDSNEFLDGDKTKKLKSFVAAEGEIISRREKGFPGIKVSVYRASFSTADAVDLFTNDTPCVKKIGGSYQLDSTCGQNILSHSHHMKEILDSSSTVRVLENCSNSPWEGMVRYAEHLLPSCSSQNQSSPIHPEVFRSIYSAIQTAGDQGLSMEDVSRITNIPGEKMTEFIIDVLQTFERVLKVNAYDSIRVVDSLYRGKYFMTSVPGICQKLEPPSERKPQRGNDGDSGCAHLQGDINMHVDDVHKVTFLNFPEEVCELSYKKQTSSELEGCMKGIEVSPRGDGEGESSKSSSGKLCVPILPWINGDGTINKIIYKGLRRRVLGIVMQNPGILEDEIIRRMDVLNPQSCRKLLELLILDKHISVRKMHQTTSNGLPPILRTLFGSSFTERKLVFREHFFANPTSTSLL >ONI16320 pep chromosome:Prunus_persica_NCBIv2:G3:6892550:6896654:1 gene:PRUPE_3G092100 transcript:ONI16320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLASNRLHEIRRIFSQPARSFSTALNYHLDSPDNNPDLPWEFNEANKQKAKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRTKVGKYHLLVCGTTPCMIRGSRDIEAALLNHLGVKRNEVTKDGLFSVGEMECMGSCVNAPMITVADYSNGSEGYTYNYYEDVTPERVVEIVEKLRKGEKPPHGTQNPKRIKCGPEGGNTTLLSEPKPPPCRDLDAC >ONI16321 pep chromosome:Prunus_persica_NCBIv2:G3:6892666:6895772:1 gene:PRUPE_3G092100 transcript:ONI16321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLASNRLHEIRRIFSQPARSFSTALNYHLDSPDNNPDLPWEFNEANKQKAKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRTKVGKYHLLVCGTTPCMIRGSRDIEAALLNHLGVKRNGESNQGWIILCWRNGMHGKLCECSHDYGR >ONI16322 pep chromosome:Prunus_persica_NCBIv2:G3:6892550:6896654:1 gene:PRUPE_3G092100 transcript:ONI16322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLASNRLHEIRRIFSQPARSFSTALNYHLDSPDNNPDLPWEFNEANKQKAKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRTKVGKYHLLVCGTTPCMIRGSRDIEAALLNHLGVKRNEVTKDGLFSVGEMECMLCECSHDYGR >ONI19240 pep chromosome:Prunus_persica_NCBIv2:G3:24970099:24975149:-1 gene:PRUPE_3G266700 transcript:ONI19240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGSLPFMIETKTESSVLLHSNNNRRLRFPFFTHRPGRSIEVTGINAEVVGMCSRQINWCTKGKLWKIEPLRATGKVSVEGEDGGEPEDALRATIEKSKKVLAMQRDLLQQIAERRKLVSSIQSSSINQEEDKASFEQGNDSFPNVESSSTSGDNTVEDQIGSIASSSYGDSTEDKELETVPSAVSRGLNKIEKDHGEPLPLNKAPSEVESTKHVNKISSKTEWSDALPSFISTTAETSTPIDEELVDLKEPSLEEVNNWETDLMSEDVKPPPLAGANVMNVILVAAECAPWSKTGGLGDVAGALPKALARRGHRVMVVAPLYGDYAEPQYSGIRKIYKVDGQDLEVAYFHAFIDGVDFVFIECPMFRHMGHNIYGGKREDILKRMVLFCKAAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMTYTRSILVIHNIAHQGRGPVADFSFVDLPGHYLDLFKLYDPVGGEHFNIFAAGLKTADRVVTVSHGYAWEVKTVEGGWGLHGIINENDWKFKGIVNGIDTQEWNPQLDVYLTSDGYTNYSLVTLQTGKPQCKAALQKELGLPIREDVPVIGFIGRLDQQKGVDLIAEAIPWMMGQDVQLIMLGSGRPDLEQMLKEFEHQHRDKVRGWVGFSVQTAHRITAGADILLMPSRFEPCGLNQLYAMNYGTIPVVHAVGGLRDTVQPFNPYDESGLGWTFDSAEAGKLIHALGNCLLTYREYKKSWEGIQRRGMKQDLSWDHAAQNYEEVLVAAKYQW >ONI19239 pep chromosome:Prunus_persica_NCBIv2:G3:24970099:24975409:-1 gene:PRUPE_3G266700 transcript:ONI19239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGSLPFMIETKTESSVLLHSNNNRRLRFPFFTHRPGRSIEVTGINAEVVGMCSRQINWCTKGKLWKIEPLRATGKVSVEGEDGGEPEDALRATIEKSKKVLAMQRDLLQQIAERRKLVSSIQSSSINQEEDKASFEQGNDSFPNVESSSTSGDNTVEDQIGSIASSSYGDSTEDKELETVPSAVSRGLNKIEKDHGEPLPLNKAPSEVESTKHVNKISSKTEWSDALPSFISTTAETSTPIDEELVDLKEPSLEEVNNWETDLMSEDVKPPPLAGANVMNVILVAAECAPWSKTGGLGDVAGALPKALARRGHRVMVVAPLYGDYAEPQYSGIRKIYKVDGQDLEVAYFHAFIDGVDFVFIECPMFRHMGHNIYGGKREDILKRMVLFCKAAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMTYTRSILVIHNIAHQGRGPVADFSFVDLPGHYLDLFKLYDPVGGEHFNIFAAGLKTADRVVTVSHGYAWEVKTVEGGWGLHGIINENDWKFKGIVNGIDTQEWNPQLDVYLTSDGYTNYSLVTLQTGKPQCKAALQKELGLPIREDVPVIGFIGRLDQQKGVDLIAEAIPWMMGQDVQLIMLGSGRPDLEQMLKEFEHQHRDKVRGWVGFSVQTAHRITAGADILLMPSRFEPCGLNQLYAMNYGTIPVVHAVGGLRDTVQPFNPYDESGLGWTFDSAEAGKLIHALGNCLLTYREYKKSWEGIQRRGMKQDLSWDHAAQNYEEVLVAAKYQW >ONI14753 pep chromosome:Prunus_persica_NCBIv2:G3:381947:383803:1 gene:PRUPE_3G006300 transcript:ONI14753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMADVHVHDHVRAGYGSSAAPAEIHDQIPSEEEDVDLRKGPWTVEEDSVLFDYINIHGEGRWNSLARHAGLKRTGKSCRLRWLNYLRPSVRRGNITLQEQLLILQLHSRWGNRWSKIAEYLPGRTDNEIKNYWRTRVQKQAKQLKCDVNSKQFQDAMRYVWIPRLIERIQALPQSLPGQSSTESTGLTTASESQNWIDSNLMPETSGSTSSESSDQLQVCSIPDPTTTDQFMNYPSTNQYGSDNGSGCYEYGTNSDFLGFEQSNYWSSSGGDISVENLWNEENIWFLQQQLSDDQWN >ONI18259 pep chromosome:Prunus_persica_NCBIv2:G3:21324725:21325480:-1 gene:PRUPE_3G205800 transcript:ONI18259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAFICCGLFLAIVLLISSEVATNAAEEATNPNVGDAKYGGGYQGDPGRGGYGGNPGHGGNGGGGGGGEGRCYYGCCRRSYNGRECVRCCNHANEVVDAQPQN >ONI16335 pep chromosome:Prunus_persica_NCBIv2:G3:6940882:6953322:1 gene:PRUPE_3G092900 transcript:ONI16335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKRDHRDDEDDFHLGVSRRYCKSGSSKWLTTSFTRPVLDNQPGRGKGPSLMDLCIRKICEDIDKYNTFSMLPRDISQQIINELVYSGCLTDVSFEGFRDCALQDLYLGEYPGVNDCWMDVISSQGSSLLSLDLSGSDVTDNGLIFLKDCTSLQALNFNYCDHISDHGLGHISGLSSLTNLSFRRNSAITAHGMSAFANLINLIKLDLEKCPEIHGGLVHLQGLTKLESLNIKWCNCIDDADMKPLSGLTNLKCLQVSCSKVTDFGITYLKGLHKLSLLNLEGCPVTAACLDSLSGFGNLKVLNLGFTDITDACLVHLKGLTNLESLNLDSCRIGDEGLVNLTGLQHLKCLELSETEVGSNGLRHLSGLINLESINLSFTVVTDSGLRKLSGLSSLKSLNLDARQITDTGLAALTSLTGLTHLDLFGARITDSGTHYLRSFKNLRSLEICGGGLTDAGIKNIKDLSSLTLLNLSQNCNLTDKALELISGLTGLISLNVSNSRITNSGLRHLKTLKNLKSLTLESCKVTANEIRKLQLTDLPNLVSFRPE >ONI16334 pep chromosome:Prunus_persica_NCBIv2:G3:6941563:6953322:1 gene:PRUPE_3G092900 transcript:ONI16334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKRDHRDDEDDFHLGVSRRYCKSGSSKWLTTSFTRPVLDNQPGRGKGPSLMDLCIRKICEDIDKYNTFSMLPRDISQQIINELVYSGCLTDVSFEGFRDCALQDLYLGEYPGVNDCWMDVISSQGSSLLSLDLSGSDVTDNGLIFLKDCTSLQALNFNYCDHISDHGLGHISGLSSLTNLSFRRNSAITAHGMSAFANLINLIKLDLEKCPEIHGGLVHLQGLTKLESLNIKWCNCIDDADMKPLSGLTNLKCLQVSCSKVTDFGITYLKGLHKLSLLNLEGCPVTAACLDSLSALDALLYLNLSRCHHLTDEGCEKFSRFGNLKVLNLGFTDITDACLVHLKGLTNLESLNLDSCRIGDEGLVNLTGLQHLKCLELSETEVGSNGLRHLSGLINLESINLSFTVVTDSGLRKLSGLSSLKSLNLDARQITDTGLAALTSLTGLTHLDLFGARITDSGTHYLRRLTGLISLNVSNSRITNSGLRHLKTLKNLKSLTLESCKVTANEIRKLQLTDLPNLVSFRPE >ONI16333 pep chromosome:Prunus_persica_NCBIv2:G3:6940654:6953322:1 gene:PRUPE_3G092900 transcript:ONI16333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKRDHRDDEDDFHLGVSRRYCKSGSSKWLTTSFTRPVLDNQPGRGKGPSLMDLCIRKICEDIDKYNTFSMLPRDISQQIINELVYSGCLTDVSFEGFRDCALQDLYLGEYPGVNDCWMDVISSQGSSLLSLDLSGSDVTDNGLIFLKDCTSLQALNFNYCDHISDHGLGHISGLSSLTNLSFRRNSAITAHGMSAFANLINLIKLDLEKCPEIHGGLVHLQGLTKLESLNIKWCNCIDDADMKPLSGLTNLKCLQVSCSKVTDFGITYLKGLHKLSLLNLEGCPVTAACLDSLSALDALLYLNLSRCHHLTDEGCEKFSRFGNLKVLNLGFTDITDACLVHLKGLTNLESLNLDSCRIGDEGLVNLTGLQHLKCLELSETEVGSNGLRHLSGLINLESINLSFTVVTDSGLRKLSGLSSLKSLNLDARQITDTGLAALTSLTGLTHLDLFGARITDSGTHYLRRLTGLISLNVSNSRITNSGLRHLKTLKNLKSLTLESCKVTANEIRKLQLTDLPNLVSFRPE >ONI16339 pep chromosome:Prunus_persica_NCBIv2:G3:6942461:6952656:1 gene:PRUPE_3G092900 transcript:ONI16339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKRDHRDDEDDFHLGVSRRYCKSGSSKWLTTSFTRPVLDNQPGRGKGPSLMDLCIRKICEDIDKYNTFSMLPRDISQQIINELVYSGCLTDVSFEGFRDCALQDLYLGEYPGVNDCWMDVISSQGSSLLSLDLSGSDVTDNGLIFLKDCTSLQALNFNYCDHISDHGLGHISGLSSLTNLSFRRNSAITAHGMSAFANLINLIKLDLEKCPEIHGGLVHLQGLTKLESLNIKWCNCIDDADMKPLSGLTNLKCLQVSCSKVTDFGITYLKGLHKLSLLNLEGCPVTAACLDSLSALDALLYLNLSRCHHLTDEGCEKFSRFGNLKVLNLGFTDITDACLVHLKGLTNLESLNLDSCRIGDEGLVNLTGLQHLKCLELSETEVGSNGLRHLSGLINLESINLSFTVVTDSGLRKLSGLSSLKSLNLDARQITDTGLAALTSLTGLTHLDLFGARITDSGTHYLRSMLCNSG >ONI16336 pep chromosome:Prunus_persica_NCBIv2:G3:6940882:6953322:1 gene:PRUPE_3G092900 transcript:ONI16336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKRDHRDDEDDFHLGVSRRYCKSGSSKWLTTSFTRPVLDNQPGRGKGPSLMDLCIRKICEDIDKYNTFSMLPRDISQQIINELVYSGCLTDVSFEGFRDCALQDLYLGEYPGVNDCWMDVISSQGSSLLSLDLSGSDVTDNGLIFLKDCTSLQALNFNYCDHISDHGLGHISGLSSLTNLSFRRNSAITAHGMSAFANLINLIKLDLEKCPEIHGGLVHLQGLTKLESLNIKWCNCIDDADMKPLSGLTNLKCLQVSCSKVTDFGITYLKGLHKLSLLNLEGCPVTAACLDSLSGFGNLKVLNLGFTDITDACLVHLKGLTNLESLNLDSCRIGDEGLVNLTGLQHLKCLELSETEVGSNGLRHLSGLINLESINLSFTVVTDSGLRKLSGLSSLKSLNLDARQITDTGLAALTSLTGLTHLDLFGARITDSGTHYLRRLTGLISLNVSNSRITNSGLRHLKTLKNLKSLTLESCKVTANEIRKLQLTDLPNLVSFRPE >ONI16332 pep chromosome:Prunus_persica_NCBIv2:G3:6941563:6953322:1 gene:PRUPE_3G092900 transcript:ONI16332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKRDHRDDEDDFHLGVSRRYCKSGSSKWLTTSFTRPVLDNQPGRGKGPSLMDLCIRKICEDIDKYNTFSMLPRDISQQIINELVYSGCLTDVSFEGFRDCALQDLYLGEYPGVNDCWMDVISSQGSSLLSLDLSGSDVTDNGLIFLKDCTSLQALNFNYCDHISDHGLGHISGLSSLTNLSFRRNSAITAHGMSAFANLINLIKLDLEKCPEIHGGLVHLQGLTKLESLNIKWCNCIDDADMKPLSGLTNLKCLQVSCSKVTDFGITYLKGLHKLSLLNLEGCPVTAACLDSLSALDALLYLNLSRCHHLTDEGCEKFSRFGNLKVLNLGFTDITDACLVHLKGLTNLESLNLDSCRIGDEGLVNLTGLQHLKCLELSETEVGSNGLRHLSGLINLESINLSFTVVTDSGLRKLSGLSSLKSLNLDARQITDTGLAALTSLTGLTHLDLFGARITDSGTHYLRSFKNLRSLEICGGGLTDAGIKNIKDLSSLTLLNLSQNCNLTDKALELISGLTGLISLNVSNSRITNSGLRHLKTLKNLKSLTLESCKVTANEIRKLQLTDLPNLVSFRPE >ONI16340 pep chromosome:Prunus_persica_NCBIv2:G3:6940918:6951729:1 gene:PRUPE_3G092900 transcript:ONI16340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKRDHRDDEDDFHLGVSRRYCKSGSSKWLTTSFTRPVLDNQPGRGKGPSLMDLCIRKICEDIDKYNTFSMLPRDISQQIINELVYSGCLTDVSFEGFRDCALQDLYLGEYPGVNDCWMDVISSQGSSLLSLDLSGSDVTDNGLIFLKDCTSLQALNFNYCDHISDHGLGHISGLSSLTNLSFRRNSAITAHGMSAFANLINLIKLDLEKCPEIHGGLVHLQGLTKLESLNIKWCNCIDDADMKPLSGLTNLKCLQVSCSKVTDFGITYLKGLHKLSLLNLEGCPVTAACLDSLSALDALLYLNLSRCHHLTDEGCEKFSRFGNLKVLNLGFTDITDACLVHLKGLTNLESLNLDSCRIGDEGLVNLTGLQHLKCLELSETEVGSNGLRHLSGLINLESINLSFTVVTDSGLRKLSGLSSLKSLNLDARQITDTGLAALTSLTGLTHLDLFGARITDSGTHYLRSMLCNSLHPFV >ONI16338 pep chromosome:Prunus_persica_NCBIv2:G3:6940912:6953322:1 gene:PRUPE_3G092900 transcript:ONI16338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKRDHRDDEDDFHLGVSRRYCKSGSSKWLTTSFTRPVLDNQPGRGKGPSLMDLCIRKICEDIDKYNTFSMLPRDISQQIINELVYSGCLTDVSFEGFRDCALQDLYLGEYPGVNDCWMDVISSQGSSLLSLDLSGSDVTDNGLIFLKDCTSLQALNFNYCDHISDHGLGHISGLSSLTNLSFRRNSAITAHGMSAFANLINLIKLDLEKCPEIHGGLVHLQGLTKLESLNIKWCNCIDDADMKPLSGLTNLKCLQVSCSKVTDFGITYLKGLHKLSLLNLEGCPVTAACLDSLSGLTNLESLNLDSCRIGDEGLVNLTGLQHLKCLELSETEVGSNGLRHLSGLINLESINLSFTVVTDSGLRKLSGLSSLKSLNLDARQITDTGLAALTSLTGLTHLDLFGARITDSGTHYLRRLTGLISLNVSNSRITNSGLRHLKTLKNLKSLTLESCKVTANEIRKLQLTDLPNLVSFRPE >ONI16337 pep chromosome:Prunus_persica_NCBIv2:G3:6940912:6953322:1 gene:PRUPE_3G092900 transcript:ONI16337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKRDHRDDEDDFHLGVSRRYCKSGSSKWLTTSFTRPVLDNQPGRGKGPSLMDLCIRKICEDIDKYNTFSMLPRDISQQIINELVYSGCLTDVSFEGFRDCALQDLYLGEYPGVNDCWMDVISSQGSSLLSLDLSGSDVTDNGLIFLKDCTSLQALNFNYCDHISDHGLGHISGLSSLTNLSFRRNSAITAHGMSAFANLINLIKLDLEKCPEIHGGLVHLQGLTKLESLNIKWCNCIDDADMKPLSGLTNLKCLQVSCSKVTDFGITYLKGLHKLSLLNLEGCPVTAACLDSLSGLTNLESLNLDSCRIGDEGLVNLTGLQHLKCLELSETEVGSNGLRHLSGLINLESINLSFTVVTDSGLRKLSGLSSLKSLNLDARQITDTGLAALTSLTGLTHLDLFGARITDSGTHYLRSFKNLRSLEICGGGLTDAGIKNIKDLSSLTLLNLSQNCNLTDKALELISGLTGLISLNVSNSRITNSGLRHLKTLKNLKSLTLESCKVTANEIRKLQLTDLPNLVSFRPE >ONI16331 pep chromosome:Prunus_persica_NCBIv2:G3:6940644:6953389:1 gene:PRUPE_3G092900 transcript:ONI16331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGACSRKRDHRDDEDDFHLGVSRRYCKSGSSKWLTTSFTRPVLDNQPGRGKGPSLMDLCIRKICEDIDKYNTFSMLPRDISQQIINELVYSGCLTDVSFEGFRDCALQDLYLGEYPGVNDCWMDVISSQGSSLLSLDLSGSDVTDNGLIFLKDCTSLQALNFNYCDHISDHGLGHISGLSSLTNLSFRRNSAITAHGMSAFANLINLIKLDLEKCPEIHGGLVHLQGLTKLESLNIKWCNCIDDADMKPLSGLTNLKCLQVSCSKVTDFGITYLKGLHKLSLLNLEGCPVTAACLDSLSALDALLYLNLSRCHHLTDEGCEKFSRFGNLKVLNLGFTDITDACLVHLKGLTNLESLNLDSCRIGDEGLVNLTGLQHLKCLELSETEVGSNGLRHLSGLINLESINLSFTVVTDSGLRKLSGLSSLKSLNLDARQITDTGLAALTSLTGLTHLDLFGARITDSGTHYLRSFKNLRSLEICGGGLTDAGIKNIKDLSSLTLLNLSQNCNLTDKALELISGLTGLISLNVSNSRITNSGLRHLKTLKNLKSLTLESCKVTANEIRKLQLTDLPNLVSFRPE >ONI18671 pep chromosome:Prunus_persica_NCBIv2:G3:22878635:22884163:1 gene:PRUPE_3G231900 transcript:ONI18671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFENDEAPMLATKSLDEHADSKFQKFESISLNVLNSIPLNSKETETNLVDHTSCLQSNIKSPFMHACVPFQQFRMCNDPYCTICSTCQSLKSAKQDNPKASRLFDPKIQIAFYGDAKGWFIRSIYFINQYIPGVMNPHTKAIRQWNKFFVIACLVAIFLDPLFFFVLYAEQDNKCLRIKWYLTFIMVFFRTITDFIHFLNMLLQFRLAYVAPESRVVGAGEIVDQPKRIALNYLHGKFLVDLFIVLPLPQIIIFVVLPQYIQSSGANDAKNLLRMAVLFQYIPRLYRFLPLLAGQSPYGFVFESAWTNFIINLLTFMLAGHIVGSCWYLFGLQRVNQCLRNACHASEIPHDLCMRFIDCGFGRIFTSEPAWDNWKNNGRANACFDEDGFSYGIYTKAVKLTTADTVTSYVYSLFWGFQQISTLAGNQVPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQSLGRRRFEMLLRRRDVERWMSYRHLPEELRRQVRQAERYNWSATRGVNEEMLLENLPEDLQRDIRRHLFKFVKKVRIFALLEDPVLDAICEKLKQKTYIKGSKIFYPGGLVEKMVFIVRGRVESIGEDGIVVSLCEGDVCGEELLTWCLEHPSVNNVRIPGRKLLSNRMVRCLSNVEAFSLRAADLEEVTSRFARFLRNARVQGAIRYESPYWRGLAAKRIQVAWRYRKKRLSRASIPCSSRLHDQSLDETHRFSCKTF >ONI18672 pep chromosome:Prunus_persica_NCBIv2:G3:22878635:22884163:1 gene:PRUPE_3G231900 transcript:ONI18672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFENDEAPMLATKSLDEHADSKFQKFESISLNVLNSIPLNSKETETNLVDHTSCLQSNIKSPFMHACVPFQQFRMCNDPYCTICSTCQSLKSAKQDNPKASRLFDPKIQIAFYGDAKGWFIRSIYFINQYIPGVMNPHTKAIRQWNKFFVIACLVAIFLDPLFFFVLYAEQFRLAYVAPESRVVGAGEIVDQPKRIALNYLHGKFLVDLFIVLPLPQIIIFVVLPQYIQSSGANDAKNLLRMAVLFQYIPRLYRFLPLLAGQSPYGFVFESAWTNFIINLLTFMLAGHIVGSCWYLFGLQRVNQCLRNACHASEIPHDLCMRFIDCGFGRIFTSEPAWDNWKNNGRANACFDEDGFSYGIYTKAVKLTTADTVTSYVYSLFWGFQQISTLAGNQVPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQSLGRRRFEMLLRRRDVERWMSYRHLPEELRRQVRQAERYNWSATRGVNEEMLLENLPEDLQRDIRRHLFKFVKKVRIFALLEDPVLDAICEKLKQKTYIKGSKIFYPGGLVEKMVFIVRGRVESIGEDGIVVSLCEGDVCGEELLTWCLEHPSVNNVRIPGRKLLSNRMVRCLSNVEAFSLRAADLEEVTSRFARFLRNARVQGAIRYESPYWRGLAAKRIQVAWRYRKKRLSRASIPCSSRLHDQSLDETHRFSCKTF >ONI18673 pep chromosome:Prunus_persica_NCBIv2:G3:22878538:22884163:1 gene:PRUPE_3G231900 transcript:ONI18673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPHTKAIRQWNKFFVIACLVAIFLDPLFFFVLYAEQDNKCLRIKWYLTFIMVFFRTITDFIHFLNMLLQFRLAYVAPESRVVGAGEIVDQPKRIALNYLHGKFLVDLFIVLPLPQIIIFVVLPQYIQSSGANDAKNLLRMAVLFQYIPRLYRFLPLLAGQSPYGFVFESAWTNFIINLLTFMLAGHIVGSCWYLFGLQRVNQCLRNACHASEIPHDLCMRFIDCGFGRIFTSEPAWDNWKNNGRANACFDEDGFSYGIYTKAVKLTTADTVTSYVYSLFWGFQQISTLAGNQVPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQSLGRRRFEMLLRRRDVERWMSYRHLPEELRRQVRQAERYNWSATRGVNEEMLLENLPEDLQRDIRRHLFKFVKKVRIFALLEDPVLDAICEKLKQKTYIKGSKIFYPGGLVEKMVFIVRGRVESIGEDGIVVSLCEGDVCGEELLTWCLEHPSVNNVRIPGRKLLSNRMVRCLSNVEAFSLRAADLEEVTSRFARFLRNARVQGAIRYESPYWRGLAAKRIQVAWRYRKKRLSRASIPCSSRLHDQSLDETHRFSCKTF >ONI18669 pep chromosome:Prunus_persica_NCBIv2:G3:22878635:22884163:1 gene:PRUPE_3G231900 transcript:ONI18669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFENDEAPMLATKSLDEHADSKFQKFESISLNVLNSIPLNSKETETNLVDHTSCLQSNIKSPFMHACVPFQQFRMCNDPYCTICSTCQSLKSAKQDNPKASRLFDPKIQIAFYGDAKGWFIRSIYFINQYIPGVMNPHTKAIRQWNKFFVIACLVAIFLDPLFFFVLYAEQDNKCLRIKWYLTFIMVFFRTITDFIHFLNMLLQFRLAYVAPESRVVGAGEIVDQPKRIALNYLHGKFLVDLFIVLPLPQIIIFVVLPQYIQSSGANDAKNLLRMAVLFQYIPRLYRFLPLLAGQSPYGFVFESAWTNFIINLLTFMLAGHIVGSCWYLFGLQRVNQCLRNACHASEIPHDLCMRFIDCGFGRIFTSEPAWDNWKNNGRANACFDEDGFSYGIYTKAVKLTTADTVTSYVYSLFWGFQQISTLAGNQVPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQSLGRRRFEMLLRRRDVERWMSYRHLPEELRRQVRQAERYNWSATRGVNEEMLLENLPEDLQRDIRRHLFKFVKKVRIFALLEDPVLDAICEKLKQKTYIKGSKIFYPGGLVEKMVFIVRGRVESIGEDGIVVSLCEGDVCGEELLTWCLEHPSVNNVRIPGRKLLSNRMVRCLSNVEAFSLRAADLEEVTSRFARFLRNARVQGAIRYESPYWRGLAAKRIQVAWRYRKKRLSRASIPCSSRLHDQSLDETHRFSCKTF >ONI18670 pep chromosome:Prunus_persica_NCBIv2:G3:22878538:22884163:1 gene:PRUPE_3G231900 transcript:ONI18670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFENDEAPMLATKSLDEHADSKFQKFESISLNVLNSIPLNSKETETNLVDHTSCLQSNIKSPFMHACVPFQQFRMCNDPYCTICSTCQSLKSAKQDNPKASRLFDPKIQIAFYGDAKGWFIRSIYFINQYIPGVMNPHTKAIRQWNKFFVIACLVAIFLDPLFFFVLYAEQDNKCLRIKWYLTFIMVFFRTITDFIHFLNMLLQFRLAYVAPESRVVGAGEIVDQPKRIALNYLHGKFLVDLFIVLPLPQIIIFVVLPQYIQSSGANDAKNLLRMAVLFQYIPRLYRFLPLLAGQSPYGFVFESAWTNFIINLLTFMLAGHIVGSCWYLFGLQRVNQCLRNACHASEIPHDLCMRFIDCGFGRIFTSEPAWDNWKNNGRANACFDEDGFSYGIYTKAVKLTTADTVTSYVYSLFWGFQQISTLAGNQVPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQSLGRRRFEMLLRRRDVERWMSYRHLPEELRRQVRQAERYNWSATRGVNEEMLLENLPEDLQRDIRRHLFKFVKKVRIFALLEDPVLDAICEKLKQKTYIKGSKIFYPGGLVEKMVFIVRGRVESIGEDGIVVSLCEGDVCGEELLTWCLEHPSVNNVRIPGRKLLSNRMVRCLSNVEAFSLRAADLEEVTSRFARFLRNARVQGAIRYESPYWRGLAAKRIQVAWRYRKKRLSRASIPCSSRLHDQSLDETHRFSCKTF >ONI18674 pep chromosome:Prunus_persica_NCBIv2:G3:22880224:22883873:1 gene:PRUPE_3G231900 transcript:ONI18674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNKCLRIKWYLTFIMVFFRTITDFIHFLNMLLQFRLAYVAPESRVVGAGEIVDQPKRIALNYLHGKFLVDLFIVLPLPQIIIFVVLPQYIQSSGANDAKNLLRMAVLFQYIPRLYRFLPLLAGQSPYGFVFESAWTNFIINLLTFMLAGHIVGSCWYLFGLQRVNQCLRNACHASEIPHDLCMRFIDCGFGRIFTSEPAWDNWKNNGRANACFDEDGFSYGIYTKAVKLTTADTVTSYVYSLFWGFQQISTLAGNQVPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQSLGRRRFEMLLRRRDVERWMSYRHLPEELRRQVRQAERYNWSATRGVNEEMLLENLPEDLQRDIRRHLFKFVKKVRIFALLEDPVLDAICEKLKQKTYIKGSKIFYPGGLVEKMVFIVRGRVESIGEDGIVVSLCEGDVCGEELLTWCLEHPSVNNVRIPGRKLLSNRMVRCLSNVEAFSLRAADLEEVTSRFARFLRNARVQGAIRYESPYWRGLAAKRIQVAWRYRKKRLSRASIPCSSRLHDQSLDETHRFSCKTF >ONI17399 pep chromosome:Prunus_persica_NCBIv2:G3:17527276:17530834:-1 gene:PRUPE_3G156800 transcript:ONI17399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVANKGYRAIAFDHRGYGLSEQPAEPEKATLLDLVDDAVALLDSLGIDKAFIVGKDFGALSAYRVGVLHPERVCAIITLGTPFIQPGPSVVQNHLLPEGFYISRWQEPGRAELDFGRFDVKTVIRNIYILFSRSEIPIAAADQEIMDLIDPATPLPPWFSEEDLSVYASLYEKSGFCFALQVPYRKLKVDRSLIDPKVSAPSLLIVGEKDYSLKMPGVNNYIRMGAMKHLVPDLDVKFIEEGTHFMHEQFPEQVNQLIIAFLGKHGA >ONI17398 pep chromosome:Prunus_persica_NCBIv2:G3:17527276:17530835:-1 gene:PRUPE_3G156800 transcript:ONI17398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQHTNVQVRELKLHVAEIGSGPKVVLFFHGFPEIWYTWRHQMVAVANKGYRAIAFDHRGYGLSEQPAEPEKATLLDLVDDAVALLDSLGIDKAFIVGKDFGALSAYRVGVLHPERVCAIITLGTPFIQPGPSVVQNHLLPEGFYISRWQEPGRAELDFGRFDVKTVIRNIYILFSRSEIPIAAADQEIMDLIDPATPLPPWFSEEDLSVYASLYEKSGFCFALQVPYRKLKVDRSLIDPKVSAPSLLIVGEKDYSLKMPGVNNYIRMGAMKHLVPDLDVKFIEEGTHFMHEQFPEQVNQLIIAFLGKHGA >ONI17400 pep chromosome:Prunus_persica_NCBIv2:G3:17527889:17530721:-1 gene:PRUPE_3G156800 transcript:ONI17400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQHTNVQVRELKLHVAEIGSGPKVVLFFHGFPEIWYTWRHQMVAVANKGYRAIAFDHRGYGLSEQPAEPEKATLLDLVDDAVALLDSLGIDKAFIVGKDFGALSAYRVGVLHPERVCAIITLGTPFIQPGPSVVQNHLLPEGFYISRWQEPGRAELDFGRFDVKTVIRNIYILFSRSEIPIAAADQEIMDLIDPATPLPPWFSEEDLSVYASLYEKSGFCFALQVPYRLFLNGAEN >ONI17401 pep chromosome:Prunus_persica_NCBIv2:G3:17528000:17530721:-1 gene:PRUPE_3G156800 transcript:ONI17401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIQHTNVQVRELKLHVAEIGSGPKVVLFFHGFPEIWYTWRHQMVAVANKGYRAIAFDHRGYGLSEQPAEPEKATLLDLVDDAVALLDSLGIDKAFIVGKDFGALSAYRVGVLHPERVCAIITLGTPFIQPGPSVVQNHLLPEGFYISRWQEPGRAELDFGRFDVKTVIRNIYILFSRSEIPIAAADQEIMDLIDPATPLPPWFSEEDLSVYASLYEKSGFCFALQVPYR >ONI19724 pep chromosome:Prunus_persica_NCBIv2:G3:26253427:26256381:-1 gene:PRUPE_3G294100 transcript:ONI19724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPIRIGNIRNPNEKMRLVVTVFVGIVLGFFLGVSFPTLYLTKMNLPSSLFPSIDLSYIEDKYSGFSTQALLNAWSSLKGNTDNSARYVSNEEAKIWVPTNPRGAESLPPGIIASESDFYLRRLWGLPSEDLIIKPKYLVTFTVGYAQKNNVDAAVKKFSKNFTILLFHYDGRTSEWDEFEWSKRAIHVSIQKQTKWWYAKRFLHPDIVAPYDYIFVWDEDLGVEHFNAEEYIKLVRKYGLEISQPGLEPNNGLTWQMTKRRGDSEVHMLTEEKPGWCNDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQPAHKKIGVVDAQWIVHQGVPSLGNQGQAERGRAPWEGVRERCRREWTMFQARMTRAEKSYFEAMGVDPPNSTAH >ONI19725 pep chromosome:Prunus_persica_NCBIv2:G3:26253124:26256998:-1 gene:PRUPE_3G294100 transcript:ONI19725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPIRIGNIRNPNEKMRLVVTVFVGIVLGFFLGVSFPTLYLTKMNLPSSLFPSIDLSYIEDKYSGFSTQALLNAWSSLKGNTDNSARYVSNEEAKIWVPTNPRGAESLPPGIIASESDFYLRRLWGLPSEDLIIKPKYLVTFTVGYAQKNNVDAAVKKFSKNFTILLFHYDGRTSEWDEFEWSKRAIHVSIQKQTKWWYAKRFLHPDIVAPYDYIFVWDEDLGVEHFNAEEYIKLVRKYGLEISQPGLEPNNGLTWQMTKRRGDSEVHMLTEEKPGWCNDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQPAHKKIGVVDAQWIVHQGVPSLGNQGQAERGRAPWEGVRERCRREWTMFQARMTRAEKSYFEAMGVDPPNSTAH >ONI19726 pep chromosome:Prunus_persica_NCBIv2:G3:26253125:26256998:-1 gene:PRUPE_3G294100 transcript:ONI19726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPIRIGNIRNPNEKMRLVVTVFVGIVLGFFLGVSFPTLYLTKMNLPSSLFPSIDLSYIEDKYSGFSTQALLNAWSSLKGNTDNSARYVSNEEAKIWVPTNPRGAESLPPGIIASESDFYLRRLWGLPSEDLIIKPKYLVTFTVGYAQKNNVDAAVKKFSKNFTILLFHYDGRTSEWDEFEWSKRAIHVSIQKQTKWWYAKRFLHPDIVAPYDYIFVWDEDLGVEHFNAEEYIKLVRKYGLEISQPGLEPNNGLTWQMTKRRGDSEVHMLTEEKPGWCNDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRQCVEPAHKKIGVVDAQWIVHQGVPSLGNQGQAERGRAPWEGVRERCRREWTMFQARMTRAEKSYFEAMGVDPPNSTAH >ONI19727 pep chromosome:Prunus_persica_NCBIv2:G3:26253102:26256998:-1 gene:PRUPE_3G294100 transcript:ONI19727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPIRIGNIRNPNEKMRLVVTVFVGIVLGFFLGVSFPTLYLTKMNLPSSLFPSIDLSYIEDKYSGFSTQALLNAWSSLKGNTDNSARYVSNEEAKIWVPTNPRGAESLPPGIIASESDFYLRRLWGLPSEDLIIKPKYLVTFTVGYAQKNNVDAAVKKFSKNFTILLFHYDGRTSEWDEFEWSKRAIHVSIQKQTKWWYAKRFLHPDIVAPYDYIFVWDEDLGVEHFNAEEYIKLVRKYGLEISQPGLEPNNGLTWQMTKRRGDSEVHMLTEEKPGWCNDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRQCVEPAHKKIGVVDAQWIVHQGVPSLGNQGQAERGRAPWEGVRERCRREWTMFQARMTRAEKSYFEAMGVDPPNSTAH >ONI15849 pep chromosome:Prunus_persica_NCBIv2:G3:4681078:4687146:-1 gene:PRUPE_3G065200 transcript:ONI15849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTSPFKSLNQQLKSLCFYSPALRLKASCYFRRTNHFLCLSESRLFSSLQSGSFMEAVKVTNQGSATRDDVAIRYDQKSYSYNQLISSASSISSLLSSRHLNATSEACKHESSSAAIDCGRGQGHLGGARIGIVAKPSAEFVAGILGTWLSGGVAVPLALSYPEAELLHVMNDSDVSMILSTEDHQQLMENVAAKCAAQVSLIPPVPSSSSEKSADGNLQAGEIDADRIFHRNKKQSSDDPALIIYTSGTTGKPKGVVHTHKSISAQVQTLAEAWEYTYADQFLNCLPLHHVHGLFNAVLAPLYAGSTVEFMPKFSVRGIWQRWRESYPINGTKAADGITVFTGVPTMYTRLIQAHEAMDPELKAASACAARQLRLMMCGSSALPQPVMHKWESITGHRLLERYGMTEFVMAISNPLRGERKAGTVGKPFPGVEVRIVAEDDSGSDSIGVGELCIRSPSVFKEYWKLPEVTKESFTSDGFFRTGDAGTVDEDGYYIILGRTSADIMKVGGYKLSALEIESVLLQHPAVEECCVLGLPDENYGEIVCAIIVPEVEAKRKQKEELRPAISLEDLSAWAKDKLAPYKIPTRLLLWDSIPRNAMGKAKLKKTLASDS >ONI15848 pep chromosome:Prunus_persica_NCBIv2:G3:4680699:4687556:-1 gene:PRUPE_3G065200 transcript:ONI15848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTSPFKSLNQQLKSLCFYSPALRLKASCYFRRTNHFLCLSESRLFSSLQSGSFMEAVKVTNQGSATRDDVAIRYDQKSYSYNQLISSASSISSLLSSRHLNATSEACKHESSSAAIDCGRGQGHLGGARIGIVAKPSAEFVAGILGTWLSGGVAVPLALSYPEAELLHVMNDSDVSMILSTEDHQQLMENVAAKCAAQVSLIPPVPSSSSEKSADGNLQAGEIDADRIFHRNKKQSSDDPALIIYTSGTTGKPKGVVHTHKSISAQVQTLAEAWEYTYADQFLNCLPLHHVHGLFNAVLAPLYAGSTVEFMPKFSVRGIWQRWRESYPINGTKAADGITVFTGVPTMYTRLIQAHEAMDPELKAASACAARQLRLMMCGSSALPQPVMHKWESITGHRLLERYGMTEFVMAISNPLRGERKAGTVGKPFPGVEVRIVAEDDSGSDSIGVGELCIRSPSVFKEYWKLPEVTKESFTSDGFFRTGDAGTVDEDGYYIILGRTSADIMKVGGYKLSALEIESVLLQHPAVEECCVLGLPDENYGEIVCAIIVPEVEAKRKQKEELRPAISLEDLSAWAKDKLAPYKIPTRLLLWDSIPRNAMGKVNKKELKKTLASDS >ONI19304 pep chromosome:Prunus_persica_NCBIv2:G3:25171522:25174350:1 gene:PRUPE_3G270500 transcript:ONI19304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKFNYNHLALSIFTFCLLTTTTASAGSHEKATQNWLNHGGDLYNRRYASKETKISPETVSKLSFKWEFYTGREVSATPAIYDDTLYFPSWNGYIYAIKASDGSVVWEKNLETLTGINATGYLLNVNTTVSRSTPTIAGDLLLIGICGPAVVIALERSTGKLIWSTLLDGHAASVVTMSGTYYKGGFYIGTSSVEESAPIATCCTFRGSFAKLDVKSGTILWQTFVLPDNHQKRGLYSGAAIWGSSPSIDVHRRHVYIGTGNLYSVPENVTQCQENQTNNSTIPTHPDACVEPENHGNSILALDLDGGDIKWYHQLGGYDVWFVACYLNASNCPIGPNVDADFGEAPMMLRTYVNGTRRDIVVAVQKSGFAWALDRNNGSLVWSTEAGPGGIGGGGTWGAATDKKRVYTNIANSDRKNFTLKPSSNITTAGGWVAMDARSGKVLWSTVNPSNASSPGPVSVANGVLFAGSPDPQGSLYAMNTRTGKILWSYETGASVYGGMSISNGCIYVGNGYNVSFGVVLGFTPGTSFYAFCIT >ONI14869 pep chromosome:Prunus_persica_NCBIv2:G3:1000059:1002815:-1 gene:PRUPE_3G013900 transcript:ONI14869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLSLFVESRSASFGCDLGALATARRVTGQKMIGGGRRTMLEPIPGEPNLPSPLLVPGTFEGFEFSHTFFGGSDDRCHRSSFLVARL >ONI14867 pep chromosome:Prunus_persica_NCBIv2:G3:1000060:1002824:-1 gene:PRUPE_3G013900 transcript:ONI14867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSRLSLFVESRSASFGCDLGALATARRVTGQKMIGGGRRTMLEPIPGEPNLPSPLLVPGTFEGFEFSHTFFGGSDDRCHRSRGISFCLCNCFW >ONI14868 pep chromosome:Prunus_persica_NCBIv2:G3:1002440:1002824:-1 gene:PRUPE_3G013900 transcript:ONI14868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVSRLSLFVESRSASFGCDLGALATARRVTGQKMIGGGRRTMLEPIPGEPNLPSPLLVPGTFEGFEFSHTFFGGSDDRCHRSSFLVARL >ONI18321 pep chromosome:Prunus_persica_NCBIv2:G3:21498248:21506995:-1 gene:PRUPE_3G209300 transcript:ONI18321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVAGPGNACGDPHSSPPPPTIPPNLKPELVAPPKYSIMSRCGTGTTGQTIPLLANHFKVSVNVPDAVFYQYFVRITSEDKIAVEGKGIGRKLIDRLYQTYSSELGGKKFAYDGDKALYILGPLPQRRLEFSVVLEETFANENGNPLADKRSRRSFRSKTFNVEISYAAEIPLKSIALALRGVDVDNTQDALKVLDIILRQQAANRGCLLVRQSFFHDDSRNFVDVGAGVKGVRGFHSSFRPTQDGLSLNMDVSTTMILTPGPVIDFLITNQDVREARYIDWVKAKKMLKNMRINARHRNMEFKVIGLSEKPCNQQYFPMKLKSGNGTSEGQTVEITVYEYFTKHCGVELTSSQYMPCLDVGKPKRPNYLPLELCSLVSLQRYTKALSSTQRASLVEKSRQKPHERIRTVTDAVKKYQYDDDPMLATCGISIEKQLTQVNGRVLETPKLKVGNSDDCIPHKGRWNFNNKTLFNPTRIDRWLVVNFSARCDTSHISRELINCGRKKGIFIERPFTLLEEDPQCRRQSPVARVEKMFEQILAKLPGEPQFILCVLPERKNCDIYGPWKKKSLSEFGIVTQCISPSKINDQYLTNVLLKINSKLGGINSLLAIEHSSCVPLVNDTPTMILGMDVSHGSPGRSDIPSVAAVVGSRSWPLISRYRAAVRTQSPKLEMIDALYKPLENGTDAGIIRELLVDFYKTSNGRKPTQIIVFRDGVSESQFNQVLNIELDQIIKAYQHLGEVDVPKFTVIVAQKNHHTKLFQTGGSTDNVPPGTVVDTNIVHPRNYDFYMCAHAGMIGTSRPAHYHVLVDEIGFSPDGLQNLIHSLSYVYQRSTSAISIVAPICYAHLAAAQVGQFMKFEDLSETSSGNGSVTSAESIPFPELPKLHKNVQGSMFFC >ONI18322 pep chromosome:Prunus_persica_NCBIv2:G3:21498268:21506995:-1 gene:PRUPE_3G209300 transcript:ONI18322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVAGPGNACGDPHSSPPPPTIPPNLKPELVAPPKYSIMSRCGTGTTGQTIPLLANHFKVSVNVPDAVFYQYFVRITSEDKIAVEGKGIGRKLIDRLYQTYSSELGGKKFAYDGDKALYILGPLPQRRLEFSVVLEETFAKHENGNPLADKRSRRSFRSKTFNVEISYAAEIPLKSIALALRGVDVDNTQDALKVLDIILRQQAANRGCLLVRQSFFHDDSRNFVDVGAGVKGVRGFHSSFRPTQDGLSLNMDVSTTMILTPGPVIDFLITNQDVREARYIDWVKAKKMLKNMRINARHRNMEFKVIGLSEKPCNQQYFPMKLKSGNGTSEGQTVEITVYEYFTKHCGVELTSSQYMPCLDVGKPKRPNYLPLELCSLVSLQRYTKALSSTQRASLVEKSRQKPHERIRTVTDAVKKYQYDDDPMLATCGISIEKQLTQVNGRVLETPKLKVGNSDDCIPHKGRWNFNNKTLFNPTRIDRWLVVNFSARCDTSHISRELINCGRKKGIFIERPFTLLEEDPQCRRQSPVARVEKMFEQILAKLPGEPQFILCVLPERKNCDIYGPWKKKSLSEFGIVTQCISPSKINDQYLTNVLLKINSKLGGINSLLAIEHSSCVPLVNDTPTMILGMDVSHGSPGRSDIPSVAAVVGSRSWPLISRYRAAVRTQSPKLEMIDALYKPLENGTDAGIIRELLVDFYKTSNGRKPTQIIVFRDGVSESQFNQVLNIELDQIIKAYQHLGEVDVPKFTVIVAQKNHHTKLFQTGGSTDNVPPGTVVDTNIVHPRNYDFYMCAHAGMIGTSRPAHYHVLVDEIGFSPDGLQNLIHSLSYVYQRSTSAISIVAPICYAHLAAAQVGQFMKFEDLSETSSGNGSVTSAESIPFPELPKLHKNVQGSMFFC >ONI18323 pep chromosome:Prunus_persica_NCBIv2:G3:21498248:21506995:-1 gene:PRUPE_3G209300 transcript:ONI18323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVAGPGNACGDPHSSPPPPTIPPNLKPELVAPPKYSIMSRCGTGTTGQTIPLLANHFKVSVNVPDAVFYQYFVRITSEDKIAVEGKGIGRKLIDRLYQTYSSELGGKKFAYDGDKALYILGPLPQRRLEFSVVLEETFAKHENGNPLADKRSRRSFRSKTFNVEISYAAEIPLKSIALALRGVDVDNTQDALKVLDIILRQQAANRGCLLVRQSFFHDDSRNFVDVGAGVKGVRGFHSSFRPTQDGLSLNMDVSTTMILTPGPVIDFLITNQDVREARYIDWVKAKKMLKNMRINARHRNMEFKVIGLSEKPCNQQYFPMKLKSGNGTSEGQTVEITVYEYFTKHCGVELTSSQYMPCLDVGKPKRPNYLPLELCSLVSLQRYTKALSSTQRASLVEKSRQKPHERIRTVTDAVKKYQYDDDPMLATCGISIEKQLTQVNGRVLETPKLKVGNSDDCIPHKGRWNFNNKTLFNPTRIDRWLVVNFSARCDTSHISRELINCGRKKGIFIERPFTLLEEDPQCRRQSPVARVEKMFEQILAKLPGEPQFILCVLPERKNCDIYGPWKKKSLSEFGIVTQCISPSKINDQYLTNVLLKINSKLGGINSLLAIEHSSCVPLVNDTPTMILGMDVSHGSPGRSDIPSVAAVVGSRSWPLISRYRAAVRTQSPKLEMIDALYKPLENGTDAGIIRELLVDFYKTSNGRKPTQIIVFRDGVSESQFNQVLNIELDQIIKAYQHLGEVDVPKFTVIVAQKNHHTKLFQTGGSTDNVPPGTVVDTNIVHPRNYDFYMCAHAGMIGTSRPAHYHVLVDEIGFSPDGLQNLIHSLSYVYQRSTSAISIVAPICYAHLAAAQVGQFMKFEDLSETSSGNGSVTSAESIPFPELPKLHKNVQGSMFFC >ONI18324 pep chromosome:Prunus_persica_NCBIv2:G3:21499357:21505289:-1 gene:PRUPE_3G209300 transcript:ONI18324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVAGPGNACGDPHSSPPPPTIPPNLKPELVAPPKYSIMSRCGTGTTGQTIPLLANHFKVSVNVPDAVFYQYFVRITSEDKIAVEGKGIGRKLIDRLYQTYSSELGGKKFAYDGDKALYILGPLPQRRLEFSVVLEETFAKHENGNPLADKRSRRSFRSKTFNVEISYAAEIPLKSIALALRGVDVDNTQDALKVLDIILRQQAANRGCLLVRQSFFHDDSRNFVDVGAGVKGVRGFHSSFRPTQDGLSLNMDVSTTMILTPGPVIDFLITNQDVREARYIDWVKAKKMLKNMRINARHRNMEFKVIGLSEKPCNQQYFPMKLKSGNGTSEGQTVEITVYEYFTKHCGVELTSSQYMPCLDVGKPKRPNYLPLELCSLVSLQRYTKALSSTQRASLVEKSRQKPHERIRTVTDAVKKYQYDDDPMLATCGISIEKQLTQVNGRVLETPKLKVGNSDDCIPHKGRWNFNNKTLFNPTRIDRWLVVNFSARCDTSHISRELINCGRKKGIFIERPFTLLEEDPQCRRQSPVARVEKMFEQILAKLPGEPQFILCVLPERKNCDIYGPWKKKSLSEFGIVTQCISPSKINDQYLTNVLLKINSKLGGINSLLAIEHSSCVPLVNDTPTMILGMDVSHGSPGRSDIPSVAAVVGSRSWPLISRYRAAVRTQSPKLEMIDALYKPLENGTDAGIIRELLVDFYKTSNGRKPTQIIVFRDGVSESQFNQVLNIELDQIIKAYQHLGEVDVPKFTVIVAQKNHHTKLFQTGGSTDNVPPGTVVDTNIVHPRNYDFYMCAHAGMIGTSRPAHYHVLVDEIGFSPDGLQNLIHSLSYVYQRSTSAISIVAPICYAHLAAAQVGQFMKFEDLSETSSGNGSVTSAESIPFPELPKLHKNVQGSMFFC >ONI18325 pep chromosome:Prunus_persica_NCBIv2:G3:21499357:21505289:-1 gene:PRUPE_3G209300 transcript:ONI18325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVAGPGNACGDPHSSPPPPTIPPNLKPELVAPPKYSIMSRCGTGTTGQTIPLLANHFKVSVNVPDAVFYQYFVRITSEDKIAVEGKGIGRKLIDRLYQTYSSELGGKKFAYDGDKALYILGPLPQRRLEFSVVLEETFAKHENGNPLADKRSRRSFRSKTFNVEISYAAEIPLKSIALALRGVDVDNTQDALKVLDIILRQQAANRGCLLVRQSFFHDDSRNFVDVGAGVKGVRGFHSSFRPTQDGLSLNMDVSTTMILTPGPVIDFLITNQDVREARYIDWVKAKKMLKNMRINARHRNMEFKVIGLSEKPCNQQYFPMKLKSGNGTSEGQTVEITVYEYFTKHCGVELTSSQYMPCLDVGKPKRPNYLPLELCSLVSLQRYTKALSSTQRASLVEKSRQKPHERIRTVTDAVKKYQYDDDPMLATCGISIEKQLTQVNGRVLETPKLKVGNSDDCIPHKGRWNFNNKTLFNPTRIDRWLVVNFSARCDTSHISRELINCGRKKGIFIERPFTLLEEDPQCRRQSPVARVEKMFEQILAKLPGEPQFILCVLPERKNCDIYGPWKKKSLSEFGIVTQCISPSKINDQYLTNVLLKINSKLGGINSLLAIEHSSCVPLVNDTPTMILGMDVSHGSPGRSDIPSVAAVVGSRSWPLISRYRAAVRTQSPKLEMIDALYKPLENGTDAGIIRELLVDFYKTSNGRKPTQIIVFRDGVSESQFNQVLNIELDQIIKAYQHLGEVDVPKFTVIVAQKNHHTKLFQTGGSTDNVPPGTVVDTNIVHPRNYDFYMCAHAGMIGTSRPAHYHVLVDEIGFSPDGLQNLIHSLSYVYQRSTSAISIVAPICYAHLAAAQVGQFMKFEDLSETSSGNGSVTSAESIPFPELPKLHKNVQGSMFFC >ONI18326 pep chromosome:Prunus_persica_NCBIv2:G3:21501112:21506995:-1 gene:PRUPE_3G209300 transcript:ONI18326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVAGPGNACGDPHSSPPPPTIPPNLKPELVAPPKYSIMSRCGTGTTGQTIPLLANHFKVSVNVPDAVFYQYFVRITSEDKIAVEGKGIGRKLIDRLYQTYSSELGGKKFAYDGDKALYILGPLPQRRLEFSVVLEETFAKHENGNPLADKRSRRSFRSKTFNVEISYAAEIPLKSIALALRGVDVDNTQDALKVLDIILRQQAANRGCLLVRQSFFHDDSRNFVDVGAGVKGVRGFHSSFRPTQDGLSLNMDVSTTMILTPGPVIDFLITNQDVREARYIDWVKAKKMLKNMRINARHRNMEFKVIGLSEKPCNQQYFPMKLKSGNGTSEGQTVEITVYEYFTKHCGVELTSSQYMPCLDVGKPKRPNYLPLELCSLVSLQRYTKALSSTQRASLVEKSRQKPHERIRTVTDAVKKYQYDDDPMLATCGISIEKQLTQVNGRVLETPKLKVGNSDDCIPHKGRWNFNNKTLFNPTRIDRWLVVNFSARCDTSHISRELINCGRKKGIFIERPFTLLEEDPQCRRQSPVARVEKMFEQILAKLPGEPQFILCVLPERKNCDIYGPWKKKSLSEFGIVTQCISPSKINDQYLTNVLLKINSKLGGINSLLAIEHSSCVPLVNDTPTMILGMDVSHGSPGRSDIPSVAAVVGSRSWPLISRYRAAVRTQSPKLEMIDALYKPLENGTDAGIIRELLVDFYKTSNGRKPTQIIVFSDFLQNETGIQSQTHSMFRNILWALQI >ONI16949 pep chromosome:Prunus_persica_NCBIv2:G3:13654290:13658726:-1 gene:PRUPE_3G131800 transcript:ONI16949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWLPWTIYSCVFSGILIRNSAFGINSPVT >ONI16941 pep chromosome:Prunus_persica_NCBIv2:G3:13654342:13658713:-1 gene:PRUPE_3G131800 transcript:ONI16941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHLELGFYKYSRRAWNFLFFYIRNRNCTSKLDCGLMLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWLPWTIYSCVFSGILIRNSAFGINSPVT >ONI16956 pep chromosome:Prunus_persica_NCBIv2:G3:13656586:13658415:-1 gene:PRUPE_3G131800 transcript:ONI16956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHLELGFYKYSRRAWNFLFFYIRNRNCTSKLDCGLMLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWQVTKLYFNCCCFLHHFMTVIKYYFSAF >ONI16959 pep chromosome:Prunus_persica_NCBIv2:G3:13656586:13656801:-1 gene:PRUPE_3G131800 transcript:ONI16959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWQVTKLYFNCCCFLHHFMTVIKYYFSAF >ONI16948 pep chromosome:Prunus_persica_NCBIv2:G3:13654337:13658709:-1 gene:PRUPE_3G131800 transcript:ONI16948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWLPWTIYSCVFSGILIRNSAFGINSPVT >ONI16946 pep chromosome:Prunus_persica_NCBIv2:G3:13654727:13657829:-1 gene:PRUPE_3G131800 transcript:ONI16946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRFRVPINSSISHPLLHLNCTSKLDCGLMLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHCRLPWTIYSCVFSGILIRNSAFGINSPVT >ONI16952 pep chromosome:Prunus_persica_NCBIv2:G3:13654342:13658713:-1 gene:PRUPE_3G131800 transcript:ONI16952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHLELGFYKYSRRAWNFLFFYIRNRNCTSKLDCGLMLLAGHEWMVDWILFCTFTTIQSWMLVIGIV >ONI16942 pep chromosome:Prunus_persica_NCBIv2:G3:13654337:13658713:-1 gene:PRUPE_3G131800 transcript:ONI16942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHLELGFYKYSRRAWNFLFFYIRNRNCTSKLDCGLMLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWLPWTIYSCVFSGILIRNSAFGINSPVT >ONI16947 pep chromosome:Prunus_persica_NCBIv2:G3:13654291:13658725:-1 gene:PRUPE_3G131800 transcript:ONI16947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWLPWTIYSCVFSGILIRNSAFGINSPVT >ONI16963 pep chromosome:Prunus_persica_NCBIv2:G3:13656586:13656801:-1 gene:PRUPE_3G131800 transcript:ONI16963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWQVTKLYFNCCCFLHHFMTVIKYYFSAF >ONI16945 pep chromosome:Prunus_persica_NCBIv2:G3:13654342:13658113:-1 gene:PRUPE_3G131800 transcript:ONI16945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRFRVPINSSISHPLLHLNCTSKLDCGLMLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWLPWTIYSCVFSGILIRNSAFGINSPVT >ONI16962 pep chromosome:Prunus_persica_NCBIv2:G3:13656586:13656801:-1 gene:PRUPE_3G131800 transcript:ONI16962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWQVTKLYFNCCCFLHHFMTVIKYYFSAF >ONI16950 pep chromosome:Prunus_persica_NCBIv2:G3:13654290:13658729:-1 gene:PRUPE_3G131800 transcript:ONI16950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWLPWTIYSCVFSGILIRNSAFGINSPVT >ONI16943 pep chromosome:Prunus_persica_NCBIv2:G3:13654337:13658713:-1 gene:PRUPE_3G131800 transcript:ONI16943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHLELGFYKYSRRAWNFLFFYIRNRNCTSKLDCGLMLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHCRLPWTIYSCVFSGILIRNSAFGINSPVT >ONI16953 pep chromosome:Prunus_persica_NCBIv2:G3:13654342:13658703:-1 gene:PRUPE_3G131800 transcript:ONI16953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHLELGFYKYSRRAWNFLFFYIRNRNCTSKLDCGLMLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWMLVIGIV >ONI16955 pep chromosome:Prunus_persica_NCBIv2:G3:13655991:13658415:-1 gene:PRUPE_3G131800 transcript:ONI16955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHLELGFYKYSRRAWNFLFFYIRNRNCTSKLDCGLMLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWMLVIGIV >ONI16960 pep chromosome:Prunus_persica_NCBIv2:G3:13656586:13656801:-1 gene:PRUPE_3G131800 transcript:ONI16960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWQVTKLYFNCCCFLHHFMTVIKYYFSAF >ONI16958 pep chromosome:Prunus_persica_NCBIv2:G3:13656586:13656801:-1 gene:PRUPE_3G131800 transcript:ONI16958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWQVTKLYFNCCCFLHHFMTVIKYYFSAF >ONI16944 pep chromosome:Prunus_persica_NCBIv2:G3:13654342:13658703:-1 gene:PRUPE_3G131800 transcript:ONI16944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHLELGFYKYSRRAWNFLFFYIRNRNCTSKLDCGLMLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHCRLPWTIYSCVFSGILIRNSAFGINSPVT >ONI16951 pep chromosome:Prunus_persica_NCBIv2:G3:13654342:13658729:-1 gene:PRUPE_3G131800 transcript:ONI16951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWLPWTIYSCVFSGILIRNSAFGINSPVT >ONI16954 pep chromosome:Prunus_persica_NCBIv2:G3:13655991:13658415:-1 gene:PRUPE_3G131800 transcript:ONI16954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHLELGFYKYSRRAWNFLFFYIRNRNCTSKLDCGLMLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWMLVIGIV >ONI16957 pep chromosome:Prunus_persica_NCBIv2:G3:13656586:13656801:-1 gene:PRUPE_3G131800 transcript:ONI16957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWQVTKLYFNCCCFLHHFMTVIKYYFSAF >ONI16961 pep chromosome:Prunus_persica_NCBIv2:G3:13656586:13656801:-1 gene:PRUPE_3G131800 transcript:ONI16961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAGHEWMVDWILFCTFTTIQSWCATNILEQLQMVQNLSIAHWQVTKLYFNCCCFLHHFMTVIKYYFSAF >ONI15581 pep chromosome:Prunus_persica_NCBIv2:G3:3575266:3579545:1 gene:PRUPE_3G050700 transcript:ONI15581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPTSYRDRKSDSGFGVTGYGGSARSSSSKKDCDGAESPRKFDLDGLTPFEKNFYVESPEVERMSEKEVEDYRQRREITIEGRDVPKPIKSFRDTGFPEYVLEEVTKAGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILSPGDGPIVLVLAPTRELAVQIQQEATKFGSSSKIKSTCIYGGVPKGPQVRDLQRGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFDPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSPDLKANHAIRQIVDIITENQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLGKVLACIGFAFGLW >ONI15579 pep chromosome:Prunus_persica_NCBIv2:G3:3575102:3580904:1 gene:PRUPE_3G050700 transcript:ONI15579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPTSYRDRKSDSGFGVTGYGGSARSSSSKKDCDGAESPRKFDLDGLTPFEKNFYVESPEVERMSEKEVEDYRQRREITIEGRDVPKPIKSFRDTGFPEYVLEEVTKAGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILSPGDGPIVLVLAPTRELAVQIQQEATKFGSSSKIKSTCIYGGVPKGPQVRDLQRGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFDPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSPDLKANHAIRQIVDIITENQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKFVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELIALLEEAGQKVSPELAAMGRGAPPPPSGHGGFRDRGRGYGGGRPWN >ONI15580 pep chromosome:Prunus_persica_NCBIv2:G3:3575105:3580639:1 gene:PRUPE_3G050700 transcript:ONI15580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPTSYRDRKSDSGFGVTGYGGSARSSSSKKDCDGAESPRKFDLDGLTPFEKNFYVESPEVERMSEKEVEDYRQRREITIEGRDVPKPIKSFRDTGFPEYVLEEVTKAGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILSPGDGPIVLVLAPTRELAVQIQQEATKFGSSSKIKSTCIYGGVPKGPQVRDLQRGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFDPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSPDLKANHAIRQIVDIITENQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLGFGVHRICLWALVGKNC >ONI16496 pep chromosome:Prunus_persica_NCBIv2:G3:7924494:7924961:-1 gene:PRUPE_3G102000 transcript:ONI16496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMKLLAWFLFISCSHALSSLALKGFFKLEYEQKGAKLSRPIGQGQDFHGVDGAVKLCGEKHEMDEPRKIQKGKGGVYGGANIAHKPRPTERSSAPSLLAKPQRLFLFTKTRLQVTFALILILLFQLL >ONI16497 pep chromosome:Prunus_persica_NCBIv2:G3:7924288:7925111:-1 gene:PRUPE_3G102000 transcript:ONI16497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMKLLAWFLFISCSHALSSLALKGFFKLEYEQKGAKLSRPIGQGQDFHVRHIGVDGAVKLCGEKHEMDEPRKIQKGKGGVYGGANIAHKPRPTERSSAPSLLAKPQRLFLFTKTRLQVTFALILILLFQLL >ONI16494 pep chromosome:Prunus_persica_NCBIv2:G3:7923610:7925116:-1 gene:PRUPE_3G102000 transcript:ONI16494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMKLLAWFLFISCSHALSSLALKGFFKLEYEQKGAKLSRPIGQGQDFHGVDGAVKLCGEKHEMDEPRKIQKGKGGVYGGANIAHKPRPTERSSAPSLLAKPQRLFLFTKTRIMGNYKQDFNTFPCCRIIIFHHLERNELLKDKPKNQKDVGGSIA >ONI16495 pep chromosome:Prunus_persica_NCBIv2:G3:7923611:7925091:-1 gene:PRUPE_3G102000 transcript:ONI16495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMKLLAWFLFISCSHALSSLALKGFFKLEYEQKGAKLSRPIGQGQDFHVVRHIGVDGAVKLCGEKHEMDEPRKIQKGKGGVYGGANIAHKPRPTERSSAPSLLAKPQRLFLFTKTRIIIFHHLERNELLKDKPKNQKDVGGSIA >ONI16498 pep chromosome:Prunus_persica_NCBIv2:G3:7924494:7924961:-1 gene:PRUPE_3G102000 transcript:ONI16498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMKLLAWFLFISCSHALSSLALKGFFKLEYEQKGAKLSRPIGQGQDFHVVRHIGVDGAVKLCGEKHEMDEPRKIQKGKGGVYGGANIAHKPRPTERSSAPSLLAKPQRLFLFTKTRLQVTFALILILLFQLL >ONI16493 pep chromosome:Prunus_persica_NCBIv2:G3:7923611:7925091:-1 gene:PRUPE_3G102000 transcript:ONI16493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMKLLAWFLFISCSHALSSLALKGFFKLEYEQKGAKLSRPIGQGQDFHGVDGAVKLCGEKHEMDEPRKIQKGKGGVYGGANIAHKPRPTERSSAPSLLAKPQRLFLFTKTRIIIFHHLERNELLKDKPKNQKDVGGSIA >ONI19632 pep chromosome:Prunus_persica_NCBIv2:G3:25965070:25972499:1 gene:PRUPE_3G288400 transcript:ONI19632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMANRANPLLNNLPHFLTWRALGFRTICSGRMGFSSQSQRQLDPESPVAGTKVLETFKEEFEIGDRLITLESGKIARFANGAVVLGMEETKVLSTVAAAKGDAVRDFLPLTVDYQEKQFAQGVIPNTFMRREGAPKERELLCGRIIDRPIRPLFPAGFYHEVQVTASVLSSDGKQDPDVLAANATSAALMLSDIPWAGPIGVIRIGRISGQFIVNPTMDELSLSDLNLVYACTRDKTLMIDVQAREISEKDLEAGLRLAHPEAVKYIEPQIKLAAKAGKHKKEYKLSMMPDRTLEKVGKLAEAPIEAVFTDPTYGKFERGEALENITQDVKKVLEEECDEESLRLLPKAVDTVRKKVVRRRIIAEGFRLDGRRLDEVRPLYCEAGNLPMLHGSSLFNRGDTQVLCTVTLGAPGDAQRLESIVGPPTKRFMLHYSFPPFCINEVGKRGGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRINSEVMASDGSTSMATVCGGSMALMDAGIPLREHVAGVSVGLVSEVDQSTGSIKDYRILTDILGLEDHLGDMDFKIAGTQRGITAIQLDIKPAGIPLDIICESLEPARKGRIQILDHMEREISVPRTQDDRNSPRLATLKYSNDALRRLLGPLGALKRKIEDETGARISVSDGTLTIVAKNQSVMEKVLEKVDFILGREIEIGGIYKGRVSSIKEYGAFVEFNGGQQGLLHISELSHEPVSRVSDVVSIGQQLSLICIGQDVRGNIKLSLKATLPRPRSETNNVVEESVSSTKEAPSIWASAGDLSSNGQQNQSSISEAVGINASTSSPPILIRSAAECDEEEKSAALVQSSKATSRPVCASETDHKRITFHQDSSVLAKPGLLQSINDKMSKSFSQKEGDKTEVRSPVTAKTLKIGTKVTAKVYQIRTGGLVLDLGGGIRGMYRFETNGKNDFEVDDELRVVCVSFSSKGIPVMSLEDDE >ONI15489 pep chromosome:Prunus_persica_NCBIv2:G3:3264696:3267663:-1 gene:PRUPE_3G045400 transcript:ONI15489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSNPSSGSPEDTPNPNPDGNAPSENDLTLDSLSRKVQESLSVGKKHKFWETQPVGQFKDLGDNSLPEGSIEDPTPLSEVKQEPYKLPNLYEWTTCDIDSEEMCTEVYNLLTNNYVEDDENMFRFNYSKEFLRWALRPPGYFRSWHIGVRVISSKKLVAFITGVPSRIRVRNDVVIMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPISTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYRLPESTVTPGFRKMELHDVPAVTRLLRDYLSQFVVAPDLDENDVEHWLLPKENVVNSYLVESPETHVITDFCSFYTLPSTILGNQNYSSLKAAYSYYNVSTKTPLLQLMNDALIVAKRNDYDVFNALDVMQNESFLKELKFGPGDGKLHYYLYNYRIRSALRPSELGLVLL >ONI18030 pep chromosome:Prunus_persica_NCBIv2:G3:20476230:20480004:-1 gene:PRUPE_3G192900 transcript:ONI18030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPVHFVAKLWSFVSFLPFFFLLLILGLLKAAIIGPVVVGIIVIGNSAIIAGLWLAHFVWTYYCVARSKRLGLVLKILVLLLLPLPLALWPVLGVVGSVLGGIGYGFFAPLLATFEAVGENITDKFYHCFVDGTWSTVIGGCIVVQDFTDFCFHSYFSYMDELIEQIPADEKPMDIELLKLPASLLVSLIGVPVDVPVITAVAIWKSPYMLFRGWKRLLEDLIGREGPFLETVCVPFAGLAIILWPLAVVGAVVTAFISSFFLGLYSGAIAYQEDSICLGLAFIISAVSLFDEYVNELLYLRVGSCFPRPLYRRNMCSCPERKKCGDNDKKDLENGREGPYTSKLISQQSRTWKQAIQHYKPVQVWGWLLKSCEINGRILLRDGLIDVKDIEECLLKGNCKKLGIKLPAWSILQCLLASAKSNSSGLVISDDVELTRANGPKDKVFEWFIGPLLVIKEQLKNLQLNANEELCLRYLFMKSNNEKPEEWDTGFPSGDHVRRAQLQAIFRRLQGLVACMSRIPTFRRHFKNLVKVLYIEAVQAAASANRIGGILNPGNGGNSLIGSEDRKDGEETVDRARNSTYDNGNVV >ONI18033 pep chromosome:Prunus_persica_NCBIv2:G3:20476186:20480004:-1 gene:PRUPE_3G192900 transcript:ONI18033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPVHFVAKLWSFVSFLPFFFLLLILGLLKAAIIGPVVVGIIVIGNSAIIAGLWLAHFVWTYYCVARSKRLGLVLKILVLLLLPLPLALWPVLGVVGSVLGGIGYGFFAPLLATFEAVGENITDKFYHCFVDGTWSTVIGGCIVVQDFTDFCFHSYFSYMDELIEQIPADEKPMDIELLKLPASLLVSLIGVPVDVPVITAVAIWKSPYMLFRGWKRLLEDLIGREGPFLETVCVPFAGLAIILWPLAVVGAVVTAFISSFFLGLYSGAIAYQEDSICLGLAFIISAVSLFDEYVNELLYLRVGSCFPRPLYRRNMCSCPERKKCGDNDKKDLENGREGPYTSKLISQQSRTWKQAIQHYKPVQDTPSRWSDRCQGHRRMPSKGKL >ONI18029 pep chromosome:Prunus_persica_NCBIv2:G3:20476174:20480004:-1 gene:PRUPE_3G192900 transcript:ONI18029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPVHFVAKLWSFVSFLPFFFLLLILGLLKAAIIGPVVVGIIVIGNSAIIAGLWLAHFVWTYYCVARSKRLGLVLKILVLLLLPLPLALWPVLGVVGSVLGGIGYGFFAPLLATFEAVGENITDKFYHCFVDGTWSTVIGGCIVVQDFTDFCFHSYFSYMDELIEQIPADEKPMDIELLKLPASLLVSLIGVPVDVPVITAVAIWKSPYMLFRGWKRLLEDLIGREGPFLETVCVPFAGLAIILWPLAVVGAVVTAFISSFFLGLYSGAIAYQEDSICLGLAFIISAVSLFDEYVNELLYLRVGSCFPRPLYRRNMCSCPERKKCGDNDKKDLENGREGPYTSKLISQQSRTWKQAIQHYKPVQVWGWLLKSCEINGRILLRDGLIDVKDIEECLLKGNSDDVELTRANGPKDKVFEWFIGPLLVIKEQLKNLQLNANEELCLRYLFMKSNNEKPEEWDTGFPSGDHVRRAQLQAIFRRLQGLVACMSRIPTFRRHFKNLVKVLYIEAVQAAASANRIGGILNPGNGGNSLIGSEDRKDGEETVDRARNSTYDNGNVV >ONI18032 pep chromosome:Prunus_persica_NCBIv2:G3:20476186:20480004:-1 gene:PRUPE_3G192900 transcript:ONI18032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPVHFVAKLWSFVSFLPFFFLLLILGLLKAAIIGPVVVGIIVIGNSAIIAGLWLAHFVWTYYCVARSKRLGLVLKILVLLLLPLPLALWPVLGVVGSVLGGIGYGFFAPLLATFEAVGENITDKFYHCFVDGTWSTVIGGCIVVQDFTDFCFHSYFSYMDELIEQIPADEKPMDIELLKLPASLLVSLIGVPVDVPVITAVAIWKSPYMLFRGWKRLLEDLIGREGPFLETVCVPFAGLAIILWPLAVVGAVVTAFISSFFLGLYSGAIAYQEDSICLGLAFIISAVSLFDEYVNELLYLRVGSCFPRPLYRRNMCSCPERKKCGDNDKKDLENGREGPYTSKLISQQSRTWKQAIQHYKPVQVWGWLLKSCEINGRILLRDGLIDVKDIEECLLKGNCKKLGIKLPAWSILQCLLASAKSNSSGLVI >ONI18031 pep chromosome:Prunus_persica_NCBIv2:G3:20476193:20480004:-1 gene:PRUPE_3G192900 transcript:ONI18031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPVHFVAKLWSFVSFLPFFFLLLILGLLKAAIIGPVVVGIIVIGNSAIIAGLWLAHFVWTYYCVARSKRLGLVLKILVLLLLPLPLALWPVLGVVGSVLGGIGYGFFAPLLATFEAVGENITDKFYHCFVDGTWSTVIGGCIVVQDFTDFCFHSYFSYMDELIEQIPADEKPMDIELLKLPASLLVSLIGVPVDVPVITAVAIWKSPYMLFRGWKRLLEDLIGREGPFLETVCVPFAGLAIILWPLAVVGAVVTAFISSFFLGLYSGAIAYQEDSICLGLAFIISAVSLFDEYVNELLYLRVGSCFPRPLYRRNMCSCPERKKCGDNDKKDLENGREGPYTSKLISQQSRTWKQAIQHYKPVQVWGWLLKSCEINGRILLRDGLIDVKDIEECLLKGNCKKLGIKLPAWSILQCLLASAKSNSSGLVISDDVELTRANGPKDKVFEWFIGPLLVIKEQLKNLQLNANEELCLRYLFMKSNNEKPEEWDTGFPSGDHVRRAQLQAIFRRGL >ONI19901 pep chromosome:Prunus_persica_NCBIv2:G3:26708544:26710187:-1 gene:PRUPE_3G304000 transcript:ONI19901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLIHLIPFVSLFPALFSSLFAPSQTTCSPHHINDNDDNSSLCQLHQFQLKIDHLESVLAESIQNLTEKIDRIEEREKRIDDMAQKIHHLQSVLSTLKGDSLATDERLKALEEEVRLLWTASRKYNFDLHVLESKAQDTEDRLQTVASQAQKMADVVTEQWIQIQRLEQALHITQMRTMRVQRQLTRCIFLKFINNLSDDPLLKTLGPNFRSYFSRALHQFKRVFAEFKRSHHEEL >ONI19898 pep chromosome:Prunus_persica_NCBIv2:G3:26707401:26711083:-1 gene:PRUPE_3G304000 transcript:ONI19898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKIHHLQSVLSTLKGDSLATDERLKALEEEVRLLWTASRKYNFDLHVLESKAQDTEDRLQTVASQAQKMADVVTEQWIQIQRLEQALHITQFINNLSDDPLLKTLGPNFRSYFSRALHQFKRVFAEFKRSHHELQHFIKEKLEKNEFTAALANEELVFFMASALITFPVMSAWMLLSSKLTS >ONI19896 pep chromosome:Prunus_persica_NCBIv2:G3:26707401:26710373:-1 gene:PRUPE_3G304000 transcript:ONI19896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLIHLIPFVSLFPALFSSLFAPSQTTCSPHHINDNDDNSSLCQLHQFQLKIDHLESVLAESIQNLTEKIDRIEEREKRIDDMAQKIHHLQSVLSTLKGDSLATDERLKALEEEVRLLWTASRKYNFDLHVLESKAQDTEDRLQTVASQAQKMADVVTEQWIQIQRLEQALHITQMRTMRVQRQLTRCIFLKFINNLSDDPLLKTLGPNFRSYFSRALHQFKRVFAEFKRSHHELQHFIKEKLEKNEFTAALANEELVFFMASALITFPVMSAWMLLSSKLTS >ONI19900 pep chromosome:Prunus_persica_NCBIv2:G3:26708544:26710187:-1 gene:PRUPE_3G304000 transcript:ONI19900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLIHLIPFVSLFPALFSSLFAPSQTTCSPHHINDNDDNSSLCQLHQFQLKIDHLESVLAESIQNLTEKIDRIEEREKRIDDMAQKIHHLQSVLSTLKGDSLATDERLKALEEEVRLLWTASRKYNFDLHVLESKAQDTEDRLQTVASQAQKMADVVTEQWIQIQRLEQALHITQMRTMRVQRQLTRCIFLKFINNLSDDPLLKTLGPNFRSYFSRALHQFKRVFAEFKRSHHEEL >ONI19895 pep chromosome:Prunus_persica_NCBIv2:G3:26707401:26711083:-1 gene:PRUPE_3G304000 transcript:ONI19895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLIHLIPFVSLFPALFSSLFAPSQTTCSPHHINDNDDNSSLCQLHQFQLKIDHLESVLAESIQNLTEKIDRIEEREKRIDDMAQKIHHLQSVLSTLKGDSLATDERLKALEEEVRLLWTASRKYNFDLHVLESKAQDTEDRLQTVASQAQKMADVVTEQWIQIQRLEQALHITQFINNLSDDPLLKTLGPNFRSYFSRALHQFKRVFAEFKRSHHELQHFIKEKLEKNEFTAALANEELVFFMASALITFPVMSAWMLLSSKLTS >ONI19897 pep chromosome:Prunus_persica_NCBIv2:G3:26707401:26710372:-1 gene:PRUPE_3G304000 transcript:ONI19897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLIHLIPFVSLFPALFSSLFAPSQTTCSPHHINDNDDNSSLCQLHQFQLKIDHLESVLAESIQNLTEKIDRIEEREKRIDDMAQKIHHLQSVLSTLKGDSLATDERLKALEEEVRLLWTASRKYNFDLHVLESKAQDTEDRLQTVASQAQKMADVVTEQWIQIQRLEQALHITQMRTMRVQRQLTRCIFLKFINNLSDDPLLKTLGPNFRSYFSRALHQFKRVFAEFKRSHHELQHFIKEKLEKNEFTAALANEELVFFMASALITFPVMSAWMLLSSKLTS >ONI19903 pep chromosome:Prunus_persica_NCBIv2:G3:26708544:26709835:-1 gene:PRUPE_3G304000 transcript:ONI19903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKIHHLQSVLSTLKGDSLATDERLKALEEEVRLLWTASRKYNFDLHVLESKAQDTEDRLQTVASQAQKMADVVTEQWIQIQRLEQALHITQMRTMRVQRQLTRCIFLKFINNLSDDPLLKTLGPNFRSYFSRALHQFKRVFAEFKRSHHEEL >ONI19902 pep chromosome:Prunus_persica_NCBIv2:G3:26708544:26710187:-1 gene:PRUPE_3G304000 transcript:ONI19902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLIHLIPFVSLFPALFSSLFAPSQTTCSPHHINDNDDNSSLCQLHQFQLKIDHLESVLAESIQNLTEKIDRIEEREKRIDDMAQKIHHLQSVLSTLKGDSLATDERLKALEEEVRLLWTASRKYNFDLHVLESKAQDTEDRLQTVASQAQKMADVVTEQWIQIQRLEQALHITQMRTMRVQRQLTRCIFLKFINNLSDDPLLKTLGPNFRSYFSRALHQFKRVFAEFKRSHHEEL >ONI19899 pep chromosome:Prunus_persica_NCBIv2:G3:26707401:26710360:-1 gene:PRUPE_3G304000 transcript:ONI19899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKIHHLQSVLSTLKGDSLATDERLKALEEEVRLLWTASRKYNFDLHVLESKAQDTEDRLQTVASQAQKMADVVTEQWIQIQRLEQALHITQMRTMRVQRQLTRCIFLKFINNLSDDPLLKTLGPNFRSYFSRALHQFKRVFAEFKRSHHELQHFIKEKLEKNEFTAALANEELVFFMASALITFPVMSAWMLLSSKLTS >ONI16070 pep chromosome:Prunus_persica_NCBIv2:G3:5705027:5707651:-1 gene:PRUPE_3G077400 transcript:ONI16070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSAQSSQIPNTQHLPNPQFPKTHKLETLGFSHKLQTHQISFRKTQEMTVCSTSSISTQNPNSNLNEFCRHGNLEKALKLLDSMQELQIKVEEDAYIALVRLCEWKRTHEEGARVYSYVSNSTTLLSVKLGNALLSMFVRFGNLVDAWYVFGRMGERDVFSWNVLVGGYAKAGFFDEALNLYHRMLWVGIVPDVYTFPCVLRTCGGVPDLARGREIHVHVIRFGFESDVDVVNALITMYVKCSAVGSARMLFDRMPRRDRISWNAMISGYFENGEFLEGLRLFLMMLESSVYPDLMTMTSLISACELLSDCKLGREIHGFVMRTEFAEDVSVCNALIQMYSIIGHFEEAEKVFSRTEYKDVVSWTSMISCYGNNALPDKAVESYRMMEREGIMPDEITIASVLSACACLGNLDMGMKLHELAYRTGFISYVIVANTLIDMYCKCKCVDKALEVFHGIPGKNVISWTSIILGLRINNRCFEALIFFRQMKLSLKPNSVTLVSVLSACARIGALMCGKEIHAHALRTGVAFDGYLPNALLDMYVRCGRMGSAWNQFNYNKKDVAAWNILLTGYAQRGQGRHAVELFNRMVESHVDPDEITFISLLCACSRSGMVGEGLEYFRSMKLNYSITPNLKHYACIVDLLGCAGQLDDAHEFIRKMPINPDPAIWGALLNACMIHKQVELGELAAHQILKMDTEGVGYYVLICNLYAQCGKWEEVAIVRKMMKKRGLTVDPGCSWVEVKGKVHAFLSGDNFHPQIKELNAVMEGFYEKMRSVGFREPENSPTDEVEAFKAEIFCGHSERLAVAFGLINTAPGMPIWVTKNLYMCQSCHSTIKFISKVVRRDISVRDTEKFHHFKDGSCTCGDEGYWGSSNK >ONI18509 pep chromosome:Prunus_persica_NCBIv2:G3:22081994:22082734:-1 gene:PRUPE_3G219800 transcript:ONI18509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWLYEVIGPHSSLCVDRTWIISHMAYTCWGIWRSRCAKIFDASPLCPKQTLSHIKCMISYFDLLKDVSYPMHQPGTSFTMDDVWSPPPATWSKLNVYARVIRNSGGMFMGGFAACKITNSVLEAEAHAALAGLSLAAENGLANVVIESDSQVLVNCGRGKIRKGIWSIYPILSAIKRCCNNFIFCDWRWISRANRAVAAIARRTKCDKVWLDRPPSSLVFVLQSDGLPCPPC >ONI17124 pep chromosome:Prunus_persica_NCBIv2:G3:14700223:14711726:1 gene:PRUPE_3G139300 transcript:ONI17124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLSLDMGVVKILGFLWSQRRKLRFLYWVAFWLCVALVLFQPVAGLRPLRERARSWGDEWLFVRKDESDLGPFSTWNITGTYRGTWKFPDSANSSSRFPDFRKSDGNSIIELVSTQTKITGVHYVQGVIIFHDVFDNEHNVGGVQIKVEGVFIWPFRQLRMVANSGKEGESSQEEDYMLSNPYHMLGVFSSQVFQDSPRDKIWRKRHSPIYEMEKHCNIEIAGQISRVSSPHNDGDRDRYHIEALMESPAVDDDGDCFSPLVLNATSVNVEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPTNSGEGWETMRRELSVLYSRFYGILLGGILFMYEFHNFLRPILLLMYSFWIPQIITNVIRDSRKPLHPHYILGMTVTRLAIPLYIFGCPNNFMRIEPDKSWCICLGVFIGLQASILLLQHYLGSRWFIPRQILPEKYSYFRRYDQDTHHTTDCVICMTAVDLTQRSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >ONI17125 pep chromosome:Prunus_persica_NCBIv2:G3:14700223:14711726:1 gene:PRUPE_3G139300 transcript:ONI17125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLSLDMGVVKILGFLWSQRRKLRFLYWVAFWLCVALVLFQPVAGLRPLRERARSWGDEWLFVRKDESDLGPFSTWNITGTYRGTWKFPDSANSSSRFPDFRKSDGNSIIELVSTQTKITGVHYVQGVIIFHDVFDNEHNVGGVQIKVEGVFIWPFRQLRMVANSGKEGESSQEEDYMLSNPYHMLGVFSSQVFQDSPRDKIWRKRHSPIYEMEKHCNIEIAGQISRVSSPHNDGDRDRYHIEALMESPAVDDDGDCFSPLVLNATSVNVEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPTNSGEGWETMRRELSVLYSRFYGILLGGILFMYEFHNFLRPILLLMYSFWIPQIITNVIRDSRKPLHPHYILGMTVTRLAIPLYIFGCPNNFMRIEPDKSWCICLGVFIGLQASILLLQHYLGSRWFIPRQVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >ONI15925 pep chromosome:Prunus_persica_NCBIv2:G3:5002451:5004834:1 gene:PRUPE_3G069300 transcript:ONI15925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFNKYSYQSDIQFGILPYKTSWCPDTSYKSTRQTVFQLNRINYISFFLWNRINCISTVIIKDDEILQIT >ONI15926 pep chromosome:Prunus_persica_NCBIv2:G3:5002271:5004834:1 gene:PRUPE_3G069300 transcript:ONI15926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFNKYSYQSDIQFGILPYKTSWCPDTSYKSTRQTVFQLNRINYISFFLWNRINCISTVIIKDDEILQIT >ONI16240 pep chromosome:Prunus_persica_NCBIv2:G3:6470063:6474353:1 gene:PRUPE_3G087300 transcript:ONI16240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKASIFASSTQPFPTTPSIFGSKASPPVSNTLASSFKGFSLPRCPSIRKQVVKRNGKVSAAAATVAVTPVEEPKEVTLPSWAMFELGRAPVYWKTMNGLPPTAGEKLRIFYNPAANNIVPNEEFGIAFNGGFNQPIMCGGEPRAMLSKSRGKADSPIYSIQICIPRHALNLIFSFTNGGDWDGPYRLQFQVPKALRNKPIEVFNEGLTEELSKDGACERAIFPDTSVVVTRCTMIGNLNVEGGDRCNLNLVLGCTDPSSPSYDPLANVDDGSCPIELDSDSQ >ONI16243 pep chromosome:Prunus_persica_NCBIv2:G3:6470706:6474282:1 gene:PRUPE_3G087300 transcript:ONI16243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELGRAPVYWKTMNGLPPTAGEKLRIFYNPAANNIVPNEEFGIAFNGGFNQPIMCGGEPRAMLSKSRGKADSPIYSIQICIPRHALNLIFSFTNGGDWDGPYRLQFQVPKALRNKPIEVFNEGLTEELSKDGACERAIFPDTSVVVTRCTMIGNLNVEGGDRCNLNLVLGCTDPSSPSYDPLANVDDGSCPIELDSDSQ >ONI16241 pep chromosome:Prunus_persica_NCBIv2:G3:6470063:6474282:1 gene:PRUPE_3G087300 transcript:ONI16241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKASIFASSTQPFPTTPSIFGSKASPPVSNTLASSFKGFSLPRCPSIRKQVVKRNGKVSAAAATVAVTPVEEPKEVTLPSWAMFELGRAPVYWKTMNGLPPTAGEKLRIFYNPAANNIVPNEEFGIAFNGGFNQPIMCGGEPRAMLSKSRGKADSPIYSIQICIPRHALNLIFSFTNGGDWDGPYRLQFQVPKALRNKPIEVFNEGLTEELSKDGACERAIFPDTSVVVTRCTMIGNLNVEGGDRCNLNLVLGCTDPSSPSYDPLANVDDGSCPIELDSDSQ >ONI16242 pep chromosome:Prunus_persica_NCBIv2:G3:6470929:6474282:1 gene:PRUPE_3G087300 transcript:ONI16242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDLSQDQKNSSSFKGFSLPRCPSIRKQVVKRNGKVSAAAATVAVTPVEEPKEVTLPSWAMFELGRAPVYWKTMNGLPPTAGEKLRIFYNPAANNIVPNEEFGIAFNGGFNQPIMCGGEPRAMLSKSRGKADSPIYSIQICIPRHALNLIFSFTNGGDWDGPYRLQFQVPKALRNKPIEVFNEGLTEELSKDGACERAIFPDTSVVVTRCTMIGNLNVEGGDRCNLNLVLGCTDPSSPSYDPLANVDDGSCPIELDSDSQ >ONI16239 pep chromosome:Prunus_persica_NCBIv2:G3:6470305:6474355:1 gene:PRUPE_3G087300 transcript:ONI16239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKASIFASSTQPFPTTPSIFGSKASPPVSNTLASSFKGFSLPRCPSIRKQVVKRNGKVSAAAATVAVTPVEEPKEVTLPSWAMFELGRAPVYWKTMNGLPPTAGEKLRIFYNPAANNIVPNEEFGIAFNGGFNQPIMCGGEPRAMLSKSRGKADSPIYSIQICIPRHALNLIFSFTNGGDWDGPYRLQFQVPKALRNKPIEVFNEGLTEELSKDGACERAIFPDTSVVVTRCTMIGNLNVEGGDRCNLNLVLGCTDPSSPSYDPLANVDDGSCPIELDSDSQ >ONI19320 pep chromosome:Prunus_persica_NCBIv2:G3:25242674:25244343:-1 gene:PRUPE_3G271900 transcript:ONI19320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGCIQVKQSKVAVREQFGKFDNVLEPGCHCLPWCFGYKIAGELSLRVRQLEVRCETKTKDNVFVNVVASIQYRALPEKIADAFYKLSNANSQIQAYVFDVIRASVPKLELDAVFEQKSDIAKAVEEELAKAMSTYGYEIVQTLIVDIVPDVHVKRAMNEINAAARHRLAAKEKAEAEKILQIKRAEGEAESKYLAGLGIARQRQAIVDGLRDSVLVFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDVASQIREGLLQADYAKE >ONI19322 pep chromosome:Prunus_persica_NCBIv2:G3:25242675:25244843:-1 gene:PRUPE_3G271900 transcript:ONI19322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGCIQVKQSKVAVREQFGKFDNVLEPGCHCLPWCFGYKIAGELSLRVRQLEVRCETKTKDNVFVNVVASIQYRALPEKIADAFYKLSNANSQIQAYVFDVIRASVPKLELDAVFEQKSDIAKAVEEELAKAMSTYGYEIVQTLIVDIVPDVHVKRAMNEINAAARHRLAAKEKAEAEKILQIKRAEGEAESKYLAGLGIARQRQAIVDGLRDSVLVFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDVASQIREGLLQADYAKE >ONI19321 pep chromosome:Prunus_persica_NCBIv2:G3:25242674:25245002:-1 gene:PRUPE_3G271900 transcript:ONI19321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGCIQVKQSKVAVREQFGKFDNVLEPGCHCLPWCFGYKIAGELSLRVRQLEVRCETKTKDNVFVNVVASIQYRALPEKIADAFYKLSNANSQIQAYVFDVIRASVPKLELDAVFEQKSDIAKAVEEELAKAMSTYGYEIVQTLIVDIVPDVHVKRAMNEINAAARHRLAAKEKAEAEKILQIKRAEGEAESKYLAGLGIARQRQAIVDGLRDSVLVFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDVASQIREGLLQADYAKE >ONI15389 pep chromosome:Prunus_persica_NCBIv2:G3:2962806:2967843:-1 gene:PRUPE_3G041500 transcript:ONI15389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITEWFIAGIPGAQPLGSSTTETDWKAMGHYIEKDDADQEWTASNAEELEKYGLYRYHFDRSLDNLANQKEVTTTKLAFLDSILHDAHVYILVVVDLILLYVNHTSLYRVLLISTLANSYVLVWVPFCASLITLLFLYLYINHFPFLFLEEDIKWIRKESRLLSAISEDAEEVKRLCFKIDARAHQIWDSIFPSKGTFPGLEETEVQLVYKTVTVAGLDEIEAKWVDKIASTVKESQRLVKTFEEKRRDSHRLGIFFGMTTKNFERTDKLFSKTKQVKEEINSSIVMKKESGINICESLERLKSNARRLLERPFDEHEKRWVNSGERVESAAASAFSTVEKINSLIIQNPELMPDRSRIGEQLQSTNLQLQLLHPFLKDIQGINEFESAIEKAWVEEVEEIIDEAHPAIENFLQTPSNRFGWLSYISSWRARRKLEGDLRCINVGFTQALERKGRYGFKFIRRISNSSKIVYQSPDQAADENSVSSVIDRMRNYLKEEPNLFREVFFDVKLLSNELEIMHKLVGGAGMIGRRYNSRVAWLEQVRKIVQSADESVVTFMKMNSGLEADRDDKKLKNSGRKLSLEINQINQIINLFLRCIKAFSIESREDLSSVVGLEEDIDAVVSRLRTNNEHCSFVSIVGIEGIGKTTLANKIYNHGVIVDHFPCRAWVSLPHDYSYNNKLPLLKYVAKQVLSSLKSEGDQEINPDASNRIDEAHDILKKSRYLLVLDNISTMYEWSTLKAAFPLSTSNGSRILLTTRNPEVASQVDSDSPPHHLRRLTKEESWQLFSQVVHIPPKGKMLAKEILSKCEGLPLAIVRLMSGKDETTAQELKKVIQYIKQDDDTSSFCTYTKECINKFPDHLTDCLSCFKLFPKDYKIPVRRLFALWIAEELVEVSDHKTENHESDPKKKAETYEEAADEHLSALIDRDIVHVVERKLNGKVKTCCLNKDLQEVIRSQAMRLDHRRLADHVNCNDPSFDLIHGESSNFSRSYTDLISILSFDSQEGYKPGEDIGNFLRRGIAGGYFLQLKFLDLERVFRPELPNTIVKLSNLRYLGLRWTSLESIPDSIGELVNLQTLDVKHTYVRTLPRSIWKLLKLRHLYLSQRYRSKFMRHQGLNSLKNLRTLWGVFVDKHSPLKDGLDKFINLRKLGLAFQLEKEEQKVLAERIVKLSYLKSLRMRSIDEMGEPCPLMLESLSGLENLSSLNLFGMLENPSIIAGFPKNLIDLTLSASSFSEDPMPKLEKLSNLQSLCFYSNSYTGTKMVCSTWGFQKLVVLKLWKLERLEDWDVEEKAMQNIRELEIRSCNKLKVPTGLRHLKTLIELKLINMPKQFSATIEKTNVDIWGDIAHSPTIITDSW >ONI18860 pep chromosome:Prunus_persica_NCBIv2:G3:23640206:23640552:1 gene:PRUPE_3G244100 transcript:ONI18860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSQKMSYHAGEAKGQAQEKASGMMDMANNAAQSTKETMQDAGQNVQAKAQGAADAVKNATGMNK >ONI16407 pep chromosome:Prunus_persica_NCBIv2:G3:7273650:7277016:-1 gene:PRUPE_3G096500 transcript:ONI16407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPSSWDALRKQARKIEAQLDELMHSYRKFVSAKGTAKFDTAENDLESGIDRLLKQLQQVNSQMQAWVSSGGSEMVSHTLTRHQEIFQDLTQEFYRLRSSFRAKQEHASLLEDFREFDRTRVDLEDGVGSAENALLKEHAAVSRNTGQMDTVISQAQATLGTLVLQRSTFGGINSKLSNIGSRLPSVNHILAAIKRKKSMDTIILSLVASVCTFLIFIYWLTK >ONI16409 pep chromosome:Prunus_persica_NCBIv2:G3:7271908:7277274:-1 gene:PRUPE_3G096500 transcript:ONI16409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPSSWDALRKQARKIEAQLDELMHSYRKFVSAKGTAKFDTAENDLESGIDRLLKQLQQVNSQMQAWVSSGGSEMVSHTLTRHQEIFQDLTQEFYRLRSSFRAKQEHASLLEDFREFDRTRVDLEDGVGSAENALLKEHAAVSRNTGQMDTVISQAQATLGTLVLQRSTFGGINSKLSNIGSRLPSVNHILAAIKRKKSMDTIILSLVASVCTFLIFIYWLTK >ONI16408 pep chromosome:Prunus_persica_NCBIv2:G3:7271908:7277274:-1 gene:PRUPE_3G096500 transcript:ONI16408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPSSWDALRKQARKIEAQLDELMHSYRKFVSAKGTAKFDTAENDLESGIDRLLKQLQQVNSQMQAWVSSGGSEMVSHTLTRHQEIFQDLTQEFYRLRSSFRAKQEHASLLEDFREFDRTRVDLEDGVGSAENALLKEHAAVSRNTGQMDTVISQAQATLGTLVLQRSTFGGINSKLSNIGSRLPSVNHILAAIKRKKSMDTIILSLVASVCTFLIFIYWLTK >ONI19109 pep chromosome:Prunus_persica_NCBIv2:G3:24574610:24575410:-1 gene:PRUPE_3G259000 transcript:ONI19109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFASSCIAGSVGLKNDSLRPTQAASECSDDEGSSVVGREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRIKSHSTFSGDNHAVWPANGNASVGSQVSHTHHRRVHHIRHIEPSGQNHVHVNNYLSVERLHSSLRKSLFFFVHLTAKFPLVVIFLLIILYVIPACAAILALYILVTVVFALPSFLLLYFAYPSLDWLVREIIT >ONI19110 pep chromosome:Prunus_persica_NCBIv2:G3:24574026:24576157:-1 gene:PRUPE_3G259000 transcript:ONI19110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFASSCIAGSVGLKNDSLRPTQAASECSDDEGSSVVGREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRIKSHSTFSGDNHAVWPANGNASVGSQVSHTHHRRVHHIRHIEPSGQNHVHVNNYLSVERLHSSLRKSLFFFVHLTAKFPLVVIFLLIILYVIPACAAILALYILVTVVFALPSFLLLYFAYPSLDWLVREIIT >ONI19107 pep chromosome:Prunus_persica_NCBIv2:G3:24574041:24576154:-1 gene:PRUPE_3G259000 transcript:ONI19107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFASSCIAGSVGLKNDSLRPTQAASECSDDEGSSVVGREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRIKSHSTFSGDNHAVWPANGNASVGSQVSHTHHRRVHHIRHIEPSAILALYILVTVVFALPSFLLLYFAYPSLDWLVREIIT >ONI19106 pep chromosome:Prunus_persica_NCBIv2:G3:24573983:24576154:-1 gene:PRUPE_3G259000 transcript:ONI19106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFASSCIAGSVGLKNDSLRPTQAASECSDDEGSSVVGREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRIKSHSTFSGDNHAVWPANGNASVGSQVSHTHHRRVHHIRHIEPSAILALYILVTVVFALPSFLLLYFAYPSLDWLVREIIT >ONI19108 pep chromosome:Prunus_persica_NCBIv2:G3:24573993:24576172:-1 gene:PRUPE_3G259000 transcript:ONI19108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFASSCIAGSVGLKNDSLRPTQAASECSDDEGSSVVGREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESMNGDRIKSHSTFSGDNHAVWPANGNASVGSQVSHTHHRRVHHIRHIEPSAILALYILVTVVFALPSFLLLYFAYPSLDWLVREIIT >ONI18237 pep chromosome:Prunus_persica_NCBIv2:G3:21238790:21242168:1 gene:PRUPE_3G204100 transcript:ONI18237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLKNVLPVFVFLLLASTAKAQTVFDVTSAKYGGKPNSDITQALSKAWTDACASTSPSKLVVPKGTFKFLGTTFKGPCKAPIAFQLQGILQAPADGNQLPKKDTWISFDHIDRLTISGGGTFDGQGAISWKENDCHKNKNCKSIAINLRLNFITNSRIEYITTKDSKNFHVNVLGCTNVAFHHFTVSAPKESINTDGIHIGRSTGISIIDSTIKTGDDCISIGDGTKNLNVTNVTCGPGHGISIGSLGRYQKEEPVSGITIKNCTLTDTQNGVRIKTWPASPSAGTASDIHFEDIIMVNVGNPILIDQEYCPWNQCTLKVPSKVKISNVSFKNIKGTSTTPLAVKLVCSKGLPCEKVELSDIDLKYTGNQGPLTSKCTNVKPTITRVTKPLACATSA >ONI19197 pep chromosome:Prunus_persica_NCBIv2:G3:24840254:24841899:-1 gene:PRUPE_3G263500 transcript:ONI19197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVAAAGLFSVWHCQPLFCPRRSMRAGAENLKGKLMKKQDRLCHVKALFNPSLPKKKEPLTFYFRHTIDAPLYESPRAPFYQYLEDEQRVFKAIFQDEEKTQLNEEEWRIQMPAMQVLFLSIYLILYVKLRMKSMGKDYPSHVPQDISKVLEIDITRWELLGPSDYEPGDFSLTIKGTIYSERQGAQSWLRNIFDINISFIVSPLLAWVPDDVMRNITKTLVKTTMDDVKVNARLLADYDKFKTEKLKNVV >ONI19503 pep chromosome:Prunus_persica_NCBIv2:G3:25670289:25670771:-1 gene:PRUPE_3G281600 transcript:ONI19503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKTAAYHAVPPPKPDLAESDDVEELMSANTSGCCLWMPCFGSEQSSIDRSWERIRNSGDHGDPWWNRGWKKIREWSEVVAGPKWKTFIRRFNKSTANKRSSKFHYDPMSYSLNFDEGPGQSGNLDDDYLHRDFSCRYASIPASAKSSMDLGKDGPSFT >ONI17986 pep chromosome:Prunus_persica_NCBIv2:G3:20300287:20302117:1 gene:PRUPE_3G190200 transcript:ONI17986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAAKSLVIEIEDDDFLSQVAAAEAQALASKRRRISIAPSPNSAVSFHSRANHKEGLDSEDGGIYTAALKGSQRAAPEPPRGGFSTGRVNVGRTGNDGISAGGGSCFKCGKPGHWARDCDVTGGGYYGNSGGGDSSASISVPEKSCPCGFGACMVLTANTEKNRGRKFYKCPLRQENGGCGFFEWCDNASGPNVMASTGMGSGSATRTHSYAASDSSFPALECPCGGGLCLILTAKTGKNIGSQFYRCPANEGCGFFKWCNEHTGAAAGVLGGSAAKVERKVLSEASNNGFGVRTGSSCFKCGKEGHWARDCPAVPSYNAPVELEARSAASPGSCYKCGKPGHWARDCPSG >ONI19696 pep chromosome:Prunus_persica_NCBIv2:G3:26179596:26183717:1 gene:PRUPE_3G292300 transcript:ONI19696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLADGVDSLSKTCHSAPDVGNNPPSTTGTPRPSQPPSPKQSRSEGVLSPSCVTAHTYGLKTVGYPNGSVINQKNPNKTANRTTQQEEFLNIGKHYNSSNGGKLEHGGPNYVLHKPPETSYLNKVSVLEAKSSMKNPVDGSDSSTFLESRNHVSGPCKGVSGQKNSHLISQPAATFCASPQNSLYSASLYCEAKQSFTNTEVSECTSSIEKSGESGEVTNSCELIESSKNSIYRGSTGSDVSDESSTSSLSNSMYKPHKANDTRWEAMQAVRSHDGMLGINHFKLLRRLGCGDIGSVYLSELAGTKTYFAMKVMDKAALASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHALRQKQPGKHFPEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRFSNSSLETKKSAYCVQPACIEPACVMQPDCITPTCFAPRFLSGKPKKDKKSKVKNDIHNQVSPLPELIAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLYGKTPFKGTGNRATLFNVVGQPLRFPESPSVSFAARDLIRGLLVKEPQHRLAYRRGATEVKQHPFFQSVNWALIRCTTPPQVPKHYILESPDAPKPPINGKVPGVDLKPSGNYLEIDFF >ONI16205 pep chromosome:Prunus_persica_NCBIv2:G3:6238845:6240412:-1 gene:PRUPE_3G084600 transcript:ONI16205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSRKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVLTNEVSNDHNNNSSSSSSSALSAILSAKLRKCCKSPSPSLTCLRLDTENSHIGVWQKGAGPHSDSNWLMMVELGQKSLQPPQPETSTSALDTEEMAAGQDGGDDSMNDEERVALQMIEELLNRN >ONI18648 pep chromosome:Prunus_persica_NCBIv2:G3:22786889:22787715:1 gene:PRUPE_3G229800 transcript:ONI18648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSASKAIVAASVGVVEALKDQGICRWNSALRSVHQQAKTQLRSFSHANNKLSSSSASAALGKVRDEKLKKSEESLRTVMYLSCWGPN >ONI15058 pep chromosome:Prunus_persica_NCBIv2:G3:1734600:1736996:1 gene:PRUPE_3G023400 transcript:ONI15058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRSEVGKPVIVLICGSLVYYHCAHRNSSLVSLVSDVLIVLLCSLAILGLLFRQMNISVPVDPLEWQISQDTANSIVAWLANTIGAAESVLRVAATGHDKRLFFKVVFCLYMLSALGRLVSGLTVAYAGLCLFCLYMLAENSQSISACLSRFLRRTNDLAEEDAAEQDTM >ONI18083 pep chromosome:Prunus_persica_NCBIv2:G3:20692840:20696373:1 gene:PRUPE_3G196000 transcript:ONI18083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLVQNLTAIKQLHLNWVEMYSTVPDILVNASSLTSLQLGVCVLNGEFPIGIFHLPNLEVLDVNGNSYLTGYFPNFNRSNALKKLNVANTNFSGQLPNSLGNLHSLTTFAISLCNFHPNVPSSSLANLTQLGYLSMSTFNDVSKGKLSVSFSWVGKLTKLYHLSLGDTNIKGEFPSFVSNLTQLEFLDLSDNEISGQIPSWLMKLTQLTTLSLGDNNLQGPIPRSLFQLKNLEYLSLSSNNLSGLVEFDQFSKLKKLKRLLLSDNMLSVEIRNDLSATLPKLQTLALGSCNLTEFPNFLKNQSELTKLDLSENYIHGPIPKWLWNATIETLWGLRLYDNFLTGFDQYPGILPWTNLNYLGLGSNMLQGPLPIPPQSIRLYDVENNEYTGEISPLFCNFSNLQVLRLSNNSLSGMLPQCLGNSSVLEVLGLHNNFFNGYIPPICPSKTSLRIVDFSYNQLQGKLPRGVMNCTQLNVLNFANNQMSDIFPSWLGALPELRSLILRSNGFHGVIGKPATKHEFPNLRIIDLSNNGFSGMLPSNYFEIWNSMKYVDENQQTYFEVDTDVVGGSYFQSDYAMTISGKGVQLKYEKTPYLLTVIDLSSNRFEGEIPEGPVGNLRGLVLLNLSNNSLTGHIPSSLGDLAFLESLDLSQNQLSGRIPSNLAQLTFLAYFNVSHNHLSGPIPLGKQFDTFQEDSYEGNSGLCGKSLPKKCEDSESSTRPPSSIVGDDEDSVFQIALDWYVVVPGVVSGLIVGVVVGNIWTTKKHEWFVETFSRRRKPRSTRARRGRRT >ONI18082 pep chromosome:Prunus_persica_NCBIv2:G3:20693163:20696132:1 gene:PRUPE_3G196000 transcript:ONI18082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESWWSKFISVCSFILLLLNATHCFSPAQNEALGQVDECSALLQFKESFAINKSVSADPLAYPKVSFWTREGDGNRSNCCSWDGVECDEDFGHVVGLDLRSSCLYGSINSSNTLFRLVHLQWLDLSDNHFNFSQIPSRFGDDLSNLTYLNLSNSLFSGEIPPEISKLSKLSTLDLSFNYHKVKVYDDSFPLKLTNANMRSLVQNLTAIKQLHLNWVEMYSTVPDILVNASSLTSLQLGVCVLNGEFPIGIFHLPNLEVLDVNGNSYLTGYFPNFNRSNALKKLNVANTNFSGQLPNSLGNLHSLTTFAISLCNFHPNVPSSSLANLTQLGYLSMSTFNDVSKGKLSVSFSWVGKLTKLYHLSLGDTNIKGEFPSFVSNLTQLEFLDLSDNEISGQIPSWLMKLTQLTTLSLGDNNLQGPIPRSLFQLKNLEYLSLSSNNLSGLVEFDQFSKLKKLKRLLLSDNMLSVEIRNDLSATLPKLQTLALGSCNLTEFPNFLKNQSELTKLDLSENYIHGPIPKWLWNATIETLWGLRLYDNFLTGFDQYPGILPWTNLNYLGLGSNMLQGPLPIPPQSIRLYDVENNEYTGEISPLFCNFSNLQVLRLSNNSLSGMLPQCLGNSSVLEVLGLHNNFFNGYIPPICPSKTSLRIVDFSYNQLQGKLPRGVMNCTQLNVLNFANNQMSDIFPSWLGALPELRSLILRSNGFHGVIGKPATKHEFPNLRIIDLSNNGFSGMLPSNYFEIWNSMKYVDENQQTYFEVDTDVVGGSYFQSDYAMTISGKGVQLKYEKTPYLLTVIDLSSNRFEGEIPEGPVGNLRGLVLLNLSNNSLTGHIPSSLGDLAFLESLDLSQNQLSGRIPSNLAQLTFLAYFNVSHNHLSGPIPLGKQFDTFQEDSYEGNSGLCGKSLPKKCEDSESSTRPPSSIVGDDEDSVFQIALDWYVVVPGVVSGLIVGVVVGNIWTTKKHEWFVETFSRRRKPRSTRARRGRRT >ONI18522 pep chromosome:Prunus_persica_NCBIv2:G3:22139465:22143208:-1 gene:PRUPE_3G220500 transcript:ONI18522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESSKEELLQLIKRFGAYLTVKMSSIFPISLHNLNARSIGAIAGFAVAIVFTWRLLRSPSGPQRRQPKRQAPASSSSGISSQSNATLTTSGVSSSEDTRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVVLEESTPEELQKQVTVRYSVLEVLLEITKFCDLYLMERVLDDETEKKVLLALEDAGVFTSGGLVKEKVLFCSTENGRTSFVRQLEPDWHVDTNPDIIFQLSRFIKYQLHISPTRTERAASNVFNSPSLEQFFGCV >ONI18523 pep chromosome:Prunus_persica_NCBIv2:G3:22139446:22143208:-1 gene:PRUPE_3G220500 transcript:ONI18523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESSKEELLQLIKRFGAYLTVKMSSIFPISLHNLNARSIGAIAGFAVAIVFTWRLLRSPSGPQRRQPKRQAPASSSSGISSQSNATLTTSGVSSSEDTRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVVLEESTPEELQKQVTVRYSVLEVLLEITKFCDLYLMERVLDDETEKKVLLALEDAGVFTSGGLVKEKVLFCSTENGRTSFVRQLEPDWHVDTNPDIIFQLSRFIKYQLHISPTRTERAASNVFNSPSLEQFFGCV >ONI18794 pep chromosome:Prunus_persica_NCBIv2:G3:23377902:23381163:1 gene:PRUPE_3G239600 transcript:ONI18794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKALSTVTASSKGIFLCPHQYHEFNRHRFLSLQHHHHHHHHHNTSSSKPRPITSFLVHLEPIGPRAHQHSKPKPKLTRARAADSTQPTTVSSTADKTVVPDDQFSLAKVSFGVIGLGGGLSLLSYGFGAYFNILPGSEWSALMLTYGFPLAIIGMAFKYAELKPVPCLTYSDALKLRETSATPILTQVRNDVTRYRYGDEQHLDEALKRIFQYGQGGGIARRSAPTLQSIREEVTEDGRYSLVLVFEAKALQLSDFEQRQAKFASFFGPGITAEIVKGEKNLYEVRLISNSNVDPSA >ONI16693 pep chromosome:Prunus_persica_NCBIv2:G3:9805354:9806203:1 gene:PRUPE_3G115900 transcript:ONI16693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHLYHLGHHRHPHLHHLPRRCQFWTFWTNASSHLKTRSRGGGVSCEDSICILESQSTVSSNDGESFSAPFINSEAAFVKAIAYDNIW >ONI19717 pep chromosome:Prunus_persica_NCBIv2:G3:26221123:26223176:-1 gene:PRUPE_3G293400 transcript:ONI19717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGYYSSKKTDDICDDVCGQQGSQAALSMSRLRCILRGLDFKSYIFLFTVVPLGMFGIYLHGQKISYFLRPLWESPPKPFIDIPHYYHQNVSMETLCRLHGWKIRESPRRVYDAVLFSNEVDMLTIRWNELYPYVTQFVLLESNSTFTGLPKPLIFARNRDKFKFVEPRLTYVTTGGRFKKGENPFYEEAYQRVALDQLLRVAGIEDDDLLIMSDVDEIPSAHTIDLLRWCDDTPPVVHLGLKNYLYSFEYYVDDKSWRASVHRYQTGNTTYAHFRQSDYLLSDAGWHCSFCFRHISEFIFKMKAYSHYDRVRFSHYLNPKRIQDVICKGADLFDMLPEEYTFKEIIGKMGPIPRSYSAVHLPAFILNNAEKYKYLLPGNCKRENG >ONI19716 pep chromosome:Prunus_persica_NCBIv2:G3:26220695:26223880:-1 gene:PRUPE_3G293400 transcript:ONI19716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGYYSSKKTDDICDDVCGQQGSQAALSMSRLRCILRGLDFKSYIFLFTVVPLGMFGIYLHGQKISYFLRPLWESPPKPFIDIPHYYHQNVSMETLCRLHGWKIRESPRRVYDAVLFSNEVDMLTIRWNELYPYVTQFVLLESNSTFTGLPKPLIFARNRDKFKFVEPRLTYVTTGGRFKKGENPFYEEAYQRVALDQLLRVAGIEDDDLLIMSDVDEIPSAHTIDLLRWCDDTPPVVHLGLKNYLYSFEYYVDDKSWRASVHRYQTGNTTYAHFRQSDYLLSDAGWHCSFCFRHISEFIFKMKAYSHYDRVRFSHYLNPKRIQDVICKGADLFDMLPEEYTFKEIIGKMGPIPRSYSAVHLPAFILNNAEKYKYLLPGNCKRENG >ONI19715 pep chromosome:Prunus_persica_NCBIv2:G3:26220679:26223880:-1 gene:PRUPE_3G293400 transcript:ONI19715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGYYSSKKTDDICDDVCGQGSQAALSMSRLRCILRGLDFKSYIFLFTVVPLGMFGIYLHGQKISYFLRPLWESPPKPFIDIPHYYHQNVSMETLCRLHGWKIRESPRRVYDAVLFSNEVDMLTIRWNELYPYVTQFVLLESNSTFTGLPKPLIFARNRDKFKFVEPRLTYVTTGGRFKKGENPFYEEAYQRVALDQLLRVAGIEDDDLLIMSDVDEIPSAHTIDLLRWCDDTPPVVHLGLKNYLYSFEYYVDDKSWRASVHRYQTGNTTYAHFRQSDYLLSDAGWHCSFCFRHISEFIFKMKAYSHYDRVRFSHYLNPKRIQDVICKGADLFDMLPEEYTFKEIIGKMGPIPRSYSAVHLPAFILNNAEKYKYLLPGNCKRENG >ONI19714 pep chromosome:Prunus_persica_NCBIv2:G3:26220448:26223520:-1 gene:PRUPE_3G293400 transcript:ONI19714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGYYSSKKTDDICDDVCGQGSQAALSMSRLRCILRGLDFKSYIFLFTVVPLGMFGIYLHGQKISYFLRPLWESPPKPFIDIPHYYHQNVSMETLCRLHGWKIRESPRRVYDAVLFSNEVDMLTIRWNELYPYVTQFVLLESNSTFTGLPKPLIFARNRDKFKFVEPRLTYVTTGGRFKKGENPFYEEAYQRVALDQLLRVAGIEDDDLLIMSDVDEIPSAHTIDLLRWCDDTPPVVHLGLKNYLYSFEYYVDDKSWRASVHRYQTGNTTYAHFRQSDYLLSDAGWHCSFCFRHISEFIFKMKAYSHYDRVRFSHYLNPKRIQDVICKGADLFDMLPEEYTFKEIIGKMGPIPRSYSAVHLPAFILNNAEKYKYLLPGNCKRENG >ONI18451 pep chromosome:Prunus_persica_NCBIv2:G3:21900813:21905036:-1 gene:PRUPE_3G216900 transcript:ONI18451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKSRGEPSSRSVISKKWTLLLCLGCFCAGMLFTNRMWTVPENKGITRRTAMEEEQLKLVSEGCNPKAKEVKRENKDIFGEVSKTHNAIQTLDKTISNLEMELAAARATQESIRSGSPLSGDSKTTDSSGKRRYLMVVGINTAFSSRKRRDSVRATWMPQGEKRRRLEEEKGIIIRFVIGHGATSGGILDRAVEAEDRKHGDLLRLDHVEGYLELSAKTKIYFATAVATWDADFYVKVDDDVHVNIATLGGTLVRHRKKQRLYIGCMKSGPVLAQKGVRYHEPEYWKFGEPGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGAWFIGLDVQHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGENALWSASF >ONI18452 pep chromosome:Prunus_persica_NCBIv2:G3:21899165:21905036:-1 gene:PRUPE_3G216900 transcript:ONI18452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKSRGEPSSRSVISKKWTLLLCLGCFCAGMLFTNRMWTVPENKGITRRTAMEEEQLKLVSEGCNPKALHQKEVKRENKDIFGEVSKTHNAIQTLDKTISNLEMELAAARATQESIRSGSPLSGDSKTTDSSGKRRYLMVVGINTAFSSRKRRDSVRATWMPQGEKRRRLEEEKGIIIRFVIGHGATSGGILDRAVEAEDRKHGDLLRLDHVEGYLELSAKTKIYFATAVATWDADFYVKVDDDVHVNIATLGGTLVRHRKKQRLYIGCMKSGPVLAQKGVRYHEPEYWKFGEPGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGAWFIGLDVQHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGENALWSASF >ONI18453 pep chromosome:Prunus_persica_NCBIv2:G3:21901060:21904679:-1 gene:PRUPE_3G216900 transcript:ONI18453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTVPENKGITRRTAMEEEQLKLVSEGCNPKALHQKEVKRENKDIFGEVSKTHNAIQTLDKTISNLEMELAAARATQESIRSGSPLSGDSKTTDSSGKRRYLMVVGINTAFSSRKRRDSVRATWMPQGEKRRRLEEEKGIIIRFVIGHGATSGGILDRAVEAEDRKHGDLLRLDHVEGYLELSAKTKIYFATAVATWDADFYVKVDDDVHVNIATLGGTLVRHRKKQRLYIGCMKSGPVLAQKGVRYHEPEYWKFGEPGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGAWFIGLDVQHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGENALWSASF >ONI19224 pep chromosome:Prunus_persica_NCBIv2:G3:24933872:24936121:1 gene:PRUPE_3G265800 transcript:ONI19224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLGILLLGFLSVVSSVNGYYGGWSNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYEIRCVNDPQWCLPGTIVVTATNFCPPGGWCDPPQQHFDLSQPVFLHIAQYRAGVVPVSYRRVRCKRRGGIRFTVNGHSYFNLVLVTNVGGAGDVQSVAIKGSRTRWQLMSRNWGQNWQSNSNLNGQSLSFLVTTSDGRRLVSYNVAPPNWSFGQTYTGRQFLY >ONI19223 pep chromosome:Prunus_persica_NCBIv2:G3:24934282:24935678:1 gene:PRUPE_3G265800 transcript:ONI19223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLGILLLGFLSVVSSVNGYYGGWSNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYEIRCVNDPQWCLPGTIVVTATNFCPPGGWCDPPQQHFDLSQPVFLHIAQYRAGVVPVSYRRVRCKRRGGIRFTVNGHSYFNLVLVTNVGGAGDVQSVAIKGSRTRWQLMSRNWGQNWQSNSNLNGQSLSFLVTTSDGRRLVSYNVAPPNWSFGQTYTGRQFLY >ONI19225 pep chromosome:Prunus_persica_NCBIv2:G3:24934570:24935589:1 gene:PRUPE_3G265800 transcript:ONI19225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMGAGPMLMQPFMEVVMLQAQWVCGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYEIRCVNDPQWCLPGTIVVTATNFCPPGGWCDPPQQHFDLSQPVFLHIAQYRAGVVPVSYRRVRCKRRGGIRFTVNGHSYFNLVLVTNVGGAGDVQSVAIKGSRTRWQLMSRNWGQNWQSNSNLNGQSLSFLVTTSDGRRLVSYNVAPPNWSFGQTYTGRQFLY >ONI16522 pep chromosome:Prunus_persica_NCBIv2:G3:8204233:8207634:1 gene:PRUPE_3G104100 transcript:ONI16522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSQQNQKNTASSNNSNTNNTNSTATTKVKRTRKSVRRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAARAYDLAALKYWGQDTILNFPLGTYPEELKEMESQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKSLCPNNQNVPNNAQPNPNDDLVPMQNPNSKLGLGFIPNYHSSSSSASESTVALSRPGNGASASSALGLLLQSPKFKEMLERTSTSSTDCSSTLSVPDIPRRSFPDDIQTYFDCQDLNSYAEGDDIIFGDLHSFASPVFHCELDA >ONI17656 pep chromosome:Prunus_persica_NCBIv2:G3:19043301:19046576:-1 gene:PRUPE_3G172300 transcript:ONI17656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITPAPTASTTKPTKAITKTVRKAVNALLKWRNSKLQTEKPDLLESDEFAYLVLTLKKIPPKARINAYKVPLPNPLHSQLSELCLIYDDGPKSNLTKDFIQKKIKAENIPISKILKLSKLKTDYVPFEAKRKLLYSYDMFLADRRIVPLLPKYLGKQFFKKKKIPVPVDLEHKNWKEQVDKACGSALLFLSTGTCSVVRVAKVSMSVDEIVENVLAAINGIVEIVPKKWRDVRSFHLKFLESLALPVYQAVPDLTLKIEGAKSDEEGKEEVKKVVKSESKSLKSEKVSKKKGRIHEVRYMDSNAGEVLDDDEVVAEGDIGDGKQRENEEPGNGELGKKKRKKEKVVGESKGDKRLKKSAKVKDDAELNGEKGEFNNEKQLKKSAKVKDGDDEVPVEKQLKILAKMVDEDDATIKHKKDGLSSKGKKQDVTKMKADDLPVKGEESVGKKEKRKSEHVKLKSGEAKPKMAKRSKKAIE >ONI19142 pep chromosome:Prunus_persica_NCBIv2:G3:24744025:24747357:1 gene:PRUPE_3G261500 transcript:ONI19142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLLMASSPATLSVPHASNSRINRILPLKAMKSNSLTCLNSGLPKKGTLSVQASATSIGSLATKKRVDETDNLTLEGIRHSLIRQEDSIIFGLLERAQYCHNMPTYDSNAFPMVGFHGSLVEYILQETEKLHGQVGRYSSPDEHPFFPDVLPEPVLPPLHYPQVLHPIANSININHKVWEMYFRDILPRLVKEGDDGNFGSTAVCDTMCLQALSKRIHYGKFVAECKFRSNPKSYEAAIIEQNREKVMALLTYPTVEEAIIKRVEMKAKTYGQEVTLYKEEKEKTDLVYKIQPALVADLYGDWIMPMTKEVQVEYLLRRLD >ONI16456 pep chromosome:Prunus_persica_NCBIv2:G3:7615004:7615351:-1 gene:PRUPE_3G099100 transcript:ONI16456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEIQAQACKFPRIGNGSSSATNPAADDEDQDPSCLALKRADNRFREWHYSCIIHVSRASGYKDRHNKVWTSKGLRDRSPSPIPMRDSPLDRRLTPSYIQPPHPHPKRMLVWV >ONI19525 pep chromosome:Prunus_persica_NCBIv2:G3:25749262:25750355:1 gene:PRUPE_3G283300 transcript:ONI19525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDPTTYATAHRLSIEFISANTTPAHTHSLSSTHWCPPLHGKLKFNGDGACSTYVWKRELRAVVRNVHGDLMGAISVPITGCFTAKITKLLAIRESLQFAWEAGYDSLVVETDAKNAINDIVYGTEAFGVAGGIINDIHMLSRNFFSLSFVFAPRLCNTVADRLAKFALGSVSIDIWLE >ONI19721 pep chromosome:Prunus_persica_NCBIv2:G3:26245543:26247845:1 gene:PRUPE_3G293800 transcript:ONI19721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHQAKSETASLIEHRAYARVGLLGNPSDVYFGRTISFSLGNFWATVCLQPSDDLVIQPHPIHDLVVFKSLDHLVNRLDSEGYYGGVRLLMAICKVFLDYCKENEIQLEARNFTLSYDTNIPRQTGLSGSSAIVCAALSCLLDFYKVRHLIKVEVRPGLVLNAEKQLGIVAGLQDRVAQVYGGLVYMEFDKEHMDTSGHGIYTPMDVSHLPPLHLVYAENPSDSGKVHSTVRQRWLNGDEFIISSMTEVANIALEGRTALLEKDYTKLAALMNRNFDFRRSMFGDDALGALNIEMVEVARSVGAASKFTGSGGAVVVFCPDGPSQVKLLEDACQKAGFKLEPIQVVPSLLNEVDLQTLSK >ONI14809 pep chromosome:Prunus_persica_NCBIv2:G3:656903:660530:1 gene:PRUPE_3G010400 transcript:ONI14809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPPHCSITATKPYQTHRYPQNQHLKSQRQSRQSNQWTKQQVSLPKPLPLPSQAPRTAAKTPTATPTSSFSSLCPLPHPKSDLVTAFSGRRSTRFVSKMHLGRPKTTMGSYRSPLAEEALHQAVQFGNDDLALDDILLSFHSRLCGSDDYTFLFRELGNRGECWKAIRCFEFAVRREKRRTEQGKLASSMISTLGRLGKVELAKNVFQTAVNEGYGKTVYTYSALITAYGRNGYCEEAIRVFESMKDSGLKPNLVTYNAVIDAYGKGGVEFKRVVEIFNEMLRNGEQPDRITYNSLLAVCSRGGLWEMARNLFSEMVDRGIDQDIYTYNTLIDAICKGGQMDLAYQIMSEMPSKNILPNVVTYSTIIDGYAKAGRLEDALSLFNEMKFLAIGLDRVLYNTLLSLYGKLGRFEDALKVCKEMESVGIAKDVVSYNALLGGYGKQGKYDDAKRMYNQMKEERVSPNILTYSTLIDVYSKGGLYMEAMKVFREFKQAGLKADVVLYSELVNALCKNGLVESAVLLLDEMTKEGIRPNVVTYNSIIDAFGRSATTECAADAAGGGIVLQTESSSSVSEGDAIGIQVGDRGDNRFMKMFGQLAAEKAGYAKTDRKVRQEILCILGIFQKMHELDIKPNVVTFSAILNACSRCNSFEDASMLLEELRLFDNKVYGVAHGLLMGYRDNVWVKAESLFDEVKQMDSSTASAFYNALTDMLWHYGQKQGAQLVVLEGKRRNVWESVWSNSCLDLHLMSSGAARAMVHAWLLNIRSIVFEGQQLPNLLSILTGWGKHSKVVGDSTLRRAIEALLTSMGAPFRVAKCNLGRFISTGSMAAAWLRESGTLEVLVLHDDRTCPKSADLEQTSNLQALAL >ONI14810 pep chromosome:Prunus_persica_NCBIv2:G3:657198:660418:1 gene:PRUPE_3G010400 transcript:ONI14810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPPHCSITATKPYQTHRYPQNQHLKSQRQSRQSNQWTKQQVSLPKPLPLPSQAPRTAAKTPTATPTSSFSSLCPLPHPKSDLVTAFSGRRSTRFVSKMHLGRPKTTMGSYRSPLAEEALHQAVQFGNDDLALDDILLSFHSRLCGSDDYTFLFRELGNRGECWKAIRCFEFAVRREKRRTEQGKLASSMISTLGRLGKVELAKNVFQTAVNEGYGKTVYTYSALITAYGRNGYCEEAIRVFESMKDSGLKPNLVTYNAVIDAYGKGGVEFKRVVEIFNEMLRNGEQPDRITYNSLLAVCSRGGLWEMARNLFSEMVDRGIDQDIYTYNTLIDAICKGGQMDLAYQIMSEMPSKNILPNVVTYSTIIDGYAKAGRLEDALSLFNEMKFLAIGLDRVLYNTLLSLYGKLGRFEDALKVCKEMESVGIAKDVVSYNALLGGYGKQGKYDDAKRMYNQMKEERVSPNILTYSTLIDVYSKGGLYMEAMKVFREFKQAGLKADVVLYSELVNALCKNGLVESAVLLLDEMTKEGIRPNVVTYNSIIDAFGRSATTECAADAAGGGIVLQTESSSSVSEGDAIGIQVGDRGDNRFMKMFGQLAAEKAGYAKTDRKVRQEILCILGIFQKMHELDIKPNVVTFSAILNACSRCNSFEDASMLLEELRLFDNKVYGVAHGLLMGYRDNVWVKAESLFDEVKQMDSSTASAFYNALTDMLWHYGQKQGAQLVVLEGKRRNVWESVWSNSCLDLHLMSSGAARAMVHAWLLNIRSIVFEGQQLPNLLRVGQT >ONI14902 pep chromosome:Prunus_persica_NCBIv2:G3:1093547:1102808:-1 gene:PRUPE_3G015400 transcript:ONI14902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVFRSNSGSMRYFSTHSNSHSHSQCLPSPLLFQSSLLLRFNVHSMAVKPIKRVRCELQAKVNGALSADSDPRFIDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDLALGGGLPKGRIVEIFGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDHGEMALEIADRMCRSGAIDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSTGKIKSVKGDEEIGVRVRVRVQKSKVSRPYKQAEFEIVFGEGVGKLGCILDCAETMDVVVKKGSWYSYGDHRLGQGRDKALQYLRENPLLLDEIEKIVRSMITDGTGQVGTLHTSNLQIPQQDEDDFEDIQ >ONI14903 pep chromosome:Prunus_persica_NCBIv2:G3:1095654:1102931:-1 gene:PRUPE_3G015400 transcript:ONI14903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVFRSNSGSMRYFSTHSNSHSHSQCLPSPLLFQSSLLLRFNVHSMAVKPIKRVRCELQAKVNGALSADSDPRFIDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDLALGGGLPKGRIVEIFGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDHGEMALEIADRMCRSGAIDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSTGKIKSVKGDEEIGVRVRVRVQKSKVSRPYKQAEFEIVFGEGVGKLGCILDCAETMDVVVKKGSWYSYGDHRLGQGRDKALQYLRENPLLLDEIEKIMWRMVS >ONI14901 pep chromosome:Prunus_persica_NCBIv2:G3:1093126:1102931:-1 gene:PRUPE_3G015400 transcript:ONI14901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVFRSNSGSMRYFSTHSNSHSHSQCLPSPLLFQSSLLLRFNVHSMAVKPIKRVRCELQAKVNGALSADSDPRFIDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDLALGGGLPKGRIVEIFGPESSGKTTLALHAIAEKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDHGEMALEIADRMCRSGAIDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSTGKIKSVKGDEEIGVRVRVRVQKSKVSRPYKQAEFEIVFGEGVGKLGCILDCAETMDVVVKKGSWYSYGDHRLGQGRDKALQYLRENPLLLDEIEKIVRSMITDGTGQVGTLHTSNLQIPQQDEDDFEDIQ >ONI14904 pep chromosome:Prunus_persica_NCBIv2:G3:1093126:1102931:-1 gene:PRUPE_3G015400 transcript:ONI14904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVFRSNSGSMRYFSTHSNSHSHSQCLPSPLLFQSSLLLRFNVHSMAVKPIKRVRCELQAKVNGALSADSDPRFIDRQKALEAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDLALGGGLPKGRIVEIFGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDHGEMALEIADRMCRSGAIDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSTGKIKSVKGDEEIGVRVRVRVQKSKVSRPYKQAEFEIVFGEGVGKLGCILDCAETMDVVVKKGSWYSYGDHRTRKR >ONI16604 pep chromosome:Prunus_persica_NCBIv2:G3:8883907:8885574:1 gene:PRUPE_3G109600 transcript:ONI16604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLQGAIPSIHFSGKVKSSLYRPWRFAVIIKLMGRPLAFTFLRSRLLQRWALKGPMSLIDLEINYFIVKFLYEEDMRYVLTGGPWQIAGQYIVTQKWKLGFNAKEEKITHMTAWVDARTLSQGRGKFARICVELDLAKPFTPFIKIEGRTYGVVYEGINLVCFECGCFGHGRDSCPIILQAKQQVPESDNADCMEDISTVQVNVNLGAATKEAEVPAKMHGEWMLLKPRNFRKNSTNDIGKGAELSKRNTKDTGTKAISPIFGSRFNVLIEEVGREEDMEGSTPVKTSDSSFKKQGSFANTYSTKGKSAGVKFASSRDSGTWVFEKPLKDISNSVVANSSGGGVKSGGSLFQKLPLGKGGLFFGHEPPDISEMGINEIEPSDASDMDHQGNFSEHCESDTGLEADSSFEHDGFEAVEAEHVQI >ONI19807 pep chromosome:Prunus_persica_NCBIv2:G3:26450861:26454916:-1 gene:PRUPE_3G299000 transcript:ONI19807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKRGPWTIEEDHKLMNFILNNGIHCWRMVPKLAGLLRCGKSCRLRWINYLRPDLKRGAFTETEENQIIQLHSCLGNRWSKIASHFPGRTDNEIKNHWNTRIKKRLKHHGVDPLTHKPIEHKENIAEKKQTITREDSSSSISQGQEESQDSGLEAKLQQDGNGNKGFPEFNDKLQNVPELAGFDETNDLLKNYEMFCGSLDLGTFLLNQGTNTNNTSTSNSYSTSSFSVEESNNPSISIGESIQEHSLQKWVDNQEQFRRKRSMSAIQRAIRRFHATVNAPRLTRLSLHAPRCVEVEFGNGSVFNLSAEFLRIHSPAVDAKVRSIAGEKQLHMVY >ONI19806 pep chromosome:Prunus_persica_NCBIv2:G3:26450861:26454916:-1 gene:PRUPE_3G299000 transcript:ONI19806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKRGPWTIEEDHKLMNFILNNGIHCWRMVPKLAGLLRCGKSCRLRWINYLRPDLKRGAFTETEENQIIQLHSCLGNRWSKIASHFPGRTDNEIKNHWNTRIKKRLKHHGVDPLTHKPIEHKENIAEKKQTITREDSSSSISQGQEESQDSGLEAKLQQDGNGNKGFPEFNDKLQNVPELAGFDETNDLLKNYEMFCGSLDLGTFLLNQGTNTNNTSTSNSYSTSSFSVEESNNPSISIGESIQEHSLQKWVDNQEQFRRKRSMSAIQRAIRRFHATVNAPRLTRLSLHAPRCVEVEFGNGSVFNLSAEFLRIHSPAVDAKVRSIAGEKLHMVY >ONI19808 pep chromosome:Prunus_persica_NCBIv2:G3:26453470:26455254:-1 gene:PRUPE_3G299000 transcript:ONI19808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKRGPWTIEEDHKLMNFILNNGIHCWRMVPKLAGLLRCGKSCRLRWINYLRPDLKRGAFTETEENQIIQLHSCLGNRWSKIASHFPGRTDNEIKNHWNTRIKKRLKHHGVDPLTHKPIEHKENIAEKKQTITREDSSSSISQGQEESQDSGLEAKLQQDGNGNKGFPEFNDKLQNVPELAGFDETNDLLKNYEMFCGSLDLGTFLLNQGTNTNNTSTSNSYSTSSFSVEESNNPSISIGESIQEHSLQKWVDSVDSMLSWDGFNNLEQDLFFF >ONI15688 pep chromosome:Prunus_persica_NCBIv2:G3:3946027:3948906:1 gene:PRUPE_3G055700 transcript:ONI15688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHHRNLVSLVGYCNEGETMALVYEYVANGNLQHHLSAGLEYLHNGCKPPIVHRDLKTSNILLNEKLQAKIADFGLSKVLSTESATHVSTAAKGTFGYLDPQYCSTGQLNKKSDVYSFGIVLLELITGRAAITRDEEDVPIHICQWMCPKFESMEIESIVDSRLQGSYLNSSARKAIEIAMACASSTAIQRPDITVVHNDLKECLEIEMPFEITEIVESDDAKMMTNFKPNRLLECEVQRFAYSEIVKITNDFSSIIGRGYLLY >ONI20001 pep chromosome:Prunus_persica_NCBIv2:G3:26917259:26919732:1 gene:PRUPE_3G309400 transcript:ONI20001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAELGESAAMDNNSNGGGNVFQLRVKQGEPTLVSPAEETEKGLYFLSNLDQNIAVTVRTIYCFKSDDKGNDKAGEVIKNSLKKVLVHYYPLAGRLTISSEGKLIVDCTGEGAVFVEAEADCRIEDIGDITKPDPETLGQLVYDVPGAKNILELPPLVAQVTKFKCGGFALGLCMNHCMFDGIGAMEFVNSWAKIARGLPLTVPPFLDRSILKARNPPTIEHLHQEFSEIIGTSSTSNDLSEEKMLYNSFCFDSEKLEKVKMKAREDRALDNCTTFEALSAFVWKARTTALKLLPEQQIKLLFAVDGRRKFSPPLPKGYFGNGIVLTNSICQAGDLLEKPLSHAVGLVQDAIKMVTDSYMRSAIDYFELTRARPSLASTLLITTWSRLSFYTTDFGWGEPILSGPVALPEKEVILFLSNGTEKKNINVLLGLPASAMKVFQELMNV >ONI18607 pep chromosome:Prunus_persica_NCBIv2:G3:22563545:22568521:-1 gene:PRUPE_3G226300 transcript:ONI18607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCRRRRRMTRTNCTITWFTLLLLLCSAHQFCFARDTLTYDTPITDGIRSETLVSAGGEFELGFFTPSSTQSSGLARRYVGIWYHNMSPRTVVWVANREKPVVNSTSTGVFAIKEGNLQVLDSFTDESYWSTELQTPKSSNLVVRLMDSGNLVLFKDGDDHLWQSFQNATDTFIPGMVMDTNLELTSWRNQDDPGIGNFTFKLDQEGDNHFVISRKLIPYWKSGKPGEFSTSAEVIPPMASMFLNFSKSTAIPIGRGYNYNTFTAMTTLKIKYKRTVMNFDGKIQFLTWLEQDTRWSLLRTLEPRDRCSVFNACGNFGSCNSNNWPLVCKCLPGFKPQFAEQWETGDFSGGCERKSTICSEDTFLRLKMMKVGKPDTHIDVDNETGCRNECLNNCQCQAFSYSAGVNSTRRDYTPTSLCWIWLRDLNNLEEEYGHCGEDLSVRVALESTVRDCKPCGTTVIPYPLSTGSDCGDPMYFRFNCNTSTGLVRFVGPNKTLFRVISISPSTRRFVIQEKDVDNCDPRSTSKSQQLNLSLPFKLISWCSANLTSSDTNAVELGWEPPTEPSCTTSADCRDWPHSTCTPARDGQKKCLCNSNFQLNGLNCTRVVIFLACIVCVYIWRRKTTKRRDKINRAQLDSERRVQELMDTTEFTEEDERDIDVPFFDLQSILDATDNFSNANKLGQGGYGPVYKGKFVGDQEIAVKRLSRASRQGLQEFKNEVVLIAKLQHRNLVRLKGFCIEGEEKILLYEYMPNQSLDFYIFDHTKSMVLNWEMRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIVGGKETESNTNTVVGTYGYMSPEYALGGTFSVKSDVYGFGVVLLEIISGKKNTGFYQSQQTFSLISYAWKLWTENKVLELMDKTLDESCNKSQFIQCVNVGLLCVQEDPVDRPAMSNVLTMLDSEIAISPTPKQPAFLFRRGNNSSRAASSTKPETIAEITTSLEEGR >ONI18608 pep chromosome:Prunus_persica_NCBIv2:G3:22563841:22568361:-1 gene:PRUPE_3G226300 transcript:ONI18608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCRRRRRMTRTNCTITWFTLLLLLCSAHQFCFARDTLTYDTPITDGIRSETLVSAGGEFELGFFTPSSTQSSGLARRYVGIWYHNMSPRTVVWVANREKPVVNSTSTGVFAIKEGNLQVLDSFTDESYWSTELQTPKSSNLVVRLMDSGNLVLFKDGDDHLWQSFQNATDTFIPGMVMDTNLELTSWRNQDDPGIGNFTFKLDQEGDNHFVISRKLIPYWKSGKPGEFSTSAEVIPPMASMFLNFSKSTAIPIGRGYNYNTFTAMTTLKIKYKRTVMNFDGKIQFLTWLEQDTRWSLLRTLEPRDRCSVFNACGNFGSCNSNNWPLVCKCLPGFKPQFAEQWETGDFSGGCERKSTICSEDTFLRLKMMKVGKPDTHIDVDNETGCRNECLNNCQCQAFSYSAGVNSTRRDYTPTSLCWIWLRDLNNLEEEYGHCGEDLSVRVALESTVRDCKPCGTTVIPYPLSTGSDCGDPMYFRFNCNTSTGLVRFVGPNKTLFRVISISPSTRRFVIQEKDVDNCDPRSTSKSQQLNLSLPFKLISWCSANLTSSDTNAVELGWEPPTEPSCTTSADCRDWPHSTCTPARDGQKKCLCNSNFQLNGLNCTRESEEQSRRKMSLSLILIVVAVLTVVIFLACIVCVYIWRRKTTKRRDKINRAQLDSERRVQELMDTTEFTEEDERDIDVPFFDLQSILDATDNFSNANKLGQGGYGPVYKGKFVGDQEIAVKRLSRASRQGLQEFKNEVVLIAKLQHRNLVRLKGFCIEGEEKILLYEYMPNQSLDFYIFDHTKSMVLNWEMRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIVGGKETESNTNTVVGTYGYMSPEYALGGTFSVKSDVYGFGVVLLEIISGKKNTGFYQSQQTFSLISYAWKLWTENKVLELMDKTLDESCNKSQFIQCVNVGLLCVQEDPVDRPAMSNVLTMLDSEIAISPTPKQPAFLFRRGNNSSRAASSTKPETIAEITTSLEEGR >ONI19121 pep chromosome:Prunus_persica_NCBIv2:G3:24617802:24618122:1 gene:PRUPE_3G259600 transcript:ONI19121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRGDVYSFGIVVMETFPRRKPTHEMFVGEMNSKQWIANSLLPDSMIDEAVDANLLGIGTEQEDDDQCVSPRLSTKSLDLLDVVGDSFNLGLQIKVIKKEKFRL >ONI17758 pep chromosome:Prunus_persica_NCBIv2:G3:19435371:19439721:1 gene:PRUPE_3G177300 transcript:ONI17758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKAWGLSKTIGSFFTNQHKLMEDFPERYSYNPTLRWNAELESYFSNAYGPQNFSRISKALTRPSCYSCIRVNTLKTTPDAVIDKLTAVLKENRADISKCEVAGLDNVVFVRGSGPHAINYGYAADGKPPKEVLVSRKCAEAVLRGAQVYVPGVLACSAHVEKGELVAVSVSVEQPGVDGGWGVGITRGTVLQGSETDPYHLERSGLYIGQGRAMMSRSGMFRVTEGLAVDMSDRVFHLPSFRDVLEGEIFLQNLPSIVAARALDPQKGERILDMCAAPGGKTTAIAILMKDEGEIVAVDRSHNKVQGIHKLAAEMGLSCITPYKLDALKAVCVRNESEDLSDPSCAKDNHGGHIQDSNFMKSEVEKIESITFERLNAEKDFKKAVSNEKAIESSYISKADIRKEMRRKRNGPGRNQCLGGKVEKSKGFAPSSFDRVLLDAPCSALGLRPRLFTGEETIESLRKHAIYQRRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDTYKFLSLAPQHPRIGGPGLVGRFEFPDGYTELKLGNTQLEEFSYV >ONI17757 pep chromosome:Prunus_persica_NCBIv2:G3:19434513:19440350:1 gene:PRUPE_3G177300 transcript:ONI17757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKAWGLSKTIGSFFTNQHKLMEDFPERYSYNPTLRWNAELESYFSNAYGPQNFSRISKALTRPSCYSCIRVNTLKTTPDAVIDKLTAVLKENRADISKCEVAGLDNVVFVRGSGPHAINYGYAADGKPPKEVLVSRKCAEAVLRGAQVYVPGVLACSAHVEKGELVAVSVSVEQPGVDGGWGVGITRGTVLQGSETDPYHLERSGLYIGQGRAMMSRSGMFRVTEGLAVDMSDRVFHLPSFRDVLEGEIFLQNLPSIVAARALDPQKGERILDMCAAPGGKTTAIAILMKDEGEIVAVDRSHNKVQGIHKLAAEMGLSCITPYKLDALKAVCVRNESEDLSDPSCAKDNHGGHIQDSNFMKSEVEKIESITFERLNAEKDFKKAVSNEKAIESSYISKADIRKEMRRKRNGPGRNQCLGGKVEKSKGFAPSSFDRVLLDAPCSALGLRPRLFTGEETIESLRKHAIYQRRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDTYKFLSLAPQHPRIGGPGLVGRFEFPDGYTEEWLRPGEEELVQRFDPSSPLDTIGFFIAKFVVGSNEADLQNSSS >ONI19764 pep chromosome:Prunus_persica_NCBIv2:G3:26331534:26334722:-1 gene:PRUPE_3G296200 transcript:ONI19764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPRRVSSLLYSLLPSSLAPNPLFHALPIYPLLLSSVFSPSPSRSLSSSMAPELQQDGETLPQNNNTYTRDHEALHKTKVTVVGSGNWGSVAAKLIASNTLRLSSFHDEVRMWVFEETLPSGEKLTDAINRNNENVKYLPGIKLGKNVVADPDLDNAVNGANMLVFVTPHQFMEGICKRLVGKIKGDVEAISLIKGMEVKMEGPCMISTLISEQLGINCCVLMGANIANEVQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRIGLREMKAFSKMLFSSVKDSTFFESCGVADLITTCLGGRNRKVAEAFARSGGKRSFDELEAEMLQGQKLQGVSTAKEVYEVLSHRGWLEFFPLFATVHEICIGSLPPSAIVEHSERKPEL >ONI19765 pep chromosome:Prunus_persica_NCBIv2:G3:26331892:26334632:-1 gene:PRUPE_3G296200 transcript:ONI19765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPRRVSSLLYSLLPSSLAPNPLFHALPIYPLLLSSVFSPSPSRSLSSSMAPELQQDGETLPQNNNTYTRDHEALHKTKVTVVGSGNWGSVAAKLIASNTLRLSSFHDEVRMWVFEETLPSGEKLTDAINRNNENVKYLPGIKLGKNVVADPDLDNAVNGANMLVFVTPHQFMEGICKRLVGKIKGDVEAISLIKGMEVKMEGPCMISTLISEQLGINCCVLMGANIANEIALEKFSEATVGYRENRAIAEKWVHLFSTPYFIVTPVQDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRIGLREMKAFSKMLFSSVKDSTFFESCGVADLITTCLGGRNRKVAEAFARSGGKRSFDELEAEMLQGQKLQGVSTAKEVYEVLSHRGWLEFFPLFATVHEICIGSLPPSAIVEHSERKPEL >ONI19742 pep chromosome:Prunus_persica_NCBIv2:G3:26271942:26273777:1 gene:PRUPE_3G294600 transcript:ONI19742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPCSFSSFCSSKLMCKLTGDTINKSEEHIWKHINGKRFLNKLEEKEEQKLKPDGMVEKLDDQNPEIVPEPDTDGVRKKKKKKTKKKKKKKENGVDETISDIRKSSDEESDLEETDFWMPPVGERWDFDEGGDRWGSGSDSENEDDEVDGAVSDGVVEDGEEESEELSMRTKRMSIEIGPSSFASRKKKSKKSTT >ONI19743 pep chromosome:Prunus_persica_NCBIv2:G3:26271722:26273777:1 gene:PRUPE_3G294600 transcript:ONI19743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLTGDTINKSEEHIWKHINGKRFLNKLEEKEEQKLKPDGMVEKLDDQNPEIVPEPDTDGVRKKKKKKTKKKKKKKENGVDETISDIRKSSDEESDLEETDFWMPPVGERWDFDEGGDRWGSGSDSENEDDEVDGAVSDGVVEDGEEESEELSMRTKRMSIEIGPSSFASRKKKSKKSTT >ONI19741 pep chromosome:Prunus_persica_NCBIv2:G3:26271432:26273777:1 gene:PRUPE_3G294600 transcript:ONI19741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEEKAKEKEGSNLLGSPTFKELENGRFQCVETGHEVVAKDKEIYSQSKRCRLGLVDFALSHKKPPLNMFKQDPLSRSKLMCKLTGDTINKSEEHIWKHINGKRFLNKLEEKEEQKLKPDGMVEKLDDQNPEIVPEPDTDGVRKKKKKKTKKKKKKKENGVDETISDIRKSSDEESDLEETDFWMPPVGERWDFDEGGDRWGSGSDSENEDDEVDGAVSDGVVEDGEEESEELSMRTKRMSIEIGPSSFASRKKKSKKSTT >ONI17543 pep chromosome:Prunus_persica_NCBIv2:G3:18513131:18524456:1 gene:PRUPE_3G166300 transcript:ONI17543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKLEEAEKLFLLALQEAKEGFGERDPHVASACNNLAELYRVNKAYNKAEPLYLDAISILEESFGPEDIRVGSAFHNLGQFYIVQRKLEEARVCYEIKARVLGLNHTDYADTMYHLGTVLQLQGKGKDSEALIQDSIRILEDGGQGESIMCVKRMRYLAQIYMKSNRLEEAEKVQRKILHIMELTKGWNSLDTVITAEGLALILQSAGSLKEAEELLERCLDARKTLLPEDHIQIGANMLHMARVAILNSNQLKKVDTSKAICELEKARDILNESIRIARSSLDKSMRQNRKIHSYGASGDIGKNFRVALVILLQAFDALGLLAITKQELQESKDECLPNSEAESALLRCISTYKEFVTEMSIAEFPEVKAEYLKCLKHLLSLNGNSTTQTSQRSTRVNLQDLRDEIKRLELQLSPSRNRKI >ONI17547 pep chromosome:Prunus_persica_NCBIv2:G3:18517481:18524069:1 gene:PRUPE_3G166300 transcript:ONI17547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVKRMRYLAQIYMKSNRLEEAEKVQRKILHIMELTKGWNSLDTVITAEGLALILQSAGSLKEAEELLERCLDARKTLLPEDHIQIGANMLHMARVAILNSNQLKKVDTSKAICELEKARDILNESIRIARSSLDKSMRQNRKIHSYGASGDIGKNFRVALVILLQAFDALGLLAITKQELQESKQDECLPNSEAESALLRCISTYKEFVTEMSIAEFPEVKAEYLKCLKHLLSLNGNSTTQTSQRSTRVNLQDLRDEIKRLELQLSPSRNRKI >ONI17546 pep chromosome:Prunus_persica_NCBIv2:G3:18517481:18524448:1 gene:PRUPE_3G166300 transcript:ONI17546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVKRMRYLAQIYMKSNRLEEAEKVQRKILHIMELTKGWNSLDTVITAEGLALILQSAGSLKEAEELLERCLDARKTLLPEDHIQIGANMLHMARVAILNSNQLKKVDTSKAICELEKARDILNESIRIARSSLDKSMRQNRKIHSYGASGDIGKNFRVALVILLQAFDALGLLAITKQELQESKQDECLPNSEAESALLRCISTYKEFVTEMSIAEFPEVKAEYLKCLKHLLSLNGNSTTQTSQRSTRVNLQDLRDEIKRLELQLSPSRNRKI >ONI17545 pep chromosome:Prunus_persica_NCBIv2:G3:18517078:18524082:1 gene:PRUPE_3G166300 transcript:ONI17545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLGTVLQLQGKGKDSEALIQDSIRILEDGGQGESIMCVKRMRYLAQIYMKSNRLEEAEKVQRKILHIMELTKGWNSLDTVITAEGLALILQSAGSLKEAEELLERCLDARKTLLPEDHIQIGANMLHMARVAILNSNQLKKVDTSKAICELEKARDILNESIRIARSSLDKSMRQNRKIHSYGASGDIGKNFRVALVILLQAFDALGLLAITKQELQESKDECLPNSEAESALLRCISTYKEFVTEMSIAEFPEVKAEYLKCLKHLLSLNGNSTTQTSQRSTRVNLQDLRDEIKRLELQLSPSRNRKI >ONI17542 pep chromosome:Prunus_persica_NCBIv2:G3:18513131:18524456:1 gene:PRUPE_3G166300 transcript:ONI17542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKLEEAEKLFLLALQEAKEGFGERDPHVASACNNLAELYRVNKAYNKAEPLYLDAISILEESFGPEDIRVGSAFHNLGQFYIVQRKLEEARVCYEIKARVLGLNHTDYADTMYHLGTVLQLQGKGKDSEALIQDSIRILEDGGQGESIMCVKRMRYLAQIYMKSNRLEEAEKVQRKILHIMELTKGWNSLDTVITAEGLALILQSAGSLKEAEELLERCLDARKTLLPEDHIQIGANMLHMARVAILNSNQLKKVDTSKAICELEKARDILNESIRIARSSLDKSMRQNRKIHSYGASGDIGKNFRVALVILLQAFDALGLLAITKQELQESKQDECLPNSEAESALLRCISTYKEFVTEMSIAEFPEVKAEYLKCLKHLLSLNGNSTTQTSQRSTRVNLQDLRDEIKRLELQLSPSRNRKI >ONI17544 pep chromosome:Prunus_persica_NCBIv2:G3:18517077:18524069:1 gene:PRUPE_3G166300 transcript:ONI17544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLGTVLQLQGKGKDSEALIQDSIRILEDGGQGESIMCVKRMRYLAQIYMKSNRLEEAEKVQRKILHIMELTKGWNSLDTVITAEGLALILQSAGSLKEAEELLERCLDARKTLLPEDHIQIGANMLHMARVAILNSNQLKKVDTSKAICELEKARDILNESIRIARSSLDKSMRQNRKIHSYGASGDIGKNFRVALVILLQAFDALGLLAITKQELQESKQDECLPNSEAESALLRCISTYKEFVTEMSIAEFPEVKAEYLKCLKHLLSLNGNSTTQTSQRSTRVNLQDLRDEIKRLELQLSPSRNRKI >ONI14747 pep chromosome:Prunus_persica_NCBIv2:G3:359315:364069:-1 gene:PRUPE_3G006000 transcript:ONI14747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSVVPASGIRDPSGNVVGVDSLPSGMNDMKIRDEKEMEATIVDGNGTEAGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGLVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNIVSLKHCFFSTTGKDELYLNLVLEYVPETVHRVIKHYSKMNQRMPLIYVKLYFYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVRGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALEALAHPFFDELRDPNTRLPNGRFLPPLFNFKAHELKGVPAEMLVKLIPEHARKQCAFLGSS >ONI14748 pep chromosome:Prunus_persica_NCBIv2:G3:359315:364165:-1 gene:PRUPE_3G006000 transcript:ONI14748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSVVPASGIRDPSGNVVGVDSLPSGMNDMKIRDEKEMEATIVDGNGTEAGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGLVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNIVSLKHCFFSTTGKDELYLNLVLEYVPETVHRVIKHYSKMNQRMPLIYVKLYFYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVRGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALEALAHPFFDELRDPNTRLPNGRFLPPLFNFKAHELKGVPAEMLVKLIPEHARKQCAFLGSS >ONI20009 pep chromosome:Prunus_persica_NCBIv2:G3:26946661:26948736:-1 gene:PRUPE_3G310000 transcript:ONI20009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDLDPNENEIKTENDGQSDPVVVEDPVPEPEPEPEPEPEPEPEEDPSLEKVSEDLDRCISSLSSEKNENDDGLSFPEELPKLVEKFMNLVEDEVIAKRDSGESKIKWCQVPEEDASFLEALDRIRRLSKYLSFLGLKFEESHGALINRLGSIQHRALLYLEEEFRMLLEESRHCTEPNTPSAGDKDHNSHGNKGGNNNKQQQDQEQDRCMLPDYSESRGGGDQLQADIFPGYAQEVVNNMNKIAKEMISSGYETECCEVYTISRRLAFDETLHKLGLEKHSLDDIQKMNWEALEREVVSWIKAFKECAAVYFSGERKLAEVVFADYPSISSSLFSNLTRGVIIRLLNFAEGIVISKRSAEKLFKTLDMYEALREVVPKMDNLFPVECVNELKAETTTVRSRLGEAVICIFCDLENSIKAEAGRNPVPGGAVHPLTRYMMNYLRNTCEYKETLELVFREHSKIERSDSTSRPDHNYEAGEGMSSGNANEQSDHQSPFYLQLMRVMDLLDSNLEGKAKLYKDSALSSIFMMNNGRYILQKIKGSTEINSCMGDTWCRKRSSDLRQYHKNYQRETWSRLLQCLSHEGLTVHGKVAKPVLKERFKSFNALFDEIHKTQSMWVVSDEQLQSELRVSISAVVIPAYRSFLGRFSQVLDPGRQTEKYIKFQAEDIETYIDELFDGNPNSSIFRKKP >ONI15073 pep chromosome:Prunus_persica_NCBIv2:G3:1790303:1795602:1 gene:PRUPE_3G024200 transcript:ONI15073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRETLLTLSLRNVCKQSEALEQKKVVEKKEFGAIDYDAPIELEKKETKSIGLGTQIGVGVAVVVFGLVFALGDFLPSGSLSPTEDAAITSNKLSEEEKVSLQTRLKEYEATLSNSPKDPTALEGAAVTLAELGEYTRASTLLQDLAKEKPSDPEVFRLLGEVKYELKDYEGSAAAYKVSSMVSKDLKFEVLRGLTNSLLAAKKPDEAVQFLLASRERMNAGNPDAKAGSTTTEANLQVDPIQVELLLGKAYSDWGHVSDAVSVYDRLISSHPNDFRGYLAKGIILRENGKVGEAERMFIQVNKWMSGCR >ONI15072 pep chromosome:Prunus_persica_NCBIv2:G3:1790303:1795602:1 gene:PRUPE_3G024200 transcript:ONI15072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAATTAMTMTTCRFFKVKIQCSDSKPRTGFGTKTNNKNKNRNKNNLNQESTTKRSGAVPTQAPGLNSRFDGKVKRNPADLEFEERLQAVRSSALEQKKVVEKKEFGAIDYDAPIELEKKETKSIGLGTQIGVGVAVVVFGLVFALGDFLPSGSLSPTEDAAITSNKLSEEEKVSLQTRLKEYEATLSNSPKDPTALEGAAVTLAELGEYTRASTLLQDLAKEKPSDPEVFRLLGEVKYELKDYEGSAAAYKVSSMVSKDLKFEVLRGLTNSLLAAKKPDEAVQFLLASRERMNAGNPDAKAGSTTTEANLQVDPIQVELLLGKAYSDWGHVSDAVSVYDRLISSHPNDFRGYLAKGIILRENGKVGEAERMFIQVNKWMSGCR >ONI15071 pep chromosome:Prunus_persica_NCBIv2:G3:1790303:1795658:1 gene:PRUPE_3G024200 transcript:ONI15071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAATTAMTMTTCRFFKVKIQCSDSKPRTGFGTKTNNKNKNRNKNNLNQESTTKRSGAVPTQAPGLNSRFDGKVKRNPADLEFEERLQAVRSSALEQKKVVEKKEFGAIDYDAPIELEKKETKSIGLGTQIGVGVAVVVFGLVFALGDFLPSGSLSPTEDAAITSNKLSEEEKVSLQTRLKEYEATLSNSPKDPTALEGAAVTLAELGEYTRASTLLQDLAKEKPSDPEVFRLLGEVKYELKDYEGSAAAYKVSSMVSKDLKFEVLRGLTNSLLAAKKPDEAVQFLLASRERMNAGNPDAKAGSTTTEANLQVDPIQVELLLGKAYSDWGHVSDAVSVYDRLISSHPNDFRGYLAKGIILRENGKVGEAERMFIQARFFAPDKAKALVDRYSR >ONI19120 pep chromosome:Prunus_persica_NCBIv2:G3:24616680:24618100:-1 gene:PRUPE_3G259500 transcript:ONI19120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKFEFDGKLNPTFVEGAFKLPLSSIRAYLKKPITPRFVHLGSAGATRPDRPGLDLSKQPPAVRLNKELDFILTFKLKVSY >ONI19116 pep chromosome:Prunus_persica_NCBIv2:G3:24615475:24618100:-1 gene:PRUPE_3G259500 transcript:ONI19116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKFEFDGKLNPTFVEGAFKLPLSSIRAYLKKPITPRFVHLGSAGATRPDRPGLDLSKQPPAVRLNKELDFILTFKLKMKNRGFNRNIHKRPRF >ONI19118 pep chromosome:Prunus_persica_NCBIv2:G3:24616788:24617152:-1 gene:PRUPE_3G259500 transcript:ONI19118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKFEFDGKLNPTFVEGAFKLPLSSIRAYLKKPITPRFVHLGSAGATRPDRPGLDLSKQPPAVRLNKELDFILTFKLKVSY >ONI19117 pep chromosome:Prunus_persica_NCBIv2:G3:24616788:24617152:-1 gene:PRUPE_3G259500 transcript:ONI19117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKFEFDGKLNPTFVEGAFKLPLSSIRAYLKKPITPRFVHLGSAGATRPDRPGLDLSKQPPAVRLNKELDFILTFKLKVSY >ONI19119 pep chromosome:Prunus_persica_NCBIv2:G3:24616788:24617152:-1 gene:PRUPE_3G259500 transcript:ONI19119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKFEFDGKLNPTFVEGAFKLPLSSIRAYLKKPITPRFVHLGSAGATRPDRPGLDLSKQPPAVRLNKELDFILTFKLKVSY >ONI16888 pep chromosome:Prunus_persica_NCBIv2:G3:11915475:11918642:1 gene:PRUPE_3G127500 transcript:ONI16888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPLHHDVKITKLGRTNGGPIKNNHLIHNMENSTVHLAHGCATSYDRWMIKDISEPLLSFFSSSDFDSFYSCSFPPSVTMTQHQQPHLLSSQTRQGRRRHILSSIPLSYQFKGILFPPASKQRLGLI >ONI16891 pep chromosome:Prunus_persica_NCBIv2:G3:11915475:11915955:1 gene:PRUPE_3G127500 transcript:ONI16891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPLHHDVKITKLGRTNGGPIKNNHLIHNMENSTVHLAHGCATSYDRWMIKDISEPLLSFFSSSDFDSFYSCSFPPSVTMTQHQQPHLLSSQVSQCPYSHSSISLHLSMNRAALPPLFSVSV >ONI16887 pep chromosome:Prunus_persica_NCBIv2:G3:11915475:11918698:1 gene:PRUPE_3G127500 transcript:ONI16887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPLHHDVKITKLGRTNGGPIKNNHLIHNMENSTVHLAHGCATSYDRWMIKDISEPLLSFFSSSDFDSFYSCSFPPSVTMTQHQQPHLLSSQTRQGRRRHILSSIPLSYQFKGILFPPASKQRLGLI >ONI16889 pep chromosome:Prunus_persica_NCBIv2:G3:11915475:11918642:1 gene:PRUPE_3G127500 transcript:ONI16889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPLHHDVKITKLGRTNGGPIKNNHLIHNMENSTVHLAHGCATSYDRWMIKDISEPLLSFFSSSDFDSFYSCSFPPSVTMTQHQQPHLLSSQTRQGRRRHILSSIPLSYQFKGILFPPASKQRLVEKI >ONI16886 pep chromosome:Prunus_persica_NCBIv2:G3:11915475:11918698:1 gene:PRUPE_3G127500 transcript:ONI16886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPLHHDVKITKLGRTNGGPIKNNHLIHNMENSTVHLAHGCATSYDRWMIKDISEPLLSFFSSSDFDSFYSCSFPPSVTMTQHQQPHLLSSQTRQGRRRHILSSIPLSYQFKGILFPPASKQRLGLI >ONI16890 pep chromosome:Prunus_persica_NCBIv2:G3:11915475:11918625:1 gene:PRUPE_3G127500 transcript:ONI16890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPLHHDVKITKLGRTNGGPIKNNHLIHNMENSTVHLAHGCATSYDRWMIKDISEPLLSFFSSSDFDSFYSCSFPPSVTMTQHQQPHLLSSQSLSNFESFHGLSSFCDDVDETGSAASYSFLNSSLISV >ONI18383 pep chromosome:Prunus_persica_NCBIv2:G3:21668896:21673418:1 gene:PRUPE_3G212300 transcript:ONI18383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPGKKDLDSYTIKGTTKVVRTGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCTVHSFKNYTKLENVGAEDYFCRFEYKASTGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEDAKKLEHFLCSDCSSDDDAKRSLNTFPVSPSVEAKVEPKRRKR >ONI18385 pep chromosome:Prunus_persica_NCBIv2:G3:21668939:21670489:1 gene:PRUPE_3G212300 transcript:ONI18385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPGKKDLDSYTIKGTTKVVRTGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCTVHSFKNYTKLENVGAEDYFCRFEYKASTGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKD >ONI18384 pep chromosome:Prunus_persica_NCBIv2:G3:21669276:21671801:1 gene:PRUPE_3G212300 transcript:ONI18384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPGKKDLDSYTIKGTTKVVRTGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCTVHSFKNYTKLENVGAEDYFCRFEYKASTGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEDAKKLEHFLCSDCSSDDDAKRSLNTFPVSPSVEAKVSLLQ >ONI18382 pep chromosome:Prunus_persica_NCBIv2:G3:21668895:21673407:1 gene:PRUPE_3G212300 transcript:ONI18382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPGKKDLDSYTIKGTTKVVRTGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCTVHSFKNYTKLENVGAEDYFCRFEYKASTGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEDAKKLEHFLCSDCSSDDDAKRSLNTFPVSPSVEAKVEPKRRKR >ONI15559 pep chromosome:Prunus_persica_NCBIv2:G3:3500810:3508634:-1 gene:PRUPE_3G049700 transcript:ONI15559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEAEPPSYSTLATSLSQTAFSSPVSGDGCCSTLLVGGDREFNVNGFENFTKFTGFAEVGLSYLVVAIIGPQSSGKSTLLNHLFHTNFKEMDAFRGRSQTTKGIWVAKCPTIHPFTLVMDMEGTDGKERGEDTAFEKQTTLFALAVADVVLINIWCHDIGREHASNKPLLNTVFQVMMRLFGPRKTTLIFVIRDKTKTPLENLESELRNDIQKIWASAPKPEAHKETPLSEFFNVEVVALSNYEEKEDAFKAEVAGMRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQANAPLQLPPWVIGCLIFVGYNAITRLIRNPLYLGVGVILVAFLLVTPLWCWFASLW >ONI15554 pep chromosome:Prunus_persica_NCBIv2:G3:3500810:3507816:-1 gene:PRUPE_3G049700 transcript:ONI15554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLCSLVVTESSMSMDLKILQNSQGLLKSDFLILLWPLSAHKVVSTLLNHLFHTNFKEMDAFRGRSQTTKGIWVAKCPTIHPFTLVMDMEGTDGKERGEDTAFEKQTTLFALAVADVVLINIWCHDIGREHASNKPLLNTVFQVMMRLFGPRKTTLIFVIRDKTKTPLENLESELRNDIQKIWASAPKPEAHKETPLSEFFNVEVVALSNYEEKEDAFKAEVAGMRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQKANAPLQLPPWVIGCLIFVGYNAITRLIR >ONI15553 pep chromosome:Prunus_persica_NCBIv2:G3:3500839:3508634:-1 gene:PRUPE_3G049700 transcript:ONI15553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEAEPPSYSTLATSLSQTAFSSPVSGDGCCSTLLVGGDREFNVNGFENFTKFTGFAEVGLSYLVVAIIGPQSSGKSTLLNHLFHTNFKEMDAFRGRSQTTKGIWVAKCPTIHPFTLVMDMEGTDGKERGEDTAFEKQTTLFALAVADVVLINIWCHDIGREHASNKPLLNTVFQVMMRLFGPRKTTLIFVIRDKTKTPLENLESELRNDIQKIWASAPKPEAHKETPLSEFFNVEVVALSNYEEKEDAFKAEKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQKANAPLQLPPWVIGCLIFVGYNAITRLIR >ONI15560 pep chromosome:Prunus_persica_NCBIv2:G3:3500810:3507760:-1 gene:PRUPE_3G049700 transcript:ONI15560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLCSLVVTESSMSMDLKILQNSQGLLKSDFLILLWPLSAHKVVSTLLNHLFHTNFKEMDAFRGRSQTTKGIWVAKCPTIHPFTLVMDMEGTDGKERGEDTAFEKQTTLFALAVADVVLINIWCHDIGREHASNKPLLNTVFQVMMRLFGPRKTTLIFVIRDKTKTPLENLESELRNDIQKIWASAPKPEAHKETPLSEFFNVEVVALSNYEEKEDAFKAEVAGMRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQANAPLQLPPWVIGCLIFVGYNAITRLIRNPLYLGVGVILVAFLLVTPLWCWFASLW >ONI15557 pep chromosome:Prunus_persica_NCBIv2:G3:3500810:3505870:-1 gene:PRUPE_3G049700 transcript:ONI15557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQKANAPLQLPPWVIGCLIFVGYNAITRLIR >ONI15564 pep chromosome:Prunus_persica_NCBIv2:G3:3501529:3507302:-1 gene:PRUPE_3G049700 transcript:ONI15564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFRGRSQTTKGIWVAKCPTIHPFTLVMDMEGTDGKERGEDTAFEKQTTLFALAVADVVLINIWCHDIGREHASNKPLLNTVFQVMMRLFGPRKTTLIFVIRDKTKTPLENLESELRNDIQKIWASAPKPEAHKETPLSEFFNVEVVALSNYEEKEDAFKAEVAGMRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQKANAPLQLPPWVIGCLIFVGYNAITRLIRNPLYLGVGVILVAFLLVTPLWCWFASLW >ONI15563 pep chromosome:Prunus_persica_NCBIv2:G3:3501529:3507302:-1 gene:PRUPE_3G049700 transcript:ONI15563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFRGRSQTTKGIWVAKCPTIHPFTLVMDMEGTDGKERGEDTAFEKQTTLFALAVADVVLINIWCHDIGREHASNKPLLNTVFQVMMRLFGPRKTTLIFVIRDKTKTPLENLESELRNDIQKIWASAPKPEAHKETPLSEFFNVEVVALSNYEEKEDAFKAEVAGMRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQKANAPLQLPPWVIGCLIFVGYNAITRLIRNPLYLGVGVILVAFLLVTPLWCWFASLW >ONI15561 pep chromosome:Prunus_persica_NCBIv2:G3:3501529:3507731:-1 gene:PRUPE_3G049700 transcript:ONI15561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLCSLVVTESSMSMDLKILQNSQGLLKSDFLILLWPLSAHKVVSTLLNHLFHTNFKEMDAFRGRSQTTKGIWVAKCPTIHPFTLVMDMEGTDGKERGEDTAFEKQTTLFALAVADVVLINIWCHDIGREHASNKPLLNTVFQVMMRLFGPRKTTLIFVIRDKTKTPLENLESELRNDIQKIWASAPKPEAHKETPLSEFFNVEVVALSNYEEKEDAFKAEVAGMRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQKANAPLQLPPWVIGCLIFVGYNAITRLIRNPLYLGVGVILVAFLLVTPLWCWFASLW >ONI15558 pep chromosome:Prunus_persica_NCBIv2:G3:3501529:3508634:-1 gene:PRUPE_3G049700 transcript:ONI15558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEAEPPSYSTLATSLSQTAFSSPVSGDGCCSTLLVGGDREFNVNGFENFTKFTGFAEVGLSYLVVAIIGPQSSGKSTLLNHLFHTNFKEMDAFRGRSQTTKGIWVAKCPTIHPFTLVMDMEGTDGKERGEDTAFEKQTTLFALAVADVVLINIWCHDIGREHASNKPLLNTVFQVMMRLFGPRKTTLIFVIRDKTKTPLENLESELRNDIQKIWASAPKPEAHKETPLSEFFNVEVVALSNYEEKEDAFKAEKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQKANAPLQLPPWVIGCLIFVGYNAITRLIRNPLYLGVGVILVAFLLVTPLWCWFASLW >ONI15566 pep chromosome:Prunus_persica_NCBIv2:G3:3501529:3505437:-1 gene:PRUPE_3G049700 transcript:ONI15566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQKANAPLQLPPWVIGCLIFVGYNAITRLIRNPLYLGVGVILVAFLLVTPLWCWFASLW >ONI15555 pep chromosome:Prunus_persica_NCBIv2:G3:3500810:3507541:-1 gene:PRUPE_3G049700 transcript:ONI15555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFRGRSQTTKGIWVAKCPTIHPFTLVMDMEGTDGKERGEDTAFEKQTTLFALAVADVVLINIWCHDIGREHASNKPLLNTVFQVMMRLFGPRKTTLIFVIRDKTKTPLENLESELRNDIQKIWASAPKPEAHKETPLSEFFNVEVVALSNYEEKEDAFKAEVAGMRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQKANAPLQLPPWVIGCLIFVGYNAITRLIR >ONI15556 pep chromosome:Prunus_persica_NCBIv2:G3:3500810:3507760:-1 gene:PRUPE_3G049700 transcript:ONI15556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFRGRSQTTKGIWVAKCPTIHPFTLVMDMEGTDGKERGEDTAFEKQTTLFALAVADVVLINIWCHDIGREHASNKPLLNTVFQVMMRLFGPRKTTLIFVIRDKTKTPLENLESELRNDIQKIWASAPKPEAHKETPLSEFFNVEVVALSNYEEKEDAFKAEVAGMRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQKANAPLQLPPWVIGCLIFVGYNAITRLIR >ONI15562 pep chromosome:Prunus_persica_NCBIv2:G3:3500810:3507541:-1 gene:PRUPE_3G049700 transcript:ONI15562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFRGRSQTTKGIWVAKCPTIHPFTLVMDMEGTDGKERGEDTAFEKQTTLFALAVADVVLINIWCHDIGREHASNKPLLNTVFQVMMRLFGPRKTTLIFVIRDKTKTPLENLESELRNDIQKIWASAPKPEAHKETPLSEFFNVEVVALSNYEEKEDAFKAEVAGMRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQANAPLQLPPWVIGCLIFVGYNAITRLIRNPLYLGVGVILVAFLLVTPLWCWFASLW >ONI15565 pep chromosome:Prunus_persica_NCBIv2:G3:3500810:3505870:-1 gene:PRUPE_3G049700 transcript:ONI15565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKFIHNELAGDRQAVVPASGFSLSLQEIWEKIKKNRDLDIPSIKVLVATVRCEEIANEKYSAFAANEELKVISVHPGFGKKLSSMIYTCISGYDEEATYYDEGVKSVKRKQLEEKLLQFVQPKFQDLLELKRSFTLDKFKEAFDKDLDGVIKGFSVTARNSTESFMAQFDEGCADAVIKQANWDTSKVRDKLRRDIEAHVASVHADKIKNHCEAKLRELLSGPVEALLKQANNMTWPTIRRRLREAESAFSGSAAAISGFEMDEQTKAKIDANLEKYVRRIVEDKAKEEARRVLKHMEERFKTKFSYDSNSIPRVWNRRENIGAIARTAHSSSLEVLSVMAVIRLDGDDDGHKIQATLNSALLDKDMSTTTNDLLASNTWEEVPSSKTLIIPLKCKELWEEFKENTKDIVSKAIAEQANAPLQLPPWVIGCLIFVGYNAITRLIRNPLYLGVGVILVAFLLVTPLWCWFASLW >ONI14866 pep chromosome:Prunus_persica_NCBIv2:G3:991304:997021:-1 gene:PRUPE_3G013800 transcript:ONI14866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPMDPKDFFSTDFLEGSISSHIERQHNACPSVIVIGGGISGIAAARVLHDASFKVILLESRDRLGGRIHTDYSFGCPVDMGASWLHGVCNENPLAPLIRRLGLTLYRTSGDDSVLYDHDLESYALFDMDGSQVPQEMVIEVGNTFKQILKETEKVRNENTDDMSVSQAISIVMDRHPELRQNGLAHEVLQWYICRMEAWFAADADVISLKNWDQEHVLSGGHGLMVQGYDPIIRALAEDIDVRLNHSRVTKILNGHNKMMVTIEDGRNFVADAAIITVPHGILKAKMIEFEPKLPEWKVDAISDLGVGNENKIALRFEKVFWPNVELLGVVAPTSYACGYFLNLHKTTGHPVLVYMAAGRFAYDLEKLTDDGAVSFVMLQLKKMLPDATDPVQYLVSRWGTDLNSLGCYSLDLVGKPGDIYDRLRAPLGSLFFGGEAVSMDHQGSVHGAYSAGVIAAEDCQRHLLNKFGRLEKLQHAYITDEVLEATVPLQISRM >ONI14865 pep chromosome:Prunus_persica_NCBIv2:G3:991293:997115:-1 gene:PRUPE_3G013800 transcript:ONI14865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPMDPKDFFSTDFLEGSISSHIERQHNACPSVIVIGGGISGIAAARVLHDASFKVILLESRDRLGGRIHTDYSFGCPVDMGASWLHGVCNENPLAPLIRRLGLTLYRTSGDDSVLYDHDLESYALFDMDGSQVPQEMVIEVGNTFKQILKETEKVRNENTDDMSVSQAISIVMDRHPELRQNGLAHEVLQWYICRMEAWFAADADVISLKNWDQEHVLSGGHGLMVQGYDPIIRALAEDIDVRLNHRVTKILNGHNKMMVTIEDGRNFVADAAIITVPHGILKAKMIEFEPKLPEWKVDAISDLGVGNENKIALRFEKVFWPNVELLGVVAPTSYACGYFLNLHKTTGHPVLVYMAAGRFAYDLEKLTDDGAVSFVMLQLKKMLPDATDPVQYLVSRWGTDLNSLGCYSLDLVGKPGDIYDRLRAPLGSLFFGGEAVSMDHQGSVHGAYSAGVIAAEDCQRHLLNKFGRLEKLQHAYITDEVLEATVPLQISRM >ONI15994 pep chromosome:Prunus_persica_NCBIv2:G3:5250575:5251188:-1 gene:PRUPE_3G073200 transcript:ONI15994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKEVMSLAIIAFLVLGQSNSTRAVENPLCYAQCLTCLYGGPLATLICSGFCAANCMTASPSLRSVPKDNHYFCKLGCASSLCASFITRENPEIAKVGGCADSCSEKCTMMNTLPPN >ONI18346 pep chromosome:Prunus_persica_NCBIv2:G3:21583689:21585278:-1 gene:PRUPE_3G210600 transcript:ONI18346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDWDRWLVKWHVEFLGRQWNFVDLATLAGLVYLHYLAVLAPFYFTWPAFWSAVALYYISGVGVTLSFHRNLSHKSFKLPKWLEYLFAYCGVLSFQYEARLKNVADLKSQAYYRFLHYTYPLHSVALGVLLYAKGGMPFLVWGMGVRTVLLLHAVFGINSICHTWGQQVWDTGDLSKNNWFIGLMAHGEGWHNNHHAFEYSAQHGFEWWQIDITWYLIRFLQAVGLATDVKLPTETQKKRRALCNKINEESLKQN >ONI18347 pep chromosome:Prunus_persica_NCBIv2:G3:21583689:21585277:-1 gene:PRUPE_3G210600 transcript:ONI18347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDWDRWLVKWHVEFLGRQWNFVDLATLAGLVYLHYLAVLAPFYFTWPAFWSAVALYYISGVGVTLSFHRNLSHKSFKLPKWLEYLFAYCGVLSFQRSPLEWVSIHRAHHQYTDTWNDPHSPIRGLWFSHVGWALDYRTRFGSYEARLKNVADLKSQAYYRFLHYTYPLHSVALGVLLYAKGGMPFLVWGMGVRTVLLLHAVFGINSICHTWGQQVWDTGDLSKNNWFIGLMAHGEGWHNNHHAFEYSAQHGFEWWQIDITWYLIRFLQAVGLATDVKLPTETQKKRRALCNKINEESLKQN >ONI19652 pep chromosome:Prunus_persica_NCBIv2:G3:26015986:26018392:-1 gene:PRUPE_3G289500 transcript:ONI19652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRSLSTCPQFAAKSSSASEIGTSPSCAVLNFSRSRNSSIRLCMGSGNGGFGQNPNGVGDRDGVIIVDHGSRRTESNLMLNEFVSMFKERTGYPIVEPAHMELAEPSIHVAFNSCVLQGANRVIVSPFFLLPGRHWNQDIPSLTAEAAKEHPGVSYLVTAPLGLHPLLVDVLNDRINHCLSHIAGDAEECSVCVGTNKCQLH >ONI19653 pep chromosome:Prunus_persica_NCBIv2:G3:26017226:26018392:-1 gene:PRUPE_3G289500 transcript:ONI19653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRSLSTCPQFAAKSSSASEIGTSPSCAVLNFSRSRNSSIRLCMGSGNGGFGQNPNGVGDRDGVIIVDHGSRRTESNLMLNEFVSMFKERTGYPIVEPAHMLAEPSIHVAFNSCVLQGANRVIVSPFFLLPGRHWNQDIPSLTAEAAKEHPGVSYLVTAPLGLHPLLVV >ONI19650 pep chromosome:Prunus_persica_NCBIv2:G3:26015986:26018400:-1 gene:PRUPE_3G289500 transcript:ONI19650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRSLSTCPQFAAKSSSASEIGTSPSCAVLNFSRSRNSSIRLCMGSGNGGFGQNPNGVGDRDGVIIVDHGSRRTESNLMLNEFVSMFKERTGYPIVEPAHMELAEPSIHVAFNSCVLQGANRVIVSPFFLLPGRHWNQDIPSLTAEAAKEHPGVSYLVTAPLGLHPLLPYCWRCRGVLCLCWNKQMPAPLIHASVQQTVRRN >ONI19654 pep chromosome:Prunus_persica_NCBIv2:G3:26017273:26018323:-1 gene:PRUPE_3G289500 transcript:ONI19654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRSLSTCPQFAAKSSSASEIGTSPSCAVLNFSRSRNSSIRLCMGSGNGGFGQNPNGVGDRDGVIIVDHGSRRTESNLMLNEFVSMFKERTGYPIVEPAHMELAEPSIHVAFNSCVLQGANRVIVSPFFLLPGRHWNQDIPSLTAEAAKEHPGVSYLVTAPLGLHPLLVV >ONI19651 pep chromosome:Prunus_persica_NCBIv2:G3:26016903:26018323:-1 gene:PRUPE_3G289500 transcript:ONI19651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRSLSTCPQFAAKSSSASEIGTSPSCAVLNFSRSRNSSIRLCMGSGNGGFGQNPNGVGDRDGVIIVDHGSRRTESNLMLNEFVSMFKERTGYPIVEPAHMELAEPSIHVAFNSCVLQGANRVIVSPFFLLPGRHWNQDIPSLTAEAAKEHPGVSYLVTAPLGLHPLLVDVLNDRINHCLSHIAGDAEECSVCVGTNKCQLH >ONI15533 pep chromosome:Prunus_persica_NCBIv2:G3:3405921:3409444:-1 gene:PRUPE_3G048300 transcript:ONI15533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFGCSDPNGPLIFKGIYHLFYQYNPEGVVWGNIVWAHSTSTDLVNWTPHDAAIYPSQPSDINGCWSGSATILPGGKPVILYTGINPQNEQVQNLAYPKNLSDPYLREWTKVPQNPLMAPTQANQINASSFRDPTTAWLGPDKRWRVIIGSKRDQTGFAILYRSKDFLNWVKAKHPLHSAKKTGMWECPDFYPVSIKGQNGLHTSENGPDVKHVLKASLDNTKREYYTIGTYNIHKDIYIPDKGSVESDAGLRYDYGKFYASKTFFDSSKNRRILWGWINESSSVEDDTKKGWSGIQAIPRKIWLDKSGKQLVQWPVAEIEKLREKEVKLPSSALKGGSVHEVLGVTAAQADVDITFEISDFKKAEVLDPSWTDPQLLCSQKGASVKGGLGPFGLLVLASKGLKEYTAVFYRIFKGHNNKYVVLLCSDQSRSSLNQDNDKTTYGAFLKVDPLHEKLSLRSLIDHSIVESFAGQGKACITARVYPTLAIDVDAHLYAFNYGTEDVKITGSAWSLKTAEIN >ONI15532 pep chromosome:Prunus_persica_NCBIv2:G3:3405921:3409831:-1 gene:PRUPE_3G048300 transcript:ONI15532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDAPINTQQSVALFCHSCKAIMAISSFYLYCFLSLWLGQALLLQASHHVYRNLQTSLVADSHHQVKEPYRTGYHFQPPKNWINDPNGPLIFKGIYHLFYQYNPEGVVWGNIVWAHSTSTDLVNWTPHDAAIYPSQPSDINGCWSGSATILPGGKPVILYTGINPQNEQVQNLAYPKNLSDPYLREWTKVPQNPLMAPTQANQINASSFRDPTTAWLGPDKRWRVIIGSKRDQTGFAILYRSKDFLNWVKAKHPLHSAKKTGMWECPDFYPVSIKGQNGLHTSENGPDVKHVLKASLDNTKREYYTIGTYNIHKDIYIPDKGSVESDAGLRYDYGKFYASKTFFDSSKNRRILWGWINESSSVEDDTKKGWSGIQAIPRKIWLDKSGKQLVQWPVAEIEKLREKEVKLPSSALKGGSVHEVLGVTAAQADVDITFEISDFKKAEVLDPSWTDPQLLCSQKGASVKGGLGPFGLLVLASKGLKEYTAVFYRIFKGHNNKYVVLLCSDQSRSSLNQDNDKTTYGAFLKVDPLHEKLSLRSLIDHSIVESFAGQGKACITARVYPTLAIDVDAHLYAFNYGTEDVKITGSAWSLKTAEIN >ONI16012 pep chromosome:Prunus_persica_NCBIv2:G3:5329107:5332446:1 gene:PRUPE_3G073900 transcript:ONI16012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSKTSQGDGSNNAGKRNLPSWMSSRDNESESIGKKPTRAGECEESDEGAKPRLAKGRGGASSSGTTKFSKLMEGVVFVLSGFVNPERSILRSQALEMGAEYQPDWNSDCTLLVCAFPNTPKFRQVEADCGTIVSKDWISECYTQKKLVDIESYLMNAGKPWRKSSVSHDNSQDKKASQPRKSKKQDGDSPSKPTASSNPKIKASNSVKECFSPSKVKKWAVDDLNKTISWLESQEEKPEPDEINQIAAEGILTCLQDAIDSLEQKQDVRQITEQWNFIPHVVEELAKLEGTRNESAAVSKEDLCRQVMECKRIYEVELKNLGDDLSKKKKPKTERQERDSGRTEALSSGTAGYDSDETIEMTEEEIDLAYKNVVASKIP >ONI16011 pep chromosome:Prunus_persica_NCBIv2:G3:5328956:5332461:1 gene:PRUPE_3G073900 transcript:ONI16011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSKTSQGDGSNNAGKRNLPSWMSSRDNESESIGKKPTRAGECEESDEGAKPRLAKGRGGASSSGTTKFSKLMEGVVFVLSGFVNPERSILRSQALEMGAEYQPDWNSDCTLLVCAFPNTPKFRQVEADCGTIVSKDWISECYTQKKLVDIESYLMNAGKPWRKSSVSHDNSQDKKASQPRKSKKQDGDSPSKPTASSNPKIKASNSVKECFSPSKVKKWAVDDLNKTISWLESQEEKPEPDEINQIAAEGILTCLQDAIDSLEQKQDVRQITEQWNFIPHVVEELAKLEGTRNESAAVSKEDLCRQVMECKRIYEVELKNLGDDLSKKKKPKTERQERDSGRTEALSSGTAGYDSDETIEMTEEEIDLAYKNVVASKIP >ONI16013 pep chromosome:Prunus_persica_NCBIv2:G3:5329002:5332447:1 gene:PRUPE_3G073900 transcript:ONI16013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSKTSQGDGSNNAGKRNLPSWMSSRDNESESIGKKPTRAGECEESDEGAKPRLAKGRGGASSSGTTKFSKLMEGVVFVLSGFVNPERSILRSQALEMGAEYQPDWNSDCTLLVCAFPNTPKFRQVEADCGTIVSKDWISECYTQKKLVDIESYLMNAGKPWRKSSVSHDNSQDKKASQPRKSKKQDGDSPSKPTASSNPKIKASNSVKECFSPSKVKKWAVDDLNKTISWLESQEEKPEPDEINQIAAEGILTCLQDAIDSLEQKQDVRQITEQWNFIPHVVEELAKLEGTRNESAAVSKEDLCRQVMECKRIYEVELKNLGDDLSKKKKPKTERQERDSGRTEALSSGTAGYDSDETIEMTEEEIDLAYKNVVASKIP >ONI18416 pep chromosome:Prunus_persica_NCBIv2:G3:21777467:21782707:-1 gene:PRUPE_3G214200 transcript:ONI18416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNSSPLYERLEHKNTMHKVMEFIILFLLLSLLVYRLLSFSNHGFAWLVAFLCELWFTLYWVITISSKWSFVEYKTYPDRLLQRVAEHELPPVDMFVTTADSELEPPIITLNTVLSLLAVDYPTDKLACYVSDDGCSPLTFYSLVEASKFAELWVPFCKKYNVQVRAPFRYFNNDAIPSSKNSGEFKQEWKTMKDEYMKLNRKIEDAVGKSIPFDLSEDFSLFSNIERNNHPTIIKVVLDKEEGHSNGLPRLVYISREKRPKHPHHSKAGAMNVLTRVSGLMTNAPLMLNVDCDMFANNPSVVLHAMCILLGSNENQNEIAFAQFPQIFYDGLKDDPFGNQMAVIWKYLGHGIQGIQGVFYAGTGCFHRRQVIYGLSPNNGKLGNQELLKTFGNSKELTNSAADALRGETKCPSMLLKSIEAAAYQVASCEYEYGTNWGRKVGWMYGSTTEDILTGLSIHNRGWKSIICTPDQPAFLGCTPTGGPASMTQQKRWATGLLEVLLSKNCPIFGTVFAHLQFRQCLAYLWIFTWGLRSLPETCYAALPAYSIITNTHIFPKGQELAYYIPIALFVTTNIYNISQYIQSGLSIRAWWNNQKMGRITAMSAWLFGVFGIVLKLSGISETVFEVTKKDQSSSTEKGTEEEDTEAGRFTFDKSPMFVPPTTVLLLHLTALASALFGLQPPAHDGLGSGRLEVAGSVCLVLCLWPFLKGLFGSGKYGIPLSTIFKSAGLTLMFCTLCRS >ONI18413 pep chromosome:Prunus_persica_NCBIv2:G3:21774136:21782436:-1 gene:PRUPE_3G214200 transcript:ONI18413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNSSPLYERLEHKNTMHKVMEFIILFLLLSLLVYRLLSFSNHGFAWLVAFLCELWFTLYWVITISSKWSFVEYKTYPDRLLQRVAEHELPPVDMFVTTADSELEPPIITLNTVLSLLAVDYPTDKLACYVSDDGCSPLTFYSLVEASKFAELWVPFCKKYNVQVRAPFRYFNNDAIPSSKNSGEFKQEWKTMKDEYMKLNRKIEDAVGKSIPFDLSEDFSLFSNIERNNHPTIIKVVLDKEEGHSNGLPRLVYISREKRPKHPHHSKAGAMNVLTRVSGLMTNAPLMLNVDCDMFANNPSVVLHAMCILLGSNENQNEIAFAQFPQIFYDGLKDDPFGNQMAVIWKYLGHGIQGIQGVFYAGTGCFHRRQVIYGLSPNNGKLGNQELLKTFGNSKELTNSAADALRGETKCPSMLLKSIEAAAYQVASCEYEYGTNWGRKVGWMYGSTTEDILTGLSIHNRGWKSIICTPDQPAFLGCTPTGGPASMTQQKRWATGLLEVLLSKNCPIFGTVFAHLQFRQCLAYLWIFTWGLRSLPETCYAALPAYSIITNTHIFPKTVSTSTFNIGGQGRRLGPPNRKVRQLL >ONI18410 pep chromosome:Prunus_persica_NCBIv2:G3:21766954:21782402:-1 gene:PRUPE_3G214200 transcript:ONI18410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNSSPLYERLEHKNTMHKVMEFIILFLLLSLLVYRLLSFSNHGFAWLVAFLCELWFTLYWVITISSKWSFVEYKTYPDRLLQRVAEHELPPVDMFVTTADSELEPPIITLNTVLSLLAVDYPTDKLACYVSDDGCSPLTFYSLVEASKFAELWVPFCKKYNVQVRAPFRYFNNDAIPSSKNSGEFKQEWKTMKDEYMKLNRKIEDAVGKSIPFDLSEDFSLFSNIERNNHPTIIKVVLDKEEGHSNGLPRLVYISREKRPKHPHHSKAGAMNVLTRVSGLMTNAPLMLNVDCDMFANNPSVVLHAMCILLGSNENQNEIAFAQFPQIFYDGLKDDPFGNQMAVIWKYLGHGIQGIQGVFYAGTGCFHRRQVIYGLSPNNGKLGNQELLKTFGNSKELTNSAADALRGETKCPSMLLKSIEAAAYQVASCEYEYGTNWGRKVGWMYGSTTEDILTGLSIHNRGWKSIICTPDQPAFLGCTPTGGPASMTQQKRWATGLLEVLLSKNCPIFGTVFAHLQFRQCLAYLWIFTWGLRSLPETCYAALPAYSIITNTHIFPKGQELAYYIPIALFVTTNIYNISQYIQSGLSIRAWWNNQKMGRITAMSAWLFGVFGIVLKLSGISETVFEVTKKDQSSSTEKGTEEEDTEAGRFTFDKSPMFVPPTTVLLLHLTALASALFGLQPPAHDGLGSGRLEVAGSVCLVLCLWPFLKGLFGSGKYGIPLSTIFKSAGLTLIWHWRPWTENLIHTSICFQMANSQSLPLCEKTPLKINTLQRAQEIAILFLFICLLVYRLLSLTDHGFVWLLAFLCESWFTFNWVLTLIIQWTPVEYKTYPQNLLQQVPELPAVDMFVTTADAELEPPIITVNTVLSLLAVDYPSHKLACYVSDDGCSPLTLYSLTEASKFAQFWVPFCKKYNIQVRPPFRYFSGNSKLPSDNPVGFLQEWTKMKDEYQKLCQKIEVAVQNLATLDFSGDYVDFADRRNHPTIIKVIWENKERLSNNGVPHLVYVAREKRSDQSHHHKAGAMNVLTRVSGVMTNAPFMLNVDCDMYANNSKIVLHAMCLLLGFKHEKEGAFVQCPQMFYDTLKDDPFGNQLVLPLKTSWPGFAGMQGPLYAGTGCFQRRKVIYGFSLIDSEGNLRDVALDKERFGNSTELIESATHTSSEKIDHPHDLSSAVEAANQVAGSSYEHSTLWGTKVGWVYGSVTEDILTGMKIHARGWKSILCMPDPPGFMGSAPSSSHVMLTQRKRWVTGLLEILFSKNNPIFATLYAKLEFRQCLAYTTVLIWGLNSIPDLCYTILPAYCIITNSHFLPKVKEPALIFFVAVFLMKILYTLRFYLGFGLSIKQWWNHVRMGPSGKIPYVTASLFGVVTLAFKLLGISEVAFEVTQKDQYSSSSDDQEDDVNAGRFTFDESPIFVPATTLLFVHFTALAMGLFGMQPPAHGADGAGLGEMMCCVWVVLCFWPFLKGLFGKGKYGIPMPTIFKSAALALLFMHFCGRIPLPLRR >ONI18415 pep chromosome:Prunus_persica_NCBIv2:G3:21777468:21782436:-1 gene:PRUPE_3G214200 transcript:ONI18415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNSSPLYERLEHKNTMHKVMEFIILFLLLSLLVYRLLSFSNHGFAWLVAFLCELWFTLYWVITISSKWSFVEYKTYPDRLLQRVAEHELPPVDMFVTTADSELEPPIITLNTVLSLLAVDYPTDKLACYVSDDGCSPLTFYSLVEASKFAELWVPFCKKYNVQVRAPFRYFNNDAIPSSKNSGEFKQEWKTMKDEYMKLNRKIEDAVGKSIPFDLSEDFSLFSNIERNNHPTIIKVVLDKEEGHSNGLPRLVYISREKRPKHPHHSKAGAMNVLTRVSGLMTNAPLMLNVDCDMFANNPSVVLHAMCILLGSNENQNEIAFAQFPQIFYDGLKDDPFGNQMAVIWKYLGHGIQGIQGVFYAGTGCFHRRQVIYGKLGNQELLKTFGNSKELTNSAADALRGETKCPSMLLKSIEAAAYQVASCEYEYGTNWGRKVGWMYGSTTEDILTGLSIHNRGWKSIICTPDQPAFLGCTPTGGPASMTQQKRWATGLLEVLLSKNCPIFGTVFAHLQFRQCLAYLWIFTWGLRSLPETCYAALPAYSIITNTHIFPKGQELAYYIPIALFVTTNIYNISQYIQSGLSIRAWWNNQKMGRITAMSAWLFGVFGIVLKLSGISETVFEVTKKDQSSSTEKGTEEEDTEAGRFTFDKSPMFVPPTTVLLLHLTALASALFGLQPPAHDGLGSGRLEVAGSVCLVLCLWPFLKGLFGSGKYGIPLSTIFKSAGLTLMFCTLCRS >ONI18411 pep chromosome:Prunus_persica_NCBIv2:G3:21767163:21782402:-1 gene:PRUPE_3G214200 transcript:ONI18411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNSSPLYERLEHKNTMHKVMEFIILFLLLSLLVYRLLSFSNHGFAWLVAFLCELWFTLYWVITISSKWSFVEYKTYPDRLLQRVAEHELPPVDMFVTTADSELEPPIITLNTVLSLLAVDYPTDKLACYVSDDGCSPLTFYSLVEASKFAELWVPFCKKYNVQVRAPFRYFNNDAIPSSKNSGEFKQEWKTMKDEYMKLNRKIEDAVGKSIPFDLSEDFSLFSNIERNNHPTIIKVVLDKEEGHSNGLPRLVYISREKRPKHPHHSKAGAMNVLTRVSGLMTNAPLMLNVDCDMFANNPSVVLHAMCILLGSNENQNEIAFAQFPQIFYDGLKDDPFGNQMAVIWKYLGHGIQGIQGVFYAGTGCFHRRQVIYGLSPNNGKLGNQELLKTFGNSKELTNSAADALRGETKCPSMLLKSIEAAAYQVASCEYEYGTNWGRKVGWMYGSTTEDILTGLSIHNRGWKSIICTPDQPAFLGCTPTGGPASMTQQKRWATGLLEVLLSKNCPIFGTVFAHLQFRQCLAYLWIFTWGLRSLPETCYAALPAYSIITNTHIFPKGQELAYYIPIALFVTTNIYNISQYIQSGLSIRAWWNNQKMGRITAMSAWLFGVFGIVLKLSGISETVFEVTKKDQSSSTEKGTEEEDTEAGRFTFDKSPMFVPPTTVLLLHLTALASALFGLQPPAHDGLGSGRLEVAGSVCLVLCLWPFLKGLFGSGKYGIPLSTIFKSAGLTLIWHWRPWTENLIHTSICFQMANSQSLPLCEKTPLKINTLQRAQEIAILFLFICLLVYRLLSLTDHGFVWLLAFLCESWFTFNWVLTLIIQWTPVEYKTYPQNLLQQVPELPAVDMFVTTADAELEPPIITVNTVLSLLAVDYPSHKLACYVSDDGCSPLTLYSLTEASKFAQFWVPFCKKYNIQVRPPFRYFSGNSKLPSDNPVGFLQEWTKMKDEYQKLCQKIEVAVQNLATLDFSGDYVDFADRRNHPTIIKVLSLSVFERLSFQSLIGIKFAQVIWENKERLSNNGVPHLVYVAREKRSDQSHHHKAGAMNVLTRVSGVMTNAPFMLNVDCDMYANNSKIVLHAMCLLLGFKHEKEGAFVQCPQMFYDTLKDDPFGNQLVLPLKTSWPGFAGMQGPLYAGTGCFQRRKVIYGFSLIDSEGNLRDVALDKERFGNSTELIESATHTSSEKIDHPHDLSSAVEAANQVAGSSYEHSTLWGTKVGWVYGSVTEDILTGMKIHARGWKSILCMPDPPGFMGSAPSSSHVMLTQRKRWVTGLLEILFSKNNPIFATLYAKLEFRQCLAYTTVLIWGLNSIPDLCYTILPAYCIITNSHFLPKVKEPALIFFVAVFLMKILYTLRFYLGFGLSIKQWWNHVRMGPSGKIPYVTASLFGVVTLAFKLLGISEVAFEVTQKDQYSSSSDDQEDDVNAGRFTFDESPIFVPATTLLFVHFTALAMGLFGMQPPAHGADGAGLGEMMCCVWVVLCFWPFLKGLFGKGKYGIPMPTIFKSAALALLFMHFCGRIPLPLRR >ONI18412 pep chromosome:Prunus_persica_NCBIv2:G3:21772046:21782707:-1 gene:PRUPE_3G214200 transcript:ONI18412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNSSPLYERLEHKNTMHKVMEFIILFLLLSLLVYRLLSFSNHGFAWLVAFLCELWFTLYWVITISSKWSFVEYKTYPDRLLQRVAEHELPPVDMFVTTADSELEPPIITLNTVLSLLAVDYPTDKLACYVSDDGCSPLTFYSLVEASKFAELWVPFCKKYNVQVRAPFRYFNNDAIPSSKNSGEFKQEWKTMKDEYMKLNRKIEDAVGKSIPFDLSEDFSLFSNIERNNHPTIIKVVLDKEEGHSNGLPRLVYISREKRPKHPHHSKAGAMNVLTRVSGLMTNAPLMLNVDCDMFANNPSVVLHAMCILLGSNENQNEIAFAQFPQIFYDGLKDDPFGNQMAVIWKYLGHGIQGIQGVFYAGTGCFHRRQVIYGLSPNNGKLGNQELLKTFGNSKELTNSAADALRGETKCPSMLLKSIEAAAYQVASCEYEYGTNWGRKVGWMYGSTTEDILTGLSIHNRGWKSIICTPDQPAFLGCTPTGGPASMTQQKRWATGLLEVLLSKNCPIFGTVFAHLQFRQCLAYLWIFTWGLRSLPETCYAALPAYSIITNTHIFPKTIWTGFAGIQGPLYAGTGCFHRRKVIYGLSLI >ONI16922 pep chromosome:Prunus_persica_NCBIv2:G3:13242948:13243784:1 gene:PRUPE_3G130100 transcript:ONI16922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDINTSDHSVIESENDQEEESVPMENDDNNENRDEDELLSSSIQEKVNHASDPFSVHRCIYRIPGVLSKHKEKAFVPIVVSIGPSHHGNENLQAMEEVKLWYLHCLLDRKPTPETDMESLVKAIRPIQQACQECYEEKIHISNDEFLEMMVIDGCFISEFFLRFANEVNVDNEDGLFSTSWMLLAVINDLLLLENQLPWRVLDCLFELTCESGTSSLLGLINSTFKAYTGGLSAKPSGTAKHRHLLDFIRNSFLGSYPESQSDESTRDSEAIQMLG >ONI16940 pep chromosome:Prunus_persica_NCBIv2:G3:13648066:13649789:-1 gene:PRUPE_3G131700 transcript:ONI16940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAMARIPVIRRSSDDILEFPGSAVSLADMVLGFIEQVEVSPENITSGSDEDEENSFSVEENKAFWEEQDQLLQATLCKSSGIETKIRQATKEALRDINSSAAEYCVCSKPVFGGCRSCLRNELCNRLIDFGYNCVICKSKWRSSTSTPAGEHTYLEVLDTSSKRGEIRVVIELNFRAEFEMARASENYNRLISWLPEVFVGKAERLRALIKILCCAAKKCMKEKKMHLGPWRKHKYMQAKWFGTFERSTPGSLPVRFANGPPKPKASMLTFDLLEAMPGLHCTAVEVV >ONI17667 pep chromosome:Prunus_persica_NCBIv2:G3:19088752:19092414:-1 gene:PRUPE_3G173100 transcript:ONI17667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSQKKQVFLFYCAECEDLARQVASQSDHITLQTIKWRTFDDGFPNIFINNAQDLRGQHVAFLASFSSQGVIFEQLSVIYALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARMLSNIPISKGGPNSVLIYDIHALQERFYFGDHVLPLFETGIPLLKQRLHQLPDSDKIVVAFPDDGAWKRFHKQLDHFPMVVCNKVREGDKRIVRIKEGNPAGYHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPKRSWERFTHKDGSEKAFAYFWITDSCPLTVKAVANKAPFEVLSLAGSIADALQI >ONI17668 pep chromosome:Prunus_persica_NCBIv2:G3:19088756:19092414:-1 gene:PRUPE_3G173100 transcript:ONI17668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSQKKQVFLFYCAECEDLARQVASQSDHITLQTIKWRTFDDGFPNIFINNAQDLRGQHVAFLASFSSQGVIFEQLSVIYALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARMLSNIPISKGGPNSVLIYDIHALQERFYFGDHVLPLFETGIPLLKQRLHQLPDSDKIVVAFPDDGAWKRFHKQLDHFPMVVCNKVREGDKRIVRIKEGNPAGYHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPKRSWERFTHKDEGSEKAFAYFWITDSCPLTVKAVANKAPFEVLSLAGSIADALQI >ONI17669 pep chromosome:Prunus_persica_NCBIv2:G3:19089019:19092016:-1 gene:PRUPE_3G173100 transcript:ONI17669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSQKKQVFLFYCAECEDLARQVASQSDHITLQTIKWRTFDDGFPNIFINNAQDLRGQHVAFLASFSSQGVIFEQLSVIYALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARMLSNIPISKGGPNSVLIYDIHALQERFYFGDHVLPLFETGIPLLKQRLHQLPDSDKIVVAFPDDGAWKRFHKQLDHFPMVVCNKVREGDKRIVRIKEGNPAGYHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPKRSWERFTHKDGKLGEGIRLLLDHGFLPAYCQSRGK >ONI20063 pep chromosome:Prunus_persica_NCBIv2:G3:27103345:27107755:-1 gene:PRUPE_3G313200 transcript:ONI20063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPNQDQGSISSSSSSAPSANPNSQHGHRHHLFLSQSESKSLSPPALSRQMLTFGSLQISDSQSSFSISSNTSGPSVPAAEASAGSSQEVTKLGMPRGKKALPNDNETLSQCHSGGGGQGIGSARSSGKSSGTVGSRSSQQTIESVGSHGSSTHPAGRKAQLMNGNHLLNFHYDPISRPQPRTAPPPRRHHKRKPYNKDLFLQANYKFVVLDSGNYSVESMDPDKMLKWEDIICVRYSTPTLVQCPICLEYPLCSQITSCGHIFCFPCILQYLLMGKEDHKGDCWKRCPLCFVMISPKDLYTLFVENVRQYCVGDTIEFMLLTRQKDSFTLSHKSTQEKDSLAGDDQSYDPFSKFTFTSDVDLSVRKAISELDGWLARAESGLVDDLEKLPYVCAAMEQLEQRKKYWKEHRASESNKSCKCTDRNTGNATNGDNEASTLGHETPSNDVYDHNKCLDNLSVNKSDDGTCSDQSADAAESLEGRENALSSSYDESKSAQGHSHGSQSEYDKDSYNFYQAADGQHIIIHPLNMKCLLHHYGSHDMLPHRISGRILQLETVTQTEAMRRRYRYLSHFSLTTILQFCEIDLSKLLSPDALSPFMEDIKKRERQRKQLARKEHKEKMKAESAMAYPIPLVAGYGQSFQDGSPTFSMDDFEALGSSTVTSSSSPVVGERKLFSSVTRLGFAAGHDSPTLKLEGTNSLNDDDAARYSLETTAGTQSVGVTSFANIISRAKPGENLDTPKMNDSGKKGKKPSRVLLSTAGGRRY >ONI20065 pep chromosome:Prunus_persica_NCBIv2:G3:27103345:27107755:-1 gene:PRUPE_3G313200 transcript:ONI20065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPNQDQGSISSSSSSAPSANPNSQHGHRHHLFLSQSESKSLSPPALSRQMLTFGSLQISDSQSSFSISSNTSAGPSVPAAEASAGSSQEVTKLGMPRGKKALPNDNETLSQCHSGGGGQGIGSARSSGKSSGTVGSRSSQQTIESVGSHGSSTHPAGRKAQLMNGNHLLNFHYDPISRPQPRTAPPPRRHHKRKPYNKDLFLQANYKFVVLDSGNYSVESMDPDKMLKWEDIICVRYSTPTLVQCPICLEYPLCSQITSCGHIFCFPCILQYLLMGKEDHKGDCWKRCPLCFVMISPKDLYTLFVENVRQYCVGDTIEFMLLTRQKDSFTLSHKSTQEKDSLAGDDQSYDPFSKFTFTSDVDLSVRKAISELDGWLARAESGLVDDLEKLPYVCAAMEQLEQRKKYWKEHRASESNKSCKCTDRNTGNATNGDNEASTLGHETPSNDVYDHNKCLDNLSVNKSDDGTCSDQSADAAESLEGRENALSSSYDESKSAQGHSHGSQSEYDKDSYNFYQAADGQHIIIHPLNMKCLLHHYGSHDMLPHRISGRILQLETVTQTEAMRRRYRYLSHFSLTTILQFCEIDLSKLLSPDALSPFMEDIKKRERQRKQLARKEHKEKMKAESAMAYPIPLVAGYGQSFQDGSPTFSMDDFEALGSSTVTSSSSPVVGERKLFSSVTRLGFAAGHDSPTLKLEGTNSLNDDDAARYSLETTAGTQSVGVTSFANIISRAKPGENLDTPKMNDSGKKGKKPSRVLLSTAGGRRY >ONI20066 pep chromosome:Prunus_persica_NCBIv2:G3:27103647:27107590:-1 gene:PRUPE_3G313200 transcript:ONI20066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPNQDQGSISSSSSSAPSANPNSQHGHRHHLFLSQSESKSLSPPALSRQMLTFGSLQISDSQSSFSISSNTSAGPSVPAAEASAGSSQEVTKLGMPRGKKALPNDNETLSQCHSGGGGQGIGSARSSGKSSGTVGSRSSQQTIESVGSHGSSTHPAGRKAQLMNGNHLLNFHYDPISRPQPRTAPPPRRHHKRKPYNKDLFLQANYKFVVLDSGNYSVESMDPDKMLKWEDIICVRYSTPTLVQCPICLEYPLCSQITSCGHIFCFPCILQYLLMGKEDHKGDCWKRCPLCFVMISPKDLYTLFVENVRQYCVGDTIEFMLLTRQKDSFTLSHKSTQEKDSLAGDDQSYDPFSKFTFTSDVDLSVRKAISELDGWLARAESGLVDDLEKLPYVCAAMEQLEQRKKYWKEHRASESNKSCKCTDRNTGNATNGDNEASTLGHETPSNDVYDHNKCLDNLSVNKSDDGTCSDQSADAAESLEGRENALSSSYDESKSAQGHSHGSQSEYDKDSYNFYQAADGQHIIIHPLNMKCLLHHYGSHDMLPHRISGRILQLETVTQTEAMRRRYRYLSHFSLTTILQFCEIDLSKLLSPDALSPFMEDIKKRERQRKQLARKEHKEKMKAESAMAYPIPLVAGYGQSFQDGSPTFSMDDFEALGSSTVTSSSSPVVGERKLFSSVTRLGFAAGHDSPTLKLEGTNSLNDDDAARYSLETTAAGTQSVGVTSFANIISRAKPGENLDTPKMNDSGKKGKKPSRVLLSTAGGRRY >ONI20064 pep chromosome:Prunus_persica_NCBIv2:G3:27103647:27107590:-1 gene:PRUPE_3G313200 transcript:ONI20064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPNQDQGSISSSSSSAPSANPNSQHGHRHHLFLSQSESKSLSPPALSRQMLTFGSLQISDSQSSFSISSNTSGPSVPAAEASAGSSQEVTKLGMPRGKKALPNDNETLSQCHSGGGGQGIGSARSSGKSSGTVGSRSSQQTIESVGSHGSSTHPAGRKAQLMNGNHLLNFHYDPISRPQPRTAPPPRRHHKRKPYNKDLFLQANYKFVVLDSGNYSVESMDPDKMLKWEDIICVRYSTPTLVQCPICLEYPLCSQITSCGHIFCFPCILQYLLMGKEDHKGDCWKRCPLCFVMISPKDLYTLFVENVRQYCVGDTIEFMLLTRQKDSFTLSHKSTQEKDSLAGDDQSYDPFSKFTFTSDVDLSVRKAISELDGWLARAESGLVDDLEKLPYVCAAMEQLEQRKKYWKEHRASESNKSCKCTDRNTGNATNGDNEASTLGHETPSNDVYDHNKCLDNLSVNKSDDGTCSDQSADAAESLEGRENALSSSYDESKSAQGHSHGSQSEYDKDSYNFYQAADGQHIIIHPLNMKCLLHHYGSHDMLPHRISGRILQLETVTQTEAMRRRYRYLSHFSLTTILQFCEIDLSKLLSPDALSPFMEDIKKRERQRKQLARKEHKEKMKAESAMAYPIPLVAGYGQSFQDGSPTFSMDDFEALGSSTVTSSSSPVVGERKLFSSVTRLGFAAGHDSPTLKLEGTNSLNDDDAARYSLETTAAGTQSVGVTSFANIISRAKPGENLDTPKMNDSGKKGKKPSRVLLSTAGGRRY >ONI17504 pep chromosome:Prunus_persica_NCBIv2:G3:18283701:18288707:1 gene:PRUPE_3G163400 transcript:ONI17504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYGTNGAVDVDVTDDGEINTKATHREETLFGVFHRLIDAIFFPGSTSAGTAAPLFRRIKNSLAENCPLLREASRNSGRNVLLWTRRGSPLRALLVISVGTITLISLTGLLVFMLFFLAATLNAVIISLLLSLAAAGGFLALFFACVTAIYIGALSVAVFVISATTISAIAAVLITTGWIGFFWIVWLAAKKSLGLAKQSFSVTGSAISAYSYGRHAHKSSSD >ONI18834 pep chromosome:Prunus_persica_NCBIv2:G3:23541990:23545576:-1 gene:PRUPE_3G242500 transcript:ONI18834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHDIELPFGKICQAPQKAPKKVVLLVFALLLLTIIPLYYPSISHSLFVSETVNSNPFVPSSSSSSSSQDHLNDHVHEEDDLPSVITSNLKCDIFTGEWVPNPEAPYYTNKTCWAIHEHQNCMKYGRPDTEFMKWRWKPDGCELPIFNPAQFLELLRGKSLAFVGDSVGRNQMQSLICLLSRVEYPIDVSITPDPTQKFIRWKYMSYNFTMATFWTPHLIKSKEATYTNGPTKTGLFELYLDEFDEAWTTKVEEFDYIILSAGHWFFRSMVYYENQKISGCHYCLLDNVPDVGIFYGYRKAFRLAFKAINSLQNYKGITYLRTFAPSHFENGLWNQGGNCLRTTPFQSNETQLEGANLELYMIQIEEFRNAEKEGRKKGLKYRLLDTTQASLLRPDGHPSRYGHWPHENVTLYNDCVHWCLPGPIDTWSDFLLEMLKMEGMRSAEEKKLMLSKDRRLN >ONI15225 pep chromosome:Prunus_persica_NCBIv2:G3:2334511:2336926:1 gene:PRUPE_3G031300 transcript:ONI15225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCCFSPCIPTQKSGPAIRPFSRVLRLKHCQVSVKIKSSTLKIRCSLREEVFEDRSNGIICYKDDRGEIICEGFDEGPRYYHQQNPRTGCQPSRDAEIFDLLQQQRWLNFITDTELNRADKGGVLQEDIKNCNGFNTHR >ONI15226 pep chromosome:Prunus_persica_NCBIv2:G3:2334675:2335713:1 gene:PRUPE_3G031300 transcript:ONI15226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCCFSPCIPTQKSGPAIRPFSRVLRLKHCQVSVKIKSSTLKIRCSLREEVFEDRSNGIICYKDDRGEIICEGFDEGPRYYHQQNPRTGCQPRDAEIFDLLQQQRWLNFITDTELNRADKGGVLQEDIKNCNGFNTHR >ONI15312 pep chromosome:Prunus_persica_NCBIv2:G3:2663917:2667533:1 gene:PRUPE_3G037000 transcript:ONI15312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEQSKYHQYEDKDGDFLENGESDPEYDSDDSIKDPSYSSTLEETHANFAKLSIKRNSKTRIATDIDLGSEADLDGQEVVLPELDQKDEKSFEMVQKIIEARQIEKLKVEQCKLYLRKNGLRLTGNKDTLIQRIKEHLEILNGGGEKKYPASSFVLNCKGDACTSDVVMFEQNVYEIFDIASRSGRGPPCGTRIVAGRIVKESYGAAKQQHTFTIEVLWSKGKKPLPPLHPLLIKGRNLYRLKTLRQRWEDEGERQKVLMEKHSRGSLARTAKEARVQEKDMRKMLREIGFQERRNRKRTSLSLTQPRCLKHQFNLSNLFHLLTQQTYIN >ONI15304 pep chromosome:Prunus_persica_NCBIv2:G3:2646805:2650283:-1 gene:PRUPE_3G036700 transcript:ONI15304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRGQARGSPRGGGFSANRGQARGGLFARGGLFARGGLFSANRGQARGGGFPPDGGFSANRGQARENPRGGRHSPRTGGHPPLRNGTQAGAGTRGPFPNDCPGSKEFWSNSEMESPQQMSPSSFSYYSEHKSAFSNSHRQEWHLSGRKDTLPRVESLKEGHESTESASFRQKGLKCSVPYSSHEGDFPLGVERTHFGVDISVRLSMNHSRNLHSGVERMHIGETPSDMTGYGETSLASKSEASSGALPVNSSVNTTGHRNPELSEHSAFDLCPTKAGGCVTLKVPLLVQNRERRNEMKRSMEKQNGSVLQPGMVLLKGYLSPSEQINIVKLCRDLGLGPGGFYKPGYRDGAKLYLKMMCLGKNWDPETSSYGDHRPFDGAKPPSIPVEFFRLVKSAIEESHSLIRKDSKVSNSESILPWMSPDICLVNFYSSSGRLGLHQDCDESERSLHKGLPVVSFSIGDTAEFLYGDQRDVERANKVLLESGDVLIFGGKSRHVFHGVASIQPNTAPMTLLEKTNIRPGRLNLTFRQY >ONI15305 pep chromosome:Prunus_persica_NCBIv2:G3:2647701:2650079:-1 gene:PRUPE_3G036700 transcript:ONI15305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRGQARGSPRGGGFSANRGQARGGLFARGGLFARGGLFSANRGQARGGGFPPDGGFSANRGQARENPRGGRHSPRTGGHPPLRNGTAGAGTRGPFPNDCPGSKEFWSNSEMESPQQMSPSSFSYYSEHKSAFSNSHRQEWHLSGRKDTLPRVESLKEGHESTESASFRQKGLKCSVPYSSHEGDFPLGVERTHFGVDISVRLSMNHSRNLHSGVERMHIGETPSDMTGYGETSLASKSEASSGALPVNSSVNTTGHRNPELSEHSAFDLCPTKAGGCVTLKVPLLVQNRERRNEMKRSMEKQNGSVLQPGMVLLKGYLSPSEQINIVKLCRDLGLGPGGFYKPGYRDGAKLYLKMMCLGKNWDPETSSYGDHRPFDGAKPPSIPVEFFRLVKSAIEESHSLIRKDSKVSNSESILPWMSPDICLVNFYSSSGRLGLHQRTLLSITSLTVSRY >ONI15306 pep chromosome:Prunus_persica_NCBIv2:G3:2647701:2650079:-1 gene:PRUPE_3G036700 transcript:ONI15306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRGQARGSPRGGGFSANRGQARGGLFARGGLFARGGLFSANRGQARGGGFPPDGGFSANRGQARENPRGGRHSPRTGGHPPLRNGTQAGAGTRGPFPNDCPGSKEFWSNSEMESPQQMSPSSFSYYSEHKSAFSNSHRQEWHLSGRKDTLPRVESLKEGHESTESASFRQKGLKCSVPYSSHEGDFPLGVERTHFGVDISVRLSMNHSRNLHSGVERMHIGETPSDMTGYGETSLASKSEASSGALPVNSSVNTTGHRNPELSEHSAFDLCPTKAGGCVTLKVPLLVQNRERRNEMKRSMEKQNGSVLQPGMVLLKGYLSPSEQINIVKLCRDLGLGPGGFYKPGYRDGAKLYLKMMCLGKNWDPETSSYGDHRPFDGAKPPSIPVEFFRLVKSAIEESHSLIRKDSKVSNSESILPWMSPDICLVNFYSSSGRLGLHQRTLLSITSLTVSRY >ONI15303 pep chromosome:Prunus_persica_NCBIv2:G3:2646805:2650283:-1 gene:PRUPE_3G036700 transcript:ONI15303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRGQARGSPRGGGFSANRGQARGGLFARGGLFARGGLFSANRGQARGGGFPPDGGFSANRGQARENPRGGRHSPRTGGHPPLRNGTAGAGTRGPFPNDCPGSKEFWSNSEMESPQQMSPSSFSYYSEHKSAFSNSHRQEWHLSGRKDTLPRVESLKEGHESTESASFRQKGLKCSVPYSSHEGDFPLGVERTHFGVDISVRLSMNHSRNLHSGVERMHIGETPSDMTGYGETSLASKSEASSGALPVNSSVNTTGHRNPELSEHSAFDLCPTKAGGCVTLKVPLLVQNRERRNEMKRSMEKQNGSVLQPGMVLLKGYLSPSEQINIVKLCRDLGLGPGGFYKPGYRDGAKLYLKMMCLGKNWDPETSSYGDHRPFDGAKPPSIPVEFFRLVKSAIEESHSLIRKDSKVSNSESILPWMSPDICLVNFYSSSGRLGLHQDCDESERSLHKGLPVVSFSIGDTAEFLYGDQRDVERANKVLLESGDVLIFGGKSRHVFHGVASIQPNTAPMTLLEKTNIRPGRLNLTFRQY >ONI14691 pep chromosome:Prunus_persica_NCBIv2:G3:169878:173782:-1 gene:PRUPE_3G002500 transcript:ONI14691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSTLVDVFLLLALFSGAPWAVKGSPHCSKRANTGEIRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFTDKGGAKLFVPAGRWLTGSFHLISHLTLWLDKDAEILGSTNSDDWPVVEPLPSYGRGRELIGGRHQSLIYGRNLTDVIITGDNGTIDGQGSIWWNWFHNKTLNYTRPHLVELMNSTGIVISNLTFLNSPFWTIHPVYCSHVTVQNVTIIAPPKSPNTDGIDPDSSDNVCIEDCYISTGDDLIAIKSGWDEYGISYGRPSRNIIIRRIAGKTETSAGIAIGSEMSGGVSEVHAENLHFFNSKTGIRIKTSPGRGGYVKNIFVSNVTLDGIDIAIRFTSHYGEHPDEFYDPNALPIIEQITFKDFVGKNIKTAGLLEGLEGDTFLDICLSNITLNVTSKSPWKCSSIQGYSDLVSPETCEPLKERISPEHYLDCYYLSDHIWISSNQNKGVQFLSW >ONI14692 pep chromosome:Prunus_persica_NCBIv2:G3:169848:173992:-1 gene:PRUPE_3G002500 transcript:ONI14692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSTLVDVFLLLALFSGAPWAVKGSPHCSKRANTGEIRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFTDKGGAKLFVPAGRWLTGSFHLISHLTLWLDKDAEILGSTNSDDWPVVEPLPSYGRGRELIGGRHQSLIYGRNLTDVIITGDNGTIDGQGSIWWNWFHNKTLNYTRPHLVELMNSTGIVISNLTFLNSPFWTIHPVYCSHVTVQNVTIIAPPKSPNTDGIDPDSSDNVCIEDCYISTGDDLIAIKSGWDEYGISYGRPSRNIIIRRIAGKTETSAGIAIGSEMSGGVSEVHAENLHFFNSKTGIRIKTSPGRGGYVKNIFVSNVTLDGIDIAIRFTSHYGEHPDEFYDPNALPIIEQITFKDFVGKNIKTAGLLEGLEGDTFLDICLSNITLNVTSKSPWKCSSIQGYSDLVSPETCEPLKERISPEHYLDCYYLSDHIWISSNQNKGVQFLSW >ONI14690 pep chromosome:Prunus_persica_NCBIv2:G3:169848:174234:-1 gene:PRUPE_3G002500 transcript:ONI14690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSTLVDVFLLLALFSGAPWAVKGSPHCSKRANTGEIRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFTDKGGAKLFVPAGRWLTGSFHLISHLTLWLDKDAEILGSTNSDDWPVVEPLPSYGRGRELIGGRHQSLIYGRNLTDVIITGDNGTIDGQGSIWWNWFHNKTLNYTRPHLVELMNSTGIVISNLTFLNSPFWTIHPVYCSHVTVQNVTIIAPPKSPNTDGIDPDSSDNVCIEDCYISTGDDLIAIKSGWDEYGISYGRPSRNIIIRRIAGKTETSAGIAIGSEMSGGVSEVHAENLHFFNSKTGIRIKTSPGRGGYVKNIFVSNVTLDGIDIAIRFTSHYGEHPDEFYDPNALPIIEQITFKDFVGKNIKTAGLLEGLEGDTFLDICLSNITLNVTSKSPWKCSSIQGYSDLVSPETCEPLKERISPEHYLDCYYLSDHIWISSNQNKGVQFLSW >ONI14689 pep chromosome:Prunus_persica_NCBIv2:G3:169848:173782:-1 gene:PRUPE_3G002500 transcript:ONI14689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSTLVDVFLLLALFSGAPWAVKGSPHCSKRANTGEIRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFTDKGGAKLFVPAGRWLTGSFHLISHLTLWLDKDAEILGSTNSDDWPVVEPLPSYGRGRELIGGRHQSLIYGRNLTDVIITGDNGTIDGQGSIWWNWFHNKTLNYTRPHLVELMNSTGIVISNLTFLNSPFWTIHPVYCSHVTVQNVTIIAPPKSPNTDGIDPDSSDNVCIEDCYISTGDDLIAIKSGWDEYGISYGRPSRNIIIRRIAGKTETSAGIAIGSEMSGGVSEVHAENLHFFNSKTGIRIKTSPGRGGYVKNIFVSNVTLDGIDIAIRFTSHYGEHPDEFYDPNALPIIEQITFKDFVGKNIKTAGLLEGLEGDTFLDICLSNITLNVTSKSPWKCSSIQGYSDLVSPETCEPLKERISPEHYLDCYYLSDHIWISSNQNKGVQFLSW >ONI20049 pep chromosome:Prunus_persica_NCBIv2:G3:27062011:27065492:-1 gene:PRUPE_3G312300 transcript:ONI20049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEKHSRESLIPSFLYSSSSSTKTLALEKMLHTRPSGFTSNVNIEGASAGKGLVIPSPSEPSKKIELYSPSFYAACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKGISSGFGVLLKEQGAKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEFSAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVKSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMLYKYAIPRPKDQCSKSLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLVGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAPPPVAAATELAKV >ONI17043 pep chromosome:Prunus_persica_NCBIv2:G3:14096557:14098085:-1 gene:PRUPE_3G134600 transcript:ONI17043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMATSAAARSIFRSCASRSCGSAARLASEAKAARSPFRMAGKRPSSSPALRSPVELSFCVESMLPYHTATASALMTSMLSITRRSYGWLPEGI >ONI17045 pep chromosome:Prunus_persica_NCBIv2:G3:14094172:14098075:-1 gene:PRUPE_3G134600 transcript:ONI17045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMATSAAARSIFRSCASRSCGSAARLASEAKAARSPFRMAGKRPSSSPALRSPVELSFCVESMLPYHTATASALMTSMLSITRRSYGWLPEGI >ONI17046 pep chromosome:Prunus_persica_NCBIv2:G3:14094009:14098075:-1 gene:PRUPE_3G134600 transcript:ONI17046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMATSAAARSIFRSCASRSCGSAARLASEAKAARSPFRMAGKRPSSSPALRSPVELSFCVESMLPYHTATASALMTSMLSITRRSYGWLPEGI >ONI17044 pep chromosome:Prunus_persica_NCBIv2:G3:14093902:14098090:-1 gene:PRUPE_3G134600 transcript:ONI17044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMATSAAARSIFRSCASRSCGSAARLASEAKAARSPFRMAGKRPSSSPALRSPVELSFCVESMLPYHTATASALMTSMLSITRRSYGWLPEGI >ONI15335 pep chromosome:Prunus_persica_NCBIv2:G3:2739643:2742327:1 gene:PRUPE_3G037900 transcript:ONI15335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTWFFYHRPHHLLFFSIIFFVLPCIAYSLAEPEALLKLKESFKNAEALNSWTPDTAPCARNTQWAGLICADGIVTGLRLGGMGLAGEIDTKVLAEIKGLRTMSFANNNFSGPMPEFNTLGPVKGLYLSGNQFTGEIPSNFFVKMESLRKLWLSNNKFTGNIPPSLATIPNLLELHLEDNGFSGSIPVINQSTLVSLNMSNNKLEGEVPASMSKFEASSFAGNAGLCGGKLGKECAKPEPPPAASTTPNENNNNNNNNNNDNNGGNGSNSKGNGGSSKVVVAVSVASAVVLVALIVLFFVKSRRKGEEEDFDMPGKENIEKADHPDSVELNVSEIKQKEVVVDRPSSSKRTGNSSRKGSNNGKGGGGMAELVMLNEEKGVFGLPDLMKAAAEVLGNGGLGSSYKAVMANGFAVVVKRMREMNGMGKDGFDAEMRRFGSLRHWNILTPLAYHYRKEEKLLIYEYIPKSSLLYILHGDRGPSHDELDWPARLKIIQGTAKGLAYIHTEFASCDVPHGNLKSSNILLGPDYEPLLSDFAFGPLINTANVAQALFAYKAPEAAEHGRVSPKCDVYCLGIIILEILTGKFPSLYLNNGKGGLDVIQWVRSAISEGRESELLDPEISSTKASLGEMEKLLHIGAACTESNPNQRLDMREAVTRIEQVQVLGSDHVPRTSHAPTLREGVGELPGETRLESNTIGSRSGRLDGDNDAAFSIS >ONI19760 pep chromosome:Prunus_persica_NCBIv2:G3:26314548:26316770:1 gene:PRUPE_3G295800 transcript:ONI19760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATAAFLKPISIAESCLPSVPALFAPKNQYPILSLPSKPTKLSAVSCSFTPSLPSWVSLKHKSLPLHVAQTSDWAQEEEVKEEGSGFESEGVEETEDGVEASALSDGEESSGDGVLAVGEEEYYPEPPEEAKLYVGNLPYDVDSEKLAHIFNEAGVVEIAEVIYNRETDQSRGFGFVTMSTVEEAEKAVQLFHRYDIGGRLLTVNKAAPRGSRPERPPRVNEPSFRIYVGNLPWQVDDSRLEQVFSEHGKVVSARVVYDRETGRSRGFGFVTFSSETEVDDAIAALDGQSLDGRSIRVNVAEERPRRGSFSI >ONI15473 pep chromosome:Prunus_persica_NCBIv2:G3:3202403:3204844:-1 gene:PRUPE_3G044600 transcript:ONI15473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNTQTNMLCLLWLLPLYKQKLTSPKSKSQNQNENQTQKPQQQKQSVCKKKFTHFSPTSAFHLSLLKTPKVENQTKQNPKTMEEKEDMLPPFWLQPSDSFRQANRRLRRSSSSVFFSSGAFILALLAIALVFIFFIIPSVLSFTSQIFRPHSVKKSWDSLNLVLVLFAIVCGFLSRNTNNDGNLSSPSSYDQVHNQTVFNSSSPQAPKSNPSTPRQWFDQYSDRTGYNQSSSSTSAAMNRGVRTSSSYPDLRQQEASWVARDDRWRFYDDTHVVNYRVSGSDPLHHRRHRSWHEESVQLPVEEEAEQVQTKTIEVDTFAIRTEQVNSPHTQIPTAQSDPPLQPSPPRRSPSSSQPPPPTIRKSKRTYQAIGEKENSGSTQSLERNDNFEAKKNLPPPPARPPPSPPSPPPRISKSAGKDVKKRGVATTKEFLITSLRRKKKKQRQKSVENFESLLASASSAPYSLLPPPSPPPPPPPLPPPPSVFHNLFSTKKSNKPRKTMQSIPQPPPPPPVAATTSTAQLSKTKAQMRPMMTTQKPPLPVKMSTFINGDDENTNSGGESPLARIPPPPPLPPFRMPEMKFVVHGDFVRIKSNNSSRSGSPDLDDGDDPDSAVSSPTTETNRTPLESGESPKAMFCPSPDVNTKADTFIARFRAGLRLEKMNSVRGRSNLGPDTREGEGPSY >ONI19402 pep chromosome:Prunus_persica_NCBIv2:G3:25489607:25490443:-1 gene:PRUPE_3G277300 transcript:ONI19402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSPFEFLEHLKGCHKGDKVQGIQDLKKYLGKFGYLSSNNGHLNDDDFDDQLESAIKTYQINYHLKATGTLDAKTVSNMMMPRCGVADIINENPTWPASKYHLTYAFLQGTPAEAMGPVSSAFQTWAANTHFTFSEAQNNQNPDLTVSFNRGDHGDGTPFDGPGGTIAHAFAPTNGRLHYDADERFSVGAVSGAYDFETVALHEIGHLLGLRHSSVQGAIMFPSVRAGVTQQSLHGDDIQGIKALYNT >ONI16124 pep chromosome:Prunus_persica_NCBIv2:G3:5883056:5884618:-1 gene:PRUPE_3G079600 transcript:ONI16124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSYLSFIVLERQGKEYEQQRQSYRADDEAKAQAQESTGRVMGSVEDKAQEVGDSIYDAIQKAKEVVKQKASEEPKNPETQPTTARTRLACMDKEDDVYYQRERERKREREREVGYGLDYKSLWHGSLWVFEAQ >ONI19264 pep chromosome:Prunus_persica_NCBIv2:G3:25050548:25054668:1 gene:PRUPE_3G268300 transcript:ONI19264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLACNSCPVQSNALGLGTPKNRLIGRRQLCFDGVSSASSHSQSNRPTHSSDGAVPPFSISTQSVQELSKDFDPLVREAAPFTTRRALFASIFMYPLCDPSRYLSAQALGDPTVTIEEVTPPVFTSGPLFPIEERIVQLFEKNTYSVVNIFDVTLRPQLNITGVVEVPEGNGSGVVWDGQGHIVTNYHVIGNALSRNPGLGEVVARVNILALEGVQKNFEGKLIGADRAKDLAVLKVEASEDLLKPISVGHSSSLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTISGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLKIVPQLIQFGKVVRAGLNLEIAPELVANQLNVRYGALVLQVPANSAAAKAGILPTTRGFAGNIVLGDIIVAVDDKPVKSKAEFNRVLDEYNVGDKVTLKIQRSSENLELPITLEEKSS >ONI19263 pep chromosome:Prunus_persica_NCBIv2:G3:25050548:25054666:1 gene:PRUPE_3G268300 transcript:ONI19263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLACNSCPVQSNALGLGTPKNRLIGRRQLCFDGVSSASSHSQSNRPTHSSDGAVPPFSISTQSSVQELSKDFDPLVREAAPFTTRRALFASIFMYPLCDPSRYLSAQALGDPTVTIEEVTPPVFTSGPLFPIEERIVQLFEKNTYSVVNIFDVTLRPQLNITGVVEVPEGNGSGVVWDGQGHIVTNYHVIGNALSRNPGLGEVVARVNILALEGVQKNFEGKLIGADRAKDLAVLKVEASEDLLKPISVGHSSSLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTISGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLKIVPQLIQFGKVVRAGLNLEIAPELVANQLNVRYGALVLQVPANSAAAKAGILPTTRGFAGNIVLGDIIVAVDDKPVKSKAEFNRVLDEYNVGDKVTLKIQRSSENLELPITLEEKSS >ONI15332 pep chromosome:Prunus_persica_NCBIv2:G3:2726199:2730673:-1 gene:PRUPE_3G037700 transcript:ONI15332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQQALMQQALLQQHSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFASTGAVESCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKDDWLGSRQIRCNWATKGAGSNEDKQSSDAKSVVELTNGSSEDGKETTNSEAPENNPQYTTVYVGNLAPEVTQLDLHRHFHALGVGVIEEVRLQRDKGFGFVRFSTHAEAALAIQMGNTQSILCGRQIKCSWGSKPTPPGTISNPLPPPAAAAPLPGLSATDLLAYERQLAMSKMGGVHALMHPQGQHPLKQAAMGMGTAGASQAIYDGGFQNVAAAQQLMYYQ >ONI15331 pep chromosome:Prunus_persica_NCBIv2:G3:2726044:2730714:-1 gene:PRUPE_3G037700 transcript:ONI15331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQQALMQQALLQQHSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFASTGAVESCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGAGSNEDKQSSDAKSVVELTNGSSEDGKETTNSEAPENNPQYTTVYVGNLAPEVTQLDLHRHFHALGVGVIEEVRLQRDKGFGFVRFSTHAEAALAIQMGNTQSILCGRQIKCSWGSKPTPPGTISNPLPPPAAAAPLPGLSATDLLAYERQLAMSKMGGVHALMHPQGQHPLKQAAMGMGTAGASQAIYDGGFQNVAAAQQLMYYQ >ONI15333 pep chromosome:Prunus_persica_NCBIv2:G3:2726185:2730673:-1 gene:PRUPE_3G037700 transcript:ONI15333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQQALMQQALLQQHSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFASTGAVESCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDATLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGAGSNEDKQSSDAKSVVELTNGSSEDGKETTNSEAPENNPQYTTVYVGNLAPEVTQLDLHRHFHALGVGVIEEVRLQRDKGFGFVRFSTHAEAALAIQMGNTQSILCGRQIKVALGVASLLHQGQFQTHSLHQQQQHLYQASLQQTSWPMNGNLQ >ONI18288 pep chromosome:Prunus_persica_NCBIv2:G3:21398580:21401237:1 gene:PRUPE_3G207500 transcript:ONI18288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKCCFFCGAAAFFFGYVRATKDLVGSSEHDKVGPDFFGYYTRLITELLSQEEDFAPFASQSSDLSQRRSGDVSGKDVIEPSYGTSGSLFGNSIGTGLSDFKKKRLKSLLRQGVNFLLSEVDEMLEPVVAISRLKSQLKRRKCLSSSKGTLSDIDARNAHAKRPKRSSSFSSTSLPALSIPTNLESSKEVDDHLQFLIEKDSLHVEKTVKKYSDEFSATLGHMEQQLEKLLDTVMASCRSMTLPEKHKLGKLIQKNAACTNSSDEIHVDLEKESNVTLWRLYYYVEAVEKARKLAK >ONI17869 pep chromosome:Prunus_persica_NCBIv2:G3:19908954:19910567:-1 gene:PRUPE_3G184000 transcript:ONI17869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYTLALLLVLIVNLGSLLTSLANSYCPPPTYPPHLPPKQPPHVKPPFIPKPPKHPPHPPHVKPPSVPKPPSKPPLPPHVKPPYVPKPPSKPPHPPHVKPPYVPKPPSKPPHPHPPHVPKPPHGPKPPIVYPPHIPKPPSVPKPPIVRPPFIPKPPIVKPPPHPKPPIVHPPFIPKPPIVHPPFIPKPPIVHPPFIPKPPIVHPPFIPKPPIVHPPFIPKPPIVHPPFIPKPPIVHPPFIPKPPIVHPPFIPKPPVVQPPPPYVPKPPVVQPPPPYVPKPPVVPVKPPPSPPVVPPSPPTPTPTPVVPVKPPPSPPVVPPSPPTPTPTPVVPVKPPPPTETPCPPPPPPTPVPTPSPPKNTCPIDTLKLGACVDVLGGLIHIGIGSSAKDACCPVLQGLVDLDAAICLCTTIKAKLLNINIIIPIALQVLIDCGKTPPSGFQCPA >ONI18305 pep chromosome:Prunus_persica_NCBIv2:G3:21427850:21431265:1 gene:PRUPE_3G208100 transcript:ONI18305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLYTPWLLLTQILLQVAKYEAKVPAIIVFGDSSVDSGNNNRISTPLKSNFKPYGRDFAGGQPTGRFSNGRVPPDFISEAFGLKPSVPAYLDPKYGISDFATGVCFASAGTGYDTATSDVLNVIPLWKEVEYYKEYQNKLRAYLGEAKAKRILTEALYLISLGTNDFLENYYTIPTRQMEFTVQQYEDFLIGLSENFIREIYSLGVRKISLTGLPPMGCLPLERARNFMANHDCVQEHNNVAVEFNGKLSNMVAKLNQELPGLRILFTDKIYQLFYQIIKRPSSYGFEVTEVACCATGTFEMSYLCNENNPYTCKDANKYVFWDAFHPTDKTNKILAASLIGILRETFK >ONI18304 pep chromosome:Prunus_persica_NCBIv2:G3:21427850:21431366:1 gene:PRUPE_3G208100 transcript:ONI18304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLYTPWLLLTQILLQVAKYEAKVPAIIVFGDSSVDSGNNNRISTPLKSNFKPYGRDFAGGQPTGRFSNGRVPPDFISEAFGLKPSVPAYLDPKYGISDFATGVCFASAGTGYDTATSDVLNVIPLWKEVEYYKEYQNKLRAYLGEAKAKRILTEALYLISLGTNDFLENYYTIPTRQMEFTVQQYEDFLIGLSENFIREIYSLGVRKISLTGLPPMGCLPLERARNFMANHDCVQEHNNVAVEFNGKLSNMVAKLNQELPGLRILFTDKIYQLFYQIIKRPSSYGFEVTEVACCATGTFEMSYLCNENNPYTCKDANKFQVNNVMANSIGWSQ >ONI19890 pep chromosome:Prunus_persica_NCBIv2:G3:26689506:26690311:1 gene:PRUPE_3G303600 transcript:ONI19890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVAQYERVFNHFDANGDGKISPLELQQCVRAIGGELSLTEAEVAVEHLDSDGDGLLCLDDFVKFVDGGREEEKVNDLKEAFNMYVMDDGCGFSCITPKSLKRMLSRLGESKSVDDCKVMISRFDLNGDGVLNFDEFKVMML >ONI16538 pep chromosome:Prunus_persica_NCBIv2:G3:8305621:8310526:-1 gene:PRUPE_3G105200 transcript:ONI16538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKASRRRRTTCRPNKRQIQKRRKFLEEGLTPLVEKYWFQRYDLFSRYDEGIKMDEQGWYSVTPEKIAITHAKRCENATVIDCFAGVGGNAIQFASMCYHVVAIEIDPLKVDMAINNAKIYGVEDYIDFIVGDFFQLAPSLKGDVVFLSPPWGGPSYKWVKKFTLDLLKPKDGYAIFQAAQAITPNIIMFLPRNVDLLQVEELCWLSSPPLQVEIEENYVRSNLKGVTVYFGGTAASSQCGSS >ONI16541 pep chromosome:Prunus_persica_NCBIv2:G3:8305621:8309936:-1 gene:PRUPE_3G105200 transcript:ONI16541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQGWYSVTPEKIAITHAKRCENATVIDCFAGVGGNAIQFASMCYHVVAIEIDPLKVDMAINNAKIYGVEDYIDFIVGDFFQLAPSLKGDVVFLSPPWGGPSYKWVKKFTLDLLKPKDGYAIFQAAQAITPNIIMFLPRNVDLLQVEELCWLSSPPLQVEIEENYVRSNLKGVTVYFGGTAASSQCGSS >ONI16540 pep chromosome:Prunus_persica_NCBIv2:G3:8305992:8309774:-1 gene:PRUPE_3G105200 transcript:ONI16540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQGWYSVTPEKIAITHAKRCENATVIDCFAGVGGNAIQFASMCYHVVAIEIDPLKVDMAINNAKIYGVEDYIDFIVGDFFQLAPSLKGDVVFLSPPWGGPSYKWVKKFTLDLLKPKDGYAIFQAAQAITPNIIMFLPRNVDLLQVEELCWLSSPPLQVEIEENYVRSNLKGVTVYFGGTAASSQCGSS >ONI16539 pep chromosome:Prunus_persica_NCBIv2:G3:8305992:8310371:-1 gene:PRUPE_3G105200 transcript:ONI16539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKASRRRRTTCRPNKRKLLHLPRQIQKRRKFLEEGLTPLVEKYWFQRYDLFSRYDEGIKMDEQGWYSVTPEKIAITHAKRCENATVIDCFAGVGGNAIQFASMCYHVVAIEIDPLKVDMAINNAKIYGVEDYIDFIVGDFFQLAPSLKGDVVFLSPPWGGPSYKWVKKFTLDLLKPKDGYAIFQAAQAITPNIIMFLPRNVDLLQVEELCWLSSPPLQVEIEENYVRSNLKGVTVYFGGTAASSQCGSS >ONI18667 pep chromosome:Prunus_persica_NCBIv2:G3:22872888:22874180:-1 gene:PRUPE_3G231700 transcript:ONI18667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYQPSNFQRLLSLTKLLTSDVNQGRHDKALALFHHMQASLALPLDAHVFSLVLKSCSAIHRPQLGISIHAHVAKSSILPNPFVASALVDMYGKCVSLSAARNLFDEIPQRNVVVWNAMISVYTRNGNVSDALGLFEAMDVEPDVSTFNSIIAGLAGSDDGSFKAVEFYRKMRAWGWKPDLITLLALLRACVGVAALRFIREIHGYDVRNEIDFHSQVSSLLVEAYGRCGCLANAHNLFHCMKKKDVVAWSSLISAYALHGEARAALDVFRKMELAKVEPDEITFLAVLKACSHAEGLADEALHYFSRMRKDYGVQANSDHYSCIVDVLSRTGRLNEAYEVIRQMPVKVTAKAWGALLSACRTYGNMELAEIAGKALSEIEPGNPANYLLLARMYSSLGRHEEAQRMRREMKEKGVKAPSGTSWVVYQD >ONI15479 pep chromosome:Prunus_persica_NCBIv2:G3:3230721:3233118:-1 gene:PRUPE_3G044900 transcript:ONI15479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRQRKLMEDMSPAVAVTLSLGNSICDNSGIAANVEFTWLKLVTDPGSLSSDSIKAVPLESVSCSNGSCNDIQSHATVVAMSSQEDNGGEGLSKMLPENGNTFVSNDAMVQESEGGEILSFRYDTNGVDSEELLKLEVGSAISLPDVVGIGNAVESKIVAKAIVLVESALGKMPSGEVIVAAVSSASELSDKSELTTSTVLIQSNGEKNVSKASIRSVFELDCIPLWGSVSICGRRPEMEDAIAAVPRFINIPIKMLIGNQLYNGMSQSLTHLTSHFFGIYDGHGGPQVANYCSERLHLALAEELGVIKDDLSDGTLGESQQVQWEKAFTNCFQRVDDEIEGKVSGNIIKSDGNASEASFEPIAPETVGSTAVVALVCSSHIIVANCGDSRAVLCRGKQAIALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRG >ONI15478 pep chromosome:Prunus_persica_NCBIv2:G3:3230668:3234184:-1 gene:PRUPE_3G044900 transcript:ONI15478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRQRKLMEDMSPAVAVTLSLGNSICDNSGIAANVEFTWLKLVTDPGSLSSDSIKAVPLESVSCSNGSCNDIQSHATVVAMSSQEDNGGEGLSKMLPENGNTFVSNDAMVQESEGGEILSFRYDTNGVDSEELLKLEVGSAISLPDVVGIGNAVESKIVAKAIVLVESALGKMPSGEVIVAAVSSASELSDKSELTTSTVLIQSNGEKNVSKASIRSVFELDCIPLWGSVSICGRRPEMEDAIAAVPRFINIPIKMLIGNQLYNGMSQSLTHLTSHFFGIYDGHGGPQVANYCSERLHLALAEELGVIKDDLSDGTLGESQQVQWEKAFTNCFQRVDDEIEGKVSGNIIKSDGNASEASFEPIAPETVGSTAVVALVCSSHIIVANCGDSRAVLCRGKQAIALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMNVPRARDDECLILASDGLWDVMTNEEVCEVARRRILLWHKKNGVTALAERGAGVDPAAQEAAAYLSTLALQKGSRDNISVIVVDLKAQRKFKSKS >ONI15477 pep chromosome:Prunus_persica_NCBIv2:G3:3230947:3233118:-1 gene:PRUPE_3G044900 transcript:ONI15477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRQRKLMEDMSPAVAVTLSLGNSICDNSGIAANVEFTWLKLVTDPGSLSSDSIKAVPLESVSCSNGSCNDIQSHATVVAMSSQEDNGGEGLSKMLPENGNTFVSNDAMVQESEGGEILSFRYDTNGVDSEELLKLEVGSAISLPDVVGIGNAVESKIVAKAIVLVESALGKMPSGEVIVAAVSSASELSDKSELTTSTVLIQSNGEKNVSKASIRSVFELDCIPLWGSVSICGRRPEMEDAIAAVPRFINIPIKMLIGNQLYNGMSQSLTHLTSHFFGIYDGHGGPQVANYCSERLHLALAEELGVIKDDLSDGTLGESQQVQWEKAFTNCFQRVDDEIEGKVSGNIIKSDGNASEASFEPIAPETVGSTAVVALVCSSHIIVANCGDSRAVLCRGKQAIALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMNVPRARDDECLILASDGLWDVMTNEEVCEVARRRILLWHKKNGVTALAERGAGVDPAAQEAAAYLSTLALQKGSRDNISVIVVDLKAQRKFKSKS >ONI15946 pep chromosome:Prunus_persica_NCBIv2:G3:5055813:5058756:1 gene:PRUPE_3G070300 transcript:ONI15946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSLETMGQMNCGDSQEEEQVMSEVHLGCPSGFSGPHISRFTFSIPTELEPRRYNGTSQVEPASTNQMISLDEDGDLLLPRRNKLSTQSSSVSIQHNITSSIPSVGLQVWRAELLLSDFLLHKMFTSSELDGTVALELGAGTDHGNEVLDNCAKNVDLNSELFSHRATVHVRELDWMKPWPPRMTLEESSLGKRYSWTFSEVEAAQEASLLVAADVIYSDDLTDAFFSTLQRLMSSGSEKVLYLALEKRYNFSVDDLNVVANGYSHFRSFLRLEGDADSEALECGSMPCFVGKCIDITQIPQYVREYDRGTDVELWQIEYNKTKL >ONI18151 pep chromosome:Prunus_persica_NCBIv2:G3:20896430:20898676:-1 gene:PRUPE_3G199800 transcript:ONI18151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRKISQLCAASADLTSGQDHALTIASHEDELLGKISEIEKSLAALSTQKIQLVDLKKSISDELDLLRASRAHSHKHGAVHPYPRLPMKEEASMTVNLGEHRSVFLMITSTKENIGALYEVKFKYGAKVDDMDGRAVLEPVVKFPCFNECARIFSRSRVYVFTEDACVKSFDTKTRELDPSVPSTLELKPSGTFVSAYDRLYFLEEASPFVADPLPSFAKYNPDENDWERMPEFPIRYPYPMRVTGYAVCYGLILYTLIDGHENSDVVAFHVGGMNWTRVEIDTCDYTPFRGRAVVVGKTIYALDLFQVDEIIAFSLKMNKRVDGSIAYTLIKLCKLDGLKIASPPCPFDGLESDYFVHLGNQDFFHVKTGTNYEFYKVQHICITTFQIFVREDGIHMIKTLHSAVLPMDIEACGQFMLTFSFTPECEDYEPIEGAECS >ONI18655 pep chromosome:Prunus_persica_NCBIv2:G3:22820427:22821154:1 gene:PRUPE_3G230500 transcript:ONI18655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGSKMALILNVILVLSLVLMISVAESRQVGSNIGFGGKKPAAVCAAVYGAEEGDTCTSVSEMFNLSLDFFLSINPNINCDNFFVGQWLCTAGSAN >ONI19823 pep chromosome:Prunus_persica_NCBIv2:G3:26499228:26500193:-1 gene:PRUPE_3G299700 transcript:ONI19823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGAAGSTVYFNLRLRHPTLDMPLIDYDLALLFQPMLMLGISIGVAFNVMFANWMVTVLLIILFLGTAAKALMKGIETWKKETMMKKEAEKQLESESKPGVAEGSGEDYKLLPSGPASLPDEQVSSKNILSRYSFATSLLSAIFKWFQLLFY >ONI17699 pep chromosome:Prunus_persica_NCBIv2:G3:19207659:19212497:-1 gene:PRUPE_3G175100 transcript:ONI17699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRQSGWTRQKVLHLMIGSSNLGYFIYFTSTLVAACKKWFCWSNVCGFILMAYPKILFLAAFLLLLSFWVDLCHQANDDEEDDEDDSMQQALLENSKNKPNSSNKDGHRICCSFQSIHVGSHQKFVIAVVVLVLVLMMSFAVVIWIGVGKNPIDSSAVAQAYEDFLAFTVLLLGGALGCYGILLFCKLRKVRSEKASSEMWKVAGLAITSVICFTSSALVALLTNIPLYCQWSLKKTYGGKALVFLILYYFMGSLVPSTSVLWIVRELPPPIANHQREQSRTITFVSYAAVGTQRPRRWATVTSSNNQASRASPI >ONI17702 pep chromosome:Prunus_persica_NCBIv2:G3:19209232:19213253:-1 gene:PRUPE_3G175100 transcript:ONI17702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLELLVEKSDCFPLDLLVFNIALAFFNGVLAVIAFSQLVRIHMRNRQSGWTRQKVLHLMIGSSNLGYFIYFTSTLVAACKKWFCWSNVCGFILMAYPKILFLAAFLLLLSFWVDLCHQANDDEEDDEDDSMQQALLENSKNKPNSSNKDGHRICCSFQSIHVGSHQKFVIAVVVLVLVLMMSFAVVIWIGVGKNPIDSSAVAQAYEDFLAFTVLLLGGALGCYGESTDNFLLCIYLLWEVMPHLSSYYQFHLGFVNLVSLLWI >ONI17701 pep chromosome:Prunus_persica_NCBIv2:G3:19208162:19213253:-1 gene:PRUPE_3G175100 transcript:ONI17701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLELLVEKSDCFPLDLLVFNIALAFFNGVLAVIAFSQLVRIHMRNRQSGWTRQKVLHLMIGSSNLGYFIYFTSTLVAACKKWFCWSNVCGFILMAYPKILFLAAFLLLLSFWVDLCHQANDDEEDDEDDSMQQALLENSKNKPNSSNKDGHRICCSFQSIHVGSHQKFVIAVVVLVLVLMMSFAVVIWIGVGKNPIDSSAVAQAYEDFLAFTVLLLGGALGCYGILLFCKLRKVRSEKASSEMWKVAGLAITSVICFTSSALVALLTNIPLFASYIVSGV >ONI17700 pep chromosome:Prunus_persica_NCBIv2:G3:19206983:19210581:-1 gene:PRUPE_3G175100 transcript:ONI17700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQALLENSKNKPNSSNKDGHRICCSFQSIHVGSHQKFVIAVVVLVLVLMMSFAVVIWIGVGKNPIDSSAVAQAYEDFLAFTVLLLGGALGCYGILLFCKLRKVRSEKASSEMWKVAGLAITSVICFTSSALVALLTNIPLYCQWSLKKTYGGKALVFLILYYFMGSLVPSTSVLWIVRELPPPIANHQREQSRTITFVSYAAVGTQRPRRWATVTSSNNQASRASPI >ONI17698 pep chromosome:Prunus_persica_NCBIv2:G3:19206983:19213546:-1 gene:PRUPE_3G175100 transcript:ONI17698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLELLVEKSDCFPLDLLVFNIALAFFNGVLAVIAFSQLVRIHMRNRQSGWTRQKVLHLMIGSSNLGYFIYFTSTLVAACKKWFCWSNVCGFILMAYPKILFLAAFLLLLSFWVDLCHQANDDEEDDEDDSMQQALLENSKNKPNSSNKDGHRICCSFQSIHVGSHQKFVIAVVVLVLVLMMSFAVVIWIGVGKNPIDSSAVAQAYEDFLAFTVLLLGGALGCYGILLFCKLRKVRSEKASSEMWKVAGLAITSVICFTSSALVALLTNIPLYCQWSLKKTYGGKALVFLILYYFMGSLVPSTSVLWIVRELPPPIANHQREQSRTITFVSYAAVGTQRPRRWATVTSSNNQASRASPI >ONI17697 pep chromosome:Prunus_persica_NCBIv2:G3:19206983:19213546:-1 gene:PRUPE_3G175100 transcript:ONI17697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLELLVEKSDCFPLDLLVFNIALAFFNGVLAVIAFSQLVRIHMRNRQSGWTRQKVLHLMIGSSNLACKKWFCWSNVCGFILMAYPKILFLAAFLLLLSFWVDLCHQANDDEEDDEDDSMQQALLENSKNKPNSSNKDGHRICCSFQSIHVGSHQKFVIAVVVLVLVLMMSFAVVIWIGVGKNPIDSSAVAQAYEDFLAFTVLLLGGALGCYGILLFCKLRKVRSEKASSEMWKVAGLAITSVICFTSSALVALLTNIPLYCQWSLKKTYGGKALVFLILYYFMGSLVPSTSVLWIVRELPPPIANHQREQSRTITFVSYAAVGTQRPRRWATVTSSNNQASRASPI >ONI15590 pep chromosome:Prunus_persica_NCBIv2:G3:3593114:3600309:1 gene:PRUPE_3G051000 transcript:ONI15590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRVPREAINDRRGYPLEGPFGRGPPMRPLPHPAVLEEELEMQHAEIRRLLADNRRLVEDRMTLQRELGAAKEEIHRMNVAFSDIRAEEELQSRELFEKGRKLEADLRATEPLKNEAKQLRSEVQKLTNVRKELSTQVQTLTQDVARLQSDNQQIPLLRADIDGLHQELMHARNAIDYEKKANVELMEQRQAMEKNLVSMAREVEKLRADLARTDGRPWGAGGQYGINFSSPEVAFPAAYGDGYGMRMGVADKGPMYGPGPASWGGPEKPRMTRR >ONI15585 pep chromosome:Prunus_persica_NCBIv2:G3:3593063:3600309:1 gene:PRUPE_3G051000 transcript:ONI15585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRVPREAINDRRGYPLEGPFGRGPPMRPLPHPAVLEEELEMQHAEIRRLLADNRRLVEDRMTLQRELGAAKEEIHRMNVAFSDIRAEEELQSRELFEKGRKLEADLRATEPLKNEAKQLRSEVQKLTNVRKELSTQVQTLTQDVARLQSDNQQIPLLRADIDGLHQELMHARNAIDYEKKANVELMEQRQAMEKNLVSMAREVEKLRADLARTDGRPWGAGGQYGINFSSPEVAFPAAYGDGYGMRMMKG >ONI15587 pep chromosome:Prunus_persica_NCBIv2:G3:3593112:3600309:1 gene:PRUPE_3G051000 transcript:ONI15587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRVPREAINDRRGYPLEGPFGRGPPMRPLPHPAVLEEELEMQHAEIRRLLADNRRLVEDRMTLQRELGAAKEEIHRMNVAFSDIRAEEELQSRELFEKGRKLEADLRATEPLKNEAKQLRSEVQKLTNVRKELSTQVQTLTQDVARLQSDNQQIPLLRADIDGLHQELMHARNAIDYEKKANVELMEQRQAMEKNLVSMAREVEKLRADLARTDGRPWGAGGQYGINFSSPEVAFPAAYGDGYGMRMGVADKGPMYGPGPASWGGPEKPRMTRR >ONI15589 pep chromosome:Prunus_persica_NCBIv2:G3:3593114:3600309:1 gene:PRUPE_3G051000 transcript:ONI15589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRVPREAINDRRGYPLEGPFGRGPPMRPLPHPAVLEEELEMQHAEIRRLLADNRRLVEDRMTLQRELGAAKEEIHRMNVAFSDIRAEEELQSRELFEKGRKLEADLRATEPLKNEAKQLRSEVQKLTNVRKELSTQVQTLTQDVARLQSDNQQIPLLRADIDGLHQELMHARNAIDYEKKANVELMEQRQAMEKNLVSMAREVEKLRADLARTDGRPWGAGGQYGINFSSPEVAFPAAYGDGYGMRMGVADKGPMYGPGPASWGGPEKPRMTRR >ONI15586 pep chromosome:Prunus_persica_NCBIv2:G3:3593112:3600309:1 gene:PRUPE_3G051000 transcript:ONI15586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRVPREAINDRRGYPLEGPFGRGPPMRPLPHPAVLEEELEMQHAEIRRLLADNRRLVEDRMTLQRELGAAKEEIHRMNVAFSDIRAEEELQSRELFEKGRKLEADLRATEPLKNEAKQLRSEVQKLTNVRKELSTQVQTLTQDVARLQSDNQQIPLLRADIDGLHQELMHARNAIDYEKKANVELMEQRQAMEKNLVSMAREVEKLRADLARTDGRPWGAGGQYGINFSSPEVAFPAAYGDGYGMRMMKG >ONI15588 pep chromosome:Prunus_persica_NCBIv2:G3:3593063:3600309:1 gene:PRUPE_3G051000 transcript:ONI15588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRVPREAINDRRGYPLEGPFGRGPPMRPLPHPAVLEEELEMQHAEIRRLLADNRRLVEDRMTLQRELGAAKEEIHRMNVAFSDIRAEEELQSRELFEKGRKLEADLRATEPLKNEAKQLRSEVQKLTNVRKELSTQVQTLTQDVARLQSDNQQIPLLRADIDGLHQELMHARNAIDYEKKANVELMEQRQAMEKNLVSMAREVEKLRADLARTDGRPWGAGGQYGINFSSPEVAFPAAYGDGYGMRMGVADKGPMYGPGPASWGGPEKPRMTRR >ONI15090 pep chromosome:Prunus_persica_NCBIv2:G3:1836894:1841732:-1 gene:PRUPE_3G025200 transcript:ONI15090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMHLSIPIDEDLQQTLSFFEKIAARRGGLDMLGFGDATFRCLIESFPRLLLLSLDPHVTPIVEYLENIGVPRERMTNIVLLYPPIIFCNIKVIKTRVLAFREVGVEDKDVGRMLVKYPWILSTSIQENFKEVLSFFDLEKVPKMSVGLAIKSWPHVLGCSTSLLKLMVDQIGELGIRNKKLGQVISRSPQLLIRKPVEFLQVVSFVEGLGFDKETVGIILGRCPEIFAASIERTLSKKLQFLASIGVSKVHLPRVIKKYPELLVSDTDRNLLPRMKYLMKKGLSRRDIAFMVRRFSPLLGYSIEEVLRPKLEFLINTMEKPVTDLVEYPRYFSYSLEKKIKPRYWVLKARNVECSLKDMLGKNDEQFAEEFMGVGSMLVPIPPSPGQ >ONI15089 pep chromosome:Prunus_persica_NCBIv2:G3:1837084:1841517:-1 gene:PRUPE_3G025200 transcript:ONI15089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIPLTMSSSSKFLQIPTTLTLTFKLSSSPNKPTNPSLLPNPSNPTLTLRLHQTHKHKNPIFHTPSKTHHRLHHTLFSTPPNSPAPPPDHQSEQFKAAQDALLEFLQEFGVSETEAVFVSSNSPRYLRMLVDGVLELDELGLWRERERKEGRELVGFKEKVRYLAKEKGDNGKVAFLESVVGLSLSSAMNLARHLSAETLPGLVEKVKYVKEIFFSGSNDVGLIGKNARRMMMHLSIPIDEDLQQTLSFFEKIAARRGGLDMLGFGDATFRCLIESFPRLLLLSLDPHVTPIVEYLENIGVPRERMTNIVLLYPPIIFCNIKVIKTRVLAFREVGVEDKDVGRMLVKYPWILSTSIQENFKEVLSFFDLEKVPKMSVGLAIKSWPHVLGCSTSLLKLMVDQIGELGIRNKKLGQVISRSPQLLIRKPVEFLQVVSFVEGLGFDKETVGIILGRCPEIFAASIERTLSKKLQFLASIGVSKVHLPRVIKKYPELLVSDTDRNLLPRMKYLMKKGLSRRDIAFMVRRFSPLLGYSIEEVLRPKLEFLINTMEKPVTDLVEYPRYFSYSLEKKIKPRYWVLKARNVECSLKDMLGKNDEQFAEEFMGVGSMLVPIPPSPGQ >ONI15088 pep chromosome:Prunus_persica_NCBIv2:G3:1836894:1841732:-1 gene:PRUPE_3G025200 transcript:ONI15088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIPLTMSSSSKFLQIPTTLTLTFKLSSSPNKPTNPSLLPNPSNPTLTLRLHQTHKHKNPIFHTPSKTHHRLHHTLFSTPPNSPAPPPDHQSEQFKAAQDALLEFLQEFGVSETEAVFVSSNSPRYLRMLVDGVLELDELGLWRERERKEGRELVGFKEKVRYLAKEKGDNGKVAFLESVVGLSLSSAMNLARHLSAETLPGLVEKVKYVKEIFFSGSNDVGLIGKNARRMMMHLSIPIDEDLQQTLSFFEKVGVEDKDVGRMLVKYPWILSTSIQENFKEVLSFFDLEKVPKMSVGLAIKSWPHVLGCSTSLLKLMVDQIGELGIRNKKLGQVISRSPQLLIRKPVEFLQVVSFVEGLGFDKETVGIILGRCPEIFAASIERTLSKKLQFLASIGVSKVHLPRVIKKYPELLVSDTDRNLLPRMKYLMKKGLSRRDIAFMVRRFSPLLGYSIEEVLRPKLEFLINTMEKPVTDLVEYPRYFSYSLEKKIKPRYWVLKARNVECSLKDMLGKNDEQFAEEFMGVGSMLVPIPPSPGQ >ONI15092 pep chromosome:Prunus_persica_NCBIv2:G3:1838747:1841517:-1 gene:PRUPE_3G025200 transcript:ONI15092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIPLTMSSSSKFLQIPTTLTLTFKLSSSPNKPTNPSLLPNPSNPTLTLRLHQTHKHKNPIFHTPSKTHHRLHHTLFSTPPNSPAPPPDHQSEQFKAAQDALLEFLQEFGVSETEAVFVSSNSPRYLRMLVDGVLELDELGLWRERERKEGRELVGFKEKVRYLAKEKGDNGKVAFLESVVGLSLSSAMNLARHLSAETLPGLVEKVKYVKEIFFSGSNDVGLIGKNARRMMMHLSIPIDEDLQQTLSFFEKIAARRGGLDMLGFGDATFRCLIESFPRLLLLSLDPHVTPIVEYLENIGVPRERMTNIVLLYPPIIFCNIKVIKTRVLAFREVGVEDKDVGRMLVKYPWILSTSIQENFKEVLSFFDLEKVRNPIRYQK >ONI15091 pep chromosome:Prunus_persica_NCBIv2:G3:1837958:1841517:-1 gene:PRUPE_3G025200 transcript:ONI15091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIPLTMSSSSKFLQIPTTLTLTFKLSSSPNKPTNPSLLPNPSNPTLTLRLHQTHKHKNPIFHTPSKTHHRLHHTLFSTPPNSPAPPPDHQSEQFKAAQDALLEFLQEFGVSETEAVFVSSNSPRYLRMLVDGVLELDELGLWRERERKEGRELVGFKEKVRYLAKEKGDNGKVAFLESVVGLSLSSAMNLARHLSAETLPGLVEKVKYVKEIFFSGSNDVGLIGKNARRMMMHLSIPIDEDLQQTLSFFEKIAARRGGLDMLGFGDATFRCLIESFPRLLLLSLDPHVTPIVEYLENIGVPRERMTNIVLLYPPIIFCNIKVIKTRVLAFREVGVEDKDVGRMLVKYPWILSTSIQENFKEVLSFFDLEKVPKMSVGLAIKSWPHVLGCSTSLLKLMVDQIGELGIRNKKLGQVISRSPQLLIRKPVEFLQYGVYNVRHLWQMASMQRKISISSWL >ONI15093 pep chromosome:Prunus_persica_NCBIv2:G3:1838747:1841517:-1 gene:PRUPE_3G025200 transcript:ONI15093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIPLTMSSSSKFLQIPTTLTLTFKLSSSPNKPTNPSLLPNPSNPTLTLRLHQTHKHKNPIFHTPSKTHHRLHHTLFSTPPNSPAPPPDHQSEQFKAAQDALLEFLQEFGVSETEAVFVSSNSPRYLRMLVDGVLELDELGLWRERERKEGRELVGFKEKVRYLAKEKGDNGKVAFLESVVGLSLSSAMNLARHLSAETLPGLVEKVKYVKEIFFSGSNDVGLIGKNARRMMMHLSIPIDEDLQQTLSFFEKIAARRGGLDMLGFGDATFRCLIESFPRLLLLSLDPHVTPIVEYLENIGVPRERMTNIVLLYPPIIFCNIKVIKTRVLAFREVGVEDKDVGRMLVKYPWILSTSIQENFKEVLSFFDLEKVRNPIRYQK >ONI17269 pep chromosome:Prunus_persica_NCBIv2:G3:16341781:16344884:1 gene:PRUPE_3G149500 transcript:ONI17269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPLPLSKLALHLVNRDDKDKKYVVLVATGSFNPPTYMHLRMFELARDALNSEGFCVIGGYMSPVNDAYYKKGLISAEHRIQLCHLACQSSEFIMVDPWEARQSNFQRTLTVLSRVKDFLSEAGLIPSESLKCMLVCGSDLLHSFGIPGVWISEQVRGICRDYGVVCIRREGQDVDTIISNDEILKENKGNIRIVDDVVPNQISSTRIRDCISRGLSIKYLTADEVIVYIREHHLYSNSNDM >ONI17273 pep chromosome:Prunus_persica_NCBIv2:G3:16342773:16344884:1 gene:PRUPE_3G149500 transcript:ONI17273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPWEARQSNFQRTLTVLSRVKDFLSEAGLIPSESLKCMLVCGSDLLHSFGIPGVWISEQVRGICRDYGVVCIRREGQDVDTIISNDEILKENKGNIRIVDDVVPNQISSTRIRDCISRGLSIKYLTADEVIVYIREHHLYSNSNDM >ONI17272 pep chromosome:Prunus_persica_NCBIv2:G3:16342760:16344884:1 gene:PRUPE_3G149500 transcript:ONI17272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPWEARQSNFQRTLTVLSRVKDFLSEAGLIPSESLKCMLVCGSDLLHSFGIPGVWISEQVRGICRDYGVVCIRREGQDVDTIISNDEILKENKGNIRIVDDVVPNQISSTRIRDCISRGLSIKYLTADEVIVYIREHHLYSNSNDM >ONI17271 pep chromosome:Prunus_persica_NCBIv2:G3:16341468:16344909:1 gene:PRUPE_3G149500 transcript:ONI17271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVNDAYYKKGLISAEHRIQLCHLACQSSEFIMVDPWEARQSNFQRTLTVLSRVKDFLSEAGLIPSESLKCMLVCGSDLLHSFGIPGVWISEQVRGICRDYGVVCIRREGQDVDTIISNDEILKENKGNIRIVDDVVPNQISSTRIRDCISRGLSIKYLTADEVIVYIREHHLYSNSNDM >ONI17270 pep chromosome:Prunus_persica_NCBIv2:G3:16341468:16344909:1 gene:PRUPE_3G149500 transcript:ONI17270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPLPLSKLALHLVNRDDKDKKYVVLVATGSFNPPTYMHLRMFELARDALNSEGFCVIGGYMSPVNDAYYKKGLISAEHRIQLCHLACQSSEFIMVDPWEARQSNFQRTLTVLSRVKDFLSEAGLIPSESLKCMLVCGSDLLHSFGIPGVWISEQVRGICRDYGVVCIRREGQDVDTIISNDEILKENKGNIRIVDDVVPNQISSTRIRDCISRGLSIKYLTADEVIVYIREHHLYSNSNDM >ONI19147 pep chromosome:Prunus_persica_NCBIv2:G3:24757595:24760539:1 gene:PRUPE_3G262000 transcript:ONI19147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTYCCSLSLPPIPNPPTLNKTQLFPTKLYSPNPFSHSNFTLRSPRIRATNFSSSTTVQDEAQKLSDEAMSIDNLRRFVNLNLGKWNGSFFQFDAVGNLLHKVNTKLSASSYGEDELISLIQTLYIEQASSSTSVSGYDDDELEWAEYKIKETNMFTADKYQQIGFFPNEKAFSLRYQTAGMLETVLRQGVLGEDDTGEDSPKNLKLPSRRPSIVCESCLYSLEKDMRVRAFHIMDPKGIVEMLLIFLEERGDGVLLPPSLDNNMENKDRIVPFLGKWKGHSITKRSGVYGSTMAEAETVTSLEMDDKGQIIQGITSTSAAGDVTTNVPWTGIKSDNLVTFAGGYQMTLLPGGMYMGCPCDVGKNVAESLSFHLEFCWLEGPGKRQRLVRTYDVEGLAVSTTYFYETRM >ONI19149 pep chromosome:Prunus_persica_NCBIv2:G3:24758464:24760250:1 gene:PRUPE_3G262000 transcript:ONI19149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSVSFKHYILNKLHQAHLFLDMMMMSWNGQNTKLKKPTCSLRTNINSLQIGFFPNEKAFSLRYQTAGMLETVLRQGVLGEDDTGEDSPKNLKLPSRRPSIVCESCLYSLEKDMRVRAFHIMDPKGIVEMLLIFLEERGDGVLLPPSLDNNMENKDRIVPFLGKWKGHSITKRSGVYGSTMAEAETVTSLEMDDKGQIIQGITSTSAAGDVTTNVPWTGIKSDNLVTFAGGYQMTLLPGGMYMGCPCDVGKNVAESLSFHLEFCWLEGPGKRQRLVRTYDVEGLAVSTTYFYETRM >ONI19150 pep chromosome:Prunus_persica_NCBIv2:G3:24757618:24760540:1 gene:PRUPE_3G262000 transcript:ONI19150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSVSFKHYILNKLHQAHLFLDMMMMSWNGQNTKLKKPTCSLRTNINRNLKLPSRRPSIVCESCLYSLEKDMRVRAFHIMDPKGIVEMLLIFLEERGDGVLLPPSLDNNMENKDRIVPFLGKWKGHSITKRSGVYGSTMAEAETVTSLEMDDKGQIIQGITSTSAAGDVTTNVPWTGIKSDNLVTFAGGYQMTLLPGGMYMGCPCDVGKNVAESLSFHLEFCWLEGPGKRQRLVRTYDVEGLAVSTTYFYETRM >ONI19148 pep chromosome:Prunus_persica_NCBIv2:G3:24757595:24760540:1 gene:PRUPE_3G262000 transcript:ONI19148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSLLYIEQASSSTSVSGYDDDELEWAEYKIKETNMFTADKYQQIGFFPNEKAFSLRYQTAGMLETVLRQGVLGEDDTGEDSPKNLKLPSRRPSIVCESCLYSLEKDMRVRAFHIMDPKGIVEMLLIFLEERGDGVLLPPSLDNNMENKDRIVPFLGKWKGHSITKRSGVYGSTMAEAETVTSLEMDDKGQIIQGITSTSAAGDVTTNVPWTGIKSDNLVTFAGGYQMTLLPGGMYMGCPCDVGKNVAESLSFHLEFCWLEGPGKRQRLVRTYDVEGLAVSTTYFYETRM >ONI19152 pep chromosome:Prunus_persica_NCBIv2:G3:24757618:24760540:1 gene:PRUPE_3G262000 transcript:ONI19152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMSWNGQNTKLKKPTCSLRTNINRNLKLPSRRPSIVCESCLYSLEKDMRVRAFHIMDPKGIVEMLLIFLEERGDGVLLPPSLDNNMENKDRIVPFLGKWKGHSITKRSGVYGSTMAEAETVTSLEMDDKGQIIQGITSTSAAGDVTTNVPWTGIKSDNLVTFAGGYQMTLLPGGMYMGCPCDVGKNVAESLSFHLEFCWLEGPGKRQRLVRTYDVEGLAVSTTYFYETRM >ONI19151 pep chromosome:Prunus_persica_NCBIv2:G3:24758748:24760250:1 gene:PRUPE_3G262000 transcript:ONI19151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMSWNGQNTKLKKPTCSLRTNINSLQIGFFPNEKAFSLRYQTAGMLETVLRQGVLGEDDTGEDSPKNLKLPSRRPSIVCESCLYSLEKDMRVRAFHIMDPKGIVEMLLIFLEERGDGVLLPPSLDNNMENKDRIVPFLGKWKGHSITKRSGVYGSTMAEAETVTSLEMDDKGQIIQGITSTSAAGDVTTNVPWTGIKSDNLVTFAGGYQMTLLPGGMYMGCPCDVGKNVAESLSFHLEFCWLEGPGKRQRLVRTYDVEGLAVSTTYFYETRM >ONI19430 pep chromosome:Prunus_persica_NCBIv2:G3:25584040:25584973:-1 gene:PRUPE_3G279700 transcript:ONI19430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAFLLLFVTILLVTTMPTTPKAKTPPKGRSPPAPKGGKGGKTKPFPKSSPSPALDEPYPPFDEHNDDELTPPLPVANKVDGMVNPLNKSVSSIGN >ONI19432 pep chromosome:Prunus_persica_NCBIv2:G3:25584187:25584910:-1 gene:PRUPE_3G279700 transcript:ONI19432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAFLLLFVTILLVTTMVSSQDDPEEELKAKSKPTTPKAKTPPKGRSPPAPKGGKGGKTKPFPKSSPSPALDEPYPPFDEHNDDELTPPLPVANKVDGMVNPLNKSVSSIGN >ONI19431 pep chromosome:Prunus_persica_NCBIv2:G3:25584033:25584973:-1 gene:PRUPE_3G279700 transcript:ONI19431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAFLLLFVTILLVTTMPTTPKAKTPPKGRSPPAPKGGKGGKTKPFPKSSPSPALDEPYPPFDEHNDDELTPPLPVANKVKVDGMVNPLNKSVSSIGN >ONI19433 pep chromosome:Prunus_persica_NCBIv2:G3:25584187:25584910:-1 gene:PRUPE_3G279700 transcript:ONI19433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAFLLLFVTILLVTTMVSSQDDPEEELKAKSKPTTPKAKTPPKGRSPPAPKGGKGGKTKPFPKSSPSPALDEPYPPFDEHNDDELTPPLPVANKVKVDGMVNPLNKSVSSIGN >ONI17807 pep chromosome:Prunus_persica_NCBIv2:G3:19574416:19578636:1 gene:PRUPE_3G179800 transcript:ONI17807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCIPDWNFEADLPLTNQKKPMGPDHELVELLWRNGQVVLHSQTHRKPCPNPPNEARQVQKQDPQTIRVGGLYGNSSNLTQDEDTGSLIHYPLEDSFDKEFCSHFFSELPSCDPIEIDKPTKQFEEEKFAKFGASDTPHLVSTAPQPNVKSPAGMGYPANPMPPPRYQYNNSTEQNQNLVGLGKVVNFSTFATPGKGDRGSSRGKIGGKESGNLTQAEVKECSVMTVGSSYVGSNQVLNDFDVSRASSNCDGTTGLSVGPFYDNVQKMMPQNERGKTDTLDPTLTSSSGGSGSSFGRGGKRSNVVNSNKRKGRDAEESECQSEAAELESAAGNKSAQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHSNKTDKASMLDEAIEYLKSLQMQLQVMWMGSGMAPMMFPGMQHYMSRMGMGMGMGVGMGPPALPSMHNPMHLPRVPLVDQCINVAPATNQAVMCQAPVLNPVDYHNQMQNPAFQEQYARLMGFHHMQTMSQPMNMFRFGSQPMQQNQMTAPTGINSGPLGGGGTANDTLSGKMC >ONI17806 pep chromosome:Prunus_persica_NCBIv2:G3:19574793:19578636:1 gene:PRUPE_3G179800 transcript:ONI17806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCIPDWNFEADLPLTNQKKPMGPDHELVELLWRNGQVVLHSQTHRKPCPNPPNEARQVQKQDPQTIRVGGLYGNSSNLTQDEDTGSLIHYPLEDSFDKEFCSHFFSELPSCDPIEIDKPTKQFEEEKFAKFGASDTPHLVSTAPQPNVKSPAGMGYPANPMPPPRYQYNNSTEQNQNLVGLGKVVNFSTFATPGKGDRGSSRGKIGGKESGNLTQAEVKECSVMTVGSSYVGSNQVLNDFDVSRASSNCDGTTGLSVGPFYDNVQKMMPQNERGKTDTLDPTLTSSSGGSGSSFGRGGKRSNVVNSNKRKGRDAEESECQSEAAELESAAGNKSAQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHSNKTDKASMLDEAIEYLKSLQMQLQVMWMGSGMAPMMFPGMQHYMSRMGMGMGMGVGMGPPALPSMHNPMHLPRVPLVDQCINVAPATNQAVMCQAPVLNPVDYHNQMQNPAFQEQYARLMGFHHMQTMSQPMNMFRFGSQPMQQNQMTAPTGINSGPLGGGGTANDTLSGKMC >ONI17810 pep chromosome:Prunus_persica_NCBIv2:G3:19574793:19578657:1 gene:PRUPE_3G179800 transcript:ONI17810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCIPDWNFEADLPLTNQKKPMGPDHELVELLWRNGQVVLHSQTHRKPCPNPPNEARQVQKQDPQTIRVGGLYGNSSNLTQDEDTGSLIHYPLEDSFDKEFCSHFFSELPSCDPIEIDKPTKQFEEEKFAKFGASDTPHLVSTAPQPNVKSPAGMGYPANPMPPPRYQYNNSTEQNQNLVGLGKVVNFSTFATPGKGDRGSSRGKIGGKESGNLTQAEVKECSVMTVGSSYVGSNQVLNDFDVSRASSNCDGTTGLSVGPFYDNVQKMMPQNERGKTDTLDPTLTSSSGGSGSSFGRGGKRSNVVNSNKRKGRDAEESECQSEAAELESAAGNKSAQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHSNKTDKASMLDEAIEYLKSLQMQLQVMWMGSGMAPMMFPGMQHYMSRMGMGMGMGVGMGPPALPSMHNPMHLPRVPLVDQCINVAPATNQAVMCQAPVLNPVDYHNQMQNPAFQEQYARLMGFHHMQTMSQPMNMFRFGSQPMQQNQMTAPTGINSGPLGGGGTANDTLSGKMSFF >ONI17808 pep chromosome:Prunus_persica_NCBIv2:G3:19574320:19578691:1 gene:PRUPE_3G179800 transcript:ONI17808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCIPDWNFEADLPLTNQKKPMGPDHELVELLWRNGQVVLHSQTHRKPCPNPPNEARQVQKQDPQTIRVGGLYGNSSNLTQDEDTGSLIHYPLEDSFDKEFCSHFFSELPSCDPIEIDKPTKQFEEEKFAKFGASDTPHLVSTAPQPNVKSPAGMGYPANPMPPPRYQYNNSTEQNQNLVGLGKVVNFSTFATPGKGDRGSSRGKIGGKESGNLTQAEVKECSVMTVGSSYVGSNQVLNDFDVSRASSNCDGTTGLSVGPFYDNVQKMMPQNERGKTDTLDPTLTSSSGGSGSSFGRGGKRSNVVNSNKRKGRDAEESECQSEAAELESAAGNKSAQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHSNKTDKASMLDEAIEYLKSLQMQLQVMWMGSGMAPMMFPGMQHYMSRMGMGMGMGVGMGPPALPSMHNPMHLPRVPLVDQCINVAPATNQAVMCQAPVLNPVDYHNQMQNPAFQEQYARLMGFHHMQTMSQPMNMFRFGSQPMQQNQMTAPTGINSGPLGGGGTANDTLSGKMC >ONI17805 pep chromosome:Prunus_persica_NCBIv2:G3:19574165:19578706:1 gene:PRUPE_3G179800 transcript:ONI17805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCIPDWNFEADLPLTNQKKPMGPDHELVELLWRNGQVVLHSQTHRKPCPNPPNEARQVQKQDPQTIRVGGLYGNSSNLTQDEDTGSLIHYPLEDSFDKEFCSHFFSELPSCDPIEIDKPTKQFEEEKFAKFGASDTPHLVSTAPQPNVKSPAGMGYPANPMPPPRYQYNNSTEQNQNLVGLGKVVNFSTFATPGKGDRGSSRGKIGGKESGNLTQAEVKECSVMTVGSSYVGSNQVLNDFDVSRASSNCDGTTGLSVGPFYDNVQKMMPQNERGKTDTLDPTLTSSSGGSGSSFGRGGKRSNVVNSNKRKGRDAEESECQSEAAELESAAGNKSAQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHSNKTDKASMLDEAIEYLKSLQMQLQVMWMGSGMAPMMFPGMQHYMSRMGMGMGMGVGMGPPALPSMHNPMHLPRVPLVDQCINVAPATNQAVMCQAPVLNPVDYHNQMQNPAFQEQYARLMGFHHMQTMSQPMNMFRFGSQPMQQNQMTAPTGINSGPLGGGGTANDTLSGKMC >ONI17804 pep chromosome:Prunus_persica_NCBIv2:G3:19574793:19578636:1 gene:PRUPE_3G179800 transcript:ONI17804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCIPDWNFEADLPLTNQKKPMGPDHELVELLWRNGQVVLHSQTHRKPCPNPPNEARQVQKQDPQTIRVGGLYGNSSNLTQDEDTGSLIHYPLEDSFDKEFCSHFFSELPSCDPIEIDKPTKQFEEEKFAKFGASDTPHLVSTAPQPNVKSPAGMGYPANPMPPPRYQYNNSTEQNQNLVGLGKVVNFSTFATPGKGDRGSSRGKIGGKESGNLTQAEVKECSVMTVGSSYVGSNQVLNDFDVSRASSNCDGTTGLSVGPFYDNVQKMMPQNERGKTDTLDPTLTSSSGGSGSSFGRGGKRSNVVNSNKRKGRDAEESECQSEAAELESAAGNKSAQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHSNKTDKASMLDEAIEYLKSLQMQLQVMWMGSGMAPMMFPGMQHYMSRMGMGMGMGVGMGPPALPSMHNPMHLPRVPLVDQCINVAPATNQAVMCQAPVLNPVDYHNQMQNPAFQEQYARLMGFHHMQTMSQPMNMFRFGSQPMQQNQMTAPTGINSGPLGGGGTANDTLSGKMC >ONI17809 pep chromosome:Prunus_persica_NCBIv2:G3:19574293:19578657:1 gene:PRUPE_3G179800 transcript:ONI17809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCIPDWNFEADLPLTNQKKPMGPDHELVELLWRNGQVVLHSQTHRKPCPNPPNEARQVQKQDPQTIRVGGLYGNSSNLTQDEDTGSLIHYPLEDSFDKEFCSHFFSELPSCDPIEIDKPTKQFEEEKFAKFGASDTPHLVSTAPQPNVKSPAGMGYPANPMPPPRYQYNNSTEQNQNLVGLGKVVNFSTFATPGKGDRGSSRGKIGGKESGNLTQAEVKECSVMTVGSSYVGSNQVLNDFDVSRASSNCDGTTGLSVGPFYDNVQKMMPQNERGKTDTLDPTLTSSSGGSGSSFGRGGKRSNVVNSNKRKGRDAEESECQSEAAELESAAGNKSAQRSGSSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHSNKTDKASMLDEAIEYLKSLQMQLQVMWMGSGMAPMMFPGMQHYMSRMGMGMGMGVGMGPPALPSMHNPMHLPRVPLVDQCINVAPATNQAVMCQAPVLNPVDYHNQMQNPAFQEQYARLMGFHHMQTMSQPMNMFRFGSQPMQQNQMTAPTGINSGPLGGGGTANDTLSGKMSFF >ONI19833 pep chromosome:Prunus_persica_NCBIv2:G3:26537616:26541682:1 gene:PRUPE_3G300300 transcript:ONI19833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLSRGSILPTYPSSVWYSNGYVMDQYKENNLDGVCDDSSSSWGFNLDLRNTHWGTELGGYCDHSADDIVDRLPVDPFGMGIRSTFTAITGWLQGFEKEHESHGSGLHEAFDGGLLAGFNWAWNSAVMFQPEVCDLRFDGISIPYDSFDEYGINDGGFVLDGNVEEFLSFSGNLDFCDGAKELQVETKEIQGCSITHPNSEGSAPHDAIFYALSFLGVKDLLSVEKVCRSFRDSVRSDPLLWRSIVIDWPLNEIVTDDVLIKLTDRAQGTLEALTLVHCVHITDFGLQRVFDRNPKLTKLSVPGCLKISVEDILLNLWTLKSAGKPGIKQLRIGGLSGITEMQFEELKFLLGADNCVQFRAPKPRFFHGGLSHLSCDDDCAIDVEACPRCQKLSLVYDCPAKSCQGKHHADQMCRACTLCIARCISCGCCLQDTDYEETFCLDLLCMVCLEKILKCRHGEKGAPKCAFFCQENRYQFCLIG >ONI19834 pep chromosome:Prunus_persica_NCBIv2:G3:26537931:26541664:1 gene:PRUPE_3G300300 transcript:ONI19834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLSRGSILPTYPSSVWYSNGYVMDQYKENNLDGVCDDSSSSWGFNLDLRNTHWGTELGGYCDHSADDIVDRLPVDPFGMGIRSTFTAITGWLQGFEKEHESHGSGLHEAFDGGLLAGFNWAWNSAVMFQPEVCDLRFDGISIPYDSFDEYGINDGGFVLDGNVEEFLSFSGNLDFCDGAKELQVETKEIQGCSITHPNSEGSAPHDAIFYALSFLGVKDLLSVEKVCRSFRDSVRSDPLLWRSIVIDWPLNEIVTDDVLIKLTDRAQGTLEALTLVHCVHITDFGLQRVFDRNPKLTKLSVPGCLKISVEDILLNLWTLKSAGKPGIKQLRIGGLSGITEMQFEELKFLLGADNCVQFRAPKPRFFHGGLSHLSCDDDCAIDVEACPRCQKLSLVYDCPAKSCQGKHHADQMCRACTLCIARCISCGCCLQDTDYEETFCLDLLCMVCLEKILKCRHGEKGAPKCAFFCQENRYQFCLIG >ONI19832 pep chromosome:Prunus_persica_NCBIv2:G3:26537616:26541664:1 gene:PRUPE_3G300300 transcript:ONI19832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLSRGSILPTYPSSVWYSNGYVMDQYKENNLDGVCDDSSSSWGFNLDLRNTHWGTELGGYCDHSADDIVDRLPVDPFGMGIRSTFTAITGWLQGFEKEHESHGSGLHEAFDGGLLAGFNWAWNSAVMFQPEVCDLRFDGISIPYDSFDEYGINDGGFVLDGNVEEFLSFSGNLDFCDGAKELQVETKEIQGCSITHPNSEGSAPHDAIFYALSFLGVKDLLSVEKVCRSFRDSVRSDPLLWRSIVIDWPLNEIVTDDVLIKLTDRAQGTLEALTLVHCVHITDFGLQRVFDRNPKLTKLSVPGCLKISVEDILLNLWTLKSAGKPGIKQLRIGGLSGITEMQFEELKFLLGADNCVQFRAPKPRFFHGGLSHLSCDDDCAIDVEACPRCQKLSLVYDCPAKSCQGKHHADQMCRACTLCIARCISCGCCLQDTDYEETFCLDLLCMVCLEKILKCRHGEKGAPKCAFFCQENRYQFCLIG >ONI15626 pep chromosome:Prunus_persica_NCBIv2:G3:3697981:3701414:1 gene:PRUPE_3G052300 transcript:ONI15626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQTTFQPMKPQFPEQEQLKCPRCDSPNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGSLRNIPVGGGSRKNTKRSSSSASKRSSSTSSSSVSSSSAATAAAQNPDPQPDRTRVYGPKIDQDRGVLDISGSFSSLLTSNGQFGSLLEGLNPNGSGLKLMQMGDFGVNLDSGNGLNSDPGVNPGLEAQSNGNPESYMGLQNGDSSSCWNGGNGWPDLAIYTPGSSFQ >ONI16236 pep chromosome:Prunus_persica_NCBIv2:G3:6462288:6463929:-1 gene:PRUPE_3G087100 transcript:ONI16236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLGADRLRADMNRLLAMLFHQGVLDEQFLQLQQLQDESSPNFVSEVVNIYFHESEKLLKNLRELLMDREFSDYKKMGTHLNQFMGSSSSIGAKRIRNVCVAFRAASEHNNRAGCLRALEVLEHEYCYLKNKLHELFQIEQQRVLAAGVRYPMQNN >ONI16235 pep chromosome:Prunus_persica_NCBIv2:G3:6462288:6464123:-1 gene:PRUPE_3G087100 transcript:ONI16235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLGADRLRADMNRLLAMLFHQGVLDEQFLQLQQLQDESSPNFVSEVVNIYFHESEKLLKNLRELLMDREFSDYKKMGTHLNQFMGSSSSIGAKRIRNVCVAFRAASEHNNRAGCLRALEVLEHEYCYLKNKLHELFQIEQQRVLAAGVRYPMQNN >ONI17028 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17019 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGTNLTGTHDTKQTLQPKIQETHETSCVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17035 pep chromosome:Prunus_persica_NCBIv2:G3:13975068:13979931:1 gene:PRUPE_3G133800 transcript:ONI17035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLPSTVGTNLTGTHDTKQTLQPKIQETHETSCVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17020 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGTNLTGTHDTKQTLQPKIQETHETSCVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRELTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17027 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRELTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17025 pep chromosome:Prunus_persica_NCBIv2:G3:13973504:13979931:1 gene:PRUPE_3G133800 transcript:ONI17025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTVGTNLTGTHDTKQTLQPKIQETHETSCVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17029 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGTNLTGTHDTKQTLQPKIQETHETSCVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17018 pep chromosome:Prunus_persica_NCBIv2:G3:13973505:13979931:1 gene:PRUPE_3G133800 transcript:ONI17018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGTNLTGTHDTKQTLQPKIQETHETSCVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17032 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17024 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRELTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17030 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGTNLTGTHDTKQTLQPKIQETHETSCVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRELTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17033 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGTNLTGTHDTKQTLQPKIQETHETSCVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQE >ONI17023 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17031 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17022 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17021 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGTNLTGTHDTKQTLQPKIQETHETSCVPVEFSGIASGGNSQANGSTLGIEDALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI17034 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTAGTNLTGTHGTKQTLQPKIQETHETSYVPVQFGGIASGGNSQANGSTLGIEDGNRLRALPSTVGTNLTGTHDTKQTLQPKIQETHETSCVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQE >ONI17026 pep chromosome:Prunus_persica_NCBIv2:G3:13972346:13979931:1 gene:PRUPE_3G133800 transcript:ONI17026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPKYNATKLGLDHNPRFSASVTINSVAFDTVQLFSSSKEAQNDAARLAFAHFSDPQPRHTNRSPSPSSFPQPSLPASSALPSTTSINLTDTHDTKQTLQPEIQETHENSYVPVEFSGIASGGNSQANGSTLGIEDGNRLRALPSTASTNLTGTRDTKQTLQPKIQETHETSYVPVEFSGIASGGNSQANGSTLGIEDGNQLRALPSTVGPILTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTLDIEDGNRLRDIQHLYKNQLQTYAHKRNLLLPVYSCEREGPPHANRYKCRVTIDEHTYEGQEFLPTMKEAEHAVAKVALMSLLPNGIQEDYIGLYKNVLQELIQKEGFSMPVYSTKNSGEVHVPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVRPPAQKGLEMPEILSSSFQSNLATDLQKGRPNTPMIVSLSAITREQTMENSVTAEGNSHHPATVSSRPDALTTSSGFSSSDILYGRAQESNSSSLSESQNGSSSTLPSDTSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGNTVLPTSDEKWVALSHT >ONI14857 pep chromosome:Prunus_persica_NCBIv2:G3:929427:935235:1 gene:PRUPE_3G013100 transcript:ONI14857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTSTTTKKKEITDRSSLIDLVFSWSLRDVLNNHLYKNQVPKIPDTFSTVTSYKKSFIPSLIEETHADLLSNMMTLSHAPTCEILTLEYSKHHKPPKALFYDIRYKKDTEVDKNHKGPMYEPQVGDLIALTNVKPKCIDDLNRPQRFYLIAYVDGVTNLENFPDDFEFKILSSKPIGFGEQDTQQSKRETLFAVYLMNMTTNIRVWNALNSEGENKNVIEKVLQPNSDDGSSCTVCFPKEKCSPDLSTIWPTISSHSLNESQEAAILNCISLSKCQHQNAVKLIWGPPGTGKTKTVSLSLFALFKLKCRTLTCAPTNIAVLEVAARLRRLVNHSLEYGKYGLGDIILFGNKKRMKVDGNAELLDVFLDHRAKTLYECLVPLSGWKHLLESMICLLEDPDKQYSLYLEKEVEKHKENAQENKKDANGNAESVSGDDPLTFEEFMRREFDSVGDAMKFCMVNFYTHLPTCCISLKVVKDMVEALSLLKSFKSSLHSIGLKLLLNDFKGPGSIGGCGWFTQLRKKCACKLKLLPQEFSGLNSISINEFLIKQFCLQNACLIFCTASTSAKLDGTAAVRPLELLVIDEAAQLKECESAIPLQLSGIRHAILIGDERQLPAMVKSKVSAKAEFGRSLFERLAGLGHAKHLLNIQYRMHPSISLFPNREFYDNQILDGPNVNERSYERCFLEGKMYRSYSFINVANGKDEFDHGHSRKNMVEVAVVSEIVASLYKDFTGKRKKVSVGVISPYKAQVHAIQERVKNYSKDSDAGFSVSVRSVDGFQGGEEDVIIISTVRCNGNGSIGFLSNHQRANVALTRARYCLWILGNGSTLVNSDSIWKKLVLDAERRGCFHNADEDNNLAQAIAAALLELGQLHSLLNTDSFLFKNARWKVCFTSEFQKSLAMIKDTVICREVFNLLTKLSSGWRRAQKDKGIIVHDGTCAQLLEKYKVNRLLNLIWTVDILQQNSEYVQVMKVWDIVTRSDLPKLAKRLDIIIGSYTVDKMNRCKHKCIERGTSVPMRWPVDLSSCLEADPVEFLSKPLSSLGLTDKPETPSSKSGETTKAVKPLTQCLSTPQSRSKSRANQRRSSKLQVWRPCIPYD >ONI17467 pep chromosome:Prunus_persica_NCBIv2:G3:17969825:17970779:1 gene:PRUPE_3G160900 transcript:ONI17467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVEQVCIFLELSIIQVSMKLRKRCLHLGSRRLYEEGNRSWKDNNPTQTSNQPQFSKKGKTDNLGLRKEPLSTRRQQTY >ONI18231 pep chromosome:Prunus_persica_NCBIv2:G3:21099246:21099461:1 gene:PRUPE_3G203500 transcript:ONI18231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIAKGNYLAGAVKFQGPCKAPVSVRVKGTLQALAEPEKLKSQDGWVVFQNIDGLTVSGGGTFDGQGSIA >ONI17200 pep chromosome:Prunus_persica_NCBIv2:G3:15767939:15770243:-1 gene:PRUPE_3G144700 transcript:ONI17200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEPDKEDERKEAAIASTPCLQPNYKPRRITQDQLSKLQELHRRRLQIKSTSKIEKKPKGGTGKSHSKGLNAKHSANQDSIVPIENSTNFNFESHQKESSSFVQQEGMATYHAPQKRQKLHWGLDTKERWERKANM >ONI17202 pep chromosome:Prunus_persica_NCBIv2:G3:15766834:15770314:-1 gene:PRUPE_3G144700 transcript:ONI17202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTAQLQAQTHHPRPALQVAGGTGKSHSKGLNAKHSANQDSIVPIENSTNFNFESHQKESSSFVQQEGMATYHAPQKRQKLHWGLDTKERWERKANM >ONI17201 pep chromosome:Prunus_persica_NCBIv2:G3:15766834:15770314:-1 gene:PRUPE_3G144700 transcript:ONI17201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEPDKEDERKEAAIASTPCLQPNYKPRRITQDQLSKLQELHRRRLQIKSTSKIEKKPKGGTGKSHSKGLNAKHSANQDSIVPIENSTNFNFESHQKESSSFVQQEGMATYHAPQKRQKLHWGLDTKERWERKANM >ONI17109 pep chromosome:Prunus_persica_NCBIv2:G3:14584127:14586541:1 gene:PRUPE_3G138100 transcript:ONI17109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLSHNDEAHASSLHSGRRRGGWITFPFITGALVGLTLTAGGWLSNLIVFLTEEFNVKSIDAAPIANVVHGSSSFFPIIGAIVADFLFGSFSVISISSCISLLGIIVLALTATLNSLRPQPCVVGSELCQPTSTLQDVVLYAGKALASIGLGCTRYTLATMGANQFDKPKYQATVALTVIVYIEDNAGWRWGFGLCVLTNIINIDKPQGSPFVALARVVVAAFRKRKLQLSSESKDYYDGHDGVKQGMVTGTLSTSFSIFLSTPLGVQSSMIVLQALSMDRHIGPHFEMPSGSVILIVLLSTAIFLTLIDRFLCLMWQKLTGRSPTFLQCIGLGHVLNVLSMALSALVDTSTAMISLVIGIAFYLSTGVTNLVQCVTRWLPNDINNGKLDNVYWMLVVVGVLNFGYYLVCGKLYKYQNVKDADVNSGSVDSEK >ONI18301 pep chromosome:Prunus_persica_NCBIv2:G3:21420576:21425306:-1 gene:PRUPE_3G208000 transcript:ONI18301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFRTIWKVGGRNVVGFSRPLRRYTSVSRDVMDVAVKDGELRVFIVAGEVSGDTIGSRLMASLKKLCPVPVRFSGVGGSMMSKQGLKSLFPMEDIAVMGLLELLPHLNRLRVKLQETIEAAFLFQPHVVVTVDSKGFSLRLLKQLRARYNQQRFDVPVHFHYVAPSFWAWKGGEARLGGLTEFVDHVLCILPNEEEVCRSNGLAATFVGHPILEDVLELNLDTSPHEWKIEGNCEDFRKRYSIPAGTTVISLLPGSRLQEVTRMLSIFANTMRLLKGSFPELVTVIHVAPNQHVKNYVTGITNEWPVPAILIPGGSLHQKYDAFSASSVALCASGTVALELQLARLPCVVAYRAHFLTEWIIHYKAKIPYISLPNILMDSAIMPEALFQACTPTNLASSILNLIHNDGLREKQIVAAEKVIGLLCAPARNLGNLSQQHQGGRFPHYTPSMIAATAILYHTKPEVLTT >ONI18302 pep chromosome:Prunus_persica_NCBIv2:G3:21420576:21425210:-1 gene:PRUPE_3G208000 transcript:ONI18302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFRTIWKVGGRNVVGFSRPLRRYTSVSRDVMDVAVKDGELRVFIVAGEVSGDTIGSRLMASLKKLCPVPVRFSGVGGSMMSKQGLKSLFPMEDIAVMGLLELLPHLNRLRVSVKLQETIEAAFLFQPHVVVTVDSKGFSLRLLKQLRARYNQQRFDVPVHFHYVAPSFWAWKGGEARLGGLTEFVDHVLCILPNEEEVCRSNGLAATFVGHPILEDVLELNLDTSPHEWKIEGNCEDFRKRYSIPAGTTVISLLPGSRLQEVTRMLSIFANTMRLLKGSFPELVTVIHVAPNQHVKNYVTGITNEWPVPAILIPGGSLHQKYDAFSASSVALCASGTVALELQLARLPCVVAYRAHFLTEWIIHYKAKIPYISLPNILMDSAIMPEALFQACTPTNLASSILNLIHNDGLREKQIVAAEKVIGLLCAPARNLGNLSQQHQGGRFPHYTPSMIAATAILYHTKPEVLTT >ONI18299 pep chromosome:Prunus_persica_NCBIv2:G3:21421918:21424918:-1 gene:PRUPE_3G208000 transcript:ONI18299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFRTIWKVGGRNVVGFSRPLRRYTSVSRDVMDVAVKDGELRVFIVAGEVSGDTIGSRLMASLKKLCPVPVRFSGVGGSMMSKQGLKSLFPMEDIAVMGLLELLPHLNRLRVKLQETIEAAFLFQPHVVVTVDSKGFSLRLLKQLRARYNQQRFDVPVHFHYVAPSFWAWKGGEARLGGLTEFVDHVLCILPNEEEVCRSNGLAATFVGHPILEDVLELNLDTSPHEWKIEGNCEDFRKRYSIPAGTTVISLLPGSRLQEVTRMLSIFANTMRLLKGSFPELVTVIHVAPNQHVKNYVTGITNEWPVPAILIPGGSLHQKYDAFSASSVALCASGTVALELQLARLPCVVAYRAHFLTEWIIHYKAKIPYISLPNILMDSAIMPEALFQACTPTNLASSILNLIHNDGLREKQIVAAEKVIGLLCAPARNLGNLSQQHQGGRFPHYTPSMIAATAILYHTKPEVLTT >ONI18303 pep chromosome:Prunus_persica_NCBIv2:G3:21420576:21425672:-1 gene:PRUPE_3G208000 transcript:ONI18303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFRTIWKVGGRNVVGFSRPLRRYTSVSRDVMDVAVKDGELRVFIVAGEVSGDTIGSRLMASLKKLCPVPVRFSGVGGSMMSKQGLKSLFPMEDIAVMGLLELLPHLNRLRVSVKLQETIEAAFLFQPHVVVTVDSKGFSLRLLKQLRARYNQQRFDVPVHFHYVAPSFWAWKGGEARLGGLTEFVDHVLCILPNEEEVCRSNGLAATFVGHPILEDVLELNLDTSPHEWKIEGNCEDFRKRYSIPAGTTVISLLPGSRLQEVTRMLSIFANTMRLLKGSFPELVTVIHVAPNQHVKNYVTGITNEWPVPAILIPGGSLHQKYDAFSASSVALCASGTVALELQLARLPCVVAYRAHFLTEWIIHYKAKIPYISLPNILMDSAIMPEALFQACTPTNLASSILNLIHNDGLREKQIVAAEKVIGLLCAPARNLGNLSQQHQGGRFPHYTPSMIAATAILYHTKPEVLTT >ONI18300 pep chromosome:Prunus_persica_NCBIv2:G3:21420576:21425703:-1 gene:PRUPE_3G208000 transcript:ONI18300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFRTIWKVGGRNVVGFSRPLRRYTSVSRDVMDVAVKDGELRVFIVAGEVSGDTIGSRLMASLKKLCPVPVRFSGVGGSMMSKQGLKSLFPMEDIAVMGLLELLPHLNRLRVKLQETIEAAFLFQPHVVVTVDSKGFSLRLLKQLRARYNQQRFDVPVHFHYVAPSFWAWKGGEARLGGLTEFVDHVLCILPNEEEVCRSNGLAATFVGHPILEDVLELNLDTSPHEWKIEGNCEDFRKRYSIPAGTTVISLLPGSRLQEVTRMLSIFANTMRLLKGSFPELVTVIHVAPNQHVKNYVTGITNEWPVPAILIPGGSLHQKYDAFSASSVALCASGTVALELQLARLPCVVAYRAHFLTEWIIHYKAKIPYISLPNILMDSAIMPEALFQACTPTNLASSILNLIHNDGLREKQIVAAEKVIGLLCAPARNLGNLSQQHQGGRFPHYTPSMIAATAILYHTKPEVLTT >ONI17338 pep chromosome:Prunus_persica_NCBIv2:G3:16616764:16623503:-1 gene:PRUPE_3G152400 transcript:ONI17338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLVFRRFWCFNAATSSSSTLCFASNKKPLVFLGSPQVSATVLDALLNASTAPDSLFEVAAIVTQPPSRKSRGKKLMPSPLAQHALDRGFPSNLIFTPERAGEDVFLSNLRDLQPELCITAAYGNILPSKFLNIPTSGTVNIHPSLLPLYRGAAPVQRALQDGVKETGVSLAFTVRALDAGPVIACERMEIEDQIKAPELLDLLFYEGSKLLIRELPSIFDGSAKVKAQPQDDSKATLAPKIASEESWLSFDEEAPVLHNKVRAFAGWPGTRAKVVIVDNKSGQDKITELKIITTKVCSRSNIQVNQADEITFIKPALVFPCGGGTALEVLEIQLPGKKVMSAAAFWNGVRGQKLKILSAAYENDHLH >ONI17339 pep chromosome:Prunus_persica_NCBIv2:G3:16616504:16622461:-1 gene:PRUPE_3G152400 transcript:ONI17339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSCNFCNSNAEDHSFTIVTINNLILLLFALEQLKKDVFLSNLRDLQPELCITAAYGNILPSKFLNIPTSGTVNIHPSLLPLYRGAAPVQRALQDGVKETGVSLAFTVRALDAGPVIACERMEIEDQIKAPELLDLLFYEGSKLLIRELPSIFDGSAKVKAQPQDDSKATLAPKIASEESWLSFDEEAPVLHNKVRAFAGWPGTRAKVVIVDNKSGQDKITELKIITTKVCSRSNIQVNQADEITFIKPALVFPCGGGTALEVLEIQLPGKKVMSAAAFWNGVRGQKLKILSAAYENDHLH >ONI17337 pep chromosome:Prunus_persica_NCBIv2:G3:16616764:16623503:-1 gene:PRUPE_3G152400 transcript:ONI17337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLVFRRFWCFNAATSSSSTLCFASNKKPLVFLGSPQVSATVLDALLNASTAPDSLFEVAAIVTQPPSRKSRGKKLMPSPLAQHALDRGFPSNLIFTPERAGEARSIYTQVFCHYIVVLHPFKEPCRFLCFDVPYLDGVKETGVSLAFTVRALDAGPVIACERMEIEDQIKAPELLDLLFYEGSKLLIRELPSIFDGSAKVKAQPQDDSKATLAPKIASEESWLSFDEEAPVLHNKVRAFAGWPGTRAKVVIVDNKSGQDKITELKIITTKVCSRSNIQVNQADEITFIKPALVFPCGGGTALEVLEIQLPGKKVMSAAAFWNGVRGQKLKILSAAYENDHLH >ONI17342 pep chromosome:Prunus_persica_NCBIv2:G3:16616504:16623669:-1 gene:PRUPE_3G152400 transcript:ONI17342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLVFRRFWCFNAATSSSSTLCFASNKKPLVFLGSPQVSATVLDALLNASTAPDSLFEVAAIVTQPPSRKSRGKKLMPSPLAQHALDRGFPSNLIFTPERAGEDVFLSNLRDLQPELCITAAYGNILPSKFLNIPTSGTVNIHPSLLPLYRGAAPVQRALQDGVKETGVSLAFTVRALDAGPVIACERMEIEDQIKAPELLDLLFYEGSKLLIRELPSIFDGSAKVKAQPQDDSKATLAPKIASEESWLSFDEEAPVLHNKGGLELELKL >ONI17341 pep chromosome:Prunus_persica_NCBIv2:G3:16616504:16623669:-1 gene:PRUPE_3G152400 transcript:ONI17341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLVFRRFWCFNAATSSSSTLCFASNKKPLVFLGSPQVSATVLDALLNASTAPDSLFEVAAIVTQPPSRKSRGKKLMPSPLAQHALDRGFPSNLIFTPERAGEARSIYTQVFCHYIVVLHPFKEPCRFLCFDVPYLDGVKETGVSLAFTVRALDAGPVIACERMEIEDQIKAPELLDLLFYEGSKLLIRELPSIFDGSAKVKAQPQDDSKATLAPKIASEESWLSFDEEAPVLHNKGGLELELKL >ONI17340 pep chromosome:Prunus_persica_NCBIv2:G3:16616504:16622088:-1 gene:PRUPE_3G152400 transcript:ONI17340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVHFYLLFVQDVFLSNLRDLQPELCITAAYGNILPSKFLNIPTSGTVNIHPSLLPLYRGAAPVQRALQDGVKETGVSLAFTVRALDAGPVIACERMEIEDQIKAPELLDLLFYEGSKLLIRELPSIFDGSAKVKAQPQDDSKATLAPKIASEESWLSFDEEAPVLHNKVRAFAGWPGTRAKVVIVDNKSGQDKITELKIITTKVCSRSNIQVNQADEITFIKPALVFPCGGGTALEVLEIQLPGKKVMSAAAFWNGVRGQKLKILSAAYENDHLH >ONI18517 pep chromosome:Prunus_persica_NCBIv2:G3:22114601:22118359:1 gene:PRUPE_3G220300 transcript:ONI18517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAGLLVQLYELKGLDEEHSCIVINNVKFGCDCMSLNLVISIYCGKVSCPY >ONI18518 pep chromosome:Prunus_persica_NCBIv2:G3:22114443:22118592:1 gene:PRUPE_3G220300 transcript:ONI18518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAGLLVQLYELKGLDEEHSCIVINNVKFGCDCMSLNLVISIYCGKVSCPY >ONI18520 pep chromosome:Prunus_persica_NCBIv2:G3:22114601:22118517:1 gene:PRUPE_3G220300 transcript:ONI18520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAGLLVQLYELKGLDEEHSCIVINNVKFGCDCMSLNLVISIYCGKVSCPY >ONI18519 pep chromosome:Prunus_persica_NCBIv2:G3:22114452:22118522:1 gene:PRUPE_3G220300 transcript:ONI18519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAGLLVQLYELKGLDEEHSCIVINNVKFGCDCMSLNLVISIYCGKVSCPY >ONI17878 pep chromosome:Prunus_persica_NCBIv2:G3:19972113:19973552:1 gene:PRUPE_3G184800 transcript:ONI17878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKASHLVVIPSGRIGHLVSAVEISKLLVSRHDQLFITVLIMKLPFDSKGTEAYRASLEASPVLPRVNFITLPKVPDLDKHLSSHSFRNQFVESHKTHVKNAVAELTESQSDSRPRLAGFVIDMFCTTMIDVADDFGIPTYMFFTSPAGFLGLLFNLQRIRDVYGKDVSEFKDSDTELYLPTFVNSVPGRVLPSAVLDKEGAETFLSYAKRFTETKGILVNTFKELEPHALDSLSDGETPPLYPVGPMLNLMSDETQSGSEQAQRKSDILEWLDDQPPSSVVFLCFGSMGSFDEDQVREIALGLERSGLRFLWSLRQPPPKGTVVSPGDYSDLTGVLPEGFLDRTAAMGKVIGWAPQVAILAHPAVGGFVSHCGWNSTLESLWFGVPMAAWPVYAEQQLNAFELVRELGLAVAIKMDYRRDTQVVVSAEEIERGIREVMEHDSDVRKRVKEMSEKSKKALTEGGSSHSSLEHFLDQIFL >ONI17877 pep chromosome:Prunus_persica_NCBIv2:G3:19969742:19973823:1 gene:PRUPE_3G184800 transcript:ONI17877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKASELVFIPSAGMGHLVSAVEMATLLVARHDRLFITVFIMKLPFDSKGTESYIASLEASPVSPRVNFITLPKVPAIDNHISPNSFRNQFIESHKPHVKNAVAKLTESESDSRPRLAGFVIDMFCTTMIDVADEFGVPTYMFFTSPAGFLGLLFNLQRIRDVYNKEVSEFKDSDAELALPTFVNSVPANVLPSVLLDKDGAKAILGYAKRFRETKGILVNTFMELESHALDSLSDGETPPLYPVGPILNLKSDDSQSDSKQAQQKSDVLEWLDDQPPSSVVFLCFGSMGSFGEDQVREIAWGLERSGLRFLWSLRQAPPKETVASPSDYSDPKAVLPEGFLDRAVGIGKVIGWAPQVAILAHRAIGGFVSHCGWNSTLESLWFGVPVATWPMYAEQQLNAFELVRELGLAVEIKMEYRRDFYGESPKVVKAEEIERGIREVMVQDSDLRKRVKEMSEKGKKALMDGGSSHSSLEHFLDQIFL >ONI15777 pep chromosome:Prunus_persica_NCBIv2:G3:4374957:4376892:1 gene:PRUPE_3G060800 transcript:ONI15777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKVETGHQDTIHDVVMDYYGKRLATGSADNTVKIIGVSNSASQHLATLTGHQGPVWQVAWAHPKFGSLLASCSYDGRVILWKEGNQNEWIQAHIFDDHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARADGGWDTSRIDQAHPVGVTSVSWAPSTAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLGNGVWKLDCFPALQMHVDWVRDVAWAPNLGLPKSTIASASQDGKVIIWTVAKDGDQWDGKVLHDFNSPVYKVSWSLTGNIIAVADGNNNVTLWKEVVDGEWQQVTTVEP >ONI19811 pep chromosome:Prunus_persica_NCBIv2:G3:26463366:26471931:-1 gene:PRUPE_3G299200 transcript:ONI19811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQGFRAKSRVALLVVFVLCVCFAGFYDLLKPVSNGCTMTYMYPTYIPIPTTTAVSPAKYGLYLYHEGWKKIDFKEHLKKLSGIPILFIPGNGGSYKQVRSLAAESDRAYQAGPLERTFYQEASLTPEEGGEEIDVASFQLPNQYDSRLDWFTVDLEGEHSAMDSAILEEHAEYVVHSIHRILDQYKESYETREREGAATSGSLPKSVILVGHSMGGFVARAAVAHNRLRKSAVETILTLSSPHQYPPVALQPSLGHYFAHVNHEWRKGYEVQTTRAGHYVSDPVLSHVVVISISGSYNDYQVRSKSESLDGIVPPSHGFMISSTGMRNVWLSMEHQAILWCNQLVIQVSHTLLSLVDSRTGQPFSDKRIRLAIFSKMLRSGIPQSFNWMMQSHLSQQSLHVPSRDVKDKTGSLYTSAACPRNVHWSEDGLERDLYIQTTTVTVLAMDGRRRWLDIQKLGSNGRSHFMFVTNLAPCSGVRLHLWPEKRNSTSELPVCIRILEVTSKMVRIPSGPAPRQIEPGSQTEQAPPSAIFRLGPEDMRGFRFLTISVAPRPTISGRPPPAVSMAVGQFFNPEEGEREFSPWSLSSYSYKEISLKEDHPLALNLSFTTSLGLLPVIFSLKTAGCGIKNSGLPDEQADDIDNSKLCKLRCFPPVAFAWDDTSGLHIFPNVYSETIVVDSSPALWSSPKSSEKTSVMLLVDPHCSYRSAVAVSVTAAASRFLLLYNSQIVGFALVVIFFALMQQTHAWDLDLPIPSILMAVESNLRIPLPFLYLAMAPILLSFVLSFWISQPFPSFASFTVVSVICYLLANGFVIILILISQFIFYAAAVVHIFIKTRFQLWEKSANRFINLSSSFFSLKVLRVVKANPLLVTALAAITLVCLVHAAFGLFIILSLDALCCHSALCSHAQRHELFDCKKEGNDGSRHLPFKSDGDCCSNSPDSSKSFGEAQLEIFHHRHGLFILHLAAALMFVPSLVAWFQRIGMGHSFPWLVDSALCTGVILHGIFTSKPEFNSFLVSLPGVRNLEVRLNFMYLVAGYYSYLSSLALAPFRVFYAMTAIGFTSFALMILQRWNREKGEAHFGSRKHSHRH >ONI19815 pep chromosome:Prunus_persica_NCBIv2:G3:26463367:26471931:-1 gene:PRUPE_3G299200 transcript:ONI19815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQGFRAKSRVALLVVFVLCVCFAGFYDLLKPVSNGCTMTYMYPTYIPIPTTTAVSPAKYGLYLYHEGWKKIDFKEHLKKLSGIPILFIPGNGGSYKQVRSLAAESDRAYQAGPLERTFYQEASLTPEEGGEEIDVASFQLPNQYDSRLDWFTVDLEGEHSAMDSAILEEHAEYVVHSIHRILDQYKESYETREREGAATSGSLPKSVILVGHSMGGFVARAAVAHNRLRKSAVETILTLSSPHQYPPVALQPSLGHYFAHVNHEWRKGYEVQTTRAGHYVSDPVLSHVVVISISGSYNDYQVRSKSESLDGIVPPSHGFMISSTGMRNVWLSMEHQAILWCNQLVIQVSHTLLSLVDSRTGQPFSDKRIRLAIFSKMLRSGIPQSFNWMMQSHLSQQSLHVPSRDVKDKTGSLYTSAACPRNVHWSEDGLERDLYIQTTTVTVLAMDGRRRWLDIQKLGSNGRSHFMFVTNLAPCSGVRLHLWPEKRNSTSELPVCIRILEVTSKMVRIPSGPAPRQIEPGSQTEQAPPSAIFRLGPEDMRGFRFLTISVAPRPTISGRPPPAVSMAVGQFFNPEEGEREFSPWSLSSYSYKEISLKEDHPLALNLSFTTSLGLLPVIFSLKTAGCGIKNSGLPDEQADDIDNSKLCKLRCFPPVAFAWDDTSGLHIFPNVYSETIVVDSSPALWSSPKSSEKTSVMLLVDPHCSYRSAVAVSVTAAASRFLLLYNSQIVGFALVVIFFALMQQTHAWDLDLPIPSILMAVESNLRIPLPFLYLAMAPILLSFVLSFWISQPFPSFASFTVVSVICYLLANGFVIILILISQFIFYAAAVVHIFIKTRFQLWEKSANRFINLSSSFFSLKVLRVVKANPLLVTALAAITLVCLVHAAFGLFIILSLDALCCHSALCSFISQPCTKT >ONI19812 pep chromosome:Prunus_persica_NCBIv2:G3:26463847:26471383:-1 gene:PRUPE_3G299200 transcript:ONI19812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQGFRAKSRVALLVVFVLCVCFAGFYDLLKPVSNGCTMTYMYPTYIPIPTTTAVSPAKYGLYLYHEGWKKIDFKEHLKKLSGIPILFIPGNGGSYKQVRSLAAESDRAYQAGPLERTFYQEASLTPEEGGEEIDVASFQLPNQYDSRLDWFTVDLEGEHSAMDSAILEEHAEYVVHSIHRILDQYKESYETREREGAATSGSLPKSVILVGHSMGGFVARAAVAHNRLRKSAVETILTLSSPHQYPPVALQPSLGHYFAHVNHEWRKGYEVQTTRAGHYVSDPVLSHVVVISISGSYNDYQVRSKSESLDGIVPPSHGFMISSTGMRNVWLSMEHQAILWCNQLVIQVSHTLLSLVDSRTGQPFSDKRIRLAIFSKMLRSGIPQSFNWMMQSHLSQQSLHVPSRDVKDKTGSLYTSAACPRNVHWSEDGLERDLYIQTTTVTVLAMDGRRRWLDIQKLGSNGRSHFMFVTNLAPCSGVRLHLWPEKRNSTSELPVCIRILEVTSKMVRIPSGPAPRQIEPGSQTEQAPPSAIFRLGPEDMRGFRFLTISVAPRPTISGRPPPAVSMAVGQFFNPEEGEREFSPWSLSSYSYKEISLKEDHPLALNLSFTTSLGLLPVIFSLKTAGCGIKNSGLPDEQADDIDNSKLCKLRCFPPVAFAWDDTSGLHIFPNVYSETIVVDSSPALWSSPKSSEKTSVMLLVDPHCSYRSAVAVSVTAAASRFLLLYNSQIVGFALVVIFFALMQQTHAWDLDLPIPSILMAVESNLRIPLPFLYLAMAPILLSFVLSFWISQPFPSFASFTVVSVICYLLANGFVIILILISQFIFYAAAVVHIFIKTRFQLWEKSANRFINLSSSFFSLKVLRVVKANPLLVTALAAITLVCLVHAAFGLFIILSLDALCCHSALCSFLTASFRSHAQRHELFDCKKEGNDGSRHLPFKSDGDCCSNSPDSSKSFGEAQLEIFHHRHGLFILHLAAALMFVPSLVAWFQRIGMGHSFPWLVDSALCTGVILHGIFTSKPEFNSFLVSLPGVRNLEVRLNFMYLVAGYYSYLSSLALAPFRVFYAMTAIGFTSFALMILQRWNREKGEAHFGSRKHSHRH >ONI19814 pep chromosome:Prunus_persica_NCBIv2:G3:26464492:26471383:-1 gene:PRUPE_3G299200 transcript:ONI19814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQGFRAKSRVALLVVFVLCVCFAGFYDLLKPVSNGCTMTYMYPTYIPIPTTTAVSPAKYGLYLYHEGWKKIDFKEHLKKLSGIPILFIPGNGGSYKQVRSLAAESDRAYQAGPLERTFYQEASLTPEEGGEEIDVASFQLPNQYDSRLDWFTVDLEGEHSAMDSAILEEHAEYVVHSIHRILDQYKESYETREREGAATSGSLPKSVILVGHSMGGFVARAAVAHNRLRKSAVETILTLSSPHQYPPVALQPSLGHYFAHVNHEWRKGYEVQTTRAGHYVSDPVLSHVVVISISGSYNDYQVRSKSESLDGIVPPSHGFMISSTGMRNVWLSMEHQAILWCNQLVIQVSHTLLSLVDSRTGQPFSDKRIRLAIFSKMLRSGIPQSFNWMMQSHLSQQSLHVPSRDVKDKTGSLYTSAACPRNVHWSEDGLERDLYIQTTTVTVLAMDGRRRWLDIQKLGSNGRSHFMFVTNLAPCSGVRLHLWPEKRNSTSELPVCIRILEVTSKMVRIPSGPAPRQIEPGSQTEQAPPSAIFRLGPEDMRGFRFLTISVAPRPTISGRPPPAVSMAVGQFFNPEEGEREFSPWSLSSYSYKEISLKEDHPLALNLSFTTSLGLLPVIFSLKTAGCGIKNSGLPDEQADDIDNSKLCKLRCFPPVAFAWDDTSGLHIFPNVYSETIVVDSSPALWSSPKSSEKTSVMLLVDPHCSYRSAVAVSVTAAASRFLLLYNSQIVGFALVVIFFALMQQTHAWDLDLPIPSILMAVESNLRIPLPFLYLAMAPILLSFVLSFWISQPFPSFASFTVVSVICYLLANGFVIILILISQFIFYAAAVVHIFIKTRFQLWEKSANRFINLSSSFFSLKVLRVVKANPLLVTALAAITLVCLVHAAFGLFIILSLDALCCHSALCSFISQPCTKT >ONI19810 pep chromosome:Prunus_persica_NCBIv2:G3:26463362:26471931:-1 gene:PRUPE_3G299200 transcript:ONI19810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQGFRAKSRVALLVVFVLCVCFAGFYDLLKPVSNGCTMTYMYPTYIPIPTTTAVSPAKYGLYLYHEGWKKIDFKEHLKKLSGIPILFIPGNGGSYKQVRSLAAESDRAYQAGPLERTFYQEASLTPEEGGEEIDVASFQLPNQYDSRLDWFTVDLEGEHSAMDSAILEEHAEYVVHSIHRILDQYKESYETREREGAATSGSLPKSVILVGHSMGGFVARAAVAHNRLRKSAVETILTLSSPHQYPPVALQPSLGHYFAHVNHEWRKGYEVQTTRAGHYVSDPVLSHVVVISISGSYNDYQVRSKSESLDGIVPPSHGFMISSTGMRNVWLSMEHQAILWCNQLVIQVSHTLLSLVDSRTGQPFSDKRIRLAIFSKMLRSGIPQSFNWMMQSHLSQQSLHVPSRDVKDKTGSLYTSAACPRNVHWSEDGLERDLYIQTTTVTVLAMDGRRRWLDIQKLGSNGRSHFMFVTNLAPCSGVRLHLWPEKRNSTSELPVCIRILEVTSKMVRIPSGPAPRQIEPGSQTEQAPPSAIFRLGPEDMRGFRFLTISVAPRPTISGRPPPAVSMAVGQFFNPEEGEREFSPWSLSSYSYKEISLKEDHPLALNLSFTTSLGLLPVIFSLKTAGCGIKNSGLPDEQADDIDNSKLCKLRCFPPVAFAWDDTSGLHIFPNVYSETIVVDSSPALWSSPKSSEKTSVMLLVDPHCSYRSAVAVSVTAAASRFLLLYNSQIVGFALVVIFFALMQQTHAWDLDLPIPSILMAVESNLRIPLPFLYLAMAPILLSFVLSFWISQPFPSFASFTVVSVICYLLANGFVIILILISQFIFYAAAVVHIFIKTRFQLWEKSANRFINLSSSFFSLKVLRVVKANPLLVTALAAITLVCLVHAAFGLFIILSLDALCCHSALCSHAQRHELFDCKKEGNDGSRHLPFKSDGDCCSNSPDSSKSFGEAQLEIFHHRHGLFILHLAAALMFVPSLVAWFQRIGMGHSFPWLVDSALCTGVILHGIFTSKPEFNSFLVSLPGVRNLEVRLNFMYLVAGYYSYLSSLALAPFRVFYAMTAIGFTSFALMILQRWNREKGEAHFGSRKHSHRH >ONI19813 pep chromosome:Prunus_persica_NCBIv2:G3:26463847:26471383:-1 gene:PRUPE_3G299200 transcript:ONI19813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQGFRAKSRVALLVVFVLCVCFAGFYDLLKPVSNGCTMTYMYPTYIPIPTTTAVSPAKYGLYLYHEGWKKIDFKEHLKKLSGIPILFIPGNGGSYKQVRSLAAESDRAYQAGPLERTFYQEASLTPEEGGEEIDVASFQLPNQYDSRLDWFTVDLEGEHSAMDSAILEEHAEYVVHSIHRILDQYKESYETREREGAATSGSLPKSVILVGHSMGGFVARAAVAHNRLRKSAVETILTLSSPHQYPPVALQPSLGHYFAHVNHEWRKGYEVQTTRAGHYVSDPVLSHVVVISISGSYNDYQVRSKSESLDGIVPPSHGFMISSTGMRNVWLSMEHQAILWCNQLVIQVSHTLLSLVDSRTGQPFSDKRIRLAIFSKMLRSGIPQSFNWMMQSHLSQQSLHVPSRDVKDKTGSLYTSAACPRNVHWSEDGLERDLYIQTTTVTVLAMDGRRRWLDIQKLGSNGRSHFMFVTNLAPCSGVRLHLWPEKRNSTSELPVCIRILEVTSKMVRIPSGPAPRQIEPGSQTEQAPPSAIFRLGPEDMRGFRFLTISVAPRPTISGRPPPAVSMAVGQFFNPEEGEREFSPWSLSSYSYKEISLKEDHPLALNLSFTTSLGLLPVIFSLKTAGCGIKNSGLPDEQADDIDNSKLCKLRCFPPVAFAWDDTSGLHIFPNVYSETIVVDSSPALWSSPKSSEKTSVMLLVDPHCSYRSAVAVSVTAAASRFLLLYNSQIVGFALVVIFFALMQQTHAWDLDLPIPSILMAVESNLRIPLPFLYLAMAPILLSFVLSFWISQPFPSFASFTVVSVICYLLANGFVIILILISQFIFYAAAVVHIFIKTRFQLWEKSANRFINLSSSFFSLKVLRVVKANPLLVTALAAITLVCLVHAAFGLFIILSLDALCCHSALCSFLTASFRSHAQRHELFDCKKEGNDGSRHLPFKSDGDCCSNSPDSSKSFGEAQLEIFHHRHGLFILHLAAALMFVPSLVAWFQRIGMGHSFPWLVDSALCTGVILHGIFTSKPEFNSFLVSLPGVRNLEVRLNFMYLVAGYYSYLSSLALAPFRVFYAMTAIGFTSFALMILQRWNREKGEAHFGSRKHSHRH >ONI16228 pep chromosome:Prunus_persica_NCBIv2:G3:6391773:6392408:1 gene:PRUPE_3G086400 transcript:ONI16228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKMVIVFGLVVSMFLQSVAAQKVHVTSPPSVPTTPSLESNDPNPEPAPSPSSTQPTGKTTPPTPPTGKTAPQPAPASSSGAVFASFFLSSLALVMGLFF >ONI18133 pep chromosome:Prunus_persica_NCBIv2:G3:20821071:20825286:1 gene:PRUPE_3G198600 transcript:ONI18133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYPSPCSSSSTSSTPFSFKNETRQFNKVITLLREAHSSSRWVSQIRLRIPARKHFQLKSSNGHPLNAVSFNDAGSPVGKEQTEPRGKVFPISDSENVESKLSITVVGASGDLAKKKIFPALFALYYEDCLPENFVVFGYARTEMTDEELRNVISTTLTCRIDQRENCEGKMDQFLKRCFYHAGQYNSEEHFAELDRKLKEKEAGSKSNRLFYLSIPPNIFVDVVRCASVRASSANGWTRVIVEKPFGRDSESSAQLTKCLKHYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPVWSRNYIRNVQLIFSEDFGTEGRGGYFDKYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVIRSMRPLLLEDVVVGQYKGHSKGSKSYPAYIDDPTVPKDSRTPTFAAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRSRYPAEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKQLDEKKIVPELYPYGSRGPVGPHYLAAKHNVRWGDLGDDD >ONI15857 pep chromosome:Prunus_persica_NCBIv2:G3:4761545:4764444:1 gene:PRUPE_3G066000 transcript:ONI15857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKPPQVLMPYDASSAKIISYLKTLHTKASAPTASDGYKLRASSLIPCPTKNGTVDKNSSDGNEDVEDRNIPRGPSNGTTKTKEAKKARVSLLDSVCWKQFGVVVAKHVTKCSVAYWLLDVSQVPSMLPVSRKQSSLSRIVGGLLSLCGGFIMGPLFLEIGILPQVSSAASTFIITFSSSIFCHCNCSAALYFAAATIISAVIGQNSGGKVIKALGRTYLIILILAFTTLGSTVSLGRVGVPNMVKQIEHKEYIGFERKCSQGS >ONI17456 pep chromosome:Prunus_persica_NCBIv2:G3:17922917:17932622:-1 gene:PRUPE_3G160500 transcript:ONI17456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSTAFCFTGVVSLPRNSVCFSQRTVRASAFSCPPLSSISRSNVQGKAFAGDALLDTKESSLVVCFGEMLIDFVPTTSGLSLAEAPAFKKAAGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNSEGMRFDPGARTALAFVTLRGDGEREFMFYRNPSADMLLQEAELDFDLIRKAKILHYGSISLITEPCKSAHIAAAKAAKDAGVVLSYDPNLRLPLWPSAKSAREGILSIWETADVIKISEEEISFLTEGEDPYDENVVRKLYHPNLKLLLVTEGPDGCRYYTKEFSGRVKGMKVDAVDTTGAGDAFVAGILSQLAVDLSLLQEEGKLRDALLFANACGALTVTERGAIPALPTREAVLNVMLKSVA >ONI17457 pep chromosome:Prunus_persica_NCBIv2:G3:17925665:17932622:-1 gene:PRUPE_3G160500 transcript:ONI17457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSTAFCFTGVVSLPRNSVCFSQRTVRASAFSCPPLSSISRSNVQGKAFAGDALLDTKESSLVVCFGEMLIDFVPTTSGLSLAEAPAFKKAAGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNSEGMRFDPGARTALAFVTLRGDGEREFMFYRNPSADMLLQEAELDFDLIRKAKILHYGSISLITEPCKSAHIAAAKAAKDAGVVLSYDPNLRLPLWPSAKSAREGILSIWETADVIKISEEEISFLTEGEDPYDENVVRKLYHPNLKLLLVTEGPDGCRYYTKEFSGRVKGMKVDAVDTTGAGDAFVAGILSQLAVDLSLLQMTASTIAEKHSKRNRKKCKRITASRY >ONI17458 pep chromosome:Prunus_persica_NCBIv2:G3:17922917:17932123:-1 gene:PRUPE_3G160500 transcript:ONI17458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHSTAFCFTGVVSLPRNSVCFSQRTVRASAFSCPPLSSISRSNVQGKAFAGDALLDTKESSLVVCFGEMLIDFVPTTSGLSLAEAPAFKKAAGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNSEGMRFDPGARTALAFVTLRGDGEREFMFYRNPSADMLLQEAELDFDLIRKAKILHYGSISLITEPCKSAHIAAAKAAKDAGVVLSYDPNLRLPLWPSAKSAREGILSIWETADVIKISEEEISFLTEGEDPYDENVVRKLYHPNLKLLLVTEGPDGCRYYTKVIQWKSQGYEGRCSGHHWCWGCICSRNTITTSC >ONI20028 pep chromosome:Prunus_persica_NCBIv2:G3:26993655:26998108:1 gene:PRUPE_3G311000 transcript:ONI20028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVPSTGTSEEVPAASLGSFLQSPPRFSSMSTCDMDGMHGGTASRMGGNPLCSSLGDYQTRASLEFSRFPDDSFSLRRTTEVTNNVHGLTIGSVDKSGQITPKNGRNIQTPASRIVGFESSGTSSLNDGSKGVLSGHVHSSSAVNVTTNDVVNSGSLVRKRLLSPLTGMLFPDQFNGDHLDIGCRNSRTNSPTVSENFCASIAHDYKKANVGSKNHFTMPTWSLSSCLEQKNMPHDISSMESVIFTDGPLLENKDPLPHNSYSSSPRLLRELTNAKAQSGAIFISPREVISPSHSLSPLGPKLSERFKTVGGCRTANKELEDCHSTLRNVKQTLDKSDPDILFSPEVGEFRIASKLFEDVDIFQKDFHPSSLENGTGVSYSLSQGSQCMRFVRSLSGLPVRRSLVGSFEESLLSGRFLSGKPSQRIDGFLAVLSITGGNFSPQSQKLPFSVTSVEGDCYLLYCASIHLAGNSALNRSGGQKLKRGLSNDGSQIVTSRLRIPVKGRIQLVLSNPEKTPIHTFLCNYDLSDMPAGTKTFLRQKVTLASCSPTSTQSKQGKTDLHAKVIDKTELKNPAGVDVVQRTRSVDQKTEIRSECSDLVDSIDEGDMSKLSPKTGRVCTRSFIVEKSFNDDEYPSSNGKERNWVDGCHETDSKQVHGCSRVNQNSNGGLRYALHLRFICPFPKKCSRSVQRCKSDPLSTPERTGLDMDGERRFYLYNDLRVVFPQRHSDADEGKLNVEYHFPDDPKYFVIN >ONI20029 pep chromosome:Prunus_persica_NCBIv2:G3:26993181:26998283:1 gene:PRUPE_3G311000 transcript:ONI20029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVPSTGTSEEVPAASLGSFLQSPPRFSSMSTCDMDGMHGGTASRMGGNPLCSSLGDYQTRASLEFSRFPDDSFSLRRTTEVTNNVHGLTIGSVDKSGQITPKNGRNIQTPASRIVGFESSGTSSLNDGSKGVLSGHVHSSSAVNVTTNDVVNSGSLVRKRLLSPLTGMLFPDQFNGDHLDIGCRNSRTNSPTVSENFCASIAHDYKKANVGSKNHFTMPTWSLSSCLEQKNMPHDISSMESVIFTDGPLLENKDPLPHNSYSSSPRLLRELTNAKAQSGAIFISPREVISPSHSLSPLGPKLSERFKTVGGCRTANKELEDCHSTLRNVKQTLDKSDPDILFSPEVGEFRIASKLFEDVDIFQKDFHPSSLENGTGVSYSLSQGSQCMRFVRSLSGLPVRRSLVGSFEESLLSGRFLSGKPSQRIDGFLAVLSITGGNFSPQSQKLPFSVTSVEGDCYLLYCASIHLAGNSALNRSGGQKLKRGLSNDGSQIVTSRLRIPVKGRIQLVLSNPEKTPIHTFLCNYDLSDMPAGTKTFLRQKVTLASCSPTSTQSKQGKTDLHAKVIDKTELKNPAGVDVVQRTRSVDQKTEIRSECSDLVDSIDEGDMSKLSPKTGRVCTRSFIVEKSFNDDEYPSSNGKERNWVDGCHETDSKQVHGCSRVNQNSNGGLRYALHLRFICPFPKKCSRSVQRCKSDPLSTPERTGLDMDGERRFYLYNDLRVVFPQRHSDADEGKLNVEYHFPDDPKYFVIN >ONI15499 pep chromosome:Prunus_persica_NCBIv2:G3:3292441:3299581:-1 gene:PRUPE_3G046100 transcript:ONI15499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKIRAKLRQSQLYTFCQKPKASETEASRPIQGVGFSRTVYCNQPLLHQKKPYKYRSNFISTTKYNPITFLPKALFEQFRRVANVYFLLAAILSLTPVSPFSPVSMIAPLVFVVGLSMAKEALEDWNRFLQDMKVNLRKVIVHKGDGVFGFRPWHKIQVGDILKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRCLEVTSPLEDDGTFKDFTATIQCEDPNPNLYSFVGNLEYDRQVYPLEPGQILLRDSKLRNTAYVYGVVIFTGHDSKVMQNSTKSPSKRSGIERKMDNIIYILFTLLVGISLISSIGFAVKTKFSMPDSWYLRPDQTTDMYSPEKPALSGLIHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDIHMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIGGTAYGVRSSEVELAAAKQMAFDLEDNEDDLSNFPMRKHNPRVSWGNGVGSEIELETVVTSKDDKDRKPAIKGFSFEDSRLMNGNWLNEPSPDVISLFLRILAVCHTAIPELNEGTGSYTYEAESPDEAAFLVAARELGFEFCKRNQSSVFVHEKYPYSGQPVDREYKVLNLLEFTSKRKRMSVIVRDEDGQIFLFCKGADSIIFDRLSKNGRMYEEATTKHLNEYGEAGLRTLALSYRRLEEAEYSAWSNEFQKAKTSIGADRDGMLERVADKMERDLILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICISTANFDTLGQDSKEAVKDNILNQITNASQMIKLEKDPHAAFALIIDGKTLTYALEDDMKHLFLGLAVDCASVICCRVSPKQKALVTRLVKQGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTLFYFEAFTGFSGQSIYDDWYMLSFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGVYCSLIIFFLNIIIFYDQAFRSNGQTADMAAMGTTMFSCIVWAVNCQIALTMSHFTWIQHLFVWGSIAMWYLFLLLYGMLSPVHSKNAYQILVEALGPAPLFWSATLLVTIACNLPYIVHLAFQRSFNPMDHHIIQEIKYYKKDVEDQRMWKREASKARQETKIGFTARVDAKIRHLRGKLQKKHTPVSTQSPMSPL >ONI15500 pep chromosome:Prunus_persica_NCBIv2:G3:3292441:3299571:-1 gene:PRUPE_3G046100 transcript:ONI15500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKIRAKLRQSQLYTFCQKPKASETEASRPIQGVGFSRTVYCNQPLLHQKKPYKYRSNFISTTKYNPITFLPKALFEQFRRVANVYFLLAAILSLTPVSPFSPVSMIAPLVFVVGLSMAKEALEDWNRFLQDMKVNLRKVIVHKGDGVFGFRPWHKIQVGDILKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRCLEVTSPLEDDGTFKDFTATIQCEDPNPNLYSFVGNLEYDRQVYPLEPGQILLRDSKLRNTAYVYGVVIFTGHDSKVMQNSTKSPSKRSGIERKMDNIIYILFTLLVGISLISSIGFAVKTKFSMPDSWYLRPDQTTDMYSPEKPALSGLIHLVTALILYGYLIPISLYVSIEVVKVLQATFINQDIHMYDEETGNPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIGGTAYGVRSSEVELAAAKQMAFDLEDNEDDLSNFPMRKHNPRVSWGNGVGSEIELETVVTSKDDKDRKPAIKGFSFEDSRLMNGNWLNEPSPDVISLFLRILAVCHTAIPELNEGTGSYTYEAESPDEAAFLVAARELGFEFCKRNQSSVFVHEKYPYSGQPVDREYKVLNLLEFTSKRKRMSVIVRDEDGQIFLFCKGADSIIFDRLSKNGRMYEEATTKHLNEYGEAGLRTLALSYRRLEEAEYSAWSNEFQKAKTSIGADRDGMLERVADKMERDLILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGFACSLLRQGMKQICISTANFDTLGQDSKETLCLLTNDQAVKDNILNQITNASQMIKLEKDPHAAFALIIDGKTLTYALEDDMKHLFLGLAVDCASVICCRVSPKQKALVTRLVKQGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTLFYFEAFTGFSGQSIYDDWYMLSFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGVYCSLIIFFLNIIIFYDQAFRSNGQTADMAAMGTTMFSCIVWAVNCQIALTMSHFTWIQHLFVWGSIAMWYLFLLLYGMLSPVHSKNAYQILVEALGPAPLFWSATLLVTIACNLPYIVHLAFQRSFNPMDHHIIQEIKYYKKDVEDQRMWKREASKARQETKIGFTARVDAKIRHLRGKLQKKHTPVSTQSPMSPL >ONI15922 pep chromosome:Prunus_persica_NCBIv2:G3:4992445:4998398:1 gene:PRUPE_3G069100 transcript:ONI15922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKKKGEEAPNFPAFPYKPYAIQIDFMNALYHSLNKGGLSMLESPTGTGKTLSIICSALQWVVDRRQQQKTEDRIESDRNGAKDGQVDSDDEPDWMRNAVINKYKQGEEMKTKKKEKFGVGFRRVDKRRNHENCRDLFLRSVEEEPCTKKEGNNLQMSNDAVELSDEDFLVEDYESEEEGNLGGGKSKRKVAGVSLSSSSEEGEEDGSGDDEYEEDEKLQVYFCSRTHSQLSQFIKELKKTVFANEMKVVCLGSRRNFCINEDVLKLGNSTCINERCLELQRNKNKEVSKIKNVGAGGRIRRNKASCGCPMLRKHKLQKEFRSMMSQQGALDIEDLVHLGKSMRTCPYYGSRSMVPVADLVVLPYQSLLSKASRESLGLNLKNNIIIIDEAHNLADSLISMYDSRITLSQLEDVHSHVEKYFERFCNVLGPGNRRYIQTLMVLTRAFRQVFLKDDRSYGDSCRATEKASGASGTSTSSMAINDFLFSLNVDNINLVKLLQYLKESNIMHKVSGYGDKVASFQKNLALNGSEDGSTLSSFQALANLLLSLTNKDGDGRIIISRTSATCSREEGRYIKYVMLTGDKIFSEIVDQAHSVILAGGTLQPIEETRERLFPWLPPDQLQFFSCSHIVPPESILPVAVSRGPSGHTFDFSYSSRRSSIMIQELGQLLCNLVTVVPEGIVVFFSSFDYEGQVYDAWEASGILERIKKKKRLFREPRKNTYVESVLKEYKETIDILSSGEWKENPSQSGAMLLAVVGGKISEGINLSDGMGRCIVMVGLPYASPSDIELMERVKYIEGLGDSDSTKTPNLSLGHELCSGEVHAGFNVLRSCRRRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDTRYASDSSKRNFCHPTSKLPQWIKDRFVASTDYGEVHRMLHQFFKHNKKRWLQQ >ONI15923 pep chromosome:Prunus_persica_NCBIv2:G3:4992445:4998398:1 gene:PRUPE_3G069100 transcript:ONI15923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKKKGEEAPNFPAFPYKPYAIQIDFMNALYHSLNKGGLSMLESPTGTGKTLSIICSALQWVVDRRQQQKTEDRIESDRNGAKDGQVDSDDEPDWMRNAVINKYKQGEEMKTKKKEKFGVGFRRVDKRRNHENCRDLFLRSVEEEPCTKKEGNNLQMSNDAVELSDEDFLVEDYESEEEGNLGGGKSKRKVAGVSLSSSSEEGEEDGSGDDEYEEDEKLQVYFCSRTHSQLSQFIKELKKTVFANEMKVVCLGSRRNFCINEDVLKLGNSTCINERCLELQRNKNKEVSKIKNVGAGGRIRRNKASCGCPMLRKHKLQKEFRSMMSQQGALDIEDLVHLGKSMRTCPYYGSRSMVPVADLVVLPYQSLLSKASRESLGLNLKNNIIIIDEAHNLADSLISMYDSRITLSQLEDVHSHVEKYFERFCNVLGPGNRRYIQTLMVLTRAFRQVFLKDDRSYGDSCRATEKASGASGTSTSSMAINDFLFSLNVDNINLVKLLQYLKESNIMHKVSGYGDKVASFQKNLALNGSEDGSTLSSFQALANLLLSLTNKDGDGRIIISRTSATCSREEGRYIKYVMLTGDKIFSEIVDQAHSVILAGGTLQPIEETRERLFPWLPPDQLQFFSCSHIVPPESILPVAVSRGPSGHTFDFSYSSRRSSIMVEQSGI >ONI18364 pep chromosome:Prunus_persica_NCBIv2:G3:21630264:21633516:-1 gene:PRUPE_3G211400 transcript:ONI18364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVATVPPPAGPVPMIFTARPNPKLVCQYLTKPKSFRFGAFGIRLRLNNHKVSAVKKQLPELETQKPDSEKLRVGQEKGEGFDLGWLPAFPHVFIAFMSNFLFGYHIGVMNGPIVSIARELGFEGNSILEGLVVSIFIVGAFLGSVGCGFIVDKLGCRRTFQIATIPLILGALISAQAHSLDEVILGRFLVGLGIGVNTVLVPIYISEIAPTKYRGSLGTLCQIGTCLGIISSLFLGIPSESDPHWWRTMLYIASIPGFILALGMQFAVDSPRWLCKVGRLNDAKAVIKNVWGASEVEKAIEEFQSVIKNDGSDLDSSWLELLKEPNSRVAFIGGSLFVLQQFAGINGVLYFSSLTFQDVGITNSALASLLIGVTNFAGALCALYLIDKQGRLKLLIGSYLGMAVSMFLVVCAISFPLDEQLSDNLSILGTVM >ONI18363 pep chromosome:Prunus_persica_NCBIv2:G3:21629154:21633616:-1 gene:PRUPE_3G211400 transcript:ONI18363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVATVPPPAGPVPMIFTARPNPKLVCQYLTKPKSFRFGAFGIRLRLNNHKVSAVKKQLPELETQKPDSEKLRVGQEKGEGFDLGWLPAFPHVFIAFMSNFLFGYHIGVMNGPIVSIARELGFEGNSILEGLVVSIFIVGAFLGSVGCGFIVDKLGCRRTFQIATIPLILGALISAQAHSLDEVILGRFLVGLGIGVNTVLVPIYISEIAPTKYRGSLGTLCQIGTCLGIISSLFLGIPSESDPHWWRTMLYIASIPGFILALGMQFAVDSPRWLCKVGRLNDAKAVIKNVWGASEVEKAIEEFQSVIKNDGSDLDSSWLELLKEPNSRVAFIGGSLFVLQQFAGINGVLYFSSLTFQDVGITNSALASLLIGVTNFAGALCALYLIDKQGRLKLLIGSYLGMAVSMFLVVCAISFPLDEQLSDNLSILGTVMYIFTFAIGAGPVTGLIIPELSSNRSRGKIMGFSFSAHWVCNFLVGLFFLDLVEKFGVAPVYGTFGAVSLLAAIFATYFIVETKGRSLEEIEMSLSSDFMSRDK >ONI18365 pep chromosome:Prunus_persica_NCBIv2:G3:21629161:21633616:-1 gene:PRUPE_3G211400 transcript:ONI18365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVATVPPPAGPVPMIFTARPNPKLVCQYLTKPKSFRFGAFGIRLRLNNHKVSAVKKQLPELETQKPDSEKLRVGQEKGEGFDLGWLPAFPHVFIAFMSNFLFGYHIGVMNGPIVSIARELGFEGNSILEGLVVSIFIVGAFLGSVGCGFIVDKLGCRRTFQIATIPLILGALISAQAHSLDEVILGRFLVGLGIGVNTVLVPIYISEIAPTKYRGSLGTLCQIGTCLGIISSLFLGIPSESDPHWWRTMLYIASIPGFILALGMQFAVDSPRWLCKVGRLNDAKAVIKNVWGASEVEKAIEEFQSVIKNDGSDLDSSWLELLKEPNSRVAFIGGSLFVLQQFAGINGVLYFSSLTFQDVGITNSALASLLIGVTNFAGALCALYLIDKQGRLKLLIGSYLGMAVSMFLVVCAISFPLDEQLSDNLSILGTVM >ONI19695 pep chromosome:Prunus_persica_NCBIv2:G3:26175543:26178196:1 gene:PRUPE_3G292200 transcript:ONI19695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSWNLGVGPHPKLLQVSCRKKERNRDNIHPYKVIEITPPPKNLGIRCFPSNLQCGESVTIEGQTYTISAVTHRYQLRKGKYEPSEKRLDVLSSARYILNLYLENLLEQS >ONI19824 pep chromosome:Prunus_persica_NCBIv2:G3:26501438:26503099:-1 gene:PRUPE_3G299800 transcript:ONI19824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMLSEERAWRKRVVVHENAALLSHKSFRADGSGEDYKLLPSGPASLPDEQVPISHNDDNVYWKELLMLVNVWVAFLIVQIVKVPIAISVTLFEAKFLCKGTRAIASKGKEITNWKLHQIFPYCSCGIVAGMVGSLLGLGGGFILGPLSLELGIPP >ONI15536 pep chromosome:Prunus_persica_NCBIv2:G3:3418940:3423799:1 gene:PRUPE_3G048500 transcript:ONI15536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQCLGTIRPSPSLSYFATYKRQLSFRSSPITGPANGFSPFRRIFNAYLQSNSATTGAGSQSPSEPSEVIFIGTGTSEGIPRVSCLTHPLKTCEVCSKAAEPGNKNRRLNTSILVRYPRPSGSCNVLIDAGKFFYHSALKWFPSYGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPHIPIYVAQRDFEVMKKTHYYLVDTSFVLAGAAVSELQFNIIHEDPFSVQGLQFTPLPVWHGRGYRSLGFRFGNVCYISDVSDIPEETYPLLKNCELLILDALRPDRSTATHFGLPRALEEVRKIQPKRTLFTGMMHLMDHEKVSDYLMKLMETEGLDVQLSYDGLRVPVAF >ONI15535 pep chromosome:Prunus_persica_NCBIv2:G3:3418940:3423799:1 gene:PRUPE_3G048500 transcript:ONI15535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQCLGTIRPSPSLSYFATYKRQLSFRSSPITGPANGFSPFRRIFNAYLQSNSATTGAGSQSPSEPSEVIFIGTGTSEGIPRVSCLTHPLKTCEVCSKAAEPGNKNRRLNTSILVRYPRPSGSCNVLIDAGKFFYHSALKWFPSYGIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPHIPIYVAQRDFEVMKKTHYYLVDTSFVLAGAAVSELQFNIIHEDPFSVQGLQFTPLPVWHGRGYRSLGFRFGNVCYISDVSDIPEETYPLLKNCELLILDALRPDRSTATHFGLPRALEEVRKIQPKRTLFTGMMHLMDHEKVSDYLMKLMETEGLDVQLSYDGLRVPVAF >ONI18350 pep chromosome:Prunus_persica_NCBIv2:G3:21594755:21600312:-1 gene:PRUPE_3G210900 transcript:ONI18350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCDAGRCIFPLTSLQIGDLQSYLSDLSLFLAPESKKFYILVDNRPWLGSRSAHLWQLMVTKSRLSPFANSKARKLRTEGKDACSLPKTAKPKKFARWFSLIKSQKKVLLPVKKLRTSLLLSQELHRTLYGFIVFEVAWSNVRGINYVNELQTDTSLAVEAKFMQRWEFDSIAQAASCISSWFSGTISEQLQLKEYLNSAIGSLHEGVSVAQDSEGEVFFDAKEYPDAEEYPETMPVVEENLSYNNLSMEENSLHCLSSNYSVHPETSENGTSVAHTPPPPSGPYKRRKITTSISTGVELDTYSEEMQNPETYISDSEDSETYTCYSEDEMQNSEAYSCNCEDEIQNSDVYTWDCEDEMLNSETFTSDCEDAIPETVKTTCDDAFKATQFREDAIPVTVDTYTSDSVDAVEATQYRDVLILFRFNDRDLPFKLREIIMSDLRLLTLLEAGLPSWVIFLQSYPGFCHIYRPWMCPLARALYVLISVVTVLIGFYDLYKNVPVLKVTASRLCGPLFDWIETWSMISRIKYLGTMLFLHNSQKAVQWFLTIRSTTRSFISVLAQPLAEPLLECFGFLIPVWNVLIEVIESLCSIIWILVGSSCSLVGNLVQILLVPIWFLLSAIWSIGTSIVYPMLRMLWEIVYAPVRMVVAVANVLAFICACIYDMLRDIWQFTSSIFQFASASQATVRTVEVSIWRSLWNDLFSQVFRAIRSILNGFVAFFIACNRHRLSIYNHIQEFIQRLLGRTRRSRREDSRRSKPTVETRNLSELRRKINLE >ONI18351 pep chromosome:Prunus_persica_NCBIv2:G3:21594841:21600104:-1 gene:PRUPE_3G210900 transcript:ONI18351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCDAGRCIFPLTSLQIGDLQSYLSDLSLFLAPESKKFYILVDNRPWLGSRSAHLWQLMVTKSRLSPFANSKARKLRTEGKDACSLPKTAKPKKFARWFSLIKSQKKVLLPVKKLRTSLLLSQELHRTLYGFIVFEVAWSNVRGINYVNELQTDTSLAVEAKFMQRWEFDSIAQAASCISSWFSGTISEQLQLKEYLNSAIGSLHEGVSVAQDSEGEVFFDAKEYPDAEEYPETMPVVEENLSYNNLSMEENSLHCLSSNYSVHPETSENGTSVAHTPPPPSGPYKRRKITTSISTGVELDTYSEEMQNPETYISDSEDSETYTCYSEDEMQNSEAYSCNCEDEIQNSDVYTWDCEDEMLNSETFTSDCEDAIPETVKTTCDDAFKATQFREDAIPVTVDTYTSDSVDAVEATQYRDVLILFRFNDRDLPFKLREIIMSDLRLLTLLEAGLPSWVIFLQSYPGFCHIYRPWMCPLARALYVLISVVTVLIGFYDLYKNVPVLKVTASRLCGPLFDWIETWSMISRIKYLGTMLFLHNSQKAVQWFLTIRSTTRSFISVLAQPLAEPLLECFGFLIPVWNVLIEVIESLCSIIWILVGSSCSLVGNLVQILLVPIWFLLSAIWSIGTSIVYPMLRMLWEIVYAPVRMVVAVANVLAFICACIYDMLRDIWQFTSSIFQFASASQATVRTVEVSIWRSLWNDLFSQVFRAIRSILNGFVAFFIACNRHRLSSVGATAESWEIIRGDFSYNGKMVHL >ONI18353 pep chromosome:Prunus_persica_NCBIv2:G3:21596111:21600104:-1 gene:PRUPE_3G210900 transcript:ONI18353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCDAGRCIFPLTSLQIGDLQSYLSDLSLFLAPESKKFYILVDNRPWLGSRSAHLWQLMVTKSRLSPFANSKARKLRTEGKDACSLPKTAKPKKFARWFSLIKSQKKVLLPVKKLRTSLLLSQELHRTLYGFIVFEVAWSNVRGINYVNELQTDTSLAVEAKFMQRWEFDSIAQAASCISSWFSGTISEQLQLKEYLNSAIGSLHEGVSVAQDSEGEVFFDAKEYPDAEEYPETMPVVEENLSYNNLSMEENSLHCLSSNYSVHPETSENGTSVAHTPPPPSGPYKRRKITTSISTGVELDTYSEEMQNPETYISDSEDSETYTCYSEDEMQNSEAYSCNCEDEIQNSDVYTWDCEDEMLNSETFTSDCEDAIPETVKTTCDDAFKATQFREDAIPVTVDTYTSDSVDAVEATQYRDVLILFRFNDRDLPFKLREIIMSDLRLLTLLEAGLPSWVIFLQSYPGFCHIYRPWMCPLARALYVLISVVTVLIGFYDLYKNVPVLKVTASRLCGPLFDWIETWSMISRIKYLGTMLFLHNSQKAVQWFLTIRSTTRSFISVLAQPLAEPLLECFGFLIPVWNVLIEVIESLCSIIWILVGSSCSLVGNLVQILLVPIWFLLSAIWSIGTSIVYPMLRMLWEIVYAPVRMVVAVANVLAFICACIYDMLRDIWQFTSSIFQFASASQATVRTVEVSIWRSLWNDLFSQVFRAIRSILNGFVAFFIACNRHRLRYAYSFL >ONI18352 pep chromosome:Prunus_persica_NCBIv2:G3:21595679:21600313:-1 gene:PRUPE_3G210900 transcript:ONI18352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCDAGRCIFPLTSLQIGDLQSYLSDLSLFLAPESKKFYILVDNRPWLGSRSAHLWQLMVTKSRLSPFANSKARKLRTEGKDACSLPKTAKPKKFARWFSLIKSQKKVLLPVKKLRTSLLLSQELHRTLYGFIVFEVAWSNVRGINYVNELQTDTSLAVEAKFMQRWEFDSIAQAASCISSWFSGTISEQLQLKEYLNSAIGSLHEGVSVAQDSEGEVFFDAKEYPDAEEYPETMPVVEENLSYNNLSMEENSLHCLSSNYSVHPETSENGTSVAHTPPPPSGPYKRRKITTSISTGVELDTYSEEMQNPETYISDSEDSETYTCYSEDEMQNSEAYSCNCEDEIQNSDVYTWDCEDEMLNSETFTSDCEDAIPETVKTTCDDAFKATQFREDAIPVTVDTYTSDSVDAVEATQYRDVLILFRFNDRDLPFKLREIIMSDLRLLTLLEAGLPSWVIFLQSYPGFCHIYRPWMCPLARALYVLISVVTVLIGFYDLYKNVPVLKVTASRLCGPLFDWIETWSMISRIKYLGTMLFLHNSQKAVQWFLTIRSTTRSFISVLAQPLAEPLLECFGFLIPVWNVLIEVIESLCSIIWILVGSSCSLVGNLVQILLVPIWFLLSAIWSIGTSIVYPMLRMLWEIVYAPVRMVVAVANVLAFICACIYDMLRDIWQFTSSIFQFASASQATVRTVEVSIWRSLWNDLFSQVFRAIRSILNGFVAFFIACNRHRLSSVGATAESWEIIRGDFSYNGKMVVSGGLGRYY >ONI19816 pep chromosome:Prunus_persica_NCBIv2:G3:26472511:26475722:-1 gene:PRUPE_3G299300 transcript:ONI19816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAVKLYSVFFKFLLKHRLQNRIQTQPDEFNPFGVTSRPEETIASPNPLFDDGVATKDIHIDPFTSLSIRIFLPESALNPPEPASKSRVTATPKRSDLNQGSNRTDSARQSLNNLPATPSRRNSYGNPTLSNLAKSEQRRNSYGCSNDIESMNLMANAGVGVYRGYSPANLTKNGRKLPVMLQFHGGGWVSGSNDSVANDIFCRRIAKLCDVVVLAVGYRLAPENRYPAAFEDGLKVLNWLGKQANLAECSKSMGGGRSTLGGITEFKKADSHRHIVDTFGASMVEPWLAAHGDPTRCVLLGVSCGANIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGNVPTHSEIRLANSYFYDKAMCILAWKLFLPEEEFSLDHPAANPLIPDRGPPVRLMPPTLTVVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISLRGHEFSY >ONI16764 pep chromosome:Prunus_persica_NCBIv2:G3:10692360:10696711:1 gene:PRUPE_3G120800 transcript:ONI16764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFGIFLSFMSFGNFLATHKCLRHLAKFHVLWQFFLQHISFMQMPSASCQVSCPFRALRHLVLRHASFVPLAPSCPRHLVLRRTSLEHSVPSSPLAPCLVTCSHDLRDVTPADRHAPCRSCRRLSHDKCMASPLPFSKHCADQAEDASMTLMSKHIAL >ONI17690 pep chromosome:Prunus_persica_NCBIv2:G3:19156568:19161062:-1 gene:PRUPE_3G174500 transcript:ONI17690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSSLNAELSKKIGFLGLKLWVVIGICVGAFIVSILCFLSIWVTFRRKSRRSLDKFPVTQIPNISKDINVDKVGAQSTHDHPDNLFLSVPEKASDKNSEKMLVHLGMSKSSDPDNMSQCSSIYHHERACSSQSGEEGSSGNVRKQSSLSYGGLVTASPLIGLPEVSHLGWGHWFTLRDLQFATNRFSAENVLGEGGYGVVYKGRLINGTDVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMRQHGTLTWEARMKVILGTAKALSYLHEAIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLGSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLVEWLKLMVGARRSEDVVDPNLEVKPSTRALKRALLVALRCVDHDAENRPKMSQVVRMLEADEYPFREDRRNRKSRTTSMDIESVKENSISADVGNKPGDLESQISETTHA >ONI16130 pep chromosome:Prunus_persica_NCBIv2:G3:5904278:5906363:1 gene:PRUPE_3G080000 transcript:ONI16130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPGDWNCRSCQHLNFQRRDSCQRCGDSKSGVDFGGFGGRGGGGSSFGFMSTGSDVRPGDWYCAAANCGAHNFASRSSCFKCGAFKDDSAAGGGGGGFDSDMPRSRGFGLGLGGGAGGGARPGWKSGDWICTRLGCNEHNFASRMECFRCNAPRDSY >ONI17577 pep chromosome:Prunus_persica_NCBIv2:G3:18695771:18701072:-1 gene:PRUPE_3G168000 transcript:ONI17577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGIHPYHQQWPPAAGPPPPPPVVPAVAPPPPHYLVDNPNRPATDEVRTIFITGLPEDVKEREIQNLLRWLPGYEASQVNHKGEKPMSFALFSTAQQAIAAKDALQGMIFDSESKAVLHTEMAKKNLFVKRGIVADANAYDQSKRLRTGGDYTQAGYSSPSPFHPPPPPVWGPHGYMAPPPPPYDPYGGYPVPPVPMPPSAPVPAPSSYVPVLNTKDNPPCNTLFIGNLGESINEEELRGLFNSQPGFKQMKVLRQERHTVCFIEFEDVNSASNVHHSLQGAVIPSSGSVGMRIQYSKNPFGKRKDGNHPGAVPAANGAPPAMTYQ >ONI14785 pep chromosome:Prunus_persica_NCBIv2:G3:500565:501140:-1 gene:PRUPE_3G008200 transcript:ONI14785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHIRRVLPPIYVHGVAVKTTVTNSASFVDSFITELILSCNYTNSSSSRPPIIGFHVEFTDEIKAATLQLCSGSRCIIIQLNKMVTNNSVPQSLINFLAAPLFCFVGVEIFQSLALLRREYGIVCRNAVDLTLMVNMAVMSGAVELEPKPLSVVMGDWGAENLSMEQIQSAAIDVFNFSIFGDLLVNSLK >ONI17214 pep chromosome:Prunus_persica_NCBIv2:G3:15934104:15939896:-1 gene:PRUPE_3G145900 transcript:ONI17214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPGYATGSLQGLSYPITGGARPSYCGPSEFHISCVDDSPELTIMSLRRPKCFLRLSYPFETLLGSDPRIQTQAHSSGCWNKKTPTSVNCKIETTVLILKTAAAKLGANRSLFQEAIMEGFNVNYTNPYDNECSKWLDVKSCGFDSHSSRPVCFCGDRVCDILGSYGGYGTVYRGKLPDGLLVAVKVLSESKGNGEDFINEVASIGRTSHVNIVTLCGFCYERNKRALIYEFMPNESLDNFIRKQGSEMANSRLEWKKLSEIAVGIARGLEYLHQFCPKVADFGLAKLCKKKERIVSMLGTRGTAGYITPEVFSWNFGGVSHKCDVYSYGMLVLEMVGARKNLDSAVSHTSEMFPHYVYKDLELDKDDNVFGATTEGEKEIARKMVLTSLWCIQTNPDDRPSMSKVVEMLEGPLHSLQIAPKPLLVSPTIAAEDSMTTSQPPETEDSITTSKPLRVSLRYIGI >ONI18561 pep chromosome:Prunus_persica_NCBIv2:G3:22326096:22329680:1 gene:PRUPE_3G223100 transcript:ONI18561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELASQKHVQFIVSVEKKKDSFESVVMEHIRMNGAYWGLTALDLLGKLHVVDVEEVVSWVLQCQDDSGGFGGNIGHDPHVLYTLSAVQVLALFDKLDVLDIEKVASYVAGLQNEDGSFSGDMWGEIDTRFSYIAISCLSLLHRLDKINVEKAVNYILSCKNHDGGFGCTPGAESHAGQIFCCVGALAITGSLHHIDKDLLGWWLCERQDNKTGGLNGRPEKLPDVCYSWWALSSLIMIDRVHWINKDKLIKFILDCQDIENGGISDRPDDAVDVYHTYFGVAGLSLLEYPGLKAIDPAYALPVDVVDRIILDR >ONI18562 pep chromosome:Prunus_persica_NCBIv2:G3:22326096:22329680:1 gene:PRUPE_3G223100 transcript:ONI18562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELASQKHVQFIVSVEKKKDSFESVVMEHIRMNGAYWGLTALDLLGKLHVVDVEEVVSWVLQCQDDSGGFGGNIGHDPHVLYTLSAVQVLALFDKLDVLDIEKVASYVAGLQNEDGSFSGDMWGEIDTRFSYIAISCLSLLHRLDKINVEKAVNYILSCKNHDGGFGCTPGAESHAGQIFCCVGALAITGSLHHIDKDLLGWWLCERQDNKTGGLNGRPEKLPDVCYSWWALSSLIMIDRVHWINKDKLIKFILDCQDIENGGISDRPDDAVDVYHTYFGVAGLSLLEYPGLKAIDPAYALPVDVVDRIILDR >ONI16260 pep chromosome:Prunus_persica_NCBIv2:G3:6512368:6521379:-1 gene:PRUPE_3G087900 transcript:ONI16260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALISVLLEQLASIIQKQVEQEVTLIVGVEKEVAKLSHNFKAIQVVLEDAEERQVKELNVKYWLERLKDVSYEMDDVLDEWSTEILKQQIQKQEAGNAGSTSTTKKVCFCIPAPWFCFGRQVVLRHDIAVKIKELNERLALIASERQNYNFQYMKRGIEQIERQKSSSFVDKTFGRVDEKDVLVKKLLRESGQGGATCLVIPIIGMGGIGKTTLAQLVYNDEKVQAHFDNRIWVCVSDPFDEIKIAKAIIDGLKKENSPASNELQILLQFIHESVKGMKFLLVLDDVWNQDYLKWEQLKLLLQNGAVGSRILVTTRKEEVARMVVGASTDIVNLEMLSEENCWALFYHIALADRKKNESKGLEFIGKEIVKKCKGLPLAAKALGGLMSCKKTRKEWEDILNSKIWEVDGVEEQVFQPLLLSYYDLAPAIKRCLLYCVIFPKDYNIVKDELIELWMSQNYLNSVGNKEKEAVGEMYFDNLVMRSFFQEFEKDDLGNITGCKMHDVLHDFLQFLTKNECLVLEAESGNNKRIMEFDGYKKVRHLTLMFAPEGPLIPSSLCNCKNLQTLATFDSKITSFGQELISQVKCLRTLNLSHNFLKEVPNEVGELAHLRLPEAMGKLINLQHLHVLWCRSLKFLKGIARLTSLRTLDEVHIHGDDDVDNNKEALFELSDLRNMDQLRGSFYILFGTNLKDVRQAVKAHLVNKNCLVNLELSFFLDTWQPEPIQEETMNALQPPPNLESLSINIYRGTRLRPHWMTSLNKLRSLTLQYCMVVEFVPPLGRLESLEVLVIVKWDRLKKVGVEFLGIDGTIETQTSLSPLILFPSLKRLEFEFMCMWEEWEGMTGWSEEEDSQKTITIMPCLSSLRIIDCHVLKTLPNFLRNTPLKELVIEGGVMFDFLAPVAQGCRKGRGEWPKISHIPNIKLGKEFVQKDGVYQIDDDEMPSVASTSSSGYKFSMCRRPPFPNSSFCKMLTMYERVKKRLLERLPEGMGKLINLQHLHVMGCVDLKLPKGIARLKSLRMLDVVYIHGNDDVDNNKEALFELSDLRNMDQLRGSFCIWFGTDLKDVRQAEKGHLVNKNCLVSLKLSFFSDTWQPKPIQEETMNALQPPPNLESLFIEGYCGTTLRPHWMTSLNKLRSLTLQYCHFVECVPPLGRLESLEVLVIYKWPRVKKVGVEFLGIDGTIETQTSSSPLILFPNLKTLLFDSLYTWEEWEGMTGWSEEEDSQKTITIMPCLSSLRIIDCHVLKTLPNFLRNTPLKELVIEGGVMFDFLAPVAQGCRKGRGEWPKISHIPNIKLGKEFVQKDGVYQIDDDIFF >ONI17897 pep chromosome:Prunus_persica_NCBIv2:G3:20046296:20049678:-1 gene:PRUPE_3G186000 transcript:ONI17897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSCERDKLVTGSDKDVNVVDVTDEKNVEEHVNSPTTSEHVKEADGLDANQSACSLQAQDDAKNMGLNAVDEGKRVIQEPQNGLEFESKEEAYSYYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESGTTVNPRLCITKTDCKASLHIKRKDDGKWVVHSFIKEHNHEMCPDDFIYAISGRNKKPATVVCQKKGLQSALGQEDVRVMFEHFMCMQDEDPNFFYAVDFDHEKRLRSVFWIDAKCRHDYSSFCDAVFFDTYYVRNNYRIPFVPIVGVNHHFQYILLGCALMGEETIPAFVWLMQTWLKVVGGQAPRLIITDQDKYLKEAVADVFTDAYHCFCLWHVLTRIPENVGFFIKENEIFMEKFNKCIYRSWTVEQFEKKWWKLVDRFELRENAWVHSLFEDRKKWVPTYMQDSFMAGMSTKERSGSITSFFDRHAILVLQVSGVSGIPSHYILKRWTKDAKVRCTVSDGPKRLNYRVQRFNDLCKLAVKLGEEGSLSPEAYHIAFQALEAALKHCVDANNSVRTVSEANMSANHGFNDVEEVNPSSNMAKSSKKKKTYKKRKAQTEQDSGTIRLQDSCQQMMKSRAHNRDNCYVPQQELEGEHGSRSRGLDSYYGAQQSMQGMGQLNSIAPISDGYYCNQQATQGQLHSLPTRVGHYGTQQSMRGMGQLSFRSPTVQSCFDIQGNLQDMSAGSSHFHGNASKRLHGKHQTQ >ONI17898 pep chromosome:Prunus_persica_NCBIv2:G3:20046423:20049678:-1 gene:PRUPE_3G186000 transcript:ONI17898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSCERDKLVTGSDKDVNVVDVTDEKNVEEHVNSPTTSEHVKEADGLDANQSACSLQAQDDAKNMGLNAVDEGKRVIQEPQNGLEFESKEEAYSYYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESGTTVNPRLCITKTDCKASLHIKRKDDGKWVVHSFIKEHNHEMCPDDFIYAISGRNKKPATVVCQKKGLQSALGQEDVRVMFEHFMCMQDEDPNFFYAVDFDHEKRLRSVFWIDAKCRHDYSSFCDAVFFDTYYVRNNYRIPFVPIVGVNHHFQYILLGCALMGEETIPAFVWLMQTWLKVVGGQAPRLIITDQDKYLKEAVADVFTDAYHCFCLWHVLTRIPENVGFFIKENEIFMEKFNKCIYRSWTVEQFEKKWWKLVDRFELRENAWVHSLFEDRKKWVPTYMQDSFMAGMSTKERSGSITSFFDRHAILVLQVSGVSGIPSHYILKRWTKDAKVRCTVSDGPKRLNYRVQRFNDLCKLAVKLGEEGSLSPEAYHIAFQALEAALKHCVDANNSVRTVSEANMSANHGFNDVEEVNPSSNMAKSSKKKKTYKKRKAQTEQDSGTIRLQDSCQQMMKSRAHNRDNCYVPQQELEGEHGSRSRGLDSYYGAQQSMQGMGQLNSIAPISDGYYCNQQATQGQLHSLPTRVGHYGTQQSMRGMGQLSFRSPTVQSCFDIQGNLQDMEQSAGSSHFHGNASKRLHGKHQTQ >ONI17906 pep chromosome:Prunus_persica_NCBIv2:G3:20046423:20049678:-1 gene:PRUPE_3G186000 transcript:ONI17906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSCERDKLVTGSDKDVNVVDVTDEKNVEEHVNSPTTSEHVKEADGLDANQSACSLQAQDDAKNMGLNAVDEGKRVIQEPQNGLEFESKEEAYSYYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESGTTVNPRLCITKTDCKASLHIKRKDDGKWVVHSFIKEHNHEMCPDDFIYAISGRNKKPATVVCQKKGLQSALGQEDVRVMFEHFMCMQDEDPNFFYAVDFDHEKRLRSVFWIDAKCRHDYSSFCDAVFFDTYYVRNNYRIPFVPIVGVNHHFQYILLGCALMGEETIPAFVWLMQTWLKVVGGQAPRLIITDQDKYLKEAVADVFTDAYHCFCLWHVLTRIPENVGFFIKENEIFMEKFNKCIYRSWTVEQFEKKWWKLVDRFELRENAWVHSLFEDRKKWVPTYMQDSFMAGMSTKERSGSITSFFDRYISQEATVNDFIEQYKGFQKDMYDMEVNAVLETQDKQPGLRSLSPFEKQMATIYTGSVFKKFKVEVLGLASCQLQKDGENEATAIFQVDDLEERQNFTVSWNEAELKVCCSCHSFEYNGFLCRHAILVLQVSGVSGIPSHYILKRWTKDAKVRCTVSDGPKRLNYRVQRFNDLCKLAVKLGEEGSLSPEAYHIAFQALEAALKHCVDANNSVRTVSEANMSANHGFNDVEEVNPSSNMAKSSKKKKTYKKRKAQTEQDSGTIRLQDSCQQMEQMKSRAHNRDNCYVPQQELEGEHGSRSRGLDSYYGAQQSMQGMGQLNSIAPISDGYYCNQQATQGQLHSLPTRVGHYGTQQSMRGMGQLSFRSPTVQSCFDIQGNLQDMEQSAGSSHFHGNASKRLHGKHQTQ >ONI17905 pep chromosome:Prunus_persica_NCBIv2:G3:20046126:20050394:-1 gene:PRUPE_3G186000 transcript:ONI17905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSCERDKLVTGSDKDVNVVDVTDEKNVEEHVNSPTTSEHVKEADGLDANQSACSLQAQDDAKNMGLNAVDEGKRVIQEPQNGLEFESKEEAYSYYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESGTTVNPRLCITKTDCKASLHIKRKDDGKWVVHSFIKEHNHEMCPDDFIYAISGRNKKPATVVCQKKGLQSALGQEDVRVMFEHFMCMQDEDPNFFYAVDFDHEKRLRSVFWIDAKCRHDYSSFCDAVFFDTYYVRNNYRIPFVPIVGVNHHFQYILLGCALMGEETIPAFVWLMQTWLKVVGGQAPRLIITDQDKYLKEAVADVFTDAYHCFCLWHVLTRIPENVGFFIKENEIFMEKFNKCIYRSWTVEQFEKKWWKLVDRFELRENAWVHSLFEDRKKWVPTYMQDSFMAGMSTKERSGSITSFFDRYISQEATVNDFIEQYKGFQKDMYDMEVNAVLETQDKQPGLRSLSPFEKQMATIYTGSVFKKFKVEVLGLASCQLQKDGENEATAIFQVDDLEERQNFTVSWNEAELKVCCSCHSFEYNGFLCRHAILVLQVSGVSGIPSHYILKRWTKDAKVRCTVSDGPKRLNYRVQRFNDLCKLAVKLGEEGSLSPEAYHIAFQALEAALKHCVDANNSVRTVSEANMSANHGFNDVEEVNPSSNMAKSSKKKKTYKKRKAQTEQDSGTIRLQDSCQQMEQMKSRAHNRDNCYVPQQELEGEHGSRSRGLDSYYGAQQSMQGMGQLNSIAPISDGYYCNQQATQGQLHSLPTRVGHYGTQQSMRGMGQLSFRSPTVQSCFDIQGNLQDMSAGSSHFHGNASKRLHGKHQTQ >ONI17900 pep chromosome:Prunus_persica_NCBIv2:G3:20046423:20049678:-1 gene:PRUPE_3G186000 transcript:ONI17900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSCERDKLVTGSDKDVNVVDVTDEKNVEEHVNSPTTSEHVKEADGLDANQSACSLQAQDDAKNMGLNAVDEGKRVIQEPQNGLEFESKEEAYSYYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESGTTVNPRLCITKTDCKASLHIKRKDDGKWVVHSFIKEHNHEMCPDDFIYAISGRNKKPATVVCQKKGLQSALGQEDVRVMFEHFMCMQDEDPNFFYAVDFDHEKRLRSVFWIDAKCRHDYSSFCDAVFFDTYYVRNNYRIPFVPIVGVNHHFQYILLGCALMGEETIPAFVWLMQTWLKVVGGQAPRLIITDQDKYLKEAVADVFTDAYHCFCLWHVLTRIPENVGFFIKENEIFMEKFNKCIYRSWTVEQFEKKWWKLVDRFELRENAWVHSLFEDRKKWVPTYMQDSFMAGMSTKERSGSITSFFDRHAILVLQVSGVSGIPSHYILKRWTKDAKVRCTVSDGPKRLNYRVQRFNDLCKLAVKLGEEGSLSPEAYHIAFQALEAALKHCVDANNSVRTVSEANMSANHGFNDVEEVNPSSNMAKSSKKKKTYKKRKAQTEQDSGTIRLQDSCQQMEQMKSRAHNRDNCYVPQQELEGEHGSRSRGLDSYYGAQQSMQGMGQLNSIAPISDGYYCNQQATQGQLHSLPTRVGHYGTQQSMRGMGQLSFRSPTVQSCFDIQGNLQDMEQSAGSSHFHGNASKRLHGKHQTQ >ONI17903 pep chromosome:Prunus_persica_NCBIv2:G3:20046126:20050394:-1 gene:PRUPE_3G186000 transcript:ONI17903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSCERDKLVTGSDKDVNVVDVTDEKNVEEHVNSPTTSEHVKEADGLDANQSACSLQAQDDAKNMGLNAVDEGKRVIQEPQNGLEFESKEEAYSYYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESGTTVNPRLCITKTDCKASLHIKRKDDGKWVVHSFIKEHNHEMCPDDFIYAISGRNKKPATVVCQKKGLQSALGQEDVRVMFEHFMCMQDEDPNFFYAVDFDHEKRLRSVFWIDAKCRHDYSSFCDAVFFDTYYVRNNYRIPFVPIVGVNHHFQYILLGCALMGEETIPAFVWLMQTWLKVVGGQAPRLIITDQDKYLKEAVADVFTDAYHCFCLWHVLTRIPENVGFFIKENEIFMEKFNKCIYRSWTVEQFEKKWWKLVDRFELRENAWVHSLFEDRKKWVPTYMQDSFMAGMSTKERSGSITSFFDRYISQEATVNDFIEQYKGFQKDMYDMEVNAVLETQDKQPGLRSLSPFEKQMATIYTGSVFKKFKVEVLGLASCQLQKDGENEATAIFQVDDLEERQNFTVSWNEAELKVCCSCHSFEYNGFLCRHAILVLQVSGVSGIPSHYILKRWTKDAKVRCTVSDGPKRLNYRVQRFNDLCKLAVKLGEEGSLSPEAYHIAFQALEAALKHCVDANNSVRTVSEANMSANHGFNDVEEVNPSSNMAKSSKKKKTYKKRKAQTEQDSGTIRLQDSCQQMMKSRAHNRDNCYVPQQELEGEHGSRSRGLDSYYGAQQSMQGMGQLNSIAPISDGYYCNQQATQGQLHSLPTRVGHYGTQQSMRGMGQLSFRSPTVQSCFDIQGNLQDMSAGSSHFHGNASKRLHGKHQTQ >ONI17899 pep chromosome:Prunus_persica_NCBIv2:G3:20046296:20049678:-1 gene:PRUPE_3G186000 transcript:ONI17899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSCERDKLVTGSDKDVNVVDVTDEKNVEEHVNSPTTSEHVKEADGLDANQSACSLQAQDDAKNMGLNAVDEGKRVIQEPQNGLEFESKEEAYSYYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESGTTVNPRLCITKTDCKASLHIKRKDDGKWVVHSFIKEHNHEMCPDDFIYAISGRNKKPATVVCQKKGLQSALGQEDVRVMFEHFMCMQDEDPNFFYAVDFDHEKRLRSVFWIDAKCRHDYSSFCDAVFFDTYYVRNNYRIPFVPIVGVNHHFQYILLGCALMGEETIPAFVWLMQTWLKVVGGQAPRLIITDQDKYLKEAVADVFTDAYHCFCLWHVLTRIPENVGFFIKENEIFMEKFNKCIYRSWTVEQFEKKWWKLVDRFELRENAWVHSLFEDRKKWVPTYMQDSFMAGMSTKERSGSITSFFDRHAILVLQVSGVSGIPSHYILKRWTKDAKVRCTVSDGPKRLNYRVQRFNDLCKLAVKLGEEGSLSPEAYHIAFQALEAALKHCVDANNSVRTVSEANMSANHGFNDVEEVNPSSNMAKSSKKKKTYKKRKAQTEQDSGTIRLQDSCQQMEQMKSRAHNRDNCYVPQQELEGEHGSRSRGLDSYYGAQQSMQGMGQLNSIAPISDGYYCNQQATQGQLHSLPTRVGHYGTQQSMRGMGQLSFRSPTVQSCFDIQGNLQDMSAGSSHFHGNASKRLHGKHQTQ >ONI17907 pep chromosome:Prunus_persica_NCBIv2:G3:20046574:20049678:-1 gene:PRUPE_3G186000 transcript:ONI17907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSCERDKLVTGSDKDVNVVDVTDEKNVEEHVNSPTTSEHVKEADGLDANQSACSLQAQDDAKNMGLNAVDEGKRVIQEPQNGLEFESKEEAYSYYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESGTTVNPRLCITKTDCKASLHIKRKDDGKWVVHSFIKEHNHEMCPDDFIYAISGRNKKPATVVCQKKGLQSALGQEDVRVMFEHFMCMQDEDPNFFYAVDFDHEKRLRSVFWIDAKCRHDYSSFCDAVFFDTYYVRNNYRIPFVPIVGVNHHFQYILLGCALMGEETIPAFVWLMQTWLKVVGGQAPRLIITDQDKYLKEAVADVFTDAYHCFCLWHVLTRIPENVGFFIKENEIFMEKFNKCIYRSWTVEQFEKKWWKLVDRFELRENAWVHSLFEDRKKWVPTYMQDSFMAGMSTKERSGSITSFFDRYISQEATVNDFIEQYKGFQKDMYDMEVNAVLETQDKQPGLRSLSPFEKQMATIYTGSVFKKFKVEVLGLASCQLQKDGENEATAIFQVDDLEERQNFTVSWNEAELKVCCSCHSFEYNGFLCRHAILVLQVSGVSGIPSHYILKRWTKDAKVRCTVSDGPKRLNYRVQRFNDLCKLAVKLGEEGSLSPEAYHIAFQALEAALKHCVDANNSVRTVSEANMSANHGFNDVEEVNPSSNMAKSSKKKKTYKKRKAQTEQDSGTIRLQDSCQQMEQMKSRAHNRDNCYVPQQELEGEHGSRSRGLDSYYGAQQSMQGMGQLNSIAPISDGYYCNQQATQGQLHSLPTRVGHYGTQQSMRGMGQLSFRSPTVQSCFDIQGNLQDMVGV >ONI17901 pep chromosome:Prunus_persica_NCBIv2:G3:20046208:20050340:-1 gene:PRUPE_3G186000 transcript:ONI17901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSCERDKLVTGSDKDVNVVDVTDEKNVEEHVNSPTTSEHVKEADGLDANQSACSLQAQDDAKNMGLNAVDEGKRVIQEPQNGLEFESKEEAYSYYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESGTTVNPRLCITKTDCKASLHIKRKDDGKWVVHSFIKEHNHEMCPDDFIYAISGRNKKPATVVCQKKGLQSALGQEDVRVMFEHFMCMQDEDPNFFYAVDFDHEKRLRSVFWIDAKCRHDYSSFCDAVFFDTYYVRNNYRIPFVPIVGVNHHFQYILLGCALMGEETIPAFVWLMQTWLKVVGGQAPRLIITDQDKYLKEAVADVFTDAYHCFCLWHVLTRIPENVGFFIKENEIFMEKFNKCIYRSWTVEQFEKKWWKLVDRFELRENAWVHSLFEDRKKWVPTYMQDSFMAGMSTKERSGSITSFFDRYISQEATVNDFIEQYKGFQKDMYDMEVNAVLETQDKQPGLRSLSPFEKQMATIYTGSVFKKFKVEVLGLASCQLQKDGENEATAIFQVDDLEERQNFTVSWNEAELKVCCSCHSFEYNGFLCRHAILVLQVSGVSGIPSHYILKRWTKDAKVRCTVSDGPKRLNYRVQRFNDLCKLAVKLGEEGSLSPEAYHIAFQALEAALKHCVDANNSVRTVSEANMSANHGFNDVEEVNPSSNMAKSSKKKKTYKKRKAQTEQDSGTIRLQDSCQQMEHGSRSRGLDSYYGAQQSMQGMGQLNSIAPISDGYYCNQQATQGQLHSLPTRVGHYGTQQSMRGMGQLSFRSPTVQSCFDIQGNLQDMSAGSSHFHGNASKRLHGKHQTQ >ONI17902 pep chromosome:Prunus_persica_NCBIv2:G3:20046423:20049678:-1 gene:PRUPE_3G186000 transcript:ONI17902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSCERDKLVTGSDKDVNVVDVTDEKNVEEHVNSPTTSEHVKEADGLDANQSACSLQAQDDAKNMGLNAVDEGKRVIQEPQNGLEFESKEEAYSYYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESGTTVNPRLCITKTDCKASLHIKRKDDGKWVVHSFIKEHNHEMCPDDFIYAISGRNKKPATVVCQKKGLQSALGQEDVRVMFEHFMCMQDEDPNFFYAVDFDHEKRLRSVFWIDAKCRHDYSSFCDAVFFDTYYVRNNYRIPFVPIVGVNHHFQYILLGCALMGEETIPAFVWLMQTWLKVVGGQAPRLIITDQDKYLKEAVADVFTDAYHCFCLWHVLTRIPENVGFFIKENEIFMEKFNKCIYRSWTVEQFEKKWWKLVDRFELRENAWVHSLFEDRKKWVPTYMQDSFMAGMSTKERSGSITSFFDRYISQEATVNDFIEQYKGFQKDMYDMEVNAVLETQDKQPGLRSLSPFEKQMATIYTGSVFKKFKVEVLGLASCQLQKDGENEATAIFQVDDLEERQNFTVSWNEAELKVCCSCHSFEYNGFLCRHAILVLQVSGVSGIPSHYILKRWTKDAKVRCTVSDGPKRLNYRVQRFNDLCKLAVKLGEEGSLSPEAYHIAFQALEAALKHCVDANNSVRTVSEANMSANHGFNDVEEVNPSSNMAKSSKKKKTYKKRKAQTEQDSGTIRLQDSCQQMEHGSRSRGLDSYYGAQQSMQGMGQLNSIAPISDGYYCNQQATQGQLHSLPTRVGHYGTQQSMRGMGQLSFRSPTVQSCFDIQGNLQDMEQSAGSSHFHGNASKRLHGKHQTQ >ONI17904 pep chromosome:Prunus_persica_NCBIv2:G3:20046423:20049678:-1 gene:PRUPE_3G186000 transcript:ONI17904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSCERDKLVTGSDKDVNVVDVTDEKNVEEHVNSPTTSEHVKEADGLDANQSACSLQAQDDAKNMGLNAVDEGKRVIQEPQNGLEFESKEEAYSYYREYARSVGFGITIKASRRSKKSGKFIDIKIACSRFGSKRESGTTVNPRLCITKTDCKASLHIKRKDDGKWVVHSFIKEHNHEMCPDDFIYAISGRNKKPATVVCQKKGLQSALGQEDVRVMFEHFMCMQDEDPNFFYAVDFDHEKRLRSVFWIDAKCRHDYSSFCDAVFFDTYYVRNNYRIPFVPIVGVNHHFQYILLGCALMGEETIPAFVWLMQTWLKVVGGQAPRLIITDQDKYLKEAVADVFTDAYHCFCLWHVLTRIPENVGFFIKENEIFMEKFNKCIYRSWTVEQFEKKWWKLVDRFELRENAWVHSLFEDRKKWVPTYMQDSFMAGMSTKERSGSITSFFDRYISQEATVNDFIEQYKGFQKDMYDMEVNAVLETQDKQPGLRSLSPFEKQMATIYTGSVFKKFKVEVLGLASCQLQKDGENEATAIFQVDDLEERQNFTVSWNEAELKVCCSCHSFEYNGFLCRHAILVLQVSGVSGIPSHYILKRWTKDAKVRCTVSDGPKRLNYRVQRFNDLCKLAVKLGEEGSLSPEAYHIAFQALEAALKHCVDANNSVRTVSEANMSANHGFNDVEEVNPSSNMAKSSKKKKTYKKRKAQTEQDSGTIRLQDSCQQMMKSRAHNRDNCYVPQQELEGEHGSRSRGLDSYYGAQQSMQGMGQLNSIAPISDGYYCNQQATQGQLHSLPTRVGHYGTQQSMRGMGQLSFRSPTVQSCFDIQGNLQDMEQSAGSSHFHGNASKRLHGKHQTQ >ONI18374 pep chromosome:Prunus_persica_NCBIv2:G3:21645890:21647632:-1 gene:PRUPE_3G211700 transcript:ONI18374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFKAFKASVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQNLENHRALRPPLVINHLPASASGSS >ONI18371 pep chromosome:Prunus_persica_NCBIv2:G3:21645892:21647618:-1 gene:PRUPE_3G211700 transcript:ONI18371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFKAFKASVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQNLENHRALRPPLVINHLPASASGSS >ONI18375 pep chromosome:Prunus_persica_NCBIv2:G3:21646178:21646593:-1 gene:PRUPE_3G211700 transcript:ONI18375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFKAFKASVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQNLENHRALRPPLVINHLPASASGSS >ONI18370 pep chromosome:Prunus_persica_NCBIv2:G3:21645881:21647618:-1 gene:PRUPE_3G211700 transcript:ONI18370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFKAFKASVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQNLENHRALRPPLVINHLPASASGSS >ONI18373 pep chromosome:Prunus_persica_NCBIv2:G3:21645892:21647373:-1 gene:PRUPE_3G211700 transcript:ONI18373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFKAFKASVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQNLENHRALRPPLVINHLPASASGSS >ONI18372 pep chromosome:Prunus_persica_NCBIv2:G3:21645865:21647655:-1 gene:PRUPE_3G211700 transcript:ONI18372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFKAFKASVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQNLENHRALRPPLVINHLPASASGSS >ONI15108 pep chromosome:Prunus_persica_NCBIv2:G3:1924585:1928922:-1 gene:PRUPE_3G026200 transcript:ONI15108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTYITGHLNTVFPAEHRREILRYIYYHQNQDGGWGLHIEGHSTMFGTALSYICMRILGDGPDGGQDNACARARKWILDHGSVTHMPSWGKTWLSILGVFEWSGSNPMPPEFWMLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFIGPITPLILQLREELYAQPYHEINWKGVRHLCAKEDIYYPHPWIQDIMWDSLYICTEPLLNRWPFNKLIREKALQVTMKHIHYEDENSRYITIGCVEKVLCMLACWAEDPNGDYFKKHLARIPDYLWVAEDGMKMQSFGSQQWDTGFAIQALLASNLTDEIGPTLARGHDFIKKSQVKDNPSGDFKSMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLFSMMRPEIIGEKMEPERLYDSVNVLLSLQSKNGGLAAWEPAGAADWLEMLNPTEFFEDIVVEHEYVDCTSSAIQALVLFKKLYPGHRKKEIDHFITNATEYLENIQMPDGSWYGSWGVCFTYGSWFALGGLAAAGKTFNNCLAMRKGVNFLLKTQRENGGWGESYLSCPKKEYVPLEGNRSNLVHTAWAMMGLIHAGQADRDPARLHRAAKLIINSQMENGDFPQQEITGVFQKNCMLHYATYRNIYPLWALAEYRKQVPLPSKP >ONI15107 pep chromosome:Prunus_persica_NCBIv2:G3:1924585:1930665:-1 gene:PRUPE_3G026200 transcript:ONI15107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVADGGNDPYIYSTNDFVGRQIFEFDAEAGTPEERAEVEEARLNFYNNRYQVKPSGDLLWRMQFLKEKNFKQTIPPVKVEDGEEITYEKATASLRRSVHFFSALQASDGHWPAENAGPLFFLPPLVMCTYITGHLNTVFPAEHRREILRYIYYHQNQDGGWGLHIEGHSTMFGTALSYICMRILGDGPDGGQDNACARARKWILDHGSVTHMPSWGKTWLSILGVFEWSGSNPMPPEFWMLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFIGPITPLILQLREELYAQPYHEINWKGVRHLCAKEDIYYPHPWIQDIMWDSLYICTEPLLNRWPFNKLIREKALQVTMKHIHYEDENSRYITIGCVEKVLCMLACWAEDPNGDYFKKHLARIPDYLWVAEDGMKMQSFGSQQWDTGFAIQALLASNLTDEIGPTLARGHDFIKKSQVKDNPSGDFKSMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLFSMMRPEIIGEKMEPERLYDSVNVLLSLQSKNGGLAAWEPAGAADWLEMLNPTEFFEDIVVEHEYVDCTSSAIQALVLFKKLYPGHRKKEIDHFITNATEYLENIQMPDGSWYGSWGVCFTYGSWFALGGLAAAGKTFNNCLAMRKGVNFLLKTQRENGGWGESYLSCPKKEYVPLEGNRSNLVHTAWAMMGLIHAGQADRDPARLHRAAKLIINSQMENGDFPQQEITGVFQKNCMLHYATYRNIYPLWALAEYRKQVPLPSKP >ONI15106 pep chromosome:Prunus_persica_NCBIv2:G3:1924585:1930652:-1 gene:PRUPE_3G026200 transcript:ONI15106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVADGGNDPYIYSTNDFVGRQIFEFDAEAGTPEERAEVEEARLNFYNNRYQVKPSGDLLWRMQFLKEKNFKQTIPPVKVEDGEEITYEKATASLRRSVHFFSALQASDGHWPAENAGPLFFLPPLVMCTYITGHLNTVFPAEHRREILRYIYYHQNQDGGWGLHIEGHSTMFGTALSYICMRILGDGPDGGQDNACARARKWILDHGSVTHMPSWGKTWLSILGVFEWSGSNPMPPEFWMLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFIGPITPLILQLREELYAQPYHEINWKGVRHLCAKEDIYYPHPWIQDIMWDSLYICTEPLLNRWPFNKLIREKALQVTMKHIHYEDENSRYITIGCVEKVLCMLACWAEDPNGDYFKKHLARIPDYLWVAEDGMKMQSFGSQQWDTGFAIQALLASNLTDEIGPTLARGHDFIKKSQVKDNPSGDFKSMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLFSMMRPEIIGEKMEPERLYDSVNVLLSLQSKNGGLAAWEPAGAADWLEMLNPTEFFEDIVVEHEYVDCTSSAIQALVLFKKLYPGHRKKEIDHFITNATEYLENIQMPDGSWYGSWGVCFTYGSWFALGGLAAAGKTFNNCLAMRKGVNFLLKTQRENGGWGESYLSCPKKEYVPLEGNRSNLVHTAWAMMGLIHAGQADRDPARLHRAAKLIINSQMENGDFPQQEITGVFQKNCMLHYATYRNIYPLWALAEYRKQVPLPSKP >ONI15109 pep chromosome:Prunus_persica_NCBIv2:G3:1926225:1930652:-1 gene:PRUPE_3G026200 transcript:ONI15109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVADGGNDPYIYSTNDFVGRQIFEFDAEAGTPEERAEVEEARLNFYNNRYQVKPSGDLLWRMQFLKEKNFKQTIPPVKVEDGEEITYEKATASLRRSVHFFSALQASDGHWPAENAGPLFFLPPLVMCTYITGHLNTVFPAEHRREILRYIYYHQNQDGGWGLHIEGHSTMFGTALSYICMRILGDGPDGGQDNACARARKWILDHGSVTHMPSWGKTWLSILGVFEWSGSNPMPPEFWMLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFIGPITPLILQLREELYAQPYHEINWKGVRHLCAKEDIYYPHPWIQDIMWDSLYICTEPLLNRWPFNKLIREKALQVTMKHIHYEDENSRYITIGCVEKVLCMLACWAEDPNGDYFKKHLARIPDYLWVAEDGMKMQSFGSQQWDTGFAIQALLASNLTDEIGPTLARGHDFIKKSQVKDNPSGDFKSMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLFSMMRPEIIGEKMEPERLYDSVNVLLSLQSKNGGLAAWEPAGAADWLEIC >ONI18354 pep chromosome:Prunus_persica_NCBIv2:G3:21602974:21608249:-1 gene:PRUPE_3G211000 transcript:ONI18354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVCVLGHNLAQYNRPRNSGLKNGSYSSVHSAGQSSPCKGLNFFSALPSLKARRRKWWLEGQSNCWCSLKCVVGCVGMSMGSDSTWVGKKPLRRIGGMSDALSIASDLGFSVAAPPTQEELQNLSTTTGEKGDDLIKVLRELTSVQRKIADLQVELQGRKDDKNVAHLTHASEMEKKCETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVADFQWSQTFKEPPSVWGEMLRPIPVALASCTRFFEAMSAMRESFSTLQHLRVGHSDASSPITPGKDSSRRVPGDSECVTPPPWRTEPSFDDLAIRSSRRPEVEHREADDENSEGDGTSHRRLSWPPSVKKSGV >ONI18355 pep chromosome:Prunus_persica_NCBIv2:G3:21603681:21608249:-1 gene:PRUPE_3G211000 transcript:ONI18355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVCVLGHNLAQYNRPRNSGLKNGSYSSVHSAGQSSPCKGLNFFSALPSLKARRRKWWLEGQSNCWCSLKCVVGCVGMSMGSDSTWVGKKPLRRIGGMSDALSIASDLGFSVAAPPTQEELQNLSTTTGEKGDDLIKVLRELTSVQRKIADLQVELQGRKDDKNVAHLTHASEMEKKCETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVADFQWSQTFKEPPSVWGKPNIKDLINGFMRLYDLP >ONI17276 pep chromosome:Prunus_persica_NCBIv2:G3:16356259:16359565:-1 gene:PRUPE_3G149800 transcript:ONI17276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCPCRRTKEGDEDGGSDGGGDFVSVSVAGYFDDDGWTSWGTLSFWCCYCHLFLHRHWLQSPCQDPLIAQEAGRYAIWLIPSLFAYAVLQSLVRFFLAQGLIFPMPLSSSLVLLLHIPLCWALVFHLGLGNIGAALSTGLCNWLNTIILLTYLKYSSTCEKTRVHFSTDVFLSIKKFFVLAIPSAVMVCLEWWSFELLILLSGLLPNSKLETSVLSICLTTTSLHYFIPYSVGAAASNRISNELGADNPRVARVVVWAAMVLAVTEAVIVSTILLCCRSVWGYVYSNDMEVVNYVKRLAPLLSLSLFADSLQAVLSGVARGCGMQRIGAYINLCAYYIAGIPVAVVLGFLLHLRGMGLWIGMMTGSSVPALLFILITSFINWPKQATMARERIFRFQQAN >ONI17279 pep chromosome:Prunus_persica_NCBIv2:G3:16357054:16358597:-1 gene:PRUPE_3G149800 transcript:ONI17279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLILLGQDPLIAQEAGRYAIWLIPSLFAYAVLQSLVRFFLAQGLIFPMPLSSSLVLLLHIPLCWALVFHLGLGNIGAALSTGLCNWLNTIILLTYLKYSSTCEKTRVHFSTDVFLSIKKFFVLAIPSAVMVCLEWWSFELLILLSGLLPNSKLETSVLSICLTTTSLHYFIPYSVGAAASNRISNELGADNPRVARVVVWAAMVLAVTEAVIVSTILLCCRSVWGYVYSNDMEVVNYVKRLAPLLSLSLFADSLQAVLSGLHFQE >ONI17278 pep chromosome:Prunus_persica_NCBIv2:G3:16356253:16359565:-1 gene:PRUPE_3G149800 transcript:ONI17278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCPCRRTKEGDEDGGSDGGGDFVSVSVAGYFDDDGWTSWGTLSFWCCYCHLFLHRHWLQSPCQDPLIAQEAGRYAIWLIPSLFAYAVLQSLVRFFLAQGLIFPMPLSSSLVLLLHIPLCWALVFHLGLGNIGAALSTGLCNWLNTIILLTYLKYSSTCEKTRVHFSTDVFLSIKKFFVLAIPSAVMVCLEWWSFELLILLSGLLPNSKLETSVLSICLTTTSLHYFIPYSVGAAASNRISNELGADNPRVARVVVWAAMVLAVTEAVIVSTILLCCRSVWGYVYSNDMEVVNYVKRLAPLLSLSLFADSLQAVLSGLHFQE >ONI17277 pep chromosome:Prunus_persica_NCBIv2:G3:16356603:16358597:-1 gene:PRUPE_3G149800 transcript:ONI17277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLILLGQDPLIAQEAGRYAIWLIPSLFAYAVLQSLVRFFLAQGLIFPMPLSSSLVLLLHIPLCWALVFHLGLGNIGAALSTGLCNWLNTIILLTYLKYSSTCEKTRVHFSTDVFLSIKKFFVLAIPSAVMVCLEWWSFELLILLSGLLPNSKLETSVLSICLTTTSLHYFIPYSVGAAASNRISNELGADNPRVARVVVWAAMVLAVTEAVIVSTILLCCRSVWGYVYSNDMEVVNYVKRLAPLLSLSLFADSLQAVLSGVARGCGMQRIGAYINLCAYYIAGIPVAVVLGFLLHLRGMGLWIGMMTGSSVPALLFILITSFINWPKQATMARERIFRFQQAN >ONI17280 pep chromosome:Prunus_persica_NCBIv2:G3:16357054:16358597:-1 gene:PRUPE_3G149800 transcript:ONI17280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLILLGQDPLIAQEAGRYAIWLIPSLFAYAVLQSLVRFFLAQGLIFPMPLSSSLVLLLHIPLCWALVFHLGLGNIGAALSTGLCNWLNTIILLTYLKYSSTCEKTRVHFSTDVFLSIKKFFVLAIPSAVMVCLEWWSFELLILLSGLLPNSKLETSVLSICLTTTSLHYFIPYSVGAAASNRISNELGADNPRVARVVVWAAMVLAVTEAVIVSTILLCCRSVWGYVYSNDMEVVNYVKRLAPLLSLSLFADSLQAVLSGLHFQE >ONI17866 pep chromosome:Prunus_persica_NCBIv2:G3:19893463:19897582:1 gene:PRUPE_3G183700 transcript:ONI17866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYLSLRHRSFTYLNRHLTSSPFSTTTSKLPTLYEPAAAATQNDDVPTTTLQLLSWGRGASGQLGGGIEELRLYPTPVANLVVPASSFTLSPTQGRIQIPNCSETKNNAHGSVVEVGISCGLFHSSLLVDEKLWIWGKGDGGRLGFGHENSLFLPTLNPHLDSVRFVALGGLHSVALTSLGQVYTWGYGGFGALGHSVYTRELFPRLVEGSWSGKIHHISTSGTHTAAITKSANSNYELGRGNKVGGWQPKPVPSLVGVRIIQIESGGYHSLALTDEGKVLSWGHGGHGQLGNSSIQSQKTPTVVEALTDERVIYIACGGSSSAAITDKGKLYMWGNTKDSQLGVPGLPEIQPSPVEVKFLMEDDGLGPHNVLSVAVGASHAMCLVSRDGMSN >ONI17865 pep chromosome:Prunus_persica_NCBIv2:G3:19893463:19897582:1 gene:PRUPE_3G183700 transcript:ONI17865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYLSLRHRSFTYLNRHLTSSPFSTTTSKLPTLYEPAAAATQNDDVPTTTLQLLSWGRGASGQLGGGIEELRLYPTPVANLVVPASSFTLSPTQGRIQIPNCSETKNNAHGSVVEVGISCGLFHSSLLVDEKLWIWGKGDGGRLGFGHENSLFLPTLNPHLDSVRFVALGGLHSVALTSLGQVYTWGYGGFGALGHSVYTRELFPRLVEGSWSGKIHHISTSGTHTAAITKSGELYTWGRDEGDGRLGLGPNRGPNEGGGLSIPSKVKALPVPAAAVSCGGFFTMVLTEDGELWNWGANSNYELGRGNKVGGWQPKPVPSLVGVRIIQIESGGYHSLALTDEGKVLSWGHGGHGQLGNSSIQSQKTPTVVEALTDERVIYIACGGSSSAAITDKGKLYMWGNTKDSQLGVPGLPEIQPSPVEVKFLMEDDGLGPHNVLSVAVGASHAMCLVSRDGMSN >ONI18959 pep chromosome:Prunus_persica_NCBIv2:G3:23962097:23966009:-1 gene:PRUPE_3G249400 transcript:ONI18959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSNRGKLYEFCSSSSSILKTLERYQKCSYGQVEVNKPAKELESSYREYLKLKGRFESLQRTQRNLLGEDLGPLNTKELEQLERQLESSLKQVRSTKTQYMLDQLSDLQNKEQMLIEANRDLSLKLDDISSRNQIRQSWEGGNQGGMAYGSQHAQSQGFFQPLDCNPTLQIGYSNVGSEQMSATTHAQQVNGFIPGWML >ONI18958 pep chromosome:Prunus_persica_NCBIv2:G3:23961851:23966501:-1 gene:PRUPE_3G249400 transcript:ONI18958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSNRGKLYEFCSSSSILKTLERYQKCSYGQVEVNKPAKELEQSSYREYLKLKGRFESLQRTQRNLLGEDLGPLNTKELEQLERQLESSLKQVRSTKTQYMLDQLSDLQNKEQMLIEANRDLSLKLDDISSRNQIRQSWEGGNQGGMAYGSQHAQSQGFFQPLDCNPTLQIGYSNVGSEQMSATTHAQQVNGFIPGWML >ONI18960 pep chromosome:Prunus_persica_NCBIv2:G3:23962097:23966009:-1 gene:PRUPE_3G249400 transcript:ONI18960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSNRGKLYEFCSSSSSILKTLERYQKCSYGQVEVNKPAKELEQSSYREYLKLKGRFESLQRTQRNLLGEDLGPLNTKELEQLERQLESSLKQVRSTKTQYMLDQLSDLQNKEQMLIEANRDLSLKLDDISSRNQIRQSWEGGNQGGMAYGSQHAQSQGFFQPLDCNPTLQIGYSNVGSEQMSATTHAQQVNGFIPGWML >ONI18957 pep chromosome:Prunus_persica_NCBIv2:G3:23961851:23966503:-1 gene:PRUPE_3G249400 transcript:ONI18957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSNRGKLYEFCSSSSILKTLERYQKCSYGQVEVNKPAKELESSYREYLKLKGRFESLQRTQRNLLGEDLGPLNTKELEQLERQLESSLKQVRSTKTQYMLDQLSDLQNKEQMLIEANRDLSLKLDDISSRNQIRQSWEGGNQGGMAYGSQHAQSQGFFQPLDCNPTLQIGYSNVGSEQMSATTHAQQVNGFIPGWML >ONI17129 pep chromosome:Prunus_persica_NCBIv2:G3:14740340:14746666:-1 gene:PRUPE_3G139700 transcript:ONI17129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRDLRPFVQHLLSWGRLRSSKLPVVMGSPDSSCPSVLPPLDMAVILPDSQPSTRLHDPLLPWLWSIKQALEDWNSGNDSGSDLTKLLSDCIAEFKDNDQYRDDIRFLKIWFFYMDFSEDFESVFQKMWESKICVGNYLLYVWYESFLESKGKLYDASMVYQMGISRNAKPVEWVKKVHALFLERMSELVSSAQTSGNDESIPFGILINPWSSSTMKVL >ONI17130 pep chromosome:Prunus_persica_NCBIv2:G3:14740559:14746275:-1 gene:PRUPE_3G139700 transcript:ONI17130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYQRRSIKQALEDWNSGNDSGSDLTKLLSDCIAEFKDNDQYRDDIRFLKIWFFYMDFSEDFESVFQKMWESKICVGNYLLYVWYESFLESKGKLYDASMVYQMGISRNAKPVEWVKKVHALFLERMSELVSSAQTSGNDESIPFGILINPWSSSTMKVL >ONI15493 pep chromosome:Prunus_persica_NCBIv2:G3:3279847:3281237:1 gene:PRUPE_3G045700 transcript:ONI15493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFRSKSCRDGRMEVYYGGKAAPTSMQDLRSFSTNYAGSCVSQPNHQMVKDVKIKKGKSSLGSTSRSWSLTDPELQRKKRVAGYKVYTAEEKMKGSLRKSFRWIKNTYTQVVYGWK >ONI14808 pep chromosome:Prunus_persica_NCBIv2:G3:653401:656614:1 gene:PRUPE_3G010300 transcript:ONI14808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSRSVVQHCEGLPLALQVLGSSLFGKSVDLWQSALQKLHVIPDDKIQKILRISFDSLKDDHDRNLFLHIVCFFIEKKMGYTITVLDNLNFYTRIGIQNLVDRCLVEIDIDNRLIVYQLLRDMGRAIIREESPEDPGKRSRVWHKDSSDVLRKLTQGTETIKGLMLNLPSEAIFSTSNQKRRHVEDFDGNCSRRRRLGYSWISINSSSTNSTAASNEVDFKAEAFRRMHNLELLLLENVKVSGDYEDFPKKLIWLCWRGFPLKSIPEKFYLENLVGLDLRNSNLQHVWKGTRFLLGLKILNLSHSHSLVTTPDLSGVPNLEKLILKDCINLVVIDESLGNLEKLIFLNLKDCRSLMKLPTRITMLRSLQELDLSGCSKLVLHTSTTAANHLHFTTRVRKKLNMLSEKIWQSTWSWRSWVSTRNKLELASLSMEIWPNCLGTLSLADCNLSEIPGDLSILSLLKHLNLSRNPILRLPENMNGLIMLQTLEIQGCAKLRTLPKLPRSLRKLHASYCTSLERITSLPNMFESLDSSLWKCKKLVEVQSLFDIKPLKRVDIEMIRDMGLFNLESTGVGTEVEMTNYLTCTTRNGPLQGLYECGIFSIFVHGNKIPDRFTYRSMGKSVLSIILPSHFNLKIRGLNICVMHSRCPFWFSSRNFLKVSNETKGLKWTYCPVAAGLPKKNQDMLWLSHWRFENDELEEGEQVHVSINEEFSFWAKEFCIQLVYEKDPSNSEDIIIQQETPPSSQIAAVGNVSASASKYQFWTGKYFLCNHRARIHQHQFSRRQTNPSYLEHYKPETDTFHYLFDQDVHPQVNHSIYSEES >ONI17448 pep chromosome:Prunus_persica_NCBIv2:G3:17849284:17851813:1 gene:PRUPE_3G159900 transcript:ONI17448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMLKFTVQVSKPELIRPEIPTPREFKYLSNIDDQKGLRNHIPFVHFYPPSTASSQTHDQDPVGLIKQALARALVYYYPVAGRLRHADKGKLVVDCCGEGVIFREGNADIKLAQLREADGGLKPPFPQWESLLVDDLWGSVLITDSPLLRMQVTRLACGGFVLAYTLNHCICDAYGAYILIKAISEFCLNPTQAAPSLLPAWGRQALHPRSPPTISYPHHEYDVTSSSPHDPEDPTAAYTETDFKSLAQTSVFLSRADISSLKNQTYSQKSPAFHAIAWCLWRARTRTLMSPQSTTRLLFPIDTRFQSTPSLPEGYYGSAVVFACAIAKAGELVDSPLHYASNLISQVKKVVTEIEYRASMLDFIEMNRRREFVADGGFAVSDMSKLRFVDLDFGWGKGVYGGPGRAGTGLVPGMVTSVIGHKNEEGVEGVLALVSLPAEYVEKFRKEVRKEIDCGNSYAKHISVL >ONI19363 pep chromosome:Prunus_persica_NCBIv2:G3:25367522:25369548:1 gene:PRUPE_3G274800 transcript:ONI19363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKSNVKRGIWTAAEDAKLLAYISKHGVGNWTLVPKKAGLNRCGKSCRLRWTNYLRPDLIHDSFTPQEEEHIINLHEAIGSRWSLIAKLLPGRTDNDVKNYWNTKLKKKLSKMGIDPVTHKPFSQILSDYGNISSLPSIDNQFGSFLKNLNNTFVPKSEPSSGITGLPLGVNTYSNMMMNPIGSDNSTASTLSLGIVAQFQEINQDSVQVQPHFLNEVASSCSSSSPPHATDHQLSSQPTYLCQQSHHEAQITPSSSFNWSEFLLHEPFSSADELKQEQDFHGTMMSSSSALPTLAGGSEHNLKATTQACDFGSSAINIGGQRNNNLAHDQASSSSMTSFVDTILGQDSEMQAAFPELLDASFDY >ONI19884 pep chromosome:Prunus_persica_NCBIv2:G3:26675425:26676502:-1 gene:PRUPE_3G303200 transcript:ONI19884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNQSANVDLFDAYLRRADLDRDGRISGNEAVALFQASGLPQPVLAQEEEASERKSVVALYLQCLAAHKFTG >ONI18152 pep chromosome:Prunus_persica_NCBIv2:G3:20905050:20906110:1 gene:PRUPE_3G199900 transcript:ONI18152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEGDRSEPEKLIDFSSNRFEGEIPAGVIGNLRGLLFLNFSNNALTGLIPSSLWNLTALESLDLSQNQLSRGIPNNLAQLTFLEYFNVSYNHLWGLIPLGQQFGTLVEVSYQGNSGLCGKPLSKKCDSSISLPPSIFEEDEDSGFQIALDWYVVLPG >ONI19428 pep chromosome:Prunus_persica_NCBIv2:G3:25580821:25582850:-1 gene:PRUPE_3G279600 transcript:ONI19428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKATLLLATVLLVTARVSSDDHPEKNAKFWGPPSAPTRKKDGKKDSDESFFPGGGVIPPFVPVPPVFPIVPPVPISGGGTRSPSTTGPPPSTKPSPSPDTKTPNNPRQCTCAPPVPVVKNPNPNPQIKAPPAPPSPIKSPSTPPSPLPKRATAPPLPPKNKEPPPPKKKAPPPPPPSDEEEGAPEEAPAPSPKPLGKAPPPIPLAKAPLPPPPPKIKAVPAPSSKPCPKAPPPKPLVDAPAPKPNRVPKAPAPRPNRVPKVPAAQPPQKGPRRPNGGRRAPAPKMDRLRKAPAPEPLVDEPAPILLR >ONI19429 pep chromosome:Prunus_persica_NCBIv2:G3:25580828:25582850:-1 gene:PRUPE_3G279600 transcript:ONI19429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKATLLLATVLLVTARVSSDDHPEKNAKFWGLFPWEDWDEPPFNIPIPIPTQSPPPPRSPPPPAKAPAPVPPPAKAPAPAPVYPPPSAPTRKKDGKKDSDESFFPGGGVIPPFVPVPPVFPIVPPVPISGGGTRSPSTTGPPPSTKPSPSPDTKTPNNPRQCTCAPPVPVVKNPNPNPQIKAPPAPPSPIKSPSTPPSPLPKRATAPPLPPKNKEPPPPKKKAPPPPPPSDEEEGAPEEAPAPSPKPLGKAPPPIPLAKAPLPPPPPKIKAVPAPSSKPCPKAPPPKPLVDAPAPKPNRVPKAPAPRPNRVPKVPAAQPPQKGPRRPNGGRRAPAPKMDRLRKAPAPEPLVDEPAPILLR >ONI17414 pep chromosome:Prunus_persica_NCBIv2:G3:17623939:17631253:1 gene:PRUPE_3G157600 transcript:ONI17414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSFVFEPPSDEEYFEEEQGLRDGNFQSSWDFASYFEIVSQEHACGSTTSVDFKITKALQQQLILHHSAADEDDEAISSECESDKQEDYKQPESDEEEDQEEGDNDCNAPSNGNNNKPLLRACDELDHTKPTPIQPEDEEEGDDDSPPNIADDNNQPFFAPSEGMSFHANSFIELNLSRPLLRACEKLGHTKPTPIQTACIPLALAGRDICGSAITGSGKTAAFALPTLERLLFRPKRVPAIRVLVLTPTRELGLQVLSMIEKLAQFTDIRCCQAVGGLPLKAQEAALRSKPDIVVATPGRIIDHLHNSMSVDLEDLAVLILDEADRLLEVGFSAEIRELIRLCPKRRQTMLFSATMTTEVDELVKLSLSKPLRLSADPSAKRPVALTEEVVRIRRMREVNKEAVLLALCSKTFTSRVIIFSGTKLAAHRLKILFGLAGFKAAELHGNLSQDQRLHALELFRKQNVDFLIATDLVSRGIDIAGVQTVINYECPTDLTSYVHRVGRTARAGREGYAVTFVTDNDRSLLKTIAKRAGSKLKSRIVAEQSIIKWSQIIEQMEDQVAAILLEEREEQALRKAEMEATKAENMIAHKDEIYSRRKKTWFQTEKEKKMVVNAAKASLGREKHSGNEVISAQQAEDLKMKVKRKQEREKNLPRKMRRELEAAREMLRG >ONI16490 pep chromosome:Prunus_persica_NCBIv2:G3:7902701:7905279:1 gene:PRUPE_3G101700 transcript:ONI16490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVMVSIRESTMVRPAEESTPRGSLWLSNSDLAFPPFHTSSVYFYKSSGEHNFFDKGVLKQALGKALVPFYPMAGRFKLNDQNGRVEIDCNAEGVVFVVAESSSAVDDFGDFAPTPDFLTLIPTIDYSGGISSYPILALQITYFKCGGVSLGVGMDHRVADGVSGLHFVNAWSDIARGDLSNIKPPFMDRTLLRARDPPQPAFPHIEYQPSPQMKPSDNLKSTSNITTSIFKLTRKQLNILKDKSKKDGGNNINTINYSSFEMLAGHIWRCACKARKLPDDQDTKLLIATDARSRLQPPLPPYFFGNAVFRTTPIAVAGDLQSKPTWYATSFVHDALVRMDDDYFRSVLDYLELHHPCLSELITGPPSICCPNLRINSWARMPIHDADFGWGRPIFMGPGAIPFDGMSFLLPSATNDGSLSLVISLKSEDMKSFSTLLYDI >ONI17608 pep chromosome:Prunus_persica_NCBIv2:G3:18828445:18831322:1 gene:PRUPE_3G169300 transcript:ONI17608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALKLLKQLRGPSTTTSTILYRNLRPRFSNLRSTIRLFSDPPLSEPLTIPPHQKPHSPSPSSLNQYELAKFAAIAETWWDSEGPFKPLHQLNPTRLAFIRSTLCRHFGRDPLSPRPFEGLKFVDVGCGGGIVSEPLARLGATVMGVDAVEKNIKIARLHADLDPLTSSIEYRCTTAEKLVEEQKNFDAVFALEVIEHVADPAEFCKSLAALTVPGGATVISTINRSMRAYATAIVAAEYLLHWLPKGTHQWSSFLTPEELALILERASISVQEMAGFVYNPLTGRWSLSDDVNVNFIAYATKIKTANL >ONI18457 pep chromosome:Prunus_persica_NCBIv2:G3:21942882:21950028:1 gene:PRUPE_3G217300 transcript:ONI18457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYINTASSSASSLLRASRARLFSSSSSSSPISRTFAGSPLKPSHHHFASHRFLSSSSAVRSFSRWSHGGVHWRSPYTLRSQIRAVAPVIEQFQRKMASMASENPFKANLTSLPKPGGGEFGKFYSLPSLNDPRIDRLPYSIRILLESAIRNCDNFQVKKEDVEKILDWEKTAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSDKINPLVPVDLVIDHSVQVDVAGSANAVQANMDLEFQRNRERFAFLKWGSNAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLSGKLNNGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSEETVSMIESYLRANKLFVDYNEPQSERVYSSYLELNLSEVEPCMSGPKRPHDRVPLKDMKVDWHACLDNKVGFKGFAIPKEVQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKASELGLQVKPWVKTSLAPGSGVVTKYLLKSGLQKYFDQQGFHIVGYGCTTCIGNSGDLDETVASAIAENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSVYFRDIWPSTEEIAEVVQSSVLPDMFRSTYESITKGNPTWNELSVTDSKLYSWDPNSTYIHEPPYFKGMTMDPPGAKGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKLLNGEVGPKTVHIPTGEKLYVFDAATRYKADGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIVPLCFKAGEDADTLGLTGHERYTIDLPSSISEIKPGQDVTVTTDNGKSFTCTVRFDTEVELAYFNHGGILHYVIRNLSKQ >ONI18458 pep chromosome:Prunus_persica_NCBIv2:G3:21943029:21950018:1 gene:PRUPE_3G217300 transcript:ONI18458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAVVDLACMRDAMNKLGSDSDKINPLVPVDLVIDHSVQVDVAGSANAVQANMDLEFQRNRERFAFLKWGSNAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLSGKLNNGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSEETVSMIESYLRANKLFVDYNEPQSERVYSSYLELNLSEVEPCMSGPKRPHDRVPLKDMKVDWHACLDNKVGFKGFAIPKEVQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKASELGLQVKPWVKTSLAPGSGVVTKYLLKSGLQKYFDQQGFHIVGYGCTTCIGNSGDLDETVASAIAENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSVYFRDIWPSTEEIAEVVQSSVLPDMFRSTYESITKGNPTWNELSVTDSKLYSWDPNSTYIHEPPYFKGMTMDPPGAKGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKLLNGEVGPKTVHIPTGEKLYVFDAATRYKADGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIVPLCFKAGEDADTLGLTGHERYTIDLPSSISEIKPGQDVTVTTDNGKSFTCTVRFDTEVELAYFNHGGILHYVIRNLSKQ >ONI15549 pep chromosome:Prunus_persica_NCBIv2:G3:3466939:3473493:-1 gene:PRUPE_3G049300 transcript:ONI15549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRIASKSASSVASSTSRKLVCSRVICNRNYVAKDISFGVGARAALLQGVSEVAEAVKVTMGPKGRYVIIENSHKDPKVTKDGVTVAKSISFKGKYKNVGADLVKQVAKATNTAAGDGTTCATVLTQAILTEGCKSIAAGVNAMDLRIGINMAVDAVVSDLKSRALMISTPDEITQVATISANGEREIGELIARAMEKVGKEGVITVADGNTLDNELEVVEGMKLGRGYISPYFITDQKTQKCELENPLILIYEKKISDLNSLVKILELAVNKLRPLLIVAEDVEGDPLAMLILNKHHAGVKVCAIKAPGFGENRKANLDDLAVLTGGEVITEDRGLTLDKVQFEMLGTAKKVTVSLDDTIILHGGGDKKQIEERCEELRTAMEKSTAMFDKEKAQERLSKLSGGVAVFKVGGVSEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYATKALDNLQVQNEDQRRGVQIIQNALKAPTFTIVSNAGFDGPLVLGKLLEQDDPKLGYDAAKGEYVDMVKAGIIDPLKVIRTALIDAASVSLLLTTTEAAVVDKPNEKKPPSHVPNMDALGGY >ONI17402 pep chromosome:Prunus_persica_NCBIv2:G3:17531732:17535222:-1 gene:PRUPE_3G156900 transcript:ONI17402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGVGETGNGEMGLSSVALGTKNKYKRMDSQLPDEDEDASQHQLQEKRSKKTRKYVLACAIFASLNSVLLGYDVGVMSGAIIFIQEDLKITEVQEEVLVGILSIVSLFGSLAGGKTSDMIGRKWTMALAAVVFQAGAGVMVFAPSFEILMIGRLLAGIGIGFGVMIAPVYIAEISPTIARGSLTSFPEIFINLGILLGYVSNYAFSGLPAHINWRIMLGVGILPSVFIGFALFIIPESPRWLVIQNRVDEARSVLLKTNENESEVEDRLNEILVAADTSSGGKYEEKSVTRELLSPSPALRRMLITGFGIQCFQQITGIDATVYYSPEIFREAGIEDKTNLLAATVAVGVTKTVFIMVAILLIDKIGRKPLLYVSTIGMTACLFSLAFTLTFLGKGQIGIAMAILSVCGNVAFFSVGIGPVCWVLTSEIFPLRLRAQAGALGAVGNRVCSGLVAMSFLSVSNAISFGGTFLIFSVVSAVSVAFVYIFVPETKGKSLEQIELLFQTEQWQGEGGQVELGDVEHLVQKG >ONI18290 pep chromosome:Prunus_persica_NCBIv2:G3:21403019:21404270:-1 gene:PRUPE_3G207600 transcript:ONI18290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLQAIWNHPAGPKTIHFWAPTFKWGLTIANILDSSKPPEDLSYAQQSVLACSGLIWARYSTLIKPKNWNLVSVNLGMSLTALFQLSRKIQHDSSVKNQQASAEEE >ONI18289 pep chromosome:Prunus_persica_NCBIv2:G3:21403019:21404270:-1 gene:PRUPE_3G207600 transcript:ONI18289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLQAIWNHPAGPKTIHFWAPTFKWGLTIANILDSSKPPEDLSYAQQSVLACSGLIWARYSTLIKPKNWNLVSVNLGMSLTALFQLSRKIQHDSSVKNQQASAEEE >ONI18291 pep chromosome:Prunus_persica_NCBIv2:G3:21402630:21403918:-1 gene:PRUPE_3G207600 transcript:ONI18291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFDFAVHFWAPTFKWGLTIANILDSSKPPEDLSYAQQSVLACSGLIWARYSTLIKPKNWNLVSVNLGMSLTALFQLSRKIQHDSSVKNQQASAEEE >ONI18292 pep chromosome:Prunus_persica_NCBIv2:G3:21402630:21404622:-1 gene:PRUPE_3G207600 transcript:ONI18292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLQAIWNHPAGPKTIHFWAPTFKWGLTIANILDSSKPPEDLSYAQQSEELESCQCQSWDVSNCPVSTVT >ONI18294 pep chromosome:Prunus_persica_NCBIv2:G3:21403163:21404270:-1 gene:PRUPE_3G207600 transcript:ONI18294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLQAIWNHPAGPKTIHFWAPTFKWGLTIANILDSSKPPEDLSYAQQSVLACSGLIWARYSTLIKPIAEELESCQCQSWDVSNCPVSTVT >ONI18293 pep chromosome:Prunus_persica_NCBIv2:G3:21402630:21405381:-1 gene:PRUPE_3G207600 transcript:ONI18293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLQAIWNHPAGPKTIHFWAPTFKWGLTIANILDSSKPPEDLSYAQQSEELESCQCQSWDVSNCPVSTVT >ONI18115 pep chromosome:Prunus_persica_NCBIv2:G3:20779798:20783304:1 gene:PRUPE_3G197500 transcript:ONI18115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGNASGRKDGEGPSEVDNFHEYDGYVEPMAHSPPHSPRAFHPSLFFTSQNPGDPLPRPPEALSQHSVYNEYVHNEKLIRAKISWNHGGRQVAITGSWDNWETSELMQSIGTDFVILKLLPSGLYQYRFIVDGCFRCAADLPWVYDNSGSAYNVLDLQECVSDLRERLAKFESPPSPPSSYDNRHLSEDDFSKPPPEVPPQLQEAFLNKPPSSNGGDQPLPKPHHSQLNHLHIQNHVGGKFFALSSTHRFRQKLVTAVLYKPLRRANH >ONI18114 pep chromosome:Prunus_persica_NCBIv2:G3:20780146:20783304:1 gene:PRUPE_3G197500 transcript:ONI18114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSSIKNLIMGNASGRKDGEGPSEVDNFHEYDGYVEPMAHSPPHSPRAFHPSLFFTSQNPGDPLPRPPEALSQHSVYNEYVHNEKLIRAKISWNHGGRQVAITGSWDNWETSELMQSIGTDFVILKLLPSGLYQYRFIVDGCFRCAADLPWVYDNSGSAYNVLDLQECVSDLRERLAKFESPPSPPSSYDNRHLSEDDFSKPPPEVPPQLQEAFLNKPPSSNGGDQPLPKPHHSQLNHLHIQNHVGGKFFALSSTHRFRQKLVTAVLYKPLRRANH >ONI19354 pep chromosome:Prunus_persica_NCBIv2:G3:25334160:25335710:-1 gene:PRUPE_3G273900 transcript:ONI19354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGEMGAQLGSVIASVMFVYTIFRQYLPPQFRYYVDKYRHKLVKLFYPYIQIIFDENTNEFRRRSEVYSAIQSYLSTKSSTNARRLKAHDVKDSKSVVLAIDDHEEVTDEFQGIKVSWVLVKRETRQTSFSFYPQSDEKQHYKLTFHRRHRNVVTGAYLAHVIKEGKAITVGNRQRKLNVNNPSQNWYTYRGTMWSHVVFEHPATFETLALHPKKKEEIVNDLIKFSKGKEYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMSNLMNYDVYDLELTTVKDNTELRKLLIDTPSKSIIVIEDIDCSLDLTGQRKKKKEKDEEDNEEKDPVKKKMEKEESQPSKVTLSGLLNFIDGIWSACGGERLIVFTTNYVDKLDPALIRRGRMDKHIELSYCCYEAFKVLARNYLDLESHELFETIERLLGETNMTPADVAENLMPNSVTEEADSCLKNLIEAIETAKEEARKKAEEEEASKKAEEEAKLKAEKEEEEKEKEKEQSAKDKVKCNGTSAKEGDVK >ONI19729 pep chromosome:Prunus_persica_NCBIv2:G3:26257501:26261271:1 gene:PRUPE_3G294200 transcript:ONI19729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIAGILDKSKELDQLRKEQEEVLTEINKMHKKLQATPEVVEKPGDNALAKLKALYVHAKELSEKEMNVSNLLLSQLDALLPSGPPGQPRRRMEGNEQKRKRMKNDSDISRMSPSVRSQLELFASLKGEQVAARVMVTQEDAEKEEWLVVKVLHFDKETRELEVLDEEPGDDEEGGGQRKYKLPMTAIIPFPKRTDPSSAQDFPTGRHVLAVYPGTTALYKATVVNGHRKRKTDEYLLEFDDDEEDGALPQRVVPFHKVVALPDGHRQ >ONI19730 pep chromosome:Prunus_persica_NCBIv2:G3:26257815:26261271:1 gene:PRUPE_3G294200 transcript:ONI19730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIAGILDKSKELDQLRKEQEEVLTEINKMHKKLQATPEVVEKPGDNALAKLKALYVHAKELSEKEMNVSNLLLSQLDALLPSGPPGQPRRRMEGNEQKRKRMKNDSDISRMSPSVRSQLELFASLKGEQVAARVMVTQEDAEKEEWLVVKVLHFDKETRELEVLDEEPGDDEEGGGQRKYKLPMTAIIPFPKRTDPSSAQDFPTGRHVLAVYPGTTALYKATVVNGHRKRKTDEYLLEFDDDEEDGALPQRVVPFHKVVALPDGHRQ >ONI19732 pep chromosome:Prunus_persica_NCBIv2:G3:26257836:26261271:1 gene:PRUPE_3G294200 transcript:ONI19732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIAGILDKSKELDQLRKEQEEVLTEINKMHKKLQATPEVVEKPGDNALAKLKALYVHAKELSEKEMNVSNLLLSQLDALLPSGPPGQPRRRMEGNEQKRKRMKNDSDISRMSPSVRSQLELFASLKGEQVAARVMVTQEDAEKEEWLVVKVLHFDKETRELEVLDEEPGDDEEGGGQRKYKLPMTAIIPFPKRTDPSSAQDFPTGRHVLAVYPGTTALYKATVVNGHRKRKTDEYLLEFDDDEEDGALPQRVVPFHKVVALPDGHRQ >ONI19733 pep chromosome:Prunus_persica_NCBIv2:G3:26258151:26261266:1 gene:PRUPE_3G294200 transcript:ONI19733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIAGILDKSKELDQLRKEQEEVLTEINKMHKKLQATPEVVEKPGDNALAKLKALYVHAKELSEKEMNVSNLLLSQLDALLPSGPPGQPRRRMEGNEQKRKRMKNDSDISRMSPSVRSQLELFASLKGEQVAARVMVTQEDAEKEEWLVVKVLHFDKETRELEVLDEEPGDDEEGGGQRKYKLPMTAIIPFPKRTDPSSAQDFPTGRHVLAVYPGTTALYKATVVNGHRKRKTDEYLLEFDDDEEDGALPQRVVPFHKVVALPDGHRQ >ONI19734 pep chromosome:Prunus_persica_NCBIv2:G3:26257790:26261275:1 gene:PRUPE_3G294200 transcript:ONI19734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIAGILDKSKELDQLRKEQEEVLTEINKMHKKLQATPEVVEKPGDNALAKLKALYVHAKELSEKEMNVSNLLLSQLDALLPSGPPGQPRRRMEGNEQKRKRMKNDSDISRMSPSVRSQLELFASLKGEQVAARVMVTQEDAEKEEWLVVKVLHFDKETRELEVLDEEPGDDEEGGGQRKYKLPMTAIIPFPKRTDPSSAQDFPTGRHVLAVYPGTTALYKATVVNGHRKRKTDEYLLEFDDDEEDGALPQRVVPFHKVVALPDGHRQ >ONI19728 pep chromosome:Prunus_persica_NCBIv2:G3:26257506:26261266:1 gene:PRUPE_3G294200 transcript:ONI19728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIAGILDKSKELDQLRKEQEEVLTEINKMHKKLQATPEVVEKPGDNALAKLKALYVHAKELSEKEMNVSNLLLSQLDALLPSGPPGQPRRRMEGNEQKRKRMKNDSDISRMSPSVRSQLELFASLKGEQVAARVMVTQEDAEKEEWLVVKVLHFDKETRELEVLDEEPGDDEEGGGQRKYKLPMTAIIPFPKRTDPSSAQDFPTGRHVLAVYPGTTALYKATVVNGHRKRKTDEYLLEFDDDEEDGALPQRVVPFHKVVALPDGHRQ >ONI19735 pep chromosome:Prunus_persica_NCBIv2:G3:26257506:26261266:1 gene:PRUPE_3G294200 transcript:ONI19735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIAGILDKSKELDQLRKEQEEVLTEINKMHKKLQATPEVVEKPGDNALAKLKALYVHAKELSEKEMNVSNLLLSQLDALLPSGPPGQPRRRMGNEQKRKRMKNDSDISRMSPSVRSQLELFASLKGEQVAARVMVTQEDAEKEEWLVVKVLHFDKETRELEVLDEEPGDDEEGGGQRKYKLPMTAIIPFPKRTDPSSAQDFPTGRHVLAVYPGTTALYKATVVNGHRKRKTDEYLLEFDDDEEDGALPQRVVPFHKVVALPDGHRQ >ONI19731 pep chromosome:Prunus_persica_NCBIv2:G3:26258118:26261271:1 gene:PRUPE_3G294200 transcript:ONI19731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIAGILDKSKELDQLRKEQEEVLTEINKMHKKLQATPEVVEKPGDNALAKLKALYVHAKELSEKEMNVSNLLLSQLDALLPSGPPGQPRRRMEGNEQKRKRMKNDSDISRMSPSVRSQLELFASLKGEQVAARVMVTQEDAEKEEWLVVKVLHFDKETRELEVLDEEPGDDEEGGGQRKYKLPMTAIIPFPKRTDPSSAQDFPTGRHVLAVYPGTTALYKATVVNGHRKRKTDEYLLEFDDDEEDGALPQRVVPFHKVVALPDGHRQ >ONI15984 pep chromosome:Prunus_persica_NCBIv2:G3:5190845:5203485:1 gene:PRUPE_3G072300 transcript:ONI15984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMKSSRRVVIGYDEGTIMVKLGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFTKNFQEKRSIRPTFSAEHIHGGTLLAMCSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDIVSSYLDSGRPVDEQGVEDAFELLHEMNERVRTGLWVGDCFIYNNSAWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMIEEALEVATDPDYRFELAIQLGRLEIAKEIATEVQSESKWKQLGELAMSTGKLDMAEECLKHAMDLSGLLLLYSSLGDAEGIAKLATLAKEQGKNNVAFLCLFMLGRLEECLELLVASNRIPEAALMARSYLPGKVSEIVAIWRKDLSKVNPKAAESLADPEEYPNLFDDWQVALSVESRAAEKRGVYPPAEEYVNHVDKAHITLVEAFRNLQVDEEEPLENGEANHEVSEQNGEQNGEQTAEEQTAEEQYGEEGSQEEAVVVDADSTDGAVLINGNEADEEWGTNNEGTSSA >ONI15983 pep chromosome:Prunus_persica_NCBIv2:G3:5190845:5203795:1 gene:PRUPE_3G072300 transcript:ONI15983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMKSSRRVVIGYDEGTIMVKLGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFTKNFQEKRSIRPTFSAEHIHGGTLLAMCSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDIVSSYLDSGRPVDEQGVEDAFELLHEMNERVRTGLWVGDCFIYNNSAWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMIEEALEVATDPDYRFELAIQLGRLEIAKEIATEVQSESKWKQLGELAMSTGKLDMAEECLKHAMDLSGLLLLYSSLGDAEGIAKLATLAKEQGKNNVAFLCLFMLGRLEECLELLVASNRIPEAALMARSYLPGKVSEIVAIWRKDLSKVNPKAAESLADPEEYPNLFDDWQVALSVESRAAEKRGVYPPAEEYVNHVDKAHITLVEAFRNLQVDEEEPLENGEANHEVSEQNGEQNGEQTAEEQTAEEQYGEEGSQEEAVVVDADSTDGAVLINGNEADEEWVLTPRH >ONI15985 pep chromosome:Prunus_persica_NCBIv2:G3:5190815:5203795:1 gene:PRUPE_3G072300 transcript:ONI15985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKLAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMKSSRRVVIGYDEGTIMVKLGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFTKNFQEKRSIRPTFSAEHIHGGTLLAMCSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDIVSSYLDSGRPVDEQGVEDAFELLHEMNERVRTGLWVGDCFIYNNSAWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEVLPSIPKEHHNSVARFLESRGMIEEALEVATDPDYRFELAIQLGRLEIAKEIATEVQSESKWKQLGELAMSTGKLDMAEECLKHAMDLSGLLLLYSSLGDAEGIAKLATLAKEQGKNNVAFLCLFMLGRLEECLELLVASNRIPEAALMARSYLPGKVSEIVAIWRKDLSKVNPKAAESLADPEEYPNLFDDWQVALSVESRAAEKRGVYPPAEEYVNHVDKAHITLVEAFRNLQVDEEEPLENGEANHEVSEQNGEQNGEQTAEEQTAEEQYGEEGSQEEAVVVDADSTDGAVLINGNEADEEWGTNNEGTSSA >ONI16926 pep chromosome:Prunus_persica_NCBIv2:G3:13299401:13300474:-1 gene:PRUPE_3G130400 transcript:ONI16926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETKLWYLHLLLQRKPTPDTNLEYFVKVIRSTEQYYRNCYEEKFDDLSSDQFVEMMVLDGCFLIELFKVYAGELTQDKDDPVWAKWVILALLNDLLLLENQIPWRVLECLFDITWISGRRTLPDLALNFFYSWTFEMSPEIDGVGKNLHLLDFIRNCLIRSFDENAENTKSFSWQSIPSVTELLQAGVKFKRGKDNDMLNVTFKDGVMEIPPIRVQENGESLFRNLIAFEQCEPNIINSTTHISSYAFLMDNLINSSKDIEFLVEKEIMQTFLSSEDTASFFNRLNNDAEFSSFSYGELFEKVTQYHQGRWHRWQTILGRDYFSNPWSIISFVAALVILALTFIQTVYSILSYKYK >ONI16927 pep chromosome:Prunus_persica_NCBIv2:G3:13299240:13301983:-1 gene:PRUPE_3G130400 transcript:ONI16927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETKLWYLHLLLQRKPTPDTNLEYFVKVIRSTEQYYRNCYEEKFDDLSSDQFVEMMVLDGCFLIELFKVYAGELTQDKDDPVWAKWVILALLNDLLLLENQIPWRVLECLFDITWISGRRTLPDLALNFFYSWTFEMSPEIDGVGKNLHLLDFIRNCLIRSFDENAENTKSFSWQSIPSVTELLQAGVKFKRGKDNDMLNVTFKDGVMEIPPIRVQENGESLFRNLIAFEQCEPNIINSTTHISSYAFLMDNLINSSKDIEFLVEKEIMQTFLSSEDTASFFNRLNNDAEFSSFSYGELFEKVTQYHQGRWHRWQTILGRDYFSNPWSIISFVAALVILALTFIQTVYSILSYKYK >ONI16925 pep chromosome:Prunus_persica_NCBIv2:G3:13299240:13301979:-1 gene:PRUPE_3G130400 transcript:ONI16925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKRVGSSLFIKITRADEGYDMPTNKVNNKSVSAENTNHEDDESLVSSENKVNNYWLINIDDTGGHEIAENNNVIANGNRDKLLASSIEGKLRKESSLPTRRSIFRIPNLLRKHNEKVFVPNLVSIGPFHHGKKNLQAMQETKLWYLHLLLQRKPTPDTNLEYFVKVIRSTEQYYRNCYEEKFDDLSSDQFVEMMVLDGCFLIELFKVYAGELTQDKDDPVWAKWVILALLNDLLLLENQIPWRVLECLFDITWISGRRTLPDLALNFFYSWTFEMSPEIDGVGKNLHLLDFIRNCLIRSFDENAENTKSFSWQSIPSVTELLQAGVKFKRGKDNDMLNVTFKDGVMEIPPIRVQENGESLFRNLIAFEQCEPNIINSTTHISSYAFLMDNLINSSKDIEFLVEKEIMQTFLSSEDTASFFNRLNNDAEFSSFSYGELFEKVTQYHQGRWHRWQTILGRDYFSNPWSIISFVAALVILALTFIQTVYSILSYKYK >ONI16879 pep chromosome:Prunus_persica_NCBIv2:G3:11875149:11877246:1 gene:PRUPE_3G127100 transcript:ONI16879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMSCAEHHNYAAASQFITLTVGRVLGALKLESTPINTTGYEALLGLVENTSSGDSFDLYYELFMHHENALEILERLGLAFDALKNQLFGYLHQFVGNQLFGNGNADKVAAPLQEDQNGTALVSSSTSKAMGWQNAAQPQDHKAQISDRFVDKSRLKIAIVGFGNFGQFLAKTMVRQGHLVLAYSRSDYPNVAQKLGVSYFSNAEDLFENRPEVVLICTSILSTEKVLRSLPLQRLKKNTLFVDVLSVKEFPRNLLLQNLPLDCDILCTHPMFGPESGKNGWNGLPFVYDKVRVGGDESRVSRCNKFLDIFAREGCKMVEMSCAEHDMHAAESQFITHTVGRVLGKLGLKSTAVDTNGYKTLLSLVETTAGDSFDLYYGLFLYNMNAMEQLKMLDMAFESLKKQLFRRLHGVIHNQIFEETPDKSQVMQ >ONI20048 pep chromosome:Prunus_persica_NCBIv2:G3:27056812:27061546:1 gene:PRUPE_3G312200 transcript:ONI20048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYWVVSLPVQNSASSLWNRLQEQISKHSFDTPLYRFNIPNLRVGTLDSLLSLSDDLLKSNNFVEGVSHKIRRQIEELERVSGVESSALTVDGVPVDSYLTRFVWDEAKYPTMSPLKEVVDSIHGQVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSNLVKPEDIVTSEHLVTLLAVVPKYSQKDWLSSYETLTNYVVPRSSKKLFEDNEYALYTVTLFNRVADNFRTSAREKGFQIRDFEYSSEAQESRKQELEKLVQDQENLRSSLLQWCYASYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLAPTTKSEKKVRSILEGLCDSGNRQYLLEN >ONI20047 pep chromosome:Prunus_persica_NCBIv2:G3:27056743:27061592:1 gene:PRUPE_3G312200 transcript:ONI20047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYWVVSLPVQNSASSLWNRLQEQISKHSFDTPLYRFNIPNLRVGTLDSLLSLSDDLLKSNNFVEGVSHKIRRQIEELERVSGVESSALTVDGVPVDSYLTRFVWDEAKYPTMSPLKEVVDSIHGQVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSNLVKPEDIVTSEHLVTLLAVVPKYSQKDWLSSYETLTNYVVPRSSKKLFEDNEYALYTVTLFNRVADNFRTSAREKGFQIRDFEYSSEAQESRKQELEKLVQDQENLRSSLLQWCYASYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLAPTTKSEKKVRSILEGLCDSGNSTFWKTDDEVGGGMAGLAGDADAHPYVSFTINLV >ONI15842 pep chromosome:Prunus_persica_NCBIv2:G3:4630391:4633541:1 gene:PRUPE_3G064600 transcript:ONI15842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQIIASPTRKKAKVNCKKSNLAGTIIVFVGAAFGSVVGGVTFFVPMLTLIIGFDQKSSTAISTCMVTGGAAATIFYNLRQRRPTIELPLIDHDLALLFQPMLVVGISTTTKSFFKAVETWKKETITKNVNLLDASKRLQPNGDGNEDVEDRNIPGGPSNGTTESKEAKKAKVSLLDSVCWKPFGVVAAVWVTIVALQIAVDFLFLLSCINQIPVAVGARGRTIASSGQEGANWRMHKLVLYCACGIAGGTVGGLLGLGGGFIMVHCFWRLGSFLRTFLEVSTATSTFIITFSSSIHSHSSLYFAAAASLSAVRGQNFGGKVIKALGRTYLIIFILAFTTLGSTVSLVDIANMVK >ONI17559 pep chromosome:Prunus_persica_NCBIv2:G3:18570251:18573293:-1 gene:PRUPE_3G167000 transcript:ONI17559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQTQSLTTHVALPFTPSKPIPKTQFFTPSKQNPFLKTQWRTLKCSLSTVSEPTHLEKKTHKPFPAEVSRTIMELVSVGTLSTLTQEGWPLGIGVRFAVDPEGTPVLCLNASNRQFSIDRRSSFHVQLEQCGLRTPQCTILGSIDKPEDRKMLKYLHSVWTKRFGEEVNEDLIYVVSVERVLQLEDFKEGGVWITSSDYKNAQPDPLRDFAEKLVNEINTNNIEDINRFCNIYADLNFQVSEAKLIWIDRLGFDLRLWSPQEGIFEVRIPFPRDVIDEKGAKSTFNCMSQLAWEVEKNFYAPDFDRVKQVKQIAYKGQ >ONI17558 pep chromosome:Prunus_persica_NCBIv2:G3:18570064:18573455:-1 gene:PRUPE_3G167000 transcript:ONI17558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQTQSLTTHVALPFTPSKPIPKTQFFTPSKQNPFLKTQWRTLKCSLSTVSEPTHLEKKTHKPFPAEVSRTIMELVSVGTLSTLTQEGWPLGIGVRFAVDPEGTPVLCLNASNRQFSIDRRSSFHVQLEQCGLRTPQCTILGSIDKPEDRKMLKYLHSVWTKRFGEEVNEDLIYVVSVERVLQLEDFKEGGVWITSSDYKNAQPDPLRDFAEKLVNEINTNNIEDINRFCNIYADLNFQEGIFEVRIPFPRDVIDEKGAKSTFNCMSQLAWEVEKNFYAPDFDRVKQVKQIAYKGQ >ONI17560 pep chromosome:Prunus_persica_NCBIv2:G3:18571141:18573293:-1 gene:PRUPE_3G167000 transcript:ONI17560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQTQSLTTHVALPFTPSKPIPKTQFFTPSKQNPFLKTQWRTLKCSLSTVSEPTHLEKKTHKPFPAEVSRTIMELVSVGTLSTLTQEGWPLGIGVRFAVDPEGTPVLCLNASNRQFSIDRRSSFHVQLEQCGLRTPQCTILGSIDKPEDRKMLKYGQRGLEKKSTKTLYMLFLWNGYFSWRTLKRVVYGLHLQIIKMHNLILFGTLRKS >ONI17561 pep chromosome:Prunus_persica_NCBIv2:G3:18570064:18573455:-1 gene:PRUPE_3G167000 transcript:ONI17561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQTQSLTTHVALPFTPSKPIPKTQFFTPSKQNPFLKTQWRTLKCSLSTVSEPTHLEKKTHKPFPAEVSRTIMELVSVGTLSTLTQEGWPLGIGVRFAVDPEGTPVLCLNASNRQFSIDRRSSFHVQLEQCGLRTPQCTILGSIDKPEDRKMLKYGQRGLEKKSTKTLYMLFLWNGYFSWRTLKRVVYGLHLQIIKMHNLILFGTLRKS >ONI16563 pep chromosome:Prunus_persica_NCBIv2:G3:8480769:8486693:1 gene:PRUPE_3G106800 transcript:ONI16563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAREREKVFVERCKGANGLDKVVLREVRGSSAEVYLYGGQVTSWKNEYGEELLFVSSKATFKSPKAIRGGIPICFPQFGSHGSLEQHGFARNRFWSIDHDPPPFPTNSGNKAFIDLILKPSEEDSKIWSHSEVRVEGLETLDYLDNLKGRERFTEQGDAITFESEVDKIYLSTPTKIAILDHERKRTFVLRKDGLPDAVVWNPWDKKAKAISDFGDDEYKHMLCVEAACVEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPRKVMQGG >ONI16561 pep chromosome:Prunus_persica_NCBIv2:G3:8480769:8486693:1 gene:PRUPE_3G106800 transcript:ONI16561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAREREKVFVERCKGANGLDKVVLREVRGSSAEVYLYGGQVTSWKNEYGEELLFVSSKATFKSPKAIRGGIPICFPQFGSHGSLEQHGFARNRFWSIDHDPPPFPTNSGNKAFIDLILKPSEEDSKIWSHRYEFRLRVTLGPGGDLMLTSRIRNTNTDGKSFTFTFAYNTYLFVTDISEVRVEGLETLDYLDNLKGRERFTEQGDAITFESEVDKIYLSTPTKIAILDHERKRTFVLRKDGLPDAVVWNPWDKKAKAISDFGDDEYKHMLCVEAACVEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPRKVMQGG >ONI16564 pep chromosome:Prunus_persica_NCBIv2:G3:8480839:8484897:1 gene:PRUPE_3G106800 transcript:ONI16564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAREREKVFVERCKGANGLDKVVLREVRGSSAEVYLYGGQVTSWKNEYGEELLFVSSKATFKSPKAIRGGIPICFPQFGSHGSLEQHGFARNRFWSIDHDPPPFPTNSGNKAFIDLILKPSEEDSKIWSHRYEFRLRVTLGPGGDLMLTSRIRNTNTDGKSFTFTFAYNTYLFVTDISEVRVEGLETLDYLDNLKGRERFTEQGDAITFESEVSVCPYPDALYF >ONI16565 pep chromosome:Prunus_persica_NCBIv2:G3:8480829:8485600:1 gene:PRUPE_3G106800 transcript:ONI16565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRSFSERFEALPLRCICMVVKLHHGKMNMAKSCFLLVARYEFRLRVTLGPGGDLMLTSRIRNTNTDGKSFTFTFAYNTYLFVTDISEVRVEGLETLDYLDNLKGRERFTEQGDAITFESEVDKIYLSTPTKIAILDHERKRTFVLRKDGLPDAVVWNPWDKKAKAISDFGDDEYKHMLCVEAACVEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPRKVMQGG >ONI16562 pep chromosome:Prunus_persica_NCBIv2:G3:8480713:8486722:1 gene:PRUPE_3G106800 transcript:ONI16562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAREREKVFVERCKGANGLDKVVLREVRGSSAEVYLYGGQVTSWKNEYGEELLFVSSKATFKSPKAIRGGIPICFPQFGSHGSLEQHGFARNRFWSIDHDPPPFPTNSGNKAFIDLILKPSEEDSKIWSHRYEFRLRVTLGPGGDLMLTSRIRNTNTDGKSFTFTFAYNTYLFVTDISEVRVEGLETLDYLDNLKGRERFTEQGDAITFESEVDKIYLSTPTKIAILDHERKRTFVLRKDGLPDAVVWNPWDKKAKAISDFGDDEYKHMLCVEAACVEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPRKVMQGG >ONI18155 pep chromosome:Prunus_persica_NCBIv2:G3:20916952:20918052:1 gene:PRUPE_3G200200 transcript:ONI18155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQKTPSSEDKEKRPKFFINDHIDILVEILKRLDGPSLGVAACVCRLWSTLARNDSLWEHLCFRHVSSPPPSSVRPVVVALGGYKRLYRVCVRPVLSRLSDSDLVRRVWTRDEVQLSLSLFCVDYYERSGRLGGGGGGGDASASSLMFLCNTVNV >ONI18931 pep chromosome:Prunus_persica_NCBIv2:G3:23857304:23861432:-1 gene:PRUPE_3G248200 transcript:ONI18931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKAEDFEKCDYRSEGKMGFKFLGRVKKLKSSILKTNAWLLIIRAIVTALVWASAVQLVGVWLLRGPSLFKVCPPCTQLELPAHAELVKLPIPIALPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKNSFWAASEFQDIFDVDHFIASLRDEVRILKELPPRVRKRAVYSLPPISWSNISYYFHQILPLVQKYKVVHLNKTDARLANNGLPLEVQKLRCRVNFHALRFTSQIEEIGRKLLRILREKGPFLALHLRYEMDMLAFSGCSHGCNNDEEEELTRMRYANPLWKIKDINSEMKRLEGLCPLTPEETALVLVALGIDHNVQIYIAAGEIYGGNRRMESLKSAFPNLVRKETLLEPSDLRLFPNHSSQRAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKKTVLLDRRLLIGLIEQFSSGSLSWDEFSSIVKEAHADRMGRPKKRVSIADKPKEEDYFYSNPHECLQLLDEPLRST >ONI18933 pep chromosome:Prunus_persica_NCBIv2:G3:23858678:23860668:-1 gene:PRUPE_3G248200 transcript:ONI18933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKAEDFEKCDYRSEGKMGFKFLGRVKKLKSSILKTNAWLLIIRAIVTALVWASAVQLVGVWLLRGPSLFKVCPPCTQLELPAHAELVKLPIPIALPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKNSFWAASEFQDIFDVDHFIASLRDEVRILKELPPRVRKRAVYSLPPISWSNISYYFHQILPLVQKYKVVHLNKTDARLANNGLPLEVQKLRCRVNFHALRFTSQIEEIGRKLLRILREKGPFLALHLRYEMDMLAFSGCSHGCNNDEEEELTRMRYANPLWKIKDINSEMKRLEGLCPLTPEETALVLVALGIDHNVQIYIAAGEIYGGNRRMESLKSAFPNLVSRTKKKQPFQQLYIIGLFHYNLPELYGTGQKRDSAGAFGLEAFPKPLFSKGSIGLSCLPRE >ONI18932 pep chromosome:Prunus_persica_NCBIv2:G3:23857305:23861432:-1 gene:PRUPE_3G248200 transcript:ONI18932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKAEDFEKCDYRSEGKMGFKFLGRVKKLKSSILKTNAWLLIIRAIVTALVWASAVQLVGVWLLRGPSLFKVCPPCTQLELPAHAELVKLPIPIALPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKNSFWAASEFQDIFDVDHFIASLRDEVRILKELPPRVRKRAVYSLPPISWSNISYYFHQILPLVQKYKVVHLNKTDARLANNGLPLEVQKLRCRVNFHALRFTSQIEEIGRKLLRILREKGPFLALHLRYEMDMLAFSGCSHGCNNDEEEELTRMRYANPLWKIKDINSEMKRLEGLCPLTPEETALVLVALGIDHNVQIYIAAGEIYGGNRRMESLKSAFPNLVRKETLLEPSDLRLFPNHSSQRAALDYLVSLESDIFIPTYDGNMAKVVEGHRR >ONI19621 pep chromosome:Prunus_persica_NCBIv2:G3:25949724:25952706:-1 gene:PRUPE_3G287900 transcript:ONI19621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIDSTKKLSFTRCIRDGDLVIVYERYDNMKAIKVCDGSVLENRFGVFKHSDWVGKQFGSKVFSTKGGFVYLLAPTPELWTLVLSHRTQILYIADISFVIMFLEIVPGCLVLESGTGSGSLTTSLARAVAPKGHVYTFDFHEQRAVSAREDFEKTGLSNLVTVGVRDIQGEGFPDELSGMADSVFLDLPQPWLAIPSAGKMLKQDGMLCSFSPCIEQVERASETMRSSFTDIRTFEILLRTYEVREGKLDDFQGDEAGSLGSLPRKRRQRSNEGSNVRETTGPPTVMARPCSEAKGHTGYLTFARLKCLS >ONI15067 pep chromosome:Prunus_persica_NCBIv2:G3:1750446:1765454:1 gene:PRUPE_3G023800 transcript:ONI15067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVLLDDESLFTEFEKFIEAVDNMHELALAGQQQFPGVYALFFFKRRVRSVGHRLAGSMGKLRRATDLEPLQPLLKKFIGFLETEVLPSTLKTLRPRVQLERMSIWLGIKSLLGFLEPPAFEEGILERYPIFLDIVLNHISGDSLEFSHAVACLRILFEMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKSHKDIFDLFQPFLQSLEALQDGEHEKQRRHFLYFLLIQVPVSSNFSGLTRQKACQIALLIVHRGYTMNPPCPPSECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIMVSDAAVLISSVLNTHPTVGSERSMSYELNDEDDEGLPFSVDAEEKDNSSWSEFSIQSKITSREFGEWMCIPMLWIDVLVDINPSILPISFSKAVFWARSRFPMVEPETGAESALPVKTWLSSLATEISSTFGWKVPTGSDDGGDGKESKNSIKVSTMSLPLIRTFNRLTSHFLVHVGQGELRKQWTWEPRMGESLFLSLIDPNDNVRKFGKCIVEQVSNTQGLSSSLKFLCSYGSSLSAVLLGLRHAVKLVQLDTVILKFQTLHHFFFVLRRLLIDGDSRAADFPEPDHLNTTKFSSQGGFLRQPVFDSSPVNVNGHPSNVDSNLLERFYYLLSETAWPSVCRCLLEGKAFIDYSVCQMTCVRILEILPCVFENIYCLCHKQSGFSGTKENTHDFSWLHDFMDWGKSSLKTVVVYWQRTITSLLKLLKGFCNSSITSTIGTIENLISSDCVSMDQLMEQVALLSVSLSKEASSSVGKTDLCSKALFPKGLSFEKKYSAPVMQPLPIKEPDVQILHSPLVDNRKCRDGMIVLSDDETEAVSPSEVILSDTKMSPCMVGDKTIACSADKSASYTEPAKNISGADTYKDSFKAFQKRDATEGSGLAYQKQDFDRSRGKMPHVSSLKSKDVDNSRKEIIPECSIIDSEKFQDKINLNNSSDGAVSSKKLNQASNNVVLKEDNTVLKQIVCDANDNSLESALNSVRPQQSLLTKTSIPGPKRQLIQLRSPFQNRPGHLQRMEARKRFKPPRLDEWYRPILELDYFALVGVASGSANDNHKVAKLKEVPVQFHSPEQYVEIFCPLVLEEFKAQLHSSFLEMSSWEEMYFGSLSVLSVERIDDFHLVRFSHDVNDSTASSNFSENDLVLLTKEPPQKCSHDVHVLGKVERRERDNKRRLSLLLIRFYLLNGTSRLHQARRNLLERSKWHASRIMNITPQLREFQALSSIKDIPLLPIILKPVNDSYDSSESKEVDLSKLSRPLQQVLKSSFNESQLQAISIATGTSRRTKDFELSLIQGPPGTGKTRTIVAIVSALLASPSQKTGPERNTLAGSSKQISGPKINQAAAIARAWQDAALARQLNDDVQRNTKAVESYLRGRVLICAQSNAAVDELVSRISSQGLYGSDGKMHKPYLVRVGNAKTVHPNSLPFFIDTLVDQRLADERMKLIDAKNDLSVDSSIALRSNLEKLVDHIRFFEAKRANLNDQNPDLKKSSEDDSYKGDDGKKMSDAEIAFKLRKLYEQKKQIYKDLSTVQQQEKKTNEEIRGLKFKLRKSILREAEIVVTTLSGCGGDLYGVCSESMSSHKFGSPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSNGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVIMLTKQYRMHPEICLFPSLHFYEKKLLNGDHMSSKSAPFHETEGLGPYLFYDVIDGRELRGKNASALSLYNEHEADAAVELLRFFKKRYPSEFLGGRIGIITPYKCQLSLLRSRFSSAFGSSTLDEMELNTIDGFQGREVDILILSTVRAAEAPGRNSSSIGFVADVRRMNVALTRAKFSLWILGNARTLQTNENWTALVKDAQKRNLVITAEKPYKDMFKTASEKKIGTDSLEPQRVQKIKDTSHQHARKSERSAKETLERKTKHIDHVAQSKRRPNGGETDFSATKEETRIKKVSARDEPDLPVKDGLSTDAIPDGHNKISKEVKSAMSRDHATDGESKDKESRKKRKVKFETSKRDADNSEQRTDDGRSMKSQESKRAKRDSEGDRSQTNQVSAPANQTKDASDGVRASNQAGTSQDLIAKRKKQREAVDAILYSALIPSKKSETSMKPVPSKRPLSSSSTASGGIRPPKTRKD >ONI15066 pep chromosome:Prunus_persica_NCBIv2:G3:1750446:1765454:1 gene:PRUPE_3G023800 transcript:ONI15066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVLLDDESLFTEFEKFIEAVDNMHELALAGQQQFPGVYALFFFKRRVRSVGHRLAGSMGKLRRATDLEPLQPLLKKFIGFLETEVLPSTLKTLRPRVQLERMSIWLGIKSLLGFLEPPAFEEGILERYPIFLDIVLNHISGDSLEFSHAVACLRILFEMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKSHKDIFDLFQPFLQSLEALQDGEHEKQRRHFLYFLLIQVPVSSNFSGLTRQKACQIALLIVHRGYTMNPPCPPSECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIMVSDAAVLISSVLNTHPTVGSERSMSYELNDEDDEGLPFSVDAEEKDNSSWSEFSIQSKITSREFGEWMCIPMLWIDVLVDINPSILPISFSKAVFWARSRFPMVEPETGAESALPVKTWLSSLATEISSTFGWKVPTGSDDGGDGKESKNSIKVSTMSLPLIRTFNRLTSHFLVHVGQGELRKQWTWEPRMGESLFLSLIDPNDNVRKFGKCIVEQVSNTQGLSSSLKFLCSYGSSLSAVLLGLRHAVKLVQLDTVILKFQTLHHFFFVLRRLLIDGDSRAADFPEPDHLNTTKFSSQGGFLRQPVFDSSPVNVNGHPSNVDSNLLERFYYLLSETAWPSVCRCLLEGKAFIDYSVCQMTCVRILEILPCVFENIYCLCHKQSGFSGTKENTHDFSWLHDFMDWGKSSLKTVVVYWQRTITSLLKLLKGFCNSSITSTIGTIENLISSDCVSMDQLMEQVALLSVSLSKEASSSVGKTDLCSKALFPKGLSFEKKYSAPVMQPLPIKEPDVQILHSPLVDNRKCRDGMIVLSDDETEAVSPSEVILSDTKMSPCMVGDKTIACSADKSASYTEPAKNISGADTYKDSFKAFQKRDATEGSGLAYQKQDFDRSRGKMPHVSSLKSKDVDNSRKEIIPECSIIDSEKFQDKINLNNSSDGAVSSKKLNQASNNVVLKEDNTVLKQIVCDANDNSLESALNSVRPQQSLLTKTSIPGPKRQLIQLRSPFQNRPGHLQRMEARKRFKPPRLDEWYRPILELDYFALVGVASGSANDNHKVAKLKEVPVQFHSPEQYVEIFCPLVLEEFKAQLHSSFLEMSSWEEMYFGSLSVLSVERIDDFHLVRFSHDVNDSTASSNFSENDLVLLTKEPPQKCSHDVHVLGKVERRERDNKRRLSLLLIRFYLLNGTSRLHQARRNLLERSKWHASRIMNITPQLREFQALSSIKDIPLLPIILKPVNDSYDSSESKEVDLSKLSRPLQQVLKSSFNESQLQAISIATGTSRRTKDFELSLIQGPPGTGKTRTIVAIVSALLASPSQKTGPERNTLAGSSKQISGPKINQAAAIARAWQDAALARQLNDDVQRNTKAVESYLRGRVLICAQSNAAVDELVSRISSQGLYGSDGKMHKPYLVRVGNAKTVHPNSLPFFIDTLVDQRLADERMKLIDAKNDLSVDSSIALRSNLEKLVDHIRFFEAKRANLNDQNPDLKKSSEDDSYKGDDGKKMSDAEIAFKLRKLYEQKKQIYKDLSTVQQQEKKTNEEIRGLKFKLRKSILREAEIVVTTLSGCGGDLYGVCSESMSSHKFGSPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSNGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVIMLTKQYRMHPEICLFPSLHFYEKKLLNGDHMSSKSAPFHETEGLGPYLFYDVIDGRELRGKNASALSLYNEHEADAAVELLRFFKKRYPSEFLGGRIGIITPYKCQLSLLRSRFSSAFGSSTLDEMELNTIDGFQGREVDILILSTVRAAEAPGRNSSSIGFVADVRRMNVALTRAKFSLWILGNARTLQTNENWTALVKDAQKRNLVITAEKPYKDMFKTASEKKIGTDSLEPQRVQKIKDTSHQHARKSERSAKETLERKTKHIDHVAQSKRRPNGGETDFSATKEETRIKKVSARDEPDLPVKDGLSTDAIPDGHNKISKEVKSAMSRDHATDGESKDKESRKKRKVKFETSKRDADNSEQRTDDGRSMKSQESKRAKRDSEGDRSQTNQVSAPANQTKDASDGVRASNQAGTSQDLIAKRKKQREAVDAILYSALIPSKKSETSMKPVPSKRPLSSSSTASGGIRPPKTRKD >ONI15063 pep chromosome:Prunus_persica_NCBIv2:G3:1750446:1765454:1 gene:PRUPE_3G023800 transcript:ONI15063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLATRRELLDRWRGIEEEADEDDDRIDSSKRHRLHQNKEQWFADAFKFLICLPKENHIWCGSWDIMGPLLETFYNYFKDEHSDSPLRQLWRRISEEMRQCIQCISQHYQAHEMYSTEYESSSIGPLLDVLRSLDEERVTQHLIEINTKLARKEYDAARDNAEVISVMYEVLMFPVLLDDESLFTEFEKFIEAVDNMHELALAGQQQFPGVYALFFFKRRVRSVGHRLAGSMGKLRRATDLEPLQPLLKKFIGFLETEVLPSTLKTLRPRVQLERMSIWLGIKSLLGFLEPPAFEEGILERYPIFLDIVLNHISGDSLEFSHAVACLRILFEMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKSHKDIFDLFQPFLQSLEALQDGEHEKQRRHFLYFLLIQVPVSSNFSGLTRQKACQIALLIVHRGYTMNPPCPPSECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIMVSDAAVLISSVLNTHPTVGSERSMSYELNDEDDEGLPFSVDAEEKDNSSWSEFSIQSKITSREFGEWMCIPMLWIDVLVDINPSILPISFSKAVFWARSRFPMVEPETGAESALPVKTWLSSLATEISSTFGWKVPTGSDDGGDGKESKNSIKVSTMSLPLIRTFNRLTSHFLVHVGQGELRKQWTWEPRMGESLFLSLIDPNDNVRKFGKCIVEQVSNTQGLSSSLKFLCSYGSSLSAVLLGLRHAVKLVQLDTVILKFQTLHHFFFVLRRLLIDGDSRAADFPEPDHLNTTKFSSQGGFLRQPVFDSSPVNVNGHPSNVDSNLLERFYYLLSETAWPSVCRCLLEGKAFIDYSVCQMTCVRILEILPCVFENIYCLCHKQSGFSGTKENTHDFSWLHDFMDWGKSSLKTVVVYWQRTITSLLKLLKGFCNSSITSTIGTIENLISSDCVSMDQLMEQVALLSVSLSKEASSSVGKTDLCSKALFPKGLSFEKKYSAPVMQPLPIKEPDVQILHSPLVDNRKCRDGMIVLSDDETEAVSPSEVILSDTKMSPCMVGDKTIACSADKSASYTEPAKNISGADTYKDSFKAFQKRDATEGSGLAYQKQDFDRSRGKMPHVSSLKSKDVDNSRKEIIPECSIIDSEKFQDKINLNNSSDGAVSSKKLNQASNNVVLKEDNTVLKQIVCDANDNSLESALNSVRPQQSLLTKTSIPGPKRQLIQLRSPFQNRPGHLQRMEARKRFKPPRLDEWYRPILELDYFALVGVASGSANDNHKVAKLKEVPVQFHSPEQYVEIFCPLVLEEFKAQLHSSFLEMSSWEEMYFGSLSVLSVERIDDFHLVRFSHDVNDSTASSNFSENDLVLLTKEPPQKCSHDVHVLGKVERRERDNKRRLSLLLIRFYLLNGTSRLHQARRNLLERSKWHASRIMNITPQLREFQALSSIKDIPLLPIILKPVNDSYDSSESKEVDLSKLSRPLQQVLKSSFNESQLQAISIATGTSRRTKDFELSLIQGPPGTGKTRTIVAIVSALLASPSQKTGPERNTLAGSSKQISGPKINQAAAIARAWQDAALARQLNDDVQRNTKAVESYLRGRVLICAQSNAAVDELVSRISSQGLYGSDGKMHKPYLVRVGNAKTVHPNSLPFFIDTLVDQRLADERMKLIDAKNDLSVDSSIALRSNLEKLVDHIRFFEAKRANLNDQNPDLKKSSEDDSYKGDDGKKMSDAEIAFKLRKLYEQKKQIYKDLSTVQQQEKKTNEEIRGLKFKLRKSILREAEIVVTTLSGCGGDLYGVCSESMSSHKFGSPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSNGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVIMLTKQIIHHCLQTSCMLSSTTGAATASEYRMHPEICLFPSLHFYEKKLLNGDHMSSKSAPFHETEGLGPYLFYDVIDGRELRGKNASALSLYNEHEADAAVELLRFFKKRYPSEFLGGRIGIITPYKCQLSLLRSRFSSAFGSSTLDEMELNTIDGFQGREVDILILSTVRAAEAPGRNSSSIGFVADVRRMNVALTRAKFSLWILGNARTLQTNENWTALVKDAQKRNLVITAEKPYKDMFKTASEKKIGTDSLEPQRVQKIKDTSHQHARKSERSAKETLERKTKHIDHVAQSKRRPNGGETDFSATKEETRIKKVSARDEPDLPVKDGLSTDAIPDGHNKISKEVKSAMSRDHATDGESKDKESRKKRKVKFETSKRDADNSEQRTDDGRSMKSQESKRAKRDSEGDRSQTNQVSAPANQTKDASDGVRASNQAGTSQDLIAKRKKQREAVDAILYSALIPSKKSETSMKPVPSKRPLSSSSTASGGIRPPKTRKD >ONI15065 pep chromosome:Prunus_persica_NCBIv2:G3:1750445:1765454:1 gene:PRUPE_3G023800 transcript:ONI15065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFCARWKIGFLLKWRKESKGNGEFLFIMLHEACMHWHCCSRFADAFKFLICLPKENHIWCGSWDIMGPLLETFYNYFKDEHSDSPLRQLWRRISEEMRQCIQCISQHYQAHEMYSTEYESSSIGPLLDVLRSLDEERVTQHLIEINTKLARKEYDAARDNAEVISVMYEVLMFPVLLDDESLFTEFEKFIEAVDNMHELALAGQQQFPGVYALFFFKRRVRSVGHRLAGSMGKLRRATDLEPLQPLLKKFIGFLETEVLPSTLKTLRPRVQLERMSIWLGIKSLLGFLEPPAFEEGILERYPIFLDIVLNHISGDSLEFSHAVACLRILFEMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKSHKDIFDLFQPFLQSLEALQDGEHEKQRRHFLYFLLIQVPVSSNFSGLTRQKACQIALLIVHRGYTMNPPCPPSECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIMVSDAAVLISSVLNTHPTVGSERSMSYELNDEDDEGLPFSVDAEEKDNSSWSEFSIQSKITSREFGEWMCIPMLWIDVLVDINPSILPISFSKAVFWARSRFPMVEPETGAESALPVKTWLSSLATEISSTFGWKVPTGSDDGGDGKESKNSIKVSTMSLPLIRTFNRLTSHFLVHVGQGELRKQWTWEPRMGESLFLSLIDPNDNVRKFGKCIVEQVSNTQGLSSSLKFLCSYGSSLSAVLLGLRHAVKLVQLDTVILKFQTLHHFFFVLRRLLIDGDSRAADFPEPDHLNTTKFSSQGGFLRQPVFDSSPVNVNGHPSNVDSNLLERFYYLLSETAWPSVCRCLLEGKAFIDYSVCQMTCVRILEILPCVFENIYCLCHKQSGFSGTKENTHDFSWLHDFMDWGKSSLKTVVVYWQRTITSLLKLLKGFCNSSITSTIGTIENLISSDCVSMDQLMEQVALLSVSLSKEASSSVGKTDLCSKALFPKGLSFEKKYSAPVMQPLPIKEPDVQILHSPLVDNRKCRDGMIVLSDDETEAVSPSEVILSDTKMSPCMVGDKTIACSADKSASYTEPAKNISGADTYKDSFKAFQKRDATEGSGLAYQKQDFDRSRGKMPHVSSLKSKDVDNSRKEIIPECSIIDSEKFQDKINLNNSSDGAVSSKKLNQASNNVVLKEDNTVLKQIVCDANDNSLESALNSVRPQQSLLTKTSIPGPKRQLIQLRSPFQNRPGHLQRMEARKRFKPPRLDEWYRPILELDYFALVGVASGSANDNHKVAKLKEVPVQFHSPEQYVEIFCPLVLEEFKAQLHSSFLEMSSWEEMYFGSLSVLSVERIDDFHLVRFSHDVNDSTASSNFSENDLVLLTKEPPQKCSHDVHVLGKVERRERDNKRRLSLLLIRFYLLNGTSRLHQARRNLLERSKWHASRIMNITPQLREFQALSSIKDIPLLPIILKPVNDSYDSSESKEVDLSKLSRPLQQVLKSSFNESQLQAISIATGTSRRTKDFELSLIQGPPGTGKTRTIVAIVSALLASPSQKTGPERNTLAGSSKQISGPKINQAAAIARAWQDAALARQLNDDVQRNTKAVESYLRGRVLICAQSNAAVDELVSRISSQGLYGSDGKMHKPYLVRVGNAKTVHPNSLPFFIDTLVDQRLADERMKLIDAKNDLSVDSSIALRSNLEKLVDHIRFFEAKRANLNDQNPDLKKSSEDDSYKGDDGKKMSDAEIAFKLRKLYEQKKQIYKDLSTVQQQEKKTNEEIRGLKFKLRKSILREAEIVVTTLSGCGGDLYGVCSESMSSHKFGSPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSNGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVIMLTKQYRMHPEICLFPSLHFYEKKLLNGDHMSSKSAPFHETEGLGPYLFYDVIDGRELRGKNASALSLYNEHEADAAVELLRFFKKRYPSEFLGGRIGIITPYKCQLSLLRSRFSSAFGSSTLDEMELNTIDGFQGREVDILILSTVRAAEAPGRNSSSIGFVADVRRMNVALTRAKFSLWILGNARTLQTNENWTALVKDAQKRNLVITAEKPYKDMFKTASEKKIGTDSLEPQRVQKIKDTSHQHARKSERSAKETLERKTKHIDHVAQSKRRPNGGETDFSATKEETRIKKVSARDEPDLPVKDGLSTDAIPDGHNKISKEVKSAMSRDHATDGESKDKESRKKRKVKFETSKRDADNSEQRTDDGRSMKSQESKRAKRDSEGDRSQTNQVSAPANQTKDASDGVRASNQAGTSQDLIAKRKKQREAVDAILYSALIPSKKSETSMKPVPSKRPLSSSSTASGGIRPPKTRKD >ONI15064 pep chromosome:Prunus_persica_NCBIv2:G3:1750431:1765454:1 gene:PRUPE_3G023800 transcript:ONI15064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLATRRELLDRWRGIEEEADEDDDRIDSSKRHRLHQNKEQWFADAFKFLICLPKENHIWCGSWDIMGPLLETFYNYFKDEHSDSPLRQLWRRISEEMRQCIQCISQHYQAHEMYSTEYESSSIGPLLDVLRSLDEERVTQHLIEINTKLARKEYDAARDNAEVISVMYEVLMFPVLLDDESLFTEFEKFIEAVDNMHELALAGQQQFPGVYALFFFKRRVRSVGHRLAGSMGKLRRATDLEPLQPLLKKFIGFLETEVLPSTLKTLRPRVQLERMSIWLGIKSLLGFLEPPAFEEGILERYPIFLDIVLNHISGDSLEFSHAVACLRILFEMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKSHKDIFDLFQPFLQSLEALQDGEHEKQRRHFLYFLLIQVPVSSNFSGLTRQKACQIALLIVHRGYTMNPPCPPSECAHMWGPSLVSSLKDSSLHSSLRQPAFDLIQTIMVSDAAVLISSVLNTHPTVGSERSMSYELNDEDDEGLPFSVDAEEKDNSSWSEFSIQSKITSREFGEWMCIPMLWIDVLVDINPSILPISFSKAVFWARSRFPMVEPETGAESALPVKTWLSSLATEISSTFGWKVPTGSDDGGDGKESKNSIKVSTMSLPLIRTFNRLTSHFLVHVGQGELRKQWTWEPRMGESLFLSLIDPNDNVRKFGKCIVEQVSNTQGLSSSLKFLCSYGSSLSAVLLGLRHAVKLVQLDTVILKFQTLHHFFFVLRRLLIDGDSRAADFPEPDHLNTTKFSSQGGFLRQPVFDSSPVNVNGHPSNVDSNLLERFYYLLSETAWPSVCRCLLEGKAFIDYSVCQMTCVRILEILPCVFENIYCLCHKQSGFSGTKENTHDFSWLHDFMDWGKSSLKTVVVYWQRTITSLLKLLKGFCNSSITSTIGTIENLISSDCVSMDQLMEQVALLSVSLSKEASSSVGKTDLCSKALFPKGLSFEKKYSAPVMQPLPIKEPDVQILHSPLVDNRKCRDGMIVLSDDETEAVSPSEVILSDTKMSPCMVGDKTIACSADKSASYTEPAKNISGADTYKDSFKAFQKRDATEGSGLAYQKQDFDRSRGKMPHVSSLKSKDVDNSRKEIIPECSIIDSEKFQDKINLNNSSDGAVSSKKLNQASNNVVLKEDNTVLKQIVCDANDNSLESALNSVRPQQSLLTKTSIPGPKRQLIQLRSPFQNRPGHLQRMEARKRFKPPRLDEWYRPILELDYFALVGVASGSANDNHKVAKLKEVPVQFHSPEQYVEIFCPLVLEEFKAQLHSSFLEMSSWEEMYFGSLSVLSVERIDDFHLVRFSHDVNDSTASSNFSENDLVLLTKEPPQKCSHDVHVLGKVERRERDNKRRLSLLLIRFYLLNGTSRLHQARRNLLERSKWHASRIMNITPQLREFQALSSIKDIPLLPIILKPVNDSYDSSESKEVDLSKLSRPLQQVLKSSFNESQLQAISIATGTSRRTKDFELSLIQGPPGTGKTRTIVAIVSALLASPSQKTGPERNTLAGSSKQISGPKINQAAAIARAWQDAALARQLNDDVQRNTKAVESYLRGRVLICAQSNAAVDELVSRISSQGLYGSDGKMHKPYLVRVGNAKTVHPNSLPFFIDTLVDQRLADERMKLIDAKNDLSVDSSIALRSNLEKLVDHIRFFEAKRANLNDQNPDLKKSSEDDSYKGDDGKKMSDAEIAFKLRKLYEQKKQIYKDLSTVQQQEKKTNEEIRGLKFKLRKSILREAEIVVTTLSGCGGDLYGVCSESMSSHKFGSPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSNGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVIMLTKQYRMHPEICLFPSLHFYEKKLLNGDHMSSKSAPFHETEGLGPYLFYDVIDGRELRGKNASALSLYNEHEADAAVELLRFFKKRYPSEFLGGRIGIITPYKCQLSLLRSRFSSAFGSSTLDEMELNTIDGFQGREVDILILSTVRAAEAPGRNSSSIGFVADVRRMNVALTRAKFSLWILGNARTLQTNENWTALVKDAQKRNLVITAEKPYKDMFKTASEKKIGTDSLEPQRVQKIKDTSHQHARKSERSAKETLERKTKHIDHVAQSKRRPNGGETDFSATKEETRIKKVSARDEPDLPVKDGLSTDAIPDGHNKISKEVKSAMSRDHATDGESKDKESRKKRKVKFETSKRDADNSEQRTDDGRSMKSQESKRAKRDSEGDRSQTNQVSAPANQTKDASDGVRASNQAGTSQDLIAKRKKQREAVDAILYSALIPSKKSETSMKPVPSKRPLSSSSTASGGIRPPKTRKD >ONI19837 pep chromosome:Prunus_persica_NCBIv2:G3:26546693:26549338:1 gene:PRUPE_3G300500 transcript:ONI19837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEKARPVDLYKGGGLGGEEESEREMMMEVVVVANGSAPPHHHHQNQSESQHQQQMHQMIVGIGENNSSGGEGEEQELCAATSNSIGSRAAGGGGAGAPKKRAETWVQEETRSLIGFRREVDGLFNTSKSNRHLWDQISAKMREKGFDRSPTMCTDKWRNLLKEFKKARHQVKVGSRSAKMSYYEDLQDLLRDRTNNNHNAASTDAAAASAAAYKSPTPPKLESFVRFCDKGLEDSGIPFGPMEATDRSALNLERQLDHDGDPLAITAADTVAVSGVPPWNWREGPGNGGEGHSSYCGKIITVKLGEYTRRIGIDGTADAIKEAIKSAFRIRTKRAFWLEDEDQVVRSLDRDMPLGNYTLHLDEGITIKLCLYDDSDRIVPTEEITLYTEDDFRDFLARRGLMGLRELSGYRRIDTLDELQSGAMYQGVRLLGD >ONI17059 pep chromosome:Prunus_persica_NCBIv2:G3:14295998:14298867:1 gene:PRUPE_3G135600 transcript:ONI17059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYMFSLHIPLSFGGLSVVAHVLHEPILDPQIEAISLLGAQILELIAALVLLQSTAKPQYKFVDFFKANEVSKERSWLLASAVGFGFLFMLVFLTSFLADSVVGPKAINNPVLKEILVSSNISKAACVLVYCIVTPVLEETVYRGFLLASILSTMKWQSAVLISSAIFSAAHLSGENSLQLFIIGCVLGCSYCWTGNLRSPILIHSLYNAMTLMITFLS >ONI17056 pep chromosome:Prunus_persica_NCBIv2:G3:14295589:14300319:1 gene:PRUPE_3G135600 transcript:ONI17056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLQWQLWHPLHLAPKKPIGNFSRPLISFLSPHTLLSCTNSKSSLSSKVSFKCFCHKTENTHEASSQGFSVLAADAPWDHGSLWSTMAFYMFSLHIPLSFGGLSVVAHVLHEPILDPQIEAISLLGAQILELIAALVLLQSTAKPQYKFVDFFKANEVSKERSWLLASAVGFGFLFMLVFLTSFLADSVVGPKAINNPVLKEILVSSNISKAACVLVYCIVTPVLEETVYRGFLLASILSTMKWQSAVLISSAIFSAAHLSGEITLLLDRCCN >ONI17058 pep chromosome:Prunus_persica_NCBIv2:G3:14295589:14298870:1 gene:PRUPE_3G135600 transcript:ONI17058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLQWQLWHPLHLAPKKPIGNFSRPLISFLSPHTLLSCTNSKSSLSSKVSFKCFCHKTENTHEASSQGFSVLAADAPWDHGSLWSTMAFYMFSLHIPLSFGGLSVVAHVLHEPILDPQIEAISLLGAQILELIAALVLLQSTAKPQYKFVDFFKANEVSKERSWLLASAVGFGFLFMLVFLTSFLADSVVGPKVRLINLTAYGFLLLL >ONI17057 pep chromosome:Prunus_persica_NCBIv2:G3:14295589:14298878:1 gene:PRUPE_3G135600 transcript:ONI17057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLQWQLWHPLHLAPKKPIGNFSRPLISFLSPHTLLSCTNSKSSLSSKVSFKCFCHKTENTHEASSQGFSVLAADAPWDHGSLWSTMAFYMFSLHIPLSFGGLSVVAHVLHEPILDPQIEAISLLGAQILELIAALVLLQSTAKPQYKFVDFFKANEVSKERSWLLASAVGFGFLFMLVFLTSFLADSVVGPKAINNPVLKEILVSSNISKAACVLVYCIVTPVLEETVYRGFLLASILSTMKWQSAVLISSAIFSAAHLSGENSLQLFIIGCVLGCSYCWTGNLRSPILIHSLYNAMTLMITFLS >ONI16974 pep chromosome:Prunus_persica_NCBIv2:G3:13687531:13694469:-1 gene:PRUPE_3G132200 transcript:ONI16974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGFVEESTDYVQLGISTSSSSSYSYKLVPWLSWDEWLFVDESLFSNSPNSVASALRRISAWRSRGCLPVVVELTSSIIEIQQKDPHFRGDQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGWVRTSSDHRAETLQVEHLSSLFKWLVKKFEGLKPHFEKDSVAEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAIDLAQLTGDNYLLGKLNKLSSLVSSNSDVTEEGDSLKHSNNVFKKKDESMSEAAKKLEMIKCHKIKKKVVKRTDADARNTNRWVLAKSWNPCPIGMLPRAVGFSGCLPVLDFNIVPEKVSQLIGRKENWELNQCSGKREASSDLHLLDNQCVKKLRETEDGCVSDSEHVSSIEDVSGHLMIDGVWKRVGEEELLAIKSALRILI >ONI16973 pep chromosome:Prunus_persica_NCBIv2:G3:13687502:13694121:-1 gene:PRUPE_3G132200 transcript:ONI16973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGFVEESTDYVQLGISTSSSSSYSYKLVPWLSWDEWLFVDESLFSNSPNSVASALRRISAWRSRGCLPVVVELTSSIIEIQQKDPHFRKDQSNNALDNCRGDQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGWVRTSSDHRAETLQVEHLSSLFKWLVKKFEGLKPHFEKDSVAEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAIDLAQLTGDNYLLGKLNKLSSLVSSNSDVTEEGC >ONI16982 pep chromosome:Prunus_persica_NCBIv2:G3:13689035:13694121:-1 gene:PRUPE_3G132200 transcript:ONI16982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGFVEESTDYVQLGISTSSSSSYSYKLVPWLSWDEWLFVDESLFSNSPNSVASALRRISAWRSRGCLPVVVELTSSIIEIQQKDPHFRKDQSNNALDNCRGDQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGNHMT >ONI16972 pep chromosome:Prunus_persica_NCBIv2:G3:13687392:13694469:-1 gene:PRUPE_3G132200 transcript:ONI16972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGFVEESTDYVQLGISTSSSSSYSYKLVPWLSWDEWLFVDESLFSNSPNSVASALRRISAWRSRGCLPVVVELTSSIIEIQQKDPHFRGDQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGWVRTSSDHRAETLQVEHLSSLFKWLVKKFEGLKPHFEKDSVAEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAIDLAQLTGDNYLLGKLNKLSSLVSSNSDVTEEGC >ONI16983 pep chromosome:Prunus_persica_NCBIv2:G3:13689035:13694121:-1 gene:PRUPE_3G132200 transcript:ONI16983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGFVEESTDYVQLGISTSSSSSYSYKLVPWLSWDEWLFVDESLFSNSPNSVASALRRISAWRSRGCLPVVVELTSSIIEIQQKDPHFRKDQSNNALDNCRGDQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGNHMT >ONI16977 pep chromosome:Prunus_persica_NCBIv2:G3:13687916:13694121:-1 gene:PRUPE_3G132200 transcript:ONI16977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGFVEESTDYVQLGISTSSSSSYSYKLVPWLSWDEWLFVDESLFSNSPNSVASALRRISAWRSRGCLPVVVELTSSIIEIQQKDPHFRKDQSNNALDNCRGDQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGWVRTSSDHRAETLQVEHLSSLFKWLVKKFEGLKPHFEKDSVAEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAIDLAQLTGDNYLLGKLNKLSSLVSSNSDVTEEGDSLKHSNNVFKKKDESMSEAAKKLEMIKCHKIKKKVVKRTDADARNTNRWVLAKSWNPCPIGMLPRAVGFSGCLPVLDFNIVPEKVSQLIGRKENWELNQCSGKREASSDLHLLDNQCVKKLRETEDGCVSDSEHVSSIEDVSGHLMIDGVWKRVGEEELLAIKSALRILI >ONI16975 pep chromosome:Prunus_persica_NCBIv2:G3:13687531:13694469:-1 gene:PRUPE_3G132200 transcript:ONI16975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGFVEESTDYVQLGISTSSSSSYSYKLVPWLSWDEWLFVDESLFSNSPNSVASALRRISAWRSRGCLPVVVELTSSIIEIQQKDPHFRGDQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGWVRTSSDHRAETLQVEHLSSLFKWLVKKFEGLKPHFEKDSVAEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAIDLAQLTGDNYLLGKLNKLSSLVSSNSDVTEEGDSLKHSNNVFKKKDESMSEAAKKLEMIKCHKIKKKVVKRTDADARNTNRWVLAKSWNPCPIGMLPRAVGFSGCLPVLDFNIVPEKVSQLIGRKENWELNQCSGKREASSDLHLLDNQCVKKLRETEDGCVSDSEHVSSIEDVSGHLMIDGVWKRVGEEELLAIKSALRILI >ONI16980 pep chromosome:Prunus_persica_NCBIv2:G3:13687562:13694469:-1 gene:PRUPE_3G132200 transcript:ONI16980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGFVEESTDYVQLGISTSSSSSYSYKLVPWLSWDEWLFVDESLFSNSPNSVASALRRISAWRSRGCLPVVVELTSSIIEIQQKDPHFRGDQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGNHMT >ONI16978 pep chromosome:Prunus_persica_NCBIv2:G3:13687537:13694469:-1 gene:PRUPE_3G132200 transcript:ONI16978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGWVRTSSDHRAETLQVEHLSSLFKWLVKKFEGLKPHFEKDSVAEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAIDLAQLTGDNYLLGKLNKLSSLVSSNSDVTEEGDSLKHSNNVFKKKDESMSEAAKKLEMIKCHKIKKKVVKRTDADARNTNRWVLAKSWNPCPIGMLPRAVGFSGCLPVLDFNIVPEKVSQLIGRKENWELNQCSGKREASSDLHLLDNQCVKKLRETEDGCVSDSEHVSSIEDVSGHLMIDGVWKRVGEEELLAIKSALRILI >ONI16976 pep chromosome:Prunus_persica_NCBIv2:G3:13687916:13694121:-1 gene:PRUPE_3G132200 transcript:ONI16976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGFVEESTDYVQLGISTSSSSSYSYKLVPWLSWDEWLFVDESLFSNSPNSVASALRRISAWRSRGCLPVVVELTSSIIEIQQKDPHFRKDQSNNALDNCRGDQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGWVRTSSDHRAETLQVEHLSSLFKWLVKKFEGLKPHFEKDSVAEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAIDLAQLTGDNYLLGKLNKLSSLVSSNSDVTEEGDSLKHSNNVFKKKDESMSEAAKKLEMIKCHKIKKKVVKRTDADARNTNRWVLAKSWNPCPIGMLPRAVGFSGCLPVLDFNIVPEKVSQLIGRKENWELNQCSGKREASSDLHLLDNQCVKKLRETEDGCVSDSEHVSSIEDVSGHLMIDGVWKRVGEEELLAIKSALRILI >ONI16981 pep chromosome:Prunus_persica_NCBIv2:G3:13689035:13694121:-1 gene:PRUPE_3G132200 transcript:ONI16981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGFVEESTDYVQLGISTSSSSSYSYKLVPWLSWDEWLFVDESLFSNSPNSVASALRRISAWRSRGCLPVVVELTSSIIEIQQKDPHFRGDQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGNHMT >ONI16979 pep chromosome:Prunus_persica_NCBIv2:G3:13687531:13693755:-1 gene:PRUPE_3G132200 transcript:ONI16979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETAGIKTEIMSKFHEFAFCLRVKNTPHLRSSKIKEKRGSKKDLTKILKSLVGLYSAFSSEVVSVLLEFLLKAINSSDSSELPVNTQNSPSLQISLNEWKLVITKFSNKEPELLLALLNAVLDMIENQEAVIYETGWVRTSSDHRAETLQVEHLSSLFKWLVKKFEGLKPHFEKDSVAEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAIDLAQLTGDNYLLGKLNKLSSLVSSNSDVTEEGDSLKHSNNVFKKKDESMSEAAKKLEMIKCHKIKKKVVKRTDADARNTNRWVLAKSWNPCPIGMLPRAVGFSGCLPVLDFNIVPEKVSQLIGRKENWELNQCSGKREASSDLHLLDNQCVKKLRETEDGCVSDSEHVSSIEDVSGHLMIDGVWKRVGEEELLAIKSALRILI >ONI14693 pep chromosome:Prunus_persica_NCBIv2:G3:176105:178417:1 gene:PRUPE_3G002600 transcript:ONI14693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRNKDSKGRIINASKSQDQDCYEGERLDRLFQSIQRKIESARLDSNCLPEKMWFKQQFAIGVNEVTRVLERMPPVTPMVAPSLASNRTKAPYIGLQAVLIASDCNPRWLSKHLPSLALSRKVPLIFLKDNKGASLRLGQLVQLKTAIAIGVKAKGNAINQLIEEILRGNTIQLGIERLNSTPMLTTAHGQVQR >ONI16271 pep chromosome:Prunus_persica_NCBIv2:G3:6583901:6586449:1 gene:PRUPE_3G088600 transcript:ONI16271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHKRDTTKRGEELSFQKNGLWRQEQKGRVARLENWLKVRWELEEIIEEQLNRFHAHYNLDMVPTRLKGVAQILMPSWTPPDELASIAWLGDWRPSAILELVRGLSLSSSSSSSASSSSSSSNSIGTEQLLSQLIYEIRIEEAILDEEMAEIQSTCILYLPFAPTNIQSGGAALACVQSEFKKIERVITRAQQLRFKALELVLKKVLSETDAAEFLVAFEGIQDAIHQFATNQRFQKGPVTLPVKALGSS >ONI16270 pep chromosome:Prunus_persica_NCBIv2:G3:6583901:6586449:1 gene:PRUPE_3G088600 transcript:ONI16270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGISSQSSMNYSNEDDYELQEENEGLLRRDIGEGDYAIDDRAEKFQCMSLHKRDTTKRGEELSFQKNGLWRQEQKGRVARLENWLKVRWELEEIIEEQLNRFHAHYNLDMVPTRLKGVAQILMPSWTPPDELASIAWLGDWRPSAILELVRGLSLSSSSSSSASSSSSSSNSIGTEQLLSQLIYEIRIEEAILDEEMAEIQSTCILYLPFAPTNIQSGGAALACVQSEFKKIERVITRAQQLRFKALELVLKKVLSETDAAEFLVAFEGIQDAIHQFATNQRFQKGPVTLPVKALGSS >ONI15449 pep chromosome:Prunus_persica_NCBIv2:G3:3107491:3110963:-1 gene:PRUPE_3G043800 transcript:ONI15449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIPCSKQLNNGSLHHQQDEDDAVAGTPRTKQAIKALTAQIKDMALKASGAYKNCKPCSRSCNDNRNRNYAESDTNSESARFHYSYRRTMGSSNSTPRLWGKEMDSRLKALSSGEGTPASVSGRTESIVLMEEDEPKEWVAQVEPGVIITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPTPPRSEDENSKVESARESPVTPPLSKERLPRNFHRPMGMGYSSSDSLDHHPMQSRPFCDTSGLASTPKLSSISGVNESSSIDGSVRTSSEADRSGEFSVSNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSREKFGEMHARLWWEENRARIQEQYL >ONI16185 pep chromosome:Prunus_persica_NCBIv2:G3:6176538:6180021:-1 gene:PRUPE_3G083400 transcript:ONI16185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHRKIHNRPTFSTNTPHFLKIISKDTCRQSKLRIPNKFLMKYGDDLSNPIFLKLPSGSEWKIELRKWEDKAWLDKGWPKFSKFYSLDYSHSLVFGYEGKSKFCVRIFDRNFTEIAYPLTTPDLKDTDEVDDSESEYDDDFSDDSESESDFSDDSVEILDKFLPRSRKSSSSGKSEFPAKRHCGGTSTTRRFIKRTRSAKFIALQRAKAFKSDKPSFIVPMTRSSTNRSFVWLPSDFSFHMTRLSNNSGNVMFRVLDGRTWCLGLKCERAKPKARFQSGWFKFARDNNLKIGDVCVFVLVDDIRLTFEVVIFRATEAANTLSPDVDGEQTMSEMEETDEDYDSVELLDYFPPCLKTRKKSPIAPQPRKKNRTCSISTAENNIRSVGGSSRSQKFLKRRPQVSRMMNPVTESGTDRALQRAKAFRSEYPSSTVVLHPSYISAGYLHIGAKFIKEHLLKRSHKNAILRVSDGRTWPVSLGQQGKGILRFQTGWRKFVQDNQLEIGDVCVFVLTNAIKRLIDVVLFRATEAAKCTLSGEGHRRRTISSSRKIKREA >ONI15745 pep chromosome:Prunus_persica_NCBIv2:G3:4155707:4161805:1 gene:PRUPE_3G058700 transcript:ONI15745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEWTPMPILLYLILLPISFSTAYSPAPMNCTDTTRLCTSFLAFKPEANETLSVIQSMFDVLPEDVTVEGGDGRGYVFIKKNCSCADGIKKYLTNTTFTVKSGDGYVYDMVMEAYGGLTFLPNTTRRARYGAVVSLRLLCGCSSGLWNYLMSYVMRDGDSIESLASRFGVSMDSIEKVNGIDDPDNVTVGAVYYIPLNSVPGEPYPLESIPLAPVPSPPIDSSSANQVDHKAHVPYLWIVGSLGIILVVIVLGILLYVCLRSSKCLTEERRGHSKDPDGQIRFHILGKPSFCCGSGRYMCCTSADWKQTNGDSNNQQITIPKALGSHVLDMEKPVVFTYEEISSSADGFSDSRLLGHGTYGSVYYGLLREQEVAIKRMTATKTKEFLAEIKVLCKVHHTNLVELIGYAASDDELFLIYEYAQKGSLKSHLHDPQNKGHTSLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKTSNILLDGTFRAKISDFGLAKIFGKTSEGEATVTKVVGTYGYLAPEYLSDGRATTKSDIYAFGVVLFELISGKEAVIRTEGTATKNSERRSLVSIMQTALRSAPDSMSMSSLKDYIDPNMMDLYPHDCLFKVAMIAKQCVDDDPILRPDMKGIVISLSQILLSSVEWEATLAGSSQVFSGLVQGR >ONI15746 pep chromosome:Prunus_persica_NCBIv2:G3:4155707:4161795:1 gene:PRUPE_3G058700 transcript:ONI15746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEWTPMPILLYLILLPISFSTAYSPAPMNCTDTTRLCTSFLAFKPEANETLSVIQSMFDVLPEDVTVEGGDGRGYVFIKKNCSCADGIKKYLTNTTFTVKSGDGYVYDMVMEAYGGLTFLPNTTRRARYGAVVSLRLLCGCSSGLWNYLMSYVMRDGDSIESLASRFGVSMDSIEKVNGIDDPDNVTVGAVYYIPLNSVPGEPYPLESIPLAPVPSPPIDSSSANQVDHKAHVPYLWIVGSLGIILVVIVLGILLYVCLRSSKCLTEERRGRYMCCTSADWKQTNGDSNNQQITIPKALGSHVLDMEKPVVFTYEEISSSADGFSDSRLLGHGTYGSVYYGLLREQEVAIKRMTATKTKEFLAEIKVLCKVHHTNLVELIGYAASDDELFLIYEYAQKGSLKSHLHDPQNKGHTSLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKTSNILLDGTFRAKISDFGLAKIFGKTSEGEATVTKVVGTYGYLAPEYLSDGRATTKSDIYAFGVVLFELISGKEAVIRTEGTATKNSERRSLVSIMQTALRSAPDSMSMSSLKDYIDPNMMDLYPHDCLFKVAMIAKQCVDDDPILRPDMKGIVISLSQILLSSVEWEATLAGSSQVFSGLVQGR >ONI18556 pep chromosome:Prunus_persica_NCBIv2:G3:22314104:22316996:1 gene:PRUPE_3G223000 transcript:ONI18556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHCDLEVDVNGEESFMVDKKIIASYSGKLRKLLGKSKSTSRNLKVVLHDFPGGAESFELISRFCYNHGSTDITPSNISLLYCAAQFMEMNNSVIGTHNLLEKAEKSFEEIRYWTWSELLMALKHCQDLLPAANSLSLLEKCMDTLVARLPSTSEASPCPSTSSPDSSGIRFSCDTRSSESLKTSSSRATWWFEDLLALGPNLVEMLVKSMISRKLDHVIISRFLFYYQKSKFYTVKSDAKCTFVETVIDMLYILDQSCVSCKSLFGILRVALNLNINKSSRNKLESMIGSQLDQAILDNLLVPSPRGINYLYDVNLVLRLLKSFLSGGICQASPMRLRRVAALVDLYMAEVAPDPCLKPSKFVALAMVMPDSARDSYDELYHAMDMYIEVHAGLSEEEKMKICCVLNYEKLSSETCIHLSQNKKFPSKAAVQALVSQQLKLKSLLHATNNSKSYADSPCSAGEVGNWGRKFDANEQLVLYAGKLNLAADNEKLRAHLQGMQCRVMELEKLCKKMQTQMAKFTKSRASSHSHTRSVPKLCS >ONI18555 pep chromosome:Prunus_persica_NCBIv2:G3:22313367:22317352:1 gene:PRUPE_3G223000 transcript:ONI18555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHCDLEVDVNGEESFMVDKKIIASYSGKLRKLLGKSKSTSRNLKVVLHDFPGGAESFELISRFCYNHGSTDITPSNISLLYCAAQFMEMNNSVIGTHNLLEKAEKSFEEIRYWTWSELLMALKHCQDLLPAANSLSLLEKCMDTLVARLPSTSEASPCPSTSSPDSSGIRFSCDTRSSESLKTSSSRATWWFEDLLALGPNLVEMLVKSMISRKLDHVIISRFLFYYQKSKFYTVKSDAKCTFVETVIDMLYILDQSCVSCKSLFGILRVALNLNINKSSRNKLESMIGSQLDQAILDNLLVPSPRGINYLYDVNLVLRLLKSFLSGGICQASPMRLRRVAALVDLYMAEVAPDPCLKPSKFVALAMVMPDSARDSYDELYHAMDMYIEVHAGLSEEEKMKICCVLNYEKLSSETCIHLSQNKKFPSKAAVQALVSQQLKLKSLLHATNNSKSYADSPCSAGEVGNWGRKFDANEQLVLYAGKLNLAADNEKLRAHLQGMQCRVMELEKLCKKMQTQMAKFTKSRASSHSHTRSVPKLCS >ONI18558 pep chromosome:Prunus_persica_NCBIv2:G3:22314649:22317353:1 gene:PRUPE_3G223000 transcript:ONI18558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNNSVIGTHNLLEKAEKSFEEIRYWTWSELLMALKHCQDLLPAANSLSLLEKCMDTLVARLPSTSEASPCPSTSSPDSSGIRFSCDTRSSESLKTSSSRATWWFEDLLALGPNLVEMLVKSMISRKLDHVIISRFLFYYQKSKFYTVKSDAKCTFVETVIDMLYILDQSCVSCKSLFGILRVALNLNINKSSRNKLESMIGSQLDQAILDNLLVPSPRGINYLYDVNLVLRLLKSFLSGGICQASPMRLRRVAALVDLYMAEVAPDPCLKPSKFVALAMVMPDSARDSYDELYHAMDMYIEVHAGLSEEEKMKICCVLNYEKLSSETCIHLSQNKKFPSKAAVQALVSQQLKLKSLLHATNNSKSYADSPCSAGEVGNWGRKFDANEQLVLYAGKLNLAADNEKLRAHLQGMQCRVMELEKLCKKMQTQMAKFTKSRASSHSHTRSVPKLCS >ONI18557 pep chromosome:Prunus_persica_NCBIv2:G3:22313422:22317352:1 gene:PRUPE_3G223000 transcript:ONI18557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHCDLEVDVNGEESFMVDKKIIASYSGKLRKLLGKSKSTSRNLKVVLHDFPGGAESFELISRFCYNHGSTDITPSNISLLYCAAQFMEMNNSVIGTHNLLEKAEKSFEEIRYWTWSELLMALKHCQDLLPAANSLSLLEKCMDTLVARLPSTSEASPCPSTSSPDSSGIRFSCDTRSSESLKTSSSRATWWFEDLLALGPNLVEMLVKSMISRKLDHVIISRFLFYYQKSKFYTVKSDAKCTFVETVIDMLYILDQSCVSCKSLFGILRVALNLNINKSSRNKLESMIGSQLDQAILDNLLVPSPRGINYLYDVNLVLRLLKSFLSGGICQASPMRLRRVAALVDLYMAEVAPDPCLKPSKFVALAMVMPDSARDSYDELYHAMDMYIEVHAGLSEEEKMKICCVLNYEKLSSETCIHLSQNKKFPSKAAVQALVSQQLKLKSLLHATNNSKSYADSPCSAGEVGNWGRKFDANEQLVLYAGKLNLAADNEKLRAHLQGMQCRVMELEKLCKKMQTQMAKFTKSRASSHSHTRSVPKLCS >ONI18554 pep chromosome:Prunus_persica_NCBIv2:G3:22313363:22317369:1 gene:PRUPE_3G223000 transcript:ONI18554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIASYSGKLRKLLGKSKSTSRNLKVVLHDFPGGAESFELISRFCYNHGSTDITPSNISLLYCAAQFMEMNNSVIGTHNLLEKAEKSFEEIRYWTWSELLMALKHCQDLLPAANSLSLLEKCMDTLVARLPSTSEASPCPSTSSPDSSGIRFSCDTRSSESLKTSSSRATWWFEDLLALGPNLVEMLVKSMISRKLDHVIISRFLFYYQKSKFYTVKSDAKCTFVETVIDMLYILDQSCVSCKSLFGILRVALNLNINKSSRNKLESMIGSQLDQAILDNLLVPSPRGINYLYDVNLVLRLLKSFLSGGICQASPMRLRRVAALVDLYMAEVAPDPCLKPSKFVALAMVMPDSARDSYDELYHAMDMYIEVHAGLSEEEKMKICCVLNYEKLSSETCIHLSQNKKFPSKAAVQALVSQQLKLKSLLHATNNSKSYADSPCSAGEVGNWGRKFDANEQLVLYAGKLNLAADNEKLRAHLQGMQCRVMELEKLCKKMQTQMAKFTKSRASSHSHTRSVPKLCS >ONI18559 pep chromosome:Prunus_persica_NCBIv2:G3:22314571:22317355:1 gene:PRUPE_3G223000 transcript:ONI18559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNNSVIGTHNLLEKAEKSFEEIRYWTWSELLMALKHCQDLLPAANSLSLLEKCMDTLVARLPSTSEASPCPSTSSPDSSGIRFSCDTRSSESLKTSSSRATWWFEDLLALGPNLVEMLVKSMISRKLDHVIISRFLFYYQKSKFYTVKSDAKCTFVETVIDMLYILDQSCVSCKSLFGILRVALNLNINKSSRNKLESMIGSQLDQAILDNLLVPSPRGINYLYDVNLVLRLLKSFLSGGICQASPMRLRRVAALVDLYMAEVAPDPCLKPSKFVALAMVMPDSARDSYDELYHAMDMYIEVHAGLSEEEKMKICCVLNYEKLSSETCIHLSQNKKFPSKAAVQALVSQQLKLKSLLHATNNSKSYADSPCSAGEVGNWGRKFDANEQLVLYAGKLNLAADNEKLRAHLQGMQCRVMELEKLCKKMQTQMAKFTKSRASSHSHTRSVPKLCS >ONI18560 pep chromosome:Prunus_persica_NCBIv2:G3:22313422:22317352:1 gene:PRUPE_3G223000 transcript:ONI18560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNNSVIGTHNLLEKAEKSFEEIRYWTWSELLMALKHCQDLLPAANSLSLLEKCMDTLVARLPSTSEASPCPSTSSPDSSGIRFSCDTRSSESLKTSSSRATWWFEDLLALGPNLVEMLVKSMISRKLDHVIISRFLFYYQKSKFYTVKSDAKCTFVETVIDMLYILDQSCVSCKSLFGILRVALNLNINKSSRNKLESMIGSQLDQAILDNLLVPSPRGINYLYDVNLVLRLLKSFLSGGICQASPMRLRRVAALVDLYMAEVAPDPCLKPSKFVALAMVMPDSARDSYDELYHAMDMYIEVHAGLSEEEKMKICCVLNYEKLSSETCIHLSQNKKFPSKAAVQALVSQQLKLKSLLHATNNSKSYADSPCSAGEVGNWGRKFDANEQLVLYAGKLNLAADNEKLRAHLQGMQCRVMELEKLCKKMQTQMAKFTKSRASSHSHTRSVPKLCS >ONI19018 pep chromosome:Prunus_persica_NCBIv2:G3:24314921:24317206:1 gene:PRUPE_3G253900 transcript:ONI19018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTSSVTVVEVCRVAPPPPPSPGAFASPSSLPLTFFDIRWLRFPPVQSLYFYEMPTSSATPLFFDSILVPKLKASLSYTLQHFLPLAGNLTWPEDSQKPVLNYVQGDTVSLTIAESGADFYHLSSGDFVEATEYHPLVPRLETSHEQAAVLALQITVFPNCGFSIGTSMHHAVLDGKSSTLFVKSWAHICKHDDQSNSVLPDELKQFYDRVIQDPAELGTIYSNDYQNKDGPNNRSLIFWEMKPPPDSIRGTFEFTRADIETLRQSFKAKMAEQKPVHVSTFTLACAYTWVCVVKAEDIKADKTRLIFSMDCRSRLDPPIPANYFGNCIAGCIPVAETKGLLGEDGLVVAVKAISEAKESLEKGVLDGAENWVSRLFAVSEGRMLSISGSHRFGFYDTDFGWGRPKKFEIVSIDRNRAISLSDSKTGAGAIEVGLALDKHDMHVFASLFAKGLRNL >ONI14995 pep chromosome:Prunus_persica_NCBIv2:G3:1463903:1468350:-1 gene:PRUPE_3G020000 transcript:ONI14995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALFRLLLPSSKTNALIPKLGPTPGSKVRIEHPTHGSDECVIFIFADPNAQSPNNTTQTLDKPEGSPAQQALVRVFRRAVVDEAGEAEKGNNGGGLVCCRLLAATSQVGCVLGRGGKIVEKIRHESGAHVRVLPRDQIPPCASPGDELIQITGDFQAVKKALLSVSGCLQDNPKVDAANSGATKISGGLIHGTGMPAQVDPIPHRGFVSGFNAADYHSRNYSSTPGPENVGSGHRMLMEEEVVFKLLCQVDKVGSLIGKGGSIIRILQSETGASIKIADCAPDSDERVVIISAQENPEQKYSPAQEAVIRVHHRIAEIGFEPGAAVVARLLVHPQHIGCLLGKGGFIITEMRRATGASIRIFPKEQVQKGGFHNDEVVQVIGGLQSVQDALFHITSRIRESIFPMKPPYANFSGPPYMSPFPEMPPPSFRPRHNPASPGPYPSPGRFHHGIDHSAFPSQPSDHQPSFSHSMDHNGPSHMDRVPYSFGNERPGHGPTYESPSPRAWTPQGVSCGTPRGTADVGLGMAPRNGSLRSGSQPPYLSSTTVEIMIPQTLICHVYGENNSNLNQIRQISGANLVVHDSKSGSLETQVVVSGTPDKMRTAQSLIQAFILCGQTQL >ONI14996 pep chromosome:Prunus_persica_NCBIv2:G3:1464188:1468302:-1 gene:PRUPE_3G020000 transcript:ONI14996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALFRLLLPSSKTNALIPKLGPTPGSKVRIEHPTHGSDECVIFIFADPNAQSPNNTTQTLDKPEGSPAQQALVRVFRRAVVDEAGEAEKGNNGGGLVCCRLLAATSQVGCVLGRGGKIVEKIRHESGAHVRVLPRDQIPPCASPGDELIQITGDFQAVKKALLSVSGCLQDNPKVDAANSGATKISGGLIHGTGMPAQVDPIPHRGFVSGFNAADYHSRNYSSTPGPENVGSGHRMLMEEEVVFKLLCQVDKVGSLIGKGGSIIRILQSETGASIKIADCAPDSDERVVIISAQENPEQKYSPAQEAVIRVHHRIAEIGFEPGAAVVARLLVHPQHIGCLLGKGGFIITEMRRATGASIRIFPKEQVQKGGFHNDEVVQVIGGLQSVQDALFHITSRIRESIFPMKPPYANFSGPPYMSPFPEMPPPSFRPRHNPASPGPYPSPGRFHHGIDHSAFPSQPSDHQPSFSHSMDHNGPSHMDRVPYSFGNERPGHGPTYESPSPRAWTPQGVSCGTPRGTADVGLGMAPRNGSLRSSGSQPPYLSSTTVEIMIPQTLICHVYGENNSNLNQIRQISGANLVVHDSKSGSLETQVVVSGTPDKMRTAQSLIQAFILCGQTQL >ONI16315 pep chromosome:Prunus_persica_NCBIv2:G3:6818534:6820846:1 gene:PRUPE_3G091600 transcript:ONI16315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSLFTSSWFLLQKITCRVSRARGFHGVCDGSSSNGRKASLSLIEELEMGNNVPKERDICIYKVPNAMRQMKRKAYEPNIVSIGPYHHGVASLQEMEKVKRIYFRRLFKPNTDDDSMLSVKETADPEMMQLLDDAKKAMQELEEKARRCYSEESELSSEEFVKMMLIDGCFIIGFLRDASEQGFEHTPSTVERWMLPIIRQDLIKLENQLPLFVLRRLYDKLIITKTSSSASDHQKPKSSDLEALSIRFFKPLLQGSVDPDKPLQHFAPKHEGQGKHFLDLFHHNICPEHNEKEVDTLPRKDMKHNLKPQEKQTFWHKDLKPQGKQTQLILSIRELKEAGVKFKRNKKPCRPLDISFSRGTFDIRRKVLTIPAIHINDHRATLFRNMLAFEKCHRYCQHQDVTTYLFFLDGLINSAKDVGLLHYHGILFHSLGSNRRVAKLVNNLCKEVVSDMSQSYLYEVVRDVDAYYNSRYAKVRAFLVHHHFSSWLVGISTLGACLALYLAVVQTVCTVATAKEQLGSDFSLGSFLIDSLLPKHVSGGTDSKPS >ONI17852 pep chromosome:Prunus_persica_NCBIv2:G3:19868495:19872961:-1 gene:PRUPE_3G183100 transcript:ONI17852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYRNGEVWDFENQMPVAAGAGDVILGLDGGTTSTVCICMPILPFSDPLPDPVPVLARAVAGCTNHNSVGEAAARDTLEQVMAEALAKSGSNRSAVRAVCLAVSGVNHPTDQQRILDWLRDVFPSNARLYVQNDAVAALACGTLGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPTLGDWGSGYGIAAQALTAVIRAHDGRGPHTMLMSSILGKLGLSSPDELIGGKRFFSPCHGWWCS >ONI17854 pep chromosome:Prunus_persica_NCBIv2:G3:19870446:19872722:-1 gene:PRUPE_3G183100 transcript:ONI17854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYRNGEVWDFENQMPVAAGAGDVILGLDGGTTSTVCICMPILPFSDPLPDPVPVLARAVAGCTNHNSVGEAAARDTLEQVMAEALAKSGSNRSAVRAVCLAVSGVNHPTDQQRILDWLRDVFPSNARLYVQNDAVAALACGTLGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPTLGDWGSGYGIAAQALTAVIRAHDGRGPHTMLMSSILGKLGLSSPDELIGLQPIFKAVLAKINDKVDLCRSILGSHCSTCSSCCMLCNCW >ONI17851 pep chromosome:Prunus_persica_NCBIv2:G3:19869353:19872722:-1 gene:PRUPE_3G183100 transcript:ONI17851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYRNGEVWDFENQMPVAAGAGDVILGLDGGTTSTVCICMPILPFSDPLPDPVPVLARAVAGCTNHNSVGEAAARDTLEQVMAEALAKSGSNRSAVRAVCLAVSGVNHPTDQQRILDWLRDVFPSNARLYVQNDAVAALACGTLGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPTLGDWGSGYGIAAQALTAVIRAHDGRGPHTMLMSSILGKLGLSSPDELIGWTYADPSWARIAALVPVVVCCAIAGDEVANKILFDSVEELRLSVKAVVQRLGLCGPEGKDSFPLVMVGGVLDENKRWDIGEEVIKCISKDYPGAVPIRPKVEPAVGAALVAWNFCMKESLENAFKS >ONI17508 pep chromosome:Prunus_persica_NCBIv2:G3:18305532:18308313:1 gene:PRUPE_3G163600 transcript:ONI17508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGPARPSKKSPAREIIESKIINDRETERSKGFVFVTFNNEKAMRDAIEGINGQNLDGRNITINEAQSRGSGVVVAVTKVTAEEDMAVLATKVAVIVKAVGIASR >ONI14763 pep chromosome:Prunus_persica_NCBIv2:G3:419618:421201:-1 gene:PRUPE_3G007000 transcript:ONI14763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTATGLPKTPTPFSKIPATSHQYPQSVFVQRHRLTSQGSIFVKSAIRASNPSAAAESSSPGLYSAKKYELTVPNVDLVLEDVRPYLIADGGNVDVVSVEDGVVSLKLQGACGSCPSSTTTMKMGIERVLKEKFGDALKDIQQVYDEENKETTVEAVNGHLDILRPAIKNFGGSVEVLSVEGGDCHVNYVGPDSIGSGIKAAIKEKFPDIVNVVFTG >ONI14762 pep chromosome:Prunus_persica_NCBIv2:G3:419843:421201:-1 gene:PRUPE_3G007000 transcript:ONI14762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTATGLPKTPTPFSKIPATSHQYPQSVFVQRHRLTSQGSIFVKSAIRASNPSAAAESSSPGLYSAKKYELTVPNVDLVLEDVRPYLIADGGNVDVVSVEDGVVSLKLQGACGSCPSSTTTMKMGIERVLKEKFGDALKDIQQVYDEENKETTVEAVNGHLDILRPAIKNFGGSVEVLSVEGGDCHVNYVGPDSIGSGIKAAIKEKFPDIVNVVFTG >ONI14764 pep chromosome:Prunus_persica_NCBIv2:G3:420214:421201:-1 gene:PRUPE_3G007000 transcript:ONI14764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTATGLPKTPTPFSKIPATSHQYPQSVFVQRHRLTSQGSIFVKSAIRASNPSAAAESSSPGLYSAKKYELTVPNVDLVLEDVRPYLIADGGNVDVVSVEDGVVSLKLQGACGSCPSSTTTMKMGIERVLKEKFGDALKDIQQVYDEENKETTVEVRVFDGLHLHYLNFDTRKRMHVITIKWSQPFRLVAPSFHIFGEALDFKSHER >ONI16313 pep chromosome:Prunus_persica_NCBIv2:G3:6815734:6816495:1 gene:PRUPE_3G091400 transcript:ONI16313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPSFQVRLEAKFEFRWKPTPRRDLNPKSKSEFTLRVGMKTKVQVVVVAQLQVRMESELQMALQTCVIHIKL >ONI18146 pep chromosome:Prunus_persica_NCBIv2:G3:20862729:20865375:1 gene:PRUPE_3G199400 transcript:ONI18146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESWLSKFISLRCFIFLSLIATHCFLFVSFWTREGDENRSTCCSWDGVECDEESGHVIGLDLRSSCLHGSINSSNSLFRLVHLQRLDLSDNHFNFSQIPSRFEISKLSKLSTLDLSFNGPKFDAYGIPLKLTKGNMRSLVQNLTAIKQLHLNWVEMYSTVSDILVNASSLTSLRFGYCELNGEFPVGIFHLPNLEVLDVKGNSNLTGYFPNFNMSNNNSIRNLHSLNLLDISRCHFYPLVPSSLGNLTQLNYLDMFLFNDIPNNNSTGQLVSEYSLSWIASLTNLYSLSLGENSQVLWLTSRAIPRSLFQLQNLEILDLSSNSLSGQVEFDQFSQPKKLMELQLSYNKLSLLIITNLSATVPQLELLDLQTNMLQGSLAIPPQSIRNYMVGSNHYSGEISPLFCNLNDLHILDLSNNSLSGMLPQCLGNSSALEILMLMNNSFHGSIPQICPVENSLKMVDLSYNQLHGKVPRLEFLNNHMRDIFPFDFSSNRFEGEIPAGVIGNLRGLLFLNLSNNALTGLSPSSLWNLTALESLDLSRNQLSGRIPGNLAQLTFLEYFNVSYNHLGGPIPLGQQFGTFLEDSYQGNSGLCGKPLSKKCDSSILLPPSIFEEDEDSGFQIALDWYVVLPGVVSGLIVGVVAGNFWTSKNHEWFLEKFSRKRQPRGTRGRRGHRN >ONI16065 pep chromosome:Prunus_persica_NCBIv2:G3:5666627:5684584:-1 gene:PRUPE_3G077100 transcript:ONI16065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSQAQAVKSLNKSPGRRRFVFKSFSQRLEEVEIDVFRSLDKVKSEPQAGSTFFRDCLVEWRELNTAEDFIAFYEQMTPLVQTLPLVLLHKETIISELLSRLQMKARLSLEPILRLIAALSRDLLEDFIPFLPRIADSLVCLLESGADREPETIEQIFTSWSSIMMYLQKYLVQKLVHVLKVTVKLRYYPKDYIQEFMAEGMSFLLRNAPFEQLKEGVKKVMFEVVKKSIPVRKCGVSALLYFVMRGTSSRFHSKAEQVLHLLMDDLILGIGENFSKGSDTVVEVLISALQRLCDDLDSKELNLMFNILYQEITDCVINGGVERLSRLLLLLVSTIQVKNGQRVSDYQQMLEIVGLLVRTFIMPSGITMAKEHSSDVVDKVLQLMLSILSGLHSYNDMSTISSCSLQWAPVFDLKNSSLLGFIRQLLQKDVCVLDIFRVNILRAMNDLIETSQEDVIYLLLTFNEKLQMETQSLTFLDRTREGVPRIQGFMRGAISNWVGVLKGIVDGDSSSTLIHEADLAQLWGVINCFPQIAESEEDFSLLMDLIDADDQILMIEADNIAGFPKHTWESLIGATLNSYYKLTRGKNSELDETSRFLHLGKRHKSCLQVLVAVADFLDSVYGPIVEGDTKSRTYHPELQADKAIDALDIFADNLFHSDRGIRASTLRILCHYETLNCNICTEDEPVVKKMRTEVSPTCHVDNQGFNVLPLLLSIESTPLSISTSRKVTLLISRIQMGLSTGRIAEAYLPLILNGMIGIFHNRFSYLWNPTSECLAVLISQNTGLVWERFVHYFEQCLSRFQVSFDQVDEVNSKLTNKSSDLVEGFNLCFTSKSDSTPSAAVLSSLLQSLQRIPTIIESKSRQILPLFLKFLGYNCKDFKSIGSFNPSVCKGKEWKGVLKEWLNLLKLMHNLKSFYQNQFLKEVLQNRLLDENDAEIQTKVLDCLLIWKDDFLLPYSQRLKNLASFHNLREELTTWSLSRESNLIEEEHRPDLVPMVIRLLMPKVRKLKKHASQKLSRVNHRKAVLGFIAQVEVEKLPLFFVLLIKPLQIVSMGSDGAASWFWTLPNSSLAEFQALDFLKYFTLSNISALSWKKRSGFLHVIEDILGVFDASRVGPFLDFLMGCVVRILGSCSLGLDVAKGNGSSVENYPDVDLTLLGKDSAVENNVLISTTLRQFKDLRSLCLKINLLNLDHELDDEDSAVKRVILPNLEALIDSLHSLFHSNNAAKRKLFKRPGDTETRIFKFLPKYIKSTVPARKFVDILLPVLANGTQNSDFCFEVVQVIRDIVPVLGSEITNKILTAVSPLLTSTDLDKRVFICDLLDAVARVDPSIHFVAKLVQDLNATSNTELGSLDYDNVVNAYEKISVDIFYTIREDHALVILSHCVYDMSSEELILRHSAYKSLRSFVEFAALILGQVVNNHCEMPDMPDKMLASDDCYWTRACIQRITSKFLLNHMGNALKRGTSIRKEWVDLLREMVLKLPEVANLGSLKALCDEDAEIDFFNNIVHLQKHRRARALSRFRNVISSSYMPEGITKKVFVPLFFNMLLEEHEGKGEHVKNVCIEALASISCHMEWNSYYSLLMRCFNEMIKNPNKQKLLLRLICSVLDQFHFSDAKDSLDNVSNTGTTDSGTSILRRCSTVSANEIQTCLQKVVLPKIHKLLSDSEKVNANINLAALRVLRLLPGDVMDSQLPSIVHRISNFLKNRLESIREEARSALAACLKELGLEYLHFIVKVLRSTLKRGYELHVLGYTLNFILSKFLVTPISGKLDYCLEDLLYIVQNDILGDVAEEKDVEKIASKMKETKKQKSFETLRLIAQSITFKSHALKLLSPVTAQFEKHLTPKTKTKLESMLTHIAAGIEYNPTVDQTDLFIFVYGLIEDGINEENGQGENLFITRLNGRRRNDMTGKAVSSGCVAGAKSVCSHLISVFALGIFQKRIKNLKLGHNDAQMLSMLDPFVLLLGKCLNSKYEDVVSASLRCLTPLVRLPLPAIESQADNIKAALFGIAESSVNTGSSLMQSCLRLLTVLLRGTKITLSSDQLHLLIQLPLFVDLEKNPSFVALSLLKAIVNRKLVVPEIYDLVTRVAELMVTSQVEPIRHKCSKILLQFLLDYRLSEKRLQQHLDFLLSNLRYEHSSGRKSVLDMLHTIIVKFPKGVVDEQSQTFFVHLVVCLANDQDNEVRSLAGAAIKCLTGYISLHSFRSILEYSLSWYLGAKQQLWSAAAQVLGLLVEVMEKGFHKHINKILPVAVMEKEFHKHINRILPVTKCILQSTINVVTDGKLDFSNETNIPLWKEAYYSLVMLEKMLHQFQGLCFDRDLEDIWEAICELLLHPHMWLRCISSRLVAFYFAAVTEACSKNHEKLCGAYYLIRPSRLFMIAVYLCCQMKTQLVDDTASNLITQNLVSTICGVHSLVGQTECADPTQFWSTLEEHEQGCFLKAFELLDARKGRIMFLSLTSGICDKNNESPSKNIRYLLVSSLLKKMGKIALQMEAIQMKIVFDSFGKISSEISQEDCLLHASEILLPLYKVCEGFSGRVIPENMKQLAQEISERVRNKLGVQNYVLVYNDIRKNLKAKRDKRKHEEKRMAVTDPMRNAKRKLRIAEKHRANKKRKMMTMKMGRWTHSKSK >ONI16066 pep chromosome:Prunus_persica_NCBIv2:G3:5666627:5684584:-1 gene:PRUPE_3G077100 transcript:ONI16066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSQAQAVKSLNKSPGRRRFVFKSFSQRLEEVEIDVFRSLDKVKSEPQAGSTFFRDCLVEWRELNTAEDFIAFYEQMTPLVQTLPLVLLHKETIISELLSRLQMKARLSLEPILRLIAALSRDLLEDFIPFLPRIADSLVCLLESGADREPETIEQIFTSWSSIMMYLQKYLVQKLVHVLKVTVKLRYYPKDYIQEFMAEGMSFLLRNAPFEQLKEGVKKVMFEVVKKSIPVRKCGVSALLYFVMRGTSSRFHSKAEQVLHLLMDDLILGIGENFSKGSDTVVEVLISALQRLCDDLDSKELNLMFNILYQEITDCVINGGVERLSRLLLLLVSTIQVKNGQRVSDYQQMLEIVGLLVRTFIMPSGITMAKEHSSDVVDKVLQLMLSILSGLHSYNDMSTISSCSLQWAPVFDLKNSSLLGFIRQLLQKDVCVLDIFRVNILRAMNDLIETSQEDVIYLLLTFNEKLQMETQSLTFLDRTREGVPRIQGFMRGAISNWVGVLKGIVDGDSSSTLIHEADLAQLWGVINCFPQIAESEEDFSLLMDLIDADDQILMIEADNIAGFPKHTWESLIGATLNSYYKLTRGKNSELDETSRFLHLGKRHKSCLQVLVAVADFLDSVYGPIVEGDTKSRTYHPELQADKAIDALDIFADNLFHSDRGIRASTLRILCHYETLNCNICTEDEPVVKKMRTEVSPTCHVDNQGFNVLPLLLSIESTPLSISTSRKVTLLISRIQMGLSTGRIAEAYLPLILNGMIGIFHNRFSYLWNPTSECLAVLISQNTGLVWERFVHYFEQCLSRFQVSFDQVDEVNSKLTNKSSDLVEGFNLCFTSKSDSTPSAAVLSSLLQSLQRIPTIIESKSRQILPLFLKFLGYNCKDFKSIGSFNPSVCKGKEWKGVLKEWLNLLKLMHNLKSFYQNQFLKEVLQNRLLDENDAEIQTKVLDCLLIWKDDFLLPYSQRLKNLASFHNLREELTTWSLSRESNLIEEEHRPDLVPMVIRLLMPKVRKLKKHASQKLSRVNHRKAVLGFIAQVEVEKLPLFFVLLIKPLQIVSMGSDGAASWFWTLPNSSLAEFQALDFLKYFTLSNISALSWKKRSGFLHVIEDILGVFDASRVGPFLDFLMGCVVRILGSCSLGLDVAKGNGSSVENYPDVDLTLLGKDSAVENNVLISTTLRQFKDLRSLCLKIVSFVLNKYEDHEFSCEFWDLFFMSVKPLIDGFKQEGPSGQKPSSLFSCFLALSRSQKLVPLLYREQKLVPDILSILTVTSASEAIISCVLKFVENLLNLDHELDDEDSAVKRVILPNLEALIDSLHSLFHSNNAAKRKLFKRPGDTETRIFKFLPKYIKSTVPARKFVDILLPVLANGTQNSDFCFEVVQVIRDIVPVLGSEITNKILTAVSPLLTSTDLDKRVFICDLLDAVARVDPSIHFVAKLVQDLNATSNTELGSLDYDNVVNAYEKISVDIFYTIREDHALVILSHCVYDMSSEELILRHSAYKSLRSFVEFAALILGQVVNNHCEMPDMPDKMLASDDCYWTRACIQRITSKFLLNHMGNALKRGTSIRKEWVDLLREMVLKLPEVANLGSLKALCDEDAEIDFFNNIVHLQKHRRARALSRFRNVISSSYMPEGITKKVFVPLFFNMLLEEHEGKGEHVKNVCIEALASISCHMEWNSYYSLLMRCFNEMIKNPNKQKLLLRLICSVLDQFHFSDAKDSLDNVSNTGTTDSGTSILRRCSTVSANEIQTCLQKVVLPKIHKLLSDSEKVNANINLAALRVLRLLPGDVMDSQLPSIVHRISNFLKNRLESIREEARSALAACLKELGLEYLHFIVKVLRSTLKRGYELHVLGYTLNFILSKFLVTPISGKLDYCLEDLLYIVQNDILGDVAEEKDVEKIASKMKETKKQKSFETLRLIAQSITFKSHALKLLSPVTAQFEKHLTPKTKTKLESMLTHIAAGIEYNPTVDQTDLFIFVYGLIEDGINEENGQGENLFITRLNGRRRNDMTGKAVSSGCVAGAKSVCSHLISVFALGIFQKRIKNLKLGHNDAQMLSMLDPFVLLLGKCLNSKYEDVVSASLRCLTPLVRLPLPAIESQADNIKAALFGIAESSVNTGSSLMQSCLRLLTVLLRGTKITLSSDQLHLLIQLPLFVDLEKNPSFVALSLLKAIVNRKLVVPEIYDLVTRVAELMVTSQVEPIRHKCSKILLQFLLDYRLSEKRLQQHLDFLLSNLRYEHSSGRKSVLDMLHTIIVKFPKGVVDEQSQTFFVHLVVCLANDQDNEVRSLAGAAIKCLTGYISLHSFRSILEYSLSWYLGAKQQLWSAAAQDIWEAICELLLHPHMWLRCISSRLVAFYFAAVTEACSKNHEKLCGAYYLIRPSRLFMIAVYLCCQMKTQLVDDTASNLITQNLVSTICGVHSLVGQTECADPTQFWSTLEEHEQGCFLKAFELLDARKGRIMFLSLTSGICDKNNESPSKNIRYLLVSSLLKKMGKIALQMEAIQMKIVFDSFGKISSEISQEDCLLHASEILLPLYKVCEGFSGRVIPENMKQLAQEISERVRNKLGVQNYVLVYNDIRKNLKAKRDKRKHEEKRMAVTDPMRNAKRKLRIAEKHRANKKRKMMTMKMGRWTHSKSK >ONI16067 pep chromosome:Prunus_persica_NCBIv2:G3:5666972:5684482:-1 gene:PRUPE_3G077100 transcript:ONI16067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSQAQAVKSLNKSPGRRRFVFKSFSQRLEEVEIDVFRSLDKVKSEPQAGSTFFRDCLVEWRELNTAEDFIAFYEQMTPLVQTLPLVLLHKETIISELLSRLQMKARLSLEPILRLIAALSRDLLEDFIPFLPRIADSLVCLLESGADREPETIEQIFTSWSSIMMYLQKYLVQKLVHVLKVTVKLRYYPKDYIQEFMAEGMSFLLRNAPFEQLKEGVKKVMFEVVKKSIPVRKCGVSALLYFVMRGTSSRFHSKAEQVLHLLMDDLILGIGENFSKGSDTVVEVLISALQRLCDDLDSKELNLMFNILYQEITDCVINGGVERLSRLLLLLVSTIQVKNGQRVSDYQQMLEIVGLLVRTFIMPSGITMAKEHSSDVVDKVLQLMLSILSGLHSYNDMSTISSCSLQWAPVFDLKNSSLLGFIRQLLQKDVCVLDIFRVNILRAMNDLIETSQEDVIYLLLTFNEKLQMETQSLTFLDRTREGVPRIQGFMRGAISNWVGVLKGIVDGDSSSTLIHEADLAQLWGVINCFPQIAESEEDFSLLMDLIDADDQILMIEADNIAGFPKHTWESLIGATLNSYYKLTRGKNSELDETSRFLHLGKRHKSCLQVLVAVADFLDSVYGPIVEGDTKSRTYHPELQADKAIDALDIFADNLFHSDRGIRASTLRILCHYETLNCNICTEDEPVVKKMRTEVSPTCHVDNQGFNVLPLLLSIESTPLSISTSRKVTLLISRIQMGLSTGRIAEAYLPLILNGMIGIFHNRFSYLWNPTSECLAVLISQNTGLVWERFVHYFEQCLSRFQVSFDQVDEVNSKLTNKSSDLVEGFNLCFTSKSDSTPSAAVLSSLLQSLQRIPTIIESKSRQILPLFLKFLGYNCKDFKSIGSFNPSVCKGKEWKGVLKEWLNLLKLMHNLKSFYQNQFLKEVLQNRLLDENDAEIQTKVLDCLLIWKDDFLLPYSQRLKNLASFHNLREELTTWSLSRESNLIEEEHRPDLVPMVIRLLMPKVRKLKKHASQKLSRVNHRKAVLGFIAQVEVEKLPLFFVLLIKPLQIVSMGSDGAASWFWTLPNSSLAEFQALDFLKYFTLSNISALSWKKRSGFLHVIEDILGVFDASRVGPFLDFLMGCVVRILGSCSLGLDVAKGNGSSVENYPDVDLTLLGKDSAVENNVLISTTLRQFKDLRSLCLKIVSFVLNKYEDHEFSCEFWDLFFMSVKPLIDGFKQEGPSGQKPSSLFSCFLALSRSQKLVPLLYREQKLVPDILSILTVTSASEAIISCVLKFVENLLNLDHELDDEDSAVKRVILPNLEALIDSLHSLFHSNNAAKRKLFKRPGDTETRIFKFLPKYIKSTVPARKFVDILLPVLANGTQNSDFCFEVVQVIRDIVPVLGSEITNKILTAVSPLLTSTDLDKRVFICDLLDAVARVDPSIHFVAKLVQDLNATSNTELGSLDYDNVVNAYEKISVDIFYTIREDHALVILSHCVYDMSSEELILRHSAYKSLRSFVEFAALILGQVVNNHCEMPDMPDKMLASDDCYWTRACIQRITSKFLLNHMGNALKRGTSIRKEWVDLLREMVLKLPEVANLGSLKALCDEDAEIDFFNNIVHLQKHRRARALSRFRNVISSSYMPEGITKKVFVPLFFNMLLEEHEGKGEHVKNVCIEALASISCHMEWNSYYSLLMRCFNEMIKNPNKQKLLLRLICSVLDQFHFSDAKDSLDNVSNTGTTDSGTSILRRCSTVSANEIQTCLQKVVLPKIHKLLSDSEKVNANINLAALRVLRLLPGDVMDSQLPSIVHRISNFLKNRLESIREEARSALAACLKELGLEYLHFIVKVLRSTLKRGYELHVLGYTLNFILSKFLVTPISGKLDYCLEDLLYIVQNDILGDVAEEKDVEKIASKMKETKKQKSFETLRLIAQSITFKSHALKLLSPVTAQFEKHLTPKTKTKLESMLTHIAAGIEYNPTVDQTDLFIFVYGLIEDGINEENGQGENLFITRLNGRRRNDMTGKAVSSGCVAGAKSVCSHLISVFALGIFQKRIKNLKLGHNDAQMLSMLDPFVLLLGKCLNSKYEDVVSASLRCLTPLVRLPLPAIESQADNIKAALFGIAESSVNTGSSLMQSCLRLLTVLLRGTKITLSSDQLHLLIQLPLFVDLEKNPSFVALSLLKAIVNRKLVVPEIYDLVTRVAELMVTSQVEPIRHKCSKILLQFLLDYRLSEKRLQQHLDFLLSNLRYEHSSGRKSVLDMLHTIIVKFPKGVVDEQSQTFFVHLVVCLANDQDNEVRSLAGAAIKCLTGYISLHSFRSILEYSLSWYLGAKQQLWSAAAQVLGLLVEVMEKGFHKHINKILPVAVMEKEFHKHINRILPVTKCILQSTINVVTDGKLDFSNETNIPLWKEAYYSLVMLEKMLHQFQGLCFDRDLEDIWEAICELLLHPHMWLRCISSRLVAFYFAAVTEACSKNHEKLCGAYYLIRPSRLFMIAVYLCCQMKTQLVDDTASNLITQNLVSTICGVHSLVGQTECADPTQFWSTLEEHEQGCFLKAFELLDARKGRIMFLSLTSGICDKNNESPSKNIRYLLVSSLLKKMGKIALQMEAIQMKIVFDSFGKISSEISQEDCLLHASEILLPLYKVCEGFSGRVIPENMKQLAQEISERVRNKLGVQNYVLVYNDIRKNLKAKRDKRKHEEKRMAVTDPMRNAKRKLRIAEKHRANKKRKMMTMKMGRWTHSKSK >ONI18792 pep chromosome:Prunus_persica_NCBIv2:G3:23372928:23377399:1 gene:PRUPE_3G239500 transcript:ONI18792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSGLENNALAQKNESERVRDDMVAQVKNRVHGGRAKGKTCHQCRQKTLNFAASCTNIKTKGRRCQINVCCRCLLNRYGEIAEEVDREGNWSCPKCRGICNCSTCMNKKGLQPTGLLAPTAKAEGFKSVFEMLSVKGLENLGRDKNAGRKGASRKKAVALDEDPVVDSPRKRGKENSVEGSDDVNLNETLDSDELKSKKAKRGRLMEIGNEGRDDAKAKTGRGRRRGKECSMKKNKAEEDEDDAVLPQGKPVTDAAQIEIPPEDAGNALQFLEFFSAFGEVLDFERDQAEHLLKELLHRGRGRSGRPEQYSSIIRFHIMMLSFIQEDTGKESHCLGETSNKNTWFQDLGKRISKSGISGDVMDGLPPECFSKGSAGYEMLNFSQRLRLLTFLCDEALGTNTLRDWIDMQSEESVETKNAKGKVAAAKDKEKEIQKRLEVEKAKRVNAEKDGPVSIEKHDTNTMVSRLESEVAQAQAEVAEAAAMVPKKKPFSDAMRTQPHLVDADGRVFWKLNSHTGGEGGVLLQDMGKWDASPSHEKWFVYGAEAKEGVEKYLAHLTLKQQKEKRAMRKKRSKTSCESNEENMELIPESNEENIELSPESNEENKELSPESTEVNKELSPESNELNMKLSPESNEDNMKLSPESNEDNMELSPSESNGENMELSTSESNEENMELSSSESNEGNMELILVAN >ONI18793 pep chromosome:Prunus_persica_NCBIv2:G3:23371542:23377399:1 gene:PRUPE_3G239500 transcript:ONI18793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKGLQPTGLLAPTAKAEGFKSVFEMLSVKGLENLGRDKNAGRKGASRKKAVALDEDPVVDSPRKRGKENSVEGSDDVNLNETLDSDELKSKKAKRGRLMEIGNEGRDDAKAKTGRGRRRGKECSMKKNKAEEDEDDAVLPQGKPVTDAAQIEIPPEDAGNALQFLEFFSAFGEVLDFERDQAEHLLKELLHRGRGRSGRPEQYSSIIRFHIMMLSFIQEDTGKESHCLGETSNKNTWFQDLGKRISKSGISGDVMDGLPPECFSKGSAGYEMLNFSQRLRLLTFLCDEALGTNTLRDWIDMQSEESVETKNAKGKVAAAKDKEKEIQKRLEVEKAKRVNAEKDGPVSIEKHDTNTMVSRLESEVAQAQAEVAEAAAMVPKKKPFSDAMRTQPHLVDADGRVFWKLNSHTGGEGGVLLQDMGKWDASPSHEKWFVYGAEAKEGVEKYLAHLTLKQQKEKRAMRKKRSKTSCESNEENMELIPESNEENIELSPESNEENKELSPESTEVNKELSPESNELNMKLSPESNEDNMKLSPESNEDNMELSPSESNGENMELSTSESNEENMELSSSESNEGNMELILVAN >ONI16695 pep chromosome:Prunus_persica_NCBIv2:G3:9816865:9819450:1 gene:PRUPE_3G116100 transcript:ONI16695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLTSAKLCFLPYCLVILLSVLSPNWVAFPFATSTSDTEAKALLKWKASLFPNQALNHLTWYDPPTHNINATNSSSSNPKPRTSPCTWTGVSCNSARSVRTISLSTCGIQGMLHEFSFLSFPSPEYLDLSLNKLFDAIPPQISYLSKLHHLDLSQNNLSGRIPPEIGLLRNLRFLPLSANTFLGVIPKEIGNLKSLVDLRLSYNTLSGLIPSNIGNLIKLNTLYLAKNQLSGLIPMEIWNLKSLVDLKLSYNNLSGLIPPNIGNLINLNTLYVGENQLSGLIPKEIGNLKYLMDLQLSSNQLNGSIPTSFANLRNLETLYLQLENLKNLTELQLDTNQLSGYLPPNICQGGKLTKLSVGTNYLTGPIPKSLKNCTGLVRVFLDQNQLTGNISEDFGVYLNLDFMNISHNNLYGEISHNWRQCPKLKTLLIAGNNFTGSIPPEIANATQIHVLDLSSNHLVGLIPKEFGRLASLERLMLNGNQLSGRIPSEFGSLNDLEYLDLSTNKFNESIPSILGDLLKLHYLNLSNNKLAQAIPFKLGKLGQLNYMDLSHNSLEGKIPSEMGSIKSLVTLDLSHNNLSGSIPSSFEEMRCLLYVDISYNHLEGPLPNISAFRQAPLERLKGNKGLCGKVRALLPPCNAHGSKKDHKVVFSILAVFVLLSALFVIVQRKKKHQDTKQNHMHGEIFFSVLNFDGKSMYEEIIRATEDFDSTYCIGKGGHGSVYRVNLSSGDVVAVKKLHLPLDGETEFQKEFLNEVRALTEIRHRNILKLYAHKRHSFLVYEYLERGSLAAMLSKDEEAKELGWSKRVNIVKGLANALSYMHHDCLPPVKKMGTNHFLVKNKGCLT >ONI19943 pep chromosome:Prunus_persica_NCBIv2:G3:26800110:26801186:-1 gene:PRUPE_3G306500 transcript:ONI19943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLLILLITLLSLSASPALATSVTLLKAAKVLSNSGFLSMALKLQLVSHSLEFESPTATIFAPPDLAFIRLGQPSLPLLLYHVSPRRLSLNTLKYLRPNTKIPTLLPNYTLTLTGSPNFQGYLSINNLTIDPNAVLDDGSVVIYGIDEFFNSSFLVDASSTPAPPLMSSVSGAQSPSPGPSANEDTEFESRRPGPESFRFVADLLRSKGYSIMAAFLDAQLVSFKRKTRLTIFAPVDEAIEDYQRNTTDYSLIFRQHVVPRMLLWQDLVGLDDGTMLPTFEEGFMINVIKFGEVPALNEVAVVSEDMYQNRWLVVHGLNRLLTSQEPKQQDPVDDIYTDAYVAQSVPHHDYGDFH >ONI16304 pep chromosome:Prunus_persica_NCBIv2:G3:6732625:6735462:-1 gene:PRUPE_3G090700 transcript:ONI16304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARRAIEENCLGKKITKALIADDPKVIDGVSRADFEASLLGKTIVSAHRKGKNLWLRLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDTDEWPSKYSKLFVELDDGLEFSFTDKRRFARVRLLKDPASVPPISELGPDALLEPMTGDELFESLSKKKIAIKTLLLDQSYISGIGNWVADEVLYQARIHPEQSAASLSKENYGNLHKSIKEVIEYAVQVDADSTQFPCEWLFHFRWGKKPGKVNGKKLEIYVVILTKVYFTGYRKIT >ONI16303 pep chromosome:Prunus_persica_NCBIv2:G3:6731589:6735563:-1 gene:PRUPE_3G090700 transcript:ONI16303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARRAIEENCLGKKITKALIADDPKVIDGVSRADFEASLLGKTIVSAHRKGKNLWLRLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDTDEWPSKYSKLFVELDDGLEFSFTDKRRFARVRLLKDPASVPPISELGPDALLEPMTGDELFESLSKKKIAIKTLLLDQSYISGIGNWVADEVLYQARIHPEQSAASLSKENYGNLHKSIKERMNTCRLLNMRFKLMQTLPSFPVNGCFIFGGAKSPEKLMGGKLTLSRLVAGLQRMYQSFRS >ONI16301 pep chromosome:Prunus_persica_NCBIv2:G3:6731589:6735562:-1 gene:PRUPE_3G090700 transcript:ONI16301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARRAIEENCLGKKITKALIADDPKVIDGVSRADFEASLLGKTIVSAHRKGKNLWLRLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDTDEWPSKYSKLFVELDDGLEFSFTDKRRFARVRLLKDPASVPPISELGPDALLEPMTGDELFESLSKKKIAIKTLLLDQSYISGIGNWVADEVLYQARIHPEQSAASLSKENYGNLHKSIKEVIEKSLEVGADSSQFPSNWIFHSREKKPGKAFVDGRKIDFITVGGRTTAYVPELQKLSGQQAARAGSKQANKRKGHGDGVKDDVNEAASDEEVNGSVQSKKGRKPRGQGNKSSAKRKSKESDDEDNANDSEDDDDDDNDDHHDEDQKNKTRKVTNNKQSKVEKTSKKTVQTSQNSKKKKKAK >ONI16302 pep chromosome:Prunus_persica_NCBIv2:G3:6731589:6735563:-1 gene:PRUPE_3G090700 transcript:ONI16302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARRAIEENCLGKKITKALIADDPKVIDGVSRADFEASLLGKTIVSAHRKGKNLWLRLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDTDEWPSKYSKLFVELDDGLEFSFTDKRRFARVRLLKDPASVPPISELGPDALLEPMTGDELFESLSKKKIAIKTLLLDQSYISGIGNWVADEVLYQARIHPEQSAASLSKENYGNLHKSIKEVIEYAVQVDADSTQFPCEWLFHFRWGKKPGKVNGRKIDFITVGGRTTAYVPELQKLSGQQAARAGSKQANKRKGHGDGVKDDVNEAASDEEVNGSVQSKKGRKPRGQGNKSSAKRKSKESDDEDNANDSEDDDDDDNDDHHDEDQKNKTRKVTNNKQSKVEKTSKKTVQTSQNSKKKKKAK >ONI15761 pep chromosome:Prunus_persica_NCBIv2:G3:4234335:4240877:-1 gene:PRUPE_3G059800 transcript:ONI15761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVEQVSGEITYNGYRLNEFVPQKTSAYISQNDVHMGELTVKETLDFSARCQGVGTRYELLSELARREKEAGIFPEPEVDLFMKATSMGGVESSLITDYTLRMLGLDMCKDTLVGDQMKRGISGGQRKRVTTGEMIVGPTKTLFMDEISTGLDSSTTHQIVKCLQQIVHITEATILMSLLQPAPETFDLFDDIILLSEGKIIYQGPREHVLEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWGDRSKPYQYISVTEFANRFKRFHVGMCLETELSIPFNKAQGHGAALVVKRYSLPRMELLKACFDKEWLLIKRNSFVYIFKQVQSIIAAFVVATLFLRTQMHTRNEDDGALYIGALLFSIIHNMFSGYAELTLVIERLPVFYKHRDLLFHPAWAFTLPSVLLRIPITLFESTVWVGITYYTIGFAPEASRFFQQLLLVFLLQQMATGMFWLIAGVCRTMIIANTGGSLILLFVFMLGGFIIPRGEIPKWWRWGYWVSPMTYCFNAITVKEMFAPRWMNKMASDNVTTLGVAVLEIFDVFPDKNWFWIGSAAVLGFAVLFNILYTLTLTYLNPLGKPQAIIYEEVAEEIEADQSKEEPRLRRPKSKKDSFSQSLTSSDGNNLREMEIQRMSSRSNANGLSRNADSTLEVPNGVAPKRGMVLPFTPLAMSFDSVNYFVDMPPEMKEEGVKEDRLQLLCEVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQNDIHSPQVTVKESLIYSAFLRLPKEVTKEEKMTFLEEVMELVELDNLKDALVGIPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIKYFEAVPGVPKIKERYNPATWMLEVSSVATEFRLGIDFAQHFKSSSLHQRNKALIKELSTPPPGAKDLYFRTQYSQSTWEQFKSCLWKQWWTYWRSPDYNLVRFFFTLVAALLLGSMFWKIGTKRESIADLTMIIGAMTSAVLFIGVNNCSTVQPMVAIERTVFYRERAAGMYSVLPYALAQVIVEIPYVFVQTAYYVLIVYAMVSFQWTAAKFFWFFFVSFFTFLYFTYYGMMTVSITPNHQVAAMVASTFYSFFNLFSGFFIPRPKIPKWWIWYYWICPVSWTVYGLIVSQYGDMEDTIKAPGISPDPSVKWYVENHFGYDPNFMGSVAAVLVGFTVFFAFMFAFSIRALNFQIR >ONI15763 pep chromosome:Prunus_persica_NCBIv2:G3:4234386:4240877:-1 gene:PRUPE_3G059800 transcript:ONI15763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFNKNYAWAIELLSELARREKEAGIFPEPEVDLFMKATSMGGVESSLITDYTLRMLGLDMCKDTLVGDQMKRGISGGQRKRVTTGEMIVGPTKTLFMDEISTGLDSSTTHQIVKCLQQIVHITEATILMSLLQPAPETFDLFDDIILLSEGKIIYQGPREHVLEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWGDRSKPYQYISVTEFANRFKRFHVGMCLETELSIPFNKAQGHGAALVVKRYSLPRMELLKACFDKEWLLIKRNSFVYIFKQVQSIIAAFVVATLFLRTQMHTRNEDDGALYIGALLFSIIHNMFSGYAELTLVIERLPVFYKHRDLLFHPAWAFTLPSVLLRIPITLFESTVWVGITYYTIGFAPEASRFFQQLLLVFLLQQMATGMFWLIAGVCRTMIIANTGGSLILLFVFMLGGFIIPRGEIPKWWRWGYWVSPMTYCFNAITVKEMFAPRWMNKMASDNVTTLGVAVLEIFDVFPDKNWFWIGSAAVLGFAVLFNILYTLTLTYLNPLGKPQAIIYEEVAEEIEADQSKEEPRLRRPKSKKDSFSQSLTSSDGNNLREMEIQRMSSRSNANGLSRNADSTLEVPNGVAPKRGMVLPFTPLAMSFDSVNYFVDMPPEMKEEGVKEDRLQLLCEVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQNDIHSPQVTVKESLIYSAFLRLPKEVTKEEKMTFLEEVMELVELDNLKDALVGIPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIKYFEAVPGVPKIKERYNPATWMLEVSSVATEFRLGIDFAQHFKSSSLHQRNKALIKELSTPPPGAKDLYFRTQYSQSTWEQFKSCLWKQWWTYWRSPDYNLVRFFFTLVAALLLGSMFWKIGTKRESIADLTMIIGAMTSAVLFIGVNNCSTVQPMVAIERTVFYRERAAGMYSVLPYALAQVIVEIPYVFVQTAYYVLIVYAMVSFQWTAAKFFWFFFVSFFTFLYFTYYGMMTVSITPNHQVAAMVASTFYSFFNLFSGFFIPRPKIPKWWIWYYWICPVSWTVYGLIVSQYGDMEDTIKAPGISPDPSVKWYVENHFGYDPNFMGSVAAVLVGFTVFFAFMFAFSIRALNFQIR >ONI15760 pep chromosome:Prunus_persica_NCBIv2:G3:4234335:4241170:-1 gene:PRUPE_3G059800 transcript:ONI15760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLGPPSSGKTTLLLALAGKLDPSLKVSGEITYNGYRLNEFVPQKTSAYISQNDVHMGELTVKETLDFSARCQGVGTRYELLSELARREKEAGIFPEPEVDLFMKATSMGGVESSLITDYTLRMLGLDMCKDTLVGDQMKRGISGGQRKRVTTGEMIVGPTKTLFMDEISTGLDSSTTHQIVKCLQQIVHITEATILMSLLQPAPETFDLFDDIILLSEGKIIYQGPREHVLEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWGDRSKPYQYISVTEFANRFKRFHVGMCLETELSIPFNKAQGHGAALVVKRYSLPRMELLKACFDKEWLLIKRNSFVYIFKQVQSIIAAFVVATLFLRTQMHTRNEDDGALYIGALLFSIIHNMFSGYAELTLVIERLPVFYKHRDLLFHPAWAFTLPSVLLRIPITLFESTVWVGITYYTIGFAPEASRFFQQLLLVFLLQQMATGMFWLIAGVCRTMIIANTGGSLILLFVFMLGGFIIPRGEIPKWWRWGYWVSPMTYCFNAITVKEMFAPRWMNKMASDNVTTLGVAVLEIFDVFPDKNWFWIGSAAVLGFAVLFNILYTLTLTYLNPLGKPQAIIYEEVAEEIEADQSKEEPRLRRPKSKKDSFSQSLTSSDGNNLREMEIQRMSSRSNANGLSRNADSTLEVPNGVAPKRGMVLPFTPLAMSFDSVNYFVDMPPEMKEEGVKEDRLQLLCEVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQNDIHSPQVTVKESLIYSAFLRLPKEVTKEEKMTFLEEVMELVELDNLKDALVGIPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIKYFEAVPGVPKIKERYNPATWMLEVSSVATEFRLGIDFAQHFKSSSLHQRNKALIKELSTPPPGAKDLYFRTQYSQSTWEQFKSCLWKQWWTYWRSPDYNLVRFFFTLVAALLLGSMFWKIGTKRESIADLTMIIGAMTSAVLFIGVNNCSTVQPMVAIERTVFYRERAAGMYSVLPYALAQVIVEIPYVFVQTAYYVLIVYAMVSFQWTAAKFFWFFFVSFFTFLYFTYYGMMTVSITPNHQVAAMVASTFYSFFNLFSGFFIPRPKIPKWWIWYYWICPVSWTVYGLIVSQYGDMEDTIKAPGISPDPSVKWYVENHFGYDPNFMGSVAAVLVGFTVFFAFMFAFSIRALNFQIR >ONI15762 pep chromosome:Prunus_persica_NCBIv2:G3:4234386:4241170:-1 gene:PRUPE_3G059800 transcript:ONI15762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFNKNYAWAIELLSELARREKEAGIFPEPEVDLFMKATSMGGVESSLITDYTLRMLGLDMCKDTLVGDQMKRGISGGQRKRVTTGEMIVGPTKTLFMDEISTGLDSSTTHQIVKCLQQIVHITEATILMSLLQPAPETFDLFDDIILLSEGKIIYQGPREHVLEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWGDRSKPYQYISVTEFANRFKRFHVGMCLETELSIPFNKAQGHGAALVVKRYSLPRMELLKACFDKEWLLIKRNSFVYIFKQVQSIIAAFVVATLFLRTQMHTRNEDDGALYIGALLFSIIHNMFSGYAELTLVIERLPVFYKHRDLLFHPAWAFTLPSVLLRIPITLFESTVWVGITYYTIGFAPEASRFFQQLLLVFLLQQMATGMFWLIAGVCRTMIIANTGGSLILLFVFMLGGFIIPRGEIPKWWRWGYWVSPMTYCFNAITVKEMFAPRWMNKMASDNVTTLGVAVLEIFDVFPDKNWFWIGSAAVLGFAVLFNILYTLTLTYLNPLGKPQAIIYEEVAEEIEADQSKEEPRLRRPKSKKDSFSQSLTSSDGNNLREMEIQRMSSRSNANGLSRNADSTLEVPNGVAPKRGMVLPFTPLAMSFDSVNYFVDMPPEMKEEGVKEDRLQLLCEVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQNDIHSPQVTVKESLIYSAFLRLPKEVTKEEKMTFLEEVMELVELDNLKDALVGIPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIKYFEAVPGVPKIKERYNPATWMLEVSSVATEFRLGIDFAQHFKSSSLHQRNKALIKELSTPPPGAKDLYFRTQYSQSTWEQFKSCLWKQWWTYWRSPDYNLVRFFFTLVAALLLGSMFWKIGTKRESIADLTMIIGAMTSAVLFIGVNNCSTVQPMVAIERTVFYRERAAGMYSVLPYALAQVIVEIPYVFVQTAYYVLIVYAMVSFQWTAAKFFWFFFVSFFTFLYFTYYGMMTVSITPNHQVAAMVASTFYSFFNLFSGFFIPRPKIPKWWIWYYWICPVSWTVYGLIVSQYGDMEDTIKAPGISPDPSVKWYVENHFGYDPNFMGSVAAVLVGFTVFFAFMFAFSIRALNFQIR >ONI14863 pep chromosome:Prunus_persica_NCBIv2:G3:957511:958712:-1 gene:PRUPE_3G013600 transcript:ONI14863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGPVKAACPQRVMVCLLEKGVNFEIVDVNLEVGEQKQPQFLSRQPFGQVPAVEDGDFRLFESRAIIRYYAAKYADRGPNLLGTTLEEKALVDQWLEVEAHNFNDLVYTLVLQLLVLPRMGERGDVALVHACEEKLEKVFDVYEERLSKSSYLAGEAFTLADLSHLPGISYLIDEAKLGHLVSERKNVNAWWKDISNRPAWKKLMSLASDY >ONI14889 pep chromosome:Prunus_persica_NCBIv2:G3:1035746:1041088:-1 gene:PRUPE_3G014600 transcript:ONI14889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDALVPIFAFFLKVRSVCASPTLSLSERCFSDGLSYSSDSFYSSERVLSSMKNVTDISVPDSPHIESDHLKRRRIYSDAGYEPHRFVSYAFGTSRIEKDNILKDVMIWGESIGGNLDSAVNRITNNSGLHVDAVLPKLLESTMMLDVHNISLGGKHAAIVTKQGEVFCWGQADGGRLGNKINMDVSYPKLVDSLNGIQVKSVSCGEYQTCALTHSGKLYTWGDTSCGDSLAGKEKTRSQWLPQKLSGSLNSVNISNVTCGGWHTAIVSTAGQLFTYGDGTFGVLGHGNLDSVSQPREVESLKGLWVKSVSCGSWHTAAVVEIMVDRLRLNSIGGKLFTWGDADKGRLGHVDNERKLLPTFVARLVDHDFVQVCCGRMLTVGLTNKGTVYTMGSAVHGQLGNPQAKDKSITVVEGKLKEEFIREIASGSYHVAVLTARGSVYTWGKGANGQLGLGDVDDRNTPTFVEALRDRQVESIVCGSDSTAAVCLHKSISVNDQSTCYGCNLPFGFIRKKHNCYNCGLLFCHACSRKKAMDASLAPKKGKAFRVCDPCFNNLQKITHSCRSFKQENHSTKQLLTEEKAVPDRKEEKAGATPKYGHLLSIKQTCNKENKSGMRNAMKNHGGDQQHLEPVSSFSSEVPRWGQVPCPDLFKPYCRENSTALDSLSKYNSSSVFSVHSDSAFFSSTSAEKCISMSDEMLIEEIQRLRTEAISLQRKCQIGSHKIHECQQKMEETWSLAREEAATCKAAQEIIKALALRLKTMSEKVSAGRETNDVVAKIVPQLTPLNTDTSNRRLLPQVDSIPDTPIGFSDTPKSLYKRDTCLKKGRPAEDLHPAKTESQQRETKAVKLEWVEQYEPGVYITLVVLPSGQKGLKRVRFSRKKFADKDAERWWEANQGLVYQKYDIEEGYENSKEI >ONI14888 pep chromosome:Prunus_persica_NCBIv2:G3:1036010:1043751:-1 gene:PRUPE_3G014600 transcript:ONI14888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHSLSTAAFDRAVQQAIVSMKKGAYLLKYGQRGKPKFCPFRLSTDEKFLIWYSGKEERQLKLSLVTKIIPGQRSVSFRRQLEPERECQSFSLVYAHGERSLDLICKDEAQCEYWILGLRALISRCRHPRTLSSLGSFRQVQSCLNSPAGPLRRKQNLGVMDDATEFSQVRSVCASPTLSLSERCFSDGLSYSSDSFYSSERVLSSMKNVTDISVPDSPHIESDHLKRRRIYSDAGYEPHRFVSYAFGTSRIEKDNILKDVMIWGESIGGNLDSAVNRITNNSGLHVDAVLPKLLESTMMLDVHNISLGGKHAAIVTKQGEVFCWGQADGGRLGNKINMDVSYPKLVDSLNGIQVKSVSCGEYQTCALTHSGKLYTWGDTSCGDSLAGKEKTRSQWLPQKLSGSLNSVNISNVTCGGWHTAIVSTAGQLFTYGDGTFGVLGHGNLDSVSQPREVESLKGLWVKSVSCGSWHTAAVVEIMVDRLRLNSIGGKLFTWGDADKGRLGHVDNERKLLPTFVARLVDHDFVQVCCGRMLTVGLTNKGTVYTMGSAVHGQLGNPQAKDKSITVVEGKLKEEFIREIASGSYHVAVLTARGSVYTWGKGANGQLGLGDVDDRNTPTFVEALRDRQVESIVCGSDSTAAVCLHKSISVNDQSTCYGCNLPFGFIRKKHNCYNCGLLFCHACSRKKAMDASLAPKKGKAFRVCDPCFNNLQKITHSCRSFKQENHSTKQLLTEEKAVPDRKEEKAGATPKYGHLLSIKQTCNKENKSGMRNAMKNHGGDQQHLEPVSSFSSEVPRWGQVPCPDLFKPYCRENSTALDSLSKYNSSSVFSVHSDSAFFSSTSAEKCISMSDEMLIEEIQRLRTEAISLQRKCQIGSHKIHECQQKMEETWSLAREEAATCKAAQEIIKALALRLKTMSEKVSAGRETNDVVAKIVPQLTPLNTDTSNRRLLPQVDSIPDTPIGFSDTPKSLYKRDTCLKKGRPAEDLHPAKTESQQRETKAVKLEWVEQYEPGVYITLVVLPSGQKGLKRVRFSRKKFADKDAERWWEANQGLVYQKYDIEEGYENSKEI >ONI14887 pep chromosome:Prunus_persica_NCBIv2:G3:1035756:1044015:-1 gene:PRUPE_3G014600 transcript:ONI14887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHSLSTAAFDRAVQQAIVSMKKGAYLLKYGQRGKPKFCPFRLSTVSFRRQLEPERECQSFSLVYAHGERSLDLICKDEAQCEYWILGLRALISRCRHPRTLSSLGSFRQVQSCLNSPAGPLRRKQNLGVMDDATEFSQVRSVCASPTLSLSERCFSDGLSYSSDSFYSSERVLSSMKNVTDISVPDSPHIESDHLKRRRIYSDAGYEPHRFVSYAFGTSRIEKDNILKDVMIWGESIGGNLDSAVNRITNNSGLHVDAVLPKLLESTMMLDVHNISLGGKHAAIVTKQGEVFCWGQADGGRLGNKINMDVSYPKLVDSLNGIQVKSVSCGEYQTCALTHSGKLYTWGDTSCGDSLAGKEKTRSQWLPQKLSGSLNSVNISNVTCGGWHTAIVSTAGQLFTYGDGTFGVLGHGNLDSVSQPREVESLKGLWVKSVSCGSWHTAAVVEIMVDRLRLNSIGGKLFTWGDADKGRLGHVDNERKLLPTFVARLVDHDFVQVCCGRMLTVGLTNKGTVYTMGSAVHGQLGNPQAKDKSITVVEGKLKEEFIREIASGSYHVAVLTARGSVYTWGKGANGQLGLGDVDDRNTPTFVEALRDRQVESIVCGSDSTAAVCLHKSISVNDQSTCYGCNLPFGFIRKKHNCYNCGLLFCHACSRKKAMDASLAPKKGKAFRVCDPCFNNLQKITHSCRSFKQENHSTKQLLTEEKAVPDRKEEKAGATPKYGHLLSIKQTCNKENKSGMRNAMKNHGGDQQHLEPVSSFSSEVPRWGQVPCPDLFKPYCRENSTALDSLSKYNSSSVFSVHSDSAFFSSTSAEKCISMSDEMLIEEIQRLRTEAISLQRKCQIGSHKIHECQQKMEETWSLAREEAATCKAAQEIIKALALRLKTMSEKVSAGRETNDVVAKIVPQLTPLNTDTSNRRLLPQVDSIPDTPIGFSDTPKSLYKRDTCLKKGRPAEDLHPAKTESQQRETKAVKLEWVEQYEPGVYITLVVLPSGQKGLKRVRFSRKKFADKDAERWWEANQGLVYQKYDIEEGYENSKEI >ONI18676 pep chromosome:Prunus_persica_NCBIv2:G3:22889710:22893213:1 gene:PRUPE_3G232100 transcript:ONI18676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRAADFRRPLRRRFPNALWWVLCGVAVLLFIFTLSRGNQMESRPVIPTRYRNDRLMEGLNITEEMLNPNSVSRQLNDQIALAKAFVVIAKESNNLQFAWELSAQIRSSQILLSNAATRRIPLTIRESETAIRDMALILYQAQQLHYDSATMIMRLKAKIQALEEQMSSVSEKSSKYGQIAAEEVPKSLYCLGIQLTGEWFRNSNLQRKTKDRKQIDMKLKDNNLYHFCVFSDNILATSVVVNSTSINSKSPDKIVFHLVTDEINYAAMKAWFSINSFRGVVIEVQKFADFTWLNASYVPVLKQLQDSETQSYYFSGNNDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDVSDLFSIDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRAHFDPDACGWAFGMNVFDLVQWRKRNVTGIYHYWQERNVDRTLWKLGTLPPGLLTFYGLTEPLDPSWHILGLGYTNVDPHLIEKGAVLHYNGNSKPWLKIGMEKYKPLWEKYVDYSHPLLQRCNFH >ONI19181 pep chromosome:Prunus_persica_NCBIv2:G3:24826570:24833142:-1 gene:PRUPE_3G263200 transcript:ONI19181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSVLRKQPSNLEVYAPRLVAAKEGSEQKNGFNFERLLPTAGWVKRAWQPSEDELLSVSSLDAVVFIRIFIFSLRVFGFAGIVGVLILLPINYLGNQLDVDFDFSDLPNKSLDSFSISNVNDGSKCGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGCISFSQIEKSACTKMLWFTIWNIFLANTLSGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19188 pep chromosome:Prunus_persica_NCBIv2:G3:24826826:24832364:-1 gene:PRUPE_3G263200 transcript:ONI19188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWILIFLICPTSLWTPSVFQMSMMAQNVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGYLPSLILHLFLSFVPPIMIMLSSMEGCISFSQIEKSACTKMLWFTIWNIFLANTLSGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19179 pep chromosome:Prunus_persica_NCBIv2:G3:24826570:24833142:-1 gene:PRUPE_3G263200 transcript:ONI19179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPLLKQASSVNSPCCPCPVPRAHTHTAAYSLRVFGFAGIVGVLILLPINYLGNQLDVDFDFSDLPNKSLDSFSISNVNDGSKWLWVHFCAVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGCISFSQIEKSACTKMLWFTIWNIFLANTLSGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19180 pep chromosome:Prunus_persica_NCBIv2:G3:24826570:24833170:-1 gene:PRUPE_3G263200 transcript:ONI19180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSVLRKQPSNLEVYAPRLVAAKEGSEQKNGFNFERLLPTAGWVKRAWQPSEDELLSVSSLDAVVFIRIFIFSLRVFGFAGIVGVLILLPINYLGNQLDVDFDFSDLPNKSLDSFSISNVNDGSKCGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19183 pep chromosome:Prunus_persica_NCBIv2:G3:24826570:24833097:-1 gene:PRUPE_3G263200 transcript:ONI19183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSVLRKQPSNLEVYAPRLVAAKEGSEQKNGFNFERLLPTAGWVKRAWQPSEDELLSVSSLDAVVFIRIFIFSLRVFGFAGIVGVLILLPINYLGNQLDVDFDFSDLPNKSLDSFSISNVNDGSKWLWVHFCAVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19189 pep chromosome:Prunus_persica_NCBIv2:G3:24826826:24831734:-1 gene:PRUPE_3G263200 transcript:ONI19189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFKLMPRYGLRLWVHFCAVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGCISFSQIEKSACTKMLWFTIWNIFLANTLSGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19185 pep chromosome:Prunus_persica_NCBIv2:G3:24826826:24832817:-1 gene:PRUPE_3G263200 transcript:ONI19185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSVLRKQPSNLEVYAPRLVAAKEGSEQKNGFNFERLLPTAGWVKRAWQPSEDELLSVSSLDAVVFIRIFIFSLRVFGFAGIVGVLILLPINYLGNQLDVDFDFSDLPNKSLDSFSISNVNDGSKWLWVHFCAVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGYLPSLILHLFLSFVPPIMIMLSSMEGCISFSQIEKSACTKMLWFTIWNIFLANTLSGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19191 pep chromosome:Prunus_persica_NCBIv2:G3:24827859:24832817:-1 gene:PRUPE_3G263200 transcript:ONI19191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSVLRKQPSNLEVYAPRLVAAKEGSEQKNGFNFERLLPTAGWVKRAWQPSEDELLSVSSLDAVVFIRIFIFSLRVFGFAGIVGVLILLPINYLGNQLDVDFDFSDLPNKSLDSFSISNVNDGSKWLWVHFCAVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGCISFSQIEKSACTKMLWFTIWNIFLANTLSGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQTETPKYRLYHEKL >ONI19178 pep chromosome:Prunus_persica_NCBIv2:G3:24826570:24833169:-1 gene:PRUPE_3G263200 transcript:ONI19178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPLLKQASSVNSPCCPCPVPRAHTHTAAYSLRVFGFAGIVGVLILLPINYLGNQLDVDFDFSDLPNKSLDSFSISNVNDGSKWLWVHFCAVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19190 pep chromosome:Prunus_persica_NCBIv2:G3:24827751:24833169:-1 gene:PRUPE_3G263200 transcript:ONI19190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSVLRKQPSNLEVYAPRLVAAKEGSEQKNGFNFERLLPTAGWVKRAWQPSEDELLSVSSLDAVVFIRIFIFSLRVFGFAGIVGVLILLPINYLGNQLDVDFDFSDLPNKSLDSFSISNVNDGSKWLWVHFCAVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQCFKEQTETPKYRLYHEKL >ONI19193 pep chromosome:Prunus_persica_NCBIv2:G3:24827859:24832364:-1 gene:PRUPE_3G263200 transcript:ONI19193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWILIFLICPTSLWTPSVFQMSMMAQNVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGCISFSQIEKSACTKMLWFTIWNIFLANTLSGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQTETPKYRLYHEKL >ONI19187 pep chromosome:Prunus_persica_NCBIv2:G3:24826570:24833097:-1 gene:PRUPE_3G263200 transcript:ONI19187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWILIFLICPTSLWTPSVFQMSMMAQNVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGCISFSQIEKSACTKMLWFTIWNIFLANTLSGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19192 pep chromosome:Prunus_persica_NCBIv2:G3:24827751:24833098:-1 gene:PRUPE_3G263200 transcript:ONI19192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSVLRKQPSNLEVYAPRLVAAKEGSEQKNGFNFERLLPTAGWVKRAWQPSEDELLSVSSLDAVVFIRIFIFSLRVFGFAGIVGVLILLPINYLGNQLDVDFDFSDLPNKSLDSFSISNVNDGSKWLWVHFCAVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGYLPSLILHLFLSFVPPIMIMLSSMEGCISFSQIEKSACTKMLWFTIWNIFLANTLSGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQCFKEQTETPKYRLYHEKL >ONI19182 pep chromosome:Prunus_persica_NCBIv2:G3:24826826:24832817:-1 gene:PRUPE_3G263200 transcript:ONI19182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSVLRKQPSNLEVYAPRLVAAKEGSEQKNGFNFERLLPTAGWVKRAWQPSEDELLSVSSLDAVVFIRIFIFSLRVFGFAGIVGVLILLPINYLGNQLDVDFDFSDLPNKSLDSFSISNVNDGSKWLWVHFCAVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19186 pep chromosome:Prunus_persica_NCBIv2:G3:24826570:24833169:-1 gene:PRUPE_3G263200 transcript:ONI19186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWILIFLICPTSLWTPSVFQMSMMAQNVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19184 pep chromosome:Prunus_persica_NCBIv2:G3:24826570:24833097:-1 gene:PRUPE_3G263200 transcript:ONI19184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSVLRKQPSNLEVYAPRLVAAKEGSEQKNGFNFERLLPTAGWVKRAWQPSEDELLSVSSLDAVVFIRIFIFSLRVFGFAGIVGVLILLPINYLGNQLDVDFDFSDLPNKSLDSFSISNVNDGSKWLWVHFCAVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGCISFSQIEKSACTKMLWFTIWNIFLANTLSGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQLLNVYAPKYETGGRFWPTVHNSTIFSLVLMHVIAIGIFGLKKLSLASSLTVPLPILTLLFNEYCRKRFLPIFKDYPVECLIKKDREDQNDPTIAVFYDKLRTAYKDPALMPKRHPRSTDDHNSPLLQAGV >ONI19194 pep chromosome:Prunus_persica_NCBIv2:G3:24827859:24831734:-1 gene:PRUPE_3G263200 transcript:ONI19194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFKLMPRYGLRLWVHFCAVYIFSGVVCYLLHYEYGYISSKRYAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVERFFTEYYPSTYLSHAVVRRTNELQRLTSDAEKLYRRLVHLKSETKPQQRSRRDGFCGLFGRKVDVLDQYGKKLENLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAIALHIQQGTNPTEWVTERAPEPQDVHWPFFSSSFTFFSSSFIKRWISKLVVVVACAALTILFLIPVVIVQGLTNLEQLETWFPFLKSILNLTVVSEVITGCISFSQIEKSACTKMLWFTIWNIFLANTLSGSVLYRFNIFLEPKKIPGILADAVPAQASFFIAYVVTSGWTSLLSSELFRLLPLISSIIKRPFSGKDDDEFEVPSIPYHSDIPKVLFFGLLGITYFFLAPLILPFLLVYCCLGYIIYRNQTETPKYRLYHEKL >ONI19261 pep chromosome:Prunus_persica_NCBIv2:G3:25041730:25046916:1 gene:PRUPE_3G268100 transcript:ONI19261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALNKYALNTHFDFVNMGSAGWVFQRKMALFPASFTLSVSALLLTLFRFFSKIFLSFNKEESSLMNNSCCSSPLSGESNQIVPSRIEPEATPMKADSGIWEREDDSDFNDIYDRETPEPKFVFKFEYQTKEILGRSNKGNANGDSPILDIASPAENEEYVFIPEKLSQFKEEPQVKSSSKYEFKAAETLSCFVEEAKVASFTVKEVHAGNSIGVSNSPEAAVHEESPQGSADGESKEEESEKKSVMEDHHSDEEQLGSQENDSAGEKVDSHEINFLSEKHFVGSDSDTDSIGSSHVFSARSQAVGSPSYGFLSETDFGEGDELGALEGIDFENLDIGYEPDGFDEEDEDIMEQLRELEELPGNDHHSPSSSNPEGGSSKDEKPKDGSENSEKPNGQNSSTNDSEDSNTLETLWEHQHLIEQLKLELKKVRATGLPTILEESESPNMDDLKPWKIDEKVHHGDKMGELHKFYKSYRERMRKFDILNYQKLYAIGFLQSKDSLQSFSRCKSSAPTVSSFWRCKRKKDSNSDSDSDPMVKFIRELHGDLEVVYVGQLCLSWEFLQWQYEKAFELWESDPYGIRSFNEVADEFQQFQVLMQRFVENERFQGPRVENYVKNRCVMRNLLQVPVIRGDNLKEKKKARRKGKDAYAITSDILVEILEESIRIIWRFIRADKNANSTIACRKTRQEELQDSDLKLLMEVQTDLLKKERKLKDTLRGGNCILKRFRKHEDEGTDHLYFFSQVDMKLVSRVLNMSSITTEQLVWCHNKLSKIHFVNRKIRVDPSFLLFPC >ONI19935 pep chromosome:Prunus_persica_NCBIv2:G3:26780408:26781729:1 gene:PRUPE_3G306000 transcript:ONI19935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKTKLALELIQDDKARKVTFRKRKNGLFKKAFELTTLCDVKVCTIVYEKKSEGKLAPPQTFPAKFEEVKEIIDKYKSNSSKIKKVQNLADFYATQTMQVKKEIVKLRTKSYEEKYPTWDDRLNEYSLDQMQELLNNLEAKIQAAHKIHNMMMIDSKKPAIQDYIPPMALVQSNLDPPFLSMVDDQNPSNYGSMGMSESGWDQFASASTSWANNNIQYAPSNVSDYKGTTSIWDYDLFGIDAVPLQYGNMEEKPTFYNNNPMQPPYMHDPILSSAATTSQVEQQQLSVEHALDVPPINVAYDEPLSLSLQPSHTDCPVSAASFSFEYQQFATSSQSESQSQSQSQSQSYVDDQVRMLYDSMFQFKQNNVSTYK >ONI17346 pep chromosome:Prunus_persica_NCBIv2:G3:16644957:16648119:1 gene:PRUPE_3G152800 transcript:ONI17346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAEVPMKKMPNNNGAENPEVGQGPQAQGGSSAPTLVSNTQSNWYSVFLQQISVYGIAAGYCLSASLLSIINKWAVMKFPYPGALTALQYMTSAIGVLVCGWLKLIEYDSLDLLTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSVVPIFVAIGETIFLHQPWPSIRTWTSLVTIFGGSVIYVLTDYKFTVTAYSWALAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLVMGELKKIKHEISDESDWYSLEVVLPVGLSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTFVGTLGLLICMVGGVMYQQSTSNKPKDVNQAKARETEEEERKLIEMESSLESNNNEKLPVESEGGK >ONI16932 pep chromosome:Prunus_persica_NCBIv2:G3:13377924:13381571:-1 gene:PRUPE_3G130900 transcript:ONI16932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGKTTIAKAAYKQNFEKFQGSSFLPDVRAASEQPNGLVCLQRILLSDIQKGKTKKIYSIDEGMSKIKLLVRCKRVLIVLDDVNHSEQFNAILGMREWFHPGSKIIVTTRHENLLNDHAVYAMFKVKGLGEGESLELFSWHAFKQAHPIKGYMNLSRSVVQHCEGLPLALQVLGSSLFGKSVDLWQSALQKLHVIPDDKIQKILRISFDSLKDDHDRNLFLHIVCFFIEKKMGYTITVLDNLNFYTRIGIQNLVDRCLVEIDIDNRLIMHQLLRDMGRAIIREESPEDPGKRSRVWHKDASDVLRKLTQGTETIKGLMLNLPSEAIFSTSNQNRCHVEDFDGNCSRRRRLGYFSWISINSSSTNSAAASNEVDFKAEAFRRMHNLELLLLDNVKVGGDYEDFPKKLIWLCWRGFPLKSIPEKFYLENLVGLDLRNSTLQHVWKGTRFLPGLKILNLSHSHSLVTTPDLSGVPNLEKLILKDCINLVVIDESLGNLEKLIFLNLKDCRSLMKLPTRISMLRSLQELDLSGCSKLVLHTSTTAANHLHSTTRVRKKLNMLSEKIWQSIWLWRSWVSPRNKLESASLSMEIWPNCLGTLSLADCNLSEIPGDLSILSLLKHLNLSRNPILRLPENMNGLIMLQTLEIQGCTKLRTLPKLPRSLRKLHASYCTSLERITNLPNMFESLDSSLWKCKKLHEVQSLFNIKPLGRVDIEMISDMGLFNLESTGGSTEVEMTNYMTCTTRKGPLQALYECGIISIFVQGNKIPDWFTYRSMGNSVLSIILPSHLNLKIRGLNVCVMYSRRPFWFSATNFLKVSNETKGLKWTYCPVAAGLPKKNQDMLWLSHWRFENDELEEGEQVHVSINEEFSFWAKEFCIQLVYEKDPSKSENITIQQETPPSSQIAAAGNVSASASKYQFGAGKYFLSNHRARIHQCQFSNSQMNAASLEYSYLFDQDVHPPRRIGLHPALFFDRCKRNISNINCLQ >ONI15284 pep chromosome:Prunus_persica_NCBIv2:G3:2592093:2593991:1 gene:PRUPE_3G035700 transcript:ONI15284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLHKLWDETLAGPAPETGLGKLRKFDSLSSPASPTMVCDEVPVTRSITILRTTSSTFGNLSPNSGSPSESPTTPGTPKSPGTPGAMTKKLTRRKSSADALERAEPRSPTGYDWMVIAALDR >ONI15286 pep chromosome:Prunus_persica_NCBIv2:G3:2592068:2594084:1 gene:PRUPE_3G035700 transcript:ONI15286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLHKLWDETLAGPAPETGLGKLRKFDSLSSPASPTMVCDEVPVTRSITILRTTSSTFGNLSPNSGSPSESPTTPGTPKSPGTPGAMTKKLTRRKSSADALERAEPRRW >ONI15285 pep chromosome:Prunus_persica_NCBIv2:G3:2592093:2593991:1 gene:PRUPE_3G035700 transcript:ONI15285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLHKLWDETLAGPAPETGLGKLRKFDSLSSPASPTMVCDEVPVTRSITILRTTSSTFGNLSPNSGSPSESPTTPGTPKSPGTPGAMTKKLTRRKSSADALERAEPRSPTGW >ONI15844 pep chromosome:Prunus_persica_NCBIv2:G3:4651578:4654846:1 gene:PRUPE_3G064800 transcript:ONI15844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKWKHTALLVIDMQHDFVDVDGPMLVSGGKAIVPNVIKAVQIARQRGMLVVWVVREHDPLGRDVELFRRHLYPAGKVGPTVKGQFGAELVHGLVIQEGDYKLVKTRFSAFFATHLDSFLKGAGIDSLVVTGVQTPNCIRQTVFDGVALDYKSVTVVLDATAAATPEVHAANILDMKNIGVATPTLQEWCESDD >ONI18823 pep chromosome:Prunus_persica_NCBIv2:G3:23491839:23495988:-1 gene:PRUPE_3G241500 transcript:ONI18823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEREDPQKLKRIAAAAYDYENDSRWADYWSNILIPPHMASRSDVVDHFKRKFYQRFIDPDLMVDSMSTSSSSQPKRPSASSRPTNDQPQSRSAGSTARTSGTSATSPPTSLLWDRQTIQFSVNAWVFLVAVLAIFPLVPKNLSNRAYRLSFMGTACSSLYSLYSQHGKPRAWNLQALQVYFQSIIMTKDFIYLIYCLTFVTSHLCLKFALIPILCRALEHVAKFLRRNFSQSSLYRKYLEELCVWVESNTTTLNILSSHAEIGLGFLLIISLFSWQRNIIQAFMYWQLLKLMYHAPVTSDYHLSVWTKIGRTVHPPIHRYAPFLETPLSAVHRWWLR >ONI15436 pep chromosome:Prunus_persica_NCBIv2:G3:3067950:3069410:-1 gene:PRUPE_3G043200 transcript:ONI15436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTEVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRAR >ONI15432 pep chromosome:Prunus_persica_NCBIv2:G3:3066708:3069410:-1 gene:PRUPE_3G043200 transcript:ONI15432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTEVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARAVDNQLFVATCSPSRNSTGSYTTWGHSTLVGPKESPT >ONI15423 pep chromosome:Prunus_persica_NCBIv2:G3:3066708:3069410:-1 gene:PRUPE_3G043200 transcript:ONI15423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWNTRNLTMADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTEVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARKSLPLDDQKREDIYKFIDAHELNP >ONI15424 pep chromosome:Prunus_persica_NCBIv2:G3:3066944:3069231:-1 gene:PRUPE_3G043200 transcript:ONI15424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWNTRNLTMADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTEVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARAVDNQLFVATCSPSRNSTGSYTTWGHSTLVGPSGEIIVTSGHEETTVIAQIDYSNIQLQRKSLPLDDQKREDIYKFIDAHELNP >ONI15426 pep chromosome:Prunus_persica_NCBIv2:G3:3066944:3069198:-1 gene:PRUPE_3G043200 transcript:ONI15426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARAVDNQLFVATCSPSRNSTGSYTTWGHSTLVGPSGEIIVTSGHEETTVIAQIDYSNIQLQRKSLPLDDQKREDIYKFIDAHELNP >ONI15421 pep chromosome:Prunus_persica_NCBIv2:G3:3066708:3069410:-1 gene:PRUPE_3G043200 transcript:ONI15421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWNTRNLTMADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARKSLPLDDQKREDIYKFIDAHELNP >ONI15433 pep chromosome:Prunus_persica_NCBIv2:G3:3067164:3069231:-1 gene:PRUPE_3G043200 transcript:ONI15433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWNTRNLTMADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTEVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRASLER >ONI15429 pep chromosome:Prunus_persica_NCBIv2:G3:3067002:3069231:-1 gene:PRUPE_3G043200 transcript:ONI15429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWNTRNLTMADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARAVDNQLFVATCSPSRNSTGSYTTWGHSTLVGPKESPT >ONI15434 pep chromosome:Prunus_persica_NCBIv2:G3:3067164:3069198:-1 gene:PRUPE_3G043200 transcript:ONI15434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTEVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRASLER >ONI15422 pep chromosome:Prunus_persica_NCBIv2:G3:3066944:3069231:-1 gene:PRUPE_3G043200 transcript:ONI15422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWNTRNLTMADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARAVDNQLFVATCSPSRNSTGSYTTWGHSTLVGPSGEIIVTSGHEETTVIAQIDYSNIQLQRKSLPLDDQKREDIYKFIDAHELNP >ONI15430 pep chromosome:Prunus_persica_NCBIv2:G3:3067002:3069231:-1 gene:PRUPE_3G043200 transcript:ONI15430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWNTRNLTMADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTEVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARAVDNQLFVATCSPSRNSTGSYTTWGHSTLVGPKESPT >ONI15431 pep chromosome:Prunus_persica_NCBIv2:G3:3067002:3069198:-1 gene:PRUPE_3G043200 transcript:ONI15431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARAVDNQLFVATCSPSRNSTGSYTTWGHSTLVGPKESPT >ONI15425 pep chromosome:Prunus_persica_NCBIv2:G3:3066708:3069410:-1 gene:PRUPE_3G043200 transcript:ONI15425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARKSLPLDDQKREDIYKFIDAHELNP >ONI15435 pep chromosome:Prunus_persica_NCBIv2:G3:3067384:3069231:-1 gene:PRUPE_3G043200 transcript:ONI15435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALWNTRNLTMADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTEVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARAVDNQVLKDRLCHHKFSIVLTA >ONI15428 pep chromosome:Prunus_persica_NCBIv2:G3:3066708:3069440:-1 gene:PRUPE_3G043200 transcript:ONI15428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTEVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARAVDNQLFVATCSPSRNSTGSYTTWGHSTLVGPSGEIIVTSGHEETTVIAQIDYSNIQLQRKSLPLDDQKREDIYKFIDAHELNP >ONI15427 pep chromosome:Prunus_persica_NCBIv2:G3:3066708:3069410:-1 gene:PRUPE_3G043200 transcript:ONI15427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPNPFQLPNITKFKIALCQLSITSDKNQNLDRASRSIRLSVEQGAKLLVLPEMWNCPYSSDYFAKYAEDFDNRDASPTLSMLSEAACCHGITIIGGSLPEWDHGRLYNTCCIFGPDGRLKAKHRKIHLFDIDIPGEISFKESDTFTAGDQPTIVDTEVGRIGIGICHDIRFPELAALYRKRGVDIICYPGAFNVSTGELLWELVQRARKSLPLDDQKREDIYKFIDAHELNP >ONI17040 pep chromosome:Prunus_persica_NCBIv2:G3:14048666:14056829:-1 gene:PRUPE_3G134300 transcript:ONI17040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVAKSRSASFQFFSFLLHLPSVQIHRHCSSLSTNLLHDPNDEAKHSNDAELISKILVHHHNPFHSMESSLQLHGITLSPQLLNHTLLRLIHNSKIALAFFNYAKSLPDPPLSTASFNLLIDILAKVRQYDVAWQLILEMDNFNLTPTASTFLILIRRLISSGLTRQAVRAFEDMETFVQTKPSSQDFCCLLDTLSKYGHVKVAAEVFNKKKNGFVPDVKMYTVLVYGWCKIGRFDMAERFLRDMIERGIEPNVVTYNVFLNGICRRASLHPEERFERTIRNAEKVFKEMWERGIEPDVTSFSIVLHVYSRAHKPELSLEKLKLMRERGICPTLETYTSVVKCLCSCGRLEDAEELLGKMVTSGVSPCAATYNCFFKEYRGRKDSEGALKLYRKMKEEGLCVPSMHTYNILVGMLLELNRMEIVREIWNDMKESGVGPDLDSYTMLIHGLCGKQKWREACQLFVEMIEKGLLPQKITFETLYKGLIQSDMLRTWRRLKKKLDEESISFGSEFQNYHLKPYRR >ONI17345 pep chromosome:Prunus_persica_NCBIv2:G3:16641320:16642729:1 gene:PRUPE_3G152700 transcript:ONI17345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSRPKKEQSLSVAGREWVRGRILGKGGFGSVYLGWVKKPNLRADVLPSIFAVKSTLYCDALELVRENSLLDIFSNCPFIIHRYGDDVTAGVDGKKVFNMFMEYADGGTMRDLINKSGGLPEFQVRKYTEAILKGVKHIHEMGYVHCDLKPENILLVSKTDAGGSKFVPKLGDLGLAKRIIEKRSGGTTLYWSPETVLQNIQLQPSDIWALGCVVLMMLTGRKPWDLKAGARPWDLMLQIASKSPTIPVWLSEEAKDFLGKCFVWSPSERFTAAKLLNHPFVTNLDPVKELNSVSSSPSEQQIPLGFKTCHSKSNRCLPKAIGFPYNWCCLA >ONI17932 pep chromosome:Prunus_persica_NCBIv2:G3:20095679:20099438:-1 gene:PRUPE_3G186900 transcript:ONI17932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLEGFEPVAVSPHKQDPAWKHCQLFIKDQPNGVKAELKKCIYCGKVFQGGGINRLKSHLAGRKGNGPTCDQTPPDVRLSMLQSLDHGIAAFRHRKSQIVTNSHHSPSELDNSFAENGECKLMVGPDSTSLVNQEEDVGMSGNGVGLSGNLTNVPLEVDNSYRSNAAMHRSAGVPILNFSVNQEEDAGMSVDRRVRWRGGTSSADTGSGSVDGVTKLSNVSAPIPIAVGSAFSEDTQGRSTGFPNSYWLENEEEVGISNTNVSARKRVRGESAVGAANAGTVDNNYEVKEVGNQQIHMAIGRFLYEIQAPLDVVKNSVYFQPMIDAIASGGKGTIAPSYDDLRGWILKNAVGEVKSDIHQHMETWARTGCSLLVNQWSSEKGKTLLNFAVQCPEGTIYLKSVDASYFIFSPDALFEFLKEVVEEVGVGHVLQVITNTEEQFAVAGKRLMDTFPTLYWSPCVATSIDLILEDFGKVEWINSVIEQARSVTRFIYKHVVILNMMRRYTFGNDIVRLGVTRFATNFTTLKQMADLKFNLQSMVTSKEWMCCPYSKTPEGSAVLDVLSNHSFWSACILVTHLTNPLLRVLRIVGSQKRAAMGYVFAGIYRAKETIKRELVKREEYMVYWDIIDYRWKKLWPLPLHAAGFYLNPKFFYSVKGDLHNEIISRMFDCIERLVPDIKIQDEVIKEINLYKNAVGDLGRNLAVRARDNLLPAEWWSTYGSSCPNLARLAIRILSQTCSIVQGQENQIPFELLHKTRNSLECQRLSDLVFVQYNLKLKQKVHKHKEQENVGPISFDRNSIVEDWVTEMEMPLEDNENPDWMSLDPPSGNTRLLELSVDEAEDLGSGFDDNEIFIRLKVVQGEC >ONI17933 pep chromosome:Prunus_persica_NCBIv2:G3:20095585:20099448:-1 gene:PRUPE_3G186900 transcript:ONI17933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLEGFEPVAVSPHKQDPAWKHCQLFIKDQPNGVKAELKKCIYCGKVFQGGGINRLKSHLAGRKGNGPTCDQTPPDVRLSMLQSLDHGIAAFRHRKSQIVTNSHHSPSELDNSFAENGECKLMVGPDSTSLVNQEEDVGMSGNGVGLSGNLTNVPLEVDNSYRSNAAMHRSAGVPILNFSVNQEEDAGMSVDRRVRWRGGTSSADTGSGSVDGVTKLSNVSAPIPIAVGSAFSEDTQGRSTGFPNSYWLENEEEVGISNTNVSARKRVRGESAVGAANAGTVDNNYEVKEVGNQQIHMAIGRFLYEIQAPLDVVKNSVYFQPMIDAIASGGKGTIAPSYDDLRGWILKNAVGEVKSDIHQHMETWARTGCSLLVNQWSSEKGKTLLNFAVQCPEGTIYLKSVDASYFIFSPDALFEFLKEVVEEVGVGHVLQVITNTEEQFAVAGKRLMDTFPTLYWSPCVATSIDLILEDFGKVEWINSVIEQARSVTRFIYKHVVILNMMRRYTFGNDIVRLGVTRFATNFTTLKQMADLKFNLQSMVTSKEWMCCPYSKTPEGSAVLDVLSNHSFWSACILVTHLTNPLLRVLRIVGSQKRAAMGYVFAGIYRAKETIKRELVKREEYMVYWDIIDYRWKKLWPLPLHAAGFYLNPKFFYSVKGDLHNEIISRMFDCIERLVPDIKIQDEVIKEINLYKNAVGDLGRNLAVRARDNLLPAEWWSTYGSSCPNLARLAIRILSQTCSIVQGQENQIPFELLHKTRNSLECQRLSDLVFVQYNLKLKQKVHKHKEQENVGPISFDRNSIVEDWVTEMEMPLEDNENPDWMSLDPPSGNTRLLELSVDEAEDLGSGFDDNEIFIRLKVVQGEC >ONI17928 pep chromosome:Prunus_persica_NCBIv2:G3:20095554:20099487:-1 gene:PRUPE_3G186900 transcript:ONI17928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIRMISLEGFEPVAVSPHKQDPAWKHCQLFIKDQPNGVKAELKKCIYCGKVFQGGGINRLKSHLAGRKGNGPTCDQTPPDVRLSMLQSLDHGIAAFRHRKSQIVTNSHHSPSELDNSFAENGECKLMVGPDSTSLVNQEEDVGMSGNGVGLSGNLTNVPLEVDNSYRSNAAMHRSAGVPILNFSVNQEEDAGMSVDRRVRWRGGTSSADTGSGSVDGVTKLSNVSAPIPIAVGSAFSEDTQGRSTGFPNSYWLENEEEVGISNTNVSARKRVRGESAVGAANAGTVDNNYEVKEVGNQQIHMAIGRFLYEIQAPLDVVKNSVYFQPMIDAIASGGKGTIAPSYDDLRGWILKNAVGEVKSDIHQHMETWARTGCSLLVNQWSSEKGKTLLNFAVQCPEGTIYLKSVDASYFIFSPDALFEFLKEVVEEVGVGHVLQVITNTEEQFAVAGKRLMDTFPTLYWSPCVATSIDLILEDFGKVEWINSVIEQARSVTRFIYKHVVILNMMRRYTFGNDIVRLGVTRFATNFTTLKQMADLKFNLQSMVTSKEWMCCPYSKTPEGSAVLDVLSNHSFWSACILVTHLTNPLLRVLRIVGSQKRAAMGYVFAGIYRAKETIKRELVKREEYMVYWDIIDYRWKKLWPLPLHAAGFYLNPKFFYSVKGDLHNEIISRMFDCIERLVPDIKIQDEVIKEINLYKNAVGDLGRNLAVRARDNLLPAEWWSTYGSSCPNLARLAIRILSQTCSIVQGQENQIPFELLHKTRNSLECQRLSDLVFVQYNLKLKQKVHKHKEQENVGPISFDRNSIVEDWVTEMEMPLEDNENPDWMSLDPPSGNTRLLELSVDEAEDLGSGFDDNEIFIRLKVVQGEC >ONI17930 pep chromosome:Prunus_persica_NCBIv2:G3:20095586:20099545:-1 gene:PRUPE_3G186900 transcript:ONI17930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLEGFEPVAVSPHKQDPAWKHCQLFIKDQPNGVKAELKKCIYCGKVFQGGGINRLKSHLAGRKGNGPTCDQTPPDVRLSMLQSLDHGIAAFRHRKSQIVTNSHHSPSELDNSFAENGECKLMVGPDSTSLVNQEEDVGMSGNGVGLSGNLTNVPLEVDNSYRSNAAMHRSAGVPILNFSVNQEEDAGMSVDRRVRWRGGTSSADTGSGSVDGVTKLSNVSAPIPIAVGSAFSEDTQGRSTGFPNSYWLENEEEVGISNTNVSARKRVRGESAVGAANAGTVDNNYEVKEVGNQQIHMAIGRFLYEIQAPLDVVKNSVYFQPMIDAIASGGKGTIAPSYDDLRGWILKNAVGEVKSDIHQHMETWARTGCSLLVNQWSSEKGKTLLNFAVQCPEGTIYLKSVDASYFIFSPDALFEFLKEVVEEVGVGHVLQVITNTEEQFAVAGKRLMDTFPTLYWSPCVATSIDLILEDFGKVEWINSVIEQARSVTRFIYKHVVILNMMRRYTFGNDIVRLGVTRFATNFTTLKQMADLKFNLQSMVTSKEWMCCPYSKTPEGSAVLDVLSNHSFWSACILVTHLTNPLLRVLRIVGSQKRAAMGYVFAGIYRAKETIKRELVKREEYMVYWDIIDYRWKKLWPLPLHAAGFYLNPKFFYSVKGDLHNEIISRMFDCIERLVPDIKIQDEVIKEINLYKNAVGDLGRNLAVRARDNLLPAEWWSTYGSSCPNLARLAIRILSQTCSIVQGQENQIPFELLHKTRNSLECQRLSDLVFVQYNLKLKQKVHKHKEQENVGPISFDRNSIVEDWVTEMEMPLEDNENPDWMSLDPPSGNTRLLELSVDEAEDLGSGFDDNEIFIRLKVVQGEC >ONI17926 pep chromosome:Prunus_persica_NCBIv2:G3:20096147:20099241:-1 gene:PRUPE_3G186900 transcript:ONI17926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIRMISLEGFEPVAVSPHKQDPAWKHCQLFIKDQPNGVKAELKKCIYCGKVFQGGGINRLKSHLAGRKGNGPTCDQTPPDVRLSMLQSLDHGIAAFRHRKSQIVTNSHHSPSELDNSFAENGECKLMVGPDSTSLVNQEEDVGMSGNGVGLSGNLTNVPLEVDNSYRSNAAMHRSAGVPILNFSVNQEEDAGMSVDRRVRWRGGTSSADTGSGSVDGVTKLSNVSAPIPIAVGSAFSEDTQGRSTGFPNSYWLENEEEVGISNTNVSARKRVRGESAVGAANAGTVDNNYEVKEVGNQQIHMAIGRFLYEIQAPLDVVKNSVYFQPMIDAIASGGKGTIAPSYDDLRGWILKNAVGEVKSDIHQHMETWARTGCSLLVNQWSSEKGKTLLNFAVQCPEGTIYLKSVDASYFIFSPDALFEFLKEVVEEVGVGHVLQVITNTEEQFAVAGKRLMDTFPTLYWSPCVATSIDLILEDFGKVEWINSVIEQARSVTRFIYKHVVILNMMRRYTFGNDIVRLGVTRFATNFTTLKQMADLKFNLQSMVTSKEWMCCPYSKTPEGSAVLDVLSNHSFWSACILVTHLTNPLLRVLRIVGSQKRAAMGYVFAGIYRAKETIKRELVKREEYMVYWDIIDYRWKKLWPLPLHAAGFYLNPKFFYSVKGDLHNEIISRMFDCIERLVPDIKIQDEVIKEINLYKNAVGDLGRNLAVRARDNLLPAEWWSTYGSSCPNLARLAIRILSQTCSIVQGQENQIPFELLHKTRNSLECQRLSDLVFVQYNLKLKQKVHKHKEQENVGPISFDRNSIVEDWVTEMEMPLEDNENPDWMSLDPPSGNTRLLELSVDEAEDLGSGFDDNEIFIRLKVVQGEC >ONI17934 pep chromosome:Prunus_persica_NCBIv2:G3:20095638:20099486:-1 gene:PRUPE_3G186900 transcript:ONI17934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIRMISLEGFEPVAVSPHKQDPAWKHCQLFIKDQPNGVKAELKKCIYCGKVFQGGGINRLKSHLAGRKGNGPTCDQTPPDVRLSMLQSLDHGIAAFRHRKSQIVTNSHHSPSELDNSFAENGECKLMVGPDSTSLVNQEEDVGMSGNGVGLSGNLTNVPLEVDNSYRSNAAMHRSAGVPILNFSVNQEEDAGMSVDRRVRWRGGTSSADTGSGSVDGVTKLSNVSAPIPIAVGSAFSEDTQGRSTGFPNSYWLENEEEVGISNTNVSARKRVRGESAVGAANAGTVDNNYEVKEVGNQQIHMAIGRFLYEIQAPLDVVKNSVYFQPMIDAIASGGKGTIAPSYDDLRGWILKNAVGEVKSDIHQHMETWARTGCSLLVNQWSSEKGKTLLNFAVQCPEGTIYLKSVDASYFIFSPDALFEFLKEVVEEVGVGHVLQVITNTEEQFAVAGKRLMDTFPTLYWSPCVATSIDLILEDFGKVEWINSVIEQARSVTRFIYKHVVILNMMRRYTFGNDIVRLGVTRFATNFTTLKQMADLKFNLQSMVTSKEWMCCPYSKTPEGSAVLDVLSNHSFWSACILVTHLTNPLLRVLRIVGSQKRAAMGYVFAGIYRAKETIKRELVKREEYMVYWDIIDYRWKKLWPLPLHAAGFYLNPKFFYSVKGDLHNEIISRMFDCIERLVPDIKIQDEVIKEINLYKNAVGDLGRNLAVRARDNLLPGFTSTKNRKMWVLYHLTAIALSKTG >ONI17931 pep chromosome:Prunus_persica_NCBIv2:G3:20096147:20099226:-1 gene:PRUPE_3G186900 transcript:ONI17931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLEGFEPVAVSPHKQDPAWKHCQLFIKDQPNGVKAELKKCIYCGKVFQGGGINRLKSHLAGRKGNGPTCDQTPPDVRLSMLQSLDHGIAAFRHRKSQIVTNSHHSPSELDNSFAENGECKLMVGPDSTSLVNQEEDVGMSGNGVGLSGNLTNVPLEVDNSYRSNAAMHRSAGVPILNFSVNQEEDAGMSVDRRVRWRGGTSSADTGSGSVDGVTKLSNVSAPIPIAVGSAFSEDTQGRSTGFPNSYWLENEEEVGISNTNVSARKRVRGESAVGAANAGTVDNNYEVKEVGNQQIHMAIGRFLYEIQAPLDVVKNSVYFQPMIDAIASGGKGTIAPSYDDLRGWILKNAVGEVKSDIHQHMETWARTGCSLLVNQWSSEKGKTLLNFAVQCPEGTIYLKSVDASYFIFSPDALFEFLKEVVEEVGVGHVLQVITNTEEQFAVAGKRLMDTFPTLYWSPCVATSIDLILEDFGKVEWINSVIEQARSVTRFIYKHVVILNMMRRYTFGNDIVRLGVTRFATNFTTLKQMADLKFNLQSMVTSKEWMCCPYSKTPEGSAVLDVLSNHSFWSACILVTHLTNPLLRVLRIVGSQKRAAMGYVFAGIYRAKETIKRELVKREEYMVYWDIIDYRWKKLWPLPLHAAGFYLNPKFFYSVKGDLHNEIISRMFDCIERLVPDIKIQDEVIKEINLYKNAVGDLGRNLAVRARDNLLPAEWWSTYGSSCPNLARLAIRILSQTCSIVQGQENQIPFELLHKTRNSLECQRLSDLVFVQYNLKLKQKVHKHKEQENVGPISFDRNSIVEDWVTEMEMPLEDNENPDWMSLDPPSGNTRLLELSVDEAEDLGSGFDDNEIFIRLKVVQGEC >ONI17929 pep chromosome:Prunus_persica_NCBIv2:G3:20095628:20099482:-1 gene:PRUPE_3G186900 transcript:ONI17929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLEGFEPVAVSPHKQDPAWKHCQLFIKDQPNGVKAELKKCIYCGKVFQGGGINRLKSHLAGRKGNGPTCDQTPPDVRLSMLQSLDHGIAAFRHRKSQIVTNSHHSPSELDNSFAENGECKLMVGPDSTSLVNQEEDVGMSGNGVGLSGNLTNVPLEVDNSYRSNAAMHRSAGVPILNFSVNQEEDAGMSVDRRVRWRGGTSSADTGSGSVDGVTKLSNVSAPIPIAVGSAFSEDTQGRSTGFPNSYWLENEEEVGISNTNVSARKRVRGESAVGAANAGTVDNNYEVKEVGNQQIHMAIGRFLYEIQAPLDVVKNSVYFQPMIDAIASGGKGTIAPSYDDLRGWILKNAVGEVKSDIHQHMETWARTGCSLLVNQWSSEKGKTLLNFAVQCPEGTIYLKSVDASYFIFSPDALFEFLKEVVEEVGVGHVLQVITNTEEQFAVAGKRLMDTFPTLYWSPCVATSIDLILEDFGKVEWINSVIEQARSVTRFIYKHVVILNMMRRYTFGNDIVRLGVTRFATNFTTLKQMADLKFNLQSMVTSKEWMCCPYSKTPEGSAVLDVLSNHSFWSACILVTHLTNPLLRVLRIVGSQKRAAMGYVFAGIYRAKETIKRELVKREEYMVYWDIIDYRWKKLWPLPLHAAGFYLNPKFFYSVKGDLHNEIISRMFDCIERLVPDIKIQDEVIKEINLYKNAVGDLGRNLAVRARDNLLPAEWWSTYGSSCPNLARLAIRILSQTCSIVQGQENQIPFELLHKTRNSLECQRLSDLVFVQYNLKLKQKVHKHKEQENVGPISFDRNSIVEDWVTEMEMPLEDNENPDWMSLDPPSGNTRLLELSVDEAEDLGSGFDDNEIFIRLKVVQGEC >ONI17927 pep chromosome:Prunus_persica_NCBIv2:G3:20095638:20099487:-1 gene:PRUPE_3G186900 transcript:ONI17927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIRMISLEGFEPVAVSPHKQDPAWKHCQLFIKDQPNGVKAELKKCIYCGKVFQGGGINRLKSHLAGRKGNGPTCDQTPPDVRLSMLQSLDHGIAAFRHRKSQIVTNSHHSPSELDNSFAENGECKLMVGPDSTSLVNQEEDVGMSGNGVGLSGNLTNVPLEVDNSYRSNAAMHRSAGVPILNFSVNQEEDAGMSVDRRVRWRGGTSSADTGSGSVDGVTKLSNVSAPIPIAVGSAFSEDTQGRSTGFPNSYWLENEEEVGISNTNVSARKRVRGESAVGAANAGTVDNNYEVKEVGNQQIHMAIGRFLYEIQAPLDVVKNSVYFQPMIDAIASGGKGTIAPSYDDLRGWILKNAVGEVKSDIHQHMETWARTGCSLLVNQWSSEKGKTLLNFAVQCPEGTIYLKSVDASYFIFSPDALFEFLKEVVEEVGVGHVLQVITNTEEQFAVAGKRLMDTFPTLYWSPCVATSIDLILEDFGKVEWINSVIEQARSVTRFIYKHVVILNMMRRYTFGNDIVRLGVTRFATNFTTLKQMADLKFNLQSMVTSKEWMCCPYSKTPEGSAVLDVLSNHSFWSACILVTHLTNPLLRVLRIVGSQKRAAMGYVFAGIYRAKETIKRELVKREEYMVYWDIIDYRWKKLWPLPLHAAGFYLNPKFFYSVKGDLHNEIISRMFDCIERLVPDIKIQDEVIKEINLYKNAVGDLGRNLAVRARDNLLPAEWWSTYGSSCPNLARLAIRILSQTCSIVQGQENQIPFELLHKTRNSLECQRLSDLVFVQYNLKLKQKVHKHKEQENVGPISFDRNSIVEDWVTEMEMPLEDNENPDWMSLDPPSGNTRLLELSVDEAEDLGSGFDDNEIFIRLKVVQGEC >ONI15869 pep chromosome:Prunus_persica_NCBIv2:G3:4773175:4781004:-1 gene:PRUPE_3G066300 transcript:ONI15869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15862 pep chromosome:Prunus_persica_NCBIv2:G3:4773175:4780563:-1 gene:PRUPE_3G066300 transcript:ONI15862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEHYLSDFRQGFYNFAMRGRHLDAYSAYKRVASKDHINAFDREESSVGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15870 pep chromosome:Prunus_persica_NCBIv2:G3:4775162:4779966:-1 gene:PRUPE_3G066300 transcript:ONI15870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSVGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWRNVVETKGKSLQEIEIALIPPQ >ONI15872 pep chromosome:Prunus_persica_NCBIv2:G3:4773175:4781004:-1 gene:PRUPE_3G066300 transcript:ONI15872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSVGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15867 pep chromosome:Prunus_persica_NCBIv2:G3:4773175:4780964:-1 gene:PRUPE_3G066300 transcript:ONI15867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15860 pep chromosome:Prunus_persica_NCBIv2:G3:4775162:4780446:-1 gene:PRUPE_3G066300 transcript:ONI15860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEHYLSDFRQGFYNFAMRGRHLDAYSAYKRVASKDHINAFDREESSGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15863 pep chromosome:Prunus_persica_NCBIv2:G3:4775162:4780446:-1 gene:PRUPE_3G066300 transcript:ONI15863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEHYLSDFRQGFYNFAMRGRHLDAYSAYKRVASKDHINAFDREESSVGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15864 pep chromosome:Prunus_persica_NCBIv2:G3:4773175:4780938:-1 gene:PRUPE_3G066300 transcript:ONI15864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWRNVVETKGKSLQEIEIALIPPQ >ONI15875 pep chromosome:Prunus_persica_NCBIv2:G3:4775162:4779966:-1 gene:PRUPE_3G066300 transcript:ONI15875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSVGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15866 pep chromosome:Prunus_persica_NCBIv2:G3:4775162:4779966:-1 gene:PRUPE_3G066300 transcript:ONI15866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15868 pep chromosome:Prunus_persica_NCBIv2:G3:4773175:4780897:-1 gene:PRUPE_3G066300 transcript:ONI15868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15871 pep chromosome:Prunus_persica_NCBIv2:G3:4775162:4779966:-1 gene:PRUPE_3G066300 transcript:ONI15871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSVGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15861 pep chromosome:Prunus_persica_NCBIv2:G3:4773175:4780563:-1 gene:PRUPE_3G066300 transcript:ONI15861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEHYLSDFRQGFYNFAMRGRHLDAYSAYKRVASKDHINAFDREESSGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15874 pep chromosome:Prunus_persica_NCBIv2:G3:4775162:4779966:-1 gene:PRUPE_3G066300 transcript:ONI15874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSVGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15865 pep chromosome:Prunus_persica_NCBIv2:G3:4773638:4780991:-1 gene:PRUPE_3G066300 transcript:ONI15865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI15873 pep chromosome:Prunus_persica_NCBIv2:G3:4775162:4779966:-1 gene:PRUPE_3G066300 transcript:ONI15873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRHLDAYSAYKRVASKDHINAFDREESSVGLVNAKGIGNPSWRRSLPHMLVATLSSFLFGYHLGVVNETLDSISMDLGFSGNPLAKGLVVSTCLGAAFFGSIFSGWILDGVGHRRAFQLCALPMIIGASMSATTKSLWGMLLGRIFVGTGMGIGPPVAAIYVSEISPAFVRGTFGSFTQIATCLGLMGSLFIGLPAKDIVGWWRVCFWVSTVPAAVLAVCMEFCAESPQWLFKRGRGTEAEAEFEKLLGAAHVKFAIAELSKSDRGDELEAVKFSELFYGRHFKMVFIGSTIFALQQLSGINAVFYFSSTVFKSFGVPSDLANICVGIANLSGSVVAMILMDKLGRKVLLLGSFSGMAVAMGLQVIGASSYASGSGALSLSVGGMLLFVLMFSLGAGPVPSLLLSEILPGRIRAKAMSVCMAVHWVINFFVGLLFLPLLEQIGPIVLYTIFATFCLLAVIFVKRNVVETKGKSLQEIEIALIPPQ >ONI19134 pep chromosome:Prunus_persica_NCBIv2:G3:24715341:24717648:-1 gene:PRUPE_3G260900 transcript:ONI19134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSVLIVNNTELSTRRFHQYICFVITILGVFFQLKQGSGKISLFDANYLSFVILIVAIIVYGGSLIGATYIRQAHPNSDLAKFMDNISLLCGALVFVLELVILVPALGLSALLFWIGCFVSFIAVYLYPYLKTLFTRTVGGVVHAFKKLKEYLPTALQ >ONI17968 pep chromosome:Prunus_persica_NCBIv2:G3:20204013:20204702:1 gene:PRUPE_3G188700 transcript:ONI17968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPPLYTIGPIQLLLNQIPEDSLSPIGYSLWKEETECRQWLNSKRPNSVVYVNFGSVAVMTPQHLVEFGWGLANSKFQFFWVIRPDLVVGESAYLPPEFVAETKERGLIASWCPQEEVLNHPSVGGFLTHSGWNSTMESLTAGEPTICWPFFADQRTNCYYTCMEIGNDVKRDEVEMLVRELMEGEKGKKMKKKAKEWKKLAENATDPHGSSPINLDNLVDQVLLRKN >ONI17696 pep chromosome:Prunus_persica_NCBIv2:G3:19189341:19206131:-1 gene:PRUPE_3G175000 transcript:ONI17696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGTKNNQDPQRSLSRRMTRMPTRLLDLPTEDEALDVPSCLASIAPIFRVANEIEKENPRVAYLCRFHGFEKAHTMDPTSSGRGVRQFKTHLLHRLEKEEEETRHQLAKSDTKEILYFYHQFYRNNILEGEYTKKPEEMARIIQIATVLYDVLKTVVPQPQIDQQTQKIAEDVKRKREQYVNYNILPLYTVGVKPAIMELPEIKAALHALQNVNGLPMPIMHLKPMNPDDKSTIPTERIKPVNDILDWLSSIFGFQKGNVANQREHLILLLANMDVRHRNLENYTQLNSGTVQHLMEKIFKNYRSWFNYLHCKSNLKFPQGSDRQQLELIYIGLYLLIWGEASNIRFMPECLCYIFHQMANEVYGILYSNVHPVSGETYQTTARDEESFLRDVVTPIYQVLYKEAKRNKNGKASHSRWRNYDDLNEYFWSDKCFRLGWPMDPKADFFRHSDGIPPANERTNQAAGGRRKPKTNFVEVRTFLHLYRSFDRMWIFFILAFQAMVIVAWSSSGSLTAFFDADVFRSVLSIFITYAFLNLLQATLDIVLSWNAWKSLKLTQILRYLLKFAVAGVWAVVLPVGYSSSVQNPTGLLKFFSSWARDWRNQSFYNYAVAIYLLPNILAAVLFFLPPLRRHIERSNWRIVTLFMWWAQPKLYIGRGLHEDVFSLLKYTLFWIMLLISKLSFSYFVEILPLVGPTKVIMKMPISNYQWHEFFPNVTHNMGVVIAIWAPIVLVYFMDAQIWYAIFSTLFGGIHGAFSHLGEIRTLGMLRSRFESVPSAFSNRLMPSPNKDAKKKRQLEDEALERKNIADFSYVWNEFINSMRLEDLISNRDKDLLLVPSSSNDVSVVQWPPFLLASKIPIALDMAKDFTGKADDDLFRKIKSDDYMYSAVIECYETLRDIIFGLLDDAADKMIVKQICYEVDSSIQQEKFLTYFRMSGLPFLSERLEKFLKLLQLAEDENVENSMRQIINVLQDIMEIITQDVMVNGHQILEAAHYIDGQNVKKEQRFQKINIFLTQNTAWREKVVRLHLLLTVKESAINVPQNLEARRRITFFANSLFMNMPRAPKVRDMLSFSVLTPYYKEDVLYSDDELTKENEDGISILFYLQKIYPDEWTNFQDRIKDPKNEFSDKDKSELIRQWVSYRGQTLSRTVRGMMYYRKALDIQCVLETAGDSAILGGYHTMELSENDEKAFLDRAQALADLKFTYVVSCQMYGAQKNSPDPRDKSSYSNILKLMLTYPSLRVAYIDTREEHVNGKSQKAHFSVLVKGGDKWDEEIYRIKLPGPPTVIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFLKPRLGQRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGYNSTMRGGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRVYLVMSGLESEILDNPAIHENKAFEESLATQSVFQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKVHYYGRTILHGGSKYRATGRGFVVFHAKFSENYRLYSRSHFVKGLELFILLIVYGVYGKAYKSSNLYFFITFSMWFLVASWLFAPFVFNPSSFDWQKTVDDWTDWKRWMGNRGGIGISPDKSWESWWDEEQEHLKHTVIRGRVIEIILACRFFVYQYGIVYHLDIAHHSKNLLVYGLSWVVMVTVLLVLKMVSMGRRRFGTDFQLMFRILKALLFLGFMSVMTVLFVVCGLTISDLFAAMLAFLPTGWALLLIGQACRRMVKGLGFWESIKELGRAYDYIMGLIIFMPIAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGRKDKTTSREQT >ONI17695 pep chromosome:Prunus_persica_NCBIv2:G3:19188974:19206491:-1 gene:PRUPE_3G175000 transcript:ONI17695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGTKNNQDPQRSLSRRMTRMPTRLLDLPTEDEALDVPSCLASIAPIFRVANEIEKENPRVAYLCRFHGFEKAHTMDPTSSGRGVRQFKTHLLHRLEKEEEETRHQLAKSDTKEILYFYHQFYRNNILEGEYTKKPEEMARIIQIATVLYDVLKTVVPQPQIDQQTQKIAEDVKRKREQYVNYNILPLYTVGVKPAIMELPEIKAALHALQNVNGLPMPIMHLKPMNPDDKSTIPTERIKPVNDILDWLSSIFGFQKGNVANQREHLILLLANMDVRHRNLENYTQLNSGTVQHLMEKIFKNYRSWFNYLHCKSNLKFPQGSDRQQLELIYIGLYLLIWGEASNIRFMPECLCYIFHQMANEVYGILYSNVHPVSGETYQTTARDEESFLRDVVTPIYQVLYKEAKRNKNGKASHSRWRNYDDLNEYFWSDKCFRLGWPMDPKADFFRHSDGIPPANERTNQAAGGRRKPKTNFVEVRTFLHLYRSFDRMWIFFILAFQAMVIVAWSSSGSLTAFFDADVFRSVLSIFITYAFLNLLQATLDIVLSWNAWKSLKLTQILRYLLKFAVAGVWAVVLPVGYSSSVQNPTGLLKFFSSWARDWRNQSFYNYAVAIYLLPNILAAVLFFLPPLRRHIERSNWRIVTLFMWWAQPKLYIGRGLHEDVFSLLKYTLFWIMLLISKLSFSYFVEILPLVGPTKVIMKMPISNYQWHEFFPNVTHNMGVVIAIWAPIVLVYFMDAQIWYAIFSTLFGGIHGAFSHLGEIRTLGMLRSRFESVPSAFSNRLMPSPNKDAKKKRQLEDEALERKNIADFSYVWNEFINSMRLEDLISNRDKDLLLVPSSSNDVSVVQWPPFLLASKIPIALDMAKDFTGKADDDLFRKIKSDDYMYSAVIECYETLRDIIFGLLDDAADKMIVKQICYEVDSSIQQEKFLTYFRMSGLPFLSERLEKFLKLLLAEDENVENSMRQIINVLQDIMEIITQDVMVNGHQILEAAHYIDGQNVKKEQRFQKINIFLTQNTAWREKVVRLHLLLTVKESAINVPQNLEARRRITFFANSLFMNMPRAPKVRDMLSFSVLTPYYKEDVLYSDDELTKENEDGISILFYLQKIYPDEWTNFQDRIKDPKNEFSDKDKSELIRQWVSYRGQTLSRTVRGMMYYRKALDIQCVLETAGDSAILGGYHTMELSENDEKAFLDRAQALADLKFTYVVSCQMYGAQKNSPDPRDKSSYSNILKLMLTYPSLRVAYIDTREEHVNGKSQKAHFSVLVKGGDKWDEEIYRIKLPGPPTVIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFLKPRLGQRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKVINLSEDIFAGYNSTMRGGFITHHEYIQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRVYLVMSGLESEILDNPAIHENKAFEESLATQSVFQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKVHYYGRTILHGGSKYRATGRGFVVFHAKFSENYRLYSRSHFVKGLELFILLIVYGVYGKAYKSSNLYFFITFSMWFLVASWLFAPFVFNPSSFDWQKTVDDWTDWKRWMGNRGGIGISPDKSWESWWDEEQEHLKHTVIRGRVIEIILACRFFVYQYGIVYHLDIAHHSKNLLVYGLSWVVMVTVLLVLKMVSMGRRRFGTDFQLMFRILKALLFLGFMSVMTVLFVVCGLTISDLFAAMLAFLPTGWALLLIGQACRRMVKGLGFWESIKELGRAYDYIMGLIIFMPIAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGRKDKTTSREQT >ONI18308 pep chromosome:Prunus_persica_NCBIv2:G3:21447456:21450286:1 gene:PRUPE_3G208300 transcript:ONI18308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLHISWLLLAQCLLLVTRITAKVPAVVVFGDSSVDAGNNNQIPTIARSNFQPYGRDFSGGKPTGRFSNGRVPTDFISQALGLRPFIPAYLDPSHSISDFAIGVTFASAGTGYDTATSDVLSVIPLWKQLEYYKEYQNKLRLYLGGNKANETINGALHIMSLGTNDFLENYYSYPGRSSQYSIQQYQYLLIGIAGNFIKQLYHLGARKISLGGLPPMGCLPLERTTNIMGGNDCIEDYNNVALEFNGKLNGLTTNLNKELPGLKLVFSNPYYIFLQMIRRPSLYGFEVTSVACCATGMFEMGYACNRNNMLTCTDASKYIFWDSFHPTEKANHIISDYVVKNVLAQFL >ONI18314 pep chromosome:Prunus_persica_NCBIv2:G3:21472004:21474616:-1 gene:PRUPE_3G208900 transcript:ONI18314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMMANNILASSILVILLFCESAVGARTKLLSANNGQDATSALAPSANDGICATMVETHGYACEEHTVTTQDGYILSMQRIPVRKSGEASGNRIPVLLQHGLLMDGITWLLLPPDQSLAFLLADNGYEVWLGNTRGTKYSLGHTSLSPDDPAYWEWSWDELVAYDLPATFQYVHDQTGQKIHYVGHSLILSPLARTAAENFIAEGLYKADIREFNPKGKALVEVLKAVCSKPGVDCTQLLTAFTGKNCCLNSSIVDVFLDHEPQSTSTKNMVHISQMIRDGTVAMFDYDNKNENMQHYGQPNPPVYSMTSIPNDLPLFLSYGGADALSDVKDVKLLLASLKDHDGDKLVVQYRDDYAHADFVMGQTAKQDVYDPLMAFLKLQ >ONI18315 pep chromosome:Prunus_persica_NCBIv2:G3:21472277:21474510:-1 gene:PRUPE_3G208900 transcript:ONI18315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMMANNILASSILVILLFCESAVGARTKLLSANNGQDATSALAPSANDGICATMVETHGYACEEHTVTTQDGYILSMQRIPVRKSGEASGNRIPVLLQHGLLMDGITWLLLPPDQSLAFLLADNGYEVWLGNTRGTKYSLGHTSLSPDDPAYWEWSWDELVAYDLPATFQYVHDQTGQKIHYVGHSLGTLVALGAFCKDQQLNRLRSAVLLSPIAYVGQILSPLARTAAENFIAEGLYKADIREFNPKGKALVEVLKAVCSKPGVDCTQLLTAFTGKNCCLNSSIVDVFLDHEPQSTSTKNMVHISQMIRDGTVAMFDYDNKNENMQHYGQPNPPVYSMTSIPNDLPLFLSYGGADALSDVKDVKLLLASLKDHDGDKLVVQYRDDYAHADFVMGQTAKQDVYDPLMAFLKLQ >ONI16197 pep chromosome:Prunus_persica_NCBIv2:G3:6205522:6210618:-1 gene:PRUPE_3G084000 transcript:ONI16197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIRDNDGYEEELVDYEEEDQNAPNSVSAKPSGDTVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPIAGQVAALILCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVSIKVHKDLLKNECPHIVVGTPGRILALARDKELGLKNVRHFILDECDKMLESLDMRRDVQEIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEPEKNRKLTDLLDALDFNQVVIFVKSVSRAAELNKLLADCNFPSICIHSGMPQEERLKRYKNFKEGLSRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQSRFEVDIKELPEQIDTSTYSKIAFLTKC >ONI16198 pep chromosome:Prunus_persica_NCBIv2:G3:6205522:6210615:-1 gene:PRUPE_3G084000 transcript:ONI16198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVICQAKSGMGKTAVFVLSTLQQIDPIAGQVAALILCHTRELAYQICHEFERFSTYLPDLKVAVFYGGVSIKVHKDLLKNECPHIVVGTPGRILALARDKELGLKNVRHFILDECDKMLESLDMRRDVQEIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEPEKNRKLTDLLDALDFNQVVIFVKSVSRAAELNKLLADCNFPSICIHSGMPQEERLKRYKNFKEGLSRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQSRFEVDIKELPEQIDTSTYSKIAFLTKC >ONI15087 pep chromosome:Prunus_persica_NCBIv2:G3:1833139:1835007:-1 gene:PRUPE_3G025100 transcript:ONI15087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCSGPWDFGCFIEHLCVSSLLKLLMILVLCYITLLFFYLLFKLGLFQCIGKSLCKMCWAACETYWYALEDITCFLCYKLKNTKRVNRRRHRRRRRSQFRDIEQGYSSSEENDLWDNYHELNVSRKRKSLSRRRKDKLQSSVYPSRRHGTRSHHHVRLKSRGRSVRIVGRSQRPRSSRHLRLRKVRNVRRQARTSKRRKLR >ONI15086 pep chromosome:Prunus_persica_NCBIv2:G3:1833199:1834662:-1 gene:PRUPE_3G025100 transcript:ONI15086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVISKAVDGVGGLLEKAFLAPFKTMFGGSCEDVCSGPWDFGCFIEHLCVSSLLKLLMILVLCYITLLFFYLLFKLGLFQCIGKSLCKMCWAACETYWYALEDITCFLCYKLKNTKRVNRRRHRRRRRSQFRDIEQGYSSSEENDLWDNYHELNVSRKRKSLSRRRKDKLQSSVYPSRRHGTRSHHHVRLKSRGRSVRIVGRSQRPRSSRHLRLRKVRNVRRQARTSKRRKLR >ONI19195 pep chromosome:Prunus_persica_NCBIv2:G3:24834496:24837597:1 gene:PRUPE_3G263300 transcript:ONI19195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVFEGYERQYSELSANLTKKCTVAGALDGEQKKQKISEIKAAIDDAESLIRKMDLEARSLPPNIKAVLLAKLREYKSDLNNLKSEVKRLVSGNSYSSARDELLESGMADTLTASADQRGRLMMSTERLNKSSDRVKDSRRTMLETEELGVSILQDLHSQRQSLLHANNTLHGVDDNIGKSKRVLTSMARRMSRNKWIIGSVIAVLVIAIALILYFKLK >ONI20099 pep chromosome:Prunus_persica_NCBIv2:G3:27201705:27203003:1 gene:PRUPE_3G314800 transcript:ONI20099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRNDEDKKGGGACRGGGRGVPGVVVAPLLVLVFAGIVAQEGILVLAAQEVDTSCLNRLAPCLNYLNGTRHPPDNCCDPLKWVIKSNPECLCRMISNRGTNEAEQAGINVNEAQELPGRCGQRVNPLVCLSSNGSPNDSKNSVPNSANSFSLLFRGSMAATTLSLSLHLIFNWHQIQYSFSIN >ONI19864 pep chromosome:Prunus_persica_NCBIv2:G3:26618187:26619286:1 gene:PRUPE_3G302000 transcript:ONI19864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEEEQLHDEEKTGSDALQQAGEVLGQSAQSAMDVEVLCMDHLRKSSDSRDSSSEATTLCKPGKTHALIRDEAQVLSSADPKATADHFLIWTCLLSPLDHGGSNHSAKVWPANGCTGERGTGRSAKKPDKGRSAKEEASKRGKVREIQKKPSSML >ONI16678 pep chromosome:Prunus_persica_NCBIv2:G3:9716994:9723683:1 gene:PRUPE_3G115000 transcript:ONI16678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRKLFQHRSSVSFFGARSMSWWRSVEPAPKDPILGVTEAFLADPSPDKINVGVGAYRDDKGKPVVLECVREAERRIAGNLNMEYLPMGGSVNMVEETLKLAYGENSELIKDKRIAAVQALSGTGACRLFADFQKRFCPDSQIYIPVPTWANHHNIWRDAHVPQKTFHYYHPQSKGLDFAGLVDDIKNAPNGSFFLLHACAHNPTGVDPSEEQWKEISKKIKEKGHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHLLGIAQSYAKNMGLYGQRVGCLSVLCEDEKQAVAVKSQLQMLARPMYSNPPVHGALIVSTILGDPGLKKLWLKEVKGMADRIIGMRTALRENLEKLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVGYLANAIHEVTKSA >ONI16680 pep chromosome:Prunus_persica_NCBIv2:G3:9718306:9723683:1 gene:PRUPE_3G115000 transcript:ONI16680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVNMVEETLKLAYGENSELIKDKRIAAVQALSGTGACRLFADFQKRFCPDSQIYIPVPTWANHHNIWRDAHVPQKTFHYYHPQSKGLDFAGLVDDIKNAPNGSFFLLHACAHNPTGVDPSEEQWKEISKKIKEKGHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHLLGIAQSYAKNMGLYGQRVGCLSVLCEDEKQAVAVKSQLQMLARPMYSNPPVHGALIVSTILGDPGLKKLWLKEVKGMADRIIGMRTALRENLEKLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVGYLANAIHEVTKSA >ONI16679 pep chromosome:Prunus_persica_NCBIv2:G3:9718178:9723683:1 gene:PRUPE_3G115000 transcript:ONI16679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVNMVEETLKLAYGENSELIKDKRIAAVQALSGTGACRLFADFQKRFCPDSQIYIPVPTWANHHNIWRDAHVPQKTFHYYHPQSKGLDFAGLVDDIKNAPNGSFFLLHACAHNPTGVDPSEEQWKEISKKIKEKGHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHLLGIAQSYAKNMGLYGQRVGCLSVLCEDEKQAVAVKSQLQMLARPMYSNPPVHGALIVSTILGDPGLKKLWLKEVKGMADRIIGMRTALRENLEKLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTNEFHIYMTRNGRISMAGVTTGNVGYLANAIHEVTKSA >ONI16937 pep chromosome:Prunus_persica_NCBIv2:G3:13423487:13424657:-1 gene:PRUPE_3G131400 transcript:ONI16937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIIITSWGHKFCVEVSLKEPVVEIKRKIEQILGAPVASQILVVCDWELLDGLDMEDYPIITEGTNIHLTIKWMGPPLNSYHSSKIQITIKFSARQLHVEVDRSETVRSLKEKLHIMDGTPIKRMSLFFSGIELHEDFRNLSEYGIREFSEIIVFLKGMSRVRDELPVRRLSVVVQTSSSLLNAAMIPLEMKDSSTVNDLRQLLLSSKTLPIDEYLFIHKQRIMRDNCSLRWHGVDDGDLLYVFKGAVCRSGY >ONI19176 pep chromosome:Prunus_persica_NCBIv2:G3:24816746:24821922:-1 gene:PRUPE_3G263100 transcript:ONI19176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFRLRLWVHFCAVYIFSGVVCYLLYYEYSYISSKRIAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVEKFFTEYYPSTYLSHSVVRRTNKLQRLTSDAKKLYRRLVHLKSETNPQRRSIRDGFCGLFGHKVNVLDHYGKKLETLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAVALHIQQGTNPTEWVTERAPEPQDIHWPFFSSSFIKRWISKLLVVVAYAAVTILFLIPVVIVQGLTNLEQLETYFPFLESILSLTVVSEVITGYLPSLILQMFIAFVPPIMIMLSSVEGCISFSQIEKSACIKMLCFTIWNIFFANALSGTVLYRFDIFLDPKEIPGILADAVPAQASFFIAYVVTSGWTSLLSSVLFRLSPLICSIIKKPFSGKDGDELKVPSIPYHSHIPKVLFFGLLGITYFFLAPLIIPFLLVYCCLGYIIYRNQLLIVYAPKYDTGGRFWPTVHNSTIFSLVLMHIIAIGIFGLKNLSLASSLIVPLPILTLLFNEYCRKRFLPIFEDYPLECLIKKDRQDQNDPTIAVFYNKLSTAYEDPALMPIRHPRSTDDHNSPLLQAGV >ONI19173 pep chromosome:Prunus_persica_NCBIv2:G3:24817036:24823019:-1 gene:PRUPE_3G263100 transcript:ONI19173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSILKKQPSYLDVYAPRLVAAKEESEQRNGFNFERLLPTAGWVKRAWQPSEDEFMSATSFDAVVFIRIFIFSLRVFGFAGIVGVVILLPINYLGTQLDADSSDLANKSLDSFSISNVKDGSKWLWVHFCAVYIFSGVVCYLLYYEYSYISSKRIAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVEKFFTEYYPSTYLSHSVVRRTNKLQRLTSDAKKLYRRLVHLKSETNPQRRSIRDGFCGLFGHKVNVLDHYGKKLETLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAVALHIQQGTNPTEWVTERAPEPQDIHWPFFSSSFIKRWISKLLVVVAYAAVTILFLIPVVIVQGLTNLEQLETYFPFLESILSLTVVSEVITGYLPSLILQMFIAFVPPIMIMLSSVEGCISFSQIEKSACIKMLCFTIWNIFFANALSGTVLYRFDIFLDPKEIPGILADAVPAQASFFIAYVVTSGWTSLLSSVLFRLSPLICSIIKKPFSGKDGDELKVPSIPYHSHIPKVLFFGLLGITYFFLAPLIIPFLLVYCCLGYIIYRNQLLIVYAPKYDTGGRFWPTVHNSTIFSLVLMHIIAIGIFGLKNLSLASSLIVPLPILTLLFNEYCRKRFLPIFEDYPLECLIKKDRQDQNDPTIAVFYNKLSTAYEDPALMPIRHPRSTDDHNSPLLQAGV >ONI19170 pep chromosome:Prunus_persica_NCBIv2:G3:24816746:24823237:-1 gene:PRUPE_3G263100 transcript:ONI19170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSILKKQPSYLDVYAPRLVAAKEESEQRNGFNFERLLPTAGWVKRAWQPSEDEFMSATSFDAVVFIRIFIFSLRVFGFAGIVGVVILLPINYLGTQLDADSSDLANKSLDSFSISNVKDGSKWLWVHFCAVYIFSGVVCYLLYYEYSYISSKRIAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVEKFFTEYYPSTYLSHSVVRRTNKLQRLTSDAKKLYRRLVHLKSETNPQRRSIRDGFCGLFGHKVNVLDHYGKKLETLEDNVRMEQLSVAGKLLIVYAPKYDTGGRFWPTVHNSTIFSLVLMHIIAIGIFGLKNLSLASSLIVPLPILTLLFNEYCRKRFLPIFEDYPLECLIKKDRQDQNDPTIAVFYNKLSTAYEDPALMPIRHPRSTDDHNSPLLQAGV >ONI19174 pep chromosome:Prunus_persica_NCBIv2:G3:24816746:24823237:-1 gene:PRUPE_3G263100 transcript:ONI19174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILRIWPTSLWTPSVFQMSRMAQNVYIFSGVVCYLLYYEYSYISSKRIAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVEKFFTEYYPSTYLSHSVVRRTNKLQRLTSDAKKLYRRLVHLKSETNPQRRSIRDGFCGLFGHKVNVLDHYGKKLETLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAVALHIQQGTNPTEWVTERAPEPQDIHWPFFSSSFIKRWISKLLVVVAYAAVTILFLIPVVIVQGLTNLEQLETYFPFLESILSLTVVSEVITGYLPSLILQMFIAFVPPIMIMLSSVEGCISFSQIEKSACIKMLCFTIWNIFFANALSGTVLYRFDIFLDPKEIPGILADAVPAQLLIVYAPKYDTGGRFWPTVHNSTIFSLVLMHIIAIGIFGLKNLSLASSLIVPLPILTLLFNEYCRKRFLPIFEDYPLECLIKKDRQDQNDPTIAVFYNKLSTAYEDPALMPIRHPRSTDDHNSPLLQAGV >ONI19177 pep chromosome:Prunus_persica_NCBIv2:G3:24816746:24820741:-1 gene:PRUPE_3G263100 transcript:ONI19177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAKKLYRRLVHLKSETNPQRRSIRDGFCGLFGHKVNVLDHYGKKLETLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAVALHIQQGTNPTEWVTERAPEPQDIHWPFFSSSFIKRWISKLLVVVAYAAVTILFLIPVVIVQGLTNLEQLETYFPFLESILSLTVVSEVITGYLPSLILQMFIAFVPPIMIMLSSVEGCISFSQIEKSACIKMLCFTIWNIFFANALSGTVLYRFDIFLDPKEIPGILADAVPAQASFFIAYVVTSGWTSLLSSVLFRLSPLICSIIKKPFSGKDGDELKVPSIPYHSHIPKVLFFGLLGITYFFLAPLIIPFLLVYCCLGYIIYRNQLLIVYAPKYDTGGRFWPTVHNSTIFSLVLMHIIAIGIFGLKNLSLASSLIVPLPILTLLFNEYCRKRFLPIFEDYPLECLIKKDRQDQNDPTIAVFYNKLSTAYEDPALMPIRHPRSTDDHNSPLLQAGV >ONI19175 pep chromosome:Prunus_persica_NCBIv2:G3:24817036:24822560:-1 gene:PRUPE_3G263100 transcript:ONI19175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILRIWPTSLWTPSVFQMSRMAQNVYIFSGVVCYLLYYEYSYISSKRIAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVEKFFTEYYPSTYLSHSVVRRTNKLQRLTSDAKKLYRRLVHLKSETNPQRRSIRDGFCGLFGHKVNVLDHYGKKLETLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAVALHIQQGTNPTEWVTERAPEPQDIHWPFFSSSFIKRWISKLLVVVAYAAVTILFLIPVVIVQGLTNLEQLETYFPFLESILSLTVVSEVITGYLPSLILQMFIAFVPPIMIMLSSVEGCISFSQIEKSACIKMLCFTIWNIFFANALSGTVLYRFDIFLDPKEIPGILADAVPAQASFFIAYVVTSGWTSLLSSVLFRLSPLICSIIKKPFSGKDGDELKVPSIPYHSHIPKVLFFGLLGITYFFLAPLIIPFLLVYCCLGYIIYRNQLLIVYAPKYDTGGRFWPTVHNSTIFSLVLMHIIAIGIFGLKNLSLASSLIVPLPILTLLFNEYCRKRFLPIFEDYPLECLIKKDRQDQNDPTIAVFYNKLSTAYEDPALMPIRHPRSTDDHNSPLLQAGV >ONI19172 pep chromosome:Prunus_persica_NCBIv2:G3:24816746:24823237:-1 gene:PRUPE_3G263100 transcript:ONI19172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSILKKQPSYLDVYAPRLVAAKEESEQRNGFNFERLLPTAGWVKRAWQPSEDEFMSATSFDAVVFIRIFIFSLRVFGFAGIVGVVILLPINYLGTQLDADSSDLANKSLDSFSISNVKDGSKWLWVHFCAVYIFSGVVCYLLYYEYSYISSKRIAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVEKFFTEYYPSTYLSHSVVRRTNKLQRLTSDAKKLYRRLVHLKSETNPQRRSIRDGFCGLFGHKVNVLDHYGKKLETLEDNVRMEQLSVAGKEVPAAFVSFKSRLGAAVALHIQQGTNPTEWVTERAPEPQDIHWPFFSSSFIKRWISKLLVVVAYAAVTILFLIPVVIVQGLTNLEQLETYFPFLESILSLTVVSEVITGYLPSLILQMFIAFVPPIMIMLSSVEGCISFSQIEKSACIKMLCFTIWNIFFANALSGTVLYRFDIFLDPKEIPGILADAVPAQLLIVYAPKYDTGGRFWPTVHNSTIFSLVLMHIIAIGIFGLKNLSLASSLIVPLPILTLLFNEYCRKRFLPIFEDYPLECLIKKDRQDQNDPTIAVFYNKLSTAYEDPALMPIRHPRSTDDHNSPLLQAGV >ONI19171 pep chromosome:Prunus_persica_NCBIv2:G3:24817036:24823019:-1 gene:PRUPE_3G263100 transcript:ONI19171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVWALLTSVGINLGLCLLFFTLYSILKKQPSYLDVYAPRLVAAKEESEQRNGFNFERLLPTAGWVKRAWQPSEDEFMSATSFDAVVFIRIFIFSLRVFGFAGIVGVVILLPINYLGTQLDADSSDLANKSLDSFSISNVKDGSKWLWVHFCAVYIFSGVVCYLLYYEYSYISSKRIAHYYSSKPQPHQFTILVRGIPVSSGSSCSETVEKFFTEYYPSTYLSHSVVRRTNKLQRLTSDAKKLYRRLVHLKSETNPQRRSIRDGFCGLFGHKVNVLDHYGKKLETLEDNVRMEQLSVAGKASFFIAYVVTSGWTSLLSSVLFRLSPLICSIIKKPFSGKDGDELKVPSIPYHSHIPKVLFFGLLGITYFFLAPLIIPFLLVYCCLGYIIYRNQLLIVYAPKYDTGGRFWPTVHNSTIFSLVLMHIIAIGIFGLKNLSLASSLIVPLPILTLLFNEYCRKRFLPIFEDYPLECLIKKDRQDQNDPTIAVFYNKLSTAYEDPALMPIRHPRSTDDHNSPLLQAGV >ONI19522 pep chromosome:Prunus_persica_NCBIv2:G3:25720990:25723634:-1 gene:PRUPE_3G283000 transcript:ONI19522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETALRDLNAIPGSEKKNESSSKGSITKPLAGNANENQEECQKKATAALGALSINGAEVANTGVEIGIAEVEYIESENLNDLEDVDTSLKTLVTGLESKDWVLLCEALNNVRRFSIFHKEAMLDMLGDVISLVVRSLKNPRSAVCKTAIMTSADIFNAYNDLVVDSLDPLLLQLLLKSSQDKRFVCEAAERALVAMTTWVSPPLLLPKLQPYLKNKNPRVRAKASMCFCRSVPRLV >ONI19520 pep chromosome:Prunus_persica_NCBIv2:G3:25720284:25723634:-1 gene:PRUPE_3G283000 transcript:ONI19520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETALRDLNAIPGSEKKNESSSKGSITKPLAGNANENQEECQKKATAALGALSINGAEVANTGVEIGIAEVEYIESENLNDLEDVDTSLKTLVTGLESKDWVLLCEALNNVRRFSIFHKEAMLDMLGDVISLVVRSLKNPRSAVCKTAIMTSADIFNAYNDLVVDSLDPLLLQLLLKSSQDKRFVCEAAERALVAMTTWVSPPLLLPKLQPYLKNKNPRVRAKASMCFCRSVPRLGVEGIKAYGIDKFIQTAASQLSDQLPESREAARILLLELQTVYEKFNDLTPASVSEHPEPSSWENFCQSKLSPLSAQAVLRVTSISREGLVMGS >ONI19521 pep chromosome:Prunus_persica_NCBIv2:G3:25720284:25722954:-1 gene:PRUPE_3G283000 transcript:ONI19521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETALRDLNAIPGSEKKNESSSKGSITKPLAGNANENQEECQKKATAALGALSINGAEVANTGVEIGIAEVEYIESENLNDLEDVDTSLKTLVTGLESKDWVLLCEALNNVRRFSIFHKEAMLDMLGDVISLVVRSLKNPRSAVCKTAIMTSADIFNAYNDLVVDSLDPLLLQLLLKSSQDKRFVCEAAERALVAMTTWVSPPLLLPKLQPYLKNKNPRVRAKASMCFCRSVPRLGVEGIKAYGIDKFIQTAASQLSDQLPESREAARILLLELQTVYEKFNDLTPASVSEHPEPSSWENFCQSKLSPLSAQAVLRVTSISREGLVMGS >ONI16250 pep chromosome:Prunus_persica_NCBIv2:G3:6493287:6504165:-1 gene:PRUPE_3G087700 transcript:ONI16250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRELVFLILQYLNEANEGKYKDTVHRLEKDSGYFFNMRYFEDCLNNGEWDEADSYLSGFCKVDESRYSTKIFFEIRKQKYFEALDRHDYARAVDILQKDLKVFSTINEEKFKEMTLLLSLGNFRENEELSDYGDINSARAVILTEVKKIIEASPLFRDKLQFPKLKNSRLGTLINQGLNWQHHLCNNPRPNPDFKTLFSDHSCGHIACAPSPAINPIMGSIAKVGGFPSSRKKKVGGFPPIRAHVPFQPAPAPLTTSLSGWTANPSSVPHQTVSARPRDSKHVSKKRSRPLGTPDKSHADTLHSSEDLPKTVIVSLSQGSAVKSMDFHPMQQTLLLVGTNIGDLTIWEVGSRERLVSRNFEIWDLDACSMALQASVAFEYTASVNRVIWSPDGSHIGIGYSKSVVHVYSYHGADDLRNQLEIDAHVGEVNDLAFTHLNKQLCIISCGEDKTIKVWDAITGNKLYSFEGHEAPVYSVCAQMKENTQFIFSTAIDGKIKAWLYDNLGSRVDYHAPGHSFTRIVYSTDGTRLFSCGTNKEGESYIVEWDETQGAVKRTYNGLGKQTLGVMQFDTTKNRFLAAGDEFQIKFWDMDNVNLLNATNAEGGLPASPCLRFNKEGIMLAVSTNENGIKVLANADGVRLLRSIENRAVDASGMASGNAVKGPIIGTFGASGSVHGTNNGVADRSQVSTVVGLNGDARSLDKNSRVAEELEKPKTWKLTEVNEPSLLRSIRLPDNLNLIVRLIYANSGGSILALTHTGVHRLWKWQKNEQNVLGKATTTVPPLLWQPRSGILMMTNDIIDRNLEDSVPSCLALSNNDSYAVSASGGKISLFNTVTFKTLATFMPPPPAATFVAFHPVDNNIIAVGMEDSTIHLFHVPIDTVKYKIKGHQKRVTGLAFSTVLNVLVSSGADSQLCVWSLDGWAKQAGMFLQIPTGRVLTPLAQTRVQFHQDLIHLLAVHETQIAIYEASKLECLKKWVPRQSLGPITDATYSCDSESIYASFEDASVFVLTASTLRIRCRISSAAYITSNPSVRVYPAVVAAHPSEPNQFAVGLTDGGVVVMEPPESEETWGTMPPVENAGPSAGTAAGLDQQQR >ONI16256 pep chromosome:Prunus_persica_NCBIv2:G3:6493710:6503659:-1 gene:PRUPE_3G087700 transcript:ONI16256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRELVFLILQYLNEANEGKYKDTVHRLEKDSGYFFNMRYFEDCLNNGEWDEADSYLSGFCKVDESRYSTKIFFEIRKQKYFEALDRHDYARAVDILQKDLKVFSTINEEKFKEMTLLLSLGNFRENEELSDYGDINSARAVILTEVKKIIEASPLFRDKLQFPKLKNSRLGTLINQGLNWQHHLCNNPRPNPDFKTLFSDHSCGHIACAPSPAINPIMGSIAKVGGFPSSRKKKVGGFPPIRAHVPFQPAPAPLTTSLSGWTANPSSVPHQTVSARPRGLTVPNNAYSKHVSKKRSRPLGTPDKSHADTLHSSEDLPKTVIVSLSQGSAVKSMDFHPMQQTLLLVGTNIGDLTIWEVGSRERLVSRNFEIWDLDACSMALQASVAFEYTASVNRVIWSPDGSHIGIGYSKSVVHVYSYHGADDLRNQLEIDAHVGEVNDLAFTHLNKQLCIISCGEDKTIKVWDAITGNKLYSFEGHEAPVYSVCAQMKENTQFIFSTAIDGKIKAWLYDNLGSRVDYHAPGHSFTRIVYSTDGTRLFSCGTNKEGESYIVEWDETQGAVKRTYNGLGKQTLGVMQFDTTKNRFLAAGDEFQIKFWDMDNVNLLNATNAEGGLPASPCLRFNKEGIMLAVSTNENGIKVLANADGVRLLRSIENRAVDASGMASGNAVKGPIIGTFGASGSVHGTNNGVADRSQVSTVVGLNGDARSLDKNSRVAEELEKPKTWKLTEVNEPSLLRSIRLPDNLNLIVRLIYANSGGSILALTHTGVHRLWKWQKNEQNVLGKATTTVPPLLWQPRSGILMMTNDIIDRNLEDSVPSCLALSNNDSYAVSASGGKISLFNTVTFKTLATFMPPPPAATFVAFHPVDNNIIAVGMEDSTIHLFHVPIDTVKYKIKGHQKRVTGLAFSTVLNVLVSSGADSQLCVWSLDGWAKQAGMFLQIPTGRVLTPLAQTRVQFHQDLIHLLAVHETQIAIYEASKLECLKKWVPRQSLGPITDATYSCDSESIYASFEDASVFVLTASTLRIRCRISSAAYITSNPSVRVYPAVVAAHPSEPNQFAVGLTDGGVVVMEPPESEETWGTMPPVENAGPSAGTAAGLDQQQR >ONI16253 pep chromosome:Prunus_persica_NCBIv2:G3:6493287:6504104:-1 gene:PRUPE_3G087700 transcript:ONI16253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRELVFLILQYLNEANEGKYKDTVHRLEKDSGYFFNMRYFEDCLNNGEWDEADSYLSGFCKVDESRYSTKIFFEIRKQKYFEALDRHDYARAVDILQKDLKVFSTINEEKFKEMTLLLSLGNFRENEELSDYGDINSARAVILTEVKKIIEASPLFRDKLQFPKLKNSRLGTLINQGLNWQHHLCNNPRPNPDFKTLFSDHSCGHIACAPSPAINPIMGSIAKVGGFPSSRKKKVGGFPPIRAHVPFQPAPAPLTTSLSGWTANPSSVPHQTVSARPRGLTVPNNAYSKHVSKKRSRPLGTPDKSHADTLHSSEDLPKTVIVSLSQGSAVKSMDFHPMQQTLLLVGTNIGDLTIWEVGSRERLVSRNFEIWDLDACSMALQASVAFEYTASVNRVIWSPDGSHIGIGYSKSVVHVYSYHGADDLRNQLEIDAHVGEVNDLAFTHLNKQLCIISCGEDKTIKVWDAITGNKLYSFEGHEAPVYSVCAQMKENTQFIFSTAIDGKIKAWLYDNLGSRVDYHAPGHSFTRIVYSTDGTRLFSCGTNKEGESYIVEWDETQGAVKRTYNGLGKQTLGVMQFDTTKNRFLAAGDEFQIKFWDMDNVNLLNATNAEGGLPASPCLRFNKEGIMLAVSTNENGIKVLANADGVRLLRSIENRAVDASGMASGNAVKGPIIGTFGASGSVHGTNNGVADRSQVSTVVGLIVRLIYANSGGSILALTHTGVHRLWKWQKNEQNVLGKATTTVPPLLWQPRSGILMMTNDIIDRNLEDSVPSCLALSNNDSYAVSASGGKISLFNTVTFKTLATFMPPPPAATFVAFHPVDNNIIAVGMEDSTIHLFHVPIDTVKYKIKGHQKRVTGLAFSTVLNVLVSSGADSQLCVWSLDGWAKQAGMFLQIPTGRVLTPLAQTRVQFHQDLIHLLAVHETQIAIYEASKLECLKKWVPRQSLGPITDATYSCDSESIYASFEDASVFVLTASTLRIRCRISSAAYITSNPSVRVYPAVVAAHPSEPNQFAVGLTDGGVVVMEPPESEETWGTMPPVENAGPSAGTAAGLDQQQR >ONI16257 pep chromosome:Prunus_persica_NCBIv2:G3:6493710:6503659:-1 gene:PRUPE_3G087700 transcript:ONI16257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRELVFLILQYLNEANEGKYKDTVHRLEKDSGYFFNMRYFEDCLNNGEWDEADSYLSGFCKVDESRYSTKIFFEIRKQKYFEALDRHDYARAVDILQKDLKVFSTINEEKFKEMTLLLSLGNFRENEELSDYGDINSARAVILTEVKKIIEASPLFRDKLQFPKLKNSRLGTLINQGLNWQHHLCNNPRPNPDFKTLFSDHSCGHIACAPSPAINPIMGSIAKVGGFPSSRKKKVGGFPPIRAHVPFQPAPAPLTTSLSGWTANPSSVPHQTVSARPRGLTVPNNAYSKHVSKKRSRPLGTPDKSHADTLHSSEDLPKTVIVSLSQGSAVKSMDFHPMQQTLLLVGTNIGDLTIWEVGSRERLVSRNFEIWDLDACSMALQASVAFEYTASVNRVIWSPDGSHIGIGYSKSVVHVYSYHGADDLRNQLEIDAHVGEVNDLAFTHLNKQLCIISCGEDKTIKVWDAITGNKLYSFEGHEAPVYSVCAQMKENTQFIFSTAIDGKIKAWLYDNLGSRVDYHAPGHSFTRIVYSTDGTRLFSCGTNKEGESYIVEWDETQGAVKRTYNGLGKQTLGVMQFDTTKNRFLAAGDEFQIKFWDMDNVNLLNATNAEGGLPASPCLRFNKEGIMLAVSTNENGIKVLANADGVRLLRSIENRAVDASGMASGNAVKGPIIGTFGASGSVHGTNNGVADRSQVSTVVGLNGDARSLDKNSRVAEELEKPKTWKLTEVNEPSLLRSIRLPDNLNLIVRLIYANSGGSILALTHTGVHRLWKWQKNEQNVLGKATTTVPPLLWQPRSGILMMTNDIIDRNLEDSVPSCLALSNNDSYAVSASGGKISLFNTVTFKTLATFMPPPPAATFVAFHPVDNNIIAVGMEDSTIHLFHVPIDTVKYKIKGHQKRVTGLAFSTVLNVLVSSGADSQLCVWSLDGWAKQAGMFLQIPTGRVLTPLAQTRVQFHQDLIHLLAVHETQIAIYEASKLECLKKWVPRQSLGPITDATYSCDSESIYASFEDASVFVLTASTLRIRCRISSAAYITSNPSVRVYPAVVAAHPSEPNQFAVGLTDGGVVVMEPPESEETWGTMPPVENAGPSAGTAAGLDQQQR >ONI16249 pep chromosome:Prunus_persica_NCBIv2:G3:6493287:6504104:-1 gene:PRUPE_3G087700 transcript:ONI16249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRELVFLILQYLNEANEGKYKDTVHRLEKDSGYFFNMRYFEDCLNNGEWDEADSYLSGFCKVDESRYSTKIFFEIRKQKYFEALDRHDYARAVDILQKDLKVFSTINEEKFKEMTLLLSLGNFRENEELSDYGDINSARAVILTEVKKIIEASPLFRDKLQFPKLKNSRLGTLINQGLNWQHHLCNNPRPNPDFKTLFSDHSCGHIACAPSPAINPIMGSIAKVGGFPSSRKKKVGGFPPIRAHVPFQPAPAPLTTSLSGWTANPSSVPHQTVSARPRDSKHVSKKRSRPLGTPDKSHADTLHSSEDLPKTVIVSLSQGSAVKSMDFHPMQQTLLLVGTNIGDLTIWEVGSRERLVSRNFEIWDLDACSMALQASVAFEYTASVNRVIWSPDGSHIGIGYSKSVVHVYSYHGADDLRNQLEIDAHVGEVNDLAFTHLNKQLCIISCGEDKTIKVWDAITGNKLYSFEGHEAPVYSVCAQMKENTQFIFSTAIDGKIKAWLYDNLGSRVDYHAPGHSFTRIVYSTDGTRLFSCGTNKEGESYIVEWDETQGAVKRTYNGLGKQTLGVMQFDTTKNRFLAAGDEFQIKFWDMDNVNLLNATNAEGGLPASPCLRFNKEGIMLAVSTNENGIKVLANADGVRLLRSIENRAVDASGMASGNAVKGPIIGTFGASGSVHGTNNGVADRSQVSTVVGLIVRLIYANSGGSILALTHTGVHRLWKWQKNEQNVLGKATTTVPPLLWQPRSGILMMTNDIIDRNLEDSVPSCLALSNNDSYAVSASGGKISLFNTVTFKTLATFMPPPPAATFVAFHPVDNNIIAVGMEDSTIHLFHVPIDTVKYKIKGHQKRVTGLAFSTVLNVLVSSGADSQLCVWSLDGWAKQAGMFLQIPTGRVLTPLAQTRVQFHQDLIHLLAVHETQIAIYEASKLECLKKWVPRQSLGPITDATYSCDSESIYASFEDASVFVLTASTLRIRCRISSAAYITSNPSVRVYPAVVAAHPSEPNQFAVGLTDGGVVVMEPPESEETWGTMPPVENAGPSAGTAAGLDQQQR >ONI16254 pep chromosome:Prunus_persica_NCBIv2:G3:6493282:6504106:-1 gene:PRUPE_3G087700 transcript:ONI16254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRELVFLILQYLNEANEGKYKDTVHRLEKDSGYFFNMRYFEDCLNNGEWDEADSYLSGFCKVDESRYSTKIFFEIRKQKYFEALDRHDYARAVDILQKDLKVFSTINEEKFKEMTLLLSLGNFRENEELSDYGDINSARAVILTEVKKIIEASPLFRDKLQFPKLKNSRLGTLINQGLNWQHHLCNNPRPNPDFKTLFSDHSCGHIACAPSPAINPIMGSIAKVGGFPSSRKKKVGGFPPIRAHVPFQPAPAPLTTSLSGWTANPSSVPHQTVSARPRGLTVPNNAYSKHVSKKRSRPLGTPDKSHADTLHSSEDLPKTVIVSLSQGSAVKSMDFHPMQQTLLLVGTNIGDLTIWEVGSRERLVSRNFEIWDLDACSMALQASVAFEYTASVNRVIWSPDGSHIGIGYSKSVVHVYSYHGADDLRNQLEIDAHVGEVNDLAFTHLNKQLCIISCGEDKTIKVWDAITGNKLYSFEGHEAPVYSVCAQMKENTQFIFSTAIDGKIKAWLYDNLGSRVDYHAPGHSFTRIVYSTDGTRLFSCGTNKEGESYIVEWDETQGAVKRTYNGLGKQTLGVMQFDTTKNRFLAAGDEFQIKFWDMDNVNLLNATNAEGGLPASPCLRFNKEGIMLAVSTNENGIKVLANADGVRLLRSIENRAVDASGMASGNAVKGPIIGTFGASGSVHGTNNGVADRSQVSTVVGLIVRLIYANSGGSILALTHTGVHRLWKWQKNEQNVLGKATTTVPPLLWQPRSGILMMTNDIIDRNLEDSVPSCLALSNNDSYAVSASGGKISLFNTVTFKTLATFMPPPPAATFVAFHPVDNNIIAVGMEDSTIHLFHVPIDTVKYKIKGHQKRVTGLAFSTVLNVLVSSGADSQLCVWSLDGWAKQAGMFLQIPTGRVLTPLAQTRVQFHQDLIHLLAVHETQIAIYEASKLECLKKWVPRQSLGPITDATYSCDSESIYASFEDASVFVLTASTLRIRCRISSAAYITSNPSVRVYPAVVAAHPSEPNQFAVGLTDGGVVVMEPPESEETWGTMPPVENAGPSAGTAAGLDQQQR >ONI16258 pep chromosome:Prunus_persica_NCBIv2:G3:6493283:6504165:-1 gene:PRUPE_3G087700 transcript:ONI16258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRELVFLILQYLNEANEGKYKDTVHRLEKDSGYFFNMRYFEDCLNNGEWDEADSYLSGFCKVDESRYSTKIFFEIRKQKYFEALDRHDYARAVDILQKDLKVFSTINEEKFKEMTLLLSLGNFRENEELSDYGDINSARAVILTEVKKIIEASPLFRDKLQFPKLKNSRLGTLINQGLNWQHHLCNNPRPNPDFKTLFSDHSCGHIACAPSPAINPIMGSIAKVGGFPSSRKKKVGGFPPIRAHVPFQPAPAPLTTSLSGWTANPSSVPHQTVSARPRGLTVPNNAYSKHVSKKRSRPLGTPDKSHADTLHSSEDLPKTVIVSLSQGSAVKSMDFHPMQQTLLLVGTNIGDLTIWEVGSRERLVSRNFEIWDLDACSMALQASVAFEYTASVNRVIWSPDGSHIGIGYSKSVVHVYSYHGADDLRNQLEIDAHVGEVNDLAFTHLNKQLCIISCGEDKTIKVWDAITGNKLYSFEGHEAPVYSVCAQMKENTQFIFSTAIDGKIKAWLYDNLGSRVDYHAPGHSFTRIVYSTDGTRLFSCGTNKEGESYIVEWDETQGAVKRTYNGLGKQTLGVMQFDTTKNRFLAAGDEFQIKFWDMDNVNLLNATNAEGGLPASPCLRFNKEGIMLAVSTNENGIKVLANADGVRLLRSIENRAVDASGMASGNAVKGPIIGTFGASGSVHGTNNGVADRSQVSTVVGLNGDARSLDKNSRVAEELEKPKTWKLTEVNEPSLLRSIRLPDNLNLIVRLIYANSGGSILALTHTGVHRLWKWQKNEQNVLGKATTTVPPLLWQPRSGILMMTNDIIDRNLEDSVPSCLALSNNDSYAVSASGGKISLFNTVTFKTLATFMPPPPAATFVAFHPVDNNIIAVGMEDSTIHLFHVPIDTVKYKIKGHQKRVTGLAFSTVLNVLVSSGADSQLCVWSLDGWAKQAGMFLQIPTGRVLTPLAQTRVQFHQDLIHLLAVHETQIAIYEASKLECLKKWVPRQSLGPITDATYSCDSESIYASFEDASVFVLTASTLRIRCRISSAAYITSNPSVRVYPAVVAAHPSEPNQFAVGLTDGGVVVMEPPESEETWGTMPPVENAGPSAGTAAGLDQQQR >ONI16248 pep chromosome:Prunus_persica_NCBIv2:G3:6493287:6504106:-1 gene:PRUPE_3G087700 transcript:ONI16248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRELVFLILQYLNEANEGKYKDTVHRLEKDSGYFFNMRYFEDCLNNGEWDEADSYLSGFCKVDESRYSTKIFFEIRKQKYFEALDRHDYARAVDILQKDLKVFSTINEEKFKEMTLLLSLGNFRENEELSDYGDINSARAVILTEVKKIIEASPLFRDKLQFPKLKNSRLGTLINQGLNWQHHLCNNPRPNPDFKTLFSDHSCGHIACAPSPAINPIMGSIAKVGGFPSSRKKKVGGFPPIRAHVPFQPAPAPLTTSLSGWTANPSSVPHQTVSARPRDSKHVSKKRSRPLGTPDKSHADTLHSSEDLPKTVIVSLSQGSAVKSMDFHPMQQTLLLVGTNIGDLTIWEVGSRERLVSRNFEIWDLDACSMALQASVAFEYTASVNRVIWSPDGSHIGIGYSKSVVHVYSYHGADDLRNQLEIDAHVGEVNDLAFTHLNKQLCIISCGEDKTIKVWDAITGNKLYSFEGHEAPVYSVCAQMKENTQFIFSTAIDGKIKAWLYDNLGSRVDYHAPGHSFTRIVYSTDGTRLFSCGTNKEGESYIVEWDETQGAVKRTYNGLGKQTLGVMQFDTTKNRFLAAGDEFQIKFWDMDNVNLLNATNAEGGLPASPCLRFNKEGIMLAVSTNENGIKVLANADGVRLLRSIENRAVDASGMASGNAVKGPIIGTFGASGSVHGTNNGVADRSQVSTVVGLIVRLIYANSGGSILALTHTGVHRLWKWQKNEQNVLGKATTTVPPLLWQPRSGILMMTNDIIDRNLEDSVPSCLALSNNDSYAVSASGGKISLFNTVTFKTLATFMPPPPAATFVAFHPVDNNIIAVGMEDSTIHLFHVPIDTVKYKIKGHQKRVTGLAFSTVLNVLVSSGADSQLCVWSLDGWAKQAGMFLQIPTGRVLTPLAQTRVQFHQDLIHLLAVHETQIAIYEASKLECLKKWVPRQSLGPITDATYSCDSESIYASFEDASVFVLTASTLRIRCRISSAAYITSNPSVRVYPAVVAAHPSEPNQFAVGLTDGGVVVMEPPESEETWGTMPPVENAGPSAGTAAGLDQQQR >ONI16251 pep chromosome:Prunus_persica_NCBIv2:G3:6493287:6504099:-1 gene:PRUPE_3G087700 transcript:ONI16251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRELVFLILQYLNEANEGKYKDTVHRLEKDSGYFFNMRYFEDCLNNGEWDEADSYLSGFCKVDESRYSTKIFFEIRKQKYFEALDRHDYARAVDILQKDLKVFSTINEEKFKEMTLLLSLGNFRENEELSDYGDINSARAVILTEVKKIIEASPLFRDKLQFPKLKNSRLGTLINQGLNWQHHLCNNPRPNPDFKTLFSDHSCGHIACAPSPAINPIMGSIAKVGGFPSSRKKKVGGFPPIRAHVPFQPAPAPLTTSLSGWTANPSSVPHQTVSARPRDSKHVSKKRSRPLGTPDKSHADTLHSSEDLPKTVIVSLSQGSAVKSMDFHPMQQTLLLVGTNIGDLTIWEVGSRERLVSRNFEIWDLDACSMALQASVAFEYTASVNRVIWSPDGSHIGIGYSKSVVHVYSYHGADDLRNQLEIDAHVGEVNDLAFTHLNKQLCIISCGEDKTIKVWDAITGNKLYSFEGHEAPVYSVCAQMKENTQFIFSTAIDGKIKAWLYDNLGSRVDYHAPGHSFTRIVYSTDGTRLFSCGTNKEGESYIVEWDETQGAVKRTYNGLGKQTLGVMQFDTTKNRFLAAGDEFQIKFWDMDNVNLLNATNAEGGLPASPCLRFNKEGIMLAVSTNENGIKVLANADGVRLLRSIENRAVDASGMASGNAVKGPIIGTFGASGSVHGTNNGVADRSQVSTVVGLNGDARSLDKNSRVAEELEKPKTWKLTEVNEPSLLRSIRLPDNLNLIVRLIYANSGGSILALTHTGVHRLWKWQKNEQNVLGKATTTVPPLLWQPRSGILMMTNDIIDRNLEDSVPSCLALSNNDSYAVSASGGKISLFNTVTFKTLATFMPPPPAATFVAFHPVDNNIIAVGMEDSTIHLFHVPIDTVKYKIKGHQKRVTGLAFSTVLNVLVSSGADSQLCVWSLDGWAKQAGMFLQIPTGRVLTPLAQTRVQFHQDLIHLLAVHETQIAIYEASKLECLKKWVPRQSLGPITDATYSCDSESIYASFEDASVFVLTASTLRIRCRISSAAYITSNPSVRVYPAVVAAHPSEPNQFAVGLTDGGVVVMEPPESEETWGTMPPVENAGPSAGTAAGLDQQQR >ONI16252 pep chromosome:Prunus_persica_NCBIv2:G3:6493710:6503659:-1 gene:PRUPE_3G087700 transcript:ONI16252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRELVFLILQYLNEANEGKYKDTVHRLEKDSGYFFNMRYFEDCLNNGEWDEADSYLSGFCKVDESRYSTKIFFEIRKQKYFEALDRHDYARAVDILQKDLKVFSTINEEKFKEMTLLLSLGNFRENEELSDYGDINSARAVILTEVKKIIEASPLFRDKLQFPKLKNSRLGTLINQGLNWQHHLCNNPRPNPDFKTLFSDHSCGHIACAPSPAINPIMGSIAKVGGFPSSRKKKVGGFPPIRAHVPFQPAPAPLTTSLSGWTANPSSVPHQTVSARPRDSKHVSKKRSRPLGTPDKSHADTLHSSEDLPKTVIVSLSQGSAVKSMDFHPMQQTLLLVGTNIGDLTIWEVGSRERLVSRNFEIWDLDACSMALQASVAFEYTASVNRVIWSPDGSHIGIGYSKSVVHVYSYHGADDLRNQLEIDAHVGEVNDLAFTHLNKQLCIISCGEDKTIKVWDAITGNKLYSFEGHEAPVYSVCAQMKENTQFIFSTAIDGKIKAWLYDNLGSRVDYHAPGHSFTRIVYSTDGTRLFSCGTNKEGESYIVEWDETQGAVKRTYNGLGKQTLGVMQFDTTKNRFLAAGDEFQIKFWDMDNVNLLNATNAEGGLPASPCLRFNKEGIMLAVSTNENGIKVLANADGVRLLRSIENRAVDASGMASGNAVKGPIIGTFGASGSVHGTNNGVADRSQVSTVVGLNGDARSLDKNSRVAEELEKPKTWKLTEVNEPSLLRSIRLPDNLNLIVRLIYANSGGSILALTHTGVHRLWKWQKNEQNVLGKATTTVPPLLWQPRSGILMMTNDIIDRNLEDSVPSCLALSNNDSYAVSASGGKISLFNTVTFKTLATFMPPPPAATFVAFHPVDNNIIAVGMEDSTIHLFHVPIDTVKYKIKGHQKRVTGLAFSTVLNVLVSSGADSQLCVWSLDGWAKQAGMFLQIPTGRVLTPLAQTRVQFHQDLIHLLAVHETQIAIYEASKLECLKKWVPRQSLGPITDATYSCDSESIYASFEDASVFVLTASTLRIRCRISSAAYITSNPSVRVYPAVVAAHPSEPNQFAVGLTDGGVVVMEPPESEETWGTMPPVENAGPSAGTAAGLDQQQR >ONI16255 pep chromosome:Prunus_persica_NCBIv2:G3:6493287:6504099:-1 gene:PRUPE_3G087700 transcript:ONI16255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRELVFLILQYLNEANEGKYKDTVHRLEKDSGYFFNMRYFEDCLNNGEWDEADSYLSGFCKVDESRYSTKIFFEIRKQKYFEALDRHDYARAVDILQKDLKVFSTINEEKFKEMTLLLSLGNFRENEELSDYGDINSARAVILTEVKKIIEASPLFRDKLQFPKLKNSRLGTLINQGLNWQHHLCNNPRPNPDFKTLFSDHSCGHIACAPSPAINPIMGSIAKVGGFPSSRKKKVGGFPPIRAHVPFQPAPAPLTTSLSGWTANPSSVPHQTVSARPRGLTVPNNAYSKHVSKKRSRPLGTPDKSHADTLHSSEDLPKTVIVSLSQGSAVKSMDFHPMQQTLLLVGTNIGDLTIWEVGSRERLVSRNFEIWDLDACSMALQASVAFEYTASVNRVIWSPDGSHIGIGYSKSVVHVYSYHGADDLRNQLEIDAHVGEVNDLAFTHLNKQLCIISCGEDKTIKVWDAITGNKLYSFEGHEAPVYSVCAQMKENTQFIFSTAIDGKIKAWLYDNLGSRVDYHAPGHSFTRIVYSTDGTRLFSCGTNKEGESYIVEWDETQGAVKRTYNGLGKQTLGVMQFDTTKNRFLAAGDEFQIKFWDMDNVNLLNATNAEGGLPASPCLRFNKEGIMLAVSTNENGIKVLANADGVRLLRSIENRAVDASGMASGNAVKGPIIGTFGASGSVHGTNNGVADRSQVSTVVGLNGDARSLDKNSRVAEELEKPKTWKLTEVNEPSLLRSIRLPDNLNLIVRLIYANSGGSILALTHTGVHRLWKWQKNEQNVLGKATTTVPPLLWQPRSASGGKISLFNTVTFKTLATFMPPPPAATFVAFHPVDNNIIAVGMEDSTIHLFHVPIDTVKYKIKGHQKRVTGLAFSTVLNVLVSSGADSQLCVWSLDGWAKQAGMFLQIPTGRVLTPLAQTRVQFHQDLIHLLAVHETQIAIYEASKLECLKKWVPRQSLGPITDATYSCDSESIYASFEDASVFVLTASTLRIRCRISSAAYITSNPSVRVYPAVVAAHPSEPNQFAVGLTDGGVVVMEPPESEETWGTMPPVENAGPSAGTAAGLDQQQR >ONI18475 pep chromosome:Prunus_persica_NCBIv2:G3:21977785:21983090:1 gene:PRUPE_3G217900 transcript:ONI18475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLINRPTHVCKTRALLALILLMWVPMEVRAGTENGTHLSTRPSSLNIGALFTLNSVIGRAAKPAIYAAIDDVNSDPSILPGTKLEVILHDTNCSAFLGTVEALQLIEDDVVAAIGPQSSGIAHVISHVVNELHVPLLSFAATDPSLAALQYPYFVRTTQSDHFQMYAVADVVEYFGWREVIAIFVDDDCGRNGISILGDALAKKRSKISYKAAFSPGASKNAITELLVGVNLMESRVFVVHVNPDSGLTIFSVAKSLGMMTAGYVWIATDWLPSHLDSLESPGLDTMNLVQGVVALRHHTPDTDLKKSFMSRWKKLKHEGSSGFNSYALYAYDSIWLAARALEVFFNEGGKISFSDDPKLKDTNRSTLHLTSLRIFDGGQQYLQTILKMNFTGVSGQIQFDQDKYLVHPAYEILNIGGTGSRRIGYWSNSTGLSAIAPEILYKMPFSANTTAQLYTVIWPGETTAIPRGWVFPNNGTPLRIAVPYRVSYQDFVAKDKSPPGVRGYCIDVFEAAVNLLPYAVPRNYVLYGNGKRNPEYSNLVFDVAQNNFDAAVGDVTITTNRTRIVDFTQPYMESGLVVVVPVKEQKTSPWAFLKPFTYQMWLVTGAFFLFVGAVVWILEHRMNQEFRGPPRKQLMTIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLIASNDPIGVQDGSFAWKYLVDELNIAESRLVKLKTMENYIEALQYGPKRGGVAAIVDELPYIELFMSNTKCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHNECSIQMNEVDSDRLSLTSFWGLFLICGVACFLSLTVFFCRILCQYRRFIPAAVEGDVEEIGSGSTRSRPSIRSASFKNLMDFVDTKEEKIKHMLKRKGSDSKHDEASPSSDGPPHSPS >ONI18480 pep chromosome:Prunus_persica_NCBIv2:G3:21977837:21983080:1 gene:PRUPE_3G217900 transcript:ONI18480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLINRPTHVCKTRALLALILLMWVPMEVRAGTENGTHLSTRPSSLNIGALFTLNSVIGRAAKPAIYAAIDDVNSDPSILPGTKLEVILHDTNCSAFLGTVEALQLIEDDVVAAIGPQSSGIAHVISHVVNELHVPLLSFAATDPSLAALQYPYFVRTTQSDHFQMYAVADVVEYFGWREVIAIFVDDDCGRNGISILGDALAKKRSKISYKAAFSPGASKNAITELLVGVNLMESRVFVVHVNPDSGLTIFSVAKSLGMMTAGYVWIATDWLPSHLDSLESPGLDTMNLVQGVVALRHHTPDTDLKKSFMSRWKKLKHEGSSGFNSYALYAYDSIWLAARALEVFFNEGGKISFSDDPKLKDTNRSTLHLTSLRIFDGGQQYLQTILKMNFTGVSGQIQFDQDKYLVHPAYEILNIGGTGSRRIGYWSNSTGLSAIAPEILYKMPFSANTTAQLYTVIWPGETTAIPRGWVFPNNGTPLRIAVPYRVSYQDFVAKDKSPPGVRGYCIDVFEAAVNLLPYAVPRNYVLYGNGKRNPEYSNLVFDVAQNNFDAAVGDVTITTNRTRIVDFTQPYMESGLVVVVPVKEQKTSPWAFLKPFTYQMWLVTGAFFLFVGAVVWILEHRMNQEFRGPPRKQLMTIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLIASNDPIGVQDGSFAWKYLVDELNIAESRLVKLKTMENYIEALQYGPKRGGVAAIVDELPYIELFMSNTKCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHNECSIQMNEVDSDRLSLTSFWGLFLICGVACFLSLTVFFCRILCQYRRFIPAAVEGDVEEIGSGSTRSRPSIRSASFKNLMDFVDTKEEKIKHMLKRKGSDSKHDEASPSSDGPPHSPS >ONI18479 pep chromosome:Prunus_persica_NCBIv2:G3:21977779:21983065:1 gene:PRUPE_3G217900 transcript:ONI18479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLINRPTHVCKTRALLALILLMWVPMEVRAGTENGTHLSTRPSSLNIGALFTLNSVIGRAAKPAIYAAIDDVNSDPSILPGTKLEVILHDTNCSAFLGTVEALQLIEDDVVAAIGPQSSGIAHVISHVVNELHVPLLSFAATDPSLAALQYPYFVRTTQSDHFQMYAVADVVEYFGWREVIAIFVDDDCGRNGISILGDALAKKRSKISYKAAFSPGASKNAITELLVGVNLMESRVFVVHVNPDSGLTIFSVAKSLGMMTAGYVWIATDWLPSHLDSLESPGLDTMNLVQGVVALRHHTPDTDLKKSFMSRWKKLKHEGSSGFNSYALYAYDSIWLAARALEVFFNEGGKISFSDDPKLKDTNRSTLHLTSLRIFDGGQQYLQTILKMNFTGVSGQIQFDQDKYLVHPAYEILNIGGTGSRRIGYWSNSTGLSAIAPEILYKMPFSANTTAQLYTVIWPGETTAIPRGWVFPNNGTPLRIAVPYRVSYQDFVAKDKSPPGVRGYCIDVFEAAVNLLPYAVPRNYVLYGNGKRNPEYSNLVFDVAQNNFDAAVGDVTITTNRTRIVDFTQPYMESGLVVVVPVKEQKTSPWAFLKPFTYQMWLVTGAFFLFVGAVVWILEHRMNQEFRGPPRKQLMTIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLIASNDPIGVQDGSFAWKYLVDELNIAESRLVKLKTMENYIEALQYGPKRGGVAAIVDELPYIELFMSNTKCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHNECSIQMNEVDSDRLSLTSFWGLFLICGVACFLSLTVFFCRILCQYRRFIPAAVEGDVEEIGSGSTRSRPSIRSASFKNLMDFVDTKEEKIKHMLKRKGSDSKHDEASPSSDGPPHSPS >ONI18478 pep chromosome:Prunus_persica_NCBIv2:G3:21977917:21983065:1 gene:PRUPE_3G217900 transcript:ONI18478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLINRPTHVCKTRALLALILLMWVPMEVRAGTENGTHLSTRPSSLNIGALFTLNSVIGRAAKPAIYAAIDDVNSDPSILPGTKLEVILHDTNCSAFLGTVEALQLIEDDVVAAIGPQSSGIAHVISHVVNELHVPLLSFAATDPSLAALQYPYFVRTTQSDHFQMYAVADVVEYFGWREVIAIFVDDDCGRNGISILGDALAKKRSKISYKAAFSPGASKNAITELLVGVNLMESRVFVVHVNPDSGLTIFSVAKSLGMMTAGYVWIATDWLPSHLDSLESPGLDTMNLVQGVVALRHHTPDTDLKKSFMSRWKKLKHEGSSGFNSYALYAYDSIWLAARALEVFFNEGGKISFSDDPKLKDTNRSTLHLTSLRIFDGGQQYLQTILKMNFTGVSGQIQFDQDKYLVHPAYEILNIGGTGSRRIGYWSNSTGLSAIAPEILYKMPFSANTTAQLYTVIWPGETTAIPRGWVFPNNGTPLRIAVPYRVSYQDFVAKDKSPPGVRGYCIDVFEAAVNLLPYAVPRNYVLYGNGKRNPEYSNLVFDVAQNNFDAAVGDVTITTNRTRIVDFTQPYMESGLVVVVPVKEQKTSPWAFLKPFTYQMWLVTGAFFLFVGAVVWILEHRMNQEFRGPPRKQLMTIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLIASNDPIGVQDGSFAWKYLVDELNIAESRLVKLKTMENYIEALQYGPKRGGVAAIVDELPYIELFMSNTKCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHNECSIQMNEVDSDRLSLTSFWGLFLICGVACFLSLTVFFCRILCQYRRFIPAAVEGDVEEIGSGSTRSRPSIRSASFKNLMDFVDTKEEKIKHMLKRKGSDSKHDEASPSSDGPPHSPS >ONI18476 pep chromosome:Prunus_persica_NCBIv2:G3:21977449:21983080:1 gene:PRUPE_3G217900 transcript:ONI18476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLINRPTHVCKTRALLALILLMWVPMEVRAGTENGTHLSTRPSSLNIGALFTLNSVIGRAAKPAIYAAIDDVNSDPSILPGTKLEVILHDTNCSAFLGTVEALQLIEDDVVAAIGPQSSGIAHVISHVVNELHVPLLSFAATDPSLAALQYPYFVRTTQSDHFQMYAVADVVEYFGWREVIAIFVDDDCGRNGISILGDALAKKRSKISYKAAFSPGASKNAITELLVGVNLMESRVFVVHVNPDSGLTIFSVAKSLGMMTAGYVWIATDWLPSHLDSLESPGLDTMNLVQGVVALRHHTPDTDLKKSFMSRWKKLKHEGSSGFNSYALYAYDSIWLAARALEVFFNEGGKISFSDDPKLKDTNRSTLHLTSLRIFDGGQQYLQTILKMNFTGVSGQIQFDQDKYLVHPAYEILNIGGTGSRRIGYWSNSTGLSAIAPEILYKMPFSANTTAQLYTVIWPGETTAIPRGWVFPNNGTPLRIAVPYRVSYQDFVAKDKSPPGVRGYCIDVFEAAVNLLPYAVPRNYVLYGNGKRNPEYSNLVFDVAQNNFDAAVGDVTITTNRTRIVDFTQPYMESGLVVVVPVKEQKTSPWAFLKPFTYQMWLVTGAFFLFVGAVVWILEHRMNQEFRGPPRKQLMTIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLIASNDPIGVQDGSFAWKYLVDELNIAESRLVKLKTMENYIEALQYGPKRGGVAAIVDELPYIELFMSNTKCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHNECSIQMNEVDSDRLSLTSFWGLFLICGVACFLSLTVFFCRILCQYRRFIPAAVEGDVEEIGSGSTRSRPSIRSASFKNLMDFVDTKEEKIKHMLKRKGSDSKHDEASPSSDGPPHSPS >ONI18473 pep chromosome:Prunus_persica_NCBIv2:G3:21978391:21987375:1 gene:PRUPE_3G217900 transcript:ONI18473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLINRPTHVCKTRALLALILLMWVPMEVRAGTENGTHLSTRPSSLNIGALFTLNSVIGRAAKPAIYAAIDDVNSDPSILPGTKLEVILHDTNCSAFLGTVEALQLIEDDVVAAIGPQSSGIAHVISHVVNELHVPLLSFAATDPSLAALQYPYFVRTTQSDHFQMYAVADVVEYFGWREVIAIFVDDDCGRNGISILGDALAKKRSKISYKAAFSPGASKNAITELLVGVNLMESRVFVVHVNPDSGLTIFSVAKSLGMMTAGYVWIATDWLPSHLDSLESPGLDTMNLVQGVVALRHHTPDTDLKKSFMSRWKKLKHEGSSGFNSYALYAYDSIWLAARALEVFFNEGGKISFSDDPKLKDTNRSTLHLTSLRIFDGGQQYLQTILKMNFTGVSGQIQFDQDKYLVHPAYEILNIGGTGSRRIGYWSNSTGLSAIAPEILYKMPFSANTTAQLYTVIWPGETTAIPRGWVFPNNGTPLRIAVPYRVSYQDFVAKDKSPPGVRGYCIDVFEAAVNLLPYAVPRNYVLYGNGKRNPEYSNLVFDVAQNNFDAAVGDVTITTNRTRIVDFTQPYMESGLVVVVPVKEQKTSPWAFLKPFTYQMWLVTGAFFLFVGAVVWILEHRMNQEFRGPPRKQLMTIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLIASNDPIGVQDGSFAWKYLVDELNIAESRLVKLKTMENYIEALQYGPKRGGVAAIVDELPYIELFMSNTKCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHNECSIQMNEVDSDRLSLTSFWGLFLICGVACFLSLTVFFCRILCQYRRFIPAAVEGDVEEIGSGSTRSRPSIRSASFKNLMDFVDTKEEKIKHMLKRKGNFLNSSIVETNKIDKHGILRKDYYNNHLIEWLAGFGFNREIKKMVHHHFTLRRRVKRRVTLLRRCIKRVMDRLLMSCLGKPVRYRVLPVSAVMSPNQTPSSTFAPSSSSSPKSREILCRPHHQNKSTSRRQNPESKDDLVALKISLLGDSQIGKTSFLVKYVGDDEKEEGGETEATGLNLMDKTLLVSGARISYSIWEVGGDLKSQDNIPVACKDSVAILFMFDLTSRCTLNSVITWYHQARKWNQAAIPILVGTKFDDFIQLPIDLQWTIASQARAYAKALNATLFFSSATYNINVNKIFKFITAKLFDLPWTVERNLTIGEPIIDF >ONI18474 pep chromosome:Prunus_persica_NCBIv2:G3:21977164:21983090:1 gene:PRUPE_3G217900 transcript:ONI18474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLINRPTHVCKTRALLALILLMWVPMEVRAGTENGTHLSTRPSSLNIGALFTLNSVIGRAAKPAIYAAIDDVNSDPSILPGTKLEVILHDTNCSAFLGTVEALQLIEDDVVAAIGPQSSGIAHVISHVVNELHVPLLSFAATDPSLAALQYPYFVRTTQSDHFQMYAVADVVEYFGWREVIAIFVDDDCGRNGISILGDALAKKRSKISYKAAFSPGASKNAITELLVGVNLMESRVFVVHVNPDSGLTIFSVAKSLGMMTAGYVWIATDWLPSHLDSLESPGLDTMNLVQGVVALRHHTPDTDLKKSFMSRWKKLKHEGSSGFNSYALYAYDSIWLAARALEVFFNEGGKISFSDDPKLKDTNRSTLHLTSLRIFDGGQQYLQTILKMNFTGVSGQIQFDQDKYLVHPAYEILNIGGTGSRRIGYWSNSTGLSAIAPEILYKMPFSANTTAQLYTVIWPGETTAIPRGWVFPNNGTPLRIAVPYRVSYQDFVAKDKSPPGVRGYCIDVFEAAVNLLPYAVPRNYVLYGNGKRNPEYSNLVFDVAQNNFDAAVGDVTITTNRTRIVDFTQPYMESGLVVVVPVKEQKTSPWAFLKPFTYQMWLVTGAFFLFVGAVVWILEHRMNQEFRGPPRKQLMTIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLIASNDPIGVQDGSFAWKYLVDELNIAESRLVKLKTMENYIEALQYGPKRGGVAAIVDELPYIELFMSNTKCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHNECSIQMNEVDSDRLSLTSFWGLFLICGVACFLSLTVFFCRILCQYRRFIPAAVEGDVEEIGSGSTRSRPSIRSASFKNLMDFVDTKEEKIKHMLKRKGSDSKHDEASPSSDGPPHSPS >ONI18477 pep chromosome:Prunus_persica_NCBIv2:G3:21978123:21983066:1 gene:PRUPE_3G217900 transcript:ONI18477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLINRPTHVCKTRALLALILLMWVPMEVRAGTENGTHLSTRPSSLNIGALFTLNSVIGRAAKPAIYAAIDDVNSDPSILPGTKLEVILHDTNCSAFLGTVEALQLIEDDVVAAIGPQSSGIAHVISHVVNELHVPLLSFAATDPSLAALQYPYFVRTTQSDHFQMYAVADVVEYFGWREVIAIFVDDDCGRNGISILGDALAKKRSKISYKAAFSPGASKNAITELLVGVNLMESRVFVVHVNPDSGLTIFSVAKSLGMMTAGYVWIATDWLPSHLDSLESPGLDTMNLVQGVVALRHHTPDTDLKKSFMSRWKKLKHEGSSGFNSYALYAYDSIWLAARALEVFFNEGGKISFSDDPKLKDTNRSTLHLTSLRIFDGGQQYLQTILKMNFTGVSGQIQFDQDKYLVHPAYEILNIGGTGSRRIGYWSNSTGLSAIAPEILYKMPFSANTTAQLYTVIWPGETTAIPRGWVFPNNGTPLRIAVPYRVSYQDFVAKDKSPPGVRGYCIDVFEAAVNLLPYAVPRNYVLYGNGKRNPEYSNLVFDVAQNNFDAAVGDVTITTNRTRIVDFTQPYMESGLVVVVPVKEQKTSPWAFLKPFTYQMWLVTGAFFLFVGAVVWILEHRMNQEFRGPPRKQLMTIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLIASNDPIGVQDGSFAWKYLVDELNIAESRLVKLKTMENYIEALQYGPKRGGVAAIVDELPYIELFMSNTKCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHNECSIQMNEVDSDRLSLTSFWGLFLICGVACFLSLTVFFCRILCQYRRFIPAAVEGDVEEIGSGSTRSRPSIRSASFKNLMDFVDTKEEKIKHMLKRKGSDSKHDEASPSSDGPPHSPS >ONI14706 pep chromosome:Prunus_persica_NCBIv2:G3:207114:213867:-1 gene:PRUPE_3G003100 transcript:ONI14706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGENGVNGGTPQEHEETTLKNQVEGTNGDHQGSDKSNGDEKNEKIPFFKLFSFADKTDYILMLFGTIGAIGNGSCMPLMTILFGEMINSFGNNQNNTDIVSVVSKVSLKFVYLAIGAAVAATLQVACWMVTGERQAARIRGLYLKTILRQDVGFFDMETNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLLSTFVGGFIIAFIKGWLLTLVMLSSIPLLVASGAAMSIIITKMATRGQSAYAKASNVVEQTIGSIRTVASFTGEKQAITSYNKYLGDAYKSGVHEGIAAGVGLGMVMLVVFSSYALAVWFGSRMIRDKGYSGGDVLNVIIAVLTGSMSLGQASPCLSAFAAGQAAAFKMFETISRKPEIDAYDERGRILDDIRGDIELREVYFSYPARPEEQIFDGFSLYIPSGTTAALVGQSGSGKSTVISLIERFYDPRAGEVLIDGINLKEFQLKWIRNKIGLVSQEPVLFASSIKENIAYGKDGATLEEIKAAAERANAAKFIDKLPQGVDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADTIAVIHKGKMVEKGSHSELLKDPEGAYSQLIRLQENNRSEQTAESQNKSEITTESFRQSSQRMSLVRSISRNSSLGNSSRHSFSVSFGLPTGLGSMGSVRDNTMADPEAPAKELEQPPKISLRRLAALNKPEIPVLLIGTVAAMGNGVILPIFGVLISRVIKTFYEPPHEQKKDSEFWALMFITLGLASLLAIPGRGYFFSVAGSKLIERIRLMCFKKVVNMEVGWFDEPENSSGAIGARLSADAATVRALVGDALAQIVNSIATAIAGLVIAFVACWQLAFIILALIPLIGVNGYVQAKFMRGFSADAKLMYEEASQVANDAVGSIRTVASFCAEEKVMELYRRKCEGPTAAGKRQGLISGLGFGISFFFLFCVYATSFYAGAKLVEAGKTTFADVFQVFFALTMAATGISQSSSFAPDTNKARIAAASIFAIIDRKSKIDPSDESGVKLDNVKGEIELRHVSFTYASRPDIQIFRDLSLTIHCGKTVALVGESGSGKSTVVALLQRFYNPDSGHITLDGTELGKFQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKDGEATEAEIIAASELANAHKFISSLHQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKVLLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLINITEGFYASLVALHISASTSTT >ONI14704 pep chromosome:Prunus_persica_NCBIv2:G3:207447:212978:-1 gene:PRUPE_3G003100 transcript:ONI14704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGENGVNGGTPQEHEETTLKNQVEGTNGDHQGSDKSNGDEKNEKIPFFKLFSFADKTDYILMLFGTIGAIGNGSCMPLMTILFGEMINSFGNNQNNTDIVSVVSKVSLKFVYLAIGAAVAATLQVACWMVTGERQAARIRGLYLKTILRQDVGFFDMETNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLLSTFVGGFIIAFIKGWLLTLVMLSSIPLLVASGAAMSIIITKMATRGQSAYAKASNVVEQTIGSIRTVASFTGEKQAITSYNKYLGDAYKSGVHEGIAAGVGLGMVMLVVFSSYALAVWFGSRMIRDKGYSGGDVLNVIIAVLTGSMSLGQASPCLSAFAAGQAAAFKMFETISRKPEIDAYDERGRILDDIRGDIELREVYFSYPARPEEQIFDGFSLYIPSGTTAALVGQSGSGKSTVISLIERFYDPRAGEVLIDGINLKEFQLKWIRNKIGLVSQEPVLFASSIKENIAYGKDGATLEEIKAAAERANAAKFIDKLPQGVDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADTIAVIHKGKMVEKGSHSELLKDPEGAYSQLIRLQENNRSEQTAESQNKSEITTESFRQSSQRMSLVRSISRNSSLGNSSRHSFSVSFGLPTGLGSMGSVRDNTMADPEAPAKELEQPPKISLRRLAALNKPEIPVLLIGTVAAMGNGVILPIFGVLISRVIKTFYEPPHEQKKDSEFWALMFITLGLASLLAIPGRGYFFSVAGSKLIERIRLMCFKKVVNMEVGWFDEPENSSGAIGARLSADAATVRALVGDALAQIVNSIATAIAGLVIAFVACWQLAFIILALIPLIGVNGYVQAKFMRGFSADAKLMYEEASQVANDAVGSIRTVASFCAEEKVMELYRRKCEGPTAAGKRQGLISGLGFGISFFFLFCVYATSFYAGAKLVEAGKTTFADVFQVFFALTMAATGISQSSSFAPDTNKARIAAASIFAIIDRKSKIDPSDESGVKLDNVKGEIELRHVSFTYASRPDIQIFRDLSLTIHCGKTVALVGESGSGKSTVVALLQRFYNPDSGHITLDGTELGKFQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKDGEATEAEIIAASELANAHKFISSLHQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKVLLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLINITEGFYASLVALHISASTSTT >ONI14702 pep chromosome:Prunus_persica_NCBIv2:G3:207447:212978:-1 gene:PRUPE_3G003100 transcript:ONI14702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGENGVNGGTPQEHEETTLKNQVEGTNGDHQGSDKSNGDEKNEKIPFFKLFSFADKTDYILMLFGTIGAIGNGSCMPLMTILFGEMINSFGNNQNNTDIVSVVSKVSLKFVYLAIGAAVAATLQVACWMVTGERQAARIRGLYLKTILRQDVGFFDMETNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLLSTFVGGFIIAFIKGWLLTLVMLSSIPLLVASGAAMSIIITKMATRGQSAYAKASNVVEQTIGSIRTVASFTGEKQAITSYNKYLGDAYKSGVHEGIAAGVGLGMVMLVVFSSYALAVWFGSRMIRDKGYSGGDVLNVIIAVLTGSMSLGQASPCLSAFAAGQAAAFKMFETISRKPEIDAYDERGRILDDIRGDIELREVYFSYPARPEEQIFDGFSLYIPSGTTAALVGQSGSGKSTVISLIERFYDPRAGEVLIDGINLKEFQLKWIRNKIGLVSQEPVLFASSIKENIAYGKDGATLEEIKAAAERANAAKFIDKLPQGVDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADTIAVIHKGKMVEKGSHSELLKDPEGAYSQLIRLQENNRSEQTAESQNKSEITTESFRQSSQRMSLVRSISRNSSLGNSSRHSFSVSFGLPTGLGSMGSVRDNTMADPEAPAKELEQPPKISLRRLAALNKPEIPVLLIGTVAAMGNGVILPIFGVLISRVIKTFYEPPHEQKKDSEFWALMFITLGLASLLAIPGRGYFFSVAGSKLIERIRLMCFKKVVNMEVGWFDEPENSSGAIGARLSADAATVRALVGDALAQIVNSIATAIAGLVIAFVACWQLAFIILALIPLIGVNGYVQAKFMRGFSADAKLMYEEASQVANDAVGSIRTVASFCAEEKVMELYRRKCEGPTAAGKRQGLISGLGFGISFFFLFCVYATSFYAGAKLVEAGKTTFADVFQVFFALTMAATGISQSSSFAPDTNKARIAAASIFAIIDRKSKIDPSDESGVKLDNVKGEIELRHVSFTYASRPDIQIFRDLSLTIHCGKTVALVGESGSGKSTVVALLQRFYNPDSGHITLDGTELGKFQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKDGEATEAEIIAASELANAHKFISSLHQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKVLLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLINITEGFYASLVALHISASTSTT >ONI14703 pep chromosome:Prunus_persica_NCBIv2:G3:207114:214144:-1 gene:PRUPE_3G003100 transcript:ONI14703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGENGVNGGTPQEHEETTLKNQVEGTNGDHQGSDKSNGDEKNEKIPFFKLFSFADKTDYILMLFGTIGAIGNGSCMPLMTILFGEMINSFGNNQNNTDIVSVVSKVSLKFVYLAIGAAVAATLQVACWMVTGERQAARIRGLYLKTILRQDVGFFDMETNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLLSTFVGGFIIAFIKGWLLTLVMLSSIPLLVASGAAMSIIITKMATRGQSAYAKASNVVEQTIGSIRTVASFTGEKQAITSYNKYLGDAYKSGVHEGIAAGVGLGMVMLVVFSSYALAVWFGSRMIRDKGYSGGDVLNVIIAVLTGSMSLGQASPCLSAFAAGQAAAFKMFETISRKPEIDAYDERGRILDDIRGDIELREVYFSYPARPEEQIFDGFSLYIPSGTTAALVGQSGSGKSTVISLIERFYDPRAGEVLIDGINLKEFQLKWIRNKIGLVSQEPVLFASSIKENIAYGKDGATLEEIKAAAERANAAKFIDKLPQGVDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADTIAVIHKGKMVEKGSHSELLKDPEGAYSQLIRLQENNRSEQTAESQNKSEITTESFRQSSQRMSLVRSISRNSSLGNSSRHSFSVSFGLPTGLGSMGSVRDNTMADPEAPAKELEQPPKISLRRLAALNKPEIPVLLIGTVAAMGNGVILPIFGVLISRVIKTFYEPPHEQKKDSEFWALMFITLGLASLLAIPGRGYFFSVAGSKLIERIRLMCFKKVVNMEVGWFDEPENSSGAIGARLSADAATVRALVGDALAQIVNSIATAIAGLVIAFVACWQLAFIILALIPLIGVNGYVQAKFMRGFSADAKLMYEEASQVANDAVGSIRTVASFCAEEKVMELYRRKCEGPTAAGKRQGLISGLGFGISFFFLFCVYATSFYAGAKLVEAGKTTFADVFQVFFALTMAATGISQSSSFAPDTNKARIAAASIFAIIDRKSKIDPSDESGVKLDNVKGEIELRHVSFTYASRPDIQIFRDLSLTIHCGKTVALVGESGSGKSTVVALLQRFYNPDSGHITLDGTELGKFQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKDGEATEAEIIAASELANAHKFISSLHQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKVLLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLINITEGFYASLVALHISASTSTT >ONI14705 pep chromosome:Prunus_persica_NCBIv2:G3:207114:214201:-1 gene:PRUPE_3G003100 transcript:ONI14705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGENGVNGGTPQEHEETTLKNQVEGTNGDHQGSDKSNGDEKNEKIPFFKLFSFADKTDYILMLFGTIGAIGNGSCMPLMTILFGEMINSFGNNQNNTDIVSVVSKVSLKFVYLAIGAAVAATLQVACWMVTGERQAARIRGLYLKTILRQDVGFFDMETNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLLSTFVGGFIIAFIKGWLLTLVMLSSIPLLVASGAAMSIIITKMATRGQSAYAKASNVVEQTIGSIRTVASFTGEKQAITSYNKYLGDAYKSGVHEGIAAGVGLGMVMLVVFSSYALAVWFGSRMIRDKGYSGGDVLNVIIAVLTGSMSLGQASPCLSAFAAGQAAAFKMFETISRKPEIDAYDERGRILDDIRGDIELREVYFSYPARPEEQIFDGFSLYIPSGTTAALVGQSGSGKSTVISLIERFYDPRAGEVLIDGINLKEFQLKWIRNKIGLVSQEPVLFASSIKENIAYGKDGATLEEIKAAAERANAAKFIDKLPQGVDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADTIAVIHKGKMVEKGSHSELLKDPEGAYSQLIRLQENNRSEQTAESQNKSEITTESFRQSSQRMSLVRSISRNSSLGNSSRHSFSVSFGLPTGLGSMGSVRDNTMADPEAPAKELEQPPKISLRRLAALNKPEIPVLLIGTVAAMGNGVILPIFGVLISRVIKTFYEPPHEQKKDSEFWALMFITLGLASLLAIPGRGYFFSVAGSKLIERIRLMCFKKVVNMEVGWFDEPENSSGAIGARLSADAATVRALVGDALAQIVNSIATAIAGLVIAFVACWQLAFIILALIPLIGVNGYVQAKFMRGFSADAKLMYEEASQVANDAVGSIRTVASFCAEEKVMELYRRKCEGPTAAGKRQGLISGLGFGISFFFLFCVYATSFYAGAKLVEAGKTTFADVFQVFFALTMAATGISQSSSFAPDTNKARIAAASIFAIIDRKSKIDPSDESGVKLDNVKGEIELRHVSFTYASRPDIQIFRDLSLTIHCGKTVALVGESGSGKSTVVALLQRFYNPDSGHITLDGTELGKFQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKDGEATEAEIIAASELANAHKFISSLHQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKVLLLDEATSALDAESERVVQDALDKVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLINITEGFYASLVALHISASTSTT >ONI16532 pep chromosome:Prunus_persica_NCBIv2:G3:8268687:8269587:1 gene:PRUPE_3G104800 transcript:ONI16532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTKRQQQYVLIIWANEIFQDQDRKHNRIYRHQYPMTDVKDERITTRCPMASKSDERELWTVMWKHII >ONI17711 pep chromosome:Prunus_persica_NCBIv2:G3:19278817:19286059:1 gene:PRUPE_3G175800 transcript:ONI17711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLRDTEFELSGAVLISYLRAIKDIVIDIDTRRLTKDYCRRFIYVLLDLTDAFTKCQVFSHEWKKHSHHRTHLVVFNHLSLRKICFVRKMKKRLAAIKRIFEDEFMKKEETIYNLRESSSRPDPEENLESELPVLIHRGRKFEAEGVGFDEKLRKIGNFLLKSSPSSGAGFAAVGIQGMAGAGKTTLVREFLSWWVLQGEFSPIIWLCLSNIIKENKQVEEEIEVSIVKCMLSKLDHDAVVDGDGIIQEEEKTVSSDNNKSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHREQLLEVQEGDKKVGDRLSHGLPKGSGGAVIVTSRISEVVEAMVVPAEGSDQHYNSLIIPLEPLDRERCWDIFKYTVFGYLPDFEYQQHLEKVESEIKDLCYGLPLAARTLAEIMSQNSQNLGRTSPPYRKSEELLQLPDSFFGIPMLVFIDILEGRELFGKFCDLLTEEQVFGAQLNRGYTSDNPERVLKDVYGTLEKLKQKGYYGLASEIQRKMRIIVVGRDEFVNWILGVICDLKLPESPSIAPVPPPTLEDQHQCGIAASFGWKDISNISPLRSFLVDVALTKSMRTDSWHCLIRMKPHSSLKYRLPCYLHEVGDVGKADNPTFYGRFWSHFKLAAFNYSSRLDLTSVAIVKVLNHLGEWEMLHIPERINSICCLNLPIFGPREDRWVAKNTMEDGKTPTNLSFIDNGRLEVIGSGLGFWDKSSNWPLLDQVRGICFEFTKGARVLVGISFDDGAKEFEIPTDELVEIEISYHGQVNILAGLNCEAKSIHHSKLPVQIEMEKLHSVQIPEANLEH >ONI17712 pep chromosome:Prunus_persica_NCBIv2:G3:19278817:19282998:1 gene:PRUPE_3G175800 transcript:ONI17712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLQKKFLASLRDTEFELSGAVLISYLRAIKDIVIDIDTRRLTKDYCRRFIYVLLDLTDAFTKCQVFSHEWKKHSHHRTHLVVFNHLSLRKICFVRKMKKRLAAIKRIFEDEFMKKEETIYNLRESSSRPDPEENLESELPVLIHRGRKFEAEGVGFDEKLRKIGNFLLKSSPSSGAGFAAVGIQGMAGAGKTTLVREFLSWWVLQGEFSPIIWLCLSNIIKENKQVEEEIEVSIVKCMLSKLDHDAVVDGDGIIQEEEKTVSSDNNKSGHLLAALLERLNQGLSDKRYLIVLDDVWHMNDFYSDLGHREQLLEVQEGDKKVGDRLSHGLPKGSGGAVIVTSRISEVVEAMVVPAEGSDQHYNSLIIPLEPLDRERCWDIFKYTVFGYLPDFEYQQHLEKVESEIKDLCYGLPLAARTLAEIMSQNSQNLGRTSPPYRKSEELLQLPDSFFGIPMLVFIDILEGRELFGKFCDLLTEEQVFGAQLNRGYTSDNPERVLKDVYGTLEKLKQKGYYGLASEIQRKMRIIVVGRDEFVNWILGVICDLKLPESPSIAPVPPPTLEDQHQCGIAASFGWKDISNISPLRSFLVDVALTKSMRTDSWHCLIRMKPHSSLKYRLPCYLHEVGDVGKADNPTFYGRFWSHFKLAAFNYSSRYLLAELHAFIQAKLIITLL >ONI15119 pep chromosome:Prunus_persica_NCBIv2:G3:1999484:2004200:-1 gene:PRUPE_3G027000 transcript:ONI15119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYNYAISEQENSKETTEMVKIVDLRRVHGMFQGSSEEFWRENVYGGLATLVALLFVLAWHCAKRFVFRKSSSSKSSPVGVSNSDPTSSGFSISEMVTDADLKFLIENLEEKTRENEKWDNVIEKRNDLLSYYAKCCKPKDGPVKYLSSTIFENCSPEKLRDFYMDNDYRKQWDKMLIEHEQLQVDKNKGVEVGRSIKKFPLLTAREYVLAWRLWEGKDNTFYCFIKECEHPLAPLQKKYVRVSIFRSGWQIRKVPGRNACEIKMFHQEDAGLNVEMAKLAFSRGIWSYVCKMDTALRRYSTISNHQLSSGATAVTLIKKVPPGLEATDSMTSQENSAATSVHRPIAGGGRKLSRTPSKKLLANGLLILGGVVCLSRGHSSLGAKVAMAYILTKLSKRGASSSESSPSSGA >ONI16720 pep chromosome:Prunus_persica_NCBIv2:G3:10047224:10062479:1 gene:PRUPE_3G117600 transcript:ONI16720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRDDAGPAEQGPPNASWWPSDFVDKFGSVSLGSHEETLSNTESPRSPYQDVLSSQTASQVLWQSGMLLEPIPNGFYSVIPDKRLKEIFDDIPTLDELHAIEAEGVKADVILVDSSKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDFYKRPPVESPAKAALEETSHMFDNRGVQLLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLSIDGAVECVDSYKHMSVIVVLNSVELLVDLMRIPGKLLPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSERMDPDSAERDESLQFRGRFDVPSNVQGPSLRNMMLRPITSIERKLSLSHSEPNIATSFWRRSRRKAIAEQRTASSSPEHPSFRARGRSMLSGDRKSFRDYADDMPTSSYRSDGTSTSEARRLRRRSISITPEIGDDIVRAVRAMNETLKQNRLLREQGDDDRNITSGLQKNDQISSQKAISLPSSPHQYRSQTSERIGPSEFTRNDELVLTWNRILESATCGNKPLLPYQEWNIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTTENMEDFCNEISILSRLRHPNVILFLGACTKPPHLSMVTEYMEMGSLYYLIHLSGQKKKLSWRRRLKMLRDICRGLMCMHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMNETNMKDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPDGPLGRLISDCWTEPDDRPSCEEILSRLLDCEYSLC >ONI16723 pep chromosome:Prunus_persica_NCBIv2:G3:10050645:10062479:1 gene:PRUPE_3G117600 transcript:ONI16723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNRGVQLLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLSIDGAVECVDSYKHMSVIVVLNSVELLVDLMRIPGKLLPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSERMDPDSAERDESLQFRGRFDVPSNVQGPSLRNMMLRPITSIERKLSLSHSEPNIATSFWRRSRRKAIAEQRTASSSYRSDGTSTSEARRLRRRSISITPEIGDDIVRAVRAMNETLKQNRLLREQGDDDRNITSGLQKNDQISSQKAISLPSSPHQYRSQTSERIGPSEFTRNDELVLTWNRILESATCGNKPLLPYQEWNIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTTENMEDFCNEISILSRLRHPNVILFLGACTKPPHLSMVTEYMEMGSLYYLIHLSGQKKKLSWRRRLKMLRDICRGLMCMHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMNETNMKDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPDGPLGRLISDCWTEPDDRPSCEEILSRLLDCEYSLC >ONI16721 pep chromosome:Prunus_persica_NCBIv2:G3:10047224:10062479:1 gene:PRUPE_3G117600 transcript:ONI16721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRDDAGPAEQGPPNASWWPSDFVDKFGSVSLGSHEETLSNTESPRSPYQDVLSSQTASQVLWQSGMLLEPIPNGFYSVIPDKRLKEIFDDIPTLDELHAIEAEGVKADVILVDSSKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDFYKRPPVESPAKAALEETSHMFDNRGVQLLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLSIDGAVECVDSYKHMSVIVVLNSVELLVDLMRIPGKLLPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSERMDPDSAERDESLQFRGRFDVPSNVQGPSLRNMMLRPITSIERKLSLSHSEPNIATSFWRRSRRKAIAEQRTASSSYRSDGTSTSEARRLRRRSISITPEIGDDIVRAVRAMNETLKQNRLLREQGDDDRNITSGLQKNDQISSQKAISLPSSPHQYRSQTSERIGPSEFTRNDELVLTWNRILESATCGNKPLLPYQEWNIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTTENMEDFCNEISILSRLRHPNVILFLGACTKPPHLSMVTEYMEMGSLYYLIHLSGQKKKLSWRRRLKMLRDICRGLMCMHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMNETNMKDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPDGPLGRLISDCWTEPDDRPSCEEILSRLLDCEYSLC >ONI16722 pep chromosome:Prunus_persica_NCBIv2:G3:10050645:10062479:1 gene:PRUPE_3G117600 transcript:ONI16722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNRGVQLLGQIKHGSCRPRAILFKVLADTVGLESRLVVGLSIDGAVECVDSYKHMSVIVVLNSVELLVDLMRIPGKLLPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSERMDPDSAERDESLQFRGRFDVPSNVQGPSLRNMMLRPITSIERKLSLSHSEPNIATSFWRRSRRKAIAEQRTASSSPEHPSFRARGRSMLSGDRKSFRDYADDMPTSSYRSDGTSTSEARRLRRRSISITPEIGDDIVRAVRAMNETLKQNRLLREQGDDDRNITSGLQKNDQISSQKAISLPSSPHQYRSQTSERIGPSEFTRNDELVLTWNRILESATCGNKPLLPYQEWNIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTTENMEDFCNEISILSRLRHPNVILFLGACTKPPHLSMVTEYMEMGSLYYLIHLSGQKKKLSWRRRLKMLRDICRGLMCMHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMNETNMKDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPDGPLGRLISDCWTEPDDRPSCEEILSRLLDCEYSLC >ONI15838 pep chromosome:Prunus_persica_NCBIv2:G3:4596877:4601795:-1 gene:PRUPE_3G064400 transcript:ONI15838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQYEAELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRNERALEARGKVRTGLEGPGLTVAQKLWYCVATVGGQYIWARLQSFSAFRRWGDSEQRSVARGAWILIQRIEGLYKAASFGNLLLFLYTGRYRNLIERALKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSMKNLLRPFSKDKSSSSKEDDFTCPICQASPTVPFLALPCQHRYCYYCLRTRCAAVPAFRCSRCNEPIVAMQRHVNEPKQ >ONI15836 pep chromosome:Prunus_persica_NCBIv2:G3:4597290:4601398:-1 gene:PRUPE_3G064400 transcript:ONI15836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSNFRPPAEDAWVHTYQTLLPQWQSLSHSRQSIIPISISRVNQFDAGRLDIEMSAMLKEQLVKVFSLMKPGMLFQYEAELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRNERALEARGKVRTGLEGPGLTVAQKLWYCVATVGGQYIWARLQSFSAFRRWGDSEQRSVARGAWILIQRIEGLYKAASFGNLLLFLYTGRYRNLIERALKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSMKNLLRPFSKDKSSSSKEDDFTCPICQASPTVPFLALPCQHRYCYYCLRTRCAAVPAFRCSRCNEPIVAMQRHVNEPKQ >ONI15837 pep chromosome:Prunus_persica_NCBIv2:G3:4596877:4601795:-1 gene:PRUPE_3G064400 transcript:ONI15837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMLKEQLVKVFSLMKPGMLFQYEAELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRNERALEARGKVRTGLEGPGLTVAQKLWYCVATVGGQYIWARLQSFSAFRRWGDSEQRSVARGAWILIQRIEGLYKAASFGNLLLFLYTGRYRNLIERALKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSMKNLLRPFSKDKSSSSKEDDFTCPICQASPTVPFLALPCQHRYCYYCLRTRCAAVPAFRCSRCNEPIVAMQRHVNEPKQ >ONI17296 pep chromosome:Prunus_persica_NCBIv2:G3:16472493:16493033:-1 gene:PRUPE_3G150800 transcript:ONI17296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLPPVERAYVREDCVREWKNGTSNFKLADPVPMLRFLYELCSTMVSGELPLQKCKAALDSVEFSDKVSDEELASSFADIVTQLSQDIRMPGEHRARLIKLAKWLVESSLVPLRLFQERCEEEFLWEAEMIKIKAQELKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQNSETSSHNAAATIGIIKSLIGHFDLDPNHVFDIVLEYFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRLEVNSPVPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYSAFSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTIDLFAALDMETEAVGERSTECENNQTLGLLTGFLSVNDWYHAHLLFERLSPLHPVEHIQICNSLFRLIEKTISSAYDTVRRAHLLSFGSSSGTSVDVIHTENSSRHGSFVDLPKELFQMLACAGPYLYRDTLLLQKVCRVLRGYYSSALDLVSSGERVVDPSYVFVGNPRLHLKEAKSRIEEALGTCLLPSLQLVPANPAVGQEIWEVMSLLPYEVRYRLYGEWEKEDERIPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGRDKLKEDGLNVSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVHYTENLTEDQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDESKLAIPLLLLLAQHRSVVIIDADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPTSAYAQLIPSLDDLVHQYHLDPEVAFLIYRPVMRLFKCRGSSDVFWPLDNSDTQGITSANSESEAAEHSGNLVLDVGSPSKPVTWLDLLNTVKTMLPPKAWNSLSPDLYATFWGLTLYDLYVPRNCYESEIAKQHAALKALEELSDNSSSAITKRKKDKERIQESLDRLTSELRKHEENVASVRKRLSREKDKWLSSCPDTLKINVEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDILICRTLQPMICCCTEYEVGRFGKFLQETLKIAYYWKVHWKWSQRITKLLIQCLESTEYMEIRNALILLSKISSVFPVTRKTGVNLEKRVSKIKADEREDLKVLATGVAAALAARKSSWITDEEFGGKVGALPSQHPESSNSVKDQILKTKTSDGRLERVESISTVKSDQGHLKLKVGSLVSGSDGQSLMSSPALQSGTSRSMENKKQVNESSNRTSDENMGKAAPKNSSESELRAQAKRSGPAGSLAKPPKQDLAKDDGRSGKGIGRDVLCHASAVSTNVSPAIAANGNTVSASAKGSFAKTSVEIHGIDSKVDVGAAKASNTRVSAPKEDGPETSDALRPHSSRLVHSPRHDNSASASKSSDKLQKRTSPAEETDRQSKRRKGETEMRDFEGEARLSDRERSVDARLLDLDKSGTDDQSVYKATDKPSDRSKDKGSERHDKDYRERLDRPDKSRGDDLGERSRDRSMERHGREHSVEKVQERGMDRSVDRLSDKSKDDRGKVRYNDISTEKSHVDERYHGQSLPPPPPLPPHMVPHSVSSGRRDEDADRRFGTTRHTQRLSPRHDEKERRRSEDNSLISQDDSKRRREDDFRDRKREDREGLSIKVEEREREREREKANLLKEETDAIAASKRRKLKREHPPSGEPGEYSPVPPPPPPLSISLSQSYDGRDRGDRKGPPVQRAGYLEEPSVRIHGKEAASKMTRRDPDPMYEWEDEKRQRAEQKRRHRK >ONI17294 pep chromosome:Prunus_persica_NCBIv2:G3:16472470:16493013:-1 gene:PRUPE_3G150800 transcript:ONI17294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLPPVERAYVREDCVREWKNGTSNFKLADPVPMLRFLYELCSTMVSGELPLQKCKAALDSVEFSDKVSDEELASSFADIVTQLSQDIRMPGEHRARLIKLAKWLVESSLVPLRLFQERCEEEFLWEAEMIKIKAQELKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQNSETSSHNAAATIGIIKSLIGHFDLDPNHVFDIVLEYFELQPDSNVFLELIPIFPKRLEVNSPVPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYSAFSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTIDLFAALDMETEAVGERSTECENNQTLGLLTGFLSVNDWYHAHLLFERLSPLHPVEHIQICNSLFRLIEKTISSAYDTVRRAHLLSFGSSSGTSVDVIHTENSSRHGSFVDLPKELFQMLACAGPYLYRDTLLLQKVCRVLRGYYSSALDLVSSGERVVDPSYVFVGNPRLHLKEAKSRIEEALGTCLLPSLQLVPANPAVGQEIWEVMSLLPYEVRYRLYGEWEKEDERIPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGRDKLKEDGLNVSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVHYTENLTEDQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDESKLAIPLLLLLAQHRSVVIIDADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPTSAYAQLIPSLDDLVHQYHLDPEVAFLIYRPVMRLFKCRGSSDVFWPLDNSDTQGITSANSESEAAEHSGNLVLDVGSPSKPVTWLDLLNTVKTMLPPKAWNSLSPDLYATFWGLTLYDLYVPRNCYESEIAKQHAALKALEELSDNSSSAITKRKKDKERIQESLDRLTSELRKHEENVASVRKRLSREKDKWLSSCPDTLKINVEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDILICRTLQPMICCCTEYEVGRFGKFLQETLKIAYYWKVHWKWSQRITKLLIQCLESTEYMEIRNALILLSKISSVFPVTRKTGVNLEKRVSKIKADEREDLKVLATGVAAALAARKSSWITDEEFGNGYLELKSAPLASKSSAGNSAATHSGSTINISQSEPIGGKVGALPSQHPESSNSVKDQILKTKTSDGRLERVESISTVKSDQGHLKLKVGSLVSGSDGQSLMSSPALQSGTSRSMENKKQVNESSNRTSDENMGKAAPKNSSESELRAQAKRSGPAGSLAKPPKQDLAKDDGRSGKGIGRDVLCHASAVSTNVSPAIAANGNTVSASAKGSFAKTSVEIHGIDSKVDVGAAKASNTRVSAPKEDGPETSDALRPHSSRLVHSPRHDNSASASKSSDKLQKRTSPAEETDRQSKRRKGETEMRDFEGEARLSDRERSVDARLLDLDKSGTDDQSVYKATDKPSDRSKDKGSERHDKDYRERLDRPDKSRGDDLGERSRDRSMERHGREHSVEKVQERGMDRSVDRLSDKSKDDRGKVRYNDISTEKSHVDERYHGQSLPPPPPLPPHMVPHSVSSGRRDEDADRRFGTTRHTQRLSPRHDEKERRRSEDNSLISQDDSKRRREDDFRDRKREDREGLSIKVEEREREREREKANLLKEETDAIAASKRRKLKREHPPSGEPGEYSPVPPPPPPLSISLSQSYDGRDRGDRKGPPVQRAGYLEEPSVRIHGKEAASKMTRRDPDPMYEWEDEKRQRAEQKRRHRK >ONI17299 pep chromosome:Prunus_persica_NCBIv2:G3:16472802:16492813:-1 gene:PRUPE_3G150800 transcript:ONI17299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLPPVERAYVREDCVREWKNGTSNFKLADPVPMLRFLYELCSTMVSGELPLQKCKAALDSVEFSDKVSDEELASSFADIVTQLSQDIRMPGEHRARLIKLAKWLVESSLVPLRLFQERCEEEFLWEAEMIKIKAQELKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQNSETSSHNAAATIGIIKSLIGHFDLDPNHVFDIVLEYFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRLEVNSPVPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYSAFSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTIDLFAALDMETEAVGERSTECENNQTLGLLTGFLSVNDWYHAHLLFERLSPLHPVEHIQICNSLFRLIEKTISSAYDTVRRAHLLSFGSSSGTSVDVIHTENSSRHGSFVDLPKELFQMLACAGPYLYRDTLLLQKVCRVLRGYYSSALDLVSSGERVVDPSYVFVGNPRLHLKEAKSRIEEALGTCLLPSLQLVPANPAVGQEIWEVMSLLPYEVRYRLYGEWEKEDERIPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGRDKLKEDGLNVSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVHYTENLTEDQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDESKLAIPLLLLLAQHRSVVIIDADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPTSAYAQLIPSLDDLVHQYHLDPEVAFLIYRPVMRLFKCRGSSDVFWPLDNSDTQGITSANSESEAAEHSGNLVLDVGSPSKPVTWLDLLNTVKTMLPPKAWNSLSPDLYATFWGLTLYDLYVPRNCYESEIAKQHAALKALEELSDNSSSAITKRKKDKERIQESLDRLTSELRKHEENVASVRKRLSREKDKWLSSCPDTLKINVEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDILICRTLQPMICCCTEYEVGRFGKFLQETLKIAYYWKKDESIYERECGNMPGFAVYYRHPNSQRVAYFQFMKVHWKWSQRITKLLIQCLESTEYMEIRNALILLSKISSVFPVTRKTGVNLEKRVSKIKADEREDLKVLATGVAAALAARKSSWITDEEFGGKVGALPSQHPESSNSVKDQILKTKTSDGRLERVESISTVKSDQGHLKLKVGSLVSGSDGQSLMSSPALQSGTSRSMENKKQVNESSNRTSDENMGKAAPKNSSESELRAQAKRSGPAGSLAKPPKQDLAKDDGRSGKGIGRDVLCHASAVSTNVSPAIAANGNTVSASAKGSFAKTSVEIHGIDSKVDVGAAKASNTRVSAPKEDGPETSDALRPHSSRLVHSPRHDNSASASKSSDKLQKRTSPAEETDRQSKRRKGETEMRDFEGEARLSDRERSVDARLLDLDKSGTDDQSVYKATDKPSDRSKDKGSERHDKDYRERLDRPDKSRGDDLGERSRDRSMERHGREHSVEKVQERGMDRSVDRLSDKSKDDRGKVRYNDISTEKSHVDERYHGQSLPPPPPLPPHMVPHSVSSGRRDEDADRRFGTTRHTQRLSPRHDEKERRRSEDNSLISQDDSKRRREDDFRDRKREDREGLSIKVEEREREREREKANLLKEETDAIAASKRRKLKREHPPSGEPGEYSPVPPPPPPLSISLSQSYDGRDRGDRKGPPVQRAGYLEEPSVRIHGKEAASKMTRRDPDPMYEWEDEKRQRAEQKRRHRK >ONI17302 pep chromosome:Prunus_persica_NCBIv2:G3:16472802:16491172:-1 gene:PRUPE_3G150800 transcript:ONI17302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFIWLFLLTCGSSLNLQIRMPGEHRARLIKLAKWLVESSLVPLRLFQERCEEEFLWEAEMIKIKAQELKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQNSETSSHNAAATIGIIKSLIGHFDLDPNHVFDIVLEYFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRLEVNSPVPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYSAFSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTIDLFAALDMETEAVGERSTECENNQTLGLLTGFLSVNDWYHAHLLFERLSPLHPVEHIQICNSLFRLIEKTISSAYDTVRRAHLLSFGSSSGTSVDVIHTENSSRHGSFVDLPKELFQMLACAGPYLYRDTLLLQKVCRVLRGYYSSALDLVSSGERVVDPSYVFVGNPRLHLKEAKSRIEEALGTCLLPSLQLVPANPAVGQEIWEVMSLLPYEVRYRLYGEWEKEDERIPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGRDKLKEDGLNVSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVHYTENLTEDQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDESKLAIPLLLLLAQHRSVVIIDADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPTSAYAQLIPSLDDLVHQYHLDPEVAFLIYRPVMRLFKCRGSSDVFWPLDNSDTQGITSANSESEAAEHSGNLVLDVGSPSKPVTWLDLLNTVKTMLPPKAWNSLSPDLYATFWGLTLYDLYVPRNCYESEIAKQHAALKALEELSDNSSSAITKRKKDKERIQESLDRLTSELRKHEENVASVRKRLSREKDKWLSSCPDTLKINVEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDILICRTLQPMICCCTEYEVGRFGKFLQETLKIAYYWKKDESIYERECGNMPGFAVYYRHPNSQRVAYFQFMKVHWKWSQRITKLLIQCLESTEYMEIRNALILLSKISSVFPVTRKTGVNLEKRVSKIKADEREDLKVLATGVAAALAARKSSWITDEEFGNGYLELKSAPLASKSSAGNSAATHSGSTINISQSEPIGGKVGALPSQHPESSNSVKDQILKTKTSDGRLERVESISTVKSDQGHLKLKVGSLVSGSDGQSLMSSPALQSGTSRSMENKKQVNESSNRTSDENMGKAAPKNSSESELRAQAKRSGPAGSLAKPPKQDLAKDDGRSGKGIGRDVLCHASAVSTNVSPAIAANGNTVSASAKGSFAKTSVEIHGIDSKVDVGAAKASNTRVSAPKEDGPETSDALRPHSSRLVHSPRHDNSASASKSSDKLQKRTSPAEETDRQSKRRKGETEMRDFEGEARLSDRERSVDARLLDLDKSGTDDQSVYKATDKPSDRSKDKGSERHDKDYRERLDRPDKSRGDDLGERSRDRSMERHGREHSVEKVQERGMDRSVDRLSDKSKDDRGKVRYNDISTEKSHVDERYHGQSLPPPPPLPPHMVPHSVSSGRRDEDADRRFGTTRHTQRLSPRHDEKERRRSEDNSLISQDDSKRRREDDFRDRKREDREGLSIKVEEREREREREKANLLKEETDAIAASKRRKLKREHPPSGEPGEYSPVPPPPPPLSISLSQSYDGRDRGDRKGPPVQRAGYLEEPSVRIHGKEAASKMTRRDPDPMYEWEDEKRQRAEQKRRHRK >ONI17300 pep chromosome:Prunus_persica_NCBIv2:G3:16472802:16492813:-1 gene:PRUPE_3G150800 transcript:ONI17300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLPPVERAYVREDCVREWKNGTSNFKLADPVPMLRFLYELCSTMVSGELPLQKCKAALDSVEFSDKVSDEELASSFADIVTQLSQDIRMPGEHRARLIKLAKWLVESSLVPLRLFQERCEEEFLWEAEMIKIKAQELKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQNSETSSHNAAATIGIIKSLIGHFDLDPNHVFDIVLEYFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRLEVNSPVPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYSAFSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTIDLFAALDMETEAVGERSTECENNQTLGLLTGFLSVNDWYHAHLLFERLSPLHPVEHIQICNSLFRLIEKTISSAYDTVRRAHLLSFGSSSGTSVDVIHTENSSRHGSFVDLPKELFQMLACAGPYLYRDTLLLQKVCRVLRGYYSSALDLVSSGERVVDPSYVFVGNPRLHLKEAKSRIEEALGTCLLPSLQLVPANPAVGQEIWEVMSLLPYEVRYRLYGEWEKEDERIPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGRDKLKEDGLNVSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVHYTENLTEDQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDESKLAIPLLLLLAQHRSVVIIDADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPTSAYAQLIPSLDDLVHQYHLDPEVAFLIYRPVMRLFKCRGSSDVFWPLDNSDTQGITSANSESEAAEHSGNLVLDVGSPSKPVTWLDLLNTVKTMLPPKAWNSLSPDLYATFWGLTLYDLYVPRNCYESEIAKQHAALKALEELSDNSSSAITKRKKDKERIQESLDRLTSELRKHEENVASVRKRLSREKDKWLSSCPDTLKINVEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDILICRTLQPMICCCTEYEVGRFGKFLQETLKIAYYWKKDESIYERECGNMPGFAVYYRHPNSQRVAYFQFMKVHWKWSQRITKLLIQCLESTEYMEIRNALILLSKISSVFPVTRKTGVNLEKRVSKIKADEREDLKVLATGVAAALAARKSSWITDEEFGNGYLELKSAPLASKSSAGNSAATHSGSTINISQSEPIGGKVGALPSQHPESSNSVKDQILKTKTSDGRLERVESISTVKSDQGHLKLKVGSLVSGSDGQSLMSSPALQSGTSRSMENKKQVNESSNRTSDENMGKAAPKNSSESELRAQAKRSGPAGSLAKPPKQDLAKDDGRSGKGIGRDVLCHASAVSTNVSPAIAANGNTVSASAKGSFAKTSVEIHGIDSKVDVGAAKASNTRVSAPKEDGPETSDALRPHSSRLVHSPRHDNSASASKSSDKLQKRTSPAEETDRQSKRRKGETEMRDFEGEARLSDRERSVDARLLDLDKSGTDDQSVYKATDKPSDRSKDKGSERHDKDYRERLDRPDKSRGDDLGERSRDRSMERHGREHSVEKVQERGMDRSVDRLSDKSKDDRGKVRYNDISTEKSHVDERYHGQSLPPPPPLPPHMVPHSVSSGRRDEDADRRFGTTRHTQRLSPRHDEKERRRSEDNSLISQDDSKRRREDDFRDRKREDREGLSIKVEEREREREREKANLLKEETDAIAASKRRKLKREHPPSGEPGEYSPVPPPPPPLSISLSQSYDGRDRGDRKGPPVQRAGYLEEPSVRIHGKEAASKMTRRDPDPMYEWEDEKRQRAEQKRRHRK >ONI17298 pep chromosome:Prunus_persica_NCBIv2:G3:16472517:16492920:-1 gene:PRUPE_3G150800 transcript:ONI17298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLPPVERAYVREDCVREWKNGTSNFKLADPVPMLRFLYELCSTMVSGELPLQKCKAALDSVEFSDKVSDEELASSFADIVTQLSQDIRMPGEHRARLIKLAKWLVESSLVPLRLFQERCEEEFLWEAEMIKIKAQELKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQNSETSSHNAAATIGIIKSLIGHFDLDPNHVFDIVLEYFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRLEVNSPVPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYSAFSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTIDLFAALDMETEAVGERSTECENNQTLGLLTGFLSVNDWYHAHLLFERLSPLHPVEHIQICNSLFRLIEKTISSAYDTVRRAHLLSFGSSSGTSVDVIHTENSSRHGSFVDLPKELFQMLACAGPYLYRDTLLLQKVCRVLRGYYSSALDLVSSGERVVDPSYVFVGNPRLHLKEAKSRIEEALGTCLLPSLQLVPANPAVGQEIWEVMSLLPYEVRYRLYGEWEKEDERIPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGRDKLKEDGLNVSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVHYTENLTEDQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDESKLAIPLLLLLAQHRSVVIIDADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPTSAYAQLIPSLDDLVHQYHLDPEVAFLIYRPVMRLFKCRGSSDVFWPLDNSDTQGITSANSESEAAEHSGNLVLDVGSPSKPVTWLDLLNTVKTMLPPKAWNSLSPDLYATFWGLTLYDLYVPRNCYESEIAKQHAALKALEELSDNSSSAITKRKKDKERIQESLDRLTSELRKHEENVASVRKRLSREKDKWLSSCPDTLKINVEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDILICRTLQPMICCCTEYEVGRFGKFLQETLKIAYYWKDESIYERECGNMPGFAVYYRHPNSQRVAYFQFMKVHWKWSQRITKLLIQCLESTEYMEIRNALILLSKISSVFPVTRKTGVNLEKRVSKIKADEREDLKVLATGVAAALAARKSSWITDEEFGNGYLELKSAPLASKSSAGNSAATHSGSTINISQSEPIGGKVGALPSQHPESSNSVKDQILKTKTSDGRLERVESISTVKSDQGHLKLKVGSLVSGSDGQSLMSSPALQSGTSRSMENKKQVNESSNRTSDENMGKAAPKNSSESELRAQAKRSGPAGSLAKPPKQDLAKDDGRSGKGIGRDVLCHASAVSTNVSPAIAANGNTVSASAKGSFAKTSVEIHGIDSKVDVGAAKASNTRVSAPKEDGPETSDALRPHSSRLVHSPRHDNSASASKSSDKLQKRTSPAEETDRQSKRRKGETEMRDFEGEARLSDRERSVDARLLDLDKSGTDDQSVYKATDKPSDRSKDKGSERHDKDYRERLDRPDKSRGDDLGERSRDRSMERHGREHSVEKVQERGMDRSVDRLSDKSKDDRGKVRYNDISTEKSHVDERYHGQSLPPPPPLPPHMVPHSVSSGRRDEDADRRFGTTRHTQRLSPRHDEKERRRSEDNSLISQDDSKRRREDDFRDRKREDREGLSIKVEEREREREREKANLLKEETDAIAASKRRKLKREHPPSGEPGEYSPVPPPPPPLSISLSQSYDGRDRGDRKGPPVQRAGYLEEPSVRIHGKEAASKMTRRDPDPMYEWEDEKRQRAEQKRRHRK >ONI17295 pep chromosome:Prunus_persica_NCBIv2:G3:16472802:16492813:-1 gene:PRUPE_3G150800 transcript:ONI17295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLPPVERAYVREDCVREWKNGTSNFKLADPVPMLRFLYELCSTMVSGELPLQKCKAALDSVEFSDKVSDEELASSFADIVTQLSQDIRMPGEHRARLIKLAKWLVESSLVPLRLFQERCEEEFLWEAEMIKIKAQELKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQNSETSSHNAAATIGIIKSLIGHFDLDPNHVFDIVLEYFELQPDSNVFLELIPIFPKRLEVNSPVPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYSAFSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTIDLFAALDMETEAVGERSTECENNQTLGLLTGFLSVNDWYHAHLLFERLSPLHPVEHIQICNSLFRLIEKTISSAYDTVRRAHLLSFGSSSGTSVDVIHTENSSRHGSFVDLPKELFQMLACAGPYLYRDTLLLQKVCRVLRGYYSSALDLVSSGERVVDPSYVFVGNPRLHLKEAKSRIEEALGTCLLPSLQLVPANPAVGQEIWEVMSLLPYEVRYRLYGEWEKEDERIPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGRDKLKEDGLNVSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVHYTENLTEDQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDESKLAIPLLLLLAQHRSVVIIDADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPTSAYAQLIPSLDDLVHQYHLDPEVAFLIYRPVMRLFKCRGSSDVFWPLDNSDTQGITSANSESEAAEHSGNLVLDVGSPSKPVTWLDLLNTVKTMLPPKAWNSLSPDLYATFWGLTLYDLYVPRNCYESEIAKQHAALKALEELSDNSSSAITKRKKDKERIQESLDRLTSELRKHEENVASVRKRLSREKDKWLSSCPDTLKINVEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDILICRTLQPMICCCTEYEVGRFGKFLQETLKIAYYWKKDESIYERECGNMPGFAVYYRHPNSQRVAYFQFMKVHWKWSQRITKLLIQCLESTEYMEIRNALILLSKISSVFPVTRKTGVNLEKRVSKIKADEREDLKVLATGVAAALAARKSSWITDEEFGNGYLELKSAPLASKSSAGNSAATHSGSTINISQSEPIGGKVGALPSQHPESSNSVKDQILKTKTSDGRLERVESISTVKSDQGHLKLKVGSLVSGSDGQSLMSSPALQSGTSRSMENKKQVNESSNRTSDENMGKAAPKNSSESELRAQAKRSGPAGSLAKPPKQDLAKDDGRSGKGIGRDVLCHASAVSTNVSPAIAANGNTVSASAKGSFAKTSVEIHGIDSKVDVGAAKASNTRVSAPKEDGPETSDALRPHSSRLVHSPRHDNSASASKSSDKLQKRTSPAEETDRQSKRRKGETEMRDFEGEARLSDRERSVDARLLDLDKSGTDDQSVYKATDKPSDRSKDKGSERHDKDYRERLDRPDKSRGDDLGERSRDRSMERHGREHSVEKVQERGMDRSVDRLSDKSKDDRGKVRYNDISTEKSHVDERYHGQSLPPPPPLPPHMVPHSVSSGRRDEDADRRFGTTRHTQRLSPRHDEKERRRSEDNSLISQDDSKRRREDDFRDRKREDREGLSIKVEEREREREREKANLLKEETDAIAASKRRKLKREHPPSGEPGEYSPVPPPPPPLSISLSQSYDGRDRGDRKGPPVQRAGYLEEPSVRIHGKEAASKMTRRDPDPMYEWEDEKRQRAEQKRRHRK >ONI17303 pep chromosome:Prunus_persica_NCBIv2:G3:16480893:16492936:-1 gene:PRUPE_3G150800 transcript:ONI17303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLPPVERAYVREDCVREWKNGTSNFKLADPVPMLRFLYELCSTMVSGELPLQKCKAALDSVEFSDKVSDEELASSFADIVTQLSQDIRMPGEHRARLIKLAKWLVESSLVPLRLFQERCEEEFLWEAEMIKIKAQELKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQNSETSSHNAAATIGIIKSLIGHFDLDPNHVFDIVLEYFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRLEVNSPVPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYSAFSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTIDLFAALDMETEAVGERSTECENNQTLGLLTGFLSVNDWYHAHLLFERLSPLHPVEHIQICNSLFRLIEKTISSAYDTVRRAHLLSFGSSSGTSVDVIHTENSSRHGSFVDLPKELFQMLACAGPYLYRDTLLLQKVCRVLRGYYSSALDLVSSGERVVDPSYVFVGNPRLHLKEAKSRIEEALGTCLLPSLQLVPANPAVGQEIWEVMSLLPYEVRYRLYGEWEKEDERIPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGRDKLKEDGLNVSDWLQSLASFWGHLLAWDWGNY >ONI17297 pep chromosome:Prunus_persica_NCBIv2:G3:16472469:16493079:-1 gene:PRUPE_3G150800 transcript:ONI17297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLPPVERAYVREDCVREWKNGTSNFKLADPVPMLRFLYELCSTMVSGELPLQKCKAALDSVEFSDKVSDEELASSFADIVTQLSQDIRMPGEHRARLIKLAKWLVESSLVPLRLFQERCEEEFLWEAEMIKIKAQELKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQNSETSSHNAAATIGIIKSLIGHFDLDPNHVFDIVLEYFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRLEVNSPVPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYSAFSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTIDLFAALDMETEAVGERSTECENNQTLGLLTGFLSVNDWYHAHLLFERLSPLHPVEHIQICNSLFRLIEKTISSAYDTVRRAHLLSFGSSSGTSVDVIHTENSSRHGSFVDLPKELFQMLACAGPYLYRDTLLLQKVCRVLRGYYSSALDLVSSGERVVDPSYVFVGNPRLHLKEAKSRIEEALGTCLLPSLQLVPANPAVGQEIWEVMSLLPYEVRYRLYGEWEKEDERIPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGRDKLKEDGLNVSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVHYTENLTEDQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDESKLAIPLLLLLAQHRSVVIIDADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPTSAYAQLIPSLDDLVHQYHLDPEVAFLIYRPVMRLFKCRGSSDVFWPLDNSDTQGITSANSESEAAEHSGNLVLDVGSPSKPVTWLDLLNTVKTMLPPKAWNSLSPDLYATFWGLTLYDLYVPRNCYESEIAKQHAALKALEELSDNSSSAITKRKKDKERIQESLDRLTSELRKHEENVASVRKRLSREKDKWLSSCPDTLKINVEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDILICRTLQPMICCCTEYEVGRFGKFLQETLKIAYYWKVHWKWSQRITKLLIQCLESTEYMEIRNALILLSKISSVFPVTRKTGVNLEKRVSKIKADEREDLKVLATGVAAALAARKSSWITDEEFGNGYLELKSAPLASKSSAGNSAATHSGSTINISQSEPIGGKVGALPSQHPESSNSVKDQILKTKTSDGRLERVESISTVKSDQGHLKLKVGSLVSGSDGQSLMSSPALQSGTSRSMENKKQVNESSNRTSDENMGKAAPKNSSESELRAQAKRSGPAGSLAKPPKQDLAKDDGRSGKGIGRDVLCHASAVSTNVSPAIAANGNTVSASAKGSFAKTSVEIHGIDSKVDVGAAKASNTRVSAPKEDGPETSDALRPHSSRLVHSPRHDNSASASKSSDKLQKRTSPAEETDRQSKRRKGETEMRDFEGEARLSDRERSVDARLLDLDKSGTDDQSVYKATDKPSDRSKDKGSERHDKDYRERLDRPDKSRGDDLGERSRDRSMERHGREHSVEKVQERGMDRSVDRLSDKSKDDRGKVRYNDISTEKSHVDERYHGQSLPPPPPLPPHMVPHSVSSGRRDEDADRRFGTTRHTQRLSPRHDEKERRRSEDNSLISQDDSKRRREDDFRDRKREDREGLSIKVEEREREREREKANLLKEETDAIAASKRRKLKREHPPSGEPGEYSPVPPPPPPLSISLSQSYDGRDRGDRKGPPVQRAGYLEEPSVRIHGKEAASKMTRRDPDPMYEWEDEKRQRAEQKRRHRK >ONI17301 pep chromosome:Prunus_persica_NCBIv2:G3:16472472:16491208:-1 gene:PRUPE_3G150800 transcript:ONI17301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFIWLFLLTCGSSLNLQIRMPGEHRARLIKLAKWLVESSLVPLRLFQERCEEEFLWEAEMIKIKAQELKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQNSETSSHNAAATIGIIKSLIGHFDLDPNHVFDIVLEYFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRLEVNSPVPFGLYKLTALLVKEEFIDLDSIYAHLLPKDDEAFEHYSAFSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTIDLFAALDMETEAVGERSTECENNQTLGLLTGFLSVNDWYHAHLLFERLSPLHPVEHIQICNSLFRLIEKTISSAYDTVRRAHLLSFGSSSGTSVDVIHTENSSRHGSFVDLPKELFQMLACAGPYLYRDTLLLQKVCRVLRGYYSSALDLVSSGERVVDPSYVFVGNPRLHLKEAKSRIEEALGTCLLPSLQLVPANPAVGQEIWEVMSLLPYEVRYRLYGEWEKEDERIPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDVLEYVVIERLAQGGRDKLKEDGLNVSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVHYTENLTEDQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDESKLAIPLLLLLAQHRSVVIIDADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPTSAYAQLIPSLDDLVHQYHLDPEVAFLIYRPVMRLFKCRGSSDVFWPLDNSDTQGITSANSESEAAEHSGNLVLDVGSPSKPVTWLDLLNTVKTMLPPKAWNSLSPDLYATFWGLTLYDLYVPRNCYESEIAKQHAALKALEELSDNSSSAITKRKKDKERIQESLDRLTSELRKHEENVASVRKRLSREKDKWLSSCPDTLKINVEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDILICRTLQPMICCCTEYEVGRFGKFLQETLKIAYYWKVHWKWSQRITKLLIQCLESTEYMEIRNALILLSKISSVFPVTRKTGVNLEKRVSKIKADEREDLKVLATGVAAALAARKSSWITDEEFGNGYLELKSAPLASKSSAGNSAATHSGSTINISQSEPIGGKVGALPSQHPESSNSVKDQILKTKTSDGRLERVESISTVKSDQGHLKLKVGSLVSGSDGQSLMSSPALQSGTSRSMENKKQVNESSNRTSDENMGKAAPKNSSESELRAQAKRSGPAGSLAKPPKQDLAKDDGRSGKGIGRDVLCHASAVSTNVSPAIAANGNTVSASAKGSFAKTSVEIHGIDSKVDVGAAKASNTRVSAPKEDGPETSDALRPHSSRLVHSPRHDNSASASKSSDKLQKRTSPAEETDRQSKRRKGETEMRDFEGEARLSDRERSVDARLLDLDKSGTDDQSVYKATDKPSDRSKDKGSERHDKDYRERLDRPDKSRGDDLGERSRDRSMERHGREHSVEKVQERGMDRSVDRLSDKSKDDRGKVRYNDISTEKSHVDERYHGQSLPPPPPLPPHMVPHSVSSGRRDEDADRRFGTTRHTQRLSPRHDEKERRRSEDNSLISQDDSKRRREDDFRDRKREDREGLSIKVEEREREREREKANLLKEETDAIAASKRRKLKREHPPSGEPGEYSPVPPPPPPLSISLSQSYDGRDRGDRKGPPVQRAGYLEEPSVRIHGKEAASKMTRRDPDPMYEWEDEKRQRAEQKRRHRK >ONI18744 pep chromosome:Prunus_persica_NCBIv2:G3:23105567:23107562:-1 gene:PRUPE_3G236200 transcript:ONI18744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRILRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGQPITSITSEAAADGHGPPLKAYDIRHVSKDENSAASNEPKQAKTRYRFKRSVVKPKASKVGSGSGSGADDSAKPSCAGCCADEFNRSTSHESSLSHQSEAAANVDGESKETESMVSSETAEAELLFRPEPESARKRSEPVQEGELALELTLGLEPQSRAHHVVPVKKRRIDAYGLGGRSSADDGACKMELGLDLVA >ONI14842 pep chromosome:Prunus_persica_NCBIv2:G3:729624:740713:-1 gene:PRUPE_3G011800 transcript:ONI14842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSTSGLGQQDHEAAGGAEKKCLNSELWHACAGPLVSLPTPGTRVVYFPQGHSDQVAATTNKQVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKETFLPMELGIPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSLQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSCFTVFYNPRASPSEFVIPLSKYIKAVFHTRVSVGMRFRMLFETEESSVRRRKRWGKLGERGRAEKVVGISIKEFRNYNLNPPSYFKKFQISPSESFNNCVLTPVFFKKYKIALAVSGPWVDCVQVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGASSMQDNRDEANSLMWLRGGTGEQGLHSMNFQPVGMFPWMQQRVDSTLIGNDHNPQYQAMLAAGLQNVGSGDQLRQHMMHFQQPFQYVQQSSSHNPMLQLQQQIQQSIPHNILQAQPQVSLENLPQHLLQQQFNNQTEEQVQQQQNNYHDALKVQTEQLQQSQQMNVPSPSFPKTDFSDSNTKFSASTTPRQNMLGTLCPEGSGNLLSSSRAGHSMPTEQLPQQSWAPKYAHAQVNAFANSMSFPPFNEKDNAVEQENCNSDSQNPTLFGVNIESSGLLFPTTAPSFATSSNDADMSMPLGDSGFQSSLYGCMQDSSELLHSAGQVDPPTPNCTFVKVYKSGSVGRSLDISRFSSYHELREELGQMFGIEGKLEDRLRSGWQLVFVDRENDVLLLGDDPWESFVNNVWYIKILSPEDVHKMGDQAVEPFSPNTGQRLNSGGGEAQDIVSGLPSLGSLEY >ONI19588 pep chromosome:Prunus_persica_NCBIv2:G3:25867419:25875692:1 gene:PRUPE_3G286000 transcript:ONI19588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSDKRFPLDAKDYKLYEEVGEGVSASVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVHTMTLINHQNLLRAHCSFTAGHSLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLHEVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLGDFGVSACMFDTGDRQRTRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHHFFKHARPVDYLARTILDGLSPLGDRFRMLKAKEADLLVQNKALYGDKEHLSQQEYIRGISAWNFNLEDLKNQAALIQEDGMPNASSKKQNEKDEDVSFPAEGAAIEMENQPNAGPDQEDGFNDLHDLDSSLASFPIKPLQALKGCFDVCEDDESATSPRTIVQENGRSEGETSGQSSYLPRHVIPEPKKFLSGSVLLDSVLSPKKVIGDGERDHLQLKHQPERNYSGPLLYRQKGHANNPASAEDMSEGAVVQRKGRFKVTSADLSPKGPSNCFFGPACGGSTSPATTNLPAATVLPSLQCILQQNSLQREEIVKLIKYTEQISGTQGECTDASSSDLLQMPLASGRERELQSLVIHLQQSVGNLVEQLQRQKLKNAQLERQLKVMTNKDENIRNGSEG >ONI19587 pep chromosome:Prunus_persica_NCBIv2:G3:25867434:25875692:1 gene:PRUPE_3G286000 transcript:ONI19587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSDKRFPLDAKDYKLYEEVGEGVSASVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVHTMTLINHQNLLRAHCSFTAGHSLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLHEVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLGDFGVSACMFDTGDRQRTRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHHFFKHARPVDYLARTILDGLSPLGDRFRMLKAKEADLLVQNKALYGDKEHLSQQEYIRGISAWNFNLEDLKNQAALVSHIQEDGMPNASSKKQNEKDEDVSFPAEGAAIEMENQPNAGPDQEDGFNDLHDLDSSLASFPIKPLQALKGCFDVCEDDESATSPRTIVQENGRSEGETSGQSSYLPRHVIPEPKKFLSGSVLLDSVLSPKKVIGDGERDHLQLKHQPERNYSGPLLYRQKGHANNPASAEDMSEGAVVQRKGRFKVTSADLSPKGPSNCFFGPACGGSTSPATTNLPAATVLPSLQCILQQNSLQREEIVKLIKYTEQISGTQGECTDASSSDLLQMPLASGRERELQSLVIHLQQSVGNLVEQLQRQKLKNAQLERQLKVMTNKDENIRNGSEG >ONI19589 pep chromosome:Prunus_persica_NCBIv2:G3:25867434:25875692:1 gene:PRUPE_3G286000 transcript:ONI19589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSDKRFPLDAKDYKLYEEVGEGVSASVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVHTMTLINHQNLLRAHCSFTAGHSLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLHEVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLGDFGVSACMFDTGDRQRTRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHHFFKHARPVDYLARTILDGLSPLGDRFRMLKAKEADLLVQNKALYGDKEHLSQQEYIRGISAWNFNLEDLKNQAALIQEDGMPNASSKKQNEKDEDVSFPAEGAAIEMENQPNAGPDQEDGFNDLHDLDSSLASFPIKPLQALKGCFDVCEDDESATSPRTIVQENGRSEGETSGQSSYLPRHVIPEPKKFLSGSVLLDSVLSPKKVIGDGERDHLQLKHQPERNYSGPLLYRQKGHANNPASAEDMSEGAVVQRKGRFKVTSADLSPKGPSNCFFGPACGGSTSPATTNLPAATVLPSLQCILQQNSLQREEIVKLIKYTEQISGTQGECTDASSSDLLQMPLASGRERELQSLVIHLQQSVGNLVEQLQRQKLKNAQLERQLKVMTNKDENIRNGSEG >ONI19590 pep chromosome:Prunus_persica_NCBIv2:G3:25867434:25875692:1 gene:PRUPE_3G286000 transcript:ONI19590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSDKRFPLDAKDYKLYEEVGEGVSASVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVHTMTLINHQNLLRAHCSFTAGHSLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLHEVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLGDFGVSACMFDTGDRQRTRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHHFFKHARPVDYLARTILDGLSPLGDRFRMLKAKEADLLVQNKALYGDKEHLSQQEYIRGISAWNFNLEDLKNQAALIQEDGMPNASSKKQNEKDEDVSFPAEGAAIEMENQPNAGPDQEDGFNDLHDLDSSLASFPIKPLQALKGCFDVCEDDESATSPRTIVQENGRSEAEDMSEGAVVQRKGRFKVTSADLSPKGPSNCFFGPACGGSTSPATTNLPAATVLPSLQCILQQNSLQREEIVKLIKYTEQISGTQGECTDASSSDLLQMPLASGRERELQSLVIHLQQSVGNLVEQLQRQKLKNAQLERQLKVMTNKDENIRNGSEG >ONI17624 pep chromosome:Prunus_persica_NCBIv2:G3:18888997:18890544:-1 gene:PRUPE_3G170200 transcript:ONI17624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMKFFGCALSAHRNFSTKITGKNSKWAQGKYKSGYKAREGEAKNTKTRERVQWTDQQKQVMSAISEGKSVFITGSAGTGKTILVKHIIKQLKKRHGPSKVFVTAPTGVAACAISGQTLHSFAGIGCAMADRDTLLHRISKNDKAYKRWRKAEALVLDESSMVDAELFESLDFIARAIKQVDEVWGGIQLVVSGDFFQLPPVKPQQNSGGKEFAFEAECWDSSFDLQVNLTKVFRQSDPQLIKLLQGIRRGESDPEDLKLLEQSCSKAEPDPTVVQLYPRNEDVNRVNSSRLASLGNELVVYTAVDSGEDSLKRQLEQGIAPKEIALCEDARVMLVKNLNTWRGLVNGATGTVTGFYESEDVGVTRICDDGLLPVVRFDSGLEMTIEPNTWTANEGDSVAKREQLPLILAWASSIHKCQGMTLDRLHTDLSRAFENGMVYVALSRVRSLEGLYLSGFDPSKIKVHPKVAQFYNKFTSEQDKEGEDDNVSQNKNGSNDNSSQEINGRKYMRAYIK >ONI17825 pep chromosome:Prunus_persica_NCBIv2:G3:19689191:19692555:-1 gene:PRUPE_3G181100 transcript:ONI17825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQALHMMILLLIFLVLLIIHTCAAAAAQEVYYPKAKPGCKEKCGGVLIPYPFGIGGTDCYLDDWFEIECSNQSSKPFLKRAQLEVLNISIGGTLEAMLGTLEVNSPVTFFCNGNGSSKMANLTDSPFVYSQDKNRFTAVSCGFLATMESADFVVGGCRSVCYNQSSAYCDIGINCCQTTIPPYLTMMSLSILYKGENRNADCDNYAFLVDKDWFDRSSAHAVKRRSHVPVVLEWNIINSTSSFALFGSHVTENLDSYFYNYYSYSDVPFCNIYTFDSNNRSALYCFCREGFVGNPYLLQTCQGLGAGLGLLLLLIGAWWGYRFLKKRHTMKRREMFFKRNGGLLLEKQLSSGEVNVEKIKLFKSKELEKSTDNFNTDRILGQGGQGTVYKGMLTDGRIVAVKKSKIVDESQLSDFINEVVILSQINHRNVVQLLGCCLETEVPILVYEFIPNGNLSQYIHEQNEEFPLTWEVRLRIAMEVAGALSYLHVSAAFPIYHRDIKSTNILLDAKYRAKIADFGTSRSVAIDQTHLTTLVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQKPISFRRSQEEGKSLATYFITSMQLDRLFEILDAEVVKGGSKADIILVANLARRCLNLSGRKRPTMREVTAELEGIQMSEKTSNGGQNYEEVEYVRTDPIEPWDVASSSTGTGPGLDGGPSSLHEIPLLPYKSW >ONI17826 pep chromosome:Prunus_persica_NCBIv2:G3:19689384:19692438:-1 gene:PRUPE_3G181100 transcript:ONI17826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQALHMMILLLIFLVLLIIHTCAAAAAQEVYYPKAKPGCKEKCGGVLIPYPFGIGGTDCYLDDWFEIECSNQSSKPFLKRAQLEVLNISIGGTLEAMLGTLEVNSPVTFFCNGNGSSKMANLTDSPFVYSQDKNRFTAVSCGFLATMESADFVVGGCRSVCYNQSSAYCDIGINCCQTTIPPYLTMMSLSILYKGENRNADCDNYAFLVDKDWFDRSSAHAVKRRSHVPVVLEWNIINSTSSFALFGSHVTENLDSYFYNYYSYSDVPFCNIYTFDSNNRSALYCFCREGFVGNPYLLQTCQDIDECIIGHDRCGPHSHCVNIPGSFLCHDKKKTKLIVIIGLGAGLGLLLLLIGAWWGYRFLKKRHTMKRREMFFKRNGGLLLEKQLSSGEVNVEKIKLFKSKELEKSTDNFNTDRILGQGGQGTVYKGMLTDGRIVAVKKSKIVDESQLSDFINEVVILSQINHRNVVQLLGCCLETEVPILVYEFIPNGNLSQYIHEQNEEFPLTWEVRLRIAMEVAGALSYLHVSAAFPIYHRDIKSTNILLDAKYRAKIADFGTSRSVAIDQTHLTTLVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQKPISFRRSQEEGKSLATYFITSMQLDRLFEILDAEVVKGGSKADIILVANLARRCLNLSGRKRPTMREVTAELEGIQMSEKTSNGGQNYEEVEYVRTDPIEPWDVASSSTGTGPGLDGGPSSLHEIPLLPYKSW >ONI19400 pep chromosome:Prunus_persica_NCBIv2:G3:25486244:25489418:1 gene:PRUPE_3G277200 transcript:ONI19400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGGKTLGNSCILLIVIAGMERFAYKGVASNLVTYLTDVVKMSNSAAAKTVNSWCGFTSMLPLLVAPFVDSYWDRYPTILASSFLYVAGLVALTSTALTRASSAANKTSSNSSFLFWSLYLISLGQGGYNPSLQAFGADQLDSEEELPSSKDEQKSNKKSVFFQWWYFGVCSGSLLGITLMSYIQDTFGWILGFAIPMISMITSVVVFSCGSRIYTYRESEAMDYKPIVNMVQSIKATTLKLMKYCRITLPNKSDVTELELQEKPLCHQNFSTNEGLVENPKSGFYVLENAKVLLRLLPIWIMLLMFAVIFQQPPTFFTKQGMTMKRNIGSKFKIPPATLQSAITLSIILLMPLYDKIMIPITRLVTCHEKGISVMQRMGIGMFISVLAMASAAVVETRRLHISGEMEALGAHSETVPFSIFWLLPQYILLGISDIFTVVGMQEFFYSEVPVRMRTMAFGLYTSVFGVGSYLSSLLIATVEAVTSSNGRQSWFSDDMSEARLDKYYWFLASLSALSFLFYVLLSACYRSRRDLDLDNENCK >ONI19401 pep chromosome:Prunus_persica_NCBIv2:G3:25486821:25489420:1 gene:PRUPE_3G277200 transcript:ONI19401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGGKTLGNSCILLIVIAGMERFAYKGVASNLVTYLTDVVKMSNSAAAKTVNSWCGFTSMLPLLVAPFVDSYWDRYPTILASSFLYVAGLVALTSTALTRASSAANKTSSNSSFLFWSLYLISLGQGGYNPSLQAFGADQLDSEEELPSSKDEQKSNKKSVFFQWWYFGVCSGSLLGITLMSYIQDTFGWILGFAIPMISMITSVVVFSCGSRIYTYRESEAMDYKPIVNMVQSIKATTLKLMKYCRITLPNKSDVTELELQEKPLCHQNFSTNEGLVENPKSGFYVLENAKVLLRLLPIWIMLLMFAVIFQQPPTFFTKQGMTMKRNIGSKFKIPPATLQSAITLSIILLMPLYDKIMIPITRLVTCHEKGISVMQRMGIGMFISVLAMASAAVVETRRLHISGEMEALGAHSETVPFSIFWLLPQYILLGISDIFTVVGMQEFFYSEVPVRMRTMAFGLYTSVFGVGSYLSSLLIATVEAVTSSNGRQSWFSDDMSEARLDKYYWFLASLSALSFLFYVLLSACYRSRRDLDLDNENCK >ONI15232 pep chromosome:Prunus_persica_NCBIv2:G3:2336599:2344791:-1 gene:PRUPE_3G031400 transcript:ONI15232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNKVFSHFISMLKSLIPWRSEPANVSRDFWMPDGSCRVCYECDAQFTVFNRKHHCRLCGRVFCAKCTGNSIPAPSGDPRTDREDREKIRVCNYCYKQREQGIAIPDNGISINNIDLSTSPSETSFVSFKSCCTASSSSFTLNSMPYSAGPCQRHQDSPGFSPCQSSLMSSSTEKQSKFASWRSNDFVADIGDPSTNHYEISTTRSDDDDVEYGVYQSDSKNYPNANDYFSHIEFDEMSNDDGSNKVHPDGENIDAKNLSSSSLLHSFDSQSLEEIPQLGKKEDEHDTGDECEASSSLYSPGDVDAEPVDFENNGLLWLPPEPEDEEDERETVLVDDDDDGDATGEWGRLRASSSFGSGEYRNRDRSGEEHKRAMKNVVDGHFRALVAQLLQVENLPIGQEGESEGWLEIITSLSWEAATLLKPDMSKGGGMDPGGYVKVKCIASGSRCDSMVVKGVVCKKNVAHRRMTSKIEKPRFMILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDAHHPDVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSQKLGYCDLFHVERFLEDLGSAGQGGKKLVKTLMYFEGCPKPLGCTILLRGANGDELKKVKHVVQYGIFAAYHLGLETSFLADEGASLPELPLNSPITVALPDKASSIERSISTVPGFSVAVNGQSPGVQPHNEPRRSNSVPVSDLNSAINSIQPCVLSGRTSLPTHPTSRFTNSTALYSAASGNVSDSYHNSLSPYHIFDGQNEMGSKESSVVKASAIKNGSDMMSNHLIVNSMRPLEALGQGILANTQNDQGIGNQLGSSDNSLLHQDGNTQVEDPEPMNEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDLSYQCHSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKEGRIWMWHRCLRCPRINGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPAKLDFNYEKQEWIQKETDEVVERAELLFSEVLNALRQIAEKRSGSGSHTSGMVTPESRHQIVELEGMLQKEKVEFEELLQKTLNREARKGQPVIDILEINRLRRQLLFQSYMWDHRLIYAANLDNNSLQDGLNSSVPDERKPVVNNGNIADMNVAIKPGKCYNSCDSFLVDAMLNKEFDHGGDFDSTADTDMVYKGRDIGQDSNNEKEDEANLPGEVSICDQSEPLKPRTSMRKTLSDGQFPIMDLSDTLDTAWTGENQSGIGIAKDNTCAVPVLAMADSNASPVKEGLNLDHAEYQNGPKVAHSVSPALSTKGSENMEDSVSWLKMPFLNFYRGFNKNFLSAAQKLDTLGEYNPVYVSSFRELELEGGARLLLPVGVNDTVVPVYDDEPTSLIAYALVSPDYHLQTSDEGDASFSDSLTMQSHHPDDDTASESHRSFGSTEESILSLSGSRNSLGLDPLSYTKALHARVSFGDDGPLGKVKYSVTCYYANRFEALRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTSDDRFIIKQVTKTELESFIKFAPGYFKYLSESIGTGSPTCLAKILGIYQVTSKHLKGGKESKTDVLVMENLLFGRNVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIVPSTSHSDFGEDAHGGNSVE >ONI15229 pep chromosome:Prunus_persica_NCBIv2:G3:2336599:2344791:-1 gene:PRUPE_3G031400 transcript:ONI15229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNKVFSHFISMLKSLIPWRSEPANVSRDFWMPDGSCRVCYECDAQFTVFNRKHHCRLCGRVFCAKCTGNSIPAPSGDPRTDREDREKIRVCNYCYKQREQGIAIPDNGISINNIDLSTSPSETSFVSFKSCCTASSSSFTLNSMPYSAGPCQRHQDSPGFSPCQSSLMSSSTEKQSKFASWRSNDFVADIGDPSTNHYEISTTRSDDDDVEYGVYQSDSKNYPNANDYFSHIEFDEMSNDDGSNKSLEEIPQLGKKEDEHDTGDECEASSSLYSPGDVDAEPVDFENNGLLWLPPEPEDEEDERETVLVDDDDDGDATGEWGRLRASSSFGSGEYRNRDRSGEEHKRAMKNVVDGHFRALVAQLLQVENLPIGQEGESEGWLEIITSLSWEAATLLKPDMSKGGGMDPGGYVKVKCIASGSRCDSMVVKGVVCKKNVAHRRMTSKIEKPRFMILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDAHHPDVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSQKLGYCDLFHVERFLEDLGSAGQGGKKLVKTLMYFEGCPKPLGCTILLRGANGDELKKVKHVVQYGIFAAYHLGLETSFLADEGASLPELPLNSPITVALPDKASSIERSISTVPGFSVAVNGQSPGVQPHNEPRRSNSVPVSDLNSAINSIQPCVLSGRTSLPTHPTSRFTNSTALYSAASGNVSDSYHNSLSPYHIFDGQNEMGSKESSVVKASAIKNGSDMMSNHLIVNSMRPLEALGQGILANTQNDQGIGNQLGSSDNSLLHQDGNTQVEDPEPMNEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDLSYQCHSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKEGRIWMWHRCLRCPRINGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPAKLDFNYEKQEWIQKETDEVVERAELLFSEVLNALRQIAEKRSGSGSHTSGMVTPESRHQIVELEGMLQKEKVEFEELLQKTLNREARKGQPVIDILEINRLRRQLLFQSYMWDHRLIYAANLDNNSLQDGLNSSVPDERKPVVNNGNIADMNVAIKPGKCYNSCDSFLVDAMLNKEFDHGGDFDSTADTDMVYKGRDIGQDSNNEKEDEANLPGEVSICDQSEPLKPRTSMRKTLSDGQFPIMDLSDTLDTAWTGENQSGIGIAKDNTCAVPVLAMADSNASPVKEGLNLDHAEYQNGPKVAHSVSPALSTKGSENMEDSVSWLKMPFLNFYRGFNKNFLSAAQKLDTLGEYNPVYVSSFRELELEGGARLLLPVGVNDTVVPVYDDEPTSLIAYALVSPDYHLQTSDEGDASFSDSLTMQSHHPDDDTASESHRSFGSTEESILSLSGSRNSLGLDPLSYTKALHARVSFGDDGPLGKVKYSVTCYYANRFEALRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTSDDRFIIKQVTKTELESFIKFAPGYFKYLSESIGTGSPTCLAKILGIYQVTSKHLKGGKESKTDVLVMENLLFGRNVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIVPSTSHSDFGEDAHGGNSVE >ONI15231 pep chromosome:Prunus_persica_NCBIv2:G3:2335950:2346132:-1 gene:PRUPE_3G031400 transcript:ONI15231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNKVFSHFISMLKSLIPWRSEPANVSRDFWMPDGSCRVCYECDAQFTVFNRKHHCRLCGRVFCAKCTGNSIPAPSGDPRTDREDREKIRVCNYCYKQREQGIAIPDNGISINNIDLSTSPSETSFVSFKSCCTASSSSFTLNSMPYSAGPCQRHQDSPGFSPCQSSLMSSSTEKQSKFASWRSNDFVADIGDPSTNHYEISTTRSDDDDVEYGVYQSDSKNYPNANDYFSHIEFDEMSNDDGSNKVHPDGENIDAKNLSSSSLLHSFDSQSLEEIPQLGKKEDEHDTGDECEASSSLYSPGDVDAEPVDFENNGLLWLPPEPEDEEDERETVLVDDDDDGDATGEWGRLRASSSFGSGEYRNRDRSGEEHKRAMKNVVDGHFRALVAQLLQVENLPIGQEGESEGWLEIITSLSWEAATLLKPDMSKGGGMDPGGYVKVKCIASGSRCDSMVVKGVVCKKNVAHRRMTSKIEKPRFMILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDAHHPDVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSQKLGYCDLFHVERFLEDLGSAGQGGKKLVKTLMYFEGCPKPLGCTILLRGANGDELKKVKHVVQYGIFAAYHLGLETSFLADEGASLPELPLNSPITVALPDKASSIERSISTVPGFSVAVNGQSPGVQPHNEPRRSNSVPVSDLNSAINSIQPCVLSGRTSLPTHPTSRFTNSTALYSAASGNVSDSYHNSLSPYHIFDGQNEMGSKESSVVKASAIKNGSDMMSNHLIVNSMRPLEALGQGILANTQNDQGIGNQLGSSDNSLLHQDGNTQVEDPEPMNEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDLSYQCHSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKEGRIWMWHRCLRCPRINGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPAKLDFNYEKQEWIQKETDEVVERAELLFSEVLNALRQIAEKRSGSGSHTSGMVTPESRHQIVELEGMLQKEKVEFEELLQKTLNREARKGQPVIDILEINRLRRQLLFQSYMWDHRLIYAANLDNNSLQDGLNSSVPDERKPVVNNGNIADMNVAIKPGKCYNSCDSFLVDAMLNKEFDHGGDFDSTADTDMVYKGRDIGQDSNNEKEDEANLPGEVSICDQSEPLKPRTSMRKTLSDGQFPIMDLSDTLDTAWTGENQSGIGIAKDNTCAVPVLAMADSNASPVKEGLNLDHAEYQNGPKVAHSVSPALSTKGSENMEDSVSWLKMPFLNFYRGFNKNFLSAAQKLDTLGEYNPVYVSSFRELELEGGARLLLPVGVNDTVVPVYDDEPTSLIAYALVSPDYHLQTSDEGDASFSDSLTMQSHHPDDDTASESHRSFGSTEESILSLSGSRNSLGLDPLSYTKALHARVSFGDDGPLGKVKYSVTCYYANRFEALRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTSDDRFIIKQVTKTELESFIKFAPGYFKYLSESIGTGSPTCLAKILGIYQVTSKHLKGGKESKTDVLVMENLLFGRNVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIVPSTSHSDFGEDAHGGNSVE >ONI15230 pep chromosome:Prunus_persica_NCBIv2:G3:2336599:2344791:-1 gene:PRUPE_3G031400 transcript:ONI15230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNKVFSHFISMLKSLIPWRSEPANVSRDFWMPDGSCRVCYECDAQFTVFNRKHHCRLCGRVFCAKCTGNSIPAPSGDPRTDREDREKIRVCNYCYKQREQGIAIPDNGISINNIDLSTSPSETSFVSFKSCCTASSSSFTLNSMPYSAGPCQRHQDSPGFSPCQSSLMSSSTEKQSKFASWRSNDFVADIGDPSTNHYEISTTRSDDDDVEYGVYQSDSKNYPNANDYFSHIEFDEMSNDDGSNKVHPDGENIDAKNLSSSSLLHSFDSQSLEEIPQLGKKEDEHDTGDECEASSSLYSPGDVDAEPVDFENNGLLWLPPEPEDEEDERETVLVDDDDDGDATGEWGRLRASSSFGSGEYRNRDRSGEEHKRAMKNVVDGHFRALVAQLLQVENLPIGQEGESEGWLEIITSLSWEAATLLKPDMSKGGGMDPGGYVKVKCIASGSRCDSMVVKGVVCKKNVAHRRMTSKIEKPRFMILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDAHHPDVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSQKLGYCDLFHVERFLEDLGSAGQGGKKLVKTLMYFEGCPKPLGCTILLRGANGDELKKVKHVVQYGIFAAYHLGLETSFLADEGASLPELPLNSPITVALPDKASSIERSISTVPGFSVAVNGQSPGVQPHNEPRRSNSVPVSDLNSAINSIQPCVLSGRTSLPTHPTSRFTNSTALYSAASGNVSDSYHNSLSPYHIFDGQNEMGSKESSVVKASAIKNGSDMMSNHLIVNSMRPLEALGQGILANTQNDQGIGNQLGSSDNSLLHQDGNTQVEDPEPMNEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDLSYQCHSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKEGRIWMWHRCLRCPRINGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPAKLDFNYEKQEWIQKETDEVVERAELLFSEVLNALRQIAEKRSGSGSHTSGMVTPESRHQIVELEGMLQKEKVEFEELLQKTLNREARKGQPVIDILEINRLRRQLLFQSYMWDHRLIYAANLDNNSLQDGLNSSVPDERKPVVNNGNIADMNVAIKPGKCYNSCDSFLVDAMLNKEFDHGGDFDSTADTDMVYKGRDIGQDSNNEKEDEANLPGEVSICDQSEPLKPRTSMRKTLSDGQFPIMDLSDTLDTAWTGENQSGIGIAKDNTCAVPVLAMADSNASPVKEGLNLDHAEYQNGPKVAHSVSPALSTKGSENMEDSVSWLKMPFLNFYRGFNKNFLSAAQKLDTLGEYNPVYVSSFRELELEGGARLLLPVGVNDTVVPVYDDEPTSLIAYALVSPDYHLQTSDEGDASFSDSLTMQSHHPDDDTASESHRSFGSTEESILSLSGSRNSLGLDPLSYTKALHARVSFGDDGPLGKVKYSVTCYYANRFEALRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTSDDRFIIKQVTKTELESFIKFAPGYFKYLSESIGTGSPTCLAKILGIYQVTSKHLKGGKESKTDVLVMENLLFGRNVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIVPSTSHSDFGEDAHGGNSVE >ONI15228 pep chromosome:Prunus_persica_NCBIv2:G3:2335950:2346131:-1 gene:PRUPE_3G031400 transcript:ONI15228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNKVFSHFISMLKSLIPWRSEPANVSRDFWMPDGSCRVCYECDAQFTVFNRKHHCRLCGRVFCAKCTGNSIPAPSGDPRTDREDREKIRVCNYCYKQREQGIAIPDNGISINNIDLSTSPSETSFVSFKSCCTASSSSFTLNSMPYSAGPCQRHQDSPGFSPCQSSLMSSSTEKQSKFASWRSNDFVADIGDPSTNHYEISTTRSDDDDVEYGVYQSDSKNYPNANDYFSHIEFDEMSNDDGSNKSLEEIPQLGKKEDEHDTGDECEASSSLYSPGDVDAEPVDFENNGLLWLPPEPEDEEDERETVLVDDDDDGDATGEWGRLRASSSFGSGEYRNRDRSGEEHKRAMKNVVDGHFRALVAQLLQVENLPIGQEGESEGWLEIITSLSWEAATLLKPDMSKGGGMDPGGYVKVKCIASGSRCDSMVVKGVVCKKNVAHRRMTSKIEKPRFMILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDAHHPDVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSQKLGYCDLFHVERFLEDLGSAGQGGKKLVKTLMYFEGCPKPLGCTILLRGANGDELKKVKHVVQYGIFAAYHLGLETSFLADEGASLPELPLNSPITVALPDKASSIERSISTVPGFSVAVNGQSPGVQPHNEPRRSNSVPVSDLNSAINSIQPCVLSGRTSLPTHPTSRFTNSTALYSAASGNVSDSYHNSLSPYHIFDGQNEMGSKESSVVKASAIKNGSDMMSNHLIVNSMRPLEALGQGILANTQNDQGIGNQLGSSDNSLLHQDGNTQVEDPEPMNEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDLSYQCHSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKEGRIWMWHRCLRCPRINGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPAKLDFNYEKQEWIQKETDEVVERAELLFSEVLNALRQIAEKRSGSGSHTSGMVTPESRHQIVELEGMLQKEKVEFEELLQKTLNREARKGQPVIDILEINRLRRQLLFQSYMWDHRLIYAANLDNNSLQDGLNSSVPDERKPVVNNGNIADMNVAIKPGKCYNSCDSFLVDAMLNKEFDHGGDFDSTADTDMVYKGRDIGQDSNNEKEDEANLPGEVSICDQSEPLKPRTSMRKTLSDGQFPIMDLSDTLDTAWTGENQSGIGIAKDNTCAVPVLAMADSNASPVKEGLNLDHAEYQNGPKVAHSVSPALSTKGSENMEDSVSWLKMPFLNFYRGFNKNFLSAAQKLDTLGEYNPVYVSSFRELELEGGARLLLPVGVNDTVVPVYDDEPTSLIAYALVSPDYHLQTSDEGDASFSDSLTMQSHHPDDDTASESHRSFGSTEESILSLSGSRNSLGLDPLSYTKALHARVSFGDDGPLGKVKYSVTCYYANRFEALRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTSDDRFIIKQVTKTELESFIKFAPGYFKYLSESIGTGSPTCLAKILGIYQVTSKHLKGGKESKTDVLVMENLLFGRNVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIVPSTSHSDFGEDAHGGNSVE >ONI15233 pep chromosome:Prunus_persica_NCBIv2:G3:2335950:2346133:-1 gene:PRUPE_3G031400 transcript:ONI15233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNKVFSHFISMLKSLIPWRSEPANVSRDFWMPDGSCRVCYECDAQFTVFNRKHHCRLCGRVFCAKCTGNSIPAPSGDPRTDREDREKIRVCNYCYKQREQGIAIPDNGISINNIDLSTSPSETSFVSFKSCCTASSSSFTLNSMPYSAGPCQRHQDSPGFSPCQSSLMSSSTEKQSKFASWRSNDFVADIGDPSTNHYEISTTRSDDDDVEYGVYQSDSKNYPNANDYFSHIEFDEMSNDDGSNKVHPDGENIDAKNLSSSSLLHSFDSQSLEEIPQLGKKEDEHDTGDECEASSSLYSPGDVDAEPVDFENNGLLWLPPEPEDEEDERETVLVDDDDDGDATGEWGRLRASSSFGSGEYRNRDRSGEEHKRAMKNVVDGHFRALVAQLLQVENLPIGQEGESEGWLEIITSLSWEAATLLKPDMSKGGGMDPGGYVKVKCIASGSRCDSMVVKGVVCKKNVAHRRMTSKIEKPRFMILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDAHHPDVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSQKLGYCDLFHVERFLEDLGSAGQGGKKLVKTLMYFEGCPKPLGCTILLRGANGDELKKVKHVVQYGIFAAYHLGLETSFLADEGASLPELPLNSPITVALPDKASSIERSISTVPGFSVAVNGQSPGVQPHNEPRRSNSVPVSDLNSAINSIQPCVLSGRTSLPTHPTSRFTNSTALYSAASGNVSDSYHNSLSPYHIFDGQNEMGSKESSVVKASAIKNGSDMMSNHLIVNSMRPLEALGQGILANTQNDQGIGNQLGSSDNSLLHQDGNTQVEDPEPMNEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDLSYQCHSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKEGRIWMWHRCLRCPRINGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPAKLDFNYEKQEWIQKETDEVVERAELLFSEVLNALRQIAEKRSGSGSHTSGMVTPESRHQIVELEGMLQKEKVEFEELLQKTLNREARKGQPVIDILEINRLRRQLLFQSYMWDHRLIYAANLDNNSLQDGLNSSVPDERKPVVNNGNIADMNVAIKPGKCYNSCDSFLVDAMLNKEFDHGGDFDSTADTDMVYKGRDIGQDSNNEKEDEANLPGEVSICDQSEPLKPRTSMRKTLSDGQFPIMDLSDTLDTAWTGENQSGIGIAKDNTCAVPVLAMADSNASPVKEGLNLDHAEYQNGPKVAHSVSPALSTKGSENMEDSVSWLKMPFLNFYRGFNKNFLSAAQKLDTLGEYNPVYVSSFRELELEGGARLLLPVGVNDTVVPVYDDEPTSLIAYALVSPDYHLQTSDEGDASFSDSLTMQSHHPDDDTASESHRSFGSTEESILSLSGSRNSLGLDPLSYTKALHARVSFGDDGPLGKVKYSVTCYYANRFEALRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTSDDRFIIKQVTKTELESFIKFAPGYFKYLSESIGTGSPTCLAKILGIYQVTSKHLKGGKESKTDVLVMENLLFGRNVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIVPSTSHSDFGEDAHGGNSVE >ONI15227 pep chromosome:Prunus_persica_NCBIv2:G3:2335950:2346141:-1 gene:PRUPE_3G031400 transcript:ONI15227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNKVFSHFISMLKSLIPWRSEPANVSRDFWMPDGSCRVCYECDAQFTVFNRKHHCRLCGRVFCAKCTGNSIPAPSGDPRTDREDREKIRVCNYCYKQREQGIAIPDNGISINNIDLSTSPSETSFVSFKSCCTASSSSFTLNSMPYSAGPCQRHQDSPGFSPCQSSLMSSSTEKQSKFASWRSNDFVADIGDPSTNHYEISTTRSDDDDVEYGVYQSDSKNYPNANDYFSHIEFDEMSNDDGSNKSLEEIPQLGKKEDEHDTGDECEASSSLYSPGDVDAEPVDFENNGLLWLPPEPEDEEDERETVLVDDDDDGDATGEWGRLRASSSFGSGEYRNRDRSGEEHKRAMKNVVDGHFRALVAQLLQVENLPIGQEGESEGWLEIITSLSWEAATLLKPDMSKGGGMDPGGYVKVKCIASGSRCDSMVVKGVVCKKNVAHRRMTSKIEKPRFMILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDAHHPDVLLVEKSVSRYAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSQKLGYCDLFHVERFLEDLGSAGQGGKKLVKTLMYFEGCPKPLGCTILLRGANGDELKKVKHVVQYGIFAAYHLGLETSFLADEGASLPELPLNSPITVALPDKASSIERSISTVPGFSVAVNGQSPGVQPHNEPRRSNSVPVSDLNSAINSIQPCVLSGRTSLPTHPTSRFTNSTALYSAASGNVSDSYHNSLSPYHIFDGQNEMGSKESSVVKASAIKNGSDMMSNHLIVNSMRPLEALGQGILANTQNDQGIGNQLGSSDNSLLHQDGNTQVEDPEPMNEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDLSYQCHSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKEGRIWMWHRCLRCPRINGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPAKLDFNYEKQEWIQKETDEVVERAELLFSEVLNALRQIAEKRSGSGSHTSGMVTPESRHQIVELEGMLQKEKVEFEELLQKTLNREARKGQPVIDILEINRLRRQLLFQSYMWDHRLIYAANLDNNSLQDGLNSSVPDERKPVVNNGNIADMNVAIKPGKCYNSCDSFLVDAMLNKEFDHGGDFDSTADTDMVYKGRDIGQDSNNEKEDEANLPGEVSICDQSEPLKPRTSMRKTLSDGQFPIMDLSDTLDTAWTGENQSGIGIAKDNTCAVPVLAMADSNASPVKEGLNLDHAEYQNGPKVAHSVSPALSTKGSENMEDSVSWLKMPFLNFYRGFNKNFLSAAQKLDTLGEYNPVYVSSFRELELEGGARLLLPVGVNDTVVPVYDDEPTSLIAYALVSPDYHLQTSDEGDASFSDSLTMQSHHPDDDTASESHRSFGSTEESILSLSGSRNSLGLDPLSYTKALHARVSFGDDGPLGKVKYSVTCYYANRFEALRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTSDDRFIIKQVTKTELESFIKFAPGYFKYLSESIGTGSPTCLAKILGIYQVTSKHLKGGKESKTDVLVMENLLFGRNVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIVPSTSHSDFGEDAHGGNSVE >ONI19527 pep chromosome:Prunus_persica_NCBIv2:G3:25754287:25757286:1 gene:PRUPE_3G283500 transcript:ONI19527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILSCHHSASPATSSKFISPSIPTNRTRFSISHKQFGTRSSSPLPIKCQIQNRQQKGKSFSIKECAISIALAVGLVTGVPALEWSSNAYAANPVLPDLSVLISGPPIKDPGALLRYALPINNKAIREVQKPLEDITESLKVAGVKALDSVERNLRQASRALKQGKALIVSGLAESKKDHGVELLGKLEAGMDELQQIVEDRNRDGVAEKQKELLQYVGGVEEDMVDGFPYEVPEEYQSMPLLKGRATVDMKVKVKDNPSLTDCVFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEITVEGEKLPFYGSTLEELGLYKAQTKLPFNAFGTMAMARDEFENNSASSQIFWLLKESELTPSNSNILDGRYAVFGYVTQNEDFLADLKVGDVIESIQVVSGLENLANPSYKIAG >ONI19528 pep chromosome:Prunus_persica_NCBIv2:G3:25754044:25757385:1 gene:PRUPE_3G283500 transcript:ONI19528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILSCHHSASPATSSKFISPSIPTNRTRFSISHKQFGTRSSSPLPIKCQIQNRQKGKSFSIKECAISIALAVGLVTGVPALEWSSNAYAANPVLPDLSVLISGPPIKDPGALLRYALPINNKAIREVQKPLEDITESLKVAGVKALDSVERNLRQASRALKQGKALIVSGLAESKKDHGVELLGKLEAGMDELQQIVEDRNRDGVAEKQKELLQYVGGVEEDMVDGFPYEVPEEYQSMPLLKGRATVDMKVKVKDNPSLTDCVFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEITVEGEKLPFYGSTLEELGLYKAQTKLPFNAFGTMAMARDEFENNSASSQIFWLLKESELTPSNSNILDGRYAVFGYVTQNEDFLADLKVGDVIESIQVVSGLENLANPSYKIAG >ONI19296 pep chromosome:Prunus_persica_NCBIv2:G3:25156623:25159462:-1 gene:PRUPE_3G270100 transcript:ONI19296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNGIKEIQLAQKSSSASIGLGSSTPSSSGTSGKSKRVWVWTESKQVMTASVERGWNTFIFSSRSRELADEWSSIALIHPLFIQEGAIFDGENRSVATILEVSNPQELEQLQPDKGLGENVVVDLLDWQVIPAENIVAAFQGSEKTVFAISKTPLEAQVFFEALEQGLGGVVLKVEDVKAILDLKDYFDRRDEVSNILSLTKATVTSVQVAGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGGKTCYLSELKSGKEVILVDQKGHQRTAIVGRVKIETRPLILVEAKLQRDSVNQTLYSILVQNAETVALVCPSKGIEVQKTAIPVTSLKVGDEILVRLQGGARHTGIEIQEFIVEK >ONI19298 pep chromosome:Prunus_persica_NCBIv2:G3:25157334:25159381:-1 gene:PRUPE_3G270100 transcript:ONI19298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHSACVAGIRCFTPTTDTWNTCRLISSHKYSMEASNGIKEIQLAQKSSSASIGLGSSTPSSSGTSGKSKRVWVWTESKQVMTASVERGWNTFIFSSRSRELADEWSSIALIHPLFIQEGAIFDGENRSVATILEVSNPQELEQLQPDKGLGENVVVDLLDWQVIPAENIVAAFQGSEKTVFAISKTPLEAQVFFEALEQGLGGVVLKVEDVKAILDLKDYFDRRDEVSNILSLTKATVTSVQVAGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGGKTCYLSELKSGKEVILVDQKGHQRTAIVGRVKIETRPLILVEAKVCNSCNHIALLKDLKLYSYWC >ONI19299 pep chromosome:Prunus_persica_NCBIv2:G3:25157796:25159175:-1 gene:PRUPE_3G270100 transcript:ONI19299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNGIKEIQLAQKSSSASIGLGSSTPSSSGTSGKSKRVWVWTESKQVMTASVERGWNTFIFSSRSRELADEWSSIALIHPLFIQEGAIFDGENRSVATILEVSNPQELEQLQPDKGLGENVVVDLLDWQVIPAENIVAAFQGSEKTVFAISKTPLEAQVFFEALEQGLGGVVLKVEDVKAILDLKDYFDRRDEVSNILSLTKATVTSVQVAGMGDRVCVDLCSLMRPGEGLLVCYNSIFGYCVALSIVIELVLCYFTILIA >ONI19294 pep chromosome:Prunus_persica_NCBIv2:G3:25155695:25159461:-1 gene:PRUPE_3G270100 transcript:ONI19294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHSACVAGIRCFTPTTDTWNTCRLISSHKYSMEASNGIKEIQLAQKSSSASIGLGSSTPSSSGTSGKSKRVWVWTESKQVMTASVERGWNTFIFSSRSRELADEWSSIALIHPLFIQEGAIFDGENRSVATILEVSNPQELEQLQPDKGLGENVVVDLLDWQVIPAENIVAAFQGSEKTVFAISKTPLEAQVFFEALEQGLGGVVLKVEDVKAILDLKDYFDRRDEVSNILSLTKATVTSVQVAGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGGKTCYLSELKSGKEVILVDQKGHQRTAIVGRVKIETRPLILVEAKLQRDSVNQTLYSILVQNAETVALVCPSKGIEVQKTAIPVTSLKVGDEILVRLQGGARHTGIEIQEFIVEK >ONI19295 pep chromosome:Prunus_persica_NCBIv2:G3:25156653:25159445:-1 gene:PRUPE_3G270100 transcript:ONI19295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNGIKEIQLAQKSSSASIGLGSSTPSSSGTSGKSKRVWVWTESKQVMTASVERGWNTFIFSSRSRELADEWSSIALIHPLFIQEGAIFDGENRSVATILEVSNPQELEQLQPDKGLGENVVVDLLDWQVIPAENIVAAFQGSEKTVFAISKTPLEAQVFFEALEQGLGGVVLKVEDVKAILDLKDYFDRRDEVSNILSLTKATVTSVQVAGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGGKTCYLSELKSGKEVILVDQKGHQRTAIVGRVKIETRPLILVEAKLQRDSVNQTLYSILVQNAETVALVCPSKGIEVQKTAIPVTSLKVGDEILVRLQGGARHTGIEIQEFIVEK >ONI19300 pep chromosome:Prunus_persica_NCBIv2:G3:25157796:25159175:-1 gene:PRUPE_3G270100 transcript:ONI19300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNGIKEIQLAQKSSSASIGLGSSTPSSSGTSGKSKRVWVWTESKQVMTASVERGWNTFIFSSRSRELADEWSSIALIHPLFIQEGAIFDGENRSVATILEVSNPQELEQLQPDKGLGENVVVDLLDWQVIPAENIVAAFQGSEKTVFAISKTPLEAQVFFEALEQGLGGVVLKVEDVKAILDLKDYFDRRDEVSNILSLTKATVTSVQVAGMGDRVCVDLCSLMRPGEGLLVCYNSIFGYCVALSIVIELVLCYFTILIA >ONI19297 pep chromosome:Prunus_persica_NCBIv2:G3:25155695:25159458:-1 gene:PRUPE_3G270100 transcript:ONI19297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHSACVAGIRCFTPTTDTWNTCRLISSHKYSMEASNGIKEIQLAQKSSSASIGLGSSTPSSSGTSGKSKRVWVWTESKQVMTASVERGWNTFIFSSRSRELADEWSSIALIHPLFIQEGAIFDGENRSVATILEVSNPQELEQLQPDKGLGENVVVDLLDWQVIPAENIVAAFQGSEKTVFAISKTPLEAQVFFEALEQGLGGVVLKVEDVKAILDLKDYFDRRDEVSNILSLTKATVTSVQVAGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGGKTCYLSELKSAPERFS >ONI16612 pep chromosome:Prunus_persica_NCBIv2:G3:8976199:8977332:-1 gene:PRUPE_3G110000 transcript:ONI16612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPEHLTADILSRLPAKSLVRFSSVRKEWQALIRDPHFISQHLNLNIAKEDQSGRLLVKYRCNVTRKNVISLLLHGTHGYMLSKLEIPSSVMDSHHLKLVGSCNGLLCLAHDKSAHLWSPMILLWNPAIREFSVLPDSVIDSVNDDDNVQARGIAHGFGYHPLIDDYKVVRIVSLSCFGNAWIRAEVYSSKMDCWQEVNTFHFEIYEHSCTALNGLLYWIAYGKGDRELIVSFDMCDDVFGELQLPDLSFLDTPMCTKLAELKQSLYLIVYTFSGRQREIYVWKMIEHDAEVFWKREWTIGPLLGIERPLGCGLYGEIYMESRKGDLVLYNPSSEEAKHIPICGLRCSLEVHFYVESLVSTKGQREVLQETNKRIM >ONI16609 pep chromosome:Prunus_persica_NCBIv2:G3:8976199:8977332:-1 gene:PRUPE_3G110000 transcript:ONI16609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPEHLTADILSRLPAKSLVRFSSVRKEWQALIRDPHFISQHLNLNIAKEDQSGRLLVKYRCNVTRKNVISLLLHGTHGYMLSKLEIPSSVMDSHHLKLVGSCNGLLCLAHDKSAHLWSPMILLWNPAIREFSVLPDSVIDSVNDDDNVQARGIAHGFGYHPLIDDYKVVRIVSLSCFGNAWIRAEVYSSKMDCWQEVNTFHFEIYEHSCTALNGLLYWIAYGKGDRELIVSFDMCDDVFGELQLPDLSFLDTPMCTKLAELKQSLYLIVYTFSGRQREIYVWKMIEHDAEVFWKREWTIGPLLGIERPLGCGLYGEIYMESRKGDLVLYNPSSEEAKHIPICGLRCSLEVHFYVESLVSTKGQREVLQETNKRIM >ONI16611 pep chromosome:Prunus_persica_NCBIv2:G3:8974442:8977697:-1 gene:PRUPE_3G110000 transcript:ONI16611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPEHLTADILSRLPAKSLVRFSSVRKEWQALIRDPHFISQHLNLNIAKEDQSGRLLVKYRCNVTRKNVISLLLHGTHGYMLSKLEIPSSVMDSHHLKLVGSCNGLLCLAHDKSAHLWSPMILLWNPAIREFSVLPDSVIDSVNDDDNVQARGIAHGFGYHPLIDDYKVVRIVSLSCFGNAWIRAEVYSSKMDCWQEVNTFHFEIYEHSCTALNGLLYWIAYGKGDRELIVSFDMCDDVFGELQLPDLSFLDTPMCTKLAELKQSLYLIVYTFSGRQREIYVWKMIEHDAEVFWKREWTIGPLLGIERPLGCGLYGEIYMESRKGDLVLYNPSSEEAKHIPICGLRCSLEVHFYVESLVSTKGQREVLQETNKRIM >ONI16610 pep chromosome:Prunus_persica_NCBIv2:G3:8974471:8977654:-1 gene:PRUPE_3G110000 transcript:ONI16610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPEHLTADILSRLPAKSLVRFSSVRKEWQALIRDPHFISQHLNLNIAKEDQSGRLLVKYRCNVTRKNVISLLLHGTHGYMLSKLEIPSSVMDSHHLKLVGSCNGLLCLAHDKSAHLWSPMILLWNPAIREFSVLPDSVIDSVNDDDNVQARGIAHGFGYHPLIDDYKVVRIVSLSCFGNAWIRAEVYSSKMDCWQEVNTFHFEIYEHSCTALNGLLYWIAYGKGDRELIVSFDMCDDVFGELQLPDLSFLDTPMCTKLAELKQSLYLIVYTFSGRQREIYVWKMIEHDAEVFWKREWTIGPLLGIERPLGCGLYGEIYMESRKGDLVLYNPSSEEAKHIPICGLRCSLEVHFYVESLVSTKGQREVLQETNKRIM >ONI16608 pep chromosome:Prunus_persica_NCBIv2:G3:8974471:8977715:-1 gene:PRUPE_3G110000 transcript:ONI16608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPEHLTADILSRLPAKSLVRFSSVRKEWQALIRDPHFISQHLNLNIAKEDQSGRLLVKYRCNVTRKNVISLLLHGTHGYMLSKLEIPSSVMDSHHLKLVGSCNGLLCLAHDKSAHLWSPMILLWNPAIREFSVLPDSVIDSVNDDDNVQARGIAHGFGYHPLIDDYKVVRIVSLSCFGNAWIRAEVYSSKMDCWQEVNTFHFEIYEHSCTALNGLLYWIAYGKGDRELIVSFDMCDDVFGELQLPDLSFLDTPMCTKLAELKQSLYLIVYTFSGRQREIYVWKMIEHDAEVFWKREWTIGPLLGIERPLGCGLYGEIYMESRKGDLVLYNPSSEEAKHIPICGLRCSLEVHFYVESLVSTKGQREVLQETNKRIM >ONI16265 pep chromosome:Prunus_persica_NCBIv2:G3:6570879:6574415:-1 gene:PRUPE_3G088300 transcript:ONI16265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRRQAEKREEAVALAIDKDRGSEYAIKWIAEHLLTRPGQSLTLVHVKQPTAAPALPNISKSGELPREVAKMIKYQVDAEAKDLFLPFRCFCTKKAIHWNEVILENADISKALINYVTANSIEILVLGAPSRNAFGFLRFKTRDIPSAVSKGAPDFCTVYVIGRGKITHSRPATGRLTPKPPLHNQIQQQPSQVYELDNRSSTSSHSGIKFIDMSSGRNEFSSSSIESGRSWSSTSRDEMENEMRRLRLELKQTMEMYSMACKEAVTAKHKEKELHQWKLKGECRIEEARQAEESAFALAQKEKLKSRAAIEAAKAAEKIAQLETEKRRKAEMKALRKDEGRKKSLDGLRYRKYTIEDIEAATNEFSPARKIGEGGYGPVFRGELDHTPVAIKVLRPDAAQGRSQFQKEVEVLSCIRHPNMVLLVGACPEYGCLVYEYMAKGSLEDRLFQRGNTPVIPWQLRFRIAAEIGTGLLFLHQTKPEPVVHRDLKPGNILLDHNYVSKISDVGLARLVPASVANCVSQYRITSMAGTFCYIDPEYQTTGMLGTKSDIFSLGVLLLQLITARPPMGLAHLVEEAIENGTFTEMLDPAVSDWPVEEAFKFAKLALQCTEMRRKDRPDLAKVVLPELNRLREIAEDCMNPFMLGGNGALSPIHDVMFDRHLSEYGYDSPRSPSSTSSYAGRLYR >ONI18164 pep chromosome:Prunus_persica_NCBIv2:G3:20929340:20932261:-1 gene:PRUPE_3G200500 transcript:ONI18164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDRKFSEKMQHAMCYNSHFIDADSEFHSMPRVCENARFLRKQFMEENEQALELERRRLSEMHLAAKPLSHHFYYGYPMDEFKHPEAHAEQAEVPSAKEFTYLLDVLNNGSTSEGKIRHRNSNYNDQDSSQGINLPESPFASPIVSGISTVM >ONI18170 pep chromosome:Prunus_persica_NCBIv2:G3:20928795:20933930:-1 gene:PRUPE_3G200500 transcript:ONI18170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDSFQRKCSMLCATIHISLMRIQSFTLCPEFVRMQDF >ONI18167 pep chromosome:Prunus_persica_NCBIv2:G3:20929340:20932261:-1 gene:PRUPE_3G200500 transcript:ONI18167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDRKFSEKMQHAMCYNSHFIDADSEFHSMPRVCENARFLRKQFMEENEQALELERRRLSEMHLAAKPLSHHFYYGYPMDEFKHPEAHAEQAEVPSAKEFTYLLDVLNNGSTSEGKIRHRNSNYNDQDSSQGINLPESPFASPIVSGISTVM >ONI18166 pep chromosome:Prunus_persica_NCBIv2:G3:20928708:20933930:-1 gene:PRUPE_3G200500 transcript:ONI18166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDRKFSEKMQHAMCYNSHFIDADSEFHSMPRVCENARFLRKQFMEENEQALELERRRLSEMHLAAKPLSHHFYYGYPMDEFKHPEAHAEQAEVPSAKEFTYLLDVLNNGSTSEGKIRHRNSNYNDQDSSQGINLPESPFASPIVSGISTVM >ONI18165 pep chromosome:Prunus_persica_NCBIv2:G3:20929340:20932261:-1 gene:PRUPE_3G200500 transcript:ONI18165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDRKFSEKMQHAMCYNSHFIDADSEFHSMPRVCENARFLRKQFMEENEQALELERRRLSEMHLAAKPLSHHFYYGYPMDEFKHPEAHAEQAEVPSAKEFTYLLDVLNNGSTSEGKIRHRNSNYNDQDSSQGINLPESPFASPIVSGISTVM >ONI18163 pep chromosome:Prunus_persica_NCBIv2:G3:20928795:20933930:-1 gene:PRUPE_3G200500 transcript:ONI18163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDRKFSEKMQHAMCYNSHFIDADSEFHSMPRVCENARFLRKQFMEENEQALELERRRLSEMHLAAKPLSHHFYYGYPMDEFKHPEAHAEQAEVPSAKEFTYLLDVLNNGSTSEGKIRHRNSNYNDQDSQGINLPESPFASPIVSGISTVM >ONI18162 pep chromosome:Prunus_persica_NCBIv2:G3:20928709:20933930:-1 gene:PRUPE_3G200500 transcript:ONI18162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDRKFSEKMQHAMCYNSHFIDADSEFHSMPRVCENARFLRKQFMEENEQALELERRRLSEMHLAAKPLSHHFYYGYPMDEFKHPEAHAEQAEVPSAKEFTYLLDVLNNGSTSEGKIRHRNSNYNDQDSQGINLPESPFASPIVSGISTVM >ONI18169 pep chromosome:Prunus_persica_NCBIv2:G3:20928795:20933930:-1 gene:PRUPE_3G200500 transcript:ONI18169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDSFQRKCSMLCATIHISLMRIQSFTLCPEFVRMQDF >ONI18168 pep chromosome:Prunus_persica_NCBIv2:G3:20929890:20932261:-1 gene:PRUPE_3G200500 transcript:ONI18168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDSFQRKCSMLCATIHISLMRIQSFTLCPEFVRMQDF >ONI18161 pep chromosome:Prunus_persica_NCBIv2:G3:20928795:20933930:-1 gene:PRUPE_3G200500 transcript:ONI18161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDRKFSEKMQHAMCYNSHFIDADSEFHSMPRVCENARFLRKQFMEENEQALELERRRLSEMHLAAKPLSHHFYYGYPMDEFKHPEAHAEQAEVPSAKEFTYLLDVLNNGSTSEGKIRHRNSNYNDQDSQGINLPESPFASPIVSGISTVM >ONI18159 pep chromosome:Prunus_persica_NCBIv2:G3:20928795:20932337:-1 gene:PRUPE_3G200500 transcript:ONI18159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSSAGLQSEMDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDRKFSEKMQHAMCYNSHFIDADSEFHSMPRVCENARFLRKQFMEENEQALELERRRLSEMHLAAKPLSHHFYYGYPMDEFKHPEAHAEQAEVPSAKEFTYLLDVLNNGSTSEGKIRHRNSNYNDQDSSQGINLPESPFASPIVSGISTVM >ONI18160 pep chromosome:Prunus_persica_NCBIv2:G3:20928711:20933930:-1 gene:PRUPE_3G200500 transcript:ONI18160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDRKFSEKMQHAMCYNSHFIDADSEFHSMPRVCENARFLRKQFMEENEQALELERRRLSEMHLAAKPLSHHFYYGYPMDEFKHPEAHAEQAEVPSAKEFTYLLDVLNNGSTSEGKIRHRNSNYNDQDSQGINLPESPFASPIVSGISTVM >ONI18171 pep chromosome:Prunus_persica_NCBIv2:G3:20929890:20932261:-1 gene:PRUPE_3G200500 transcript:ONI18171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSESTKVVYNRIQKIEPENVSKIIGYLLLQDHGERDMIRLAFSPDNLIQSLINKAKTELGLCKLAVSAPISPSQVNQVPVSDPPLQFTPYTPNLTRPISSPTPLGAVNPFRDPQLPGDQQPLQNVEFVPPGYSDSAIEDYRLQNQMQFLPDFSSNYCYHEPALSVRTSRRSPSLPEFPLKVCHYFNKGFCKHGSNCRYFHGHPMAESFSHPNLNELSNDDHVVSPGSLEKLEIEIIELLKSRRGFPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLLDRPHGQHSVILAEDIPKYLEYAGEKTEPGGIIAGSRQIYLTFPAESNFTEQDVSNYFNKYGPVQDVRIPCQQKRMFGFVTFVYAETVRHILSKGNPHFVCGARVLVKPYREKSRLVDSFQRKCSMLCATIHISLMRIQSFTLCPEFVRMQDF >ONI18865 pep chromosome:Prunus_persica_NCBIv2:G3:23649150:23649844:1 gene:PRUPE_3G244500 transcript:ONI18865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSQKMSYHAGEAKGQAQEKAGGMMDKANNAAQSTKETMQDVGQNVQAKAQGAADAVKNATGMNK >ONI19447 pep chromosome:Prunus_persica_NCBIv2:G3:25618742:25621496:-1 gene:PRUPE_3G280400 transcript:ONI19447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGFGCIFFSGVAL >ONI19444 pep chromosome:Prunus_persica_NCBIv2:G3:25618464:25621566:-1 gene:PRUPE_3G280400 transcript:ONI19444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGHRD >ONI19449 pep chromosome:Prunus_persica_NCBIv2:G3:25620330:25620881:-1 gene:PRUPE_3G280400 transcript:ONI19449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGNTVEA >ONI19443 pep chromosome:Prunus_persica_NCBIv2:G3:25618467:25621535:-1 gene:PRUPE_3G280400 transcript:ONI19443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGHRD >ONI19450 pep chromosome:Prunus_persica_NCBIv2:G3:25620109:25621472:-1 gene:PRUPE_3G280400 transcript:ONI19450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGNTVEA >ONI19446 pep chromosome:Prunus_persica_NCBIv2:G3:25619911:25621472:-1 gene:PRUPE_3G280400 transcript:ONI19446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGFGCIFFSGVAL >ONI19445 pep chromosome:Prunus_persica_NCBIv2:G3:25619151:25621437:-1 gene:PRUPE_3G280400 transcript:ONI19445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGFGCIFFSGVAL >ONI19448 pep chromosome:Prunus_persica_NCBIv2:G3:25620330:25620881:-1 gene:PRUPE_3G280400 transcript:ONI19448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGNTVEA >ONI19442 pep chromosome:Prunus_persica_NCBIv2:G3:25618464:25621535:-1 gene:PRUPE_3G280400 transcript:ONI19442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGHRD >ONI19451 pep chromosome:Prunus_persica_NCBIv2:G3:25620330:25620881:-1 gene:PRUPE_3G280400 transcript:ONI19451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGNTVEA >ONI19452 pep chromosome:Prunus_persica_NCBIv2:G3:25620330:25620881:-1 gene:PRUPE_3G280400 transcript:ONI19452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGNTVEA >ONI19453 pep chromosome:Prunus_persica_NCBIv2:G3:25620330:25620881:-1 gene:PRUPE_3G280400 transcript:ONI19453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFIEPAKLENVIKAFASKQEPLALSFSSIGSLPHDNNVLFLSPTPSVSLLQFQSQLCEAVKREGVEIGEEYRTDSWVPYCAVAQEVPKTRMAEAFCVLRDLKLPVAGYAMDIGLVEFSPVRELFSFVLGNTVEA >ONI16596 pep chromosome:Prunus_persica_NCBIv2:G3:8758906:8765659:1 gene:PRUPE_3G108900 transcript:ONI16596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESDLDTEKVEYTEANYAQSGSQESGLHREPSFSRWCDEDRTVHFDRQLENTDASVEEDSDFELPMLQRGELENNILDRDRNHNTKSQKRNMRLNGGDTLDDDSTHVGGTGNEEYLPFDIENSSEGEVHAIDSSMHNHKALPPNSKNPISVSNVLKMLFFVLMWYTFSLFLTLYNKSLLGDDLGKFPAPLLMNTVHFSMQAVFSKGITWYWSNRFQTGGSMSWRDYFVKVVPTALGTAMDVNLSNASLVFISVTFATMCKSAAPIFLLLFAFAFRLEAPSVRLSGIILIISIGILLTVAKETEFDFWGFIFVMLAAVMSGFRWCMTQILLQVCFLCLKNPLTLMSYVTPVMAVVTALLSLVFDPWDELRRNNYFNNPAHISRSCLLMLFGGTLAFFMVLTEFILVSVTSAVTVTIAGVVKEALTILVAVIYFHDEFTRLKGAGLFIIMLGVSLFNWYKYEKIKKGQTSEDDMEESVTTNIAAKYVILEETDEQDDGR >ONI16597 pep chromosome:Prunus_persica_NCBIv2:G3:8757855:8766881:1 gene:PRUPE_3G108900 transcript:ONI16597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESDLDTEKVEYTEANYAQSGSQESGLHREPSFSRWCDEDRTVHFDRQLENTDASVEEDSDFELPMLQRGELENNILDRDRNHNTKSQKRNMRLNGGDTLDDDSTHVGGTGNEEYLPFDIENSSEGEVHAIDSSMHNHKALPPNSKNPISVSNVLKMLFFVLMWYTFSLFLTLYNKSLLGDDLGKFPAPLLMNTVHFSMQAVFSKGITWYWSNRFQTGGSMSWRDYFVKVVPTALGTAMDVNLSNASLVFISVTFATMCKSAAPIFLLLFAFAFRLEAPSVRLSGIILIISIGILLTVAKETEFDFWGFIFVMLAAVMSGFRWCMTQILLQKEDYGLKNPLTLMSYVTPVMAVVTALLSLVFDPWDELRRNNYFNNPAHISRSCLLMLFGGTLAFFMVLTEFILVSVTSAVTVTIAGVVKEALTILVAVIYFHDEFTRLKGAGLFIIMLGVSLFNWYKYEKIKKGQTSEDDMEESVTTNIAAKYVILEETDEQDDGR >ONI15830 pep chromosome:Prunus_persica_NCBIv2:G3:4569636:4579411:-1 gene:PRUPE_3G063900 transcript:ONI15830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSISNKEAPSFSHFPYTPPHPTNSPSSLSQTLSLHASPTSSPLHPLIPTSSKNPDLLTAYRCISSVLQKDGQILSIAASNGLVYTGSTTNLIRLWKLPEFTECGQLKAKACMVVAIEVSHDKIYAAYGDGKVRVWQRAWDGGLKHVRLATIPKTGSYVRSLYIAGKDKTIKHTGAVTSLAIHVSEDILYSASTDKTVKVWRISDLKCIETIQAHSGPINAIIVADDGILYTASDDATIRVWRRNFCSGDQLPHSLTMTLPAKCSPVKTLTLTSDGGVLYGGCTDGYIHYWLKGWFSGQLQYGGTLQGHTHAVMCLASVANYVVSGSADSTSRIWSRDVEDGQHTCLAVLVGHRGPIRCVAAFYGRLGEETEEGCTICTGSLDGVLKVWPSRSLFRVDRVLKFTTAYVALEPVNHFLGYRGYCGLSYNIKMGGGKDKQRGRDRNKKIRTTNAVWRPVSTQATSNEECSVKVTTDELESDTQVQEVHHCTSTRISSAHNVVEVAATNFTASSSALQDNGEKTVFRGESVVSTEKHSISVGASLVRFIRGKGGSTQREIEDEMGVKIIIPSSKEEDSVIIEGISMESISRASEKIHTIIDEAVKSQNLDYSHFISLPLAIHPELVDKLVNFQNSILGISDSCVDEKMNSDSNEDTSENEGEDQKLEKGTDVPVELNVEGDSEQVKVNLTQIPLVSYAPKTSKASTLSDLGIEKSIFIKPKTFHLTVLMLKLWNKDRVHAAIEVLQSVSSKVIEALDNRPVSIRLQGLNCMRGSLAKAGVVYAPVEEIGSEGRLLRACQVITDAYTKAGLVLEKDANQKLKLHATVMNARHSKRTNRTRKVQSFDARGIFKQYGSQEWGEYLIHEAHLSQRFVFDDNGYYHCCASIPFPETCE >ONI15831 pep chromosome:Prunus_persica_NCBIv2:G3:4569695:4579411:-1 gene:PRUPE_3G063900 transcript:ONI15831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSISNKEAPSFSHFPYTPPHPTNSPSSLSQTLSLHASPTSSPLHPLIPTSSKNPDLLTAYRCISSVLQKDGQILSIAASNGLVYTGSTTNLIRLWKLPEFTECGQLKAKACMVVAIEVSHDKIYAAYGDGKVRVWQRAWDGGLKHVRLATIPKTGSYVRSLYIAGKDKTIKHTGAVTSLAIHVSEDILYSASTDKTVKVWRISDLKCIETIQAHSGPINAIIVADDGILYTASDDATIRVWRRNFCSGDQLPHSLTMTLPAKCSPVKTLTLTSDGGVLYGGCTDGYIHYWLKGWFSGQLQYGGTLQGHTHAVMCLASVANYVVSGSADSTSRIWSRDVEDGQHTCLAVLVGHRGPIRCVAAFYGRLGEETEEGCTICTGSLDGVLKVWPSRSLFRVDRVLKFTTAYVALEPVNHFLGYRGYCGLSYNIKMGGGKDKQRGRDRNKKIRTTNAVWRPVSTQATSNEECSVKVTTDELESDTQVQEVHHCTSTRISSAHNVVEVAATNFTASSSALQDNGEKTVFRGESVVSTEKHSISVEVGASLVRFIRGKGGSTQREIEDEMGVKIIIPSSKEEDSVIIEGISMESISRASEKIHTIIDEAVKSQNLDYSHFISLPLAIHPELVDKLVNFQNSILGISDSCVDEKMNSDSNEDTSENEGEDQKLEKGTDVPVELNVEGDSEQVKVNLTQIPLVSYAPKTSKASTLSDLGIEKSIFIKPKTFHLTVLMLKLWNKDRVHAAIEVLQSVSSKVIEALDNRPVSIRLQGLNCMRGSLAKAGVVYAPVEEIGSEGRLLRACQVITDAYTKAGLVLEKDANQKLKLHATVMNARHSKRTNRTRKVQSFDARGIFKQYGSQEWGEYLIHEAHLSQRFVFDDNGYYHCCASIPFPETCE >ONI19628 pep chromosome:Prunus_persica_NCBIv2:G3:25957092:25961207:-1 gene:PRUPE_3G288200 transcript:ONI19628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRARLQLSAMSRAAMISSSASSSVAMRNPSLSFSSSPRLFNGVPVGNRVSFSVRFSHTSLRCYASSPGLDKIRVQNPIVEMDGDEMTRIIWKTIKDKLIFPHLDLDIKYYDLGILNRDATDDRVTVESAEAALKYNVAVKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYRATDTVIKGPGKLKMVFVPEGGDAPVELDVYDFKGPGVALSMYNVDESIRAFADSSMSFAFSKKWPLYLSTKNTILKKYDGRFKDIFEEVYDEKWKQKFEENSIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMASVLLSSDGKTIEAEAAHGTVTRHFRLHQNGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFVRKLEAACIETVEAGKMTKDLAILTHGSKVSREFYLNTEEFIDAVAKNVEQKLLEPAVV >ONI19630 pep chromosome:Prunus_persica_NCBIv2:G3:25956736:25961359:-1 gene:PRUPE_3G288200 transcript:ONI19630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRARLQLSAMSRAAMISSSASSSVAMRNPSLSFSSSPRLFNGVPVGNRVSFSVRFSHTSLRCYASSPGLDKIRVQNPIVEMDGDEMTRIIWKTIKDKLIFPHLDLDIKYYDLGILNRDATDDRVTVESAEAALKYNVAVKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYRATDTVIKGPGKLKMVFVPEGGDAPVELDVYDFKGPGVALSMYNVDESIRAFADSSMSFAFSKKWPLYLSTKNTILKKYDGRFKDIFEEVYDEKWKQKFEENSIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMASVLMGRQ >ONI19629 pep chromosome:Prunus_persica_NCBIv2:G3:25957364:25961359:-1 gene:PRUPE_3G288200 transcript:ONI19629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRARLQLSAMSRAAMISSSASSSVAMRNPSLSFSSSPRLFNGVPVGNRVSFSVRFSHTSLRCYASSPGLDKIRVQNPIVEMDGDEMTRIIWKTIKDKLIFPHLDLDIKYYDLGILNRDATDDRVTVESAEAALKYNVAVKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYRATDTVIKGPGKLKMVFVPEGGDAPVELDVYDFKGPGVALSMYNVDESIRAFADSSMSFAFSKKWPLYLSTKNTILKKYDGRFKDIFEEVYDEKWKQKFEENSIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMASVLLSSDGKTIEAEAAHGTVTRHFRLHQNGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFVRKLEAACIETVEAGKMTKDLAILTHGSK >ONI18898 pep chromosome:Prunus_persica_NCBIv2:G3:23797312:23800181:1 gene:PRUPE_3G246900 transcript:ONI18898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSQSEHQLVDERTLLLPTSQNEEAQAGFGKPSRRASFPGSVFNLSCTVIGSGIMSLPATLNILGLIPGVALIIIAAFLTEASIDFLLRFSKPGSAFSYGDVMGEAFGKVGKYLLQSCVIINNIGSLTVYMIIIEDVLSGSTSNGVHHAGILEESLGVHWWTGRAFVLIVLTVVVIVPLICFKRIDSLRFTSAISITLAVVFLVAVIVITVYKLILGSIEAPALFPSVTGLTSFLNLFTAFPVVVFAYVCHYNVHSIQNELEDSRRMPAIVQTTVALCAFVYVMTGVFGFLLFGESTLSDLLSNFDTDLGIPYSSVFNDIVRISYAGHILLVYPIILFPLRLNLDGLLFPSARPLASDNVRFLLISSGIIVITLLGAIFIPSIWVAFEFTGATVGALLAFIFPACIVLKDPHGIAWKKDKILSVFMIIVAVVSSVVAIYSDAYSLLT >ONI15884 pep chromosome:Prunus_persica_NCBIv2:G3:4827103:4828470:1 gene:PRUPE_3G067000 transcript:ONI15884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKQLIDSPSLTNDALCFVPIVCSSFTERLWNISFIVFCRVHLEYYTSDYTAQVLLGYYMVYIFMTTFMIPGTVFMSLLVGSLFGVFRGIAFVVFNATTSASSCYFLSKLIGRPIVFSLWPDKLQNESFIFLPVDVPYHIFFIATIIGLILARYVTVRVGLALGDLRSHGDLYDFNSIATLFFIGVVSVTPMFVASVHV >ONI20015 pep chromosome:Prunus_persica_NCBIv2:G3:26954145:26957911:1 gene:PRUPE_3G310200 transcript:ONI20015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCPCFGFRKGKKLKGDTDEEKDVGKTTIRKPEENVAQLSSEDGTNAENKAQTFTFRELATATKNFRTDSCIGEGGFGAVYKGKLGSGQVVAVKKLDQTGLQGEKEFLVEVLMLSLLRHPNLVSLSGYCAEGEQRLLVYEFMPLGCLADHLHYPPPGKEPLDWNTRMMIAAGAARGLEYLHHEADPPVIYRDVKSANILLGEGFFPKLSDFGLAKFGPTGDRSHVSTRVMGTHGYCAPEYAKSGKLTAKSDIYSFGVVLLELITGHKAIDSNLGREKHLVEHVLL >ONI20014 pep chromosome:Prunus_persica_NCBIv2:G3:26954145:26957911:1 gene:PRUPE_3G310200 transcript:ONI20014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCPCFGFRKGKKLKGDTDEEKDVGKTTIRKPEENVAQLSSEDGTNAENKAQTFTFRELATATKNFRTDSCIGEGGFGAVYKGKLGSGQVVAVKKLDQTGLQGEKEFLVEVLMLSLLRHPNLVSLSGYCAEGEQRLLVYEFMPLGCLADHLHYPPPGKEPLDWNTRMMIAAGAARGLEYLHHEADPPVIYRDVKSANILLGEGFFPKLSDFGLAKFGPTGDRSHVSTRVMGTHGYCAPEYAKSGKLTAKSDIYSFGVVLLELITGHKAIDSNLGREKHLVEWARPFMKDHKNVAQLADPQLKGRFSVSVLKKAFEVASMCLREDAGARPSMRDIALAMDYLASRPYNEPNETNKVEVKGSGDNSPDETTMLNKELERERAVAEAKVWGETWRDKRRQGAQISDDDFR >ONI20013 pep chromosome:Prunus_persica_NCBIv2:G3:26954127:26959120:1 gene:PRUPE_3G310200 transcript:ONI20013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCPCFGFRKGKKLKGDTDEEKDVGKTTIRKPEENVAQLSSEDGTNAENKAQTFTFRELATATKNFRTDSCIGEGGFGAVYKGKLGSGQVVAVKKLDQTGLQGEKEFLVEVLMLSLLRHPNLVSLSGYCAEGEQRLLVYEFMPLGCLADHLHYPPPGKEPLDWNTRMMIAAGAARGLEYLHHEADPPVIYRDVKSANILLGEGFFPKLSDFGLAKFGPTGDRSHVSTRVMGTHGYCAPEYAKSGKLTAKSDIYSFGVVLLELITGHKAIDSNLGREKHLVEWARPFMKDHKNVAQLADPQLKGRFSVSVLKKAFEVASMCLREDAGARPSMRDIALAMDYLASRPYNEPNETNKVEVKGSGDNSPDETTMLNKELERERAVAEAKVWGETWRDKRRQGTP >ONI20012 pep chromosome:Prunus_persica_NCBIv2:G3:26954059:26959108:1 gene:PRUPE_3G310200 transcript:ONI20012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCPCFGFRKGKKLKGDTDEEKDVGKTTIRKPEENVAQLSSEDGTNAENKAQTFTFRELATATKNFRTDSCIGEGGFGAVYKGKLGSGQQVVAVKKLDQTGLQGEKEFLVEVLMLSLLRHPNLVSLSGYCAEGEQRLLVYEFMPLGCLADHLHYPPPGKEPLDWNTRMMIAAGAARGLEYLHHEADPPVIYRDVKSANILLGEGFFPKLSDFGLAKFGPTGDRSHVSTRVMGTHGYCAPEYAKSGKLTAKSDIYSFGVVLLELITGHKAIDSNLGREKHLVEWARPFMKDHKNVAQLADPQLKGRFSVSVLKKAFEVASMCLREDAGARPSMRDIALAMDYLASRPYNEPNETNKVEVKGSGDNSPDETTMLNKELERERAVAEAKVWGETWRDKRRQGTP >ONI14752 pep chromosome:Prunus_persica_NCBIv2:G3:369962:373255:-1 gene:PRUPE_3G006200 transcript:ONI14752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSACATAPAACISSPNCPSSLSHIPIWRSSSLRPPPPSFSDISFKIPCGSFKRSSSLTGFRTLSPVMQWQDCTVKMEIDVPISVAYDCYSDREAIPRWMPFISTVKILEDKPDLSRWSLKYKAFGRDIEFSWLARNMQPIPNQKIHWRSLEGLPNS >ONI14750 pep chromosome:Prunus_persica_NCBIv2:G3:369899:373256:-1 gene:PRUPE_3G006200 transcript:ONI14750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSACATAPAACISSPNCPSSLSHIPIWRSSSLRPPPPSFSDISFKIPCGSFKRSSSLTGFRTLSPVMQWQDCTVKMEIDVPISVAYDCYSDREAIPRWMPFISTVKILEDKPDLSRWSLKYKAFGRDIEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFYPKGPSSCLVELTVSYEVPPILAPVASALQPFLESLLGRGLERFATFSKSYKSDSTV >ONI14751 pep chromosome:Prunus_persica_NCBIv2:G3:369900:373272:-1 gene:PRUPE_3G006200 transcript:ONI14751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSACATAPAACISSPNCPSSLSHIPIWRSSSLRPPPPSFSDISFKIPCGSFKRSSSLTGFRTLSPVMQWQDCTVKMEIDVPISVAYDCYSDREAIPRWMPFISTVKILEDKPDLSRWSLKYKAFGRDIEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFYPKGPSSCLVELTVSYEVPPILAPVASALQPFLESLLGRGLERFATFSKSYKSDSTV >ONI16995 pep chromosome:Prunus_persica_NCBIv2:G3:13837605:13841568:-1 gene:PRUPE_3G133100 transcript:ONI16995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSQRKWQFGGNEELNTAAISVRGALAMLMNNVNSPDDGDHHPQRPTIMLGRGDPTEFRSFWTTQSAVDAVTDALQSFKFNSYCPTGGVLEARRAIAEYLSRDLSHKLLAEDVYLTGGCTQAIEIMVSVLARPGSNILLPRPGYPQYEARASFDHLEVRHFDLLPEKGWEVDLDAVEALADHNTSAIVIINPSNPCGNVFTHQHLEKIAETAKKLGIFVISDEVYGHLTFGSNPFVPMGKFSSTVPVITLGSISKTWIVPGWKLGWIVKNDPKGIFDKTGGAIPQIFERTKETFFSNIIGIMREAADIVYEMIKEIPCLTCPHKPQGSMVVLVKLNLSTLEGIDDDIQFCLKLAKEESVIVLPGVTVGLKNWLRITFAVELPVLEDGLQSIKAFYQRHAKEQ >ONI16997 pep chromosome:Prunus_persica_NCBIv2:G3:13838086:13841516:-1 gene:PRUPE_3G133100 transcript:ONI16997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSQRKWQFGGNEELNTAAISVRGALAMLMNNVNSPDDGDHHPQRPTIMLGRGDPTEFRSFWTTQSAVDAVTDALQSFKFNSYCPTGGVLEARRAIAEYLSRDLSHKLLAEDVYLTGGCTQAIEIMVSVLARPGSNILLPRPGYPQYEARASFDHLEVRHFDLLPEKGWEVDLDAVEALADHNTSAIVIINPSNPCGNVFTHQHLEKIAETAKKLGIFVISDEVYGHLTFGSNPFVPMGKFSSTVPVITLGSISKTWIVPGWKLGWIVKNDPKGIFDKTGIVDSIKNYLDITTDPATFIQGAIPQIFERTKETFFSNIIGIMREAADIVYEMIKEIPCLTCPHKPQGSMVVLVKLNLSTLEGIDDDIQFCLKLAKEESVIVLPGKNN >ONI16996 pep chromosome:Prunus_persica_NCBIv2:G3:13837827:13841516:-1 gene:PRUPE_3G133100 transcript:ONI16996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSQRKWQFGGNEELNTAAISVRGALAMLMNNVNSPDDGDHHPQRPTIMLGRGDPTEFRSFWTTQSAVDAVTDALQSFKFNSYCPTGGVLEARRAIAEYLSRDLSHKLLAEDVYLTGGCTQAIEIMVSVLARPGSNILLPRPGYPQYEARASFDHLEVRHFDLLPEKGWEVDLDAVEALADHNTSAIVIINPSNPCGNVFTHQHLEKIAETAKKLGIFVISDEVYGHLTFGSNPFVPMGKFSSTVPVITLGSISKTWIVPGWKLGWIVKNDPKGIFDKTGIVDSIKNYLDITTDPATFIQGAIPQIFERTKETFFSNIIGIMREAADIVYEMIKEIPCLTCPHKPQGSMVVLVKLNLSTLEGIDDDIQFCLKLAKEESVIVLPGVTVGLKNWLRITFAVELPVLEDGLQSIKAFYQRHAKEQ >ONI19039 pep chromosome:Prunus_persica_NCBIv2:G3:24395718:24400434:1 gene:PRUPE_3G255400 transcript:ONI19039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDGNSGDASRVVHVRFITKLDRPFKVPNSSISVASNFTRFGLSSVVNNLIQTENPDWEVEPFDFLINGELVRMSLENFLLAKGISAETILEIEYIRAVVPRKEEEPSLHDDWVSAVDGSSPRFFLTGCYDGLGRVWKAAGVCTHILEGHSEPVSSVSIINPEGADSVTVATASKDRTLRLWKFNAEDTRRNPLKISAFKILRGHRASVQSVAAQTSGNMICSGSWDCTINLWQTNEPVSEGDTVSTKKRKTIGQAKESQSEGEAVSSLVGHTQCVSSVKWPERETIYSASWDHSIRRWNAETGKDSLNIFCGKALNCLDIGGESSALVAAGGSDPILKIWDPRKPGTSAPVYQFSSHTSWVTACKWHEKSWFHLISASHDGKVMLWDLRTAWPLFVIDAHKDKALCADWWRGETVLSGGADSKLCMTSGASVL >ONI19659 pep chromosome:Prunus_persica_NCBIv2:G3:26027254:26031767:1 gene:PRUPE_3G289800 transcript:ONI19659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKAGPQKAQHKWKRKLFVALLMGFCFGTLVLLMHTQYSRIMTLASLHTQLTQPPKVAFLFIARNRLPLDLLWDVFFQVDWGEASMIEAERILLKHALEDPLNQRFAFLSDSCIPLYSFSYIYDYIMSTRTSFVDSFADTKEGRYNPKMNPVIPVHNWRKGSQWVVLTRKHAEVVVKDNTVFPMFQQHCKTKSLPEFWRDRPIPADTSKEHNCIPDEHYVQTLLAQEGLEGEITRRSLTHSSWDLSSSKDRERRGWHPVTYKYSDATPMLIKSIKEIDNVYYETEYRREWCSTKGKPSKCFLFARKFTRPAALRLLNTTALELKRSKD >ONI19658 pep chromosome:Prunus_persica_NCBIv2:G3:26027354:26033066:1 gene:PRUPE_3G289800 transcript:ONI19658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKAGPQKAQHKWKRKLFVALLMGFCFGTLVLLMHTQYSRIMTLASLHTQLTQPPKVAFLFIARNRLPLDLLWDVFFQGGESRFSIYVHSRPGFLFNKATTRSVFFLNRQVNDSIQVDWGEASMIEAERILLKHALEDPLNQRFAFLSDSCIPLYSFSYIYDYIMSTRTSFVDSFADTKEGRYNPKMNPVIPVHNWRKGSQWVVLTRKHAEVVVKDNTVFPMFQQHCKTKSLPEFWRDRPIPADTSKEHNCIPDEHYVQTLLAQEGLEGEITRRSLTHSSWDLSSSKDRERRGWHPVTYKYSDATPMLIKSIKEIDNVYYETEYRREWCSTKGKPSKCFLFARKFTRPAALRLLNTTALELKRSKD >ONI19657 pep chromosome:Prunus_persica_NCBIv2:G3:26027276:26033066:1 gene:PRUPE_3G289800 transcript:ONI19657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKAGPQKAQHKWKRKLFVALLMGFCFGTLVLLMHTQYSRIMTLASLHTQLTQPPKVAFLFIARNRLPLDLLWDVFFQGGESRFSIYVHSRPGFLFNKATTRSVFFLNRQVNDSIQVDWGEASMIEAERILLKHALEDPLNQRFAFLSDSCIPLYSFSYIYDYIMSTRTSFVDSFADTKEGRYNPKMNPVIPVHNWRKGSQWVVLTRKHAEVVVKDNTVFPMFQQHCKTKSLPEFWRDRPIPADTSKEHNCIPDEHYVQTLLAQEGLEGEITRRSLTHSSWDLSSSKDRERRGWHPVTYKYSDATPMLIKSIKEIDNVYYETEYRREWCSTKGKPSKCFLFARKFTRPAALRLLNTTALELKRSKD >ONI18809 pep chromosome:Prunus_persica_NCBIv2:G3:23462133:23470511:-1 gene:PRUPE_3G240900 transcript:ONI18809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHKISKMDVQRYNSGQTFGHISNYPVLNSSPFLLGGDGLRGQSIKCQLGYLSDWRKGPRVSSVRNLVRKKISDIFFLNTNYVLGELASDFVGVLENQLFMEATSEEEYVNEETLSHRLQILLQHKLYDANSNEQVGLPLCTPMPTSGLPHGFCDSGSAETPSNCLSGTSKYDSIGHNAFIANNADHVNLIKGSPFNGYKQGYANRFIASNELDMSFSSGLMQVASEIFLPLEDASASITAYSNANEFSVIGGFDDGTFAPQGNLNYSSDISFDCHVQQQHLDHGRLGNAQSMVFPSTMGEFSAVSSSNLLISQHIPIEVPEVSNILPGRIFSKEISTLEDIVQSCSLIMKSQHNQNRPHPSYLQPRVPPEQSYAAQQCASDGPSSGNIEDILPSSKRLKMENKNGSFHLLAPSVVQHCAPEGLPYLQHQSESPLSINSEDTVQSCSRMIKSQHNQKRPLCPYLRPQVPPEQSHGAQEYASDGPSSGNIEDILPSSKRLKMENKNESSHLSAPSVIQHCAHEGLPYLQHQSESPLSINSEDIVQSCSQMIKSQHNQKRPLHPYLQPRVPPGQSNGAQEYASDEPSSGNIEDMSPSSKRLKMENINENSHLLAPSVVQPCAPKGLSYLQQQSKSPVSINSEVTHVEIEPAKNSIQDSTGISGVRKCDSDNINKLDSESVPLPSVGVFICHQMEQLDPTSTSEIIDNVKEVPGGMGSKSLSLLSEELSVEFKEGEVRTEFIQTEPIPDSDLKEVIKPQNPETKGALLTEIYTEEQIKEHLSSLGQSIDQSIVTEERENSEKVCQLCASGKLFFAPTPIYCSFCSARIKRSVNYYCTLDEHDTQYCVCTLCYKESRGGNISFRGIHISKAKLSKKKNDEETEESWVQCDKCNGWQHQICALFNDKSALEGKAECICLKCLSKETECGELKNLPNNAVFSAKDLPTTMLSDHIEQRLFRRLKQEREERAKVEGKEFFEVPGVEDLVVRVVLSVQKTLKVKQKFLDLFHDENYPAEFPYISKVILLFQRIEGVDVCLFGMYVQEFGSECSHPNKRCVYISYLDSIKYFRPETKTVNGEALRTFVYHELLIAYLEFCKKRGFITSYIWACPPVKGEDYILYCHPEMQKTPKPDKLRQWYQSMIKKAANEKIVVSFTNLYDRFFIPTGECNSKVTAARLPYFDGDYWSATAEDVIRNIEKERMTDSKKKAKKTITKRTLKAMGHTSPSDGSTKDILLMQKLGQTILPNKEDFIIVDMQYVCSHCHEAILSGGRWSCSQCKNFHLCERCHEAERKSYGRDMHISVNMEQHVLSQVMVENVLSDTKDEDVISNSRLLENRHTFLSLCEKNHYQFDTLRRAKYSSIMILHHLRNATVLTAGNTCSICHKDAVVAQSWVCEICPEFGVCAACYQEKGSSCHIHKLTQSSTTVSCRTESRGSPQKPLMIRELLDVLHHARKCCSTKIQPCSYPNCLKIKKLLCHATKCTVRTTGGCQYCKKAWYVINLHSRNCRESNCGIQRCM >ONI18810 pep chromosome:Prunus_persica_NCBIv2:G3:23461268:23471512:-1 gene:PRUPE_3G240900 transcript:ONI18810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHKISKMDVQRYNSGQTFGHISNYPVLNSSPFLLGGDGLRGQSIKCQLGYLSDWRKGPRVSSVRNLVRKKISDIFFLNTNYVLGELASDFVGVLENQLFMEATSEEEYVNEETLSHRLQILLQHKLYDANSNEQVGLPLCTPMPTSGLPHGFCDSGSAETPSNCLSGTSKYDSIGHNAFIANNADHVNLIKGSPFNGYKQGYANRFIASNELDMSFSSGLMQVASEIFLPLEDASASITAYSNANEFSVIGGFDDGTFAPQGNLNYSSDISFDCHVQQQHLDHGRLGNAQSMVFPSTMGEFSAVSSSNLLISQHIPIEVPEVSNILPGRIFSKEISTLEDIVQSCSLIMKSQHNQNRPHPSYLQPRVPPEQSYAAQQCASDGPSSGNIEDILPSSKRLKMENKNGSFHLLAPSVVQHCAPEGLPYLQHQSESPLSINSEDTVQSCSRMIKSQHNQKRPLCPYLRPQVPPEQSHGAQEYASDGPSSGNIEDILPSSKRLKMENKNESSHLSAPSVIQHCAHEGLPYLQHQSESPLSINSEDIVQSCSQMIKSQHNQKRPLHPYLQPRVPPGQSNGAQEYASDEPSSGNIEDMSPSSKRLKMENINENSHLLAPSVVQPCAPKGLSYLQQQSKSPVSINSEVTHVEIEPAKNSIQDSTGISGVRKCDSDNINKLDSESVPLPSVGVFICHQMEQLDPTSTSEIIDNVKEVPGGMGSKSLSLLSEELSVEFKEGEVRTEFIQTEPIPDSDLKEVIKPQNPETKGALLTEIYTEEQIKEHLSSLGQSIDQSIVTEERENSEKVCQLCASGKLFFAPTPIYCSFCSARIKRSVNYYCTLDEHDTQYCVCTLCYKESRGGNISFRGIHISKAKLSKKKNDEETEESWVQCDKCNGWQHQICALFNDKSALEGKAECICLKCLSKETECGELKNLPNNAVFSAKDLPTTMLSDHIEQRLFRRLKQEREERAKVEGKEFFEVPGVEDLVVRVVLSVQKTLKVKQKFLDLFHDENYPAEFPYISKVILLFQRIEGVDVCLFGMYVQEFGSECSHPNKRCVYISYLDSIKYFRPETKTVNGEALRTFVYHELLIAYLEFCKKRGFITSYIWACPPVKGEDYILYCHPEMQKTPKPDKLRQWYQSMIKKAANEKIVVSFTNLYDRFFIPTGECNSKVTAARLPYFDGDYWSATAEDVIRNIEKERMTDSKKKAKKTITKRTLKAMGHTSPSDGSTKDILLMQKLGQTILPNKEDFIIVDMQYVCSHCHEAILSGGRWSCSQCKNFHLCERCHEAERKSYGRDMHISVNMEQHVLSQVMVENVLSDTKDEDVISNSRLLENRHTFLSLCEKNHYQFDTLRRAKYSSIMILHHLRNATVLTAGNTCSICHKDAVVAQSWVCEICPEFGVCAACYQEKGSSCHIHKLTQSSTTVSCRTESRGSPQKPLMIRELLDVLHHARKCCSTKIQPCSYPNCLKIKKLLCHATKCTVRTTGGCQYCKKAWYVINLHSRNCRESNCGIQRCM >ONI17356 pep chromosome:Prunus_persica_NCBIv2:G3:16895800:16903853:1 gene:PRUPE_3G153800 transcript:ONI17356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKLVADKQIGRDDIMEEHNVTKSIHQRLAEPFKLQQQGGDFEGAIQDLVEFDHKVESTHQGIAFGPSVLDGSAITGPEFISTKKNGRDYIMEEQSAEPFKFQQQGGDSEGAIQALVNVESTHQSISIAIGPNVPSGSATTGHEFISTKQNGRNDITEEQSAESSKLQQQGGYFERAIQHLFKMFQCIAIGPPKYLGSATTGPKFSSNKQNGHDDIAIGPSVPLAKQTVGDEDLRLGSATTGPKFSSNKQKRLGSATTGPKFSSNKQNGRDDIAIGPSVPLAKQTIGDEDLRLYQQLYGYASQGKIDSFINTIESKLKANAPDRLLSRLNPHNNTLLHIAAKFGHAKLAAKILQHHKPLLFETNSDRDTALHIVAKAGDLDTTNTLLREAQSHDVFILLTTRNNEQNTPLHEALIHGHQSVAKCLIEAYHVLRFDCNKERKSTLYLAAEEGFAEIVKLIQKKAFEQNIQVHVHGKSPLHGAILGRRNKELLEIISRMEETFQSPKDEKDRTPLHCAASIGYMEGVRFLLERHLSDSHQVDHRGNFPIHSASSEGHVKIVKELLRHCPDSTEYRNYNFENILHVAARRGKDNVVKYFLKNGEFQMLINQKDSNGNTPLHLATKHHHPKVVYRLAWHRGTNLKLLNGRGETALDITESNFGTIDSYHGSLTWTILKSAFAQPAQSLHVLQWWRSPQVADNGGDHHEERFKDKVNAQNLHVQSRKQRSTQVAGNGGDHHEERFKDRVNALLVVATLVATVAFAAGFTMPGGNNDSAPHEGMAILLTKAMFQTFVICNTIAMYTATLVAVSLIWAQLEDINLVYNALRLALPLLGIALTMMSLAFMAGVYVVVSNLHWLAYVVLILGVLFIFTVLVVFTPLFCNTTSRFYILRRITYFLFCVEVWASGSHKKDQKED >ONI17357 pep chromosome:Prunus_persica_NCBIv2:G3:16895799:16903963:1 gene:PRUPE_3G153800 transcript:ONI17357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKLVADKQIGRDDIMEEHNVTKSIHQRLAEPFKLQQQGGDFEGAIQDLVEFDHKVESTHQGIAFGPSVLDGSAITGPEFISTKKNGRDYIMEEQSAEPFKFQQQGGDSEGAIQALVNVESTHQSIAIGPNVPSGSATTGHEFISTKQNGRNDITEEQSAESSKLQQQGGYFERAIQHLFKMFQCIAIGPPKYLGSATTGPKFSSNKQNGHDDIAIGPSVPLAKQTVGDEDLRLGSATTGPKFSSNKQKRLGSATTGPKFSSNKQNGRDDIAIGPSVPLAKQTIGDEDLRLYQQLYGYASQGKIDSFINTIESKLKANAPDRLLSRLNPHNNTLLHIAAKFGHAKLAAKILQHHKPLLFETNSDRDTALHIVAKAGDLDTTNTLLREAQSHDVFILLTTRNNEQNTPLHEALIHGHQSVAKCLIEAYHVLRFDCNKERKSTLYLAAEEGFAEIVKLIQKKAFEQNIQVHVHGKSPLHGAILGRRNKELLEIISRMEETFQSPKDEKDRTPLHCAASIGYMEGVRFLLERHLSDSHQVDHRGNFPIHSASSEGHVKIVKELLRHCPDSTEYRNYNFENILHVAARRGKDNVVKYFLKNGEFQMLINQKDSNGNTPLHLATKHHHPKVVYRLAWHRGTNLKLLNGRGETALDITESNFGTIDSYHGSLTWTILKSAFAQPAQSLHVLQWWRSPQVADNGGDHHEERFKDKVNAQNLHVQSRKQRSTQVAGNGGDHHEERFKDRVNALLVVATLVATVAFAAGFTMPGGNNDSAPHEGMAILLTKAMFQTFVICNTIAMYTATLVAVSLIWAQLEDINLVYNALRLALPLLGIALTMMSLAFMAGVYVVVSNLHWLAYVVLILGVLFIFTVLVVFTPLFCNTTSRFYILRRITYFLFCVEVWASGSHKKDQKED >ONI17359 pep chromosome:Prunus_persica_NCBIv2:G3:16895762:16903852:1 gene:PRUPE_3G153800 transcript:ONI17359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKLVADKQIGRDDIMEEHNVTKSIHQRLAEPFKLQQQGGDFEGAIQDLVEFDHKVESTHQGIAFGPSVLDGSAITGPEFISTKKNGRDYIMEEQSAEPFKFQQQGGDSEGAIQALVNVESTHQSIAIGPNVPSGSATTGHEFISTKQNGRNDITEEQSAESSKLQQQGGYFERAIQHLFKMFQCIAIGPPKYLGSATTGPKFSSNKQNGRDDIAIGPSVPLAKQTIGDEDLRLYQQLYGYASQGKIDSFINTIESKLKANAPDRLLSRLNPHNNTLLHIAAKFGHAKLAAKILQHHKPLLFETNSDRDTALHIVAKAGDLDTTNTLLREAQSHDVFILLTTRNNEQNTPLHEALIHGHQSVAKCLIEAYHVLRFDCNKERKSTLYLAAEEGFAEIVKLIQKKAFEQNIQVHVHGKSPLHGAILGRRNKELLEIISRMEETFQSPKDEKDRTPLHCAASIGYMEGVRFLLERHLSDSHQVDHRGNFPIHSASSEGHVKIVKELLRHCPDSTEYRNYNFENILHVAARRGKDNVVKYFLKNGEFQMLINQKDSNGNTPLHLATKHHHPKVVYRLAWHRGTNLKLLNGRGETALDITESNFGTIDSYHGSLTWTILKSAFAQPAQSLHVLQWWRSPQVADNGGDHHEERFKDKVNAQNLHVQSRKQRSTQVAGNGGDHHEERFKDRVNALLVVATLVATVAFAAGFTMPGGNNDSAPHEGMAILLTKAMFQTFVICNTIAMYTATLVAVSLIWAQLEDINLVYNALRLALPLLGIALTMMSLAFMAGVYVVVSNLHWLAYVVLILGVLFIFTVLVVFTPLFCNTTSRFYILRRITYFLFCVEVWASGSHKKDQKED >ONI17358 pep chromosome:Prunus_persica_NCBIv2:G3:16895800:16903852:1 gene:PRUPE_3G153800 transcript:ONI17358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKLVADKQIGRDDIMEEHNVTKSIHQRLAEPFKLQQQGGDFEGAIQDLVEFDHKVESTHQGIAFGPSVLDGSAITGPEFISTKKNGRDYIMEEQSAEPFKFQQQGGDSEGAIQALVNVESTHQSIAIGPNVPSGSATTGHEFISTKQNGRNDITEEQSAESSKLQQQGGYFERAIQHLFKMFQCIAIGPPKYLGSATTGPKFSSNKQNGHDDIAIGPSVPLAKQTVGDEDLRLGSATTGPKFSSNKQNGRDDIAIGPSVPLAKQTIGDEDLRLYQQLYGYASQGKIDSFINTIESKLKANAPDRLLSRLNPHNNTLLHIAAKFGHAKLAAKILQHHKPLLFETNSDRDTALHIVAKAGDLDTTNTLLREAQSHDVFILLTTRNNEQNTPLHEALIHGHQSVAKCLIEAYHVLRFDCNKERKSTLYLAAEEGFAEIVKLIQKKAFEQNIQVHVHGKSPLHGAILGRRNKELLEIISRMEETFQSPKDEKDRTPLHCAASIGYMEGVRFLLERHLSDSHQVDHRGNFPIHSASSEGHVKIVKELLRHCPDSTEYRNYNFENILHVAARRGKDNVVKYFLKNGEFQMLINQKDSNGNTPLHLATKHHHPKVVYRLAWHRGTNLKLLNGRGETALDITESNFGTIDSYHGSLTWTILKSAFAQPAQSLHVLQWWRSPQVADNGGDHHEERFKDKVNAQNLHVQSRKQRSTQVAGNGGDHHEERFKDRVNALLVVATLVATVAFAAGFTMPGGNNDSAPHEGMAILLTKAMFQTFVICNTIAMYTATLVAVSLIWAQLEDINLVYNALRLALPLLGIALTMMSLAFMAGVYVVVSNLHWLAYVVLILGVLFIFTVLVVFTPLFCNTTSRFYILRRITYFLFCVEVWASGSHKKDQKED >ONI16857 pep chromosome:Prunus_persica_NCBIv2:G3:11590863:11594518:-1 gene:PRUPE_3G125800 transcript:ONI16857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRAAKFCRSFLPLHCRLLQYCSIYSISSSQMLQETIKNAVEAKTYQKIPDLLKSSAQACQNPNPFSFLSSFPHNLRTQVVDEILQSFIPLRPRSRGQIAYSYLLSFTLQCSNPFPLALAILQRTLRSGCIPVPQTLLLLSSAWLNCRNESHSVSKILLEMQSIGYHPDCGTCNYLISSLCSVDQLNEAVKVLKEMSRAGCVPDLESFDTVIGAMCTVRRTAEAIDMIKQMVEKVGLTPRQGTIAKVAAALRANRNIWRAVEMIEFLERDGYPVGFESYELVVNGCLDCGEYILAGKVVMGMTERGFIPYIRTRQKVVERLAGAGEWKLACSVRQRFGELRS >ONI16859 pep chromosome:Prunus_persica_NCBIv2:G3:11592361:11593392:-1 gene:PRUPE_3G125800 transcript:ONI16859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRAAKFCRSFLPLHCRLLQYCSIYSISSSQMLQETIKNAVEAKTYQKIPDLLKSSAQACQNPNPFSFLSSFPHNLRTQVVDEILQSFIPLRPRSRGQIAYSYLLSFTLQCSNPFPLALAILQRTLRSGCIPVPQTLLLLSSAWLNCRNESHSVSKILLEMQSIGYHPDCGTCNYLISSLCSVDQLNEAVKVLKEMSRAGCVPDLESFDTVIGAMCTVRRTAEAIDMIKQMVEKVGLTPRQGTIAKVAAALRANRNIWRAVEMIEFLERDGYPVGFESYELVVNGCLDCGEYILAGKVVMGMTERGFIPYIRTRQKVVERLAGAGEWKLACSVRQRFGELRS >ONI16858 pep chromosome:Prunus_persica_NCBIv2:G3:11590863:11594523:-1 gene:PRUPE_3G125800 transcript:ONI16858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRAAKFCRSFLPLHCRLLQYCSIYSISSSQMLQETIKNAVEAKTYQKIPDLLKSSAQACQNPNPFSFLSSFPHNLRTQVVDEILQSFIPLRPRSRGQIAYSYLLSFTLQCSNPFPLALAILQRTLRSGCIPVPQTLLLLSSAWLNCRNESHSVSKILLEMQSIGYHPDCGTCNYLISSLCSVDQLNEAVKVLKEMSRAGCVPDLESFDTVIGAMCTVRRTAEAIDMIKQMVEKVGLTPRQGTIAKVAAALRANRNIWRAVEMIEFLERDGYPVGFESYELVVNGCLDCGEYILAGKVVMGMTERGFIPYIRTRQKVVERLAGAGEWKLACSVRQRFGELRS >ONI15052 pep chromosome:Prunus_persica_NCBIv2:G3:1698858:1700163:1 gene:PRUPE_3G023000 transcript:ONI15052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSTLFHLHTSPSNPTLSHASPHLHLSCSFKPKTPKPPSLFSSTTPPPARDRVIDFGKHKGKMLGTLPSSYLRWISKNLRARDFEDWAKLADQVLDDAVYKDRIEWELAENVLNGNRSSALGAGGVSELLEMSERFGWDNNDKIGWRKVNFELLGTSRGGRIPRLSENGGGEREVERMREREKKKKVEVLGEGGERRRERRGRLRMKREDGGGVREEREEKLGILEKGGGDIGNEVGLGRNKENDEGWMVENSNRFPGREALLKKAYSRRMRRFS >ONI15944 pep chromosome:Prunus_persica_NCBIv2:G3:5051904:5055510:1 gene:PRUPE_3G070200 transcript:ONI15944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACYELTENFIGCISNNLSLMQNQSECPEECREAVPSLMYAAARFADLPELRDLRNMFTERYGNSLEPFVNKELVERLKAKPPTKEMKIQLLHDVAQEFSIEWDSKALEQKLYTPSPLDQGQCRYGSPGNSDDDMQKSHKSRDNSFPKRKNQDAGDVLSHRTKYTASVGSEDETTDVSQDAPKYCSSSVGSTSGDEADNKMPFYSKFAPPPYYKPKPERKESSFEEPTKLNSHVETDHHNDVSVGEDDPKPRSVRRKNLKPPPGRENLDRNDPRDEEERVLDGLLMHYSKKQAPYESRQMKGNPSQVDNDAGESANRSRRSQRDAPSARASSLPPEPTSPIEAPKRHDRTNSLQPDAHVHPKLPEYDELAARIAALRGI >ONI15941 pep chromosome:Prunus_persica_NCBIv2:G3:5050458:5055510:1 gene:PRUPE_3G070200 transcript:ONI15941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKCGRERWFWLCASPESSENKMLRGFRKPKFFTKCKSDIKITKLRFETIKKKRSAVQKYLKNDIADLLRSGLGINAYGRAEGLLVEQNMSACYELTENFIGCISNNLSLMQNQSECPEECREAVPSLMYAAARFADLPELRDLRNMFTERYGNSLEPFVNKELVERLKAKPPTKEMKIQLLHDVAQEFSIEWDSKALEQKLYTPSPLDQGQCRYGSPGNSDDDMQKSHKSRDNSFPKRKNQDAGDVLSHRTKYTASVGSEDETTDVSQDAPKYCSSSVGSTSGDEADNKMPFYSKFAPPPYYKPKPERKESSFEEPTKLNSHVETDHHNDVSVGEDDPKPRSVRRKNLKPPPGRENLDRNDPRDEEERVLDGLLMHYSKKQAPYESRQMKGNPSQVDNDAGESANRSRRSQRDAPSARASSLPPEPTSPIEAPKRHDRTNSLQPDAHVHPKLPEYDELAARIAALRGI >ONI15942 pep chromosome:Prunus_persica_NCBIv2:G3:5051904:5055510:1 gene:PRUPE_3G070200 transcript:ONI15942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGFRKPKFFTKCKSDIKITKLRFETIKKKRSAVQKYLKNDIADLLRSGLGINAYGRAEGLLVEQNMSACYELTENFIGCISNNLSLMQNQSECPEECREAVPSLMYAAARFADLPELRDLRNMFTERYGNSLEPFVNKELVERLKAKPPTKEMKIQLLHDVAQEFSIEWDSKALEQKLYTPSPLDQGQCRYGSPGNSDDDMQKSHKSRDNSFPKRKNQDAGDVLSHRTKYTASVGSEDETTDVSQDAPKYCSSSVGSTSGDEADNKMPFYSKFAPPPYYKPKPERKESSFEEPTKLNSHVETDHHNDVSVGEDDPKPRSVRRKNLKPPPGRENLDRNDPRDEEERVLDGLLMHYSKKQAPYESRQMKGNPSQVDNDAGESANRSRRSQRDAPSARASSLPPEPTSPIEAPKRHDRTNSLQPDAHVHPKLPEYDELAARIAALRGI >ONI15945 pep chromosome:Prunus_persica_NCBIv2:G3:5050458:5055510:1 gene:PRUPE_3G070200 transcript:ONI15945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACYELTENFIGCISNNLSLMQNQSECPEECREAVPSLMYAAARFADLPELRDLRNMFTERYGNSLEPFVNKELVERLKAKPPTKEMKIQLLHDVAQEFSIEWDSKALEQKLYTPSPLDQGQCRYGSPGNSDDDMQKSHKSRDNSFPKRKNQDAGDVLSHRTKYTASVGSEDETTDVSQDAPKYCSSSVGSTSGDEADNKMPFYSKFAPPPYYKPKPERKESSFEEPTKLNSHVETDHHNDVSVGEDDPKPRSVRRKNLKPPPGRENLDRNDPRDEEERVLDGLLMHYSKKQAPYESRQMKGNPSQVDNDAGESANRSRRSQRDAPSARASSLPPEPTSPIEAPKRHDRTNSLQPDAHVHPKLPEYDELAARIAALRGI >ONI15943 pep chromosome:Prunus_persica_NCBIv2:G3:5053199:5055510:1 gene:PRUPE_3G070200 transcript:ONI15943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLLVEQNMSACYELTENFIGCISNNLSLMQNQSECPEECREAVPSLMYAAARFADLPELRDLRNMFTERYGNSLEPFVNKELVERLKAKPPTKEMKIQLLHDVAQEFSIEWDSKALEQKLYTPSPLDQGQCRYGSPGNSDDDMQKSHKSRDNSFPKRKNQDAGDVLSHRTKYTASVGSEDETTDVSQDAPKYCSSSVGSTSGDEADNKMPFYSKFAPPPYYKPKPERKESSFEEPTKLNSHVETDHHNDVSVGEDDPKPRSVRRKNLKPPPGRENLDRNDPRDEEERVLDGLLMHYSKKQAPYESRQMKGNPSQVDNDAGESANRSRRSQRDAPSARASSLPPEPTSPIEAPKRHDRTNSLQPDAHVHPKLPEYDELAARIAALRGI >ONI18436 pep chromosome:Prunus_persica_NCBIv2:G3:21843372:21850078:-1 gene:PRUPE_3G215900 transcript:ONI18436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSRKRVAGPSTDGNEKHEEEEDIIRGTESSDEDTYLPSTSSASDSEEGEEHQYNVDLNDKPSVIIPIPKNGKVGRKKVRGEPIYEDKAHKLTQQPVEIDYFGLHKKKKKKSSKDREAKQRLKWNIWEEEHDKWIDDNVTEDFDLENQNNVISEVSEAPSDLIMPLLRYQKEWLAWALKQEESETRGGILADEMGMGKTIQAIALVLAKREINWTFNEPGSSTSFPGIKGTLVVCPVVAVSQWVNEIERFTSKGSTKVLVYHGANREKSSKQFSEYDFVITTYSIVEADYRKNVMPPKQKCHYCGKLFHEKRLSVHLKYFCGPHAFRTEKQSKQQRKKHLQSIPQKTFEPVKDKKHGGSRKRSKLHKDNDMDSEDVGQGFSRAKSVLHAVKWNRIILDEAHYIKSRRCNTARAVLALESSYKWALSGTPLQNRVGELYSLVRFLQLVPYSYYLCKDCDCINLDHSSSTHCSNCPHNSVRHFCWWNKYVATPIQLYGNQFRGKRAMLLLKQKILKNIVLRRTKKGRAADLALPPRIVSLRRDTLDIKEQDYYESLYNDSQALFNTYVNDGTVMNNYAHIFDLLTRLRQSVDHPYLVVYSATAALRNEGRVNNDINEQVCGICHEPAEDAVVTTCQHAFCKACLTDFSASFGQVSCPTCSKVLTVDFTTNLDAANQTTKTTIKGFRSSSIMNRIQLDNFQTSTKIEALREEIRCMVEKDGSAKGIVFSQFTAFLDLINYSLQKSGIKCVQLVGSMTMSARDNAIKTFTEDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVEQQAQDRIHRIGQYKPIRIVRFVIENTIEERILKLQEKKELVFEGTIGGSSDALGKLTEADLKFLFVT >ONI18437 pep chromosome:Prunus_persica_NCBIv2:G3:21843773:21849706:-1 gene:PRUPE_3G215900 transcript:ONI18437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSRKRVAGPSTDAGNEKHEEEEDIIRGTESSDEDTYLPSTSSASDSEEGEEHQYNVDLNDKPSVIIPIPKNGKVGRKKVRGEPIYEDKAHKLTQQPVEIDYFGLHKKKKKKSSKDREAKQRLKWNIWEEEHDKWIDDNVTEDFDLENQNNVISEVSEAPSDLIMPLLRYQKEWLAWALKQEESETRGGILADEMGMGKTIQAIALVLAKREINWTFNEPGSSTSFPGIKGTLVVCPVVAVSQWVNEIERFTSKGSTKVLVYHGANREKSSKQFSEYDFVITTYSIVEADYRKNVMPPKQKCHYCGKLFHEKRLSVHLKYFCGPHAFRTEKQSKQQRKKHLQSIPQKTFEPVKDKKHGGSRKRSKLHKDNDMDSEDVGQGFSRAKSVLHAVKWNRIILDEAHYIKSRRCNTARAVLALESSYKWALSGTPLQNRVGELYSLVRFLQLVPYSYYLCKDCDCINLDHSSSTHCSNCPHNSVRHFCWWNKYVATPIQLYGNQFRGKRAMLLLKQKILKNIVLRRTKKGRAADLALPPRIVSLRRDTLDIKEQDYYESLYNDSQALFNTYVNDGTVMNNYAHIFDLLTRLRQSVDHPYLVVYSATAALRNEGRVNNDINEQVCGICHEPAEDAVVTTCQHAFCKACLTDFSASFGQVSCPTCSKVLTVDFTTNLDAANQTTKTTIKGFRSSSIMNRIQLDNFQTSTKIEALREEIRCMVEKDGSAKGIVFSQFTAFLDLINYSLQKSGIKCVQLVGSMTMSARDNAIKTFTEDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVEQQAQDRIHRIGQYKPIRIVRFVIENTIEERILKLQEKKELVFEGTIGGSSDALGKLTEADLKFLFVT >ONI15796 pep chromosome:Prunus_persica_NCBIv2:G3:4447072:4448999:-1 gene:PRUPE_3G062300 transcript:ONI15796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDENGNSPLHYAASKGHREITWMLLRLDPKLAEQHNNNGHTPLHLAAINYKVSVLQMFVSMAKPSFQIVTKAGEMVFHLAVRYGRYDALVYLTHVCNDIEFFDCRDIYGNTILHLAVSEAQHKIAEYLISKKKVALNSRNNKGITALDILNEAKDSVENRRLGAMLVKSGGETRIELLSHSPKVETASAQSVVLENMQKRAQFVKEHELQLAIINEVASPASKTPSSLSPPKSRKPSNLPSPQSSKSSPKLPSPQSSKSSPKLPSPQSSKSSPQHQVGEGFDFETQNAEPLLPTIVRQHKHPSKRHQTELSQIFYNPRRKHHKVYTEALQNARNTITLVAILIATVTFAAGISPPGGVYQEGPMKGKSIAGRTTAYKVFAISNYVALFTSLAIVVVLVSIIPYRRKPLISLMAYAHKVMWVAVAFMATAYVAATWVIMPHSQKKEVVLVALLAVSIGTLGTIFIGLGVMLVDHWLRKSKWRKGRRERGLAIEDPEMGSPNSDVESTYNQGYHSY >ONI15795 pep chromosome:Prunus_persica_NCBIv2:G3:4445734:4449725:-1 gene:PRUPE_3G062300 transcript:ONI15795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSQNKEAIEKKEGNLDQGEDENKDLETQVHEACRTGNAEDLKLLLDADPEVASKLNTKDKSALSLACSHGHLDMVKLLLNEPGMLGSGKDGFDQTCIHVAVSGGQIVSVSGADVVKELLGVCPDLARMVDENGNSPLHYAASKGHREITWMLLRLDPKLAEQHNNNGHTPLHLAAINYKVSVLQMFVSMAKPSFQIVTKAGEMVFHLAVRYGRYDALVYLTHVCNDIEFFDCRDIYGNTILHLAVSEAQHKIAEYLISKKKVALNSRNNKGITALDILNEAKDSVENRRLGAMLVKSGGETRIELLSHSPKVETASAQSVVLENMQKRAQFVKEHELQLAIINEVASPASKTPSSLSPPKSRKPSNLPSPQSSKSSPKLPSPQSSKSSPKLPSPQSSKSSPQHQVGEGFDFETQNAEPLLPTIVRQHKHPSKRHQTELSQIFYNPRRKHHKVYTEALQNARNTITLVAILIATVTFAAGISPPGGVYQEGPMKGKSIAGRTTAYKVFAISNYVALFTSLAIVVVLVSIIPYRRKPLISLMAYAHKVMWVAVAFMATAYVAATWVIMPHSQKKEVVLVALLAVSIGTLGTIFIGLGVMLVDHWLRKSKWRKGRRERGLAIEDPEMGSPNSDVESTYNQGYHSY >ONI15794 pep chromosome:Prunus_persica_NCBIv2:G3:4445735:4449725:-1 gene:PRUPE_3G062300 transcript:ONI15794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSQNKEAIEKKEGNLDQGEDENKDLETQVHEACRTGNAEDLKLLLDADPEVASKLNTKDKSALSLACSHGHLDMVKLLLNEPGMLGSGKDGFDQTCIHVAVSGGQIDVVKELLGVCPDLARMVDENGNSPLHYAASKGHREITWMLLRLDPKLAEQHNNNGHTPLHLAAINYKVSVLQMFVSMAKPSFQIVTKAGEMVFHLAVRYGRYDALVYLTHVCNDIEFFDCRDIYGNTILHLAVSEAQHKIAEYLISKKKVALNSRNNKGITALDILNEAKDSVENRRLGAMLVKSGGETRIELLSHSPKVETASAQSVVLENMQKRAQFVKEHELQLAIINEVASPASKTPSSLSPPKSRKPSNLPSPQSSKSSPKLPSPQSSKSSPKLPSPQSSKSSPQHQVGEGFDFETQNAEPLLPTIVRQHKHPSKRHQTELSQIFYNPRRKHHKVYTEALQNARNTITLVAILIATVTFAAGISPPGGVYQEGPMKGKSIAGRTTAYKVFAISNYVALFTSLAIVVVLVSIIPYRRKPLISLMAYAHKVMWVAVAFMATAYVAATWVIMPHSQKKEVVLVALLAVSIGTLGTIFIGLGVMLVDHWLRKSKWRKGRRERGLAIEDPEMGSPNSDVESTYNQGYHSY >ONI15893 pep chromosome:Prunus_persica_NCBIv2:G3:4886472:4888680:1 gene:PRUPE_3G067700 transcript:ONI15893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIGVLMPVPMSPYLEQELEKRFNLLKLWTVPQKTQFLKDHSGSIRAVVGNAGNGADAELIETLPKLEIVSSFSVGIDKVDLNKCREKGIRVTNTPDVLTDDVADLAIGLALAVLRRLCESDRYVRSGQWKKGDYKLTTKFTGKTVGILGLGRIGKAVAKRAEAFSCPISYHSRTEKPELKYKYYPCVVELASNCDILVVVCALTEETRHIINREVIDALGPKGVLINIGRGPHVDEPELVSALLEGRLGGAGLDVYENEPEVPEQLFELENVVLLPHVGSDTVQTDTAMADLVIGNLEAHFSNKPLLTPVV >ONI15894 pep chromosome:Prunus_persica_NCBIv2:G3:4886441:4889655:1 gene:PRUPE_3G067700 transcript:ONI15894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIGVLMPVPMSPYLEQELEKRFNLLKLWTVPQKTQFLKDHSGSIRAVVGNAGNGADAELIETLPKLEIVSSFSVGIDKVDLNKCREKGIRVTNTPDVLTDDVADLAIGLALAVLRRLCESDRYVRSGQWKKGDYKLTTKFTGKTVGILGLGRIGKAVAKRAEAFSCPISYHSRTEKPELKYKYYPCVVELASNCDILVVVCALTEETRHIINREVIDALGPKGVLINIGRGPHVDEPELVSALLEGRLGGAGLDVYENEPEVPEQLFELENVVLLPHVGSDTVQTDTAMADLVIGNLEAHFSNKPLLTPVV >ONI15895 pep chromosome:Prunus_persica_NCBIv2:G3:4886458:4889655:1 gene:PRUPE_3G067700 transcript:ONI15895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIGVLMPVPMSPYLEQELEKRFNLLKLWTVPQKTQFLKDHSGSIRAVVGNAGNGADAELIETLPKLEIVSSFSVGIDKVDLNKCREKGIRVTNTPDVLTDDVADLAIGLALAVLRRLCESDRYVRSGQWKKGDYKLTTKFTGKTVGILGLGRIGKAVAKRAEAFSCPISYHSRTEKPELKYKYYPCVVELASNCDILVVVCALTEETRHIINREVIDALGPKGVLINIGRGPHVDEPELVSALLEGRLGGAGLDVYENEPEVPEQLFELENVVLLPHVGSDTVQTDTAMADLVIGNLEAHFSNKPLLTPVV >ONI19516 pep chromosome:Prunus_persica_NCBIv2:G3:25708137:25709570:-1 gene:PRUPE_3G282600 transcript:ONI19516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDFEKTLQTLPRVSFLVSDSFFWWTVDSAAKFGFPRFVFYGFANFPMAVGKVVAENRLLEGPESDTELITVTQFPWIKITKRDFHESFRDSSADAAQHEAFEFNMRRVVATVRSFGMIVNSFYELEPVFTDYWNTECGPRAWCVKGQDKFRIIYFA >ONI19230 pep chromosome:Prunus_persica_NCBIv2:G3:24947375:24951151:-1 gene:PRUPE_3G266200 transcript:ONI19230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAKDPAIKLFGKTIPVPEVPLSSGESVGAPEPDPSSGTLVEDTVDQDHASSSNSSPEVNTNRDREEKEVNNHKDTSGEKPMQTKQEDGIPPLSSEEVTNPDTASRVGVNPKSPVEKENATVKNLKTEEEQSETSNSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSASHYRHITVSEAMPNAQTDVLNGVHHPSVKSNGTVLTFCGDAPLCESMASVLHLADKNIQNGTHNGFQKPEGLRIPASYGSGVNGDDHSNRSTVTDSNSKDEACKTGSQEQVMQSYQGFPPQIPCFPGAPWPYPWNSAQWSSPVTPPAFCPPGFPMPYYPAAAYWGCAVQGAWNIPWLPPPSSPNHSAPSSGPNSPTLGKHSRDESTVKQNNSEEEEAPKEKSAERCLWIPKTLRIDDPGEAARSSIWATLGIKNDKADSLSGGGLFKAFQPKGDKKNHLTEASPVLQANPAALSRSLNFQESS >ONI17527 pep chromosome:Prunus_persica_NCBIv2:G3:18411092:18417224:1 gene:PRUPE_3G165000 transcript:ONI17527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLLSDEIVSEQLKRNIQFFGLEPQQKVTASYVVVIGLGGVGSHAASMLLRSGIGKLLLVDFDQVSVSSLNRHAVATRADVGIPKAQCLKEHFLSIFPECQIEAKVLLYDASTEEEILSGHPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRIADLRQSTNDPLSRAVRHRLRRDHGIEGGVTVVFSLEKPKAKLLPFKGPSGEEENPSDYQILPGFRVRIIPVLGTIPAIFGQVMASYVLTQLAGLQVQTEPIVNLDIDHYRMLHQRLIEHEESLYGTALQVQVDVEEVMFVVKELWHGRSAREPFAKDVGRGMWRSVNELMLVRWDQEKPASVANLILLKFKEAQEHELRVLEDIKEREPEYFVRVTSILKRAELEFGL >ONI17526 pep chromosome:Prunus_persica_NCBIv2:G3:18410880:18417241:1 gene:PRUPE_3G165000 transcript:ONI17526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERAKCLALLGAGALLGSVSTIFLLKLRARRTVKQCDEKGVELNITGNGNSRMAGLDLLSDEIVSEQLKRNIQFFGLEPQQKVTASYVVVIGLGGVGSHAASMLLRSGIGKLLLVDFDQVSVSSLNRHAVATRADVGIPKAQCLKEHFLSIFPECQIEAKVLLYDASTEEEILSGHPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRIADLRQSTNDPLSRAVRHRLRRDHGIEGGVTVVFSLEKPKAKLLPFKGPSGEEENPSDYQILPGFRVRIIPVLGTIPAIFGQVMASYVLTQLAGLQVQTEPIVNLDIDHYRMLHQRLIEHEESLYGTALQVQVDVEEVMFVVKELWHGRSAREPFAKDVGRGMWRSVNELMLVRWDQEKPASVANLILLKFKEAQEHELRVLEDIKEREPEYFVRVTSILKRAELEFGL >ONI17681 pep chromosome:Prunus_persica_NCBIv2:G3:19111150:19117350:-1 gene:PRUPE_3G173800 transcript:ONI17681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETKNGAAAPLSPGMKKMVQSLKEIVNCPEPEIYSVLKDCNMDPNEAVQRLLSLETLGGDRKVQSFECRGPCGTAVIDTFHEVKSKRERRKEMKETQDSKLRVHSSGSNRGVRGSSERNGGWCGSTQTSSNELGQAACKGQNGFVAPSASHFTGGTMSQQPSSHSDSLSTSIGSGDGATSASVQPSPGNQSTSFGTSSGHLSMAEIVKMGRPPSKGSHISSDTSSHQDAFATNLCNCRVESSQTSAFMEPEMHRHRCMHSQNPSRVSEMIHKPGDTSGQNAFHDEWPVIEQPTAASRPSVSSANVEIHANESNLYINDSNMPRDCQSHKVQVSEGNYSSQNLSSDHNAYAFASSRQKMVDASGGRSYCVDDLSSNSSSYDSHRSAYENGEGTGFGSNVSYPNHSVSNDVAVAASSATMNMQQLNLGKEEPTENCAVVLPNNLQELAADCSHLSFGTFRSGPSSAFSRSPSNSLKNDLGGFSAGINVSSGGHLDTRHESFNSGYNHDELLGSLYDTGRATRDAKHSDLPQPELIKHDILEPTPGHKDISASSLRDFDSENIQRASSGSSFARADPKFRNIPPHQNDMAYSDSMRSDLLESYSQLLVTPSVPSRHSSAISSVNNPTISVSEALRPGSIPLSEASSVLPQHLTSRSYSQPALSYEQLANIMGYPSMTQSYSPAPSTLQQAYLGGSAFQQSVAGMDYNFPQYRSGASVSRLPPSAAAVGGHGNFGASNNVHGSFLQNASAAPISMSDYDDALRARYKDGSHLTPLHQSSRTLSSIPDSVYNTLLRQNQQNAGYQQGQAGQMPSQLQHYGSPGYPDFYPSQMGITQEHHRQSVNDLSLAGIQDLSPQQLHQIWQRTY >ONI17679 pep chromosome:Prunus_persica_NCBIv2:G3:19110893:19117627:-1 gene:PRUPE_3G173800 transcript:ONI17679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETKNGAAAPLSPGMKKMVQSLKEIVNCPEPEIYSVLKDCNMDPNEAVQRLLSLDTFHEVKSKRERRKEMKETQDSKLRVHSSGSNRGVRGSSERNGGWCGSTQTSSNELGQAACKGQNGFVAPSASHFTGGTMSQQPSSHSDSLSTSIGSGDGATSASVQPSPGNQSTSFGTSSGHLSMAEIVKMGRPPSKGSHISSDTSSHQDAFATNLCNCRVESSQTSAFMEPEMHRHRCMHSQNPSRVSEMIHKPGDTSGQNAFHDEWPVIEQPTAASRPSVSSANVEIHANESNLYINDSNMPRDCQSHKVQVSEGNYSSQNLSSDHNAYAFASSRQKMVDASGGRSYCVDDLSSNSSSYDSHRSAYENGEGTGFGSNVSYPNHSVSNDVAVAASSATMNMQQLNLGKEEPTENCAVVLPNNLQELAADCSHLSFGTFRSGPSSAFSRSPSNSLKNDLGGFSAGINVSSGGHLDTRHESFNSGYNHDELLGSLYDTGRATRDAKHSDLPQPELIKHDILEPTPGHKDISASSLRDFDSENIQRASSGSSFARADPKFRNIPPHQNDMAYSDSMRSDLLESYSQLLVTPSVPSRHSSAISSVNNPTISVSEALRPGSIPLSEASSVLPQHLTSRSYSQPALSYEQLANIMGYPSMTQSYSPAPSTLQQAYLGGSAFQQSVAGMDYNFPQYRSGASVSRLPPSAAAVGGHGNFGASNNVHGSFLQNASAAPISMSDYDDALRARYKDGSHLTPLHQSSRTLSSIPDSVYNTLLRQNQQNAGYQQGQAGQMPSQLQHYGSPGYPDFYPSQMGITQEHHRQSVNDLSLAGIQDLSPQQLHQIWQRTY >ONI17682 pep chromosome:Prunus_persica_NCBIv2:G3:19110892:19117519:-1 gene:PRUPE_3G173800 transcript:ONI17682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETQDSKLRVHSSGSNRGVRGSSERNGGWCGSTQTSSNELGQAACKGQNGFVAPSASHFTGGTMSQQPSSHSDSLSTSIGSGDGATSASVQPSPGNQSTSFGTSSGHLSMAEIVKMGRPPSKGSHISSDTSSHQDAFATNLCNCRVESSQTSAFMEPEMHRHRCMHSQNPSRVSEMIHKPGDTSGQNAFHDEWPVIEQPTAASRPSVSSANVEIHANESNLYINDSNMPRDCQSHKVQVSEGNYSSQNLSSDHNAYAFASSRQKMVDASGGRSYCVDDLSSNSSSYDSHRSAYENGEGTGFGSNVSYPNHSVSNDVAVAASSATMNMQQLNLGKEEPTENCAVVLPNNLQELAADCSHLSFGTFRSGPSSAFSRSPSNSLKNDLGGFSAGINVSSGGHLDTRHESFNSGYNHDELLGSLYDTGRATRDAKHSDLPQPELIKHDILEPTPGHKDISASSLRDFDSENIQRASSGSSFARADPKFRNIPPHQNDMAYSDSMRSDLLESYSQLLVTPSVPSRHSSAISSVNNPTISVSEALRPGSIPLSEASSVLPQHLTSRSYSQPALSYEQLANIMGYPSMTQSYSPAPSTLQQAYLGGSAFQQSVAGMDYNFPQYRSGASVSRLPPSAAAVGGHGNFGASNNVHGSFLQNASAAPISMSDYDDALRARYKDGSHLTPLHQSSRTLSSIPDSVYNTLLRQNQQNAGYQQGQAGQMPSQLQHYGSPGYPDFYPSQMGITQEHHRQSVNDLSLAGIQDLSPQQLHQIWQRTY >ONI17680 pep chromosome:Prunus_persica_NCBIv2:G3:19110905:19117519:-1 gene:PRUPE_3G173800 transcript:ONI17680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETKNGAAAPLSPGMKKMVQSLKEIVNCPEPEIYSVLKDCNMDPNEAVQRLLSLGGDRKVQSFECRGPCGTAVIDTFHEVKSKRERRKEMKETQDSKLRVHSSGSNRGVRGSSERNGGWCGSTQTSSNELGQAACKGQNGFVAPSASHFTGGTMSQQPSSHSDSLSTSIGSGDGATSASVQPSPGNQSTSFGTSSGHLSMAEIVKMGRPPSKGSHISSDTSSHQDAFATNLCNCRVESSQTSAFMEPEMHRHRCMHSQNPSRVSEMIHKPGDTSGQNAFHDEWPVIEQPTAASRPSVSSANVEIHANESNLYINDSNMPRDCQSHKVQVSEGNYSSQNLSSDHNAYAFASSRQKMVDASGGRSYCVDDLSSNSSSYDSHRSAYENGEGTGFGSNVSYPNHSVSNDVAVAASSATMNMQQLNLGKEEPTENCAVVLPNNLQELAADCSHLSFGTFRSGPSSAFSRSPSNSLKNDLGGFSAGINVSSGGHLDTRHESFNSGYNHDELLGSLYDTGRATRDAKHSDLPQPELIKHDILEPTPGHKDISASSLRDFDSENIQRASSGSSFARADPKFRNIPPHQNDMAYSDSMRSDLLESYSQLLVTPSVPSRHSSAISSVNNPTISVSEALRPGSIPLSEASSVLPQHLTSRSYSQPALSYEQLANIMGYPSMTQSYSPAPSTLQQAYLGGSAFQQSVAGMDYNFPQYRSGASVSRLPPSAAAVGGHGNFGASNNVHGSFLQNASAAPISMSDYDDALRARYKDGSHLTPLHQSSRTLSSIPDSVYNTLLRQNQQNAGYQQGQAGQMPSQLQHYGSPGYPDFYPSQMGITQEHHRQSVNDLSLAGIQDLSPQQLHQIWQRTY >ONI16766 pep chromosome:Prunus_persica_NCBIv2:G3:10711539:10712534:-1 gene:PRUPE_3G121000 transcript:ONI16766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKAEIKVEVKIKKQRNCRRNHGVNWVRGELIGRGRYGSVHLATSAKPITRFGRRPEIMVVKSSNGVPCLRSVQHEAEVLIKLKGCPSIIECFGGQFTADENGEKVYNLFLEYASGGSLDHLIKKSDGCGLPESKVKWYTRSILEGVKHIHQCDYVHCDLTSENILLVPTTTSCGSTSLVAKVADFKLAKRTKEKVGGSGCRGSPIYLSPEALLDKLQDQPYEIWSVGCIVLEMLTGKPSWDKSYYWKPQDFLDMLVFNSPKIPAKISKEGRDFLKICLAFNPYKRLTTEELLSHPFVAQSIPPEEYNGSSQSDIASVTLSSSSEEVTVL >ONI17887 pep chromosome:Prunus_persica_NCBIv2:G3:20027970:20030182:-1 gene:PRUPE_3G185700 transcript:ONI17887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKTTCLTYFVAIFAVLLASRRVIDPNSSPLKHIVDLSLATTSSVPSNIISYLIPNHIGRHHKKKDNGTLGSICDDFPRGVPPPDTNKTSYLCVDRNGCCNFTLVQAAVDAAPALSQKRTIIWINNGIYYEKILIPKNKPNITFQGQGYESTAIAWNDTANSSHGTFYSGSVQVFADNFVAKNISFMNLAPIPKPGDFGAQAVAIRISGDQAAFLGCGFFGAQDTLHDDKGRHYFKDCYVQGSIDFIFGNGRSLYENCQLISIANPLPPESRNVNGAITAHGRTSKDDNTGFAFVNCFIGGTGRVWLGRAWRPFSRVVFVNTIMADIIAPEGWNDFNDPTRDQTIFYGEYNCSGAGANMTMRAPFVQKLNDTEASTFLNVSWIDGGDWLQRNIN >ONI17888 pep chromosome:Prunus_persica_NCBIv2:G3:20028282:20030158:-1 gene:PRUPE_3G185700 transcript:ONI17888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKTTCLTYFVAIFAVLLASRRVIDPNSSPLKHIVDLSLATTSSVPSNIISYLIPNHIGRHHKKKDNGTLGSICDDFPRGVPPPDTNKTSYLCVDRNGCCNFTLVQAAVDAAPALSQKRTIIWINNGIYYEKILIPKNKPNITFQGQGYESTAIAWNDTANSSHGTFYSGSVQVFADNFVAKNISFMKWELYKKNLAPIPKPGDFGAQAVAIRISGDQAAFLGCGFFGAQDTLHDDKGRHYFKDCYVQGSIDFIFGNGRSLYENCQLISIANPLPPESRNVNGAITAHGRTSKDDNTGFAFVNCFIGGTGRVWLGRAWRPFSRVVFVNTIMADIIAPEGWNDFNDPTRDQTIFYGEYNCSGAGANMTMRAPFVQKLNDTEASTFLNVSWIDGGDWLQRNIN >ONI19855 pep chromosome:Prunus_persica_NCBIv2:G3:26589126:26592909:1 gene:PRUPE_3G301400 transcript:ONI19855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVYNYQKRQCLPISFLVNSFFFFFFFFFFFFFQFFGEVRDMTHTSYLTFGKALNFGAGAVRRSLNFQLNFPHKFFIRRIRMENSNHNCSLRGGKEDSRGALVVLEGLDRCGKTTQSTRLVANLERLGHSAELWRFPDRTTSVGQMISSYLSNKSQLDDHTIHLLFSANRWEKRSLMESKLKSGITLVVDRYSYSGVAFSSAKGLDIEWCKAPEIGLLAPDLVVYLDIPPEKAAERGGYGGERYEQLEFQKKVGQNYQVLRGPTWKIIDACSPMEDIEEQLQNMALDCVKTCQEGKPLSCRWSC >ONI19856 pep chromosome:Prunus_persica_NCBIv2:G3:26589118:26592975:1 gene:PRUPE_3G301400 transcript:ONI19856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSNHNCSLRGGKEDSRGALVVLEGLDRCGKTTQSTRLVANLERLGHSAELWRFPDRTTSVGQMISSYLSNKSQLDDHTIHLLFSANRWEKRSLMESKLKSGITLVVDRYSYSGVAFSSAKGLDIEWCKAPEIGLLAPDLVVYLDIPPEKAAERGGYGGERYEQLEFQKKVGQNYQVLRGPTWKIIDACSPMEDIEEQLQNMALDCVKTCQEGKPLSCRWSC >ONI15618 pep chromosome:Prunus_persica_NCBIv2:G3:3649571:3655994:1 gene:PRUPE_3G051800 transcript:ONI15618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRSSLGRTFSWICDGELELGSYCTQRTIINGVNLLFLFVFCLLVLIGSIRKHRITVPFRRDYFSIVVSICCALTSIAYFGAGLWDLIAQSDVSGHFGWLDYFVRGLVWFSYTVSLLVQRSKWIKVLNSVWWVSSFSLVSAYNIEVLIRTHNIHMFDAMTWPVNLLLLLCAVRNLSQCVHQHAQDNSLSEPLLARKSAGKSQKTELEHASFLSKLTFAWINPLLKLGSSKTLALEDIPSLVSEDEADLAYQKFAHAWDSLSREKRPSSTRNLVLQTLAKVYMKENTWIAFCAFLRTISIAVSPLILYAFVNYSNSDKENLSEGLRILGCLILSKVVESLSQRHWFFGSRRCGMRMRSALMVAVYQKQLKLSSLGRRRHSAGEIVNYIAVDAYRMGEFPWWFHSAWTYALQLFLTIGVLYWVVGLGALPGLIPLFICGLLNVPFAKALQKCQSQFMIAQDERLRATSEILNSMKIIKLQSWEEKFKTLVDSLREREFIWLTDSQMKRAYGTLMYWMSPTIISSVIFLGCIIFQSVPLNASTIFTVLASLRNMGEPVRMIPEALSVMIQVKVSFDRLNVFLLDDELKDNEVRKLSSQNSDESLRIERGNFSWYPESTVPTLRNVNLEVQREQKVAVCGPVGAGKSSLLCAILGEMPKISGTVDVFGTMAYVSQTSWIQSGTVRDNILYGRPMDKNKYDKAIKACALDKDIDSFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAHTAAILFHDCVMAALARKTVILVTHQVEFLSEVDKILVMEGGKVTQSGSYESLLTAGTAFEQLVNAHKDAVTTLGPSNYQSQGESEKGDMVRPEEPHAAYLTANNSEGDISVKGVAGVQLTEEEGKEIGDVGWKPFWDYIFVSKGTLLLCLGIITQSGFVALQAAATYWLALGIQIPKVTNGVLIGVYTAISTLSAVFVYLRSFFAANMGLKASRAFYSGFTDAIFKAPMLFFDSTPVGRILIRASSDLSILDFDIPFSIIFVVSAGVELLTTIGIMASVTWQVLIIGFLAMVAAKYVQGYYLASARELIRINGTTKAPVMNYASETSLGVVTIRAFKMADRFFNTYLELVDTDARLFFHSNATMEWLILRTEVLQNLTLFTAAFFIVLLPKGYVAPGLVGLSLSYALSLTATQIFVTRWYCNLSNYIISVERIKQFMQISPEPPAIVEDKRPPSSWPSKGRIELYSLKIKYRPNAPLVLKGITCTFREGTRVGVVGRTGSGKTTLISALFRLVEPASGKIIIDGLDICSMGLKDLRMKLSIIPQEPTLFRGSIRTNLDPLGLYSDDEIWRALEKCQLKATVSKLPNLLDSSVSDEGENWSAGQRQLFCLGRVLLKRNRILVLDEATASIDSSTDAILQRIIRQEFSECTVITVAHRVPTVIDSDMVMVLSYGKLVEYEEPAKLLDTNSYFSKLVAEYWSSCKRT >ONI15617 pep chromosome:Prunus_persica_NCBIv2:G3:3648383:3655994:1 gene:PRUPE_3G051800 transcript:ONI15617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRSSLGRTFSWICDGELELGSYCTQRTIINGVNLLFLFVFCLLVLIGSIRKHRITVPFRRDYFSIVVSICCALTSIAYFGAGLWDLIAQSDVSGHFGWLDYFVRGLVWFSYTVSLLVQRSKWIKVLNSVWWVSSFSLVSAYNIEVLIRTHNIHMFDAMTWPVNLLLLLCAVRNLSQCVHQHAQDNSLSEPLLARKSAGKSQKTELEHASFLSKLTFAWINPLLKLGSSKTLALEDIPSLVSEDEADLAYQKFAHAWDSLSREKRPSSTRNLVLQTLAKVYMKENTWIAFCAFLRTISIAVSPLILYAFVNYSNSDKENLSEGLRILGCLILSKVVESLSQRHWFFGSRRCGMRMRSALMVAVYQKQLKLSSLGRRRHSAGEIVNYIAVDAYRMGEFPWWFHSAWTYALQLFLTIGVLYWVVGLGALPGLIPLFICGLLNVPFAKALQKCQSQFMIAQDERLRATSEILNSMKIIKLQSWEEKFKTLVDSLREREFIWLTDSQMKRAYGTLMYWMSPTIISSVIFLGCIIFQSVPLNASTIFTVLASLRNMGEPVRMIPEALSVMIQVKVSFDRLNVFLLDDELKDNEVRKLSSQNSDESLRIERGNFSWYPESTVPTLRNVNLEVQREQKVAVCGPVGAGKSSLLCAILGEMPKISGTVDVFGTMAYVSQTSWIQSGTVRDNILYGRPMDKNKYDKAIKACALDKDIDSFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAHTAAILFHDCVMAALARKTVILVTHQVEFLSEVDKILVMEGGKVTQSGSYESLLTAGTAFEQLVNAHKDAVTTLGPSNYQSQGESEKGDMVRPEEPHAAYLTANNSEGDISVKGVAGVQLTEEEGKEIGDVGWKPFWDYIFVSKGTLLLCLGIITQSGFVALQAAATYWLALGIQIPKVTNGVLIGVYTAISTLSAVFVYLRSFFAANMGLKASRAFYSGFTDAIFKAPMLFFDSTPVGRILIRASSDLSILDFDIPFSIIFVVSAGVELLTTIGIMASVTWQVLIIGFLAMVAAKYVQGYYLASARELIRINGTTKAPVMNYASETSLGVVTIRAFKMADRFFNTYLELVDTDARLFFHSNATMEWLILRTEVLQNLTLFTAAFFIVLLPKGYVAPGLVGLSLSYALSLTATQIFVTRWYCNLSNYIISVERIKQFMQISPEPPAIVEDKRPPSSWPSKGRIELYSLKIKYRPNAPLVLKGITCTFREGTRVGVVGRTGSGKTTLISALFRLVEPASGKIIIDGLDICSMGLKDLRMKLSIIPQEPTLFRGSIRTNLDPLGLYSDDEIWRALEKCQLKATVSKLPNLLDSSVSDEGENWSAGQRQLFCLGRVLLKRNRILVLDEATASIDSSTDAILQRIIRQEFSECTVITVAHRVPTVIDSDMVMVLSYGKLVEYEEPAKLLDTNSYFSKLVAEYWSSCKRT >ONI19204 pep chromosome:Prunus_persica_NCBIv2:G3:24856157:24861254:1 gene:PRUPE_3G264100 transcript:ONI19204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFVSQMVPEWQEAYMDYNSLKLLLKDILCFRQCNKVTTPMASTPEGSSLKRRVSLYRAFSGLTSRHRGSPKKKEDEEILVSEEGAERQWQTMFLMSSDEGGDIEVVFFKRLDEEFNKVINFYKKKVGEVVDEAEELSRQMDALIALRLKVENPLVELGGTDVIDRGSNGVSSQSTVHPTSGRRPGGPQMDVIPEVEMSNEGEMEDEERGSKESKTSDQKGNGSTADIKGFKPPSLEILDHVKINVTPETPISTVKGILKSSKPDLSFSKKELRKAEEQMTEAFNEFYQKLRLIKSYCFLNQLAFSKIMKKYDKISSRNASKAYLNMVDNSYLGSCDEVTRIMERVEATFIKHFTNGNRREGMKTLRPRARREKHRSTFFLGLFSGVSIALVVAIIVLIHARNIFRSEGRGQYMENIFPLYSLFGFMVLHMLMFSANIYYWRRYRVNYPFIFGFQQGAELGYRQFFLLSSGLAILALAGVLSNLDMEMDPSTKSFRALTELVPLGLVTVVLLIMFCPFNIIYRSSRFFLLQCIFHCLCAPLYKVSLPDFFLADQLTSQVQAFRSLEFYVCYYGWGDFKKRSHNCLDSRVYRSFYFIVAIIPYWIRSLQCLRRLIEEKDGMQGLNGLKYFSTILAVAMRTSFDMKKGMTWKVLAITSSAVATIVSTYWDIVIDWGLLRRNSRNPWLRDKLLISNKSVYFIAMVLNVILRLAWMQSVLGIKEVPFVHRTALVAIVACLEIIRRGIWNFFRLENEHLNNVGKFRAFKSVPLPFNYDCDESKSR >ONI19245 pep chromosome:Prunus_persica_NCBIv2:G3:24982214:24984407:-1 gene:PRUPE_3G267100 transcript:ONI19245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESPDESSLTLPLILDEEQHEPASNKVEEVESNFHHESTATTSFLKTFFNGLNALSGVGILSVPYALSSGGWLSLILLFAIAASCFYTGLLIKRCMDMDSDIRTYPDIGERAFGNKGRIWLSVVMNIELYLVATGFLILEGDNLHNIFPASAIILGSILWIGSFDGIGFHQAGSPVNWNGIPTAVSLYAFCYCAHPVFPTLYTSMKNKHQFSNVLLLCFILCTVSYASMAVFGYLMFGSTVQSQITLNLPTRNISSKVAIFTTLVNPISKYALMVTPIVNAAKKKFPSHYSKRFIGLLASTTLVISTVIVALAIPFFAYLMSLVGAFLSVTGSIVFPCFCYLKLSGNYRRFGCEVLIIGCILLLSAAIIVFGTYTALVEIIGSL >ONI19248 pep chromosome:Prunus_persica_NCBIv2:G3:24982512:24983623:-1 gene:PRUPE_3G267100 transcript:ONI19248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDSDIRTYPDIGERAFGNKGRIWLSVVMNIELYLVATGFLILEGDNLHNIFPGVELEVAGLRIGGKHCFIVVVALIILPTVWLDNLSLLSYVSASGVLASAIILGSILWIGSFDGIGFHQAGSPVNWNGIPTAVSLYAFCYCAHPVFPTLYTSMKNKHQFSNVLLLCFILCTVSYASMAVFGYLMFGSTVQSQITLNLPTRNISSKVAIFTTLVNPISKYALMVTPIVNAAKKKFPSHYSKRFIGLLASTTLVISTVIVALAIPFFAYLMSLVGAFLSVTGSIVFPCFCYLKLSGNYRRFGCEVLIIGCILLLSAAIIVFGTYTALVEIIGSL >ONI19246 pep chromosome:Prunus_persica_NCBIv2:G3:24982214:24984314:-1 gene:PRUPE_3G267100 transcript:ONI19246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESPDESSLTLPLILDEEQHEPASNKVEEVESNFHHESTATTSFLKTFFNGLNALSGVGILSVPYALSSGGWLSLILLFAIAASCFYTGLLIKRCMDMDSDIRTYPDIGERAFGNKGRIWLSVVMNIELYLVATGFLILEGDNLHNIFPGVELEVAGLRIGGKHCFIVVVALIILPTVWLDNLSLLSYVSASGVLASAIILGSILWIGSFDGIGFHQAGSPVNWNGIPTAVSLYAFCYCAHPVFPTLYTSMKNKHQFSNVLLLCFILCTVSYASMAVFGYLMFGSTVQSQITLNLPTRNISSKVAIFTTLVNPISKYALMVTPIVNAAKKKFPSHYSKRFIGLLASTTLVISTVIVALAIPFFAYLMSLVGAFLSVTGSIVFPCFCYLKLSGNYRRFGCEVLIIGCILLLSAAIIVFGTYTALVEIIGSL >ONI19249 pep chromosome:Prunus_persica_NCBIv2:G3:24982214:24984357:-1 gene:PRUPE_3G267100 transcript:ONI19249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESPDESSLTLPLILDEEQHEPASNKVEEVESNFHHESTATTSFLKTFFNGLNALSGVGILSVPYALSSGGWLSLILLFAIAASCFYTGLLIKRCMDMDSDIRTYPDIGERAFGNKGRIWLSVVMNIELYLVATGFLILEGDNLHNIFPGVELEVAGLRIGGKHCFIVVVALIILPTVWLDNLSLLSYVSASGVLASAIILGSILWIGSFDGIGFHQAGSPVNWNGIPTAVSLYAFCYCAHPVFPTLYTSMKNKHQFSNVLLLCFILCTVSYASMAVFGYLMFGSTVQSQITLNLPTRNISSKVAIFTTLVNPISKYALMVTPIVNAAKKKFPSHYSKRFIGLLASTTLVIIEDSDVRC >ONI19244 pep chromosome:Prunus_persica_NCBIv2:G3:24982214:24985517:-1 gene:PRUPE_3G267100 transcript:ONI19244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFNGLNALSGVGILSVPYALSSGGWLSLILLFAIAASCFYTGLLIKRCMDMDSDIRTYPDIGERAFGNKGRIWLSVVMNIELYLVATGFLILEGDNLHNIFPASAIILGSILWIGSFDGIGFHQAGSPVNWNGIPTAVSLYAFCYCAHPVFPTLYTSMKNKHQFSNVLLLCFILCTVSYASMAVFGYLMFGSTVQSQITLNLPTRNISSKVAIFTTLVNPISKYALMVTPIVNAAKKKFPSHYSKRFIGLLASTTLVISTVIVALAIPFFAYLMSLVGAFLSVTGSIVFPCFCYLKLSGNYRRFGCEVLIIGCILLLSAAIIVFGTYTALVEIIGSL >ONI19247 pep chromosome:Prunus_persica_NCBIv2:G3:24982214:24984327:-1 gene:PRUPE_3G267100 transcript:ONI19247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDSDIRTYPDIGERAFGNKGRIWLSVVMNIELYLVATGFLILEGDNLHNIFPASAIILGSILWIGSFDGIGFHQAGSPVNWNGIPTAVSLYAFCYCAHPVFPTLYTSMKNKHQFSNVLLLCFILCTVSYASMAVFGYLMFGSTVQSQITLNLPTRNISSKVAIFTTLVNPISKYALMVTPIVNAAKKKFPSHYSKRFIGLLASTTLVISTVIVALAIPFFAYLMSLVGAFLSVTGSIVFPCFCYLKLSGNYRRFGCEVLIIGCILLLSAAIIVFGTYTALVEIIGSL >ONI16378 pep chromosome:Prunus_persica_NCBIv2:G3:7131511:7136699:-1 gene:PRUPE_3G095200 transcript:ONI16378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATDDDGWVNLAMNDEAAVVDVLLLLRHAEPPRPSKTSRDLPSLRWSVRQRRSKNVPRHHHASDLVVVEGKDKKKGESSAARASPTTPLSWSGATSVSGGALDGSEESSRPPKPTDAARSKVAVRSEAAIFKRPRKKKTLAELKEEESFLLKERRNLKNQLATLHLTVEKQKATNESLRKIKMITRCLKTSRSLSFQQWPTPGSLVSSTKDASCIQLCLDLQLPLTTMKATTSVVPGESISYQLEQVNAVGVPTKIMPTIVHCSNLGASQSSCPPNVSCKVEEAGSQDAAFSLPDLNLPFGDDPSSEVLYRTS >ONI16382 pep chromosome:Prunus_persica_NCBIv2:G3:7131511:7134933:-1 gene:PRUPE_3G095200 transcript:ONI16382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDLYFSLPSCSGAFPISVEPVAVRSEAAIFKRPRKKKTLAELKEEESFLLKERRNLKNQLATLHLTVEKQKATNESLRKIKMITRCLKTSRSLSFQQWPTPGSLVSSTKDASCIQLCLDLQLPLTTMKATTSVVPGESISYQLEQVNAVGVPTKIMPTIVHCSNLGASQSSCPPNVSCKVEEAGSQDAAFSLPDLNLPFGDDPSSEVLYRTS >ONI16379 pep chromosome:Prunus_persica_NCBIv2:G3:7131511:7135871:-1 gene:PRUPE_3G095200 transcript:ONI16379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMGMSRDRPGQFVHFRTPDRFLDPPVLWTGRCRFTIVNIVWTEMPSSLRVAVRSEAAIFKRPRKKKTLAELKEEESFLLKERRNLKNQLATLHLTVEKQKATNESLRKIKLDLQLPLTTMKATTSVVPGESISYQLEQVNAVGVPTKIMPTIVHCSNLGASQSSCPPNVSCKVEEAGSQDAAFSLPDLNLPFGDDPSSEVLYRTS >ONI16377 pep chromosome:Prunus_persica_NCBIv2:G3:7131511:7136711:-1 gene:PRUPE_3G095200 transcript:ONI16377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATDDDGWVNLAMNDEAAVVDVLLLLRHAEPPRPSKTSRDLPSLRWSVRQRRSKNVPRHHHASDLVVVEGKDKKKGESSAARASPTTPLSWSGATSVSGGALDGSEESSRPPKPTDAARSKVAVRSEAAIFKRPRKKKTLAELKEEESFLLKERRNLKNQLATLHLTVEKQKATNESLRKIKLDLQLPLTTMKATTSVVPGESISYQLEQVNAVGVPTKIMPTIVHCSNLGASQSSCPPNVSCKVEEAGSQDAAFSLPDLNLPFGDDPSSEVLYRTS >ONI16381 pep chromosome:Prunus_persica_NCBIv2:G3:7131511:7134923:-1 gene:PRUPE_3G095200 transcript:ONI16381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGQSLDPTGTVKWVAVRSEAAIFKRPRKKKTLAELKEEESFLLKERRNLKNQLATLHLTVEKQKATNESLRKIKMITRCLKTSRSLSFQQWPTPGSLVSSTKDASCIQLCLDLQLPLTTMKATTSVVPGESISYQLEQVNAVGVPTKIMPTIVHCSNLGASQSSCPPNVSCKVEEAGSQDAAFSLPDLNLPFGDDPSSEVLYRTS >ONI16383 pep chromosome:Prunus_persica_NCBIv2:G3:7132276:7136644:-1 gene:PRUPE_3G095200 transcript:ONI16383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATDDDGWVNLAMNDEAAVVDVLLLLRHAEPPRPSKTSRDLPSLRWSVRQRRSKNVPRHHHASDLVVVEGKDKKKGESSAARASPTTPLSWSGATSVSGGALDGSEESSRPPKPTDAARSKVAVRSEAAIFKRPRKKKTLAELKEEESFLLKERRNLKNQLATLHLTVEKQKATNESLRKIKMITRCLKTSRSLSFQQWPTPGSLVSSTKDASCIQLCVWDFTVYIFVIISYLNKNVL >ONI16380 pep chromosome:Prunus_persica_NCBIv2:G3:7131950:7135768:-1 gene:PRUPE_3G095200 transcript:ONI16380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMGMSRDRPGQFVHFRTPDRFLDPPVLWTGRCRFTIVNIVWTEMPSSLRVAVRSEAAIFKRPRKKKTLAELKEEESFLLKERRNLKNQLATLHLTVEKQKATNESLRKIKMITRCLKTSRSLSFQQWPTPGSLVSSTKDASCIQLCLDLQLPLTTMKATTSVVPGESISYQLEQVNAVGVPTKIMPTIVHCSNLGASQSSCPPNVSCKVEEAGSQDAAFSLPDLNLPFGDDPSSEVLYRTS >ONI16384 pep chromosome:Prunus_persica_NCBIv2:G3:7132729:7136688:-1 gene:PRUPE_3G095200 transcript:ONI16384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATDDDGWVNLAMNDEAAVVDVLLLLRHAEPPRPSKTSRDLPSLRWSVRQRRSKNVPRHHHASDLVVVEGKDKKKGESSAARASPTTPLSWSGATSVSGGALDGSEESSRPPKPTDAARSKVAVRSEAAIFKRPRKKKTLAELKEEESFLLKERRNLKNQLATLHLTVEKQKATNESLRKIKISLF >ONI15771 pep chromosome:Prunus_persica_NCBIv2:G3:4336151:4341332:1 gene:PRUPE_3G060300 transcript:ONI15771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMELFRTRKREPMNSLSVFTHSEIMEMENLIKHAGQQSLSQEFFQDLATSFSCTPIRAGKPDITWEQVQSWFQNKQKELQANSNSSPTALELFVDLSDSNVSSNATENSQKPKGKWSTELHELAFEARSAKDDAWYDVASFLSYRVVNSGELEVRVRYSGFGREDDEWVNVTRAVRERSIPLEASECHKVKVGDLVLSFQEREHQAVYCDAHVVDIQRGLHDVTGCKCIFVVRFDHDNTEEEIQLRRLCIRPAHNASAVTNTQQHDLFRNKDMKFSFLY >ONI15769 pep chromosome:Prunus_persica_NCBIv2:G3:4336151:4341332:1 gene:PRUPE_3G060300 transcript:ONI15769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMELFRTRKREPMNSLSVFTHSEIMEMENLIKHAGQQSLSQEFFQDLATSFSCTPIRAGKPDITWEQVQSWFQNKQKELQANSNSSPTALELFVDLSDSNVSSNATENSQKPKGKWSTELHELAFEARSAKDDAWYDVASFLSYRVVNSGELEVRVRYSGFGREDDEWVNVTRAVRERSIPLEASECHKVKVGDLVLSFQEREHQAVYCDAHVVDIQRGLHDVTGCKCIFVVRFDHDNTESPTPNSAQIHIEA >ONI15770 pep chromosome:Prunus_persica_NCBIv2:G3:4336108:4341332:1 gene:PRUPE_3G060300 transcript:ONI15770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMELFRTRKREPMNSLSVFTHSEIMEMENLIKHAGQQSLSQEFFQDLATSFSCTPIRAGKPDITWEQVQSWFQNKQKELQANSNSSPTALELFVDLSDSNVSSNATENSQKPKGKWSTELHELAFEARSAKDDAWYDVASFLSYRVVNSGELEVRVRYSGFGREDDEWVNVTRAVRERSIPLEASECHKVKVGDLVLSFQEREHQAVYCDAHVVDIQRGLHDVTGCKCIFVVRFDHDNTEEEIQLRRLCIRPAHNASAVTNTQQHDLFRNKDMKFSFLY >ONI19359 pep chromosome:Prunus_persica_NCBIv2:G3:25355075:25356216:-1 gene:PRUPE_3G274400 transcript:ONI19359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPDFIAVFFLFLMWNFLLFGHGMAHTVTFDIRNKCPFPIWPATAPNNGQPVIADGGFYLPAGQTRRISAPWSWNGRIWARTGCNFASNWNPACETGDCDGRLACNGLIGTPPVTLVQVSLQGDKDRPNFYDVSLVDGYNLPVAVLSKPVASNCGIGGCLKNLKSWCPEELKVLNSKGEVVACKSACLAFNTDPFCCRNEYGTPAKCKPSVYSKIFKDACPSYYSYAYDSPPPLVTCKSSEYVITFCPSGWGTGAYASI >ONI16362 pep chromosome:Prunus_persica_NCBIv2:G3:7067252:7073206:1 gene:PRUPE_3G094300 transcript:ONI16362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACLQLNAKPFSPLLSPNPVSTGTRKLGRISCSAASPSKRHTITLLPGDGIGPEVISVARNVLNLAASIEGIEFKYQEVPVGGAALDLTGVPLPEETLLAAKQSDAVLLGAIGGYKWDKNEKHLKPETGLLKLREGLKVFANLRPATVLPQLVDASTLKREVAEGVDLMVVRELTGGIYFGKPRGFGTNENGEEIGFNTEVYAAYEIDRIARVAFETARKRKGKLCSVDKANVLEASMFWRKRIMAIALEYPDVELSHMYVDNAAMQLVRDPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEEKAAKRIEAAVLDTLNKGFRTGDIYSAGTKLVGCKEMGEEVLKSVDSLVPSSV >ONI16361 pep chromosome:Prunus_persica_NCBIv2:G3:7067252:7073206:1 gene:PRUPE_3G094300 transcript:ONI16361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACLQLNAKPFSPLLSPNPVSTGTRKLGRISCSAASPSKRHTITLLPGDGIGPEVISVARNVLNLAASIEGIEFKYQEVPVGGAALDLTGVPLPEETLLAAKQSDAVLLGAIGGYKWDKNEKHLKPETGLLKLREGLKVFANLRPATVLPQLVDASTLKREVAEGVDLMVVRELTGGIYFGKPRGFGTNENGEEIGFNTEVYAAYEIDRIARVAFETARKRKGKLCSVDKANVLEASMFWRKRIMAIALEYPDVELSHMYVDNAAMQLVRDPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEEKAAKRIEAAVLDTLNKGFRTGDIYSAGTKLVGCKEMGEEVLKSVDSLVPSSV >ONI18742 pep chromosome:Prunus_persica_NCBIv2:G3:23101891:23105382:1 gene:PRUPE_3G236100 transcript:ONI18742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDILFGWRKASKCKKLIKQVQCRLKLLKNKRQTIVRQLREDVAELIKHGHEDKAFNRVGQIIKDECIVAVYELLDNFCEFILIHLPYIRRHKDCPNDINEAVSSLIYASARCGDLPELRVIRKLFGERYGQKFAVTALELFPGNLVNRQLIEKLSPKSVTDDMKQRLVNEIARNYCIKPEVLAIEYYSEWQQKVKEIGGLRVLDTDVQTYYEGTERSELQIVNVEEIEREVVDVDSNVSSTSASSSLAMQVGPTISESLMHDKPQIEENCAEVDSPSMSTVGTEESQSSTVGSTTRDGDIVIYMDDIEEVQSFTARRDGDSQDQRIFKFKAIEPKRENLESSCDLSYADCYETWSESSESKSSRRRWKGSGKRPRKRSVCQENQCLKDNECLSYYGRKQQKKTVVGEIESSYSAQKSLKQPCHMKMGASILSCQCGCDGKRLGCGCSLEHPCYFCTGNGNNVDCHVLPWKQKRGITTRVGVSTHGREVQLNKGMEWPDVPQEPMRRRSCGNEAMVYNVFTYPDHHQPTMQNKVPRETVEELESSIQCRRASCSSTSPNVVSSWSTRKEMVPPYMRAVTMPPERAKDNHKDDFQRSFSDAFQYPTHVHPKLPDYDDIAAKFMALKKERLQSKPHCSNRQL >ONI18743 pep chromosome:Prunus_persica_NCBIv2:G3:23101890:23105382:1 gene:PRUPE_3G236100 transcript:ONI18743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRLVNEIARNYCIKPEVLAIEYYSEWQQKVKEIGGLRVLDTDVQTYYEGTERSELQIVNVEEIEREVVDVDSNVSSTSASSSLAMQVGPTISESLMHDKPQIEENCAEVDSPSMSTVGTEESQSSTVGSTTRDGDIVIYMDDIEEVQSFTARRDGDSQDQRIFKFKAIEPKRENLESSCDLSYADCYETWSESSESKSSRRRWKGSGKRPRKRSVCQENQCLKDNECLSYYGRKQQKKTVVGEIESSYSAQKSLKQPCHMKMGASILSCQCGCDGKRLGCGCSLEHPCYFCTGNGNNVDCHVLPWKQKRGITTRVGVSTHGREVQLNKGMEWPDVPQEPMRRRSCGNEAMVYNVFTYPDHHQPTMQNKVPRETVEELESSIQCRRASCSSTSPNVVSSWSTRKEMVPPYMRAVTMPPERAKDNHKDDFQRSFSDAFQYPTHVHPKLPDYDDIAAKFMALKKERLQSKPHCSNRQL >ONI19423 pep chromosome:Prunus_persica_NCBIv2:G3:25565162:25566041:1 gene:PRUPE_3G279200 transcript:ONI19423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMISELWLTNLTKPFILQFTLLHTRLESQFQTKCQDTQKNFVPAAVLYGHYRRQKAEHKASRGSL >ONI19259 pep chromosome:Prunus_persica_NCBIv2:G3:25033957:25036251:-1 gene:PRUPE_3G268000 transcript:ONI19259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKEGVKKGPWTPEEDITLVSYIQEHGPGNWRAVPTNTGLHRCSKSCRLRWTNYLRPGIKRGNFTDHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLNKLQAGTEGHSKDQGSSTSSQAISRGQWERRLQTDIHMARQALRDALSPEKPSTLSSDLKPADGFLISTTKPAQDQSTTTYASSTENISRLLKDWMKNPPKSSSARTNLAKTQHSFTNMVSGFDCTSSEGATSAANTTSGVELSDAFESRFGFESLDSSNSDLSPSMSPEASLFQDESKPNLISDQFLSLIDKWIFDEDAAAIQGKDMMTLDHENAYFF >ONI19260 pep chromosome:Prunus_persica_NCBIv2:G3:25033957:25036240:-1 gene:PRUPE_3G268000 transcript:ONI19260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKEGVKKGPWTPEEDITLVSYIQEHGPGNWRAVPTNTGLHRCSKSCRLRWTNYLRPGIKRGNFTDHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLNKLQAGTEGHSKDQGSSTSSQAISRGQWERRLQTDIHMARQALRDALSPEKPSTLSSDLKPADGFLISTTKPAQDQSTTTYASSTENISRLLKDWMKNPPKSSSARTNLAKTQHSFTNMVSGFDCTSSEGATSAANTTSGVELSDAFESRFGFESLDSSNSDLSPSMSPEASLFQDESKPNLISDQFLSLIDKWIFDEDAAAIQGKDMMTLDHENAYFF >ONI14936 pep chromosome:Prunus_persica_NCBIv2:G3:1198646:1201009:-1 gene:PRUPE_3G016800 transcript:ONI14936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKNAEPTEFEESVGQAIFDLETNSDLKSELKDLYINSAVQVDVAGNRKAVVIHIPYRLRKAYRKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKKGSAVQRPRTRTLTAVHEAMLEDVVLPAEIVGKRTRYRLDGSKIMKMKAKNLIPKRRFCYEDVQRLPMEWMNCERSTFRFSWIPRNETTRSTSWRAFLQFTGSFQEKMLYLSTQ >ONI14933 pep chromosome:Prunus_persica_NCBIv2:G3:1198616:1201084:-1 gene:PRUPE_3G016800 transcript:ONI14933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKNAEPTEFEESVGQAIFDLETNSDLKSELKDLYINSAVQVDVAGNRKAVVIHIPYRLRKAYRKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKKGSAVQRPRTRTLTAVHEAMLEDVVLPAEIVGKRTRYRLDGSKIMKVFLDPKERNNTEYKLESFSAVYRKLSGKDVVFEYPITDA >ONI14935 pep chromosome:Prunus_persica_NCBIv2:G3:1198645:1201009:-1 gene:PRUPE_3G016800 transcript:ONI14935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKNAEPTEFEESVGQAIFDLETNSDLKSELKDLYINSAVQVDVAGNRKAVVIHIPYRLRKAYRKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKKGSAVQRPRTRTLTAVHEAMLEDVVLPAEIVGKRTRYRLDGSKIMKAKNLIPKRRFCYEDVQRLPMEWMNCERSTFRFSWIPRNETTRSTSWRAFLQFTGSFQEKMLYLSTQ >ONI14938 pep chromosome:Prunus_persica_NCBIv2:G3:1199332:1201010:-1 gene:PRUPE_3G016800 transcript:ONI14938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKNAEPTEFEESVGQAIFDLETNSDLKSELKDLYINSAVQVDVAGNRKAVVIHIPYRLRKAYRKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKKGSAVQRPRTRTLTAVHEAMLEDVVLPAEIVGKRTRYRLDGSKIMKVSCRRKTILLDI >ONI14937 pep chromosome:Prunus_persica_NCBIv2:G3:1198973:1200330:-1 gene:PRUPE_3G016800 transcript:ONI14937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKNAEPTEFEESVGQAIFDLETNSDLKSELKDLYINSAVQVDVAGNRKAVVIHIPYRLRKAYRKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKKGSAVQRPRTRTLTAVHEAMLEDVVLPAEIVGKRTRYRLDGSKIMKMKAKNLIPKRRFCYEDVQRLPMEWMNCERSTFRFSWIPRNETTRSTSWRAFLQFTGSFQEKMLYLSTQ >ONI14934 pep chromosome:Prunus_persica_NCBIv2:G3:1198973:1200330:-1 gene:PRUPE_3G016800 transcript:ONI14934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKNAEPTEFEESVGQAIFDLETNSDLKSELKDLYINSAVQVDVAGNRKAVVIHIPYRLRKAYRKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKKGSAVQRPRTRTLTAVHEAMLEDVVLPAEIVGKRTRYRLDGSKIMKAKNLIPKRRFCYEDVQRLPMEWMNCERSTFRFSWIPRNETTRSTSWRAFLQFTGSFQEKMLYLSTQ >ONI14932 pep chromosome:Prunus_persica_NCBIv2:G3:1198622:1201084:-1 gene:PRUPE_3G016800 transcript:ONI14932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSRKKIHKDKNAEPTEFEESVGQAIFDLETNSDLKSELKDLYINSAVQVDVAGNRKAVVIHIPYRLRKAYRKIHVRLVRELEKKFSGKDVVLIATRRIVRPPKKGSAVQRPRTRTLTAVHEAMLEDVVLPAEIVGKRTRYRLDGSKIMKVFLDPKERNNTEYKLESFSAVYRKLSGKDVVFEYPITDA >ONI18680 pep chromosome:Prunus_persica_NCBIv2:G3:22902642:22905435:-1 gene:PRUPE_3G232500 transcript:ONI18680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGNGNGSSNNSSRGRPYGLMLVMAFGAALLGVMVLHKLRERRIFNLLLKEKDTDLISLHHLLQKERYYAKELKRKNEEMTTKLYSIRTQKMELDRRVLELKSTIDSLKEELQTMEAALEEKQSEIKMQRVNVENENPQVVELLEILKKKEAEVEDLKQHLEYPVKVSWVSTDDPSNPAVNLTLSESVAGKGKTEVTETTEEADRLQESTISNKDIEKERPSEGGSGNKSIRLRQGENTTEVDDQIGNGEGETDRREMMSKQSENFMNPKDGNIKGDTEEEGQGKRGENFKDGEESNLANAAEAISDTNGKDDGNIENSELNESQKLHAPPNGGMKLEMQDNSDNSGRSRRVRGKHGFISGDRRKKSRLIAKYRRLGNRGNFKTNGVGSVGSVRSTRFSKDGQNGSMDSGDLEEAASNRRLKGDSKQRLEVGKSEDHEAINMQQQNLNNRDIKKPENSAEKTKSADTQDLPADLEVADAEEQEKDATDDNVFDELSGSNLEEDKEEYREEVDESEF >ONI19611 pep chromosome:Prunus_persica_NCBIv2:G3:25929017:25934479:1 gene:PRUPE_3G287400 transcript:ONI19611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTSGEDGNDIDWNTEDELEIDNFTLSSSSSLTVPGGEAVVGCGESSSSPGPSNSKVVDHFIGMGFSGKMVAKAIQEHGEENTDSILETLLTYSALESSPQEQQQVDCNHCSSDNEESFLDDFSDMDSFSDNEEIINPTSEKEKKILSLVNMGYTVEEASIAIERCGLDSTVVELTDFIFAAQTAKAEDVNFPLEEKPRPNHAYKKRKLLEYEMLKKKRQMRLGNQTTGEDDETVHLPNPMVGFGIPSEPCLKTQRNLPEGARGPPYFYYENVALAPKGVWTTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPLDNRFPLIPLPPNTIHEAFPLTRKWWPSWDTRTKLNCLQTCIASAKLTERIRKAIEDYDGEPPLGVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQVDTVAYHLSVLREMFPNGINLLSLFSGIGGAEIALHRLGIPLKNVVSVEKSAVNRSVVRSWWEQTNQRGNLYDLDDVQQLNGDSLEHYMNLFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFYDYFRILDLVKCIMSKNN >ONI19613 pep chromosome:Prunus_persica_NCBIv2:G3:25929017:25934479:1 gene:PRUPE_3G287400 transcript:ONI19613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTSGEDGNDIDWNTEDELEIDNFTLSSSSSLTVPGGEAVVGCGESSSSPGPSNSKVVDHFIGMGFSGKMVAKAIQEHGEENTDSILETLLTYSALESSPQEQQQVDCNHCSSDNEESFLDDFSDMDSFSDNEEIINPTSEKEKKILSLVNMGYTVEEASIAIERCGLDSTVVELTDFIFAAQTAKAEDVNFPLEEKPRPNHAYKKRKLLEYEMLKKKRQMRLGNQTTGEDDETVHLPNPMVGFGIPSEPCLKTQRNLPEGARGPPYFYYENVALAPKGVWTTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPLDNRFPLIPLPPNTIHEAFPLTRKWWPSWDTRTKLNCLQTCIASAKLTERIRKAIEDYDGEPPLGVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQVDTVAYHLSVLREMFPNGINLLSLFSGIGGAEIALHRLGIPLKNVVSVEKSAVNRSVVRSWWEQTNQRGNLYDLDDVQQLNGDSLEHYMNLFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFYDYFRILDLVKCIMSKNN >ONI19610 pep chromosome:Prunus_persica_NCBIv2:G3:25929017:25934479:1 gene:PRUPE_3G287400 transcript:ONI19610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTSGEDGNDIDWNTEDELEIDNFTLSSSSSLTVPGGEAVVGCGESSSSPGPSNSKVVDHFIGMGFSGKMVAKAIQEHGEENTDSILETLLTYSALESSPQEQQQVDCNHCSSDNEESFLDDFSDMDSFSDNEEIINPTSEKEKKILSLVNMGYTVEEASIAIERCGLDSTVVELTDFIFAAQTAKAEDVNFPLEEKPRPNHAYKKRKLLEYEMLKKKRQMRLGNQTTGEDDETVHLPNPMVGFGIPSEPCLKTQRNLPEGARGPPYFYYENVALAPKGVWTTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPLDNRFPLIPLPPNTIHEAFPLTRKWWPSWDTRTKLNCLQTCIASAKLTERIRKAIEDYDGEPPLGVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQVDTVAYHLSVLREMFPNGINLLSLFSGIGGAEIALHRLGIPLKNVVSVEKSAVNRSVVRSWWEQTNQRGNLYDLDDVQQLNGDSLEHYMNLFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFYDYFRILDLVKCIMSKNN >ONI19612 pep chromosome:Prunus_persica_NCBIv2:G3:25929017:25934479:1 gene:PRUPE_3G287400 transcript:ONI19612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNTSGEDGNDIDWNTEDELEIDNFTLSSSSSLTVPGGEAVVGCGESSSSPGPSNSKVVDHFIGMGFSGKMVAKAIQEHGEENTDSILETLLTYSALESSPQEQQQVDCNHCSSDNEESFLDDFSDMDSFSDNEEIINPTSEKEKKILSLVNMGYTVEEASIAIERCGLDSTVVELTDFIFAAQTAKAEDVNFPLEEKPRPNHAYKKRKLLEYEMLKKKRQMRLGNQTTGEDDETVHLPNPMVGFGIPSEPCLKTQRNLPEGARGPPYFYYENVALAPKGVWTTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPLDNRFPLIPLPPNTIHEAFPLTRKWWPSWDTRTKLNCLQTCIASAKLTERIRKAIEDYDGEPPLGVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQVDTVAYHLSVLREMFPNGINLLSLFSGIGGAEIALHRLGIPLKNVVSVEKSAVNRSVVRSWWEQTNQRGNLYDLDDVQQLNGDSLEHYMNLFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFYDYFRILDLVKCIMSKNN >ONI19614 pep chromosome:Prunus_persica_NCBIv2:G3:25929017:25934479:1 gene:PRUPE_3G287400 transcript:ONI19614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSGKMVAKAIQEHGEENTDSILETLLTYSALESSPQEQQQVDCNHCSSDNEESFLDDFSDMDSFSDNEEIINPTSEKEKKILSLVNMGYTVEEASIAIERCGLDSTVVELTDFIFAAQTAKAEDVNFPLEEKPRPNHAYKKRKLLEYEMLKKKRQMRLGNQTTGEDDETVHLPNPMVGFGIPSEPCLKTQRNLPEGARGPPYFYYENVALAPKGVWTTISRFLYDVQPEFVDSKYFCAAARKRGYVHNLPLDNRFPLIPLPPNTIHEAFPLTRKWWPSWDTRTKLNCLQTCIASAKLTERIRKAIEDYDGEPPLGVQKYVLDECRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGISRTDRYKSLGNSFQVDTVAYHLSVLREMFPNGINLLSLFSGIGGAEIALHRLGIPLKNVVSVEKSAVNRSVVRSWWEQTNQRGNLYDLDDVQQLNGDSLEHYMNLFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFYDYFRILDLVKCIMSKNN >ONI16023 pep chromosome:Prunus_persica_NCBIv2:G3:5437163:5440974:-1 gene:PRUPE_3G074400 transcript:ONI16023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPCPEPNSDAQSDQFPLLLEQMESPNDHVHIIDVTRNRDTSASSSSDDRPPRVDLPQHEDRPSASTHAPTYQAASSSSNRLNSRNSSFMRRGDGYSRRRRSPLNSGLWISVELVVTVSQIIASIVVLSLSTNENPQAPLFAWVVGYASGCVATLPILYWRFRNRNRGAEQESIQSHQGSSESNPPEPMSYTAISISQASDEENNRTSDTVTNNPHIAGPLSARLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHSSPSDAPKLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFRGDFSQTRGATVESINALPTYKFKLKENETNNDHECNLDSEGGLLAAGTEKERAISGEDAVCCICLAKYADEDELRELPCLHVFHVECVDKWLKINASCPLCKSEVGESSSASPLARDSDQQ >ONI16022 pep chromosome:Prunus_persica_NCBIv2:G3:5437163:5441135:-1 gene:PRUPE_3G074400 transcript:ONI16022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPCPEPNSDAQSDQFPLLLEQMESPNDHVHIIDVTRNRDTSASSSSDDRPPRVDLPQHEDRPSASTHAPTYQAASSSSNRLNSRNSSFMRRGDGYSRRRRSPLNSGLWISVELVVTVSQIIASIVVLSLSTNENPQAPLFAWVVGYASGCVATLPILYWRFRNRNRGAEQESIQSHQGSSESNPPEPMSYTAISISQASDEENNRTSDTVTNNPHIAGPLSARLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHSSPSDAPKLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFRGDFSQTRGATVESINALPTYKFKLKENETNNDHECNLDSEGGLLAAGTEKERAISGEDAVCCICLAKYADEDELRELPCLHVFHVECVDKWLKINASCPLCKSEVGESSSASPLARDSDQQ >ONI16020 pep chromosome:Prunus_persica_NCBIv2:G3:5437163:5441174:-1 gene:PRUPE_3G074400 transcript:ONI16020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPCPEPNSDAQSDQFPLLLEQMESPNDHVHIIDVTRNRDTSASSSSDDRPPRVDLPQHEDRPSASTHAPTYQAASSSSNRLNSRNSSFMRRGDGYSRRRRSPLNSGLWISVELVVTVSQIIASIVVLSLSTNENPQAPLFAWVVGYASGCVATLPILYWRFRNRNRGAEQESIQSHQGSSESNPPEPMSYTAISISQASDEENNRTSDTVTNNPHIAGPLSARLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHSSPSDAPKLYSCIGYAMPFILCATICCCLPCIISVLGFRGDFSQTRGATVESINALPTYKFKLKENETNNDHECNLDSEGGLLAAGTEKERAISGEDAVCCICLAKYADEDELRELPCLHVFHVECVDKWLKINASCPLCKSEVGESSSASPLARDSDQQ >ONI16021 pep chromosome:Prunus_persica_NCBIv2:G3:5437570:5439980:-1 gene:PRUPE_3G074400 transcript:ONI16021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPCPEPNSDAQSDQFPLLLEQMESPNDHVHIIDVTRNRDTSASSSSDDRPPRVDLPQHEDRPSASTHAPTYQAASSSSNRLNSRNSSFMRRGDGYSRRRRSPLNSGLWISVELVVTVSQIIASIVVLSLSTNENPQAPLFAWVVGYASGCVATLPILYWRFRNRNRGAEQESIQSHQGSSESNPPEPMSYTAISISQASDEENNRTSDTVTNNPHIAGPLSARLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHSSPSDAPKLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFRGDFSQTRGATVESINALPTYKFKLKENETNNDHECNLDSEGGLLAAGTEKERAISGEDAVCCICLAKYADEDELRELPCLHVFHVECVDKWLKINASCPLCKSEVGESSSASPLARDSDQQ >ONI16024 pep chromosome:Prunus_persica_NCBIv2:G3:5437163:5441208:-1 gene:PRUPE_3G074400 transcript:ONI16024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPCPEPNSDAQSDQFPLLLEQMESPNDHVHIIDVTRNRDTSASSSSDDRPPRVDLPQHEDRPSASTHAPTYQAASSSSNRLNSRNSSFMRRGDGYSRRRRSPLNSGLWISVELVVTVSQIIASIVVLSLSTNENPQAPLFAWVVGYASGCVATLPILYWRFRNRNRGAEQESIQSHQGSSESNPPEPMSYTAISISQASDEENNRTSDTVTNNPHIAGPLSARLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHSSPSDAPKLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFRGDFSQTRGATVESINALPTYKFKLKENETNNDHECNLDSEGGLLAAGTEKERAISGEDAVCCICLAKYADEDELRELPCLHVFHVECVDKWLKINASCPLCKSEVGESSSASPLARDSDQQ >ONI16025 pep chromosome:Prunus_persica_NCBIv2:G3:5437163:5441180:-1 gene:PRUPE_3G074400 transcript:ONI16025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPNDHVHIIDVTRNRDTSASSSSDDRPPRVDLPQHEDRPSASTHAPTYQAASSSSNRLNSRNSSFMRRGDGYSRRRRSPLNSGLWISVELVVTVSQIIASIVVLSLSTNENPQAPLFAWVVGYASGCVATLPILYWRFRNRNRGAEQESIQSHQGSSESNPPEPMSYTAISISQASDEENNRTSDTVTNNPHIAGPLSARLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHSSPSDAPKLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFRGDFSQTRGATVESINALPTYKFKLKENETNNDHECNLDSEGGLLAAGTEKERAISGEDAVCCICLAKYADEDELRELPCLHVFHVECVDKWLKINASCPLCKSEVGESSSASPLARDSDQQ >ONI17815 pep chromosome:Prunus_persica_NCBIv2:G3:19607767:19613999:1 gene:PRUPE_3G180100 transcript:ONI17815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTLFLKPFISAPLDNWSKLKACTNSIGANVKVRGRVGVVCMGMLAPRKFLQKRKKVEVFKDAADEAEQKNWRRLMNEIEETGSAVSVLKSERLKDRTIPKDLVLGTLVRFKQLKKWNLVSQILEWLQTQNWWDFSKMDFLMLITAYGKQGHFNGAEKVLSLMNEKGIPPSVISHTALMEAYGKGGRYNNAEAIFRRMQSSGPEPSAVTYQIILKIFVEGCKFKEAEEIFETLLDEGKSPLKPDQKMFHMMIYMYKKVGSYDKARKMFALMAERGVQQSTVTYNSLMSFETNYKEVSKMYNQMQRAGLRPDVVSYALLISAYGKARREEEALAVFEEMLDAGVRPTSKAYNILLDAFAVSGMVDQARTVFKSMRRDRYKPDLCSYTTMLSAYVNASDMEGAEKFFLRIKQDALKPNIVTYGTLIKGYAKTNNIEKMMEKYEEMQAAGVKPNQTILTTIMDAYGKNRDFGSAVVWYKEMETCRLPPDQKAKNILLSLAKTAEEQKVANQVVGNLDQCSNEQGGTKFLVPVDENDSEDEDEDDDNNHDDELDGPSQVTSSYDEQKHELIYLNGDNVKNLDGLLKVADL >ONI18405 pep chromosome:Prunus_persica_NCBIv2:G3:21749206:21752248:-1 gene:PRUPE_3G213900 transcript:ONI18405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSPAVLKAGLVIVGVCIAGYIVGPPLYWHFMEGLAAVSHSSSSSSLSSCPPCTCDCSSQPLLSIPIGLSNISFADCAKHDPEVSEDTEKNFVDLLTEELKLREAEALENQQRADMALLEAKKIASQYQKEADKCNSGMETCEEAREKAEATLEAQRKLTATWELRARQRGWKEGVTNSNTQTQGNVQTV >ONI15319 pep chromosome:Prunus_persica_NCBIv2:G3:2706280:2715430:-1 gene:PRUPE_3G037400 transcript:ONI15319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPICTDWESACWAMAKSWLDVQLDLELAHLEPGRLDQFKSIGNAIDGSPGHSDGAVQPSNGPGIWPLQVLNQQPRQLSDLLQKLHSGEMVHESVTRGCKEQQRQIEMILMLGDIARLLDLIWSWIAPSEDDQNVFRPHGDPQMIRFGAHLVLVLRYLLGDEMDAFREKIMNVGDLIVHMYAMFLFSKQHEELVGIYASQLARHRCIDLFVHMMELRLNSSVHVKYKIFLSAMEYLQFSPVDNSKGSFEEIVERVLSRSREIKVGKYDKLSDVAEQHRLQSLPKAMVIQWLCFTPPSTITNVEDVSTKLLLRALMHSNILFREFALVSMWRVPAMPIGAHTLLSFLAEPLKQLSESSDSLEDYNVSQNLEEFHDWSEYYSCDAKYRNWLKIELENAEVSPLELSMEEKQRAILSAKETVNSSLSLLLRKENPWLAPGEDHVYESVEPIFLELHATAMLCLRSGECLPPDATVCATLMSALYSSVSEQDVLNRQLMINVSISSKDSYCIEVVLRCLAVAGDGLGQQEHNDGGILSTVMAAGFKGELLRFQSGVTMEISRLDAWYSSKGGSLESPATYIVQGLCRRCCIPEVILRCMEVSLSLIELGMPPEGHDQLIGLVASSEAGVLHLFSYQQLQEFLLVEREYSIRQMELEEELSS >ONI15318 pep chromosome:Prunus_persica_NCBIv2:G3:2706496:2717646:-1 gene:PRUPE_3G037400 transcript:ONI15318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEMDTSPSFFDPEDLSTREKFRRYGKRHLGSNISPHQENSASKFSESWLLYDGLSIHSPTNAALLLENIKQEVESIDPYHLEGTPGKTPVSKWRSPIDGTEVDVGSGLVHHSIKLLKQEEDSLADDGDTTFALFASLLDSALQGLMSFPDLILRFEGSCRDVSESIRYGSNIRHRIVEDKLMRQKAQLLLDEAASWSLLWYLFGKGNPSLTSELFMLELLPSTSHLEACQFVAEDHTAQLCLRIVQWLEGLASKALDLERKVRGSHVGACLPSSGIWYHTQCYLKKGASSTNTIHHLDFDAPTREHAQQLPDDKKQDESLLEDVWTLLRAGRLEEACHLCRSAGQPWRAATLCVFGGLDQFPSIEALVKNGKDRTLQAIELESGIGHQWHLWKWASYCASEKIAEQDAGKYESAVYAAQCSNLKRMLPICTDWESACWAMAKSWLDVQLDLELAHLEPGRLDQFKSIGNAIDGSPGHSDGAVQPSNGPGIWPLQVLNQQPRQLSDLLQKLHSGEMVHESVTRGCKEQQRQIEMILMLGDIARLLDLIWSWIAPSEDDQNVFRPHGDPQMIRFGAHLVLVLRYLLGDEMDAFREKIMNVGDLIVHMYAMFLFSKQHEELVGIYASQLARHRCIDLFVHMMELRLNSSVHVKYKIFLSAMEYLQFSPVDNSKGSFEEIVERVLSRSREIKVGKYDKLSDVAEQHRLQSLPKAMVIQWLCFTPPSTITNVEDVSTKLLLRALMHSNILFREFALVSMWRVPAMPIGAHTLLSFLAEPLKQLSESSDSLEDYNVSQNLEEFHDWSEYYSCDAKYRNWLKIELENAEVSPLELSMEEKQRAILSAKETVNSSLSLLLRKENPWLAPGEDHVYESVEPIFLELHATAMLCLRSGECLPPDATVCATLMSALYSSVSEQDVLNRQLMINVSISSKDSYCIEVVLRCLAVAGDGLGQQEHNDGGILSTVMAAGFKGELLRFQSGVTMEISRLDAWYSSKGGSLESPATYIVQGLCRRCCIPEVILRCMEVSLSLIELGMPPEGHDQLIGLVASSEAGVLHLFSYQQLQEFLLVEREYSIRQMELEEELSS >ONI18073 pep chromosome:Prunus_persica_NCBIv2:G3:20679043:20685009:1 gene:PRUPE_3G195600 transcript:ONI18073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEEILFNSPAQDDLVVGDQFSILKGYGTYGIVGAVIVAVLIPVLLSPIFMGKKRGKQRGVPAEVGGEAGYAMRNARVTELIEVPQKGATTMAALFELSCKKYSQNQFLGTRKFIGREFVTASDGRKFEKLHLGDYEWQTYREVFDRACNFASGLINLGHNVDSRAAIFSDTRAEWFIAFQGCFRQNVTVVTIYSSLGEDALIHSLNETQVSTLICDAKQLKKLAAISSSLNTIQNVIYFEDEGSSDTSISGSMSNWKVASFSEVEKLGQKGTVHPRLPSKNDIAVVMYTSGSTGLPKGVMITHGNIVATTAAVMTVVPSLGSTDVYLAYLPLAHVFELAAESVMLAAGCSIGYGSALTFTDTSNKIKKGTKGDATMLKPTIMTAVPAILDRVRDGVLKKVEEKGGLAKNLFNLGFRRRLAAVEGSWFGAWGLERMVWDAVVFTKIRSVLGGRIRFMLCGGAPLSAESQRFINICMGAPIGQGYGLTETFAGATFSEWDDTTVGRVGPPLPCGYIKLVSWKEGGYLASDKPMPRGEIVVGGFSVTAGYFKNQEKTDEVYKVDEKGMRWFYTGDIGMFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEASLQSSNYVDNIMAYADPSHNYCIALVVPARQALEKWAQEADIKYNDFSELCGKAETVTEVQQSLSKVAKAAKLDKFETPAKIQLLADPWTPESGLVTAAMKIKREQIKSKFKNELQKLYA >ONI18074 pep chromosome:Prunus_persica_NCBIv2:G3:20679562:20684489:1 gene:PRUPE_3G195600 transcript:ONI18074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEEILFNSPAQDDLVVGDQFSILKGYGTYGIVGAVIVAVLIPVLLSPIFMGKKRGKQRGVPAEVGGEAGYAMRNARVTELIEVPQKGATTMAALFELSCKKYSQNQFLGTRKFIGREFVTASDGRKFEKLHLGDYEWQTYREVFDRACNFASGLINLGHNVDSRAAIFSDTRAEWFIAFQGCFRQNVTVVTIYSSLGEDALIHSLNETQVSTLICDAKQLKKLAAISSSLNTIQNVIYFEDEGSSDTSISGSMSNWKVASFSEVEKLGQKGTVHPRLPSKNDIAVVMYTSGSTGLPKGVMITHGNIVATTAAVMTVVPSLGSTDVYLAYLPLAHVFELAAESVMLAAGCSIGYGSALTFTDTSNKIKKGTKGDATMLKPTIMTAVPAILDRVRDGVLKKVEEKGGLAKNLFNLGFRRRLAAVEGSWFGAWGLERMVWDAVVFTKIRSVLGGRIRFMLCGGAPLSAESQRFINICMGAPIGQGYGLTETFAGATFSEWDDTTVGRVGPPLPCGYIKLVSWKEGGYLASDKPMPRGEIVVGGFSVTAGYFKNQEKTDEVYKVDEKGMRWFYTGDIGMFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEASLQSSNYVDNIMAYADPSHNYCIALVVPARQALEKWAQEADIKYNDFSELCGKAETVTEVQQSLSKVAKAAKLDKFETPAKIQLLADPWTPESGLVTAAMKIKREQIKSKFKNELQKLYA >ONI18072 pep chromosome:Prunus_persica_NCBIv2:G3:20679310:20684489:1 gene:PRUPE_3G195600 transcript:ONI18072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEEILFNSPAQDDLVVGDQFSILKGYGTYGIVGAVIVAVLIPVLLSPIFMGKKRGKQRGVPAEVGGEAGYAMRNARVTELIEVPQKGATTMAALFELSCKKYSQNQFLGTRKFIGREFVTASDGRKFEKLHLGDYEWQTYREVFDRACNFASGLINLGHNVDSRAAIFSDTRAEWFIAFQGCFRQNVTVVTIYSSLGEDALIHSLNETQVSTLICDAKQLKKLAAISSSLNTIQNVIYFEDEGSSDTSISGSMSNWKVASFSEVEKLGQKGTVHPRLPSKNDIAVVMYTSGSTGLPKGVMITHGNIVATTAAVMTVVPSLGSTDVYLAYLPLAHVFELAAESVMLAAGCSIGYGSALTFTDTSNKIKKGTKGDATMLKPTIMTAVPAILDRVRDGVLKKVEEKGGLAKNLFNLGFRRRLAAVEGSWFGAWGLERMVWDAVVFTKIRSVLGGRIRFMLCGGAPLSAESQRFINICMGAPIGQGYGLTETFAGATFSEWDDTTVGRVGPPLPCGYIKLVSWKEGGYLASDKPMPRGEIVVGGFSVTAGYFKNQEKTDEVYKVDEKGMRWFYTGDIGMFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEASLQSSNYVDNIMAYADPSHNYCIALVVPARQALEKWAQEADIKYNDFSELCGKAETVTEVQQSLSKVAKAAKLDKFETPAKIQLLADPWTPESGLVTAAMKIKREQIKSKFKNELQKLYA >ONI18075 pep chromosome:Prunus_persica_NCBIv2:G3:20679392:20684489:1 gene:PRUPE_3G195600 transcript:ONI18075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSEEILFNSPAQDDLVVGDQFSILKGYGTYGIVGAVIVAVLIPVLLSPIFMGKKRGKQRGVPAEVGGEAGYAMRNARVTELIEVPQKGATTMAALFELSCKKYSQNQFLGTRKFIGREFVTASDGRKFEKLHLGDYEWQTYREVFDRACNFASGLINLGHNVDSRAAIFSDTRAEWFIAFQGCFRQNVTVVTIYSSLGEDALIHSLNETQVSTLICDAKQLKKLAAISSSLNTIQNVIYFEDEGSSDTSISGSMSNWKVASFSEVEKLGQKGTVHPRLPSKNDIAVVMYTSGSTGLPKGVMITHGNIVATTAAVMTVVPSLGSTDVYLAYLPLAHVFELAAESVMLAAGCSIGYGSALTFTDTSNKIKKGTKGDATMLKPTIMTAVPAILDRVRDGVLKKVEEKGGLAKNLFNLGFRRRLAAVEGSWFGAWGLERMVWDAVVFTKIRSVLGGRIRFMLCGGAPLSAESQRFINICMGAPIGQGYGLTETFAGATFSEWDDTTVGRVGPPLPCGYIKLVSWKEGGYLASDKPMPRGEIVVGGFSVTAGYFKNQEKTDEVYKVDEKGMRWFYTGDIGMFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEASLQSSNYVDNIMAYADPSHNYCIALVVPARQALEKWAQEADIKYNDFSELCGKAETVTEVQQSLSKVAKAAKLDKFETPAKIQLLADPWTPESGLVTAAMKIKREQIKSKFKNELQKLYA >ONI15045 pep chromosome:Prunus_persica_NCBIv2:G3:1688204:1692358:1 gene:PRUPE_3G022800 transcript:ONI15045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHYRPSTFKSGAQLPNYQEQYKVLLLLKKYEVERGGGDRIDGQFGSWAWVPPFKLHWQRREPETDTQSTDRELLPGHKPNLHQSLMDLALSSPLFPSSATRGVNKIKPYSSMLIATQKVSTQKFFPCLAAVATQTAQAVEVAVESKKHELLRAIQDTQRGLVTTDNQRSSIEEALVSVEGYNKGAPLDLVKLDGTWRLQYTSASDVLILLEAAARVPFFQVGQIFQKFECKDQSSGGVVRNVVRWSVPPLLEEQEGATLLVSAKFSVVSVRNIYLQFEEINVQNIKISEELQALIAPAILPRSFLSLQILQYLRNFKAQIPVRDPGRQSVGGLYYLSYLDANMLLGRAVGGGGVFVFTKAQPLN >ONI15046 pep chromosome:Prunus_persica_NCBIv2:G3:1688204:1692358:1 gene:PRUPE_3G022800 transcript:ONI15046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHYRPSTFKSGAQLPNYQEQYKVLLLLKKYEVERGGGDRIDGQFGSWAWVPPFKLHWQRREPETDTQSTDRELLPGHKPNLHQSLMDLALSSPLFPSSATRGVNKIKPYSSMLIATQKVSTQKFFPCLAAVATQTAQAVEVAVESKKHELLRAIQDTQRGLVTTDNQRSSIEEALVSVEGYNKGAPLDLVKLDGTWRLQYTSASDVLILLEAAARVPFFQIFQKFECKDQSSGGVVRNVVRWSVPPLLEEQEGATLLVSAKFSVVSVRNIYLQFEEINVQNIKISEELQALIAPAILPRSFLSLQILQYLRNFKAQIPVRDPGRQSVGGLYYLSYLDANMLLGRAVGGGGVFVFTKAQPLN >ONI15047 pep chromosome:Prunus_persica_NCBIv2:G3:1689098:1691185:1 gene:PRUPE_3G022800 transcript:ONI15047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLALSSPLFPSSATRGVNKIKPYSSMLIATQKVSTQKFFPCLAAVATQTAQAVEVAVESKKHELLRAIQDTQRGLVTTDNQRSSIEEALVSVEGYNKGAPLDLVKLDGTWRLQYTSASDVLILLEAAARVPFFQVGQIFQKFECKDQSSGGVVRNVVRWSVPPLLEEQEGATLLVSAKFSVVSVRNIYLQFEEINVQNIKISEELQALIAPAILPRSFLSLQILQYLRNFKAQIPVRDPGRQSVGGLYYLSYLDANMLLGRAVGGGGVFVFTKAQPLN >ONI18782 pep chromosome:Prunus_persica_NCBIv2:G3:23334091:23337723:-1 gene:PRUPE_3G238700 transcript:ONI18782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTWEKLIEITELDEFAEAAFRGYNSLNRIQSRIFHTIYYTNGNILVCAPTGACKTNIAMISILHEALAAEVTSTFSHRLSPLNITVRELTGDMQLYKNELEETQMIVATPEKWDVITHKSSHMSLSMLVESTQTMIRIVGLSATLPNYLEQYIGISEQNFTAHIELQNEICYKKVSFSLGSLLCNFVESLRKGYQAMFISSLKDNLNAEVARGTVTNVKEACEWLGYTYLFIRMRLNPLVYGIGWDEVIDIFFCILQVVADPSLSLKQRVLIADAARALDKAKMMRFDEKKKNPTHSLEICFSVFRVIDMVAHSSEIENIVVRDEEQNELETLLYRSCGSIDTISLDSDAACISASLALIMLSHFIKFID >ONI15738 pep chromosome:Prunus_persica_NCBIv2:G3:4124663:4127141:-1 gene:PRUPE_3G058300 transcript:ONI15738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISVTVDPLEWQISQDTANSIVAWLANTIGAAESVLRVPDTGHDKRLFFKVVFCLYMLSALARLVSGLTVAYAAEISRSISACLS >ONI15739 pep chromosome:Prunus_persica_NCBIv2:G3:4124947:4126859:-1 gene:PRUPE_3G058300 transcript:ONI15739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISVTVDPLEWQISQDTANSIVAWLANTIGAAESVLRVPDTGHDKRLFFKVVFCLYMLSALARLVSGLTVAYAGLCLFCLYMSAEISRSISACLS >ONI15737 pep chromosome:Prunus_persica_NCBIv2:G3:4124663:4127141:-1 gene:PRUPE_3G058300 transcript:ONI15737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISVTVDPLEWQISQDTANSIVAWLANTIGAAESVLRVPDTGHDKRLFFKDYACFAFICQLRSVGQSVHVCLDF >ONI15740 pep chromosome:Prunus_persica_NCBIv2:G3:4125263:4127141:-1 gene:PRUPE_3G058300 transcript:ONI15740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISVTVDPLEWQISQDTANSIVAWLANTIGAAESVLRVPDTGHDKRLFFKVVFCLYMLSALARLVSGLTVAYAGIFFA >ONI19372 pep chromosome:Prunus_persica_NCBIv2:G3:25387922:25391450:1 gene:PRUPE_3G275400 transcript:ONI19372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALKKAYAEIILNTAKEAAARVMASEHKALRFQHDLRSTKDESLRVLLRLKQMIDSKTSEAEITSSSQQRRIDELEAQLQEAEDIITDLRSVKEDTSIN >ONI19335 pep chromosome:Prunus_persica_NCBIv2:G3:25268785:25280287:-1 gene:PRUPE_3G272600 transcript:ONI19335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEQEREMGEKIKIGVCVMEKKVKCGSEVFSAPMGEILERLQAFGEFEISHFGDKVILEDPIESWPICDCLIAFHSSGYPLEKAEAYAALRKPFLVNELEQQHLLHDRRKVYEHLEMHGVPVPRYALVNREVPYQELDYFIEEEDFVEVHGHRFWKPFVEKPVEGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKIFLDAKAPHLSSAIPPTLPWKVNEPSQPSEGLTRQGSGIIGTFGQLEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASVEMEEAKARLNEIITSGAKTVHNNATSPWMADGSGLPSNASELLPKLVKLTKKVTEQVRLLAKDEDEELTKTSSYDVILPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLVRDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDQLFTVAQLLADGVIPNEYGINPTQKLKIGSKIARRLLGKIMIDLRNTREEAISVAEPKSNQDETSKLTNSEKEDKEYHPKLHVKNDDRKSSATDISKLYIKNEDTRRASTTSEISIDQDDDDDKETQYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDGLVCYSALERLFKTKELDYMSYIVLRMFENTAVALEDPKRFRVEMTFSRGADLSPLENNDSKAASLRQEHTLPVMGPERLQEAGSYLTLDKMEKMIRSFAMPAEDFPPPSTPAGFSGYFSKSAAVLERLVNLWPFHKHANSNGK >ONI19336 pep chromosome:Prunus_persica_NCBIv2:G3:25269733:25280217:-1 gene:PRUPE_3G272600 transcript:ONI19336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEQEREMGEKIKIGVCVMEKKVKCGSEVFSAPMGEILERLQAFGEFEISHFGDKVILEDPIESWPICDCLIAFHSSGYPLEKAEAYAALRKPFLVNELEQQHLLHDRRKVYEHLEMHGVPVPRYALVNREVPYQELDYFIEEEDFVEVHGHRFWKPFVEKPVEGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMARDVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKIFLDAKAPHLSSAIPPTLPWKVNEPSQPSEGLTRQGSGIIGTFGQLEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASVEMEEAKARLNEIITSGAKTVHNNATSPWMADGSGLPSNASELLPKLVKLTKKVTEQVRLLAKDEDEELTKTSSYDVILPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLVRDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDQLFTVAQLLADGVIPNEYGINPTQKLKIGSKIARRLLGKIMIDLRNTREEAISVAEPKSNQDETSKLTNSEKEDKEYHPKLHVKNDDRKSSATDISKLYIKNEDTRRASTTSEISIDQDDDDDKETQYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDGLVCYSALERLFKTKELDYMSYIVLRMFENTAVALEDPKRFRVEMTFSRGADLSPLENNDSKAASLRQEHTLPVMGPERLQEAGSYLTLDKMEKMIRSFAMPAEDFPPPSTPAGFSGYFSKSAAVLERLVNLWPFHKHANSNGK >ONI19333 pep chromosome:Prunus_persica_NCBIv2:G3:25268785:25280266:-1 gene:PRUPE_3G272600 transcript:ONI19333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEQEREMGEKIKIGVCVMEKKVFSAPMGEILERLQAFGEFEISHFGDKVILEDPIESWPICDCLIAFHSSGYPLEKAEAYAALRKPFLVNELEQQHLLHDRRKVYEHLEMHGVPVPRYALVNREVPYQELDYFIEEEDFVEVHGHRFWKPFVEKPVEGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKIFLDAKAPHLSSAIPPTLPWKVNEPSQPSEGLTRQGSGIIGTFGQLEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASVEMEEAKARLNEIITSGAKTVHNNATSPWMADGSGLPSNASELLPKLVKLTKKVTEQVRLLAKDEDEELTKTSSYDVILPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLVRDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDQLFTVAQLLADGVIPNEYGINPTQKLKIGSKIARRLLGKIMIDLRNTREEAISVAEPKSNQDETSKLTNSEKEDKEYHPKLHVKNDDRKSSATDISKLYIKNEDTRRASTTSEISIDQDDDDDKETQYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDGLVCYSALERLFKTKELDYMSYIVLRMFENTAVALEDPKRFRVEMTFSRGADLSPLENNDSKAASLRQEHTLPVMGPERLQEAGSYLTLDKMEKMIRSFAMPAEDFPPPSTPAGFSGYFSKSAAVLERLVNLWPFHKHANSNGK >ONI19334 pep chromosome:Prunus_persica_NCBIv2:G3:25268785:25280336:-1 gene:PRUPE_3G272600 transcript:ONI19334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEQEREMGEKIKIGVCVMEKKVFSAPMGEILERLQAFGEFEISHFGDKVILEDPIESWPICDCLIAFHSSGYPLEKAEAYAALRKPFLVNELEQQHLLHDRRKVYEHLEMHGVPVPRYALVNREVPYQELDYFIEEEDFVEVHGHRFWKPFVEKPVEGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMARDVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKIFLDAKAPHLSSAIPPTLPWKVNEPSQPSEGLTRQGSGIIGTFGQLEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVAKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASVEMEEAKARLNEIITSGAKTVHNNATSPWMADGSGLPSNASELLPKLVKLTKKVTEQVRLLAKDEDEELTKTSSYDVILPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLVRDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDQLFTVAQLLADGVIPNEYGINPTQKLKIGSKIARRLLGKIMIDLRNTREEAISVAEPKSNQDETSKLTNSEKEDKEYHPKLHVKNDDRKSSATDISKLYIKNEDTRRASTTSEISIDQDDDDDKETQYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDGLVCYSALERLFKTKELDYMSYIVLRMFENTAVALEDPKRFRVEMTFSRGADLSPLENNDSKAASLRQEHTLPVMGPERLQEAGSYLTLDKMEKMIRSFAMPAEDFPPPSTPAGFSGYFSKSAAVLERLVNLWPFHKHANSNGK >ONI14770 pep chromosome:Prunus_persica_NCBIv2:G3:424167:429488:1 gene:PRUPE_3G007100 transcript:ONI14770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLAASDSPQNKDHVLHAQNDSNHVEVATQLENLGAVEDNPESKNSGKSLLEQSSYPRSIKSKKMLARNVTATDSGPLKKLKDDKAAEATSSITAITARQLRKMPDNKEMLHELATVSRGVRGTRRSRKPVVSHQLLKTESLLEENNVKTKEERDGEVDSQWVHPVTSKGRRTKSPFGSRLTQAGYICALPSQKREKEKGKEEYASVNSAGKIIQKEGISKEAEVPLTVRSKAKEKDGSLAENPCQLPNDPAQEKSMEFKQQPAGGSTHKRKRGRPRKLVVVGPQASEGVKGQNGLGQVADGNVAEDQTLEEVALHVLRGMDSTDSQDASRRKTAEFPGTRCMTNEPARTCVGADDDDRPLSMWFGGMQHPASVGESRSSPDGNFREPVEVARRESLAVDAVSGSGQDEKGGLPFVKSSPVWKAIETLEVFRMIPQSPHFRPLGQCKEEYREGSAIGNMITFSRLAEKISRLHFDEPKDVFNSILESLLDLEKYGFNVTVLRERVNLLLSVKERQGQFQVESKDAESKIREHSHEKTKLVEEADCLAKKIIELEEKQASVKLQVGAKDLEIARLQKLVDAMSETIQSARSDFEKLASAPLK >ONI14766 pep chromosome:Prunus_persica_NCBIv2:G3:423478:429488:1 gene:PRUPE_3G007100 transcript:ONI14766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEGNEHQQQLQLFSVGSAVEVRSDEEGFKGAWFRATIVTSPTNLASKKRKRALVEYKSLVTEDGSQQLKEYVDSAYLRPVPPPLGDQNFEEGDVVDADYKDGWWTGVVKKVLDNSKYTVVFEFPPDLIEFERERLRLHQDWDAGKWVRPNKQEVLAASDSPQNKDHVLHAQNDSNHVEVATQLENLGAVEDNPESKNSGKSLLEQSSYPRSIKSKKMLARNVTATDSGPLKKLKDDKAAEATSSITAITARQLRKMPDNKEMLHELATVSRGVRGTRRSRKPVVSHQLLKTESLLEENNVKTKEERDGEVDSQWVHPVTSKGRRTKSPFGSRLTQAGYICALPSQKREKEKGKEEYASVNSAGKIIQKEGISKEAEVPLTVRSKAKEKDGSLAENPCQLPNDPAQEKSMEFKQQPAGGSTHKRKRGRPRKLVVVGPQASEGVKGQNGLGQVADGNVAEDQTLEEVALHVLRGMDSTDSQDASRRKTAEFPGTRCMTNEPARTCVGADDDDRPLSMWFGGMQHPASVGESRSSPDGNFREPVEVARRESLAVDAVSGSGQDEKGGLPFVKSSPVWKAIETLEVFRMIPQSPHFRPLGQCKEEYREGSAIGNMITFSRLAEKISRLHFDEPKDVFNSILESLLDLEKYGFNVTVLRERVNLLLSVKERQGQFQVESKDAESKIREHSHEKTKLVEEADCLAKKIIELEEKQASVKLQVGAKDLEIARLQKLVDAMSETIQSARSDFEKLASAPLK >ONI14767 pep chromosome:Prunus_persica_NCBIv2:G3:423478:429488:1 gene:PRUPE_3G007100 transcript:ONI14767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEGNEHQQQLQLFSVGSAVEVRSDEEGFKGAWFRATIVTSPTNLASKKRKRALVEYKSLVTEDGSQQLKEYVDSAYLRPVPPPLGDQNFEEGDVVDADYKDGWWTGVVKKVLDNSKYTVVFEFPPDLIEFERERLRLHQDWDAGKWVRPNKQEVLAASDSPQNKDHVLHAQNDSNHVEVATQLENLGAVEDNPESKNSGKSLLEQSSYPRSIKSKKMLARNVTATDSGPLKKLKDDKAAEATSSITAITARQLRKMPDNKEMLHELATVSRGVRGTRRSRKPVVSHQLLKTESLLEENNVKTKEERDGEVDSQWVHPVTSKGRRTKSPFGSRLTQAGKEEYASVNSAGKIIQKEGISKEAEVPLTVRSKAKEKDGSLAENPCQLPNDPAQEKSMVMSAELGSDSIFANLLRSLVLFPDMEFKQQPAGGSTHKRKRGRPRKLVVVGPQASEGVKGQNGLGQVADGNVAEDQTLEEVALHVLRGMDSTDSQDASRRKTAEFPGTRCMTNEPARTCVGADDDDRPLSMWFGGMQHPASVGESRSSPDGNFREPVEVARRESLAVDAVSGSGQDEKGGLPFVKSSPVWKAIETLEVFRMIPQSPHFRPLGQCKEEYREGSAIGNMITFSRLAEKISRLHFDEPKDVFNSILESLLDLEKYGFNVTVLRERVNLLLSVKERQGQFQVESKDAESKIREHSHEKTKLVEEADCLAKKIIELEEKQASVKLQVGAKDLEIARLQKLVDAMSETIQSARSDFEKLASAPLK >ONI14771 pep chromosome:Prunus_persica_NCBIv2:G3:424167:429488:1 gene:PRUPE_3G007100 transcript:ONI14771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLAASDSPQNKDHVLHAQNDSNHVEVATQLENLGAVEDNPESKNSGKSLLEQSSYPRSIKSKKMLARNVTATDSGPLKKLKDDKAAEATSSITAITARQLRKMPDNKEMLHELATVSRGVRGTRRSRKPVVSHQLLKTESLLEENNVKTKEERDGEVDSQWVHPVTSKGRRTKSPFGSRLTQAGKEEYASVNSAGKIIQKEGISKEAEVPLTVRSKAKEKDGSLAENPCQLPNDPAQEKSMVMSAELGSDSIFANLLRSLVLFPDMEFKQQPAGGSTHKRKRGRPRKLVVVGPQASEGVKGQNGLGQVADGNVAEDQTLEEVALHVLRGMDSTDSQDASRRKTAEFPGTRCMTNEPARTCVGADDDDRPLSMWFGGMQHPASVGESRSSPDGNFREPVEVARRESLAVDAVSGSGQDEKGGLPFVKSSPVWKAIETLEVFRMIPQSPHFRPLGQCKEEYREGSAIGNMITFSRLAEKISRLHFDEPKDVFNSILESLLDLEKYGFNVTVLRERVNLLLSVKERQGQFQVESKDAESKIREHSHEKTKLVEEADCLAKKIIELEEKQASVKLQVGAKDLEIARLQKLVDAMSETIQSARSDFEKLASAPLK >ONI14772 pep chromosome:Prunus_persica_NCBIv2:G3:424167:429488:1 gene:PRUPE_3G007100 transcript:ONI14772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLAASDSPQNKDHVLHAQNDSNHVEVATQLENLGAVEDNPESKNSGKSLLEQSSYPRSIKSKKMLARNVTATDSGPLKKLKDDKAAEATSSITAITARQLRKMPDNKEMLHELATVSRGVRGTRRSRKPVVSHQLLKTESLLEENNVKTKEERDGEVDSQWVHPVTSKGRRTKSPFGSRLTQAGKEEYASVNSAGKIIQKEGISKEAEVPLTVRSKAKEKDGSLAENPCQLPNDPAQEKSMEFKQQPAGGSTHKRKRGRPRKLVVVGPQASEGVKGQNGLGQVADGNVAEDQTLEEVALHVLRGMDSTDSQDASRRKTAEFPGTRCMTNEPARTCVGADDDDRPLSMWFGGMQHPASVGESRSSPDGNFREPVEVARRESLAVDAVSGSGQDEKGGLPFVKSSPVWKAIETLEVFRMIPQSPHFRPLGQCKEEYREGSAIGNMITFSRLAEKISRLHFDEPKDVFNSILESLLDLEKYGFNVTVLRERVNLLLSVKERQGQFQVESKDAESKIREHSHEKTKLVEEADCLAKKIIELEEKQASVKLQVGAKDLEIARLQKLVDAMSETIQSARSDFEKLASAPLK >ONI14768 pep chromosome:Prunus_persica_NCBIv2:G3:423478:429488:1 gene:PRUPE_3G007100 transcript:ONI14768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEGNEHQQQLQLFSVGSAVEVRSDEEGFKGAWFRATIVTSPTNLASKKRKRALVEYKSLVTEDGSQQLKEYVDSAYLRPVPPPLGDQNFEEGDVVDADYKDGWWTGVVKKVLDNSKYTVVFEFPPDLIEFERERLRLHQDWDAGKWVRPNKQEVLAASDSPQNKDHVLHAQNDSNHVEVATQLENLGAVEDNPESKNSGKSLLEQSSYPRSIKSKKMLARNVTATDSGPLKKLKDDKAAEATSSITAITARQLRKMPDNKEMLHELATVSRGVRGTRRSRKPVVSHQLLKTESLLEENNVKTKEERDGEVDSQWVHPVTSKGRRTKSPFGSRLTQAGKEEYASVNSAGKIIQKEGISKEAEVPLTVRSKAKEKDGSLAENPCQLPNDPAQEKSMEFKQQPAGGSTHKRKRGRPRKLVVVGPQASEGVKGQNGLGQVADGNVAEDQTLEEVALHVLRGMDSTDSQDASRRKTAEFPGTRCMTNEPARTCVGADDDDRPLSMWFGGMQHPASVGESRSSPDGNFREPVEVARRESLAVDAVSGSGQDEKGGLPFVKSSPVWKAIETLEVFRMIPQSPHFRPLGQCKEEYREGSAIGNMITFSRLAEKISRLHFDEPKDVFNSILESLLDLEKYGFNVTVLRERVNLLLSVKERQGQFQVESKDAESKIREHSHEKTKLVEEADCLAKKIIELEEKQASVKLQVGAKDLEIARLQKLVDAMSETIQSARSDFEKLASAPLK >ONI14769 pep chromosome:Prunus_persica_NCBIv2:G3:424167:429488:1 gene:PRUPE_3G007100 transcript:ONI14769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLAASDSPQNKDHVLHAQNDSNHVEVATQLENLGAVEDNPESKNSGKSLLEQSSYPRSIKSKKMLARNVTATDSGPLKKLKDDKAAEATSSITAITARQLRKMPDNKEMLHELATVSRGVRGTRRSRKPVVSHQLLKTESLLEENNVKTKEERDGEVDSQWVHPVTSKGRRTKSPFGSRLTQAGYICALPSQKREKEKGKEEYASVNSAGKIIQKEGISKEAEVPLTVRSKAKEKDGSLAENPCQLPNDPAQEKSMVMSAELGSDSIFANLLRSLVLFPDMEFKQQPAGGSTHKRKRGRPRKLVVVGPQASEGVKGQNGLGQVADGNVAEDQTLEEVALHVLRGMDSTDSQDASRRKTAEFPGTRCMTNEPARTCVGADDDDRPLSMWFGGMQHPASVGESRSSPDGNFREPVEVARRESLAVDAVSGSGQDEKGGLPFVKSSPVWKAIETLEVFRMIPQSPHFRPLGQCKEEYREGSAIGNMITFSRLAEKISRLHFDEPKDVFNSILESLLDLEKYGFNVTVLRERVNLLLSVKERQGQFQVESKDAESKIREHSHEKTKLVEEADCLAKKIIELEEKQASVKLQVGAKDLEIARLQKLVDAMSETIQSARSDFEKLASAPLK >ONI14765 pep chromosome:Prunus_persica_NCBIv2:G3:423478:429488:1 gene:PRUPE_3G007100 transcript:ONI14765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEGNEHQQQLQLFSVGSAVEVRSDEEGFKGAWFRATIVTSPTNLASKKRKRALVEYKSLVTEDGSQQLKEYVDSAYLRPVPPPLGDQNFEEGDVVDADYKDGWWTGVVKKVLDNSKYTVVFEFPPDLIEFERERLRLHQDWDAGKWVRPNKQEVLAASDSPQNKDHVLHAQNDSNHVEVATQLENLGAVEDNPESKNSGKSLLEQSSYPRSIKSKKMLARNVTATDSGPLKKLKDDKAAEATSSITAITARQLRKMPDNKEMLHELATVSRGVRGTRRSRKPVVSHQLLKTESLLEENNVKTKEERDGEVDSQWVHPVTSKGRRTKSPFGSRLTQAGYICALPSQKREKEKGKEEYASVNSAGKIIQKEGISKEAEVPLTVRSKAKEKDGSLAENPCQLPNDPAQEKSMVMSAELGSDSIFANLLRSLVLFPDMEFKQQPAGGSTHKRKRGRPRKLVVVGPQASEGVKGQNGLGQVADGNVAEDQTLEEVALHVLRGMDSTDSQDASRRKTAEFPGTRCMTNEPARTCVGADDDDRPLSMWFGGMQHPASVGESRSSPDGNFREPVEVARRESLAVDAVSGSGQDEKGGLPFVKSSPVWKAIETLEVFRMIPQSPHFRPLGQCKEEYREGSAIGNMITFSRLAEKISRLHFDEPKDVFNSILESLLDLEKYGFNVTVLRERVNLLLSVKERQGQFQVESKDAESKIREHSHEKTKLVEEADCLAKKIIELEEKQASVKLQVGAKDLEIARLQKLVDAMSETIQSARSDFEKLASAPLK >ONI15130 pep chromosome:Prunus_persica_NCBIv2:G3:2015795:2020810:-1 gene:PRUPE_3G027200 transcript:ONI15130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSPEYTVDEALVAMGFGKFQILVLAYAGMGWVSEAMEMMLLSFVGPAVQSAWGLSSQQESFITSVVFAGMLVGAYSWGIVSDKHGRRKGFLITATITSGAGFLSALSPNYTSLILLRCLVGVGLGGGPVLSSWFLEFIPAPNRGTWMVVFSAFWTLGTILEASLAWFVMPTLGWRWLLGLSSLPSSLLLVFYWLAPESPRYLCLKGRTTEAINILERVARLNGTKLPSGNLVSDLKIELSEKSTLSEDARLISPTENEDTSSKEMDSSDMGGISSLSMLLSPKLVRSTLLLWVVFFGNAFSYYGLVLLTTELTNGHSKCTPDTLRSDKLQDTGYRDVFIASFADIPNLSPNNRRRSCKCNGKNWWNDMSSGGSRFGTWMPSDSIHSAL >ONI15128 pep chromosome:Prunus_persica_NCBIv2:G3:2016049:2019728:-1 gene:PRUPE_3G027200 transcript:ONI15128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSPEYTVDEALVAMGFGKFQILVLAYAGMGWVSEAMEMMLLSFVGPAVQSAWGLSSQQESFITSVVFAGMLVGAYSWGIVSDKHGRRKGFLITATITSGAGFLSALSPNYTSLILLRCLVGVGLGGGPVLSSWFLEFIPAPNRGTWMVVFSAFWTLGTILEASLAWFVMPTLGWRWLLGLSSLPSSLLLVFYWLAPESPRYLCLKGRTTEAINILERVARLNGTKLPSGNLVSDLKIELSEKSTLSEDARLISPTENEDTSSKEMDSSDMGGISSLSMLLSPKLVRSTLLLWVVFFGNAFSYYGLVLLTTELTNGHSKCTPDTLRSDKLQDTGYRDVFIASFAELPGLLISAATVDRLGRKLSMSAMFFLCCIFLLPLVIQQSQGLTTSLLFGARICITATFTIVYIYAPEIYPTSVRTTGVGVASAMGRIGGMICPLVAVGLVHGCHQTASILLFEIVIFLSGVCVLLFPFETKGRELSDTVSSNSNNQGQ >ONI15131 pep chromosome:Prunus_persica_NCBIv2:G3:2015795:2020810:-1 gene:PRUPE_3G027200 transcript:ONI15131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSPEYTVDEALVAMGFGKFQILVLAYAGMGWVSEAMEMMLLSFVGPAVQSAWGLSSQQESFITSVVFAGMLVGAYSWGIVSDKHGRRKGFLITATITSGAGFLSALSPNYTSLILLRCLVGVGLGGGPVLSSWFLEFIPAPNRGTWMVVFSAFWTLGTILEASLAWFVMPTLGWRWLLGLSSLPSSLLLVFYWLAPESPRYLCLKGRTTEAINILERVARLNGTKLPSGNLVSDLKIELSEKSTLSEDARLISPTENEDTSSKEMDSSDMGGISSLSMLLSPKLVRSTLLLWVVFFGNAFSYYGLVLLTTELTNGHSKCTPDTLRSDKLQDTGYRDVFIASFADIPNLSPNNRRRSCKCNGKNWWNDMSSGGSRFGTWMPSDSIHSAL >ONI15129 pep chromosome:Prunus_persica_NCBIv2:G3:2016049:2019728:-1 gene:PRUPE_3G027200 transcript:ONI15129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSPEYTVDEALVAMGFGKFQILVLAYAGMGWVSEAMEMMLLSFVGPAVQSAWGLSSQQESFITSVVFAGMLVGAYSWGIVSDKHGRRKGFLITATITSGAGFLSALSPNYTSLILLRCLVGVGLGGGPVLSSWFLEFIPAPNRGTWMVVFSAFWTLGTILEASLAWFVMPTLGWRWLLGLSSLPSSLLLVFYWLAPESPRYLCLKGRTTEAINILERVARLNGTKLPSGNLVSDLKIELSEKSTLSEDARLISPTENEDTSSKEMDSSDMGGISSLSMLLSPKLVRSTLLLWVVFFGNAFSYYGLVLLTTELTNGHSKCTPDTLRSDKLQDTGYRDVFIASFAELPGLLISAATVDRLGRKLSMSAMFFLCCIFLLPLVIQQSQGLTTSLLFGARICITATFTIVYIYAPEIYPTSVRTTGVGVASAMGRIGGMICPLVAVGLVHGCHQTASILLFEIVIFLSGVCVLLFPFETKGRELSDTVSSNSNNQGQ >ONI15457 pep chromosome:Prunus_persica_NCBIv2:G3:3128548:3132494:1 gene:PRUPE_3G044000 transcript:ONI15457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGADAALYNPRTVEEVFRDFKGRRAGMIKALTSDVEKFFQMCDPEKENLSLYGYPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLVSVAFYFGARFGFDKADRKRLFNMINELPTIFEVVTGTAKKQVKEKSSSNHGSNKSKSNSKAQRGSESQGRHSEVVQPKDEDEGLDEEEEDEREETCGACGGGGPSSLDEPWIFCDFCETWFHMKCVKITPARAKQIKQYKCPSCNNKRARPD >ONI15458 pep chromosome:Prunus_persica_NCBIv2:G3:3128526:3132499:1 gene:PRUPE_3G044000 transcript:ONI15458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGADAALYNPRTVEEVFRDFKGRRAGMIKALTSDVEKFFQMCDPEKENLSLYGYPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLVSVAFYFGARFGFDKADRKRLFNMINELPTIFEVVTGTAKKQVKEKSSSNHGSNKSKSNSKARGSESQGRHSEVVQPKDEDEGLDEEEEDEREETCGACGGGGPSSLDEPWIFCDFCETWFHMKCVKITPARAKQIKQYKCPSCNNKRARPD >ONI15165 pep chromosome:Prunus_persica_NCBIv2:G3:2102287:2115057:-1 gene:PRUPE_3G028200 transcript:ONI15165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTKFREFVKKLEEGLLRNAQTKDDYMNMETLESRLQNLMKPPQNQSQQYQQLVNSSSPIGTMIPTPGMSHNGNSNMMVSSSVDASMNTTRGSTSIAPTTVNTGNLLPAGPLPGGSFNRSDGSMSNGYQQSPASFSIGTGGNMSSMGVQRITSQMIPTPGFNSSSNQSYMNLESSNNGGGFSTVDSSMVTQPQQQKQHIGGQNSRILHNVGSQMGSGIRSGMQQKPYGLPNGALNGGLGLIGNNLPLVNESGTSEGYMALTPYANSSKPSQHFDQHQRPIMQGDSYGMSNADSFGPGNYYGAATPVGSMLNAQNLNSVSSTAISKTNPPLISNQSNMHGAQQSVHVKPQQLDQLEKINFQTPLSSRENILHSHQQQQFQHQPNQFQQQQQLVHQQRQQKQQNPQRQQMLNNDAFGQSQMTSDLSSAKRDMDHHNEAMHQQATEPFRLSEMHNQFHQHSVEDRLRNAQHIPSGQHDISSSLSQTSQQMQQILQPHQLVAESQNDFRSLSVGAQSEPVLQGQWHPQLQDGSHRQANMSHEQHVHEDFRQRISGQDEAQCNNSSSEGPNVVQNMGSRSISRPPNSSSAASRSGYVNRDKWFLNQQRWLLLMLHARCCTAPEGKCREARCVIVQKLVQHMKSCESSQCTYSRCRISKLLVLHSQTCKSKKACPVCGPVLNYLNKEKNRRVSDSGLQNSINGSGKVYDSGDTSARLVLKTAPVVETSEDRQPSMKRMKIEQSSQSVVPDSVSVSSAVKVSAISEPHVSEDIQIHDYQHSEISMPVKSEFAEVKMEIPVSSGQGSLDEMKDSVDDNCNSRHDGEAVSYNEPAVLARQENIKLEKETDPAKQENVAQPVENAAATKSGKPKIKGVSMTELFTPEQVRAHITGLRQWVGQSKAKAEKNQAMENSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTMGAGDTRHYFCIPCYNEARGDTIVVDGTAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIQEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFKKLKVERQERARQQGKSYDEVPGAESLVIRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGAECQFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKESIVVELTNLYDHFFVTTAECKAKVTAARLPYFDGDYWPGAAEDLIYQMRQEEDGRKQNKKGTTKKTITKRALKASGQTDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQYACSHCCILMVSGNRWSCTQCKNFQLCDKCYEAEQKREERDRHPSNQREKHELRPFDITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPEYDVCNNCYQKEGGVDHHHKLTNHPSIADRDAQNKEARQMRVLQLRKMLDLLVHASQCRSAQCQYPNCRKVKGLFRHGIQCKVRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAELHNNTG >ONI15164 pep chromosome:Prunus_persica_NCBIv2:G3:2102919:2113692:-1 gene:PRUPE_3G028200 transcript:ONI15164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQTHMSGQISGQVPNQAGTQMPVLPQHNGNSLPPQMQNLGGPARAMSNMDPEIPTLRSIMQEKICQIIQQRQHPQPMSDTKFREFVKKLEEGLLRNAQTKDDYMNMETLESRLQNLMKPPQNQSQQYQQLVNSSSPIGTMIPTPGMSHNGNSNMMVSSSVDASMNTTRGSTSIAPTTVNTGNLLPAGPLPGGSFNRSDGSMSNGYQQSPASFSIGTGGNMSSMGVQRITSQMIPTPGFNSSSNQSYMNLESSNNGGGFSTVDSSMVTQPQQQKQHIGGQNSRILHNVGSQMGSGIRSGMQQKPYGLPNGALNGGLGLIGNNLPLVNESGTSEGYMALTPYANSSKPSQHFDQHQRPIMQGDSYGMSNADSFGPGNYYGAATPVGSMLNAQNLNSVSSTAISKTNPPLISNQSNMHGAQQSVHVKPQQLDQLEKINFQTPLSSRENILHSHQQQQFQHQPNQFQQQQQLVHQQRQQKQQNPQRQQMLNNDAFGQSQMTSDLSSAKRDMDHHNEAMHQQATEPFRLSEMHNQFHQHSVEDRLRNAQHIPSGQHDISSSLSQTSQQMQQILQPHQLVAESQNDFRSLSVGAQSEPVLQGQWHPQLQDGSHRQANMSHEQHVHEDFRQRISGQDEAQCNNSSSEGPNVVQNMGSRSISRPPNSSSAASRSGYVNRDKWFLNQQRWLLLMLHARCCTAPEGKCREARCVIVQKLVQHMKSCESSQCTYSRCRISKLLVLHSQTCKSKKACPVCGPVLNYLNKEKNRRVSDSGLQNSINGSGKVYDSGDTSARLVLKTAPVVETSEDRQPSMKRMKIEQSSQSVVPDSVSVSSAVKVSAISEPHVSEDIQIHDYQHSEISMPVKSEFAEVKMEIPVSSGQGSLDEMKDSVDDNCNSRHDGEAVSYNEPAVLARQENIKLEKETDPAKQENVAQPVENAAATKSGKPKIKGVSMTELFTPEQVRAHITGLRQWVGQSKAKAEKNQAMENSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTMGAGDTRHYFCIPCYNEARGDTIVVDGTAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIQEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFKKLKVERQERARQQGKSYDEVPGAESLVIRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGAECQFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKESIVVELTNLYDHFFVTTAECKAKVTAARLPYFDGDYWPGAAEDLIYQMRQEEDGRKQNKKGTTKKTITKRALKASGQTDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQYACSHCCILMVSGNRWSCTQCKNFQLCDKCYEAEQKREERDRHPSNQREKHELRPFDITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPEYDVCNNCYQKEGGVDHHHKLTNHPSIADRDAQNKEARQMRVLQLRKMLDLLVHASQCRSAQCQYPNCRKVKGLFRHGIQCKVRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAELHNNTG >ONI15163 pep chromosome:Prunus_persica_NCBIv2:G3:2102287:2115057:-1 gene:PRUPE_3G028200 transcript:ONI15163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQTHMSGQISGQVPNQAGTQMPVLPQHNGNSLPPQMQNLGGPARAMSNMDPEIPTLRSIMQEKICQIIQQRQHPQPMSDTKFREFVKKLEEGLLRNAQTKDDYMNMETLESRLQNLMKPPQNQSQQYQQLVNSSSPIGTMIPTPGMSHNGNSNMMVSSSVDASMNTTRGSTSIAPTTVNTGNLLPAGPLPGGSFNRSDGSMSNGYQQSPASFSIGTGGNMSSMGVQRITSQMIPTPGFNSSSNQSYMNLESSNNGGGFSTVDSSMVTQPQQQKQHIGGQNSRILHNVGSQMGSGIRSGMQQKPYGLPNGALNGGLGLIGNNLPLVNESGTSEGYMALTPYANSSKPSQHFDQHQRPIMQGDSYGMSNADSFGPGNYYGAATPVGSMLNAQNLNSVSSTAISKTNPPLISNQSNMHGAQQSVHVKPQQLDQLEKINFQTPLSSRENILHSHQQQQFQHQPNQFQQQQQLVHQQRQQKQQNPQRQQMLNNDAFGQSQMTSDLSSAKRDMDHHNEAMHQQATEPFRLSEMHNQFHQHSVEDRLRNAQHIPSGQHDISSSLSQTSQQMQQILQPHQLVAESQNDFRSLSVGAQSEPVLQGQWHPQLQDGSHRQANMSHEQHVHEDFRQRISGQDEAQCNNSSSEGPNVVQNMGSRSISRPPNSSSAASRSGYVNRDKWFLNQQRWLLLMLHARCCTAPEGKCREARCVIVQKLVQHMKSCESSQCTYSRCRISKLLVLHSQTCKSKKACPVCGPVLNYLNKEKNRRVSDSGLQNSINGSGKVYDSGDTSARLVLKTAPVVETSEDRQPSMKRMKIEQSSQSVVPDSVSVSSAVKVSAISEPHVSEDIQIHDYQHSEISMPVKSEFAEVKMEIPVSSGQGSLDEMKDSVDDNCNSRHDGEAVSYNEPAVLARQENIKLEKETDPAKQENVAQPVENAAATKSGKPKIKGVSMTELFTPEQVRAHITGLRQWVGQSKAKAEKNQAMENSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTMGAGDTRHYFCIPCYNEARGDTIVVDGTAIPKARLEKKKNDEETEEWVPGAESLVIRVVSSVDKKLEVKQRFLEIFQEENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGAECQFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKESIVVELTNLYDHFFVTTAECKAKVTAARLPYFDGDYWPGAAEDLIYQMRQEEDGRKQNKKGTTKKTITKRALKASGQTDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQYACSHCCILMVSGNRWSCTQCKNFQLCDKCYEAEQKREERDRHPSNQREKHELRPFDITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPEYDVCNNCYQKEGGVDHHHKLTNHPSIADRDAQNKEARQMRVLQLRKMLDLLVHASQCRSAQCQYPNCRKVKGLFRHGIQCKVRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAELHNNTG >ONI16162 pep chromosome:Prunus_persica_NCBIv2:G3:6060556:6061689:-1 gene:PRUPE_3G081600 transcript:ONI16162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGYVQNGFYKEGLPVLRDMVASGTQPNVVTLVSILPACASLTFLDLGKLIHGCGIKVGVDSDMALMNALIAFYGKCGNLDTARSLFKGMVVRNLVLWNAMIGAYEQNNAGTDAIKLFCRMQTENVEYDYITIVSVISACASLGALNTGRWLHELARMKGFRTNASVTNALIDMYAKCGNIDLAKNVFQGLPHKSVVSWTSIIGACASHGHGDDALMLFSMMKEQGTKPNSFTFTAVLTACRHADLVEEGRKHFESMIKDYSISPGIEHYACMVDLLGRAGCLLEAYKFIETMPVEPDAGVWGALLSACRIHGNVELAELVVARLSRLDTQTVTSYVLMSNIYAEASRWEDEARLRNMMRKKLLKKLPGQSFVGVN >ONI16160 pep chromosome:Prunus_persica_NCBIv2:G3:6060430:6064384:-1 gene:PRUPE_3G081600 transcript:ONI16160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGYVQNGFYKEGLPVLRDMVASGTQPNVVTLVSILPACASLTFLDLGKLIHGCGIKVGVDSDMALMNALIAFYGKCGNLDTARSLFKGMVVRNLVLWNAMIGAYEQNNAGTDAIKLFCRMQTENVEYDYITIVSVISACASLGALNTGRWLHELARMKGFRTNASVTNALIDMYAKCGNIDLAKNVFQGLPHKSVVSWTSIIGACASHGHGDDALMLFSMMKEQGTKPNSFTFTAVLTACRHADLVEEGRKHFESMIKDYSISPGIEHYACMVDLLGRAGCLLEAYKFIETMPVEPDAGVWGALLSACRIHGNVELAELVVARLSRLDTQTVTSYVLMSNIYAEASRWEDEARLRNMMRKKLLKKLPGQSFVGVN >ONI16158 pep chromosome:Prunus_persica_NCBIv2:G3:6060426:6064625:-1 gene:PRUPE_3G081600 transcript:ONI16158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAYSVRPLLRKLKPITSAPFHFCPRSYHSLPFNYFFNSCSSFSDLKCIHALIFQHGSNQNLLLSTKLVTLASSMAPTMDYARKLFDTMPKRDAFLWNTLIRGYADRGPCHEAIVLYRNMHHSGLSPDNYTFPFVVRSCTVQLALREGKECIVTLLNMVSWTAMIAGYVQNGFYKEGLPVLRDMVASGTQPNVVTLVSILPACASLTFLDLGKLIHGCGIKVGVDSDMALMNALIAFYGKCGNLDTARSLFKGMVVRNLVLWNAMIGAYEQNNAGTDAIKLFCRMQTENVEYDYITIVSVISAYLAKNVFQGLPHKSVVSWTSIIGACASHGHGDDALMLFSMMKEQGTKPNSFTFTAVLTACRHADLVEEGRKHFESMIKDYSISPGIEHYACMVDLLGRAGCLLEAYKFIETMPVEPDAGVWGALLSACRIHGNVELAELVVARLSRLDTQTVTSYVLMSNIYAEASRWEDEARLRNMMRKKLLKKLPGQSFVGVN >ONI16161 pep chromosome:Prunus_persica_NCBIv2:G3:6060426:6064084:-1 gene:PRUPE_3G081600 transcript:ONI16161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGYVQNGFYKEGLPVLRDMVASGTQPNVVTLVSILPACASLTFLDLGKLIHGCGIKVGVDSDMALMNALIAFYGKCGNLDTARSLFKGMVVRNLVLWNAMIGAYEQNNAGTDAIKLFCRMQTENVEYDYITIVSVISACASLGALNTGRWLHELARMKGFRTNASVTNALIDMYAKCGNIDLAKNVFQGLPHKSVVSWTSIIGACASHGHGDDALMLFSMMKEQGTKPNSFTFTAVLTACRHADLVEEGRKHFESMIKDYSISPGIEHYACMVDLLGRAGCLLEAYKFIETMPVEPDAGVWGALLSACRIHGNVELAELVVARLSRLDTQTVTSYVLMSNIYAEASRWEDEARLRNMMRKKLLKKLPGQSFVGVN >ONI16159 pep chromosome:Prunus_persica_NCBIv2:G3:6060430:6064625:-1 gene:PRUPE_3G081600 transcript:ONI16159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGYVQNGFYKEGLPVLRDMVASGTQPNVVTLVSILPACASLTFLDLGKLIHGCGIKVGVDSDMALMNALIAFYGKCGNLDTARSLFKGMVVRNLVLWNAMIGAYEQNNAGTDAIKLFCRMQTENVEYDYITIVSVISACASLGALNTGRWLHELARMKGFRTNASVTNALIDMYAKCGNIDLAKNVFQGLPHKSVVSWTSIIGACASHGHGDDALMLFSMMKEQGTKPNSFTFTAVLTACRHADLVEEGRKHFESMIKDYSISPGIEHYACMVDLLGRAGCLLEAYKFIETMPVEPDAGVWGALLSACRIHGNVELAELVVARLSRLDTQTVTSYVLMSNIYAEASRWEDEARLRNMMRKKLLKKLPGQSFVGVN >ONI16163 pep chromosome:Prunus_persica_NCBIv2:G3:6060430:6064088:-1 gene:PRUPE_3G081600 transcript:ONI16163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGYVQNGFYKEGLPVLRDMVASGTQPNVVTLVSILPACASLTFLDLGKLIHGCGIKVGVDSDMALMNALIAFYGKCGNLDTARSLFKGMVVRNLVLWNAMIGAYEQNNAGTDAIKLFCRMQTENVEYDYITIVSVISACASLGALNTGRWLHELARMKGFRTNASVTNALIDMYAKCGNIDLAKNVFQGLPHKSVVSWTSIIGACASHGHGDDALMLFSMMKEQGTKPNSFTFTAVLTACRHADLVEEGRKHFESMIKDYSISPGIEHYACMVDLLGRAGCLLEAYKFIETMPVEPDAGVWGALLSACRIHGNVELAELVVARLSRLDTQTVTSYVLMSNIYAEASRWEDEARLRNMMRKKLLKKLPGQSFVGVN >ONI18012 pep chromosome:Prunus_persica_NCBIv2:G3:20432139:20435151:1 gene:PRUPE_3G192000 transcript:ONI18012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISNPRVTYIKWTMLVLALFAHSCNGFYLPGSYMHTYSKAQEIVTKVNSLTSIETELPFSYYSLPYCKPPEGIKKSAENLGELLMGDEIENSAYRFKMNVNKTLYLCTTHPLTEHDVKLLKQRTRDLYQVNMILDNLPAMRYAYQNGVKIQWTGFPVGYTPPNSKDDYIINHLKFRVLIHEYEGSGVQIIGTGEEGPGVISEADKKKASGFEIVGFEVYPCSIKYDPDTMKKKSMYQSIPDVNCPSELEKSQIIREQERVSFTYQVEFVKSDIRWPSRWDAYLKMEGARVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKESQAQMNEELSGWKLVVGDVFREPDSSKLLCVMVGDGVQITGMAVVTIIFAAFGFMSPASRGMLLTGMIILYLFLGIIAGYVAVRMWRTIKGTSEGWRSVSWFVACFFPGIVFLILTVLNFILWGSNSTGALPISLFFVLLSLWFCISVPLTLLGGFLGTRAEAIQYPVRTNQIPREIPARKYPSWLLVLGSGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVSLYVFLYSINYLVFDLQSLSGPVSAILYLGYSLIMATAIMLSTGTIGFLMSFYFVHYLFSSVKID >ONI15733 pep chromosome:Prunus_persica_NCBIv2:G3:4098593:4099145:1 gene:PRUPE_3G057900 transcript:ONI15733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHTSLLFSCVEIGWTVPLVPVSYTSPIISIWHDVIMLALRKVSYIFCISVDNVFASVETLTWTEVMLGRCYP >ONI17387 pep chromosome:Prunus_persica_NCBIv2:G3:17412113:17414516:-1 gene:PRUPE_3G156000 transcript:ONI17387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNVGAQPGVPIPPATSQPNPFGNTFYGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGFSLGLQGKFSPEALNWLFIKGLLGWFMQVALLKVTLLSLGGGEAPLLDMVAYAGYAFTGLCLAVLGRIVLSYSYYFLMPWTCLCMGVFLVKTMKRVLFAEVRSYDSSKHHYLLLFIALAQFPLFTWLGNVSVNWLI >ONI17386 pep chromosome:Prunus_persica_NCBIv2:G3:17411165:17414899:-1 gene:PRUPE_3G156000 transcript:ONI17386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNVGAQPGVPIPPATSQPNPFGNTFYGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGFSLGLQGKFSPEALNWLFIKGLLGWFMQVALLKVTLLSLGGGEAPLLDMVAYAGYAFTGLCLAVLGRIVLSYSYYFLMPWTCLCMGVFLVKTMKRVLFAEVRSYDSSKHHYLLLFIALAQFPLFTWLGNVSVNWLI >ONI18027 pep chromosome:Prunus_persica_NCBIv2:G3:20471574:20472299:-1 gene:PRUPE_3G192700 transcript:ONI18027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVLLMQYHEPPILACAVNEVLAQIAGKKSSSIPTIVAPFVVASSKLKLESKSATKFEGKVSLYGTEIGSETAISKAMATRTQKPPPTMQIHHEPLACFLQLARVLKLPTYVLIGERGLRISDKEELEILYEIGELLASTLNLYFSRDKITWNPTRKTKDNKEPWRALYG >ONI18025 pep chromosome:Prunus_persica_NCBIv2:G3:20470976:20473190:-1 gene:PRUPE_3G192700 transcript:ONI18025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGSKVVFLLRDSDGFGDAISGAFHPKPSNTTIEESFELSLEHYGIKNCKASGSIRHFLDHQGQYEVSVLLMQYHEPPILACAVNEVLAQIAGKKSSSIPTIVAPFVVASSKLKLESKSATKFEGKVSLYGTEIGSETAISKAMATRTQKPPPTMQIHHEPLACFLQLARVLKLPTYVLIGERGLRISDKEELEILYEIGELLASTLNLYFSRDKITWNPTRKTKDNKEPWRALYG >ONI18026 pep chromosome:Prunus_persica_NCBIv2:G3:20471574:20472299:-1 gene:PRUPE_3G192700 transcript:ONI18026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVLLMQYHEPPILACAVNEVLAQIAGKKSSSIPTIVAPFVVASSKLKLESKSATKFEGKVSLYGTEIGSETAISKAMATRTQKPPPTMQIHHEPLACFLQLARVLKLPTYVLIGERGLRISDKEELEILYEIGELLASTLNLYFSRDKITWNPTRKTKDNKEPWRALYG >ONI18222 pep chromosome:Prunus_persica_NCBIv2:G3:21069055:21072097:1 gene:PRUPE_3G202900 transcript:ONI18222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDSGKLFIGGISWDTNEERLKEYFSSFGEVVEAVIMKDRTTGRARGFGFVVFADPAVADSVIMEKHNIDGRMVEAKKAVPRDDQNILGRTSGSIHGSPGPGRTRKIFVGGLASTVTESDFKKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKTFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNCGLSRVNSFLNGYTQGYNPSTVGGYGLGRFSPVASGRSGFPPFASGYGMGMNYEPGLSPGFGGNANFNSNISYGRGLSPYYVNNSNRFSSPIGYDGGNGGNTSSFFSSVTRNLWANGGLNYGTNSTGSSAYNGSGSGSIGGSTFGNTGVNWRSSAISAQGGGNNVPNNSGSLGYGAGDNSYGLGSGGYGRNNGTSATPTSSFAASNGGFDGAFADFYTSGSVYGDPTWRSSNSERDGPGPFGYGLGGAASDVSAKSSPGYVGGYSVNKRQPNTGTRDRFSPHNLYGCS >ONI18220 pep chromosome:Prunus_persica_NCBIv2:G3:21068852:21075383:1 gene:PRUPE_3G202900 transcript:ONI18220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDSGKLFIGGISWDTNEERLKEYFSSFGEVVEAVIMKDRTTGRARGFGFVVFADPAVADSVIMEKHNIDGRMVEAKKAVPRDDQNILGRTSGSIHGSPGPGRTRKIFVGGLASTVTESDFKKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKTFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNCGLSRVNSFLNGYTQGYNPSTVGGYGLGRFSPVASGRSGFPPFASGYGMGMNYEPGLSPGFGGNANFNSNISYGRGLSPYYVNNSNRFSSPIGYDGGNGGNTSSFFSSVTRNLWANGGLNYGTNSTGSSAYNGSGSGSIGGSTFGNTGVNWRSSAISAQGGGNNVPNNSGSLGYGAGDNSYGLGSGGYGRNNGTSATPTSSFAASNGGFDGAFADFYTSGSVYGDPTWRSSNSERDGPGPFGYGLGGAASDVSAKSSPGYVGGYSVNKRQPNTGIAA >ONI18221 pep chromosome:Prunus_persica_NCBIv2:G3:21068852:21075211:1 gene:PRUPE_3G202900 transcript:ONI18221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDSGKLFIGGISWDTNEERLKEYFSSFGEVVEAVIMKDRTTGRARGFGFVVFADPAVADSVIMEKHNIDGRMVEAKKAVPRDDQNILGRTSGSIHGSPGPGRTRKIFVGGLASTVTESDFKKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKTFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNCGLSRVNSFLNGYTQGYNPSTVGGYGLGRFSPVASGRSGFPPFASGYGMGMNYEPGLSPGFGGNANFNSNISYGRGLSPYYVNNSNRFSSPIGYDGGNGGNTSSFFSSVTRNLWANGGLNYGTNSTGSSAYNGSGSGSIGGSTFGNTGVNWRSSAISAQGGGNNVPNNSGSLGYGAGDNSYGLGSGGYGRNNGTSATPTSSFAASNGGFDGAFADFYTSGSVYGDPTWRSSNSERDGPGPFGYGLGGAASDVSAKSSPGYVGGYSVNKRQPNTGIAA >ONI15934 pep chromosome:Prunus_persica_NCBIv2:G3:5033641:5038063:-1 gene:PRUPE_3G070000 transcript:ONI15934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFFNQLGPSDSQTDTLAQGQQLKDGMQLFSASGIFSELNPDGSIKQELWQSFDYPTGTLLPKMKLGFDRKTGLNWTLTSWRTDNLPAIGSFTLGLDPTGLKQMVIWWRGSIYWTSGPWNNGCFSFMYEFCNYYKYNFSYISNGNETYFSYSVDKGTTIFPRLMLSAEGELRGFGMDSIFTGVSCTSSTNSSLKDGCVQEKLPDCRSPGEKFVLKMGLMSRGGIKFHENENLTLIDCWDECFKLCSCIAYASANDDGTGCEIWTKGTTFTQDNLGILREIHILESKVRLWWIWLTILVGGTALFPLLCSCCYVLWKKSKARGSRSQRMTYNILLHELGEGRRHQKDGKTSNNELQMFSFETIALATNCFSAANKLGEGGFGPVYKGKLLDGQEVAIKRLSRSSGQGLVEFKNEAILVAKLQHTNLVRLLGFCIQGEEKILIYEYMPNKSLDFILFDDQRKNVLNWKKRFSVIEGIAQGLIYLHKYSRLKVIHRDLKASNVLLDKDLNPKVSDFGMARIFGLNEMEENTNRVVGTYGYMSPEYAMKGIVSIKTDVFSFGVLLLEIVSGKKNNSNYHFKYQLNLIGYAWQLWNEDRGFELVDPVLGESCPITEVLRCIHVSLLCVQDHAADRPTMPDVVSMLSNESIPLPPPKQPAYFLNTVRAEREMAENKSEICSTNDVTISVMEAR >ONI15939 pep chromosome:Prunus_persica_NCBIv2:G3:5034945:5036992:-1 gene:PRUPE_3G070000 transcript:ONI15939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLILLCILCCCCIIFIGPSDSQTDTLAQGQQLKDGMQLFSASGIFRLGFFKPGNSNTSYLGIWYNRNNEKAAWIANRNNPILENSGVLTIDQYGNLKILYNIGDSIELYSVHQEAVNTSATLLDSGNFVLSELNPDGSIKQELWQSFDYPTGTLLPKMKLGFDRKTGLNWTLTSWRTDNLPAIGSFTLGLDPTGLKQMVIWWRGSIYWTSGPWNNGCFSFMYEFCNYYKYNFSYISNGNETYFSYSVDKGTTIFPRLMLSAEGELRGFGMDSIFTGVSCTSSTNSSLKDGCVQEKLPDCRSPGEKFVLKMGLMSRGGIKFHENENLTLIDCWDECFKLCSCIAYASANDDGTGCEIWTKGTTFTQDNLGILREIHILESKVRLWWIWLTILVGGTALFPLLCSCCYVLWKKSKARGSRSQRMTYNILLHELGEGRRHQKDGKTSNNELQMFSFETIALATNCFSAANKLGEGGFGPVYKGKLLDGQEVAIKRLSRSSGQGLVEFKNEAILVAKLQHTNLVRLLGFCIQGEEKILIYEYMPNKSLDFILFGSSLPITSLADLHIHFCYS >ONI15938 pep chromosome:Prunus_persica_NCBIv2:G3:5033899:5036992:-1 gene:PRUPE_3G070000 transcript:ONI15938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLILLCILCCCCIIFIGPSDSQTDTLAQGQQLKDGMQLFSASGIFRLGFFKPGNSNTSYLGIWYNRNNEKAAWIANRNNPILENSGVLTIDQYGNLKILYNIGDSIELYSVHQEAVNTSATLLDSGNFVLSELNPDGSIKQELWQSFDYPTGTLLPKMKLGFDRKTGLNWTLTSWRTDNLPAIGSFTLGLDPTGLKQMVIWWRGSIYWTSGPWNNGCFSFMYEFCNYYKYNFSYISNGNETYFSYSVDKGTTIFPRLMLSAEGELRGFGMDSIFTGVSCTSSTNSSLKDGCVQEKLPDCRSPGEKFVLKMGLMSRGGIKFHENENLTLIDCWDECFKLCSCIAYASANDDGTGCEIWTKGTTFTQDNLGILREIHILESKVRLWWIWLTILVGGTALFPLLCSCCYVLWKKSKARGSRSQRMTYNILLHELGEGRRHQKDGKTSNNELQMFSFETIALATNCFSAANKLGEGGFGPVYKGKLLDGQEVAIKRLSRSSGQGLVEFKNEAILVAKLQHTNLVRLLGFCIQGEEKILIYEYMPNKSLDFILFADDQRKNVLNWKKRFSVIEGIAQGLIYLHKYSRLKVIHRDLKASNVLLDKDLNPKVSDFGMARIFGLNEMEENTNRVVGTYGYMSPEYAMKGIVSIKTDVFSFGVLLLEIVSGKKNNSNYHFKYQLNLIGYAWQLWNEDRGFELVDPVLGESCPITEVLRCIHVSLLCVQDHAADRPTMPDVVSMLSNESIPLPPPKQPAYFLNTVRAEREMAENKSEICSTNDVTISVMEAR >ONI15937 pep chromosome:Prunus_persica_NCBIv2:G3:5033641:5037044:-1 gene:PRUPE_3G070000 transcript:ONI15937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLILLCILCCCCIIFIGPSDSQTDTLAQGQQLKDGMQLFSASGIFRLGFFKPGNSNTSYLGIWYNRNNEKAAWIANRNNPILENSGVLTIDQYGNLKILYNIGDSIELYSVHQEAVNTSATLLDSGNFVLSELNPDGSIKQELWQSFDYPTGTLLPKMKLGFDRKTGLNWTLTSWRTDNLPAIGSFTLGLDPTGLKQMVIWWRGSIYWTSGPWNNGCFSFMYEFCNYYKYNFSYISNGNETYFSYSVDKGTTIFPRLMLSAEGELRGFGMDSIFTGVSCTSSTNSSLKDGCVQEKLPDCRSPGEKFVLKMGLMSRGGIKFHENENLTLIDCWDECFKLCSCIAYASANDDGTGCEIWTKGTTFTQDNLGILREIHILESKVRLWWIWLTILVGGTALFPLLCSCCYVLWKKSKARGSRSQRMTYNILLHELGEGRRHQKDGKTSNNELQMFSFETIALATNCFSAANKLGEGGFGPVYKGKLLDGQEVAIKRLSRSSGQGLVEFKNEAILVAKLQHTNLVRLLGFCIQGEEKILIYEYMPNKSLDFILFDDQRKNVLNWKKRFSVIEGIAQGLIYLHKYSRLKVIHRDLKASNVLLDKDLNPKVSDFGMARIFGLNEMEENTNRVVGTYGYMSPEYAMKGIVSIKTDVFSFGVLLLEIVSGKKNNSNYHFKYQLNLIGYAWQLWNEDRGFELVDPVLGESCPITEVLRCIHVSLLCVQDHAADRPTMPDVVSMLSNESIPLPPPKQPAYFLNTVRAEREMAENKSEICSTNDVTISVMEAR >ONI15936 pep chromosome:Prunus_persica_NCBIv2:G3:5033899:5037227:-1 gene:PRUPE_3G070000 transcript:ONI15936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFFNQLGPSDSQTDTLAQGQQLKDGMQLFSASGIFRLGFFKPGNSNTSYLGIWYNRNNEKAAWIANRNNPILENSGVLTIDQYGNLKILYNIGDSIELYSVHQEAVNTSATLLDSGNFVLSELNPDGSIKQELWQSFDYPTGTLLPKMKLGFDRKTGLNWTLTSWRTDNLPAIGSFTLGLDPTGLKQMVIWWRGSIYWTSGPWNNGCFSFMYEFCNYYKYNFSYISNGNETYFSYSVDKGTTIFPRLMLSAEGELRGFGMDSIFTGVSCTSSTNSSLKDGCVQEKLPDCRSPGEKFVLKMGLMSRGGIKFHENENLTLIDCWDECFKLCSCIAYASANDDGTGCEIWTKGTTFTQDNLGILREIHILESKVRLWWIWLTILVGGTALFPLLCSCCYVLWKKSKARGSRSQRMTYNILLHELGEGRRHQKDGKTSNNELQMFSFETIALATNCFSAANKLGEGGFGPVYKGKLLDGQEVAIKRLSRSSGQGLVEFKNEAILVAKLQHTNLVRLLGFCIQGEEKILIYEYMPNKSLDFILFADDQRKNVLNWKKRFSVIEGIAQGLIYLHKYSRLKVIHRDLKASNVLLDKDLNPKVSDFGMARIFGLNEMEENTNRVVGTYGYMSPEYAMKGIVSIKTDVFSFGVLLLEIVSGKKNNSNYHFKYQLNLIGYAWQLWNEDRGFELVDPVLGESCPITEVLRCIHVSLLCVQDHAADRPTMPDVVSMLSNESIPLPPPKQPAYFLNTVRAEREMAENKSEICSTNDVTISVMEAR >ONI15935 pep chromosome:Prunus_persica_NCBIv2:G3:5033641:5038186:-1 gene:PRUPE_3G070000 transcript:ONI15935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFFNQLGPSDSQTDTLAQGQQLKDGMQLFSASGIFRLGFFKPGNSNTSYLGIWYNRNNEKAAWIANRNNPILENSGVLTIDQYGNLKILYNIGDSIELYSVHQEAVNTSATLLDSGNFVLSELNPDGSIKQELWQSFDYPTGTLLPKMKLGFDRKTGLNWTLTSWRTDNLPAIGSFTLGLDPTGLKQMVIWWRGSIYWTSGPWNNGCFSFMYEFCNYYKYNFSYISNGNETYFSYSVDKGTTIFPRLMLSAEGELRGFGMDSIFTGVSCTSSTNSSLKDGCVQEKLPDCRSPGEKFVLKMGLMSRGGIKFHENENLTLIDCWDECFKLCSCIAYASANDDGTGCEIWTKGTTFTQDNLGILREIHILESKVRLWWIWLTILVGGTALFPLLCSCCYVLWKKSKARGSRSQRMTYNILLHELGEGRRHQKDGKTSNNELQMFSFETIALATNCFSAANKLGEGGFGPVYKGKLLDGQEVAIKRLSRSSGQGLVEFKNEAILVAKLQHTNLVRLLGFCIQGEEKILIYEYMPNKSLDFILFDDQRKNVLNWKKRFSVIEGIAQGLIYLHKYSRLKVIHRDLKASNVLLDKDLNPKVSDFGMARIFGLNEMEENTNRVVGTYGYMSPEYAMKGIVSIKTDVFSFGVLLLEIVSGKKNNSNYHFKYQLNLIGYAWQLWNEDRGFELVDPVLGESCPITEVLRCIHVSLLCVQDHAADRPTMPDVVSMLSNESIPLPPPKQPAYFLNTVRAEREMAENKSEICSTNDVTISVMEAR >ONI14646 pep chromosome:Prunus_persica_NCBIv2:G3:38805:62015:1 gene:PRUPE_3G000300 transcript:ONI14646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQADSLHVHGVAIPTVDGIQNVLNHIGAQQIDGKRTQVLWINLREEPVVYINGRPFVLRDVERPFSNLEYTGINRARLEQMEARLKEDILIEAARYGNKILVTDELPDGQMVDQWEPVSRDSVTTPLEVYEELQVQGYLVDYERVPITDEKSPKELDFDILVHKISQADINAEIIFNCQMGRGRTTTGMVIATLIYLNRIGASGIPRTNSIGKVSDSSAIVTDNFPNSEDAIRRGEYAVIRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSERAALRSSSVGYSSFADWMKARPELYSIIRRLLRRDPMGALGYASLKPSLKKIAESADGRPYEMGVVAALRKGEVLGSQTVLKSDHCPGCQNQNLPEGVDGAPNFREVPGFPVYGVANPTIDGIRSVIQKICSSKDGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRERVERMEARLKEDILREAEHYGGAIMVIHETDDGQIFDAWEHVNSEAIQTPLEVFKGLETDGFPIKYARVPITDGKAPKSSDFDTLAINIASASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIEHGRPIKILVDNITLEEVDGGSSSGEESGGNSAASTSSVTAVRNEKDQGRVFGMNDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGESRMTFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEELRAPHESQHGDAVMEAIVKARSGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDGYPVYSMATPTIPGAKEMLAYLGAKPKAEGSAAQKVILTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVEHMEARLKEDILSEVRRSGGRMLLHREEYSPALNQSSVIGYLENIFADDVKTPAEVYAALKDEGYNITYRRIPLTREREALASDVDAIQYCIDDSAGCYLFVSHTGFGGVAYAMAIICIRFGAEADFVSKDPQLLFRTNPSYTTEEDLPSRASDEEVRRMGDYRDILSLTRVLVYGPKSKADVDVVIERCAGAGHLRDDILYYSKELEKFPDDDDEHRAYLMDMGIKALRRYFFLITFRSYLYCTSAAEIKFASWMDARPELGHLCNNLRIDK >ONI14644 pep chromosome:Prunus_persica_NCBIv2:G3:38803:62082:1 gene:PRUPE_3G000300 transcript:ONI14644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPKEPEQVMKLRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQADSLHVHGVAIPTVDGIQNVLNHIGAQQIDGKRTQVLWINLREEPVVYINGRPFVLRDVERPFSNLEYTGINRARLEQMEARLKEDILIEAARYGNKILVTDELPDGQMVDQWEPVSRDSVTTPLEVYEELQVQGYLVDYERVPITDEKSPKELDFDILVHKISQADINAEIIFNCQMGRGRTTTGMVIATLIYLNRIGASGIPRTNSIGKVSDSSAIVTDNFPNSEDAIRRGEYAVIRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSERAALRSSSVGYSSFADWMKARPELYSIIRRLLRRDPMGALGYASLKPSLKKIAESADGRPYEMGVVAALRKGEVLGSQTVLKSDHCPGCQNQNLPEGVDGAPNFREVPGFPVYGVANPTIDGIRSVIQKICSSKDGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRERVERMEARLKEDILREAEHYGGAIMVIHETDDGQIFDAWEHVNSEAIQTPLEVFKGLETDGFPIKYARVPITDGKAPKSSDFDTLAINIASASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIEHGRPIKILVDNITLEEVDGGSSSGEESGGNSAASTSSVTAVRNEKDQGRVFGMNDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGESRMTFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEELRAPHESQHGDAVMEAIVKARSGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDGYPVYSMATPTIPGAKEMLAYLGAKPKAEGSAAQKVILTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVEHMEARLKEDILSEVRRSGGRMLLHREEYSPALNQSSVIGYLENIFADDVKTPAEVYAALKDEGYNITYRRIPLTREREALASDVDAIQYCIDDSAGCYLFVSHTGFGGVAYAMAIICIRFGAEADFVSKDPQLLFRTNPSYTTEEDLPSRASDEEVRRMGDYRDILSLTRVLVYGPKSKADVDVVIERCAGAGHLRDDILYYSKELEKFPDDDDEHRAYLMDMGIKALRRYFFLITFRSYLYCTSAAEIKFASWMDARPELGHLCNNLRIDK >ONI14645 pep chromosome:Prunus_persica_NCBIv2:G3:38870:62015:1 gene:PRUPE_3G000300 transcript:ONI14645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPKEPEQVMKLRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQADSLHVHGVAIPTVDGIQNVLNHIGAQQIDGKRTQVLWINLREEPVVYINGRPFVLRDVERPFSNLEYTGINRARLEQMEARLKEDILIEAARYGNKILVTDELPDGQMVDQWEPVSRDSVTTPLEVYEELQVQGYLVDYERVPITDEKSPKELDFDILVHKISQADINAEIIFNCQMGRGRTTTGMVIATLIYLNRIGASGIPRTNSIGKVSDSSAIVTDNFPNSEDAIRRGEYAVIRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSERAALRSSSVGYSSFADWMKARPELYSIIRRLLRRDPMGALGYASLKPSLKKIAESADGRPYEMGVVAALRKGEVLGSQTVLKSDHCPGCQNQNLPEGVDGAPNFREVPGFPVYGVANPTIDGIRSVIQKICSSKDGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRERVERMEARLKEDILREAEHYGGAIMVIHETDDGQIFDAWEHVNSEAIQTPLEVFKGLETDGFPIKYARVPITDGKAPKSSDFDTLAINIASASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIEHGRPIKILVDNITLEEVDGGSSSGEESGGNSAASTSSVTAVRNEKDQGRVFGMNDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGESRMTFKNWLHQRPEVQAMKWSIRLRPGRFFTVPEELRAPHESQHGDAVMEAIVKARSGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYKVDGYPVYSMATPTIPGAKEMLAYLGAKPKAEGSAAQKVILTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVEHMEARLKEDILSEVRRSGGRMLLHREEYSPALNQSSVIGYLENIFADDVKTPAEVYAALKDEGYNITYRRIPLTREREALASDVDAIQYCIDDSAGCYLFVSHTGFGGVAYAMAIICIRFGAEADFVSKDPQLLFRTNPSYTTEEDLPSRASDEEVRRMGDYRDILSLTRVLVYGPKSKADVDVVIERCAGAGHLRDDILYYSKELEKFPDDDDEHRAYLMDMGIKALRRYFFLITFRSYLYCTSAAEIKFASWMDARPELGHLCNNLRIDK >ONI15375 pep chromosome:Prunus_persica_NCBIv2:G3:2887079:2888194:1 gene:PRUPE_3G040100 transcript:ONI15375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWITKFRVVVGCKRGSYMKILHSTVRDACINGNWHARVDFSFMSDCEVSKPQGERIRQVRARLHQMHVPKQNNTPEDKTKVEGNWI >ONI18916 pep chromosome:Prunus_persica_NCBIv2:G3:23841246:23844600:-1 gene:PRUPE_3G247900 transcript:ONI18916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPELGKPVHNQMAVATFKGPLNGEYHGKKTLERKNSGKRRVFVQTESGSVLGIELDRGDNVHTVKRKLRLALDVPTDESSLVCGDMVLKNDLSIVRNDSPLLLTRNFLHRSLSTPCLSPTGKDLQQRDQSGPIEVLGYSDQFSRTKQLVVDIVKAIRNGIDPVPVHGGLGGAYYFRNCSGENIAIVKPTDEEPYAPNNPKGFVGKVLGQPGLKRSVRVGETGFREVAAFLLDYNNFANVPDTALVKITHSVFNVNDGVKGNVHRNGKQASKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGGGRFGRIELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELAYINKLDPFKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGEMMSREYRGHEEEPSELEIICIEAKTLLDEEISYFEPKAGREEFQFELDCGKEEELDLAPTTEEKMKTERTFRFGSKGGNGRYLLSKLEESVEEGMEGHEGNELPPRSDDCVSVVQDRIPNVSKLSTSLKNISFGEKSWRHLGSMQKNGYLAGTSSGNRSVNEQRPMSSNFVILADMNEEEWMRFLENFQRLLRPAFANRNAGNVGQRQRQRLGTSCQF >ONI18919 pep chromosome:Prunus_persica_NCBIv2:G3:23841513:23843435:-1 gene:PRUPE_3G247900 transcript:ONI18919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPELGKPVHNQMAVATFKGPLNGEYHGKKTLERKNSGKRRVFVQTESGSVLGIELDRGDNVHTVKRKLRLALDVPTDESSLVCGDMVLKNDLSIVRNDSPLLLTRNFLHRSLSTPCLSPTGKDLQQRDQSGPIEVLGYSDQFSRTKQLVVDIVKAIRNGIDPVPVHGGLGGAYYFRNCSGENIAIVKPTDEEPYAPNNPKGFVGKVLGQPGLKRSVRVGETGFREVAAFLLDYNNFANVPDTALVKITHSVFNVNDGVKGNVHRNGKQASKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGGGRFGRIELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELAYINKLDPFKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGEMMSREYRGHEEEPSELEIICIEAKTLLDEEISYFEPKAGREEFQFELDCGKEEELDLAPTTEEKMKTERTFRFGSKGGNGRYLLSKLEESVEEGMEGHEGNELPPRSDDCVSVVQDRIPNVSKLSTSLKNISFGEKSWRHLGSMQKNGYLAGTSSGNRSVNEQRPMSSNFVILADMNEEEWMRFLENFQRLLRPAFANRNAGNVGQRQRQRLGTSCQF >ONI18923 pep chromosome:Prunus_persica_NCBIv2:G3:23841246:23844608:-1 gene:PRUPE_3G247900 transcript:ONI18923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATFKGPLNGEYHGKKTLERKNSGKRRVFVQTESGSVLGIELDRGDNVHTVKRKLRLALDVPTDESSLVCGDMVLKNDLSIVRNDSPLLLTRNFLHRSLSTPCLSPTGKDLQQRDQSGPIEVLGYSDQFSRTKQLVVDIVKAIRNGIDPVPVHGGLGGAYYFRNCSGENIAIVKPTDEEPYAPNNPKGFVGKVLGQPGLKRSVRVGETGFREVAAFLLDYNNFANVPDTALVKITHSVFNVNDGVKGNVHRNGKQASKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGGGRFGRIELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELAYINKLDPFKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGEMMSREYRGHEEEPSELEIICIEAKTLLDEEISYFEPKAGREEFQFELDCGKEEELDLAPTTEEKMKTERTFRFGSKGGNGRYLLSKLEESVEEGMEGHEGNELPPRSDDCVSVVQDRIPNVSKLSTSLKNISFGEKSWRHLGSMQKNGYLAGTSSGNRSVNEQRPMSSNFVILADMNEEEWMRFLENFQRLLRPAFANRNAGNVGQRQRQRLGTSCQF >ONI18925 pep chromosome:Prunus_persica_NCBIv2:G3:23841246:23844608:-1 gene:PRUPE_3G247900 transcript:ONI18925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATFKGPLNGEYHGKKTLERKNSGKRRVFVQTESGSVLGIELDRGDNVHTVKRKLRLALDVPTDESSLVCGDMVLKNDLSIVRNDSPLLLTRNFLHRSLSTPCLSPTGKDLQQRDQSGPIEVLGYSDQFSRTKQLVVDIVKAIRNGIDPVPVHGGLGGAYYFRNCSGENIAIVKPTDEEPYAPNNPKGFVGKVLGQPGLKRSVRVGETGFREVAAFLLDYNNFANVPDTALVKITHSVFNVNDGVKGNVHRNGKQASKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGGGRFGRIELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELAYINKLDPFKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGEMMSREYRGHEEEPSELEIICIEAKTLLDEEISYFEPKAGREEFQFELDCGKEEELDLAPTTEEKMKTERTFRFGSKGGNGRYLLSKLEESVEEGMEGHEGNELPPRSDDCVSVVQDRIPNVSKLSTSLKNISFGEKSWRHLGSMQKNGYLAGTSSGNRSVNEQRPMSSNFVILADMNEEEWMRFLENFQRLLRPAFANRNAGNVGQRQRQRLGTSCQF >ONI18918 pep chromosome:Prunus_persica_NCBIv2:G3:23841246:23844602:-1 gene:PRUPE_3G247900 transcript:ONI18918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPELGKPVHNQMAVATFKGPLNGEYHGKKTLERKNSGKRRVFVQTESGSVLGIELDRGDNVHTVKRKLRLALDVPTDESSLVCGDMVLKNDLSIVRNDSPLLLTRNFLHRSLSTPCLSPTGKDLQQRDQSGPIEVLGYSDQFSRTKQLVVDIVKAIRNGIDPVPVHGGLGGAYYFRNCSGENIAIVKPTDEEPYAPNNPKGFVGKVLGQPGLKRSVRVGETGFREVAAFLLDYNNFANVPDTALVKITHSVFNVNDGVKGNVHRNGKQASKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGGGRFGRIELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELAYINKLDPFKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGEMMSREYRGHEEEPSELEIICIEAKTLLDEEISYFEPKAGREEFQFELDCGKEEELDLAPTTEEKMKTERTFRFGSKGGNGRYLLSKLEESVEEGMEGHEGNELPPRSDDCVSVVQDRIPNVSKLSTSLKNISFGEKSWRHLGSMQKNGYLAGTSSGNRSVNEQRPMSSNFVILADMNEEEWMRFLENFQRLLRPAFANRNAGNVGQRQRQRLGTSCQF >ONI18924 pep chromosome:Prunus_persica_NCBIv2:G3:23841246:23844598:-1 gene:PRUPE_3G247900 transcript:ONI18924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATFKGPLNGEYHGKKTLERKNSGKRRVFVQTESGSVLGIELDRGDNVHTVKRKLRLALDVPTDESSLVCGDMVLKNDLSIVRNDSPLLLTRNFLHRSLSTPCLSPTGKDLQQRDQSGPIEVLGYSDQFSRTKQLVVDIVKAIRNGIDPVPVHGGLGGAYYFRNCSGENIAIVKPTDEEPYAPNNPKGFVGKVLGQPGLKRSVRVGETGFREVAAFLLDYNNFANVPDTALVKITHSVFNVNDGVKGNVHRNGKQASKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGGGRFGRIELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELAYINKLDPFKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGEMMSREYRGHEEEPSELEIICIEAKTLLDEEISYFEPKAGREEFQFELDCGKEEELDLAPTTEEKMKTERTFRFGSKGGNGRYLLSKLEESVEEGMEGHEGNELPPRSDDCVSVVQDRIPNVSKLSTSLKNISFGEKSWRHLGSMQKNGYLAGTSSGNRSVNEQRPMSSNFVILADMNEEEWMRFLENFQRLLRPAFANRNAGNVGQRQRQRLGTSCQF >ONI18915 pep chromosome:Prunus_persica_NCBIv2:G3:23841513:23843435:-1 gene:PRUPE_3G247900 transcript:ONI18915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPELGKPVHNQMAVATFKGPLNGEYHGKKTLERKNSGKRRVFVQTESGSVLGIELDRGDNVHTVKRKLRLALDVPTDESSLVCGDMVLKNDLSIVRNDSPLLLTRNFLHRSLSTPCLSPTGKDLQQRDQSGPIEVLGYSDQFSRTKQLVVDIVKAIRNGIDPVPVHGGLGGAYYFRNCSGENIAIVKPTDEEPYAPNNPKGFVGKVLGQPGLKRSVRVGETGFREVAAFLLDYNNFANVPDTALVKITHSVFNVNDGVKGNVHRNGKQASKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGGGRFGRIELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELAYINKLDPFKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGEMMSREYRGHEEEPSELEIICIEAKTLLDEEISYFEPKAGREEFQFELDCGKEEELDLAPTTEEKMKTERTFRFGSKGGNGRYLLSKLEESVEEGMEGHEGNELPPRSDDCVSVVQDRIPNVSKLSTSLKNISFGEKSWRHLGSMQKNGYLAGTSSGNRSVNEQRPMSSNFVILADMNEEEWMRFLENFQRLLRPAFANRNAGNVGQRQRQRLGTSCQF >ONI18921 pep chromosome:Prunus_persica_NCBIv2:G3:23841246:23844601:-1 gene:PRUPE_3G247900 transcript:ONI18921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPELGKPVHNQMAVATFKGPLNGEYHGKKTLERKNSGKRRVFVQTESGSVLGIELDRGDNVHTVKRKLRLALDVPTDESSLVCGDMVLKNDLSIVRNDSPLLLTRNFLHRSLSTPCLSPTGKDLQQRDQSGPIEVLGYSDQFSRTKQLVVDIVKAIRNGIDPVPVHGGLGGAYYFRNCSGENIAIVKPTDEEPYAPNNPKGFVGKVLGQPGLKRSVRVGETGFREVAAFLLDYNNFANVPDTALVKITHSVFNVNDGVKGNVHRNGKQASKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGGGRFGRIELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELAYINKLDPFKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGEMMSREYRGHEEEPSELEIICIEAKTLLDEEISYFEPKAGREEFQFELDCGKEEELDLAPTTEEKMKTERTFRFGSKGGNGRYLLSKLEESVEEGMEGHEGNELPPRSDDCVSVVQDRIPNVSKLSTSLKNISFGEKSWRHLGSMQKNGYLAGTSSGNRSVNEQRPMSSNFVILADMNEEEWMRFLENFQRLLRPAFANRNAGNVGQRQRQRLGTSCQF >ONI18922 pep chromosome:Prunus_persica_NCBIv2:G3:23841246:23844595:-1 gene:PRUPE_3G247900 transcript:ONI18922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPELGKPVHNQMAVATFKGPLNGEYHGKKTLERKNSGKRRVFVQTESGSVLGIELDRGDNVHTVKRKLRLALDVPTDESSLVCGDMVLKNDLSIVRNDSPLLLTRNFLHRSLSTPCLSPTGKDLQQRDQSGPIEVLGYSDQFSRTKQLVVDIVKAIRNGIDPVPVHGGLGGAYYFRNCSGENIAIVKPTDEEPYAPNNPKGFVGKVLGQPGLKRSVRVGETGFREVAAFLLDYNNFANVPDTALVKITHSVFNVNDGVKGNVHRNGKQASKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGGGRFGRIELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELAYINKLDPFKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGEMMSREYRGHEEEPSELEIICIEAKTLLDEEISYFEPKAGREEFQFELDCGKEEELDLAPTTEEKMKTERTFRFGSKGGNGRYLLSKLEESVEEGMEGHEGNELPPRSDDCVSVVQDRIPNVSKLSTSLKNISFGEKSWRHLGSMQKNGYLAGTSSGNRSVNEQRPMSSNFVILADMNEEEWMRFLENFQRLLRPAFANRNAGNVGQRQRQRLGTSCQF >ONI18917 pep chromosome:Prunus_persica_NCBIv2:G3:23841246:23844606:-1 gene:PRUPE_3G247900 transcript:ONI18917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPELGKPVHNQMAVATFKGPLNGEYHGKKTLERKNSGKRRVFVQTESGSVLGIELDRGDNVHTVKRKLRLALDVPTDESSLVCGDMVLKNDLSIVRNDSPLLLTRNFLHRSLSTPCLSPTGKDLQQRDQSGPIEVLGYSDQFSRTKQLVVDIVKAIRNGIDPVPVHGGLGGAYYFRNCSGENIAIVKPTDEEPYAPNNPKGFVGKVLGQPGLKRSVRVGETGFREVAAFLLDYNNFANVPDTALVKITHSVFNVNDGVKGNVHRNGKQASKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGGGRFGRIELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELAYINKLDPFKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGEMMSREYRGHEEEPSELEIICIEAKTLLDEEISYFEPKAGREEFQFELDCGKEEELDLAPTTEEKMKTERTFRFGSKGGNGRYLLSKLEESVEEGMEGHEGNELPPRSDDCVSVVQDRIPNVSKLSTSLKNISFGEKSWRHLGSMQKNGYLAGTSSGNRSVNEQRPMSSNFVILADMNEEEWMRFLENFQRLLRPAFANRNAGNVGQRQRQRLGTSCQF >ONI18920 pep chromosome:Prunus_persica_NCBIv2:G3:23841246:23844609:-1 gene:PRUPE_3G247900 transcript:ONI18920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPELGKPVHNQMAVATFKGPLNGEYHGKKTLERKNSGKRRVFVQTESGSVLGIELDRGDNVHTVKRKLRLALDVPTDESSLVCGDMVLKNDLSIVRNDSPLLLTRNFLHRSLSTPCLSPTGKDLQQRDQSGPIEVLGYSDQFSRTKQLVVDIVKAIRNGIDPVPVHGGLGGAYYFRNCSGENIAIVKPTDEEPYAPNNPKGFVGKVLGQPGLKRSVRVGETGFREVAAFLLDYNNFANVPDTALVKITHSVFNVNDGVKGNVHRNGKQASKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGGGRFGRIELVPIDHGLCLPESLEDPYFEWIHWPQASIPFSDDELAYINKLDPFKDSDMLRMELPMIREACLRVLVLCTIFLKEAAAFGLCLSEIGEMMSREYRGHEEEPSELEIICIEAKTLLDEEISYFEPKAGREEFQFELDCGKEEELDLAPTTEEKMKTERTFRFGSKGGNGRYLLSKLEESVEEGMEGHEGNELPPRSDDCVSVVQDRIPNVSKLSTSLKNISFGEKSWRHLGSMQKNGYLAGTSSGNRSVNEQRPMSSNFVILADMNEEEWMRFLENFQRLLRPAFANRNAGNVGQRQRQRLGTSCQF >ONI15497 pep chromosome:Prunus_persica_NCBIv2:G3:3286206:3290771:-1 gene:PRUPE_3G046000 transcript:ONI15497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGGRFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLMYESKLYKILQGGTGIPNVRWFGTEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQIYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATPPARALGASAGPSSGLPPAVANAERQSGGEEGRPTGWSLSDPSRRRNSGPIVNSGNLSRQKSPAANDPSLSKDAMLSSSNFLRSSGSSRRAAVSSSRDAVIIGSESDLSRPQTSDLSPGTLGKISSGQRSSPVVPSENNRTSSGRNISNVKTLESTLRGIESLHFNNDERVQY >ONI15498 pep chromosome:Prunus_persica_NCBIv2:G3:3285755:3290855:-1 gene:PRUPE_3G046000 transcript:ONI15498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGGRFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLMYESKLYKILQGGTGIPNVRWFGTEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQIYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATPPARALVMLDRALACLQLLQMLKDNLVVRKVDLQVGRCQIPLVGETLGQL >ONI15496 pep chromosome:Prunus_persica_NCBIv2:G3:3285525:3291101:-1 gene:PRUPE_3G046000 transcript:ONI15496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGGRFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLMYESKLYKILQGGTGIPNVRWFGTEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQIYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATPPARALGASAGPSSGLPPAVANAERQSGGEEGRPTGWSLSDPSRRRNSGPIVNSGNLSRQKSPAANDPSLSKDAMLSSSNFLRSSGSSRRAAVSSSRDAVIIGSESDLSRPQTSDLSPGTLGKISSGQRSSPVVPSENNRTSSGRNISNVKTLESTLRGIESLHFNNDERVQY >ONI16318 pep chromosome:Prunus_persica_NCBIv2:G3:6847626:6854124:1 gene:PRUPE_3G091900 transcript:ONI16318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLSTLATEIVIPVAAVIGIVFSLVQWFIVSRVKVTPERHAPPPGPNSNKNGFNDYLIEEEEGLHDQNVVAKCAEIQNAISEGATSFLFTEYQYVGVFMVVFAILIFLFLGSVEGFSTKSQPCTYDAQRTCKPALATAIFSTVAFVLGGVTSILSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYITINVFKVYYGDDWEGLFESITGYGLGGSAMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTSMLYPLLISSMGILICLITTLFATDFFEIKAVKEIEPALKKQLIISTVLMTVGIAIISWIALPSSFTIYNFGVQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVILTPLIVGTLFGVETLSGVLAGSLVSGVQVAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >ONI18872 pep chromosome:Prunus_persica_NCBIv2:G3:23679562:23681799:-1 gene:PRUPE_3G245000 transcript:ONI18872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHKNRDRISYLPWDVLDNILVRLDLKEVVRTSILSSNWRHKWTGISQFVLHDKCIPKRISDKVARWGSIMEIIHQVQLCHDGAIEKFKLAAYCRPDHSDLDQWIRFLTGKGLKELILQEFDSVKRFNLPFCLFSSPLLNRLELFGCRFKPPSAVIEFRSLTTLQLNRVCISDRMLECLVSNSPVLERLILLDIDHQIVLRIRNPNLKYLRVDSNFDDICLEHSPLLATVDIGLRVGVTPQFFDSGGGQLVRIIGRLHALRKLTLSSAFLRFLGNISVPDRLPTMLQHLSVFELKDVRLDSMTEVMVCLCIFRSAPNLEKLLLST >ONI18873 pep chromosome:Prunus_persica_NCBIv2:G3:23679562:23682016:-1 gene:PRUPE_3G245000 transcript:ONI18873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHKNRDRISYLPWDVLDNILVRLDLKEVVRTSILSSNWRHKWTGISQFVLHDKCIPKRISDKVARWGSIMEIIHQVQLCHDGAIEKFKLAAYCRPDHSDLDQWIRFLTGKGLKELILQEFDSVKRFNLPFCLFSSPLLNRLELFGCRFKPPSAVIEFRSLTTLQLNRVCISDRMLECLVSNSPVLERLILLDIDHQIVLRIRNPNLKYLRVDSNFDDICLEHSPLLATVDIGLRVGVTPQFFDSGGGQLVRIIGRLHALRKLTLSSAFLRFLGNISVPDRLPTMLQHLSVFELKDVRLDSMTEVMVCLCIFRSAPNLEKLLLST >ONI18870 pep chromosome:Prunus_persica_NCBIv2:G3:23679106:23681862:-1 gene:PRUPE_3G245000 transcript:ONI18870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHKNRDRISYLPWDVLDNILVRLDLKEVVRTSILSSNWRHKWTGISQFVLHDKCIPKRISDKVARWGSIMEIIHQVQLCHDGAIEKFKLAAYCRPDHSDLDQWIRFLTGKGLKELILQEFDSVKRFNLPFCLFSSPLLNRLELFGCRFKPPSAVIEFRSLTTLQLNRVCISDRMLECLVSNSPVLERLILLDIDHQIVLRIRNPNLKYLRVDSNFDDICLEHSPLLATVDIGLRVGVTPQFFDSGGGQLVRIIGRLHALRKLTLSSAFLRFLGNISVPDRLPTMLQHLSVFELKDVRLDSMTEVMVCLCIFRSAPNLEKLLLSVAGTTAYSRPSVEFLISQSLSNLYFEQLKEVSIRAVQGVIPELILIQFLLARSPVLKKMTIVHYGSERLPGESLQQFVPASKDVKIFNLSM >ONI18871 pep chromosome:Prunus_persica_NCBIv2:G3:23678968:23681801:-1 gene:PRUPE_3G245000 transcript:ONI18871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHKNRDRISYLPWDVLDNILVRLDLKEVVRTSILSSNWRHKWTGISQFVLHDKCIPKRISDKVARWGSIMEIIHQVQLCHDGAIEKFKLAAYCRPDHSDLDQWIRFLTGKGLKELILQEFDSVKRFNLPFCLFSSPLLNRLELFGCRFKPPSAVIEFRSLTTLQLNRVCISDRMLECLVSNSPVLERLILLDIDHQIVLRIRNPNLKYLRVDSNFDDICLEHSPLLATVDIGLRVGVTPQFFDSGGGQLVRIIGRLHALRKLTLSSAFLRFLGNISVPDRLPTMLQHLSVFELKDVRLDSMTEVMVCLCIFRSAPNLEKLLLSVAGTTAYSRPSVEFLISQSLSNLYFEQLKEVSIRAVQGVIPELILIQFLLARSPVLKKMTIVHYGSERLPGESLQQFVPASKDVKIFNLSM >ONI18874 pep chromosome:Prunus_persica_NCBIv2:G3:23680054:23681181:-1 gene:PRUPE_3G245000 transcript:ONI18874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHKNRDRISYLPWDVLDNILVRLDLKEVVRTSILSSNWRHKWTGISQFVLHDKCIPKRISDKVARWGSIMEIIHQVQLCHDGAIEKFKLAAYCRPDHSDLDQWIRFLTGKGLKELILQEFDSVKRFNLPFCLFSSPLLNRLELFGCRFKPPSAVIEFRSLTTLQLNRVCISDRMLECLVSNSPVLERLILLDIDHQIVLRIRNPNLKYLRVDSNFDDICLEHSPLLATVDIGLRVGVTPQFFDSGGGQLVRIIGRLHALRKLTLSSAFLRFLGNISVPDRLPTMLQHLSVFELKDVRLDSMTEVMVCLCIFRSAPNLEKLLLSVSFSGQLAI >ONI18310 pep chromosome:Prunus_persica_NCBIv2:G3:21455152:21461266:1 gene:PRUPE_3G208500 transcript:ONI18310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALFDSINVRELLSAQDLSDPTTPLSAPDLRLLIQRLDSHSLQIKSKIQSYLLSHHNDFANLFSVCDDAVSRSNRISDDVVQLLSSISDRPIEAEIGQIMKQMSATKKEVREKKGLLELVRAILEISEKLKGAREGLRNGRLRFTAEELRELKKALRVSDDVRVDEREPVVYNLLRKQWSECFEEIQEVLVRFIGNAVRFERESNRIRVKYVLSVDGNDGIELRTVLEALDVVGILDYGLAKVADLMIKHVISPALNFGAPVSFVAEVNPDSQVITEATLNIVPSSDPKIEKMDGETIYSGIIQVIKFINNHICLKDVSWIRCFGRLTWPRISELIISNFLSKVVPKDASKLADFLKIIKCTSEFETALREMKFISAPDNKDNQLSNFAENVEVHFASRKKTEILAKARNLLLQCDFAAPQEYTRNGKKDGVAAETPGHVDLLFLSESCVVSKAAIQLMKLVHQTLKDVCLSSPKVAFEFYRAARDALLLYEVVIPVKLERQLDGINQVAVLMYNDCLYLSQEILGLAFEYRSDFPSSIKEHAIFVDMAPRFHLMAEEILQRQVKLVIHNLREALGGADGFQNTHQMQQFQSAKFSIDQVVFILEKVRLIWEPLLLASTYKRSMCMVLESVFSRVAKDILLLDDMAAEETLELQRLIHVMLESLISLLDSLAALQVVTSQEGITCSLDDLIPSLRKIRKLADLLDMPLKSITTAWESGQLHSCGFTTSEVVDFIKAIFQDSTLRRECLGRINGDF >ONI16397 pep chromosome:Prunus_persica_NCBIv2:G3:7246987:7254484:1 gene:PRUPE_3G096100 transcript:ONI16397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMRRSAIKAANKELERIDVFFNTYANMSSGLIDPEGIERLCSDVEVDHTDVRILMLAWKMKAEKQGYFTLEEWRTGFKAIRVSNKYALKKALPELEKEVRRPTNFVDFYSYSFRYCLTEEKQKSIDIDSICELLNIVLRSQYQAQVDLFVQYLKTQNDYKVINMDQWMGFYRFCEEDS >ONI16400 pep chromosome:Prunus_persica_NCBIv2:G3:7246848:7254494:1 gene:PRUPE_3G096100 transcript:ONI16400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMRRSAIKAANKELERIDVFFNTYANMSSGLIDPEGIERLCSDVEVDHTDVRILMLAWKMKAEKQGYFTLEEWRTGFKAIRVSNKYALKKALPELEKEVRRPTNFVDFYSYSFRYCLTEEKQKSIDIDSICELLNIVLRSQYQAQVDLFVQYLKTQNDYKVINMDQWMGFYRFCEEISFPDLSNYDPELAWPLILDNFVEWLREKQTQS >ONI16402 pep chromosome:Prunus_persica_NCBIv2:G3:7248093:7254406:1 gene:PRUPE_3G096100 transcript:ONI16402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGLIDPEGIERLCSDVEVDHTDVRILMLAWKMKAEKQGYFTLEEWRTGFKAIRVSNKYALKKALPELEKEVRRPTNFVDFYSYSFRYCLTEEKQKSIDIDSICELLNIVLRSQYQAQVDLFVQYLKTQNDYKVINMDQWMGFYRFCEEISFPDLSNYDPELAWPLILDNFVEWLREKQTQS >ONI16396 pep chromosome:Prunus_persica_NCBIv2:G3:7246982:7254466:1 gene:PRUPE_3G096100 transcript:ONI16396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMRRSAIKAANKELERIDVFFNTYANMSSGLIDPEGIERLCSDVEVDHTDVRILMLAWKMKAEKQGYFTLEEWRTGFKAIRVSNKYALKKALPELEKEVRRPTNFVDFYSYSFRYCLTEEKQKSIDIDSICELLNIVLRSQYQAQVDLFVQYLKTQNDYKVINMDQWMGFYRFCEELDNKIKWLQEFYFVFFFFSFQT >ONI16398 pep chromosome:Prunus_persica_NCBIv2:G3:7246965:7254463:1 gene:PRUPE_3G096100 transcript:ONI16398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMRRSAIKAANKELERIDVFFNTYANMSSGLIDPEGIERLCSDVEVDHTDVRILMLAWKMKAEKQGYFTLEEWRTGFKAIRVSNKYALKKALPELEKEVRRPTNFVDFYSYSFRYCLTEEKQKSIDIDSICELLNIVLRSQYQAQVDLFVQYLKTQNDYKVINMDQWMGFYRFCEEISFPDLSNYDPELAWPLILDNFVEWLREKQTQS >ONI16401 pep chromosome:Prunus_persica_NCBIv2:G3:7248093:7254406:1 gene:PRUPE_3G096100 transcript:ONI16401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGLIDPEGIERLCSDVEVDHTDVRILMLAWKMKAEKQGYFTLEEWRTGFKAIRVSNKYALKKALPELEKEVRRPTNFVDFYSYSFRYCLTEEKQKSIDIDSICELLNIVLRSQYQAQVDLFVQYLKTQNDYKVINMDQWMGFYRFCEEISFPDLSNYDPELAWPLILDNFVEWLREKQTQS >ONI16399 pep chromosome:Prunus_persica_NCBIv2:G3:7246983:7254465:1 gene:PRUPE_3G096100 transcript:ONI16399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMRRSAIKAANKELERIDVFFNTYANMSSGLIDPEGIERLCSDVEVDHTDVRILMLAWKMKAEKQGYFTLEEWRTGFKAIRVSNKYALKKALPELEKEVRRPTNFVDFYSYSFRYCLTEEKQKSIDIDSICELLNIVLRSQYQAQVDLFVQYLKTQNDYKVINMDQWMGFYRFCEEISFPDLSNYDPELAWPLILDNFVEWLREKQTQS >ONI19719 pep chromosome:Prunus_persica_NCBIv2:G3:26238169:26245054:1 gene:PRUPE_3G293600 transcript:ONI19719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSGYKGVVGLVFGNEKSGSSNEDSYVERLLDCISNGKLSEDRRTAMLELQSVVAESSNAQLAFGAMGFPVMMGILKEERDDVEMVRGALETLVSALTPIDHAKGPKNEIQPALMNADLLSREADNISLLLSLLSEEDFYVRYYTLQLLTALLTNSPNRLQEAILTIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGALEKIFSIIKEEGGSDGGVVVQDCIELLNNLIRKNASNQVLLRETIGFDPFMSILKLRGSTYSFTQQKTINLLSALETLNLLIMGGLEADHGKDANMLTNRTTLVQNKVLDHLLMLGVESQWAPVAVRCAALRCIGNLIAGHPKNIDALASKFLGEGLQEPALNSILRIILRTSSMQEFVAADYVFKSFCEKNADGQTMLASTLIPQPHSMAHAPVEEDVHMSFGSMLLQGLNLSENDGDLETCCRAASVLSHVMKDNIQCKERVLRIELEAPTPSLGAPEPLMHRVVKYLALASSMKNKDGKSSGNSYVEPIILKLLVTWLSDFPSAVNCFLDSRPHITYLLELVSNSSTTVYIKGLAAVLLGECVIYNKSVESGKDAFTIVDSISQKVGLTSYFLKFDEMQKSFLFTSARATQPRKQLTRSASASMVEIEDVDENNLLDQKNEDHPVLSSIFDASFVNLVRSLEVHIREKIVEVYSQPKSKVAVVPAELEQKSGESDREYIKRLKAFVEKQCSEIQDLLGRNATLAEDVATTGVGSSYARPEQGAGSDRVQVETLRRDLQEASKRLELLKAEKAKIESEASMYRSLAGKMESDLKSLSDAYNSLEQANFHLEKEVRGQQGVGGSLSVPDVEGIRAEAREEAQKESEAELNDLLVCLGQEQTKVEKLSARLLELGEDVDKLLEDIGDDMGLPADGEEEED >ONI15703 pep chromosome:Prunus_persica_NCBIv2:G3:4009347:4017415:1 gene:PRUPE_3G056500 transcript:ONI15703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSDMRALRAIYTHKLLNPTTHKSLSKPSLIPLQSLQNPNFSRHFHSTCRLQRPEEPSTVTDSLKTETNSSSSVEIRRVWSVFGPASHTLVTPRVANKSSDVQEPRRESESESESESVSETQKGLSSLRNGVKESERDKTPRNVKKANSGTGNYREMARSNKKGGKVKTSWVCSSCGETYGQWWGACRSCHAMGTVKRFSESVEDADWGKVSGFQVSEKAVRSWMGKEAGEGGPMRLADVNQGITVIDRRIPMPGIFGSEVERVLGGGLVRGSLVLVGGDPGVGKSTLVLQMASLIAEGHELGKAAPVVYVSGEESVQQIGSRADRMKIETEDLFLYSSTDIEDILEKIQSLNPQALIIDSIQTVYLQGVAGSAGGIMQVKECTQALLRFAKKTNVPVLLIGHVTKSGDIAGPRVLEHIVDVVLYMEGEKSSAHRLLRSVKNRFGSTDELGVFEMSQSGLQAVSNPSEMFLSEQYSDSEYLAGLAVAVIMDGSRTFLIEIQALCVSESPSARQVNGVPPSRASMIISVLAKQAGLKLQENAIFLNVVSGVFLKDTAGDLAIAAAICSSFLEFPIPNSIAFIGEIGLGGELRQEVTS >ONI15701 pep chromosome:Prunus_persica_NCBIv2:G3:4009262:4018159:1 gene:PRUPE_3G056500 transcript:ONI15701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSDMRALRAIYTHKLLNPTTHKSLSKPSLIPLQSLQNPNFSRHFHSTCRLQRPEEPSTVTDSLKTETNSSSSVEIRRVWSVFGPASHTLVTPRVANKSSDVQEPRRESESESESESVSETQKGLSSLRNGVKESERDKTPRNVKKANSGTGNYREMARSNKKGGKVKTSWVCSSCGETYGQWWGACRSCHAMGTVKRFSESVEDADWGKVSGFQVSEKAVRSWMGKEAGEGGPMRLADVNQGITVIDRRIPMPGIFGSEVERVLGGGLVRGSLVLVGGDPGVGKSTLVLQMASLIAEGHELGKAAPVVYVSGEESVQQIGSRADRMKIETEDLFLYSSTDIEDILEKIQSLNPQALIIDSIQTVYLQGVAGSAGGIMQVKECTQALLRFAKKTNVPVLLIGHVTKSGDIAGPRVLEHIVDVVLYMEGEKSSAHRLLRSVKNRFGSTDELGVFEMSQSGLQAVSNPSEMFLSEQYSDSEYLAGLAVAVIMDGSRTFLIEIQALCVSESPSARQVNGVPPSRASMIISVLAKQAGLKLQENAIFLNVVSGVFLKDTAGDLAIAAAICSSFLEFPIPNSIAFIGEIGLGGELRQVPQMDKRIHTLAKLGYKKCIVPKSAKTSQGTPGFEDVKIIGCKDLKEVISNVFK >ONI15702 pep chromosome:Prunus_persica_NCBIv2:G3:4009262:4018107:1 gene:PRUPE_3G056500 transcript:ONI15702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSDMRALRAIYTHKLLNPTTHKSLSKPSLIPLQSLQNPNFSRHFHSTCRLQRPEEPSTVTDSLKTETNSSSSVEIRRVWSVFGPASHTLVTPRVANKSSDVQEPRRESESESESESVSETQKGLSSLRNGVKESERDKTPRNVKKANSGTGNYREMARSNKKGGKVKTSWVCSSCGETYGQWWGACRSCHAMGTVKRFSESVEDADWGKVSGFQVSEKAVRSWMGKEAGEGGPMRLADVNQGITVIDRRIPMPGIFGSEVERVLGGGLVRGSLVLVGGDPGVGKSTLVLQMASLIAEGHELGKAAPVVYVSGEEDILEKIQSLNPQALIIDSIQTVYLQGVAGSAGGIMQVKECTQALLRFAKKTNVPVLLIGHVTKSGDIAGPRVLEHIVDVVLYMEGEKSSAHRLLRSVKNRFGSTDELGVFEMSQSGLQAVSNPSEMFLSEQYSDSEYLAGLAVAVIMDGSRTFLIEIQALCVSESPSARQVNGVPPSRASMIISVLAKQAGLKLQENAIFLNVVSGVFLKDTAGDLAIAAAICSSFLEFPIPNSIAFIGEIGLGGELRQVPQMDKRIHTLAKLGYKKCIVPKSAKTSQGTPGFEDVKIIGCKDLKEVISNVFK >ONI15440 pep chromosome:Prunus_persica_NCBIv2:G3:3089937:3099251:-1 gene:PRUPE_3G043500 transcript:ONI15440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSRIEGGTQIISAGVRKTIQSIKEIVRNHSDIDIYWALKETDMDPNETAQKLLNQDPFHLVKRKRDKRKEVSSNSMGHTVSVEPRRHFESAGQGPKSNTSADRNVRRGGYARSGVTGISREFRVVRDNRVNRNINRETKPDSPQCTTSTNEQVSNISGKGPTGSSSSQKPSSRQNSSQVSNGQTDPQIRTSDANATGSLRKETLVEKRVTLPTAALRVQAVKPSNSQPHSAVVVSSNSVVGLYSSSTDPVHVPSPDSRPSASVGAIKREVGVRRQSSENSNSSAPSSSLSNSLLGKEGSTESFRPFTGISKTDQVGQTSESVMPSVSVSRPFLSNQHNARPHQQPVGHQKASQPNKEWKPKSSQKPSSNSPGVIGTPTKSVSSPDNSKVSESEAAKLQDKLSRVNVYDNSNVVIAQNIRVPDSDRFRLTFGSLGTELDSTGNMVNGFQAGGTEESNGEPAGSLSLSAPQSCSDEASGIKPVDLLDHQVRNSGSDSPASGAVPERQLPEKNDTSSPQTLDNYADIGLVRDTSPSYAPSDSQQQEQPELEGFSQAFDPQTSYNIPYFRPHMDESVRGQGLPSPQEALSSHNVNSIAASTVAMVQQQPPPVAQMYPQVHVSHYANLMPYRQFLSPVYVPPMAVPGYSSNPAYPHMSNGNSYLLMPGGGSHLNANSLKYGVQPFKPVPAGSPTGYGNFTNPNGYAINGPGVVGGASGLEDSSRIKYKDGNLYVANPQAETSEMWIQNPREHPGLQSTPYYNVPAQSPHGAYMPSHAAHASFNAAAAQSSHMQFPGLYHPPQPAAIPNPHHLGPAMGGNVGVGVAAAAPGAQVGAYQQPQLNHMNWQTNF >ONI15439 pep chromosome:Prunus_persica_NCBIv2:G3:3089937:3099276:-1 gene:PRUPE_3G043500 transcript:ONI15439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSRIEGGTQIISAGVRKTIQSIKEIVRNHSDIDIYWALKETDMDPNETAQKLLNQDPFHLVKRKRDKRKEVSSNSMGHTVSVEPRRHFESAGQGPKSNTSADRNVRRGGYARSGVTGISREFRVVRDNRVNRNINRETKPDSPQCTTSTNEQVSNISGKGPTGSSSSQKPSSRQNSSQVSNGQTDPQIRTSDANATGSLRKETLVEKRVTLPTAALRVQAVKPSNSQPHSAVVVSSNSVVGLYSSSTDPVHVPSPDSRPSASVGAIKREVGVRRQSSENSNSSAPSSSLSNSLLGKEGSTESFRPFTGISKTDQVGQTSESVMPSVSVSRPFLSNQHNARPHQQPVGHQKASQPNKEWKPKSSQKPSSNSPGVIGTPTKSVSSPDNSKVSESEAAKLQDKLSRVNVYDNSNVVIAQNIRVPDSDRFRLTFGSLGTELDSTGNMVNGFQAGGTEESNGEPAGSLSLSAPQSCSDEASGIKPVDLLDHQVRNSGSDSPASGAVPERQLPEKNDTSSPQTLDNYADIGLVRDTSPSYAPSDSQQQEQPELEGFSAFDPQTSYNIPYFRPHMDESVRGQGLPSPQEALSSHNVNSIAASTVAMVQQQPPPVAQMYPQVHVSHYANLMPYRQFLSPVYVPPMAVPGYSSNPAYPHMSNGNSYLLMPGGGSHLNANSLKYGVQPFKPVPAGSPTGYGNFTNPNGYAINGPGVVGGASGLEDSSRIKYKDGNLYVANPQAETSEMWIQNPREHPGLQSTPYYNVPAQSPHGAYMPSHAAHASFNAAAAQSSHMQFPGLYHPPQPAAIPNPHHLGPAMGGNVGVGVAAAAPGAQVGAYQQPQLNHMNWQTNF >ONI15446 pep chromosome:Prunus_persica_NCBIv2:G3:3090159:3099010:-1 gene:PRUPE_3G043500 transcript:ONI15446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSRIEGGTQIISAGVRKTIQSIKEIVRNHSDIDIYWALKETDMDPNETAQKLLNQDPFHLVKRKRDKRKEVSSNLQSMGHTVSVEPRRHFESAGQGPKSNTSADRNVRRGGYARSGVTGTGISREFRVVRDNRVNRNINRETKPDSPQCTTSTNEQVSNISGKGPTGSSSSQKPSSRQNSSQVSNGQTDPQIRTSDANATGSLRKETLVEKRVTLPTAALRVQAVKPSNSQPHSAVVVSSNSVVGLYSSSTDPVHVPSPDSRPSASVGAIKREVGVRRQSSENSNSSAPSSSLSNSLLGKEGSTESFRPFTGISKTDQVGQTSESVMPSVSVSRPFLSNQHNARPHQQPVGHQKASQPNKEWKPKSSQKPSSNSPGVIGTPTKSVSSPDNSKVSESEAAKLQDKLSRVNVYDNSNVVIAQNIRVPDSDRFRLTFGSLGTELDSTGNMVNGFQAGGTEESNGEPAGSLSLSAPQSCSDEASGIKPVDLLDHQVRNSGSDSPASGAVPERQLPEKNDTSSPQTLDNYADIGLVRDTSPSYAPSDSQQQEQPELEGFSQAFDPQTSYNIPYFRPHMDESVRGQGLPSPQEALSSHNVNSIAASTVAMVQQQPPPVAQMYPQVHVSHYANLMPYRQFLSPVYVPPMAVPGYSSNPAYPHMSNGNSYLLMPGGGSHLNANSLKYGVQPFKPVPAGSPTGYGNFTNPNGYAINGPGVVGGASGLEDSSRIKYKDGNLYVANPQAETSEMWIQNPREHPGLQSTPYYNVPAQSPHGAYMPSHAAHASFNAAAAQSSHMQFPGLYHPPQPAAIPNPHHLGPAMGGNVGVGVAAAAPGAQVGAYQQPQLNHMNWQTNF >ONI15441 pep chromosome:Prunus_persica_NCBIv2:G3:3090159:3099010:-1 gene:PRUPE_3G043500 transcript:ONI15441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSRIEGGTQIISAGVRKTIQSIKEIVRNHSDIDIYWALKETDMDPNETAQKLLNQDPFHLVKRKRDKRKEVSSNSMGHTVSVEPRRHFESAGQGPKSNTSADRNVRRGGYARSGVTGTGISREFRVVRDNRVNRNINRETKPDSPQCTTSTNEQVSNISGKGPTGSSSSQKPSSRQNSSQVSNGQTDPQIRTSDANATGSLRKETLVEKRVTLPTAALRVQAVKPSNSQPHSAVVVSSNSVVGLYSSSTDPVHVPSPDSRPSASVGAIKREVGVRRQSSENSNSSAPSSSLSNSLLGKEGSTESFRPFTGISKTDQVGQTSESVMPSVSVSRPFLSNQHNARPHQQPVGHQKASQPNKEWKPKSSQKPSSNSPGVIGTPTKSVSSPDNSKVSESEAAKLQDKLSRVNVYDNSNVVIAQNIRVPDSDRFRLTFGSLGTELDSTGNMVNGFQAGGTEESNGEPAGSLSLSAPQSCSDEASGIKPVDLLDHQVRNSGSDSPASGAVPERQLPEKNDTSSPQTLDNYADIGLVRDTSPSYAPSDSQQQEQPELEGFSAFDPQTSYNIPYFRPHMDESVRGQGLPSPQEALSSHNVNSIAASTVAMVQQQPPPVAQMYPQVHVSHYANLMPYRQFLSPVYVPPMAVPGYSSNPAYPHMSNGNSYLLMPGGGSHLNANSLKYGVQPFKPVPAGSPTGYGNFTNPNGYAINGPGVVGGASGLEDSSRIKYKDGNLYVANPQAETSEMWIQNPREHPGLQSTPYYNVPAQSPHGAYMPSHAAHASFNAAAAQSSHMQFPGLYHPPQPAAIPNPHHLGPAMGGNVGVGVAAAAPGAQVGAYQQPQLNHMNWQTNF >ONI15442 pep chromosome:Prunus_persica_NCBIv2:G3:3090159:3099010:-1 gene:PRUPE_3G043500 transcript:ONI15442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSRIEGGTQIISAGVRKTIQSIKEIVRNHSDIDIYWALKETDMDPNETAQKLLNQDPFHLVKRKRDKRKEVSSNSMGHTVSVEPRRHFESAGQGPKSNTSADRNVRRGGYARSGVTGTGISREFRVVRDNRVNRNINRETKPDSPQCTTSTNEQVSNISGKGPTGSSSSQKPSSRQNSSQVSNGQTDPQIRTSDANATGSLRKETLVEKRVTLPTAALRVQAVKPSNSQPHSAVVVSSNSVVGLYSSSTDPVHVPSPDSRPSASVGAIKREVGVRRQSSENSNSSAPSSSLSNSLLGKEGSTESFRPFTGISKTDQVGQTSESVMPSVSVSRPFLSNQHNARPHQQPVGHQKASQPNKEWKPKSSQKPSSNSPGVIGTPTKSVSSPDNSKVSESEAAKLQDKLSRVNVYDNSNVVIAQNIRVPDSDRFRLTFGSLGTELDSTGNMVNGFQAGGTEESNGEPAGSLSLSAPQSCSDEASGIKPVDLLDHQVRNSGSDSPASGAVPERQLPEKNDTSSPQTLDNYADIGLVRDTSPSYAPSDSQQQEQPELEGFSQAFDPQTSYNIPYFRPHMDESVRGQGLPSPQEALSSHNVNSIAASTVAMVQQQPPPVAQMYPQVHVSHYANLMPYRQFLSPVYVPPMAVPGYSSNPAYPHMSNGNSYLLMPGGGSHLNANSLKYGVQPFKPVPAGSPTGYGNFTNPNGYAINGPGVVGGASGLEDSSRIKYKDGNLYVANPQAETSEMWIQNPREHPGLQSTPYYNVPAQSPHGAYMPSHAAHASFNAAAAQSSHMQFPGLYHPPQPAAIPNPHHLGPAMGGNVGVGVAAAAPGAQVGAYQQPQLNHMNWQTNF >ONI15443 pep chromosome:Prunus_persica_NCBIv2:G3:3089937:3099304:-1 gene:PRUPE_3G043500 transcript:ONI15443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSRIEGGTQIISAGVRKTIQSIKEIVRNHSDIDIYWALKETDMDPNETAQKLLNQDPFHLVKRKRDKRKEVSSNLQSMGHTVSVEPRRHFESAGQGPKSNTSADRNVRRGGYARSGVTGISREFRVVRDNRVNRNINRETKPDSPQCTTSTNEQVSNISGKGPTGSSSSQKPSSRQNSSQVSNGQTDPQIRTSDANATGSLRKETLVEKRVTLPTAALRVQAVKPSNSQPHSAVVVSSNSVVGLYSSSTDPVHVPSPDSRPSASVGAIKREVGVRRQSSENSNSSAPSSSLSNSLLGKEGSTESFRPFTGISKTDQVGQTSESVMPSVSVSRPFLSNQHNARPHQQPVGHQKASQPNKEWKPKSSQKPSSNSPGVIGTPTKSVSSPDNSKVSESEAAKLQDKLSRVNVYDNSNVVIAQNIRVPDSDRFRLTFGSLGTELDSTGNMVNGFQAGGTEESNGEPAGSLSLSAPQSCSDEASGIKPVDLLDHQVRNSGSDSPASGAVPERQLPEKNDTSSPQTLDNYADIGLVRDTSPSYAPSDSQQQEQPELEGFSAFDPQTSYNIPYFRPHMDESVRGQGLPSPQEALSSHNVNSIAASTVAMVQQQPPPVAQMYPQVHVSHYANLMPYRQFLSPVYVPPMAVPGYSSNPAYPHMSNGNSYLLMPGGGSHLNANSLKYGVQPFKPVPAGSPTGYGNFTNPNGYAINGPGVVGGASGLEDSSRIKYKDGNLYVANPQAETSEMWIQNPREHPGLQSTPYYNVPAQSPHGAYMPSHAAHASFNAAAAQSSHMQFPGLYHPPQPAAIPNPHHLGPAMGGNVGVGVAAAAPGAQVGAYQQPQLNHMNWQTNF >ONI15445 pep chromosome:Prunus_persica_NCBIv2:G3:3089937:3099312:-1 gene:PRUPE_3G043500 transcript:ONI15445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSRIEGGTQIISAGVRKTIQSIKEIVRNHSDIDIYWALKETDMDPNETAQKLLNQDPFHLVKRKRDKRKEVSSNLQSMGHTVSVEPRRHFESAGQGPKSNTSADRNVRRGGYARSGVTGTGISREFRVVRDNRVNRNINRETKPDSPQCTTSTNEQVSNISGKGPTGSSSSQKPSSRQNSSQVSNGQTDPQIRTSDANATGSLRKETLVEKRVTLPTAALRVQAVKPSNSQPHSAVVVSSNSVVGLYSSSTDPVHVPSPDSRPSASVGAIKREVGVRRQSSENSNSSAPSSSLSNSLLGKEGSTESFRPFTGISKTDQVGQTSESVMPSVSVSRPFLSNQHNARPHQQPVGHQKASQPNKEWKPKSSQKPSSNSPGVIGTPTKSVSSPDNSKVSESEAAKLQDKLSRVNVYDNSNVVIAQNIRVPDSDRFRLTFGSLGTELDSTGNMVNGFQAGGTEESNGEPAGSLSLSAPQSCSDEASGIKPVDLLDHQVRNSGSDSPASGAVPERQLPEKNDTSSPQTLDNYADIGLVRDTSPSYAPSDSQQQEQPELEGFSAFDPQTSYNIPYFRPHMDESVRGQGLPSPQEALSSHNVNSIAASTVAMVQQQPPPVAQMYPQVHVSHYANLMPYRQFLSPVYVPPMAVPGYSSNPAYPHMSNGNSYLLMPGGGSHLNANSLKYGVQPFKPVPAGSPTGYGNFTNPNGYAINGPGVVGGASGLEDSSRIKYKDGNLYVANPQAETSEMWIQNPREHPGLQSTPYYNVPAQSPHGAYMPSHAAHASFNAAAAQSSHMQFPGLYHPPQPAAIPNPHHLGPAMGGNVGVGVAAAAPGAQVGAYQQPQLNHMNWQTNF >ONI15444 pep chromosome:Prunus_persica_NCBIv2:G3:3089937:3099251:-1 gene:PRUPE_3G043500 transcript:ONI15444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSRIEGGTQIISAGVRKTIQSIKEIVRNHSDIDIYWALKETDMDPNETAQKLLNQDPFHLVKRKRDKRKEVSSNLQSMGHTVSVEPRRHFESAGQGPKSNTSADRNVRRGGYARSGVTGISREFRVVRDNRVNRNINRETKPDSPQCTTSTNEQVSNISGKGPTGSSSSQKPSSRQNSSQVSNGQTDPQIRTSDANATGSLRKETLVEKRVTLPTAALRVQAVKPSNSQPHSAVVVSSNSVVGLYSSSTDPVHVPSPDSRPSASVGAIKREVGVRRQSSENSNSSAPSSSLSNSLLGKEGSTESFRPFTGISKTDQVGQTSESVMPSVSVSRPFLSNQHNARPHQQPVGHQKASQPNKEWKPKSSQKPSSNSPGVIGTPTKSVSSPDNSKVSESEAAKLQDKLSRVNVYDNSNVVIAQNIRVPDSDRFRLTFGSLGTELDSTGNMVNGFQAGGTEESNGEPAGSLSLSAPQSCSDEASGIKPVDLLDHQVRNSGSDSPASGAVPERQLPEKNDTSSPQTLDNYADIGLVRDTSPSYAPSDSQQQEQPELEGFSQAFDPQTSYNIPYFRPHMDESVRGQGLPSPQEALSSHNVNSIAASTVAMVQQQPPPVAQMYPQVHVSHYANLMPYRQFLSPVYVPPMAVPGYSSNPAYPHMSNGNSYLLMPGGGSHLNANSLKYGVQPFKPVPAGSPTGYGNFTNPNGYAINGPGVVGGASGLEDSSRIKYKDGNLYVANPQAETSEMWIQNPREHPGLQSTPYYNVPAQSPHGAYMPSHAAHASFNAAAAQSSHMQFPGLYHPPQPAAIPNPHHLGPAMGGNVGVGVAAAAPGAQVGAYQQPQLNHMNWQTNF >ONI17774 pep chromosome:Prunus_persica_NCBIv2:G3:19498687:19501428:1 gene:PRUPE_3G178500 transcript:ONI17774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVLLWVVSPKENANSLLSLMPRIGSPRRSKLCSRLTFSTGVLAYSGAVAHPIRSSEEMVYEVVLKQAALVREQSRVKERALDLDERIETDGLSNWDLLNQAYDRCGEVCAEYAKTFYLGTLLMTPERRRAVWAIYVWCRRTDELVDGPNASYITPKALDRWEKRLTDLFEGRPYDMYDAALSDTVSKYPVDIQPFKDMVEGMRLDLIKSRYQNFDELYLYCYYVAGTVGLMSVPVMGISPESKASTETVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDDDIFQGKVTDKWKMFMKGQIKRARMFFDEAEKGVSELNSASRWPVWASLLLYRQILDAIEANGYDNFTKRAYVGKAKKLVSLPVAYGRAVIGPSKLTKQLVSR >ONI14779 pep chromosome:Prunus_persica_NCBIv2:G3:474999:475518:-1 gene:PRUPE_3G007800 transcript:ONI14779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLRWVTIVLALLIFDQSFTSVSADLIEDTCNKTSNFKFCVLFKIKACATADVQGLAQIVADQIQINLKDTFSEASKLYKEATERVIKECFQICSEEYGVAIHYMEGVLANLKSKNYRNAREGLTGVYVDADTCEESFHEEPVRPSPLTKNNNDVKDLALIGSQIIHILG >ONI17262 pep chromosome:Prunus_persica_NCBIv2:G3:16266563:16267732:1 gene:PRUPE_3G148900 transcript:ONI17262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRLKMELIANERARKTTFQKRRKGMMKKAYEFSTLCELDVCMIIYGPKQTDRPPELHTWPENRDEVDRIINKYKASIMRKPAKKTFDLSDLLRDRKTKVHVDIYRARKEMYEAKYPTWDERIESFSENQLEALLNTLDTKLESGKRTLLNKRKQSAECHQHQIHCMGKAAPNKIMLMGGNPNNNIIGESPSQKQPCNYFMQGHVREEDQKPMRSLSNNMVDMHPVSSFDHHHHQSSDQMLQLDNSNEYLNSLVAHNPSPMAMWMLMESNYNYSTLQFSSGASSSAHSQSPLEGYQSNFNDPMMQSTVDNMNMNMMMLNGNINPPSSSSMSQYYARLMHPTVPYMQQYPMMPAAGVSSHQVQVHASQGKDEPYENINQYVVMNNRMV >ONI17953 pep chromosome:Prunus_persica_NCBIv2:G3:20124263:20127919:1 gene:PRUPE_3G187500 transcript:ONI17953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTRGKLGFSTRSMRFG >ONI17946 pep chromosome:Prunus_persica_NCBIv2:G3:20123152:20128429:1 gene:PRUPE_3G187500 transcript:ONI17946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTREVWLSFWSEVHYNSLYASADVPTRNPRKKHWLF >ONI17945 pep chromosome:Prunus_persica_NCBIv2:G3:20123152:20128429:1 gene:PRUPE_3G187500 transcript:ONI17945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTREVWLSFWSEVHYNSLYASADVPTRNPRKKHWLF >ONI17942 pep chromosome:Prunus_persica_NCBIv2:G3:20123148:20128431:1 gene:PRUPE_3G187500 transcript:ONI17942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTRGKLGFSTRSMVIMSIFAWNYTFGLDLMQNLMSVSVEALHDKLNEVPLVFVSEVWLSFWSEVHYNSLYASADVPTRNPRKKHWLF >ONI17943 pep chromosome:Prunus_persica_NCBIv2:G3:20123148:20128431:1 gene:PRUPE_3G187500 transcript:ONI17943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTRGKLGFSTRSMVIMSIFAWNYTFGLDLMQNLMSVSVEALHDKLNEVPLVFVSEVWLSFWSEVHYNSLYASADVPTRNPRKKHWLF >ONI17948 pep chromosome:Prunus_persica_NCBIv2:G3:20123152:20128429:1 gene:PRUPE_3G187500 transcript:ONI17948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTREVWLSFWSEVHYNSLYASADVPTRNPRKKHWLF >ONI17944 pep chromosome:Prunus_persica_NCBIv2:G3:20123148:20128431:1 gene:PRUPE_3G187500 transcript:ONI17944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTRGKLGFSTRSMVIMSIFAWNYTFGLDLMQNLMSVSVEALHDKLNEVPLVFVSEVWLSFWSEVHYNSLYASADVPTRNPRKKHWLF >ONI17952 pep chromosome:Prunus_persica_NCBIv2:G3:20124263:20127919:1 gene:PRUPE_3G187500 transcript:ONI17952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTRGKLGFSTRSMRFG >ONI17950 pep chromosome:Prunus_persica_NCBIv2:G3:20123152:20128472:1 gene:PRUPE_3G187500 transcript:ONI17950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTREVWLSFWSEVHYNSLYASADVPTRNPRKKHWLF >ONI17947 pep chromosome:Prunus_persica_NCBIv2:G3:20123152:20128480:1 gene:PRUPE_3G187500 transcript:ONI17947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTREVWLSFWSEVHYNSLYASADVPTRNPRKKHWLF >ONI17951 pep chromosome:Prunus_persica_NCBIv2:G3:20123152:20128479:1 gene:PRUPE_3G187500 transcript:ONI17951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTREVWLSFWSEVHYNSLYASADVPTRNPRKKHWLF >ONI17949 pep chromosome:Prunus_persica_NCBIv2:G3:20123152:20128472:1 gene:PRUPE_3G187500 transcript:ONI17949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTREVWLSFWSEVHYNSLYASADVPTRNPRKKHWLF >ONI17941 pep chromosome:Prunus_persica_NCBIv2:G3:20123148:20128431:1 gene:PRUPE_3G187500 transcript:ONI17941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSYSNASASSSSSLNSSSHDAEDDQAIATMLAEDEKLKIDHRLGKRLSHLDSIPHTPRVNGEIIPDVNDATQDHERLLERLATYDLSELQIEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTRGKLGFSTRSMVIMSIFAWNYTFGLDLMQNLMSVSVEALHDKLNEVPLVFVSEVWLSFWSEVHYNSLYASADVPTRNPRKKHWLF >ONI16056 pep chromosome:Prunus_persica_NCBIv2:G3:5606300:5608005:-1 gene:PRUPE_3G076300 transcript:ONI16056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGQPKLPVLDFSKEALKPGTNPWLSVCKDVRQALEEFGCFVALYDKISPEFRNAFLGALQELFDLPTRTKMTNKYEKPLNGYVGQIPKLPLHESLGIDNATNLEETQKFTKLMWPGGNDKFCESAYSFAKVAEELDQMVTRMIFESYGVEKYYESYVGSISYLLRLLKNRSPQENEPSLGFVAHTDKSFTTILYQNNHVNALEVETRSHEWIRVEFPPSSFIVMAGDALMAWSNDRILSPNHRVIMSGNETRYSLAQFSFSDGEIHVPEELADEEHPLRYKSFDHPGLLRFFRNDDRFNSNSAIKAYCGV >ONI16446 pep chromosome:Prunus_persica_NCBIv2:G3:7564628:7587324:-1 gene:PRUPE_3G098500 transcript:ONI16446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFVNLICPNSPSVWDGNRLSKCFGNIVLGFGANAVTIVMIAVLGINQRTGKRSLRMNFLEKFFFLFLPAIGACISFLDIALLLKKAHHGFFIAHHEWFFRCSQFASWTLIILFSKCFNGCYIFCNRILCFWWIVKLLLGTLHLLTAYPPFQVLLCVKEICTVSLDIIFGVSINIIRIKQASYKRSSLEDSLLSADTDVEEGCLNESGDTQSYFDLMTFRSITSVMNHGVTKQLDFEDLLQLPTDMDPCSCHDTLLSCWQSQQSSCPDPSLFRAICCAYGWPYIRLGLLKVLNDCVGFAAPLLLNKLIRFLQQGTESWDGYVLAISLGLISIFKSFLDTQYSFHLSRLKLKLRSSIITVIYQKCLYINLAERSKFTEGEIQTFMAIDSDRTVNLCNSFHDMWSLPLQIGVALFLLYTQVKFAFVAGIAITISLIPVNKWISTLIASATVKMMKQKDERIRRTGELLTYIRTLKMHGWELLFSSWLMETRSLEVMHLTTRKYLDAWCVFFWATTPTLFSLFTFGLFALMGHQLDAATVFTCLALFNTLISPLNSFPWVINGLIDAIISIKRLSRFLSCSQHKSKLETTAGSSSPYFSNDKSEIFHEDKAVVFDDSCFAWSSSDEKDLDLVLKHVTLGIPKGSFIAVIGEVGSGKSSLLNSILGEMRLVHGSVYSCGSIAYVPQVPWILSGTIRDNILFGKHYDPKRYLDTLEASALDLDISLMVGGDMAYIGEKGINLSGGQRARIALARAMYNGSDMFILDDVLSAVDAQVARCILYNAILGPLMKQQTRVLCTHNVQAISSADTIVVMDKGHVKWVGRSADWPVSSYSVFSPLNEIDICLKNESQECSAVEDIHVESQQNLVLEKDTVPASDRTQEIIEVEARKEGRVELTIYKNYATFSGWFISVVICLSAILMQASRNGNDLWLSNWVDATRSSRKEYSTSFYLVILCIFCIVNSILTLVRAFSFAFGGLRAAVKVHDTLLKRLINAPVQFFDQTPGGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAIVLSYVQVLFLLLLLPFWYIYSKLQFFYRSTSRELRRLDSVSRSPIYTSFTETLDGSSTIRAFKSEDLFFARFTDQVKLYQQTSYTELTASLWLSLRLQLLAAFIISFVAVMAVIGSHGSLPINFSTPGLVGLALSYAAPVVSLLGSFLTSFTETEKEMVSVERALEYMDVPQEELHGSQSLHPSWPYQGQIEFQNVTLRYKPSLPAALRDISFTIEGGMQVGFIGRTGAGKSSVLNALFRLTPICKGCILVDSINIASAPIRDLRGHFSVVPQTPFLFEGSLRDNLDPFQLSDDLKIWKALERCHVKEEVEAAGGLDIHLKESGMSFSVGQRQLLCLARALLKSSKVLCLDECTANVDTQTASIIQKTISSECRGMTVITIAHRISTVLNMDSVLVLDHGILVEQGNPQVLLENESSRFSSFAKASTM >ONI16445 pep chromosome:Prunus_persica_NCBIv2:G3:7563875:7587435:-1 gene:PRUPE_3G098500 transcript:ONI16445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFVNLICPNSPSVWDGNRLSKCFGNIVLGFGANAVTIVMIAVLGINQRTGKRSLRMNFLEKFFFLFLPAIGACISFLDIALLLKKAHHGFFIAHHEWFFRCSQFASWTLIILFSKCFNGCYIFCNRILCFWWIVKLLLGTLHLLTAYPPFQVLLCVKEICTVSLDIIFGVSINIIRIKQASYKRSSLEDSLLSADTDVEEGCLNESGDTQSYFDLMTFRSITSVMNHGVTKQLDFEDLLQLPTDMDPCSCHDTLLSCWQSQQSSCPDPSLFRAICCAYGWPYIRLGLLKVLNDCVGFAAPLLLNKLIRFLQQGTESWDGYVLAISLGLISIFKSFLDTQYSFHLSRLKLKLRSSIITVIYQKCLYINLAERSKFTEGEIQTFMAIDSDRTVNLCNSFHDMWSLPLQIGVALFLLYTQVKFAFVAGIAITISLIPVNKWISTLIASATVKMMKQKDERIRRTGELLTYIRTLKMHGWELLFSSWLMETRSLEVMHLTTRKYLDAWCVFFWATTPTLFSLFTFGLFALMGHQLDAATVFTCLALFNTLISPLNSFPWVINGLIDAIISIKRLSRFLSCSQHKSKLETTAGSSSPYFSNDKSEIFHEDKAVVFDDSCFAWSSSDEKDLDLVLKHVTLGIPKGSFIAVIGEVGSGKSSLLNSILGEMRLVHGSVYSCGSIAYVPQVPWILSGTIRDNILFGKHYDPKRYLDTLEASALDLDISLMVGGDMAYIGEKGINLSGGQRARIALARAMYNGSDMFILDDVLSAVDAQVARCILYNAILGPLMKQQTRVLCTHNVQAISSADTIVVMDKGHVKWVGRSADWPVSSYSVFSPLNEIDICLKNESQECSAVEDIHVESQQNLVLEKDTVPASDRTQEIIEVEARKEGRVELTIYKNYATFSGWFISVVICLSAILMQASRNGNDLWLSNWVDATRSSRKEYSTSFYLVILCIFCIVNSILTLVRAFSFAFGGLRAAVKVHDTLLKRLINAPVQFFDQTPGGRILNRSSSCFCYCHSGISTANFRSTSRELRRLDSVSRSPIYTSFTETLDGSSTIRAFKSEDLFFARFTDQVKLYQQTSYTELTASLWLSLRLQLLAAFIISFVAVMAVIGSHGSLPINFSTPGLVGLALSYAAPVVSLLGSFLTSFTETEKEMVSVERALEYMDVPQEELHGSQSLHPSWPYQGQIEFQNVTLRYKPSLPAALRDISFTIEGGMQVGFIGRTGAGKSSVLNALFRLTPICKGCILVDSINIASAPIRDLRGHFSVVPQTPFLFEGSLRDNLDPFQLSDDLKIWKALERCHVKEEVEAAGGLDIHLKESGMSFSVGQRQLLCLARALLKSSKVLCLDECTANVDTQTASIIQKTISSECRGMTVITIAHRISTVLNMDSVLVLDHGILVEQGNPQVLLENESSRFSSFAKASTM >ONI16443 pep chromosome:Prunus_persica_NCBIv2:G3:7563875:7587435:-1 gene:PRUPE_3G098500 transcript:ONI16443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFVNLICPNSPSVWDGNRLSKCFGNIVLGFGANAVTIVMIAVLGINQRTGKRSLRMNFLEKFFFLFLPAIGACISFLDIALLLKKAHHGFFIAHHEWFFRCSQFASWTLIILFSKCFNGCYIFCNRILCFWWIVKLLLGTLHLLTAYPPFQVLLCVKEICTVSLDIIFGVSINIIRIKQASYKRSSLEDSLLSADTDVEEGCLNESVLNDCVGFAAPLLLNKLIRFLQQGTESWDGYVLAISLGLISIFKSFLDTQYSFHLSRLKLKLRSSIITVIYQKCLYINLAERSKFTEGEIQTFMAIDSDRTVNLCNSFHDMWSLPLQIGVALFLLYTQVKFAFVAGIAITISLIPVNKWISTLIASATVKMMKQKDERIRRTGELLTYIRTLKMHGWELLFSSWLMETRSLEVMHLTAIISIKRLSRFLSCSQHKSKLETTAGSSSPYFSNDKSEIFHEDKAVVFDDSCFAWSSSDEKDLDLVLKHVTLGIPKGSFIAVIGEVGSGKSSLLNSILGEMRLVHGSVYSCGSIAYVPQVPWILSGTIRDNILFGKHYDPKRYLDTLEASALDLDISLMVGGDMAYIGEKGINLSGGQRARIALARAMYNGSDMFILDDVLSAVDAQVARCILYNAILGPLMKQQTRVLCTHNVQAISSADTIVVMDKGHVKWVGRSADWPVSSYSVFSPLNEIDICLKNESQECSAVEDIHVESQQNLVLEKDTVPASDRTQEIIEVEARKEGRVELTIYKNYATFSGWFISVVICLSAILMQASRNGNDLWLSNWVDATRSSRKEYSTSFYLVILCIFCIVNSILTLVRAFSFAFGGLRAAVKVHDTLLKRLINAPVQFFDQTPGGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAIVLSYVQVLFLLLLLPFWYIYSKLQFFYRSTSRELRRLDSVSRSPIYTSFTETLDGSSTIRAFKSEDLFFARFTDQVKLYQQTSYTELTASLWLSLRLQLLAAFIISFVAVMAVIGSHGSLPINFSTPGLVGLALSYAAPVVSLLGSFLTSFTETEKEMVSVERALEYMDVPQEELHGSQSLHPSWPYQGQIEFQNVTLRYKPSLPAALRDISFTIEGGMQVGFIGRTGAGKSSVLNALFRLTPICKGCILVDSINIASAPIRDLRGHFSVVPQTPFLFEGSLRDNLDPFQLSDDLKIWKALERCHVKEEVEAAGGLDIHLKESGMSFSVGQRQLLCLARALLKSSKVLCLDECTANVDTQTASIIQKTISSECRGMTVITIAHRISTVLNMDSVLVLDHGILVEQGNPQVLLENESSRFSSFAKASTM >ONI16444 pep chromosome:Prunus_persica_NCBIv2:G3:7564628:7587324:-1 gene:PRUPE_3G098500 transcript:ONI16444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFVNLICPNSPSVWDGNRLSKCFGNIVLGFGANAVTIVMIAVLGINQRTGKRSLRMNFLEKFFFLFLPAIGACISFLDIALLLKKAHHGFFIAHHEWFFRCSQFASWTLIILFSKCFNGCYIFCNRILCFWWIVKLLLGTLHLLTAYPPFQVLLCVKEICTVSLDIIFGVSINIIRIKQASYKRSSLEDSLLSADTDVEEGCLNESVLNDCVGFAAPLLLNKLIRFLQQGTESWDGYVLAISLGLISIFKSFLDTQYSFHLSRLKLKLRSSIITVIYQKCLYINLAERSKFTEGEIQTFMAIDSDRTVNLCNSFHDMWSLPLQIGVALFLLYTQVKFAFVAGIAITISLIPVNKWISTLIASATVKMMKQKDERIRRTGELLTYIRTLKMHGWELLFSSWLMETRSLEVMHLTTRKYLDAWCVFFWATTPTLFSLFTFGLFALMGHQLDAATVFTCLALFNTLISPLNSFPWVINGLIDAIISIKRLSRFLSCSQHKSKLETTAGSSSPYFSNDKSEIFHEDKAVVFDDSCFAWSSSDEKDLDLVLKHVTLGIPKGSFIAVIGEVGSGKSSLLNSILGEMRLVHGSVYSCGSIAYVPQVPWILSGTIRDNILFGKHYDPKRYLDTLEASALDLDISLMVGGDMAYIGEKGINLSGGQRARIALARAMYNGSDMFILDDVLSAVDAQVARCILYNAILGPLMKQQTRVLCTHNVQAISSADTIVVMDKGHVKWVGRSADWPVSSYSVFSPLNEIDICLKNESQECSAVEDIHVESQQNLVLEKDTVPASDRTQEIIEVEARKEGRVELTIYKNYATFSGWFISVVICLSAILMQASRNGNDLWLSNWVDATRSSRKEYSTSFYLVILCIFCIVNSILTLVRAFSFAFGGLRAAVKVHDTLLKRLINAPVQFFDQTPGGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAIVLSYVQVLFLLLLLPFWYIYSKLQFFYRSTSRELRRLDSVSRSPIYTSFTETLDGSSTIRAFKSEDLFFARFTDQVKLYQQTSYTELTASLWLSLRLQLLAAFIISFVAVMAVIGSHGSLPINFSTPGLVGLALSYAAPVVSLLGSFLTSFTETEKEMVSVERALEYMDVPQEELHGSQSLHPSWPYQGQIEFQNVTLRYKPSLPAALRDISFTIEGGMQVGFIGRTGAGKSSVLNALFRLTPICKGCILVDSINIASAPIRDLRGHFSVVPQTPFLFEGSLRDNLDPFQLSDDLKIWKALERCHVKEEVEAAGGLDIHLKESGMSFSVGQRQLLCLARALLKSSKVLCLDECTANVDTQTASIIQKTISSECRGMTVITIAHRISTVLNMDSVLVLDHGILVEQGNPQVLLENESSRFSSFAKASTM >ONI16897 pep chromosome:Prunus_persica_NCBIv2:G3:12690298:12690890:1 gene:PRUPE_3G127900 transcript:ONI16897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMSTMFLCMQQLLYLDSVFHDDILSVFGMGWTFSSFSVVSLLVANLIQPNAVNCGIFFIRHMQYYRQLWYDQYNSNVQRMRLAIELLRNKKNQLRDAIIREALKVMKNGYDFMAKGNKIGNVEVKDKDVADVTVKRKRVYIRKQKKSAVTIPETRTGRVQPRPRHR >ONI16896 pep chromosome:Prunus_persica_NCBIv2:G3:12689575:12691327:1 gene:PRUPE_3G127900 transcript:ONI16896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYLYDTTSTKWFLPTYFWSEGAKFVRPSDRMTSCARTHKLCRLARFNGRLKDCMQLLYLDSVFHDDILSVFGMGWTFSSFSVVSLLVANLIQPNAVNCGIFFIRHMQYYRQLWYDQYNSNVQRMRLAIELLRNKKNQLRDAIIREALKVMKNGYDFMAKGNKIGNVEVKDKDVADVTVKRKRVYIRKQKKSAVTIPETRTGRVQPRPRHR >ONI18880 pep chromosome:Prunus_persica_NCBIv2:G3:23724370:23725370:1 gene:PRUPE_3G245600 transcript:ONI18880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFRNIFSIEGCSSSESGWTTYIASPMQEDDAECRNIEDADYDNHNRITYHTKDKIGKDDNESDDSMASDASSGPSHHHHLVSSKSKDSKAAAGFGFKRDNKQSKHKSTRKQEKKSGEKSTKRK >ONI19396 pep chromosome:Prunus_persica_NCBIv2:G3:25464566:25468289:-1 gene:PRUPE_3G276900 transcript:ONI19396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQAMVHHPISACKRIPNLAQIFLVGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYYYRDIIMEDSPSYIFLLNCDVCCSFPLPDMLEAHKGYGGMGTMLVIKVSAESANQFGELVADPTTKELLHYTEKPETFVSDLINCGVYVFTPDIFTAIQDVSTHREGRANLRRISSFEALQSATRTLPTDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLSQFRINSAHLLASGDGTKNATVVGNVYVHPSAKVHPTAKIGPNVSLSANVRVGAGVRLISCIVLDDVEIKENAVVIHAIVGWKSSIGKWSRVQADGDYNAKLGITILGEEVTVEDEVVVINSIVLPNKILNVSVQEEIIL >ONI19397 pep chromosome:Prunus_persica_NCBIv2:G3:25464632:25468144:-1 gene:PRUPE_3G276900 transcript:ONI19397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQAMVHHPISACKRIPNLAQIFLVGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYYYRDIIMEDSPSYIFLLNCDVCCSFPLPDMLEAHKGYGGMGTMLVIKVSAESANQFGELVADPTTKELLHYTEKPETFVSDLINCGVYVFTPDIFTAIQDVSTHREGRANLRRISSFEALQSATRTLPTDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLSQFRINSAHLLASGDGTKNATVVGNVYVHPSAKVHPTAKIGPNVSLSANVRVGAGVRLISCIVLDDVEIKENAVVIHAIVGWKSSIGKWSRVQADGDYNAKLGITILGIRGDC >ONI14923 pep chromosome:Prunus_persica_NCBIv2:G3:1164437:1165948:-1 gene:PRUPE_3G016200 transcript:ONI14923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKARSVGIGMDYSATSKSALRWAVDNLIEEGDRIILIHVEPPKATNTRKQLFEDTGSPLIPLEEFVESNVSKQYGLTSDPEVLDILDTVSRTKRAKVVAKVYWGDPREKLCDAVQDLKLDSLVVGSRGLGLHYYKKAKRRR >ONI14682 pep chromosome:Prunus_persica_NCBIv2:G3:143370:144859:-1 gene:PRUPE_3G001900 transcript:ONI14682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETESRDWESLFAATGSVRRIQLKATGSGKMRYMCHVPCRVSWWCYHWK >ONI17442 pep chromosome:Prunus_persica_NCBIv2:G3:17823797:17832642:1 gene:PRUPE_3G159400 transcript:ONI17442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRAGVPRHAIIKKFAGEEISRLEDLISVLCKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDCTGLWTAKPAFQPDAILLSSGINGLGGTGSQAGPLSSEVISVGHIHRDSHEELTDGVASMETSYEHASEEAHSRDEFDAGTKKRRVKENFSSDGSGVADCSFPETNEGDLEDPNTMENAVMGDFQAANVATANASLAERAIEPTLVMLEVHVPPSCMLDGVHSQHFFGTGVIIYHSQNMGLVAVDKNTVAISASDVMLSFAAFPIEIPGEVVFLHPVHNYALISYDPLALGAIGTSVVRAAELLPDPALRRGDSVYLVGLSRSLQATSRKSTVTNPCAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLTDEHGRVQAIWGSFSTQLKFGCSSSEDHQFVRGIPIYAISQVLEKIISGAQGPPLLINRVKRPMPLVRILEVELYPTLLSKARSFGLSDDWVQALVKKDPIRRQVLRVKGCLAGSKAENLLEQGDMVLAINKEPVTCFRDVENVCQALDKNENKDGKLDMTIFRQGREIDLLVGTDVRDGSGTTRVVNWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKQTPDLDAFVNVTKELEHGQFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPDSAMWCRKTIKALDYTGI >ONI17441 pep chromosome:Prunus_persica_NCBIv2:G3:17823543:17833644:1 gene:PRUPE_3G159400 transcript:ONI17441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRAGVPRHAIIKKFAGEEISRLEDLISVLCKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDCTGLWTAKPAFQPDAILLSSGINGLGGTGSQAGPLSSEVISVGHIHRDSHEELTDGVASMETSYEHASEEAHSRDEFDAGTKKRRVKENFSSDGSGVADCSFPETNEGDLEDPNTMENAVMGDFQAANVATANASLAERAIEPTLVMLEVHVPPSCMLDGVHSQHFFGTGVIIYHSQNMGLVAVDKNTVAISASDVMLSFAAFPIEIPGEVVFLHPVHNYALISYDPLALGAIGTSVVRAAELLPDPALRRGDSVYLVGLSRSLQATSRKSTVTNPCAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLTDEHGRVQAIWGSFSTQLKFGCSSSEDHQFVRGIPIYAISQVLEKIISGAQGPPLLINRVKRPMPLVRILEVELYPTLLSKARSFGLSDDWVQALVKKDPIRRQVLRVKGCLAGSKAENLLEQGDMVLAINKEPVTCFRDVENVCQALDKNENKDGKLDMTIFRQGREIDLLVGTDVRDGSGTTRVVNWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKQTPDLDAFVNVTKELEHGQFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPDSAMWCRKTIKALDYTGI >ONI17443 pep chromosome:Prunus_persica_NCBIv2:G3:17822032:17833644:1 gene:PRUPE_3G159400 transcript:ONI17443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRAGVPRHAIIKKFAGEEISRLEDLISVLCKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDCTGLWTAKPAFQPDAILLSSGINGLGGTGSQAGPLSSEVISVGHIHRDSHEELTDGVASMETSYEHASEEAHSRDEFDAGTKKRRVKENFSSDGSGVADCSFPETNEGDLEDPNTMENAVMGDFQAANVATANASLAERAIEPTLVMLEVHVPPSCMLDGVHSQHFFGTGVIIYHSQNMGLVAVDKNTVAISASDVMLSFAAFPIEIPGEVVFLHPVHNYALISYDPLALGAIGTSVVRAAELLPDPALRRGDSVYLVGLSRSLQATSRKSTVTNPCAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLTDEHGRVQAIWGSFSTQLKFGCSSSEDHQFVRGIPIYAISQVLEKIISGAQGPPLLINRVKRPMPLVRILEVELYPTLLSKARSFGLSDDWVQALVKKDPIRRQVLRVKGCLAGSKAENLLEQGDMVLAINKEPVTCFRDVENVCQALDKNENKDGKLDMTIFRQGREIDLLVGTDVRDGSGTTRVVNWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKQTPDLDAFVNVTKELEHGQFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPDSAMWCRKTIKALDYTGI >ONI17440 pep chromosome:Prunus_persica_NCBIv2:G3:17814087:17833644:1 gene:PRUPE_3G159400 transcript:ONI17440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPLERLGSEAIGLESSIKDDLSMEIDPPFKENTATADDWRKALSKVVPAVVVLRTTACRAFDTEAAGASYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFVNREEVPVYPIYRDPVHDFGFFCYDPGAIQFLHYEEIPLAPEVACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDWLGRAVALNAGSKSSSASAFFLPLERVVRALKFLQKGRDSFVNKWEAVSIPRGTLQVTFVHKGFDETRRLGLQSETEQLVRHASPLGETGMLVVENVVPGGPAYKCLEPGDVLVCMNGEVITQFLKLETLLDDSVNQKIEMQIERGGKPLTVDLVVQDLHSITPNYFLEVSGAVIHPLSYQQARNFRFHCGLVYVSEPGYMLFRAGVPRHAIIKKFAGEEISRLEDLISVLCKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDCTGLWTAKPAFQPDAILLSSGINGLGGTGSQAGPLSSEVISVGHIHRDSHEELTDGVASMETSYEHASEEAHSRDEFDAGTKKRRVKENFSSDGSGVADCSFPETNEGDLEDPNTMENAVMGDFQAANVATANASLAERAIEPTLVMLEVHVPPSCMLDGVHSQHFFGTGVIIYHSQNMGLVAVDKNTVAISASDVMLSFAAFPIEIPGEVVFLHPVHNYALISYDPLALGAIGTSVVRAAELLPDPALRRGDSVYLVGLSRSLQATSRKSTVTNPCAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLTDEHGRVQAIWGSFSTQLKFGCSSSEDHQFVRGIPIYAISQVLEKIISGAQGPPLLINRVKRPMPLVRILEVELYPTLLSKARSFGLSDDWVQALVKKDPIRRQVLRVKGCLAGSKAENLLEQGDMVLAINKEPVTCFRDVENVCQALDKNENKDGKLDMTIFRQGREIDLLVGTDVRDGSGTTRVVNWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKQTPDLDAFVNVTKELEHGQFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPDSAMWCRKTIKALDYTGI >ONI17330 pep chromosome:Prunus_persica_NCBIv2:G3:16586803:16587302:-1 gene:PRUPE_3G151900 transcript:ONI17330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKPDSLYVLIASPFNIMSTTIGGFLDGLSLGAIKESGPSPGEGHKFMNQQTLGGIKKPSPSPPFPPHNSSISLPFSIPSTPTKYEWRTQSIGFPKFLINFFILLFVLIL >ONI19998 pep chromosome:Prunus_persica_NCBIv2:G3:26909887:26912140:-1 gene:PRUPE_3G309200 transcript:ONI19998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGVKAPVAAKKKTEKVVNPLFEKRPKQFGIGGALPPKRDLTRFVKWPKVVQVQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKMLLKYRPEDKAAKKERLLKRAQAESEGKTVEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKVLEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTRAKERLLAKEAAQRMN >ONI18097 pep chromosome:Prunus_persica_NCBIv2:G3:20729138:20731067:1 gene:PRUPE_3G196600 transcript:ONI18097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWVRGHVALGLALMGLLVLCQMEGSDGLRFVIDREECFSHDAKYQGDTIHVSFVVIKVDSSWHNTEDGVDLVIKGPSGEQINDIRDKTSEKFEFVVQKQGVHQFCFTNKSPYHETIDFDVHAAHFTYYDQHAKDEHFAPLLEQIAKLEEALFNIQFEQHWLEAETDRQAIVNEGMSRRATHKALVESGALIGVSILQVVLLKRLFERKLGSSRV >ONI18096 pep chromosome:Prunus_persica_NCBIv2:G3:20729138:20731079:1 gene:PRUPE_3G196600 transcript:ONI18096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWVRGHVALGLALMGLLVLCQMEGSDGLRFVIDREECFSHDAKYQGDTIHVSFVVIKVDSSWHNTEDGVDLVIKGPSGEQINDIRDKTSEKFEFVVQKQGVHQFCFTNKSPYHETIDFDVHAAHFTYYDQHAKDEHFAPLLEQIAKLEEALFNIQFEQHWLEAETDRQAIVNEGMSRRATHKALVESGALIGVSILQVVLLKRLFERKLGSSRV >ONI16746 pep chromosome:Prunus_persica_NCBIv2:G3:10376846:10378212:1 gene:PRUPE_3G119100 transcript:ONI16746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEVRATHELLQAQAHIWNHIFSFINSMSLKCAVQLDIPDVIQKHGQPMTLSELVSALPISPTKAHFIPRLMRILVHSGFFARESLNGGEQGYVLTEASALLLKDNPISARPFLLAMLNPILTDPWQYLTPWFQNDNPTPFHVVHGMTFWDYGSQDPTLSHFFNDAMPSDARLISSLMIDDCKGVFQGVESLVDVGGGTGTVAKSIADAFPYMKCTVLDLPHVVADQKGSKNLEYVAGDMFEAVPAGGAIFLKWILHDWSDEECVKILERCKEAITREGKKGKVIIVDMTVENKKTDKESGETQLFFDMQMMVMTTGKERNEKEWAKLFSDAGFSHYKITPCLGLRSLNEVYP >ONI19917 pep chromosome:Prunus_persica_NCBIv2:G3:26736275:26737383:-1 gene:PRUPE_3G304800 transcript:ONI19917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVLSKIKGLSYTIDAQAGIAHVSGTIEPDTLLKLLSKSGKHAELLRIDSGYKNQFYTAKPVANYRNGHGYGQGYYNNHDYGYYNPNGTGNSYPIATDPYHHQRYYPNYHERTPQPLSFPQPPPRMEIHPFYDPDTQCTIM >ONI17047 pep chromosome:Prunus_persica_NCBIv2:G3:14098691:14104669:1 gene:PRUPE_3G134700 transcript:ONI17047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFKDQSKLSQYRDRRFPGPQEEFEQALLASTTVYIGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNTKTPCGFCFVLYYSREDTEDAVKYISGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQRELEAQRTLVDYGTGSLGTFPPVMPPHYGRHGGGHNHGGTHRPGRGDYHRKRHRDDDRHSYESSKRNSDYESRKNSDHESRPEKNPRFRESGDSDDEEDEQKRQS >ONI15061 pep chromosome:Prunus_persica_NCBIv2:G3:1743606:1747945:-1 gene:PRUPE_3G023700 transcript:ONI15061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKPHSLSLSQSLKKEKKYSGVFEDVYSMAILPKELELEKESHPKFELRFQLPDLIQPIREFSRTREVREFLSGALAGAMTKAVLAPLETIRTRMVVGVGSRHISGSFLEVIEKQGWQGLWAGNAVNMIRIIPTQAIEFATFECVKRAMTSTQEKWKQAESHKVQIGPVSLNLSISWISPVAVAGAAAGVVSTLVCHPLEVLKDRLTVSPEAYPSLSIAISKIYKEGGIGACYSGLSPTLIGMLPYSTCYYFMYEKMKKSYCQAKNKESLNRPEMLLVGALAGFTASTISFPLEVARKRLMVGALQGKCPPHMAAALSEVIREEGLLGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVQRNSL >ONI15062 pep chromosome:Prunus_persica_NCBIv2:G3:1743638:1747656:-1 gene:PRUPE_3G023700 transcript:ONI15062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIRKSMAFESQSLKKEKKYSGVFEDVYSMAILPKELELEKESHPKFELRFQLPDLIQPIREFSRTREVREFLSGALAGAMTKAVLAPLETIRTRMVVGVGSRHISGSFLEVIEKQGWQGLWAGNAVNMIRIIPTQAIEFATFECVKRAMTSTQEKWKQAESHKVQIGPVSLNLSISWISPVAVAGAAAGVVSTLVCHPLEVLKDRLTVSPEAYPSLSIAISKIYKEGGIGACYSGLSPTLIGMLPYSTCYYFMYEKMKKSYCQAKNKESLNRPEMLLVGALAGFTASTISFPLEVARKRLMVGALQGKCPPHMAAALSEVIREEGLLGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVQRNSL >ONI15648 pep chromosome:Prunus_persica_NCBIv2:G3:3764043:3766105:-1 gene:PRUPE_3G053500 transcript:ONI15648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKERASGERMTIVIGPWGGNGGADWDDGIYNGVREIKIAYCLCIDSITVVYDRNGKPVKAETHGGRGGNQTAEIKLQYPDEHLVGVSGHYCPMMFGIGPRLIRSLKFQSNRRTFGPYGIEEGTPFTFTVDGGQIVGLKGRDGWYLDAIGFHVSPPPTKNSQSIQKTIVIEPWGGNGGADWDDGIYNGVREIKIAYCLCIDSITAVYDRNDKPVKAETHGGRGGNQTAEIKLQYPDEFLVGVSGHYCPMMFGIGPRLIRSLKFQSNRRTFGPYGIEEGTPFTFTVDGGKIVGLKGRDGWYLDAIGFHVSPPQTKKLFQSIQKSFRRLGSSVSKP >ONI15647 pep chromosome:Prunus_persica_NCBIv2:G3:3764254:3769717:-1 gene:PRUPE_3G053500 transcript:ONI15647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKERASGKKKTIEVGPWGGNGGADWDDGIYNGVREITLAYGLCIDSITVVYDRNGKPVKAETHGGRGGDQTAEIKLQYPDEFLVSVSGHYCPMVYGGGPIIRSLKFQSNRRTFGPYGIEEGTPFTFTVDGGQIVGLKGRDGWYLDAIGFHVSPPPTKNSQSIQKTIVIEPWGGNGGADWDDGIYNGVREIKIAYCLCIDSITAVYDRNDKPVKAETHGGRGGNQTAEIKLQYPDEFLVGVSGHYCPMMFGIGPRLIRSLKFQSNRRTFGPYGIEEGTPFTFTVDGGKIVGLKGRDGWYLDAIGFHVSPPQTKKLFQSIQKSFRRLGSSVSKP >ONI15649 pep chromosome:Prunus_persica_NCBIv2:G3:3764044:3766048:-1 gene:PRUPE_3G053500 transcript:ONI15649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVIGPWGGNGGADWDDGIYNGVREIKIAYCLCIDSITVVYDRNGKPVKAETHGGRGGNQTAEIKLQYPDEHLVGVSGHYCPMMFGIGPRLIRSLKFQSNRRTFGPYGIEEGTPFTFTVDGGQIVGLKGRDGWYLDAIGFHVSPPPTKNSQSIQKTIVIEPWGGNGGADWDDGIYNGVREIKIAYCLCIDSITAVYDRNDKPVKAETHGGRGGNQTAEIKLQYPDEFLVGVSGHYCPMMFGIGPRLIRSLKFQSNRRTFGPYGIEEGTPFTFTVDGGKIVGLKGRDGWYLDAIGFHVSPPQTKKLFQSIQKSFRRLGSSVSKP >ONI15646 pep chromosome:Prunus_persica_NCBIv2:G3:3764055:3769717:-1 gene:PRUPE_3G053500 transcript:ONI15646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKERASGKKKTIEVGPWGGNGGADWDDGIYNGVREITLAYGLCIDSITVVYDRNGKPVKAETHGGRGGDQTAEIKLQYPDEHLVGVSGHYCPMMFGIGPRLIRSLKFQSNRRTFGPYGIEEGTPFTFTVDGGQIVGLKGRDGWYLDAIGFHVSPPPTKNSQSIQKTIVIEPWGGNGGADWDDGIYNGVREIKIAYCLCIDSITAVYDRNDKPVKAETHGGRGGNQTAEIKLQYPDEFLVGVSGHYCPMMFGIGPRLIRSLKFQSNRRTFGPYGIEEGTPFTFTVDGGKIVGLKGRDGWYLDAIGFHVSPPQTKKLFQSIQKSFRRLGSSVSKP >ONI15650 pep chromosome:Prunus_persica_NCBIv2:G3:3764044:3766052:-1 gene:PRUPE_3G053500 transcript:ONI15650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVIGPWGGNGGADWDDGIYNGVREIKIAYCLCIDSITVVYDRNGKPVKAETHGGRGGNQTAEIKLQYPDEHLVGVSGHYCPMMFGIGPRLIRSLKFQSNRRTFGPYGIEEGTPFTFTVDGGQIVGLKGRDGWYLDAIGFHVSPPPTKNSQSIQKTIVIEPWGGNGGADWDDGIYNGVREIKIAYCLCIDSITAVYDRNDKPVKAETHGGRGGNQTAEIKLQYPDEFLVGVSGHYCPMMFGIGPRLIRSLKFQSNRRTFGPYGIEEGTPFTFTVDGGKIVGLKGRDGWYLDAIGFHVSPPQTKKLFQSIQKSFRRLGSSVSKP >ONI17144 pep chromosome:Prunus_persica_NCBIv2:G3:14783378:14785216:1 gene:PRUPE_3G140200 transcript:ONI17144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEAEYAAFEEKVKRTVYLDNISPQVTDIVVRTALSQFGTVKNVQFIPDYFKSRNIGQCALVEMENPKQADAIVSEIAQFPFMMAGMPRPVRACPAKVEMFDDRPAKPGRNISCRWLEPNDPDFEVAQKLKRLNKKHAAEASSLLKQQLQEEENLAKQQNEALKANHKKYEMIDSVIADGTARHLAKRYKMRIADDW >ONI17141 pep chromosome:Prunus_persica_NCBIv2:G3:14783378:14785213:1 gene:PRUPE_3G140200 transcript:ONI17141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEAEYAAFEEKVKRTVYLDNISPQVTDIVVRTALSQFGTVKNVQFIPDYFKSRNIGQCALVEMENPKQADAIVSEIAQFPFMMAGMPRPVRACPAKVEMFDDRPAKPGRNISCRWLEPNDPDFEVAQKLKRLNKKHAAEASSLLKQQLQEEENLAKQQNEALKANHKKYEMIDSVIADGTARHLAKRYKMRIADDW >ONI17143 pep chromosome:Prunus_persica_NCBIv2:G3:14783448:14785211:1 gene:PRUPE_3G140200 transcript:ONI17143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEAEYAAFEEKVKRTVYLDNISPQVTDIVVRTALSQFGTVKNVQFIPDYFKSRNIGQCALVEMENPKQADAIVSEIAQFPFMMAGMPRPVRACPAKVEMFDDRPAKPGRNISCRWLEPNDPDFEVAQKLKRLNKKHAAEASSLLKQQLQEEENLAKQQNEALKANHKKYEMIDSVIADGTARHLAKRYKMRIADDW >ONI17146 pep chromosome:Prunus_persica_NCBIv2:G3:14783377:14785205:1 gene:PRUPE_3G140200 transcript:ONI17146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEAEYAAFEEKVKRTVYLDNISPQVTDIVVRTALSQFGTVKNVQFIPDYFKSRNIGQCALVEMENPKQADAIVSEIAQFPFMMAGMPRPVRACPAKVEMFDDRPAKPGRNISCRWLEPNDPDFEVAQKLKRLNKKHAAEASSLLKQQLQEEENLAKQQNEALKANHKKYEMIDSVIADGTARHLAKRYKMRIADDW >ONI17142 pep chromosome:Prunus_persica_NCBIv2:G3:14783462:14785171:1 gene:PRUPE_3G140200 transcript:ONI17142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEAEYAAFEEKVKRTVYLDNISPQVTDIVVRTALSQFGTVKNVQFIPDYFKSRNIGQCALVEMENPKQADAIVSEIAQFPFMMAGMPRPVRACPAKVEMFDDRPAKPGRNISCRWLEPNDPDFEVAQKLKRLNKKHAAEASSLLKQQLQEEENLAKQQNEALKANHKKYEMIDSVIADGTARHLAKRYKMRIADDW >ONI17145 pep chromosome:Prunus_persica_NCBIv2:G3:14783460:14785205:1 gene:PRUPE_3G140200 transcript:ONI17145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEAEYAAFEEKVKRTVYLDNISPQVTDIVVRTALSQFGTVKNVQFIPDYFKSRNIGQCALVEMENPKQADAIVSEIAQFPFMMAGMPRPVRACPAKVEMFDDRPAKPGRNISCRWLEPNDPDFEVAQKLKRLNKKHAAEASSLLKQQLQEEENLAKQQNEALKANHKKYEMIDSVIADGTARHLAKRYKMRIADDW >ONI16672 pep chromosome:Prunus_persica_NCBIv2:G3:9644856:9648129:1 gene:PRUPE_3G114500 transcript:ONI16672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRGLSTKLLNICIASFCKVRLLEKAEAIIIDGERLGVIPDVVTYNTLVSAYYRYVSLDAAYSVIHRMKEAGISPDVVTYNSLIAGSTRDCLLSQSLDLFEEMLQAGIHPDVWSYNILMNCFFKLGKPDEANRVFQDILLSNLASHPATFNIMINGLCKNEYIDNALMLFRNLQRHGFVPQLVTYNILINGLCKARRLRQARTMLRELGESDLEPNAITYTTVMKCCFRSKQYDKGLDIMSEMKSKGYTFDSFAYCTVVAALVKTGRIEEANACMEQVMSNGIELDLAAYNTLLNMYCREGKFEAAYKLLDEIEKGGLLCDKYTHTIIIDGLCKAGNITGAQHHLHYMKMMGFHENLVALNCMIDGLCKAGHIDRAMELYKSMETKDSVTYTSLVHNLCRAGRFRCASKLMMKCLKDGKKILRATKRAVVAGLRSSGYTDEAKKLQWKIQVARILR >ONI16673 pep chromosome:Prunus_persica_NCBIv2:G3:9644856:9648129:1 gene:PRUPE_3G114500 transcript:ONI16673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRGLSTKLLNICIASFCKVRLLEKAEAIIIDGERLGVIPDVVTYNTLVSAYYRYVSLDAAYSVIHRMKEAGISPDVVTYNSLIAGSTRDCLLSQSLDLFEEMLQAGIHPDVWSYNILMNCFFKLGKPDEANRVFQDILLSNLASHPATFNIMINGLCKNEYIDNALMLFRNLQRHGFVPQLVTYNILINGLCKARRLRQARTMLRELGESDLEPNAITYTTVMKCCFRSKQYDKGLDIMSEMKSKGYTFDSFAYCTVVAALVKTGRIEEANACMEQVMSNGIELDLAAYNTLLNMYCREGKFEAAYKLLDEIEKGGLLCDKYTHTIIIDGLCKAGNITGAQHHLHYMKMMGFHENLVALNCMIDGLCKAGHIDRAMELYKSMETKDSVTYTSLVHNLCRAGRFRCASKLMMKCLKDGKKILRATKRAVVAGLRSSGYTDEAKKLQWKIQVARILR >ONI15083 pep chromosome:Prunus_persica_NCBIv2:G3:1812288:1817958:-1 gene:PRUPE_3G024800 transcript:ONI15083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSVRANVRVSSAARRSAREALQIAVRAGGFSAMVVVGMAVIGIAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAKRCKIEDPSGFILFPLVIHSFDLVISSAGIFSIRGTRDSGGKASSEDPMTILQRGYSVTIILAVLTFGASTRWLLYTEQAPSAWFNFALCGLVGIITAYIFVWITKYYTDYKHEPVRMLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGQTSGLMDENGIPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEIATFAREPFKQVDIAIPEVFVGGLLGSMLIYLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYTEKPDYSRCVAIVASASLKEMIKPGALAIVSPIVIGIAFRIFGYYTGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGVLGGKGSDSHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >ONI15082 pep chromosome:Prunus_persica_NCBIv2:G3:1812282:1820034:-1 gene:PRUPE_3G024800 transcript:ONI15082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDVDVEDGNLGPYQDRPRTFPNMRGKSYNPLIFRILMRINVRVLFVILLLALGVVFYVGASTSPIIVFVFSICIISFLVSLYLARWVLAKDEGPPEMGQISDAIRDGAEGFFRTQYGTISKMAFLLAAVIFCIYLFRSTTPEQEASGIGRFTSAYITVAAFLLGALCSGAAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAMVVVGMAVIGIAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAKRCKIEDPSGFILFPLVIHSFDLVISSAGIFSIRGTRDSGGKASSEDPMTILQRGYSVTIILAVLTFGASTRWLLYTEQAPSAWFNFALCGLVGIITAYIFVWITKYYTDYKHEPVRMLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGQTSGLMDENGIPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEIATFAREPFKQVDIAIPEVFVGGLLGSMLIYLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYTEKPDYSRCVAIVASASLKEMIKPGALAIVSPIVIGIAFRIFGYYTGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGVLGGKGSDSHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >ONI15079 pep chromosome:Prunus_persica_NCBIv2:G3:1812282:1820033:-1 gene:PRUPE_3G024800 transcript:ONI15079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDVDVEDGNLGPYQDRPRTFPNMRGKSYNPLIFRILMRINVRVLFVILLLALGVVFYVGASTSPIIVFVFSICIISFLVSLYLARWVLAKDEGPPEMGQISDAIRDGAEGFFRTQYGTISKMAFLLAAVIFCIYLFRSTTPEQEASGIGRFTSAYITVAAFLLGALCSGAAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAMVVVGMAVIGIAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAKRCKIEDPSGFILFPLVIHSFDLVISSAGIFSIRGTRDSGGKASSEDPMTILQRGYSVTIILAVLTFGASTRWLLYTEQAPSAWFNFALCGLVGIITAYIFVWITKYYTDYKHEPVRMLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGQTSGLMDENGIPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEIATFAREPFKQVDIAIPEVFVGGLLGSMLIYLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYTEKPDYSRCVAIVASASLKEMIKPGALAIVSPIVIGIAFRIFGYYTGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGVLGGKGSDSHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >ONI15080 pep chromosome:Prunus_persica_NCBIv2:G3:1812288:1819990:-1 gene:PRUPE_3G024800 transcript:ONI15080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDVDVEDGNLGPYQDRPRTFPNMRGKSYNPLIFRILMRINVRVLFVILLLALGVVFYVGASTSPIIVFVFSICIISFLVSLYLARWVLAKDEGPPEMGQISDAIRDGAEGFFRTQYGTISKMAFLLAAVIFCIYLFRSTTPEQEASGIGRFTSAYITVAAFLLGALCSGAAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAMVVVGMAVIGIAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAKRCKIEDPSGFILFPLVIHSFDLVISSAGIFSIRGTRDSGGKASSEDPMTILQRGYSVTIILAVLTFGASTRWLLYTEQAPSAWFNFALCGLVGIITAYIFVWITKYYTDYKHEPVRMLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGQTSGLMDENGIPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEIATFAREPFKQVDIAIPEVFVGGLLGSMLIYLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYTEKPDYSRCVAIVASASLKEMIKPGALAIVSPIVIGIAFRIFGYYTGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGVLGGKGSDSHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >ONI15081 pep chromosome:Prunus_persica_NCBIv2:G3:1812288:1820029:-1 gene:PRUPE_3G024800 transcript:ONI15081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDVDVEDGNLGPYQDRPRTFPNMRGKSYNPLIFRILMRINVRVLFVILLLALGVVFYVGASTSPIIVFVFSICIISFLVSLYLARWVLAKDEGPPEMGQISDAIRDGAEGFFRTQYGTISKMAFLLAAVIFCIYLFRSTTPEQEASGIGRFTSAYITVAAFLLGALCSGAAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAMVVVGMAVIGIAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAKRCKIEDPSGFILFPLVIHSFDLVISSAGIFSIRGTRDSGGKASSEDPMTILQRGYSVTIILAVLTFGASTRWLLYTEQAPSAWFNFALCGLVGIITAYIFVWITKYYTDYKHEPVRMLALSSSTGHGTNIIAGVSLGLESTALPVLVISVSIISAFWLGQTSGLMDENGIPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEIATFAREPFKQVDIAIPEVFVGGLLGSMLIYLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYTEKPDYSRCVAIVASASLKEMIKPGALAIVSPIVIGIAFRIFGYYTGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGVLGGKGSDSHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >ONI17496 pep chromosome:Prunus_persica_NCBIv2:G3:18172022:18173140:1 gene:PRUPE_3G162700 transcript:ONI17496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLMGLLRIHIQRGVNLAVRDMRSSDPYVVIKMGKQKLKTRVVKRNVNPEWDESLTLSVADANLPIMVCVYDKDTFSFDDKMGDAEFEIGSFITALKMRSEGLTDGTIIDRVKPNRENCLAEESCIIWSNGKLVQNLVLRLRNVECGEVELQLQWIDVPSSRGL >ONI14898 pep chromosome:Prunus_persica_NCBIv2:G3:1078815:1080986:1 gene:PRUPE_3G015100 transcript:ONI14898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNAQPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFNLHDDNERISDCTTPNFNGIISMMDPTRSWAGRWLRIGKFVPGVYTLAVSEALSEDLQNLCEDERVQYVPPKRA >ONI17453 pep chromosome:Prunus_persica_NCBIv2:G3:17917195:17920186:1 gene:PRUPE_3G160300 transcript:ONI17453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSQGTSTDSIEPPNAPLYASSSVVATDHYCDYNELRIKTIVKKMLRELGLACFLPPRQRRSNQSPIDDDDDDNNGNNKKNINLEHNKAWLLAESGGCGAELANADPHSVHSSFRFSFCSQVELESLNMSSSAAATVLMVNLDNGLCESKAKELKWRRFESLERSISPMAHTLVRFSYGEVLAATRNFSKEKKRGVKGSATLPWSVRHKVAIGISEAIAYLHNGTERCIVHRDIKPSNILLSSNKTAKLCDFGLATWTSAPSIPFLCKTVKGTFGYLAPEYFQHGKISDKTDVYAFGVVLLELITGRKPIEARRSQGEENLVLWAKPLLHKGKGAIEELLDPRLKCTLRNSNQITRMIEAAAACITSEESRRPSICEIMAILKGEQEPFFSKRKKSGFLGNGLVIDCYSQLQQTNSEMKSHLALAMLGVSEFEEDDHLYCR >ONI17452 pep chromosome:Prunus_persica_NCBIv2:G3:17917144:17920275:1 gene:PRUPE_3G160300 transcript:ONI17452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSQGTSTDSIEPPNAPLYASSSVVATDHYCDYNELRIKTIVKKMLRELGLACFLPPRQRRSNQSPIDDDDDDNNGNNKKNINLEHNKAWLLAESGGCGAELANADPHSVHSSFRFSFCSQVELESLNMSSSAAATVLMVNLDNGLCESKAKELKWRRFESLERSISPMAHTLVRFSYGEVLAATRNFSKGRVLGRGALSCVFRGRVGILRTAVAIKRLDKEDKESSKAFCRELMISSSLQNPNVTPLVGFCIDPEEGLFLVYKYVSGGSLERHLHEKKRGVKGSATLPWSVRHKVAIGISEAIAYLHNGTERCIVHRDIKPSNILLSSNKTAKLCDFGLATWTSAPSIPFLCKTVKGTFGYLAPEYFQHGKISDKTDVYAFGVVLLELITGRKPIEARRSQGEENLVLWAKPLLHKGKGAIEELLDPRLKCTLRNSNQITRMIEAAAACITSEESRRPSICEIMAILKGEQEPFFSKRKKSGFLGNGLVIDCYSQLQQTNSEMKSHLALAMLGVSEFEEDDHLYCR >ONI17454 pep chromosome:Prunus_persica_NCBIv2:G3:17917195:17920186:1 gene:PRUPE_3G160300 transcript:ONI17454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSQGTSTDSIEPPNAPLYASSSVVATDHYCDYNELRIKTIVKKMLRELGLACFLPPRQRRSNQSPIDDDDDDNNGNNKKNINLEHNKAWLLAESGGCGAELANADPHSVHSSFRFSFCSQVELESLNMSSSAAATVLMVNLDNGLCESKAKELKWRRFESLERSISPMAHTLVRFSYGEVLAATRNFSKGRVLGRGALSCVFRGRVGILRTAVAIKRLDKEDKESSKAFCRELMISSSLQNPNVTPLVGFCIDPEEGLFLVYKYVSGGSLERHLHEKKRGVKGSATLPWSVRHKVAIGISEAIAYLHNGTERCIVHRDIKPSNILLSSNKTAKLCDFGLATWTSAPSIPFLCKTVKGTFGQNHSCIRGRALLRSCLIHG >ONI17568 pep chromosome:Prunus_persica_NCBIv2:G3:18615345:18619325:1 gene:PRUPE_3G167400 transcript:ONI17568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETEETIMMSDSYADGFLLFLSRKLDCASPLPSRSSIYRVPETLRRYNEMAPNLVSIGPFHHGRPRLQAMEEMKMWYLKCLLRKLTPDNGLAYLINIIKNQEIFCRDCYEERVPLGSDEFVEMMLVDGCFIIELLRKQVHLSPVEDDDAIFRTPRMLSTITNDLLLVENQLPWRVLDCLFEVTRVDDDDHGNPSLRELACHVFQNPAFQQSFESISSLNCEKEFESSHLLETVRNFVVQPWVEDWEDMEYRTPIPSVSELLEIGVKFVAASSNGQLHITFRNGVMEIPPIIIREDTESFIRNLIAYEQCLQKPEQCQVTSYAILFSQLIESVQDVDFLIQRKL >ONI17569 pep chromosome:Prunus_persica_NCBIv2:G3:18615443:18619325:1 gene:PRUPE_3G167400 transcript:ONI17569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETEETIMMSDSYADGFLLFLSRKLDCASPLPSRSSIYRVPETLRRYNEMAPNLVSIGPFHHGRPRLQAMEEMKMWYLKCLLRKLTPDNGLAYLINIIKNQEIFCRDCYEERVPLGSDEFVEMMLVDGCFIIELLRKQVHLSPVEDDDAIFRTPRMLSTITNDLLLVENQLPWRVLDCLFEVTRVDDDDHGNPSLRELACHVFQNPAFQQSFESISSLNCEKEFESSHLLETVRNFVVQPWVEDWEDMEYRTPIPSVSELLEIGVKFVAASSNGQLHITFRNGVMEIPPIIIREDTESFIRNLIAYEQCLQKPEQCQVTSYAILFSQLIESVQDVDFLIQRKL >ONI15654 pep chromosome:Prunus_persica_NCBIv2:G3:3786982:3790492:-1 gene:PRUPE_3G053800 transcript:ONI15654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSRVVALEEGKDPATAFKSSHARALPLRLVQFLVMFVVLGIGVSILSMHTIRFFGVQHVAPTAPSTIRPCFEEPNSLESRIRPPSNLLHAMNDTELLWLASSAPQINDYPFKRVPKIAFMFLTKGPLPMEPLWERFFKGHKGLYSIYVHSLPSYNANFSPSSVFHKRQIPSQVAEWGEMSMCDAERRLLANALLDISNEWFVLLSEACIPLYNLSIVYHYLSRSRYSFMGSFDEIGPYGRGRYDGRMAPLVNLTEWRKGSQWFEINRKLAVRIVGDTTYYPKFRDFCKPACYVDEHYFQTMLSIETPHLLANRTLTYVDWSRGGAHPATFGKADVTEEFFKKIAGSDTCLYNNQPTSLCFLFARKFAPSALEPLLELASKVFGF >ONI15653 pep chromosome:Prunus_persica_NCBIv2:G3:3787073:3790236:-1 gene:PRUPE_3G053800 transcript:ONI15653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVVALEEGKDPATAFKSSHARALPLRLVQFLVMFVVLGIGVSILSMHTIRFFGVQHVAPTAPSTIRPCFEEPNSLESRIRPPSNLLHAMNDTELLWLASSAPQINDYPFKRVPKIAFMFLTKGPLPMEPLWERFFKGHKGLYSIYVHSLPSYNANFSPSSVFHKRQIPSQVAEWGEMSMCDAERRLLANALLDISNEWFVLLSEACIPLYNLSIVYHYLSRSRYSFMGSFDEIGPYGRGRYDGRMAPLVNLTEWRKGSQWFEINRKLAVRIVGDTTYYPKFRDFCKPACYVDEHYFQTMLSIETPHLLANRTLTYVDWSRGGAHPATFGKADVTEEFFKKIAGSDTCLYNNQPTSLCFLFARKFAPSALEPLLELASKVFGF >ONI15655 pep chromosome:Prunus_persica_NCBIv2:G3:3787073:3790493:-1 gene:PRUPE_3G053800 transcript:ONI15655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRVVALEEGKDPATAFKSSHARALPLRLVQFLVMFVVLGIGVSILSMHTIRFFGVQHVAPTAPSTIRPCFEEPNSLESRIRPPSNLLHAMNDTELLWLASSAPQINDYPFKRVPKIAFMFLTKGPLPMEPLWERFFKGHKGLYSIYVHSLPSYNANFSPSSVFHKRQIPSQVAEWGEMSMCDAERRLLANALLDISNEWFVLLSEACIPLYNLSIVYHYLSRSRYSFMGSFDEIGPYGRGRYDGRMAPLVNLTEWRKGSQWFEINRKLAVRIVGDTTYYPKFRDFCKPACYVDEHYFQTMLSIETPHLLANRTLTYVDWSRGGAHPATFGKADVTEEFFKKIAGSDTCLYNNQPTSLCFLFARKFAPSALEPLLELASKVFGF >ONI17957 pep chromosome:Prunus_persica_NCBIv2:G3:20139673:20141912:-1 gene:PRUPE_3G187800 transcript:ONI17957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAVAKRRSSFSGKFFAAAVLITLCFVMFKHSSLNSSSPNMFSYREVGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNIGAVRVLQKLFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLVLEAMAAHNVKTLIYSSTCATYGEPEKMPITEETTQVPINPYGKAKKMAEDIILDFSKNSDMGVMILRYFNVIGSDPEGKLGEAPRPELREQGRISGACFDAARGIIPGLKVRGADYETADGTCVRDYIDVTDLVDAHVKALAHAQPGKVGIYNVGTGKGSSVKEFVEACKKATGVDIKVEYLSRRPGDYAKVYSDPSKVRQELNWTARYTLQESLQIAWRWQKSHLNGYGLSNAMG >ONI17002 pep chromosome:Prunus_persica_NCBIv2:G3:13907301:13921953:1 gene:PRUPE_3G133300 transcript:ONI17002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTISEDLGQVEYILTDKTGTLTENKMIFRRCCINGIFYGNENGNALKDEELINAVASCSSDVIRFLTVMAICNTVIPIRSKSGSILYKAQSQDEDALVHAAAQLHMVFVNKNSNTLEIKFNASTIQYEALEILEFTSDRKRMSVVVKDCQNGRIILLSKGADEAILPHACAGQQTRTFIEAVDQYAQLGLRTLCLAWRELKEEEYQEWSLMFKEASSTLVDREWRLAEVCQRLEHDFEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVRRSLERVLLTMRITTSEPKDVAFAIDGWSLEIALKHYRKDFTELAILSRTAICCRVTPSQKAQLVEILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVVCFIQIFFSFVSGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLNEDTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVISIHAYAYEKSEMEEVSMVALSGCIWLQAFVLTLETNSFTILQHLAVWGNLAAFYIINWIFSAIPSSGMYTIMFRLCRQPSYWMTMLLIVAAGMGPILALKYFRYTYTPSKINTLQQAERLGGPILSIGSIEPQPRTIENDVSPLSITQPKNRNPIFEPLLSDSPNSTRRSFGSGAPFDFFQSQSRLSTSNYSRNCKDN >ONI16999 pep chromosome:Prunus_persica_NCBIv2:G3:13899818:13921953:1 gene:PRUPE_3G133300 transcript:ONI16999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYIYINDDESSHHLYCDNRISNRKYTLLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVRQGIKKHIKAQDIRVGNIVWLRENDEVPCDLVLIGTSEAQGLCYVETSALDGETDLKTRVIPPACMGIDLELLHKIKGLIECPNPDKDIRRFDANLRLFPPFIDNDLCPLTIKNTLLQSCYLRNTEWACGVAVYTGNETKLGMSGGIPEPKLTAVDAMIDKLTGAIFVFQIVVVMVLGVAGNVWKDTEARKQWYVLYPEEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNEMIDQETSTPAHATNTAISEDLGQVEYILTDKTGTLTENKMIFRRCCINGIFYGNENGNALKDEELINAVASCSSDVIRFLTVMAICNTVIPIRSKSGSILYKAQSQDEDALVHAAAQLHMVFVNKNSNTLEIKFNASTIQYEALEILEFTSDRKRMSVVVKDCQNGRIILLSKGADEAILPHACAGQQTRTFIEAVDQYAQLGLRTLCLAWRELKEEEYQEWSLMFKEASSTLVDREWRLAEVCQRLEHDFEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVRRSLERVLLTMRITTSEPKDVAFAIDGWSLEIALKHYRKDFTELAILSRTAICCRVTPSQKAQLVEILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVVCFIQIFFSFVSGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLNEDTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVISIHAYAYEKSEMEEVSMVALSGCIWLQAFVLTLETNSFTILQHLAVWGNLAAFYIINWIFSAIPSSGMYTIMFRLCRQPSYWMTMLLIVAAGMGPILALKYFRYTYTPSKINTLQQAERLGGPILSIGSIEPQPRTIENDVSPLSITQPKNRNPIFEPLLSDSPNSTRRSFGSGAPFDFFQSQSRLSTSNYSRNCKDN >ONI17000 pep chromosome:Prunus_persica_NCBIv2:G3:13899818:13921953:1 gene:PRUPE_3G133300 transcript:ONI17000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYIYINDDESSHHLYCDNRISNRKYTLLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVRQGIKKHIKAQDIRVGNIVWLRENDEVPCDLVLIGTSEAQGLCYVETSALDGETDLKTRVIPPACMGIDLELLHKIKGLIECPNPDKDIRRFDANLRLFPPFIDNDLCPLTIKNTLLQSCYLRNTEWACGVAVYTGNETKLGMSGGIPEPKLTAVDAMIDKLTGAIFVFQIVVVMVLGVAGNVWKDTEARKQWYVLYPEEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNEMIDQETSTPAHATNTAISEDLGQVEYILTDKTGTLTENKMIFRRCCINGIFYGNENGNALKDEELINAVASCSSDVIRFLTVMAICNTVIPIRSKSGSILYKAQSQDEDALVHAAAQLHMVFVNKNSNTLEIKFNASTIQYEALEILEFTSDRKRMSVVVKDCQNGRIILLSKGADEAILPHACAGQQTRTFIEAVDQYAQLGLRTLCLAWRELKEEEYQEWSLMFKEASSTLVDREWRLAEVCQRLEHDFEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVRRSLERVLLTMRITTSEPKDVAFAIDGWSLEIALKHYRKDFTELAILSRTAICCRVTPSQKAQLVEILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVVCFIQIFFSFVSGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLNEDTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHFLYNIATSCCMGKLSCLLYHQLDLQCHSIIRDVYNYVSLV >ONI17001 pep chromosome:Prunus_persica_NCBIv2:G3:13907301:13921953:1 gene:PRUPE_3G133300 transcript:ONI17001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIPEPKLTAVDAMIDKLTGAIFVFQIVVVMVLGVAGNVWKDTEARKQWYVLYPEEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNEMIDQETSTPAHATNTAISEDLGQVEYILTDKTGTLTENKMIFRRCCINGIFYGNENGNALKDEELINAVASCSSDVIRFLTVMAICNTVIPIRSKSGSILYKAQSQDEDALVHAAAQLHMVFVNKNSNTLEIKFNASTIQYEALEILEFTSDRKRMSVVVKDCQNGRIILLSKGADEAILPHACAGQQTRTFIEAVDQYAQLGLRTLCLAWRELKEEEYQEWSLMFKEASSTLVDREWRLAEVCQRLEHDFEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVRRSLERVLLTMRITTSEPKDVAFAIDGWSLEIALKHYRKDFTELAILSRTAICCRVTPSQKAQLVEILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVVCFIQIFFSFVSGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLNEDTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVISIHAYAYEKSEMEEVSMVALSGCIWLQAFVLTLETNSFTILQHLAVWGNLAAFYIINWIFSAIPSSGMYTIMFRLCRQPSYWMTMLLIVAAGMGPILALKYFRYTYTPSKINTLQQAERLGGPILSIGSIEPQPRTIENDVSPLSITQPKNRNPIFEPLLSDSPNSTRRSFGSGAPFDFFQSQSRLSTSNYSRNCKDN >ONI18108 pep chromosome:Prunus_persica_NCBIv2:G3:20754429:20758058:1 gene:PRUPE_3G197000 transcript:ONI18108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSTSSSSSVAKQAIMPEDGVEQIELLSAVEDLHGGVVVDLKEVMDSEVFSSLLRASMSQWKQKGKKGVWIKLPIELSNLVDAAVKEGFRYHHAEPDYLMLVRWIPETIDTLPANASHRVGIGAFVMNSEREILVVQEINGRFKDTGFWKMPTGVVNEGEDICAAAVREVKEETGIETEFVEILAFRQSHKSFFRKSDLFFVCMLKPHSSDIEKQNLEIAAAQWMPVEEYAAQPFIRKNKMFDYVAEICLAKSDKDYAGFSPMLTTTSSGKGSYLYHNNRDMANIQATGDHQ >ONI18106 pep chromosome:Prunus_persica_NCBIv2:G3:20754497:20757077:1 gene:PRUPE_3G197000 transcript:ONI18106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQRFRATSKLLPHLSANKISPVSSSLVLHHPYPKRPCLGTLAPYSSSWPKDPKDKLPSLIQDMSVSTSSSSSVAKQAIMPEDGVEQIELLSAVEDLHGGVVVDLKEVMDSEVFSSLLRASMSQWKQKGKKGVWIKLPIELSNLVDAAVKEGFRYHHAEPDYLMLVRWIPETIDTLPANASHRVGIGAFVMNSEREILVVQEINGRFKDTGFWKMPTGVVNEGEDICAAAVREVKEETGIETEFVEILAFR >ONI18105 pep chromosome:Prunus_persica_NCBIv2:G3:20754429:20758058:1 gene:PRUPE_3G197000 transcript:ONI18105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQRFRATSKLLPHLSANKISPVSSSLVLHHPYPKRPCLGTLAPYSSSWPKDPKDKLPSLIQDMSVSTSSSSSVAKQAIMPEDGVEQIELLSAVEDLHGGVVVDLKEVMDSEVFSSLLRASMSQWKQKGKKGVWIKLPIELSNLVDAAVKEGFRYHHAEPDYLMLVRWIPETIDTLPANASHRVGIGAFVMNSEREILVVQEINGRFKDTGFWKMPTGVVNEGEDICAAAVREVKEETGIETEFVEILAFRQSHKSFFRKSDLFFVCMLKPHSSDIEKQNLEIAAAQWMPVEEYAAQPFIRKNKMFDYVAEICLAKSDKDYAGFSPMLTTTSSGKGSYLYHNNRDMANIQATGDHQ >ONI18107 pep chromosome:Prunus_persica_NCBIv2:G3:20754167:20758020:1 gene:PRUPE_3G197000 transcript:ONI18107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSTSSSSSVAKQAIMPEDGVEQIELLSAVEDLHGGVVVDLKEVMDSEVFSSLLRASMSQWKQKGKKGVWIKLPIELSNLVDAAVKEGFRYHHAEPDYLMLVRWIPETIDTLPANASHRVGIGAFVMNSEREILVVQEINGRFKDTGFWKMPTGVVNEGEDICAAAVREVKEETGIETEFVEILAFRQSHKSFFRKSDLFFVCMLKPHSSDIEKQNLEIAAAQWMPVEEYAAQPFIRKNKMFDYVAEICLAKSDKDYAGFSPMLTTTSSGKGSYLYHNNRDMANIQATGDHQ >ONI18309 pep chromosome:Prunus_persica_NCBIv2:G3:21452324:21453725:1 gene:PRUPE_3G208400 transcript:ONI18309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYNKKGKVHPSPSPPSIADPLALLPAAILTLTAALCEEDKQVLAYLISCTNNNNNSGSKFCTTHEPNRSGGEAGGPRPHLHPPLFQCDCFRCYTSFWARWDASPNRQLIHEIIEAYEERLAEKKKKLRSSKVRKAERRKMNKVSDDELIKGSRGNGHMGSGELGQVMMEEVEVGPDVVVVEMDGGDCSGDDEGSEAGMEKGTMRRLVSFIGDRIWGVWNRA >ONI19906 pep chromosome:Prunus_persica_NCBIv2:G3:26716658:26722933:1 gene:PRUPE_3G304300 transcript:ONI19906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEETTTIDYVMEAASGPHFSGLRLDGLLSSPRSASSSSPASAASNPADPNATKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTPEESERVHEYNFDHPDAFDTEQLLECVQKLKCGQSVQVPIYDFKIHRRSSDTFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDINSVLEMYAKFVKPAFDDFVHPSKKYADVIIPRGGDNHVAIDLIVQHIQTKLGQHDLCKIYPNMYVIQSTFQIRGMHTLIRDREISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTASVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPEAHIIFLNLISAPEGIHCVSKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >ONI15727 pep chromosome:Prunus_persica_NCBIv2:G3:4083810:4090365:1 gene:PRUPE_3G057700 transcript:ONI15727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEGLSAPLSPPQAAARQYGVTKPISTAGPTEADIQRTLELEKFLVDAGLYESKEDADKREEVLQRIGQIVKDWVKQLTRLRGYTDQMVEDANALIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFFILHNILAEMEEVTELQPVPDAHVPVMKFQFDGISIDLLYASISLLVVPEDLDISDVSLLYDVDEPTVRSLNGCRVADQILKLVPNIEHFCTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSQSTLRVMVEQFRYGNKICEEVELNKARWCALFEPYLFFESYKNYLQVDIVAADVDDLRAWKGWVESRLRQLTLMIERDTLGMLQCHPYPHEYVDTNKQCAHGAFFMGLQRKQREKTQGQQFDIRGTVDEFRHSINMYLFWKPGMEIYVSHVRRRQLPPYVFPDGCKRPRPSRIMAQQQADKLPYYDGEVSMTGSGERHLKRKKDLDGVDVNQVMPLEKRQSLSPQPRDSVSPVIISDKASSASPDQSDKGFSMEVVESNKRTLLGETEPEYASNSSTITNVTSKGSCDDAGFGSVAVSSEGNTRSVEGSSVGSNNPGYLQGDVCEADSESLSDNGCGNGNLRQDGSQEALEPNAAFGMLLNSNEGVNSEQKAVIRLSLTSTA >ONI15730 pep chromosome:Prunus_persica_NCBIv2:G3:4085199:4090371:1 gene:PRUPE_3G057700 transcript:ONI15730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLIVKDWVKQLTRLRGYTDQMVEDANALIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFFILHNILAEMEEVTELQPVPDAHVPVMKFQFDGISIDLLYASISLLVVPEDLDISDVSLLYDVDEPTVRSLNGCRVADQILKLVPNIEHFCTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSQSTLRVMVEQFRYGNKICEEVELNKARWCALFEPYLFFESYKNYLQVDIVAADVDDLRAWKGWVESRLRQLTLMIERDTLGMLQCHPYPHEYVDTNKQCAHGAFFMGLQRKQREKTQGQQFDIRGTVDEFRHSINMYLFWKPGMEIYVSHVRRRQLPPYVFPDGCKRPRPSRIMAQQQADKLPYYDGEVSMTGSGERHLKRKKDLDGVDVNQVMPLEKRQSLSPQPRDSVSPVIISDKASSASPDQSDKGFSMEVVESNKRTLLGETEPEYASNSSTITNVTSKGSCDDAGFGSVAVSSEGNTRSVEGSSVGSNNPGYLQGDVCEADSESLSDNGCGNGNLRQDGSQEALEPNAAFGMLLNSNEGVNSEQKAVIRLSLTSTA >ONI15726 pep chromosome:Prunus_persica_NCBIv2:G3:4083920:4090357:1 gene:PRUPE_3G057700 transcript:ONI15726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEGLSAPLSPPQAAARQYGVTKPISTAGPTEADIQRTLELEKFLVDAGLYESKEDADKREEVLQRIGQIVKDWVKQLTRLRGYTDQMVEDANALIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFFILHNILAEMEEVTELQPVPDAHVPVMKFQFDGISIDLLYASISLLVVPEDLDISDVSLLYDVDEPTVRSLNGCRVADQILKLVPNIEHFCTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSQSTLRVMVEQFRYGNKICEEVELNKARWCALFEPYLFFESYKNYLQVDIVAADVDDLRAWKGWVESRLRQLTLMIERDTLGMLQCHPYPHEYVDTNKQCAHGAFFMGLQRKQREKTQGQQFDIRGTVDEFRHSINMYLFWKPGMEIYVSHVRRRQLPPYVFPDGCKRPRPSRIMAQQQADKLPYYDGEVSMTGSGERHLKRKKDLDGVDVNQVMPLEKRQSLSPQPRDSVSPVIISDKASSASPDQSDKGFSMEVVESNKRTLLGETEPEYASNSSTITNVTSKGSCDDAGFGSVAVSSEGNTRSVEGSSVGSNNPGYLQGDVCEADSESLSDNGCGNGNLRQDGSQEALEPNAAFGMLLNSNEGVNSEQKAVIRHVESNINGLSKLDLCIFGYEVITQNERGRKPAARVSVLIIAGFHFILFQA >ONI15729 pep chromosome:Prunus_persica_NCBIv2:G3:4084272:4089653:1 gene:PRUPE_3G057700 transcript:ONI15729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEGLSAPLSPPQAAARQYGVTKPISTAGPTEADIQRTLELEKFLVDAGLYESKEDADKREEVLQRIGQIVKDWVKQLTRLRGYTDQMVEDANALIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFFILHNILAEMEEVTELQPVPDAHVPVMKFQFDGISIDLLYASISLLVVPEDLDISDVSLLYDVDEPTVRSLNGCRVADQILKLVPNIEHFCTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSQSTLRVMVEQFRYGNKICEEVELNKARWCALFEPYLFFESYKNYLQVDIVAADVDDLRAWKGWVESRLRQLTLMIERDTLGMLQCHPYPHEYVDTNKQCAHGAFFMGLQRKQREKTQGQQFDIRGTVDEFRHSINMYLFWKPGMEIYVSHVRRRQLPPYVFPDGCKRPRPSRIMAQQQADKLPYYDGEVSMTGSGERHLKRKKDLDGVDVNQVMPLEKRQSLSPQPRDSVSPVIISDKASSASPDQSDKGFSMEVVESNKRTLLGETEPEYASNSSTITNVTSKGSCDDAGFGSVAVSSEGNTRSVEGSSVGSNNPGYLQGDVCEADSESLSDNGCGNGNLRQDGSQEALEPNAAFGMLLNSNEGVNSEQKAVIRHV >ONI15728 pep chromosome:Prunus_persica_NCBIv2:G3:4083789:4090384:1 gene:PRUPE_3G057700 transcript:ONI15728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEGLSAPLSPPQAAARQYGVTKPISTAGPTEADIQRTLELEKFLVDAGLYESKEDADKREEVLQRIGQIVKDWVKQLTRLRGYTDQMVEDANALIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFFILHNILAEMEEVTELQPVPDAHVPVMKFQFDGISIDLLYASISLLVVPEDLDISDVSLLYDVDEPTVRSLNGCRVADQILKLVPNIEHFCTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSQSTLRVMVEQFRYGNKICEEVELNKARWCALFEPYLFFESYKNYLQVDIVAADVDDLRAWKGWVESRLRQLTLMIERDTLGMLQCHPYPHEYVDTNKQCAHGAFFMGLQRKQREKTQGQQFDIRGTVDEFRHSINMYLFWKPGMEIYVSHVRRRQLPPYVFPDGCKRPRPSRIMAQQQADKLPYYDGEVSMTGSGERHLKRKKDLDGVDVNQVMPLEKRQSLSPQPRDSVSPVIISDKASSASPDQSDKGFSMEVVESNKRTLLGETEPEYASNSSTITNVTSKGSCDDAGFGSVAVSSEGNTRSVEGSSVGSNNPGYLQGDVCEADSESLSDNGCGNGNLRQDGSQEALEPNAAFGMLLNSNEGVNSEQKAVIRLSLTSTA >ONI15731 pep chromosome:Prunus_persica_NCBIv2:G3:4085205:4090357:1 gene:PRUPE_3G057700 transcript:ONI15731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLIVKDWVKQLTRLRGYTDQMVEDANALIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFFILHNILAEMEEVTELQPVPDAHVPVMKFQFDGISIDLLYASISLLVVPEDLDISDVSLLYDVDEPTVRSLNGCRVADQILKLVPNIEHFCTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSQSTLRVMVEQFRYGNKICEEVELNKARWCALFEPYLFFESYKNYLQVDIVAADVDDLRAWKGWVESRLRQLTLMIERDTLGMLQCHPYPHEYVDTNKQCAHGAFFMGLQRKQREKTQGQQFDIRGTVDEFRHSINMYLFWKPGMEIYVSHVRRRQLPPYVFPDGCKRPRPSRIMAQQQADKLPYYDGEVSMTGSGERHLKRKKDLDGVDVNQVMPLEKRQSLSPQPRDSVSPVIISDKASSASPDQSDKGFSMEVVESNKRTLLGETEPEYASNSSTITNVTSKGSCDDAGFGSVAVSSEGNTRSVEGSSVGSNNPGYLQGDVCEADSESLSDNGCGNGNLRQDGSQEALEPNAAFGMLLNSNEGVNSEQKAVIRLSLTSTA >ONI17408 pep chromosome:Prunus_persica_NCBIv2:G3:17587533:17588590:-1 gene:PRUPE_3G157300 transcript:ONI17408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFNVQHQNASYVGCEDVRGSAFISDTKDPVVCPKPRRVGILSNSPIRPLRWQMSHQSEFSDSKAGAELLDLILRKEGYGLEQSLNDVASSPPYFCGSPPSRASNPLIQDARFGDEKFTPVSASPSPSTLSSPRKAGCVRMKYGLKPAAVRVEGFDCLNRDRQNSSIPAFA >ONI17407 pep chromosome:Prunus_persica_NCBIv2:G3:17587204:17588653:-1 gene:PRUPE_3G157300 transcript:ONI17407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFNVQHQNASYVGCEDVRGSAFISDTKDPVVCPKPRRVGILSNSPIRPLRWQMSHQSEFSDSKAGAELLDLILRKEGYGLEQSLNDVASSPPYFCGSPPSRASNPLIQDARFGDEKFTPVSASPSPSTLSSPRKAGCVRMKYGLKPAAVRVEGFDCLNRDRQNSSIPAFA >ONI17410 pep chromosome:Prunus_persica_NCBIv2:G3:17587533:17588590:-1 gene:PRUPE_3G157300 transcript:ONI17410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFNVQHQNASYVGCEDVRGSAFISDTKDPVVCPKPRRVGILSNSPIRPLRWQMSSHQSEFSDSKAGAELLDLILRKEGYGLEQSLNDVASSPPYFCGSPPSRASNPLIQDARFGDEKFTPVSASPSPSTLSSPRKAGCVRMKYGLKPAAVRVEGFDCLNRDRQNSSIPAFA >ONI17409 pep chromosome:Prunus_persica_NCBIv2:G3:17587123:17589668:-1 gene:PRUPE_3G157300 transcript:ONI17409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFNVQHQNASYVGCEDVRGSAFISDTKDPVVCPKPRRVGILSNSPIRPLRWQMSHQSEFSDSKAGAELLDLILRKEGYGLEQSLNDVASSPPYFCGSPPSRASNPLIQDARFGDEKFTPVSASPSPSTLSSPRKAGCVRMKYGLKPAAVRVEGFDCLNRDRQNSSIPAFA >ONI17411 pep chromosome:Prunus_persica_NCBIv2:G3:17587123:17589669:-1 gene:PRUPE_3G157300 transcript:ONI17411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHFNVQHQNASYVGCEDVRGSAFISDTKDPVVCPKPRRVGILSNSPIRPLRWQMSSHQSEFSDSKAGAELLDLILRKEGYGLEQSLNDVASSPPYFCGSPPSRASNPLIQDARFGDEKFTPVSASPSPSTLSSPRKAGCVRMKYGLKPAAVRVEGFDCLNRDRQNSSIPAFA >ONI18993 pep chromosome:Prunus_persica_NCBIv2:G3:24183708:24187159:-1 gene:PRUPE_3G252200 transcript:ONI18993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLCACLATQPVKKKPIKRLPNPPPQSNTSNRWTRIRSSRKEKLDDALLQEQALAAAILFQQHQQNGSLPFDRSASLRYPNSSSKKSSNALPRSSSSRARSLTDPLLQPHQLVNQDVKLDDLETNHFVLVHGGGFGAWCWYKTIALLEEVGFKVTAIDLTGSGIHSSDANSVTSLSQYVKPLTDFLENLPEGNKVILVGHDFGGACISYAMELFPHKVSKAIFIAAAMLKNGQSTLEMFSQQATSDDLMRQAQIFLYANGNNQPPTAIDLDKSMLKDLLFNQSPAKDVALASVSMRPIPFAPVLEKLSLSDLKYGSVRRFYIETSEDNAIPITVQERMTKESPPEQVLRLKGADHSPFFSKPQALHKLLVEISKISST >ONI17315 pep chromosome:Prunus_persica_NCBIv2:G3:16552538:16557976:-1 gene:PRUPE_3G151200 transcript:ONI17315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLFVDRLITESTLEAVIESTYQLQHPTLTASQDTMDSSHKIDVNGSSSSKFVQCRICHDEDDDSNMETPCSCCGSLKYAHRKCVQRWCNEKGDTICEICHQQFTPDYTAPPPLFHYSGIPMNFRGNWEISRRDLQNPQFIAMVTADHEYMNTDFDDEYSAPSSRSLICCRVVAIIFMVLLVLRHTLPFLISGAGEYSLTLFTLLMLRTIGILFPIYIMVRAFTAIQRQRRQQDPEISLATSDEENDLAYPSRLIQIR >ONI17309 pep chromosome:Prunus_persica_NCBIv2:G3:16552538:16557457:-1 gene:PRUPE_3G151200 transcript:ONI17309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFYSDANILLTVLKYAHRKCVQRWCNEKGDTICEICHQQFTPDYTAPPPLFHYSGIPMNFRGNWEISRRDLQNPQFIAMVTADHEYMNTDFDDEYSAPSSRSLICCRVVAIIFMVLLVLRHTLPFLISGAGEYSLTLFTLLMLRTIGILFPIYIMVRAFTAIQRQRRQQDPEISLATSDEENDLAYPSRLIQIR >ONI17307 pep chromosome:Prunus_persica_NCBIv2:G3:16552538:16558182:-1 gene:PRUPE_3G151200 transcript:ONI17307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGDHFVLFVDRLITESTLEAVIESTYQLQHPTLTASQDTMDSSHKIDVNGSSSSKFVQCRICHDEDDDSNMETPCSCCGSLKYAHRKCVQRWCNEKGDTICEICHQQFTPDYTAPPPLFHYSGIPMNFRGNWEISRRDLQNPQFIAMVTADHEYMNTDFDDEYSAPSSRSLICCRVVAIIFMVLLVLRHTLPFLISGAGEYSLTLFTLLMLRTIGILFPIYIMVRAFTAIQRQRRQQDPEISLATSDEENDLAYPSRLIQIR >ONI17311 pep chromosome:Prunus_persica_NCBIv2:G3:16553004:16556198:-1 gene:PRUPE_3G151200 transcript:ONI17311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLFVDRLITESTLEAVIESTYQLQHPTLTASQDTMDSSHKIDVNGSSSSKFVQCRICHDEDDDSNMETPCSCCGSLKYAHRKCVQRWCNEKGDTICEICHQQFTPDYTAPPPLFHYSGIPMNFRGNWEISRRDLQNPQFIAMVTADHEYMNTDFDDEYSAPSSRSLICCRVVAIIFMVLLVLRHTLPFLISGAGEYSLTLFTLLMLRTIGILFPIYIMVRAFTAIQRQRRQQDPEISLATSDEENDLAYPSRLIQIR >ONI17314 pep chromosome:Prunus_persica_NCBIv2:G3:16552538:16558117:-1 gene:PRUPE_3G151200 transcript:ONI17314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLFVDRLITESTLEAVIESTYQLQHPTLTASQDTMDSSHKIDVNGSSSSKFVQCRICHDEDDDSNMETPCSCCGSLKYAHRKCVQRWCNEKGDTICEICHQQFTPDYTAPPPLFHYSGIPMNFRGNWEISRRDLQNPQFIAMVTADHEYMNTDFDDEYSAPSSRSLICCRVVAIIFMVLLVLRHTLPFLISGAGEYSLTLFTLLMLRTIGILFPIYIMVRAFTAIQRQRRQQDPEISLATSDEENDLAYPSRLIQIR >ONI17317 pep chromosome:Prunus_persica_NCBIv2:G3:16552538:16557982:-1 gene:PRUPE_3G151200 transcript:ONI17317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRGNWEISRRDLQNPQFIAMVTADHEYMNTDFDDEYSAPSSRSLICCRVVAIIFMVLLVLRHTLPFLISGAGEYSLTLFTLLMLRTIGILFPIYIMVRAFTAIQRQRRQQDPEISLATSDEENDLAYPSRLIQIR >ONI17310 pep chromosome:Prunus_persica_NCBIv2:G3:16552538:16557573:-1 gene:PRUPE_3G151200 transcript:ONI17310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLFVDRLITESTLEAVIESTYQLQHPTLTASQDTMDSSHKIDVNGSSSSKFVQCRICHDEDDDSNMETPCSCCGSLKYAHRKCVQRWCNEKGDTICEICHQQFTPDYTAPPPLFHYSGIPMNFRGNWEISRRDLQNPQFIAMVTADHEYMNTDFDDEYSAPSSRSLICCRVVAIIFMVLLVLRHTLPFLISGAGEYSLTLFTLLMLRTIGILFPIYIMVRAFTAIQRQRRQQDPEISLATSDEENDLAYPSRLIQIR >ONI17316 pep chromosome:Prunus_persica_NCBIv2:G3:16552538:16556749:-1 gene:PRUPE_3G151200 transcript:ONI17316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLFVDRLITESTLEAVIESTYQLQHPTLTASQDTMDSSHKIDVNGSSSSKFVQCRICHDEDDDSNMETPCSCCGSLKYAHRKCVQRWCNEKGDTICEICHQQFTPDYTAPPPLFHYSGIPMNFRGNWEISRRDLQNPQFIAMVTADHEYMNTDFDDEYSAPSSRSLICCRVVAIIFMVLLVLRHTLPFLISGAGEYSLTLFTLLMLRTIGILFPIYIMVRAFTAIQRQRRQQDPEISLATSDEENDLAYPSRLIQIR >ONI17312 pep chromosome:Prunus_persica_NCBIv2:G3:16552538:16558073:-1 gene:PRUPE_3G151200 transcript:ONI17312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLFVDRLITESTLEAVIESTYQLQHPTLTASQDTMDSSHKIDVNGSSSSKFVQCRICHDEDDDSNMETPCSCCGSLKYAHRKCVQRWCNEKGDTICEICHQQFTPDYTAPPPLFHYSGIPMNFRGNWEISRRDLQNPQFIAMVTADHEYMNTDFDDEYSAPSSRSLICCRVVAIIFMVLLVLRHTLPFLISGAGEYSLTLFTLLMLRTIGILFPIYIMVRAFTAIQRQRRQQDPEISLATSDEENDLAYPSRLIQIR >ONI17313 pep chromosome:Prunus_persica_NCBIv2:G3:16552538:16558010:-1 gene:PRUPE_3G151200 transcript:ONI17313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHFVLFVDRLITESTLEAVIESTYQLQHPTLTASQDTMDSSHKIDVNGSSSSKFVQCRICHDEDDDSNMETPCSCCGSLKYAHRKCVQRWCNEKGDTICEICHQQFTPDYTAPPPLFHYSGIPMNFRGNWEISRRDLQNPQFIAMVTADHEYMNTDFDDEYSAPSSRSLICCRVVAIIFMVLLVLRHTLPFLISGAGEYSLTLFTLLMLRTIGILFPIYIMVRAFTAIQRQRRQQDPEISLATSDEENDLAYPSRLIQIR >ONI17308 pep chromosome:Prunus_persica_NCBIv2:G3:16552538:16557587:-1 gene:PRUPE_3G151200 transcript:ONI17308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFYSDANILLTVLKYAHRKCVQRWCNEKGDTICEICHQQFTPDYTAPPPLFHYSGIPMNFRGNWEISRRDLQNPQFIAMVTADHEYMNTDFDDEYSAPSSRSLICCRVVAIIFMVLLVLRHTLPFLISGAGEYSLTLFTLLMLRTIGILFPIYIMVRAFTAIQRQRRQQDPEISLATSDEENDLAYPSRLIQIR >ONI19484 pep chromosome:Prunus_persica_NCBIv2:G3:25636338:25637723:-1 gene:PRUPE_3G280900 transcript:ONI19484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19483 pep chromosome:Prunus_persica_NCBIv2:G3:25636338:25639071:-1 gene:PRUPE_3G280900 transcript:ONI19483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19470 pep chromosome:Prunus_persica_NCBIv2:G3:25636332:25639073:-1 gene:PRUPE_3G280900 transcript:ONI19470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19468 pep chromosome:Prunus_persica_NCBIv2:G3:25636305:25639073:-1 gene:PRUPE_3G280900 transcript:ONI19468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19474 pep chromosome:Prunus_persica_NCBIv2:G3:25636338:25639069:-1 gene:PRUPE_3G280900 transcript:ONI19474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSGKILFFAGVKSSSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19473 pep chromosome:Prunus_persica_NCBIv2:G3:25636338:25639070:-1 gene:PRUPE_3G280900 transcript:ONI19473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19482 pep chromosome:Prunus_persica_NCBIv2:G3:25636338:25639070:-1 gene:PRUPE_3G280900 transcript:ONI19482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19478 pep chromosome:Prunus_persica_NCBIv2:G3:25636531:25638400:-1 gene:PRUPE_3G280900 transcript:ONI19478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSSGKILFFAGVKSSSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19476 pep chromosome:Prunus_persica_NCBIv2:G3:25636332:25639083:-1 gene:PRUPE_3G280900 transcript:ONI19476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSSGKILFFAGVKSSSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19472 pep chromosome:Prunus_persica_NCBIv2:G3:25636332:25639073:-1 gene:PRUPE_3G280900 transcript:ONI19472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19467 pep chromosome:Prunus_persica_NCBIv2:G3:25636338:25639073:-1 gene:PRUPE_3G280900 transcript:ONI19467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19471 pep chromosome:Prunus_persica_NCBIv2:G3:25636338:25639069:-1 gene:PRUPE_3G280900 transcript:ONI19471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19479 pep chromosome:Prunus_persica_NCBIv2:G3:25636531:25638400:-1 gene:PRUPE_3G280900 transcript:ONI19479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSSGKILFFAGVKSSSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19464 pep chromosome:Prunus_persica_NCBIv2:G3:25636336:25639071:-1 gene:PRUPE_3G280900 transcript:ONI19464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19475 pep chromosome:Prunus_persica_NCBIv2:G3:25636531:25638400:-1 gene:PRUPE_3G280900 transcript:ONI19475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSGKILFFAGVKSSSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19466 pep chromosome:Prunus_persica_NCBIv2:G3:25636531:25638400:-1 gene:PRUPE_3G280900 transcript:ONI19466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19480 pep chromosome:Prunus_persica_NCBIv2:G3:25636531:25638400:-1 gene:PRUPE_3G280900 transcript:ONI19480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSSGKILFFAGVKSSSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19477 pep chromosome:Prunus_persica_NCBIv2:G3:25636531:25638400:-1 gene:PRUPE_3G280900 transcript:ONI19477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSSGKILFFAGVKSSSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19481 pep chromosome:Prunus_persica_NCBIv2:G3:25636531:25638400:-1 gene:PRUPE_3G280900 transcript:ONI19481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSSGKILFFAGVKSSSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19469 pep chromosome:Prunus_persica_NCBIv2:G3:25636338:25639071:-1 gene:PRUPE_3G280900 transcript:ONI19469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGSPTLAAAMANLTPIFTFLLAIIFRMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI19465 pep chromosome:Prunus_persica_NCBIv2:G3:25636338:25639069:-1 gene:PRUPE_3G280900 transcript:ONI19465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFRACLSAVPFAAMVMVECAEVGVSTISKVAMSRGMSHFVFIVYYNALGTLMLLPYFIFQRNKRAPLSFKLICRFFLLGLIGMEKLDLRKPTSHAKSLGTIVSVLGALIVTLYKGPALLKPSSSPSCLTHHQPRLSQQHHQPLLSQRSNWIFGGLLLAIQCLVSSTWNIAQAAAVKDYPEEMTIVFFYTLFLTCQCSVISLIVERNPDAWKLKPGIEMIAIVYAAFFVSVFRIGVHVWCLHKKGPVYVAMFKPLGIAIAVAMVVLFLGDALYLGSVIGSFVIALGFYTVICAQIKEKRMALDNGAQILEPSTQTTPLLQSSSINQVCRG >ONI17107 pep chromosome:Prunus_persica_NCBIv2:G3:14563871:14564860:1 gene:PRUPE_3G137900 transcript:ONI17107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWLYEPALIIPSSAIWPDHSPLQYGKSRAQARQITRNAKRKTLILAPSHATSLSGPKPRECLGLVEQVVVWRVTSIHETH >ONI16779 pep chromosome:Prunus_persica_NCBIv2:G3:10831184:10834800:-1 gene:PRUPE_3G121700 transcript:ONI16779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLTIFSFYRFQSSSHRPFLSLAVNSSLFFFRRCISHGLSSGSTNTRPFPDYSPKRPTINDSELVQRISNTIKLRCSEPLRRILKPYESQFRSDHLIWVLMNIKNDYKLVLDFYDWACLRRDPTLESRCVVVQIAAASKDLKTAHELIHKFWAKPKLDVSVSFTHFADRLIYTYKDWGSDPHVFDVFFQVIVESGMLNEARKLFDKLLSYGLVISVDSCNLFLTLLSSTFDGIDMAIKFFNEYYEVGVHWNTASYNIIIHSLCRLGKIKEAHHLLLQMELRGCIPDVVSYSTLISRYCYDGELQKVLKLIEEMKIKGLKPNAYTYNSIILLLCKTGKLSEAEVILREMMALGVLPDNVVYTTLIDGFCKMGNIQAACRLFDEMRLRKVIPDYITYTAIIHGFCQTGKMAEADNLFHEMVSRGLEPDEVTYTALIDGYCKVGEMKEAFSLHNQMVSMGLSPNVVTYTALADGLCKRGEVDIANELLQEMCRKGLQLNVFTYNSIVNGLCKSGNIAQAEKLMEQMQVAGPHPDTVTYTTLMDAYCKIREMAKAHNVLREMLDRGLQPTVVTFNVLMNGFCMSGMLEDGERLLKWMLEKGIVPNAGTYNSLMKQYCIRNNMRTTTDMYRSMCAGGVVPDNNTYNILIKGHCKARNMKEAWFLHKEMAGKGFIPTASCYSALIKGLFKKRKFAEARELFEEMRRHGVVADRETYNIFVDMNYEEGNMDITLELCDEVIENCLVAKPKNEDT >ONI16778 pep chromosome:Prunus_persica_NCBIv2:G3:10831184:10834800:-1 gene:PRUPE_3G121700 transcript:ONI16778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLTIFSFYRFQSSSHRPFLSLAVNSSLFFFRRCISHGLSSGSTNTRPFPDYSPKRPTINDSELVQRISNTIKLRCSEPLRRILKPYESQFRSDHLIWVLMNIKNDYKLVLDFYDWACLRRDPTLESRCVVVQIAAASKDLKTAHELIHKFWAKPKLDVSVSFTHFADRLIYTYKDWGSDPHVFDVFFQVIVESGMLNEARKLFDKLLSYGLVISVDSCNLFLTLLSSTFDGIDMAIKFFNEYYEVGVHWNTASYNIIIHSLCRLGKIKEAHHLLLQMELRGCIPDVVSYSTLISRYCYDGELQKVLKLIEEMKIKGLKPNAYTYNSIILLLCKTGKLSEAEVILREMMALGVLPDNVVYTTLIDGFCKMGNIQAACRLFDEMRLRKVIPDYITYTAIIHGFCQTGKMAEADNLFHEMVSRGLEPDEVTYTALIDGYCKVGEMKEAFSLHNQMVSMGLSPNVVTYTALADGLCKRGEVDIANELLQEMCRKGLQLNVFTYNSIVNGLCKSGNIAQAEKLMEQMQVAGPHPDTVTYTTLMDAYCKIREMAKAHNVLREMLDRGLQPTVVTFNVLMNGFCMSGMLEDGERLLKWMLEKGIVPNAGTYNSLMKQYCIRNNMRTTTDMYRSMCAGGVVPDNNTYNILIKGHCKARNMKEAWFLHKEMAGKGFIPTASCYSALIKGLFKKRKFAEARELFEEMRRHGVVADRETYNIFVDMNYEEGNMDITLELCDEVIENCLVAKPKNEDT >ONI16781 pep chromosome:Prunus_persica_NCBIv2:G3:10831068:10834826:-1 gene:PRUPE_3G121700 transcript:ONI16781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLTIFSFYRFQSSSHRPFLSLAVNSSLFFFRRCISHGLSSGSTNTRPFPDYSPKRPTINDSELVQRISNTIKLRCSEPLRRILKPYESQFRSDHLIWVLMNIKNDYKLVLDFYDWACLRRDPTLESRCVVVQIAAASKDLKTAHELIHKFWAKPKLDVSVSFTHFADRLIYTYKDWGSDPHVFDVFFQVIVESGMLNEARKLFDKLLSYGLVISVDSCNLFLTLLSSTFDGIDMAIKFFNEYYEVGVHWNTASYNIIIHSLCRLGKIKEAHHLLLQMELRGCIPDVVSYSTLISRYCYDGELQKVLKLIEEMKIKGLKPNAYTYNSIILLLCKTGKLSEAEVILREMMALGVLPDNVVYTTLIDGFCKMGNIQAACRLFDEMRLRKVIPDYITYTAIIHGFCQTGKMAEADNLFHEMVSRGLEPDEVTYTALIDGYCKVGEMKEAFSLHNQMVSMGLSPNVVTYTALADGLCKRGEVDIANELLQEMCRKGLQLNVFTYNSIVNGLCKSGNIAQAEKLMEQMQVAGPHPDTVTYTTLMDAYCKIREMAKAHNVLREMLDRGLQPTVVTFNVLMNGFCMSGMLEDGERLLKWMLEKGIVPNAGTYNSLMKQYCIRNNMRTTTDMYRSMCAGGVVPDNNTYNILIKGHCKARNMKEAWFLHKEMAGKGFIPTASCYSALIKGLFKKRKFAEARELFEEMRRHGVVADRETYNIFVDMNYEEGNMDITLELCDEVIENCLVAKPKNEDT >ONI16776 pep chromosome:Prunus_persica_NCBIv2:G3:10832094:10834340:-1 gene:PRUPE_3G121700 transcript:ONI16776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLTIFSFYRFQSSSHRPFLSLAVNSSLFFFRRCISHGLSSGSTNTRPFPDYSPKRPTINDSELVQRISNTIKLRCSEPLRRILKPYESQFRSDHLIWVLMNIKNDYKLVLDFYDWACLRRDPTLESRCVVVQIAAASKDLKTAHELIHKFWAKPKLDVSVSFTHFADRLIYTYKDWGSDPHVFDVFFQVIVESGMLNEARKLFDKLLSYGLVISVDSCNLFLTLLSSTFDGIDMAIKFFNEYYEVGVHWNTASYNIIIHSLCRLGKIKEAHHLLLQMELRGCIPDVVSYSTLISRYCYDGELQKVLKLIEEMKIKGLKPNAYTYNSIILLLCKTGKLSEAEVILREMMALGVLPDNVVYTTLIDGFCKMGNIQAACRLFDEMRLRKVIPDYITYTAIIHGFCQTGKMAEADNLFHEMVSRGLEPDEVTYTALIDGYCKVGEMKEAFSLHNQMVSMGLSPNVVTYTALADGLCKRGEVDIANELLQEMCRKGLQLNVFTYNSIVNGLCKSGNIAQAEKLMEQMQVAGPHPDTVTYTTLMDAYCKIREMAKAHNVLREMLDRGLQPTVVTFNVLMNGFCMSGMLEDGERLLKWMLEKGIVPNAGTYNSLMKQYCIRNNMRTTTDMYRSMCAGGVVPDNNTYNILIKGHCKARNMKEAWFLHKEMAGKGFIPTASCYSALIKGLFKKRKFAEARELFEEMRRHGVVADRETYNIFVDMNYEEGNMDITLELCDEVIENCLVAKPKNEDT >ONI16777 pep chromosome:Prunus_persica_NCBIv2:G3:10832094:10834340:-1 gene:PRUPE_3G121700 transcript:ONI16777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLTIFSFYRFQSSSHRPFLSLAVNSSLFFFRRCISHGLSSGSTNTRPFPDYSPKRPTINDSELVQRISNTIKLRCSEPLRRILKPYESQFRSDHLIWVLMNIKNDYKLVLDFYDWACLRRDPTLESRCVVVQIAAASKDLKTAHELIHKFWAKPKLDVSVSFTHFADRLIYTYKDWGSDPHVFDVFFQVIVESGMLNEARKLFDKLLSYGLVISVDSCNLFLTLLSSTFDGIDMAIKFFNEYYEVGVHWNTASYNIIIHSLCRLGKIKEAHHLLLQMELRGCIPDVVSYSTLISRYCYDGELQKVLKLIEEMKIKGLKPNAYTYNSIILLLCKTGKLSEAEVILREMMALGVLPDNVVYTTLIDGFCKMGNIQAACRLFDEMRLRKVIPDYITYTAIIHGFCQTGKMAEADNLFHEMVSRGLEPDEVTYTALIDGYCKVGEMKEAFSLHNQMVSMGLSPNVVTYTALADGLCKRGEVDIANELLQEMCRKGLQLNVFTYNSIVNGLCKSGNIAQAEKLMEQMQVAGPHPDTVTYTTLMDAYCKIREMAKAHNVLREMLDRGLQPTVVTFNVLMNGFCMSGMLEDGERLLKWMLEKGIVPNAGTYNSLMKQYCIRNNMRTTTDMYRSMCAGGVVPDNNTYNILIKGHCKARNMKEAWFLHKEMAGKGFIPTASCYSALIKGLFKKRKFAEARELFEEMRRHGVVADRETYNIFVDMNYEEGNMDITLELCDEVIENCLVAKPKNEDT >ONI16783 pep chromosome:Prunus_persica_NCBIv2:G3:10831184:10834800:-1 gene:PRUPE_3G121700 transcript:ONI16783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLTIFSFYRFQSSSHRPFLSLAVNSSLFFFRRCISHGLSSGSTNTRPFPDYSPKRPTINDSELVQRISNTIKLRCSEPLRRILKPYESQFRSDHLIWVLMNIKNDYKLVLDFYDWACLRRDPTLESRCVVVQIAAASKDLKTAHELIHKFWAKPKLDVSVSFTHFADRLIYTYKDWGSDPHVFDVFFQVIVESGMLNEARKLFDKLLSYGLVISVDSCNLFLTLLSSTFDGIDMAIKFFNEYYEVGVHWNTASYNIIIHSLCRLGKIKEAHHLLLQMELRGCIPDVVSYSTLISRYCYDGELQKVLKLIEEMKIKGLKPNAYTYNSIILLLCKTGKLSEAEVILREMMALGVLPDNVVYTTLIDGFCKMGNIQAACRLFDEMRLRKVIPDYITYTAIIHGFCQTGKMAEADNLFHEMVSRGLEPDEVTYTALIDGYCKVGEMKEAFSLHNQMVSMGLSPNVVTYTALADGLCKRGEVDIANELLQEMCRKGLQLNVFTYNSIVNGLCKSGNIAQAEKLMEQMQVAGPHPDTVTYTTLMDAYCKIREMAKAHNVLREMLDRGLQPTVVTFNVLMNGFCMSGMLEDGERLLKWMLEKGIVPNAGTYNSLMKQYCIRNNMRTTTDMYRSMCAGGVVPDNNTYNILIKGHCKARNMKEAWFLHKEMAGKGFIPTASCYSALIKGLFKKRKFAEARELFEEMRRHGVVADRETYNIFVDMNYEEGNMDITLELCDEVIENCLVAKPKNEDT >ONI16782 pep chromosome:Prunus_persica_NCBIv2:G3:10832094:10834340:-1 gene:PRUPE_3G121700 transcript:ONI16782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLTIFSFYRFQSSSHRPFLSLAVNSSLFFFRRCISHGLSSGSTNTRPFPDYSPKRPTINDSELVQRISNTIKLRCSEPLRRILKPYESQFRSDHLIWVLMNIKNDYKLVLDFYDWACLRRDPTLESRCVVVQIAAASKDLKTAHELIHKFWAKPKLDVSVSFTHFADRLIYTYKDWGSDPHVFDVFFQVIVESGMLNEARKLFDKLLSYGLVISVDSCNLFLTLLSSTFDGIDMAIKFFNEYYEVGVHWNTASYNIIIHSLCRLGKIKEAHHLLLQMELRGCIPDVVSYSTLISRYCYDGELQKVLKLIEEMKIKGLKPNAYTYNSIILLLCKTGKLSEAEVILREMMALGVLPDNVVYTTLIDGFCKMGNIQAACRLFDEMRLRKVIPDYITYTAIIHGFCQTGKMAEADNLFHEMVSRGLEPDEVTYTALIDGYCKVGEMKEAFSLHNQMVSMGLSPNVVTYTALADGLCKRGEVDIANELLQEMCRKGLQLNVFTYNSIVNGLCKSGNIAQAEKLMEQMQVAGPHPDTVTYTTLMDAYCKIREMAKAHNVLREMLDRGLQPTVVTFNVLMNGFCMSGMLEDGERLLKWMLEKGIVPNAGTYNSLMKQYCIRNNMRTTTDMYRSMCAGGVVPDNNTYNILIKGHCKARNMKEAWFLHKEMAGKGFIPTASCYSALIKGLFKKRKFAEARELFEEMRRHGVVADRETYNIFVDMNYEEGNMDITLELCDEVIENCLVAKPKNEDT >ONI16780 pep chromosome:Prunus_persica_NCBIv2:G3:10832094:10834340:-1 gene:PRUPE_3G121700 transcript:ONI16780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLTIFSFYRFQSSSHRPFLSLAVNSSLFFFRRCISHGLSSGSTNTRPFPDYSPKRPTINDSELVQRISNTIKLRCSEPLRRILKPYESQFRSDHLIWVLMNIKNDYKLVLDFYDWACLRRDPTLESRCVVVQIAAASKDLKTAHELIHKFWAKPKLDVSVSFTHFADRLIYTYKDWGSDPHVFDVFFQVIVESGMLNEARKLFDKLLSYGLVISVDSCNLFLTLLSSTFDGIDMAIKFFNEYYEVGVHWNTASYNIIIHSLCRLGKIKEAHHLLLQMELRGCIPDVVSYSTLISRYCYDGELQKVLKLIEEMKIKGLKPNAYTYNSIILLLCKTGKLSEAEVILREMMALGVLPDNVVYTTLIDGFCKMGNIQAACRLFDEMRLRKVIPDYITYTAIIHGFCQTGKMAEADNLFHEMVSRGLEPDEVTYTALIDGYCKVGEMKEAFSLHNQMVSMGLSPNVVTYTALADGLCKRGEVDIANELLQEMCRKGLQLNVFTYNSIVNGLCKSGNIAQAEKLMEQMQVAGPHPDTVTYTTLMDAYCKIREMAKAHNVLREMLDRGLQPTVVTFNVLMNGFCMSGMLEDGERLLKWMLEKGIVPNAGTYNSLMKQYCIRNNMRTTTDMYRSMCAGGVVPDNNTYNILIKGHCKARNMKEAWFLHKEMAGKGFIPTASCYSALIKGLFKKRKFAEARELFEEMRRHGVVADRETYNIFVDMNYEEGNMDITLELCDEVIENCLVAKPKNEDT >ONI17156 pep chromosome:Prunus_persica_NCBIv2:G3:14941389:14942289:1 gene:PRUPE_3G141000 transcript:ONI17156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNITFVVIILFVMALAMVAESTQHTTNMYSRGRATTEFKLVRHDAGDGEVATPPPRACNGSLGEECLNEEEEEEDEQEGLQTVRPQDEGNTRYISYEALKKDNVPCNRRGSSYYNCGTSGKINPYQRGCTIITNCAREG >ONI19723 pep chromosome:Prunus_persica_NCBIv2:G3:26249492:26253123:1 gene:PRUPE_3G294000 transcript:ONI19723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVSLSLLFLRPTLTLSRLLQPHPILRAVLSRPWPSSTMSFSTTSTPYPLQYDLIVNRPTQSSLDHTRRRPARLSKPDSDNSPDSESDLPEKPASVSELGFENWLDEKLASAEMDKSKRKYYNKRRKRMYGTDSEEDERRREDEESLVELKPEVVEFNTLHKREEELYFYDTFTYPWEKDKHYKMVYQLEKKYFPDQCLDKAFLEPGQSSPNAKGDSNNVKGKVKRKKKKDGDGGEVESNNSKGLVFFEEDEERKEKGERDLVSNGSKDVTEKKVEDFFKCLKKVPNKDAEVGNGEPYLLTRTTELPPKWDGPYGTVVLVNKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKVVDGYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKKVAASFSGEIWQVPPMFSAIKVGGEKMYEKARRGESIELSPRRISIFQFDIERSLDDRQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIGEFSADNAWDFKELEEAITKTYF >ONI15947 pep chromosome:Prunus_persica_NCBIv2:G3:5059779:5065055:1 gene:PRUPE_3G070400 transcript:ONI15947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTQSKIENEEAVSRCKERKQFMKESVTSRNAFAAAHSSYAIYLKNTGAALSDYAQGEIVAPHPAHIPAHQPNLASVAAAAAIGVPAPPPFVDNLPPPPPPLPKFSTSPLQRAASMPEIKPDLPKPRTKSKPKPIIEGDEDEDDEVIESSESLRRRSRSSRSKKGVVENGDHNLDGPPPVPSPSPSVSVESRTVPPLPQHRDTPYDYFFSVEDMPAPTLADAEEIEPKLYDERPKIVENEEEEEAFEERPEGVEKYEEEEVEVERSAKVEEVVVAPQLPVVDEVGGGSVGKSLKKAKAPVPKGSVNLLQIFAELDDHFLKASESAHDVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGIPNVDDGKDDFDSDEHETHATVLDKLLAWEKKLYDEVKAGELMKFEYQKKVASLNRLKKRGNSEASEKAKAAVSHLHTRYIVDMQSMDSTVSEINSLRDGRLYPKLVQLVEGMATMWEIMRIHHETQSKRVTALRSFDVSHCPKQTSQHHHERTIQLLGVVQGWHSEIGKLVAYQKVYIKALNNWLKLNLIPIESSLKEKVSSPPRIQRPPIQGLLLAWQDHLEKLPDDVARTAIHNFGAVISTIVTLQEDEIKMKDKCEETRKELARKTRHFEDWCNKNMHKTIPDEIDPDGPEGHTRNDVVAEKQFAVEIVKKRLEEEEEAYERLCIQASTSLISCEQLLRLPLNTLKKTTPESIRGKMGSFKKQTAAVHGRHPKQQNDFLLLSRVSLIRFTPGGFEGQALANLFAA >ONI15948 pep chromosome:Prunus_persica_NCBIv2:G3:5059949:5063539:1 gene:PRUPE_3G070400 transcript:ONI15948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTQSKIENEEAVSRCKERKQFMKESVTSRNAFAAAHSSYAIYLKNTGAALSDYAQGEIVAPHPAHIPAHQPNLASVAAAAAIGVPAPPPFVDNLPPPPPPLPKFSTSPLQRAASMPEIKPDLPKPRTKSKPKPIIEGDEDEDDEVIESSESLRRRSRSSRSKKGVVENGDHNLDGPPPVPSPSPSVSVESRTVPPLPQHRDTPYDYFFSVEDMPAPTLADAEEIEPKLYDERPKIVENEEEEEAFEERPEGVEKYEEEEVEVERSAKVEEVVVAPQLPVVDEVGGGSVGKSLKKAKAPVPKGSVNLLQIFAELDDHFLKASESAHDVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGIPNVDDGKDDFDSDEHETHATVLDKLLAWEKKLYDEVKAGELMKFEYQKKVASLNRLKKRGNSEASEKAKAAVSHLHTRYIVDMQSMDSTVSEINSLRDGRLYPKLVQLVEGMATMWEIMRIHHETQSKRVTALRSFDVSHCPKQTSQHHHERTIQLLGVVQGWHSEIGKLVAYQKVYIKALNNWLKLNLIPIESKTSR >ONI18942 pep chromosome:Prunus_persica_NCBIv2:G3:23924124:23931616:-1 gene:PRUPE_3G248800 transcript:ONI18942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSQSSVAQPEAILQWLQKEMGYRPLGPYSAASKSQLPSIDSLRKICRGNMIPIWNFLITRVKSENTVKNIRRNITVHGGGSGGGDSGALVKSGKEEGVRSKGGRRKEKLGEGSSAAETREAALQERDLASKEVEKLRNIVKRQRKDLKARMLEVSRAEAERKRMLDERSKKRHKQVMLDAYYQQCDEAEKIFAEYHKRLRYYVNQARDAQRSGVDSSLELVNSFSSSSEKEAVYSTLKGSKAADDVLLIETTRERNIRKACESLAAHMIEKIRNSFPAYEGSGVHLNPQLETAKLGFDFDGELPDEVRAAIVNGLKSPPQLLQAITSYTSRLKSLISREIEKIDVRADAETLRYKYENNRVIDVSSPDVSSPLHYQLYGNGKIGVDAPSRGTQLLERQKAHVQQFLATEDALNKAAEARDLCQKLIKRLHGNSDAVSSGTSQNVGSLRQLELEVWTKEREVAGLRASLNTLMSEIQRLNKLCAERKEAEDSLKKKWKKIEEFDSRRSELEIIYSALLKVNMDAAAFWNQQPLAAREYASTTIIPACTIVMDLSNSAKDLIEREVSAFDQSPDNSLYMLPATPQVWRVQILL >ONI18941 pep chromosome:Prunus_persica_NCBIv2:G3:23925111:23931304:-1 gene:PRUPE_3G248800 transcript:ONI18941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSQSSVAQPEAILQWLQKEMGYRPLGPYSAASKSQLPSIDSLRKICRGNMIPIWNFLITRVKSENTVKNIRRNITVHGGGSGGGDSGALVKSGKEEGVRSKGGRRKEKLGEGSSAAETREAALQERDLASKEVEKLRNIVKRQRKDLKARMLEVSRAEAERKRMLDERSKKRHKQVMLDAYYQQCDEAEKIFAEYHKRLRYYVNQARDAQRSGVDSSLELVNSFSSSSEKEAVYSTLKGSKAADDVLLIETTRERNIRKACESLAAHMIEKIRNSFPAYEGSGVHLNPQLETAKLGFDFDGELPDEVRAAIVNGLKSPPQLLQAITSYTSRLKSLISREIEKIDVRADAETLRYKYENNRVIDVSSPDVSSPLHYQLYGNGKIGVDAPSRGTQLLERQKAHVQQFLATEDALNKAAEARDLCQKLIKRLHGNSDAVSSGTSQNVGSLRQLELEVWTKEREVAGLRASLNTLMSEIQRLNKLCAERKEAEDSLKKKWKKIEEFDSRRSELEIIYSALLKVNMDAAAFWNQQPLAAREYASTTIIPACTIVMDLSNSAKDLIEREVSAFDQSPDNSLYMLPATPQALLESMGASGSTGPEAVAAAEKNAAILTAKAGARDPSAIPSICRISAALQYPAGLEGSDTALASILESLEFCLKLRGSEASVLEDLAKAINLVHTRQDLVESGHVLLNHAYRAQQEYERTTSYCLNLAAEQEKTVMEKWLPELKVAILSAQKCLEDCNYVRGLLDEWWEQPAATVVDWVLVDGLNVAAWHNHVKQLLAFYDQEHL >ONI17352 pep chromosome:Prunus_persica_NCBIv2:G3:16719026:16719942:1 gene:PRUPE_3G153400 transcript:ONI17352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTNIKLTSQDDHPGSFSTMKARFWVGFAFSAERASSKSVPDLGSIRFPICTWMNLYTPSMYGIGGTKTTGLKSVHDHRVLFDCFSSASATIHELSWLAALKYLSL >ONI15899 pep chromosome:Prunus_persica_NCBIv2:G3:4898847:4901338:-1 gene:PRUPE_3G068000 transcript:ONI15899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHSTKSESDVTSLAPSSPSRSPKVPVYYVQSPSRDSHDGDKSSSMQATPIYNNSPMESPSHPSFGRHSRNSSASRFSGIFRSSSGRKGGRKPRNDKGWPECKVIMEEGAYDDFDKGLSRRVQVCIALLSFVMLFTIFCLIIWGASRPYNATVVVKSLEISNFYIGEGSDSSGVPTKMLTVNGSLRLSVHNSASMFGIHVSSAPIILAYSEISVATGQLKKYYQPRKSLRTVSVNLQGTKVPLYGAASSLTYTKTGGLVPIPLTLKLEIRSRAFVVGKLVKTKHRRRISCPLVLDPTFTKPIKFKKSSCTYE >ONI16441 pep chromosome:Prunus_persica_NCBIv2:G3:7544586:7547655:-1 gene:PRUPE_3G098300 transcript:ONI16441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAAALGLLSPFPFYYWLWTYPQTWVDLCGKGRDPCTVMAYVSHFLKLIQFLSLFSVSTFTWPPLLYFWPLIAFGQFLNFRVYQLLGESGTYYGVRFGKNIPWVTEFPFGYIKDPQYVGSILSLLACLWLVPFRYILLWTLGYVVMILVESKEDPTTRAKPPS >ONI19411 pep chromosome:Prunus_persica_NCBIv2:G3:25525216:25526269:-1 gene:PRUPE_3G278200 transcript:ONI19411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLTFAAAGGGLILMGAYEAISTSIQIPDQDSTPSSPPSSQSSNSRTQTSIQPRTSSIYYLAASVISLLFVLNSLVSFFDANDANDRVGSALQLQVIAIASLFLLYAITGLLVNFTNSTMPCSLLSLVGLFAFIEEFLLFYLRKKDNSGIENRYFDMLLVPIAVCIFSTMLEFNNPKSNYPKLARGVGLLLQGTWFLQMGISLYTNLIAHGCSLHEKSRGNYTVKCKGHPEYHRARAIVTLQFNCHLALLVILVVGVYSIIGKKTGGRGDVSSYKPLGAERHQFDNQSQFTLDSEDDDVDEEIKEEGNLAIQKAGEVELGMNGYGSHK >ONI18721 pep chromosome:Prunus_persica_NCBIv2:G3:22987731:22993046:1 gene:PRUPE_3G234300 transcript:ONI18721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQESSVKNLVTKVKQDLLASSQVDVYGLVPPSPYDTAWLSMVSNPQQSDQPLFQGCLDWVLQHQNRGGSWGENIAHPTIECLTSTLACIVALTTWNVGHDAIQKGLAFIHANTEKLLEEQNGSFLEWFAIVFPAMIELAETKGLRVYFSNGSTALVQQVFLERQQIFKTQRWESGCDQQQYYPAGMLKYLEDGLIQFPSAIAYAFMKTGNKEFFVRLNSIVQTCGYGVPTVYPFDEDLVKIHLIDQIERLGLAEHFMEEITSLLGQVYRSYISCEEPKSMAKHALPLQLYTHSLAFRLLRLHGYRVSPRKFCRFLEDEDIVTYIEEYHELFLSAMYNVYRATDVTFIGENQLKDARVFSRRILEKETIKNSANLIKHELSIPWLARLDHLEHRRCIERKETLGPWTGNALSYRLLSCQSNATLLQLAIENYTLRQSVFRNELKELERWSKDMGLADMGFARQKTTYCYFAVASTASNSSLSDVRLALVKGAILVTVADDFFDREGSMDELDALANAINRWEPKGLTGHGKTIFNALKDLVDDISGQFFNKNGYDIKAYLQDLWCQTIASWLKEAEWSRNGHAPSTVEYLQVATSSIASQTILLPAAFLLNPPPEIDILKCPQRQPLTNSLMLLTRLLNDIRSYQREQEEGKPNLVLLHMKENPNLGVEESIAIVQKTLDEKKKEFLELALSSNEMPEACKQLHLHCLKAFQMFFNSTNAFDSPTELLADINKAIFDSLRVEDVQGSFMPLNSMQGTLGLKRDKSLAIHGKSHYSSSKPCNGIFESNCAIKVQGNSRKGLVTKPYSRILSVKMRNPIIYPTFSNPKAYI >ONI19137 pep chromosome:Prunus_persica_NCBIv2:G3:24724359:24725982:-1 gene:PRUPE_3G261100 transcript:ONI19137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRSEFRLFFSPAVINLVSLGMNHQINIPVGNPLRSEASTSRERSTRELHEHIFSFIAMLAGLLQLKQGVFDTDYLTLVSLVVALIIFCGSLIGSTYIRQAHPNSDLAKLMDKISLPFGALALVFELVILCTGFRVSLPLHLDRLVCELCS >ONI19138 pep chromosome:Prunus_persica_NCBIv2:G3:24724073:24726554:-1 gene:PRUPE_3G261100 transcript:ONI19138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQINIPVGNPLRSEASTSRERSTRELHEHIFSFIAMLAGLLQLKQGVFDTDYLTLVSLVVALIIFCGSLIGSTYIRQAHPNSDLAKLMDKISLPFGALALVFELVILCTGFRVSLPLHLDRLVCELCS >ONI19136 pep chromosome:Prunus_persica_NCBIv2:G3:24724131:24726453:-1 gene:PRUPE_3G261100 transcript:ONI19136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFINLVSLGMNHQINIPVGNPLRSEASTSRERSTRELHEHIFSFIAMLAGLLQLKQGVFDTDYLTLVSLVVALIIFCGSLIGSTYIRQAHPNSDLAKLMDKISLPFGALALVFELVILCTGFRVSLPLHLDRLVCELCS >ONI16213 pep chromosome:Prunus_persica_NCBIv2:G3:6280169:6283636:1 gene:PRUPE_3G085100 transcript:ONI16213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSSGCLACHGKTTLKNSVNEPPKGLLNESRTINKPSISEDFWTTSTWDMDNSAVQSQGSMSSISTNQTLDPHGGSGSNTTPSEFVNHGLLLWNQTRQRWVGNKKSESPAKQIREPKLSWNASYESLLGSNKPFPQPIPLPEMVDFLVDVWEQEGLYD >ONI16214 pep chromosome:Prunus_persica_NCBIv2:G3:6280506:6283182:1 gene:PRUPE_3G085100 transcript:ONI16214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLACHGKTTLKNSVNEPPKGLLNESRTINKPSISEDFWTTSTWDMDNSAVQSQGSMSSISTNQTLDPHGGSGSNTTPSEFVNHGLLLWNQTRQRWVGNKKSESPAKQIREPKLSWNASYESLLGSNKPFPQPIPLPEMVDFLVDVWEQEGLYD >ONI16215 pep chromosome:Prunus_persica_NCBIv2:G3:6280198:6283264:1 gene:PRUPE_3G085100 transcript:ONI16215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSAVQSQGSMSSISTNQTLDPHGGSGSNTTPSEFVNHGLLLWNQTRQRWVGNKKSESPAKQIREPKLSWNASYESLLGSNKPFPQPIPLPEMVDFLVDVWEQEGLYD >ONI16212 pep chromosome:Prunus_persica_NCBIv2:G3:6280433:6283182:1 gene:PRUPE_3G085100 transcript:ONI16212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSSGCLACHGKTTLKNSVNEPPKGLLNESRTINKPSISEDFWTTSTWDMDNSAVQSQGSMSSISTNQTLDPHGGSGSNTTPSEFVNHGLLLWNQTRQRWVGNKKSESPAKQIREPKLSWNASYESLLGSNKPFPQPIPLPEMVDFLVDVWEQEGLYD >ONI16134 pep chromosome:Prunus_persica_NCBIv2:G3:5919173:5922833:1 gene:PRUPE_3G080200 transcript:ONI16134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGPTCQFFAPYLESGDNRHQLKPEFPNADKDFKDHSDIESHPFKKRKTSEVVLISTIPMAQNKVLHCNFKTRLCNNFKMGNCHYGQGCCFAHGISDLRKTWRHWQGLETEEGFKARTYDHRRTSNDVCRLFFNGGKCSYGDKCRYPHHVTPESIREKSAISISTTGAHMGGSGQFYSKRSLVESRSVQNSRTSSTSMSKRRMGIGATYHKQVQGMECNFKWNELEKMSRIYADWIEDIPLVHGSSSKAEC >ONI16136 pep chromosome:Prunus_persica_NCBIv2:G3:5919112:5922833:1 gene:PRUPE_3G080200 transcript:ONI16136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGPTCQFFAPYLESGDNRHQLKPEFPNADKDFKDHSDIESHPFKKRKTSEVVLISTIPMAQNKVLHCNFKTRLCNNFKMGNCHYGQGCCFAHGISDLRKTWRHWQGLETEEGFKARTYDHRRTSNDVCRLFFNGGKCSYGDKCRYPHHVTPESIREKSAISISTTGAHMGGSGQFYSKRSLVESRSVQNSRTSSTSMSKRRMGIGATYHKQVQGMECNFKWNELEKMSRIYADWIEDIPLVHGSSSKAEC >ONI16135 pep chromosome:Prunus_persica_NCBIv2:G3:5919135:5923158:1 gene:PRUPE_3G080200 transcript:ONI16135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGPTCQFFAPYLESGDNRHQLKPEFPNADKDFKDHSDIESHPFKKRKTSEVVLISTIPMAQNKVLHCNFKTRLCNNFKMGNCHYGQGCCFAHGISDLRKTWRHWQGLETEEGFKARTYDHRRTSNDVCRLFFNGGKCSYGDKCRYPHHVTPESIREKSAISISTTGAHMGGSGQFYSKRSLVESRSVQNSRTSSTSMSKRRMGIGATYHKQVQGMECNFKWNELEKMSRIYADWIEDIPLVHGSSSKAEC >ONI15517 pep chromosome:Prunus_persica_NCBIv2:G3:3344397:3345766:1 gene:PRUPE_3G047100 transcript:ONI15517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKPGFNKEVLMDILLRLPPKPLVRFLCSCKFWNDLISSSLFVTTHFNLNATRRDNIFLLCLHHQDFERKFDVDDPFVKQDLQWSLFSNETFEQRFKLKHPLGSTEHYGIYGSSNGVLCISDEILKPKSRIHIWNPTIGKYRTVPLSITDDTKFGYIALQFGFHPGVNDYKVVRMMCMDNKAFAVEVYSLATNSWKMIEDVPPWLKCTWEHHQSTFLNGVTYTIIDKCPIITIVSFDLGSEKFEEFVLPDAIWGIWGLQIGIYKEQICLLYGNYCCEEEGMDKNDFWVLQKKGWKKLRPFVFSSDRCYSTMGISVDDELLLEKNDFTVGAADLYLCNYESRQIRETGIKLAYMRYGQNELLFAITYVETLGFLDY >ONI15057 pep chromosome:Prunus_persica_NCBIv2:G3:1717932:1726082:1 gene:PRUPE_3G023300 transcript:ONI15057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGLTRMVESGRGKKWPSSKDAATFGSALISMAAEDSSCISKGRSFRRDRAEVVPNRSGSAPPSMEGSFSSIENLLSQHNSRMGTSSTNLSSIVNNVEFDEHLRSDPAYLAYYLSNMNLNASLPPPLILRENHQMVRQIGGLGTNRRLPSLDDSSNGSLHLSQGSLSIHKEDPTDARSATISKDNLAENSGAVMPVKNTASLASYNKSLVDLIQQDFPRTPSPVYNQSLPSSLGTTDEQTDTDVHSISPNASSLNKSKLPEPNAGSTNDCSDTSSLDAHAVGYIPNDVPLATTTPSIQHRDATGNLQQDESNFEHDDGLGNNASISGELGLDLSRVRASNVDINNNKQNEKQSYGRYVPQDQLSTQQSVPYQLKGVQTQLVSQGMNHLQSGMENLPHGYPKFSSIDIQPSLHSPGFTPPLYATTAAYMTSGNPFYPNYQPSGIFPAQYGAGGYALGSTFLPSYMPGYASHGSFPMPFDATSGPSFNGRTADVSRGERIPHGGDMQYPSRFYGQHGPMLQPPFSDPLNMQYYPRPLEDAYGASSQYGHLASRVIGGQLSQQELYSTAYTGDAYTGDQNFQSSSIGNLGIPSPRKVGINGSGYYGNNSTMPIMTQFPASPLGSPILPSSPMGRTNHLGRKNEIRFPQGSISGVYSGWQGPRSFSSDDPKRHSFLEELKTSNPRKFELSDIAGRIVEFSVDQHGSRFIQQKLEYCTAEDKASVFKEILPRASKLMTDVFGNYVIQKFFEYGSAEERKELADQLAGQMLPLSLQMYGCRVIQKALEVIELDQKTQLVHELDGHVLKCVRDQNGNHVIQKCIECIPTEKIGFIISAFRGQVSTLSTHPYGCRVIQHVLERGKPYERSQIISKLIGKIVQLSQHKYASNVVEKCLEHGDVAERELLIEEIIGQMEENDSLLPMMKDQFANYVVQKVLETSNDRQRETLLNLIRVHIDALKKYTYGKHIVVRFEQLSGEDGQTSEAEGA >ONI15056 pep chromosome:Prunus_persica_NCBIv2:G3:1717932:1726103:1 gene:PRUPE_3G023300 transcript:ONI15056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGLTRMVESGRGKKWPSSKDAATFGSALISMAAEDSSCISKGRSFRRDRAEVVPNRSGSAPPSMEGSFSSIENLLSQHNSRMGTSSTNLSSIVNNVEFDEHLRSDPAYLAYYLSNMNLNASLPPPLILRENHQMVRQIGGLGTNRRLPSLDDSSNGSLHLSQGSLSIHKEDPTDARSATISKDNLAENSGAVMPVKNTASLASYNKSLVDLIQQDFPRTPSPVYNQSLPSSLGTTDEQTDTDVHSISPNASSLNKSKLPEPNAGSTNDCSDTSSLDAHAVGYIPNDVPLATTTPSIQHRDATGNLQQDESNFEHDDGLGNNASISGELGLDLSRVRASNVDINNNKQNEKQSYGRYVPQDQLSTQQSVPYQLKGVQTQLVSQGMNHLQSGMENLPHGYPKFSSIDIQPSLHSPGFTPPLYATTAAYMTSGNPFYPNYQPSGIFPAQYGAGGYALGSTFLPSYMPGYASHGSFPMPFDATSGPSFNGRTADVSRGERIPHGGDMQYPSRFYGQHGPMLQPPFSDPLNMQYYPRPLEDAYGASSQYGHLASRVIGGQLSQQELYSTAYTGDAYTGDQNFQSSSIGNLGIPSPRKVGINGSGYYGNNSTMPIMTQFPASPLGSPILPSSPMGRTNHLGRKNEIRFPQGSISGVYSGWQGPRSFSSDDPKRHSFLEELKTSNPRKFELSDIAGRIVEFSVDQHGSRFIQQKLEYCTAEDKASVFKEILPRASKLMTDVFGNYVIQKFFEYGSAEERKELADQLAGQMLPLSLQMYGCRVIQKALEVIELDQKTQLVHELDGHVLKCVRDQNGNHVIQKCIECIPTEKIGFIISAFRGQVSTLSTHPYGCRVIQRVLEHCSDDIQSQSIVDEILESSYTLAQDQYGNYVTQHVLERGKPYERSQIISKLIGKIVQLSQHKYASNVVEKCLEHGDVAERELLIEEIIGQMEENDSLLPMMKDQFANYVVQKVLETSNDRQRETLLNLIRVHIDALKKYTYGKHIVVRFEQLSGEDGQTSEAEGA >ONI15055 pep chromosome:Prunus_persica_NCBIv2:G3:1717932:1726082:1 gene:PRUPE_3G023300 transcript:ONI15055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGLTRMVESGRGKKWPSSKDAATFGSALISMAAEDSSCISKGRSFRRDRAEVVPNRSGSAPPSMEGSFSSIENLLSQHNSRMGTSSTNLSSIVNNVEFDEHLRSDPAYLAYYLSNMNLNASLPPPLILRENHQMVRQIGGLGTNRRLPSLDDSSNGSLHLSQGSLSIHKEDPTDARSATISKDNLAENSGAVMPVKNTASLASYNKSLVDLIQQDFPRTPSPVYNQSLPSSLGTTDEQTDTDVHSISPNASSLNKSKLPEPNAGSTNDCSDTSSLDAHAVGYIPNDVPLATTTPSIQHRDATGNLQQDESNFEHDDGLGNNASISGELGLDLSRVRASNVDINNNKQNEKQSYGRYVPQDQLSTQQSVPYQLKGVQTQLVSQGMNHLQSGMENLPHGYPKFSSIDIQPSLHSPGFTPPLYATTAAYMTSGNPFYPNYQPSGIFPAQYGAGGYALGSTFLPSYMPGYASHGSFPMPFDATSGPSFNGRTADVSRGERIPHGGDMQYPSRFYGQHGPMLQPPFSDPLNMQYYPRPLEDAYGASSQYGHLASRVIGGQLSQQELYSTAYTGDAYTGDQNFQSSSIGNLGIPSPRKVGINGSGYYGNNSTMPIMTQFPASPLGSPILPSSPMGRTNHLGRKNEIRFPQGSISGVYSGWQGPRSFSSDDPKRHSFLEELKTSNPRKFELSDIAGRIVEFSVDQHGSRFIQQKLEYCTAEDKASVFKEILPRASKLMTDVFGNYVIQKFFEYGSAEERKELADQLAGQMLPLSLQMYGCRVIQKALEVIELDQKTQLVHELDGHVLKCVRDQNGNHVIQKCIECIPTEKIGFIISAFRGQVSTLSTHPYGCRVIQRVLEHCSDDIQSQSIVDEILESSYTLAQDQYGNYVTQHVLERGKPYERSQIISKLIGKIVQLSQHKYASNVVEKCLEHGDVAERELLIEEIIGQMEENDSLLPMMKDQFANYVVQKVLETSNDRQRETLLNLIRVHIDALKKYTYGKHIVVRFEQLSGEDGQTSEAEGA >ONI15142 pep chromosome:Prunus_persica_NCBIv2:G3:2032567:2037068:1 gene:PRUPE_3G027400 transcript:ONI15142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLPSTIMSRHDIISVPQSETTKEVTQSYCTPKSQMHDFLGSKSEGRSLAASECSSARLSPFIRAESLGSPTNIRGSSVQHSQNTFSRSSVFCTSLYQSSSSSSETSRQLGNLPFLPHPPTYSQSISAVDSKSPFLLSDNMSNQYDDEQSEDLMKDFLNLHGDGSHGSFHGISCGSDTLALTEQLELQFLSDQLDMAITDNGENPGLDEIYEIPQASPKPAIGLTYSKSCRLTTLPVDALSSHPSPGPSPAHRPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKVMNVEGLTIYHVKSHLQKYRLAKYMPEKREDKAASSSEEKKAASSSSESDGRRKGSIQITEALRMQMEVQKQLHEQLEVQRALQLRIEDHAKYLQKILEEQQKAGSALLSPQALSSLTTNSIQEPEQQPSSSAGVSPTQPAESDSSSPQSLKHKATDSSESEPPACTKKQRLEEKPDEGVVENPQQ >ONI15138 pep chromosome:Prunus_persica_NCBIv2:G3:2032224:2037068:1 gene:PRUPE_3G027400 transcript:ONI15138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLPSTIMSRHDIISVPQSETTKEVTQSYCTPKSQMHDFLGSKSEGRSLAASECSSARLSPFIRAESLGSPTNIRGSSVQHSQNTFSRSSVFCTSLYQSSSSSSETSRQLGNLPFLPHPPTYSQSISAVDSKSPFLLSDNMSNQYDDEQSEDLMKDFLNLHGDGSHGSFHGISCGSDTLALTEQLELQFLSDQLDMAITDNGENPGLDEIYEIPQASPKPAIGLTYSKSCRLTTLPVDALSSHPSPGPSPAHRPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKVMNVEGLTIYHVKSHLQKYRLAKYMPEKREDKAASSSEEKKAASSSSESDGRRKGSIQITEALRMQMEVQKQLHEQLEVQRALQLRIEDHAKYLQKILEEQQKAGSALLSPQALSSLTTNSIQEPEQQPSSSAGVSPTQPAESDSSSPQSLKHKATDSSESEPPACTKKQRLEEKPDEGVVENPQQ >ONI15136 pep chromosome:Prunus_persica_NCBIv2:G3:2032049:2037105:1 gene:PRUPE_3G027400 transcript:ONI15136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLPSTIMSRHDIISVPQSETTKEVTQSYCTPKSQMHDFLGSKSEGRSLAASECSSARLSPFIRAESLGSPTNIRGSSVQHSQNTFSRSSVFCTSLYQSSSSSSETSRQLGNLPFLPHPPTYSQSISAVDSKSPFLLSDNMSNQYDDEQSEDLMKDFLNLHGDGSHGSFHGISCGSDTLALTEQLELQFLSDQLDMAITDNGENPGLDEIYEIPQASPKPAIGLTYSKSCRLTTLPVDALSSHPSPGPSPAHRPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKVMNVEGLTIYHVKSHLQKYRLAKYMPEKREDKAASSSEEKKAASSSSESDGRRKGSIQITEALRMQMEVQKQLHEQLEVQRALQLRIEDHAKYLQKILEEQQKAGSALLSPQALSSLTTNSIQEPEQQPSSSAGVSPTQPAESDSSSPQSLKHKATDSSESEPPACTKKQRLEEKPDEGVVENPQQ >ONI15133 pep chromosome:Prunus_persica_NCBIv2:G3:2032578:2037068:1 gene:PRUPE_3G027400 transcript:ONI15133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLPSTIMSRHDIISVPQSETTKEVTQSYCTPKSQMHDFLGSKSEGRSLAASECSSARLSPFIRAESLGSPTNIRGSSVQHSQNTFSRSSVFCTSLYQSSSSSSETSRQLGNLPFLPHPPTYSQSISAVDSKSPFLLSDNMSNQYDDEQSEDLMKDFLNLHGDGSHGSFHGISCGSDTLALTEQLELQFLSDQLDMAITDNGENPGLDEIYEIPQASPKPAIGLTYSKSCRLTTLPVDALSSHPSPGPSPAHRPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKVMNVEGLTIYHVKSHLQKYRLAKYMPEKREDKAASSSEEKKAASSSSESDGRRKGSIQITEALRMQMEVQKQLHEQLEVQRALQLRIEDHAKYLQKILEEQQKAGSALLSPQALSSLTTNSIQEPEQQPSSSAGVSPTQPAESDSSSPQSLKHKATDSSESEPPACTKKQRLEEKPDEGVVENPQQ >ONI15135 pep chromosome:Prunus_persica_NCBIv2:G3:2032267:2037068:1 gene:PRUPE_3G027400 transcript:ONI15135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLPSTIMSRHDIISVPQSETTKEVTQSYCTPKSQMHDFLGSKSEGRSLAASECSSARLSPFIRAESLGSPTNIRGSSVQHSQNTFSRSSVFCTSLYQSSSSSSETSRQLGNLPFLPHPPTYSQSISAVDSKSPFLLSDNMSNQYDDEQSEDLMKDFLNLHGDGSHGSFHGISCGSDTLALTEQLELQFLSDQLDMAITDNGENPGLDEIYEIPQASPKPAIGLTYSKSCRLTTLPVDALSSHPSPGPSPAHRPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKVMNVEGLTIYHVKSHLQKYRLAKYMPEKREDKAASSSEEKKAASSSSESDGRRKGSIQITEALRMQMEVQKQLHEQLEVQRALQLRIEDHAKYLQKILEEQQKAGSALLSPQALSSLTTNSIQEPEQQPSSSAGVSPTQPAESDSSSPQSLKHKATDSSESEPPACTKKQRLEEKPDEGVVENPQQ >ONI15139 pep chromosome:Prunus_persica_NCBIv2:G3:2032813:2037068:1 gene:PRUPE_3G027400 transcript:ONI15139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLPSTIMSRHDIISVPQSETTKEVTQSYCTPKSQMHDFLGSKSEGRSLAASECSSARLSPFIRAESLGSPTNIRGSSVQHSQNTFSRSSVFCTSLYQSSSSSSETSRQLGNLPFLPHPPTYSQSISAVDSKSPFLLSDNMSNQYDDEQSEDLMKDFLNLHGDGSHGSFHGISCGSDTLALTEQLELQFLSDQLDMAITDNGENPGLDEIYEIPQASPKPAIGLTYSKSCRLTTLPVDALSSHPSPGPSPAHRPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKVMNVEGLTIYHVKSHLQKYRLAKYMPEKREDKAASSSEEKKAASSSSESDGRRKGSIQITEALRMQMEVQKQLHEQLEVQRALQLRIEDHAKYLQKILEEQQKAGSALLSPQALSSLTTNSIQEPEQQPSSSAGVSPTQPAESDSSSPQSLKHKATDSSESEPPACTKKQRLEEKPDEGVVENPQQ >ONI15140 pep chromosome:Prunus_persica_NCBIv2:G3:2032571:2037068:1 gene:PRUPE_3G027400 transcript:ONI15140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLPSTIMSRHDIISVPQSETTKEVTQSYCTPKSQMHDFLGSKSEGRSLAASECSSARLSPFIRAESLGSPTNIRGSSVQHSQNTFSRSSVFCTSLYQSSSSSSETSRQLGNLPFLPHPPTYSQSISAVDSKSPFLLSDNMSNQYDDEQSEDLMKDFLNLHGDGSHGSFHGISCGSDTLALTEQLELQFLSDQLDMAITDNGENPGLDEIYEIPQASPKPAIGLTYSKSCRLTTLPVDALSSHPSPGPSPAHRPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKVMNVEGLTIYHVKSHLQKYRLAKYMPEKREDKAASSSEEKKAASSSSESDGRRKGSIQITEALRMQMEVQKQLHEQLEVQRALQLRIEDHAKYLQKILEEQQKAGSALLSPQALSSLTTNSIQEPEQQPSSSAGVSPTQPAESDSSSPQSLKHKATDSSESEPPACTKKQRLEEKPDEGVVENPQQ >ONI15134 pep chromosome:Prunus_persica_NCBIv2:G3:2032569:2037068:1 gene:PRUPE_3G027400 transcript:ONI15134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLPSTIMSRHDIISVPQSETTKEVTQSYCTPKSQMHDFLGSKSEGRSLAASECSSARLSPFIRAESLGSPTNIRGSSVQHSQNTFSRSSVFCTSLYQSSSSSSETSRQLGNLPFLPHPPTYSQSISAVDSKSPFLLSDNMSNQYDDEQSEDLMKDFLNLHGDGSHGSFHGISCGSDTLALTEQLELQFLSDQLDMAITDNGENPGLDEIYEIPQASPKPAIGLTYSKSCRLTTLPVDALSSHPSPGPSPAHRPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKVMNVEGLTIYHVKSHLQKYRLAKYMPEKREDKAASSSEEKKAASSSSESDGRRKGSIQITEALRMQMEVQKQLHEQLEVQRALQLRIEDHAKYLQKILEEQQKAGSALLSPQALSSLTTNSIQEPEQQPSSSAGVSPTQPAESDSSSPQSLKHKATDSSESEPPACTKKQRLEEKPDEGVVENPQQ >ONI15143 pep chromosome:Prunus_persica_NCBIv2:G3:2032223:2037068:1 gene:PRUPE_3G027400 transcript:ONI15143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLPSTIMSRHDIISVPQSETTKEVTQSYCTPKSQMHDFLGSKSEGRSLAASECSSARLSPFIRAESLGSPTNIRGSSVQHSQNTFSRSSVFCTSLYQSSSSSSETSRQLGNLPFLPHPPTYSQSISAVDSKSPFLLSDNMSNQYDDEQSEDLMKDFLNLHGDGSHGSFHGISCGSDTLALTEQLELQFLSDQLDMAITDNGENPGLDEIYEIPQASPKPAIGLTYSKSCRLTTLPVDALSSHPSPGPSPAHRPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKVMNVEGLTIYHVKSHLQKYRLAKYMPEKREDKAASSSEEKKAASSSSESDGRRKGSIQITEALRMQMEVQKQLHEQLEVQRALQLRIEDHAKYLQKILEEQQKAGSALLSPQALSSLTTNSIQEPEQQPSSSAGVSPTQPAESDSSSPQSLKHKATDSSESEPPACTKKQRLEEKPDEGVVENPQQ >ONI15137 pep chromosome:Prunus_persica_NCBIv2:G3:2032296:2037068:1 gene:PRUPE_3G027400 transcript:ONI15137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLPSTIMSRHDIISVPQSETTKEVTQSYCTPKSQMHDFLGSKSEGRSLAASECSSARLSPFIRAESLGSPTNIRGSSVQHSQNTFSRSSVFCTSLYQSSSSSSETSRQLGNLPFLPHPPTYSQSISAVDSKSPFLLSDNMSNQYDDEQSEDLMKDFLNLHGDGSHGSFHGISCGSDTLALTEQLELQFLSDQLDMAITDNGENPGLDEIYEIPQASPKPAIGLTYSKSCRLTTLPVDALSSHPSPGPSPAHRPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKVMNVEGLTIYHVKSHLQKYRLAKYMPEKREDKAASSSEEKKAASSSSESDGRRKGSIQITEALRMQMEVQKQLHEQLEVQRALQLRIEDHAKYLQKILEEQQKAGSALLSPQALSSLTTNSIQEPEQQPSSSAGVSPTQPAESDSSSPQSLKHKATDSSESEPPACTKKQRLEEKPDEGVVENPQQ >ONI15141 pep chromosome:Prunus_persica_NCBIv2:G3:2032296:2037105:1 gene:PRUPE_3G027400 transcript:ONI15141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLPSTIMSRHDIISVPQSETTKEVTQSYCTPKSQMHDFLGSKSEGRSLAASECSSARLSPFIRAESLGSPTNIRGSSVQHSQNTFSRSSVFCTSLYQSSSSSSETSRQLGNLPFLPHPPTYSQSISAVDSKSPFLLSDNMSNQYDDEQSEDLMKDFLNLHGDGSHGSFHGISCGSDTLALTEQLELQFLSDQLDMAITDNGENPGLDEIYEIPQASPKPAIGLTYSKSCRLTTLPVDALSSHPSPGPSPAHRPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKVMNVEGLTIYHVKSHLQKYRLAKYMPEKREDKAASSSEEKKAASSSSESDGRRKGSIQITEALRMQMEVQKQLHEQLEVQRALQLRIEDHAKYLQKILEEQQKAGSALLSPQALSSLTTNSIQEPEQQPSSSAGVSPTQPAESDSSSPQSLKHKATDSSESEPPACTKKQRLEEKPDEGVVENPQQ >ONI15380 pep chromosome:Prunus_persica_NCBIv2:G3:2906184:2910476:-1 gene:PRUPE_3G040600 transcript:ONI15380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNHAETTGAQSFISTTDDAERPAAPTAQPFRFTYWESTEPPALTIIINDNGLLELLQVVVSIAEAGSPIKPSAFYDSFLKHVRFLVMFAVFLLGRYVSHIPLYLVFFCISVISLLFLYIYIHYVPFLILEEDIMWIRRELRLLNAILEDAAKVAGLRDQIDTRTIQILDRRIYCDEDTYSGEATIQGLKDVEAKWVAKTRSVVKRANALVAAFEKQRRRDSPMIHRICFGTISEKVKQVEWLITETKQLKEEINERIKMKVDRGINICESLEGSISKMRSLVDRPSPIYESSRQQKWVESKRQVEASARLTFSIAQKMNNLMTQEPDEQIHSIYLQLMLLYPFLKDIEEFCFESEIEEAWVEEIEEVIKQAQPAIESFLLQKPEHQFKWLSIIDNWKARRKLKEDIRCIDSRFTELLERKERYGFRFMRRGPSKFVNESRDGIISPVPRMIHSYLRAKPDISREVHGKLGLLCTHLHDMNKLVDDAEMIERRYISRMAWLEQVSNIVKRAENSVQTFMKSSKNVRIKQIQFRTNAETMLWREIGQMENTVNLVTRTIKAYNITFMKESNSAVGLEEDVHELVSRLTTNNEHHRFISIFGIEGIGKTTLAKEIYNHADVATHFPQRVWVSLPGESIESWVQKVRDNERYLIILDNISTKEELEAAREVESQVASPSGSRILLTIRDTNLVPEISSPPHHLRLRTKEESWKLLNQMVHFRPKEEVKAKEILGKCGGFPLAIVRLGYLIYWNAVNDVEELERMIKQKEKPMLETYPTIESIKGSLPESDLHMFKCLSYLKLFPMEIEIPARRLIALWIAEGVVKVEKKTESPESEDVANVYPESPESEDVANEYLTELINRDMIQVVERKLNGTVKTCCLPYSLRELELYKSSGWIVNFERNNPSTTSIPGKSSRNDYRGLPSILTFDTREEEAGNFLRKGGGFRRLQVLDLERLFIPKLPDTLVKLRQLRYLGLRWTCLGSIPSSIGKLVKLQTLDMKHTSVRTLPRSIWKLQELRNLYLNQNCRSRLEHHQGGNSLQNLRTLWGLFVDKDSPLKDGLDKVTNLRKLGLAFQLGQEEQKALANWIKKLKYLKSLRIRSIGKTGEPCDLMCMPLKDLNHLSSLNLFGKLTSSTIAECEFLRNLTDLTLSASSLKDDPMHKLGRLPNLKLLCFYSDSYIGEKMHCLADGFPQLLVLRLWNLVNLVVWDVEEKAMQKLRELEIRSCKNLEILTGLEHLKTLLELKLTDMPQALAEKFAKNKRQLWDDVLHSPAIIAAN >ONI19840 pep chromosome:Prunus_persica_NCBIv2:G3:26554278:26555247:-1 gene:PRUPE_3G300700 transcript:ONI19840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNQAAVSFLTNLARAAFGLGAGATIVNSSLYTVDGGQRAVLFDRFRGVIDDTVGEGTHFLIPWLQKPYIFDIRTRPHTFSSVSGTKDLQMVNLTLRVLSRPEVSRLPEIFKTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLIRRAKDFNIVLDDVAITHLSYGMEFSRAVEQKQVAQQEAERSKFVVAKTEQERRAAIIRAQGESEAAKLISDATASAGLGLIELRRIEASREVAATLARSPNVSYLPGGKNMLFALNPR >ONI19839 pep chromosome:Prunus_persica_NCBIv2:G3:26552517:26555304:-1 gene:PRUPE_3G300700 transcript:ONI19839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNQAAVSFLTNLARAAFGLGAGATIVNSSLYTVDGGQRAVLFDRFRGVIDDTVGEGTHFLIPWLQKPYIFDIRTRPHTFSSVSGTKDLQMVNLTLRVLSRPEVSRLPEIFKTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLIRRAKDFNIVLDDVAITHLSYGMEFSRAVEQKQVAQQEAERSKFVVAKTEQERRAAIIRAQGESEAAKLISDATASAGLGLIELRRIEASREVAATLARSPNVSYLPGGKNMLFALNPR >ONI18950 pep chromosome:Prunus_persica_NCBIv2:G3:23939556:23942426:-1 gene:PRUPE_3G249100 transcript:ONI18950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSAAKKLIQIDIVSDTVCPWCFVGKKNLDKALEASRDRYDFEVRWHPFQLNPNAPKEGVDKKRYYEEKFGSGMSGRMESRMSEIFRGHGLEYNLSGLTGNTLDSHRLIYFAGLQGHEKQHELVGELFLGYFTQEKYIGDREFLLESARKIGVEGAAEFLEDPNNGLNEVNEELKKYTKDINGVPHFLINNGKQELSGGQPPEVFLRAFEAATK >ONI18947 pep chromosome:Prunus_persica_NCBIv2:G3:23939750:23942036:-1 gene:PRUPE_3G249100 transcript:ONI18947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVCGFILKPSIFKTLHKTMAGGSAAKKLIQIDIVSDTVCPWCFVGKKNLDKALEASRDRYDFEIFRGHGLEYNLSGLTGNTLDSHRLIYFAGLQGHEKQHELVGELFLGYFTQEKYIGDREFLLESARKIGVEGAAEFLEDPNNGLNEVNEELKKYTKDINGVPHFLINNGKQELSGGQPPEVFLRAFEAATK >ONI18949 pep chromosome:Prunus_persica_NCBIv2:G3:23939751:23942036:-1 gene:PRUPE_3G249100 transcript:ONI18949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSAAKKLIQIDIVSDTVCPWCFVGKKNLDKALEASRDRYDFEVRWHPFQLNPNAPKEGVDKKRYYEEKFGSGMSGRMESRMSEIFRGHGLEYNLSGLTGNTLDSHRLIYFAGLQGHEKQHELVGELFLGYFTQEKYIGDREFLLESARKIGVEGAAEFLEDPNNGLNEVNEELKKYTKDINGVPHFLINNGKQELSGGQPPEVFLRAFEAATK >ONI18951 pep chromosome:Prunus_persica_NCBIv2:G3:23940292:23941845:-1 gene:PRUPE_3G249100 transcript:ONI18951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSAAKKLIQIDIVSDTVCPWCFVGKKNLDKALEASRDRYDFEVRWHPFQLNPNAPKEGVDKKRYYEEKFGSGMSGRMESRMSEIFRGHGLEYNLSGLTGNTLDSHRLIYFAGLQGHEKQHELVGELFLGYFTQEKYIGDREFLLESARKIGVEGAAEFLEDPNNGLNEVCSLIPLCHYFWLSI >ONI18948 pep chromosome:Prunus_persica_NCBIv2:G3:23939538:23942036:-1 gene:PRUPE_3G249100 transcript:ONI18948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVCGFILKPSIFKTLHKTMAGGSAAKKLIQIDIVSDTVCPWCFVGKKNLDKALEASRDRYDFEVRWHPFQLNPNAPKEGVDKKRYYEEKFGSGMSGRMESRMSEIFRGHGLEYNLSGLTGNTLDSHRLIYFAGLQGHEKQHELVGELFLGYFTQEKYIGDREFLLESARKIGVEGAAEFLEDPNNGLNEVNEELKKYTKDINGVPHFLINNGKQELSGGQPPEVFLRAFEAATK >ONI19596 pep chromosome:Prunus_persica_NCBIv2:G3:25895974:25896810:-1 gene:PRUPE_3G286600 transcript:ONI19596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSTLTLGTPSYLNTPATSSFPPNTPKTTLQFPFNPQNPSLTHRATHLRPLAAVEAPEKIEKLGADISSLTLEEARILVDFLQDKLGVSAAALAPAAAVAAAPGAAEGPAVVEEKTEFDVVIEEVPSNARIAVIKAVRALTSLALKEAKELIEGLPKKFREGISKDEADEAKKQLEEAGAKVAIV >ONI18724 pep chromosome:Prunus_persica_NCBIv2:G3:23019016:23024267:1 gene:PRUPE_3G234500 transcript:ONI18724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQESLVKNLLMKVKQDHLASSEFDVYSLVPPSPYDTAWLSMVPNPQQSDQPLFQGCLDWVLQHQNRGGFWGENIAHPTIECLTSTLACIVALTTWNVGHDAIQKGLAFIHGNTEKLLEEQNGSFPEWFAIVFPAMIELAETKGLHVYFSNGLVEQVFLERQEILQTRRWVSGCGRQQNYPALMLKYHEDGLIQSPSAIAHAFMKTGNKEFLVKLNSIVQTCGYGVPAVYPLDEDLVKILLINQIETLGLAEHFMEEITSLLGQVYRSYISCEEPKSMAKNAMPIQLYKHSLAFRLLRLYGYRVSPRNFCRFLEDEDIVAYIEEHRELFLSAMYNVYRATDVTFTGENQLEDTRAFSRRILEKETMKDCMNLVRPISMTNLQGQIKHELSIPWLARLDHLEHRRCIEREESLGPWTANALSYRLSSQSNATLLQLAIENYSLRQSIFRNELKELERWSKEMGLAAMGFARQKTTYCHFAVASTASNSSLSDVRLAVVKSAILVTVADDFFDREGSMDELEALANAVNRWEPKGLTGHGKTIFNALKDFVDDISGKFFNKNGYDIKAYLQDLWCQTFASWLKEAEWSRNGHAPSTVEYLQVAATSIASHTILLPAAFLLYPPPEIDILKKRQPLTNSLMLLTRLLNEIRSYQKEQEEGKPNLVLLYMKENPNLGVEESIAIIQKTLDEKKKEFLELALISDEMPEACKQLHLHCLKAFQMFFNSTNAFDSPTELLAHINKAIFDPLRVEDVQGSFMPLNSFQSTLGLKKDKSLASHGKSHYSSSKTCNGFFKSTCAIKLQGNSRKDLVTKPYSRILSLEMRNPTIYTTFSKPKAYIY >ONI19088 pep chromosome:Prunus_persica_NCBIv2:G3:24535880:24541053:1 gene:PRUPE_3G258200 transcript:ONI19088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHFSPSMRSITISSSNGFIDLMKIKVAARHISYRTVFHTILILAFLLPFVFILTAVVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVRDFYKILNQVNTEEIPAGLKLPDSFNQLVSEMKNNQYDARTFAFMLRAMMENFEREIRESKFSELMNKHFAASSVPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSYHHFILSTDNILAASVVVVSAVQSSLKPEKIVFHIITDKKTYAGMHSWFALNPVSPAIVEVKGVHQFDWLTRENVPVLEAVENQNGIRNYYHGNHIAGANLSATTPRTFASKLQARSPKYISLLNHLRIYIPELFPNLDKVVFLDDDVVIQRDLSPLWEIDLGGKVNGAVETCRGEDEWVMSKRFRNYFNFSHPLVAKNLDPEECAWAYGMNIFDLSTWRKTSIRETYHSWLKENLKSNLTMWKLGTLPPALIAFGGHVHPIDPSWHMLGLGYQNKTNLESVARAAVIHYNGQSKPWLQIGFEHLRPFWTKYINYSNDFVRNCHILDS >ONI19539 pep chromosome:Prunus_persica_NCBIv2:G3:25770198:25771032:-1 gene:PRUPE_3G283900 transcript:ONI19539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKPAKRKPVFKKVDQLKPDTKGHTLVVKVVSSKMVLQKARPDGIQVRQMRIAECLVGDETGTIIFTARNDQVDLMTTGATVILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFAVKEENNLSLVEYELIDVNELANAGAREVVSVVEK >ONI19536 pep chromosome:Prunus_persica_NCBIv2:G3:25769750:25771910:-1 gene:PRUPE_3G283900 transcript:ONI19536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKPAKRKPVFKKVDQLKPDTKGHTLVVKVVSSKMVLQKARPDGIQVRQMRIAECLVGDETGTIIFTARNDQVDLMTTGATVILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFAVKEENNLSLVEYELIDVNELANAGAREVTS >ONI19538 pep chromosome:Prunus_persica_NCBIv2:G3:25770198:25771032:-1 gene:PRUPE_3G283900 transcript:ONI19538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKPAKRKPVFKKVDQLKPDTKGHTLVVKVVSSKMVLQKARPDGIQVRQMRIAECLVGDETGTIIFTARNDQVDLMTTGATVILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFAVKEENNLSLVEYELIDVNELANAGAREVVSVVEK >ONI19537 pep chromosome:Prunus_persica_NCBIv2:G3:25769646:25771927:-1 gene:PRUPE_3G283900 transcript:ONI19537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKPAKRKPVFKKVDQLKPDTKGHTLVVKVVSSKMVLQKARPDGIQVRQMRIAECLVGDETGTIIFTARNDQVDLMTTGATVILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFAVKEENNLSLVEYELIDVNELANAGAREVTS >ONI19535 pep chromosome:Prunus_persica_NCBIv2:G3:25769750:25771131:-1 gene:PRUPE_3G283900 transcript:ONI19535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKPAKRKPVFKKVDQLKPDTKGHTLVVKVVSSKMVLQKARPDGIQVRQMRIAECLVGDETGTIIFTARNDQVDLMTTGATVILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFAVKEENNLSLVEYELIDVNELANAGAREVTS >ONI17329 pep chromosome:Prunus_persica_NCBIv2:G3:16584216:16585144:-1 gene:PRUPE_3G151800 transcript:ONI17329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTCLSSWESSLSLSLSLSLSLSNNARTSIFCRRQIKKRTSRGSTHDSPYPTQLVRLQRSKSILTTSSGNCGV >ONI17123 pep chromosome:Prunus_persica_NCBIv2:G3:14687588:14688871:-1 gene:PRUPE_3G139200 transcript:ONI17123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKWVELLSYRELKIWFVNKPFVKLRTRDSASLSLVRDSSSLSLSFAYATSPLLRLTSIAPRQAFAKKYKLPHTLLSDEGNKVRKEWGVPSDLFGTLPGRQTYVLDKDGVVQLIYNNQFQPEKLIDETLKFLQSL >ONI17972 pep chromosome:Prunus_persica_NCBIv2:G3:20231833:20238578:1 gene:PRUPE_3G189100 transcript:ONI17972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKEVATKPHAVCIPVPAQSHIKAMLKFAKLLHHRGFHITFVNTEFNHKRFLKSLGPNALDGLPDFQFEAIPDSLPDSDEDATQDVTLLCESIRKQNFLAPFLALLAKLNNDAISTSSNPPVTCIVVRVLGSDNPPVTCIVSDGFMSTFTITAAEEIGVPIVLFYTIAACSFMGFKQIRALVEKGLAPLKDESCFTNGYLDTVIDWIPGMRDIRLRDLPTFFRTTNPDDIMFNFIMEETDRAHEASAIIIHTFDALEPDVLDALSSMLPHVYTVGPLQLHLNQIPEHPLKMGYSLWKEETECLEWLNTKAPNSVVYVNFGSIAVVKPEQLVEFGWALANSKLPFFWVIRPDLVIGESAILPPEFVAETKERGLIAGWCPQEQVLNHPSVGGFLTHSGWNSTVESITAGVPMLCWPFFGDQQMDCRYTCNEWGIGMEISNDVKRDEVEKLVKELMEGEKGKKMKNKVMKWKKLAEDATGPHGSSFTNLDNLVNQMLLR >ONI14890 pep chromosome:Prunus_persica_NCBIv2:G3:1049185:1053450:1 gene:PRUPE_3G014700 transcript:ONI14890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAVQLGVLAACVVVLVPMGMAGYHLSRNKMLFFSGALFITLAIGVHLTPYFPSVNDFVTTVSSVVVFENRRESCLSHLHDVVWEVTPSPNFNPLDNNTVNYDKSWAWTSSSTVSACGFQKLGRFDASDLLNGSWVVVAGDSQARLVALSLLSLVLDSGPLEKVNRDLFKRHSDYQIVVGEIGMRLDFIWAPYTVNLTNLVNGFKRNRNYPDVLVMGSGLWHMLHYTNASDFGVQLQSLRSASVSLLPFSPDTGSDRPVTSSGSVSVSARSPHLFWIGMPTLINSMLNTEEKREKMTDAMRGEYDKELHNSKILRKAGGPLLLLDIESLSWNCGVRCTVDGMHYDMPVYEAALHIMLHALLIESHQKL >ONI16054 pep chromosome:Prunus_persica_NCBIv2:G3:5593635:5595298:-1 gene:PRUPE_3G076100 transcript:ONI16054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EALQKPGFYAGEEDMEFSSFSSGTERAVKTWQASLDAPQDGIVTAELEQLYTGSTLTATQKEDPNGAAVTSGTEISEVQQTLVKEGVTKVEVSEHCVFLLGENRWEDSSRLSRNQKKVGESKTMNTTTRCLTCRGEGRLLCTEWDGTGEPNIEEQFLDWVEDGAKCPYCEGHGFTICDVCEGATVA >ONI16195 pep chromosome:Prunus_persica_NCBIv2:G3:6197135:6197347:-1 gene:PRUPE_3G083900 transcript:ONI16195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMITSCCGQSNTSSCHNNQPTILNHSSASLLSPSIYTGFISLHNFTSVMIYYLLYCNNSLDIFSPNYS >ONI16196 pep chromosome:Prunus_persica_NCBIv2:G3:6197135:6198319:-1 gene:PRUPE_3G083900 transcript:ONI16196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMITSCCGQSNTSSCHNNQPTILNHSSASLLSPSIYTGFISLHNFTSVMIYYLLYCNNSLDIFSPNYS >ONI16642 pep chromosome:Prunus_persica_NCBIv2:G3:9404778:9407388:1 gene:PRUPE_3G112500 transcript:ONI16642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLGGGGHGGLWGWNPSPRKPKQQRKRRFDSKSQSSNSVEASGGAGHWFPLKQAVTAGSLVLTGDTIAQLSQRWTKAKALNQQDGKDALLSDHDWLRALRMTSYGFLLYGPGSYAWYQFLDHSLPATTVGNLLLKVLLNQIVLGPTVIAVVFAWNNLWQRKLSQLPDKYRRDAFPTLLYGFRFWIPVSLLNFWVIPLQARVAFMSVGSIFWNFCLSSTLSK >ONI16641 pep chromosome:Prunus_persica_NCBIv2:G3:9404753:9407443:1 gene:PRUPE_3G112500 transcript:ONI16641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLGGGGHGGLWGWNPSPRKPKQQRKRRFDSKSQSSNSVEASGGAGHWFPLKQAVTAGSLVLTGDTIAQLSQRWTKAKALNQQDGKDALLSDHDWLRALRMTSYGFLLYGPGSYAWYQFLDHSLPATTVGNLLLKVLLNQIVLGPTVIAVVFAWNNLWQRKLSQLPDKYRRDAFPTLLYGFRFWIPVSLLNFWVIPLQARVAFMSVGSIFWNFCLSSTLSK >ONI16640 pep chromosome:Prunus_persica_NCBIv2:G3:9404778:9407846:1 gene:PRUPE_3G112500 transcript:ONI16640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLGGGGHGGLWGWNPSPRKPKQQRKRRFDSKSQSSNSVEASGGAGHWFPLKQAVTAGSLVLTGDTIAQLSQRWTKAKALNQQDGKDALLSDHDWLRALRMTSYGFLLYGPGSYAWYQFLDHSLPATTVGNLLLKVLLNQIVLGPTVIAVVFAWNNLWQRKLSQLPDKYRRDAFPTLLYGFRFWIPVSLLNFWVIPLQARVAFMSVGSIFWNFCLSSTLSK >ONI16734 pep chromosome:Prunus_persica_NCBIv2:G3:10143270:10147179:-1 gene:PRUPE_3G118100 transcript:ONI16734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAERGKDFADGSSSAGSPGDDHQQPATPSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNYNHVLEFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFASGAIRVYLREVRECQAKARGIPYKKKKKKPSPSKGGNDDESSSTMHFS >ONI16735 pep chromosome:Prunus_persica_NCBIv2:G3:10145178:10147090:-1 gene:PRUPE_3G118100 transcript:ONI16735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAERGKDFADGSSSAGSPGDDHQQPATPSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNYNHVLEFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFASGAIRVYLREVRECQAKARGIPYKKKKKKPSPSKGGNDDESSSTMHFS >ONI18965 pep chromosome:Prunus_persica_NCBIv2:G3:23981157:23986868:1 gene:PRUPE_3G249800 transcript:ONI18965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDLSDYAIIKEGEAEILMHAKNQVFYNKTQVNNRDISIAVLRAFIEKRKEEHVARLSKKTKTAPKVSEKDASNSVVEEVSNESDIIDEKTNGDCEVAAEISQEEPCSISEEPNKVTEGKGQVELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKISVEACRRNIKFNGSVACSKVESHLADARVHMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRIYSSASAMKNTPLKLSYVYQCIGCDSFHLQPIGRTVAKNSSVRYLPGFGPVVPQECNDCGKKFNMGGPIWSAPIHDQEWVTSILSDVKSMKERYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKPQPPDQSGTVILAKEPVLQANFARAVASLSKAQTKKVARFLPNPERHWGPKLRAGRQITSKHISLLGPDAVNGVLNHEEDEEHNAKRQKIEDHPTPN >ONI18964 pep chromosome:Prunus_persica_NCBIv2:G3:23981263:23986921:1 gene:PRUPE_3G249800 transcript:ONI18964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDLSDYAIIKEGEAEILMHAKNQVFYNKTQVNNRDISIAVLRAFIEKRKEEHVARLSKKTKTAPKVSEKDASNSVVEEVSNESDIIDEKTNGDCEVAAEISQEEPCSISEEPNKVTEGKGQVELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKISVEACRRNIKFNGSVACSKVESHLADARVHMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRIYSSASAMKNTPLKLSYVYQCIGCDSFHLQPIGRTVAKNSSVRYLPGFGPVVPQECNDCGKKFNMGGPIWSAPIHDQEWVTSILSDVKSMKERYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKPQPPDQSGTVILAKEPVLQANFARAVASLSKAQTKKVARFLPNPERHWGPKLRAGRQITSKHISLLGPDAVNGVLNHEEDEEHNAKRQKIEDHPTPN >ONI15503 pep chromosome:Prunus_persica_NCBIv2:G3:3307703:3309675:-1 gene:PRUPE_3G046300 transcript:ONI15503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCVGPGQNPESLQVTLQEGLNTINGVTKMAAIANYRGIGKIGSSPPSCDHKCYGCTPCEAIQVPTTTKKHSHLGVQYANYEPEGWKCKCGPTFYSP >ONI15504 pep chromosome:Prunus_persica_NCBIv2:G3:3307704:3309502:-1 gene:PRUPE_3G046300 transcript:ONI15504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSYCFVMALQILCWVSATSRPFPPNDGVGVHQEGPGQNPESLQVTLQEGLNTINGVTKMAAIANYRGIGKIGSSPPSCDHKCYGCTPCEAIQVPTTTKKHSHLGVQYANYEPEGWKCKCGPTFYSP >ONI15507 pep chromosome:Prunus_persica_NCBIv2:G3:3307862:3308783:-1 gene:PRUPE_3G046300 transcript:ONI15507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLVFIKKVTYWPFSIISIKSPGQNPESLQVTLQEGLNTINGVTKMAAIANYRGIGKIGSSPPSCDHKCYGCTPCEAIQVPTTTKKHSHLGVQYANYEPEGWKCKCGPTFYSP >ONI15506 pep chromosome:Prunus_persica_NCBIv2:G3:3307729:3309503:-1 gene:PRUPE_3G046300 transcript:ONI15506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSYCFVMALQILCWVSATSRPFPPNDGVGVHQEGPGQNPESLQVTLQEGLNTINGVTKMAAIANYRGIGKIGSSPPSCDHKCYGCTPCEAIQVPTTTKKHSHLGVQYANYEPEGWKCKCGPTFYSP >ONI15505 pep chromosome:Prunus_persica_NCBIv2:G3:3307654:3309667:-1 gene:PRUPE_3G046300 transcript:ONI15505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSYCFVMALQILCWVSATSRPFPPNDGVGVHQEGPGQNPESLQVTLQEGLNTINGVTKMAAIANYRGIGKIGSSPPSCDHKCYGCTPCEAIQVPTTTKKHSHLGVQYANYEPEGWKCKCGPTFYSP >ONI15508 pep chromosome:Prunus_persica_NCBIv2:G3:3307862:3308783:-1 gene:PRUPE_3G046300 transcript:ONI15508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLVFIKKVTYWPFSIISIKSPGQNPESLQVTLQEGLNTINGVTKMAAIANYRGIGKIGSSPPSCDHKCYGCTPCEAIQVPTTTKKHSHLGVQYANYEPEGWKCKCGPTFYSP >ONI15250 pep chromosome:Prunus_persica_NCBIv2:G3:2412946:2416300:1 gene:PRUPE_3G032600 transcript:ONI15250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSSVSLFFLLQVALAISTCGGSKSWHSKQQAAFFIFGDSYLDSGNNNYINTTTLDQANFWPYGETYFKFPTGRFSDGRLMSDFIAEYAHLPFVPPFLQPGFHQYYDGVNFASSGAGALVETFQGSEIYAGGGRKFGFINLPTLGCLPGMRIIKPENKGSCLEEVSSLAKLHNQALSKLLIQLGNQLEGFKYSLYDLSGNLRQRTRHPSGYGFKEGKAACCGTGQYRGVFSCGGKRIVKEFELCENPNEHVFWDSLHLTEKVYKQLANQMWSGRPWDSNATGLYSLKDLFLQSF >ONI15249 pep chromosome:Prunus_persica_NCBIv2:G3:2412946:2416300:1 gene:PRUPE_3G032600 transcript:ONI15249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSSVSLFFLLQVALAISTCGGSKSWHSKQQAAFFIFGDSYLDSGNNNYINTTTLDQANFWPYGETYFKFPTGRFSDGRLMSDFIAEYAHLPFVPPFLQPGFHQYYDGVNFASSGAGALVETFQGSVIDLKTQLKYYKKVEKWFRHKLGDVEAKVTLSRAVYLFSIGTNDYISPFLTNSPILKSYSKSQYIGMVIGNLTSVIQEIYAGGGRKFGFINLPTLGCLPGMRIIKPENKGSCLEEVSSLAKLHNQALSKLLIQLGNQLEGFKYSLYDLSGNLRQRTRHPSGYGFKEGKAACCGTGQYRGVFSCGGKRIVKEFELCENPNEHVFWDSLHLTEKVYKQLANQMWSGRPWDSNATGLYSLKDLFLQSF >ONI15251 pep chromosome:Prunus_persica_NCBIv2:G3:2412946:2416300:1 gene:PRUPE_3G032600 transcript:ONI15251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSSVSLFFLLQVALAISTCGGSKSWHSKQQAAFFIFGDSYLDSGNNNYINTTTLDQANFWPYGETYFKFPTGRFSDGRLMSDFIAEYAHLPFVPPFLQPGFHQYYDGVNFASSGAGALVETFQGSVIDLKTQLKYYKKVEKWFRHKLGDVEAKVTLSRAVYLFSIGTNDYISPFLTNSPILKSYSKSQYIGMVIGNLTSVIQEIYAGGGRKFGFINLPTLGCLPGMRIIKPENKGSCLEEVSSLAKLHNQALSKLLIQLGNQLEGFKYSLYDLSGNLRQRTRHPSGYGRKSSMLWYRAV >ONI19922 pep chromosome:Prunus_persica_NCBIv2:G3:26747545:26750445:1 gene:PRUPE_3G305200 transcript:ONI19922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEKQMVGTEEESREFFNVVSCKKWVLASNVGDHSTTSFGVSSHTTPPEKVRILSETNPRVEGLSCHGLPSQQHRLDVGGSGSFGTAPFKPQDADYAIPPLIDEVEALILARVPRSKYHNFCSVNKRFLALLKSGELYKIRTELGIKEPSVFVSHYGEDTWWEFDRQFRSCRKLPILPSDTCFTTGDRESLCVGTHLIVSGKEMEGVVVWRYDMEANQWSKGPCMINPRCMFASASCGSYGYVAGGVGIASGWKAMNSAERYNPNTKSWEPLPDMKRKRRNCSGCYLANKFFVIGGKDWDGNDLKCVEAFDVEKNTWDLIPDMLEADWANLNNPSPPLIAVLANELYSLETSTNELKVYLKKSKSWKKLGVVPVRADATSGWGIAFKSLGDELLVIQSSSNEFAGPARICTCCPDPNAEELQWQVLEHGHTHPTRFIVNCSVMAA >ONI19923 pep chromosome:Prunus_persica_NCBIv2:G3:26747572:26750391:1 gene:PRUPE_3G305200 transcript:ONI19923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEKQMVGTEEESREFFNVVSCKKWVLASNVGDHSTTSFGVSSHTTPPEKVRILSETNPRVEGLSCHGLPSQQHRLDVGGSGSFGTAPFKPQDADYAIPPLIDEVEALILARVPRSKYHNFCSVNKRFLALLKSGELYKIRTELGIKEPSVFVSHYGEDTWWEFDRQFRSCRKLPILPSDTCFTTGDRESLCVGTHLIVSGKEMEGVVVWRYDMEANQWSKGPCMINPRCMFASASCGSYGYVAGGVGIASGWKAMNSAERYNPNTKSWEPLPDMKRKRRNCSGCYLANKFFVIGGKDWDGNDLKCVEAFDVEKNTWDLIPDMLEADWANLNNPSPPLIAVLANELYSLETSTNELKVYLKKSKSWKKLGVVPVRADATSGWGIAFKSLGDELLVIQSSSNEFAGPARICTCCPDPNAEELQWQVLEHGHTHPTRFIVNCSVMAA >ONI16899 pep chromosome:Prunus_persica_NCBIv2:G3:12722929:12725141:-1 gene:PRUPE_3G128100 transcript:ONI16899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNEESIPPMNGFVQDPAAGSISPPPPPPAKKKRNLPGNPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTNKEPRKRVYVCPEKSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARVNAASNINNIPMGGNINYQYMGYSIGAVAGPNNNITAQQYFSSIFKPMISTPNNIDDEASRGMSIWMMGGNNNYNNNKNHDHHRHCPPPSDYDQINNWVVGNKQLISSPSPYSISNSNAPHHQESITCTSTTSSSCSSSSLALISNNIISDVPSFYSSKMSATALLQKAAQIGSTTSSEAPLLGSFGMNNISKVCGLYGVTSPDDHGHDHHAKRRSIQNCSAATTTTTNDHHHQQETIAAGGGGGQTRDFLGVGVQAICHSSSPSINGYWT >ONI16900 pep chromosome:Prunus_persica_NCBIv2:G3:12722929:12724764:-1 gene:PRUPE_3G128100 transcript:ONI16900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTNKEPRKRVYVCPEKSCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARVNAASNINNIPMGGNINYQYMGYSIGAVAGPNNNITAQQYFSSIFKPMISTPNNIDDEASRGMSIWMMGGNNNYNNNKNHDHHRHCPPPSDYDQINNWVVGNKQLISSPSPYSISNSNAPHHQESITCTSTTSSSCSSSSLALISNNIISDVPSFYSSKMSATALLQKAAQIGSTTSSEAPLLGSFGMNNISKVCGLYGVTSPDDHGHDHHAKRRSIQNCSAATTTTTNDHHHQQETIAAGGGGGQTRDFLGVGVQAICHSSSPSINGYWT >ONI15266 pep chromosome:Prunus_persica_NCBIv2:G3:2495002:2495642:1 gene:PRUPE_3G034100 transcript:ONI15266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTNAVLVLMAALLVASTRAQSPTSSPTKSPAASPSSTTTSAAPSPSSPPPKAASSPSPSSTPPKAAAPSPSTTSPAASEPTADSPPSPPSSSSVISPSSREAPAPGPSSAALNRFAVTRSVAAGVFAAILVM >ONI15186 pep chromosome:Prunus_persica_NCBIv2:G3:2192203:2201824:-1 gene:PRUPE_3G029400 transcript:ONI15186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKIKRKTQSQLEALESFYSDPEDLHPPCKENQRETKRRKVTEHAVIGHQNCDESAPVKKHGVGKGLMTVWRATNPDARDFPVDMGFANGGVTSVSLIPTPVSRKPVTQNRRLQQKKCVPKQGRVRNKVQEKRKHFVKRREVESNNENQTLPSKEKCELALEGAGSQEHSDKIAMLVDDEELELRELQGRPNALGCSDHFTTNGDHACSLCKDLLAKFPPNSVKMKQPFCMQPWDSSPEIVKKLFKVFHFLCTYAVMVDISSFTIDEFAQAFQDKDSLLLGKIHVALLKLLLSNVEAELGCGSIPHLSKSCNFLAFIHSVENQESTLEFWKRSLNPLTWTEILRQVLVAAGFGSKQGAMRRDALSKEMSLMVKYGLRPGTLKGELFRVLLEQGIHGLKVSELAKSLQISELNLSSGIEELESLIGSTLSSDITLFEKISSSTYRVRINSSEKEVEESQSDTEDSGAVDDDLGDSGTCSSDDDSGCNSGNSQIKKLTYMNHGKSKDNMVTVYTEIDESHPGEVWLLGLMEGEYSDLSIEERLSAIVALIDLLHAGSSFRMEDPINAIAECVPSSLHSGSGAKIKRLSTKQHGMPRPTWVHAGHTSGAKEDYTLKFHPIDSSGSISKFSDERFSRKEKNGKEREMRFDIHPMQSVFLGSDRRYNRYWLFLGPCNAYDPGHRRVYFESSEDGHWEVIDTEEALCALLSVLDDRGKREALLIESLEKRIAFLCQAMSSRMVNSDRIDNLAQSDQSELDSVREDTYSPVSDVDNNLSGIANDSLPSSGVVVLEVRKKGEQQKQKWSRIQAFDSWLWNSFYLDLNAVKHGKRSYFDTLTRCESCHDLYWRDEKHCRICHTTFELHFDLEERYAIHVATCKEKEASDTFPKHKVLSSQIQSLKAAMHAIESVMPEDALLGAWKKSAHKLWVKRLRRTSSLAELLQVLGDFVGAINEDRLYECNAVQGSCNFSEELIASFACMPQTTSAVALWLVRLDALLAPYLERAHSQKRLEISVRGKHAPKQ >ONI15185 pep chromosome:Prunus_persica_NCBIv2:G3:2192203:2201824:-1 gene:PRUPE_3G029400 transcript:ONI15185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKIKRKTQSQLEALESFYSEDRYPSRTAMECHAAAFRLTYKQVRGWFVEKRRREKRENKTTEELGGRNGSGAGAPRVVKHCPSKAPSLLRYKQTKMNGNHIQELLTPDYILKKVFRKDGPPLGVEFDSLPSRALFHSTDPEDLHPPCKENQRETKRRKVTEHAVIGHQNCDESAPVKKHGVGKGLMTVWRATNPDARDFPVDMGFANGGVTSVSLIPTPVSRKPVTQNRRLQQKKCVPKQGRVRNKVQEKRKHFVKRREVESNNENQTLPSKEKCELALEGAGSQEHSDKIAMLVDDEELELRELQGRPNALGCSDHFTTNGDHACSLCKDLLAKFPPNSVKMKQPFCMQPWDSSPEIVKKLFKVFHFLCTYAVMVDISSFTIDEFAQAFQDKDSLLLGKIHVALLKLLLSNVEAELGCGSIPHLSKSCNFLAFIHSVENQESTLEFWKRSLNPLTWTEILRQVLVAAGFGSKQGAMRRDALSKEMSLMVKYGLRPGTLKGELFRVLLEQGIHGLKVSELAKSLQISELNLSSGIEELESLIGSTLSSDITLFEKISSSTYRVRINSSEKEVEESQSDTEDSGAVDDDLGDSGTCSSDDDSGCNSGNSQIKKLTYMNHGKSKDNMVTVYTEIDESHPGEVWLLGLMEGEYSDLSIEERLSAIVALIDLLHAGSSFRMEDPINAIAECVPSSLHSGSGAKIKRLSTKQHGMPRPTWVHAGHTSGAKEDYTLKFHPIDSSGSISKFSDERFSRKEKNGKEREMRFDIHPMQSVFLGSDRRYNRYWLFLGPCNAYDPGHRRVYFESSEDGHWEVIDTEEALCALLSVLDDRGKREALLIESLEKRIAFLCQAMSSRMVNSDRIDNLAQSDQSELDSVREDTYSPVSDVDNNLSGIANDSLPSSGVVVLEVRKKGEQQKQKWSRIQAFDSWLWNSFYLDLNAVKHGKRSYFDTLTRCESCHDLYWRDEKHCRICHTTFELHFDLEERYAIHVATCKEKEASDTFPKHKVLSSQIQSLKAAMHAIESVMPEDALLGAWKKSAHKLWVKRLRRTSSLAELLQVLGDFVGAINEDRLYECNAVQGSCNFSEELIASFACMPQTTSAVALWLVRLDALLAPYLERAHSQKRLEISVRD >ONI15190 pep chromosome:Prunus_persica_NCBIv2:G3:2193306:2200838:-1 gene:PRUPE_3G029400 transcript:ONI15190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKIKRKTQSQLEALESFYSEDRYPSRTAMECHAAAFRLTYKQVRGWFVEKRRREKRENKTTEELGGRNGSGAGAPRVVKHCPSKAPSLLRYKQTKMNGNHIQELLTPDYILKKVFRKDGPPLGVEFDSLPSRALFHSTDPEDLHPPCKENQRETKRRKVTEHAVIGHQNCDESAPVKKHGVGKGLMTVWRATNPDARDFPVDMGFANGGVTSVSLIPTPVSRKPVTQNRRLQQKKCVPKQGRVRNKVQEKRKHFVKRREVESNNENQTLPSKEKCELALEGAGSQEHSDKIAMLVDDEELELRELQGRPNALGCSDHFTTNGDHACSLCKDLLAKFPPNSVKMKQPFCMQPWDSSPEIVKKLFKVFHFLCTYAVMVDISSFTIDEFAQAFQDKDSLLLGKIHVALLKLLLSNVEAELGCGSIPHLSKSCNFLAFIHSVENQESTLEFWKRSLNPLTWTEILRQVLVAAGFGSKQGAMRRDALSKEMSLMVKYGLRPGTLKGELFRVLLEQGIHGLKVSELAKSLQISELNLSSGIEELESLIGSTLSSDITLFEKISSSTYRVRINSSEKEVEESQSDTEDSGAVDDDLGDSGTCSSDDDSGCNSGNSQIKKLTYMNHGKSKDNMVTVYTEIDESHPGEVWLLGLMEGEYSDLSIEERLSAIVALIDLLHAGSSFRMEDPINAIAECVPSSLHSGSGAKIKRLSTKQHGMPRPTWVHAGHTSGAKEDYTLKFHPIDSSGSISKFSDERFSRKEKNGKEREMRFDIHPMQSVFLGSDRRYNRYWLFLGPCNAYDPGHRRVYFESSEDGHWEVIDTEEALCALLSVLDDRGKREALLIESLEKRIAFLCQAMSSRMVNSDRIDNLAQSDQSELDSVREDTYSPVSDVDNNLSGIANDSLPSSGVVVLEVRKKGEQQKQKWSRIQAFDSWLWNSFYLDLNAVKHGKRSYFDTLTRCESCHDLYWRDEKHCRICHTTFELHFDLEERYAIHVATCKEKEASDTFPKHKVLSSQIQSLKAAMHAIESCQKMLCWVLGKNLLISYG >ONI15189 pep chromosome:Prunus_persica_NCBIv2:G3:2192203:2201824:-1 gene:PRUPE_3G029400 transcript:ONI15189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKIKRKTQSQLEALESFYSEDRYPSRTAMECHAAAFRLTYKQVRGWFVEKRRREKRENKTTEELGGRNGSGAGAPRVVKHCPSKAPSLLRYKQTKMNGNHIQELLTPDYILKKVFRKDGPPLGVEFDSLPSRALFHSTDPEDLHPPCKENQRETKRRKVTEHAVIGHQNCDESAPVKKHGVGKGLMTVWRATNPDARDFPVDMGFANGGVTSVSLIPTPVSRKPVTQNRRLQQKKCVPKQGRVRNKVQEKRKHFVKRREVESNNENQTLPSKEKCELALEGAGSQEHSDKIAMLVDDEELELRELQGRPNALGCSDHFTTNGDHACSLCKDLLAKFPPNSVKMKQPFCMQPWDSSPEIVKKLFKVFHFLCTYAVMVDISSFTIDEFAQAFQDKDSLLLGKIHVALLKLLLSNVEAELGCGSIPHLSKSCNFLAFIHSVENQESTLEFWKRSLNPLTWTEILRQVLVAAGFGSKQGAMRRDALSKEMSLMVKYGLRPGTLKGELFRVLLEQGIHGLKVSELAKSLQISELNLSSGIEELESLIGSTLSSDITLFEKISSSTYRVRINSSEKEVEESQSDTEDSGAVDDDLGDSGTCSSDDDSGCNSGNSQIKKLTYMNHGKSKDNMVTVYTEIDESHPGEVWLLGLMEGEYSDLSIEERLSAIVALIDLLHAGSSFRMEDPINAIAECVPSSLHSGSGAKIKRLSTKQHGMPRPTWVHAGHTSGAKEDYTLKFHPIDSSGSISKFSDERFSRKEKNGKEREMRFDIHPMQSVFLGSDRRYNRYWLFLGPCNAYDPGHRRVYFESSEDGHWEVIDTEEALCALLSVLDDRGKREALLIESLEKRIAFLCQAMSSRMVNSDRIDNLAQSDQSELDSVREDTYSPVSDVDNNLSGIANDSLPSSGVVVLEVRKKGEQQKQKWSRIQAFDSWLWNSFYLDLNAVKHGKRSYFDTLTRCESCHDLYWRDEKHCRICHTTFELHFDLEERYAIHVATCKEKEASDTFPKHKVLSSQIQSLKAAMHAIESCQKMLCWVLGKNLLISYG >ONI15187 pep chromosome:Prunus_persica_NCBIv2:G3:2192828:2200838:-1 gene:PRUPE_3G029400 transcript:ONI15187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKIKRKTQSQLEALESFYSEDRYPSRTAMECHAAAFRLTYKQVRGWFVEKRRREKRENKTTEELGGRNGSGAGAPRVVKHCPSKAPSLLRYKQTKMNGNHIQELLTPDYILKKVFRKDGPPLGVEFDSLPSRALFHSTDPEDLHPPCKENQRETKRRKVTEHAVIGHQNCDESAPVKKHGVGKGLMTVWRATNPDARDFPVDMGFANGGVTSVSLIPTPVSRKPVTQNRRLQQKKCVPKQGRVRNKVQEKRKHFVKRREVESNNENQTLPSKEKCELALEGAGSQEHSDKIAMLVDDEELELRELQGRPNALGCSDHFTTNGDHACSLCKDLLAKFPPNSVKMKQPFCMQPWDSSPEIVKKLFKVFHFLCTYAVMVDISSFTIDEFAQAFQDKDSLLLGKIHVALLKLLLSNVEAELGCGSIPHLSKSCNFLAFIHSVENQESTLEFWKRSLNPLTWTEILRQVLVAAGFGSKQGAMRRDALSKEMSLMVKYGLRPGTLKGELFRVLLEQGIHGLKVSELAKSLQISELNLSSGIEELESLIGSTLSSDITLFEKISSSTYRVRINSSEKEVEESQSDTEDSGAVDDDLGDSGTCSSDDDSGCNSGNSQIKKLTYMNHGKSKDNMVTVYTEIDESHPGEVWLLGLMEGEYSDLSIEERLSAIVALIDLLHAGSSFRMEDPINAIAECVPSSLHSGSGAKIKRLSTKQHGMPRPTWVHAGHTSGAKEDYTLKFHPIDSSGSISKFSDERFSRKEKNGKEREMRFDIHPMQSVFLGSDRRYNRYWLFLGPCNAYDPGHRRVYFESSEDGHWEVIDTEEALCALLSVLDDRGKREALLIESLEKRIAFLCQAMSSRMVNSDRIDNLAQSDQSELDSVREDTYSPVSDVDNNLSGIANDSLPSSGVVVLEVRKKGEQQKQKWSRIQAFDSWLWNSFYLDLNAVKHGKRSYFDTLTRCESCHDLYWRDEKHCRICHTTFELHFDLEERYAIHVATCKEKEASDTFPKHKVLSSQIQSLKAAMHAIESVMPEDALLGAWKKSAHKLWVKRLRRTSSLAELLQVLGDFVGAINEDRLYECNAVQGSCNFSEELIASFACMPQTTSAVALWLVRLDALLAPYLERAHSQKRLEISVRGKHAPKQ >ONI15188 pep chromosome:Prunus_persica_NCBIv2:G3:2192203:2201824:-1 gene:PRUPE_3G029400 transcript:ONI15188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKIKRKTQSQLEALESFYSEDRYPSRTAMECHAAAFRLTYKQVRGWFVEKRRREKRENKTTEELGGRNGSGAGAPRVVKHCPSKAPSLLRYKQTKMNGNHIQELLTPDYILKKVFRKDGPPLGVEFDSLPSRALFHSTDPEDLHPPCKENQRETKRRKVTEHAVIGHQNCDESAPVKKHGVGKGLMTVWRATNPDARDFPVDMGFANGGVTSVSLIPTPVSRKPVTQNRRLQQKKCVPKQGRVRNKVQEKRKHFVKRREVESNNENQTLPSKEKCELALEGAGSQEHSDKIAMLVDDEELELRELQGRPNALGCSDHFTTNGDHACSLCKDLLAKFPPNSVKMKQPFCMQPWDSSPEIVKKLFKVFHFLCTYAVMVDISSFTIDEFAQAFQDKDSLLLGKIHVALLKLLLSNVEAELGCGSIPHLSKSCNFLAFIHSVENQESTLEFWKRSLNPLTWTEILRQVLVAAGFGSKQGAMRRDALSKEMSLMVKYGLRPGTLKGELFRVLLEQGIHGLKVSELAKSLQISELNLSSGIEELESLIGSTLSSDITLFEKISSSTYRVRINSSEKEVEESQSDTEDSGAVDDDLGDSGTCSSDDDSGCNSGNSQIKKLTYMNHGKSKDNMVTVYTEIDESHPGEVWLLGLMEGEYSDLSIEERLSAIVALIDLLHAGSSFRMEDPINAIAECVPSSLHSGSGAKIKRLSTKQHGMPRPTWVHAGHTSGAKEDYTLKFHPIDSSGSISKFSDERFSRKEKNGKEREMRFDIHPMQSVFLGSDRRYNRYWLFLGPCNAYDPGHRRVYFESSEDGHWEVIDTEEALCALLSVLDDRGKREALLIESLEKRIAFLCQAMSSRMVNSDRIDNLAQSDQSELDSVREDTYSPVSDVDNNLSGIANDSLPSSGVVVLEVRKKGEQQKQKWSRIQAFDSWLWNSFYLDLNAVKHGKRSYFDTLTRCESCHDLYWRDEKHCRICHTTFELHFDLEERYAIHVATCKEKEASDTFPKHKVLSSQIQSLKAAMHAIESVMPEDALLGAWKKSAHKLWVKRLRRTSSLAELLQCKVLVILVKN >ONI18015 pep chromosome:Prunus_persica_NCBIv2:G3:20439318:20446353:1 gene:PRUPE_3G192200 transcript:ONI18015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDENAIKWIKQGSEAELVEQQQQQQQTPQAIRSPLSEKLTLEPYPKSPLRFSSNSGSHVLPPLKFHAGLLAPQSLVASCLSSDTDNEYDDNESVASVSDDGSAANYFDEETKPMEQYYEEEEMFGYKNKSSTGLNRGLLKEGLRIELPGNCRRFTDGEVGFKNRCAPKTSTPCAGNQLLKRAQLRNFNGTTPSGDECSMLRDSADLGTPSAPPIFEIARDEKGFEVESQGQEACQGLTESNGAENWTCPSRGSMGFDGSVDALADLDTSSFKASELGDRANKSIDGETETRIPSLQASQLDHSAYYNTSGQYAWQTLIAHEACIRLCLQAWARGCTEAPEFLRDECLALRNAFGLNTFLLQPRGVQPVEAKTSRNADQIFPPKAKKVVGKIRVEVRKLRVIPRRKLKSTYSQRGAMYIQAGAEYVRHVSSLVKTGISSLKSASLSVTTEECAETLSCIFQLSSATEDTEVESSSAIFLRPGSGDYHVFFPDSQVDALMVEVQDTKKSVQGRTTIPISSLTDTTNDKVRWWPLYHDDQECIGKIQLNIGSTITNDEANHIKSGPVAETLAYDLLLEAAMRAQNFHSRNLWLSGPWKWLLTEFADYYEVSKSYTKLRYLSHVMNVATPTKDCLELVNELLVPILKAKSEKCLTRQEKSILLDCETQIESLLANVFENYKSLDEGSTTGFAVLFGPTPESAAPALAPAVQVYILLHDILTLDAQTMLRNYLQTAAKKRYRKHTLETDEFVSTNSEGFLMDSISISTAYLKMKNLCINIQREIQADIKIHNQHVLPSSIDLSNIAAAIYSTELCNRLRAFLAALPPSGPQPHVNELLIAIAEFERNLESWNISPVQGGVDSKNLFHNYIMVWVQDMQLNLLELCKAEKVPWSGVSTNHSTSPFAEEMYENIRETLIQYEVVLNRWPQYSLILEQAVANIERAIIKALEKQYNDILTPLKDGIQKRLNMQVQKLTRRQSMAIYAVPNQLGIFLNTLKRILDVLHCKVEDILKPWASYLPDMGDNKKSLFGEQMNGITVLLRTKYKNYLQATVGKLISNVQANRNTRLKRILEETKEEDGEAEVRERMHALSSQLVDSISNLHEVFTSKIFIAICRGFWDRMGQIVLKFLEGRKENRVWYNGSYYALGILDDTFASQMQRLQGNALQEKDLEPPRSVIEARSILCRDTENATDASTYFYV >ONI18014 pep chromosome:Prunus_persica_NCBIv2:G3:20439318:20446355:1 gene:PRUPE_3G192200 transcript:ONI18014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDENAIKWIKQGSEAELVEQQQQQQQTPQAIRSPLSEKLTLEPYPKSPLRFSSNSGSHVLPPLKFHAGLLAPQSLVASCLSSDTDNEYDDNESVASVSDDGSAANYFDEETKPMEQYYEEEEMFGYKNKSSTGLNRGLLKEGLRIELPGNCRRFTDGEVGFKNRCAPKTSTPCAGNQLLKRAQLRNFNQGTTPSGDECSMLRDSADLGTPSAPPIFEIARDEKGFEVESQGQEACQGLTESNGAENWTCPSRGSMGFDGSVDALADLDTSSFKASELGDRANKSIDGETETRIPSLQASQLDHSAYYNTSGQYAWQTLIAHEACIRLCLQAWARGCTEAPEFLRDECLALRNAFGLNTFLLQPRGVQPVEAKTSRNADQIFPPKAKKVVGKIRVEVRKLRVIPRRKLKSTYSQRGAMYIQAGAEYVRHVSSLVKTGISSLKSASLSVTTEETLSCIFQLSSATEDTEVESSSAIFLRPGSGDYHVFFPDSQVDALMVEVQDTKKSVQGRTTIPISSLTDTTNDKVRWWPLYHDDQECIGKIQLNIGSTITNDEANHIKSGPVAETLAYDLLLEAAMRAQNFHSRNLWLSGPWKWLLTEFADYYEVSKSYTKLRYLSHVMNVATPTKDCLELVNELLVPILKAKSEKCLTRQEKSILLDCETQIESLLANVFENYKSLDEGSTTGFAVLFGPTPESAAPALAPAVQVYILLHDILTLDAQTMLRNYLQTAAKKRYRKHTLETDEFVSTNSEGFLMDSISISTAYLKMKNLCINIQREIQADIKIHNQHVLPSSIDLSNIAAAIYSTELCNRLRAFLAALPPSGPQPHVNELLIAIAEFERNLESWNISPVQGGVDSKNLFHNYIMVWVQDMQLNLLELCKAEKVPWSGVSTNHSTSPFAEEMYENIRETLIQYEVVLNRWPQYSLILEQAVANIERAIIKALEKQYNDILTPLKDGIQKRLNMQVQKLTRRQSMAIYAVPNQLGIFLNTLKRILDVLHCKVEDILKPWASYLPDMGDNKKSLFGEQMNGITVLLRTKYKNYLQATVGKLISNVQANRNTRLKRILEETKEEDGEAEVRERMHALSSQLVDSISNLHEVFTSKIFIAICRGFWDRMGQIVLKFLEGRKENRVWYNGSYYALGILDDTFASQMQRLQGNALQEKDLEPPRSVIEARSILCRDTENATDASTYFYV >ONI18016 pep chromosome:Prunus_persica_NCBIv2:G3:20439318:20446364:1 gene:PRUPE_3G192200 transcript:ONI18016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDENAIKWIKQGSEAELVEQQQQQQQTPQAIRSPLSEKLTLEPYPKSPLRFSSNSGSHVLPPLKFHAGLLAPQSLVASCLSSDTDNEYDDNESVASVSDDGSAANYFDEETKPMEQYYEEEEMFGYKNKSSTGLNRGLLKEGLRIELPGNCRRFTDGEVGFKNRCAPKTSTPCAGNQLLKRAQLRNFNGTTPSGDECSMLRDSADLGTPSAPPIFEIARDEKGFEVESQGQEACQGLTESNGAENWTCPSRGSMGFDGSVDALADLDTSSFKASELGDRANKSIDGETETRIPSLQASQLDHSAYYNTSGQYAWQTLIAHEACIRLCLQAWARGCTEAPEFLRDECLALRNAFGLNTFLLQPRGVQPVEAKTSRNADQIFPPKAKKVVGKIRVEVRKLRVIPRRKLKSTYSQRGAMYIQAGAEYVRHVSSLVKTGISSLKSASLSVTTEETLSCIFQLSSATEDTEVESSSAIFLRPGSGDYHVFFPDSQVDALMVEVQDTKKSVQGRTTIPISSLTDTTNDKVRWWPLYHDDQECIGKIQLNIGSTITNDEANHIKSGPVAETLAYDLLLEAAMRAQNFHSRNLWLSGPWKWLLTEFADYYEVSKSYTKLRYLSHVMNVATPTKDCLELVNELLVPILKAKSEKCLTRQEKSILLDCETQIESLLANVFENYKSLDEGSTTGFAVLFGPTPESAAPALAPAVQVYILLHDILTLDAQTMLRNYLQTAAKKRYRKHTLETDEFVSTNSEGFLMDSISISTAYLKMKNLCINIQREIQADIKIHNQHVLPSSIDLSNIAAAIYSTELCNRLRAFLAALPPSGPQPHVNELLIAIAEFERNLESWNISPVQGGVDSKNLFHNYIMVWVQDMQLNLLELCKAEKVPWSGVSTNHSTSPFAEEMYENIRETLIQYEVVLNRWPQYSLILEQAVANIERAIIKALEKQYNDILTPLKDGIQKRLNMQVQKLTRRQSMAIYAVPNQLGIFLNTLKRILDVLHCKVEDILKPWASYLPDMGDNKKSLFGEQMNGITVLLRTKYKNYLQATVGKLISNVQANRNTRLKRILEETKEEDGEAEVRERMHALSSQLVDSISNLHEVFTSKIFIAICRGFWDRMGQIVLKFLEGRKENRVWYNGSYYALGILDDTFASQMQRLQGNALQEKDLEPPRSVIEARSILCRDTENATDASTYFYV >ONI18463 pep chromosome:Prunus_persica_NCBIv2:G3:21955573:21960075:1 gene:PRUPE_3G217500 transcript:ONI18463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRVSFSLTLPLTLLLLLCVYESCGGSVRNTEAIAPDISSSVNPQPFLPLLAPSPLTAFTNYSIPKLSAAGNMMSVTATDCWASFAPLLADVVCCPQFDATLATLIGQSSKYSRMLALNVTHAKHCLSDVQKILEGQGANDNIQKICSIEPETLTAASCPVIEVDTFESTVDSSKLLCACRKIDLVNECCDQVCQNAILDAARTIAAIGMPNSKGVNLLPAHSTRIDDCKNIVLRWLASNLDPSSANKVLRGLSNCKVNKVCPLVFPNMTNVVKECANGISNQTACCKAMDSYVSQLQQQSFITNLQALNCAASLGVKLQKANVSDDVYNLCHINLKDFSLQVGSQEYGCLLPSLPSDATYDITSGISFICDLNDNIAAPWPSSSSEHPSSCKKTTKLPAVPKATSAQSGLINEDLIFLSFFSSLLVLKMLL >ONI18460 pep chromosome:Prunus_persica_NCBIv2:G3:21955557:21960075:1 gene:PRUPE_3G217500 transcript:ONI18460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRVSFSLTLPLTLLLLLCVYESCGGSVRNTEAIAPDISSSVNPQPFLPLLAPSPLTAFTNYSIPKLSGLCTLNFSAAGNMMSVTATDCWASFAPLLADVVCCPQFDATLATLIGQSSKYSRMLALNVTHAKHCLSDVQKILEGQGANDNIQKICSIEPETLTAASCPVIEVDTFESTVDSSKLLCACRKIDLVNECCDQVCQNAILDAARTIAAIGMPNSKGVNLLPAHSTRIDDCKNIVLRWLASNLDPSSANKVLRGLSNCKVNKVCPLVFPNMTNVVKECANGISNQTACCKAMDSYVSQLQQQSFITNLQALNCAASLGVKLQKANVSDDVYNLCHINLKDFSLQVGSQEYGCLLPSLPSDATYDITSGISFICDLNDNIAAPWPSSSSEHPSSCKKTTKLPAVPKATSAQSGLINEDLIFLSFFSSLLVLKMLL >ONI18461 pep chromosome:Prunus_persica_NCBIv2:G3:21955492:21960126:1 gene:PRUPE_3G217500 transcript:ONI18461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRVSFSLTLPLTLLLLLCVYESCGGSVRNTEAIAPDISSSVNPQPFLPLLAPSPLTAFTNYSIPKLSGLCTLNFSAAGNMMSVTATDCWASFAPLLADVVCCPQFDATLATLIGQSSKYSRMLALNVTHAKHCLSDVQKILEGQGANDNIQKICSIEPETLTAASCPVIEVDTFESTVDSSKLLCACRKIDLVNECCDQVCQNAILDAARTIAAIGMPNSKGVNLLPAHSTRIDDCKNIVLRWLASNLDPSSANKVLRGLSNCKVNKVCPLVFPNMTNVVKECANGISNQTACCKAMDSYVSQLQQQSFITNLQALNCAASLGVKLQKANVSDDVYNLCHINLKDFSLQVGSQEYGCLLPSLPSDATYDITSGISFICDLNDNIAAPWPSSSSEHPSSCKKTTKLPAVPKATSAQSGLINEDLIFLSFFSSLLVLKMLL >ONI18465 pep chromosome:Prunus_persica_NCBIv2:G3:21955520:21957823:1 gene:PRUPE_3G217500 transcript:ONI18465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRVSFSLTLPLTLLLLLCVYESCGGSVRNTEAIAPDISSSVNPQPFLPLLAPSPLTAFTNYSIPKLSGLCTLNFSAAGNMMSVTATDCWASFAPLLADVVCCPQFDATLATLIGQSSKYSRMLALNVTHAKHCLSDVQKILEGQGANDNIQKICSIEPETLTAASCPVIEVDTFESTVDSSKLLCACRKIDLVNECCDQVCQNAILDAARTIAAIGMPNSKGVNLLPAHSTRIDDCKNIVLRWLASNLDPSSANKVLRGLSNCKVNKVCPLVFPNMTNVVKECANGISNQTACCKAMDSYVSQLQQQSFITNLQALNCAASLGVKLQKANVSDDVYNLCHINLKDFSLQGQCCYICLNK >ONI18462 pep chromosome:Prunus_persica_NCBIv2:G3:21955493:21960075:1 gene:PRUPE_3G217500 transcript:ONI18462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRVSFSLTLPLTLLLLLCVYESCGGSVRNTEAIAPDISSSVNPQPFLPLLAPSPLTAFTNYSIPKLSGLCTLNFSAAGNMMSVTATDCWASFAPLLADVVCCPQFDATLATLIGQSSKYSRMLALNVTHAKHCLSDVQKILEGQGANDNIQKICSIEPETLTAASCPVIEVDTFESTVDSSKLLCACRKIDLVNECCDQVCQNAILDAARTIAAIGMPNSKGVNLLPAHSTRIDDCKNIVLRWLASNLDPSSANKVLRGLSNCKVNKVCPLVFPNMTNVVKECANGISNQTACCKAMDSYVSQLQQQSFITNLQALNCAASLGVKLQKANVSDDVYNLCHINLKDFSLQEYGCLLPSLPSDATYDITSGISFICDLNDNIAAPWPSSSSEHPSSCKKTTKLPAVPKATSAQSGLINEDLIFLSFFSSLLVLKMLL >ONI18464 pep chromosome:Prunus_persica_NCBIv2:G3:21955557:21959112:1 gene:PRUPE_3G217500 transcript:ONI18464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRVSFSLTLPLTLLLLLCVYESCGGSVRNTEAIAPDISSSVNPQPFLPLLAPSPLTAFTNYSIPKLSGLCTLNFSAAGNMMSVTATDCWASFAPLLADVVCCPQFDATLATLIGQSSKYSRMLALNVTHAKHCLSDVQKILEGQGANDNIQKICSIEPETLTAASCPVIEVDTFESTVDSSKLLCACRKIDLVNECCDQVCQNAILDAARTIAAIGMPNSKGVNLLPAHSTRIDDCKNIVLRWLASNLDPSSANKVLRGLSNCKVNKVCPLVFPNMTNVVKECANGISNQTACCKAMDSYVSQLQQQSFITNLQALNCAASLGVKLQKANVSDDVYNLCHINLKDFSLQVGSQEYGCLLPSLPSDATYDITSGISFICDLNDNIAAPWPSSSSEHPSSCKKTTKLPAVPKATSAQSGD >ONI17174 pep chromosome:Prunus_persica_NCBIv2:G3:15454637:15455596:-1 gene:PRUPE_3G142800 transcript:ONI17174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQVKQGGAEWQLMVNSKVELNEILYFFSFPSKFLVFNIITVISLLKRLTTCNTWSVFSMKILM >ONI17175 pep chromosome:Prunus_persica_NCBIv2:G3:15454637:15455623:-1 gene:PRUPE_3G142800 transcript:ONI17175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQVKQGGAEWQLMVNSKVELNEILYFFSFPSKFLVFNIITVISLLKRLTTCNTWSVFSMKILM >ONI18914 pep chromosome:Prunus_persica_NCBIv2:G3:23837498:23841245:1 gene:PRUPE_3G247800 transcript:ONI18914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQTDLVIIGVSVGVALGILIASLIFFGIRWYRKRAHLRRCANERSLTTLPIQANGLGTSTDYSASLSTSKAIQGSENLQKNSPLSWWNHQNKDRFVSAPGVLRYSYKDIQKATQNFTTLLGQGSFGPVYKAKMAAGEVVAVKVLASNSKQGEKEFQTEVSLLGRLHHRNLVNLLGYCVDKGQHMLIYEFMRNGSLSNLLYSEEQQVLSWDERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEVFDGRNSGLKGTYGYIDPVYISTNQFTMKSDIYSFGIIIFELITAIHPHQNLMEYVNLAAMAPDGVDEILDKQLVGECKLDEVRRLARIAHKCLEQLPRKRPSIGEVSQGILKIKQRRLAKEDTMSFAGEEFSRAVSRIEIQQVELSRIASMKDRATE >ONI19858 pep chromosome:Prunus_persica_NCBIv2:G3:26599117:26600972:-1 gene:PRUPE_3G301600 transcript:ONI19858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDGWHVAGGESLAQDKTIINRMMLRFRPIAPKPAAVGSSSGPISPDKKSPHLTSKRVKRKYIRVGKINNNSGSGRRLINTSSSAEEETPKVSLDSAIVTLQLMPEKTDFQGFPGTGSWCSIDPTVAKIRDNRDLPTWMTLNDPKMSRSVGKKYVSAGPDRTAVVPRVMALESWVTVESVTDTCMDVRGLGCTDVEKMRILEKDTCPGFISDGSNRVKWVNQAYKRMVVSQCEEQQAEAAEVIVWLVTKANLPPYAYPGFTCRVRVQYYTWQKEKCSKMVPCDVWRMECGLAWRLDIKAALSLGL >ONI16386 pep chromosome:Prunus_persica_NCBIv2:G3:7148805:7151010:-1 gene:PRUPE_3G095400 transcript:ONI16386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSILHQIAQSGLNLESLNVSKQPTLPVVGLRALGSKMMDLKSLNCSKMRCFGDSDLNVIAESFPCLEELDISYHADDSTTGSKPISDLGIFSLSLKLKSLRKINLSVAEARFPLKKLTLSRCANYSFSGISVLLNEYQSLEYLNLEAAYFLRDKDIAELSRFLHSINHINLSHCYGLTCITFYTLIKNCLVLDKLEMVATSIGEENIETDFKASHGIKSLNLANSSLGNNFITSFASICPKLELLNLSKCKGITEEGIVEVLKRCSEIRQLEVNHIGGMTDSFLHLEFELPKLKVLSLMFSGIDDDALAMIGKRCCRLLKLDLAGCFSLTSKGVKEVVENCKELKEINLKWCNKFSADIVPWMVFSRPSLKKIVPPSRFVLTGRQRNLFLRHGCAVYDGGAFE >ONI16387 pep chromosome:Prunus_persica_NCBIv2:G3:7149057:7150541:-1 gene:PRUPE_3G095400 transcript:ONI16387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSILHQIAQSGLNLESLNVSKQPTLPVVGLRALGSKMMDLKSLNCSKMRCFGDSDLNVIAESFPCLEELDISYHADDSTTGSKPISDLGIFSLSLKLKSLRKINLSGNSFITDKSLVFLSTNCLLLSQVVLHFCNFISLNGISKLIHGCSHLNSISVHGIGNPSVNAAFKVPFLSSKALWAIALSDSDISDEFLYAVAEARFPLKKLTLSRCANYSFSGISVLLNEYQSLEYLNLEAAYFLRDKDIAELSRFLHSINHINLSHCYGLTCITFYTLIKNCLVLDKLEMVATSIGEENIETDFKASHGIKSLNLANSSLGNNFITSFASICPKLELLNLSKCKGITEEGIVEVLKRCSEIRQLEVNHIGGMTDSFLHLEFELPKLKVLSLMFSGIDDDALAMIGKRCCRLLKLDLAGCFSLTSKGVKEVVENCKELKEINLKWCNKFSADIVPWMVFSRPSLKKIVPPSRFVLTGRQRNLFLRHGCAVYDGGAFE >ONI18649 pep chromosome:Prunus_persica_NCBIv2:G3:22788295:22789498:1 gene:PRUPE_3G229900 transcript:ONI18649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSPHFMGLSFSVTLPPYKSNTNSPFTSSKFKHIKTSVLLPIKFKSVLIKFTEEDINMSSSSSPAARRGWVVAASVGVVEALKDQGICRWNYTMRLMQQHAKSQLGSFSQANKLSSSSSALVSSKLRDEKVKQSEESLRKVMYLSCWGPN >ONI15235 pep chromosome:Prunus_persica_NCBIv2:G3:2357620:2358745:-1 gene:PRUPE_3G031600 transcript:ONI15235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFPVNPFLLLRRSYKVARSVQKAATTMRRTATTESGSSVNDNVANNHDSPQMNQVFWMRDPKTGNWIPESHFEDVDVAELREKLLPNLNNKHKL >ONI15236 pep chromosome:Prunus_persica_NCBIv2:G3:2357641:2358647:-1 gene:PRUPE_3G031600 transcript:ONI15236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFPVNPFLLLSRRSYKVARSVQKAATTMRRTATTESGSSVNDNVANNHDSPQMNQVFWMRDPKTGNWIPESHFEDVDVAELREKLLPNLNNKHKL >ONI18024 pep chromosome:Prunus_persica_NCBIv2:G3:20466452:20470025:-1 gene:PRUPE_3G192600 transcript:ONI18024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSMAASGVLRPRSSSMFPNALKQTQNASLCRSSRGLSFSGSHLSGTKIPTASTCLRKCPTHRAPPLVVSPKAVSDSKNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDDEGRIIEFAEKPKGEQLKAMQVDTTILGLDDQRAKEMPYIASMGIYVVSKNVMLDLLRDKFPGANDFGSEVIPGATSMGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAVIEDTLLMGADYYETDADRRFLAAKGSVPIGIGKSSHIKRAIIDKNARIGDNVKIINSDNVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >ONI20067 pep chromosome:Prunus_persica_NCBIv2:G3:27110093:27117895:-1 gene:PRUPE_3G313300 transcript:ONI20067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLVFCCLLIILVGFLVADSKFMVYNTSQGIVPGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWRDQSEAVQSIVKQLVSSGQLEFINGGMCMHDEAATHYIDIIDQTTLGHRFIKKEFDVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRDKRKNDKSLEFVWQGSKSLGSSAQIFSGAFPKNYEPPSGFYFEVNDDSPIVQDDITLFDYNVQDRVNNFVAAAVSQANITRTDHIMWTMGTDFKYQYAHTWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKYATNESWPIKTDDFFPYADRTNAYWTGYFTSRPALKYYVRTMSGYYLAARQLEFLKGRTNSGLNTDSLADALAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEQLVATSLAHLVESASYTGSGNPITEFQQCPLLNISYCPAAEVNLSQGKQLIVVVYNSLGWKRNDVIRIPVINEDVTVQDSEGREIESQLLPLDDAHVGLRNYHVKAYLGRTPTNTPNYWLAFTVSVPPLGFSTYTISDAKGAGACSTRSSVYTFQGREKSTVEVGQGNVKLTFSTDQGKMTNYVNRRSLVEELVEQSYSFYTAYNGSSDEAPLIPQNSGAYVFRPNGTFLINPGEKASFTVMRGPIIDEVHQHINSWIYQITRLHKEKEHVEVEFIVGPIPIDDGTGKEVVTQIATTMATNKTFYTDSNGRDFIKRIRDYRTDWDLKVHQPIAGNYYPINLGIYMQDNRAEFSVLVDRSIGGSSTVDGQIDLMLHRRLLLDDSRGVAEALNETVCVPNDCTGLRIQGKFYFRIDPMGDGAKWRRSFGQEIYSPLLLAFAEQDGDNWKNSHVTTFSGVGSSYSLPDNVALITLQELDDGKVLLRLAHLYEIGEDKDLSVMANVELKQLFPRKKIGEVTEMNLSANQERAEMEKKRLVWKVEEGSAEEAKVVRGGRVDPAKLVVELGPMEIRTFLIEFKQRFHRDMADA >ONI20069 pep chromosome:Prunus_persica_NCBIv2:G3:27109771:27118298:-1 gene:PRUPE_3G313300 transcript:ONI20069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMHDEAATHYIDIIDQTTLGHRFIKKEFDVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRDKRKNDKSLEFVWQGSKSLGSSAQIFSGAFPKNYEPPSGFYFEVNDDSPIVQDDITLFDYNVQDRVNNFVAAAVSQANITRTDHIMWTMGTDFKYQYAHTWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKYATNESWPIKTDDFFPYADRTNAYWTGYFTSRPALKYYVRTMSGYYLAARQLEFLKGRTNSGLNTDSLADALAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEQLVATSLAHLVESASYTGSGNPITEFQQCPLLNISYCPAAEVNLSQGKQLIVVVYNSLGWKRNDVIRIPVINEDVTVQDSEGREIESQLLPLDDAHVGLRNYHVKAYLGRTPTNTPNYWLAFTVSVPPLGFSTYTISDAKGAGACSTRSSVYTFQGREKSTVEVGQGNVKLTFSTDQGKMTNYVNRRSLVEELVEQSYSFYTAYNGSSDEAPLIPQNSGAYVFRPNGTFLINPGEKASFTVMRGPIIDEVHQHINSWIYQITRLHKEKEHVEVEFIVGPIPIDDGTGKEVVTQIATTMATNKTFYTDSNGRDFIKRIRDYRTDWDLKVHQPIAGNYYPINLGIYMQDNRAEFSVLVDRSIGGSSTVDGQIDLMLHRRLLLDDSRGVAEALNETVCVPNDCTGLRIQGKFYFRIDPMGDGAKWRRSFGQEIYSPLLLAFAEQDGDNWKNSHVTTFSGVGSSYSLPDNVALITLQELDDGKVLLRLAHLYEIGEDKDLSVMANVELKQLFPRKKIGEVTEMNLSANQERAEMEKKRLVWKVEEGSAEEAKVVRGGRVDPAKLVVELGPMEIRTFLIEFKQRFHRDMADA >ONI20068 pep chromosome:Prunus_persica_NCBIv2:G3:27109805:27118298:-1 gene:PRUPE_3G313300 transcript:ONI20068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLVFCCLLIILVGFLVADSKFMVYNTSQGIVPGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWRDQSEAVQSIVKQLVSSGQLEFINGGMCMHDEAATHYIDIIDQTTLGHRFIKKEFDVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRDKRKNDKSLEFVWQGSKSLGSSAQIFSGAFPKNYEPPSGFYFEVNDDSPIVQDDITLFDYNVQDRVNNFVAAAVSQANITRTDHIMWTMGTDFKYQYAHTWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKYATNESWPIKTDDFFPYADRTNAYWTGYFTSRPALKYYVRTMSGYYLAARQLEFLKGRTNSGLNTDSLADALAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEQLVATSLAHLVESASYTGSGNPITEFQQCPLLNISYCPAAEVNLSQGKQLIVVVYNSLGWKRNDVIRIPVINEDVTVQDSEGREIESQLLPLDDAHVGLRNYHVKAYLGRTPTNTPNYWLAFTVSVPPLGFSTYTISDAKGAGACSTRSSVYTFQGREKSTVEVGQGNVKLTFSTDQGKMTNYVNRRSLVEELVEQSYSFYTAYNGSSDEAPLIPQNSGAYVFRPNGTFLINPGEKASFTVMRGPIIDEVHQHINSWIYQITRLHKEKEHVEVEFIVGPIPIDDGTGKEVVTQIATTMATNKTFYTDSNGRDFIKRIRDYRTDWDLKVHQPIAGNYYPINLGIYMQDNRAEFSVLVDRSIGGSSTVDGQIDLMLHRRLLLDDSRGVAEALNETVCVPNDCTGLRIQGKFYFRIDPMGDGAKWRRSFGQEIYSPLLLAFAEQDGDNWKNSHVTTFSGVGSSYSLPDNVALITLQELDDGKVLLRLAHLYEIGEDKDLSVMANVELKQLFPRKKIGEVTEMNLSANQERAEMEKKRLVWKVEEGSAEEAKVVRGGRVDPAKLVVELGPMEIRTFLIEFKQRFHRDMADA >ONI20070 pep chromosome:Prunus_persica_NCBIv2:G3:27112689:27118298:-1 gene:PRUPE_3G313300 transcript:ONI20070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLVFCCLLIILVGFLVADSKFMVYNTSQGIVPGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWRDQSEAVQSIVKQLVSSGQLEFINGGMCMHDEAATHYIDIIDQTTLGHRFIKKEFDVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDRDKRKNDKSLEFVWQGSKSLGSSAQIFSGAFPKNYEPPSGFYFEVNDDSPIVQDDITLFDYNVQDRVNNFVAAAVSQANITRTDHIMWTMGTDFKYQYAHTWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKYATNESWPIKTDDFFPYADRTNAYWTGYFTSRPALKYYVRTMSGYYLAARQLEFLKGRTNSGLNTDSLADALAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEQLVATSLAHLVESASYTGSGNPITEFQQCPLLNISYCPAAEVNLSQGKQLIVVVYNSLGWKRNDVIRIPVINEDVTVQDSEGREIESQLLPLDDAHVGLRNYHVKAYLGRTPTNTPNYWLAFTVSVPPLGFSTYTISDAKGAGACSTRSSVYTFQGREKSTVEVGQGNVKLTFSTDQGKMTNYVNRRSLVEELVEQSYSFYTAYNGSSDEAPLIPQNSGAYVFRPNGTFLINPGEKASFTVMRGPIIDEVHQHINSWIYQIILLHSVQVKT >ONI16055 pep chromosome:Prunus_persica_NCBIv2:G3:5603630:5604997:-1 gene:PRUPE_3G076200 transcript:ONI16055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETQPKLPVIDLSNENLKPGTEAWVLASKHVKYALEEYGCFEAVFHKVPLQLHNSIFSVVEDLFDLPIETKRQQTSERPYHSYFGQYSFLPLYESLGVDNPTTVEGAKGFTKIMWPAGNDHFCESAQSFSKLVAELDQMVTRMVFDIYGVERLYDSHMASTTYLLRCFKYREQKENETNVGLRPHKDKTFTSILHQSQVHGLQVRSKDGQWIEAEPSPSSFLVMAGDAFMAWSNDRVRSCDHQVIMKENKTRYSVGLFSFNSGILQVPEELTDDQHPLLYKPFDHFSFLRFDQTPEAKKSECPIKAYCGV >ONI14818 pep chromosome:Prunus_persica_NCBIv2:G3:680196:681941:-1 gene:PRUPE_3G010700 transcript:ONI14818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSRSISAGTWLVRKLCTAVEAATESARSQPGNPNRLYRRLSALGATGGSVAKTLNQYIMEGKMLKKYELERCIKELRKYRKFQHALEIMEWMEFRKMNYSKADFAIRLDLTSKVKGIEAAEDYFSGLSPSLKDRFTYGALLNCYCKELMEEKALALYETMDELEFASSSLVFNNLMSMHMRKQQPEKVAPLVQEMKQRNIPLDTFTYNIWMQSFASLNDFEGAERVLDEMQKQDGNQCSWSTYSNLAAIYVKAKIFDKAELALKKSEEMMKPLKQRNTYHFLISLYACTSNLGEVKRVWESLKKAFPATNNMSYLIMLQALCKLNDIEGLKECFEEWECKCSSYDMRLANTAIRGYLSQDMYEEAALVFADACKRTKGPFFKAREMFMLYFLKNCQVDLAVSYLGAAVSETADGEWHPSPDTTSAFFKYFEEEKDVESAENFCKILKRLNCLCSNEYYLLLKTYIAAGKLDPEMRQRLKEEDIEISPELESLLERVSPE >ONI14817 pep chromosome:Prunus_persica_NCBIv2:G3:680196:681941:-1 gene:PRUPE_3G010700 transcript:ONI14817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSRSISAGTWLVRKLCTAVEAATESARSQPGNPNRLYRRLSALGATGGSVAKTLNQYIMEGKMLKKYELERCIKELRKYRKFQHALEIMEWMEFRKMNYSKADFAIRLDLTSKVKGIEAAEDYFSGLSPSLKDRFTYGALLNCYCKELMEEKALALYETMDELEFASSSLVFNNLMSMHMRKQQPEKVAPLVQEMKQRNIPLDTFTYNIWMQSFASLNDFEGAERVLDEMQKQDGNQCSWSTYSNLAAIYVKAKIFDKAELALKKSEEMMKPLKQRNTYHFLISLYACTSNLGEVKRVWESLKKAFPATNNMSYLIMLQALCKLNDIEGLKECFEEWECKCSSYDMRLANTAIRGYLSQDMYEEAALVFADACKRTKGPFFKAREMFMLYFLKNCQVDLAVSYLGAAVSETADGEWHPSPDTTSAFFKYFEEEKDVESAENFCKILKRLNCLCSNEYYLLLKTYIAAGKLDPEMRQRLKEEDIEISPELESLLERVSPE >ONI14820 pep chromosome:Prunus_persica_NCBIv2:G3:678835:682061:-1 gene:PRUPE_3G010700 transcript:ONI14820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSRSISAGTWLVRKLCTAVEAATESARSQPGNPNRLYRRLSALGATGGSVAKTLNQYIMEGKMLKKYELERCIKELRKYRKFQHALEIMEWMEFRKMNYSKADFAIRLDLTSKVKGIEAAEDYFSGLSPSLKDRFTYGALLNCYCKELMEEKALALYETMDELEFASSSLVFNNLMSMHMRKQQPEKVAPLVQEMKQRNIPLDTFTYNIWMQSFASLNDFEGAERVLDEMQKQDGNQCSWSTYSNLAAIYVKAKIFDKAELALKKSEEMMKPLKQRNTYHFLISLYACTSNLGEVKRVWESLKKAFPATNNMSYLIMLQALCKLNDIEGLKECFEEWECKCSSYDMRLANTAIRGYLSQDMYEEAALVFADACKRTKGPFFKAREMFMLYFLKNCQVDLAVSYLGAAVSETADGEWHPSPDTTSAFFKYFEEEKDVESAENFCKILKRLNCLCSNEYYLLLKTYIAAGKLDPEMRQRLKEEDIEISPELESLLERVSPE >ONI14822 pep chromosome:Prunus_persica_NCBIv2:G3:678835:682029:-1 gene:PRUPE_3G010700 transcript:ONI14822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSRSISAGTWLVRKLCTAVEAATESARSQPGNPNRLYRRLSALGATGGSVAKTLNQYIMEGKMLKKYELERCIKELRKYRKFQHALEIMEWMEFRKMNYSKADFAIRLDLTSKVKGIEAAEDYFSGLSPSLKDRFTYGALLNCYCKELMEEKALALYETMDELEFASSSLVFNNLMSMHMRKQQPEKVAPLVQEMKQRNIPLDTFTYNIWMQSFASLNDFEGAERVLDEMQKQDGNQCSWSTYSNLAAIYVKAKIFDKAELALKKSEEMMKPLKQRNTYHFLISLYACTSNLGEVKRVWESLKKAFPATNNMSYLIMLQALCKLNDIEGLKECFEEWECKCSSYDMRLANTAIRGYLSQDMYEEAALVFADACKRTKGPFFKAREMFMLYFLKNCQVDLAVSYLGAAVSETADGEWHPSPDTTSAFFKYFEEEKDVESAENFCKILKRLNCLCSNEYYLLLKTYIAAGKLDPEMRQRLKEEDIEISPELESLLERVSPE >ONI14821 pep chromosome:Prunus_persica_NCBIv2:G3:679378:682048:-1 gene:PRUPE_3G010700 transcript:ONI14821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSRSISAGTWLVRKLCTAVEAATESARSQPGNPNRLYRRLSALGATGGSVAKTLNQYIMEGKMLKKYELERCIKELRKYRKFQHALEIMEWMEFRKMNYSKADFAIRLDLTSKVKGIEAAEDYFSGLSPSLKDRFTYGALLNCYCKELMEEKALALYETMDELEFASSSLVFNNLMSMHMRKQQPEKVAPLVQEMKQRNIPLDTFTYNIWMQSFASLNDFEGAERVLDEMQKQDGNQCSWSTYSNLAAIYVKAKIFDKAELALKKSEEMMKPLKQRNTYHFLISLYACTSNLGEVKRVWESLKKAFPATNNMSYLIMLQALCKLNDIEGLKECFEEWECKCSSYDMRLANTAIRGYLSQDMYEEAALVFADACKRTKGPFFKAREMFMLYFLKNCQVDLAVSYLGAAVSETADGEWHPSPDTTSAFFKYFEEEKDVESAENFCKILKRLNCLCSNEYYLLLKTYIAAGKLDPEMRQRLKEEDIEISPELESLLERVSPE >ONI14819 pep chromosome:Prunus_persica_NCBIv2:G3:679395:682029:-1 gene:PRUPE_3G010700 transcript:ONI14819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSRSISAGTWLVRKLCTAVEAATESARSQPGNPNRLYRRLSALGATGGSVAKTLNQYIMEGKMLKKYELERCIKELRKYRKFQHALEIMEWMEFRKMNYSKADFAIRLDLTSKVKGIEAAEDYFSGLSPSLKDRFTYGALLNCYCKELMEEKALALYETMDELEFASSSLVFNNLMSMHMRKQQPEKVAPLVQEMKQRNIPLDTFTYNIWMQSFASLNDFEGAERVLDEMQKQDGNQCSWSTYSNLAAIYVKAKIFDKAELALKKSEEMMKPLKQRNTYHFLISLYACTSNLGEVKRVWESLKKAFPATNNMSYLIMLQALCKLNDIEGLKECFEEWECKCSSYDMRLANTAIRGYLSQDMYEEAALVFADACKRTKGPFFKAREMFMLYFLKNCQVDLAVSYLGAAVSETADGEWHPSPDTTSAFFKYFEEEKDVESAENFCKILKRLNCLCSNEYYLLLKTYIAAGKLDPEMRQRLKEEDIEISPELESLLERVSPE >ONI17790 pep chromosome:Prunus_persica_NCBIv2:G3:19542416:19548534:1 gene:PRUPE_3G179200 transcript:ONI17790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGDEEPATKRMKLSPGQLRGLSNGSSITEPVAGSSRDLMARPLTSEGDEEVVGSKGVIKRVEFVRLIAKALYSLGYKKSSAHLEEESGIPLHSSVVTLFMQQILDGNWDGSVDTLQKIGLSDENITKSASFMILEQKFFELLDDEKDMDALKTLRTEIVPLCINGSRVRELSSCIVSPSFGGTPGKNIARAKSRPKLLEDLQKLLPPTVMILERRLEHLVEQALVLQRDACIFHNSLNEEMSLYTDHRCGRDQIPSRTLQILQAHSDEVWFLQFSHNGKYLASSSSDRLAIIWEVDVNGCVSLKHRLSGHQKPVSIVSWSPDDHQLLTCGVEEVVRRWDVSSGECLHVYEKPGLGLVSCGWFPDGKWIFSGVSDKSICMWELDGKELECWKGQRTLRISDLEITSDGKQIISTCRDNAILLLDREAKVEILIEEDQTITSFSLSRDNRFLLVNLLNQEIHLWSIEGEIKLVAKYKGHKRTRFVIRSCFGGLEQAFIASGSEDSQVYVWHRGSGELIEALPGHSGAVNCVSWNPTNTHMLASASDDRTIRIWGLKELKVKHRDAHSNGVHYCNGGT >ONI17793 pep chromosome:Prunus_persica_NCBIv2:G3:19542404:19548534:1 gene:PRUPE_3G179200 transcript:ONI17793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGDEEPATKRMKLSPGQLRGLSNGSSITEPVAGSSRDLMARPLTSEGDEEVVGSKGVIKRVEFVRLIAKALYSLGYKKSSAHLEEESGIPLHSSVVTLFMQQILDGNWDGSVDTLQKIGLSDENITKSASFMILEQKFFELLDDEKDMDALKTLRTEIVPLCINGSRVRELSSCIVSPSFGGTPGKNIARAKSRPKLLEDLQKLLPPTVMILERRLEHLVEQALVLQRDACIFHNSLNEEMSLYTDHRCGRDQIPSRTLQILQAHSDEVWFLQFSHNGKYLASSSSDRLAIIWEVDVNGCVSLKHRLSGHQKPVSIVSWSPDDHQLLTCGVEEVVRRWDVSSGECLHVYEKPGLGLVSCGWFPDGKWIFSGVSDKSICMWELDGKELECWKGQRTLRISDLEITSDGKQIISTCRDNAILLLDREAKVEILIEEDQTITSFSLSRDNRFLLVNLLNQEIHLWSIEGEIKLVAKYKGHKRTRFVIRSCFGGLEQAFIASGSEDSQVYVWHRGSGELIEALPGHSGAVNCVSWNPTNTHMLASASDDRTIRIWGLKELKVKHRDAHSNGVHYCNGGT >ONI17792 pep chromosome:Prunus_persica_NCBIv2:G3:19542337:19548534:1 gene:PRUPE_3G179200 transcript:ONI17792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGDEEPATKRMKLSPGQLRGLSNGSSITEPVAGSSRDLMARPLTSEGDEEVVGSKGVIKRVEFVRLIAKALYSLGYKKSSAHLEEESGIPLHSSVVTLFMQQILDGNWDGSVDTLQKIGLSDENITKSASFMILEQKFFELLDDEKDMDALKTLRTEIVPLCINGSRVRELSSCIVSPSFGGTPGKNIARAKSRPKLLEDLQKLLPPTVMILERRLEHLVEQALVLQRDACIFHNSLNEEMSLYTDHRCGRDQIPSRTLQILQAHSDEVWFLQFSHNGKYLASSSSDRLAIIWEVDVNGCVSLKHRLSGHQKPVSIVSWSPDDHQLLTCGVEEVVRRWDVSSGECLHVYEKPGLGLVSCGWFPDGKWIFSGVSDKSICMWELDGKELECWKGQRTLRISDLEITSDGKQIISTCRDNAILLLDREAKVEILIEEDQTITSFSLSRDNRFLLVNLLNQEIHLWSIEGEIKLVAKYKGHKRTRFVIRSCFGGLEQAFIASGSEDSQVYVWHRGSGELIEALPGHSGAVNCVSWNPTNTHMLASASDDRTIRIWGLKELKVKHRDAHSNGVHYCNGGT >ONI17791 pep chromosome:Prunus_persica_NCBIv2:G3:19542962:19548534:1 gene:PRUPE_3G179200 transcript:ONI17791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGDEEPATKRMKLSPGQLRGLSNGSSITEPVAGSSRDLMARPLTSEGDEEVVGSKGVIKRVEFVRLIAKALYSLGYKKSSAHLEEESGIPLHSSVVTLFMQQILDGNWDGSVDTLQKIGLSDENITKSASFMILEQKFFELLDDEKDMDALKTLRTEIVPLCINGSRVRELSSCIVSPSFGGTPGKNIARAKSRPKLLEDLQKLLPPTVMILERRLEHLVEQALVLQRDACIFHNSLNEEMSLYTDHRCGRDQIPSRTLQILQAHSDEVWFLQFSHNGKYLASSSSDRLAIIWEVDVNGCVSLKHRLSGHQKPVSIVSWSPDDHQLLTCGVEEVVRRWDVSSGECLHVYEKPGLGLVSCGWFPDGKWIFSGVSDKSICMWELDGKELECWKGQRTLRISDLEITSDGKQIISTCRDNAILLLDREAKVEILIEEDQTITSFSLSRDNRFLLVNLLNQEIHLWSIEGEIKLVAKYKGHKRTRFVIRSCFGGLEQAFIASGSEDSQVYVWHRGSGELIEALPGHSGAVNCVSWNPTNTHMLASASDDRTIRIWGLKELKVKHRDAHSNGVHYCNGGT >ONI18281 pep chromosome:Prunus_persica_NCBIv2:G3:21374021:21375476:-1 gene:PRUPE_3G207000 transcript:ONI18281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLQAIWNHPAGPKTIHFWAPTFKWGVSIANIIDSSKPPEQLSYVQQSALTCNGLIWARNSLIITPKNWNLFSVSIGMAATSLFQLSRKIQHDLSPKNQQAAEKKNEEHHFINLL >ONI18282 pep chromosome:Prunus_persica_NCBIv2:G3:21374021:21375477:-1 gene:PRUPE_3G207000 transcript:ONI18282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLQAIWNHPAGPKTIHFWAPTFKWGVSIANIIDSSKPPEQLSYVQQSEELESFQCQYWDGCNFPVSTFT >ONI18283 pep chromosome:Prunus_persica_NCBIv2:G3:21374418:21375313:-1 gene:PRUPE_3G207000 transcript:ONI18283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLQAIWNHPAGPKTIHFWAPTFKWGVSIANIIDSSKPPEQLSYVQQSALTCNGLIWARNSLIITPIAEELESFQCQYWDGCNFPVSTFT >ONI18442 pep chromosome:Prunus_persica_NCBIv2:G3:21867767:21870072:1 gene:PRUPE_3G216400 transcript:ONI18442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDVNIYIMKVLARLNLKAWLIYGDLSHVILIEPRQYLLLHLSPCFHPSFHGMWFLIDHPSPPPLLSIFFLVSPFFFFCSLWVLLQPLNY >ONI16211 pep chromosome:Prunus_persica_NCBIv2:G3:6266106:6269875:1 gene:PRUPE_3G085000 transcript:ONI16211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALICVLQEQLASIIQKQVEQEVTLVVGVEKEVAKLSDNFKAIQVVLEDAEKRQVKEPNVKYWLDSLKDVSYEMDDVLDEWSTEILKLQIQKQEAGNAGSTSTTKKVCFSIPALWFCFGQVSQLTLRRDIAVNIKDLNKRLSLIASERQNFNFQSTNREIEQIERQKSSSFVDKTFGRVDEKDKVVEKLLSGSGQGGATCLVIPITGTGGIGKTTLAKLAYNDEKVQAQFHTRIWVCVSDPFDEIKIAKAIIVGLTKETPHLHELQILKSIIHESVKGKKFLLVLDDVWSQDYGKWEQLKLLLQNGAVGSRILVTTQEEKVVRMVGAEHMVNLEVLREENCWALFYHIALADREKNESKGLEFIGKEIVKKCKGLPLATKALGGLMCYKKTRKEWEDVLNSKIWELDVVKEVFQPLLLSYYDLAPAIKPCLLYCVIFPKDYEIAKDELIELWMSQNYLNSIENKEKEAVGEIYFDNLVTRSFFQEFEEDELGNITGCKMHDVVHDFLQFLTKNECLVLEAEGGNNKRIMEFDGYKKVPHLTLMFAPEDPLIPSSLCNCKNLRTLATFDSKITSFGGELISQVKCLRTLNLSRNFLKEVPNEVGELAHLRYLDLSNNHDLMKLPDTVCSLINLQTLRLIRCWALERLPKGMGKLINLQHLHVMGCVDLKLPKGIARLTSLRMLDEVHIHGDDDIDNNKEALFKLSDLRNMDQLHGRLFINFKKDLKDSRQAGIAHLVNKNCLVSLELHFSIGGRYEIPLEAGQEEIVNALQPHPNLESLSINIYRGPKLQPHWMTSLNKLRSLTLRYCMLVEFVPPLGRLESLEVLVIYKWLSVKKVGVEFLRIDGTIETQTSSSPFILFPNLKTLEFDSLPMWEEWEGMTGWSEEEDSQKTIKIMPRLSSLRMNSCPLLRTLPNFLRNTPLKELVIDEVYSLTLAQGCRKGREEWPKISHIPNIKLGFEFVQKDGVYQTDDDETPSAVSTSSSGIKKFLKNYLRLGLALPGQA >ONI18875 pep chromosome:Prunus_persica_NCBIv2:G3:23686135:23688827:1 gene:PRUPE_3G245100 transcript:ONI18875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEESNGAAATKNWARVCDTCRSAACTVYCRADSAYLCSGCDATIHAANRVASRHERVWVCEACEQAPAAFLCKADAASLCTACDADIHSANPLARRHQRVPILPISGCLYGPQATDPGEIVVGAAAAETEDGLLSQEGDETIDEEDEDEAASWLLLNPMKNNNNNCNNNNNQSNGFFFGVEVDEYLDLVEYNSSCGDQNQFTDHHQSNQQQEQQQQHYGVPVPHKNYGGDSVVPVQMQKQSFHQLGLEYESSKAAYSYNGSLSNTVSVSSMDVGVVPDSTMSDISISHPRTPKGTIDLFSGPPIQMPSQLSPLDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRTEMEVEVDQMFSTTLMAENGYGIVPSF >ONI16507 pep chromosome:Prunus_persica_NCBIv2:G3:8052836:8054071:1 gene:PRUPE_3G102900 transcript:ONI16507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSQLGTHLYASMMRGTFIILMFAVGTWISSAHARALIDQSPSKHPPSSFLGTENIGSKFFVTKTGLNGNYTRRSKSPPPPPKAAPTPKQTPTIANILEKSPPSSRYISSA >ONI18157 pep chromosome:Prunus_persica_NCBIv2:G3:20920219:20924810:1 gene:PRUPE_3G200300 transcript:ONI18157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNIEEALIAKEIAEKRFAERDYAGAKHYALKAKSLYPGLEGISQMLATFDVYVASEARFYGEIDHYSILGLKPSANKDAVKKQYRKMAVLLHPDKNKSVGADGAFKLVSEAWTLLSDTTKRSFYDLQRNKQSTTTVNQPNLSSVHAGGVPGFNNCSNSSASHGRLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGIFIAVESGAAPANGSFPYPPPWSYVPSNGYGNHGFDGATYVPSNGTFFPGNGVSGFHSGHGYEYIPNMSFQWSSYPGTSTGVAGPHGSSGISPDAVYQANGNVNKAGVKVKSRANGKRSTTTAVANMNSNMPPRSNELPEFKNDGLDKKRKVTVGANFRNGHDERVSKSASEAKLANGNGTLGNDQKIPSSGELLARRCSVAPAFDAKKLLIEKARTEILKKLNEINLASEAAAAIKNTKRQVEVRQSEVTQGHQLRTKDAEPLSITVPDPDFHDFDKDRSEECFKPKQIWALYDEEDGMPRLYCLIREVVSVKPFKILITYLNSKTDSEFGSVNWLDCGFTKSCGNFRARNLDVVEQVNIFSHVLSREKAGRGGCVRIYPKRGDIWAMYRNWSRDWDRSTPDEVRHQYVMVEVLDDYSEELGVCVLPLVKLDGFKTVYQSNTDSSAVQLIPRREMLRFSHQVPSWLLKGEESNLPGKCWDLDPAATPDELLHASVEARA >ONI18156 pep chromosome:Prunus_persica_NCBIv2:G3:20921238:20924810:1 gene:PRUPE_3G200300 transcript:ONI18156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNIEEALIAKEIAEKRFAERDYAGAKHYALKAKSLYPGLEGISQMLATFDVYVASEARFYGEIDHYSILGLKPSANKDAVKKQYRKMAVLLHPDKNKSVGADGAFKLVSEAWTLLSDTTKRSFYDLQRNKQSTTTVNQPNLSSVHAGGVPGFNNCSNSSASHGRLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGIFIAVESGAAPANGSFPYPPPWSYVPSNGYGNHGFDGATYVPSNGTFFPGNGVSGFHSGHGYEYIPNMSFQWSSYPGTSTGVAGPHGSSGISPDAVYQANGNVNKAGVKVKSRANGKRSTTTAVANMNSNMPPRSNELPEFKNDGLDKKRKVTVGANFRNGHDERVSKSASEAKLANGNGTLGNDQKIPSSGELLARRCSVAPAFDAKKLLIEKARTEILKKLNEINLASEAAAAIKNTKRQVEVRQSEVTQGHQLRTKDAEPLSITVPDPDFHDFDKDRSEECFKPKQIWALYDEEDGMPRLYCLIREVVSVKPFKILITYLNSKTDSEFGSVNWLDCGFTKSCGNFRARNLDVVEQVNIFSHVLSREKAGRGGCVRIYPKRGDIWAMYRNWSRDWDRSTPDEVRHQYVMVEVLDDYSEELGVCVLPLVKLDGFKTVYQSNTDSSAVQLIPRREMLRFSHQVPSWLLKGEESNLPGKCWDLDPAATPDELLHASVEARA >ONI15958 pep chromosome:Prunus_persica_NCBIv2:G3:5116190:5117439:1 gene:PRUPE_3G071200 transcript:ONI15958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRDQGAAQNHACASCNHQRRKCDETCEMAPYFPASRYNEFQNAHKIFCVSNIQKIMAMAAPDQRQAAAESLPTEGNARKNDPDLLLIDTISCNEAIKLIGSNIKLYKLPLVSLRQLQAPMLMPLRQSDRRCKPS >ONI18747 pep chromosome:Prunus_persica_NCBIv2:G3:23132645:23137193:-1 gene:PRUPE_3G236400 transcript:ONI18747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTSTSEDLTCSRSSGWVILKLLSTTQMKKRVRPSSPFLVPQHQKLQAT >ONI18749 pep chromosome:Prunus_persica_NCBIv2:G3:23132645:23137193:-1 gene:PRUPE_3G236400 transcript:ONI18749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTSTSEDLTCSRSSGWVILKLLSTTQMKKRVRPSSPFLVPQHQKLQAT >ONI18750 pep chromosome:Prunus_persica_NCBIv2:G3:23133527:23136539:-1 gene:PRUPE_3G236400 transcript:ONI18750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTSTSEDLTCSRSSGWVILKLLSTTQMKKRVRPSSPFLVPQHQKLQAT >ONI18748 pep chromosome:Prunus_persica_NCBIv2:G3:23133527:23136539:-1 gene:PRUPE_3G236400 transcript:ONI18748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTSTSEDLTCSRSSGWVILKLLSTTQMKKRVRPSSPFLVPQHQKLQAT >ONI17066 pep chromosome:Prunus_persica_NCBIv2:G3:14365411:14366298:-1 gene:PRUPE_3G136000 transcript:ONI17066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKRNMDEACSGNGKSRAVNLALGGREKLGFINGNIPAPVVDDPKYEDWFCKDQLVMSWLLNSMEPEVAEIFSFSNFAQHLWTAAKEMYGNKNIAAMIFQLKKDIAGVYQDGKSFIEYMRKLKGMWNELDLYRPHTTNLVNLLKRAEEDKIFVELNSKSKYR >ONI18980 pep chromosome:Prunus_persica_NCBIv2:G3:24097954:24098822:-1 gene:PRUPE_3G250900 transcript:ONI18980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNSNYHSKVWLVNENVMVNMGMGLRWIHTMPSGNQKWPPTKHHLTTYKFEHGAQTKSGPLALTLACNQAFGLWAKESIFTFQEVGLGSPAVLRISFQQRDHGDGSLFDGPGKVLAHRWKATRGC >ONI17254 pep chromosome:Prunus_persica_NCBIv2:G3:16251849:16258664:1 gene:PRUPE_3G148800 transcript:ONI17254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWWDLGSLSRLKNFSGLFQDVSSKKPEISAVNSDSFTPIDLPLIGHREDENKMQEVQLGSHTVRSHGMTVARTHMHDWVILMLLGLIVVILNVIHPFYRFVGKDMMTDLKYPLKSNTVPVWAIPMYAVALPIAIFFIVYFRRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKDVYDQLGNVVCHGKKSVIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRKGHVAKLCIVFLPLLFASLVGVSRVDDYWHHWQDVFAGGLLGWGPYAYFQVLEESRSVTQAATIVNGSNVQVTEARVENQEDETSIHGCMGLSLAHNSGSALEELESGRR >ONI17258 pep chromosome:Prunus_persica_NCBIv2:G3:16251849:16258664:1 gene:PRUPE_3G148800 transcript:ONI17258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWWDLGSLSRLKNFSGLFQNKMQEVQLGSHTVRSHGMTVARTHMHDWVILMLLGLIVVILNVIHPFYRFVGKDMMTDLKYPLKSNTVPVWAIPMYAVALPIAIFFIVYFRRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKDVYDQLGNVVCHGKKSVIKEGHKSFPSGHTSCWGPYAYFQVLEESRSVTQAATIVNGSNVQVTEARVENQEDETSIHGCMGLSLAHNSGSALEELESGRR >ONI17256 pep chromosome:Prunus_persica_NCBIv2:G3:16251849:16258664:1 gene:PRUPE_3G148800 transcript:ONI17256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWWDLGSLSRLKNFSGLFQNKMQEVQLGSHTVRSHGMTVARTHMHDWVILMLLGLIVVILNVIHPFYRFVGKDMMTDLKYPLKSNTVPVWAIPMYAVALPIAIFFIVYFRRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKDVYDQLGNVVCHGKKSVIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRKGHVAKLCIVFLPLLFASLVGVSRVDDYWHHWQDVFAGGLLGFIVASFCYLQFFPPPYHAQGWGPYAYFQVLEESRSVTQAATIVNGSNVQVTEARVENQEDETSIHGCMGLSLAHNSGSALEELESGRR >ONI17257 pep chromosome:Prunus_persica_NCBIv2:G3:16251849:16258664:1 gene:PRUPE_3G148800 transcript:ONI17257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWWDLGSLSRLKNFSGLFQNKMQEVQLGSHTVRSHGMTVARTHMHDWVILMLLGLIVVILNVIHPFYRFVGKDMMTDLKYPLKSNTVPVWAIPMYAVALPIAIFFIVYFRRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKDVYDQLGNVVCHGKKSVIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRKGHVAKLCIVFLPLLFASLVGVSRVDDYWHHWQDVFAGGLLGWGPYAYFQVLEESRSVTQAATIVNGSNVQVTEARVENQEDETSIHGCMGLSLAHNSGSALEELESGRR >ONI17253 pep chromosome:Prunus_persica_NCBIv2:G3:16251849:16258664:1 gene:PRUPE_3G148800 transcript:ONI17253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWWDLGSLSRLKNFSGLFQDVSSKKPEISAVNSDSFTPIDLPLIGHREDENKMQEVQLGSHTVRSHGMTVARTHMHDWVILMLLGLIVVILNVIHPFYRFVGKDMMTDLKYPLKSNTVPVWAIPMYAVALPIAIFFIVYFRRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKDVYDQLGNVVCHGKKSVIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRKGHVAKLCIVFLPLLFASLVGVSRVDDYWHHWQDVFAGGLLGFIVASFCYLQFFPPPYHAQGWGPYAYFQVLEESRSVTQAATIVNGSNVQVTEARVENQEDETSIHGCMGLSLAHNSGSALEELESGRR >ONI17255 pep chromosome:Prunus_persica_NCBIv2:G3:16251849:16258664:1 gene:PRUPE_3G148800 transcript:ONI17255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWWDLGSLSRLKNFSGLFQDVSSKKPEISAVNSDSFTPIDLPLIGHREDENKMQEVQLGSHTVRSHGMTVARTHMHDWVILMLLGLIVVILNVIHPFYRFVGKDMMTDLKYPLKSNTVPVWAIPMYAVALPIAIFFIVYFRRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKDVYDQLGNVVCHGKKSVIKEGHKSFPSGHTSCWGPYAYFQVLEESRSVTQAATIVNGSNVQVTEARVENQEDETSIHGCMGLSLAHNSGSALEELESGRR >ONI17259 pep chromosome:Prunus_persica_NCBIv2:G3:16254706:16258664:1 gene:PRUPE_3G148800 transcript:ONI17259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDIDVNKMQEVQLGSHTVRSHGMTVARTHMHDWVILMLLGLIVVILNVIHPFYRFVGKDMMTDLKYPLKSNTVPVWAIPMYAVALPIAIFFIVYFRRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKDVYDQLGNVVCHGKKSVIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRKGHVAKLCIVFLPLLFASLVGVSRVDDYWHHWQDVFAGGLLGFIVASFCYLQFFPPPYHAQGWGPYAYFQVLEESRSVTQAATIVNGSNVQVTEARVENQEDETSIHGCMGLSLAHNSGSALEELESGRR >ONI17261 pep chromosome:Prunus_persica_NCBIv2:G3:16253584:16258664:1 gene:PRUPE_3G148800 transcript:ONI17261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVQLGSHTVRSHGMTVARTHMHDWVILMLLGLIVVILNVIHPFYRFVGKDMMTDLKYPLKSNTVPVWAIPMYAVALPIAIFFIVYFRRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKDVYDQLGNVVCHGKKSVIKEGHKSFPSGHTSCWGPYAYFQVLEESRSVTQAATIVNGSNVQVTEARVENQEDETSIHGCMGLSLAHNSGSALEELESGRR >ONI17260 pep chromosome:Prunus_persica_NCBIv2:G3:16252468:16258664:1 gene:PRUPE_3G148800 transcript:ONI17260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVQLGSHTVRSHGMTVARTHMHDWVILMLLGLIVVILNVIHPFYRFVGKDMMTDLKYPLKSNTVPVWAIPMYAVALPIAIFFIVYFRRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKDVYDQLGNVVCHGKKSVIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRKGHVAKLCIVFLPLLFASLVGVSRVDDYWHHWQDVFAGGLLGFIVASFCYLQFFPPPYHAQGWGPYAYFQVLEESRSVTQAATIVNGSNVQVTEARVENQEDETSIHGCMGLSLAHNSGSALEELESGRR >ONI18496 pep chromosome:Prunus_persica_NCBIv2:G3:22031835:22032532:1 gene:PRUPE_3G219000 transcript:ONI18496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNAVDHVQSGTDALQTAKSLQKKSRKCMMISIILLLIIALIIVLSILKPWKK >ONI15377 pep chromosome:Prunus_persica_NCBIv2:G3:2895027:2895458:1 gene:PRUPE_3G040300 transcript:ONI15377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATVTSLGEANGPRFCKRNQAQESLRAPTNCRDAGLETGNLRALGVFIASCDGLRHVWSLWASNPEYILADCTVIRLVLWTN >ONI18491 pep chromosome:Prunus_persica_NCBIv2:G3:22012263:22013168:1 gene:PRUPE_3G218600 transcript:ONI18491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSPAHTRCYVVPFTPQSLSIYREVIFLELEYLFTILFFVLRDRYYIQYFEAKQHDFLIHKTKSQVAITKQIGILIHELCVVPIMICHNIQPDARSRSCQEAMSISCRSCC >ONI16436 pep chromosome:Prunus_persica_NCBIv2:G3:7486450:7494293:-1 gene:PRUPE_3G097800 transcript:ONI16436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSNSERPQFIASTGNRSFSNAPLIENTDTDQIVVPDKTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYGLLWIILVASCAALIIQSLAANLGVVTGKHLAEHCRAEYPRVTNFILWVLAEISIVACDIPEVIGTAFALNMLFNIPIWIGVLLTGLSTLLLLALQQYGVRKLEFLIAFLVLTIAGCFFAELGYAKPDAAEVLNGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVCGIKEACRFYMIESGFALMVAFLINVSVISVSGAVCNSADLNAEDQMNCTDLDLNKASFLLRNVLGSWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRLKPWLRNFLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALIPLLKFTSSKTKMGAYANSTAISAITWIIGSLLMAINVYYLISRFIKLLLHSHFKVLAVVFLGILGFSGMGLYLAGIAYLVFRKNKEATHLLALTTPESRQLANEQQGNASMYCLAREDIVSMQLPQRRSTEDFD >ONI16433 pep chromosome:Prunus_persica_NCBIv2:G3:7486587:7494209:-1 gene:PRUPE_3G097800 transcript:ONI16433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSNSERPQFIASTGNRSFSNAPLIENTDTDQIVVPDKTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYGLLWIILVASCAALIIQSLAANLGVVTGKHLAEHCRAEYPRVTNFILWVLAEISIVACDIPEVIGTAFALNMLFNIPIWIGVLLTGLSTLLLLALQQYGVRKLEFLIAFLVLTIAGCFFAELGYAKPDAAEVLNGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVCGIKEACRFYMIESGFALMVAFLINVSVISVSGAVCNSADLNAEDQMNCTDLDLNKASFLLRNVLGSWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRLKPWLRNFLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALIPLLKFTSSKTKMGAYANSTAISAITWIIGSLLMAINVYYLISRFIKLLLHSHFKVLAVVFLGILGFSGMGLYLAGIAYLVFRKNKEATHLLALTTPESRQLANEQQGNASMYCLAREDIVSMQLPQRRSTEDFD >ONI16430 pep chromosome:Prunus_persica_NCBIv2:G3:7486811:7493419:-1 gene:PRUPE_3G097800 transcript:ONI16430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSNSERPQFIASTGNRSFSNAPLIENTDTDQIVVPDKTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYGLLWIILVASCAALIIQSLAANLGVVTGKHLAEHCRAEYPRVTNFILWVLAEISIVACDIPEVIGTAFALNMLFNIPIWIGVLLTGLSTLLLLALQQYGVRKLEFLIAFLVLTIAGCFFAELGYAKPDAAEVLNGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVCGIKEACRFYMIESGFALMVAFLINVSVISVSGAVCNSADLNAEDQMNCTDLDLNKASFLLRNVLGSWSSKLFAVALLASGQSSTITGTYAGQYVMQMILSFELPFALIPLLKFTSSKTKMGAYANSTAISAITWIIGSLLMAINVYYLISRFIKLLLHSHFKVLAVVFLGILGFSGMGLYLAGIAYLVFRKNKEATHLLALTTPESRQLANEQQGNASMYCLAREDIVSMQLPQRRSTEDFD >ONI16428 pep chromosome:Prunus_persica_NCBIv2:G3:7486496:7494209:-1 gene:PRUPE_3G097800 transcript:ONI16428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSNSERPQFIASTGNRSFSNAPLIENTDTDQIVVPDKTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYGLLWIILVASCAALIIQSLAANLGVVTGKHLAEHCRAEYPRVTNFILWVLAEISIVACDIPEVIGTAFALNMLFNIPIWIGVLLTGLSTLLLLALQQYGVRKLEFLIAFLVLTIAGCFFAELGYAKPDAAEVLNGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVCGIKEACRFYMIESGFALMVAFLINVSVISVSGAVCNSADLNAEDQMNCTDLDLNKASFLLRNVLGSWSSKLFAVALLASGQSSTITGTYAGQYVMQMILSFELPFALIPLLKFTSSKTKMGAYANSTAISAITWIIGSLLMAINVYYLISRFIKLLLHSHFKVLAVVFLGILGFSGMGLYLAGIAYLVFRKNKEATHLLALTTPESRQLANEQQGNASMYCLAREDIVSMQLPQRRSTEDFD >ONI16435 pep chromosome:Prunus_persica_NCBIv2:G3:7486537:7494218:-1 gene:PRUPE_3G097800 transcript:ONI16435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSNSERPQFIASTGNRSFSNAPLIENTDTDQIVVPDKTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYGLLWIILVASCAALIIQSLAANLGVVTGKHLAEHCRAEYPRVTNFILWVLAEISIVACDIPEVIGTAFALNMLFNIPIWIGVLLTGLSTLLLLALQQYGVRKLEFLIAFLVLTIAGCFFAELGYAKPDAAEVLNGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVCGIKEACRFYMIESGFALMVAFLINVSVISVSGAVCNSADLNAEDQMNCTDLDLNKASFLLRNVLGSWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRLKPWLRNFLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALIPLLKFTSSKTKMGAYANSTAISAITWIIGSLLMAINVYYLISRFIKLLLHSHFKVLAVVFLGILGFSGMGLYLAGIAYLVFRKNKEATHLLALTTPESRQLANEQQGNASMYCLAREDIVSMQLPQRRSTEDFD >ONI16434 pep chromosome:Prunus_persica_NCBIv2:G3:7486537:7494210:-1 gene:PRUPE_3G097800 transcript:ONI16434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSNSERPQFIASTGNRSFSNAPLIENTDTDQIVVPDKTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYGLLWIILVASCAALIIQSLAANLGVVTGKHLAEHCRAEYPRVTNFILWVLAEISIVACDIPEVIGTAFALNMLFNIPIWIGVLLTGLSTLLLLALQQYGVRKLEFLIAFLVLTIAGCFFAELGYAKPDAAEVLNGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVCGIKEACRFYMIESGFALMVAFLINVSVISVSGAVCNSADLNAEDQMNCTDLDLNKASFLLRNVLGSWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRLKPWLRNFLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALIPLLKFTSSKTKMGAYANSTAISAITWIIGSLLMAINVYYLISRFIKLLLHSHFKVLAVVFLGILGFSGMGLYLAGIAYLVFRKNKEATHLLALTTPESRQLANEQQGNASMYCLAREDIVSMQLPQRRSTEDFD >ONI16429 pep chromosome:Prunus_persica_NCBIv2:G3:7486574:7494209:-1 gene:PRUPE_3G097800 transcript:ONI16429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSNSERPQFIASTGNRSFSNAPLIENTDTDQIVVPDKTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYGLLWIILVASCAALIIQSLAANLGVVTGKHLAEHCRAEYPRVTNFILWVLAEISIVACDIPEVIGTAFALNMLFNIPIWIGVLLTGLSTLLLLALQQYGVRKLEFLIAFLVLTIAGCFFAELGYAKPDAAEVLNGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVCGIKEACRFYMIESGFALMVAFLINVSVISVSGAVCNSADLNAEDQMNCTDLDLNKASFLLRNVLGSWSSKLFAVALLASGQSSTITGTYAGQYVMQMILSFELPFALIPLLKFTSSKTKMGAYANSTAISAITWIIGSLLMAINVYYLISRFIKLLLHSHFKVLAVVFLGILGFSGMGLYLAGIAYLVFRKNKEATHLLALTTPESRQLANEQQGNASMYCLAREDIVSMQLPQRRSTEDFD >ONI16431 pep chromosome:Prunus_persica_NCBIv2:G3:7486578:7494209:-1 gene:PRUPE_3G097800 transcript:ONI16431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSNSERPQFIASTGNRSFSNAPLIENTDTDQIVVPDKTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYGLLWIILVASCAALIIQSLAANLGVVTGKHLAEHCRAEYPRVTNFILWVLAEISIVACDIPEVIGTAFALNMLFNIPIWIGVLLTGLSTLLLLALQQYGVRKLEFLIAFLVLTIAGCFFAELGYAKPDAAEVLNGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVCGIKEACRFYMIESGFALMVAFLINVSVISVSGAVCNSADLNAEDQMNCTDLDLNKASFLLRNVLGSWSSKLFAVALLASGQSSTITGTYAGQYVMQMILSFELPFALIPLLKFTSSKTKMGAYANSTAISAITWIIGSLLMAINVYYLISRFIKLLLHSHFKVLAVVFLGILGFSGMGLYLAGIAYLVFRKNKEATHLLALTTPESRQLANEQQGNASMYCLAREDIVSMQLPQRRSTEDFD >ONI16432 pep chromosome:Prunus_persica_NCBIv2:G3:7486811:7493419:-1 gene:PRUPE_3G097800 transcript:ONI16432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSNSERPQFIASTGNRSFSNAPLIENTDTDQIVVPDKTSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYGLLWIILVASCAALIIQSLAANLGVVTGKHLAEHCRAEYPRVTNFILWVLAEISIVACDIPEVIGTAFALNMLFNIPIWIGVLLTGLSTLLLLALQQYGVRKLEFLIAFLVLTIAGCFFAELGYAKPDAAEVLNGLFVPQLKGSGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVCGIKEACRFYMIESGFALMVAFLINVSVISVSGAVCNSADLNAEDQMNCTDLDLNKASFLLRNVLGSWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRLKPWLRNFLTRCLAIVPSLIVAVIGGSAGAGKLIIIASMILSFELPFALIPLLKFTSSKTKMGAYANSTAISAITWIIGSLLMAINVYYLISRFIKLLLHSHFKVLAVVFLGILGFSGMGLYLAGIAYLVFRKNKEATHLLALTTPESRQLANEQQGNASMYCLAREDIVSMQLPQRRSTEDFD >ONI17762 pep chromosome:Prunus_persica_NCBIv2:G3:19448265:19451306:-1 gene:PRUPE_3G177700 transcript:ONI17762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLTRLFHYHPVQPPISTHVSRQSDNSTHAACQLTFLSKSTVSHCYMILCSPPTTTTATATTTASSIMGQENDSQLNLVGFSNFVRSNPRSDRFKVHRFHHVEFWCTDATNSALRFSWGLGMPMVAKSDLSTGNQTHASYLLRSGDLNFLFTAPYSPTLTRTDDPTRPNNSTASIPTFDHSASRDFSSRHGLGVRAVAIQVDDAVSAFTTSVAHGAKPSAPPILLGNRVTVAEVQLYGDVVLRYVSYSNSSDVSDSNPDLWFLPGFEAMTSSFPLDFGLRRLDHAVGNVEDLKSAVSYVKGFTGFHEFAEFTAEDVGTSESGLNSVVLANNEEMVLFPMNEPVYGTKRKSQIQTYLEHNEGAGVQHLALVSEDIFKTLREMRSRSGIGGFQFMPSPPPTYYRNLKKRAGDVLTDDQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTIFVEIIQRVGCMLKDEEGKVHQKGGCGGFGKGNFSELFKSIEEYEKTLEARQIAEPAAA >ONI14860 pep chromosome:Prunus_persica_NCBIv2:G3:943551:945493:1 gene:PRUPE_3G013300 transcript:ONI14860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLEYQRKRRRDAQVSKIDDFPQEILFEIFTRLSMKSLLRCRCVCKSWKGLISNPDFMNAHLKRNVLKNACDHLVIHTGYDVSGFSVYDAEEFTKRFDLELPRPKHVSYAVYGSCNGVLCISATWRVNLASPIYLLNPSIRVFKKLPDCHILQTAHFVTLGFGFHQRGDDYKVVRVVRFPRKKDFFAVEVYSLRLDTWRRITATPPVSQQARFPQPKCLFFNGFLYWITVEPSQHCTSIVSFDLDSEVFQKIMLPDILFGVASVPTVQVFEESLSLFHQRKDDNVWYCDIWVVEADIWTMNRTILLPRCESVAWPLGIRTDNKFHLVRHVRNMQRDPILVLCDPVLWRAKDTGISLSYHSQFVDAYRESLILLN >ONI15672 pep chromosome:Prunus_persica_NCBIv2:G3:3833681:3837928:-1 gene:PRUPE_3G054500 transcript:ONI15672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFRHLLSALLCGFALILVVHAQDDQSGFISLDCGLPTNSSYSEPTTGLNYISDAAFISTGVSKSIAPQYKATHQQQAAYVRSFPQGVKNCYRVNITQGTKYLIRASFVYGNYDGLNELPKFDLLFGANSWDSVAFVDASSSTIKELVHVPTLDYIHVCLVNKGTGTPFISALELRPLKNTTYVTPTGSLELFLRLDVGLTSNQSYRYDYDALDRSWVPYTYNKWTQLTTSLTVDAQIHNDYQVPSIAMRTASTPINASASMDFSWESPDTSTEYYVYLHFAELQQLKANQSRTFNITLNGDYWFGPFVPEYLSTITVFSPSSLTGGNYSFSLVQTENSTLPPILNAMEIYSLIDLSQPETDGDDVAAIINIKSTYGVDKDWQGDPCTPQGYMWEGLNCSYSGSPRIVSLDLSSSGLTGEITSYISNLAMLQSLDLSNNSLTGSVPEFLSKLPNLKVLNLERNKLNGSVPADLIQRSTSGSLSLSVGENEDLCASISCKKEEEKKKNIVIPIIASIGGFSILVVAAVAVFMGLKRGRKQGVPQQPNNQIDSFESKKRQFTYSDVLRITNNFQTKVLGRGGFGKVYHGYVDDTQVAVKMLSPTSGQGYQQFQAEVKLLIRVHHRNLTSLVGYCNEGTNMALIYEFMANGDLESHLRGEDSNANVLTWEGRLQIATDAAQGLEYLHNGCKPPIVHRDVKATNILLAENFQAKLADFGLSRIFPTDGGTHMSTAVAGTPGYLDPEYHTTGWLNEKSDVYSFGVVLLEIITSRHAISRTQEKVHVSQWVSSMLAKGDIKTIVDPRLHGDYEINSAWKAVELAMECVSDTSTRRPNMSAVVIGLKECLAAELARTNVSRVTESTDSVVYSMNVTTELSPLAR >ONI15671 pep chromosome:Prunus_persica_NCBIv2:G3:3827342:3837928:-1 gene:PRUPE_3G054500 transcript:ONI15671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFRHLLSALLCGFALILVVHAQDDQSGFISLDCGLPTNSSYSEPTTGLNYISDAAFISTGVSKSIAPQYKATHQQQAAYVRSFPQGVKNCYRVNITQGTKYLIRASFVYGNYDGLNELPKFDLLFGANSWDSVAFVDASSSTIKELVHVPTLDYIHVCLVNKGTGTPFISALELRPLKNTTYVTPTGSLELFLRLDVGLTSNQSYRYDYDALDRSWVPYTYNKWTQLTTSLTVDAQIHNDYQVPSIAMRTASTPINASASMDFSWESPDTSTEYYVYLHFAELQQLKANQSRTFNITLNGDYWFGPFVPEYLSTITVFSPSSLTGGNYSFSLVQTENSTLPPILNAMEIYSLIDLSQPETDGDDVAAIINIKSTYGVDKDWQGDPCTPQGYMWEGLNCSYSGSPRIVSLDLSSSGLTGEITSYISNLAMLQSLDLSNNSLTGSVPEFLSKLPNLKVLNLERNKLNGSVPADLIQRSTSGSLSLSVGENEDLCASISCKKEEEKKKNIVIPIIASIGGFSILVVAAVAVFMGLKRGRKQGVPQQPNNQIDSFESKKRQFTYSDVLRITNNFQTKVLGRGGFGKVYHGYVDDTQVAVKMLSPTSGQGYQQFQAEVKLLIRVHHRNLTSLVGYCNEGTNMALIYEFMANGDLESHLRGEDSNANVLTWEGRLQIATDAAQGFEYLHYCCKPPIIHKM >ONI17096 pep chromosome:Prunus_persica_NCBIv2:G3:14511419:14514379:-1 gene:PRUPE_3G137500 transcript:ONI17096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSASLSLPSRTPEPSVRKMSILNKFHSVASRTHCNFDAIFKPSTLTLFISTQRPHAHIKSPPRNTIRCLFTGIVEEMGQIKQLGTAENGGFDMKIGAKTVLEGVHLGDSIAVNGTCLTVTEFDTQLSDFTVGLSPETLRKTSLIELEPGSLVNLERAVQPTSRMGGHFVQGHVDGTGEIVSMDPEGDSLWIKVKASKELLKYVVPKGFIAVDGTSLTVVDVFDKEDSFNFMLVAYTQQNVVIPLKKVGQKVNLEVDILGKYVERLLSSGFVESIKSS >ONI17095 pep chromosome:Prunus_persica_NCBIv2:G3:14513036:14513878:-1 gene:PRUPE_3G137500 transcript:ONI17095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSASLSLPSRTPEPSVRKMSILNKFHSVASRTHCNFDAIFKPSTLTLFISTQRPHAHIKSPPRNTIRCLFTGIVEEMGQIKQLGTAENGGFDMKIGAKTVLEGVHLGDSIAVNGTCLTVTEFDTQLSDFTVGLSPETLRKTSLIELEPGSLVNLERAVQPTSRMGGHFVQGHVDGTGEIVSMDPEGDSLWIKVKASKELLKYVVPKGFIAVDGTSLTVVDVFDKEDSFNFMLVAYTQQNVVIPLKKVGQKVNLEVDILGKYVERLLSSGFVESIKSS >ONI17094 pep chromosome:Prunus_persica_NCBIv2:G3:14513036:14513878:-1 gene:PRUPE_3G137500 transcript:ONI17094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSASLSLPSRTPEPSVRKMSILNKFHSVASRTHCNFDAIFKPSTLTLFISTQRPHAHIKSPPRNTIRCLFTGIVEEMGQIKQLGTAENGGFDMKIGAKTVLEGVHLGDSIAVNGTCLTVTEFDTQLSDFTVGLSPETLRKTSLIELEPGSLVNLERAVQPTSRMGGHFVQGHVDGTGEIVSMDPEGDSLWIKVKASKELLKYVVPKGFIAVDGTSLTVVDVFDKEDSFNFMLVAYTQQNVVIPLKKVGQKVNLEVDILGKYVERLLSSGFVESIKSS >ONI17521 pep chromosome:Prunus_persica_NCBIv2:G3:18359916:18361106:1 gene:PRUPE_3G164600 transcript:ONI17521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSPLQSKSPYTSNIFFLFLLLSTNLLTLFFSSSFSICSLKSLSTAATTADLATPTGLNLPEAPTEDTSDLPPEFLAFASGQLLPFGYNINFDSNTIYPAVGQACTLFPDLLSRFMSYKVNGSCPDDEFLAQRLLLKGCEPLPRRRCRPASNANYVEPYPLPKSFWSTPSDSSVVWTAYKCKNYNCLVNRKKNHKGFDDCIDCFDLQGNEKTRWGKGKGGGLDFGVDEVLGFKKPGTIRIGLDIGGGVATFAVRMRERNVTIVTTSMNLNGPFNSFIASRGVVPLYISISQRLPFFDNTLDIVHSMHVLSNWIPTTLLHFAVFDIYRILRPGGLFWLDHFFCVGEQMEEVYGPLIESVGFKKLKWVVGRKLDRGPERREMYLSALLEKPLKNSW >ONI16785 pep chromosome:Prunus_persica_NCBIv2:G3:10841085:10842698:1 gene:PRUPE_3G121800 transcript:ONI16785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPHHPSTTIFSFIFILLLASSISSQTCQRSCGKLPLKFPFGSGLGCGDPRFHQYVSCSQDNLMFTMHTGSYPVTNIDYTNKVMYISDPSMSTCSCTQPSKGFGLDWDAPFSFQDDNIFALLDCTTSSSPIFQSNTLYTDKNNNSKVSLCDNEGTSICSFLYSCRAISTINLPISTCCVYTPVDLGPAFDMDLQKLQCSSYSGFYSFNERQSDPNSWKYGIALKYKFSVNNEYPNSCANCERSTGICGYYSGGAYSSFLCNCPNGINTTNDCYFGANYNYGSRLSSWQIGTWLFYCLACLLISVC >ONI16784 pep chromosome:Prunus_persica_NCBIv2:G3:10841085:10842598:1 gene:PRUPE_3G121800 transcript:ONI16784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPHHPSTTIFSFIFILLLASSISSQTCQRSCGKLPLKFPFGSGLGCGDPRFHQYVSCSQDNLMFTMHTGSYPVTNIDYTNKVMYISDPSMSTCSCTQPSKGFGLDWDAPFSFQDDNIFALLDCTTSSSPIFQSNTLYTDKNNNSKVSLCDNEGTSICSFLYSCRAISTINLPISTCCVYTPVDLGPAFDMDLQKLQCSSYSGFYSFNERQSDPNSWKYGIALKYKFSVNNEYPNSCANCERSTGICGYYSGGAYSSFLCNCPNGINTTNDCYFGANYNYGSRLSSWQIGTWLFYCLACLLISVC >ONI15243 pep chromosome:Prunus_persica_NCBIv2:G3:2394192:2397245:1 gene:PRUPE_3G032200 transcript:ONI15243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLLYLLIISLSFSNLPSSSSSSDNNNNNVNNAAKTFIVQVQPSSKPSIFPTHQDWYSSSLSSLSSDKATAPTVLHTYSTVFHGFSAKLSPSQAQTLQSLDHVTAIIPEQVRQLHTTRSPEFLGLRSTDAAGTLLRESDFGSDLVIGVIDTGIWPERKSFHDRDLGPTPSKWKGQCVAGKDFPATICNRKLIGARFFSAGFESTNGKMNETSEYRSPRDSDGHGTHTASIAAGRYVFPASTLGYAKGVAAGMAPKARLAAYKVCWSAGCYDSDILAAFDAAVADGCDVVSLSVGGVVVPYHLDAIAIGAYGASDSGVFVSASAGNGGPGGLTVTNVAPWVTTVGAGTIDRDFPADVKLGNGRIIPGMSIYSGPGLAPGRMYPLVYAGGVGGDGYSSSLCLEGSLSQVKGKIVVCDRGINSRAAKGDVVKKAGGVGMILANGVFDGEGLVADCHVLPATAVAASTGDEIRRYIAASKSKSPATATIVFKGTRIRVRPAPVVASFSARGPNPESPEILKPDVIAPGLNILAAWPDKVGPSGVASDKRNTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIRSALMTTAYTVDNRGETMLDESSGNTSSVMDFGAGHVHPQKAMDPGLVYDIVSYDYVDFLCNSNYTTKNIQVVTRKFANCNGAKRAGHAGNLNYPSLSVVFQQYGKHKMSTHFIRTVTNVGTPNSVYQVTVKPAAGMTVTVEPEKLAFRRVGQKLSFLVRVQALAVKLSPGSTSVKSGSIVWSDGKHTVTSPLVVTMQQPL >ONI19598 pep chromosome:Prunus_persica_NCBIv2:G3:25903599:25907493:-1 gene:PRUPE_3G286800 transcript:ONI19598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKPCNSSSQDVEVRKGPWTMEEDLILINYIANHGEGVWNSLAKAAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHIKQAENNITPGQISEVNDQASTSQVSISSAAVDTMAITHAAPANYPPNMDAYPSSLPADHSHEGYWSIEDLWSMQLLNGE >ONI19599 pep chromosome:Prunus_persica_NCBIv2:G3:25904220:25907435:-1 gene:PRUPE_3G286800 transcript:ONI19599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHIKQAENNITPGQISEVNDQASTSQVSISSAAVDTMAITHAAPANYPPNMDAYPSSLPADHSHEGYWSIEDLWSMQLLNGE >ONI20003 pep chromosome:Prunus_persica_NCBIv2:G3:26920388:26926795:1 gene:PRUPE_3G309500 transcript:ONI20003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKARQVVETWARQFHSAPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPEALRDVIESGDEFGRNAAQRLVGIWDERKVFGSRGQILKQEFVGKHLENINRNGKHSGARLPAGTALDKIVSDYQVIYGQMDEDTILSKCRNAISCLEKVDKEIGGDFNSGQFHGSVFVEEVQGQNAVLRDCIEQLTAVESSRANLVSHLREALQEQEFKLDQVRDQLQAAQSQSEQATNICHRLLNGNNMQSAAHQSSKEAHTSVAPHSFMAVGREQSAPVMYTQQVPFPEKSGHVEDDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVISNPIKEPSGDYPPEKRPKLENDQSSSYVPQSSNPSPSPPIPHSNVPTTNQQYTPSEAPPPPSSSPPPLPPLPPVPQYPVSQYMQGAVPYSYSMTQQQPPPSMPDYPAGAPVNGISPFMAPANSYQSFQGSDGKFYNQQSSMQMTPISRQ >ONI20002 pep chromosome:Prunus_persica_NCBIv2:G3:26922114:26926756:1 gene:PRUPE_3G309500 transcript:ONI20002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKARQVVETWARQFHSAPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPEALRDVIESGDEFGRNAAQRLVGIWDERKVFGSRGQILKQEFVGKHLENINRNGKHSGARLPAGTALDKIVSDYQVIYGQMDEDTILSKCRNAISCLEKVDKEIGGDFNSGQFHGSVFVEEVQGQNAVLRDCIEQLTAVESSRANLVSHLREALQEQEFKLDQVRDQLQAAQSQSEQATNICHRLLNGNNMQSAAHQSSKEAHTSVAPHSFMAVGREQSAPVMYTQQVPFPEKSGHVEDDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVISNPIKEPSGDYPPEKRPKLENDQSSSYVPQSSNPSPSPPIPHSNVPTTNQQYTPSEAPPPPSSSPPPLPPLPPVPQYPVSQYMQGAVPYSYSMTQQQPPPSMPDYPAGAPVNGISPFMAPANSYQSFQGSDGKFYNQQSSMQMTPISRQ >ONI20004 pep chromosome:Prunus_persica_NCBIv2:G3:26920388:26926795:1 gene:PRUPE_3G309500 transcript:ONI20004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKARQVVETWARQFHSAPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPEALRDVIESGDEFGRNAAQRLVGIWDERKVFGSRGQILKQEFVGKHLENINRNGKHSGARLPAGTALDKIVSDYQVIYGQMDEDTILSKCRNAISCLEKVDKEIGGDFNSGQFHGSVFVEEVQGQNAVLRDCIEQLTAVESSRANLVSHLREALQEQEFKLDQVRDQLQAAQSQSEQATNICHRLLNGNNMQSAAHQSSKEAHTSVAPHSFMAVGREQSAPVMYTQQVPFPEKSGHVEDDPRKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVISNPIKEPSGDYPPEKRPKLENDQSSSYVPQSSNPSPSPPIPHSNVPTTNQQYTPSEAPPPPSSSPPPLPPLPPVPQYPVSQYMQGAVPYSYSMTQQQPPPSMPDYPAGAPVNGISPFMAPANSYQSFQGSDGKFYNQQSSMQMTPISRQ >ONI16916 pep chromosome:Prunus_persica_NCBIv2:G3:13205138:13206736:-1 gene:PRUPE_3G129700 transcript:ONI16916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRDTSFRREGADIHVDVGLCITQVLVKYFSFLKFFICFNTRNS >ONI16918 pep chromosome:Prunus_persica_NCBIv2:G3:13205138:13205404:-1 gene:PRUPE_3G129700 transcript:ONI16918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINLVLHESFILVRDTSFRREGADIHVDVGLCITQVLVKYFSFLKFFICFNTRNS >ONI16917 pep chromosome:Prunus_persica_NCBIv2:G3:13205138:13205529:-1 gene:PRUPE_3G129700 transcript:ONI16917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRDTSFRREGADIHVDVGLCITQVLVKYFSFLKFFICFNTRNS >ONI17962 pep chromosome:Prunus_persica_NCBIv2:G3:20163672:20164876:-1 gene:PRUPE_3G188200 transcript:ONI17962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSPPLETPMRFQLASLFFPCIFLRGDWTLDQQQQITIFYNGQVFVSDITELQARAMILLAAGDMAERGISSVSNAALLALQSQIYGPPGVSMKRSLQSFLQKRKKRSQEASPYNSLQ >ONI17963 pep chromosome:Prunus_persica_NCBIv2:G3:20163873:20164448:-1 gene:PRUPE_3G188200 transcript:ONI17963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTKRRFQLASLFFPCIFLRGDWTLDQQQQITIFYNGQVFVSDITELQARAMILLAAGDMAERGISSVSNAALLALQSQIYGPPGVSMKRSLQSFLQKRKKRSQEASPYNSLQ >ONI17961 pep chromosome:Prunus_persica_NCBIv2:G3:20163672:20164825:-1 gene:PRUPE_3G188200 transcript:ONI17961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSPPLETPMGDWTLDQQQQITIFYNGQVFVSDITELQARAMILLAAGDMAERGISSVSNAALLALQSQIYGPPGVSMKRSLQSFLQKRKKRSQEASPYNSLQ >ONI15049 pep chromosome:Prunus_persica_NCBIv2:G3:1691026:1698498:-1 gene:PRUPE_3G022900 transcript:ONI15049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASWHTLSSLTALSLTSKHRRLLPSSSSSSPASAAVSQSLTVAHSSLTGFQELSTEPKQIEAINLKDARKNQKQNDVVPDNQEEEEEEEEEEEEHGISKIPVPRQKYIPVSKAELLDGVVSTVFQDKNGDEDDALQYFLLLSSCLDSILHAEHKSILEAMRADYFDSNSMEHKQTVKEVDEQVVNNGQGSDSARSGINGVESTEADGNEKVEADKQMPFDYVLGLKKLLGSSAKNVKRVAVSARFQHSFMQLLYDAQFEELSERDLMLTSALNTDYLLTLPVYVDWKRASESNAIIFRRGYATERQKGLLLVEKLDYIQSKLLQGAFFIVSKPLGRFGSWITEAFKVACQTPEVQDLTKRMQLWLKELSVFQQAFRYNEQTSDDRLRVEQPSDRDLPIWLAAQRAVSRYEGLLSTVGPRGRLLKKLLSWIGLISPTPETPFELDGDTNASDPYARPIFLSRISLSDIWRPATRKYCGNDIWKMLKTSVSILFSQSILQEAAFQELILLYTKEVDDSNTDDNADVPSLQLKIYERIPIPDLPVIFPHKKLSFRIIDTVRLDIASILGLSAYFINYKFENVLYSPSAIFLDVVAISALIIYVSRVVLGYKQTWDRYQLLVNRTLYEKTLASGFGSVHFILDASEQQQLTCRQSVGDDCERFMYDVFKVKVEMPVDKAITTLLRLGLATETPIDGRISLQAISCSEAYESLKERWNSLLG >ONI15051 pep chromosome:Prunus_persica_NCBIv2:G3:1691026:1698498:-1 gene:PRUPE_3G022900 transcript:ONI15051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASWHTLSSLTALSLTSKHRRLLPSSSSSSPASAAVSQSLTVAHSSLTGFQELSTEPKQIEAINLKDARKNQKQNDVVPDNQEEEEEEEEEEEEHGISKIPVPRQKYIPVSKAELLDGVVSTVFQDKNGDEDDALQYFLLLSSCLDSILHAEHKSILEAMRADYFDSNSMEHKQTVKEVDEQVVNNGQGSDSARSGINGVESTEADGNEKVEADKQMPFDYVLGLKKLLGSSAKNVKRVAVSARFQHSFMQLLYDAQFEELSERDLMLTSALNTDYLLTLPVYVDWKRASESNAIIFRRGYATERQKGLLLVEKLDYIQSKLLQGAFFIVSKPLGRFGSWITEAFKVACQTPEVQDLTKRMQLWLKELSVFQQAFRYNEQTSDDRLRVEQPSDRDLPIWLAAQRAVSRYEGLLSTVGPRGRLLKKLLSWIGLISPTPETPFELDGDTNASDPYARPIFLSRISLSDIWRPATRKYCGNDIWKMLKTSVSILFSQSILQEAAFQELILLYTKEVDDSNTDDNADVPSLQLKIYERIPIPDLPVIFPHKKLSFRIIDTVRLDIASILGLSAYFINYKFENVLYSPSAIFLDVVAISALIIYVSRVVLGYKQTWDSFWSTGRFMRKPWQVDLAQFILFSMLLNSSNTRKPFWPMQSFSKQRRGS >ONI15050 pep chromosome:Prunus_persica_NCBIv2:G3:1692132:1698384:-1 gene:PRUPE_3G022900 transcript:ONI15050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASWHTLSSLTALSLTSKHRRLLPSSSSSSPASAAVSQSLTVAHSSLTGFQELSTEPKQIEAINLKDARKNQKQNDVVPDNQEEEEEEEEEEEEHGISKIPVPRQKYIPVSKAELLDGVVSTVFQDKNGDEDDALQYFLLLSSCLDSILHAEHKSILEAMRADYFDSNSMEHKQTVKEVDEQVVNNGQGSDSARSGINGVESTEADGNEKVEADKQMPFDYVLGLKKLLGSSAKNVKRVAVSARFQHSFMQLLYDAQFEELSERDLMLTSALNTDYLLTLPVYVDWKRASESNAIIFRRGYATERQKGLLLVEKLDYIQSKLLQGAFFIVSKPLGRFGSWITEAFKVACQTPEVQDLTKRMQLWLKELSVFQQAFRYNEQTSDDRLRVEQPSDRDLPIWLAAQRAVSRYEGLLSTVGPRGRLLKKLLSWIGLISPTPETPFELDGDTNASDPYARPIFLSRISLSDIWRPATRKYCGNDIWKMLKTSVSILFSQSILQEAAFQELILLYTKEVDDSNTDDNADVPSLQLKIYERIPIPDLPVIFPHKKLSFRIIDTVRLDIASILGLSAYFINYKFENVLYSPSAIFLDVVAISALIIYVSRVVLGYKQTWDRYQLLVNRTLYEKTLASGFGSVHFILDASEQQQYKEAILAYAILLKTKKGKLTCRQSVGDDCERFMYDVFKVKVEMPVDKAITTLLRLGLATETPIDGRISLQAISCSEAYESLKERWNSLLG >ONI15048 pep chromosome:Prunus_persica_NCBIv2:G3:1691026:1698498:-1 gene:PRUPE_3G022900 transcript:ONI15048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASWHTLSSLTALSLTSKHRRLLPSSSSSSPASAAVSQSLTVAHSSLTGFQELSTEPKQIEAINLKDARKNQKQNDVVPDNQEEEEEEEEEEEEHGISKIPVPRQKYIPVSKAELLDGVVSTVFQDKNGDEDDALQYFLLLSSCLDSILHAEHKSILEAMRADYFDSNSMEHKQTVKEVDEQVVNNGQGSDSARSGINGVESTEADGNEKVEADKQMPFDYVLGLKKLLGSSAKNVKRVAVSARFQHSFMQLLYDAQFEELSERDLMLTSALNTDYLLTLPVYVDWKRASESNAIIFRRGYATERQKGLLLVEKLDYIQSKLLQGAFFIVSKPLGRFGSWITEAFKVACQTPEVQDLTKRMQLWLKELSVFQQAFRYNEQTSDDRLRVEQPSDRDLPIWLAAQRAVSRYEGLLSTVGPRGRLLKKLLSWIGLISPTPETPFELDGDTNASDPYARPIFLSRISLSDIWRPATRKYCGNDIWKMLKTSVSILFSQSILQEAAFQELILLYTKEVDDSNTDDNADVPSLQLKIYERIPIPDLPVIFPHKKLSFRIIDTVRLDIASILGLSAYFINYKFENVLYSPSAIFLDVVAISALIIYVSRVVLGYKQTWDRYQYKEAILAYAILLKTKKGKLTCRQSVGDDCERFMYDVFKVKVEMPVDKAITTLLRLGLATETPIDGRISLQAISCSEAYESLKERWNSLLG >ONI19070 pep chromosome:Prunus_persica_NCBIv2:G3:24485811:24486845:1 gene:PRUPE_3G257000 transcript:ONI19070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKTGTFKELAPYDPDWYYVRAASIARKIYLRGGLGVGAFRRIYGGSKRNGSRPPHFCESSGAIARHILQQLQKMNIVDLDPKG >ONI19068 pep chromosome:Prunus_persica_NCBIv2:G3:24485735:24487341:1 gene:PRUPE_3G257000 transcript:ONI19068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKTGTFKELAPYDPDWYYVRAASIARKIYLRGGLGVGAFRRIYGGSKRNGSRPPHFCESSGAIARHILQQLQKMNIVDLDPKGGRRITSSGQRDLDQVAGRIVVTP >ONI19069 pep chromosome:Prunus_persica_NCBIv2:G3:24485758:24487313:1 gene:PRUPE_3G257000 transcript:ONI19069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKTGTFKELAPYDPDWYYVRAASIARKIYLRGGLGVGAFRRIYGGSKRNGSRPPHFCESSGAIARHILQQLQKMNIVDLDPKG >ONI19111 pep chromosome:Prunus_persica_NCBIv2:G3:24583762:24587275:1 gene:PRUPE_3G259100 transcript:ONI19111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHFSFSLSRWTRALRRQSSRADDTDNSHNSNNIEDIINAPISNSVECYACTQVGVPVFHSTSCDGAHQLQWEASAGSSLVPIQSRSDLKKGLSRGLPAGPFGHVLDPRSKRVQRWNRAFLLARGMALAVDPLFFYALSIGRGGTPCLYMDGGLAAIVTVLRTCVDAVHLCHLWLQFRLAYVSRESLVVGCGKLVWDPHAIASHYVRSLKGFWFDAFVILPVPQAVFWLVLPKLIREEKIKVIMTILLLIFLFQFLPKVYHSICLMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLRQHCELSVNCNLSLSCSEEVCYQFLSPADTMQNPCGGNTTASVVRKPPLCLDVNGTFNYGIYQWALPVISSNSLAIKILYPIFWGLMTLSTFGNDLEPTSHWLEVIFSICIVLSGLLLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMRRRQLPSGLRRRVRHYERHRWVTMGGEDEMDLIKDLPEGLRREIKRHLCLDLIKKVPLFHNLDDLILDNICDRVRPLVFSKDEKIIREGDPVPKMLFIVRGHIKRSQGLSKGMVGTNVLEPGGFLGDELLSWCLRRPFIDRLPASSATFVCLESTEAFGLNADDLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQFAWRRYRLRTNRGPVIPVVQNGGTEQRLLQYAAMFMSLKPHDHLE >ONI17078 pep chromosome:Prunus_persica_NCBIv2:G3:14406606:14410776:-1 gene:PRUPE_3G136800 transcript:ONI17078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKWLWKKKSSEKSSGESDSSGSVSSHSERYSDEQFVQVIGSIARRTASTQEEQHNIPIKGDITYLLQDQISPLPDEILIGILSRLNTREASRTSAISKRWRNLWTHVTCLNFDEDVVQNKRFDQFEISARAKWVTQVLQLHQGSTLDMFRMNRHYSTSVPATKCIEFAARKRVQRLEIEGGHNSLLKKLLESPFKSLRHLSLKNIAVNDELVGHFLSHCEVLEHLCVCCIENLYAVKAVGSSLRLKFLQVSNCRQLVRVDIFAPNLVEFVYDSRSVYTRGIVLKHAPSLVKVSLAENDESITRAFLSVSSCFSCLHTLSLRMNFDLQINMPQEFPELTCLKDLSLEVCNANCGQSLLSLTPLLERSPSLHRLTLQMRWKWNYYKKQSWRDMQKINRCPHHCLKEVKFCGFLGFGSIIDTDFAMYLIECAMVLEKLIVELETRREILPEFKATDNMLEATREHVLQLGTQLPPRAELIII >ONI17079 pep chromosome:Prunus_persica_NCBIv2:G3:14406606:14408059:-1 gene:PRUPE_3G136800 transcript:ONI17079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRMNRHYSTSVPATKCIEFAARKRVQRLEIEGGHNSLLKKLLESPFKSLRHLSLKNIAVNDELVGHFLSHCEVLEHLCVCCIENLYAVKAVGSSLRLKFLQVSNCRQLVRVDIFAPNLVEFVYDSRSVYTRGIVLKHAPSLVKVSLAENDESITRAFLSVSSCFSCLHTLSLRMNFDLQINMPQEFPELTCLKDLSLEVCNANCGQSLLSLTPLLERSPSLHRLTLQVCISIHSFICIAEMRWKWNYYKKQSWRDMQKINRCPHHCLKEVKFCGFLGFGSIIDTDFAMYLIECAMVLEKLIVELETRREILPEFKATDNMLEATREHVLQLGTQLPPRAELIII >ONI17077 pep chromosome:Prunus_persica_NCBIv2:G3:14406348:14411974:-1 gene:PRUPE_3G136800 transcript:ONI17077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKWLWKKKSSEKSSGESDSSGSVSSHSERYSDEQVIGSIARRTASTQEEQHNIPIKGDITYLLQDQISPLPDEILIGILSRLNTREASRTSAISKRWRNLWTHVTCLNFDEDVVQNKRFDQFEISARAKWVTQVLQLHQGSTLDMFRMNRHYSTSVPATKCIEFAARKRVQRLEIEGGHNSLLKKLLESPFKSLRHLSLKNIAVNDELVGHFLSHCEVLEHLCVCCIENLYAVKAVGSSLRLKFLQVSNCRQLVRVDIFAPNLVEFVYDSRSVYTRGIVLKHAPSLVKVSLAENDESITRAFLSVSSCFSCLHTLSLRMNFDLQINMPQEFPELTCLKDLSLEVCNANCGQSLLSLTPLLERSPSLHRLTLQMRWKWNYYKKQSWRDMQKINRCPHHCLKEVKFCGFLGFGSIIDTDFAMYLIECAMVLEKLIVELETRREILPEFKATDNMLEATREHVLQLGTQLPPRAELIII >ONI17076 pep chromosome:Prunus_persica_NCBIv2:G3:14406348:14411974:-1 gene:PRUPE_3G136800 transcript:ONI17076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKWLWKKKSSEKSSGESDSSGSVSSHSERYSDEQVIGSIARRTASTQEEQHNIPIKGDITYLLQDQISPLPDEILIGILSRLNTREASRTSAISKRWRNLWTHVTCLNFDEDVVQNKRFDQFEISARAKWVTQVLQLHQGSTLDMFRMNRHYSTSVPATKCIEFAARKRVQRLEIEGGHNSLLKKLLESPFKSLRHLSLKNIAVNDELVGHFLSHCEVLEHLCVCCIENLYAVKAVGSSLRLKFLQVSNCRQLVRVDIFAPNLVEFVYDSRSVYTRGIVLKHAPSLVKVSLAENDESITRAFLSVSSCFSCLHTLSLRMNFDLQINMPQEFPELTCLKDLSLEVCNANCGQSLLSLTPLLERSPSLHRLTLQMRWKWNYYKKQSWRDMQKINRCPHHCLKEVKFCGFLGFGSIIDTDFAMYLIECAMVLEKLIVELETRREILPEFKATDNMLEATREHVLQLGTQLPPRAELIII >ONI18732 pep chromosome:Prunus_persica_NCBIv2:G3:23056132:23060948:1 gene:PRUPE_3G235200 transcript:ONI18732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDKGSMQSNLECFLHCTTPVLQSQFLPKSEIRNLNRIWHPWEREKVEYFTLSDLWNCYDEWSAYGAGVPIALDSGETLVQYYVPYLSAIQIFTSNSSVNSFREEAESGDCETRDSFSDSCSFESESDKWRWDGCSSEDGGFEQDNLWHVNDRLGYLYFEYFERSTPYGRVPLMDKINTLAQRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTSFLTYHTLSSSFQDMDLEDDMESDEQRKRKKGESISLPPFGLATYKMQGNVWVSGNCGRDQERLMSLLSVADSWLKQLRVQHHDYNYFTAIRRGGQCHYF >ONI18733 pep chromosome:Prunus_persica_NCBIv2:G3:23057932:23060532:1 gene:PRUPE_3G235200 transcript:ONI18733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSHINKFFREEAESGDCETRDSFSDSCSFESESDKWRWDGCSSEDGGFEQDNLWHVNDRLGYLYFEYFERSTPYGRVPLMDKINTLAQRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTSFLTYHTLSSSFQDMDLEDDMESDEQRKRKKGESISLPPFGLATYKMQGNVWVSGNCGRDQERLMSLLSVADSWLKQLRVQHHDYNYFTAIRRGGQCHYF >ONI15715 pep chromosome:Prunus_persica_NCBIv2:G3:4056894:4059097:1 gene:PRUPE_3G057300 transcript:ONI15715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSEEQMLHAPCLITQYDSYTKAREDSCVIMKGHDDDGDDDDNGYAYDTTSSISVSDRSTSSLELVDDASSSTTTSSSSCSSSFNSHGSLHDLSDLMAQLPIKRGLSKYFEGKCQSFTSLSKVKSIEDLAKKESPYNQRKALKQSKSYGGGLGSYRSYTLPKATISKIKKTSSASRASYLSSDNLSFSSRTRGGSFGSTTLPPIPD >ONI15716 pep chromosome:Prunus_persica_NCBIv2:G3:4056894:4059097:1 gene:PRUPE_3G057300 transcript:ONI15716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSEEQMLHAPCLITQYDSYTKAREDSCVIMKGHDDDGDDDDNGYAYDTTSSISVSDRSTSSLELVDDASSSTTTSSSSCSSSFNSHGSLHDLSDLMAQLPIKRGLSKYFEGKCQSFTSLSKVKSIEDLAKKESPYNQRKALKQSKSYGGGLGSYRSYTLPKATISKIKKTSSASRASYLSSDNLSFSSRTRGGSFGSTTLPPIPVRKKF >ONI20146 pep chromosome:Prunus_persica_NCBIv2:G3:27273675:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVQVL >ONI20131 pep chromosome:Prunus_persica_NCBIv2:G3:27273469:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRFRKLSTGSQNTDDSVQKEATSQRDLRPLVFKFNETSIYDWHPIL >ONI20128 pep chromosome:Prunus_persica_NCBIv2:G3:27273698:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRFRKLSTGSQNTDDSVQKEATSQRDLRPLVFKFNETSIYDWHPIL >ONI20132 pep chromosome:Prunus_persica_NCBIv2:G3:27273498:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRFRKLSTGSQNTDDSVQKEATSQRDLRPLVFKFNETSIYDWHPIL >ONI20126 pep chromosome:Prunus_persica_NCBIv2:G3:27272693:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRFRKLSTGSQNTDDSVQKEATSQRDLRPLVFKFNEGFTNAVKRPVRIREFLL >ONI20127 pep chromosome:Prunus_persica_NCBIv2:G3:27272693:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEKVTSTGAYGFFNLSKLFQECDHVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRFRKLSTGSQNTDDSVQKEATSQRDLRPLVFKFNEGFTNAVKRPVRIREFLL >ONI20138 pep chromosome:Prunus_persica_NCBIv2:G3:27274110:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRRKQRHREICVH >ONI20122 pep chromosome:Prunus_persica_NCBIv2:G3:27272006:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRVLQMQLKGQFAFVNFCCDDFGSCTQYGGTMFSHVFSFL >ONI20144 pep chromosome:Prunus_persica_NCBIv2:G3:27272007:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVQVL >ONI20123 pep chromosome:Prunus_persica_NCBIv2:G3:27272007:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEKVTSTGAYGFFNLSKLFQECDHVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRVLQMQLKGQFAFVNFCCDDFGSCTQYGGTMFSHVFSFL >ONI20129 pep chromosome:Prunus_persica_NCBIv2:G3:27273698:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRFRKLSTGSQNTDDSVQKEATSQRDLRPLVFKFNETSIYDWHPIL >ONI20148 pep chromosome:Prunus_persica_NCBIv2:G3:27275932:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPYKKKQKMRAELHHCERKFI >ONI20147 pep chromosome:Prunus_persica_NCBIv2:G3:27276509:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPYKKKQKMRAELHHCERKFI >ONI20136 pep chromosome:Prunus_persica_NCBIv2:G3:27274110:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRRKQRHREICVH >ONI20124 pep chromosome:Prunus_persica_NCBIv2:G3:27272007:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRFRKLSTGSQNTDDSVQKEATSQRDLRPLVFKFNEGFTNAVKRPVRIREFLL >ONI20125 pep chromosome:Prunus_persica_NCBIv2:G3:27272693:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEKVTSTGAYGFFNLSKLFQECDHVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRFRKLSTGSQNTDDSVQKEATSQRDLRPLVFKFNEGFTNAVKRPVRIREFLL >ONI20120 pep chromosome:Prunus_persica_NCBIv2:G3:27272007:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRVLQMQLKGQFAFVNFCCDDFGSCTQYGGTMFSHVFSFL >ONI20145 pep chromosome:Prunus_persica_NCBIv2:G3:27272007:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVQVL >ONI20135 pep chromosome:Prunus_persica_NCBIv2:G3:27273471:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRRKQRHREICVH >ONI20121 pep chromosome:Prunus_persica_NCBIv2:G3:27272007:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEKVTSTGAYGFFNLSKLFQECDHVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRVLQMQLKGQFAFVNFCCDDFGSCTQYGGTMFSHVFSFL >ONI20134 pep chromosome:Prunus_persica_NCBIv2:G3:27274024:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRFRKLSTGSQNTDDSVQKEATSQRDLRPLVFKFNEVQNHLQFFFFIILMPNCRLLSS >ONI20133 pep chromosome:Prunus_persica_NCBIv2:G3:27273698:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRFRKLSTGSQNTDDSVQKEATSQRDLRPLVFKFNETSIYDWHPIL >ONI20140 pep chromosome:Prunus_persica_NCBIv2:G3:27274349:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDSITCYCYLSSVIIKVRF >ONI20142 pep chromosome:Prunus_persica_NCBIv2:G3:27274349:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDSITCYCYLSSVIIKVRF >ONI20137 pep chromosome:Prunus_persica_NCBIv2:G3:27273471:27290924:-1 gene:PRUPE_3G316000 transcript:ONI20137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRRKQRHREICVH >ONI20130 pep chromosome:Prunus_persica_NCBIv2:G3:27273698:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRFRKLSTGSQNTDDSVQKEATSQRDLRPLVFKFNETSIYDWHPIL >ONI20143 pep chromosome:Prunus_persica_NCBIv2:G3:27274543:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEKVTSTGAYGFFNLSKLFQECDHVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDSITCYCYLSSVIIKVRF >ONI20141 pep chromosome:Prunus_persica_NCBIv2:G3:27274543:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEKVTSTGAYGFFNLSKLFQECDHVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDSITCYCYLSSVIIKVRF >ONI20139 pep chromosome:Prunus_persica_NCBIv2:G3:27274110:27290683:-1 gene:PRUPE_3G316000 transcript:ONI20139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPFPEELELLEANYHLPEDSLLLEAAEPYPEEFEKEQPTRSKWPPSTDHPSSSETQSNARNRLRSSGMDMDIPFPEELELLEADYHLHEDLLDLEPTEPYQEEYEKEQSPSTDHPSSSETQINGHKRFRSLGGPYGSISENDGLSDEKRSKIDDLDRSKNNEDWLQYSPPHQSDPTVDEQVVDAVEEKVVSRYASEIHGDFIPVTAPGAGDRVYAKICRIERVERPKILRAKGKFGGLISEPIKDLLQRVEQEAFMKALQARSKSQGDVIHPETPVVHEQLWVDKYAPNSFTELLSDELTNREVLLWLKQWDPCVFGSEIKSTSDDVLSALRRHSSITQHKKLSDSKVPRNNRGSRWDNEKSKHFNDMNNENTNSKSIKELWNRSRSSGPPEENILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIGAKILDVVQMESVIVDHKESVRIDSRPKCLVIDEIDGALSDGKGVVEFILKLVSADRKFDMEKDNVNKELKLGRFSSKRGRKTASLSRPVICICNDLYAPALRPLRRVAKVHVFVQPTVSRVVSRLKYICTKEEMKTSSIALTALAGYTECDIRSCLNTLQFLNKKKETLNAWGIDSQVVGRKDLSRSVFDVWKEIFQKRKTKMDRRTNRSCGIMSNEFDSLHALISNCGDYDLIADGLHENFLHLPYHDPVMQKTVKCLNSLGASDLMHKYIMRTQHVPLYAYLPAVAITVHHLVAQVQRPNIEWPKSYHRYRTMLMEKVESLRSWYSTVPPFISRHLSIKSFVEDSISFLLHILSPPTLRPVASHLLSEKEKNDLAQLVSTMVSYSITHRSMKSNLGHEAADASALSFDPPIGDFINFKGYISGHHVLALTMKEVLAHEVEKQRILQVSTGRSAYSTVGCNKENQDLLGTEISSLQSARADHAGAGALNAGNSENMSNGRQPNPSTSSVSLNLGSARITKASTKLNSPGCMRSPNGSSSFFDRRKQRHREICVH >ONI17445 pep chromosome:Prunus_persica_NCBIv2:G3:17835330:17838329:-1 gene:PRUPE_3G159600 transcript:ONI17445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSSSDGLNSTPDSSSSPTSSPSSAVQRALQLIQSDDQDSKLQGAQEIRRLTKTSHRCRRQLSASVGPLVSMLRVRDSTESHESALLALLNLAVKDETNKIKIVEAGALEPIISFLESQSSNLQEYAAASLLTLSASAVNKPIIGSSGAIPLLVETLRHGSTQAKVDSVMALSNLSTHPSNLTIILEAKPIPSIVTLLKPCKKSSKTAEKCCALIESLVGFDEGRTALTSEEGGVLAVIEVLENGSLQSREHAVGALLTMCQSDRCRYREAILKDGVIPGLLELTVQGTPKSQTKAHTLLHLLRDSPYPRSEFQADTLENIVCNIISQIDGDDQSGKAKKMLAEMVQVSMEQSLRHLQQRALVCTPTDLPISTCTSEVSLK >ONI18488 pep chromosome:Prunus_persica_NCBIv2:G3:21997862:22003721:-1 gene:PRUPE_3G218500 transcript:ONI18488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGLMIPARNMPSMIGSNGNISGFGSSSGLTLGQPNLMEAGHLHPLDMAQNTSESDIARIREDDFDSATKSGSDNPEGGSGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALGSASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVGNYPLLSSPVPSRSPLDLGVGSFGGQPGMAGEMYGGGDLLRSISGPNEADKPLIIEIAVAAMEELIRMAQMGEPLWMTSLDGNTTVFNEDEYIRTFPRVAPKPSNHFKCEASRESAVVIMNHINLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKQHIDGTWAVVDVSLDTLRPNPAPRSCQRRPSGCLIQEMPNGYSKVTWVEHVDVDERGVHNLYKQLVNSGNAFGAKRWVATLDRQCERLASALASNIPTGDVGVITNQEGRKSMLKLAERMVISFCAGVSASTTHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFEFLRDENSRNEWDILSNGGIVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGSDPDYVALLPSGFAILPDGGGMGDSGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSCDTA >ONI18490 pep chromosome:Prunus_persica_NCBIv2:G3:21998419:22002039:-1 gene:PRUPE_3G218500 transcript:ONI18490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPNLMEAGHLHPLDMAQNTSESDIARIREDDFDSATKSGSDNPEGGSGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALGSASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVGNYPLLSSPVPSRSPLDLGVGSFGGQPGMAGEMYGGGDLLRSISGPNEADKPLIIEIAVAAMEELIRMAQMGEPLWMTSLDGNTTVFNEDEYIRTFPRVAPKPSNHFKCEASRESAVVIMNHINLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKQHIDGTWAVVDVSLDTLRPNPAPRSCQRRPSGCLIQEMPNGYSKVTWVEHVDVDERGVHNLYKQLVNSGNAFGAKRWVATLDRQCERLASALASNIPTGDVGVITNQEGRKSMLKLAERMVISFCAGVSASTTHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFEFLRDENSRNEWDILSNGGIVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGSDPDYVALLPSGFAILPDGGGMGDSGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSCDTA >ONI18487 pep chromosome:Prunus_persica_NCBIv2:G3:21997862:22003798:-1 gene:PRUPE_3G218500 transcript:ONI18487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGLMIPARNMPSMIGSNGNISGFGSSSGLTLGQPNLMEAGHLHPLDMAQNTSESDIARIREDDFDSATKSGSDNPEGGSGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALGSASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVGNYPLLSSPVPSRSPLDLGVGSFGGQPGMAGEMYGGGDLLRSISGPNEADKPLIIEIAVAAMEELIRMAQMGEPLWMTSLDGNTTVFNEDEYIRTFPRVAPKPSNHFKCEASRESAVVIMNHINLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKQHIDGTWAVVDVSLDTLRPNPAPRSCQRRPSGCLIQEMPNGYSKVTWVEHVDVDERGVHNLYKQLVNSGNAFGAKRWVATLDRQCERLASALASNIPTGDVGVITNQEGRKSMLKLAERMVISFCAGVSASTTHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFEFLRDENSRNEWDILSNGGIVQEMAHIANGRDTGNCVSLLRSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGSDPDYVALLPSGFAILPDGGGMGDSGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSCDTA >ONI18489 pep chromosome:Prunus_persica_NCBIv2:G3:21998419:22002039:-1 gene:PRUPE_3G218500 transcript:ONI18489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPNLMEAGHLHPLDMAQNTSESDIARIREDDFDSATKSGSDNPEGGSGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALGSASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVGNYPLLSSPVPSRSPLDLGVGSFGGQPGMAGEMYGGGDLLRSISGPNEADKPLIIEIAVAAMEELIRMAQMGEPLWMTSLDGNTTVFNEDEYIRTFPRVAPKPSNHFKCEASRESAVVIMNHINLVEILMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKQHIDGTWAVVDVSLDTLRPNPAPRSCQRRPSGCLIQEMPNGYSKVTWVEHVDVDERGVHNLYKQLVNSGNAFGAKRWVATLDRQCERLASALASNIPTGDVGVITNQEGRKSMLKLAERMVISFCAGVSASTTHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFEFLRDENSRNEWDILSNGGIVQEMAHIANGRDTGNCVSLLRSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGSDPDYVALLPSGFAILPDGGGMGDSGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSCDTA >ONI14757 pep chromosome:Prunus_persica_NCBIv2:G3:399390:400920:1 gene:PRUPE_3G006600 transcript:ONI14757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEVLEALAEFLGRYQVRGAHVQKPIGHTTRKERDVCKAVLERLIAHHSGPFEAIRASFVFYFLLKICFIFGLKFLPM >ONI19064 pep chromosome:Prunus_persica_NCBIv2:G3:24463404:24464337:-1 gene:PRUPE_3G256600 transcript:ONI19064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFQNLFTWAVFITLLVQAMGDVIPLAKGRAGKIWHDAHATFYGDLNGGETMQGACGYGDLFQQGYGLETAALSTKLFKDGHACGACFQIRCVKDPQWCIPNAGAITITATNFCPPNWIPAPDHWCNPPQRHFDLSMTMFTKLAQAKAGIIPVKYRRVPCQKSGGVKFELKGNPYWLTALVFNVGGAGDVANVKIKGSSTDWLQMSRNWGQVWQTGSNIVGQSLSFLVTTSDGKTLRFDDVAPTNWQFGQTYEGRINF >ONI19227 pep chromosome:Prunus_persica_NCBIv2:G3:24940891:24943384:-1 gene:PRUPE_3G266000 transcript:ONI19227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLYYFITMEKISSSTNDNTSSLFLLLDAIFLRTISSSTQKLFSRFQSTLQSQQNCGNSKILDVKNLDSELRKPELICKRKDDGNLSRDDVKMVMGNLGIFSSPESEELPESFSSNELAGLFDEKEPSLGEVKEAFDVFDENRDGFIDARELQRILCILGLKEGSKLEDCQKMIRTFDENRDGRIEFNEFVKFMEASFC >ONI15029 pep chromosome:Prunus_persica_NCBIv2:G3:1649935:1654691:-1 gene:PRUPE_3G022100 transcript:ONI15029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVSNDSRKEDITECEPILCQSDISQRPTESSSSCEITAVQGDFAANDEESQNLDVDENSKLVNPEHPQCRICLDTEEGEDLIAPCHCRGTQKHVHRSCLDNWRSTKIVAFLGVMVYKLYGDELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDRENNKNAPELDPSHVTELRMLGLY >ONI15031 pep chromosome:Prunus_persica_NCBIv2:G3:1650999:1653013:-1 gene:PRUPE_3G022100 transcript:ONI15031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCVLIYFSGEDLIAPCHCRGTQKHVHRSCLDNWRSTKEGFAFAHCTECRAVFILRANVPPDRWWLRLKFQFLVARDHAFIFIIVQLIVAFLGVMVYKLYGDELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDRENNKNAPELDPSHVTELRMLGLY >ONI15030 pep chromosome:Prunus_persica_NCBIv2:G3:1649935:1654617:-1 gene:PRUPE_3G022100 transcript:ONI15030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVSNDSRKEDITECEPILCQSDISQRPTESSSSCEITAVQGDFAANDEESQNLDVDENSKLVNPEHPQCRICLDTEEGEDLIAPCHCRGTQKHVHRSCLDNWRSTKEGFAFAHCTECRAVFILRANVPPDRWWLRLKFQFLVARDHAFIFIIVQLIVAFLGVMVYKLYGDELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDRENNKNAPELDPSHVTELRMLGLY >ONI15059 pep chromosome:Prunus_persica_NCBIv2:G3:1737553:1738686:-1 gene:PRUPE_3G023500 transcript:ONI15059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKRKRETQKEDEQGLLEKSFLLTGCGSWVRGRKLGQGGFGSVYLASVKKPKLGSEGFPAIMAVKSALMVESLELMMEKSLLQTLRSSPFVINCYGEDVTAGFGHNLVYNVFLEYADGGTVGDLIKQSGGSGLCELQVRKYTESILKGVQYIHEMGFVHCDLKPENILLVTSGSGFVPKIGDLGLAKRAVSKRSCRGTPMYLSPETVLDRIQEKPSDIWALGCVVLKMLTGRHPWDAEAAVKLHDLKPQIASGVPNIPGGLSEEAKDFLKNCFMRNPSERLTAAKLLHHPFVTKLDEIGQVKVEPMKEVSSVSSSEHCVLDYGSFIPLGSWSSEEAEEMEQQILPLALMSPRDSTPVIPTTGCQKPSAFPIMGAA >ONI18766 pep chromosome:Prunus_persica_NCBIv2:G3:23275897:23280959:1 gene:PRUPE_3G237700 transcript:ONI18766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKRNFFKKRPNVQFKRKGGNNNYKKGNWNNSTREQLLENYQSLDTVYRILCPSKRIGGVIGKGGGIVKALREETRAKITVADSVLGSDERVIIIFSSPTKISSKQTNDGDSSEENELEPMDPHCAAQDALLKVHNRIVEEDLFGGVTFDDDNENSVVTARLLVPNNMVGCLLGKGGDVIQRLRSETSASIRVLPADQLPTCAMETDELVQISGKPDVTKRALYEVSTLLHQNPRKDKPPSGFPMPFWGQGFRPPGAPMTNVLPPGNPMWSNRAPSHSTAPMPRMEGYGNCSSEFALGGFNGVPPGHGGEALAEFSMKILCSPGKIGGVIGKGGFNVKQLQLETGASIHVQEASTDSEERVIHVSAFEAFWNPISQTIEAILELQNKTSELSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTQADIRVYSKDDRPRCAAEDEELVQISGNFGVAKDALAEITSRLRVRTLRDANAGAEPALVGPAHGFGLAGSVPGGGPRQPPSAVGAGSSSRYDPLKVGRHEYELQSYRIPSTASGYPSVNHALEGKIPKNTVDSFPGTRGGNTSTIREVGAPRIKLQDSQGVFSERPVEIRGSDNLNVAQNILQAFMASAGQSVSAQAGSYHNVNALQGSYHNMNPQRSPYQMNSQQSPFQMSSQQSPLPFQMSFQQSPYQNNPQRSPYQLNAPQSPYQINATQSPYQLSAQQGSYPNINASQGTYHNYNSQQGTYQYRL >ONI18768 pep chromosome:Prunus_persica_NCBIv2:G3:23275911:23280950:1 gene:PRUPE_3G237700 transcript:ONI18768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKRNFFKKRPNVQFKRKGGNNNYKKGNWNNSTREQLLENYQSLDTVYRILCPSKRIGGVIGKGGGIVKALREETRAKITVADSVLGSDERVIIIFSSPTKISSKQTNDGDSSEENELEPMDPHCAAQDALLKVHNRIVEEDLFGGVTFDDDNENSVVTARLLVPNNMVGCLLGKGGDVIQRLRSETSASIRVLPADQLPTCAMETDELVQISGKPDVTKRALYEVSTLLHQNPRKDKPPSGFPMPFWGQGFRPPGAPMTNVLPPGNPMWSNRAPSHSTAPMPRMEGYGNCSSEFALGGFNGVPPGHGGEALAEFSMKILCSPGKIGGVIGKGGFNVKQLQLETGASIHVQEASTDSEERVIHVSAFEAFWNPISQTIEAILELQNKTSELSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTQADIRVYSKDDRPRCAAEDEELVQISGNFGVAKDALAEITSRLRVRTLRDANAGAEPALVGPAHGFGLAGSVPGGGPRQPPSAVGAGSSSRYDPLKVGRHEYELQSYRIPSTASGYPSVNHALEGKIPKNTVDSFPGTRGGNTSTIREVGAPRIKLQDSQGVFSERPVEIRGSDNLNVAQNILQAFMASAGQSVSAQAGSYHNVNALQGSYHNMNPQRSPYQMNSQQSPFQMSSQQSPLPFQMSFQQSPYQNNPQRSPYQLNAPQSPYQINATQSPYQLSAQQGSYPNINASQGTYHNYNSQQGTYQYRL >ONI18767 pep chromosome:Prunus_persica_NCBIv2:G3:23276272:23280950:1 gene:PRUPE_3G237700 transcript:ONI18767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKRNFFKKRPNVQFKRKGGNNNYKKGNWNNSTREQLLENYQSLDTVYRILCPSKRIGGVIGKGGGIVKALREETRAKITVADSVLGSDERVIIIFSSPTKISSKQTNDGDSSEENELEPMDPHCAAQDALLKVHNRIVEEDLFGGVTFDDDNENSVVTARLLVPNNMVGCLLGKGGDVIQRLRSETSASIRVLPADQLPTCAMETDELVQISGKPDVTKRALYEVSTLLHQNPRKDKPPSGFPMPFWGQGFRPPGAPMTNVLPPGNPMWSNRAPSHSTAPMPRMEGYGNCSSEFALGGFNGVPPGHGGEALAEFSMKILCSPGKIGGVIGKGGFNVKQLQLETGASIHVQEASTDSEERVIHVSAFEAFWNPISQTIEAILELQNKTSELSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTQADIRVYSKDDRPRCAAEDEELVQISGNFGVAKDALAEITSRLRVRTLRDANAGAEPALVGPAHGFGLAGSVPGGGPRQPPSAVGAGSSSRYDPLKVGRHEYELQSYRIPSTASGYPSVNHALEGKIPKNTVDSFPGTRGGNTSTIREVGAPRIKLQDSQGVFSERPVEIRGSDNLNVAQNILQAFMASAGQSVSAQAGSYHNVNALQGSYHNMNPQRSPYQMNSQQSPFQMSSQQSPLPFQMSFQQSPYQNNPQRSPYQLNAPQSPYQINATQSPYQLSAQQGSYPNINASQGTYHNYNSQQGTYQYRL >ONI18771 pep chromosome:Prunus_persica_NCBIv2:G3:23275911:23280959:1 gene:PRUPE_3G237700 transcript:ONI18771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKRNFFKKRPNVQFKRKGGNNNYKKGNWNNSTREQLLENYQSLDTVYRILCPSKRIGGVIGKGGGIVKALREETRAKITVADSVLGSDERVIIIFSSPTKISSKQTNDGDSSEENELEPMDPHCAAQDALLKVHNRIVEEDLFGGVTFDDDNENSVVTARLLVPNNMVGCLLGKGGDVIQRLRSETSASIRVLPADQLPTCAMETDELVQISGKPDVTKRALYEVSTLLHQNPRKDKPPSGFPMPFWGQGFRPPGAPMTNVLPPGNPMWSNRAPSHSTAPMPRMEGYGNCSSEFALGGFNGVPPGHGGEALAEFSMKILCSPGKIGGVIGKGGFNVKQLQLETGASIHVQEASTDSEERVIHVSAFEAFWNPISQTIEAILELQNKTSELSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTQADIRVYSKDDRPRCAAEDEELVQISGNFGVAKDALAEITSRLRVRTLRDANAGAEPALVGPAHGFGLAGSVPGGGPRQPPSAVGAGSSSRYDPLKLSKCQPCLGR >ONI18769 pep chromosome:Prunus_persica_NCBIv2:G3:23275771:23280964:1 gene:PRUPE_3G237700 transcript:ONI18769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKRNFFKKRPNVQFKRKGGNNNYKKGNWNNSTREQLLENYQSLDTVYRILCPSKRIGGVIGKGGGIVKALREETRAKITVADSVLGSDERVIIIFSSPTKISSKQTNDGDSSEENELEPMDPHCAAQDALLKVHNRIVEEDLFGGVTFDDDNENSVVTARLLVPNNMVGCLLGKGGDVIQRLRSETSASIRVLPADQLPTCAMETDELVQISGKPDVTKRALYEVSTLLHQNPRKDKPPSGFPMPFWGQGFRPPGAPMTNVLPPGNPMWSNRAPSHSTAPMPRMEGYGNCSSEFALGGFNGVPPGHGGEALAEFSMKILCSPGKIGGVIGKGGFNVKQLQLETGASIHVQEASTDSEERVIHVSAFEAFWNPISQTIEAILELQNKTSELSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTQADIRVYSKDDRPRCAAEDEELVQISGNFGVAKDALAEITSRLRVRTLRDANAGAEPALVGPAHGFGLAGSVPGGGPRQPPSAVGAGSSSRYDPLKVGRHEYELQSYRIPSTASGYPSVNHALEGKIPKNTVDSFPGTRGGNTSTIREVGAPRIKLQDSQGVFSERPVEIRGSDNLNVAQNILQAFMASAGQSVSAQAGSYHNVNALQGSYHNMNPQRSPYQMNSQQSPFQMSSQQSPLPFQMSFQQSPYQNNPQRSPYQLNAPQSPYQINATQSPYQLSAQQGSYPNINASQGTYHNYNSQQGTYQYRL >ONI18770 pep chromosome:Prunus_persica_NCBIv2:G3:23275911:23280950:1 gene:PRUPE_3G237700 transcript:ONI18770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKRNFFKKRPNVQFKRKGGNNNYKKGNWNNSTREQLLENYQSLDTVYRILCPSKRIGGVIGKGGGIVKALREETRAKITVADSVLGSDERVIIIFSSPTKISSKQTNDGDSSEENELEPMDPHCAAQDALLKVHNRIVEEDLFGGVTFDDDNENSVVTARLLVPNNMVGCLLGKGGDVIQRLRSETSASIRVLPADQLPTCAMETDELVQISGKPDVTKRALYEVSTLLHQNPRKDKPPSGFPMPFWGQGFRPPGAPMTNVLPPGNPMWSNRAPSHSTAPMPRMEGYGNCSSEFALGGFNGVPPGHGGEALAEFSMKILCSPGKIGGVIGKGGFNVKQLQLETGASIHVQEASTDSEERVIHVSAFEAFWNPISQTIEAILELQNKTSELSDKGIITTRLLVPSSKVGCILGQGGQVINEMRRRTQADIRVYSKDDRPRCAAEDEELVQISGNFGVAKDALAEITSRLRVRTLRDANAGAEPALVGPAHGFGLAGSVPGGGPRQPPSAVGAGSSSRYDPLKLSKCQPCLGR >ONI18134 pep chromosome:Prunus_persica_NCBIv2:G3:20828668:20833758:1 gene:PRUPE_3G198700 transcript:ONI18134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSTSSSSSSQDGNHSANAAGPSNVQRGGDFEGPSSSRRRAVNEVWPEPFVEALATQVAIDASRSMGRLAAAPALANVFQICSTWRAVSRSDLLWHRLTRLVWGRTRLLQDTWRDEYVYWHRTARNFQTRRSVHTTLYFDPSDVEDSNGFTCRCLTLSDAHLACGFADGAVRVFHLDTRLHVNTFRPHLRDRLGPFSRAVTGIVITDSKLVFATLDGDIHVAIIGIPQITRRVHLGEVVNDGALVDFTGCERFWVGLYAGVPGRAFHIWDGVTEQLTFVGGTLTDPEGVRVTSRESAVACTSSRVMVFDLTNQGLVLGDEEYRNGREIIVTSVDVSSAAYVIAERRRGLARLARLARVRQVDTMEEVCRFNVRGAAEMEAMGCMNEGYALMCTDGVVSVWEVERGAYLYRFRERIGDVNAMVCDERHVAACSSDTTLHLWDFGAAD >ONI16736 pep chromosome:Prunus_persica_NCBIv2:G3:10155860:10157152:1 gene:PRUPE_3G118200 transcript:ONI16736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHAKRRFRPGIAFWSYPCETIWCYPILYLGLLLLEAVSLIQGVRWAFIIGLWDFYGVSGLDHSPCCPLSLYWALNYFVKCSF >ONI18275 pep chromosome:Prunus_persica_NCBIv2:G3:21358650:21362324:1 gene:PRUPE_3G206700 transcript:ONI18275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGVCGHYHKYEEGEVCGVCGHRMPDLSDKTSIPVSAFPSAIVPEFLYLGSYDNASRSELLKTQGISRVLNTVPACQNLYKNSFTYHYLQDDKILQFEDANQFLEQCERDKARVLVHCMSGKNRSPAIVIAYLMKSRGWRLAQCYQWVKERRPSVELSEAYYRQLQEYEEKIFGSGGSGNPTLPAFPPVVAAPSFSFGFPRVHDSVPIPAFNNVGTTSIFSRPALDIPPLEFTFGASQARNDISGSTFGASPPNSNTSDISMDSS >ONI18274 pep chromosome:Prunus_persica_NCBIv2:G3:21358361:21362365:1 gene:PRUPE_3G206700 transcript:ONI18274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGVCGHYHKYEEGEVCGVCGHRMPDLSDKTSIPVSAFPSAIVPEFLYLGSYDNASRSELLKTQGISRVLNTVPACQNLYKNSFTYHYLQDDKILQFEDANQFLEQCERDKARVLVHCMSGKNRSPAIVIAYLMKSRGWRLAQCYQWVKERRPSVELSEAYYRQLQEYEEKIFGSGGSGNPTLPAFPPVVAAPSFSFGFPRVHDSVPIPAFNNVGTTSIFSRPALDIPPLEFTFGASQARNDISGSTFGASPPNSNTSDISMDSS >ONI17621 pep chromosome:Prunus_persica_NCBIv2:G3:18882754:18888554:1 gene:PRUPE_3G170100 transcript:ONI17621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMPQPLQPPQQAAAAGTRFTLLKQSSLAPDREDSVTLEPVDPPVRLMYMANEGDLDAIEELLDSGTNVNFRDIDDRTALHIAACQGRTDVVQLLLNRGAEVDPQDRWGSTPLADAMYYKNDDVIKLLEEHGAKPPMAPMHVQNAREVPEYEIDPTELDFSNSVDITKGTYRIASWRGIQVAVKTLGEKVFSDEDKVNAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDFHAYLKRKGALKPTTAVKFSLDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGLSKLLKVANTVKEDRPVTSQDTSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGCLPFSTKPEKEVPKAYVANERPPFRAPPKYYALGLKELIEECWSQDPFKRPTFRQIIKRLDDINTQFARKRRWKGMPLKCLQNLEAMLKKDRTCPSSRSSTQSTVR >ONI17622 pep chromosome:Prunus_persica_NCBIv2:G3:18882754:18888554:1 gene:PRUPE_3G170100 transcript:ONI17622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMPQPLQPPQQAAAAGTRFTLLKQSSLAPDREDSVTLEPVDPPVRLMYMANEGDLDAIEELLDSGTNVNFRDIDDRTALHIAACQGRTDVVQLLLNRGAEVDPQDRWGSTPLADAMYYKNDDVIKLLEEHGAKPPMAPMHVQNAREVPEYEIDPTELDFSNSVDITKGTYRIASWRGIQVAVKTLGEKVFSDEDKVNAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDFHAYLKRKGALKPTTAVKFSLDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGLSKLLKVANTVKEDRPVTSQDTSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGCLPFSTKPEKEVPKAYVANERPPFRAPPKYYALGLKELIEECWSQDPFKRPTFRQIIKRLDDINTQFARKRRWKGMPLKCLQNLEAMLKKDRTCPSSRSSTQSTVR >ONI17623 pep chromosome:Prunus_persica_NCBIv2:G3:18882754:18888554:1 gene:PRUPE_3G170100 transcript:ONI17623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMPQPLQPPQQAAAAGTRFTLLKQSSLAPDREDSVTLEPVDPPVRLMYMANEGDLDAIEELLDSGTNVNFRDIDDRTALHIAACQGRTDVVQLLLNRGAEVDPQDRWGSTPLADAMYYKNDDVIKLLEEHGAKPPMAPMHVQNAREVPEYEIDPTELDFSNSVDITKGTYRIASWRGIQVAVKTLGEKVFSDEDKVNAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDFHAYLKRKGALKPTTAVKFSLDIARNILRDDSGHLKVADFGLSKLLKVANTVKEDRPVTSQDTSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGCLPFSTKPEKEVPKAYVANERPPFRAPPKYYALGLKELIEECWSQDPFKRPTFRQIIKRLDDINTQFARKRRWKGMPLKCLQNLEAMLKKDRTCPSSRSSTQSTVR >ONI18665 pep chromosome:Prunus_persica_NCBIv2:G3:22867266:22869453:-1 gene:PRUPE_3G231500 transcript:ONI18665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFTALCQFCFRKFVIERAVSYSEPSVYKFDFFCVFYNDLKFVFMWPMMIQSACLRCGGAETLFSGRTPRRSHISYDINGFS >ONI16368 pep chromosome:Prunus_persica_NCBIv2:G3:7081644:7084607:1 gene:PRUPE_3G094500 transcript:ONI16368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDCLPDQLVWEILSRVKKTTDRNSLSLACKRLHGLDNEQRQSLRVGCGLDPANEALTSLCSRFSNLTKVEITYAGWMSKLGKQLDDEGLLILSNCCPSMVDLTLSYCTFITDLGLGHLSSCSKLSALKLNFTTRITGCGILSLVAGCKVLTILHLVRCLNVSSFEWLEYLGKLETLEDLSIKNCRAIGEGDLIKLGSSWKKLKCLQFEVDVNYRYMKVYDRSAVDRWQKQWVACENMVELSLVNCIISPGRGLACVLGKCKNLEKIHLDKCVGVRDSDIIGLAQKSKNLRSIFLRVPSDFSLPLLMNNPLRLTDECLKAVAQNCSMLESVRISYSDGDFPSFSSFTLDGILSLIQKCPLRELAFDQVYSFNDVGMEALCLAQYLETLELVKCQEISDEGLQLVGQFPRLSILRLIKCLGVSDDGLKPLVGSYKLELLAVEDCPQISERGVLGAAKSVSFRQDLSWLY >ONI16367 pep chromosome:Prunus_persica_NCBIv2:G3:7081644:7084606:1 gene:PRUPE_3G094500 transcript:ONI16367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDCLPDQLVWEILSRVKKTTDRNSLSLACKRLHGLDNEQRQSLRVGCGLDPANEALTSLCSRFSNLTKVEITYAGWMSKLGKQLDDEGLLILSNCCPSMVDLTLSYCTFITDLGLGHLSSCSKLSALKLNFTTRITGCGILSLVAGCKVLTILHLVRCLNVSSFEWLEYLGKLETLEDLSIKNCRAIGEGDLIKLGSSWKKLKCLQFEVDVNYRYMKVYDRSAVDRWQKQWVACENMVELSLVNCIISPGRGLACVLGKCKNLEKIHLDKCVGVRDSDIIGLAQKSKNLRSIFLRVPSDFSLPLLMNNPLRLTDECLKAVAQNCSMLESVRISYSDGDFPSFSSFTLDGILSLIQKCPLRELAFDQVYSFNDVGMEALCLAQYLETLELVKCQEISDEGLQLVGQFPRLSILRLIKCLGVSDDGLKPLVGSYKLELLAVEDCPQISERGVLGAAKSVSFRQDLSWLY >ONI16370 pep chromosome:Prunus_persica_NCBIv2:G3:7081644:7084606:1 gene:PRUPE_3G094500 transcript:ONI16370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDCLPDQLVWEILSRVKKTTDRNSLSLACKRLHGLDNEQRQSLRVGCGLDPANEALTSLCSRFSNLTKVEITYAGWMSKLGKQLDDEGLLILSNCCPSMVDLTLSYCTFITDLGLGHLSSCSKLSALKLNFTTRITGCGILSLVAGCKVLTILHLVRCLNVSSFEWLEYLGKLETLEDLSIKNCRAIGEGDLIKLGSSWKKLKCLQFEVDVNYRYMKVYDRSAVDRWQKQWVACENMVELSLVNCIISPGRGLACVLGKCKNLEKIHLDKCVGVRDSDIIGLAQKSKNLRSIFLRVPSDFSLPLLMNNPLRLTDECLKAVAQNCSMLESVRISYSDGDFPSFSSFTLDGILSLIQKCPLRELAFDQVYSFNDVGMEALCLAQYLETLELVKCQEISDEGLQLVGQFPRLSILRLIKCLGVSDDGLKPLVGSYKLELLAVEDCPQISERGVLGAAKSVSFRQDLSWLY >ONI16365 pep chromosome:Prunus_persica_NCBIv2:G3:7081643:7084606:1 gene:PRUPE_3G094500 transcript:ONI16365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDCLPDQLVWEILSRVKKTTDRNSLSLACKRLHGLDNEQRQSLRVGCGLDPANEALTSLCSRFSNLTKVEITYAGWMSKLGKQLDDEGLLILSNCCPSMVDLTLSYCTFITDLGLGHLSSCSKLSALKLNFTTRITGCGILSLVAGCKVLTILHLVRCLNVSSFEWLEYLGKLETLEDLSIKNCRAIGEGDLIKLGSSWKKLKCLQFEVDVNYRYMKVYDRSAVDRWQKQWVACENMVELSLVNCIISPGRGLACVLGKCKNLEKIHLDKCVGVRDSDIIGLAQKSKNLRSIFLRVPSDFSLPLLMNNPLRLTDECLKAVAQNCSMLESVRISYSDGDFPSFSSFTLDGILSLIQKCPLRELAFDQVYSFNDVGMEALCLAQYLETLELVKCQEISDEGLQLVGQFPRLSILRLIKCLGVSDDGLKPLVGSYKLELLAVEDCPQISERGVLGAAKSVSFRQDLSWLY >ONI16366 pep chromosome:Prunus_persica_NCBIv2:G3:7081644:7084581:1 gene:PRUPE_3G094500 transcript:ONI16366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDCLPDQLVWEILSRVKKTTDRNSLSLACKRLHGLDNEQRQSLRVGCGLDPANEALTSLCSRFSNLTKVEITYAGWMSKLGKQLDDEGLLILSNCCPSMVDLTLSYCTFITDLGLGHLSSCSKLSALKLNFTTRITGCGILSLVAGCKVLTILHLVRCLNVSSFEWLEYLGKLETLEDLSIKNCRAIGEGDLIKLGSSWKKLKCLQFEVDVNYRYMKVYDRSAVDRWQKQWVACENMVELSLVNCIISPGRGLACVLGKCKNLEKIHLDKCVGVRDSDIIGLAQKSKNLRSIFLRVPSDFSLPLLMNNPLRLTDECLKAVAQNCSMLESVRISYSDGDFPSFSSFTLDGILSLIQKCPLRELAFDQVYSFNDVGMEALCLAQYLETLELVKCQEISDEGLQLVGQFPRLSILRLIKCLGVSDDGLKPLVGSYKLELLAVEDCPQISERGVLGAAKSVSFRQDLSWLY >ONI16369 pep chromosome:Prunus_persica_NCBIv2:G3:7081644:7084581:1 gene:PRUPE_3G094500 transcript:ONI16369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDCLPDQLVWEILSRVKKTTDRNSLSLACKRLHGLDNEQRQSLRVGCGLDPANEALTSLCSRFSNLTKVEITYAGWMSKLGKQLDDEGLLILSNCCPSMVDLTLSYCTFITDLGLGHLSSCSKLSALKLNFTTRITGCGILSLVAGCKVLTILHLVRCLNVSSFEWLEYLGKLETLEDLSIKNCRAIGEGDLIKLGSSWKKLKCLQFEVDVNYRYMKVYDRSAVDRWQKQWVACENMVELSLVNCIISPGRGLACVLGKCKNLEKIHLDKCVGVRDSDIIGLAQKSKNLRSIFLRVPSDFSLPLLMNNPLRLTDECLKAVAQNCSMLESVRISYSDGDFPSFSSFTLDGILSLIQKCPLRELAFDQVYSFNDVGMEALCLAQYLETLELVKCQEISDEGLQLVGQFPRLSILRLIKCLGVSDDGLKPLVGSYKLELLAVEDCPQISERGVLGAAKSVSFRQDLSWLY >ONI18360 pep chromosome:Prunus_persica_NCBIv2:G3:21616657:21621681:-1 gene:PRUPE_3G211200 transcript:ONI18360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAETSDGENELELIVRDASGSDDGSLAATDEIVPLLTPPEKPKINIFTVSYPRKAPRDQVRKPLEAETSPLAHFISWVWSGSRYSGLMCMAISSTIYFVMEVFSEVLSAQPIPLLEAAFARCMIILVLSFVWLRKSGQPISGLANVKNLLISRALMGYLSLISFIYCIQRLPLSQAIVLSFTTPIMASIVARVILHEKYKIADVGGLACSFFGVLFIFRQMLTTQDKNGGKNTSVKGSSHIYAILAGIFSSITGGISYCLTRAGAKASDQPLLTVFSFGILASPASGICLFALEEFVFPDVYSFSVMLVLGVLAFFAEVFLARGLQLEKTSKVANAALSQLWGIGSSRIAPSFGRIFGCLLIFVSVCCTMYIGPDKEME >ONI18361 pep chromosome:Prunus_persica_NCBIv2:G3:21616825:21621401:-1 gene:PRUPE_3G211200 transcript:ONI18361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAETSDGENELELIVRDASGSDDGSLAATDEIVPLLTPPEKPKINIFTVSYPRKAPRDQVRKPLEAETSPLAHFISWVWSGSRYSGLMCMAISSTIYFVMEVFSEVLSAQPIPLLEAAFARCMIILVLSFVWLRKSGQPISGLANVKNLLISRALMGYLSLISFIYCIQRLPLSQAIVLSFTTPIMASIVARVILHEKYKIADVGGLACSFFGVLFIFRQMLTTQDKNGGKNTSVKGSSHIYAILAGIFSSITGGISYCLTRAGAKASDQPLLTVFSFGILASPASGICLFALEEFVFPDVYSFSVMLVLGVLAFFAEVFLARGLQLEKTSKVANVIYMEAALSQLWGIGSSRIAPSFGRIFGCLLIFVSVCCTMYIGPDKEME >ONI15177 pep chromosome:Prunus_persica_NCBIv2:G3:2166697:2169252:1 gene:PRUPE_3G029000 transcript:ONI15177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSICLLKCHTHVGYAMVVLHFLILILATIWAFETMVVSTGMDACLGILLILFSTADYKLRWVCFKKLCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVLSRLGFDFCSSFVFSGDSFGRGIEGLEAVRRTNCKEVLHHCIGY >ONI15180 pep chromosome:Prunus_persica_NCBIv2:G3:2167511:2169084:1 gene:PRUPE_3G029000 transcript:ONI15180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVLDLMCQFLLQNYDHAIFFFPCKSTGMDACLGILLILFSTADYKLRWVCFKKLCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVLSRLGFDFCSSFVFSGDSFGRGIEGLEAVRRTNCKEVLHHCIGY >ONI15178 pep chromosome:Prunus_persica_NCBIv2:G3:2166698:2168762:1 gene:PRUPE_3G029000 transcript:ONI15178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSICLLKCHTHVGYAMVVLHFLILILATIWAFETMVVSTGMDACLGILLILFSTADYKLRWVCFKKLCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVLSRLGFDFCSSFVFSGDSFGRGIEGLEAVRGEQLRDKAVKHLRYIHYYYLQRRRTQPGKNC >ONI15181 pep chromosome:Prunus_persica_NCBIv2:G3:2166698:2168762:1 gene:PRUPE_3G029000 transcript:ONI15181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACLGILLILFSTADYKLRWVCFKKLCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVLSRLGFDFCSSFVFSGDSFGRGIEGLEAVRGEQLRDKAVKHLRYIHYYYLQRRRTQPGKNC >ONI15179 pep chromosome:Prunus_persica_NCBIv2:G3:2166698:2169250:1 gene:PRUPE_3G029000 transcript:ONI15179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSCWVCNGGTALLDFDLGYHMGIRDNGGDYKLRWVCFKKLCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVLSRLGFDFCSSFVFSGDSFGRGIEGLEAVRRTNCKEVLHHCIGY >ONI18119 pep chromosome:Prunus_persica_NCBIv2:G3:20795793:20796738:1 gene:PRUPE_3G197900 transcript:ONI18119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRTLSPSWIYRPLWAAATNPKHDFSCPLRKMCRALAQVLAPPPSILGLLPPPSLLRMLVSLALGSIFPGLFLVGCCFPLGALVVSFL >ONI18811 pep chromosome:Prunus_persica_NCBIv2:G3:23472387:23479184:-1 gene:PRUPE_3G241000 transcript:ONI18811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDFIGLPPSGDSLCRNVNDEPNNSNCDSKEVNSQPTNSEDREDKPKSENLGNDSDAQREVSHCVPEENLENELVGSGSDMEIEDISNLPALNRSDSADEEIKIKGNKDGDAHCLQQANHNNDLFDESSLLSVAQSETVTVAQESNVFCSKVHKNGCLPVQDASPFGTHKTGGTTISGVKRARITVDERQPSVRVTYKSLTRASKHKLEELLQQWSEWHAQYVPSSQDPIEVVESGEDTFFPALHVGTEKTSAVSFWMDNQTRKAESKESTPLDSNYVPLYDRGYALGLTLAGGSSNLEGGLEIIDDASRCFNCGSYNHSLKDCPKPRNHVAVNNARKQLKFKRNQNANSRNSTRYYQNSPAGKYDGLRPGALDAETRKLLGIGELDPPPWLNRMREIGYPPGYLGERGIKRKISDAGLNVFNYLSCPLL >ONI18813 pep chromosome:Prunus_persica_NCBIv2:G3:23472675:23479243:-1 gene:PRUPE_3G241000 transcript:ONI18813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDFIGLPPSGDSLCRNVNDEPNNSNCDSKEVNSQPTNSEDREDKPKSENLGNDSDAQREVSHCVPEENLENELVGSGSDMEIEDISNLPALNRSDSADEEIKIKVAQESNVFCSKVHKNGCLPVQDASPFGTHKTGGTTISGVKRARITVDERQPSVRVTYKSLTRASKHKLEELLQQWSEWHAQYVPSSQDPIEVVESGEDTFFPALHVGTEKTSAVSFWMDNQTRKAESKESTPLDSNYVPLYDRGYALGLTLAGGSSNLEGGLEIIDDASRCFNCGSYNHSLKDCPKPRNHVAVNNARKQLKFKRNQNANSRNSTRYYQNSPAGKYDGLRPGALDAETRKLLGIGELDPPPWLNRMREIGYPPGYLDPDDEDQPSGIIIYADEEIKGEQEDGEIIETDYPEPQRKMTVEFPGLNGPIPEDADERLWAPGPSFSDHSRNRSYSRSNHYSEPVSRGHHREQRWSRDYRDDGPPGVEPGSGPSSYPPRYGSYDYGYNLPRSPTFGRSQSDRGRRIPLSNEGSFPYSNPRHSPKDYDSANFENWNDESRSDYDLDSSTRDRLDRHRHHRRR >ONI18814 pep chromosome:Prunus_persica_NCBIv2:G3:23472675:23479184:-1 gene:PRUPE_3G241000 transcript:ONI18814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDFIGLPPSGDSLCRNVNDEPNNSNCDSKEVNSQPTNSEDREDKPKSENLGNDSDAQREVSHCVPEENLENELVGSGSDMEIEDISNLPALNRSDSADEEIKIKGNKDGDAHCLQQANHNNDLFDESSLLSVAQSETVTVAQESNVFCSKVHKNGCLPVQDASPFGTHKTGGTTISGVKRARITVDERQPSVRVTYKSLTRASKHKLEELLQQWSEWHAQYVPSSQDPIEVVESGEDTFFPALHVGTEKTSAVSFWMDNQTRKAESKESTPLDSNYVPLYDRGYALGLTLAGGSSNLEGGLEIIDDASRCFNCGSYNHSLKDCPKPRNHVAVNNARKQLKFKRNQNANSRNSTRYYQNSPAGKYDGLRPGALDAETRKLLGIGELDPPPWLNRMREIGYPPGYLDPDDEDQPSGIIIYADEEIKGEQEDGEIIETDYPEPQRKMTVEFPGLNGPIPEDADERLWAPGPSFSDHSRNRSYSRSNHYSEPVSRGHHREQRWSRDYRDDGPPGVEPGSGPSSYPPRYGSYDYGYNLPRSPTFGRSQSDRGRRIPLSNEGSFPYSNPRHSPKDYDSANFENWNDESRSDYDLDSSTRDRLDRHRHHRRR >ONI18812 pep chromosome:Prunus_persica_NCBIv2:G3:23472587:23478262:-1 gene:PRUPE_3G241000 transcript:ONI18812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDFIGLPPSGDSLCRNVNDEPNNSNCDSKEVNSQPTNSEDREDKPKSENLGNDSDAQREVSHCVPEENLENELVGSGSDMEIEDISNLPALNRSDSADEEIKIKGNKDGDAHCLQQANHNNDLFDESSLLSVAQSETVTVAQESNVFCSKVHKNGCLPVQDASPFGTHKTGGTTISGVKRARITVDERQPSVRVTYKSLTRASKHKLEELLQQWSEWHAQYVPSSQDPIEVVESGEDTFFPALHVGTEKTSAVSFWMDNQTRKAESKESTPLDSNYVPLYDRGYALGLTLAGGSSNLEGGLEIIDDASRCFNCGSYNHSLKDCPKPRNHVAVNNARKQLKFKRNQNANSRNSTRYYQNSPAGKYDGLRPGALDAETRKLLGIGELDPPPWLNRMREIGYPPGYLDPDDEDQPSGIIIYADEEIKGEQEDGEIIETDYPEPQRKMTVEFPGLNGPIPEDADERLWAPGPSFSDHSRNRSYSRSNHYSEPVSRGHHREQRWSRDYRDDGPPGVEPGSGPSSYPPRYGSYDYGYNLPRSPTFGRSQSDRGRRIPLSNEGSFPYSNPRHSPKDYDSANFENWNDESRSDYDLDSSTRDRLDRHRHHRRRRKRNQT >ONI18138 pep chromosome:Prunus_persica_NCBIv2:G3:20838924:20841534:1 gene:PRUPE_3G198900 transcript:ONI18138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSIGEKHFIQGGIAQDLRSDGRKRLTTRPIYVETGVISQANGSARVRMGATDVIASVKAELGRPNVLQPDKGKVVVNVDCSPTAAPMFEGRGGEELSAELSVALQCCLLGGKSGSGAGIDLSSLVVVEGKICWDLYIDGLVVSSDGNLLDALGAAIKAALSNTGIPKVNVAAGASGDEQPEVDVSDEEFLQFDTSRVPVIVTLTKVGRHYIVDATSEEESQMSSAVSISINRQGHICGLTKRGGAGLDPSIILDMISVAKTVSEQLINTLDSHIAAAEAGEEES >ONI18139 pep chromosome:Prunus_persica_NCBIv2:G3:20838552:20841601:1 gene:PRUPE_3G198900 transcript:ONI18139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSIGEKHFIQGGIAQDLRSDGRKRLTTRPIYVETGVISQANGSARVRMGATDVIASVKAELGRPNVLQPDKGKVVVNVDCSPTAAPMFEGRGGEELSAELSVALQCCLLGGKSGSGAGIDLSSLVVVEGKICWDLYIDGLVVSSDGNLLDALGAAIKAALSNTGIPKVNVAAGASGDEQPEVDVSDEEFLQFDTSRVPVIVTLTKVGRHYIVDATSEEESQMSSAVSISINRQGHICGLTKRGGAGLDPSIILDMISVAKTVSEQLINTLDSHIAAAEAGEEES >ONI16009 pep chromosome:Prunus_persica_NCBIv2:G3:5308119:5313741:-1 gene:PRUPE_3G073700 transcript:ONI16009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVQSSDPVDPLQGYTGLAVFPNSGKILPDNSKPYDIGDDLQTIHTQLKSVALQQSPTKLKDQAKNIVSGTSEIPKSVNPQALKQKDAVVPEMAEENPRQQRRALGRKRARFSVKPNSSQPAMSLLPPLDIKNLKDTEELWTAYERHENAKKEIEKQMGGAVLDADQQNPSPIKRSRQPGILGRSAKYKPLYPSTDAETSENGKTSQDMLETSIHSPLNHSSQAENKDVALEEVDLAGATAKADKELGEILHDLLSKNCEDLEGDGAVSLLQEHLKIKPIKMKKLSLPEFPSIRKVDYRSSRRTLPKPTNVLTDIDNLVKGIRSKTPAKRKQGAEGSIHLASPTPPKSPFASISALKKRILQSNPSSDPFSADDIDRFLETNPSLVENGNKQSELVDTREQATISDKLKLIKQTDNFEVPTGSPEVAIEEFSHAFERSMSGDSSKHGESIVVGSSRSHLEMEDNIGSNNMDIRVMDGPLSRPDADTDTWENGGNDGDKVEDTLEEALDSAEPELNVSVSTLEKSNGTQNELDQLHSTEVEEHPTDGLSRNLDTGPETHNERIQEHSGALLNEQIKANSRPRRKQKSKEVSKRQSREGVYSAVDEDPADGRSRNLDSGSETHNEIMQEPSGASLNQQIKANSPQQRKRKSKEVSKRQSLAGAGTLWQSGVRRSTRIKTRPLEFWKGERLLFGRIHESLPTVIGMKYASPGKGDGKAPLKVKSFVSDKYKELVELAALH >ONI16005 pep chromosome:Prunus_persica_NCBIv2:G3:5307944:5313759:-1 gene:PRUPE_3G073700 transcript:ONI16005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVQSSDPVDPLQGYTGLAVFPNSGKILPDNSKPYDIGDDLQTIHTQLKSVALQQSPTKLKDQAKNIVSGTSEIPKSVNPQALKQKDAVVPEMAEENPRQQRRALGRKRARFSVKPNSSQPAMSLLPPLDIKNLKDTEELWTAYERHENAKKEIEKQMGGAVLDADQQNPSPIKRSRQPGILGRSAKYKPLYPSTDAETSENGKTSQDMLETSIHSPLNHSSQAENKDVALEEVDLAGATAKADKELGEILHDLLSKNCEDLEGDGAVSLLQEHLKIKPIKMKKLSLPEFPSIRKVDYRSSRRTLPKPTNVLTDIDNLVKGIRSKTPAKRKQGAEGSIHLASPTPPKSPFASISALKKRILQSNPSSDPFSADDIDRFLETNPSLVENGNKQSELVDTREQATISDKLKLIKQTDNFEVPTGSPEVAIEEFSHAFERSMSGDSSKHGESIVVGSSRSHLEMEDNIGSNNMDIRVMDGPLSRPDADTDTWENGGNDGDKVEDTLEEALDSAEPELNVSVSTLEKSNGTQNELEVEEHPTDGLSRNLDTGPETHNERIQEHSGALLNEQIKANSRPRRKQKSKEVSKRQSREAVDEDPADGRSRNLDSGSETHNEIMQEPSGASLNQQIKANSPQQRKRKSKEVSKRQSLAGAGTLWQSGVRRSTRIKTRPLEFWKGERLLFGRIHESLPTVIGMKYASPGKGDGKAPLKVKSFVSDKYKELVELAALH >ONI16008 pep chromosome:Prunus_persica_NCBIv2:G3:5307933:5313770:-1 gene:PRUPE_3G073700 transcript:ONI16008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVQSSDPVDPLQGYTGLAVFPNSGKILPDNSKPYDIGDDLQTIHTQLKSVALQQSPTKLKDQAKNIVSGTSEIPKSVNPQALKQKDAVVPEMAEENPRQQRRALGRKRARFSVKPNSSQPAMSLLPPLDIKNLKDTEELWTAYERHENAKKEIEKQMGGAVLDADQQNPSPIKRSRQPGILGRSAKYKPLYPSTDAETSENGKTSQDMLETSIHSPLNHSSQAENKDVALEEVDLAGATAKADKELGEILHDLLSKNCEDLEGDGAVSLLQEHLKIKPIKMKKLSLPEFPSIRKVDYRSSRRTLPKPTNVLTDIDNLVKGIRSKTPAKRKQGAEGSIHLASPTPPKSPFASISALKKRILQSNPSSDPFSADDIDRFLETNPSLVENGNKQSELVDTREQATISDKLKLIKQTDNFEVPTGSPEVAIEEFSHAFERSMSGDSSKHGESIVVGSSRSHLEMEDNIGSNNMDIRVMDGPLSRPDADTDTWENGGNDGDKVEDTLEEALDSAEPELNVSVSTLEKSNGTQNELDQLHSTEVEEHPTDGLSRNLDTGPETHNERIQEHSGALLNEQIKANSRPRRKQKSKEVSKRQSREAAVDEDPADGRSRNLDSGSETHNEIMQEPSGASLNQQIKANSPQQRKRKSKEVSKRQSLAGAGTLWQSGVRRSTRIKTRPLEFWKGERLLFGRIHESLPTVIGMKYASPGKGDGKAPLKVKSFVSDKYKELVELAALH >ONI16007 pep chromosome:Prunus_persica_NCBIv2:G3:5307933:5313774:-1 gene:PRUPE_3G073700 transcript:ONI16007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVQSSDPVDPLQGYTGLAVFPNSGKILPDNSKPYDIGDDLQTIHTQLKSVALQQSPTKLKDQAKNIVSGTSEIPKSVNPQALKQKDAVVPEMAEENPRQQRRALGRKRARFSVKPNSSQPAMSLLPPLDIKNLKDTEELWTAYERHENAKKEIEKQMGGAVLDADQQNPSPIKRSRQPGILGRSAKYKPLYPSTDAETSENGKTSQDMLETSIHSPLNHSSQAENKDVALEEVDLAGATAKADKELGEILHDLLSKNCEDLEGDGAVSLLQEHLKIKPIKMKKLSLPEFPSIRKVDYRSSRRTLPKPTNVLTDIDNLVKGIRSKTPAKRKQGAEGSIHLASPTPPKSPFASISALKKRILQSNPSSDPFSADDIDRFLETNPSLVENGNKQSELVDTREQATISDKLKLIKQTDNFEVPTGSPEVAIEEFSHAFERSMSGDSSKHGESIVVGSSRSHLEMEDNIGSNNMDIRVMDGPLSRPDADTDTWENGGNDGDKVEDTLEEALDSAEPELNVSVSTLEKSNGTQNELDQLHSTEVEEHPTDGLSRNLDTGPETHNERIQEHSGALLNEQIKANSRPRRKQKSKEVSKRQSREAVDEDPADGRSRNLDSGSETHNEIMQEPSGASLNQQIKANSPQQRKRKSKEVSKRQSLAGAGTLWQSGVRRSTRIKTRPLEFWKGERLLFGRIHESLPTVIGMKYASPGKGDGKAPLKVKSFVSDKYKELVELAALH >ONI16006 pep chromosome:Prunus_persica_NCBIv2:G3:5308119:5313759:-1 gene:PRUPE_3G073700 transcript:ONI16006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVQSSDPVDPLQGYTGLAVFPNSGKILPDNSKPYDIGDDLQTIHTQLKSVALQQSPTKLKDQAKNIVSGTSEIPKSVNPQALKQKDAVVPEMAEENPRQQRRALGRKRARFSVKPNSSQPAMSLLPPLDIKNLKDTEELWTAYERHENAKKEIEKQMGGAVLDADQQNPSPIKRSRQPGILGRSAKYKPLYPSTDAETSENGKTSQDMLETSIHSPLNHSSQAENKDVALEEVDLAGATAKADKELGEILHDLLSKNCEDLEGDGAVSLLQEHLKIKPIKMKKLSLPEFPSIRKVDYRSSRRTLPKPTNVLTDIDNLVKGIRSKTPAKRKQGAEGSIHLASPTPPKSPFASISALKKRILQSNPSSDPFSADDIDRFLETNPSLVENGNKQSELVDTREQATISDKLKLIKQTDNFEVPTGSPEVAIEEFSHAFERSMSGDSSKHGESIVVGSSRSHLEMEDNIGSNNMDIRVMDGPLSRPDADTDTWENGGNDGDKVEDTLEEALDSAEPELNVSVSTLEKSNGTQNELEVEEHPTDGLSRNLDTGPETHNERIQEHSGALLNEQIKANSRPRRKQKSKEVSKRQSREAAVDEDPADGRSRNLDSGSETHNEIMQEPSGASLNQQIKANSPQQRKRKSKEVSKRQSLAGAGTLWQSGVRRSTRIKTRPLEFWKGERLLFGRIHESLPTVIGMKYASPGKGDGKAPLKVKSFVSDKYKELVELAALH >ONI17664 pep chromosome:Prunus_persica_NCBIv2:G3:19066361:19071942:-1 gene:PRUPE_3G172800 transcript:ONI17664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDHLKKNQTEMDFFSDYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKQPVSLAQKFPNADPLALRLLERLLAFDPKDRPTAEEALADPYFKGLSRIEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTNFLYPSAVDQFRKQFAHLEENGGKSGPVIPLERKHVSLPRSTIVHSNTVPPKEQQNYAFLKDQKNAEEAYKNSRDNEGIHVNLSRTMQTPQRISLAKPGRVVGPVAQYENGNMMKDTYDRRTLVRSSVLPPQAVPPAYCYRKPGAGNQERSVVEVERDLSSQAKQAAQCGMAANVAPDVAISIDSNPFFMTRVGVSKVEHDDRIAVDTNYLQTKAPYGGIGAAAATAAAHRKVGTVQFGMQRMY >ONI17663 pep chromosome:Prunus_persica_NCBIv2:G3:19066361:19072334:-1 gene:PRUPE_3G172800 transcript:ONI17663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDHLKKNQTEMDFFSDYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKQPVSLAQKFPNADPLALRLLERLLAFDPKDRPTAEEALADPYFKGLSRIEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTNFLYPSAVDQFRKQFAHLEENGGKSGPVIPLERKHVSLPRSTIVHSNTVPPKEQQNYAFLKDQKNAEEAYKNSRDNEGIHVNLSRTMQTPQRISLAKPGRVVGPVAQYENGNMMKDTYDRRTLVRSSVLPPQAVPPAYCYRKPGAGNQERSVVEVERDLSSQAKQAAQCGMAANVAPDVAISIDSNPFFMTRVGVSKVEHDDRIAVDTNYLQTKAPYGGIGAAAATAAAHRKVGTVQFGMQRMY >ONI15222 pep chromosome:Prunus_persica_NCBIv2:G3:2311742:2317804:1 gene:PRUPE_3G031100 transcript:ONI15222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFASGGLRSLLIHSFQQKHILRPHHPSSSLSSSLPRFLSSSTPVLDFTPPSTSSMADPSASKLPVTLQNINPKILKCEYAVRGEIVTLAQRLQEELLANPGSHPFDEILYCNIGNPQSLGQHPITFFREVLALCDHPSILDKSETQGLFSADAIERAWQILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGYPADPNDIFLTDGASPAVHMMMQLLISSEQDGILCPIPQYPLYSASIALHGGTLVPYFLDEASGWGLETSELKKQLEDARSKGINPRALVVINPGNPTGQVLAEDNQRQIVEFCKQEGLVLLADEVYQENVYVPDKEFHSFKKVSRSMGYGEKDISLVSFQSVSKGYYGECGKRGGYMEVTGISPDVREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYELYCAEKDGILSSLARRAKTLEDALNNLEGVTCNRAEGAMYLFPRINLPQKAIKAAEAANTAPDAFYCRRLLNATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIVTRLTDFHKSFMDEFRD >ONI15221 pep chromosome:Prunus_persica_NCBIv2:G3:2311947:2317697:1 gene:PRUPE_3G031100 transcript:ONI15221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFASGGLRSLLIHSFQQKHILRPHHPSSSLSSSLPRFLSSSTPVLDFTPPSTSSMADPSASKLPVTLQNINPKILKCEYAVRGEIVTLAQRLQEELLANPGSHPFDEILYCNIGNPQSLGQHPITFFREVLALCDHPSILDKSETQGLFSADAIERAWQILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGYPADPNDIFLTDGASPAVHMMMQLLISSEQDGILCPIPQYPLYSASIALHGGTLVPYFLDEASGWGLETSELKKQLEDARSKGINPRALVVINPGNPTGQVLAEDNQRQIVEFCKQEGLVLLADEVYQENVYVPDKEFHSFKKVSRSMGYGEKDISLVSFQSVSKGYYGECGKRGGYMEVTGISPDVREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYELYCAEKDGILSSLARRAKVKDALNNLEGVTCNRAEGAMYLFPRINLPQKAIKAAEAANTAPDAFYCRRLLNATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIVTRLTDFHKSFMDEFRD >ONI17574 pep chromosome:Prunus_persica_NCBIv2:G3:18676334:18681589:-1 gene:PRUPE_3G167800 transcript:ONI17574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYLFNFFLLLLLLCFSVSISTVSSLSSDGLALLSLSKHWTSVPASISSSWSASDATPCQWVGIECDNAHNVVTLNLTGYGISGQLGPEVGSFRHLQTLDLSVNNFSGKIPKELANCSLLENLDLYKNGFSGAIPESLFAIPALAYVHLYTNNLNGSIPGNVGNLSELVHLYLYENQFSGVIPSSIGNCSKLQELFLGRNQLTGELPMSLNNLQNLVYLDVAINSLEGSIPLGSGTCKNLIYLDLSYNKFSGGIPPGLGNCSNLTQFSAVGSNLEGTIPSSFGQLKYLSTLYLPLNHLSGKIPPELGKCESLKILRLYKNQLVGEIPSELGMLTQLEDLELFENRLTGEIPVSIWKIQSLQHILVYNNSLTGELPEVMTELKQLKNISLYNNLFFGVIPQSLGINSSLWQLDFINNKFTGKIPPNLCHGKQLRVLNLGFNRFQGTIPSDVGNCSTLWRLKLEQNRLIGALPQFAKNSSLSYMDISNNEISGEIPSSLGNCSNLTAINLSMNNLTGVIPQELGSLAELGSLILFKNNLVGPLPPHLSNCTKMYKFDVGSNLLNGSIPSSLRSWTGLSTLILSDNSFTGGVPPFLSEFEKLSELQLGGNFLGGAIPSSIGALVSMFYALNLSNNALTGPIPSELGKLARLQRLDLSHNNLTGTLKALDYINSLIEVDVSDNNFTGAVPETLMNLLNSSPLSFLGNPYLCVDYLPSCGSTCARRNNSFKPCNSQSSKHRGLSKVAIAFISLGSSLFVVFVLHVLVYMFLLRKKTKQELEISAQEGPSGLLNKVLEATANLNGQYIIGKGAHGTVYKASLAPDKDYAVKKLLFAGHEGTRLSMVREIQTLGTIRHRNLVKLEDFWLRKDHGLILYRYMQNGSLNDVLHEIKPPPTLEWSVRYRIALGTAYGLEYLHYDCDPPIVHRDVKPMNILLDADMEPHIADFGIAKLLDQSSASTTSIAVVGTTGYIAPENAFRPAKSVESDVYSYGVVLLELITRKKALDPSFVEQTDIVGWVRSVWSNTEEIHQIVDSSLKEEFLDSCIMDQVVDVLMVAFRCTDKDPRKRPTMRDVVKQLLDANPQVRSIKG >ONI17575 pep chromosome:Prunus_persica_NCBIv2:G3:18677559:18681693:-1 gene:PRUPE_3G167800 transcript:ONI17575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYLFNFFLLLLLLCFSVSISTVSSLSSDGLALLSLSKHWTSVPASISSSWSASDATPCQWVGIECDNAHNVVTLNLTGYGISGQLGPEVGSFRHLQTLDLSVNNFSGKIPKELANCSLLENLDLYKNGFSGAIPESLFAIPALAYVHLYTNNLNGSIPGNVGNLSELVHLYLYENQFSGVIPSSIGNCSKLQELFLGRNQLTGELPMSLNNLQNLVYLDVAINSLEGSIPLGSGTCKNLIYLDLSYNKFSGGIPPGLGNCSNLTQFSAVGSNLEGTIPSSFGQLKYLSTLYLPLNHLSGKIPPELGKCESLKILRLYKNQLVGEIPSELGMLTQLEDLELFENRLTGEIPVSIWKIQSLQHILVYNNSLTGELPEVMTELKQLKNISLYNNLFFGVIPQSLGINSSLWQLDFINNKFTGKIPPNLCHGKQLRVLNLGFNRFQGTIPSDVGNCSTLWRLKLEQNRLIGALPQFAKNSSLSYMDISNNEISGEIPSSLGNCSNLTAINLSMNNLTGVIPQELGSLAELGSLILFKNNLVGPLPPHLSNCTKMYKFDVGSNLLNGSIPSSLRSWTGLSTLILSDNSFTGGVPPFLSEFEKLSELQLGGNFLGGAIPSSIGALVSMFYALNLSNNALTGPIPSELGKLARLQRLDLSHNNLTGTLKALDYINSLIEVDVSDNNFTGAVPETLMNLLNSSPLSFLGNPYLCVDYLPSCGSTCARRNNSFKPCNSQSSKHRGLSKVAIAFISLGSSLFVVFVLHVLVYMFLLRKKTKQELEISAQEGPSGLLNKVLEATANLNGQYIIGKGAHGTVYKASLAPDKDYAVKKLLFAGHEGTRLSMVREIQTLGTIRHRNLVKLEDFWLRKDHGLILYRYMQNGSLNDVLHEIKPPPTLEWSVRYRIALGTAYGLEYLHYDCDPPIVHRDVKPMNILLDADMEPHIADFGIAKLLDQSSASTTSIAVVGTTGYIAPENAFRPAKSVESDVYSYGVVLLELITRKKALDPSFVEQTDIVGWVRSVWSNTEEIHQIVDSSLKEEFLDSCIMDQVVDVLMVAFRCTDKDPRKRPTMRDVVKQLLDANPQVRSIKG >ONI15850 pep chromosome:Prunus_persica_NCBIv2:G3:4716833:4719468:1 gene:PRUPE_3G065300 transcript:ONI15850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSQNKEAIEKKEGNLEQGEDENKDLETQVHEACRTGNAEDLKLLLDADPEVASKLNTQDKSALSLACSHGHLDMVKLLLNEPGMLGSGKDGFDQTCIHVAVSGGQIDVVKELLGVCPDLARMVDENGNSPLHYAASKGHREITWMLLRLDPKLAEQHNNNGHTPLHLAAINYKVSVLQMFVSMAKPSFQIVTKAGEMVFHLAVRYGRYDALGYLTHVCNDIEFFDCRDIYGNTILHLAVSEAQHKIAEYLISKKKVALNSRNNKGITALDILNEAKDSVENQRLGAMLVKSGGETRIELLSHSPKVETASAQSVVLENMQKRAQFVKEHELQLAIINEVASPASKTPSSLSPPKSRKPSNLPSPQSSKSSPKLPSPQSSKSSPKLPSPQSSKSSPQHQVGEGFDFETQNAEPLLPTIVQQHKHPSKRHQTQLSQIFYNPRRKHHKVYTEALQNARNTITLVAILIATVTFAAGISPPGGVYQDGLMKGKSIAGRTTAYKVFAISNYVSLFTSLAIVVVLVSIIPYRRKPLIRLMAYAHKVMWVAVAFMATAYVAATWVIMPHSQKKEAVLVALLAVSIGTLGTAFIGLGVMLVDHWLRKSKWRKGRRERVVTIEDPEMGSPNSDVESTYNQGYHSY >ONI16870 pep chromosome:Prunus_persica_NCBIv2:G3:11712616:11715540:1 gene:PRUPE_3G126500 transcript:ONI16870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIAKKWIPELRHYAPTVPIVLVGTKLDLREDKEYLIDHPGATPISIAQGEELKKAIGAAVYIECSSKTQQNVKAVFDAAIKVVLQPPKPKKRRRKARHCVFL >ONI15354 pep chromosome:Prunus_persica_NCBIv2:G3:2787039:2791053:1 gene:PRUPE_3G038700 transcript:ONI15354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKFLGLLATGMTADARTLVQQARNEAAEFRFKYGYEMPVDVLARWIADKSQIYTQHAYMRPLGVVAMVLGIDEEFGPQLYKCDPAGHYFGHKATSAGLKEQEAINFLEKKMKNDPLFTYDETVQTAISALQSVLQEDFKANEIEVGVVVKENPVFRVLSTDEIDEHLTAISERD >ONI17991 pep chromosome:Prunus_persica_NCBIv2:G3:20344256:20351452:-1 gene:PRUPE_3G190700 transcript:ONI17991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPVDPVKARIQSRDGGPHTHKGCNLLASSVLMVIMKA >ONI19501 pep chromosome:Prunus_persica_NCBIv2:G3:25666130:25669772:1 gene:PRUPE_3G281500 transcript:ONI19501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRMSEGKDLRWFSGHTHLKMSWVQHQMQLKDGYLSRPPPFSLPSSPQYVATFHRDSNPPASSGTKISPAVLFIIVILAVLFFISGLLHLLVRFLTKHPSSSASSPQSNRYPELSTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYRDIVGLKEPFDCSVCLCEFSEKDKLRLLPTCSHAFHINCIDTWLLSNSTCPLCRGTLFNPDYSVQNPIFDFDEYREEEGYPGNGENGFTTRQKTMDIEEIVVENGILPVRLGKFRKVDVEVGGETGVGETSSSNLDARRCFSMGSYQYVLGDSDLQVPLSNDQQDRNIKLTRGIERDGNPSIDSDVEGKKISSVTKGESYSVSKIWLWSKKGKFSSSIDTQMGMPSSLNTDLPWMGRMRAE >ONI19499 pep chromosome:Prunus_persica_NCBIv2:G3:25666126:25668320:1 gene:PRUPE_3G281500 transcript:ONI19499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRMSEGKDLRWFSGHTHLKMSWVQHQMQLKDGYLSRPPPFSLPSSPQYVATFHRDSNPPASSGTKISPAVLFIIVILAVLFFISGLLHLLVRFLTKHPSSSASSPQSNRYPELSTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYRDIVGLKEPFDCSVCLCEFSEKDKLRLLPTCSHAFHINCIDTWLLSNSTCPLCRGTLFNPDYSVQNPIFDFDEYREEEGYPGNGENGFTTRQKTMDIEEIVVENGILPVRLGKFRKVDVEVGGETGVGETSSSNLDARRCFSMGSYQYVLGDSDLQVPLSNDQQDRNIKLTRGIERDGNPSIDSDVEGKKISSVTKGESYSVSKIWLWSKKGKFSSSIDTQMGMPSSLNTDLPWMGRMRAE >ONI19500 pep chromosome:Prunus_persica_NCBIv2:G3:25666130:25668320:1 gene:PRUPE_3G281500 transcript:ONI19500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRMSEGKDLRWFSGHTHLKMSWVQHQMQLKDGYLSRPPPFSLPSSPQYVATFHRDSNPPASSGTKISPAVLFIIVILAVLFFISGLLHLLVRFLTKHPSSSASSPQSNRYPELSTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYRDIVGLKEPFDCSVCLCEFSEKDKLRLLPTCSHAFHINCIDTWLLSNSTCPLCRGTLFNPDYSVQNPIFDFDEYREEEGYPGNGENGFTTRQKTMDIEEIVVENGILPVRLGKFRKVDVEVGGETGVGETSSSNLDARRCFSMGSYQYVLGDSDLQVPLSNDQQDRNIKLTRGIERDGNPSIDSDVEGKKISSVTKGESYSVSKIWLWSKKGKFSSSIDTQMGMPSSLNTDLPWMGRMRAE >ONI19498 pep chromosome:Prunus_persica_NCBIv2:G3:25666130:25668343:1 gene:PRUPE_3G281500 transcript:ONI19498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRMSEGKDLRWFSGHTHLKMSWVQHQMQLKDGYLSRPPPFSLPSSPQYVATFHRDSNPPASSGTKISPAVLFIIVILAVLFFISGLLHLLVRFLTKHPSSSASSPQSNRYPELSTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYRDIVGLKEPFDCSVCLCEFSEKDKLRLLPTCSHAFHINCIDTWLLSNSTCPLCRGTLFNPDYSVQNPIFDFDEYREEEGYPGNGENGFTTRQKTMDIEEIVVENGILPVRLGKFRKVDVEVGGETGVGETSSSNLDARRCFSMGSYQYVLGDSDLQVPLSNDQQDRNIKLTRGIERDGNPSIDSDVEGKKISSVTKGESYSVSKIWLWSKKGKFSSSIDTQMGMPSSLNTDLPWMGRMRAE >ONI19502 pep chromosome:Prunus_persica_NCBIv2:G3:25666130:25669794:1 gene:PRUPE_3G281500 transcript:ONI19502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRMSEGKDLRWFSGHTHLKMSWVQHQMQLKDGYLSRPPPFSLPSSPQYVATFHRDSNPPASSGTKISPAVLFIIVILAVLFFISGLLHLLVRFLTKHPSSSASSPQSNRYPELSTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYRDIVGLKEPFDCSVCLCEFSEKDKLRLLPTCSHAFHINCIDTWLLSNSTCPLCRGTLFNPDYSVQNPIFDFDEYREEEGYPGNGENGFTTRQKTMDIEEIVVENGILPVRLGKFRKVDVEVGGETGVGETSSSNLDARRCFSMGSYQYVLGDSDLQVPLSNDQQDRNIKLTRGIERDGNPSIDSDVEGKKISSVTKGESYSVSKIWLWSKKGKFSSSIDTQMGMPSSLNTDLPWMGRMRAE >ONI17677 pep chromosome:Prunus_persica_NCBIv2:G3:19107658:19109261:1 gene:PRUPE_3G173600 transcript:ONI17677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPCCCSFTSSTSISKFISERPHLSMLEKQCTNMKDLQKIHAHLIKTGLVSDTVAASRVLAFCASPAGNINYAYMVFRNIQNPNLFIWNTIIRGFSESPSPEIAISLFIDMLVTSAIEPHRLTYPSVFKAYAQLGLAQDGAQLHGRILKLGLESDQFIRNTIIHMYANCGFLIEARRMFDEDLECDTVAWNSMIMGLSKWGEVSEAKRLFDKFSLRNSVSWNSMISGFVRNGKYTEALELFSEMQEERVKPSEFTMVSLLNASAQLGAIGQGEWIHEYLKKNGVEMNAIVITAIINMYCKCGSIEKAIRVFEAAPRKGLSCWNSTIMGLAINGCEEEAIELFSRLESSNFIPDGVSFLGVLTACNHSGMVDKARDYFSIMRGTYKIEPSIKHYSCMVDVLGRAGLLEEAEELIHSMPMKADAIIWGSLLSSCRKHRNIEMAKRAANRVIELDPSDSCGFVLMSNVYAASSQFQEAMKERLSMKQQKIEKEPGCSLIEVDGEVHEFIAGGRLHHKAPEIYSLLNELGFMLPEMA >ONI17426 pep chromosome:Prunus_persica_NCBIv2:G3:17712230:17713237:-1 gene:PRUPE_3G158300 transcript:ONI17426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSRPKKEQSLSVAGREWVRGRVLGKGGFGSVYLGWVKKPNLRADVLPSIFAVKSTLYCDALELVRENSLLDTFSNCPFIIHRYGEDVTAGVDGNKVFNMFMEYADGGTMRDLINKSGGLPEFQVRKYTEAILKGVKHIHEMGYVHCDLKPENILLVSKTDAVGSEFVPKIGDLGLAKRIIEKRSGGTTLYWSPETVLQNIQLQPSDIWALGCIVLMMLTGRKPRDLKAGARPWDLMLQIASKSPTIPVWLSEEAKDFLGKCFVWSPSERFTAAKLLNHPFVTNLDPVKELSSVSSSPSEQQILPLGFKTCHSKSNRCLPKAIGFPYNWCCLA >ONI16877 pep chromosome:Prunus_persica_NCBIv2:G3:11857564:11862305:-1 gene:PRUPE_3G126900 transcript:ONI16877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGQKSGRSIFHIIFEGPTGLQSKLISSDILQLCMTPITCLSLSNIPISQTYSPDVKRELLMLSLPAILGQAIDPLAQLMEAAYIGRLGSVELASAGISMNIFNYISKLFNIPLLSVATSFVAEDLAKSESIASTSVGIGIFEAVALCLGSGLFLNMMAISMDSTMRIPVEQFLSLRPFSYNHTCIGNLLAAFLLPILMYYFGLGVTGATLSTVISQYTVTFLMIWFLNKRAILLPPKVGSLQFDGFLLGTTLAVLTTLTLGTSMAARQGPVAMAAHQICIQVWLAVSLLTDAMAASGQALIATYLCKGEYKIVKEVADSVLKNKC >ONI17765 pep chromosome:Prunus_persica_NCBIv2:G3:19463648:19467994:1 gene:PRUPE_3G177900 transcript:ONI17765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGAPPRAADAENSLEKIKRHLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGRMEYKIRRNEPTVKGTILFDANSTITLSPVNFHGMQKYDGCCFYIGTPQKKDYFLCAETPGAARAWVSTLQATQLVLKAHKEAVNSLSGNGSAKLGTVAAVVAAANSTALESSKDIEAAMHISLRNALGVITNKPTDGPMDDFAIMKETLRVKDDELQNLARDLRARDSTIKEIAEKLTETAEAAEAAASAAHTIDEQRRIVCAEIERLKKDSDKQLESSMLKLKDSEEKAMALSKERDQLIRQRDSALQEAHMWRSELAKARERVVILEAAVVRAEEKVRVAEADAEARIKEAVQKESAALKDKQELLVYVNKLQAQLQRHHIDTKQVFEEKSESGNTPLTKHVDLSEENVDKACLSVSRTVPVPGESVVHMAGDQVNHRPAVDGEWSDIQAQDARIADVREIAPESEASSLDIPVVSQPAGNQHEQGGNSFHQP >ONI17766 pep chromosome:Prunus_persica_NCBIv2:G3:19463771:19467600:1 gene:PRUPE_3G177900 transcript:ONI17766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKYDGCCFYIGTPQKKDYFLCAETPGAARAWVSTLQATQLVLKAHKEAVNSLSGNGSAKLGTVAAVVAAANSTALESSKDIEAAMHISLRNALGVITNKPTDGPMDDFAIMKETLRVKDDELQNLARDLRARDSTIKEIAEKLTETAEAAEAAASAAHTIDEQRRIVCAEIERLKKDSDKQLESSMLKLKDSEEKAMALSKERDQLIRQRDSALQEAHMWRSELAKARERVVILEAAVVRAEEKVRVAEADAEARIKEAVQKESAALKDKQELLVYVNKLQAQLQRHHIDTKQVFEEKSESGNTPLTKHVDLSEENVDKACLSVSRTVPVPGESVVHMAGDQVNHRPAVDGEWSDIQAQDARIADVREIAPESEASSLDIPVVSQPAGNQHEQGGNSFHQP >ONI19211 pep chromosome:Prunus_persica_NCBIv2:G3:24897782:24899296:1 gene:PRUPE_3G264700 transcript:ONI19211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNGFNSNYSAIRQLIFAGAMAISGTIDYAHQLFVHVAEPDTFMWNTMIRGSAQSQNPLNAIVLYTRMENRHAMPDSFTFPFILKACTKLSWVKMGMGIHGKVVRFGFESNTFVRNTLIYFHANCGDLKIASELFDASAKRDVVPWSALTAGYARRGKLDEARQLFDEMPVKDLVSWNVMITGYGKQGEMESARKLFDKVPERDVVTWNAMIAGYVLCGSNEQALQMFEEMRSLGEKPDEVTMLSLLSACTDIGDLDVGQKIHSALLEMGRGDMSIILGNALIDMYSKCGSIERAVEVFQKMRDKDVSSWNSVIGGLAFHGHAEESVNLFEEMRRLKIRPNEITFVGVLVACSHAGKVEEGRRYFNLMKHKYKIEPNIKHYGCMVDMLGRAGLLDEAFEFIEKMEIEPNAIVWRTLLGACRVHGNVELGRRANERLLEMRRDESGDFVLLSNIYASRGEWRGVEEVRKLMDDSGVKKEPGYSLIETDNSDLMHFLFDYRPKSI >ONI16351 pep chromosome:Prunus_persica_NCBIv2:G3:7000596:7002350:-1 gene:PRUPE_3G093500 transcript:ONI16351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFPLSRGTVAGILKGYIGLSAAVYTVVYSVVLKESALNLLLFLALGIPVLCLALMYFIRPCTPASGEDSSEHVHFLFTITVSVLLAIYLLTVTVVNEIVTLSDAVSYILVAIMVILLLSPLAIPLKMTLFPARARAESSNHLALGEGNATQADPLLTPSSSATYLGSFHEAEDSSDVETLLAIGEGAVKKKRRPKRGEDFKFHEAFIKADFWLLWFVYFLGVGSGVTVLNNLSQIGIALGASDTTILLSLFSFCNFVGRLGSGAVSEHFLRTKTIPRTFWMACAHVLMIVSFVLYALALSGTLYVATALFGICYGTQYSIMVPTSSELFGLKHFGVNYSFMGLGNPIGAILFSVLLAGNVYDAEAAKQGGSTCIGSSCFRLTFIVLASACGLGTILSIILTIRVRPVYQMLYAGGSFRLPQSSSH >ONI16352 pep chromosome:Prunus_persica_NCBIv2:G3:7000596:7002350:-1 gene:PRUPE_3G093500 transcript:ONI16352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFPLSRGTVAGILKGYIGLSAAVYTVVYSVVLKESALNLLLFLALGIPVLCLALMYFIRPCTPASGEDSSEHVHFLFTITVSVLLAIYLLTVTVVNEIVTLSDAVSYILVAIMVILLLSPLAIPLKMTLFPARARAESSNHLALGEGNATQADPLLTPSSSATYLGSFHEAEDSSDVETLLAIGEGAVKKKRRPKRGEDFKFHEAFIKADFWLLWFVYFLGVGSGVTVLNNLSQIGIALGASDTTILLSLFSFCNFVGRLGSGAVSEHFLRTKTIPRTFWMACAHVLMIVSFVLYALALSGTLYVATALFGICYGTQYSIMVPTSSELFGLKHFGVNYSFMGLGNPIGAILFSVLLAGNVYDAEAAKQGGSTCIGSSCFRLTFIVLASACGLGTILSIILTIRVRPVYQMLYAGGSFRLPQSSSH >ONI16350 pep chromosome:Prunus_persica_NCBIv2:G3:7000029:7005357:-1 gene:PRUPE_3G093500 transcript:ONI16350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPVLKAGSRPPWVGLGAAVWVQIAAGNAYNFPLYSPMLKSVLGLSQQELTILGVASDIGESVGFLPGIACNKFPPWAVLLVGCVFCFLGYGVLWLAVSQTIASMPFVVLCITLCIATNSNAWIGTAVLVTNMRNFPLSRGTVAGILKGYIGLSAAVYTVVYSVVLKESALNLLLFLALGIPVLCLALMYFIRPCTPASGEDSSEHVHFLFTITVSVLLAIYLLTVTVVNEIVTLSDAVSYILVAIMVILLLSPLAIPLKMTLFPARARAESSNHLALGEGNATQADPLLTPSSSATYLGSFHEAEDSSDVETLLAIGEGAVKKKRRPKRGEDFKFHEAFIKADFWLLWFVYFLGVGSGVTVLNNLSQIGIALGASDTTILLSLFSFCNFVGRLGSGAVSEHFLRTKTIPRTFWMACAHVLMIVSFVLYALALSGTLYVATALFGICYGTQYSIMVPTSSELFGLKHFGVNYSFMGLGNPIGAILFSVLLAGNVYDAEAAKQGGSTCIGSSCFRLTFIVLASACGLGTILSIILTIRVRPVYQMLYAGGSFRLPQSSSH >ONI16345 pep chromosome:Prunus_persica_NCBIv2:G3:6961113:6969413:-1 gene:PRUPE_3G093100 transcript:ONI16345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVDILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPIPLAGWMSNPSTVTHPAASEGGAIGLGAPSITAALKHPRTPPTNPSVEYPSGDSDHVSKRTRPMGLSSEVNLPVNMLPVTFPGHGHGQALNAPDDLPKNVTRTLNQGSSPMSMDFHPLQQTLLLVGTNVGDIGLWEVGSRERLVLRNFKVWDLSSCSMPLQAALVKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDDIRQHKEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDATTGAKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRTYQGFRKRSFGVVQFDTTKNRFLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGSLLAVSANENGIKVLANADGIRLLRTFENHLSYDASRTSEVVTKPAINPISVAAAAAAAAATSAGLADRSASAVSISGMNGDARNLGDVKPRIAEESNDKSKIWKLTEINEPSQCRSLRLPENMRVTKISRLIYTNSGSAILALASNAIHLLWKWQRSERNSASKATASVSPQLWQPSSGILMTNDIADTSPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWNSDGWEKQKSRFLQLPAGRTTASQSDTRVQFHQDQMHFLVVHETQLAIYETTKLECVKQWVPRDSAAPISHATFSCDSQLVYASFLDATVCVFSAANLRLRCRINPSVYLPANVSNNVQPLVIAAHPQEPNQFALGLSDGAVHVFEPLESEGKWGVPPPVENGSASSVPATQVGTAGSDQAQR >ONI16344 pep chromosome:Prunus_persica_NCBIv2:G3:6961060:6969413:-1 gene:PRUPE_3G093100 transcript:ONI16344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVDILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPIPLAGWMSNPSTVTHPAASEGGAIGLGAPSITAALKHPRTPPTNPSVEYPSGDSDHVSKRTRPMGLSSEVNLPVNMLPVTFPGHGHGQALNAPDDLPKNVTRTLNQGSSPMSMDFHPLQQTLLLVGTNVGDIGLWEVGSRERLVLRNFKVWDLSSCSMPLQAALVKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDDIRQHKEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDATTGAKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRTYQGFRKRSFGVVQFDTTKNRFLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGSLLAVSANENGIKVLANADGIRLLRTFENHLSYDASRTSEVVTKPAINPISVAAAAAAAAATSAGLADRSASAVSISGMNGDARNLGDVKPRIAEESNDKSKIWKLTEINEPSQCRSLRLPENMRVTKISRLIYTNSGSAILALASNAIHLLWKWQRSERNSASKATASVSPQLWQPSSGILMTNDIADTSPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWNSDGWEKQKSRFLQLPAGRTTASQSDTRVQFHQDQMHFLVVHETQLAIYETTKLECVKQWVPRDSAAPISHATFSCDSQLVYASFLDATVCVFSAANLRLRCRINPSVYLPANVSNNVQPLVIAAHPQEPNQFALGLSDGAVHVFEPLESEGKWGVPPPVENGSASSVPATQVGTAGSDQAQR >ONI16342 pep chromosome:Prunus_persica_NCBIv2:G3:6961024:6970039:-1 gene:PRUPE_3G093100 transcript:ONI16342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVDILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPIPLAGWMSNPSTVTHPAASEGGAIGLGAPSITAALKHPRTPPTNPSVEYPSGDSDHVSKRTRPMGLSSEVNLPVNMLPVTFPGHGHGQALNAPDDLPKNVTRTLNQGSSPMSMDFHPLQQTLLLVGTNVGDIGLWEVGSRERLVLRNFKVWDLSSCSMPLQAALVKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDDIRQHKEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDATTGAKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRTYQGFRKRSFGVVQFDTTKNRFLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGSLLAVSANENGIKVLANADGIRLLRTFENHLSYDASRTSEVVTKPAINPISVAAAAAAAAATSAGLADRSASAVSISGMNGDARNLGDVKPRIAEESNDKSKIWKLTEINEPSQCRSLRLPENMRVTKISRLIYTNSGSAILALASNAIHLLWKWQRSERNSASKATASVSPQLWQPSSGILMTNDIADTSPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWNSDGWEKQKSRFLQLPAGRTTASQSDTRVQFHQDQMHFLVVHETQLAIYETTKLECVKQWVPRDSAAPISHATFSCDSQLVYASFLDATVCVFSAANLRLRCRINPSVYLPANVSNNVQPLVIAAHPQEPNQFALGLSDGAVHVFEPLESEGKWGVPPPVENGYVDLTCWFRISVR >ONI16343 pep chromosome:Prunus_persica_NCBIv2:G3:6960924:6970063:-1 gene:PRUPE_3G093100 transcript:ONI16343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVDILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPIPLAGWMSNPSTVTHPAASEGGAIGLGAPSITAALKHPRTPPTNPSVEYPSGDSDHVSKRTRPMGLSSEVNLPVNMLPVTFPGHGHGQALNAPDDLPKNVTRTLNQGSSPMSMDFHPLQQTLLLVGTNVGDIGLWEVGSRERLVLRNFKVWDLSSCSMPLQAALVKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDDIRQHKEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDATTGAKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRTYQGFRKRSFGVVQFDTTKNRFLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGSLLAVSANENGIKVLANADGIRLLRTFENHLSYDASRTSEVVTKPAINPISVAAAAAAAAATSAGLADRSASAVSISGMNGDARNLGDVKPRIAEESNDKSKIWKLTEINEPSQCRSLRLPENMRVTKISRLIYTNSGSAILALASNAIHLLWKWQRSERNSASKATASVSPQLWQPSSGILMTNDIADTSPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWNSDGWEKQKSRFLQLPAGRTTASQSDTRVQFHQDQMHFLVVHETQLAIYETTKLECVKQWVPRDSAAPISHATFSCDSQLVYASFLDATVCVFSAANLRLRCRINPSVYLPANVSNNVQPLVIAAHPQEPNQFALGLSDGAVHVFEPLESEGKWGVPPPVENGSASSVPATQVGTAGSDQAQR >ONI16346 pep chromosome:Prunus_persica_NCBIv2:G3:6961627:6969413:-1 gene:PRUPE_3G093100 transcript:ONI16346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVDILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPIPLAGWMSNPSTVTHPAASEGGAIGLGAPSITAALKHPRTPPTNPSVEYPSGDSDHVSKRTRPMGLSSEVNLPVNMLPVTFPGHGHGQALNAPDDLPKNVTRTLNQGSSPMSMDFHPLQQTLLLVGTNVGDIGLWEVGSRERLVLRNFKVWDLSSCSMPLQAALVKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDDIRQHKEIDAHVGGVNDLAFSHPNKQLCVITCGDDKTIKVWDATTGAKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRTYQGFRKRSFGVVQFDTTKNRFLAAGDDFSIKFWDMDNIQLLTTVDADGGLPASPRIRFNKDGSLLAVSANENGIKVLANADGIRLLRTFENHLSYDASRTSEVVTKPAINPISVAAAAAAAAATSAGLADRSASAVSISGMNGDARNLGDVKPRIAEESNDKSKIWKLTEINEPSQCRSLRLPENMRVTKISRLIYTNSGSAILALASNAIHLLWKWQRSERNSASKATASVSPQLWQPSSGILMTNDIADTSPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWNSDGWEKQKSRFLQLPAGRTTASQSDTRVQFHQDQMHFLVVHETQLAIYETTKLECVKQWVPRDSAAPISHATFSCDSQLVYASFLDATVCVFSAANLRLRCRINPSVYLPANVSTLLPLLQQ >ONI15370 pep chromosome:Prunus_persica_NCBIv2:G3:2876840:2877223:-1 gene:PRUPE_3G039900 transcript:ONI15370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSYAYTSHSSSQRSTAMVLALVSAIVLSPLYVTTRKTDARYYETKWSSGFVLPMVLAGLIIAIKTTSSSSSSSSSSTQGDSFVPSPEPSWVLRIGSSSWGLAGVLVLLMLVLSWQGSVHEFLWR >ONI14676 pep chromosome:Prunus_persica_NCBIv2:G3:128520:132503:-1 gene:PRUPE_3G001600 transcript:ONI14676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDTRRYLRDDQTSNCRSFGSINHPSSQSRKISIGIMVDQLAKKKSGVTKEGEVVVPNAEMEKSNLGNITQGKNKKEEFTASKKAKQTKDPQQVNSPWITTTSFHQKMRTSDTVLHAKQYSNLPSGSGKQYKLDGARNAPLTYSVQFFANQTSFLESDGKKQNNFDRVNYQKKEVKDRAVEVQDFTFETAKEVIMSDKEVLVDKAVATEGRRTETLRMKLWEILGTVSSPDDQRSKSQLHKVGDDKLNPQQEFDQMGATVVKSKQNSDRPGQKYDEKGDASINPRQNSDTIEMDSESPDNIVRRPVTRSLSRKRAPTKKQHRTATNGPSPGYKMKHREDSIFSFEECCEKLHGSFAGGSSKSTRKKRENKSFRTEFPGICLPEKDKSTKIQQPINRSETPSPAKQATSVDKTMGNFHGCLPENEREYLELEKNIQEQEIYQSPLTTKKFKRDFDTLENKDQHEEDNGNPSLKNDANPEDDYLSPTFGIKTPVSSSSPSSIPKSDHVSSPAQSERRFTVGDIHSFRTFWTSKQDFCDNGQTKSSDTVEEELKDSPLRKTAADVEENDAEDGLFLSSSEERDLGSCEEGSPIIHGHDWTGEDNWIEEPSEPNQVDGLARAVELFALELEKLKTKLRSATNRKSSEILMSVAGEVHMQLQNVESQIQTDVGKLTNLSKSKRKRLESRFEDFIGDSFPFREDKGFWGQFSLYVSGCGIFSH >ONI14671 pep chromosome:Prunus_persica_NCBIv2:G3:126884:133629:-1 gene:PRUPE_3G001600 transcript:ONI14671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDTRRYLRDDQTSNCRSFGSINHPSSQSRKISIGIMVDQLAKKKSGVTKEGEVVVPNAEMEKSNLGNITQGKNKKEEFTASKKAKQTKDPQQVNSPWITTTSFHQKMRTSDTVLHAKQYSNLPSGSGKQYKLDGARNAPLTYSVQFFANQTSFLESDGKKQNNFDRVNYQKKEVKDRAVEVQDFTFETAKEVIMSDKEVLVDKAVATEGRRTETLRMKLWEILGTVSSPDDQRSKSQLHKVGDDKLNPQQEFDQMGATVVKSKQNSDRPGQKYDEKGDASINPRQNSDTIEMDSESPDNIVRRPVTRSLSRKRAPTKKQHRTATNGPSPGYKMKHREDSIFSFEECCEKLHGSFAGGSSKSTRKKRENKSFRTEFPGICLPEKDKSTKIQQPINRSETPSPAKQATSVDKTMGNFHGCLPENEREYLELEKNIQEQEIYQSPLTTKKFKRDFDTLENKDQHEEDNGNPSLKNDANPEDDYLSPTFGIKTPVSSSSPSSIPKSDHVSSPAQSERRFTVGDIHSFRTFWTSKQDFCDNGQTKSSDTVEEELKDSPLRKTAADVEENDAEDGLFLSSSEERDLGSCEEGSPIIHGHDWTGEDNWIEEPSEPNQVDGLARAVELFALELEKLKTKLRSATNRKSSEILMSVAGEVHMQLQNVESQIQTDVGKLTNLSKSKRKRLESRFEEQQEHLKVIYDKFKEQVNQHLQDCRSTFEGLDVYQTEFKGTVEKQKASHRKLLLQVEEAIETQLNDAQGRIQVMQEMGRGKMLQLKHELALCLKEGILS >ONI14674 pep chromosome:Prunus_persica_NCBIv2:G3:126884:133609:-1 gene:PRUPE_3G001600 transcript:ONI14674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDTRRYLRDDQTSNCRSFGSINHPSSQSRKISIGIMVDQLAKKKSGVTKEGEVVVPNAEMEKSNLGNITQGKNKKEEFTASKKAKQTKDPQQVNSPWITTTSFHQKMRTSDTVLHAKQYSNLPSGSGKQYKLDGARNAPLTYSVQFFANQTSFLESDGKKQNNFDRVNYQKKEVKDRAVEVQDFTFETAKEVIMSDKEVLVDKAVATEGRRTETLRMKLWEILGTVSSPDDQRSKSQLHKVGDDKLNPQQEFDQMGATVVKSKQNSDRPGQKYDEKGDASINPRQNSDTIEMDSESPDNIVRRPVTRSLSRKRAPTKKQHRTATNGPSPGYKMKHREDSIFSFEECCEKLHGSFAGGSSKSTRKKRENKSFRTEFPGICLPEKDKSTKIQQPINRSETPSPAKQATSVDKTMGNFHGCLPENEREYLELEKNIQEQEIYQSPLTTKKFKRDFDTLENKDQHEEDNGNPSLKNDANPEDDYLSPTFGIKTPVSSSSPSSIPKSDHVSSPAQSERRFTVGDIHSFRTFWTSKQDFCDNGQTKSSDTVEEELKDSPLRKTAADVEENDAEDGLFLSSSEERDLGSCEEGSPIIHGHDWTGEDNWIEEPSEPNQVDGLARAVELFALELEKLKTKLRSATNRKSSEILMSVAGEVHMQLQNVESQIQTDVGKLTNLSKSKRKRLESRFEDFIEQQEHLKVIYDKFKEQVNQHLQDCRSTFEGLDVYQTEFKGTVEKQKASHRKLLLQVEEAIETQLNDAQGRIQVMQEMGRGKMLQLKHELALCLKEGILS >ONI14678 pep chromosome:Prunus_persica_NCBIv2:G3:130372:133578:-1 gene:PRUPE_3G001600 transcript:ONI14678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDTRRYLRDDQTSNCRSFGSINHPSSQSRKISIGIMVDQLAKKKSGVTKEGEVVVPNAEMEKSNLGNITQGKNKKEEFTASKKAKQTKDPQQVNSPWITTTSFHQKMRTSDTVLHAKQYSNLPSGSGKQYKLDGARNAPLTYSVQFFANQTSFLESDGKKQNNFDRVNYQKKEVKDRAVEVQDFTFETAKEVIMSDKEVLVDKAVATEGRRTETLRMKLWEILGTVSSPDDQRSKSQLHKVGDDKLNPQQEFDQMGATVVKSKQNSDRPGQKYDEKGDASINPRQNSDTIEMDSESPDNIVRRPVTRSLSRKRAPTKKQHRTATNGPSPGYKMKHREDSIFSFEECCEKLHGSFAGGSSKSTRKKRENKSFRTEFPGICLPEKDKSTKIQQPINRSETPSPAKQATSVDKTMGNFHGCLPENEREYLELEKNIQEQEIYQSPLTTKKFKRDFDTLENKDQHEEDNGNPSLKNDANPEDDYLSPTFGIKTPVSSSSPSSIPKSDHVSSPAQSERRFTVGDIHSFRTFWTSKQDFCDNGQTKSSVSFVIEW >ONI14677 pep chromosome:Prunus_persica_NCBIv2:G3:128520:132503:-1 gene:PRUPE_3G001600 transcript:ONI14677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDTRRYLRDDQTSNCRSFGSINHPSSQSRKISIGIMVDQLAKKKSGVTKEGEVVVPNAEMEKSNLGNITQGKNKKEEFTASKKAKQTKDPQQVNSPWITTTSFHQKMRTSDTVLHAKQYSNLPSGSGKQYKLDGARNAPLTYSVQFFANQTSFLESDGKKQNNFDRVNYQKKEVKDRAVEVQDFTFETAKEVIMSDKEVLVDKAVATEGRRTETLRMKLWEILGTVSSPDDQRSKSQLHKVGDDKLNPQQEFDQMGATVVKSKQNSDRPGQKYDEKGDASINPRQNSDTIEMDSESPDNIVRRPVTRSLSRKRAPTKKQHRTATNGPSPGYKMKHREDSIFSFEECCEKLHGSFAGGSSKSTRKKRENKSFRTEFPGICLPEKDKSTKIQQPINRSETPSPAKQATSVDKTMGNFHGCLPENEREYLELEKNIQEQEIYQSPLTTKKFKRDFDTLENKDQHEEDNGNPSLKNDANPEDDYLSPTFGIKTPVSSSSPSSIPKSDHVSSPAQSERRFTVGDIHSFRTFWTSKQDFCDNGQTKSSDTVEEELKDSPLRKTAADVEENDAEDGLFLSSSEERDLGSCEEGSPIIHGHDWTGEDNWIEEPSEPNQVDGLARAVELFALELEKLKTKLRSATNRKSSEILMSVAGEVHMQLQNVESQIQTDVGKLTNLSKSKRKRLESRFEDFIGDSFPFREDKGFWGQFSLYVSGCGIFSH >ONI14670 pep chromosome:Prunus_persica_NCBIv2:G3:126884:133589:-1 gene:PRUPE_3G001600 transcript:ONI14670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDTRRYLRDDQTSNCRSFGSINHPSSQSRKISIGIMVDQLAKKKSGVTKEGEVVVPNAEMEKSNLGNITQGKNKKEEFTASKKAKQTKDPQQVNSPWITTTSFHQKMRTSDTVLHAKQYSNLPSGSGKQYKLDGARNAPLTYSVQFFANQTSFLESDGKKQNNFDRVNYQKKEVKDRAVEVQDFTFETAKEVIMSDKEVLVDKAVATEGRRTETLRMKLWEILGTVSSPDDQRSKSQLHKVGDDKLNPQQEFDQMGATVVKSKQNSDRPGQKYDEKGDASINPRQNSDTIEMDSESPDNIVRRPVTRSLSRKRAPTKKQHRTATNGPSPGYKMKHREDSIFSFEECCEKLHGSFAGGSSKSTRKKRENKSFRTEFPGICLPEKDKSTKIQQPINRSETPSPAKQATSVDKTMGNFHGCLPENEREYLELEKNIQEQEIYQSPLTTKKFKRDFDTLENKDQHEEDNGNPSLKNDANPEDDYLSPTFGIKTPVSSSSPSSIPKSDHDTVEEELKDSPLRKTAADVEENDAEDGLFLSSSEERDLGSCEEGSPIIHGHDWTGEDNWIEEPSEPNQVDGLARAVELFALELEKLKTKLRSATNRKSSEILMSVAGEVHMQLQNVESQIQTDVGKLTNLSKSKRKRLESRFEEQQEHLKVIYDKFKEQVNQHLQDCRSTFEGLDVYQTEFKGTVEKQKASHRKLLLQVEEAIETQLNDAQGRIQVMQEMGRGKMLQLKHELALCLKEGILS >ONI14672 pep chromosome:Prunus_persica_NCBIv2:G3:126884:133578:-1 gene:PRUPE_3G001600 transcript:ONI14672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDTRRYLRDDQTSNCRSFGSINHPSSQSRKISIGIMVDQLAKKKSGVTKEGEVVVPNAEMEKSNLGNITQGKNKKEEFTASKKAKQTKDPQQVNSPWITTTSFHQKMRTSDTVLHAKQYSNLPSGSGKQYKLDGARNAPLTYSVQFFANQTSFLESDGKKQNNFDRVNYQKKEVKDRAVEVQDFTFETAKEVIMSDKEVLVDKAVATEGRRTETLRMKLWEILGTVSSPDDQRSKSQLHKVGDDKLNPQQEFDQMGATVVKSKQNSDRPGQKYDEKGDASINPRQNSDTIEMDSESPDNIVRRPVTRSLSRKRAPTKKQHRTATNGPSPGYKMKHREDSIFSFEECCEKLHGSFAGGSSKSTRKKRENKSFRTEFPGICLPEKDKSTKIQQPINRSETPSPAKQATSVDKTMGNFHGCLPENEREYLELEKNIQEQEIYQSPLTTKKFKRDFDTLENKDQHEEDNGNPSLKNDANPEDDYLSPTFGIKTPVSSSSPSSIPKSDHVSSPAQSERRFTVGDIHSFRTFWTSKQDFCDNGQTKSSDTVEEELKDSPLRKTAADVEENDAEDGLFLSSSEERDLGSCEEGSPIIHGHDWTGEDNWIEEPSEPNQVDGLARAVELFALELEKLKTKLRSATNRKSSEILMSVAGEVHMQLQNVESQIQTDVGKLTNLSKSKRKRLESRFEEQQEHLKVIYDKFKEQVNQHLQDCRSTFEGLDVYQTEFKGTVEKQKASHRKLLLQVEEAIETQLNDAQGRIQVMQEMGRGKMLQLKHELALCLKEGILS >ONI14673 pep chromosome:Prunus_persica_NCBIv2:G3:126884:133590:-1 gene:PRUPE_3G001600 transcript:ONI14673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDTRRYLRDDQTSNCRSFGSINHPSSQSRKISIGIMVDQLAKKKSGVTKEGEVVVPNAEMEKSNLGNITQGKNKKEEFTASKKAKQTKDPQQVNSPWITTTSFHQKMRTSDTVLHAKQYSNLPSGSGKQYKLDGARNAPLTYSVQFFANQTSFLESDGKKQNNFDRVNYQKKEVKDRAVEVQDFTFETAKEVIMSDKEVLVDKAVATEGRRTETLRMKLWEILGTVSSPDDQRSKSQLHKVGDDKLNPQQEFDQMGATVVKSKQNSDRPGQKYDEKGDASINPRQNSDTIEMDSESPDNIVRRPVTRSLSRKRAPTKKQHRTATNGPSPGYKMKHREDSIFSFEECCEKLHGSFAGGSSKSTRKKRENKSFRTEFPGICLPEKDKSTKIQQPINRSETPSPAKQATSVDKTMGNFHGCLPENEREYLELEKNIQEQEIYQSPLTTKKFKRDFDTLENKDQHEEDNGNPSLKNDANPEDDYLSPTFGIKTPVSSSSPSSIPKSDHVSSPAQSERRFTVGDIHSFRTFWTSKQDFCDNGQTKSSDTVEEELKDSPLRKTAADVEENDAEDGLFLSSSEERDLGSCEEGSPIIHGHDWTGEDNWIEEPSEPNQVDGLARAVELFALELEKLKTKLRSATNRKSSEILMSVAGEVHMQLQNVESQIQTDVGKLTNLSKSKRKRLESRFEDFIEQQEHLKVIYDKFKEQVNQHLQDCRSTFEGLDVYQTEFKGTVEKQKASHRKLLLQVEEAIETQLNDAQGRIQVMQEMGRGKMLQLKHELALCLKEGILS >ONI14675 pep chromosome:Prunus_persica_NCBIv2:G3:126884:133630:-1 gene:PRUPE_3G001600 transcript:ONI14675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDTRRYLRDDQTSNCRSFGSINHPSSQSRKISIGIMVDQLAKKKSGVTKEGEVVVPNAEMEKSNLGNITQGKNKKEEFTASKKAKQTKDPQQVNSPWITTTSFHQKMRTSDTVLHAKQYSNLPSGSGKQYKLDGARNAPLTYSVQFFANQTSFLESDGKKQNNFDRVNYQKKEVKDRAVEVQDFTFETAKEVIMSDKEVLVDKAVATEGRRTETLRMKLWEILGTVSSPDDQRSKSQLHKVGDDKLNPQQEFDQMGATVVKSKQNSDRPGQKYDEKGDASINPRQNSDTIEMDSESPDNIVRRPVTRSLSRKRAPTKKQHRTATNGPSPGYKMKHREDSIFSFEECCEKLHGSFAGGSSKSTRKKRENKSFRTEFPGICLPEKDKSTKIQQPINRSETPSPAKQATSVDKTMGNFHGCLPENEREYLELEKNIQEQEIYQSPLTTKKFKRDFDTLENKDQHEEDNGNPSLKNDANPEDDYLSPTFGIKTPVSSSSPSSIPKSDHVSSPAQSERRFTVGDIHSFRTFWTSKQDFCDNGQTKSSDTVEEELKDSPLRKTAADVEENDAEDGLFLSSSEERDLGSCEEGSPIIHGHDWTGEDNWIEEPSEPNQVDGLARAVELFALELEKLKTKLRSATNRKSSEILMSVAGEVHMQLQNVESQIQTDVGKLTNLSKSKRKRLESRFEEQQEHLKVIYDKFKEQVNQHLQDCRSTFEGLDVYQTEFKGTVEKQKSFCCKWKKQLRLNSMMPKEESKSCKRWDVGRCSS >ONI16261 pep chromosome:Prunus_persica_NCBIv2:G3:6532296:6538935:-1 gene:PRUPE_3G088000 transcript:ONI16261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALISVLIEQLSSIIQKQVEQEVRLVVGVKKEVAKLTSNFKDIEVVLENAEERQMKEVDVRQWLERLRITEILKQHIQKQEAGNAGSTSTTKKVRFSIPAPWFCFGRQVVLCPDIAVNIEELNERLALIASERLKYDFQFTKRGIEQIDRQKNSSFVDKTFGRVDEKDKVVEKLVSGSGQGGATCLVIPITGMGGIGKTTLAKLAYNDEKVQAHFHTRIWVCVSDPVDEIKIAKAIIVSLTKETPHSNELQTLKSIIHEFVKGKKFLLVLDDVWNQDYGKWEQLKLPLQKEKVVRMVGAQHMVNLEVLREENCWALFYHIALADREKNESKGLEFIGKEIVKKCKGLPLATKALGDELGNITGCKMHDVVHDFLQFLTKNECLALEAEGGNNKRIMEFDGCKKVRHLTLMFAPEELISQVKCLRTLNLSHNFLKEVPNEVGEFAHLRYLDLSDNHDLMKLPDTVCNLINLQTLRLIRCWAFERLPKGMGKLINLQHLHVMGCVDLKLPKGIERLTSLRTLDEVHIHGDDDVDNNKEALFELSDLRNMDQLHGRLFINFKKDLKDARQAGKAHLGPKRIHEETVNALQPHPNLESLFIYGYSGTTLRPHWMTSLNKLRSLTLRSFDFVKFVPPLGRLESLEVLVIYQWPSVKKVGGEFLEIDGTIETKTSPLILFPSLKRLEFNYLPMWEEREGMTGWSEEEDSQKTITIMPCLSSLRISFCLSLKTLPNFLRNTSLKELVIDEDCSPTLAQGCLKGRGEWPKISHIPNIKVGFEFVQKDGVYQTDDDETPCAASTSSSGNVC >ONI19748 pep chromosome:Prunus_persica_NCBIv2:G3:26281440:26285957:1 gene:PRUPE_3G294900 transcript:ONI19748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLATAQLYAQRWSTSAGASEIQSISSSTGASEYPFLYTGVNQREDVRISLDSIPRDRFKICIDYGERTRVFHWIPGEHLPVELPCATTYHLLAERSFYVPMRDVKQFSSRPKWTHVLAYHLSKIGVPQGAQPALIVRVLDYIEEADNDSFELPILVHIVDGTYHYLKSNHDNDILDRVMRESSEAYRAMLIPAAQSSIQGLENVRLDDPTLAPSSACIICMEGLLLDHHDDHHSDNINVVPASQDEDDDHDQDQGQGVDRHRPPMTIKRLPCLHQFHEDCIVPWLQINHLCPLCRYPLEVRESSKPKRRRLS >ONI17750 pep chromosome:Prunus_persica_NCBIv2:G3:19386855:19391367:-1 gene:PRUPE_3G176900 transcript:ONI17750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEVVNSDEVVLGEEIEHVRLITLNRPRHLNVISSKVVSLLAEYLEKWEKDDKAELVIIKGSGRAFSAGGDLKMFYDGRNSKDSCLEVAYRMYWLGYHIHTYKKTQVALVHGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYILSHLPGHLGEFFALTGARLNGKELVAAGLATHFVPLEKLSDLEKRLISLNSGDENAVKAAIEEFAVEVQPDEESVLNKQSIIDECFSKDTVAEIIKSFEVEATKEGNGWISPVLKGLKRSSPTGLRITLRSIREGRKQTLPESLKKEFRLTINILRAIISEDVYEGIRALTIDKDNAPKWNPPSLDNAGDEKIDQVFQPFEEDWELQIPEKEEHRWDGKYENSPYASLKIFEKSPATIKNKNYGIWLRYKSRTGYHHMYKEYWWI >ONI17751 pep chromosome:Prunus_persica_NCBIv2:G3:19388235:19391080:-1 gene:PRUPE_3G176900 transcript:ONI17751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEVVNSDEVVLGEEIEHVRLITLNRPRHLNVISSKVVSLLAEYLEKWEKDDKAELVIIKGSGRAFSAGGDLKMFYDGRNSKDSCLEVAYRMYWLGYHIHTYKKTQVALVHGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYILSHLPGHLGEFFALTGARLNGKELVAAGLATHFVPLEKLSDLEKRLISLNSGDENAVKAAIEEFAVEVQPDEESVLNKQSIIDECFSKDTVAEIIKSFEVEATKEGNGWISPVLKGLKRSSPTGLRITLRSIREGRKQTLPESLKKEFRLTINILRAIISEDVYEGIRALTIDKDNAPKWNPPSLDNAGDEKIDQVFQPFEEDWELQIPEKEEHRWDGKYENSPYASLKVTD >ONI17753 pep chromosome:Prunus_persica_NCBIv2:G3:19388235:19391080:-1 gene:PRUPE_3G176900 transcript:ONI17753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEVVNSDEVVLGEEIEHVRLITLNRPRHLNVISSKVVSLLAEYLEKWEKDDKAELVIIKGSGRAFSAGGDLKMFYDGRNSKDSCLEVAYRMYWLGYHIHTYKKTQVALVHGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYILSHLPGHLGEFFALTGARLNGKELVAAGLATHFVPLEKLSDLEKRLISLNSGDENAVKAAIEEFAVEVQPDEESVLNKQSIIDECFSKDTVAEIIKSFEVEATKEGNGWISPVLKGLKRSSPTGLRITLRSIREGRKQTLPESLKKEFRLTINILRAIISEDVYEGIRALTIDKDNAPKWNPPSLDNAGDEKIDQVFQPFEEDWELQIPEKEEHRWDGKYENSPYASLKVTD >ONI17752 pep chromosome:Prunus_persica_NCBIv2:G3:19387577:19391468:-1 gene:PRUPE_3G176900 transcript:ONI17752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEVVNSDEVVLGEEIEHVRLITLNRPRHLNVISSKVVSLLAEYLEKWEKDDKAELVIIKGSGRAFSAGGDLKMFYDGRNSKDSCLEVAYRMYWLGYHIHTYKKTQVALVHGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYILSHLPGHLGEFFALTGARLNGKELVAAGLATHFVPLEKLSDLEKRLISLNSGDENAVKAAIEEFAVEVQPDEESVLNKQSIIDECFSKDTVAEIIKSFEVEATKEGNGWISPVLKGLKRSSPTGLRITLRSIREGRKQTLPESLKKEFRLTINILRAIISEDVYEGIRALTIDKDNAPKWNPPSLDNAGDEKIDQVFQPFEEDWELQIPEKEEHRWDGKYENSPYASLKVTD >ONI17651 pep chromosome:Prunus_persica_NCBIv2:G3:19028231:19029748:1 gene:PRUPE_3G171800 transcript:ONI17651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSSSKVSMVLILSLVITSLMAMTASAGNFFQDFDVTFGDQRAKILNGGQLLTLNLDKASGSGFKSKNEYLLGRIDMQIKLVSGNSAGTVTAYYLSSEGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFHLWFDPTEAFHTYSIVWNSQRIIFLVDNIPIRVFTNLETIGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFQASTTSTNSLTEQSAWQTQGLDAAGRNRLRWVQQKFMVYNYCSDLKRFPQGLPVECRRSRF >ONI18098 pep chromosome:Prunus_persica_NCBIv2:G3:20731487:20734455:-1 gene:PRUPE_3G196700 transcript:ONI18098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIYSPPPDALNSLHIHLVSNFEQKLLMYEYDVSKWCLWFSEKGVPLPKFGEWDVNDPASAEGFTVIFNKARTEKKEGGRPDSPSKDDHAFKHGAVLGKPPAKWFCCLCAES >ONI18099 pep chromosome:Prunus_persica_NCBIv2:G3:20731905:20734129:-1 gene:PRUPE_3G196700 transcript:ONI18099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIYSPPPDALNSLHIHLVSNFEQKLLMYEYDVSKWCLWFSEKGVPLPKFGEWDVNDPASAEGFTVIFNKARTEKKEGGRPDSPSKDDHAFKHGAVLGKPPAKKWFCCLCAES >ONI16447 pep chromosome:Prunus_persica_NCBIv2:G3:7579368:7579601:1 gene:PRUPE_3G098600 transcript:ONI16447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIYNPKHNYKCQLTDSNILLLEQGQARQCKESFFFPEYLEEPKWHRTSILACYILFFHQHCWLHLEGAFSVHYFP >ONI18424 pep chromosome:Prunus_persica_NCBIv2:G3:21802659:21805819:1 gene:PRUPE_3G214800 transcript:ONI18424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGLSIDSDPFGFSLHNPIVLNSFQQDQYNHHQPCRTKKQQQQQLHHSSLNMDMDASTIHQRSPPPPPLPPPTLQFSVNLNCTHEDVDNHHHHHHHHQHSPEPPSNEKRKVIDERDFFADNKSHVDQDKSASADPADKMDLHGPTDMEFNVNTGLNLLLTNTSSDQSVVDDGISSNIEDKRAKSELAVLQAELERMNAENQRLRGMLNQVTTNYNALQVHLLTLMQSQKAEQNSSAAEGHGVFDGNNKVVVEEKKLINSNGSPVVVPRQFMDLGLAANNADTDEPSQSSSEEKSRERSGSLGENVKVAGHSDDQEKKEFGRGIGREESPDQPSQSWGPNKVPRLNSPKEVDQTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMPSADGLMDSNFLTRTILPCSSSMATISASAPFPTVTLDLTQSPNPLQLQRPPGQFNIPFPNPSQNFTNGPVSLLPQIFGQALYNQSKFSGLQMSQDMERGQLGHQQQPGHQGQQQNSLADTVTAATAAIAADPNFTAALAAAITSIIGNAHPNNNSNNGTNPATNSNNNNGNGNGNTTSNNNKLSNSSFSAN >ONI17965 pep chromosome:Prunus_persica_NCBIv2:G3:20172329:20173876:1 gene:PRUPE_3G188400 transcript:ONI17965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSKPHAVCIPVPTQGHIKAHLKLAKILHHKGFHITFVNTEFNHKRLVKSLGPNSLDGLPDFRFETIPDGLPDSDEDATQDLTLLCDSILKNFLAPFLDLLTKLNNDVTSTSNNAPPPVTCIVSDPFMPFTTPAAEQLGIPVTLFFTFAASSFMGFKQYPTLVEKGLAPLKDESFLTNGFLDQVIDWVPGMKGIRLRDLPNNFITTNPNDSIFNGILEVIGRLHKASAVVVHTFDALEPDVLDALSSMSPPVYAIGPLQLLLNQIPEHPLKSLGYSLWKEETECLQWLNSTVPNSVVYVNFGSITVMTPNQLVEFGWGLANSKLNFFWVIRPDLVIGESAILPPEFVEETKERSLIASWCPQEQVLDHPSVGGFLTHNGWNSTIESLSAGVPMLCWPFFADQQTNCHYTCKEWGIGMEINNDVKRDDVEKLVRELMEGEKGKKIKNKAMEWKTLAEEATSLHGSSSTNLDNLVNQMQSRKS >ONI19123 pep chromosome:Prunus_persica_NCBIv2:G3:24636012:24639198:1 gene:PRUPE_3G259800 transcript:ONI19123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESATLATGEEGESKDLINELPDCILSSIFSLLTLKDVVDATSMVSPRWRRLVLHPILTRLNLEFDIPNVFGISIDDDAYCDDDDLIVDKLPKQDFIRCVNKVLQLHHGNKVDSFKVKFYFNRESTAILDEWIRFAITKGAEVLYLHFSHCSKAEKENYYDFPCWLLAELRPSTLKNLSLTGCVLRLPPSFDRFSHLTTLYMCEVIVDNISLARLLSDCLLLESLTLDYCWGASELMVKGPSLRLNDLKVVHCYDMQRVDISLVKLASLEILSGSLHMNLSIKPPYPAKISFDSILHFNLCAQLTQFASFSGLETLHLQLEMLSHDELPRSLPTFRDLKKLEVDLFSPKADFAGVLNLLKATPFLEQLVVSVLHVWGACFDDSFCEDEQEIRKFSGLKHNHLRKVKLQAFRSTPYEIEFAISILKNTTKLEIMEIDPFGEVYLGAGVWCKVMGIYGQYTSNSSWEENDRALVQEKLKEVKTDARIIIL >ONI15594 pep chromosome:Prunus_persica_NCBIv2:G3:3601135:3602952:-1 gene:PRUPE_3G051100 transcript:ONI15594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNERPRLFLRDLLFRIENSAYTESSSSLMLGEKLCAVFKPLIDLVDAFIFSLIGCFDRQRPHQRLSYTYDDIVRLAASSPFTVNEVEALRELFNQLSSSILDDGLIHKEELRLALFKTPAGENLFLDRVFDVFDEKKNGVIEFEGFVHALSVFHPCAPLEDKIDFAFRLYDLRQTGYIEREEVRQMVVATLLESGIHVPDETLEAIVDKARITDAKISVCFPYSEVLHSQSSLLLHRHLQMLILTRMIKLAKTNGKLLLFSTQHF >ONI15592 pep chromosome:Prunus_persica_NCBIv2:G3:3600759:3602952:-1 gene:PRUPE_3G051100 transcript:ONI15592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNERPRLFLRDLLFRIENSAYTESSSSLMLGEKLCAVFKPLIDLVDAFIFSLIGCFDRQRPHQRLSYTYDDIVRLAASSPFTVNEVEALRELFNQLSSSILDDGLIHKEELRLALFKTPAGENLFLDRVFDVFDEKKNGVIEFEGFVHALSVFHPCAPLEDKIDFAFRLYDLRQTGYIEREEVRQMVVATLLESGIHVPDETLEAIVDKTFADADSDKDDKISKDEWKAFALQHPTLLKNMTLPHLKDITTVFPSFIFNTEVED >ONI15591 pep chromosome:Prunus_persica_NCBIv2:G3:3597840:3603251:-1 gene:PRUPE_3G051100 transcript:ONI15591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNERPRLFLRDLLFRIENSAYTSSSSLMLGEKLCAVFKPLIDLVDAFIFSLIGCFDRQRPHQRLSYTYDDIVRLAASSPFTVNEVEALRELFNQLSSSILDDGLIHKEELRLALFKTPAGENLFLDRVFDVFDEKKNGVIEFEGFVHALSVFHPCAPLEDKIDFAFRLYDLRQTGYIEREEVRQMVVATLLESGIHVPDETLEAIVDKTFADADSDKDDKISKDEWKAFALQHPTLLKNMTLPHLKDITTVFPSFIFNTEVED >ONI15593 pep chromosome:Prunus_persica_NCBIv2:G3:3600759:3602952:-1 gene:PRUPE_3G051100 transcript:ONI15593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNERPRLFLRDLLFRIENSAYTESSSSLMLGEKLCAVFKPLIDLVDAFIFSLIGCFDRQRPHQRLSYTYDDIVRLAASSPFTVNEVEALRELFNQLSSSILDDGLIHKEELRLALFKTPAGENLFLDRVFDVFDEKKNGVIEFEGFVHALSVFHPCAPLEDKIDFAFRLYDLRQTGYIEREEVSILVRQMVVATLLESGIHVPDETLEAIVDKTFADADSDKDDKISKDEWKAFALQHPTLLKNMTLPHLKDITTVFPSFIFNTEVED >ONI15595 pep chromosome:Prunus_persica_NCBIv2:G3:3597840:3603251:-1 gene:PRUPE_3G051100 transcript:ONI15595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNERPRLFLRDLLFRIENSAYTESSSSLMLGEKLCAVFKPLIDLVDAFIFSLIGCFDRQRPHQRLSYTYDDIVRLAASSPFTVNEVEALRELFNQLSSSILDDGLIHKEELRLALFKTPAGENLFLDRVFDVFDEKKNGVIEFEGFVHALSVFHPCAPLEDKIDCQANGSCHFVGIWHTCAR >ONI17832 pep chromosome:Prunus_persica_NCBIv2:G3:19757478:19758608:-1 gene:PRUPE_3G181600 transcript:ONI17832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRREMFFKRNGGLLLEKQLSSGEVNVEKIKLFKSKELEKSTDNFNTDRILGQGGQGTVYKGMLTDGRIVAVKKSKIVDESQLSDFINEVVILSQINHRNVVQLLGCCLETEVPILVYEFIPNGDLSQYIHEKNEDFPLTWEVRLRISLEVAGALSYLHASAAFPIYHRDIKSTNILLDAKYRAKVADFGTSRSVAIDQTHLTTLVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLRGQKPISFRRSQEEGKSLATYFITSMQLDRLFEILDAEVVKGGSKADIILVANLASRCLNLSGRKRPTMREVTAELEGIQMSEKTSNGGQNYEEVEYVRTDPIEPWDVASSSMGTGPGLDGGPSSSLHEISLLPYC >ONI16810 pep chromosome:Prunus_persica_NCBIv2:G3:11081514:11087532:-1 gene:PRUPE_3G123700 transcript:ONI16810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRDHDKSSYGRDEKTDKVAFIKLFSFADKTDVMLMLVGTIGAMGNGSCMSIMTILVGEMSDSFGTNQNNTHIGLSVVSKVSLKFVYLAIWAAVAATLQVGCWMVTGERQAARIRALYLKTILRQDIAFFDMETNGGGEVVGRMSGDTVLIQNAMGEKVGKFVQLFSTFIAGFIIAFIKVRPLTLVMLSCVPLLVAAGASISFIVTKMASHGQSAYAKAANVLDQTIGSIRTVASFTGEKKAITSYSKNLVDAYKSGVHEGSAAGIGHGFVMLVLFFSYALAVWFGSRMIREKGYSGGDVLNVILAVLTASMSLGQASTCLSAFAAGQVAAFKMFETISRRPEIDAYDEKGKTLNDIRGDIELREVFFSYPARPDEQIFDGFSLYIPSGTTAALVGESGSGKSTVISLVERFYDPRAGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFASNIKENIAYGKDGATLEEIEAAAQLANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAVLKDPRILLLDEATSALDAESERIVQEALDRIMVNRTSVIVAHRLSTVRNADTIAIIHKGKMVERGSHSDLLKDPEGAYSQLIRLQEVNKGSEQTAEAQNKSEITTKCFRQLSQRTAFVRSLSRNSSVGSMRENNNTLQADPEAPALPLEQPPKISMRRLVALNKPEIPVLLIGTVAAIITGVMIPIFGLLLSKVVKTFYEPPRQQKKDSEFWAIMFMTLGVASLLVIPASEYFFSVAGSKLIERIRLICFERVVHMEVGWFDEAENSSGAIGARLSADAALVRALVGDTLAHIVESIATAAAGLFIGFTACWQLAFILLALIPLIGINGYVQAKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMDLYRRKCEGPTEAAKRQGLISGIGFGISFFSLFCVYATCFYAGAKLVEAGKTTFPHVFQVFYALTMAAMGISQSSSFAVDFSKAKNAAASIFAIIDRKSKIDSSDESGVKLDSVKGEIELHHVIFKYPSRPDIQIFRDLSLTIHCGNTVALVGESGSGKSSVVALLQRFYDPDSGHITLDGIELGKFQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGDATEAEIIAASELANAYRFISSLGQGFDTIVGERGVQLSGGQKQRIAIARAIIKSPKILLLDEATSALDAESERVVQDALDGVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVERGKHDTLMNISNGSYASLVALHMSASTA >ONI16811 pep chromosome:Prunus_persica_NCBIv2:G3:11081507:11087031:-1 gene:PRUPE_3G123700 transcript:ONI16811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSTDSLNILMITYLGKLQVSLKFVYLAIWAAVAATLQVGCWMVTGERQAARIRALYLKTILRQDIAFFDMETNGGGEVVGRMSGDTVLIQNAMGEKVGKFVQLFSTFIAGFIIAFIKVRPLTLVMLSCVPLLVAAGASISFIVTKMASHGQSAYAKAANVLDQTIGSIRTVASFTGEKKAITSYSKNLVDAYKSGVHEGSAAGIGHGFVMLVLFFSYALAVWFGSRMIREKGYSGGDVLNVILAVLTASMSLGQASTCLSAFAAGQVAAFKMFETISRRPEIDAYDEKGKTLNDIRGDIELREVFFSYPARPDEQIFDGFSLYIPSGTTAALVGESGSGKSTVISLVERFYDPRAGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFASNIKENIAYGKDGATLEEIEAAAQLANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAVLKDPRILLLDEATSALDAESERIVQEALDRIMVNRTSVIVAHRLSTVRNADTIAIIHKGKMVERGSHSDLLKDPEGAYSQLIRLQEVNKGSEQTAEAQNKSEITTKCFRQLSQRTAFVRSLSRNSSVGSMRENNNTLQADPEAPALPLEQPPKISMRRLVALNKPEIPVLLIGTVAAIITGVMIPIFGLLLSKVVKTFYEPPRQQKKDSEFWAIMFMTLGVASLLVIPASEYFFSVAGSKLIERIRLICFERVVHMEVGWFDEAENSSGAIGARLSADAALVRALVGDTLAHIVESIATAAAGLFIGFTACWQLAFILLALIPLIGINGYVQAKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMDLYRRKCEGPTEAAKRQGLISGIGFGISFFSLFCVYATCFYAGAKLVEAGKTTFPHVFQVFYALTMAAMGISQSSSFAVDFSKAKNAAASIFAIIDRKSKIDSSDESGVKLDSVKGEIELHHVIFKYPSRPDIQIFRDLSLTIHCGNTVALVGESGSGKSSVVALLQRFYDPDSGHITLDGIELGKFQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGDATEAEIIAASELANAYRFISSLGQGFDTIVGERGVQLSGGQKQRIAIARAIIKSPKILLLDEATSALDAESERVVQDALDGVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVERGKHDTLMNISNGSYASLVALHMSASTA >ONI16812 pep chromosome:Prunus_persica_NCBIv2:G3:11082525:11087471:-1 gene:PRUPE_3G123700 transcript:ONI16812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRDHDKSSYGRDEKTDKVAFIKLFSFADKTDVMLMLVGTIGAMGNGSCMSIMTILVGEMSDSFGTNQNNTHIGLSVVSKVSLKFVYLAIWAAVAATLQVGCWMVTGERQAARIRALYLKTILRQDIAFFDMETNGGGEVVGRMSGDTVLIQNAMGEKVGKFVQLFSTFIAGFIIAFIKVRPLTLVMLSCVPLLVAAGASISFIVTKMASHGQSAYAKAANVLDQTIGSIRTVASFTGEKKAITSYSKNLVDAYKSGVHEGSAAGIGHGFVMLVLFFSYALAVWFGSRMIREKGYSGGDVLNVILAVLTASMSLGQASTCLSAFAAGQVAAFKMFETISRRPEIDAYDEKGKTLNDIRGDIELREVFFSYPARPDEQIFDGFSLYIPSGTTAALVGESGSGKSTVISLVERFYDPRAGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFASNIKENIAYGKDGATLEEIEAAAQLANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAVLKDPRILLLDEATSALDAESERIVQEALDRIMVNRTSVIVAHRLSTVRNADTIAIIHKGKMVERGSHSDLLKDPEGAYSQLIRLQEVNKGSEQTAEAQNKSEITTKCFRQLSQRTAFVRSLSRNSSVGSMRENNNTLQADPEAPALPLEQPPKISMRRLVALNKPEIPVLLIGTVAAIITGVMIPIFGLLLSKVVKTFYEPPRQQKKDSEFWAIMFMTLGVASLLVIPASEYFFSVAGSKLIERIRLICFERVVHMEVGWFDEAENSSGAIGARLSADAALVRALVGDTLAHIVESIATAAAGLFIGFTACWQLAFILLALIPLIGINGYVQAKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMDLYRRKCEGPTEAAKRQGLISGIGFGISFFSLFCVYATCFYAGAKLVEAGKTTFPHVFQVFYALTMAAMGISQSSSFAVDFSKAKNAAASIFAIIDRKSKIDSSDESGVKLDSVKGEIELHHVIFKYPSRPDIQIFRDLSLTIHCGNVVLL >ONI16813 pep chromosome:Prunus_persica_NCBIv2:G3:11082525:11087012:-1 gene:PRUPE_3G123700 transcript:ONI16813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSTDSLNILMITYLGKLQVSLKFVYLAIWAAVAATLQVGCWMVTGERQAARIRALYLKTILRQDIAFFDMETNGGGEVVGRMSGDTVLIQNAMGEKVGKFVQLFSTFIAGFIIAFIKVRPLTLVMLSCVPLLVAAGASISFIVTKMASHGQSAYAKAANVLDQTIGSIRTVASFTGEKKAITSYSKNLVDAYKSGVHEGSAAGIGHGFVMLVLFFSYALAVWFGSRMIREKGYSGGDVLNVILAVLTASMSLGQASTCLSAFAAGQVAAFKMFETISRRPEIDAYDEKGKTLNDIRGDIELREVFFSYPARPDEQIFDGFSLYIPSGTTAALVGESGSGKSTVISLVERFYDPRAGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFASNIKENIAYGKDGATLEEIEAAAQLANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAVLKDPRILLLDEATSALDAESERIVQEALDRIMVNRTSVIVAHRLSTVRNADTIAIIHKGKMVERGSHSDLLKDPEGAYSQLIRLQEVNKGSEQTAEAQNKSEITTKCFRQLSQRTAFVRSLSRNSSVGSMRENNNTLQADPEAPALPLEQPPKISMRRLVALNKPEIPVLLIGTVAAIITGVMIPIFGLLLSKVVKTFYEPPRQQKKDSEFWAIMFMTLGVASLLVIPASEYFFSVAGSKLIERIRLICFERVVHMEVGWFDEAENSSGAIGARLSADAALVRALVGDTLAHIVESIATAAAGLFIGFTACWQLAFILLALIPLIGINGYVQAKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMDLYRRKCEGPTEAAKRQGLISGIGFGISFFSLFCVYATCFYAGAKLVEAGKTTFPHVFQVFYALTMAAMGISQSSSFAVDFSKAKNAAASIFAIIDRKSKIDSSDESGVKLDSVKGEIELHHVIFKYPSRPDIQIFRDLSLTIHCGNVVLL >ONI17967 pep chromosome:Prunus_persica_NCBIv2:G3:20181196:20182936:1 gene:PRUPE_3G188600 transcript:ONI17967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFTITAAEEIGAPIVLFYTIAACSFMGCKQLRAVVEKGLAPLKDESCFTNGYLDTVIDWIPGMRDIRLRDLPTFLQTTNADDTMLNFIMEATDRAHEASAVVLHTFDALEPDVLDALSSMLPHVYTVGPLQLHLNQIPEHPLKTGYSLWKEETECLEWLNTKAPNSVVYVNFGSIAVMTPEQLVEFGWGLANSKLSFFWVIRPDQVFGESAILPPEFVAETKERSLIAGWCPQEQVLNHPSVGGFLTHSGWNSTVESITAGVPMLCWPFFGDQQMDCRYTCNEWGIGMEISNDVKRDEVEKLVKELMEREKGKKMKNKVMEWKKLAEEATGPHGSSSTNLDNLVNQVLLRKS >ONI16153 pep chromosome:Prunus_persica_NCBIv2:G3:6043244:6046194:-1 gene:PRUPE_3G081400 transcript:ONI16153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYGTKAIQLVKEIANGEKGQLTPFSSDVFSEVIAECSQHFADLRSLIRKMQEAGLDVETSKNEDHYGQLIHHLSLVRNKRCLMAYMYNRAEILRNLVWKVGSEIPEEIQEKLSHSEKEYFKKHSEALKSYMSRVVLELAVDMVPPKDPYIKVRVLDDMGEVELPSDNTANFARHSMHFLKRTDAEKYISLGKMEELTS >ONI16154 pep chromosome:Prunus_persica_NCBIv2:G3:6043244:6046232:-1 gene:PRUPE_3G081400 transcript:ONI16154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYGTKAIQLVKEIANGEKGQLTPFSSDVFSEVIAECSQHFADLRSLIRKMQEAGLDVETSKNEDHYGQLIHHLSLVRNKRCLMAYMYNRAEILRNLVWKVGSEIPEEIQEKLSHSEKEYFKKHSEALKSYMSRVVLELAVDMVPPKDPYIKVRVLDDMGEVELPSDNTANFARHSMHFLKRTDAEKYISLGKMEELTS >ONI16156 pep chromosome:Prunus_persica_NCBIv2:G3:6043279:6046194:-1 gene:PRUPE_3G081400 transcript:ONI16156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKPSNWSKKLQMAKRGSSRLSSDVFSEVIAECSQHFADLRSLIRKMQEAGLDVETSKNEDHYGQLIHHLSLVRNKRCLMAYMYNRAEILRNLVWKVGSEIPEEIQEKLSHSEKEYFKKHSEALKSYMSRVVLELAVDMVPPKDPYIKVRVLDDMGEVELPSDNTANFARHSMHFLKRTDAEKYISLGKMEELTS >ONI16152 pep chromosome:Prunus_persica_NCBIv2:G3:6043333:6046194:-1 gene:PRUPE_3G081400 transcript:ONI16152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYGTKAIQLVKEIANGEKGQLTPFSSDVFSEVIAECSQHFADLRSLIRKMQEAGLDVETSKNEDHYGQLIHHLSLVRNKRCLMAYMYNRAEILRNLVWKVGSEIPEEIQEKLSHSEKEYFKKHSEALKSYMSRVVLELADMVPPKDPYIKVRVLDDMGEVELPSDNTANFARHSMHFLKRTDAEKYISLGKMEELTS >ONI16155 pep chromosome:Prunus_persica_NCBIv2:G3:6043276:6046232:-1 gene:PRUPE_3G081400 transcript:ONI16155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYGTKAIQLVKEIANGEKGQLTPFSSDVFSEVIAECSQHFADLRSLIRKMQEAGLDVETSKNEDHYGQLIHHLSLVRNKRCLMAYMYNRAEILRNLVWKVGSEIPEEIQEKLSHSEKEYFKKHSEALKSYMSRVVLELAVDMVPPKDPYIKVRVLDDMGEVELPSDNTANFARHSMHFLKRTDAEKYISLGKMEELTS >ONI18003 pep chromosome:Prunus_persica_NCBIv2:G3:20402091:20407656:1 gene:PRUPE_3G191500 transcript:ONI18003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKQALKSLDAFPRAEEHLLQKTQTGAVVSVVGLLIMATLFVHELRYYLTTYTVHQMSVDLKRGETLPIHINITFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSYGHIIGTEYLSDLVEREHSHKHDDSKDHHEDKDQEIHLQGAFDQAAEDLIKRVKHAIANGEGCQVFGVLDVQRVAGNFHISVHGLNIFVAQMIFEGSKNVNISHIIHDLSFGPKYPGIHNPLDGTERILHDTSGTFKYYIKVVPTEYRYISKEVLPTNQFSVTEYFSPMKQFDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMYRFLEAVTKPNARSVLR >ONI17193 pep chromosome:Prunus_persica_NCBIv2:G3:15626324:15627537:1 gene:PRUPE_3G144000 transcript:ONI17193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSQAALLGLTTLAILFFSGAHAAKITFTNKCSYTVWPGTLTGDQKPQLSLTGFELATGISNSVDAPSPWSGRFFGRTRCSTDASGKFTCATADCGSGQVSCNGNGAVPPATLVEITIAENGGQDFYDVSLVDGFNLPMSVAPQGGTGECKASTCPADINKVCPAELQVKGSDGSVIACKSACLALNQPQYCCTPPNDKPETCPPTDYSKLFKTQCPQAYSYAYDDKSSTFTCSGRPDYLITFCP >ONI18907 pep chromosome:Prunus_persica_NCBIv2:G3:23810012:23818860:-1 gene:PRUPE_3G247300 transcript:ONI18907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRIYDLHLNASRRYGVIECDPLVLKGLEKTARHMVIPYMPMLVPPLNWTGYDKGGYFFLPSYVMRIHGARQQREAIKRTPREQLEPVFKALDALGNTRWRVNKRVLSVVDRIWASGGHLADLVDRNDVPLPEEPDTEDETLLKKWKWKVKSVKKENMERHSQRCDTELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDVCRGILEFQEGRPLGKSGLSWLKIHLANLYAGGVDKLSLEGRIAFTENHLDDIFDSVDKPLEGRRWWLKAEDPFQCLAVCINLAEALRSSSPETFISHIPVHQDGSCNGLQHYAALGRDKLGAASVNLVTGEKPADVYSGIAVRVLEIMRRDAQKDPVVFPEALRAKLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGSISDDAEIFGCACYAAKITLTALGEMFEAARGIMSWLGECAKIIASENQPVRWTTPLGLPVVQPYRKFGRHLIKTSLQVLTLQRETEKVMVKRQRTAFPPNFVHSLDSSHMMMTAIACKKAGLSFAGVHDSYWTHACDVDEMNKILREKFVQLYETPILENLLESFQQSFPALTFPPLPERGDFDLRDVLESPYFFN >ONI18905 pep chromosome:Prunus_persica_NCBIv2:G3:23810564:23817298:-1 gene:PRUPE_3G247300 transcript:ONI18905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQVRIHKFLEMTRKKKVDKDQENGESDAAKKEREKLNKKVTNLMKKQKLKAVRGIVSKHDASKSWSLEARAKVGSRLIELLTQTAFIQPPADQLADGPPDIRPAFVHTFRTVAKDAKNASRRYGVIECDPLVLKGLEKTARHMVIPYMPMLVPPLNWTGYDKGGYFFLPSYVMRIHGARQQREAIKRTPREQLEPVFKALDALGNTRWRVNKRVLSVVDRIWASGGHLADLVDRNDVPLPEEPDTEDETLLKKWKWKVKSVKKENMERHSQRCDTELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDVCRGILEFQEGRPLGKSGLSWLKIHLANLYAGGVDKLSLEGRIAFTENHLDDIFDSVDKPLEGRRWWLKAEDPFQCLAVCINLAEALRSSSPETFISHIPVHQDGSCNGLQHYAALGRDKLGAASVNLVTGEKPADVYSGIAVRVLEIMRRDAQKDPVVFPEALRAKLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGSISDDAEIFGCACYAAKITLTALGEMFEAARGIMSWLGECAKIIASENQPVRWTTPLGLPVVQPYRKFGRHLIKTSLQVLTLQRETEKVMVKRQRTAFPPNFVHSLDSSHMMMTAIACKKAGLSFAGVHDSYWTHACDVDEMNKILREKFVQLYETPILENLLESFQQSFPALTFPPLPERGDFDLRDVLESPYFFN >ONI18904 pep chromosome:Prunus_persica_NCBIv2:G3:23810013:23818859:-1 gene:PRUPE_3G247300 transcript:ONI18904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSQTPLSPANISKNFTFHSPLIFHKNPSMISTTVWRNFAKQAISRKQRFHINSQSFSRAYSLLGICQESVFPGNFKPFELSSCSSLRFSEVGFRGKGAISSPEDCMCKPSFWSVRNPIWFNGFCPKGYASVAEAVSSTDVEEEVSVVDEVQELLQQMSIEEKREAQYKQRTRQNRARGMGQGKYHMLKRRQIKIETEAWERAAKEYRELMMDMCEQKLAPNLPYMKSLFLGWFEPLRNAIDKEQDLCRKGKNKTAYAPYIDQLPADMMSVITMHKLMGLLMTGGEHGTCRVVAAACTIGEAIEQEVRIHKFLEMTRKKKVDKDQENGESDAAKKEREKLNKKVTNLMKKQKLKAVRGIVSKHDASKSWSLEARAKVGSRLIELLTQTAFIQPPADQLADGPPDIRPAFVHTFRTVAKDAKNASRRYGVIECDPLVLKGLEKTARHMVIPYMPMLVPPLNWTGYDKGGYFFLPSYVMRIHGARQQREAIKRTPREQLEPVFKALDALGNTRWRVNKRVLSVVDRIWASGGHLADLVDRNDVPLPEEPDTEDETLLKKWKWKVKSVKKENMERHSQRCDTELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDVCRGILEFQEGRPLGKSGLSWLKIHLANLYAGGVDKLSLEGRIAFTENHLDDIFDSVDKPLEGRRWWLKAEDPFQCLAVCINLAEALRSSSPETFISHIPVHQDGSCNGLQHYAALGRDKLGAASVNLVTGEKPADVYSGIAVRVLEIMRRDAQKDPVVFPEALRAKLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGSISDDAEIFGCACYAAKITLTALGEMFEAARGIMSWLGECAKIIASENQPVRWTTPLGLPVVQPYRKFGRHLIKTSLQVLTLQRETEKVMVKRQRTAFPPNFVHSLDSSHMMMTAIACKKAGLSFAGVHDSYWTHACDVDEMNKILREKFVQLYETPILENLLESFQQSFPALTFPPLPERGDFDLRDVLESPYFFN >ONI18906 pep chromosome:Prunus_persica_NCBIv2:G3:23810013:23818860:-1 gene:PRUPE_3G247300 transcript:ONI18906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKKVDKDQENGESDAAKKEREKLNKKVTNLMKKQKLKAVRGIVSKHDASKSWSLEARAKVGSRLIELLTQTAFIQPPADQLADGPPDIRPAFVHTFRTVAKDAKNASRRYGVIECDPLVLKGLEKTARHMVIPYMPMLVPPLNWTGYDKGGYFFLPSYVMRIHGARQQREAIKRTPREQLEPVFKALDALGNTRWRVNKRVLSVVDRIWASGGHLADLVDRNDVPLPEEPDTEDETLLKKWKWKVKSVKKENMERHSQRCDTELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDVCRGILEFQEGRPLGKSGLSWLKIHLANLYAGGVDKLSLEGRIAFTENHLDDIFDSVDKPLEGRRWWLKAEDPFQCLAVCINLAEALRSSSPETFISHIPVHQDGSCNGLQHYAALGRDKLGAASVNLVTGEKPADVYSGIAVRVLEIMRRDAQKDPVVFPEALRAKLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGSISDDAEIFGCACYAAKITLTALGEMFEAARGIMSWLGECAKIIASENQPVRWTTPLGLPVVQPYRKFGRHLIKTSLQVLTLQRETEKVMVKRQRTAFPPNFVHSLDSSHMMMTAIACKKAGLSFAGVHDSYWTHACDVDEMNKILREKFVQLYETPILENLLESFQQSFPALTFPPLPERGDFDLRDVLESPYFFN >ONI14669 pep chromosome:Prunus_persica_NCBIv2:G3:123412:126618:-1 gene:PRUPE_3G001500 transcript:ONI14669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESERVREVIRKQVGDDWDDEVMSRSRFKALSGQRSDWEPTYLFWRDLILSVARQLGLFIIKPSHLNNQWFNRGGLTPLSLDRVLFEMYNEGEIVLPSVDLVDPTAGRLSQVFTRLTNSMIRRSRTTTPQLLMSQDRLILTSLLKHKAVEVVKLLSECHWTSSCIVTMKRFQDICGGAYEASALLSHLSAQGKARYLSLSKGDFIEGVKVSLSASSVPSISSLDCDVLHLIWTTEKLQQQLNVIDQRCQTSRKSALACLNSGNKKVALRHVRQLKLANESRENCATLLNRVEEVLDVIASAESTKKNQLRCTQLMRMKKVLKRSSRN >ONI14668 pep chromosome:Prunus_persica_NCBIv2:G3:123412:126618:-1 gene:PRUPE_3G001500 transcript:ONI14668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSRRPHPIIPRPCLGEIVLPSVDLVDPTAGRLSQVFTRLTNSMIRRSRTTTPQLLMSQDRLILTSLLKHKAVEVVKLLSECHWTSSCIVTMKRFQDICGGAYEASALLSHLSAQGKARYLSLSKGDFIEGVKVSLSASSVPSISSLDCDVLHLIWTTEKLQQQLNVIDQRCQTSRKSALACLNSGNKKVALRHVRQLKLANESRENCATLLNRVEEVLDVIASAESTKKVSEAIQIGAQAIKENKMSVEEVQHSLQEIEESIDTQKQIENALESTSLYTVDEDEEGIEEEFKKLELDIEVNSAGETEASKSAESLIDSLSNLKLVDDVLARTPAVQGTVETIRNNKTETPVLETA >ONI14667 pep chromosome:Prunus_persica_NCBIv2:G3:123633:126204:-1 gene:PRUPE_3G001500 transcript:ONI14667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESERVREVIRKQVGDDWDDEVMSRSRFKALSGQRSDWEPTYLFWRDLILSVARQLGLFIIKPSHLNNQWFNRGGLTPLSLDRVLFEMYNEGEIVLPSVDLVDPTAGRLSQVFTRLTNSMIRRSRTTTPQLLMSQDRLILTSLLKHKAVEVVKLLSECHWTSSCIVTMKRFQDICGGAYEASALLSHLSAQGKARYLSLSKGDFIEGVKVSLSASSVPSISSLDCDVLHLIWTTEKLQQQLNVIDQRCQTSRKSALACLNSGNKKVALRHVRQLKLANESRENCATLLNRVEEVLDVIASAESTKKVSEAIQIGAQAIKENKMSVEEVQHSLQEIEESIDTQKQIENALESTSLYTVDEDEEGIEEEFKKLELDIEVNSAGETEASKSAESLIDSLSNLKLVDDVLARTPAVQGTVETIRNNKTETPVLETA >ONI14843 pep chromosome:Prunus_persica_NCBIv2:G3:741958:743213:1 gene:PRUPE_3G011900 transcript:ONI14843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTRTMAFKSAIVQPKNPPHFNPTFSCCLKPSFQFRENPLKDFEFNIKRRIGAIAAVSWALMAAKEAIFVEAANGFDLQLVAPGQTIEEARSGIKGHAQALLQVKELIDLESWREVQIALRKSSSVLKQDIYTLIQAKPANERPQLRKLYSDLFNNVTRLDYAARDKDASYIRQCYENIVAVLNQMLSRI >ONI19770 pep chromosome:Prunus_persica_NCBIv2:G3:26345295:26347881:-1 gene:PRUPE_3G296600 transcript:ONI19770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSLLLIFLIITCFLTATFASSSQLHDPELVAQEVHRSINASRRNLGYLSCGTGNPIDDCWRCDPNWEQNRQRLADCAIGFGKDAIGGRNGRIYVVTDSGDDDPVNPRPGTLRHAVIQDEPLWIIFKRDMVVQLKQELVMNSFKTIDGRGASVHIAGGPCITIHYATNIIIHGINIHDCKQAGNGNIRDSPQHSGWWTISDGDGVSIFGGKHIWVDHCSLSNCHDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKGMQVTVAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFLAPNTRFNKEVTKHEDAPESEWRGWNWRSEGDLMLNGAYFRQSGAGASSSYARASSLSARPSSLVGSITTTAGALICRKGSRC >ONI17284 pep chromosome:Prunus_persica_NCBIv2:G3:16408716:16411461:-1 gene:PRUPE_3G150200 transcript:ONI17284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNITNVAVLDNPALFLAPFQFEISYECLTPLKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQADPPDPSKIRDEDIIGVTVLLLTCSYLGQEFIRVGYYVNNDYDDEQLREEPPPKVLIDRVQRNILSDKPRVTKFPINFHPENTENGEQPAPDQPNEADGSGDLLVAPVNPSEEQ >ONI16696 pep chromosome:Prunus_persica_NCBIv2:G3:9838484:9839329:1 gene:PRUPE_3G116200 transcript:ONI16696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRSHPPKPPPPNEPRTSAGNHPDSLPLTTPPPISSPPASTLNSNPRLPTTAWRPLSPSFRACSRRRRRFPARSDGLHLSLSPLCSLPPSLSVFAKKIVGFLWVGAGVWSWPGGEEIGWRGRGSMVAGWGFRLVWVRVVFIGEWGKKKIARALVWEDFFFLFFCNFFFVKTNILINFKLKM >ONI17187 pep chromosome:Prunus_persica_NCBIv2:G3:15583190:15586875:-1 gene:PRUPE_3G143700 transcript:ONI17187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYAVSAPHCCISYPRRPCSPRFLRKCSVPTSFCVQASLPENNNGAKVEYTPWLIVGLGNPGNKYHGTRHNIGFEMIDSIAKTQGVVMNTIQSKALVGIGSIGEVPILLAKPQAYMNYSGESVGPLAAYYQVPLRHILLVYDEMSLPNGVLRIQPKGGHGYHNGVKSVMGHLDGCREFPRLCIGIGNPPGTMDMKAYLLQRFSTVERHQ >ONI17186 pep chromosome:Prunus_persica_NCBIv2:G3:15582621:15587472:-1 gene:PRUPE_3G143700 transcript:ONI17186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYAVSAPHCCISYPRRPCSPRFLRKCSVPTSFCVQASLPENNNGAKVEYTPWLIVGLGNPGNKYHGTRHNIGFEMIDSIAKTQGVVMNTIQSKALVGIGSIGEVPILLAKPQAYMNYSGESVGPLAAYYQVPLRHILLVYDEMSLPNGVLRIQPKGGHGYHNGVKSVMGHLDGCREFPRLCIGIGNPPGTMDMKAYLLQRFSTVERHQIDAALDQGVEAVRTLVLNGFDQSITSFNLRQKYKYHKV >ONI17188 pep chromosome:Prunus_persica_NCBIv2:G3:15583309:15587472:-1 gene:PRUPE_3G143700 transcript:ONI17188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYAVSAPHCCISYPRRPCSPRFLRKCSVPTSFCVQASLPENNNGAKVEYTPWLIVGLGNPGNKYHGTRHNIGFEMIDSIAKTQGVVMNTIQSKALVGIGSIGEVPILLAKPQAYMNYSGESVGPLAAYYQVPLRHILLVYDEMSLPNGVLRIQPKGGHGYHNGVKSVMGHLDGCREFPRLCIGIGNPPGTMDMKAYLLQRFSTVERHQLVFGVLPELCF >ONI17189 pep chromosome:Prunus_persica_NCBIv2:G3:15584246:15587473:-1 gene:PRUPE_3G143700 transcript:ONI17189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYAVSAPHCCISYPRRPCSPRFLRKCSVPTSFCVQASLPENNNGAKVEYTPWLIVGLGNPGNKYHGTRHNIGFEMIDSIAKTQGVVMNTIQSKALVGIGSIGEVPILLAKPQAYMNYSGESVGPLAAYYQVPLRHILLVYDEMSLPNGVLRIQPKGGHGYHNGVSVENQCCSGCRVNVWFEEAEKL >ONI19426 pep chromosome:Prunus_persica_NCBIv2:G3:25570776:25576515:-1 gene:PRUPE_3G279400 transcript:ONI19426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEREVGEGSNVKANAKRRRRTWLKRKEKWLVVLGVVLHAVYMLSIFDIYFKSPIVHGMDLVTPRFKAPAKRLVLLVADGLRADKFFESDSEGKFRAPFLRSVIEEKGRWGVSHARPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTFSYGSPDIVPIFCAGLPHTTWNSYPHDFEDFATDASFLDEWSFDQFKGLLNRSKEDPKLKELLLQDNLVVFLHLLGCDSNGHAHRPFSSIYLNNVAVVDSIAERVYNLLEDYYMDNRTSYVFTADHGMHDKGSHGDGHPTNTDTPLVVWGAGVKQPKLVSSSNHSDCGFRFVDEHMHDTPTPTEWGLHGIERVDVNQADIAPLMSTLLGLPCPVNSVGSLPLDYIDMIKEDEVEAVVANTKQILNQFLRKSQTKQSNSLYFKPFKPLGDYSSLLDKIEDLISIRDYAAARKLSEDLRVLALQGLHYFQTYDWLMLMTVIILGYIGWMTYIVLHVLQSYTSLAGYMFRKEQADHQTDNTRKVQLCGCLFLGLLCIILFKEHSPPLYHAYTSMTVFLWTQIFSEYRFIKALWKELYGRRINYFAKILATGVFSVFILEFLVNSFTQRKLYTWCFLVSGVISFLYLLKLIPWRSGVPIFVCVACWFLSVFTLMPAEIPDNNRLVIGSGVMIIMIGVAARLLDLHTEGNKYWLSICNHDKKQPKFPTLFQLQALLVGLSSVMVSISTSHRTQKQELLALHQITNWSIAGISIVLPLFSANGLLSRLTSIFLGFAPTFLLLSIGYEAVFYGALALALMAWILVENTLIYLSKVNRLSSSFNNMEDNVILDGRYLQLSDVRIPLIFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFLLVICVFSAITKLNRLPRLGCYFLVILFSDVMTMHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFAVTNIYTKDINIGSVDRSSRKAM >ONI19425 pep chromosome:Prunus_persica_NCBIv2:G3:25569604:25576599:-1 gene:PRUPE_3G279400 transcript:ONI19425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEREVGEGSNVKANAKRRRRTWLKRKEKWLVVLGVVLHAVYMLSIFDIYFKSPIVHGMDLVTPRFKAPAKRLVLLVGKFRAPFLRSVIEEKGRWGVSHARPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTFSYGSPDIVPIFCAGLPHTTWNSYPHDFEDFATDASFLDEWSFDQFKGLLNRSKEDPKLKELLLQDNLVVFLHLLGCDSNGHAHRPFSSIYLNNVAVVDSIAERVYNLLEDYYMDNRTSYVFTADHGMHDKGSHGDGHPTNTDTPLVVWGAGVKQPKLVSSSNHSDCGFRFVDEHMHDTPTPTEWGLHGIERVDVNQADIAPLMSTLLGLPCPVNSVGSLPLDYIDMIKEDEVEAVVANTKQILNQFLRKSQTKQSNSLYFKPFKPLGDYSSLLDKIEDLISIRDYAAARKLSEDLRVLALQGLHYFQTYDWLMLMTVIILGYIGWMTYIVLHVLQSYTSLAGYMFRKEQADHQTDNTRKVQLCGCLFLGLLCIILFKEHSPPLYHAYTSMTVFLWTQIFSEYRFIKALWKELYGRRINYFAKILATGVFSVFILEFLVNSFTQRKLYTWCFLVSGVISFLYLLKLIPWRSGVPIFVCVACWFLSVFTLMPAEIPDNNRLVIGSGVMIIMIGVAARLLDLHTEGNKYWLSICNHDKKQPKFPTLFQLQALLVGLSSVMVSISTSHRTQKQELLALHQITNWSIAGISIVLPLFSANGLLSRLTSIFLGFAPTFLLLSIGYEAVFYGALALALMAWILVENTLIYLSKVNRLSSSFNNMEDNVILDGRYLQLSDVRIPLIFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFLLVICVFSAITKLNRLPRLGCYFLVILFSDVMTMHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFAVTNIYTKDINIGSVDRSSRKAM >ONI17657 pep chromosome:Prunus_persica_NCBIv2:G3:19053628:19056352:1 gene:PRUPE_3G172400 transcript:ONI17657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRSHSRPKKTTSKSAKKQMTRTKESIHQSPPILHVQGGEEEERRKVSADLNQMADSSNGNLEETLKVQPDDEVKVVESVTDIVIDDYSAAGKKTHDGDDSGSSSSSSDEETEAGEKSAKVADSGEVEETKEVSVVVVETVESLSNHLDQGVVDDLEAVKEEEEKVLVSVDGTYESSPAITDLVSEQIEEKTLTYLDESNGVSLAETDLVSKEVEEPALPSLSEHDEPAPVITDVEEKSEVVDVVSKGIEETIGESSSENVVDGSSKPSPDQVPPPLESADAGEEYGKPVIPESTGNPPIVSVTGRPLQPTSWKSCCGLFEVLHRSNR >ONI17658 pep chromosome:Prunus_persica_NCBIv2:G3:19054130:19056417:1 gene:PRUPE_3G172400 transcript:ONI17658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSNGNLEETLKVQPDDEVKVVESVTDIVIDDYSAAGKKTHDGDDSGSSSSSSDEETEAGEKSAKVADSGEVEETKEVSVVVVETVESLSNHLDQGVVDDLEAVKEEEEKVLVSVDGTYESSPAITDLVSEQIEEKTLTYLDESNGVSLAETDLVSKEVEEPALPSLSEHDEPAPVITDVEEKSEVVDVVSKGIEETIGESSSENVVDGSSKPSPDQVPPPLESADAGEEYGKPVIPESTGNPPIVSVTGRPLQPTSWKSCCGLFEVLHRSNR >ONI15930 pep chromosome:Prunus_persica_NCBIv2:G3:5020724:5022874:-1 gene:PRUPE_3G069600 transcript:ONI15930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYMVDGKLDHMWQDFLASRFGKEFVAGGCGGIAGVLSGYPLDTLRVRQQHSKSGSAFSILRNVISAEGPTALYRGIAAPLASVTFQNAMVFQINSILCRAFNSSASTKDPAPYKAVALGGFATGAVQSLILSPIELVKIRLQLQNIQAYVNAKSHQLQSHRGPIDVAKAIMKAEGLRGIYRGLGITVLRDAPSFCFYFSTYEYMREKLHPGCRKSGKESMRTMMLAGGLAGVASWLFVYPLDVVKTRWQAQSVSPNYNGIVDCFQKSVREGGYRVLWRGLGTAVVRAFLVNGAIFPAYEIALRFLQSNETIPAVSAI >ONI16849 pep chromosome:Prunus_persica_NCBIv2:G3:11504627:11509235:-1 gene:PRUPE_3G125200 transcript:ONI16849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLTLLLLAILLLQQASSGESRSITNDLPLSMVSDGVLEKTPKPNSIWLPGRLFSSDSCDQTYGFLPCTTTVLGNTFLIIVYGYLMFLSAKLLSNGSEILLQILGPGIVGGFFLPLLSSIPDATIILASGLSGDTETAQSQVSVGMGLLAGSNVMLLTILWGTCLLVGKCDLENSVAVDQKDEKRFSLTGSGVSTDIWTSYAARIMVFSIIPFVIVQLPQVFHRTSDNRLAILISLIVAISFVIAYSLYQVFQPWIQKRKLALSKHKHVMSEILIQLKTNALGRLLTNDGDPNKVVIEKLFKTLDQDSDGYLSTADLRSLIIGIQFDDMDINIDEAISQVMRDFDTSHDSKIDVDEFFKGISRWINKAKRVAIMERGKLPPSMKLLEDFDKKTKKEQDQFMDQMDEVVEDVKNVKWHASKAVGLLLLGTIVAAVFADPLVDAVDNFSTATSIPSFFVSFVILPFASSSEIVSTLIFVSRKKQRTASLAYSEIYGSVTMSNILSLAVFLGLVYIRNLTWSFSAEVLVIVVVCILMGAIASFRTTFPLWMSLVAMLLYPLSLLLVYILDYVFGWS >ONI18021 pep chromosome:Prunus_persica_NCBIv2:G3:20462391:20466815:1 gene:PRUPE_3G192500 transcript:ONI18021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDRLEVDNCVRCNGGSGNMKLLVCTEMGCPIALHVECMCAKPVFDEMGNFYCPYCAYKLKFVRTQKLRRKAMTSKRVLSKFIDAGEGNGCGYGDGQGGGEVRDQTLGIEGGEPEQGDNEPRREIENVGGGERIDEDQQEGEVVEETPEVDAEPENACYVQEKTNKDHGHDGLDQGDEEGIRVSKENEDKSDDEEQRQPEANEVPANGTVVSESEELDTGSPLVRKKRFKRKAQKAEQPQNLASLRTVPSPSRESSSCQTSFVEDSKKQNEKAKTFSKKEIEDHEFARNLVLPNGKRKKLNWTGEEVEMLKEGVRIYKAKGKKIPWTQILEFGHNVFHITRLPADLKDKWRNLIGRR >ONI18022 pep chromosome:Prunus_persica_NCBIv2:G3:20462896:20466815:1 gene:PRUPE_3G192500 transcript:ONI18022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDRLEVDNCVRCNGGSGNMKLLVCTEMGCPIALHVECMCAKPVFDEMGNFYCPYCAYKLKFVRTQKLRRKAMTSKRVLSKFIDAGEGNGCGYGDGQGGGEVRDQTLGIEGGEPEQGDNEPRREIENVGGGERIDEDQQEGEVVEETPEVDAEPENACYVQEKTNKDHGHDGLDQGDEEGIRVSKENEDKSDDEEQRQPEANEVPANGTVVSESEELDTGSPLVRKKRFKRKAQKAEQPQNLASLRTVPSPSRESSSCQTSFVEDSKKQNEKAKTFSKKEIEDHEFARNLVLPNGKRKKLNWTGEEVEMLKEGVRIYKAKGKKIPWTQILEFGHNVFHITRLPADLKDKWRNLIGRR >ONI18023 pep chromosome:Prunus_persica_NCBIv2:G3:20462896:20465089:1 gene:PRUPE_3G192500 transcript:ONI18023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDRLEVDNCVRCNGGSGNMKLLVCTEMGCPIALHVECMCAKPVFDEMGNFYCPYCAYKLKFVRTQKLRRKAMTSKRVLSKFIDAGEGNGCGYGDGQGGGEVRDQTLGIEGGEPEQGDNEPRREIENVGGGERIDEDQQEGEVVEETPEVDAEPENACYVQEKTNKDHGHDGLDQGDEEGIRVSKENEDKSDDEEQRQPEANEVPANGTVVSESEELDTGSPLVRKKRFKRKAQKAEQPQNLASLRTVPSPSRESSSCQTSFVEDSKKQNEKAKTFSKKEIEDHEFARNLVLPNGKRKKLNWTGEEVEMLKEGVRIYKAKGKKIPWTQILEFGHNVFHITRLPADLKDKWRNLIGRR >ONI14930 pep chromosome:Prunus_persica_NCBIv2:G3:1182101:1188143:1 gene:PRUPE_3G016600 transcript:ONI14930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILTGIIPTIMEYIVVPVGRQVGYLFYYKSNLQNLRSQLNNIGVATDRKTQIVNQEERKGKIVHNDVKKWLAEAAEMIKEAKELLGDEGHAKTKCSPNLISYHRLSKQSKKLAEKIELHEKIYFPNVSYDGAVEDRYAIPSQEYMAFESRTSMLKEIMQELKNPDSNMIGVYGLGGVGKTTLAKEVFRQANEEKLFDDMVIILNVKEKNDMEIQKEIAEKLGMEVKEPQSMAVRANCYGAG >ONI15294 pep chromosome:Prunus_persica_NCBIv2:G3:2608091:2617488:1 gene:PRUPE_3G036100 transcript:ONI15294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDTPLDYAVFQLSPKHSRCELFVSSNGNTEKLASGSVKPFVTHLKVAEEQVALAVQSIKLEVEKRKYAETWFTKGTLERFVRFVSTPEVLELVNTFDAEMSQLEAAWRIYSQGMGGQHAGALGGGGTGITAAADATKKELLRAIDVRLVAVRQDLTTACARASAAGFNPDTVSQLKLFADQFGAHCLNEACTKFISLCQRRSDVINPWKPSVDDRAVRSSCESDMSIDDPTEDTSGPHVKPHSQPQNKQEKLEDPSRHSTCQHPTSLNTNFPTQQCKNVTEKDRDEDKARVEKKDEPQTESTPLGVSQPARRLSVQDRISLFENKQKESSSSSSGGKPVVVAKPVELRRLSSDVSSAPAVLRRWSGASDMSIDLSAEKKETESSLCTPSSVSSVSSVSHTISHTKAGTNIVSVVAEDKDRKGSIDPTDSCKVEGRSASGRIGDVELKDQTEGQTGVGVFVGKEEEAGSKVKKEQVGSQTQSRSSSARTEQVGLSDQGVSVEKLKISSGGEERSRGFKDQLGSDTQSKGFSGRAEVVGVKNQVGCAISGGGFGNRVEDSRLREQSTTQLRSRGYQGHSRSFSGQFEGGVGRKLEEASSAQIKGIEVDQRAPQHHWRSFSGDLGEQLGNVDLTSSDKQHIKVEDSGAQKMKFQKPVSARREQIKKSQGRREETNSVYESSKLDFTGDKVSINQESLPTMPTTPVEQVQRVRQTKGNQELNDELKIKANELEKLFAEHKLRIPGEQSSSARRSKPVDVKKKEQAVSSQYRKPAAEEIAPAQFCSSNTVMEPMGSSSDMVKFNTTPPLKMVGPQDYGDTLRQNFSVPGFSLDSKGKFYERYMQKRDAKLREEWGSKREEKEAKLKAMEDSLEQSKAELKAKLSGSADRQDSVSSAQRREDKLRSFNFRSGMKREQPIDSIDWEKDEDLSDFPGQKLYREDRFSSEASLGDGASRSIQNKKLFPNKNLSSPTHWTPAAPAPRSSSKFSNFSSGRRRPELENPLAQSVPNFSDFRKENTKPSSGVSKTAVSKIPARSQVKSYSRSKSISEEIMSKEEKPRRSQSSRKSSANPVEFNNLSPLNSDGVVLVPFDKEQTEHYDKFPKYVESKSFLRKGNGIGTGSGVSISKLKGSMASETLTNEEFDEMTFEAEDSVDMAKEEEEEEELGNMAVEDEVDMDNGKPRLSQESEKSGNSGSDNVDSVRSLSQVDPASVAELPAAVPSTFHALGSLPDSPGESPMSWNLHMHHPFSYPHETSDVDASADSPIGSPASWNSHGLTQIDVDAARMRKKWGSAQKPILATNSAQNQSRKDMTKGFKRLLKFGRKSRGIDNTGDWISATTSEGDDDTEDGRDPANRLSEDLRKSRMGFMQGTDDSFNESEFNEQVEALRSSIPAPPMNFKLREDHLSGSSLKAPRSFFSLSSFRSKGSESKLR >ONI15295 pep chromosome:Prunus_persica_NCBIv2:G3:2607373:2617488:1 gene:PRUPE_3G036100 transcript:ONI15295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDTPLDYAVFQLSPKHSRCELFVSSNGNTEKLASGSVKPFVTHLKVAEEQVALAVQSIKLEVEKRKYAETWFTKGTLERFVRFVSTPEVLELVNTFDAEMSQLEAAWRIYSQGMGGQHAGALGGGGTGITAAADATKKELLRAIDVRLVAVRQDLTTACARASAAGFNPDTVSQLKLFADQFGAHCLNEACTKFISLCQRRSDVINPWKPSVDDRAVRSSCESDMSIDDPTEDTSGPHVKPHSQPQNKQEKLEDPSRHSTCQHPTSLNTNFPTQQCKNVTEKDRDEDKARVEKKDEPQTESTPLGVSQPARRLSVQDRISLFENKQKESSSSSSGGKPVVVAKPVELRRLSSDVSSAPAVLRRWSGASDMSIDLSAEKKETESSLCTPSSVSSVSSVSHTISHTKAGTNIVSVVAEDKDRKGSIDPTDSCKVEGRSASGRIGDVELKDQTEGQTGVGVFVGKEEEAGSKVKKEQVGSQTQSRSSSARTEQVGLSDQGVSVEKLKISSGGEERSRGFKDQLGSDTQSKGFSGRAEVVGVKNQVGCAISGGGFGNRVEDSRLREQSTTQLRSRGYQGHSRSFSGQFEGGVGRKLEEASSAQIKGIEVDQRAPQHHWRSFSGDLGEQLGNVDLTSSDKQHIKVEDSGAQKMKFQKPVSARREQIKKSQGRREETNSVYESSKLDFTGDKVSINQESLPTMPTTPVEQVQRVRQTKGNQELNDELKIKANELEKLFAEHKLRIPGEQSSSARRSKPVDVKKKEQAVSSQYRKPAAEEIAPAQFCSSNTVMEPMGSSSDMVKFNTTPPLKMVGPQDYGDTLRQNFSVPGFSLDSKGKFYERYMQKRDAKLREEWGSKREEKEAKLKAMEDSLEQSKAELKAKLSGSADRQDSVSSAQRREDKLRSFNFRSGMKREQPIDSIDWEKDEDLSDFPGQKLYREDRFSSEASLGDGASRSIQNKKLFPNKNLSSPTHWTPAAPAPRSSSKFSNFSSGRRRPELENPLAQSVPNFSDFRKENTKPSSGVSKTAVSKIPARSQVKSYSRSKSISEEIMSKEEKPRRSQSSRKSSANPVEFNNLSPLNSDGVVLVPFDKEQTEHYDKFPKYVESKSFLRKGNGIGTGSGVSISKLKGSMASETLTNEEFDEMTFEAEDSVDMAKEEEEEEELGNMAVEDEVDMDNGKPRLSQESEKSGNSGSDNVDSVRSLSQVDPASVAELPAAVPSTFHALGSLPDSPGESPMSWNLHMHHPFSYPHETSDVDASADSPIGSPASWNSHGLTQIDVDAARMRKKWGSAQKPILATNSAQNQSRKDMTKGFKRLLKFGRKSRGIDNTGDWISATTSEGDDDTEDGRDPANRLSEDLRKSRMGFMQGTDDSFNESEFNEQVEALRSSIPAPPMNFKLREDHLSGSSLKAPRSFFSLSSFRSKGSESKLR >ONI15296 pep chromosome:Prunus_persica_NCBIv2:G3:2611976:2617488:1 gene:PRUPE_3G036100 transcript:ONI15296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDDPTEDTSGPHVKPHSQPQNKQEKLEDPSRHSTCQHPTSLNTNFPTQQCKNVTEKDRDEDKARVEKKDEPQTESTPLGVSQPARRLSVQDRISLFENKQKESSSSSSGGKPVVVAKPVELRRLSSDVSSAPAVLRRWSGASDMSIDLSAEKKETESSLCTPSSVSSVSSVSHTISHTKAGTNIVSVVAEDKDRKGSIDPTDSCKVEGRSASGRIGDVELKDQTEGQTGVGVFVGKEEEAGSKVKKEQVGSQTQSRSSSARTEQVGLSDQGVSVEKLKISSGGEERSRGFKDQLGSDTQSKGFSGRAEVVGVKNQVGCAISGGGFGNRVEDSRLREQSTTQLRSRGYQGHSRSFSGQFEGGVGRKLEEASSAQIKGIEVDQRAPQHHWRSFSGDLGEQLGNVDLTSSDKQHIKVEDSGAQKMKFQKPVSARREQIKKSQGRREETNSVYESSKLDFTGDKVSINQESLPTMPTTPVEQVQRVRQTKGNQELNDELKIKANELEKLFAEHKLRIPGEQSSSARRSKPVDVKKKEQAVSSQYRKPAAEEIAPAQFCSSNTVMEPMGSSSDMVKFNTTPPLKMVGPQDYGDTLRQNFSVPGFSLDSKGKFYERYMQKRDAKLREEWGSKREEKEAKLKAMEDSLEQSKAELKAKLSGSADRQDSVSSAQRREDKLRSFNFRSGMKREQPIDSIDWEKDEDLSDFPGQKLYREDRFSSEASLGDGASRSIQNKKLFPNKNLSSPTHWTPAAPAPRSSSKFSNFSSGRRRPELENPLAQSVPNFSDFRKENTKPSSGVSKTAVSKIPARSQVKSYSRSKSISEEIMSKEEKPRRSQSSRKSSANPVEFNNLSPLNSDGVVLVPFDKEQTEHYDKFPKYVESKSFLRKGNGIGTGSGVSISKLKGSMASETLTNEEFDEMTFEAEDSVDMAKEEEEEEELGNMAVEDEVDMDNGKPRLSQESEKSGNSGSDNVDSVRSLSQVDPASVAELPAAVPSTFHALGSLPDSPGESPMSWNLHMHHPFSYPHETSDVDASADSPIGSPASWNSHGLTQIDVDAARMRKKWGSAQKPILATNSAQNQSRKDMTKGFKRLLKFGRKSRGIDNTGDWISATTSEGDDDTEDGRDPANRLSEDLRKSRMGFMQGTDDSFNESEFNEQVEALRSSIPAPPMNFKLREDHLSGSSLKAPRSFFSLSSFRSKGSESKLR >ONI14946 pep chromosome:Prunus_persica_NCBIv2:G3:1230342:1231657:-1 gene:PRUPE_3G017200 transcript:ONI14946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVTKGNVTIKVWDLGGQRRFRTMWERYCRGVSVIVYVVDAADRDSVPISRSELHDLLMKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLDSITDKEVCCYMISCKDSINIDVVIDWLIKHSKTAT >ONI14942 pep chromosome:Prunus_persica_NCBIv2:G3:1230628:1235914:-1 gene:PRUPE_3G017200 transcript:ONI14942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDSFLTWLRSLFFKQEMELSLVGLQNAGKTSLLNAIATGGYSEDMIPTVGFNMRKVTKGNVTIKVWDLGGQRRFRTMWERYCRGVSVIVYVVDAADRDSVPISRSELHDLLMKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLDSITDKEVCCYMISCKDSINIDVVIDWLIKHSKTAT >ONI14945 pep chromosome:Prunus_persica_NCBIv2:G3:1230342:1236198:-1 gene:PRUPE_3G017200 transcript:ONI14945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTVGFNMRKVTKGNVTIKVWDLGGQRRFRTMWERYCRGVSVIVYVVDAADRDSVPISRSELHDLLMKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLDSITDKEVCCYMISCKDSINIDVVIDWLIKHSKTAT >ONI14944 pep chromosome:Prunus_persica_NCBIv2:G3:1230342:1236198:-1 gene:PRUPE_3G017200 transcript:ONI14944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLVGLQNAGKTSLLNAIATGGYSEDMIPTVGFNMRKVTKGNVTIKVWDLGGQRRFRTMWERYCRGVSVIVYVVDAADRDSVPISRSELHDLLMKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLDSITDKEVCCYMISCKDSINIDVVIDWLIKHSKTAT >ONI14947 pep chromosome:Prunus_persica_NCBIv2:G3:1230342:1236198:-1 gene:PRUPE_3G017200 transcript:ONI14947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDSFLTWLRSLFFKQEMELSLVGLQNAGKTSLLNAIATGGYSEDMIPTVGFNMRKVTKGNVTIKVWDLGGQRRFRTMWERYCRGVSVIVYVVDAADRDSVPISRSELHDLLMKPSLSGIPLLVLGNKIDKSEALSKQALVDQLP >ONI14943 pep chromosome:Prunus_persica_NCBIv2:G3:1230628:1235914:-1 gene:PRUPE_3G017200 transcript:ONI14943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDSFLTWLRSLFFKQEMELSLVGLQNAGKTSLLNAIAVSGYSEDMIPTVGFNMRKVTKGNVTIKVWDLGGQRRFRTMWERYCRGVSVIVYVVDAADRDSVPISRSELHDLLMKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLDSITDKEVCCYMISCKDSINIDVVIDWLIKHSKTAT >ONI15438 pep chromosome:Prunus_persica_NCBIv2:G3:3081574:3082953:-1 gene:PRUPE_3G043400 transcript:ONI15438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWDIKTLQKNREARSNRHSRENPSFSSTLLDTIYRSIDEPNTGEGVSRREEQQHLIFYKETTKKKQSTTAAAAAATTTSGRHGLFKEDREHEIMSLRRACLIEKWMEKKATDKSLPVRRNSMADFDTKKSSYNRNEFLLNSSSSSSDSSCGGGFSSSESESMYGSKSRSSSSCYSMHRPKPIRTSISSEKVQFEQRNHHNSQKTKHENSFVKTKSKALKIYGDLKKVRQPISPGGRLASFLNSLFNAGHVKKSKIDGLGVERKPSYDLGAERKSSSKSGQQGHSNSSSTCSSASSFSRSCLRKTPLSRSELSGIGSNNGDVAKRSVRFCPVSVIVDEDCQPCGQKTLHEAEPGLMAAEKAAKPPTIEDIRFECCVMEVDDDDEHRRRVEQVARDYLLLKNYQKKNVEEEDDDAESYASSDLFELDTAGVEERYREELPVYETTFFDRNRAISNRLIL >ONI15697 pep chromosome:Prunus_persica_NCBIv2:G3:3994671:3998717:-1 gene:PRUPE_3G056300 transcript:ONI15697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEASDARTPMPPPPPPPPKQPLLRLQSPPVDPLQNVSLYVGDLDPQVTEDDLLGTFRFIGPIASVRLCRNAHSGESLRYAYVNFYSHSHASKAMACLNHTELKGKTMRIMWSQRDALPRKTGIANIFVKNLDTSVTSTQLQDMFGDFGTILSCKVAEENGKSKGFGFVQFDTEDSAMAAVSALNDTVIMGKNLYVSKFVKKSERLSEFTNLYVKNLDEDVTEDLLEEKFSLYGRVDSLAIMKDANGKSRGFGFVKFESQEEAKKAIEAMNGALLGTKHLFVGRAQKKAEREKLLNCKNEMLNGPIEKLRSSNLYVKNLAEYIDDKKLEKHFSAFGKIESVKVMCFDNGRSKGFGFVCFSTPEEAVKALNTLNGTIFEGRKLYVAVAQRKEDRCRDLQNYFYHQLPQRSFQPPNWTILPPLQYSVSPSPPMSPPLHQPVMYQTFGTNVDAQYPLVSQNFSWIPSRQAQWGSTRNENFQKNSRTYATCNVHAQDMNSVNHGGHEAGNRKKGYKQSGPTGSSRSAATGGRAENSKTTSRARHHPLSENLERGHAAQITRTSEMPLEMNNSVKEAQVLKVANGSRTSADHRPVFAKCRSYLT >ONI15698 pep chromosome:Prunus_persica_NCBIv2:G3:3995020:3998536:-1 gene:PRUPE_3G056300 transcript:ONI15698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEASDARTPMPPPPPPPPKQPLLRLQSPPVDPLQNVSLYVGDLDPQVTEDDLLGTFRFIGPIASVRLCRNAHSGESLRYAYVNFYSHSHASKAMACLNHTELKGKTMRIMWSQRDALPRKTGIANIFVKNLDTSVTSTQLQDMFGDFGTILSCKVAEENGKSKGFGFVQFDTEDSAMAAVSALNDTVIMGKNLYVSKFVKKSERLSEFTNLYVKNLDEDVTEDLLEEKFSLYGRVDSLAIMKDANGKSRGFGFVKFESQEEAKKAIEAMNGALLGTKHLFVGRAQKKAEREKLLNCKNEMLNGPIEKLRSSNLYVKNLAEYIDDKKLEKHFSAFGKIESVKVMCFDNGRSKGFGFVCFSTPEEAVKALNTLNGTIFEGRKLYVAVAQRKEDRCRDLQNYFYHQLPQRSFQPPNWTILPPLQYSVSPSPPMSPPLHQPVMYQTFGTNVDAQYPLVSQNFSWIPSRQAQWGSTRNENFQKNSRTYATCNVHAQDMNSVNHGGHEAGNRKKGYKQSGPTGSSRSAATGGRAENSKTTSRARHHPLSENLEVSWACSTDNKNVRNAVGDEQLCQRSSSFEGGKW >ONI15891 pep chromosome:Prunus_persica_NCBIv2:G3:4882565:4883574:-1 gene:PRUPE_3G067600 transcript:ONI15891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRNTHWCYNCRRPVRLQGRDAVCPSCDGGFIQELNDMVHVSPLDFFGLDNDDDHDRRFGFMEAFSAWQQSTDRRRSDIRGRSDIAPERNPAFTPLLIFGGQIPFRLSGNGPGLEELFEQLSANDRRGPPPASRVSIDAMPTIKITNRHLRSDSHCPVCKDKFELGSEARQMPCNHLYHTDCIVPWLVQHNSCPVCRQELPLQGAASGGSSNGRSRSSSFGSNTNGREGGRENQGRRNPFSYLWPFRSSGASSSSSHTHTHTHTSNHNPTAESSSSTMRENNNQMGYSGWPFDY >ONI15892 pep chromosome:Prunus_persica_NCBIv2:G3:4882163:4884526:-1 gene:PRUPE_3G067600 transcript:ONI15892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRNTHWCYNCRRPVRLQGRDAVCPSCDGGFIQELNDMVHVSPLDFFGLDNDDDHDRRFGFMEAFSAWQQSTDRRRSDIRGRSDIAPERNPAFTPLLIFGGQIPFRLSGNGAFEAFFNGSPGISVTRGNVGDYFVGPGLEELFEQLSANDRRGPPPASRVSIDAMPTIKITNRHLRSDSHCPVCKDKFELGSEARQMPCNHLYHTDCIVPWLVQHNSCPVCRQELPLQGAASGGSSNGRSRSSSFGSNTNGREGGRENQGRRNPFSYLWPFRSSGASSSSSHTHTHTHTSNHNPTAESSSSTMRENNNQMGYSGWPFDY >ONI15288 pep chromosome:Prunus_persica_NCBIv2:G3:2597641:2600603:1 gene:PRUPE_3G035900 transcript:ONI15288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISRVSRFGTQMVKRLTKGTLTSAPKTGNLPKVYNYFFHSLKHQTFSTTTAHEEGDKEVEEISVTFVDKDEMETHIKVPIGMSMLEAAHEHDIELEGACEGSCACSTCHVIVRDMEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQMTAKPELDGICLELPSATRNFAVDRYAKAT >ONI15289 pep chromosome:Prunus_persica_NCBIv2:G3:2597337:2600635:1 gene:PRUPE_3G035900 transcript:ONI15289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISRVSRFGTQMVKRLTKGTLTSAPKTGNLPKVYNYFFHSLKHQTFSTTTAHEEGDKEVEEISVTFVDKDEMETHIKVPIGMSMLEAAHEHDIELEGACEGSCACSTCHVIVRDMEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQMTAKPELDGICLELPSATRNFAVDRYAKAT >ONI15291 pep chromosome:Prunus_persica_NCBIv2:G3:2598915:2600603:1 gene:PRUPE_3G035900 transcript:ONI15291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHIKVPIGMSMLEAAHEHDIELEGSTLACQLMFCTSFLSFFYFLLRACEGSCACSTCHVIVRDMEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQMTAKPELDGICLELPSATRNFAVDRYAKAT >ONI15290 pep chromosome:Prunus_persica_NCBIv2:G3:2597348:2600603:1 gene:PRUPE_3G035900 transcript:ONI15290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISRVSRFGTQMVKRLTKGTLTSAPKTGNLPKVYNYFFHSLHQTFSTTTAHEEGDKEVEEISVTFVDKDEMETHIKVPIGMSMLEAAHEHDIELEGACEGSCACSTCHVIVRDMEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQMTAKPELDGICLELPSATRNFAVDRYAKAT >ONI15292 pep chromosome:Prunus_persica_NCBIv2:G3:2598915:2600603:1 gene:PRUPE_3G035900 transcript:ONI15292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHIKVPIGMSMLEAAHEHDIELEGACEGSCACSTCHVIVRDMEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQMTAKPELDGICLELPSATRNFAVDRYAKAT >ONI17859 pep chromosome:Prunus_persica_NCBIv2:G3:19880071:19883248:1 gene:PRUPE_3G183400 transcript:ONI17859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPATLSASSSFTLLSPSPSPKPKFPSFFPKRHSFAINPRHHSLHSVPSSTVRCAVIEKETPEAQRPDTFLRANSSSSSVRARFEKMIREAQDTVCAAIEAADGGATFKEDVWSRPGGGGGISRVLQDGAVWEKAGVNVSVVYGVMPPEAYRAAKGAAAADHKPGPVPFFASGISSVLHPKNPFAPTLHFNYRYFETDAPQDVPGAPRQWWFGGGTDLTPAYIFEEDVKHFHQVQKSACDKFDPTFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDYDQEMLLSFATECANSVVPAYIPIIEKRKDLPFTDQQKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGSEEWKLLDACINPKEWV >ONI19087 pep chromosome:Prunus_persica_NCBIv2:G3:24530511:24533351:1 gene:PRUPE_3G258100 transcript:ONI19087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGPRQAFAKAKHVLSTSPATRSLTKTKQFHAHTITSGLLSPQVHANLARLYALCSHVPYARKLFDELPDRSSFLYNTVIRMYVCNGLPYEALNVFSQMLDLGQSCPDTFTYPVVIKACSDLSLVDVGVVIHGQTVVCGFHLDVFVQNTLLAMYMSCGQKESAKRVFYAMQERSVVSWNTMISGYFRNGCAKEALNVFDWMMNVGVEPDCATVVSVLPAIGYLKAMVLGRRVHAFVEEKGLGKMISLWNALVDMYVKCGSMSEARLVFDNMAERDVVTWTTMVNGYILNGDARGALGLCWLMQCAGVKPNSVTIASLLSACGSLHLSKHGRCLHGWAIRQKLESDVIVETALIDMYSKSSCVDHSFQVFAHTSKKRTVPWNAMISGCIHNRLGREAIGLFKQMLVEAVQPNEATMNSLLPAYSILVDFHQAMNIHGYLIRSGFLSSIEVATGLIDSYSKCGNLAYAHQIFNEIPERDRDIILWSVIIAGYGMHGHGEVAVSLFYQMVQSGVRPNDVTFTSVLHACSHAGLVDEGLGLFRFMLEYRKASPQADHYTCIVDLLGRAGRLVEAYDLIRTMPFQPNHAIWGALLGACVIHENVELGEVAAKWLFELEPENTGNYVLMAKIYAAVGRWKDAENLRHMMNEIGLRKTPAHSLVEVRNM >ONI16754 pep chromosome:Prunus_persica_NCBIv2:G3:10542134:10542406:1 gene:PRUPE_3G120000 transcript:ONI16754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSFLFTAENRLVLAPLFTYSKYRKTLTLYKLAFMAQAKDTNFSSTNTSSLGCCKHPSSHQPPSATTIPLSFLYSTAASIPVAIPVILN >ONI17523 pep chromosome:Prunus_persica_NCBIv2:G3:18367442:18371009:-1 gene:PRUPE_3G164800 transcript:ONI17523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPILEACKKRKRRPKIYGFSSFCDPGCPIGPNGPFRDNIRLFLQECAELEDYSVQGMPTWCTLLVHDNRSLVVPLYIIEEDVKTSERPFCDHCRCTGWSNHFVSKRKYHIIIPMDDEWHKPLEDGICDLPTHLLHGLIHCNGYAHLVCVNGLEGGSKHLCGREIMDLWDRVCTNLRTRKITVEDVSKKRSMDLRLLHGIAYGHSWFGRWGYRFSHGSFGVTEHNYERALEILSSLELERIIQAFSDMDQCDELKQIIRYYKNLSETQLITIKDLLRFMLTVKASVPAQKKSLMATSAFSSSTAKPATRAAHQIKPLMMKEKSVRYRKFTTVIAHMDSRWPQRRLEFAADVIVNALQEKKERDFSHGGMTRQDVRDTARLHIGDTGLLDYVLKSLSNVIVGNHIVCRAVNPTTRILEYTVHDLTDGVKVSQPENEVLPQSLPSASLVPGVDVYNDVLYLYEHVLLGYPESELVELATRAILDTKHFVKECSFRDEEEQLLTFICQLLPSLMDMDIEFKRELPPGEIVVMPLHATIGELKLAAETALRDTYCITERFVVKGIEGLDEMEDMEVLFGVVQSGAEVGVRGTGIDLDTPLRYEGGSDTWMVRCECGARDDDGERMVACDICEVWQHTRCCGIEDADTVPPLFVCSACCVSLVPPKFEPCLRFDCSDAFSIFCTH >ONI15315 pep chromosome:Prunus_persica_NCBIv2:G3:2680821:2683870:1 gene:PRUPE_3G037200 transcript:ONI15315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPVNVNEFQELARQALPKMYYDFYTGGAEDQHTLKENVAAFRRIMLRPRVLVDVSRIDMSTTILGYNISAPIMIAPTAMHQLAHPEGEVATARAAAACNTIMILSNMSTCTVEEVASSCNAVRFFQLYVLKRRDISAQIVHRVEKNGYKAIVLTVDTPRLGRREADIKNRMVAPQLRNFEGLLSTEVDTDKGSNLEALIKGIYDTSLSWEDIGWLKSITNLPILIKGVLTHENARKAVEVGVAGIVVSNHGARQLDYTPATISVLEEVVHAVGGRVPVLFDGGVRRGTDVFKALALGAQAVLVGRPVVYGLAANGERGVRRVIEMLKDEFELTMALSGCPSVKDITRSHVTTTEFDKPRSML >ONI15316 pep chromosome:Prunus_persica_NCBIv2:G3:2681251:2683652:1 gene:PRUPE_3G037200 transcript:ONI15316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPVNVNEFQELARQALPKMLRPRVLVDVSRIDMSTTILGYNISAPIMIAPTAMHQLAHPEGEVATARAAAACNTIMILSNMSTCTVEEVASSCNAVRFFQLYVLKRRDISAQIVHRVEKNGYKAIVLTVDTPRLGRREADIKNRMVAPQLRNFEGLLSTEVDTDKGSNLEALIKGIYDTSLSWEDIGWLKSITNLPILIKGVLTHENARKAVEVGVAGIVVSNHGARQLDYTPATISVLEEVVHAVGGRVPVLFDGGVRRGTDVFKALALGAQAVLVGRPVVYGLAANGERGVRRVIEMLKDEFELTMALSGCPSVKDITRSHVTTTEFDKPRSML >ONI15314 pep chromosome:Prunus_persica_NCBIv2:G3:2680863:2683652:1 gene:PRUPE_3G037200 transcript:ONI15314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFPGEMASEPVNVNEFQELARQALPKMYYDFYTGGAEDQHTLKENVAAFRRIMLRPRVLVDVSRIDMSTTILGYNISAPIMIAPTAMHQLAHPEGEVATARAAAACNTIMILSNMSTCTVEEVASSCNAVRFFQLYVLKRRDISAQIVHRVEKNGYKAIVLTVDTPRLGRREADIKNRMVAPQLRNFEGLLSTEVDTVRSNLEALIKGIYDTSLSWEDIGWLKSITNLPILIKGVLTHENARKAVEVGVAGIVVSNHGARQLDYTPATISVLEEVVHAVGGRVPVLFDGGVRRGTDVFKALALGAQAVLVGRPVVYGLAANGERGVRRVIEMLKDEFELTMALSGCPSVKDITRSHVTTTEFDKPRSML >ONI19269 pep chromosome:Prunus_persica_NCBIv2:G3:25064649:25070339:-1 gene:PRUPE_3G268600 transcript:ONI19269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAIQRFGATELLINSLPKCTYFSYNRDEKLASHAEDDLRNETSEHFDFVEAESLLIKSVLRDNKDQLVTHTIRLAEASTVPLDRSTVSKLLHLCCNFDSPDCASALLGGELGTTPLVNEFDDSGKSALHTAALAHAAKCVEVLLKKHARTGLRTRDGRAQLALDLSLSSARMDVIWTPDEYTLEDLVVVLGEKDLTTVRLLSEKTKEIGQVAYANAVEGRIVALAALLIVAAEKISETVLELRDNDSASKEKVTLYECVVREALSLVRPTTRLTAAKRTSTATENDNSEKRRLLLLEIELLQLFGAVAESGCTDKKVTSPLIRAAQVGDEAVMQLLLQTDIDVNDADAEGNSALHWTLKLSRSLCPQQIKILWLLIKHGARVSQRNKLGLTALHIAAGNGNSEALQVLLLEAPDGTQYKTEIKETPLFFAVRNDSMECAELLLSWGASSEILNLRRQRPIDLTTSQDMRFILLNPTSVNLSNNAFPNQHKCIACSQGDEAFSSTCEALLTMTDEDTTSERKIHSSTKVEICKYFVSPRGCVRGAKCFYAHGMEEHQKVKQEAVCNHSHDAKEIKRIFVGGLPPSVGSADSLGKFFEEQFGPVDDAIVIFSQIENKIQSRGFGFVTFKKEKSVSAAVQAHYVSMLNKPVEIKSVVPRLAAESEKLSPRQQGQEKNCRPQLPPQMSSDEMIMEANKPEQGSWLDKLLHGQPKTSPIKPRARKISSPEDKSMPIWLKAFKKWFPGFLQDLSKHPRNGKYALSSLKGDFRAKFGLELDHAPLGFSKLSDFIKSFSNLCTVKVDPVGKNGFLNHMILQPKFRHHHQLCQCHTLRMSSNSSVSTASDDGGNSKCLQDISTDDGGDSKCLQDISTDDVGDSECLQDISTDDVGDSECLQDLSVDDGGDSKCLRDLPIDDGAGNLGLKETSSYREEKPSHGHHPEVNSAKDASHCIHPRVLQFLKPDPLFHGKKELDVSSERGQCIGELRGSTNNRRDPQRHLVLETLARKRNKSFSYFLRDFDFYKDYKECILEGKCFGCNQKQMLWANFPCQHLLWCGSCKVQAILAAGDFEHKCVVCDLQVHKIITLPRHDDFPPIVDPAAYLPTPLMKKTSPLSGNR >ONI19270 pep chromosome:Prunus_persica_NCBIv2:G3:25063720:25069651:-1 gene:PRUPE_3G268600 transcript:ONI19270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIWTPDEYTLEDLVVVLGEKDLTTVRLLSEKTKEIGQVAYANAVEGRIVALAALLIVAAEKISETVLELRDNDSASKEKVTLYECVVREALSLVRPTTRLTAAKRTSTATENDNSEKRRLLLLEIELLQLFGAVAESGCTDKKVTSPLIRAAQVGDEAVMQLLLQTDIDVNDADAEGNSALHWTLKLSRSLCPQQIKILWLLIKHGARVSQRNKLGLTALHIAAGNGNSEALQVLLLEAPDGTQYKTEIKETPLFFAVRNDSMECAELLLSWGASSEILNLRRQRPIDLTTSQDMRFILLNPTSVNLSNNAFPNQHKCIACSQGDEAFSSTCEALLTMTDEDTTSERKIHSSTKVEICKYFVSPRGCVRGAKCFYAHGMEEHQKVKQEAVCNHSHDAKEIKRIFVGGLPPSVGSDSLGKFFEEQFGPVDDAIVIFSQIENKIQSRGFGFVTFKKEKSVSAAVQAHYVSMLNKPVEIKSVVPRLAAESEKLSPRQQGQEKNCRPQLPPQMSSDEMIMEANKPEQGSWLDKLLHGQPKTSPIKPRARKISSPEDKSMPIWLKAFKKWFPGFLQDLSKHPRNGKYALSSLKGDFRAKFGLELDHAPLGFSKLSDFIKSFSNLCTVKVDPVGKNGFLNHMILQPKFRHHHQLCQCHTLRMSSNSSVSTASDDGGNSKCLQDISTDDGGDSKCLQDISTDDVGDSECLQDISTDDVGDSECLQDLSVDDGGDSKCLRDLPIDDGAGNLGLKETSSYREEKPSHGHHPEVNSAKDASHCIHPRVLQFLKPDPLFHGKKELDVSSERGQCIGELRGSTNNRRDPQRHLVLETLARKRNKSFSYFLRDFDFYKDYKECILEGKCFGCNQKQMLWANFPCQHLLWCGSCKVQAILAAGDFEHKCVVCDLQVHKIITLPRHDDFPPIVDPAAYLPTPLMKKTSPLSGNR >ONI19267 pep chromosome:Prunus_persica_NCBIv2:G3:25063720:25070523:-1 gene:PRUPE_3G268600 transcript:ONI19267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAIQRFGATELLINSLPKCTYFSYNRDEKLASHAEDDLRNETSEHFDFVEAESLLIKSVLRDNKDQLVTHTIRLAEASTVPLDRSTVSKLLHLCCNFDSPDCASALLGGELGTTPLVNEFDDSGKSALHTAALAHAAKCVEVLLKKHARTGLRTRDGRAQLALDLSLSSARMDVIWTPDEYTLEDLVVVLGEKDLTTVRLLSEKTKEIGQVAYANAVEGRIVALAALLIVAAEKISETVLELRDNDSASKEKVTLYECVVREALSLVRPTTRLTAAKRTSTATENDNSEKRRLLLLEIELLQLFGAVAESGCTDKKVTSPLIRAAQVGDEAVMQLLLQTDIDVNDADAEGNSALHWTLKLSRSLCPQQIKILWLLIKHGARVSQRNKLGLTALHIAAGNGNSEALQVLLLEAPDGTQYKTEIKETPLFFAVRNDSMECAELLLSWGASSEILNLRQRPIDLTTSQDMRFILLNPTSVNLSNNAFPNQHKCIACSQGDEAFSSTCEALLTMTDEDTTSERKIHSSTKVEICKYFVSPRGCVRGAKCFYAHGMEEHQKVKQEAVCNHSHDAKEIKRIFVGGLPPSVGSDSLGKFFEEQFGPVDDAIVIFSQIENKIQSRGFGFVTFKKEKSVSAAVQAHYVSMLNKPVEIKSVVPRLAAESEKLSPRQQGQEKNCRPQLPPQMSSDEMIMEANKPEQGSWLDKLLHGQPKTSPIKPRARKISSPEDKSMPIWLKAFKKWFPGFLQDLSKHPRNGKYALSSLKGDFRAKFGLELDHAPLGFSKLSDFIKSFSNLCTVKVDPVGKNGFLNHMILQPKFRHHHQLCQCHTLRMSSNSSVSTASDDGGNSKCLQDISTDDGGDSKCLQDISTDDVGDSECLQDISTDDVGDSECLQDLSVDDGGDSKCLRDLPIDDGAGNLGLKETSSYREEKPSHGHHPEVNSAKDASHCIHPRVLQFLKPDPLFHGKKELDVSSERGQCIGELRGSTNNRRDPQRHLVLETLARKRNKSFSYFLRDFDFYKDYKECILEGKCFGCNQKQMLWANFPCQHLLWCGSCKVQAILAAGDFEHKCVVCDLQVHKIITLPRHDDFPPIVDPAAYLPTPLMKKTSPLSGNR >ONI19268 pep chromosome:Prunus_persica_NCBIv2:G3:25063720:25070556:-1 gene:PRUPE_3G268600 transcript:ONI19268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAIQRFGATELLINSLPKCTYFSYNRDEKLASHAEDDLRNETSEHFDFVEAESLLIKSVLRDNKDQLVTHTIRLAEASTVPLDRSTVSKLLHLCCNFDSPDCASALLGGELGTTPLVNEFDDSGKSALHTAALAHAAKCVEVLLKKHARTGLRTRDGRAQLALDLSLSSARMDVIWTPDEYTLEDLVVVLGEKDLTTVRLLSEKTKEIGQVAYANAVEGRIVALAALLIVAAEKISETVLELRDNDSASKEKVTLYECVVREALSLVRPTTRLTAAKRTSTATENDNSEKRRLLLLEIELLQLFGAVAESGCTDKKVTSPLIRAAQVGDEAVMQLLLQTDIDVNDADAEGNSALHWTLKLSRSLCPQQIKILWLLIKHGARVSQRNKLGLTALHIAAGNGNSEALQVLLLEAPDGTQYKTEIKETPLFFAVRNDSMECAELLLSWGASSEILNLRRQRPIDLTTSQDMRFILLNPTSVNLSNNAFPNQHKCIACSQGDEAFSSTCEALLTMTDEDTTSERKIHSSTKVEICKYFVSPRGCVRGAKCFYAHGMEEHQKVKQEAVCNHSHDAKEIKRIFVGGLPPSVGSDSLGKFFEEQFGPVDDAIVIFSQIENKIQSRGFGFVTFKKEKSVSAAVQAHYVSMLNKPVEIKSVVPRLAAESEKLSPRQQGQEKNCRPQLPPQMSSDEMIMEANKPEQGSWLDKLLHGQPKTSPIKPRARKISSPEDKSMPIWLKAFKKWFPGFLQDLSKHPRNGKYALSSLKGDFRAKFGLELDHAPLGFSKLSDFIKSFSNLCTVKVDPVGKNGFLNHMILQPKFRHHHQLCQCHTLRMSSNSSVSTASDDGGNSKCLQDISTDDGGDSKCLQDISTDDVGDSECLQDISTDDVGDSECLQDLSVDDGGDSKCLRDLPIDDGAGNLGLKETSSYREEKPSHGHHPEVNSAKDASHCIHPRVLQFLKPDPLFHGKKELDVSSERGQCIGELRGSTNNRRDPQRHLVLETLARKRNKSFSYFLRDFDFYKDYKECILEGKCFGCNQKQMLWANFPCQHLLWCGSCKVQAILAAGDFEHKCVVCDLQVHKIITLPRHDDFPPIVDPAAYLPTPLMKKTSPLSGNR >ONI18789 pep chromosome:Prunus_persica_NCBIv2:G3:23361128:23365574:1 gene:PRUPE_3G239200 transcript:ONI18789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAAIVTWGSGEDGQLGIGNNEEMEWVCVVEALQSRTVRSVVAGSRNSLAICDDGKLFTWGWNQRGTLGHPPETKTENIPSQVKALANAKIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCSEEPERKDDTGRPLRRDIVIPQRCAPKLVVRQVAAGGTHSVVLTRDGQVWTWGQPWPPGDIKQISTPVRVQGLDAVKLIAVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSGLTLVDIAAGGWHSTSLTDDGEVYGWGRGEHGRLGFGDNDKSSKMVPQRVHLLAGEDIVQVSCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKVTTGQPMEVPINIPPRNGTEDNGHWIAKLVACGGRHTLAIVDWKADEAEES >ONI18788 pep chromosome:Prunus_persica_NCBIv2:G3:23361260:23365243:1 gene:PRUPE_3G239200 transcript:ONI18788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAAIVTWGSGEDGQLGIGNNEEMEWVCVVEALQSRTVRSVVAGSRNSLAICDDGKLFTWGWNQRGTLGHPPETKTENIPSQVKALANAKIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCSEEPERKDDTGRPLRRDIVIPQRCAPKLVVRQVTAGGTHSVVLTRDGQVWTWGQPWPPGDIKQISTPVRVQGLDAVKLIAVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSGLTLVDIAAGGWHSTSLTDDGEVYGWGRGEHGRLGFGDNDKSSKMVPQRVHLLAGEDIVQVSCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKVTTGQPMEVPINIPPRNGTEDNGHWIAKLVACGGRHTLAIVDWKADEAEES >ONI17693 pep chromosome:Prunus_persica_NCBIv2:G3:19184322:19185343:-1 gene:PRUPE_3G174800 transcript:ONI17693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTMALSSPSLAGQAVKLVTMRKTASKSKNVSSSSPWYGPDRVKYLGPFSEFPGDYGWDTAGLSADPETFTKNRELEVIHSRWAMLGALGYDFPELLSRNGVKVAGGPLGEITDPLYPGGSFDPLGLAEDPEALAELKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPEYKNKSDKCSLSIHLYGNFSPYFKNSMFLVLTTR >ONI19061 pep chromosome:Prunus_persica_NCBIv2:G3:24460672:24463048:1 gene:PRUPE_3G256500 transcript:ONI19061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYEEVLKAVFPLLEGVDLASCMVVSKQWRHIARDDFFWKCACAKRWPSICKRPNPPTLTYYKLYQTFYKRRPGRILLPPRLSFDDLEFFIDIWTEDRFIFSEVVPGPILQNGIKIPPPGVCDMLRLHMESSEYKMKVPVEPRFTVPLSQTVNVSVLVGRKDSNKVACILNKSMFDYIDRTAYRAMANDYLDFSPVYPFTTGIRAWISLLFMDSGNEGVVDVFGIEMDFMDAANSKEEVLWLLDMLDWK >ONI19062 pep chromosome:Prunus_persica_NCBIv2:G3:24460672:24463048:1 gene:PRUPE_3G256500 transcript:ONI19062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYEEVLKAVFPLLEGVDLASCMVVSKQWRHIARDDFFWKCACAKRWPSICKRPNPPTLTYYKLYQTFYKRRPGRILLPPRLSFDDLEFFIDIWTEDRFIFSEVVPGPILQNGIKIPPPGVCDMLRLHMESSEYKMKVPVEPRFTVPLSQTVNVSVLVGRKDSNKVACILNKSMFDYIDRTAYRAMANDYLDFSPVYPFTTGIRAWISLLFMDSGNEGVVDVFGIEMDFMDAANSKEEVLWLLDMLDWK >ONI19060 pep chromosome:Prunus_persica_NCBIv2:G3:24460672:24463048:1 gene:PRUPE_3G256500 transcript:ONI19060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYEEVLKAVFPLLEGVDLASCMVVSKQWRHIARDDFFWKCACAKRWPSICKRPNPPTLTYYKLYQTFYKRRPGRILLPPRLSFDDLEFFIDIWTEDRFIFSEVVPGPILQNGIKIPPPGVCDMLRLHMESSEYKMKVPVEPRFTVPLSQTVNVSVLVGRKDSNKVACILNKSMFDYIDRTAYRAMANDYLDFSPVYPFTTGIRAWISLLFMDSGNEGVVDVFGIEMDFMDAANSKEEVLWLLDMLDWK >ONI19059 pep chromosome:Prunus_persica_NCBIv2:G3:24460672:24463048:1 gene:PRUPE_3G256500 transcript:ONI19059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYEEVLKAVFPLLEGVDLASCMVVSKQWRHIARDDFFWKCACAKRWPSICKRPNPPTLTYYKLYQTFYKRRPGRILLPPRLSFDDLEFFIDIWTEDRFIFSEVVPGPILQNGIKIPPPGVCDMLRLHMESSEYKMKVPVEPRFTVPLSQTVNVSVLVGRKDSNKVACILNKSMFDYIDRTAYRAMANDYLDFSPVYPFTTGIRAWISLLFMDSGNEGVVDVFGIEMDFMDAANSKEEVLWLLDMLDWK >ONI19063 pep chromosome:Prunus_persica_NCBIv2:G3:24460672:24463048:1 gene:PRUPE_3G256500 transcript:ONI19063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYEEVLKAVFPLLEGVDLASCMVVSKQWRHIARDDFFWKCACAKRWPSICKRPNPPTLTYYKLYQTFYKRRPGRILLPPRLSFDDLEFFIDIWTEDRFIFSEVVPGPILQNGIKIPPPGVCDMLRLHMESSEYKMKVPVEPRFTVPLSQTVNVSVLVGRKDSNKVACILNKSMFDYIDRTAYRAMANDYLDFSPVYPFTTGIRAWISLLFMDSGNEGVVDVFGIEMDFMDAANSKEEVLWLLDMLDWK >ONI15689 pep chromosome:Prunus_persica_NCBIv2:G3:3951462:3956160:1 gene:PRUPE_3G055800 transcript:ONI15689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSFTQLHFALLGGFALNLMLLVHSQDLQSGFISIDCGLQTDSSYAENVTSINYISDATFIDTGESKSISPDYRDNYTQPSWSVRSFPEGARNCYKINVTPGNKYFMRAGFAYGNYDGQNKIPEFDLHLGPNLWDTVRFEYASHVSTYKELIHIALRNYIHVCLVNTGSGVPFISYLELRPLPNASYQTTTGSLALVTRRDMGQRPYRRGYRYPFDIHDRFWSYYNELDEWTQVSTSSTIETESDNPFQPPSVVMSTASTPKDPSDSLSIIYVLPDINAEYYSYLHFAEVERVNQSRFQYIFRNGRRTFGPFAPPRYLSYTVYSTGAWSSYAQYANISITRAENSTLPPILNAFEIYMVKKFIEEETSQEDVDAMANIKSTYKIKRNWQGDPCAPQHFVWEGVKCNYQDFESPRIISLNLSSSGLTGEIAASISNLTMIQSLDLSNNNLTGPILDFLSKLPNLTVLNLEKNKFTGSVPVGLIERKNSGFLSLSLCDNAHLSQYVSCTLKKKHSFVIPIVVSIAGILILLSVVAAICWWGFKRKRQHGDVIDAKAIPQYGSLESTKRQFTYSEIIKMTNNFERVLGRGGFGTVYHGYIDHTQVAIKMLSASSVQGFQQFHAEVTLLMRVHHKNLTSLVGYCNDETKVGLVYEYMANGNLLNHLSDSRSSMLTWEDRLRIATDAAQGLEYLHCGCKPPIMHRDVKSTNILLNESFQAKISDFGLSRNFPTHDGTHASSLLAGTPGYLDPEFYLSNRLNEKSDVYSFGVVLLEIITSRPVLTRTHERIHISQWVGFMLANGDINNIVDPRLEGNFNTNSVWKAVEIAMACVSVNAIKRPSISQVVVDLKECLATECARTNHSRVAELDNSNALMADNSIVRLTPSVR >ONI19640 pep chromosome:Prunus_persica_NCBIv2:G3:25997983:26006457:1 gene:PRUPE_3G289000 transcript:ONI19640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSNSNIIDLCSDDEMGRSNIKKCRREIEPGFDSASAPSVCPTPISRQFWKAGYYEIEQGQRCKAANQYGKNHMRIHPMFLHSNATSHKWAFGAMAELLDNAVDEVQNGATFVSIDKISTPQYGTPALLIRDDGGGMDPDAIRRCMSFGFSDKKSKFTIGQYGNGFKTSSMRLGADVIVFSRHLKSRSLTQSVGLLSYTFLRSMGNNNVVVPMVDYEFNSSARTFGPLIPHDEDHFSSNLTMLLQWSPYSTEDELLKQFDDIGDHGTKVVIYNLWLNDDGEMELDFDSDPEDICINGDKTGRMKQIQYVANLYQHSLRAYASILYRQLPEYFRIILRGRDIEYHNIAEDLKYVQFIKYMPQVDGNLEVEIITAIGFLKEAPHANTHGFNIYHRNRLILPFWRAVGCTNGTGRGVVGVLEPDFIQPTHNKQDFEKTSLFQKLEDRLKQMTVEYWNKHCKLIGYQQVKKTPSTTTTLPSSVTQNCVDQDQPLLLNHGSQYESSNSRAGLIKKRKDLLDPPLKLQHAKRHMGSSCGTDVQCISEEPENSVEGMMRVSQKMLLMQENKRLQSRLLALEKMVQELDLKVQQLKDEQKNVN >ONI19641 pep chromosome:Prunus_persica_NCBIv2:G3:25999319:26006457:1 gene:PRUPE_3G289000 transcript:ONI19641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDGKNHMRIHPMFLHSNATSHKWAFGAMAELLDNAVDEVQNGATFVSIDKISTPQYGTPALLIRDDGGGMDPDAIRRCMSFGFSDKKSKFTIGQYGNGFKTSSMRLGADVIVFSRHLKSRSLTQSVGLLSYTFLRSMGNNNVVVPMVDYEFNSSARTFGPLIPHDEDHFSSNLTMLLQWSPYSTEDELLKQFDDIGDHGTKVVIYNLWLNDDGEMELDFDSDPEDICINGDKTGRMKQIQYVANLYQHSLRAYASILYRQLPEYFRIILRGRDIEYHNIAEDLKYVQFIKYMPQVDGNLEVEIITAIGFLKEAPHANTHGFNIYHRNRLILPFWRAVGCTNGTGRGVVGVLEPDFIQPTHNKQDFEKTSLFQKLEDRLKQMTVEYWNKHCKLIGYQQVKKTPSTTTTLPSSVTQNCVDQDQPLLLNHGSQYESSNSRAGLIKKRKDLLDPPLKLQHAKRHMGSSCGTDVQCISEEPENSVEGMMRVSQKMLLMQENKRLQSRLLALEKMVQELDLKVQQLKDEQKNVEQHAGELENELTQLTQTLFESCKWNMYKQHVC >ONI19642 pep chromosome:Prunus_persica_NCBIv2:G3:25999495:26006457:1 gene:PRUPE_3G289000 transcript:ONI19642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHPMFLHSNATSHKWAFGAMAELLDNAVDEVQNGATFVSIDKISTPQYGTPALLIRDDGGGMDPDAIRRCMSFGFSDKKSKFTIGQYGNGFKTSSMRLGADVIVFSRHLKSRSLTQSVGLLSYTFLRSMGNNNVVVPMVDYEFNSSARTFGPLIPHDEDHFSSNLTMLLQWSPYSTEDELLKQFDDIGDHGTKVVIYNLWLNDDGEMELDFDSDPEDICINGDKTGRMKQIQYVANLYQHSLRAYASILYRQLPEYFRIILRGRDIEYHNIAEDLKYVQFIKYMPQVDGNLEVEIITAIGFLKEAPHANTHGFNIYHRNRLILPFWRAVGCTNGTGRGVVGVLEPDFIQPTHNKQDFEKTSLFQKLEDRLKQMTVEYWNKHCKLIGYQQVKKTPSTTTTLPSSVTQNCVDQDQPLLLNHGSQYESSNSRAGLIKKRKDLLDPPLKLQHAKRHMGSSCGTDVQCISEEPENSVEGMMRVSQKMLLMQENKRLQSRLLALEKMVQELDLKVQQLKDEQKNVEQHAGELENELTQLTQTLFESCKWNMYKQHVC >ONI19638 pep chromosome:Prunus_persica_NCBIv2:G3:25997983:26006457:1 gene:PRUPE_3G289000 transcript:ONI19638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSNSNIIDLCSDDEMGRSNIKKCRREIEPGFDSASAPSVCPTPISRQFWKAGYYEIEQGQRCKAANQYGKNHMRIHPMFLHSNATSHKWAFGAMAELLDNAVDEVQNGATFVSIDKISTPQYGTPALLIRDDGGGMDPDAIRRCMSFGFSDKKSKFTIGQYGNGFKTSSMRLGADVIVFSRHLKSRSLTQSVGLLSYTFLRSMGNNNVVVPMVDYEFNSSARTFGPLIPHDEDHFSSNLTMLLQWSPYSTEDELLKQFDDIGDHGTKVVIYNLWLNDDGEMELDFDSDPEDICINGDKTGRMKQIQYVANLYQHSLRAYASILYRQLPEYFRIILRGRDIEYHNIAEDLKYVQFIKYMPQVDGNLEVEIITAIGFLKEAPHANTHGFNIYHRNRLILPFWRAVGCTNGTGRGVVGVLEPDFIQPTHNKQDFEKTSLFQKLEDRLKQMTVEYWNKHCKLIGYQQVKKTPSTTTTLPSSVTQNCVDQDQPLLLNHGSQYESSNSRAGLIKKRKDLLDPPLKLQHAKRHMGSSCGTDVQCISEEPENSVEGMMRVSQKMLLMQENKRLQSRLLALEKMVQELDLKVQQLKDEQKNVEQHAGELENELTQLTQTLFESCKWNMYKQHVC >ONI19639 pep chromosome:Prunus_persica_NCBIv2:G3:25997983:26006457:1 gene:PRUPE_3G289000 transcript:ONI19639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSNSNIIDLCSDDEMGRSNIKKCRREIEPGFDSASAPSVCPTPISRQFWKAGYYEIEQGQRCKAANQYGKNHMRIHPMFLHSNATSHKWAFGAMAELLDNAVDEVQNGATFVSIDKISTPQYGTPALLIRDDGGGMDPDAIRRCMSFGFSDKKSKFTIGQYGNGFKTSSMRLGADVIVFSRHLKSRSLTQSVGLLSYTFLRSMGNNNVVVPMVDYEFNSSARTFGPLIPHDEDHFSSNLTMLLQWSPYSTEDELLKQFDDIGDHGTKVVIYNLWLNDDGEMELDFDSDPEDICINGDKTGRMKQIQYVANLYQHSLRVEIITAIGFLKEAPHANTHGFNIYHRNRLILPFWRAVGCTNGTGRGVVGVLEPDFIQPTHNKQDFEKTSLFQKLEDRLKQMTVEYWNKHCKLIGYQQVKKTPSTTTTLPSSVTQNCVDQDQPLLLNHGSQYESSNSRAGLIKKRKDLLDPPLKLQHAKRHMGSSCGTDVQCISEEPENSVEGMMRVSQKMLLMQENKRLQSRLLALEKMVQELDLKVQQLKDEQKNVEQHAGELENELTQLTQTLFESCKWNMYKQHVC >ONI17566 pep chromosome:Prunus_persica_NCBIv2:G3:18579859:18584099:-1 gene:PRUPE_3G167200 transcript:ONI17566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLDFSRWWAKGNTSKGNPVVVTMENPNYSVLEINGPDEVFRPVDKDRGKNAKQFTWVLLLKAHKAVGCVAWLGNILWSLLGSIKKRLIFGQGVTVENEKSGKGRTLYRVIMGFLVMALAFLAFELVAHLKGWHYFQTNSLHIPQTLEIKGWLHSIYFVWLEFRADYIAPAIQALTNFCVALFLIQSADRMLLCLGCFWIKFKKIKPRFEETPLKSDDLEGAGCYYPKVLVQIPMCNEREVYEQSISAVCQIDWPKERLLIQVLDDSDDDSIQWLIKGEVAKWNQRGVNIIYRHRLVRTGYKAGNLKSAMNCDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKDNPELGLVQARWAFVNRDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMHLFRLCLPAIISSKMMFWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELSMWVICYVPVFMSFMNILPSLRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWVVTKKAGRSSEPDLLAVEERESKAMNHPQLYRGTSDSGLSELNKLIEHQEAGPKPPVKKLNKIYKKELALAFLLLTAALRSLLSAQGVHFYFLLFQGVSFLLVGLDLIGEQIS >ONI17564 pep chromosome:Prunus_persica_NCBIv2:G3:18579859:18584177:-1 gene:PRUPE_3G167200 transcript:ONI17564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLDFSRWWAKGNTSKGNPVVVTMENPNYSVLEINGPDEVFRPVDKDRGKNAKQFTWVLLLKAHKAVGCVAWLGNILWSLLGSIKKRLIFGQGVTVENEKSGKGRTLYRVIMGFLVMALAFLAFELVAHLKGWHYFQTNSLHIPQTLEIKGWLHSIYFVWLEFRADYIAPAIQALTNFCVALFLIQSADRMLLCLGCFWIKFKKIKPRFEETPLKSDDLEGAGCYYPKVLVQIPMCNEREVYEQSISAVCQIDWPKERLLIQVLDDSDDDSIQWLIKGEVAKWNQRGVNIIYRHRLVRTGYKAGNLKSAMNCDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKDNPELGLVQARWAFVNRDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMHLFRLCLPAIISSKMMFWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELSMWVICYVPVFMSFMNILPSLRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWVVTKKAGRSSEPDLLAVEERESKAMNHPQLYRGTSDSGLSELNKLIEHQEAGPKPPVKKLNKIYKKELALAFLLLTAALRSLLSAQGVHFYFLLFQGVSFLLVGLDLIGEQIS >ONI17565 pep chromosome:Prunus_persica_NCBIv2:G3:18579858:18584099:-1 gene:PRUPE_3G167200 transcript:ONI17565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLDFSRWWAKGNTSKGNPVVVTMENPNYSVLEINGPDEVFRPVDKDRGKNAKQFTWVLLLKAHKAVGCVAWLGNILWSLLGSIKKRLIFGQGVTVENEKSGKGRTLYRVIMGFLVMALAFLAFELVAHLKGWHYFQTNSLHIPQTLEIKGWLHSIYFVWLEFRADYIAPAIQALTNFCVALFLIQSADRMLLCLGCFWIKFKKIKPRFEETPLKSDDLEGAGCYYPKVLVQIPMCNEREVYEQSISAVCQIDWPKERLLIQVLDDSDDDSIQWLIKGEVAKWNQRGVNIIYRHRLVRTGYKAGNLKSAMNCDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKDNPELGLVQARWAFVNRDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMHLFRLCLPAIISSKMMFWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELSMWVICYVPVFMSFMNILPSLRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWVVTKKAGRSSEPDLLAVEERESKAMNHPQLYRGTSDSGLSELNKLIEHQEAGPKPPVKKLNKIYKKELALAFLLLTAALRSLLSAQGVHFYFLLFQGVSFLLVGLDLIGEQIS >ONI17982 pep chromosome:Prunus_persica_NCBIv2:G3:20287456:20287815:1 gene:PRUPE_3G189800 transcript:ONI17982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGTCSYFLSINTIPPTQNKNHRKRKGTKNQQYIGTPSNICILTHKIRDRHVSIDVADQKPHALCIPLPYQGHIRPLLKFAKLLHHRGFRITFVNITYNHIRFLKSLGHDTLDGLTDSF >ONI14870 pep chromosome:Prunus_persica_NCBIv2:G3:1003953:1010019:1 gene:PRUPE_3G014000 transcript:ONI14870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGQGYLERLLKWAAEIGISDSTCCGDSCLGHSLDVSYFPSAGGRGLGAARDLREGELLLKVPKSVLMTKESLLLKDEKLSLSVNDYAHHSLSPTQILAVCLLYEMGKGKISWWHPYLMNLPRSYDILATFGEFEKQALQVDDAIWAAEKATLKAEYEWKEANALMKQLKLKPQLLTFKAWLWASATISSRTLHIPWDAAGCLCPVGDLFNYSAPGEEPSRCESMEHTMHDLVNEDTSGMADVEQLVSDSRRLTDGGFEKDVDAYCFYAKKSYKKGEQVLLSYGTYTNLELLEHYGFLLNENPNDKVYIPLEPEIYSSCSWPKESLFIHQNGKPSFALLSTLRLWATPQNQRRSVGHLVYSGLHLSIQNEMFILRWISKKCTTILKNLSTSFEDDSLLLSAIDKIQNLDAPLELNNVSSTCRDEICAFKANVLQKGERSSMESKERWRLAVEWRLSYKKILVDCISYCDEIVSSLFHQNNSS >ONI15788 pep chromosome:Prunus_persica_NCBIv2:G3:4420651:4423366:-1 gene:PRUPE_3G061800 transcript:ONI15788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELFEAIEGNDKQTFINLVQHNEGFLSQKAGESKNTVLHLAVRFGHVELVSEIIKLSPDLVAVVNNRQESPLHEACRQGIADVVMLLLEANPWVACMFNSENQTPFYIACRYGHCDVVKLFTDLPQVRDFEEDGDSSPLHMPLSPGHVDISTPLLNALSRLARKADRNGCLPLHLACEKGHLEITRILLQLDPNLALEKNHSRYTPLHLAAMNGQTEILEELWSRSARSFEVLTGEGETVFHLAAMSNRYSSFLFLAQSLNSTNLIHRPDECGNTVLHLAVSTRNFRLAEYIIDKTEVDINGRNHRGLTAYDILNQEFSIMTGNQHVRNGVANNQPMEDFTTVQADSNPSHQVTINFGNQIDSPSAPPTNLHQSKLVKQRGKKKISAVRQYEIYREALQNTRNTITLVAVLIASVTFTAGINPPGGVYQEGLLKGQSTIGRTTAFKVFTISNTAALFLSMCMVIVLVSIIPFRRKTLMKLLVVAHKAMWVAVSLMATAYIAATWVILPHSQGTNWTLEALISVCAGTMGSVFLFLGVTFTRHWLRKLQWRKERGKVTKERLEKRAQRRAQKRAEKTAKEREWKRGMAEKRAKESREENSQEGSDESMSINSDTASARDSGYHTY >ONI15789 pep chromosome:Prunus_persica_NCBIv2:G3:4420840:4423050:-1 gene:PRUPE_3G061800 transcript:ONI15789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELFEAIEGNDKQTFINLVQHNEGFLSQKAGESKNTVLHLAVRFGHVELVSEIIKLSPDLVAVVNNRQESPLHEACRQGIADVVMLLLEANPWVACMFNSENQTPFYIACRYGHCDVVKLFTDLPQVRDFEEDGDSSPLHMPLSPGHVDISTPLLNALSRLARKADRNGCLPLHLACEKGHLEITRILLQLDPNLALEKNHSRYTPLHLAAMNGQTEILEELWSRSARSFEVLTGEGETVFHLAAMSNRYSSFLFLAQSLNSTNLIHRPDECGNTVLHLAVSTRNFRLAEYIIDKTEVDINGRNHRGLTAYDILNQEFSIMTGNQHVRYMLKRIGDKMAQTPIEFNVHQNEHDYRNGVANNQPMEDFTTVQADSNPSHQVTINFGNQIDSPSAPPTNLHQSKLVKQRGKKKISAVRQYEIYREALQNTRNTITLVAVLIASVTFTAGINPPGGVYQEGLLKGQSTIGRTTAFKVFTISNTAALFLSMCMVIVLVSIIPFRRKTLMKLLVVAHKAMWVAVSLMATAYIAATWVILPHSQGTNWTLEALISVCAGTMGSVFLFLGVTFTRHWLRKLQWRKERGKVTKERLEKRAQRRAQKRAEKTAKEREWKRGMAEKRAKESREENSQEGSDESMSINSDTASARDSGYHTY >ONI18343 pep chromosome:Prunus_persica_NCBIv2:G3:21573601:21575745:1 gene:PRUPE_3G210300 transcript:ONI18343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEKKEKEQAEVVPMLEWYFWLAKWRIQLFGRQWNFFDVASVIVVSVLHCLALLAPFYFNWGAVWVAMALNSISGVGITLSYHRNLAHKSFKLPKWLEYFFAYCAVLGLQGSPLEWVSTHRNHHQFTDTWSDPHSPIARGLWFSHIGWVFDYRSRFGSGVRMVYMFHVTFSINSICHTWGTQVWDTGDSSRNNWLFGLLAHGEGWHNNHHAFDYSARQGLEWWQIDTTWYLIRFLQALGLATEVKLPTEAQKKRKALYNKVIKNKEKLGTVGNNGKLQAVK >ONI18342 pep chromosome:Prunus_persica_NCBIv2:G3:21573556:21575745:1 gene:PRUPE_3G210300 transcript:ONI18342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEKKEKEQAEVVPMLEWYFWLAKWRIQLFGRQWNFFDVASVIVVSVLHCLALLAPFYFNWGAVWVAMALNSISGVGITLSYHRNLAHKSFKLPKWLEYFFAYCAVLGLQGSPLEWVSTHRNHHQFTDTWSDPHSPIARGLWFSHIGWVFDYRSRFGSYEGRLNNVGDLKKQPYYIFLHYTYPFHSVALGVLLYVVGGLPFLVWGMGVRMVYMFHVTFSINSICHTWGTQVWDTGDSSRNNWLFGLLAHGEGWHNNHHAFDYSARQGLEWWQIDTTWYLIRFLQALGLATEVKLPTEAQKKRKALYNKVIKNKEKLGTVGNNGKLQAVK >ONI14951 pep chromosome:Prunus_persica_NCBIv2:G3:1253344:1255112:-1 gene:PRUPE_3G017600 transcript:ONI14951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALVPQENMVALVSRTGRHLQRYSKGRRQVVGCIPYRYKTSKQTPSKHDAPELEVLVITSQKGKGMLFPKGGWEIDESKEGAASRETLEEAGVRGLIEGELGKWIFKSKSHDAYYDGYMFPLLVQEQLDFWPEKNLRRRIWMSAQEAREVCQHWWMKEALDRLVNRLTSQQQNQDQELDHVLKARRENLMACM >ONI15076 pep chromosome:Prunus_persica_NCBIv2:G3:1801162:1802329:-1 gene:PRUPE_3G024500 transcript:ONI15076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLKEIECIRDLMPVLLTRTNYFLWKPLFIRTLKAYDLDLFNIMEGVEQCPPQFATNEERDENPAYTDWIMKDQTCMAVISTTLSKSILPYTLDCTSSRALWLNLEIRFTTAAKSHCLRLKARMQSLKKGWMQSMEKYLNLAEEISNELAAAGYPLEDCDYVGHVLRGLPSHYDDFVAWMRAGGHIAVTREELHGLLLQESRRRTQLEFIVQPLLIVGITVIIALIRR >ONI18640 pep chromosome:Prunus_persica_NCBIv2:G3:22758068:22758942:1 gene:PRUPE_3G229000 transcript:ONI18640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSASKAIVAASVGVVEALKDQGICRWNSALRSVHQQAKTQLRSCSQANNKLSSSSASAAFSKVRDEKLKKSEESLRTVMYLSCWGPN >ONI17347 pep chromosome:Prunus_persica_NCBIv2:G3:16652680:16654556:1 gene:PRUPE_3G152900 transcript:ONI17347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADALDRSSLTFPLVCDERQQYEEVEAIDRDHQTKTTSVLKTCFNGLNALSGVGMLSVPYALSSGGWLSLILLFVIAVAAFYSGLLIQRCMDEGSTIRTYPDIGEHAFGKKGKIVLSIFMNTELYLVATSFLILEGDNLHDLFPKMKLEVAGLTISGKKCFTILGGLIVLPTVWFDSLSLLSYVSASGVFSSVIIIGSILWTAVFDGIGFHQEGSVPLNWSGIPTAVSLYAFCYCAHPVFPTLYKSMKNKRQFPNVLLVCFILCTLGYASMAVLGYLMFGSTVESQITLNLPTKELSSKIAIWTTLINPLSKYALMVTPILNTAQNWFPSCCKKSRSFRLFLSTSLVISSVIVALAIPFFAYLMSLVGAFLSVTASLIIPCLCYLKISGTYRNLGCEMLIIGCIILMGVVVAIVGTYTSLQQIIEHL >ONI15215 pep chromosome:Prunus_persica_NCBIv2:G3:2287936:2293100:-1 gene:PRUPE_3G030900 transcript:ONI15215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFGSKALPLRRLGGIMGDEDNSHKRSRKKMGMSRKPDKQSNSFDANVNKNGSGRGSHRQSDSETQRSVVRKQVDPETTKYFSEIANLIESNGVDMEERSVVCGNALEEARGKEFELATDYYLSHTMQTLLEGCDVNSLCSFLRSCAKDFPSIAMDRSGSHVAETAIKSLSQHLQDNDVYSVVEDTLNAICKVIVKNPGDLMCDCYGSHVLRSLLSLFKGVPLDSSEFNRRKSSSVLAERLNFKAFRADMDISQQAVQGFPGLLDFLVSGMSKCIQNNIKTMQVDQYSSLVLQSALKLLAGDEEKLLQIIPILIGCTREEILEGDSIKTTKARNILYLMKETAFSHLMEVALEVAPEVLYNEMFTKVFRNSLFELSSHHCGNFVIQALISHAGSQDQMEVIWEELGSKFKDLLKMGKSGVIASLIAASQRLHIYEHKCCEALATAIHSSNESSTCIVPRILFLDSYFYCEDKSNWNWPSGAKMHVMGSLILQGVFRFQNEFIQPFITSITSLNADNILEAAKDSAGARVIEAFLSSDASAKLKRRLIMKLRGHFGELSLQSSGSFTVEKCFTVGNISLREAIVSELLTVQSELWNTKQGPHLMRKLDVDGFAARPDQWRSRQESKQSTFNEFYSTFGSSETKPSKNSSFLSDDSKKTSQPNKIKQMREEIAHLQTSPAPFLSTTGFKRKPNKPEKSSKKFARNSADEDVPKVKKNQSNNIVTDEGTSQSMHKAEKKRHREDVLQKSPKKLKT >ONI15218 pep chromosome:Prunus_persica_NCBIv2:G3:2287470:2293546:-1 gene:PRUPE_3G030900 transcript:ONI15218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEDNSHKRSRKKMGMSRKPDKQSNSFDANVNKNGSGRGSHRQSDSETQRSVVRKQVDPETTKYFSEIANLIESNGVDMEERSVVCGNALEEARGKEFELATDYYLSHTMQTLLEGCDVNSLCSFLRSCAKDFPSIAMDRSGSHVAETAIKSLSQHLQDNDVYSVVEDTLNAICKVIVKNPGDLMCDCYGSHVLRSLLSLFKGVPLDSSEFNRRKSSSVLAERLNFKAFRADMDISQQAVQGFPGLLDFLVSGMSKCIQNNIKTMQVDQYSSLVLQSALKLLAGDEEKLLQIIPILIGCTREEILEGDSIKTTKARNILYLMKETAFSHLMEVALEVAPEVLYNEMFTKVFRNSLFELSSHHCGNFVIQALISHAGSQDQMEVIWEELGSKFKDLLKMGKSGVIASLIAASQRLHIYEHKCCEALATAIHSSNESSTCIVPRILFLDSYFYCEDKSNWNWPSGAKMHVMGSLILQGVFRFQNEFIQPFITSITSLNADNILEAAKDSAGARVIEAFLSSDASAKLKRRLIMKLRGHFGELSLQSSGSFTVEKCFTVGNISLREAIVSELLTVQSELWNTKQGPHLMRKLDVDGFAARPDQWRSRQESKQSTFNEFYSTFGSSETKPSKNSSFLSDDSKKTSQPNKIKQMREEIAHLQTSPAPFLSTTGFKRKPNKPEKSSKKFARNSADEDVPKVKKNQSNNIVTDEGTSQSMHKAEKKRHREDVLQKSPKKLKT >ONI15219 pep chromosome:Prunus_persica_NCBIv2:G3:2287588:2293546:-1 gene:PRUPE_3G030900 transcript:ONI15219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLAERLNFKAFRADMDISQQAVQGFPGLLDFLVSGMSKCIQNNIKTMQVDQYSSLVLQSALKLLAGDEEKLLQIIPILIGCTREEILEGDSIKTTKARNILYLMKETAFSHLMEVALEVAPEVLYNEMFTKVFRNSLFELSSHHCGNFVIQALISHAGSQDQMEVIWEELGSKFKDLLKMGKSGVIASLIAASQRLHIYEHKCCEALATAIHSSNESSTCIVPRILFLDSYFYCEDKSNWNWPSGAKMHVMGSLILQGVFRFQNEFIQPFITSITSLNADNILEAAKDSAGARVIEAFLSSDASAKLKRRLIMKLRGHFGELSLQSSGSFTVEKCFTVGNISLREAIVSELLTVQSELWNTKQGPHLMRKLDVDGFAARPDQWRSRQESKQSTFNEFYSTFGSSETKPSKNSSFLSDDSKKTSQPNKIKQMREEIAHLQTSPAPFLSTTGFKRKPNKPEKSSKKFARNSADEDVPKVKKNQSNNIVTDEGTSQSMHKAEKKRHREDVLQKSPKKLKT >ONI15217 pep chromosome:Prunus_persica_NCBIv2:G3:2287559:2293564:-1 gene:PRUPE_3G030900 transcript:ONI15217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEDNSHKRSRKKMGMSRKPDKQSNSFDANVNKNGSGRGSHRQSDSETQRSVVRKQVDPETTKYFSEIANLIESNGVDMEERSVVCGNALEEARGKEFELATDYYLSHTMQTLLEGCDVNSLCSFLRSCAKDFPSIAMDRSGSHVAETAIKSLSQHLQDNDVYSVVEDTLNAICKVIVKNPGDLMCDCYGSHVLRSLLSLFKGVPLDSSEFNRRKSSSVLAERLNFKAFRADMDISQQAVQGFPGLLDFLVSGMSKCIQNNIKTMQVDQYSSLVLQSALKLLAGDEEKLLQIIPILIGCTREEILEGDSIKTTKARNILYLMKETAFSHLMEVALEVAPEVLYNEMFTKVFRNSLFELSSHHCGNFVIQALISHAGSQDQMEVIWEELGSKFKDLLKMGKSGVIASLIAASQRLHIYEHKCCEALATAIHSSNESSTCIVPRILFLDSYFYCEDKSNWNWPSGAKMHVMGSLILQGVFRFQNEFIQPFITSITSLNADNILEAAKDSAGARVIEAFLSSDASAKLKRRLIMKLRGHFGELSLQSSGSFTVEKCFTVGNISLREAIVSELLTVQSELWNTKQGPHLMRKLDVDGFAARPDQWRSRQESKQSTFNEFYSTFGSSETKPSKNSSFLSDDSKKTSQPNKIKQMREEIAHLQTSPAPFLSTTGFKRKPNKPEKSSKKFARNSADEDVPKVKKNQSNNIVTDEGTSQSMHKAEKKRHREDVLQKSPKKLKT >ONI15216 pep chromosome:Prunus_persica_NCBIv2:G3:2287587:2293296:-1 gene:PRUPE_3G030900 transcript:ONI15216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFGSKALPLRRLGGIMGDEDNSHKRSRKKMGMSRKPDKQSNSFDANVNKNGSGRGSHRQSDSETQRSVVRKQVDPETTKYFSEIANLIESNGVDMEERSVVCGNALEEARGKEFELATDYYLSHTMQTLLEGCDVNSLCSFLRSCAKDFPSIAMDRSGSHVAETAIKSLSQHLQDNDVYSVVEDTLNAICKVIVKNPGDLMCDCYGSHVLRSLLSLFKGVPLDSSEFNRRKSSSVLAERLNFKAFRADMDISQQAVQGFPGLLDFLVSGMSKCIQNNIKTMQVDQYSSLVLQSALKLLAGDEEKLLQIIPILIGCTREEILEGDSIKTTKARNILYLMKETAFSHLMEVALEVAPEVLYNEMFTKVFRNSLFELSSHHCGNFVIQALISHAGSQDQMEVIWEELGSKFKDLLKMGKSGVIASLIAASQRLHIYEHKCCEALATAIHSSNESSTCIVPRILFLDSYFYCEDKSNWNWPSGAKMHVMGSLILQGVFRFQNEFIQPFITSITSLNADNILEAAKDSAGARVIEAFLSSDASAKLKRRLIMKLRGHFGELSLQSSGSFTVEKCFTVGNISLREAIVSELLTVQSELWNTKQGPHLMRKLDVDGFAARPDQWRSRQESKQSTFNEFYSTFGSSETKPSKNSSFLSDDSKKTSQPNKIKQMREEIAHLQTSPAPFLSTTGFKRKPNKPEKSSKKFARNSADEDVPKVKKNQSNNIVTDEGTSQSMHKAEKKRHREDVLQKSPKKLKT >ONI15214 pep chromosome:Prunus_persica_NCBIv2:G3:2287552:2293564:-1 gene:PRUPE_3G030900 transcript:ONI15214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFGSKALPLRRLGGIMGDEDNSHKRSRKKMGMSRKPDKQSNSFDANVNKNGSGRGSHRQSDSETQRSVVRKQVDPETTKYFSEIANLIESNGVDMEERSVVCGNALEEARGKEFELATDYYLSHTMQTLLEGCDVNSLCSFLRSCAKDFPSIAMDRSGSHVAETAIKSLSQHLQDNDVYSVVEDTLNAICKVIVKNPGDLMCDCYGSHVLRSLLSLFKGVPLDSSEFNRRKSSSVLAERLNFKAFRADMDISQQAVQGFPGLLDFLVSGMSKCIQNNIKTMQVDQYSSLVLQSALKLLAGDEEKLLQIIPILIGCTREEILEGDSIKTTKARNILYLMKETAFSHLMEVALEVAPEVLYNEMFTKVFRNSLFELSSHHCGNFVIQALISHAGSQDQMEVIWEELGSKFKDLLKMGKSGVIASLIAASQRLHIYEHKCCEALATAIHSSNESSTCIVPRILFLDSYFYCEDKSNWNWPSGAKMHVMGSLILQGVFRFQNEFIQPFITSITSLNADNILEAAKDSAGARVIEAFLSSDASAKLKRRLIMKLRGHFGELSLQSSGSFTVEKCFTVGNISLREAIVSELLTVQSELWNTKQGPHLMRKLDVDGFAARPDQWRSRQESKQSTFNEFYSTFGSSETKPSKNSSFLSDDSKKTSQPNKIKQMREEIAHLQTSPAPFLSTTGFKRKPNKPEKSSKKFARNSADEDVPKVKKNQSNNIVTDEGTSQSMHKAEKKRHREDVLQKSPKKLKT >ONI16263 pep chromosome:Prunus_persica_NCBIv2:G3:6551326:6555698:1 gene:PRUPE_3G088100 transcript:ONI16263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAECLLDWNRRMNIAIGSAEGIAYLHHHATPHIIHRDIKASNVLVDSDFQAQVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPIEKLSSTMKRTVTDWALPLACERKFDELADPKLNGKYAVDELKRVIFVALLCAHSRPERRPTMLEVVELLKGESKEKLAQLENDELFKTSQAPEYNDGNDGTSAAEDSSDFISEEKDEKQDSK >ONI16262 pep chromosome:Prunus_persica_NCBIv2:G3:6550476:6555698:1 gene:PRUPE_3G088100 transcript:ONI16262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGSMFCCGRGFGRKEGGKKQPTWRVFSLKELHAATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKADMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAECLLDWNRRMNIAIGSAEGIAYLHHHATPHIIHRDIKASNVLVDSDFQAQVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPIEKLSSTMKRTVTDWALPLACERKFDELADPKLNGKYAVDELKRVIFVALLCAHSRPERRPTMLEVVELLKGESKEKLAQLENDELFKTSQAPEYNDGNDGTSAAEDSSDFISEEKDEKQDSK >ONI19196 pep chromosome:Prunus_persica_NCBIv2:G3:24837669:24839896:1 gene:PRUPE_3G263400 transcript:ONI19196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPTNAALASLPNRHLRSLIRACTRQCSIDMGKKLHAAIITGGLAAMPDSFLHNALLHLYAAHGSVCSARKLFDEIPNSHKDAVDWTVLMGCFSRHGMPQSGLRLFVEMRRENVRVDDVAMACLFNACARLGNVEIGEQGHGFVMKVGLGSSVKACNGVMDMYVKCGLLGMARRVFEEMGERSVVSWTVILDGVVKLEGVGSGRRVFDNMPERNEVAWTIMIVGYVSVGLIREGFSLLEEMVFGCGLGLNYVTLCSFLSASAQSGDTMTGRWVHAYAVKAVGNEIDIMVGTAVVDMYAKCGRVDTALKVFEHMHQRNEVTWNALLSGLAMHGRGKLVLNMFPQMLKEAKPDDLTFTALLSACSHSGLVEQGRHYFDNLEASYGVTPKIEHYACMVDLLGRAGHLEEAEVLIKKMPMPPNEVVLGSFLGSCRVHGKLKLGERILQELVRMHPHNTDHHVLLSNMYALGGKQDKANSLRQDLKNRGIRKVPGMSSVYVGCQVHQFSAGDKSHPQTMEIYVKLDEMIQRLRLAGYVPNTGSQVFFGVDSRDDDADKLEEIEQALFCHSEKLAVCFGLISTRAGSTLYIFKNLRICVDCHSAMKIVSHVYNREIVIRDRNRFHCFKQGSCSCSDYW >ONI17829 pep chromosome:Prunus_persica_NCBIv2:G3:19713544:19714694:1 gene:PRUPE_3G181300 transcript:ONI17829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWKSILWGRQVIEDGLIWRVGNGASIRVFQDRWIPKPFTFKPLLARGLDWNTTVSNLLTASGSWDLPLLEQHFSLEDQDIISGIALVSVSQRGDSKYWFFSKNRKYTINTGYCVAVMRILEWGDRIRVLRKVFGCEFGSLQSPTKLKCEFGGQITRLDNMVENTMERLAVFKSCEERSKLPFVPQQVHWLKPRVNTFTLNCDGAVGRGQSGRGLGGCCSDHDGNFICGFASFGPPGLDVLGTELVAVREGLLMMGYMGFTRFTIGLDSQEAIYSQRWVGLVE >ONI20086 pep chromosome:Prunus_persica_NCBIv2:G3:27162415:27164907:-1 gene:PRUPE_3G314300 transcript:ONI20086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAILGGAAGAVTLVGITIILIWFCLSHNRSVSRTSETGSSDPSVQVGRHAGVELSMRETRRFQMEELSLATKNFSDTNLIGEGKFGEVYMGFLQDGMLVAIKRRPGTPSQEFINEVHYLSAIQHRHIVTLLGYCQENNLQFLVYEYIHSGSVSSHLYGTGQHSRKKLEFKNRLSIALGAAKGLAHLHSLSPRLVHKDFKTANVLVDEDLLAKVADAGIRNFLGRVDIAGPSSQVTADEMFLAPEVREFRRFSEKSDIYSFGVFLLELVSGREAGELASSDSNQNLVEWVQNIQDNSNVSCIIDERLGNSFTGEAMEGFIHLIMRCLEPSSERRPAMSHVVMELDRIHEKEISLTTIMGEGPSTVIPGSQLFRATK >ONI16705 pep chromosome:Prunus_persica_NCBIv2:G3:9927988:9931164:1 gene:PRUPE_3G116600 transcript:ONI16705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERNGDQQSLPPIKYLHLHECDSFSMGIFCMPPSSIIPLHNHPGMTVLSKLIYGTLCVNSYDWLDFPGPADPSEAKPAKLVKDTEMTAPCPPTVLYPTTGGNIHSFRALTPCAIFDILAPPYSSEDGRHCTYFRKSSRKDLPGYLEFEGVTEPQVTWLEEFQPPDNFVIRRGLYKGPVIRT >ONI16702 pep chromosome:Prunus_persica_NCBIv2:G3:9923865:9931164:1 gene:PRUPE_3G116600 transcript:ONI16702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNVCKSSFLPNGPVSEEALEKVRVKLEKIKPADVGLEQEAQLVRNWSGSMLERNGDQQSLPPIKYLHLHECDSFSMGIFCMPPSSIIPLHNHPGMTVLSKLIYGTLCVNSYDWLDFPGPADPSEAKPAKLVKDTEMTAPCPPTVLYPTTGGNIHSFRALTPCAIFDILAPPYSSEDGRHCTYFRKSSRKDLPGYLEFEGVTEPQVTWLEEFQPPDNFVIRRGLYKGPVIRT >ONI16703 pep chromosome:Prunus_persica_NCBIv2:G3:9923585:9931164:1 gene:PRUPE_3G116600 transcript:ONI16703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNVCKSSFLPNGPVSEEALEKVRVKLEKIKPADVGLEQEAQLVRNWSGSMLERNGDQQSLPPIKYLHLHECDSFSMGIFCMPPSSIIPLHNHPGMTVLSKLIYGTLCVNSYDWLDFPGPADPSEAKPAKLVKDTEMTAPCPPTVLYPTTGGNIHSFRALTPCAIFDILAPPYSSEDGRHCTYFRKSSRKDLPGYLEFEGVTEPQVTWLEEFQPPDNFVIRRGLYKGPVIRT >ONI16701 pep chromosome:Prunus_persica_NCBIv2:G3:9923865:9931164:1 gene:PRUPE_3G116600 transcript:ONI16701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYIQRLYNVCKSSFLPNGPVSEEALEKVRVKLEKIKPADVGLEQEAQLVRNWSGSMLERNGDQQSLPPIKYLHLHECDSFSMGIFCMPPSSIIPLHNHPGMTVLSKLIYGTLCVNSYDWLDFPGPADPSEAKPAKLVKDTEMTAPCPPTVLYPTTGGNIHSFRALTPCAIFDILAPPYSSEDGRHCTYFRKSSRKDLPGYLEFEGVTEPQVTWLEEFQPPDNFVIRRGLYKGPVIRT >ONI16704 pep chromosome:Prunus_persica_NCBIv2:G3:9923585:9931164:1 gene:PRUPE_3G116600 transcript:ONI16704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERNGDQQSLPPIKYLHLHECDSFSMGIFCMPPSSIIPLHNHPGMTVLSKLIYGTLCVNSYDWLDFPGPADPSEAKPAKLVKDTEMTAPCPPTVLYPTTGGNIHSFRALTPCAIFDILAPPYSSEDGRHCTYFRKSSRKDLPGYLEFEGVTEPQVTWLEEFQPPDNFVIRRGLYKGPVIRT >ONI16706 pep chromosome:Prunus_persica_NCBIv2:G3:9924184:9931164:1 gene:PRUPE_3G116600 transcript:ONI16706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERNGDQQSLPPIKYLHLHECDSFSMGIFCMPPSSIIPLHNHPGMTVLSKLIYGTLCVNSYDWLDFPGPADPSEAKPAKLVKDTEMTAPCPPTVLYPTTGGNIHSFRALTPCAIFDILAPPYSSEDGRHCTYFRKSSRKDLPGYLEFEGVTEPQVTWLEEFQPPDNFVIRRGLYKGPVIRT >ONI17355 pep chromosome:Prunus_persica_NCBIv2:G3:16842291:16843049:-1 gene:PRUPE_3G153700 transcript:ONI17355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLEQEEVSDTLLGFHYSLVVEVLTHRSVNRDAFISVFIGLWRDAKGVSCREIRERRFLARFVGLRDLRRVLDMEPWSFSNSLVLVAEESRALGYRGVPLSNGVFWVQLHGLPPLSMTVLAVTKIGGLIGQVLEVDKANGKECIGCFARVRIRFDVPQPLMRGACVEFPVEGERWVDFRYEFLPEYCLICGCLGHPTRRCELKLRESRVETETVAMGEVEYPYRDLEALEDLRGSKNGERFRVHELELSLNAS >ONI17482 pep chromosome:Prunus_persica_NCBIv2:G3:18052694:18053754:-1 gene:PRUPE_3G161500 transcript:ONI17482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRPYTSIFLLVSLLLLLTFSDVAEGYNKLRPSECKPRCTYRCSATSHKKPCMFFCQKCCATCLCVPPGVYGNKQVCPCYNNWKTQEGRPKCP >ONI17483 pep chromosome:Prunus_persica_NCBIv2:G3:18053014:18053388:-1 gene:PRUPE_3G161500 transcript:ONI17483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDILSILSKFVFVFVIHEQGYNKLRPSECKPRCTYRCSATSHKKPCMFFCQKCCATCLCVPPGVYGNKQVCPCYNNWKTQEGRPKCP >ONI17348 pep chromosome:Prunus_persica_NCBIv2:G3:16679336:16679662:-1 gene:PRUPE_3G153000 transcript:ONI17348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEHQLAYISGDTKIIVIDRNIKLASFCDTLNDVVYFKYQVPGEDLNAFISVINDKNLDHMIIEYYQLYRTFAKPARLKLFFFALSNNPLLTSAPMGPGWSPSGLATL >ONI17635 pep chromosome:Prunus_persica_NCBIv2:G3:18950256:18955638:-1 gene:PRUPE_3G170700 transcript:ONI17635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKQQDVKAFAFKTKAMLSRMEHKVQSARQRESIYWHLASHGVPKSLHCLCLKLAEEYAVNAMARSRLPPPEYVSRLSDPSFHHLVLLTDNVLAASVVISSTVEKSANPEKLIFHIVTDKKTYTPMHAWFAIHSIQSAVVEVKGLHQYEWSQEVNVAVKEMLEIHRLIWGQYYKNLKEEDFEYDGEHKRFLEALSPSCLSLMNLLRIYIPELFPDLNKIVFLDDDIVVQHDISSLWELDLNGNVVGAVVNSWDGDNCCPGRKYKDYFNFSHPIISSNFDHNRCAWLYGMNVYDLEAWRRANITETYHHWLKLSQESGLTLWRPGIIPPALIAFEGHVHHIDPLWHVAGLGSRSPEVPRDILEAASVIHFSGPAKPWLEIGFPEVRGLWNKHVNSSNKFVRKCRIMG >ONI17637 pep chromosome:Prunus_persica_NCBIv2:G3:18951685:18953770:-1 gene:PRUPE_3G170700 transcript:ONI17637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLREELTRALLEAKDGKVDNNEKGIESFNQLVQEMTLKQQDVKAFAFKTKAMLSRMEHKVQSARQRESIYWHLASHGVPKSLHCLCLKLAEEYAVNAMARSRLPPPEYVSRLSDPSFHHLVLLTDNVLAASVVISSTVEKSANPEKLIFHIVTDKKTYTPMHAWFAIHSIQSAVVEVKGLHQYEWSQEVNVAVKEMLEIHRLIWGQYYKNLKEEDFEYDGEHKRFLEALSPSCLSLMNLLRIYIPEVIALLISQMEWMTLNMNL >ONI17634 pep chromosome:Prunus_persica_NCBIv2:G3:18950256:18953770:-1 gene:PRUPE_3G170700 transcript:ONI17634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLREELTRALLEAKDGKVDNNEKGIESFNQLVQEMTLKQQDVKAFAFKTKAMLSRMEHKVQSARQRESIYWHLASHGVPKSLHCLCLKLAEEYAVNAMARSRLPPPEYVSRLSDPSFHHLVLLTDNVLAASVVISSTVEKSANPEKLIFHIVTDKKTYTPMHAWFAIHSIQSAVVEVKGLHQYEWSQEVNVAVKEMLEIHRLIWGQYYKNLKEEDFEYDGEHKRFLEALSPSCLSLMNLLRIYIPELFPDLNKIVFLDDDIVVQHDISSLWELDLNGNVVGAVVNSWDGDNCCPGRKYKDYFNFSHPIISSNFDHNRCAWLYGMNVYDLEAWRRANITETYHHWLKLSQESGLTLWRPGIIPPALIAFEGHVHHIDPLWHVAGLGSRSPEVPRDILEAASVIHFSGPAKPWLEIGFPEVRGLWNKHVNSSNKFVRKCRIMG >ONI17636 pep chromosome:Prunus_persica_NCBIv2:G3:18950256:18955638:-1 gene:PRUPE_3G170700 transcript:ONI17636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYISTTGIKRLTISSAGASKASPATRRISGRTVLPLVLVLALILPFLFVRIAFIVLESATACSSTLDCIGWRFFSGSDESMLREELTRALLEAKDGKVDNNEKGIESFNQLVQEMTLKQQDVKAFAFKTKAMLSRMEHKVQSARQRESIYWHLASHGVPKSLHCLCLKLAEEYAVNAMARSRLPPPEYVSRLSDPSFHHLVLLTDNVLAASVVISSTVEKSANPEKLIFHIVTDKKTYTPMHAWFAIHSIQSAVVEVKGLHQYEWSQEVNVAVKEMLEIHRLIWGQYYKNLKEEDFEYDGEHKRFLEALSPSCLSLMNLLRIYIPELSIHGTVTTVAQEENTRITSIFHTLSYLPTLITIVVHGCMA >ONI17633 pep chromosome:Prunus_persica_NCBIv2:G3:18950832:18955202:-1 gene:PRUPE_3G170700 transcript:ONI17633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYISTTGIKRLTISSAGASKASPATRRISGRTVLPLVLVLALILPFLFVRIAFIVLESATACSSTLDCIGWRFFSGSDESMLREELTRALLEAKDGKVDNNEKGIESFNQLVQEMTLKQQDVKAFAFKTKAMLSRMEHKVQSARQRESIYWHLASHGVPKSLHCLCLKLAEEYAVNAMARSRLPPPEYVSRLSDPSFHHLVLLTDNVLAASVVISSTVEKSANPEKLIFHIVTDKKTYTPMHAWFAIHSIQSAVVEVKGLHQYEWSQEVNVAVKEMLEIHRLIWGQYYKNLKEEDFEYDGEHKRFLEALSPSCLSLMNLLRIYIPELFPDLNKIVFLDDDIVVQHDISSLWELDLNGNVVGAVVNSWDGDNCCPGRKYKDYFNFSHPIISSNFDHNRCAWLYGMNVYDLEAWRRANITETYHHWLKLSQESGLTLWRPGIIPPALIAFEGHVHHIDPLWHVAGLGSRSPEVPRDILEAASVIHFSGPAKPWLEIGFPEVRGLWNKHVNSSNKFVRKCRIMG >ONI17349 pep chromosome:Prunus_persica_NCBIv2:G3:16685803:16686555:-1 gene:PRUPE_3G153100 transcript:ONI17349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPKFFALKSAKNQKYVVFKDQSTAELPNRLECSGEESHSKYARFKAEKDVNQPSLVHIKSTYSDKYLRTASEDSPWIVAEADEKQPNKNLWSCTLFKPVVLQKPAPYVDGVYQFVHVRLGNLTEPKSGTDFEDDALAAENEKPTSTPAFTVEKLPG >ONI18116 pep chromosome:Prunus_persica_NCBIv2:G3:20782745:20784450:-1 gene:PRUPE_3G197600 transcript:ONI18116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLAFSSPTTTFLASSSPSLLYPPSFTSISPKRRAHNFKIHAELGGGDGEIKKEGKKKFITREQEPEQYWQTAGEREGENPMMTPLPYIIIFGMSTPFVILAIAFANGWVKVPVR >ONI15773 pep chromosome:Prunus_persica_NCBIv2:G3:4341756:4347048:-1 gene:PRUPE_3G060400 transcript:ONI15773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPRGGVRRGRGAAGAAAKKQKKEKIPSPIDAGEAIATRTRRRRAAAAAAEAVPSNPNNRNETNKAKEEEHKREEVVVVGDEQNVVVEEEEEEEEEKEVEEKKMDEYSGGGGAGGAPSNDKANAGEDEGSTTPLPEKVHVGGSPVYKVERKLGKGGFGQVFVGRRVLNTNERTGPGAVEVALKFEHRNSKGCNYGPPAEWLVYNTLGGSHGVPRVHFKGQQGDYYIMVMDMLGPSLWDVWNNNSHTMTTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTSDEKKLFLVDLGLATRWRETTTGRHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPEILCSFSPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTEGAQKLINLVGHKRGRLTMEEDDEQPKKRIRMGTPATQWISVYNARRPMKQRYHYNVADTRLAQHIEKGNEDGLYISSVASSQDLWALIMDAGTDFTSQVYELSPCSLHKEWIMEQWENNYYISAIAGATNGSSLVVMSKGTPFTQQSYKVSDTFPFKWINKKWREGFYVTAMATSGSRWAVVMSRGAGYSEQVVELDFLYPSEGIHRRWDSGYRITATAATWDQAAFVLSVPRRKPQDETQETLRTSAFPSPHVKEKWAKNLYIASMCYGRTVS >ONI15772 pep chromosome:Prunus_persica_NCBIv2:G3:4341499:4347290:-1 gene:PRUPE_3G060400 transcript:ONI15772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPRGGVRRGRGAAGAAAKKQKKEKIPSPIDAGEAIATRTRRRRAAAAAAEAVPSNPNNRNETNKAKEEEHKREEVVVVGDEQNVVVEEEEEEEEEKEVEEKKMDEYSGGGGAGGAPSNDKANAGEDEGSTTPLPEKVHVGGSPVYKVERKLGKGGFGQVFVGRRVLNTNERTGPGAVEVALKFEHRNSKGCNYGPPAEWLVYNTLGGSHGVPRVHFKGQQGDYYIMVMDMLGPSLWDVWNNNSHTMTTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTSDEKKLFLVDLGLATRWRETTTGRHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPEILCSFSPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTEGAQKLINLVGHKRGRLTMEEDDEQPKKRIRMGTPATQWISVYNARRPMKQRYHYNVADTRLAQHIEKGNEDGLYISSVASSQDLWALIMDAGTDFTSQVYELSPCSLHKEWIMEQWENNYYISAIAGATNGSSLVVMSKGTPFTQQSYKVSDTFPFKWINKKWREGFYVTAMATSGSRWAVVMSRGAGYSEQVVELDFLYPSEGIHRRWDSGYRITATAATWDQAAFVLSVPRRKPQDETQETLRTSAFPSPHVKFLKLLRIVWMLHTPSGIALIALPILSLDWGVVTPTIDKILMT >ONI18990 pep chromosome:Prunus_persica_NCBIv2:G3:24173975:24174817:1 gene:PRUPE_3G251900 transcript:ONI18990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTINTSKTHISTMVKGSFGYLDPEYYKRQRLTEKSDVYSFGVVLCEVLCARPAVMHNAELRQINLAEWAKSCHRDGELDQIIDPSIRGEIEIQSLNKFVEIAMSCMNDSGIERPSMNDVVKGLELAFQLHRNCFERSNEVAFNNDSAAATNESIQCISETIFSEINDPNGR >ONI19358 pep chromosome:Prunus_persica_NCBIv2:G3:25351369:25352856:-1 gene:PRUPE_3G274300 transcript:ONI19358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKMGELWTQMGSVMASMMFAYAMFKQFFPDDLGNILEKYTQKLVGYVYPYIQISFDEYTVEFLRRSEVYSSIQSYLSTKSSTKAKRLKAHDVKGSKALVLGMDDNEEVTDEFQGIKLWWVSMKSASKKSSFSFYPEYEERKQYKLTFHRRHRDIVMGSYLDHVRQEGKAIAVSNRQRKLYINNTGKGAKWSHVVFEHPATFETLAMDPKDKQSIIDDLMKFSKGKDYYKKIGKAWKRGYLLYGPPGTGKSTMISAMSNLMNYDVYDLELTAVKDNTELRKLLIDITGKAIIVIEDIDCSLDLTGQRKKKKKQEEEKDGDEKDPIPKKPEEEETTTSKVTLSGLLNFIDGIWSACGGERLIVFTTNYVDKLDPALIRRGRMDKHIQLSYCCFEAFKVLARNYLDVESHELFGTIERLLGETDMTPADVAENLMLKSDTEDADSCLKSLIEALEAAKVEARVKAEEEARKKAEEEAKLKAEKEEKEKEKSGNSKDK >ONI19582 pep chromosome:Prunus_persica_NCBIv2:G3:25846437:25850558:-1 gene:PRUPE_3G285700 transcript:ONI19582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTEKAGSFSLVLERPLSPFPIQQLLLLNDLDNLFNRGRVPFATWNKTVLASSLQSATENSGNSGFITFSSKFLKSQGWNLLNGQNGRVQSQMQKNTLAQPMSKLVCIFSEEESEHGEWAHGNFPLEEYIKALDRSKGELYYNHSIGMRHSKITEQIYVGSCIQTEDDVETLSNVAGVTAILNFQSGTETENWGINSISINESCQKFNILMINYPIRPAIAWATWDLIAMVENGMHEGPPTHAVTFVWNGQEGEDVTLVGDFTANWKEPIRANHKGGSRWEAEVRLSQGKYYYKFIVNGQWRHSTSSPSERDQSGNVNNIIIIGDTASVRPSVQQQIKDVNVVKVIERPLTENERFMLAKAARCVSFSICPIRLAPK >ONI19580 pep chromosome:Prunus_persica_NCBIv2:G3:25846437:25850558:-1 gene:PRUPE_3G285700 transcript:ONI19580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLQLQSSRALDPSSPPLLHGCSSSFWGGRVSFIDGRSTMSRPEKLRSNGGTVRILAMSNSSPSFKMNLNEYMVTLDKPLGIRFALSVDGKIFVHSLKKGGNAEKSRIVMVGDTLKKAGDSSGGRLLEAKDFGDTQKMLTEKAGSFSLVLERPLSPFPIQQLLLLNDLDNLFNRGRVPFATWNKTVLASSLQSATENSGNSGFITFSSKFLKSQGWNLLNGQNGRVQSQMQKNTLAQPMSKLVCIFSEEESEHGEWAHGNFPLEEYIKALDRSKGELYYNHSIGMRHSKITEQIYVGSCIQTEDDVETLSNVAGVTAILNFQSGTETENWGINSISINESCQKFNILMINYPIRPAIAWATWDLIAMVENGMHEGPPTHAVTFVWNGQEGEDVTLVGDFTANWKEPIRANHKGGSRWEAEVRLSQGKYYYKFIVNGQWRHSTSSPSERDQSGNVNNIIIIGDTASVRPSVQQQIKDVNVVKVIERPLTENERFMLAKAARCVSFSICPIRLAPK >ONI19583 pep chromosome:Prunus_persica_NCBIv2:G3:25846666:25849729:-1 gene:PRUPE_3G285700 transcript:ONI19583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTEKAGSFSLVLERPLSPFPIQQLLLLNDLDNLFNRGRVPFATWNKTVLASSLQSATENSGNSGFITFSSKFLKSQGWNLLNGQNGRVQSQMQKNTLAQPMSKLVCIFSEEESEHGEWAHGNFPLEEYIKALDRSKGELYYNHSIGMRHSKITEQIYVGSCIQTEDDVETLSNVAGVTAILNFQSGTETENWGINSISINESCQKFNILMINYPIREGDSFDLRKKLPFCVGLLLRLLKKNHRVFVTCTTGFNRSPACVIAYLHWMTDTSLHAAYNFVTGLHLCRPDRPAIAWATWDLIAMVENGMHEGPPTHAVTFVWNGQEGEDVTLVGDFTANWKEPIRANHKGGSRWEAEVRLSQGKYYYKFIVNGQWRHSTSSPSERDQSGNVNNIIIIGDTASVRPSVQQQIKDVNVVKVIERPLTENERFMLAKAARCVSFSICPIRLAPK >ONI19581 pep chromosome:Prunus_persica_NCBIv2:G3:25846666:25850428:-1 gene:PRUPE_3G285700 transcript:ONI19581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLQLQSSRALDPSSPPLLHGCSSSFWGGRVSFIDGRSTMSRPEKLRSNGGTVRILAMSNSSPSFKMNLNEYMVTLDKPLGIRFALSVDGKIFVHSLKKGGNAEKSRIVMVGDTLKKAGDSSGGRLLEAKDFGDTQKMLTEKAGSFSLVLERPLSPFPIQQLLLLNDLDNLFNRGRVPFATWNKTVLASSLQSATENSGNSGFITFSSKFLKSQGWNLLNGQNGRVQSQMQKNTLAQPMSKLVCIFSEEESEHGEWAHGNFPLEEYIKALDRSKGELYYNHSIGMRHSKITEQIYVGSCIQTEDDVETLSNVAGVTAILNFQSGTETENWGINSISINESCQKFNILMINYPIREGDSFDLRKKLPFCVGLLLRLLKKNHRVFVTCTTGFNRSPACVIAYLHWMTDTSLHAAYNFVTGLHLCRPDRPAIAWATWDLIAMVENGMHEGPPTHAVTFVWNGQEGEDVTLVGDFTANWKEPIRANHKGGSRWEAEVRLSQGKYYYKFIVNGQWRHSTSSPSERDQSGNVNNIIIIGDTASVRPSVQQQIKDVNVVKVIERPLTENERFMLAKAARCVSFSICPIRLAPK >ONI16289 pep chromosome:Prunus_persica_NCBIv2:G3:6683960:6684184:-1 gene:PRUPE_3G089900 transcript:ONI16289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEVQELGTFSMEQDNQSQRKSHQSNVGEEIQFLEVVKEQRQMVSGIKYYLKVSGVNVVKLGTEKEKGRDKER >ONI19524 pep chromosome:Prunus_persica_NCBIv2:G3:25741526:25743765:-1 gene:PRUPE_3G283200 transcript:ONI19524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCRFFFDPSTTSSACQQGGGNMLFLGNADHVFRGPKSVMGLMDQESSRRRSFFSSSSSQDELFDEEYYDEQLPEKKRRLTPDQVHMLEKSFETENKLEPERKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDLLKSSYDSLLSNYDSILKENHKLKSEVVSINQKLETKEETSNIKAAAFAPDHADDDKCDPLVPAGDEMRDAVPISYAAGLQYSCSVKVEDRLSSGSGGSAVVDEVEGPQLVDSGDSYNFLNEDNVHNNYPHHHHHHSGVNSEEDDGSDDGRGYFSDVFAAAVEEQVQQEEGVSMGWWVWS >ONI15513 pep chromosome:Prunus_persica_NCBIv2:G3:3330290:3333393:1 gene:PRUPE_3G046800 transcript:ONI15513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPGKWLKSLINLKKAPTSDQEKVGDKSKKKWKLWRSSSEGFGSSSKGGMNRSQVAAAEPLNSLDDALAAAMATLVRTQPKNFIVIKKEWAAIRIQAVFRGFLARQALRALRAVVRLQAIFRGRQVRKQAAVTLRCMQALARVQARARAQRTSPEGQESVQNSLDEHCYQADPIKQAEQGWCDSPGTVSEVRAKLEMRQRANIKRERAIAYSFSQQRSRSNASPYCRTSKTAKAVRHQKVDDNNSGWSWLEKWMAAKPWENRLMEEIQSVPSAVTPCSRKSGDGIVGFYPYSSEQNSVKVRRNNVSTRVSARPPTANPITRSSSAPSSDFLQDESSASTSCTSASPTLVFSNNLKVENGDETNLCKPSYMSLTKSTKAKQRTSNCFDMQRFSMEEYLYHNKSMGLSKGDTRSNCGSDLYPPISVGRVQRGRYQ >ONI17850 pep chromosome:Prunus_persica_NCBIv2:G3:19867570:19868457:-1 gene:PRUPE_3G183000 transcript:ONI17850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVVIALVMVVVAAMLVLVKPGQATVTCQQVVSSVAPCIPYLTSGTGSPPTACCNGVSGLNQLASTTKDRRAACQCLKDTADHYQNIKEDVAAGLPTACKVQINVPISRSVNCNDVQ >ONI18285 pep chromosome:Prunus_persica_NCBIv2:G3:21392990:21394047:-1 gene:PRUPE_3G207200 transcript:ONI18285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YEILMRENLRKEYNASIGEMRGHYSSSSLGRTSSWNGPLRPQDLFVDENACIECLHHASSIFIFDEALGCARVKLQYGDDGQKIEVSVDSCTVNCIHRVEKEELSVLEFLIQPQPKEGFGIFGGGWERPANVFMAAKSFNKQTHNENHGRNSSASTVEEEETPAQAKAGADASRKINMETASGLFSRFWQWQNKPFWGKKVE >ONI18999 pep chromosome:Prunus_persica_NCBIv2:G3:24201183:24205968:1 gene:PRUPE_3G252400 transcript:ONI18999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKILHSLTDESPDFHKQIGCMSGIFQLFDRHHFLAGRRVNGNSHKRLPPGENGNHAVESKNSLQKATDKNRTKVVKEKHRNSTESSRTTVSSSSCSSSFSSLEYKKAAEQEPSLSSQTISNEEHTRDLSMNQPNASMHLRRQSFDMQDLVKDSTYREARGISVKPAGKDGVGHTLKYIDSPRPSSQSKFVRPRVSGVNDSFQAPAKLRQAPWSSNEEKDGCMRLVPKDARRFSYDGRETRDTSKSTIKLKELPRLSLDSKERSIRRGCNPEIKSNYFCKDLQREDGNCNKVLDLQLEPGSSNRPSNVVAKLMGLDLSDSVSTTVSPLRLINTCISDKSDPFSRSSRATNENKPDLLSGVFLGKTQKDFTSPKRSTDSVMKPASNSKFPIETAPWRQPHGSKGSQRSVSKYQEEPIKTPKSASSVYGEMEKRLANLEFKKSGKDLRALKQILEAMQKTKEMLDDRKDQASNVASQISNKSIFSDSRESASQRNLQSNMSVPAKAKGSQSPKSHKSPIIMKPAKLIEKTHSSASTVNSMDDTLGLRRLRTSDPGDNGKGLVDKKPAKDLTPKTNHIKDPFNRRLRSTDNNSNTRTVKPLQKPKVSQNMREAIPSSSSRSSGITSPRLQQRRLGLEKQSPPSTPSSNSSMTRREHTRQSFEANTPGKKLEQKSPSLRQSNAQLRETSTNTRDMSHRDDATSQQSESNISWASHTDTEVTIIHQSDRTKHMHFNQHSQKQKSPAVGLSDDRSMGEPGKASSEQPSPVSVLDSTFYRDDSPSPVKKISNSFKDDEAQNLDVVEYDPMDIALLSHNTMPSLGVKIDHTMLENLKHLIQNHGRMSSTHGESILGPLCDSTNPDHMYISDILLASGILRYLKSAWTTIELDTFDHLINPHLFLALEEIRTNTKPFDDGKICKAILQSKPDDKIQRKLVFDVVNEFLIQKLVVEDSFKQWFSPHKLAEGKPRGQQLFRELCSEVDQLQRNNLNGSLDDEDDSLRNILLEDFMDQAKNWTECDSEIPGVVLDVERLIFKDLITEIVSDDAVGLHRWSGGHCRQLFSERGLW >ONI19003 pep chromosome:Prunus_persica_NCBIv2:G3:24202126:24205968:1 gene:PRUPE_3G252400 transcript:ONI19003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPNASMHLRRQSFDMQDLVKDSTYREARGISVKPAGKDGVGHTLKYIDSPRPSSQSKFVRPRVSGVNDSFQAPAKLRQAPWSSNEEKDGCMRLVPKDARRFSYDGRETRDTSKSTIKLKELPRLSLDSKERSIRRGCNPEIKSNYFCKDLQREDGNCNKVLDLQLEPGSSNRPSNVVAKLMGLDLSDSVSTTVSPLRLINTCISDKSDPFSRSSRATNENKPDLLSGVFLGKTQKDFTSPKRSTDSVMKPASNSKFPIETAPWRQPHGSKGSQRSVSKYQEEPIKTPKSASSVYGEMEKRLANLEFKKSGKDLRALKQILEAMQKTKEMLDDRKDQASNVASQISNKSIFSDSRESASQRNLQSNMSVPAKAKGSQSPKSHKSPIIMKPAKLIEKTHSSASTVNSMDDTLGLRRLRTSDPGDNGKGLVDKKPAKDLTPKTNHIKDPFNRRLRSTDNNSNTRTVKPLQKPKVSQNMREAIPSSSSRSSGITSPRLQQRRLGLEKQSPPSTPSSNSSMTRREHTRQSFEANTPGKKLEQKSPSLRQSNAQLRETSTNTRDMSHRDDATSQQSESNISWASHTDTEVTIIHQSDRTKHMHFNQHSQKQKSPAVGLSDDRSMGEPGKASSEQPSPVSVLDSTFYRDDSPSPVKKISNSFKDDEAQNLDVVEYDPMDIALLSHNTMPSLGVKIDHTMLENLKHLIQNHGRMSSTHGESILGPLCDSTNPDHMYISDILLASGILRYLKSAWTTIELDTFDHLINPHLFLALEEIRTNTKPFDDGKICKAILQSKPDDKIQRKLVFDVVNEFLIQKLVVEDSFKQWFSPHKLAEGKPRGQQLFRELCSEVDQLQRNNLNGSLDDEDDSLRNILLEDFMDQAKNWTECDSEIPGVVLDVERLIFKDLITEIVSDDAVGLHRWSGGHCRQLFSERGLW >ONI19001 pep chromosome:Prunus_persica_NCBIv2:G3:24200302:24205968:1 gene:PRUPE_3G252400 transcript:ONI19001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATRDFLQDKNRTKVVKEKHRNSTESSRTTVSSSSCSSSFSSLEYKKAAEQEPSLSSQTISNEEHTRDLSMNQPNASMHLRRQSFDMQDLVKDSTYREARGISVKPAGKDGVGHTLKYIDSPRPSSQSKFVRPRVSGVNDSFQAPAKLRQAPWSSNEEKDGCMRLVPKDARRFSYDGRETRDTSKSTIKLKELPRLSLDSKERSIRRGCNPEIKSNYFCKDLQREDGNCNKVLDLQLEPGSSNRPSNVVAKLMGLDLSDSVSTTVSPLRLINTCISDKSDPFSRSSRATNENKPDLLSGVFLGKTQKDFTSPKRSTDSVMKPASNSKFPIETAPWRQPHGSKGSQRSVSKYQEEPIKTPKSASSVYGEMEKRLANLEFKKSGKDLRALKQILEAMQKTKEMLDDRKDQASNVASQISNKSIFSDSRESASQRNLQSNMSVPAKAKGSQSPKSHKSPIIMKPAKLIEKTHSSASTVNSMDDTLGLRRLRTSDPGDNGKGLVDKKPAKDLTPKTNHIKDPFNRRLRSTDNNSNTRTVKPLQKPKVSQNMREAIPSSSSRSSGITSPRLQQRRLGLEKQSPPSTPSSNSSMTRREHTRQSFEANTPGKKLEQKSPSLRQSNAQLRETSTNTRDMSHRDDATSQQSESNISWASHTDTEVTIIHQSDRTKHMHFNQHSQKQKSPAVGLSDDRSMGEPGKASSEQPSPVSVLDSTFYRDDSPSPVKKISNSFKDDEAQNLDVVEYDPMDIALLSHNTMPSLGVKIDHTMLENLKHLIQNHGRMSSTHGESILGPLCDSTNPDHMYISDILLASGILRYLKSAWTTIELDTFDHLINPHLFLALEEIRTNTKPFDDGKICKAILQSKPDDKIQRKLVFDVVNEFLIQKLVVEDSFKQWFSPHKLAEGKPRGQQLFRELCSEVDQLQRNNLNGSLDDEDDSLRNILLEDFMDQAKNWTECDSEIPGVVLDVERLIFKDLITEIVSDDAVGLHRWSGGHCRQLFSERGLW >ONI19000 pep chromosome:Prunus_persica_NCBIv2:G3:24200302:24206004:1 gene:PRUPE_3G252400 transcript:ONI19000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKILHSLTDESPDFHKQIGCMSGIFQLFDRHHFLAGRRVNGNSHKRLPPGENGNHAVESKNSLQKATDKNRTKVVKEKHRNSTESSRTTVSSSSCSSSFSSLEYKKAAEQEPSLSSQTISNEEHTRDLSMNQPNASMHLRRQSFDMQDLVKDSTYREARGISVKPAGKDGVGHTLKYIDSPRPSSQSKFVRPRVSGVNDSFQAPAKLRQAPWSSNEEKDGCMRLVPKDARRFSYDGRETRDTSKSTIKLKELPRLSLDSKERSIRRGCNPEIKSNYFCKDLQREDGNCNKVLDLQLEPGSSNRPSNVVAKLMGLDLSDSVSTTVSPLRLINTCISDKSDPFSRSSRATNENKPDLLSGVFLGKTQKDFTSPKRSTDSVMKPASNSKFPIETAPWRQPHGSKGSQRSVSKYQEEPIKTPKSASSVYGEMEKRLANLEFKKSGKDLRALKQILEAMQKTKEMLDDRKDQASNVASQISNKSIFSDSRESASQRNLQSNMSVPAKAKGSQSPKSHKSPIIMKPAKLIEKTHSSASTVNSMDDTLGLRRLRTSDPGDNGKGLVDKKPAKDLTPKTNHIKDPFNRRLRSTDNNSNTRTVKPLQKPKVSQNMREAIPSSSSRSSGITSPRLQQRRLGLEKQSPPSTPSSNSSMTRREHTRQSFEANTPGKKLEQKSPSLRQSNAQLRETSTNTRDMSHRDDATSQQSESNISWASHTDTEVTIIHQSDRTKHMHFNQHSQKQKSPAVGLSDDRSMGEPGKASSEQPSPVSVLDSTFYRDDSPSPVKKISNSFKDDEAQNLDVVEYDPMDIALLSHNTMPSLGVKIDHTMLENLKHLIQNHGRMSSTHGESILGPLCDSTNPDHMYISDILLASGILRYLKSAWTTIELDTFDHLINPHLFLALEEIRTNTKPFDDGKICKAILQSKPDDKIQRKLVFDVVNEFLIQKLVVEDSFKQWFSPHKLAEGKPRGQQLFRELCSEVDQLQRNNLNGSLDDEDDSLRNILLEDFMDQAKNWTECDSEIPGVVLDVERLIFKDLITEIVSDDAVGLHRWSGGHCRQLFSERGLW >ONI19002 pep chromosome:Prunus_persica_NCBIv2:G3:24201183:24205968:1 gene:PRUPE_3G252400 transcript:ONI19002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATRDFLQDKNRTKVVKEKHRNSTESSRTTVSSSSCSSSFSSLEYKKAAEQEPSLSSQTISNEEHTRDLSMNQPNASMHLRRQSFDMQDLVKDSTYREARGISVKPAGKDGVGHTLKYIDSPRPSSQSKFVRPRVSGVNDSFQAPAKLRQAPWSSNEEKDGCMRLVPKDARRFSYDGRETRDTSKSTIKLKELPRLSLDSKERSIRRGCNPEIKSNYFCKDLQREDGNCNKVLDLQLEPGSSNRPSNVVAKLMGLDLSDSVSTTVSPLRLINTCISDKSDPFSRSSRATNENKPDLLSGVFLGKTQKDFTSPKRSTDSVMKPASNSKFPIETAPWRQPHGSKGSQRSVSKYQEEPIKTPKSASSVYGEMEKRLANLEFKKSGKDLRALKQILEAMQKTKEMLDDRKDQASNVASQISNKSIFSDSRESASQRNLQSNMSVPAKAKGSQSPKSHKSPIIMKPAKLIEKTHSSASTVNSMDDTLGLRRLRTSDPGDNGKGLVDKKPAKDLTPKTNHIKDPFNRRLRSTDNNSNTRTVKPLQKPKVSQNMREAIPSSSSRSSGITSPRLQQRRLGLEKQSPPSTPSSNSSMTRREHTRQSFEANTPGKKLEQKSPSLRQSNAQLRETSTNTRDMSHRDDATSQQSESNISWASHTDTEVTIIHQSDRTKHMHFNQHSQKQKSPAVGLSDDRSMGEPGKASSEQPSPVSVLDSTFYRDDSPSPVKKISNSFKDDEAQNLDVVEYDPMDIALLSHNTMPSLGVKIDHTMLENLKHLIQNHGRMSSTHGESILGPLCDSTNPDHMYISDILLASGILRYLKSAWTTIELDTFDHLINPHLFLALEEIRTNTKPFDDGKICKAILQSKPDDKIQRKLVFDVVNEFLIQKLVVEDSFKQWFSPHKLAEGKPRGQQLFRELCSEVDQLQRNNLNGSLDDEDDSLRNILLEDFMDQAKNWTECDSEIPGVVLDVERLIFKDLITEIVSDDAVGLHRWSGGHCRQLFSERGLW >ONI18998 pep chromosome:Prunus_persica_NCBIv2:G3:24200302:24205968:1 gene:PRUPE_3G252400 transcript:ONI18998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKILHSLTDESPDFHKQIGCMSGIFQLFDRHHFLAGRRVNGNSHKRLPPGENGNHAVESKNSLQKATDKNRTKVVKEKHRNSTESSRTTVSSSSCSSSFSSLEYKKAAEQEPSLSSQTISNEEHTRDLSMNQPNASMHLRRQSFDMQDLVKDSTYREARGISVKPAGKDGVGHTLKYIDSPRPSSQSKFVRPRVSGVNDSFQAPAKLRQAPWSSNEEKDGCMRLVPKDARRFSYDGRETRDTSKSTIKLKELPRLSLDSKERSIRRGCNPEIKSNYFCKDLQREDGNCNKVLDLQLEPGSSNRPSNVVAKLMGLDLSDSVSTTVSPLRLINTCISDKSDPFSRSSRATNENKPDLLSGVFLGKTQKDFTSPKRSTDSVMKPASNSKFPIETAPWRQPHGSKGSQRSVSKYQEEPIKTPKSASSVYGEMEKRLANLEFKKSGKDLRALKQILEAMQKTKEMLDDRKDQASNVASQISNKSIFSDSRESASQRNLQSNMSVPAKAKGSQSPKSHKSPIIMKPAKLIEKTHSSASTVNSMDDTLGLRRLRTSDPGDNGKGLVDKKPAKDLTPKTNHIKDPFNRRLRSTDNNSNTRTVKPLQKPKVSQNMREAIPSSSSRSSGITSPRLQQRRLGLEKQSPPSTPSSNSSMTRREHTRQSFEANTPGKKLEQKSPSLRQSNAQLRETSTNTRDMSHRDDATSQQSESNISWASHTDTEVTIIHQSDRTKHMHFNQHSQKQKSPAVGLSDDRSMGEPGKASSEQPSPVSVLDSTFYRDDSPSPVKKISNSFKDDEAQNLDVVEYDPMDIALLSHNTMPSLGVKIDHTMLENLKHLIQNHGRMSSTHGESILGPLCDSTNPDHMYISDILLASGILRYLKSAWTTIELDTFDHLINPHLFLALEEIRTNTKPFDDGKICKAILQSKPDDKIQRKLVFDVVNEFLIQKLVVEDSFKQWFSPHKLAEGKPRGQQLFRELCSEVDQLQRNNLNGSLDDEDDSLRNILLEDFMDQAKNWTECDSEIPGVVLDVERLIFKDLITEIVSDDAVGLHRWSGGHCRQLFSERGLW >ONI18229 pep chromosome:Prunus_persica_NCBIv2:G3:21088278:21090156:1 gene:PRUPE_3G203300 transcript:ONI18229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLKNVLPMFVFLLLASTAKAQSAALDVTSAKYGGKPGSDITQALEKAWTDACASTTPSKIVVPKGIFKFVGTTFKGPCKAAIEFQLQGTLQAPVDGSQLPKDDTWIGFDHVDGLTLSGGGTFDGQGAQSWKNNDCNKNRQCKSKHINLRFHVLTNSKILDVTSKDSKNFHVNLQKCEKVEINGFTVSAPKESMNTDGIHIGRSTGINITATTIGTGDDCISIGDGTKDLTVTNVTCGPGHGIAIGSLGRYPEEEPVSGINIKKCTLTDTTNGVRIKTWPASPIDSTASDIHFEDITMVNVGNPILIDQEYCPWNECKKGVPSKVKISNVSFKNIKGTCTDPVAVKLACSPGLPCENVELSDIDLKYTGDKGPITSVCSNVKPTITRVAQPLACATGAAAA >ONI15410 pep chromosome:Prunus_persica_NCBIv2:G3:3043299:3046207:-1 gene:PRUPE_3G042700 transcript:ONI15410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLPSSSTLSLLASLNLPYQKPSYSSCFILRTRKRPLVQCKAKKKVSFTDQILDYIEGGPKLRKWYGAPELLPKDGTNVEEDDEFPEGEETRDAVLVTDGDSEMGQMVILSLIVKRARIKALVKDKRAALEAFGTYVESMAGDSRDKPFLRRALRGVCTVICPNEGFLSTVGSLKGVKHVILLSQVPMAFKLS >ONI15409 pep chromosome:Prunus_persica_NCBIv2:G3:3043631:3046113:-1 gene:PRUPE_3G042700 transcript:ONI15409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLPSSSTLSLLASLNLPYQKPSYSSCFILRTRKRPLVQCKAKKKVSFTDQILDYIEGGPKLRKWYGAPELLPKDGTNVEEDDEFPEGEETRDAVLVTDGDSEMGQMVILSLIVKRARIKALVKDKRAALEAFGTYVESMAGDSRDKPFLRRALRGVCTVICPNEGFLSTVGSLKGVKHVILLSQLSVYKGANGIQALLKSNARKLAEQDESILVASGIPYTVIRAGLLQNSSGGTQGFSFEQGSSAKGTLGKEDAAFICVEAVDAVPQKGFIFEVINGGEKVSDWKECLNRLMEKSEQQLQ >ONI15408 pep chromosome:Prunus_persica_NCBIv2:G3:3043299:3046207:-1 gene:PRUPE_3G042700 transcript:ONI15408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLPSSSTLSLLASLNLPYQKPSYSSCFILRTRKRPLVQCKAKKKVSFTDQILDYIEGGPKLRKWYGAPELLPKDGTNVEEDDEFPEGEETRDAVLVTDGDSEMGQMVILSLIVKRARIKALVKDKRAALEAFGTYVEEGFLSTVGSLKGVKHVILLSQLSVYKGANGIQALLKSNARKLAEQDESILVASGIPYTVIRAGLLQNSSGGTQGFSFEQGSSAKGTLGKEDAAFICVEAVDAVPQKGFIFEVINGGEKVSDWKECLNRLMEKSEQQLQ >ONI17393 pep chromosome:Prunus_persica_NCBIv2:G3:17498606:17500559:-1 gene:PRUPE_3G156500 transcript:ONI17393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIQHRHVQVRGVKLHVAEIGSGPKVVLFLHGFPEIWYTWRHQMVAVANKGHRAIAIDFRGYGLSEQPAEPEKATFKDLIDDVVALLDSLSIDKAVLVGKDFGAFPAYVIPALHPQRVSGMITVGVPFMQPDASPVQFHLLPKGFYMSRLKEPGRAEADFGRFDVKTVIRNIYILFSGSELQVAADDQEIMDLVDTATPLPPWFSEEDLAAYASLYEKSGLHFPLQIPYRSRRSSEDYHLTDPKISAPSLLIMGEKDYFLKFPGVEDYLSTGAVKHFVPDLDINFIAEGTHFVQEQFPEQVNQLITSFLDKHGI >ONI17392 pep chromosome:Prunus_persica_NCBIv2:G3:17498329:17500701:-1 gene:PRUPE_3G156500 transcript:ONI17392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIQHRHVQVRGVKLHVAEIGSGPKVVLFLHGFPEIWYTWRHQMVAVANKGHRAIAIDFRGYGLSEQPAEPEKATFKDLIDDVVALLDSLSIDKAVLVGKDFGAFPAYVIPALHPQRVSGMITVGVPFMQPDASPVQFHLLPKGFYMSRLKEPGRAEADFGRFDVKTVIRNIYILFSGSELQVAADDQEIMDLVDTATPLPPWFSEEDLAAYASLYEKSGLHFPLQIPYRSRRSSEDYHLTDPKISAPSLLIMEGTHFVQEQFPEQVNQLITSFLDKHGI >ONI19849 pep chromosome:Prunus_persica_NCBIv2:G3:26583824:26588917:-1 gene:PRUPE_3G301300 transcript:ONI19849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNKNPGRGGYYGGAFEQAGECEGSGSSGRIDAEITASEDSSAPTRKCISLNSSKRDSFGVPIEVLPLSNMLSSERKNLLHRLRMELEQIRILQKKVEMHRTNGVTVSSSSDILSCNNGRNGPHIENLRKSSALTGQGKKLNPVASKAQAWNPGTSGRVESVNQASAPSTASVILMKQCETLLKRLMSHQFSWVFNTPVDVVKLKIPDYFTVIKHPMDLGTVKTKIASGSYSSPLEFAADVRTTFTNAMTYNPPTNDVYVMADTLSKFFEVRWKTIEKKLPKADCQPPSAKSGPHEAVETPKPLPPAKKRMITSLHHEVKSEPAKQVMTKEEKHNLSRDLESLHGEIPLLIIDFLREHCSNGKDSEEDEIEIDVDDLSDNTLFTLRKLLNEHLQEKQKNHVRAEPCSIELLNESGLSNSSMQPCKADEDVDIGGNEPPVSSYPPVEIEKDTGYKISKGISSSSSSDSDSSSSESECDDAKASSPVPETVGSGAQLDEKTIDNRLEGNQSNGSFLQQRPLTSYSSGQRESDSGLDQVEQSSQQKPSPVESDCCQDGDSAPTERPVSPEKQYRAAILKERFADTILRAREKTLNQGDKGDPEKLRREREELELQQKKEKARLQAEAKAAEDARRRAEAEAAAEAKRKRELEREAARQALLQIEKTVEINENSQFLQDLEMLRTAPVEQLPSSVDETSPDHSQDGLGGFRFGGSNPLEQLGLYIKDDEEEEEIEPAASVPIPVNDIEEGEID >ONI19852 pep chromosome:Prunus_persica_NCBIv2:G3:26584965:26588212:-1 gene:PRUPE_3G301300 transcript:ONI19852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNKNPGRGGYYGGAFEQAGECEGSGSSGRIDAEITASEDSSAPTRKCISLNSSKRDSFGVPIEVLPLSNMLSSERKNLLHRLRMELEQIRILQKKVEMHRTNGVTVSSSSDILSCNNGRNGPHIENLRKSSALTGQGKKLNPVASKAQAWNPGTSGRVESVNQASAPSTASVILMKQCETLLKRLMSHQFSWVFNTPVDVVKLKIPDYFTVIKHPMDLGTVKTKIASGSYSSPLEFAADVRTTFTNAMTYNPPTNDVYVMADTLSKFFEVRWKTIEKKLPKADCQPPSAKSGPHEAVETPKPLPPAKKRMITSLHHEVKSEPAKQVMTKEEKHNLSRDLESLHGEIPLLIIDFLREHCSNGKDSEEDEIEIDVDDLSDNTLFTLRKLLNEHLQEKQKNHVRAEPCSIELLNESGLSNSSMQPCKADEDVDIGGNEPPVSSYPPVEIEKDTGYKISKGISSSSSSDSDSSSSESECDDAKASSPVPETVGSGAQLDEKTIDNRLEGNQSDSGLDQVEQSSQQKPSPVESDCCQDGKTKS >ONI19850 pep chromosome:Prunus_persica_NCBIv2:G3:26583824:26588917:-1 gene:PRUPE_3G301300 transcript:ONI19850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNKNPGRGGYYGGAFEQAGECEGSGSSGRIDAEITASEDSSAPTRKCISLNSSKRDSFGVPIEVLPLSNMLSSERKNLLHRLRMELEQIRILQKKVEMHRTNGVTVSSSSDILSCNNGRNGPHIENLRKSSALTGQGKKLNPVASKAQAWNPGTSGRVESVNQASAPSTASVILMKQCETLLKRLMSHQFSWVFNTPVDVVKLKIPDYFTVIKHPMDLGTVKTKIASGSYSSPLEFAADVRTTFTNAMTYNPPTNDVYVMADTLSKFFEVRWKTIEKKLPKADCQPPSAKSGPHEAVETPKPLPPAKKRMITSLHHEVKSEPAKQVMTKEEKHNLSRDLESLHGEIPLLIIDFLREHCSNGKDSEEDEIEIDVDDLSDNTLFTLRKLLNEHLQEKQKNHVRAEPCSIELLNESGLSNSSMQPCKGNDPADEDVDIGGNEPPVSSYPPVEIEKDTGYKISKGISSSSSSDSDSSSSESECDDAKASSPVPETVGSGAQLDEKTIDNRLEGNQSDSGLDQVEQSSQQKPSPVESDCCQDGDSAPTERPVSPEKQYRAAILKERFADTILRAREKTLNQGDKGDPEKLRREREELELQQKKEKARLQAEAKAAEDARRRAEAEAAAEAKRKRELEREAARQALLQIEKTVEINENSQFLQDLEMLRTAPVEQLPSSVDETSPDHSQDGLGGFRFGGSNPLEQLGLYIKDDEEEEEIEPAASVPIPVNDIEEGEID >ONI19848 pep chromosome:Prunus_persica_NCBIv2:G3:26583824:26588917:-1 gene:PRUPE_3G301300 transcript:ONI19848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNKNPGRGGYYGGAFEQAGECEGSGSSGRIDAEITASEDSSAPTRKCISLNSSKRDSFGVPIEVLPLSNMLSSERKNLLHRLRMELEQIRILQKKVEMHRTNGVTVSSSSDILSCNNGRNGPHIENLRKSSALTGQGKKLNPVASKAQAWNPGTSGRVESVNQASAPSTASVILMKQCETLLKRLMSHQFSWVFNTPVDVVKLKIPDYFTVIKHPMDLGTVKTKIASGSYSSPLEFAADVRTTFTNAMTYNPPTNDVYVMADTLSKFFEVRWKTIEKKLPKADCQPPSAKSGPHEAVETPKPLPPAKKRMITSLHHEVKSEPAKQVMTKEEKHNLSRDLESLHGEIPLLIIDFLREHCSNGKDSEEDEIEIDVDDLSDNTLFTLRKLLNEHLQEKQKNHVRAEPCSIELLNESGLSNSSMQPCKADEDVDIGGNEPPVSSYPPVEIEKDTGYKISKGISSSSSSDSDSSSSESECDDAKASSPVPETVGSGAQLDEKTIDNRLEGNQSDSGLDQVEQSSQQKPSPVESDCCQDGDSAPTERPVSPEKQYRAAILKERFADTILRAREKTLNQGDKGDPEKLRREREELELQQKKEKARLQAEAKAAEDARRRAEAEAAAEAKRKRELEREAARQALLQIEKTVEINENSQFLQDLEMLRTAPVEQLPSSVDETSPDHSQDGLGGFRFGGSNPLEQLGLYIKDDEEEEEIEPAASVPIPVNDIEEGEID >ONI19854 pep chromosome:Prunus_persica_NCBIv2:G3:26585139:26588917:-1 gene:PRUPE_3G301300 transcript:ONI19854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNKNPGRGGYYGGAFEQAGECEGSGSSGRIDAEITASEDSSAPTRKCISLNSSKRDSFGVPIEVLPLSNMLSSERKNLLHRLRMELEQIRILQKKVEMHRTNGVTVSSSSDILSCNNGRNGPHIENLRKSSALTGQGKKLNPVASKAQAWNPGTSGRVESVNQASAPSTASVILMKQCETLLKRLMSHQFSWVFNTPVDVVKLKIPDYFTVIKHPMDLGTVKTKIASGSYSSPLEFAADVRTTFTNAMTYNPPTNDVYVMADTLSKFFEVRWKTIEKKLPKADCQPPSAKSGPHEAVETPKPLPPAKKRMITSLHHEVKSEPAKQVMTKEEKHNLSRDLESLHGEIPLLIIDFLREHCSNGKDSEEDEIEIDVDDLSDNTLFTLRKLLNEHLQEKQKNHVRAEPCSIELLNESGLSNSSMQPCKGNDPADEDVDIGGNEPPVSSYPPVEIEKDTGYKISKGISSSSSSDSDSSSSESECDDAKASSPVPETVGSGAQLDEKTIDNRLEGNQSNGSFLQQRPLTSYSSGQRGGKQGHNLSVGFLNREFFLKSAFTKKKIGEKDKKDKTLLYLKISKLATYSCKRLLCVELKPLKQ >ONI19851 pep chromosome:Prunus_persica_NCBIv2:G3:26583825:26588916:-1 gene:PRUPE_3G301300 transcript:ONI19851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNKNPGRGGYYGGAFEQAGECEGSGSSGRIDAEITASEDSSAPTRKCISLNSSKRDSFGVPIEVLPLSNMLSSERKNLLHRLRMELEQIRILQKKVEMHRTNGVTVSSSSDILSCNNGRNGPHIENLRKSSALTGQGKKLNPVASKAQAWNPGTSGRVESVNQASAPSTASVILMKQCETLLKRLMSHQFSWVFNTPVDVVKLKIPDYFTVIKHPMDLGTVKTKIASGSYSSPLEFAADVRTTFTNAMTYNPPTNDVYVMADTLSKFFEVRWKTIEKKLPKADCQPPSAKSGPHEAVETPKPLPPAKKRMITSLHHEVKSEPAKQVMTKEEKHNLSRDLESLHGEIPLLIIDFLREHCSNGKDSEEDEIEIDVDDLSDNTLFTLRKLLNEHLQEKQKNHVRAEPCSIELLNESGLSNSSMQPCKGNDPADEDVDIGGNEPPVSSYPPVEIEKDTGYKISKGISSSSSSDSDSSSSESECDDAKASSPVPETVGSGAQLDEKTIDNRLEGNQSNGSFLQQRPLTSYSSGQRESDSGLDQVEQSSQQKPSPVESDCCQDGDSAPTERPVSPEKQYRAAILKERFADTILRAREKTLNQGDKGDPEKLRREREELELQQKKEKARLQAEAKAAEDARRRAEAEAAAEAKRKRELEREAARQALLQIEKTVEINENSQFLQDLEMLRTAPVEQLPSSVDETSPDHSQDGLGGFRFGGSNPLEQLGLYIKDDEEEEEIEPAASVPIPVNDIEEGEID >ONI19853 pep chromosome:Prunus_persica_NCBIv2:G3:26585274:26588917:-1 gene:PRUPE_3G301300 transcript:ONI19853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNKNPGRGGYYGGAFEQAGECEGSGSSGRIDAEITASEDSSAPTRKCISLNSSKRDSFGVPIEVLPLSNMLSSERKNLLHRLRMELEQIRILQKKVEMHRTNGVTVSSSSDILSCNNGRNGPHIENLRKSSALTGQGKKLNPVASKAQAWNPGTSGRVESVNQASAPSTASVILMKQCETLLKRLMSHQFSWVFNTPVDVVKLKIPDYFTVIKHPMDLGTVKTKIASGSYSSPLEFAADVRTTFTNAMTYNPPTNDVYVMADTLSKFFEVRWKTIEKKLPKADCQPPSAKSGPHEAVETPKPLPPAKKRMITSLHHEVKSEPAKQVMTKEEKHNLSRDLESLHGEIPLLIIDFLREHCSNGKDSEEDEIEIDVDDLSDNTLFTLRKLLNEHLQEKQKNHVRAEPCSIELLNESGLSNSSMQPCKADEDVDIGGNEPPVSSYPPVEIEKDTGYKISKGISSSSSSDSDSSSSESECDDAKASSPVPETVGSGAQLDEKTIDNRLEGNQSNGSFLQQRPLTSYSSGQRGGKQGHNLSVGFLNREFFLKSAFTKKKIGEKDKKDKTLLYLKISKLATYSCKRLLCVELKPLKQ >ONI16227 pep chromosome:Prunus_persica_NCBIv2:G3:6388478:6389944:-1 gene:PRUPE_3G086300 transcript:ONI16227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSDGGRNWGMYVGASGSSQANIHWFLECFLLGTCSPHVLELPSNFSDFPSWLYYSAKCWSFATLLL >ONI16140 pep chromosome:Prunus_persica_NCBIv2:G3:5991707:5995033:1 gene:PRUPE_3G080600 transcript:ONI16140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSISLSCDAIVSRCWDSVFGRVRYVRKLQENLQALTTSLQELKSLKDDVQREVELAERQPRLKRLEQVNNWILSVEALETEMNQVIVSHSTQEIEKLCCGGYCSKNYRSSYKYGKKVARKLVEVEALKSKGIFEEVAAESLPTALVDVIPSEPTVGMEPIFDQVWRHVEDEQVGMIGLYGMGGVGKTTLLTQIHNNFNRTRNDFNLVIWIVVSKGHKIEVIQDKIGEKIGLSSGVWKLKQQHEKAEDIFRILNTKKFVLLMDDLWEPVELTKVGVPAPDSRNKFKIVFTTRSEEVCGHMDAQKKIKVGCLTWDKAWNLFQKKVGKQTLLLHPDIPKLAEIVANECGGLPLALITVGRVMACKKTPQEWKRAVQVLRRFASEFSGMGDKVFPLLKFSYDNLPSQKVRSCFLYCALFPEDFVILKDDLVYFWMCEDILDEYGHVEEAKNESYHIIGTLLTSCLLEDEGDSVKMHDVIRDMALWLACDLGKEGENILVDTGAYHAPNVAKWNAKRVSLMGSGIKSLDETPRSPNLLTLFLRGIFLKRIVDDFFDFMPTLRVLDLSENVLITQLPTGVANLVSLQHLNLSKTGIKWLPEELAACARLKYLNLEHTFKLDYVPPNLLSSFPLLEVLRILDCGSSDRIFFYSEETMIDELQGLKHLDVLSLTVGSSSCFENLDSHHILVTCTLTLCLKGEDYGNPSSYLDLSPVAMANMKHLDTLQIKRMVGVYSTWITRLENPNRFLGLQFVEVVDCTNLKNLEWLVFAPNLIHLHVYGCSKMTGILGLNRTETTPFAKLTVLRLSKLPHLWRICENPLPVPFLKKILISGCPVLTRLPLNSSSAQTSNLIIEGEEKWWNGLEWEDQAARNAFLPCFRSCK >ONI16788 pep chromosome:Prunus_persica_NCBIv2:G3:10861657:10862791:1 gene:PRUPE_3G122100 transcript:ONI16788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIKQLWRCVCLVLILMLGTWSSEATSRNLQDASMYERYEQWMVRYGREYNDVNEKQKRFEIFKKNVAYIESSNSDVNKSYKLSVNQFADQTNEEVKASRNGFKRREYSTKTTSFKYENVTVVPAAMDWRSKGAVTPMKDQGQCGSCWAFAAVAAVEGITQLTTGKLISLSEQEVVDCDINGGDLGCGGGWPDGAFEFINQNNGLSSEASYNYTGVDGRCNTQATHAANITGYEDVPASNEEALLKAVANQPVSVCIDAGENDFLYYTSGVFAGSCGLEIDHCVTAIGYGISDDGTKYWLLKNSWGTDWGEEGYMRMQRDVYAKEGLCGVATHASYPIA >ONI19776 pep chromosome:Prunus_persica_NCBIv2:G3:26374686:26377957:1 gene:PRUPE_3G297000 transcript:ONI19776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEIRFHPLCILFCPLICLIMTIGNSAIILGLWPVHFLWTYYCMFRAKRYGPALKIVVCIFVLPVQLILWPVVGIVGSIVGGAAYGFLSPVMETFQAVGEGKTNQLYHCFYDGTWSTVQGCFTVVRDFGDVCYHTYFSVMDDLREQGPPDAKYYEIRVLYLPGAVIISVLGFMVDMPVISLIALCKSPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVASIFLGAFAGVVVYQESSFWLGLCYIIASLSIYDEYSNDILDMPEGSCFPRPNYRKKAKLVRTNSRGPSFSRPGSFRSVPSRSVSFTNPITELNPLELMDSLFKECKHHGEIMVSEGIITLQDIEDAKSSKGSRVISIGLPAYCLLQALLRSAKANSVGILLSDNVTELTSSNRPKETFFDWFFNPLLIIKDQIKAENLSEAEEAYLCKLVLLNGDPLRSKNSNIGSAPESERKQAELDAFARRLQGITKSISRYPTFRRRFENLVNAISDDLAQNDGSSKPTDGPKTIPRSKSAFARLFSQKSFSFTNKTSNHGYDPESQTVVNDVTIT >ONI19775 pep chromosome:Prunus_persica_NCBIv2:G3:26374589:26377957:1 gene:PRUPE_3G297000 transcript:ONI19775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEIRFHPLCTTTSILFCPLICLIMTIGNSAIILGLWPVHFLWTYYCMFRAKRYGPALKIVVCIFVLPVQLILWPVVGIVGSIVGGAAYGFLSPVMETFQAVGEGKTNQLYHCFYDGTWSTVQGCFTVVRDFGDVCYHTYFSVMDDLREQGPPDAKYYEIRVLYLPGAVIISVLGFMVDMPVISLIALCKSPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVASIFLGAFAGVVVYQESSFWLGLCYIIASLSIYDEYSNDILDMPEGSCFPRPNYRKKAKLVRTNSRGPSFSRPGSFRSVPSRSVSFTNPITELNPLELMDSLFKECKHHGEIMVSEGIITLQDIEDAKSSKGSRVISIGLPAYCLLQALLRSAKANSVGILLSDNVTELTSSNRPKETFFDWFFNPLLIIKDQIKAENLSEAEEAYLCKLVLLNGDPLRSKNSNIGSAPESERKQAELDAFARRLQGITKSISRYPTFRRRFENLVNAISDDLAQNDGSSKPTDGPKTIPRSKSAFARLFSQKSFSFTNKTSNHGYDPESQTVVNDVTIT >ONI19774 pep chromosome:Prunus_persica_NCBIv2:G3:26373564:26377957:1 gene:PRUPE_3G297000 transcript:ONI19774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPKGVLASLWNFICFLPYFIGLLLLGNFKGILFCPLICLIMTIGNSAIILGLWPVHFLWTYYCMFRAKRYGPALKIVVCIFVLPVQLILWPVVGIVGSIVGGAAYGFLSPVMETFQAVGEGKTNQLYHCFYDGTWSTVQGCFTVVRDFGDVCYHTYFSVMDDLREQGPPDAKYYEIRVLYLPGAVIISVLGFMVDMPVISLIALCKSPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVASIFLGAFAGVVVYQESSFWLGLCYIIASLSIYDEYSNDILDMPEGSCFPRPNYRKKAKLVRTNSRGPSFSRPGSFRSVPSRSVSFTNPITELNPLELMDSLFKECKHHGEIMVSEGIITLQDIEDAKSSKGSRVISIGLPAYCLLQALLRSAKANSVGILLSDNVTELTSSNRPKETFFDWFFNPLLIIKDQIKAENLSEAEEAYLCKLVLLNGDPLRSKNSNIGSAPESERKQAELDAFARRLQGITKSISRYPTFRRRFENLVNAISDDLAQNDGSSKPTDGPKTIPRSKSAFARLFSQKSFSFTNKTSNHGYDPESQTVVNDVTIT >ONI16074 pep chromosome:Prunus_persica_NCBIv2:G3:5733409:5740361:1 gene:PRUPE_3G077700 transcript:ONI16074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGYFLEKVRRCVRTVFFMVAMVASLLVSSLPVLVAIGDMLVPCVLISSFTCVTCYGFKEHLHRYAFKSSLTDIPLVSFIRSLIITCVYSMCDGPALSHGPYLGTVTFCSFISILLLSVKACLFTVNSQIEAEASSSLSRQKLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLMFHRVDPEAVLSCKNVFSGYQKVPRSPTPSGGKTPKSDSEMRRKPFSTARDEGELPVRVIADIDSLFIMCRGLTLHYKLSFPGSPPRSLSSIAFLEPNLSCSSPKTVMGRPKLDRHPLSLLSKGQNHLHRSYSNQFHGSSLYVPLLDGSTISPVLSEEIPVLRLSNAGEEDEGSKLSSGTLNKDMEGSGQFGIVLVHGFGGGVFSWRHVMGTLARQVGCTVAAFDRPGWGLTSRLRREDWEDKEMPNPYTLESQVDLLLSFCSEMGFSSVVLVGHDDGGLLALMAAQKVQASVNSFNVTIKGVVLLNVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTMDVLSLYKAPLCVEGWDEALHEIGRLSYETFLSPKNAESLLKAVEGMPVLVIAGAEDALVSLKSSQAMASKLVNSRLVAISGCGHLPHEECPKALLAAISPFLSRLLSKQDMHSQ >ONI16075 pep chromosome:Prunus_persica_NCBIv2:G3:5733409:5740361:1 gene:PRUPE_3G077700 transcript:ONI16075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSHTVHTLELQKLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLMFHRVDPEAVLSCKNVFSGYQKVPRSPTPSGGKTPKSDSEMRRKPFSTARDEGELPVRVIADIDSLFIMCRGLTLHYKLSFPGSPPRSLSSIAFLEPNLSCSSPKTVMGRPKLDRHPLSLLSKGQNHLHRSYSNQFHGSSLYVPLLDGSTISPVLSEEIPVLRLSNAGEEDEGSKLSSGTLNKDMEGSGQFGIVLVHGFGGGVFSWRHVMGTLARQVGCTVAAFDRPGWGLTSRLRREDWEDKEMPNPYTLESQVDLLLSFCSEMGFSSVVLVGHDDGGLLALMAAQKVQASVNSFNVTIKGVVLLNVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTMDVLSLYKAPLCVEGWDEALHEIGRLSYETFLSPKNAESLLKAVEGMPVLVIAGAEDALVSLKSSQAMASKLVNSRLVAISGCGHLPHEECPKALLAAISPFLSRLLSKQDMHSQ >ONI18632 pep chromosome:Prunus_persica_NCBIv2:G3:22730043:22731887:-1 gene:PRUPE_3G228400 transcript:ONI18632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPTSTIFTFFLLLLISSFNITLAGLLAQPITGHPQPLKPGEYSSPNTVPALPSQTPTQTCHLDLSDELFGGVSQACGRDLDRSRCCPVLAAWLFAAHARSALELPSSAPAPSAGLDQPMMPDDSQKCVNSLQSSLVGRNIRIPQPNASCDAVLCFCGIRLHQIGSLSCPAAFNLSLGFHNATPTAAVKNLEKNCRNSSYSGCTKCLGALQKLKGGSKNGTAGDKSTSDRASKMFNRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPPHESTCSPDQENMPLAVDSLQFDKGQAPASSPSILLFPILPLIILVSLFV >ONI18879 pep chromosome:Prunus_persica_NCBIv2:G3:23718863:23719744:1 gene:PRUPE_3G245500 transcript:ONI18879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQSAAPSTSPAWLMDTGANSDLTHDLGNLSLANDYRGHDQVGGVLNGTGLGDGDDSFSRQK >ONI17204 pep chromosome:Prunus_persica_NCBIv2:G3:15840198:15844639:1 gene:PRUPE_3G144900 transcript:ONI17204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTYLNPFLEETAFYNRIVLGSLVPNGLWAPLPHFFQTWLRNYLGGTLLYVVSGVLWCFYIYYLKRSVYIPKDAIPSNKAMLLQIYVAMKAMPWYSALPTISEYMVENGWARCFSRISDVSWLAYLMYLAIYLIFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPIDGILQAVPHVIALFVVPMHFTTHIALLFIEAIWTANIHDCIHAKIWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPIDDELKVL >ONI20042 pep chromosome:Prunus_persica_NCBIv2:G3:27033271:27037125:-1 gene:PRUPE_3G311800 transcript:ONI20042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFPDEVIEHIFDSVTSHKDRNAVSLVCKSWYRIERFSRERVFIGNCYAISPERVIERFPGLKSLTLKGKPHFADFNLVPHDWGGFLQPWVEALVDSRVGLEELRLKRMVVSDESLELLSRSFLNFKSLVLVSCEGFTTDGLAAIAANCRFLKELDLQENDIDDHRGQWLSCFPENCTSLVSLNFACLKGEINLAALERLVARSPDLKVLRLNRAVPPDTLQKVLMRAPQLVDLGTGSYVLDPDSETYNKLKATILKCKSIKSLSGFLEVAPRCLPAFYPICSNLTSLNLSYAPGVHGSELIKIIRHCGKLQRLWILDCIGDKGLGVIASTCKELQELRVFPSDPFGVGHAAVTEEGLVAISAGCPKLHSLLYFCQQMTNAALITVAKNCPNFIRFRLCILDPTRPDAVTMQPLDEGFGAIVQACKNIRRLSLSGLLTDKVFLYIGMYAEQLEMLSIAFAGDSDKGMLYVLNGCKKLRKLEIRDCPFGNMALLKDVGKYETMRSLWMSSCEVTLGGCKALAEKMPRLNVEIINENDQMELGLDDEQQVEKMYLYRTLVGPRNDTPEFVWTL >ONI14952 pep chromosome:Prunus_persica_NCBIv2:G3:1259701:1267595:-1 gene:PRUPE_3G017700 transcript:ONI14952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATVEEEKSLMLKIAQILNDARTSNATHNRKLKELSALRSKTSSSSLFFSAFCKTLTPFFAFQRRTASAERTVRFISAFATARDSGPASQCDAFLEDFLRFLLPVSAAANRTHRFRACQIVSSIILQLPDDAEVSSELWDEVIDCMKLRAGDKVPVIRISAVRALSRFASDCENSDILDLFLDMLPLEQTVEVRKTIVLSLPPSNVTAQAIIDSTLDVSESVRKAAYCVLASKFPLQSLSIKHRTLILQRGLADRSVAVSNECLKLLKDEWLIKCCRGDPLELLKFLDVETYEFVGESVADALLKAGLIKVRDGENIRQYISSSDEMAEDSAHCTPSIQLMEAEVALYWRMICRHLQMEAQAKGSDAASTMGTEAAVYAAEASDSNDLLEQILPATISDYIDLVKAHIDAGPNYRFACRQLLLLGALLDFSDATNRKFASTFVLELLHKPFDHEVDQYGDMVVIGDGINLGGDKDWAEAVSGLARKVHAASGEFEEVVIGVVEEIARPCRERTADFMQWMHCLAVFGLYLEKARSYHCIQGRATEPAELLQSLLLPAAKHSHLEVQRIAVRCLGLFGLLEKKPSQELVKQLKVSFVKGPAPISIIACKALFDLGMWHNLQEVDRVVGQDVLSQHQDYDITSSPLNFSDTDGISNIKLLDLLYAGLIKDDWDNSLASDENESVQGALGEGFAKVLLVSENYQGMPASLHPLLLSKLITLYFSNESKDLHRLKQCLSVFFEHYPSLSANHKKCISKSFITVMRSMWPGINGNAGGSAYMVSNMRKRAVQVSRFMLQIMQAPLYKNEMEDGNDTGEVPEVIEEPPLECGEEGLAIRLATEVATFHTKKTPAEKSYVSALCRILVLLHFRLSEQGAIQLIRRLLIRVAESVSAEKDLVKELRRMADHLKALDRHPDQEMLQDQANLIFGRLELDFNMDFNVSVEMPQTPAPCSTKPTRRRKQVRLEEESSDEDSSPTSVVPNNLGTVSARSQRASKTAALSKMTAKTAFRIDEDDEDEEGSEVTSDEDSDGSD >ONI14953 pep chromosome:Prunus_persica_NCBIv2:G3:1260068:1267522:-1 gene:PRUPE_3G017700 transcript:ONI14953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATVEEEKSLMLKIAQILNDARTSNATHNRKLKELSALRSKTSSSSLFFSAFCKTLTPFFAFQRRTASAERTVRFISAFATARDSGPASQCDAFLEDFLRFLLPVSAAANRTHRFRACQIVSSIILQLPDDAEVSSELWDEVIDCMKLRAGDKVPVIRISAVRALSRFASDCENSDILDLFLDMLPLEQTVEVRKTIVLSLPPSNVTAQAIIDSTLDVSESVRKAAYCVLASKFPLQSLSIKHRTLILQRGLADRSVAVSNECLKLLKDEWLIKCCRGDPLELLKFLDVETYEFVGESVADALLKAGLIKVRDGENIRQYISSSDEMAEEDSAHCTPSIQLMEAEVALYWRMICRHLQMEAQAKGSDAASTMGTEAAVYAAEASDSNDLLEQILPATISDYIDLVKAHIDAGPNYRFACRQLLLLGALLDFSDATNRKFASTFVLELLHKPFDHEVDQYGDMVVIGDGINLGGDKDWAEAVSGLARKVHAASGEFEEVVIGVVEEIARPCRERTADFMQWMHCLAVFGLYLEKARSYHCIQGRATEPAELLQSLLLPAAKHSHLEVQRIAVRCLGLFGLLEKKPSQELVKQLKVSFVKGPAPISIIACKALFDLGMWHNLQEVDRVVGQDVLSQHQDYDITSSPLNFSDTDGISNIKLLDLLYAGLIKDDWDNSLASDENESVQGALGEGFAKVLLVSENYQGMPASLHPLLLSKLITLYFSNESKDLHRLKQCLSVFFEHYPSLSANHKKCISKSFITVMRSMWPGINGNAGGSAYMVSNMRKRAVQVSRFMLQIMQAPLYKNEMEDGNDTGEVPEVIEEPPLECGEEGLAIRLATEVATFHTKKTPAEKSYVSALCRILVLLHFRLSEQGAIQLIRRLLIRVAESVSAEKDLVKELRRMADHLKALDRHPDQEMLQDQANLIFGRLELDFNMDFNVSVEMPQTPAPCSTKPTRRRKQVRLEEESSDEDSSPTSVVPNNLGTVSARSQRASKTAALSKMTAKTAFRIDEDDEDEEGSEVTSDEDSDGSD >ONI15659 pep chromosome:Prunus_persica_NCBIv2:G3:3806200:3808683:1 gene:PRUPE_3G054200 transcript:ONI15659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGIRYTKVEGCIFLVAIASFMLSNGFVDCRKVETVKTDQASVKHNKSVLKTIKGEGDDLIDCVDIYKQPARNHPLLKNHTIQLKPSEIETVSGVEDGVFQSWRQNGECPDGTIPIARTKAFEHRTSKPMPHYDSNKFVFVPPPNHEFAQVSLHGGQYYGAKAEFNVWNPAAYNKDNSLARVWVGRGSGKALSSVEAGWIRGDGGQSGCYNLECPGFVQVSKKFTLGGPMSPVSSYNGAQYDIFLSIYKNTDSGHWWLNVGNEAIGYWPDTILPSLRGSAELVSWGGEVYDSQAEGHHTSTQMGSGHFPDEGFGKASYVRNVQYMDDSSPLTFKDPAGLLTYATKPSCYNLIVKDKTPNMGIHFYYGGPGFSAACR >ONI17619 pep chromosome:Prunus_persica_NCBIv2:G3:18855824:18858842:-1 gene:PRUPE_3G169900 transcript:ONI17619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTLQPPRSLSHFRSNPTLLLRYTSLKPLSSLSSQPVTHSTITDQSQSPNPPSHSQILSTRHSLLSRHLTATQLAESFLTRLRLTEPHLRSFLHVSDSVLAQARELDEKIQRNEELGPLAGVLVAIKDNICTAEMPSTAGSRVLEGYTPPYDATAVRKIKELGGIVVGKTNLDEFGMGSTTEASAYQVTANPWDISRVPGGSSGGSAAAVSARQCMVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVIGCFGTSVADAGILLHAISGHDRLDATSSKREVPDFSAQFVSMNLLESKPLKGLRIGLIRETLDDGVDTGVTSAVRTAALHLEELGCCVTEVSLPSFSLGLPAYYILASSESSSNLSRYDGVRYGNRVAADELNSQYMDSRAKGFGSEVKRRILTGTYALSAGYYDAYYKRAQQCFR >ONI17617 pep chromosome:Prunus_persica_NCBIv2:G3:18854069:18859076:-1 gene:PRUPE_3G169900 transcript:ONI17617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTLQPPRSLSHFRSNPTLLLRYTSLKPLSSLSSQPVTHSTITDQSQSPNPPSHSQILSTRHSLLSRHLTATQLAESFLTRLRLTEPHLRSFLHVSDSVLAQARELDEKIQRNEELGPLAGVLVAIKDNICTAEMPSTAGSRVLEGYTPPYDATAVRKIKELGGIVVGKTNLDEFGMGSTTEASAYQVTANPWDISRVPGGSSGGSAAAVSARQCMVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVIGCFGTSVADAGILLHAISGHDRLDATSSKREVPDFSAQFVSMNLLESKPLKGLRIGLIRETLDDGVDTGVTSAVRTAALHLEELGCCVTEVSLPSFSLGLPAYYILASSESSSNLSRYDGVRYGNRVAADELNSQYMDSRAKGFGSEVKRRILTGTYALSAGYYDAYYKRAQQVRTVIQKSFKTALDEHDILISPAAPSAAYKIVEKKNDPLAMYAGDIMTVNVNLAGLPAMVLPCGFVEGGPAGLPVGLQMIGAAFDEGKLLKVAHIFEQTLQNCRFVPPLIADEVA >ONI17618 pep chromosome:Prunus_persica_NCBIv2:G3:18854915:18859076:-1 gene:PRUPE_3G169900 transcript:ONI17618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTLQPPRSLSHFRSNPTLLLRYTSLKPLSSLSSQPVTHSTITDQSQSPNPPSHSQILSTRHSLLSRHLTATQLAESFLTRLRLTEPHLRSFLHVSDSVLAQARELDEKIQRNEELGPLAGVLVAIKDNICTAEMPSTAGSRVLEGYTPPYDATAVRKIKELGGIVVGKTNLDEFGMGSTTEASAYQVTANPWDISRVPGGSSGGSAAAVSARQCMVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVIGCFGTSVADAGILLHAISGHDRLDATSSKREVPDFSAQFVSMNLLESKPLKGLRIGLIRETLDDGVDTGVTSAVRTAALHLEELGCCVTEVSLPSFSLGLPAYYILASSESSSNLSRYDGVRYGNRVAADELNSQYMDSRAKGFGSEVKRRILTGTYALSAGYYDAYYKRAQQVRTVIQKSFKTALDEHDILISPAAPSAAYKIVEKKNDPLAMYAGDIMT >ONI18969 pep chromosome:Prunus_persica_NCBIv2:G3:24003021:24005588:-1 gene:PRUPE_3G250100 transcript:ONI18969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRQNLLLMIIASAAVFSVATACSNGQCKLLEQCSTDGDCEAGLFCFSCPEAFSGSRCIRSTTTDQFKLLNNSLPFNKYAFLTTHNAFAIEGEPSHTGIPRVTFTNQEDTITQQLNNGVRALMLDTYDFKGDVWLCHSFNGKCHDITAFEPAIDTLKEIEAFLSANPAEIVTLILEDYVEAPNGLTNVFKASGLMNYWFPVTSMPKNGQDWPLVSDMVAKNQRLLVFTSKSAKEQSEGIAYQWNYMVENQYGDGGMKPGNCPNRGESAPLNDKTKSLVLVNYFSSIPIKQVSCAINSGDLIKMLYTCYGAAGNRWANFVAVDFYKRSEGGGSFQALDNLNGELLCGCNDVHACVPGSPPGSCQP >ONI18970 pep chromosome:Prunus_persica_NCBIv2:G3:24003531:24005302:-1 gene:PRUPE_3G250100 transcript:ONI18970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRQNLLLMIIASAAVFSVATACSNGQCKLLEQCSTDGDCEAGLFCFSCPEAFSGSRCIRSTTTDQFKLLNNSLPFNKYAFLTTHNAFAIEGEPSHTGIPRVTFTNQEDTITQQLNNGVRALMLDTYDFKGDVWLCHSFNGKCHDITAFEPAIDTLKEIEAFLSANPAEIVTLILEDYVEAPNGLTNVFKASGLMNYWFPVTSMPKNGQDWPLVSDMVAKNQRLLVFTSKSAKEQSEGIAYQWNYMVENQYGDGGMKPGNCPNRGESAPLNDKTKSLVLVNYFSSIPIKQVSCAINSGDLIKMLYTCYGAAGNRWANFVAVDFYKACLFFPLLISWFGMKPEKFYSHKCLVSDAEE >ONI16150 pep chromosome:Prunus_persica_NCBIv2:G3:6038627:6042996:1 gene:PRUPE_3G081300 transcript:ONI16150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALQVIASATQIVSSMVSAISALDQASRNFDEAPKRIRSLEKFVCDLENLSHRIKQKHVNKLHNPQLDYQIQSLNSLIERLHPNISKARRMVSKNKVKNIAKVVWTSMAGDPLERLVNSIRDDLNWWLESQTMVHHVEKAIESTAQDTSVQLKIKTEQGYPVSSKCYFVRNLLEQDGSHRVILIVGLSGIGKSFLARQVASDPPEKFMDGAVELAFGQWCSRAACNRNIGEYQRRLARKLCKFLVQIGFWKKIKDECSGDLEYIGCLLQQALYGKSILILLDDVWEQDIIDRFAKLYDNDCKYLVTTRNEAVYEITEAEKVELSKDDIKEISMEILLYHSLLSKEELPHVAESLLERCGHHPLTVAVMGKALRKEMRADKWAQAITNLSTFATCAPGPVSYVNEKEAENAVTIFGSFEFSLDAMPGDSRKLFIALSALSWVEPVPEACVEAVWSVLGQETLFPLIVCKLVEGSLLMKIDTDPLYLVHDMVALYLGSKTNDSVEILLNESTPEETAFICPWLLIFGKEKVKSFAEKKIEHFLNAFEEKQVIITLKASIQALMASKSISELEESRASFSSLLGPWTADLISTESESLIAVSAQAITTVFSKTDYCNYFPSLETTGAVSKLAIILETCEDPLIQTDISIVLAKLAEFGSPNTVEKVLWSIPFNRLANLLSPTAEEWHESMFTILMSLTKSGKSKAIERLLAFEIDKNLLLLLANGSEVAQHHAIVALKAFYELGGPHVLRSLETTNLNVLPWQARHYLERFALKDQNVPLLPKSQTFEDVIHKVLDSNNEMVLEAMQDLIPIVEKAGEPGIRDMITKSPLIKQLSELLQPGQYEQNSMISQSAFLLTKLACSGGEPCIKKFLEYDIVPNLVKMMHCSIAELQDAAYTALHQMLFGSGGALVLNQILKMGLIERMVQSLESKSMKTREVNMRCFLDIVELGNKSCIELMFSLLVMEKLVKIEKASGGSGETLLGFLKGIDKCKHLSTAERRVMKKQVVRKIRASLKGHKFEGQILGAVDACVSEGSKSGSSSSGSSSSSSRRHKRS >ONI16149 pep chromosome:Prunus_persica_NCBIv2:G3:6038166:6043090:1 gene:PRUPE_3G081300 transcript:ONI16149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALQVIASATQIVSSMVSAISALDQASRNFDEAPKRIRSLEKFVCDLENLSHRIKQKHVNKLHNPQLDYQIQSLNSLIERLHPNISKARRMVSKNKVKNIAKVVWTSMAGDPLERLVNSIRDDLNWWLESQTMVHHVEKAIESTAQDTSVQLKIKTEQGYPVSSKCYFVRNLLEQDGSHRVILIVGLSGIGKSFLARQVASDPPEKFMDGAVELAFGQWCSRAACNRNIGEYQRRLARKLCKFLVQIGFWKKIKDECSGDLEYIGCLLQQALYGKSILILLDDVWEQDIIDRFAKLYDNDCKYLVTTRNEAVYEITEAEKVELSKDDIKEISMEILLYHSLLSKEELPHVAESLLERCGHHPLTVAVMGKALRKEMRADKWAQAITNLSTFATCAPGPVSYVNEKEAENAVTIFGSFEFSLDAMPGDSRKLFIALSALSWVEPVPEACVEAVWSVLGQETLFPLIVCKLVEGSLLMKIDTDPLYLVHDMVALYLGSKTNDSVEILLNESTPEETAFICPWLLIFGKEKVKSFAEKKIEHFLNAFEEKQVIITLKASIQALMASKSISELEESRASFSSLLGPWTADLISTESESLIAVSAQAITTVFSKTDYCNYFPSLETTGAVSKLAIILETCEDPLIQTDISIVLAKLAEFGSPNTVEKVLWSIPFNRLANLLSPTAEEWHESMFTILMSLTKSGKSKAIERLLAFEIDKNLLLLLANGSEVAQHHAIVALKAFYELGGPHVLRSLETTNLNVLPWQARHYLERFALKDQNVPLLPKSQTFEDVIHKVLDSNNEMVLEAMQDLIPIVEKAGEPGIRDMITKSPLIKQLSELLQPGQYEQNSMISQSAFLLTKLACSGGEPCIKKFLEYDIVPNLVKMMHCSIAELQDAAYTALHQMLFGSGGALVLNQILKMGLIERMVQSLESKSMKTREVNMRCFLDIVELGNKSCIELMFSLLVMEKLVKIEKASGGSGETLLGFLKGIDKCKHLSTAERRVMKKQVVRKIRASLKGHKFEGQILGAVDACVSEGSKSGSSSSGSSSSSSRRHKRS >ONI16151 pep chromosome:Prunus_persica_NCBIv2:G3:6038166:6043090:1 gene:PRUPE_3G081300 transcript:ONI16151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALQVIASATQIVSSMVSAISALDQASRNFDEAPKRIRSLEKFVCDLENLSHRIKQKHVNKLHNPQLDYQIQSLNSLIERLHPNISKARRMVSKNKVKNIAKVVWTSMAGDPLERLVNSIRDDLNWWLESQTMVHHVEKAIESTAQDTSVQLKIKTEQGYPVSSKCYFVRNLLEQDGSHRVILIVGLSGIGKSFLARQVASDPPEKFMDGAVELAFGQWCSRAACNRNIGEYQRRLARKLCKFLVQIGFWKKIKDECSGDLEYIGCLLQQALYGKSILILLDDVWEQDIIDRFAKLYDNDCKYLVTTRNEAVYEITEAEKVELSKDDIKEISMEILLYHSLLSKEELPHVAESLLERCGHHPLTVAVMGKALRKEMRADKWAQAITNLSTFATCAPGPVSYVNEKEAENAVTIFGSFEFSLDAMPGDSRKLFIALSALSWVEPVPEACVEAVWSVLGQETLFPLIVCKLVEGSLLMKIDTDPLYLVHDMVALYLGSKTNDSVEILLNESTPEETAFICPWLLIFGKEKVKSFAEKKIEHFLNAFEEKQVIITLKASIQALMASKSISELEESRASFSSLLGPWTADLISTESESLIAVSAQAITTVFSKTDYCNYFPSLETTGAVSKLAIILETCEDPLIQTDISIVLAKLAEFGSPNTVEKVLWSIPFNRLANLLSPTAEEWHESMFTILMSLTKSGKSKAIERLLAFEIDKNLLLLLANGSEVAQHHAIVALKAFYELGGPHVLRSLETTNLNVLPWQARHYLERFALKDQNVPLLPKSQTFEDVIHKVLDSNNEMVLEAMQDLIPIVEKAGEPGIRDMITKSPLIKQLSELLQPGQYEQNSMISQSAFLLTKLACSGGEPCIKKFLEYDIVPNLVKMMHCSIAELQDAAYTALHQMLFGSGGALVLNQILKMGLIERMVQSLESKSMKTREVNMRCFLDIVELGNKSCIELMFSLLVMEKLVKIEKASGGSGETLLGFLKGIDKCKHLSTAERRVMKKQVVRKIRASLKGHKFEGQILGAVDACVSEGSKSGSSSSGSSSSSSRRHKRS >ONI16148 pep chromosome:Prunus_persica_NCBIv2:G3:6038328:6042993:1 gene:PRUPE_3G081300 transcript:ONI16148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALQVIASATQIVSSMVSAISALDQASRNFDEAPKRIRSLEKFVCDLENLSHRIKQKHVNKLHNPQLDYQIQSLNSLIERLHPNISKARRMVSKNKVKNIAKVVWTSMAGDPLERLVNSIRDDLNWWLESQTMVHHVEKAIESTAQDTSVQLKIKTEQGYPVSSKCYFVRNLLEQDGSHRVILIVGLSGIGKSFLARQVASDPPEKFMDGAVELAFGQWCSRAACNRNIGEYQRRLARKLCKFLVQIGFWKKIKDECSGDLEYIGCLLQQALYGKSILILLDDVWEQDIIDRFAKLYDNDCKYLVTTRNEAVYEITEAEKVELSKDDIKEISMEILLYHSLLSKEELPHVAESLLERCGHHPLTVAVMGKALRKEMRADKWAQAITNLSTFATCAPGPVSYVNEKEAENAVTIFGSFEFSLDAMPGDSRKLFIALSALSWVEPVPEACVEAVWSVLGQETLFPLIVCKLVEGSLLMKIDTDPLYLVHDMVALYLGSKTNDSVEILLNESTPEETAFICPWLLIFGKEKVKSFAEKKIEHFLNAFEEKQVIITLKASIQALMASKSISELEESRASFSSLLGPWTADLISTESESLIAVSAQAITTVFSKTDYCNYFPSLETTGAVSKLAIILETCEDPLIQTDISIVLAKLAEFGSPNTVEKVLWSIPFNRLANLLSPTAEEWHESMFTILMSLTKSGKSKAIERLLAFEIDKNLLLLLANGSEVAQHHAIVALKAFYELGGPHVLRSLETTNLNVLPWQARHYLERFALKDQNVPLLPKSQTFEDVIHKVLDSNNEMVLEAMQDLIPIVEKAGEPGIRDMITKSPLIKQLSELLQPGQYEQNSMISQSAFLLTKLACSGGEPCIKKFLEYDIVPNLVKMMHCSIAELQDAAYTALHQMLFGSGGALVLNQILKMGLIERMVQSLESKSMKTREVNMRCFLDIVELGNKSCIELMFSLLVMEKLVKIEKASGGSGETLLGFLKGIDKCKHLSTAERRVMKKQVVRKIRASLKGHKFEGQILGAVDACVSEGSKSGSSSSGSSSSSSRRHKRS >ONI18815 pep chromosome:Prunus_persica_NCBIv2:G3:23480216:23481251:1 gene:PRUPE_3G241100 transcript:ONI18815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLKGWQIRVWKIIMENEMFQMIELASACVRHSAVKRPRMRQALPLLSQALENEDFQGLADPRLEKNYVENEMFRMIEAASACVRHAAAKRPWMRQVVRAFDFLDELSDLSNGMKPGQSEIFDSAQQSAQIRMFQRMAFGSQDNSTSFFNHTRSSWKSRDSREHGDTTGSSWTKHGFVVRMHISVFFCNLDSLIQYCMYLCLLFSHIICELV >ONI18527 pep chromosome:Prunus_persica_NCBIv2:G3:22146247:22151816:-1 gene:PRUPE_3G220700 transcript:ONI18527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGLATVPSNSPHLRKSGSRPVVSDLGISDNENGAEEGFLNLTEANDMKGGSMPISTAAIMPSPALLWRFKVLLFFVWGFICCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVTMMVWFWGINLWVFAQANVNYAKIFDLDQNHLTHREIWKCATWMTIVVPTSMTAYLYLYSHGEVSLAASQPVLLYAAVAMILIFPFDIFYLSSRFYLLRTLWRIVLPLQAISFSDFFLADILTSMSKVLSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRLFQCLRQYKDTGEKTTLLNALKYSTAVPVIYLSALKYHVFPEKWTNFYRPLWLLSGVLNSLYSFYWDVTRDWDLSGFTRIFKFGKAHLLSNLIHGRKWVYFWVISSNLILRCTWTYKLSSHLRHNYLTVFAITALEIFRRFQWVFFRVENEWNKMNSKSNIQLSMSDTANEEERLLVSNGHNV >ONI18526 pep chromosome:Prunus_persica_NCBIv2:G3:22146247:22151816:-1 gene:PRUPE_3G220700 transcript:ONI18526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGLATVPSNSPHLRKSGSRPVVSDLGISDNENGAEEGFLNLTEANDMKGGSMPISTAAIMPSPALLWRFKVLLFFVWGFICCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVTMMVWFWGINLWVFAQANVNYAKIFDLDQNHLTHREIWKCATWMTIVVPTSMTAYLYLYSHGEVSLAASQPVLLYAAVAMILIFPFDIFYLSSRFYLLRTLWRIVLPLQAISFSDFFLADILTSMSKVLSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRLFQCLRQYKDTGEKTTLLNALKYSTAVPVIYLSALKYHVFPEKWTNFYRPLWLLSGVLNSLYSFYWDVTRDWDLSGFTRIFKFGKAHLLSNLIHGRKWVYFWVISSNLILRCTWTYKLSSHLRHNYLTVFAITALEIFRRFQWVFFRVENEWNKMNSKSNIQLSMSDTANEEERLLVSNGHNV >ONI18525 pep chromosome:Prunus_persica_NCBIv2:G3:22146018:22152960:-1 gene:PRUPE_3G220700 transcript:ONI18525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGLATVPSNSPHLRKSGISDNENGAEEGFLNLTEANDMKGGSMPISTAAIMPSPALLWRFKVLLFFVWGFICCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVTMMVWFWGINLWVFAQANVNYAKIFDLDQNHLTHREIWKCATWMTIVVPTSMTAYLYLYSHGEVSLAASQPVLLYAAVAMILIFPFDIFYLSSRFYLLRTLWRIVLPLQAISFSDFFLADILTSMSKVLSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRLFQCLRQYKDTGEKTTLLNALKYSTAVPVIYLSALKYHVFPEKWTNFYRPLWLLSGVLNSLYSFYWDVTRDWDLSGFTRIFKFGKAHLLSNLIHGRKWVYFWVISSNLILRCTWTYKLSSHLRHNYLTVFAITALEIFRRFQWVFFRVENEWNKMNSKSNIQLSMSDTANEEERLLVSNGHNV >ONI18528 pep chromosome:Prunus_persica_NCBIv2:G3:22146018:22152836:-1 gene:PRUPE_3G220700 transcript:ONI18528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGLATVPSNSPHLRKSGSRPVVSDLGISDNENGAEEGFLNLTEANDMKGGSMPISTAAIMPSPALLWRFKVLLFFVWGFICCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVTMMVWFWGINLWVFAQANVNYAKIFDLDQNHLTHREIWKCATWMTIVVPTSMTAYLYLYSHGEVSLAASQPVLLYAAVAMILIFPFDIFYLSSRFYLLRTLWRIVLPLQAISFSDFFLADILTSMSKVLSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRLFQCLRQYKDTGEKTTLLNALKYSTAVPVIYLSALKYHVFPEKWTNFYRPLWLLSGVLNSLYSFYWDVTRDWDLSGFTRIFKFGKAHLLSNLIHGRKWVYFWVISSNLILRCTWTYKLSSHLRHNYLTVFAITALEIFRRFQWVFFRVENEWNKMNSKSNIQLSMSDTANEEERLLVSNGHNV >ONI18529 pep chromosome:Prunus_persica_NCBIv2:G3:22145993:22153033:-1 gene:PRUPE_3G220700 transcript:ONI18529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGSMPISTAAIMPSPALLWRFKVLLFFVWGFICCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVTMMVWFWGINLWVFAQANVNYAKIFDLDQNHLTHREIWKCATWMTIVVPTSMTAYLYLYSHGEVSLAASQPVLLYAAVAMILIFPFDIFYLSSRFYLLRTLWRIVLPLQAISFSDFFLADILTSMSKVLSDLERSVCRMVHRQVATIAWFEADSVCGSHSVAIPLVLVLPYLFRLFQCLRQYKDTGEKTTLLNALKYSTAVPVIYLSALKYHVFPEKWTNFYRPLWLLSGVLNSLYSFYWDVTRDWDLSGFTRIFKFGKAHLLSNLIHGRKWVYFWVISSNLILRCTWTYKLSSHLRHNYLTVFAITALEIFRRFQWVFFRVENEWNKMNSKSNIQLSMSDTANEEERLLVSNGHNV >ONI17235 pep chromosome:Prunus_persica_NCBIv2:G3:16181923:16182478:1 gene:PRUPE_3G147700 transcript:ONI17235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLQHQACPSPISFSESPWIIHKDRATNSTKRHTVSNPKQKIKYQRPYHQFNSVVFYIVQFHGPQNALSDVILDPTSHAPLGLRIQIVTVGAQSHREIGMADWVSNKKIYISGFALVKKQSNIKKRNWQNCKCNFFFSL >ONI15664 pep chromosome:Prunus_persica_NCBIv2:G3:3809378:3812716:-1 gene:PRUPE_3G054300 transcript:ONI15664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGKDEEHLISPVFPRFHVDDTDKGGPRAPPRNKMALYEQFSIPSQSFTSGSASMLPRPHNDRRLIPPTSWSDVSSNERNTPPCNFLVVPSDPAEKIQSYNSTGANLNTMMADCERISTKPSNYLNTTGPSSSAAKYDSFQPKKTSNFRNFSLEKYGHEDYLKVPSPFQGTALQCRNSQQSKEREKLPCLSSMEQLRSSCIKKISNSIDLKLRQCGRNHEEGNPKVSQTNEDPVERSVSLALAIVKDFANTSSSPSNTVKNSESLKRAHVSLSQENRSSVNDLSVLCSSSARLHRESMTVHDRVALRDGILVQSRIGTAKEISAKVREKSCLTPSLVDDNRISGGLGNERECCEENCGVARVGNLCGHEDISDMSIMDCNSAMGISPHDVMGVIGAKQFCEMRKAIVSQQRVFAAQVFELHRLIKVQRLIAGSPHLLLEDNDFLSKSAIKVPPVKKVPLEHALEPPPPKDYPQKPHSSGECVEENAVGKFPLPPVNNRTSSRGLVTQQSNYGQYSNKSPSASATTNSKPALWCLQPPPGNMWFVPVTSSSEELAYKPYTGPCAPTGGFIAPMYGSCGPASLNPGARDYLNATSSNPSLYQQGMGIGTPPLLQTYFPPYGMPIINPSLSSSAVENMTPFSGGHSNWHENQLSFGEINFTLPHQSSCNMASQMSQVMPCYVGNIQASKGSEIQGTTASSPSDRTLGNALPLFPMAPQSQEPCQSEHQTRAIKVVPHNTKSASESAARIFLSIQEERK >ONI15669 pep chromosome:Prunus_persica_NCBIv2:G3:3809195:3813813:-1 gene:PRUPE_3G054300 transcript:ONI15669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADCERISTKPSNYLNTTGPSSSAAKYDSFQPKKTSNFRNFSLEKYGHEDYLKVPSPFQGTALQCRNSQQSKEREKLPCLSSMEQLRSSCIKKISNSIDLKLRQCGRNHEEGNPKVSQTNEDPVERSVSLALAIVKDFANTSSSPSNTVKNSESLKRAHVSLSQENRSSVNDLSVLCSSSARLHRESMTVHDRVALRDGILVQSRIGTAKEISAKVREKSCLTPSLVDDNRISGGLGNERECCEENCGVARVGNLCGHEDISDMSIMDCNSAMGISPHDVMGVIGAKQFCEMRKAIVSQQRVFAAQVFELHRLIKVQRLIAGSPHLLLEDNDFLSKSAIKVPPVKKVPLEHALEPPPPKDYPQKPHSSGECVEENAVGKFPLPPVNNRTSSRGLVTQQSNYGQYSNKSPSASATTNSKPALWCLQPPPGNMWFVPVTSSSEELAYKPYTGPCAPTGGFIAPMYGSCGPASLNPGARDYLNATSSNPSLYQQGMGIGTPPLLQTYFPPYGMPIINPSLSSSAVENMTPFSGGHSNWHENQLSFGEINFTLPHQSSCNMASQMSQVMPCYVGNIQASKGSEIQGTTASSPSDRTLGNALPLFPMAPQSQEPCQSEHQTRAIKVVPHNTKSASESAARIFLSIQEERK >ONI15666 pep chromosome:Prunus_persica_NCBIv2:G3:3809188:3813802:-1 gene:PRUPE_3G054300 transcript:ONI15666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGKDEEHLISPVFPRFHVDDTDKGGPRAPPRNKMALYEQFSIPSQSFTSGSASMLPRPHNDRRLIPPTSWSDVSSNERNTPPCNFLVVPSDPAEKIQSYNSTGANLNTMMADCERISTKPSNYLNTTGPSSSAAKYDSFQPKKTSNFRNFSLEKYGHEDYLKVPSPFQGTALQCRNSQQSKEREKLPCLSSMEQLRSSCIKKISNSIDLKLRQCGRNHEEGNPKVSQTNEDPVERSVSLALAIVKDFANTSSSPSNTVKNSESLKRAHVSLSQENRSSVNDLSVLCSSSARLHRESMTVHDRVALRDGILVQSRIGTAKEISAKVREKSCLTPSLVDDNRISGGLGNERECCEENCGVARVGNLCGHEDISDMSIMDCNSAMGISPHDVMGVIGAKQFCEMRKAIVSQQRVFAAQVFELHRLIKVQRLIAGSPHLLLEDNDFLSKSAIKVPPVKKVPLEHALEPPPPKDYPQKPHSSGECVEENAVGKFPLPPVNNRTSSRGLVTQQSNYGQYSNKSPSASATTNSKPALWCLQPPPGNMWFVPVTSSSEELAYKPYTGPCAPTGGFIAPMYGSCGPASLNPGARDYLNATSSNPSLYQQGMGIGTPPLLQTYFPPYGMPIINPSLSSSAVENMTPFSGGHSNWHENQLSFGEINFTLPHQSSCNMASQMSQVMPCYVGNIQASKGSEIQGTTASSPSDRTLGNALPLFPMAPQSQEPCQSEHQTRAIKVVPHNTKSASESAARIFLSIQEERK >ONI15663 pep chromosome:Prunus_persica_NCBIv2:G3:3809195:3813564:-1 gene:PRUPE_3G054300 transcript:ONI15663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGKDEEHLISPVFPRFHVDDTDKGGPRAPPRNKMALYEQFSIPSQSFTSGSASMLPRPHNDRRLIPPTSWSDVSSNERNTPPCNFLVVPSDPAEKIQSYNSTGANLNTMMADCERISTKPSNYLNTTGPSSSAAKYDSFQPKKTSNFRNFSLEKYGHEDYLKVPSPFQGTALQCRNSQQSKEREKLPCLSSMEQLRSSCIKKISNSIDLKLRQCGRNHEEGNPKVSQTNEDPVERSVSLALAIVKDFANTSSSPSNTVKNSESLKRAHVSLSQENRSSVNDLSVLCSSSARLHRESMTVHDRVALRDGILVQSRIGTAKEISAKVREKSCLTPSLVDDNRISGGLGNERECCEENCGVARVGNLCGHEDISDMSIMDCNSAMGISPHDVMGVIGAKQFCEMRKAIVSQQRVFAAQVFELHRLIKVQRLIAGSPHLLLEDNDFLSKSAIKVPPVKKVPLEHALEPPPPKDYPQKPHSSGECVEENAVGKFPLPPVNNRTSSRGLVTQQSNYGQYSNKSPSASATTNSKPALWCLQPPPGNMWFVPVTSSSEELAYKPYTGPCAPTGGFIAPMYGSCGPASLNPGARDYLNATSSNPSLYQQGMGIGTPPLLQTYFPPYGMPIINPSLSSSAVENMTPFSGGHSNWHENQLSFGEINFTLPHQSSCNMASQMSQVMPCYVGNIQASKGSEIQGTTASSPSDRTLGNALPLFPMAPQSQEPCQSEHQTRAIKVVPHNTKSASESAARIFLSIQEERK >ONI15661 pep chromosome:Prunus_persica_NCBIv2:G3:3809195:3813640:-1 gene:PRUPE_3G054300 transcript:ONI15661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGKDEEHLISPVFPRFHVDDTDKGGPRAPPRNKMALYEQFSIPSQSFTSGSASMLPRPHNDRRLIPPTSWSDVSSNERNTPPCNFLVVPSDPAEKIQSYNSTGANLNTMMADCERISTKPSNYLNTTGPSSSAAKYDSFQPKKTSNFRNFSLEKYGHEDYLKVPSPFQGTALQCRNSQQSKEREKLPCLSSMEQLRSSCIKKISNSIDLKLRQCGRNHEEGNPKVSQTNEDPVERSVSLALAIVKDFANTSSSPSNTVKNSESLKRAHVSLSQENRSSVNDLSVLCSSSARLHRESMTVHDRVALRDGILVQSRIGTAKEISAKVREKSCLTPSLVDDNRISGGLGNERECCEENCGVARVGNLCGHEDISDMSIMDCNSAMGISPHDVMGVIGAKQFCEMRKAIVSQQRVFAAQVFELHRLIKVQRLIAGSPHLLLEDNDFLSKSAIKVPPVKKVPLEHALEPPPPKDYPQKPHSSGECVEENAVGKFPLPPVNNRTSSRGLVTQQSNYGQYSNKSPSASATTNSKPALWCLQPPPGNMWFVPVTSSSEELAYKPYTGPCAPTGGFIAPMYGSCGPASLNPGARDYLNATSSNPSLYQQGMGIGGHSNWHENQLSFGEINFTLPHQSSCNMASQMSQVMPCYVGNIQASKGSEIQGTTASSPSDRTLGNALPLFPMAPQSQEPCQSEHQTRAIKVVPHNTKSASESAARIFLSIQEERK >ONI15667 pep chromosome:Prunus_persica_NCBIv2:G3:3809378:3812716:-1 gene:PRUPE_3G054300 transcript:ONI15667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGKDEEHLISPVFPRFHVDDTDKGGPRAPPRNKMALYEQFSIPSQSFTSGSASMLPRPHNDRRLIPPTSWSDVSSNERNTPPCNFLVVPSDPAEKIQSYNSTGANLNTMMADCERISTKPSNYLNTTGPSSSAAKYDSFQPKKTSNFRNFSLEKYGHEDYLKVPSPFQGTALQCRNSQQSKEREKLPCLSSMEQLRSSCIKKISNSIDLKLRQCGRNHEEGNPKVSQTNEDPVERSVSLALAIVKDFANTSSSPSNTVKNSESLKRAHVSLSQENRSSVNDLSVLCSSSARLHRESMTVHDRVALRDGILVQSRIGTAKEISAKVREKSCLTPSLVDDNRISGGLGNERECCEENCGVARVGNLCGHEDISDMSIMDCNSAMGISPHDVMGVIGAKQFCEMRKAIVSLSQQRVFAAQVFELHRLIKVQRLIAGSPHLLLEDNDFLSKSAIKVPPVKKVPLEHALEPPPPKDYPQKPHSSGECVEENAVGKFPLPPVNNRTSSRGLVTQQSNYGQYSNKSPSASATTNSKPALWCLQPPPGNMWFVPVTSSSEELAYKPYTGPCAPTGGFIAPMYGSCGPASLNPGARDYLNATSSNPSLYQQGMGIGTPPLLQTYFPPYGMPIINPSLSSSAVENMTPFSGGHSNWHENQLSFGEINFTLPHQSSCNMASQMSQVMPCYVGNIQASKGSEIQGTTASSPSDRTLGNALPLFPMAPQSQEPCQSEHQTRAIKVVPHNTKSASESAARIFLSIQEERK >ONI15662 pep chromosome:Prunus_persica_NCBIv2:G3:3809378:3812716:-1 gene:PRUPE_3G054300 transcript:ONI15662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGKDEEHLISPVFPRFHVDDTDKGGPRAPPRNKMALYEQFSIPSQSFTSGSASMLPRPHNDRRLIPPTSWSDVSSNERNTPPCNFLVVPSDPAEKIQSYNSTGANLNTMMADCERISTKPSNYLNTTGPSSSAAKYDSFQPKKTSNFRNFSLEKYGHEDYLKVPSPFQGTALQCRNSQQSKEREKLPCLSSMEQLRSSCIKKISNSIDLKLRQCGRNHEEGNPKVSQTNEDPVERSVSLALAIVKDFANTSSSPSNTVKNSESLKRAHVSLSQENRSSVNDLSVLCSSSARLHRESMTVHDRVALRDGILVQSRIGTAKEISAKVREKSCLTPSLVDDNRISGGLGNERECCEENCGVARVGNLCGHEDISDMSIMDCNSAMGISPHDVMGVIGAKQFCEMRKAIVSQQRVFAAQVFELHRLIKVQRLIAGSPHLLLEDNDFLSKSAIKVPPVKKVPLEHALEPPPPKDYPQKPHSSGECVEENAVGKFPLPPVNNRTSSRGLVTQQSNYGQYSNKSPSASATTNSKPALWCLQPPPGNMWFVPVTSSSEELAYKPYTGPCAPTGGFIAPMYGSCGPASLNPGARDYLNATSSNPSLYQQGMGIGGHSNWHENQLSFGEINFTLPHQSSCNMASQMSQVMPCYVGNIQASKGSEIQGTTASSPSDRTLGNALPLFPMAPQSQEPCQSEHQTRAIKVVPHNTKSASESAARIFLSIQEERK >ONI15668 pep chromosome:Prunus_persica_NCBIv2:G3:3809195:3813632:-1 gene:PRUPE_3G054300 transcript:ONI15668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADCERISTKPSNYLNTTGPSSSAAKYDSFQPKKTSNFRNFSLEKYGHEDYLKVPSPFQGTALQCRNSQQSKEREKLPCLSSMEQLRSSCIKKISNSIDLKLRQCGRNHEEGNPKVSQTNEDPVERSVSLALAIVKDFANTSSSPSNTVKNSESLKRAHVSLSQENRSSVNDLSVLCSSSARLHRESMTVHDRVALRDGILVQSRIGTAKEISAKVREKSCLTPSLVDDNRISGGLGNERECCEENCGVARVGNLCGHEDISDMSIMDCNSAMGISPHDVMGVIGAKQFCEMRKAIVSQQRVFAAQVFELHRLIKVQRLIAGSPHLLLEDNDFLSKSAIKVPPVKKVPLEHALEPPPPKDYPQKPHSSGECVEENAVGKFPLPPVNNRTSSRGLVTQQSNYGQYSNKSPSASATTNSKPALWCLQPPPGNMWFVPVTSSSEELAYKPYTGPCAPTGGFIAPMYGSCGPASLNPGARDYLNATSSNPSLYQQGMGIGGHSNWHENQLSFGEINFTLPHQSSCNMASQMSQVMPCYVGNIQASKGSEIQGTTASSPSDRTLGNALPLFPMAPQSQEPCQSEHQTRAIKVVPHNTKSASESAARIFLSIQEERK >ONI15665 pep chromosome:Prunus_persica_NCBIv2:G3:3809195:3813612:-1 gene:PRUPE_3G054300 transcript:ONI15665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGKDEEHLISPVFPRFHVDDTDKGGPRAPPRNKMALYEQFSIPSQSFTSGSASMLPRPHNDRRLIPPTSWSDVSSNERNTPPCNFLVVPSDPAEKIQSYNSTGANLNTMMADCERISTKPSNYLNTTGPSSSAAKYDSFQPKKTSNFRNFSLEKYGHEDYLKVPSPFQGTALQCRNSQQSKEREKLPCLSSMEQLRSSCIKKISNSIDLKLRQCGRNHEEGNPKVSQTNEDPVERSVSLALAIVKDFANTSSSPSNTVKNSESLKRAHVSLSQENRSSVNDLSVLCSSSARLHRESMTVHDRVALRDGILVQSRIGTAKEISAKVREKSCLTPSLVDDNRISGGLGNERECCEENCGVARVGNLCGHEDISDMSIMDCNSAMGISPHDVMGVIGAKQFCEMRKAIVSQQRVFAAQVFELHRLIKVQRLIAGSPHLLLEDNDFLSKSAIKVPPVKKVPLEHALEPPPPKDYPQKPHSSGECVEENAVGKFPLPPVNNRTSSRGLVTQQSNYGQYSNKSPSASATTNSKPALWCLQPPPGNMWFVPVTSSSEELAYKPYTGPCAPTGGFIAPMYGSCGPASLNPGARDYLNATSSNPSLYQQGMGIGTPPLLQTYFPPYGMPIINPSLSSSAVENMTPFSGGHSNWHENQLSFGEINFTLPHQSSCNMASQMSQVMPCYVGNIQASKGSEIQGTTASSPSDRTLGNALPLFPMAPQSQEPCQSEHQTRAIKVVPHNTKSASESAARIFLSIQEERK >ONI15660 pep chromosome:Prunus_persica_NCBIv2:G3:3809195:3813637:-1 gene:PRUPE_3G054300 transcript:ONI15660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHVDDTDKGGPRAPPRNKMALYEQFSIPSQSFTSGSASMLPRPHNDRRLIPPTSWSDVSSNERNTPPCNFLVVPSDPAEKIQSYNSTGANLNTMMADCERISTKPSNYLNTTGPSSSAAKYDSFQPKKTSNFRNFSLEKYGHEDYLKVPSPFQGTALQCRNSQQSKEREKLPCLSSMEQLRSSCIKKISNSIDLKLRQCGRNHEEGNPKVSQTNEDPVERSVSLALAIVKDFANTSSSPSNTVKNSESLKRAHVSLSQENRSSVNDLSVLCSSSARLHRESMTVHDRVALRDGILVQSRIGTAKEISAKVREKSCLTPSLVDDNRISGGLGNERECCEENCGVARVGNLCGHEDISDMSIMDCNSAMGISPHDVMGVIGAKQFCEMRKAIVSQQRVFAAQVFELHRLIKVQRLIAGSPHLLLEDNDFLSKSAIKVPPVKKVPLEHALEPPPPKDYPQKPHSSGECVEENAVGKFPLPPVNNRTSSRGLVTQQSNYGQYSNKSPSASATTNSKPALWCLQPPPGNMWFVPVTSSSEELAYKPYTGPCAPTGGFIAPMYGSCGPASLNPGARDYLNATSSNPSLYQQGMGIGTPPLLQTYFPPYGMPIINPSLSSSAVENMTPFSGGHSNWHENQLSFGEINFTLPHQSSCNMASQMSQVMPCYVGNIQASKGSEIQGTTASSPSDRTLGNALPLFPMAPQSQEPCQSEHQTRAIKVVPHNTKSASESAARIFLSIQEERK >ONI16064 pep chromosome:Prunus_persica_NCBIv2:G3:5662839:5666162:-1 gene:PRUPE_3G077000 transcript:ONI16064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAALLRSLRRRDVASASLSAYRSLTSTAKPSSLGHKWASLARPFSSRPAGNDIIGIDLGTTNSCVAVMEGKNPKVIENSEGGRTTPSVVALNQKGELLVGTPAKRQAVTNPTNTVSGTKRLIGRQFDDAQTQKEMKMVPYKIVKAPNGDAWVEVNGQQYAPGQIGAFVLTKMKETAEAYLGKTVSRAVITVPAYFNDAQRQATKDAGRIAGLSVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKRTDGLDLTKDRLALQRLREAAEKAKIELSSSSETEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTKFPCKNCLKDANMSIKDVDEVLLVGGMSRVPKVQEVVAEIFGKSPSKGVNPDEAVALGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFSRLIARNTTIPTKKSQVFSTAADNQTQVGVKVLQGEREMASDNKLLGEFELQGIPPAPRGMSQIEVTFDIDANGIVTVSARDKGTDKEQHVTIRSSAGSTEEEIQRMVKDAELHAQRDQERKALVDVKNNADTTIYSIEKSLSEYRDKVPSEIAKEIEDAVADLRKATGGDNADEIKSKLDAANKAVSKIGEHMSRGSGGDSSSGGSQDGGDQAPEAEYQEMKN >ONI17183 pep chromosome:Prunus_persica_NCBIv2:G3:15562906:15564759:1 gene:PRUPE_3G143400 transcript:ONI17183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVELCSDNSSMGVSPRISFSYDLCQSDVAAVEQQQHHHPPLRSNSSSSSSRSMNSSMEFDFCVVHESFEQESSSADELFSDGKMIPSEIKKKSAHPKQLDQNIVPHQHPPLPTAAAADPSDENTSRAKESGKESKNMSCNEADEKQSSKSFWGFKRSSSCGSGYGRSLCPLPLLSRSNSTGSSSSSSVKRSVFSKEGQNQKQTSQQKSASKKLHSSSQSSSTSMNYQKPPLKKGQYGSYGNAAPFNPVLNVPTANLFGFGLIFSNGKDKTNKKK >ONI16635 pep chromosome:Prunus_persica_NCBIv2:G3:9357188:9359631:1 gene:PRUPE_3G112200 transcript:ONI16635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHAKQAEPSFPPKRLENSWCEAIASKSTASATPIRNTEMGPFITDVPKSVLQDVIMKLPPRSIIMCKSVCKTWYSLISDPAFARLHFAQAEAYPMVRPVDGRSVSRNLFLVEPEDSSGFDLKDYDCNRSRVHMNLSKYKFPLCHAEELLNYHRNGNVMPSHETEREVHMKMTKIDDNNNEVDWGESIAFMMQYDHNNYNLVNSCNGLLCLSDYFVKYPAAVCNPITGEFINLPHGPQHEKAMTLIGSGLGFSPRTNEYKVVRILKERTRDPNKVAEIHTLGTGSWKSVGAAPCSDSQQSFPTCVKGMLYWFCGEWTCASVISFDLDTEEFESVPSLPFREGGRDVGMGDLGGSLCLCKIESFEINVWVMNDSGPQKLWMKKISICTAFTRSWPSGVYIPMKYFENDGLLMFNSRLNAFFYHHPGNHSPFIYLKLREMNYSASEAISHVPSFISLKDILVGKDVEILNINSRCAVLKLPGETEALSLVEENASSIRF >ONI16636 pep chromosome:Prunus_persica_NCBIv2:G3:9357267:9359632:1 gene:PRUPE_3G112200 transcript:ONI16636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFITDVPKSVLQDVIMKLPPRSIIMCKSVCKTWYSLISDPAFARLHFAQAEAYPMVRPVDGRSVSRNLFLVEPEDSSGFDLKDYDCNRSRVHMNLSKYKFPLCHAEELLNYHRNGNVMPSHETEREVHMKMTKIDDNNNEVDWGESIAFMMQYDHNNYNLVNSCNGLLCLSDYFVKYPAAVCNPITGEFINLPHGPQHEKAMTLIGSGLGFSPRTNEYKVVRILKERTRDPNKVAEIHTLGTGSWKSVGAAPCSDSQQSFPTCVKGMLYWFCGEWTCASVISFDLDTEEFESVPSLPFREGGRDVGMGDLGGSLCLCKIESFEINVWVMNDSGPQKLWMKKISICTAFTRSWPSGVYIPMKYFENDGLLMFNSRLNAFFYHHPGNHSPFIYLKLREMNYSASEAISHVPSFISLKDILVGKDVEILNINSRCAVLKLPGETEALSLVEENASSIRF >ONI16905 pep chromosome:Prunus_persica_NCBIv2:G3:12943306:12946129:1 gene:PRUPE_3G128600 transcript:ONI16905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTNKFTSINFNHIYEKNLSTNSKPNANSKGPSPSSSSSSYSAISSPHNKTHGRMLVLTRPTPKSISNPPPLSPQPQPQQQPQQPHLQSPSQQTQQLPDQIRADPRSDAISLRPLGRTGSSPPILSPVPRHKEAPGLILSPKPDKFVPPHLRPGFVAKEERPRPVQKHFGAPVQYGEDGWPKSGGGNDRMTRPRSSGNRPTSNG >ONI18718 pep chromosome:Prunus_persica_NCBIv2:G3:22976000:22977961:-1 gene:PRUPE_3G234000 transcript:ONI18718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTLLRAPFSFSATHVLPPRPPHPYIHGDSSSEASSFHSTSSFSSNPRWVFTNSLPPPEWVEPFNDVSDIVSNPQDFDPSPWVAQILNLLDGSQKMEANLDSYCHTFLIKLSPNFVAYVLKSAELRGKPETALRFFAWAGKQKKYHHKLECYVSLIDLLSSSGDLDRIRYVLAELKEKNFLMNSAAANSLIKSFGCLGMVDELLWVWRRMKENGIEPSLYTYNFLVNGLVNSMFIESAERVFEVMEGGKIVPDVVTYNTMIKGYCKAGKTQKAMEKFRAMEGRNVEPDKITYMTLIQGCYSEGDFDLCLGLYQEMEEKGLEIPPHAYSLVINGLCKGGKCMEGYAVFEDMIQKGCKANVANYTALIDSYAKCGSIEGAMKLFDRMKNDGLKPDVVTYGVVVNGLCKSGRVEEAMEYFQFCEGSGMAVNAMLYSSLIEGLGKAGRLDEAERLFEKMIEKGCPQDSYCYNALIDALAKGGKTDEALALFKKMEEEGCDQTVYTYTILISGLFKEHKNEEALKLWDMMIDQGITPTAASFRALSIGLCLSGKVARACKILDELAPLGVIPETAFEDMINVLCKAGRFKEACKLADGIVDRGREIPGRIRTVLINALRKAGNADLAMKLMHSKIGIGYDRMGSIKRRVKFRILFDS >ONI18717 pep chromosome:Prunus_persica_NCBIv2:G3:22974376:22978033:-1 gene:PRUPE_3G234000 transcript:ONI18717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTLLRAPFSFSATHVLPPRPPHPYIHGDSSSEASSFHSTSSFSSNPRWVFTNSLPPPEWVEPFNDVSDIVSNPQDFDPSPWVAQILNLLDGSQKMEANLDSYCHTFLIKLSPNFVAYVLKSAELRGKPETALRFFAWAGKQKKYHHKLECYVSLIDLLSSSGDLDRIRYVLAELKEKNFLMNSAAANSLIKSFGCLGMVDELLWVWRRMKENGIEPSLYTYNFLVNGLVNSMFIESAERVFEVMEGGKIVPDVVTYNTMIKGYCKAGKTQKAMEKFRAMEGRNVEPDKITYMTLIQGCYSEGDFDLCLGLYQEMEEKGLEIPPHAYSLVINGLCKGGKCMEGYAVFEDMIQKGCKANVANYTALIDSYAKCGSIEGAMKLFDRMKNDGLKPDVVTYGVVVNGLCKSGRVEEAMEYFQFCEGSGMAVNAMLYSSLIEGLGKAGRLDEAERLFEKMIEKGCPQDSYCYNALIDALAKGGKTDEALALFKKMEEEGCDQTVYTYTILISGLFKEHKNEEALKLWDMMIDQGITPTAASFRALSIGLCLSGKVARACKILDELAPLGVIPETAFEDMINVLCKAGRFKEACKLADGIVDRGREIPGRIRTVLINALRKAGNADLAMKLMHSKIGIGYDRMGSIKRVDVHNSIPVYYIIQFIEETFT >ONI14716 pep chromosome:Prunus_persica_NCBIv2:G3:258611:261853:-1 gene:PRUPE_3G003900 transcript:ONI14716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEDDDMHKATDHGGPYMVKNPAGSEGSHHASGTAPRGAQAVKVQPIEVPTIPIDELKEITDGFGTSALIGEGSYGRVYYGVLKSGQHAAIKKLDASKQPDDEFLAQVSMVSRLKHDNFVQLLGYCVDGSSRVLAYEFASNGSLHDILHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDNAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCIDSRLGGEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARQHGPTGEVPNL >ONI14718 pep chromosome:Prunus_persica_NCBIv2:G3:259091:261241:-1 gene:PRUPE_3G003900 transcript:ONI14718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEDDDMHKATDHGGPYMVKNPAGSEGSHHASGTAPRGAQAVKVQPIEVPTIPIDELKEITDGFGTSALIGEGSYGRVYYGVLKSGQHAAIKKLDASKQPDDEFLAQVSMVSRLKHDNFVQLLGYCVDGSSRVLAYEFASNGSLHDILHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDNAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCIDSRLGGEYPPKAVAKTAYLIIPTMKTDGAIKHLKLNAFVS >ONI14717 pep chromosome:Prunus_persica_NCBIv2:G3:258611:261640:-1 gene:PRUPE_3G003900 transcript:ONI14717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEDDDMHKATDHGGPYMVKNPAGSEGSHHASGTAPRGAQAVKVQPIEVPTIPIDELKEITDGFGTSALIGEGSYGRVYYGVLKSGQHAAIKKLDASKQPDDEFLAQVSMVSRLKHDNFVQLLGYCVDGSSRVLAYEFASNGSLHDILHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDNAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCIDSRLGGEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARQHGPTGEVPNL >ONI15267 pep chromosome:Prunus_persica_NCBIv2:G3:2497667:2499728:-1 gene:PRUPE_3G034200 transcript:ONI15267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CIVLYITKTAAKVPTAKDPATVNLFKAAPLGPGTGVSTETSEEGETSGEMTGEDEGGGGAESATGLLEGAASGEVLDGERAVAGGVLVDEEGVAAGGVLNGEGEDAAIGGVLNGEGEDTAIGGVLNGEGEDAAIGGVLNGEGEDAAIGGVLNREGEDAAIGGVLNREGEDAAIGGVLGGEGTLGGVLGGEGTAVGGVLNGEDAADVDGDDAGDWALVVATKSAAIRTRTTWMDGAIVERERERGKLCIGGGWRGGCVLGGA >ONI16452 pep chromosome:Prunus_persica_NCBIv2:G3:7591754:7599539:1 gene:PRUPE_3G098800 transcript:ONI16452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTMAFSSSPSILSLHCATHHPLVRSHTSLSSLPKIATALFSFSTFQTLPKFPLNSNKRIQCTEKGSSASSLDPKSGVSVYKPKSYEVLVADAAKSLAYALEDGKTRLEIDFPPLPSNISSYKGSSDDFIDANVQLALAVVRKLKEKRETRACIVFPDKPEKRRASELFKTAIDTLDDITIGSLDDVPGGPVTNFFRSIRNTLDFDFEDENEGRWASNEPPSLYVFINCSTRDLASIEKYVETFAMSTPTLLFNLELDTLRADLGLVGFPTKDLHYRFLCQFIPVFYIRIREYSKGLGR >ONI16451 pep chromosome:Prunus_persica_NCBIv2:G3:7591831:7598587:1 gene:PRUPE_3G098800 transcript:ONI16451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTMAFSSSPSILSLHCATHHPLVRSHTSLSSLPKIATALFSFSTFQTLPKFPLNSNKRIQCTEKGSSASSLDPKSGVSVYKPKSYEVLVADAAKSLAYALEDGKTRLEIDFPPLPSNISSYKGSSDDFIDANVQLALAVVRKLKEKRETRACIVFPDKPEKRRASELFKTAIDTLDDITIGSLDDVPGGPVTNFFRSIRNTLDFDFEDENEGRWASNEPPSLYVFINCSTRDLASIEKYVETFAMSTPTLLFNLELDTLRADLGLVGFPTKDLHYRFLCQFIPVFYIRIREYSKTVAVAPYIVNYNGALFRQYPGPWQVMLKQADGSFACVAESATRFTLGETKEELLRVLGLQEEQGSSLQFLRRGYRRYLVGRRC >ONI16450 pep chromosome:Prunus_persica_NCBIv2:G3:7591754:7599539:1 gene:PRUPE_3G098800 transcript:ONI16450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTMAFSSSPSILSLHCATHHPLVRSHTSLSSLPKIATALFSFSTFQTLPKFPLNSNKRIQCTEKGSSASSLDPKSGVSVYKPKSYEVLVADAAKSLAYALEDGKTRLEIDFPPLPSNISSYKLDDITIGSLDDVPGGPVTNFFRSIRNTLDFDFEDENEGRWASNEPPSLYVFINCSTRDLASIEKYVETFAMSTPTLLFNLELDTLRADLGLVGFPTKDLHYRFLCQFIPVFYIRIREYSKTVAVAPYIVNYNGALFRQYPGPWQVMLKQADGSFACVAESATRFTLGETKEELLRVLGLQEEQGSSLQFLRRGYRSATWWEEDVELEVSSAWRN >ONI16453 pep chromosome:Prunus_persica_NCBIv2:G3:7591754:7596180:1 gene:PRUPE_3G098800 transcript:ONI16453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTMAFSSSPSILSLHCATHHPLVRSHTSLSSLPKIATALFSFSTFQTLPKFPLNSNKRIQCTEKGSSASSLDPKSGVSVYKPKSYEVLVADAAKSLAYALEDGKTRLEIDFPPLPSNISSYKGSSDDFIDANVQLALAVVRKLKEKRETRACIVFPDKPEKRRASELFKTAIDTLDDITIGSLDDVPGGPVTNFFRSIRNTLDFDFEDENEGRWASNEPPSLYVFINCSTRDLASIEKYVETFAMSTPTLLFNLELDTLRADLGLVGFPTKDLHYRFLCQFIPVFYIRIREYSKTVAVAPYIVNYNGALFRQYPALMLLWT >ONI16449 pep chromosome:Prunus_persica_NCBIv2:G3:7591754:7599539:1 gene:PRUPE_3G098800 transcript:ONI16449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTMAFSSSPSILSLHCATHHPLVRSHTSLSSLPKIATALFSFSTFQTLPKFPLNSNKRIQCTEKGSSASSLDPKSGVSVYKPKSYEVLVADAAKSLAYALEDGKTRLEIDFPPLPSNISSYKGSSDDFIDANVQLALAVVRKLKEKRETRACIVFPDKPEKRRASELFKTAIDTLDDITIGSLDDVPGGPVTNFFRSIRNTLDFDFEDENEGRWASNEPPSLYVFINCSTRDLASIEKYVETFAMSTPTLLFNLELDTLRADLGLVGFPTKDLHYRFLCQFIPVFYIRIREYSKTVAVAPYIVNYNGALFRQYPGPWQVMLKQADGSFACVAESATRFTLGETKEELLRVLGLQEEQGSSLQFLRRGYRSATWWEEDVELEVSSAWRN >ONI18010 pep chromosome:Prunus_persica_NCBIv2:G3:20429662:20431261:1 gene:PRUPE_3G191900 transcript:ONI18010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQALVSSSSLTASKEAARHLLGGKAVQSPFGSRKSASFVVRAASTPPVKQGADRQLWFASKQSLTYLDGSLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEVINGRYAMLGAVGAIAPEILGKLGLIPPETALPWFQTGVIPPAGTYNYWADPYTLFVFELALMGFAEHRRFQDWYNPGSMGKQYFLGLEKYLGGSGDPAYPGGPLFNPLGFGKDEKSLKDLKLKEVKNGRLAMLAILGYFIQGLVTGVGPFQNLLDHLADPVNNNVLTSLKFH >ONI18011 pep chromosome:Prunus_persica_NCBIv2:G3:20429740:20431224:1 gene:PRUPE_3G191900 transcript:ONI18011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQALVSSSSLTASKEAARHLLGGKAVQSPFGSRKSASFVVRAASTPPVKQGADRQLWFASKQSLTYLDGSLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEVINGRYAMLGAVGAIAPEILGKLGLIPPETALPWFQTGVIPPAGTYNYWADPYTLFVFELALMGFAEHRRFQDWYNPGSMGKQYFLGLEKYLGGSGDPAYPGGPLFNPLGFGKDEKSLKDLKLKEVKNGRLAMLAILGYFIQGLVTGVGPFQNLLDHLADPVNNNVLTSLKFH >ONI16681 pep chromosome:Prunus_persica_NCBIv2:G3:9722185:9727331:-1 gene:PRUPE_3G115100 transcript:ONI16681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASGFKPRGHILNRLIDVYCKSSNFSYAHQLFDQIPKPDIVARTTLITAYSAIGNLTLARKIFNETPLSMRDTVCYNAMITGYSRNNDGYASIRLFCEMRQGGFRPDDFTYTTVLSGAAQIVDVEKQCQQLHCAVVKSGTGFATSVWNALLSVYVRCASSPLVSSSSLMGEARNLFNEMPERDELSWTTMITGYIRNEDLHAARELLDGMDERMEVVWNAMISGYAHHNSFQEALLLFRKMRLLGIHQDEFTYTSVISTCANNGLFQLGKQVHAYILRTEAKPTVDFSLSVNNTLLTLYYKCGKLDEARYIFNNMPVKDLVSWNAILSGYVSAGRIQEAKSFFKEMPERSILTWTVMISGLAQNGLGEEAMKLFNQMRSEGFEPCDYAFSGAITSCAALGALEHGRQLHAQLISLGFDSSLSAANALITMYARCGVFEDANSVFLTMPYIDSVSWNAMIAALAQHGHGVQAIDLFEKMLKADILPDRITFLIILSACSHAGLVKEGRHYFSSMRVSYGISPDEGHYARMIDLLCRCGEFTEAKGLIESMPFEPGAPIWEALLAGCRTHGNMDLGIQAAERLFELVPQHDGTYILLSNLYAAIGRWDDVAKVRQLMRDRGVKKEPGCSWIDVENMVHVFLVGDTVHPEVQAVYKYLEQLGLEMRKLGYLPDTKFVLHDMESEHKEYSLSTHSEKLAVAFGLMKLPLGATIRVFKNLRICGDCHTAIKFMSRVVGRDIIVRDAKRFHHFRNGECSCGNYW >ONI17418 pep chromosome:Prunus_persica_NCBIv2:G3:17670731:17671356:1 gene:PRUPE_3G158000 transcript:ONI17418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNCWGFKLGLNITDTQKVRWSQFSTSETSQLSWLFPTIMLIPSKSLQISVQSLQISCCNNVEFFGYESDRNLMIKSKKSIVDKIGIGSSDIGLLECSSSRTGFFNLCISISIICFVCCCFCVCFGMKSSFYLLSTNRNWVSNIGHTIVKCQSEIGYRTW >ONI19164 pep chromosome:Prunus_persica_NCBIv2:G3:24800076:24802996:-1 gene:PRUPE_3G262700 transcript:ONI19164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDMRDQRVRTTVDRHAAVDKLDLYTEDVAAIFKKFQASTKEELSTEQVREFLEANGQNLSNSNDALLRRCQDLLFYGPLEKCPICHENNLEFTGYYCECATCIYRSKYPPRKRGPIKYPISIMKQLNPHFNPDFDPPYVEDQGLIARFWSNFFQLQIHDPRFARRVGITLNVYQDEVKINGRRMNKRTFWISVYVVVFVLTCFCFFMVKLWSNLSTQ >ONI19163 pep chromosome:Prunus_persica_NCBIv2:G3:24800159:24801666:-1 gene:PRUPE_3G262700 transcript:ONI19163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDMRDQRVRTTVDRHAAVDKLDLYTEDVAAIFKKFQASTKEELSTEQVREFLEANGQNLSNSNDALLRRCQDLLFYGPLEKCPICHENNLEFTGYYCECATCIYRSKYPPRKRGPIKYPISIMKQLNPHFNPDFDPPYVEDQGLIARFWSNFFQLQIHDPRFARRVGITLNVYQDEVKINGRRMNKRTFWISVYVVVFVLTCFCFFMVKLWSNLSTQ >ONI16426 pep chromosome:Prunus_persica_NCBIv2:G3:7432559:7440017:1 gene:PRUPE_3G097600 transcript:ONI16426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADSSSVSGHGQTVCVTGAGGFIASWIVKLLLERGYIVRGTLRNPDDPKNAHLRELEGAQDRLTLRKADLLDFESLKEAINGCDGVFHTASPVTDDPEQMMEPAVNGTKNVIVAAAEAKVKRVVFTSSIGTVYMNPTRSPDVVVDESCWSNLEYCKNTKNWYCYAKTVAEQTAWEEAKEKGVDLVVVNPVLVLGPLLQPTVNASIIHILKYLTGSAKTYANAVQAYVHVRDVAVAHILVYETPSASGRYLCGESVLHRGDVVEILAKFFPEYPIPTKCSDEVKPRVKPYKLTTQKLQDLGVEFIPVKQCLYETVKSLQEKGHLPVPKPQEDSIKIQS >ONI17571 pep chromosome:Prunus_persica_NCBIv2:G3:18621451:18622291:1 gene:PRUPE_3G167500 transcript:ONI17571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAAVPFWRAAGMTYITYSNICANLVRNCLKEPYKTEAISRERVHFAVSKWTDGKPETPR >ONI17570 pep chromosome:Prunus_persica_NCBIv2:G3:18621374:18623529:1 gene:PRUPE_3G167500 transcript:ONI17570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAAVPFWRAAGMTYITYSNICANLVRNCLKEPYKTEAISRERVHFAVSKWTDGKPETPTIRTDTPDV >ONI17436 pep chromosome:Prunus_persica_NCBIv2:G3:17765566:17781651:-1 gene:PRUPE_3G159200 transcript:ONI17436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPILKFRLGFSKAQGDSPREITSRSNLSSDTGENGWLIRFFDSAFFCEWIAVSYLYKHEHSGVRDYLCNRMYTLPLSGIESYLFQICYMSVHKPSPSLDKFVIDMCSKSLKIALKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWPPLIRPQSESASPGSKNQVLNKILSSKQKLLSLTSSPPAQRSFSFSPSSGNNLQEDGGLFSPDENKIFKKFIPGPKVRDALLFRKSVEKDEDDSEKEGFFKRLLRDSRGDDEMGSKIRDSLLFRKSSEKDDDDAEKDGFFKRLLRDSRGDDEELTSSSEGFFKRLFRDSKSDSDDKSISKSVEDEEKDGFFRKFFKDKFEDKKDRIDKNIDEDAPYSEERCSRSAEDDEKEGFFRKLFRDKFDDKKDGNDKTEEGSANGEEEEPSDFSLFRRLFRVHPEDAKSTAATENSNNGGLLESSPGTENFFRKLFRDRDRSVEDSELFGSKKHKEKRPGSPKQQNEKSSAKPPLPNNTASQYRKGAYHESLDFVQSLCETSYGLVDIFPIEDRKSALRESLAEINLHIDEAQNSGGVCFPMGKGMYRVVYIPEDEAVLLNSREKAPYLICVEVLKSEIPGNPKDISGSQKLSRGGIPLANGDALLTRPPPWAYPLWTVQEVYRNSNDRMSSSTAQAIDQAMSHTSEAKVKFVTVKISVEKKLHGQTVKAENISGSCQRGEALTASKVAQGSDLEWVRVVLTADPGVRMEDIEDQGPPRRKEHRRVPSTVAIEEVKAAAAKGEAPPGLPLKGAGQDSSDARPMANGSTPEASNALSGELWEVKKERIRKASVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSIKSRYPDITSLRDFFVAKYQENSPSFKLAQRNFVESMAGYSLVCYLLQVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGTRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >ONI17437 pep chromosome:Prunus_persica_NCBIv2:G3:17766376:17780937:-1 gene:PRUPE_3G159200 transcript:ONI17437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPILKFRLGFSKAQGDSPREITSRSNLSSDTGENGWLIRFFDSAFFCEWIAVSYLYKHEHSGVRDYLCNRMYTLPLSGIESYLFQICYMSVHKPSPSLDKFVIDMCSKSLKIALKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWPPLIRPQSESASPGSKNQVLNKILSSKQKLLSLTSSPPAQRSFSFSPSSGNNLQEDGGLFSPDENKIFKKFIPGPKVRDALLFRKSVEKDEDDSEKEGFFKRLLRDSRGDDEMGSKIRDSLLFRKSSEKDDDDAEKDGFFKRLLRDSRGDDEELTSSSEGFFKRLFRDSKSDSDDKSISKSVEDEEKDGFFRKFFKDKFEDKKDRIDKNIDEDAPYSEERCSRSAEDDEKEGFFRKLFRDKFDDKKDGNDKTEEGSANGEEEEPSDFSLFRRLFRVHPEDAKSTAATENSNNGGLLESSPGTENFFRKLFRDRDRSVEDSELFGSKKHKEKRPGSPKQQNEKSSAKPPLPNNTASQYRKGAYHESLDFVQSLCETSYGLVDIFPIEDRKSALRESLAEINLHIDEAQNSGGVCFPMGKGMYRVVYIPEDEAVLLNSREKAPYLICVEVLKSEIPGNPKDISGSQKLSRGGIPLANGDALLTRPPPWAYPLWTVQEVYRNSNDRMSSSTAQAIDQAMSHTSEAKVKFVTVKISVEKKLHGQTVKAENISGSCQRGEALTASKVAQGSDLEWVRVVLTADPGVRMEDIEDQGPPRRKEHRRVPSTVAIEEVKAAAAKGEAPPGLPLKGAGQDSSDARPMANGSTPEASNALSGELWEVKKERIRKASVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSIKSRYPDITSLRDFFVAKYQENSPSFKLAQRNFVESMAGYSLVCYLLQIKDRHNGNLLMDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGTRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >ONI17438 pep chromosome:Prunus_persica_NCBIv2:G3:17765566:17781651:-1 gene:PRUPE_3G159200 transcript:ONI17438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKSLKIALKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWPPLIRPQSESASPGSKNQVLNKILSSKQKLLSLTSSPPAQRSFSFSPSSGNNLQEDGGLFSPDENKIFKKFIPGPKVRDALLFRKSVEKDEDDSEKEGFFKRLLRDSRGDDEMGSKIRDSLLFRKSSEKDDDDAEKDGFFKRLLRDSRGDDEELTSSSEGFFKRLFRDSKSDSDDKSISKSVEDEEKDGFFRKFFKDKFEDKKDRIDKNIDEDAPYSEERCSRSAEDDEKEGFFRKLFRDKFDDKKDGNDKTEEGSANGEEEEPSDFSLFRRLFRVHPEDAKSTAATENSNNGGLLESSPGTENFFRKLFRDRDRSVEDSELFGSKKHKEKRPGSPKQQNEKSSAKPPLPNNTASQYRKGAYHESLDFVQSLCETSYGLVDIFPIEDRKSALRESLAEINLHIDEAQNSGGVCFPMGKGMYRVVYIPEDEAVLLNSREKAPYLICVEVLKSEIPGNPKDISGSQKLSRGGIPLANGDALLTRPPPWAYPLWTVQEVYRNSNDRMSSSTAQAIDQAMSHTSEAKVKFVTVKISVEKKLHGQTVKAENISGSCQRGEALTASKVAQGSDLEWVRVVLTADPGVRMEDIEDQGPPRRKEHRRVPSTVAIEEVKAAAAKGEAPPGLPLKGAGQDSSDARPMANGSTPEASNALSGELWEVKKERIRKASVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSIKSRYPDITSLRDFFVAKYQENSPSFKLAQRNFVESMAGYSLVCYLLQIKDRHNGNLLMDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGTRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >ONI19828 pep chromosome:Prunus_persica_NCBIv2:G3:26523858:26530327:-1 gene:PRUPE_3G300100 transcript:ONI19828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQSHSRLFLLQTHYAKTKPLPSCTAAHLSTGVLQNSDLSETLIANSKPAPAGVSHSILHSRTSIRTRVIRVRVFVCRKIFTMGNKVTEELLSTVRTIVGSAYSDMDIIRALHMANNDVTAAINIIFDTPSFKSKERSGFPKKPKLLSSEVVNSNQNGGQKSNCSLENEGNGGRCPSNSGVDVVEDVARCESLVGSEWWFVGCSEVAGLSTCRGRRIKAGDEVDFTFPLKSSSISPSPGKGFGRGRQVAACSEIVRFSTKDSGEIGRIPKEWARCLLPIVRDKKIRIEGHCKSAPDILSIMDTIVLSISVYINSSMFLKQNKTSLKAANNSTEETVVHPLPTLFRLLGLTPFKKAEFTPSDLYTRKRPLDPKDSSGLCAPMPLANKPKNPGRNGGEVENEESISDADLDNIVGVGDSSQLEEMDPPSTLQCELRPYQKQALHWMIQLEKGQCIDEGAMTLHPCWEAYRLADKRDCVIYLNAFSGDATTEFPSTLQMARGGILADSMGLGKTIMTIALLLAHSGHGLSGSHPTSQSSSEDIEISDISDHSPSSLPKKVTSFSGFDKFMKRKNTLADGGSLIICPMTLLGQWKAEIETHAQPGSLSVYVHYGQSRQKDAKLLAQSDVVITSYGVLASEFSVENNLEDIYSLLRFLRVEPWGNWAWWNKLIQKPFEEGDERGLNLVQSILKPIMLRRTKFSTDRDGRPILVLPPADIQVIYCELTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFSDLNKLARRFLKGSQNSLEGEAKDLPSRAYVQEVVEEMRKGEHVECPICLEAFEDAVLTPCAHRLCRECLLASWRNSTSGLCPVCRKNMSKQDLITAPTESRFQVDVEKNWVESSKVNILLRELESLRLSGSKSIVFSQWTAFLDLLQIPLSRSNIPFLRLDGTLNQQQREQVLKQFSEDSDILVLLMSLKAGGVGINLTAASNAFVLDPWWNPAVEEQAVMRIHRIGQTKRVMIRRFIMKGTVEEKMEAVQARKQRLISGALTDQEVRTARIEELKMLFT >ONI19829 pep chromosome:Prunus_persica_NCBIv2:G3:26524447:26530318:-1 gene:PRUPE_3G300100 transcript:ONI19829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQSHSRLFLLQTHYAKTKPLPSCTAAHLSTGVLQNSDLSETLIANSKPAPAGVSHSILHSRTSIRTRVIRVRVFVCRKIFTMGNKVTEELLSTVRTIVGSAYSDMDIIRALHMANNDVTAAINIIFDTPSFKSKERSGFPKKPKLLSSEVVNSNQNGGQKSNCSLENEGNGGRCPSNSGVDVVEDVARCESLVGSEWWFVGCSEVAGLSTCRGRRIKAGDEVDFTFPLKSSSISPSPGKGFGRGRQVAACSEIVRFSTKDSGEIGRIPKEWARCLLPIVRDKKIRIEGHCKSAPDILSIMDTIVLSISVYINSSMFLKQNKTSLKAANNSTEETVVHPLPTLFRLLGLTPFKKAEFTPSDLYTRKRPLDPKDSSGLCAPMPLANKPKNPGRNGGEVENEESISDADLDNIVGVGDSSQLEEMDPPSTLQCELRPYQKQALHWMIQLEKGQCIDEGAMTLHPCWEAYRLADKRDCVIYLNAFSGDATTEFPSTLQMARGGILADSMGLGKTIMTIALLLAHSGHGLSGSHPTSQSSSEDIEISDISDHSPSSLPKKVTSFSGFDKFMKRKNTLADGGSLIICPMTLLGQWKAEIETHAQPGSLSVYVHYGQSRQKDAKLLAQSDVVITSYGVLASEFSVENPKDNGGLYSVSWFRVVLDEAHTIKSSKSQISVAAAALVAGRRWCLTGTPIQNNLEDIYSLLRFLRVEPWGNWAWWNKLIQKPFEEGDERGLNLVQSILKPIMLRRTKFSTDRDGRPILVLPPADIQVIYCELTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFSDLNKLARRFLKGSQNSLEGEAKDLPSRAYVQEVVEEMRKGEHVECPICLEAFEDAVLTPCAHRLCRECLLASWRNSTSGLCPVCRKNMSKQDLITAPTESRFQVDVEKNWVESSKVNILLRELESLRLSGSKSIVFSQWTAFLDLLQIPLSRSNIPFLRLDGTLNQQQREQVLKQFSEDSDILVLLMSLKAGGVGINLTAASNAFVLDPWWNPAVEEQAVMRIHRIGQTKRVMIRRFIMKGTVEEKMEAVQARKQRLISGALTDQEVRTARIEELKMLFT >ONI19827 pep chromosome:Prunus_persica_NCBIv2:G3:26523858:26530327:-1 gene:PRUPE_3G300100 transcript:ONI19827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQSHSRLFLLQTHYAKTKPLPSCTAAHLSTGVLQNSDLSETLIANSKPAPAGVSHSILHSRTSIRTRVIRVRVFVCRKIFTMGNKVTEELLSTVRTIVGSAYSDMDIIRALHMANNDVTAAINIIFDTPSFKSKERSGFPKKPKLLSSEVVNSNQNGGQKSNCSLENEGNGGRCPSNSGVDVVEDVARCESLVGSEWWFVGCSEVAGLSTCRGRRIKAGDEVDFTFPLKSSSISPSPGKGFGRGRQVAACSEIVRFSTKDSGEIGRIPKEWARCLLPIVRDKKIRIEGHCKSAPDILSIMDTIVLSISSMFLKQNKTSLKAANNSTEETVVHPLPTLFRLLGLTPFKKAEFTPSDLYTRKRPLDPKDSSGLCAPMPLANKPKNPGRNGGEVENEESISDADLDNIVGVGDSSQLEEMDPPSTLQCELRPYQKQALHWMIQLEKGQCIDEGAMTLHPCWEAYRLADKRDCVIYLNAFSGDATTEFPSTLQMARGGILADSMGLGKTIMTIALLLAHSGHGLSGSHPTSQSSSEDIEISDISDHSPSSLPKKVTSFSGFDKFMKRKNTLADGGSLIICPMTLLGQWKAEIETHAQPGSLSVYVHYGQSRQKDAKLLAQSDVVITSYGVLASEFSVENPKDNGGLYSVSWFRVVLDEAHTIKSSKSQISVAAAALVAGRRWCLTGTPIQNNLEDIYSLLRFLRVEPWGNWAWWNKLIQKPFEEGDERGLNLVQSILKPIMLRRTKFSTDRDGRPILVLPPADIQVIYCELTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFSDLNKLARRFLKGSQNSLEGEAKDLPSRAYVQEVVEEMRKGEHVECPICLEAFEDAVLTPCAHRLCRECLLASWRNSTSGLCPVCRKNMSKQDLITAPTESRFQVDVEKNWVESSKVNILLRELESLRLSGSKSIVFSQWTAFLDLLQIPLSRSNIPFLRLDGTLNQQQREQVLKQFSEDSDILVLLMSLKAGGVGINLTAASNAFVLDPWWNPAVEEQAVMRIHRIGQTKRVMIRRFIMKGTVEEKMEAVQARKQRLISGALTDQEVRTARIEELKMLFT >ONI19830 pep chromosome:Prunus_persica_NCBIv2:G3:26523858:26530327:-1 gene:PRUPE_3G300100 transcript:ONI19830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQSHSRLFLLQTHYAKTKPLPSCTAAHLSTGVLQNSDLSETLIANSKPAPAGVSHSILHSRTSIRTRVIRVRVFVCRKIFTMGNKVTEELLSTVRTIVGSAYSDMDIIRALHMANNDVTAAINIIFDTPSFKSKERSGFPKKPKLLSSEVVNSNQNGGQKSNCSLENEGNGGRCPSNSGVDVVEDVARCESLVGSEWWFVGCSEVAGLSTCRGRRIKAGDEVDFTFPLKSSSISPSPGKGFGRGRQVAACSEIVRFSTKDSGEIGRIPKEWARCLLPIVRDKKIRIEGHCKSAPDILSIMDTIVLSISVYINSSMFLKQNKTSLKAANNSTEETVVHPLPTLFRLLGLTPFKKAEFTPSDLYTRKRPLDPKDSSGLCAPMPLANKPKNPGRNGGEVENEESISDADLDNIVGVGDSSQLEEMDPPSTLQCELRPYQKQALHWMIQLEKGQCIDEGAMTLHPCWEAYRLADKRDCVIYLNAFSGDATTEFPSTLQMARGGILADSMGLGKTIMTIALLLAHSGHGLSGSHPTSQSSSEDIEISDISDHSPSSLPKKVTSFSGFDKFMKRKNTLADGGSLIICPMTLLGQWKAEIETHAQPGSLSVYVHYGQSRQKDAKLLAQSDVVITSYGVLASEFSVENPKDNGGLYSVSWFRVVLDEAHTIKSSKSQISVAAAALVAGRRWCLTGTPIQNNLEDIYSLLRFLRVEPWGNWAWWNKLIQKPFEEGDERGLNLVQSILKPIMLRRTKFSTDRDGRPILVLPPADIQVIYCELTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFSDLNKLARRFLKGSQNSLEGEAKDLPSRAYVQEVVEEMRKGEHVECPICLEAFEDAVLTPCAHRLCRECLLASWRNSTSGLCPVCRKNMSKQDLITAPTESRFQVDVEKNWVESSKVNILLRELESLRLSGSKSIVFSQWTAFLDLLQIPLSRCY >ONI16818 pep chromosome:Prunus_persica_NCBIv2:G3:11105112:11109347:-1 gene:PRUPE_3G123800 transcript:ONI16818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGERQAARIRALYLKTILRQDIAFFDMETNGGGEVVGRMSGDTVLIQNAMGEKVGKFVQLFSTFIAGFIIAFIKVRPLTLVMLSCVPLLVAAGASISFIVTKMASHGQSAYAKAANVLDQTIGSIRTVASFTGEKKAITSYSKNLVDAYKSGVHEGSAAGIGHGFVMLVLFFSYALAVWFGSRMIREKGYSGGDVLNVILAVLTASMSLGQASTCLSAFAAGQVAAFKMFETISRRPEIDAYDEKGKTLNDIRGDIELREVFFSYPARPDEQIFDGFSLYIPSGTTAALVGESGSGKSTVISLVERFYDPRAGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFASNIKENIAYGKDGATLEEIEAAAQLANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAVLKDPRILLLDEATSALDAESERIVQEALDRIMVNRTSVIVAHRLSTVRNADTIAIIHKGKMVERGSHSDLLKDPEGAYSQLIRLQEVNKGSEQTAEAQNKSEITTKCFRQLSQRTAFVRSLSRNSSVGSMRENNNTLQADPEAPALPLEQPPKISMRRLVALNKPEIPVLLIGTVAAIITGVMIPIFGLLLSKVVKTFYEPPRQQKKDSEFWAIMFMTLGVASLLVIPASEYFFSVAGSKLIERIRLICFERVVHMEVGWFDEAENSSGAIGARLSADAALVRALVGDTLAHIVESIATAAAGLFIGFTACWQLAFILLALIPLIGINGYVQAKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMDLYRRKCEGPTEAAKRQGLISGIGFGISFFSLFCVYATCFYAGAKLVEAGKTTFPHVFQVFYALTMAAMGISQSSSFAVDFSKAKNAAASIFAIIDRKSKIDSSDESGVKLDSVKGEIELHHVIFKYPSRPDIQIFRDLSLTIHCGNVVLL >ONI16816 pep chromosome:Prunus_persica_NCBIv2:G3:11104095:11108388:-1 gene:PRUPE_3G123800 transcript:ONI16816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFETISRRPEIDAYDEKGKTLNDIRGDIELREVFFSYPARPDEQIFDGFSLYIPSGTTAALVGESGSGKSTVISLVERFYDPRAGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFASNIKENIAYGKDGATLEEIEAAAQLANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAVLKDPRILLLDEATSALDAESERIVQEALDRIMVNRTSVIVAHRLSTVRNADTIAIIHKGKMVERGSHSDLLKDPEGAYSQLIRLQEVNKGSEQTAEAQNKSEITTKCFRQLSQRTAFVRSLSRNSSVGSMRENNNTLQADPEAPALPLEQPPKISMRRLVALNKPEIPVLLIGTVAAIITGVMIPIFGLLLSKVVKTFYEPPRQQKKDSEFWAIMFMTLGVASLLVIPASEYFFSVAGSKLIERIRLICFERVVHMEVGWFDEAENSSGAIGARLSADAALVRALVGDTLAHIVESIATAAAGLFIGFTACWQLAFILLALIPLIGINGYVQAKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMDLYRRKCEGPTEAAKRQGLISGIGFGISFFSLFCVYATCFYAGAKLVEAGKTTFPHVFQVFYALTMAAMGISQSSSFAVDFSKAKNAAASIFAIIDRKSKIDSSDESGVKLDSVKGEIELHHVIFKYPSRPDIQIFRDLSLTIHCGNTVALVGESGSGKSSVVALLQRFYDPDSGHITLDGIELGKFQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGDATEAEIIAASELANAYRFISSLGQGFDTIVGERGVQLSGGQKQRIAIARAIIKSPKILLLDEATSALDAESERVVQDALDGVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVERGKHDTLMNISNGSYASLVALHMSASTA >ONI16815 pep chromosome:Prunus_persica_NCBIv2:G3:11104095:11110214:-1 gene:PRUPE_3G123800 transcript:ONI16815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGERQAARIRALYLKTILRQDIAFFDMETNGGGEVVGRMSGDTVLIQNAMGEKVGKFVQLFSTFIAGFIIAFIKVRPLTLVMLSCVPLLVAAGASISFIVTKMASHGQSAYAKAANVLDQTIGSIRTVASFTGEKKAITSYSKNLVDAYKSGVHEGSAAGIGHGFVMLVLFFSYALAVWFGSRMIREKGYSGGDVLNVILAVLTASMSLGQASTCLSAFAAGQVAAFKMFETISRRPEIDAYDEKGKTLNDIRGDIELREVFFSYPARPDEQIFDGFSLYIPSGTTAALVGESGSGKSTVISLVERFYDPRAGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFASNIKENIAYGKDGATLEEIEAAAQLANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAVLKDPRILLLDEATSALDAESERIVQEALDRIMVNRTSVIVAHRLSTVRNADTIAIIHKGKMVERGSHSDLLKDPEGAYSQLIRLQEVNKGSEQTAEAQNKSEITTKCFRQLSQRTAFVRSLSRNSSVGSMRENNNTLQADPEAPALPLEQPPKISMRRLVALNKPEIPVLLIGTVAAIITGVMIPIFGLLLSKVVKTFYEPPRQQKKDSEFWAIMFMTLGVASLLVIPASEYFFSVAGSKLIERIRLICFERVVHMEVGWFDEAENSSGAIGARLSADAALVRALVGDTLAHIVESIATAAAGLFIGFTACWQLAFILLALIPLIGINGYVQAKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMDLYRRKCEGPTEAAKRQGLISGIGFGISFFSLFCVYATCFYAGAKLVEAGKTTFPHVFQVFYALTMAAMGISQSSSFAVDFSKAKNAAASIFAIIDRKSKIDSSDESGVKLDSVKGEIELHHVIFKYPSRPDIQIFRDLSLTIHCGNTVALVGESGSGKSSVVALLQRFYDPDSGHITLDGIELGKFQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGDATEAEIIAASELANAYRFISSLGQGFDTIVGERGVQLSGGQKQRIAIARAIIKSPKILLLDEATSALDAESERVVQDALDGVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVERGKHDTLMNISNGSYASLVALHMSASTA >ONI16817 pep chromosome:Prunus_persica_NCBIv2:G3:11105112:11110056:-1 gene:PRUPE_3G123800 transcript:ONI16817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRDHDKSSYGGDEKTDKVAFIKLFSFADKTDIMLMLVGTIGAMGNGSCMSIMTILVGEMSDSFGTNQNNTHIGLSVVSKVSLKFVYLAIWAAVAATLQVGCWMVTGERQAARIRALYLKTILRQDIAFFDMETNGGGEVVGRMSGDTVLIQNAMGEKVGKFVQLFSTFIAGFIIAFIKVRPLTLVMLSCVPLLVAAGASISFIVTKMASHGQSAYAKAANVLDQTIGSIRTVASFTGEKKAITSYSKNLVDAYKSGVHEGSAAGIGHGFVMLVLFFSYALAVWFGSRMIREKGYSGGDVLNVILAVLTASMSLGQASTCLSAFAAGQVAAFKMFETISRRPEIDAYDEKGKTLNDIRGDIELREVFFSYPARPDEQIFDGFSLYIPSGTTAALVGESGSGKSTVISLVERFYDPRAGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFASNIKENIAYGKDGATLEEIEAAAQLANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAVLKDPRILLLDEATSALDAESERIVQEALDRIMVNRTSVIVAHRLSTVRNADTIAIIHKGKMVERGSHSDLLKDPEGAYSQLIRLQEVNKGSEQTAEAQNKSEITTKCFRQLSQRTAFVRSLSRNSSVGSMRENNNTLQADPEAPALPLEQPPKISMRRLVALNKPEIPVLLIGTVAAIITGVMIPIFGLLLSKVVKTFYEPPRQQKKDSEFWAIMFMTLGVASLLVIPASEYFFSVAGSKLIERIRLICFERVVHMEVGWFDEAENSSGAIGARLSADAALVRALVGDTLAHIVESIATAAAGLFIGFTACWQLAFILLALIPLIGINGYVQAKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMDLYRRKCEGPTEAAKRQGLISGIGFGISFFSLFCVYATCFYAGAKLVEAGKTTFPHVFQVFYALTMAAMGISQSSSFAVDFSKAKNAAASIFAIIDRKSKIDSSDESGVKLDSVKGEIELHHVIFKYPSRPDIQIFRDLSLTIHCGNVVLL >ONI16819 pep chromosome:Prunus_persica_NCBIv2:G3:11105112:11108280:-1 gene:PRUPE_3G123800 transcript:ONI16819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFETISRRPEIDAYDEKGKTLNDIRGDIELREVFFSYPARPDEQIFDGFSLYIPSGTTAALVGESGSGKSTVISLVERFYDPRAGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFASNIKENIAYGKDGATLEEIEAAAQLANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAVLKDPRILLLDEATSALDAESERIVQEALDRIMVNRTSVIVAHRLSTVRNADTIAIIHKGKMVERGSHSDLLKDPEGAYSQLIRLQEVNKGSEQTAEAQNKSEITTKCFRQLSQRTAFVRSLSRNSSVGSMRENNNTLQADPEAPALPLEQPPKISMRRLVALNKPEIPVLLIGTVAAIITGVMIPIFGLLLSKVVKTFYEPPRQQKKDSEFWAIMFMTLGVASLLVIPASEYFFSVAGSKLIERIRLICFERVVHMEVGWFDEAENSSGAIGARLSADAALVRALVGDTLAHIVESIATAAAGLFIGFTACWQLAFILLALIPLIGINGYVQAKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMDLYRRKCEGPTEAAKRQGLISGIGFGISFFSLFCVYATCFYAGAKLVEAGKTTFPHVFQVFYALTMAAMGISQSSSFAVDFSKAKNAAASIFAIIDRKSKIDSSDESGVKLDSVKGEIELHHVIFKYPSRPDIQIFRDLSLTIHCGNVVLL >ONI16814 pep chromosome:Prunus_persica_NCBIv2:G3:11104095:11110214:-1 gene:PRUPE_3G123800 transcript:ONI16814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRDHDKSSYGGDEKTDKVAFIKLFSFADKTDIMLMLVGTIGAMGNGSCMSIMTILVGEMSDSFGTNQNNTHIGLSVVSKVSLKFVYLAIWAAVAATLQVGCWMVTGERQAARIRALYLKTILRQDIAFFDMETNGGGEVVGRMSGDTVLIQNAMGEKVGKFVQLFSTFIAGFIIAFIKVRPLTLVMLSCVPLLVAAGASISFIVTKMASHGQSAYAKAANVLDQTIGSIRTVASFTGEKKAITSYSKNLVDAYKSGVHEGSAAGIGHGFVMLVLFFSYALAVWFGSRMIREKGYSGGDVLNVILAVLTASMSLGQASTCLSAFAAGQVAAFKMFETISRRPEIDAYDEKGKTLNDIRGDIELREVFFSYPARPDEQIFDGFSLYIPSGTTAALVGESGSGKSTVISLVERFYDPRAGEVLIDGINLKEFQLKWIRSKIGLVSQEPVLFASNIKENIAYGKDGATLEEIEAAAQLANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAVLKDPRILLLDEATSALDAESERIVQEALDRIMVNRTSVIVAHRLSTVRNADTIAIIHKGKMVERGSHSDLLKDPEGAYSQLIRLQEVNKGSEQTAEAQNKSEITTKCFRQLSQRTAFVRSLSRNSSVGSMRENNNTLQADPEAPALPLEQPPKISMRRLVALNKPEIPVLLIGTVAAIITGVMIPIFGLLLSKVVKTFYEPPRQQKKDSEFWAIMFMTLGVASLLVIPASEYFFSVAGSKLIERIRLICFERVVHMEVGWFDEAENSSGAIGARLSADAALVRALVGDTLAHIVESIATAAAGLFIGFTACWQLAFILLALIPLIGINGYVQAKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMDLYRRKCEGPTEAAKRQGLISGIGFGISFFSLFCVYATCFYAGAKLVEAGKTTFPHVFQVFYALTMAAMGISQSSSFAVDFSKAKNAAASIFAIIDRKSKIDSSDESGVKLDSVKGEIELHHVIFKYPSRPDIQIFRDLSLTIHCGNTVALVGESGSGKSSVVALLQRFYDPDSGHITLDGIELGKFQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGDATEAEIIAASELANAYRFISSLGQGFDTIVGERGVQLSGGQKQRIAIARAIIKSPKILLLDEATSALDAESERVVQDALDGVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVERGKHDTLMNISNGSYASLVALHMSASTA >ONI16987 pep chromosome:Prunus_persica_NCBIv2:G3:13731465:13736817:1 gene:PRUPE_3G132400 transcript:ONI16987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSSPTYRKKMVVAGNGLFYPIVGFASCVAFIYMSFGGLGTNLQEKTKLGFVERNGTQFMLDGKAFYINGWNSYWLMDHSVDEYTKPRVREILQAGATMGLTVCRTWAFNDGDYNALQISPGRFNEQVFKALDHVIVEARQQGIRLLFSLVNNLQAYGGKTQYVKWAWDEGVGLSASNDSFFFDPSIRNYFKNYVKTLLTRKNTLNGIEYRDDPTIFAWELINEPRCITDASGDTLQDWIEEMSAFVKAIDKKHLLTVGLEGFYGPKNPKRLTVNPEMWASRLGSDFIRNSKVPNIDFASVHIYPDHWFHDKEFHENLKFVSKWMHSHIEDGDKELNKPVMFTEYGLSNQNKDFEPSQRDRFYKVILDAIYKSAKKNGSGAGSLVWQLFVGGMDKYNDEFGIVPWERPSTYKLIIEQSCRLARLHGPTQQQRNLKDLCSQRQ >ONI16986 pep chromosome:Prunus_persica_NCBIv2:G3:13732221:13736347:1 gene:PRUPE_3G132400 transcript:ONI16986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSSPTYRKKMVVAGNGLFYPIVGFASCVAFIYMSFGGLGTNLQEKTKLGFVERNGTQFMLDGKAFYINGWNSYWLMDHSVDEYTKPRVREILQAGATMGLTVCRTWAFNDGDYNALQISPGRFNEQVFKALDHVIVEARQQGIRLLFSLVNNLQAYGGKTQYVKWAWDEGVGLSASNDSFFFDPSIRNYFKNYVKTLLTRKNTLNGIEYRDDPTIFAWELINEPRCITDASGDTLQDWIEEMSAFVKAIDKKHLLTVGLEGFYGPKNPKRLTVNPEMWASRLGSDFIRNSKVPNIDFASVHIYPDHWFHDKEFHENLKFVSKWMHSHIEDGDKELNKPVMFTEYGLSNQNKDFEPSQRDRFYKVILDAIYKSAKKNGSGAGSLVWQLFVGGMDKYNDEFGIVPWERPSTYKLIIEQSCRLARLHGPTQQQRNLKDLCSQRQ >ONI16985 pep chromosome:Prunus_persica_NCBIv2:G3:13731345:13736822:1 gene:PRUPE_3G132400 transcript:ONI16985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWVLNTETCSVQIVPESHLGNQLFQICANFGAFMILRSSPTYRKKMVVAGNGLFYPIVGFASCVAFIYMSFGGLGTNLQEKTKLGFVERNGTQFMLDGKAFYINGWNSYWLMDHSVDEYTKPRVREILQAGATMGLTVCRTWAFNDGDYNALQISPGRFNEQVFKALDHVIVEARQQGIRLLFSLVNNLQAYGGKTQYVKWAWDEGVGLSASNDSFFFDPSIRNYFKNYTLLTRKNTLNGIEYRDDPTIFAWELINEPRCITDASGDTLQDWIEEMSAFVKAIDKKHLLTVGLEGFYGPKNPKRLTVNPEMWASRLGSDFIRNSKVPNIDFASVHIYPDHWFHDKEFHENLKFVSKWMHSHIEDGDKELNKPVMFTEYGLSNQNKDFEPSQRDRFYKVILDAIYKSAKKNGSGAGSLVWQLFVGGMDKYNDEFGIVPWERPSTYKLIIEQSCRLARLHGPTQQQRNLKDLCSQRQ >ONI16988 pep chromosome:Prunus_persica_NCBIv2:G3:13732005:13736763:1 gene:PRUPE_3G132400 transcript:ONI16988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSSPTYRKKMVVAGNGLFYPIVGFASCVAFIYMSFGGLGTNLQEKTKLGFVERNGTQFMLDGKAFYINGWNSYWLMDHSVDEYTKPRVREILQAGATMGLTVCRTWAFNDGDYNALQISPGRFNEQVFKALDHVIVEARQQGIRLLFSLVNNLQAYGGKTQYVKWAWDEGVGLSASNDSFFFDPSIRNYFKNYVKTLLTRKNTLNGIEYRDDPTIFAWELINEPRCITDASGDTLQDWIEEMSAFVKAIDKKHLLTVGLEGFYGPKNPKRLTVNPEMWASRLGSDFIRNSKVPNIDFASVHIYPDHWFHDKEFHENLKFVSKWMHSHIEDGDKELNKPVMFTEYGLSNQNKDFEPSQRDRFYKVILDAIYKSAKKNGSGAGSLVWQLFVGGMDKLARLHGPTQQQRNLKDLCSQRQ >ONI18849 pep chromosome:Prunus_persica_NCBIv2:G3:23612995:23613708:-1 gene:PRUPE_3G243400 transcript:ONI18849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSQNISHQAGQAKGQAEEKASGMMDQMSNAAQSAKESCQGAGQQMKDKAQGAADSVKDAVGANKN >ONI16311 pep chromosome:Prunus_persica_NCBIv2:G3:6790363:6791203:-1 gene:PRUPE_3G091200 transcript:ONI16311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLAIAATALLVILLLELGLAYSSSEPHEPLRIKEIKEGNAAVDTCTGCKESTIHFHKRQSDRILRFRGRQLSPQEGKDLDKPRQPPTPPKAPSSGRP >ONI19958 pep chromosome:Prunus_persica_NCBIv2:G3:26830986:26841208:-1 gene:PRUPE_3G307800 transcript:ONI19958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVQLKRIDDKIRRQVTFSKRRSGLIKKARELSVLCSVEVGLIIFSAKGRLYEFCSGESLGKVLERYQIHNDEENAAPKSGGGTGKKNPSEWNGLCAGPNRSLKTIQSELEAQNIENLDVTELTQLEKQLDTLLRQTRSRKTQLMMDSLTALIEKEKQLQEEKLLMEKEIAELKEQKNKEQAEEADQQSCSANNNNNSDDNAPPRQTMLHLF >ONI19959 pep chromosome:Prunus_persica_NCBIv2:G3:26831194:26841208:-1 gene:PRUPE_3G307800 transcript:ONI19959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVQLKRIDDKIRRQVTFSKRRSGLIKKARELSVLCSVEVGLIIFSAKGRLYEFCSGERFQLVELNTLHKEEKNLVLFANLGKVLERYQIHNDEENAAPKSGGGTGKNPSEWNGLCAGPNRSLKTIQSELEAQNIENLDVTELTQLEKQLDTLLRQTRSRKTQLMMDSLTALIEKEKQLQEEKLLMEKEIAELKEQKNKEQAEEADQQSCSANNNNNSDDNAPPRQTMLHLF >ONI19960 pep chromosome:Prunus_persica_NCBIv2:G3:26831447:26840338:-1 gene:PRUPE_3G307800 transcript:ONI19960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVQLKRIDDKIRRQVTFSKRRSGLIKKARELSVLCSVEVGLIIFSAKGRLYEFCSGERFQLVELNTLHKEEKNLVLFANLGKVLERYQIHNDEENAAPKSGGGTGKKNPSEWNGLCAGPNRSLKTIQSELEAQNIENLDVTELTQLEKQLDTLLRQTRSRKTQLMMDSLTALIEKEKQLQEEKLLMEKEIAELKEQKNKEQAEEADQQSCSANNNNNSDDNAPPRQTMLHLF >ONI18228 pep chromosome:Prunus_persica_NCBIv2:G3:21085564:21087309:1 gene:PRUPE_3G203200 transcript:ONI18228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKFILGTTFFLFLISFSIKARAADFDINKYGAKADGKTDDSRAINSAWKEACASTTPSTVVIAKGNYLAGPVKFQGPCKAPVSIRVEGTLQAPAEPEKLKSQDGWVVFRNIDGLTVSGGGTFDGQGSIAWSKNDCAKTGKCNSLPINIRFTGLTNSHIQNITSLNSKLFHINVLNCKNVTLQHVIITAPGESLNTDGIHIGRSSNINITGAEIKTGDDCVSLGDGSQQINIEKVKCGPGHGISIGSLGRYHDEQPVTGVTVRNCTISNTSNGVRVKTWPASPNGVASDLHFEDIIMENVKTSPVLIDQEYCPNGQCQAKIPSKVKISNVSFKNIRGTSADPVVVKLACSKGIPCQNVQISDIHLTYNGKNGAATSVCTNVKPTMTGQIFPPACAKTAA >ONI16015 pep chromosome:Prunus_persica_NCBIv2:G3:5340877:5342891:-1 gene:PRUPE_3G074100 transcript:ONI16015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALHVSPAFSLLLRSQRLQSHLPNGPQKLSTRRPIKPFVSATLSRPTARFPSNPPPLLLPSRPERRHLRLPIGSRGICSSTRLASSESIGHEAVRAIGSESLDEKEDLQPVFSFKLRGAYNMMPKLPREQLDRGVICSSTGNHAQGVALAAKELNCSAVIAMPVTTPEIKLNFTILICGFGLLNVVEFCIACLQWKSVERLGATVILIGDSYDEAQTYAKKQAQEEGRSFIPPFDHPDVIIGQGTLKIQRTNYNSANNPQPFLNFMKNQQYKKIDVHQR >ONI18971 pep chromosome:Prunus_persica_NCBIv2:G3:24006674:24007587:-1 gene:PRUPE_3G250200 transcript:ONI18971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWVRPELTRNVFLNPDVRIDKAKRGMGVLENKEEGEKYAEHGLRKFLRTRPPEIMPTINQFFSQDE >ONI18972 pep chromosome:Prunus_persica_NCBIv2:G3:24006875:24007341:-1 gene:PRUPE_3G250200 transcript:ONI18972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWVRPEVYPLMAAMTFVTSLCAFQLTRNVFLNPDVRIDKAKRGMGVLENKEEGEKYAEHGLRKFLRTRPPEIMPTINQFFSQDE >ONI16395 pep chromosome:Prunus_persica_NCBIv2:G3:7214951:7216078:-1 gene:PRUPE_3G096000 transcript:ONI16395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSHQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGTKARTNFSYNPDETMSHSSKLLSAALIAKLHKCNMASLQMAKTTNNKPVPKEEPQQQVHYMPAAPSATCIIETGDVSGFDWPEKIREYSGVQQWVEQGSWVGGGDQVLESPHDHQQHHQHQHQQEFIERLEDDHIEQMIEELLDYGHIEICPGVST >ONI16222 pep chromosome:Prunus_persica_NCBIv2:G3:6325376:6326934:1 gene:PRUPE_3G085800 transcript:ONI16222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKVDGASVELSAPPAWKTKVTRETPMNYSVVGCKVLGQSPINRISMNYKFSSVWHPRDSQTQIFLKICNPGDSIFVAGFNLIIYS >ONI16220 pep chromosome:Prunus_persica_NCBIv2:G3:6305296:6308759:-1 gene:PRUPE_3G085600 transcript:ONI16220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFQLRTLCLCLLLLVFKTCIAGQQHKDRIYPGFQASQMDWSDNGGLFLLSNNSAFALGFYQALDVKLYLLVVIHLGTSKVVWTANRGSLISNSDKFAFDKNGNAYLRSSNNLVWSTNTTGEVVSAMQLQDSGNLVLLGDKGSILWQSFSHPTDTLLPGQEFSEGMKLKSFRNRNNVSHYLEIQSGELVLYAGYQIPQIYWSMADDSRKSNNNVSGKIHSLSLVSNSWNFYGANGSLLWQFVFSDNKDPNAFWAAVLGSDGIISFYNFQKGKSVAAEATKIPQSSCSTPEPCDPYYVCYFENWCQCPSLLTSRFNCKPQALPTCNTSKSSVELLYVGEKLDYFALGFSTPSLKSNLSSCKEACLGDCSCLVLFFENSSGHCFLFDRVGSFERSAANSTGYISFMKALRDGSPVKEGKGNKSKRILLLMVISVATILVIVGLVYVGFWYYRRMRLLEYSQEILEEDKFLDSLSGMPIRFTYGDLSRATKNFSNKIGRGGFGSVYLGVLPDGIQLAVKKLEGVGQGKKEFRAEVTIIGKIRHVHLVKLRGFCAEGPHRLLVYEYMGKGSLDKWIFKNNKKDESLDWNTRFSIALGTAKGLAYLHEECEEKIVHCDIKPENVLLDDNFVAKVSDFGLSKLMNREESGVHTTLRGTRGYLAPEWITNYAISEKSDVYSYGMVLLEIIGGKRNFDPGDSSAKGHFPSYAFKLLEEGNMKQFLDPNLDVDENDERVLSAIKVALWCIQDEMQLRPPMTKVVQMLEGLCTLPPPPISPSVSGPHFSFLQWSSKDATSSSGLSNYNSDILMSDIRLSGPR >ONI15889 pep chromosome:Prunus_persica_NCBIv2:G3:4876544:4878200:1 gene:PRUPE_3G067400 transcript:ONI15889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLATLAAVQPATINGLAGSSFTGTKLSVKPTRQTLRPKNIRSGAVVAKYGDKSVYFDLEDLGNTTGKWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGASTIAYLSATASDDILPIKKGPQLPPKLGPRGKI >ONI17203 pep chromosome:Prunus_persica_NCBIv2:G3:15816020:15819814:1 gene:PRUPE_3G144800 transcript:ONI17203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHEQHQHSQSIFRPFRTHVQLNHVLHFLFFGLGLSLGIVTTLYFQSFSFTTFQASLNSINFSSPEPSSSTTQSPPPPTLLAPPPPPPLPPPPPPLPPPPFLVLSFTNVTSNYTSVSLKEQKLLVHNMSDEELFWRASMVPRIQKLPYKYVPKVAFMFLTKGPLPLGPLWEKFFEGHEGLYSIYVHAHPDFNESVPEDSVFHGRRIHSQPVYWGTSTMLDAERRLLANALLDFSNQRFVLLSESCIPLFNFTTTYDYLINSNLSFLSSFDDPRKPGRGRYNPKMYPIINITNWRKGSQWFEVHRELAIHIVSDCKYYPIFQEYCRPSCYIDEHYIPTLVNILYSELNSKRSITWVDWSRGGPHPGKFEWSDITDEFLNRIRFGSECEYNGNTTSICFLFARKFMPNTLEPLLRVAPLLLGFDP >ONI17831 pep chromosome:Prunus_persica_NCBIv2:G3:19728935:19734283:-1 gene:PRUPE_3G181500 transcript:ONI17831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLILGGRIYDWFEIECSNQSSKTTSSAPHYKPVLKRAQLEVLNISTGGLHQAIGGTLQVNSPVTFFCNGNNGSSKMVDLTGSPFVYSLEKNRFTAVSCGFLAGMEAEFVVGGCR >ONI16463 pep chromosome:Prunus_persica_NCBIv2:G3:7647423:7649601:-1 gene:PRUPE_3G099700 transcript:ONI16463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSMARRLRSKHPQLFLSHTLLPSISNSPSPPHSSHPLPQYLSQTFHRTLLVPFHTPRHFSTLQPFSAQTLNDPLGFNAQRFKTNDPYKSGLTQFLELLKHAAHFASEAEAMAFLDKSGIEVNGDTVLLAIWELREDWKLAFLAFKWGEKLGCCDEEACSLMVWVLGSHRKFSTAWCLIRDLHRALMDTRRAMLIMIERYASVNDPCKAIKTFQMMDKFRLTPDREAFHTLLNALCKYGNIEEAEEFMLVNKKLFPLETEGFNIILNGWCNISVDVFEAKRVWREMSKCCITPDATSYSHLISCLSKVGKLFDSLRFYDQMKKRGFVPGLKVYNSLIYVLTCENCFNEALKMLGNLKQMGLQPDSTTYNSMICPLCESKKLEEARQMLSAMIEDNISPTIETYHAFLQSTGLEGTLEILNRMKKENLGPNGNTFLMNLGKFFRLEQPEMALKIWTEMKHYGVVPDSTHYTVMVQGLAARRLLMKARELFAEMRSNGFLGDPKLEKLLKEPVGGSSVKGKQRLRPVKQATRVNQKQGRMKRWKSPHQSREEKTSIQ >ONI16462 pep chromosome:Prunus_persica_NCBIv2:G3:7646484:7649751:-1 gene:PRUPE_3G099700 transcript:ONI16462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSMARRLRSKHPQLFLSHTLLPSISNSPSPPHSSHPLPQYLSQTFHRTLLVPFHTPRHFSTLQPFSAQTLNDPLGFNAQRFKTNDPYKSGLTQFLELLKHAAHFASEAEAMAFLDKSGIEVNGDTVLLAIWELREDWKLAFLAFKWGEKLGCCDEEACSLMVWVLGSHRKFSTAWCLIRDLHRALMDTRRAMLIMIERYASVNDPCKAIKTFQMMDKFRLTPDREAFHTLLNALCKYGNIEEAEEFMLVNKKLFPLETEGFNIILNGWCNISVDVFEAKRVWREMSKCCITPDATSYSHLISCLSKVGKLFDSLRFYDQMKKRGFVPGLKVYNSLIYVLTCENCFNEALKMLGNLKQMGLQPDSTTYNSMICPLCESKKLEEARQMLSAMIEDNISPTIETYHAFLQSTGLEGTLEILNRMKKENLGPNGNTFLMNLGKFFRLEQPEMALKIWTEMKHYGVVPDSTHYTVMVQGLAARRLLMKARELFAEMRSNGFLGDPKLEKLLKEPVGGSSVKGKQRLRPVKQATRVNQKQGRMKRWKSPHQSREEKTSIQ >ONI16652 pep chromosome:Prunus_persica_NCBIv2:G3:9435820:9440464:-1 gene:PRUPE_3G113100 transcript:ONI16652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSYVNLLDLASGNFPTMEGKRRRFPRVNTAPGNLSDVDDDQARSVSSDQPSSIASDRMIIVANQLPVKAKRREDNKGWIFSWNEDALLLHLKDGLPEDMEVLYVGSLKVSVDPREQDDVSQVLLDKFRCVPTFLPPDILSKFYDGFCKRHLWPLFHYMLPFSADQGGRFDRSLWEAYILANKLFFQKVVELINPDEDYVWIHDYHLMVLPTFLRRRFNRVRIGFFLHSPFPSSEIYSTLTVREEILKALLNSDVIGFHTFDYARHFLTCCSRMLGLAYQSKRGYLGLEFYGRTIRIKIMPVGVHMDWIESVMKVADEESKMAELKQKFQGKTMLLGVDDTDIFKGINLKLLAMEQMLKQHPGWQGKAVLVQILNPARGKGIDFEEILAEIQESCRRINEQFGRPGYEPIILIDRAVSINERICYYNIAECVVVTAVRDGMNLTPYEYVVCRQGITGSKSCSNFDGPKKSMLVVSEFIGCSPSLSGAIRINPWNVETTGEAMNVAISMLDSEKELRHEKHYRYVSTHGVAYWSRSFLQDMQRACADHFKRRCWGIGFGFGFRVVALDPNFRKLSLDAIISAYRGAQTRAILLDYDGTVMPQNSIDKSPSQKVISIMNTLCTDPKNTVFIVTGRGRESLSKWFSPCQRLGIAAEHGYFLRWSQNQEWEICRQGFEFGWMKIVEPVMQLYTESTDGSSIETKESALVWQYRDADPGFGSSQAKEMLDHLESVLANEPVAVKKGQFIVEVKPQEVSKGHVAEKIFSSMAENGKHADFVLCIGDDRSDEDMFEIFDNAMLRSTLSPNPSVFACTVGQKPSKATYYLDDTTEVINMLKCLSESL >ONI16651 pep chromosome:Prunus_persica_NCBIv2:G3:9435820:9440654:-1 gene:PRUPE_3G113100 transcript:ONI16651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSYVNLLDLASGNFPTMEGKRRRFPRVNTAPGNLSDVDDDQARSVSSDQPSSIASDRMIIVANQLPVKAKRREDNKGWIFSWNEDALLLHLKDGLPEDMEVLYVGSLKVSVDPREQDDVSQVLLDKFRCVPTFLPPDILSKFYDGFCKRHLWPLFHYMLPFSADQGGRFDRSLWEAYILANKLFFQKVVELINPDEDYVWIHDYHLMVLPTFLRRRFNRVRIGFFLHSPFPSSEIYSTLTVREEILKALLNSDVIGFHTFDYARHFLTCCSRMLGLAYQSKRGYLGLEFYGRTIRIKIMPVGVHMDWIESVMKVADEESKMAELKQKFQGKTMLLGVDDTDIFKGINLKLLAMEQMLKQHPGWQGKAVLVQILNPARGKGIDFEEILAEIQESCRRINEQFGRPGYEPIILIDRAVSINERICYYNIAECVVVTAVRDGMNLTPYEYVVCRQGITGSKSCSNFDGPKKSMLVVSEFIGCSPSLSGAIRINPWNVETTGEAMNVAISMLDSEKELRHEKHYRYVSTHGVAYWSRSFLQDMQRACADHFKRRCWGIGFGFGFRVVALDPNFRKLSLDAIISAYRGAQTRAILLDYDGTVMPQNSIDKSPSQKVISIMNTLCTDPKNTVFIVTGRGRESLSKWFSPCQRLGIAAEHGYFLRWSQNQEWEICRQGFEFGWMKIVEPVMQLYTESTDGSSIETKESALVWQYRDADPGFGSSQAKEMLDHLESVLANEPVAVKKGQFIVEVKPQEVSKGHVAEKIFSSMAENGKHADFVLCIGDDRSDEDMFEIFDNAMLRSTLSPNPSVFACTVGQKPSKATYYLDDTTEVINMLKCLSESL >ONI16653 pep chromosome:Prunus_persica_NCBIv2:G3:9435820:9440653:-1 gene:PRUPE_3G113100 transcript:ONI16653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSYVNLLDLASGNFPTMEGKRRRFPRVNTAPGNLSDVDDDQARSVSSDQPSSIASDRMIIVANQLPVKAKRREDNKGWIFSWNEDALLLHLKDGLPEDMEVLYVGSLKVSVDPREQDDVSQVLLDKFRCVPTFLPPDILSKFYDGFCKRHLWPLFHYMLPFSADQGGRFDRSLWEAYILANKLFFQKVVELINPDEDYVWIHDYHLMVLPTFLRRRFNRVRIGFFLHSPFPSSEIYSTLTVREEILKALLNSDVIGFHTFDYARHFLTCCSRMLGLAYQSKRGYLGLEFYGRTIRIKIMPVGVHMDWIESVMKVADEESKMAELKQKFQGKTMLLGVDDTDIFKGINLKLLAMEQMLKQHPGWQGKAVLVQILNPARGKGIDFEEILAEIQESCRRINEQFGRPGYEPIILIDRAVSINERICYYNIAECVVVTAVRDGMNLTPYEYVVCRQGITGSKSCSNFDGPKKSMLVVSEFIGCSPSLSGAIRINPWNVETTGEAMNVAISMLDSEKELRHEKHYRYVSTHGVAYWSRSFLQDMQRACADHFKRRCWGIGFGFGFRVVALDPNFRKLSLDAIISAYRGAQTRAILLDYDGTVMPQNSIDKSPSQKVISIMNTLCTDPKNTVFIVTGRGRESLSKWFSPCQRLGIAAEHGYFLRWSQNQEWEICRQGFEFGWMKIVEPVMQLYTESTDGSSIETKESALVWQYRDADPGFGSSQAKEMLDHLESVLANEPVAVKKGQFIVEVKPQEVSKGHVAEKIFSSMAENGKHADFVLCIGDDRSDEDMFEIFDNAMLRSTLSPNPSVFACTVGQKPSKATYYLDDTTEVINMLKCLSESL >ONI16655 pep chromosome:Prunus_persica_NCBIv2:G3:9435820:9440510:-1 gene:PRUPE_3G113100 transcript:ONI16655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRRRFPRVNTAPGNLSDVDDDQARSVSSDQPSSIASDRMIIVANQLPVKAKRREDNKGWIFSWNEDALLLHLKDGLPEDMEVLYVGSLKVSVDPREQDDVSQVLLDKFRCVPTFLPPDILSKFYDGFCKRHLWPLFHYMLPFSADQGGRFDRSLWEAYILANKLFFQKVVELINPDEDYVWIHDYHLMVLPTFLRRRFNRVRIGFFLHSPFPSSEIYSTLTVREEILKALLNSDVIGFHTFDYARHFLTCCSRMLGLAYQSKRGYLGLEFYGRTIRIKIMPVGVHMDWIESVMKVADEESKMAELKQKFQGKTMLLGVDDTDIFKGINLKLLAMEQMLKQHPGWQGKAVLVQILNPARGKGIDFEEILAEIQESCRRINEQFGRPGYEPIILIDRAVSINERICYYNIAECVVVTAVRDGMNLTPYEYVVCRQGITGSKSCSNFDGPKKSMLVVSEFIGCSPSLSGAIRINPWNVETTGEAMNVAISMLDSEKELRHEKHYRYVSTHGVAYWSRSFLQDMQRACADHFKRRCWGIGFGFGFRVVALDPNFRKLSLDAIISAYRGAQTRAILLDYDGTVMPQNSIDKSPSQKVISIMNTLCTDPKNTVFIVTGRGRESLSKWFSPCQRLGIAAEHGYFLRWSQNQEWEICRQGFEFGWMKIVEPVMQLYTESTDGSSIETKESALVWQYRDADPGFGSSQAKEMLDHLESVLANEPVAVKKGQFIVEVKPQEVSKGHVAEKIFSSMAENGKHADFVLCIGDDRSDEDMFEIFDNAMLRSTLSPNPSVFACTVGQKPSKATYYLDDTTEVINMLKCLSESL >ONI16654 pep chromosome:Prunus_persica_NCBIv2:G3:9435820:9439968:-1 gene:PRUPE_3G113100 transcript:ONI16654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSYVNLLDLASGNFPTMEGKRRRFPRVNTAPGNLSDVDDDQARSVSSDQPSSIASDRMIIVANQLPVKAKRREDNKGWIFSWNEDALLLHLKDGLPEDMEVLYVGSLKVSVDPREQDDVSQVLLDKFRCVPTFLPPDILSKFYDGFCKRHLWPLFHYMLPFSADQGGRFDRSLWEAYILANKLFFQKVVELINPDEDYVWIHDYHLMVLPTFLRRRFNRVRIGFFLHSPFPSSEIYSTLTVREEILKALLNSDVIGFHTFDYARHFLTCCSRMLGLAYQSKRGYLGLEFYGRTIRIKIMPVGVHMDWIESVMKVADEESKMAELKQKFQGKTMLLGVDDTDIFKGINLKLLAMEQMLKQHPGWQGKAVLVQILNPARGKGIDFEEILAEIQESCRRINEQFGRPGYEPIILIDRAVSINERICYYNIAECVVVTAVRDGMNLTPYEYVVCRQGITGSKSCSNFDGPKKSMLVVSEFIGCSPSLSGAIRINPWNVETTGEAMNVAISMLDSEKELRHEKHYRYVSTHGVAYWSRSFLQDMQRACADHFKRRCWGIGFGFGFRVVALDPNFRKLSLDAIISAYRGAQTRAILLDYDGTVMPQNSIDKSPSQKVISIMNTLCTDPKNTVFIVTGRGRESLSKWFSPCQRLGIAAEHGYFLRWSQNQEWEICRQGFEFGWMKIVEPVMQLYTESTDGSSIETKESALVWQYRDADPGFGSSQAKEMLDHLESVLANEPVAVKKGQFIVEVKPQEVSKGHVAEKIFSSMAENGKHADFVLCIGDDRSDEDMFEIFDNAMLRSTLSPNPSVFACTVGQKPSKATYYLDDTTEVINMLKCLSESL >ONI19584 pep chromosome:Prunus_persica_NCBIv2:G3:25853647:25855139:-1 gene:PRUPE_3G285800 transcript:ONI19584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDEDFEQGLVCPSFNSYSSDKLADVAAKVCREFDNLNLLHKSNYSAEQKEHDDHRNDDVDDDFEFVSFQSSGSQVFFDDNQIGPVFPVFNRDLLLDRSQRDLAAAPNNKEVVEEEEDEDDAAALPSSSSSDVDELDSVPQGTYCVWMPKSVVAQDARGKCKIKSKSTGTSSSRRWSIKDLLRRSNSESGSKDSFVFLTPLSSSSKKAAEEEPKEIKKSSGSGSGPGSGGGPNKPKGSKAVSMAHEAFYVRNKTVAKDGYNKRRSYLPYRQDLVGFFASVNAMSRTFPAL >ONI18212 pep chromosome:Prunus_persica_NCBIv2:G3:21036394:21038740:1 gene:PRUPE_3G202300 transcript:ONI18212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDNSNTSDRDTEPFVEVDPTGRFGRYDDLLGHGAVKKVYRAFDQEEGTEVAWNQVRLRTFSEDPTLINRLYSEVKLLSTLKNKYIIVCYSVWRDEAHNTLNFITEVCTSGNLRQYRKKHRHVSIRALKKWSRQVLEGLEYLHTHEPCIIHRDLNCSNIFINGNTAQVKIGDLGFAAIVGKNHEAHSIIGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTAGIKPEGLNKVTDPEVKAFIEKSIAQPRARPSASDLLKDPFLSEPKSNIEEIEQIL >ONI18209 pep chromosome:Prunus_persica_NCBIv2:G3:21035609:21038740:1 gene:PRUPE_3G202300 transcript:ONI18209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDNSNTSDRDTEPFVEVDPTGRFGRYDDLLGHGAVKKVYRAFDQEEGTEVAWNQVRLRTFSEDPTLINRLYSEVKLLSTLKNKYIIVCYSVWRDEAHNTLNFITEVCTSGNLRQYRKKHRHVSIRALKKWSRQVLEGLEYLHTHEPCIIHRDLNCSNIFINGNTAQVKIGDLGFAAIVGKNHEAHSIIGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTAGIKPEGLNKVTDPEVKAFIEKSIAQPRARPSASDLLKDPFLSEPKSNIEEIEQIL >ONI18211 pep chromosome:Prunus_persica_NCBIv2:G3:21035609:21038740:1 gene:PRUPE_3G202300 transcript:ONI18211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDNSNTSDRDTEPFVEVDPTGRFGRYDDLLGHGAVKKVYRAFDQEEGTEVAWNQVRLRTFSEDPTLINRLYSEVKLLSTLKNKYIIVCYSVWRDEAHNTLNFITEVCTSGNLRQYRKKHRHVSIRALKKWSRQVLEGLEYLHTHEPCIIHRDLNCSNIFINGNTAQVKIGDLGFAAIVGKNHEAHSIIGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTAGIKPEGLNKVTDPEVKAFIEKSIAQPRARPSASDLLKDPFLSEPKSNIEEIEQIL >ONI18210 pep chromosome:Prunus_persica_NCBIv2:G3:21035609:21038740:1 gene:PRUPE_3G202300 transcript:ONI18210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDNSNTSDRDTEPFVEVDPTGRFGRYDDLLGHGAVKKVYRAFDQEEGTEVAWNQVRLRTFSEDPTLINRLYSEVKLLSTLKNKYIIVCYSVWRDEAHNTLNFITEVCTSGNLRQYRKKHRHVSIRALKKWSRQVLEGLEYLHTHEPCIIHRDLNCSNIFINGNTAQVKIGDLGFAAIVGKNHEAHSIIGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTAGIKPEGLNKVTDPEVKAFIEKSIAQPRARPSASDLLKDPFLSEPKSNIEEIEQIL >ONI18207 pep chromosome:Prunus_persica_NCBIv2:G3:21035609:21038740:1 gene:PRUPE_3G202300 transcript:ONI18207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDNSNTSDRDTEPFVEVDPTGRFGRYDDLLGHGAVKKVYRAFDQEEGTEVAWNQVRLRTFSEDPTLINRLYSEVKLLSTLKNKYIIVCYSVWRDEAHNTLNFITEVCTSGNLRQYRKKHRHVSIRALKKWSRQVLEGLEYLHTHEPCIIHRDLNCSNIFINGNTAQVKIGDLGFAAIVGKNHEAHSIIGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTAGIKPEGLNKVTDPEVKAFIEKSIAQPRARPSASDLLKDPFLSEPKSNIEEIEQIL >ONI18208 pep chromosome:Prunus_persica_NCBIv2:G3:21035573:21038740:1 gene:PRUPE_3G202300 transcript:ONI18208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDNSNTSDRDTEPFVEVDPTGRFGRYDDLLGHGAVKKVYRAFDQEEGTEVAWNQVRLRTFSEDPTLINRLYSEVKLLSTLKNKYIIVCYSVWRDEAHNTLNFITEVCTSGNLRQYRKKHRHVSIRALKKWSRQVLEGLEYLHTHEPCIIHRDLNCSNIFINGNTAQVKIGDLGFAAIVGKNHEAHSIIGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTAGIKPEGLNKVTDPEVKAFIEKSIAQPRARPSASDLLKDPFLSEPKSNIEEIEQIL >ONI19144 pep chromosome:Prunus_persica_NCBIv2:G3:24750487:24753032:1 gene:PRUPE_3G261700 transcript:ONI19144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMLNSLALILSIVCFTLLIPQATPAPFLFPATQSTVLPEPSNFFTANLLTSPLPTNSFFQNFALKNGDQPEYFHPYTIRSASSSLSLSYPSLSYTSAFISQPFTPDLTISASQTSTFQDPNNNHHHVISDFNDLSVTIDFPSSHLRFFLVRGSPFVTCFVSTPTAVSISTNYTILSSSSSLSSDSNTKVTIKLENNQTWLIYTSSPIGLTDSTPSTLTFGEFSGIVRVAVLPDSDPKSEAILDQFSTCYPTSGAAVLGRPYTLVYKWENDGPGDLLMLAHPLHLRLLSHATVLKDFKYKSIDGDLVGIVGDSWVLQPKPVPITWHSVGGIKENFYSEIISALRRDVEALNSAEVTTTSSYFYGKLIARAARLALIAEEVACLDVITSIKKFLIETIEPWLEGTFSGNGFLYDAKWGGLTTKQGSTDSGADFGFGIYNDHHFHLGYFVYGVSVLAKIEPAWGAKYKPQAYSLVEDFINTGKLSNLLYPRLRSFDLYKLHSWAGGLTEFADGRNQESTSEAVNAYYSAAMMGKAYGDTQLEATGSMLAALEIQAAQMWWHVREGGNKIYEKDFTKENRMVGVVWSTKRDSGLWFAPSEAREIRLGIQMLPISPITEVLFSDVGFAREVVNWTLPALHREGEGVGEGWKGFVYCVQGIYDKEGALEKIRSLKGFDDGNSLTNLLWWIHSRKLSKV >ONI15272 pep chromosome:Prunus_persica_NCBIv2:G3:2537244:2546529:1 gene:PRUPE_3G034700 transcript:ONI15272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKSKKPANNSDDIEHLKSDIASFASSLGLATSLPPSSSSGFNDVDFRKPGPKKPQKKPKPAPNQNPTKNQKPNNQNFKSNEKPDSSKPKLTLSSLEDNTNKEKAKNFEKFKNLPKLPLMAASNLGVWYEEAEELEKKVLANGKKAEVRNVEEWKSVVAKKRELGERLMVQYVADYESSKGKSGDIKLLLTTQRSGTASDKISAFSVLVGDNPIANMRSLDALIGMVTSKVGKRYAFAGFEALRELFLTSLLPDRKLKSLLQRPLNNVPETKDGYSLLLLWYWEECLKQRYERFVFALEEASRDMLPELKNKALKTIYVLLKNKSEQERRLLSAIVNKLGDPKNKGASDADFHLSNLLSDHPNMKAVVIDEVDSFLFRPRLSPQAKYHAVNFLSQMRLTHKGDGPKVAKRLIDVYFALFKVLITEAGGGEKMDKSGKAGVKKPLSSLEDSKVESSSGSHVELDSRLLSALLMGVNRAFPFVSSNEADDIVEVQTPMLFQLVHSKNFNVGVQALMLLDKISSKNQIVSDRFYRALYSKLLLPAAMNTSKAEMFIGLLLRAMKNDVNLKRAAAFAKRVLQVALQQPPQYACGCLFLLSEVLKARPPLWNMVLQNESVDDELEHFEDVQEETNDKPTPVSEKQELDVELAHSSDAANSDHDSSEDDNDSPASYSEDEGSDEAEEFLVGNDLTNSKPPPTLNGQPPQVPSERSWLPGGYDPRRREPSYCNADRVSWWELTVLSSHVHPSVSTMAKTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQSTWHGGSQIEPAKKLDMTNQLIGPEIISLAEEDVAPEDLVFHKFYMNKMNSSKKPKKKKKKKATEDDEAAADLFDVDGGNGDDSDNEEIDSMLDSAGLSTEADGDYDYDDLDHVADEDDEDLVADVSDTELDLPLDSGDGEDFDANADNDPSDDDAIDIDVGDADDGMDGDEEEENDQRKSKRKRGKSAASPFASLEEYEHLLNDVPAEKESRRKKPKSRKKRKSSD >ONI15274 pep chromosome:Prunus_persica_NCBIv2:G3:2537244:2546529:1 gene:PRUPE_3G034700 transcript:ONI15274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKSKKPANNSDDIEHLKSDIASFASSLGLATSLPPSSSSGFNDVDFRKPGPKKPQKKPKPAPNQNPTKNQKPNNQNFKSNEKPDSSKPKLTLSSLEDNTNKEKAKNFEKFKNLPKLPLMAASNLGVWYEEAEELEKKVLANGKKAEVRNVEEWKSVVAKKRELGERLMVQYVADYESSKGKSGDIKLLLTTQRSGTASDKISAFSVLVGDNPIANMRSLDALIGMVTSKVGKRYAFAGFEALRELFLTSLLPDRKLKSLLQRPLNNVPETKDGYSLLLLWYWEECLKQRYERFVFALEEASRDMLPELKNKALKTIYVLLKNKSEQERRLLSAIVNKLGDPKNKGASDADFHLSNLLSDHPNMKAVVIDEVDSFLFRPRLSPQAKYHAVNFLSQMRLTHKGDGPKVAKRLIDVYFALFKGVNRAFPFVSSNEADDIVEVQTPMLFQLVHSKNFNVGVQALMLLDKISSKNQIVSDRFYRALYSKLLLPAAMNTSKAEMFIGLLLRAMKNDVNLKRAAAFAKRVLQVALQQPPQYACGCLFLLSEVLKARPPLWNMVLQNESVDDELEHFEDVQEETNDKPTPVSEKQELDVELAHSSDAANSDHDSSEDDNDSPASYSEDEGSDEAEEFLVGNDLTNSKPPPTLNGQPPQVPSERSWLPGGYDPRRREPSYCNADRVSWWELTVLSSHVHPSVSTMAKTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQSTWHGGSQIEPAKKLDMTNQLIGPEIISLAEEDVAPEDLVFHKFYMNKMNSSKKPKKKKKKKATEDDEAAADLFDVDGGNGDDSDNEEIDSMLDSAGLSTEADGDYDYDDLDHVADEDDEDLVADVSDTELDLPLDSGDGEDFDANADNDPSDDDAIDIDVGDADDGMDGDEEEENDQRKSKRKRGKSAASPFASLEEYEHLLNDVPAEKESRRKKPKSRKKRKSSD >ONI15273 pep chromosome:Prunus_persica_NCBIv2:G3:2537244:2546529:1 gene:PRUPE_3G034700 transcript:ONI15273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKSKKPANNSDDIEHLKSDIASFASSLGLATSLPPSSSSGFNDVDFRKPGPKKPQKKPKPAPNQNPTKNQKPNNQNFKSNEKPDSSKPKLTLSSLEDNTNKEKAKNFEKFKNLPKLPLMAASNLGVWYEEAEELEKKVLANGKKAEVRNVEEWKSVVAKKRELGERLMVQYVADYESSKGKSGDIKLLLTTQRSGTASDKISAFSVLVGDNPIANMRSLDALIGMVTSKVGKRYAFAGFEALRELFLTSLLPDRKLKSLLQRPLNNVPETKDGYSLLLLWYWEECLKQRYERFVFALEEASRDMLPELKNKALKTIYVLLKNKSEQERRLLSAIVNKLGDPKNKGASDADFHLSNLLSDHPNMKAVVIDEVDSFLFRPRLSPQAKYHAVNFLSQMRLTHKGDGPKVAKRLIDVYFALFKVLITEAGGGEKMDKSGKAGVKKPLSSLEDSKVESSSGSHVELDSRLLSALLMGVNRAFPFVSSNEADDIVEVQTPMLFQLVHSKNFNVGVQALMLLDKISSKNQIVSDRFYRALYSKLLLPAAMNTSKVALQQPPQYACGCLFLLSEVLKARPPLWNMVLQNESVDDELEHFEDVQEETNDKPTPVSEKQELDVELAHSSDAANSDHDSSEDDNDSPASYSEDEGSDEAEEFLVGNDLTNSKPPPTLNGQPPQVPSERSWLPGGYDPRRREPSYCNADRVSWWELTVLSSHVHPSVSTMAKTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQSTWHGGSQIEPAKKLDMTNQLIGPEIISLAEEDVAPEDLVFHKFYMNKMNSSKKPKKKKKKKATEDDEAAADLFDVDGGNGDDSDNEEIDSMLDSAGLSTEADGDYDYDDLDHVADEDDEDLVADVSDTELDLPLDSGDGEDFDANADNDPSDDDAIDIDVGDADDGMDGDEEEENDQRKSKRKRGKSAASPFASLEEYEHLLNDVPAEKESRRKKPKSRKKRKSSD >ONI17630 pep chromosome:Prunus_persica_NCBIv2:G3:18910511:18912021:-1 gene:PRUPE_3G170400 transcript:ONI17630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLAAVAKNLDTLIGPGVMLLYPLYASMRAIESPSALDDQQWLTYWVIYSFITLFELSCWKVLAWIPIWPYMKLLFCMWLVLPIYNGAAYIYENIVRKYVKLGGYMVNSNKPEGQKKVLQMMSLDARRSVERFIDQHGIDAFERVVKAVC >ONI17626 pep chromosome:Prunus_persica_NCBIv2:G3:18910489:18912021:-1 gene:PRUPE_3G170400 transcript:ONI17626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLAAVAKNLDTLIGYASMRAIESPSALDDQQWLTYWVIYSFITLFELSCWKVLAWIPIWPYMKLLFCMWLVLPIYNGAAYIYENIVRKYVKLGGYMVNSNKPEGQKKVLQMMSLDARRSVERFIDQHGIDAFERVVKAAEKEARKY >ONI17629 pep chromosome:Prunus_persica_NCBIv2:G3:18910166:18912238:-1 gene:PRUPE_3G170400 transcript:ONI17629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLAAVAKNLDTLIGPGVMLLYPLYASMRAIESPSALDDQQWLTYWVIYSFITLFELSCWKVLAWIPIWPYMKLLFCMWLVLPIYNGAAYIYENIVRKYVKLGGYMVNSNKPEGQKKVLQMMSLDARRSVERFIDQHG >ONI17627 pep chromosome:Prunus_persica_NCBIv2:G3:18910489:18912021:-1 gene:PRUPE_3G170400 transcript:ONI17627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLAAVAKNLDTLIGPGVMLLYPLYASMRAIESPSALDDQQWLTYWVIYSFITLFELSCWKVLAWIPIWPYMKLLFCMWLVLPIYNGAAYIYENIVRKYVKLGGYMVNSNKPEGQKKVLQMMSLDARRSVERFIDQHGIDAFERVVKAAEKEARKY >ONI17628 pep chromosome:Prunus_persica_NCBIv2:G3:18910166:18912238:-1 gene:PRUPE_3G170400 transcript:ONI17628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLAAVAKNLDTLIGYASMRAIESPSALDDQQWLTYWVIYSFITLFELSCWKVLAWIPIWPYMKLLFCMWLVLPIYNGAAYIYENIVRKYVKLGGYMVNSNKPEGQKKVLQMMSLDARRSVERFIDQHG >ONI18702 pep chromosome:Prunus_persica_NCBIv2:G3:22944274:22948040:-1 gene:PRUPE_3G233400 transcript:ONI18702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYDSNPFADDEVNPFASLKAKEKELHAKEAELKKKEQELKRREDAIARAGVVIEEKNWPPFFPIIHQDIANEIPIHLQRIQYVAFTTYLGLIVCLLWNILAVTVAWFKGEGPIIWLLAVIYFIASVPLSYFLWYRPLYRAMRTDSALSFAGFFLSYLLHIAFCVYAAIAPPIVFKGKSITGILPAIELLGYNAAVGILYFIGFGLFVCETVLSIWVIQQVYTYFRGSGKVEEVKREAARSTMMAAM >ONI18705 pep chromosome:Prunus_persica_NCBIv2:G3:22944298:22948040:-1 gene:PRUPE_3G233400 transcript:ONI18705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYDSNPFADDEVNPFASLKAKEKELHAKEAELKKKEQELKRREDAIARAGVVIEEKNWPPFFPIIHQDIANEIPIHLQRIQYVAFTTYLGLIVCLLWNILAVTVAWFKGEGPIIWLLAVIYFIASVPLSYFLWYRPLYRAMRTDSALSFAGFFLSYLLHIAFCVYAAIAPPIVFKGKSITGILPAIELLGYNAAVGTLFPHFRYFTSLDLGCSSVKQFSASGLFSKYTRISEAVERLKR >ONI18706 pep chromosome:Prunus_persica_NCBIv2:G3:22944665:22947728:-1 gene:PRUPE_3G233400 transcript:ONI18706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYDSNPFADDEVNPFANPGSVPPANSRLSPLPPEPYDRNATIDIPLDNSKSLKAKEKELHAKEAELKKKEQELKRREDAIARAGVVIEEKNWPPFFPIIHQDIANEIPIHLQRIQYVAFTTYLGLIVCLLWNILAVTVAWFKGEGPIIWLLAVIYFIASVPLSYFLWYRPLYRAMRTDSALSFAGFFLSYLLHIAFCVYAAIAPPIVFKGKSITGILPAIELLGYNAAVGTLFPHFRYFTSLDLGCSSVKQFSASGLFSKYTRISEAVERLKR >ONI18703 pep chromosome:Prunus_persica_NCBIv2:G3:22944624:22947728:-1 gene:PRUPE_3G233400 transcript:ONI18703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYDSNPFADDEVNPFANPGSVPPANSRLSPLPPEPYDRNATIDIPLDNSKSLKAKEKELHAKEAELKKKEQELKRREDAIARAGVVIEEKNWPPFFPIIHQDIANEIPIHLQRIQYVAFTTYLGLIVCLLWNILAVTVAWFKGEGPIIWLLAVIYFIASVPLSYFLWYRPLYRAMRTDSALSFAGFFLSYLLHIAFCVYAAIAPPIVFKGKSITGILPAIELLGYNAAVGILYFIGFGLFVCETVLSIWVIQQVYTYFRGSGKVEEVKREAARSTMMAAM >ONI18704 pep chromosome:Prunus_persica_NCBIv2:G3:22944298:22948040:-1 gene:PRUPE_3G233400 transcript:ONI18704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSIHLRLSPLPPEPYDRNATIDIPLDNSKSLKAKEKELHAKEAELKKKEQELKRREDAIARAGVVIEEKNWPPFFPIIHQDIANEIPIHLQRIQYVAFTTYLGLIVCLLWNILAVTVAWFKGEGPIIWLLAVIYFIASVPLSYFLWYRPLYRAMRTDSALSFAGFFLSYLLHIAFCVYAAIAPPIVFKGKSITGILPAIELLGYNAAVGILYFIGFGLFVCETVLSIWVIQQVYTYFRGSGKVEEVKREAARSTMMAAM >ONI19780 pep chromosome:Prunus_persica_NCBIv2:G3:26387103:26389822:1 gene:PRUPE_3G297400 transcript:ONI19780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLMLNLRQVDPPTSPDFPMQIHNWDSESESGGGRLGVKDEAENKIKRKSNSCAMVEEMGKDFKGGFWEESLRVRKLIQHHFDLNGASHVRNLLPEQFCQHGFVMGKASEAGFGNEMYKNFSGAALSILLNWSLIIGQSRQAFLFLYFINSFSLRMHPEMRNAASILFGKPEVLHSRPNVFGEIMRVLITPSEDVEDAFNWVLSGVEPDISLHLRMLMNKAAQAALNCIIKAKQNLGKSPRPRVVIVSDTPSLMKSITPNVIKLAEVIHFDYELFKGNISDSRKGLHSLDFRMKDWGPAPRWIAFVDFFLASRAKYAVASGAHRHVGTTYAQLIAALAAANNLGTYMHTCCNVHSIPSFAGDKPTGSNFAFLSSFQGDLLREGLRFQIGWGHVWNRFAGPLGCHNQPNQCAFTHLLPPAWDIKKLAEYGIQLAGFGTIDENYLDTFCCSRKVVVKTVPFLLFQPMFWHAPHFL >ONI14724 pep chromosome:Prunus_persica_NCBIv2:G3:273200:281713:-1 gene:PRUPE_3G004200 transcript:ONI14724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKKRGSWQLQSGQYLGEISALCFLHLPSSFSSFPYLIAGSGSQIMVYDLEVGRMVRSFDVFQGIRVHGIVCCSSTDCAEGTLPSVVAFNIVVFGERRVKMFSMQVAMGQLGSVSLTLLQSLPKFANWVLDVSFLKGSASGSNEEGDCLAIGCSDNSVQLWDVTTSTVVLEVQHPEKTLLYSMRLWGDNLQALRVASGTIYNEIIVWKVVPQYDASSLASQVEDHIDQSNLFPNCVQPHGCQYEANHMCKLSGHEGSIFRIAWSFDGSKLVSVSDDRSARVWEVSSETKHSEKLGEPIGLVLFGHNARVWDCCIFGSLIVTAGEDCTCRVWGLDGKHLQMIKEHTGRGIWRCLYDPNSSLLITAGFDSAIKVHQLPASLSWGLEGLVETKEIDRTIAYTTHIPTLSEHSGPMDSKSEYVRCLHFAREDTLYVSTNHGYLYHAKLLDNGEVEWTLLVRLSEEVPIVCMDLLSEPFELCCSVEDWVAVGDGKGNMTVVGVIRDACTPKLGFARTWSAGMERQLLGTHWCKSLGYGYIFSADPRGTLKLWRLSNHSAMSCNVSLVAEFTSSFGIRIMCLDASLDEEVLVCGDIRGNLVLFPLRKGVLQGTEVASNVKISPSNYFKGAHGISSVSSVSVGRLSSSQIEIRSTGADGCICYLEYETDRKTLDFTGMKQVKELSLIQSVSTDNSSVSELSSCHCAAGFASVDFIIWNLMTETKVVRIPCGGWRRPHSYYLGDIPEIKNCFAYVKDEIINIHRQWVLDSERKILSRNLHIQFHGREMHSICFVSEGFQPGVSGKHSLFSGSSWIATGCEDGSVRLTRYMPGVENWSASKLLGEHVGGSAVRSICCVSKISIVPSDVTNIPDTNGQNAVMENIETPVLLISVGAKRVLTSWLLRSRKVDKKEEQHNITGNSNKVLLQESCSMSFQWLSTDMPAKYSSAHKFPENKEKKAGLAANVSSAEADGRSMSLSSENGKMELKSGIKDKYEDDWRYLAVTAFLVKCAGSRITICFIVIACSDATLALRALVLPYRLWFDVAILFPLSSPVLALQHVILPTCLPSEENVQIGSLYILISGATDGSIAFWDLTRSIQAFMQLVSVLDVEKFIDCQKRPRTGRGSQGGRQWRSLGSSMSKNRLGTGSATVKSGEETDHNLLDRVMDGTSEMLNDYESSRTASSQATDTASLDSEVNACDSSSDICEISPLYVFKNIHQSGVNSLHVSDVEGCQSPEIGFLYNLISGGDDQALSCLRFELSVSASDSEFENMTLDVRKSVTQLGNSKNFIHSSQDKSYWIRFLNHDIVPSAHSSAVKGVWTDGSWVFSTGLDQRVRCWCLEEEGKLIEHAYLIINVPEPEALDAKACGR >ONI14727 pep chromosome:Prunus_persica_NCBIv2:G3:272738:281761:-1 gene:PRUPE_3G004200 transcript:ONI14727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLLWFLKFNILRKPFCIQCGYGVTIFKLSVLHLIIVWKVVPQYDASSLASQVEDHIDQSNLFPNCVQPHGCQYEANHMCKLSGHEGSIFRIAWSFDGSKLVSVSDDRSARVWEVSSETKHSEKLGEPIGLVLFGHNARVWDCCIFGSLIVTAGEDCTCRVWGLDGKHLQMIKEHTGRGIWRCLYDPNSSLLITAGFDSAIKVHQLPASLSWGLEGLVETKEIDRTIAYTTHIPTLSEHSGPMDSKSEYVRCLHFAREDTLYVSTNHGYLYHAKLLDNGEVEWTLLVRLSEEVPIVCMDLLSEPFELCCSVEDWVAVGDGKGNMTVVGVIRDACTPKLGFARTWSAGMERQLLGTHWCKSLGYGYIFSADPRGTLKLWRLSNHSAMSCNVSLVAEFTSSFGIRIMCLDASLDEEVLVCGDIRGNLVLFPLRKGVLQGTEVASNVKISPSNYFKGAHGISSVSSVSVGRLSSSQIEIRSTGADGCICYLEYETDRKTLDFTGMKQVKELSLIQSVSTDNSSVSELSSCHCAAGFASVDFIIWNLMTETKVVRIPCGGWRRPHSYYLGDIPEIKNCFAYVKDEIINIHRQWVLDSERKILSRNLHIQFHGREMHSICFVSEGFQPGVSGKHSLFSGSSWIATGCEDGSVRLTRYMPGVENWSASKLLGEHVGGSAVRSICCVSKISIVPSDVTNIPDTNGQNAVMENIETPVLLISVGAKRVLTSWLLRSRKVDKKEEQHNITGNSNKVLLQESCSMSFQWLSTDMPAKYSSAHKFPENKEKKAGLAANVSSAEADGRSMSLSSENGKMELKSGIKDKYEDDWRYLAVTAFLVKCAGSRITICFIVIACSDATLALRALVLPYRLWFDVAILFPLSSPVLALQHVILPTCLPSEDWKFVYSH >ONI14726 pep chromosome:Prunus_persica_NCBIv2:G3:272738:281761:-1 gene:PRUPE_3G004200 transcript:ONI14726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKKRGSWQLQSGQYLGEISALCFLHLPSSFSSFPYLIAGSGSQIMVYDLEVGRMVRSFDVFQGIRVHGIVCCSSTDCAEGTLPSVVAFNIVVFGERRVKMFSMQVAMGQLGSVSLTLLQSLPKFANWVLDVSFLKGSASGSNEEGDCLAIGCSDNSVQLWDVTTSTVVLEVQHPEKTLLYSMRLWGDNLQALRVASGTIYNEIIVWKVVPQYDASSLASQVEDHIDQSNLFPNCVQPHGCQYEANHMCKLSGHEGSIFRIAWSFDGSKLVSVSDDRSARVWEVSSETKHSEKLGEPIGLVLFGHNARVWDCCIFGSLIVTAGEDCTCRVWGLDGKHLQMIKEHTGRGIWRCLYDPNSSLLITAGFDSAIKVHQLPASLSWGLEGLVETKEIDRTIAYTTHIPTLSEHSGPMDSKSEYVRCLHFAREDTLYVSTNHGYLYHAKLLDNGEVEWTLLVRLSEEVPIVCMDLLSEPFELCCSVEDWVAVGDGKGNMTVVGVIRDACTPKLGFARTWSAGMERQLLGTHWCKSLGYGYIFSADPRGTLKLWRLSNHSAMSCNVSLVAEFTSSFGIRIMCLDASLDEEVLVCGDIRGNLVLFPLRKGVLQGTEVASNVKISPSNYFKGAHGISSVSSVSVGRLSSSQIEIRSTGADGCICYLEYETDRKTLDFTGMKQVKELSLIQSVSTDNSSVSELSSCHCAAGFASVDFIIWNLMTETKVVRIPCGGWRRPHSYYLGDIPEIKNCFAYVKDEIINIHRQWVLDSERKILSRNLHIQFHGREMHSICFVSEGFQPGVSGKHSLFSGSSWIATGCEDGSVRLTRYMPGVENWSASKLLGEHVGGSAVRSICCVSKISIVPSDVTNIPDTNGQNAVMENIETPVLLISVGAKRVLTSWLLRSRKVDKKEEQHNITGNSNKVLLQESCSMSFQWLSTDMPAKYSSAHKFPENKEKKAGLAANVSSAEADGRSMSLSSENGKMELKSGIKDKYEDDWRYLAVTAFLVKCAGSRITICFIVIACSDATLALRALVLPYRLWFDVAILFPLSSPVLALQHVILPTCLPSEDWKFVYSH >ONI14723 pep chromosome:Prunus_persica_NCBIv2:G3:273011:281037:-1 gene:PRUPE_3G004200 transcript:ONI14723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLLWFLKFNILRKPFCIQCGYGVTIFKLSVLHLIIVWKVVPQYDASSLASQVEDHIDQSNLFPNCVQPHGCQYEANHMCKLSGHEGSIFRIAWSFDGSKLVSVSDDRSARVWEVSSETKHSEKLGEPIGLVLFGHNARVWDCCIFGSLIVTAGEDCTCRVWGLDGKHLQMIKEHTGRGIWRCLYDPNSSLLITAGFDSAIKVHQLPASLSWGLEGLVETKEIDRTIAYTTHIPTLSEHSGPMDSKSEYVRCLHFAREDTLYVSTNHGYLYHAKLLDNGEVEWTLLVRLSEEVPIVCMDLLSEPFELCCSVEDWVAVGDGKGNMTVVGVIRDACTPKLGFARTWSAGMERQLLGTHWCKSLGYGYIFSADPRGTLKLWRLSNHSAMSCNVSLVAEFTSSFGIRIMCLDASLDEEVLVCGDIRGNLVLFPLRKGVLQGTEVASNVKISPSNYFKGAHGISSVSSVSVGRLSSSQIEIRSTGADGCICYLEYETDRKTLDFTGMKQVKELSLIQSVSTDNSSVSELSSCHCAAGFASVDFIIWNLMTETKVVRIPCGGWRRPHSYYLGDIPEIKNCFAYVKDEIINIHRQWVLDSERKILSRNLHIQFHGREMHSICFVSEGFQPGVSGKHSLFSGSSWIATGCEDGSVRLTRYMPGVENWSASKLLGEHVGGSAVRSICCVSKISIVPSDVTNIPDTNGQNAVMENIETPVLLISVGAKRVLTSWLLRSRKVDKKEEQHNITGNSNKVLLQESCSMSFQWLSTDMPAKYSSAHKFPENKEKKAGLAANVSSAEADGRSMSLSSENGKMELKSGIKDKYEDDWRYLAVTAFLVKCAGSRITICFIVIACSDATLALRALVLPYRLWFDVAILFPLSSPVLALQHVILPTCLPSEENVQIGSLYILISGATDGSIAFWDLTRSIQAFMQLVSVLDVEKFIDCQKRPRTGRGSQGGRQWRSLGSSMSKNRLGTGSATVKSGEETDHNLLDRVMDGTSEMLNDYESSRTASSQATDTASLDSEVNACDSSSDICEISPLYVFKNIHQSGVNSLHVSDVEGCQSPEIGFLYNLISGGDDQALSCLRFELSVSASDSEFENMTLDVRKSVTQLGNSKNFIHSSQDKSYWIRFLNHDIVPSAHSSAVKGVWTDGSWVFSTGLDQRVRCWCLEEEGKLIEHAYLIINVPEPEALDAKACGRSHYQIAVAGRGMQMLEFSEIQDVS >ONI14721 pep chromosome:Prunus_persica_NCBIv2:G3:272738:281761:-1 gene:PRUPE_3G004200 transcript:ONI14721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKKRGSWQLQSGQYLGEISALCFLHLPSSFSSFPYLIAGSGSQIMVYDLEVGRMVRSFDVFQGIRVHGIVCCSSTDCAEGTLPSVVAFNIVVFGERRVKMFSMQVAMGQLGSVSLTLLQSLPKFANWVLDVSFLKGSASGSNEEGDCLAIGCSDNSVQLWDVTTSTVVLEVQHPEKTLLYSMRLWGDNLQALRVASGTIYNEIIVWKVVPQYDASSLASQVEDHIDQSNLFPNCVQPHGCQYEANHMCKLSGHEGSIFRIAWSFDGSKLVSVSDDRSARVWEVSSETKHSEKLGEPIGLVLFGHNARVWDCCIFGSLIVTAGEDCTCRVWGLDGKHLQMIKEHTGRGIWRCLYDPNSSLLITAGFDSAIKVHQLPASLSWGLEGLVETKEIDRTIAYTTHIPTLSEHSGPMDSKSEYVRCLHFAREDTLYVSTNHGYLYHAKLLDNGEVEWTLLVRLSEEVPIVCMDLLSEPFELCCSVEDWVAVGDGKGNMTVVGVIRDACTPKLGFARTWSAGMERQLLGTHWCKSLGYGYIFSADPRGTLKLWRLSNHSAMSCNVSLVAEFTSSFGIRIMCLDASLDEEVLVCGDIRGNLVLFPLRKGVLQGTEVASNVKISPSNYFKGAHGISSVSSVSVGRLSSSQIEIRSTGADGCICYLEYETDRKTLDFTGMKQVKELSLIQSVSTDNSSVSELSSCHCAAGFASVDFIIWNLMTETKVVRIPCGGWRRPHSYYLGDIPEIKNCFAYVKDEIINIHRQWVLDSERKILSRNLHIQFHGREMHSICFVSEGFQPGVSGKHSLFSGSSWIATGCEDGSVRLTRYMPGVENWSASKLLGEHVGGSAVRSICCVSKISIVPSDVTNIPDTNGQNAVMENIETPVLLISVGAKRVLTSWLLRSRKVDKKEEQHNITGNSNKVLLQESCSMSFQWLSTDMPAKYSSAHKFPENKEKKAGLAANVSSAEADGRSMSLSSENGKMELKSGIKDKYEDDWRYLAVTAFLVKCAGSRITICFIVIACSDATLALRALVLPYRLWFDVAILFPLSSPVLALQHVILPTCLPSEENVQIGSLYILISGATDGSIAFWDLTRSIQAFMQLVSVLDVEKFIDCQKRPRTGRGSQGGRQWRSLGSSMSKNRLGTGSATVKSGEETDHNLLDRVMDGTSEMLNDYESSRTASSQATDTASLDSEVNAYVEGCQSPEIGFLYNLISGGDDQALSCLRFELSVSASDSEFENMTLDVRKSVTQLGNSKNFIHSSQDKSYWIRFLNHDIVPSAHSSAVKGVWTDGSWVFSTGLDQRVRCWCLEEEGKLIEHAYLIINVPEPEALDAKACGRSHYQIAVAGRGMQMLEFSEIQDVS >ONI14722 pep chromosome:Prunus_persica_NCBIv2:G3:273011:281713:-1 gene:PRUPE_3G004200 transcript:ONI14722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKKRGSWQLQSGQYLGEISALCFLHLPSSFSSFPYLIAGSGSQIMVYDLEVGRMVRSFDVFQGIRVHGIVCCSSTDCAEGTLPSVVAFNIVVFGERRVKMFSMQVAMGQLGSVSLTLLQSLPKFANWVLDVSFLKGSASGSNEEGDCLAIGCSDNSVQLWDVTTSTVVLEVQHPEKTLLYSMRLWGDNLQALRVASGTIYNEIIVWKVVPQYDASSLASQVEDHIDQSNLFPNCVQPHGCQYEANHMCKLSGHEGSIFRIAWSFDGSKLVSVSDDRSARVWEVSSETKHSEKLGEPIGLVLFGHNARVWDCCIFGSLIVTAGEDCTCRVWGLDGKHLQMIKEHTGRGIWRCLYDPNSSLLITAGFDSAIKVHQLPASLSWGLEGLVETKEIDRTIAYTTHIPTLSEHSGPMDSKSEYVRCLHFAREDTLYVSTNHGYLYHAKLLDNGEVEWTLLVRLSEEVPIVCMDLLSEPFELCCSVEDWVAVGDGKGNMTVVGVIRDACTPKLGFARTWSAGMERQLLGTHWCKSLGYGYIFSADPRGTLKLWRLSNHSAMSCNVSLVAEFTSSFGIRIMCLDASLDEEVLVCGDIRGNLVLFPLRKGVLQGTEVASNVKISPSNYFKGAHGISSVSSVSVGRLSSSQIEIRSTGADGCICYLEYETDRKTLDFTGMKQVKELSLIQSVSTDNSSVSELSSCHCAAGFASVDFIIWNLMTETKVVRIPCGGWRRPHSYYLGDIPEIKNCFAYVKDEIINIHRQWVLDSERKILSRNLHIQFHGREMHSICFVSEGFQPGVSGKHSLFSGSSWIATGCEDGSVRLTRYMPGVENWSASKLLGEHVGGSAVRSICCVSKISIVPSDVTNIPDTNGQNAVMENIETPVLLISVGAKRVLTSWLLRSRKVDKKEEQHNITGNSNKVLLQESCSMSFQWLSTDMPAKYSSAHKFPENKEKKAGLAANVSSAEADGRSMSLSSENGKMELKSGIKDKYEDDWRYLAVTAFLVKCAGSRITICFIVIACSDATLALRALVLPYRLWFDVAILFPLSSPVLALQHVILPTCLPSEENVQIGSLYILISGATDGSIAFWDLTRSIQAFMQLVSVLDVEKFIDCQKRPRTGRGSQGGRQWRSLGSSMSKNRLGTGSATVKSGEETDHNLLDRVMDGTSEMLNDYESSRTASSQATDTASLDSEVNACDSSSDICEISPLYVFKNIHQSGVNSLHVSDVEGCQSPEIGFLYNLISGGDDQALSCLRFELSVSASDSEFENMTLDVRKSVTQLGNSKNFIHSSQDKSYWIRFLNHDIVPSAHSSAVKGVWTDGSWVFSTGLDQRVRCWCLEEEGKLIEHAYLIINVPEPEALDAKACGRSHYQIAVAGRGMQMLEFSEIQDVS >ONI14725 pep chromosome:Prunus_persica_NCBIv2:G3:273139:281761:-1 gene:PRUPE_3G004200 transcript:ONI14725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLLWFLKFNILRKPFCIQCGYGVTIFKLSVLHLIIVWKVVPQYDASSLASQVEDHIDQSNLFPNCVQPHGCQYEANHMCKLSGHEGSIFRIAWSFDGSKLVSVSDDRSARVWEVSSETKHSEKLGEPIGLVLFGHNARVWDCCIFGSLIVTAGEDCTCRVWGLDGKHLQMIKEHTGRGIWRCLYDPNSSLLITAGFDSAIKVHQLPASLSWGLEGLVETKEIDRTIAYTTHIPTLSEHSGPMDSKSEYVRCLHFAREDTLYVSTNHGYLYHAKLLDNGEVEWTLLVRLSEEVPIVCMDLLSEPFELCCSVEDWVAVGDGKGNMTVVGVIRDACTPKLGFARTWSAGMERQLLGTHWCKSLGYGYIFSADPRGTLKLWRLSNHSAMSCNVSLVAEFTSSFGIRIMCLDASLDEEVLVCGDIRGNLVLFPLRKGVLQGTEVASNVKISPSNYFKGAHGISSVSSVSVGRLSSSQIEIRSTGADGCICYLEYETDRKTLDFTGMKQVKELSLIQSVSTDNSSVSELSSCHCAAGFASVDFIIWNLMTETKVVRIPCGGWRRPHSYYLGDIPEIKNCFAYVKDEIINIHRQWVLDSERKILSRNLHIQFHGREMHSICFVSEGFQPGVSGKHSLFSGSSWIATGCEDGSVRLTRYMPGVENWSASKLLGEHVGGSAVRSICCVSKISIVPSDVTNIPDTNGQNAVMENIETPVLLISVGAKRVLTSWLLRSRKVDKKEEQHNITGNSNKVLLQESCSMSFQWLSTDMPAKYSSAHKFPENKEKKAGLAANVSSAEADGRSMSLSSENGKMELKSGIKDKYEDDWRYLAVTAFLVKCAGSRITICFIVIACSDATLALRALVLPYRLWFDVAILFPLSSPVLALQHVILPTCLPSEENVQIGSLYILISGATDGSIAFWDLTRSIQAFMQLVSVLDVEKFIDCQKRPRTGRGSQGGRQWRSLGSSMSKNRLGTGSATVKSGEETDHNLLDRVMDGTSEMLNDYESSRTASSQATDTASLDSEVNACDSSSDICEISPLYVFKNIHQSGVNSLHVSDVEGCQSPEIGFLYNLISGGDDQALSCLRFELSVSASDSEFENMTLDVRKSVTQLGNSKNFIHSSQDKSYWIRFLNHDIVPSAHSSAVKGVWTDGSWVFSTGLDQRVRCWCLEEEGKLIEHAYLIINVPEPEALDAKACGR >ONI15933 pep chromosome:Prunus_persica_NCBIv2:G3:5031558:5033274:-1 gene:PRUPE_3G069900 transcript:ONI15933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKQGGKAKPLKQPKSEKKEYDESDLANIQKKKDEEKALKELRAKAQQKGSFGGAGLKKSGKK >ONI16984 pep chromosome:Prunus_persica_NCBIv2:G3:13726172:13727293:1 gene:PRUPE_3G132300 transcript:ONI16984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTEDEQETPPPRSYYMMFLTTMSKRRTWVCLFVLVYAILLTSLWNFLKSILSWYELQAQPSASSYGWPALYASVLLGAVFGLLSMFAALAVVVPATLVTWITIVVMLAFFGKPRSTLVVEGRKITREIFGIVIRILLKEGNLVAAACAVLGYFALFRRSSEVIE >ONI14796 pep chromosome:Prunus_persica_NCBIv2:G3:569594:570156:-1 gene:PRUPE_3G009100 transcript:ONI14796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYTSASSFEDLNLSPEVLNGLYVETGFKKPSKVQAITLPMILTPPHNGSGKTTCFVLGMLSWVDPNVKAPQPLCICPTKELAIQNMEVLQKTGKYTGINAECVVLTERTSSTSIQSRAPKL >ONI19009 pep chromosome:Prunus_persica_NCBIv2:G3:24237635:24239905:1 gene:PRUPE_3G253000 transcript:ONI19009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNSVRVLEVCNVAPQPSSPAGSATPPPEALPLTLFDLPWLRFAPVQRLFFYEISNSFDTAILVSKLKASLSVALQQFLPLAGNLKWPQDSPKPILSYVQGDAVSLTIAESDADFHHLSSRSNFVEAKEYHSLVPQLTTSHEKAAAVAFQVTIFSNGNGFSIGTSMHHAILDGKSSTMFVKSWAHICKHLGDDPSGSALPDQLKPFFDRRVVQDPAGLEPIFLNQLQNLDGPNNKSLMVTQFKSPPPDAVRGIFVITRPEIEAMKQWVSTKMAEMIKNEKQSDRPHLSTFSVTCAYTWVCLLKAEEKQTDKPVMMGFTLDCRPRFDPPIPANYFGNCIAGRAIVAERKGLLGEDGLTVAVNEISEAIKSADSDRILKGAETLVPVLYPAMRSEERVMGVAGSPRFGIYDTDFGWGRPSKVEVVSIEETGAMSLAESRDGIAGDFEVGLVLEKHHMQAFASLFAKGLQDL >ONI16458 pep chromosome:Prunus_persica_NCBIv2:G3:7634667:7636831:1 gene:PRUPE_3G099300 transcript:ONI16458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRPFPPSSPDLPSFRPPNFLTKTRVLFLALTISASLVILSSIIYFLYHLWQSLVNRAKTIPFDSSAPLKLERFSCKELKNATNDFDGANVIGKGGSGTVFRGILKCGKLIAIKRLDALNLQSEREFQNELQILGGLRSPFLVTLLGYCVEKNCRILVYEYMPNRSLQESLFGDGLLTLGWERRFEIISDVARALEFLHLGCDPPVIHGDIKPSNILLDFDYRAKISDFGLSRIKVEGEFGSQELWKSQELSGNLAADTPAIGTPVESASEVDFALCFCKLLSSSKNSRKIDMKSCHGKGKEVSTVDLGGDDWNNRFVPYDDELSSIDHSKELNFVAGSGVDDAEDTKQWGKDWWWKQDGSGELCSKDYVTEWIGSQICPSTNPDWDDEKKSIHEKRGLDVSTPVDKLENANEPQLQEFGFGNPNKGFEKKESKSRKNHKRKPRKMQEWWKEEHLAEISKKGNKLKNLETKWKKGFKMPRFGRWMYLHRRKNFREQSQDKCDQNGEFSFRRGWKKKTAHSVGSDVYSGDLFSRELSSTTSMRGTLCYVAPEYGGCGYLMEKADIYSLGVLILVVVSGRRPLHVLASPMKLEKANLISWCRQLAHAGNILELVDERLKDEYNKDQASLCINLALSCLQKMPELRPEIGEIVKILKGEMDLPPLPFEFSPSPPSKLYSRSRRKQTATTE >ONI17997 pep chromosome:Prunus_persica_NCBIv2:G3:20391010:20392756:-1 gene:PRUPE_3G191200 transcript:ONI17997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLIHAAATCSTNNSLTLFLSSTRLYSIVSVGLDKDHVHVANRELDLPVKIPDILITRIVGSCNGLICLQVNYTNIVIWNPCTGHSKLLPKPSSLLSGFLFFGFGYDSTTDDYKVIRGSRTALSKETVVEVFSLRTNSWWRSRNKDHGHGYVCLNGKGCFFNGALYWVELQWAGGPRPIGSRILSFDLAEEKFQETVSLPYLGKEENCVFAGIGVSRNSLFVYSDPMGSDLRIWVMKEYRVRESWAEPIEIYLDQILPEEVDESFLRPLCILESGEVLLGYNRNILVLYNPKGKTFRSIAIGTQSDVTIYMETLITPATGRGSDV >ONI14925 pep chromosome:Prunus_persica_NCBIv2:G3:1172122:1176316:-1 gene:PRUPE_3G016400 transcript:ONI14925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVACGMECVVMLGCMRWVWRRCTYIGADDSATWTSATPVEFDPVPRISRLILAVYEPDLHHPNFIPTVGFRPNPDWVVKRVTYEQTHGHAPPYLIYVDHDHKELVLAIRGLNLVKESDYKLLLDNKLGMQMFDGGYVHHGLLKSAIWLLNEEGETLKRLWLENGSNYDMVFAGHSLGSGVAALLTVIVVNHRNRLGGIPRSKVRCYALAPARCMSLNLAVKYADVIYSVVLQDDFLPRTATPLEDIFKSIFCLPCLLFLVCLRDTFIPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRYPPEVRTAIPVEGRFEHIVLSCNATSDHGIIWIEREADKALQRMKESSSETITTAPKVQKLERLQTIEKEHKDALERAVSLNIPHAVTSNEEAAEDQEPEGPKSETESKDPLDTKSKSTGGRAKWDDVVEKLFKKKESGDVLLNRDSTAPE >ONI14926 pep chromosome:Prunus_persica_NCBIv2:G3:1172863:1176316:-1 gene:PRUPE_3G016400 transcript:ONI14926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVACGMECVVMLGCMRWVWRRCTYIGADDSATWTSATPVEFDPVPRISRLILAVYEPDLHHPNFIPTVGFRPNPDWVVKRVTYEQTHGHAPPYLIYVDHDHKELVLAIRGLNLVKESDYKLLLDNKLGMQMFDGGYVHHGLLKSAIWLLNEEGETLKRLWLENGSNYDMVFAGHSLGSGVAALLTVIVVNHRNRLGGIPRSKVRCYALAPARCMSLNLAVKYADVIYSVVLQDDFLPRTATPLEDIFKSIFCLPCLLFLVCLRDTFIPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRYPPEVRTAIPVEGRFEHIVLSCNATSDHGIIWIEREADKALQYWTLFKCQLNSNPTHVK >ONI14927 pep chromosome:Prunus_persica_NCBIv2:G3:1173015:1176055:-1 gene:PRUPE_3G016400 transcript:ONI14927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVACGMECVVMLGCMRWVWRRCTYIGADDSATWTSATPVEFDPVPRISRLILAVYEPDLHHPNFIPTVGFRPNPDWVVKRVTYEQTHGHAPPYLIYVDHDHKELVLAIRGLNLVKESDYKLLLDNKLGMQMFDGGYVHHGLLKSAIWLLNEEGETLKRLWLENGSNYDMVFAGHSLGSGVAALLTVIVVNHRNRLGGIPRSKVRCYALAPARCMSLNLAVKYADVIYSVVLQDDFLPRTATPLEDIFKSIFCLPCLLFLVCLRDTFIPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRYPPEVRTAIPVEGRFEHIVLSCNATSDHGIIWIEREADKALQYWTLFKCQLNSNPTHVK >ONI19515 pep chromosome:Prunus_persica_NCBIv2:G3:25706487:25707020:1 gene:PRUPE_3G282500 transcript:ONI19515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPSRKLILASEHQCSAMIQRRFLVEIMASWHCFFLLNRMLRFVLGVYGISVFGCENWQQPGLGNNSIGTWNVQYEEVV >ONI18312 pep chromosome:Prunus_persica_NCBIv2:G3:21465202:21468128:-1 gene:PRUPE_3G208700 transcript:ONI18312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSTTLLLLALLCVSAVAATRTKLNSINNLDDGICKSMVETQGYTCQEHELTTADGYILGLQRIPNGRSGNETAEKLPVLLQHGVFLDASSWLLNPPDQALPFILADNGFDVWLVNSRGTSPSRGHTSLSPKDPAYWDWSWDELVAYDLPASFQYVNNQTGHKLHYVGHSLGTLTALAAFSEEKLLNLLRSAALLSPVAYLGHISSLILRPAVDIFAAEKLKLLGWLEFPNGQIQQLVEFVCTTRGIDCSNLLAAIGGPNCCLNSSSIDALVEHDPQPTATKNLIHLSQIVRKGTVEMYDYGLEDTNIKHYKKPTPPVYNMANIPKNVPLFFSYGGRDSIADVNDVGILLDKLRDHDRDKLVIEYREEYAHVDFIMSVNANQVVYGPLLAFFRLH >ONI19988 pep chromosome:Prunus_persica_NCBIv2:G3:26901507:26909208:-1 gene:PRUPE_3G309100 transcript:ONI19988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDEALEGNGEGKALTGSLDESIDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEEIVFPGRPKSSSDCLLRSSADIHGSISNSPSLPSLSNEVSETNLPSQQNDKLHPFGLLMSELRGSSHLRSAQSSHASLGMDDQVQLRDSFFEGGATIASQNPLGPMIDQPSFVDTQSDNYIRNNTNISLGSIDAHHLSRMGKEISGFGLAEHIMPQKLLKERLQQLNHPSLLPAAHGAGTGVDQFPGFGFSDSNNPNIQPFHQPVADMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRLGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAGGGCGNFYSSETGLDKQIGEEVSNGRLPPAITKGSDNALHRRRVLSSQDVLSEAALSLPVKQRNPAATLVSDTQASSKNDAQFRRTSSCSDASVSETSFIDMLKKPVIVEADAANRAASALESSDGGSHVGRSGKKKGKKGRQIDPALLGFKVSSNRILMGEIHRLDD >ONI19990 pep chromosome:Prunus_persica_NCBIv2:G3:26901756:26908957:-1 gene:PRUPE_3G309100 transcript:ONI19990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDEALEGNGEGKALTGSLDESIGDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEDMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRLGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAGGGCGNFYSSETGLDKQIGEEVSNGRLPPAITKGSDNALHRRRVLSSQDVLSEAALSLPVKQRNPAATLVSDTQASSKNDAQFRRTSSCSDASVSETSFIDMLKKPVIVEADAANRAASALESSDGGSHVGRSGKKKGKKGRQIDPALLGFKVSSNRILMGEIHRLDD >ONI19994 pep chromosome:Prunus_persica_NCBIv2:G3:26901507:26909200:-1 gene:PRUPE_3G309100 transcript:ONI19994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEEIVFPGRPKSSSDCLLRSSADIHGSISNSPSLPSLSNEVSETNLPSQQNDKLHPFGLLMSELRGSSHLRSAQSSHASLGMDDQVQLRDSFFEGGATIASQNPLGPMIDQPSFVDTQSDNYIRNNTNISLGSIDAHHLSRMGKEISGFGLAEHIMPQKLLKERLQQLNHPSLLPAAHGAGTGVDQFPGFGFSDSNNPNIQPFHQPVADMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRRKCSIDKQDSISSGMPSNRQLVSDEFFSSHSVGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAAQRQD >ONI19991 pep chromosome:Prunus_persica_NCBIv2:G3:26901756:26908957:-1 gene:PRUPE_3G309100 transcript:ONI19991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDEALEGNGEGKALTGSLDESIGDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEEIVFPGRPKSSSDCLLRSSADIHGSISNSPSLPSLSNEVSETNLPSQQNDKLHPFGLLMSELRGSSHLRSAQSSHASLGMDDQVQLRDSFFEGGATIASQNPLGPMIDQPSFVDTQSDNYIRNNTNISLGSIDAHHLSRMGKEISGFGLAEHIMPQKLLKERLQQLNHPSLLPAAHGAGTGVDQFPGFGFSDSNNPNIQPFHQPVADMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRLGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAGGGCGNFYSSETGLDKQIGEEVSNGRLPPAITKGSDNALHRRRVLSSQDVLSEAALSLPVKQRNPAATLVSDTQASSKNDAQFRRTSSCSDASVSETSFIDMLKKPVIVEADAANRAASALESSDGGSHVGRSGKKKGKKGRQIDPALLGFKVSSNRILMGEIHRLDD >ONI19997 pep chromosome:Prunus_persica_NCBIv2:G3:26902535:26908957:-1 gene:PRUPE_3G309100 transcript:ONI19997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDEALEGNGEGKALTGSLDESIGDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEEIVFPGRPKSSSDCLLRSSADIHGSISNSPSLPSLSNEVSETNLPSQQNDKLHPFGLLMSELRGSSHLRSAQSSHASLGMDDQVQLRDSFFEGGATIASQNPLGPMIDQPSFVDTQSDNYIRNNTNISLGSIDAHHLSRMGKEISGFGLAEHIMPQKLLKERLQQLNHPSLLPAAHGAGTGVDQFPGFGFSDSNNPNIQPFHQPVADMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRRKCSIDKQDSISSGMPSNRQLVSDEFFSSHSVGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAAQRQD >ONI19987 pep chromosome:Prunus_persica_NCBIv2:G3:26901756:26908957:-1 gene:PRUPE_3G309100 transcript:ONI19987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEEIVFPGRPKSSSDCLLRSSADIHGSISNSPSLPSLSNEVSETNLPSQQNDKLHPFGLLMSELRGSSHLRSAQSSHASLGMDDQVQLRDSFFEGGATIASQNPLGPMIDQPSFVDTQSDNYIRNNTNISLGSIDAHHLSRMGKEISGFGLAEHIMPQKLLKERLQQLNHPSLLPAAHGAGTGVDQFPGFGFSDSNNPNIQPFHQPVADMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRRKCSIDKQDSISSGMPSNRQLVSDEFFSSHSVGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAGGGCGNFYSSETGLDKQIGEEVSNGRLPPAITKGSDNALHRRRVLSSQDVLSEAALSLPVKQRNPAATLVSDTQASSKNDAQFRRTSSCSDASVSETSFIDMLKKPVIVEADAANRAASALESSDGGSHVGRSGKKKGKKGRQIDPALLGFKVSSNRILMGEIHRLDD >ONI19989 pep chromosome:Prunus_persica_NCBIv2:G3:26901756:26908957:-1 gene:PRUPE_3G309100 transcript:ONI19989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDEALEGNGEGKALTGSLDESIDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEEIVFPGRPKSSSDCLLRSSADIHGSISNSPSLPSLSNEVSETNLPSQQNDKLHPFGLLMSELRGSSHLRSAQSSHASLGMDDQVQLRDSFFEGGATIASQNPLGPMIDQPSFVDTQSDNYIRNNTNISLGSIDAHHLSRMGKEISGFGLAEHIMPQKLLKERLQQLNHPSLLPAAHGAGTGVDQFPGFGFSDSNNPNIQPFHQPVADMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRRKCSIDKQDSISSGMPSNRQLVSDEFFSSHSVGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAGGGCGNFYSSETGLDKQIGEEVSNGRLPPAITKGSDNALHRRRVLSSQDVLSEAALSLPVKQRNPAATLVSDTQASSKNDAQFRRTSSCSDASVSETSFIDMLKKPVIVEADAANRAASALESSDGGSHVGRSGKKKGKKGRQIDPALLGFKVSSNRILMGEIHRLDD >ONI19985 pep chromosome:Prunus_persica_NCBIv2:G3:26901507:26909200:-1 gene:PRUPE_3G309100 transcript:ONI19985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEDMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRLGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAGGGCGNFYSSETGLDKQIGEEVSNGRLPPAITKGSDNALHRRRVLSSQDVLSEAALSLPVKQRNPAATLVSDTQASSKNDAQFRRTSSCSDASVSETSFIDMLKKPVIVEADAANRAASALESSDGGSHVGRSGKKKGKKGRQIDPALLGFKVSSNRILMGEIHRLDD >ONI19993 pep chromosome:Prunus_persica_NCBIv2:G3:26901500:26909206:-1 gene:PRUPE_3G309100 transcript:ONI19993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEEIVFPGRPKSSSDCLLRSSADIHGSISNSPSLPSLSNEVSETNLPSQQNDKLHPFGLLMSELRGSSHLRSAQSSHASLGMDDQVQLRDSFFEGGATIASQNPLGPMIDQPSFVDTQSDNYIRNNTNISLGSIDAHHLSRMGKEISGFGLAEHIMPQKLLKERLQQLNHPSLLPAAHGAGTGVDQFPGFGFSDSNNPNIQPFHQPVADMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRLGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAAQRQD >ONI19986 pep chromosome:Prunus_persica_NCBIv2:G3:26901501:26909272:-1 gene:PRUPE_3G309100 transcript:ONI19986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEEIVFPGRPKSSSDCLLRSSADIHGSISNSPSLPSLSNEVSETNLPSQQNDKLHPFGLLMSELRGSSHLRSAQSSHASLGMDDQVQLRDSFFEGGATIASQNPLGPMIDQPSFVDTQSDNYIRNNTNISLGSIDAHHLSRMGKEISGFGLAEHIMPQKLLKERLQQLNHPSLLPAAHGAGTGVDQFPGFGFSDSNNPNIQPFHQPVADMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRLGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAGGGCGNFYSSETGLDKQIGEEVSNGRLPPAITKGSDNALHRRRVLSSQDVLSEAALSLPVKQRNPAATLVSDTQASSKNDAQFRRTSSCSDASVSETSFIDMLKKPVIVEADAANRAASALESSDGGSHVGRSGKKKGKKGRQIDPALLGFKVSSNRILMGEIHRLDD >ONI19996 pep chromosome:Prunus_persica_NCBIv2:G3:26902535:26908957:-1 gene:PRUPE_3G309100 transcript:ONI19996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDEALEGNGEGKALTGSLDESIGDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEEIVFPGRPKSSSDCLLRSSADIHGSISNSPSLPSLSNEVSETNLPSQQNDKLHPFGLLMSELRGSSHLRSAQSSHASLGMDDQVQLRDSFFEGGATIASQNPLGPMIDQPSFVDTQSDNYIRNNTNISLGSIDAHHLSRMGKEISGFGLAEHIMPQKLLKERLQQLNHPSLLPAAHGAGTGVDQFPGFGFSDSNNPNIQPFHQPVADMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRLGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAAQRQD >ONI19995 pep chromosome:Prunus_persica_NCBIv2:G3:26901507:26909200:-1 gene:PRUPE_3G309100 transcript:ONI19995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDEALEGNGEGKALTGSLDESIDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEEIVFPGRPKSSSDCLLRSSADIHGSISNSPSLPSLSNEVSETNLPSQQNDKLHPFGLLMSELRGSSHLRSAQSSHASLGMDDQVQLRDSFFEGGATIASQNPLGPMIDQPSFVDTQSDNYIRNNTNISLGSIDAHHLSRMGKEISGFGLAEHIMPQKLLKERLQQLNHPSLLPAAHGAGTGVDQFPGFGFSDSNNPNIQPFHQPVADMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRLGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAAQRQD >ONI19992 pep chromosome:Prunus_persica_NCBIv2:G3:26901756:26908957:-1 gene:PRUPE_3G309100 transcript:ONI19992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAHGKVSLPQDLLPANMTDAHFSTNDEALEGNGEGKALTGSLDESIGDQVASESSIPLSPQWLYAKPVDSKTLVTGTSGEMHAPGSLPHGNSTDPNSRDTWRLDASQDKKDWRRNAPDLDITRRWREEERETGLLGRRDRKKEDRRVGVTSTRDTITTDGRAEDRHVGATSTKDVTENKVLSSDRWHESRRDNKWSSRWGPEDKDKDSRIEKKTDVEKEDIHVDKQSLSNSNRAASERDSDSRDKWRPRHRMEVQSGGAAPYRAAPGFGMARGQVEKVGFTAGRGRSNTNGTLQIGRPVLGKASPFLNMYCYPRGKLLDIYRKQKIDLTFDSMPDGMEHVSQITQVGSTEPLAFVAPDADEEACLRDILKGSITSSGVLYNSPKDKNVLSDDSKGTSNVTLSKEEGNFAANSEQNVQSTGEVILNNSFQVTGPEVSPICGPQAHILKESVATEGEQKVLTVKALADGGIDGPSNDVTELRNSGYQEQTSSSDRHYVKSNEAHVSDRIVSPEDLSLCYLDPQGNTQGPFLGIDIIAWFEQGFFGIDLPVRLFDAPDGSPYQELGDVMPHLKTKSGYVSNSSLHAKLEPLDVIKGSLEERISAPNYGGSNILNSQQWTPSVLEATSSGSVQSRMPNHSYQSELQYLDNQSIQNFVAEDEEIVFPGRPKSSSDCLLRSSADIHGSISNSPSLPSLSNEVSETNLPSQQNDKLHPFGLLMSELRGSSHLRSAQSSHASLGMDDQVQLRDSFFEGGATIASQNPLGPMIDQPSFVDTQSDNYIRNNTNISLGSIDAHHLSRMGKEISGFGLAEHIMPQKLLKERLQQLNHPSLLPAAHGAGTGVDQFPGFGFSDSNNPNIQPFHQPVADMEHLLELQRQQQRQRQLELRQQHHQLELQQHQHQLELQQRQRQLELQQHQRQLEFQQHQRQLELQQRLRQLELQQQHHLKQQLHHHQMKLQQQQQSQTQHLLLEQFLHQQMSDSGYGQWKIDATNGNPLDQLSLRKQFPNDLHHSHSSIQDPLLEQIIQANIGRPGQTDFLDLISQAKQGNMHPSELQLRFQQQELQAQQLSVALREQLQMEGERRLGGPRFADEASHVARDPAGHHQAQMVAFSSSENYQQQQRFPTHEQQLSHLNWNHTSRDRHGMNFDIQNACGQGLDLQDQYRRKCSIDKQDSISSGMPSNRQLVSDEFFSSHSVGLERMPCGNSGQLENSCIEAHRPHLHLDAEQKRRDSEATMAFAESNMWANGDREHSKQILMDLHQKLGHQSTELSEVDYQHQLSSSRSRGGSVHLPFSLLRDQPVGMNTGGPQNSNYSVSFQDHLGGVGMNEQSSNLATSERVPFRSNSREFMEDQLFLSGPREVSHTSHVDTSFMCKSAVSDGVSELEGNNWKKQGVKGMLNRSVSGYEGNVTDQEETAIDCGELRSNAHSRHSSLSSAGGGCGNFYSSETGLDKQIGEEVSNGRLPPAITKGSDNALHRRRVLSSQDVLSEAALSLPVKQRNPAATLVSDTQASSKNDAQFRRTSSCSDASVSETSFIDMLKKPVIVEADAANRAASALESSDGGSHVGRSGKKKGKKGRQIDPALLGFKVSSNRILMGEIHRLDD >ONI15784 pep chromosome:Prunus_persica_NCBIv2:G3:4397074:4403309:1 gene:PRUPE_3G061400 transcript:ONI15784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQCLLGSYSSSSLSPNPKPKTLNKNPPKPLAPSSISSHLSTTDDNDENDKTHKPNFDFLKLSVTLTVISTALPQIPTGIAAVKEKKRVPKKSTLKKSEALSHQELQSWSQGLPVVSNRIPYTQLLILNQEGKLKHVIKPPGVELQKRVEPVLVVLEDNRVLRTVLPSVDSDRRFWEQWEELKIESLCVNAYTPPLKRPEVPSPYLGFVAKWPAFLSSFVKPKKESKRAMELRRAREEFKTQRKEELERMRKERDMIDKAMKAQKKEEERRVRREMRKKKHDESLRQARRNYLEMANVWANLAQDSNVATALGLVFFYIFYRTVVFSYRRQKKDYEDRLKIEKAEAEERKKMRELEREMEGIEGEEEEEIEQGKGEQNPYLKMAMQFMKSGARVRRAHNKRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEMYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVSLDGFEGRGEVITIASTNRPDILDPALVRPGRFDRKIYIPKPGLIGRIEILKVHARKKPMAEDVDYMAIASMTDGMVGAELANIVEVAAINMMRDGRTEITTDDLLQAAQMEERGMLDRKERSLDTWKQVAINEAAMAVVAVNYPDLKNIEFVTIAPRAGRELGYVRMKMDPIKFKEGMLTRQSLLDHITVQLAPRAADELWFGEDQLSTIWAETADNARSAARTYVLGGLSEKHHGLSNFWVADRLNDLDTEALQIVNMCYERAKEILRKNRKLMDAVVDELVQKKSLTKQEFCSLVELHGSIKPMPPSILDIRAAKRKQFQDMMMNQKEPALGSNL >ONI18926 pep chromosome:Prunus_persica_NCBIv2:G3:23845815:23851417:1 gene:PRUPE_3G248000 transcript:ONI18926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMVFKSKMKWVGLLGLVLSAISLFVHFLLARFTEGGVSEYHSSITIFPRRTIGESLNFSKTSPMYRRLWGPVRHLESLHPNANPRGHYADPGSDTSGFIFVRIQGGFHEIRNSICDVVVVARFLNATLVIPEIQSTTSSKGISSQFKSFAYLYNEDQFMAALSKDVKVVKTLPKNLKGLRRKKNIPVFKVPYSASPYYYKHHVLPILKKHLVVELVVSDGGCLQAILPPDLEEYQRLRCRVAFHALRFREEVQELATKILHRLRAPGQPFIAFDPGMTREALAYHGCAELFQDVHTELIQHKRHWMIKRGIVKGKLSVNSAEQRLNGSCPLMPEEIGIVLRAYGYLWDTIIYVSGGEIFGGQRTLIPLRAMFENVVDRTSLSMPWELSRIYGREANLVDRNPGSPPMIEEEMKIEAWKTAGPRPRPLPPPPARPKSYNIEGWWGWVAESDNEPDSTVMELRTNAHKLLWEAIDYVICVEADVFIPGFDLDGKGHPNFASLVMGHRLYQSAASKTYRPDRKEVTKLLEEISDHLYHANHTWLMSVRKNLRKRLVDGLIEASARSKPLSFISHPVPECSCLRHDPAGKSINATTSAHASTSTSHSQVLAALGVVHYCPAWMENDLILQSKDKENEETLDEDDSTSSGLFFRKNNGYHEGVNGDLSNKEETQLDDQEELEGGER >ONI17247 pep chromosome:Prunus_persica_NCBIv2:G3:16216750:16221424:-1 gene:PRUPE_3G148400 transcript:ONI17247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQAATSFNGNLKKALAGLRRIDLDGLRWKVFDAKGQVLGRLASQISTVIQGKDKPTYAPNRDDGDMCIVLNAKDVCVTGRKLTDKVYHWHTGYIGHLKERTLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFVGGEHPFGDRPLEPYLMPSRQVREMRPRTRRAMVRAQKKAEQQQQDANDPRRGKRKDRPEVNA >ONI17245 pep chromosome:Prunus_persica_NCBIv2:G3:16217059:16218886:-1 gene:PRUPE_3G148400 transcript:ONI17245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQAATSFNGNLKKALAGLRRIDLDGLRWKVFDAKGQVLGRLASQISTVIQGKDKPTYAPNRDDGDMCIVLNAKDVCVTGRKLTDKVYHWHTGYIGHLKERTLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFVGGEHPFGDRPLEPYLMPSRQVREMRPRTRRAMVRAQKKAEQQQQDANDPRRGKRKDRPEVNA >ONI17246 pep chromosome:Prunus_persica_NCBIv2:G3:16216750:16221185:-1 gene:PRUPE_3G148400 transcript:ONI17246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQAATSFNGNLKKALAGLRRIDLDGLRWKVFDAKGQVLGRLASQISTVIQGKDKPTYAPNRDDGDMCIVLNAKDVCVTGRKLTDKVYHWHTGYIGHLKERTLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFVGGEHPFGDRPLEPYLMPSRQVREMRPRTRRAMVRAQKKAEQQQQDANDPRRGKRKDRPEVNA >ONI16112 pep chromosome:Prunus_persica_NCBIv2:G3:5829031:5835748:-1 gene:PRUPE_3G078800 transcript:ONI16112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16108 pep chromosome:Prunus_persica_NCBIv2:G3:5829031:5835607:-1 gene:PRUPE_3G078800 transcript:ONI16108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPGIIHYSPTWEGISDISKLTPLSHPCSRTAFSSASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16111 pep chromosome:Prunus_persica_NCBIv2:G3:5829805:5835483:-1 gene:PRUPE_3G078800 transcript:ONI16111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKVNLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPGIIHYSPTWEGISDISKLTPLSHPCSRTAFSSASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16101 pep chromosome:Prunus_persica_NCBIv2:G3:5829805:5835737:-1 gene:PRUPE_3G078800 transcript:ONI16101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTWRREREIASTRKGRGSEVAYGAFFPLGWLFKKRGKQPLPILRLDKMDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPAFSSASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16105 pep chromosome:Prunus_persica_NCBIv2:G3:5829805:5835737:-1 gene:PRUPE_3G078800 transcript:ONI16105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTWRREREIASTRKGRGSEVAYGAFFPLGWLFKKRGKQPLPILRLDKMDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKVNLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPGIIHYSPTWEGISDISKLTPLSHPCSRTAFSSASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16113 pep chromosome:Prunus_persica_NCBIv2:G3:5829805:5834391:-1 gene:PRUPE_3G078800 transcript:ONI16113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPAFSSASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16110 pep chromosome:Prunus_persica_NCBIv2:G3:5829805:5835483:-1 gene:PRUPE_3G078800 transcript:ONI16110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKVNLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPAFSSASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16106 pep chromosome:Prunus_persica_NCBIv2:G3:5829031:5835748:-1 gene:PRUPE_3G078800 transcript:ONI16106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16100 pep chromosome:Prunus_persica_NCBIv2:G3:5829031:5835748:-1 gene:PRUPE_3G078800 transcript:ONI16100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTWRREREIASTRKGRGSEVAYGAFFPLGWLFKKRGKQPLPILRLDKMDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16103 pep chromosome:Prunus_persica_NCBIv2:G3:5829031:5835748:-1 gene:PRUPE_3G078800 transcript:ONI16103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTWRREREIASTRKGRGSEVAYGAFFPLGWLFKKRGKQPLPILRLDKMDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKVNLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16107 pep chromosome:Prunus_persica_NCBIv2:G3:5829805:5835483:-1 gene:PRUPE_3G078800 transcript:ONI16107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPAFSSASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16104 pep chromosome:Prunus_persica_NCBIv2:G3:5829805:5835737:-1 gene:PRUPE_3G078800 transcript:ONI16104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTWRREREIASTRKGRGSEVAYGAFFPLGWLFKKRGKQPLPILRLDKMDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKVNLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPAFSSASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16109 pep chromosome:Prunus_persica_NCBIv2:G3:5829031:5835748:-1 gene:PRUPE_3G078800 transcript:ONI16109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKVNLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI16102 pep chromosome:Prunus_persica_NCBIv2:G3:5829805:5835737:-1 gene:PRUPE_3G078800 transcript:ONI16102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTWRREREIASTRKGRGSEVAYGAFFPLGWLFKKRGKQPLPILRLDKMDRTPEANRIAATKSSSISSSPAVQESPFSNFLSNLSPLNTATTASYTQTLLGTNLPTPPVVFTSPHINLQRETSFLERDDIVEAGSEVYKECNTNIVQIQNPSFEEVQLCSPSGCVDEYLADPVEVDSTWSADLRSQRTNEVPRLLHSGFAPGEESNTEVCDIMFGSPENEAVLLSDQAEKNLPLSSLEMSQAAINQRDGKKTEELSRFIFEKVKESDVNACLVSRAQNCGENAAKLHRAGCQYDEKNASSQSREDSNECKQRVQKGFVKEGGQNERGIRRHLQFEAAKAYKFTILGNSESPNSLTHDATNSRSPSILTNLKSLASSHFDNRASSSLQDVSCDTLQFPSSPYESFTSAQIGVNSTTSAPIHSVIGLHLNRISRSTSLSSDIFSSKKSIGYLSMPEQMLEHGSNNIATNSSSILTSAVSGKIYVHVASGQQESQAVTEANSFTFHSTDTMKPPCHSMLVDQETAPCEVGMSASQETDEVEELNQLSPKRKRRRDAYMSEGCKRCNCRRSKCLKLYCECFAAGVYCVDSCACVNCYNKPEFEDTVLDIRQQIEARNPLAFTPKVVDNAIDSSPNFTEEQDLTTPSSARHKRGCNCKKSKCLKRYCECFQAKVGCSSACRCEGCKNTFGVTPEPVYNRAKKWEAHPAEKLDNVKGAIACIKAPGIIHYSPTWEGISDISKLTPLSHPCSRTAFSSASSSNSAKIPQAQLPSSQLQPSGAHYDIPYDDTPEILEETSNPTKVVRASSPNQKRVSPPQSRSRLRERSPTGLRSGRKFILQAMPSFPPLTPHRNSKEGTNEIENDDK >ONI15099 pep chromosome:Prunus_persica_NCBIv2:G3:1876767:1883659:1 gene:PRUPE_3G025700 transcript:ONI15099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKFGAEGENVDPYLFSTNEFVGRQTWEFDPHAGTPQERAEVEEARQNFYNNRYKVKASSEILWRMQLHRERNFKQTIPQVKIEDDDEERRHEKATAALKRAVAYWSALQSPHGHWPAETTGPLFYTPPVVMALYITGHLNMVFSADHRKEMLWYMYCHQNEDGGWGLHMEDHSMMFCTLLNYICMRLLGEGPDGGLDNACARARKWILDHGGATHSSSWGKIWMAILGVYDWEGNNPIPPELWSCPSFLPFHPAKILCYTRMAYLPMSHLYGKRFVGRITPLILQLREEIYNEPYNEIKWSKVRHLCAKEDNCHPHGKLQSLMWDSLHTICEPLFTRWPFKKFREKALQETSKHIHYEDENTRYITIGVVIKPLNMLACWAEDPNGESYKKHLARIADFIWVAEDGMKMQSFGSQMWDASFAIQALLAANLNDELGSVLKKGHDFLKKSQVRDNPSGDFLAYFRHISKGGWTFSDQDHGWQVSDCTAEALKSCLLLSMLPPQLVGEQLEPERLYDAVNVILSLQSPNGGVSAWEPAGAPKWLEWLNPIEFLGDLVIEYEHVECTSSSIRALALFRKLYPAHRKKQIDNFITTAAGYIEDIQNPDGSWYGNWGICFIYGTWFAIRGLEAAGKTYNNCAAIRRGVEFLLKTQRDDGGWGESYISCTNKVYTPLEGDRSNLVQTAMGLMGLIHGGQAERDPTPIHQAAKLLINSQLENGDFPQQEVMGVFMRNAMLNYAAYRNSIPIWALAEYCHMVPKPLYV >ONI15100 pep chromosome:Prunus_persica_NCBIv2:G3:1876766:1883659:1 gene:PRUPE_3G025700 transcript:ONI15100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKFGAEGENVDPYLFSTNEFVGRQTWEFDPHAGTPQERAEVEEARQNFYNNRYKVKASSEILWRMQLHRERNFKQTIPQVKIEDDDEERRHEKATAALKRAVAYWSALQSPHGHWPAETTGPLFYTPPVNEDGGWGLHMEDHSMMFCTLLNYICMRLLGEGPDGGLDNACARARKWILDHGGATHSSSWGKIWMAILGVYDWEGNNPIPPELWSCPSFLPFHPAKILCYTRMAYLPMSHLYGKRFVGRITPLILQLREEIYNEPYNEIKWSKVRHLCAKEDNCHPHGKLQSLMWDSLHTICEPLFTRWPFKKFREKALQETSKHIHYEDENTRYITIGVVIKPLNMLACWAEDPNGESYKKHLARIADFIWVAEDGMKMQSFGSQMWDASFAIQALLAANLNDELGSVLKKGHDFLKKSQVRDNPSGDFLAYFRHISKGGWTFSDQDHGWQVSDCTAEALKSCLLLSMLPPQLVGEQLEPERLYDAVNVILSLQSPNGGVSAWEPAGAPKWLEWLNPIEFLGDLVIEYEHVECTSSSIRALALFRKLYPAHRKKQIDNFITTAAGYIEDIQNPDGSWYGNWGICFIYGTWFAIRGLEAAGKTYNNCAAIRRGVEFLLKTQRDDGGWGESYISCTNKVYTPLEGDRSNLVQTAMGLMGLIHGGQAERDPTPIHQAAKLLINSQLENGDFPQQEVMGVFMRNAMLNYAAYRNSIPIWALAEYCHMVPKPLYV >ONI16835 pep chromosome:Prunus_persica_NCBIv2:G3:11237048:11239404:-1 gene:PRUPE_3G124500 transcript:ONI16835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIVGEGDGAREKQRYTESKVYTRKAFKGPKKKSIDNNTTKPTEANPTATTATATTTTAVTAPSVTTTTTADDNNINKNDNHHHEKDNNINQNDNNKSDKQDNNKKNDENENSSQPPPPQTIASEEGNSAQQQQLLPPPDAAASGDSSSLNRQEVAVAVVEPESRDPPVENGLAKEGPENRMKINLASRSKQEMRELRRKLESELDMVRSLVKRIEAKQGQIGGFNLSLVTNEGVNNSSAVLRRVHSEVASVGVPREVTRPLHQLSISVLENSQGMSDIVEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKSKLNGKKHGGGDLGQGYGMGSKFFKSCSSLLEKLMKHKHGWVFNEPVDAAKLGLHDYHIIIKHPMDLGTIKSRLNKNWYKSPKEFAEDVRLTFHNAMTYNPQGQDVHVMAEQLSRIFEDRWAIIESDYNREMRFGYDYGASLPTPTSRKAPPLPPPPLDMRRILDRSESISHHVDPKPKPMTITPRTPAPKKPKAKDPHKRDMTYEEKQKLSTSLQSLPSEKLDSIVQIIKRRNSDLFQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKHKRKAEMAMQARAETEQNVQQQIQDPIVAEVPKETKTADEKIISSSTPIQGDNQGDNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSPRT >ONI16832 pep chromosome:Prunus_persica_NCBIv2:G3:11235721:11240171:-1 gene:PRUPE_3G124500 transcript:ONI16832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIVGEGDGAREKQRYTESKVYTRKAFKGPKKKSIDNNTTKPTEANPTATTATATTTTAVTAPSVTTTTTADDNNINKNDNHHHEKDNNINQNDNNKSDKQDNNKKNDENENSSQPPPPQTIASEEGNSAQQQQLLPPPDAAASGDSSSLNRQEVAVAVVEPESRDPPVENGLAKEGPENRMKINLASRSKQEMRELRRKLESELDMVRSLVKRIEAKQGQIGGFNLSLVTNEGVNNSSAVLRRVHSEVASVGVPREVTRPLHQLSISVLENSQGMSDIVEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKSKLNGKKHGGGDLGQGYGMGSKFFKSCSSLLEKLMKHKHGWVFNEPVDAAKLGLHDYHIIIKHPMDLGTIKSRLNKNWYKSPKEFAEDVRLTFHNAMTYNPQGQDVHVMAEQLSRIFEDRWAIIESDYNREMRFGYDYGASLPTPTSRKAPPLPPPPLDMRRILDRSESISHHVDPKPKPMTITPRTPAPKKPKAKDPHKRDMTYEEKQKLSTSLQSLPSEKLDSIVQIIKRRNSDLFQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKHKRKAEMAMQARAETEQNVQQQIQDPIVAEVPKETKTDEKIISSSTPIQGDNQGDNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSPRT >ONI16837 pep chromosome:Prunus_persica_NCBIv2:G3:11237048:11239404:-1 gene:PRUPE_3G124500 transcript:ONI16837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIVGEGDGAREKQRYTESKVYTRKAFKGPKKKSIDNNTTKPTEANPTATTATATTTTAVTAPSVTTTTTADDNNINKNDNHHHEKDNNINQNDNNKSDKQDNNKKNDENENSSQPPPPQTIASEEGNSAQQQQLLPPPDAAASGDSSSLNRQEVAVAVVEPESRDPPVENGLAKEGPENRMKINLASRSKQEMRELRRKLESELDMVRSLVKRIEAKQGQIGGFNLSLVTNEGVNNSSAVLRRVHSEVASVGVPREVTRPLHQLSISVLENSQGMSDIVEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKSKLNGKKHGGGDLGQGYGMGSKFFKSCSSLLEKLMKHKHGWVFNEPVDAAKLGLHDYHIIIKHPMDLGTIKSRLNKNWYKSPKEFAEDVRLTFHNAMTYNPQGQDVHVMAEQLSRIFEDRWAIIESDYNREMRFGYDYGASLPTPTSRKAPPLPPPPLDMRRILDRSESISHHVDPKPKPMTITPRTPAPKKPKAKDPHKRDMTYEEKQKLSTSLQSLPSEKLDSIVQIIKRRNSDLFQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKHKRKAEMAMQARAETEQNVQQQIQDPIVAEVPKETKTADEKIISSSTPIQGDNQGDNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSPRT >ONI16838 pep chromosome:Prunus_persica_NCBIv2:G3:11237048:11239404:-1 gene:PRUPE_3G124500 transcript:ONI16838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIVGEGDGAREKQRYTESKVYTRKAFKGPKKKSIDNNTTKPTEANPTATTATATTTTAVTAPSVTTTTTADDNNINKNDNHHHEKDNNINQNDNNKSDKQDNNKKNDENENSSQPPPPQTIASEEGNSAQQQQLLPPPDAAASGDSSSLNRQEVAVAVVEPESRDPPVENGLAKEGPENRMKINLASRSKQEMRELRRKLESELDMVRSLVKRIEAKQGQIGGFNLSLVTNEGVNNSSAVLRRVHSEVASVGVPREVTRPLHQLSISVLENSQGMSDIVEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKSKLNGKKHGGGDLGQGYGMGSKFFKSCSSLLEKLMKHKHGWVFNEPVDAAKLGLHDYHIIIKHPMDLGTIKSRLNKNWYKSPKEFAEDVRLTFHNAMTYNPQGQDVHVMAEQLSRIFEDRWAIIESDYNREMRFGYDYGASLPTPTSRKAPPLPPPPLDMRRILDRSESISHHVDPKPKPMTITPRTPAPKKPKAKDPHKRDMTYEEKQKLSTSLQSLPSEKLDSIVQIIKRRNSDLFQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKHKRKAEMAMQARAETEQNVQQQIQDPIVAEVPKETKTADEKIISSSTPIQGDNQGDNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSPRT >ONI16836 pep chromosome:Prunus_persica_NCBIv2:G3:11237048:11239404:-1 gene:PRUPE_3G124500 transcript:ONI16836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIVGEGDGAREKQRYTESKVYTRKAFKGPKKKSIDNNTTKPTEANPTATTATATTTTAVTAPSVTTTTTADDNNINKNDNHHHEKDNNINQNDNNKSDKQDNNKKNDENENSSQPPPPQTIASEEGNSAQQQQLLPPPDAAASGDSSSLNRQEVAVAVVEPESRDPPVENGLAKEGPENRMKINLASRSKQEMRELRRKLESELDMVRSLVKRIEAKQGQIGGFNLSLVTNEGVNNSSAVLRRVHSEVASVGVPREVTRPLHQLSISVLENSQGMSDIVEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKSKLNGKKHGGGDLGQGYGMGSKFFKSCSSLLEKLMKHKHGWVFNEPVDAAKLGLHDYHIIIKHPMDLGTIKSRLNKNWYKSPKEFAEDVRLTFHNAMTYNPQGQDVHVMAEQLSRIFEDRWAIIESDYNREMRFGYDYGASLPTPTSRKAPPLPPPPLDMRRILDRSESISHHVDPKPKPMTITPRTPAPKKPKAKDPHKRDMTYEEKQKLSTSLQSLPSEKLDSIVQIIKRRNSDLFQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKHKRKAEMAMQARAETEQNVQQQIQDPIVAEVPKETKTADEKIISSSTPIQGDNQGDNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSPRT >ONI16829 pep chromosome:Prunus_persica_NCBIv2:G3:11234481:11240168:-1 gene:PRUPE_3G124500 transcript:ONI16829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIVGEGDGAREKQRYTESKVYTRKAFKGPKKKSIDNNTTKPTEANPTATTATATTTTAVTAPSVTTTTTADDNNINKNDNHHHEKDNNINQNDNNKSDKQDNNKKNDENENSSQPPPPQTIASEEGNSAQQQQLLPPPDAAASGDSSSLNRQEVAVAVVEPESRDPPVENGLAKEGPENRMKINLASRSKQEMRELRRKLESELDMVRSLVKRIEAKQGQIGGFNLSLVTNEGVNNSSAVLRRVHSEVASVGVPREVTRPLHQLSISVLENSQGMSDIVEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKSKLNGKKHGGGDLGQGYGMGSKFFKSCSSLLEKLMKHKHGWVFNEPVDAAKLGLHDYHIIIKHPMDLGTIKSRLNKNWYKSPKEFAEDVRLTFHNAMTYNPQGQDVHVMAEQLSRIFEDRWAIIESDYNREMRFGYDYGASLPTPTSRKAPPLPPPPLDMRRILDRSESISHHVDPKPKPMTITPRTPAPKKPKAKDPHKRDMTYEEKQKLSTSLQSLPSEKLDSIVQIIKRRNSDLFQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKHKRKAEMAMQARAETEQNVQQQIQDPIVAEVPKETKTDEKIISSSTPIQGDNQGDNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSPRT >ONI16833 pep chromosome:Prunus_persica_NCBIv2:G3:11233705:11240180:-1 gene:PRUPE_3G124500 transcript:ONI16833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIVGEGDGAREKQRYTESKVYTRKAFKGPKKKSIDNNTTKPTEANPTATTATATTTTAVTAPSVTTTTTADDNNINKNDNHHHEKDNNINQNDNNKSDKQDNNKKNDENENSSQPPPPQTIASEEGNSAQQQQLLPPPDAAASGDSSSLNRQEVAVAVVEPESRDPPVENGLAKEGPENRMKINLASRSKQEMRELRRKLESELDMVRSLVKRIEAKQGQIGGFNLSLVTNEGVNNSSAVLRRVHSEVASVGVPREVTRPLHQLSISVLENSQGMSDIVEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKSKLNGKKHGGGDLGQGYGMGSKFFKSCSSLLEKLMKHKHGWVFNEPVDAAKLGLHDYHIIIKHPMDLGTIKSRLNKNWYKSPKEFAEDVRLTFHNAMTYNPQGQDVHVMAEQLSRIFEDRWAIIESDYNREMRFGYDYGASLPTPTSRKAPPLPPPPLDMRRILDRSESISHHVDPKPKPMTITPRTPAPKKPKAKDPHKRDMTYEEKQKLSTSLQSLPSEKLDSIVQIIKRRNSDLFQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKHKRKAEMAMQARAETEQNVQQQIQDPIVAEVPKETKTDEKIISSSTPIQGDNQGDNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSPRT >ONI16831 pep chromosome:Prunus_persica_NCBIv2:G3:11233740:11240148:-1 gene:PRUPE_3G124500 transcript:ONI16831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIVGEGDGAREKQRYTESKVYTRKAFKGPKKKSIDNNTTKPTEANPTATTATATTTTAVTAPSVTTTTTADDNNINKNDNHHHEKDNNINQNDNNKSDKQDNNKKNDENENSSQPPPPQTIASEEGNSAQQQQLLPPPDAAASGDSSSLNRQEVAVAVVEPESRDPPVENGLAKEGPENRMKINLASRSKQEMRELRRKLESELDMVRSLVKRIEAKQGQIGGFNLSLVTNEGVNNSSAVLRRVHSEVASVGVPREVTRPLHQLSISVLENSQGMSDIVEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKSKLNGKKHGGGDLGQGYGMGSKFFKSCSSLLEKLMKHKHGWVFNEPVDAAKLGLHDYHIIIKHPMDLGTIKSRLNKNWYKSPKEFAEDVRLTFHNAMTYNPQGQDVHVMAEQLSRIFEDRWAIIESDYNREMRFGYDYGASLPTPTSRKAPPLPPPPLDMRRILDRSESISHHVDPKPKPMTITPRTPAPKKPKAKDPHKRDMTYEEKQKLSTSLQSLPSEKLDSIVQIIKRRNSDLFQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKHKRKAEMAMQARAETEQNVQQQIQDPIVAEVPKETKTDEKIISSSTPIQGDNQGDNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSPRT >ONI16830 pep chromosome:Prunus_persica_NCBIv2:G3:11233698:11240148:-1 gene:PRUPE_3G124500 transcript:ONI16830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIVGEGDGAREKQRYTESKVYTRKAFKGPKKKSIDNNTTKPTEANPTATTATATTTTAVTAPSVTTTTTADDNNINKNDNHHHEKDNNINQNDNNKSDKQDNNKKNDENENSSQPPPPQTIASEEGNSAQQQQLLPPPDAAASGDSSSLNRQEVAVAVVEPESRDPPVENGLAKEGPENRMKINLASRSKQEMRELRRKLESELDMVRSLVKRIEAKQGQIGGFNLSLVTNEGVNNSSAVLRRVHSEVASVGVPREVTRPLHQLSISVLENSQGMSDIVEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKSKLNGKKHGGGDLGQGYGMGSKFFKSCSSLLEKLMKHKHGWVFNEPVDAAKLGLHDYHIIIKHPMDLGTIKSRLNKNWYKSPKEFAEDVRLTFHNAMTYNPQGQDVHVMAEQLSRIFEDRWAIIESDYNREMRFGYDYGASLPTPTSRKAPPLPPPPLDMRRILDRSESISHHVDPKPKPMTITPRTPAPKKPKAKDPHKRDMTYEEKQKLSTSLQSLPSEKLDSIVQIIKRRNSDLFQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKHKRKAEMAMQARAETEQNVQQQIQDPIVAEVPKETKTDEKIISSSTPIQGDNQGDNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSPRT >ONI16834 pep chromosome:Prunus_persica_NCBIv2:G3:11237048:11239404:-1 gene:PRUPE_3G124500 transcript:ONI16834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIVGEGDGAREKQRYTESKVYTRKAFKGPKKKSIDNNTTKPTEANPTATTATATTTTAVTAPSVTTTTTADDNNINKNDNHHHEKDNNINQNDNNKSDKQDNNKKNDENENSSQPPPPQTIASEEGNSAQQQQLLPPPDAAASGDSSSLNRQEVAVAVVEPESRDPPVENGLAKEGPENRMKINLASRSKQEMRELRRKLESELDMVRSLVKRIEAKQGQIGGFNLSLVTNEGVNNSSAVLRRVHSEVASVGVPREVTRPLHQLSISVLENSQGMSDIVEKEKRTPKANQFYHNSEFLLAKDKFPPAESNKKSKLNGKKHGGGDLGQGYGMGSKFFKSCSSLLEKLMKHKHGWVFNEPVDAAKLGLHDYHIIIKHPMDLGTIKSRLNKNWYKSPKEFAEDVRLTFHNAMTYNPQGQDVHVMAEQLSRIFEDRWAIIESDYNREMRFGYDYGASLPTPTSRKAPPLPPPPLDMRRILDRSESISHHVDPKPKPMTITPRTPAPKKPKAKDPHKRDMTYEEKQKLSTSLQSLPSEKLDSIVQIIKRRNSDLFQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKHKRKAEMAMQARAETEQNVQQQIQDPIVAEVPKETKTADEKIISSSTPIQGDNQGDNRSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDAGSPRT >ONI14736 pep chromosome:Prunus_persica_NCBIv2:G3:314507:316652:1 gene:PRUPE_3G005100 transcript:ONI14736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELVPTGVAKSGFTIPATVPSRAYVTFLAGNGDYVKGVVGLAKGLRKVKTAYPLVVAVLPDVPQEHRRILESQGCIVREIEPVCPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVYDNIDHLFDLPDGHFYAVMDCFCEKTWSHTPQYKIGYCQQCPEKVQWPASELGPPPSLYFNAGMFVFEPDLETYHDLLNTLKVTPPTPFAEQDYLNMFFRKIYKPIPLAYNLVLAMLWRHPENVELDKVKVVHYCAAGSKPWRYTGKEENMEREDIKMLVKKWWDIYNDKSLDYKKPSAPGARAGTRAAVVGGAEGEGVNMQPFIEALSEAGVVQYVTAPSAA >ONI15538 pep chromosome:Prunus_persica_NCBIv2:G3:3430693:3434661:1 gene:PRUPE_3G048600 transcript:ONI15538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGLMFQQQQQQQHSQLVDENMSNLTSASGETASVSSGNRNEIGTSFSQQFFAPPPSQTQPALKKKRNLPGNPDPEAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAIATGNNNPLLISPQQQQLQQPGSSSASHHHHMNLNQVQLAHQFQDLHGFSLKKEQQSFTSLRPDLPPWLACPGPPNNTSIDLSSSSSIFSPRLDQNFTQTHQDLSLHDHNSTAPNPNPNPNPSLGPTLPPFQPAPSPHMSATALLQKAAQMGATMSSKNSTASAAAATSAGSSPQPMMRSHQNNHGHVPDFGGHVSSFGNNTAAAATTGAGGASASSNNGTGPPASSSGIHQNQNQNQNQNQHQASLLRDMMNSLSSGTGFEGASFELDAFGSMPTVLNNSKKDTNNSNNPSSAHFNRSSASDEGGGHGEGLTRDFLGLRALSHSDILNIAGLGSCVTSAATAGASSSLDQTHKPWQG >ONI15539 pep chromosome:Prunus_persica_NCBIv2:G3:3431059:3434661:1 gene:PRUPE_3G048600 transcript:ONI15539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGLMFQQQQQQQHSQLVDENMSNLTSASGETASVSSGNRNEIGTSFSQQFFAPPPSQTQPALKKKRNLPGNPDPEAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAIATGNNNPLLISPQQQQLQQPGSSSASHHHHMNLNQVQLAHQFQDLHGFSLKKEQQSFTSLRPDLPPWLACPGPPNNTSIDLSSSSSIFSPRLDQNFTQTHQDLSLHDHNSTAPNPNPNPNPSLGPTLPPFQPAPSPHMSATALLQKAAQMGATMSSKNSTASAAAATSAGSSPQPMMRSHQNNHGHVPDFGGHVSSFGNNTAAAATTGAGGASASSNNGTGPPASSSGIHQNQNQNQNQNQHQASLLRDMMNSLSSGTGFEGASFELDAFGSMPTVLNNSKKDTNNSNNPSSAHFNRSSASDEGGGHGEGLTRDFLGLRALSHSDILNIAGLGSCVTSAATAGASSSLDQTHKPWQG >ONI15537 pep chromosome:Prunus_persica_NCBIv2:G3:3430696:3434661:1 gene:PRUPE_3G048600 transcript:ONI15537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGLMFQQQQQQQHSQLVDENMSNLTSASGETASVSSGNRNEIGTSFSQQFFAPPPSQTQPALKKKRNLPGNPDPEAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAIATGNNNPLLISPQQQQLQQPGSSSASHHHHMNLNQVQLAHQFQDLHGFSLKKEQQSFTSLRPDLPPWLACPGPPNNTSIDLSSSSSIFSPRLDQNFTQTHQDLSLHDHNSTAPNPNPNPNPSLGPTLPPFQPAPSPHMSATALLQKAAQMGATMSSKNSTASAAAATSAGSSPQPMMRSHQNNHGHVPDFGGHVSSFGNNTAAAATTGAGGASASSNNGTGPPASSSGIHQNQNQNQNQNQHQASLLRDMMNSLSSGTGFEGASFELDAFGSMPTVLNNSKKDTNNSNNPSSAHFNRSSASDEGGGHGEGLTRDFLGLRALSHSDILNIAGLGSCVTSAATAGASSSLDQTHKPWQG >ONI15540 pep chromosome:Prunus_persica_NCBIv2:G3:3431119:3434307:1 gene:PRUPE_3G048600 transcript:ONI15540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGLMFQQQQQQQHSQLVDENMSNLTSASGETASVSSGNRNEIGTSFSQQFFAPPPSQTQPALKKKRNLPGNPDPEAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAIATGNNNPLLISPQQQQLQQPGSSSASHHHHMNLNQVQLAHQFQDLHGFSLKKEQQSFTSLRPDLPPWLACPGPPNNTSIDLSSSSSIFSPRLDQNFTQTHQDLSLHDHNSTAPNPNPNPNPSLGPTLPPFQPAPSPHMSATALLQKAAQMGATMSSKNSTASAAAATSAGSSPQPMMRSHQNNHGHVPDFGGHVSSFGNNTAAAATTGAGGASASSNNGTGPPASSSGASFELDAFGSMPTVLNNSKKDTNNSNNPSSAHFNRSSASDEGGGHGEGLTRDFLGLRALSHSDILNIAGLGSCVTSAATAGASSSLDQTHKPWQG >ONI15541 pep chromosome:Prunus_persica_NCBIv2:G3:3431119:3434307:1 gene:PRUPE_3G048600 transcript:ONI15541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGLMFQQQQQQQHSQLVDENMSNLTSASGETASVSSGNRNEIGTSFSQQFFAPPPSQTQPALKKKRNLPGNPDPEAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAIATGNNNPLLISPQQQQLQQPGSSSASHHHHMNLNQVQLAHQFQDLHGFSLKKEQQSFTSLRPDLPPWLACPGPPNNTSIDLSSSSSIFSPRLDQNFTQTHQDLSLHDHNSTAPNPNPNPNPSLGPTLPPFQPAPSPHMSATALLQKAAQMGATMSSKNSTASAAAATSAGSSPQPMMRSHQNNHGHVPDFGGHVSSFGNNTAAAATTGAGGASASSNNGTGPPASSSGQAPVMKVVAMAKV >ONI16533 pep chromosome:Prunus_persica_NCBIv2:G3:8282567:8285628:1 gene:PRUPE_3G104900 transcript:ONI16533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTSSDPLRCNSKLYFPPIKLMYILQQKQWRRRQLFESFSANWRPKLTISAKFRKANISKNHQVRKKYTIQLGENELVLKELDLLSEDANVFKLIGPVLVKQDLAEARANVRKRIEYISAELKRLDATLQDLEEKQNSKKETMLKLQQRAQSLQAGKAKA >ONI16534 pep chromosome:Prunus_persica_NCBIv2:G3:8283125:8285759:1 gene:PRUPE_3G104900 transcript:ONI16534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSALRELQRELEAKANDLSKIQKDISKNHQVRKKYTIQLGENELVLKELDLLSEDANVFKLIGPVLVKQDLAEARANVRKRIEYISAELKRLDATLQDLEEKQNSKKETMLKLQQRAQSLQAGKAKA >ONI15815 pep chromosome:Prunus_persica_NCBIv2:G3:4509593:4515892:1 gene:PRUPE_3G063100 transcript:ONI15815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQWLKLIIMKSNLIGSIALNGYCMNLQGASPLRLSHLNCQEVVKSLQWHGLGRMYMNGINGFLISLTPKIDVVGEYIESQLNTRHSELVEWFRVVELPRIAGFFIPLLRKWSMEYAGSGVAGMVVAIGCCAAVTKLGYGRVKCPFFAFSIEDVMVELMDLSHSLVSVERLHNLATEAGFEMDFLSHFGRKVLLSNKSEEVEFWIGLAHEKLSTAFHKESVIPGKENFHDKVEADTLATLGLFAYLGRRTRLFLSRMGIKDLDELVQDFLSYLECGSLFIRPEFSSIAVYQHFMEVVTDEIGWLDFYAACPPKRSQERRKSKLHAIQAEKEIALSAVFTVCYDVFSGFAHFSRSTQQSLDTDLLDFLLHSQSLLTICLEDYWAAYDKSSELLKSTETGARRTTSFVGSIVATKLSVTMEAQQEPSTLTTSEDYQNYKPQHRLKLRKDPSSPGTETINSVEEGSATKSRHLHRNLVKKYSNKLVSTSSDVWMGTQLLFIDIMTAVELLLKQLRGDKVTRRERSKLKRTLNDITSLIPVTILMLLPVSAVGHAAMLAAIKKYIPGLIPSPYSSERLDVVKQLERIKKMEVRTRSNLEDPSSRIT >ONI15817 pep chromosome:Prunus_persica_NCBIv2:G3:4509593:4515892:1 gene:PRUPE_3G063100 transcript:ONI15817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQWLKLIIMKSNLIGSIALNGYCMNLQGASPLRLSHLNCQEVVKSLQWHGLGRMYMNGINGFLISLTPKIDVVGEYIESQLNTRHSELVEWFRVVELPRIAGFFIPLLRKWSMEYAGSGVAGMVVAIGCCAAVTKLGYGRVKCPFFAFSIEDVMVELMDLSHSLVSVERLHNLATEAGFEMDFLSHFGRKVLLSNKSEEVEFWIGLAHEKLSTAFHKESVIPGKENFHDKVEADTLATLGLFAYLGRRTRLFLSRMGIKDLDELVQDFLSYLECGSLFIRPEFSSIAVYQHFMEVVTDEIGWLDFYAACPPKRSQERRKSKLHAIQAEKEIALSAVFTVCYDVFSGFAHFSRSTQQSLDTDLLDFLLHSQSLLTICLEDYWAAYDKSSELLKSTETGARRTTSFVGSIVATKLSVTMEAQQEPSTLTTSEDYQNYKPQHRLKLRKDPSSPGTETINSVEEGSATKSRHLHRNLVKKYSNKLVSTSSDVWMGTQLLFIDIMTAVELLLKQLRGDKVTRRERSKLKRTLNDITSLIPVTILMLLPVSAVGHAAMLAAIKKYIPGLIPSPYSSERLDVVKQLERIKKMEVRTRSNLEDPSSRIT >ONI15813 pep chromosome:Prunus_persica_NCBIv2:G3:4509593:4515892:1 gene:PRUPE_3G063100 transcript:ONI15813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLSPLKPHLFYHSRSIRSENRQKTLPNVHHPSRCSTKEQGNYGFSKKNHLVGLLVRCYNSSRWYSSWTSSSTACTAYSGQTSCDNGSPVAKTHYHEIEFNRVNCLEWVLHESARSFSLAVKSLELPGSGQELAMAWSGKDVHEWHKRISYQVAVYALLKTAIEVEILLSSERHYSDSSPVSDILTPKIDVVGEYIESQLNTRHSELVEWFRVVELPRIAGFFIPLLRKWSMEYAGSGVAGMVVAIGCCAAVTKLGYGRVKCPFFAFSIEDVMVELMDLSHSLVSVERLHNLATEAGFEMDFLSHFGRKVLLSNKSEEVEFWIGLAHEKLSTAFHKESVIPGKENFHDKVEADTLATLGLFAYLGRRTRLFLSRMGIKDLDELVQDFLSYLECGSLFIRPEFSSIAVYQHFMEVVTDEIGWLDFYAACPPKRSQERRKSKLHAIQAEKEIALSAVFTVCYDVFSGFAHFSRSTQQSLDTDLLDFLLHSQSLLTICLEDYWAAYDKSSELLKSTETGARRTTSFVGSIVATKLSVTMEAQQEPSTLTTSEDYQNYKPQHRLKLRKDPSSPGTETINSVEEGSATKSRHLHRNLVKKYSNKLVSTSSDVWMGTQLLFIDIMTAVELLLKQLRGDKVTRRERSKLKRTLNDITSLIPVTILMLLPVSAVGHAAMLAAIKKYIPGLIPSPYSSERLDVVKQLERIKKMEVRTRSNLEDPSSRIT >ONI15814 pep chromosome:Prunus_persica_NCBIv2:G3:4509593:4515892:1 gene:PRUPE_3G063100 transcript:ONI15814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLSPLKPHLFYHSSIRSENRQKTLPNVHHPSRCSTKEQGNYGFSKKNHLVGLLVRCYNSSRWYSSWTSSSTACTAYSGQTSCDNGSPVAKTHYHEIEFNRVNCLEWVLHESARSFSLAVKSLELPGSGQELAMAWSGKDVHEWHKRISYQVAVYALLKTAIEVEILLSSERHYSDSSPVSDILTPKIDVVGEYIESQLNTRHSELVEWFRVVELPRIAGFFIPLLRKWSMEYAGSGVAGMVVAIGCCAAVTKLGYGRVKCPFFAFSIEDVMVELMDLSHSLVSVERLHNLATEAGFEMDFLSHFGRKVLLSNKSEEVEFWIGLAHEKLSTAFHKESVIPGKENFHDKVEADTLATLGLFAYLGRRTRLFLSRMGIKDLDELVQDFLSYLECGSLFIRPEFSSIAVYQHFMEVVTDEIGWLDFYAACPPKRSQERRKSKLHAIQAEKEIALSAVFTVCYDVFSGFAHFSRSTQQSLDTDLLDFLLHSQSLLTICLEDYWAAYDKSSELLKSTETGARRTTSFVGSIVATKLSVTMEAQQEPSTLTTSEDYQNYKPQHRLKLRKDPSSPGTETINSVEEGSATKSRHLHRNLVKKYSNKLVSTSSDVWMGTQLLFIDIMTAVELLLKQLRGDKVTRRERSKLKRTLNDITSLIPVTILMLLPVSAVGHAAMLAAIKKYIPGLIPSPYSSERLDVVKQLERIKKMEVRTRSNLEDPSSRIT >ONI15819 pep chromosome:Prunus_persica_NCBIv2:G3:4509884:4516013:1 gene:PRUPE_3G063100 transcript:ONI15819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYAGSGVAGMVVAIGCCAAVTKLGYGRVKCPFFAFSIEDVMVELMDLSHSLVSVERLHNLATEAGFEMDFLSHFGRKVLLSNKSEEVEFWIGLAHEKLSTAFHKESVIPGKENFHDKVEADTLATLGLFAYLGRRTRLFLSRMGIKDLDELVQDFLSYLECGSLFIRPEFSSIAVYQHFMEVVTDEIGWLDFYAACPPKRSQERRKSKLHAIQAEKEIALSAVFTVCYDVFSGFAHFSRSTQQSLDTDLLDFLLHSQSLLTICLEDYWAAYDKSSELLKSTETGARRTTSFVGSIVATKLSVTMEAQQEPSTLTTSEDYQNYKPQHRLKLRKDPSSPGTETINSVEEGSATKSRHLHRNLVKKYSNKLVSTSSDVWMGTQLLFIDIMTAVELLLKQLRGDKVTRRERSKLKRTLNDITSLIPVTILMLLPVSAVGHAAMLAAIKKYIPGLIPSPYSSERLDVVKQLERIKKMEVRTRSNLEDPSSRIT >ONI15818 pep chromosome:Prunus_persica_NCBIv2:G3:4509884:4516013:1 gene:PRUPE_3G063100 transcript:ONI15818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSGKDVHEWHKRISYQVAVYALLKTAIEVEILLSSERHYSDSSPVSDILTPKIDVVGEYIESQLNTRHSELVEWFRVVELPRIAGFFIPLLRKWSMEYAGSGVAGMVVAIGCCAAVTKLGYGRVKCPFFAFSIEDVMVELMDLSHSLVSVERLHNLATEAGFEMDFLSHFGRKVLLSNKSEEVEFWIGLAHEKLSTAFHKESVIPGKENFHDKVEADTLATLGLFAYLGRRTRLFLSRMGIKDLDELVQDFLSYLECGSLFIRPEFSSIAVYQHFMEVVTDEIGWLDFYAACPPKRSQERRKSKLHAIQAEKEIALSAVFTVCYDVFSGFAHFSRSTQQSLDTDLLDFLLHSQSLLTICLEDYWAAYDKSSELLKSTETGARRTTSFVGSIVATKLSVTMEAQQEPSTLTTSEDYQNYKPQHRLKLRKDPSSPGTETINSVEEGSATKSRHLHRNLVKKYSNKLVSTSSDVWMGTQLLFIDIMTAVELLLKQLRGDKVTRRERSKLKRTLNDITSLIPVTILMLLPVSAVGHAAMLAAIKKYIPGLIPSPYSSERLDVVKQLERIKKMEVRTRSNLEDPSSRIT >ONI15820 pep chromosome:Prunus_persica_NCBIv2:G3:4509593:4515892:1 gene:PRUPE_3G063100 transcript:ONI15820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGMVVAIGCCAAVTKLGYGRVKCPFFAFSIEDVMVELMDLSHSLVSVERLHNLATEAGFEMDFLSHFGRKVLLSNKSEEVEFWIGLAHEKLSTAFHKESVIPGKENFHDKVEADTLATLGLFAYLGRRTRLFLSRMGIKDLDELVQDFLSYLECGSLFIRPEFSSIAVYQHFMEVVTDEIGWLDFYAACPPKRSQERRKSKLHAIQAEKEIALSAVFTVCYDVFSGFAHFSRSTQQSLDTDLLDFLLHSQSLLTICLEDYWAAYDKSSELLKSTETGARRTTSFVGSIVATKLSVTMEAQQEPSTLTTSEDYQNYKPQHRLKLRKDPSSPGTETINSVEEGSATKSRHLHRNLVKKYSNKLVSTSSDVWMGTQLLFIDIMTAVELLLKQLRGDKVTRRERSKLKRTLNDITSLIPVTILMLLPVSAVGHAAMLAAIKKYIPGLIPSPYSSERLDVVKQLERIKKMEVRTRSNLEDPSSRIT >ONI15816 pep chromosome:Prunus_persica_NCBIv2:G3:4509593:4515892:1 gene:PRUPE_3G063100 transcript:ONI15816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQWLKLIIMKSNLIGSIALNGYCMNLQGASPLRLSHLNCQEVVKSLQWHGLGRMYMNGINGFLISLTPKIDVVGEYIESQLNTRHSELVEWFRVVELPRIAGFFIPLLRKWSMEYAGSGVAGMVVAIGCCAAVTKLGYGRVKCPFFAFSIEDVMVELMDLSHSLVSVERLHNLATEAGFEMDFLSHFGRKVLLSNKSEEVEFWIGLAHEKLSTAFHKESVIPGKENFHDKVEADTLATLGLFAYLGRRTRLFLSRMGIKDLDELVQDFLSYLECGSLFIRPEFSSIAVYQHFMEVVTDEIGWLDFYAACPPKRSQERRKSKLHAIQAEKEIALSAVFTVCYDVFSGFAHFSRSTQQSLDTDLLDFLLHSQSLLTICLEDYWAAYDKSSELLKSTETGARRTTSFVGSIVATKLSVTMEAQQEPSTLTTSEDYQNYKPQHRLKLRKDPSSPGTETINSVEEGSATKSRHLHRNLVKKYSNKLVSTSSDVWMGTQLLFIDIMTAVELLLKQLRGDKVTRRERSKLKRTLNDITSLIPVTILMLLPVSAVGHAAMLAAIKKYIPGLIPSPYSSERLDVVKQLERIKKMEVRTRSNLEDPSSRIT >ONI17199 pep chromosome:Prunus_persica_NCBIv2:G3:15757313:15764258:1 gene:PRUPE_3G144600 transcript:ONI17199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTYGALKGSIGFGVQNQRRVSGFGELRNRTSTVSRNLCVTDRSICCGGLCLSSAAMGAKLAGLRTGFDGISMSTLKARSVQARASDGDLENLVPSKPQGKSSGTVLPFVGVACLGAILFGYHLGVVNGALEYLSKDLGIAENAVLQGWVVSALLAGATVGSFTGGALADKFGRTRTFQLDVIPLAIGAFLCATSQSVQTMIVGRLLAGIGIGITSSIVPLYISEISPTEIRGALGSVNQLFICIGILGALVAGLPLAANPLWWRTMFGVAIVPSVLLALGMAASPESPRWLFQQGKISEAEKAIKTLYGKERVSEVMHDLTSATQGSVEPEASWFDLFSSRYRKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGITSDVAASALVGAANVFGTAVASSLMDRQGRKSLLLTSFGGMAASMLLLSLSFTWKVLAPYSGPLAVAGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVSLSLGMHWISNFVIGLYFLSFVTKFGISSVYLGFAGVCLLAVLYVAGNVVETKGRSLEEIERALSVAT >ONI14648 pep chromosome:Prunus_persica_NCBIv2:G3:62876:65612:-1 gene:PRUPE_3G000500 transcript:ONI14648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQQQKMKMKKNFRKRNAPAEDNQDSEKISDQEDEEEEERRLALEEVKFLQKQRERKSGIPALTSNGATQNIPSTTNNNNNSNNNTNLDKNKRGSDGTHSPSNKHGEGGGGDGDKDDLVLQDTFAQETAVMVEDPNMLKYVEQELAKKRGRKIDASDQVENDLKRAEDELYKIPDHLKVKRRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKFLQEKRLIGQTKSEFSIPSSYSADYFQRGRDYAEKLRREHPELYKDRSAQDDGGVSRQNDTGTEVAGQRQAATDQFMLERFRKRERHRVMRR >ONI14649 pep chromosome:Prunus_persica_NCBIv2:G3:62876:65542:-1 gene:PRUPE_3G000500 transcript:ONI14649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQQQKMKMKKNFRKRNAPAEDNQDSEKISDQEDEEEEERRLALEEVKFLQKQRERKSGIPALTSNGATQNIPSTTNNNNNSNNNTNLDKNKRGSDGTHSPSNKHGEGGGGDGDKDDLVLQDTFAQETAVMVEDPNMLKYVEQELAKKRGRKIDASDQVENDLKRAEDELYKIPDHLKVKRRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKFLQEKRLIGQTKSEFSIPSSYSADYFQRGRDYAEKLRREHPELYKDRSAQDDGGVSRQNDTGTEVAGQRQAATDQFMLERFRKRERHRVMRR >ONI16844 pep chromosome:Prunus_persica_NCBIv2:G3:11435801:11439887:1 gene:PRUPE_3G124900 transcript:ONI16844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKSAGGGGGGGGEIIQVQGGHIVRSTGRKDRHSKVYTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSSIDKLAELPPWHPITGVAANNAEPDQSNPNEMVIAGGAEQTESSGYNFHQLQRQMGENNNNHQANNVSSFNIPPSLDSDTIADTMKSFFPTSSAATSSINFQSYPPDHDLISRTTNLNPCQDLGLSLHSFQDQGLNIHHTHSQQSQGDTNHNNDQIQTLFAAGAGGSTVGFDTSYQRMVAWSNQNRGGDGGFVFNSHSQALPQQAYAHAQGGTLQSSFSPSVSARAWNDSSIFGTQQRTQQQQQQQPMIHHSSIFGTRFASDGGLPVFCIPTRIDAEEADNGGVPDRPSSTSSPNSTHH >ONI16846 pep chromosome:Prunus_persica_NCBIv2:G3:11435801:11439887:1 gene:PRUPE_3G124900 transcript:ONI16846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKSAGGGGGGGGEIIQVQGGHIVRSTGRKDRHSKVYTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSSIDKLAELPPWHPITGVAANNAEPDQSNPNEMVIAGGAEQTESSGYNFHQLQRQMGENNNNHQANNVSSFNIPPSLDSDTIADTMKSFFPTSSAATSSINFQSYPPDHDLISRTTNLNPCQDLGLSLHSFQDQGLNIHHTHSQQSQGDTNHNNDQIQTLFAAGAGGSTVGFDTSYQRMVAWSNQNRGGDGGFVFNSHSQALPQQAYAHAQGGTLQSSFSPSVSARAWNDSSIFGTQQRTQQQQQQQPMIHHSSIFGTRFASDGGLPVFCIPTRIDAEEADNGGVPDRPSSTSSPNSTHH >ONI16845 pep chromosome:Prunus_persica_NCBIv2:G3:11435801:11439887:1 gene:PRUPE_3G124900 transcript:ONI16845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKSAGGGGGGGGEIIQVQGGHIVRSTGRKDRHSKVYTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSSIDKLAELPPWHPITGVAANNAEPDQSNPNEMVIAGGAEQTESSGYNFHQLQRQMGENNNNHQANNVSSFNIPPSLDSDTIADTMKSFFPTSSAATSSINFQSYPPDHDLISRTTNLNPCQDLGLSLHSFQDQGLNIHHTHSQQSQGDTNHNNDQIQTLFAAGAGGSTVGFDTSYQRMVAWSNQNRGGDGGFVFNSHSQALPQQAYAHAQGGTLQSSFSPSVSARAWNDSSIFGTQQRTQQQQQQQPMIHHSSIFGTRFASDGGLPVFCIPTRIDAEEADNGGVPDRPSSTSSPNSTHH >ONI16460 pep chromosome:Prunus_persica_NCBIv2:G3:7641970:7643398:1 gene:PRUPE_3G099500 transcript:ONI16460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRFRNHKNKRKEGYFLKNGAVMLEQLIHSFNGNCNPIRMYFGKELKKATNNYNWDRMTHENWNFQLYKGVHDDHEILVKKFEGDSNSCMDPLELITNEAAIASNMSKQKNVLKLLGCCLETELPTLVYEFPAKGILSHHIYRGSQLLPWQTKLKIAVEVADAVAYKDRGSCSCSTMARERGSSIDI >ONI17908 pep chromosome:Prunus_persica_NCBIv2:G3:20051784:20056084:-1 gene:PRUPE_3G186100 transcript:ONI17908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQNLGETVVDGPENMNGGRVSENMNMVAVVEEVQNRGGVVISSPKRDIQVFEGDTDFEPCNGIEFESHEAAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDSGTSRRPTVKKTDCKASMHVKRRADGKWIIHEFIKEHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTRKMYVEMSRQSGGYQNTGFTTTDSNYQFDKCRDLGLDEGDAQVMLEYFKRIRKENPNFFYAIDLNEEQRVRNLFWVDAKSRSDYRSFNDVVSFDTSYIKTNDKLPFAPFVGVNHHFQSMLLGCALVADDTKSTFVWLLKTWLRAMGGQCPKLVITDQDQTLKAAIDEVFPHARHCFTLWNILEKIPETLAHVIKRHENFLPKFNKCIFNSWTDEQFDLRWWKMVTRFELQDDEWIRLLYEDRKRWVPTYMGDTFFAGMCTTQRSESMNSFFDKYIHKKITLREFVKQYGTILQNRYEEEAIADFDTWHKQPALKSPSPWEKQMSTVYTHAVFKKFQVEVLGVVGCQPKKEHEDGPTTTFRVQDCEKDEYFMVTWNETKSEVSCSCRLFEYKGFLCRHSLIVLQICGLSSIPFHYILKRWTKDAKSRQSMVEETERVQTRVQRYNDLCKRAIELSEEGSISEETYNIAFRTLVEALKNCVNVNNSNNTVVDFSGTVHSIREAEEENQGSLASKTSRKKITNRKRKVQAEQDVILVEAQDSLQQMDNLSSDGIPLPGYYGAQQNVHGLVQLNLMEPPHDSYYVNQQSMQGLGQLNSIAPNHDGFFGTQQSIHGLGQLDFRPSTSFSYSLQDDPHLRSSQLHGDASRHS >ONI17910 pep chromosome:Prunus_persica_NCBIv2:G3:20051784:20056998:-1 gene:PRUPE_3G186100 transcript:ONI17910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQNLGETVVDGPENMNGGRVSENMNMVAVVEEVQNRGGVVISSPKRDIQVFEGDTDFEPCNGIEFESHEAAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDSGTSRRPTVKKTDCKASMHVKRRADGKWIIHEFIKEHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTRKMYVEMSRQSGGYQNTGFTTTDSNYQFDKCRDLGLDEGDAQVMLEYFKRIRKENPNFFYAIDLNEEQRVRNLFWVDAKSRSDYRSFNDVVSFDTSYIKTNDKLPFAPFVGVNHHFQSMLLGCALVADDTKSTFVWLLKTWLRAMGGQCPKLVITDQDQTLKAAIDEVFPHARHCFTLWNILEKIPETLAHVIKRHENFLPKFNKCIFNSWTDEQFDLRWWKMVTRFELQDDEWIRLLYEDRKRWVPTYMGDTFFAGMCTTQRSESMNSFFDKYIHKKITLREFVKQYGTILQNRYEEEAIADFDTWHKQPALKSPSPWEKQMSTVYTHAVFKKFQVEVLGVVGCQPKKEHEDGPTTTFRVQDCEKDEYFMVTWNETKSEVSCSCRLFEYKGFLCRHSLIVLQICGLSSIPFHYILKRWTKDAKSRQSMVEETERVQTRVQRYNDLCKRAIELSEEGSISEETYNIAFRTLVEALKNCVNVNNSNNTVVDFSGTVHSIREAEEENQGSLASKTSRKKITNRKRKVQAEQDVILVEAQDSLQQMDNLSSDGIPLPGYYGAQQNVHGLVQLNLMEPPHDSYYVNQQSMQGLGQLNSIAPNHDGFFGTQQSIHGLGQLDFRPSTSFSYSLQDDPHLRSSQLHGDASRHS >ONI17911 pep chromosome:Prunus_persica_NCBIv2:G3:20051784:20056999:-1 gene:PRUPE_3G186100 transcript:ONI17911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQNLGETVVDGPENMNGGRVSENMNMVAVVEEVQNRGGVVISSPKRDIQVFEGDTDFEPCNGIEFESHEAAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDSGTSRRPTVKKTDCKASMHVKRRADGKWIIHEFIKEHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTRKMYVEMSRQSGGYQNTGFTTTDSNYQFDKCRDLGLDEGDAQVMLEYFKRIRKENPNFFYAIDLNEEQRVRNLFWVDAKSRSDYRSFNDVVSFDTSYIKTNDKLPFAPFVGVNHHFQSMLLGCALVADDTKSTFVWLLKTWLRAMGGQCPKLVITDQDQTLKAAIDEVFPHARHCFTLWNILEKIPETLAHVIKRHENFLPKFNKCIFNSWTDEQFDLRWWKMVTRFELQDDEWIRLLYEDRKRWVPTYMGDTFFAGMCTTQRSESMNSFFDKYIHKKITLREFVKQYGTILQNRYEEEAIADFDTWHKQPALKSPSPWEKQMSTVYTHAVFKKFQVEVLGVVGCQPKKEHEDGPTTTFRVQDCEKDEYFMVTWNETKSEVSCSCRLFEYKGFLCRHSLIVLQICGLSSIPFHYILKRWTKDAKSRQSMVEETERVQTRVQRYNDLCKRAIELSEEGSISEETYNIAFRTLVEALKNCVNVNNSNNTVVDFSGTVHSIREAEEENQGSLASKTSRKKITNRKRKVQAEQDVILVEAQDSLQQMDNLSSDGIPLPGYYGAQQNVHGLVQLNLMEPPHDSYYVNQQSMQGLGQLNSIAPNHDGFFGTQQSIHGLGQLDFRPSTSFSYSLQDDPHLRSSQLHGDASRHS >ONI17909 pep chromosome:Prunus_persica_NCBIv2:G3:20051718:20057093:-1 gene:PRUPE_3G186100 transcript:ONI17909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQNLGETVVDGPENMNGGRVSENMNMVAVVEEVQNRGGVVISSPKRDIQVFEGDTDFEPCNGIEFESHEAAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDSGTSRRPTVKKTDCKASMHVKRRADGKWIIHEFIKEHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTRKMYVEMSRQSGGYQNTGFTTTDSNYQFDKCRDLGLDEGDAQVMLEYFKRIRKENPNFFYAIDLNEEQRVRNLFWVDAKSRSDYRSFNDVVSFDTSYIKTNDKLPFAPFVGVNHHFQSMLLGCALVADDTKSTFVWLLKTWLRAMGGQCPKLVITDQDQTLKAAIDEVFPHARHCFTLWNILEKIPETLAHVIKRHENFLPKFNKCIFNSWTDEQFDLRWWKMVTRFELQDDEWIRLLYEDRKRWVPTYMGDTFFAGMCTTQRSESMNSFFDKYIHKKITLREFVKQYGTILQNRYEEEAIADFDTWHKQPALKSPSPWEKQMSTVYTHAVFKKFQVEVLGVVGCQPKKEHEDGPTTTFRVQDCEKDEYFMVTWNETKSEVSCSCRLFEYKGFLCRHSLIVLQICGLSSIPFHYILKRWTKDAKSRQSMVEETERVQTRVQRYNDLCKRAIELSEEGSISEETYNIAFRTLVEALKNCVNVNNSNNTVVDFSGTVHSIREAEEENQGSLASKTSRKKITNRKRKVQAEQDVILVEAQDSLQQMDNLSSDGIPLPGYYGAQQNVHGLVQLNLMEPPHDSYYVNQQSMQGLGQLNSIAPNHDGFFGTQQSIHGLGQLDFRPSTSFSYSLQDDPHLRSSQLHGDASRHS >ONI18982 pep chromosome:Prunus_persica_NCBIv2:G3:24108867:24112119:1 gene:PRUPE_3G251100 transcript:ONI18982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPSSKHLHKSVTMKPFLTPLFLPLFLHIITVHVAGDTSPIYNPVDDITLQCGFSGDQLNPADTRTWTGDINSKFSPFENQASGRPSISTQAPSSSSVPYSTARLSLSEFTYRFPVTTGQKFIRLYFNPASYGPDFDRSKALFSVKAGGFTLLKDFNSSVTADASESDTIYREFCLNVESEQSLNITFMPSRATADAYAFINGIEIVSMPNNLYYTSAQNSDGVNYIGSGNTFRIENDTALEMMYRFNVGGRSLFFNLDTGMYRKWYGEQDENKYLDYLSLKFSVLPQNSSIELKLTEIAKYCAPEELYHTGRSMGKNKTINKTYNLTWEFPVDPKFFYLLRLHFCEFEPGITKPRDRQFQIYIANQTAEEAWDIFSRSSGNGRPIYKDYVVFMPAGPGSQKTVNLSLALQANPKDFMTKFNDAILSGLEIFKLSDTSRNLAGPNPDPPPLTPPKMSPKISKKSSTSLIAIVAGVVSGVLVLVSVVGIFLAFRRGGKVKDSSSSHGTKWGPFSFSTNKSTKSRSSSLPSDLCRYFSLAEIKAATQNFNSVFIIGVGGFGHVYKGNINVDGGATSVAIKRLKPESSQGALEFKTEIEMLSQLRHNHLVPLIGYCTDEGEMILVYDYMARGTLRDHLYHTDNPPLAWDQRLQICIGAARGLHYLHTGAKYTIIHRDVKSTNILLDEKWVAKVSDFGLSKMGSTTVSKTHISTVVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLCEVLCARPALIRTVEKKQMSLAEWTKVCHRNGKIDQIIDPSLRGKIGNACLNKYVEIAVSCIQDNGIERPSMNDVVWGLEFALQLQQSGGGVLNLSEEKKGEDEESLMNAASDAGFSCSWEDSSSESKVSRVTKSSSDHNSSTNKSMKGMSGTVFSEINDPNGR >ONI19512 pep chromosome:Prunus_persica_NCBIv2:G3:25701176:25703864:-1 gene:PRUPE_3G282300 transcript:ONI19512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHFDFPSPNSTCEEGDWGGFLRKNCCASAFNDYLYALGQRANQTGKIYLNSAEQGSCLASMKDLQKNVFGCGIEKLTSGAGGCSDFSVADVTNRLGDKLKSLEGNCKFQSSDDGKNEQLCGSCVKSWEDIGALPSTYSDPQSIEVETNVCRFAVLVSYTSIKLENKAHLLAVYTCLGSQKFFTTAFKDDPFEESGCPKISIEEVYSATNNLSQSNFIGEGTAGKVYKGILSNNQHVAIKHIINDGNVETFAREVTSLSHIRHPNLVTLLGYCVNEDECFLVYELCPNGNLSEWLFGKDRNLPWIQRLEIAIDSARGLSFLHTYPEGCIVHRDIKPTNILLGKNFEAKLSDFGLSKIIELGETYVSSEVRGTFGYVDPDYRSNNHVNSSGDVYSFGIVLLQILSGKKVVNMNLNTPMPLSKMAKGLNRSGGINDFADPKLQGEYSAEAFDLTLQLALSCTALKQRRPSMEQIVATLQEAHDMSTEAKASTPEVSTDTSIQY >ONI18839 pep chromosome:Prunus_persica_NCBIv2:G3:23566386:23570574:-1 gene:PRUPE_3G242700 transcript:ONI18839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPIQGMESVIATVSGYHGSDRFNLIKLISHAGASYVGALSRSTTHLVCWKFEGKKYELAHKFNTIVLSHRWIEDCIKQGKRVPERPYILQCGQEVGPLLLEPPPVAKVGVSTKNWNVLSDKSNVCDDSEQIIELGCGASRRSVLTDSCLLNELQESSTYLARAKRDISSGNGSSMAETSRKGRRLAKRNIGRDTKESALSDSDQECHPIRFQNMDKDVVTVLSDDSGEERNGNILGTEGKTDNGLHIRRRIRNRGLKGVLEVDENHLSPSKDPNIYVGDAPTSLEGTPQDGCFEVENSKEEFKNRFGTEDATRIPPSMELSCVICWTEFSSTRGILPCGHRFCYSCIESWAGHMGSKSKNSTCPLCKASFTSITKVDDADMIDQKIYSQTIPSAPKMDIPVPTYRGIPNFGAQSPSGTVCVACSGREPEELLVACNLCHSRCIHSYCLDPPLFPWTCIHCKDLRLVYLLNH >ONI18841 pep chromosome:Prunus_persica_NCBIv2:G3:23566386:23570046:-1 gene:PRUPE_3G242700 transcript:ONI18841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPIQGMESVIATVSGYHGSDRFNLIKLISHAGASYVGALSRSTTHLVCWKFEGKKYELAHKFNTIVLSHRWIEDCIKQGKRVPERPYILQCGQEVGPLLLEPPPVAKVGVSTKNWNVLSDKSNVCDDSEQIIELGCGASRRSVLTDSCLLNEDLFPEFKENNSSLKSKQKHVRRNSKQELRSCSRPCFQDPPLTRSLRMELQESSTYLARAKRDISSGNGSSMAETSRKGRRLAKRNIGRDTKESALSDSDQECHPIRFQNMDKDVVTVLSDDSGEERNGNILGTEGKTDNGLHIRRRIRNRGLKGVLEVDENHLSPSKDPNIYVGDAPTSLEGTPQDGCFEVENSKEEFKNRFGTEDATRIPPSMELSCVICWTEFSSTRGILPCGHRFCYSCIESWAGHMGSKSKNSTCPLCKASFTSITKVDDADMIDQKIYSQTIPSAPKMDIPVPTYRGIPNFGAQSPSGTVCVACSGREPEELLVACNLCHSRCIHSYCLDPPLFPWTCIHCKDLRLVYLLNH >ONI18837 pep chromosome:Prunus_persica_NCBIv2:G3:23566386:23570574:-1 gene:PRUPE_3G242700 transcript:ONI18837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQHHPLRRTQSHEAMGSPIQGMESVIATVSGYHGSDRFNLIKLISHAGASYVGALSRSTTHLVCWKFEGKKYELAHKFNTIVLSHRWIEDCIKQGKRVPERPYILQCGQEVGPLLLEPPPVAKVGVSTKNWNVLSDKSNVCDDSEQIIELGCGASRRSVLTDSCLLNELQESSTYLARAKRDISSGNGSSMAETSRKGRRLAKRNIGRDTKESALSDSDQECHPIRFQNMDKDVVTVLSDDSGEERNGNILGTEGKTDNGLHIRRRIRNRGLKGVLEVDENHLSPSKDPNIYVGDAPTSLEGTPQDGCFEVENSKEEFKNRFGTEDATRIPPSMELSCVICWTEFSSTRGILPCGHRFCYSCIESWAGHMGSKSKNSTCPLCKASFTSITKVDDADMIDQKIYSQTIPSAPKMDIPVPTYRGIPNFGAQSPSGTVCVACSGREPEELLVACNLCHSRCIHSYCLDPPLFPWTCIHCKDLRLVYLLNH >ONI18838 pep chromosome:Prunus_persica_NCBIv2:G3:23566736:23570255:-1 gene:PRUPE_3G242700 transcript:ONI18838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQHHPLRRTQSHEAMGSPIQGMESVIATVSGYHGSDRFNLIKLISHAGASYVGALSRSTTHLVCWKFEGKKYELAHKFNTIVLSHRWIEDCIKQGKRVPERPYILQCGQEVGPLLLEPPPVAKVGVSTKNWNVLSDKSNVCDDSEQIIELGCGASRRSVLTDSCLLNEDLFPEFKENNSSLKSKQKHVRRNSKQELRSCSRPCFQDPPLTRSLRMELQESSTYLARAKRDISSGNGSSMAETSRKGRRLAKRNIGRDTKESALSDSDQECHPIRFQNMDKDVVTVLSDDSGEERNGNILGTEGKTDNGLHIRRRIRNRGLKGVLEVDENHLSPSKDPNIYVGDAPTSLEGTPQDGCFEVENSKEEFKNRFGTEDATRIPPSMELSCVICWTEFSSTRGILPCGHRFCYSCIESWAGHMGSKSKNSTCPLCKASFTSITKVDDADMIDQKIYSQTIPSAPKMDIPVPTYRGIPNFGAQSPSGTVCVACSGREPEELLVACNLCHSRCIHSYCLDPPLFPWTCIHCKDLRLVYLLNH >ONI18840 pep chromosome:Prunus_persica_NCBIv2:G3:23566736:23569940:-1 gene:PRUPE_3G242700 transcript:ONI18840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPIQGMESVIATVSGYHGSDRFNLIKLISHAGASYVGALSRSTTHLVCWKFEGKKYELAHKFNTIVLSHRWIEDCIKQGKRVPERPYILQCGQEVGPLLLEPPPVAKVGVSTKNWNVLSDKSNVCDDSEQIIELGCGASRRSVLTDSCLLNEDLFPEFKENNSSLKSKQKHVRRNSKQELRSCSRPCFQDPPLTRSLRMELQESSTYLARAKRDISSGNGSSMAETSRKGRRLAKRNIGRDTKESALSDSDQECHPIRFQNMDKDVVTVLSDDSGEERNGNILGTEGKTDNGLHIRRRIRNRGLKGVLEVDENHLSPSKDPNIYVGDAPTSLEGTPQDGCFEVENSKEEFKNRFGTEDATRIPPSMELSCVICWTEFSSTRGILPCGHRFCYSCIESWAGHMGSKSKNSTCPLCKASFTSITKVDDADMIDQKIYSQTIPSAPKMDIPVPTYRGIPNFGAQSPSGTVCVACSGREPEELLVACNLCHSRCIHSYCLDPPLFPWTCIHCKDLRLVYLLNH >ONI18690 pep chromosome:Prunus_persica_NCBIv2:G3:22912611:22916744:-1 gene:PRUPE_3G232800 transcript:ONI18690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELSLVSESSLGPLHLNLLHAFSNLSRNLNFIELMQITGWKLNQRAKPIIIDPGLYLSKKSDLAWTTQRRSLPTSFKLFTGSAWVMLSRSFLEYCIWGWDNLPRTILMYYTNFVSSPEGYFHTIICNNEEFRHTAVSHDLHYIAWDSPPKQHPISLSMKDFDKMVKSNAPFARKFARDDPVLDKIDKELLGRKSRFAPGAWCIGNPEGGADPCSVRGNDSVFRPGPGAVRFQELLQTLLSEDFQKKQCT >ONI18691 pep chromosome:Prunus_persica_NCBIv2:G3:22912710:22915863:-1 gene:PRUPE_3G232800 transcript:ONI18691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRKYMNPHSARVFGDRVWVIPFVASLIIFITLFFSGISGLFTSPHGGEQLPFDIISFSKSDDSNEYFVESDLKRSLETNGRSEIGAPRLAYLISGTKGDSHRMMRTLSAVYHPRNQYILHLDLEAPPRERLELASSVKADPTFSEVENVRVMAQSNLVTYKGPTMIACTLQAISILLKESSEWDWFINLSASDYPLMTQDDLLHAFSNLSRNLNFIELMQITGWKLNQRAKPIIIDPGLYLSKKSDLAWTTQRRSLPTSFKLFTGSAWVMLSRSFLEYCIWGWDNLPRTILMYYTNFVSSPEGYFHTIICNNEEFRHTAVSHDLHYIAWDSPPKQHPISLSMKDFDKMVKSNAPFARKFARDDPVLDKIDKELLGRKSRFAPGAWCIGNPEGGADPCSVRGNDSVFRPGPGAVRFQELLQTLLSEDFQKKQCT >ONI18696 pep chromosome:Prunus_persica_NCBIv2:G3:22912611:22916683:-1 gene:PRUPE_3G232800 transcript:ONI18696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTLSAVYHPRNQYILHLDLEAPPRERLELASSVKADPTFSEVENVRVMAQSNLVTYKGPTMIACTLQAISILLKESSEWDWFINLSASDYPLMTQDDLLHAFSNLSRNLNFIELMQITGWKLNQRAKPIIIDPGLYLSKKSDLAWTTQRRSLPTSFKLFTGSAWVMLSRSFLEYCIWGWDNLPRTILMYYTNFVSSPEGYFHTIICNNEEFRHTAVSHDLHYIAWDSPPKQHPISLSMKDFDKMVKSNAPFARKFARDDPVLDKIDKELLGRKSRFAPGAWCIGNPEGGADPCSVRGNDSVFRPGPGAVRFQELLQTLLSEDFQKKQCT >ONI18694 pep chromosome:Prunus_persica_NCBIv2:G3:22912710:22915863:-1 gene:PRUPE_3G232800 transcript:ONI18694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRKYMNPHSARVFGDRVWVIPFVASLIIFITLFFSGISGLFTSPHGGEQLPFDIISFSKSDDSNEYFVESDLKRSLETNGRSEIGAPRLAYLISGTKGDSHRMMRTLSAVYHPRNQYILHLDLEAPPRERLELASSVKADPTFSEVENVRVMAQSNLVTYKGPTMIACTLQAISILLKESSEWDWFINLSASDYPLMTQDDLLHAFSNLSRNLNFIELMQITGWKLNQRAKPIIIDPGLYLSKKSDLAWTTQRRSLPTSFKLFTGSAWVMLSRSFLEYCIWGWDNLPRTILMYYTNFVSSPEGYFHTIICNNEEFRHTAVSHDLHYIAWDSPPKQHPISLSMKDFDKMVKSNAPFARKFARDDPVLDKIDKELLGRKSRFAPGAWCIGNPEGGADPCSVRGNDSVFRPGPGAVRFQELLQTLLSEDFQKKQCT >ONI18693 pep chromosome:Prunus_persica_NCBIv2:G3:22912710:22915863:-1 gene:PRUPE_3G232800 transcript:ONI18693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRKYMNPHSARVFGDRVWVIPFVASLIIFITLFFSGISGLFTSPHGGEQLPFDIISFSKSDDSNEYFVESDLKRSLETNGRSEIGAPRLAYLISGTKGDSHRMMRTLSAVYHPRNQYILHLDLEAPPRERLELASSVKADPTFSEVENVRVMAQSNLVTYKGPTMIACTLQAISILLKESSEWDWFINLSASDYPLMTQDDLLHAFSNLSRNLNFIELMQITGWKLNQRAKPIIIDPGLYLSKKSDLAWTTQRRSLPTSFKLFTGSAWVMLSRSFLEYCIWGWDNLPRTILMYYTNFVSSPEGYFHTIICNNEEFRHTAVSHDLHYIAWDSPPKQHPISLSMKDFDKMVKSNAPFARKFARDDPVLDKIDKELLGRKSRFAPGAWCIGNPEGGADPCSVRGNDSVFRPGPGAVRFQELLQTLLSEDFQKKQCT >ONI18695 pep chromosome:Prunus_persica_NCBIv2:G3:22912710:22915863:-1 gene:PRUPE_3G232800 transcript:ONI18695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRKYMNPHSARVFGDRVWVIPFVASLIIFITLFFSGISGLFTSPHGGEQLPFDIISFSKSDDSNEYFVESDLKRSLETNGRSEIGAPRLAYLISGTKGDSHRMMRTLSAVYHPRNQYILHLDLEAPPRERLELASSVKADPTFSEVENVRVMAQSNLVTYKGPTMIACTLQAISILLKESSEWDWFINLSASDYPLMTQDDLLHAFSNLSRNLNFIELMQITGWKLNQRAKPIIIDPGLYLSKKSDLAWTTQRRSLPTSFKLFTGSAWVMLSRSFLEYCIWGWDNLPRTILMYYTNFVSSPEGYFHTIICNNEEFRHTAVSHDLHYIAWDSPPKQHPISLSMKDFDKMVKSNAPFARKFARDDPVLDKIDKELLGRKSRFAPGAWCIGNPEGGADPCSVRGNDSVFRPGPGAVRFQELLQTLLSEDFQKKQCT >ONI18692 pep chromosome:Prunus_persica_NCBIv2:G3:22912611:22916743:-1 gene:PRUPE_3G232800 transcript:ONI18692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRKYMNPHSARVFGDRVWVIPFVASLIIFITLFFSGISGLFTSPHGGEQLPFDIISFSKSDDSNEYFVESDLKRSLETNGRSEIGAPRLAYLISGTKGDSHRMMRTLSAVYHPRNQYILHLDLEAPPRERLELASSVKADPTFSEVENVRVMAQSNLVTYKGPTMIACTLQAISILLKESSEWDWFINLSASDYPLMTQDDLLHAFSNLSRNLNFIELMQITGWKLNQRAKPIIIDPGLYLSKKSDLAWTTQRRSLPTSFKLFTGSAWVMLSRSFLEYCIWGWDNLPRTILMYYTNFVSSPEGYFHTIICNNEEFRHTAVSHDLHYIAWDSPPKQHPISLSMKDFDKMVKSNAPFARKFARDDPVLDKIDKELLGRKSRFAPGAWCIGNPEGGADPCSVRGNDSVFRPGPGAVRFQELLQTLLSEDFQKKQCT >ONI14795 pep chromosome:Prunus_persica_NCBIv2:G3:561865:565156:-1 gene:PRUPE_3G009000 transcript:ONI14795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRARGVGALPNLIRSLRKEAHPKQQSLPSLRRGFSLYDQINLIDNVPDDQLRFQRYTETGFRVNGVDYEGSLLCVGNMLMSWAPTKFSHVTPDSLSMFQIVRPIPEILILGTGRNIEPVDPELRRFIRSTGMKLEAVDSRNAISTYNILNEEGRIVAAALLPYGAS >ONI18911 pep chromosome:Prunus_persica_NCBIv2:G3:23826886:23829385:1 gene:PRUPE_3G247500 transcript:ONI18911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGESSESGSAVSSLKSMENGCSGKDDGCPKQVSPLRGGGSRNTSPLGCVRSRNTSPSRQKVVKTKPRGLDEETASTFGKAIHPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRCVCKRWNTILQDRSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSAGLVCFSGLDGLSFKTLVCNPLTQTWRTLPSMHYNQQRQLIMVVDKKDRSFKIIATSDIYGDNKSLPTEVYDSKLNSWSIHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKFIWVEISRMPPKYFRSLLRLSAERFECSGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCALQSYNSQVCFYEPRFDASIY >ONI18910 pep chromosome:Prunus_persica_NCBIv2:G3:23826886:23829385:1 gene:PRUPE_3G247500 transcript:ONI18910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGESSESGSAVSSLKSMENGCSGKDDGCPKQVSPLRGGGSRNTSPLGCVRSRNTSPSRQKVVKTKPRGLDEETASTFGKAIHPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRCVCKRWNTILQDRSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSAGLVCFSGLDGLSFKTLVCNPLTQTWRTLPSMHYNQQRQLIMVVDKKDRSFKIIATSDIYGDNKSLPTEVYDSKLNSWSIHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKFIWVEISRMPPKYFRSLLRLSAERFECSGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCALQSYNSQVCFYEPRFDASIY >ONI17110 pep chromosome:Prunus_persica_NCBIv2:G3:14589939:14591724:-1 gene:PRUPE_3G138200 transcript:ONI17110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCTATYITMKSSDRALQELKLFHTIDRNIYSRLLKGLGFDPILSKYIVALWHTLERQSIGQKFMVNTLFLHDPFFNDLANESFELLKRLYSDCVHPPFEDTDSQFLKLRLLVPVKVSLSSVFNKEHTKQIMEDFVNEVCESAFTQEACEERHDRHQPNADKNEITLFLTFSRGHPVSEELRGFFTRKFGECIEAICMGAEERNSQPLFARGVVKSSSDITKILVGVDDSGKVKFSIHGKDVIVRRFFPRQNK >ONI15159 pep chromosome:Prunus_persica_NCBIv2:G3:2095413:2096890:-1 gene:PRUPE_3G028000 transcript:ONI15159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYGPKTPTLVHKIPQPFGGQVNISTNKINIANDINLRFRRSTCHLTRATTSTNSTSTGRSRSILLATAGLLAAAAVITSASAAMESPENSETLSNIPQTLSGECALPTDCKKARIQRPKSRKAESCTIKCVTTCIRGGDGSPGEGPFNVRRPLVVFKQGFRSRQYCLVECSDICNLIKDGDDGP >ONI17434 pep chromosome:Prunus_persica_NCBIv2:G3:17756377:17758572:-1 gene:PRUPE_3G158900 transcript:ONI17434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGIGCVQCGTRSNPCRCKVVGPTLGCLCFLGAAIIEWPIGACVYLFKHVKGRRIMAHPATVVYPKPWQGLLLQASPWPLTTCFNTNHIFSSPSLYFSPCFLS >ONI15411 pep chromosome:Prunus_persica_NCBIv2:G3:3046741:3051672:1 gene:PRUPE_3G042800 transcript:ONI15411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTSKKRKFVANGVFLAELNEVMTRELAEDGYSGVEVRVTPVRTEIIIRAIQTQNVLGEKGRRIRELTSVVQKRLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESVAKECEVIVSGKLRAQPLGVLEMKVKIMLDWDPKGKQGPTTPLPDLVTIHQPKEEEDYIRPSVVAAAEIIVLAA >ONI15263 pep chromosome:Prunus_persica_NCBIv2:G3:2483505:2485363:-1 gene:PRUPE_3G033800 transcript:ONI15263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKKNLSLVPVVPKAVDSGWWDSFFNPRLGEYTDTETHSRALLVVIDYEAAIHHWKVDKFDFVVCMSAYIGIVFGSLEIGLVLAVAISVIRVLLFVARPRTFVQGNLPNSMVYRNVEQYPNASNVPGILILEIDAPIYFANTNYLYQLLKRKAHRLERT >ONI19983 pep chromosome:Prunus_persica_NCBIv2:G3:26898131:26900936:-1 gene:PRUPE_3G309000 transcript:ONI19983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVAMEPEDIATYAATHSIPLKNFTTICTSVTMIHSMGFFYHNNPLLYSAPLLLMQFSLATGVILLTSLLLKPLGQPIIVAQVLGGIILGPSILGRTDAFIDSFFPLRSFILLDVISSFGFMFYFFLIGVQTDPSIVKKIDRKTFAIGFVTVAIPCLLTQGWSALLKTHVNLETNLANSLPAVALAESMLTFPTIVFFLHELKIINSDFGRVAMSSSIVSGLCSFCVMTISIVAKKSSGNDTYNVLSVVATGAVVALVIVLVIRPVLKWMMRRSSPVGQPMKESHVVHLLLGVMVTGLFSHATGLHTYYGPFILGLTIPAGPPVGSALVEKLELIISWVFMPIFYAKNGLVMDLCALRLKNYTILQSTALVGAFGKFMGAFLTSLLCTKMPITDAISLGLVLNAQGFLELGLFKMLKSNMAIDNEAFVVMCTSMILITGGITPIIKRLYDPSKRYMVYKRRTVMHARPNSQLPVLVGIHDQEDVEPTINLLQALYPTERSPLAIYLLHLIELIGRANPLLIPHKLTRRPSSKASPSEPVVNAFRKYEQRNESLVTVHPFTAISPCATMHDDVCTIALDKKTSLIIVPFYKRFHARKQRMINKNVLEKAPCSVAILVHHGGLFDSSFNSRSGAMTLTCQNASCYNVAILFLGGADDREALAFGARMAAHPNINLTLVRLLLDGSITNTSEDVEENRLDCEVLSEFREGMADNYRVMYIEELVMDGSGTMAVIRSMENNYELVIAGRNQDKKSLVLSGFMDENEQSELGAIGEVLATADFMGKSRILVVQQHSKVVNDDNENHREKFREQSMTIDDVEDLQIQRR >ONI19984 pep chromosome:Prunus_persica_NCBIv2:G3:26898097:26901038:-1 gene:PRUPE_3G309000 transcript:ONI19984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYFFLIGVQTDPSIVKKIDRKTFAIGFVTVAIPCLLTQGWSALLKTHVNLETNLANSLPAVALAESMLTFPTIVFFLHELKIINSDFGRVAMSSSIVSGLCSFCVMTISIVAKKSSGNDTYNVLSVVATGAVVALVIVLVIRPVLKWMMRRSSPVGQPMKESHVVHLLLGVMVTGLFSHATGLHTYYGPFILGLTIPAGPPVGSALVEKLELIISWVFMPIFYAKNGLVMDLCALRLKNYTILQSTALVGAFGKFMGAFLTSLLCTKMPITDAISLGLVLNAQGFLELGLFKMLKSNMAIDNEAFVVMCTSMILITGGITPIIKRLYDPSKRYMVYKRRTVMHARPNSQLPVLVGIHDQEDVEPTINLLQALYPTERSPLAIYLLHLIELIGRANPLLIPHKLTRRPSSKASPSEPVVNAFRKYEQRNESLVTVHPFTAISPCATMHDDVCTIALDKKTSLIIVPFYKRFHARKQRMINKNVLEKAPCSVAILVHHGGLFDSSFNSRSGAMTLTCQNASCYNVAILFLGGADDREALAFGARMAAHPNINLTLVRLLLDGSITNTSEDVEENRLDCEVLSEFREGMADNYRVMYIEELVMDGSGTMAVIRSMENNYELVIAGRNQDKKSLVLSGFMDENEQSELGAIGEVLATADFMGKSRILVVQQHSKVVNDDNENHREKFREQSMTIDDVEDLQIQRR >ONI19592 pep chromosome:Prunus_persica_NCBIv2:G3:25878602:25881431:1 gene:PRUPE_3G286200 transcript:ONI19592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVGLEERDNIELQEFCRMLVASAAGLHLTKHQPNDLRFPSKTDDLTDDDDEEGRLVCVTSGVSFLGLALVNRLLLRGYSVRILVDNPEDVEKLGEMSTRTNNNKISAVMANLADVESLSQAFHGCRGVFHTSAFTDPAGLSGYTKSMAEIEVKASENVMKACSLTASVRKCVLTSSLLACVWHDSSNQHNPSPVINHDCWSSESLCTDKKLWYALGKLRAEKAAWRIAEEKGMKLATICPALITGPEFSTRNPTATIAYLKGSQDMYQSGVLATVDIMRLADAHVCVFEAMNKAAFGRYICFDQVIETEEVAEKLAQETSMSKNKIMGNGSINVQVRYELSNRKLTNLLSGSLRYCYNQSQHYI >ONI19913 pep chromosome:Prunus_persica_NCBIv2:G3:26730957:26733159:-1 gene:PRUPE_3G304600 transcript:ONI19913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWRWLRYFNNDRDRRDLITCGAASGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAIVVMVLRTFIEICNAGGCGLFGKGGLIMFDVSTVTVTYHMMDIIPVVVIGIIGGVLGSLYNFFLHKILRLYNLINQKGKVHKLLLSLSVSLFTSACLYGLPFLVECTPCDSSLAESVCPTNEGSGNFKQFNCPDGHYNDLATLLLATNDDAVRNIFSTTTSAEYHPISLLIFFALYCILGLFTFGIAVPTGLFLPIILMGSAYGRMLGIAMKSYTNIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFLDAHPEPWMRNLTVGELADAKLPVVTLRGIETVERIVEVLRNTTHNGFPVIDDGVVPPVGLAVGATEVHGLILRAHLVHVLKKKWFQREKRRTEEWEVREKFTWVELAEREGKIEEVAVTSEEMEMYVDLHPLTNTTPYTVMESMSVAKAMVLFRQLGLRHLLIVPKYEAAGVPPVVGILTRQDLIAYNILNAFPHLTKPTSREKGN >ONI19909 pep chromosome:Prunus_persica_NCBIv2:G3:26730798:26734446:-1 gene:PRUPE_3G304600 transcript:ONI19909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENSNQCAAESAAIPLNMEGESNDGEERDPESISLSQPLLRRNRTLSSSPLAIVGAKVSYIESLDYEINENDLFKHDWRSRSKVQVLQYIFLKWILAFLVGLLTGIIATLINLAVENIAGYKLLAAVSFIEKERYLMGFIYLAGANLLLTTVASVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTMIVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWRWLRYFNNDRDRRDLITCGAASGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAIVVMVLRTFIEICNAGGCGLFGKGGLIMFDVSTVTVTYHMMDIIPVVVIGIIGGVLGSLYNFFLHKILRLYNLINQKGKVHKLLLSLSVSLFTSACLYGLPFLVECTPCDSSLAESVCPTNEGSGNFKQFNCPDGHYNDLATLLLATNDDAVRNIFSTTTSAEYHPISLLIFFALYCILGLFTFGIAVPTGLFLPIILMGSAYGRMLGIAMKSYTNIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFLDAHPEPWMRNLTVGELADAKLPVVTLRGIETVERIVEVLRNTTHNGFPVIDDGVVPPVGLAVGATEVHGLILRAHLVHVLKKKWFQREKRRTEEWEVREKFTWVELAEREGKIEEVAVTSEEMEMYVDLHPLTNTTPYTVMESMSVAKAMVLFRQLGLRHLLIVPKYEAAGVPPVVGILTRQDLIAYNILNAFPHLTKPTSREKGN >ONI19911 pep chromosome:Prunus_persica_NCBIv2:G3:26730798:26734446:-1 gene:PRUPE_3G304600 transcript:ONI19911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIYLAGANLLLTTVASVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTMIVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWRWLRYFNNDRDRRDLITCGAASGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAIVVMVLRTFIEICNAGGCGLFGKGGLIMFDVSTVTVTYHMMDIIPVVVIGIIGGVLGSLYNFFLHKILRLYNLINQKGKVHKLLLSLSVSLFTSACLYGLPFLVECTPCDSSLAESVCPTNEGSGNFKQFNCPDGHYNDLATLLLATNDDAVRNIFSTTTSAEYHPISLLIFFALYCILGLFTFGIAVPTGLFLPIILMGSAYGRMLGIAMKSYTNIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFLDAHPEPWMRNLTVGELADAKLPVVTLRGIETVERIVEVLRNTTHNGFPVIDDGVVPPVGLAVGATEVHGLILRAHLVHVLKKKWFQREKRRTEEWEVREKFTWVELAEREGKIEEVAVTSEEMEMYVDLHPLTNTTPYTVMESMSVAKAMVLFRQLGLRHLLIVPKYEAAGVPPVVGILTRQDLIAYNILNAFPHLTKPTSREKGN >ONI19910 pep chromosome:Prunus_persica_NCBIv2:G3:26730798:26734446:-1 gene:PRUPE_3G304600 transcript:ONI19910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIYLAGANLLLTTVASVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTMIVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWRWLRYFNNDRDRRDLITCGAASGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAIVVMVLRTFIEICNAGGCGLFGKGGLIMFDVSTVTVTYHMMDIIPVVVIGIIGGVLGSLYNFFLHKILRLYNLINQKGKVHKLLLSLSVSLFTSACLYGLPFLVECTPCDSSLAESVCPTNEGSGNFKQFNCPDGHYNDLATLLLATNDDAVRNIFSTTTSAEYHPISLLIFFALYCILGLFTFGIAVPTGLFLPIILMGSAYGRMLGIAMKSYTNIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFLDAHPEPWMRNLTVGELADAKLPVVTLRGIETVERIVEVLRNTTHNGFPVIDDGVVPPVGLAVGATEVHGLILRAHLVHVLKKKWFQREKRRTEEWEVREKFTWVELAEREGKIEEVAVTSEEMEMYVDLHPLTNTTPYTVMESMSVAKAMVLFRQLGLRHLLIVPKYEAAGVPPVVGILTRQDLIAYNILNAFPHLTKPTSREKGN >ONI19914 pep chromosome:Prunus_persica_NCBIv2:G3:26731240:26734171:-1 gene:PRUPE_3G304600 transcript:ONI19914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENSNQCAAESAAIPLNMEGESNDGEERDPESISLSQPLLRRNRTLSSSPLAIVGAKVSYIESLDYEINENDLFKHDWRSRSKVQVLQYIFLKWILAFLVGLLTGIIATLINLAVENIAGYKLLAAVSFIEKERYLMGFIYLAGANLLLTTVASVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTMIVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWRWLRYFNNDRDRRDLITCGAASGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAIVVMVLRTFIEICNAGGCGLFGKGGLIMFDVSTVTVTYHMMDIIPVVVIGIIGGVLGSLYNFFLHKILRLYNLINQKGKVHKLLLSLSVSLFTSACLYGLPFLVECTPCDSSLAESVCPTNEGSGNFKQFNCPDGHYNDLATLLLATNDDAVRNIFSTTTSAEYHPISLLIFFALYCILGLFTFGIAVPTGLFLPIILMGSAYGRMLGIAMKSYTNIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFLDAHPEPWMRNLTVGELADAKLPVVTLRGIETVERIVEVLRNTTHNGFPVIDDGVVPPVGLAVGATEVHGLILRAHLVHVLKKKWFQREKRRTEEWEVREKFTWVELAEREGKIEEVAVTSEEMEMYVDLHPLTNTTPYTVMESMSVAKAMVLFRQLGLRHLLIVPKYEAAGVS >ONI19915 pep chromosome:Prunus_persica_NCBIv2:G3:26731240:26733531:-1 gene:PRUPE_3G304600 transcript:ONI19915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIYLAGANLLLTTVASVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTMIVKIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWRWLRYFNNDRDRRDLITCGAASGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAIVVMVLRTFIEICNAGGCGLFGKGGLIMFDVSTVTVTYHMMDIIPVVVIGIIGGVLGSLYNFFLHKILRLYNLINQKGKVHKLLLSLSVSLFTSACLYGLPFLVECTPCDSSLAESVCPTNEGSGNFKQFNCPDGHYNDLATLLLATNDDAVRNIFSTTTSAEYHPISLLIFFALYCILGLFTFGIAVPTGLFLPIILMGSAYGRMLGIAMKSYTNIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFLDAHPEPWMRNLTVGELADAKLPVVTLRGIETVERIVEVLRNTTHNGFPVIDDGVVPPVGLAVGATEVHGLILRAHLVHVLKKKWFQREKRRTEEWEVREKFTWVELAEREGKIEEVAVTSEEMEMYVDLHPLTNTTPYTVMESMSVAKAMVLFRQLGLRHLLIVPKYEAAGVS >ONI19912 pep chromosome:Prunus_persica_NCBIv2:G3:26730957:26733159:-1 gene:PRUPE_3G304600 transcript:ONI19912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIIGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWRWLRYFNNDRDRRDLITCGAASGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAIVVMVLRTFIEICNAGGCGLFGKGGLIMFDVSTVTVTYHMMDIIPVVVIGIIGGVLGSLYNFFLHKILRLYNLINQKGKVHKLLLSLSVSLFTSACLYGLPFLVECTPCDSSLAESVCPTNEGSGNFKQFNCPDGHYNDLATLLLATNDDAVRNIFSTTTSAEYHPISLLIFFALYCILGLFTFGIAVPTGLFLPIILMGSAYGRMLGIAMKSYTNIDQGLFAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILHLKGLPFLDAHPEPWMRNLTVGELADAKLPVVTLRGIETVERIVEVLRNTTHNGFPVIDDGVVPPVGLAVGATEVHGLILRAHLVHVLKKKWFQREKRRTEEWEVREKFTWVELAEREGKIEEVAVTSEEMEMYVDLHPLTNTTPYTVMESMSVAKAMVLFRQLGLRHLLIVPKYEAAGVPPVVGILTRQDLIAYNILNAFPHLTKPTSREKGN >ONI18233 pep chromosome:Prunus_persica_NCBIv2:G3:21162478:21164963:1 gene:PRUPE_3G203700 transcript:ONI18233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKIKLFDRINLSGFGGLSSKFGSKAINSTWKEASASTTSNTVMIAKGNYLVGPVKFQGPCKAPVSVRVEGALQALVEPEKLKLEDGWVIFQNIDGVTVSGDGTFDGQGSIAKMQLTAYSKFFKFEVDLQHVIITTPGESLTTDGIHIPVDVYFRTPEKEYPKITN >ONI19081 pep chromosome:Prunus_persica_NCBIv2:G3:24509659:24512060:1 gene:PRUPE_3G257500 transcript:ONI19081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRCKLCNKTFSSGKVLGGHMRGHGTKNSAKAEKKLKVSNVDFEGDDDEHAGYGLRVNPKKSWKFSGSKVQASEKESLCKVCGKRFDSMKAMFGHMRHHSSRERKETQCCKDCGKAFESLRALTAHTKIHSKRLRTSDGSQSISSEKLFVDFQYSTETMGLIRRKRSKRLRYKITPSSSFSGMNESEFGQEVVDGAICLMIISRGGSNWGRISSVTESSDNNSLSLEVQSPVQKNRIMGNRGGISVCNDTGNKIFEFGENDSGFASDEEKTGKLEVPIDRFYRGAECKRPKLDEDSAFVGYDAEIEKESHGEMEAILTEVELDVDIIEEEELDVTKLGSMKSGMKACDSSNNMLYGTESEIFADSRKKREHKCRTCKKLFRSHQALGGHQRVHKATNNCSGEKIEDSEDKIQINKLSKIEYSCKLAKLECTDNSEEQEMERATVTGFESKVHRCSICLKVFATGQALGGHKRVHFGKDSETGTEQTRALKQQISEICDVFELSQPVKLEEENGDVEFKSWWLGNEHKRELLVGLMPN >ONI16230 pep chromosome:Prunus_persica_NCBIv2:G3:6407428:6408923:1 gene:PRUPE_3G086600 transcript:ONI16230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMQGIDGYKDLTEIRVHLGFTSGEQLKDQDTTQTNLTLLASLARQGRMFLNLSLSKLEIHEESLCQMEHENVKFLNAKRDLSDENVSSYEKQPKFYEQLHRDVSL >ONI19146 pep chromosome:Prunus_persica_NCBIv2:G3:24755380:24756974:1 gene:PRUPE_3G261900 transcript:ONI19146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGELGISAILGSCPFKHHSLGSSLKPSKVTLKGFNGGGSSRVMIQTSLNLEGYKSKCQCRQTFGIAENSEPFPGPLSQETEGFLLRSINMSFFERLNLAWKIVFPSPSTRRNSNAKIAKQRLQMILFSDRCAVTDDAKEKIVSNIVGALSDFVEIESQDNVQLSVSTDEDLGTVYSVTIPVRRVKPEYHQDEDDVGVITNDEYKDIGEHIGSY >ONI17974 pep chromosome:Prunus_persica_NCBIv2:G3:20242123:20243957:1 gene:PRUPE_3G189300 transcript:ONI17974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKEVATKPHAVCIPVPAQSHIKAMLKFAKLLHHGGFHITFVNTEFNHKRFLNSLGPNSLNGLPDFQFEAIPDSLPDSDEDASQDVTLLCESIRKQNFLAPFLALLAKLNNDAISTSSNPPVTCIVSDGFMSTFTITAAEEIGVPIVLFYTIAACSFMGFKQIRALVEKGLAPLKDESCFTNGYLDTVIDWIPGMRDIRLRDLPTFFRTTNPDDIMFNFIMEETDRAHEASAIIIHTFDALEPDVLDALSSMLPHVYTVGPLQLHLNQIPEHPLKMGYSLWKEETECLEWLNTKAPNSVVYVNFGSIAVVTPEQLVEFGWGLANSKLPFFWVIRPDLVIGESAILPPEFVAETKERGLIAGWCPQEQVLNHPSVGGFLTHSGWNSTVESITAGVPMLCWPFFGDQQMDCRYTCNEWGIGMEISNDVKRDEVEKLVKELMEGEKGKKMKNKVMEWKKLAEEATGPHGSSSTDLDNLVNQVLLRKS >ONI15173 pep chromosome:Prunus_persica_NCBIv2:G3:2153484:2155175:1 gene:PRUPE_3G028700 transcript:ONI15173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKDSESRRKRMKPDEEEEGAISDSEKAQNEELETFVAGSEEVELAVAHILEKIERFTQLVSELLGSGKAMFQKIGDEFEERMIMVHKEQIEKWQEEIRELRILDASNEEANALLHNARGLLHHAHVDS >ONI15174 pep chromosome:Prunus_persica_NCBIv2:G3:2153484:2155175:1 gene:PRUPE_3G028700 transcript:ONI15174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKDSESRRKRMKPDEEEEGAISDSEKAQNEELETFVAGSEEVELAVAHILEKIERFTQLVSELLGSGKAMFQKIGDEFEERMIMVHKEQIEKWQEEIRELRILDASNEEANALLHNARGLLHHAHVDS >ONI17334 pep chromosome:Prunus_persica_NCBIv2:G3:16596478:16601898:1 gene:PRUPE_3G152100 transcript:ONI17334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEEKAKYTMRAREVWDNYLSTAPTRTPKPRKQAKLVTRCSPGRLFNVIQRLTTEQKAAVKSMGFGSLLDLRCRTLRRSLCLWLLERFDTTQRSLEICGERIPLTPKDVELVMGLAASGKDVVNSGPDDLIADLRHSYDATNHGISVRLLEERLAEPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLTNMDVVHQYNWGKFLLDRLVCEVSRFHQGKQRAVGGCLLFLQLFYYESISVEENGALAPAVVPFLSSWGEDEITEREKRERELGGYGCGEVVCRERCSDLDSCEFIGQLDRPPECNISSGVEHDAPFEHKENQVEGQIGEFTLEEENMLVFVRNKDIVCGEIELVVESVRKQCRNRDYGCDEIVDYMKNNDHEETCIYGPCSCPLPNCNFVSSSEQLSLHFSSKHWDSGRRFRYNTPLAVSLGINEEFLVLQAEEDGALFLLNKATESIGNTVMITSIRPISSEERFAYNLVSGRGNSSLRFKSVTENFPGRVEGFPKMDFLLVPFCFFTSSGQLNLEVCIQNTTELTADCP >ONI17333 pep chromosome:Prunus_persica_NCBIv2:G3:16597404:16601898:1 gene:PRUPE_3G152100 transcript:ONI17333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYSFPFENVSSEGDVMLVKRNAEENVVLEGKRKRKKKKKQVTTPRPACSWVYFSRDFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKAKYTMRAREVWDNYLSTAPTRTPKPRKQAKLVTRCSPGRLFNVIQRLTTEQKAAVKSMGFGSLLDLRCRTLRRSLCLWLLERFDTTQRSLEICGERIPLTPKDVELVMGLAASGKDVVNSGPDDLIADLRHSYDATNHGISVRLLEERLAEPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLTNMDVVHQYNWGKFLLDRLVCEVSRFHQGKQRAVGGCLLFLQLFYYESISVEENGALAPAVVPFLSSWGEDEITEREKRERELGGYGCGEVVCRERCSDLDSCEFIGQLDRPPECNISSGVEHDAPFEHKENQVEGQIGEFTLEEENMLVFVRNKDIVCGEIELVVESVRKQCRNRDYGCDEIVDYMKNNDHEETCIYGPCSCPLPNCNFVSSSEQLSLHFSSKHWDSGRRFRYNTPLAVSLGINEEFLVLQAEEDGALFLLNKATESIGNTVMITSIRPISSEERFAYNLVSGRGNSSLRFKSVTENFPGRVEGFPKMDFLLVPFCFFTSSGQLNLEVCIQNTTELTADCP >ONI17332 pep chromosome:Prunus_persica_NCBIv2:G3:16596166:16601903:1 gene:PRUPE_3G152100 transcript:ONI17332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEGDVMLVKRNAEENVVLEGKRKRKKKKKQVTTPRPACSWVYFSRDFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKAKYTMRAREVWDNYLSTAPTRTPKPRKQAKLVTRCSPGRLFNVIQRLTTEQKAAVKSMGFGSLLDLRCRTLRRSLCLWLLERFDTTQRSLEICGERIPLTPKDVELVMGLAASGKDVVNSGPDDLIADLRHSYDATNHGISVRLLEERLAEPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLTNMDVVHQYNWGKFLLDRLVCEVSRFHQGKQRAVGGCLLFLQLFYYESISVEENGALAPAVVPFLSSWGEDEITEREKRERELGGYGCGEVVCRERCSDLDSCEFIGQLDRPPECNISSGVEHDAPFEHKENQVEGQIGEFTLEEENMLVFVRNKDIVCGEIELVVESVRKQCRNRDYGCDEIVDYMKNNDHEETCIYGPCSCPLPNCNFVSSSEQLSLHFSSKHWDSGRRFRYNTPLAVSLGINEEFLVLQAEEDGALFLLNKATESIGNTVMITSIRPISSEERFAYNLVSGRGNSSLRFKSVTENFPGRVEGFPKMDFLLVPFCFFTSSGQLNLEVCIQNTTELTADCP >ONI14891 pep chromosome:Prunus_persica_NCBIv2:G3:1053946:1056879:-1 gene:PRUPE_3G014800 transcript:ONI14891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTLQLLLPLLLLTFLASTALSHLPSSSVTTTTSLSIYDWQSARATYYAASDPRDAVGGACGYGDLVKAGYGMATVGLSEPLFERGQICGACFVLRCVDDMRWCIPGTSIIVTATNFCAPNYGFTADGGGHCNPPNKHLVLPIEAFEKIAIWKAGNMPVQYRRIKCRKEGGIRFTIDGSNIFISVLITNVAGVGDIVSVKIKGSRTGWLPMGRNWGQNWHVSADLKNQPLSFEVTSSDGLTVTSYNVAPKAWNFGQTFEGKQFL >ONI14892 pep chromosome:Prunus_persica_NCBIv2:G3:1053857:1056980:-1 gene:PRUPE_3G014800 transcript:ONI14892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTLQLLLPLLLLTFLASTALSHLPSSSVTTTTSLSIYDWQSARATYYAASDPRDAVGGACGYGDLVKAGYGMATVGLSEPLFERGQICGACFVLRCVDDMRWCIPGTSIIVTATNFCAPNYGFTADGGGHCNPPNKHLVLPIEAFEKIAIWKAGNMPVQYRRIKCRKEGGIRFTIDGSNIFISVLITNVAGVGDIVSVKIKGSRTGWLPMGRNWGQNWHVSADLKNQPLSFEVTSSDGLTVTSYNVAPKAWNFGQTFEGKQFL >ONI17661 pep chromosome:Prunus_persica_NCBIv2:G3:19057881:19059542:-1 gene:PRUPE_3G172600 transcript:ONI17661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKTVEIVDDTEAFYDADQTAEMGRKIEGLEREKLQLERDNKESNEKIKELTTEIEKLKRGEKDTKEKLREMELEIERNDEGKNVLESVANRAMELETEVSRLQQELISAMAEVEEANNEVAELKRVLGEKGAKIDRLEKELESLKKAKAESEKWVRELERKIGVLEVKETEEKSKRIRVEEEMRERLDEKENELSLFKKKIEELESVITKNGVELGKKVKETLDVEAALRESEDKCRAVELKMGQLQKDVVEANKVINGLRERTVGAINGTVDEMKEILEGGETGPKGLSLPVVAGSTGAIVAVAAAAVYVLYLRPR >ONI17660 pep chromosome:Prunus_persica_NCBIv2:G3:19057827:19059542:-1 gene:PRUPE_3G172600 transcript:ONI17660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKTVEIVDDTEAFYDADQTAEMGRKIEGLEREKLQLERDNKESNEKIKELTTEIEKLKRGEKDTKEKLREMELEIERNDEGKNVLESVANRAMELETEVSRLQQELISAMAEVEEANNEVAELKRVLGEKGAKIDRLEKELESLKKAKAESEKWVRELERKIGVLEVKETEEKSKRIRVEEEMRERLDEKENELSLFKKKIEELESVITKNGVELGKKVKETLDVEAALRESEDKCRAVELKMGQLQKDVVEANKVINGLRERTVGAINGTVDEMKEILEGGETGPKGLSLPVVAGSTGAIVAVAAAAVYVLYLRPR >ONI17071 pep chromosome:Prunus_persica_NCBIv2:G3:14389080:14392268:1 gene:PRUPE_3G136400 transcript:ONI17071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRWELGFPKTSASSLREQATRTILRNVRSQGHTYVELREDGKKFIFFCTLCLAPCYSDKVLFDHLKGNLHKDRLAAAKVTLLRPNPWPFNDGVAFFHNPDETDKHLVITDGNKFRMLESPDDENNLAIVKYGENLISNGNEHVGTDGLECNGSLDFPRVRSNFKFSCSNENSTANEVNSSVVIPSVLVRDDVTDIEAKKVGLGQIAARFLEKDKVSKGIGRIWCEWLGKKAIGNEYHLKVPEHDFAVVTFSYNIDLGRRGLLDDVKMLLSSSPSVETENGEGSGSKRKKSFSDPEDISESLSNQYDSCGEDSSASSGASSKLLLDRYDDQLLHTRFILNKSIRRELRRQQRLALGRMCDICQQRMIPGKDVSALINLKTGRLACSSRNVNGAFHVFHTSCLIHWILLCEVEIANQSTNSKVRRRSRRKNAAKCNGQDGQMTALSTQIHSVFCPECQGTGAIIDGDDLEKPNLPLSQEKVKPLKLMHFYRAD >ONI17070 pep chromosome:Prunus_persica_NCBIv2:G3:14389080:14392268:1 gene:PRUPE_3G136400 transcript:ONI17070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRWELGFPKTSASSLREQATRTILRNVRSQGHTYVELREDGKKFIFFCTLCLAPCYSDKVLFDHLKGNLHKDRLAAAKVTLLRPNPWPFNDGVAFFHNPDETDKHLVITDGNKFRMLESPDDENNLAIVKYGENLISNGNEHVGTDGLECNGSLDFPRVRSNFKFSCSNENSTANEVNSSVVIPSVLVRDDVTDIEAKKVGLGQIAARFLEKDKVSKGIGRIWCEWLGKKAIGNEYHLKVPEHDFAVVTFSYNIDLGRRGLLDDVKMLLSSSPSVETENGEGSGSKRKKSFSDPEDISESLSNQYDSCGEDSSASSGASSKLLLDRYDDQLLHTRFILNKSIRRELRRQQRLALGRMCDICQQRMIPGKDVSALINLKTGRLACSSRNVNGAFHVFHTSCLIHWILLCEVEIANQSTNSKVRRRSRRKNAAKCNGQDGQMTALSTQIHSVFCPECQGTGAIIDGDDLEKPNLPLSQMFKYKIKVSDARRAWMKSPEMLANCSTGFHFPSQYEEGIQVSEKVKPLKLMHFYRAD >ONI17182 pep chromosome:Prunus_persica_NCBIv2:G3:15548975:15551351:-1 gene:PRUPE_3G143300 transcript:ONI17182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHANHICITKAMPLPSGDFVKINVDGGWIGDTLKGGVGVVIRNTGGQFVRGLAGPIFCNSALAAEAYSAIKGLALSANLGFRDVIVETDSKLLINGINGDFRNKVWSIMLLEALHRICDSMHDVGWSWVHRDLNRAAHEAAMIGVRAMELESWVSRPPLSLVQVLVSDGLPCPP >ONI18109 pep chromosome:Prunus_persica_NCBIv2:G3:20759436:20761416:1 gene:PRUPE_3G197100 transcript:ONI18109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVQGKWIKLDQKGTGPGARSSHAITLVGQKGYVFGGEFTPRVPVDNKLHVFDLEELTWSVIEGTGDVPPPRVGVTLVAVGQIIYVFGGRDYDHNELNELYSFDTSTNNWTLISSGDIGPPHRSYHSVTADDRHVYIFGGCGVAGRLNDLWAYDVVDKKWIQYPKPGDNLKGRGGPGLLVVEGKIWVVYGFAGEEADDVHTFDPVHGEWTQIETSGEKPTARSVFSTVAIGKYIVIYGGEVDPSDLGHMGAGKFAAEVYALDTETLVWKKWDDGLGSGHHPGPRGWSAFARGQWDGEVGLLVYGGNSPTNDRLGDIFFFTPYLEANGK >ONI15574 pep chromosome:Prunus_persica_NCBIv2:G3:3561855:3562342:-1 gene:PRUPE_3G050400 transcript:ONI15574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRNVNSYVVSVWVLLSRKGQSLISRRTLVWASLLSPIDDVLALALSRLRFAFWVRLTWWVGYEFEWLLYEFAEAVGERVVGFRILSRLVKLGFSVLPWVVLINLIPCILMN >ONI18062 pep chromosome:Prunus_persica_NCBIv2:G3:20648649:20655707:1 gene:PRUPE_3G194900 transcript:ONI18062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIVWTIEADLAAGEFLYITGDPTILGCWEPEIAILMSPTEHTNLWKAEVRISGGVNFKYNYFIKREMWPPSDIIWRPGPEFSLSVPLPVKQGGRIGVRDSWMRPDTTMSPIISWGSWIEEAYLPIPPLFSAPARDEDEIMKYLKSDIIEPKPVLNLPMEKRMLYSDRELTASATHKGFISNTDILELNPSLNEPMEDNVYSDGDRIVNTSQRGLISNSFSTERYHPIEEPWLLQSPLFFLVSNDKMGSDMSKKNGGMKDCVANLDNTGQSLPEERNNLISNEPVSTIILINSSICTMQRIALLEYGKLVELLLEPVKSTVQCDSVYLGVVTKLVPHMGGAFVNIGSSRPSLMDIKQNREPFIFPPFRRTKKMEANGYMLDDRVNAYGNERMPLDYEVTDDIIEINSQDDFVKSIYDVDDDDDDDEHEIEDEFDVSYVKENVNGSMLDTGDVGNDYLKGDTSAIPVAINGSSSSQMSHLQNKKNDANIIANEKKWARVQKGTKVLVQVVKEGLGSKGPTLTAYPKLKSRFWILLTRCDRIGISKKIGGVERTRLKVIAKTLQPLGFGLTVRTVAAGHSLEELQKDLEGLVSTWKSITEHAKSAALAADEGVAGTIPVILHRAMGQTLSVVQDYFNETVEKMVVDSPRTYHEVTSYLQEIAPDLCDRVELYNKRIPLFDEFNIEEEINNMLSKRVPLAKGGSLVIEQTEALVSVDVNGGHGMFGQGTSQEKAILEVNLAAAKQIARELRLRDIGGIIVVDFIDMADESNKRLVYEEAKKAVERDRSMVKVSELSRHGLMEITRKRVRPSVTFMISEPCTCCHATGRVEALETSFSKIEQEISRLLAMMEQRPDPENPKSWPKFILRIDHHMCDYLTSGKRTKLAFLSSSLKVWILLKVARGFTRGAFEVKPFTDEKAHKDQRQVTIPMLRPTETRTNNPGRKVTLFPVKKWKAGGK >ONI18061 pep chromosome:Prunus_persica_NCBIv2:G3:20648649:20655707:1 gene:PRUPE_3G194900 transcript:ONI18061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLRCPCSTEALEARRLMDVPEAHARPRRHNHLLAQRTHFSSWPVSLRFLSPYICHHIPLRNEFRFTLCMAKHSSFLRSPMMSMERGKSNAVVKGMCKIVWTIEADLAAGEFLYITGDPTILGCWEPEIAILMSPTEHTNLWKAEVRISGGVNFKYNYFIKREMWPPSDIIWRPGPEFSLSVPLPVKQGGRIGVRDSWMRPDTTMSPIISWGSWIEEAYLPIPPLFSAPARDEDEIMKYLKSDIIEPKPVLNLPMEKRMLYSDRELTASATHKGFISNTDILELNPSLNEPMEDNVYSDGDRIVNTSQRGLISNSFSTERYHPIEEPWLLQSPLFFLVSNDKMGSDMSKKNGGMKDCVANLDNTGQSLPEERNNLISNEPVSTIILINSSICTMQRIALLEYGKLVELLLEPVKSTVQCDSVYLGVVTKLVPHMGGAFVNIGSSRPSLMDIKQNREPFIFPPFRRTKKMEANGYMLDDRVNAYGNERMPLDYEVTDDIIEINSQDDFVKSIYDVDDDDDDDEHEIEDEFDVSYVKENVNGSMLDTGDVGNDYLKGDTSAIPVAINGSSSSQMSHLQNKKNDANIIANEKKWARVQKGTKVLVQVVKEGLGSKGPTLTAYPKLKSRFWILLTRCDRIGISKKIGGVERTRLKVIAKTLQPLGFGLTVRTVAAGHSLEELQKDLEGLVSTWKSITEHAKSAALAADEGVAGTIPVILHRAMGQTLSVVQDYFNETVEKMVVDSPRTYHEVTSYLQEIAPDLCDRVELYNKRIPLFDEFNIEEEINNMLSKRVPLAKGGSLVIEQTEALVSVDVNGGHGMFGQGTSQEKAILEVNLAAAKQIARELRLRDIGGIIVVDFIDMADESNKRLVYEEAKKAVERDRSMVKVSELSRHGLMEITRKRVRPSVTFMISEPCTCCHATGRVEALETSFSKIEQEISRLLAMMEQRPDPENPKSWPKFILRIDHHMCDYLTSGKRTKLAFLSSSLKVWILLKVARGFTRGAFEVKPFTDEKAHKDQRQVTIPMLRPTETRTNNPGRKVTLFPVKKWKAGGK >ONI15105 pep chromosome:Prunus_persica_NCBIv2:G3:1918002:1925413:1 gene:PRUPE_3G026100 transcript:ONI15105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLPGLVITLSITGALNAVLSKEHQHEMCRYLYNHQNKDGGWGLHIEGPSTMFGTALNYVSLRLLGEGADDGQGAMELARKWILDHGGVTKITSWGKMWLSVLGTYEWSGNNPLPPEVWLCPYSLPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTIRSLRKELYSVPYHEVDWNQARNLCAKEDLYYPHPMVQDILWASLHYVYEPVFAHWPAKELRENALQTVMQHIHYEDENTRYICIGPVNKVLNMLCCWAEDPNSDAFKLHLPRIPDYLWVAEDGMKMQGYNGSQSWDTSFAVQAIISTNLAEEFGPTLRKAHEYIKDSQVLEDCPGDLKFWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLLLSKLPTGTVGESLDMKRLYDAVNVTLSLQNDDGGFATYELTRSYQWLELINPAETFGDIVIDYPYVECTSAAIQALAMFGKLYPGHRREEIESCIARAAKFIEKIQATDGSWYGSWGVCFTYAGWFGIKGLAAAGRTYNDCSSIRKACDFLLSKELPSGGWGESYLSCQNKVYTNLKDNRPHIVHTAWAMLALIGAGQAKRDPTPLHRAARVLINSQMEIGDFPQKEITGVFNKNCMISYSAYRNIFPIWALGEYRCQVLEAL >ONI15104 pep chromosome:Prunus_persica_NCBIv2:G3:1917134:1925413:1 gene:PRUPE_3G026100 transcript:ONI15104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIGAETVGEGGYQWLKSVNNHLGRQVWEFNPELGSPEELQRIEDARKAFWNNRFERRHSSDLLMRIQFEKENPCVTNLPQLKVKDEEEVTEEVVKTTLRRAISFYSTIQAHDGHWPGDYGGPMFLLPGLVITLSITGALNAVLSKEHQHEMCRYLYNHQNKDGGWGLHIEGPSTMFGTALNYVSLRLLGEGADDGQGAMELARKWILDHGGVTKITSWGKMWLSVLGTYEWSGNNPLPPEVWLCPYSLPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTIRSLRKELYSVPYHEVDWNQARNLCAKEDLYYPHPMVQDILWASLHYVYEPVFAHWPAKELRENALQTVMQHIHYEDENTRYICIGPVNKVLNMLCCWAEDPNSDAFKLHLPRIPDYLWVAEDGMKMQGYNGSQSWDTSFAVQAIISTNLAEEFGPTLRKAHEYIKDSQVLEDCPGDLKFWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLLLSKLPTGTVGESLDMKRLYDAVNVTLSLQNDDGGFATYELTRSYQWLELINPAETFGDIVIDYPYVECTSAAIQALAMFGKLYPGHRREEIESCIARAAKFIEKIQATDGSWYGSWGVCFTYAGWFGIKGLAAAGRTYNDCSSIRKACDFLLSKELPSGGWGESYLSCQNKVYTNLKDNRPHIVHTAWAMLALIGAGQAKRDPTPLHRAARVLINSQMEIGDFPQKEITGVFNKNCMISYSAYRNIFPIWALGEYRCQVLEAL >ONI14851 pep chromosome:Prunus_persica_NCBIv2:G3:838282:840177:-1 gene:PRUPE_3G012600 transcript:ONI14851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKGEVQRRVLLLCGDYMEDYEAMVPFQALQAFGVSVDAVSPVKKAGDICATAITIQVESTDQANKESRGHNFTLNATFDDIEIDKYDGLVIPGGRSPEHLAMKASVVELARKFSDCRKPIAAICHGLLVLAPAGAVKGRKCTAVSTMKPGLVAAGAHWVEPDTLSVCVADDNLITGALGGKICASERRVLIIIGNYAEDYEVTVPYQTLKVLGCHVDVVCPKKKAGDTCPTAIRDLEGGQTYSEIRGHNFVLTADFESIDASSYDALVLPGGKAPEFLALKEDVIVLVKQFMEAGKPVASICHGLEILVAAGVLQGKKCTGYPGIKARVVSSGGTFVEADPIDRCVTDGNLVTAAAWHGQPELISQLMRLLDIRVSF >ONI18707 pep chromosome:Prunus_persica_NCBIv2:G3:22948855:22955731:-1 gene:PRUPE_3G233500 transcript:ONI18707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRSVLEYKNLKIHGQVLLGLILICLAQVSLGATSPTDVAAINNLHAALGTPVLPGWTSAGGDPCGETWQGVQCNDSVILGIVLNGANLGGQLGENLGMFASIQAIDLSNNHIGGSIPSNLPVSLRNFFLSANQFTGSIPDSLASLAELTDMSLNNNLLTGEIPDSFQSITGLINLDLSSNNLSGQLPPSLKSVVSLTTLHLQNNQLSGTLDVLQDLSLSDLNIENNLFAGPIPEKLLNIPNFRKDGNPFNSSIAPVPPPTSLSTPPPPPQSFWGPPTGKPPGRTPGRTPGKQADGPSSQDTSNSGKSKKFLTTKRVVGISIGGVLLLVILLLAILFFMPRRGRREEADRISKRHQIGAYIGDRENPPRDNGSLVQPTNLIQKVPKEAVVRPKEDNETETRRTWAIPKPNDEQDRNVQRTGTVTRQESDLNALDMYLTPPPPPPPPPPPPPPPPVEKVIVKPFVPTELPKLKPSTKNPNLAIFAKSFTIASLQQYTNSFSPDNLLGAGMLGSVYRAQLPNGKLLAVKKLDKRASSQQKDDEFLELVNNIDRIRHANVVELIGYCAEHGQRLLIYEYCSNGSLHDALHVDDEFKKKLPWNARIRMALGAARALEYLHEVCQPPIVHRNFKSANLLLDDDLSVRVSDCGLAPLISSGSVSQLSGHLLSAYGYGAPEFESGIYTHQSDVYSFGVVMLELLTGRKSHDSTRNRGEQFLVRWATTQLHDIDALSRMVDPSLNGQYPTKSLSHFADIISRCVQSEPEFRPLMSEVVQDLLDMIRREPHGSGSSED >ONI18711 pep chromosome:Prunus_persica_NCBIv2:G3:22950176:22956060:-1 gene:PRUPE_3G233500 transcript:ONI18711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRSVLEYKNLKIHGQVLLGLILICLAQVSLGATSPTDVAAINNLHAALGTPVLPGWTSAGGDPCGETWQGVQCNDSVILGIVLNGANLGGQLGENLGMFASIQAIDLSNNHIGGSIPSNLPVSLRNFFLSANQFTGSIPDSLASLAELTDMSLNNNLLTGEIPDSFQSITGLINLDLSSNNLSGQLPPSLKSVVSLTTLHLQNNQLSGTLDVLQDLSLSDLNIENNLFAGPIPEKLLNIPNFRKDGNPFNSSIAPVPPPTSLSTPPPPPQSFWGPPTGKPPGRTPGRTPGKQADGPSSQDTSNSGKSKKFLTTKRVVGISIGGVLLLVILLLAILFFMPRRGRREEADRISKRHQIGAYIGDRENPPRDNGSLVQPTNLIQKVPKEAVVRPKEDNETETRRTWAIPKPNDEQDRNVQRTGTVTRQESDLNALDMYLTPPPPPPPPPPPPPPPPVEKVIVKPFVPTELPKLKPSTKNPNLAIFAKSFTIASLQQYTNSFSPDNLLGAGMLGSVYRAQLPNGKLLAVKKLDKRASSQQKDDEFLELVNNIDRIRHANVVELIGYCAEHGQRLLIYEYCSNGSLHDALHVDDEFKKKLPWNARIRMALGAARALEYLHEVCQPPIVHRNFKSANLLLDDDLSVRVSDCGLAPLISSGSVSQMAL >ONI18712 pep chromosome:Prunus_persica_NCBIv2:G3:22950332:22955340:-1 gene:PRUPE_3G233500 transcript:ONI18712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRSVLEYKNLKIHGQVLLGLILICLAQVSLGATSPTDVAAINNLHAALGTPVLPGWTSAGGDPCGETWQGVQCNDSVILGIVLNGANLGGQLGENLGMFASIQAIDLSNNHIGGSIPSNLPVSLRNFFLSANQFTGSIPDSLASLAELTDMSLNNNLLTGEIPDSFQSITGLINLDLSSNNLSGQLPPSLKSVVSLTTLHLQNNQLSGTLDVLQDLSLSDLNIENNLFAGPIPEKLLNIPNFRKDGNPFNSSIAPVPPPTSLSTPPPPPQSFWGPPTGKPPGRTPGRTPGKQADGPSSQDTSNSGKSKKFLTTKRVVGISIGGVLLLVILLLAILFFMPRRGRREEADRISKRHQIGAYIGDRENPPRDNGSLVQPTNLIQKVPKEAVVRPKEDNETETRRTWAIPKPNDEQDRNVQRTGTVTRQESDLNALDMYLTPPPPPPPPPPPPPPPPVEKVIVKPFVPTELPKLKPSTKNPNLAIFAKSFTIASLQQYTNSFSPDNLLGAGMLGSVYRAQLPNGKLLAVKKLDKRASSQQKDDEFLELVNNIDRIRHANVVELIGYCAEHGQRLLIYEYCSNGSLHDALHVDDEFKKKLPWNARIRMALGAARALEYLHEVCQPPIVHRNFKSANLLLDDDLSVRVSDCGLAPLISSGSVSQMAL >ONI18708 pep chromosome:Prunus_persica_NCBIv2:G3:22948851:22956060:-1 gene:PRUPE_3G233500 transcript:ONI18708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRSVLEYKNLKIHGQVLLGLILICLAQVSLGATSPTDVAAINNLHAALGTPVLPGWTSAGGDPCGETWQGVQCNDSVILGIVLNGANLGGQLGENLGMFASIQAIDLSNNHIGGSIPSNLPVSLRNFFLSANQFTGSIPDSLASLAELTDMSLNNNLLTGEIPDSFQSITGLINLDLSSNNLSGQLPPSLKSVVSLTTLHLQNNQLSGTLDVLQDLSLSDLNIENNLFAGPIPEKLLNIPNFRKDGNPFNSSIAPVPPPTSLSTPPPPPQSFWGPPTGKPPGRTPGRTPGKQADGPSSQDTSNSGKSKKFLTTKRVVGISIGGVLLLVILLLAILFFMPRRGRREEADRISKRHQIGAYIGDRENPPRDNGSLVQPTNLIQKVPKEAVVRPKEDNETETRRTWAIPKPNDEQDRNVQRTGTVTRQESDLNALDMYLTPPPPPPPPPPPPPPPPVEKVIVKPFVPTELPKLKPSTKNPNLAIFAKSFTIASLQQYTNSFSPDNLLGAGMLGSVYRAQLPNGKLLAVKKLDKRASSQQKDDEFLELVNNIDRIRHANVVELIGYCAEHGQRLLIYEYCSNGSLHDALHVDDEFKKKLPWNARIRMALGAARALEYLHEVCQPPIVHRNFKSANLLLDDDLSVRVSDCGLAPLISSGSVSQLSGHLLSAYGYGAPEFESGIYTHQSDVYSFGVVMLELLTGRKSHDSTRNRGEQFLVRWATTQLHDIDALSRMVDPSLNGQYPTKSLSHFADIISRCVQSEPEFRPLMSEVVQDLLDMIRREPHGSGSSED >ONI18709 pep chromosome:Prunus_persica_NCBIv2:G3:22948855:22956060:-1 gene:PRUPE_3G233500 transcript:ONI18709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRSVLEYKNLKIHGQVLLGLILICLAQVSLGATSPTDVAAINNLHAALGTPVLPGWTSAGGDPCGETWQGVQCNDSVILGIVLNGANLGGQLGENLGMFASIQAIDLSNNHIGGSIPSNLPVSLRNFFLSANQFTGSIPDSLASLAELTDMSLNNNLLTGEIPDSFQSITGLINLDLSSNNLSGQLPPSLKSVVSLTTLHLQNNQLSGTLDVLQDLSLSDLNIENNLFAGPIPEKLLNIPNFRKDGNPFNSSIAPVPPPTSLSTPPPPPQSFWGPPTGKPPGRTPGRTPGKQADGPSSQDTSNSGKSKKFLTTKRVVGISIGGVLLLVILLLAILFFMPRRGRREEADRISKRHQIGAYIGDRENPPRDNGSLVQPTNLIQKVPKEAVVRPKEDNETETRRTWAIPKPNDEQDRNVQRTGTVTRQESDLNALDMYLTPPPPPPPPPPPPPPPPVEKVIVKPFVPTELPKLKPSTKNPNLAIFAKSFTIASLQQYTNSFSPDNLLGAGMLGSVYRAQLPNGKLLAVKKLDKRASSQQKDDEFLELVNNIDRIRHANVVELIGYCAEHGQRLLIYEYCSNGSLHDALHVDDEFKKKLPWNARIRMALGAARALEYLHEVCQPPIVHRNFKSANLLLDDDLSVRVSDCGLAPLISSGSVSQLSGHLLSAYGYGAPEFESGIYTHQSDVYSFGVVMLELLTGRKSHDSTRNRGEQFLVRWATTQLHDIDALSRMVDPSLNGQYPTKSLSHFADIISRCVQSEPEFRPLMSEVVQDLLDMIRREPHGSGSSED >ONI18710 pep chromosome:Prunus_persica_NCBIv2:G3:22948855:22956060:-1 gene:PRUPE_3G233500 transcript:ONI18710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRSVLEYKNLKIHGQVLLGLILICLAQVSLGATSPTDVAAINNLHAALGTPVLPGWTSAGGDPCGETWQGVQCNDSVILGIVLNGANLGGQLGENLGMFASIQAIDLSNNHIGGSIPSNLPVSLRNFFLSANQFTGSIPDSLASLAELTDMSLNNNLLTGEIPDSFQSITGLINLDLSSNNLSGQLPPSLKSVVSLTTLHLQNNQLSGTLDVLQDLSLSDLNIENNLFAGPIPEKLLNIPNFRKDGNPFNSSIAPVPPPTSLSTPPPPPQSFWGPPTGKPPGRTPGRTPGKQADGPSSQDTSNSGKSKKFLTTKRVVGISIGGVLLLVILLLAILFFMPRRGRREEADRISKRHQIGAYIGDRENPPRDNGSLVQPTNLIQKVPKEAVVRPKEDNETETRRTWAIPKPNDEQDRNVQRTGTVTRQESDLNALDMYLTPPPPPPPPPPPPPPPPVEKVIVKPFVPTELPKLKPSTKNPNLAIFAKSFTIASLQQYTNSFSPDNLLGAGMLGSVYRAQLPNGKLLAVKKLDKRASSQQKDDEFLELVNNIDRIRHANVVELIGYCAEHGQRLLIYEYCSNGSLHDALHVDDEFKKKLPWNARIRMALGAARALEYLHEVCQPPIVHRNFKSANLLLDDDLSVRVSDCGLAPLISSGSVSQLSGHLLSAYGYGAPEFESGIYTHQSDVYSFGVVMLELLTGRKSHDSTRNRGEQFLVRWATTQLHDIDALSRMVDPSLNGQYPTKSLSHFADIISRCVQSEPEFRPLMSEVVQDLLDMIRREPHGSGSSED >ONI19962 pep chromosome:Prunus_persica_NCBIv2:G3:26844247:26845675:-1 gene:PRUPE_3G308000 transcript:ONI19962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNRKRRGFMKGKLMPFYRAAKPSTTSMQYSSKVKPSQASPNTAAYSVGFMDYVIAAQPNQTVSFIVPAADHSSQLKQQFDHKHYGAAAAGDESVDIKAATYISSVQERFKLERINS >ONI15980 pep chromosome:Prunus_persica_NCBIv2:G3:5160112:5164345:-1 gene:PRUPE_3G072000 transcript:ONI15980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTILLTLATLSLLRSCLSHFRSSINTNSHCHFISTSKLQGGLFCVNFEGVIFIKISEMGINSNRVEDLPYHETTIRIPTEAMPPLEIHSVCLPPKQTTLQKLKHRLGEIFFPDNPLHRFKNQTWFTKLLLGLQFFFPIFQWGPEYNVKLLKSDIISGLTIASLAIPQGISYAKLASLPPIVGLYSSFVPPLIYSVLGSSRHLAVGPVSIASLVMGSMLSEAVSSTEEPILYLKLAFTATCFAGLFQASLGLLRLGFIIDFLSKATLIGFMAGASVIVILQQLKGLLGIVHFTTKMQFFSVMSSIFNHRGEWSWQTIVMGFIFLVFLFTTRHISKTKPKLFWVAAAAPLTSVIISTVLVFFLSSKNPHISVIGHLPKGLNPPSSNMLYFNGPFLALAIKTGIITGILSLTEGVAVGRTFAALKNYQVDGNKEMMAIGLMNICGSCSSCYVTTGSFSRSAVNYNAGAKTVVSNIIMASAVLVTLLFLMPLFYYTPNVILAAIIITAVSGLIDYQAAYRLWKVDKLDFLACMCSFFGVLFISVPLGLAIAVGVSIFKILLHVTRPNTMVLGNIPRTQTFHSLNRYREALRIPSFLILAIEAPIYFANTTYLQERILRWVREEEERIKASNESTLKCIILDMTAVTAIDTSGTDMMFELRKMLDKRSLQLVLANPVGTVMEKLQQSKTLESFGLNGVYLTVGEAVADISSAWKAQP >ONI15979 pep chromosome:Prunus_persica_NCBIv2:G3:5158352:5165309:-1 gene:PRUPE_3G072000 transcript:ONI15979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTILLTLATLSLLRSCLSHFRSSINTNSHCHFISTSKLQGGLFCVNFEGVIFIKISEMGINSNRVEDLPYHETTIRIPTEAMPPLEIHSVCLPPKQTTLQKLKHRLGEIFFPDNPLHRFKNQTWFTKLLLGLQFFFPIFQWGPEYNVKLLKSDIISGLTIASLAIPQGISYAKLASLPPIVGLYSSFVPPLIYSVLGSSRHLAVGPVSIASLVMGSMLSEAVSSTEEPILYLKLAFTATCFAGLFQASLGLLRLGFIIDFLSKATLIGFMAGASVIVILQQLKGLLGIVHFTTKMQFFSVMSSIFNHRGEWSWQTIVMGFIFLVFLFTTRHISKTKPKLFWVAAAAPLTSVIISTVLVFFLSSKNPHISVIGHLPKGLNPPSSNMLYFNGPFLALAIKTGIITGILSLTEGVAVGRTFAALKNYQVDGNKEMMAIGLMNICGSCSSCYVTTGSFSRSAVNYNAGAKTVVSNIIMASAVLVTLLFLMPLFYYTPNVILAAIIITAVSGLIDYQAAYRLWKVDKLDFLACMCSFFGVLFISVPLGLAIAVGVSIFKILLHVTRPNTMVLGNIPRTQTFHSLNRYREALRIPSFLILAIEAPIYFANTTYLQERILRWVREEEERIKASNESTLKSVTAIDTSGTDMMFELRKMLDKRSLQLVLANPVGTVMEKLQQSKTLESFGLNGVYLTVGEAVADISSAWKAQP >ONI18269 pep chromosome:Prunus_persica_NCBIv2:G3:21343699:21346806:-1 gene:PRUPE_3G206300 transcript:ONI18269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFGHGTRRKWKKHTALTNLDKTLGGNVEKTPKLLYIFLGRSVSFKLSLSQKLDLSGEGRRPRKMALQAAGVSTSKVLVLVGAGLTGSIVLRSGRLSDLIAQLHELLKGVNEAEILSEKYDGAIIRAQIQQLAQEIRELTLSGPVTIFKENSASSGNYASYLVPAAALGAMGYCYMWWKGWSLSDVMYVTKHSMANAVATVSKQLEHVHETLASTKRHLTKKLENLDWKMEEQKEISELIANDVNEVKSNLSQIGFDVELIHQMVAGLEGKVELIESKQDATNSGLWYLCQVAEGFKDGLDAKPFQLCIGRMSLLS >ONI18268 pep chromosome:Prunus_persica_NCBIv2:G3:21343123:21347250:-1 gene:PRUPE_3G206300 transcript:ONI18268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFGHGTRRKWKKHTALTNLDKTLGGNVEKTPKLLYIFLGRSVSFKLSLSQKLDLSGEGRRPRKMALQAAGVSTSKVLVLVGAGLTGSIVLRSGRLSDLIAQLHELLKGVNEAEILSEKYDGAIIRAQIQQLAQEIRELTLSGPVTIFKENSASSGNYASYLVPAAALGAMGYCYMWWKGWSLSDVMYVTKHSMANAVATVSKQLEHVHETLASTKRHLTKKLENLDWKMEEQKEISELIANDVNEVKSNLSQIGFDVELIHQMVAGLEGKVELIESKQDATNSGLWYLCQVAEGFKDGLDAKPFQNVSAKLGKHSTMALEDKSLKGLQFLADTKEPSVTEKSMTSAKKNDPDNFPGEKVPRMKTRIHRSYPVGIALTRDITGSDL >ONI19380 pep chromosome:Prunus_persica_NCBIv2:G3:25411135:25415909:1 gene:PRUPE_3G275900 transcript:ONI19380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEKTSYEVEKKKKKNGSIRSIFMHADGVDKWLMTLGLLGAIGDGFTSRLVIVLCSRVINNMGRADRAGASDLDARNLRHNINKVSLSLSLSLSLSLSLDLLIVHIHTCTNTEKMQKKLITIFGFCCGWPHAERNASVCVLSFGLMGCLLLRYVPDFVSKVSTFVAGYTVAFFIVWELAMLGLPFSLLLVVPGWICGRTLTELAKKRREECIKAGTIAEQAISSIRTVYAFVGENNTIQKFSAALHGTIKLGLRQGLVKGLAIGSSGTIFSIWAVMSYSGSRMIIYHGALGGNVLAVGVSIVNGGLAMGSALSNLKYITEACSAGERIMEVTKLVPQIDSDNMGGKILENFSSEVEFKQVKFAYPSRPDGIILNNFCLNIPAGKTVALVGPSGSGKSTVISLLQRFYDPLEGEISLDGIAIDKLQLKWLRSQMAAVSQEPSLFSTTIKENILFGKEDGTSEEVIEAAKASNAHNFISQLPQGYDTQVGERGIQVSGGQKQRIAIARALVGKPKILLLDEATSALDSESERLVQEALYKAAMGRTTIVIAHRLSTIRNADVIAVMQNGSVTETGSHDELIQNQNGLYASFVRLQQIPKETSEDQCHCNNSINSPALPSSASQLNSTPQDAGLDCTAATAKENPNNMIKPRASLWRLMSMSLPEWKQAILGCLSAVLFGAVQPVYGFVMGTTISVFFLTNHDEMEEKIRTFALCFFGLSVFSMLINIIQHYNFAYMGELLTNRIREMLLSKIFTFEVEWFEHRQNSSGAICSRLTKEAEMVRSLVGDRMGLLIQTISGVAIAWTMGLIIAWRLAVVIIAVQPIVIASLYAKRVLLKTTSTKAIKAQEESCKLAAEAVSNIRTITAFSAQNTILKMLEKAQEGPRRESMRQSWVAGIGLGFAQCITILNWGVSFLWGGMLVNKGHVTARAVFETITILVTTGRTIADAGSMTSDLAMGLYAIGSIYSILDRTTKMEPQVPQEKQVENITGQIQFRDVDFAYPTRPNALIFQRFSTEMEAGKSTALVGQSGSGKSTIIGLIERFYDPIKGVVEMDGRDLKTYNLRSLRKHMALVSQEPTLFGGTIRENIVYGASDETDETEIAEAAKAANAHDFISGLKDGYDTSCGDKGVQLSGGQKQRIAIARAILRNPAVLLLDEATSALDSQSEKAMQEALERLRLGRTSVVVAHRLSTVHNCDLIVVIEKGKVVEKGTHSSLLAKGPAGAYYSLVNQPGPKTQP >ONI18367 pep chromosome:Prunus_persica_NCBIv2:G3:21643038:21645875:1 gene:PRUPE_3G211600 transcript:ONI18367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLISRDGEKEGASDGAVVDFRGNPVDKSKTGGWLAAGLILGIELAERICVMGISMNLVTYLVGNLHISSAKSATIVTNFMGTLNLLGLLGGFLADAKLGRYLTVALSATITGLGIILLTLATSIPSMRPPPCDDYRKQHHECIEANGKQLALLYAALYTTALGGGGIKSNVSGFGSDQFDGRDPREEKSMIFFFNRFYFGISIGSLFAVIVLVYVQDNVGRGLGYGISAGGMIIALVVLLSGTPFYRFHKPQGSPLTVIWRVIFLAWKKRAYPYPAHPSLLNEYQEAKIPHTQRLKCLDKAAILDEYASAEGNRSNPWIVSTVNQVEEVKLVFKLMPVWSTCILFWTVYSQMTTFTIEQATFMNRKIGSFVIPSGSFSAFLFITILLFTSLNEKLFVPLARKLTNTAQGITSLQRIGIGLVLSTAAMIAAAIVEKQRREFAVHQNTKISAFWLVLQYFLVGAGEAFVYVGQLEFFIREAPDRMKSMSTGLFLSTISMGFFVSTLLVTLVDKVTKKSWLRSNLNKGRVDYFYLLLAVLGALNFLVFLAFAMRHQYKGQQHISPNNSVKKEHKNSNGIRVEEMAEMIRIEAKEGP >ONI18369 pep chromosome:Prunus_persica_NCBIv2:G3:21643038:21645875:1 gene:PRUPE_3G211600 transcript:ONI18369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISMNLVTYLVGNLHISSAKSATIVTNFMGTLNLLGLLGGFLADAKLGRYLTVALSATITGLGIILLTLATSIPSMRPPPCDDYRKQHHECIEANGKQLALLYAALYTTALGGGGIKSNVSGFGSDQFDGRDPREEKSMIFFFNRFYFGISIGSLFAVIVLVYVQDNVGRGLGYGISAGGMIIALVVLLSGTPFYRFHKPQGSPLTVIWRVIFLAWKKRAYPYPAHPSLLNEYQEAKIPHTQRLKCLDKAAILDEYASAEGNRSNPWIVSTVNQVEEVKLVFKLMPVWSTCILFWTVYSQMTTFTIEQATFMNRKIGSFVIPSGSFSAFLFITILLFTSLNEKLFVPLARKLTNTAQGITSLQRIGIGLVLSTAAMIAAAIVEKQRREFAVHQNTKISAFWLVLQYFLVGAGEAFVYVGQLEFFIREAPDRMKSMSTGLFLSTISMGFFVSTLLVTLVDKVTKKSWLRSNLNKGRVDYFYLLLAVLGALNFLVFLAFAMRHQYKGQQHISPNNSVKKEHKNSNGIRVEEMAEMIRIEAKEGP >ONI18368 pep chromosome:Prunus_persica_NCBIv2:G3:21643038:21645875:1 gene:PRUPE_3G211600 transcript:ONI18368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISMNLVTYLVGNLHISSAKSATIVTNFMGTLNLLGLLGGFLADAKLGRYLTVALSATITGLGIILLTLATSIPSMRPPPCDDYRKQHHECIEANGKQLALLYAALYTTALGGGGIKSNVSGFGSDQFDGRDPREEKSMIFFFNRFYFGISIGSLFAVIVLVYVQDNVGRGLGYGISAGGMIIALVVLLSGTPFYRFHKPQGSPLTVIWRVIFLAWKKRAYPYPAHPSLLNEYQEAKIPHTQRLKCLDKAAILDEYASAEGNRSNPWIVSTVNQVEEVKLVFKLMPVWSTCILFWTVYSQMTTFTIEQATFMNRKIGSFVIPSGSFSAFLFITILLFTSLNEKLFVPLARKLTNTAQGITSLQRIGIGLVLSTAAMIAAAIVEKQRREFAVHQNTKISAFWLVLQYFLVGAGEAFVYVGQLEFFIREAPDRMKSMSTGLFLSTISMGFFVSTLLVTLVDKVTKKSWLRSNLNKGRVDYFYLLLAVLGALNFLVFLAFAMRHQYKGQQHISPNNSVKKEHKNSNGIRVEEMAEMIRIEAKEGP >ONI19878 pep chromosome:Prunus_persica_NCBIv2:G3:26652925:26658204:-1 gene:PRUPE_3G302900 transcript:ONI19878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFSGKQVFPVDYEAEVSQRLLEASLSGDLKSALECIADPFVDVNFVGAVCLKTKKTELLLHDESASEVRVDYEEFKTDVTALFLAVHAGSVALVKKLLSVGADVNQKLFRGFATTAAVREGHLEILEILLKAGASQPACEEALLEASCHGDARLVELLIASDLIRPHIAVHAIVTASCRGFVDVVDTFMKCGVDASATDRMLLQSSKPSLHTNVHCSALAAAVVSRQVSIVRLLLQAGARTDVTVRLGAWSWDTATGEELRVGAGLAEPYPITWCAVEYFEASGSILHMLLQHISPDTPHCGRTLLHHAILCGNVGAVHVLLRCGANVESPVKTTGKTMFNPIHMAARLGLSTIVQCLIDSGCDINSKTDSGETALMICAKYKHEECLRVLAMAGADFGLVNVAGQSVSSIAVTNRWSLGFQQALILVIRAGKIPRSSNFSVFSSLMFAAQAGDMEALKAVVGSGEFDIDYQDEKGFSAVMITALKGYVEAFRLLVYAGADVKLCNKSGETAITLSELSQNRDLFEKVMLEYALEKGNRYAGGFYALHCAARRRDIDAVKLLTSRGYDVNVPDGDGYTPLMLAAREGYGPMCELLISHGANLDEKNAKGETPLSLARKSGCSTKNDAECVILDELARRVVLGGAHVWKHTKGGKGSPHGKEMRMVGAIGVLRWGKSNRRNVICRDAEVGPSPAFRRNRRSKSDADEAGLFRVVTTKNKEVHFVCEGGVETAELWVRGIKLVTKEAVLGNKRES >ONI18002 pep chromosome:Prunus_persica_NCBIv2:G3:20397881:20401649:-1 gene:PRUPE_3G191400 transcript:ONI18002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLIATSPFVGIAVFVSLIALVAFYYKPFSQQRLFTVEELALYNGTDQSLPILLGILGSVFDVTKGKSHYGEGGGYNHFSGRDASRAFVSGNFTGDGLTDSLRDLSSTQVKSVVEWRDFYFRSYTFVGKLVGRYYDSEGNPTKYLKGVEAKAARGAQLLEKQKNEEAKQPSCNSRWSQDEGGEVWCDEGVPRLVQRPLEIALTGKMSKRCACFKEDQLDQSGLEVYEGCDFLAKTCRV >ONI17827 pep chromosome:Prunus_persica_NCBIv2:G3:19695774:19699125:-1 gene:PRUPE_3G181200 transcript:ONI17827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQLLLHITLFLWSMSNALLAVASEASLAKPNCLSQCGNITQIPYPFGIGAGCYLDDWFQIICNNSASPPKAFLNRTGLEVLEISLGGTLTVTSAITFSNCDNKKSDRQAPNLLGSPFVFSKSNRFTSIGCDVIALMTDQGSPLGGCYSICDNITHSTSTIFQNNSCSGLRCCQTTILDGLSFFNTSFAAVLINGSDSEMECKYAFMVDHDWFMSTNISTIVDMDSVPVVLEYQLNQYSEQHIYGTYNFSHQNMNCNIFRQCSCKKGYQGNPYLLDGCQDINECDNQYRCGPGTCINLPGHFVCYQPDRQILRINVGILVAGGVIGLLFLLIGAWWSHKVIKKRKDIKRKQKFFKQNGGLLLEKQLSSGEVNVEKIKLFSSKDLDKATDKFSIDRILGQGGQGTVYKGMLSDGRIVAVKKSKIVDGGEVGQFINEIVILSQIIHRNVVKLLGCCLETEVPLLVYEFILNGTLSQYIHHPNEEFCLTWEMRLRIAIEVAGALSYLHSAASFPIYHRDIKSSNILLDEKYRAKVADFGTSRSVSIDQTHLTTLVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLAELLTGQKPVSLMRSQESRSLATYFLLSMENNLLFDILDSQVMKDGRKEKITAVANLAVRCLNLNGRNRPTMKEVAVELEGIQLSVRAETDVQQVFPEVRCVQTQEINEVWDVVSSSTGPCTDGGTGSSFDVQPLLFFNTQ >ONI17828 pep chromosome:Prunus_persica_NCBIv2:G3:19695783:19699125:-1 gene:PRUPE_3G181200 transcript:ONI17828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQLLLHITLFLWSMSNALLAVASEASLAKPNCLSQCGNITQIPYPFGIGAGCYLDDWFQIICNNSASPPKAFLNRTGLEVLEISLGGTLTVTSAITFSNCDNKKSDRQAPNLLGSPFVFSKSNRFTSIGCDVIALMTDQGSPLGGCYSICDNITHSTSTIFQNNSCSGLRCCQTTILDGLSFFNTSFAAVLINGSDSEMECKYAFMVDHDWFMSTNISTIVDMDSVPVVLEYQLNQYSEQHIYGTYNFSHQNMNCNIFRQCSCKKGYQGNPYLLDGCQDINECDNQYRCGPGTCINLPGHFVCYQPDRQILRINVGILVAGGVIGLLFLLIGAWWSHKVIKKRKDIKRKQKFFKQNGGLLLEKQLSSGEVNVEKIKLFSSKDLDKATDKFSIDRILGQGGQGTVYKGMLSDGRIVAVKKSKIVDGGEVGQFINEIVILSQIIHRNVVKLLGCCLETEVPLLVYEFILNGTLSQYIHHPNEEFCLTWEMRLRIAIEVAGALSYLHSAASFPIYHRDIKSSNILLDEKYRAKVADFGTSRSVSIDQTHLTTLVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLAELLTGQKPVSLMRSQESRSLATYFLLSMENNLLFDILDSQVMKDGRKEKITAVANLAVRCLNLNGRNRPTMKEVAVELEGIQLSVRAETDVQQVFPEVRCVQTQEINEVWDVVSSSTGPCTDGGTGSSFDVQPLLFFNTQ >ONI19271 pep chromosome:Prunus_persica_NCBIv2:G3:25074598:25078575:1 gene:PRUPE_3G268700 transcript:ONI19271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADQGKKAKLTERAPGDETDHIDSELVLNIEKLQEFQDDLDKVNEEEGDKLMELEQKFNEIRRPIYLKRNEIIKTIPDFWLTSFMSHPVLCDILTAEDQKIFKYLNSLDVEDFKDDKTLGYSITFNFNENPYFQDTKLTKTFAFVDDETTKVTGTTIKWKEGMGFANGVHDEKKGNKRPHAEQSFFSWFNESEQDDMVGFHEEMVEIIKDDLWPNPLKYFNNEADEEDSEGDEDNEMENGENDDEEDDKEGDS >ONI19272 pep chromosome:Prunus_persica_NCBIv2:G3:25074868:25078575:1 gene:PRUPE_3G268700 transcript:ONI19272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEQKFNEIRRPIYLKRNEIIKTIPDFWLTSFMSHPVLCDILTAEDQKIFKYLNSLDVEDFKDDKTLGYSITFNFNENPYFQDTKLTKTFAFVDDETTKVTGTTIKWKEGMGFANGVHDEKKGNKRPHAEQSFFSWFNESEQDDMVGFHEEMVEIIKDDLWPNPLKYFNNEADEEDSEGDEDNEMENGENDDEEDDKEGDS >ONI15641 pep chromosome:Prunus_persica_NCBIv2:G3:3755208:3760848:-1 gene:PRUPE_3G053200 transcript:ONI15641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRTGFSFPCFCKPQNVRNCQISEPTCLPPSIPSNVSLPRNGSPIIADCSAICSPSHLSLSLSLFCSYLPRTYISNSIGTHLSVCLINTAFRLICTESNVGSQLIDPFSNLSHFNRKILSLVFASFCCSKIQLPTSLFEPVSVKVYLFGGFGHHLDLKDRDPFGCSQVLKLFGGQEMNVLEEEQTAFEIGVVVPKRDVKEEDKASDCVEVLVDEFRNLGLVVERVHGVVDEFIKLAAPLETLGRAAAELHIKKRTPIGMDLEFEWEEVDAFERQPDGSLFSWCERFRCYRHLIYGIINKSQSSVTLRFDSKEFQWEVGEYLLQKLESERIVKEVFPLHDEIKRKKLLQTWALHWWDFTNQPIDEIYSYFGTKIAIYFAFLGMYTRWLLFPAAFGLILHMFDFGSLQLLVLPIFFISIILWAIMFSQFWKRKNNALLARWKIRYPIRADLGYRQGMEWSSSQSIEIAKKWGTDKTKEKEMFQRIEWFGRLMRFRNDAIIIFSIICLQLPFELAYAHIYEVIGSDIMKFGLTTIYLFAIQLLTQIGGKISVKLIKYENNENTEKKADSLVYKVFGLYFMQSYIGVFYHALLHRNFSTLRKVLIQRLLVSQVLENLLENTLPYLKYSYKKYRIRSKKKREKGSSTGKIQFASRVEKEYLKPSYSASIGMELEDGLFDDFLEVALQFGMIMMFACAFPLAFAFATLNNITEIRADALKLLAMLRRPTPRFSTTIGAWLNIFQFLVVMSICTNCALLVWLYDEEGKWKIEPGLAAILVMEHVLLLIKFGFSRFVPEEPAWVKADRVKDATTAENMCSKQLLRNISGERATFGELKKSQ >ONI15642 pep chromosome:Prunus_persica_NCBIv2:G3:3755208:3760730:-1 gene:PRUPE_3G053200 transcript:ONI15642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFEWEEVDAFERQPDGSLFSWCERFRCYRHLIYGIINKSQSSVTLRFDSKEFQWEVGEYLLQKLESERIVKEVFPLHDEIKRKKLLQTWALHWWDFTNQPIDEIYSYFGTKIAIYFAFLGMYTRWLLFPAAFGLILHMFDFGSLQLLVLPIFFISIILWAIMFSQFWKRKNNALLARWKIRYPIRADLGYRQGMEWSSSQSIEIAKKWGTDKTKEKEMFQRIEWFGRLMRFRNDAIIIFSIICLQLPFELAYAHIYEVIGSDIMKFGLTTIYLFAIQLLTQIGGKISVKLIKYENNENTEKKADSLVYKVFGLYFMQSYIGVFYHALLHRNFSTLRKVLIQRLLVSQVLENLLENTLPYLKYSYKKYRIRSKKKREKGSSTGKIQFASRVEKEYLKPSYSASIGMELEDGLFDDFLEVALQFGMIMMFACAFPLAFAFATLNNITEIRADALKLLAMLRRPTPRFSTTIGAWLNIFQFLVVMSICTNCALLVWLYDEEGKWKIEPGLAAILVMEHVLLLIKFGFSRFVPEEPAWVKADRVKDATTAENMCSKQLLRNISGERATFGELKKSQ >ONI15643 pep chromosome:Prunus_persica_NCBIv2:G3:3755208:3760690:-1 gene:PRUPE_3G053200 transcript:ONI15643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRTGFSFPCFCKPQNVRNCQISEPTCLPPSIPSNVSLPRNGSPIIADCSAICSPSHLSLSLSLFCSYLPRTYISNSIGTHLSVCLINTAFRLICTESNVGSQLIDPFSNLSHFNRKILSLVFASFCCSKIQLPTSLFEPVSVKVYLFGGFGHHLDLKDRDPFGCSQVLKLFGGQEMNVLEEEQTAFEIGVVVPKRDVKEEDKASDCVEVLVDEFRNLGLVVERVHGVVDEFIKLAAPLETLGRAAAELHIKKRTPIGMDLEFEWEEVDAFERQPDGSLFSWCERFRCYRHLIYGIINKSQSSVTLRFDSKEFQWEVGEYLLQKLESERIVKEVFPLHDEIKRKKLLQTWALHWWDFTNQPIDEIYSYFGTKIAIYFAFLGMYTRWLLFPAAFGLILHMFDFGSLQLLVLPIFFISIILWAIMFSQFWKRKNNALLARWKIRYPIRADLGYRQGMEWSSSQSIEIAKKWGTDKTKEKEMFQRIEWFGRLMRFRNDAIIIFSIICLQLPFELAYAHIYEVIGSDIMKFGLTTIYLFAIQLLTQIGGKISVKLIKYENNENTEKKADSLVYKVFGLYFMQSYIGVFYHALLHRNFSTLRKVLIQRLLVSQVLENLLENTLPYLKYSYKKYRIRSKKKREKGSSTGKIQFASRVEKEYLKPSYSASIGMELEDGLFDDFLEVALQFGMIMMFACAFPLAFAFATLNNITEIRADALKLLAMLRRPTPRFSTTIGAWLNIFQVVCNL >ONI16936 pep chromosome:Prunus_persica_NCBIv2:G3:13421896:13422466:1 gene:PRUPE_3G131300 transcript:ONI16936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVVENLTGSLFYVQVGNNATVADLKREIETQQKLPYDRMILILGADDHRLMTKDDGDDGVSLVDYGVEDESHIYIFFDPLDDGSTHRFLLTWPDSLLGWA >ONI18978 pep chromosome:Prunus_persica_NCBIv2:G3:24094668:24096068:-1 gene:PRUPE_3G250700 transcript:ONI18978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKESSSKPVRCLLAAMLCAILLVSPLTVFIFGFNVSYPQSIFATFANTSHDQIVLPHSLHRDISRLQIQLGVLLEQLHNESSDSKAIAKFSDQVLHIAISLDKLADSLSRLYRNSPPAKAEMSNVDEDFSDPEEAEEQFMGGKVFSSGEIHNYTSPKQNRLIGKKNFLGVEAVTPSIGLACANMVTNLDRFMSYKVHSTCPDDWEIAQKLIVHGCDPLPRRRCFTKSPPHYTKPFSINSSLWTQPSGANILWNNYKCKDYSCLVSKETKDRRGFFKCSDCFNLSKKGWDTPTNKSESAEFTIDEFLGLKPEEIRIGLDFSLTTGTFASIMREKNVTIASSTLNLGAPFNEVIALRGLLPLYTSIGSRLPFFDNTLDMIHSTSFLDGWIGIELLQFVLFDWDRVLRPKGLLWIDRFFCHKEDMKLYLDEFNRLGYKPLLWRVVPKTDKLGDELFFSAVLEKPVRV >ONI15034 pep chromosome:Prunus_persica_NCBIv2:G3:1658028:1664427:1 gene:PRUPE_3G022300 transcript:ONI15034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETNTVHSPLVTYASMLSLLCLCPPFVILLWYTMVHADGSISQTWDYLKHHGVQGFIEIWPRPTATAWKIIACYGAFEAALQLLLPGKRVEGPISPTGNRPVYKANGMAAYFVTLATHLGLWWFGIFNPTIVYDHLGEIFSALIFGSFIFCILLYIKGHLAPSSTDSGSSGNAIIDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLAVTYCIKQYEVNGTVADSMLVNTILMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGTQLALFILVAGILCIYINYDCDRQRQEFRRTNGKCLVWGKAPSKIVASYTTTSGETKTSLLLTSGWWGLSRHFHYVPEISAAFFWTVPALFNHFLPYFYVVFLTILLLDRAKRDDDRCRSKYGKYWKLYCQKVSYRVIPGIY >ONI15035 pep chromosome:Prunus_persica_NCBIv2:G3:1659732:1664427:1 gene:PRUPE_3G022300 transcript:ONI15035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQVICLLQANGMAAYFVTLATHLGLWWFGIFNPTIVYDHLGEIFSALIFGSFIFCILLYIKGHLAPSSTDSGSSGNAIIDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLAVTYCIKQYEVNGTVADSMLVNTILMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGTQLALFILVAGILCIYINYDCDRQRQEFRRTNGKCLVWGKAPSKIVASYTTTSGETKTSLLLTSGWWGLSRHFHYVPEISAAFFWTVPALFNHFLPYFYVVFLTILLLDRAKRDDDRCRSKYGKYWKLYCQKVSYRVIPGIY >ONI15275 pep chromosome:Prunus_persica_NCBIv2:G3:2546894:2548350:-1 gene:PRUPE_3G034800 transcript:ONI15275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVADSSAFNGDFATAVNHLLNFPETIDKFMFPSRAHETNNENKGAASIPVDILDSPKEYIFFLDLPGLSKSDIQVTVEDENTLVIRSNGKRKREDGEEEGCKYLRLERRGPQKLLRKFRLPQNANVGAISAKCENGVLSVVVEKLPPPPKPKTVEVSIS >ONI18240 pep chromosome:Prunus_persica_NCBIv2:G3:21250220:21253277:1 gene:PRUPE_3G204300 transcript:ONI18240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPKSLLFLLIFLTLSRSCMSWFWSSSSGQAGHNPGQNLQISGDVAAEFSMDALNDERGIERLNNARRKLVGSKSCWHDAYQGIFAACSEIAADDNDKRKRFAWDLSNCFQKDSGRPPFPRCPAGSPMKACLEKLDNNAIHAYREFYLETNSICHQLQSDIFRRQTEKLVNELVKSSDYAEEKLETIAEKSEKLLEGSKYIHESLNSIDEQTQEVAQTSKTVSDQIGGIMKQSEVMFEQSEKIAASQLELQMGQDKMKEKLEEGMAMVHESYHVLGKGIHSLQDETVEIEKKIGIVGEAMSTKMSKLQSKADDIGNVAEISLEKQKELLHGQSEALDGLESLTKFQSQALEESRVILQQFATFGREQQEELLQRQDQIQKAHDHLVENSKSILSAQEAFEQKQATMFVALDRLFALHNALLLESRSMKAFFVYAMSMFVLYMSTSTKQTSTVRCELYIGLSIIFLLELAVLRFTTNGIEQQTRWVNILRNFFALYAAVRIIVAYIRYKDYGRLNHDMLQVLTEEVMSLRKNAELEWESDDESDWSTFVDKDVPDGIENLKDPNHLQEEVGDNLYAITSCTGRYNLRSRSPRSTVCF >ONI18239 pep chromosome:Prunus_persica_NCBIv2:G3:21250025:21253284:1 gene:PRUPE_3G204300 transcript:ONI18239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPKSLLFLLIFLTLSRSCMSWFWSSSSGQAGHNPGQNLQISGDVAAEFSMDALNDERGIERLNNARRKLVGSKSCWHDAYQGIFAACSEIAADDNDKRKRFAWDLSNCFQKDSGRPPFPRCPAGSPMKACLEKLDNNAIHAYREFYLETNSICHQLQSDIFRRQTEKLVNELVKSSDYAEEKLETIAEKSEKLLEGSKYIHESLNSIDEQTQEVAQTSKTVSDQIGGIMKQSEVMFEQSEKIAASQLELQMGQDKMKEKLEEGMAMVHESYHVLGKGIHSLQDETVEIEKKIGIVGEAMSTKMSKLQSKADDIGNVAEISLEKQKELLHGQSEALDGLESLTKFQSQALEESRVILQQFATFGREQQEELLQRQDQIQKAHDHLVENSKSILSAQEAFEQKQATMFVALDRLFALHNALLLESRSMKAFFVYAMSMFVLYMSTSTKQTSTVRCELYIGLSIIFLLELAVLRFTTNGIEQQTRWVNILRNFFALYAAVRIIVAYIRYKDYGRLNHDMLQVLTEEVMSLRKNAELEWESDDESDWSTFVDKDVPDGIENLKDPNHLQEEVGDNLYAITSCTGRYNLRSRSPRSTVCF >ONI17455 pep chromosome:Prunus_persica_NCBIv2:G3:17920995:17921290:-1 gene:PRUPE_3G160400 transcript:ONI17455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDPQEKVDRERIFKRFDTNGDGKISASELGDALKTLGCVQSDEVKFMMAEIDTDGDGYISYQEYIAFALANRGLMKDIAKIF >ONI15734 pep chromosome:Prunus_persica_NCBIv2:G3:4112043:4112803:1 gene:PRUPE_3G058000 transcript:ONI15734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVFVACLAICILIFLCLASSVCHTCITWCMQAETYNVPMNHPMPMLCHVWRCFSQTEKSFTQRETFVIAIWLFTRLTLTMRHCIYNIFA >ONI18060 pep chromosome:Prunus_persica_NCBIv2:G3:20644782:20647651:1 gene:PRUPE_3G194800 transcript:ONI18060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSLPAPSEGVLCVLLVNTALSISIVKGIIRSVLHVVGIRLSSSSSSTSSSASSPPSTDSTEIASESFEFHLSPSASCIEEIRSRIPAIRFDTVCSFKQPEHECPVCLSEFEPESEINHLSCGHLFHKTCLEKWLNYWNITCPLCRTPLMPGEDTPCVW >ONI15348 pep chromosome:Prunus_persica_NCBIv2:G3:2776707:2781800:-1 gene:PRUPE_3G038500 transcript:ONI15348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFSSCVEYGLGLSKRVFYGKESVPDPSAMTRSSESYLPEAPMVYAVVPDPSVVDNPDIPSYQPYVHGMCEPPALIPLHMHGIAMEIESYLDTAFVTVNGTWRVHCVSAGKRCDCRVAVPMGDKGSLLGVEAEITGRSYSTQLITAEDTTNKEKVAKDGYFLKRQIYTLKVPQIDGGSFLSFKIRWSQKLLYHAGQFSLSIPFSFPACVNPVSKKNVKGEKILLNVNTGIDAEVLCQSTSHPLKEVRRQSGRLSFSYEAEVSSWSSAGFSFSYTVCSSDLFGGVLLQSPSLRDFDDREMFCFYLFPGNSQTRKVFKKEVIFIIDISGSMLGDPLENAKNALLASLSNLNRDDTFNIIAFNGEVHLFSASMELATNEAMLKAKEWASTNLTANGGTNILLPLKQAMQLLAKTSDSIPFIFLITDGAVEDEREICTIMKGYLTSAGSVCPRISTFGIGLYCNHYFLQMLAQIGRGYYDAAYDADSIDYKMQRLFTSASSVILANITIDALECLDSLELFPSHIPDLSSGSPLIISGRYEGSFPDSIKVRGTLADMSNFVIDLKVQRSKEFPLDRVLARRHIDMLTAHAWLLGSKELEEKVSKMSKQTGVPSEYTCMILVQSLQTDNGKRVPESVTIQGVYNKFTQLNKTESNRQKVIVLGNLGVGFGNLKATVENKAPASEEVKPADPTELLFKAASNCCSQVLDRVCCMCFIRTCSHMNNQCAILLTQVCAALACFECINCCFELFSG >ONI15347 pep chromosome:Prunus_persica_NCBIv2:G3:2776564:2781983:-1 gene:PRUPE_3G038500 transcript:ONI15347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFSSCVEYGLGLSKRVFYGKESVPDPSAMTRSSESYLPEAPMVYAVVPDPSVVDNPDIPSYQPYVHGMCEPPALIPLHMHGIAMEIESYLDTAFVTVNGTWRVHCVSAGKRCDCRVAVPMGDKGSLLGVEAEITGRSYSTQLITAEDTTNKEKVAKDGYFLKRQIYTLKVPQIDGGSFLSFKIRWSQKLLYHAGQFSLSIPFSFPACVNPVSKKNVKGEKILLNVNTGIDAEVLCQSTSHPLKEVRRQSGRLSFSYEAEVSSWSSAGFSFSYTVCSSDLFGGVLLQSPSLRDFDDREMFCFYLFPGNSQTRKVFKKEVIFIIDISGSMLGDPLENAKNALLASLSNLNRDDTFNIIAFNGEVHLFSASMELATNEAMLKAKEWASTNLTANGGTNILLPLKQLLAKTSDSIPFIFLITDGAVEDEREICTIMKGYLTSAGSVCPRISTFGIGLYCNHYFLQMLAQIGRGYYDAAYDADSIDYKMQRLFTSASSVILANITIDALECLDSLELFPSHIPDLSSGSPLIISGRYEGSFPDSIKVRGTLADMSNFVIDLKVQRSKEFPLDRVLARRHIDMLTAHAWLLGSKELEEKVSKMSKQTGVPSEYTCMILVQSLQTDNGKRVPESVTIQGVYNKFTQLNKTESNRQKVIVLGNLGVGFGNLKATVENKAPASEEVKPADPTELLFKAASNCCSQVLDRVCCMCFIRTCSHMNNQCAILLTQVCAALACFECINCCFELFSG >ONI15349 pep chromosome:Prunus_persica_NCBIv2:G3:2777043:2781800:-1 gene:PRUPE_3G038500 transcript:ONI15349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFSSCVEYGLGLSKRVFYGKESVPDPSAMTRSSESYLPEAPMVYAVVPDPSVVDNPDIPSYQPYVHGMCEPPALIPLHMHGIAMEIESYLDTAFVTVNGTWRVHCVSAGKRCDCRVAVPMGDKGSLLGVEAEITGRSYSTQLITAEDTTNKEKVAKDGYFLKRQIYTLKVPQIDGGSFLSFKIRWSQKLLYHAGQFSLSIPFSFPACVNPVSKKNVKGEKILLNVNTGIDAEVLCQSTSHPLKEVRRQSGRLSFSYEAEVSSWSSAGFSFSYTVCSSDLFGGVLLQSPSLRDFDDREMFCFYLFPGNSQTRKVFKKEVIFIIDISGSMLGDPLENAKNALLASLSNLNRDDTFNIIAFNGEVHLFSASMELATNEAMLKAKEWASTNLTANGGTNILLPLKQAMQLLAKTSDSIPFIFLITDGAVEDEREICTIMKGYLTSAGSVCPRISTFGIGLYCNHYFLQMLAQIGRGYYDAAYDADSIDYKMQRLFTSASSVILANITIDALECLDSLELFPSHIPDLSSGSPLIISGRYEGSFPDSIKVRGTLADMSNFVIDLKVQRSKEFPLDRVLARRHIDMLTAHAWLLGSKELEEKVSKMSKQTGVPSEYTCMILVQSLQTDNGKRVPESVTIQG >ONI16032 pep chromosome:Prunus_persica_NCBIv2:G3:5490339:5492274:1 gene:PRUPE_3G074900 transcript:ONI16032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVFLMLMLKNIKHILFYEVDCWSIKFTSKAKKSIRNMSPANEQQTPAGLNYDDTKLTLGLPGSGSKRGFSETIDLSLGSSSSSSSRSGVECCDQDCADGDGAKTHKPPAAKSQVVGWPPVRVARKNLMKTCKYVKVAVDGAPYLRKVDLEMYNSYQQLLGALEDMFSFLTIRMYLISSYLF >ONI16031 pep chromosome:Prunus_persica_NCBIv2:G3:5490339:5492764:1 gene:PRUPE_3G074900 transcript:ONI16031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVFLMLMLKNIKHILFYEVDCWSIKFTSKAKKSIRNMSPANEQQTPAGLNYDDTKLTLGLPGSGSKRGFSETIDLSLGSSSSSSSRSGVECCDQDCADGDGAKTHKPPAAKSQVVGWPPVRVARKNLMKTCKYVKVAVDGAPYLRKVDLEMYNSYQQLLGALEDMFSFLTIRNYLNERKLMDPANGVEYVPTYEDRDGDWMLVGDVPWKMFVESCKRLRLMKSSEAVGLAPRTPPKSTSTS >ONI18128 pep chromosome:Prunus_persica_NCBIv2:G3:20812160:20815893:1 gene:PRUPE_3G198400 transcript:ONI18128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPSNNIWIRRQQCPCGDWKCYIQYEGDDLGSVSSQHVKSETTPSSSSSEAVFTPYVGQIFKTDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRERKSVRCGCDAKLYLTKEIVDGVMQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEVDQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLNEKRENDMLELLEACKAMTGRDPDFVYDYTIDENEKVENIAWSFGDSIRAYTIFGDVVAFDTSYRSVTYGLLLGVWFGIDNHGKAIFLGCVLLQDESSHSFAWALQAFMRFMRGRHPQTVLTDIDSGLRDAIARELPNTKHVLCIWHILSKISSWFSLPLGSQYENFKTEFDMLSQLESVEDFEHQWNILVARFGLVSDKHIALLYSYRASWTFSYIRSYFLARTLTAEFSLSLESFLKRILNGQTCLQVFFEQVSIAANLENQTREGMQYMHVKTGMPLEEHARNILTPYAFNVLQHEIVLSVQYATTEMANGSYLVRHYKKLEGECLVIWMPDDEQIHCSCKEFEHSGILCRHSLRVLVVKNYFQLPEKYFLLRWRVESSLVSVDDQNAQISSDDCAQAFHSLTASLLTESLISKDRFNYVHNELTSLLEHVRNMPVIDEYAANAAANNISES >ONI18129 pep chromosome:Prunus_persica_NCBIv2:G3:20812146:20815669:1 gene:PRUPE_3G198400 transcript:ONI18129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPSNNIWIRRQQCPCGDWKCYIQYEGDDLGSVSSQHVKSETTPSSSSSEAVFTPYVGQIFKTDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRERKSVRCGCDAKLYLTKEIVDGVMQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEVDQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLNEKRENDMLELLEACKAMTGRDPDFVYDYTIDENEKVENIAWSFGDSIRAYTIFGDVVAFDTSYRSVTYGLLLGVWFGIDNHGKAIFLGCVLLQDESSHSFAWALQAFMRFMRGRHPQTVLTDIDSGLRDAIARELPNTKHVLCIWHILSKISSWFSLPLGSQYENFKTEFDMLSQLESVEDFEHQWNILVARFGLVSDKHIALLYSYRASWTFSYIRSYFLARTLTAEFSLSLESFLKRILNGQTCLQVFFEQVSIAANLENQTREGMQYMHVKTGMPLEEHARNILTPYAFNVLQHEIVLSVQYATTEMANGSYLVRHYKKLEGECLVIWMPDDEQIHCSCKEFEHSGILCRHSLRVLVVKNYFQLPEKYFLLRWRVESSLVSVDDQNAQISSDDCAQAFHSLTASLLTESLISKDRFNYVHNELTSLLEHVRNMPVIDEYAANAAANNISES >ONI18130 pep chromosome:Prunus_persica_NCBIv2:G3:20812194:20815889:1 gene:PRUPE_3G198400 transcript:ONI18130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPSNNIWIRRQQCPCGDWKCYIQYEGDDLGSVSSQHVKSETTPSSSSSEAVFTPYVGQIFKTDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRERKSVRCGCDAKLYLTKEIVDGVMQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEVDQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLNEKRENDMLELLEACKAMTGRDPDFVYDYTIDENEKVENIAWSFGDSIRAYTIFGDVVAFDTSYRSVTYGLLLGVWFGIDNHGKAIFLGCVLLQDESSHSFAWALQAFMRFMRGRHPQTVLTDIDSGLRDAIARELPNTKHVLCIWHILSKISSWFSLPLGSQYENFKTEFDMLSQLESVEDFEHQWNILVARFGLVSDKHIALLYSYRASWTFSYIRSYFLARTLTAEFSLSLESFLKRILNGQTCLQVFFEQVSIAANLENQTREGMQYMHVKTGMPLEEHARNILTPYAFNVLQHEIVLSVQYATTEMANGSYLVRHYKKLEGECLVIWMPDDEQIHCSCKEFEHSGILCRHSLRVLVVKNYFQLPEKYFLLRWRVESSLVSVDDQNAQISSDDCAQAFHSLTASLLTESLISKDRFNYVHNELTSLLEHVRNMPVIDEYAANAAANNISES >ONI18131 pep chromosome:Prunus_persica_NCBIv2:G3:20812162:20815669:1 gene:PRUPE_3G198400 transcript:ONI18131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPSNNIWIRRQQCPCGDWKCYIQYEGDDLGSVSSQHVKSETTPSSSSSEAVFTPYVGQIFKTDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRERKSVRCGCDAKLYLTKEIVDGVMQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEVDQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLNEKRENDMLELLEACKAMTGRDPDFVYDYTIDENEKVENIAWSFGDSIRAYTIFGDVVAFDTSYRSVTYGLLLGVWFGIDNHGKAIFLGCVLLQDESSHSFAWALQAFMRFMRGRHPQTVLTDIDSGLRDAIARELPNTKHVLCIWHILSKISSWFSLPLGSQYENFKTEFDMLSQLESVEDFEHQWNILVARFGLVSDKHIALLYSYRASWTFSYIRSYFLARTLTAEFSLSLESFLKRILNGQTCLQVFFEQVSIAANLENQTREGMQYMHVKTGMPLEEHARNILTPYAFNVLQHEIVLSVQYATTEMANGSYLVRHYKKLEGECLVIWMPDDEQIHCSCKEFEHSGILCRHSLRVLVVKNYFQLPEKYFLLRWRVESSLVSVDDQNAQISSDDCAQAFHSLTASLLTESLISKDRFNYVHNELTSLLEHVRNMPVIDEYAANAAANNISES >ONI18140 pep chromosome:Prunus_persica_NCBIv2:G3:20841605:20843966:-1 gene:PRUPE_3G199000 transcript:ONI18140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFPFRQFSSLPNSIAKEPTFTNPTTCGKFGKRNWNPLPIPHRTIPEPKGQDLDFVNVANSHLIHSDWAKLNSLSNGLTAFRVKHILLKLKQDYVLSLEFFNWVAAQNPTSLTLETHSMILHILTKYRKFKSAESILRKVLVSGSIDLPSKLFEAILYSYRLCDSSPRVFDSLFKTFAHMKKFRNATHIFCQMKDYGFFPTVESCNAYLSSLLDLHRADIALVFYREIQRCRISPNVYTLNMVISAYCKLGKFENAVEVLEKMDSMGFSPTVVSYNTLIAGHCDKGLLSSALKFKNLMAKNGLHPSVVTFNSLIDGFCKEGKLKEANRIFNEMKAVNVAPDTVTYNTLIKGYSQEGNSEMGNRLFEEMSRNQVNATIVTYNALILGLCKEGKTKKAAHLVKELDRKRFVPNASTFSALIEGQCVRKNSDHAFKLCKSMIRSGYHPDEHTFNMLISSFCNNRDFDGAVEVLKEMFDRSFALDSSILSDLCQGLCRCGNEKMVKLLCSEMEARRLIPQGFDMAKILSPVVVEEY >ONI18141 pep chromosome:Prunus_persica_NCBIv2:G3:20841662:20843931:-1 gene:PRUPE_3G199000 transcript:ONI18141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFPFRQFSSLPNSIAKEPTFTNPTTCGKFGKRNWNPLPIPHRTIPEPKGQDLDFVNVANSHLIHSDWAKLNSLSNGLTAFRVKHILLKLKQDYVLSLEFFNWVAAQNPTSLTLETHSMILHILTKYRKFKSAESILRKVLVSGSIDLPSKLFEAILYSYRLCDSSPRVFDSLFKTFAHMKKFRNATHIFCQMKDYGFFPTVESCNAYLSSLLDLHRADIALVFYREIQRCRISPNVYTLNMVISAYCKLGKFENAVEVLEKMDSMGFSPTVVSYNTLIAGHCDKGLLSSALKFKNLMAKNGLHPSVVTFNSLIDGFCKEGKLKEANRIFNEMKAVNVAPDTVTYNTLIKGYSQEGNSEMGNRLFEEMSRNQVNATIVTYNALILGLCKEGKTKKAAHLVKELDRKRFVPNASTFSALIEGQCVRKNSDHAFKLCKSMIRSGYHPDEHTFNMLISSFCNNRDFDGAVEVLKEMFDRSFALDSSILSDLCQGLCRCGNEKMVKLLCSEMEARRLIPQGFDMAKILSPVVVEEY >ONI16246 pep chromosome:Prunus_persica_NCBIv2:G3:6490639:6492198:-1 gene:PRUPE_3G087600 transcript:ONI16246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTMEHSTAKHYSEGDQSTQRLRDKKLGDLMVNKRRLVEVPYTASLAHTMNTLVANKVLAVPVAAPPGQWIGAGGSMIMEADKHTGTVRKHYIGLATMLDLLAHIAGNEDQLMDEGVHDGFDLDQKMSVPVSSIIGHCLEGLSLWTLNPNTSILDCMEVFSKGIHRALVPVDSHMDNVSGVELVESASSYRMLTQMDVLRFVKEHGTSGSELDNIISSTVSDLGAVTERVFAITDRTKVIDAIKCMRIALLNAVPIVRSSDTDNEEDHKQLVNGRGRQAIGTFSATDLRGCHFATLQTWLPMSALDYTETVLANPLLAAFNNAAGSPTTRELVACRADSSLGEVIDKVVSKHVHRLWVVDQQGLLKGLISLTDIIRVLRLAILSEQNA >ONI16247 pep chromosome:Prunus_persica_NCBIv2:G3:6490758:6492163:-1 gene:PRUPE_3G087600 transcript:ONI16247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTMEHSTAKHYSEGDQSTQRLRDKKLGDLMVNKRRLVEVPYTASLAHTMNTLVANKVLAVPVAAPPGQWIGAGGSMIMEADKHTGTVRKHYIGLATMLDLLAHIAGNEDQLMDEGVHDGFDLDQKMSVPVSSIIGHCLEGLSLWTLNPNTSPNFSILDCMEVFSKGIHRALVPVDSHMDNVSGVELVESASSYRMLTQMDVLRFVKEHGTSGSELDNIISSTVSDLGAVTERVFAITDRTKVIDAIKCMRIALLNAVPIVRSSDTDNEEDHKQLVNGRGRQAIGTFSATDLRGCHFATLQTWLPMSALDYTETVLANPLLAAFNNAAGSPTTRELVACRADSSLGEVIDKVVSKHVHRLWVVDQQGLLKGLISLTDIIRVLRLAILSEQNA >ONI15552 pep chromosome:Prunus_persica_NCBIv2:G3:3489181:3493905:-1 gene:PRUPE_3G049600 transcript:ONI15552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVWAVKLLLFLWLFNVLPSSQGKGNFNEYRYPFIKRASTFPSASSSSSSGHNNVYDYIVVGGGTAGCPLASTLSQKFNVLVLERGGVPFANSNVSFLQNFHIALADTSPTSASQAFISTDGVLNSRARVLGGGTCINAGFYTRASTRFIKSVGWDAKLVNESYPWIEKQIVYQPKLEPWQVALRDSLLDVGISPFNGFTYDHLYGTKVGGTIFDRFGRRHTAAELLSSGNPQKLTVLVYATVQRIVFDKSGKIPKAVGVIFKDEKGNQHEAVLADKPQSEVILSSGAIGSPQMLLLSGIGPKADLEKLKIPVVLDNKFVGKGMADNPMNAVFVPSNKAEKQTLIQTVGITKMGVYIEASSGFSQSKDSIQCHHGIMSAEIGQLSTIPPKQRTPEAVQAYIRRKRNLPHEAFKGGFILEKIANPISKGDLSLVNTNVDDNPSVTFNYFSHPYDLQRCVDGIRVATKVVQSEHFTNYTRCNKQTVEKVLNMSVEANVNLIPKHTNDTKSLEQFCKDTVITIWHYHGGAHVGTVVSPQHKVLGVDRVRVVDSSTFSESPGTNPQATVMMMGRYFGAKILRERLGRAAGI >ONI17539 pep chromosome:Prunus_persica_NCBIv2:G3:18493518:18495335:-1 gene:PRUPE_3G166000 transcript:ONI17539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFKIPRRSLCFLSSPHTHNCYYCLCFSTATTTAWYNQPPTPHNEDPKLSAISDAIKTTTQNSQPLDSSLRKLLPSLTARDVINLINLNPHSLSPLSLLSFFNWLSSHPTFRHNIQSYCTMAHFLCAHQMYPQAQSLLRIVVSRKGKETASSVFASILETRGTHQSNYVFDALMNAYVDCGFVSDACQCFRLLRKHNFRIPFHACGCLLDKMLKLNSPVVAWGFYLEILDSGFPPKVYNFNVLMHKLCKEGEIREAQLVFDEIGKRGLLPTVVSFNTLINGYCKSRNLEECFRLKRDMEESRTRPDVFTYSVLINGLCKELRLDDANLLFDEMCERGLVPNNVTYTTLIDGQCKNGRIDLAMEVYQKMLGIGIKPDVITYNTLINGLCKVGDLKEARKLVEEMNIAGLKPDTITYTTLIDGCCKEGNLQSALEIRKGMIKQGIELDNVAFTALISGLCREGKTLDAERTLREMLNSGMKPDDATYTMIIDGFCKKGDVKMGFKLLKEMQGDGYVPSVVTYNALMNGLCKLGQMKNANMLLDAMINLGVAPDDITYNILLEGHCKHGNPEDFDKLRSGKGLVLDYASYTSLVSEFNKSSKDRRKR >ONI15467 pep chromosome:Prunus_persica_NCBIv2:G3:3146041:3174657:-1 gene:PRUPE_3G044200 transcript:ONI15467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGSFSLESALERFLARCPKLQSSPKFVLLTKKGNALTQDEVVKALVELFVHPNYTIPLMGCFRPIAQKIVDKAVKLLRLVPNLRSNSDRGVVEVGKDRDLNEVENVIEFYSGTGRGLDLHELVCLAFCRALELAPFFWGSIVGYFEFAPPPFERILMGPKVSNLGVEIGTRVLLVVGVSYRLLLVKYDFFSKLQNWSCFLDLVEQSANFDMHNSTSDTVEVIADIRWCGLQIISLILKLSCKATENLGITDEDAFSCLLRWEEFCQDVSFESGGWYVESSRLKGLVSLDGRNVYCQKSCLQSSSQCYDMEPQTKRQRDERSAGDPFVVTSAVKESFKMMLSAVKEKWPVLLYGPTGCGKSALISKLSQDSGNQVLSIHMDDQIDGRTLIGSYVCTEKPGEFKWQPGSLTQAVSNGYWVVFEDIDKAPSDVRSVILPLLEGVNLFATGHGQEIRVPESFRIFSTISTSKLDPSCIAEGGNSLSIFFKVYVSPSTNEDLQSIVKAWYPSLEPLAVKLTETFESINSATLHQTGGFQAGNSASVSYPSRFSLRDLLKWCKRITGLGFSFEGDDLSPYARDCIYQEAVDIFAAFSTSTKNRLTLMQYIARLWDVPSTVSDTLYPPNKPVVQDLLSDLRVGRVSLPRTHTTKRGKKHYKKKPFVEIRSSIHLLERIASSVKWNEPVLLVGETGTGKTTLVQDLAMRLGHKLTVLNLSQQSDVADLLGGYKPMDAKFIYLPLYNEFCDLFSKSFHVQLNPKFIGKLEDALKKEDWERLLKGFEVGVKKFFQKVEEARSLVEESGKKRKKAPVEEQIKAWENFTLKVENASAHGMIFSFVEGAFVTALRNGEWILLDEVNLAPPETLQRVISVLEGEHGSLCLAERGDIHYIDRHPSFRLFACMNPATDAGKRDLPFSLRSRFTEYFVDDVLDAEDLTLFVGQFLGDRKSDLQLVYNIVSFYKIAKKLSEEKLQDGANQKPQYSLRSLYRALEYTTKAERELEFGFPKAIYDGFCMFFLTLLDKSSALVMEETILKYLLGGKVPKEVPYFKYLSDSTINGSSDNIIKYTVTESVEERLRNLARAIWIKKYPVLLQGPTSSGKTSLVQHLAAITGHEFVRINNHEHTDLQEYLGSYITDASGKLVFHEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIHADENFMLFATQNPPGFYGGRKMLSRAFRNRFVEIHVDEIPETELSTILLERRPGISKKMAKQMITVMKELQLKRQMSKVFAGKHGFITPRDLFRWADRFIELGGGSDVDLARDGYYLLAERLRDEGEKCVVREVLEKNFHVKLDEDNLYFQEPVPNLPDGAEVPKSLQNWTKSMRRLYFLVERCYKVREPVLLVGETGVGKTTVCQLLSILLGSKLHILNCHQYTETSDFLGGFYPIRERSRLTSDFKRTIEELLMTEAFNQFHLDYTVSSDIGQASTTLCNLNKMIKDYKQGQILNPDVTKHNLMTLEGIMLKLSEMHQEWQKMFVWLDGPLVQAMRSGDLFLVDEISLADDSVLERLNSVLEPERTLSLAEKGGPDLEKVVAHERFFLLATMNPGGDFGKKELSPALRNRFTEIWVPTIGDRGELESIGLARMTSPKLSSILDPLLNFWEQFNNLKPGRTLTVRDLLSWIDFINVTENNLGSESAFLHGAFLVLLDGLSLGSEISKGNVIDLRNECFKILLKQLKGNVTELEYSKLARIQNYGWGDPDTTEGDSCSDSMQCDNIFGVDPFYIEKGSVSFDAEGFEILAPTTRRNVLRVLRAMQLPKPVLLEGSPGVGKTSLIVALGKFSGHNVVRINLSEQTDMMDLLGSDLPVESDEGMKFAWSDGIFLQALKEGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGYTFKCPSSFRIFACQNPSYQGGGRKGLPKSFLNRFTKVYVDELVEDDYHFICSSLFPSIPIPLLSKLILFNKRLYEDTMVYHKFALEGSPWEFNLRDVIRSCQIIQDAPSESKDYCFLDIVYVQRMRTESDRRKVLQLYEQVFEIKPYINPYPRVHLNSEYLMVGNTAVRRNCVQSSRLPCSTLKILPGIRQSLEATTQCVEHQWMCILIGPASCGKTSLVRLLAQLTGNVLNELHLSSGTDISEILGCFEQYNAFRNFRSVVAEVDSYVKECCSLRLEFSKEAFLHDSKVLITRWFSFVSNVNCDSISCFSSNFLEDRVRFSNSLTLLMEIVEHLKLVVEKNVPSISWSSKELDSVMRTIIKLQEGYEKGPFSVKFEWVTGVLIKAVERGEWIVLENANCCNPTVLDRINSLVEPSGSITINERGVVDGKPVVIQPHPDFRMFLTVNPSYGEVSRAMRNRGVEIFMMQPNWLLDESSRYTCEETELNDVKRFLVLSGVPFAKLVHSMAKSHIYARGEGLRFGVSITYLELARWVQLFKQFLMNGSQPLWSLQTSWKHIYLSTFGEAVGGNVISHVKCTYLSGTELCESDSSLRPSLCLPGGWPTPLKLRELVWHSKQALVKTNCMYLEFLGAKYASYKFQHARSRCNMSLDSTACRYVVTYLRGMGVLNETMVHRASTFLTLISIENTEVDKVLAKVEKKLLFAAKWAIEQATESDLKLYLLWFSWFHSRLQPFCQFFDSFVKSVALSMDHPVWEYTSIRCHDLASLHKVEFEKQLIPLLSLGLVDVIESNEKFKISSEFLHSAVKYVKQLRLTYQEWNNEDSYASKEACYFEEVLKCLRKESNNLDSSSRFHSGKSLLWVHGGHPILPSSSKLFEKQLQILELCESVWPTRTGLFVHVNDPLIGVVSDLRSFVLEGVSMSSCITGKSDSCEDEDHVVQQLDRIYQKLLQLFVKERIKIEETSWSNKIFCGANGSFCCSLCIVALGQAYGYGCWQDVFPLMDSTSFALDMKLLQELSSVILVNNKRLRLDLAKVSSHMKYALKFSLSNSSRPPQMFSPHQKILWILDVWSSVDAVNEKVSSFVLEMWFRWHQSLWMYCPVSVKSFSSTAVYDIPVPDVLIQPVVTATVFQILQSTPAIKDYFVSSLKLRVASSNLWRGSLPGANLPFFLLSAARSLFQQIIYAHEKSFDADQFAKIKSVLEQGSGLVVSHISKSSHHGLKDSVDLFIKPLLQNLYPHCSSKEPGFNHGCAWLRLGILRLKLLLCGDDMDPAMKYHCKNSLLAEKISLLKLEIQVRQKCEYLAGQISTRYSHEKRAQALNKLEAEHKRLQRKIVFRSDYRKFKGLKHECDEFLERVTSDEFFQHVASDTFYKYITCSEILVGSVDAVNLQQILDQGSNWQKMATGFIEQLLSDEYREYTDIVQPVLVALYEIKLGLGLILASIVQKMILTKVELDNANMIMGSICSFMRFPRVSASKSISVNLNTGSSKFPYNLEIPTIFNAEDISLLEKLITFSSGVLSNKMVSVTQLKTTLHRNILVRVSHSVANARLMDYASFMLLDKTYSEITDHWMSMKIQSRNKQDYASLQFKFKPRAFKLESIIDLDISALGKTLANQSFLDWKEFISVDQHIEREEAPEEQEDLDGELKFMEDSIVKDVVKTHNQLFGSNNLVLAPGAFHVNDLDRILSFTDSHTIGVGMVRGLGGSFLSSLDAILVPENLFRICVEHEWKFVSSDTSARKYNIYKDPNAPKMYEMVNLLTALKQQIHSLLNEYEEHHELQRILDSVEMLLNIPMSTSLAKALSGLQFLINKLRLLQENGSRFAFSDQVKPICDLVLLWQRMELESWPALLDEVQDRYEINAEKLWFSLYSVLRHRLSSDVVEYKNSTTESLEEFIHSSSIGEFRKRLQLLFAFLGQINTGISLQVYSRYDLKTMIYLNIPTT >ONI15468 pep chromosome:Prunus_persica_NCBIv2:G3:3146061:3174482:-1 gene:PRUPE_3G044200 transcript:ONI15468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGSFSLESALERFLARCPKLQSSPKFVLLTKKGNALTQDEVVKALVELFVHPNYTIPLMGCFRPIAQKIVDKAVKLLRLVPNLRSNSDRGVVEVGKDRDLNEVENVIEFYSGTGRGLDLHELVCLAFCRALELAPFFWGSIVGYFEFAPPPFERILMGPKVSNLGVEIGTRVLLVVGVSYRLLLVKYDFFSKLQNWSCFLDLVEQSANFDMHNSTSDTVEVIADIRWCGLQIISLILKLSCKATENLGITDEDAFSCLLRWEEFCQDVSFESGGWYVESSRLKGLVSLDGRNVYCQKSCLQSSSQCYDMEPQTKRQRDERSAGDPFVVTSAVKESFKMMLSAVKEKWPVLLYGPTGCGKSALISKLSQDSGNQVLSIHMDDQIDGRTLIGSYVCTEKPGEFKWQPGSLTQAVSNGYWVVFEDIDKAPSDVRSVILPLLEGVNLFATGHGQEIRVPESFRIFSTISTSKLDPSCIAEAGGNSLSIFFKVYVSPSTNEDLQSIVKAWYPSLEPLAVKLTETFESINSATLHQTGGFQAGNSASVSYPSRFSLRDLLKWCKRITGLGFSFEGDDLSPYARDCIYQEAVDIFAAFSTSTKNRLTLMQYIARLWDVPSTVSDTLYPPNKPVVQDLLSDLRVGRVSLPRTHTTKRGKKHYKKKPFVEIRSSIHLLERIASSVKWNEPVLLVGETGTGKTTLVQDLAMRLGHKLTVLNLSQQSDVADLLGGYKPMDAKFIYLPLYNEFCDLFSKSFHVQLNPKFIGKLEDALKKEDWERLLKGFEVGVKKFFQKVEEARSLVEESGKKRKKAPVEEQIKAWENFTLKVENASAHGMIFSFVEGAFVTALRNGEWILLDEVNLAPPETLQRVISVLEGEHGSLCLAERGDIHYIDRHPSFRLFACMNPATDAGKRDLPFSLRSRFTEYFVDDVLDAEDLTLFVGQFLGDRKSDLQLVYNIVSFYKIAKKLSEEKLQDGANQKPQYSLRSLYRALEYTTKAERELEFGFPKAIYDGFCMFFLTLLDKSSALVMEETILKYLLGGKVPKEVPYFKYLSDSTINGSSDNIIKYTVTESVEERLRNLARAIWIKKYPVLLQGPTSSGKTSLVQHLAAITGHEFVRINNHEHTDLQEYLGSYITDASGKLVFHEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIHADENFMLFATQNPPGFYGGRKMLSRAFRNRFVEIHVDEIPETELSTILLERRPGISKKMAKQMITVMKELQLKRQMSKVFAGKHGFITPRDLFRWADRFIELGGGSDVDLARDGYYLLAERLRDEGEKCVVREVLEKNFHVKLDEDNLYFQEPVPNLPDGAEVPKSLQNWTKSMRRLYFLVERCYKVREPVLLVGETGVGKTTVCQLLSILLGSKLHILNCHQYTETSDFLGGFYPIRERSRLTSDFKRTIEELLMTEAFNQFHLDYTVSSDIGQASTTLCNLNKMIKDYKQGQILNPDVTKHNLMTLEGIMLKLSEMHQEWQKMFVWLDGPLVQAMRSGDLFLVDEISLADDSVLERLNSVLEPERTLSLAEKGGPDLEKVVAHERFFLLATMNPGGDFGKKELSPALRNRFTEIWVPTIGDRGELESIGLARMTSPKLSSILDPLLNFWEQFNNLKPGRTLTVRDLLSWIDFINVTENNLGSESAFLHGAFLVLLDGLSLGSEISKGNVIDLRNECFKILLKQLKGNVTELEYSKLARIQNYGWGDPDTTEGDSCSDSMQCDNIFGVDPFYIEKGSVSFDAEGFEILAPTTRRNVLRVLRAMQLPKPVLLEGSPGVGKTSLIVALGKFSGHNVVRINLSEQTDMMDLLGSDLPVESDEGMKFAWSDGIFLQALKEGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGYTFKCPSSFRIFACQNPSYQGGGRKGLPKSFLNRFTKVYVDELVEDDYHFICSSLFPSIPIPLLSKLILFNKRLYEDTMVYHKFALEGSPWEFNLRDVIRSCQIIQDAPSESKDYCFLDIVYVQRMRTESDRRKVLQLYEQVFEIKPYINPYPRVHLNSEYLMVGNTAVRRNCVQSSRLPCSTLKILPGIRQSLEATTQCVEHQWMCILIGPASCGKTSLVRLLAQLTGNVLNELHLSSGTDISEILGCFEQYNAFRNFRSVVAEVDSYVKECCSLRLEFSKEAFLHDSKVLITRWFSFVSNVNCDSISCFSSNFLEDRVRFSNSLTLLMEIVEHLKLVVEKNVPSISWSSKELDSVMRTIIKLQEGYEKGPFSVKFEWVTGVLIKAVERGEWIVLENANCCNPTVLDRINSLVEPSGSITINERGVVDGKPVVIQPHPDFRMFLTVNPSYGEVSRAMRNRGVEIFMMQPNWLLDESSRYTCEETELNDVKRFLVLSGVPFAKLVHSMAKSHIYARGEGLRFGVSITYLELARWVQLFKQFLMNGSQPLWSLQTSWKHIYLSTFGEAVGGNVISHVKCTYLSGTELCESDSSLRPSLCLPGGWPTPLKLRELVWHSKQALVKTNCMYLEFLGAKYASYKFQHARSRCNMSLDSTACRYVVTYLRGMGVLNETMVHRASTFLTLISIENTEVDKVLAKVEKKLLFAAKWAIEQATESDLKLYLLWFSWFHSRLQPFCQFFDSFVKSVALSMDHPVWEYTSIRCHDLASLHKVEFEKQLIPLLSLGLVDVIESNEKFKISSEFLHSAVKYVKQLRLTYQEWNNEDSYASKEACYFEEVLKCLRKESNNLDSSSRFHSGKSLLWVHGGHPILPSSSKLFEKQLQILELCESVWPTRTGLFVHVNDPLIGVVSDLRSFVLEGVSMSSCITGKSDSCEDEDHVVQQLDRIYQKLLQLFVKERIKIEETSWSNKIFCGANGSFCCSLCIVALGQAYGYGCWQDVFPLMDSTSFALDMKLLQELSSVILVNNKRLRLDLAKVSSHMKYALKFSLSNSSRPPQMFSPHQKILWILDVWSSVDAVNEKVSSFVLEMWFRWHQSLWMYCPVSVKSFSSTAVYDIPVPDVLIQPVVTATVFQILQSTPAIKDYFVSSLKLRVASSNLWRGSLPGANLPFFLLSAARSLFQQIIYAHEKSFDADQFAKIKSVLEQGSGLVVSHISKSSHHGLKDSVDLFIKPLLQNLYPHCSSKEPGFNHGCAWLRLGILRLKLLLCGDDMDPAMKYHCKNSLLAEKISLLKLEIQVRQKCEYLAGQISTRYSHEKRAQALNKLEAEHKRLQRKIVFRSDYRKFKGLKHECDEFLERVTSDEFFQHVASDTFYKYITCSEILVGSVDAVNLQQILDQGSNWQKMATGFIEQLLSDEYREYTDIVQPVLVALYEIKLGLGLILASIVQKMILTKVELDNANMIMGSICSFMRFPRVSASKSISVNLNTGSSKFPYNLEIPTIFNAEDISLLEKLITFSSGVLSNKMVSVTQLKTTLHRNILVRVSHSVANARLMDYASFMLLDKTYSEITDHWMSMKIQSRNKQDYASLQFKFKPRAFKLESIIDLDISALGKTLANQSFLDWKEFISVDQHIEREEAPEEQEDLDGELKFMEDSIVKDVVKTHNQLFGSNNLVLAPGAFHVNDLDRILSFTDSHTIGVGMVRGLGGSFLSSLDAILVPENLFRICVEHEWKFVSSDTSARKYNIYKDPNAPKMYEMVNLLTALKQQIHSLLNEYEEHHELQRILDSVEMLLNIPMSTSLAKALSGLQFLINKLRLLQENGSRFAFSDQVKPICDLVLLWQRMELESWPALLDEVQDRYEINAEKLWFSLYSVLRHRLSSDVVEYKNSTTESLEEFIHSSSIGEFRKRLQLLFAFLGQINTGISLQVYSRYDLKTMIYLNIPTT >ONI15460 pep chromosome:Prunus_persica_NCBIv2:G3:3137898:3174657:-1 gene:PRUPE_3G044200 transcript:ONI15460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGSFSLESALERFLARCPKLQSSPKFVLLTKKGNALTQDEVVKALVELFVHPNYTIPLMGCFRPIAQKIVDKAVKLLRLVPNLRSNSDRGVVEVGKDRDLNEVENVIEFYSGTGRGLDLHELVCLAFCRALELAPFFWGSIVGYFEFAPPPFERILMGPKVSNLGVEIGTRVLLVVGVSYRLLLVKYDFFSKLQNWSCFLDLVEQSANFDMHNSTSDTVEVIADIRWCGLQIISLILKLSCKATENLGITDEDAFSCLLRWEEFCQDVSFESGGWYVESSRLKGLVSLDGRNVYCQKSCLQSSSQCYDMEPQTKRQRDERSAGDPFVVTSAVKESFKMMLSAVKEKWPVLLYGPTGCGKSALISKLSQDSGNQVLSIHMDDQIDGRTLIGSYVCTEKPGEFKWQPGSLTQAVSNGYWVVFEDIDKAPSDVRSVILPLLEGVNLFATGHGQEIRVPESFRIFSTISTSKLDPSCIAEGGNSLSIFFKVYVSPSTNEDLQSIVKAWYPSLEPLAVKLTETFESINSATLHQTGGFQAGNSASVSYPSRFSLRDLLKWCKRITGLGFSFEGDDLSPYARDCIYQEAVDIFAAFSTSTKNRLTLMQYIARLWDVPSTVSDTLYPPNKPVVQDLLSDLRVGRVSLPRTHTTKRGKKHYKKKPFVEIRSSIHLLERIASSVKWNEPVLLVGETGTGKTTLVQDLAMRLGHKLTVLNLSQQSDVADLLGGYKPMDAKFIYLPLYNEFCDLFSKSFHVQLNPKFIGKLEDALKKEDWERLLKGFEVGVKKFFQKVEEARSLVEESGKKRKKAPVEEQIKAWENFTLKVENASAHGMIFSFVEGAFVTALRNGEWILLDEVNLAPPETLQRVISVLEGEHGSLCLAERGDIHYIDRHPSFRLFACMNPATDAGKRDLPFSLRSRFTEYFVDDVLDAEDLTLFVGQFLGDRKSDLQLVYNIVSFYKIAKKLSEEKLQDGANQKPQYSLRSLYRALEYTTKAERELEFGFPKAIYDGFCMFFLTLLDKSSALVMEETILKYLLGGKVPKEVPYFKYLSDSTINGSSDNIIKYTVTESVEERLRNLARAIWIKKYPVLLQGPTSSGKTSLVQHLAAITGHEFVRINNHEHTDLQEYLGSYITDASGKLVFHEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIHADENFMLFATQNPPGFYGGRKMLSRAFRNRFVEIHVDEIPETELSTILLERRPGISKKMAKQMITVMKELQLKRQMSKVFAGKHGFITPRDLFRWADRFIELGGGSDVDLARDGYYLLAERLRDEGEKCVVREVLEKNFHVKLDEDNLYFQEPVPNLPDGAEVPKSLQNWTKSMRRLYFLVERCYKVREPVLLVGETGVGKTTVCQLLSILLGSKLHILNCHQYTETSDFLGGFYPIRERSRLTSDFKRTIEELLMTEAFNQFHLDYTVSSDIGQASTTLCNLNKMIKDYKQGQILNPDVTKHNLMTLEGIMLKLSEMHQEWQKMFVWLDGPLVQAMRSGDLFLVDEISLADDSVLERLNSVLEPERTLSLAEKGGPDLEKVVAHERFFLLATMNPGGDFGKKELSPALRNRFTEIWVPTIGDRGELESIGLARMTSPKLSSILDPLLNFWEQFNNLKPGRTLTVRDLLSWIDFINVTENNLGSESAFLHGAFLVLLDGLSLGSEISKGNVIDLRNECFKILLKQLKGNVTELEYSKLARIQNYGWGDPDTTEGDSCSDSMQCDNIFGVDPFYIEKGSVSFDAEGFEILAPTTRRNVLRVLRAMQLPKPVLLEGSPGVGKTSLIVALGKFSGHNVVRINLSEQTDMMDLLGSDLPVESDEGMKFAWSDGIFLQALKEGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGYTFKCPSSFRIFACQNPSYQGGGRKGLPKSFLNRFTKVYVDELVEDDYHFICSSLFPSIPIPLLSKLILFNKRLYEDTMVYHKFALEGSPWEFNLRDVIRSCQIIQDAPSESKDYCFLDIVYVQRMRTESDRRKVLQLYEQVFEIKPYINPYPRVHLNSEYLMVGNTAVRRNCVQSSRLPCSTLKILPGIRQSLEATTQCVEHQWMCILIGPASCGKTSLVRLLAQLTGNVLNELHLSSGTDISEILGCFEQYNAFRNFRSVVAEVDSYVKECCSLRLEFSKEAFLHDSKVLITRWFSFVSNVNCDSISCFSSNFLEDRVRFSNSLTLLMEIVEHLKLVVEKNVPSISWSSKELDSVMRTIIKLQEGYEKGPFSVKFEWVTGVLIKAVERGEWIVLENANCCNPTVLDRINSLVEPSGSITINERGVVDGKPVVIQPHPDFRMFLTVNPSYGEVSRAMRNRGVEIFMMQPNWLLDESSRYTCEETELNDVKRFLVLSGVPFAKLVHSMAKSHIYARGEGLRFGVSITYLELARWVQLFKQFLMNGSQPLWSLQTSWKHIYLSTFGEAVGGNVISHVKCTYLSGTELCESDSSLRPSLCLPGGWPTPLKLRELVWHSKQALVKTNCMYLEFLGAKYASYKFQHARSRCNMSLDSTACRYVVTYLRGMGVLNETMVHRASTFLTLISIENTEVDKVLAKVEKKLLFAAKWAIEQATESDLKLYLLWFSWFHSRLQPFCQFFDSFVKSVALSMDHPVWEYTSIRCHDLASLHKVEFEKQLIPLLSLGLVDVIESNEKFKISSEFLHSAVKYVKQLRLTYQEWNNEDSYASKEACYFEEVLKCLRKESNNLDSSSRFHSGKSLLWVHGGHPILPSSSKLFEKQLQILELLNDPLIGVVSDLRSFVLEGVSMSSCITGKSDSCEDEDHVVQQLDRIYQKLLQLFVKERIKIEETSWSNKIFCGANGSFCCSLCIVALGQAYGYGCWQDVFPLMDSTSFALDMKLLQELSSVILVNNKRLRLDLAKVSSHMKYALKFSLSNSSRPPQMFSPHQKILWILDVWSSVDAVNEKVSSFVLEMWFRWHQSLWMYCPVSVKSFSSTAVYDIPVPDVLIQPVVTATVFQILQSTPAIKDYFVSSLKLRVASSNLWRGSLPGANLPFFLLSAARSLFQQIIYAHEKSFDADQFAKIKSVLEQGSGLVVSHISKSSHHGLKDSVDLFIKPLLQNLYPHCSSKEPGFNHGCAWLRLGILRLKLLLCGDDMDPAMKYHCKNSLLAEKISLLKLEIQVRQKCEYLAGQISTRYSHEKRAQALNKLEAEHKRLQRKIVFRSDYRKFKGLKHECDEFLERVTSDEFFQHVASDTFYKYITCSEILVGSVDAVNLQQILDQGSNWQKMATGFIEQLLSDEYREYTDIVQPVLVALYEIKLGLGLILASIVQKMILTKVELDNANMIMGSICSFMRFPRVSASKSISVNLNTGSSKFPYNLEIPTIFNAEDISLLEKLITFSSGVLSNKMVSVTQLKTTLHRNILVRVSHSVANARLMDYASFMLLDKTYSEITDHWMSMKIQSRNKQDYASLQFKFKPRAFKLESIIDLDISALGKTLANQSFLDWKEFISVDQHIEREEAPEEQEDLDGELKFMEDSIVKDVVKTHNQLFGSNNLVLAPGAFHVNDLDRILSFTDSHTIGVGMVRGLGGSFLSSLDAILVPENLFRICVEHEWKFVSSDTSARKYNIYKDPNAPKMYEMVNLLTALKQQIHSLLNEYEEHHELQRILDSVEMLLNIPMSTSLAKALSGLQFLINKLRLLQENGSRFAFSDQVKPICDLVLLWQRMELESWPALLDEVQDRYEINAEKLWFSLYSVLRHRLSSDVVEYKNSTTESLEEFIHSSSIGEFRKRLQLLFAFLGQINTGISLQVYSSGCEKKNVKILYNAFGYYAQFLPAILAHMDSSRRDIEMELKRVLKLCQWDHRESAIENFTSTRQKLRKIIKKYTVVLEQPVIVFLGQHIVKGAESQPQQGQKFFVDDVNRKIGTMDAPFDLTVFNDEDRCMWYTGWIKEADAALKKLRRDRTLEFGYSESKGANSLCSDVAGILRQCSASLSAYPLYTDEWHAVWHTLQNIFDGAVDCCDLWKDASKSQKKGRAFSYLLNLLKSSGLSRDIFTEDEVKSWWFVHPSYDVQHLLLTQSRLPYGDSDAALPLPHQDLVTEWKTTNEYYFSSIASVLFTHKQIGKPDPFLHQLIKIQKNQHKAANKFAEQLRDLKECISTLENLDSTDSEDKSGNCSIGQKQHATFKYMWQQKQLFDSLCATSHEELLLLKTFDNTHLKGCQTVKNEGNEFLASIEKFIPVLQKSKESLDNYLLGPDRAIVTLAGSSQRVLISKDMEQLVSQNFEVLKEFEEHLLAFHAKDVDKSSVEDILLGHFVDILEKGRSMEVEFNSVMDEKNVSVGELENAFWEALRSTFEHIVGAMQKLGSPSNDHVHPDKLGQITSWEKVFDSFLKNLSLDDLRDKLLRTIFNAGELVNHCGGNCLSLLLRIEAHFKHLCRCLDILLNFGHALMKELLAMCKTVSLIIHKLANVLALYSKGSGISSEDKEDDATGDISQDKKGTGMGEGVGLNDVSDQITDEDQLLGISEKASEEQDASGEVPSKNDKGIEMEEDFAADTFSVSEDSEDDANEDDADEHLESAMGETGVDGETVDEKLWNKDEDENLNNSNEKYESGNSVNDRDASSRELRAKDDSAAATNEPGELDLNEIDEDNGEIGSQDDLNDVESVEDMNLDKQEAVVDPTGLNPDDLNQNSDETMELDDPEMHDEHAKNEDHEEEQAFSTDETMGEAETEQIDATPERDDASKDHEDNPEINSGLSKDVFELGESDSMRDDVPNTEPSTQPKSDLKASDPRDVAPESNWANSNDIHNELTPMRGLPSTNTSELDMMISEASDNGKNVAEQPKSQLPRQESSSERKTKPNPYRSVGDALKEWEERVRVSVDLQEGDVEPQDEIKNENADEFGYVSEYEKGTAQALGPATSEQIDRNVDDNKSNAGEDDRTTHKDGLADMEIENKKYEAQPSRSRASMLQDKIEDQMHLSGIEKLPGDEYQDIHSRHDVDPESIVEDVVSVKTSYFSDDMHQLSKLSVNDSDMGKAQVAGEFSDDVVGNATVLWRRYEQTTTRLSQELAEQLRLVMEPNRASKLEGDYKTGKRINMKKVIPYMASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDVAIEALVTVCRAMSQLEMGNLAVASFGKKGNIRLLHDFDQPFTGEAGIKMISSLSFKQENTIADEPVVDLLKYLNKKLDEAVARARLPSGWNPLEQLVLIIADGRFHEKENLKQCVRDALARKRMVAFLLLDNPQESIMDLMEASFEGGNIKFSKYMDSFPFPFYIVLRNIEALPRTLADLLRQWFEQACKNTGVA >ONI15466 pep chromosome:Prunus_persica_NCBIv2:G3:3138193:3174482:-1 gene:PRUPE_3G044200 transcript:ONI15466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGSFSLESALERFLARCPKLQSSPKFVLLTKKGNALTQDEVVKALVELFVHPNYTIPLMGCFRPIAQKIVDKAVKLLRLVPNLRSNSDRGVVEVGKDRDLNEVENVIEFYSGTGRGLDLHELVCLAFCRALELAPFFWGSIVGYFEFAPPPFERILMGPKVSNLGVEIGTRVLLVVGVSYRLLLVKYDFFSKLQNWSCFLDLVEQSANFDMHNSTSDTVEVIADIRWCGLQIISLILKLSCKATENLGITDEDAFSCLLRWEEFCQDVSFESGGWYVESSRLKGLVSLDGRNVYCQKSCLQSSSQCYDMEPQTKRQRCATWDERSAGDPFVVTSAVKESFKMMLSAVKEKWPVLLYGPTGCGKSALISKLSQDSGNQVLSIHMDDQIDGRTLIGSYVCTEKPGEFKWQPGSLTQAVSNGYWVVFEDIDKAPSDVRSVILPLLEGVNLFATGHGQEIRVPESFRIFSTISTSKLDPSCIAEAGGNSLSIFFKVYVSPSTNEDLQSIVKAWYPSLEPLAVKLTETFESINSATLHQTGGFQAGNSASVSYPSRFSLRDLLKWCKRITGLGFSFEGDDLSPYARDCIYQEAVDIFAAFSTSTKNRLTLMQYIARLWDVPSTVSDTLYPPNKPVVQDLLSDLRVGRVSLPRTHTTKRGKKHYKKKPFVEIRSSIHLLERIASSVKWNEPVLLVGETGTGKTTLVQDLAMRLGHKLTVLNLSQQSDVADLLGGYKPMDAKFIYLPLYNEFCDLFSKSFHVQLNPKFIGKLEDALKKEDWERLLKGFEVGVKKFFQKVEEARSLVEESGKKRKKAPVEEQIKAWENFTLKVENASAHGMIFSFVEGAFVTALRNGEWILLDEVNLAPPETLQRVISVLEGEHGSLCLAERGDIHYIDRHPSFRLFACMNPATDAGKRDLPFSLRSRFTEYFVDDVLDAEDLTLFVGQFLGDRKSDLQLVYNIVSFYKIAKKLSEEKLQDGANQKPQYSLRSLYRALEYTTKAERELEFGFPKAIYDGFCMFFLTLLDKSSALVMEETILKYLLGGKVPKEVPYFKYLSDSTINGSSDNIIKYTVTESVEERLRNLARAIWIKKYPVLLQGPTSSGKTSLVQHLAAITGHEFVRINNHEHTDLQEYLGSYITDASGKLVFHEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIHADENFMLFATQNPPGFYGGRKMLSRAFRNRFVEIHVDEIPETELSTILLERRPGISKKMAKQMITVMKELQLKRQMSKVFAGKHGFITPRDLFRWADRFIELGGGSDVDLARDGYYLLAERLRDEGEKCVVREVLEKNFHVKLDEDNLYFQEPVPNLPDGAEVPKSLQNWTKSMRRLYFLVERCYKVREPVLLVGETGVGKTTVCQLLSILLGSKLHILNCHQYTETSDFLGGFYPIRERSRLTSDFKRTIEELLMTEAFNQFHLDYTVSSDIGQASTTLCNLNKMIKDYKQGQILNPDVTKHNLMTLEGIMLKLSEMHQEWQKMFVWLDGPLVQAMRSGDLFLVDEISLADDSVLERLNSVLEPERTLSLAEKGGPDLEKVVAHERFFLLATMNPGGDFGKKELSPALRNRFTEIWVPTIGDRGELESIGLARMTSPKLSSILDPLLNFWEQFNNLKPGRTLTVRDLLSWIDFINVTENNLGSESAFLHGAFLVLLDGLSLGSEISKGNVIDLRNECFKILLKQLKGNVTELEYSKLARIQNYGWGDPDTTEGDSCSDSMQCDNIFGVDPFYIEKGSVSFDAEGFEILAPTTRRNVLRVLRAMQLPKPVLLEGSPGVGKTSLIVALGKFSGHNVVRINLSEQTDMMDLLGSDLPVESDEGMKFAWSDGIFLQALKEGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGYTFKCPSSFRIFACQNPSYQGGGRKGLPKSFLNRFTKVYVDELVEDDYHFICSSLFPSIPIPLLSKLILFNKRLYEDTMVYHKFALEGSPWEFNLRDVIRSCQIIQDAPSESKDYCFLDIVYVQRMRTESDRRKVLQLYEQVFEIKPYINPYPRVHLNSEYLMVGNTAVRRNCVQSSRLPCSTLKILPGIRQSLEATTQCVEHQWMCILIGPASCGKTSLVRLLAQLTGNVLNELHLSSGTDISEILGCFEQYNAFRNFRSVVAEVDSYVKECCSLRLEFSKEAFLHDSKVLITRWFSFVSNVNCDSISCFSSNFLEDRVRFSNSLTLLMEIVEHLKLVVEKNVPSISWSSKELDSVMRTIIKLQEGYEKGPFSVKFEWVTGVLIKAVERGEWIVLENANCCNPTVLDRINSLVEPSGSITINERGVVDGKPVVIQPHPDFRMFLTVNPSYGEVSRAMRNRGVEIFMMQPNWLLDESSRYTCEETELNDVKRFLVLSGVPFAKLVHSMAKSHIYARGEGLRFGVSITYLELARWVQLFKQFLMNGSQPLWSLQTSWKHIYLSTFGEAVGGNVISHVKCTYLSGTELCESDSSLRPSLCLPGGWPTPLKLRELVWHSKQALVKTNCMYLEFLGAKYASYKFQHARSRCNMSLDSTACRYVVTYLRGMGVLNETMVHRASTFLTLISIENTEVDKVLAKVEKKLLFAAKWAIEQATESDLKLYLLWFSWFHSRLQPFCQFFDSFVKSVALSMDHPVWEYTSIRCHDLASLHKVEFEKQLIPLLSLGLVDVIESNEKFKISSEFLHSAVKYVKQLRLTYQEWNNEDSYASKEACYFEEVLKCLRKESNNLDSSSRFHSGKSLLWVHGGHPILPSSSKLFEKQLQILELCESVWPTRTGLFVHVNDPLIGVVSDLRSFVLEGVSMSSCITGKSDSCEDEDHVVQQLDRIYQKLLQLFVKERIKIEETSWSNKIFCGANGSFCCSLCIVALGQAYGYGCWQDVFPLMDSTSFALDMKLLQELSSVILVNNKRLRLDLAKVSSHMKYALKFSLSNSSRPPQMFSPHQKILWILDVWSSVDAVNEKVSSFVLEMWFRWHQSLWMYCPVSVKSFSSTAVYDIPVPDVLIQPVVTATVFQILQSTPAIKDYFVSSLKLRVASSNLWRGSLPGANLPFFLLSAARSLFQQIIYAHEKSFDADQFAKIKSVLEQGSGLVVSHISKSSHHGLKDSVDLFIKPLLQNLYPHCSSKEPGFNHGCAWLRLGILRLKLLLCGDDMDPAMKYHCKNSLLAEKISLLKLEIQVRQKCEYLAGQISTRYSHEKRAQALNKLEAEHKRLQRKIVFRSDYRKFKGLKHECDEFLERVTSDEFFQHVASDTFYKYITCSEILVGSVDAVNLQQILDQGSNWQKMATGFIEQLLSDEYREYTDIVQPVLVALYEIKLGLGLILASIVQKMILTKVELDNANMIMGSICSFMRFPRVSASKSISVNLNTGSSKFPYNLEIPTIFNAEDISLLEKLITFSSGVLSNKMVSVTQLKTTLHRNILVRVSHSVANARLMDYASFMLLDKTYSEITDHWMSMKIQSRNKQDYASLQFKFKPRAFKLESIIDLDISALGKTLANQSFLDWKEFISVDQHIEREEAPEEQEDLDGELKFMEDSIVKDVVKTHNQLFGSNNLVLAPGAFHVNDLDRILSFTDSHTIGVGMVRGLGGSFLSSLDAILVPENLFRICVEHEWKFVSSDTSARKYNIYKDPNAPKMYEMVNLLTALKQQIHSLLNEYEEHHELQRILDSVEMLLNIPMSTSLAKALSGLQFLINKLRLLQENGSRFAFSDQVKPICDLVLLWQRMELESWPALLDEVQDRYEINAEKLWFSLYSVLRHRLSSDVVEYKNSTTESLEEFIHSSSIGEFRKRLQLLFAFLGQINTGISLQVYSSGCEKKNVKILYNAFGYYAQFLPAILAHMDSSRRDIEMELKRVLKLCQWDHRESAIENFTSTRQKLRKIIKKYTVVLEQPVIVFLGQHIVKGAESQPQQGQKFFVDDVNRKIGTMDAPFDLTVFNDEDRCMWYTGWIKEADAALKKLRRDRTLEFGYSESKGANSLCSDVAGILRQCSASLSAYPLYTDEWHAVWHTLQNIFDGAVDCCDLWKDASKSQKKGRAFSYLLNLLKSSGLSRDIFTEDEVKSWWFVHPSYDVQHLLLTQSRLPYGDSDAALPLPHQDLVTEWKTTNEYYFSSIASVLFTHKQIGKPDPFLHQLIKIQKNQHKAANKFAEQLRDLKECISTLENLDSTDSEDKSGNCSIGQKQHATFKYMWQQKQLFDSLCATSHEELLLLKTFDNTHLKGCQTVKNEGNEFLASIEKFIPVLQKSKESLDNYLLGPDRAIVTLAGSSQRVLISKDMEQLVSQNFEVLKEFEEHLLAFHAKDVDKSSVEDILLGHFVDILEKGRSMEVEFNSVMDEKNVSVGELENAFWEALRSTFEHIVGAMQKLGSPSNDHVHPDKLGQITSWEKVFDSFLKNLSLDDLRDKLLRTIFNAGELVNHCGGNCLSLLLRIEAHFKHLCRCLDILLNFGHALMKELLAMCKTVSLIIHKLANVLALYSKGSGISSEDKEDDATGDISQDKKGTGMGEGVGLNDVSDQITDEDQLLGISEKASEEQDASGEVPSKNDKGIEMEEDFAADTFSVSEDSEDDANEDDADEHLESAMGETGVDGETVDEKLWNKDEDENLNNSNEKYESGNSVNDRDASSRELRAKDDSAAATNEPGELDLNEIDEDNGEIGSQDDLNDVESVEDMNLDKQEAVVDPTGLNPDDLNQNSDETMELDDPEMHDEHAKNEDHEEEQAFSTDETMGEAETEQIDATPERDDASKDHEDNPEINSGLSKDVFELGESDSMRDDVPNTEPSTQPKSDLKASDPRDVAPESNWANSNDIHNELTPMRGLPSTNTSELDMMISEASDNGKNVAEQPKSQLPRQESSSERKTKPNPYRSVGDALKEWEERVRVSVDLQEGDVEPQDEIKNENADEFGYVSEYEKGTAQALGPATSEQIDRNVDDNKSNAGEDDRTTHKDGLADMEIENKKYEAQPSRSRASMLQDKIEDQMHLSGIEKLPGDEYQDIHSRHDVDPESIVEDVVSVKTSYFSDDMHQLSKLSVNDSDMGKAQVAGEFSDDVVGNATVLWRRYEQTTTRLSQELAEQLRLVMEPNRASKLEGDYKTGKRINMKKVIPYMASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDVAIEALVTVCRAMSQLEMGNLAVASFGKKGNIRLLHDFDQPFTGEAGIKMISSLSFKQENTIADEPVVDLLKYLNKKLDEAVARARLPSGWNPLEQLVLIIADGRFHEKENLKQCVRDALARKRMVAFLLLDNPQESIMDLMEASFEGGNIKFSKYMDSFPFPFYIVLRNIEALPRTLADLLRQWFEQACKNTGVA >ONI15463 pep chromosome:Prunus_persica_NCBIv2:G3:3138193:3174482:-1 gene:PRUPE_3G044200 transcript:ONI15463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGSFSLESALERFLARCPKLQSSPKFVLLTKKGNALTQDEVVKALVELFVHPNYTIPLMGCFRPIAQKIVDKAVKLLRLVPNLRSNSDRGVVEVGKDRDLNEVENVIEFYSGTGRGLDLHELVCLAFCRALELAPFFWGSIVGYFEFAPPPFERILMGPKVSNLGVEIGTRVLLVVGVSYRLLLVKYDFFSKLQNWSCFLDLVEQSANFDMHNSTSDTVEVIADIRWCGLQIISLILKLSCKATENLGITDEDAFSCLLRWEEFCQDVSFESGGWYVESSRLKGLVSLDGRNVYCQKSCLQSSSQCYDMEPQTKRQRDERSAGDPFVVTSAVKESFKMMLSAVKEKWPVLLYGPTGCGKSALISKLSQDSGNQVLSIHMDDQIDGRTLIGSYVCTEKPGEFKWQPGSLTQAVSNGYWVVFEDIDKAPSDVRSVILPLLEGVNLFATGHGQEIRVPESFRIFSTISTSKLDPSCIAEGGNSLSIFFKVYVSPSTNEDLQSIVKAWYPSLEPLAVKLTETFESINSATLHQTGGFQAGNSASVSYPSRFSLRDLLKWCKRITGLGFSFEGDDLSPYARDCIYQEAVDIFAAFSTSTKNRLTLMQYIARLWDVPSTVSDTLYPPNKPVVQDLLSDLRVGRVSLPRTHTTKRGKKHYKKKPFVEIRSSIHLLERIASSVKWNEPVLLVGETGTGKTTLVQDLAMRLGHKLTVLNLSQQSDVADLLGGYKPMDAKFIYLPLYNEFCDLFSKSFHVQLNPKFIGKLEDALKKEDWERLLKGFEVGVKKFFQKVEEARSLVEESGKKRKKAPVEEQIKAWENFTLKVENASAHGMIFSFVEGAFVTALRNGEWILLDEVNLAPPETLQRVISVLEGEHGSLCLAERGDIHYIDRHPSFRLFACMNPATDAGKRDLPFSLRSRFTEYFVDDVLDAEDLTLFVGQFLGDRKSDLQLVYNIVSFYKIAKKLSEEKLQDGANQKPQYSLRSLYRALEYTTKAERELEFGFPKAIYDGFCMFFLTLLDKSSALVMEETILKYLLGGKVPKEVPYFKYLSDSTINGSSDNIIKYTVTESVEERLRNLARAIWIKKYPVLLQGPTSSGKTSLVQHLAAITGHEFVRINNHEHTDLQEYLGSYITDASGKLVFHEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIHADENFMLFATQNPPGFYGGRKMLSRAFRNRFVEIHVDEIPETELSTILLERRPGISKKMAKQMITVMKELQLKRQMSKVFAGKHGFITPRDLFRWADRFIELGGGSDVDLARDGYYLLAERLRDEGEKCVVREVLEKNFHVKLDEDNLYFQEPVPNLPDGAEVPKSLQNWTKSMRRLYFLVERCYKVREPVLLVGETGVGKTTVCQLLSILLGSKLHILNCHQYTETSDFLGGFYPIRERSRLTSDFKRTIEELLMTEAFNQFHLDYTVSSDIGQASTTLCNLNKMIKDYKQGQILNPDVTKHNLMTLEGIMLKLSEMHQEWQKMFVWLDGPLVQAMRSGDLFLVDEISLADDSVLERLNSVLEPERTLSLAEKGGPDLEKVVAHERFFLLATMNPGGDFGKKELSPALRNRFTEIWVPTIGDRGELESIGLARMTSPKLSSILDPLLNFWEQFNNLKPGRTLTVRDLLSWIDFINVTENNLGSESAFLHGAFLVLLDGLSLGSEISKGNVIDLRNECFKILLKQLKGNVTELEYSKLARIQNYGWGDPDTTEGDSCSDSMQCDNIFGVDPFYIEKGSVSFDAEGFEILAPTTRRNVLRVLRAMQLPKPVLLEGSPGVGKTSLIVALGKFSGHNVVRINLSEQTDMMDLLGSDLPVESDEGMKFAWSDGIFLQALKEGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGYTFKCPSSFRIFACQNPSYQGGGRKGLPKSFLNRFTKVYVDELVEDDYHFICSSLFPSIPIPLLSKLILFNKRLYEDTMVYHKFALEGSPWEFNLRDVIRSCQIIQDAPSESKDYCFLDIVYVQRMRTESDRRKVLQLYEQVFEIKPYINPYPRVHLNSEYLMVGNTAVRRNCVQSSRLPCSTLKILPGIRQSLEATTQCVEHQWMCILIGPASCGKTSLVRLLAQLTGNVLNELHLSSGTDISEILGCFEQYNAFRNFRSVVAEVDSYVKECCSLRLEFSKEAFLHDSKVLITRWFSFVSNVNCDSISCFSSNFLEDRVRFSNSLTLLMEIVEHLKLVVEKNVPSISWSSKELDSVMRTIIKLQEGYEKGPFSVKFEWVTGVLIKAVERGEWIVLENANCCNPTVLDRINSLVEPSGSITINERGVVDGKPVVIQPHPDFRMFLTVNPSYGEVSRAMRNRGVEIFMMQPNWLLDESSRYTCEETELNDVKRFLVLSGVPFAKLVHSMAKSHIYARGEGLRFGVSITYLELARWVQLFKQFLMNGSQPLWSLQTSWKHIYLSTFGEAVGGNVISHVKCTYLSGTELCESDSSLRPSLCLPGGWPTPLKLRELVWHSKQALVKTNCMYLEFLGAKYASYKFQHARSRCNMSLDSTACRYVVTYLRGMGVLNETMVHRASTFLTLISIENTEVDKVLAKVEKKLLFAAKWAIEQATESDLKLYLLWFSWFHSRLQPFCQFFDSFVKSVALSMDHPVWEYTSIRCHDLASLHKVEFEKQLIPLLSLGLVDVIESNEKFKISSEFLHSAVKYVKQLRLTYQEWNNEDSYASKEACYFEEVLKCLRKESNNLDSSSRFHSGKSLLWVHGGHPILPSSSKLFEKQLQILELCESVWPTRTGLFVHVNDPLIGVVSDLRSFVLEGVSMSSCITGKSDSCEDEDHVVQQLDRIYQKLLQLFVKERIKIEETSWSNKIFCGANGSFCCSLCIVALGQAYGYGCWQDVFPLMDSTSFALDMKLLQELSSVILVNNKRLRLDLAKVSSHMKYALKFSLSNSSRPPQMFSPHQKILWILDVWSSVDAVNEKVSSFVLEMWFRWHQSLWMYCPVSVKSFSSTAVYDIPVPDVLIQPVVTATVFQILQSTPAIKDYFVSSLKLRVASSNLWRGSLPGANLPFFLLSAARSLFQQIIYAHEKSFDADQFAKIKSVLEQGSGLVVSHISKSSHHGLKDSVDLFIKPLLQNLYPHCSSKEPGFNHGCAWLRLGILRLKLLLCGDDMDPAMKYHCKNSLLAEKISLLKLEIQVRQKCEYLAGQISTRYSHEKRAQALNKLEAEHKRLQRKIVFRSDYRKFKGLKHECDEFLERVTSDEFFQHVASDTFYKYITCSEILVGSVDAVNLQQILDQGSNWQKMATGFIEQLLSDEYREYTDIVQPVLVALYEIKLGLGLILASIVQKMILTKVELDNANMIMGSICSFMRFPRVSASKSISVNLNTGSSKFPYNLEIPTIFNAEDISLLEKLITFSSGVLSNKMVSVTQLKTTLHRNILVRVSHSVANARLMDYASFMLLDKTYSEITDHWMSMKIQSRNKQDYASLQFKFKPRAFKLESIIDLDISALGKTLANQSFLDWKEFISVDQHIEREEAPEEQEDLDGELKFMEDSIVKDVVKTHNQLFGSNNLVLAPGAFHVNDLDRILSFTDSHTIGVGMVRGLGGSFLSSLDAILVPENLFRICVEHEWKFVSSDTSARKYNIYKDPNAPKMYEMVNLLTALKQQIHSLLNEYEEHHELQRILDSVEMLLNIPMSTSLAKALSGLQFLINKLRLLQENGSRFAFSDQVKPICDLVLLWQRMELESWPALLDEVQDRYEINAEKLWFSLYSVLRHRLSSDVVEYKNSTTESLEEFIHSSSIGEFRKRLQLLFAFLGQINTGISLQVYSSGCEKKNVKILYNAFGYYAQFLPAILAHMDSSRRDIEMELKRVLKLCQWDHRESAIENFTSTRQKLRKIIKKYTVVLEQPVIVFLGQHIVKGAESQPQQGQKFFVDDVNRKIGTMDAPFDLTVFNDEDRCMWYTGWIKEADAALKKLRRDRTLEFGYSESKGANSLCSDVAGILRQCSASLSAYPLYTDEWHAVWHTLQNIFDGAVDCCDLWKDASKSQKKGRAFSYLLNLLKSSGLSRDIFTEDEVKSWWFVHPSYDVQHLLLTQSRLPYGDSDAALPLPHQDLVTEWKTTNEYYFSSIASVLFTHKQIGKPDPFLHQLIKIQKNQHKAANKFAEQLRDLKECISTLENLDSTDSEDKSGNCSIGQKQHATFKYMWQQKQLFDSLCATSHEELLLLKTFDNTHLKGCQTVKNEGNEFLASIEKFIPVLQKSKESLDNYLLGPDRAIVTLAGSSQRVLISKDMEQLVSQNFEVLKEFEEHLLAFHAKDVDKSSVEDILLGHFVDILEKGRSMEVEFNSVMDEKNVSVGELENAFWEALRSTFEHIVGAMQKLGSPSNDHVHPDKLGQITSWEKVFDSFLKNLSLDDLRDKLLRTIFNALLFCAQGELVNHCGGNCLSLLLRIEAHFKHLCRCLDILLNFGHALMKELLAMCKTVSLIIHKLANVLALYSKGSGISSEDKEDDATGDISQDKKGTGMGEGVGLNDVSDQITDEDQLLGISEKASEEQDASGEVPSKNDKGIEMEEDFAADTFSVSEDSEDDANEDDADEHLESAMGETGVDGETVDEKLWNKDEDENLNNSNEKYESGNSVNDRDASSRELRAKDDSAAATNEPGELDLNEIDEDNGEIGSQDDLNDVESVEDMNLDKQEAVVDPTGLNPDDLNQNSDETMELDDPEMHDEHAKNEDHEEEQAFSTDETMGEAETEQIDATPERDDASKDHEDNPEINSGLSKDVFELGESDSMRDDVPNTEPSTQPKSDLKASDPRDVAPESNWANSNDIHNELTPMRGLPSTNTSELDMMISEASDNGKNVAEQPKSQLPRQESSSERKTKPNPYRSVGDALKEWEERVRVSVDLQEGDVEPQDEIKNENADEFGYVSEYEKGTAQALGPATSEQIDRNVDDNKSNAGEDDRTTHKDGLADMEIENKKYEAQPSRSRASMLQDKIEDQMHLSGIEKLPGDEYQDIHSRHDVDPESIVEDVVSVKTSYFSDDMHQLSKLSVNDSDMGKAQVAGEFSDDVVGNATVLWRRYEQTTTRLSQELAEQLRLVMEPNRASKLEGDYKTGKRINMKKVIPYMASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDVAIEALVTVCRAMSQLEMGNLAVASFGKKGNIRLLHDFDQPFTGEAGIKMISSLSFKQENTIADEPVVDLLKYLNKKLDEAVARARLPSGWNPLEQLVLIIADGRFHEKENLKQCVRDALARKRMVAFLLLDNPQESIMDLMEASFEGGNIKFSKYMDSFPFPFYIVLRNIEALPRTLADLLRQWFEQACKNTGVA >ONI15464 pep chromosome:Prunus_persica_NCBIv2:G3:3138193:3174482:-1 gene:PRUPE_3G044200 transcript:ONI15464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGSFSLESALERFLARCPKLQSSPKFVLLTKKGNALTQDEVVKALVELFVHPNYTIPLMGCFRPIAQKIVDKAVKLLRLVPNLRSNSDRGVVEVGKDRDLNEVENVIEFYSGTGRGLDLHELVCLAFCRALELAPFFWGSIVGYFEFAPPPFERILMGPKVSNLGVEIGTRVLLVVGVSYRLLLVKYDFFSKLQNWSCFLDLVEQSANFDMHNSTSDTVEVIADIRWCGLQIISLILKLSCKATENLGITDEDAFSCLLRWEEFCQDVSFESGGWYVESSRLKGLVSLDGRNVYCQKSCLQSSSQCYDMEPQTKRQRDERSAGDPFVVTSAVKESFKMMLSAVKEKWPVLLYGPTGCGKSALISKLSQDSGNQVLSIHMDDQIDGRTLIGSYVCTEKPGEFKWQPGSLTQAVSNGYWVVFEDIDKAPSDVRSVILPLLEGVNLFATGHGQEIRVPESFRIFSTISTSKLDPSCIAEAGGNSLSIFFKVYVSPSTNEDLQSIVKAWYPSLEPLAVKLTETFESINSATLHQTGGFQAGNSASVSYPSRFSLRDLLKWCKRITGLGFSFEGDDLSPYARDCIYQEAVDIFAAFSTSTKNRLTLMQYIARLWDVPSTVSDTLYPPNKPVVQDLLSDLRVGRVSLPRTHTTKRGKKHYKKKPFVEIRSSIHLLERIASSVKWNEPVLLVGETGTGKTTLVQDLAMRLGHKLTVLNLSQQSDVADLLGGYKPMDAKFIYLPLYNEFCDLFSKSFHVQLNPKFIGKLEDALKKEDWERLLKGFEVGVKKFFQKVEEARSLVEESGKKRKKAPVEEQIKAWENFTLKVENASAHGMIFSFVEGAFVTALRNGEWILLDEVNLAPPETLQRVISVLEGEHGSLCLAERGDIHYIDRHPSFRLFACMNPATDAGKRDLPFSLRSRFTEYFVDDVLDAEDLTLFVGQFLGDRKSDLQLVYNIVSFYKIAKKLSEEKLQDGANQKPQYSLRSLYRALEYTTKAERELEFGFPKAIYDGFCMFFLTLLDKSSALVMEETILKYLLGGKVPKEVPYFKYLSDSTINGSSDNIIKYTVTESVEERLRNLARAIWIKKYPVLLQGPTSSGKTSLVQHLAAITGHEFVRINNHEHTDLQEYLGSYITDASGKLVFHEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIHADENFMLFATQNPPGFYGGRKMLSRAFRNRFVEIHVDEIPETELSTILLERRPGISKKMAKQMITVMKELQLKRQMSKVFAGKHGFITPRDLFRWADRFIELGGGSDVDLARDGYYLLAERLRDEGEKCVVREVLEKNFHVKLDEDNLYFQEPVPNLPDGAEVPKSLQNWTKSMRRLYFLVERCYKVREPVLLVGETGVGKTTVCQLLSILLGSKLHILNCHQYTETSDFLGGFYPIRERSRLTSDFKRTIEELLMTEAFNQFHLDYTVSSDIGQASTTLCNLNKMIKDYKQGQILNPDVTKHNLMTLEGIMLKLSEMHQEWQKMFVWLDGPLVQAMRSGDLFLVDEISLADDSVLERLNSVLEPERTLSLAEKGGPDLEKVVAHERFFLLATMNPGGDFGKKELSPALRNRFTEIWVPTIGDRGELESIGLARMTSPKLSSILDPLLNFWEQFNNLKPGRTLTVRDLLSWIDFINVTENNLGSESAFLHGAFLVLLDGLSLGSEISKGNVIDLRNECFKILLKQLKGNVTELEYSKLARIQNYGWGDPDTTEGDSCSDSMQCDNIFGVDPFYIEKGSVSFDAEGFEILAPTTRRNVLRVLRAMQLPKPVLLEGSPGVGKTSLIVALGKFSGHNVVRINLSEQTDMMDLLGSDLPVESDEGMKFAWSDGIFLQALKEGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGYTFKCPSSFRIFACQNPSYQGGGRKGLPKSFLNRFTKVYVDELVEDDYHFICSSLFPSIPIPLLSKLILFNKRLYEDTMVYHKFALEGSPWEFNLRDVIRSCQIIQDAPSESKDYCFLDIVYVQRMRTESDRRKVLQLYEQVFEIKPYINPYPRVHLNSEYLMVGNTAVRRNCVQSSRLPCSTLKILPGIRQSLEATTQCVEHQWMCILIGPASCGKTSLVRLLAQLTGNVLNELHLSSGTDISEILGCFEQYNAFRNFRSVVAEVDSYVKECCSLRLEFSKEAFLHDSKVLITRWFSFVSNVNCDSISCFSSNFLEDRVRFSNSLTLLMEIVEHLKLVVEKNVPSISWSSKELDSVMRTIIKLQEGYEKGPFSVKFEWVTGVLIKAVERGEWIVLENANCCNPTVLDRINSLVEPSGSITINERGVVDGKPVVIQPHPDFRMFLTVNPSYGEVSRAMRNRGVEIFMMQPNWLLDESSRYTCEETELNDVKRFLVLSGVPFAKLVHSMAKSHIYARGEGLRFGVSITYLELARWVQLFKQFLMNGSQPLWSLQTSWKHIYLSTFGEAVGGNVISHVKCTYLSGTELCESDSSLRPSLCLPGGWPTPLKLRELVWHSKQALVKTNCMYLEFLGAKYASYKFQHARSRCNMSLDSTACRYVVTYLRGMGVLNETMVHRASTFLTLISIENTEVDKVLAKVEKKLLFAAKWAIEQATESDLKLYLLWFSWFHSRLQPFCQFFDSFVKSVALSMDHPVWEYTSIRCHDLASLHKVEFEKQLIPLLSLGLVDVIESNEKFKISSEFLHSAVKYVKQLRLTYQEWNNEDSYASKEACYFEEVLKCLRKESNNLDSSSRFHSGKSLLWVHGGHPILPSSSKLFEKQLQILELCESVWPTRTGLFVHVNDPLIGVVSDLRSFVLEGVSMSSCITGKSDSCEDEDHVVQQLDRIYQKLLQLFVKERIKIEETSWSNKIFCGANGSFCCSLCIVALGQAYGYGCWQDVFPLMDSTSFALDMKLLQELSSVILVNNKRLRLDLAKVSSHMKYALKFSLSNSSRPPQMFSPHQKILWILDVWSSVDAVNEKVSSFVLEMWFRWHQSLWMYCPVSVKSFSSTAVYDIPVPDVLIQPVVTATVFQILQSTPAIKDYFVSSLKLRVASSNLWRGSLPGANLPFFLLSAARSLFQQIIYAHEKSFDADQFAKIKSVLEQGSGLVVSHISKSSHHGLKDSVDLFIKPLLQNLYPHCSSKEPGFNHGCAWLRLGILRLKLLLCGDDMDPAMKYHCKNSLLAEKISLLKLEIQVRQKCEYLAGQISTRYSHEKRAQALNKLEAEHKRLQRKIVFRSDYRKFKGLKHECDEFLERVTSDEFFQHVASDTFYKYITCSEILVGSVDAVNLQQILDQGSNWQKMATGFIEQLLSDEYREYTDIVQPVLVALYEIKLGLGLILASIVQKMILTKVELDNANMIMGSICSFMRFPRVSASKSISVNLNTGSSKFPYNLEIPTIFNAEDISLLEKLITFSSGVLSNKMVSVTQLKTTLHRNILVRVSHSVANARLMDYASFMLLDKTYSEITDHWMSMKIQSRNKQDYASLQFKFKPRAFKLESIIDLDISALGKTLANQSFLDWKEFISVDQHIEREEAPEEQEDLDGELKFMEDSIVKDVVKTHNQLFGSNNLVLAPGAFHVNDLDRILSFTDSHTIGVGMVRGLGGSFLSSLDAILVPENLFRICVEHEWKFVSSDTSARKYNIYKDPNAPKMYEMVNLLTALKQQIHSLLNEYEEHHELQRILDSVEMLLNIPMSTSLAKALSGLQFLINKLRLLQENGSRFAFSDQVKPICDLVLLWQRMELESWPALLDEVQDRYEINAEKLWFSLYSVLRHRLSSDVVEYKNSTTESLEEFIHSSSIGEFRKRLQLLFAFLGQINTGISLQVYSSGCEKKNVKILYNAFGYYAQFLPAILAHMDSSRRDIEMELKRVLKLCQWDHRESAIENFTSTRQKLRKIIKKYTVVLEQPVIVFLGQHIVKGAESQPQQGQKFFVDDVNRKIGTMDAPFDLTVFNDEDRCMWYTGWIKEADAALKKLRRDRTLEFGYSESKGANSLCSDVAGILRQCSASLSAYPLYTDEWHAVWHTLQNIFDGAVDCCDLWKDASKSQKKGRAFSYLLNLLKSSGLSRDIFTEDEVKSWWFVHPSYDVQHLLLTQSRLPYGDSDAALPLPHQDLVTEWKTTNEYYFSSIASVLFTHKQIGKPDPFLHQLIKIQKNQHKAANKFAEQLRDLKECISTLENLDSTDSEDKSGNCSIGQKQHATFKYMWQQKQLFDSLCATSHEELLLLKTFDNTHLKGCQTVKNEGNEFLASIEKFIPVLQKSKESLDNYLLGPDRAIVTLAGSSQRVLISKDMEQLVSQNFEVLKEFEEHLLAFHAKDVDKSSVEDILLGHFVDILEKGRSMEVEFNSVMDEKNVSVGELENAFWEALRSTFEHIVGAMQKLGSPSNDHVHPDKLGQITSWEKVFDSFLKNLSLDDLRDKLLRTIFNAGELVNHCGGNCLSLLLRIEAHFKHLCRCLDILLNFGHALMKELLAMCKTVSLIIHKLANVLALYSKGSGISSEDKEDDATGDISQDKKGTGMGEGVGLNDVSDQITDEDQLLGISEKASEEQDASGEVPSKNDKGIEMEEDFAADTFSVSEDSEDDANEDDADEHLESAMGETGVDGETVDEKLWNKDEDENLNNSNEKYESGNSVNDRDASSRELRAKDDSAAATNEPGELDLNEIDEDNGEIGSQDDLNDVESVEDMNLDKQEAVVDPTGLNPDDLNQNSDETMELDDPEMHDEHAKNEDHEEEQAFSTDETMGEAETEQIDATPERDDASKDHEDNPEINSGLSKDVFELGESDSMRDDVPNTEPSTQPKSDLKASDPRDVAPESNWANSNDIHNELTPMRGLPSTNTSELDMMISEASDNGKNVAEQPKSQLPRQESSSERKTKPNPYRSVGDALKEWEERVRVSVDLQEGDVEPQDEIKNENADEFGYVSEYEKGTAQALGPATSEQIDRNVDDNKSNAGEDDRTTHKDGLADMEIENKKYEAQPSRSRASMLQDKIEDQMHLSGIEKLPGDEYQDIHSRHDVDPESIVEDVVSVKTSYFSDDMHQLSKLSVNDSDMGKAQVAGEFSDDVVGNATVLWRRYEQTTTRLSQELAEQLRLVMEPNRASKLEGDYKTGKRINMKKVIPYMASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDVAIEALVTVCRAMSQLEMGNLAVASFGKKGNIRLLHDFDQPFTGEAGIKMISSLSFKQENTIADEPVVDLLKYLNKKLDEAVARARLPSGWNPLEQLVLIIADGRFHEKENLKQCVRDALARKRMVAFLLLDNPQESIMDLMEASFEGGNIKFSKYMDSFPFPFYIVLRNIEALPRTLADLLRQWFEQACKNTGVA >ONI15462 pep chromosome:Prunus_persica_NCBIv2:G3:3137932:3174657:-1 gene:PRUPE_3G044200 transcript:ONI15462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGSFSLESALERFLARCPKLQSSPKFVLLTKKGNALTQDEVVKALVELFVHPNYTIPLMGCFRPIAQKIVDKAVKLLRLVPNLRSNSDRGVVEVGKDRDLNEVENVIEFYSGTGRGLDLHELVCLAFCRALELAPFFWGSIVGYFEFAPPPFERILMGPKVSNLGVEIGTRVLLVVGVSYRLLLVKYDFFSKLQNWSCFLDLVEQSANFDMHNSTSDTVEVIADIRWCGLQIISLILKLSCKATENLGITDEDAFSCLLRWEEFCQDVSFESGGWYVESSRLKGLVSLDGRNVYCQKSCLQSSSQCYDMEPQTKRQRDERSAGDPFVVTSAVKESFKMMLSAVKEKWPVLLYGPTGCGKSALISKLSQDSGNQVLSIHMDDQIDGRTLIGSYVCTEKPGEFKWQPGSLTQAVSNGYWVVFEDIDKAPSDVRSVILPLLEGVNLFATGHGQEIRVPESFRIFSTISTSKLDPSCIAEGGNSLSIFFKVYVSPSTNEDLQSIVKAWYPSLEPLAVKLTETFESINSATLHQTGGFQAGNSASVSYPSRFSLRDLLKWCKRITGLGFSFEGDDLSPYARDCIYQEAVDIFAAFSTSTKNRLTLMQYIARLWDVPSTVSDTLYPPNKPVVQDLLSDLRVGRVSLPRTHTTKRGKKHYKKKPFVEIRSSIHLLERIASSVKWNEPVLLVGETGTGKTTLVQDLAMRLGHKLTVLNLSQQSDVADLLGGYKPMDAKFIYLPLYNEFCDLFSKSFHVQLNPKFIGKLEDALKKEDWERLLKGFEVGVKKFFQKVEEARSLVEESGKKRKKAPVEEQIKAWENFTLKVENASAHGMIFSFVEGAFVTALRNGEWILLDEVNLAPPETLQRVISVLEGEHGSLCLAERGDIHYIDRHPSFRLFACMNPATDAGKRDLPFSLRSRFTEYFVDDVLDAEDLTLFVGQFLGDRKSDLQLVYNIVSFYKIAKKLSEEKLQDGANQKPQYSLRSLYRALEYTTKAERELEFGFPKAIYDGFCMFFLTLLDKSSALVMEETILKYLLGGKVPKEVPYFKYLSDSTINGSSDNIIKYTVTESVEERLRNLARAIWIKKYPVLLQGPTSSGKTSLVQHLAAITGHEFVRINNHEHTDLQEYLGSYITDASGKLVFHEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIHADENFMLFATQNPPGFYGGRKMLSRAFRNRFVEIHVDEIPETELSTILLERRPGISKKMAKQMITVMKELQLKRQMSKVFAGKHGFITPRDLFRWADRFIELGGGSDVDLARDGYYLLAERLRDEGEKCVVREVLEKNFHVKLDEDNLYFQEPVPNLPDGAEVPKSLQNWTKSMRRLYFLVERCYKVREPVLLVGETGVGKTTVCQLLSILLGSKLHILNCHQYTETSDFLGGFYPIRERSRLTSDFKRTIEELLMTEAFNQFHLDYTVSSDIGQASTTLCNLNKMIKDYKQGQILNPDVTKHNLMTLEGIMLKLSEMHQEWQKMFVWLDGPLVQAMRSGDLFLVDEISLADDSVLERLNSVLEPERTLSLAEKGGPDLEKVVAHERFFLLATMNPGGDFGKKELSPALRNRFTEIWVPTIGDRGELESIGLARMTSPKLSSILDPLLNFWEQFNNLKPGRTLTVRDLLSWIDFINVTENNLGSESAFLHGAFLVLLDGLSLGSEISKGNVIDLRNECFKILLKQLKGNVTELEYSKLARIQNYGWGDPDTTEGDSCSDSMQCDNIFGVDPFYIEKGSVSFDAEGFEILAPTTRRNVLRVLRAMQLPKPVLLEGSPGVGKTSLIVALGKFSGHNVVRINLSEQTDMMDLLGSDLPVESDEGMKFAWSDGIFLQALKEGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGYTFKCPSSFRIFACQNPSYQGGGRKGLPKSFLNRFTKVYVDELVEDDYHFICSSLFPSIPIPLLSKLILFNKRLYEDTMVYHKFALEGSPWEFNLRDVIRSCQIIQDAPSESKDYCFLDIVYVQRMRTESDRRKVLQLYEQVFEIKPYINPYPRVHLNSEYLMVGNTAVRRNCVQSSRLPCSTLKILPGIRQSLEATTQCVEHQWMCILIGPASCGKTSLVRLLAQLTGNVLNELHLSSGTDISEILGCFEQYNAFRNFRSVVAEVDSYVKECCSLRLEFSKEAFLHDSKVLITRWFSFVSNVNCDSISCFSSNFLEDRVRFSNSLTLLMEIVEHLKLVVEKNVPSISWSSKELDSVMRTIIKLQEGYEKGPFSVKFEWVTGVLIKAVERGEWIVLENANCCNPTVLDRINSLVEPSGSITINERGVVDGKPVVIQPHPDFRMFLTVNPSYGEVSRAMRNRGVEIFMMQPNWLLDESSRYTCEETELNDVKRFLVLSGVPFAKLVHSMAKSHIYARGEGLRFGVSITYLELARWVQLFKQFLMNGSQPLWSLQTSWKHIYLSTFGEAVGGNVISHVKCTYLSGTELCESDSSLRPSLCLPGGWPTPLKLRELVWHSKQALVKTNCMYLEFLGAKYASYKFQHARSRCNMSLDSTACRYVVTYLRGMGVLNETMVHRASTFLTLISIENTEVDKVLAKVEKKLLFAAKWAIEQATESDLKLYLLWFSWFHSRLQPFCQFFDSFVKSVALSMDHPVWEYTSIRCHDLASLHKVEFEKQLIPLLSLGLVDVIESNEKFKISSEFLHSAVKYVKQLRLTYQEWNNEDSYASKEACYFEEVLKCLRKESNNLDSSSRFHSGKSLLWVHGGHPILPSSSKLFEKQLQILELCESVWPTRTGLFVHVNDPLIGVVSDLRSFVLEGVSMSSCITGKSDSCEDEDHVVQQLDRIYQKLLQLFVKERIKIEETSWSNKIFCGANGSFCCSLCIVALGQAYGYGCWQDVFPLMDSTSFALDMKLLQELSSVILVNNKRLRLDLAKVSSHMKYALKFSLSNSSRPPQMFSPHQKILWILDVWSSVDAVNEKVSSFVLEMWFRWHQSLWMYCPVSVKSFSSTAVYDIPVPDVLIQPVVTATVFQILQSTPAIKDYFVSSLKLRVASSNLWRGSLPGANLPFFLLSAARSLFQQIIYAHEKSFDADQFAKIKSVLEQGSGLVVSHISKSSHHGLKDSVDLFIKPLLQNLYPHCSSKEPGFNHGCAWLRLGILRLKLLLCGDDMDPAMKYHCKNSLLAEKISLLKLEIQVRQKCEYLAGQISTRYSHEKRAQALNKLEAEHKRLQRKIVFRSDYRKFKGLKHECDEFLERVTSDEFFQHVASDTFYKYITCSEILVGSVDAVNLQQILDQGSNWQKMATGFIEQLLSDEYREYTDIVQPVLVALYEIKLGLGLILASIVQKMILTKVELDNANMIMGSICSFMRFPRVSASKSISVNLNTGSSKFPYNLEIPTIFNAEDISLLEKLITFSSGVLSNKMVSVTQLKTTLHRNILVRVSHSVANARLMDYASFMLLDKTYSEITDHWMSMKIQSRNKQDYASLQFKFKPRAFKLESIIDLDISALGKTLANQSFLDWKEFISVDQHIEREEAPEEQEDLDGELKFMEDSIVKDVVKTHNQLFGSNNLVLAPGAFHVNDLDRILSFTDSHTIGVGMVRGLGGSFLSSLDAILVPENLFRICVEHEWKFVSSDTSARKYNIYKDPNAPKMYEMVNLLTALKQQIHSLLNEYEEHHELQRILDSVEMLLNIPMSTSLAKALSGLQFLINKLRLLQENGSRFAFSDQVKPICDLVLLWQRMELESWPALLDEVQDRYEINAEKLWFSLYSVLRHRLSSDVVEYKNSTTESLEEFIHSSSIGEFRKRLQLLFAFLGQINTGISLQVYSSGCEKKNVKILYNAFGYYAQFLPAILAHMDSSRRDIEMELKRVLKLCQWDHRESAIENFTSTRQKLRKIIKKYTVVLEQPVIVFLGQHIVKGAESQPQQGQKFFVDDVNRKIGTMDAPFDLTVFNDEDRCMWYTGWIKEADAALKKLRRDRTLEFGYSESKGANSLCSDVAGILRQCSASLSAYPLYTDEWHAVWHTLQNIFDGAVDCCDLWKDASKSQKKGRAFSYLLNLLKSSGLSRDIFTEDEVKSWWFVHPSYDVQHLLLTQSRLPYGDSDAALPLPHQDLVTEWKTTNEYYFSSIASVLFTHKQIGKPDPFLHQLIKIQKNQHKAANKFAEQLRDLKECISTLENLDSTDSEDKSGNCSIGQKQHATFKYMWQQKQLFDSLCATSHEELLLLKTFDNTHLKGCQTVKNEGNEFLASIEKFIPVLQKSKESLDNYLLGPDRAIVTLAGSSQRVLISKDMEQLVSQNFEVLKEFEEHLLAFHAKDVDKSSVEDILLGHFVDILEKGRSMEVEFNSVMDEKNVSVGELENAFWEALRSTFEHIVGAMQKLGSPSNDHVHPDKLGQITSWEKVFDSFLKNLSLDDLRDKLLRTIFNALLFCAQGELVNHCGGNCLSLLLRIEAHFKHLCRCLDILLNFGHALMKELLAMCKTVSLIIHKLANVLALYSKGSGISSEDKEDDATGDISQDKKGTGMGEGVGLNDVSDQITDEDQLLGISEKASEEQDASGEVPSKNDKGIEMEEDFAADTFSVSEDSEDDANEDDADEHLESAMGETGVDGETVDEKLWNKDEDENLNNSNEKYESGNSVNDRDASSRELRAKDDSAAATNEPGELDLNEIDEDNGEIGSQDDLNDVESVEDMNLDKQEAVVDPTGLNPDDLNQNSDETMELDDPEMHDEHAKNEDHEEEQAFSTDETMGEAETEQIDATPERDDASKDHEDNPEINSGLSKDVFELGESDSMRDDVPNTEPSTQPKSDLKASDPRDVAPESNWANSNDIHNELTPMRGLPSTNTSELDMMISEASDNGKNVAEQPKSQLPRQESSSERKTKPNPYRSVGDALKEWEERVRVSVDLQEGDVEPQDEIKNENADEFGYVSEYEKGTAQALGPATSEQIDRNVDDNKSNAGEDDRTTHKDGLADMEIENKKYEAQPSRSRASMLQDKIEDQMHLSGIEKLPGDEYQDIHSRHDVDPESIVEDVVSVKTSYFSDDMHQLSKLSVNDSDMGKAQVAGEFSDDVVGNATVLWRRYEQTTTRLSQELAEQLRLVMEPNRASKLEGDYKTGKRINMKKVIPYMASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDVAIEALVTVCRAMSQLEMGNLAVASFGKKGNIRLLHDFDQPFTGEAGIKMISSLSFKQENTIADEPVVDLLKYLNKKLDEAVARARLPSGWNPLEQLVLIIADGRFHEKENLKQCVRDALARKRMVAFLLLDNPQESIMDLMEASFEGGNIKFSKYMDSFPFPFYIVLRNIEALPRTLADLLRQACKNTGVA >ONI15465 pep chromosome:Prunus_persica_NCBIv2:G3:3137898:3174657:-1 gene:PRUPE_3G044200 transcript:ONI15465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGSFSLESALERFLARCPKLQSSPKFVLLTKKGNALTQDEVVKALVELFVHPNYTIPLMGCFRPIAQKIVDKAVKLLRLVPNLRSNSDRGVVEVGKDRDLNEVENVIEFYSGTGRGLDLHELVCLAFCRALELAPFFWGSIVGYFEFAPPPFERILMGPKVSNLGVEIGTRVLLVVGVSYRLLLVKYDFFSKLQNWSCFLDLVEQSANFDMHNSTSDTVEVIADIRWCGLQIISLILKLSCKATENLGITDEDAFSCLLRWEEFCQDVSFESGGWYVESSRLKGLVSLDGRNVYCQKSCLQSSSQCYDMEPQTKRQRCATWDERSAGDPFVVTSAVKESFKMMLSAVKEKWPVLLYGPTGCGKSALISKLSQDSGNQVLSIHMDDQIDGRTLIGSYVCTEKPGEFKWQPGSLTQAVSNGYWVVFEDIDKAPSDVRSVILPLLEGVNLFATGHGQEIRVPESFRIFSTISTSKLDPSCIAEGGNSLSIFFKVYVSPSTNEDLQSIVKAWYPSLEPLAVKLTETFESINSATLHQTGGFQAGNSASVSYPSRFSLRDLLKWCKRITGLGFSFEGDDLSPYARDCIYQEAVDIFAAFSTSTKNRLTLMQYIARLWDVPSTVSDTLYPPNKPVVQDLLSDLRVGRVSLPRTHTTKRGKKHYKKKPFVEIRSSIHLLERIASSVKWNEPVLLVGETGTGKTTLVQDLAMRLGHKLTVLNLSQQSDVADLLGGYKPMDAKFIYLPLYNEFCDLFSKSFHVQLNPKFIGKLEDALKKEDWERLLKGFEVGVKKFFQKVEEARSLVEESGKKRKKAPVEEQIKAWENFTLKVENASAHGMIFSFVEGAFVTALRNGEWILLDEVNLAPPETLQRVISVLEGEHGSLCLAERGDIHYIDRHPSFRLFACMNPATDAGKRDLPFSLRSRFTEYFVDDVLDAEDLTLFVGQFLGDRKSDLQLVYNIVSFYKIAKKLSEEKLQDGANQKPQYSLRSLYRALEYTTKAERELEFGFPKAIYDGFCMFFLTLLDKSSALVMEETILKYLLGGKVPKEVPYFKYLSDSTINGSSDNIIKYTVTESVEERLRNLARAIWIKKYPVLLQGPTSSGKTSLVQHLAAITGHEFVRINNHEHTDLQEYLGSYITDASGKLVFHEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIHADENFMLFATQNPPGFYGGRKMLSRAFRNRFVEIHVDEIPETELSTILLERRPGISKKMAKQMITVMKELQLKRQMSKVFAGKHGFITPRDLFRWADRFIELGGGSDVDLARDGYYLLAERLRDEGEKCVVREVLEKNFHVKLDEDNLYFQEPVPNLPDGAEVPKSLQNWTKSMRRLYFLVERCYKVREPVLLVGETGVGKTTVCQLLSILLGSKLHILNCHQYTETSDFLGGFYPIRERSRLTSDFKRTIEELLMTEAFNQFHLDYTVSSDIGQASTTLCNLNKMIKDYKQGQILNPDVTKHNLMTLEGIMLKLSEMHQEWQKMFVWLDGPLVQAMRSGDLFLVDEISLADDSVLERLNSVLEPERTLSLAEKGGPDLEKVVAHERFFLLATMNPGGDFGKKELSPALRNRFTEIWVPTIGDRGELESIGLARMTSPKLSSILDPLLNFWEQFNNLKPGRTLTVRDLLSWIDFINVTENNLGSESAFLHGAFLVLLDGLSLGSEISKGNVIDLRNECFKILLKQLKGNVTELEYSKLARIQNYGWGDPDTTEGDSCSDSMQCDNIFGVDPFYIEKGSVSFDAEGFEILAPTTRRNVLRVLRAMQLPKPVLLEGSPGVGKTSLIVALGKFSGHNVVRINLSEQTDMMDLLGSDLPVESDEGMKFAWSDGIFLQALKEGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGYTFKCPSSFRIFACQNPSYQGGGRKGLPKSFLNRFTKVYVDELVEDDYHFICSSLFPSIPIPLLSKLILFNKRLYEDTMVYHKFALEGSPWEFNLRDVIRSCQIIQDAPSESKDYCFLDIVYVQRMRTESDRRKVLQLYEQVFEIKPYINPYPRVHLNSEYLMVGNTAVRRNCVQSSRLPCSTLKILPGIRQSLEATTQCVEHQWMCILIGPASCGKTSLVRLLAQLTGNVLNELHLSSGTDISEILGCFEQYNAFRNFRSVVAEVDSYVKECCSLRLEFSKEAFLHDSKVLITRWFSFVSNVNCDSISCFSSNFLEDRVRFSNSLTLLMEIVEHLKLVVEKNVPSISWSSKELDSVMRTIIKLQEGYEKGPFSVKFEWVTGVLIKAVERGEWIVLENANCCNPTVLDRINSLVEPSGSITINERGVVDGKPVVIQPHPDFRMFLTVNPSYGEVSRAMRNRGVEIFMMQPNWLLDESSRYTCEETELNDVKRFLVLSGVPFAKLVHSMAKSHIYARGEGLRFGVSITYLELARWVQLFKQFLMNGSQPLWSLQTSWKHIYLSTFGEAVGGNVISHVKCTYLSGTELCESDSSLRPSLCLPGGWPTPLKLRELVWHSKQALVKTNCMYLEFLGAKYASYKFQHARSRCNMSLDSTACRYVVTYLRGMGVLNETMVHRASTFLTLISIENTEVDKVLAKVEKKLLFAAKWAIEQATESDLKLYLLWFSWFHSRLQPFCQFFDSFVKSVALSMDHPVWEYTSIRCHDLASLHKVEFEKQLIPLLSLGLVDVIESNEKFKISSEFLHSAVKYVKQLRLTYQEWNNEDSYASKEACYFEEVLKCLRKESNNLDSSSRFHSGKSLLWVHGGHPILPSSSKLFEKQLQILELCESVWPTRTGLFVHVNDPLIGVVSDLRSFVLEGVSMSSCITGKSDSCEDEDHVVQQLDRIYQKLLQLFVKERIKIEETSWSNKIFCGANGSFCCSLCIVALGQAYGYGCWQDVFPLMDSTSFALDMKLLQELSSVILVNNKRLRLDLAKVSSHMKYALKFSLSNSSRPPQMFSPHQKILWILDVWSSVDAVNEKVSSFVLEMWFRWHQSLWMYCPVSVKSFSSTAVYDIPVPDVLIQPVVTATVFQILQSTPAIKDYFVSSLKLRVASSNLWRGSLPGANLPFFLLSAARSLFQQIIYAHEKSFDADQFAKIKSVLEQGSGLVVSHISKSSHHGLKDSVDLFIKPLLQNLYPHCSSKEPGFNHGCAWLRLGILRLKLLLCGDDMDPAMKYHCKNSLLAEKISLLKLEIQVRQKCEYLAGQISTRYSHEKRAQALNKLEAEHKRLQRKIVFRSDYRKFKGLKHECDEFLERVTSDEFFQHVASDTFYKYITCSEILVGSVDAVNLQQILDQGSNWQKMATGFIEQLLSDEYREYTDIVQPVLVALYEIKLGLGLILASIVQKMILTKVELDNANMIMGSICSFMRFPRVSASKSISVNLNTGSSKFPYNLEIPTIFNAEDISLLEKLITFSSGVLSNKMVSVTQLKTTLHRNILVRVSHSVANARLMDYASFMLLDKTYSEITDHWMSMKIQSRNKQDYASLQFKFKPRAFKLESIIDLDISALGKTLANQSFLDWKEFISVDQHIEREEAPEEQEDLDGELKFMEDSIVKDVVKTHNQLFGSNNLVLAPGAFHVNDLDRILSFTDSHTIGVGMVRGLGGSFLSSLDAILVPENLFRICVEHEWKFVSSDTSARKYNIYKDPNAPKMYEMVNLLTALKQQIHSLLNEYEEHHELQRILDSVEMLLNIPMSTSLAKALSGLQFLINKLRLLQENGSRFAFSDQVKPICDLVLLWQRMELESWPALLDEVQDRYEINAEKLWFSLYSVLRHRLSSDVVEYKNSTTESLEEFIHSSSIGEFRKRLQLLFAFLGQINTGISLQVYSSGCEKKNVKILYNAFGYYAQFLPAILAHMDSSRRDIEMELKRVLKLCQWDHRESAIENFTSTRQKLRKIIKKYTVVLEQPVIVFLGQHIVKGAESQPQQGQKFFVDDVNRKIGTMDAPFDLTVFNDEDRCMWYTGWIKEADAALKKLRRDRTLEFGYSESKGANSLCSDVAGILRQCSASLSAYPLYTDEWHAVWHTLQNIFDGAVDCCDLWKDASKSQKKGRAFSYLLNLLKSSGLSRDIFTEDEVKSWWFVHPSYDVQHLLLTQSRLPYGDSDAALPLPHQDLVTEWKTTNEYYFSSIASVLFTHKQIGKPDPFLHQLIKIQKNQHKAANKFAEQLRDLKECISTLENLDSTDSEDKSGNCSIGQKQHATFKYMWQQKQLFDSLCATSHEELLLLKTFDNTHLKGCQTVKNEGNEFLASIEKFIPVLQKSKESLDNYLLGPDRAIVTLAGSSQRVLISKDMEQLVSQNFEVLKEFEEHLLAFHAKDVDKSSVEDILLGHFVDILEKGRSMEVEFNSVMDEKNVSVGELENAFWEALRSTFEHIVGAMQKLGSPSNDHVHPDKLGQITSWEKVFDSFLKNLSLDDLRDKLLRTIFNAGELVNHCGGNCLSLLLRIEAHFKHLCRCLDILLNFGHALMKELLAMCKTVSLIIHKLANVLALYSKGSGISSEDKEDDATGDISQDKKGTGMGEGVGLNDVSDQITDEDQLLGISEKASEEQDASGEVPSKNDKGIEMEEDFAADTFSVSEDSEDDANEDDADEHLESAMGETGVDGETVDEKLWNKDEDENLNNSNEKYESGNSVNDRDASSRELRAKDDSAAATNEPGELDLNEIDEDNGEIGSQDDLNDVESVEDMNLDKQEAVVDPTGLNPDDLNQNSDETMELDDPEMHDEHAKNEDHEEEQAFSTDETMGEAETEQIDATPERDDASKDHEDNPEINSGLSKDVFELGESDSMRDDVPNTEPSTQPKSDLKASDPRDVAPESNWANSNDIHNELTPMRGLPSTNTSELDMMISEASDNGKNVAEQPKSQLPRQESSSERKTKPNPYRSVGDALKEWEERVRVSVDLQEGDVEPQDEIKNENADEFGYVSEYEKGTAQALGPATSEQIDRNVDDNKSNAGEDDRTTHKDGLADMEIENKKYEAQPSRSRASMLQDKIEDQMHLSGIEKLPGDEYQDIHSRHDVDPESIVEDVVSVKTSYFSDDMHQLSKLSVNDSDMGKAQVAGEFSDDVVGNATVLWRRYEQTTTRLSQELAEQLRLVMEPNRASKLEGDYKTGKRINMKKVIPYMASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDVAIEALVTVCRAMSQLEMGNLAVASFGKKGNIRLLHDFDQPFTGEAGIKMISSLSFKQENTIADEPVVDLLKYLNKKLDEAVARARLPSGWNPLEQLVLIIADGRFHEKENLKQCVRDALARKRMVAFLLLDNPQESIMDLMEASFEGGNIKFSKYMDSFPFPFYIVLRNIEALPRTLADLLRQWFEQACKNTGVA >ONI15461 pep chromosome:Prunus_persica_NCBIv2:G3:3138193:3174482:-1 gene:PRUPE_3G044200 transcript:ONI15461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGSFSLESALERFLARCPKLQSSPKFVLLTKKGNALTQDEVVKALVELFVHPNYTIPLMGCFRPIAQKIVDKAVKLLRLVPNLRSNSDRGVVEVGKDRDLNEVENVIEFYSGTGRGLDLHELVCLAFCRALELAPFFWGSIVGYFEFAPPPFERILMGPKVSNLGVEIGTRVLLVVGVSYRLLLVKYDFFSKLQNWSCFLDLVEQSANFDMHNSTSDTVEVIADIRWCGLQIISLILKLSCKATENLGITDEDAFSCLLRWEEFCQDVSFESGGWYVESSRLKGLVSLDGRNVYCQKSCLQSSSQCYDMEPQTKRQRDERSAGDPFVVTSAVKESFKMMLSAVKEKWPVLLYGPTGCGKSALISKLSQDSGNQVLSIHMDDQIDGRTLIGSYVCTEKPGEFKWQPGSLTQAVSNGYWVVFEDIDKAPSDVRSVILPLLEGVNLFATGHGQEIRVPESFRIFSTISTSKLDPSCIAEGGNSLSIFFKVYVSPSTNEDLQSIVKAWYPSLEPLAVKLTETFESINSATLHQTGGFQAGNSASVSYPSRFSLRDLLKWCKRITGLGFSFEGDDLSPYARDCIYQEAVDIFAAFSTSTKNRLTLMQYIARLWDVPSTVSDTLYPPNKPVVQDLLSDLRVGRVSLPRTHTTKRGKKHYKKKPFVEIRSSIHLLERIASSVKWNEPVLLVGETGTGKTTLVQDLAMRLGHKLTVLNLSQQSDVADLLGGYKPMDAKFIYLPLYNEFCDLFSKSFHVQLNPKFIGKLEDALKKEDWERLLKGFEVGVKKFFQKVEEARSLVEESGKKRKKAPVEEQIKAWENFTLKVENASAHGMIFSFVEGAFVTALRNGEWILLDEVNLAPPETLQRVISVLEGEHGSLCLAERGDIHYIDRHPSFRLFACMNPATDAGKRDLPFSLRSRFTEYFVDDVLDAEDLTLFVGQFLGDRKSDLQLVYNIVSFYKIAKKLSEEKLQDGANQKPQYSLRSLYRALEYTTKAERELEFGFPKAIYDGFCMFFLTLLDKSSALVMEETILKYLLGGKVPKEVPYFKYLSDSTINGSSDNIIKYTVTESVEERLRNLARAIWIKKYPVLLQGPTSSGKTSLVQHLAAITGHEFVRINNHEHTDLQEYLGSYITDASGKLVFHEGVLVKAVRNGYWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIHADENFMLFATQNPPGFYGGRKMLSRAFRNRFVEIHVDEIPETELSTILLERRPGISKKMAKQMITVMKELQLKRQMSKVFAGKHGFITPRDLFRWADRFIELGGGSDVDLARDGYYLLAERLRDEGEKCVVREVLEKNFHVKLDEDNLYFQEPVPNLPDGAEVPKSLQNWTKSMRRLYFLVERCYKVREPVLLVGETGVGKTTVCQLLSILLGSKLHILNCHQYTETSDFLGGFYPIRERSRLTSDFKRTIEELLMTEAFNQFHLDYTVSSDIGQASTTLCNLNKMIKDYKQGQILNPDVTKHNLMTLEGIMLKLSEMHQEWQKMFVWLDGPLVQAMRSGDLFLVDEISLADDSVLERLNSVLEPERTLSLAEKGGPDLEKVVAHERFFLLATMNPGGDFGKKELSPALRNRFTEIWVPTIGDRGELESIGLARMTSPKLSSILDPLLNFWEQFNNLKPGRTLTVRDLLSWIDFINVTENNLGSESAFLHGAFLVLLDGLSLGSEISKGNVIDLRNECFKILLKQLKGNVTELEYSKLARIQNYGWGDPDTTEGDSCSDSMQCDNIFGVDPFYIEKGSVSFDAEGFEILAPTTRRNVLRVLRAMQLPKPVLLEGSPGVGKTSLIVALGKFSGHNVVRINLSEQTDMMDLLGSDLPVESDEGMKFAWSDGIFLQALKEGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGYTFKCPSSFRIFACQNPSYQGGGRKGLPKSFLNRFTKVYVDELVEDDYHFICSSLFPSIPIPLLSKLILFNKRLYEDTMVYHKFALEGSPWEFNLRDVIRSCQIIQDAPSESKDYCFLDIVYVQRMRTESDRRKVLQLYEQVFEIKPYINPYPRVHLNSEYLMVGNTAVRRNCVQSSRLPCSTLKILPGIRQSLEATTQCVEHQWMCILIGPASCGKTSLVRLLAQLTGNVLNELHLSSGTDISEILGCFEQYNAFRNFRSVVAEVDSYVKECCSLRLEFSKEAFLHDSKVLITRWFSFVSNVNCDSISCFSSNFLEDRVRFSNSLTLLMEIVEHLKLVVEKNVPSISWSSKELDSVMRTIIKLQEGYEKGPFSVKFEWVTGVLIKAVERGEWIVLENANCCNPTVLDRINSLVEPSGSITINERGVVDGKPVVIQPHPDFRMFLTVNPSYGEVSRAMRNRGVEIFMMQPNWLLDESSRYTCEETELNDVKRFLVLSGVPFAKLVHSMAKSHIYARGEGLRFGVSITYLELARWVQLFKQFLMNGSQPLWSLQTSWKHIYLSTFGEAVGGNVISHVKCTYLSGTELCESDSSLRPSLCLPGGWPTPLKLRELVWHSKQALVKTNCMYLEFLGAKYASYKFQHARSRCNMSLDSTACRYVVTYLRGMGVLNETMVHRASTFLTLISIENTEVDKVLAKVEKKLLFAAKWAIEQATESDLKLYLLWFSWFHSRLQPFCQFFDSFVKSVALSMDHPVWEYTSIRCHDLASLHKVEFEKQLIPLLSLGLVDVIESNEKFKISSEFLHSAVKYVKQLRLTYQEWNNEDSYASKEACYFEEVLKCLRKESNNLDSSSRFHSGKSLLWVHGGHPILPSSSKLFEKQLQILELCESVWPTRTGLFVHVNDPLIGVVSDLRSFVLEGVSMSSCITGKSDSCEDEDHVVQQLDRIYQKLLQLFVKERIKIEETSWSNKIFCGANGSFCCSLCIVALGQAYGYGCWQDVFPLMDSTSFALDMKLLQELSSVILVNNKRLRLDLAKVSSHMKYALKFSLSNSSRPPQMFSPHQKILWILDVWSSVDAVNEKVSSFVLEMWFRWHQSLWMYCPVSVKSFSSTAVYDIPVPDVLIQPVVTATVFQILQSTPAIKDYFVSSLKLRVASSNLWRGSLPGANLPFFLLSAARSLFQQIIYAHEKSFDADQFAKIKSVLEQGSGLVVSHISKSSHHGLKDSVDLFIKPLLQNLYPHCSSKEPGFNHGCAWLRLGILRLKLLLCGDDMDPAMKYHCKNSLLAEKISLLKLEIQVRQKCEYLAGQISTRYSHEKRAQALNKLEAEHKRLQRKIVFRSDYRKFKGLKHECDEFLERVTSDEFFQHVASDTFYKYITCSEILVGSVDAVNLQQILDQGSNWQKMATGFIEQLLSDEYREYTDIVQPVLVALYEIKLGLGLILASIVQKMILTKVELDNANMIMGSICSFMRFPRVSASKSISVNLNTGSSKFPYNLEIPTIFNAEDISLLEKLITFSSGVLSNKMVSVTQLKTTLHRNILVRVSHSVANARLMDYASFMLLDKTYSEITDHWMSMKIQSRNKQDYASLQFKFKPRAFKLESIIDLDISALGKTLANQSFLDWKEFISVDQHIEREEAPEEQEDLDGELKFMEDSIVKDVVKTHNQLFGSNNLVLAPGAFHVNDLDRILSFTDSHTIGVGMVRGLGGSFLSSLDAILVPENLFRICVEHEWKFVSSDTSARKYNIYKDPNAPKMYEMVNLLTALKQQIHSLLNEYEEHHELQRILDSVEMLLNIPMSTSLAKALSGLQFLINKLRLLQENGSRFAFSDQVKPICDLVLLWQRMELESWPALLDEVQDRYEINAEKLWFSLYSVLRHRLSSDVVEYKNSTTESLEEFIHSSSIGEFRKRLQLLFAFLGQINTGISLQVYSSGCEKKNVKILYNAFGYYAQFLPAILAHMDSSRRDIEMELKRVLKLCQWDHRESAIENFTSTRQKLRKIIKKYTVVLEQPVIVFLGQHIVKGAESQPQQGQKFFVDDVNRKIGTMDAPFDLTVFNDEDRCMWYTGWIKEADAALKKLRRDRTLEFGYSESKGANSLCSDVAGILRQCSASLSAYPLYTDEWHAVWHTLQNIFDGAVDCCDLWKDASKSQKKGRAFSYLLNLLKSSGLSRDIFTEDEVKSWWFVHPSYDVQHLLLTQSRLPYGDSDAALPLPHQDLVTEWKTTNEYYFSSIASVLFTHKQIGKPDPFLHQLIKIQKNQHKAANKFAEQLRDLKECISTLENLDSTDSEDKSGNCSIGQKQHATFKYMWQQKQLFDSLCATSHEELLLLKTFDNTHLKGCQTVKNEGNEFLASIEKFIPVLQKSKESLDNYLLGPDRAIVTLAGSSQRVLISKDMEQLVSQNFEVLKEFEEHLLAFHAKDVDKSSVEDILLGHFVDILEKGRSMEVEFNSVMDEKNVSVGELENAFWEALRSTFEHIVGAMQKLGSPSNDHVHPDKLGQITSWEKVFDSFLKNLSLDDLRDKLLRTIFNAGELVNHCGGNCLSLLLRIEAHFKHLCRCLDILLNFGHALMKELLAMCKTVSLIIHKLANVLALYSKGSGISSEDKEDDATGDISQDKKGTGMGEGVGLNDVSDQITDEDQLLGISEKASEEQDASGEVPSKNDKGIEMEEDFAADTFSVSEDSEDDANEDDADEHLESAMGETGVDGETVDEKLWNKDEDENLNNSNEKYESGNSVNDRDASSRELRAKDDSAAATNEPGELDLNEIDEDNGEIGSQDDLNDVESVEDMNLDKQEAVVDPTGLNPDDLNQNSDETMELDDPEMHDEHAKNEDHEEEQAFSTDETMGEAETEQIDATPERDDASKDHEDNPEINSGLSKDVFELGESDSMRDDVPNTEPSTQPKSDLKASDPRDVAPESNWANSNDIHNELTPMRGLPSTNTSELDMMISEASDNGKNVAEQPKSQLPRQESSSERKTKPNPYRSVGDALKEWEERVRVSVDLQEGDVEPQDEIKNENADEFGYVSEYEKGTAQALGPATSEQIDRNVDDNKSNAGEDDRTTHKDGLADMEIENKKYEAQPSRSRASMLQDKIEDQMHLSGIEKLPGDEYQDIHSRHDVDPESIVEDVVSVKTSYFSDDMHQLSKLSVNDSDMGKAQVAGEFSDDVVGNATVLWRRYEQTTTRLSQELAEQLRLVMEPNRASKLEGDYKTGKRINMKKVIPYMASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDVAIEALVTVCRAMSQLEMGNLAVASFGKKGNIRLLHDFDQPFTGEAGIKMISSLSFKQENTIADEPVVDLLKYLNKKLDEAVARARLPSGWNPLEQLVLIIADGRFHEKENLKQCVRDALARKRMVAFLLLDNPQESIMDLMEASFEGGNIKFSKYMDSFPFPFYIVLRNIEALPRTLADLLRQWFEQACKNTGVA >ONI16073 pep chromosome:Prunus_persica_NCBIv2:G3:5715754:5718448:-1 gene:PRUPE_3G077600 transcript:ONI16073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVLQTKGLLSLPLNPKTRVFQPSLGLKQRSFSTKPKTPTGLSLSSNGLQKFQGFISKTHGFGTKERNLFICRAEAAAAAADGQPAFGETEKPKFFGIEAVTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLSNVLSKKALFYTVMLPFIAFFGAFGFVLYPLSNYIHPEALADSLLNTLGPRFLGPLAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKRFYPLFGLGANVALIFSGRTVKYFSNLRKNLGPGVDGWALSLKGMMSIVVLMGFTICFLYWWVNNYVPLPTRSKKKKEKPKMGTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQVIFEKYGWGVAAKITPTVLLLTGVGFFSLILFGGPLSPAITSLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVWTHTHNSVL >ONI16072 pep chromosome:Prunus_persica_NCBIv2:G3:5714944:5718927:-1 gene:PRUPE_3G077600 transcript:ONI16072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVLQTKGLLSLPLNPKTRVFQPSLGLKQRSFSTKPKTPTGLSLSSNGLQKFQGFISKTHGFGTKERNLFICRAEAAAAAADGQPAFGETEKPKFFGIEAVTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLSNVLSKKALFYTVMLPFIAFFGAFGFVLYPLSNYIHPEALADSLLNTLGPRFLGPLAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKRFYPLFGLGANVALIFSGRTVKYFSNLRKNLGPGVDGWALSLKGMMSIVVLMGFTICFLYWWVNNYVPLPTRSKKKKEKPKMGTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQVIFEKYGWGVAAKITPTVLLLTGVGFFSLILFGGPLSPAITSLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLLIVLAWLGAARSLDTQFTALRREEELEKEMERAAVKIPVVAQEGSGNGSLASDSVLNPTAGDSGSSGPPRNI >ONI16682 pep chromosome:Prunus_persica_NCBIv2:G3:9728186:9733685:-1 gene:PRUPE_3G115200 transcript:ONI16682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLALGPKHQQAEAQPQRQQDHNEPLNHVTRRAPDMDSDKEMSAPVIEGNDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCIETGETVAIKKVLQDRRYKNRELQLMRLMDHPNVVSLKHCFFSTTSKDELFLNLVMEYVPETMYRVLKHYSSMNQRMPLIYVKLYTYQIFRGLAYIHAVPGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACTHPFFDELREPNARLPNGRPLPPLFNFKQELSGASPELINKLIPEHMRQQTGLGFPHPVGT >ONI19954 pep chromosome:Prunus_persica_NCBIv2:G3:26824232:26826724:1 gene:PRUPE_3G307400 transcript:ONI19954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWGPVFIAVVLFILLTPGLLIQMPGKSRFVEFGNFQTSGISILVHSIIYFALICIFLLAIGVHMYEIIMADWGPILIGVVLFILLQPGLLFSLPGNSRQVEFGSMKTNGKAIAVHTLIFFALYAILILAVHVHIYTG >ONI20021 pep chromosome:Prunus_persica_NCBIv2:G3:26971867:26975291:1 gene:PRUPE_3G310500 transcript:ONI20021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVHVSDVPNLDQVPENASLALYSTRFSKGVEMGRVASRIPKFLVIGHRGNGMNALQSSDRRMRAIKENSIASFNSAANFPIDFVEFDVQVTKDDCPVIFHDNFILSEENGTVCERRVTELCLSEFLCYGPQREAGKEGKTLLRKTKDGKIVRWDVEKDDSLCTLQEAFEQVEPSLGFNIELKFDDYVVYEQDYLINVFQAILQVVFNYAKDRPIIFSSFQPDAALIVKKLQSTYPVFFLTNGGTELYYDVRRNSLEEAMKLCLEGGLQGIVSEVKGVFRNPGALTKIKDAKLSLLTYGKLNNVAEAVYMQYLMGIEGVIVDLVQEITESVSDMIKPTTAEDGGDKNLLEGDGKMQMQVKSKPEFSQRELSFLLKLIPELIQL >ONI20022 pep chromosome:Prunus_persica_NCBIv2:G3:26973195:26975291:1 gene:PRUPE_3G310500 transcript:ONI20022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKDDCPVIFHDNFILSEENGTVCERRVTELCLSEFLCYGPQREAGKEGKTLLRKTKDGKIVRWDVEKDDSLCTLQEAFEQVEPSLGFNIELKFDDYVVYEQDYLINVFQAILQVVFNYAKDRPIIFSSFQPDAALIVKKLQSTYPVFFLTNGGTELYYDVRRNSLEEAMKLCLEGGLQGIVSEVKGVFRNPGALTKIKDAKLSLLTYGKLNNVAEAVYMQYLMGIEGVIVDLVQEITESVSDMIKPTTAEDGGDKNLLEGDGKMQMQVKSKPEFSQRELSFLLKLIPELIQL >ONI18090 pep chromosome:Prunus_persica_NCBIv2:G3:20715192:20720210:1 gene:PRUPE_3G196400 transcript:ONI18090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEFRSQMDDAWYDARIVMDGYDCLRVKFIGFPDDHDEVFDANNLTSFKDIAEFRRRFRPVSVQVQDNECSQVAKGTLVCVAHAVCPDDRRFYDAVVYKVLHEEHRFVKGEEECPCSFILFWRHGPKAGCLTVQDLENICRVQPRRSKMNPLLTSFLETAREKIEITLSKICATPHEPAYDEKACRPSVSQRLKQTLGDD >ONI18092 pep chromosome:Prunus_persica_NCBIv2:G3:20715124:20722686:1 gene:PRUPE_3G196400 transcript:ONI18092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEFRSQMDDAWYDARIVMDGYDCLRVKFIGFPDDHDEVFDANNLTSFKDIAEFRRRFRPVSVQVQDNECSQVAKGTLVCVAHAVCPDDRRFYDAVVYKVLHEEHRFVKGEEECPCSFILFWRHGPKAGCLTVQDLENICRVQPRRSKMNPLLTSFLETAREKIEITLSKICATPHEPAYDEKACRPSVSQRLKQDTSTSRRPSSLISSLKRFVQIALKTFSKCISFLIFYSFLKPEMNGNPSQRAGHVREIEGTPHMIIVDNLERGL >ONI18091 pep chromosome:Prunus_persica_NCBIv2:G3:20715124:20722686:1 gene:PRUPE_3G196400 transcript:ONI18091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEFRSQMDDAWYDARIVMDGYDCLRVKFIGFPDDHDEVFDANNLTSFKDIAEFRRRFRPVSVQVQDNECSQVAKGTLVCVAHAVCPDDRRFYDAVVYKVLHEEHRFVKGEEECPCSFILFWRHGPKAGCLTVQDLENICRVQPRRSKMNPLLTSFLETAREKIEITLSKICATPHEPAYDEKACRPSVSQRLKQKHSETYLK >ONI18093 pep chromosome:Prunus_persica_NCBIv2:G3:20715124:20722686:1 gene:PRUPE_3G196400 transcript:ONI18093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEFRSQMDDAWYDARIVMDGYDCLRVKFIGFPDDHDEVFDANNLTSFKDIAEFRRRFRPVSVQVQDNECSQVAKGTLVCVAHAVCPDDRRFYDAVVYKVLHEEHRFVKGEEECPCSFILFWRHGPKAGCLTVQDLENICRVQPRRSKMNPLLTSFLETAREKIEITLSKICATPHEPAYDEKACRPSVSQRLKQDTSTSRRPSSLISSLKRFVQIALKTFSKCISFLIFYSFLKPEMNGNPSQRAGHVREIEGTPHMIIVDNLERGL >ONI18089 pep chromosome:Prunus_persica_NCBIv2:G3:20715026:20722699:1 gene:PRUPE_3G196400 transcript:ONI18089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEFRSQMDDAWYDARIVMDGYDCLRVKFIGFPDDHDEVFDANNLTSFKDIAEFRRRFRPVSVQVQDNECSQVAKGTLVCVAHAVCPDDRRFYDAVVYKVLHEEHRFVKGEEECPCSFILFWRHGPKAGCLTVQDLENICRVQPRRSKMNPLLTSFLETAREKIEITLSKICATPHEPAYDEKACRPSVSQRLKQKQQQSRRSETMNELKVFASGTEEYKKGKLRKDLFWEFANHQCQLHQRLLIEEGRIWHLSNAMRKR >ONI17364 pep chromosome:Prunus_persica_NCBIv2:G3:16984273:16996016:1 gene:PRUPE_3G154200 transcript:ONI17364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHHRTQDFEETDGDADNLRLYQQLYSYATRGNTNRFNDTIANELHDPNARVQLLSRRSPQNNTFVHIAVSSGHVELAAKILQEHKPLLLEKNFEGDTALHIAAKAEDIDTTTNTLLREARGTTNIENNADVLTLLRMKNNEENTALHEALIQGHQLVAKCLIEADPAVSLYTNKEQKSPLYLAAEQGLVEIVKLIKEKAVEKDTEIQGKSPLFAAILGRQKKEVLKIISNMEANILNLEDEKGRTPLHCAASIGYLEGVRFLGRRLKDSHRKDHYGNFPIHCASSKGHVDIVKELLRHCPDSMELRNSSDQNILHVAARCGEENLVKYFLKKVEFQMLINQKDNRGNTPLHLAKMYHHPKVVHLFILDRRINFKVLNDRGMTALDMSESTLETSASYHGVSLCYIYFYHIIDDHDFGYHNQGTSLFYLVC >ONI17363 pep chromosome:Prunus_persica_NCBIv2:G3:16984261:17008922:1 gene:PRUPE_3G154200 transcript:ONI17363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHHRTQDFEETDGDADNLRLYQQLYSYATRGNTNRFNDTIANELHDPNARVQLLSRRSPQNNTFVHIAVSSGHVELAAKILQEHKPLLLEKNFEGDTALHIAAKAEDIDTTTNTLLREARGTTNIENNADVLTLLRMKNNEENTALHEALIQGHQLVAKCLIEADPAVSLYTNKEQKSPLYLAAEQGLVEIVKLIKEKAVEKDTEIQGKSPLFAAILGRQKKEVLKIISNMEANILNLEDEKGRTPLHCAASIGYLEGVRFLGRRLKDSHRKDHYGNFPIHCASSKGHVDIVKELLRHCPDSMELRNSSDQNILHVAARCGEENLVKYFLKKVEFQMLINQKDNRGNTPLHLAKMYHHPKVVHLFILDRRINFKVLNDRGMTALDMSESTLETSASYHGVSLCYIYFYHIIDDHDFGYHNQGTSLFYLVC >ONI17423 pep chromosome:Prunus_persica_NCBIv2:G3:17695033:17701970:1 gene:PRUPE_3G158100 transcript:ONI17423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEGKLYVPPELVSVYREKVVPVASMLTPNQFEAELLTNLRIESEKDGREACNILHAAGPPMVVLTSININGNLFLIGSHQKEKGLSPEQFKIVIPKIPAYFTGTGDLTTALLLGWSNKYPDNLDKAAELAVSSLQALLQRTINDYKSAGHDPKSSSLEIRLIQSQNDIRNPEIKFRAERYT >ONI17421 pep chromosome:Prunus_persica_NCBIv2:G3:17695639:17702179:1 gene:PRUPE_3G158100 transcript:ONI17421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIRFNFFVLSFLFPIFCVIICFGDFKTVIGVISLFGRAYATVLTSELTMERGYIGSVSFLSTILEVVNKLRSVNPKLKYVCDPVMGDEGKLYVPPELVSVYREKVVPVASMLTPNQFEAELLTNLRIESEKDGREACNILHAAGPPMVVLTSININGNLFLIGSHQKEKGLSPEQFKIVIPKIPAYFTGTGDLTTALLLGWSNKYPDNLDKAAELAVSSLQALLQRTINDYKSAGHDPKSSSLEIRLIQSQNDIRNPEIKFRAERYT >ONI17419 pep chromosome:Prunus_persica_NCBIv2:G3:17692872:17702177:1 gene:PRUPE_3G158100 transcript:ONI17419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQSELASHFRLTISRENHIFRRSGSSRSLRNSKMAPPILSLVLPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGQQLWELIEGLEENDLLYYTHLLTGYIGSVSFLSTILEVVNKLRSVNPKLKYVCDPVMGDEGKLYVPPELVSVYREKVVPVASMLTPNQFEAELLTNLRIESEKDGREACNILHAAGPPMVVLTSININGNLFLIGSHQKEKGLSPEQFKIVIPKIPAYFTGTGDLTTALLLGWSNKYPDNLDKAAELAVSSLQALLQRTINDYKSAGHDPKSSSLEIRLIQSQNDIRNPEIKFRAERYT >ONI17420 pep chromosome:Prunus_persica_NCBIv2:G3:17692872:17702177:1 gene:PRUPE_3G158100 transcript:ONI17420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQSELASHFRLTISRENHIFRRSGSSRSLRNSKMAPPILSLVLPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGQQLWELIEGLEENDLLYYTHLLTGYIGSVSFLSTILEVVNKLRSVNPKLKYVCDPVMGDEGKLYVPPELVSVYREKVVPVASMLTPNQFEAELLTNLRIESEKDGREACNILHAAGPPMGLSPEQFKIVIPKIPAYFTGTGDLTTALLLGWSNKYPDNLDKAAELAVSSLQALLQRTINDYKSAGHDPKSSSLEIRLIQSQNDIRNPEIKFRAERYT >ONI17422 pep chromosome:Prunus_persica_NCBIv2:G3:17694168:17702169:1 gene:PRUPE_3G158100 transcript:ONI17422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEGKLYVPPELVSVYREKVVPVASMLTPNQFEAELLTNLRIESEKDGREACNILHAAGPPMVVLTSININGNLFLIGSHQKEKGLSPEQFKIVIPKIPAYFTGTGDLTTALLLGWSNKYPDNLDKAAELAVSSLQALLQRTINDYKSAGHDPKSSSLEIRLIQSQNDIRNPEIKFRAERYT >ONI18715 pep chromosome:Prunus_persica_NCBIv2:G3:22965398:22967985:-1 gene:PRUPE_3G233800 transcript:ONI18715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEDNWDGGIAMAPAMMMAAQNTEREEEQWRNFNNSVNAVSLGFVATAILISMFLVMAIFERFFRPRSSHLPSTSRTTRFDLQQQIVYQNPKLDHHSPKMTSYAREVSVVMPGEDIPTFIAHPAPAPCPREHAAWPLHQHKPCLNPSSSS >ONI17406 pep chromosome:Prunus_persica_NCBIv2:G3:17573661:17574998:1 gene:PRUPE_3G157200 transcript:ONI17406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMISSATVASVYADRAAPAQASLVAPFTGLKSASAFPGAKKTNDITSIASNGGRVQCMKVWPTVGLKKFETLSYLPPLSPESLAKEVDYLLRKNWVPCLEFELEGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDSSQVLKELEEAKKANPNSFIRIIGFDNVRQVQCISFIAYKPAGY >ONI17405 pep chromosome:Prunus_persica_NCBIv2:G3:17573661:17575015:1 gene:PRUPE_3G157200 transcript:ONI17405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMISSATVASVYADRAAPAQASLVAPFTGLKSASAFPGAKKTNDITSIASNGGRVQCMKVWPTVGLKKFETLSYLPPLSPESLAKEVDYLLRKNWVPCLEFELEKGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDSSQVLKELEEAKKANPNSFIRIIGFDNVRQVQCISFIAYKPAGY >ONI19604 pep chromosome:Prunus_persica_NCBIv2:G3:25912022:25914123:-1 gene:PRUPE_3G287000 transcript:ONI19604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLEWLSNNIASKA >ONI19602 pep chromosome:Prunus_persica_NCBIv2:G3:25911701:25914185:-1 gene:PRUPE_3G287000 transcript:ONI19602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLEWLSNNIASKA >ONI19601 pep chromosome:Prunus_persica_NCBIv2:G3:25911797:25914452:-1 gene:PRUPE_3G287000 transcript:ONI19601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLEWLSNNIASKASMRVKVKEFGCLTWSFWGG >ONI19603 pep chromosome:Prunus_persica_NCBIv2:G3:25911701:25914658:-1 gene:PRUPE_3G287000 transcript:ONI19603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLEWLSNNIASKA >ONI19605 pep chromosome:Prunus_persica_NCBIv2:G3:25911701:25914452:-1 gene:PRUPE_3G287000 transcript:ONI19605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEVTTWMLFCLYLPTNKIFLMQ >ONI19157 pep chromosome:Prunus_persica_NCBIv2:G3:24773031:24778414:-1 gene:PRUPE_3G262300 transcript:ONI19157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCMSTPSKTIKSRKKHCQRVIKRHRKATCSASDSTKKRNTSFKKIYSDAGGRVSDYAVSEFVHVDFENGATTAHRRSKVSNSTFHLTQLQWNLSQYDANVICQEEAWFDSVSILESDSDDDFISIHGDGFPLASNPIGNISSGQVLQYERSARFVDNGCKYEEYQSYMKIGGKSDKITGKDERRESNRFSVISTQGYELSRLGKADEVCSKRKNILDHSYGSFKGLREDGRDSNEKIQDNALKSGLSRLVPSVSFNDKILGAQSLVPQSQRKPSAVFRLSFKRRSCDAEETIEQCQSKRFLYRPRPGYMIPCCRVEKPTSGSWSEIPPSTFKLRGENYFKDKRKSPAPNYSPYTPIGVDVFVCPKKIHHIAQHLELPNVKANGKVPPLLIVNIQLPTYPAAMFLGDSDGEGMSLVMYFKVSENFDKDISPQFQDSIKKMVDDETEKVKGFAKDSTVPFRERLKILAGVVNPEDLGLSSAEKKLVHAYNDKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFRERLKNGILDFGLTIQAQKQEELPEQVLCCMRLNKIDFVDHGQIPGLVTLDDDES >ONI19156 pep chromosome:Prunus_persica_NCBIv2:G3:24773030:24777445:-1 gene:PRUPE_3G262300 transcript:ONI19156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCMSTPSKTIKSRKKHCQRVIKRHRKATCSASDSTKKRNTSFKKIYSDAGGRVSDYAVSEFVHVDFENGATTAHRRSKVSNSTFHLTQLQWNLSQYDANVICQEEAWFDSVSILESDSDDDFISIHGDGFPLASNPIGNISSGQVLQYERSARFVDNGCKYEEYQSYMKIGGKSDKITGKDERRESNRFSVISTQGYELSRLGKADEVCSKRKNILDHSYGSFKGLREDGRDSNEKIQDNALKSGLSRLVPSVSFNDKILGAQSLVPQSQRKPSAVFRLSFKRRSCDAEETIEQCQSKRFLYRPRPGYMIPCCRVEKPTSGSWSEIPPSTFKLRGENYFKDKRKSPAPNYSPYTPIGVDVFVCPKKIHHIAQHLELPNVKANGKVPPLLIVNIQLPTYPAAMFLGDSDGEGMSLVMYFKVSENFDKDISPQFQDSIKKMVDDETEKVKGFAKDSTVPFRERLKILAGVVNPEDLGLSSAEKKLVHAYNDKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFRERLKNGILDFGLTIQAQKQEELPEQVLCCMRLNKIDFVDHGQIPGLVTLDDDES >ONI19159 pep chromosome:Prunus_persica_NCBIv2:G3:24772989:24778414:-1 gene:PRUPE_3G262300 transcript:ONI19159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCMSTPSKTIKSRKKHCQRVIKRHRKATCSASDSTKKRNTSFKKIYSDAGGRVSDYAVSEFVHVDFENGATTAHRRSKVSNSTFHLTQLQWNLSQYDANVICQEEAWFDSVSILESDSDDDFISIHGDGFPLASNPIGNISSGQVLQYERSARFVDNGCKYEEYQSYMKIGGKSDKITGKDERRESNRFSVISTQGYELSRLGKADEVCSKRKNILDHSYGSFKGLREDGRDSNEKIQDNALKSGLSRLVPSVSFNDKILGAQSLVPQSQRKPSAVFRLSFKRRSCDAEETIEQCQSKRFLYRPRPGYMIPCCRVEKPTSGSWSEIPPSTFKLRGENYFKDKRKSPAPNYSPYTPIGVDVFVCPKKIHHIAQHLELPNVKANGKVPPLLIVNIQLPTYPAAMFLGDSDGEGMSLVMYFKVSENFDKDISPQFQDSIKKMVDDETEKVKGFAKDSTVPFRERLKILAGVVNPEDLGLSSAEKKLVHAYNDKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFRERLKNGILDFGLTIQAQKQEELPEQVLCCMRLNKIDFVDHGQIPGLVTLDDDES >ONI19158 pep chromosome:Prunus_persica_NCBIv2:G3:24773031:24777445:-1 gene:PRUPE_3G262300 transcript:ONI19158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCMSTPSKTIKSRKKHCQRVIKRHRKATCSASDSTKKRNTSFKKIYSDAGGRVSDYAVSEFVHVDFENGATTAHRRSKVSNSTFHLTQLQWNLSQYDANVICQEEAWFDSVSILESDSDDDFISIHGDGFPLASNPIGNISSGQVLQYERSARFVDNGCKYEEYQSYMKIGGKSDKITGKDERRESNRFSVISTQGYELSRLGKADEVCSKRKNILDHSYGSFKGLREDGRDSNEKIQDNALKSGLSRLVPSVSFNDKILGAQSLVPQSQRKPSAVFRLSFKRRSCDAEETIEQCQSKRFLYRPRPGYMIPCCRVEKPTSGSWSEIPPSTFKLRGENYFKDKRKSPAPNYSPYTPIGVDVFVCPKKIHHIAQHLELPNVKANGKVPPLLIVNIQLPTYPAAMFLGDSDGEGMSLVMYFKVSENFDKDISPQFQDSIKKMVDDETEKVKGFAKDSTVPFRERLKILAGVVNPEDLGLSSAEKKLVHAYNDKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFRERLKNGILDFGLTIQAQKQEELPEQVLCCMRLNKIDFVDHGQIPGLVTLDDDES >ONI14966 pep chromosome:Prunus_persica_NCBIv2:G3:1326622:1330761:-1 gene:PRUPE_3G018700 transcript:ONI14966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRSTGIAILNPDKCKPNKCKQERKRSCPVVRTGKLCIEVLPTSKDARISEELCIGCGICVKKCPFGAIEIINLPKSLDKYTTHRYGLNSFKLHRLPVPRPGEVLGLVGTNGIGKSTALKILAGQLKPNLGRFNKPPAWPEILAYFRGSELQSYFIHLLEDKLKAIIKPQDVEDIQRQYDGKSVREVLEQIDEKGMKEELCVDLELNNVLDSNIGYLSGGELQRFAIAVLAMQNADIYIFDEPSSFLDVKQRLKAAKVIRSLIRPDSYVIVVEHDLSVLDYLSDHICCLYGKPRAYGVVTLPFSVREGINIFLSGFIPTENLRFRDDSLTFKVVETPQESGDEIGMYARYRYPSMARTLGKFKLQVIDGEFTDSQIIVMLGENGTGKTTFIKMLANHFKHNSNVEIPKLHVSYKAQKIRLPASTVGDCLHMKIRDSCTHPQFVTDVMKPLQIEELKDREVTNLSGGEQQRVALCLCLGQPADIYLVDEPSAYLDSEQRIVAAKVIKRFILHAKKTAFVVEHDFIMATYLADKVIVYEGKPSVDCIANSPQSLLGGMNLFLSQLDITFRRDPTNYRPRINKLNSIKDREQKAAGSYYYLDD >ONI14967 pep chromosome:Prunus_persica_NCBIv2:G3:1327785:1330270:-1 gene:PRUPE_3G018700 transcript:ONI14967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRSTGIAILNPDKCKPNKCKQERKRSCPVVRTGKLCIEVLPTSKDARISEELCIGCGICVKKCPFGAIEIINLPKSLDKYTTHRYGLNSFKLHRLPVPRPGEVLGLVGTNGIGKSTALKILAGQLKPNLGRFNKPPAWPEILAYFRGSELQSYFIHLLEDKLKAIIKPQDVEDIQRQYDGKSVREVLEQIDEKGMKEELCVDLELNNVLDSNIGYLSGGELQRFAIAVLAMQNADIYIFDEPSSFLDVKQRLKAAKVIRSLIRPDSYVIVVEHDLSVLDYLSDHICCLYGKPRAYGVVTLPFSVREGINIFLSGFIPTENLRFRDDSLTFKVVETPQESGDEIGMYARYRYPSMARTLGKFKLQVIDGEFTDSQIIVMLGENGTGKTTFIKMLANHFKHNSNVEIPKLHVSYKAQKIRLPASTVGDCLHMKIRDSCTHPQFVTDVMKPLQIEELKDREVTNLSGGEQQRVALCLCLGQVTDFTLCL >ONI17490 pep chromosome:Prunus_persica_NCBIv2:G3:18116165:18120346:-1 gene:PRUPE_3G162200 transcript:ONI17490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSDQMGSFLHLAVPPDDGNLGPIPASQVKDEKQLGEEVSKTTTNLSSSSSSVSTHTRAIGIIHPPLEIRIVLDRTAEFVAKNGPGFEKRVVAENTGNQKFNFLISSSPFHAYYQHRLLEFCAKNQSSGQQEQPSLQQPAADPSAQFKPVLKVLETPEAEEYTVRLPQGITGEDLDIIMLTAQSVARNGKSFLTALVNREINNPQFHFLMIPTHRMSTFFSSLVDAYSKVVMPPKALTEKLKKSVADMETVLERCVRRLEWERSQEQAKQKAEDEIEQERIQMAMIDWYDFSVIETINFADHEDEDLPPPMALDEVIRRSKVTDMEEDIVQPGEQVEMEMDEEEMQLVEEGFMTEDPEPPLRIVNNWKRPEDRILAQRDPTKYVISPITGELIPINEMSEHTRISLIDPKYQEEKERMFAKLRETTLAQDDEISRNILGLARTRPDIFGTTEEEVSNAVKAEIERKKDEQPQQVIRDGHTESTSRTENHVLNNDARNLPGPAAPPLSRPPVVQYQSVRPPPPGSRFTVMQVPRAYNPLAVPPPTIQMMPPPPLPQGMPPPPLPEEPAPKRQKLDDSTLIPEDQFLAQHSGPVHITVSVPNVGEGNLKGQLLEIMVQSLSENVGSLKEKISGEIKLPANKQKLSGKPGFLKDNISLAYYNVGAGETLTLSLRERGGRKR >ONI17837 pep chromosome:Prunus_persica_NCBIv2:G3:19792945:19794628:-1 gene:PRUPE_3G182100 transcript:ONI17837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDECKGSNPCMSSLANPTTWKFGFGTTCENLAGGYTCYSNKTGFTCQYYSSITDALCYFPPKTRWPLRINRPFPIETILFGPGMSLGLLLLFIYVYKCIKKRKKIKRRETFFKRNGGLLLEQQLSSNKVHVQKIKLFKSKELERSTDNFKINRILGHGGQGTVYKGMLADGRIVAIKKSKIVDEGKLSEFINEVVVLSQINHRNVVKVLGCCLETEVPLLVYEFIPNGTLAEYIQGQVEEFPLTWEMRLRIATEIAGALSYLHGAASFPIFHRDIKSTNILLDEKYRAKVADFGTSRSVSVDQTHVTTLVNGTFGYLDPEYFHSNRFTEKSDVYSFGVVLVELLTGQKPVCAVLRSQEDEYRSLSTHFIISMQEDRLFDIVDPRILKEGSKRGIKVFASLAGRCLNANGRNRPTMREVTTKLEAIQKSETTYNGAQPNYEGV >ONI14803 pep chromosome:Prunus_persica_NCBIv2:G3:639516:640208:1 gene:PRUPE_3G009800 transcript:ONI14803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTVISTIYRYESQFIQNIVEEIENKLNHTTPNIASYVVGIDDRVRGINMWLKDGSNDVGVAVIYGMGGVGKTTIAKAAFKQNFDKFQGSSFLPDVRAASEQPNGLVCLQRKLLSDIQKGKTKKIYSIDEGMSKIKLVVRCKRVLIVLDDVNHSEQFNAILGMREWFHPGGKIIVTTRHENLLNDHAVYAMFKVKGLGEGESLELFSWHAFKQAHPIKGYMNLSRSVVHG >ONI18179 pep chromosome:Prunus_persica_NCBIv2:G3:20975548:20979413:1 gene:PRUPE_3G201200 transcript:ONI18179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPLKTPPLLHLQTLHSLLGSHHFIEFASRTKLFSQLSHPPFNPAPNLVFQIRDILCDPQWEKSSELSWLSPKIRTDHVSKIIETHKNTDSALRFFYWVSKRPSYQHDMSCFSSMLNRLVNERLFAPADRVRILMIKASRKEEELKRVTEYLNEMSRLGFEFTLYSFNTLLIQLGKFEMVSIAQNVYTQVLSSGIKPSLLTFNTMLNILCKKGKVQEAELILSKIFQFDMLPDVFTYTSLILGHCRNRNLDLAFEVYDQMVKAGCDPNSVTYSTLINGLCNEGRVDEALDMLDEMVEKGIEPTSYTYTVPIASLCEADRLVEAIGLFRRMRSRGCHPTVHTYTALISGLSQTGKLDVAIGLYHKLLKDGLVPNTVTFNTLINGLSETGRYDLATKIFYWVERHGTLANTQTHNEIIKVFCLMGNINNAMALVSKMLKVGPSLNVITYNTLINGYLSGGQLNNAMRLLDFMKGSGCEPDEWTYTELISGFCKAGKSDFASTLFREMVEQRISPSQVTYAALIAGYCMEGKVDTALSLFEQMEEKGCCPSIETYNAIINGLSKDNQFVKAEKLCKKMEKQGLVPNVITYTSLICGLCKSGRTDLAFKIFHEMEEQGCLPNLYTYSSLMFGLCQEGKADNAETLLDEMERKGLAPDVVTFTTLIDGFVMLGRLDHAFLLLRRMVDVGCRPNYRTYAVLVKGLQKESQLLTEKVVGLVAQHEGMYSCSSGESYNFFEALCNLLARMSENGCEPTVDTYGTLVRGLCTEGRYYEADQLVQHMKDKGLCPNRRIYLSLFFVHCTNLKVESALEIFGLMEDNGFEVHLSAYNALISALGRVCRAEEAETLFKSMLEKQWNTDEIVWTVLIDGLLKEGQSDLCMKLLHVIESQKCSISFQTYDILARELSKVNKGRGSSQIVNRASDLKGVH >ONI17417 pep chromosome:Prunus_persica_NCBIv2:G3:17665310:17669713:1 gene:PRUPE_3G157900 transcript:ONI17417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLASAKLCFLPYCLVILYVSSPNWVAFPSATSTSHTEAEALLKWKASLFLNQALNNLTWYDPPTHNINATNSSSTNPKPRTSPCTWTGVSCNAAGSVSKLNLSTCGIQGTLYEFSFLSFPNLEYLDLSLNKLFDAIPPQISNLSKLHRLDLSQNQFSGRIPPEIGLLRNLTCLYLYDNKLSGLIPKEIGNLKSLVDLELTYNNLSGLIPPNIGNLIKLNTLYLANNQLSGLIPKEIGNLKFLVNLTLSHNKLTGVIRPNIGNLINLNTLYLHNNQLSGLIPKDIGNLKSLVDLELSYNNLSGPIPPNIGNLINLNTLYLDKNQLSGLIPKEIGNLKSLVDLGLSYNNLSGLIPPNIGNLIKLNTLYLGKNQLSGLIPKEIGNLKSLVDLELSYNNLSGLIPPNIGNLINLNTLSLGKNQLSGLIPMEIGNLKSLVNLLLSYNNLSGLIPPNIGNLINLNTLYLHSNQLSGLIPEEIGNLKSLVDLELSNNSLSGLIPPNIGNLIKLNTLYLDNNQLSGLIPKEIGNLKSLVDLKLSYNNLSGLIPPNIGNLTNLNTLHLGKNQLFGLIPKEIGNLKSLVDLELAENQLNDSIPASFANLSNLEILFLRDNQLSGSIPQELENLKNLTVLHLDTNQLSGYLPPNICQGGKLTNLSVGTNYLTGSIPKSLKNCSGLVRVHFDQNQLTGNISEDFGVYPNLDFMNISQNNLYGEISHNWGQCPKLKTLLMAGNNLTGSIPPEIGNATQIHVLDLSSNRLVGLIPKEFGKLSSLVKLMLNGNQLSGHIPSEFGSLNDLEYLDLSTNKFSDSIPSILGDLLKLYHLNLSNNKLSQAIPLQLEKLVQLNELDLSHNSLEGSIPSAMSNMKSLVTLSLSHNNLSDSIPSSFEDLGGLSYIDISYNHLEGPLPNISAFREAPLERLKGNKGLCGKVGALLPPCNAHGSKKDHKLIFSILAVFVLLFALFTIVFVIVQKKKNHQDTKQNHMHGEISFSVLNFDGKSTYEEIIRATEHFDSTYCIGKGGHGSVYRVNLSSGDVVAVKKLHLLWDGETEFQKEFLNEVRALSEIRHRNIVKLYGFCAHKQHSFLVYEYLERGSLAAILSKDEEAKELEWSKRVNIVKGLAHALSYMHHDCLPPIVHRDISSTNILLDSEYKACVSDFGTAKFLNPDSTNWTAAAGTYGYMAPELAYTTKVNENCDVYNFGVVILEIIMGKHPGGLFSSFSSVTSSSSSSSSSALPAHQIPIVDVLDQRISPPTHQLASEVLSLVKIAFSCLNSIPKSRPTMKQVSHFLSTQMLHLSKPVHMMTCGELLALDPLAT >ONI19415 pep chromosome:Prunus_persica_NCBIv2:G3:25545402:25547883:1 gene:PRUPE_3G278600 transcript:ONI19415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPRVTITLGRSGQVVERGGSASDSVRVHGYSGLVSGSKRSMGDRLGSNAHGLSFSAGKRQRGDGRKWGGVDKQLHDSRISRNDLRLKLLRKRLFKQTGGAVEERKRMDPRVKLSKPVHPSMRYQMLQHESETNGSSVMMKIPPSENAADLYQVNSRRNFYSSQPTDGFRARSPPRNFDELRPASSFRAVDASRSGQFLRNGMVGGSQPTDSLLFPMKATPQAARPVAQFAPASGSMQKSSLMGDEPTVPGLLHRLGLGKYVIIFQAEEVDMTALKQMGDKDLKELGIPMGPRKKILLALLPRTKRQPP >ONI19549 pep chromosome:Prunus_persica_NCBIv2:G3:25789448:25791723:1 gene:PRUPE_3G284500 transcript:ONI19549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPQVEEDQVLIKVAAAALNPIDHTRLLGYFKDTDSALPTVPGYDVAGVVVKLGSQARKFKAGMKYIGLSMSKKIGSLAEYTAMEETLLAVKPKNLSFAEAASPPVAIETAYEGLERAQIFAGKSILVLGGAGDVGTHVIQHVFGVSRVAATASTKKLDSLRSLGADLAIDYTKDNFEELPEKFDVVYDAVETQPLLGECGKVKAVIDPNGPYPFPNTLEAFAYLEASSRAIGKRACYVPK >ONI15253 pep chromosome:Prunus_persica_NCBIv2:G3:2431665:2433352:1 gene:PRUPE_3G032800 transcript:ONI15253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRSGVQKLGTVLLVGLKIWVLTGNKMETAINIGFACSLLRQGMKQIYVSTANFDMLGQDSKEAVVHD >ONI17232 pep chromosome:Prunus_persica_NCBIv2:G3:16128475:16140804:-1 gene:PRUPE_3G147500 transcript:ONI17232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREEAQLSAAKKSYRNAKATGNHEEEARWANVIGDMLKKKGEYVEALKWLRIDYDVSIKYLPQKHCLPTCQSLGELYLRLEFYKDALLYQKKHLELAEDANNLIEQQRANTQLGRTYHEMFLRSDDDHYSVQNAKKYFKSAMKLAQIIKENPPTKNCSFLKEYIDAHNNIGMLEFDLDNLEEARKILTKGLEICDEEEVMADDDGRSRLHHNLGNVYMELRMWDNAREHIEKDIMICKRIGHCQGEAKGYINLGELHYRIQKYEEALLCYQKARELAKSMEDEDALLRQIDQNIEIVNEAIKVMDGLKKEEQNLKKLTRDMAITRGTPRERKCLLQQNASLDCLIEKSRTIFAWLKLLEFAKRKKIIASELCDQEKLSDSLLVIGESYQKLRKFKKALKWYMKSWEIYKSIGNLEGQALTKINVGDVLDSDNNWEGALDAFEESYRLAVEANLPSVQLMALENMHYSHMIRFDNVEEARRLQHRIDKLKQSKYKDLQTKNVAEDHCSESDTEGSGHLSDNMCNVCGSSEIRKCNSSKSQSLASVEELNDEEPIISLISSTKALPKVKSAHLGKQNITTETNVSQKSLSEPNTNEQTVVGRKRVRLVLSDDEDEMYDEVQCSKSWSKKLPLEDVATSDELKIKRNTASPARKFQDVSAYTSNRTTRSCNPVNIEQSSSSCKSRTLNVVTQNGRGFRASSSEEGSIAASGSKCDIIFPENLVNKNHGAHLIFLTSDDENNQCITVKIDKDLIRLDNGSFMDSDKLSIESVKVELACLYYLRLPMERRSEGLLPIIQNIKCGESVIQSVETFQKLKQDMGKVLVEAFIDGWVQKRLIKLYTDCCYKLSETPNMKLLKKLYDLEFSDDEVTVSECELQDLSIAPLLNALYAHKTFAMLDLSHNLLGNGTMEKLQHVLTSSGQNYGGLTLDLHSNLFGPTSLFQICECPLLFSRLEVLNISGNRLTDACASYLSTILENCKALCSLSIERCSITSRTIQKVSDALNASSVLEQLCIGHNNPISGSTITDLLSKLGTLKRFSKLNLNGLKLSKSVVDSLCQLAATLPFSVLSLGETGIGIDGALRLTESLFNGTAEFLKFDLSYCGVTSNYVLKLSTVSSMICGILELNLSGNPIMQEPCIIINAFEFSMLSETFGPSKVPAWDCWSSSNNAGIIR >ONI17231 pep chromosome:Prunus_persica_NCBIv2:G3:16129003:16140628:-1 gene:PRUPE_3G147500 transcript:ONI17231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREEAQLSAAKKSYRNAKATGNHEEEARWANVIGDMLKKKGEYVEALKWLRIDYDVSIKYLPQKHCLPTCQSLGELYLRLEFYKDALLYQKKHLELAEDANNLIEQQRANTQLGRTYHEMFLRSDDDHYSVQNAKKYFKSAMKLAQIIKENPPTKNCSFLKEYIDAHNNIGMLEFDLDNLEEARKILTKGLEICDEEEVMADDDGRSRLHHNLGNVYMELRMWDNAREHIEKDIMICKRIGHCQGEAKGYINLGELHYRIQKYEEALLCYQKARELAKSMEDEDALLRQIDQNIEIVNEAIKVMDGLKKEEQNLKKLTRDMAITRGTPRERKCLLQQNASLDCLIEKSRTIFAWLKLLEFAKRKKIIASELCDQEKLSDSLLVIGESYQKLRKFKKALKWYMKSWEIYKSIGNLEGQALTKINVGDVLDSDNNWEGALDAFEESYRLAVEANLPSVQLMALENMHYSHMIRFDNVEEARRLQHRIDKLKQSKYKDLQTKNVAEDHCSESDTEGSGHLSDNMCNVCGSSEIRKCNSSKSQSLASVEELNDEEPIISLISSTKALPKVKSAHLGKQNITTETNVSQKSLSEPNTNEQTVVGRKRVRLVLSDDEDEMYDEVQCSKSWSKKLPLEDVATSDELKIKRNTASPARKFQDVSAYTSNRTTRSCNPVNIEQSSSSCKSRTLNVVTQNGRGFRASSSEEGSIAASGSKCDIIFPENLVNKNHGAHLIFLTSDDENNQCITVKIDKDLIRLDNGSFMDSDKLSIESVKVELACLYYLRLPMERRSEGLLPIIQNIKCGESVIQSVETFQKLKQDMGKVLVEAFIDGWVQKRLIKLYTDCCYKLSETPNMKLLKKLYDLEFSDDEVTVSECELQDLSIAPLLNALYAHKTFAMLDLSHNLLGNGTMEKLQHVLTSSGQNYGGLTLDLHSNLFGPTSLFQICECPLLFSRLEVLNISGNRLTDACASYLSTILENCKALCSLSIERCSITSRTIQKVSDALNASSVLEQLCIGHNNPISGSTITDLLSKLGTLKRFSKLNLNGLKLSKSVVDSLCQLAATLPFSVLSLGETGIGIDGALRLTESLFNGTAEFLKFDLSYCGVTSNYVLKLSTVSSMICGILELNLSGNPIMQEGSLALSSMLLNSQCCLKLLVLQKCQLGTAGVLRIMQALSGNGFLEELNLADNANLDRQNSAQLGSCNANTNLDGLEVADSEDDEVKAAASGLDDNHTRPCQRNSSSSGCQFIQGLSSAIDMAKNLRLLDLSNNGFSTQDADILYSSWSRSRFGSAQRHIKDQIIHLFVEGIKCCVKPCCRKD >ONI19235 pep chromosome:Prunus_persica_NCBIv2:G3:24965971:24969814:1 gene:PRUPE_3G266600 transcript:ONI19235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTGSTRFDIFEIYRRYCDVRTVNGYGHGDDYRGNNESLMTKYSREALIQLLNLVQLKLHPRISIFDEVFKLMSRLDLVVDFSEFSRFYDFVFFMCRENGQKNITVNKAITAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWRQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRVSGSNENSNFNCNCGDSESWSCTYDDPLPGLKILPGLKRKLPGDLQIDEMESSATLFPTHPADLKPALSIKRSRPMSYIPANWEDNTTGSTVDGCMETIRHSSPLNSSKSPCAVEGCLLKGFAGLLSTRSCLQFDRDRGVSFT >ONI19238 pep chromosome:Prunus_persica_NCBIv2:G3:24966453:24969814:1 gene:PRUPE_3G266600 transcript:ONI19238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLDLVVDFSEFSRFYDFVFFMCRENGQKNITVNKAITAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWRQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRVSGSNENSNFNCNCGDSESWSCTYDDPLPGLKILPGLKRKLPGDLQIDEMESSATLFPTHPADLKPALSIKRSRPMSYIPANWEDNTTGSTVDGCMETIRHSSPLNSSKSPCAVEGCLLKGFAGLLSTRSCLQFDRDRGVSFT >ONI19236 pep chromosome:Prunus_persica_NCBIv2:G3:24965971:24969814:1 gene:PRUPE_3G266600 transcript:ONI19236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYSREALIQLLNLVQLKLHPRISIFDEVFKLMSRLDLVVDFSEFSRFYDFVFFMCRENGQKNITVNKAITAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWRQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRVSGSNENSNFNCNCGDSESWSCTYDDPLPGLKILPGLKRKLPGDLQIDEMESSATLFPTHPADLKPALSIKRSRPMSYIPANWEDNTTGSTVDGCMETIRHSSPLNSSKSPCAVEGCLLKGFAGLLSTRSCLQFDRDRGVSFT >ONI19237 pep chromosome:Prunus_persica_NCBIv2:G3:24966336:24969814:1 gene:PRUPE_3G266600 transcript:ONI19237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYSREALIQLLNLVQLKLHPRISIFDEVFKLMSRLDLVVDFSEFSRFYDFVFFMCRENGQKNITVNKAITAWRLVLAGRFRLLNQWCDFVEKNQRHNISEDTWRQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRVSGSNENSNFNCNCGDSESWSCTYDDPLPGLKILPGLKRKLPGDLQIDEMESSATLFPTHPADLKPALSIKRSRPMSYIPANWEDNTTGSTVDGCMETIRHSSPLNSSKSPCAVEGCLLKGFAGLLSTRSCLQFDRDRGVSFT >ONI19399 pep chromosome:Prunus_persica_NCBIv2:G3:25472890:25475721:-1 gene:PRUPE_3G277100 transcript:ONI19399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHDHNHHHHQHRPHRLSLPQRPTTPTTFAATPTAFPTTPTTSRQYPVYPFSSSSTPIATPTKHRLSSLNPKPFNPNNNSKSSLSFLFFLLLSLRSLYSLLPFLRSSPSFSLFPFSFLVSLLSFLLTLSCSLFTSSSSSSSSKDPFHQKQNQPIFSFTLITQSQHRVLVAKSILLAIVFLLRFQALRYCGAAAMILAELSGNVAARFLAEGRDSNLGGDRNRSSKVRGFLALFSGLLLLSLSWDRIECFPFSAKSVESLGLSVFPRVDCVRIWPMLLPFLSGFLGCYERVSMNWGTIRQLGRKRVRLISLFFTTVVLFVPAVVSVFMFEAEGDGVSFGNLAWPLANTVVFGVLLSESYGDDKSLSSKDFRKEYLVTFLCTLVLELFYFPELSLWGLLLCGLLLYVAVRELDPYYSNYLELGMDSSESFTTAIMKPIRHILSERKSRKIALFLLINTAYMFVEFAAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANHQFNYGRGRFEVLSGYTNAVFLVLVGALIVLESFERILDPQEISTSSLLVVSIGGLLVNVVGLVFFHEEHHHAHGGSGSCSHSHHHQHSHQSVGHDHGGHGKHEESIPISIECHENSCSGHDDHHEHQHGSYIGSKDHNFLSSECHDHDGHSHQHDHHGHSHQHDHHGHSHQHNHHGHSHQHDHHGHSHQHNHHGHSHQHDHIGHSHQHDHIGHSHQHDHHGHSHQQDQHDHPRQHEHHDHSCQHEHHDHAQQHTHSSEGKEVAESHIRGAGFQLKKLSTDGEKTARHQHHHIDHNMEGIFLHVLADTLGSVGVVISTLLIKYKGWLVADPACSIFISVLIISSVIPLLRNSAEILLQRVPRAHEQDMRKALIDVRKIRGISGIRNLHIWSFTNSEVVGTLHLHVSTDIDKALAKAQVSHVLYEAGIKDLTLQLECVGQ >ONI16644 pep chromosome:Prunus_persica_NCBIv2:G3:9410967:9415302:1 gene:PRUPE_3G112700 transcript:ONI16644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFFLEMAASSACLVGNGLSTHSTKQTLSKDFSGRHLLHPSALPSLGKVTKTSITRASLDQKQHEGRRGFLKLLLGNVGVGAPALLGAGNAMAADDQGVSSSRMSYSRFLEYLDKGRVTKVDLFENGTIAIVEAVSPELGNRLQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLQFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFVEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVSVDVPDIRGRTEILKVHASNKKFDGNVSLDVVAMRTPGFSGADLANLLNEAAILAGRRGKTAISPKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPADDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVTTFGMSDIGPWSLMDASQSGDVIMRMMARNSMSEKLAEDIDSAIKRLSDEAYEIALTHIRNNREAIDKIVEVLLEKETMTGDEFRALLAEFVEIPVENRVPASVPSPVAV >ONI16645 pep chromosome:Prunus_persica_NCBIv2:G3:9410703:9415325:1 gene:PRUPE_3G112700 transcript:ONI16645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSACLVGNGLSTHSTKQTLSKDFSGRHLLHPSALPSLGKVTKTSITRASLDQKQHEGRRGFLKLLLGNVGVGAPALLGAGNAMAADDQGVSSSRMSYSRFLEYLDKGRVTKVDLFENGTIAIVEAVSPELGNRLQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLQFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFVEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVSVDVPDIRGRTEILKVHASNKKFDGNVSLDVVAMRTPGFSGADLANLLNEAAILAGRRGKTAISPKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPADDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVTTFGMSDIGPWSLMDASQSGDVIMRMMARNSMSEKLAEDIDSAIKRLSDEAYEIALTHIRNNREAIDKIVEVLLEKETMTGDEFRALLAEFVEIPVENRVPASVPSPVAV >ONI16509 pep chromosome:Prunus_persica_NCBIv2:G3:8094597:8096055:1 gene:PRUPE_3G103100 transcript:ONI16509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFFLILMSFAILGTYTTTTFARARTFKEKSTLEFITIIPIYERPSRSPPPLPPYRPPPWSSQSQYQETSSDPRAGEGQASHNLVETNPIRLTTSPPTQKITSTSDTLENFERPVPSPPPPPDPSTPDIQVAAFFSD >ONI18531 pep chromosome:Prunus_persica_NCBIv2:G3:22156626:22161189:1 gene:PRUPE_3G220900 transcript:ONI18531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLCEFCGVVRAVVYCKSDLARLCLHCDGCVHSANILSRRHPRSLLCDKCNAQPAMVRCMDEKLSLCQSCDQWNHNNGDTTTGMVGHRTHALTCYTGCPSLSEFSRIWSSVLDGSSSSGFETNGGGGGGGGNNNWDQSLGSAQVPINDQTNCIGNALERRDNSERSFGVVTSKLSGSLDQSSCAKFEPWMARSTVISANPNGIPQGNKDQAPFLPQESSLSKDSNDLCDGLNIDDVPLNFENAEGIFSCPQGPSRYQFEDGEMDCLLMEKNLSVTESNGPNDNAVQASSSGPQDCVAFQSSCGSDNVMPAMNGSANCLLMNPTCSRNINLGFPTGQVHSSMSLSLSSMSRESNPDYQDCGLSPIFLTGEPWDSAMEASSPQARDKAKMRYKEKKKTRMFGKQIRYASRKARADTRKRVKGRFVKAGEEYDYDPLVTRNF >ONI19594 pep chromosome:Prunus_persica_NCBIv2:G3:25888524:25890818:1 gene:PRUPE_3G286400 transcript:ONI19594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEPTRIMLAVNESTIKGYPHASISSRKAFEWTLHKIVRSNTSGFKLLFLHVQVPDEDGFDDMDSVYASPEDFKNLRNRDRAKGLHLLEFFVERCHAIGVGCEAWIKKGDPKEVICHEVKRVQPDFLVVGCRGLGPFQRVFVGTVSEFCVKHAECPVITIKRSAEETPQDPVDD >ONI15201 pep chromosome:Prunus_persica_NCBIv2:G3:2262966:2266012:-1 gene:PRUPE_3G030300 transcript:ONI15201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPTSSSSSDDDDDRQNLIEQNDRKLPTPRSTTTTFHIADDDIDDSNHRHHQQLRRRFTSLKLNDLFNKRSFVLFAIFLPLFVLILFFTTDIKALVFSTSVSASPFGSVSDKLRESELRALYLLKQQQLGLFNLWNQTLPNPNSTSNQSNSPQIPLLEDFKSAVLRQISINKDIQQVLLSPHRSGNSTESDFRDFGDFENPSLGDRCGILDQKFAQRRTIEWKPNASKYLFAICVSGQMSNHLICLEKHMFFAALLNRVLVIPSAKVDYQYSRVLDIEHINKCLGRKVVVTFEELADAKKNHVHVDKFICYFSHPTPCYVDEERLKKLKGSGVSLSKLEPAWVEDVKKPSKRTVQDVQSKFSTSDDVIAIGDVFFADLEQDWVMQPRGPLAHKCKTLIEPSRLIMLTAQRFIQTFLGKNFIALHFRRHGFLKFCNNKQRSCFYPIPQAADCITRVAERANAPVVYLSTDAAESEIGLLQSLVVVNGKAVPLVKRPARNSAEKWDALLYRHGIEGDSQVEAMLDKTICAMSSVFIGASGSTFTEDILRLRKDWGSASLCDEYLCQGEDPNFLAENE >ONI17288 pep chromosome:Prunus_persica_NCBIv2:G3:16455779:16461771:1 gene:PRUPE_3G150500 transcript:ONI17288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGGAQRSLGSFLYNRLLNTSYSSSSTCTASAAANGRGFSTWVSSRRSSFAQTTEIPTSIPLKFANTQCGFALFLRKFSVGTSSFSSSDQMSLIKQLRERTSAPIKDVKAALIDSNWDIEAAQKELRRRGKVLASKKSSRMAAEGLLALAHSESKAAVIELNCETDFVARNDIFQHLALALAKQALLVESSSQLISGVFHVPSEDLEDSKLNLEHPKVSGEITVQNAITEVAAVMGENVKLRRGIVMSTTSHGVVSTYLHTSPQPGLGRIAGILSLSVEDKNSQLDAIQRVGSQLAMHVVAAKPLFLTKELVSSDVLESEREILKSQAESTGKSQMAIDKIVEGRLRKYYEEVVLLEQKFIMDDTLTVKKLLENLSMEVGSPVKIESFFRMEVGEGIQRLEASSASENLAQAI >ONI17290 pep chromosome:Prunus_persica_NCBIv2:G3:16455776:16461771:1 gene:PRUPE_3G150500 transcript:ONI17290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGGAQRSLGSFLYNRLLNTSYSSSSTCTASAAANGRGFSTWVSSRRSSFAQTTEIPTSIPLKFANTQCGFALFLRKFSVGTSSFSSSDQMSLIKQLRERTSAPIKDVKAALIDSNWDIEAAQKELRRRGKVLASKKSSRMAAEGLLALAHSESKAAVIELNCETDFVARNDIFQHLALALAKQALLVESSSQLISGVFHVPSEDLEDSKLNLEHPKVSGEITVQNAITEVAAVMGENVKLRRGIVMSTTSHGVVSTYLHTSPQPGLGRIAGILSLSVEDKNSQLDAIQRVGSQLAMHVVAAKPLFLTKELVSSDVLESEREILKSQAESTGKSQMAIDKIVEGRLRKYYEEVVLLEQKFIMDDTLTVKKLLENLSMEVGSPVKIESFFRMEVGEGIQRLEASSASENLAQAI >ONI17291 pep chromosome:Prunus_persica_NCBIv2:G3:16454046:16461771:1 gene:PRUPE_3G150500 transcript:ONI17291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGGAQRSLGSFLYNRLLNTSYSSSSTCTASAAANGRGFSTWVSSRRSSFAQTTEIPTSIPLKFANTQCGFALFLRKFSVGTSSFSSSDQMSLIKQLRERTSAPIKDVKAALIDSNWDIEAAQKELRRRGKVLASKKSSRMAAEGLLALAHSESKAAVIELNCETDFVARNDIFQHLALALAKQALLVESSSQLISGVFHVPSEDLEDSKLNLEHPKVSGEITVQNAITEVAAVMGENVKLRRGIVMSTTSHGVVSTYLHTSPQPGLGRIAGILSLSVEDKNSQLDAIQRVGSQLAMHVVAAKPLFLTKELVSSDVLESEREILKSQAESTGKSQMAIDKIVEGRLRKYYEEVVLLEQKFIMDDTLTVKKLLENLSMEVGSPVKIESFFRMEVGEGIQRLEASSASENLAQAI >ONI17289 pep chromosome:Prunus_persica_NCBIv2:G3:16455773:16461771:1 gene:PRUPE_3G150500 transcript:ONI17289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGGAQRSLGSFLYNRLLNTSYSSSSTCTASAAANGRGFSTWVSSRRSSFAQTTEIPTSIPLKFANTQCGFALFLRKFSVGTSSFSSSDQMSLIKQLRERTSAPIKDVKAALIDSNWDIEAAQKELRRRGKVLASKKSSRMAAEGLLALAHSESKAAVIELNCETDFVARNDIFQHLALALAKQALLVESSSQLISGVFHVPSEDLEDSKLNLEHPKVSGEITVQNAITEVAAVMGENVKLRRGIVMSTTSHGVVSTYLHTSPQPGLGRIAGILSLSVEDKNSQLDAIQRVGSQLAMHVVAAKPLFLTKELVSSDVLESEREILKSQAESTGKSQMAIDKIVEGRLRKYYEEVVLLEQKFIMDDTLTVKKLLENLSMEVGSPVKIESFFRMEVGEGIQRLEASSASENLAQAI >ONI17287 pep chromosome:Prunus_persica_NCBIv2:G3:16455773:16461771:1 gene:PRUPE_3G150500 transcript:ONI17287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGGAQRSLGSFLYNRLLNTSYSSSSTCTASAAANGRGFSTWVSSRRSSFAQTTEIPTSIPLKFANTQCGFALFLRKFSVGTSSFSSSDQMSLIKQLRERTSAPIKDVKAALIDSNWDIEAAQKELRRRGKVLASKKSSRMAAEGLLALAHSESKAAVIELNCETDFVARNDIFQHLALALAKQALLVESSSQLISGVFHVPSEDLEDSKLNLEHPKVSGEITVQNAITEVAAVMGENVKLRRGIVMSTTSHGVVSTYLHTSPQPGLGRIAGILSLSVEDKNSQLDAIQRVGSQLAMHVVAAKPLFLTKELVSSDVLESEREILKSQAESTGKSQMAIDKIVEGRLRKYYEEVVLLEQKFIMDDTLTVKKLLENLSMEVGSPVKIESFFRMEVGEGIQRLEASSASENLAQAI >ONI16556 pep chromosome:Prunus_persica_NCBIv2:G3:8447356:8447946:-1 gene:PRUPE_3G106300 transcript:ONI16556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CCWAFSAVAATEGITQLTTGKLISLSEQELVDCDTNGEDQGCEGGLMDDAFQFIQQNHGLGTEANYPYSGVDGTCNTKKEASIAAKITGYEDVPTNSEQALLKAVANQPVSVAIDASGSDFQFYSSGVFTGTCGTSLDHGVTAVGYGVGDDGTKFWLVKNSWGTEWGEEGYIRMQRDVDAAEGLCGIAMSASYPTA >ONI16505 pep chromosome:Prunus_persica_NCBIv2:G3:7978833:7980080:1 gene:PRUPE_3G102700 transcript:ONI16505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKIIMIISTLLISLITFQGTYSGHILVEARDLADQSSSKHPMSSLPGTDNIGSKLFVTKKGLTGNYTQRSKSPPPPPKAAPTPKQTPTITDILEKSPSSTRCISSA >ONI15851 pep chromosome:Prunus_persica_NCBIv2:G3:4720107:4725474:-1 gene:PRUPE_3G065400 transcript:ONI15851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQCLLGSYSSSSLSPNPKPKTLNKNPPKPLAPSSISSHLSTTEDNDENDKTQKPNFDFLKLSVTLTVISTALPQIPTGIAAVKEKKRVPKKSTLKKSEALSHQELQSWSQGLPVVSNRIPKPPGVELQKRVEPVLVVLEDNRVLRTVLPSVDSDRRFWEQWEELKIESLCVNAYTPPLKRPEVPSPYLGFVAKWPAFLSLFVKPKKESKRAMELRRAREEFKTQRKEELERMRKERDMIDKAMKAQKKEEERRVRREMRKKKHDESLRQARRNYLEMANVWANLAQDSNVATALGLVFFYIFYRTVVFSYRRQKKDYEDRLKIEKAEAEERKKMRELEREMEGIEGEEEEEIEQGKGEQNPYLKMAMQFMKSGARLRRAHNKRLPQYLERGVDVKFSDVAGLGKIRLELEEIAKFFTHGEMYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVSLDGFEGRGEVITIASTNRPDILDPALVRPGRFVERYISLSLVHARKKPMAEDVDYMAIASMTDGMVGAELANIVEVAAINMMRDGRTEITTDDLLQAAQMEERGMLDRKERSLDTWKQVAINEAAMAVVAVNYPDLKNIEFVTIAPGAGRELGYVRMKMDPIKFKEGMLTRQSLLDHITVQLAPRAADELWFGEDQLSTIWAETADNARSAARTYVLAGLSEKHHGLSNFWVADRLNDLDTEALQIVNMCYERAKEILRKNRKLMDAVVDELVQKKSLTKQEFCSLVELHGSIKPMPPSILDIKAAKRKQFQDMMMNQKEPALGSNL >ONI18937 pep chromosome:Prunus_persica_NCBIv2:G3:23867909:23887399:-1 gene:PRUPE_3G248400 transcript:ONI18937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFPKLVESLYSENKPISSADLLQRLRSSSDSIRPGLENLFLILKRGVEAAGDGKLGFQSWADSQIQAVYSIAYAIASSSRSLLVGQAEAIIVAIVQLSLEFAVCYLERSEFNSEDMSIQSMMVQLLEIALVDEMDKAPDTLQPCSVDSLVELLPSVTSSSCGNEFDNHIKCGPQGVNCSRSEKPVDRLFMSLASECIQSDRQTSGFGGPTVHQDLNKLVFLSQHWAVAHVGCIQRLILLCKELIVLPDMFDEKMAGTNFYKRLSFSLRIIKLLGSLTKDIPYIEYDASLVQAVGTFADAVPVLFRSGFEFVNSNVAADGSFESLTLLLLEDFLELVRVTFCNSSVFLNVQVCVVASILDNLDSSVWRYNKSAANLKPPLAYSPRIVVYILMLIHDLKRQTSRAVNWKELDTELVGSSVNFLGSPSCIVHSEKVPLLHRFTFEHLVQMIFPSSKQWMDDLMHLILFLHSEGVKLRPKVERSYSSCAKTTCSSELENVVCHEEEALFGDLFSESGRGSTDGYDQPPVVANSSSSQSNMPMEAATELLSFFKVCIFSPEWHPSVFADGCSKLSKSHIDIFLSLLHSQGCAEERSAEGYSLSHEERKIGHAHELCFDLFQDLVTRHALSDSLEEYFVEKVLNVENDTFVYNNQTLTLLAHTLFCRVGLAGSRLRNQIFRGFVDFVSEKTKAISLKCPSFKELLEALPSPFHIEILLVAFHLSSEEERASHAKLIFSALRTIGAPASGFNSTHLSCWALLVSRLILVLRHMIFYPQTCPSSLLVHLRSKLREAPYSSSQPGVNDHLSSWVSIVFKNVMTTWCEEEPDISPLIHQLIDISALPASLSTDSLNIDRLCLSWDDICSTMSSILGFWKGKQAAVVEDLIIERYIFVLCWDFPTIGTATDHQLPLGSDPQTLDTSEIANFFYFSHSILGHHGVGVKNNFSEVIVHLLQHLDAELVPEYIEELGWGFLRNAMWLSLALSLLDVGIWRYGAKNRVTGVVSNWIENMSKDNEYIAVAEGMISSLMDAGHVSMLFKIFSSLLKRYLQAYQNAFVATFGNSQKDADGFSPLLLFKHSGFDRCLQDELGKTGTYSFRLESVLDLLVKFDAIIDKRASGILCRVSWECMLHGFPFNLQTHSGILLSCIFNIRGIISILVGLLKIKDVIGNVSVEIEVLRQILDTVVTIKFDRIFESIHGKCETIYESLSAGLGGSDYANLILLEHLEGFLRDINARGVSDNSIYECIITKAIDMMDSLRKDPTKVDIFKFYLGVEDVPEQVKALFGVQRGDLLVLIDALHNCYSETVNIKVLSFFVDLLTGELCPDLKHKIQNKFLSMDLLLLSKWLEKRLLGCVVEASGGVNSAKGSSLSLRESTMNFILCIVSPPSDLKSTELQSHIFEAVLVSLDPAFLKFDIHVAKSFFHFVVQLSKGDASVKLLLKRTIMLMPKLTGNDCLLPGLKFLFDFFCSVLSDCGSGKNTPEKLSGKSLPGNAFGMGPMASRPIGSRKNSETLVLSTNEEGGSIALDCDATSVDEDEDDGTSDGEVASLDKDDEDDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKYTGSSSAPIRSTSNFQSFLPFTEDGEQLPESDSDLDEDTSTDVDNSLRLSIPRELQDGITPLLEELDVEGQVLELCSSLFPYITSRRESNLSKDNKIILGKDKVLSFGVDLLQLKKAYKSGSLDLKIKADYSNAKELKSHLASGSLVKSLLSVSIRGRLAVGEGDKVAIFDVGQLIGQATIAPVTADKTNVKPLSKNVVRFEIVQLTFNPVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRRVDWVPGSQVQLMVVTNRFVKIYDLSQDNISPIHYFTLPDDMIVDATLLLATLGRMFLIVLSENGRLFRLELSVDGNVGATPLKEVIQIQDKEINAKGSSLYFSSVYKLLFLSYQDGTALVGRLSPNATSLSEVSTIYEEEQDGKLRSAGLHRWKELLAGSGLFVCFSSIKLNSAIAVSMGSQELFAQNLRHAVGSTSPLVGATAYKPLSKDKIHCLVLHDDGSLQIYSHVPMGVDAGASVTAEKVKKLGSGILSNKAYAGVNPEFPLDFFEKTVCITADVKLGGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKISVFNSNPDIIMVGFRVHVGNTSANHIPSDITIFHRVIKLDEGMRSWYDIPFTVAESLLADEEFTISVGPTFNGSALPRIDCLEVYGRAKDEFGWKEKMDAVLDMEARVLGCNSLLSGSGKKRRSMQSAPIQEQVIADGLKLLSSIYSLSRSQGCSKAEEVNPELMKLRCKQLLEKIFESDREPLLQAAACHVLQAVFPKKDTYYHVKDTMRLLGVVKSTSVLSSRLGVGGTAGAWIVEEFTAQMRAVSKIALHRRSNLATFLEKNGSEVVDGLIQVLWGILDLEQLDTQTMNNIVISSVELIYCYAECLALHGKDTGVHSVGPAVVLFKKLLFSPNEAVQTSTSLAISSRLLQVPFPKQTMLATDDAAENAVSAPVHADTTGRNAQVMIEEDSITSSVQYCCDGCTTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPPPHSRDHPMTAIPIEVESLGGDGNEFHFTPDDVSDSSILPVTADSRTQNSAPSIHVLEPNESGEFSASVNDPVSISASKRALNSLILSELLEQLKGWMQSTSGVRAIPIMQLFYRLSSAVGGPFIDISKPESLDLEKLIRWFLDELNLNQPLVAKARGSFGEVAILIFMFFTLMLRNWHQPGSDSSMPKPSGTAETHDKTIIQISPSTSVAASSSLDDQEKNDFASQLLRACNSLRQQSVVNYLMDILQQLMHVFKSPSVNYENAGPGSGCGALLTVRRDVVAGNFSPFFSDSYAKAHRTDIFMDYHRLLLENTFRLVYTLVRPEKQDKTGEKEKVSKISSGKDLKLDGYQDVLCSYINNPHTTFVRRYARRLFLHLSGSKTHYYSVRDSWQFSSEMKKLFKHVNKSGGFQNPLSYERSVKIVKCLSTMAEVAAARPRNWQKYCLRHSDFLPFLINGVFYLGEESVIQILKLLNLSFYAGKDIGNSLQKNEAVDSGINSNKSGSQSQDPKKKKKGEEGTESGSDKSYLDMESVIDIFSDKGGDVLKQFIDCFLLEWNSSSVRAEAKCVLFGVWHHAKQSFKETMMMALLQKVKCLPMYGQNIVEYTELVTWLLGKVPDISSKQQSSELVDRCLTPDVIRCLFETLHSQNELLANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDDMENDEDMKRGLAAIETESENAHRRYQQLLGFKKPLLKIVSSVGENEIDSQQKDSVQQMMVSLPGPACKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKRADSGVAASRFVVSRSPNNCYGCATTFVTQCLEVLQVLSKHPSSKRQLVAASILTELFENNIHQGPKTARVQARTVLCAFSEGDINAVTELNSLIQKKVMYCLEHHRSMDIALATREELSLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAISEHVILPCLRIISQACTPPKPDVPDKEPSMGKATTGSQIKDESNSISGSLGGLGSGGKPTPESLDKNWDASQKTQDIQLLSYAEWEKGASYLDFVRRQYKVSQSTKGGSQRPRPQRQDFLALKYALRWKRRTSKTAKNDLSAFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSTSRRFRLLNLLVSLLPATLSAGESAAEYFESLFKMIDSEDARLFLTVRGCLGTICKLITQEVGNVESLERSMHIDISQGFILHKLIELLGKFLEVPNIRSRFMRNNLLSEILEALIVIRGLVVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIRACICGLQNHGEERKGRTCLFILEQLCNLICPSKPEPVYLLVLNKAHTQEEFIRGSMTKNPYSSSEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSNQSSNAMANTTLLSPNAVPSARDSPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREYDGLEIILSMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALGLLLETARHAFSVDAMEPAEGILLIVESLTLEANESDNINITQSALTVTSEETGEQAKKIVLMFLERLSHPLGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALILHFSPPLQDWREYDRLQKEHEDNPKDENIAQQAAKQRFTLENFVRVSESLKTSSCGERLKDIILERGITGVAVGHLRDSFSVAGKAGFKSTTEWAIGLKLPSVPLILSMLRGLSTGHLATQKCIDQGGILPLLHALEGVSGENEIGARAENLLDTLSNKEGKGDGFLEEKVLMLRHATRDEMRRRALRKREELLLGLGMRQELASDGGERIIVARPLLEGLEDVEEEEEDGLACMVCREGYSLRPTDLLGVYSYSKRVNLGAGPSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWEGATLRNNESLCNSLFPVRGPSVPLAQYIRYVDQYWDNLNALGRADASRLRLLTYDIVLYREYE >ONI18935 pep chromosome:Prunus_persica_NCBIv2:G3:23866822:23887399:-1 gene:PRUPE_3G248400 transcript:ONI18935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAFPKLVESLYSENKPISSADLLQRLRSSSDSIRPGLENLFLILKRGVEAAGDGKLGFQSWADSQIQAVYSIAYAIASSSRSLLVGQAEAIIVAIVQLSLEFAVCYLERSEFNSEDMSIQSMMVQLLEIALVDEMDKAPDTLQPCSVDSLVELLPSVTSSSCGNEFDNHIKCGPQGVNCSRSEKPVDRLFMSLASECIQSDRQTSGFGGPTVHQDLNKLVFLSQHWAVAHVGCIQRLILLCKELIVLPDMFDEKMAGTNFYKRLSFSLRIIKLLGSLTKDIPYIEYDASLVQAVGTFADAVPVLFRSGFEFVNSNVAADGSFESLTLLLLEDFLELVRVTFCNSSVFLNVQVCVVASILDNLDSSVWRYNKSAANLKPPLAYSPRIVVYILMLIHDLKRQTSRAVNWKELDTELVGSSVNFLGSPSCIVHSEKVPLLHRFTFEHLVQMIFPSSKQWMDDLMHLILFLHSEGVKLRPKVERSYSSCAKTTCSSELENVVCHEEEALFGDLFSESGRGSTDGYDQPPVVANSSSSQSNMPMEAATELLSFFKVCIFSPEWHPSVFADGCSKLSKSHIDIFLSLLHSQGCAEERSAEGYSLSHEERKIGHAHELCFDLFQDLVTRHALSDSLEEYFVEKVLNVENDTFVYNNQTLTLLAHTLFCRVGLAGSRLRNQIFRGFVDFVSEKTKAISLKCPSFKELLEALPSPFHIEILLVAFHLSSEEERASHAKLIFSALRTIGAPASGFNSTHLSCWALLVSRLILVLRHMIFYPQTCPSSLLVHLRSKLREAPYSSSQPGVNDHLSSWVSIVFKNVMTTWCEEEPDISPLIHQLIDISALPASLSTDSLNIDRLCLSWDDICSTMSSILGFWKGKQAAVVEDLIIERYIFVLCWDFPTIGTATDHQLPLGSDPQTLDTSEIANFFYFSHSILGHHGVGVKNNFSEVIVHLLQHLDAELVPEYIEELGWGFLRNAMWLSLALSLLDVGIWRYGAKNRVTGVVSNWIENMSKDNEYIAVAEGMISSLMDAGHVSMLFKIFSSLLKRYLQAYQNAFVATFGNSQKDADGFSPLLLFKHSGFDRCLQDELGKTGTYSFRLESVLDLLVKFDAIIDKRASGILCRVSWECMLHGFPFNLQTHSGILLSCIFNIRGIISILVGLLKIKDVIGNVSVEIEVLRQILDTVVTIKFDRIFESIHGKCETIYESLSAGLGGSDYANLILLEHLEGFLRDINARGVSDNSIYECIITKAIDMMDSLRKDPTKVDIFKFYLGVEDVPEQVKALFGVQRGDLLVLIDALHNCYSETVNIKVLSFFVDLLTGELCPDLKHKIQNKFLSMDLLLLSKWLEKRLLGCVVEASGGVNSAKGSSLSLRESTMNFILCIVSPPSDLKSTELQSHIFEAVLVSLDPAFLKFDIHVAKSFFHFVVQLSKGDASVKLLLKRTIMLMPKLTGNDCLLPGLKFLFDFFCSVLSDCGSGKNTPEKLSGKSLPGNAFGMGPMASRPIGSRKNSETLVLSTNEEGGSIALDCDATSVDEDEDDGTSDGEVASLDKDDEDDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKYTGSSSAPIRSTSNFQSFLPFTEDGEQLPESDSDLDEDTSTDVDNSLRLSIPRELQDGITPLLEELDVEGQVLELCSSLFPYITSRRESNLSKDNKIILGKDKVLSFGVDLLQLKKAYKSGSLDLKIKADYSNAKELKSHLASGSLVKSLLSVSIRGRLAVGEGDKVAIFDVGQLIGQATIAPVTADKTNVKPLSKNVVRFEIVQLTFNPVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRRVDWVPGSQVQLMVVTNRFVKIYDLSQDNISPIHYFTLPDDMIVDATLLLATLGRMFLIVLSENGRLFRLELSVDGNVGATPLKEVIQIQDKEINAKGSSLYFSSVYKLLFLSYQDGTALVGRLSPNATSLSEVSTIYEEEQDGKLRSAGLHRWKELLAGSGLFVCFSSIKLNSAIAVSMGSQELFAQNLRHAVGSTSPLVGATAYKPLSKDKIHCLVLHDDGSLQIYSHVPMGVDAGASVTAEKVKKLGSGILSNKAYAGVNPEFPLDFFEKTVCITADVKLGGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKISVFNSNPDIIMVGFRVHVGNTSANHIPSDITIFHRVIKLDEGMRSWYDIPFTVAESLLADEEFTISVGPTFNGSALPRIDCLEVYGRAKDEFGWKEKMDAVLDMEARVLGCNSLLSGSGKKRRSMQSAPIQEQVIADGLKLLSSIYSLSRSQGCSKAEEVNPELMKLRCKQLLEKIFESDREPLLQAAACHVLQAVFPKKDTYYHVKDTMRLLGVVKSTSVLSSRLGVGGTAGAWIVEEFTAQMRAVSKIALHRRSNLATFLEKNGSEVVDGLIQVLWGILDLEQLDTQTMNNIVISSVELIYCYAECLALHGKDTGVHSVGPAVVLFKKLLFSPNEAVQTSTSLAISSRLLQVPFPKQTMLATDDAAENAVSAPVHADTTGRNAQVMIEEDSITSSVQYCCDGCTTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPPPHSRDHPMTAIPIEVESLGGDGNEFHFTPDDVSDSSILPVTADSRTQNSAPSIHVLEPNESGEFSASVNDPVSISASKRALNSLILSELLEQLKGWMQSTSGVRAIPIMQLFYRLSSAVGGPFIDISKPESLDLEKLIRWFLDELNLNQPLVAKARGSFGEVAILIFMFFTLMLRNWHQPGSDSSMPKPSGTAETHDKTIIQISPSTSVAASSSLDDQEKNDFASQLLRACNSLRQQSVVNYLMDILQQLMHVFKSPSVNYENAGPGSGCGALLTVRRDVVAGNFSPFFSDSYAKAHRTDIFMDYHRLLLENTFRLVYTLVRPEKQDKTGEKEKVSKISSGKDLKLDGYQDVLCSYINNPHTTFVRRYARRLFLHLSGSKTHYYSVRDSWQFSSEMKKLFKHVNKSGGFQNPLSYERSVKIVKCLSTMAEVAAARPRNWQKYCLRHSDFLPFLINGVFYLGEESVIQILKLLNLSFYAGKDIGNSLQKNEAVDSGINSNKSGSQSQDPKKKKKGEEGTESGSDKSYLDMESVIDIFSDKGGDVLKQFIDCFLLEWNSSSVRAEAKCVLFGVWHHAKQSFKETMMMALLQKVKCLPMYGQNIVEYTELVTWLLGKVPDISSKQQSSELVDRCLTPDVIRCLFETLHSQNELLANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDDMENDEDMKRGLAAIETESENAHRRYQQLLGFKKPLLKIVSSVGENEIDSQQKDSVQQMMVSLPGPACKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKRADSGVAASRFVVSRSPNNCYGCATTFVTQCLEVLQVLSKHPSSKRQLVAASILTELFENNIHQGPKTARVQARTVLCAFSEGDINAVTELNSLIQKKVMYCLEHHRSMDIALATREELSLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAISEHVILPCLRIISQACTPPKPDVPDKEPSMGKATTGSQIKDESNSISGSLGGLGSGGKPTPESLDKNWDASQKTQDIQLLSYAEWEKGASYLDFVRRQYKVSQSTKGGSQRPRPQRQDFLALKYALRWKRRTSKTAKNDLSAFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSTSRRFRLLNLLVSLLPATLSAGESAAEYFESLFKMIDSEDARLFLTVRGCLGTICKLITQEVGNVESLERSMHIDISQGFILHKLIELLGKFLEVPNIRSRFMRNNLLSEILEALIVIRGLVVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIRACICGLQNHGEERKGRTCLFILEQLCNLICPSKPEPVYLLVLNKAHTQEEFIRGSMTKNPYSSSEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSNQSSNAMANTTLLSPNAVPSARDSPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREYDGLEIILSMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALGLLLETARHAFSVDAMEPAEGILLIVESLTLEANESDNINITQSALTVTSEETGEQAKKIVLMFLERLSHPLGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALILHFSPPLQDWREYDRLQKEHEDNPKDENIAQQAAKQRFTLENFVRVSESLKTSSCGERLKDIILERGITGVAVGHLRDSFSVAGKAGFKSTTEWAIGLKLPSVPLILSMLRGLSTGHLATQKCIDQGGILPLLHALEGVSGENEIGARAENLLDTLSNKEGKGDGFLEEKVLMLRHATRDEMRRRALRKREELLLGLGMRQELASDGGERIIVARPLLEGLEDVEEEEEDGLACMVCREGYSLRPTDLLGVYSYSKRVNLGAGPSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWEGATLRNNESLCNSLFPVRGPSVPLAQYIRYVDQYWDNLNALGRADASRLRLLTYDIVLMLARFATGASFSAESRGGGRESNSRFLPFMIQMARHLLDQGSPSQRHTMAKSVSTYLTSSSLDSRPSTPEKQPSLGSEETVQFMMVNSLLSESHESWVQHRRAFLQRGIYHAYMQHTHGRSAGRTSSSSSPIVKIESGNTSQSPSAEIGGADELLSVIRPMLVYTGLIEQLQRFFKVQKSANLSLTRTEGTSTASEGEDDSGSLEGWEVVMKERLLNVKEMVDFSKELLSWLDEMSSSSDLQEAFDIIGVLADVLSGGITNCEDFVRAAINAGRG >ONI18936 pep chromosome:Prunus_persica_NCBIv2:G3:23866822:23885897:-1 gene:PRUPE_3G248400 transcript:ONI18936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVQLLEIALVDEMDKAPDTLQPCSVDSLVELLPSVTSSSCGNEFDNHIKCGPQGVNCSRSEKPVDRLFMSLASECIQSDRQTSGFGGPTVHQDLNKLVFLSQHWAVAHVGCIQRLILLCKELIVLPDMFDEKMAGTNFYKRLSFSLRIIKLLGSLTKDIPYIEYDASLVQAVGTFADAVPVLFRSGFEFVNSNVAADGSFESLTLLLLEDFLELVRVTFCNSSVFLNVQVCVVASILDNLDSSVWRYNKSAANLKPPLAYSPRIVVYILMLIHDLKRQTSRAVNWKELDTELVGSSVNFLGSPSCIVHSEKVPLLHRFTFEHLVQMIFPSSKQWMDDLMHLILFLHSEGVKLRPKVERSYSSCAKTTCSSELENVVCHEEEALFGDLFSESGRGSTDGYDQPPVVANSSSSQSNMPMEAATELLSFFKVCIFSPEWHPSVFADGCSKLSKSHIDIFLSLLHSQGCAEERSAEGYSLSHEERKIGHAHELCFDLFQDLVTRHALSDSLEEYFVEKVLNVENDTFVYNNQTLTLLAHTLFCRVGLAGSRLRNQIFRGFVDFVSEKTKAISLKCPSFKELLEALPSPFHIEILLVAFHLSSEEERASHAKLIFSALRTIGAPASGFNSTHLSCWALLVSRLILVLRHMIFYPQTCPSSLLVHLRSKLREAPYSSSQPGVNDHLSSWVSIVFKNVMTTWCEEEPDISPLIHQLIDISALPASLSTDSLNIDRLCLSWDDICSTMSSILGFWKGKQAAVVEDLIIERYIFVLCWDFPTIGTATDHQLPLGSDPQTLDTSEIANFFYFSHSILGHHGVGVKNNFSEVIVHLLQHLDAELVPEYIEELGWGFLRNAMWLSLALSLLDVGIWRYGAKNRVTGVVSNWIENMSKDNEYIAVAEGMISSLMDAGHVSMLFKIFSSLLKRYLQAYQNAFVATFGNSQKDADGFSPLLLFKHSGFDRCLQDELGKTGTYSFRLESVLDLLVKFDAIIDKRASGILCRVSWECMLHGFPFNLQTHSGILLSCIFNIRGIISILVGLLKIKDVIGNVSVEIEVLRQILDTVVTIKFDRIFESIHGKCETIYESLSAGLGGSDYANLILLEHLEGFLRDINARGVSDNSIYECIITKAIDMMDSLRKDPTKVDIFKFYLGVEDVPEQVKALFGVQRGDLLVLIDALHNCYSETVNIKVLSFFVDLLTGELCPDLKHKIQNKFLSMDLLLLSKWLEKRLLGCVVEASGGVNSAKGSSLSLRESTMNFILCIVSPPSDLKSTELQSHIFEAVLVSLDPAFLKFDIHVAKSFFHFVVQLSKGDASVKLLLKRTIMLMPKLTGNDCLLPGLKFLFDFFCSVLSDCGSGKNTPEKLSGKSLPGNAFGMGPMASRPIGSRKNSETLVLSTNEEGGSIALDCDATSVDEDEDDGTSDGEVASLDKDDEDDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKYTGSSSAPIRSTSNFQSFLPFTEDGEQLPESDSDLDEDTSTDVDNSLRLSIPRELQDGITPLLEELDVEGQVLELCSSLFPYITSRRESNLSKDNKIILGKDKVLSFGVDLLQLKKAYKSGSLDLKIKADYSNAKELKSHLASGSLVKSLLSVSIRGRLAVGEGDKVAIFDVGQLIGQATIAPVTADKTNVKPLSKNVVRFEIVQLTFNPVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRRVDWVPGSQVQLMVVTNRFVKIYDLSQDNISPIHYFTLPDDMIVDATLLLATLGRMFLIVLSENGRLFRLELSVDGNVGATPLKEVIQIQDKEINAKGSSLYFSSVYKLLFLSYQDGTALVGRLSPNATSLSEVSTIYEEEQDGKLRSAGLHRWKELLAGSGLFVCFSSIKLNSAIAVSMGSQELFAQNLRHAVGSTSPLVGATAYKPLSKDKIHCLVLHDDGSLQIYSHVPMGVDAGASVTAEKVKKLGSGILSNKAYAGVNPEFPLDFFEKTVCITADVKLGGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKISVFNSNPDIIMVGFRVHVGNTSANHIPSDITIFHRVIKLDEGMRSWYDIPFTVAESLLADEEFTISVGPTFNGSALPRIDCLEVYGRAKDEFGWKEKMDAVLDMEARVLGCNSLLSGSGKKRRSMQSAPIQEQVIADGLKLLSSIYSLSRSQGCSKAEEVNPELMKLRCKQLLEKIFESDREPLLQAAACHVLQAVFPKKDTYYHVKDTMRLLGVVKSTSVLSSRLGVGGTAGAWIVEEFTAQMRAVSKIALHRRSNLATFLEKNGSEVVDGLIQVLWGILDLEQLDTQTMNNIVISSVELIYCYAECLALHGKDTGVHSVGPAVVLFKKLLFSPNEAVQTSTSLAISSRLLQVPFPKQTMLATDDAAENAVSAPVHADTTGRNAQVMIEEDSITSSVQYCCDGCTTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPPPHSRDHPMTAIPIEVESLGGDGNEFHFTPDDVSDSSILPVTADSRTQNSAPSIHVLEPNESGEFSASVNDPVSISASKRALNSLILSELLEQLKGWMQSTSGVRAIPIMQLFYRLSSAVGGPFIDISKPESLDLEKLIRWFLDELNLNQPLVAKARGSFGEVAILIFMFFTLMLRNWHQPGSDSSMPKPSGTAETHDKTIIQISPSTSVAASSSLDDQEKNDFASQLLRACNSLRQQSVVNYLMDILQQLMHVFKSPSVNYENAGPGSGCGALLTVRRDVVAGNFSPFFSDSYAKAHRTDIFMDYHRLLLENTFRLVYTLVRPEKQDKTGEKEKVSKISSGKDLKLDGYQDVLCSYINNPHTTFVRRYARRLFLHLSGSKTHYYSVRDSWQFSSEMKKLFKHVNKSGGFQNPLSYERSVKIVKCLSTMAEVAAARPRNWQKYCLRHSDFLPFLINGVFYLGEESVIQILKLLNLSFYAGKDIGNSLQKNEAVDSGINSNKSGSQSQDPKKKKKGEEGTESGSDKSYLDMESVIDIFSDKGGDVLKQFIDCFLLEWNSSSVRAEAKCVLFGVWHHAKQSFKETMMMALLQKVKCLPMYGQNIVEYTELVTWLLGKVPDISSKQQSSELVDRCLTPDVIRCLFETLHSQNELLANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDDMENDEDMKRGLAAIETESENAHRRYQQLLGFKKPLLKIVSSVGENEIDSQQKDSVQQMMVSLPGPACKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKRADSGVAASRFVVSRSPNNCYGCATTFVTQCLEVLQVLSKHPSSKRQLVAASILTELFENNIHQGPKTARVQARTVLCAFSEGDINAVTELNSLIQKKVMYCLEHHRSMDIALATREELSLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAISEHVILPCLRIISQACTPPKPDVPDKEPSMGKATTGSQIKDESNSISGSLGGLGSGGKPTPESLDKNWDASQKTQDIQLLSYAEWEKGASYLDFVRRQYKVSQSTKGGSQRPRPQRQDFLALKYALRWKRRTSKTAKNDLSAFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSTSRRFRLLNLLVSLLPATLSAGESAAEYFESLFKMIDSEDARLFLTVRGCLGTICKLITQEVGNVESLERSMHIDISQGFILHKLIELLGKFLEVPNIRSRFMRNNLLSEILEALIVIRGLVVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIRACICGLQNHGEERKGRTCLFILEQLCNLICPSKPEPVYLLVLNKAHTQEEFIRGSMTKNPYSSSEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSNQSSNAMANTTLLSPNAVPSARDSPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREYDGLEIILSMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALGLLLETARHAFSVDAMEPAEGILLIVESLTLEANESDNINITQSALTVTSEETGEQAKKIVLMFLERLSHPLGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALILHFSPPLQDWREYDRLQKEHEDNPKDENIAQQAAKQRFTLENFVRVSESLKTSSCGERLKDIILERGITGVAVGHLRDSFSVAGKAGFKSTTEWAIGLKLPSVPLILSMLRGLSTGHLATQKCIDQGGILPLLHALEGVSGENEIGARAENLLDTLSNKEGKGDGFLEEKVLMLRHATRDEMRRRALRKREELLLGLGMRQELASDGGERIIVARPLLEGLEDVEEEEEDGLACMVCREGYSLRPTDLLGVYSYSKRVNLGAGPSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWEGATLRNNESLCNSLFPVRGPSVPLAQYIRYVDQYWDNLNALGRADASRLRLLTYDIVLMLARFATGASFSAESRGGGRESNSRFLPFMIQMARHLLDQGSPSQRHTMAKSVSTYLTSSSLDSRPSTPEKQPSLGSEETVQFMMVNSLLSESHESWVQHRRAFLQRGIYHAYMQHTHGRSAGRTSSSSSPIVKIESGNTSQSPSAEIGGADELLSVIRPMLVYTGLIEQLQRFFKVQKSANLSLTRTEGTSTASEGEDDSGSLEGWEVVMKERLLNVKEMVDFSKELLSWLDEMSSSSDLQEAFDIIGVLADVLSGGITNCEDFVRAAINAGRG >ONI14815 pep chromosome:Prunus_persica_NCBIv2:G3:674498:679274:1 gene:PRUPE_3G010600 transcript:ONI14815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDGFRRLQVSSAPPRKINVQKFAESRAPELETLHTIVSNRVNNDFRSRRSKRRRTTAYDNQAAKKRCRKKRKLGLVDQSSNALPPEKDEKNVPRRIRRRTELKMNLENGFCTSGDGTKRLRTHIWHAKRFTMTKLWGYYLPLGLQGRGRGSKAVLKWFKDGMLVHDASYHVAIQLEGPEDSLLSVLEMVMVPSSSSAPSVISGIIYDSAMLHHLGAPFSTPIAPVTYMWRPSGQPSDGCNGLEGTENSSTFRQLWVWIHASVLTEAYHTLKLACQKEMDNRGILINCISLEGQLAKLEVVGLKAFQLLQRTLYPTTRTRDDSWNLMKHSVSEAKDDSQSKIILEKEDSIPSHAILSLNVKDPRTLTEKEKIAYAPESGSSSILGDVLGTERKEHVVFGRFSDEPEGSGMLAEKSLWDVSSGVSPPVEEEVICKEKHDQHKNFLCLDDSSSGALNTSTKSPCSRSCPIMLLKNNNGRGLNIGWSVILPLSWVRAFWISLVSKGAHAMGLREKHLISSEVGLPYFPSDFPDCNAYLCLKETEAVASSLKEELRPPAIRPLRVPILPPWNTIRAALNEGSTTVGEDEIFRQENGVRSNSSSNSDCGLSDPTLAACLGYYCMLTRKVFLKREQSFVHLN >ONI14816 pep chromosome:Prunus_persica_NCBIv2:G3:674498:678338:1 gene:PRUPE_3G010600 transcript:ONI14816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVPSSSSAPSVISGIIYDSAMLHHLGAPFSTPIAPVTYMWRPSGQPSDGCNGLEGTENSSTFRQLWVWIHASVLTEAYHTLKLACQKEMDNRGILINCISLEGQLAKLEVVGLKAFQLLQRTLYPTTRTRDDSWNLMKHSVSEAKDDSQSKIILEKEDSIPSHAILSLNVKDPRTLTEKEKIAYAPESGSSSILGDVLGTERKEHVVFGRFSDEPEGSGMLAEKSLWDVSSGVSPPVEEEVICKEKHDQHKNFLCLDDSSSGALNTSTKSPCSRSCPIMLLKNNNGRGLNIGWSVILPLSWVRAFWISLVSKGAHAMGLREKHLISSEVGLPYFPSDFPDCNAYLCLKETEAVASSLKEELRPPAIRPLRVPILPPWNTIRAALNEGSTTVGEDEIFRQENGVRSNSSSNSDCGLSDPTLAACLGYYCMLTRKVFLKREQSFVHLN >ONI14814 pep chromosome:Prunus_persica_NCBIv2:G3:674498:679267:1 gene:PRUPE_3G010600 transcript:ONI14814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDGFRRLQVSSAPPRKINVQKFAESRAPELETLHTIVSNRVNNDFRSRRSKRRRTTAYDNQAAKKRCRKKRKLGLVDQSSNALPPEKDEKNVPRRIRRRTELKMNLENGFCTSGDGTKRLRTHIWHAKRFTMTKLWGYYLPLGLQGRGRGSKAVLKWFKDGMLVHDASYHVAIQLEGPEDSLLSVLEMVMVPSSSSAPSVISGIIYDSAMLHHLGAPFSTPIAPVTYMWRPSGQPSDGCNGLEGTENSSTFRQLWVWIHASVLTEAYHTLKLACQKEMDNRGILINCISLEGQLAKLEVVGLKAFQLLQRTLYPTTRTRDDSWNLMKHSVSEAKDDSQSKIILEKEDSIPSHAILSLNVKDPRTLTEKEKIAYAPESGSSSILGDVLGTERKEHVVFGRFSDEPEGSGMLAEKSLWDVSSGVSPPVEEEVICKEKHDQHKNFLCLDDSSSGALNTSTKSPCSRSCPIMLLKNNNGRGLNIGWSVILPLSWVRAFWISLVSKGAHAMGLREKHLISSEVGLPYFPSDFPDCNAYLCLKETEAVASSLKEELRPPAIRPLRVPILPPWNTIRAALNEGSTTVGEDEIFRQENGVRSNSSSNSDCGLSDPTLAACLGNSFDGSVARTSVSLTKFLNEIQGCHLRLCPHVADKQTSFTKFMRDESKLGLGQNGINKLKYNRKLCFVRVLLHAYKEGFLEEGAVVCAPQLTDISMWKRSESFDGGLQMPQSAVTSYFKEQSSGKWELQIPGDTVGRESHRWPIGFVTTGFVRGSKKPVAEAFCEAFVLNRLREEQWDSKPAKRRRKEIYVLVRNLRSSAYRLALATIVLEHQDEDVEFM >ONI15223 pep chromosome:Prunus_persica_NCBIv2:G3:2319890:2323534:-1 gene:PRUPE_3G031200 transcript:ONI15223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSPRCFQAPSFISCSGEPPLEGSTSFYGKSKTNPYADTFPDPLCKLNLKETSEFVKSFPVPSNASSEGNRGFLDTSAQRREGMSSVTQRRLEAPPTPGRPVFSFSVGNFARKSFPSKWDDAEKWLISTSCHDSPAHTIKPSADSTKIVNANQGDNFKQQMEVFAEKTRVAEEKVSKTVSSFQRCASLNNHNSGKAFNGVSTSTDVLLKDKFVDDIEPVLPNSRYLEPTKEGFLFKNSACETMKDAGTEEVHHLQHRDVGTEMTPLGSSTTSRCHTPFKCSSPARHNTPANRSGPLALGYSSSTNNTIDIAQLQECLGTQYDSTASNWNSREEEEVEISKSLRHFETSHPCRESISESRAAAWEEDENNKCCLRYQREEAKIQAWVNLQSAKAEAQSRKLEVKIQKMRSNLEDKLMKRMAIVHRKAEEWRATARQQHSEQINKATNNAQKMINRHNPHFSGHISCGCFPCNTH >ONI15224 pep chromosome:Prunus_persica_NCBIv2:G3:2320467:2323534:-1 gene:PRUPE_3G031200 transcript:ONI15224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSPRCFQAPSFISCSGEPPLEGSTSFYGKSKTNPYADTFPDPLCKLNLKETSEFVKSFPVPSNASSEGNRGFLDTSAQRREGMSSVTQRRLEAPPTPGRPVFSFSVGNFARKSFPSKWDDAEKWLISTSCHDSPAHTIKPSADSTKIVNANQGDNFKQQMEVFAEKTRVAEEKVSKTVSSFQRCASLNNHNSGKAFNGVSTSTDVLLKDKFVDDIEPVLPNSRYLEPTKEGFLFKNSACETMKDAGTEEVHHLQHRDVGTEMTPLGSSTTSRCHTPFKCSSPARHNTPANRSGPLALGYSSSTNNTIDIAQLQECLGTQYDSTASNWNSREEEEVEISKSLRHFETSHPCRESISESRAAAWEEDENNKCCLRYQREEAKIQAWVNLQSAKAEAQSRKLESRKLSGCIVKPQVLANCILLVLVGMLDGAYCCLPP >ONI19918 pep chromosome:Prunus_persica_NCBIv2:G3:26738997:26739595:-1 gene:PRUPE_3G304900 transcript:ONI19918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCELKLDTRSPGWHKTMTKVLKNVSGVSYTIDAQAGIACVSGTVDPSTLLTLFAKAGKHAQLLRVHSGQHYHFSGVKPVVDTYNTHGNGYGTNYDRTQDRTLATTTAHHVHHYHRPQPRPEDSQCSIL >ONI14831 pep chromosome:Prunus_persica_NCBIv2:G3:692091:697380:1 gene:PRUPE_3G011000 transcript:ONI14831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLLLFCYVISIIDAAPSISPMGAPWAAPDMPNLPLPADLPVSHRHWQKHFLPRAAPVALSPALPPFYGPLITAGHPPATSRLSRPAMKRSGLAPPLAGFQNIAPAQSGAGAIPSGLAQPPLTPSISNCCKPDMVLRRESRGCHCVYPIKLDLLLLNVSQNPNWNLFLQELALQLGLKVSQIELINFYVLSLSRLNISMDITPYPGVSFSASDASAINSSLVMHKVNLDPALVGDYKLLNITWFKPPPASQAPVATSPVEAPSKPSPTHTSLTASSKGKHSNLSLIIGIGAGILLISIIAVLILCLCTFRREKTKESNIETAPEKQSSVETVPAVGSLPHPSSTRFLAFEELKQATNNFEPASILGEGGFGRVFKGVLSDGTAVAIKRLTNGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQENLVTWARPILRDKDRLDELADPSLEGKYPTEDFVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRITEYQDSMLTSSTARPNHRQSSTTFESDVSSSMFSSGPYSGLSALDNDNVSRAAVFSEDLHEGR >ONI14830 pep chromosome:Prunus_persica_NCBIv2:G3:691426:697431:1 gene:PRUPE_3G011000 transcript:ONI14830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSELTGILKKVRWLSMKYLLLFCYVISIIDAAPSISPMGAPWAAPDMPNLPLPADLPVSHRHWQKHFLPRAAPVALSPALPPFYGPLITAGHPPATSRLSRPAMKRSGLAPPLAGFQNIAPAQSGAGAIPSGLAQPPLTPSISNCCKPDMVLRRESRGCHCVYPIKLDLLLLNVSQNPNWNLFLQELALQLGLKVSQIELINFYVLSLSRLNISMDITPYPGVSFSASDASAINSSLVMHKVNLDPALVGDYKLLNITWFKPPPASQAPVATSPVEAPSKPSPTHTSLTASSKGKHSNLSLIIGIGAGILLISIIAVLILCLCTFRREKTKESNIETAPEKQSSVETVPAVGSLPHPSSTRFLAFEELKQATNNFEPASILGEGGFGRVFKGVLSDGTAVAIKRLTNGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQENLVTWARPILRDKDRLDELADPSLEGKYPTEDFVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRITEYQDSMLTSSTARPNHRQSSTTFESDVSSSMFSSGPYSGLSALDNDNVSRAAVFSEDLHEGR >ONI14829 pep chromosome:Prunus_persica_NCBIv2:G3:691725:697379:1 gene:PRUPE_3G011000 transcript:ONI14829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMTVLMCLFWSQSSWKLGILKKVRWLSMKYLLLFCYVISIIDAAPSISPMGAPWAAPDMPNLPLPADLPVSHRHWQKHFLPRAAPVALSPALPPFYGPLITAGHPPATSRLSRPAMKRSGLAPPLAGFQNIAPAQSGAGAIPSGLAQPPLTPSISNCCKPDMVLRRESRGCHCVYPIKLDLLLLNVSQNPNWNLFLQELALQLGLKVSQIELINFYVLSLSRLNISMDITPYPGVSFSASDASAINSSLVMHKVNLDPALVGDYKLLNITWFKPPPASQAPVATSPVEAPSKPSPTHTSLTASSKGKHSNLSLIIGIGAGILLISIIAVLILCLCTFRREKTKESNIETAPEKQSSVETVPAVGSLPHPSSTRFLAFEELKQATNNFEPASILGEGGFGRVFKGVLSDGTAVAIKRLTNGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQENLVTWARPILRDKDRLDELADPSLEGKYPTEDFVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRITEYQDSMLTSSTARPNHRQSSTTFESDVSSSMFSSGPYSGLSALDNDNVSRAAVFSEDLHEGR >ONI16291 pep chromosome:Prunus_persica_NCBIv2:G3:6695922:6699731:1 gene:PRUPE_3G090000 transcript:ONI16291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPTTPTDNNGLPSSETQTNRRRRKKSIVWEYFTIETVGAGSTKAFCKQCKRSFAYITGSKLAGTSHLKRHIALGICPVSRQKNQMTPFTPGSKTAATDAPKRRSRANSGYARVSFDQDRCNHDIAKMIIMHGYPLHIAEQLGFINFVQTLQPQFNLVSWNTVQNECVGIYLREKQNLLNLISGIPGKVSLTVDLWTSNQNLGYVFLTGHFIDDHWNLYRQILNVIMVPSPDSGDTFSQAILTCLSDWHLEGRLFTLTLDQSLSNETIIGNLKGLLSVKNPHMLNSQLLLRNCYARVLSSLACDVLVAMRETIAKVRESVKFVKTSESHEEKFVQLKQQLQVPSTKNLSVDDLTKWDTTYHMLVAACELREVFACLDTYDPDYNINILLEEWKQVETLCTYLKLLFDAANIVTAPVYPSANVFFQEVSRIQMELMHAAMSVDPFVSYLMRPLYEKFDKYWENCCLVLAVAVIMDPRYKMKIVELEFNRIYGENAETWIRIVDDGIHELFLDYMMQMLTLPETPMDEGNDSIIKTEAPEEASQEGSLLSSVDGLQDFELYISDITGGQQMKSELDQYLEEAFTDRVEDFDVLVWWRLNRMKYPTLSRMASDILSISVSTVASDSVFDTEIKRMDSYQTSLGPATLEALICAKDWLKYGSFPQPPAL >ONI16292 pep chromosome:Prunus_persica_NCBIv2:G3:6696230:6699675:1 gene:PRUPE_3G090000 transcript:ONI16292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPTTPTDNNGLPSSETQTNRRRRKKSIVWEYFTIETVGAGSTKAFCKQCKRSFAYITGSKLAGTSHLKRHIALGICPVSRQKNQMTPFTPGSKTAATDAPKRRSRANSGYARVSFDQDRCNHDIAKMIIMHGYPLHIAEQLGFINFVQTLQPQFNLVSWNTVQNECVGIYLREKQNLLNLISGIPGKVSLTVDLWTSNQNLGYVFLTGHFIDDHWNLYRQILNVIMVPSPDSGDTFSQAILTCLSDWHLEGRLFTLTLDQSLSNETIIGNLKGLLSVKNPHMLNSQLLLRNCYARVLSSLACDVLVAMRETIAKVRESVKFVKTSESHEEKFVQLKQQLQVPSTKNLSVDDLTKWDTTYHMLVAACELREVFACLDTYDPDYNINILLEEWKQVETLCTYLKLLFDAANIVTAPVYPSANVFFQEVSRIQMELMHAAMSVDPFVSYLMRPLYEKFDKYWENCCLVLAVAVIMDPRYKMKIVELEFNRIYGENAETWIRIVDDGIHELFLDYMMQMLTLPETPMDEGNDSIIKTEAPEEASQEGSLLSSVDGLQDFELYISDITGGQQMKSELDQYLEEAFTDRVEDFDVLVWWRLNRMKYPTLSRMASDILSISVSTVASDSVFDTEIKRMDSYQTSLGPATLEALICAKDWLKYGSFPQPPAL >ONI16290 pep chromosome:Prunus_persica_NCBIv2:G3:6695927:6699675:1 gene:PRUPE_3G090000 transcript:ONI16290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPTTPTDNNGLPSSETQTNRRRRKKSIVWEYFTIETVGAGSTKAFCKQCKRSFAYITGSKLAGTSHLKRHIALGICPVSRQKNQMTPFTPGSKTAATDAPKRRSRANSGYARVSFDQDRCNHDIAKMIIMHGYPLHIAEQLGFINFVQTLQPQFNLVSWNTVQNECVGIYLREKQNLLNLISGIPGKVSLTVDLWTSNQNLGYVFLTGHFIDDHWNLYRQILNVIMVPSPDSGDTFSQAILTCLSDWHLEGRLFTLTLDQSLSNETIIGNLKGLLSVKNPHMLNSQLLLRNCYARVLSSLACDVLVAMRETIAKVRESVKFVKTSESHEEKFVQLKQQLQVPSTKNLSVDDLTKWDTTYHMLVAACELREVFACLDTYDPDYNINILLEEWKQVETLCTYLKLLFDAANIVTAPVYPSANVFFQEVSRIQMELMHAAMSVDPFVSYLMRPLYEKFDKYWENCCLVLAVAVIMDPRYKMKIVELEFNRIYGENAETWIRIVDDGIHELFLDYMMQMLTLPETPMDEGNDSIIKTEAPEEASQEGSLLSSVDGLQDFELYISDITGGQQMKSELDQYLEEAFTDRVEDFDVLVWWRLNRMKYPTLSRMASDILSISVSTVASDSVFDTEIKRMDSYQTSLGPATLEALICAKDWLKYGSFPQPPAL >ONI18358 pep chromosome:Prunus_persica_NCBIv2:G3:21608449:21612970:-1 gene:PRUPE_3G211100 transcript:ONI18358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGTSVMIPSALVPAMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPTVVGGSFSYVIPIAYIVSDSKLQRIIEPHERFIQTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGLGLFERGFPALGNCVEIGIPMLLLVIGLSQYLKHVRPFRGVPIFERFPVLFCVAIIWIYSLILTAGGAYRDKPVRTQISCRTDRENLISTAPWFKFPYPLQWGPPTFSAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPFPIYAALYCVVFGLVGSVGLSFLQFTNMNSMRNLIITGLSLFLGISVPRFFNEYWNPSHHGLVHTNAGWFNAFLNTIFSSPPTVGLIVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >ONI18359 pep chromosome:Prunus_persica_NCBIv2:G3:21608449:21611984:-1 gene:PRUPE_3G211100 transcript:ONI18359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGLGLFERGFPALGNCVEIGIPMLLLVIGLSQYLKHVRPFRGVPIFERFPVLFCVAIIWIYSLILTAGGAYRDKPVRTQISCRTDRENLISTAPWFKFPYPLQWGPPTFSAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPFPIYAALYCVVFGLVGSVGLSFLQFTNMNSMRNLIITGLSLFLGISVPRFFNEYWNPSHHGLVHTNAGWFNAFLNTIFSSPPTVGLIVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >ONI18356 pep chromosome:Prunus_persica_NCBIv2:G3:21608449:21614308:-1 gene:PRUPE_3G211100 transcript:ONI18356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADITHLPMEQLQDLEYCIDSNPPWVETIVLAFQNYIMMLGTSVMIPSALVPAMGGSDRFIQTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGLGLFERGFPALGNCVEIGIPMLLLVIGLSQYLKHVRPFRGVPIFERFPVLFCVAIIWIYSLILTAGGAYRDKPVRTQISCRTDRENLISTAPWFKFPYPLQWGPPTFSAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPFPIYAALYCVVFGLVGSVGLSFLQFTNMNSMRNLIITGLSLFLGISVPRFFNEYWNPSHHGLVHTNAGWFNAFLNTIFSSPPTVGLIVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >ONI18357 pep chromosome:Prunus_persica_NCBIv2:G3:21608806:21614037:-1 gene:PRUPE_3G211100 transcript:ONI18357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADITHLPMEQLQDLEYCIDSNPPWVETIVLAFQNYIMMLGTSVMIPSALVPAMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPTVVGGSFSYVIPIAYIVSDSKLQRIIEPHERFIQTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGLGLFERGFPALGNCVEIGIPMLLLVIGLSQYLKHVRPFRGVPIFERFPVLFCVAIIWIYSLILTAGGAYRDKPVRTQISCRTDRENLISTAPWFKFPYPLQWGPPTFSAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPFPIYAALYCVVFGLVGSVGLSFLQFTNMNSMRNLIITGLSLFLGISVPRFFNEYWNPSHHGLVHTNAGWFNAFLNTIFSSPPTVGLIVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >ONI18593 pep chromosome:Prunus_persica_NCBIv2:G3:22447521:22450290:-1 gene:PRUPE_3G225200 transcript:ONI18593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKTNPMLAFIVVLLCLFLESRISFAADTITANQSLSGDQTVVSGDFELGFFELDGRHYIGTWYSRRVVSANTIVWVANREKPISDRFSAVLKIKDGNVVLFNEAKTPVWSTHLTSTTTSASVQAVLLDSGNLVLRAHSSSSEFLWQSFHHPAHTWLPGAKVAFNNITNQTQILTSWKNSRNPAPGLYSLEVDPNGSNSFILLWNRSKQYWTSGSWNASSNIFSLSPEMRLNYVYNYSFVSNENGSYFTYSLYDPKKVSPFMMSVSGQIQQLIWFTPSRPSKIFWSLPRQQCAVHLICGAFGSCNEKSGVLCNCLMGFKQKSPRDWALQDYSGGCQRKTNLQCGNSTSVIGTKYQFLEMHSMSMSENELHVKVGSAKKCESICLNNCSCTAYAYESSNACSIWIGDLLGVQELVADDAGGRTLYIRLAASELMHLQNGKGDADKRPLIIAMVSAAAELLMIIFCYFLWKKRLGKRRTQRRKYGATKINYGAGSGKNDTELPLFGLKSILNTINNFSEANKLGEGGFGPVYKGILLENQEVAIKRLSKKSGQGHEEFMNELNLIAKLHHTSLVRLLGCCIEEEELILIYEFMHHRSLDKLLFGISSFLLRLIL >ONI18592 pep chromosome:Prunus_persica_NCBIv2:G3:22446507:22450290:-1 gene:PRUPE_3G225200 transcript:ONI18592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKTNPMLAFIVVLLCLFLESRISFAADTITANQSLSGDQTVVSGDFELGFFELDGRHYIGTWYSRRVVSANTIVWVANREKPISDRFSAVLKIKDGNVVLFNEAKTPVWSTHLTSTTTSASVQAVLLDSGNLVLRAHSSSSEFLWQSFHHPAHTWLPGAKVAFNNITNQTQILTSWKNSRNPAPGLYSLEVDPNGSNSFILLWNRSKQYWTSGSWNASSNIFSLSPEMRLNYVYNYSFVSNENGSYFTYSLYDPKKVSPFMMSVSGQIQQLIWFTPSRPSKIFWSLPRQQCAVHLICGAFGSCNEKSGVLCNCLMGFKQKSPRDWALQDYSGGCQRKTNLQCGNSTSVIGTKYQFLEMHSMSMSENELHVKVGSAKKCESICLNNCSCTAYAYESSNACSIWIGDLLGVQELVADDAGGRTLYIRLAASELMHLQNGKGDADKRPLIIAMVSAAAELLMIIFCYFLWKKRLGKRRTQRRKYGATKINYGAGSGKNDTELPLFGLKSILNTINNFSEANKLGEGGFGPVYKGILLENQEVAIKRLSKKSGQGHEEFMNELNLIAKLHHTSLVRLLGCCIEEEELILIYEFMHHRSLDKLLFDSSENAELDWGKRFRIIEGIAQGVLYIHKHSRLKIIHRDLKASNVLLDGAMNPKISDFGMAKIFEINQTEANTNRVVGTYGYMSPEYARYGHFSEKLDVFSFGVLLLEIVSGKRNAAFYHFEHSPTLAGWCIHVGFLCVQEAPADRPTMASVIRMLQSNEATSLPPSKEPAFSTNRNSIPVVGSSQLPAVFQQWSHHQFARRSIEVPIFVSFPQHI >ONI15244 pep chromosome:Prunus_persica_NCBIv2:G3:2404791:2407714:1 gene:PRUPE_3G032300 transcript:ONI15244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIAPTRSRLTADYLWPDLKKPSSDKRFSKPLRPEIIDLDHDFEADFQEFKDESDVDEDDEMVEAKPSAFSAGKPSSARGSTTVKLVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDETPRSSAKRSVKANPQKMLPKTNTNAVQPNLNQNINFVNDPNQDYYNAMGFLDEKPATNNFGFMSTFPANEDVALKSSTPSDAVPLYFSSDQGSNSFDCSDFGWGEQGSKTPEISSVLSCVMEESDDSLFLEDASPTKKLKSNPEDLVPVQDNAGKTLTDELSAFEMKYFQTPYLDGSWDASVDAFLSTDATQDGGNSVDFWSFDDLIGGGF >ONI15246 pep chromosome:Prunus_persica_NCBIv2:G3:2404791:2407714:1 gene:PRUPE_3G032300 transcript:ONI15246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIAPTRSRLTADYLWPDLKKPSSDKRFSKPLRPEIIDLDHDFEADFQEFKDESDVDEDDEMVEAKPSAFSAGKPSSARGSTTVKLVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDETPRSSAKRSVKANPQKMLPKTNTNAVQPNLNQNINFVNDPNQDYYNAMGFLDEKPATNNFGFMSTFPANEDVALKSSTPSDAVPLYFSSDQGSNSFDCSDFGWGEQGSKTPEISSVLSCVMEESDDSLFLEDASPTKKLKSNPEDLVPVQDNAGKTLTDELSAFEMKYFQTPYLDGSWDASVDAFLSTDATQDGGNSVDFWSFDDLIGGGF >ONI15245 pep chromosome:Prunus_persica_NCBIv2:G3:2404791:2407714:1 gene:PRUPE_3G032300 transcript:ONI15245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIAPTRSRLTADYLWPDLKKPSSDKRFSKPLRPEIIDLDHDFEADFQEFKDESDVDEDDEMVEAKPSAFSAGKPSSARGSTTVKLVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDETPRSSAKRSVKANPQKMLPKTNTNAVQPNLNQNINFVNDPNQDYYNAMGFLDEKPATNNFGFMSTFPANEDVALKSSTPSDAVPLYFSSDQGSNSFDCSDFGWGEQGSKTPEISSVLSCVMEESDDSLFLEDASPTKKLKSNPEDLVPVQDNAGKTLTDELSAFEMKYFQTPYLDGSWDASVDAFLSTDATQDGGNSVDFWSFDDLIGGGF >ONI17917 pep chromosome:Prunus_persica_NCBIv2:G3:20066274:20071867:1 gene:PRUPE_3G186400 transcript:ONI17917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKHGWQLPAHTFQVVAITVFCLLVVAFYAFFAPFLGGRIWEYVLIGSYSPVALLVFILYVRCTAINPADPGIMSKFDNGATNSINPNHRLSAKDLPRKFDEATTGHSSPSSVSRSSLAGANSSRKGSVGELGGVNIVAEPTTRKCCIGGIFCALFVHEDCRKQQEGAAESQGGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYVTFICLMATSLVWLVIEAGVGIAVLVRCFVNKRSMEAEIIDRLGNGFTRPPFATVVTVCTAVSVLACVPLCELFFFHMILIRKGITTYEYVVAMRVMSEERGQYVDEAFNNFANSFRNSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMVPSTIDPDATGITEREQKGPKRPVRISAWQLAKLDSSEAMRAAAKARASSSVLRPLDKPDLELSSSGNMSVRSSVSTDTGANKEIKNELRLSRNSFAPSQGSRDEYETGTQSISSFSSPSHVHEAVTLSPLPQGGLGRFSAAASVPSLVPDRPLTSKATLPNVSLGFDEKIMSRGGTTDPLLLSAPASSLFRDVRRTSVVWDQEAGRYVSVPVSASEARNRLSTQTGFPNPNAETSSYSRRPVIPPQEPSSSAVKTPVQQTEKLMYTGDSIFFGGPLLSAPVRENLKNERDLGSREGQERVGLNLPRESRFRRDSASNQLPVFVPGGFENNPSFGSGLK >ONI19576 pep chromosome:Prunus_persica_NCBIv2:G3:25837200:25842545:-1 gene:PRUPE_3G285600 transcript:ONI19576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEYEEAIASLQKLLSEKAELKAEAASKVEQITAQLQTADGSGTKTYDAVERLKSGFIHFKKEKYDTNPALFNELATGQWPKFMLFACSDSRVCPSHVLDIQPGEAFVVRTVANLVPPFDKTRYSGTGAAVEYAVLHLKVQYIVVIGHSACGGIKGLLSIPEDGKTSTDFIEDWVSIASPAGKKVKADHGADAPFAELCSHCEKEAVNVSIGNLLSYPFVRDGLLKKTLAIKGGYYDFVKGGFELWDVDFSFSPSLSVKEVATVLQWKL >ONI19579 pep chromosome:Prunus_persica_NCBIv2:G3:25837531:25839444:-1 gene:PRUPE_3G285600 transcript:ONI19579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEYEEAIASLQKLLSEKAELKAEAASKVEQITAQLQTADGSGTKTYDAVERLKSGFIHFKKEKYDTNPALFNELATGQWPKFMLFACSDSRVCPSHVLDIQPGEAFVVRTVANLVPPFDKTRYSGTGAAVEYAVLHLKVQYIVVIGHSACGGIKGLLSIPEDGKTSTDFIEDWVSIASPAGKKVKADHGADAPFAELCSHCEKEAVNVSIGNLLSYPFVRDGLLKKTLAIKGGYYDFVKGGFELWDVDFSFSPSLSV >ONI19577 pep chromosome:Prunus_persica_NCBIv2:G3:25837787:25840321:-1 gene:PRUPE_3G285600 transcript:ONI19577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASLVKSFNLTSSSSSSPFSPATSRKPSPCSVSSRLTTSPASRSPAPNLIQKKPVSSVPALTRKEEMGKEYEEAIASLQKLLSEKAELKAEAASKVEQITAQLQTADGSGTKTYDAVERLKSGFIHFKKEKYDTNPALFNELATGQWPKFMLFACSDSRVCPSHVLDIQPGEAFVVRTVANLVPPFDKTRYSGTGAAVEYAVLHLKVQYIVVIGHSACGGIKGLLSIPEDGKTSTDFIEDWVSIASPAGKKVKADHGADAPFAELCSHCEKEAVNVSIGNLLSYPFVRDGLLKKTLAIKGGYYDFVKGGFELWDVDFSFSPSLSV >ONI19575 pep chromosome:Prunus_persica_NCBIv2:G3:25837200:25839483:-1 gene:PRUPE_3G285600 transcript:ONI19575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEYEEAIASLQKLLSEKAELKAEAASKVEQITAQLQTADGSGTKTYDAVERLKSGFIHFKKEKYDTNPALFNELATGQWPKFMLFACSDSRVCPSHVLDIQPGEAFVVRTVANLVPPFDKTRYSGTGAAVEYAVLHLKVQYIVVIGHSACGGIKGLLSIPEDGKTSTDFIEDWVSIASPAGKKVKADHGADAPFAELCSHCEKEAVNVSIGNLLSYPFVRDGLLKKTLAIKGGYYDFVKGGFELWDVDFSFSPSLSVKEVATVLQWKL >ONI19574 pep chromosome:Prunus_persica_NCBIv2:G3:25836435:25842545:-1 gene:PRUPE_3G285600 transcript:ONI19574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASLVKSFNLTSSSSSSPFSPATSRKPSPCSVSSRLTTSPASRSPAPNLIQKKPVSSVPALTRKEEMGKEYEEAIASLQKLLSEKAELKAEAASKVEQITAQLQTADGSGTKTYDAVERLKSGFIHFKKEKYDTNPALFNELATGQWPKFMLFACSDSRVCPSHVLDIQPGEAFVVRTVANLVPPFDKTRYSGTGAAVEYAVLHLKVQYIVVIGHSACGGIKGLLSIPEDGKTSTDFIEDWVSIASPAGKKVKADHGADAPFAELCSHCEKEAVNVSIGNLLSYPFVRDGLLKKTLAIKGGYYDFVKGGFELWDVDFSFSPSLSVKEVATVLQWKL >ONI19578 pep chromosome:Prunus_persica_NCBIv2:G3:25837520:25839483:-1 gene:PRUPE_3G285600 transcript:ONI19578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEYEEAIASLQKLLSEKAELKAEAASKVEQITAQLQTADGSGTKTYDAVERLKSGFIHFKKEKYDTNPALFNELATGQWPKFMLFACSDSRVCPSHVLDIQPGEAFVVRTVANLVPPFDKTRYSGTGAAVEYAVLHLKVQYIVVIGHSACGGIKGLLSIPEDGKTSTDFIEDWVSIASPAGKKVKADHGADAPFAELCSHCEKEAVNVSIGNLLSYPFVRDGLLKKTLAIKGGYYDFVKGGFELWDVDFSFSPSLSV >ONI14656 pep chromosome:Prunus_persica_NCBIv2:G3:97663:100317:-1 gene:PRUPE_3G001100 transcript:ONI14656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTENDDSNRAEKQGIFLKMDDVGRSVGAKYDYLLFDLDDTLYPLSSGLNLACRKNIEEFMLHHLQIEESEVPRMCLELYREYGTTMAGLKALGYEFDNDEFHSHVHGRLPYDSLKHDLVLRNLLLSMPQRKIIFTNADKAHAAQVLNRLGLEDCFEGIICFETLNPHHLEPVDCRTSDDQMVLIERTPEGKANSPILCKPSVEAIEAAIRIANVDPEKTIFFDDSARNIASGKAAGLHTVIVGSSVLVPGADHALSSIHNIKEAIPEIWEGEGGQQLEQFIQSTAVDTVVLA >ONI14657 pep chromosome:Prunus_persica_NCBIv2:G3:97663:100322:-1 gene:PRUPE_3G001100 transcript:ONI14657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVGRSVGAKYDYLLFDLDDTLYPLSSGLNLACRKNIEEFMLHHLQIEESEVPRMCLELYREYGTTMAGLKALGYEFDNDEFHSHVHGRLPYDSLKHDLVLRNLLLSMPQRKIIFTNADKAHAAQVLNRLGLEDCFEGIICFETLNPHHLEPVDCRTSDDQMVLIERTPEGKANSPILCKPSVEAIEAAIRIANVDPEKTIFFDDSARNIASGKAAGLHTVIVGSSVLVPGADHALSSIHNIKEAIPEIWEGEGGQQLEQFIQSTAVDTVVLA >ONI19324 pep chromosome:Prunus_persica_NCBIv2:G3:25250494:25253763:-1 gene:PRUPE_3G272100 transcript:ONI19324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGGGAKQVKLERESELRIEVGNDAPLKLRLLNGTAEIFGTELPPEIWLTFPPRLKFAVFTWYGATIEMDGSTETDYTADETPNISYVNVHAVLEERRNRAKASPPEDSNSSQPQGPRVIVVGPTDSGKSTLSKMLLSWAAKQGWKPTFVDLDIGQGAITIPGCIAATPIEMPIDPVEGIPLEIPLVYFYGHTTPSNNVDLYKVLVKELAQVIERQFSANAESRAAGMVINTMGWIEGQGYELLLHAIDTFDANVVLVLGQEKLCSMLRDVLKNKPGVDVVKLQRSGGVVSRNAKFRQKSRSHRIREYFYGLANDLSPHSNIANFSDLSVFRIGGGPQAPRSALPIGAEPAADPTRLVPVNINRDLLQTVLAVSFAKDPDQIISSNVAGFIYITDIDLHRKTITYLAPSAGDLPSKYLIAGNLPWLET >ONI15234 pep chromosome:Prunus_persica_NCBIv2:G3:2349962:2357247:1 gene:PRUPE_3G031500 transcript:ONI15234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHPQAPFSSSPTEYFTSKQETFTIWMKSLILNGYGCTVFDSNGRIVYRVDNYDCKCRGKVYLMDLKGKILFTILRKKFRLLGLWEGYRSTGKEANPDRPGFQVRQRFRLCRGRSQCRVIVGQEKNQTQQYRIESLSSKSSYKILDKSGRPVAEVKRKQSACGVVLGEDVLTVVVEPFIDHSLIVGLLVVSASFILDKQDQERNDAASAFKPESLTLSPPPQSSSMADPSQSPNPKISAYYQTRAAHHGIVTSDWLAQAQAAVGQNPDEQGPIVGEVEAKPGSASGKPFSVIEEFNNWRKQPDLAEAVAAIRALASVIRSSEATTMMELEIELKKASDSLKSWDTTSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRLIERAEKFGEISTKARRIIAVLSQDFIFDGCTILVHGFSRVVLEVLKSAAKSNKLFRVFCTEGRPDRTGLLLSNELAKLDVPVKLLIDSAVAYTMDEVDMVFVGADGVVESGGIINMMGTFQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMAPALRPIDFGVPIPSKVEVERSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >ONI17613 pep chromosome:Prunus_persica_NCBIv2:G3:18848057:18850585:-1 gene:PRUPE_3G169700 transcript:ONI17613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFTSVEPPSLVDLCINTAIDNIRHLGDVGETELYFLERILPHCTVDQLRHVEKSTKGRDLSPITDNLWRKLYQKEFGIERTNLVIERMKKKKVSFRWNQLYEAKLREVDVAENKVADLLKSLYQKEDARKQSRQVRICTKVPPSSNKRSFGNGPGYNVTAKSNLMKKSKIDFLKSHEVRNLAAMKKKSLQKSYSVPPVKRPGEFSGKDSASSSKQPKPIERNLKRYPF >ONI17614 pep chromosome:Prunus_persica_NCBIv2:G3:18848016:18850600:-1 gene:PRUPE_3G169700 transcript:ONI17614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFTSVEPPSLVDLCINTAIDNIRHLGDVGETELYFLERILPHCTVDQLRHVEKSTKGRDLSPITDNLWRKLYQKEFGIERTNLVIERMKKKKVSFRWNQLYEAKLREVDVAENKVADLLKSLYQKEDARKQSRQVRICTKVPPSSNKRSFGNGPGYNVTAKSNLMKKSKIDFLKSHEVRNLAAMKKKSLQKSYSVPPVKRPGEFSGKDSASSSKQPKPIERNLKRYPF >ONI17615 pep chromosome:Prunus_persica_NCBIv2:G3:18848370:18849905:-1 gene:PRUPE_3G169700 transcript:ONI17615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFTSVEPPSLVDLCINTAIDNIRHLGDVGETELYFLERILPHCTVDQLRHVEKSTKGRDLSPITDNLWRKLYQKEFGIERTNLVIERMKKKKVSFRWNQLYEAKLREVDVAENKVADLLKSLYQKEDARKQSRQVRICTKVPPSSNKRSFGNGPGYNVTAKSNLMKKSKIDFLKSHEVRNLAAMKKKSLQKSYSVPPVKRPGEFSGKDSASSSKQPKPIERNLKRYPF >ONI17612 pep chromosome:Prunus_persica_NCBIv2:G3:18847972:18850731:-1 gene:PRUPE_3G169700 transcript:ONI17612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFTSVEPPSLVDLCINTAIDNIRHLGDVGETELYFLERILPHCTVDQLRHVEKSTKGRDLSPITDNLWRKLYQKEFGIERTNLVIERMKKKKVSFRWNQLYEAKLREVDVAENKVADLLKSLYQKEDARKQSRQVRICTKVPPSSNKRSFGNGPGYNVTAKSNLMKKSKIDFLKSHEVRNLAAMKKKSLQKSYSVPPVKRPGEFSGKDSASSSKQPKPIERNLKRYPF >ONI19530 pep chromosome:Prunus_persica_NCBIv2:G3:25757770:25761872:-1 gene:PRUPE_3G283600 transcript:ONI19530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKSESGGGYVRADQIDLKSLDEQLQRHLNRAWTMEKNKTREEEEEEEEEAASRQTAATRQDWEIDPSKLTVKGAIARGSFGTVHRGIYDGQDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGTSELHIQTDNGQVGMPSNVCCVVVEYCPGGALKSYLIKNRRKKLAFKLVVQLALDLARGLCYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEMPRCCPSSLANVMKRCWDANPDKRPEMDEVVAMLEAIDTSKGGGMIPGDQPQGCFCFRRYRGP >ONI19529 pep chromosome:Prunus_persica_NCBIv2:G3:25757353:25762118:-1 gene:PRUPE_3G283600 transcript:ONI19529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKSESGGGYVRADQIDLKSLDEQLQRHLNRAWTMEKNKTREEEEEEEEEAASRQTAATRQDWEIDPSKLTVKGAIARGSFVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGTSELHIQTDNGQVGMPSNVCCVVVEYCPGGALKSYLIKNRRKKLAFKLVVQLALDLARGLCYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEMPRCCPSSLANVMKRCWDANPDKRPEMDEVVAMLEAIDTSKGGGMIPGDQPQGCFCFRRYRGP >ONI14908 pep chromosome:Prunus_persica_NCBIv2:G3:1105032:1109664:1 gene:PRUPE_3G015500 transcript:ONI14908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTMAFQKIKVANPIVEMDGDEMTRVFWKSIKDKLILPFLELDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEGRVKEFNLKSMWRSPNGTIRNILNGTVFREPILCKNIPRLIPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFVPDGKDEKTELEVYNFTGEGGVALAMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAKWKSQYEAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNAKLLDFTQKLEEACIGTVESGKMTKDLALIIHGPKLARNHYLNTEEFIEAVAEELRARLS >ONI14907 pep chromosome:Prunus_persica_NCBIv2:G3:1104720:1109664:1 gene:PRUPE_3G015500 transcript:ONI14907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTMAFQKIKVANPIVEMDGDEMTRVFWKSIKDKLILPFLELDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEGRVKEFNLKSMWRSPNGTIRNILNGTVFREPILCKNIPRLIPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFVPDGKDEKTELEVYNFTGEGGVALAMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAKWKSQYEAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNAKLLDFTQKLEEACIGTVESGKMTKDLALIIHGPKLARNHYLNTEEFIEAVAEELRARLS >ONI14906 pep chromosome:Prunus_persica_NCBIv2:G3:1105032:1109664:1 gene:PRUPE_3G015500 transcript:ONI14906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTMAFQKIKVANPIVEMDGDEMTRVFWKSIKDKLILPFLELDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEGRVKEFNLKSMWRSPNGTIRNILNGTVFREPILCKNIPRLIPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFVPDGKDEKTELEVYNFTGEGGVALAMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAKWKSQYEAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNAKLLDFTQKLEEACIGTVESGKMTKDLALIIHGPKLARNHYLNTEEFIEAVAEELRARLS >ONI14905 pep chromosome:Prunus_persica_NCBIv2:G3:1105032:1109664:1 gene:PRUPE_3G015500 transcript:ONI14905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTMAFQKIKVANPIVEMDGDEMTRVFWKSIKDKLILPFLELDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEGRVKEFNLKSMWRSPNGTIRNILNGTVFREPILCKNIPRLIPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVFVPDGKDEKTELEVYNFTGEGGVALAMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAKWKSQYEAAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNAKLLDFTQKLEEACIGTVESGKMTKDLALIIHGPKLARNHYLNTEEFIEAVAEELRARLS >ONI15714 pep chromosome:Prunus_persica_NCBIv2:G3:4051284:4053716:1 gene:PRUPE_3G057200 transcript:ONI15714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERIQFKPEEITAIMKDFDEPGSLAPTGLHLGGTKYMVIQGEGGAVIRGKKGSSGITVKKTGQALVFGIYDEPLTPGQCNIIVERLGDYLIDQGL >ONI15712 pep chromosome:Prunus_persica_NCBIv2:G3:4050807:4053716:1 gene:PRUPE_3G057200 transcript:ONI15712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQVYVDDHLMCDIDGHHLASAAIVGHDGSVWAQSSAFPKFKPEEITAIMKDFDEPGSLAPTGLHLGGTKYMVIQGEGGAVIRGKKGSSGITVKKTGQALVFGIYDEPLTPGQCNIIVERLGDYLIDQGL >ONI15713 pep chromosome:Prunus_persica_NCBIv2:G3:4050923:4052815:1 gene:PRUPE_3G057200 transcript:ONI15713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQVYVDDHLMCDIDGHHLASAAIVGHDGSVWAQSSAFPKFKPEEITAIMKDFDEPGSLAPTGLHLGGTKYMVIQGEGGAVIRGKKSTFCICRCCLL >ONI19771 pep chromosome:Prunus_persica_NCBIv2:G3:26351442:26354405:1 gene:PRUPE_3G296700 transcript:ONI19771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKKSPPLPPSATPTCHDDDPVQTSSMIHNIIKEEQQETSTDPASAHRKSRTKTRKPKFLSLRSQLSLPKHDHDQDYYTVPDSTTTNTMTAGQRHQLNLFPLHPENLVYHQDKADMQDDNVALLFHSDGGATLNGLLTSTSTATTSTSTTTTMSSDREDQESFSTYAYYNIVRTAMRSRERETSVEKWVCYSELVDKKEEEPRRDVEERWRSGTLALKLDYEEILNAWSDKGPLCIDGDSLQTVPDQLFQLHDNTPNGEGCDGNLWRVPGGEDESLKTKMGKTQREASVLRYKEKRQNRLFSKRIRYQVRKVNAEKRPRMKGRFVKKRS >ONI16475 pep chromosome:Prunus_persica_NCBIv2:G3:7694700:7696797:-1 gene:PRUPE_3G100400 transcript:ONI16475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQQLVDYVREQLKHESKLSVICERVFERCLAPSSGGEGCDNMTMILVQLKKPVTSAASVEDKPLSSNPSSEKDKSSAKAK >ONI16632 pep chromosome:Prunus_persica_NCBIv2:G3:9326785:9328478:-1 gene:PRUPE_3G111900 transcript:ONI16632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWMMMLSLVLLLVFHVSASWAASASVYDNFLQCLNTNTNPSSTQLSNIIYAENNPSYSSALRAYIRNSRFNTTSTPKPVLIVTPSASSHVQASVVCAKQLGIQLRIRSGGHDYEGLSYWSDQTFIVLDMFNLRTVTLGLAGATLGELYYRISEKSKVHGFPAGICPTVGIGGHISGGGYGNMLRKFGLAVDNVLDAQIVDAKGRLLDRKAMGEDLFWAIKGGGGGSFGVIVAYKLKLVPVPETVTVFQAARTLEENATKVVSRWQEVAPTTDDGLFMRLLLQPGSNTVKATIMAEFLGNADQLVSLLGKQFPELGLKKDDCKEMSWIESVLWWANYDNGTSPDVLLDRNPDHANFLKRKSDYVQTPISKSRLELVWKKMIEIGKIGLVFNPYGGMMSRIPASATPFPHRAGNLFKVQYSVSWGEAGAEAEKNYTTDTRRLFRFMTPFVSKNPRSAFLNYRDLDIGVNKFGNRSYEQGKVYGLKYFNDNFERLVKVKTAVDPANFFRNEQSIPPLPTLNSDPPHPILHLDAKDGSSSKLSMGKVKLVLALLVYSFISF >ONI16767 pep chromosome:Prunus_persica_NCBIv2:G3:10733626:10739278:1 gene:PRUPE_3G121100 transcript:ONI16767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFATERENFVYLAKLSEQAERYDEMVDAMKKVANLDVELTVEERNLLSVGYKNVVGSRRASWRILSSIEQKEEAKGNENHVKQLKEYRQKVESELSTICGDIMTVIDEHLIPSATVGESTVFYYKMKGDYYRYLAEFKSGDDKKEAAEQSKKAYESATIAAEGELPTTHPIRLGLALNFSVFYYEIMNSPERACHLAKMAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEDGEDSQKVNGTAKVGGLEDAAVTP >ONI16769 pep chromosome:Prunus_persica_NCBIv2:G3:10733626:10739278:1 gene:PRUPE_3G121100 transcript:ONI16769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFATERENFVYLAKLSEQAERYDEMVDAMKKVANLDVELTVEERNLLSVGYKNVVGSRRASWRILSSIEQKEEAKGNENHVKQLKEYRQKVESELSTICGDIMTVIDEHLIPSATVGESTVFYYKMKGDYYRYLAEFKSGDDKKEAAEQSKKAYESATIAAEGELPTTHPIRLGLALNFSVFYYEIMNSPERACHLAKMAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEDGDSQKVNGTAKVGGLEDAA >ONI16768 pep chromosome:Prunus_persica_NCBIv2:G3:10733503:10739403:1 gene:PRUPE_3G121100 transcript:ONI16768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFATERENFVYLAKLSEQAERYDEMVDAMKKVANLDVELTVEERNLLSVGYKNVVGSRRASWRILSSIEQKEEAKGNENHVKQLKEYRQKVESELSTICGDIMTVIDEHLIPSATVGESTVFYYKMKGDYYRYLAEFKSGDDKKEAAEQSKKAYESATIAAEGELPTTHPIRLGLALNFSVFYYEIMNSPERACHLAKMAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEDGEDSQKVNGTAKVGGLEDAA >ONI16993 pep chromosome:Prunus_persica_NCBIv2:G3:13804145:13806781:-1 gene:PRUPE_3G132900 transcript:ONI16993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAAATPPYHHHSHSEPPSRTKSASRLAQSGQIPHLSLGLITSSPKRTPSPSAHSIRSSDSLPLRELLLLSPSPLRKRSKARLTDRLEMADEPMVEAAGPRRRCKSRGAQMGLLGCASPRNTRRSRRRSETEIREDKDSGLVEEIAKPRKRRSKKEKLSLVLSLPSPSASSIDDEYRGSLDRIGQLMNDLIMWRDVARSSLWFGLGSLFFLSSCFAKGINFSIFSAISQLGLLFLGASFVSNSISQRNGVEKKLDFMLKESDILGVAKIILPATNLAISKTRALFSGEPSMTLKVAPFFLLGAEYGHLITLWRLCMLAFFISFTIPKLYSCYSIQMNQKVECLKWWVLEAWGACSHKKIVAASAATAFWNLSSVRTRIFTAFLSLVILRYCRQHMVQPLENGEAEVEQEQQQALAVAVAEPEAEGKQEQEQALVVAKVASKQ >ONI18859 pep chromosome:Prunus_persica_NCBIv2:G3:23634666:23638857:-1 gene:PRUPE_3G244000 transcript:ONI18859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKDKKKTNKNKKLAQTNLELIEKDKRSPIEMKKRKREKEINSDSRKVVHVSIEDKSAKAAKDKKKNKSPKKVKTKKAKNYKALESKQDHPNTENDDDFDVTNDDGVTDLSQFETQEENESAEVFIEAGKSKKAKKKKKREHVSSECGKSLEEGVEDDLVNCSKGISGISKKASKKKKRDVDLSKSKKALERQVEDDQDDVYLISSGDEDCARGMKKWVTEYHQSRPGLKVLQQRIDDFIIAHDEKLEQERKEKEAHAAEGGWTVVVHHKGRKKTTDAESGITVGSVAQAVVEDKVAKKKRTEVGLDFYRFQRKEAQRNEIMMLQSKFEQDKKRIQQLRAARKFRPY >ONI18713 pep chromosome:Prunus_persica_NCBIv2:G3:22959976:22962292:1 gene:PRUPE_3G233600 transcript:ONI18713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRFTTLVTRTLKSTTRSFSSSTQTPPSTTTTSSQFPQTLAGLRARLAAESPVLTDFVEGEGPYSVEVGTKKNPLPKPKWMKESIPGGQKYTQIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSKTPPPPDPNEPSNVAEAIASWGLDYVVITSVDRDDLPDQGSGHFAETVQKLKTLKPSMLIEALVPDFRGDSSCVEKVATSGLDVLAHNIETVEELQRAVRDHRANFKQSLDVLMMAKDYAPAGTLTKTSVMLGCGETPDQVVRTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYRALGMEMGFRYVASGPMVRSSYKAGEFYIKSMIESDRATSSHLSAS >ONI18700 pep chromosome:Prunus_persica_NCBIv2:G3:22938059:22940289:1 gene:PRUPE_3G233200 transcript:ONI18700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVLKRHCSSLTSLNLQSTKIPSPKQSQILRLCKLGLLSDAIRVLNSIDSGEITLKPILYASLLQTCTKAVSFNHGLQIHAHVVKSGLETDRFVGNSLLSLYFKLVPNMSETRRVFDGLFVKDVISWTSMITGYVRAGKPGNSIEVFYDMLKFGIEPNAFTLSAVVKACSEIGDLRLGLCFHGVVVRRGFVSNHVIISALINMYGRNYRSEDARLLFDELTEPGAICWTSIISALTRSDLFEEALGFFYLMHRYHGLSPDGFTFGTVLAACGNLGRLRQGREMHAKVITYGLCGNVVVESSLVDMYGKCGSVECARRVFDRIPKKNSVSWSALLGVYCQTGDFESVINHFREMEEADLYSFGTVLRACAGLAAVQQGKEVHCQYVRRCGWRDVIVESALVHLYAKCGCIDFARRVFTQMPVRNLITWNSMICGFAQNGGGAEALRIFDRMIKEGVKPDYISFIGVLFACSHAGLVDQGRNYFILMTKEYGIKPGIEHYCCTVDLLGRAGLLEEAENLIENADCRNDSSLWGVLLGASTSCSNSTTAERIAKKMIELQPDYHLSYVLLANVYRSVGRWDDALEIGRIMKDRGVKKTPGKSWIESNSKLGSFLPVGNVEIPRRINFPVVMDIV >ONI15454 pep chromosome:Prunus_persica_NCBIv2:G3:3122378:3127338:1 gene:PRUPE_3G043900 transcript:ONI15454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPLQNCHNRKQSGIHFQDQDSSSTQSTGQSHSEVDSMKEGNPCGEGIVSAQSGYNERQGKPVGGHLKSLSSMASQGFVFPSQLDFSHPMGHIPFHYAEPYFGSLLAAACGPQATIHHPQVMGITPARVPLPLDLTEDEPIYVNAKQYRAILRRRQYRAKLEAQNKLVKIRKPYLHESRHVHALKRARGSGGRFLNMKKVQDSKPNTTNRVDVSGSAQLHLTRNMSESEVLEPDNYRDGASTTSCSEVTSTSNSDNIFPRQDFRFSGYPSHIGGTMQVPFVDVRGGGNQHHISLLR >ONI15451 pep chromosome:Prunus_persica_NCBIv2:G3:3122759:3127355:1 gene:PRUPE_3G043900 transcript:ONI15451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLYKQNSDIGSAHSTFPFIVGSSSWEYSTDTHVQQSTSKSLIFRMGAPLQNCHNRKQSGIHFQDQDSSSTQSTGQSHSEVDSMKEGNPCGEGIVSAQSGYNERQGKPVGGHLKSLSSMASQGFVFPSQLDFSHPMGHIPFHYAEPYFGSLLAAACGPQATIHHPQVMGITPARVPLPLDLTEDEPIYVNAKQYRAILRRRQYRAKLEAQNKLVKIRKPYLHESRHVHALKRARGSGGRFLNMKKVQDSKPNTTNRVDVSGSAQLHLTRNMSESEVLEPDNYRDGASTTSCSEVTSTSNSDNIFPRQDFRFSGYPSHIGGTMQVPFVDVRGGGNQHHISLLR >ONI15456 pep chromosome:Prunus_persica_NCBIv2:G3:3122318:3127340:1 gene:PRUPE_3G043900 transcript:ONI15456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPLQNCHNRKQSGIHFQDQDSSSTQSTGQSHSEVDSMKEGNPCGEGIVSAQSGYNERQGKPVGGHLKSLSSMASQGFVFPSQLDFSHPMGHIPFHYAEPYFGSLLAAACGPQATIHHPQVMGITPARVPLPLDLTEDEPIYVNAKQYRAILRRRQYRAKLEAQNKLVKIRKPYLHESRHVHALKRARGSGGRFLNMKKVQDSKPNTTNRVDVSGSAQLHLTRNMSESEVLEPDNYRDGASTTSCSEVTSTSNSDNIFPRQDFRFSGYPSHIGGTMQVPFVDVRGGGNQHHISLLR >ONI15455 pep chromosome:Prunus_persica_NCBIv2:G3:3122264:3127354:1 gene:PRUPE_3G043900 transcript:ONI15455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPLQNCHNRKQSGIHFQDQDSSSTQSTGQSHSEVDSMKEGNPCGEGIVSAQSGYNERQGKPVGGHLKSLSSMASQGFVFPSQLDFSHPMGHIPFHYAEPYFGSLLAAACGPQATIHHPQVMGITPARVPLPLDLTEDEPIYVNAKQYRAILRRRQYRAKLEAQNKLVKIRKPYLHESRHVHALKRARGSGGRFLNMKKVQDSKPNTTNRVDVSGSAQLHLTRNMSESEVLEPDNYRDGASTTSCSEVTSTSNSDNIFPRQDFRFSGYPSHIGGTMQVPFVDVRGGGNQHHISLLR >ONI15450 pep chromosome:Prunus_persica_NCBIv2:G3:3121596:3127378:1 gene:PRUPE_3G043900 transcript:ONI15450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSQFAFLVADEWTIEVKVQTMQNLYKQNSDIGSAHSTFPFIVGSSSWEYSTDTHVQQSTSKSLIFRMGAPLQNCHNRKQSGIHFQDQDSSSTQSTGQSHSEVDSMKEGNPCGEGIVSAQSGYNERQGKPVGGHLKSLSSMASQGFVFPSQLDFSHPMGHIPFHYAEPYFGSLLAAACGPQATIHHPQVMGITPARVPLPLDLTEDEPIYVNAKQYRAILRRRQYRAKLEAQNKLVKIRKPYLHESRHVHALKRARGSGGRFLNMKKVQDSKPNTTNRVDVSGSAQLHLTRNMSESEVLEPDNYRDGASTTSCSEVTSTSNSDNIFPRQDFRFSGYPSHIGGTMQVPFVDVRGGGNQHHISLLR >ONI15452 pep chromosome:Prunus_persica_NCBIv2:G3:3122296:3127338:1 gene:PRUPE_3G043900 transcript:ONI15452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLYKQNSDIGSAHSTFPFIVGSSSWEYSTDTHVQQSTSKSLIFRMGAPLQNCHNRKQSGIHFQDQDSSSTQSTGQSHSEVDSMKEGNPCGEGIVSAQSGYNERQGKPVGGHLKSLSSMASQGFVFPSQLDFSHPMGHIPFHYAEPYFGSLLAAACGPQATIHHPQVMGITPARVPLPLDLTEDEPIYVNAKQYRAILRRRQYRAKLEAQNKLVKIRKPYLHESRHVHALKRARGSGGRFLNMKKVQDSKPNTTNRVDVSGSAQLHLTRNMSESEVLEPDNYRDGASTTSCSEVTSTSNSDNIFPRQDFRFSGYPSHIGGTMQVPFVDVRGGGNQHHISLLR >ONI15453 pep chromosome:Prunus_persica_NCBIv2:G3:3122276:3127378:1 gene:PRUPE_3G043900 transcript:ONI15453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLYKQNSDIGSAHSTFPFIVGSSSWEYSTDTHVQQSTSKSLIFRMGAPLQNCHNRKQSGIHFQDQDSSSTQSTGQSHSEVDSMKEGNPCGEGIVSAQSGYNERQGKPVGGHLKSLSSMASQGFVFPSQLDFSHPMGHIPFHYAEPYFGSLLAAACGPQATIHHPQVMGITPARVPLPLDLTEDEPIYVNAKQYRAILRRRQYRAKLEAQNKLVKIRKPYLHESRHVHALKRARGSGGRFLNMKKVQDSKPNTTNRVDVSGSAQLHLTRNMSESEVLEPDNYRDGASTTSCSEVTSTSNSDNIFPRQDFRFSGYPSHIGGTMQVPFVDVRGGGNQHHISLLR >ONI19777 pep chromosome:Prunus_persica_NCBIv2:G3:26378550:26379486:1 gene:PRUPE_3G297100 transcript:ONI19777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCNMNCARATLFLLTIFICFGHFSVSAYDFQVGGTKDWVVPPTNDSKIYNDWASGNRFQVGDTIRFKYKKNSVMEVTEEEFKKCNSTRPNFFSNTGNTVYLFDHAGSFYFISGASGHCQRGQRMIVKVMSLEESPSSDRRSSGSRAAVF >ONI16724 pep chromosome:Prunus_persica_NCBIv2:G3:10062480:10065001:-1 gene:PRUPE_3G117700 transcript:ONI16724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATTINDLPDAILSTIIGMVSGTRTRNSLSLACRKFRSTERATRTSLTLRGNARDLPDLPLCFAAVTHLDLSLLSPWGHSLLSPSAAANDTDPLLLAQRLRAAFPFVDSLIVYSRSPSTVQIVSHLWPGLRRVKLVRWHQRPQSPPGADFDPLFDQCHSLSELDLSEFYYWTEDLPPVLEAHPNVARSLTKLDLLTTSFTEGFRANEIKSIVTACPKLQHLLIACMFDPRYIGFVGEEALLSISANCPELKVVHLIDTSSLANARGDPNDDGFTSEDARIGRAALVDFFSGLPLLEDLALDVCKNVRDSGLALEVLGSKCPRLRALKLGQFHGICSAIGSELDGIALCSGLESLSIKNSADLTDMGLIEIARGCCKLAKFEVQGCKRITVKGLRTMACLLRKTLVDVGISCCKNLDAAASLRALEPIRDRIQRLHIDCVWEQEDEHARNFDLNQVNDQEDVNSEASVLNRNGEDADYMELNWAAEYEHRSSKKCKLGLDGDCSYMPPSNGYGYGNGNGFWCGESWERLHYLSLWIGVGELLTPLPTAGLDDCPNLEEIRIRVEGDCRGRQKPTQRDFGLSCLAGYPLLSKMKLDCGDTVGYALTAPPGQMDLSLWERFFLSGIESLSLSELDYWPPQDRDVNQRSLWLPAAGLLSECLTLRKLFIHGTAHEHFMMFLVRIPSLNCNLRDVQLREDYYPAPENEMSTEMRVDSCRRFEKALNRRPILD >ONI15381 pep chromosome:Prunus_persica_NCBIv2:G3:2911439:2914202:1 gene:PRUPE_3G040700 transcript:ONI15381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTIISTLLFTRRRKAMQLFPERVIKIWSLWELRVAVLISLGLQTILILIGKWRKHSTKDYLRIVLWLAYLLAESTATFSLGVLSNSQEDSQGDSVNPDYVITAFWAPFLLLHLGGPDIIAAYSSEDNELWMRHLLRLGSQLLVASNVLFRAWSSEALNFLALPMFIVGIIKSGERTWVLRSASSEQFRDSMLQDPDPGPNYDRYMEDYSSRRDEGFRVELETFSASKAATGSDQSREPAADDDSSQNDPINKAYTFFESSKKLCADLILNFHDVDNSQNFFQATNFEKAFKVIEIELGFIYDVFYTKAVLVYSGLGGILRCITFFLTVLVFLIFLFTEKQAYREMDVIITYIMLAGAIVLEIYALIILLSSDWTKLWLNKNKNTNTVACVVNKNKHKNEHTNTVACVVGFLHKTVSYLPLVNNKSWSNSLGQYKLIKFCLKTKPAKCIIFKKDCYINTYLEKHRYKKYSKVPIDFVKQLIFKQLLEKSATAKSFKDRKELCAGRGDKVLDKKGLKILGWTINDAEFDQSILLWHIATDLCYNSDLNEENQNCQTRKKLPNKNCQASRLLSNYMLYLLVICPFMLPNGIGEIRYRDTCSEAEDFFTERKLKSDDKNARIELMQVCTDLLPADVKGDKSKSVLFDAYAGSQKICKQRKIGIRRRSGS >ONI15569 pep chromosome:Prunus_persica_NCBIv2:G3:3530360:3534766:1 gene:PRUPE_3G050000 transcript:ONI15569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRISSRTTRTTLVFCVLLLISSAHCFYLPGVAPRDFHTGDDLPIKVNKLSSTKTQLPYDYYFLKYCTPKQIENSAENLGEVLRGDRIENSVYSFKMREEQSCVVACRVKLDAESAKNFKEKIDDKYRVQMILDNLPVAVLRQRRDGSPSTTYEHGFGVGFKGNYAGSKEERYFINNHLSFRVMYHKDPETDSARIVGFEVTPNSINHEYKEWNDKNTQLATCNKDTKNLPPGSTVPQEVDKDKEIVFTYDVSFKESGIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLDTQEEAQEETGWKLVNGDVFRAPINSNLLCVYVGTGVQIFGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEKSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPPVEDPVKTNKIPRQVPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILLITCAEITMVLCYFQLCSEDYHWWWRSYLTAGSSALYLLLYSAFYFFTKLEITKLVSGILYFGYMLIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >ONI15570 pep chromosome:Prunus_persica_NCBIv2:G3:3530694:3534766:1 gene:PRUPE_3G050000 transcript:ONI15570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEQSCVVACRVKLDAESAKNFKEKIDDKYRVQMILDNLPVAVLRQRRDGSPSTTYEHGFGVGFKGNYAGSKEERYFINNHLSFRVMYHKDPETDSARIVGFEVTPNSINHEYKEWNDKNTQLATCNKDTKNLPPGSTVPQEVDKDKEIVFTYDVSFKESGIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLDTQEEAQEETGWKLVNGDVFRAPINSNLLCVYVGTGVQIFGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEKSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPPVEDPVKTNKIPRQVPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILLITCAEITMVLCYFQLCSEDYHWWWRSYLTAGSSALYLLLYSAFYFFTKLEITKLVSGILYFGYMLIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >ONI17640 pep chromosome:Prunus_persica_NCBIv2:G3:18985015:18987289:1 gene:PRUPE_3G170900 transcript:ONI17640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRGNRSKAWNGPQNRLAGLVVVSLTVFLLIFILSVGTNDQKPSSLLELPKEKWHSFKSLVQLSPTLEFRNGTDVIWQIPDSPKAVLFLAHGCNGRAAHFWDKSTHCPNCIGLPEERLIALHALARKFAVLTISSAGICWTLGEEIIIVKDIIKWWVKKNKLEKLPLVAMGASSGGYFVSVLATVLKFTSITIMIAEGKFDKMDIKESYPPTLFMHMPKDILRKQKIDEYMEILRNKGVDVAEIECKEFPLSPHLLADRIPGLDLSVSAKLFELFRHKGFIDENGYMKNDGRRTRWKEAVRESKIIFPDKHLAHYIQEELNLAFAYHEMTSLHSDRMFIWFESHMR >ONI17641 pep chromosome:Prunus_persica_NCBIv2:G3:18984979:18987358:1 gene:PRUPE_3G170900 transcript:ONI17641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRGNRSKAWNGPQNRLAGLVVVSLTVFLLIFILSVGTNDQKPSSLLELPKEKWHSFKSLVQLSPTLEFRNGTDVIWQIPDSPKAVLFLAHGCNGRAAHFWDKSTHCPNCIGLPEERLIALHALARKFAVLTISSAGICWTLGEEIIIVKDIIKWWVKKNKLEKLPLVAMGASSGGYFVSVLATVLKFTSITIMIAEGKFDKMDIKESYPPTLFMHMPKDILRKQKIDEYMEILRNKGVDVAEIECKEFPLSPHLLADRIPGLDLSVSAKLFELFRHKGFIDENGYMKNDGRRTRWKEAVRESKIIFPDKHLAHYIQEELNLAFAYHEMTSLHSDRMFIWFESHMR >ONI17642 pep chromosome:Prunus_persica_NCBIv2:G3:18984999:18987328:1 gene:PRUPE_3G170900 transcript:ONI17642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRGNRSKAWNGPQNRLAGLVVVSLTVFLLIFILSVGTNDQKPSSLLELPKEKWHSFKSLVQLSPTLEFRNGTDVIWQIPDSPKAVLFLAHGCNGRAAHFWDKSTHCPNCIGLPEERLIALHALARKFAVLTISSAGICWTLGEEIIIVKDIIKWWVKKNKLEKLPLVAMGASSGGYFVSVLATVLKFTSITIMIAEGKFDKMDIKESYPPTLFMHMPKDILRKQKIDEYMEILRNKGVDVAEIECKEFPLSPHLLADRIPGLDLSVSAKLFELFRHKGFIDENGYMKNDGRRTRWKEAVRESKIIFPDKHLAHYIQEELNLAFAYHEMTSLHSDRMFIWFESHMR >ONI17639 pep chromosome:Prunus_persica_NCBIv2:G3:18984984:18987358:1 gene:PRUPE_3G170900 transcript:ONI17639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRGNRSKAWNGPQNRLAGLVVVSLTVFLLIFILSVGTNDQKPSSLLELPKEKWHSFKSLVQLSPTLEFRNGTDVIWQIPDSPKAVLFLAHGCNGRAAHFWDKSTHCPNCIGLPEERLIALHALARKFAVLTISSAGICWTLGEEIIIVKDIIKWWVKKNKLEKLPLVAMGASSGGYFVSVLATVLKFTSITIMIAEGKFDKMDIKESYPPTLFMHMPKDILRKQKIDEYMEILRNKGVDVAEIECKEFPLSPHLLADRIPGLDLSVSAKLFELFRHKGFIDENGYMKNDGRRTRWKEAVRESKIIFPDKHLAHYIQEELNLAFAYHEMTSLHSDRMFIWFESHMR >ONI14958 pep chromosome:Prunus_persica_NCBIv2:G3:1289824:1291818:-1 gene:PRUPE_3G018000 transcript:ONI14958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVESFEKLKATQQLLKASLEKSTALSSAIDETGSRLEGMKQRLPSLEAAMRPITMQRCGYSAIRDQINGAIGPAAAVLKAYDVVRELEKALSFASCSDLPAYLSAMKRLEEALRFLADNCELATQWLQDIVEFLEGNAFTNDRSLLSVKKALRILQELHAIEGSARRDGGLLNEAFNELEAEFIISLMESSATTITSIASSPLSVLAIQKLQAIVERLNAADRLEKCIFVYAEARSLSARRSLQALDLDYLEIEITEFVDRQSIDSCIDQWGKHLELVVKHLLELEYKLCNNVFEKVGSDAWKCCFARISQEFRLASFLQFGTNVTKMKKDPIKLLKLLDIFTVMENLRLNFNRLFGGKACDEIQTLTRDLIKRVVIGACEIFWELPSQVELQRRFSPPSDGGVPLLVSFITNYYNKLLGDDYRPSLIQVLQIHQSWKQDVYDDGDDDVLSHQIYSTMKEVGLNLDAWSKAYEDISLSYLFMMNNHCHFSQMKGTQLGDIMGDSWLEAHEKYKDYYAALYLRESWGKLLPLLSQKGLISFPFDHQDFDNKLLKAFNEAFDERYKKHSNWVISDDGLRQKVCQLLVQAVVPVYGSYMHKYGVLVEQDASGSKHIKHTAQSLEKMLSSLFQPKIGKYSSTKHIRFIGKLKNVVMNQLRVTLTAM >ONI16737 pep chromosome:Prunus_persica_NCBIv2:G3:10180568:10184771:1 gene:PRUPE_3G118300 transcript:ONI16737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIDAQLRLLAPGKVSEDDKLIEYDALLLDRFLDILQDLHGESLRETVQDCYELSAEYERKQDPKKLEELGKVLTSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADEASATTESDIEETLKRLVGDLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARVRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNDELLSRAHELHRSSKKDAKHYIEFWKQIPPNEPYRVILGDVRDKLYSTRERARQLLANGISEIPEETTFTNVEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLNAITRHLEIGSYREWSEERRQEWLLSELSGKRPLFGSDVPKTEEIADVLDTFHVISELPSDNFGAYIISMATAPSDVLAVELLQRECGVKKPLRVVPLFEKLADLEAAPAAVGRLFSIDWYKNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQYAVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEIRNTPFNSFPGTSFC >ONI19219 pep chromosome:Prunus_persica_NCBIv2:G3:24917985:24918191:-1 gene:PRUPE_3G265500 transcript:ONI19219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMLPYCILFVMALDGLSFYFLFFFCFGLGWGLEEVGARFAGCVYVGAKASYAFNQMDKFHGQGVLI >ONI14861 pep chromosome:Prunus_persica_NCBIv2:G3:946412:948402:1 gene:PRUPE_3G013400 transcript:ONI14861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWGEDDKGHYVKLRGDHGDYGSAEGFEGLVEPSFSKKLASLWYWIRLVLLVMCLVLLAGYVRFILECMNFLFYIQLTYLW >ONI17464 pep chromosome:Prunus_persica_NCBIv2:G3:17966596:17973421:-1 gene:PRUPE_3G160800 transcript:ONI17464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALDMSLDDMIKNSRGNRERTRGGRAPRGRGGPRGSFNAGRMAAGRIPGGRMTGAVRRGPLPVNTRPSSYTIAKSTRRTKRFPWQPDLFEDSIRAAGISGIEIGTKLYVSNLDYGVTNEDIRELFSEIGELKRYAVHFDKNGRPSGSAEVVYTRRSDAFAALKRYNNVLLDGKPMKIEIVGANAGMPISARVNVTGVHGRKKRTVVMTPGPGRGGGYALPMVNRGSGSSRGGIRNGRGQPRGSGSGRGRGRSGPGRKKPVDKSVDELDKELDSYHADAMQS >ONI17466 pep chromosome:Prunus_persica_NCBIv2:G3:17969318:17973421:-1 gene:PRUPE_3G160800 transcript:ONI17466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALDMSLDDMIKNSRGNRERTRGGRAPRGRGGPRGSFNAGRMAAGRIPGGRMTGAVRRGPLPVNTRPSSYTIAKSTRRTKRFPWQPDLFEDSIRAAGISGIEIGTKLYVSNLDYGVTNEDIRELFSEIGELKRYAVHFDKNGRPSGSAEVVYTRRSDAFAALKRYNNVLLDGKPMKIEIVGANAGMPISARVNVTGVHGRKKRTVVMTLVPISAKVQILSWLVVHGRINTCDMFQKRRPLACSFSHCCVLCKEGEHVGHLFLHCRFT >ONI17465 pep chromosome:Prunus_persica_NCBIv2:G3:17966550:17973446:-1 gene:PRUPE_3G160800 transcript:ONI17465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALDMSLDDMIKNSRGNRERTRGGRAPRGRGGPRGSFNAGRMAAGRIPGGRMTGAVRRGPLPVNTRPSSYTIAKSTRRTKRFPWQPDLFEDSIRAAGISGIEIGTKLYVSNLDYGVTNEDIRELFSEIGELKRYAVHFDKNGRPSGSAEVVYTRRSDAFAALKRYNNVLLDGKPMKIEIVGANAGMPISARVNVTGVHGRKKRTVVMTPGPGRGGGYALPMVNRGSGRSSRGGIRNGRGQPRGSGSGRGRGRSGPGRKKPVDKSVDELDKELDSYHADAMQS >ONI19329 pep chromosome:Prunus_persica_NCBIv2:G3:25260871:25267098:-1 gene:PRUPE_3G272500 transcript:ONI19329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMDGKSETLDAVLKEAVDLENVPLEEVFLTLRCNRHGLTSEAAEQRLVIFGYNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMAHLAPKAKVFRGGRWIEEDASILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQQGHFQKVLTAIGNFCICSIAVGMIIEIIVMYPIQHRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLLEVFAKGVDADTVVLMAARASRMENQDAIDAAIVGMLADPKEARASIQEVHFLPFNPTDKRTALTYIDSQGKMHRVSKGAPEQILNLVHNKSEIERRVHTVIDKFAERGLRSLAVAYQEVPDGRKESQGGAWQFIGLMPLFDPPRHDSAETIKRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQNKDESIVTLPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRSIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFTTGIILGGYLAMMTVIFFWAACKTDFFPRTFGVSSLQHKNEDDNRKLASAVYLQVSTISQALIFVTRSRSWSFVERPGLLLVAAFVIAQLIATLIAVYANWSFAAIKGIGWGWAGVVWLYNLVFYFPLDFIKFVVRYALSGRAWDLIIDQRIAFTRKKDFGKEERELKWAHAQRTLHGLHPPEANIFGDRSNYSELNQMAEEAKRRAEIARLRELSTLKGHVESVVRNKGLDIDTIQQSYTV >ONI19332 pep chromosome:Prunus_persica_NCBIv2:G3:25260871:25267914:-1 gene:PRUPE_3G272500 transcript:ONI19332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMDGKSETLDAVLKEAVDLENVPLEEVFLTLRCNRHGLTSEAAEQRLVIFGYNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMAHLAPKAKVFRGGRWIEEDASILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQQGHFQKVLTAIGNFCICSIAVGMIIEIIVMYPIQHRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLLEVFAKGVDADTVVLMAARASRMENQDAIDAAIVGMLADPKEARASIQEVHFLPFNPTDKRTALTYIDSQGKMHRVSKGAPEQILNLVHNKSEIERRVHTVIDKFAERGLRSLAVAYQEVPDGRKESQGGAWQFIGLMPLFDPPRHDSAETIKRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQNKDESIVTLPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRSIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFTTGIILGGYLAMMTVIFFWAACKTDFFPRTFGVSSLQHKNEDDNRKLASAVYLQVSTISQALIFVTRSRSWSFVERPGLLLVAAFVIAQLIATLIAVYANWSFAAIKGIGWGWAGVVWLYNLVFYFPLDFIKFVVRYALSGRAWDLIIDQRIAFTRKKDFGKEERELKWAHAQRTLHGLHPPEANIFGDRSNYSELNQMAEEAKRRAEIARLRELSTLKGHVESVVRNKGLDIDTIQQSYTV >ONI19330 pep chromosome:Prunus_persica_NCBIv2:G3:25261277:25266536:-1 gene:PRUPE_3G272500 transcript:ONI19330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMDGKSETLDAVLKEAVDLENVPLEEVFLTLRCNRHGLTSEAAEQRLVIFGYNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMAHLAPKAKVFRGGRWIEEDASILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQQGHFQKVLTAIGNFCICSIAVGMIIEIIVMYPIQHRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLLEVFAKGVDADTVVLMAARASRMENQDAIDAAIVGMLADPKEARASIQEVHFLPFNPTDKRTALTYIDSQGKMHRVSKGAPEQILNLVHNKSEIERRVHTVIDKFAERGLRSLAVAYQEVPDGRKESQGGAWQFIGLMPLFDPPRHDSAETIKRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQNKDESIVTLPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRSIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFTTGIILGGYLAMMTVIFFWAACKTDFFPRTFGVSSLQHKNEDDNRKLASAVYLQVSTISQALIFVTRSRSWSFVERPGLLLVAAFVIAQLIATLIAVYANWSFAAIKGIGWGWAGVVWLYNLVFYFPLDFIKFVVRYALSGRAWDLIIDQRIAFTRKKDFGKEERELKWAHAQRTLHGLHPPEANIFGDRSNYSELNQMAEEAKRRAEIARLRELSTLKGHVESVVRNKGLDIDTIQQSYTV >ONI19331 pep chromosome:Prunus_persica_NCBIv2:G3:25260871:25267747:-1 gene:PRUPE_3G272500 transcript:ONI19331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMDGKSETLDAVLKEAVDLENVPLEEVFLTLRCNRHGLTSEAAEQRLVIFGYNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMAHLAPKAKVFRGGRWIEEDASILVPGDIISIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQQGHFQKVLTAIGNFCICSIAVGMIIEIIVMYPIQHRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLLEVFAKGVDADTVVLMAARASRMENQDAIDAAIVGMLADPKEARASIQEVHFLPFNPTDKRTALTYIDSQGKMHRVSKGAPEQILNLVHNKSEIERRVHTVIDKFAERGLRSLAVAYQEVPDGRKESQGGAWQFIGLMPLFDPPRHDSAETIKRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQNKDESIVTLPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRSIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFTTGIILGGYLAMMTVIFFWAACKTDFFPRTFGVSSLQHKNEDDNRKLASAVYLQVSTISQALIFVTRSRSWSFVERPGLLLVAAFVIAQLIATLIAVYANWSFAAIKGIGWGWAGVVWLYNLVFYFPLDFIKFVVRYALSGRAWDLIIDQRIAFTRKKDFGKEERELKWAHAQRTLHGLHPPEANIFGDRSNYSELNQMAEEAKRRAEIARLRELSTLKGHVESVVRNKGLDIDTIQQSYTV >ONI19881 pep chromosome:Prunus_persica_NCBIv2:G3:26663822:26669922:1 gene:PRUPE_3G303000 transcript:ONI19881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESEKEVQTLLDDLGIREKQQFPISQNKRYIVRNGSPVLIPTNPIALIKSNFLSAQSKLQIILEPYLWKDKRVSDDHTEESVGGFFQRHFGEEVVDYLIDPFVAGTSAGDPESLSMRHSFPDIWNIEKRFGSVISGAIKSKLSASKGKSGETKGSVEKGKRQRGSFSFHGGMQTLTDILCNQLEKDELKLNSKVLSLSYRQGGNSASENWSVSRVADDDKHSQSLSVDALIMTAPLCNVKEMKITKRGTRFPLDFIPEVVYMPLSVIITTFKKENVKRPLEGFGVLVPSKEQKNGLKTLGTLFSSMMFPDRAPSDLYLYTTFVGGSRNKELAKASTDELKQIVTSDIRHLLGAEGEPTFVNHFYWSNAFPLYGRDYDSVIEAIENMEKNLPGFFYAGNHRGGLSVGKSIASGCKAAELVISYLESPSDEKTRHKG >ONI19880 pep chromosome:Prunus_persica_NCBIv2:G3:26663822:26669922:1 gene:PRUPE_3G303000 transcript:ONI19880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLTTKEGSVKRVAVVGAGVSGLAAAYKLKSHGFDVTVFEAEGRAGGKLRSVSHDGLIWDEGANTMTESEKEVQTLLDDLGIREKQQFPISQNKRYIVRNGSPVLIPTNPIALIKSNFLSAQSKLQIILEPYLWKDKRVSDDHTEESVGGFFQRHFGEEVVDYLIDPFVAGTSAGDPESLSMRHSFPDIWNIEKRFGSVISGAIKSKLSASKGKSGETKGSVEKGKRQRGSFSFHGGMQTLTDILCNQLEKDELKLNSKVLSLSYRQGGNSASENWSVSRVADDDKHSQSLSVDALIMTAPLCNVKEMKITKRGTRFPLDFIPEVVYMPLSVIITTFKKENVKRPLEGFGVLVPSKEQKNGLKTLGTLFSSMMFPDRAPSDLYLYTTFVGGSRNKELAKASTDELKQIVTSDIRHLLGAEGEPTFVNHFYWSNAFPLYGRDYDSVIEAIENMEKNLPGFFYAGNHRGGLSVGKSIASGCKAAELVISYLESPSDEKTRHKG >ONI19879 pep chromosome:Prunus_persica_NCBIv2:G3:26663822:26669922:1 gene:PRUPE_3G303000 transcript:ONI19879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLTTKEGEQSSVKRVAVVGAGVSGLAAAYKLKSHGFDVTVFEAEGRAGGKLRSVSHDGLIWDEGANTMTESEKEVQTLLDDLGIREKQQFPISQNKRYIVRNGSPVLIPTNPIALIKSNFLSAQSKLQIILEPYLWKDKRVSDDHTEESVGGFFQRHFGEEVVDYLIDPFVAGTSAGDPESLSMRHSFPDIWNIEKRFGSVISGAIKSKLSASKGKSGETKGSVEKGKRQRGSFSFHGGMQTLTDILCNQLEKDELKLNSKVLSLSYRQGGNSASENWSVSRVADDDKHSQSLSVDALIMTAPLCNVKEMKITKRGTRFPLDFIPEVVYMPLSVIITTFKKENVKRPLEGFGVLVPSKEQKNGLKTLGTLFSSMMFPDRAPSDLYLYTTFVGGSRNKELAKASTDELKQIVTSDIRHLLGAEGEPTFVNHFYWSNAFPLYGRDYDSVIEAIENMEKNLPGFFYAGNHRGGLSVGKSIASGCKAAELVISYLESPSDEKTRHKG >ONI18940 pep chromosome:Prunus_persica_NCBIv2:G3:23917074:23918539:-1 gene:PRUPE_3G248700 transcript:ONI18940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFFTLLFLISSLSLTTPSEASHEKKPPSAVVVGTVYCDTCFQAEFSHASHFISGASVGVECKDGSSKPSFQTEVKTDSHGVFRVHLPFSVSKRVKKIEGCSVKLISSSEPYCAVSSTATSSSLHLKSSEQGTYIFSAGFFTFKPLKQPSLCNQKPSIPNSKEFSSQKSSFPGIPVTSPFPGKTTKAGQLTDKKVGLPGLPGTGLPGLPGLPGIPQLTPFTGKNTKGGQLTDKKLLGLPGLPGLPGLPGLPGLPGLPGLPGLPGLPGLPGLPGLGKAGQLNDKKVARPDTSFTTPQIPKTSLPPNPSPPTSRIPGIPRASSKQTTSP >ONI18974 pep chromosome:Prunus_persica_NCBIv2:G3:24011626:24014599:-1 gene:PRUPE_3G250400 transcript:ONI18974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLFLPMIRSVSKFISFTILLLFGLACTSFTATEAYDPLDPSGNITIKWDIISWTPDGYVAVVTIYNFQKYRHIQAPGWSLGWTWAKKEVIWNMVGGQATEQGDCSKFKTTIPHCCKKNPTVVDLLPGTPYNQQITNCCKGGVLASWVQDPANAVGSFQLSVGQAGTTNKTVRAPKNLTLNAPGPGYTCGRANIVKPTKFVTADKRRVTQAMMTWNVTCTYSQFLAQTTPTCCVSLSSFYNDTVVPCPACSCGCQSNATHPGSCVEPDSPYLASVVSASSKNSYMPLVRCTNHMCPIRVHWHVKLNYKEYWRVKVTVTNFNYRMNYSDWNLVVQHPNFDNLTQSFSFNYKSITPYATINDTAMLWGLKFYNDLLMQAGPLGNVQSELLFQKDQATFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNSGFRQYTSLLTLIMTSLSTAALMYVHA >ONI18975 pep chromosome:Prunus_persica_NCBIv2:G3:24011142:24014824:-1 gene:PRUPE_3G250400 transcript:ONI18975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGQATEQGDCSKFKTTIPHCCKKNPTVVDLLPGTPYNQQITNCCKGGVLASWVQDPANAVGSFQLSVGQAGTTNKTVRAPKNLTLNAPGPGYTCGRANIVKPTKFVTADKRRVTQAMMTWNVTCTYSQFLAQTTPTCCVSLSSFYNDTVVPCPACSCGCQSNATHPGSCVEPDSPYLASVVSASSKNSYMPLVRCTNHMCPIRVHWHVKLNYKEYWRVKVTVTNFNYRMNYSDWNLVVQHPNFDNLTQSFSFNYKSITPYATINDTAMLWGLKFYNDLLMQAGPLGNVQSELLFQKDQATFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNSGFRQYTSLLTLIMTSLSTAALMYVHA >ONI14697 pep chromosome:Prunus_persica_NCBIv2:G3:186223:192239:-1 gene:PRUPE_3G002800 transcript:ONI14697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRQPSIGYSVSITPSHPDTTSPDPEKISIPPPTLITPGAPRFPLPRFQQDQAPSPSLKTPNASSPANGLKTGSPIPHLSTPPGPPVFTSPVRPAAVPFRASPATPQPVAFSPGSSLPTSSPLNFSNGSHELQHELSNVTEDDIASVGESPYVLFSAHKVLKQKKQANIPSLGFGALVSPGREISPAPQIIQRDPHRCHSCGAYANIYCNILLGSGQWQCVICRELNGSEGEYIAPSKEDLCNFPELSSPMVDYVQTGNNRPGFIPVSDSRMSAPIVLVIDECLDEPHLWDLQSSLHAFVDSLPPTTRIGIILYGRTVSVYDFSEESIASADVLPGETSPSQDSLKALIYGTGIYLSPMHASLPVAHAIFSSLRPYKLKIPEASRDRCLGTAVEVALAIVQGPSGEMSRGVIKRSGGNSRIIVCAGGPNTYGPGSVPHSFSHPNYPHMEKTALKWMEHLGHEAHRHNTVVDILCAGTCPVRVPILQPLAKASGGVFVLHDDFGEAFGVNLQRASTRAAGSRGFLAIRCSDDILITQVVGPGEEAHMDTHETFKNDTSLYIQMLSVEETQSFSLSLENKRDIMTEYVYFQFTIQYLNVYQADISRVITIRLPTVDSVSAYLASVQDEVAAVLIAKRTLLRAKNYSDAIDMRATIDERIKDIALKFGSQAPKSKHYRFPKEVSLLPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRIVAPRCLMHREGGTFEELPAYDLAMQSDAAVVLDHGTDVFIWLGAELAADEGKSAAALAACRTLAEELTELRFPAPRILSFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTTEQRTKLKSSFLNFDEPSFCEWVRSLRVVPPEPS >ONI14698 pep chromosome:Prunus_persica_NCBIv2:G3:186971:191333:-1 gene:PRUPE_3G002800 transcript:ONI14698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRQPSIGYSVSITPSHPDTTSPDPEKISIPPPTLITPGAPRFPLPRFQQDQAPSPSLKTPNASSPANGLKTGSPIPHLSTPPGPPVFTSPVRPAAVPFRASPATPQPVAFSPGSSLPTSSPLNFSNGSHELQHELSNVTEDDIASVGESPYVLFSAHKVLKQKKQANIPSLGFGALVSPGREISPAPQIIQRDPHRCHSCGAYANIYCNILLGSGQWQCVICRELNGSEGEYIAPSKEDLCNFPELSSPMVDYVQTGNNRPGFIPVSDSRMSAPIVLVIDECLDEPHLWDLQSSLHAFVDSLPPTTRIGIILYGRTVSVYDFSEESIASADVLPGETSPSQDSLKALIYGTGIYLSPMHASLPVAHAIFSSLRPYKLKIPEASRDRCLGTAVEVALAIVQGPSGEMSRGVIKRSGGNSRIIVCAGGPNTYGPGSVPHSFSHPNYPHMEKTALKWMEHLGHEAHRHNTVVDILCAGTCPVRVPILQPLAKASGGVFVLHDDFGEAFGVNLQRASTRAAGSRGFLAIRCSDDILITQVVGPGEEAHMDTHETFKNDTSLYIQMLSVEETQSFSLSLENKRDIMTEYVYFQFTIQYLNVYQADISRVITIRLPTVDSVSAYLASVQDEVAAVLIAKRTLLRAKNYSDAIDMRATIDERIKDIALKFGSQAPKSKHYRFPKEVSLLPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRIVAPRCLMHREGGTFEELPAYDLAMQSDAAVVLDHGTDVFIWLGAELAADEGKSAAALAACRTLAEELTELRFPAPRILSFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTTEQRTKLKSSFLNFDEPSFCEWVRSLRVVPPEPS >ONI14696 pep chromosome:Prunus_persica_NCBIv2:G3:186971:191333:-1 gene:PRUPE_3G002800 transcript:ONI14696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRQPSIGYSVSITPSHPDTTSPDPEKISIPPPTLITPGAPRFPLPRFQQDQAPSPSLKTPNASSPANGLKTGSPIPHLSTPPGPPVFTSPVRPAAVPFRASPATPQPVAFSPGSSLPTSSPLNFSNGSHELQHELSNVTEDDIASVGESPYVLFSAHKVLKQKKQANIPSLGFGALVSPGREISPAPQIIQRDPHRCHSCGAYANIYCNILLGSGQWQCVICRELNGSEGEYIAPSKEDLCNFPELSSPMVDYVQTGNNRPGFIPVSDSRMSAPIVLVIDECLDEPHLWDLQSSLHAFVDSLPPTTRIGIILYGRTVSVYDFSEESIASADVLPGETSPSQDSLKALIYGTGIYLSPMHASLPVAHAIFSSLRPYKLKIPEASRDRCLGTAVEVALAIVQGPSGEMSRGVIKRSGGNSRIIVCAGGPNTYGPGSVPHSFSHPNYPHMEKTALKWMEHLGHEAHRHNTVVDILCAGTCPVRVPILQPLAKASGGVFVLHDDFGEAFGVNLQRASTRAAGSRGFLAIRCSDDILITQVVGPGEEAHMDTHETFKNDTSLYIQMLSVEETQSFSLSLENKRDIMTEYVYFQFTIQYLNVYQADISRVITIRLPTVDSVSAYLASVQDEVAAVLIAKRTLLRAKNYSDAIDMRATIDERIKDIALKFGSQAPKSKHYRFPKEVSLLPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRIVAPRCLMHREGGTFEELPAYDLAMQSDAAVVLDHGTDVFIWLGAELAADEGKSAAALAACRTLAEELTELRFPAPRILSFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTTEQRTKLKSSFLNFDEPSFCEWVRSLRVVPPEPS >ONI14695 pep chromosome:Prunus_persica_NCBIv2:G3:186223:192208:-1 gene:PRUPE_3G002800 transcript:ONI14695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFKRESVESLMANPRQPSIGYSVSITPSHPDTTSPDPEKISIPPPTLITPGAPRFPLPRFQQDQAPSPSLKTPNASSPANGLKTGSPIPHLSTPPGPPVFTSPVRPAAVPFRASPATPQPVAFSPGSSLPTSSPLNFSNGSHELQHELSNVTEDDIASVGESPYVLFSAHKVLKQKKQANIPSLGFGALVSPGREISPAPQIIQRDPHRCHSCGAYANIYCNILLGSGQWQCVICRELNGSEGEYIAPSKEDLCNFPELSSPMVDYVQTGNNRPGFIPVSDSRMSAPIVLVIDECLDEPHLWDLQSSLHAFVDSLPPTTRIGIILYGRTVSVYDFSEESIASADVLPGETSPSQDSLKALIYGTGIYLSPMHASLPVAHAIFSSLRPYKLKIPEASRDRCLGTAVEVALAIVQGPSGEMSRGVIKRSGGNSRIIVCAGGPNTYGPGSVPHSFSHPNYPHMEKTALKWMEHLGHEAHRHNTVVDILCAGTCPVRVPILQPLAKASGGVFVLHDDFGEAFGVNLQRASTRAAGSRGFLAIRCSDDILITQVVGPGEEAHMDTHETFKNDTSLYIQMLSVEETQSFSLSLENKRDIMTEYVYFQFTIQYLNVYQADISRVITIRLPTVDSVSAYLASVQDEVAAVLIAKRTLLRAKNYSDAIDMRATIDERIKDIALKFGSQAPKSKHYRFPKEVSLLPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRIVAPRCLMHREGGTFEELPAYDLAMQSDAAVVLDHGTDVFIWLGAELAADEGKSAAALAACRTLAEELTELRFPAPRILSFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTTEQRTKLKSSFLNFDEPSFCEWVRSLRVVPPEPS >ONI14873 pep chromosome:Prunus_persica_NCBIv2:G3:1011441:1015052:1 gene:PRUPE_3G014100 transcript:ONI14873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEALRQSRYHMKRCFAKYIEKGKRIMKLPHLMSEMETVIDDKVERNQVLEGVLGYILCSTQEAVVIPPFVVFAIRPNPGYWEFVKVSSEDLSVESITVRDYLKFKETLYDEKWSNDENTLEVDFRAIDFSTPHLTLSSSIGNGLNFVSKFTSSKLAGRLENAQPLVDYLLSLNHEGENLILNENLNTASKLQTALIVTEVYLSALPKDMPYQNFELRFKEWGFEKGWGDTAERTKETMKLLSEVLQAPDPLNLERFFSRLPIIFNVVIFSPHGYFGQADVLGLPDTGGQVVYILDQVQALEEELLLRIKQQGLTVKPQILVVTRLIPEAKGTKCNQELEPINGTKYSNILRVPFRTEKGILRRWVSRFDIYPYLELFAQDASAKVLDIMEGKPDLIIGNYSDGNLVASLMASKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFLADTISMNATDFVIASTYQEIAGSKDRPGQYESHTAFTLPGLCRVVSGINVFDPKFNIAAPGADQSVYFPYTEKQKRLTSFHPAIEELLYSKEDNSEHIGFLADRKKPIIFSMARLDTVKNITGLVEWYGKNKRLRNLVNLAVVGGFFDPSKSKDREEIAEIKKMHTLIEKYQLRGQIRWIAAQTDRNRNGELYRCIADTRGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGISGFHIDPNNGDEASNKIADFFEKSKTDATYWDRFSKAGLQRIYECYTWKIYANKVLNMGSTYTFWRQLNKEQKQAKQRYIQMFFNLQYRNLVCYYAYIIQCISYLFSFYYVQDLETYFHWHFCR >ONI14871 pep chromosome:Prunus_persica_NCBIv2:G3:1010886:1015717:1 gene:PRUPE_3G014100 transcript:ONI14871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAAIKRSESIAESMPEALRQSRYHMKRCFAKYIEKGKRIMKLPHLMSEMETVIDDKVERNQVLEGVLGYILCSTQEAVVIPPFVVFAIRPNPGYWEFVKVSSEDLSVESITVRDYLKFKETLYDEKWSNDENTLEVDFRAIDFSTPHLTLSSSIGNGLNFVSKFTSSKLAGRLENAQPLVDYLLSLNHEGENLILNENLNTASKLQTALIVTEVYLSALPKDMPYQNFELRFKEWGFEKGWGDTAERTKETMKLLSEVLQAPDPLNLERFFSRLPIIFNVVIFSPHGYFGQADVLGLPDTGGQVVYILDQVQALEEELLLRIKQQGLTVKPQILVVTRLIPEAKGTKCNQELEPINGTKYSNILRVPFRTEKGILRRWVSRFDIYPYLELFAQDASAKVLDIMEGKPDLIIGNYSDGNLVASLMASKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFLADTISMNATDFVIASTYQEIAGSKDRPGQYESHTAFTLPGLCRVVSGINVFDPKFNIAAPGADQSVYFPYTEKQKRLTSFHPAIEELLYSKEDNSEHIGFLADRKKPIIFSMARLDTVKNITGLVEWYGKNKRLRNLVNLAVVGGFFDPSKSKDREEIAEIKKMHTLIEKYQLRGQIRWIAAQTDRNRNGELYRCIADTRGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGISGFHIDPNNGDEASNKIADFFEKSKTDATYWDRFSKAGLQRIYECYTWKIYANKVLNMGSTYTFWRQLNKEQKQAKQRYIQMFFNLQYRNLVKNVPIPSDEAEQPVPKPTAKSQPTPSTRRSQSRLQRMFGA >ONI14872 pep chromosome:Prunus_persica_NCBIv2:G3:1010886:1015716:1 gene:PRUPE_3G014100 transcript:ONI14872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEALRQSRYHMKRCFAKYIEKGKRIMKLPHLMSEMETVIDDKVERNQVLEGVLGYILCSTQEAVVIPPFVVFAIRPNPGYWEFVKVSSEDLSVESITVRDYLKFKETLYDEKWSNDENTLEVDFRAIDFSTPHLTLSSSIGNGLNFVSKFTSSKLAGRLENAQPLVDYLLSLNHEGENLILNENLNTASKLQTALIVTEVYLSALPKDMPYQNFELRFKEWGFEKGWGDTAERTKETMKLLSEVLQAPDPLNLERFFSRLPIIFNVVIFSPHGYFGQADVLGLPDTGGQVVYILDQVQALEEELLLRIKQQGLTVKPQILVVTRLIPEAKGTKCNQELEPINGTKYSNILRVPFRTEKGILRRWVSRFDIYPYLELFAQDASAKVLDIMEGKPDLIIGNYSDGNLVASLMASKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFLADTISMNATDFVIASTYQEIAGSKDRPGQYESHTAFTLPGLCRVVSGINVFDPKFNIAAPGADQSVYFPYTEKQKRLTSFHPAIEELLYSKEDNSEHIGFLADRKKPIIFSMARLDTVKNITGLVEWYGKNKRLRNLVNLAVVGGFFDPSKSKDREEIAEIKKMHTLIEKYQLRGQIRWIAAQTDRNRNGELYRCIADTRGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGISGFHIDPNNGDEASNKIADFFEKSKTDATYWDRFSKAGLQRIYECYTWKIYANKVLNMGSTYTFWRQLNKEQKQAKQRYIQMFFNLQYRNLVKNVPIPSDEAEQPVPKPTAKSQPTPSTRRSQSRLQRMFGA >ONI17512 pep chromosome:Prunus_persica_NCBIv2:G3:18318613:18322070:1 gene:PRUPE_3G164000 transcript:ONI17512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNLTKIKSRIRSSTQGVLLNPSLIEPKQVAHKPSNIVEKILKMCPELLWQSNGSVVKEAWRCLIRTTNVGKDTALHEATRFNHLNVVEILTKEDTKFSYSANDSGDTPLYLAAERGYHDLVFKMLETCKYPTYGGRNGKTALHAAMLIESDNSATYVGDRVYKKTPLHVAAIQGHEQVMREIISHCPDCCELVDHKGCNALHYAIEWPRGLIEDLVLKDPWLSHVLLNGKDADANIPLIHLLSVADNFIGDTRIDQMTFNKKILDDLDNILANKTLLPTLKNTVNRILEWNGVRPGGPYSIVSHEDSIGRNSKLYGDTIEEVKEDKCGISEMKGAAGSSLNHHSIYFGNDDNNREISPDRDGNEFGENKGGKNNDAVEEGRQTYLVGATLIEIVTFAAGFTMPYGYQSEKDLTRVLQF >ONI15480 pep chromosome:Prunus_persica_NCBIv2:G3:3236415:3240388:1 gene:PRUPE_3G045000 transcript:ONI15480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHGAVSKDGRPPTEAELQQFFLPYRGFCARKGIVAKEVVLHDIDVPNALVDYVVRNSICNIVVGASHHNALTRKFRPADVATSLLKSVPETCAVYVISKGKIQTSRSATGPQTPKSGSDFSGPLSFQSNGSDNVDFSMNSEGSINSFSSHTPSALDAEMRRLRLELKQTMDMYTSACKEALAAKEKTRDLQKWKTSEEHKLEEAKLAEEAALALADVERQKSKAATEAAQMAQRLAGMETHKRKIAERKAKQEAGERRRAMDALAHNHIRYRRYTIEEIELATDYFKISNKVGEGGYGPVYRAWLDHTAVAIKVLRPDISQGQRQFQQEVEVLSCIRHPHMVLLVGACPEYGCLIYEYMENGSLEDRLFLKSNTPPIPWGVRFKIAAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKIADVGLARLVPPSVADAVTQYRVTAAAGTFCYIDPEYQQTGMLGVKSDIYSLGVMLLQIITAKPAMGLSHQVEEAIEKGAFAETLDPAVTDWPVEEALSYAKMALKCCELRRRDRPDLSTVILPELNRLRDLGMKNEASEVANGQDPYVSQFSTKHFLE >ONI15206 pep chromosome:Prunus_persica_NCBIv2:G3:2275546:2277415:1 gene:PRUPE_3G030500 transcript:ONI15206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGESSPFGRTLDDSNAVQLTGKIMMIAVLVLFLVVVFVLCLHLYAKWYWWRADDDTSANNQSRRRRRRFVFAPGQDTVATVRKGLDPLVLKSLPVLVFPSEDFKDGLECAVCLSELVQGEKARLLPKCSHGFHVDCIDMWFQSHSTCPICRNPVAPESSVDSGAAGSSSALAESDSVQILSPAESLASGFSIEAPNFPTNVLFWGNQTQVSAGPGSGLEEGPSSPPMCPSSSSSPSSSSAISRPDGMLVIDIPSQTSLASPSPSPSPSGNRFAADEDLKSPATTRLRSLKRLLSRDRRISPCSPSSVDVEQGGGRGQS >ONI18332 pep chromosome:Prunus_persica_NCBIv2:G3:21543542:21544381:1 gene:PRUPE_3G209800 transcript:ONI18332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSGRKKDELEVDLLIQILEILQEYSVETAIATIVDGSDSLKINIQHLRELSFRVGSIYQFIGELLIQPDNEVLAFITTHECYVNYVRGISSIALVGRNVDGIDLNLYYQSLQLLRQFQAHHLKNPAT >ONI15832 pep chromosome:Prunus_persica_NCBIv2:G3:4575935:4576183:1 gene:PRUPE_3G064000 transcript:ONI15832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVGVFFGCLRPRSVKGYILSALAIIGILFGLRILCYIVYRCFKREMQHPHSPRIEQNPNDGLEMQPWEIHAHHPATMSRN >ONI19876 pep chromosome:Prunus_persica_NCBIv2:G3:26647877:26648749:-1 gene:PRUPE_3G302700 transcript:ONI19876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQIKGIARRFPSFAYYSLEGKIKPIVEFLLELGVPKSDIPTILNKRPQLCGISLSENIIPTMNFLEDLGVDKKQWAKVIYRFPALLTYSRQKVKTTIDFLYEMGLSAELIGKVLTRCPNIISYSVEDKLRPTAEYFLSLGVDVAVLLHRSPTLFGLSIEANLKPVTEFFYERGYSMEEVGIMLSRYGALYTFSLAENLIPKWEFFLTMDYSKSELVKFPQYFGYSLEERIKPRYALMKECGVRLLLNQLLSLSTGNFDKALNKKIKKLSAGKDPNISSDDLTSEVEQ >ONI19875 pep chromosome:Prunus_persica_NCBIv2:G3:26647246:26650447:-1 gene:PRUPE_3G302700 transcript:ONI19875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFINPGRELTTLEIREALIPYLESLLDEHGVFLVDLVESFPNAPVKEKPVAPVTKSQSSVDLKKLKAISRVSEIGPAGKLPPHILYLLELGMDLDQIKGIARRFPSFAYYSLEGKIKPIVEFLLELGVPKSDIPTILNKRPQLCGISLSENIIPTMNFLEDLGVDKKQWAKVIYRFPALLTYSRQKVKTTIDFLYEMGLSAELIGKVLTRCPNIISYSVEDKLRPTAEYFLSLGVDVAVLLHRSPTLFGLSIEANLKPVTEFFYERGYSMEEVGIMLSRYGALYTFSLAENLIPKWEFFLTMDYSKSELVKFPQYFGYSLEERIKPRYALMKECGVRLLLNQLLSLSTGNFDKALNKKIKKLSAGKDPNISSDDLTSEVEQ >ONI19874 pep chromosome:Prunus_persica_NCBIv2:G3:26647246:26650447:-1 gene:PRUPE_3G302700 transcript:ONI19874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFINPGRELTTLEIREALIPYLESLLDEHGVFLVDLVESFPNAPVKEKPVAPVTKSQSSVDLKKLKAISRVSEIGPAGKLPPHILYLLELGMDLDQIKGIARRFPSFAYYSLEGKIKPIVEFLLELGVPKSDIPTILNKRPQLCGISLSENIIPTMNFLEDLGVDKKQWAKVIYRFPALLTYSRQKVKTTIDFLYEMGLSAELIGKVLTRCPNIISYSVEDKLRPTAEYFLSLGVDVAVLLHRSPTLFGLSIEANLKPVTEFFYERGYSMEEVGIMLSRYGALYTFSLAENLIPKWEFFLTMDYSKSELVKFPQYFGYSLEERIKPRYALMKECGVRLLLNQLLSLSTGNFDKALNKKIKKLSAGKDPNISSDDLTSEVEQ >ONI19873 pep chromosome:Prunus_persica_NCBIv2:G3:26647877:26650204:-1 gene:PRUPE_3G302700 transcript:ONI19873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFCAIRPAYFADPLKVDFSTTRTRITFPQKLFFCRAKFADSGIDGSFSLKLVSPALLAAEKEEARAVLTLFLKKQGLSHTVAARTINKSDLFVEHLISRLHAVHKSRYLVGRELTTLEIREALIPYLESLLDEHGVFLVDLVESFPNAPVKEKPVAPVTKSQSSVDLKKLKAISRVSEIGPAGKLPPHILYLLELGMDLDQIKGIARRFPSFAYYSLEGKIKPIVEFLLELGVPKSDIPTILNKRPQLCGISLSENIIPTMNFLEDLGVDKKQWAKVIYRFPALLTYSRQKVKTTIDFLYEMGLSAELIGKVLTRCPNIISYSVEDKLRPTAEYFLSLGVDVAVLLHRSPTLFGLSIEANLKPVTEFFYERGYSMEEVGIMLSRYGALYTFSLAENLIPKWEFFLTMDYSKSELVKFPQYFGYSLEERIKPRYALMKECGVRLLLNQLLSLSTGNFDKALNKKIKKLSAGKDPNISSDDLTSEVEQ >ONI15888 pep chromosome:Prunus_persica_NCBIv2:G3:4849835:4850645:-1 gene:PRUPE_3G067300 transcript:ONI15888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTASLLHLSPKLSTQFLHGSSTPLSPLSKPTSSLSFPTLQRHSFLPPVRALKYLQGRVVCSTSDKTVAVEVTRLAPHPKYKRRVRKKKKYQAHDPENQFQVGDVVQLEKSRPISKTKTFIAVPLPAKNVKSKSGEEDASKELGIPLESQQV >ONI19404 pep chromosome:Prunus_persica_NCBIv2:G3:25494112:25495044:-1 gene:PRUPE_3G277500 transcript:ONI19404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSHLCLLACTLLFILLSLPSHANSSETHNQKTSPFEFLEHLKGFQNGDKVPGIQDLKKYLGRFGYLSSNNNQTTDDFDDQLASAIKTYQLNYNLKTTGILDAQTVSNMMMPRCGVPDIINGTSSMRSGKQSHPPPHHHHKGHTVAHYTFFPGKPKWPASKYHLTYAFLRGTPTAAKGPVARAFNTWAAHTQFKFSQARRNQKPDLTVSFHRRSHGDGHPFDGPGGILAHSFAPKDGRFHYDADEKWSVGVVRGAFHLETVALHEIGHLLGLGHSSVRRAIMYPTISSGETKGLNRDDIQGIKALYHA >ONI16688 pep chromosome:Prunus_persica_NCBIv2:G3:9762029:9765603:-1 gene:PRUPE_3G115600 transcript:ONI16688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKLLLSSARRHCLSKPHSHLHPPPFLSYRRSFSSESDTTQNPNPNSPSPQPPTRQSVPIEPVSYSLKPKDHSLPDPDPTSQPEQTSPPPPRGPRDLPNSPSQETRSEWTREEARFLRHVPSISPVSYRVKVAPLPEDRVPEEAEGGETGADKGGESVKDHEAYLEREKKRIEADGRLRRRLFRVPEEEVVVPFPTLINVEKKERKPIFDVMDAIRHVKANAKCKFDETVEAHVQLGIDAKRNAVRGNMTLPHGSGKVVRVAFFAEGADADEAKAAGADIVGGVELVEEIASTHKLNVDKCFATNEMIMRLAKIARILRERGLMPDRKLGTVTNDISGALQKVRQGHIEYRMDRTSIVHVGLGKVSFTEEYLRENIGAFMSSLLLAKPTGLKK >ONI16687 pep chromosome:Prunus_persica_NCBIv2:G3:9760131:9765710:-1 gene:PRUPE_3G115600 transcript:ONI16687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKLLLSSARRHCLSKPHSHLHPPPFLSYRRSFSSESDTTQNPNPNSPSPQPPTRQSVPIEPVSYSLKPKDHSLPDPDPTSQPEQTSPPPPRGPRDLPNSPSQETRSEWTREEARFLRHVPSISPVSYRVKVAPLPEDRVPEEAEGGETGADKGGESVKDHEAYLEREKKRIEADGRLRRRLFRVPEEEVVVPFPTLINVEKKERKPIFDVMDAIRHVKANAKCKFDETVEAHVQLGIDAKRNAVRGNMTLPHGSGKVVRVAFFAEGADADEAKAAGADIVGGVELVEEIASTHKLNVDKCFATNEMIMRLAKIARILRERGLMPDRKLGTVTNDISGALQKVRQGHIEYRMDRTSIVHVGLGKVSFTEEYLRENIGAFMSSLLLAKPTGLKKSSKYAGYINSFHICSTMGPGVPVSIQSLSKAADHYNKMHLK >ONI16750 pep chromosome:Prunus_persica_NCBIv2:G3:10418052:10419116:-1 gene:PRUPE_3G119500 transcript:ONI16750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLTKRNSNSYDDDCSDDVSQSQNQSIYLASESETNSPSDQFSSSQPQPESVSSSNQIKARASLPSKTKNFSEPTTNQTPYYIPIAPLPIFRGGSNECPVTHLTRFAKFCRANFSCPTVDVMVRIFPVTLENEAALWYDLNIDPYPSFSWEEIRSLFFQAYDQIDQLRSELTMIKQGRDETVRAYFLRLQWILKRWPDHGPQDNVLKGVFIDGLRKEFKDWIVAEKPSSLNDALRLAFGFEKVKSVRAATAAKEKVVECGFCGGGHEEKGCEVRDRMRKLWVKSKEEGLVRMVSVLGKREEEGVEREEEGELVEFKKKGQCQCWKHQCWKKKLERSKSLVKNSLLVKVVSNMP >ONI19303 pep chromosome:Prunus_persica_NCBIv2:G3:25166756:25168967:1 gene:PRUPE_3G270400 transcript:ONI19303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRLIYNNLILALSLYACGLLTTTASAGGPSHVKARAQNWLNHGGDLSNRRYADRETKISPKTVSKLSLKWEFYAGDDISATPAIYDDTLYFPSWNGNIYAVKASNGSLVWKKNLHALTGLNASSFVGLNWTVSRSTPTIIGGLDLLIVGIYGPAIVIALERSTGKLIWSTMLDTHEAGLITMSGTYYKGSLYIGTSSLEETVSAEKCCTFRGSLAKLDAKSGTILWQTFMLPDNHGNLGNYSGAAIWGSSPSIDVHRKHVYIATGNVYSVPENVSQCQENQNNNNSTLPIHPDACVEPENHGNSILALDLDGGDIKWYRQLGGYDVWFFACFLNASTCPPGPNLDADFGEAPMMLSPYVNGTKRDIVVAVQKSGFAWALDRNNGSLVWSTEAGPGGVAGGGMWGAATDKKMVYTNIANSDNKNFTLKPSTNITTWGGWVAMAPGNGKIIWSTANPSNATSSGPVSVANGVLFAGSTNPEGSIYAMNTKTGKILWSNETGATVYGGMSISNGCIYVGNGYKRTYIAGTSLFAFCVT >ONI15790 pep chromosome:Prunus_persica_NCBIv2:G3:4424575:4426760:-1 gene:PRUPE_3G061900 transcript:ONI15790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLLESISSNNIPAFISLVHENKGLIEERTADSLNTVLHLASKFGNIDMVSEITKLCPEMVTAENKHLETPVHDACRLGNASILKLLLEANPRAACRLNSEKKSASFVACSLGHFDAVNVLLNQPGIASLEEVGLDQTCIHVAASSGHADIVREMLNMCSDYAQKTDEKGNSPLHYSCNEGHREITWILLHRDVNLALQYNNNGYTPLHLAAINGNISVLEDFALKAPAAFHYLTKEEETIFHLTVRYGKFEALLFLMHVANSSNLVQCQDRYGNTTLHLAVSRGRYEKHFMSCSIQIAEYLINKARVEINSRNCKGFTAFDILNQAKNCADTRNLQTIFQRAGGERSINVTTCSPETLSSRQSYIFESDMSIMDENGNFPSLPEISYIPQENQNKSRMPSLQNQVQERFDKEAYKIESSSPTNSHQGKSSSKRHREDHKQHKIYKEAIQNARNTITLVAILIATVAFTAGISPPGGVYQDGAMKGKALAGRTTAFKVFAISNDVALFTSLSIVVVLVSIIPYRRKPQMRLLVIAHKVMWVAVAFMATAYVAATWVVMPRNHGTAFVLVTLLAVSGGTLGTIFIGLGVMLVDHWLRKLNWRKFKRGIWGRAADELESQNSDVESSFHQGYHSY >ONI16882 pep chromosome:Prunus_persica_NCBIv2:G3:11878057:11880777:-1 gene:PRUPE_3G127200 transcript:ONI16882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGSKGKCESPSHKLLKEKTKTRLEDLQGMLTNVQAAKKESGTDDIVILMEQANQMVREWRAELNEPSPASSLLGGSLGSFCEEELARVLKHLDEEDDATSQLKQLPPSMPESDLLNLHSSNMLAFKEEFFVSKEPEEDIFQGFDQCYTASNLQNTMVDNSDLTTQLKYHHFDFHEDLDEGLFIEGNNITQSEEDVVPNVLPDICPPVSAFLGPKCAFWECSRPASNRCQNFCSSGHALLALNEGLLGRPPILRPGGIALKDSSLFAALNAKTQGKDVGIPNCEGAATLKCPWNAPELFDLSFLDGETIREWLFFGKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKEFGGHKRSYYMDPQPSSDREWHLFEYEVNNSDACALYRLELKFGSAKKSSKGKVSSDPLADLQKKMGRLTAEVPTDDASNVKGKASTKADAGNIFSSHNQTTSTT >ONI16880 pep chromosome:Prunus_persica_NCBIv2:G3:11877499:11882001:-1 gene:PRUPE_3G127200 transcript:ONI16880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGSKGKCESPSHKLLKEKTKTRLEDLQGMLTNVQAAKKESGTDDIVILMEQANQMVREWRAELNEPSPASSLLGGSLGSFCEEELARVLKHLDEEDDATSQLKQLPPSMPESDLLNLHSSNMLAFKENTMVDNSDLTTQLKYHHFDFHEDLDEGLFIEGNNITQSEEDVVPNVLPDICPPVSAFLGPKCAFWECSRPASNRCQNFCSSGHALLALNEGLLGRPPILRPGGIALKDSSLFAALNAKTQGKDVGIPNCEGAATLKCPWNAPELFDLSFLDGETIREWLFFGKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKEFGGHKRSYYMDPQPSSDREWHLFEYEVNNSDACALYRLELKFGSAKKSSKGKVSSDPLADLQKKMGRLTAEVPTDDASNVKGKASTKADAGNIFSSHNQTTSTT >ONI16881 pep chromosome:Prunus_persica_NCBIv2:G3:11877605:11881949:-1 gene:PRUPE_3G127200 transcript:ONI16881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGSKGKCESPSHKLLKEKTKTRLEDLQGMLTNVQAAKKESGTDDIVILMEQANQMVREWRAELNEPSPASSLLGGSLGSFCEEELARVLKHLDEEDDATSQLKQLPPSMPESDLLNLHSSNMLAFKEEFFVSKEPEEDIFQGFDQCYTASNLQNTMVDNSDLTTQLKYHHFDFHEDLDEGLFIEGNNITQSEEDVVPNVLPDICPPVSAFLGPKCAFWECSRPASNRCQNFCSSGHALLALNEGLLGRPPILRPGGIALKDSSLFAALNAKTQGKDVGIPNCEGAATLKCPWNAPELFDLSFLDGETIREWLFFGKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKEFGGHKRSYYMDPQPSSDREWHLFEYEVNNSDACALYRLELKFGSAKKSSKGKVSSDPLADLQKKMGRLTAEVPTDDASNVKGKASTKADAGNIFSSHNQTTSTT >ONI16146 pep chromosome:Prunus_persica_NCBIv2:G3:6026603:6027630:1 gene:PRUPE_3G081100 transcript:ONI16146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAAGSSSRCMEEDDTGPKRRIIGPSLPSAELLAAAAAAKLTEAQAELREAVLEEDSELLIGPPPPAVVAETESANEAERIMDSADDGLYDILGANQNMSAENIKKRYWKMSLLVHPDKCSHPRAQQAFVKLNKAFKELQDPDKRKVLDDKIQLKEEREKFRVELKAMREAAQWRKLQGICMEGDDELLADMMDVKVAPKRDEWMTTLPPERKHSMPPKRSTRFNSRKEKVSDGDLVDKYTKEKRSKSLLQKHKEDTAKRSKRKSKKQQTEKEDWVGKHPWKPWDREKDLAGGGQRVKLDSENMNQALTSRFSSGSYERNFL >ONI17473 pep chromosome:Prunus_persica_NCBIv2:G3:18006856:18011722:-1 gene:PRUPE_3G161200 transcript:ONI17473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNKFATRLHRSVPKFIVILVYAVLEWTLIMLLLLNSLFSYLITKFSKYFGLKPPCPLCTCRVEHILERGKSSKSYTDDVCEMHATEISYMCYCLNHKKLAKSHNMCGNCLASQPNFRGNSTGMPTSMAFVSWLSENKLEKEEKLPCVCSCCSESLNSKLYSPSSPFEPSWGSLEYAKKGNSTIEYQGTTKPDSLSTHSDNGYEMETTNEEHEDDRVADEHQFSSDVCSSSFRGNAGEDCPRSRSTFLCHETDANADSKAGSRDIIRSDSNSMKLVHQSSDASATTIQCCFGEDYSLEIIPLPSENGMVCALNHRLIPIELIDFSTRIDQGIRNAKEEDVREHDHQEGSFDSEPSIGSRHQLSGEAALFMINKSSQKTSNGDLESLEKARGFSDNSSVVDAEEGKQDLVGMPSDQVVTAPGGQKLFVRIEEPDKKEPNDPPASEEESTFVDHILSRSLANMEVSDHATDQPQAREGSSSPCFEIPNVPDTFMAQNAGIWHEERATSQEETSMLEKAQEEINHSCMCSEPNEPEEENFPATPTSVNSLGYLHKKLDEKKESAVEEYLDGSVVSEMEVGDPVATIGRLKAVLTAERKALSSLYAELEEERSASAIAANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDQDALQLLNELMNKKEKEKQELEKEVEVYQKKVLDYEEKEKMRTVSRIKYGSVRSRNSSASCSHSWDSDALSIDLNSQARDEDSGFGGQQESSNHNTTDEAALSLEEIALDCVKNMSVLDESLAGFEEERLSILDQLKALEEKLITLGENEEFSEDVKSIEHSSTCSVKDFEENHDFSSPEENGISNGFSKDQHHPERQTLGSMAKRLLPLLDATDNETEEGLMHEEQAESESTGMQNSFTSFELHDNKIAIEEEVDHVYERLQALEADREFLKHCMGSIKKGDKGVDLLQEILQHLKDLRVVELRVKNLNDPEGEVALD >ONI17476 pep chromosome:Prunus_persica_NCBIv2:G3:18008295:18011575:-1 gene:PRUPE_3G161200 transcript:ONI17476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNKFATRLHRSVPKFIVILVYAVLEWTLIMLLLLNSLFSYLITKFSKYFGLKPPCPLCTCRVEHILERGKSSKSYTDDVCEMHATEISYMCYCLNHKKLAKSHNMCGNCLASQPNFRGNSTGMPTSMAFVSWLSENKLEKEEKLPCVCSCCSESLNSKLYSPSSPFEPSWGSLEYAKKGNSTIEYQGTTKPDSLSTHSDNGYEMETTNEEHEDDRVADEHQFSSDVCSSSFRGNAGEDCPRSRSTFLCHETDANADSKAGSRDIIRSDSNSMKLVHQSSDASATTIQCCFGEDYSLEIIPLPSENGMVCALNHRLIPIELIDFSTRIDQGIRNAKEEDVREHDHQEGSFDSEPSIGSRHQLSGEAALFMINKSSQKTSNGDLESLEKARGFSDNSSVVDAEEGKQDLVGMPSDQVVTAPGGQKLFVRIEEPDKKEPNDPPASEEESTFVDHILSRSLANMEVSDHATDQPQAREGSSSPCFEIPNVPDTFMAQNAVLTGIWHEERATSQEETSMLEKAQEEINHSCMCSEPNEPEEENFPATPTSVNSLGYLHKKLDEKKESAVEEYLDGSVVSEMEVGDPVATIGRLKAVLTAERKALSSLYAELEEERSASAIAANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDQDALQLLNELMNKKEKEKQELEKEVEVYQKKVLDYEEKEKMRTVSRIKYGSVRSRNSSASCSHSWDSDALSIDLNSQARDEDSGFGGQQESSNHNTTDEAALSLEEIALDCVKNMSVLDESLAGFEEERLSILDQLKALEEKLITLGENEEFSEDVKSIEHSSTCSVKDFEENHDFSSPEENGISNGFSKDQHHPERQTLGSMAKRLLPLLDATDNETEEGLMHEEQAESESTGMQNSFTSFELHDNKIAIEEEVDHVYERLQALEADREFLKHCMGSIKKGDKGVDLLQEILQHLKDLRVVELRVKNLNDPEGEVALD >ONI17475 pep chromosome:Prunus_persica_NCBIv2:G3:18007481:18011575:-1 gene:PRUPE_3G161200 transcript:ONI17475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNKFATRLHRSVPKFIVILVYAVLEWTLIMLLLLNSLFSYLITKFSKYFGLKPPCPLCTCRVEHILERGKSSKSYTDDVCEMHATEISYMCYCLNHKKLAKSHNMCGNCLASQPNFRGNSTGMPTSMAFVSWLSENKLEKEEKLPCVCSCCSESLNSKLYSPSSPFEPSWGSLEYAKKGNSTIEYQGTTKPDSLSTHSDNGYEMETTNEEHEDDRVADEHQFSSDVCSSSFRGNAGEDCPRSRSTFLCHETDANADSKAGSRDIIRSDSNSMKLVHQSSDASATTIQCCFGEDYSLEIIPLPSENGMVCALNHRLIPIELIDFSTRIDQGIRNAKEEDVREHDHQEGSFDSEPSIGSRHQLSGEAALFMINKSSQKTSNGDLESLEKARGFSDNSSVVDAEEGKQDLVGMPSDQVVTAPGGQKLFVRIEEPDKKEPNDPPASEEESTFVDHILSRSLANMEVSDHATDQPQAREGSSSPCFEIPNVPDTFMAQNAVLTGIWHEERATSQEETSMLEKAQEEINHSCMCSEPNEPEEENFPATPTSVNSLGYLHKKLDEKKESAVEEYLDGSVVSEMEVGDPVATIGRLKAVLTAERKALSSLYAELEEERSASAIAANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDQDALQLLNELMNKKEKEKQELEKEVEVYQKKVLDYEEKEKMRTVSRIKYGSVRSRNSSASCSHSWDSDALSIDLNSQARDEDSGFGGQQESSNHNTTDEAALSLEEIALDCVKNMSVLDESLAGFEEERLSILDQLKALEEKLITLGENEEFSEDVKSIEHSSTCSVKDFEENHDFSSPEENGISNGFSKDQHHPERQTLGSMAKRLLPLLDATDNETEEGLMHEEQAESESTGMQNSFTSFELHDNKIAIEEEVDHVYERLQALEADREFLKHCMGSIKKGDKGVDLLQEILQHLKDLRVVELRVKNLNDPEGEVALD >ONI17474 pep chromosome:Prunus_persica_NCBIv2:G3:18006856:18011836:-1 gene:PRUPE_3G161200 transcript:ONI17474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNKFATRLHRSVPKFIVILVYAVLEWTLIMLLLLNSLFSYLITKFSKYFGLKPPCPLCTCRVEHILERGKSSKSYTDDVCEMHATEISYMCYCLNHKKLAKSHNMCGNCLASQPNFRGNSTGMPTSMAFVSWLSENKLEKEEKLPCVCSCCSESLNSKLYSPSSPFEPSWGSLEYAKKGNSTIEYQGTTKPDSLSTHSDNGYEMETTNEEHEDDRVADEHQFSSDVCSSSFRGNAGEDCPRSRSTFLCHETDANADSKAGSRDIIRSDSNSMKLVHQSSDASATTIQCCFGEDYSLEIIPLPSENGMVCALNHRLIPIELIDFSTRIDQGIRNAKEEDVREHDHQEGSFDSEPSIGSRHQLSGEAALFMINKSSQKTSNGDLESLEKARGFSDNSSVVDAEEGKQDLVGMPSDQVVTAPGGQKLFVRIEEPDKKEPNDPPASEEESTFVDHILSRSLANMEVSDHATDQPQAREGSSSPCFEIPNVPDTFMAQNAGIWHEERATSQEETSMLEKAQEEINHSCMCSEPNEPEEENFPATPTSVNSLGYLHKKLDEKKESAVEEYLDGSVVSEMEVGDPVATIGRLKAVLTAERKALSSLYAELEEERSASAIAANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDQDALQLLNELMNKKEKEKQELEKEVEVYQKKVLDYEEKEKMRTVSRIKYGSVRSRNSSASCSHSWDSDALSIDLNSQARDEDSGFGGQQESSNHNTTDEAALSLEEIALDCVKNMSVLDESLAGFEEERLSILDQLKALEEKLITLGENEEFSEDVKSIEHSSTCSVKDFEENHDFSSPEENGISNGFSKDQHHPERQTLGSMAKRLLPLLDATDNETEEGLMHEEQAESESTGMQNSFTSFELHDNKIAIEEEVDHVYERLQALEADREFLKHCMGSIKKGDKGVDLLQEILQHLKDLRVVELRVKNLNDPEGEVALD >ONI17741 pep chromosome:Prunus_persica_NCBIv2:G3:19372009:19378808:1 gene:PRUPE_3G176600 transcript:ONI17741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSVAAEWQLLYNRYYRKPEIYRMSWKHVELNRNKVACAPFGGPIAVIRDDSKIVQLGGESAQRKLRIFSSSGHLLGETIWKHPGGRLIGMAWTDDQTLVCLVQDGTVFRYTIHTELLEPSISMGQECFERNVVDCVFWGNGLVCITETNQLFCISDFKNPNPVKLADPEIEDPPLCMAVIEPQYTMSGNVEVLLGIGDACVLAVEEDGVQQLGLEVLRGPIQKMAVSRDGQWLASFTHDGRLLVMTSNLNEILIEQECESALPPEQLAWCGMDTVLLYWDDILLMMGPRGDPVRYFYDEPIILIPECDGVRILSNSSMEFLQRVPDSTESIFKIGSTSPAALLYDALDHFDRQSAKADENLRLIRPSLPEAVEACIDAAGHEFDVLRQRTLLRAASYGQAFCSNFQRDHIQEMCKTLRVLNAVRHPDVGMPLSIQQYKLLTPSVLIGRLINSYKHFLALRVSEYLGMNQEMVIMHWACSKISASLAISDATLLEILLDKLKLCKGISYAAVAAHADKNGRRKLAAMLVEHEPRSSKQVPLLLSIGEEDTALMKAIESGDTDLVYLVLFHIWRKRQPLEFFGMIQARALARDLFIIYARCYKHEFLKDFFLSTGQLQEVAFLLWKESWELGKNPMASRGSPLHGPRIKIIEKAQNLFLETKEYTFEAKAAEEHAKLLRMQHDLEVSTKQAIFVDSSISDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPIGYRPFVEACIEADEKGEALKYIPKLTDPRERAESYARIGMAKEAADAASQAKDGELLGRLKLTFSQNAAASSIFDTLRDRLSFQGVS >ONI17742 pep chromosome:Prunus_persica_NCBIv2:G3:19372009:19379136:1 gene:PRUPE_3G176600 transcript:ONI17742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSVAAEWQLLYNRYYRKPEIYRMSWKHVELNRNKVACAPFGGPIAVIRDDSKIVQLGGESAQRKLRIFSSSGHLLGETIWKHPGGRLIGMAWTDDQTLVCLVQDGTVFRYTIHTELLEPSISMGQECFERNVVDCVFWGNGLVCITETNQLFCISDFKNPNPVKLADPEIEDPPLCMAVIEPQYTMSGNVEVLLGIGDACVLAVEEDGVQQLGLEVLRGPIQKMAVSRDGQWLASFTHDGRLLVMTSNLNEILIEQECESALPPEQLAWCGMDTVLLYWDDILLMMGPRGDPVRYFYDEPIILIPECDGVRILSNSSMEFLQRVPDSTESIFKIGSTSPAALLYDALDHFDRQSAKADENLRLIRPSLPEAVEACIDAAGHEFDVLRQRTLLRAASYGQAFCSNFQRDHIQEMCKTLRVLNAVRHPDVGMPLSIQQYKLLTPSVLIGRLINSYKHFLALRVSEYLGMNQEMVIMHWACSKISASLAISDATLLEILLDKLKLCKGISYAAVAAHADKNGRRKLAAMLVEHEPRSSKQVPLLLSIGEEDTALMKAIESGDTDLVYLVLFHIWRKRQPLEFFGMIQARALARDLFIIYARCYKHEFLKDFFLSTGQLQEVAFLLWKESWELGKNPMASRGSPLHGPRIKIIEKAQNLFLETKEYTFEAKAAEEHAKLLRMQHDLEVSTKQAIFVDSSISDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPIGYRPFVEACIEADEKGEALKYIPKLTDPRERAESYARIGMAKEAADAASQAKDGELLGRLKLTFSQNAAASSIFDTLRDRLSFQGVS >ONI19285 pep chromosome:Prunus_persica_NCBIv2:G3:25129961:25133669:1 gene:PRUPE_3G269600 transcript:ONI19285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGGYTAEVTSLSPKATEQDVFDFFSHCGAVEHVEVIRSGEYACTAYVTFRDAFALQTAVMLSGASIVDQCVSITRWGSYIDESDPWNNPYNPEGNTSSMAFHTSEFVSTPGEAITVAQEVVKTMVAKGYVLGKDALTKAKAFDESHQVSATAAAKVYELSNRIGLTEKINAGMEVVKSTDEKFHVSDITKSAATVAGTAAVVAATVAGEAAVAAGSALVNSSFFAKGALWVSDALTRASKAAADLGSHTNK >ONI19288 pep chromosome:Prunus_persica_NCBIv2:G3:25129961:25133669:1 gene:PRUPE_3G269600 transcript:ONI19288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHTSEFVSTPGEAITVAQEVVKTMVAKGYVLGKDALTKAKAFDESHQVSATAAAKVYELSNRIGLTEKINAGMEVVKSTDEKFHVSDITKSAATVAGTAAVVAATVAGEAAVAAGSALVNSSFFAKGALWVSDALTRASKAAADLGSHTNK >ONI19286 pep chromosome:Prunus_persica_NCBIv2:G3:25129961:25133669:1 gene:PRUPE_3G269600 transcript:ONI19286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGGYTAEVTSLSPKATEQDVFDFFSHCGAVEHVEVIRSGEYACTAYVTFRDAFALQTAVMLSGASIVDQCVSITRWGSYIDESDPWNNPYNPEGNTSSMAFHTSEFVSTPGEAITVAQEVVKTMVAKGYVLGKDALTKAKAFDESHQVSATAAAKVYELSNRIGLTEKINAGMEVVKSTDEKFHVSDITKSAATVAGTAAVVAATVAGEAAVAAGSALVNSSFFAKGALWVSDALTRASKAAADLGSHTNK >ONI19287 pep chromosome:Prunus_persica_NCBIv2:G3:25132250:25133363:1 gene:PRUPE_3G269600 transcript:ONI19287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSPTMPRMFDIVCSLISLSVLVSKFQGASIVDQCVSITRWGSYIDESDPWNNPYNPEGNTSSMAFHTSEFVSTPGEAITVAQEVVKTMVAKGYVLGKDALTKAKAFDESHQVSATAAAKVYELSNRIGLTEKINAGMEVVKSTDEKFHVSDITKSAATVAGTAAVVAATVAGEAAVAAGSALVNSSFFAKGALWVSDALTRASKAAADLGSHTNK >ONI18320 pep chromosome:Prunus_persica_NCBIv2:G3:21490586:21494907:-1 gene:PRUPE_3G209200 transcript:ONI18320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSENSSEAFWRLTINDNQDGGGVAQSNPYPDRPGEPDCIYYLRTGLCGYGSNCRFNHPKYGSQGAQYNGELPERVGQPDCGYFLKTGTCKYGSTCKFHHPRDRRGAGPVVFNILGLPMHQEEKSCPYYMRTGSCKFGPACKFHHPQPQSLGTVLPAFGAAGSTVLPSSGLPYAGGLSAWSFPRAPYLSGPRLQSPHSYMPVVLPPQGIVPAHGWNTYVGNISPVTSTGILGSNLTYNSRTRGESAANGQVHLSSSSLPHRPDQPECRYFMSTGTCKYGIDCKYHHPKERIAESATHPLGLPSRPGQPPCSYYIMYGICKYGPTCRFDHPFVEHSAGYNFGMNALPLDSSLLSYPRSWSVAHGLETSPSISSKFPDGAKKPVNNKYENSDTKTAEDSPEQAGSVPPSPTSSEPVQDQSG >ONI18319 pep chromosome:Prunus_persica_NCBIv2:G3:21490586:21495497:-1 gene:PRUPE_3G209200 transcript:ONI18319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIGETEKSRAKQNKGRVYTTSVLPMPDTRQAQKNAVPNQSDGNVEEAFWRLTINDNQDGGGVAQSNPYPDRPGEPDCIYYLRTGLCGYGSNCRFNHPKYGSQGAQYNGELPERVGQPDCGYFLKTGTCKYGSTCKFHHPRDRRGAGPVVFNILGLPMHQEEKSCPYYMRTGSCKFGPACKFHHPQPQSLGTVLPAFGAAGSTVLPSSGLPYAGGLSAWSFPRAPYLSGPRLQSPHSYMPVVLPPQGIVPAHGWNTYVGNISPVTSTGILGSNLTYNSRTRGESAANGQVHLSSSSLPHRPDQPECRYFMSTGTCKYGIDCKYHHPKERIAESATHPLGLPSRPGQPPCSYYIMYGICKYGPTCRFDHPFVEHSAGYNFGMNALPLDSSLLSYPRSWSVAHGLETSPSISSKFPDGAKKPVNNKYENSDTKTAEDSPEQAGSVPPSPTSSEPVQDQSG >ONI15416 pep chromosome:Prunus_persica_NCBIv2:G3:3053184:3059304:1 gene:PRUPE_3G042900 transcript:ONI15416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVGVEGSDEGKCEESGVEKSSSSLSSPKQIADPVVYKLVRVEGDGRLVPATDDEVMEVEEFLVDEKIELPVVTDAGNVECISNEESSSGKSHKESSEDSQCTDQHDKLPAIDKKLQSEIPLQEIVPSSDLSLDDSHINESARIGECSKPPDGPIESGSPASVICNSSKPDFYTLKGEICLDNLSIRELHGLFRATFGRETTVKDKLWLKRRIAMGLTNSCDVSTTTFTIIDNKLVNKGTDNSFQNADGMLTEGSDGEAKNLGYETSPTSHSSQMENHQIVLGKRLGNLSVELDCGSDDLQMEHRGAKRVRKPTKRYIEELSEVESRDYTPKVISSAKNTGQGQTSPKYCIRPPGNAPLNERTIVTRLDSLGGSGVQVPFVSRVRRSRPRKNIMALMKFDSSGMGVAAKLVQEALSVHSSPPESEHADKDSKVRSASEQIQPQFAAEPEKDKRRSVMSTIELGKNLGLKQTDSSEDNSDDGIATVPTAKGGMRRKHHRAWTLVEVIKLVEGVSKCGTGRWSEIKRLSFASYSYRTSVDLKDKWRNLLKASFAQTPPDDGINSRKHASVPIPAAILLKVRELAEMHAQVPPNLGPGKLPSVSRSVHQTRSGYL >ONI15417 pep chromosome:Prunus_persica_NCBIv2:G3:3055838:3059519:1 gene:PRUPE_3G042900 transcript:ONI15417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKVKQEEKLRLACGSPDHSSAFMNVDSQCTDQHDKLPAIDKKLQSEIPLQEIVPSSDLSLDDSHINESARIGECSKPPDGPIESGSPASVICNSSKPDFYTLKGEICLDNLSIRELHGLFRATFGRETTVKDKLWLKRRIAMGLTNSCDVSTTTFTIIDNKLVNKGTDNSFQNADGMLTEGSDGEAKNLGYETSPTSHSSQMENHQIVLGKRLGNLSVELDCGSDDLQMEHRGAKRVRKPTKRYIEELSEVESRDYTPKVISSAKNTGQGQTSPKYCIRPPGNAPLNERTIVTRLDSLGGSGVQVPFVSRVRRSRPRKNIMALMKFDSSGMGVAAKLVQEALSVHSSPPESEHADKDSKVRSASEQIQPQFAAEPEKDKRRSVMSTIELGKNLGLKQTDSSEDNSDDGIATVPTAKGGMRRKHHRAWTLVEVIKLVEGVSKCGTGRWSEIKRLSFASYSYRTSVDLKDKWRNLLKASFAQTPPDDGINSRKHASVPIPAAILLKVRELAEMHAQVPPNLGPGKLPSVSRSVHQTRSGYL >ONI15412 pep chromosome:Prunus_persica_NCBIv2:G3:3053438:3059304:1 gene:PRUPE_3G042900 transcript:ONI15412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVGVEGSDEGKCEESGVEKSSSSLSSPKQIADPVVYKLVRVEGDGRLVPATDDEVMEVEEFLVDEKIELPVVTDAGNVECISNEESSSGKSHKESSEGLSHSENTEPDAKLSARLEYIEEMLQKVKQEEKLRLACGSPDHSSAFMNVDSQCTDQHDKLPAIDKKLQSEIPLQEIVPSSDLSLDDSHINESARIGECSKPPDGPIESGSPASVICNSSKPDFYTLKGEICLDNLSIRELHGLFRATFGRETTVKDKLWLKRRIAMGLTNSCDVSTTTFTIIDNKLVNKGTDNSFQNADGMLTEGSDGEAKNLGYETSPTSHSSQMENHQIVLGKRLGNLSVELDCGSDDLQMEHRGAKRVRKPTKRYIEELSEVESRDYTPKVISSAKNTGQGQTSPKYCIRPPGNAPLNERTIVTRLDSLGGSGVQVPFVSRVRRSRPRKNIMALMKFDSSGMGVAAKLVQEALSVHSSPPESEHADKDSKVRSASEQIQPQFAAEPEKDKRRSVMSTIELGKNLGLKQTDSSEDNSDDGIATVPTAKGGMRRKHHRAWTLVEVIKLVEGVSKCGTGRWSEIKRLSFASYSYRTSVDLKDKWRNLLKASFAQTPPDDGINSRKHASVPIPAAILLKVRELAEMHAQVPPNLGPGKLPSVSRSVHQTRSGYL >ONI15415 pep chromosome:Prunus_persica_NCBIv2:G3:3053438:3059304:1 gene:PRUPE_3G042900 transcript:ONI15415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVGVEGSDEGKCEESGVEKSSSSLSSPKQIADPVVYKLVRVEGDGRLVPATDDEVMEVEEFLVDEKIELPVVTDAGNVECISNEESSSGKSHKESSEGLSHSENTEPDAKLSARLEEIVPSSDLSLDDSHINESARIGECSKPPDGPIESGSPASVICNSSKPDFYTLKGEICLDNLSIRELHGLFRATFGRETTVKDKLWLKRRIAMGLTNSCDVSTTTFTIIDNKLVNKGTDNSFQNADGMLTEGSDGEAKNLGYETSPTSHSSQMENHQIVLGKRLGNLSVELDCGSDDLQMEHRGAKRVRKPTKRYIEELSEVESRDYTPKVISSAKNTGQGQTSPKYCIRPPGNAPLNERTIVTRLDSLGGSGVQVPFVSRVRRSRPRKNIMALMKFDSSGMGVAAKLVQEALSVHSSPPESEHADKDSKVRSASEQIQPQFAAEPEKDKRRSVMSTIELGKNLGLKQTDSSEDNSDDGIATVPTAKGGMRRKHHRAWTLVEVIKLVEGVSKCGTGRWSEIKRLSFASYSYRTSVDLKDKWRNLLKASFAQTPPDDGINSRKHASVPIPAAILLKVRELAEMHAQVPPNLGPGKLPSVSRSVHQTRSGYL >ONI15413 pep chromosome:Prunus_persica_NCBIv2:G3:3052931:3059594:1 gene:PRUPE_3G042900 transcript:ONI15413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVGVEGSDEGKCEESGVEKSSSSLSSPKQIADPVVYKLVRVEGDGRLVPATDDEVMEVEEFLVDEKIELPVVTDAGNVECISNEESSSGKSHKESSEGLSHSENTEPDAKLSARLEYIEEMLQKVKQEEKLRLACGSPDHSSAFMNVDSQCTDQHDKLPAIDKKLQSEIPLQEIVPSSDLSLDDSHINESARIGECSKPPDGPIESGSPASVICNSSKPDFYTLKGEICLDNLSIRELHGLFRATFGRETTVKDKLWLKRRIAMGLTNSCDVSTTTFTIIDNKLVNKGTDNSFQNADGMLTEGSDGEAKNLGYETSPTSHSSQMENHQIVLGKRLGNLSVELDCGSDDLQMEHRGAKRVRKPTKRYIEELSEVESRDYTPKVISSAKNTGQGQTSPKYCIRPPGNAPLNERTIVTRLDSLGGSGVQVPFVSRVRRSRPRKNIMALMKFDSSGMGVAAKLVQEALSVHSSPPESEHADKDSKVRSASEQIQPQFAAEPEKDKRRSVMSTIELGKNLGLKQTDSSEDNSDDGIATVPTAKGGMRRKHHRAWTLVEVIKLVEGVSKCGTGRWSEIKRLSFASYSYRTSVDLKDKWRNLLKASFAQTPPDDGINSRKHASVPIPAAILLKVRELAEMHAQVPPNLGPGKLPSVSRSVHQTRSGYL >ONI15414 pep chromosome:Prunus_persica_NCBIv2:G3:3053145:3059597:1 gene:PRUPE_3G042900 transcript:ONI15414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVGVEGSDEGKCEESGVEKSSSSLSSPKQIADPVVYKLVRVEGDGRLVPATDDEVMEVEEFLVDEKIELPVVTDAGNVECISNEESSSGKSHKESSEGLSHSENTEPDAKLSARLEEIVPSSDLSLDDSHINESARIGECSKPPDGPIESGSPASVICNSSKPDFYTLKGEICLDNLSIRELHGLFRATFGRETTVKDKLWLKRRIAMGLTNSCDVSTTTFTIIDNKLVNKGTDNSFQNADGMLTEGSDGEAKNLGYETSPTSHSSQMENHQIVLGKRLGNLSVELDCGSDDLQMEHRGAKRVRKPTKRYIEELSEVESRDYTPKVISSAKNTGQGQTSPKYCIRPPGNAPLNERTIVTRLDSLGGSGVQVPFVSRVRRSRPRKNIMALMKFDSSGMGVAAKLVQEALSVHSSPPESEHADKDSKVRSASEQIQPQFAAEPEKDKRRSVMSTIELGKNLGLKQTDSSEDNSDDGIATVPTAKGGMRRKHHRAWTLVEVIKLVEGVSKCGTGRWSEIKRLSFASYSYRTSVDLKDKWRNLLKASFAQTPPDDGINSRKHASVPIPAAILLKVRELAEMHAQVPPNLGPGKLPSVSRSVHQTRSGYL >ONI18391 pep chromosome:Prunus_persica_NCBIv2:G3:21684186:21686798:-1 gene:PRUPE_3G212700 transcript:ONI18391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLAGRLAGKEAAYFFQESKQAVGRLASQKTPTQKKLLSPPSEASSATEQEAQADVLPEVLRHSLPSKIFHQPSEMTSSLDTGSKWVLQSDPKGGYFVSAEALNPLRAYLSLPQVTFGPKRWQLPESESSVSASTANELRRDRHTTPINLEKLKAATEGLTQIGKAFAVATAVVFGGATLLFGMAVSKLQLQNSEDIRTKGRDLVEPKLEMIQEQLAPLRAWAEKTSRKWRLEREENIQEKPIIKALSKMLGAKTSN >ONI16519 pep chromosome:Prunus_persica_NCBIv2:G3:8179596:8182294:1 gene:PRUPE_3G103900 transcript:ONI16519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAKPVFQVLLHLLFLCLCSANGVQSFKEKKVLKLQEFRWRQHGGTRSTVCLSQKSRKEKGATILEIKHRDYCSGKIVDWDKKQQKRLIFDDLHVRSLQSQFKNRVSGRIKDLSEAQIPLTSGIRLQTLNYIVTVELGGRNMTVIVDTGSDLTWVQCQPCKLCYNQQEPLFNSSASPSYKSVLCNSSTCQALQFDTGNSGACGSNPTSCNYVVNYGDGSYTRGELGSDHLSLGATPVNNFVFGCGRNNKGLFGGASGLMGLGRSESVSLVSQTSALFGGVFSYCLPTTEATASGSLIMGGDASIYKNSTPISYTRMVPNPELSTFYFLNLTGISIGGVALQNQSFASGGILIDSGTVISRLAPSVYKAVKAEFLKQFSGYPPAPGFAILDTCFNLSAYQEVSIPTLKFHFEGNAELNVDVTGIFYLVKTDASQICLALASLSYEDEIGIIGNYQQKNQRVIYNTKDSKLGFAEESCSFI >ONI14954 pep chromosome:Prunus_persica_NCBIv2:G3:1269155:1275625:1 gene:PRUPE_3G017800 transcript:ONI14954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFSASPRSFKVHRKSHAALRLKSFISRELRQFLADYRDDVLAEYITVLICNGKHQYQAKEDLEAFLGNRTVEFVSWLWNLILKKPGQSSTDSGFLYLDEIAAVSPQDSDKCKGASTDRCEDFQSYSTGHDELVMKDNMTYQVSTCDPISSEDVKLAEGSQHCPSFSAPLSEVNTKKGLSRTCSNGILRRTIATENINREVDRRTQCINDINQILNQESRGLPKELLPLPKREAVPENARFLMSGRPCLKQICANNNLGSSLSPGTGSLRSEKPRGSVWDRLGKPCEDISLGHTSVDFYGVGHKKQDGKVHNQQALTPPKRNPEASALGQRSYGYPVEAEKVEHVGSAVGKPHTANNIGRKRLFGELSTDPGTSSVSLLHERNMYPQCKNISQDFKKSNLTKNGLKTIQSQEVLDVKQRLHQIEMEMSKLHSKQLAMEKKDGKMIHLLNSGILKYSENNIEVDARTVLVTNVHFSATKEALSLFFANCGGVVNVVMLTDIVTAKRQGTAYVTFASKESVDKAVALSGTTFYSRTVKVLRKAEAASAATAPAQVSAKTFDTHVPHGNRKVIPNKPRYLRSSLQWRREPSIDPTEAEPPSAPASVEGVSSSAPKHLSDTERTATLST >ONI14955 pep chromosome:Prunus_persica_NCBIv2:G3:1269155:1275733:1 gene:PRUPE_3G017800 transcript:ONI14955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFSASPRSFKVHRKSHAALRLKSFISRELRQFLADYRDDVLAEYITVLICNGKHQYQAKEDLEAFLGNRTVEFVSWLWNLILKKPGQSSTDSGFLYLDEIAAVSPQDSDKCKGASTDRCEDFQSYSTGHDELVMKDNMTYQVSTCDPISSEDVKLAEGSQHCPSFSAPLSEVNTKKGLSRTCSNGILRRTIATENINREVDRRTQCINDINQILNQESRGLPKELLPLPKREAVPENARFLMSGRPCLKQICANNNLGSSLSPGTGSLRSEKPRGSVWDRLGKPCEDISLGHTSVDFYGVGHKKQDGKVHNQQALTPPKRNPEASALGQRSYGYPVEAEKVEHVGSAVGKPHTANNIGRKRLFGELSTDPGTSSVSLLHERNMYPQCKNISQDFKKSNLTKNGLKTIQSQEVLDVKQRLHQIEMEMSKLHSKQLAMEKKDGKMIHLLNSGILKYSENNIEVDARTVLVTNVHFSATKEALSLFFANCGGVVNVVMLTDIVTAKRQGTAYVTFASKESVDKAVALSGTTFYSRTVKVLRKAEAASAATAPAQVSAKTFDTHVPHGNRKVIPNKPRYLRSSLQWRREPSIDPTEAEPPSAPASVEGVSSSAPKHLSDTERTATLST >ONI14956 pep chromosome:Prunus_persica_NCBIv2:G3:1269155:1272792:1 gene:PRUPE_3G017800 transcript:ONI14956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFSASPRSFKVHRKSHAALRLKSFISRELRQFLADYRDDVLAEYITVLICNGKHQYQAKEDLEAFLGNRTVEFVSWLWNLILKKPGQSSTDSGFLYLDEIAAVSPQDSDKCKGASTDRCEDFQSYSTGHDELVMKDNMTYQVSTCDPISSEDVKLAEGSQHCPSFSAPLSEVNTKKGLSRTCSNGILRRTIATENINREVDRRTQCINDINQILNQESRGLPKELLPLPKREAVPENARFLMSGRPCLKQICANNNLGSSLSPGTGSLRSEKPRGSVWDRLGKPCEDISLGHTSVDFYGVGHKKQDGKVHNQQALTPPKRNPEASALGQRSYGYPVEAEKVEHVGSAVGKPHTANNIGRKRLFGELSTDPGTSSVSLLHERNMYPQCKNISQDFKKSNLTKNGLKTIQSQEVLDVKQRLHQIEMEMSKLHSKQLAMEKKDGKMIHLLNSELQYMCVRGKVGGPGGFGWMCDRMKL >ONI18786 pep chromosome:Prunus_persica_NCBIv2:G3:23349261:23351415:1 gene:PRUPE_3G239000 transcript:ONI18786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTDSSREDNVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAIIKEYRSKIETELSKICDGILNLLESHLIPSASSAESKYLAEFKTGGERKEAAESTLLAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDDAGDEIKEASKRESAEAQQ >ONI19865 pep chromosome:Prunus_persica_NCBIv2:G3:26619811:26620326:-1 gene:PRUPE_3G302100 transcript:ONI19865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHRIGQTKRVMIRRFIMKGTVEEKMEAVQARKQRLISGALTDQEVRTARIEELKMLFT >ONI19262 pep chromosome:Prunus_persica_NCBIv2:G3:25048292:25050054:1 gene:PRUPE_3G268200 transcript:ONI19262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRTRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQAGKN >ONI18044 pep chromosome:Prunus_persica_NCBIv2:G3:20525762:20530932:1 gene:PRUPE_3G193800 transcript:ONI18044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRPTIALYLLSFLLSSLVLHRPTFAIKKSYVVYLGSHSHPPNFSELELNQVTENHYEFLGSFLGSHEVAKESIFYSYTRHINGFAATLEEEEAAQIAKHPKVVSIFLNQGRKLHTTRSWDFLGLEHDGVTPPNSIWNKARYGEDTIIGNLDTGAWPESNSFSDEGYGPIPSKWKGICQNETDSEFYCNRKLIGARYFNKGYAAVAGTLNSSFDSPRDNEGHGSHTLSTAGGNFVTGASVFGFGNGTAKGGSPKARVAAYKVCWPPVNGDECFEADILAAFDIAIHDGVDVLSVSLGGDPTAFFNDGVAIGAFHAVKHGIVVVCSAGNSGPAEGTVSSVAPWQITVGASTIDREFPSYVTLGNWKHFRGQSLSPEALPGKRFYQLISAADAKAANASVQEALLCKAGTLDLKKVKGKILACLRGESARVDKGEQALLAGAVGMILANDELSGNEVISDPHVLPASHINFTDGALVFAYINSTKSPRAYIKRPTTQLGTKPAPFMAAFSSKGPNTITPDILKPDITAPGVNIIAAYTEAQGPTNQMFDERRVLFNSVSGTSMSCPHISGICGLLKTLYPHWSPAAIKSAIMTTATTQDNSREPVLNASFYRATPFSYGAGHVNPNSAMDPGLVYDLSLNDYLNFLCSNGYNKRQIEMVSEETYKCPKPAISRTNLNYPSITVPKLNGSLVVTRTVKNVGTPGTYKARIQNPDGISVSVEPNKLEFKKIGEEKSFKLLLQVKDAKAAKNYVFGKLIWSDGKHYVRSPIVVKVA >ONI18619 pep chromosome:Prunus_persica_NCBIv2:G3:22657321:22659869:1 gene:PRUPE_3G227300 transcript:ONI18619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKTKQSLVLLLCLCLHLKTHVCLAVDTITANQSLSGDQTILSAEKVFELGFFKPGNSSNYYIGMWYSKRLVSLETIVWVANRETPVSDRFSSVLRITDGNLVLFNESNTPIWSTYLASTANSGSAEAVLLDSGNLVLRNAGSNANTSEPLWQSFDHPTHTWLPGARIGFNSVTTSWKSSEDPAPGLFTLELDPNGSNAYRLLWNRSRQYWSSGAWDEKSRQIQQHTWLENPGKWNFFWSKPGKRCDVYDLCGAFGSCNEVNPVSCNCLTGFEPKLQRDWNLQAYSGGCKRKTPLHCENATSADGKQDQFLKKPGMSLPENEQSVKVETIAGCESICLNNCSCTAYAYNSSGCSIWIGDLFNLQEITSSDSQGITLYLRLAASEFKSPKSNKGLIIGVVAGSAAGIAILLGLIVVVILRQRKRVTGTGKAVEGSLVAFGYRDLQDATKNFSEKLGGGGFGSVFKGTLPDSSVIAVKKLESVNQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEGTKRMLVYDYMPNGSLDSHLFHDTRPNVLDWKTRYQIALGTARGLAYLHLKCRDCIIHCDIKPENILVDNELGPKVADFGLAKLVGREFSRVLTTIRGTRGYLAPEWISGVAITMKADVYSYGMMLFEFVSGRRNSEQSEDGKVRFFPSWAASQISTAETDVLSLLDPRLDGNADVQELTRICRVACWCVQDDEAHRPSMGQVVQILEGVSDVNLPPIPRSLQFLGDDQEHIIFFTESSSSQSSHQRSNNTSTASSQTKSTTSSTISKRSEEERQINT >ONI18803 pep chromosome:Prunus_persica_NCBIv2:G3:23430602:23433914:1 gene:PRUPE_3G240400 transcript:ONI18803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRRPPRTVSNPKVRQVGFFSSPEPIAASSPPVSHISPSGNSLSPVMIPPPRHSDAPRPVPFPAVPASPVRRDSIPAGSYNNSSDFFPASPPTASSSYGMVMGSGEFLNDVVMSPGRALRGNSVRAAAGITTSSSFPGGGFDLTAAVKASSVPASGLTTVSVVKMPITGISEKGRGASVEAQSERGGNSKPLKEKTTKAERRALQEAQRAAKAAAKADGSKTPSVAATVTASTSAAANVKPSKATKLVSQTSVAASEKKGGGERLPEKERKKEVPHPRMQYDDTSRVEKAKRRSVVKQTEARNRVELFRHLPQYEHGTQLPDLESKFFQLDPVHPAVYKVGLQYLSGDISGGNARCIAMLLAFQEAIKDYSTPPEKNLSRDLTAKISSYVSFFIECRPLSVTMGNAIRFLKSRIAKLPLSMSESEAKASLQSEIERFITEKIILADKVIVKHAVSKIRDGDVLLTYGSSSAVEMLLLHAHELGKQFRVVVVDSRPKLEGQRLLRRLVGKGLSCTYTHINAASYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFRVPVLVCCEAYKFHERVQLDSICSNELGDPDAISKIPGREEIYLDGQANCENLQPLNLIYDALPSDYVSMIITDYGMVNQFFLQLLLQDLKSRQCFAILYVPNFELAF >ONI18802 pep chromosome:Prunus_persica_NCBIv2:G3:23430602:23433914:1 gene:PRUPE_3G240400 transcript:ONI18802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRRPPRTVSNPKVRQVGFFSSPEPIAASSPPVSHISPSGNSLSPVMIPPPRHSDAPRPVPFPAVPASPVRRDSIPAGSYNNSSDFFPASPPTASSSYGMVMGSGEFLNDVVMSPGRALRGNSVRAAAGITTSSSFPGGGFDLTAAVKASSVPASGLTTVSVVKMPITGISEKGRGASVEAQSERGGNSKPLKEKTTKAERRALQEAQRAAKAAAKADGSKTPSVAATVTASTSAAANVKPSKATKLVSQTSVAASEKKGGGERLPEKERKKEVPHPRMQYDDTSRVEKAKRRSVVKQTEARNRVELFRHLPQYEHGTQLPDLESKFFQLDPVHPAVYKVGLQYLSGDISGGNARCIAMLLAFQEAIKDYSTPPEKNLSRDLTAKISSYVSFFIECRPLSVTMGNAIRFLKSRIAKLPLSMSESEAKASLQSEIERFITEKIILADKVIVKHAVSKIRDGDVLLTYGSSSAVEMLLLHAHELGKQFRVVVVDSRPKLEGQRLLRRLVGKGLSCTYTHINAASYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFRVPVLVCCEAYKFHERVQLDSICSNELGDPDAISKIPGREEIYLDGQANCENLQPLNLIYDALPSDYVSMIITDYGMVPPTSVPVIVREYRREHLWM >ONI16288 pep chromosome:Prunus_persica_NCBIv2:G3:6667547:6677115:-1 gene:PRUPE_3G089800 transcript:ONI16288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYAHDLSLMDFNDFSFSDPFSDSFSRRRTPLYSEPETAPRHNDNDATDARGNYGNKSSIRRSRSPPKYRHDGTSPLPLGMDWSPPPRKWDGRDSVWPHNPPTGWSYCVTIPSWIFLPKSRGSDPVVFYRVQVGVQSREGITTTRGILRRFSDFLKLFSELKKAFPMKDLPPAPPKGFLRMKSQAQLEERRCSLEDWMEKLLSDIDLSRNVLVATFLELEAAARSSFQEVNQQVSDVNSSTSGAAPSFLLQAHSDLSVVAASSSITSDYGNDTPYDESEIGTPRHGDDNRANPGMEHSTSEQDLIDSMATSVKFGIFNRTFVQASLERLSKRQFPGRSYASSTDRDRVSENNSDARPLRMDGTEFFSEIEDCKRDGHARRLSTESFGSDLSSVRVSETSNLGVANLFGDSSLDLAESSEAPNTFANSDLRFSRDSLVALPCDERHKLNRVLTTMQQRLATAKTDIEDLIARLNQEGAVRQFLTTKVKDLEVELETTRENCKENMQQTVLTEKERFTHMQWDMEELRRKCLDLELQLKSEQDAKVHSESTKISIIQENDMLLQELDASRLQLENLQKYHEEFEAKSKTDVKLLVKEVKFLRNSQSELKQELSRLLKEKLEVERILQKERRRIEGANTANAKLLHECEILRNRLQECSVNFLVEEEDKLILDTSSPSDAIDLLTTSDNRIGLLLAEAQLLAQDVENAVMAVDEAHNIAGGDRMADDELRKMLTDQFVDSARLRMQVNSVIRCALNADIRAEEDDDGGDTYLRKTVLSKFLEK >ONI16615 pep chromosome:Prunus_persica_NCBIv2:G3:9034888:9035780:1 gene:PRUPE_3G110300 transcript:ONI16615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSKSIPLFFLLFCLLHHAALSASPLVHFCFSKENYTANSPYGANLIQLFNLLYTKVPPTGFGLGSTGEGQNQANGLALCRGDVSSQDCKTCVVEASKKLGERCPSRKGAIIWYDNCLFKYSNVNFAGKIDNNNRFYMWNVQEVDDPTPFNEKVKELLSGLSTKASSNPKFYATGELELSSSETLYGLTQCTRDLSSSDCKKCLDDAISELPNCCDAKQGGRVVGGSCNFRYELYPIVDP >ONI14824 pep chromosome:Prunus_persica_NCBIv2:G3:685547:689555:-1 gene:PRUPE_3G010900 transcript:ONI14824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINKQSTFNFERSQQAPQRLYVPPSSRGQSHDNYEDTDLDNIDYDDNDGSKDPGNNNNHDEGGGGGENDEIDPLDAFMESIHEEVRSAPPPKPKEKAEKYKDDEEDDPMESFLRAKKDVTLTLASDALHAGYDSDEEVYAAAKAVDAGMLEYDSDDNPIVLDKRKIEPIAALDHSSIDYESFNKDFYEEKESISGMSEEDVAEYKKSLAIRASGFDVPRPLKTFEECGFSSQLVSAIKKQDYEKPTPIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFSKSHGIRVSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMLRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPIRVTVGEVGMANEDITQVVQVIPTDAEKLPWLLEKLPGMIDEGDVLVFASKKAAVDEIESQLSQKGFKVTALHGDKDQASRMDILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGTAYTLITQKEARFAGELVNSLVAAGQNVSTELMDLAMKDGRFRSKRDSRKGGGKKGRGRGGGGGGGGGGGRGVRGVDYGLGIGYNSESNNSSSHTPTSRSAAVTSLRTGMMSQFKTKFVAAASNSPSQGSGNSSSVPQRPALRGFVSGGSIGGDIFRTQATNTVTPAPTSVVNISSQNSGENASQKPSESSRDKPRERRRRSGWDC >ONI14826 pep chromosome:Prunus_persica_NCBIv2:G3:684715:689765:-1 gene:PRUPE_3G010900 transcript:ONI14826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINKQSTFNFERSQQAPQRLYVPPSSRGQSHDNYEDTDLDNIDYDDNDGSKDPGNNNNHDEGGGGGENDEIDPLDAFMESIHEEVRSAPPPKPKEKAEKYKDDEEDDPMESFLRAKKDVTLTLASDALHAGYDSDEEVYAAAKAVDAGMLEYDSDDNPIVLDKRKIEPIAALDHSSIDYESFNKDFYEEKESISGMSEEDVAEYKKSLAIRASGFDVPRPLKTFEECGFSSQLVSAIKKQDYEKPTPIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFSKSHGIRVSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMLRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPIRVTVGEVGMANEDITQVVQVIPTDAEKLPWLLEKLPGMIDEGDVLVFASKKAAVDEIESQLSQKGFKVTALHGDKDQASRMDILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGTAYTLITQKEARFAGELVNSLVAAGQNVSTELMDLAMKVERRVEGGAVVVVAAAAAVEVCVGWIMVWVLDIIRNPIIHHLILLLVDLLQ >ONI14828 pep chromosome:Prunus_persica_NCBIv2:G3:686919:689765:-1 gene:PRUPE_3G010900 transcript:ONI14828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINKQSTFNFERSQQAPQRLYVPPSSRGQSHDNYEDTDLDNIDYDDNDGSKDPGNNNNHDEGGGGGENDEIDPLDAFMESIHEEVRSAPPPKPKEKAEKYKDDEEDDPMESFLRAKKDVTLTLASDALHAGYDSDEEVYAAAKAVDAGMLEYDSDDNPIVLDKRKIEPIAALDHSSIDYESFNKDFYEEKESISGMSEEDVAEYKKSLAIRASGFDVPRPLKTFEECGFSSQLVSAIKKQDYEKPTPIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFSKSHGIRVSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMLRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPIRVTVGEVGMANEDITQVVQVIPTDAEKLPWLLEKLPGMIDEGDVLVFASKKAAVDEIESQLSQKGFKVTALHGDKDQASRMDILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGTAYTLITQKEARFAGELVNSLVAAGQNVSTELMDLAMKGCMDRWFNTVMITHC >ONI14827 pep chromosome:Prunus_persica_NCBIv2:G3:685958:689555:-1 gene:PRUPE_3G010900 transcript:ONI14827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINKQSTFNFERSQQAPQRLYVPPSSRGQSHDNYEDTDLDNIDYDDNDGSKDPGNNNNHDEGGGGGENDEIDPLDAFMESIHEEVRSAPPPKPKEKAEKYKDDEEDDPMESFLRAKKDVTLTLASDALHAGYDSDEEVYAAAKAVDAGMLEYDSDDNPIVLDKRKIEPIAALDHSSIDYESFNKDFYEEKESISGMSEEDVAEYKKSLAIRASGFDVPRPLKTFEECGFSSQLVSAIKKQDYEKPTPIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFSKSHGIRVSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMLRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPIRVTVGEVGMANEDITQVVQVIPTDAEKLPWLLEKLPGMIDEGDVLVFASKKAAVDEIESQLSQKGFKVTALHGDKDQASRMDILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGTAYTLITQKEARFAGELVNSLVAAGQNVSTELMDLAMKVERRVEGGAVVVVAAAAAVEVCVGWIMVWVLDIIRNPIIHHLILLLVDLLQ >ONI14825 pep chromosome:Prunus_persica_NCBIv2:G3:684715:689765:-1 gene:PRUPE_3G010900 transcript:ONI14825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINKQSTFNFERSQQAPQRLYVPPSSRGQSHDNYEDTDLDNIDYDDNDGSKDPGNNNNHDEGGGGGENDEIDPLDAFMESIHEEVRSAPPPKPKEKAEKYKDDEEDDPMESFLRAKKDVTLTLASDALHAGYDSDEEVYAAAKAVDAGMLEYDSDDNPIVLDKRKIEPIAALDHSSIDYESFNKDFYEEKESISGMSEEDVAEYKKSLAIRASGFDVPRPLKTFEECGFSSQLVSAIKKQDYEKPTPIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFSKSHGIRVSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALTMLRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILSDPIRVTVGEVGMANEDITQVVQVIPTDAEKLPWLLEKLPGMIDEGDVLVFASKKAAVDEIESQLSQKGFKVTALHGDKDQASRMDILQKFKSGIYHVLIATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGTAYTLITQKEARFAGELVNSLVAAGQNVSTELMDLAMKDGRFRSKRDSRKGGGKKGRGRGGGGGGGGGGGRGVRGVDYGLGIGYNSESNNSSSHTPTSRSAAVTSLRTGMMSQFKTKFVAAASNSPSQGSGNSSSVPQRPALRGFVSGGSIGGDIFRTQATNTVTPAPTSVVNISSQNSGENASQKPSESSRDKPRERRRRSGWDC >ONI15547 pep chromosome:Prunus_persica_NCBIv2:G3:3462265:3463871:1 gene:PRUPE_3G049100 transcript:ONI15547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPFLSSLPIPHPTSPFISIEKIRETPQKKKPHQEKKPPLPPPPETHSITNNTSTQKEIIMSSISNHTHHQIPTPTTPTTTKGNGNAQACAACKYQRRKCAPDCILAPFFPHDRQRQFLNAHKLFGVSNITKIIKHLNLSDKEEAMRTIIFQSDIRANDPVGGCYRIIRELQRLIEYNKAELDIVLHQLAICRAQAQAQQQQQQNQIQIPEVGDQNSCDQLINMDPLSFYNNQVAHYHYVQPHPHALPAVQQQQQQQEVPYVLVQNNNINSPNSNSNNNNNNPHQHLHEDMNMWAMQDSMSSLDIKHGGGMNANDCEDLKPFVEIPCDDDQRNGEVRFQPEDIGDHQQRFVVPNTKLLISS >ONI14846 pep chromosome:Prunus_persica_NCBIv2:G3:753064:755510:-1 gene:PRUPE_3G012200 transcript:ONI14846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKGEVQRRVLLLCGDYMEDYEAMVPFQALQAFGVSVDAVSPVKKAGDICATAITIQVESTDQANKESRGHNFTLNATFDDIEFDKYDGLVIPGGRSPEHLAMKASVVELARKFSDSRKPIAAICHGLLVLAPAGAVKGRKCTAVSTMKPGLVAAGAHWVEPDTLSVCVADDNLTTGVTYYGNPEFIGLFLKALGGNICASERRVLIIIGNYAEDYEVTVPYQTLKVLGCHVDVVCPKKKAGDTCPTAIRDLEGGQTYSEIRGHNFVLTADFESIDASSYDALVLPGGKAPEFLALKEDVIVLVKQFMEARKPVASICHGLEILVAAGVLQGKKCTGYPGIKARVVSSGGTFVEADPIDRCVTDGNLVTAAAWHGQPELISQLMTLLDIQVSF >ONI14847 pep chromosome:Prunus_persica_NCBIv2:G3:753904:755434:-1 gene:PRUPE_3G012200 transcript:ONI14847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKGEVQRRVLLLCGDYMEDYEAMVPFQALQAFGVSVDAVSPVKKAGDICATAITIQVESTDQANKESRGHNFTLNATFDDIEFDKYDGLVIPGGRSPEHLAMKASVVELARKFSDSRKPIAAICHGLLVLAPAGAVKGRKCTAVSTMKPGLVAAGAHWVEPDTLSVCVADDNLTTGVTYYGNPEFIGLFLKALGGNICASERRVLIIIGNYAEDYEVTVPYQTLKVLGCHVDVVCPKKKAGDTCPTAIRDLEGGQTYSEIRGHNFVLTADFESIDASSYDALVLPGGKAPEFLALKEDVIVLVKQFMEARKPVASICHGLEILVAAGVLQV >ONI18181 pep chromosome:Prunus_persica_NCBIv2:G3:20984688:20990399:1 gene:PRUPE_3G201400 transcript:ONI18181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLPRRSVSAILRHGGARHRTTAAPISCASTHLGSVGETDAKVRWHSALASGKFNPCKPTAQFASNNGLCFGNRFESTAAASDASAEPPAERFEYQAEVNRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTEPDLLKGGGDLDIRIQTDKDNGIIHIIDSGIGMTRQELVDCLGTIAQSGTAKFSKLLKDSKDAGGDNNLIGQFGVGFYSAFLVADRVVVSTKSPKSDKQYVWQGEANASSYTIQEETDPEKLIPRGTRLSLYLKRDDKGFAVPERIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDEDPAESKKDGENEKTEVQKKKTKTVVEKYWDWELTNETQPIWLRNPKEVTTEDYNEFYKKTFNEYLDPLASSHFTTEGEVEFRSILYVPAVAPRGKDDIVNSKTKNISLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSEDRADYEKFFENFGKHLKLGCIEDRENHKRIAPLLRFFSSQSEDVMISLDEYLENMKPEQKGIYYIASDSVESASNTPFLEKLLEKNFEVLYLVDPIDEVAIQNLESYKEKKFLDISKEDLDLGDKNEEKEKEIKQEYGQTCDWIKKRLGDKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKAQTVGDTSSLEFMRGRRVFEINPEHPIIQNLNAASKINPDDEDAIRAIDLLYDTALVSSGFTPENPAQLGGKIYEMMGLALSGKWSTPVAEVQQPGRQHHNPETLEAEVQHPGTPHHNTKILEAEVVEPVEAGTQK >ONI18979 pep chromosome:Prunus_persica_NCBIv2:G3:24096383:24097808:-1 gene:PRUPE_3G250800 transcript:ONI18979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGRHQNKFAWKPNLGVKINETEVGGRFRPLSDITGVCPRCKEQIEWKRRYGKYKTLTEPTKCQRCTKRAVRQSHHKLCSACAKEQGVCAKCCCRVERIVGKDPSEVEAEQKKLQEAIKNARERDRRTLIRAMNAGKATGLVKAATNKDDKAGDLFPSASLEEYAEASRDDNDDDDDDDDEEGEEEENEDHVCN >ONI14780 pep chromosome:Prunus_persica_NCBIv2:G3:476936:477537:-1 gene:PRUPE_3G007900 transcript:ONI14780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLLRWATIVLALLIFDQSFTSVGADLIEDTCNKTSNFKFCVSFLRSKPGSATADVQGLAQIVADQIQINLKDTFSEASKLYKEATERVIKECFQICSEMYGVAIDYMNGVLINLKSKNYSNTRDGLSVVYGDADTCEESFHEEPVRPSPLTKNNDDVKNLALIGSEIVLILG >ONI17385 pep chromosome:Prunus_persica_NCBIv2:G3:17409928:17411753:1 gene:PRUPE_3G155900 transcript:ONI17385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCDVCEKAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLQCLSKLNKLPRCDICQDKAAFIFCVEDRALFCQDCDGSVHSANSHSANHQRFLATGIRVALSSSCTTKDTETSSLEPPSHGSQQISTKLPTPQPSGFSSPWGVDDLLQLSDFESSDKKGSLEFGELEWIADMGLFGEQFPEEALAAAEVPQLPVSQQPNFTSYRPPKSNNPYKKPRIVMAEDDDEHFTVPDLGDFRHVST >ONI16297 pep chromosome:Prunus_persica_NCBIv2:G3:6709394:6712188:-1 gene:PRUPE_3G090400 transcript:ONI16297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASANIEQVPDGECNDIVTDRDGVLTELDVQNGVLEGRKEFVAPAVGMEFESYDDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMLRMRLVDSKRWRVLEVTLEHNHLLGAKIYKSIKKVGSGMKRKSQSSSDAEKRTIKLYRALVIDSGVDGTSNLNPTDIRNFPDHPNQLNLKKGDTQAIYNYLCRMQLTNPNFFYLMDLNDDGRLRNVFWMDARCRAACGYFADVIYFDNTYLSNKYEIPLVAFVGINHHGQTVLLGCALLAGETTESYTWLFRAWLTCVSGQFPQTIITDRCKALQSAIAEVFPRCHHRFGLSHIIKKVPEKLGGLRNYDAIRKALIKAVYETLKVIEFEAAWGFMIQRFGVGDHEWLHSLYEDRFRWAPVYLKETFFAGMSAARPGETLSPFFDRYVHKQTPLKEFLDKYELALQKKHKEEALADIESRSSSPTLKTRCSFEFQLSKVYTREIFKNFQFEVEEMYSCFSTTQLHVDGPIIIFLVKERVVVEGNRREIRDYEVLYNRTAGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPSKYILSRWKKDFKRIYIPDHGSSNADDTDRMQWFNQLYRSALQIVEEGVISLDHYKVALQAFEESLNRVHDVEDKHE >ONI16298 pep chromosome:Prunus_persica_NCBIv2:G3:6709417:6712148:-1 gene:PRUPE_3G090400 transcript:ONI16298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFESYDDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMLRMRLVDSKRWRVLEVTLEHNHLLGAKIYKSIKKVGSGMKRKSQSSSDAEKRTIKLYRALVIDSGVDGTSNLNPTDIRNFPDHPNQLNLKKGDTQAIYNYLCRMQLTNPNFFYLMDLNDDGRLRNVFWMDARCRAACGYFADVIYFDNTYLSNKYEIPLVAFVGINHHGQTVLLGCALLAGETTESYTWLFRAWLTCVSGQFPQTIITDRCKALQSAIAEVFPRCHHRFGLSHIIKKVPEKLGGLRNYDAIRKALIKAVYETLKVIEFEAAWGFMIQRFGVGDHEWLHSLYEDRFRWAPVYLKETFFAGMSAARPGETLSPFFDRYVHKQTPLKEFLDKYELALQKKHKEEALADIESRSSSPTLKTRCSFEFQLSKVYTREIFKNFQFEVEEMYSCFSTTQLHVDGPIIIFLVKERVVVEGNRREIRDYEVLYNRTAGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPSKYILSRWKKDFKRIYIPDHGSSNADDTDRMQWFNQLYRSALQIVEEGVISLDHYKVALQAFEESLNRVHDVEDKHE >ONI15033 pep chromosome:Prunus_persica_NCBIv2:G3:1656306:1656916:-1 gene:PRUPE_3G022200 transcript:ONI15033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDEKKVYASPTSSPPTPPSPLPISVGPGNQKYIFSPSPSPSPPFSPPPSSHTSAENLPLLHHDQKLSSPPVPSSAFSLDYRRPPEDLDSRSSCLADLGA >ONI15032 pep chromosome:Prunus_persica_NCBIv2:G3:1655409:1657264:-1 gene:PRUPE_3G022200 transcript:ONI15032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDEKKVYASPTSSPPTPPSPLPISVGPGNQKYIFSPSPSPSPPFSPPPSSHTSAENLPLLHHDQKLSSPPVPSSAFSLDYRRPPEDLDSRSSCLADLLKWFIERCCNCGSKSS >ONI16928 pep chromosome:Prunus_persica_NCBIv2:G3:13306540:13309589:1 gene:PRUPE_3G130500 transcript:ONI16928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTENNTSDHSAIESENDQEEEPVPIENDDTNENRDEDELLSSSIQEKVNQASDPFSVHRCIYRIPAVLRKHNEKAFVPIVVSIGPLHHGNENLQAMEEVKLWYLQCLLDRKPTPETDMESLLKAIRPIQQACQECYEEKIHISNDEFLEMMVIDGCFISEFFRRFANEVTVDNEDGLFSTSWMPLAVINDLLLLENQLPWRVLDCLFELTCESGTSSLLGLINSTFKAYTGGLSPKPSGTVKHRHLLDFIRNSFLGSYPESESDESARDSDTILSATELRKVGVKFKRGNEDDTMLNITFENGVMKIPPIIVFDENRESLFGNLIVYEQCQPRLGYQITSYVVLLDNLIKSTKDVDFLVEKGIMAKIWSRKEMLGFFKRLYNDTRLYKYFSYDELQTEVNAYCAGGWRKWKQILRRDYLKNPCSSSS >ONI15213 pep chromosome:Prunus_persica_NCBIv2:G3:2286484:2286747:1 gene:PRUPE_3G030800 transcript:ONI15213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKTWSRVLAALALALSMVLSTWPDIATAQARPLTSASASASKKAASASSSQGLEDLEAKKKNPYMQVGSSFRRIPPSTSNPTQNK >ONI15212 pep chromosome:Prunus_persica_NCBIv2:G3:2286250:2287101:1 gene:PRUPE_3G030800 transcript:ONI15212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKTWSRVLAALALALSMVLSTWPDIATAQARPLTSASASASKKAASASSSQGLEDLEAKKKNPYMQVGSSFRRIPPSTSNPTQNKSNPP >ONI18113 pep chromosome:Prunus_persica_NCBIv2:G3:20773226:20775704:-1 gene:PRUPE_3G197400 transcript:ONI18113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRLQKFMELKNILEDQMATALWFFRFPPPWMAVVAPFLILMGLMGFGFFSIFLTSMVLILSTVFFTFCKQKPVLLEKLVEEKVLIRCDQSFSPCLEDASRTQHDEEETAALQKKEAQEEGMNPVHNYLGASPCSPDLISERECLDHLSTSDESEVDWSFGDNAVQSPDCSDGSISDEDSLIEIALPGGYYVGHKEQESIFNLQQKRPGLSHQSIFQQHTLLELLAEINEMNEEENLIEIDLSMGSIKCSRFEIEA >ONI18112 pep chromosome:Prunus_persica_NCBIv2:G3:20773449:20775096:-1 gene:PRUPE_3G197400 transcript:ONI18112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRLQKFMELKNILEDQMATALWFFRFPPPWMAVVAPFLILMGLMGFGFFSIFLTSMVLILSTVFFTFCKQKPVLLEKLVEEKVLIRCDQSFSPCLEDASRTQHDEEETAALQKKEAQEEGMNPVHNYLGASPCSPDLISERECLDHLSTSDESEVDWSFGDNAVQSPDCSDGSISDEDSLIEIALPGGYYVGHKEQESIFNLQQKRPGLSHQSIFQQHTLLELLAEINEMNEEENLIEIDLSMGSIKCSRFEIEA >ONI18783 pep chromosome:Prunus_persica_NCBIv2:G3:23339532:23344664:1 gene:PRUPE_3G238800 transcript:ONI18783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVLFIGELMKQSERYIDEGMHPRVLVDGFEIAKRATLQFIEKFKTPVVMGNEPDKEILKMVARTTLRTKLYEALADQLTDIVVNSVLCIRKPEESIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRWAENCYILTSNVSLEYEKSEVNSGFFYSNAEQREAMVLAERRQVDERVRKIIELKNKVCSGNDNNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPDCLGWAGLVYEHVLGEEKYTFIENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVILGAGAFEVAARQHLVNEVKKTVQGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIALTGEHDRGNVVGLNHHTGEPIDPQMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >ONI18468 pep chromosome:Prunus_persica_NCBIv2:G3:21960716:21964750:1 gene:PRUPE_3G217600 transcript:ONI18468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPNDIHQPLLQSYPPPPTLTTKHDDLPDLHLHHDLHSSNELERVLSDTNRPFLERLKPALWIESKLLFYLAAPAVIVYMINYVMSMSTQIFAGHLGNLELAAASLGNNGILIFSYGLLLGMGSAVETLCGQAYGAHKYEMLGIYLQRSTILLTLGGVLLTIVYIFSRPILILLGQSPSIASAAAIYVYGLIPQIFSFAVNFPIQKFLQAQSIVAPSAYISASTLVLHLVLSWVVVYKMGLGLLGAALVLSLSWWITVLAQFVYIVKSERCKNTWRGFSWQAFSGLLGFFKLSVASAVMLCLETWYFQILVLLAGLLPNPELALDSLSIWCCCWVWMASFCCVCECGVLLLGWSTIWCASRILLQMWC >ONI18466 pep chromosome:Prunus_persica_NCBIv2:G3:21960716:21964750:1 gene:PRUPE_3G217600 transcript:ONI18466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPNDIHQPLLQSYPPPPTLTTKHDDLPDLHLHHDLHSSNELERVLSDTNRPFLERLKPALWIESKLLFYLAAPAVIVYMINYVMSMSTQIFAGHLGNLELAAASLGNNGILIFSYGLLLGMGSAVETLCGQAYGAHKYEMLGIYLQRSTILLTLGGVLLTIVYIFSRPILILLGQSPSIASAAAIYVYGLIPQIFSFAVNFPIQKFLQAQSIVAPSAYISASTLVLHLVLSWVVVYKMGLGLLGAALVLSLSWWITVLAQFVYIVKSERCKNTWRGFSWQAFSGLLGFFKLSVASAVMLCLETWYFQILVLLAGLLPNPELALDSLSICTTISGWVFMISVGLNAAASVRVSNELGAGNPKSTAFSVVVVTTVSFLISIVAAIIVLIWRDTISYAFTEGEAVAAAVSDLCPFLALTLLLNGIQPVLSGVAVGCGWQAFVAYVNVGCYYLVGVPFGALLGFYFKCGAKGIWLGMMAGTLMQTIILIWVTYRTDWKKEVVEAAKRLNKWGEVKETLK >ONI18467 pep chromosome:Prunus_persica_NCBIv2:G3:21960716:21964750:1 gene:PRUPE_3G217600 transcript:ONI18467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPNDIHQPLLQSYPPPPTLTTKHDDLPDLHLHHDLHSSNELERVLSDTNRPFLERLKPALWIESKLLFYLAAPAVIVYMINYVMSMSTQIFAGHLGNLELAAASLGNNGILIFSYGLLLGMGSAVETLCGQAYGAHKYEMLGIYLQRSTILLTLGGVLLTIVYIFSRPILILLGQSPSIASAAAIYVYGLIPQIFSFAVNFPIQKFLQAQSIVAPSAYISASTLVLHLVLSWVVVYKMGLGLLGAALVLSLSWWITVLAQFVYIVKSERCKNTWRGFSWQAFSGLLGFFKLSVASAVMLCLETWYFQILVLLAGLLPNPELALDSLSICTTISGWVFMISVGLNAAARCCCWVWMASFCCVCECGVLLLGWSTIWCASRILLQMWC >ONI15363 pep chromosome:Prunus_persica_NCBIv2:G3:2846135:2847217:1 gene:PRUPE_3G039400 transcript:ONI15363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSIVRKPLSLTATLLRRYRFSSPPSFPSSRFSSSSAPTSSASADSSAATQCSGLTRLASLVDGCDYEHWLVVMEPPKGYPLRDEIINGYIQTLATALGSAEKAKKSIYSVSTKYYYAFGCKVPENLTFKIKSLTNVKWVLPDSYLCHGENDYGGIIFSWFIFISSC >ONI15362 pep chromosome:Prunus_persica_NCBIv2:G3:2846135:2848073:1 gene:PRUPE_3G039400 transcript:ONI15362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSIVRKPLSLTATLLRRYRFSSPPSFPSSRFSSSSAPTSSASADSSAATQCSGLTRLASLVDGCDYEHWLVVMEPPKGYPLRDEIINGYIQTLATALGSAEKAKKSIYSVSTKYYYAFGCKVPENLTFKIKSLTNVKWVLPDSYLCHGENDYGGEPLVDGVVIPYDEKYHADWVCDENDTTV >ONI16583 pep chromosome:Prunus_persica_NCBIv2:G3:8678666:8680398:-1 gene:PRUPE_3G108100 transcript:ONI16583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGDSLSLNQWLSLTCMLHTSNPHAQYKLFKTGGLSTFTFPAYKIKVMISRNAFLVDTIATTAGRVLKLDSIESGKMWKEIDDLIQEGDRMFKDMDRLVAYEKGLKTWARWIDNNLDTTKTRVFFQGVPPDHNKGSHRAQLVVERVLRSMKSPVHLLNVTTLSQLRIDGHPSVHWCLAGVPDTWNVLLYAALTQRKTN >ONI15123 pep chromosome:Prunus_persica_NCBIv2:G3:2005105:2011818:-1 gene:PRUPE_3G027100 transcript:ONI15123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEKPAEPDNMKEQPHVAKIERSVSPNPSPDAATIGHPRDAAGQSGPFGSGGDHTVIPPNVYAPQAQTFYYRGYENGTGEWDEYPPYLNTEGLEISSPGVYNENPSLVFHSGYGYNPQMPYGPYSPVTTPMPSVGGDAQLYSPQQFPFSGPPYYQQLGPHSMPYITSPTTVSQPELTTLVSIDQQGDNMLFGPRPGYHPPVGSFGRGNFPGNPGSHGFHDLQQGFDGLRSGGLWSDWSKPSDRHRSLTPLSPSVSPQPLGPLGSFGQNVGMASQQQRSLYGFGSGSNSYNRGYIPSGFNQASSLGNASFSSFGANSRGFLSLENSKRHVRGSGPICSCNAPLDILCEQNRGPRASKPKSQIMADSSVENTKHNTTATKVHDESYNKPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDAAYREVKEKHDGCPIFLFFSVNASAQFCGVAEMVGPVDFDKSLDYWQQDKWSGQFPVKWHMIKDVPNSQFRHIILENNDNKPVTNSRDTQEVKLEHGIEMLNIFKNYETDMSILDDFDFYEDRQKAMQERKARQQASLIAVGVVGEKEPRNTVQIPSDFIKHMSKSFAQVVRLDEGSKEANLSERASPASDGSIGTRVKLEDAIPVPVSSAQTS >ONI15121 pep chromosome:Prunus_persica_NCBIv2:G3:2005126:2011649:-1 gene:PRUPE_3G027100 transcript:ONI15121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQPQAPDHISEEKPAEPDNMKEQVVPHVAKIERSVSPNPSPDAATIGHPRDAAGQSGPFGSGGDHTVIPPNVYAPQAQTFYYRGYENGTGEWDEYPPYLNTEGLEISSPGVYNENPSLVFHSGYGYNPQMPYGPYSPVTTPMPSVGGDAQLYSPQQFPFSGPPYYQQLGPHSMPYITSPTTVSQPELTTLVSIDQQGDNMLFGPRPGYHPPVGSFGRGNFPGNPGSHGFHDLQQGFDGLRSGGLWSDWSKPSDRHRSLTPLSPSVSPQPLGPLGSFGQNVGMASQQQRSLYGFGSGSNSYNRGYIPSGFNQASSLGNASFSSFGANSRGFLSLENSKRHVRGSGPICSCNAPLDILCEQNRGPRASKPKSQIMADSSVENTKHNTTATKVHDESYNKPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDAAYREVKEKHDGCPIFLFFSVNASAQFCGVAEMVGPVDFDKSLDYWQQDKWSGQFPVKWHMIKDVPNSQFRHIILENNDNKPVTNSRDTQEVKLEHGIEMLNIFKNYETDMSILDDFDFYEDRQKAMQERKARQQASLIAVGVVGEKEPRNTVQIPSDFIKHMSKSFAQVVRLDEGSKEANLSERASPASDGSIGTRVKLEDAIPVPVSSAQTS >ONI15124 pep chromosome:Prunus_persica_NCBIv2:G3:2005105:2011811:-1 gene:PRUPE_3G027100 transcript:ONI15124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEKPAEPDNMKEQPHVAKIERSVSPNPSPDAATIGHPRDAAGQSGPFGSGGDHTVIPPNVYAPQAQTFYYRGYENGTGEWDEYPPYLNTEGLEISSPGVYNENPSLVFHSGYGYNPQMPYGPYSPVTTPMPSVGGDAQLYSPQQFPFSGPPYYQQLGPHSMPYITSPTTVSQPELTTLVSIDQQGDNMLFGPRPGYHPPVGSFGRGNFPGNPGSHGFHDLQQGFDGLRSGGLWSDWSKPSDRHRSLTPLSPSVSPQPLGPLGSFGQNVGMASQQQRSLYGFGSGSNSYNRGYIPSGFNQASSLGNASFSSFGANSRGFLSLENSKRHVRGSGPICSCNAPLDILCEQNRGPRASKPKSQIMADSSVENTKHNTTATKVHDESYNKPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDAAYREVKEKHDGCPIFLFFSVNASAQFCGVAEMVGPVDFDKSLDYWQQDKWSGQFPVKWHMIKDVPNSQFRHIILENNDNKPVTNSRDTQEVKLEHGIEMLNIFKNYETDMSILDDFDFYEDRQKAMQERKARQQASLIAVGVVGEKEPRNTVQIPSDFIKHMSKSFAQVVRLDEGSKEANLSERASPASDGSIGTRVKLEDAIPVPVSSAQTS >ONI15122 pep chromosome:Prunus_persica_NCBIv2:G3:2005052:2011861:-1 gene:PRUPE_3G027100 transcript:ONI15122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQPQAPDHISDSTKSLSILIMDAEEKPAEPDNMKEQPHVAKIERSVSPNPSPDAATIGHPRDAAGQSGPFGSGGDHTVIPPNVYAPQAQTFYYRGYENGTGEWDEYPPYLNTEGLEISSPGVYNENPSLVFHSGYGYNPQMPYGPYSPVTTPMPSVGGDAQLYSPQQFPFSGPPYYQQLGPHSMPYITSPTTVSQPELTTLVSIDQQGDNMLFGPRPGYHPPVGSFGRGNFPGNPGSHGFHDLQQGFDGLRSGGLWSDWSKPSDRHRSLTPLSPSVSPQPLGPLGSFGQNVGMASQQQRSLYGFGSGSNSYNRGYIPSGFNQASSLGNASFSSFGANSRGFLSLENSKRHVRGSGPICSCNAPLDILCEQNRGPRASKPKSQIMADSSVENTKHNTTATKVHDESYNKPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDAAYREVKEKHDGCPIFLFFSVNASAQFCGVAEMVGPVDFDKSLDYWQQDKWSGQFPVKWHMIKDVPNSQFRHIILENNDNKPVTNSRDTQEVKLEHGIEMLNIFKNYETDMSILDDFDFYEDRQKAMQERKARQQASLIAVGVVGEKEPRNTVQIPSDFIKHMSKSFAQVVRLDEGSKEANLSERASPASDGSIGTRVKLEDAIPVPVSSAQTS >ONI15120 pep chromosome:Prunus_persica_NCBIv2:G3:2005052:2011970:-1 gene:PRUPE_3G027100 transcript:ONI15120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQPQAPDHISEEKPAEPDNMKEQPHVAKIERSVSPNPSPDAATIGHPRDAAGQSGPFGSGGDHTVIPPNVYAPQAQTFYYRGYENGTGEWDEYPPYLNTEGLEISSPGVYNENPSLVFHSGYGYNPQMPYGPYSPVTTPMPSVGGDAQLYSPQQFPFSGPPYYQQLGPHSMPYITSPTTVSQPELTTLVSIDQQGDNMLFGPRPGYHPPVGSFGRGNFPGNPGSHGFHDLQQGFDGLRSGGLWSDWSKPSDRHRSLTPLSPSVSPQPLGPLGSFGQNVGMASQQQRSLYGFGSGSNSYNRGYIPSGFNQASSLGNASFSSFGANSRGFLSLENSKRHVRGSGPICSCNAPLDILCEQNRGPRASKPKSQIMADSSVENTKHNTTATKVHDESYNKPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDAAYREVKEKHDGCPIFLFFSVNASAQFCGVAEMVGPVDFDKSLDYWQQDKWSGQFPVKWHMIKDVPNSQFRHIILENNDNKPVTNSRDTQEVKLEHGIEMLNIFKNYETDMSILDDFDFYEDRQKAMQERKARQQASLIAVGVVGEKEPRNTVQIPSDFIKHMSKSFAQVVRLDEGSKEANLSERASPASDGSIGTRVKLEDAIPVPVSSAQTS >ONI15126 pep chromosome:Prunus_persica_NCBIv2:G3:2005061:2011818:-1 gene:PRUPE_3G027100 transcript:ONI15126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQPHVAKIERSVSPNPSPDAATIGHPRDAAGQSGPFGSGGDHTVIPPNVYAPQAQTFYYRGYENGTGEWDEYPPYLNTEGLEISSPGVYNENPSLVFHSGYGYNPQMPYGPYSPVTTPMPSVGGDAQLYSPQQFPFSGPPYYQQLGPHSMPYITSPTTVSQPELTTLVSIDQQGDNMLFGPRPGYHPPVGSFGRGNFPGNPGSHGFHDLQQGFDGLRSGGLWSDWSKPSDRHRSLTPLSPSVSPQPLGPLGSFGQNVGMASQQQRSLYGFGSGSNSYNRGYIPSGFNQASSLGNASFSSFGANSRGFLSLENSKRHVRGSGPICSCNAPLDILCEQNRGPRASKPKSQIMADSSVENTKHNTTATKVHDESYNKPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDAAYREVKEKHDGCPIFLFFSVNASAQFCGVAEMVGPVDFDKSLDYWQQDKWSGQFPVKWHMIKDVPNSQFRHIILENNDNKPVTNSRDTQEVKLEHGIEMLNIFKNYETDMSILDDFDFYEDRQKAMQERKARQQASLIAVGVVGEKEPRNTVQIPSDFIKHMSKSFAQVVRLDEGSKEANLSERASPASDGSIGTRVKLEDAIPVPVSSAQTS >ONI15127 pep chromosome:Prunus_persica_NCBIv2:G3:2005126:2011810:-1 gene:PRUPE_3G027100 transcript:ONI15127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQPHVAKIERSVSPNPSPDAATIGHPRDAAGQSGPFGSGGDHTVIPPNVYAPQAQTFYYRGYENGTGEWDEYPPYLNTEGLEISSPGVYNENPSLVFHSGYGYNPQMPYGPYSPVTTPMPSVGGDAQLYSPQQFPFSGPPYYQQLGPHSMPYITSPTTVSQPELTTLVSIDQQGDNMLFGPRPGYHPPVGSFGRGNFPGNPGSHGFHDLQQGFDGLRSGGLWSDWSKPSDRHRSLTPLSPSVSPQPLGPLGSFGQNVGMASQQQRSLYGFGSGSNSYNRGYIPSGFNQASSLGNASFSSFGANSRGFLSLENSKRHVRGSGPICSCNAPLDILCEQNRGPRASKPKSQIMADSSVENTKHNTTATKVHDESYNKPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDAAYREVKEKHDGCPIFLFFSVNASAQFCGVAEMVGPVDFDKSLDYWQQDKWSGQFPVKWHMIKDVPNSQFRHIILENNDNKPVTNSRDTQEVKLEHGIEMLNIFKNYETDMSILDDFDFYEDRQKAMQERKARQQASLIAVGVVGEKEPRNTVQIPSDFIKHMSKSFAQVVRLDEGSKEANLSERASPASDGSIGTRVKLEDAIPVPVSSAQTS >ONI15125 pep chromosome:Prunus_persica_NCBIv2:G3:2005057:2011946:-1 gene:PRUPE_3G027100 transcript:ONI15125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEKPAEPDNMKEQPHVAKIERSVSPNPSPDAATIGHPRDAAGQSGPFGSGGDHTVIPPNVYAPQAQTFYYRGYENGTGEWDEYPPYLNTEGLEISSPGVYNENPSLVFHSGYGYNPQMPYGPYSPVTTPMPSVGGDAQLYSPQQFPFSGPPYYQQLGPHSMPYITSPTTVSQPELTTLVSIDQQGDNMLFGPRPGYHPPVGSFGRGNFPGNPGSHGFHDLQQGFDGLRSGGLWSDWSKPSDRHRSLTPLSPSVSPQPLGPLGSFGQNVGMASQQQRSLYGFGSGSNSYNRGYIPSGFNQASSLGNASFSSFGANSRGFLSLENSKRHVRGSGPICSCNAPLDILCEQNRGPRASKPKSQIMADSSVENTKHNTTATKVHDESYNKPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDAAYREVKEKHDGCPIFLFFSVNASAQFCGVAEMVGPVDFDKSLDYWQQDKWSGQFPVKWHMIKDVPNSQFRHIILENNDNKPVTNSRDTQEVKLEHGIEMLNIFKNYETDMSILDDFDFYEDRQKAMQERKARQQASLIAVGVVGEKEPRNTVQIPSDFIKHMSKSFAQVVRLDEGSKEANLSERASPASDGSIGTRVKLEDAIPVPVSSAQTS >ONI15147 pep chromosome:Prunus_persica_NCBIv2:G3:2047017:2054946:1 gene:PRUPE_3G027500 transcript:ONI15147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSPFVQTGLNQSVSLCKYSHSSITCNSEIQLDSVKELQSDNTISQVSNPVEGIGRFGSCTKDIDTYPYRFQLEQDVQRLQQQLREELELHAILENAIEQNAIKCSSWSGLPYYAQELLSNISALEVTVSKLEQELVALHFQLSQERNERRLAEYRLRHPSPQSTSPNSSDIMKLPISSSLRSSDHSSPEIHHSSEDGSCQETKDQPSELSGQASSRQSATEYAEDSVASCHDMKMSWKMDPKSFQLAEYRKIPKGMPSKGLWDHPNQLSEDMVRCMKNIFISLADSAIPSKSPAQESYSSSLSPRGHLSNSSWWSSSERSMISSWVQSPQVDIQSNSEVLASENACDPYRVRGKLSWADIGNYGLANEVSWMSVGKKQLEYAAGALRRFRILVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPLITFALSCGMYSSPAVRIYTAKNVRDELQEAQRDFVRASVGVSSKGRLLVPKMLHCFAKGIVDDSNLAVWISHYLPPHQATFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKIPL >ONI15150 pep chromosome:Prunus_persica_NCBIv2:G3:2047601:2054946:1 gene:PRUPE_3G027500 transcript:ONI15150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSPFVQTGLNQSVSLCKYSHSSITCNSEIQLDSVKELQSDNTISQVSNPVEGIGRFGSCTKDIDTYPYRFQLEQDVQRLQQQLREELELHAILENAIEQNAIKCSSWSGLPYYAQELLSNISALEVTVSKLEQELVALHFQLSQERNERRLAEYRLRHPSPQSTSPNSSDIMKLPISSSLRSSDHSSPEIHHSSEDGSCQETKDQPSELSGQASSRQSATEYAEDSVASCHDMKMSWKMDPKSFQLAEYRKIPKGMPSKGLWDHPNQLSEDMVRCMKNIFISLADSAIPSKSPAQESYSSSLSPRGHLSNSSWWSSSERSMISSWVQSPQVDIQSNSEVLASENACDPYRVRGKLSWADIGNYGLANEVSWMSVGKKQLEYAAGALRRFRILVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPLITFALSCGMYSSPAVRIYTAKNVRDELQEAQRDFVRASVGVSSKGRLLVPKMLHCFAKGIVDDSNLAVWISHYLPPHQATFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKIPL >ONI15148 pep chromosome:Prunus_persica_NCBIv2:G3:2046805:2054946:1 gene:PRUPE_3G027500 transcript:ONI15148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSPFVQTGLNQSVSLCKYSHSSITCNSEIQLDSVKELQSDNTISQVSNPVEGIGRFGSCTKDIDTYPYRFQLEQDVQRLQQQLREELELHAILENAIEQNAIKCSSWSGLPYYAQELLSNISALEVTVSKLEQELVALHFQLSQERNERRLAEYRLRHPSPQSTSPNSSDIMKLPISSSLRSSDHSSPEIHHSSEDGSCQETKDQPSELSGQASSRQSATEYAEDSVASCHDMKMSWKMDPKSFQLAEYRKIPKGMPSKGLWDHPNQLSEDMVRCMKNIFISLADSAIPSKSPAQESYSSSLSPRGHLSNSSWWSSSERSMISSWVQSPQVDIQSNSEVLASENACDPYRVRGKLSWADIGNYGLANEVSWMSVGKKQLEYAAGALRRFRILVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPLITFALSCGMYSSPAVRIYTAKNVRDELQEAQRDFVRASVGVSSKGRLLVPKMLHCFAKGIVDDSNLAVWISHYLPPHQATFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKIPL >ONI15153 pep chromosome:Prunus_persica_NCBIv2:G3:2048062:2054946:1 gene:PRUPE_3G027500 transcript:ONI15153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSPFVQTGLNQSVSLCKYSHSSITCNSEIQLDSVKELQSDNTISQVSNPVEGIGRFGSCTKDIDTYPYRFQLEQDVQRLQQQLREELELHAILENAIEQNAIKCSSWSGLPYYISSSLRSSDHSSPEIHHSSEDGSCQETKDQPSELSGQASSRQSATEYAEDSVASCHDMKMSWKMDPKSFQLAEYRKIPKGMPSKGLWDHPNQLSEDMVRCMKNIFISLADSAIPSKSPAQESYSSSLSPRGHLSNSSWWSSSERSMISSWVQSPQVDIQSNSEVLASENACDPYRVRGKLSWADIGNYGLANEVSWMSVGKKQLEYAAGALRRFRILVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPLITFALSCGMYSSPAVRIYTAKNVRDELQEAQRDFVRASVGVSSKGRLLVPKMLHCFAKGIVDDSNLAVWISHYLPPHQATFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKIPL >ONI15152 pep chromosome:Prunus_persica_NCBIv2:G3:2048019:2054964:1 gene:PRUPE_3G027500 transcript:ONI15152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSPFVQTGLNQSVSLCKYSHSSITCNSEIQLDSVKELQSDNTISQVSNPVEGIGRFGSCTKDIDTYPYRFQLEQDVQRLQQQLREELELHAILENAIEQNAIKCSSWSGLPYYAQELLSNISALEVTVSKLEQELVALHFQLSQERNERRLAEYRLRHPSPQSTSPNSSDIMKLPISSSLRSSDHSSPEIHHSSEDGSCQETKDQPSELSGQASSRQSATEYAEDSVASCHDMKMSWKMDPKSFQLAEYRKIPKGMPSKGLWDHPNQLSEDMVRCMKNIFISLADSAIPSKSPAQESYSSSLSPRGHLSNSSWWSSSERSMISSWVQSPQVDIQSNSEVLASENACDPYRVRGKLSWADIGNYGLANEVSWMSVGKKQLEYAAGALRRFRILVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPLITFALSCGMYSSPAVRIYTAKNVRDELQEAQRDFVRASVGVSSKGRLLVPKMLHCFAKGIVDDSNLAVWISHYLPPHQATFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKIPL >ONI15146 pep chromosome:Prunus_persica_NCBIv2:G3:2046807:2054946:1 gene:PRUPE_3G027500 transcript:ONI15146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSPFVQTGLNQSVSLCKYSHSSITCNSEIQLDSVKELQSDNTISQVSNPVEGIGRFGSCTKDIDTYPYRFQLEQDVQRLQQQLREELELHAILENAIEQNAIKCSSWSGLPYYAQELLSNISALEVTVSKLEQELVALHFQLSQERNERRLAEYRLRHPSPQSTSPNSSDIMKLPISSSLRSSDHSSPEIHHSSEDGSCQETKDQPSELSGQASSRQSATEYAEDSVASCHDMKMSWKMDPKSFQLAEYRKIPKGMPSKGLWDHPNQLSEDMVRCMKNIFISLADSAIPSKSPAQESYSSSLSPRGHLSNSSWWSSSERSMISSWVQSPQVDIQSNSEVLASENACDPYRVRGKLSWADIGNYGLANEVSWMSVGKKQLEYAAGALRRFRILVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPLITFALSCGMYSSPAVRIYTAKNVRDELQEAQRDFVRASVGVSSKGRLLVPKMLHCFAKGIVDDSNLAVWISHYLPPHQATFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKIPL >ONI15145 pep chromosome:Prunus_persica_NCBIv2:G3:2047266:2054946:1 gene:PRUPE_3G027500 transcript:ONI15145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSPFVQTGLNQSVSLCKYSHSSITCNSEIQLDSVKELQSDNTISQVSNPVEGIGRFGSCTKDIDTYPYRFQLEQDVQRLQQQLREELELHAILENAIEQNAIKCSSWSGLPYYAQELLSNISALEVTVSKLEQELVALHFQLSQERNERRLAEYRLRHPSPQSTSPNSSDIMKLPRNELPFYFIQISSSLRSSDHSSPEIHHSSEDGSCQETKDQPSELSGQASSRQSATEYAEDSVASCHDMKMSWKMDPKSFQLAEYRKIPKGMPSKGLWDHPNQLSEDMVRCMKNIFISLADSAIPSKSPAQESYSSSLSPRGHLSNSSWWSSSERSMISSWVQSPQVDIQSNSEVLASENACDPYRVRGKLSWADIGNYGLANEVSWMSVGKKQLEYAAGALRRFRILVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPLITFALSCGMYSSPAVRIYTAKNVRDELQEAQRDFVRASVGVSSKGRLLVPKMLHCFAKGIVDDSNLAVWISHYLPPHQATFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKIPL >ONI15149 pep chromosome:Prunus_persica_NCBIv2:G3:2047879:2054946:1 gene:PRUPE_3G027500 transcript:ONI15149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSPFVQTGLNQSVSLCKYSHSSITCNSEIQLDSVKELQSDNTISQVSNPVEGIGRFGSCTKDIDTYPYRFQLEQDVQRLQQQLREELELHAILENAIEQNAIKCSSWSGLPYYAQELLSNISALEVTVSKLEQELVALHFQLSQERNERRLAEYRLRHPSPQSTSPNSSDIMKLPISSSLRSSDHSSPEIHHSSEDGSCQETKDQPSELSGQASSRQSATEYAEDSVASCHDMKMSWKMDPKSFQLAEYRKIPKGMPSKGLWDHPNQLSEDMVRCMKNIFISLADSAIPSKSPAQESYSSSLSPRGHLSNSSWWSSSERSMISSWVQSPQVDIQSNSEVLASENACDPYRVRGKLSWADIGNYGLANEVSWMSVGKKQLEYAAGALRRFRILVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPLITFALSCGMYSSPAVRIYTAKNVRDELQEAQRDFVRASVGVSSKGRLLVPKMLHCFAKGIVDDSNLAVWISHYLPPHQATFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKIPL >ONI15144 pep chromosome:Prunus_persica_NCBIv2:G3:2048019:2054946:1 gene:PRUPE_3G027500 transcript:ONI15144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSPFVQTGLNQSVSLCKYSHSSITCNSEIQLDSVKELQSDNTISQVSNPVEGIGRFGSCTKDIDTYPYRFQLEQDVQRLQQQLREELELHAILENAIEQNAIKCSSWSGLPYYAQELLSNISALEVTVSKLEQELVALHFQLSQERNERRLAEYRLRHPSPQSTSPNSSDIMKLPRNELPFYFIQISSSLRSSDHSSPEIHHSSEDGSCQETKDQPSELSGQASSRQSATEYAEDSVASCHDMKMSWKMDPKSFQLAEYRKIPKGMPSKGLWDHPNQLSEDMVRCMKNIFISLADSAIPSKSPAQESYSSSLSPRGHLSNSSWWSSSERSMISSWVQSPQVDIQSNSEVLASENACDPYRVRGKLSWADIGNYGLANEVSWMSVGKKQLEYAAGALRRFRILVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPLITFALSCGMYSSPAVRIYTAKNVRDELQEAQRDFVRASVGVSSKGRLLVPKMLHCFAKGIVDDSNLAVWISHYLPPHQATFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKIPL >ONI15151 pep chromosome:Prunus_persica_NCBIv2:G3:2047432:2054946:1 gene:PRUPE_3G027500 transcript:ONI15151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDSPFVQTGLNQSVSLCKYSHSSITCNSEIQLDSVKELQSDNTISQVSNPVEGIGRFGSCTKDIDTYPYRFQLEQDVQRLQQQLREELELHAILENAIEQNAIKCSSWSGLPYYAQELLSNISALEVTVSKLEQELVALHFQLSQERNERRLAEYRLRHPSPQSTSPNSSDIMKLPISSSLRSSDHSSPEIHHSSEDGSCQETKDQPSELSGQASSRQSATEYAEDSVASCHDMKMSWKMDPKSFQLAEYRKIPKGMPSKGLWDHPNQLSEDMVRCMKNIFISLADSAIPSKSPAQESYSSSLSPRGHLSNSSWWSSSERSMISSWVQSPQVDIQSNSEVLASENACDPYRVRGKLSWADIGNYGLANEVSWMSVGKKQLEYAAGALRRFRILVEQLAKVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPLITFALSCGMYSSPAVRIYTAKNVRDELQEAQRDFVRASVGVSSKGRLLVPKMLHCFAKGIVDDSNLAVWISHYLPPHQATFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKIPL >ONI16094 pep chromosome:Prunus_persica_NCBIv2:G3:5824185:5828687:1 gene:PRUPE_3G078700 transcript:ONI16094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSHSNMCSGSRTAKRTLEFGRTHVVRPKGKHQATIVWLHGLGDNGSSASQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFEMGELSDDGPDDWESLDASAAHIANLLSTEPADVKVGIGGFSMGAAMALYSATCYAAGRYGNGNPYPLNLRAVVGLSGWLPGARTLRNKIEGSHEAARRAASLPILQCHGKNDDVVPYKYGEKSVNSLNSAGFRYLTFKPLDGLGHYTIPKEMNEVSSWLSARLGLEGYRS >ONI16093 pep chromosome:Prunus_persica_NCBIv2:G3:5823560:5828687:1 gene:PRUPE_3G078700 transcript:ONI16093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSHSNMCSGSRTAKRTLEFGRTHVVRPKGKHQATIVWLHGLGDNGSSASQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFEMGELSDDGPDDWESLDASAAHIANLLSTEPADVKVGIGGFSMGAAMALYSATCYAAGRYGNGNPYPLNLRAVVGLSGWLPGARTLRNKIEGSHEAARRAASLPILQCHGKNDDVVPYKYGEKSVNSLNSAGFRYLTFKPLDGLGHYTIPKEMNEVSSWLSARLGLEGYRS >ONI16098 pep chromosome:Prunus_persica_NCBIv2:G3:5823454:5828692:1 gene:PRUPE_3G078700 transcript:ONI16098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSHSNMCSGSRTAKRTLEFGRTHVVRPKGKHQATIVWLHGLGDNGSSASQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFEMGELSDDGPDDWESLDASAAHIANLLSTEPADVKVGIGGFSMGAAMALYSATCYAAGRYGNGNPYPLNLRAVVGLSGWLPGARTLRNKIEGSHEAARRAASLPILQCHGKNDDVVPYKYGEKSVNSLNSAGFRYLTFKPLDGLGHYTIPKEMNEVSSWLSARLGLEGYRS >ONI16099 pep chromosome:Prunus_persica_NCBIv2:G3:5823746:5828687:1 gene:PRUPE_3G078700 transcript:ONI16099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSHSNMCSGSRTAKRTLEFGRTHVVRPKGKHQATIVWLHGLGDNGSSASQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFEMGELSDDGPDDWESLDASAAHIANLLSTEPADVKVGIGGFSMGAAMALYSATCYAAGRYGNGNPYPLNLRAVVGLSGWLPGARTLRNKIEGSHEAARRAASLPILQCHGKNDDVVPYKYGEKSVNSLNSAGFRYLTFKPLDGLGHYTIPKEMNEVSSWLSARLGLEGYRS >ONI16095 pep chromosome:Prunus_persica_NCBIv2:G3:5823801:5828687:1 gene:PRUPE_3G078700 transcript:ONI16095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSHSNMCSGSRTAKRTLEFGRTHVVRPKGKHQATIVWLHGLGDNGSSASQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFEMGELSDDGPDDWESLDASAAHIANLLSTEPADVKVGIGGFSMGAAMALYSATCYAAGRYGNGNPYPLNLRAVVGLSGWLPGARTLRNKIEGSHEAARRAASLPILQCHGKNDDVVPYKYGEKSVNSLNSAGFRYLTFKPLDGLGHYTIPKEMNEVSSWLSARLGLEGYRS >ONI16096 pep chromosome:Prunus_persica_NCBIv2:G3:5823769:5828687:1 gene:PRUPE_3G078700 transcript:ONI16096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSHSNMCSGSRTAKRTLEFGRTHVVRPKGKHQATIVWLHGLGDNGSSASQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFEMGELSDDGPDDWESLDASAAHIANLLSTEPADVKVGIGGFSMGAAMALYSATCYAAGRYGNGNPYPLNLRAVVGLSGWLPGARTLRNKIEGSHEAARRAASLPILQCHGKNDDVVPYKYGEKSVNSLNSAGFRYLTFKPLDGLGHYTIPKEMNEVSSWLSARLGLEGYRS >ONI16097 pep chromosome:Prunus_persica_NCBIv2:G3:5823485:5828687:1 gene:PRUPE_3G078700 transcript:ONI16097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSHSNMCSGSRTAKRTLEFGRTHVVRPKGKHQATIVWLHGLGDNGSSASQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFEMGELSDDGPDDWESLDASAAHIANLLSTEPADVKVGIGGFSMGAAMALYSATCYAAGRYGNGNPYPLNLRAVVGLSGWLPGARTLRNKIEGSHEAARRAASLPILQCHGKNDDVVPYKYGEKSVNSLNSAGFRYLTFKPLDGLGHYTIPKEMNEVSSWLSARLGLEGYRS >ONI17151 pep chromosome:Prunus_persica_NCBIv2:G3:14827524:14828398:-1 gene:PRUPE_3G140500 transcript:ONI17151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRPGPRCSWQKIWRVTSNGVGLREDGMICWSGALGFAGEHDWVAAGLVICYGRMFGADTQLFATRSATGDAQRMCGWVFELDEVRPRFGAVGSCGFFFGLEPWDVCG >ONI17381 pep chromosome:Prunus_persica_NCBIv2:G3:17367956:17373795:1 gene:PRUPE_3G155500 transcript:ONI17381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVRSCVQSILKLVNSVIGMVGLAMIMYSLWLIRSWQRNMGHLSFDHSHHHPIPWFIYSILGLGITLCVITCSGHIAADTANGCCLYSYMVFVFLLLMLEAGVAADIFLNHDWEEDFPDDPTGRFDQFKEFVRLNFDICKWIGLSIVCVQGLSLLLAMILKALGPHQYYDSDDEYVTERVPLLKNAVLPPPYVIGDPVYGSKNDAWNIRINDKATR >ONI17380 pep chromosome:Prunus_persica_NCBIv2:G3:17367943:17373907:1 gene:PRUPE_3G155500 transcript:ONI17380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVRSCVQSILKLVNSVIGMVGLAMIMYSLWLIRSWQRNMGHLSFDHSHHHPIPWFIYSILGLGITLCVITCSGHIAADTANGCCLYSYMVFVFLLLMLEAGVAADIFLNHDWEEDFPDDPTGRFDQFKEFVRLNFDICKWIGLSIVCVQGLSLLLAMILKALGPHQYYDSDDEYVTERVPLLKNAVLPPPYVIGDPVYGSKNDAWNIRINDKATR >ONI17379 pep chromosome:Prunus_persica_NCBIv2:G3:17367944:17373854:1 gene:PRUPE_3G155500 transcript:ONI17379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVRSCVQSILKLVNSVIGMVGLAMIMYSLWLIRSWQRNMGHLSFDHSHHHPIPWFIYSILGLGITLCVITCSGHIAADTANGCCLYSYMVFVFLLLMLEAGVAADIFLNHDWEEDFPDDPTGRFDQFKEFVRLNFDICKWIGLSIVCVQGLSLLLAMILKALGPHQYYDSDDEYVTERVPLLKNAVLPPPYVIGDPVYGSKNDAWNIRINDKATR >ONI17378 pep chromosome:Prunus_persica_NCBIv2:G3:17367951:17373943:1 gene:PRUPE_3G155500 transcript:ONI17378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVRSCVQSILKLVNSVIGMVGLAMIMYSLWLIRSWQRNMGHLSFDHSHHHPIPWFIYSILGLGITLCVITCSGHIAADTANGCCLYSYMVFVFLLLMLEAGVAADIFLNHDWEEDFPDDPTGRFDQFKEFVRLNFDICKWIGLSIVCVQGLSLLLAMILKALGPHQYYDSDDEYVTERVPLLKNAVLPPPYVIGDPVYGSKNDAWNIRINDKATR >ONI19655 pep chromosome:Prunus_persica_NCBIv2:G3:26018709:26020258:1 gene:PRUPE_3G289600 transcript:ONI19655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKGLHGKTSKSAVNDDGLGLNGPYSGPGYLGVGTAANSQSTSVSVWVRIGSSKNCIESETKEGKEALVSIQNPPRQGKRIMAEDGPKAMDSSPKTHFDPTRNIRKPSQKALRTLFVNGIPQKSNKREALLSHFQKFGEVIDIYIPLNSERAFVQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSIPDDGTGTASNFPGLIPPHPTVTSISKDNLQSAAPKSSIVHTSDAFLPSADNSKPVISNGPKAPPLQKKLENLEQLKEELRKKQEMLDQKRNDFRRKLDKLEKQATGPKGEADIEQAAKRPKVGITADVGKVANPKSSNPTPMEELHAEMTDKNKCVENVVSCSPKTSTTMVLQQSTGLNRDSFSSE >ONI20105 pep chromosome:Prunus_persica_NCBIv2:G3:27217235:27221798:1 gene:PRUPE_3G315100 transcript:ONI20105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWVVGAFINLFGSIAINFGTNLLKLGHNERERHSILESEGASGKLPLKPIIHFQTWRVGFLFFVLGNCLNFISFGYAAQSLLAALGSIQFISNIAFAYFVLNKMVTVKVMVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNIAFLLYCLILVLVVALQHSIYRRGELLHAVSGQDLRPYWHMLLPFSYAIVSGAVGSFSVLFAKSLSNLLRLAMSSSYQLHSWFTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVLNALRTTMFILGMMSVFVGISLLAPDDSKGAEVKDNSSLVSVMSSTIPKDAGRLAVPSEDIQIRDTRSFVQGILVKISDTIVKAKTACALSLGFGEDSINASAVLVMPMVSSKITGFRGNGFDRAKIFSMKNSGWTKISMDEDATKMLETSPVLPESP >ONI20102 pep chromosome:Prunus_persica_NCBIv2:G3:27217235:27221803:1 gene:PRUPE_3G315100 transcript:ONI20102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWVVGAFINLFGSIAINFGTNLLKLGHNERERHSILESEGASGKLPLKPIIHFQTWRVGFLFFVLGNCLNFISFGYAAQSLLAALGSIQFISNIAFAYFVLNKMVTVKVMVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNIAFLLYCLILVLVVALQHSIYRRGELLHAVSGQDLRPYWHMLLPFSYAIVSGAVGSFSVLFAKSLSNLLRLAMSSSYQLHSWFTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVLNALRTTMFILGMMSVFVGISLLAPDDSKGAEVKDNSSLVSVMSSTIPKDAGRLAVPSEDIQIRDTRSFVQGILVKISDTIVKAKTACALSLGFGEDSINASAVLVMPMVSSKITGFRGNGFDRAKIFSMKNSGWTKISMDEDATKMLETSPVLPESP >ONI20103 pep chromosome:Prunus_persica_NCBIv2:G3:27217224:27221811:1 gene:PRUPE_3G315100 transcript:ONI20103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWVVGAFINLFGSIAINFGTNLLKLGHNERERHSILESEGASGKLPLKPIIHFQTWRVGFLFFVLGNCLNFISFGYAAQSLLAALGSIQFISNIAFAYFVLNKMVTVKVMVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNIAFLLYCLILVLVVALQHSIYRRGELLHAVSGQDLRPYWHMLLPFSYAIVSGAVGSFSVLFAKSLSNLLRLAMSSSYQLHSWFTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVLNALRTTMFILGMMSVFVGISLLAPDDSKGAEVKDNSSLVSVMSSTIPKDAGRLAVPSEDIQIRDTRSFVQGILVKISDTIVKAKTACALSLGFGEDSINASAVLVMPMVSSKITGFRGNGFDRAKIFSMKNSGWTKISMDEDATKMLETSPVLPESP >ONI20104 pep chromosome:Prunus_persica_NCBIv2:G3:27217240:27221798:1 gene:PRUPE_3G315100 transcript:ONI20104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWVVGAFINLFGSIAINFGTNLLKLGHNERERHSILESEGASGKLPLKPIIHFQTWRVGFLFFVLGNCLNFISFGYAAQSLLAALGSIQFISNIAFAYFVLNKMVTVKVMVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNIAFLLYCLILVLVVALQHSIYRRGELLHAVSGQDLRPYWHMLLPFSYAIVSGAVGSFSVLFAKSLSNLLRLAMSSSYQLHSWFTYSMLLLFLSTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVLNALRTTMFILGMMSVFVGISLLAPDDSKGAEVKDNSSLVSVMSSTIPKDAGRLAVPSEDIQIRDTRSFVQGILVKISDTIVKAKTACALSLGFGEDSINASAVLVMPMVSSKITGFRGNGFDRAKIFSMKNSGWTKISMDEDATKMLETSPVLPESP >ONI15885 pep chromosome:Prunus_persica_NCBIv2:G3:4837187:4840298:1 gene:PRUPE_3G067100 transcript:ONI15885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHPKVDGLFTCHGAFAAMRIACRVSFYFLFFILYYEGRGYSNLEPLPTLRREIRTNQVLLGACRARLLGDLKFMTKCHFISLR >ONI15886 pep chromosome:Prunus_persica_NCBIv2:G3:4837183:4840298:1 gene:PRUPE_3G067100 transcript:ONI15886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHPKVDGLFTCHGAFAAMRIACRVSFYFLFFILYYEGRGYSNLEPLPTLRREIRTNQVLLGACRARLLGDLKFMTKCHFISLR >ONI18824 pep chromosome:Prunus_persica_NCBIv2:G3:23496771:23498536:1 gene:PRUPE_3G241600 transcript:ONI18824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGVGIYMLVRRVFLSLVSYTLIPPGSLDVLALKLAPFMCWNLPVALFNDPADLLYVASIFPAKG >ONI16040 pep chromosome:Prunus_persica_NCBIv2:G3:5511645:5517739:-1 gene:PRUPE_3G075200 transcript:ONI16040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANMKRENMVTSNVRELPGRITRARAASLHTSGQMPPIKPPTQQSQKQAVRTNPKRAATDENSTKAAGNACMQRKRRAVLQDVTNACCKPSYGSCFNATKIQVKNSKPAKKGQAKLTKMVPSVALDSKTEAVQGIIKPELTSDLHSINLEDGNMLMWSTKDVKDVRQSENQSSGSRVASKVQSPSNKAHDASLSADMVPSSNQHIVNIDADYKDPQLCSLYAPDIYNHLRVAELDRRPNATFMETIQQDITKSMRGILIDWLVEVSEEYKLVADTLYLTVYLIDWFLCHNYTERTRLQLLGITCMLIASKYEEICAPRVEELCFMTDNTYSKEEVLKMESQVLKYFGFQLFAPTTKTFLRRFLRAAQASYKTPSLELEYLANYLAELTLVDYSFLNFLPSVIAASAVFLSKWTLDQSSHPWNPILEHYTRYTPLDLKITVLALQDLQLNTNGCPLSAVRMKYRHQKFKSVAGLSSPKLLETLF >ONI16038 pep chromosome:Prunus_persica_NCBIv2:G3:5511572:5519274:-1 gene:PRUPE_3G075200 transcript:ONI16038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANMKRENMVTSNVRELPGRITRARAASLHTSGQMPPIKPPTQQSQKQAVRTNPKRAATDENSTKAAGNACMQRKRRAVLQDVTNACCKPSYGSCFNATKIQVKNSKPAKKGQAKLTKMVPSVALDSKTEAVQGIIKPELTSDLHSINLEDGNMLMWSTKDVKDVRQSENQSSGSRVASKVQSPSNKAHDASLSADMVPSSNQHIVNIDADYKDPQLCSLYAPDIYNHLRVAELDRRPNATFMETIQQDITKSMRGILIDWLVEVSEEYKLVADTLYLTVYLIDWFLCHNYTERTRLQLLGITCMLIASKYEEICAPRVEELCFMTDNTYSKEEVLKMESQVLKYFGFQLFAPTTKTFLRRFLRAAQASYKTPSLELEYLANYLAELTLVDYSFLNFLPSVIAASAVFLSKWTLDQSSHPWNPILEHYTRYTPLDLKITVLALQDLQLNTNGCPLSAVRMKYRHQKFKSVAGLSSPKLLETLF >ONI16036 pep chromosome:Prunus_persica_NCBIv2:G3:5511243:5518951:-1 gene:PRUPE_3G075200 transcript:ONI16036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANMKRENMVTSNVRELPGRITRARAASLHTSGQMPPIKPPTQQSQKQAVRTNPKRAATDENSTKAAGNACMQRKRRAVLQDVTNACCKPSYGSCFNATKIQVKNSKPAKKGQAKLTKMVPSVALDSKTEAVQGIIKPELTSDLHSINLEDGNMLMWSTKDVKDVRQSENQSSGSRVASKVQSPSNKAHDASLSADMVPSSNQHIVNIDADYKDPQLCSLYAPDIYNHLRVAELDRRPNATFMETIQQDITKSMRGILIDWLVEVSEEYKLVADTLYLTVYLIDWFLCHNYTERTRLQLLGITCMLIASKYEEICAPRVEELCFMTDNTYSKEEVLKMESQVLKYFGFQLFAPTTKTFLRRFLRAAQASYKTPSLELEYLANYLAELTLVDYSFLNFLPSVIAASAVFLSKWTLDQSSHPWNPILEHYTRYTPLDLKITVLALQDLQLNTNGCPLSAVRMKYRHQKFKSVAGLSSPKLLETLF >ONI16039 pep chromosome:Prunus_persica_NCBIv2:G3:5511243:5518977:-1 gene:PRUPE_3G075200 transcript:ONI16039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANMKRENMVTSNVRELPGRITRARAASLHTSGQMPPIKPPTQQSQKQAVRTNPKRAATDENSTKAAGNACMQRKRRAVLQDVTNACCKPSYGSCFNATKIQVKNSKPAKKGQAKLTKMVPSVALDSKTEAVQGIIKPELTSDLHSINLEDGNMLMWSTKDVKDVRQSENQSSGSRVASKVQSPSNKAHDASLSADMVPSSNQHIVNIDADYKDPQLCSLYAPDIYNHLRVAELDRRPNATFMETIQQDITKSMRGILIDWLVEVSEEYKLVADTLYLTVYLIDWFLCHNYTERTRLQLLGITCMLIASKYEEICAPRVEELCFMTDNTYSKEEVLKMESQVLKYFGFQLFAPTTKTFLRRFLRAAQASYKTPSLELEYLANYLAELTLVDYSFLNFLPSVIAASAVFLSKWTLDQSSHPWNPILEHYTRYTPLDLKITVLALQDLQLNTNGCPLSAVRMKYRHQKFKSVAGLSSPKLLETLF >ONI16041 pep chromosome:Prunus_persica_NCBIv2:G3:5511243:5519459:-1 gene:PRUPE_3G075200 transcript:ONI16041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANMKRENMVTSNVRELPGRITRARAASLHTSGQMPPIKPPTQQSQKQAVRTNPKRAATDENSTKAAGNACMQRKRRAVLQDVTNACCKPSYGSCFNATKIQVKNSKPAKKGQAKLTKMVPSVALDSKTEAVQGIIKPELTSDLHSINLEDGNMLMWSTKDVKDVRQSENQSSGSRVASKVQSPSNKAHDASLSADMVPSSNQHIVNIDADYKDPQLCSLYAPDIYNHLRVAELDRRPNATFMETIQQDITKSMRGILIDWLVEVSEEYKLVADTLYLTVYLIDWFLCHNYTERTRLQLLGITCMLIASKYEEICAPRVEELCFMTDNTYSKEEVLKMESQVLKYFGFQLFAPTTKTFLRRFLRAAQASYKLLEFPSFRHCCISCFSFQMDLRSVQPSMESNTRTLYPLHAIGFEDHSSCSARFTVEHQWLSSECCTHEV >ONI16035 pep chromosome:Prunus_persica_NCBIv2:G3:5511243:5519459:-1 gene:PRUPE_3G075200 transcript:ONI16035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANMKRENMVTSNVRELPGRITRARAASLHTSGQMPPIKPPTQQSQKQAVRTNPKRAATDENSTKAAGNACMQRKRRAVLQDVTNACCKPSYGSCFNATKIQVKNSKPAKKGQAKLTKMVPSVALDSKTEAVQGIIKPELTSDLHSINLEDGNMLMWSTKDVKDVRQSENQSSGSRVASKVQSPSNKDYKDPQLCSLYAPDIYNHLRVAELDRRPNATFMETIQQDITKSMRGILIDWLVEVSEEYKLVADTLYLTVYLIDWFLCHNYTERTRLQLLGITCMLIASKYEEICAPRVEELCFMTDNTYSKEEVLKMESQVLKYFGFQLFAPTTKTFLRRFLRAAQASYKTPSLELEYLANYLAELTLVDYSFLNFLPSVIAASAVFLSKWTLDQSSHPWNPILEHYTRYTPLDLKITVLALQDLQLNTNGCPLSAVRMKYRHQKFKSVAGLSSPKLLETLF >ONI16037 pep chromosome:Prunus_persica_NCBIv2:G3:5511243:5518943:-1 gene:PRUPE_3G075200 transcript:ONI16037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANMKRENMVTSNVRELPGRITRARAASLHTSGQMPPIKPPTQQSQKQAVRTNPKRAATDENSTKAAGNACMQRKRRAVLQDVTNACCKPSYGSCFNATKIQVKNSKPAKKGQAKLTKMVPSVALDSKTEAVQGIIKPELTSDLHSINLEDGNMLMWSTKDVKDVRQSENQSSGSRVASKVQSPSNKAHDASLSADMVPSSNQHIVNIDADYKDPQLCSLYAPDIYNHLRVAELDRRPNATFMETIQQDITKSMRGILIDWLVEVSEEYKLVADTLYLTVYLIDWFLCHNYTERTRLQLLGITCMLIASKYEEICAPRVEELCFMTDNTYSKEEVLKMESQVLKYFGFQLFAPTTKTFLRRFLRAAQASYKTPSLELEYLANYLAELTLVDYSFLNFLPSVIAASAVFLSKWTLDQSSHPWNPILEHYTRYTPLDLKITVLALQDLQLNTNGCPLSAVRMKYRHQKFKSVAGLSSPKLLETLF >ONI15544 pep chromosome:Prunus_persica_NCBIv2:G3:3450011:3458109:1 gene:PRUPE_3G048800 transcript:ONI15544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLCVLQIDYQVGAKFKLYSFVNHGYARKFEISDDKFWKDGQPFQIIGGDLHYFRVLPEYWEDRLLRAKALGLNTIQTYVPWNLHEPRAGTLDFEGIADLVSFLKLSQRLGILVMLRAGPYICAEWDLGGFPPWLLAINPAPRLRSSDPAFLQLVERWWGSLLPKVAPLLYGNGGPIIMVQIENEFGSYGDDKAYLHHLVSLARKHLGDDVILYTTDGGSRETLEKGTIRGDAVFSAVDFTTGDNPWPIFELQKQFNAPGKSPPLSSEFYTGWLTHWGEKNAQTSADFTAAALKNILERNGSAVLYMAHGGTNFGFYNGANTGSDESDYKPDLTSYDYDAPIGESGDVNNAKFKALRRVVEQYSSVSLPAVPSDNEKKAYGSIHVTKTGNLFELISYFDVVESDNPISMESTGQMFGFLLYVTEYTAKDNGGESIVSIPKVHDRAQVFISCPSEDGHGKPTYVGTLERWSNQPLTLPNTKCLSNISLLVLVENMGRLNYGPYIFDRKGILSPVYLDGRILRKWKMYLVPIINLNESPKINPIIRAANSGVITMSAHRRLKPKSGNASKEPAFYAGYFYISKEDIIKDTFISLTGWGKGIVTINNFNIGRFWPLKGPQCNLYVPAPVLQNGKNVVVIFELESPNPELVVQLVDQPEFTCGPRKNVHQL >ONI15543 pep chromosome:Prunus_persica_NCBIv2:G3:3449331:3458109:1 gene:PRUPE_3G048800 transcript:ONI15543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYKNQYHSLVSSSKWSTMVRKGSTKLTLFFIIFLSLIAFGIFVPVFALLPSLSSQSLHHHQQQLLPATKKNHGYARKFEISDDKFWKDGQPFQIIGGDLHYFRVLPEYWEDRLLRAKALGLNTIQTYVPWNLHEPRAGTLDFEGIADLVSFLKLSQRLGILVMLRAGPYICAEWDLGGFPPWLLAINPAPRLRSSDPAFLQLVERWWGSLLPKVAPLLYGNGGPIIMVQIENEFGSYGDDKAYLHHLVSLARKHLGDDVILYTTDGGSRETLEKGTIRGDAVFSAVDFTTGDNPWPIFELQKQFNAPGKSPPLSSEFYTGWLTHWGEKNAQTSADFTAAALKNILERNGSAVLYMAHGGTNFGFYNGANTGSDESDYKPDLTSYDYDAPIGESGDVNNAKFKALRRVVEQYSSVSLPAVPSDNEKKAYGSIHVTKTGNLFELISYFDVVESDNPISMESTGQMFGFLLYVTEYTAKDNGGESIVSIPKVHDRAQVFISCPSEDGHGKPTYVGTLERWSNQPLTLPNTKCLSNISLLVLVENMGRLNYGPYIFDRKGILSPVYLDGRILRKWKMYLVPIINLNESPKINPIIRAANSGVITMSAHRRLKPKSGNASKEPAFYAGYFYISKEDIIKDTFISLTGWGKGIVTINNFNIGRFWPLKGPQCNLYVPAPVLQNGKNVVVIFELESPNPELVVQLVDQPEFTCGPRKNVHQL >ONI18630 pep chromosome:Prunus_persica_NCBIv2:G3:22721648:22723177:-1 gene:PRUPE_3G228200 transcript:ONI18630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDLNFTDSSLSQTDVFKPLDPEDFRKQAHQMVDFIADYYAKIESYPVLTQVQPNYLRSRLPSTAPYLPEPLQTIFHDISAHVIPGITHWLSPNFFAFFPATVSTAAFLGEMLCTCFNAVGFNWLASPAATELEMVVMDWLAHMLKLPTSFMFSSGTAGGGGGGVIQNTTSEAIFVTLVAARDRKLEALGTNDVKNLVVYSSDQTHSTFTKASRLAGICLSNVRSIPTSRDSDFALSPELLRKVVEADVESGLVPLYLCLTVGTTSTTAVDPLEPLADVANDYNMWVHVDAAYGGSACICPEFRHYLNGVERVESLSLSPHKWLLSYLDCCCLWVKRSDLLVNALSTNPEYLRNQPSESDSVVDYKDWQLGTGRRFKSLRLWLVLRSYGVANIQSHIRSDVRMARMFEGFVNSDLRFEVVVPRKFALVCFRLKSFSRAEPSYVDSLNRKLLEWVNSTGHAYLSHTVVGGVYMLRFAVGATLTEERHVVAAWQLIKDGADAVLKVKGV >ONI19942 pep chromosome:Prunus_persica_NCBIv2:G3:26794454:26799821:1 gene:PRUPE_3G306400 transcript:ONI19942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPEMGLFKFLQYAFVLVTVIVNVQNSAESTVLIRFDTAPPARSRYSSAVFRYSVLTLNGSNACNKNTCSVHCQLDGQTLSPCPAKVMVFKNLTVNRDHIFLLNVTTWDGDRNSSAYSWFIDTIPPTATIFSEKNYTSAEKLAIGIMFNEACTGKGGFRCLNSSNCDVIVDGPGRVQPSSLRMIKPSISYSLDVVLSLASMYGRVVIRMADDFCTDQAGNSFTRTNGSTIIIHFDRRPVLADLWTSVPAYELMINGIPRTILATNKMEDLNIFLDFSIPIINTTEQILNALVVNSGNLVPIHGRNQGNRRFKFQLRNISRTEIIKIELQAGLIIGRTGIPVSPIPSFTSLYDSMETSVGLSTSSPNVTKDRDINVIVEFTKPVFGFQASMVQVVGGRITRFRELSRALYSLNVLAVTEHTVSVAVPSGKVYDISGNLNMASNQLEVKHYSTPAISIALHSFVTVGMLATSLAAAILSISTANLGAVGTLASESSNIVASDSMNLHGMVGHLQVFVLSDWLSVNQPIEYLETTKGLRWLIPRQKLPWKKDSTLVWPCQEKLERKLSISSVRGSPHEGTRIGVDFYLSNSSYMQHEVPVPIEVDPKPGWLHGQHNMRMTPYGLPLHSNEYFTYFLRGEPLSASNVIKGMGNYKGWEDLQMNLFWLGIGGGSLVVTHVLILLFLRWRLGPPARGILSVPRFELFLLIVMLPCITQSSTFVMKGGTTGGIITGALLLAIPAALILSVCLFQIIAIFYGNFVQYKEVKHVARKEPWTEKLWYFLTGRPSAGKWFYKEGLPSSFLLRFGILFESFQGPPLFIFVDQNEPNSISKWTGSGHSGIGRMRPVSLEDSTEEIKTPLSKRLLGCARSSYIIVDLSRRVCLGIISGAYSSRKSSQSLFALAITLVQFMYLFTLKPYIKRGVHMAESVSLMCEVGIFALLININGSNPVKARNLGFVMLTLLFLTFVTQMINEWHALMKSLLRLSQPQKNSFRLGLKFAAKGLVLPFLPRKQWSRIIPASSQPKTGLAPVLPLSPDTNLERRDTRAPRTDPISAMTATVVPVISPGSPGLDVLQMTGSTNMEATVSMQRAAEAKRQKGLKLESKSDLKKLRELARASFSGDSIFEEASTSYCSRMQPLSGEPSLQTPQASTSRTKH >ONI16373 pep chromosome:Prunus_persica_NCBIv2:G3:7117153:7120454:1 gene:PRUPE_3G094800 transcript:ONI16373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLSTLDLSYNSLKLPAYSNFLKLTKGNMRSLVQNSTNLKQLHLNWVVIQSTVPDILVNASSLTSLQLGNCELNGEFPAGIFYLPNLEVLVLNGNSNLTGYFPTFNRSNFFKELVVAYTNFSGQLPSSLGNLHSLQLLDISYCHFSPLVPSSLGNLTQLSYLDMSFFFLGPEILDSSSFNGVSNNFSTGQITWSWVGKLTRLHLGNTGIKGEFPSFVANLTRLSTLILMGNNLQGEIPGSLFQLKNLEYLDLSSNNLSVEFDQFSKLKKLKVLRLSDNKLSLQSKPNLGATFPQLQVLELVSCNLTEFPEFLKNQYELSYLGLCYNSIHGRIPKWLWNATRETLITLHLAHNFLTGFDQDPIILPWQNLNSLDLQSNMLQGTLPVPPQSIRNYVVRDNNYSGDISPSFCNLNYLQALDLSNNSLSGMLPRCLGNSSSLEILDLRHNSFHGSIPQICLAENSLKMVDLSNNQLQGKVPVSMANCTNLEFLSLGNNQLSDIFPSWLGALPALQYLSLRSNGFHGMIGKPATNHEFPKLCIIDLSNNAFSGKLPSNYLDNWNSMKFVDDENHQIYFRVSPTSKRSNTYADSYDVPYSITTTAKGVELKYDATPYQLRLIDFSSNRFEGEIPAGIIGNLRALHSLNLSNNALTGQIPSSLGNLTALESLDLSQNQLSGSIPGNFAQLNFLAYFNVSHNHLWGPIPLGQQFGTFLEHSYQGNSGLCGKPLSKKCDSSISPPPSIFEEDEDSGFQIALDWYVVLPGVVSGLIVGVVAGNFWTSKNHEWFLEKFSRKRQPRGTRGRRGQRNYVLI >ONI16030 pep chromosome:Prunus_persica_NCBIv2:G3:5480786:5484245:1 gene:PRUPE_3G074800 transcript:ONI16030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGLGLEITELRLGLSSGPRLVDKNEKKRVFSQIAGGDGHNNSTSSDDDRKSETKNQVVGWPPVCSYRRKINSLNETSKMYVKVSMDGAPFLRKIDLSIHNQYADLAVALEKLFGCFGIGEVLKDAENCEFAPIYEDKDGDWMLVGDVPWEMFIESCKRLRIMKRSDAKGFGLQPKGFLKATMKDDPK >ONI19103 pep chromosome:Prunus_persica_NCBIv2:G3:24562918:24567552:-1 gene:PRUPE_3G258800 transcript:ONI19103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSINLSMTRETTKPDEGNSRRNSTGKMFSLNSEERIPHYLRASTGSCHDHCKYGKKHELEVKARCPIKSVPRRLPTKSPNSQNSVESAVFPERKNTAVIKLKHSPDLKTLLPDTCNITKQQPSNNSIDSQNSVGSELLADRKKTSLTKLKSSSRSQPHVYAAPKTMKQEVSSSPDMLEVSSKKGSIKLKDKSLSAKHVTSSKPKSFVVKELSSPDTSGGSSALRSGDLKIGQRTGTSLKPKSLALKQMSSPESSGGFKGQRNSDVKISKRAGTPIVPPTAPMSPRPSLRRVASLKAQKNRTVKVVSPLKNHNKIRKAKPKQLNNDEVEEKTLYVIKIETENKPLESGQNKNCEVEPSPPSSSSSPQSLCLPNPVSFSPHEGEDHESEYTMSETEDYSFSEDSEVDYRENAETLKEGDKGKPRKSGMVCSEEKDGQPLKFRRGKVVDGKFENDSPRRLKFRRGRVLGDNQNVKADAQRRSFKKRGANGDTLATEPGAEKVVLRHQDVEGKKDEKGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQERKPSASSVA >ONI19102 pep chromosome:Prunus_persica_NCBIv2:G3:24563324:24565012:-1 gene:PRUPE_3G258800 transcript:ONI19102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSINLSMTRETTKPDEGNSRRNSTGKMFSLNSEERIPHYLRASTGSCHDHCKYGKKHELEVKARCPIKSVPRRLPTKSPNSQNSVESAVFPERKNTAVIKLKHSPDLKTLLPDTCNITKQQPSNNSIDSQNSVGSELLADRKKTSLTKLKSSSRSQPHVYAAPKTMKQEVSSSPDMLEVSSKKGSIKLKDKSLSAKHVTSSKPKSFVVKELSSPDTSGGSSALRSGDLKIGQRTGTSLKPKSLALKQMSSPESSGGFKGQRNSDVKISKRAGTPIVPPTAPMSPRPSLRRVASLKAQKNRTVKVVSPLKNHNKIRKAKPKQLNNDEVEEKTLYVIKIETENKPLESGQNKNCEVEPSPPSSSSSPQSLCLPNPVSFSPHEGEDHESEYTMSETEDYSFSEDSEVDYRENAETLKEGDKGKPRKSGMVCSEEKDGQPLKFRRGKVVDGKFENDSPRRLKFRRGRVLGDNQNVKADAQRRSFKKRGANGDTLATEPGAEKVVLRHQDVEGKKDEKGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQERKPSASSVA >ONI19101 pep chromosome:Prunus_persica_NCBIv2:G3:24563324:24565012:-1 gene:PRUPE_3G258800 transcript:ONI19101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSINLSMTRETTKPDEGNSRRNSTGKMFSLNSEERIPHYLRASTGSCHDHCKYGKKHELEVKARCPIKSVPRRLPTKSPNSQNSVESAVFPERKNTAVIKLKHSPDLKTLLPDTCNITKQQPSNNSIDSQNSVGSELLADRKKTSLTKLKSSSRSQPHVYAAPKTMKQEVSSSPDMLEVSSKKGSIKLKDKSLSAKHVTSSKPKSFVVKELSSPDTSGGSSALRSGDLKIGQRTGTSLKPKSLALKQMSSPESSGGFKGQRNSDVKISKRAGTPIVPPTAPMSPRPSLRRVASLKAQKNRTVKVVSPLKNHNKIRKAKPKQLNNDEVEEKTLYVIKIETENKPLESGQNKNCEVEPSPPSSSSSPQSLCLPNPVSFSPHEGEDHESEYTMSETEDYSFSEDSEVDYRENAETLKEGDKGKPRKSGMVCSEEKDGQPLKFRRGKVVDGKFENDSPRRLKFRRGRVLGDNQNVKADAQRRSFKKRGANGDTLATEPGAEKVVLRHQDVEGKKDEKGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQERKPSASSVA >ONI16329 pep chromosome:Prunus_persica_NCBIv2:G3:6933929:6939100:-1 gene:PRUPE_3G092800 transcript:ONI16329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISADLATTLLGLTSRHSTRLPRVGAAALFPLTWRPRTPPHSQSLRYSTSSFCRTLVAKPKLGCRRFTVAATATTSQSESSDVLTQIVPDNRIPATIITGFLGSGKTTLLNHILTAEHGKRIAVIENEYGEIDIDGSLVAAKTTGAEDIVMLNNGCLCCTVRGDLVRMISDLVHKKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAVFHLNEVKPKGVVNEAIEQIAYADRIIVNKTDLVGEPEIASLVQRIRNINGMAQLKRTEFGKVNLDYVLGIGGFDLERIESAIDAEGEKEDHDHHDHHHHHDEKHDHKHEHHDDHHTHDHVHDPGVSSVSIVCEGNLDLEKANIWLGTLLLERSEDIYRMKGLLSVQGMNERFVFQGVHDIFQGSPDRLWGPNEPRTNKIVFIGKNLDAQELEKGFKGCLL >ONI16330 pep chromosome:Prunus_persica_NCBIv2:G3:6934437:6939100:-1 gene:PRUPE_3G092800 transcript:ONI16330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISADLATTLLGLTSRHSTRLPRVGAAALFPLTWRPRTPPHSQSLRYSTSSFCRTLVAKPKLGCRRFTVAATATTSQSESSDVLTQIVPDNRIPATIITGFLGSGKTTLLNHILTAEHGKRIAVIENEYGEIDIDGSLVAAKTTGAEDIVMLNNGCLCCTVRGDLVRMISDLVHKKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAVFHLNEVKPKGVVNEAIEQIAYADRIIVNKTDLVGEPEIASLVQRIRNINGMAQLKRTEFGKVNLDYVLGIGGFDLERIESAIDAEGEKEDHDHHDHHHHHDEKHDHKHEHHDDHHTHDHVHDPGVSSVSIVCEGNLDLEKANIWLGTLLLERSEDIYRMKGLLSVQGMNERFVFQVS >ONI16280 pep chromosome:Prunus_persica_NCBIv2:G3:6612372:6613217:1 gene:PRUPE_3G089100 transcript:ONI16280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWSEEEDSQKTITIMPCLSSLRMNSCPSLRTLPNFLRNTPLKELVIDEHYSPTLAQGCRKGRGEWPKISHIPNIKVGFEFVQKDGVYQTDDDEMPSAASTSSSEIPCLFIRIRKKKNKLMMNP >ONI16279 pep chromosome:Prunus_persica_NCBIv2:G3:6612372:6614270:1 gene:PRUPE_3G089100 transcript:ONI16279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWSEEEDSQKTITIMPCLSSLRMNSCPSLRTLPNFLRNTPLKELVIDEHYSPTLAQGCRKGRGEWPKISHIPNIKVGFEFVQKDGVYQTDDDEMPSAASTSSSEIPCLFIRIRKKKNKLMMNP >ONI16267 pep chromosome:Prunus_persica_NCBIv2:G3:6575953:6579091:1 gene:PRUPE_3G088400 transcript:ONI16267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILNLIERRCLAPLKRDDVVRVSDARSVTNHHSYKKLPQLPLLKLSVLKLDGSVFEIHVGRTATIAELKQAVEEFFGLLEGKIPWSLVWGHFCLCYVGQKLTNEKAYIRNFGIKDGDQLQFIRHMSISNSPLKRQSKSESVPYKQHSTLTYGSNGHKDEEESGLEGSENNAVHEDNSNEDLEEGPVPQFKVVHYLSGWLSCSKVMRGLKKGIRRQGPSIEIQAIRKLI >ONI16266 pep chromosome:Prunus_persica_NCBIv2:G3:6576004:6579054:1 gene:PRUPE_3G088400 transcript:ONI16266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILNLIERRCLAPLKRDDVVRVSDARSVTNHHSYKKLPQLPLLKLSVLKLDGSVFEIHVGRTATIAELKQAVEEFFGLLEGKIPWSLVWGHFCLCYVGQKLTNEKAYIRNFGIKDGDQLQFIRHMSISNSPLKRQSKSESVPYKQHSTLTYGSNGHKDEEESGLEGSENNAVHEDNSNEDLEEGPVPQFKVVHYLSGWLSCSKVMRGLKKGIRRQGPSIEIQAIRKLI >ONI16268 pep chromosome:Prunus_persica_NCBIv2:G3:6575897:6579104:1 gene:PRUPE_3G088400 transcript:ONI16268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILNLIERRCLAPLKRDDVVRVSDARSVTNHHSYKKLPQLPLLKLSVLKLDGSVFEIHVGRTATIAELKQAVEEFFGLLEGKIPWSLVWGHFCLCYVGQKLTNEKAYIRNFGIKDGDQLQFIRHMSISNSPLKRQSKSESVPYKQHSTLTYGSNGHKDEEESGLEGSENNAVHEDNSNEDLEEGPVPQFKVVHYLSGWLSCSKVMRGLKKGIRRQGPSIEIQAIRKLI >ONI19746 pep chromosome:Prunus_persica_NCBIv2:G3:26276997:26281070:-1 gene:PRUPE_3G294800 transcript:ONI19746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKSELSFPNTHNLLKSVLIGLVVCLGLLCLYYGWSFGPGSRRSDEEASRSDGSDPIFGGFVLHRDFDDLHEDQEHNSEVPKSMPVCDLQFSELIPCIDRNLIYQLKLKPNLTLMEHYERHCPPPERRYNCLIPPPLGYKIPIRWPESRDEVWKANIPHTHLAQEKSDQNWMVVNGDKINFPGGGTHFHDGADKYIVALSRMLKFPADKFNNGGNIRNVLDVGCGVASFGAYLLSHHVIAMSLAPNDAHENQIQFALERGIPSTLGILGTKRLTYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYTSPEAYAQDPENRRIWNAMSDLLKRMCWKVAAKKEQSVVWAKPLTNSCYLKRDPQTQPPLCDSDDDPDSSWNVSMKACISRYSAKVHKEKGSGLAPWPQRLTTAPPRLEEIGVSPEEFQEDTGIWRFRVIEYWKQMKSVIQKNSIRNVMDMNSNFGGFAAALNGKDVWVMNVAPVRVSSRLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIDERGCGAEDLLIEMDRILRPDGFVIIRDKPAVINYIRKFLTALKWDGWLSEVEPRVDALSSGEERVLIARKKLWDEGLTAM >ONI19747 pep chromosome:Prunus_persica_NCBIv2:G3:26277045:26281014:-1 gene:PRUPE_3G294800 transcript:ONI19747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNGDKINFPGGGTHFHDGADKYIVALSRMLKFPADKFNNGGNIRNVLDVGCGVASFGAYLLSHHVIAMSLAPNDAHENQIQFALERGIPSTLGILGTKRLTYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYTSPEAYAQDPENRRIWNAMSDLLKRMCWKVAAKKEQSVVWAKPLTNSCYLKRDPQTQPPLCDSDDDPDSSWNVSMKACISRYSAKVHKEKGSGLAPWPQRLTTAPPRLEEIGVSPEEFQEDTGIWRFRVIEYWKQMKSVIQKNSIRNVMDMNSNFGGFAAALNGKDVWVMNVAPVRVSSRLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIDERGCGAEDLLIEMDRILRPDGFVIIRDKPAVINYIRKFLTALKWDGWLSEVEPRVDALSSGEERVLIARKKLWDEGLTAM >ONI19745 pep chromosome:Prunus_persica_NCBIv2:G3:26277015:26281070:-1 gene:PRUPE_3G294800 transcript:ONI19745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKSELSFPNTHNLLKSVLIGLVVCLGLLCLYYGWSFGPGSRRSDEEASRSDGSDPIFGGFVLHRDFDDLHEDQEHNSEVPKSMPFSELIPCIDRNLIYQLKLKPNLTLMEHYERHCPPPERRYNCLIPPPLGYKIPIRWPESRDEVWKANIPHTHLAQEKSDQNWMVVNGDKINFPGGGTHFHDGADKYIVALSRMLKFPADKFNNGGNIRNVLDVGCGVASFGAYLLSHHVIAMSLAPNDAHENQIQFALERGIPSTLGILGTKRLTYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYTSPEAYAQDPENRRIWNAMSDLLKRMCWKVAAKKEQSVVWAKPLTNSCYLKRDPQTQPPLCDSDDDPDSSWNVSMKACISRYSAKVHKEKGSGLAPWPQRLTTAPPRLEEIGVSPEEFQEDTGIWRFRVIEYWKQMKSVIQKNSIRNVMDMNSNFGGFAAALNGKDVWVMNVAPVRVSSRLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIDERGCGAEDLLIEMDRILRPDGFVIIRDKPAVINYIRKFLTALKWDGWLSEVEPRVDALSSGEERVLIARKKLWDEGLTAM >ONI16371 pep chromosome:Prunus_persica_NCBIv2:G3:7085566:7094401:1 gene:PRUPE_3G094600 transcript:ONI16371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLHLLKPPIFAGARSTLARANAATPRACLSVDVRTVDQSKPGVAVGSQEVMEAEGRVLVGTYKRPPVVLYSGKGCKLYDPEGREYLDLYSGIAVNALGHGDPDWIRAVTEQARTLTHVSNAYYSIPQVELAKRLVACSFADRVFFSNSGTEANEAAIKFARKFQRFSFPEKKEPATEFISFTNSFHGRTMGAVALTSKEHYRSPFEPVMPGVTFLEYGDIQAATKLIHSGKIAAVFLEPLQGEGGILSATKEFLQSLRGACDDSGSLLVFDEVQCGLGRTGYLWAHEAYGVFPDIMTLAKPLAGGLPIGAVLVTEKVAASIKYGEHGSTFAGGPLICNAALSVLNKISKTEFLSGVSNKGQYFKELLTKKLGKNPHVREVRGSGLIVGVELDVSASPLVDACRNLGLLIITAGKGNVLRLVPPLIISKQELEYAAEVLLECLPVLDGSN >ONI17824 pep chromosome:Prunus_persica_NCBIv2:G3:19685479:19686561:-1 gene:PRUPE_3G181000 transcript:ONI17824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAQSQINEIVILSQISHRNVVKILGCCLETEVPLLVYEFILNGTLFEYIHHQNEELPLTWEMRLRIAIQVAGALSYLHSAASSPIFHRDIKSSNILLDEKYTAKVADFGTSRSMSIDKTHVTTKVQGTFGYLDPEFFQSSKFTDKSDVYSFGVTLSELLTGEKPVSLTSSQEWRSLAAYFLLSMEENRLFDILDAQVMKDGGKDEIVAVANLAKRCLNLKGKKRPTMKQVAVELEGIQQSVKASDMRQTFANVEYVRCEITEHGDIVSASKGSSCINDGVGSSLDDEPLLSSKNFL >ONI18266 pep chromosome:Prunus_persica_NCBIv2:G3:21340916:21342694:-1 gene:PRUPE_3G206200 transcript:ONI18266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGLEDEEEGGADDYIEFEDEDIDKI >ONI18267 pep chromosome:Prunus_persica_NCBIv2:G3:21341165:21341602:-1 gene:PRUPE_3G206200 transcript:ONI18267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGLEDEEEGGADDYIEFEDEDIDKI >ONI15676 pep chromosome:Prunus_persica_NCBIv2:G3:3849625:3852342:-1 gene:PRUPE_3G054800 transcript:ONI15676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIFSISISCDGILSGCWDCTAGQAAYLCMLKSNLHDLQTALEELADQKNDVQRRVENAEQQQHLKRLDQVQRWISRVEAMEAKVSSLVRDKDNSTQKVEKLCKFGGGLFSNCKTQYKFGKKLTKFLVEVNALQRRGVFEVVAERVPAAIVYERSTEPTVGMDSTFGKVWGYLEEEQVGIIGLYGMGGVGKTTLLTKINNNFLHTPNDFDLVIWIVVSKDLKLENIQDSIGEKTGCCDDSWKDKDYLRKAEDIFRVLKSKKFALLLDDIWERVDLAKIGVPIPDRQNKSKLVFTTRSEEVCSRMGAHKKIKVECLAWDRAWTLFQEKVGEETLYIHPDIPKLAEIVAKECDGLPLALITVGRAMACKKTPQEWNHAIQVLKRSASEFSEDFLIHKRRLIYCWVGEEILDEYDDITGAQNQGYDIIGTLVNACLLEGREDYVRMHDVIRDMAMWLACECGKAKENFLVHTGAHLIEAPDFEKWKGVKRMSLMANQIENLVERSICPSLSTLFLTNNRLKMISEGFFQHMPSLRVLDLSENKGITHLPMGISKLKSLQYLNLSQTGIRDLPIELKALDKLKYLNLEFTSKLNMVPRNVISSFLMLRVLRMYDCGSSDDILFGGEESLVEELVCLKHLDVLTITIRCVSAFKRFFTSPNLLTCTQVLCLESFTCVSSLDISPLTNMKHLDILNICDCESMEDLKIDLVQDGAAAQAPNGPCNSITMIKSCFHCLQRVSVYECPKLKDLTWLIFAPNLVTIDIHDCPEMEQIINCGQLSKVEEVVEDLSSFAKLNNLILINLPQLKSIYANALPSPYLKTIVVFNCPQLRQLPLDSSASKRHIVIEGEEDWWNELEWETEATRNDFLSSFRNLKL >ONI15675 pep chromosome:Prunus_persica_NCBIv2:G3:3849437:3852457:-1 gene:PRUPE_3G054800 transcript:ONI15675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIFSISISCDGILSGCWDCTAGQAAYLCMLKSNLHDLQTALEELADQKNDVQRRVENAEQQQHLKRLDQVQRWISRVEAMEAKVSSLVRDKDNSTQKVEKLCKFGGGLFSNCKTQYKFGKKLTKFLVEVNALQRRGVFEVVAERVPAAIVYERSTEPTVGMDSTFGKVWGYLEEEQVGIIGLYGMGGVGKTTLLTKINNNFLHTPNDFDLVIWIVVSKDLKLENIQDSIGEKTGCCDDSWKDKDYLRKAEDIFRVLKSKKFALLLDDIWERVDLAKIGVPIPDRQNKSKLVFTTRSEEVCSRMGAHKKIKVECLAWDRAWTLFQEKVGEETLYIHPDIPKLAEIVAKECDGLPLALITVGRAMACKKTPQEWNHAIQVLKRSASEFSDFLIHKRRLIYCWVGEEILDEYDDITGAQNQGYDIIGTLVNACLLEGREDYVRMHDVIRDMAMWLACECGKAKENFLVHTGAHLIEAPDFEKWKGVKRMSLMANQIENLVERSICPSLSTLFLTNNRLKMISEGFFQHMPSLRVLDLSENKGITHLPMGISKLKSLQYLNLSQTGIRDLPIELKALDKLKYLNLEFTSKLNMVPRNVISSFLMLRVLRMYDCGSSDDILFGGEESLVEELVCLKHLDVLTITIRCVSAFKRFFTSPNLLTCTQVLCLESFTCVSSLDISPLTNMKHLDILNICDCESMEDLKIDLVQDGAAAQAPNGPCNSITMIKSCFHCLQRVSVYECPKLKDLTWLIFAPNLVTIDIHDCPEMEQIINCGQLSKVEEVVEDLSSFAKLNNLILINLPQLKSIYANALPSPYLKTIVVFNCPQLRQLPLDSSASKRHIVIEGEEDWWNELEWETEATRNDFLSSFRNLKL >ONI15670 pep chromosome:Prunus_persica_NCBIv2:G3:3824012:3826252:1 gene:PRUPE_3G054400 transcript:ONI15670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSDWDGSYITNTSTLLWNNHQVHDLEESLLVSSSGPSAFIPIQEFQKVQTSHAIMNSNTERASKSAQIVHQQNPCLLDSVHAMPTLRADQLCQAPLMINKPNYNLMGSLSTGIFMAADHYGDTGKHVQTQVIHGLQDHGKAKPATTRSVESLDCLLSGTNSNTDTSVEDDDGISMLFSDCRRNLWNFGAGNNSAISSGESENNETVVSQSSSDLYVQNQGNKNSTKRSHDQSELNGANHSHFGLLQTDSSTTEGGFRLISENPPNPKKPRSDKRPSSSNINFQQPSSSVSASSIEEPDPEVIAQMKEMIYRAAAFRPVNLGMDMVERPKRKNVKISSDPQTVAARQRRERISERIRVLQRLVPGGGKMDTASMLDEAANYLKFLRSQVKALENLGQKIESMSNSNIPPASFAFSFNPSFPMQTHHHVPLHNPNHIHQPHS >ONI17494 pep chromosome:Prunus_persica_NCBIv2:G3:18144529:18147307:1 gene:PRUPE_3G162500 transcript:ONI17494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDHRGTCTDQNGSKGEYSSSSSSVLNGKAKIWEQDQDGCGGSGGMDELLAVLGYKVRASDMAEVAEKLEQLEMVMGSAQEDGISQLSDTVHYNPSDLSGWVQSMLSEFNTVDGSAIDDPLFVAADSSSITSIHFSNSHRIENPASRVFHDDSEYDLRAIPGVAAYPQTHLDSEAESRRKRLKTSIGSNSIGPNSGVGADSGSVSDPARPLVLVDSQETGVRLVHTLVACAEAVQQENLKIADALVKHVSLLAASQAGAMRKVATYFAEALARRIYRIYPQDSLDSSYSDILQMHFYETCPYLKFAHFTANQAILEAFATASRVHVIDFGLKQGMQWPALMQALALRPGGPPSFRLTGIGPPQPDNTDALQQVGWKLAQLAETIGVEFEFRGFVANSLADLEPSMLEIRPPDVETVAVNSCFELHPLLARPGAVEKVLSSIKAMKPKIVTIVEQEANHNGPIFLDRFNEALHYYSNLFDSLEGSSGPSQDLVMSEVYLGRQICNVVACEGQDRVERHETLSQWRGRMDSAGFDLVHLGSNAFKQASMLLALFAGGDGYRVEENNGSLMLGWHTRPLIATSAWQLASSTESTR >ONI19857 pep chromosome:Prunus_persica_NCBIv2:G3:26596048:26597135:-1 gene:PRUPE_3G301500 transcript:ONI19857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGWQMLRCAGGEVDKSVTTTRTMLRFRPIAPKPVDTGATAPTGNKNSVLTSGRKKRKYVRVQNNSGYTRRKKKEQEITKDDLAAPPNGVVRTLQLLPESTVTDHSTDGGSWYDLDHTVSNNNKNPPIGEDQGLPMWLSSYMGGGCSDPTVGMQLQQMMVKSWVTVECVAGTCMNVQGTSGVGRCTDADRMRNLEGDTCPGFISDGENRVQWLNGAFKRMVSQGNYTSEIAVWLAIKEKLPYTHWAFTCQVKLQYMVGKEKYSRMVPCDLWRMDGGGFAWRLDVKAALTLGR >ONI19636 pep chromosome:Prunus_persica_NCBIv2:G3:25983255:25984621:-1 gene:PRUPE_3G288800 transcript:ONI19636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEASENAEMSRIRRIAEWMESDTESDDLHGLHSRLIIRLQMEVGRHYIRRRLLADDNFNINTSINNFNNIGNNGPLAAAASKASIDAMPRVTVTSPGAECSICLEGLEVGAEAREMPCKHRFHSLCIELWLGRRGSCPLCRFSMP >ONI16745 pep chromosome:Prunus_persica_NCBIv2:G3:10336096:10337157:-1 gene:PRUPE_3G119000 transcript:ONI16745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLTKRNSNSYDDDCSNDVSQSQNQSIYLASESETNSPSDQFSSSSQTPPESVSSSNQFKARASLPSKTKNFSEPTTNQTPFYLPIAPLPIFRGGSNECPVTHLTRLAKFCSANFSCPTVDVTVRIFPVTLENEAALWYDLNIDPYPSLSWEEIRSLFFQAYDQIDQWRSELTMIKQGRDETVRAYFLRLQWILKRCPDHGLQDNVLKGVFIDGLRKEFKDWIVAEKPSSLNDALRLAFGFEKVKSVRAATAAKEKAVECGFCGGGHEEKVCEVRERMRKLWVKSKEEEGLVRMVSVLGKREEEGVEREEEGELVELKKKGQCQCWKHQCWKKKLERTKSLVTGNFNANKKF >ONI14659 pep chromosome:Prunus_persica_NCBIv2:G3:113116:121074:1 gene:PRUPE_3G001300 transcript:ONI14659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQQKVSVCCCLGLLVVALAAALKEKEKEQVLWSKSWLDLADKDAADSASSALPTQTQTQPPLNLMVPLTLIDSAASKGAVCLDGTLPGYHLHPGYGSGANSWLIQLEGGGWCNSIRNCVYRKTTRRGSSKFMEKQLPFTGILSNKAEENPDFFNWNRVKLRYCDGASFSGDSQNEAAQLHFRGQRIWLAAMEELMSKGMQKADQALLSGCSAGGLASILHCDEFQDLFPETTRVKCLSDAGMFLDAIDVSGGHTLKNLYAGVVSLQEVEKNLPKTCIDHLDPTSCFFPQNLVANVKTPMFLLNAAYDAWQVQASLAPPSADPRGLWGACKSNHALCNSSQIQFFQDFRNQMLNAVRDFSVSTKNGLFINSCFAHCQSERQDTWFADDSPVLGNRAIALSVGDWYFDRLPVKAIDCAYPCDNTCHNLMFKRRVE >ONI14664 pep chromosome:Prunus_persica_NCBIv2:G3:113116:117000:1 gene:PRUPE_3G001300 transcript:ONI14664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQQKVSVCCCLGLLVVALAAALKEKEKEQVLWSKSWLDLADKDAADSASSALPTQTQTQPPLNLMVPLTLIDSAASKGAVCLDGTLPGYHLHPGYGSGANSWLIQLEGGGWCNSIRNCVYRKTTRRGSSKFMEKQLPFTGILSNKAEENPDFFNWNRVKLRYCDGASFSGDSQNEAAQLHFRGQRIWLAAMEELMSKGMQKADQALLSGCSAGGLASILHCDEFQDLFPETTRVKCLSDAGMFLDAIDVSGGHTLKNLYAGVVSLQEVEKNLPKTCIDHLDPTSCFFPQNLVANVKTPMFLLNAAYDAWQVQASLAPPSADPRGLWGACKSNHALCNSSQIQFFQDFRNQMLNAVRDFSVSTKNGLFINSCFAHCQSERQDTWFADDSPVLGNRAIALSVGDWYFDRLPVKAIDCAYPCDNTCHNLMFK >ONI14662 pep chromosome:Prunus_persica_NCBIv2:G3:113104:118590:1 gene:PRUPE_3G001300 transcript:ONI14662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQQKVSVCCCLGLLVVALAAALKEKEKEQVLWSKSWLDLADKDAADSASSALPTQTQTQPPLNLMVPLTLIDSAASKGAVCLDGTLPGYHLHPGYGSGANSWLIQLEGGGWCNSIRNCVYRKTTRRGSSKFMEKQLPFTGILSNKAEENPDFFNWNRVKLRYCDGASFSGDSQNEAAQLHFRGQRIWLAAMEELMSKGMQKADQALLSGCSAGGLASILHCDEFQDLFPETTRVKCLSDAGMFLDAIDVSGGHTLKNLYAGVVSLQEVEKNLPKTCIDHLDPTSVQASLAPPSADPRGLWGACKSNHALCNSSQIQFFQDFRNQMLNAVRDFSVSTKNGLFINSCFAHCQSERQDTWFADDSPVLGNRAIALSVGDWYFDRLPVKAIDCAYPCDNTCHNLMFNEDLSSSSMTYSQSTRLSFTVLNLPSALMVPIICSRCIMWFHSVK >ONI14661 pep chromosome:Prunus_persica_NCBIv2:G3:113104:118590:1 gene:PRUPE_3G001300 transcript:ONI14661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQQKVSVCCCLGLLVVALAAALKEKEKEQVLWSKSWLDLADKDAADSASSALPTQTQTQPPLNLMVPLTLIDSAASKGAVCLDGTLPGYHLHPGYGSGANSWLIQLEGGGWCNSIRNCVYRKTTRRGSSKFMEKQLPFTGILSNKAEENPDFFNWNRVKLRYCDGASFSGDSQNEAAQLHFRGQRIWLAAMEELMSKGMQKADQALLSGCSAGGLASILHCDEFQDLFPETTRVKCLSDAGMFLDAIDVSGGHTLKNLYAGVVSLQEVEKNLPKTCIDHLDPTSCFFPQNLVANVKTPMFLLNAAYDAWQVQASLAPPSADPRGLWGACKSNHALCNSSQIQFFQDFRNQMLNAVRDFSVSTKNGLFINSCFAHCQSERQDTWFADDSPVLGNRAIALSVGDWYFDRLPVKAIDCAYPCDNTCHNLMFNEDLSSSSMTYSQSTRLSFTVLNLPSALMVPIICSRCIMWFHSVK >ONI14663 pep chromosome:Prunus_persica_NCBIv2:G3:113116:118108:1 gene:PRUPE_3G001300 transcript:ONI14663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQQKVSVCCCLGLLVVALAAALKEKEKEQVLWSKSWLDLADKDAADSASSALPTQTQTQPPLNLMVPLTLIDSAASKGAVCLDGTLPGYHLHPGYGSGANSWLIQLEGGGWCNSIRNCVYRKTTRRGSSKFMEKQLPFTGILSNKAEENPDFFNWNRVKLRYCDGASFSGDSQNEAAQLHFRGQRIWLAAMEELMSKGMQKADQALLSGCSAGGLASILHCDEFQDLFPETTRVKCLSDAGMFLDAIDVSGGHTLKNLYAGVVSLQVQASLAPPSADPRGLWGACKSNHALCNSSQIQFFQDFRNQMLNAVRDFSVSTKNGLFINSCFAHCQSERQDTWFADDSPVLGNRAIALSVGDWYFDRLPVKAIDCAYPCDNTCHNLMFNEDLSSSSMTYSQSTRLSFTVLNLPSALMVPIICSRCIMWFHSVK >ONI14660 pep chromosome:Prunus_persica_NCBIv2:G3:113116:121074:1 gene:PRUPE_3G001300 transcript:ONI14660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQQKVSVCCCLGLLVVALAAALKEKEKEQVLWSKSWLDLADKDAADSASSALPTQTQTQPPLNLMVPLTLIDSAASKGAVCLDGTLPGYHLHPGYGSGANSWLIQLEGGGWCNSIRNCVYRKTTRRGSSKFMEKQLPFTGILSNKAEENPDFFNWNRVKLRYCDGASFSGDSQNEAAQLHFRGQRIWLAAMEELMSKGMQKADQALLSGCSAGGLASILHCDEFQDLFPETTRVKCLSDAGMFLDAIDVSGGHTLKNLYAGVVSLQEVEKNLPKTCIDHLDPTSVQASLAPPSADPRGLWGACKSNHALCNSSQIQFFQDFRNQMLNAVRDFSVSTKNGLFINSCFAHCQSERQDTWFADDSPVLGNRAIALSVGDWYFDRLPVKAIDCAYPCDNTCHNLMFKRRVE >ONI14665 pep chromosome:Prunus_persica_NCBIv2:G3:113116:117000:1 gene:PRUPE_3G001300 transcript:ONI14665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQQKVSVCCCLGLLVVALAAALKEKEKEQVLWSKSWLDLADKDAADSASSALPTQTQTQPPLNLMVPLTLIDSAASKGAVCLDGTLPGYHLHPGYGSGANSWLIQLEGGGWCNSIRNCVYRKTTRRGSSKFMEKQLPFTGILSNKAEENPDFFNWNRVKLRYCDGASFSGDSQNEAAQLHFRGQRIWLAAMEELMSKGMQKADQALLSGCSAGGLASILHCDEFQDLFPETTRVKCLSDAGMFLDAIDVSGGHTLKNLYAGVVSLQEVEKNLPKTCIDHLDPTSVQASLAPPSADPRGLWGACKSNHALCNSSQIQFFQDFRNQMLNAVRDFSVSTKNGLFINSCFAHCQSERQDTWFADDSPVLGNRAIALSVGDWYFDRLPVKAIDCAYPCDNTCHNLMFK >ONI17840 pep chromosome:Prunus_persica_NCBIv2:G3:19802505:19806246:-1 gene:PRUPE_3G182400 transcript:ONI17840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMQLLGHHHVILFVLLFWCSIVTETKPASSSVLAPPIAKLNCPTHCGINVSIPYPFGVGPNKDCYFNEWFQIDCNESTGHKPFLRRSQMEVLNISIDGTLQVKSPVTFSGGCKGKETRQAPNLTGSPFVYLDRKNMFTAVSCGRLATMRSDENVVHGCSSTCDDQSTDSATHRCGTIGTNCCQTTIPPNISVFTTEIQPRDQIDGCNYAFLVDQDWFLKNLSSYRAIQGMDSVPVVLEWNISLDNTSHKAFEGFIGRRVGVYDFNNDSTPYCEIYNATTSSTYNQSSVHCFCPGGFQGNPYLLQPCQDIDECKLNRCMDDSHVLDSWNFSGSPICENVAGGYTCYSNVTVLLGVPTCEYYGGGGMQCYYKPKKPLPSRAYRIRTVLLGVFMGPGLLLLLVGAWYAYKVIKKRKDIKRKEKFFKRNGGLLLQQQLSSGEINVEKIKLFKSEELEKSTDKFNIDRILGQGGQGTVYKGMFADGRVVAIKKSKIIDEGQLSEFINEVVILSQINHRNVVQLLGCCLETEVPLLVYEFIPNGTLSHYIHEQNEDFPFTWKMRLRIATEIAGALSYLHRAASFPIYHRDIKSTNILLDEKYTGKVADFGTSRSIVIGQTHLTTVVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGLQPVFAVIGSQEKERRSLATCFIISMEEDRLFDILDARVVMEGSKTDITTFANLAMRCLDLNGRNRPTMREVTAELEAIQMLIEKSSNAQHNYNGVELSSSTESASDTGTNSTSDEF >ONI19306 pep chromosome:Prunus_persica_NCBIv2:G3:25177507:25181837:1 gene:PRUPE_3G270700 transcript:ONI19306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRRASKTLKSSKYLPLLQTNSKFSPISSLSRRNPLYSEFSYGHFGLSQAFCSHSRKNSKDSDIDLSQYPTERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFYKHNFHGADNNGDHEEPSFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDRVKAQLKSMFDLDASDALLTSAKTGQGLEQVLPAVIERIPPPPGKSNSPLRMLLLDSYYDEYKGVICHVAIVDGMLGKGDKVLSAATGQAYEILDVGVMHPELTPTGVLHTGQVGYVVTGMRSTKEARIGDTLYHNRTIVEPLPGFKPAKHMVFSGLYPADGCDFEELNHAIERLTCNDASVSVVKESSTALGMGFRCGFLGLLHMDVFHQRLEQEHGAHVISTIPTVPYIFEYSDGSKVEVQNPATLPSNPKQRVTACWEPTVLATIIIPSEYVGAVITLCSERRGQQLEYSFIDSQRAFMKYQLPLREIVVDFYNELKSLTSGYASFDYEDAEYQQSDMVKLDILLNGQPVDAMATIVHNLKAQRVGRALVEKLKKHIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKSS >ONI19337 pep chromosome:Prunus_persica_NCBIv2:G3:25280405:25281445:1 gene:PRUPE_3G272700 transcript:ONI19337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLDLEINILWILEYTAKRRDQDVERYISCDFLDLSKCKVVAVQRLHFPIPSTLHQAGKSALALDMSQSATWCNFQTSRKGKILRLIQGPGWEDSGCGASSGDHAVAPGIGRQQIN >ONI19660 pep chromosome:Prunus_persica_NCBIv2:G3:26034828:26038497:1 gene:PRUPE_3G289900 transcript:ONI19660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLTKAANGDTNLADGSKPSSCPFTLEKSNFKANGHVILSDVPENITLIPSPYSTAGCFVGFDVAEPNSRHVVPVGQLKDIRFMSIFRFKVWWTTHWVGSNGRDLENETQIVILESSDAGRPYVVVLPLLEGSFRACIQPGNSDFLDICVESGSTREVSNSFQSVLYLQAGDDPFALVKEAVKVARDHLGTFQLLEDKTPPGIVDKFGWCTWDAFYLTVHPHGVIDGVRKLVEGGCPPGLVLLDDGWQSIGHDSDPITKEGMNQAVAGEQMPCRLLKFEENYKFRDYVSPNGGASGKGMGAFIKDLKEEFKSVDYVYVWHALCGYWGGVRPNVPGMPDAVVVEPTLSPGLLKTMEDLAVDKIVATGVGLVPPEVVDQMYEGLHSHLKSVGIDGVKVDVIHLLEMLCENYGGRVKLAKAYFDALTSSVRKHFNGNGVIASMEHCNDFMFLGTEAITLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAFHAASRAISGGPIYVSDAVGKHNFDVLRTLVLPDGSILRCEYYALPTRDCLFEDPLHDGNTMLKIWNLNKFSGVLGAFNCQGGGWSRETRRNQCAAKFSHRLTAKLNPKDIQWKSGKSPISIEGVQEFALYYHQAKKLVLSKPNEDVELSLDPFNFELIFVSPVTVLGAKKSVQFAPIGLVNMLNTGGAIQSFVFNEDESSVQVGVKGTGELRVFASEKPTSCRIEGNDVAFEYEQSMVIIQVPWPASSTLSTVEYKF >ONI18622 pep chromosome:Prunus_persica_NCBIv2:G3:22682576:22686820:1 gene:PRUPE_3G227600 transcript:ONI18622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKAMNQKGENFEPNPISLCTDNPDGKIYEKKKKKWNKKKGKLAKTSDLPCDGDKKLPICSRDGFPDHTQKCSSVGIQNSNNCSKMSNHGSDEVRNDSFLEDLQASHPSAVLHCSKVELEDSNGSVCEDNKTGSGRSCAHDGKENRFFVKKWQKRQRTARDQQRHWVKEQPSYRYPAVENSVWVEHGNSLEANALVFSGHNSGAYGRMPRRVLGEAPQWVASQQRLNCNGKKGLGNCRANFFGLHDKFVSYQKEFSQVPFGMHHYKNRDTAKRMFYNNHHVASHGYELCLNSSFVYSKISTQGVINGSQPHQFMKIPIMEHNSGRCLYSPLDGRQYSEFGYHSDHKFRKDVTTGASSKKWKPVGTKESRPMEGICSAATCNASNLDLPVLSKGLGNIHPEGSSIPSPSSDTFSETKGTNSISSHQLPSAYYEHPTFHKSTAEVEDQKIESNGYEANGIRPKDTVEFLIGSQTAVEALNDSYRMQLASEVVHLTMGCPLAEFERFIHCAAPVIASSYEHKKCSACLDDQLSHSFLCKHQIPNLSLRTVWNWYEKPGNYGLDVKADDSRNLNDSVSFHAHFAPSLSAVQLFRSNDPGSKTLNSTYSAEAAELNYLRAGTENFDSVKEPVGSFDWSPDCKLIFEFFESEQPHQRKPFYNKIVELIGVGTSNHHIFGDPSKLDCLNLHDLHPASWFSVAWYPIYRIPELNFRASFLTYHSLGHFAQRPFPTDASNEHTSRILSPVLGLQSYNAQGEGWFDPKIPTASNSAEILKERLRTLEANAIRFGRGCVFKDKVMVFNRHPDYEFFNSRKTHYK >ONI18623 pep chromosome:Prunus_persica_NCBIv2:G3:22682576:22686849:1 gene:PRUPE_3G227600 transcript:ONI18623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKAMNQKGENFEPNPISLCTDNPDGKIYEKKKKKWNKKKGKLAKTSDLPCDGDKKLPICSRDGFPDHTQKCSSVGIQNSNNCSKMSNHGSDEVRNDSFLEDLQASHPSAVLHCSKVELEDSNGSVCEDNKTGSGRSCAHDGKENRFFVKKWQKRQRTARDQQRHWVKEQPSYRYPAVENSVWVEHGNSLEANALVFSGHNSGAYGRMPRRVLGEAPQWVASQQRLNCNGKKGLGNCRANFFGLHDKFVSYQKEFSQVPFGMHHYKNRDTAKRMFYNNHHVASHGYELCLNSSFVYSKISTQGVINGSQPHQFMKIPIMEHNSGRCLYSPLDGRQYSEFGYHSDHKFRKDVTTGASSKKWKPVGTKESRPMEGICSAATCNASNLDLPVLSKGLGNIHPEGSSIPSPSSDTFSETKGTNSISSHQLPSAYYEHPTFHKSTAEVEDQKIESNGYEANGIRPKDTVEFLIGSQTAVEALNDSYRMQLASEVVHLTMGCPLAEFERFIHCAAPVIASSYEHKKCSACLDDQLSHSFLCKHQIPNLSLRTVWNWYEKPGNYGLDVKADDSRNLNDSVSFHAHFAPSLSAVQLFRSNDPGSKTLNSTYSAEAAELNYLRAGTENFDSVKEPVGSFDWSPDCKLIFEFFESEQPHQRKPFYNKIVELIGVGTSNHHIFGDPSKLDCLNLHDLHPASWFSVAWYPIYRIPELNFRASFLTYHSLGHFAQRPFPTDASNEHTSRILSPVLGLQSYNAQGEGWFDPKIPTASNSAEILKERLRTLEANAIRFGRGCVFKDKVMVFNRHPDYEFFNSRKTHYK >ONI16544 pep chromosome:Prunus_persica_NCBIv2:G3:8335962:8336246:-1 gene:PRUPE_3G105500 transcript:ONI16544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSILSSPVRKSASFRKQFSQNELGSWSTLFQRHRFLLTALALLTFLCTVYLYFAVTLGASPTCSGLSGTQKALCRLEHAKTSVAHGKLKIL >ONI16545 pep chromosome:Prunus_persica_NCBIv2:G3:8335439:8339875:-1 gene:PRUPE_3G105500 transcript:ONI16545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSILSSPVRKSASFRKQFSQNELGSWSTLFQRHRFLLTALALLTFLCTVYLYFAVTLGASPTCSGLSGTQKALCRLEHAKTSVAHGKLKIL >ONI16970 pep chromosome:Prunus_persica_NCBIv2:G3:13673685:13676698:1 gene:PRUPE_3G132100 transcript:ONI16970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIRQADKRVLLTDEWLYVKGCEDMYAIRDCASSWNQRAKIKSKLTRK >ONI16971 pep chromosome:Prunus_persica_NCBIv2:G3:13673636:13676738:1 gene:PRUPE_3G132100 transcript:ONI16971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIRQADKRVLLTDEWLYVKGCEDMYAIRDCASSWNQRAKIKSKLTRK >ONI16968 pep chromosome:Prunus_persica_NCBIv2:G3:13673669:13676703:1 gene:PRUPE_3G132100 transcript:ONI16968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILVLLLRHKFKRDGIDVQMACRVVSVSNKEITMKVKSKRESLYNMCWLCGLLVLGLVQLRGT >ONI16966 pep chromosome:Prunus_persica_NCBIv2:G3:13674393:13676698:1 gene:PRUPE_3G132100 transcript:ONI16966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILVLLLRHKFKRDGIDVQMACRVVSVSNKEITMKVKSKRESLYNMCWLCGLLVLGLVQLRGT >ONI16969 pep chromosome:Prunus_persica_NCBIv2:G3:13673641:13676735:1 gene:PRUPE_3G132100 transcript:ONI16969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIRQADKRVLLTDEWLYVKGCEDMYAIRDCASSWNQRAKIKSKLTRK >ONI16967 pep chromosome:Prunus_persica_NCBIv2:G3:13673637:13676762:1 gene:PRUPE_3G132100 transcript:ONI16967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILVLLLRHKFKRDGIDVQMACRVVSVSNKEITMKVKSKRESLYNMCWLCGLLVLGLVQLRGT >ONI14915 pep chromosome:Prunus_persica_NCBIv2:G3:1127785:1128911:-1 gene:PRUPE_3G015700 transcript:ONI14915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIETEQTERLISLYYTETPSQIQRMLIYFCLRRSTENNIFCRSQSFCK >ONI15597 pep chromosome:Prunus_persica_NCBIv2:G3:3616299:3617397:1 gene:PRUPE_3G051300 transcript:ONI15597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYGTIPTSSSTQGPSGEYISRAKERIKAGLGARRPWKLMFNFRSFNFPSPFREVFGRIRSNVAYFRMNYAIVVLLILFLSLLWHPISLIVFIIMMAAWLFLYFLRDEPLVLFGKTVDDRVVLIVLAVVTIVLLFLTHATVNILVALLIGVVLVVVHAGLRKKDDLPVDEENSGLLTTPAASSSST >ONI16001 pep chromosome:Prunus_persica_NCBIv2:G3:5276427:5280262:-1 gene:PRUPE_3G073500 transcript:ONI16001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKDDSSHAVELSQPPLQRPHLYLIHSEPTASYKTAKWCNSARCLEMVLCIYVYQFEWAWQHPTVSKAVRQAAASFKSLGGVVSKIKLAYTMLTLPPWQRSPSRKIALETIKQGLA >ONI16002 pep chromosome:Prunus_persica_NCBIv2:G3:5276819:5280207:-1 gene:PRUPE_3G073500 transcript:ONI16002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKDDSSHAVELSQPPLQRPHLYLIHSEPTASYKTAKWCNSARCLEMVLCIYVYQFEWAWQHPTVSKAVRQAAASFKSLGGVVSKIKLAYTMLTLPPWQRETLCFRIPP >ONI16003 pep chromosome:Prunus_persica_NCBIv2:G3:5279020:5280261:-1 gene:PRUPE_3G073500 transcript:ONI16003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKDDSSHAVELSQPPLQRPHLYLIHSEPTASYKTAKWCNSARCLEMVLCIYVYQFEWAWQHPTVSKAVRQAAASFKSLGGVVSKIKLAYTMLTLPPWQRYHLILSYYLPCELSMDEYTYA >ONI19494 pep chromosome:Prunus_persica_NCBIv2:G3:25650108:25658621:-1 gene:PRUPE_3G281300 transcript:ONI19494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHGLWELLAPVGRRVSVETLAGRRLAIDASIWMVQFMKAMRDEKGEMVRNAHILGFFRRICKLLYLRTKPVFVFDGGTPALKRRTVIARRRQRENAQSKLRKTAEKLLLNHLKAMKLKVLAEDIKNQRQNQKNDAKGKQSLPDQTGRMGDDNLEKNDMALLSSNQEKLDEMLAASIQAEEEGGLAKNASKFTAAVPCEEDSEEDDEEMILPEMHGEVDPAVLANLPPSMQLGLLLQSNKQDNEAKGKKIMSDQTEMVGINLENCEVVSRSCNQEKLDEMIAASIAAEEDAGATNNASTSTASIIVEEDVDEDGDDDEEMILPEMHGKVDPAVLAALPPSMQLDLLVQIRERLMAENRQKYQKVKKDPGKFSELQIQSYLKTVAFRREIDQVQKAAAGRGVSGVHSSRIASEAHREFIFSSSFTGDKQVLASARADKNGDKQQAPKEHPSNSRNSVPSTNNVTGATPDESTSVFDDNIETYLDERGHLRVSRVRAMGIRMTRDLQRNLDLMKEIEQEKTNTNKIINTRNLLNERDIDISKSSCSSRKVIETSCGDNVDSIDTGVLRSHPDQKKVLESSVGDNSLNDRNNQCTLKLETPIEISIEDGGESKSFDGDDDLFASLVAGNAVTTNANDILRKQSSGSDSDCDWEEGTVEVKRKVPCVEGNMSDDSEVEWEEGVCGITENTSSFPRECGKTVSKGYFEEEANFQEAIRRSLEDIGDEKCAYASSAEEKFQCFGGEAHKGAEFIDRETKIVEAVLVGKIGKRQNESSCDIVDGVKKMKSVTDLDSPPAQTIQNVSERENFCGGMQCAESVTPSGTKEVHMITEQVLGAFNEDDSLSTLPNTLEKNKAHSFDALSCDATNWVDDQKNEIEAEPSCHIVEMANPAALTGLLTEKLTNDCDVDKTWVKEKSHDNFFQESEHSWDKSSLNSDANAHVEATEANLEEEMLILGQECMNLGDEQRRLERNVESVSSEMFTECQELLQMFGIPYIIAPMEAEAQCAYMELANLVDGVVTDDSDVFLFGAQSVYKNIFDDRKYVETYFMKDVEKELGLSREKLIRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFRDWIESPDPTILGKFDGETGSSAKKRGSKFGDKDINSQSNKEEVSAFDQNNCHGQEHKQSADLIEEIKQTFMDKHRKVSKNWHIPSSFPSEAVSVAYTCPQVDKSTEPFTWGKPDHFVLRKLCWEKFGWGTQKADELLIPVLKEYDKRETQLRLEAFYMFNERFARIRSKRIKKAVKGIAGNQSSELIDDAAQEVSRSRKKGSISTDEPGDDKSKKLSEGTEKGVFRDQRNSKGKSTIKQSRKRRTTEVPVPSDQPKPAEMARTTNRRLHANGKGRGRGRKVLGRGKGKENPSAEASETSSSKGDDDDDDGMDLHMETVEGSGEVRRSGRLRKPVNYTVNDLENDDVDDPLDHCDTKCSNEESGEQLLSWDKGGGFCPVEDETGELGLSQHHDPSFEAEASEDYLKMGGRLCRDGQIGNDRDEIGVQATAAASEDSNLPNFSGFVNKVDFGNASVQSSVGTKRPLQGFEGCERTGAYDAEQSINDEIASKNDDHSKLSVSLQENTVDNSGQPSVGVGLSAMPFLRKKRRQT >ONI19495 pep chromosome:Prunus_persica_NCBIv2:G3:25650098:25658621:-1 gene:PRUPE_3G281300 transcript:ONI19495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHGLWELLAPVGRRVSVETLAGRRLAIDASIWMVQFMKAMRDEKGEMVRNAHILGFFRRICKLLYLRTKPVFVFDGGTPALKRRTVIARRRQRENAQSKLRKTAEKLLLNHLKAMKLKVLAEDIKNQRQNQKNDAKGKQSLPDQTGRMGDDNLEKNDMALLSSNQEKLDEMLAASIQAEEEGGLAKNASKFTAAVPCEEDSEEDDEEMILPEMHGEVDPAVLANLPPSMQLGLLLQSNKQDNEAKGKKIMSDQTEMVGINLENCEVVSRSCNQEKLDEMIAASIAAEEDAGATNNASTSTASIIVEEDVDEDGDDDEEMILPEMHGKVDPAVLAALPPSMQLDLLVQIRERLMAENRQKYQKVKKDPGKFSELQIQSYLKTVAFRREIDQVQKAAAGRGVSGVHSSRIASEAHREFIFSSSFTGDKQVLASARADKNGDKQQAPKEHPSNSRNSVPSTNNVTGATPDESTSVFDDNIETYLDERGHLRVSRVRAMGIRMTRDLQRNLDLMKEIEQEKTNTNKIINTRNLLNERDIDISKSSCSSRKVIETSCGDNVDSIDTGVLRSHPDQKKVLESSVGDNSLNDRNNQCTLKLETPIEISIEDGGESKSFDGDDDLFASLVAGNAVTTNANDILRKQSSGSDSDCDWEEGTVEVKRKVPCVEGNMSDDSEVEWEEGVCGITENTSSFPRECGKTVSKGYFEEEANFQEAIRRSLEDIGDEKCAYASSAEEKFQCFGGEAHKGAEFIDRETKIVEAVLVGKIGKRQNESSCDIVDGVKKMKSVTDLDSPPAQTIQNVSERENFCGGMQCAESVTPSGTKEVHMITEQVLGAFNEDDSLSTLPNTLEKNKAHSFDALSCDATNWVDDQKNEIEAEPSCHIVEMANPAALTGLLTEKLTNDCDVDKTWVKEKSHDNFFQESEHSWDKSSLNSDANAHVEATEANLEEEMLILGQECMNLGDEQRRLERNVESVSSEMFTECQELLQMFGIPYIIAPMEAEAQCAYMELANLVDGVVTDDSDVFLFGAQSVYKNIFDDRKYVETYFMKDVEKELGLSREKLIRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFRDWIESPDPTILGKFDGETGSSAKKRGSKFGDKDINSQSNKEEVSAFDQNNCHGQEHKQSADLIEEIKQTFMDKHRKVSKNWHIPSSFPSEAVSVAYTCPQVDKSTEPFTWGKPDHFVLRKLCWEKFGWGTQKADELLIPVLKEYDKRETQLRLEAFYMFNERFARIRSKRIKKAVKGIAGNQSSELIDDAAQEVSRSRKKGSISTDEPGDDKSKKLSEGTEKGVFRDQRNSKGKSTIKQSRKRRTTEVPVPSDQPKPAEMARTTNRRLHANGKGRGRGRKVLGRGKGKENPSAEASETSSSKGDDDDDDGMDLHMETVEGSGEVRRSGRLRKPVNYTVNDLENDDVDDPLDHCDTKCSNEESGEQLLSWDKGGGFCLVEDETGELAGGGFCPVEDETGELGLSQHHDPSFEAEASEDYLKMGGRLCRDGQIGNDRDEIGVQATAAASEDSNLPNFSGFVNKVDFGNASVQSSVGTKRPLQGFEGCERTGAYDAEQSINDEIASKNDDHSKLSVSLQENTVDNSGQPSVGVGLSAMPFLRKKRRQT >ONI19492 pep chromosome:Prunus_persica_NCBIv2:G3:25650108:25658621:-1 gene:PRUPE_3G281300 transcript:ONI19492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHGLWELLAPVGRRVSVETLAGRRLAIDASIWMVQFMKAMRDEKGEMVRNAHILGFFRRICKLLYLRTKPVFVFDGGTPALKRRTVIARRRQRENAQSKLRKTAEKLLLNHLKAMKLKVLAEDIKNQRQNQKNDAKGKQSLPDQTGRMGDDNLEKNDMALLSSNQEKLDEMIAASIAAEEDAGATNNASTSTASIIVEEDVDEDGDDDEEMILPEMHGKVDPAVLAALPPSMQLDLLVQIRERLMAENRQKYQKVKKDPGKFSELQIQSYLKTVAFRREIDQVQKAAAGRGVSGVHSSRIASEAHREFIFSSSFTGDKQVLASARADKNGDKQQAPKEHPSNSRNSVPSTNNVTGATPDESTSVFDDNIETYLDERGHLRVSRVRAMGIRMTRDLQRNLDLMKEIEQEKTNTNKIINTRNLLNERDIDISKSSCSSRKVIETSCGDNVDSIDTGVLRSHPDQKKVLESSVGDNSLNDRNNQCTLKLETPIEISIEDGGESKSFDGDDDLFASLVAGNAVTTNANDILRKQSSGSDSDCDWEEGTVEVKRKVPCVEGNMSDDSEVEWEEGVCGITENTSSFPRECGKTVSKGYFEEEANFQEAIRRSLEDIGDEKCAYASSAEEKFQCFGGEAHKGAEFIDRETKIVEAVLVGKIGKRQNESSCDIVDGVKKMKSVTDLDSPPAQTIQNVSERENFCGGMQCAESVTPSGTKEVHMITEQVLGAFNEDDSLSTLPNTLEKNKAHSFDALSCDATNWVDDQKNEIEAEPSCHIVEMANPAALTGLLTEKLTNDCDVDKTWVKEKSHDNFFQESEHSWDKSSLNSDANAHVEATEANLEEEMLILGQECMNLGDEQRRLERNVESVSSEMFTECQELLQMFGIPYIIAPMEAEAQCAYMELANLVDGVVTDDSDVFLFGAQSVYKNIFDDRKYVETYFMKDVEKELGLSREKLIRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFRDWIESPDPTILGKFDGETGSSAKKRGSKFGDKDINSQSNKEEVSAFDQNNCHGQEHKQSADLIEEIKQTFMDKHRKVSKNWHIPSSFPSEAVSVAYTCPQVDKSTEPFTWGKPDHFVLRKLCWEKFGWGTQKADELLIPVLKEYDKRETQLRLEAFYMFNERFARIRSKRIKKAVKGIAGNQSSELIDDAAQEVSRSRKKGSISTDEPGDDKSKKLSEGTEKGVFRDQRNSKGKSTIKQSRKRRTTEVPVPSDQPKPAEMARTTNRRLHANGKGRGRGRKVLGRGKGKENPSAEASETSSSKGDDDDDDGMDLHMETVEGSGEVRRSGRLRKPVNYTVNDLENDDVDDPLDHCDTKCSNEESGEQLLSWDKGKCEEGPSRFSEKKQQNAGNLSPNAGLCNDYLETGGGFCLVEDETGELAGGGFCPVEDETGELGLSQHHDPSFEAEASEDYLKMGGRLCRDGQIGNDRDEIGVQATAAASEDSNLPNFSGFVNKVDFGNASVQSSVGTKRPLQGFEGCERTGAYDAEQSINDEIASKNDDHSKLSVSLQENTVDNSGQPSVGVGLSAMPFLRKKRRQT >ONI19496 pep chromosome:Prunus_persica_NCBIv2:G3:25650067:25658642:-1 gene:PRUPE_3G281300 transcript:ONI19496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHGLWELLAPVGRRVSVETLAGRRLAIDASIWMVQFMKAMRDEKGEMVRNAHILGFFRRICKLLYLRTKPVFVFDGGTPALKRRTVIARRRQRENAQSKLRKTAEKLLLNHLKAMKLKVLAEDIKNQRQNQKNDAKGKQSLPDQTGRMGDDNLEKNDMALLSSNQEKLDEMLAASIQAEEEGGLAKNASKFTAAVPCEEDSEEDDEEMILPEMHGEVDPAVLANLPPSMQLGLLLQSNKQDNEAKGKKIMSDQTEMVGINLENCEVVSRSCNQEKLDEMIAASIAAEEDAGATNNASTSTASIIVEEDVDEDGDDDEEMILPEMHGKVDPAVLAALPPSMQLDLLVQIRERLMAENRQKYQKVKKDPGKFSELQIQSYLKTVAFRREIDQVQKAAAGRGVSGVHSSRIASEAHREFIFSSSFTGDKQVLASARADKNGDKQQAPKEHPSNSRNSVPSTNNVTGATPDESTSVFDDNIETYLDERGHLRVSRVRAMGIRMTRDLQRNLDLMKEIEQEKTNTNKIINTRNLLNERDIDISKSSCSSRKVIETSCGDNVDSIDTGVLRSHPDQKKVLESSVGDNSLNDRNNQCTLKLETPIEISIEDGGESKSFDGDDDLFASLVAGNAVTTNANDILRKQSSGSDSDCDWEEGTVEVKRKVPCVEGNMSDDSEVEWEEGVCGITENTSSFPRECGKTVSKGYFEEEANFQEAIRRSLEDIGDEKCAYASSAEEKFQCFGGEAHKGAEFIDRETKIVEAVLVGKIGKRQNESSCDIVDGVKKMKSVTDLDSPPAQTIQNVSERENFCGGMQCAESVTPSGTKEVHMITEQVLGAFNEDDSLSTLPNTLEKNKAHSFDALSCDATNWVDDQKNEIEAEPSCHIVEMANPAALTGLLTEKLTNDCDVDKTWVKEKSHDNFFQESEHSWDKSSLNSDANAHVEATEANLEEEMLILGQECMNLGDEQRRLERNVESVSSEMFTECQELLQMFGIPYIIAPMEAEAQCAYMELANLVDGVVTDDSDVFLFGAQSVYKNIFDDRKYVETYFMKDVEKELGLSREKLIRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFRDWIESPDPTILGKFDGETGSSAKKRGSKFGDKDINSQSNKEEVSAFDQNNCHGQEHKQSADLIEEIKQTFMDKHRKVSKNWHIPSSFPSEAVSVAYTCPQVDKSTEPFTWGKPDHFVLRKLCWEKFGWGTQKADELLIPVLKEYDKRETQLRLEAFYMFNERFARIRSKRIKKAVKGIAGNQSSELIDDAAQEVSRSRKKGSISTDEPGDDKSKKLSEGTEKGVFRDQRNSKGKSTIKQSRKRRTTEVPVPSDQPKPAEMARTTNRRLHANGKGRGRGRKVLGRGKGKENPSAEASETSSSKGDDDDDDGMDLHMETVEGSGEVRRSGRLRKPVNYTVNDLENDDVDDPLDHCDTKCSNEESGEQLLSWDKGKCEEGPSRFSEKKQQNAGNLSPNAGLCNDYLETGGGFCLVEDETGELAGGGFCPVEDETGELGLSQHHDPSFEAEASEDYLKMGGRLCRDGQIGNDRDEIGVQATAAASEDSNLPNFSGFVNKVDFGNASVQSSVGTKRPLQGFEGCERTGAYDAEQSINDEIASKNDDHSKLSVSLQENTVDNSGQPSVGVGLSAMPFLRKKRRQT >ONI19493 pep chromosome:Prunus_persica_NCBIv2:G3:25650085:25658621:-1 gene:PRUPE_3G281300 transcript:ONI19493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHGLWELLAPVGRRVSVETLAGRRLAIDASIWMVQFMKAMRDEKGEMVRNAHILGFFRRICKLLYLRTKPVFVFDGGTPALKRRTVIARRRQRENAQSKLRKTAEKLLLNHLKAMKLKVLAEDIKNQRQNQKNDAKGKQSLPDQTGRMGDDNLEKNDMALLSSNQEKLDEMLAASIQAEEEGGLAKNASKFTAAVPCEEDSEEDDEEMILPEMHGEVDPAVLANLPPSMQLGLLLQDNEAKGKKIMSDQTEMVGINLENCEVVSRSCNQEKLDEMIAASIAAEEDAGATNNASTSTASIIVEEDVDEDGDDDEEMILPEMHGKVDPAVLAALPPSMQLDLLVQIRERLMAENRQKYQKVKKDPGKFSELQIQSYLKTVAFRREIDQVQKAAAGRGVSGVHSSRIASEAHREFIFSSSFTGDKQVLASARADKNGDKQQAPKEHPSNSRNSVPSTNNVTGATPDESTSVFDDNIETYLDERGHLRVSRVRAMGIRMTRDLQRNLDLMKEIEQEKTNTNKIINTRNLLNERDIDISKSSCSSRKVIETSCGDNVDSIDTGVLRSHPDQKKVLESSVGDNSLNDRNNQCTLKLETPIEISIEDGGESKSFDGDDDLFASLVAGNAVTTNANDILRKQSSGSDSDCDWEEGTVEVKRKVPCVEGNMSDDSEVEWEEGVCGITENTSSFPRECGKTVSKGYFEEEANFQEAIRRSLEDIGDEKCAYASSAEEKFQCFGGEAHKGAEFIDRETKIVEAVLVGKIGKRQNESSCDIVDGVKKMKSVTDLDSPPAQTIQNVSERENFCGGMQCAESVTPSGTKEVHMITEQVLGAFNEDDSLSTLPNTLEKNKAHSFDALSCDATNWVDDQKNEIEAEPSCHIVEMANPAALTGLLTEKLTNDCDVDKTWVKEKSHDNFFQESEHSWDKSSLNSDANAHVEATEANLEEEMLILGQECMNLGDEQRRLERNVESVSSEMFTECQELLQMFGIPYIIAPMEAEAQCAYMELANLVDGVVTDDSDVFLFGAQSVYKNIFDDRKYVETYFMKDVEKELGLSREKLIRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLHKFRDWIESPDPTILGKFDGETGSSAKKRGSKFGDKDINSQSNKEEVSAFDQNNCHGQEHKQSADLIEEIKQTFMDKHRKVSKNWHIPSSFPSEAVSVAYTCPQVDKSTEPFTWGKPDHFVLRKLCWEKFGWGTQKADELLIPVLKEYDKRETQLRLEAFYMFNERFARIRSKRIKKAVKGIAGNQSSELIDDAAQEVSRSRKKGSISTDEPGDDKSKKLSEGTEKGVFRDQRNSKGKSTIKQSRKRRTTEVPVPSDQPKPAEMARTTNRRLHANGKGRGRGRKVLGRGKGKENPSAEASETSSSKGDDDDDDGMDLHMETVEGSGEVRRSGRLRKPVNYTVNDLENDDVDDPLDHCDTKCSNEESGEQLLSWDKGKCEEGPSRFSEKKQQNAGNLSPNAGLCNDYLETGGGFCLVEDETGELAGGGFCPVEDETGELGLSQHHDPSFEAEASEDYLKMGGRLCRDGQIGNDRDEIGVQATAAASEDSNLPNFSGFVNKVDFGNASVQSSVGTKRPLQGFEGCERTGAYDAEQSINDEIASKNDDHSKLSVSLQENTVDNSGQPSVGVGLSAMPFLRKKRRQT >ONI16274 pep chromosome:Prunus_persica_NCBIv2:G3:6596532:6604868:1 gene:PRUPE_3G088900 transcript:ONI16274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAALSLLPSSSPHLLFPPSSSSSSSSSSFVLGGTHLRTHKSFASLHSWSPSSSSSTTSSWKFNTKRRVGIVFAASGDYYATLGVPKSASSKEIKTAYRRLARQYHPDVNKQPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSTVGGSSSAYTTNPFDLFETFFGPSMGGFGGMDAGFGTRRRSTVTKGEDIRYDITLEFSEAIFGVEKEFELSHLETCEVCTGTGGKIGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISEYCRKCSGEGRVRVKKNIKVKVPPGVSTGSILRVAREGDAGPRGGPPGDLYVYLDVEEIEGIQRDGINLSSTVSICYLDAILGAVVKVNTVEGIATLQIPPGTQPGDILVLAKKGAPKLNKPSIRGDHIFTIKVTIPNRISATERELLEELALLNNTTTRRSRTRPKVQPATDNIEPEVGMVGEKRDEGEDQNDPWKKLKDFAGSVANGALKWLRDNL >ONI16276 pep chromosome:Prunus_persica_NCBIv2:G3:6596531:6604832:1 gene:PRUPE_3G088900 transcript:ONI16276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAALSLLPSSSPHLLFPPSSSSSSSSSSFVLGGTHLRTHKSFASLHSWSPSSSSSTTSSWKFNTKRRVGIVFAASGDYYATLGVPKSASSKEIKTAYRRLARQYHPDVNKQPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSTVGGSSSAYTTNPFDLFETFFGPSMGGFGGMDAGFGTRRRSTVTKGEDIRYDITLEFSEAIFGVEKEFELSHLETCEVCTGTGGKIGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISEYCRKCSGEGRVRVKKNIKVKVPPGVSTGSILRVAREGDAGPRGGPPGDLYVYLDVEEIEGIQRDGINLSSTVSICYLDAILGAVVKVNTVEGIATLQIPPGTQPGDILVLAKKGAPKLNKPSIRGDHIFTIKVTIPNRISATERELLEELALLNNTTTRRSRTRPKVQPASPLQTVL >ONI16275 pep chromosome:Prunus_persica_NCBIv2:G3:6596611:6604649:1 gene:PRUPE_3G088900 transcript:ONI16275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAALSLLPSSSPHLLFPPSSSSSSSSSSFVLGGTHLRTHKSFASLHSWSPSSSSSTTSSWKFNTKRRVGIVFAASGDYYATLGVPKSASSKEIKTAYRRLARQYHPDVNKQPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSTVGGSSSAYTTNPFDLFETFFGPSMGGFGGMDAGFGTRRRSTVTKGEDIRYDITLEFSEAIFGVEKEFELSHLETCEVCTGTGGKIGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISEYCRKCSGEGRVRVKKNIKVKVPPGVSTGSILRVAREGDAGPRGGPPGDLYVYLDVEEIEGIQRDGINLSSTVSICYLDAILGAVVKVNTVEGIATLQIPPGTQPGDILVLAKKGAPKLNKPSIRGDHIFTIKVTIPNRISATERELLEELALLNNTTTRRSRTRPKVQPANNIEPEVGMVGEKRDEGEDQNDPWKKLKDFAGSVANGALKWLRDNL >ONI16277 pep chromosome:Prunus_persica_NCBIv2:G3:6596539:6603258:1 gene:PRUPE_3G088900 transcript:ONI16277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAALSLLPSSSPHLLFPPSSSSSSSSSSFVLGGTHLRTHKSFASLHSWSPSSSSSTTSSWKFNTKRRVGIVFAASGDYYATLGVPKSASSKEIKTAYRRLARQYHPDVNKQPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSTVGGSSSAYTTNPFDLFETFFGPSMGGFGGMDAGFGTRRRSTVTKGEDIRYDITLEFSEAIFGVEKEFELSHLETCEVCTGTGGKIGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISEYCRKCSGEGRVRVKKNIKVKVPPGVSTGSILRVAREGDAGPRGGPPGDLYVYLDVEEIEGIQRDGINLSSTVSICYLDAILGAVVKVNTVEGIATLQIPPGTQPGDILVLAKKGAPKLNKPSIRGDHIFTIKVTIPNRIRCMHCYRRKLF >ONI20060 pep chromosome:Prunus_persica_NCBIv2:G3:27095469:27097940:-1 gene:PRUPE_3G313000 transcript:ONI20060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFRSNALLKFRTPRVSEPPKAKPLLNLNLNDQNYVDARMIKTGFDPNICRSNFLVKSFLKRGELSQARILFDQMPHKNTVSTNMMISSYVNSGNLYEARELLDTMLDRTAVTWTILIGGYSQANQYHEAFKLYAEMHRWGTKPDYVTFATLLSGCSDMETTKQVVQVHSHILKLGYHSTLMVCNSLLDSYCKSHRLDLACRLFKEMPERDNVTFNALITGYSKDGLNEEAINLFAQMQNLGYKPSEFTFAALLCAGIGLYDIAFGQQVHGFVVKTNFVSNVFVGNALLDFYSKHDCSVEVGKLFDEMPELDGISYNVIITSYVWDGHFKKSLDLFRELQLTKYDRKQFPYATMLSIASNTLNLNMGRQIHSQAIVATADSEIQVGNSLVDMYAKCGRFEEAKRIFARLADRSAVPWTAIISAYVQNGLHEEALELFNEMRRANVSPDQATFASILRASANLASLSLGKQLHSSVIRLGFASNVFAGSALLDMYAKCGSMKDALQTFQEMPKRNLVCWNALISAYAQNGDGEGTLRSFEQMVQSGFEPDSVSFLSVLTACSHCGLVEEGLQYFNSLNRNCKIVPKREHYASMVDMLCRSGRFNEAEKLMAQMPFEPDEIMWSSVLNSCKIHKNQELAERAADRLFNMVDLRDAAAYVNMSNIYAATGQWESVGKVKRAMRDRGVRKVTAYSWVEVNHKTHVFTVKDTSHPQSGKIMRKIDELTKEMEKEGYKPDTSCALHNEDEEIKVESLKYHSERLAIVFALISTPEGSPIVVMKNLRACRDCHAAIKVMTKIVGREITVRDSSRFHHFRDGLCSCGDFW >ONI17120 pep chromosome:Prunus_persica_NCBIv2:G3:14642842:14643971:1 gene:PRUPE_3G138900 transcript:ONI17120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYVICHLIYFNYIICYINKRISHKNKKNKQKNKETHLIFRSLPSSSLAPPPSPLLKKTLEIQQSSMMANISLSMGIKVRIQAII >ONI17119 pep chromosome:Prunus_persica_NCBIv2:G3:14642769:14647336:1 gene:PRUPE_3G138900 transcript:ONI17119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYVICHLIYFNYIICYINKRISHKNKKNKQKNKETHLIFRSLPSSSLAPPPSPLLKKTLEIQQSSMMANISLSMGIKVRIQAII >ONI16285 pep chromosome:Prunus_persica_NCBIv2:G3:6657714:6663740:1 gene:PRUPE_3G089600 transcript:ONI16285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAQLGGSISRALQQMSNATVIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRKIIQQAIFNELCKMLDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVETFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFRQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPISQVFSMLPGFSAELMPKGREKESQAKIKRYMTMMDSMTNEELDSSNPKLINESRIMRIARGSGRQIKEVMEMFEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSGKDMMGMFGGGDK >ONI17471 pep chromosome:Prunus_persica_NCBIv2:G3:17991392:17997286:1 gene:PRUPE_3G161000 transcript:ONI17471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARKQDVSVSLGKSPSCNERDNVCGTSQMGSCRSKDCHPTSNNTPPKGSCNAYEVNDRMLDTLACGAHHDISEAVLNKKSQGLKRRGDLEFEMQLKMALSATAVPTADREMGSGVNYLNGNENFSYSKRMKRIVSEESRNSSQSISTAVGSRKVGSPLYWAEVYCKGENLTGKWVHIDAINAIIDGEQNVEALAAACKTSLRYAVAFAGNGAKDVTRRYCLKWYQIASQRVNSIWWDAVLAPLRDFEVTATSGSVHLEKEHTGSSSGHEQAKSLNISDRAVIATRNSLEDMELETKALTEPLPTNQQAYKNHQLYAIEKWLNKDQVLHPKGPIVGFCSGHPVYPRTCVQTLKTRERWLREGLQVKINEHPVKELKRSSKVHKVQDPESDNYVGGNSKRTIELYGKWQLEPLDLPHAVNGIVPKNDHGNVEVWSEKCLPPGTMHLRLPRVFYVAKRLEIDYAPAMVGFEFKNGQSYPVFDGIVVCAEFGDAIVEAYAEEEERREAVEKKRNEMQAISRWYQLLSSVVTRQRLENLYGDSSSSVASVSTKSVNGKLDVQVDGSPNDEQSLACQQDVHENRPAGPSAAMPENHEHVFLTENQSFDEDNLVVTRRCHCGFTVQVEEL >ONI17469 pep chromosome:Prunus_persica_NCBIv2:G3:17988821:17997279:1 gene:PRUPE_3G161000 transcript:ONI17469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKNETKRSKESSSGTLADVSLEAVGKLLRRCNKTGRKKFENSLRQCDSIGKSESGAKRDEEDVDSRVRGNSLETAGGSKDAKKKVSWEEKVDRESFQCSFTDTKEELDDADWEDGPVPILNSVGDHEVTIELNETPDSTRRKRIRRASAEDKELAELVHKVHLLCLLARGRLIDRACDDALIQFQNNFRVRSTSVSKSFYSALTFALETHEGTQEEIAALSVALFRALNLTTRFVSILDVASLKPDADKTEYSSEDASRSSRGIFSTSTPMVARKQDVSVSLGKSPSCNERDNVCGTSQMGSCRSKDCHPTSNNTPPKGSCNAYEVNDRMLDTLACGAHHDISEAVLNKKSQGLKRRGDLEFEMQLKMALSATAVPTADREMGSGVNYLNGNENFSYSKRMKRIVSEESRNSSQSISTAVGSRKVGSPLYWAEVYCKGENLTGKWVHIDAINAIIDGEQNVEALAAACKTSLRYAVAFAGNGAKDVTRRYCLKWYQIASQRVNSIWWDAVLAPLRDFEVTATSGSVHLEKEHTGSSSGHEQAKSLNISDRAVIATRNSLEDMELETKALTEPLPTNQQAYKNHQLYAIEKWLNKDQVLHPKGPIVGFCSGHPVYPRTCVQTLKTRERWLREGLQVKINEHPVKELKRSSKVHKVQDPESDNYVGGNSKRTIELYGKWQLEPLDLPHAVNGIVPKNDHGNVEVWSEKCLPPGTMHLRLPRVFYVAKRLEIDYAPAMVGFEFKNGQSYPVFDGIVVCAEFGDAIVEAYAEEEERREAVEKKRNEMQAISRWYQLLSSVVTRQRLENLYGDSSSSVASVSTKSVNGKLDVQVDGSPNDEQSLACQQDVHENRPAGPSAAMPENHEHVFLTENQSFDEDNLVVTRRCHCGFTVQVEEL >ONI17468 pep chromosome:Prunus_persica_NCBIv2:G3:17988821:17997278:1 gene:PRUPE_3G161000 transcript:ONI17468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKNETKRSKESSSGTLADVSLEAVGKLLRRCNKTGRKKFENSLRQCDSIGKSESGAKRDEEDVDSRVRGNSLETAGGSKDAKKKVSWEEKVDRESFQCSFTDTKEELDDADWEDGPVPILNSVGDHEVTIELNETPDSTRRKRIRRASAEDKELAELVHKVHLLCLLARGRLIDRACDDALIQATLLSLLPVHLLHISKVAKPTVKDLRPLVFWFQNNFRVRSTSVSKSFYSALTFALETHEGTQEEIAALSVALFRALNLTTRFVSILDVASLKPDADKTEYSSEDASRSSRGIFSTSTPMVARKQDVSVSLGKSPSCNERDNVCGTSQMGSCRSKDCHPTSNNTPPKGSCNAYEVNDRMLDTLACGAHHDISEAVLNKKSQGLKRRGDLEFEMQLKMALSATAVPTADREMGSGVNYLNGNENFSYSKRMKRIVSEESRNSSQSISTAVGSRKVGSPLYWAEVYCKGENLTGKWVHIDAINAIIDGEQNVEALAAACKTSLRYAVAFAGNGAKDVTRRYCLKWYQIASQRVNSIWWDAVLAPLRDFEVTATSGSVHLEKEHTGSSSGHEQAKSLNISDRAVIATRNSLEDMELETKALTEPLPTNQQAYKNHQLYAIEKWLNKDQVLHPKGPIVGFCSGHPVYPRTCVQTLKTRERWLREGLQVKINEHPVKELKRSSKVHKVQDPESDNYVGGNSKRTIELYGKWQLEPLDLPHAVNGIVPKNDHGNVEVWSEKCLPPGTMHLRLPRVFYVAKRLEIDYAPAMVGFEFKNGQSYPVFDGIVVCAEFGDAIVEAYAEEEERREAVEKKRNEMQAISRWYQLLSSVVTRQRLENLYGDSSSSVASVSTKSVNGKLDVQVDGSPNDEQSLACQQDVHENRPAGPSAAMPENHEHVFLTENQSFDEDNLVVTRRCHCGFTVQVEEL >ONI17470 pep chromosome:Prunus_persica_NCBIv2:G3:17988821:17997286:1 gene:PRUPE_3G161000 transcript:ONI17470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKNETKRSKESSSGTLADVSLEAVGKLLRRCNKTGRKKFENSLRQCDSIGKSESGAKRDEEDVDSRVRGNSLETAGGSKDAKKKVSWEEKVDRESFQCSFTDTKEELDDADWEDGPVPILNSVGDHEVTIELNETPDSTRRKRIRRASAEDKELAELVHKVHLLCLLARGRLIDRACDDALIQIAALSVALFRALNLTTRFVSILDVASLKPDADKTEYSSEDASRSSRGIFSTSTPMVARKQDVSVSLGKSPSCNERDNVCGTSQMGSCRSKDCHPTSNNTPPKGSCNAYEVNDRMLDTLACGAHHDISEAVLNKKSQGLKRRGDLEFEMQLKMALSATAVPTADREMGSGVNYLNGNENFSYSKRMKRIVSEESRNSSQSISTAVGSRKVGSPLYWAEVYCKGENLTGKWVHIDAINAIIDGEQNVEALAAACKTSLRYAVAFAGNGAKDVTRRYCLKWYQIASQRVNSIWWDAVLAPLRDFEVTATSGSVHLEKEHTGSSSGHEQAKSLNISDRAVIATRNSLEDMELETKALTEPLPTNQQAYKNHQLYAIEKWLNKDQVLHPKGPIVGFCSGHPVYPRTCVQTLKTRERWLREGLQVKINEHPVKELKRSSKVHKVQDPESDNYVGGNSKRTIELYGKWQLEPLDLPHAVNGIVPKNDHGNVEVWSEKCLPPGTMHLRLPRVFYVAKRLEIDYAPAMVGFEFKNGQSYPVFDGIVVCAEFGDAIVEAYAEEEERREAVEKKRNEMQAISRWYQLLSSVVTRQRLENLYGDSSSSVASVSTKSVNGKLDVQVDGSPNDEQSLACQQDVHENRPAGPSAAMPENHEHVFLTENQSFDEDNLVVTRRCHCGFTVQVEEL >ONI16238 pep chromosome:Prunus_persica_NCBIv2:G3:6468647:6469792:-1 gene:PRUPE_3G087200 transcript:ONI16238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLITSPPSNPKTHHCFPLHRTFNRPGRPVLGFRPLPQSSKVLNFVHYDSKQSQPNKFLTSTKLFSHLLTNSNRTVPTISTNAALSEATEPEAETEPGKYRRILLSDVIVKRPRNVFMGREWNLRDMATAGVVLAMHLLSLFAPFQFNWGAFWVAVALYVVTGLFGITLSYHRNLSHKSFKLPKWLEYLFAYCAVQALQGSPIDWVSTHRYHHQFCDSERDPHSPIEGFWCSHISWLFDTNSVAERCGGSNNAGDLEKQPFYQLIQKTYIAHPIALGVLLYAMGGFPFLVWGMVRMAFIFCFF >ONI16237 pep chromosome:Prunus_persica_NCBIv2:G3:6467640:6470062:-1 gene:PRUPE_3G087200 transcript:ONI16237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLITSPPSNPKTHHCFPLHRTFNRPGRPVLGFRPLPQSSKVLNFVHYDSKQSQPNKFLTSTKLFSHLLTNSNRTVPTISTNAALSEATEPEAETEPGKYRRILLSDVIVKRPRNVFMGREWNLRDMATAGVVLAMHLLSLFAPFQFNWGAFWVAVALYVVTGLFGITLSYHRNLSHKSFKLPKWLEYLFAYCAVQALQGSPIDWVSTHRYHHQFCDSERDPHSPIEGFWCSHISWLFDTNSVAERCGGSNNAGDLEKQPFYQLIQKTYIAHPIALGVLLYAMGGFPFLVWGMGVRIIWVYHITWLVNSACHVWGKQAWNTCDLSRNNWWVALLAFGEGWHNNHHAFEYSARHGLNWWQLDMTWYVVKFLQAIGLATDVKLPTEAHKQRMALN >ONI18900 pep chromosome:Prunus_persica_NCBIv2:G3:23801283:23809298:1 gene:PRUPE_3G247200 transcript:ONI18900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYVNDCQPIITMTTAMAPPPDLVPETLSSELAETSSSAITMKPPMGPPPAKNPSPPPQSEAPISEDQPQSNSSINDSTEAAEDNAKQTLKPQSQGFAVPYTIPPWSAAPCHQFQLEVLKDGAIINQFDVYEKGAYMFGRIDLCDFVLEHPTVSRFHAVLQFKRSGEAYLYDLGSTHGTFINKNQVNKKVYVDLCVGDVIRFGHSSRLYIFQGPSELMPPEKDLKLLRVAKMREDILDQEASLQRARLEASLADGISWGMEEDAIEEAEDDGEEVTWQTYKGQLTEKQEKTREKIAHMKKEIDAIRAKDISQGGLSQGQQTQIARNEQRIAQIMEELENLEETLNESIRESLGARVGKLSYGKKKGATDEEEELLSDDDEFYDRTKKPSSKKAGENPSVETSDTLLDKRDAIMKEMEEKKELLSIEKNKMASKTTDETDAADALDAYMSGLSSQLVLNKTEELQKELSALQSELDRIIFLLKIADPSGEAAKKRDSKVEEVQESKPNKSETPAPAIKKQPPMEPEESSQPGKPANDSILKEGTTEVSIKSSTELAASEIVTDATEGKNVVYTVVKPQWLGAVEDIKMEKGHQEAAPSNQDEAGEFVDYKDRKKILENVSDAKVNMESGIENAAPGLIIRKRKQVHESKGNDSDSRQQPASSTGAEFLAEDAVALLLKHKRGYYAPDDETQDVKEGKQLSKDKKKPKRVLGPEKPSFLDTNSDETWVPPEGQSGDGRTSLNSHYGY >ONI17678 pep chromosome:Prunus_persica_NCBIv2:G3:19109639:19110439:-1 gene:PRUPE_3G173700 transcript:ONI17678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGADLPVPSVVSKTAIDRSQDADKSNSHHRPGIDAILMAPKLPKAVPPISAAAEGESIRRPRGRPAGSKNKPKPPIIVTRDSANALRAHAMEVSSGCDVSESLTNFARRKQRGICILSGSGCVTNVTLRQPASSGAIVTLHGRFEILSLLGSILPPPAPPGITGLTIYLAGAQGQVVGGSVVGALIASGPVVIMAASFMNATFDRLPLDEDEVAAALQNQQYQNGRHHHLDISDLYGLPPNLLTNGNVPPEVYSWASGRTMSKT >ONI17843 pep chromosome:Prunus_persica_NCBIv2:G3:19840720:19844980:-1 gene:PRUPE_3G182700 transcript:ONI17843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMQLLGHHHVILFVLLFWCSIVTETKPASSSVLAPPIAKLNCMTHCGINVSIPYPFGIGPNKDCYFNEWFQIDCNESTGHKPFLRRAQMEVLNISIDGTLQVKSPVTFFSGCKGKETRQAPNLTGSPFVYLDRKNMFTAVSCGRLATMRSDENVFHGCNSTCDDQSTDSATYRCGTIGNNCCQTTIPPNIGVFTTEIQPRDQLEGCNYAFLVDQDWFLKNLSSYRAIQGMDSVPVVLEWNISLDNTSHKAFEGFIRRSVGVYDRRYNYNDSTPYCEIYNATTSSTYNQSSVHCFCPGGFQGNPYLLHPCQDIDECKLNRRMDPDLLPTSDFSSSAICKNFVGGFTLYSNVTRVTCSCFGENSWNCYYKPLPSRIRTVLLGVFMGPGLLLLLVGAWYAYKVIKKRKDIKRKEKFFKRNGGLLLQQQLSSGEINVEKIKLFKSEELEKSTDKFNIDRILGQGGQGTVYKGMFADGKVVAIKKSKIIDEGQLSEFINEVVILSQINHRNVVQLLGCCLETEVPLLVYEFIPNGTLSHYIHEQNEDFPFTWKMRLRIATEIAGALSYLHGAASFPIYHRDIKSTNILLDEKYTGKVADFGTSRSIVIGQTHLTTVVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGLQPVFAVIGSQEKERRSLATCFIISMEEDRLFDILDARVVMEGSKADITTFANLAMRCLDLNGRNRPTMREVTAELEAIQMLIEKSSNAQHNYNGVELSSSTESASDTGTNSTSDEF >ONI19317 pep chromosome:Prunus_persica_NCBIv2:G3:25232157:25234530:-1 gene:PRUPE_3G271600 transcript:ONI19317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLDLVLFMISFCILVPSLRASIANDSNVGQFDAVWKERELKAEKAALKAYQPNPEKVTDDFNESVQEFDDLNFEDDDQVSNITGRHLLGKKYKGPCKATNPIDRCWRCRKDWANDRKKLANCVKGFGRRTRGGKRGGFYVVTDPSDSNVVDPKPGTLRHAVIQPQPLWIIFARSMTIRLTQELILTSHKTIDARGANVRIAHGAGITLQFVQNVIIHGLHIHDIVPGSGGTIRDSVDHFGTRTQSDGDGISIFGSSHIWLDHLSMWNCGDGLIDVIQGSTAITISNCHFTRHNDVLLFGASDSFAGDAIMQITVAFNHFGKGLVQRMPRCRWGFIHVVNNDYTHWLMYAIGGSSHPTIISQGNRFIAPPNHAAKEVTKRDYAPVDVWKNWQWRSEGDLMLNGAFFVESGVAKKNHGFGKLDMMTAKPGTFVTRLTRFAGTLGCRVGQKC >ONI20050 pep chromosome:Prunus_persica_NCBIv2:G3:27066974:27069130:-1 gene:PRUPE_3G312400 transcript:ONI20050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISKSSFCLINRRPYSVSVVNPNLTTWFSKYVDKNDVSSWNSVIADLARSGDSVEALRAFSSMRKLSLRPTRSSFPCAIKSCSALLDLHSGKQAHQQALVFGFESDLFVSSALIDMYSRCGLLSDAWTLFDLIPHRNVVSWTSMITGCVQNDHPHQALSLFKDLLIEEIDNEDVSLDPVVVVSVLSACSRVSKKGLTQCVHGLVVKKGFDEDLCVGNTLMDAYAKCGDPSLSRKVFDGMSQKDLVSWNSMIATYAQSGLSSEALQVFNGMVEDGDFHYNAVTLSALLIACAHSGPLLVGKSIHDQVLKMGLEENVIVSTSIIDMYCKCGKVDMARKAFEFIKEKNVKTWTAMVAGYGMHGRAKEALELFYNMIRDGIKPNYITFVSLLNACSHAGLLKEGWYWFNTMNHKFGVEPGIEHYGCMVDLLGRSGDLNKAYDLIKGMRVRPDCVVWGSFLGACRIHKNVMFAEISARKLFKLDPNNCGYYILLSNIYADAGRWEDVERMRVLMKNRGLVKPPGFSLVELKGKVHLFLVGDREHPQHERIYEYLEKLTIKLLEVGYAPNVTSVLHDVDEEEKEMVLRVHSEKLAVAFGIMNSVPGTTIQIIKNLRVCADCHTVIKLLSKVVNREIVVRDSKRFHHFRDGLCSCGDYW >ONI17208 pep chromosome:Prunus_persica_NCBIv2:G3:15886981:15888352:1 gene:PRUPE_3G145300 transcript:ONI17208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSGKSFHAVDMELRRAAVGRAGQPGERVREVSKRAAVEGLAAVGEVCIRGKRRRNLDGVEEEEEEEECGCIMEGAGKRRRLGVEWARRAVV >ONI17449 pep chromosome:Prunus_persica_NCBIv2:G3:17881837:17883401:-1 gene:PRUPE_3G160000 transcript:ONI17449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQHKNPQIENVVMMLPSSIRCSCCSTVMAKGTKFNSRKEETLGEVLTWDHTFRFCFNCTQFSAEIVIRTDPQCSHLAVEAGASKFYKKLVPCTGPNWQEKREEVLANRKRKIEEVGDAMKSLDFKREMDNPDAVDVITHATLSENASLEALQQRATGN >ONI18666 pep chromosome:Prunus_persica_NCBIv2:G3:22869876:22872425:-1 gene:PRUPE_3G231600 transcript:ONI18666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIPALSAPSPSTLRLKITSFSPLSIRPRKQTIQFRSSTKVQILNPIQAHNFPGFSGKAIGFLFERRLRVRVSAKSDGVDEAEEETGGESTMPERFRYLTKEAPDPPVRWPWFVALVFLIYAWRAVLLELANWRKAALAVVRFVGYLLKLILALIFHFLGDPITFIIRFIETTIYTLRSFYSGIVAYAPIPELTTVIMLASTVLAIAEAVVPNSINCQPFLLTASGVVGYAAVTGYISEPFFWTILMGLYGYSRFVKKRDDVTSALPAAAVLAAVGEPYIRVLVISSYLALAILHHSKKLSERKEEIVVTNRKLPMPLLGVALAIGIHLAAKWAGYRHLTWMIV >ONI16077 pep chromosome:Prunus_persica_NCBIv2:G3:5745139:5751604:1 gene:PRUPE_3G077800 transcript:ONI16077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLEETNMEDDYFNWGKKGGVGEVERVQFYESFTLEGMEYSLYDCVHLYRSGCCETDIGKLVEIYDTEDHEKKIKVVWFFRPSDIHNFLGDWKPRRNEILLASGVGQGLSNVNPLEAIVQKCNVVCTSKDVRNPQPSEEELRMASYIFSHNFDVGKRQILENFPDEISGIKVECFFNMRNNQQLFRLPKFQTNLTDPAGPSNVPSKLELDEAKASPVKNKLKESMVGTGEQGCASNEMLRLKLALDGNGTQSARISHGQDKERHKVRFVDQPHISASDCVAFTKGDTSRKKPDGVAFTKGDASRKKPYIDKRSWFKQPSWEEKLQRAQDAGHLVLLDNLDPSYASSEVEALVWKAFREKVEAKMIQYSTFSTPQYGKAFVIFKSKITADRVISELNGRCLVLENWRPVIGIRRSLKGPDKTARFVGHLSIAKIRLQSQREEMRKAVSTSHCSQPNTIEYDMALEWCLQQEKSDACWKNLYEKQMKEIREARKAITNL >ONI16076 pep chromosome:Prunus_persica_NCBIv2:G3:5744332:5751604:1 gene:PRUPE_3G077800 transcript:ONI16076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLEETNMEDDYFNWGKKGGVGEVERVQFYESFTLEGMEYSLYDCVHLYRSGCCETDIGKLVEIYDTEDHEKKIKVVWFFRPSDIHNFLGDWKPRRNEILLASGVGQGLSNVNPLEAIVQKCNVVCTSKDVRNPQPSEEELRMASYIFSHNFDVGKRQILENFPDEISGIKVECFFNMRNNQQLFRLPKFQTNLTDPAGPSNVPSKLELDEAKASPVKNKLKESMVGTGEQGCASNEMLRLKLALDGNGTQSARISHGQDKERHKVRFVDQPHISASDCVAFTKGDTSRKKPDGVAFTKGDASRKKPYIDKRSWFKQPSWEEKLQRAQDAGHLVLLDNLDPSYASSEVEALVWKAFREKVEAKMIQYSTFSTPQYGKAFVIFKSKITADRVISELNGRCLVLENWRPVIGIRRSLKGPDKTARFVGHLSIAKIRLQSQREEMRKAVSTSHCSQPNTIEYDMALEWCLQQEKSDACWKNLYEKQMKEIREARKAITNL >ONI16080 pep chromosome:Prunus_persica_NCBIv2:G3:5745471:5751604:1 gene:PRUPE_3G077800 transcript:ONI16080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLEETNMEDDYFNWGKKGGVGEVERVQFYESFTLEGMEYSLYDCVHLYRSGCCETDIGKLVEIYDTEDHEKKIKVVWFFRPSDIHNFLGDWKPRRNEILLASGVGQGLSNVNPLEAIVQKCNVVCTSKDVRNPQPSEEELRMASYIFSHNFDVGKRQILENFPDEISGIKVECFFNMRNNQQLFRLPKFQTNLTDPAGPSNVPSKLELDEAKASPVKNKLKESMVGTGEQGCASNEMLRLKLALDGNGTQSARISHGQDKERHKVRFVDQPHISASDCVAFTKGDTSRKKPDGVAFTKGDASRKKPYIDKRSWFKQPSWEEKLQRAQDAGHLVLLDNLDPSYASSEVEALVWKAFREKVEAKMIQYSTFSTPQYGKAFVIFKSKITADRVISELNGRCLVLENWRPVIGIRRSLKGPDKTARFVGHLSIAKIRLQSQREEMRKAVSTSHCSQPNTIEYDMALEWCLQQEKSDACWKNLYEKQMKEIREARKAITNL >ONI16079 pep chromosome:Prunus_persica_NCBIv2:G3:5745140:5751604:1 gene:PRUPE_3G077800 transcript:ONI16079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLEETNMEDDYFNWGKKGGVGEVERVQFYESFTLEGMEYSLYDCVHLYRSGCCETDIGKLVEIYDTEDHEKKIKVVWFFRPSDIHNFLGDWKPRRNEILLASGVGQGLSNVNPLEAIVQKCNVVCTSKDVRNPQPSEEELRMASYIFSHNFDVGKRQILENFPDEISGIKVECFFNMRNNQQLFRLPKFQTNLTDPAGPSNVPSKLELDEAKASPVKNKLKESMVGTGEQGCASNEMLRLKLALDGNGTQSARISHGQDKERHKVRFVDQPHISASDCVAFTKGDTSRKKPDGVAFTKGDASRKKPYIDKRSWFKQPSWEEKLQRAQDAGHLVLLDNLDPSYASSEVEALVWKAFREKVEAKMIQYSTFSTPQYGKAFVIFKSKITADRVISELNGRCLVLENWRPVIGIRRSLKGPDKTARFVGHLSIAKIRLQSQREEMRKAVSTSHCSQPNTIEYDMALEWCLQQEKSDACWKNLYEKQMKEIREARKAITNL >ONI16078 pep chromosome:Prunus_persica_NCBIv2:G3:5744095:5751604:1 gene:PRUPE_3G077800 transcript:ONI16078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLEETNMEDDYFNWGKKGGVGEVERVQFYESFTLEGMEYSLYDCVHLYRSGCCETDIGKLVEIYDTEDHEKKIKVVWFFRPSDIHNFLGDWKPRRNEILLASGVGQGLSNVNPLEAIVQKCNVVCTSKDVRNPQPSEEELRMASYIFSHNFDVGKRQILENFPDEISGIKVECFFNMRNNQQLFRLPKFQTNLTDPAGPSNVPSKLELDEAKASPVKNKLKESMVGTGEQGCASNEMLRLKLALDGNGTQSARISHGQDKERHKVRFVDQPHISASDCVAFTKGDTSRKKPDGVAFTKGDASRKKPYIDKRSWFKQPSWEEKLQRAQDAGHLVLLDNLDPSYASSEVEALVWKAFREKVEAKMIQYSTFSTPQYGKAFVIFKSKITADRVISELNGRCLVLENWRPVIGIRRSLKGPDKTARFVGHLSIAKIRLQSQREEMRKAVSTSHCSQPNTIEYDMALEWCLQQEKSDACWKNLYEKQMKEIREARKAITNL >ONI16558 pep chromosome:Prunus_persica_NCBIv2:G3:8455183:8457231:1 gene:PRUPE_3G106500 transcript:ONI16558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFIRLNTPITYIFLSFFFFFFSSSSAFNITEVLNKYPDFSIFNDYLTRTQVSRQINEHPVVTILAVNNTAMSSLVGKSMDLINQVMRIHVFLDYYTLNKLKSLPSSQPSQVTTLLESPDQQRYLNITNVGTLSIVSGAGSEKVGVLRDIYPDDIYKTSIVEVSNVIMPSSLLTTSPSSPSPPSSMPSLPSSVPSPPSSVPSLPSSVPSPPSSVPSSSTFNITKTLFDYPDFTTFNTYLTDTQVCDQINAGSSVTVLAVNNAAMSSLVGKSADIKKKVLSLHVITGYYTLNKFRSLPNSQTTQLNTMLQASFQSVGFQGLLNVTNGDTVSLVSASGSEKAQLVKDIYTDDFKISVVEISNLIVPSDSPTSPPSSPSASTSLPPSSTPSSSPFDITRTLTGSPDFNLFSTYLTQTQVANQINERKTMTIFVVNNGAMTSLVDKPMEIKKKVLSLHVIMDYYTVQKFHNLPVSQPTRINTLLQVTDQPSAQQGFVNVTNGDTISIVSAAGSDQAVVVQDIAADESSYISVVQISNLILPSSLTAPTPSPSSNPGKLRSAAPVKAPTSSIAAAPKVGDTPSSSIAAAPVSNAPITSIASGPIGAALAPHVSAVSSIECASIVTMILVSAFSLASVIVI >ONI16822 pep chromosome:Prunus_persica_NCBIv2:G3:11114232:11116697:-1 gene:PRUPE_3G123900 transcript:ONI16822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGSKPLQIWDKEGLGFGLTKFVDDHIKRPQDEDIQSNVLEIGMNIQSTYITCPADPSATLGIKLPFLDML >ONI16823 pep chromosome:Prunus_persica_NCBIv2:G3:11114232:11116697:-1 gene:PRUPE_3G123900 transcript:ONI16823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGSKPLQIWDKEGLGFGLTKFVDDHIKRPQDEDIQSNVLEIGMNIQSTYITCPADPSATLGIKLPFLDML >ONI16821 pep chromosome:Prunus_persica_NCBIv2:G3:11114232:11116705:-1 gene:PRUPE_3G123900 transcript:ONI16821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGSKPLQIWDKEGLGFGLTKFVDDHIKRPQDEDIQSNVLEIGMNIQSTYITCPADPSATLGIKLPFLDML >ONI16820 pep chromosome:Prunus_persica_NCBIv2:G3:11114232:11116704:-1 gene:PRUPE_3G123900 transcript:ONI16820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGSKPLQIWDKEGLGFGLTKFVDDHIKRPQDEDIQSNVLEIGMNIQSTYITCPADPSATLGIKLPFLDML >ONI20153 pep chromosome:Prunus_persica_NCBIv2:G3:27294442:27312774:-1 gene:PRUPE_3G316100 transcript:ONI20153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESCNRVAANQWLVQFQQTDAAWEVATSILTSDFHHSFVSDYEVEFFAAQILKRKSGAKDALLNALLVAAKRFSSGPHQLLTQICLALSALILRAAEHGKPVEQLFYSLQNLQTQVDGNVAVLEMLTVLPEEVLDNQNTDCKISSADRNQYGQELLSHTPMVLEFLLQQSEKGFDGGVQLHERNRKILRCLLSWVRAGCFSEIPHGLLPAHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPHILLCRVHFLKEVLLMPALSNSDEKVVGGLACLLSEIGQAAPSLIVEASAEAVALADALLSCVTFPSEDWEIADSTLQFWSGFASYILGLDEDGAKQRKQVEDMFFPVFSALLDALLLRAQVDDSMFNDEQGTPELPDGLVHFRMNLVELLVDICQLLRSATFVQKLFFVGWASANAPIPWKEVETKLFALNVVAEVVLQEGQTFDFSVIMQLVTVLSTRPLDELKGIMCIVYRSLADVVGSYSKWISAFQTNARPLLLFLAAGISEPLSSSSCASALRKVCDDSSAFMCEASNLEILMWIGEGLEKRQLPMEDEEEVVSAVSLILGSITNKELKSNLLARLLSSSFEAIGKLVDEDNNHCLRQNPATYTQILNSGARGLYRMGTVFSHLATSMQSGPSADDCMLALLQVFWPMLEKLFWSEHMENGNLSTAACRALTQAIQSSGQHFLRLLPKVLDCLSTNYVSFQSHECYIRTASVVIEEFGNKEEYGPLFVTTLERFTHAASVMALNSSYICDQEPDLVEAYTNFASTYVRGTRKEVVAASGTLLEISFQKAAICCTAMHRGAALASMSYLSFKAWCRVFFESINLRRKKRPVSRGEVFLFLIAGEGALNHVLMM >ONI20154 pep chromosome:Prunus_persica_NCBIv2:G3:27303421:27312777:-1 gene:PRUPE_3G316100 transcript:ONI20154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESCNRVAANQWLVQFQQTDAAWEVATSILTSDFHHSFVSDYEVEFFAAQILKRKIQNEGCYLQSGAKDALLNALLVAAKRFSSGPHQLLTQICLALSALILRAAEHGKPVEQLFYSLQNLQTQVDGNVAVLEMLTVLPEEVLDNQNTDCKISSADRNQYGQELLSHTPMVLEFLLQQSEKGFDGGVQLHERNRKILRCLLSWVRAGCFSEIPHGLLPAHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPHILLCRVHFLKEVLLMPALSNSDEKVVGGLACLLSEIGQAAPSLIVEASAEAVALADALLSCVTFPSEDWEIADSTLQFWSGFASYILGLDEDGAKQRKQVEDMFFPVFSALLDALLLRAQVDDSMFNDEQGTPELPDGLVHFRMNLVELLVDICQLLRSATFVQKLFFVGWASANAPIPWKEVETKLFALNVAEVVLQEGQTFDFSVIMQLVTVLSTRPLDELKGIMCIVYRSLADVVGSYSKWISAFQTNARPLLLFLAAGISEPLSSSSCASALRKVCDDSSAFMCEASNLEILMWIGEGLEKRQLPMEDEEEVVSAVSLILGSITNKELKSNLLARLLSSSFEAIGKLVDEDNNHCLRQNPATYTQILNSGARGLYRYATRLLVYSCMCMHVI >ONI20150 pep chromosome:Prunus_persica_NCBIv2:G3:27291999:27312774:-1 gene:PRUPE_3G316100 transcript:ONI20150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESCNRVAANQWLVQFQQTDAAWEVATSILTSDFHHSFVSDYEVEFFAAQILKRKLLTQICLALSALILRAAEHGKPVEQLFYSLQNLQTQVDGNVAVLEMLTVLPEEVLDNQNTDCKISSADRNQYGQELLSHTPMVLEFLLQQSEKGFDGGVQLHERNRKILRCLLSWVRAGCFSEIPHGLLPAHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPHILLCRVHFLKEVLLMPALSNSDEKVVGGLACLLSEIGQAAPSLIVEASAEAVALADALLSCVTFPSEDWEIADSTLQFWSGFASYILGLDEDGAKQRKQVEDMFFPVFSALLDALLLRAQVDDSMFNDEQGTPELPDGLVHFRMNLVELLVDICQLLRSATFVQKLFFVGWASANAPIPWKEVETKLFALNVVAEVVLQEGQTFDFSVIMQLVTVLSTRPLDELKGIMCIVYRSLADVVGSYSKWISAFQTNARPLLLFLAAGISEPLSSSSCASALRKVCDDSSAFMCEASNLEILMWIGEGLEKRQLPMEDEEEVVSAVSLILGSITNKELKSNLLARLLSSSFEAIGKLVDEDNNHCLRQNPATYTQILNSGARGLYRMGTVFSHLATSMQSGPSADDCMLALLQVFWPMLEKLFWSEHMENGNLSTAACRALTQAIQSSGQHFLRLLPKVLDCLSTNYVSFQSHECYIRTASVVIEEFGNKEEYGPLFVTTLERFTHAASVMALNSSYICDQEPDLVEAYTNFASTYVRGTRKEVVAASGTLLEISFQKAAICCTAMHRGAALASMSYLSCFLEVGLASLLDSMTCTPEGSFSAMAIQVISHSGEGLVSNLIYALLGVSAMSRVHKCATILQQLAAICSLSERTTWKAILCWESLHGWLHSAVQALPAEYLKQGEVETLVPVWSKALAGAASDYIESRSCDGGHNSYGHMQGKGGRVLKRLVREFADSHRNVPNLT >ONI20149 pep chromosome:Prunus_persica_NCBIv2:G3:27292617:27312802:-1 gene:PRUPE_3G316100 transcript:ONI20149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESCNRVAANQWLVQFQQTDAAWEVATSILTSDFHHSFVSDYEVEFFAAQILKRKLLTQICLALSALILRAAEHGKPVEQLFYSLQNLQTQVDGNVAVLEMLTVLPEEVLDNQNTDCKISSADRNQYGQELLSHTPMVLEFLLQQSEKGFDGGVQLHERNRKILRCLLSWVRAGCFSEIPHGLLPAHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEAPSLIVEASAEAVALADALLSCVTFPSEDWEIADSTLQFWSGFASYILGLDEDGAKQRKQVEDMFFPVFSALLDALLLRAQVDDSMFNDEQGTPELPDGLVHFRMNLVELLVDICQLLRSATFVQKLFFVGWASANAPIPWKEVETKLFALNVVAEVVLQEGQTFDFSVIMQLVTVLSTRPLDELKGIMCIVYRSLADVVGSYSKWISAFQTNARPLLLFLAAGISEPLSSSSCASALRKVCDDSSAFMCEASNLEILMWIGEGLEKRQLPMEDEEEVVSAVSLILGSITNKELKSNLLARLLSSSFEAIGKLVDEDNNHCLRQNPATYTQILNSGARGLYRMGTVFSHLATSMQSGPSADDCMLALLQVFWPMLEKLFWSEHMENGNLSTAACRALTQAIQSSGQHFLRLLPKVLDCLSTNYVSFQSHECYIRTASVVIEEFGNKEEYGPLFVTTLERFTHAASVMALNSSYICDQEPDLVEAYTNFASTYVRGTRKEVVAASGTLLEISFQKAAICCTAMHRGAALASMSYLSCFLEVGLASLLDSMTCTPEGSFSAMAIQVISHSGEGLVSNLIYALLGVSAMSRVHKCATILQQLAAICSLSERTTWKAILCWESLHGWLHSAVQALPAEYLKQGEVETLVPVWSKALAGAASDYIESRSCDGGHNSYGHMQGKGGRVLKRLVREFADSHRNVPNLT >ONI20151 pep chromosome:Prunus_persica_NCBIv2:G3:27292617:27312805:-1 gene:PRUPE_3G316100 transcript:ONI20151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESCNRVAANQWLVQFQQTDAAWEVATSILTSDFHHSFVSDYEVEFFAAQILKRKIQNEGCYLQSGAKDALLNALLVAAKRFSSGPHQLLTQICLALSALILRAAEHGKPVEQLFYSLQNLQTQVDGNVAVLEMLTVLPEEVLDNQNTDCKISSADRNQYGQELLSHTPMVLEFLLQQSEKGFDGGVQLHERNRKILRCLLSWVRAGCFSEIPHGLLPAHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEAPSLIVEASAEAVALADALLSCVTFPSEDWEIADSTLQFWSGFASYILGLDEDGAKQRKQVEDMFFPVFSALLDALLLRAQVDDSMFNDEQGTPELPDGLVHFRMNLVELLVDICQLLRSATFVQKLFFVGWASANAPIPWKEVETKLFALNVVAEVVLQEGQTFDFSVIMQLVTVLSTRPLDELKGIMCIVYRSLADVVGSYSKWISAFQTNARPLLLFLAAGISEPLSSSSCASALRKVCDDSSAFMCEASNLEILMWIGEGLEKRQLPMEDEEEVVSAVSLILGSITNKELKSNLLARLLSSSFEAIGKLVDEDNNHCLRQNPATYTQILNSGARGLYRMGTVFSHLATSMQSGPSADDCMLALLQVFWPMLEKLFWSEHMENGNLSTAACRALTQAIQSSGQHFLRLLPKVLDCLSTNYVSFQSHECYIRTASVVIEEFGNKEEYGPLFVTTLERFTHAASVMALNSSYICDQEPDLVEAYTNFASTYVRGTRKEVVAASGTLLEISFQKAAICCTAMHRGAALASMSYLSCFLEVGLASLLDSMTCTPEGSFSAMAIQVISHSGEGLVSNLIYALLGVSAMSRVHKCATILQQLAAICSLSERTTWKAILCWESLHGWLHSAVQALPAEYLKQGEVETLVPVWSKALAGAASDYIESRSCDGGHNSYGHMQGKGGRVLKRLVREFADSHRNVPNLT >ONI20152 pep chromosome:Prunus_persica_NCBIv2:G3:27292798:27312594:-1 gene:PRUPE_3G316100 transcript:ONI20152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESCNRVAANQWLVQFQQTDAAWEVATSILTSDFHHSFVSDYEVEFFAAQILKRKSGAKDALLNALLVAAKRFSSGPHQLLTQICLALSALILRAAEHGKPVEQLFYSLQNLQTQVDGNVAVLEMLTVLPEEVLDNQNTDCKISSADRNQYGQELLSHTPMVLEFLLQQSEKGFDGGVQLHERNRKILRCLLSWVRAGCFSEIPHGLLPAHPLLNFVFNSLQVSSSFDLAIEVLVELVSRHEGLPHILLCRVHFLKEVLLMPALSNSDEKVVGGLACLLSEIGQAAPSLIVEASAEAVALADALLSCVTFPSEDWEIADSTLQFWSGFASYILGLDEDGAKQRKQVEDMFFPVFSALLDALLLRAQVDDSMFNDEQGTPELPDGLVHFRMNLVELLVDICQLLRSATFVQKLFFVGWASANAPIPWKEVETKLFALNVVAEVVLQEGQTFDFSVIMQLVTVLSTRPLDELKGIMCIVYRSLADVVGSYSKWISAFQTNARPLLLFLAAGISEPLSSSSCASALRKVCDDSSAFMCEASNLEILMWIGEGLEKRQLPMEDEEEVVSAVSLILGSITNKELKSNLLARLLSSSFEAIGKLVDEDNNHCLRQNPATYTQILNSGARGLYRMGTVFSHLATSMQSGPSADDCMLALLQVFWPMLEKLFWSEHMENGNLSTAACRALTQAIQSSGQHFLRLLPKVLDCLSTNYVSFQSHECYIRTASVVIEEFGNKEEYGPLFVTTLERFTHAASVMALNSSYICDQEPDLVEAYTNFASTYVRGTRKEVVAASGTLLEISFQKAAICCTAMHRGAALASMSYLSCFLEVGLASLLDSMTCTPEGSFSAMAIQVISHSGEGLVSNLIYALLGVSAMSRVHKCATILQQLAAICSLSERTTWKAILCWESLHGWLHSAMPDSLNISVTAGSGSSCRIPKARGS >ONI18534 pep chromosome:Prunus_persica_NCBIv2:G3:22180218:22184406:1 gene:PRUPE_3G221200 transcript:ONI18534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQKIKRKTNSTCKAIRFRYLSLSLPFVMPKTLTLSRFKTLLLIISIFFNLYLLFIHAPPTVTSRYSSSPTTRRHLVFAIASSSLSWARREPYIRLWCSPISTRAFAFLDRAPLDSHGYGSGAQVVVSGDTSRFPYTFRGGLRSAIRVARAVKEVVDRGEPDVRWFIFGDDDTVFFVENLVKTLSKYDHDRWFYVGSNSESYQQNVKYSFEMAFGGGGFAISHSLARVLARVFDSCLMRYGHLYGSDARVFSCVAELGVGLTHEPGFHQVDMRGNLFGMLSAHPLSPLVSLHHLDAAEPIFPDMNKTRALEHLFEAVNVDPARILQQTVCYDISHSLTVSVAWGYAIQVYDGNVLLPDLLSLQKTFTPWRRSGSFDASQYMFNMRDYPKDKCKRPMVFFLESVIANSHGIWSTYTRHSVKNCSKPNAIKNLEQISVFSHKLELDVDEMKAPRRPCCNILPSFNDSMTINIRRCGDDEVISMNF >ONI18535 pep chromosome:Prunus_persica_NCBIv2:G3:22180452:22183279:1 gene:PRUPE_3G221200 transcript:ONI18535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQKIKRKTNSTCKAIRFRYLSLSLPFVMPKTLTLSRFKTLLLIISIFFNLYLLFIHAPPTVTSRYSSSPTTRRHLVFAIASSSLSWARREPYIRLWCSPISTRAFAFLDRAPLDSHGYGSGAQVVVSGDTSRFPYTFRGGLRSAIRVARAVKEVVDRGEPDVRWFIFGDDDTVFFVENLVKTLSKYDHDRWFYVGSNSESYQQNVKYSFEMAFGGGGFAISHSLARVLARVFDSCLMRYGHLYGSDARVFSCVAELGVGLTHEPGFHQVDMRGNLFGMLSAHPLSPLVSLHHLDAAEPIFPDMNKTRALEHLFEAVNVDPARILQQTVCYDISHSLTVSVAWGYAIQVYDGNVLLPDLLSLQKTFTPWRRSGSFDASQYMFNMRDYPKDKCKRPMVFFLESVIANSHGIWSTYTRHSVKNCSKPNAIKNLEQISVFSHKLELDVDEVLFN >ONI20079 pep chromosome:Prunus_persica_NCBIv2:G3:27147983:27154943:1 gene:PRUPE_3G314000 transcript:ONI20079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQRRKRLNGASIIGCNSREQHKAKKKNMGLLKDDSDINSHISLEWDGNQKMVVAKSDQIGISWRDLRPFIDSTFNSHNILADVFAVPEGIYDLEDLEDVLSYEVWQTHLSENERKHLIQFLPRGPEAEQVVQALLSGDYFDFGNPFLKWGASLCSGDFHPDAILRREQCLNTDKKAYYKELQKYHNDMIAYLLKLKERCASCKDPEKEIVQKIWRSRNDMEKKIYSHANESRFRDLEENATVTSESCSWVADEKACSSDNQISSVVKGGKLQNRIYVKGFVKDKGRNVLVTADRAVNVGARSKTGDRLHKRNFYSSDGAKYMSYVKISKKQYEIVKSMKQSGKSIQSRSLNRVLGNLDSFDVQPYEVFVEEEQKKLHQHWLQLANKDLPAAYANWKEMHLQRRQMTKSLEKDMKRRLESLVEDDGGDENHESLLQGEIDIGAEDHDSPLEDDDMSEPGFPQGDECNPMDMEDDDKSLQKLTSGDECNPTDMDSEEHSSTESDNDSEKHIITESGHSPPNLSEYAENLNTANDTVSQGAQLRTRRDVWKPVSMPHSYYDSTASHEYSSTSELSLAHPQVNEEQRTHLVALESDLPVGDTGKDLLHRQSENGSFSYPNQDRNELLQSLFKGQSMLPYDHEQKQTGLDFRPPTNVFTGEGQFRGHFEEQQHQSLPLEQAHKRESEVYMQQNLPENIYSDGGRYLISRQEHLTPINAQDWAVNSVRIPGPLQSHLDGGEMLSHNWFSGEHQVHGGWSASGGTSVASHSIGSGTNADQSLFSVLSHCNQLRSSSPYHPVASTEQFIPPRNYGMPGGVTPRIGNVLPQAAHALDYLGGREATTSMMHDGMQWMNLPHQNSGLRDPMGKPFLRSWNQ >ONI20080 pep chromosome:Prunus_persica_NCBIv2:G3:27148592:27154395:1 gene:PRUPE_3G314000 transcript:ONI20080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQRRKRLNGASIIGCNSREQHKAKKKNMGLLKDDSDINSHISLEWDGNQKMVVAKSDQIGISWRDLRPFIDSTFNSHNILADVFAVPEGIYDLEDLEDVLSYEVWQTHLSENERKHLIQFLPRGPEAEQVVQALLSGDYFDFGNPFLKWGASLCSGDFHPDAILRREQCLNTDKKAYYKELQKYHNDMIAYLLKLKERCASCKDPEKEIVQKIWRSRNDMEKKIYSHANESRFRDLEENATVTSESCSWVADEKACSSDNQISSVVKGGKLQNRIYVKGFVKDKGRNVLVTADRAVNVGARSKTGDRLHKRNFYSSDGAKYMSYVKISKKQYEIVKSMKQSGKSIQSRSLNRVLGNLDSFDVQPYEVFVEEEQKKLHQHWLQLANKDLPAAYANWKEMHLQRRQMTKSLEKDMKRRLESLVEDDGGDENHESLLQGEIDIGAEDHDSPLEDDDMSEPGFPQGDECNPMDMEDDDKSLQKLTSGDECNPTDMDSEEHSSTESDNDSEKHIITESGHSPPNLSEYAENLNTANDTVSQGAQLRTRRDVWKPVSMPHSYYDSTASHEYSSTSELSLAHPQVNEEQRTHLVALESDLPVGDTGKDLLHRQSENGSFSYPNQDRNELLQSLFKGQSMLPYDHEQKQTGLDFRPPTNVFTGEGQFRGHFEEQQHQSLPLEQAHKRESEVYMQQNLPENIYSDGGRYLISRQEHLTPINAQDWAVNSVRIPGPLQSHLDGGEMLSHNWFSGEHQVHGGWSASGGTSVASHSIGSGTNADQSLFSVLSHCNQLRSSSPYHPVASTEQFIPPRNYGMPGGVTPRIGNVLPQAAHALDYLGGREATTSMMHDGMQWMNLPHQNSGLRDPMGKPFLRSWNQ >ONI20081 pep chromosome:Prunus_persica_NCBIv2:G3:27148045:27154395:1 gene:PRUPE_3G314000 transcript:ONI20081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADQRRKRLNGASIIGCNSREQHKAKKKNMGLLKDDSDINSHISLEWDGNQKMVVAKSDQIGISWRDLRPFIDSTFNSHNILADVFAVPEGIYDLEDLEDVLSYEVWQTHLSENERKHLIQFLPRGPEAEQVVQALLSGDYFDFGNPFLKWGASLCSGDFHPDAILRREQCLNTDKKAYYKELQKYHNDMIAYLLKLKERCASCKDPEKEIVQKIWRSRNDMEKKIYSHANESRFRDLEENATVTSESCSWVADEKACSSDNQISSVVKGGKLQNRIYVKGFVKDKGRNVLVTADRAVNVGARSKTGDRLHKRNFYSSDGAKYMSYVKISKKQYEIVKSMKQSGKSIQSRSLNRVLGNLDSFDVQPYEVFVEEEQKKLHQHWLQLANKDLPAAYANWKEMHLQRRQMTKSLEKDMKRRLESLVEDDGGDENHESLLQGEIDIGAEDHDSPLEDDDMSEPGFPQGDECNPMDMEDDDKSLQKLTSGDECNPTDMDSEEHSSTESDNDSEKHIITESGHSPPNLSEYAENLNTANDTVSQGAQLRTRRDVWKPVSMPHSYYDSTASHEYSSTSELSLAHPQVNEEQRTHLVALESDLPVGDTGKDLLHRQSENGSFSYPNQDRNELLQSLFKGQSMLPYDHEQKQTGLDFRPPTNVFTGEGQFRGHFEEQQHQSLPLEQAHKRESEVYMQQNLPENIYSDGGRYLISRQEHLTPINAQDWAVNSVRIPGPLQSHLDGGEMLSHNWFSGEHQVHGGWSASGGTSVASHSIGSGTNADQSLFSVLSHCNQLRSSSPYHPVASTEQFIPPRNYGMPGGVTPRIGNVLPQAAHALDYLGGREATTSMMHDGMQWMNLPHQNSGLRDPMGKPFLRSWNQ >ONI20108 pep chromosome:Prunus_persica_NCBIv2:G3:27226699:27234703:1 gene:PRUPE_3G315400 transcript:ONI20108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPSNDDQCKSSVVVTYGNQVTDKVKERSRDQREPLPGEPKCVICNRYGEYICDQTDDDICSLECKQTVLCRVANTQLPVNLPPPKRLTATDECFYVRDSGSQSGSVFFSSDQTELLRSRLEIHVKGDLVPPPILSFSSCNFPQKLLQNIEAAGFEMPTPVQMQAIPAALSGKSLLVSAETGSGKTASFLVPIVYLCANFRFEQFRDRKKPLAMVLTPTRELCIQVEEQAKLLGKGLPFKTALVVGGDAMARQLHRIQQGVELIVGTPGRLIDLLTKNDIQLDDVKIFGLDEVDCMFQRGFRDQCDKNLKTDDDIMMNGTHSVGECSSSTSLSSQQDVEDDCMIAVVLSEEYAKLDGAVARRLSNLAPVPHIPRINSYIPNISDASLDHQRLLQRLHVYGLYEVKVSGDGNCQFRALSDQMYKSPEYHKHVRKEIVKQLKDYHSLYEGYVPMKYKRYYKKMAKSGEWGDHVTLQAAADKFEAKICLLTSFRDTCFIEIMPQYQPPKRELWLSFWSEVHYNSLYEIRDAPIQQKPRRKHWLF >ONI20109 pep chromosome:Prunus_persica_NCBIv2:G3:27226699:27234534:1 gene:PRUPE_3G315400 transcript:ONI20109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPSNDDQCKSSVVVTYGNQVTDKVKERSRDQREPLPGEPKCVICNRYGEYICDQTDDDICSLECKQTVLCRVANTQLPVNLPPPKRLTATDECFYVRDSGSQSGSVFFSSDQTELLRSRLEIHVKGDLVPPPILSFSSCNFPQKLLQNIEAAGFEMPTPVQMQAIPAALSGKSLLVSAETGSGKTASFLVPIVYLCANFRFEQFRDRKKPLAMVLTPTRELCIQVEEQAKLLGKGLPFKTALVVGGDAMARQLHRIQQGVELIVGTPGRLIDLLTKNDIQLDDVKIFGLDEVDCMFQRGFRDQCDKNLKTDDDIMMNGTHSVGECSSSTSLSSQQDVEDDCMIAVVLSEEYAKLDGAVARRLSNLAPVPHIPRINSYIPNISDASLDHQRLLQRLHVYGLYEVKVSGDGNCQFRALSDQMYKSPEYHKHVRKEIVKQLKDYHSLYEGYVPMKYKRYYKKMAKSGEWGDHVTLQAAADKFEAKICLLTSFRDTCFIEIMPQYQPPKRELWLSFWSEVHYNSLYEIRDAPIQQKPRRKHWLF >ONI18909 pep chromosome:Prunus_persica_NCBIv2:G3:23820197:23823425:-1 gene:PRUPE_3G247400 transcript:ONI18909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVTSSMAAKFAFFPPNPPSYGLEKEEESGKLKMTGVGTRENVDVLKLKTKRGNDVVAVYIRNPSAKLTVLHSHGNAADLGQMYELFSELSLHLRVNLLGYDYSGYGQSTGKPSEQNTYADIEAAYRCLVERYGAKEEDVILYGQSVGSGPTLDLATRLPRLRAVVLHSPIMSGLRVMYPVKRTYWFDIYKNIDKIPLVSCPVLVIHGTADDVVDWSHGKQLWEHCKEKYEPLWIKGGNHCDLELYPQYIKHVKKFVSAVEKYPHLRNGFGPITDQPENPRRSTDTREKSCSTTDQQEHSRPSTDHRENPRLSTDHREKSRASTDKRERSRKSTDHPEKASNSMDQPEKARNSIDRFGEMFRSVGLCNIDCFKPTATSA >ONI18908 pep chromosome:Prunus_persica_NCBIv2:G3:23815517:23823425:-1 gene:PRUPE_3G247400 transcript:ONI18908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVTSSMAAKFAFFPPNPPSYGLEKEEESGKLKMTGVGTRENVDVLKLKTKRGNDVVAVYIRNPSAKLTVLHSHGNAADLGQMYELFSELSLHLRVNLLGYDYSGYGQSTGKPSEQNTYADIEAAYRCLVERYGAKEEDVILYGQSVGSGPTLDLATRLPRLRAVVLHSPIMSGLRVMYPVKRTYWFDIYKNIDKIPLVSCPVLVIHGTADDVVDWSHGKQLWEHCKEKYEPLWIKGGNHCDLELYPQYIKHVKKFVSAVEKYPHLRNGFGPITDQPENPRRSTDTREKSCSTTDQQEHSRPSTDHRENPRLSTDHREKSRASTDKRERSRKSTDHPEKASNSMDQPEKARNSIDRMGRDVSIFIH >ONI16809 pep chromosome:Prunus_persica_NCBIv2:G3:11067803:11071402:-1 gene:PRUPE_3G123600 transcript:ONI16809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIQKFPQNPQIGTLATPKSLLLPLHLLFPELKTSSLANRRRQSKPFKVFYALTMAAMGISQSCSFAVDFSKAKNAAASIFAIIDRKSKIDSSDESGVKLDIVKGEIELHHVIFKYPSRQDIQIFRDLSLTIHCGNTVALVGESGSGKSSVVALLQRFYDPDSGHITLDGIELGKFQLKWLRQQMGLVSQEPALFNDTIRANIAYGKEGDATEAEIIAASELANAYRFISSLGQVKVTKVFPKASLSFRSIFWGLIFELRLNGQFHPFCYLRQPRPVVAAGTKSPYINRQLH >ONI14917 pep chromosome:Prunus_persica_NCBIv2:G3:1129372:1129788:-1 gene:PRUPE_3G015800 transcript:ONI14917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHCVSSKVACARTKRETNRCELLTKYTNIMEDLFYVGVRATSFAKGIDQDF >ONI14916 pep chromosome:Prunus_persica_NCBIv2:G3:1129395:1129789:-1 gene:PRUPE_3G015800 transcript:ONI14916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHCVSSKVACARTKRETNRCELLTKYTNIMEDLFYVGVRATSFAKGIDQDF >ONI17647 pep chromosome:Prunus_persica_NCBIv2:G3:19010873:19014977:-1 gene:PRUPE_3G171400 transcript:ONI17647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQSKTQTPLPKCSYPLPNHFHFHHRHPKKKLNFLTLPQKTKTHFTNHCALYISHANSCSKEHQNKTQKHNSGNPDPYQNDALFLQLNCSTGTESSNNQSPEAPSGEQGQDQRESEADYPKGLSTNMWWADVKGALGQRFNFGAIARSARVLTKDKHLALPHVSVPDIRYIDWPELHRRGFKGVVFDKDNTLTVPYSLTLWGLLGSSLEQCKSVFGPDIAVFSNSAGNILQNTYDHDGSKARELEGAIGIKVIRHKVKKPAGTAEEIEKHFGCKASHLIMVGDRPLTDIVYGNQNGFLTILTEPLSLAEEPFIVRQVRKLETSLVNRWFRKGLKPTSHRLLPDGMQCVKHSPPL >ONI18427 pep chromosome:Prunus_persica_NCBIv2:G3:21814839:21816701:1 gene:PRUPE_3G215000 transcript:ONI18427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTRGHTIGHGSSATVSLATSCFSGDLFAVKSAEMSQSEFLRREQKILSALRSPHIISYMGHDMTSESNKLMYNLFIEYMPRGTIIDEIHNHGGQIDESLIRDYTRDIVKGLEYLHSHGLVHCDIKGRNILVGDDGPKIADFGCARWANPAGEVAVPIVGTPMFMAPEVARGEEQGFPCDVWALGCTIIEMATGGSVPWPNAANPVSVLYQIAYSGEVPEIPSFLSDQAKEFLGKCLRRDPTERWTASQLLKHSFLGEKEELIITSSAKQVQESTSFSPTSILDQGLWNSLEESETLGNLVQFPSFENSSSDHRIRRLSLSSGNPRWALDETWITVRGNDCEESNSIGIANDAEAEDDVDVIGGLARVLVNCDVQQLESPEAVGSEEEEEEELNFLDSNNRCRINFDSLGACKKNMNNSSVGLGNLIFERDRESLLFPSISSF >ONI18853 pep chromosome:Prunus_persica_NCBIv2:G3:23621712:23624753:-1 gene:PRUPE_3G243600 transcript:ONI18853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFSVVCNTGFLSIPNKLEVHGRKSKSLGRYRGFCCRSFAFRSLGYHKFCNFQHGLLWNNELRPLSNGKSGVFLKGFNNRYKSKQELCCYNKIEPLTNANSANKQMHLGKKGNTKLRSLRKRFSLRLRPRLRLLTIRLKTVTIRSVLNGIGTFLRKNIRRVTLFSSISATLGLCYLFLKLTAVPSPKMVPYSELITSLRNDSVTKVLLEEGSRRIYYNTNCRIAGDTHLFDEELTNVQGENMADKVTSDDGSRSDQALNTNVLKKLSVTQASTPDWQYSTRKIDHDEKFLLSLMREKGITYSSAPQSVLMSMRTTLITIISLWIPLLPLMWLLYRQLTAGNSPAKKRRPDNQSVGFDDVEGVDSAKLELMEIVLCLQGAINYNKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFTVSASEFVEMFVGRGAARIRDLFNMARKYSPSIIFIDELDAVGTKRGRSFNDERDQTLNQVI >ONI18854 pep chromosome:Prunus_persica_NCBIv2:G3:23620260:23624753:-1 gene:PRUPE_3G243600 transcript:ONI18854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFSVVCNTGFLSIPNKLEVHGRKSKSLGRYRGFCCRSFAFRSLGYHKFCNFQHGLLWNNELRPLSNGKSGVFLKGFNNRYKSKQELCCYNKIEPLTNANSANKQMHLGKKGNTKLRSLRKRFSLRLRPRLRLLTIRLKTVTIRSVLNGIGTFLRKNIRRVTLFSSISATLGLCYLFLKLTAVPSPKMVPYSELITSLRNDSVTKVLLEEGSRRIYYNTNCRIAGDTHLFDEELTNVQGENMADKVTSDDGSRSDQALNTNVLKKLSVTQASTPDWQYSTRKIDHDEKFLLSLMREKGITYSSAPQSVLMSMRTTLITIISLWIPLLPLMWLLYRQLTAGNSPAKKRRPDNQSVGFDDVEGVDSAKLELMEFYACKELLTITNWEQSYLEVYCWWVLQEQGKHYSPVQWLEKQVYHFSLFLPVNLWRCLLEEGQLALETFLIWQGNIHHRSYSLMSLTRLEQSVAEVSMMSVTKL >ONI18852 pep chromosome:Prunus_persica_NCBIv2:G3:23620180:23624921:-1 gene:PRUPE_3G243600 transcript:ONI18852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFSVVCNTGFLSIPNKLEVHGRKSKSLGRYRGFCCRSFAFRSLGYHKFCNFQHGLLWNNELRPLSNGKSGVFLKGFNNRYKSKQELCCYNKIEPLTNANSANKQMHLGKKGNTKLRSLRKRFSLRLRPRLRLLTIRLKTVTIRSVLNGIGTFLRKNIRRVTLFSSISATLGLCYLFLKLTAVPSPKMVPYSELITSLRNDSVTKVLLEEGSRRIYYNTNCRIAGDTHLFDEELTNVQGENMADKVTSDDGSRSDQALNTNVLKKLSVTQASTPDWQYSTRKIDHDEKFLLSLMREKGITYSSAPQSVLMSMRTTLITIISLWIPLLPLMWLLYRQLTAGNSPAKKRRPDNQSVGFDDVEGVDSAKLELMEIVLCLQGAINYNKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFTVSASEFVEMFVGRGAARIRDLFNMARKYSPSIIFIDELDAVGTKRGRSFNDERDQTLNQLLTEMDGFESDSKVIVVAATNRPEVLDSALCRPGRFSRKIVVGEPDEEGRRKILAVHLRGIPLEEDSNLICSLIASLTPGFVGADLANIVNEAALLAARRGGETVAREDVMEAIERAKFGINDKQLRPSTISKELGKMFPWMPSLMGKNNARQDGLPGPLGYQALS >ONI18851 pep chromosome:Prunus_persica_NCBIv2:G3:23618598:23624753:-1 gene:PRUPE_3G243600 transcript:ONI18851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFSVVCNTGFLSIPNKLEVHGRKSKSLGRYRGFCCRSFAFRSLGYHKFCNFQHGLLWNNELRPLSNGKSGVFLKGFNNRYKSKQELCCYNKIEPLTNANSANKQMHLGKKGNTKLRSLRKRFSLRLRPRLRLLTIRLKTVTIRSVLNGIGTFLRKNIRRVTLFSSISATLGLCYLFLKLTAVPSPKMVPYSELITSLRNDSVTKVLLEEGSRRIYYNTNCRIAGDTHLFDEELTNVQGENMADKVTSDDGSRSDQALNTNVLKKLSVTQASTPDWQYSTRKIDHDEKFLLSLMREKGITYSSAPQSVLMSMRTTLITIISLWIPLLPLMWLLYRQLTAGNSPAKKRRPDNQSVGFDDVEGVDSAKLELMEIVLCLQGAINYNKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFTVSASEFVEMFVGRGAARIRDLFNMARKYSPSIIFIDELDAVGTKRGRSFNDERDQTLNQLLTEMDGFESDSKVIVVAATNRPEVLDSALCRPGRFSRKIVVGEPDEEGRRKILAVHLRGIPLEEDSNLICSLIASLTPGFVGADLANIVNEAALLAARRGGETVAREDVMEAIERAKFGINDKQLRPSTISKELGKMFPWMPSLMGKNNARQDGLPGPLGYQALS >ONI18855 pep chromosome:Prunus_persica_NCBIv2:G3:23622107:23624396:-1 gene:PRUPE_3G243600 transcript:ONI18855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFSVVCNTGFLSIPNKLEVHGRKSKSLGRYRGFCCRSFAFRSLGYHKFCNFQHGLLWNNELRPLSNGKSGVFLKGFNNRYKSKQELCCYNKIEPLTNANSANKQMHLGKKGNTKLRSLRKRFSLRLRPRLRLLTIRLKTVTIRSVLNGIGTFLRKNIRRVTLFSSISATLGLCYLFLKLTAVPSPKMVPYSELITSLRNDSVTKVLLEEGSRRIYYNTNCRIAGDTHLFDEELTNVQGENMADKVTSDDGSRSDQALNTNVLKKLSVTQASTPDWQYSTRKIDHDEKFLLSLMREKGITYSSAPQSVLMSMRTTLITIISLWIPLLPLMWLLYRQLTAGNSPAKKRRPDNQSVGFDDVEGVDSAKLELMELCTPRKLESSWFKLLRIQVRKTRKMNLSVLR >ONI17413 pep chromosome:Prunus_persica_NCBIv2:G3:17600943:17603815:1 gene:PRUPE_3G157500 transcript:ONI17413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSCFCHSQFSLKVDYAKKTIRHSSNYRSITFSLRSSHTQVFGQQLETVGVQLHRDWSFVGGSTVTVRTKLERSIPHRKGSGIYVSWLASSQIASRVFTLGTTAVLPFYALMVLAPKAELVCSPTKMSTESSIPYILLGVLYAYLLYLSWTPQTLRLIFASKYWLPELTGIAKMFTNEMTLASAWIHLLAVDLFAARQVFCEGLQNQIETRHSVSLCLFFCPIGILTHLITKALTKSAGSASGPKTH >ONI15042 pep chromosome:Prunus_persica_NCBIv2:G3:1675617:1681690:-1 gene:PRUPE_3G022700 transcript:ONI15042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYGSRLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAKISGDVYIPRGVSVPALDKDILWEFQPKKIGDTLTGGDLYATVFENSLMEHHVALPPDAMGKITYVAPPGQYSLKDTVLELEFQGVKKKFTMLQTWPVRTPRPVASKVAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYDQFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHYFNLANQAVEKAAGMDGQKISYSLIKHRLGDLFYRLVSQKFEDPAEGEEVIVGKFKKLHEDLTSGFRALEDETR >ONI15043 pep chromosome:Prunus_persica_NCBIv2:G3:1675602:1681760:-1 gene:PRUPE_3G022700 transcript:ONI15043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYGSRLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAKISGDVYIPRGVSVPALDKDILWEFQPKKIGEGDTLTGGDLYATVFENSLMEHHVALPPDAMGKITYVAPPGQYSLKDTVLELEFQGVKKKFTMLQTWPVRTPRPVASKVAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYDQFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHYFNLANQAVEKAAGMDGQKISYSLIKHRLGDLFYRLVSQKFEDPAEGEEVIVGKFKKLHEDLTSGFRALEDETR >ONI15044 pep chromosome:Prunus_persica_NCBIv2:G3:1675617:1681690:-1 gene:PRUPE_3G022700 transcript:ONI15044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYGSRLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAKISGDVYIPRGVSVPALDKDILWEFQPKKIGEGDTLTGGDLYATVFENSLMEHHVALPPDAMGKITYVAPPGQYSLKDTVLELEFQGVKKKFTMLQTWPVRTPRPVASKVAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYDQFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHYFNLANQ >ONI19075 pep chromosome:Prunus_persica_NCBIv2:G3:24500760:24503592:1 gene:PRUPE_3G257300 transcript:ONI19075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSVQVAVVDIAQKRIEAWNSDKLPIYEPGLDDVVKQCRGKNLFFSTEVEKHVDEADIVFVSVNTPTKTTGLGAGKAADLTYWESAARMIADVSKSSKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAIKALKDVYAQWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVIEVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVASMFNTVAKKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAELSIYDPQVTEDQIHKDLALSKFDWDHPLHLQPMSASSITEKQVTVVGDAYEAAKDAHGLCIMTEWDEFKTLDYKKIFDNMQKPAFIFDGRNVVNVDQLREIGFIVFSIGKPLDAWLKDMPAVA >ONI19077 pep chromosome:Prunus_persica_NCBIv2:G3:24500821:24503591:1 gene:PRUPE_3G257300 transcript:ONI19077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSVQVAVVDIAQKRIEAWNSDKLPIYEPGLDDVVKQCRGKNLFFSTEVEKHVDEADIVFVSVNTPTKTTGLGAGKAADLTYWESAARMIADVSKSSKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAIKALKDVYAQWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVIEVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVASMFNTVAKKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAELSIYDPQVTEDQIHKDLALSKFDWDHPLHLQPMSASSITEKQVTVVGDAYEAAKDAHGLCIMTEWDEFKTLDYKKIFDNMQKPAFIFDGRNVVNVDQLREIGFIVFSIGKPLDAWLKDMPAVA >ONI19076 pep chromosome:Prunus_persica_NCBIv2:G3:24500931:24503591:1 gene:PRUPE_3G257300 transcript:ONI19076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSVQVAVVDIAQKRIEAWNSDKLPIYEPGLDDVVKQCRGKNLFFSTEVEKHVDEADIVFVSVNTPTKTTGLGAGKAADLTYWESAARMIADVSKSSKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAIKALKDVYAQWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVIEVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVASMFNTVAKKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAELSIYDPQVTEDQIHKDLALSKFDWDHPLHLQPMSASSITEKQVTVVGDAYEAAKDAHGLCIMTEWDEFKTLDYKKIFDNMQKPAFIFDGRNVVNVDQLREIGFIVFSIGKPLDAWLKDMPAVA >ONI15111 pep chromosome:Prunus_persica_NCBIv2:G3:1937788:1941015:-1 gene:PRUPE_3G026400 transcript:ONI15111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCTALSYICMRILGGGPDGGQDNACARARKWILDHGSVTNMPSWGKTWLSILGVFEWSGSNPMPPEFWMLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGPITPLILQLREELYAQPYNEINWKGVRHHCAKEDIYYPHPWIEDIMWDSLYLCTEPILTRWPFNKLIREKALQVTMKHFHYEDENSRYITTGCVEKVLCMLACWAEDPNGDYFKKHLARIPDYLWVAEDGMKMQSFGSQEWDTGFAIQALLASNLTDEIGPTLARGLDFIEKSQVKDNPSGDFKSMYRHISKGSWIFSDQDHGWQVSDCTAEGLKCCLLFSMMRPDIVGEKMEPERLYDSINVLLSLQSKNGGFAAWEPAGASDWLEMLNPTEFFADIVVEHEYVECTSTAIQALVLFKKLYPGHRKKEIDHSITNATQYIESIQMPDGSWYGNWGVCFTYGSWFALGGLAAAGKTFNNCLAMRKGVNFLLKTQREWWLGRELPFMSQKGKMRRWIVFLSFKFLKQSYCLTLKYLSLWWVIQEYVPLEGNRSNLVHTGWAMMGLIHAGQADRDPAPLHRAAKLIINSQMENGDFPQQEITGVIMKNCMLHYAAYRNIYPLWALAEYLKWVPLPSKA >ONI19749 pep chromosome:Prunus_persica_NCBIv2:G3:26288517:26291665:1 gene:PRUPE_3G295000 transcript:ONI19749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSSKEGSFRQNSSSRSTSSSWSYPQVQSPYVQSPYAQESQSYPPPQQAYPATSQPYYPPSQDYGGARATRPTLERKYSIISDSYKSLEEVTEALARAGLESSNLIVGIDFTKSNEWTGSKSFNRKSLHHIGSGQNPYEHAISIIGKTLAVFDEDNLIPCFGFGDASTHDQDVFSFYSDRYCNGFEEVLTRYREIVPHLRLAGPTSFAPVIEQAMTIVEESGGQYHVLLIIADGQVTRSVDTDRGKLSPQEQKTVDAIVAASKFPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKNMPPSRKETEFALAALMEIPSQYKATIELNILGGRIGDSPQRVPLPPPPVLSASSFSSSKPSRSTSYSSPSFNSSKPSQSTSFEPSVPPYYGDSTSVGTAPPAPSSSYDNQVCPICLTNPKDMAFGCGHQTCCECGQDLQSCPICRSAIQTRIKLY >ONI15200 pep chromosome:Prunus_persica_NCBIv2:G3:2257967:2262122:-1 gene:PRUPE_3G030200 transcript:ONI15200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARVIVFPVRGRNWCFSRSIEPLVSDSASHIPSTLKDLWKKLSFSSNTNANPFAANAELLVDFVSTKMNNAWMGLEKAPQGTFKNKLHGLGLKLLARVKPSEIFLKSISNEITGVEVTYPSSLNARLVRRRLRHIAMRGSIIHKKYLYGSFTLLPLTSAFTVLPLPNIPFFWCLFRTYSHWRALQGSVKLLQLVSDSHDTPNSTIDGNEPEHIKSHGRKKIHDSPWVLQPSKELEELLHHGDEQDGLKKPAILDVCKTFELNTNDVLKYRDSM >ONI16661 pep chromosome:Prunus_persica_NCBIv2:G3:9539312:9541422:1 gene:PRUPE_3G113700 transcript:ONI16661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPGSAAGDGDSKDNSVAKTAGLVVFSGIAMSILKALNPFNKKGNEETPLSESTQPIQLTSSQPQPQPQPLPPQQQPILKEPHTPLPKTITSIDQNVPDTLKSSHKTIQIEKGDTLWGLSREYGVSIDAIKEANGLTGDTIYAGKKLIIP >ONI16662 pep chromosome:Prunus_persica_NCBIv2:G3:9539312:9541422:1 gene:PRUPE_3G113700 transcript:ONI16662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPGSAAGDGDSKDNSVAKTAGLVVFSGIAMSILKALNPFNKKGNEETPLSESTQPIQLTSSQPQPQPQPLPPQQQPILKEPHTPLPVSIDAIKEANGLTGDTIYAGKKLIIP >ONI17038 pep chromosome:Prunus_persica_NCBIv2:G3:14007728:14013200:-1 gene:PRUPE_3G134100 transcript:ONI17038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSKLQELCQQRKWRLPEYNATKLGLDHNPRFSASVTINGVAFDTVQLFRSSKEAQNDAARLAFAHFTDPQPRHTNRIPSPSSFPQPSLPASSALPSTAGTNLTGTHDTKQTLQPKIQETHETSYVPVQFSGIASGGNSQANGSTSSIEDGNRLRDIQHLYKNQLQTYAQKRNLLLPVYSCEREGLPHANRFKCTVTVDEHTYEGPEFLPTMKEAEHAVAKVALMSLLPNGVQEDDIVLYKNVLQELIQKEGFSMPVYSTKNSGEVHMPIFVSTVEIEGETFTGSEARSKKQAEMSAAKVAYHTLRERKSSKIPLVLPPAQKGLEAPDILSSSFQSNLATDLQQVRPNAPMIISPSTITGDQTMENSVTAEGNSHHPTTVSSRPDALTTSSGFSSFDILYGRSQESNNYSLSLSQNGSTSTFPSVGSTNLAMDSTLEPPAKRSMYNKVSVYPYKSDMKFPEGITVLPMSDGKWVALSHT >ONI18054 pep chromosome:Prunus_persica_NCBIv2:G3:20606305:20610041:1 gene:PRUPE_3G194500 transcript:ONI18054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPALVRCTEERVSLCQNCDWMGHGTSTSASAHKRQTLNCYSGCPSASELSSIWSFVLDLPAAGESACEQEMGLMSIAENSTGSAWSPPESNAKQNASGTVEVNGVRSVDKSGVLVGSSSVPELNPATHVVGQQAGSENATLPKLFCHGTKGPAIPEDDDLYDDFDMDEMDLNLENYEELFGVSLNHSEELFKNGGIDSLFGAKNMSRGNSNYQDVGAAEGSSVGLVNALQPACSNAASADSVMSTKTDPIICFPAKQAQSNLSFSGVTGESSAGDCQDCGASSMLLMGEPPWCPPCPESSMQSANRSNAVMRYKEKKKARKFDKRVRYASRKARADVRKRVKGRFIKAGEAYDYDPLNQARTRSY >ONI18055 pep chromosome:Prunus_persica_NCBIv2:G3:20605421:20610187:1 gene:PRUPE_3G194500 transcript:ONI18055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSRRHSRTLLCERCNSQPALVRCTEERVSLCQNCDWMGHGTSTSASAHKRQTLNCYSGCPSASELSSIWSFVLDLPAAGESACEQEMGLMSIAENSTGSAWSPPESNAKQNASGTVEVNGVRSVDKSGVLVGSSSVPELNPATHVVGQQAGSENATLPKLFCHGTKGPAIPEDDDLYDDFDMDEMDLNLENYEELFGVSLNHSEELFKNGGIDSLFGAKNMSRGNSNYQDVGAAEGSSVGLVNALQPACSNAASADSVMSTKTDPIICFPAKQAQSNLSFSGVTGESSAGDCQDCGASSMLLMGEPPWCPPCPESSMQSANRSNAVMRYKEKKKARKFDKRVRYASRKARADVRKRVKGRFIKAGEAYDYDPLNQARTRSY >ONI18753 pep chromosome:Prunus_persica_NCBIv2:G3:23175052:23177891:1 gene:PRUPE_3G236600 transcript:ONI18753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKDLEIEDGDGFTAFFYALLKGMAAIVAKMVKKNKNLVTKRFTNSKDRTPVLVACGWGHWEIARFLYSRTPIHVLTQDKNGRDGAQLISYCLVHRNKSDIGWDLLQKYPKLALTENYSLGHSPLNTLAGLHSAFPSEVPLSCWQRLIYNNIHVQQPQPVPINSDVCVNFEELEDDKRNRRYLISSVTGFFQGVVKNLLKLLGVHDLHEMRLHHVGMLEFLRLMGDVVKSRDLDSKQTDFVLKAIFRAVERGQVEFIKEMCKAIPLMTRDERGRSIFHYAVECRREKVFNLIYGLSEYDRNAILTSADDFNNTILHAAGSLSAHLNHIQGAALQMQRELQWFKEVESIVPLPALETINFTEKMTAREVFTENHKELVKEGEESMKGTATSCTVVGALIVTIMFAAAFTVPGGSNQDTGFPIFLGKKFFRVFLISDSISLFSSTTSVMIFLGILTSRYAEDDFLRSLPTKMLLGLFTLFLSIAAMMVAFSSTLFILLEGESWVSFPIILLAGVPIASFLWMQFPVFFK >ONI18752 pep chromosome:Prunus_persica_NCBIv2:G3:23173939:23177891:1 gene:PRUPE_3G236600 transcript:ONI18752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLVSSIANHLNMLKPSPEEGLHNNKDESDVKYDPLYDSLKRGDWNAAKEFIDRHPGALTYRGSSSGGTALHEAIERKQLHIVEELLKLMTEKDLEIEDGDGFTAFFYALLKGMAAIVAKMVKKNKNLVTKRFTNSKDRTPVLVACGWGHWEIARFLYSRTPIHVLTQDKNGRDGAQLISYCLVHRNKSDIGWDLLQKYPKLALTENYSLGHSPLNTLAGLHSAFPSEVPLSCWQRLIYNNIHVQQPQPVPINSDVCVNFEELEDDKRNRRYLISSVTGFFQGVVKNLLKLLGVHDLHEMRLHHVGMLEFLRLMGDVVKSRDLDSKQTDFVLKAIFRAVERGQVEFIKEMCKAIPLMTRDERGRSIFHYAVECRREKVFNLIYGLSEYDRNAILTSADDFNNTILHAAGSLSAHLNHIQGAALQMQRELQWFKEVESIVPLPALETINFTEKMTAREVFTENHKELVKEGEESMKGTATSCTVVGALIVTIMFAAAFTVPGGSNQDTGFPIFLGKKFFRVFLISDSISLFSSTTSVMIFLGILTSRYAEDDFLRSLPTKMLLGLFTLFLSIAAMMVAFSSTLFILLEGESWVSFPIILLAGVPIASFLWMQFPVFFK >ONI15674 pep chromosome:Prunus_persica_NCBIv2:G3:3848211:3849017:-1 gene:PRUPE_3G054700 transcript:ONI15674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRLVYSIFLSKKITKRKRRKRRGRNDSSIHPIEYPLNRISDLPDSIKQKILCRLPAYEDAVRMSFVSKTWQTLWSSLPAYHFDFNSDTGQPISYYYQAGRYPKRKRSAATALDESLLMLREYDDDQKKVINYFRLRGRVPLQSQAWNVDRWIKLVTKHFVKELHLRFSYVAGVPRYRFPPASFDVGSLVVLSLSHCVLDQALVQEGRRFCCLNELSFSYVDLNGLVTDLLSRCPSLVTLEFYRCENTQHTQLGDLTKPIKTVNIEF >ONI18602 pep chromosome:Prunus_persica_NCBIv2:G3:22514959:22515984:1 gene:PRUPE_3G225800 transcript:ONI18602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTPAHSPRHLSSPSPFAISDSSVQLPRSSSQITSRNPRGHPTVLDEDTFVAAIEMIIERDFFPDISKLWDRLDWLEAIKTRDPGQIHDAQLKIIECHNFMGQM >ONI18601 pep chromosome:Prunus_persica_NCBIv2:G3:22514969:22515700:1 gene:PRUPE_3G225800 transcript:ONI18601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTPAHSPRHLSSPSPFAISDSSVQLPRSSSQITSRNPRGHPTVLDEDTFVAAIEMIIERDFFPDISKLWDRLDWLEAIKTRDPGQIHDAQLKIIECHNFMGQM >ONI19341 pep chromosome:Prunus_persica_NCBIv2:G3:25288091:25290260:-1 gene:PRUPE_3G273000 transcript:ONI19341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFKTARVVRLRSHHDKYLLADDDHESVCQDRDGTVRNARWTVEFVKNSNTLRFKSCYGKYLTAANMPFRLGLRGKKVLQTLPKRLDSSLEWEPIREGCQVRLKTPYGQFLRANGGVPPWRNSITHDVPHRTASQDWVLWDIDVIEFRPESPKPRPESPERQPESPERRPVALPPPPPPPELLAEPTEPTSPSKIELRSPRTSKEESSESFNHGSPMKDAGRMIHYHVANDKGDVKDSAEEFSFSLKGSEVEGLKKKLKEETGLEEIVVCSRNPLNGKLYPIRLHLPPNNHDMHVVVVPSSSEGSDLGLD >ONI19344 pep chromosome:Prunus_persica_NCBIv2:G3:25288517:25289862:-1 gene:PRUPE_3G273000 transcript:ONI19344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFKTARVVRLRSHHDKYLLADDDHESVCQDRDGTVRNARWTVEFVKNSNTLRFKSCYGKYLTAANMPFRLGLRGKKVLQTLPKRLDSSLEWEPIREGCQVRLKTPYGQFLRANGGVPPWRNSITHDVPHRTASQDWVLWDIDVIEFRPESPKPRPESPERQPESPERRPVALPPPPPPPELLAEPTEPTSPSKIELRSPRTSKEESSESFNHGSPMKDAGRMIHYHVANDKGDVKDSAEEFSFSLKGSEVEGLKKKLKEETGLEEIVVCSRNPLNGKLYPIRLHLPPNNHDMHVVVVPSSSEAGSDLGLD >ONI19342 pep chromosome:Prunus_persica_NCBIv2:G3:25288091:25290024:-1 gene:PRUPE_3G273000 transcript:ONI19342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFKTARVVRLRSHHDKYLLADDDHESVCQDRDGTVRNARWTVEFVKNSNTLRFKSCYGKYLTAANMPFRLGLRGKKVLQTLPKRLDSSLEWEPIREGCQVRLKTPYGQFLRANGGVPPWRNSITHDVPHRTASQDWVLWDIDVIEFRPESPKPRPESPERQPESPERRPVALPPPPPPPELLAEPTEPTSPSKIELRSPRTSKEESSESFNHGSPMKDAGRMIHYHVANDKGDVKDSAEEFSFSLKGSEVEGLKKKLKEETGLEEIVVCSRNPLNGKLYPIRLHLPPNNHDMHVVVVPSSSEGSDLGLD >ONI19343 pep chromosome:Prunus_persica_NCBIv2:G3:25288517:25289862:-1 gene:PRUPE_3G273000 transcript:ONI19343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFKTARVVRLRSHHDKYLLADDDHESVCQDRDGTVRNARWTVEFVKNSNTLRFKSCYGKYLTAANMPFRLGLRGKKVLQTLPKRLDSSLEWEPIREGCQVRLKTPYGQFLRANGGVPPWRNSITHDVPHRTASQDWVLWDIDVIEFRPESPKPRPESPERQPESPERRPVALPPPPPPPELLAEPTEPTSPSKIELRSPRTSKEESSESFNHGSPMKDAGRMIHYHVANDKGDVKDSAEEFSFSLKGSEVEGLKKKLKEETGLEEIVVCSRNPLNGKLYPIRLHLPPNNHDMHVVVVPSSSEAGSDLGLD >ONI19427 pep chromosome:Prunus_persica_NCBIv2:G3:25578004:25579017:-1 gene:PRUPE_3G279500 transcript:ONI19427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKMDFKAMLLLFASVLLVSAEVSSNHGPHDQELNIKDRYIRYGNLRKWNPLFRAPPSPRQMNEAAPTGVLVVMPLSASRVKTNKDCVPLCDKRCKIETDKYICRRLCMACCGRCKCVPPGDYGSNMNKCGKCYTDKYYNGYKCP >ONI17802 pep chromosome:Prunus_persica_NCBIv2:G3:19568816:19571820:1 gene:PRUPE_3G179600 transcript:ONI17802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAKSAFDVSVDAGITFFDTAEVYGSRASFGAINSETLLGRFVKERKEKDPGVEVAIATKFAALPWRLGRQSVITALKDSLGRLGVSSVELYQLHWPGIWGNEGYLDGLGDAVEQGLVKAVGVSNYSERRLREAHKKLQKRGIPLASNQVNYSLIYRAPEEKGVKSTCDELGITLIAYSPIAQGALTGKYTPENPPTGPRRQIYTPEFLTRLQPLLNRIKEIGEKYSKTNTQVVLNWLIAQDNVVPIPGAKNAEQAAEFAGALGWKLDNEEVAELRSLATEIRPVTGFPVENL >ONI17800 pep chromosome:Prunus_persica_NCBIv2:G3:19567734:19570529:1 gene:PRUPE_3G179600 transcript:ONI17800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHVSSACFSVFSHRRVHKIRAVASENVTTEDDKVKLGGSDLKVTRLGIGAWSWGDTSYWNNFEWDDRKMKAAKSAFDVSVDAGITFFDTAEVYGSRASFGAINSETLLGRFVKERKEKDPGVEVAIATKFAALPWRLGRQSVITALKDSLGRLGVSSVELYQLHWPGIWGNEGYLDGLGDAVEQGLVKAVGVSNYSERRLREAHKKLQKRGIPLASNQVNYSLIYRAPEEKGVKSTCDELGITLIAYSPIAQGEVGTLYFLNLFSFSFNILA >ONI17801 pep chromosome:Prunus_persica_NCBIv2:G3:19567734:19571090:1 gene:PRUPE_3G179600 transcript:ONI17801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHVSSACFSVFSHRRVHKIRAVASENVTTEDDKVKLGGSDLKVTRLGIGAWSWGDTSYWNNFEWDDRKMKAAKSAFDVSVDAGITFFDTAEVYGSRASFGAINSETLLGRFVKERKEKDPGVEVAIATKFAALPWRLGRQSVITALKDSLGRLGVSSVELYQLHWPGIWGNEGYLDGLGDAVEQGLVKAVGVSNYSDLYCRKAIA >ONI17799 pep chromosome:Prunus_persica_NCBIv2:G3:19567734:19571995:1 gene:PRUPE_3G179600 transcript:ONI17799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHVSSACFSVFSHRRVHKIRAVASENVTTEDDKVKLGGSDLKVTRLGIGAWSWGDTSYWNNFEWDDRKMKAAKSAFDVSVDAGITFFDTAEVYGSRASFGAINSETLLGRFVKERKEKDPGVEVAIATKFAALPWRLGRQSVITALKDSLGRLGVSSVELYQLHWPGIWGNEGYLDGLGDAVEQGLVKAVGVSNYSERRLREAHKKLQKRGIPLASNQVNYSLIYRAPEEKGVKSTCDELGITLIAYSPIAQGALTGKYTPENPPTGPRRQIYTPEFLTRLQPLLNRIKEIGEKYSKTNTQVVLNWLIAQDNVVPIPGAKNAEQAAEFAGALGWKLDNEEVAELRSLATEIRPVTGFPVENL >ONI16894 pep chromosome:Prunus_persica_NCBIv2:G3:12563043:12567650:1 gene:PRUPE_3G127700 transcript:ONI16894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILSPLRDGHHQLKEEKQLGGGGRDEGEVEGFDFNGGNSVGSAGLDFPEFSGGMGNLLDSIDFDDLFIGIHDGDVLPDLEMDSEILDFSAHRDHGDEYYHNNAKTTSSKVEDQEEEEEEEEEGKDRVRAAADSLTNATTTRNNQTYSSSSNTSTTTTSATQDQDRDGGHEEIGSKGNCNSNINNNISQIRASQHEQNQLLNQSSSPKESADQKLARKSSSTAQSKNSHGKRKVKKYRSHRKHLLAREAEAASWTQRRQMYGAATVSGGGGGGGGGGGKSSRYDVMMMNSPNWLNAPTMGFPPIPSPTPPSMHHHHHHQMIRPLHVWGHPSMDQSMMHMWPKHLPHNFPSPIPPPPPPAHAWPPPPPPPSDASYWHHPPPHHQRVPNALTPGTPCFPRQLATATQQRFPAPPVPGIPPHAMYKVDTGIAVPSPQSGPHPLVDFHPSNESIDAAIGDVLSKPWLPLPLGLRPPATDSVMVELQRQGIPKIPPSCA >ONI16893 pep chromosome:Prunus_persica_NCBIv2:G3:12563043:12567650:1 gene:PRUPE_3G127700 transcript:ONI16893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILSPLRDGHHQLKEEKQLGGGGRDEGEVEGFDFNGGNSVGSAGLDFPEFSGGMGNLLDSIDFDDLFIGIHDGDVLPDLEMDSEILDFSAHRDHGDEYYHNNAKTTSSKVEDQEEEEEEEEEGKDRVRAAADSLTNATTTRNNQTYSSSSNTSTTTTSATQDQDRDGGHEEIGSKGNCNSNINNNISQIRASQHEQNQLLNQSSSPKESADQKLARKSSSTAQSKNSHGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAASWTQRRQMYGAATVSGGGGGGGGGGGKSSRYDVMMMNSPNWLNAPTMGFPPIPSPTPPSMHHHHHHQMIRPLHVWGHPSMDQSMMHMWPKHLPHNFPSPIPPPPPPAHAWPPPPPPPSDASYWHHPPPHHQRVPNALTPGTPCFPRQLATATQQRFPAPPVPGIPPHAMYKVDTGIAVPSPQSGPHPLVDFHPSNESIDAAIGDVLSKPWLPLPLGLRPPATDSVMVELQRQGIPKIPPSCA >ONI19257 pep chromosome:Prunus_persica_NCBIv2:G3:25017505:25018794:1 gene:PRUPE_3G267800 transcript:ONI19257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITPSITTTTNNTTSTKSPEADSETPTRIQPAKPLSFSNGVLKRHNPHPHHHHLHHHNIPITPVIVTYKECLKNHAATLGGHALDGCGEFMPSPTAIPTDPTSLKCAACGCHRNFHRRDPEDPMPPSSAAATTHVIEYQPHHRHHPPPPTHGGNRSPNSASPPPISSSYYPSAPHMLLALSTAHENALGGPNNNHSPQPPIVSPSPNARKRFRTKFSQEQKDKMYQFAERVGWKMQKRDEEIVQEFCNEINVDKGVLKVWMHNNKNTFAKRDVTNGSGGGLSGGVSRPNILLEEAHNNGNGNGNGNGNNNVDDENDEHNDDNNNSDMQNLNHYQGTDGGAAGHVGTNGSSSSS >ONI16554 pep chromosome:Prunus_persica_NCBIv2:G3:8430239:8444234:1 gene:PRUPE_3G106100 transcript:ONI16554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKMKYPIGPEHYTLYEEVGQGVSASVHRALCKPVDAIVAIKILDFERDNCDLNNISREAQTMILVDHPNVLKSHCSFVSDHNLWVVMPFMSGGSCLHILKAAYPDGFEEVVIATILREILKGLEYLHHHGHIHRDVKAGNILIDSRGAIKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSNDYIARTLLEGLPALGDRIKELKRKEEYMLAQKKMPDGQKEELSQNEYKRGISGWNFNLDDMKAQASLIQDADEPVPDNILMGTSNSLSALDAQQKQSQCHNLDAVADMEDNDMIQNQQATLPLVDTTLYGAKVRSDKSDDDSSIASSSHEPHLSRNSSPRHDDRTENNLGEKPNVDKLEATAMHKRQCSSGSSGLSEVTDPLIKGESDKCPSQPQSNLKCNAAVVPQLADDTLAEVPPKAYKSPANNDELDEKAKVPVVQQKGRFKVTSENVDLEKVAPAPILQKSHSLQASILNLMKQAADFAANRAADGGSSQATNVTEKRQAALEREAELLNEIIELQRRLMSAHDELQKLKVDTA >ONI16553 pep chromosome:Prunus_persica_NCBIv2:G3:8430239:8444234:1 gene:PRUPE_3G106100 transcript:ONI16553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKMKYPIGPEHYTLYEEVGQGVSASVHRALCKPVDAIVAIKILDFERDNCDLNNISREAQTMILVDHPNVLKSHCSFVSDHNLWVVMPFMSGGSCLHILKAAYPDGFEEVVIATILREILKGLEYLHHHGHIHRDVKAGNILIDSRGAIKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSNDYIARTLLEGLPALGDRIKELKRKEEYMLAQKKMPDGQKEELSQNEYKRGISGWNFNLDDMKAQASLIQDADEPVPDNILMGTSNSLSALDAQQKQSQCHNLDAVADMEDNDMIQNQQATLPLVDTTLYGAKVRSDKSDDDSSIASSSHEPHLSRNSSPRHDDRTENNLGEKPNVDKLEATAMHKRQCSSGSSGLSEVTDPLIKGESDKCPSQPQSNLKCNAAVVPQLADDTLAEVPPKAYKSPANNDELDEKAKVPVVQQKGRFKVTSENVDLEKVAPAPILQKSHSLQVISMSPASPLPSALPSPLPSPLTSPSDATPSAICGYFLFPLLNAVLQTNIIQRASILNLMKQAADFAANRAADGGSSQATNVTEKRQAALEREAELLNEIIELQRRLMSAHDELQKLKVDTA >ONI17580 pep chromosome:Prunus_persica_NCBIv2:G3:18714204:18717971:1 gene:PRUPE_3G168300 transcript:ONI17580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNDLNKVWEIKPLKKIGEEDAREILEKVAKQVQPIMRKHNWKVRILSEFCPANPALQGLNVGGGAEVKLRLRRPNNEWDFYPYEQILDTMLHELCHNEYGPHNADFYKLLDEIRRECEELMAKGITGTAQGFDLPGKRLGGFSRQPPLSSIRQTALAAAENRARRGALLPSGPRRLGGDSNIKAALSPIQAAAMAAERRLHDDLWCGSKSLEGGIEIQGNVGTSRRTEAFTVTNGISTQTSIESESGQGKIDDQAKWKCNMCTLLNELLALRCSACGTLKEDVKFKVWSCKFCTLDNRVELDRCSACGEWRYSSGPPVSNRGPYVGT >ONI17581 pep chromosome:Prunus_persica_NCBIv2:G3:18714204:18717971:1 gene:PRUPE_3G168300 transcript:ONI17581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNDLNKVWEIKPLKKIGEEDAREILEKVAKQVQPIMRKHNWKVRILSEFCPANPALQGLNVGGGAEVKLRLRRPNNEWDFYPYEQILDTMLHELCHNEYGPHNADFYKLLDEIRRECEELMAKGITGTAQGFDLPGKRLGGFSRQPPLSSIRQTALAAAENRARRGALLPSGPRRLGGDSNIKAALSPIQAAAMAAERRLHDDLWCGSKSLEGGIEIQGNVGTSRRTEAFTVTNGISTQTSIESESGQGKIDDQAKWKCNMCTLLNELLALRCSACGTLKEDVKFKVWSCKFCTLDNRVELDRCSACGEWRYSSGPPVSNRGPYVGT >ONI19421 pep chromosome:Prunus_persica_NCBIv2:G3:25561099:25564099:-1 gene:PRUPE_3G279000 transcript:ONI19421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVATLFTLWLLEGLNLFKAKRPLCITFGSPLIGDERFRKCVSQFPVWTSCFLHVASIQDPVPKLFLSPNPTALGTGTKVGAYKPFGTFLLCSDFGCACFEDPDLILELVAANSQGDQTQYPNVGIQFFDYGQLLERLKLKAFCKDVFELAESDRVPLKASIITQLAAIFGVPQSQALQQQQPNINILKKKMETHEYKLAIQKTKTSNAAKKLNDIKVSMVYLEWYKKEAKGREIGYYDMYKNKRNMNDVNVYEFKKKLSNYWQDLVEEVENKPQKEEAALRTRWLMGGTTYRRMMEPLHIAEYYKENDGKNYIEERPKHFILLEKWLKEEEERKVAERNRRGETVEDGPSKFKAQNVASILNDDSCFWAHVEEALILCYQLERGQTSFQEREQCKQKLTEFEEYVLDALKNFAVSPDIFLKYSSFMHWWKQYNKIVGSSTQLARIMTDGRYRDYEKGVKVVF >ONI19419 pep chromosome:Prunus_persica_NCBIv2:G3:25561451:25563777:-1 gene:PRUPE_3G279000 transcript:ONI19419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIFSSGLELANVLLTSEPLHQSWDAIQNDKQKVNPNAQPTLHINTTQQANLTIITFLTSPMSLRGQEGLILSSTFKERNLPDFGFLLNKSNPSFSLNKAAINLFASRFDELRLLKTEISRSNSLVIITGHSMGGCVATLFTLWLLEGLNLFKAKRPLCITFGSPLIGDERFRKCVSQFPVWTSCFLHVASIQDPVPKLFLSPNPTALGTGTKVGAYKPFGTFLLCSDFGCACFEDPDLILELVAANSQGDQTQYPNVGIQFFDYGQLLERLKLKAFCKDVFELAESDRVPLKASIITQLAAIFGVPQSQALQQQQPNINILKKKMETHEYKLAIQKTKTSNAAKKLNDIKVSMVYLEWYKKEAKGREIGYYDMYKNKRNMNDVNVYEFKKKLSNYWQDLVEEVENKPQKEEAALRTRWLMGGTTYRRMMEPLHIAEYYKENDGKNYIEERPKHFILLEKWLKEEEERKVAERNRRGETVEDGPSKFKAQNVASILNDDSCFWAHVEEALILCYQLERGQTSFQEREQCKQKLTEFEEYVLDALKNFAVSPDIFLKYSSFMHWWKQYNKIVGSSTQLARIMTDGRYRDYEKGVKVVF >ONI19420 pep chromosome:Prunus_persica_NCBIv2:G3:25561113:25564099:-1 gene:PRUPE_3G279000 transcript:ONI19420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVATLFTLWLLEGLNLFKAKRPLCITFGSPLIGDERFRKCVSQFPVWTSCFLHVASIQDPVPKLFLSPNPTALGTGTKVGAYKPFGTFLLCSDFGCACFEDPDLILELVAANSQGDQTQYPNVGIQFFDYGQLLERLKLKAFCKDVFELAESDRVPLKASIITQLAAIFGVPQSQALQQQQPNINILKKKMETHEYKLAIQKTKTSNAAKKLNDIKVSMVYLEWYKKEAKGREIGYYDMYKNKRNMNDVNVYEFKKKLSNYWQDLVEEVENKPQKEEAALRTRWLMGGTTYRRMMEPLHIAEYYKENDGKNYIEERPKHFILLEKWLKEEEERKVAERNRRGETVEDGPSKFKAQNVASILNDDSCFWAHVEEALILCYQLERGQTSFQEREQCKQKLTEFEEYVLDALKNFAVSPDIFLKYSSFMHWWKQYNKIVGSSTQLARIMTDGRYRDYEKGVKVVF >ONI17871 pep chromosome:Prunus_persica_NCBIv2:G3:19922163:19924323:-1 gene:PRUPE_3G184200 transcript:ONI17871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSLFNEMWQQLQSNIFLLPLLLLSAYILLSLTRSSSSGKNYELPPSPPTLPLIGNLHQLGKFPHRSLRDLSKKYGDIMLMHFGKLPTLVVSSAELAKDMMKNQDIGFSGRPQTTAATILFFNGYNVAFAPYGEYWRKARKVVVLELLSVKRVQQFQYARVEECAELVSKIRKASASTNGAPINLGPLLVSTSNNIICRCVLGQKFEDKEDNWFEKVIKELMVQMMTFSFGDFYPSLKWVDHARGYIARLKSIRRELDGFYDKLLDEHKAAQKDGKPRKKDIVDLLLDLEEDGSLDFEFNVKGLMQDMFVGGSDTSWTSMLWLMSELSQNPRVMKKVQEEVRRVAGKRGYVDESDIKEMKYLSCVITENLRLHPPGPLLLPRETTADVKLGGYDIPAKTQVFVNAFAIQTDRRVWDKPEEFMPERFEQNSIGLVGQEFELLPFGGGRRVCPGLAFAVASAEYVLANLLFWFDWKLPSGGTKLADTLNMTEVFGLTVHKKAPLYLIPRPYTP >ONI18395 pep chromosome:Prunus_persica_NCBIv2:G3:21709459:21715897:1 gene:PRUPE_3G213100 transcript:ONI18395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRIGLGFLVLLSLCFTVKSQCSKSCGWALAKYYVWSGSNLTLISHLMETDEDTIVNYNNGTVPNKDSVLAGTKINIPFKCECVNGKFLGHMFEYDVNSGDTYNKVAQTYYANLTTVEALTWFNSYPPTNIPNANAKLNVSVNCSCGNKAVSKDYGLFITYPLQPGDTLASIAQAEQLNQTLLQRYNPGVNFSQGSGFVYIPGKDDKGSYRSLKSRTSRCSHCWHNCGSNCWSADIGGWCIWILPKEQGPTGISVDKSVEFSFEELSRATDNFSLANKIGQGGFGAVYYAELRGEKAAIKKMDMQASKEFLAELNVLTRVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGGSGRDPLPWSNRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFHAKVADFGLTKLTEVGSTSLPTRLVGTFGYMPPEYAQYGEVSPKVDVYAFGVVIYELISAKEAVVRADSSGSESRGLVGLFEEVLNQPDPKEDLRKLVDPGLGDNYPLDSVRKMAQLAKACTHENKDLRPSMRSIVVALMTLSSSTEDWDVGSFYENQALVNLMSGR >ONI17415 pep chromosome:Prunus_persica_NCBIv2:G3:17637871:17640071:1 gene:PRUPE_3G157700 transcript:ONI17415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLQAETLISISSKNIEPASLSLSQMKDKTSLTLPLVFEKRQHERCRPDEVGATNSDHQTTNTTSFLKTCFNGLNALSGVGILSVPYALSSGGWLSLILLFVIAAAAFYSGLLIQRCMDEDSTIRTYPDIGEHAFGKKGRIVLSIFMNTELYLVSTAFLILEGDNLHNLFPNIELQVSGFIIGGKECFIIIAALIVLPTVWLDNLSLLSYVSASGVFASAVILGSILWTGAFDGIGFHQEVSVPLNWNGIPTSVSLYAFCYCAHPVFPTLYTSMKNKCQFSNVLLLCFILCTIGYASMAVLGYFMFGSTVQSQITLNLPTEKLSSKIAIWTTLINPLSKYALMVTPIINSAKNWFPNHCNKRPFDLLLSTSLVISSVVVALAVPFFAYLVSLVGAFLSVSASLLFPCFCYLKISATYRNLGCEMLIIGFIILMGAAVMILGTYTAVSEIIEHL >ONI17472 pep chromosome:Prunus_persica_NCBIv2:G3:17997926:17999886:-1 gene:PRUPE_3G161100 transcript:ONI17472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEVNKPLSLPPYPEMILKAIEALNEKNGSNKSSISKFIESTYGDLPAGHNSLLSHHLNKMKDSGELVFWKNNYTKPDPNAPPRRGRGRPPKPKDSLPPDAVLGPTRPRGRPPKDPNAPPKPPKVKVSSGSGKPRGRPRKMAKPTGGLSGSATVTDTMPGRPRGRPPKVKDSLSAEVSVEQ >ONI19278 pep chromosome:Prunus_persica_NCBIv2:G3:25102485:25104063:1 gene:PRUPE_3G269200 transcript:ONI19278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLRPNQKENDDHREMMEEVQRSLLFVSKPKACTEQEEMEQDSGGSSEEMESPRSVGRWRRRAKRAGCVHSQILRIREEDAHLGESTSFGSKDNHHKVAHAVASGMDVVLFARPILPCSPLKNSVNAYH >ONI15690 pep chromosome:Prunus_persica_NCBIv2:G3:3965324:3969471:1 gene:PRUPE_3G055900 transcript:ONI15690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFLFPLLGGFSFMLLLVHAQDDQTGFISIDCGLAENTSYTEKMTGINYISDETFISTGENNVVLQEYRNKYQEPYMSLRSFPGGIRNCYKINVTNGTKYLIRSSFKYGNYDRQNILPEFDLQLGANVWDSVKLEDASTITNKELIHVPLRDYIHVCLVNTGLGIPFISALELRPLPNTSYQTQTGSLALQWRLDTGHIAANLTEYRYPDDVHDRFWYGYYDDNWKRVITSSTIDSDVHDSFQPPSVVMSTAATPKNGTDALYISWMDFDNSAEYFVYMHFAEFEKLQPNQSRQFNITMNGESLHEKVVPYYLSSSTIYSTRALSTGGQYNLSIFKAKNSTLPPILNAIEVYTVKEFLESRTNQADVDAITSIKSTYKIEKNWQGDPCSPLVNSWEGIDCSNEHSRIVSLNLSSSGLTGEIAPYISNLTMIHILDLSNNNLTGSIPDFLSRLRKLTVLNLAKNKLTGLVPVGLIQKRKDGLLSLRLCENPNLSGQVSCKKKKQSITIVLAVSIPGIFILLSTVSAVLWVGFKRKKQHAHCDDPFGVEVMQNSNQNSLLESEGQRFTYPEIVEITSNFASIIGRGGFGEVYFGTLQNQTQVAVKLLISSSTQGSKEFENEVKLLMRAHHRNLVSLVGCCDEGETMALVYNFVANGNLQQRLSADLTLHVLTWKERLQIAVDAARGLDYLHNGCKPSIVHRDLKTSNILLNENLHAMIADFGLSKVLATESATHVSTDPKGTFGYLDPQYYNTGKLNKKSDIYSFGIVLLELITGRAAIIRDVETEPIHICRWVSPNFETMEIESIVDSRIQGTYNTSSAWKALQIAMACVSLKAIRRPDIIFIYKDLMECLEIEMSSGRTQIVGNDDTSSSSSIRMASQIESETSSSSPVIISI >ONI15691 pep chromosome:Prunus_persica_NCBIv2:G3:3966967:3969649:1 gene:PRUPE_3G055900 transcript:ONI15691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSNLAKNKLTGLVPVGLIQKRKDGLLSLRLCENPNLSGQVSCKKKKQSITIVLAVSIPGIFILLSTVSAVLWVGFKRKKQHAHCDDPFGVEVMQNSNQNSLLESEGQRFTYPEIVEITSNFASIIGRGGFGEVYFGTLQNQTQVAVKLLISSSTQGSKEFENEVKLLMRAHHRNLVSLVGCCDEGETMALVYNFVANGNLQQRLSADLTLHVLTWKERLQIAVDAARGLDYLHNGCKPSIVHRDLKTSNILLNENLHAMIADFGLSKVLATESATHVSTDPKGTFGYLDPQYYNTGKLNKKSDIYSFGIVLLELITGRAAIIRDVETEPIHICRWVSPNFETMEIESIVDSRIQGTYNTSSAWKALQIAMACVSLKAIRRPDIIFIYKDLMECLEIEMSSGRTQIVGNDDTSSSSSIRMASQIESETSSSSPVIISI >ONI16892 pep chromosome:Prunus_persica_NCBIv2:G3:11947970:11949360:1 gene:PRUPE_3G127600 transcript:ONI16892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEVRASHELLQAQAHIWNHIFSFINSMSLKCAVQLGIPDVIQKHGQPMTLSELVSALPISPTKAHFIPRLMRILVHSGFFARESLSGGGEQGYILTDASALLLKDNPMSARPFLLAMLSPILTDPWQYLTTWFQNDNPTPFHVVHGMTCWEYGNQDPTLAHFFNDAMASDARLISSLVIDDCKEVFQGVNSLVDVGGGTGTMAKSIADAFPHMKCTVLDLPHVVADLKGSKNLEYVAGDMFEAVPAADAIFLKWILHDWSDEECVKILEQCKEAITREGKKGKVIIVDMTVENKNTDKESGETQLFFDMHMMVMTTGKERNEKEWAKLFSDTGFNHYKITPCLGLRSLIEVYP >ONI16147 pep chromosome:Prunus_persica_NCBIv2:G3:6034725:6036503:1 gene:PRUPE_3G081200 transcript:ONI16147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWARSDNVLYFDISCRSRIPTSIYALQNNSPPIIAIIISSTFLQNLSNFTIPQFLLLAMWSSLPSDLLTNVFSFLSADSFACAKSTCRHWQACACTTADYNHYPRALTKSQFLLHNFRPPWFIALPLHNHGRCCYALNPINNNWYVLPLDFLPDPVRPVGPLESLLLLRPSNSTFLQLGMCNPFTRQYKPFPMLNTRRTNPAVGVVHLSTLPFPRNSFLNSRVYVAGGMSEASSGGATYEPTLEMYDPQLDTWRIVGSMQMEFAVRLTVWTPKESVYCDGVLYWMTSARAYSLMGYEIGSNTWRELSVPMAEELEFAVLVSRNGSLTLVGGGACVLGVCIWELGEGDVWVLVEKVPSELEMRFLGERGSWGSTKCVGSDGAIYLYRDLWSGMVVWREVGDGNKWAWFWVEGCFSIRGKKVRNLQIKGVLIHPNLVPSFIF >ONI17227 pep chromosome:Prunus_persica_NCBIv2:G3:16052827:16054983:-1 gene:PRUPE_3G147100 transcript:ONI17227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLSVILPRVLIVSRRTLRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVNGVHMLLDSFEPIHGVLLCEGEDIDPSLYETEVDVSGLSPEELEEIRRLHASDTAIDKEKDSIEMRLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDIEKELSKKHNENYELKPQNGVQVQHINYDDYDGHRHVVQVVEETPLHQWFKESVEKEGKMEIWVNSYHHQGVKKLAQRFVPMAFAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRRPDSDEFDYPGCPSAYQEFVKAAIAYKNKVNSSTSVPQPLKLDGEMEMKRKKIVRSFSLARNIYSGGLGSGLQRIKESELEAGAEFLESNTALSLQQENRLKQMGATVRNAGSYIERLKLNEERERLARNVMGKMSVEQLSDLLAFYHMMGQICSEVLERKLNGIVNDIDP >ONI14985 pep chromosome:Prunus_persica_NCBIv2:G3:1448914:1455938:1 gene:PRUPE_3G019700 transcript:ONI14985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHAWSSYEKYAWGQDELQPQSKNGVNGFGGLGATIVDSLDTLYIMGLHEQFQKAREWVANSLDFNKDYEASVFETTIRVVGGLLSAYDLSEDKVFLDKARDIADRLLPAWDSPSGIPYNIINLAHGRPHNPSWTGGESILADSGTEQVEFIALSERTGDPKYQQKAENVIVQLNKTFPDDGLLPIYIDPERGTSAYSVITFGAMGDSFYEYLLKVWIQGNRTTAVKPYRDMWEKSMNGLLSLIRKTTPSSFTYICEKNGRTLTNKMDELACFAPGMLALGSSGYGPDDSKKFLSLAEELAWTCYNFYQSTPTKLAGENYFFRAGEDLSVGTSWNILRPETIESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNTGDKDNMMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPLRIVARHDEANSGRANGDDRPKIRLRGRKEGRD >ONI14988 pep chromosome:Prunus_persica_NCBIv2:G3:1450721:1455938:1 gene:PRUPE_3G019700 transcript:ONI14988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHEQFQKAREWVANSLDFNKDYEASVFETTIRVVGGLLSAYDLSEDKVFLDKARDIADRLLPAWDSPSGIPYNIINLAHGRPHNPSWTGGESILADSGTEQVEFIALSERTGDPKYQQKAENVIVQLNKTFPDDGLLPIYIDPERGTSAYSVITFGAMGDSFYEYLLKVWIQGNRTTAVKPYRDMWEKSMNGLLSLIRKTTPSSFTYICEKNGRTLTNKMDELACFAPGMLALGSSGYGPDDSKKFLSLAEELAWTCYNFYQSTPTKLAGENYFFRAGEDLSVGTSWNILRPETIESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNTGDKDNMMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPLRIVARHDEANSGRANGDDRPKIRLRGRKEGRD >ONI14984 pep chromosome:Prunus_persica_NCBIv2:G3:1446231:1455938:1 gene:PRUPE_3G019700 transcript:ONI14984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIYGKEEVSKLNEQLHHLKSLVEDSENVQGDATKKNIFKGRDGEKEVVPDDPIDIQRQEKVKEAMIHAWSSYEKYAWGQDELQPQSKNGVNGFGGLGATIVDSLDTLYIMGLHEQFQKAREWVANSLDFNKDYEASVFETTIRVVGGLLSAYDLSEDKVFLDKARDIADRLLPAWDSPSGIPYNIINLAHGRPHNPSWTGGESILADSGTEQVEFIALSERTGDPKYQQKAENVIVQLNKTFPDDGLLPIYIDPERGTSAYSVITFGAMGDSFYEYLLKVWIQGNRTTAVKPYRDMWEKSMNGLLSLIRKTTPSSFTYICEKNGRTLTNKMDELACFAPGMLALGSSGYGPDDSKKFLSLAEELAWTCYNFYQSTPTKLAGENYFFRAGEDLSVGTSWNILRPETIESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNTGDKDNMMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPLRIVARHDEANSGRANGDDRPKIRLRGRKEGRD >ONI14986 pep chromosome:Prunus_persica_NCBIv2:G3:1448305:1455938:1 gene:PRUPE_3G019700 transcript:ONI14986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHAWSSYEKYAWGQDELQPQSKNGVNGFGGLGATIVDSLDTLYIMGLHEQFQKAREWVANSLDFNKDYEASVFETTIRVVGGLLSAYDLSEDKVFLDKARDIADRLLPAWDSPSGIPYNIINLAHGRPHNPSWTGGESILADSGTEQVEFIALSERTGDPKYQQKAENVIVQLNKTFPDDGLLPIYIDPERGTSAYSVITFGAMGDSFYEYLLKVWIQGNRTTAVKPYRDMWEKSMNGLLSLIRKTTPSSFTYICEKNGRTLTNKMDELACFAPGMLALGSSGYGPDDSKKFLSLAEELAWTCYNFYQSTPTKLAGENYFFRAGEDLSVGTSWNILRPETIESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNTGDKDNMMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPLRIVARHDEANSGRANGDDRPKIRLRGRKEGRD >ONI14987 pep chromosome:Prunus_persica_NCBIv2:G3:1448298:1455938:1 gene:PRUPE_3G019700 transcript:ONI14987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHAWSSYEKYAWGQDELQPQSKNGVNGFGGLGATIVDSLDTLYIMGLHEQFQKAREWVANSLDFNKDYEASVFETTIRVVGGLLSAYDLSEDKVFLDKARDIADRLLPAWDSPSGIPYNIINLAHGRPHNPSWTGGESILADSGTEQVEFIALSERTGDPKYQQKAENVIVQLNKTFPDDGLLPIYIDPERGTSAYSVITFGAMGDSFYEYLLKVWIQGNRTTAVKPYRDMWEKSMNGLLSLIRKTTPSSFTYICEKNGRTLTNKMDELACFAPGMLALGSSGYGPDDSKKFLSLAEELAWTCYNFYQSTPTKLAGENYFFRAGEDLSVGTSWNILRPETIESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNTGDKDNMMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPLRIVARHDEANSGRANGDDRPKIRLRGRKEGRD >ONI16210 pep chromosome:Prunus_persica_NCBIv2:G3:6262184:6263130:1 gene:PRUPE_3G084900 transcript:ONI16210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLSSQGLVLATAMAVSSTLVFLAFSRQKTFLPTQLSDSYNSQQNPKKTALRSCLCSGDKKRERKKKKVHFAKNVVKEPTGGGEEMVMRKQSKVERRSCRNEIPENRIALYNGILKNRVERMQCSH >ONI14999 pep chromosome:Prunus_persica_NCBIv2:G3:1484854:1485728:-1 gene:PRUPE_3G020300 transcript:ONI14999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFITIQPLKCRRTNLTKSDLKTASQLSFTLAPPVTISSLFQPLSSSKFLVLWFLQKMSQKDKLPELQILNSNNLTEQFHGRVLEFLNHGCSAQFYMIWFSPATQFGKRQVMATDGLLKFKPKGYLMILSKSMDSGSGYRILKPLLDRGFKVKALTPDLPFLVKNTPAETWLQEL >ONI18397 pep chromosome:Prunus_persica_NCBIv2:G3:21724278:21727151:1 gene:PRUPE_3G213300 transcript:ONI18397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFELRRAREKLDKEQKERKETARKKLERERKRKEEAKKQREAIDAERSSRLFDAAQAQLKVDQQMQESLLVGRGIMFYRVLEAVPFQGSGDKIKLPSSCFNELQEQGAFDQGPLYFKLSSVHQEASSEMIDADKDKGRSTHSGVLEFTAEEGFVGLPPHVWQNLFPAETPSIPLIEVRYVWLSKGTYAKLQPLRAGFSDLPNHKAILETSLRQHATLSEDDIFIVNYGELVYKLQVLELRPSSSVSVLETDIEVDIVGADTASEKTHENVLKPLTFGTSESGMVDEGNYTYYKFSIDNNTWEKIASVDAKVEVRIDAEPNSGDTDIYISKHPLIFPTRHQHEWSSHDIGSKVLILSSKDKSLEAGTYSVGIYGFKGTTKYQVSVNVQDDSNRKVGQQAVSSSSSMEMDTVECKNCKRYIPSRTIGLHEAYCSRHNVVCQHAGCGVVLRVEEAKNHVHCQQCGQAFHQGEMEKHMKVFHEPLHCPCGIVLEKEEMVQHQASVCPLRLIACRFCGDMVHAGSSALDVRDRLRGLSEHESACGSRTAPCDSCGRSVMLKDMDIHRVAVHQKN >ONI17687 pep chromosome:Prunus_persica_NCBIv2:G3:19136601:19138948:-1 gene:PRUPE_3G174200 transcript:ONI17687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTMGGPPFILTSSSSEREREREREREMMLLKIGGGTAMAVPRRTLWRRPMCLASNVDTEQLRVQLVQLHSEAESARGKANNARLRLLRLSETAENLKRQAAINVQTGKEDDARELLFQKKKVIEALEKSKKRLELLDELSSKLNEAISLKERQLIGNVTLDLEVVRDDAFSPVRIVSPTPDVAEDLEEGKEFASNDLKLSNDEEKSLLAESQASVPVEPEGEDLREPLNKGAWNEDEIITSLKGITSFEKFLEHLDHQLNKIEAELVTILRISTLVVDSQEKPKNFKVQQIMELLESIAGVRQRISSIKMANVEIR >ONI15578 pep chromosome:Prunus_persica_NCBIv2:G3:3569204:3574690:-1 gene:PRUPE_3G050600 transcript:ONI15578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLSMASSSAMGVRVVTVRASLDTQQRLSYNRNTPRKIPKPENPSTATGTTKTPPTVTLTATTTSVFDLLKRPAQGETVRTDELYLGYERWVPSPSKVQKRLPSPPKVQKPRSVLNAASLAYIGDCIYELYVRRHFLFPPLSIEEYNDRVMAVVRCEAQDALLQKLLSDNFLSDEERNVLKWGKNISSAAKTRTKKRAGVAVYNRASSLETLVGYLYLTNMERLEEVMIKLGFSTDSSMQLISQEPEEVNGKAPS >ONI15577 pep chromosome:Prunus_persica_NCBIv2:G3:3569239:3574681:-1 gene:PRUPE_3G050600 transcript:ONI15577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLSMASSSAMGVRVVTVRASLDTQQRLSYNRNTPRKIPKPENPSTATGTTKTPPTVTLTATTTSVFDLLKRPAQGETVRTDELYLGYERWVPSPSKVQKRLPSPPKLYVRRHFLFPPLSIEEYNDRVMAVVRCEAQDALLQKLLSDNFLSDEERNVLKWGKNISSAAKTRTKKRAGVAVYNRASSLETLVGYLYLTNMERLEEVMIKLGFSTDSSMQLISQEPEEVNGKAPS >ONI19619 pep chromosome:Prunus_persica_NCBIv2:G3:25947060:25949251:-1 gene:PRUPE_3G287800 transcript:ONI19619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTALTLSPSTSFLKPPCRNPIPKPSQLSASTSLIQLDNLTCVHRLSWQTLFYTISSSSSSFPSFNKISASFLFSSQRYDNLKVRAAPETAEEASNPSRMVKTLQLGALFGIWYLLNIYFNIYNKQVLKVYPFPATMTAFQLGCGTMMILLMWAFNLYPKPNITRSQLGAIVPLAVAHTMGSLLTNISLGKVAVSFTHTIKAMEPFFTVLFSALLLAERPTVWVVSSLVPIVGGVALASFTEASFNWIGFSSAMASNLTNQSRNVLSKKLMVKESLDNINLFSVITIISFILLVPSAILLEGVKFTPAYLHSAASQGLNVRELCVRSLLAGFCFHTYQQVSYKILEMVSPVTHSVGNCVKRVVVIVSSVIFFQTPVSPINSLGTGLALAGVVLYSRAKTIKPKPN >ONI19620 pep chromosome:Prunus_persica_NCBIv2:G3:25947060:25949251:-1 gene:PRUPE_3G287800 transcript:ONI19620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTALTLSPSTSFLKPPCRNPIPKPSQLSASTSLIQLDNLTCVHRLSWQTLFYTISSSSSSFPSFNKISASFLFSSQRYDNLKVRAAPETAEEASNPSRMVKTLQLGALFGIWYLLNIYFNIYNKQVLKVYPFPATMTAFQLGCGTMMILLMWAFNLYPKPNITRSQLGAIVPLAVAHTMGSLLTNISLGKVAVSFTHTIKAMEPFFTVLFSALLLAERPTVWVVSSLVPIVGGVALASFTEASFNWIGFSSAMASNLTNQSRNVLSKKLMVKVESLDNINLFSVITIISFILLVPSAILLEGVKFTPAYLHSAASQGLNVRELCVRSLLAGFCFHTYQQVSYKILEMVSPVTHSVGNCVKRVVVIVSSVIFFQTPVSPINSLGTGLALAGVVLYSRAKTIKPKPN >ONI17206 pep chromosome:Prunus_persica_NCBIv2:G3:15853747:15856556:1 gene:PRUPE_3G145100 transcript:ONI17206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKEYDYVASMRLQFLASQRRRAEQFTVVVRSIPHVSGCSVSETVDHFFQTNHPSNYLCHQAVYKANKFAKLARKRDRLQNWLDYNLLKFERKQWQKTMEQTAEPDLNLKSYLADAYLHPIFRSFEEQELVEVSVDKHQTLAATPITSELSSPSPPHYARQTPPSSPQSAHYQSSPPQYVYNSNSSQL >ONI18154 pep chromosome:Prunus_persica_NCBIv2:G3:20915812:20916752:1 gene:PRUPE_3G200100 transcript:ONI18154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASSSSKKSSIAVAGKGKKAKHSALVPVICALELFLWPLLSSFDTHHTITSHALLRAPSIPSLSNSKLSPKLHFSFCLISKTLLFFVTYNKNQMLTTYKLVSE >ONI19459 pep chromosome:Prunus_persica_NCBIv2:G3:25633640:25635475:-1 gene:PRUPE_3G280800 transcript:ONI19459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRLIHQDALPVAAMLTVECTNVGLNVLFKAATSKGLSYYVFIVYSNIIATLVLLPLFFIFRRTGLPSFNLSLLSKIFLLGLIGFLADICGYKGLNYSSPTLSSAMSNLTPAFTFILAVFFRMEKLALRSSSTQAKIMGTLVSISGALVVVLYKGPTILSTASTVLSPPSAVLGTSEKNWVVGGLLLAVQYLLSSTWYILQTHVMKTYPAEIVLVFLFNLCGTIISAPVCLIAETNLSAWRLRPGIALVAIICSGCLGSSFSSLVHTWGLHLKGPVFISIFKPLSIAIAAAFSVIFLGDALSLGSVVGAIILSMGFYAVIWGKAKEDETSEDCRFESSRALPIALGKTPLLESHKVENM >ONI19462 pep chromosome:Prunus_persica_NCBIv2:G3:25633419:25635861:-1 gene:PRUPE_3G280800 transcript:ONI19462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRLIHQDALPVAAMLTVECTNVGLNVLFKAATSKGLSYYVFIVYSNIIATLVLLPLFFIFRRTGLPSFNLSLLSKIFLLGLIGFLADICGYKGLNYSSPTLSSAMSNLTPAFTFILAVFFSFRHVRKKLGCWRATTCCSVPPVLNLVYPSDPCHEDVPGRDSFGLLIQLVWDNYIGSSMFNCRNKLECLETKAWHSIGRHYMLAPLCTHGACT >ONI19461 pep chromosome:Prunus_persica_NCBIv2:G3:25633939:25635475:-1 gene:PRUPE_3G280800 transcript:ONI19461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRLIHQDALPVAAMLTVECTNVGLNVLFKAATSKGLSYYVFIVYSNIIATLVLLPLFFIFRRTGLPSFNLSLLSKIFLLGLIGFLADICGYKGLNYSSPTLSSAMSNLTPAFTFILAVFFRMEKLALRSSSTQAKIMGTLVSISGALVVVLYKGPTILSTASTVLSPPSAVLGTSEKNWVVGGLLLAVQYLLSSTWYILQTHVMKTYPAEIVLVFLFNLCGTIISAPVCLIAETNLSAWRLRPGIALVAIICSLPCAHMGPALERACVYINLQAIVNCHCCCFQCHIPW >ONI19460 pep chromosome:Prunus_persica_NCBIv2:G3:25633419:25635861:-1 gene:PRUPE_3G280800 transcript:ONI19460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLTPAFTFILAVFFRMEKLALRSSSTQAKIMGTLVSISGALVVVLYKGPTILSTASTVLSPPSAVLGTSEKNWVVGGLLLAVQYLLSSTWYILQTHVMKTYPAEIVLVFLFNLCGTIISAPVCLIAETNLSAWRLRPGIALVAIICSGCLGSSFSSLVHTWGLHLKGPVFISIFKPLSIAIAAAFSVIFLGDALSLGSVVGAIILSMGFYAVIWGKAKEDETSEDCRFESSRALPIALGKTPLLESHKVENM >ONI19463 pep chromosome:Prunus_persica_NCBIv2:G3:25633419:25635861:-1 gene:PRUPE_3G280800 transcript:ONI19463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRLIHQDALPVAAMLTVECTNVGLNVLFKAATSKGLSYYVFIVYSNIIATLVLLPLFFIFRRTGLPSFNLSLLSKIFLLGLIGFLADICGYKGLNYSSPTLSSAMSNLTPAFTFILAVFFRMEKLALRSSSTQAKIMGTLVSISGALVVVLYKGPTILSTASTVLSPPSAVLGTSEKNWVVGGLLLAVQYLLSSTWYILQKQT >ONI20116 pep chromosome:Prunus_persica_NCBIv2:G3:27261567:27263905:1 gene:PRUPE_3G315900 transcript:ONI20116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLTGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDITRYVLKNIATGEIIIKHLNKEQEANQSNFRDPDTSAELEVQDKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDGEVYEDSD >ONI20118 pep chromosome:Prunus_persica_NCBIv2:G3:27261414:27263843:1 gene:PRUPE_3G315900 transcript:ONI20118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLTGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDITRYVLKNIATGEIIIKHLNKEQEANQSNFRDPDTSAELEVQDKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDGEVYEDSD >ONI20119 pep chromosome:Prunus_persica_NCBIv2:G3:27261567:27263905:1 gene:PRUPE_3G315900 transcript:ONI20119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLTGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDITRYVLKNIATGEIIIKHLNKEQEANQSNFRDPDTSAELEVQDKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDGEVYEDSD >ONI20117 pep chromosome:Prunus_persica_NCBIv2:G3:27261414:27263843:1 gene:PRUPE_3G315900 transcript:ONI20117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLTGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDITRYVLKNIATGEIIIKHLNKEQEANQSNFRDPDTSAELEVQDKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDGEVYEDSD >ONI20114 pep chromosome:Prunus_persica_NCBIv2:G3:27261536:27264072:1 gene:PRUPE_3G315900 transcript:ONI20114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLTGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDITRYVLKNIATGEIIIKHLNKEQEANQSNFRDPDTSAELEVQDKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDGEVYEDSD >ONI20115 pep chromosome:Prunus_persica_NCBIv2:G3:27261334:27264254:1 gene:PRUPE_3G315900 transcript:ONI20115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAHESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLTGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDITRYVLKNIATGEIIIKHLNKEQEANQSNFRDPDTSAELEVQDKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDGEVYEDSD >ONI16771 pep chromosome:Prunus_persica_NCBIv2:G3:10766814:10771213:1 gene:PRUPE_3G121300 transcript:ONI16771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSVQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGE >ONI15808 pep chromosome:Prunus_persica_NCBIv2:G3:4501401:4505039:1 gene:PRUPE_3G062900 transcript:ONI15808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLPIRRMTEFESIGAYRIGLDESYRSLPSVYLAFLSIWFVSACSWTINTYKNRHLQTNNLQWTLASVPLIKALQLTLSFLFWYSCFHLQICSLWMSFGVYVTGVIFQTASFVSFLLIAHGYCIMCERLSVTERRTTASLGCVFYLTLVGYRASVPYFSVLLLLNYFISFYVIFHHISQNLLVLREQLSFIDNEDVHAMHDAVYTKYIMFKKFQGAMQIVAMAETVIYINMDDSSENYWIRLLVREWAQFCIFVYIGWTFRSRDLAPRFSVMPTLKSKGELVVPPIYSIEMDAETFRDFTKHEWHIGVPTTPSCDESSMDSVLVIIQHPHAYRPTAAGKSCQSRGQPV >ONI15809 pep chromosome:Prunus_persica_NCBIv2:G3:4502028:4505039:1 gene:PRUPE_3G062900 transcript:ONI15809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGVYVTGVIFQTASFVSFLLIAHGYCIMCERLSVTERRTTASLGCVFYLTLVGYRASVPYFSVLLLLNYFISFYVIFHHISQNLLVLREQLSFIDNEDVHAMHDAVYTKYIMFKKFQGAMQIVAMAETVIYINMDDSSENYWIRLLVREWAQFCIFVYIGWTFRSRDLAPRFSVMPTLKSKGELVVPPIYSIEMDAETFRDFTKHEWHIGVPTTPSCDESSMDSVLVIIQHPHAYRPTAAGKSCQSRGQPV >ONI17072 pep chromosome:Prunus_persica_NCBIv2:G3:14396256:14397535:-1 gene:PRUPE_3G136500 transcript:ONI17072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNVRHENEILASSIQAKLHQQSPSRAHHCIFRIPHVLLKGKEEVYVPHLLSIGPFQRGLQFQAMEVIKSWYLHCLLERKPTPTTVLEDFVGAIRDMEQYCRDCYEENIDLTSDEFVEMMVVDGCFIIELFRKFQERAWMQPILINDLLLLENQLPWKVIDRLFDLTRESNARTKYSLSELTLEYFDGYTLRMWDWQRVREALEGKHLLDLVKNSLLSSRAQPSRAQHLDRTSSLRMPSVTELEKLGIIFECGIGEDMFDITFKNGVMRIPRIRVHKNGESLFRNLVAYEQCETASKITSYAALLEQLITSDKDVECLAKCRIIENHLGYDISLIFRSLSNDVRPRNCCYWRLYRDVNAYCDTIWHRYQSQLRTYFKNPLELANFATAVVFVTTLTLIQTVLSILSYKKPQQ >ONI15828 pep chromosome:Prunus_persica_NCBIv2:G3:4551969:4557656:1 gene:PRUPE_3G063700 transcript:ONI15828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLMPQVTNLRLLFFSPFPRLSLSSLSFKPLKPRTLFTALASSYRKRHRPIPNQSPNTGARNKTTLRESRGRDKAMEETKETETAGFNKRRAEGNDKNDRPKKNLQRKVRTLNPINTLSYVQVLGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGMGEEGMSVNVWGPSDLKYLIDAMRCFIPNAAMVHTRSFGPSVGGLMASQTKFTEPIVLVDDEVVKISAIVLQPIFSNGAQLLNELSITQNPTEKVFNDGVDVSKPFSPNGKNSPTGKPGDMSVIYVCELPEIKGKFDPEKAKALGLKPGSKYRELQLGNSVKSDFQNITVHPSDVMDPSIPGPIVFLVDCPTESHLQELLSMQCLSSYYADFSGPPENANVVTCVIHLGPASLISNPNYQSWMKRFGSAQHIMAGHERKNVEIPILRSSARIAAQLNYLCPQFFPAPGFWSLQHLDCLAPESTPSSEGSVSKVCESISAENLLKFTLRPYARLGLDRSVIPSQVASSEIIDELLSEIPEVVDAAQCVSQLWHQSTETKEEIRLTHDDKVIVEEPWFDENTLPSCLENIRRDDLEIVLLGTGSSQPSKYRNVSSIHINLFSKGGLLLDCGEGTLGQLKRRYGVEGADNAVRGLRCIWISHIHADHHTGLARILTLRRDLLKGVPHEPLLVVGPRKLKFFLDAYQRLEDLDMQFLDCKHTTEASLHAFEGVTETNKDHSFLGSPASFEDLIDKNTDRQVAQKVDSTLFAKGSRMQSYWKRPGSPVDNNVVFPILKSLQKVLEEAGLEALMSFPVIHCPQAFGVVLRASERLNSVGKVIPGWKIVYSGDTRPCPELTEASRGATVLIHEATFEDGMVDEAIARNHSTTKEAIEVGNSAGVFRIILTHFSQRYPKIPVFDETHMHKTCIGFDMMSINIADLPVLPKVLPYLKLLFRNELIIDESDEVVDAAASVAS >ONI19094 pep chromosome:Prunus_persica_NCBIv2:G3:24547571:24550870:-1 gene:PRUPE_3G258400 transcript:ONI19094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLARWRKRKCVADDRNYEPNSWISATKKRRNYANTIQVDEEILDKEYEVFLEGLELHGGDDGQDYHDTRWIVDGDVHSNAQLMDRGDVNLNGRSVDSDDFDDDVDPQYKILLENLKEDGKSYVLVLVRENENLELIKYEQEDGELDETNLDTPETVKKSDEEIFVKPIRICKRKTIHPCPTSISHVKEKTEIKKASPRTVRYAMRKKKRGILEDSEGLPNKKSLGVKKNVKVEALDPVSDRTKGRSNKMHGVEGPTTRETLKSSHVKKKKVNKKGADSRTKGHPVKRPHLAEHGHNHGAVSDQIDLDYQEVLDGLRKYGGKWVYTPTTAGPVACVLEEDVESSAVEIKKEPCDEYFTSSTAVGVDGGWCVETCDTSHAQFRKGLMKDLKRPYDEKECKRLLKQLNRRSPSKKA >ONI19092 pep chromosome:Prunus_persica_NCBIv2:G3:24546821:24550870:-1 gene:PRUPE_3G258400 transcript:ONI19092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLARWRKRKCVADDRNYEPNSWISATKKRRNYANTIQVDEEILDKEYEVFLEGLELHGGDDGQDYHDTRWIVDGDVHSNAQLMDRGDVNLNGRSVDSDDFDDDVDPQYKILLENLKEDGKSYVLVLVRENENLELIKYEQEDGELDETNLDTPETVKKSDEEIFVKPIRICKRKTIHPCPTSISHVKEKTEIKKASPRTVRYAMRKKKRGILEDSEGLPNKKSLGVKKNVKVEALDPVSDRTKGRSNKMHGVEGPTTRETLKSSHVKKKKVNKKGADSRTKGHPVKRPHLAEHGHNHGAVSDQIDLDYQEVLDGLRKYGGKWVYTPTTAGPVACVLEEDVESSAVEIKKEPCDEYFTSSTAVGVDGGWCVETCDTSHAQFRKGLMKDLKRPYDEKECKRLLKQLNRRRSVSGKLRASYRKQHIVLARKLDAASSDCPRILNLLRGFFYWLKNVAQEGSFCPWKDSSCLKVLPQVGRKFSYMN >ONI19093 pep chromosome:Prunus_persica_NCBIv2:G3:24546834:24550870:-1 gene:PRUPE_3G258400 transcript:ONI19093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLARWRKRKCVADDRNYEPNSWISATKKRRNYANTIQVDEEILDKEYEVFLEGLELHGGDDGQDYHDTRWIVDGDVHSNAQLMDRGDVNLNGRSVDSDDFDDDVDPQYKILLENLKEDGKSYVLVLVRENENLELIKYEQEDGELDETNLDTPETVKKSDEEIFVKPIRICKRKTIHPCPTSISHVKEKTEIKKASPRTVRYAMRKKKRGILEDSEGLPNKKSLGVKKNVKVEALDPVSDRTKGRSNKMHGVEGPTTRETLKSSHVKKKKVNKKGADSRTKGHPVKRPHLAEHGHNHGAVSDQIDLDYQEVLDGLRKYGGKWVYTPTTAGPVACVLEEDVESSAVEIKKEPCDEYFTSSTAVGVDGGWCVETCDTSHAQFRKGLMKDLKRPYDEKECKRLLKQLNRRRSVSGKLRASYRKQHIECGPRRKLLSLEGLVLFEGVASSWKEV >ONI15351 pep chromosome:Prunus_persica_NCBIv2:G3:2785328:2786368:-1 gene:PRUPE_3G038600 transcript:ONI15351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRGQGGIQQLLTAEQEAQHIVNAARSAKMARLKQAKDEAEREIAETRSQVELQFQKKVAASSGDSGANVKRLEHETEHKINHLSSEASRISSDVVQMLLKQVTTVKV >ONI15353 pep chromosome:Prunus_persica_NCBIv2:G3:2784929:2786813:-1 gene:PRUPE_3G038600 transcript:ONI15353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRGQGGIQQLLTAEQEAQHIVNAARSAKMARLKQAKDEAEREIAETRSQVELQFQKKVAAQSSGDSGANVKRLEHETEHKINHLSSEASRISSDVVQMLLKQVTTVKV >ONI15352 pep chromosome:Prunus_persica_NCBIv2:G3:2784353:2786813:-1 gene:PRUPE_3G038600 transcript:ONI15352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRGQGGIQQLLTAEQEAQHIVNAARSAKMARLKQAKDEAEREIAETRSQVELQFQKKVAAQSSGDSGANVKRLEHETEHKINHLSSEASRISSDVVQMLLKQVTTVKV >ONI15350 pep chromosome:Prunus_persica_NCBIv2:G3:2784552:2786843:-1 gene:PRUPE_3G038600 transcript:ONI15350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRGQGGIQQLLTAEQEAQHIVNAARSAKMARLKQAKDEAEREIAETRSQVELQFQKKVAASSGDSGANVKRLEHETEHKINHLSSEASRISSDVVQMLLKQVTTVKV >ONI15407 pep chromosome:Prunus_persica_NCBIv2:G3:3038160:3040941:1 gene:PRUPE_3G042600 transcript:ONI15407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSIFTGIGNGTQLDTKVVQTFQKSFVQVQDILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNSNIRRVVDLYGDLSSSFSRSMEASSEAESGGTLKSDGKSSEKRIRSG >ONI15405 pep chromosome:Prunus_persica_NCBIv2:G3:3038160:3040941:1 gene:PRUPE_3G042600 transcript:ONI15405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSIFTGIGNGTQLDTKVVQTFQKSFVQVQDILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNSNIRRVVDLYGDLSSSFSRSMEASSEAESGGTLKSDGKSSEKRIRSG >ONI15406 pep chromosome:Prunus_persica_NCBIv2:G3:3038135:3040941:1 gene:PRUPE_3G042600 transcript:ONI15406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSIFTGIGNGTQLDTKVVQTFQKSFVQVQDILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNSNIRRVVDLYGDLSSSFSRSMEASSEAESGGTLKSDGKSSEKRIRSG >ONI19390 pep chromosome:Prunus_persica_NCBIv2:G3:25447079:25450093:1 gene:PRUPE_3G276400 transcript:ONI19390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSVSCPPAVPKDLAKKKRTNRLAKLKQSKLDVRREQWLSHVKNKGQKVNLDGRGGSPTPSRQIDNVQNGSLDNLETSSTGGENDNTSIHDSDLESLMNSLSGSSLDCNDSMKNLNESCSSGCSGNDSKEDADDGCLDDWEAVADALNADDNKHNPIIDFPAKAEIRVEPTSPELSRENPGDESSKPENRNMVPGSRTTRCAWRPDDASRPQSLPNVPKQHSFLVQSDWHCGHGAIAWAWQSIVPQPSSCPICYEDLDVTDSSFLPCSCGFRLCLFCHKRILEADGRCPGCRKQYDRVNGVIGFNGGATTFRVA >ONI16904 pep chromosome:Prunus_persica_NCBIv2:G3:12915309:12915527:-1 gene:PRUPE_3G128500 transcript:ONI16904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLGTGFGNRKILCAPLGLRHGPCRSSKGPLGWYESSIWATEEEVLIWTRLGRRVTLAVWQGAQGGLGLA >ONI15859 pep chromosome:Prunus_persica_NCBIv2:G3:4768078:4770832:-1 gene:PRUPE_3G066200 transcript:ONI15859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYLPCQNTAIAGILAILVFSYFIIKRSSSGAKAKGPKPSKVEGGWPLLGHLDLFGGSQLPHIALASLVGKYGPIFTVNIGIHSALVISTWEAAKDCFITNDIAVSSRPATLGIKHLSYNFAMFGFSPYGPYWREIRKLTSLELLSNRRLELLRNVRASEVEMSLKELYTLRSNRKEGSGELLVDMKQWFGGLTLNVIFRMIAGNRCFMNGDLSEEKEARRWQKAMGEFFHFLGLFLLGDAVPWLSWLDLGGQQKAMKRTAKELDSILAEWLEEHKQKRTKGKDQDFMDVMLSPIDGAYVAGFDADTVIKATCLAMISGGSDTTMVTLTWTLSLLLNNRQVLEKVYEELDQHVGKRRLLNESDINNLVYLPAAIKEAMRLCPPGPLSVQRVFREDCTVGGYQVPKGTWLLVNLWKIQTDPRVWADPMEFKPERFLTTHKDVDVRGQQFELMPFGSGRRACPGISFALQMMLLTLASFLHSFEVTTRGNAAVDMTGSPGLTNRKLTPLDVLIKPRLSPHLYE >ONI18819 pep chromosome:Prunus_persica_NCBIv2:G3:23486800:23491030:-1 gene:PRUPE_3G241400 transcript:ONI18819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETDCLLRHASSSAAEDVAQDMDAKPLLSRFLSYPIANTNMNPQRRRRLSAQLASAPRPLRQQSFGRDIGHAAKETYLITSLSFTLLQYLGVGYRWMTRLLALGCYAMLLMPGFLQVALCYFFSSQVRRSIVYGDQPRNRLDLYLPANSDGKKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDASEGISYICNNIADYGGDPNRIYLMGQSAGAHISSCALLEQAIKESKKEESISWSVSQIKAYFGLSGGYNLINLVDHFDNRGLYRSIFLSIMEGEESLHQFSPELKVKDPSNMNAVSLLPPITLFHGTADYSIPSDASKIFVDALQKAGAQAELILYDGKTHTDLFLQDPLRGGKDELFDLLVAVIHAGDKEALEKDAMASPRKRLVPEILLKLARNISPF >ONI18821 pep chromosome:Prunus_persica_NCBIv2:G3:23486477:23491561:-1 gene:PRUPE_3G241400 transcript:ONI18821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCYLCPGFFKVRRSIVYGDQPRNRLDLYLPANSDGKKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDASEGISYICNNIADYGGDPNRIYLMGQSAGAHISSCALLEQAIKESKKEESISWSVSQIKAYFGLSGGYNLINLVDHFDNRGLYRSIFLSIMEGEESLHQFSPELKVKDPSNMNAVSLLPPITLFHGTADYSIPSDASKIFVDALQKAGAQAELILYDGKTHTDLFLQDPLRGGKDELFDLLVAVIHAGDKEALEKDAMASPRKRLVPEILLKLARNISPF >ONI18822 pep chromosome:Prunus_persica_NCBIv2:G3:23486477:23491561:-1 gene:PRUPE_3G241400 transcript:ONI18822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETDCLLRHASSSAAEDVAQDMDAKPLLSRFLSYPIANTNMNPQRRRRLSAQLASAPRPLRQQSFGRDIGHAAKETYLITSLSFTLLQYLGVGYRWMTRLLALGCYAMLLMPGFLQVALCYFFSSQVRRSIVYGDQPRNRLDLYLPANSDGKKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDASEGISYICNNIADYGGDPNRIYLMGQSAGAHISSCALLEQAIKESKKEESISWSVSQIKAYFGLSGGYNLINLVDHFDNRGLYRSIFLSIMEGEESLHQFSPELKVKDPSNMNAVSLLPPITLFHGTADYSIPSDASKIFVDALQKAGAQAELILYDGKTHTDLFLQLL >ONI18820 pep chromosome:Prunus_persica_NCBIv2:G3:23486477:23491561:-1 gene:PRUPE_3G241400 transcript:ONI18820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLLALGCYAMLLMPGFLQVALCYFFSSQVRRSIVYGDQPRNRLDLYLPANSDGKKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACVDYRNFPQGTISDMVKDASEGISYICNNIADYGGDPNRIYLMGQSAGAHISSCALLEQAIKESKKEESISWSVSQIKAYFGLSGGYNLINLVDHFDNRGLYRSIFLSIMEGEESLHQFSPELKVKDPSNMNAVSLLPPITLFHGTADYSIPSDASKIFVDALQKAGAQAELILYDGKTHTDLFLQDPLRGGKDELFDLLVAVIHAGDKEALEKDAMASPRKRLVPEILLKLARNISPF >ONI16244 pep chromosome:Prunus_persica_NCBIv2:G3:6474523:6476638:-1 gene:PRUPE_3G087400 transcript:ONI16244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSKKLHVYGGKASFMTWRLHDVLYVAKHHWIPCVFAMGFLFFGSVEFTLGMVPSSSPPFDVGFVTTRSLHLLLASKPKLNTFLAGFNTVYVGMQITYILWTWLVEGRPRATISALFMSTCRGILGYSTQLPLPQGFLGSGADFPVGNVSFFLFFSGHVAGCAIASLDMRRMQRWEMAWTFDALNVLQALRLLGTRGHYTIDLAVGVGAGFLFDSLAGKYEESKRSKAPTPNGTKEAFFL >ONI16319 pep chromosome:Prunus_persica_NCBIv2:G3:6887921:6890398:1 gene:PRUPE_3G092000 transcript:ONI16319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSSTQTKPSPLTPNLYPSSHSISHSLMTPSSTRKPQKNQTHLNLQRLRVRAIDAAQPFDYETRIKTHYQKSNTLKIAIIGFGNFGQFLAKTFVQQGHTVLAQSRSDYSKVAENVGVSFFTDPHDLCEQHPEVVLLCTSILSTEKVIKSFPFQRLRRNTLFVDVLSVKEFPRDLLLKYLPDEFDILCTHPMFGPQSGKNSWVNLPFVYDKVRIGNNGFRFNRCEKFLDIFGREGCRMVEMSCAEHDKHAAGSQFVTHTMGRVLEKFKLESSPINTKGYETLLNLVENTSGDSFDLYYGLFMYNKNAMEQLERLDMAFEALKKELFGHLHEVCRKQLFGTAEAVEIPREEEPAKSHKLLPVGAQNGSNVVTQNRSGEAPQSGAHEGYEDSAPLDSASVSLR >ONI17092 pep chromosome:Prunus_persica_NCBIv2:G3:14497659:14499806:1 gene:PRUPE_3G137300 transcript:ONI17092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASNHGLHLFFFFFLFLGFASCTTFLSNDIFQPGGSIGRSLLQAKQNCPVNFENQNYTIITSKCKGPNYPAKSCCDALKDFACPFADAINDLKNDCATTMFSYINIYGKYPPGLFASQCREGKEGLACPAEAPKPQKSRGQIAATHSIILALTAVLVLSFNMF >ONI19552 pep chromosome:Prunus_persica_NCBIv2:G3:25799212:25800898:1 gene:PRUPE_3G284800 transcript:ONI19552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASCVSTTPPSVNKAWIYREHGETAHVLKFETNVAVPQIKEDQVLIKVVAAALNPIDAKRILGVFRATDTALPTVPGFDVAGVVVKLGSKASKFNIGDEVYGDINEEGSINLKKFGTLAEYTAAEERLLALKPKNLSFVEAASLPAAIETAYEGLKRVGLSAGQSILVLGGAGGCGTHVIQLAKHVFGASRVAATASTKKLDLLRSLGADLAIDYTKENVEDLPEKFDVVYDAVGQSDKAVKAVKEGGRVVTLIGPITPPASMFVLTSTGSILEKLKPYLESRKVKAVIDPTGPYPFSKTIDAFAYLQTSRATGKVVVYPIP >ONI14741 pep chromosome:Prunus_persica_NCBIv2:G3:323427:324943:1 gene:PRUPE_3G005400 transcript:ONI14741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEDEYVYRISTAKEWEELQSSGSSFCGELDKTSGFIHLSKLNQVQLTLQNFFLNTKVDLYLLQVDTKKLGKGLIYEVVDGSNSFPHFYGPSRSFSPLPLDAVTRAEKLSLSDGRFSCSMLESAA >ONI19702 pep chromosome:Prunus_persica_NCBIv2:G3:26197307:26200145:1 gene:PRUPE_3G292800 transcript:ONI19702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNLVHDGNGFSRIILTEPTGSSAEVLLYGGQVVSWKNERREELLFMSSKAIWKPTKAIRGGIPVCFPQFGNLGSLEQHGFARNRLWSLDNDPSPLPPTNNQSSVDLILKSAEEDLKTWPRSFELRLRISLSAGKLTLIPRVRNTDSKAFSFTFALSNYLSVSDISEVRVEGLETLDYFDNLARRERFTEQADAITFDGEVNRVYLDTPTKIAMIDHEKKRTFVLRKDGMPDAVVWNPWDKKTKTVPDLGVEDYKTMLCVDSAAIETPIVLKPFEEWKGRQELSTVSSSYCSGQLDPRKVLHGFH >ONI19703 pep chromosome:Prunus_persica_NCBIv2:G3:26197474:26200066:1 gene:PRUPE_3G292800 transcript:ONI19703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNLVHDGNGFSRIILTEPTGSSAEVLLYGGQVVSWKNERREELLFMSSKAIWKPTKAIRGGIPVCFPQFGNLGSLEQHGFARNRLWSLDNDPSPLPPTNNQSSVDLILKSAEEDLKTWPRSFELRLRISLSAGKLTLIPRVRNTDSKAFSFTFALSNYLSVSDIREVA >ONI15709 pep chromosome:Prunus_persica_NCBIv2:G3:4038257:4041216:-1 gene:PRUPE_3G056900 transcript:ONI15709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLLPKPSHFSRLTLISSKFHPPPQPQNPVFTTFVPRFFSSDNGKGPSQSDVWKLSQETDGKFDPLFGEISGDVSGVADSGEAGEADSWLKEKGGEEWETVAGYKPWSLGEEEKSDLFDIGEGVQTVAATDGEIRRGSREEIEKAEEAKRLEKEEQELTAVLKGPNRAFGDLVAASGITDEMLDTLIALKDFQGINGLPPLREIEDIRYEKSTRKSSRAEIERQKQEEAAKARVRQVDDKGRAYGTGRRKCSVARVWIQPGDGKFTINDKQFDVYFPMLDHRAALLRPFSETKTLGLWDIECTVQGGGTTGQVGAIQLGVSRALQNWEPDLRPALRDSGFLTRDSRVVERKKPGKAKARKSFQWVKR >ONI15550 pep chromosome:Prunus_persica_NCBIv2:G3:3475226:3476990:-1 gene:PRUPE_3G049400 transcript:ONI15550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVYPTAKPATAAPATAANPAFPATKAQLYSNTRPAYRPQPHHRRRSRSRCCSCCLWIIFLILLQLVIVAALSAAFYVFYRPHRPSFSVTSLKLQTLNFTTNSHLTSSFNLNITARNPNKKLVFIYNPMAISIYSNDVDIGDGIIPSFVHGKKNTTLLKAKIASSGKELQSSEVSALKADIKSKSGLPLKVRLDTKVRVKVLGLKTPRIGIRVKCEGIRVTSLPTAKAPATASTSNAKCKVDVRVKIWKWTV >ONI17710 pep chromosome:Prunus_persica_NCBIv2:G3:19239432:19241852:1 gene:PRUPE_3G175700 transcript:ONI17710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEKRIYNLRESSSRPDPEENLIHRRQRFEAEVVGFDEQLRKIGSFLLKSSPSSGAGFAAVGILGMAGAGKTTLVREFLSLWIVRDEFSPIIWLCLSNIIKENKQVEEEIEVSIVKCMLGKLDHDAVADGDGIIQEEEKIISSNNNSGHVLAALLERLNQHLSGKSYLVVLDDVWHMNNFYSDLGHRRLQVQEGDKKVGDHRH >ONI16293 pep chromosome:Prunus_persica_NCBIv2:G3:6699900:6702574:1 gene:PRUPE_3G090100 transcript:ONI16293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFLALKVKTHWLYSSLSFSVHHSLKSKMISMASVAPTHFPTHPHLQSFENPPVTLFENCKSMDQLKQIHAQTMKTGLTAHPMVLNRIIVFCCTDEFGDMKYARRVFDTIPEPSVFLWNTMMKGYSRIRYPDYGVSMYFTMQRLSVKPDCYTFPFLLKGFTREIALECGKELHASVLKYGFDSNVFVQNALVHMYSICGLIDMARGVFDMICEKEVATWNVMISGYNRVKKYDESWKLFNCMQKKGVLPTSVTLVSVLSACSKLKDLDTGKQVHKCVKECLIEPTLVLENALVDMYVACGEMNAALKFFENMKTKDVISWTTIVKGFANSGQVDLARNYFDEMPERDYISWTAIIDGCLQVNRFKEALEFFRQMQTSYVKPDEYTMVSILTACAHLGALELGEWIKTYIDKNKIKNDTFVRNALIDMYFKCGNAEKALRVFDAMLHRDKFTWTAVIVGLATNGHGREALGMFSRMVESLVTPDQITFIGVLCACTHSGMVDEGRKFFASMITQHGIEPNVTHYGCMVDLLGRAGHLIEAFEVIQNMPMKPNSVVWGALLGACRMHKDAELAEMAAKEMLELEQDNGAVYVLLCNIYATCNKWENLREVRQMMMNRGIKKTPGCSLIELNGIVHEFISGDRSHPQSEKIYSKLDEMIKDLKFAGYSPDTSEVFLDIGEEDVKKVQYAGTVRS >ONI16910 pep chromosome:Prunus_persica_NCBIv2:G3:13030199:13031351:-1 gene:PRUPE_3G129100 transcript:ONI16910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEVRASHELLQAQAHIWNHIFSFINSMSLKCAVQLGIPDVIQKHGQPITLSELVSALPISPTKAHFIPRLMRILVHSGFFAQESLSGGEQGYVLTDASALLLKDNPMSARPFLLAMLNPILTNPWQYLTTWFQNDNPTPFHVDPTLAHFFNDAMASDARLISSLMIDDCKGVFHGVDSLVDVGGGTGTMAKSIADAFPHMKCTVLDLPHVVADLKGSQKLEYVAGDMFEVVPEADAIFLKWILHDFSDEECVKILERCKEAITKEGKKGKESGETQLFFDMLMMVLATGKERNEKEWAKLFSDLGFSHYKITPCLGLRSLIEVYP >ONI16506 pep chromosome:Prunus_persica_NCBIv2:G3:7997237:7998155:1 gene:PRUPE_3G102800 transcript:ONI16506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGTFIILMFAVGTWISSAHARALIGTNPRDQSMRIIIFGRSSTSAAPLSHQTTVDDPSCTANSPPPPHKSPPTPPLGPPAPNPGPPPKPPKYHGIVTGGGSLRVSNVASF >ONI16853 pep chromosome:Prunus_persica_NCBIv2:G3:11553859:11555721:1 gene:PRUPE_3G125400 transcript:ONI16853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVCVEIVDQDGKSSLLSPVKAFDSVVLAIYEGFCNLKQVHFSQIFVLLFVGILLSFCIFSYILWLFA >ONI16852 pep chromosome:Prunus_persica_NCBIv2:G3:11553755:11555721:1 gene:PRUPE_3G125400 transcript:ONI16852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVCVEIVDQDGKSSLLSPVKAFDSVVLAIYEGFCNLKQVHFSQIFVLLFVGILLSFCIFSYILWLFA >ONI18407 pep chromosome:Prunus_persica_NCBIv2:G3:21760233:21761988:1 gene:PRUPE_3G214000 transcript:ONI18407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIVELPTGADEFNRGQTARKDDLSLSDLLNLEIKWTSKPEKVETDFSNETPTQPKSLPDLAGVNLDNFFSEGKKDAAVNISEEQLFESSTQTTGEEINAFEVRETLSLFENVQPFETVVESTEGESGDSFSGWAANFQSAASETLPHASETLPHASENLHQASENIPQESKVIDPFVGSTVDLSAHIDTVFGSAVHSTDEKSNHSMTGSAPLTTDWFRGDLLGVSNSGFAGGPEQFETLAEVKGITENVNNSFPADVDRVQDNQLQTTSNNAPDNKTTDEDEDSFDAWNDFATSNSAPNLVDSSLKQSTNQTTPVDQTSVVDLFGTASNSGDLNFGSLSQPDFSAGAFNSSNGSTVVDMKQADSSVLDSLADLSTKDEKKSEDVAEGGDVSGARAGSKSEDAERIMSQMHDLSFMLESSLSIPPKRDELHSHSQD >ONI18406 pep chromosome:Prunus_persica_NCBIv2:G3:21759152:21761988:1 gene:PRUPE_3G214000 transcript:ONI18406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEIPTDMIKEVQISLRREAKMSSYDPDDTPLPNLPSVEETIADLDPSPPYLRCKHCKGRLLRGVQSLICAFCGREHCKDLPPDPINFRDTFGYRWLLKSLSLDGSEIVELPTGADEFNRGQTARKDDLSLSDLLNLEIKWTSKPEKVETDFSNETPTQPKSLPDLAGVNLDNFFSEGKKDAAVNISEEQLFESSTQTTGEEINAFEVRETLSLFENVQPFETVVESTEGESGDSFSGWAANFQSAASETLPHASETLPHASENLHQASENIPQESKVIDPFVGSTVDLSAHIDTVFGSAVHSTDEKSNHSMTGSAPLTTDWFRGDLLGVSNSGFAGGPEQFETLAEVKGITENVNNSFPADVDRVQDNQLQTTSNNAPDNKTTDEDEDSFDAWNDFATSNSAPNLVDSSLKQSTNQTTPVDQTSVVDLFGTASNSGDLNFGSLSQPDFSAGAFNSSNGSTVVDMKQADSSVLDSLADLSTKDEKKSEDVAEGGDVSGARAGSKSEDAERIMSQMHDLSFMLESSLSIPPKRDELHSHSQD >ONI18036 pep chromosome:Prunus_persica_NCBIv2:G3:20484374:20484756:-1 gene:PRUPE_3G193100 transcript:ONI18036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQNNSLKTHTKKTYVHMSYWEFLLTRKGEKYFHISASWSLLLHPKNSHKFRVKLSSNFLNQIKNPTQFPLPA >ONI16138 pep chromosome:Prunus_persica_NCBIv2:G3:5961714:5962160:1 gene:PRUPE_3G080400 transcript:ONI16138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEDILDEYGHVEEAKNESYHIIGTLLTSCLLEDEGDSVKMHDVIRDMALWLACDLGKEGENILVDTGAYHAPNVAKWNAKRVSLMGSGIKSLDETPTSPNLLTLFLRGSFLKRIVDDFFDFMPTLRVLDLSENVLITQLPTGHYYKK >ONI17773 pep chromosome:Prunus_persica_NCBIv2:G3:19488575:19491580:-1 gene:PRUPE_3G178400 transcript:ONI17773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSLLDRELRLLCRSVLRFLDRSVSGAMGCFFGCFRVKDDRHLRSRPHLVSQSSRNNQTEVVISQNRLSSLFSAEEREGSPSKDRESPCYGSPQIDKELRNEAKFLKACGTLVETPVEIRKASSKLNGSPHHDRGSEPPKFNSWLPNTSIKQLQQEDQPDQPLTPIKLGEEWGKGSRRSEHTPSSMSNQQNTGGISISSTEGSGIVTDSTVKTESISTPDVQCRSKAVRFECDINTNSSRGSSSENYSRSAKVSETPGKQSISKPSVYPTPLTLSDEMQTPGTVFPANLGNFPNGKARIRSQYVYAVQNPVEGISQWKLMKDEDTNSQGLSGELRESLNQSGNATPISEVGAKETSSGQEFNVESSLSSWLKPVNRDDNNQNFGYVPGRTRHFGRTPGDRPIIGLVATHWNEDEPTRISPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESFISQRKHIEGKPIVFDETEESDTALSQLQSSSQPKSVVSF >ONI17772 pep chromosome:Prunus_persica_NCBIv2:G3:19488219:19491801:-1 gene:PRUPE_3G178400 transcript:ONI17772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSLLDRELRLLCRSVLRFLDRSVSGAMGCFFGCFRVKDDRHLRSRPHLVSQSSRNNQTEVVISQNRLSSLFSAEEREGSPSKDRESPCYGSPQIDKELRNEAKFLKACGTLVETPVEIRKASSKLNGSPHHDRGSEPPKFNSWLPNTSIKQLQQEDQPDQPLTPIKLGEEWGKGSRRSEHTPSSMSNQQNTGGISISSTEGSGIVTDSTVKTESISTPDVQCRSKAVRFECDINTNSSRGSSSENYSRSAKTPGTVFPANLGNFPNGKARIRSQYVYAVQNPVEGISQWKLMKDEDTNSQGLSGELRESLNQSGNATPISEVGAKETSSGQEFNVESSLSSWLKPVNRDDNNQNFGYVPGRTRHFGRTPGDRPIIGLVATHWNEDEPTRISPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESFISQRKHIEGKPIVFDETEESDTALSQLQSSSQPKSVVSF >ONI17925 pep chromosome:Prunus_persica_NCBIv2:G3:20088332:20095229:1 gene:PRUPE_3G186800 transcript:ONI17925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETQVSSEIPVKAFEDAETTNAEAIKVSNGDLPPVEHEGKKEEEEATFDGEFIKVERESLDVKDGSHAAEPALVEDKPSVIERSSSNSSRELLEAREKVSDLELEIERLAGVLKHSESENSELKNEVLLRKEKLEESGEKYEELELSHKKLQEQIVEAEEKYSSQLNVLQETLQAQEKKHKDLVGVKEAFDGLSLELESSRKRLQELEQELQSSAGEAQKFEELHKQSGSHAETETKRALEFEKLLEVAKLSAKEMEDQMACIQEELKGLYEKIAEDEKVKEALNSTAAELSAVQEELALSKSQGVDLEQKLSAKEALINELTEELGLKKASESQVKEDISALENLFASTKEDLDAKVSELEEIKLKLQKELSAKELVEAAQKTHEEESLVVQEKLAIVTKEKEALEAAVVDLTGNVQLTKDLCSDLEEKLKLSEENFGKTDALLSQALSNNAELEQKLKSLEEFHNEAGASFATATQKNLELEGIIQSSNAAAEEAKLQLRELETRFIAAEEKNAELEQQVNVVELNRGIAEGGLEELSEKLSALSTTLAEVEEEKKQLNGQVQEYQEKISQLESSLDQSSLQNSELQEELKIATEKCAEHEGRASTHHQRSLELEDLFQLSHTKAEDTGKKVSELELLLETEKFRIQELEEQISALEKKCLDAEADSKNYSNKISELSSELEAFQARTSSLEVALQAANEKERELTEALNVATEEKIRLEDASNNSSEKLSEAENLLEVLRNELNLTQGKLENIENDLKEAGIREGEVIVKLKSAEEQLEQQGKVIEQTTSRNSELEALHESLVRDSEIKLQEAIGSFTNRDAEANSLLEKLKILEDQVKVYEEQVAEAAEKYASLKEELDNSLTKLASSESTNEELSKQILEAENKASQSLSENELLVDTNVQLKSKIDELQELLNSALSEKEATTKELVAHKSTVEELTDQHSRACDLHSSAEARVAEAETKLQEAIQRFSQRDLEAKDLLEKLDAREGQIKLYEAQAQETSSVSETRKAELEETLLKLKHLESIVEELQTKLAHFEEESRKLAEANIKLTEEVSIYESKLSDVEAKNFTALAEKEETVEQLQASKKTIEDLTEQLSLEGQKLQSQISSVMDENSLLNELNQNIKKELQQVISQLEEQLKEHKAGEDALKSEVENLKAEIAEKSLLEKSLKELEEQLVKTEAQLKQEVESVKSAAAEREAELTSKLEDHAHKVHDRDLLNEQVVKLQSEIHIAQATVAEKKEADSQKDLEREASLKHSLEELEAKNKEITLLEKQVKDLEQKLQLADAKLTERGDANVAGLEVKSRDIGSTISTPSKRKSKKKSEAALAQTSSSSEIHTHTAEASPLMSIKFIVGVAVVSAIIGIILGKRY >ONI17923 pep chromosome:Prunus_persica_NCBIv2:G3:20087116:20095229:1 gene:PRUPE_3G186800 transcript:ONI17923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETQVSSEIPVKAFEDAETTNAEAIKVSNGDLPPVEHEGKKEEEEATFDGEFIKVERESLDVKDGSHAAEPALVEDKPSVIERSSSNSSRELLEAREKVSDLELEIERLAGVLKHSESENSELKNEVLLRKEKLEESGEKYEELELSHKKLQEQIVEAEEKYSSQLNVLQETLQAQEKKHKDLVGVKEAFDGLSLELESSRKRLQELEQELQSSAGEAQKFEELHKQSGSHAETETKRALEFEKLLEVAKLSAKEMEDQMACIQEELKGLYEKIAEDEKVKEALNSTAAELSAVQEELALSKSQGVDLEQKLSAKEALINELTEELGLKKASESQVKEDISALENLFASTKEDLDAKVSELEEIKLKLQKELSAKELVEAAQKTHEEESLVVQEKLAIVTKEKEALEAAVVDLTGNVQLTKDLCSDLEEKLKLSEENFGKTDALLSQALSNNAELEQKLKSLEEFHNEAGASFATATQKNLELEGIIQSSNAAAEEAKLQLRELETRFIAAEEKNAELEQQVNVVELNRGIAEGGLEELSEKLSALSTTLAEVEEEKKQLNGQVQEYQEKISQLESSLDQSSLQNSELQEELKIATEKCAEHEGRASTHHQRSLELEDLFQLSHTKAEDTGKKVSELELLLETEKFRIQELEEQISALEKKCLDAEADSKNYSNKISELSSELEAFQARTSSLEVALQAANEKERELTEALNVATEEKIRLEDASNNSSEKLSEAENLLEVLRNELNLTQGKLENIENDLKEAGIREGEVIVKLKSAEEQLEQQGKVIEQTTSRNSELEALHESLVRDSEIKLQEAIGSFTNRDAEANSLLEKLKILEDQVKVYEEQVAEAAEKYASLKEELDNSLTKLASSESTNEELSKQILEAENKASQSLSENELLVDTNVQLKSKIDELQELLNSALSEKEATTKELVAHKSTVEELTDQHSRACDLHSSAEARVAEAETKLQEAIQRFSQRDLEAKDLLEKLDAREGQIKLYEAQAQETSSVSETRKAELEETLLKLKHLESIVEELQTKLAHFEEESRKLAEANIKLTEEVSIYESKLSDVEAKNFTALAEKEETVEQLQASKKTIEDLTEQLSLEGQKLQSQISSVMDENSLLNELNQNIKKELQQVISQLEEQLKEHKAGEDALKSEVENLKAEIAEKSLLEKSLKELEEQLVKTEAQLKQEVESVKSAAAEREAELTSKLEDHAHKVHDRDLLNEQVVKLQSEIHIAQATVAEKKEADSQKDLEREASLKHSLEELEAKNKEITLLEKQVKDLEQKLQLADAKLTERGDANVAGLEVKSRDIGSTISTPSKRKSKKKSEAALAQTSSSSEIHTHTAEASPLMSIKFIVGVAVVSAIIGIILGKRY >ONI17924 pep chromosome:Prunus_persica_NCBIv2:G3:20089791:20095229:1 gene:PRUPE_3G186800 transcript:ONI17924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETQVSSEIPVKAFEDAETTNAEAIKVSNGDLPPVEHEGKKEEEEATFDGEFIKVERESLDVKDGSHAAEPALVEDKPSVIERSSSNSSRELLEAREKVSDLELEIERLAGVLKHSESENSELKNEVLLRKEKLEESGEKYEELELSHKKLQEQIVEAEEKYSSQLNVLQETLQAQEKKHKDLVGVKEAFDGLSLELESSRKRLQELEQELQSSAGEAQKFEELHKQSGSHAETETKRALEFEKLLEVAKLSAKEMEDQMACIQEELKGLYEKIAEDEKVKEALNSTAAELSAVQEELALSKSQGVDLEQKLSAKEALINELTEELGLKKASESQVKEDISALENLFASTKEDLDAKVSELEEIKLKLQKELSAKELVEAAQKTHEEESLVVQEKLAIVTKEKEALEAAVVDLTGNVQLTKDLCSDLEEKLKLSEENFGKTDALLSQALSNNAELEQKLKSLEEFHNEAGASFATATQKNLELEGIIQSSNAAAEEAKLQLRELETRFIAAEEKNAELEQQVNVVELNRGIAEGGLEELSEKLSALSTTLAEVEEEKKQLNGQVQEYQEKISQLESSLDQSSLQNSELQEELKIATEKCAEHEGRASTHHQRSLELEDLFQLSHTKAEDTGKKVSELELLLETEKFRIQELEEQISALEKKCLDAEADSKNYSNKISELSSELEAFQARTSSLEVALQAANEKERELTEALNVATEEKIRLEDASNNSSEKLSEAENLLEVLRNELNLTQGKLENIENDLKEAGIREGEVIVKLKSAEEQLEQQGKVIEQTTSRNSELEALHESLVRDSEIKLQEAIGSFTNRDAEANSLLEKLKILEDQVKVYEEQVAEAAEKYASLKEELDNSLTKLASSESTNEELSKQILEAENKASQSLSENELLVDTNVQLKSKIDELQELLNSALSEKEATTKELVAHKSTVEELTDQHSRACDLHSSAEARVAEAETKLQEAIQRFSQRDLEAKDLLEKLDAREGQIKLYEAQAQETSSVSETRKAELEETLLKLKHLESIVEELQTKLAHFEEESRKLAEANIKLTEEVSIYESKLSDVEAKNFTALAEKEETVEQLQASKKTIEDLTEQLSLEGQKLQSQISSVMDENSLLNELNQNIKKELQQVISQLEEQLKEHKAGEDALKSEVENLKAEIAEKSLLEKSLKELEEQLVKTEAQLKQEVESVKSAAAEREAELTSKLEDHAHKVHDRDLLNEQVVKLQSEIHIAQATVAEKKEADSQKDLEREASLKHSLEELEAKNKEITLLEKQVKDLEQKLQLADAKLTERGDANVAGLEVKSRDIGSTISTPSKRKSKKKSEAALAQTSSSSEIHTHTAEASPLMSIKFIVGVAVVSAIIGIILGKRY >ONI15786 pep chromosome:Prunus_persica_NCBIv2:G3:4407404:4407868:-1 gene:PRUPE_3G061600 transcript:ONI15786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPFMLLNGIGQIRFRDTCAEAEEFFKEKKIMKLGERKACTKLLDMCTDILPSKVKGDRSKSVLFDACRLAKALQSLDSDRGWTNGRKWQFVSHVWVEMLPYAANQCRWSGQAQKLRRGGELLTHVWLLMAHLGLTEQFQISEGHARAKLIVEF >ONI14983 pep chromosome:Prunus_persica_NCBIv2:G3:1437255:1437653:1 gene:PRUPE_3G019600 transcript:ONI14983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVSVFRFLSIATITTISLCNGNLGVACKQNERQALLIFKQDLKDPSNRLLSWVGEGDCCNWTGVVCDNLTGHVPELHLGNYYSDEYLNHSLYQESSLGGKVNTSLLNLKHLSYMDIQATMIFEEYRFLAS >ONI19789 pep chromosome:Prunus_persica_NCBIv2:G3:26413287:26417549:-1 gene:PRUPE_3G298200 transcript:ONI19789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRANMLLLLFSFSWLASACTASVSYDHRSIIINGRKRILISGSIHYPRSTPEMWPDLIQKAKEGGVDVIQTYVFWNGHEPSPGKYYFEDRYDLVKFIKLVHQAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGIAFRTDNEPFKAAMQTFMEKIVGMMKAESLFQSQGGPIILSQIENEYGPVEWEIGAPGKAYTNWAAQMAVNLNIGVPWIMCKQEDAPDPIIDTCNGFYCQNFTPTKKYKPKMWTEVWTGWYTEFGGAVPTRPAEDLAFSVARFIQNGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDSPLDEFGLPREPKWGHLRDLHRAIKLSESALVSADPSVISLGRHQEAHVFKSSYQCAAFLANYDTNYSVEVRFGDGQYDLPPWSISILPDCKTAVYNTARLGAQSSQMKMTPVNNALSWQSFAEETASSDDPDTFTLDGLRDQINMTWDSTDYLWYMTDITISPDEGFLESGQSPLLTIGSAGHALHVFINGKLSGTAYGSLEKRRLRFSDNVKLRSGINKLALLSVSLGLPNIGLHFETWNVGVLGSVTLKGLNSGTWDLSQRKWTYKVGLKGEALSLHTVNGSSSVEWVQKPYLAKKPPLTWYKATFDAPSGNDPLALDMVSMGKGQIWINGRSIGRHWPAYTAHGACRDCYYAGTYNENKCRTKCGEPSQRWYHVPRGWLNPSGNLLVVFEEWGGEPTKIALAQRATSSVCADIFEGQPTLQSSQKLASAKLIKAKAHLRCQPGQIISDIKFASYGWPQGTCGSFKEGSCHAHKSYDFPRRVCIGKQFCTIPVAPAYFGGDPCPGSAKKFSVEAVCS >ONI16748 pep chromosome:Prunus_persica_NCBIv2:G3:10412379:10414601:-1 gene:PRUPE_3G119300 transcript:ONI16748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKVDEKLDEENHSMCSHGVHWSRGKLIGKGSYGFVFLATPRKPTKDEHSRGMLNLREMMAVKSAKVSASESIKHESDVLLEIKGCPFIIECLGEETTATDKGDMVYNLLLEFASGGTLDGLIHKSKGHKLSEYDVRRYTRSVLEGIQHIHKYDYVHCDLKPDNILLVPTTTTSSGGTSFVAKIADFGLAKKTKVNYSRWRGTPRYLSPEAFINNKQDQSSDIWSLGCIVFEMLTGKSPWDLKPGYNPNNFPDVLMFDHLRTCKLPTGISDMARDFLKSCLAMNSRERLTAESLLSHPFVAQPQSSKEGHTKIAFVWALLKVSNNVRTHNARNMICKRKVHSTTMWSLWGEEGYIRMQRDVDAAEGLCGIAMSASYPTA >ONI18158 pep chromosome:Prunus_persica_NCBIv2:G3:20925051:20927351:1 gene:PRUPE_3G200400 transcript:ONI18158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLLWHKIAGLSGMAALGLGTYGAHGFKPENPTYKDVWQTASLYHLVHTAALVAAPITKHPNIFGGLLTTGILAFSGTCYTVALLEDRKYSTLAPFGGFAFIAAWASLLF >ONI17884 pep chromosome:Prunus_persica_NCBIv2:G3:20003909:20009346:1 gene:PRUPE_3G185400 transcript:ONI17884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLVFIPARGIEHLVSTVEIAKQLTAGDHQLFITVFIMKLPFNQLFINLPKAHVDTRNTNPSSFFKTFIENHKTHARDAVSESTQSESNQARLVGLVINMFYTTMIDVADEFRVPSYMFFTSNFRCLAFLLHLRTLQDENGIDAASLMDSKDRFREIKGILVNMSSKLESHALQSLSDGKTLPVYPVGPLLNLESDDFHVGSNMARQKYDDQLSLSVRAYALEHSRLRFLWSLRKPLPKGEIAMPSDYADPTGVLPEGFLDRTAEIGRVIGWAPQVAILAHPTIGGFVSHCGWNSMLEKQQLNTFELVKELGLAVEIKMDYRKGSEVVVSAEEIGRGIREVMEKDSDIRERVKEMSVKSKKALVDGGSSHSSLGCFIDQIQL >ONI17996 pep chromosome:Prunus_persica_NCBIv2:G3:20380975:20390778:-1 gene:PRUPE_3G191100 transcript:ONI17996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLHQQIPSRKRPASSSFVPPLPPPKSSKPSTTNPTPNDVVETPAIDKMASILADAGCTLLNHSGPPCLPSDSHKLRRHLHRTFSSSENAPSLLSDFLSGLSTYINSSKNLRRILTTSGRSESLVRHLLLVPSIQLQIQNMLLEKLPEYFHVYPEHSGPSLSLEDDIARLIINHFRWLDFIVDPNALAEKLMLVLSICPLHLKKEIIGSLPEIIGDQNNQTVVRSLEKLLQEDLAVVVPVLDSFSNLNLDPLLQEQVITIALSCIRTIDAEHMPHLLRFLLLSATLSNARRIISQIRQQLKFVGVSNYRTSEKSKLKGKSRADNTEASILDALRSSLRFKNILCQEILKELNSLEKPQDHKVIDIWLLMLIYMNGESLQRSIEKVFKKKIIEDCIQEAMFDQCIHGHKELVQDYFLSFISLSEYLLACKEPKAREFGIHMYVCLFEEFADTYSRQEVLGSLVTHVGSSVGFEVSSALETMALLASKYSQQLIPLSSHINGILDYLEGFSVENLHKVYEIFGHLALLARSSADSYGSSFANELLMIVRKQVSHPELNYKKMGLVGTLKMVSCLGDATDVTCSSSSQKSNCDEALELLKIALDSCKQLPLPLIMFYDELTEMMDYKTLHPTVMEWIGKHVGEFEPLFLSDLDGGNLAVKDSYCGLEGELWMNLDGDISPICLNILPLASSSSQSASSLQVLPANFLLLSAIERLTNQGSLGGIDALLGCPLHLPSSKYFFGSEWKSLTGKQKQILCASLYYAANWIRELLNAFCTQVTGIFEFTSQATKEDIISKLLKRLRNLLFLESLLNNCIGRYSLSLPELHPYVDVYRSSALNQPHRMGHIEKKLDHKKKHEEISPSGTRTNKKTSKETTSDTNRNLRQPTLLDVLEKAGVLPGQDVPNEDSSGLSTKGRSYESSDKNSHDSDEASSIEISAVGKAIEAQRINFRPLLVHCYAILTFSKSEASCCIDAAAELPLYLYLMRDLHYKLDFFTPGKQLWGRCLSAPVGFTRLTVDGFLSKIKPLFPSIKRHFDSAVLLLKEGDETCEEHWNIQSTFAGNPNIPNLVLSKSAVSTSVFKEVLHCFSAILNLPGIQTDKSALSCLLEAFQPTEIPDSLMADIQPNLSPGTTEYLYLGAYAFFEGVLDIACSFSFMLASESLFTLESVVTSIQKFISKLEGNSKNVHSEFIQEALPTLRSKLGISAQNILRHSWDNENVENGWKRKGETVQRILRIYLDSSNSTSDLLDKLACSILPQALCERIGEDDHHGFPSLSSGTFVVWYKILHEENLSVLNKLVKEAVLLKKTSTRAQPETIEKLLSKLQQSVNVVVSLVNLCKTCAKVTLHAMAVGYGGKFVDSFLKVFDFLESHFQVHNEHIIHLVLELQKATRTIQTLCSEAKGLRQTSITRKIPATKRSMERFLFCVKALLHTTSNGSSFWMGNLKHKDLKGQVVSSQVYVDSEDDHVDEEPAEGADEEPAEAADEEPAEAADEEQAEAANEEQAEAADEEPAEAADDYPPVSVASEEDRETE >ONI17995 pep chromosome:Prunus_persica_NCBIv2:G3:20380260:20390907:-1 gene:PRUPE_3G191100 transcript:ONI17995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLHQQIPSRKRPASSSFVPPLPPPKSSKPSTTNPTPNDVVETPAIDKMASILADAGCTLLNHSGPPCLPSDSHKLRRHLHRTFSSSENAPSLLSDFLSGLSTYINSSKNLRRILTTSGRSESLVRHLLLVPSIQLQIQNMLLEKLPEYFHVYPEHSGPSLSLEDDIARLIINHFRWLDFIVDPNALAEKLMLVLSICPLHLKKEIIGSLPEIIGDQNNQTVVRSLEKLLQEDLAVVVPVLDSFSNLNLDPLLQEQVITIALSCIRTIDAEHMPHLLRFLLLSATLSNARRIISQIRQQLKFVGVSNYRTSEKSKLKGKSRADNTEASILDALRSSLRFKNILCQEILKELNSLEKPQDHKVIDIWLLMLIYMNGESLQRSIEKVFKKKIIEDCIQEAMFDQCIHGHKELVQDYFLSFISLSEYLLACKEPKAREFGIHMYVCLFEEFADTYSRQEVLGSLVTHVGSSVGFEVSSALETMALLASKYSQQLIPLSSHINGILDYLEGFSVENLHKVYEIFGHLALLARSSADSYGSSFANELLMIVRKQVSHPELNYKKMGLVGTLKMVSCLGDATDVTCSSSSQKSNCDEALELLKIALDSCKQLPLPLIMFYDELTEMMDYKTLHPTVMEWIGKHVGEFEPLFLSDLDGGNLAVKDSYCGLEGELWMNLDGDISPICLNILPLASSSSQSASSLQVLPANFLLLSAIERLTNQGSLGGIDALLGCPLHLPSSKYFFGSEWKSLTGKQKQILCASLYYAANWIRELLNAFCTQVTGIFEFTSQATKEDIISKLLKRLRNLLFLESLLNNCIGRYSLSLPELHPYVDVYRSSALNQPHRMGHIEKKLDHKKKHEEISPSGTRTNKKTSKETTSDTNRNLRQPTLLDVLEKAGVLPGQDVPNEDSSGLSTKGRSYESSDKNSHDSDEASSIEISAVGKAIEAQRINFRPLLVHCYAILTFSKSEASCCIDAAAELPLYLYLMRDLHYKLDFFTPGKQLWGRCLSAPVGFTRLTVDGFLSKIKPLFPSIKRHFDSAVLLLKEGDETCEEHWNIQSTFAGNPNIPNLVLSKSAVSTSVFKEVLHCFSAILNLPGIQTDKSALSCLLEAFQPTEIPDSLMADIQPNLSPGTTEYLYLGAYAFFEGVLDIACSFSFMLASESLFTLESVVTSIQKFISKLEGNSKNVHSEFIQEALPTLRSKLGISAQNILRHSWDNENVENGWKRKGETVQRILRIYLDSSNSTSDLLDKLACSILPQALCERIGEDDHHGFPSLSSGTFVVWYKILHEENLSVLNKLVKEAVLLKKTSTRAQPETIEKLLSKLQQSVNVVVSLVNLCKTCAKVTLHAMAVGYGGKFVDSFLKVFDFLESHFQVHNEHIIHLVLELQKATRTIQTLCSEAKGLRQTSITRKIPATKRSMERFLFCVKALLHTTSNGSSFWMGNLKHKDLKGQVVSSQVYVDSEDDHVDEEPAEGADEEPAEAADEEPAEAADEEQAEAANEEQAEAADEEPAEAADDYPPVSVASEEDRETE >ONI15852 pep chromosome:Prunus_persica_NCBIv2:G3:4726384:4727564:-1 gene:PRUPE_3G065500 transcript:ONI15852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMLLWMNQLHDGAKIINRSENCKTKQIMYLVGVSAAVVAHSLLQLVIIVSRLLRKSPLIPSRNHAWLTYAGDQVLAYAMMSARSAASGVSNLNRTGIRHMALPDFCIPLHIFCDHVAISIACTFLSSLLLAISAIQNVIWLSNN >ONI16931 pep chromosome:Prunus_persica_NCBIv2:G3:13369597:13370649:-1 gene:PRUPE_3G130800 transcript:ONI16931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESLDSSLWKCKKLVEVQSLFDIKPLKRVDIEMIRDMGLFNLESTGVGTEVEMTNYLTCTTRNGPLQGLYECGIFSIFVHGNKIPDRFTYRSMGKSVLSIILPSHFNLKIRGLNICVMYSRRPFWFSSRNFLKVSNETKGLKWTYCPFAAGLPKKNQDVLWLSHWRFENDELEEGEQVHVSINEEFSFWAKKFCIQLVYEKDPSNSEDIIIQQETPSSSQIAAGGNVSASASKYQFWTGKYFLCNHRARIHQHQFSRRQMNPSYLEHYKPETDTFHYLFDQDVHPQDNHSIYSEES >ONI20111 pep chromosome:Prunus_persica_NCBIv2:G3:27240524:27241648:-1 gene:PRUPE_3G315600 transcript:ONI20111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRSSDPNQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEIVQLDDSNGEIRSDPNLSFEHPYPPTKTIFIPDKECQKPDLLATSSDFLRVWRISGEDEESDSSSSSSVELKSLLNGNKSSEFCGPLTSFDWNEAEPKRIGTSSIDTTCTIWDIEREAVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >ONI19203 pep chromosome:Prunus_persica_NCBIv2:G3:24853125:24855079:-1 gene:PRUPE_3G264000 transcript:ONI19203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHINFSVILILGLMSTMAHSMRFDLQSGATKCISDDIKSNAMTVGKYSVVNHNEGFPKPDSHKVTVRVTSPYGSNYHYGDHVESGNFAFTAAETGDYSACFWVSDRKPSTTVTIDFDWKTGVAAKDWSKVAKKGQIETMEVELTKLYDTVSSIHDEMFFLREREEEMQQLNRSTNSKMATFSFLSLLVCLSVAGLQLWHLKMFFERKKLL >ONI16915 pep chromosome:Prunus_persica_NCBIv2:G3:13200224:13201713:-1 gene:PRUPE_3G129600 transcript:ONI16915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCINLNSHHILSQQQGMGSEVRASHELLQAQAHIWNHIFSFINSMSLKCAAQLGIPDVIQKHGQPMTLSELVSALPISPTKAHFIPRLMRILVHSGFFARESLSGGEQGYVLTDASALLLKDNPMSARAFLLAVLNPILTDPWQYLTTWFQNDNPTLFHVVHGMTFWDYGNQDPALAHFFNDAMASDARLISSLVIDEYKGVFQGVDSLVDVGGGTGTMAKSIADAFPHMKCTVLDLPHVVANLKGSKNLEYVAGDMFEAVPAADAIFLKWILHDWSDEECVKILEKCKEAITREGKKGKVIIVDMTVENKNTDKESGETQLFFDMEMMVLATGKERNENEWAKLFFDAGFSHYKITPCLGLRSLIEVYP >ONI16628 pep chromosome:Prunus_persica_NCBIv2:G3:9287029:9288210:-1 gene:PRUPE_3G111500 transcript:ONI16628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKRKRATPDEIIVVDDSADDADHYLTISLSGCEWVRGPMLGQGGFGSVYLGFVKKPRLCLKGVPRIVAVKSTLASQAHDLMGEDILLYIFRHCPFVINCYGEDETIGADGRHLFNVFLEYADGGTMADLIEKSRGFGLREFQVRKYTESILKGIKYIHERGFVHCDLKPENILLVSDTAGSSGGARFVPKIGDLGLTKKANQKWEKPSFGGTPMYLSPEAVVYGIQQQPSDIWALGCVVLQMLTGRHPWDFTPGAVFDVRGLLTALLASRIPAIPGWLSKEAKDFLQCCFMWNTSERFTAAMLLNHPFVTKLDNGVGEVKPIEQVSSAVASSVLDCPSFIPLGSWKSEDAEEMAQENVGFSEQEILPLKLMSRHVVPSPKPSTFAIIGAA >ONI15785 pep chromosome:Prunus_persica_NCBIv2:G3:4404156:4409551:1 gene:PRUPE_3G061500 transcript:ONI15785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLTAPSTIVSSPLLPTDFEMRYFFHQFVFSLFTDFLAFVFFIAMIFLLSSKVLTFRLTWVLSGRGLNLTY >ONI16325 pep chromosome:Prunus_persica_NCBIv2:G3:6903487:6906565:-1 gene:PRUPE_3G092400 transcript:ONI16325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDDYFEYVPVAKRRAMEAQKILLRKGKSSGLEEDLEKSKLAEAKPSLLVKASQLKREAPEITPAEQMVQQEKEMIENLSDRKTLMSVRELAKGITYTDPIPTGWKSPLHIRRMPSKQCDLVRKQWHIIVSGEDVPPPIKNFKDMRFPEPILKMLKTKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEIMMPIVPGEGPFGLIICPSRELARQTYEVVEEFLIPLREAGYPEIRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMNLNNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFAKSALVKPVLVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPPEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLQEAKQRIPPVLAELNDPMEDVDAITDASGVKGCAYCGGLGHRIRDCPKLEHQKSMAIASSRRDYFGSGGYRGEI >ONI18716 pep chromosome:Prunus_persica_NCBIv2:G3:22968547:22971408:-1 gene:PRUPE_3G233900 transcript:ONI18716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAACTNNQSNGNYGEDIIGWFEDVTEKAGLVQTQTLRKILEQNCSVEYLRQWLGDIKIQEVDGFVLESLYTSLVPLASHADLEPYIHKIANGDTGPILTQQPMTTLSLSSGTTDGRQKYVPFTRHSAQTTLQIFRLAAAYRSRVYPTREGGRILEFIYSSRQFKTKGGLTAGTATTHYYASQEFKIKQEKTKSFTCSPEEVIASGDSKQSTYCHLLLGLFFSDQVEFITSTFAYSIVQAFITFEELWKDLCNDIRDGTLSKRINLPKVRKAVLDIISPNPTLASKIEASCKGLEDLDWFGLIPKLWPNAKYVYSIMTGSMQPYLKKLRRFAGDVPLVSAEYGSTESWIGVNVDPCLPPEDVTFAVVPTFSYFEFIPLHRHKQDCNLAIDDFIEDKPVPLSQVKVGQQYEIVLTTFTGLYRYRLGDVVEVAGFHNGTPKLDFICRRKLILTVNIDKNTEKDLQIVVERGSQLLSKSKAELVDFTSHADLLTQPGHYIIYWEIKGEVEERVLGECCREMDASFVDHGYVVSRRSNSIGPLELRIVEKGTFKKILEHFIVNGSALSQFKTPRCTSNKVILSILNLCTIKRVYSTAYAH >ONI18386 pep chromosome:Prunus_persica_NCBIv2:G3:21674273:21677836:1 gene:PRUPE_3G212400 transcript:ONI18386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILGKIPRKPSKSSQNDAYNDGGANGNGPNSFHSSKSTSSSSKSSNLGSGASRSSNGTLAPHSSSSTKSNHSKKSAPIAAQLGPLLSSGAYEVLPSFRDVPSSERQNHFIKKLNMCCVVFDFNDPSKNLKEKDIKRQTLLELVDYISSVTSKFNEVTMQEITKMVAANLFRTFSSSNPDNKLPEMYDQDEEDQMMEPAWPHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINHIFFWFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKSVSMYHQQLSYCISQFVEKDAKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQASEFQRCMVPLFRQIGHCLNSSHFQVAERALFLWNNDHIRTLITQNRQVILPIIFPALEKNTRSHWNQAVQSLTLNVKKIFADIDQELFDECLLSFQEDESKEKETQDKRESTWKRLEDVAASKALGNEAVLVSRFASSVAIATNPKPRATSGS >ONI16045 pep chromosome:Prunus_persica_NCBIv2:G3:5538630:5541511:1 gene:PRUPE_3G075500 transcript:ONI16045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFNFNLNLISFLLLLALFTLYPTTIISTELSSPNSLGDIECQYINCGEGVCKASNETLLGFDCECNPGWVKIYVGVGLLSIPTCVPNCTINFQCDESPSPPAPPAPPIPPKGCALVWCGDGTCVTNGSGYECQCPQGSWNLLDMPAFACFKPCYFGGDCKIVRLVVLLFPVLKEVSITIILLISIILTWI >ONI16594 pep chromosome:Prunus_persica_NCBIv2:G3:8729802:8731630:1 gene:PRUPE_3G108700 transcript:ONI16594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPHWKGFQDMLPCWGWISEGFRKIDPEQWEFANEEFLRGGRHLLKKIHRRKPIHSHSMQNHEYSSVPLSETEREEYEKKINRLNHDKSLLELELQRHQRENQEFDYQIQILQEQLQKMEHQQKQYTTFLAQLLQKPGLASILMQKSEIHNKKRRLLTSSHFPDDFKMEILNLNTLNENLGTISTPIIKFDQLEKMESSLNFWEDFLHGIGEAMPEELNDIGSLSQASPIIVTEIQDPGMNSRPCSPRSRLSSPNSMNVSSPEVVGSANFLDILAITSTCHNVDFRPKSSGIDMNSKPDSAPAAEALKEMVQEMKNAEPAAANDMFWEQCLTETPGLDDAQEVQSERGDSDGGVSDTNPAIQKKLWWNTDVDNFTNQIGRLTPAS >ONI16593 pep chromosome:Prunus_persica_NCBIv2:G3:8728371:8732016:1 gene:PRUPE_3G108700 transcript:ONI16593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTQGGSNAPAPFLTKTYDLVDDPSSNRVVSWSETGCSFVVWDPTEFAKEMLPMYFKHNNFSSFVRQLNTYGFRKIDPEQWEFANEEFLRGGRHLLKKIHRRKPIHSHSMQNHEYSSVPLSETEREEYEKKINRLNHDKSLLELELQRHQRENQEFDYQIQILQEQLQKMEHQQKQYTTFLAQLLQKPGLASILMQKSEIHNKKRRLLTSSHFPDDFKMEILNLNTLNENLGTISTPIIKFDQLEKMESSLNFWEDFLHGIGEAMPEELNDIGSLSQASPIIVTEIQDPGMNSRPCSPRSRLSSPNSMNVSSPEVVGSANFLDILAITSTCHNVDFRPKSSGIDMNSKPDSAPAAEALKEMVQEMKNAEPAAANDMFWEQCLTETPGLDDAQEVQSERGDSDGGVSDTNPAIQKKLWWNTDVDNFTNQIGRLTPAS >ONI19701 pep chromosome:Prunus_persica_NCBIv2:G3:26192510:26196328:-1 gene:PRUPE_3G292700 transcript:ONI19701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGREVLVNLFEACNSGKSVAQLHSLTLKAGLAHDSFFATKLNALYAKYESLGHARKVFDETPNRTVYLWNATLRSHCRENQWEETLYLFHNMISDSRANDEKPDNFTIPIALKACTGLRALAYGKIVHGFVKKHEKVALDMFVGSALIELYSKCGQMGDALKVFNEFSQPDVFLWTSMVTGYEQNGNPEEALEFFSRMVMVGRVDPDRVTLVSAVSACAQLSNFRLGSCVHGVAIRNGFNSDLSLVNSLLNLYAKTGSVKTAASLFGKMPEKDVISWSSMIACYTHNGAILEALNLFNEMINRGIEPNSVTVVNALQACAVAGNLEEGKKIHELATRKCFELDITVATALIDMYMKCLAPQEAFDLFERMPKKDVVSWAALLSGYAQNGMAYKSMGVFRNMLSDETQPDAVAMVKLLTACSGLGILQQALCLHAYVIKRAFKNNIFVGASLIELYSKCGSIDIANRLFEGIKDKDVVIWSAMIAGYGVHGQGAEALKVFDKMVKHSAVKPSDVTFLSVLSACSHSGLVEEGIEIFNMMVREYQLKPGPEHYGIIVDLLGRTGELDKAMEIVERMPNPSAPHVWGALLGACWIHNDTKLGELAAKSLFLLDPNHAGYYILLSNIYAMDNKWEHVADLRTLIREKGLKKMSGQSVVEARGDIHSFVAGDRRHQDSDQIFGLLGTLEVKMREEGYVPDVDFQQHDMHGGCFTDLKCVKA >ONI19720 pep chromosome:Prunus_persica_NCBIv2:G3:26239123:26239356:-1 gene:PRUPE_3G293700 transcript:ONI19720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYKLTLRIRFGGKFIRITGTGEKDITITFAFLKRCRTSEISLSENKNSSISGTRTVSIKHHNGFYTMIIREKQSD >ONI15721 pep chromosome:Prunus_persica_NCBIv2:G3:4072702:4074667:-1 gene:PRUPE_3G057500 transcript:ONI15721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATRWLKGLLGMKKEKDHVGNTSTTSGDRKEKKRWSFAKSGKDTGSTIDHVTNNLPPNVPVPDSAWLRSYLAESDKEQNKHAIAVAAATAAAADAAVVAAQAAVAVVRLTSQGGGLMFGKKERWAAMKIQTVFRGYLARKAHRALRGLVKLQALVRGYLVRKRAAATLHSMQALFRAQTTVRFQRARRSFNKENRFLPEIKARKSVQERFDDARSEFYSRRLSATYETSINGFDESPKIVEIDTFKTRSRSRRFNTVLSECSEDLPYQTISSPLPCPFPTRISIPDRQKPQDFEWCFNGDECKFSTAHNTPRFTNSFRSNAPSTPAKSVCGDTFFQPYSNFPNYMSSTQSFNAKSRSHSAPKQRPEPGPKKRLSLNEMMAARNSISGVRMHRSCNQVQEEEEEEVLNY >ONI15722 pep chromosome:Prunus_persica_NCBIv2:G3:4072702:4074667:-1 gene:PRUPE_3G057500 transcript:ONI15722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATRWLKGLLGMKKEKDHVGNTSTTSGDRKEKKRWSFAKSGKDTGSTIDHVTNNLPPNVPVPDSAWLRSYLAESDKEQNKHAIAVAAATAAAADAAVVAAQAAVAVVRLTSQGGGLMFGKKERWAAMKIQTVFRGYLARKAHRALRGLVKLQALVRGYLVRKRAAATLHSMQALFRAQTTVRFQRARRSFNKENRFLPEIKARKSVQERFDDARSEFYSRRLSATYETSINGFDESPKIVEIDTFKTRSRSRRFNTVLSECSEDLPYQTISSPLPCPFPTRISIPDRQKPQDFEWCFNGDECKFSTAHNTPRFTNSFRSNAPSTPAKSVCGDTFFQPYSNFPNYMSSTQSFNAKSRSHSAPKQRPEPGPKKRLSLNEMMAARNSISGVRMHRSCNQVQEEEEEEVLNY >ONI15720 pep chromosome:Prunus_persica_NCBIv2:G3:4072420:4076367:-1 gene:PRUPE_3G057500 transcript:ONI15720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATRWLKGLLGMKKEKDHVGNTSTTSGDRKEKKRWSFAKSGKDTGSTIDHVTNNLPPNVPVPDSAWLRSYLAESDKEQNKHAIAVAAATAAAADAAVVAAQAAVAVVRLTSQGGGLMFGKKERWAAMKIQTVFRGYLARKAHRALRGLVKLQALVRGYLVRKRAAATLHSMQALFRAQTTVRFQRARRSFNKENRFLPEIKARKSVERFDDARSEFYSRRLSATYETSINGFDESPKIVEIDTFKTRSRSRRFNTVLSECSEDLPYQTISSPLPCPFPTRISIPDRQKPQDFEWCFNGDECKFSTAHNTPRFTNSFRSNAPSTPAKSVCGDTFFQPYSNFPNYMSSTQSFNAKSRSHSAPKQRPEPGPKKRLSLNEMMAARNSISGVRMHRSCNQVQEEEEEEVLNY >ONI15719 pep chromosome:Prunus_persica_NCBIv2:G3:4072420:4075025:-1 gene:PRUPE_3G057500 transcript:ONI15719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATRWLKGLLGMKKEKDHVGNTSTTSGDRKEKKRWSFAKSGKDTGSTIDHVTNNLPPNVPVPDSAWLRSYLAESDKEQNKHAIAVAAATAAAADAAVVAAQAAVAVVRLTSQGGGLMFGKKERWAAMKIQTVFRGYLARKAHRALRGLVKLQALVRGYLVRKRAAATLHSMQALFRAQTTVRFQRARRSFNKENRFLPEIKARKSVERFDDARSEFYSRRLSATYETSINGFDESPKIVEIDTFKTRSRSRRFNTVLSECSEDLPYQTISSPLPCPFPTRISIPDRQKPQDFEWCFNGDECKFSTAHNTPRFTNSFRSNAPSTPAKSVCGDTFFQPYSNFPNYMSSTQSFNAKSRSHSAPKQRPEPGPKKRLSLNEMMAARNSISGVRMHRSCNQVQEEEEEEVLNY >ONI15723 pep chromosome:Prunus_persica_NCBIv2:G3:4072420:4076503:-1 gene:PRUPE_3G057500 transcript:ONI15723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATRWLKGLLGMKKEKDHVGNTSTTSGDRKEKKRWSFAKSGKDTGSTIDHVTNNLPPNVPVPDSAWLRSYLAESDKEQNKHAIAVAAATAAAADAAVVAAQAAVAVVRLTSQGGGLMFGKKERWAAMKIQTVFRGYLARKAHRALRGLVKLQALVRGYLVRKRAAATLHSMQALFRAQTTVRFQRARRSFNKENRFLPEIKARKSVQERFDDARSEFYSRRLSATYETSINGFDESPKIVEIDTFKTRSRSRRFNTVLSECSEDLPYQTISSPLPCPFPTRISIPDRQKPQDFEWCFNGDECKFSTAHNTPRFTNSFRSNAPSTPAKSVCGDTFFQPYSNFPNYMSSTQSFNAKSRSHSAPKQRPEPGPKKRLSLNEMMAARNSISGVRMHRSCNQVQEEEEEEVLNY >ONI15718 pep chromosome:Prunus_persica_NCBIv2:G3:4072420:4076367:-1 gene:PRUPE_3G057500 transcript:ONI15718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATRWLKGLLGMKKEKDHVGNTSTTSGDRKEKKRWSFAKSGKDTGSTIDHVTNNLPPNVPVPDSAWLRSYLAESDKEQNKHAIAVAAATAAAADAAVVAAQAAVAVVRLTSQGGGLMFGKKERWAAMKIQTVFRGYLARKAHRALRGLVKLQALVRGYLVRKRAAATLHSMQALFRAQTTVRFQRARRSFNKENRFLPEIKARKSVERFDDARSEFYSRRLSATYETSINGFDESPKIVEIDTFKTRSRSRRFNTVLSECSEDLPYQTISSPLPCPFPTRISIPDRQKPQDFEWCFNGDECKFSTAHNTPRFTNSFRSNAPSTPAKSVCGDTFFQPYSNFPNYMSSTQSFNAKSRSHSAPKQRPEPGPKKRLSLNEMMAARNSISGVRMHRSCNQVQEEEEEEVLNY >ONI15910 pep chromosome:Prunus_persica_NCBIv2:G3:4925501:4929690:-1 gene:PRUPE_3G068400 transcript:ONI15910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVGLEWWSLRRRAAMVVIGFLLAASVVASAQETLEQDASSYSGSDKFQPYYFDRVLNFLWQPGRLGYEHVWPELKFDWIIVVGTVIGFIGASFGTVGGVGGGGIFVPMLTLIIGFDEKSSTAISKCMITGGAAASVFYNLRLRHPTLDLPIIDYDLALLLQPMLMLGISIGVSFNVIFADWMITVLLIIFFLGTSTKAFLKGVEAWKRETVMKQEFARLMQSDGNSSDEVEYRPLASGPNNVILLGTKESKRTEVSIIENVYWKELLLLVAVWVIILALQIAKNYTTTCSAEYWVLNLLQIPVAFGVSTYEAVNLYKGRRVIASKEESGTDWKVHQLVLYCACGILAGIVGGLLGLGGGFILGPLFLELGIPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYAVYFAVMSSLSALVGQHVVRKVISVLGRASIIIFILASTIFVSAISLGGVGIADMIGKIEHKEYMGFENICN >ONI15909 pep chromosome:Prunus_persica_NCBIv2:G3:4925016:4930204:-1 gene:PRUPE_3G068400 transcript:ONI15909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVGLEWWSLRRRAAMVVIGFLLAASVVASAQETLEQDASSYSGSDKFQPYYFDRVLNFLWQPGRLGYEHVWPELKFDWIIVVGTVIGFIGASFGTVGGVGGGGIFVPMLTLIIGFDEKSSTAISKCMITGGAAASVFYNLRLRHPTLDLPIIDYDLALLLQPMLMLGISIGVSFNVIFADWMITVLLIIFFLGTSTKAFLKGVEAWKRETVMKQEFARLMQSDGNSSDEVEYRPLASGPNNVILLGTKESKRTEVSIIENVYWKELLLLVAVWVIILALQIAKNYTTTCSAEYWVLNLLQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYAVYFAVMSSLSALVGQHVVRKVISVLGRASIIIFILASTIFVSAISLGGVGIADMIGKIEHKEYMGFENICN >ONI15912 pep chromosome:Prunus_persica_NCBIv2:G3:4925952:4930204:-1 gene:PRUPE_3G068400 transcript:ONI15912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVGLEWWSLRRRAAMVVIGFLLAASVVASAQETLEQDASSYSGSDKFQPYYFDRVLNFLWQPGRLGYEHVWPELKFDWIIVVGTVIGFIGASFGTVGGVGGGGIFVPMLTLIIGFDEKSSTAISKCMITGGAAASVFYNLRLRHPTLDLPIIDYDLALLLQPMLMLGISIGVSFNVIFADWMITVLLIIFFLGTSTKAFLKGVEAWKRETVMKQEFARLMQSDGNSSDEVEYRPLASGPNNVILLGTKESKRTEVSIIENVYWKELLLLVAVWVIILALQIAKNYTTTCSAEYWVLNLLQIPVAFGVSTYEAVNLYKGRRVIASKEESGTDWKVHQLVLYCACGILAGIVGGLLGLGGGFILGPLFLELGIPPQVYTYIFL >ONI15911 pep chromosome:Prunus_persica_NCBIv2:G3:4925016:4929255:-1 gene:PRUPE_3G068400 transcript:ONI15911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELKFDWIIVVGTVIGFIGASFGTVGGVGGGGIFVPMLTLIIGFDEKSSTAISKCMITGGAAASVFYNLRLRHPTLDLPIIDYDLALLLQPMLMLGISIGVSFNVIFADWMITVLLIIFFLGTSTKAFLKGVEAWKRETVMKQEFARLMQSDGNSSDEVEYRPLASGPNNVILLGTKESKRTEVSIIENVYWKELLLLVAVWVIILALQIAKNYTTTCSAEYWVLNLLQIPVAFGVSTYEAVNLYKGRRVIASKEESGTDWKVHQLVLYCACGILAGIVGGLLGLGGGFILGPLFLELGIPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYAVYFAVMSSLSALVGQHVVRKVISVLGRASIIIFILASTIFVSAISLGGVGIADMIGKIEHKEYMGFENICN >ONI17522 pep chromosome:Prunus_persica_NCBIv2:G3:18366677:18367085:1 gene:PRUPE_3G164700 transcript:ONI17522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAHRLHRILKIYRHVYRDVVSLAAMEKYIDCSQIQPYRCNKRLVISLSPLPHSGPISNIGAACETCRRRLTEPELFRYCCIACKEII >ONI15658 pep chromosome:Prunus_persica_NCBIv2:G3:3802388:3804936:1 gene:PRUPE_3G054100 transcript:ONI15658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIHYTKLGGCFFIAALTSFMLSNAFADCRKVETLKKTEASVKPNKAVIKTIKGEGDDLIDCVDIYKQPALNHPLLKNHTIQLKPSGTETVSGVQDEIFQSWSRNGECPDGTIPIVRRTQGFEHPPSKTMPQFEPNKFELIPPPHHEYAQVSLYGGQYYGAQAGFNVWNPAAYNEDNSIAQIWVVRGNGKALNSVEAGWIRHNSKLHVTWQGDGYQSTGCYNLECPGFVQTSKKFALGVPISPISGYNGKQYDTFVSIYKNTNSGHWWLQVQNEAIGYWPDTILPNLRGSAELVSWGGEIYDSQAEGHHTSTQMGSGHFPDEGFGKASYVRHLQYMDDSSPLTFKDPAGLLTSVTKPSCYNLIVKDKTPDMGTHFYYGGPGFSASCP >ONI18869 pep chromosome:Prunus_persica_NCBIv2:G3:23675737:23679967:1 gene:PRUPE_3G244900 transcript:ONI18869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRGAKAALCFMAFLWSWTYGNGLLSPKGVNFEVQALMDIKESLVDPHGVLDNWDDDSVDPCSWTMVTCSPESLVIGLGTPSQSLSGTLSPSIGNLTNLQIVLLQNNNITGAIPRDIERLSKLHTLDISNNFFTGEISSSLGHLKSLQYLRLNNNSLTGAFPVSLANMSQLAFLDLSYNNLSGPVPRFAAKTFNIIGNPLICATGAEADCNGTTLMPMSMNLNSTQTTLPGRPRSHKIALAFGLSLGCLCLIVLGFGVVIWWRQRRNQQAFFDVKDRHHEEISLGNLKRFHFRELQIATHNFSSKNILGKGGFGHVYKGTLPDGTFVAVKRLKDGSALGGEIQFQTEVEMISLAVHRNLLRLYGFCITPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKATNQKGAILDWVKKIHQEKKLEMLVDKDLKTNYDRIELEEMVQVALLCTQYLPGQRPKMSEVVRMLEGDGLVERWEASQRVESTKSKAPEFSSSDRYSDLTDDSSLLVQAMELSGPR >ONI14807 pep chromosome:Prunus_persica_NCBIv2:G3:651952:653262:1 gene:PRUPE_3G010200 transcript:ONI14807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIIAQLDASSTFYRCSYDVFLSYRGKDTRKGFTDHLYRALEQAGFHTFRDDDEIKRGANIAAEIQRAIQESRVSIIVFSKNYASSTWCLDELVKIMERRKADDGLMVMPVFYDVDPSHVRKLTGSFANAFSGPEEHFEDIDKVEGWRRALRDVADLGGMVLGDRYESQFIQNIVEEIENKLNHTTPNISPYVVGIDNRVRGINMWLKDGSNDVGVAVIYGWVELAKPLLPKLLISRTLMNFKVAAFFQMLEQLQNNLMVWFACKENYFRISKKGKQRKYTA >ONI17970 pep chromosome:Prunus_persica_NCBIv2:G3:20228129:20229759:-1 gene:PRUPE_3G188900 transcript:ONI17970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLLSSYQSVAWGFKFLCIMCKRTRDSKRNQMMQEAHSFAISNGIEISYESVCLADDKHKTREENVSDSDNLPSIGLKKKKALGSSKIASPTSTSFSIHSSH >ONI15957 pep chromosome:Prunus_persica_NCBIv2:G3:5103365:5104285:1 gene:PRUPE_3G071100 transcript:ONI15957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTYLVPQSPNPSKRNLLLIHGLGVNAMWQFVDLLRHVTPLWLVMQGRLGNAKHVLDKTSDSVEEAQLRLADIKEAAGIPEHCTEDVVQVPKHSHGEEVWKELLLHPTPPVRHILMAAVGFHFFQQMSGIDAHVLYSPRIFEKAGITDSSMLLLATVAVGFSKTVFTLIAIGFLDRVGRSPLLLTSVAGMIASLFCLGTSLTIVDHEYEKMMWASVLCLTMVLAYVGFFSIGMGPIAWVYSSEIFPLKLRAQGCSMGSVPQPQKPSLSSGAVKVDEVANTIFRCLWDMWLGLQWLWWKDYVVGHE >ONI16755 pep chromosome:Prunus_persica_NCBIv2:G3:10543483:10543779:-1 gene:PRUPE_3G119900 transcript:ONI16755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVDGLACLDGMVSFLEFAWHKVPRLACWGQQLVAKLGYILFGLLGVEKQSRGLLVNFFFPATCFKEDLWKKQTEDEKEEAKREVGRDTYSLFSSR >ONI16756 pep chromosome:Prunus_persica_NCBIv2:G3:10541712:10545482:-1 gene:PRUPE_3G119900 transcript:ONI16756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVDGLACLDGMVSFLEFAWHKVPRLACWGQQLVAKLGYILFGLLGVEKQSRGLLVNFFFPATCFKEDLWKKQTEDEKEEAKREVGRDTYSLFSSR >ONI17579 pep chromosome:Prunus_persica_NCBIv2:G3:18711014:18714017:-1 gene:PRUPE_3G168200 transcript:ONI17579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPIWFYLQHFAYFMFLNVIVAYASRPHGSHRPHQEPSYLKFVVNATDFPSEDYYDYIIIGGGTAGCPLAATLSSRFRVLVVERGGIAYGNRNLMTKEGFLATLMDVNSFDSPTQAFTSEDGVPNVRGRILGGSSAINAGFYSRADEDFYRKTSVNWNFRMVNESYEWVERAIVFRPELRTWQSAVRDGLLQAGVDPYNGFSFDHVVGTKIGGSTFDTLGRRHSAADLFKYAKPVNVKVVTHANVERILVGSSMPSAVSRQSAMGVVFRDRIGMYHHALLREHGEVILSAGAIGSPQLLLLSGIGPRPYLSSWGIPVAHHLPYVGQYLYDNPRNGISIVPPIPLEHSLIQVVGITESGAYIEAASNVIPFASPARSVFIRTPSAPLYLTVATLMEKTVGPASAGSLRLASTDIKVNPIVRFNYFSNPVDVQRCVNGTRMIGDILRTRSMEDFKFQGWFGSKDFRFVGPALPVDQSNYEEMADFCRRTVSTIWHYHGGCIMGKVVDADFQVIGIDALRVVDGSTFGISPGTNPQATLMMLGRYVGLKMMKDRKDYKMID >ONI20073 pep chromosome:Prunus_persica_NCBIv2:G3:27126745:27128762:1 gene:PRUPE_3G313500 transcript:ONI20073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTFRVRVGKVFGSLAGTPSSGPSSSLSSLWSLTDEEIEKREWNRDKDSPEPELELELQPQPYNLNSKGDFSNELEKDLLDLDEDVEEEEEEEDEEQQPSESANKHKPDDYNDEEWEIKSSIGLDCTLDYEEEEDGYDKVAVGKENAGDRLYMRDVNDYGIDIDSQEEVPTSFKDFTRDPRANHLAAKIRLQQDAEAAAQIRLQEDAEAAQKIVSLRVSGNNTPYAIAAEIITSEDATNLKSILKRKTDNQLDPSKSQKRVRFDPDCKSNCHDEGEGAKDIPVQTHSNEDHPSGVPDYLRNPSRYTHYTFDSSSDGDEESNKQAYMDFLNLLRKSNPMEPQAEDAFVDLSKPVTFIPRKKSTDAIMQENDGELGRLGSARQEFVPCRSTPLAIATEDNEDVCAMEEDEPETATDGRTCLQRTGRQYRTKTRSELVE >ONI19393 pep chromosome:Prunus_persica_NCBIv2:G3:25453994:25455712:-1 gene:PRUPE_3G276600 transcript:ONI19393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATARAFILSRLTDLSLKPCQAPPPISRHLLVRPLLPSFRRRRLATSSSPAVRCLISGVDGGGVSDEFVSTRKSGFDRGFSVIANMLKRIEPLDTSVISKGVSDSAKDSMKQTISTMLGLLPSDQFSVTVRVCKAPLDRLLASSIITGYTLWNAEYRISLMRNFDISSENSVPSDRSKIGGASEMAESEEMHSINGVGMSSEFLDSTAPQVFGDLSPEALNYIQRLQSELTNVKEELKARKQENVQLEYDRGHRNDLLDYLRSLDSNMVTELSRPSSLEVEEIIHQLVQNILQRFFKDGTSSEFVEDSVPSNIENDLDADGELCDTVGTSRDYLAKLLFWLDFYRCPC >ONI19392 pep chromosome:Prunus_persica_NCBIv2:G3:25453450:25455810:-1 gene:PRUPE_3G276600 transcript:ONI19392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATARAFILSRLTDLSLKPCQAPPPISRHLLVRPLLPSFRRRRLATSSSPAVRCLISGVDGGGVSDEFVSTRKSGFDRGFSVIANMLKRIEPLDTSVISKGVSDSAKDSMKQTISTMLGLLPSDQFSVTVRVCKAPLDRLLASSIITGYTLWNAEYRISLMRNFDISSENSVPSDRSKIGGASEMAESEEMHSINGVGMSSEFLDSTAPQVFGDLSPEALNYIQRLQSELTNVKEELKARKQENVQLEYDRGHRNDLLDYLRSLDSNMVTELSRPSSLEVEEIIHQLVQNILQRFFKDGTSSEFVEDSVPSNIENDLDADGELCDTVGTSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >ONI16273 pep chromosome:Prunus_persica_NCBIv2:G3:6594237:6595515:1 gene:PRUPE_3G088800 transcript:ONI16273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFVATKQALVFDVKPQSSRPIFGTIFFFISCVWFGPFGGFSSFSPVGALRRNLGRGEEIGSGFLGSWGCGCRLGCGIVCRWWCHGVESREKRELGSGFVAETERERERLRIF >ONI20016 pep chromosome:Prunus_persica_NCBIv2:G3:26961434:26965496:1 gene:PRUPE_3G310300 transcript:ONI20016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVPPPQRSDPASGSAGASTDLLVYQNWKGSNIFFLQGRFIFGPDVRSLGLTIFLIVAPVTIFCIFVARKLMDHFSHHLGILIMVVAVVFTVYDLVLLLLTSGRDPGIIPRNTHPPEPEGFDGNVEGGAGQTPQLRLPRIKEVEVNGIPVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFAFCWVYVRRIMGTEDTTIWKAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVVENFKEIFCTTIPQSKNNFRAKVPREPVLPPRSGGGGFMSPNMGKAVEDIEMGRKTVWGDMNAGGDHYEGQLNNNDRLNLKDGELGEVSPDIRTTVDETVDRAGIHPRRSSWGRKSGSWEMSPEVLALAARVGEPNRTGGSSSGGLTNENRHT >ONI16738 pep chromosome:Prunus_persica_NCBIv2:G3:10185161:10186319:1 gene:PRUPE_3G118400 transcript:ONI16738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHAIDKDAKNLHMLREMYNQWPFFRVSIDLIEMVFAKGDPGIASLYDKLLVSEDLWSFGERLRANYEETKSLVLQVAGHRALLEGDPYLRQRLLLRDSYITTLNVCQAYTLKQIRDPNYHVKVRPHLSKEYMETTSKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >ONI17520 pep chromosome:Prunus_persica_NCBIv2:G3:18356545:18357578:-1 gene:PRUPE_3G164500 transcript:ONI17520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKASLKLVCLVVFLVIAAASLPMRAEGQKLDQVVMESRELEPVELDAPHHLLSEECDPVCWPLCICK >ONI16794 pep chromosome:Prunus_persica_NCBIv2:G3:10925602:10927599:1 gene:PRUPE_3G122500 transcript:ONI16794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPTKTVDCDAEKTRYRIKTRKVSYKLSTRVEFHWMVWPEEPPKASYILKNVSCYARLGEITAIAGPSGARKTTLLEILAGMIPLNIIVGQVLVNEQPMNKATSRVEKLLDELGVEHIANVRVGSKSNCWISGDEKHRVSIGVYLVHDPAILLLDEPTSGLDSASALDVALLLKSMAAQQREYNCLFNQILLLSNGTLLHHGSLHLLEQRLKYVGHFIPNNLLEFAMDATKDLIGVEEIKEEYEYIRAGQCSTKYLAACLAFVQSSMTKSTEATVKIKRNSYK >ONI16356 pep chromosome:Prunus_persica_NCBIv2:G3:7039600:7041445:1 gene:PRUPE_3G093900 transcript:ONI16356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDETETTAVFEKLFKFTGNNLKNIVENPSHEGPDPDSGRYCFRLHKNKVYYVSESLVKRATNIARTQLVSLGTCIGRFTHGGSFHLTVQCLSLVASNAKHKVWLKPTSEMSFLYGNHVLKGGLGRITENIVPGDGVVVFSMSDVPLGFGIAAKSTQDCRKLDPNGIVVLHQTDIGEYLRMEDDL >ONI16357 pep chromosome:Prunus_persica_NCBIv2:G3:7039496:7041591:1 gene:PRUPE_3G093900 transcript:ONI16357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDETETTAVFEKLFKFTGNNLKNIVENPSHEGPDPDSGRYCFRLHKNKVYYVSESLVKRATNIARTQLVSLGTCIGRFTHGGSFHLTVQCLSLVASNAKHKVWLKPTSEMSFLYGNHVLKGGLGRITENIVPGDGVVVFSMSDVPLGFGIAAKSTQDCRKLDPNGIVVLHQTDIGEYLRMEDDL >ONI19591 pep chromosome:Prunus_persica_NCBIv2:G3:25875846:25877528:-1 gene:PRUPE_3G286100 transcript:ONI19591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFPVPHKKLSLEIKGNKTDVVICSYDDHFLVIATQIGAMGTILQARKEEGMAIQPTFNVSVIFGKRDEPMLVSCARQLIEHISNSGSSRPLMLSLGLKDHSVETLKGIVSAVIGNRLW >ONI19825 pep chromosome:Prunus_persica_NCBIv2:G3:26503582:26504615:-1 gene:PRUPE_3G299900 transcript:ONI19825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTKSPLHGHTNGGLTTVLSIDGGGIRGIIPGVMLAFLESMLQKIDGDHVRLVDYLDWVVGMSTGGLMASMLTTPNKNNHPLYAAKDIVPFYRQHCLKIFPQPRYVYSSHIGKIIYYLKCLAGPKYNGKSLCKLLKETLGDKHLQDMLTNVAIPTTDMLADRKRSFGSTGGSSYESK >ONI20036 pep chromosome:Prunus_persica_NCBIv2:G3:27014258:27016813:-1 gene:PRUPE_3G311400 transcript:ONI20036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASSVYAVGGRKKLTVPEVVVLVPSTRIPAQSDLQRRLRGLIPIDLAHRLSSLRNQIVLVAEDTGGSAIPELRRALEEYLSVLIGLTKKEYGLEGSVEFKWKSLEDGKQEACVANSWFEVLSVVHLMAVLTLSEADILMIPHDHSGSGLRTVSSDCKRVAVDLLLKASGYLEFCVRDVLIRIPPEIKKKLPNNLHDGVLEAISFQSLGQGTEIQLGLAVDCQKATLSVKRRLACEQLTYFSQAYHCLSGCDINNGCGKKHMWFIKWKFLESKAAAYYYHGLILDKGNEPSCHVSAVCCFLAAEEILSESKKACLTFCLAAPVTRAPPLWGAMRHLHQKIPEVATRKSQMYGYLLEQEKALQTLPDLPEFQLSLRPDDYQLPEMDPAWDAEKWETEGQTLKEHLKDSEDETETE >ONI20037 pep chromosome:Prunus_persica_NCBIv2:G3:27013969:27017302:-1 gene:PRUPE_3G311400 transcript:ONI20037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASSVYAVGGRKKLTVPEVVVLVPSTRIPAQSDLQRRLRGLIPIDLAHRLSSLRNQIVLVAEDTGGSAIPELRRALEEYLSVLIGLTKKEYGLEGSVEFKWKSLEDGKQEACVANSWFEVLSVVHLMAVLTLSEADILMIPHDHSGSGLRTVSSDCKRVAVDLLLKASGYLEFCVRDVLIRIPPEIKKKLPNNLHDGVLEAISFQSLGQGTEIQLGLAVDCQKATLSVKRRLACEQLTYFSQAYHCLSGCDINNGCGKKHMWFIKWKFLESKAAAYYYHGLILDKGNEPSCHVSAVCCFLAAEEILSESKKACLTFCLAAPVTRAPPLWGAMRHLHQKIPEVATRKSQMYGYLLEQEKALQTLPDLPEFQLSLRPDDYQLPEMDPAWDAEKWETEGQTLKEHLKDSEDETETE >ONI20038 pep chromosome:Prunus_persica_NCBIv2:G3:27013969:27017008:-1 gene:PRUPE_3G311400 transcript:ONI20038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASSVYAVGGRKKLTVPEVVVLVPSTRIPAQSDLQRRLRGLIPIDLAHRLSSLRNQIVLVAEDTGGSAIPELRRALEEYLSVLIGLTKKEYGLEGSVEFKWKSLEDGKQEACVANSWFEVLSVVHLMAVLTLSEADILMIPHDHSGSGLRTVSSDCKRVAVDLLLKASGYLEFCVRDVLIRIPPEIKKKLPNNLHDGVLEAISFQSLGQGTEIQLGLAVDCQKATLSVKRRLACEQLTYFSQAYHCLSGCDINNGCGKKHMWFIKWKFLESKAAAYYYHGLILDKGNEPSCHVSAVCCFLAAEEILSESKKACLTFCLAAPVTRAPPLWGAMRHLHQKIPEVATRKSQMYGYLLEQEKALQTLPDLPEFQLSLRPDDYQLPEMDPAWDAEKWETEGQTLKEHLKDSEDETETE >ONI14972 pep chromosome:Prunus_persica_NCBIv2:G3:1333600:1348220:-1 gene:PRUPE_3G018900 transcript:ONI14972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRGSSSSTNGLLPASLSGRNHPDMEAGARVGLETEFDDDAAAANDVPSTTDPFDIANTKNAPPETLKRWRQAALVLNASRRFRYTMDLKKEEEKENRRRMIRSHAQVIRAALLFRLAGEREHGLGTTVAPPTSSGDYGIALEQLVSLTRENNFNALQQYGGAKGISALLKTNLEKGVDEDEMDVERRKNVFGSNTYPRKKGRSFLGFLWEAWQDLTLIILIIAAVVSLVLGIKTEGLAEGWYDGSSIFFAVFLVIVVTAISDYRQSLQFQNLNAEKENIQLEVMRGGRIVKISIFDIVVGDVIPLRIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQKTPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLSVAVLVLAVLWGRYFTGNSRDADGTVQFIAGQTSTGKAIDGAVKVFTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGKKKINLPDDSSQLHPQVSTLLSEGIAQNTTGNVFEPKQGGEVEISGSPTEKAILSWAVKLGMKFDFIRSESTVLHVFPFNSEKKRGGVALKQTDSKVHIHWKGAAEIVLASCTEYLDSNGCSQNINEDKEFFKAAIDDMAASSLRCVAIAYRSYELDKVPTEEEHLSQWALPEDNLVLLGIIGIKDPCRPGVKDAVRLCTEAGVKVRMVTGDNLQTAKAIALECGILLSLEDATEPNIIEGKTFRALSEKEREQVAKIITVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGRVPLNAVQLLWVNLIMDTLGALALATEPPTDNLMHRTPVGRREPLITNIMWRNLLIQAMYQVAVLLVLNFLGTSILGLQNETQKQATSVKNTIIFNAFVFCQIFNEFNARKPEEINIFSGVTKNYLFMGIIGITLVLQILIIMFLGKFTKTVRLSWQQWLICLGIAIVSWPLAVIGKLIPVSKTPLGEYFSRPIKRCRNSRNS >ONI14970 pep chromosome:Prunus_persica_NCBIv2:G3:1333098:1348850:-1 gene:PRUPE_3G018900 transcript:ONI14970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRGSSSSTNGLLPASLSGRNHPDMEAGARVGLETEFDDDAAAANDVPSTTDPFDIANTKNAPPETLKRWRQAALVLNASRRFRYTMDLKKEEEKENRRRMIRSHAQVIRAALLFRLAGEREHGLGTTVAPPTSSGDYGIALEQLVSLTRENNFNALQQYGGAKGISALLKTNLEKGVDEDEMDVERRKNVFGSNTYPRKKGRSFLGFLWEAWQDLTLIILIIAAVVSLVLGIKTEGLAEGWYDGSSIFFAVFLVIVVTAISDYRQSLQFQNLNAEKENIQLEVMRGGRIVKISIFDIVVGDVIPLRIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQKTPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLSVAVLVLAVLWGRYFTGNSRDADGTVQFIAGQTSTGKAIDGAVKVFTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGKKKINLPDDSSQLHPQVSTLLSEGIAQNTTGNVFEPKGGEVEISGSPTEKAILSWAVKLGMKFDFIRSESTVLHVFPFNSEKKRGGVALKQTDSKVHIHWKGAAEIVLASCTEYLDSNGCSQNINEDKEFFKAAIDDMAASSLRCVAIAYRSYELDKVPTEEEHLSQWALPEDNLVLLGIIGIKDPCRPGVKDAVRLCTEAGVKVRMVTGDNLQTAKAIALECGILLSLEDATEPNIIEGKTFRALSEKEREQVAKIITVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGRVPLNAVQLLWVNLIMDTLGALALATEPPTDNLMHRTPVGRREPLITNIMWRNLLIQAMYQVAVLLVLNFLGTSILGLQNETQKQATSVKNTIIFNAFVFCQIFNEFNARKPEEINIFSGVTKNYLFMGIIGITLVLQILIIMFLGKFTKTVRLSWQQWLICLGIAIVSWPLAVIGKLIPVSKTPLGEYFSRPIKRCRNSRNS >ONI14973 pep chromosome:Prunus_persica_NCBIv2:G3:1333600:1348220:-1 gene:PRUPE_3G018900 transcript:ONI14973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRGSSSSTNGLLPASLSGRNHPDMEAGARVGLETEFDDDAAAANDVPSTTDPFDIANTKNAPPETLKRWRQAALVLNASRRFRYTMDLKKEEEKENRRRMIRSHAQVIRAALLFRLAGEREHGLGTTVAPPTSSGDYGIALEQLVSLTRENNFNALQQYGGAKGISALLKTNLEKGVDEDEMDVERRKNVFGSNTYPRKKGRSFLGFLWEAWQDLTLIILIIAAVVSLVLGIKTEGLAEGWYDGSSIFFAVFLVIVVTAISDYRQSLQFQNLNAEKENIQLEVMRGGRIVKISIFDIVVGDVIPLRIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQKTPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLSVAVLVLAVLWGRYFTGNSRDADGTVQFIAGQTSTGKAIDGAVKVFTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGKKKINLPDDSSQLHPQVSTLLSEGIAQNTTGNVFEPKQGGEVEISGSPTEKAILSWAVKLGMKFDFIRSESTVLHVFPFNSEKKRGGVALKQTDSKVHIHWKGAAEIVLASCTEYLDSNGCSQNINEDKEFFKAAIDDMAASSLRCVAIAYRSYELDKVPTEEEHLSQWALPEDNLVLLGIIGIKDPCRPGVKDAVRLCTEAGVKVRMVTGDNLQTAKAIALECGILLSLEDATEPNIIEGKTFRALSEKEREQVAKIITVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGRVPLNAVQLLWVNLIMDTLGALALATEPPTDNLMHRTPVGRREPLITNIMWRNLLIQAMYQVAVLLVLNFLGTSILGLQNETQKQATSVKNTIIFNAFVFCQIFNEFNARKPEEINIFSGVTKNYLFMGIIGITLVLQILIIMFLGKFTKTVRLSWQQWLICLGIAIVSWPLAVIGKLIPVSKTPLGEYFSRPIKRCRNSRNS >ONI14969 pep chromosome:Prunus_persica_NCBIv2:G3:1333098:1348746:-1 gene:PRUPE_3G018900 transcript:ONI14969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRGSSSSTNGLLPASLSGRNHPDMEAGARVGLETEFDDDAAAANDVPSTTDPFDIANTKNAPPETLKRWRQAALVLNASRRFRYTMDLKKEEEKENRRRMIRSHAQVIRAALLFRLAGEREHGLGTTVAPPTSSGDYGIALEQLVSLTRENNFNALQQYGGAKGISALLKTNLEKGVDEDEMDVERRKNVFGSNTYPRKKGRSFLGFLWEAWQDLTLIILIIAAVVSLVLGIKTEGLAEGWYDGSSIFFAVFLVIVVTAISDYRQSLQFQNLNAEKENIQLEVMRGGRIVKISIFDIVVGDVIPLRIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQKTPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLSVAVLVLAVLWGRYFTGNSRDADGTVQFIAGQTSTGKAIDGAVKVFTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGKKKINLPDDSSQLHPQVSTLLSEGIAQNTTGNVFEPKGGEVEISGSPTEKAILSWAVKLGMKFDFIRSESTVLHVFPFNSEKKRGGVALKQTDSKVHIHWKGAAEIVLASCTEYLDSNGCSQNINEDKEFFKAAIDDMAASSLRCVAIAYRSYELDKVPTEEEHLSQWALPEDNLVLLGIIGIKDPCRPGVKDAVRLCTEAGVKVRMVTGDNLQTAKAIALECGILLSLEDATEPNIIEGKTFRALSEKEREQVAKIITVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGRVPLNAVQLLWVNLIMDTLGALALATEPPTDNLMHRTPVGRREPLITNIMWRNLLIQAMYQVAVLLVLNFLGTSILGLQNETQKQATSVKNTIIFNAFVFCQIFNEFNARKPEEINIFSGVTKNYLFMGIIGITLVLQILIIMFLGKFTKTVRLSWQQWLICLGIAIVSWPLAVIGKLIPVSKTPLGEYFSRPIKRCRNSRNS >ONI14971 pep chromosome:Prunus_persica_NCBIv2:G3:1333510:1348220:-1 gene:PRUPE_3G018900 transcript:ONI14971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRGSSSSTNGLLPASLSGRNHPDMEAGARVGLETEFDDDAAAANDVPSTTDPFDIANTKNAPPETLKRWRQAALVLNASRRFRYTMDLKKEEEKENRRRMIRSHAQVIRAALLFRLAGEREHGLGTTVAPPTSSGDYGIALEQLVSLTRENNFNALQQYGGAKGISALLKTNLEKGVDEDEMDVERRKNVFGSNTYPRKKGRSFLGFLWEAWQDLTLIILIIAAVVSLVLGIKTEGLAEGWYDGSSIFFAVFLVIVVTAISDYRQSLQFQNLNAEKENIQLEVMRGGRIVKISIFDIVVGDVIPLRIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQKTPFLMSGCKVADGVGTMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLSVAVLVLAVLWGRYFTGNSRDADGTVQFIAGQTSTGKAIDGAVKVFTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGKKKINLPDDSSQLHPQVSTLLSEGIAQNTTGNVFEPKGGEVEISGSPTEKAILSWAVKLGMKFDFIRSESTVLHVFPFNSEKKRGGVALKQTDSKVHIHWKGAAEIVLASCTEYLDSNGCSQNINEDKEFFKAAIDDMAASSLRCVAIAYRSYELDKVPTEEEHLSQWALPEDNLVLLGIIGIKDPCRPGVKDAVRLCTEAGVKVRMVTGDNLQTAKAIALECGILLSLEDATEPNIIEGKTFRALSEKEREQVAKIITVMGRSSPNDKLLLVQALRKGGDVVAVTGDGTNDAPALHEVYIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGRVPLNAVQLLWVNLIMDTLGALALATEPPTDNLMHRTPVGRREPLITNIMWRNLLIQAMYQVAVLLVLNFLGTSILGLQNETQKQATSVKNTIIFNAFVFCQIFNEFNARKPEEINIFSGVTKNYLFMGIIGITLVLQILIIMFLGKFTKTVRLSWQQWLICLGIAIVSWPLAVIGKLIPVSKTPLGEYFSRPIKRCRNSRNS >ONI16514 pep chromosome:Prunus_persica_NCBIv2:G3:8153217:8156245:1 gene:PRUPE_3G103600 transcript:ONI16514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQITDGKKADGALEGFAPLSSTRIDWKPRKRSASGRNVDKITEDTANNTPNKQEEPVTEEEMQDLAPAPELSERRKALFEPLEPVTNINGKRPSAESLLPPPDFDATTYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLQERSKRSEVERENGMLQDQVTMLMNMLGEDDPVDDEGPDAP >ONI16516 pep chromosome:Prunus_persica_NCBIv2:G3:8153188:8156245:1 gene:PRUPE_3G103600 transcript:ONI16516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLAPAPELSERRKALFEPLEPVTNINGKRPSAESLLPPPDFDATTYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLQERSKRSEVERENGMLQDQVTMLMNMLGEDDPVDDEGPDAP >ONI16515 pep chromosome:Prunus_persica_NCBIv2:G3:8154114:8156245:1 gene:PRUPE_3G103600 transcript:ONI16515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLAPAPELSERRKALFEPLEPVTNINGKRPSAESLLPPPDFDATTYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLQERSKRSEVERENGMLQDQVTMLMNMLGEDDPVDDEGPDAP >ONI14823 pep chromosome:Prunus_persica_NCBIv2:G3:682017:684158:1 gene:PRUPE_3G010800 transcript:ONI14823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALAVVRPPSISSWPPQSLPKSQYLRSQSFRVSSSLIKEPQSEIQAKQEKKGGGVMDEVFLNLFRKKMVEEVGWDSRKPGYDGLIEVANRLMLISPTNSHTKEAAVRILRSLFPPMLLQLYKLLIAPIQGGKVAAIMVARVTAITCEWLMGPCTVNSVDLPDGTSWNSGVFVEKCKYLEQSKCVGICLNTCKLPTQAFMKDYMGVPLVMEPNFSDYSCQFKFGVLPPLPEDDATLKEPCLDICPNATRRREFAGNINVQQCPKA >ONI16791 pep chromosome:Prunus_persica_NCBIv2:G3:10878736:10883690:-1 gene:PRUPE_3G122300 transcript:ONI16791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSSFSPALSALQLSSASKTHEAPSSLGFSSVVSKTLNPLIAKATRGVNSVSGGGSALGARMVSMPTVKPPVSLDFETSVFKKEKINLAGHDEFIVRGGRDLFHLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFAEARASGFSEENGTLGDIWETVSGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSVGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECVTGIVSRTISTKGMLEIYNSLSEDGKKEFETAYSASYYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKDGLPAFPMGKIDQTRMWKVGERVRATRPAGDLGPLHPFTAGVFVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQALVSVDNGAPINQDLISNFMSDPVHGAIKVCAELRPTVDISVPADADFVRPELRQSSN >ONI16790 pep chromosome:Prunus_persica_NCBIv2:G3:10879329:10883622:-1 gene:PRUPE_3G122300 transcript:ONI16790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSSFSPALSALQLSSASKTHEAPSSLGFSSVVSKTLNPLIAKATRGVNSVSGGGSALGARMVSMPTVKPPVSLDFETSVFKKEKINLAGHDEFIVRGGRDLFHLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFAEARASGFSEENGTLGDIWETVSGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSVGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECVTGIVSRTISTKGMLEIYNSLSEDGKKEFETAYSASYYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKDGLPAFPMGKIDQTRMWKVGERVRATRPAGDLGPLHPFTAGVFVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQALVSVDNGAPINQDLISNFMSDPVHGAIKVCAELRPTVDISVPADADFVRPELRQSSN >ONI19168 pep chromosome:Prunus_persica_NCBIv2:G3:24806117:24810977:1 gene:PRUPE_3G262900 transcript:ONI19168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVGRGGTSADAYLPNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHAWFQAHLPRYLAVPPPDTMQQAKKIDEEILQEVVKMGYDRNLLVESLRGRVQNEGTVAYYLLLDNRFRVSSGYLGAEFQETVDCGFNRMHQSEAAASPVGHRLPGYMEYQGMGFRPQFPVERKWALGLQSRAHPREIMTEVLKALQELRVCWKKIGHYNMKCRWVPGTPGHHEGMVDNSLHSNHYFGDESSIIENDGGMKTPNVVKFEVQLFKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >ONI19167 pep chromosome:Prunus_persica_NCBIv2:G3:24806117:24810977:1 gene:PRUPE_3G262900 transcript:ONI19167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVGRGGTSADAYLPNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHAWFQAHLPRYLAVPPPDTMQQAKKIDEEILQEVVKMGYDRNLLVESLRGRVQNEGTVAYYLLLDNRFRVSSGYLGAEFQETVDCGFNRMHQSEAAASPVGHRLPGYMEYQGMGFRPQFPVERKWALGLQSRAHPREIMTEVLKALQELRVCWKKIGHYNMKCRWVPGTPGHHEGMVDNSLHSNHYFGDESSIIENDGGMKTPNVVKFEVQLFKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >ONI19166 pep chromosome:Prunus_persica_NCBIv2:G3:24806493:24810977:1 gene:PRUPE_3G262900 transcript:ONI19166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVPISDLEIRKMDGSVGRGGTSADAYLPNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHAWFQAHLPRYLAVPPPDTMQQAKKIDEEILQEVVKMGYDRNLLVESLRGRVQNEGTVAYYLLLDNRFRVSSGYLGAEFQETVDCGFNRMHQSEAAASPVGHRLPGYMEYQGMGFRPQFPVERKWALGLQSRAHPREIMTEVLKALQELRVCWKKIGHYNMKCRWVPGTPGHHEGMVDNSLHSNHYFGDESSIIENDGGMKTPNVVKFEVQLFKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >ONI15258 pep chromosome:Prunus_persica_NCBIv2:G3:2460946:2462835:1 gene:PRUPE_3G033300 transcript:ONI15258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLHIFILAFCASLLFPSNCSHGDSGLQEKRAALFIFGDSLFDVGNNNYINTTISFKANNWPYGETFFKYPTGRASDGRLIPDFIAKYAKLPFIPPYLQPGFNNYTYGVNFASAGGGALSETHQGFVKDLKTQVSYFKNVEKQLRHKLGDAGAYTFLSEAVYLISIGINDYYTPFLTNSSLFASHSHEEYVGMVVGNLTDVIKEIYKKGGRKFGFANGVPLGCIPSMRILKQENIGACSEEVTALVKLHSRVVAKGLLKLKSQLHGFRYSNANFYTPLTDTINNPSKYGFKEGKMACCGSGPYRGIMSCGGKRGSEFQLCDNVTEYVFFDSSHPTERVYQQISKLWWSGSPNVTKTSNNLKELFEV >ONI16850 pep chromosome:Prunus_persica_NCBIv2:G3:11525070:11526539:-1 gene:PRUPE_3G125300 transcript:ONI16850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSLSDPSQSTFSLIDEDHTYANAIRFTLNQDPRTKFCGYSIPHPSDNRVNIRVQTTGAAAKEVFKDASQDLMVVCQHVRSTFDKAVVDFRMSKSVNGMDIDSNN >ONI16851 pep chromosome:Prunus_persica_NCBIv2:G3:11524698:11527263:-1 gene:PRUPE_3G125300 transcript:ONI16851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSLSDPSQSTFSLIDEDHTYANAIRFTLNQDPRTKFCGYSIPHPSDNRVNIRVQTTGAAAKEVFKDASQDLMVVCQHVRSTFDKAVVDFRMSKSVNGMDIDSNN >ONI18441 pep chromosome:Prunus_persica_NCBIv2:G3:21865695:21866370:-1 gene:PRUPE_3G216300 transcript:ONI18441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKCAGAVSQLGLGDLRSGPSGLALNRVDWLEKVNKWVCFNGLLGSPVLWTIRYVEDKKPSMPANDMQVEFYSEGLIYLVAEVADQMEHNDSKTLHMDLSCFPRPFNCI >ONI19098 pep chromosome:Prunus_persica_NCBIv2:G3:24557193:24559200:1 gene:PRUPE_3G258600 transcript:ONI19098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGEEVKSKGGNFGDVMEGVASIALLPSGAISGHFIHLQNSISICYGLFGTELACEKECSRGEDYRLIKLEIIDYIRKKEKVVVVECRGHDAARLQSIDHAHGFASCLHSMVGSWEEDVVDLVEEQHGKDKLLVSFNCETLKSDKAAEDHIKQFMPKLAGLDAIVNIGKMTIAGLNFEAEA >ONI19099 pep chromosome:Prunus_persica_NCBIv2:G3:24557193:24559184:1 gene:PRUPE_3G258600 transcript:ONI19099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGEEVKSKGGNFGDVMEGVASIALLPSGAISGHFIHLQNSISICYGLFGTELACEKECSRGEDYRLIKLEIIDYIRKKEKVVVVECRGHDAARLQSIDHAHGWEEDVVDLVEEQHGKDKLLVSFNCETLKSDKAAEDHIKQFMPKLAGLDAIVNIGKMTIAGLNFEAEA >ONI16898 pep chromosome:Prunus_persica_NCBIv2:G3:12715004:12717448:-1 gene:PRUPE_3G128000 transcript:ONI16898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYTKAQTHQTSKNLRLKFDDSSLIFEVRQTIFWKLMIRNQRRFVKHGRRIKHTNNVGHKSTGNERVEARLASLLMESKEYSEALSVLSGLIKEVRRLVDKLLLVDIYLMRRKLLDFFPCQSTVRVSHRLPLVFFVVSELKHRLPLAFIARKR >ONI19487 pep chromosome:Prunus_persica_NCBIv2:G3:25641508:25643009:-1 gene:PRUPE_3G281000 transcript:ONI19487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVGGCMWWGVLPFAAMVMVEFADVGISTISKAAMSRGMSTYVFVVYSNALATLLLLPCFIFQKKPVSLTLSLLSGFFLLGLIGSSSLILAYNGINYSSPTVASAMGNLIPIYTFVLAIIFRMEKLDLRRSSSQAKLLGTIVSVSGAFVVILYKGSAILLFKALSPSNFPPHQNLIITQHSKWIFGGLFLALSCLLAAIWNIVQASIVNNCPSKVTIVFFYTFFVTIQCTIFSLIVERNPNSWTLRPNIEMIAIVCSAVFGSVFRIGVHTWCLHQKGPIFVAMFRPLGVAIAAVMVVIFLGEALHLGRFYLYPSHYIQLKIFQFD >ONI19485 pep chromosome:Prunus_persica_NCBIv2:G3:25640501:25643075:-1 gene:PRUPE_3G281000 transcript:ONI19485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVGGCMWWGVLPFAAMVMVEFADVGISTISKAAMSRGMSTYVFVVYSNALATLLLLPCFIFQKKPVSLTLSLLSGFFLLGLIGSSSLILAYNGINYSSPTVASAMGNLIPIYTFVLAIIFRMEKLDLRRSSSQAKLLGTIVSVSGAFVVILYKGSAILLFKALSPSNFPPHQNLIITQHSKWIFGGLFLALSCLLAAIWNIVQASIVNNCPSKVTIVFFYTFFVTIQCTIFSLIVERNPNSWTLRPNIEMIAIVCSAVFGSVFRIGVHTWCLHQKGPIFVAMFRPLGVAIAAVMVVIFLGEALHLGSVIGSVIVAIGFYAMMWGQIKEKSIVMENEVHSLASSTQQTPLLQCRTSENI >ONI19488 pep chromosome:Prunus_persica_NCBIv2:G3:25641508:25642882:-1 gene:PRUPE_3G281000 transcript:ONI19488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLCTLMPLPPSSSFLVSFSSKGHRQKKPVSLTLSLLSGFFLLGLIGSSSLILAYNGINYSSPTVASAMGNLIPIYTFVLAIIFRMEKLDLRRSSSQAKLLGTIVSVSGAFVVILYKGSAILLFKALSPSNFPPHQNLIITQHSKWIFGGLFLALSCLLAAIWNIVQASIVNNCPSKVTIVFFYTFFVTIQCTIFSLIVERNPNSWTLRPNIEMIAIVCSAVFGSVFRIGVHTWCLHQKGPIFVAMFRPLGVAIAAVMVVIFLGEALHLGRFYLYPSHYIQLKIFQFD >ONI19486 pep chromosome:Prunus_persica_NCBIv2:G3:25640501:25643075:-1 gene:PRUPE_3G281000 transcript:ONI19486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLCTLMPLPPSSSFLVSFSSKGHRQKKPVSLTLSLLSGFFLLGLIGSSSLILAYNGINYSSPTVASAMGNLIPIYTFVLAIIFRMEKLDLRRSSSQAKLLGTIVSVSGAFVVILYKGSAILLFKALSPSNFPPHQNLIITQHSKWIFGGLFLALSCLLAAIWNIVQASIVNNCPSKVTIVFFYTFFVTIQCTIFSLIVERNPNSWTLRPNIEMIAIVCSAVFGSVFRIGVHTWCLHQKGPIFVAMFRPLGVAIAAVMVVIFLGEALHLGSVIGSVIVAIGFYAMMWGQIKEKSIVMENEVHSLASSTQQTPLLQCRTSENI >ONI17176 pep chromosome:Prunus_persica_NCBIv2:G3:15462703:15467942:1 gene:PRUPE_3G142900 transcript:ONI17176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWVCRALRDGALEGEHAPALTIKDSIATPLGFDAFCHVLSQLSTNISAEKSQSRALVLVAFSRSPSYYVDLLKRRGLDISSSQQWIRILDCYTDPLGWRERLMECGSAKNLSYEASNVASTCRNVKDVDKLFSSVISLGKGLVGQGKVRFSVAIDSVNEMLRHASLSSVAGLLSNLRCCDQISSIFWFLHADLCEEKVTAVIEYMSSMVASIEPLIPFANRHRGNSENLSLVERNFTKGKFHVRCKRRNGRVRVMFEEIHIGQSGIDFTSPSSEDGLVNQVNQGLLPKVQFNLQLSEKERNDRAKVVLPFEHQGNGKPVEIYDGRKSLLDSKNEAAPVSTGNSKINDDSSKGEIIYFRDSDDEMPDSDEDPDDDLDI >ONI17177 pep chromosome:Prunus_persica_NCBIv2:G3:15462691:15467953:1 gene:PRUPE_3G142900 transcript:ONI17177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWVCRALRDGALEGEHAPALTIKDSIATPLGFDAFCHVLSQLSTNISAEKSQSRALVLVAFSRSPSYYVDLLKRRGLDISSSQQWIRILDCYTDPLGWRERLMECGSAKNLSYEASNVASTCRNVKDVDKLFSSVISLGKGLVGQGKVRFSVAIDSVNEMLRHASLSSVAGLLSNLRCCDQISSIFWFLHADLCEEKVTAVIEYMSSMVASIEPLIPFANRHRGNSENLSLVERNFTKGKFHVRCKRRNGRVRVMFEEIHIGQSGIDFTSPSSEDGLVNQVNQGLLPKVQFNLQLSEKERNDRAKVVLPFEHQGNGKPVEIYDGRKSLLDSKNEAAPVSTGNSKINDDSSKGEIIYFRDSDDEMPDSDEDPDDDLDI >ONI19323 pep chromosome:Prunus_persica_NCBIv2:G3:25246129:25249964:-1 gene:PRUPE_3G272000 transcript:ONI19323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVLNDSKVKTWDGSKSKGKKKKDEAVEETGCWVKFSFGTCMPSRSKVDSAMTGTTISFAETKSSNEKSRDRELTRGGSSTTTSNGGSTSSTPYFSEELKVASQLRKFTFNELKQATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEIYFLGDLIHPNLVKLIGYSIEDDQRLLVYEFLPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEVQRPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLNGRRSMDKNRPNGEHNLVEWARQLFGDKRRLFQLIDPRLEGHFSIKGAQKAIQLAAHCLSRDPKARPMMSEVVEALKPLPNLKDMASSSYHFQTMQAARSRSTSNAKNGIRTQAVFVPRNGHPIRSLSSPNGPPASPYYPHKSPKPNSKE >ONI16869 pep chromosome:Prunus_persica_NCBIv2:G3:11699274:11711456:1 gene:PRUPE_3G126400 transcript:ONI16869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLRQCGADIPHERAMDSIILERERGITIASKVSYIIPWKEYDLNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPADMCNEVESLIFDLFANLGASEEQLDFPVLYASAKEGWASTTYTKDPPADARNMSWLLDAIITISHVPPPTANLEAPFQMLLGTGKAFGNIRVGDRVHGLRHKDSGVEKIEEGKVVKLMKKKGTHMALIDSAGAGDIVSMAGLASPSIGHTVANVEIRSVLPTVELDPPTISMTFGVNDSPLAGRDGILCISPKPGISPKIFFFFFHQPLTGGKIGDRLSAEAETNLAINVLPGLSESYEVQGRGELQLGILIENMRQEGFELSVSPPKVMYKTENGLKLEPIEEVTIEVNEEHVGLVMEALSHWRAEIVDMGPVPGSVDRSRLSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLRAQILRPMQRVTHSSACRAPWQGYTPCQELA >ONI17672 pep chromosome:Prunus_persica_NCBIv2:G3:19101312:19102169:-1 gene:PRUPE_3G173400 transcript:ONI17672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDQQSLFQPLIHGKPTIPPSTNNHSGAINILVRFLLVFFIGLLALYADREASKGFDITIINDIKDSAAGWCFHLFYVSNDKATRIILHTSNFVEHLLYPEAHAQPKKHINHVVLRLSGRNLTSHNIGVVVHHSPNKENDHFVLDISPSIMDDQPNAHRHRALVTAILRGMAHVWLWDGEAHAPPELIDGMVEYTRMVAEQGLFDETKSYGVSTKLPGCDKFWWKDKDPRVVAQMLNYYEGHSKGFIQRLNGAMREKWHDRTVDDALGMPLQNLCGTFNFSRCSS >ONI16762 pep chromosome:Prunus_persica_NCBIv2:G3:10682324:10683640:-1 gene:PRUPE_3G120600 transcript:ONI16762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWKCIGFGLILMFGAWCCEATSRSLQDASMYGRYEQWMTRYGRIYNDVNEKENRFKIFKENVAFIESSNNDVNKPYKLGVNQFADLTNEEFKASRNGFKGHECSTKTTSFKYENVKAPVPATMDWRKKGAVTPIKDQGQCGCCWAFSAVAATEGITQLTTGKLISLSEQELVDCDTSGEDQGCEGGLMDDAFQFIQQNHGLSTEANYPYNGVDGSCNTKKAASIAAKITGYEDVPANSEKALLTAVAHQPVSVAIDAGGSDFQFYSSGVFTGACGTSLDHGVTAVGYGVSDDGTKFWLVKNSWGTEWGEEGYIRMQRDVEAKEGLCGIAMEASYPTA >ONI17844 pep chromosome:Prunus_persica_NCBIv2:G3:19853587:19855434:-1 gene:PRUPE_3G182800 transcript:ONI17844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFKYPVTFFSCKGKETRQAPNLTGSPFLYSETYNIFTAVTCGHLATVRSEKHVFHGCESTCDWSTNNTNRCDTKIQTNIPPNLSVFTTETQGRYQNGGCGYAFLVDQDWFVNNLSSYRAIQGMDSVPVVLDWKISLDNTSLRSFKGFIGTEPDYYDFERNYIIDSTPYCEIYNSTTSSTYNQSSLHCFCPAGFEGNPYLLHPYQEELEKSTDKYNTDRILGQGGQGTVYKGMFADGRIIAVKKSNILDEGQLSEFINEVVILSQINHRNVVRSLGCCLETEVPLLVYEVIPNGTLSHYIHKQNEDFPLTWKMRLRIATEIAGALSYLHGAASFPIYRDIKSVGKDCEIKEPINKYGTSRI >ONI20089 pep chromosome:Prunus_persica_NCBIv2:G3:27179998:27183465:1 gene:PRUPE_3G314500 transcript:ONI20089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEEEAMQSKEKAGRRNGCNPVTKGGPVSMDHVLLALGETKEQRELRIRSLFNFFDTASIGYLDYTLIEAALSALQIPSEYKYAKDLLNVCDANQDGRVDYQEFKRYMDDKELELYCIFQAIDVEHNGCILPEELWDALVRAGIEIDDEELARFVERVDKDNNGVITFEEWRDFLLLFPHEATIENIYHYLERVCLVDIGEQTVIPEVISKHVHASRYLIAGAVAGATSRTATAPLDRLKVVLQVQTKQARIMPAIRDIFREGGLLGFFRGNGLNVLKVAPESAIRFYTYEMLKNFIVHANGEEDQANIGTATRLVSGGLAGAVAQTVIYPMDLVKTRIQTYAGEGGRIPSLGNLSREIWVQEGSRAFYRGLVPSLLGIVPYAGIDLAAYETLKDMSKKYILHDGEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAHTAYKGMGDVFRRTFQHEGLRGFYKGIFPNLLKVVPSASITYMVYESMKKSLDLG >ONI20088 pep chromosome:Prunus_persica_NCBIv2:G3:27180127:27183295:1 gene:PRUPE_3G314500 transcript:ONI20088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEEEAMQSKEKAGRRNGCNPVTKGGPVSMDHVLLALGETKEQRELRIRSLFNFFDTASIGYLDYTLIEAALSALQIPSEYKYAKDLLNVCDANQDGRVDYQEFKRYMDDKELELYCIFQAIDVEHNGCILPEELWDALVRAGIEIDDEELARFVERVDKDNNGVITFEEWRDFLLLFPHEATIENIYHYLERVCLVDIGEQTVIPEVISKHVHASRYLIAGAVAGATSRTATAPLDRLKVVLQVQTKQARIMPAIRDIFREGGLLGFFRGNGLNVLKVAPESAIRFYTYEMLKNFIVHANGEEDQANIGTATRLVSGGLAGAVAQTVIYPMDLVKTRIQTYAGEGGRIPSLGNLSREIWVQEGSRAFYRGLVPSLLGIVPYAGIDLAAYETLKDMSKKYILHDGEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAHTAYKGMGDVFRRTFQHEGLRGFYKGIFPNLLKVVPSASITYMVYESMKKSLDLG >ONI20090 pep chromosome:Prunus_persica_NCBIv2:G3:27180046:27183521:1 gene:PRUPE_3G314500 transcript:ONI20090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEEEAMQSKEKAGRRNGCNPVTKGGPVSMDHVLLALGETKEQRELRIRSLFNFFDTASIGYLDYTLIEAALSALQIPSEYKYAKDLLNVCDANQDGRVDYQEFKRYMDDKELELYCIFQAIDVEHNGCILPEELWDALVRAGIEIDDEELARFVERVDKDNNGVITFEEWRDFLLLFPHEATIENIYHYLERVCLVDIGEQTVIPEVISKHVHASRYLIAGAVAGATSRTATAPLDRLKVVLQVQTKQARIMPAIRDIFREGGLLGFFRGNGLNVLKVAPESAIRFYTYEMLKNFIVHANGEEDQANIGTATRLVSGGLAGAVAQTVIYPMDLVKTRIQTYAGEGGRIPSLGNLSREIWVQEGSRAFYRGLVPSLLGIVPYAGIDLAAYETLKDMSKKYILHDGEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAHTAYKGMGDVFRRTFQHEGLRGFYKGIFPNLLKVVPSASITYMVYESMKKSLDLG >ONI20091 pep chromosome:Prunus_persica_NCBIv2:G3:27180019:27183520:1 gene:PRUPE_3G314500 transcript:ONI20091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEEEAMQSKEKAGRRNGCNPVTKGGPVSMDHVLLALGETKEQRELRIRSLFNFFDTASIGYLDYTLIEAALSALQIPSEYKYAKDLLNVCDANQDGRVDYQEFKRYMDDKELELYCIFQAIDVEHNGCILPEELWDALVRAGIEIDDEELARFVERVDKDNNGVITFEEWRDFLLLFPHEATIENIYHYLERVCLVDIGEQTVIPEVISKHVHASRYLIAGAVAGATSRTATAPLDRLKVVLQVQTKQARIMPAIRDIFREGGLLGFFRGNGLNVLKVAPESAIRFYTYEMLKNFIVHANGEEDQANIGTATRLVSGGLAGAVAQTVIYPMDLVKTRIQTYAGEGGRIPSLGNLSREIWVQEGSRAFYRGLVPSLLGIVPYAGIDLAAYETLKDMSKKYILHDGEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAHTAYKGMGDVFRRTFQHEGLRGFYKGIFPNLLKVVPSASITYMVYESMKKSLDLG >ONI20092 pep chromosome:Prunus_persica_NCBIv2:G3:27180008:27183439:1 gene:PRUPE_3G314500 transcript:ONI20092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKELELYCIFQAIDVEHNGCILPEELWDALVRAGIEIDDEELARFVERVDKDNNGVITFEEWRDFLLLFPHEATIENIYHYLERVCLVDIGEQTVIPEVISKHVHASRYLIAGAVAGATSRTATAPLDRLKVVLQVQTKQARIMPAIRDIFREGGLLGFFRGNGLNVLKVAPESAIRFYTYEMLKNFIVHANGEEDQANIGTATRLVSGGLAGAVAQTVIYPMDLVKTRIQTYAGEGGRIPSLGNLSREIWVQEGSRAFYRGLVPSLLGIVPYAGIDLAAYETLKDMSKKYILHDGEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAHTAYKGMGDVFRRTFQHEGLRGFYKGIFPNLLKVVPSASITYMVYESMKKSLDLG >ONI16307 pep chromosome:Prunus_persica_NCBIv2:G3:6750354:6759606:1 gene:PRUPE_3G090800 transcript:ONI16307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIHPTPKLSDFYEFFSFSHLSPPILHLRRLDADDGHERRDGDYFQIQIKICNGKQIQVVASVKGFYTLGKQFLQSHSLVDLLQQLSRAFANAYESLTKAFVDHNKFGDLPYGFRANTWLVPPSIAESPSDFPPLPTEDENWGGNGGGQGRNGEYDLRPWATDFAILACLPCKTEEERVVRDRKAFLLHSKFIDVSVFKAASAIRALIGSSMNAKETANCSQGCVLFEDRVGDLSIVVKRDTTEAWSKSEVKVNGDHLCSMSAKEVAQRCLLKGLTSDESVVVHDTSSLGVVNVRHCGYTATVRVVGNIKKGNREAKDIDVEDQPDGGANSLNVNSLRVLLQKFKTESLASSDLDSLETSRCLVRRVIKESLTKLENEPANSERSIRWELGSCWVQHLQKQESSVVSDSDSLDDNNEAEAIVKGLGKQFKLLKKREKKTSGERPYDEEEIDASESGSSNSRTLELHNGDISNNSDLKQLLSEESFLRLKETGTNLHLKSAEELIKMAHKYYDEVALPKLVTDFGSLELSPVDGRTLTDFMHLRGLKMRSLGRVVELSEKLPHIQSLCIHEMVTRAFKHMLEAVIACVDNITDLPAAIASTLNFLLGASGMEDGVLKLQWLRLFLARRFSWTLKDEFQHLRKLSILRGLCHKVGLELAPKDYDMDFPNPFSKYDIISMVPVCKHVVCSSADGRNLLESSKIALDKGKLEDAVNFGTKALAKMIAVCGPYHRVTASAYSLLAVVLYHTGDFNQATIYQQKALAINERELGLDHPDTMKSYGDLSVFYYRLQYIELALKYVNRALYLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGPEDLRTQDAAAWLEYFESKSLEQQEAARNGSPKPDALIASKGHLSVSDLLDFISPDQDSKVNDAHRKQRRAKVHQSSDNISQEHQNVIADDDLGNKILLDGNTEVVEDRSVHQEPEEEKMSGNGLPITSLTVEETTSDEGWQEASSKVRFGSTATGRRFGRRRPESEYSNFREGKYWRDIISPPQTAAPKSFLTDLSQPKQSKVRTLSAGEDSVNSKTSVSKVPTTPVITNLTSKTVSYKEVALAPPGTVLKALLDKVEDPNVENPETKSCEIPPETLKIDESIGNSVVEEIPDDKLDGTGLESASQLEAIAPEIVEEKSGERNGSKLSAAAEPYTPRPLATTHPLNPAAVTSVYDVRASQVMLSAPVLPPAAARVPCGPRSPLYYKTNYSFRLRQGVQKFQRHITESGGSGPPKIMNPHAPEFVPGRVWQADPIDEYVELASESNPSFEITRSQQEERDVNSNSKGGDGILRKSISETEKSELARQILLSFIVKSVQQNKDPVTESKQENHSDAIENDSAIIKIHYGNEGKKDLLSEPSDSEQPKTTDVNTKEGGDAEGFTVVTKRRRSRQLRSGVTGLYNQQSISASVH >ONI16306 pep chromosome:Prunus_persica_NCBIv2:G3:6749081:6759606:1 gene:PRUPE_3G090800 transcript:ONI16306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSGRGKNNKAKSDKKKKEEKVPSVLDITVTTPYDTQVILKGISTDKILDVRRLLAVNVETCHLTNFSLSHEVKGQRLNDRVEVVSLKPCLLKMVEEDYTDKAQSEAHVRRLLDLVACTTRFAKPKRSASNPDSKSKKNGGRVDTRSSRPPSPSGGGSARATSARSEPSVSAISESLGMVAIHPTPKLSDFYEFFSFSHLSPPILHLRRLDADDGHERRDGDYFQIQIKICNGKQIQVVASVKGFYTLGKQFLQSHSLVDLLQQLSRAFANAYESLTKAFVDHNKFGDLPYGFRANTWLVPPSIAESPSDFPPLPTEDENWGGNGGGQGRNGEYDLRPWATDFAILACLPCKTEEERVVRDRKAFLLHSKFIDVSVFKAASAIRALIGSSMNAKETANCSQGCVLFEDRVGDLSIVVKRDTTEAWSKSEVKVNGDHLCSMSAKEVAQRCLLKGLTSDESVVVHDTSSLGVVNVRHCGYTATVRVVGNIKKGNREAKDIDVEDQPDGGANSLNVNSLRVLLQKFKTESLASSDLDSLETSRCLVRRVIKESLTKLENEPANSERSIRWELGSCWVQHLQKQESSVVSDSDSLDDNNEAEAIVKGLGKQFKLLKKREKKTSGERPYDEEEIDASESGSSNSRTLELHNGDISNNSDLKQLLSEESFLRLKETGTNLHLKSAEELIKMAHKYYDEVALPKLVTDFGSLELSPVDGRTLTDFMHLRGLKMRSLGRVVELSEKLPHIQSLCIHEMVTRAFKHMLEAVIACVDNITDLPAAIASTLNFLLGASGMEDGVLKLQWLRLFLARRFSWTLKDEFQHLRKLSILRGLCHKVGLELAPKDYDMDFPNPFSKYDIISMVPVCKHVVCSSADGRNLLESSKIALDKGKLEDAVNFGTKALAKMIAVCGPYHRVTASAYSLLAVVLYHTGDFNQATIYQQKALAINERELGLDHPDTMKSYGDLSVFYYRLQYIELALKYVNRALYLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGPEDLRTQDAAAWLEYFESKSLEQQEAARNGSPKPDALIASKGHLSVSDLLDFISPDQDSKVNDAHRKQRRAKVHQSSDNISQEHQNVIADDDLGNKILLDGNTEVVEDRSVHQEPEEEKMSGNGLPITSLTVEETTSDEGWQEASSKVRFGSTATGRRFGRRRPESEYSNFREGKYWRDIISPPQTAAPKSFLTDLSQPKQSKVQF >ONI16305 pep chromosome:Prunus_persica_NCBIv2:G3:6749081:6759606:1 gene:PRUPE_3G090800 transcript:ONI16305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSGRGKNNKAKSDKKKKEEKVPSVLDITVTTPYDTQVILKGISTDKILDVRRLLAVNVETCHLTNFSLSHEVKGQRLNDRVEVVSLKPCLLKMVEEDYTDKAQSEAHVRRLLDLVACTTRFAKPKRSASNPDSKSKKNGGRVDTRSSRPPSPSGGGSARATSARSEPSVSAISESLGMVAIHPTPKLSDFYEFFSFSHLSPPILHLRRLDADDGHERRDGDYFQIQIKICNGKQIQVVASVKGFYTLGKQFLQSHSLVDLLQQLSRAFANAYESLTKAFVDHNKFGDLPYGFRANTWLVPPSIAESPSDFPPLPTEDENWGGNGGGQGRNGEYDLRPWATDFAILACLPCKTEEERVVRDRKAFLLHSKFIDVSVFKAASAIRALIGSSMNAKETANCSQGCVLFEDRVGDLSIVVKRDTTEAWSKSEVKVNGDHLCSMSAKEVAQRCLLKGLTSDESVVVHDTSSLGVVNVRHCGYTATVRVVGNIKKGNREAKDIDVEDQPDGGANSLNVNSLRVLLQKFKTESLASSDLDSLETSRCLVRRVIKESLTKLENEPANSERSIRWELGSCWVQHLQKQESSVVSDSDSLDDNNEAEAIVKGLGKQFKLLKKREKKTSGERPYDEEEIDASESGSSNSRTLELHNGDISNNSDLKQLLSEESFLRLKETGTNLHLKSAEELIKMAHKYYDEVALPKLVTDFGSLELSPVDGRTLTDFMHLRGLKMRSLGRVVELSEKLPHIQSLCIHEMVTRAFKHMLEAVIACVDNITDLPAAIASTLNFLLGASGMEDGVLKLQWLRLFLARRFSWTLKDEFQHLRKLSILRGLCHKVGLELAPKDYDMDFPNPFSKYDIISMVPVCKHVVCSSADGRNLLESSKIALDKGKLEDAVNFGTKALAKMIAVCGPYHRVTASAYSLLAVVLYHTGDFNQATIYQQKALAINERELGLDHPDTMKSYGDLSVFYYRLQYIELALKYVNRALYLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGPEDLRTQDAAAWLEYFESKSLEQQEAARNGSPKPDALIASKGHLSVSDLLDFISPDQDSKVNDAHRKQRRAKVHQSSDNISQEHQNVIADDDLGNKILLDGNTEVVEDRSVHQEPEEEKMSGNGLPITSLTVEETTSDEGWQEASSKVRFGSTATGRRFGRRRPESEYSNFREGKYWRDIISPPQTAAPKSFLTDLSQPKQSKVRTLSAGEDSVNSKTSVSKVPTTPVITNLTSKTVSYKEVALAPPGTVLKALLDKVEDPNVENPETKSCEIPPETLKIDESIGNSVVEEIPDDKLDGTGLESASQLEAIAPEIVEEKSGERNGSKLSAAAEPYTPRPLATTHPLNPAAVTSVYDVRASQVMLSAPVLPPAAARVPCGPRSPLYYKTNYSFRLRQGVQKFQRHITESGGSGPPKIMNPHAPEFVPGRVWQADPIDEYVELASESNPSFEITRSQQEERDVNSNSKGGDGILRKSISETEKSELARQILLSFIVKSVQQNKDPVTESKQENHSDAIENDSAIIKIHYGNEGKKDLLSEPSDSEQPKTTDVNTKEGGDAEGFTVVTKRRRSRQLRSGVTGLYNQQSISASVH >ONI18524 pep chromosome:Prunus_persica_NCBIv2:G3:22144337:22145905:-1 gene:PRUPE_3G220600 transcript:ONI18524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAADEFTNHHGRPEPSIPRVLTILSFVLEKLVARNNTLADGLSQQLDGQSCGSAGIGKSLNVFHGVRAPSISIPKYLERLYKYTDCSPSCFVVGYVYIDRLLHKHPDSLVLSLNVHRLLVTSVMIASKMLDDVHYNNAFYARVGGVSNAELNRLEVELLFLLDFGVMVSSRVFESYCFHLEKEMILNGACQRIERPLLVLSDVAEIRENSQSSLPP >ONI16225 pep chromosome:Prunus_persica_NCBIv2:G3:6378940:6382887:1 gene:PRUPE_3G086100 transcript:ONI16225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKYREAISTSSSPPFLSSLSCMCMRSVYMHFYVKKTKDSPLHEAPVMSGLTLRRARCMQPYPCFCSGCFRNSNPRHPVSWASHSVYSNVCIASNISFALYSINRLYCPNHEQDSIYLVYISCICSIKLELSIDV >ONI17350 pep chromosome:Prunus_persica_NCBIv2:G3:16692908:16694000:1 gene:PRUPE_3G153200 transcript:ONI17350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTNIKLTSQDDHPGSFSTMKARFWVGFAFSAERASSKSVPDLGSIRFPICTWMNLYTPSMYGIGGTKTTGLKSVHDHRVLFDCFSSASATIHELSWLAALKYLSL >ONI17320 pep chromosome:Prunus_persica_NCBIv2:G3:16559346:16560764:-1 gene:PRUPE_3G151300 transcript:ONI17320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFLASMHGNTLKGGLLLSAVLPLLKLLCMAAIGLLLAHPKYQLVPKATFTFLSKLVFAVFLPCLIFTNLGPSITLKNLLNWWFIPVNVVISTTIGCVLGVLVAIICRPPPEFFRFTIIMTAFGNTGNLPLAIVASVCHSDDNPFGTEDDCTEAGVAYVSFSQWVSVILVYTLVYHMMEPTLESSRSAYEVVVEEEEYREIEELGSNGNGNGNGNENGNENDLTRPLLVEAEWPGMEDKEIEHCKTPFIARLFNSSNSILSHTALPDLGGEEEKEKKGGQPRSTKCLAEPIMVRKIRSVAGMTPIHGILQPPTIASLLAIIIGMIPKLKSWVFDDDQILSFITDSLEILAEAMVPSAVLVLGGMLAEGPNESNLGMRTTIGIIVARLLVLPLIGIGVILLSDKLNILIDDDPLYRFVLLLQYTTPSAILLGAVASLRGYAVREASALLFWQHIVAVFSLSVYIIVYFYILF >ONI17321 pep chromosome:Prunus_persica_NCBIv2:G3:16559068:16561088:-1 gene:PRUPE_3G151300 transcript:ONI17321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPTLESSRSAYEVVVEEEEYREIEELGSNGNGNGNGNENGNENDLTRPLLVEAEWPGMEDKEIEHCKTPFIARLFNSSNSILSHTALPDLGGEEEKEKKGGQPRSTKCLAEPIMVRKIRSVAGMTPIHGILQPPTIASLLAIIIGMIPKLKSWVFDDDQILSFITDSLEILAEAMVPSAVLVLGGMLAEGPNESNLGMRTTIGIIVARLLVLPLIGIGVILLSDKLNILIDDDPLYRFVLLLQYTTPSAILLGAVASLRGYAVREASALLFWQHIVAVFSLSVYIIVYFYILF >ONI17318 pep chromosome:Prunus_persica_NCBIv2:G3:16559068:16561270:-1 gene:PRUPE_3G151300 transcript:ONI17318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDLNHYGTIVMCELYKSSNHQSNDCFKLEGHKLSTSQITGNLPLAIVASVCHSDDNPFGTEDDCTEAGVAYVSFSQWVSVILVYTLVYHMMEPTLESSRSAYEVVVEEEEYREIEELGSNGNGNGNGNENGNENDLTRPLLVEAEWPGMEDKEIEHCKTPFIARLFNSSNSILSHTALPDLGGEEEKEKKGGQPRSTKCLAEPIMVRKIRSVAGMTPIHGILQPPTIASLLAIIIGMIPKLKSWVFDDDQILSFITDSLEILAEAMVPSAVLVLGGMLAEGPNESNLGMRTTIGIIVARLLVLPLIGIGVILLSDKLNILIDDDPLYRFVLLLQYTTPSAILLGAVASLRGYAVREASALLFWQHIVAVFSLSVYIIVYFYILF >ONI17319 pep chromosome:Prunus_persica_NCBIv2:G3:16559346:16560764:-1 gene:PRUPE_3G151300 transcript:ONI17319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFLASMHGNTLKGGLLLSAVLPLLKLLCMAAIGLLLAHPKYQLVPKATFTFLSKLVFAVFLPCLIFTNLGPSITLKNLLNWWFIPVNVVISTTIGCVLGVLVAIICRPPPEFFRFTIIMTAFGNTGNLPLAIVASVCHSDDNPFGTEDDCTEAGVAYVSFSQWVSVILVYTLVYHMMEPTLESSRSAYEVVVEEEEYREIEELGSNGNGNGNGNENGNENDLTRPLLVEAEWPGMEDKEIEHCKTPFIARLFNSSNSILSHTALPDLGGEEEKEKKGGQPRSTKCLAEPIMVRKIRSVAGMTPIHGILQPPTIASLLAIIIGMIPKLKSWVFDDDQILSFITDSLEILAEAMVPSAVLVLGGMLAEGPNESNLGMRTTIGIIVARLLVLPLIGIGVILLSDKLNILIDDDPLYRFVLLLQYTTPSAILLGAVASLRGYAVREASALLFWQHIVAVFSLSVYIIVYFYILF >ONI17894 pep chromosome:Prunus_persica_NCBIv2:G3:20041213:20046112:1 gene:PRUPE_3G185900 transcript:ONI17894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLIPADLGTWKQRRRVIAPGFHSLYLEAMVKTFRHCSERTILKFEKLLEREKLHDEKTIELDLEAEFSSLALDIIGLGVFNFDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKFPLARWIVPRQRKFHSDLKVINDCLDGLIRNAKDTRQETDVEKLQQRDYLNLKDASLLRFLVDIRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFFLAQNPSKMKKAQAEIDSVLGQDGPNLESIKKLEYVRLIVVESLRLFPQPPLLVRRSLKSDTLPGGYNGEKDGYVIPAGTDIFLSVYNLHRSPYFWDNPNEFEPERFLVPKKSEVEGWAGFDPSRIPGALYPSEITADFAFLPFGGGPRKCVGDQFALMESTVALAMLLQKFTVELKGSPESVEQVTGATIHTKNGLWCKLRKRSDVQ >ONI17892 pep chromosome:Prunus_persica_NCBIv2:G3:20040199:20045648:1 gene:PRUPE_3G185900 transcript:ONI17892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGYSLLHPSPPALINVNFQRSEFGHFHSSTTTHLLSSKSTTHLIRCQSTSTDEPKTRRKLLDNASNLLTNFLSGGSLGSMPIAEGAVSDLFVRPLFFALYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFSYDKGVLADILEPIMGKGLIPADLGTWKQRRRVIAPGFHSLYLEAMVKTFRHCSERTILKFEKLLEREKLHDEKTIELDLEAEFSSLALDIIGLGVFNFDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKFPLARWIVPRQRKFHSDLKVINDCLDGLIRNAKDTRQETDVEKLQQRDYLNLKDASLLRFLVDIRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFFLAQNPSKMKKAQAEIDSVLGQDGPNLESIKKLEYVRLIVVESLRLFPQPPLLVRRSLKSDTLPGGYNGEKDGYVIPAGTDIFLSVSRSIQSP >ONI17895 pep chromosome:Prunus_persica_NCBIv2:G3:20039872:20046112:1 gene:PRUPE_3G185900 transcript:ONI17895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLIPADLGTWKQRRRVIAPGFHSLYLEAMVKTFRHCSERTILKFEKLLEREKLHDEKTIELDLEAEFSSLALDIIGLGVFNFDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKFPLARWIVPRQRKFHSDLKVINDCLDGLIRNAKDTRQETDVEKLQQRDYLNLKDASLLRFLVDIRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFFLAQNPSKMKKAQAEIDSVLGQDGPNLESIKKLEYVRLIVVESLRLFPQPPLLVRRSLKSDTLPGGYNGEKDGYVIPAGTDIFLSVYNLHRSPYFWDNPNEFEPERFLVPKKSEVEGWAGFDPSRIPGALYPSEITADFAFLPFGGGPRKCVGDQFALMESTVALAMLLQKFTVELKGSPESVEQVTGATIHTKNGLWCKLRKRSDVQ >ONI17896 pep chromosome:Prunus_persica_NCBIv2:G3:20041213:20046112:1 gene:PRUPE_3G185900 transcript:ONI17896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLIPADLGTWKQRRRVIAPGFHSLYLEAMVKTFRHCSERTILKFEKLLEREKLHDEKTIELDLEAEFSSLALDIIGLGVFNFDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKFPLARWIVPRQRKFHSDLKVINDCLDGLIRNAKDTRQETDVEKLQQRDYLNLKDASLLRFLVDIRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFFLAQNPSKMKKAQAEIDSVLGQDGPNLESIKKLEYVRLIVVESLRLFPQPPLLVRRSLKSDTLPGGYNGEKDGYVIPAGTDIFLSVYNLHRSPYFWDNPNEFEPERFLVPKKSEVEGWAGFDPSRIPGALYPSEITADFAFLPFGGGPRKCVGDQFALMESTVALAMLLQKFTVELKGSPESVEQVTGATIHTKNGLWCKLRKRSDVQ >ONI17891 pep chromosome:Prunus_persica_NCBIv2:G3:20039872:20046112:1 gene:PRUPE_3G185900 transcript:ONI17891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGYSLLHPSPPALINVNFQRSEFGHFHSSTTTHLLSSKSTTHLIRCQSTSTDEPKTRRKLLDNASNLLTNFLSGGSLGSMPIAEGAVSDLFVRPLFFALYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFSYDKGVLADILEPIMGKGLIPADLGTWKQRRRVIAPGFHSLYLEAMVKTFRHCSERTILKFEKLLEREKLHDEKTIELDLEAEFSSLALDIIGLGVFNFDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKFPLARWIVPRQRKFHSDLKVINDCLDGLIRNAKDTRQETDVEKLQQRDYLNLKDASLLRFLVDIRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFFLAQNPSKMKKAQAEIDSVLGQDGPNLESIKKLEYVRLIVVESLRLFPQPPLLVRRSLKSDTLPGGYNGEKDGYVIPAGTDIFLSVYNLHRSPYFWDNPNEFEPERFLVPKKSEVEGWAGFDPSRIPGALYPSEITADFAFLPFGGGPRKCVGDQFALMESTVALAMLLQKFTVELKGSPESVEQVTGATIHTKNGLWCKLRKRSDVQ >ONI17890 pep chromosome:Prunus_persica_NCBIv2:G3:20039872:20046112:1 gene:PRUPE_3G185900 transcript:ONI17890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGYSLLHPSPPALINVNFQRSEFGHFHSSTTTHLLSSKSTTHLIRCQSTSTDEPKTRRKLLDNASNLLTNFLSGGSLGSMPIAEGAVSDLFVRPLFFALYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFSYDKGVLADILEPIMGKGLIPADLGTWKQRRRVIAPGFHSLYLEAMVKTFRHCSERTILKFEKLLEREKLHDEKTIELDLEAEFSSLALDIIGLGVFNFDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKFPLARWIVPRQRKFHSDLKVINDCLDGLIRNAKDTRQETDVEKLQQRDYLNLKDASLLRFLVDIRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFFLAQNPSKMKKAQAEIDSVLGQDGPNLESIKKLEYVRLIVVESLRLFPQPPLLVRRSLKSDTLPGGYNGEKDGYVIPAGTDIFLSVYNLHRSPYFWDNPNEFEPERFLVPKKSEVEGWAGFDPSRIPGALYPSEITADFAFLPFGGGPRKCVGDQFALMESTVALAMLLQKFTVELKGSPESVEQVTGATIHTKNGLWCKLRKRSDVQ >ONI17893 pep chromosome:Prunus_persica_NCBIv2:G3:20039872:20046112:1 gene:PRUPE_3G185900 transcript:ONI17893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLIPADLGTWKQRRRVIAPGFHSLYLEAMVKTFRHCSERTILKFEKLLEREKLHDEKTIELDLEAEFSSLALDIIGLGVFNFDFGSVTKESPVIKAVYGTLFEAEHRSTFYIPYWKFPLARWIVPRQRKFHSDLKVINDCLDGLIRNAKDTRQETDVEKLQQRDYLNLKDASLLRFLVDIRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFFLAQNPSKMKKAQAEIDSVLGQDGPNLESIKKLEYVRLIVVESLRLFPQPPLLVRRSLKSDTLPGGYNGEKDGYVIPAGTDIFLSVYNLHRSPYFWDNPNEFEPERFLVPKKSEVEGWAGFDPSRIPGALYPSEITADFAFLPFGGGPRKCVGDQFALMESTVALAMLLQKFTVELKGSPESVEQVTGATIHTKNGLWCKLRKRSDVQ >ONI19375 pep chromosome:Prunus_persica_NCBIv2:G3:25400228:25402820:1 gene:PRUPE_3G275700 transcript:ONI19375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSENHNPRRRFRKWFKGKHKRADHNQLSQVSDGGEDESEDYLDDILFQSMEMDPCTSTIELRVFVGTWNVAGKSPIGSLAVDLDEWLNLKDAADMYVLGFQEIVPLKTRNVIGAEDPTEARNWNLLIGKTLNNDMEQSRSQHENPKGVSKYKLMASKKMVGVFISVWMKSDLVSKYYISNVKVCSVACGIMGYLGNKGSVSVSMTIEGTSFCFVVAHLASGEKKGDEGRRNHQVSEIFRRTSFPRSPADDDIPHPLTILGHDRIFWFGDLNYRLYLEDNSARQLIKKLDWRALQEFDQLRREREYGGVFQGWDEGAIEFAPTYKYSSSNCNRYSGGVPSRSGEKQRTPAWCDRILWYGKGLTQLSYFRSESKFSDHRPVSALFSTQVEVVNSTNPRVVSRHTILPTIPPPVETEQSNHDEAESTLISLIIKDLEASPTHNTRCIEQIDDSDCS >ONI19376 pep chromosome:Prunus_persica_NCBIv2:G3:25400228:25402827:1 gene:PRUPE_3G275700 transcript:ONI19376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSENHNPRRRFRKWFKGKHKRADHNQLSQVSDGGEDESEDYLDDILFQSMEMDPCTSTIELRVFVGTWNVAGKSPIGSLAVDLDEWLNLKDAADMYVLGFQEIVPLKTRNVIGAEDPTEARNWNLLIGKTLNNDMEQSRSQHENPKGVSKYKLMASKKMVGVFISVWMKSDLVSKYYISNVKVCSVACGIMGYLGNKGSVSVSMTIEGTSFCFVVAHLASGEKKGDEGRRNHQVSEIFRRTSFPRSPADDDIPHPLTILGHDRIFWFGDLNYRLYLEDNSARQLIKKLDWRALQEFDQLRREREYGGVFQGWDEGAIEFAPTYKYSSSNCNRYSGGVPSRSGEKQRTPAWCDRILWYGKGLTQLSYFRSESKFSDHRPVSALFSTQVEVVNSTNPRVVSRHTILPTIPPPVETVTEQP >ONI16323 pep chromosome:Prunus_persica_NCBIv2:G3:6897048:6901545:1 gene:PRUPE_3G092200 transcript:ONI16323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASSMLTQYDIEEVQEHCNDLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSARASMQQKSELIFKVYDSDCNGKVSFNDILEVLRDLSGSFMSDEQREKVLTQVLQEAGYTRESYLTLDDFVKVLGSYGVKMEVEVPID >ONI18143 pep chromosome:Prunus_persica_NCBIv2:G3:20856380:20859539:1 gene:PRUPE_3G199200 transcript:ONI18143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESWWSKFISVCSFILLLLNATHCFLLAQTQALGQVDECSALLQFKESFAINKSVSADPLAYPKVSFWTREGDGNRSNCCSWDGVECDEDFGHVVGLDLRSSCLYGSINSSNTLFRLVHLQWLDLSDNHFNFSQIPSRFGDDLSSLTYLNLSNSLFSGEIPPEISKLSKLSTLDLSFNDLKVDDDSFPLKLTKGNMRSLVQNLTAIKQLHLNWVEMYSTVPDILVNASSLTSLQLRGCGLNGEFPIGIFHLPNLEVLHLLYNSNLTGYFPDFNRSNALKKLNVANTNFSGQLPNSLGNLHSLIVFVISSCNFHPNVPSSLGNLTQLNYLDMFSFNDVSKGKMGEFPSFVSNLTQLEFLDLSDTEISGQIPSWLMKLTQLTSLSLDENNLQGPIPRSLFQLKNLEYLSLSGNNLSGLVEFDQFSKLKKLKDLDLSNNMLSVEIRNDLSATLPKLQTLALGACNLTEFPKFLKNQSELTKLDLSDNYIHGPIPKWLWNATIETLWGLRLYDNFLTGFDQDPGILRWTNLNYLALGSNMLQGPLPIPPQSIRLYDVENNEYTGEISPLFCNFNNLQVLRLSNNSLSGMLPQCLGNSSVLEILALHNNFFNGYIPPICPSKTSLRIVDFSYNQLQGKLPRGVMNCTQLKVLNFANNQMSDIFPSWLGALPELRILILRSNGFHGVIGKPATKHEFPNLRIIDLSNNGFSGMLPSNYLEIWNSMKHVDENRQTYFSVYTDDVDGRYFGSDYAMTISGKGVQLKYERTPYLLTLVDLSSNRFEGEIPEGPVGNLRGLVLLNLSNNSLTGHIPSSLGDLAALESLDLSQNQLSGRIPSNLEQLTFLAYFNVSHNHLSGPIPLGKQFDTFQEDWYEGNSGLCGKSLPKKCEDSEISTRPPSSIVGEDEDSVFQIALDWYVVVPGVVSGLIVGVVVGNIWTTKKHEWFVETFSRRRKPRGTQGLGGDAELSSRPCICVLCMRVWCVRKGSI >ONI18144 pep chromosome:Prunus_persica_NCBIv2:G3:20856380:20859649:1 gene:PRUPE_3G199200 transcript:ONI18144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLVQNLTAIKQLHLNWVEMYSTVPDILVNASSLTSLQLRGCGLNGEFPIGIFHLPNLEVLHLLYNSNLTGYFPDFNRSNALKKLNVANTNFSGQLPNSLGNLHSLIVFVISSCNFHPNVPSSLGNLTQLNYLDMFSFNDVSKGKMGEFPSFVSNLTQLEFLDLSDTEISGQIPSWLMKLTQLTSLSLDENNLQGPIPRSLFQLKNLEYLSLSGNNLSGLVEFDQFSKLKKLKDLDLSNNMLSVEIRNDLSATLPKLQTLALGACNLTEFPKFLKNQSELTKLDLSDNYIHGPIPKWLWNATIETLWGLRLYDNFLTGFDQDPGILRWTNLNYLALGSNMLQGPLPIPPQSIRLYDVENNEYTGEISPLFCNFNNLQVLRLSNNSLSGMLPQCLGNSSVLEILALHNNFFNGYIPPICPSKTSLRIVDFSYNQLQGKLPRGVMNCTQLKVLNFANNQMSDIFPSWLGALPELRILILRSNGFHGVIGKPATKHEFPNLRIIDLSNNGFSGMLPSNYLEIWNSMKHVDENRQTYFSVYTDDVDGRYFGSDYAMTISGKGVQLKYERTPYLLTLVDLSSNRFEGEIPEGPVGNLRGLVLLNLSNNSLTGHIPSSLGDLAALESLDLSQNQLSGRIPSNLEQLTFLAYFNVSHNHLSGPIPLGKQFDTFQEDWYEGNSGLCGKSLPKKCEDSEISTRPPSSIVGEDEDSVFQIALDWYVVVPGVVSGLIVGVVVGNIWTTKKHEWFVETFSRRRKPRGTQGLGGDAELSSRPCICVLCMRVWCVRKGSI >ONI15628 pep chromosome:Prunus_persica_NCBIv2:G3:3703247:3708474:-1 gene:PRUPE_3G052400 transcript:ONI15628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVSVKWQKEMFKAVEIDTTQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDAEWSTLGVKEGQKLMMMGTADEIVKAPEKGPVFVEDLPEEEQAINLGHSAGLFNLGNTCYMNSTVQCLHSVPELKSALIKYSHSGRSNDVDQTSHMLTIATRDLFGELDKSVKAVAPMQFWMVLRKKYPQFGQLHNNTFMQQDAEECWTQLLYTLSQSLRSSGSSESPDTVKALFGVELVSRVHCVESGEESSETESVYSLKCHISHEVNHLHEGLKHALKSELEKNSPSLGRSAIYLKESRINGLPRYLTIQFVRFFWKRESNQKAKILRKVDYPLQLDIFDLCSDDLRKRLEAPRRTLRDEEGKKLGLKSNEKSSGSKDNDIKMSDVEGSSNGSGEASNPTPDEAAGAMTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIEYDDDNPIPQREEDITKLSGGGDWHMAYICMYKARTVPM >ONI15627 pep chromosome:Prunus_persica_NCBIv2:G3:3702648:3708648:-1 gene:PRUPE_3G052400 transcript:ONI15627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVSVKWQKEMFKAVEIDTTQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDAEWSTLGVKEGQKLMMMGTADEIVKAPEKGPVFVEDLPEEEQAINLGHSAGLFNLGNTCYMNSTVQCLHSVPELKSALIKYSHSGRSNDVDQTSHMLTIATRDLFGELDKSVKAVAPMQFWMVLRKKYPQFGQLHNNTFMQQDAEECWTQLLYTLSQSLRSSGSSESPDTVKALFGVELVSRVHCVESGEESSETESVYSLKCHISHEVNHLHEGLKHALKSELEKNSPSLGRSAIYLKESRINGLPRYLTIQFVRFFWKRESNQKAKILRKVDYPLQLDIFDLCSDDLRKRLEAPRRTLRDEEGKKLGLKSNEKSSGSKDNDIKMSDVEGSSNGSGEASNPTPDEGAMTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIEYDDDNPIPQREEDITKLSGGGDWHMAYICMYKARTVPM >ONI17550 pep chromosome:Prunus_persica_NCBIv2:G3:18530099:18533812:-1 gene:PRUPE_3G166500 transcript:ONI17550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDLINLDLSDSTEKVIAEYIWIGGSGMDLRSKARTLPGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVICDAYTPAGEPIPTNKRAAAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVHWPLGWPVGGYPGPQGPYYCAAGADKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDELWAARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYRYNANSLANGGYEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGKHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSLIAETTILRKP >ONI17549 pep chromosome:Prunus_persica_NCBIv2:G3:18530033:18533814:-1 gene:PRUPE_3G166500 transcript:ONI17549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDLINLDLSDSTEKVIAEYIWIGGSGMDLRSKARTLPGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVICDAYTPAGEPIPTNKRAAAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVHWPLGWPVGGYPGPQGPYYCAAGADKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDELWAARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRANGGYEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGKHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSLIAETTILRKP >ONI17551 pep chromosome:Prunus_persica_NCBIv2:G3:18530099:18533812:-1 gene:PRUPE_3G166500 transcript:ONI17551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDLINLDLSDSTEKVIAEYIWIGGSGMDLRSKARTLPGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVICDAYTPAGEPIPTNKRAAAAKIFSHPDVVAEVPWYPFSHEYTLLQKDVHWPLGWPVGGYPGPQGPYYCAAGADKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDELWAARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRANGGYEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGKHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSLIAETTILRKP >ONI20023 pep chromosome:Prunus_persica_NCBIv2:G3:26977887:26979629:1 gene:PRUPE_3G310600 transcript:ONI20023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPNFVINGGVKMPVGYRFHPTDEELVIHYLKRKVHAAPLPASIIPDFDVFHTHPWCLPGCGGDVREKRYFFYNQITANEIDDTKRAAGCGYWKPMGKEKQIVDPESSEAVGIRKTLVFCRRKRRYHETQTKTRWLMHEYQLLSSQVNPTHTQASKRELENWVVYRVFQRKRKPQRSSDIISQPSNSKRTRTQRLVEAITPSSSSSCSSDITHLSSNANRLDHDQEENSSAAADN >ONI19313 pep chromosome:Prunus_persica_NCBIv2:G3:25210208:25212809:1 gene:PRUPE_3G271300 transcript:ONI19313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVITTAFFLLLILTTTIITTSSAPILGLDTFLTHQSRLDRQATNDSFLSLSSTLRNSLSHSTPLSHTPHSLIASLFSLSLPLSLHVRLVGSSFPSSSAFLLSSFLSASQSSNHFHVIAPYETHSHRLAVQHSLHLDVSHSPSLASQLSKTLNSELEKTPSSLRSPLLSIPYDPIDQIIKQDFEKEKPVSGVYLYFLNLGPQSKPYAYNYGSGDSSAAFTKCLGSIWTGKDRYIWIDLGAGPVDYGPALSGDGVLPRGEFHPLAALHGRPKAQKALLADLASLVWSAYQVLLVPSLRIPVQFENSLIVQFIHVYGSEGSKDSSGLDWKSIERTFMDEAHDNGLLLGDQSLRFKTYRVSYSECPICSFAISRSINSYTSRFLFDNYTLIASEYLDSKRLHQILSDSAEEFRRVAGFPEEDFGRVLPVYVFDLDYSMLLLLDRYHQSVAFKDMVIAVRTKNTQTVSDYSCNGRHVFTQTRELERPLVGSILQSMWGVSPTHMLWSPRHNTTLVDYTWSVGQTPFGPFSEVSSLSFVQKDAARRNVLLTSLNYSITSAVDVLESIAAHGGERKLLKSSRHVEFVQRWNLFKYKLDKAVSALSHLDFEMALYYLRSSDHDLYAIHSLVYHASQEVEASLICFKDPPVPWTGIWLIALAFLFVFYLSKQQKLFRNKSKQF >ONI17715 pep chromosome:Prunus_persica_NCBIv2:G3:19344699:19347606:-1 gene:PRUPE_3G176100 transcript:ONI17715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVTSTSASTSRNAEKFLPKLLDRLGKAKAKVIANINPGDDQEVVLSRFQKIEDGLQQMEDLKLLPRVTLWEHTLFNQFTVLERRVDKFFLGDDESNAFIGLEAITSFLTSMIENVDQIKASIPVVKLMPSYPKRSLEFTHWSLPELGLTAIKTLSQELSQMGVENGIYESRAMANMRRSYEHLEGTELRLCFLSFSIFPAESVIKKRPLIYWWIGEGFITATQDKTAEEVGDEIFGKLMKQGLIQPHGNAVNASSISTSCTLHPWIRYMLIGLARDALLFHFDSSWPWMPSCQASVCRRQCLVFDQNPTSPGEEDPLTVFNVNRNYLSFKREWLSKLKNVVVLQLGRWQSSPTHHIEVDDEGLFLKGIGAQHYLSFKYLSLRGMSGITRIPSCIFNIISLEILDLRACHNLETLPSDISSLRKLTHLDISECYFLEGMPKGIGKLSSLEVLKGFLIANLKNTPSRLGDLVKLKKLRRLSIYIGIEAVMQDKEFENLKEISSLRRLKISWAVVSPELRGKIARQSLDFSFPPDLEKLDLQGIPLKEVPPWLNPRQLTNMKKLYIRGGELCSLDHAGEETVTECKWRVEILRLKYLSNMKIELTEVEDQFPHLLYLEKVKCHEIEKDKYEKNIFWSKSQAKKATSHKGPIQTSHKRAITITERSNIKIKKIRYVSTNDQARSKKLWHRHPN >ONI16555 pep chromosome:Prunus_persica_NCBIv2:G3:8445272:8446159:1 gene:PRUPE_3G106200 transcript:ONI16555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNKDSKEMESGYGQGSGSGFGSLITAAVSTVESAAGLVVSTVTGSESGSTTQSVSDSGYGIGSGYGFSQASEVSGTGSGTVSNSTGTKSST >ONI18578 pep chromosome:Prunus_persica_NCBIv2:G3:22394146:22396418:1 gene:PRUPE_3G224500 transcript:ONI18578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRAAKKRRLIEEENKRNGRRRDRFSDLPDEISHHILSFLPMKSIAQVSATSKRWRSLWASLPILDFSEVCPLTFPFFQNKSVGQIYDQQLKVVRFISSVLSRRHENSNIRFFALAGYVIYAWLHDWMPWLVKYRVEKLVLKVWSRRMCDFTIPQCLFEFDSLKSLTLESSNFPCHPPPKPQTTSEEQSRFSSSYYVRDTGGLRSLRTLSLTKVNLSNVDGDFFSDSSFPLLEELTINFCRRTNPLKICCANLKDLQVCKILVDLDISGVKLESLIVKICFSLSENSENSENLVNIFAPNLLTFHWEHNDIAEKSLIQNFPNLRKGIIHQRLLVQFHKVKTHAAVNFLSALSQVQNLSVSFHILEILSKVHFEGGLPHSFMNLKTLEVVTSLNKSDVPGIACLFKSSPVVQTLNMAISSIHTLANDRWNNILLDRAGCSEEQFWESQAQTLSPFLCHLKLVNLRVADPMHVVDVARFLLQHGKELQEMVIRVNKAYTIPAWEYKISIIEGLPRASGVKLSCLIN >ONI18657 pep chromosome:Prunus_persica_NCBIv2:G3:22825795:22826779:1 gene:PRUPE_3G230700 transcript:ONI18657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQAPYHKLGFFLWAFLYKYIEKSTTQRNTEFLLHYIYSEKQVQMAKNSSRVAIMNLILLLSLLLMVSMAESRQLGIGFGKEETSNPSNINCDSVYGAEEGDTCGSVIEKFNLSSDFFLSINPNINCDSFFVGQWLCTQGTVN >ONI19328 pep chromosome:Prunus_persica_NCBIv2:G3:25260377:25261367:1 gene:PRUPE_3G272400 transcript:ONI19328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLSSLLGGSPAYDSAASSEDSRVTSFHSSARWQLHFNSHKDSSQLLVIDFSASWCGPCRLIEPAVHYMSTQFTDVDFAKIDVDELSVSGTGIWSAGNADVCAVEEREGSGSSDRCQKG >ONI19327 pep chromosome:Prunus_persica_NCBIv2:G3:25260314:25261373:1 gene:PRUPE_3G272400 transcript:ONI19327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLSSLLGGSPAYDSAASSEDSRVTSFHSSARWQLHFNSHKDSSQLLVIDFSASWCGPCRLIEPAVHYMSTQFTDVDFAKIDVDELSEVAQEFGVQAMPTFVLLKKGKEVDRVIGARKDELEKKIQKYQSL >ONI15630 pep chromosome:Prunus_persica_NCBIv2:G3:3712265:3722006:1 gene:PRUPE_3G052500 transcript:ONI15630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGSSKPGRVGGGGSGRGAGAAKLGRASFPPPHRSSTQTSRLSLGGGGSNPRSRNSGPATSAAAAAPAVEEQFSLVAGHNPLAFSMIIRMAPDLAEEIKRVEAQGGAPRIKFGPLPNNPIGNVIDLDGKEFTFTWSREFGDLCDIYEERESGESGNGLLVESGCAWRKVNVQRILDESTKNHVKMRSEEAERKHKSRKAIVLEQNTAMKNQLKQYAAGTGFSAPPKSAYKTGISATTTVKGRYSSSPLPSPPEQSRAPSSPLRTGNISKSHASAEDIVPFQVTSKDKPAPSSDKEIPIRGTTTARKTVGKGNNGANPTDLQNMLITLLMENPKGMNIKDLEKAIGDSYTNSVKRIDPILKKIANYQAPGRYLLKPGVELESSKKPLSESGSSPEDKLRQTPARNENRDQTPVRAPHFEEKVTPNELEERVQGQLNATIGEEPNALIKIHNQKHSPDLFGDRRGSDNTEAQVGSSSDTGSDSDSDSDSSDTGSDSGSPSSSRSRSKSKSPGGSGSVSSSDSESDASSNSKEGSDEDVDIMTSEDDKEPKHKLQGSEPGFSTLPVPWKTPDGRPVQSGYDEKQDDLEFDTVETERHLPDVEKKTEFAVVSNSNRNKGEQPLEETKPCSPEHGELQDLQSLVDPLFGGDTTVKDDFRYEQSDSSERISKVKSKRGSEVKHSDEKSERKKRSKTEISQQPPVSVGKGVHFPEVSHNLSPDRLIEGSHKDPVSQVMNRADRGGNTESGSIKACNQSSSGRHSSEFKQSSRKSFDHNPQAKVPDPAERHDRYAESLGHGRMYSERSSHMHEGLPLQKDKFHRENQNEDDYANEKKIPRNSKEGGIRAKQSVPLDSHYQKHGDMAGKVKGSGQVSSLFLGSSPKDNSRNGADGPPVVNGRGSKLEREFSDLELGELREPLPEETTVKKQFERKSSFKQSDNKTSTSENWVSDFSKVKPAGKANFDSGRPFSPDLNSKFPSNQEGSNKKRNYEDRIEDLTRSQPRAVQSLSQQPSRVDQPDVGRPFSKSLDLSSKSRQNEAGGRQVIGVDGHGESNKKATPNAAQQHDSKRGLVSHAIKESKRQASNMMVDSADVRKDSMLAEGNDSDRRKRDSSSDENSCSYSKYEKTEPELKGPIKDFSQYKEYVKEYRDKYDSYCSLNKILESYRNEFQKLGKDLDYATGTDRHYNILGQLKESYRQCGTRHKRLKKIFVVLHEELKHLKQRIKDFAVSYMKD >ONI15629 pep chromosome:Prunus_persica_NCBIv2:G3:3712265:3722006:1 gene:PRUPE_3G052500 transcript:ONI15629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGSSKPGRVGGGGSGRGAGAAKLGRASFPPPHRSSTQTSRLSLGGGGSNPRSRNSGPATSAAAAAPAVEEQFSLVAGHNPLAFSMIIRMAPDLAEEIKRVEAQGGAPRIKFGPLPNNPIGNVIDLDGKEFTFTWSREFGDLCDIYEERESGESGNGLLVESGCAWRKVNVQRILDESTKNHVKMRSEEAERKHKSRKAIVLEQNTAMKNQLKQYAAGTANHQWRNFKQKKEPPFKKQKVELPQGFSAPPKSAYKTGISATTTVKGRYSSSPLPSPPEQSRAPSSPLRTGNISKSHASAEDIVPFQVTSKDKPAPSSDKEIPIRGTTTARKTVGKGNNGANPTDLQNMLITLLMENPKGMNIKDLEKAIGDSYTNSVKRIDPILKKIANYQAPGRYLLKPGVELESSKKPLSESGSSPEDKLRQTPARNENRDQTPVRAPHFEEKVTPNELEERVQGQLNATIGEEPNALIKIHNQKHSPDLFGDRRGSDNTEAQVGSSSDTGSDSDSDSDSSDTGSDSGSPSSSRSRSKSKSPGGSGSVSSSDSESDASSNSKEGSDEDVDIMTSEDDKEPKHKLQGSEPGFSTLPVPWKTPDGRPVQSGYDEKQDDLEFDTVETERHLPDVEKKTEFAVVSNSNRNKGEQPLEETKPCSPEHGELQDLQSLVDPLFGGDTTVKDDFRYEQSDSSERISKVKSKRGSEVKHSDEKSERKKRSKTEISQQPPVSVGKGVHFPEVSHNLSPDRLIEGSHKDPVSQVMNRADRGGNTESGSIKACNQSSSGRHSSEFKQSSRKSFDHNPQAKVPDPAERHDRYAESLGHGRMYSERSSHMHEGLPLQKDKFHRENQNEDDYANEKKIPRNSKEGGIRAKQSVPLDSHYQKHGDMAGKVKGSGQVSSLFLGSSPKDNSRNGADGPPVVNGRGSKLEREFSDLELGELREPLPEETTVKKQFERKSSFKQSDNKTSTSENWVSDFSKVKPAGKANFDSGRPFSPDLNSKFPSNQEGSNKKRNYEDRIEDLTRSQPRAVQSLSQQPSRVDQPDVGRPFSKSLDLSSKSRQNEAGGRQVIGVDGHGESNKKATPNAAQQHDSKRGLVSHAIKESKRQASNMMVDSADVRKDSMLAEGNDSDRRKRDSSSDENSCSYSKYEKTEPELKGPIKDFSQYKEYVKEYRDKYDSYCSLNKILESYRNEFQKLGKDLDYATGTDRHYNILGQLKESYRQCGTRHKRLKKIFVVLHEELKHLKQRIKDFAVSYMKD >ONI15631 pep chromosome:Prunus_persica_NCBIv2:G3:3714480:3722006:1 gene:PRUPE_3G052500 transcript:ONI15631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITLLMENPKGMNIKDLEKAIGDSYTNSVKRIDPILKKIANYQAPGRYLLKPGVELESSKKPLSESGSSPEDKLRQTPARNENRDQTPVRAPHFEEKVTPNELEERVQGQLNATIGEEPNALIKIHNQKHSPDLFGDRRGSDNTEAQVGSSSDTGSDSDSDSDSSDTGSDSGSPSSSRSRSKSKSPGGSGSVSSSDSESDASSNSKEGSDEDVDIMTSEDDKEPKHKLQGSEPGFSTLPVPWKTPDGRPVQSGYDEKQDDLEFDTVETERHLPDVEKKTEFAVVSNSNRNKGEQPLEETKPCSPEHGELQDLQSLVDPLFGGDTTVKDDFRYEQSDSSERISKVKSKRGSEVKHSDEKSERKKRSKTEISQQPPVSVGKGVHFPEVSHNLSPDRLIEGSHKDPVSQVMNRADRGGNTESGSIKACNQSSSGRHSSEFKQSSRKSFDHNPQAKVPDPAERHDRYAESLGHGRMYSERSSHMHEGLPLQKDKFHRENQNEDDYANEKKIPRNSKEGGIRAKQSVPLDSHYQKHGDMAGKVKGSGQVSSLFLGSSPKDNSRNGADGPPVVNGRGSKLEREFSDLELGELREPLPEETTVKKQFERKSSFKQSDNKTSTSENWVSDFSKVKPAGKANFDSGRPFSPDLNSKFPSNQEGSNKKRNYEDRIEDLTRSQPRAVQSLSQQPSRVDQPDVGRPFSKSLDLSSKSRQNEAGGRQVIGVDGHGESNKKATPNAAQQHDSKRGLVSHAIKESKRQASNMMVDSADVRKDSMLAEGNDSDRRKRDSSSDENSCSYSKYEKTEPELKGPIKDFSQYKEYVKEYRDKYDSYCSLNKILESYRNEFQKLGKDLDYATGTDRHYNILGQLKESYRQCGTRHKRLKKIFVVLHEELKHLKQRIKDFAVSYMKD >ONI15205 pep chromosome:Prunus_persica_NCBIv2:G3:2267697:2271802:-1 gene:PRUPE_3G030400 transcript:ONI15205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAEIAVAQPAFQNHLGTLRAQTPLHGKVISSPFTFGSDKKLSSAWKSSHIPKKLNFNLGHINIPKGSLLIKAVATLESKSSVQNEDAHLGYTNSQLGMDSSPWTVEPESSSEDSAELDERERLRRLRISKANKGNTPWNKGRKHSPETLQLIRERTRLAMQNPKVKMKLVNLGHAQSNETRVKIGLGVRIGWQRRREKLSLQENCCFEWQNLIAAASRQGYDGEEELQWDSYKIFDEHLKEEYLESVEQRKIMRRPKGSKRAPKSLEQRRKISQAISAKWNDPVSTNMDYRDRVCSALAKYYDSSYGAERKPRKKPSSTTQSTRRSPAKKKVSEEGDIKIQNQRLRLRRSKEPMFKDPLTSSKMEMIKNIRAQRAAAETKKTEAIERARLLIAEAEKAAMALEVAARKSPVAQASLIETRQLIAEAIQFIESIETAQISSHENEDSLVASNQVISQDEKETYTGIGGLTEAENRRVNGTQTFSSQENEDPLFASHEDPLLASNEDLLFGSNEAINGEKEPCTVIAGPAELGDVKVNGTKTLSSSKDEDSGFGKFTLEDMLNGEEDLPPLQDMLNSEEDLPPLSPSGYGLPPFNFSDLIKQSDHDQLAPNGNSENNMELQLNGTKVQSQEGETPSKSATATKKWVRGRLVEVGEEA >ONI15203 pep chromosome:Prunus_persica_NCBIv2:G3:2267263:2272032:-1 gene:PRUPE_3G030400 transcript:ONI15203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLEIAVAQPAFQNHLGTLRAQTPLHGKVISSPFTFGSDKKLSSAWKSSHIPKKLNFNLGHINIPKGSLLIKAVATLESKSSVQNEDAHLGYTNSQLGMDSSPWTVEPESSSEDSAELDERERLRRLRISKANKGNTPWNKGRKHSPETLQLIRERTRLAMQNPKVKMKLVNLGHAQSNETRVKIGLGVRIGWQRRREKLSLQENCCFEWQNLIAAASRQGYDGEEELQWDSYKIFDEHLKEEYLESVEQRKIMRRPKGSKRAPKSLEQRRKISQAISAKWNDPVSTNMDYRDRVCSALAKYYDSSYGAERKPRKKPSSTTQSTRRSPAKKKVSEEGDIKIQNQRLRLRRSKEPMFKDPLTSSKMEMIKNIRAQRAAAETKKTEAIERARLLIAEAEKAAMALEVAARKSPVAQASLIETRQLIAEAIQFIESIETAQISSHENEDSLVASNQVISQDEKETYTGIGGLTEAENRRVNGTQTFSSQENEDPLFASHEDPLLASNEDLLFGSNEAINGEKEPCTVIAGPAELGDVKVNGTKTLSSSKDEDSGFGKFTLEDMLNGEEDLPPLQDMLNSEEDLPPLSPSGYGLPPFNFSDLIKQSDHDQLAPNGNSENNMELQLNGTKVQSQEGETPSKSATATKKWVRGRLVEVGEEA >ONI15204 pep chromosome:Prunus_persica_NCBIv2:G3:2267697:2271802:-1 gene:PRUPE_3G030400 transcript:ONI15204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAEIAVAQPAFQNHLGTLRAQTPLHGKVISSPFTFGSDKKLSSAWKSSHIPKKLNFNLGHINIPKGSLLIKAVATLESKSSVQNEDAHLGYTNSQLGMDSSPWTVEPESSSEDSAELDERERLRRLRISKANKGNTPWNKGRKHSPETLQLIRERTRLAMQNPKVKMKLVNLGHAQSNETRVKIGLGVRIGWQRRREKLSLQENCCFEWQNLIAAASRQGYDGEEELQWDSYKIFDEHLKEEYLESVEQRKIMRRPKGSKRAPKSLEQRRKISQAISAKWNDPDYRDRVCSALAKYYDSSYGAERKPRKKPSSTTQSTRRSPAKKKVSEEGDIKIQNQRLRLRRSKEPMFKDPLTSSKMEMIKNIRAQRAAAETKKTEAIERARLLIAEAEKAAMALEVAARKSPVAQASLIETRQLIAEAIQFIESIETAQISSHENEDSLVASNQVISQDEKETYTGIGGLTEAENRRVNGTQTFSSQENEDPLFASHEDPLLASNEDLLFGSNEAINGEKEPCTVIAGPAELGDVKVNGTKTLSSSKDEDSGFGKFTLEDMLNGEEDLPPLQDMLNSEEDLPPLSPSGYGLPPFNFSDLIKQSDHDQLAPNGNSENNMELQLNGTKVQSQEGETPSKSATATKKWVRGRLVEVGEEA >ONI15202 pep chromosome:Prunus_persica_NCBIv2:G3:2267263:2272230:-1 gene:PRUPE_3G030400 transcript:ONI15202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLEIAVAQPAFQNHLGTLRAQTPLHGKVISSPFTFGSDKKLSSAWKSSHIPKKLNFNLGHINIPKGSLLIKAVATLESKSSVQNEDAHLGYTNSQLGMDSSPWTVEPESSSEDSAELDERERLRRLRISKANKGNTPWNKGRKHSPETLQLIRERTRLAMQNPKVKMKLVNLGHAQSNETRVKIGLGVRIGWQRRREKLSLQENCCFEWQNLIAAASRQGYDGEEELQWDSYKIFDEHLKEEYLESVEQRKIMRRPKGSKRAPKSLEQRRKISQAISAKWNDPDYRDRVCSALAKYYDSSYGAERKPRKKPSSTTQSTRRSPAKKKVSEEGDIKIQNQRLRLRRSKEPMFKDPLTSSKMEMIKNIRAQRAAAETKKTEAIERARLLIAEAEKAAMALEVAARKSPVAQASLIETRQLIAEAIQFIESIETAQISSHENEDSLVASNQVISQDEKETYTGIGGLTEAENRRVNGTQTFSSQENEDPLFASHEDPLLASNEDLLFGSNEAINGEKEPCTVIAGPAELGDVKVNGTKTLSSSKDEDSGFGKFTLEDMLNGEEDLPPLQDMLNSEEDLPPLSPSGYGLPPFNFSDLIKQSDHDQLAPNGNSENNMELQLNGTKVQSQEGETPSKSATATKKWVRGRLVEVGEEA >ONI18901 pep chromosome:Prunus_persica_NCBIv2:G3:23801204:23804039:-1 gene:PRUPE_3G247100 transcript:ONI18901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVMRVSVSIVIMSNGFHFQHKPFNPNSSVHKIGYRHSRQCLVSMWLPNMLPINLTSACTRKAKSLTLPNMVAGVQSGLVCEEEEEDKVVQREGGYEASFVKQTLPPWGELAIDEDLDFEPEVPIQPESCLKRKASLNVNRVSFLEEMDEGTLSKRILVLSRTNKTRSALELFTSMELSVSVAEGCSSAIEMFVAMEEESEVRDSFDTIVYNTMISICGKVNNWRETERLWRHIKENGLTGTRVTYCLLVSIFVRCSQHELALDAYNEMIQNKFEPGNDTMHAIIGACSKDGKWDLALNIFQSMLDSGLKPNAVALNALINSLGKAGEVELAFRVYNIMKSLGHSPDAYTWNALLGALYRANRHDDALRLYESIKTSQGSQLNSHLYNMALMSCSKLGLWDKALKLLWQLEASGQSVSTASYNLVVSACEKARKPKVALQVYEHMVHQKCTPDIFTYLSLIRGCIWGSLWDEVEEILNWAAPDMSLYNAAIQGMCLRGKIELAKKIYTKMRENGLQPDGKTRAMMLQNLQRRKKKQPPRYKTSSKSHRKIN >ONI18903 pep chromosome:Prunus_persica_NCBIv2:G3:23801204:23804039:-1 gene:PRUPE_3G247100 transcript:ONI18903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGTLSKRILVLSRTNKTRSALELFTSMELSGLLPNLHACNSLLSCLLRNELLDDGLRVFEFMKRKKLATGHTYSLILKAVSVAEGCSSAIEMFVAMEEESEVRDSFDTIVYNTMISICGKVNNWRETERLWRHIKENGLTGTRVTYCLLVSIFVRCSQHELALDAYNEMIQNKFEPGNDTMHAIIGACSKDGKWDLALNIFQSMLDSGLKPNAVALNALINSLGKAGEVELAFRVYNIMKSLGHSPDAYTWNALLGALYRANRHDDALRLYESIKTSQGSQLNSHLYNMALMSCSKLGLWDKALKLLWQLEASGQSVSTASYNLVVSACEKARKPKVALQVYEHMVHQKCTPDIFTYLSLIRGCIWGSLWDEVEEILNWAAPDMSLYNAAIQGMCLRGKIELAKKIYTKMRENGLQPDGKTRAMMLQNLQRRKKKQPPRYKTSSKSHRKIN >ONI18902 pep chromosome:Prunus_persica_NCBIv2:G3:23801492:23803543:-1 gene:PRUPE_3G247100 transcript:ONI18902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVMRVSVSIVIMSNGFHFQHKPFNPNSSVHKIGYRHSRQCLVSMWLPNMLPINLTSACTRKAKSLTLPNMVAGVQSGLVCEEEEEDKVVQREGGYEASFVKQTLPPWGELAIDEDLDFEPEVPIQPESCLKRKASLNVNRVSFLEEMDEGTLSKRILVLSRTNKTRSALELFTSMELSGLLPNLHACNSLLSCLLRNELLDDGLRVFEFMKRKKLATGHTYSLILKAVSVAEGCSSAIEMFVAMEEESEVRDSFDTIVYNTMISICGKVNNWRETERLWRHIKENGLTGTRVTYCLLVSIFVRCSQHELALDAYNEMIQNKFEPGNDTMHAIIGACSKDGKWDLALNIFQSMLDSGLKPNAVALNALINSLGKAGEVELAFRVYNIMKSLGHSPDAYTWNALLGALYRANRHDDALRLYESIKTSQGSQLNSHLYNMALMSCSKLGLWDKALKLLWQLEASGQSVSTASYNLVVSACEKARKPKVALQVYEHMVHQKCTPDIFTYLSLIRGCIWGSLWDEVEEILNWAAPDMSLYNAAIQGMCLRGKIELAKKIYTKMRENGLQPDGKTRAMMLQNLQRRKKKQPPRYKTSSKSHRKIN >ONI17514 pep chromosome:Prunus_persica_NCBIv2:G3:18330114:18333335:-1 gene:PRUPE_3G164100 transcript:ONI17514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTYFDLEVEASSANDSDVSSQVASNISIQETSANTSNDSAANSTNPIAAQQALEPVSLDLTLSFSNEDLVGRDSVGFSLSSTSESSNEPASQNTTAATPRLFSCNYCQCKFLSSQALGGHQNAHKKERTLAKRALRMSIFSERYTSIASLPLHGSSFRSLGIEAHSSVHQGFAPPVRPAEIGSSARFEQGYLGVPIFLVDDGAELLWPGSFRRAAETSNTHPSFVLTHESSNSNFVEVTPPVDVETKSTPDLTLKL >ONI17515 pep chromosome:Prunus_persica_NCBIv2:G3:18330507:18331280:-1 gene:PRUPE_3G164100 transcript:ONI17515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTYFDLEVEASSANDSDVSSQVASNISIQETSANTSNDSAANSTNPIAAQQALEPVSLDLTLSFSNEDLVGRDSVGFSLSSTSESSNEPASQNTTAATPRLFSCNYCQCKFLSSQALGGHQNAHKKERTLAKRALRMSIFSERYTSIASLPLHGSSFRSLGIEAHSSVHQGFAPPVRPAEIGSSARFEQGYLGVPIFLVDDGAELLWPGSFRRAAETSNTHPSFVLTHESSNSNFVEVTPPVDVETKSTPDLTLKL >ONI17516 pep chromosome:Prunus_persica_NCBIv2:G3:18330507:18331280:-1 gene:PRUPE_3G164100 transcript:ONI17516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTYFDLEVEASSANDSDVSSQVASNISIQETSANTSNDSAANSTNPIAAQQALEPVSLDLTLSFSNEDLVGRDSVGFSLSSTSESSNEPASQNTTAATPRLFSCNYCQCKFLSSQALGGHQNAHKKERTLAKRALRMSIFSERYTSIASLPLHGSSFRSLGIEAHSSVHQGFAPPVRPAEIGSSARFEQGYLGVPIFLVDDGAELLWPGSFRRAAETSNTHPSFVLTHESSNSNFVEVTPPVDVETKSTPDLTLKL >ONI17513 pep chromosome:Prunus_persica_NCBIv2:G3:18330107:18333335:-1 gene:PRUPE_3G164100 transcript:ONI17513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTYFDLEVEASSANDSDVSSQVASNISIQETSANTSNDSAANSTNPIAAQQALEPVSLDLTLSFSNEDLVGRDSVGFSLSSTSESSNEPASQNTTAATPRLFSCNYCQCKFLSSQALGGHQNAHKKERTLAKRALRMSIFSERYTSIASLPLHGSSFRSLGIEAHSSVHQGFAPPVRPAEIGSSARFEQGYLGVPIFLVDDGAELLWPGSFRRAAETSNTHPSFVLTHESSNSNFVEVTPPVDVETKSTPDLTLKL >ONI15282 pep chromosome:Prunus_persica_NCBIv2:G3:2580218:2583710:1 gene:PRUPE_3G035500 transcript:ONI15282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGEEKGGDDFYAVLGLKKECTGSELRKAYKKLALRWHPDRCSAPGNSKFVEEAKKKFQDIQQAYSVLSDANKRFLYDVGAYDSEDDDENNGMGEFLDEMAMMMSQTKPNENGKESLEELQNLFDEMFQGDIGSFGSGPQPATSCSTSSYVSYCESSGSNNKRNSSEMNYEKATLEDSSGFNTHFQSFCFGTGGKPARHREGEGSKRRDSRRSHR >ONI15962 pep chromosome:Prunus_persica_NCBIv2:G3:5129045:5132376:1 gene:PRUPE_3G071400 transcript:ONI15962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKFPLLMAKMVAKSFALKRSISTYPHLSERLNQTENEIVKMFRFPRASGEVQNFPMSRNMSRKDPSVRMLDERFTKILKIFKWGPDAEKALEVLKLRVDHRLVLAVLKIDVDVNVKIQFFKWAGKRRNFEHDSTTYMVLIRCLDEAGVVGEMWKTIQEMIRSTCVIEPAELSEIIRILGRAKMVNKALSVFYQIKGHKCKPTANTYNSMILMLMQEGHHDKVHELYNEMCNEVNCLPDTVTYSALISAFGKLGRDDSAIRLFDEMKDNGLHPTAKIYTTLLAIFFKLGKVEKALSLAQEMREKGCPPTVFTYTELIKGLGKAGRVEDACVIYKNLLREGCNPDVVLINNLINILGKEGRIEDAIKLFNEMVSLRCTPNVVTYNTIIKALFESKAPASEAASWFEKMKVNGIVPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINSLGKAKRYEAANELFQELKENCGRSSARVYAVMIKHFGKCGRLDEALDLFNEMKKLGCTPDVYAYNALMSGMVRAGMIDEAHSLLKVMEENGCIPDLNSQNIILNGLARTGGPNRALEMFSKMKHSKIQPDAVSYNTVLGCLSRAGMLEEAAKLMKEMDSKGFHYDLITYSSILEAVGKVDDVRKTSSEGYGYTPY >ONI16437 pep chromosome:Prunus_persica_NCBIv2:G3:7505531:7506940:-1 gene:PRUPE_3G097900 transcript:ONI16437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQELGQQLCPKSPRNILFDKYEMGRLLGLGTFAKVYHGRNLITNESVAIKVINKDHIKNEGLMEQIQREICIMHLVRHPHIVELKEVMATKTKIFFVMEHVTGGELFNKVFEGKLKEDVARKYFQQLVSAIEFCHSRGVSHRDLKPENLLLDKNGDLKVSDFRLSALPEHHWSDGMLHTQCGTPAYVAPEILRKKGYDGAKADIWSCGVVLFVFLAGYLPFLDENVMRTYTKVFKAEYEFPPWISGEARLLIKKVLVVDPEKRISFQEIMRDPWFQKGSNFPRPIGVPNSIENDQNKKDDRIGNLGRSRSGSITTSTTKYSSSSPPFFNAFELISSMSSGFDLSNMFEDKRKSGSMFTSKCSASAIMAKLRVVAERLNFRVVSEKEFKVKLQGKKEGRKGKLAVSAELFQVATEVEVVKFSKLAGDTLEYTKFCEEDVRPALKDIVWTWQGEENECELNKVENLNCY >ONI14688 pep chromosome:Prunus_persica_NCBIv2:G3:166542:169686:1 gene:PRUPE_3G002400 transcript:ONI14688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRRHGWQRPFHPLQIVGIAVYSFLVVSFYTFFGLFLGNRIAEITVTTIFSFVAVSVMFLFIRCTATDPTDKTSLRKKKPKARKGYPKLNYGFILGQIIVRFFRRMERKILRTFIRRRYLDPWKTGAQLDPLLPFPFVLMKEDAVSPDLREDDISFCALCDFEVKKHSKHCRTCNRCVDGFDHHCRWLNNCVGKKNYTTFILLMIFVLLLLIIEGATAIAIFIRCFVDKNGIEQELKRKLYVDFPRGVLATISVLLTLMIAYGSAAMGQLFFFHVVLIRKGMRTYDYILAMKEESQSIEMDPFDDSDFSSDLSSDFDSPEKPSFISRFICRGRGVTQNTRRLSIRIDGDPQPSTSTVKQGFRVSIDPWRLIKLSKEKALIAAEKARERIVKQKPPTEEDPLKPLPLETKCGPLTDKNTATAGSGLTPLISKGWMPGSPGRFPSPRRRFSGSSTMFSGIVPSPQQKYRNNFDLKLTEVSRELETYISRQVLCSVIKKDGTEASPR >ONI14687 pep chromosome:Prunus_persica_NCBIv2:G3:166792:169613:1 gene:PRUPE_3G002400 transcript:ONI14687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRRHGWQRPFHPLQIVGIAVYSFLVVSFYTFFGLFLGNRIAEITVTTIFSFVAVSVMFLFIRCTATDPTDKTSLRKKKPKARKGYPKLNYGFILGQIIVRFFRRMERKILRTFIRRRYLDPWKTGAQLDPLLPFPFVLMKEDAVSPDLREDDISFCALCDFEVKKHSKHCRTCNRCVDGFDHHCRWLNNCVGKKNYTTFILLMIFVLLLLIIEGATAIAIFIRCFVDKNGIEQELKRKLYVDFPRGVLATISVLLTLMIAYGSAAMGQLFFFHVVLIRKGMRTYDYILAMKEESQSIEMDPFDDSDFSSDLSSDFDSPEKPSFISRFICRGRGVTQFQNTRRLSIRIDGDPQPSTSTVKQGFRVSIDPWRLIKLSKEKALIAAEKARERIVKQKPPTEEDPLKPLPLETKCGPLTDKNTATAGSGLTPLISKGWMPGSPGRFPSPRRRFSGSSTMFSGIVPSPQQKYRNNFDLKLTEVSRELETYISRQVLCSVIKKDGTEASPR >ONI19713 pep chromosome:Prunus_persica_NCBIv2:G3:26214669:26218779:1 gene:PRUPE_3G293300 transcript:ONI19713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATRNLNRHCLKSVSSLLQFNPSKNVFLLRDVSAKLLSSNVVQPSKPSEDCFFSSSTPSNPFDGWCRSMSTSRGSSSRGSSMRSKVAKRMQKESGKTLREVRRAKKLQKKLMTENERLIYNLKRAKRKVALLLQKLKKYELPDLPAPRHDPELLTLEQLQAFKKIGFRNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMATMLARLSGGVVINIHNVKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARFEQALESQKLNIKKIEQELRRSGVNPEDPVAIASIQRVASSFFNAIDQKDGSPYVFRGDKLSAAEPDNKLKHSDPPDEEEEEELDKFIAEIEDAAEREWAAEEAAEKEEQTRLRYWNKEQFGGRYRRSEDVENDDSDDETTRARGWRDTHGKRRPNDSDYEDDEEESDSSNVVDASVLDSDADDSDGKPEKVKVSTRDRGKQDKFGRAKNDERFKKNREANNAKASSRKIIVDEGTESENMLSDLDTVMWKSDSEEEHDSTASRAGNYDFRSSSDEEEDLSYRRGERKKLANDLDKAHDKFEVSRNAQEKHHSIGRADNNDYLKRNGDVNVRRKLVEEDVVSENTFGASESAIWELEAVEDVGAPTAGRYNYKSSDEEDYQVRRVEKKVKDTNKSTRTTKELDEDWDSD >ONI14941 pep chromosome:Prunus_persica_NCBIv2:G3:1224856:1227278:-1 gene:PRUPE_3G017100 transcript:ONI14941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEIEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPIANDVNDQSQQEQSQNQQEEAQKSCPANDTFEVEQNTPAAQAIEEEGGGDELDKMELLIDGFCTDEVPLIEPHEILVPCAPSSSSSTSSSSSSSNSASNFLEDLHLPDFEWLCDYSNNNDSIGLWDDDFSSWGQESWAYGIL >ONI18661 pep chromosome:Prunus_persica_NCBIv2:G3:22844123:22846061:1 gene:PRUPE_3G231100 transcript:ONI18661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFQYPDAINAQDLQVWNNAAFDNEDSEGSSAIKASWSDLLQPLLLNRSSESFESDCSKENLSPAILKTPACVKSSVPFKPLNTNTNIEPFSVVAKKKGLEEVEEREEKVRDEGKIDMEIEAIEKEISRLSSRLEALKLEKAERNEKTVEKRGRVVAAKFMEPKQSVKNLEGLKKIESLMLRATPKSNRRGMSLGPSEIIAGAGFRRPSKLEITPVQATQSRRKSCFWKLQDIDELRVTKERGKSLSLSPKSRKTVSKVQVPKQAATTVGGSKRPVKKEDKVLASIEPKKLFKDGGEKSMAAKKTPFKAGRVVASRYNQIGNSAVSDGRKRSWPEDDKDDGKRCDKRRVSLVGKPRGIGRETSRSQGPESRVKKRWDIPSEIVVYQGVQQEDKSPCNVAEMGDVLPKIRTVRCGNDTPRGSGPAKRVAELAGMKPYFSTKEEFCQELSFAEEAAEEE >ONI15853 pep chromosome:Prunus_persica_NCBIv2:G3:4729729:4730236:-1 gene:PRUPE_3G065600 transcript:ONI15853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAPKSGIFVALNKGHIVTKRELAPRPSDRKGSITELLKVGKNKRALKVAKRKLGTHKRAKKKRCPTSSARCDEIFLFALAVCLICFHMGFCIFVL >ONI18551 pep chromosome:Prunus_persica_NCBIv2:G3:22302807:22303684:-1 gene:PRUPE_3G222700 transcript:ONI18551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLLELGSCYRSSSSSKQTQDHEMIRSRVRRSKSAESAQQWRPALVAISEDGVASGHEGKAQSEKISSKKGRKAKARSLSCTNHDEFSGGNNVPVFVPAAFAATSFMF >ONI15342 pep chromosome:Prunus_persica_NCBIv2:G3:2766896:2769594:-1 gene:PRUPE_3G038400 transcript:ONI15342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRVPFLSNFLFVVVLVVSLSFPCRIVKEMLIQLDEEAAMSFYAEHSSRSFFSSLVKYMTSGPVLIMVLEKENAVADWRALIGPTDASKAKITHPNSIRAMCGLDIQKNCVHGSDSPQSAQREISFFFKEKSSGSAVTEHDEL >ONI15343 pep chromosome:Prunus_persica_NCBIv2:G3:2766896:2769580:-1 gene:PRUPE_3G038400 transcript:ONI15343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRVPFLSNFLFVVVLVVSLSFPCRIVKEMLIQLDEEAAMSFYAEHSSRSFFSSLVKYMTSGPVLIMVLEKENAVADWRALIGPTDASKAKITHPNSIRAMCGLDIQKNCVHGSDSPQSAQREISFFFKEKSSGSAVTEHDEL >ONI15345 pep chromosome:Prunus_persica_NCBIv2:G3:2766896:2769640:-1 gene:PRUPE_3G038400 transcript:ONI15345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRVPFLSNFLFVVVLVVSLSFPCRSDGFAEKEKTLAIIKPDGLYDNCTDKIKNAIFDSGFRIVKEMLIQLDEEAAMSFYAEHSSRSFFSSLVKYMTSGPVLIMVLEKENAVADWRALIGPTDASKAKITHPNSIRAMCGLDIQKNCVHGSDSPQSAQREISFFFKEKSSGSAVTEHDEL >ONI15344 pep chromosome:Prunus_persica_NCBIv2:G3:2766896:2769357:-1 gene:PRUPE_3G038400 transcript:ONI15344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRVPFLSNFLFVVVLVVSLSFPCRSDGFAEKEKTLAIIKPDGLYDNCTDKIKNAIFDSGFRIVKEMLIQLDEEAAMSFYAEHSSRSFFSSLVKYMTSGPVLIMVLEKENAVADWRALIGPTDASKAKITHPNSIRAMCGLDIQKNCVHGSDSPQSAQREISFFFKEKSSGSAVTEHDEL >ONI15346 pep chromosome:Prunus_persica_NCBIv2:G3:2767271:2769236:-1 gene:PRUPE_3G038400 transcript:ONI15346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRVPFLSNFLFVVVLVVSLSFPCRSDGFAEKEKTLAIIKPDGLYDNCTDKIKNAIFDSGFRIVKEMLIQLDEEAAMSFYAEHSSRSFFSSLVKYMTSGPVLIMVLEKENAVADWRALIGPTDASKAKITHPNSIRAMCGLDIQKNCVHGSDSPQSAQREISFFFKEKSSGSAVTEHDEL >ONI19957 pep chromosome:Prunus_persica_NCBIv2:G3:26828742:26829700:1 gene:PRUPE_3G307700 transcript:ONI19957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVVIAVVLFVLLTPGLLFQLPGNSRVVEFNNMQTSGVSILVHTIIYFGLVTIFLIAIGVHIYTG >ONI17836 pep chromosome:Prunus_persica_NCBIv2:G3:19788971:19792006:-1 gene:PRUPE_3G182000 transcript:ONI17836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QAAASSSDQLAPIAKPNCETHCGDVSIPYPFGIGPSSNCYLYDWFEIECDNSTSPPKPFLRLAKLEVLNISIYGTLRVNNPVTFFYGGRGIRKVLQNLTGSPFVYSWRYNRLTAVSCGIFLAVMLDETVVGGCMSTCDTGHFRRKNYGCIGINCCQTTLPSDLSVITIELQPESEMGTRMQMRTGTRMDDYNYAFIVDQEWFENNLDVKDKGSVPVVLEWSLSLDNMNSSSAKLYEGLILNTPKKKLRNRLMSFGGWDPIPYCTTYDPKNFMEFFDNQSRLHCFCPPGFEGNPYLLQPCQGMHKRKKIKRKKMFFKRNGGLLLEQQLSSNKGNVEKIKLFKSKELERSTHNFKINRILGHGGQGTVYKGMLADGRLVAIKKSKIVDEGKLSEFINEVVILSQINHRNVVKILGCCLETEVPLLVYEFIPNGTLAEYIQGQVEEVPLTWEMRLRIATEIAGALSYLHGAASFPIFHRDIKSTNILLDEKYRAKVADFGTSRSVSIDQTHLTTLINGTFGYLDPEYFHSNRFTDKSDVYSFGVVLVELLTGRKPVCAVTSSEEDEYRSLATHFIISMQEGCLFDIVEDRILKEGSKTGINEFANLAGRCLNVNGRNRPTMREVTTELEAIQKSETAYNGAQPNYEGV >ONI15330 pep chromosome:Prunus_persica_NCBIv2:G3:2722936:2726841:1 gene:PRUPE_3G037600 transcript:ONI15330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARFSCCLFTHPKNSGRSPLSDQISVKSSLPGKLPSLPFQAEKLRSAFGNTLDGLFEIHHVLPNKKSQGDIQLRFPAMELLVGNALILTTPLKALAETCEAENSVFNMNMPVLLFVALVGATVGGLLARQRKGELQRVNEQLRQINQSLRRQAKIESYAPTLSYSPIGAKVLPENEVIVDPRKHELISRLKAGKNFLRNQETEKALGEFKTALELAQSVKDPIEEKKAARGLGSFSLLLFITFLFSHIILLQQFKYE >ONI15326 pep chromosome:Prunus_persica_NCBIv2:G3:2722936:2726841:1 gene:PRUPE_3G037600 transcript:ONI15326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARFSCCLFTHPKNSGRSPLSDQISVKSSLPGKLPSLPFQAEKLRSAFGNTLDGLFEIHHVLPNKKSQGDIQLRFPAMELLVGNALILTTPLKALAETCEAENSVFNMNMPVLLFVALVGATVGGLLARQRKGELQRVNEQLRQINQSLRRQAKIESYAPTLSYSPIGAKVLPENEVIVDPRKHELISRLKAGKNFLRNQETEKALGEFKTALELAQSVKDPIEEKKAARGLGASLQRLGKYRDAIKYHSMVLAISEREGEDSGNTEAYGAIADCYTELGDLERAGKFYDNYIARLESD >ONI15325 pep chromosome:Prunus_persica_NCBIv2:G3:2722936:2726841:1 gene:PRUPE_3G037600 transcript:ONI15325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARFSCCLFTHPKNSGRSPLSDQISVKSSLPGKLPSLPFQAEKLRSAFGNTLDGLFEIHHVLPNKKSQQGDIQLRFPAMELLVGNALILTTPLKALAETCEAENSVFNMNMPVLLFVALVGATVGGLLARQRKGELQRVNEQLRQINQSLRRQAKIESYAPTLSYSPIGAKVLPENEVIVDPRKHELISRLKAGKNFLRNQETEKALGEFKTALELAQSVKDPIEEKKAARGLGASLQRLGKYRDAIKYHSMVLAISEREGEDSGNTEAYGAIADCYTELGDLERAGKFYDNYIARLESD >ONI15321 pep chromosome:Prunus_persica_NCBIv2:G3:2722935:2726841:1 gene:PRUPE_3G037600 transcript:ONI15321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARFSCCLFTHPKNSGRSPLSDQISVKSSLPEPGKLPSLPFQAEKLRSAFGNTLDGLFEIHHVLPNKKSQQGDIQLRFPAMELLVGNALILTTPLKALAETCEAENSVFNMNMPVLLFVALVGATVGGLLARQRKGELQRVNEQLRQINQSLRRQAKIESYAPTLSYSPIGAKVLPENEVIVDPRKHELISRLKAGKNFLRNQETEKALGEFKTALELAQSVKDPIEEKKAARGLASGGSVNDDEQFAIVLVLNYVGLGASLQRLGKYRDAIKYHSMVLAISEREGEDSGNTEAYGAIADCYTELGDLERAGKFYDNYIARLESD >ONI15324 pep chromosome:Prunus_persica_NCBIv2:G3:2722936:2726841:1 gene:PRUPE_3G037600 transcript:ONI15324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARFSCCLFTHPKNSGRSPLSDQISVKSSLPEPGKLPSLPFQAEKLRSAFGNTLDGLFEIHHVLPNKKSQGDIQLRFPAMELLVGNALILTTPLKALAETCEAENSVFNMNMPVLLFVALVGATVGGLLARQRKGELQRVNEQLRQINQSLRRQAKIESYAPTLSYSPIGAKVLPENEVIVDPRKHELISRLKAGKNFLRNQETEKALGEFKTALELAQSVKDPIEEKKAARGLGASLQRLGKYRDAIKYHSMVLAISEREGEDSGNTEAYGAIADCYTELGDLERAGKFYDNYIARLESD >ONI15323 pep chromosome:Prunus_persica_NCBIv2:G3:2722935:2726841:1 gene:PRUPE_3G037600 transcript:ONI15323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARFSCCLFTHPKNSGRSPLSDQISVKSSLPEPGKLPSLPFQAEKLRSAFGNTLDGLFEIHHVLPNKKSQGDIQLRFPAMELLVGNALILTTPLKALAETCEAENSVFNMNMPVLLFVALVGATVGGLLARQRKGELQRVNEQLRQINQSLRRQAKIESYAPTLSYSPIGAKVLPENEVIVDPRKHELISRLKAGKNFLRNQETEKALGEFKTALELAQSVKDPIEEKKAARGLASGGSVNDDEQFAIVLVLNYVGLGASLQRLGKYRDAIKYHSMVLAISEREGEDSGNTEAYGAIADCYTELGDLERAGKFYDNYIARLESD >ONI15327 pep chromosome:Prunus_persica_NCBIv2:G3:2723079:2724924:1 gene:PRUPE_3G037600 transcript:ONI15327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARFSCCLFTHPKNSGRSPLSDQISVKSSLPEPGKLPSLPFQAEKLRSAFGNTLDGLFEIHHVLPNKKSQQGDIQLRFPAMELLVGNALILTTPLKALAETCEAENSVFNMNMPVLLFVALVGATVGGLLARQRKGELQRVNEQLRQINQSLRRQAKIESYAPTLSYSPIGAKVLPENEVIVDPRKHELISRLKAGKNFLRNQETEKALGEFKTALELAQSVKDPIEEKKAARGLGSFSLLLFITFLFSHIILLQQFKYE >ONI15328 pep chromosome:Prunus_persica_NCBIv2:G3:2723079:2724924:1 gene:PRUPE_3G037600 transcript:ONI15328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARFSCCLFTHPKNSGRSPLSDQISVKSSLPEPGKLPSLPFQAEKLRSAFGNTLDGLFEIHHVLPNKKSQGDIQLRFPAMELLVGNALILTTPLKALAETCEAENSVFNMNMPVLLFVALVGATVGGLLARQRKGELQRVNEQLRQINQSLRRQAKIESYAPTLSYSPIGAKVLPENEVIVDPRKHELISRLKAGKNFLRNQETEKALGEFKTALELAQSVKDPIEEKKAARGLGSFSLLLFITFLFSHIILLQQFKYE >ONI15322 pep chromosome:Prunus_persica_NCBIv2:G3:2722936:2726841:1 gene:PRUPE_3G037600 transcript:ONI15322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARFSCCLFTHPKNSGRSPLSDQISVKSSLPEPGKLPSLPFQAEKLRSAFGNTLDGLFEIHHVLPNKKSQQGDIQLRFPAMELLVGNALILTTPLKALAETCEAENSVFNMNMPVLLFVALVGATVGGLLARQRKGELQRVNEQLRQINQSLRRQAKIESYAPTLSYSPIGAKVLPENEVIVDPRKHELISRLKAGKNFLRNQETEKALGEFKTALELAQSVKDPIEEKKAARGLGASLQRLGKYRDAIKYHSMVLAISEREGEDSGNTEAYGAIADCYTELGDLERAGKFYDNYIARLESD >ONI15329 pep chromosome:Prunus_persica_NCBIv2:G3:2723079:2724924:1 gene:PRUPE_3G037600 transcript:ONI15329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARFSCCLFTHPKNSGRSPLSDQISVKSSLPGKLPSLPFQAEKLRSAFGNTLDGLFEIHHVLPNKKSQQGDIQLRFPAMELLVGNALILTTPLKALAETCEAENSVFNMNMPVLLFVALVGATVGGLLARQRKGELQRVNEQLRQINQSLRRQAKIESYAPTLSYSPIGAKVLPENEVIVDPRKHELISRLKAGKNFLRNQETEKALGEFKTALELAQSVKDPIEEKKAARGLGSFSLLLFITFLFSHIILLQQFKYE >ONI18656 pep chromosome:Prunus_persica_NCBIv2:G3:22824224:22824955:1 gene:PRUPE_3G230600 transcript:ONI18656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGSKMALILNLILVLSLVLMISIAESRQVGMGIGGKKPAAVCAAVYGAEEGDTCTSVSEMFNLSLDFFLSINPNINCDNFFVGQWLCTAGSAN >ONI19319 pep chromosome:Prunus_persica_NCBIv2:G3:25239322:25242183:1 gene:PRUPE_3G271800 transcript:ONI19319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPGLYTDIGKKARDLLYKDYQSDHKFTVTTYSPTGVAITSSGTKKGELFLADVNTQLKNKNVTTDIKVDTDSNLSTTVTVDQPAPGLKAIFSFKVPDQRSGKVELQYLHDYAGISTSIGLTANPIVNFSGVIGTNQLALGTDLSFDTKTGNLTKCNAGVSFSNADLIAALTLNDKGDILSASYYHNVNPLANTAVGAEVTHRFPTNENTITLGTQHALDPLTTLKARVNNFGKASALIQHEWRPKSFVTISGEVDTKAIEKTAKIGLALALKP >ONI18501 pep chromosome:Prunus_persica_NCBIv2:G3:22046612:22047581:-1 gene:PRUPE_3G219300 transcript:ONI18501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFDTQVPSAFDPFADANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVEDPELGQVIQLQGDQRKNVSSFLVQAGIVKKEDIKLHGF >ONI18499 pep chromosome:Prunus_persica_NCBIv2:G3:22046612:22047581:-1 gene:PRUPE_3G219300 transcript:ONI18499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFDTQVPSAFDPFADANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVEDPELGQVIQLQGDQRKNVSSFLVQAGIVKKEDIKLHGF >ONI18503 pep chromosome:Prunus_persica_NCBIv2:G3:22045589:22048395:-1 gene:PRUPE_3G219300 transcript:ONI18503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFDTQVPSAFDPFADANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVEDPELGQVIQLQGDQRKNVSSFLVQAGIVKKEDIKLHGF >ONI18502 pep chromosome:Prunus_persica_NCBIv2:G3:22046612:22047581:-1 gene:PRUPE_3G219300 transcript:ONI18502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFDTQVPSAFDPFADANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVEDPELGQVIQLQGDQRKNVSSFLVQAGIVKKEDIKLHGF >ONI18500 pep chromosome:Prunus_persica_NCBIv2:G3:22044943:22048395:-1 gene:PRUPE_3G219300 transcript:ONI18500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFDTQVPSAFDPFADANAEDSGAGTKEYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVEDPELGQVIQLQGDQRKNVSSFLVQAGIVKKEDIKLHGF >ONI16865 pep chromosome:Prunus_persica_NCBIv2:G3:11607654:11611158:1 gene:PRUPE_3G126100 transcript:ONI16865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSKGSGPAAIWEEEIERSESYLVCSLYEEAASSASSILKWLSKHSEDLEAGDPFELYDMLESAGMVLVQSLKQLGRTSEILNELKLLFASVPTIPVQLLLTGACFYISEGHSHGIQEFLEEFLSRWSFVNEQYVLVGTGENADDAEKCDGPFLLGVDKYLEVVEVLLRRLHSLHSVKATTSSQGSFSSLLADEAHSTCLKEIAVLDRYPKTKYPPNEETAKNPAVFNLSKRLEPCVWWFHTITLKFGSARVVISKGKIVLGFLILLIYYVFQRKQATLKRMLWVDLWQLAFSYQVNPLAAVQPLAAATRAGQ >ONI19526 pep chromosome:Prunus_persica_NCBIv2:G3:25751273:25753667:1 gene:PRUPE_3G283400 transcript:ONI19526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTADAARNVVGIIGNVISFGLFLSPVPTFYRIIKKKTVEEFKPDPYIATVLNCLFWCLYGMPFVHPDSLLVVTINGVGLVLELAYLAVFFIYAQSKGRKKVAFGLGFDVVLFVAIAAISLTALHGTKKRSLMVGIVCDIFNIIMYGSPLTIMAKVIKTKSVKYMPFYLSLTNFLNGCCWTAYALIKFDIYMLVSNGLGAVSGAIQLILYAAYCKSTPKDDDDFAGKPTTEVQLSNTNAAAAASV >ONI19007 pep chromosome:Prunus_persica_NCBIv2:G3:24227989:24229957:1 gene:PRUPE_3G252800 transcript:ONI19007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQNSVKVIEICRVAPQLQAQQVPSHTDTQEFVHELTFFDLLWVRFRPSQRIFFYELPPSYHSTPLFFDSILPKLKASLSLTLQHFLPLAGNLTWPESSHKPILNYVRGDTVSLTIAQYSNHHADYFNHLSSGSKFVEAREYHPFVPKLATSHEKAAAMAVQITHFPNRGFSIGTSMHHAVLDGKSSTLFFKSWAHLCKHGGFLASSSNQLPDQLKPFYGKMVINDRAGLEPIFLNQFLNSDRPNNRSLMCTIFRAPLPPDFVRGTFEFTSTKIKALRHLVMKKQQQYQSLHLSTFSLTCAYAWVCLAKTEEIEGDKIVMIFSVDCRSRLDPPLPSNYFGNCLAGRAVVAERKGLLGEDGLVLAVNAITEVIKGLDEGLLNGAENWIPTLYRDMQSTDVRVLTIVGSNRFQLYDTDFGWGRPKRTEVASIDKSGPISLSDSKTGGGGVEIGLVLKKQYMDAFAALLAKD >ONI16749 pep chromosome:Prunus_persica_NCBIv2:G3:10417136:10417533:-1 gene:PRUPE_3G119400 transcript:ONI16749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAWSCEAISCSLQDASMHGRYEQWLVHNSRVYNDINENLKLLSVNRFADLTNEEFKATRNRFKRHECSTKTTSFKYENVTAKVPATVDWRKQGAVTPMKDQGQCGN >ONI17860 pep chromosome:Prunus_persica_NCBIv2:G3:19883555:19888301:-1 gene:PRUPE_3G183500 transcript:ONI17860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDGDSCSVEDEEHTNNNNNGCDKEEEELSVPRGGPIFVPNLVGSLTSVPLFQSSIVQELQDLEFEVSSSSSQVLDEDISVDELKILTEEELVDMAIKEAFKDNEDSPNLSPIPEEPSDEGSKDHEASNDEACKKKSRKRKRTKAKNHDLEETYIAKVEHVRRIKEKQDEDKAAVTLHSFNPSSKVNDCAITSSRTIDRMKPLRSASSAVKVKSSNIQGYVPVHYPEVALSIEVYHNARKWVKNQEFLVLGQQTLTELRDKIYCLADHVMQKAKQHDPSGYFLIEDTLCNDLRDPSAVDYSEPIFDWLRNSKDEALKKWEWIAAGGLQTKQKAVVGDVTGSQLPHFRAVDMHKTQFCDLKFRLGAGYLYCHQGDCRHTIVIRDMRLIHPQDVQNRAAYPILLFQLKPHIRKCYVCKIFRATQVTIDDKWAQENPCYFCDNCYYLLHYKDGCLLYDDFSVHEYRHD >ONI17861 pep chromosome:Prunus_persica_NCBIv2:G3:19883565:19889638:-1 gene:PRUPE_3G183500 transcript:ONI17861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDGDSCSVEDEEHTNNNNNGCDKEEEELSVPRGGPIFVPNLVGSLTSVPLFQSSIVQELQDLEFEVSSSSSQVLDEDISVDELKILTEEELVDMAIKEAFKDNEDSPNLSPIPEEPSDEGRSKDHEASNDEACKKKSRKRKRTKAKNHDLEETYIAKVEHVRRIKEKQDEDKAAVTLHSFNPSSKVNDCAITSSRTIDRMKPLRSASSAVKVKSSNIQGYVPVHYPEVALSIEVYHNARKWVKNQEFLVLGQQTLTELRDKIYCLADHVMQKAKQHDPSGYFLIEDTLCNDLRDPSAVDYSEPIFDWLRNSKDEALKKWEWIAAGGLQTKQKAVVGDVTGSQLPHFRAVDMHKTQFCDLKFRLGAGYLYCHQGDCRHTIVIRDMRLIHPQDVQNRAAYPILLFQLKPHIRKCYVCKIFRATQVTIDDKWAQENPCYFCDNCYYLLHYKDGCLLYDDFSVHEYRHD >ONI17862 pep chromosome:Prunus_persica_NCBIv2:G3:19883850:19887402:-1 gene:PRUPE_3G183500 transcript:ONI17862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDGDSCSVEDEEHTNNNNNGCDKEEEELSVPRGGPIFVPNLVGSLTSVPLFQSSIVQELQDLEFEVSSSSSQVLDEDISVDELKILTEEELVDMAIKEAFKDNEDSPNLSPIPEEPSDEGRSKDHEASNDEACKKKSRKRKRTKAKNHDLEETYIAKVEHVRRIKEKQDEDKAAVTLHSFNPSSKVNDCAITSSRTIDRMKPLRSASSAVKVKSSNIQGYVPVHYPEVALSIEVYHNARKWVKNQEFLVLGQQTLTELRDKIYCLADHVMQKAKQHDPSGYFLIEDTLCNDLRDPSAVDYSEPIFDWLRNSKDEALKKWEWIAAGGLQTKQKAVVGDVTGSQLPHFRAVDMHKTQFCDLKFRLGAGYLYCHQGDCRHTIVIRDMRLIHPQDVQNRAAYPILLFQLKPHIRKCYVCKIFRATQVTIDDKWAQENPCYFCDNCYYLLHYKDGCLLYDDFSVHEYRHD >ONI17789 pep chromosome:Prunus_persica_NCBIv2:G3:19535564:19536105:1 gene:PRUPE_3G179100 transcript:ONI17789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAITKQLQYVPPTCDMSTCQCYLLSLLIYDHTTTRHFANFFSSQKIVYAQIMLHFFCGRWAIFGEGRGGGERES >ONI19559 pep chromosome:Prunus_persica_NCBIv2:G3:25815042:25818622:1 gene:PRUPE_3G285200 transcript:ONI19559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAMDAPNEYSEVDEPNGDYAPQKESYSQQGSPRSPLSPQSPHSGSIGLAMDGGIDTSIEQLYHNVCEMQSSDQSPSRASFGSFGAESRIDSELNHLVGYVHEHLEIRKEVVIETKEAGTGSDSTPEKAIVSAAKKSPTTRVKTPSAKSSPKSKSPHDKPPLDKRYEKNPKKSNAVFSKNKQRSFALHGVRFQNGVGDPLEAGMDNPDLGPFLLKQARDLIGSGENPQKALELALRAVKSFEKCTKEKPSLELVMCLHVLAAIYCSLGQYNEAIPVLERAIDIPAIEDGQDHALAKFAGCMQLGDIYAMTGQIENSILFYTAGMEIQRQVLGETDPRLGETCRYVAEAHVQALQFDEAEKLCQMALEIHRENGSPASLEEAADRRLMGLICDSKGDYEAALEHYVLAGMSMSANDQEVDAASIDCSIGDAYLSLARYDEAVFSYQKALTVFKTTKGESHPAVASVYVRLADLYNKIGKFKESKSYCENAHRIYGKPNPGTPSEEIASGLIDVSAIYQSMNDLEQALKLLKKALKIFGDGPGHQSTTAGIEAQMGVMYYMMGNYSDSYDTFKSSISKFRATGEKKSALFGIALNQMGLVCVQRYSINEAADLFEEARNILEKEYGPYHPDTLGVYSNLAGTYDAMGRLDDAIEILEYVVGMREEKLGTANPDVADEKRRLAELLKEAGRVRSRKPRSLETLLDTANSRIIENDIIEVL >ONI19558 pep chromosome:Prunus_persica_NCBIv2:G3:25814609:25818622:1 gene:PRUPE_3G285200 transcript:ONI19558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAMDAPNEYSEVDEPNGDYAPQKESYSQQGSPRSPLSPQSPHSGSIGLAMDGGIDTSIEQLYHNVCEMQSSDQSPSRASFGSFGAESRIDSELNHLVGYVHEHLEIRKEVVIETKEAGTGSDSTPEKAIVSAAKKSPTTRVKTPSAKSSPKSKSPHDKPPLDKRYEKNPKKSNAVFSKNKQRSFALHGVRFQNGVGDPLEAGMDNPDLGPFLLKQARDLIGSGENPQKALELALRAVKSFEKCTKEKPSLELVMCLHVLAAIYCSLGQYNEAIPVLERAIDIPAIEDGQDHALAKFAGCMQLGDIYAMTGQIENSILFYTAGMEIQRQVLGETDPRLGETCRYVAEAHVQALQFDEAEKLCQMALEIHRENGSPASLEEAADRRLMGLICDSKGDYEAALEHYVLAGMSMSANDQEVDAASIDCSIGDAYLSLARYDEAVFSYQKALTVFKTTKGESHPAVASVYVRLADLYNKIGKFKESKSYCENAHRIYGKPNPGTPSEEIASGLIDVSAIYQSMNDLEQALKLLKKALKIFGDGPGHQSTTAGIEAQMGVMYYMMGNYSDSYDTFKSSISKFRATGEKKSALFGIALNQMGLVCVQRYSINEAADLFEEARNILEKEYGPYHPDTLGVYSNLAGTYDAMGRLDDAIEILEYVVGMREEKLGTANPDVADEKRRLAELLKEAGRVRSRKPRSLETLLDTANSRIIENDIIEVL >ONI16115 pep chromosome:Prunus_persica_NCBIv2:G3:5843724:5846660:-1 gene:PRUPE_3G079000 transcript:ONI16115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLSKLLCKGISFSGSAARCAIIAPGSFYINGMRYSATVPNEPDAHDDFKPTNKLENSGLTLKDLVEQDVKDNRVMLYMKGVPDLPQCGFSSLAVRVLKQYNVPLGARNILEDPELKSAVKAFSHWPTFPQIFIKGEFIGGSDIILNMHQTGELKEKLQDISANQEKSE >ONI16116 pep chromosome:Prunus_persica_NCBIv2:G3:5843896:5846660:-1 gene:PRUPE_3G079000 transcript:ONI16116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYSATVPNEPDAHDDFKPTNKLENSGLTLKDLVEQDVKDNRVMLYMKGVPDLPQCGFSSLAVRVLKQYNVPLGARNILEDPELKSAVKAFSHWPTFPQIFIKGEFIGGSDIILNMHQTGELKEKLQDISANQEKSE >ONI14994 pep chromosome:Prunus_persica_NCBIv2:G3:1462733:1463844:1 gene:PRUPE_3G019900 transcript:ONI14994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTTILTKPKMIHQNQNLPPNPTRLPPKRLVRIILADADATDSSSDEDSVVPGVKRHVRKISRELSSPSNCSCHSKLSKRRNQPEPDPTSREKFIGVRKRPWGRYAAEIRDPTQRKRVWLGTYDTAEEAAAVYDRAALKLKGPHAVTNFTIPVIAEETVADEVVPAVGSSHVSEVGGEGGAAGCVSL >ONI17798 pep chromosome:Prunus_persica_NCBIv2:G3:19551760:19556292:1 gene:PRUPE_3G179500 transcript:ONI17798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKITPEDLLNNIVGTFAESSSKQKSVPLFGEETSNSVTSKLNRLFGRQKPVHHLLGGGKSADVLLWRNKKISASVLTGATVTWVLFEWLNYHFLTLVGFALVLLMLAQFLWSNFSGIISRSPSEVPRLVVPDQLFVNIAISIGHEINRALEFIQDVALGGNLKQFLMVVASLWVAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYEDQVDNFAYQVLGQLQHNYRKLDTGVLSRIPKGKVNWKKHD >ONI17797 pep chromosome:Prunus_persica_NCBIv2:G3:19552429:19556278:1 gene:PRUPE_3G179500 transcript:ONI17797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKITPEDLLNNIVGTFAESSSKQKSVPLFGEETSNSVTSKLNRLFGRQKPVHHLLGGGKSADVLLWRNKKISASVLTGATVTWVLFEWLNYHFLTLVGFALVLLMLAQFLWSNFSGIISRSPSEVPRLVVPDQLFVNIAISIGHEINRALEFIQDVALGGNLKQFLMVVASLWVAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYEDQVDNFAYQVLGQLQHNYRKLDTGVLSRIPKGKVNWKKHD >ONI17796 pep chromosome:Prunus_persica_NCBIv2:G3:19551760:19556292:1 gene:PRUPE_3G179500 transcript:ONI17796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKITPEDLLNNIVGTFAESSSKQKSVPLFGEETSNSVTSKLNRLFGRQKPVHHLLGGGKSADVLLWRNKKISASVLTGATVTWVLFEWLNYHFLTLVGFALVLLMLAQFLWSNFSGIISRSPSEVPRLVVPDQLFVNIAISIGHEINRALEFIQDVALGGNLKQFLMVLLLLTHCQFCTRDTKIRSTTLHTRSLGSFNIIIGSSIPVSSAGSQKERSIGRSMIRYV >ONI18245 pep chromosome:Prunus_persica_NCBIv2:G3:21267236:21269277:-1 gene:PRUPE_3G204800 transcript:ONI18245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLMKPTVTKGRDEVYVAAVPLRATKGPAQLLASSAHSLNLWDLQHFMVIVKPSSPPSHSQALVFDFQPKDPENIFAALAVLSGKAIPGVVLMRKLSKLPRSKCWFVGSPKAGAIDMALEFNKVWDTNLRVGHHDCRDYTNGLVQYLTGEGYILERLRSSVRD >ONI18246 pep chromosome:Prunus_persica_NCBIv2:G3:21267741:21269277:-1 gene:PRUPE_3G204800 transcript:ONI18246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLMKPTVTKGRDEVYVAAVPLRATKGPAQLLASSAHSLNLWDLQHFMVIVKPSSPPSHSQALVFDFQPKDPENIFAALAVLSGKAIPGVVLMRKLSKLPRSKCWFVGSPKAGAIDMALEFNKVWDTNLRVGHHDCRDYTNGNNLSAY >ONI15717 pep chromosome:Prunus_persica_NCBIv2:G3:4062534:4065578:-1 gene:PRUPE_3G057400 transcript:ONI15717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDKVLLSSTPTRRSVTQEPSASDENDQYYQQVGNSHLRSPKKPMAKNYMSPTISATSKAIVSRMNILGERNEASEPKFSNTLVEKSPHIGTDNGNDSLSQALPLTPSVFQSHDDGKALSDSLSSRPYDPITNYLSPRPQFLRYNPNRRRERFLGLEYGGTERNEDLGISRSGSFDSQKAIDEEAGAITTPSSFTSPRQDGLLSRSGSFNSQKATDEEAGAVTTPSSFASPRQDDLLSISCSFDSQKAIDEEAGAVTTPGSLASPRQDGLAKQGDEEVEVNDGEIEESDEEIEESNEEIEESDEEYEEVKEEKKGWKLKGLLKSLLLLLVLVLFTSHISTMNHQTVFEGIQDDGCIQNHTLEAALLKKLEIRGMLWDGKEERHMGLVEIGVEEGKLVEFESGSEVMGQGEEETQMDSLEEVLELKDEEIEVMEVTEQEENGVIDELGEKQVEDIDETFDRMAENSDQIPGEVDHLNPNDLEEEGEVAVEQIDGMVENKMEGGLEEYFTNMIAEPAMSETMDNDNLVSDVSNDLEEEGEVAVKQIDGMVENKMEGGLEEYFTNMIAEHAMSETMDNDDDAGFDEILKLEAEGNWREELITHMKLKTFYTARVGVSIFSVIVASLVLAFCFKQRNGTKKGSSVIENPCAKRLIIEQKKINEKVSSLPLKPYSELVIAEKYKPVLPNKAEDSSIEHVYSSFRRMSLSSKHSTEAASEENYHSQAPAVELLGEFVVGEVSSSLRTCSMKNKITESEESNYSVSSKKKLGSKVQSVSFQVHPTASEFSSMDCPSYGSYITPQKIMKKKEGGKDEEVTTPLRRSSRIRNRTVMSP >ONI15077 pep chromosome:Prunus_persica_NCBIv2:G3:1802966:1805184:-1 gene:PRUPE_3G024600 transcript:ONI15077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENRETAKDDKELPQTPNQRPRTQFLLFWGQWFVLMLLPCFGTSACDKVNSDALLSLALKAPLNLNWSAFTDCCLWEGITCGPGDQGHVIRLWLPRRGLTGVIHPSITSLTHLTHLNLSHNSLLGSLPDDLFSSLSSLQVIDLSFNHLIDRLPPSSNKISQLQVLNLSSNFFTGTIPSSILVPSVSIFNVSNNSFSGLIPINNGSNHTSLTFLDLSYNQLTDTIPPGIGLCSKLQVFRAGFNNLSGSLPDEIFNLSDLRQLSLPVNSLTGPINDVDGIMNLTNLQILKIFSNQLSGPIPSQIGSLSRLENLLLHINNLTGPLPLSLANSTKLSALNLRVNNLTGDLSSFNFSPLQRLTTLDLGNNNFTGEFPKSLYSCKSLTAIRLAGNQLTGQISPEIVPLESLAFLSISNNSMTNATGALRILQGQVPTWLAKLKNLRALDLSFNLITGSVPGWLASLPNLFYIDLSNNLLQGGFPNELCRMPVLTSKEASDKVDRTYIELPVFVNRTKASQLYSQLSNLPPGIYLSNNSLNGSIPIEIGQLKFIHVLDLSHNNFSSSIPDQISNLTNLEKLDLSYNQLSGQIPASLKDLHFLSSFSVEYNDLQGLIPSGGQFDTFTISSFEGNPGLCGPPTPKRSCHQSPQSPPQVLEVTRRSKTQTILIALIFGIFFGIGFGFGFNMDDKKNSNNWRA >ONI14651 pep chromosome:Prunus_persica_NCBIv2:G3:80211:86588:-1 gene:PRUPE_3G000700 transcript:ONI14651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGTSRVVGCFVPFNGKSGVDLEFLEPLDEGLGHSFCYVRPSIFESPAITPTNSERFTLDSSTLDSETLSGSFRHDALDDPSALHKPTKLFPETTFKTISGASVSANVSTARTGNQTALFATDVQEPAASFESTSSFSAIPLQPAPHGSGPLNGFMSGPLERGFASGPLERSSGFMSGPIEKGAMSGPIDSTDKSTFSAPLARGRGRPGFQRLMRSVSGPMKNTLSWTFSKHSLGSGWMQRFFLHPVTQLAWNPKEPKYRPETSRNYLEGGPSEGESRNTHNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSKLYRAIDKELEGLLWYYEDKSPNDPLKPEPLKTGNSKAGSVSSKEVLSNLSSSQEISSSLIEPCNPGIVKGLSSRSEIVEENNEVRMSIEQHLPNSEQDSISGTASVSVPAGNLTGQGRKSMRLYELLQMEPWDGKDYVSPLELDKHRNGTWDCQPGLDALDTQDENSKYSFLNTKGDGSGHRGEDPTTSGGGGGAGIESSHQDGIDVLSVSGQRQGARKSSMSSKIRKMYRKQKSLRKKLFPWSYDWHREVACVDDKMGEPLGPIRRCKSGIVDHAAVLRAMSRALEWTEEAYMEMVEKALDKNAELALMGSCVLVMLMKDQDVYVMNLGDSRVVLAQERPNDRHPNPNLGKDDAWHRNKSRESLVRMELDRISEESPVHNQNGQVNKINKNREISICRLKMRAVQLSTDHSTSVEEEVFRIKAEHPDDNQAILNDRVKGQLKVTRAFGAGFLKKPTCNEALLEMFRVDYVGIAPYVSCIPFVLHHRLSSSDRFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLIAELLFRAAKKNGMDFYELLDIPQGDRRKYHDDVSVMVVSLEGRIWRSSG >ONI16684 pep chromosome:Prunus_persica_NCBIv2:G3:9753613:9755394:1 gene:PRUPE_3G115400 transcript:ONI16684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLSCKRFLRSWNVRRLPSPCTFQLNTDIAETRISTQTTEPSRIKKNHSMRRRKTETTEQKSKESPQH >ONI17650 pep chromosome:Prunus_persica_NCBIv2:G3:19023526:19024892:1 gene:PRUPE_3G171700 transcript:ONI17650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSKVTVMLFLSFFVTSMMAASAGNFYQDFDVTFGDERAKILNGGQLLTLNLDKFSGSGFKSKNEYLLGRIDMQIKLVSGNSAGTVTAYYLSSEGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFHLWFDPTKAFHTYSIVWNSQRIIFLVDNIPIRVFTNLETIGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFKVSTTTSTSTNSLTEQSAWQTQGLDAAGRNRLRWVQQKFMIYNYCSDLKRFPQGLPVECRRSRF >ONI19953 pep chromosome:Prunus_persica_NCBIv2:G3:26817706:26821240:-1 gene:PRUPE_3G307300 transcript:ONI19953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRLQEKFRSFFSNRWLVFVAAMWVQSCAGIGYLFGSISPVIKSSMDYNQRQIARLGVAKDLGDSVGFLAGSLCEVLPLWAALLIGALMNFIGYGWVWLIVTGRAPTLSLWAMCVLIFVGTNGETFFNTVALVSCVQNFPKSRGPVVGILKGFAGLGGAIMTQIYVTIHSPDHASLIFMVAVGPTMVIVALMFIVRPVGGHRQVLPTDAMSFTFIYSLCLLLAAYLMGVMLIEDLIDLNHTVITLFTVILFVLILIPVVLPVQLSFFSEPRALEEEALLPAPEKQEAGKSEQDANEVIFSEVEDEKPKEVDLLPALERQKRIAQLQTRLFQAAAEGAVRVKRRRGPHRGEDFTLTQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIVVRDYAYPRPVAMAVAQLLMAVGHIFIAFGWPGAMYIATIFVGLGYGAHWAIVPAAASELFGLKKFGALYNFLTIANPAGSLLFSGVIASSIYDMEAEKQAHQHHHLHTSPGSVFQGMLRLDAPKCDGAICFFLTSLIMSGLCIVAFVLSMILVHRTKIVYTNLYGKSRSAGPS >ONI15791 pep chromosome:Prunus_persica_NCBIv2:G3:4429089:4431681:-1 gene:PRUPE_3G062000 transcript:ONI15791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLGGRGLIEPCNSTRLKNSCLVKLFFWTSSSTTASMSFRFFCRICYPKQRYVTLLSYRNMCTCS >ONI20083 pep chromosome:Prunus_persica_NCBIv2:G3:27155847:27159848:1 gene:PRUPE_3G314100 transcript:ONI20083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGNKKEVIRLERESVIQVLKPKLIMTLANLIEQSSDRVEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVHGSQKLEQQKLSPEEIDVLEQNFLKYLFQVMEKSNFKVATNEEIEVAQSGQYLLNLPIVVDESKLDKHLLKKYFDNHPYPNMPDFADKYIIFRRGIGLDKTTDYFIMEKVDIIIARFWAYLLRVTKAEKFFSKRPSVQCEKDPKKDDDLIAEQDEEDLFVERIRLEKMQLSIPNLLSKNTIQEPTFDRIIVVYRRANSGSGDQAERGIYVKHFKHIPMADMEIVLPEKKNPGLTPMDWLTFIGSAVVGLVAVVTSLEMPKVDLWVIFAIISTVIGYCAKTYFSFQQNLAQYQNLITQSMYEKQLDSGRGTLLHLCDDVIQQEVKEVIISFYILMEQGKATLPDLDKWCEELIKEQFNDHCNFDVDDAVQKLEKLGIVTRDTLGRYNCVGLKRANEIIGTTTEEVVLKAKQDATATA >ONI20082 pep chromosome:Prunus_persica_NCBIv2:G3:27155432:27160161:1 gene:PRUPE_3G314100 transcript:ONI20082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGNKKEVIRLERESVIQVLKPKLIMTLANLIEQSSDRVEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVHGSQKLEQQKLSPEEIDVLEQNFLKYLFQVMEKSNFKVATNEEIEVAQSGQYLLNLPIVVDESKLDKHLLKKYFDNHPYPNMPDFADKYIIFRRGIGLDKTTDYFIMEKVDIIIARFWAYLLRVTKAEKFFSKRPSVQCEKDPKKDDDLIAEQDEEDLFVERIRLEKMQLSIPNLLSKNTIQEPTFDRIIVVYRRANSGSGDQAERGIYVKHFKHIPMADMEIVLPEKKNPGLTPMDWLTFIGSAVVGLVAVVTSLEMPKVDLWVIFAIISTVIGYCAKTYFSFQQNLAQYQNLITQSMYEKQLDSGRGTLLHLCDDVIQQEVKEVIISFYILMEQGKATLPDLDKWCEELIKEQFNDHCNFDVDDAVQKLEKLGIVTRDTLGRYNCVGLKRANEIIGTTTEEAMMILLSQNSPCLQ >ONI20084 pep chromosome:Prunus_persica_NCBIv2:G3:27156514:27160161:1 gene:PRUPE_3G314100 transcript:ONI20084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPYTTTESNTKELEKKNKEKEKRNKSYCHNTILKRVMEKSNFKVATNEEIEVAQSGQYLLNLPIVVDESKLDKHLLKKYFDNHPYPNMPDFADKYIIFRRGIGLDKTTDYFIMEKVDIIIARFWAYLLRVTKAEKFFSKRPSVQCEKDPKKDDDLIAEQDEEDLFVERIRLEKMQLSIPNLLSKNTIQEPTFDRIIVVYRRANSGSGDQAERGIYVKHFKHIPMADMEIVLPEKKNPGLTPMDWLTFIGSAVVGLVAVVTSLEMPKVDLWVIFAIISTVIGYCAKTYFSFQQNLAQYQNLITQSMYEKQLDSGRGTLLHLCDDVIQQEVKEVIISFYILMEQGKATLPDLDKWCEELIKEQFNDHCNFDVDDAVQKLEKLGIVTRDTLGRYNCVGLKRANEIIGTTTEEVVLKAKQDATATA >ONI15095 pep chromosome:Prunus_persica_NCBIv2:G3:1851037:1855921:-1 gene:PRUPE_3G025400 transcript:ONI15095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQAKGGNGTKKGAGGGGAAGNGLVAVAIDNQKGSQNALRWAAENVITRGQTVVLLHVVQKSSSTSSLAGNNALICDVSNPSQSPRKQQLEKMTKDLFLTFHCYCTRKDINCLDIVLEDTDIAKAVTEYVSYAAIENLVLGAPAKHGFIRFKTSSIPSSVSKGAPDFCTVYVISKGKISSVRNASRAAPYSSPLVNQIDSLNKQSFKPPETPRYNNMYLKARPSFKPRNLQDEAYRLGLTRGGFSNGRISGGFSESESDISFISSERASTDRASSVTYDFMDTNRGRISTSSDQSFGSMHQGPKFADLSSLHDFSSVSHESNLTSSSWSSQNLEEVESEMRRLKLELKQTMDMYSTACREALSAKQKEMELHNWRVVEEQKLEEARLGQEAAIAVAEKERVRCRAAMEAADAAKRIAQLESQKRANTEIKALREAEDMRKLLDNLAQTDDKYRRYAIEEIEQATEHFAPSRKIGEGGYGPVFKCYLDHTPVAVKVLRPDAAQGRTQFQKEIDILSCIRHPNMVLLLGACPEYGVLVYEYMANGSLEDCLIKRGNNPALSWQLRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPGNILLDHNYVSKISDVGLARLVPAVAENMTQCLMTATAGTFCYIDPEYQQTGMLGVKSDVYSLGIILLQLLTGRSPMGLAHHVDKAIEKDTFEEMLDPAVPDWPVEEALSLAKLAIQCAELRRKDRPDLGTVVLPSLNKWRELAEDKMNHKLIDGNNGLSPHHSHVPDQQEVMSDPRLNNSGTSKSQSSTSSQIENQAEAGPTETE >ONI15096 pep chromosome:Prunus_persica_NCBIv2:G3:1851288:1855806:-1 gene:PRUPE_3G025400 transcript:ONI15096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQAKGGNGTKKGAGGGGAAGNGLVAVAIDNQKGSQNALRWAAENVITRGQTVVLLHVVQKSSSTSSLAGNNALICDVSNPSQSPRKQQLEKMTKDLFLTFHCYCTRKDINCLDIVLEDTDIAKAVTEYVSYAAIENLVLGAPAKHGFIRFKTSSIPSSVSKGAPDFCTVYVISKGKISSVRNASRAAPYSSPLVNQIDSLNKQSFKPPETPRYNNMYLKAARPSFKPRNLQDEAYRLGLTRGGFSNGRISGGFSESESDISFISSERASTDRASSVTYDFMDTNRGRISTSSDQSFGSMHQGPKFADLSSLHDFSSVSHESNLTSSSWSSQNLEEVESEMRRLKLELKQTMDMYSTACREALSAKQKEMELHNWRVVEEQKLEEARLGQEAAIAVAEKERVRCRAAMEAADAAKRIAQLESQKRANTEIKALREAEDMRKLLDNLAQTDDKYRRYAIEEIEQATEHFAPSRKIGEGGYGPVFKCYLDHTPVAVKVLRPDAAQGRTQFQKEIDILSCIRHPNMVLLLGACPEYGVLVYEYMANGSLEDCLIKRGNNPALSWQLRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPGNILLDHNYVSKISDVGLARLVPAVAENMTQCLMTATAGTFCYIDPEYQQTGMLGVKSDVYSLGIILLQLLTGRSPMGLAHHVDKAIEKDTFEEMLDPAVPDWPVEEALSLAKLAIQCAELRRKDRPDLGTVVLPSLNKWRELAEDKMNHKLIDGNNGLSPHHSHVPDQQEVMSDPRLNNSGTSKSQSSTSSQIENQAEAGPTETE >ONI18048 pep chromosome:Prunus_persica_NCBIv2:G3:20566095:20574404:1 gene:PRUPE_3G194100 transcript:ONI18048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVAEDPPCNSETEAETIALRIKQKRSRRVSFADTEITSVHIFNRDEDYDTPPDPKPQASSQNESAGPENEVVGFFRDLGGDSDDFKDSDDDDEDEDGGKSFFRPIGSPSPGSSVPGSATSNDEDNFFGPVSANFIRPGRLSDSAASDDIHEATLDTTAFSMHYRSLARSDTGGDLKTPTAVRLAFEEKTPTHNTNPTDSGSLMSLTKPKMLSPQSLASVDKVRSGEDSNDMSIIEENPHKYDYGRLSPALDAILAEGSKDLHADSVSALANSMPSKGVEVSALEENGIGHMDPRNGRITELGNFGTHDMPAEADSVSCIKLNMGDDGDISSKRSHYPSVGDSVDGQVRIPNQLSKVNKESSEDAFPKGIEKLELAAVNGNALPNTNSKAHQFGVFAQNEPAHQQSSQPSVQEHSSNENIYKFNSDQHSEQQYGSPIEGSMFLLSGRRQQSVLSTPNLARDSGMVTPSSKQPGSLLNKEHTKHDEWVSSIQKNISRFTIPEPSPCASSLKEGIDKLKCRLLSYSTVNSPLKKPVLADVSEDLECKFSNSPTTCLEKELAIPDLNSGHKILFNIDINGNENPVDISKLGQGKETRDLAKDEESLHNMLTDTLSKDKFCKPRKAVASHSQLSSSGSERIQHILMSENPTDGTLVSSETDSLLADEREDNVPHLQIESEKKFQSPSRGAVILKSPDKKFQAGPETPHFKPYVCRSMSQPSLQSPSSKESAQNSSMKGTTQSPPRENPIRSPSWRKAIQMPSMKVPSQSLCRQEPTQSPSRKELTQSPRREPIRSPFVKEPIQSPILREAIRSSIAREPIGSPAMKEPTRSPSRKEPSQSPSRKEPSQSPSRKEPTWSPSRKEPTWSPSRKEPTQSPFRLVSSYAIDTENMQRFVGKDLVYHGSNSNGHDDCYRGLHISQSPFSEQDAENSVGRKRKNLGIILDDGDSIYKTPRIQSPKVHRNENYDPEFMLGQSNIDHNDREKFGADTTWKCWTDILVKFSGDTEQLLSPLTSKLSLRAIGVLEDMLVQLLKANKYEALCSKVQSQKVDYISVGHKRAAEARLLLSKLVYEKAKLQLMHVKRDKLQCRVQLLSSAVQKCQMLKLSYTQCQSKPGKQETHVDGSHRQSSLVSSEGEQGGSCNNVSTMRQEIKALDRQIKSLINFFHTHIKLKGEPSCADTIALVNHHLETRTCCRFIHQDLQLWNVDHFEVKNGHYNIHFSYHGYINQRFMGTVGPASNIVLSNKLNDVKIRKNFPNMDAQVAFAFVLNSEATKKSVDSKYLAQETQVTRSLLCNLLDVVEEVDLARSEIYNLIQTSFQTPSAEQLDMQLCFLNCKSGRKVTLTLDMTCLNSGIYPSEILPHQIQPSVSAAKVVLPQPLLAEIRAAAESLESGHMRIMRLCKCISQVVELHTDDNSR >ONI18050 pep chromosome:Prunus_persica_NCBIv2:G3:20570490:20574048:1 gene:PRUPE_3G194100 transcript:ONI18050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEIKALDRQIKSLINFFHTHIKLKGEPSCADTIALVNHHLETRTCCRFIHQDLQLWNVDHFEVKNGHYNIHFSYHGYINQRFMGTVGPASNIVLSNKLNDVKIRKNFPNMDAQVAFAFVLNSEATKKSVDSKYLAQETQVTRSLLCNLLDVVEEVDLARSEIYNLIQTSFQTPSAEQLDMQLCFLNCKSGRKVTLTLDMTCLNSGIYPSEILPHQIQPSVSAAKVVLPQPLLAEIRAAAESLESGHMRIMRLCKCISQVVELHTDDNSR >ONI18049 pep chromosome:Prunus_persica_NCBIv2:G3:20566095:20574404:1 gene:PRUPE_3G194100 transcript:ONI18049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNVAEDPPCNSETEAETIALRIKQKRSRRVSFADTEITSVHIFNRDEDYDTPPDPKPQASSQNESAGPENEVVGFFRDLGGDSDDFKDSDDDDEDEDGGKSFFRPIGSPSPGSSVPGSATSNDEDNFFGPVSANFIRPGRLSDSAASDDIHEATLDTTAFSMHYRSLARSDTGGDLKTPTAVRLAFEEKTPTHNTNPTDSGSLMSLTKPKMLSPQSLASVDKVRSGEDSNDMSIIEENPHKYDYGRLSPALDAILAEGSKDLHADSVSALANSMPSKGVEVSALEENGIGHMDPRNGRITELGNFGTHDMPAEADSVSCIKLNMGDDGDISSKRSHYPSVGDSVDGQVRIPNQLSKVNKESSEDAFPKGIEKLELAAVNGNALPNTNSKAHQFGVFAQNEPAHQQSSQPSVQEHSSNENIYKFNSDQHSEQQYGSPIEGSMFLLSGRRQQSVLSTPNLARDSGMVTPSSKQPGSLLNKEHTKHDEWVSSIQKNISRFTIPEPSPCASSLKEGIDKLKCRLLSYSTVNSPLKKPVLADVSEDLECKFSNSPTTCLEKELAIPDLNSGHKILFNIDINGNENPVDISKLGQGKETRDLAKDEESLHNMLTDTLSKDKFCKPRKAVASHSQLSSSGSERIQHILMSENPTDGTLVSSETDSLLADEREDNVPHLQIESEKKFQSPSRGAVILKSPDKKFQAGPETPHFKPYVCRSMSQPSLQSPSSKESAQNSSMKGTTQSPPRENPIRSPSWRKAIQMPSMKVPSQSLCRQEPTQSPSRKELTQSPRREPIRSPFVKEPIQSPILREAIRSSIAREPIGSPAMKEPTRSPSRKEPSQSPSRKEPSQSPSRKEPTWSPSRKEPTWSPSRKEPTQSPFRLVSSYAIDTENMQRFVGKDLVYHGSNSNGHDDCYRGLHISQSPFSEQDAENSVGRKRKNLGIILDDGDSIYKTPRIQSPKVHRNENYDPEFMLGQSNIDHNDREKFGADTTWKCWTDILVKFSGDTEQLLSPLTSKLSLRAIGVLEDMLVQLLKANKYEALCSKVQSQKVDYISVGHKRAAEARLLLSKLVYEKAKLQLMHVKRDKLQCRVQLLSSAVQKCQMLKLSYTQCQSKPGKQETHVDGSHRQSSLVSSEGEQGGSCNNVSTMRQEIKALDRQIKSLINFFHTHIKLKGEPSCADTIALVNHHLETRTCCRFIHQDLQLWNVDHFEVKNGHYNIHFSYHGYINQRFMGTVGPASNIVLSNKLNDVKIRKVTRSLLCNLLDVVEEVDLARSEIYNLIQTSFQTPSAEQLDMQLCFLNCKSGRKVTLTLDMTCLNSGIYPSEILPHQIQPSVSAAKVVLPQPLLAEIRAAAESLESGHMRIMRLCKCISQVVELHTDDNSR >ONI17105 pep chromosome:Prunus_persica_NCBIv2:G3:14541721:14544894:1 gene:PRUPE_3G137800 transcript:ONI17105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSGRANPGTQGFDFASDDILCSYEDYGNQDSSNGNHSDPVMGNNPSKDFHKSRMARQSMFSSAAYSQPEDSLHQDVIATVEKSMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLVRDHGEADSKLKSLEKHLQEVHRSVQILRDKQELAETQKELAKLQLAQKGSASSTHSQSNEERASPPTSDGQKTDNTPETHNQQLALALPHQVAPQPQPVAPPPQAPTQNVTQQQSYYLSPTQLQNQPPPQHSQNQYLPSDSQYRTPQVQDIPRVAPQPTQSQVNQTPQVQSFPQYQQLPQPQQVQFPQQPSMQPQMRPPSTTNVYPSYPPGQQTNPSPPEAVPSSMSMQVPYSSIPQQGPGRSDAMPFGYSGAGRPIQQQPPPQQLKGAFGAQAGEGYAASGPHPALPPGSTYMMYDGEGGRTHYSAQVPHYGYPPTSASHQTPQPTTAPNLMARNPQFIRNHPYSELIEKLASMGFRSDHVLSVIQRMEERGEPIDFNAVIDRLSNVHSSGGPQRGWSG >ONI17104 pep chromosome:Prunus_persica_NCBIv2:G3:14541592:14545338:1 gene:PRUPE_3G137800 transcript:ONI17104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSGRANPGTQGFDFASDDILCSYEDYGNQDSSNGNHSDPVMGNNPSKQDFHKSRMARQSMFSSAAYSQPEDSLHQDVIATVEKSMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLVRDHGEADSKLKSLEKHLQEVHRSVQILRDKQELAETQKELAKLQLAQKGSASSTHSQSNEERASPPTSDGQKTDNTPETHNQQLALALPHQVAPQPQPVAPPPQAPTQNVTQQQSYYLSPTQLQNQPPPQHSQNQYLPSDSQYRTPQVQDIPRVAPQPTQSQVNQTPQVQSFPQYQQLPQPQQVQFPQQPSMQPQMRPPSTTNVYPSYPPGQQTNPSPPEAVPSSMSMQVPYSSIPQQGPGRSDAMPFGYSGAGRPIQQQPPPQQLKGAFGAQAGEGYAASGPHPALPPGSTYMMYDGEGGRTHYSAQVPHYGYPPTSASHQTPQPTTAPNLMARNPQFIRNHPYSELIEKLASMGFRSDHVLSVIQRMEERGEPIDFNAVIDRLSNVHSSGGPQRGWSG >ONI17106 pep chromosome:Prunus_persica_NCBIv2:G3:14541721:14544894:1 gene:PRUPE_3G137800 transcript:ONI17106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSGRANPGTQGFDFASDDILCSYEDYGNQDSSNGNHSDPVMGNNPSKDFHKSRMARQSMFSSAAYSQPEDSLHQDVIATVEKSMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLVRDHGEADSKLKSLEKHLQEVHRSVQILRDKQELAETQKELAKLQLAQKGSASSTHSQSNEERASPPTSDGQKTDNTPETHNQQLALALPHQVAPQPQPVAPPPQAPTQNVTQQQSYYLSPTQLQNQPPPQHSQNQYLPSDSQYRTPQVQDIPRVAPQPTQSQVNQTPQVQSFPQYQQLPQPQQVQFPQQPSMQPQMRPPSTTNVYPSYPPGQQTNPSPPEAVPSSMSMQVPYSSIPQQGPGRSDAMPFGYSGAGRPIQQQPPPQQLKGAFGAQAGEGYAASGPHPALPPGSTYMMYDGEGGRTHYSAQVPHYGYPPTM >ONI17956 pep chromosome:Prunus_persica_NCBIv2:G3:20136687:20139229:1 gene:PRUPE_3G187700 transcript:ONI17956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSIGERGSQVFNQNLKITRLGKSGRIDEAIKIFSQMAQRNTVTYNSMISACAKNGRVSNARHLFDQMPHRNLVSWNSMIAGYLHNDRVEEAYRLFVDMPERDLYSWTLMITCYTRNGELEKAKELFNLLPDKRDAVCWNAMIAGYAKKGKFDDAKRFFDEMPAKNLVSWNSILAGYTKNGKMRLGVKFFDEMPERNVVSWNLMLDGFVEVGDLDSAWLFFKQIPDPNVVSWVTMLCGFAQIGKIARAEDLFKQMPSRNVVSWNAMLAAYVRDHQIDKAIKIFRDMPEMDSVSWTTMINGYVRVGKLDEARQLLNRMPYRNIAAQTAMMSGYVQNGRMDEASQIFNQIAIYDVVCWNTMIAGYAQCGKMVEAQSIFRKMINKDIVSWNTMITGYAQVGQMDKALKIFEEMGERSIVSWNSLITGFVQNGLYLDALKSIVMMGQEGKRPDESTFACGLSACANLAALQVGKQLHHLVVKGGYVNDLFVCNALITMYAKCGRVTNAELVFEDINHGDIVSWNSLISGYALNGNGGEAVELFKQMLIEGVNPDQVTFVGVLSACSHSGLVEWGLEIFTSMTEVYLIEPLAEHYACMVDLLGRAGRLEEAFEMVSNMKIKATARIWGALIGASRIHRNLKFGKYASKKLLEVEPDKASNYVLLSNMHAEAGRWDKVEKVRVLMKESSMEKQPGCSWIEVRNQVHAFLFDDPVQPRTAELCSVLKSLTTEMRNTSYFLTPYD >ONI17853 pep chromosome:Prunus_persica_NCBIv2:G3:19870096:19870796:1 gene:PRUPE_3G183200 transcript:ONI17853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQFIFLKKVRRDIQNTTYSLNETYSNRECMTNSMEKIHIIAISRSMGLFPHPYVRCDRLLTPLT >ONI19160 pep chromosome:Prunus_persica_NCBIv2:G3:24783338:24785431:-1 gene:PRUPE_3G262400 transcript:ONI19160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDMRDGRARTTVNRHAVIDKLDLYKEDIAVRFKEFQAAVKTTLSTEQIRKFLEANGQDLSGSNDALLQRCQDLLFYGPLGKCPICNGNNLEFTGYFCECGTCIYRSRYPPRKRGPIKYPISIIKQINPHLNPRFDPPYVEDQGFFGRLWPEFIPQHDPYRPAGNRVEMTLTTYQDEVEINGRRMKKRTFWIYVMVIVLTAFFMVKLWSKLSTE >ONI16438 pep chromosome:Prunus_persica_NCBIv2:G3:7507942:7509443:1 gene:PRUPE_3G098000 transcript:ONI16438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCVTHNSYIVSSSPTKPTTPFSAPDTRQLKSSPPCRSQSSNCTWNQGKIKRLRSSYIVGQNFAFPMRKFSNTPKRRRNWFEEIFTRLYSRLSLLDSIQLNEQLLTMAEVVHGAGLSK >ONI16726 pep chromosome:Prunus_persica_NCBIv2:G3:10077487:10080488:1 gene:PRUPE_3G117800 transcript:ONI16726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKEQRVDESEKSSPQEEEAIKKKYGGIIPKKPPLISKDHERAYFDSADWALGKQGVDKPKGPLEALRPKLQPTQQQTRYRKSPCAPSDGEDGGSAPSEDSAANE >ONI16725 pep chromosome:Prunus_persica_NCBIv2:G3:10077154:10080488:1 gene:PRUPE_3G117800 transcript:ONI16725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKEQRVDESEKSSPQEEEAIKKKYGGIIPKKPPLISKDHERAYFDSADWALGKQGVDKPKGPLEALRPKLQPTQQQTRYRKSPCAPSDGEDGGSAPSEDSAANE >ONI15069 pep chromosome:Prunus_persica_NCBIv2:G3:1787115:1787919:-1 gene:PRUPE_3G024000 transcript:ONI15069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQRIRHIAWPKHMNIHRLRLWLPPRNHESEAVSGKNMTIRIAESGETSELLTGELSDGQQGGNSVLQVPKGFLAVYVGPELRRFVIPMSCLSSPDFRVLMDRVAEEYGFEQEGALKIPCDEEDFEHILVRCLASKKNDKKRKV >ONI15916 pep chromosome:Prunus_persica_NCBIv2:G3:4937365:4941035:-1 gene:PRUPE_3G068700 transcript:ONI15916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDDAFQCIAKNALKNEPEEEIYLPDTIDVAGGGRQQRSTGCEC >ONI15915 pep chromosome:Prunus_persica_NCBIv2:G3:4937365:4941309:-1 gene:PRUPE_3G068700 transcript:ONI15915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDDAFQCIAKNALKNEPEEEIYLPDTIDVAGGGRQQRSTGCEC >ONI18590 pep chromosome:Prunus_persica_NCBIv2:G3:22435351:22439094:-1 gene:PRUPE_3G225100 transcript:ONI18590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNNMYNYSYVTNKNESYYTYSLNNPKTISRLVMHTSGQIRQFTWSENSREWNLFGSQPKRQCEVYDFCGASGSCNELSTVSCNCLTGFEPKSEIEWNLRAYSSGCSRITNLQYCGTAVSTSAKYRAPDVFQEIRIMSLPENNQSVVIGNISECGSICLNSCSCTAYAYDSSTGCSIFTGDLLNLQQLGTGERSGTTLYIRLGSALPLLKSVNANKRSLVIALVSETAGLLTITFGYFLWKKTWGKERARRRKNGETKTLEKERKHRKKYDEILSNVGDGDGKNDTELPLFSLRSILAATNNFSEANKVGEGGFGPVYKGILPGNQEVAIKRLSKKSGQGHQEFMNELKLIAKLQHTNLVRLFGYCIEEEELILIYEFMANRSLDKFLFDPSEKLELDWGKRFRIIEGIAQGILYIHKYSRLKIIHRDLKASNVLLDGAMNPKISDFGMAKIFEINQTEANTNRVVGTYGYMSPEYARYGHFSEKLDVFSFGVLLLEIVSGKKNAAFHRFEHSLTLAGWAWELWREGRGMEVIDASVRETCRHDEALKCIQVGFLCVQEDPADRPTMSSVILMLANEATSLPPSKEPAFSTHRNSVAVSSSPQTTPIISNNAVTISLPEGR >ONI18589 pep chromosome:Prunus_persica_NCBIv2:G3:22435509:22438893:-1 gene:PRUPE_3G225100 transcript:ONI18589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKSNPKFGFNILLLCLFLKSHISLAADTISADESLSGDQTIVSADGVFELGFFKPGIFSYNYVGIWYSEQVVSERTIVWVANREIPVADRFSSVLRISDGNLILFSESKTPVWSTNLASTTTSGSVQAVLLDSGNLVLRADGSSTNTSEPLWQSFDHPAHTLLPGGKLGFNIVTNRTQILTSWKSSEDPAPGLFSLQLDPNGSNAYLIFWNRSRQYWSSGAWDAKSRIFSWVPEMRRNNMYNYSYVTNKNESYYTYSLNNPKTISRLVMHTSGQIRQFTWSENSREWNLFGSQPKRQCEVYDFCGASGSCNELSTVSCNCLTGFEPKSEIEWNLRAYSSGCSRITNLQYCGTAVSTSAKYRAPDVFQEIRIMSLPENNQSVVIGNISECGSICLNSCSCTAYAYDSSTGCSIFTGDLLNLQQLGTGERSGTTLYIRLGSALPLLKSVNANKRSLVIALVSETAGLLTITFGYFLWKKTWGKERARRRKNGETKTLEKERKHRKKYDEILSNVGDGDGKNDTELPLFSLRSILAATNNFSEANKVGEGGFGPVYKGILPGNQEVAIKRLSKKSGQGHQEFMNELKLIAKLQHTNLVRLFGYCIEEEELILIYEFMANRSLDKFLFDPSEKLELDWGKRFRIIEGIAQGILYIHKYSRLKIIHRDLKASNVLLDGAMNPKISDFGMAKIFEINQTEANTNRVVGTYGYMSPEYARYGHFSEKLDVFSFGVLLLEIVSGKKNAAFHRFEHSLTLAGWAWELWREGRGMEVIDASVRETCRHDEALKCIQVGFLCVQEDPADRPTMSSVILMLANEATSLPPSKEPAFSTHRNSVAVSSSPQTTPIISNNAVTISLPEGR >ONI18591 pep chromosome:Prunus_persica_NCBIv2:G3:22435351:22439081:-1 gene:PRUPE_3G225100 transcript:ONI18591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKSNPKFGFNILLLCLFLKSHISLAADTISADESLSGDQTIVSADGVFELGFFKPGIFSYNYVGIWYSEQVVSERTIVWVANREIPVADRFSSVLRISDGNLILFSESKTPVWSTNLASTTTSGSVQAVLLDSGNLVLRADGSSTNTSEPLWQSFDHPAHTLLPGGKLGFNIVTNRTQILTSWKSSEDPAPGLFSLQLDPNGSNAYLIFWNRSRQYWSSGAWDAKSRIFSWVPEMRRNNMYNYSYVTNKNESYYTYSLNNPKTISRLVMHTSGQIRQFTWSENSREWNLFGSQPKRQCEVYDFCGASGSCNELSTVSCNCLTGFEPKSEIEWNLRAYSSGCSRITNLQYCGTAVSTSAKYRAPDVFQEIRIMSLPENNQSVVIGNISECGSICLNSCSCTAYAYDSSTGCSIFTGDLLNLQQLGTGERSGTTLYIRLGSALPLLKSVNANKRSLVIALVSETAGLLTITFGYFLWKKTWGKERARRRKNGETKTLEKERKHRKKYDEILSNVGDGDGKNDTELPLFSLRSILAATNNFSEANKVGEGGFGPVYKGILPGNQEVAIKRLSKKSGQGHQEFMNELKLIAKLQHTNLVRLFGYCIEEEELILIYEFMANRSLDKFLFAATCHLSMQDMAISLRNWMCLVLECCCWRLLVERRMLLFIALNIH >ONI17506 pep chromosome:Prunus_persica_NCBIv2:G3:18289377:18294848:-1 gene:PRUPE_3G163500 transcript:ONI17506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEGIFIEPSSTTTSPLPDLSLHISPPNTSSSSSSIFNTTSGEPQISTTNSQAHTELSLGRRFTGGAHEEPPQNPYHHHQQQSLPLYRSSNHLSHINNGVSLLDVSSSEGLRPIKGIPVYQNRPFPFLPTENMIPRDHPKDSKMCFYQRPPPSYNLPSLPSASCSSNTPSTPYFGGGLDPMSRFNGFSSMDPFKSNNHLHHHHHLHHLHHNQYGIGGVGPISEASHHGIMRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSDGSGEDDILSPIGSATDLHGLRSPHQFPEQRGPSDRSSVQPDLDYTSSTTLWSNSSSSREAFPHTSSHDMDGLTPVSSQLQKISGHQIQECESTTQLKSYLGSNFETRNPSLEFTLGRPDWNGKPHD >ONI17507 pep chromosome:Prunus_persica_NCBIv2:G3:18290674:18295555:-1 gene:PRUPE_3G163500 transcript:ONI17507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEGIFIEPSSTTTSPLPDLSLHISPPNTSSSSSSIFNTTSGEPQISTTNSQAHTELSLGRRFTGGAHEEPPQNPYHHHQQQSLPLYRSSNHLSHINNGVSLLDVSSSEGLRPIKGIPVYQNRPFPFLPTENMIPRDHPKDSKMCFYQRPPPSYNLPSLPSASCSSNTPSTPYFGGGLDPMSRFNGFSSMDPFKSNNHLHHHHHLHHLHHNQYGIGGVGPISEASHHGIMRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSDGSGEDDILSPIGSATDLHGLRSPHQFPEQRGPSDRSSVQPDLDYTSSTTLWSNSSRQNINRSSIIFAAQN >ONI17505 pep chromosome:Prunus_persica_NCBIv2:G3:18288828:18295555:-1 gene:PRUPE_3G163500 transcript:ONI17505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEGIFIEPSSTTTSPLPDLSLHISPPNTSSSSSSIFNTTSGEPQISTTNSQAHTELSLGRRFTGGAHEEPPQNPYHHHQQQSLPLYRSSNHLSHINNGVSLLDVSSSEGLRPIKGIPVYQNRPFPFLPTENMIPRDHPKDSKMCFYQRPPPSYNLPSLPSASCSSNTPSTPYFGGGLDPMSRFNGFSSMDPFKSNNHLHHHHHLHHLHHNQYGIGGVGPISEASHHGIMRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSDGSGEDDILSPIGSATDLHGLRSPHQFPEQRGPSDRSSVQPDLDYTSSTTLWSNSSSREAFPHTSSHDMDGLTPVSSQLQKISGHQIQECESTTQLKSYLGSNFETRNPSLEFTLGRPDWNGKPHD >ONI18426 pep chromosome:Prunus_persica_NCBIv2:G3:21806983:21811452:1 gene:PRUPE_3G214900 transcript:ONI18426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKFGASLVTPFLFLLLSHVVFSTTNDGLVRIGLKKMKIDQKCHMNRRSNANEQEETPGGPIRKYHLRGNLGDSEDTDIVALKNYMDAQYFGEIGIGSPAQKFTVIFDTGSSNLWVPSAKCYFSVACFFHSKYKSSQSSTYTKNGKSAAIQYGTGAIAGFFSQDHVTVGNLVVKDQDFIEATKEPGITFVAAKFDGILGLGFQEISVGNAVPVWYNLVNQGLVTEPVFSFWLNRNTEGEEGGEIVFGGVDSNHYKGEHTYVPVTQKGYWQFDMGDVLIGGETSGFCASGCSAIADSGTSLLAGPTTVITQINHAIGASGVVSQECKTVVEQYGKTIMEMLLAQAQPKKICSQIGFCTFDGTHGVSMGIESMVNEKLEMTSDGVHDAACTACEMAVVWMQNRLRLNETEDQILNYVNELCERLPSPSGESVVQCDALSSLPSVSFTIGGKVFDLAPEQYVLKVGEGVAAQCISGFIALDVAPPRGPLWILGDIFMGRYHTVFDYGNSTVGFAEAA >ONI18425 pep chromosome:Prunus_persica_NCBIv2:G3:21807292:21811452:1 gene:PRUPE_3G214900 transcript:ONI18425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKFGASLVTPFLFLLLSHVVFSTTNDGLVRIGLKKMKIDQKCHMNRRSNANEQEETPGGPIRKYHLRGNLGDSEDTDIVALKNYMDAQYFGEIGIGSPAQKFTVIFDTGSSNLWVPSAKCYFSVACFFHSKYKSSQSSTYTKNGKSAAIQYGTGAIAGFFSQDHVTVGNLVVKDQDFIEATKEPGITFVAAKFDGILGLGFQEISVGNAVPVWYNLVNQGLVTEPVFSFWLNRNTEGEEGGEIVFGGVDSNHYKGEHTYVPVTQKGYWQFDMGDVLIGGETSGFCASGCSAIADSGTSLLAGPTTVITQINHAIGASGVVSQECKTVVEQYGKTIMEMLLAQAQPKKICSQIGFCTFDGTHGVSMGIESMVNEKLEMTSDGVHDAACTACEMAVVWMQNRLRLNETEDQILNYVNELCERLPSPSGESVVQCDALSSLPSVSFTIGGKVFDLAPEQYVLKVGEGVAAQCISGFIALDVAPPRGPLWILGDIFMGRYHTVFDYGNSTVGFAEAA >ONI15572 pep chromosome:Prunus_persica_NCBIv2:G3:3539994:3546809:-1 gene:PRUPE_3G050200 transcript:ONI15572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRLVMWNVLVLLVVLCSWVGSAKASVSYDSKAIVINGQRRILISGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEDNYDLVKFIKLIQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGIQFRTDNGPFKAQMQRFTTKIVNTMKAERLFQSQGGPIILSQIENEYGPMEYELGAPGKVYTDWAAHMALGLGTGVPWVMCKQDDAPDPIINACNGFYCDYFSPNKAYKPKMWTEAWTGWYTEFGGAVPSRPAEDLAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVSADPTVTPLGTYQEAHVFKSKSGACAAFLANYNPRSFAKVAFGNMHYNLPPWSISILPDCKNTVYNTARVGAQSAQMKMPRVPLHGAFSWQAYNDETATYADTSFTTAGLLEQINTTRDSSDYLWYLTDVKIDPNEEFLRSGKYPVLTILSAGHALRVFINGQLAGTSYGSLEFPKLTFSQGVNLRAGINQIALLSIAVGLPNVGPHFETWNAGVLGPVILNGLNEGRRDLSWQKWSYKVGLKGEALSLHSLSGSSSVEWIQGSLVTRRQPLTWYKTTFNAPAGNSPLALDMGSMGKGQVWINGRSIGRYWPAYKASGSCGACNYAGTYHEKKCLSNCGEASQRWYHVPRTWLNPTGNLLVVLEEWGGDPNGIFLVRREIDSICADIYEWQPNLMSWQMQASGKVKKPVRPKAHLSCGPGQKISSIKFASFGTPEGGCGSFREGSCHAHNSYDAFQRSCIGQNSCSVTVAPENFGGDPCPNVMKKLSVEAICS >ONI16578 pep chromosome:Prunus_persica_NCBIv2:G3:8620432:8624837:1 gene:PRUPE_3G107700 transcript:ONI16578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMASCSLGVNLGSNCSLKKPLAIPLQTGATVEGSFGFGSKSFFIVQKGRLLSSSTSTTPRASSATAVEGGKPDGSQSETDKIPIPKVIIDQDSDPNATVVEITFGDRLGALLDTMSALRNLGLNVVKANVYLDSSGKHNKFAITRADTGRKVEDPELLEAIRLTIINNLIEYHPESSSQLAMGAAFGIVPPPEQVDVDVATHISISDDGPNRSLLYVESADRPGLLVDLVKTVTDIDVAVESGEFDTEGLLAKAKFHVSYRGKPLIKPLQQVLANSLRYYLRRPMTEEGSF >ONI16577 pep chromosome:Prunus_persica_NCBIv2:G3:8620370:8624790:1 gene:PRUPE_3G107700 transcript:ONI16577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMASCSLGVNLGSNCSLKKPLAIPLQTGATVEGSFGFGSKSFFIVQKGRLLSSSTSTTPRASSATAVEGGKPDGSQSETDKIPIPKVIIDQDSDPNATVVEITFGDRLGALLDTMSALRNLGLNVVKANVYLDSSGKHNKFAITRADTGRKVEDPELLEAIRLTIINNLIEYHPESSSQLAMGAAFGIVPPPEQVDVDVATHISISDDGPNRSLLYVESADRPGLLVDLVKTVTDIDVAVESGEFDTEGLLAKAKFHVSYRGKPLIKPLQQVLANSLRYYLRRPMTEEGSF >ONI15710 pep chromosome:Prunus_persica_NCBIv2:G3:4045834:4047444:1 gene:PRUPE_3G057000 transcript:ONI15710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILPVALVFFLATIVSTTQGYEPVLTHHIHLLTPKTGGARGSVPGLSCLSWRLAVETNNIINWKTVPAECEGYVGHYMLGHQYRKDSKVVTNGAWLYAKSLNLTKDGKNVWVFDIDETTLSNLPYYAVNGFGTELYNATSFDEWVLKGTAPALPESLKLYQKLLTLGVKVVFLTGRGEDKRNVTTTNLKNVGYHTWEKLILKGSAYTGKTSYVYKSAERTKLVKSGFRIIGNAGDQWSDILGTNVGNRTFKLPDPMYYIS >ONI19882 pep chromosome:Prunus_persica_NCBIv2:G3:26671256:26676048:1 gene:PRUPE_3G303100 transcript:ONI19882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLYLLISVILSWVFCLPSTHELQTSQSQVLLQLRKHLEYPSSLEIWENYYGDFCNLSSSAHMSISCQDNSVTELKIMGDKLFNVNDFNGFAIPNHTLSESFSIDSFVTTLSRLPSLRVLNLVSLGIWGPLSDKIHRLSSLESLDLSSNFIFGSVPPKISTMVKLHTLTLEDNYFNDTVPDWLDSLSNLTILSLKNNRLKGRFPSSICTMKTLTVIALSNNELSGNLPDMDTLISLHVLDLRENHIDSELPMMPQGLVTALLSKNSFSGEIPAQFGHLGQLQHLDLSFNYLRRTPPSALFSLPNISYLNLASNMLSGAFPDQLNCGGKLGFVDISNNKLTGDLPSCLSSTSNERVVEFNGNCFSIDSQHQHQASYCKEALASSKQSGGRETVMLVGVISGAVLVLVLLAFAVLSFRRRYRSRRTFEHNIFAKAVPDDSPNGFCSELIANARFISQAAKLETHGAPVSRIFSLEQLKEATNNFDLCMFLGEGSMGKLFKGKLENGTYVAIRSLTILKKYSIQNLKVRLDFLSKLHHPHLVGLLGYCIDSGGQDDSSGNRIFLINEYVSSGNYRTYLSENCPEKVLKWSDRLAILIGVAKAVHFLHTGVIPGCFNNRLKTNNILLDEHRIAKLSDYGMSIITEESEKLEAKGEGTKSWNRTNMEGDVYNFGFILLESLVGPIVSGKGETFLLNEMASFGSQDGRRKIVDPIVLTTCSQESLSIVVSITKKCTCPEVSARPSFEDVLWNLQYAAQVQATADADQRSDSTS >ONI19883 pep chromosome:Prunus_persica_NCBIv2:G3:26671256:26676048:1 gene:PRUPE_3G303100 transcript:ONI19883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLYLLISVILSWVFCLPSTHELQTSQSQVLLQLRKHLEYPSSLEIWENYYGDFCNLSSSAHMSISCQDNSVTELKIMGDKLFNVNDFNGFAIPNHTLSESFSIDSFVTTLSRLPSLRVLNLVSLGIWGPLSDKIHRLSSLESLDLSSNFIFGSVPPKISTMVKLHTLTLEDNYFNDTVPDWLDSLSNLTILSLKNNRLKGRFPSSICTMKTLTVIALSNNELSGNLPDMDTLISLHVLDLRENHIDSELPMMPQGLVTALLSKNSFSGEIPAQFGHLGQLQHLDLSFNYLRRTPPSALFSLPNISYLNLASNMLSGAFPDQLNCGGKLGFVDISNNKLTGDLPSCLSSTSNERVVEFNGNCFSIDSQHQHQASYCKEALASSKQSGGRETVMLVGVISGAVLVLVLLAFAVLSFRRRYRSRRTFEHNIFAKAVPDDSPNGFCSELIANARFISQAAKLETHGAPVSRIFSLEQLKEATNNFDLCMFLGEGSMGKLFKGKLENGTYVAIRSLTILKKYSIQNLKVRLDFLSKLHHPHLVGLLGYCIDSGGQDDSSGNRIFLINEYVSSGNYRTYLSENCPEKVLKWSDRLAILIGVAKAVHFLHTGVIPGCFNNRLKTNNILLDEHRIAKLSDYGMSIITEESEKLEAKGEGTKSWNRTNMEGDVYNFGFILLESLVGPIVSGKGETFLLNEMASFGSQDGRRKIVDPIVLTTCSQESLSIVVSITKKCTCPEVSARPSFEDVLWNLQYAAQVQATADADQRSDSTS >ONI15075 pep chromosome:Prunus_persica_NCBIv2:G3:1797043:1799128:-1 gene:PRUPE_3G024400 transcript:ONI15075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEELTGTPSQRSRTQFLLFWGQFFLLIQMPCFEASACNRVDSESLLSLDFKVSSPLNWFASSDCCSWEGISCHQEDNDHHRVVCLWLPGRGLTGFISPSITNLTHLTHLNLSHNSLSGPLPKDLFSSLSSTLQVLDLSFNRLISHLPLSSKETTITDGNHTSLTFLDLSFNEFTDPVPPGLGLCSTLQVFRAGFNGLSGSLPDEIFHLANLQQLYLPVNSLSGPIRDGIMNLTNLKILELFSNQFSGPIPRHIGKLFKLEKLLVRINNLTGPLPASLTNCTNVSTLNLMVNNLTGHLSAFNFSTLQSLTTLDLSNKNFTGQLPTWLANLKNLQALDLPFNLITGSIPGWLGSMRNLFFIDLSNKLLTGGFPNELCRIPILSSKEAGDQAGQSYLELPVFMQPNNATIQQYNRLANLRPAINLANNISGIIPVEIGQMKFLHVLDLSHNNFSGSIPDQISNLTNLESLDLSYNHLSGGIPASLKGLNFMSSFSVAYNDLEGLVPSGGHFNTFTSSSYEGNTGMCGPPTLHPTCPQPLSPAAGPRSNTHRKLLTGLIVAIGYCIGFGIGFGLGYKQNFIVI >ONI16518 pep chromosome:Prunus_persica_NCBIv2:G3:8163405:8163725:-1 gene:PRUPE_3G103800 transcript:ONI16518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYWRHNFHPSSKSYVHVDVKWNRPPLGWVKFNFDGYVHNNSATIGFIIGNSDGHALLARAKKIGEVTITVAECLALRDGLAYMVHNGWGKVLVEGDSKIVIDCMD >ONI16649 pep chromosome:Prunus_persica_NCBIv2:G3:9423614:9425716:1 gene:PRUPE_3G113000 transcript:ONI16649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNAALSGCLKVGRTDFVWKLYQEMIECGVVADVDVETVGYLIQAFCADNRVSEGYELLRQVLVNGLVPENAVFNKLISGFCKEKQYTRVSELLHTMIAKNRVPDNYTYQEVINWLCKKGKGLEGLRVFNDLKDRGYAPDIAMYTTMIHGLCTMGWLGEARKLWFEMIEKGFHPNEYTYNTMIHGFCKIDNFEEAKILYKEMCNKGHKETTVSYNTMMTGLCLHGRTDEAYRLFQEMHQKGIVRDLITYNTIIQGFCKEGKIVESMNLFRELLAQGLQPSTYSYTPLIQKLCQVGAVQEAKGLWNDMKNIGLEPIVGTHDYIIIGLCDQGDAAEGMEWFIEMLNSKLKPKQETLGRLVECLTQRDRLDDSLLVLEFMFRTGYALEKGVCHSLVNKLCWEDNHFVETCLGEILEGK >ONI16648 pep chromosome:Prunus_persica_NCBIv2:G3:9423454:9425715:1 gene:PRUPE_3G113000 transcript:ONI16648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAPSSILIFLRQNPRARQNPNTQIRYHITETKECDFTEVAQHICKIIRTKPRWEQTLPSEYPSFNFSDPQFFTELLKLQKNVLLSLRFFFWLSSHNGFSPDPISCKALFSALVEAKACNAAKSFLEHTSFSPEPASLESYIQCLCEGGCIQDAIDVFYRLKEAGVCPAIMTWNAALSGCLKVGRTDFVWKLYQEMIECGVVADVDVETVGYLIQAFCADNRVSEGYELLRQVLVNGLVPENAVFNKLISGFCKEKQYTRVSELLHTMIAKNRVPDNYTYQEVINWLCKKGKGLEGLRVFNDLKDRGYAPDIAMYTTMIHGLCTMGWLGEARKLWFEMIEKGFHPNEYTYNTMIHGFCKIDNFEEAKILYKEMCNKGHKETTVSYNTMMTGLCLHGRTDEAYRLFQEMHQKGIVRDLITYNTIIQGFCKEGKIVESMNLFRELLAQGLQPSTYSYTPLIQKLCQVGAVQEAKGLWNDMKNIGLEPIVGTHDYIIIGLCDQGDAAEGMEWFIEMLNSKLKPKQETLGRLVECLTQRDRLDDSLLVLEFMFRTGYALEKGVCHSLVNKLCWEDNHFVETCLGEILEGK >ONI16650 pep chromosome:Prunus_persica_NCBIv2:G3:9423581:9425792:1 gene:PRUPE_3G113000 transcript:ONI16650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCRVALRVSEGYELLRQVLVNGLVPENAVFNKLISGFCKEKQYTRVSELLHTMIAKNRVPDNYTYQEVINWLCKKGKGLEGLRVFNDLKDRGYAPDIAMYTTMIHGLCTMGWLGEARKLWFEMIEKGFHPNEYTYNTMIHGFCKIDNFEEAKILYKEMCNKGHKETTVSYNTMMTGLCLHGRTDEAYRLFQEMHQKGIVRDLITYNTIIQGFCKEGKIVESMNLFRELLAQGLQPSTYSYTPLIQKLCQVGAVQEAKGLWNDMKNIGLEPIVGTHDYIIIGLCDQGDAAEGMEWFIEMLNSKLKPKQETLGRLVECLTQRDRLDDSLLVLEFMFRTGYALEKGVCHSLVNKLCWEDNHFVETCLGEILEGK >ONI16517 pep chromosome:Prunus_persica_NCBIv2:G3:8155785:8160858:-1 gene:PRUPE_3G103700 transcript:ONI16517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIMSPRKVAVKLDADESFDTQLGPIHKRLKLDSVPQLGLGPGKFEVPPSLYHPLDEPSPLGLHLKKSPSFLDLIQMKLSEENSVKLATLGKRGHKGTTASGASDKLKAMNFPASILRIGTWEYKSRYEGDLVAKCYFAKHKLVWEVLDGSLKNKMEIQWSDVVALKANYPDDGPGTLDVVLARPPLFFRETNPQPRKHTLWQATSDFTAGQATLNRRHFLQCPQGLLFGKHFEKLIQCDPRLNFLSQQPEIVLESPFFDARVTVSGDPDEYGRRFGPKNEEGHAIFGLQDSASPSGTHSPPCKDEQDFIGRGPENYSQEISSPSSVMDTPAIDKIGSFGAETSKTPSNFNQMKVPGLPMSMSMSDFVSHIGQCISGKISGKEQPSRDVLEEITQYLFNDSQFTLPSDEQYVMSRVNSLYCLLQKDPSTTLNLQAKTDDCFDVNDDGKTAETNSGSASAYESKVSDGFPAPKDESNEGKSSAVPNDDDNKQELTMPRRDSFGELLLNIPRIASLPQFLFNWS >ONI14787 pep chromosome:Prunus_persica_NCBIv2:G3:515115:516952:-1 gene:PRUPE_3G008400 transcript:ONI14787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFVALVVIAEIAFLGKLDIAKNTTLVDSWADLFFPAPLIHELAVESDDLGLLSCEEWLEREDAAEYSRDFEKEPKIPVCDTIASFSVSHSCRAIFDFTGLRRRENREETEEAVKFYQLPVRVIAGSGPEVP >ONI19859 pep chromosome:Prunus_persica_NCBIv2:G3:26601582:26604835:-1 gene:PRUPE_3G301700 transcript:ONI19859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAIHQLLRRKLQSQSTAPPFLSSLLHKKDDAGSAGVRSLRALALLGAGVSGLLSFATVASADEAEHGLECPNYPWPHQGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYHDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >ONI19860 pep chromosome:Prunus_persica_NCBIv2:G3:26601582:26604747:-1 gene:PRUPE_3G301700 transcript:ONI19860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAIHQLLRRKLQSQSTAPPFLSSLLHKKDDAGSAGVRSLRALALLGAGVSGLLSFATVASADEAEHGLECPNYPWPHQGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYHDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >ONI15437 pep chromosome:Prunus_persica_NCBIv2:G3:3071402:3071929:1 gene:PRUPE_3G043300 transcript:ONI15437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLSSGIVVIGLLTISVLVLILSIVGWYVYRNRILSYTPTGNEPVKKIEGTVDRRLKPDLITLNYKSDDQTDHEEEGSNCDETRGVQCGVCLRGLENEQLVRQLPKCKHTFHAPCIDTWLHSHYGCPLCRTLIDRLPSDDGVALATPPDQEKNSQEVAPVDNIRISMLSTSII >ONI18800 pep chromosome:Prunus_persica_NCBIv2:G3:23413380:23415195:1 gene:PRUPE_3G240200 transcript:ONI18800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSCSSNINSTSVSPFQPYFPLSSSNYHPPPPPPPSFPCVNQEACSGDIFLHHNIQGYPISGQFPLHNNALMAPPLPQSLTHLGVSSNTVPPSINADDHHPYHNYYGAINNDNIFPHFLHSSREDIVAPPMKKDRHSKIFTAQGLRDRRVRLSINVARQFFDLQDLLGFDKASKTLEWLLTKSRRAIKQLGTRNKHLTCSTSTGRSKSLTSSSGCDDDDADSDTNEVENVASREKEVMLMMKKKMKESESESANVYGTKDSRAKARARARERTREKLMCTTGSRPQMLNQLKLFNELDHHQSNNNCKTMSSSSAKANIGDHPENQELGSLLANQLAHHHEDDPSVHVIKRNKLKQYSSVYSNYNQQKYLNVVSAENTDQSSNSHIQFPNAFQNWDTNGAFPCPNIHCAITSINLSTGN >ONI14914 pep chromosome:Prunus_persica_NCBIv2:G3:1115199:1126722:-1 gene:PRUPE_3G015600 transcript:ONI14914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKTALCLITATGLTFHAFNPNFLSSSSDSFPNFPEKLRAPIHGVNRSSRAIATIAFTAVDYKFTLHGLSVDSDEYRQKLSEVHRRSASRIRKLCEVNRGFYVKAGQFVAALRQVPKEYSLTLSSLQDQAVPYHFKAIKEVLIRNLGPELSDMFLSLDEHPIAAASIAQVHRGVLKGHQEVAIKVQYPDLEQQMKIDTTTMYFLSKSLAWFFPEYRFEWLVSEFVESISLELDFIQEARNSETTANNFANNKWVKVPRVFWDLTTHQVLTMEFCTGQKVDDVEYLKERRIHPMKVAEVLLEVFAEMIFIHGFLHGDPHPGNILVSPEGQNGFSLVILDHGIYKKLDEGFRLDYCQLWKALILLDSKNLQRLGERFGVAKYSRYFPVIFTGRTIDSKSALGKAMSVEERRNLKQELKSLKMEDISSFMESLPSDFLTILRTEFCSESCVLPIEGKCKLL >ONI14912 pep chromosome:Prunus_persica_NCBIv2:G3:1115606:1126675:-1 gene:PRUPE_3G015600 transcript:ONI14912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKTALCLITATGLTFHAFNPNFLSSSSDSFPNFPEKLRAPIHGVNRSSRAIATIAFTAVDYKFTLHGLSVDSDEYRQKLSEVHRRSASRIRKLCEVNRGFYVKAGQFVAALRQVPKEYSLTLSSLQDQAVPYHFKAIKEVLIRNLGPELSDMFLSLDEHPIAAASIAQVHRGVLKGHQEVAIKVQYPDLEQQMKIDTTTMYFLSKSLAWFFPEYRFEWLVSEFVESISLELDFIQEARNSETTANNFANNKWVKVPRVFWDLTTHQVLTMEFCTGQKVDDVEYLKERRIHPMKVAEVLLEVFAEMIFIHGFLHGDPHPGNILVSPEGQNGFSLVILDHGIYKKLDEGFRLDYCQLWKALILLDSKNLQRLGERFGVAKYSRYFPVIFTGRTIDSKSALGKAMSVEERRNLKQELKSLKMEDISSFMESLPSDFLTILRTDGLLRSIVSKLGAPQRVRLLAYGKYALYGLSPKLNPESVVFSRLKANASYYRLRLIIEVLQLLSWMAKVKLLLYTMYEKIRLCC >ONI14913 pep chromosome:Prunus_persica_NCBIv2:G3:1115606:1126675:-1 gene:PRUPE_3G015600 transcript:ONI14913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKTALCLITATGLTFHAFNPNFLSSSSDSFPNFPEKLRAPIHGVNRSSRAIATIAFTAVDYKFTLHGLSVDSDEYRQKLSEVHRRSASRIRKLCEVNRGFYVKAGQFVAALRQVPKEYSLTLSSLQDQAVPYHFKAIKEVLIRNLGPELSDMFLSLDEHPIAAASIAQVHRGVLKGHQEVAIKVQYPDLEQQMKIDTTTMYFLSKSLAWFFPEYRFEWLVSEFVESISLELDFIQEARNSETTANNFANNKWVKVPRVFWDLTTHQVLTMEFCTGQKVDDVEYLKERRIHPMKVAEVLLEVFAEMIFIHGFLHGDPHPGNILVSPEGQNGFSLVILDHGIYKKLDEGFRLDYCQLWKALILLDSKNLQRLGERFGVAKYSRYFPVIFTGRTIDSKSALGKAMSVEERRNLKQELKSLKMEDISSFMESLPSDFLTILRTDGLLRSIVSKLGAPQRVRLLAYGKYALYGLSPKLNPESDFALKVVFSRLKANASYYRLRLIIEVLQLLSWMAKVKLLLYTMYEKIRLCC >ONI14909 pep chromosome:Prunus_persica_NCBIv2:G3:1115199:1126722:-1 gene:PRUPE_3G015600 transcript:ONI14909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKTALCLITATGLTFHAFNPNFLSSSSDSFPNFPEKLRAPIHGVNRSSRAIATIAFTAVDYKFTLHGLSVDSDEYRQKLSEVHRRSASRIRKLCEVNRGFYVKAGQFVAALRQVPKEYSLTLSSLQDQAVPYHFKAIKEVLIRNLGPELSDMFLSLDEHPIAAASIAQVHRGVLKGHQEVAIKFFPEYRFEWLVSEFVESISLELDFIQEARNSETTANNFANNKWVKVPRVFWDLTTHQVLTMEFCTGQKVDDVEYLKERRIHPMKVAEVLLEVFAEMIFIHGFLHGDPHPGNILVSPEGQNGFSLVILDHGIYKKLDEGFRLDYCQLWKALILLDSKNLQRLGERFGVAKYSRYFPVIFTGRTIDSKSALGKAMSVEERRNLKQELKSLKMEDISSFMESLPSDFLTILRTDGLLRSIVSKLGAPQRVRLLAYGKYALYGLSPKLNPESEVLQLLSWMAKVKLLLYTMYEKIRLCC >ONI14911 pep chromosome:Prunus_persica_NCBIv2:G3:1115199:1126722:-1 gene:PRUPE_3G015600 transcript:ONI14911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKTALCLITATGLTFHAFNPNFLSSSSDSFPNFPEKLRAPIHGVNRSSRAIATIAFTAVDYKFTLHGLSVDSDEYRQKLSEVHRRSASRIRKLCEVNRGFYVKAGQFVAALRQVPKEYSLTLSSLQDQAVPYHFKAIKEVLIRNLGPELSDMFLSLDEHPIAAASIAQVHRGVLKGHQEVAIKVQYPDLEQQMKIDTTTMYFLSKSLAWFFPEYRFEWLVSEFVESISLELDFIQEARNSETTANNFANNKWVKVPRVFWDLTTHQVLTMEFCTGQKVDDVEYLKERRIHPMKVAEVLLEVFAEMIFIHGFLHGDPHPGNILVSPEGQNGFSLVILDHGIYKKLDEGFRLDYCQLWKALILLDSKNLQRLGERFGVAKYSRYFPVIFTGRTIDSKSALGKAMSVEERRNLKQELKSLKMEDISSFMESLPSDFLTILRTDGLLRSIVSKLGAPQRVRLLAYGKYALYGLSPKLNPESEVLQLLSWMAKVKLLLYTMYEKIRLCC >ONI14910 pep chromosome:Prunus_persica_NCBIv2:G3:1115606:1126675:-1 gene:PRUPE_3G015600 transcript:ONI14910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKTALCLITATGLTFHAFNPNFLSSSSDSFPNFPEKLRAPIHGVNRSSRAIATIAFTAVDYKFTLHGLSVDSDEYRQKLSEVHRRSASRIRKLCEVNRGFYVKAGQFVAALRQVPKEYSLTLSSLQDQAVPYHFKAIKEVLIRNLGPELSDMFLSLDEHPIAAASIAQVHRGVLKGHQEVAIKFFPEYRFEWLVSEFVESISLELDFIQEARNSETTANNFANNKWVKVPRVFWDLTTHQVLTMEFCTGQKVDDVEYLKERRIHPMKVAEVLLEVFAEMIFIHGFLHGDPHPGNILVSPEGQNGFSLVILDHGIYKKLDEGFRLDYCQLWKALILLDSKNLQRLGERFGVAKYSRYFPVIFTGRTIDSKSALGKAMSVEERRNLKQELKSLKMEDISSFMESLPSDFLTILRTDGLLRSIVSKLGAPQRVRLLAYGKYALYGLSPKLNPESDFALKVVFSRLKANASYYRLRLIIEVLQLLSWMAKVKLLLYTMYEKIRLCC >ONI18247 pep chromosome:Prunus_persica_NCBIv2:G3:21270058:21271345:-1 gene:PRUPE_3G204900 transcript:ONI18247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQVVSAKTALPVEDTTHEEPIKAQEVVTEVAACAAEAEAVAEEPKEAETVVASGEVPKAEAAEADETTPVEVETKEVKVVEEAKEDVVAKEEAEEPTVEKTAEPELVEETKEKLNIDDSAEAPSAEPIAPEPVSEPAAEDPKEEVVVKEEEKPEAVEEEKPAADAAEEKVVKEEPVEKAE >ONI16203 pep chromosome:Prunus_persica_NCBIv2:G3:6221468:6222359:-1 gene:PRUPE_3G084400 transcript:ONI16203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIECHSSIFLIKCLQRACEIEKVLLSLIFLSLKSLPIQKKKKDFLFKPHTSLTSPHVLSSPQLLI >ONI17644 pep chromosome:Prunus_persica_NCBIv2:G3:18993867:18995478:1 gene:PRUPE_3G171100 transcript:ONI17644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFIIIFLKHKKHRNTNKQNLPPGEMGLPWIGQTMEFYKAQRKNSLFDDFIQPRVTKYGKIFSTHLMGSPTVIVNGANANKFLLSNEFKLVVSSWPSASVQLMGKDSIMEKQGERHRCLRGLIGSSLGQAGLETLVPKICNSVQLHLDTKWKGQDKISLYRSTKVLTFTIVFECLLGNKVEAEMLETFERVLEGVFAAPVMIPGSRFWRAKKARKTIEKMLVEVVREKRMQMEGKLEEQGEQGGMLLSRLVAGMIRGEITKEEVVDNVVLLVFAAHDTTSFAIAMTFKMLAQNPYCHSLLLKEHAEIMRSKRPGENLTLDDTKKMKYTWQVARESMRLFPPIFGSFRKAIADIEYEGFTIPRGWKVLWTTYGTHYNSEYFQDPLRFNPSRFDESVPPYVFLAFGGGPRVCAGYQLAKLNILIFVHFVVTRYDWSLLYPDEPVTMDPLPFPSHGMPIKITPKLF >ONI19013 pep chromosome:Prunus_persica_NCBIv2:G3:24256517:24258367:1 gene:PRUPE_3G253400 transcript:ONI19013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLNSVRAVEVCKVAPQPTSPEDHSATPDALPLTLFDLLWLRFAPVQRLFFYQISNSFETTILVSKLKASLSIALQHFRPLAGNITWPRHSPKPVLTYVQGDAVSLTIAESHADFHHLSSRSNFVEAKEYHPLVPQLPISHEKAAAVAFQVTVFPNGNGFSIGTSMHHAILDGKSSTMFVKSWAHICKHLGDDPSGSALPDQLKPFFDRRVVQDPAGLEPIFLNQLQNLDGPNNRSLMVTQFKSPPPDAVRGIFVITRPEIEAMKQWVSTKMTEMIKNEKQSDRPHLSAFSVTYAYTCVCLAKAEEKQSDKPVLMAFSLDCRSRFDPPMPANYFGNCIAGRAVVADRKGVLGEDGLTVAVNEISETIKRVDSDGILKGAETWVQILYPAVSSEERFMGVAGSPRFGIYDTDFGWGRPSKVEVVSIEETGAMSLAESRGGIAGDVEVGLVLEKHHMQAFASVFAKGLQNL >ONI14711 pep chromosome:Prunus_persica_NCBIv2:G3:232562:243985:1 gene:PRUPE_3G003400 transcript:ONI14711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFDHIKDLYDVALKPRLLHTLIRDHVPDTKHPFGSPFELSKVVYMINTHNLLRESVQDLTDQKLINNWRSAIDSWVNHLMELIASDIPDKCWAGICLLGVTCQECSYERFLESYSGWFQKLLSHIQSAETYQFVKVASCSSMADLITRLGGSPNAKRDGTTHAGKLVQQVIQPVLKLLDDDHSEVVWEGAAQLLCTIMSLFPFSINRHYDTAEDVLASKILSGKCSVNILKKLAHCLALLPKSRGDEDSWSLMIQKILFLINGHLNDVFQGFEEETKRHEIIRFLVPPGKDTPPPLGGNKMSGEASTKARKSSERLPMPSVSALMVCCSTMLTTSYPVQVTVPIRSFLALIERVLIVDGSLPHSLLAFMTAMQQEFICSELPLLHSYSLELLTAIIEGVRSQLLPHAAYLVRLLSLYLKRCALPELRIKVYSITRILLISMGVGMAVCLAQEVVNSAFIDLNPIANESGGASSSGNSKPSTEALVQTPQHSHRKRKHGASSGSLEWHNTSRLEGGTPKNHTTSPIAVKIAALRALEALLTVGGALKSEGWRSDVDLLLINIATNSLKGAWGGENGNIYQLNEPGDIGGGMQLAALRALLASFLSSSCVRPTYLAEGLDLFRRGKQETGTKLAEFCAHALLALEVLIHPRALPLADFTDATLLSDRVHYKLPENMYSGSLRPRTPFSGDIQGMMHDAADSDHDDLYDSWLASSKEMEAPVSDLGKTMQAGEPSKTVTVIQDKTLSVDGSFSKETLAGSVQELAATMEDVEMRGNRDESMVESHKLKESIVQFQDIVSPKVVSVVGTTTITEEVFGRVDMESGPSDQRGSNTVNVLVAKGDESLGGGNFATTPKPEKSKGVAFETGNDSDEDSFPDIVDPESE >ONI18438 pep chromosome:Prunus_persica_NCBIv2:G3:21851214:21854874:-1 gene:PRUPE_3G216000 transcript:ONI18438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYTSLCCVILVALLTVTCTNGEDPYRFYNWNVTYGDIYPLGLKQRGILINGQFPGPQIESVTNDNLIINVFNSLDEPFLLSWNGVQQRRNSWQDGVFGTNCPIPPGQNFTYVLQVKDQIGSYFYFPSLGFHKAAGGFGGIKIASRSVIPVPFPPPAGDFTILAGDWFSKNHTDLKAILDSGNNLPSPDGLLINGRGSNGFTFTVDQGKTYRLRISNVGLTTSINFRIQGHKMLLVEVEGTHSLQNTYSSLDIHLGQSYSVLVTADQPAQDYYIVVSTRFTSQVLSTTSILHYSNSAGSVSGPPPGGPTTQIDWSLEQARSLRRNLTASGPRPNPQGSYHYGLINTTRTIRLTSSAPIIDGKQRYAVNSVSFIQPDTPLKLADYFKISGVFSIGSIQDNPTGGGAYLQTSVMNADFRGFAELVFENPEDTVQSWHIDGHSFFVVGMDGGQWEPASRLRYNLRDTISRCTVQVYPNSWTAIYMPLDNVGMWNVRSENWARQYLGQQFYLRVYSPANSWRDEYPIPRNALLCGRALGRRTRPL >ONI19690 pep chromosome:Prunus_persica_NCBIv2:G3:26151165:26155985:1 gene:PRUPE_3G291900 transcript:ONI19690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLRETLYSVLLFQDISFFDREAVGDLTSRLGADCQRLSLVIANDISLILRNVLQGIGALINLLILSRPLALSTLFICCVLSMIFLLYGKYQKKAAKLVQDFTAGANEAAQETFSLMRTVRVYGTERKEFGRFKQWLDKVAFVNIRESVAYGFWCVSFSTLYRSTQIIAVVLGGISIMSGHVSAEQLTKYVLYCEWLIYATWRVTDNVSSMMQSVGASEKVLQLMDLLPSDQVLSKGVKLQGVMGHIQFVNVSFHYPSRAKTPVLDDINVSVQAHEVVAMVGLSGSGKSTLVNLLLRLYEPITGQIYIDGFPLRELDIRWLRGKIGFVPQEPHIFHMSIKSNIEYGCSKDIKKEDVECAAKQAYAHDFISFLPDGYETVVNDNLLSGGQKQRIAVARAILRDPAILILDEATSALDSETEHYVKGVIHALRSDMKAKRTVIVIAHRLSTIKAADRIIVMDGGRITEMGNHTELLEKDGLYANLVGAQTDTLA >ONI19688 pep chromosome:Prunus_persica_NCBIv2:G3:26151237:26155901:1 gene:PRUPE_3G291900 transcript:ONI19688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFHFHQSNLCSLRPSPRRRPSSSYLHHGKPLPNLSTMSIPQIPIHSLTSKPPRRFHIRNEAVEKWVGPFGSAFPGGCCSWWSLSGHDKEEQSCTAAKPITLLHALRRMWDLVESHGSRWVIFVAFTSLIIAALSEISIPSILAESIFAAQSGDAVVFYRNSKILAFLCISSGICSGLRSGCFGIANIILMKHLRETLYSVLLFQDISFFDREAVGDLTSRLGADCQRLSLVIANDISLILRNVLQGIGALINLLILSRPLALSTLFICCVLSMIFLLYGKYQKKAAKLVQDFTAGANEAAQETFSLMRTVRVYGTERKEFGRFKQWLDKVAFVNIRESVAYGFWCVSFSTLYRSTQIIAVVLGGISIMSGHVSAEQLTKYVLYCEWLIYATWRVTDNVSSMMQSVGASEKVLQLMDLLPSDQVLSKGVKLQGVMGHIQFVNVSFHYPSRAKQTPVLDDINVSVQAHEVVAMVGLSGSGKSTLVNLLLRLYEPITGQIYIDGFPLRELDIRWLRGKIGFVPQEPHIFHMSIKSNIEYGCSKDIKKEDVECAAKQAYAHDFISFLPDGYETVVNDNLLSGGQKQRIAVARAILRDPAILILDEATSALDSETEHYVKGVIHALRSDMKAKRTVIVIAHRLSTIKAADRIIVMDGGRITEMGNHTELLEKDGLYANLVGAQTDTLA >ONI19689 pep chromosome:Prunus_persica_NCBIv2:G3:26151162:26155985:1 gene:PRUPE_3G291900 transcript:ONI19689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFHFHQSNLCSLRPSPRRRPSSSYLHHGKPLPNLSTMSIPQIPIHSLTSKPPRRFHIRNEAVEKWVGPFGSAFPGGCCSWWSLSGHDKEEQSCTAAKPITLLHALRRMWDLVESHGSRWVIFVAFTSLIIAALSEISIPSILAESIFAAQSGDAVVFYRNSKILAFLCISSGICSGLRSGCFGIANIILMKHLRETLYSVLLFQDISFFDREAVGDLTSRLGADCQRLSLVIANDISLILRNVLQGIGALINLLILSRPLALSTLFICCVLSMIFLLYGKYQKKAAKLVQDFTAGANEAAQETFSLMRTVRVYGTERKEFGRFKQWLDKVAFVNIRESVAYGFWCVSFSTLYRSTQIIAVVLGGISIMSGHVSAEQLTKYVLYCEWLIYATWRVTDNVSSMMQSVGASEKVLQLMDLLPSDQVLSKGVKLQGVMGHIQFVNVSFHYPSRAKTPVLDDINVSVQAHEVVAMVGLSGSGKSTLVNLLLRLYEPITGQIYIDGFPLRELDIRWLRGKIGFVPQEPHIFHMSIKSNIEYGCSKDIKKEDVECAAKQAYAHDFISFLPDGYETVVNDNLLSGGQKQRIAVARAILRDPAILILDEATSALDSETEHYVKGVIHALRSDMKAKRTVIVIAHRLSTIKAADRIIVMDGGRITEMGNHTELLEKDGLYANLVGAQTDTLA >ONI15972 pep chromosome:Prunus_persica_NCBIv2:G3:5150833:5153653:-1 gene:PRUPE_3G071800 transcript:ONI15972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTSYLTVGKALNFGAGAVRRSLNFPHKFFIRRIQMANNNHTCSLRGGKEDSRGALVVLEGLDRCGKTTQSTRLVANLEKLGHSAELWRFPDRTTSVGQMISSYLSNKSQLDDHTIHLLFSANRWEKRSLMESKLKSGITLVVDRYSYSGVAFSSAKGLDISWCKAPEIGLLAPDLVVYLDIPPEKAAERGGYGGERYEQLEFQKKVGQNYQVLRGPTWKIIDACSPMEDIEEHLQEMVLDCVKTCQEGKPLSCLWSC >ONI15974 pep chromosome:Prunus_persica_NCBIv2:G3:5150563:5154037:-1 gene:PRUPE_3G071800 transcript:ONI15974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTSYLTVGKALNFGAGAVRRSLNFPHKFFIRRIQMANNNHTCSLRGGKEDSRGALVVLEGLDRCGKTTQSTRLVANLEKLGHSAELWRFPDRTTSVGQMISSYLSNKSQLDDHTIHLLFSANRWEKRSLMESKLKSGITLVVDRYSYSGVAFSSAKGLDISWCKAPEIGLLAPDLVVYLDIPPELLKEEATEVRDMSSLSFKRRLAKTIRSSVVPLGRS >ONI15970 pep chromosome:Prunus_persica_NCBIv2:G3:5150563:5154037:-1 gene:PRUPE_3G071800 transcript:ONI15970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTSYLTVGKALNFGAGAVRRSLNFPHKFFIRRIQMANNNHTCSLRGGKEDSRGALVVLEGLDRCGKTTQSTRLVANLEKLGHSAELWRFPDRTTSVGQMISSYLSNKSQLDDHTIHLLFSANRWEKRSLMESKLKSGITLVVDRYSYSGVAFSSAKGLDISWCKAPEIGLLAPDLVVYLDIPPEKAAERGGYGGERYEQLEFQKKVGQNYQVLRGPTWKIIDACSPMEDIEEHLQEMVLDCVKTCQEGKPLSCLWSC >ONI15976 pep chromosome:Prunus_persica_NCBIv2:G3:5152008:5153300:-1 gene:PRUPE_3G071800 transcript:ONI15976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNHTCSLRGGKEDSRGALVVLEGLDRCGKTTQSTRLVANLEKLGHSAELWRFPDRTTSVGQMISSYLSNKSQLDDHTIHLLFSANRWEKRSLMESKLKSGITLVVDRYSYSGVAFSSAKGLDISWCKCTFVGSGDWVIGSRSCSVP >ONI15971 pep chromosome:Prunus_persica_NCBIv2:G3:5150833:5153653:-1 gene:PRUPE_3G071800 transcript:ONI15971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTSYLTVGKALNFGAGAVRRSLNFPHKFFIRRIQMANNNHTCSLRGGKEDSRGALVVLEGLDRCGKTTQSTRLVANLEKLGHSAELWRFPDRTTSVGQMISSYLSNKSQLDDHTIHLLFSANRWEKRSLMESKLKSGITLVVDRYSYSGVAFSSAKGLDISWCKAPEIGLLAPDLVVYLDIPPEKAAERGGYGGERYEQLEFQKKVGQNYQVLRGPTWKIIDACSPMEDIEEHLQEMVLDCVKTCQEGKPLSCLWSC >ONI15973 pep chromosome:Prunus_persica_NCBIv2:G3:5150551:5154052:-1 gene:PRUPE_3G071800 transcript:ONI15973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNHTCSLRGGKEDSRGALVVLEGLDRCGKTTQSTRLVANLEKLGHSAELWRFPDRTTSVGQMISSYLSNKSQLDDHTIHLLFSANRWEKRSLMESKLKSGITLVVDRYSYSGVAFSSAKGLDISWCKAPEIGLLAPDLVVYLDIPPEKAAERGGYGGERYEQLEFQKKVGQNYQVLRGPTWKIIDACSPMEDIEEHLQEMVLDCVKTCQEGKPLSCLWSC >ONI15975 pep chromosome:Prunus_persica_NCBIv2:G3:5150563:5154037:-1 gene:PRUPE_3G071800 transcript:ONI15975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNHTCSLRGGKEDSRGALVVLEGLDRCGKTTQSTRLVANLEKLGHSAELWRFPDRTTSVGQMISSYLSNKSQLDDHTIHLLFSANRWEKRSLMESKLKSGITLVVDRYSYSGVAFSSAKGLDISWCKAPEIGLLAPDLVVYLDIPPELLKEEATEVRDMSSLSFKRRLAKTIRSSVVPLGRS >ONI19778 pep chromosome:Prunus_persica_NCBIv2:G3:26383503:26384986:1 gene:PRUPE_3G297300 transcript:ONI19778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSLSREFSLTDLSLSREFSLTDLSLKQIHKGGKPKHKSNITTLNLKRKLEVNREKENIHLSCAYPSTSSGCSSSGSGSSGSSSSGSGSSGSSSSGSSSSGSSSSGSGSSGSNSSGSGSSSSGSGSSGSSSSGSGSSGSSSSGSGSSGSNSSGSSSSGSGSSGSSPSGSGSSGSSSSGSGSSSSNSSGSGSSGSSSYLSASTSSGNSSGNSSGNSSGNSTGNSTGNSPREGNLNKDRGRMQLKQIHKGGKPKHKSNITTLNLKKKLEANREKENIHLSCACPSTSSGCSSSGLGSSGSSSSGLGSSGSSSSGSGSSGSSSSGSGSSGSSSSGSGSSGSNSSGSGSSSSGSGSLGSSSSGSGSSGSSTSGSSTSGSGSSSSNSSGSGSSSSNSI >ONI17068 pep chromosome:Prunus_persica_NCBIv2:G3:14379053:14379661:1 gene:PRUPE_3G136200 transcript:ONI17068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSAENQCYMRPCPDATFHQTPYLHIPKCDTSYIWIKQLVTDTVGGSTRKDHACIVCTDLKLCHGSYCWKMWETVEVLGGCCLALRESRECINWLCWNKYCKEKEKRLVVGV >ONI19736 pep chromosome:Prunus_persica_NCBIv2:G3:26262414:26263895:1 gene:PRUPE_3G294300 transcript:ONI19736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIGAPLSSKLLFTPSAPTSSSLSLSTHLSVSNQKPTIPKTLACSKPNYQPFLSSTFLTTTNVSTVLRSPPSLRRRRSFTVRMARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASMGNSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVCSGADGPMPQTKEHVLLAKQVGVPNVVVFLNKQDQVDDDELLELVELEVRELLSSYEFPGDDVPVVSGSALLALEALMANPEIKRGENEWVDKIYELMDAVDNYIPIPQRQTDLPFLLAIEDVFSITGRGTVATGRVERGRVKVGDHVDIVGLRETRNTTVTGVEMFQKTLDEAMAGDNVGLLLRGIQKIDIQRGMVVAKPKSITPHTKFEAIVYVLKKEEGGRHSPFFPGYRPQFYMRTTDVTGKVTGIMNDKDEESKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIQSIIE >ONI16170 pep chromosome:Prunus_persica_NCBIv2:G3:6088007:6091021:1 gene:PRUPE_3G082200 transcript:ONI16170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITEGVNNIIIADSHKKNRIQVSNTKKPLFFYVNLAKRYMQQYNEVELSALGMAIATVVTIAEILKNNGLAVEKKIMTSTVDIKDDSRGRPVQKAKIEIILGKSENFDDLMAAAAEEREIAGAEELS >ONI19893 pep chromosome:Prunus_persica_NCBIv2:G3:26703964:26707126:1 gene:PRUPE_3G303900 transcript:ONI19893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSIHRDADSAMKLRLSFGSKTDKLVIPPFPVNDKLANGDRPINDPPLKSHWSPSRSVTAFRDYAGSREEAFFDTKPWLDSDCEDDFYSVNGDFTPSRGNTPVHHSLSIGSSRMNKTPFEDRIPGPSPTEKKKKLIELFQESLKNDDDDDNAVKPTTPSLPPKSVNGTPSVPATNSVSSSERTANGDVATEKEKPIRSVQCCLPSLVSCRSSSQRKKMSPTIAVVDKV >ONI19894 pep chromosome:Prunus_persica_NCBIv2:G3:26703964:26707122:1 gene:PRUPE_3G303900 transcript:ONI19894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSIHRDADSAMKLRLSFGSKTDKLVIPPFPVNDKLANGDRPINDPPLKSHWSPSRSVTAFRDYGSREEAFFDTKPWLDSDCEDDFYSVNGDFTPSRGNTPVHHSLSIGSSRMNKTPFEDRIPGPSPTEKKKKLIELFQESLKNDDDDDNAVKPTTPSLPPKSVNGTPSVPATNSVSSSERTANGDVATEKEKPIRSVQCCLPSLVSCRSSSQRKKMSPTIAVVDKV >ONI15687 pep chromosome:Prunus_persica_NCBIv2:G3:3937808:3945754:1 gene:PRUPE_3G055600 transcript:ONI15687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFYISGFISIDCGLQTDLGYSEKRTGINYIYDATFIDTGESKFTLPSHRDDYQQPYWSVRSFPEGARNCYKINVTRGNKYLIRASFLYGNYDGQDKIPEFELHLGPNLWDTVSLRTSEPNDKELIYVPLRNYIHVCLVNTGSGVPFISALELRPLPNASYQTKTGSLALVSRYDTGQRHNRTGYRYPLDILDRIWCAYNDLDNWTQLDTISTINSDSGDPYQLPSVVMRTASTPKNPCDSLSIIFSLPDKDAEYYGYLHFAEVEILKLNQSRLQYVFWDGAGTFGPFAPQYLSTNTIYSTNAWSTRGPYANISITRAKNSTLPPILNAFEIYMVKHFVEAETNQEDVDAITSIKSTYNIKRNWQGDPCAPQDYIWQGVKCNYQEFESPRIISFEVTKHTIRNLSSSGLTGEIAASISNLTMIQSLDLSNNNLTGPIPEFLSKFLNLTVLNLEKNKFTGSVPVGLIERKNSGFLSLSLCDNAHLSRHVSCILKKKHSFVIPIVSIAGIFILLVVAAICWWGFKRKRQHENIMVLRTESKTYCEHHSISSQVPTATFNFLDPKPQLTKSTKRQFTYSEILKMTNNFERVLGKGGFGTVYHGYMPCVMTLGHVRREAERGGEKETVRPHTKPNHYASTHDTYGGQNPKIKNNYYRSFNNGKRSRHSNTYRSGGNNPSKTNNRAPLLFEPNPEEEKRGVIFRHNDSLIIRVDISNFDVGRILVDTSSSVSVMFGEALNELQVPDHLLDQTVFWMGLRWKRQHEMMKNFKPNRLVECEGQHFAYSEIVKITNDFASTIGRGGFGKVYLGTLTHETQVAVKLLNSSSRQGSKEF >ONI17966 pep chromosome:Prunus_persica_NCBIv2:G3:20174396:20176258:1 gene:PRUPE_3G188500 transcript:ONI17966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKEVASKPHAVCIPLPVQSHIKAMLKFAKLLHHRGFHITFVNTEFNHKRFLKSLGPNSLDGLPDFRFEAILDNLPDSNDDTTQDSTLLCESIRNNFLAPFHALLAKLNNDAIETSSNPPVTCIVSDGLMSTFTITAAEEIGAPIVLFYTIAACSFMGIKQFRAVVEKGLAPLKDESCFTNGYLDTVIDWIPGMRDIRLRDLPTFLRTTNPDDLKFNFIMEATDRAHEASAVVLHTFDALESDVLEALSSMFPCVCTVGPLQLHLNEIPEHPLKMGYSLWKEETECLEWLNTKAPNSVVYVNFGSIAVMTPQQLEEFGWGLENSKLPFFWVIRPDLVIGESAILPPEFVAETKERSLIAGWCPQEQVLNHPSVGGFLTHSGWNSTVESLTSGVPMLCWPIFADQQMDCRYACNEWGIGMEVSNDVKRDEVEKLVKELMEGEKGKKMKNKVMEWKKLAEEATGPHGSSSTNLDNLVNQVLLRKS >ONI19532 pep chromosome:Prunus_persica_NCBIv2:G3:25763348:25767496:-1 gene:PRUPE_3G283700 transcript:ONI19532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYNNDGVLSPIYSLGSSHDVRISKHVHDNVHGNIYLDPLSVKFIDTEEFQRLRELKQLGVTHMVYPGGVHSRFEHSLGVYWLAGEAVQKLKNYQGSDLDIDRFDLQTVKIAGLLHDVGHGPFSHLFEREFLPQVRNGFHWSHEDMSVKMVDHIVNQHHIDIDREMIKRVKEMILASSEFAMPRSSREKHFLYEIVANGRNGIDVDKFDYIVRDCRACGLGCNFEFQRLMETMRVMDDEICYRAKDYLTVHKLFATRADLYRTVYTHPKVKAIELMVVDALVKANDYLDIASHIEDPSQYWKLDDTIIRTIETAPNEELKEARDLILRIRRRNLYQFCNEYAVPKDKMENFKNVTAQDIVCSQKSGVTLKEEDVAVCNVRIDLTRGRHSPLESINFFQDYDSEEKFTIREDHISHLLPTSYQDMLVRVYSKKPELVGAISEAFENFQLKTYGVKAQVHATPEKKKRRILS >ONI19533 pep chromosome:Prunus_persica_NCBIv2:G3:25763348:25767721:-1 gene:PRUPE_3G283700 transcript:ONI19533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYNNDGVLSPIYSLGSSHDVRISKHVHDNVHGNIYLDPLSVKFIDTEEFQRLRELKQLGVTHMVYPGGVHSRFEHSLGVYWLAGEAVQKLKNYQGSDLDIDRFDLQTVKIAGLLHDVGHGPFSHLFEREFLPQVRNGFHWSHEDMSVKMVDHIVNQHHIDIDREMIKRVKEMILASSEFAMPRSSREKHFLYEIVANGRNGIDVDKFDYIVRDCRACGLGCNFEFQRLMETMRVMDDEICYRAKDYLTVHKLFATRADLYRTVYTHPKVKAIELMVVDALVKANDYLDIASHIEDPSQYWKLDDTIIRTIETAPNEELKEARDLILRIRRRNLYQFCNEYAVPKDKMENFKNVTAQDIVCSQKSGVTLKEEDVAVCNVRIDLTRGRHSPLESLMCLFRIMTVRRNSLYVRTTLVTCCLHHIKIC >ONI19531 pep chromosome:Prunus_persica_NCBIv2:G3:25763348:25767721:-1 gene:PRUPE_3G283700 transcript:ONI19531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYNNDGVLSPIYSLGSSHDVRISKHVHDNVHGNIYLDPLSVKFIDTEEFQRLRELKQLGVTHMVYPGGVHSRFEHSLGVYWLAGEAVQKLKNYQGSDLDIDRFDLQTVKIAGLLHDVGHGPFSHLFEREFLPQVRNGFHWSHEDMSVKMVDHIVNQHHIDIDREMIKRVKEMILASSEFAMPRSSREKHFLYEIVANGRNGIDVDKFDYIVRDCRACGLGCNFEFQRLMETMRVMDDEICYRAKDYLTVHKLFATRADLYRTVYTHPKVKAIELMVVDALVKANDYLDIASHIEDPSQYWKLDDTIIRTIETAPNEELKEARDLILRIRRRNLYQFCNEYAVPKDKMENFKNVTAQDIVCSQKSGVTLKEEDVAVCNVRIDLTRGRHSPLESINFFQDYDSEEKFTIREDHISHLLPTSYQDMLVRVYSKKPELVGAISEAFENFQLKTYGVKAQVHATPEKKKRRILS >ONI18953 pep chromosome:Prunus_persica_NCBIv2:G3:23942731:23947241:1 gene:PRUPE_3G249200 transcript:ONI18953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDIDELLGRNRPVSIPTKSAIYVWGYNQSGQTGRNGKERQLRIPKQLKPELFGCTAGANSRWLDIACGREHTAAVASDGSLFTWGANDFGQLGDGTEERRKHPKKVKQLQTEFVKSVSCGAHCTAAIAEPRENDGSVSTRRLWIWGQNQGSNFPRLFWGAFTPNTIIRQVSCGAVHVMALSDDGLLQAWGYNEYGQLGRGFTCEGLQGARIINAYAKFLDDAPELVKITQVSCGEYHTAAISEKGEVYTWGLGNMGQLGHCSLQSGEKELLPRRVVALDGIFIKDIACGGIHTCAVTQKGALYAWGGGRSGQLGLGPDTGFFSCTANESESFLRNIPALVVPTSVQLITCGHSHTLISTRDGRIHGWGYNSYGQASNEKSTYAWYPSPLDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLADSVNLKNASEIEDIASRTGSDALARLCERLRQHVLEGDDCEYEDDETTSNRK >ONI18952 pep chromosome:Prunus_persica_NCBIv2:G3:23942731:23947241:1 gene:PRUPE_3G249200 transcript:ONI18952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDIDELLGRNRPVSIPTKSAIYVWGYNQSGQTGRNGKERQLRIPKQLKPELFGCTAGANSRWLDIACGREHTAAVASDGSLFTWGANDFGQLGDGTEERRKHPKKVKQLQTEFVKSVSCGAHCTAAIAEPRENDGSVSTRRLWIWGQNQGSNFPRLFWGAFTPNTIIRQVSCGAVHVMALSDDGLLQAWGYNEYGQLGRGFTCEGLQGARIINAYAKFLDDAPELVKITQVSCGEYHTAAISEKGEVYTWGLGNMGQLGHCSLQSGEKELLPRRVVALDGIFIKDIACGGIHTCAVTQKGALYAWGGGRSGQLGLGPDTGFFSCTANESESFLRNIPALVVPTSVQLITCGHSHTLISTRDGRIHGWGYNSYGQASNEKSTYAWYPSPLDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLADSVNLKNASEIEDIASRTGSDALARLCERLRQHVLEGDDCEYEDDETTSNRK >ONI15368 pep chromosome:Prunus_persica_NCBIv2:G3:2869226:2874045:1 gene:PRUPE_3G039700 transcript:ONI15368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPTIALYASPPSSVCSTTHPCQINAHTSYDFELSSRSASSTASTASTSQKPVTGGLSCLFSSPTVKHASSSSSYSGGGEELGSLWHDRGEELKELSSSFRYSPSKFNGASLNRDQSPISVFQGPVSSSSSGVSSSARSPPMRITRERSNNGDISLNSIRCGSNGLFNGFVRGALGSSCIDYDSPSFEARTDALDVGSSAVVLDDLTFNMEDGFLEGISEPYAKELLLGAQLRHKIFYEDFIIKAFCEAEKAHRGQMRASGDPYLQHCVETAVLLALIGANSTVVAAGLLHDTLDDSFLCYDYIFGKFGAGVADLVEGVSKLSHLSKLARDNNTASKTVEADRLHTMFLAMADARAVLIKLADRLHNMMTLDALPLAKQQRFAKETLEIFVPLANRLGISSWKVQLENLCFKHLNPDQHKELSSKLLDSFDDAMITSATERLERALKDKAISYHVLCGRHKSLYSIYCKMLKKKLNMDEIHDIHGLRLIVDNEEDCYEALKVVHQLWSEVPGKFKDYITQPKFNGYQSLHTVVMGEGMIPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHPSFVLQMVEWARWVVTWQCEAMSRDRSSIGYADSIKPPCTFPSHSDDCPYSYKPHCGQDGPVFVIMIENEKMSVQEFPTNSTIMDLLERTGRGSLRWTPYGFPLKEELRPRLNHAAVSDPTCKLQMGDVVELTPAIPDKSLTEYREEIQRMYDRGMSVSSTGPAASSMVGWRS >ONI17052 pep chromosome:Prunus_persica_NCBIv2:G3:14252468:14256960:-1 gene:PRUPE_3G135200 transcript:ONI17052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGPPVAEAVGPLQLYGYATQGKIDSFKDTIERKLEADEVHDPNARVRLLSRLSPHKNTFLHIAASFGHAKLAAEIIHIHKPLLFEKNFEGDTALHITAKAGDLDTANTLLREALGAENADEVFMLLTLINDAQNTPLHEALIHGHQSVAKCLIEAYLAFTYLINKEEKSPLYLAAEEGFDEIVKLINKKAVEKKPEVCVNGKSPLHAAILGHRNNELLEIISSMEETFESPNDEKGRTPPHCSASIGYLEGIVKELLRHCPDSKELKNFYGENILHVAARCGKDNLVKYFLKKGEFRMLINQKDSNGNTPLHLATMHHHPKVVYRLAWDTRTNLKLLNDRHMTALDITESTLETIASYDGRLTWTVLKSAFAQRDQNSGGGSTDLKLPNEESIRDRVNTLLVVTTLVATMAFAAGFTMPGGNNGDAPQEGMAILLTNAMFQAFVICNTIAMYTAILVAVCLIWALLGDLNLVDTALRLALPLLGIVLTMISLAFMAGFIW >ONI20051 pep chromosome:Prunus_persica_NCBIv2:G3:27069830:27070147:-1 gene:PRUPE_3G312500 transcript:ONI20051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPMKKLCAVLGIAILFASFRHCVPTPTISASPAVLPYGKAPNNNMSSFFPTSPTSEAFGPVAVAVPSSGEFVGKVNSSADFNRGCALVVIYAAALSTLFLNLA >ONI16420 pep chromosome:Prunus_persica_NCBIv2:G3:7365421:7376779:1 gene:PRUPE_3G097300 transcript:ONI16420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDALLPLMKALISNELLRHSDVDVKLSVASCLTQITRITAPDAPYDDERMKEIFQLIVASFENLSHESSRYYTKAASILCIVAKVRSSLLMMDLDCDALILEMFQHFLKITKSNLPDAVFSAMESIMTMVLDESEEIPLDILKALLSSVRKENQDQTVSPISWKLGEKVIENCSAKLKSYLVEAVKSTDIALDDYAEIVASVYQNGSDALKNDNDNDSGKILENENKLMKRTSNEASNSHVTEGLSFAEILDAYQKRGIAAPGAVNPREAGKTVAASTKDEHNVRQKVSKQLQHCHLTKHSKCIDSRDGAQLDNSGSLKATKSEVEPYSGPKKRGRKPNSSKNSEEGHDHAQPNNSFSPKAVKSEVEPYSAPKKRGRKPNSLMNPAEGYDHSWIHTGRKTQKRRKSNDKGSDASPAEGRLHGKAALHLTLEKVTEPPGLEPKPEADIGASSPLPQNNPPGGTQRRRGRPKKQVVADHSANRGPLFAVRREFSSTQAEERTAQKTDTHLTQGFKETSTFEAKARSHPRALERAEKTSEQCLLASTPVVTDTEAAIPFDPDEKPQQQSALDVAYGSTNDQSYVRTGTKTRKGKATSSKESTEASGSKKISKSATKLSEDNEGTHKLFLKRKCTIEKEEASQMPDLDERLVGSRIRVWWPMDKAFYEGVVSSYDPAKKKHLVLYVDGDEENLNLKKQRWQLIDDVLPDFEQVQMENLPKPEASSDTPQKRKRKTKSETSKQENAGLSSKRISRRGVSAGISIVECMKSGSKSADCSTLGELKVINGEEDDQSKENDRLKDDGQVSAGKLEAEKKTGIDSAQIAPETMTSSKGESPKLDIEPSGGECAKELKELSKCAGNAIHPLT >ONI16421 pep chromosome:Prunus_persica_NCBIv2:G3:7365248:7376779:1 gene:PRUPE_3G097300 transcript:ONI16421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDALLPLMKALISNELLRHSDVDVKLSVASCLTQITRITAPDAPYDDERMKEIFQLIVASFENLSHESSRYYTKAASILCIVAKVRSSLLMMDLDCDALILEMFQHFLKITKSNLPDAVFSAMESIMTMVLDESEEIPLDILKALLSSVRKENQDQTVSPISWKLGEKVIENCSAKLKSYLVEAVKSTDIALDDYAEIVASVYQNGSDALKNDNDNDSGKILENENKLMKRTSNEASNSHVTEGLSFAEILDAYQKRGIAAPGAVNPREAGKTVAASTKDEHNVRQKVSKQLQHCHLTKHSKCIDSRDGAQLDNSGSLKATKSEVEPYSGPKKRGRKPNSSKNSEEGHDHAQPNNSFSPKAVKSEVEPYSAPKKRGRKPNSLMNPAEGYDHSWIHTGRKTQKRRKSNDKGSDASPAEGRLHGKAALHLTLEKVTEPPGLEPKPEADIGASSPLPQNNPPGGTQRRRGRPKKQVVADHSANRGPLFAVRREFSSTQAEERTAQKTDTHLTQGFKETSTFEAKARSHPRALERAEKTSEQCLLASTPVVTDTEAAIPFDPDEKPQQQSALDVAYGSTNDQSYVRTGTKTRKGKATSSKESTEASGSKKISKSATKLSEDNEGTHKLFLKRKCTIEKEEASQMPDLDERLVGSRIRVWWPMDKAFYEGVVSSYDPAKKKHLVLYVDGDEENLNLKKQRWQLIDDVLPDFEQVQMENLPKPEASSDTPQKRKRKTKSETSKQENAGLSSKRISRRGVSAGISIVECMKSGSKSADCSTLGELKVINGEEDDQSKENDRLKDDGQVSAGKLEAEKKTGIDSAQIAPETMTSSKGESPKLDIEPSGGECAKELKELSKCAGNAIHPLT >ONI16422 pep chromosome:Prunus_persica_NCBIv2:G3:7368202:7376779:1 gene:PRUPE_3G097300 transcript:ONI16422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTSNEASNSHVTEGLSFAEILDAYQKRGIAAPGAVNPREAGKTVAASTKDEHNVRQKVSKQLQHCHLTKHSKCIDSRDGAQLDNSGSLKATKSEVEPYSGPKKRGRKPNSSKNSEEGHDHAQPNNSFSPKAVKSEVEPYSAPKKRGRKPNSLMNPAEGYDHSWIHTGRKTQKRRKSNDKGSDASPAEGRLHGKAALHLTLEKVTEPPGLEPKPEADIGASSPLPQNNPPGGTQRRRGRPKKQVVADHSANRGPLFAVRREFSSTQAEERTAQKTDTHLTQGFKETSTFEAKARSHPRALERAEKTSEQCLLASTPVVTDTEAAIPFDPDEKPQQQSALDVAYGSTNDQSYVRTGTKTRKGKATSSKESTEASGSKKISKSATKLSEDNEGTHKLFLKRKCTIEKEEASQMPDLDERLVGSRIRVWWPMDKAFYEGVVSSYDPAKKKHLVLYVDGDEENLNLKKQRWQLIDDVLPDFEQVQMENLPKPEASSDTPQKRKRKTKSETSKQENAGLSSKRISRRGVSAGISIVECMKSGSKSADCSTLGELKVINGEEDDQSKENDRLKDDGQVSAGKLEAEKKTGIDSAQIAPETMTSSKGESPKLDIEPSGGECAKELKELSKCAGNAIHPLT >ONI16423 pep chromosome:Prunus_persica_NCBIv2:G3:7368193:7376779:1 gene:PRUPE_3G097300 transcript:ONI16423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTSNEASNSHVTEGLSFAEILDAYQKRGIAAPGAVNPREAGKTVAASTKDEHNVRQKVSKQLQHCHLTKHSKCIDSRDGAQLDNSGSLKATKSEVEPYSGPKKRGRKPNSSKNSEEGHDHAQPNNSFSPKAVKSEVEPYSAPKKRGRKPNSLMNPAEGYDHSWIHTGRKTQKRRKSNDKGSDASPAEGRLHGKAALHLTLEKVTEPPGLEPKPEADIGASSPLPQNNPPGGTQRRRGRPKKQVVADHSANRGPLFAVRREFSSTQAEERTAQKTDTHLTQGFKETSTFEAKARSHPRALERAEKTSEQCLLASTPVVTDTEAAIPFDPDEKPQQQSALDVAYGSTNDQSYVRTGTKTRKGKATSSKESTEASGSKKISKSATKLSEDNEGTHKLFLKRKCTIEKEEASQMPDLDERLVGSRIRVWWPMDKAFYEGVVSSYDPAKKKHLVLYVDGDEENLNLKKQRWQLIDDVLPDFEQVQMENLPKPEASSDTPQKRKRKTKSETSKQENAGLSSKRISRRGVSAGISIVECMKSGSKSADCSTLGELKVINGEEDDQSKENDRLKDDGQVSAGKLEAEKKTGIDSAQIAPETMTSSKGESPKLDIEPSGGECAKELKELSKCAGNAIHPLT >ONI16419 pep chromosome:Prunus_persica_NCBIv2:G3:7364189:7376779:1 gene:PRUPE_3G097300 transcript:ONI16419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEQELEEQLKETGNSLLNTPSATDELLKLLDDANDLLDNVEQGPPRSMQDALLPLMKALISNELLRHSDVDVKLSVASCLTQITRITAPDAPYDDERMKEIFQLIVASFENLSHESSRYYTKAASILCIVAKVRSSLLMMDLDCDALILEMFQHFLKITKSNLPDAVFSAMESIMTMVLDESEEIPLDILKALLSSVRKENQDQTVSPISWKLGEKVIENCSAKLKSYLVEAVKSTDIALDDYAEIVASVYQNGSDALKNDNDNDSGKILENENKLMKRTSNEASNSHVTEGLSFAEILDAYQKRGIAAPGAVNPREAGKTVAASTKDEHNVRQKVSKQLQHCHLTKHSKCIDSRDGAQLDNSGSLKATKSEVEPYSGPKKRGRKPNSSKNSEEGHDHAQPNNSFSPKAVKSEVEPYSAPKKRGRKPNSLMNPAEGYDHSWIHTGRKTQKRRKSNDKGSDASPAEGRLHGKAALHLTLEKVTEPPGLEPKPEADIGASSPLPQNNPPGGTQRRRGRPKKQVVADHSANRGPLFAVRREFSSTQAEERTAQKTDTHLTQGFKETSTFEAKARSHPRALERAEKTSEQCLLASTPVVTDTEAAIPFDPDEKPQQQSALDVAYGSTNDQSYVRTGTKTRKGKATSSKESTEASGSKKISKSATKLSEDNEGTHKLFLKRKCTIEKEEASQMPDLDERLVGSRIRVWWPMDKAFYEGVVSSYDPAKKKHLVLYVDGDEENLNLKKQRWQLIDDVLPDFEQVQMENLPKPEASSDTPQKRKRKTKSETSKQENAGLSSKRISRRGVSAGISIVECMKSGSKSADCSTLGELKVINGEEDDQSKENDRLKDDGQVSAGKLEAEKKTGIDSAQIAPETMTSSKGESPKLDIEPSGGECAKELKELSKCAGNAIHPLT >ONI16828 pep chromosome:Prunus_persica_NCBIv2:G3:11231106:11232792:-1 gene:PRUPE_3G124400 transcript:ONI16828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGAMVCCRERRKEKGERMMPFLGRDLWRELDLGFSSWWPSSSLISPLS >ONI18572 pep chromosome:Prunus_persica_NCBIv2:G3:22362686:22366142:1 gene:PRUPE_3G223900 transcript:ONI18572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASNQNINNLPEELLLRILTFLPTLDSIQTSLISQKWRPLWSRVPSLNLPFELFPSYEPPLDTRQFFAEFIDRVLILRSNSPIHTFRLSFIYHDHYGSHVDSWVRSAITHLHARELHLDFFIHKDFHDEETLNHKYDFPFSVLRNGYVENLCVTRCDLTLPAKMSTMRFCSIGSMYLDQVYLTDHIMSDLILGCPNLEALELQNCWGHHHLKICSTRLQKLVLGYFYDSELQETLVIDCPNLFSISFDCCAFDKFVLKNASSLVEFHVDIVHLIDGSYCYWSKVVRLLGQATNVKHLNVQNWWFKFLTSKDPFPKSFMLHNLNLLELRTGFTQYDLVGMAALLKLCPNLETMILQYLFKIGEDVIRRVTK >ONI18571 pep chromosome:Prunus_persica_NCBIv2:G3:22362686:22366142:1 gene:PRUPE_3G223900 transcript:ONI18571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASNQNINNLPEELLLRILTFLPTLDSIQTSLISQKWRPLWSRVPSLNLPFELFPSYEPPLDTRQFFAEFIDRVLILRSNSPIHTFRLSFIYHDHYGSHVDSWVRSAITHLHARELHLDFFIHKDFHDEETLNHKYDFPFSVLRNGYVENLCVTRCDLTLPAKMSTMRFCSIGSMYLDQVYLTDHIMSDLILGCPNLEALELQNCWGHHHLKICSTRLQKLVLGYFYDSELQETLVIDCPNLFSISFDCCAFDKFVLKNASSLVEFHVDIVHLIDGSYCYWSKVVRLLGQATNVKHLNVQNWWFKFLTSKDPFPKSFMLHNLNLLELRTGFTQYDLVGMAALLKLCPNLETMILQYLFKIGEDDRLSEELLNKPVDLSMPSLKQVTMKAYTATEDELNFLKILIGQGVALQKIVLARTQVGEEGQIGERSLPLVVLYREGSQGWKCSWALYPATPRSIDSEL >ONI19275 pep chromosome:Prunus_persica_NCBIv2:G3:25091266:25092584:-1 gene:PRUPE_3G268900 transcript:ONI19275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQMETIHHYNQVENFFFLIPISLCEILLRLIDKVKQFEDPPEARQVFNNVVNPPCKTVCNSFQFLYRLHFSLCYNVNTTYFNSFVDQRFLGTQNCV >ONI15191 pep chromosome:Prunus_persica_NCBIv2:G3:2204429:2208839:1 gene:PRUPE_3G029500 transcript:ONI15191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLVPMKHDKKKQKVPTIIPTFLPHLFLGQWVFILLLLPCSASAACNQLDQDALLSLALQAPLNLNWSSSTDCCLWEGITCGPDDQGRVVRLWLPRRGLTGVINSSITNLTHLTHLNLSHNSFPGFLPEDLFSSLSSLQVIDLSFNRLIGRLPPSNKISQLQVLNLSSNFFNGTIPSSILAPSVSIFNVSNNSFSGSIPIDNGSNHTSLTFLDLSYNKLNDTIPPGIGLCSKLQVFRAGFNSLSGSLPDEIFNLADLRQLSLPVNSLTGPINDGIMNLTNLQILEIFSNQFSGPIPSQIGSLSRLENLLLHDNNLTGPLPLSLANSTKLSALNLRVNNLTGDLSSFNFSPLQRLTTLDLGNNNFTGEFPKSLYSCKSLTAIRLAGNQLTGQISPEIVALESLAFLSVSTNNMTNATGALRILKGCKNLTTLILSNNFLFEPVPDDKSLGDLDGFQSLRVFSLGGCQFTGQVPTWLAKLKNLQALDLSFNLITGSLPGWLASLPNLFYIDLSNNLLQGGFPNDLCGMPVLTSKEASDKVDRSYLELPLFVRPNNATDQQYNQLSNLPPAIYLSNNSLNGSIPIEIGRLKFIHVLDLSHNKFSGSIPDQISNLTNLEKLDLSYNNLSGEIPVSLKGLHFLSSFSVAYNDLQGLVPSGGQFDTFTMSSFEGNPGLCGPPTVHRTCPQPLSPAASRRSNKNLLIGLTSGICFGIVFIVVMLVVWMLSKRRIIPGGDTDKMDFDTMSSHSATAVTPELDKDTSLVIVFPTNTNEIKDLTITEILKATDDFNQANIIGCGGFGLVYRATFPNGTRLAVKKLSGDLGLMEREFKAEVEALSTAQHENLVSLQGYCVHDGVRLLIYSYMENGSLDYWLHEKADGASQLDWQTRLKIAQGAGCGLAYMHQICEPHIVHRDIKSSNILLDDKFQAHVADFGLSRLILPYQTHVTTELVGTLGYIPPEYGQAWVATLRGDMYSFGVVMLELLTGKRPFEVCKPRASRELVGWVQQMRREGKPEEVFDPLLRGKGFDEEMLQVLDVACMCVNQNPLKRPTIKEVVDWLKNVGTSHQHQNKDQ >ONI15887 pep chromosome:Prunus_persica_NCBIv2:G3:4843697:4848263:1 gene:PRUPE_3G067200 transcript:ONI15887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDMSNNPPTSRTKDFFASPALSLSLAGIFRDAGETAAASREVEEGDEGSGGAGSVRRREDTAEISSENSGPARSRSEDEFDGEGEHDEDDGDGDNKNKKKKRKKYHRHTTEQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKGEMEKLRDENKAMREQINKSCCPNCGTATTSRDASLTTEEQQLRIENARLKSEVEKLRAALVKNPPGTSSPSCSSGHDQENRSSLDFYTGIFGLEKSRIMEIVNQAMEELKKMATAGEPLWVRSVETGREILNYDEYIKEFNIEIPGNGRPKRSIEASRETGVVFVDMPRLVQSFMDVNQWKEMFPCMISKAATVDVISNGEGDNRNGAVQLMFAELQMLTPLVPTREVYFVRCCKQLSAEQWAIVDVSIDKVEDNIDASLVKCRKRPSGCIIEDKSNGHCKVIWVEHLECQKSTIQTMYRTIVNSGLAFGARHWVATLQLQCERLVFFMATNVPMKDSTGVATLAGRKSILKLAQRMTWSFCRAIGASSYHTWTKISSKTGDDIRIASRKNLNDPGEPLGVILCAVSSVWLPVCPYVLFDFLRDETRRNEWDIMINGGPAQTIANLSKGQDRGNAVTIQTMKSKENSMWILQDTCINSYESMVVYAPVDITGMQSVMTGCDASNIAILPSGFSILPDGLESRPMVITSKQEDRSSEGGTLLTAAFQVLTNSSPTAKLTMESVESVNTLISCTLRNIKTSLQCEDG >ONI18493 pep chromosome:Prunus_persica_NCBIv2:G3:22025286:22025861:-1 gene:PRUPE_3G218800 transcript:ONI18493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGKTPRRGLKICCGITAIFLVIVVIVLTTLSLTLLKPKDPKINAKPVGLENIQFSLFPNVTLNVTLGMLITIENRNYGSFMYKNSTAYVHYRNSVVAEVPIEGELVPARGKINTTTSVDLNAETLISNPNFIADVTSGSLNMTSTASLHGKVSLLKIFKFPATAFSTCNISFFILTKSIDSKCASKIKL >ONI16548 pep chromosome:Prunus_persica_NCBIv2:G3:8381027:8385407:1 gene:PRUPE_3G105800 transcript:ONI16548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSSISRRSARIAYCGLFALSLIVSWILREVAAPLLEKIPWITQFNQTHNREWFETDAVLRVSLGNFLFFTILAVMMVGVKSQKDPRDSLHHGGWMMKIISWCLLVIFMFFIPNEIVSFYETISKFGSGFFLLVQVVLLLDFVHGWNDKWVGYDEQFWYIALFVVSLVCYLATFVFSGLLFHWFTPSGHDCGLNTFFIVMTLICVFLFLIVALHPAVNGSILPASVISMYCTYLCYSALASEPREYECNGLHKHSKAVSTGTLTLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGAGKPLLPLDKADEHEEKEKAKPVSYSYSFFHIIFSLASMYSAMLLTGWTTSVGESGKLVDVGWPSVWVRIVTSWATAGLFIWSLLAPILFPEREF >ONI16549 pep chromosome:Prunus_persica_NCBIv2:G3:8380790:8385407:1 gene:PRUPE_3G105800 transcript:ONI16549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSSISRRSARIAYCGLFALSLIVSWILREVAAPLLEKIPWITQFNQTHNREWFETDAVLRVSLGNFLFFTILAVMMVGVKSQKDPRDSLHHGGWMMKIISWCLLVIFMFFIPNEIVSFYETISKFGSGFFLLVQVVLLLDFVHGWNDKWVGYDEQFWYIALFVVSLVCYLATFVFSGLLFHWFTPSGHDCGLNTFFIVMTLICVFLFLIVALHPAVNGSILPASVISMYCTYLCYSALASEPREYECNGLHKHSKAVSTGTLTLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGAGKPLLPLDKADEHEEKEKAKPVSYSYSFFHIIFSLASMYSAMLLTGWTTSVGESGKLVDVGWPSVWVRIVTSWATAGLFIWSLLAPILFPEREF >ONI16550 pep chromosome:Prunus_persica_NCBIv2:G3:8380790:8385407:1 gene:PRUPE_3G105800 transcript:ONI16550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACDACRTVVSSISRRSARIAYCGLFALSLIVSWILREVAAPLLEKIPYAVLRVSLGNFLFFTILAVMMVGVKSQKDPRDSLHHGGWMMKIISWCLLVIFMFFIPNEIVSFYETISKFGSGFFLLVQVVLLLDFVHGWNDKWVGYDEQFWYIALFVVSLVCYLATFVFSGLLFHWFTPSGHDCGLNTFFIVMTLICVFLFLIVALHPAVNGSILPASVISMYCTYLCYSALASEPREYECNGLHKHSKAVSTGTLTLGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGAGKPLLPLDKADEHEEKEKAKPVSYSYSFFHIIFSLASMYSAMLLTGWTTSVGESGKLVDVGWPSVWVRIVTSWATAGLFIWSLLAPILFPEREF >ONI19226 pep chromosome:Prunus_persica_NCBIv2:G3:24937842:24940890:1 gene:PRUPE_3G265900 transcript:ONI19226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVKVPRNYHVPFLFKPKVIPGSIEDPIKLLKKAADTKNLRLGKTVHAHLILSSETSKFLDIFHANSLINLYAKCDRITTARHLFECMPKRNVVSWTALMAGYLHKGLTLEVLGLFKTMVSVDNLCPNEFVFATVLSSCSGSGRVEEGKQCHGYVLKSGLLSYQYVKNALVHMYSSCSEVEAAMRVLNTVPGDDILSYNSVVNGLLEHGHVKEAMDILDMMIGQCKAWDNVTYITIFGVCAHLKDLRLGLQVHSQMLKTDIDCDVFLSSAMIDMYGKCGKVLNALKVFDGLQTRNIVSWTAIMAAYFQNGCFEEALGLLSQMEFEDILPNEYTFAVLLNSCAGLSALRHGDLLHASVEKSGFKDHAIVGNALVNMYSKCGNIQAANDVFLDMTSRDAVTWNAMISGFSHHGLGNEALNVFQDMLEAGERPNNITFVGVLSACAHLGLVQEGFYYLNQLMKQIGIEPGLEHHTCIVGLLSRAGQLDQAEKYMRTMPVKWDIVAWRSLLNACHVHKSYGLGKRVAEVVVQMDPNDVGTYTLLSNMYAKANRWDGVVQIRKLMREKNIKKEPGVSWVEIRNTTHIFVSDDNIHPESSQIHEKVGELLAKIKLLGYVPDIAAVLHDVDDEQKEDYLSYHSEKLAIAYALMKTPTEVPIRVIKNLRICDDCHAAVKLISKVTNRLIIVRDANRFHQFQDGKCSCADYW >ONI14856 pep chromosome:Prunus_persica_NCBIv2:G3:921251:927642:1 gene:PRUPE_3G013000 transcript:ONI14856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDGRNSNTKRKRDDVVPNGNSNSKNDIDLSLLEAVEKSQNAVEAPDLRTLKKVVLSFERRLKDNIGARLKYPDQPDRFADSEVELHEDLQKLKLLAGAPDLYPDLVSLNTVPSILNLLGHDNTDIAIDVVQLLQELTDDEVFDENDEAVGVLVDALVDNNVLELLVQNLQRLNDSDPDESAAVYGTLATIENLIEVKPAVAELVCERTKLFKWLMGKIKVREFDGNKQYASEILAILLQSSVANQKKLGQMNGVDVLLQAVAMYKSKDPKSSDEAEMLENLFDCLCCLLMPLENKERFVKAEGVELMIIIIKQKKSAYGSAIRALDFAMTKYPPACERFIDVLGLKTAFAAFMGKIPINKRNKKERYHEELEERIVSLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYMRYSDRVKAETERMDQLELDDLEMDEEEKYNRKLESGLYTLQLIAVILGHLWCSEHPQMRARIELLLKQQKLTKKDVKDILQEYHDNIGDVDGPEEMERSQAKIQRFISAL >ONI20110 pep chromosome:Prunus_persica_NCBIv2:G3:27235272:27237824:-1 gene:PRUPE_3G315500 transcript:ONI20110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAILSVAKPSLQANGKGFAEFSGLRTSSACLPFGRKTSDDLFSVISFQTSAVGSSGGYKKGIAEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVVVINDTGGVKQASHLLKYDSTLGIFDADVKPAGDNGISVDGKVIKVVSSRNPLDLPWKDLEIDLVIEGTGVFVDREGAGKHLQAGAKKVLITAPGKGDIPTYVVGVNADAYSPDETIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVTKKTFAEEVNAAFRDSSAKELAGILSVCDEPLVSVDFRCTDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >ONI16355 pep chromosome:Prunus_persica_NCBIv2:G3:7037000:7038685:-1 gene:PRUPE_3G093800 transcript:ONI16355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQPTFSSKSSSNFNEFQWVIQIQKTLEEELEDDGEIPVSIFNVPKALLASDPDSYIPQEVAIGPYHYLRPELYEMERYKVAAAKRTQKQLQCLKFQDLVEQLKKLEPRIRACYHKYLDFNGDTLGWMMAIAASFFLELLQIYGAKEGRVFTRVSSSMSHLVDVSGSKSAHHAILRDLVMLENQIPLFVSRRILEFQFTSLEQADDLLLSMLMGLCKELSPFKVVDQDLPKIQVSECAHLLDFLYQMITPKLERRPSEIVEAEDEGESTPHKGSESSDSQNFMKQFLQEVWKLLSKLNKGPVRLLKKLLVSGPVKVFFKLPWTILSNLPGFAMLKQPVSYLFSTQDKEETKPENENSSNSISKPPLIEEITIPSVSDLVKSGVRFVKTNGSLSTISFDPKTVTFYLPATSLDVNTEVILRNLVAYEVSNASGPLVLTRYTELMNGIIDTEEDVKLLREKGIILNRLKSDEEVAKVWNGMSKSIRLTKVPFLDKAIEDVNKYYNGRWKVKMTKFMKVYVFGSWQFLAVLAGLLLLLLMTLQAFCSVYSCSRVFHITTTTT >ONI15340 pep chromosome:Prunus_persica_NCBIv2:G3:2758995:2762183:-1 gene:PRUPE_3G038300 transcript:ONI15340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFGWMQNKLNGKQGNKKPNTVPITTHPAKQEPREEFSDWPHGLLAIGTFGNNDLKENAAESQDIQEDPTSSEEILDNFTPEEVGKLHKELTKLLTRKPNIEKEIADLPLDRFLNCPSSLEVDRRNSNALCSDSADDHKDEDIEKTISVILGRCKEICGDKNKKAIGKKSISFLLKKMFVCRSGFAPQPSLRDTLQESRMEKLLRVMLNKKIINPQGSSRAASMKKYLEDRQIPTKKESNTEDDTKEKINNGCKWVKTDSEYIVLEI >ONI15341 pep chromosome:Prunus_persica_NCBIv2:G3:2760176:2761892:-1 gene:PRUPE_3G038300 transcript:ONI15341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFGWMQNKLNGKQGNKKPNTVPITTHPAKQEPREEFSDWPHGLLAIGTFGNNDLKENAAESQDIQEDPTSSEEILDNFTPEEVGKLHKELTKLLTRKPNIEKEIADLPLDRFLNCPSSLEVDRRNSNALCSDSADDHKDEDIEKTISVILGRCKEICGDKNKKAIGKKSISFLLKKMFVCRSGFAPQPSLRDTLQESRMEKV >ONI16481 pep chromosome:Prunus_persica_NCBIv2:G3:7731043:7735912:1 gene:PRUPE_3G100800 transcript:ONI16481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVITTRYTEMCFAFFTAKKVRQVVWFGGEVRPSKKMAVVNVSVRESTLVKPAEETPGQVLWMTNLDLVILGNHTPSVYFYRQNQNSVHGHHNNFFDPQVLKQALSKALVPFYPLAGRLGMEGNGRGEIDCNSEGALFVVAETGSTIEDFGDFAPTLEFRKLIPAVDYSAGISTYPLLVLQVTYFKCGGVSLGVGLEHRVADGLSGLHFVNTWSDIARGLDLTIPPFIDRTLLRARDPPQPAFDHIEYQPDPPIKTGTKAVGDESATVSIFRLTREQLNILKAKSKEDGNTINYTTYEILAGHVWRCASVARELPDDQETKLHIAVDGRSRLQPPLPPGFFGNVVFAGAPIAAAGDLKSKPTWYAASCIHDTVVRMDNDYLRSALDYLELQPDLSPLVRGAHTFRCPRLGITSWSRLPIYDADFGWGRPIFMGPGGMGYEGLAFVLPSATNDGSLSVAISLQSQHMQSFSKLLYEI >ONI17787 pep chromosome:Prunus_persica_NCBIv2:G3:19532040:19533262:-1 gene:PRUPE_3G178900 transcript:ONI17787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREEEEDKGRVQMLIAMKGHPGTGKTTLANSLASTLKLPLLDKDDVRDKIQSLQPASSSASSVLNDASYRVIWQIAATQLRLGLSVIVDSPLSRRAHFEYLAQLASSSGARLLIVECRPKDEAEWRRRLERRAEAGGGPGWHKPSTWRDMQRLLDGYGGCTEYDVADVPKMVVDTTAALEVEELVSSVVQFIEIHSHRGPRMTSVHQGLNIMD >ONI19265 pep chromosome:Prunus_persica_NCBIv2:G3:25058115:25060169:1 gene:PRUPE_3G268400 transcript:ONI19265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKNDMDKIDDVMLPGFRFHPTDEELVGFYLRRKIQQRLLPIELIKQVDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGSKCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPSLSESAPPPKKLLDKSLPANDAWAICRIFKKTNSMAQRALSHSWGSPFPEPTAASGLLNQGAHCTQFSSENMSCTTDIGSSIQFWSNNDLQQASNASYSAFDTLPYKPINPTGSKPSIFDADQFPNGFMFSPVEMSGPISPALIGDLSNTTGSIDFDGSQQQQFSGFSINLSQNQMQGNMETRENEGGFRKNLSSSTSHANNNNNNNNGQWGSIRPIAFPFSLASDDDAWKPTLPSDSPPCPSDMSTSYSTNKCYT >ONI17152 pep chromosome:Prunus_persica_NCBIv2:G3:14874415:14875390:-1 gene:PRUPE_3G140600 transcript:ONI17152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGVHGNEPVSSTGQCLNSSVLSMSILCVLEFEIPINESKNLSFLQDVFPHISPRFSSIMVDVNGEKQCKRVEVKLRDHVHVPIFPSGLSPKSYDDHFHDYISNIILEQYPKDKPLWEVHIIKYPTSSAAGNLIFKLHHALGDGYSFMSALLSCLQRADNPLPLTSSFTPGIATGW >ONI20019 pep chromosome:Prunus_persica_NCBIv2:G3:26966568:26968264:1 gene:PRUPE_3G310400 transcript:ONI20019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYACIRQGPDHAPRFKASVNFNGEIFESPNYCTTLRQAEHAAAEVALNKLSTRGPSRSLTARVLDETGIYKNLLQETAHRAGLKLPVYTTVRSGPGHVPVFTCTVELAGMSFTGEAAKTKKQAEKNAAIAAWSALKRSMGPLTAKEAEEQDQAVVTGVLSNFRPKDDHNSKQFIRRRDQIQARRRMVRGQCHRDNTIASASSSPSSLSSTSSAANSLQNQHWRLIDLLTDLVPEVSKQKQNSFASLLPPPPPRTASKILPPTTLLNKDNSSSYTLSNSNYRPIPVLQVNVRRGSQVIPPPLEDHQRDEEEWLGTGKSDIIISNNKAIEKEVPSNSNSSSAYRQFPVSNTGRQFPLGPMKALPTSSHMKDRAMYTGGFSPHRIAPAVQIRSVIPVCAAPPAPQSNPSRMMSMKDTSQAALSASSSSTNSGPMQPGTEVPSSASSKFNMPESSSTQLGSEFNKLQLGGGKE >ONI20020 pep chromosome:Prunus_persica_NCBIv2:G3:26965936:26968911:1 gene:PRUPE_3G310400 transcript:ONI20020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYACIRQGPDHAPRFKASVNFNGEIFESPNYCTTLRQAEHAAAEVALNKLSTRGPSRSLTARVLDETGIYKNLLQETAHRAGLKLPVYTTVRSGPGHVPVFTCTVELAGMSFTGEAAKTKKQAEKNAAIAAWSALKRSMGPLTAKEAEEQDQAVVTGVLSNFRPKDDHNSKQFIRRRDQIQARRRMVRGQCHRDNTIASASSSPSSLSSTSSAANSLQNQHWRLIDLLTDLVPEVSKQKQNSFASLLPPPPPRTASKILPPTTLLNKDNSSSYTLSNSNYRPIPVLQVNVRRGSQVIPPPLEDHQRDEEEWLGPMKALPTSSHMKDRAMYTGGFSPHRIAPAVQIRSVIPVCAAPPAPQSNPSRMMSMKDTSQAALSASSSSTNSGPMQPGTEVPSSASSKFNMPESSSTQLGSEFNKLQLGGGKE >ONI20017 pep chromosome:Prunus_persica_NCBIv2:G3:26966409:26968910:1 gene:PRUPE_3G310400 transcript:ONI20017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFVKGDIMYKNQLQELAQRSCFNLPSYACIRQGPDHAPRFKASVNFNGEIFESPNYCTTLRQAEHAAAEVALNKLSTRGPSRSLTARVLDETGIYKNLLQETAHRAGLKLPVYTTVRSGPGHVPVFTCTVELAGMSFTGEAAKTKKQAEKNAAIAAWSALKRSMGPLTAKEAEEQDQAVVTGVLSNFRPKDDHNSKQFIRRRDQIQARRRMVRGQCHRDNTIASASSSPSSLSSTSSAANSLQNQHWRLIDLLTDLVPEVSKQKQNSFASLLPPPPPRTASKILPPTTLLNKDNSSSYTLSNSNYRPIPVLQVNVRRGSQVIPPPLEDHQRDEEEWLGTGKSDIIISNNKAIEKEVPSNSNSSSAYRQFPVSNTGRQFPLGPMKALPTSSHMKDRAMYTGGFSPHRIAPAVQIRSVIPVCAAPPAPQSNPSRMMSMKDTSQAALSASSSSTNSGPMQPGTEVPSSASSKFNMPESSSTQLGSEFNKLQLGGGKE >ONI20018 pep chromosome:Prunus_persica_NCBIv2:G3:26965825:26968910:1 gene:PRUPE_3G310400 transcript:ONI20018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYACIRQGPDHAPRFKASVNFNGEIFESPNYCTTLRQAEHAAAEVALNKLSTRGPSRSLTARVLDETGIYKNLLQETAHRAGLKLPVYTTVRSGPGHVPVFTCTVELAGMSFTGEAAKTKKQAEKNAAIAAWSALKRSMGPLTAKEAEEQDQAVVTGVLSNFRPKDDHNSKQFIRRRDQIQARRRMVRGQCHRDNTIASASSSPSSLSSTSSAANSLQNQHWRLIDLLTDLVPEVSKQKQNSFASLLPPPPPRTASKILPPTTLLNKDNSSSYTLSNSNYRPIPVLQVNVRRGSQVIPPPLEDHQRDEEEWLGTGKSDIIISNNKAIEKEVPSNSNSSSAYRQFPVSNTGRQFPLGPMKALPTSSHMKDRAMYTGGFSPHRIAPAVQIRSVIPVCAAPPAPQSNPSRMMSMKDTSQAALSASSSSTNSGPMQPGTEVPSSASSKFNMPESSSTQLGSEFNKLQLGGGKENP >ONI16730 pep chromosome:Prunus_persica_NCBIv2:G3:10114680:10119019:1 gene:PRUPE_3G118000 transcript:ONI16730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVAGSPSPVTAPRPNSKTASVPVREDCWSEDATFTLIEAWGERHLSLNRGNLRQKHWEEVADAVNACHVTADNPRSRRTDVQCKNRVDTLKKKYKIEKARVSDSNGDYTSPWPFFSLLDSLIGSKFPKPSPPLPRRSTPSPPLPRRRPPSPPLQWTFPVARRSATVKRPAAAFFPAPGDEPVLNQNLDFSAFAAVAAAAAAESEESERSPSRSSVGGSRMRDRDREVGCVELAMAIERFAEIYERVEQRKQRQMVELEKQRMQFAKDLEYHRMQLFMETTKHQLRKIKRAKRSSSSPDNYL >ONI16731 pep chromosome:Prunus_persica_NCBIv2:G3:10114680:10118547:1 gene:PRUPE_3G118000 transcript:ONI16731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVAGSPSPVTAPRPNSKTASVPVREDCWSEDATFTLIEAWGERHLSLNRGNLRQKHWEEVADAVNACHVTADNPRSRRTDVQCKNRVDTLKKKYKIEKARVSDSNGDYTSPWPFFSLLDSLIGSKFPKPSPPLPRRSTPSPPLPRRRPPSPPLQWTFPVARRSATVKRPAAAFFPAPGDEPVLNQNLDFSAFAAVAAAAAAESEESERSPSRSSVGGSRMRDRDREVGCVELAMAIERFAEIYERVEQRKQRQMVELEKQRMQFAKDLEYHRMQLFMETTKHQLRKIKRAKRSSSSPALM >ONI16729 pep chromosome:Prunus_persica_NCBIv2:G3:10114680:10118630:1 gene:PRUPE_3G118000 transcript:ONI16729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVAGSPSPVTAPRPNSKTASVPVREDCWSEDATFTLIEAWGERHLSLNRGNLRQKHWEEVADAVNACHVTADNPRSRRTDVQCKNRVDTLKKKYKIEKARVSDSNGDYTSPWPFFSLLDSLIGSKFPKPSPPLPRRSTPSPPLPRRRPPSPPLQWTFPVARRSATVKRPAAAFFPAPGDEPVLNQNLDFSAFAAVAAAAAAESEESERSPSRSSVGGSRMRDRDREVGCVELAMAIERFAEIYERVEQRKQRQMVELEKQRMQFAKDLEYHRMQLFMETTKHQLRKIKRAKRSSSSPGRQLLIADEV >ONI16728 pep chromosome:Prunus_persica_NCBIv2:G3:10114680:10118547:1 gene:PRUPE_3G118000 transcript:ONI16728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVAGSPSPVTAPRPNSKTASVPVREDCWSEDATFTLIEAWGERHLSLNRGNLRQKHWEEVADAVNACHVTADNPRSRRTDVQCKNRVDTLKKKYKIEKARVSDSNGDYTSPWPFFSLLDSLIGSKFPKPSPPLPRRSTPSPPLPRRRPPSPPLQWTFPVARRSATVKRPAAAFFPAPGDEPVLNQNLDFSAFAAVAAAAAAESEESERSPSRSSVGGSRMRDRDREVGCVELAMAIERFAEIYERVEQRKQRQMVELEKQRMQFAKDLEYHRMQLFMETTKHQLRKIKRAKRSSSSPGRQLLIADEV >ONI16733 pep chromosome:Prunus_persica_NCBIv2:G3:10114889:10116263:1 gene:PRUPE_3G118000 transcript:ONI16733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVAGSPSPVTAPRPNSKTASVPVREDCWSEDATFTLIEAWGERHLSLNRGNLRQKHWEEVADAVNACHVTADNPRSRRTDVQCKNRVDTLKKKYKIEKARVSDSNGDYTSPWPFFSLLDSLIGSKFPKPSPPLPRRSTPSPPLPRRRPPSPPLQWTFPVARRSATVKRPAAAFFPAPGDEPVLNQNLDFSAFAAVAAAAAAESEESERSPSRSSVGGSRMRDRDREVGCVELAMAIERFAEIYERVEQRKQRQMVELEKQRMQFAKDLEYHRMQLFMETTKHQLRKIKRAKRSSSSPGR >ONI16732 pep chromosome:Prunus_persica_NCBIv2:G3:10114680:10118557:1 gene:PRUPE_3G118000 transcript:ONI16732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVAGSPSPVTAPRPNSKTASVPVREDCWSEDATFTLIEAWGERHLSLNRGNLRQKHWEEVADAVNACHVTADNPRSRRTDVQCKNRVDTLKKKYKIEKARVSDSNGDYTSPWPFFSLLDSLIGSKFPKPSPPLPRRSTPSPPLPRRRPPSPPLQWTFPVARRSATVKRPAAAFFPAPGDEPVLNQNLDFSAFAAVAAAAAAESEESERSPSRSSVGGSRMRDRDREVGCVELAMAIERFAEIYERVEQRKQRQMVELEKQRMQFAKDLEYHRMQLFMETTKHQLRKIKRAKRSSSSPGNNGIKGKRLSFTFMVEKFNKENTENF >ONI19557 pep chromosome:Prunus_persica_NCBIv2:G3:25811914:25820009:-1 gene:PRUPE_3G285100 transcript:ONI19557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLARLLCALGLLVLVLPEQLKAVNAGAVGGSRSCGFPAIYNFGDSNSDTGAISAAISEVPPPNGESFFGHPSGRFSDGRLIIDFIAEKLQLPYLSPYLDSLGTNFRHGANFATGGSSIRPGGYSPFHLGIQLSQFIRFKSQSFALYKQLSSNRRLPRPEDFSKALYTFDIGQNDLAYGLQHGTVEEVRASIPAILSHLSQAISQLYKEGARFFWVHDTGPHGCLPYSVIYDQSKPVNLDQSGCVKPLNEVAQEFNRQLKDSVSRLRSELPLAVFTYVDVYSAKYALISNAKSQGFVDPFDFCCGSYYGYHVDCGKKAIVNGTVYGNPCKNPSRHISWDGIHYSQAANLLIAERILNGSFSNPPVSVTEQQQGQTPTSDQSFGP >ONI19560 pep chromosome:Prunus_persica_NCBIv2:G3:25817470:25820104:-1 gene:PRUPE_3G285100 transcript:ONI19560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLARLLCALGLLVLVLPEQLKAVNAGAVGGSRSCGFPAIYNFGDSNSDTGAISAAISEVPPPNGESFFGHPSGRFSDGRLIIDFIAEKLQLPYLSPYLDSLGTNFRHGANFATGGSSIRPGGYSPFHLGIQLSQFIRFKSQSFALYKQLSSNRRLPRPEDFSKALYTFDIGQNDLAYGLQHGTVEEVRASIPAILSHLSQAISQLYKEGARFFWVHDTGPHGCLPYSVIYDQSKPVNLDQSGCVKPLNEVAQEFNRQLKDSVSRLRSELPLAVFTYVDVYSAKYALISNAKSQGFVDPFDFCCGSYYGYHVDCGKKAIVNGTVYGNPCKNPSRHISWDGIHYSQAANLLIAERILNGSFSNPPVSVTEVCRLSKNV >ONI19561 pep chromosome:Prunus_persica_NCBIv2:G3:25818128:25820009:-1 gene:PRUPE_3G285100 transcript:ONI19561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLARLLCALGLLVLVLPEQLKAVNAGAVGGSRSCGFPAIYNFGDSNSDTGAISAAISEVPPPNGESFFGHPSGRFSDGRLIIDFIAEKLQLPYLSPYLDSLGTNFRHGANFATGGSSIRPGGYSPFHLGIQLSQFIRFKSQSFALYKQLSSNRRLPRPEDFSKALYTFDIGQNDLAYGLQHGTVEEVRASIPAILSHLSQAISQLYKEGARFFWVHDTGPHGCLPYSVIYDQSKPVNLDQSGCVKPLNEVAQEFNRQLKDSVSRLRSELPLAVFTYVDVYSAKYALISNAKSQVTMATMLTVERKP >ONI19556 pep chromosome:Prunus_persica_NCBIv2:G3:25812657:25820009:-1 gene:PRUPE_3G285100 transcript:ONI19556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLARLLCALGLLVLVLPEQLKAVNAGAVGGSRSCGFPAIYNFGDSNSDTGAISAAISEVPPPNGESFFGHPSGRFSDGRLIIDFIAEKLQLPYLSPYLDSLGTNFRHGANFATGGSSIRPGGYSPFHLGIQLSQFIRFKSQSFALYKQLSSNRRLPRPEDFSKALYTFDIGQNDLAYGLQHGTVEEVRASIPAILSHLSQAISQLYKEGARFFWVHDTGPHGCLPYSVIYDQSKPVNLDQSGCVKPLNEVAQEFNRQLKDSVSRLRSELPLAVFTYVDVYSAKYALISNAKSQGFVDPFDFCCGSYYGYHVDCGKKAIVNGTVYGNPCKNPSRHISWDGIHYSQAANLLIAERILNGSFSNPPVSVTEQQQGQTPTSDQSFGP >ONI15494 pep chromosome:Prunus_persica_NCBIv2:G3:3281457:3282110:-1 gene:PRUPE_3G045800 transcript:ONI15494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDASKWEIFFYRKRGWTEDDFLLEFRKNPLFMNLSEMNFSSKIDFLMNEIAFQMWLEIQVFYLMV >ONI18185 pep chromosome:Prunus_persica_NCBIv2:G3:20991186:20994395:1 gene:PRUPE_3G201500 transcript:ONI18185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGSNIHHQPSSKMLPPRQQPRAGGLQTSLSLVSSDPRLSPEEPRSNSDHRRESPTESASSRETWPTADAIMAKKMENGKAENDCPEQSVIRRLSSADKISLRDIARERVDIISEKMHHLPDEFQEELKNNLRVILDGNGGSQQRDEFFILQKLVQSRTDLTAKTLIRAHRVQLEILVAINTGILAFLHPNISLSQTSLIEVFVYKRCRNIACQNQIPADDCTCEICTKRNGFCNLCMCVVCNKFDFEVNTCRWVGCDLCSHWTHTDCAIRDGLICMGASGKSGSGSSEMLFRCRACNRTSELLGWVKDVFQHCAPAWDPEALTRELDFVSRIFHGSEDPRGQKLFWKCKELKEKIESGLAESSAACRAILMFFQELEVDSPNPKSLENGESGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMYKKARMAVEACDRELQDKAREVQELKLERQKKKVQIEELEKIVRLKHAEADMFQLKANEAKREAERLQRIALAKSDKSEEEYASSYLKQRLSEAEAEKQYLFEKIKLQESSRASQSSGGGGDPSQLLMYSKMHDMLYNAPPKADCLPNERHPFRKNP >ONI18183 pep chromosome:Prunus_persica_NCBIv2:G3:20991128:20994395:1 gene:PRUPE_3G201500 transcript:ONI18183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGSNIHHQPSSKMLPPRQQPRAGGLQTSLSLVSSDPRLSPEEPRSNSDHRRESPTESASSRETWPTADAIMAKKMENGKAENDCPEQSVIRRLSSADKISLRDIARERVDIISEKMHHLPDEFQEELKNNLRVILDGNGGSQQRDEFFILQKLVQSRTDLTAKTLIRAHRVQLEILVAINTGILAFLHPNISLSQTSLIEVFVYKRCRNIACQNQIPADDCTCEICTKRNGFCNLCMCVVCNKFDFEVNTCRWVGCDLCSHWTHTDCAIRDGLICMGASGKSGSGSSEMLFRCRACNRTSELLGWVKDVFQHCAPAWDPEALTRELDFVSRIFHGSEDPRGQKLFWKCKELKEKIESGLAESSAACRAILMFFQELEVDSPNPKSLENGESGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMYKKARMAVEACDRELQDKAREVQELKLERQKKKVQIEELEKIVRLKHAEADMFQLKANEAKREAERLQRIALAKSDKSEEEYASSYLKQRLSEAEAEKQYLFEKIKLQESSRASQSSGGGGDPSQLLMYSKMHDMLYNAPPKADCLPNERHPFRKNP >ONI18187 pep chromosome:Prunus_persica_NCBIv2:G3:20991414:20994395:1 gene:PRUPE_3G201500 transcript:ONI18187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGSNIHHQPSSKMLPPRQQPRAGGLQTSLSLVSSDPRLSPEEPRSNSDHRRESPTESASSRETWPTADAIMAKKMENGKAENDCPEQSVIRRLSSADKISLRDIARERVDIISEKMHHLPDEFQEELKNNLRVILDGNGGSQQRDEFFILQKLVQSRTDLTAKTLIRAHRVQLEILVAINTGILAFLHPNISLSQTSLIEVFVYKRCRNIACQNQIPADDCTCEICTKRNGFCNLCMCVVCNKFDFEVNTCRWVGCDLCSHWTHTDCAIRDGLICMGASGKSGSGSSEMLFRCRACNRTSELLGWVKDVFQHCAPAWDPEALTRELDFVSRIFHGSEDPRGQKLFWKCKELKEKIESGLAESSAACRAILMFFQELEVDSPNPKSLENGESGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMYKKARMAVEACDRELQDKAREVQELKLERQKKKVQIEELEKIVRLKHAEADMFQLKANEAKREAERLQRIALAKSDKSEEEYASSYLKQRLSEAEAEKQYLFEKIKLQESSRASQSSGGGGDPSQLLMYSKMHDMLYNAPPKADCLPNERHPFRKNP >ONI18184 pep chromosome:Prunus_persica_NCBIv2:G3:20991223:20994395:1 gene:PRUPE_3G201500 transcript:ONI18184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGSNIHHQPSSKMLPPRQQPRAGGLQTSLSLVSSDPRLSPEEPRSNSDHRRESPTESASSRETWPTADAIMAKKMENGKAENDCPEQSVIRRLSSADKISLRDIARERVDIISEKMHHLPDEFQEELKNNLRVILDGNGGSQQRDEFFILQKLVQSRTDLTAKTLIRAHRVQLEILVAINTGILAFLHPNISLSQTSLIEVFVYKRCRNIACQNQIPADDCTCEICTKRNGFCNLCMCVVCNKFDFEVNTCRWVGCDLCSHWTHTDCAIRDGLICMGASGKSGSGSSEMLFRCRACNRTSELLGWVKDVFQHCAPAWDPEALTRELDFVSRIFHGSEDPRGQKLFWKCKELKEKIESGLAESSAACRAILMFFQELEVDSPNPKSLENGESGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMYKKARMAVEACDRELQDKAREVQELKLERQKKKVQIEELEKIVRLKHAEADMFQLKANEAKREAERLQRIALAKSDKSEEEYASSYLKQRLSEAEAEKQYLFEKIKLQESSRASQSSGGGGDPSQLLMYSKMHDMLYNAPPKADCLPNERHPFRKNP >ONI18186 pep chromosome:Prunus_persica_NCBIv2:G3:20991223:20994395:1 gene:PRUPE_3G201500 transcript:ONI18186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGSNIHHQPSSKMLPPRQQPRAGGLQTSLSLVSSDPRLSPEEPRSNSDHRRESPTESASSRETWPTADAIMAKKMENGKAENDCPEQSVIRRLSSADKISLRDIARERVDIISEKMHHLPDEFQEELKNNLRVILDGNGGSQQRDEFFILQKLVQSRTDLTAKTLIRAHRVQLEILVAINTGILAFLHPNISLSQTSLIEVFVYKRCRNIACQNQIPADDCTCEICTKRNGFCNLCMCVVCNKFDFEVNTCRWVGCDLCSHWTHTDCAIRDGLICMGASGKSGSGSSEMLFRCRACNRTSELLGWVKDVFQHCAPAWDPEALTRELDFVSRIFHGSEDPRGQKLFWKCKELKEKIESGLAESSAACRAILMFFQELEVDSPNPKSLENGESGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMYKKARMAVEACDRELQDKAREVQELKLERQKKKVQIEELEKIVRLKHAEADMFQLKANEAKREAERLQRIALAKSDKSEEEYASSYLKQRLSEAEAEKQYLFEKIKLQESSRASQSSGGGGDPSQLLMYSKMHDMLYNAPPKADCLPNERHPFRKNP >ONI18182 pep chromosome:Prunus_persica_NCBIv2:G3:20991223:20994395:1 gene:PRUPE_3G201500 transcript:ONI18182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGSNIHHQPSSKMLPPRQQPRAGGLQTSLSLVSSDPRLSPEEPRSNSDHRRESPTESASSRETWPTADAIMAKKMENGKAENDCPEQSVIRRLSSADKISLRDIARERVDIISEKMHHLPDEFQEELKNNLRVILDGNGGSQQRDEFFILQKLVQSRTDLTAKTLIRAHRVQLEILVAINTGILAFLHPNISLSQTSLIEVFVYKRCRNIACQNQIPADDCTCEICTKRNGFCNLCMCVVCNKFDFEVNTCRWVGCDLCSHWTHTDCAIRDGLICMGASGKSGSGSSEMLFRCRACNRTSELLGWVKDVFQHCAPAWDPEALTRELDFVSRIFHGSEDPRGQKLFWKCKELKEKIESGLAESSAACRAILMFFQELEVDSPNPKSLENGESGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMYKKARMAVEACDRELQDKAREVQELKLERQKKKVQIEELEKIVRLKHAEADMFQLKANEAKREAERLQRIALAKSDKSEEEYASSYLKQRLSEAEAEKQYLFEKIKLQESSRASQSSGGGGDPSQLLMYSKMHDMLYNAPPKADCLPNERHPFRKNP >ONI19979 pep chromosome:Prunus_persica_NCBIv2:G3:26891223:26895340:1 gene:PRUPE_3G308800 transcript:ONI19979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGSWMRLESNASFVPSMRDSVGVMPSEEHTSIELSGTDRPGLLSEMCAVLADLHCNVVNAEIWTHNARAAAVVHVTDDSTGCAIKDLNRLTTIKELLCNVLRGNSELKAAKMTLATPGVTNRDRRLHQLMFADRDYEKVERAELQRIEDKGSRPHVTALDCSQKDYTVVTMRSKDRPKLLFDIICTLTDMQYVVFHGMVNTGRMEAYQEFYIRHVDGLPISSEAERERVVQCLEAAIERRASEGLELQLCTDDRVGLLSDITRIFRENSLCIKRAEISTKGGKAIDTFYVTDVTGNTVDPKIIDSVRRQIGQTILQVRRNSSPPPKAPQGTTMGYLFGNLFKARSFQNFKLIRSYS >ONI19978 pep chromosome:Prunus_persica_NCBIv2:G3:26892019:26894234:1 gene:PRUPE_3G308800 transcript:ONI19978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYSKFVRRMNPPRVVIDNDTCEDATVIQVDSVNKHGILLDVVQVLAEVNLIITKAYISSDGVWFMDVFNVIDQNGKKIRDRDIINYIQMRLESNASFVPSMRDSVGVMPSEEHTSIELSGTDRPGLLSEMCAVLADLHCNVVNAEIWTHNARAAAVVHVTDDSTGCAIKDLNRLTTIKELLCNVLRGNSELKAAKMTLATPGVTNRDRRLHQLMFADRDYEKVERAELQRIEDKGSRPHVTALDCSQKDYTVVTMRSKDRPKLLFDIICTLTDMQYVVFHGMVNTGRMEAYQVKINSF >ONI19975 pep chromosome:Prunus_persica_NCBIv2:G3:26891223:26895356:1 gene:PRUPE_3G308800 transcript:ONI19975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYSKFVRRMNPPRVVIDNDTCEDATVIQVDSVNKHGILLDVVQVLAEVNLIITKAYISSDGVWFMDVFNVIDQNGKKIRDRDIINYIQMRLESNASFVPSMRDSVGVMPSEEHTSIELSGTDRPGLLSEMCAVLADLHCNVVNAEIWTHNARAAAVVHVTDDSTGCAIKDLNRLTTIKELLCNVLRGNSELKAAKMTLATPGVTNRDRRLHQLMFADRDYEKVERAELQRIEDKGSRPHVTALDCSQKDYTVVTMRSKDRPKLLFDIICTLTDMQYVVFHGMVNTGRMEAYQQSAAGFDKLMTALNLMLTSLYREFYIRHVDGLPISSEAERERVVQCLEAAIERRASEGLELQLCTDDRVGLLSDITRIFRENSLCIKRAEISTKGGKAIDTFYVTDVTGNTVDPKIIDSVRRQIGQTILQVRRNSSPPPKAPQGTTMGYLFGNLFKARSFQNFKLIRSYS >ONI19980 pep chromosome:Prunus_persica_NCBIv2:G3:26892999:26895015:1 gene:PRUPE_3G308800 transcript:ONI19980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFNVIDQNGKKIRDRDIINYIQMRLESNASFVPSMRDSVGVMPSEEHTSIELSGTDRPGLLSEMCAVLADLHCNVVNAEIWTHNARAAAVVHVTDDSTGCAIKDLNRLTTIKELLCNVLRGNSELKAAKMTLATPGVTNRDRRLHQLMFADRDYEKVERAELQRIEDKGSRPHVTALDCSQKDYTVVTMRSKDRPKLLFDIICTLTDMQYVVFHGMVNTGRMEAYQEFYIRHVDGLPISSEAERERVVQCLEAAIERRASEGLELQLCTDDRVGLLSDITRIFRENSLCIKRAEISTKGGKAIDTFYVTDVTGNTVDPKIIDSVRRQIGQTILQVRRNSSPPPKAPQGTTMGYLFGNLFKARSFQNFKLIRSYS >ONI19977 pep chromosome:Prunus_persica_NCBIv2:G3:26891223:26895340:1 gene:PRUPE_3G308800 transcript:ONI19977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYSKFVRRMNPPRVVIDNDTCEDATVIQRLESNASFVPSMRDSVGVMPSEEHTSIELSGTDRPGLLSEMCAVLADLHCNVVNAEIWTHNARAAAVVHVTDDSTGCAIKDLNRLTTIKELLCNVLRGNSELKAAKMTLATPGVTNRDRRLHQLMFADRDYEKVERAELQRIEDKGSRPHVTALDCSQKDYTVVTMRSKDRPKLLFDIICTLTDMQYVVFHGMVNTGRMEAYQEFYIRHVDGLPISSEAERERVVQCLEAAIERRASEGLELQLCTDDRVGLLSDITRIFRENSLCIKRAEISTKGGKAIDTFYVTDVTGNTVDPKIIDSVRRQIGQTILQVRRNSSPPPKAPQGTTMGYLFGNLFKARSFQNFKLIRSYS >ONI19976 pep chromosome:Prunus_persica_NCBIv2:G3:26891223:26895414:1 gene:PRUPE_3G308800 transcript:ONI19976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYSKFVRRMNPPRVVIDNDTCEDATVIQVDSVNKHGILLDVVQVLAEVNLIITKAYISSDGVWFMDVFNVIDQNGKKIRDRDIINYIQMRLESNASFVPSMRDSVGVMPSEEHTSIELSGTDRPGLLSEMCAVLADLHCNVVNAEIWTHNARAAAVVHVTDDSTGCAIKDLNRLTTIKELLCNVLRGNSELKAAKMTLATPGVTNRDRRLHQLMFADRDYEKVERAELQRIEDKGSRPHVTALDCSQKDYTVVTMRSKDRPKLLFDIICTLTDMQYVVFHGMVNTGRMEAYQEFYIRHVDGLPISSEAERERVVQCLEAAIERRASEGLELQLCTDDRVGLLSDITRIFRENSLCIKRAEISTKGGKAIDTFYVTDVTGNTVDPKIIDSVRRQIGQTILQVRRNSSPPPKAPQGTTMGYLFGNLFKARSFQNFKLIRSYS >ONI19165 pep chromosome:Prunus_persica_NCBIv2:G3:24803171:24805488:1 gene:PRUPE_3G262800 transcript:ONI19165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRYARYSPLATDENDDVYGKPNDPRFSYTPKSFDKIPWKSILLALFLLFLGSLLLFLSYFIFTGHMGGDLSQAYGLLALGILTFLPGFYETRIAYYAWRGAKGYRFASIPDY >ONI16848 pep chromosome:Prunus_persica_NCBIv2:G3:11457077:11458653:-1 gene:PRUPE_3G125100 transcript:ONI16848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKGLAFCLLLSLSICNLIPPSMARNGVVTMELWCVAKNNAEDAQLQSALDWACGAGGADCSPIQQGGPCYDPTDIQNTASYVFNDYFLKHGMTDESCNFDHTAALTSLNPSYNKCKFPSSLSSTNASLSSPSTAYGMGPSEDLNGSNQISQLWIWHLMTSLLLIAFSWVMG >ONI20025 pep chromosome:Prunus_persica_NCBIv2:G3:26984486:26989288:1 gene:PRUPE_3G310800 transcript:ONI20025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLGMLRRFELHRNDAKDKRDVQPLAQVDELAQAAQDMQDMRNCYDGLLSAAAATANSAYEFSESLREMGACLLEKTALHDDEESGKVFLMLGKVQFELQKLVDSYRSHIFLTITNPSESLLNELRTVEEMKRQCDEKRDVYEYMVAQQKEKGRSKRGKGEHFSLQQLQVAHDEYDEEATLCVFRLKSLKQGQARSLLTQATRHHAAQLNFFRKGLKSLEAVEPHVRFITEEQHIEYQFSGLEDDGGDDGEDNGEDNGENSNDSNEDGELSFNYRSSKQGIDITSASRNSMEVDEVGLLSPQASRVEHADINLDKNQWDLRVSSREPRIGSHSAPIFAEKKFDPAEKARQLQASTTRKSNTYVLPTPIDAKGLISSRTSSTVPVTRPSGRTHNLWHSSPLEEKDSGDDNLSGQTFLKAQLVQKESNSNNTSTQLPPPLEGLTLPQLDTFNASDTKKIKRHAFSGPITSKSSTTKPVLYASGPIASSELPQLVSGMLSRLPNPQPSSPKVSPSASPPLVSSPKISELHELPRPPGSFGVKPTKSSGFVGHSAPLVLRNQELNVPNKIPSVTSNMASPLPTPPPLVVPRSFSIPSSNQRAMALHVARHLESPQVPGKAEEGASPPLTPISLSNLKQVSTVSEVASHSSPIRVDAGGS >ONI20026 pep chromosome:Prunus_persica_NCBIv2:G3:26984486:26989288:1 gene:PRUPE_3G310800 transcript:ONI20026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLGMLRRFELHRNDAKDKRDVQPLAQVDELAQAAQDMQDMRNCYDGLLSAAAATANSAYEFSESLREMGACLLEKTALHDDEESGKVFLMLGKVQFELQKLVDSYRSHIFLTITNPSESLLNELRTVEEMKRQCDEKRDVYEYMVAQQKEKGRSKRGKGEHFSLQQLQVAHDEYDEEATLCVFRLKSLKQGQARSLLTQATRHHAAQLNFFRKGLKSLEAVEPHVRFITEEQHIEYQFSGLEDDGGDDGEDNGEDNGENSNDSNEDGELSFNYRSSKQGIDITSASRNSMEVDEVGLLSPQASRVEHADINLDKNQWDLRVSSREPRIGSHSAPIFAEKKFDPAEKARQLQASTTRKSNTYVLPTPIDAKGLISSRTSSTVPVTRPSGRTHNLWHSSPLEEKDSGDDNLSGQTFLKAQLVQKESNSNNTSTQLPPPLEGLTLPQLDTFNASDTKKIKRHAFSGPITSKSSTTKPVLYASGPIASSELPQLVSGMLSRLPNPQPSSPKVSPSASPPLVSSPKISELHELPRPPGSFGVKPTKSSGFVGHSAPLVLRNQELNVPNKIPSVTSNMASPLPTPPPLVVPRSFSIPSSNQRAMALHVARHLESPQVPGKAEEGASPPLTPISLSNLKQVSTVSEVASHSSPIRGGS >ONI19916 pep chromosome:Prunus_persica_NCBIv2:G3:26734987:26735720:1 gene:PRUPE_3G304700 transcript:ONI19916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNLVFFMFRQIGPAMFRNTQVSMSCVGGCGCLLHHQSHPIVICSLLFFFQIQIKDKVNELGSHSANSQILDLHVFPMVPDVSILQKQLYNML >ONI17060 pep chromosome:Prunus_persica_NCBIv2:G3:14298914:14303960:-1 gene:PRUPE_3G135700 transcript:ONI17060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLITIVLARITRIIYWGLGSPLLSDYEHLWIQLADGFARVWTGVLKKEAHKLQNLDEIADPYQKLYKCATEGRLDQFLVTVGKRESTNDANVDIQLLCELSPLKNTFLHIAVSFGHEVLASEIVKLHKPLLFEKNFQGDTALHLAARDGNLDMVKYLCSSEGEATDIENYMSSRNPGSGESNVTDIENDRMRLLRMKNDEENMALHEALIKGHKKVATYLIVADPDHDHPVSFSTNKEGKSPLYLAIQAGDVRIVKCISQRYRSPRNLAVEGKSPLHAAILAKNKELLEIISTMEFTINVKDEKGRTPLHYAASTGYLEGVRFFLDKCPSDSNQADTSGFLPIHSASSKGYDKIVEELLRHFPASKELPNSDGQNILHLAAKFGKHALVNYFLRQGNGFRMLINQQDKEGNTPLHLATIHRHPRVVKYFTWEKKTNLMLLNNKGMTALDIAESTMEAVASFHGRAQPLHVLSRTNAQSPQQDDVGDKDGHVATMSQDVRDEIINSCRADRMELQFPNKKSYKDRVNTLLVVTTLIATVTFAAGFTMPGGYNNSGPHEGKATLLTEVMFQVFVISNTIAMYSSIFVAVTLIWAQVGDLILVFTALRLVMPLLGVALGMLSLAFMAGVYVVVSNLRWLSIVVLIIGICFLYSVIIFFSPLFFPDTTPTFHYITYYPFYLAALSYSNDLEEE >ONI17061 pep chromosome:Prunus_persica_NCBIv2:G3:14298914:14304089:-1 gene:PRUPE_3G135700 transcript:ONI17061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLITIVLARITRIIYWGLGSPLLSDYEHLWIQLADGFARVWTGVLKKEAHKLQNLDEIADPYQKLYKCATEGRLDQFLVTVGKRESTNDANVDIQLLCELSPLKNTFLHIAVSFGHEVLASEIVKLHKPLLFEKNFQGDTALHLAARDGNLDMVKYLCSSEGEATDIENYMSSRNPGSGESNVTDIENDRMRLLRMKNDEENMALHEALIKGHKKVATYLIVADPDHDHPVSFSTNKEGKSPLYLAIQAGDVRIVKCISQRYRSPRNLAVEGKSPLHAAILAKNKELLEIISTMEFTINVKDEKGRTPLHYAASTGYLEGVRFFLDKCPSDSNQADTSGFLPIHSASSKGYDKIVEELLRHFPASKELPNSDGQNILHLAAKFGKHALVNYFLRQGNGFRMLINQQDKEGNTPLHLATIHRHPRVVKYFTWEKKTNLMLLNNKGMTALDIAESTMEAVASFHGRLTWVALKSGGAQRAQPLHVLSRTNAQSPQQDDVGDKDGHVATMSQDVRDEIINSCRADRMELQFPNKKSYKDRVNTLLVVTTLIATVTFAAGFTMPGGYNNSGPHEGKATLLTEVMFQVFVISNTIAMYSSIFVAVTLIWAQVGDLILVFTALRLVMPLLGVALGMLSLAFMAGVYVVVSNLRWLSIVVLIIGICFLYSVIIFFSPLFFPDTTPTFHYITYYPFYLAALSYSNDLEEE >ONI18445 pep chromosome:Prunus_persica_NCBIv2:G3:21874984:21876811:1 gene:PRUPE_3G216500 transcript:ONI18445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLSSSLSFINLTNSKSPISEFPSKPKTHLPKSLSSTVCCSFSSSDLGFQCKEPFFFQKGRYPHGRRFKFTSCAIPGFDYGNFESAQSVLEAAAVLTAIIVVHESGHFLAAYLQGIHVSKFAVGFGPILAKFNANNVEYSLRAFPLGGFVGFPDNDPDSDIPVDDKNLLKNRPILDRAIVVSAGVVANIIFAYLIIFTQVLSVGLPVQEAYPGVLVPEVRPFSAASRDGLLPGDVILEVNGIELPKGGPNGVSEIVDVIKQNAKRNVVLKVERGQQDFEIGIIPDENYDGTGKIGVQLSPNVRFTKVKPKSIPDAFNYTGREFWGLSSNVLDSLKQTFLNFSQTAGKVAGPVAIIAVGAEVARWRYLGFHPHRGC >ONI18443 pep chromosome:Prunus_persica_NCBIv2:G3:21874984:21878313:1 gene:PRUPE_3G216500 transcript:ONI18443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLSSSLSFINLTNSKSPISEFPSKPKTHLPKSLSSTVCCSFSSSDLGFQCKEPFFFQKGRYPHGRRFKFTSCAIPGFDYGNFESAQSVLEAAAVLTAIIVVHESGHFLAAYLQGIHVSKFAVGFGPILAKFNANNVEYSLRAFPLGGFVGFPDNDPDSDIPVDDKNLLKNRPILDRAIVVSAGVVANIIFAYLIIFTQVLSVGLPVQEAYPGVLVPEVRPFSAASRDGLLPGDVILEVNGIELPKGGPNGVSEIVDVIKQNAKRNVVLKVERGQQDFEIGIIPDENYDGTGKIGVQLSPNVRFTKVKPKSIPDAFNYTGREFWGLSSNVLDSLKQTFLNFSQTAGKVAGPVAIIAVGAEVARSNVDGLYQFAALLNLNLAIINLLPLPALDGGTLAFILIEAARGGRKLPLEVEQGIMSSGITVVIFVGLFLIVRDTLNLDFIKDML >ONI18444 pep chromosome:Prunus_persica_NCBIv2:G3:21874984:21878010:1 gene:PRUPE_3G216500 transcript:ONI18444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISLSSSLSFINLTNSKSPISEFPSKPKTHLPKSLSSTVCCSFSSSDLGFQCKEPFFFQKGRYPHGRRFKFTSCAIPGFDYGNFESAQSVLEAAAVLTAIIVVHESGHFLAAYLQGIHVSKFAVGFGPILAKFNANNVEYSLRAFPLGGFVGFPDNDPDSDIPVDDKNLLKNRPILDRAIVVSAGVVANIIFAYLIIFTQVLSVGLPVQEAYPGVLVPEVRPFSAASRDGLLPGDVILEVNGIELPKGGPNGVSEIVDVIKQNAKRNVVLKVERGQQDFEIGIIPDENYDGTGKIGVQLSPNVRFTKVKPKSIPDAFNYTGREFWGLSSNVLDSLKQTFLNFSQTAGKVAGPVAIIAVGAEVARWRYLGFHPHRGC >ONI15265 pep chromosome:Prunus_persica_NCBIv2:G3:2488054:2489897:-1 gene:PRUPE_3G034000 transcript:ONI15265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKKSKKSKKDRKLKKNLSLVPVEPKARDSDWWDSFFNPRRLLSVEKQVAITLRRLASHESQVSVGPAFGVGQSTVSQHHLKWPDSNRMEEIKSKLEEAFGLPNCCGAIDGIDIIMTLPTGIVDQELRFLDIVSGWPGGMTVSRLLKCSGFFKLCEGGQRLNENVRTLSGGVEIREYLVGAARSLAVTAFLQLKGTWRILNKVMWRPDKQKHLRIILVGCLLHNIRIDSGDILQPDVALSGHHDSGYGEQCCRQVDPLGRTMRDILVKHLLHSKQTAAPK >ONI18390 pep chromosome:Prunus_persica_NCBIv2:G3:21679619:21684905:1 gene:PRUPE_3G212600 transcript:ONI18390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSVSGEVGGAGGAYSYSALKRLDQLWSSICSAQTVVEEPKQVVSSVPGFFSNSDLADKAVDTFDVLICGGTLGIFIATALCAKGLRVGIVERNVLKGREQEWNISRKELLELVEIGVLVEDDIELVTAAKFNPNRCGFEGKGDIWVEDILNLGVSPAKLIEVVKNRFITLGGVIFEGNSVSSISIYEDAAVLQLNEGNILTSRLIIDAMGNFSPIVKQIRSGRKPDGVCLVVGSCARGFKDNSTSDVIYTSSLVKKVGASKAQLFWEAFPAGSGPADRTTYMFTYLAPQPQSPKLEELLEEYWKLMPEYQGVSLDDLEIQRVLYGIFPTYCDSPLPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHLKRLSTGIYEAMSNNLLDSYSLSLLNPYMPNLSASWLFQRAMSAKQQSNVSPDFINELLYANFQSMQRLGDPVLRPFLQDVVQFGPLAKTLGLVMLTKPQIIPSIFKQVGIPVLLDWSVHFFMLGYYTFLSSFADPVVRPFLSTLPSKEKYEWKRRLEAWKYGAGLDYKS >ONI18389 pep chromosome:Prunus_persica_NCBIv2:G3:21679799:21684300:1 gene:PRUPE_3G212600 transcript:ONI18389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHVQLINGFFQGPKQSPLSQRRARAGRYLCLQTQANPSRTQRIMESLSVSGEVGGAGGAYSYSALKRLDQLWSSICSAQTVVEEPKQVVSSVPGFFSNSDLADKAVDTFDVLICGGTLGIFIATALCAKGLRVGIVERNVLKGREQEWNISRKELLELVEIGVLVEDDIELVTAAKFNPNRCGFEGKGDIWVEDILNLGVSPAKLIEVVKNRFITLGGVIFEGNSVSSISIYEDAAVLQLNEGNILTSRLIIDAMGNFSPIVKQIRSGRKPDGVCLVVGSCARGFKDNSTSDVIYTSSLVKKVGASKAQLFWEAFPAGSGPADRTTYMFTYLAPQPQSPKLEELLEEYWKLMPEYQGVSLDDLEIQRVLYGIFPTYCDSPLPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHLKRLSTGIYEAMSNNLLDSYSLSLLNPYMPNLSASWLFQRAMSAKQQSNVSPDFINELLYANFQSMQRLGDPVLRPFLQDVVQFGPLAKTLGLVMLTKPQIIPSIFKQVGIPVLLDWSVHFFMLGYYTFLSSFADPVVRPFLSTLPSKEKYEWKRRLEAWKYGAGLDYKS >ONI18388 pep chromosome:Prunus_persica_NCBIv2:G3:21679699:21684905:1 gene:PRUPE_3G212600 transcript:ONI18388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRMYKEGPKQSPLSQRRARAGRYLCLQTQANPSRTQRIMESLSVSGEVGGAGGAYSYSALKRLDQLWSSICSAQTVVEEPKQVVSSVPGFFSNSDLADKAVDTFDVLICGGTLGIFIATALCAKGLRVGIVERNVLKGREQEWNISRKELLELVEIGVLVEDDIELVTAAKFNPNRCGFEGKGDIWVEDILNLGVSPAKLIEVVKNRFITLGGVIFEGNSVSSISIYEDAAVLQLNEGNILTSRLIIDAMGNFSPIVKQIRSGRKPDGVCLVVGSCARGFKDNSTSDVIYTSSLVKKVGASKAQLFWEAFPAGSGPADRTTYMFTYLAPQPQSPKLEELLEEYWKLMPEYQGVSLDDLEIQRVLYGIFPTYCDSPLPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHLKRLSTGIYEAMSNNLLDSYSLSLLNPYMPNLSASWLFQRAMSAKQQSNVSPDFINELLYANFQSMQRLGDPVLRPFLQDVVQFGPLAKTLGLVMLTKPQIIPSIFKQVGIPVLLDWSVHFFMLGYYTFLSSFADPVVRPFLSTLPSKEKYEWKRRLEAWKYGAGLDYKS >ONI19541 pep chromosome:Prunus_persica_NCBIv2:G3:25772072:25775502:-1 gene:PRUPE_3G284000 transcript:ONI19541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSRKSIRNMAMKGVIASAVRTLASSGSSASSTTFTRHLHASAGSKKIVGVFYKANEYAELNPNFLGCEERALGIKDWLESQGHKYIVTDDKDGPDCELDKHIQDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGVGSDHIDLKAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFVPGYTQIVNGEWKVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCHLLYHDRFKIDPELEQQIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGMFEKIELIAKCKKGVLIVNNARGAIMDTQAVVDASSSGHIAGYSGDVWNPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPAQNYIVKDGKIASQYQ >ONI19543 pep chromosome:Prunus_persica_NCBIv2:G3:25772071:25775788:-1 gene:PRUPE_3G284000 transcript:ONI19543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKGVIASAVRTLASSGSSASSTTFTRHLHASAGSKKIVGVFYKANEYAELNPNFLGCEERALGIKDWLESQGHKYIVTDDKDGPDCELDKHIQDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGVGSDHIDLKAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFVPGYTQIVNGEWKVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCHLLYHDRFKIDPELEQQIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGLFDKERIAKCKKGVLIVNNARGAIMDTQAVVDASSSGHIAGYSGDVWNPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPAQNYIVKDGKIASQYQ >ONI19542 pep chromosome:Prunus_persica_NCBIv2:G3:25772300:25774857:-1 gene:PRUPE_3G284000 transcript:ONI19542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKGVIASAVRTLASSGSSASSTTFTRHLHASAGSKKIVGVFYKANEYAELNPNFLGCEERALGIKDWLESQGHKYIVTDDKDGPDCELDKHIQDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGVGSDHIDLKAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFVPGYTQIVNGEWKVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCHLLYHDRFKIDPELEQQIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGLFDKERIAKCKKGVLIVNNARGAIMDTQAVVDASSSGHIAGYSGDVWNPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPAQNYIVKDGKIASQYQ >ONI19540 pep chromosome:Prunus_persica_NCBIv2:G3:25772071:25775566:-1 gene:PRUPE_3G284000 transcript:ONI19540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSRKSIRNMAMKGVIASAVRTLASSGSSASSTTFTRHLHASAGSKKIVGVFYKANEYAELNPNFLGCEERALGIKDWLESQGHKYIVTDDKDGPDCELDKHIQDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGVGSDHIDLKAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFVPGYTQIVNGEWKVAGIAHRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCHLLYHDRFKIDPELEQQIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGLFDKERIAKCKKGVLIVNNARGAIMDTQAVVDASSSGHIAGYSGDVWNPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPAQNYIVKDGKIASQYQ >ONI18176 pep chromosome:Prunus_persica_NCBIv2:G3:20963360:20964713:1 gene:PRUPE_3G201000 transcript:ONI18176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAIQQSAFAGQTALKQSNELIRKIGGLGGGRITMRRTVKSTPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDPLYPGGAFDPLGLADDPEAFAELKVKELKNGRLAMTSMFGFFVQAIVTGKGPVENLYDHVADPVANNAWAYATNFVPGK >ONI18177 pep chromosome:Prunus_persica_NCBIv2:G3:20963264:20964787:1 gene:PRUPE_3G201000 transcript:ONI18177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAIQQSAFAGQTALKQSNELIRKIGGLGGGRITMRRTVKSTPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDPLYPGGAFDPLGLADDPEAFAELKVKELKNGRLAMTSMFGFFVQAIVTGKGPVENLYDHVADPVANNAWAYATNFVPGK >ONI15823 pep chromosome:Prunus_persica_NCBIv2:G3:4542415:4543787:-1 gene:PRUPE_3G063300 transcript:ONI15823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSSIVRKTSGASWMRKERESSCLGDFLLVVTSNNHQKPTTFIQLLQQDLLLLLLNHQFLHGLRALGHHGHYPQCAPLPPNPFFTIALPPSTDMKAASGQVRAILSSGKMLFTTHGDCKVRIWDASTAENFRPKKVTTLPQRNRFLFFSRKSSQQHNDHISCLAYNKEDKLLYTGSWDKTVKAWKINERCCVDSFVAHEGQVNGIVINQEDGCVFTCSSDGSVKIWRRVFGESSHILTMTLKFQLSPVNALALSSSPNTTLLYSGSSDGLINFWEKEKMSGRYNHGGFLQGHHFAVLCLVALGELVFKEGNCFHSCISVIEGHHGPVRCLAVSLETENVVGVKGLLVYSASLDQTFKVWRVKVFPTEKVNMDESAKDPQREIVECETSPVLSPSWVEKKLLGNYFQ >ONI18172 pep chromosome:Prunus_persica_NCBIv2:G3:20937748:20941959:1 gene:PRUPE_3G200600 transcript:ONI18172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQWRKFEFFEKKLAGKCSIPEEVSGRIECCSSGRGKVVIGCDDGTVSFLDRGLNFSYGFQAHSSSVLFLQQLKQRNYLVTIGEDEQITPQQSAMCLKVFDLDRMQSEGTSSSSTSPDCIGILRIFTNQFPEAKITSFLVLEEAPPILLIAIGLDNGCIYCIKGDIARERITRFKLEVDNLSDKSQSSVTGLGFRVDGQALQLFAVTPSSVSLFILQNKTSRGQTLDQIGSNANSVAMSDRSELIIGRPEAVYFYEVDGRGPCWAFEGQKKFLGWFRGYLLCVIADQRNGNDTFNIYDLKNRLIAHSLVVKEVSHMLCEWGNIILIMADKSALCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADAAATAEVLRKYGDHLYSKQDYDEAMAQYIHTIGHLEPSYVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVDKLNVFIKSEDGVGEHKFDVETAIRVCRATNYHEHAMYVAKKAGKHEWYLKILLEDLGRYEEALQYISSLEPSQAGVTVKEYGKILVEHKPVETIEILMRLCTEDGESGKRGASNVAYLNMLPSPVDFLNIFIHHLPSLMDFLEKYTNKVKDSPAQVEIHNTLLELYLSNDLSFSSISQASNGEDLNLRARSGATATSRSGSNGKFIADGKDSNKEKDRVEKQEKGLRLLKSAWPSELEHPLYDVDLAIILCEMNEFKEGLLYLYEKMKLYKEVIACYMQVHDHEGLIACCKRLGDSGKGGDPSLWADLLKYFGELGEDCSKEVKEVLTYIERDDILPPIIVLQTLSRNPCLTLSVIKDYIARKLEQESKLIEEDRRAIDKYQETTSAMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCIHSFHQRCLGDNEKECPVCAPEYKSVLETKRSLEQNSKDQDRFFQQVKSSKDGFSVIADYFGKGVISKTSSGPTGGS >ONI16590 pep chromosome:Prunus_persica_NCBIv2:G3:8721588:8724906:-1 gene:PRUPE_3G108500 transcript:ONI16590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQTARRRINTIAAHFAPIDDVSATHVLPMNCSSSLNSVARRDNKMHFARRASASQARFMRQASIKEVTANESFYNTSEAPLFSQPSRIGPNLPTTEMIQNLAQGSILTAPEPKFARPRTVSGEKHLYAKKKVHSSEPNGIEWSPRMDIAESECNYVITVEIPGVNIKDIRVEIDDQKLTVKGKRSTWFWKVAGCSNDSVPTYHKREISQGPYQVAWPLPPNVNKDSVSADFLDGFLQIIVPKL >ONI16591 pep chromosome:Prunus_persica_NCBIv2:G3:8721588:8724819:-1 gene:PRUPE_3G108500 transcript:ONI16591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFARRASASQARFMRQASIKEVTANESFYNTSEAPLFSQPSRIGPNLPTTEMIQNLAQGSILTAPEPKFARPRTVSGEKHLYAKKKVHSSEPNGIEWSPRMDIAESECNYVITVEIPGVNIKDIRVEIDDQKLTVKGKRSTWFWKVAGCSNDSVPTYHKREISQGPYQVAWPLPPNVNKDSVSADFLDGFLQIIVPKL >ONI18254 pep chromosome:Prunus_persica_NCBIv2:G3:21300915:21303899:-1 gene:PRUPE_3G205400 transcript:ONI18254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTQSQRDLSLEFQSQIPILRPSIHTRRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWSLEANKGANWYLQPSISEGIALKSSLKFSALANAITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDLIKATEGKVTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDFLAKKCPRIAMHLEAMEFDVSLVATEWFLCLFSKSLPSETTLRVWDILFYEGAKVILHVALAIFKMKEEQLLITHHVGDVISILQRTTHHLFDPEELLTVAFDKIGSMTTNNISKQRKKQEPAVMKELDQRFRRLNSLKLDDK >ONI18255 pep chromosome:Prunus_persica_NCBIv2:G3:21300587:21304633:-1 gene:PRUPE_3G205400 transcript:ONI18255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTQSQRDLSLEFQSQIPILRPSIHTRRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWSLEANKGANWYLQPSISEGIALKSSLKFSALANAITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDLIKATEGKVTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDFLAKKCPRIAMHLEAMEFDVSLVATEWFLCLFSKSLPSETTLRVWDILFYEGAKVILHVALAIFKMKEEQLLITHHVGDVISILQRTTHHLFDPEELLTVAFDKIGSMTTNNISKQRKKQEPAVMKELDQRFRRLNSLKLDDK >ONI19564 pep chromosome:Prunus_persica_NCBIv2:G3:25820580:25825638:-1 gene:PRUPE_3G285300 transcript:ONI19564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCVPAGSGNLHCRVRDFKGQGCRKASWVSSVTFSGSIHKELSWSAGAPKFLQIQRCNFSQYPINENSKSLRTVTVSSLRDDSTKSFDFAVIGSGVAGLRYALEVAKHGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHVQDTIVAGAYLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVLKDPNIFMFEHHLAIDLLTCQDGSDTVCLGVDTLNTETQEVIRFISKVTLLASGGAGQIYPTTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPAKPTKARENAFLITEAVRGDGGILYNLDMERFMPLYDERAELAPRDVVARGIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLKYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDSSASNWWSRPVVPVSLESNVINKILTMTREIRKEVQSIMWKYVGIVRSTTRLEAAEQKICDLEAKWEEYLFQLGWEPAMVGLEACEMRNFFCCAKLVVSSALARHESRGLHYTIDFPHLEESKRLPTVIFPCSSVRTSWSSRQLHKQPIC >ONI19562 pep chromosome:Prunus_persica_NCBIv2:G3:25820580:25825425:-1 gene:PRUPE_3G285300 transcript:ONI19562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCVPAGSGNLHCRVRDFKGQGCRKASWVSSVTFSGSIHKELSCGVAGLRYALEVAKHGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHVQDTIVAGAYLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVLKDPNIFMFEHHLAIDLLTCQDGSDTVCLGVDTLNTETQEVIRFISKVTLLASGGAGQIYPTTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPAKPTKARENAFLITEAVRGDGGILYNLDMERFMPLYDERAELAPRDVVARGIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLKYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDSSASNWWSRPVVPVSLESNVINKILTMTREIRKEVQSIMWKYVGIVRSTTRLEAAEQKICDLEAKWEEYLFQLGWEPAMVGLEACEMRNFFCCAKLVVSSALARHESRGLHYTIDFPHLEESKRLPTVIFPCSSVRTSWSSRQLHKQPIC >ONI19569 pep chromosome:Prunus_persica_NCBIv2:G3:25821059:25824275:-1 gene:PRUPE_3G285300 transcript:ONI19569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCVPAGSGNLHCRVRDFKGQGCRKASWVSSVTFSGSIHKELSWSAGAPKFLQIQRCNFSQYPINENSKSLRTVTVSSLRDDSTKSFDFAVIGSGVAGLRYALEVAKHGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHVQDTIVAGAYLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVLKDPNIFMFEHHLAIDLLTCQDGSDTVCLGVDTLNTETQEVIRFISKVTLLASGGAGQIYPTTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPAKPTKARENAFLITEAVRGDGGILYNLDMERFMPLYDERAELAPRDVVARGIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLKYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDSSASNWWSRPVVPVSLESNVINKILTMTREIRKEVQSIMWKYVGIVRSTTRLEAAEQKICDLEAKWEEYLFQLGWEPAMVGLEACEMRNFFCCAKLVVSSALARHESRGLHYTIDFPHLEESKRLPTVIFPCSSVRTSWSSRQLHKQPIC >ONI19568 pep chromosome:Prunus_persica_NCBIv2:G3:25821059:25824275:-1 gene:PRUPE_3G285300 transcript:ONI19568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCVPAGSGNLHCRVRDFKGQGCRKASWVSSVTFSGSIHKELSWSAGAPKFLQIQRCNFSQYPINENSKSLRTVTVSSLRDDSTKSFDFAVIGSGVAGLRYALEVAKHGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHVQDTIVAGAYLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVLKDPNIFMFEHHLAIDLLTCQDGSDTVCLGVDTLNTETQEVIRFISKVTLLASGGAGQIYPTTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPAKPTKARENAFLITEAVRGDGGILYNLDMERFMPLYDERAELAPRDVVARGIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLKYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDSSASNWWSRPVVPVSLESNVINKILTMTREIRKEVQSIMWKYVGIVRSTTRLEAAEQKICDLEAKWEEYLFQLGWEPAMVGLEACEMRNFFCCAKLVVSSALARHESRGLHYTIDFPHLEESKRLPTVIFPCSSVRTSWSSRQLHKQPIC >ONI19563 pep chromosome:Prunus_persica_NCBIv2:G3:25821059:25824275:-1 gene:PRUPE_3G285300 transcript:ONI19563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCVPAGSGNLHCRVRDFKGQGCRKASWVSSVTFSGSIHKELSCGVAGLRYALEVAKHGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHVQDTIVAGAYLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVLKDPNIFMFEHHLAIDLLTCQDGSDTVCLGVDTLNTETQEVIRFISKVTLLASGGAGQIYPTTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPAKPTKARENAFLITEAVRGDGGILYNLDMERFMPLYDERAELAPRDVVARGIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLKYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDSSASNWWSRPVVPVSLESNVINKILTMTREIRKEVQSIMWKYVGIVRSTTRLEAAEQKICDLEAKWEEYLFQLGWEPAMVGLEACEMRNFFCCAKLVVSSALARHESRGLHYTIDFPHLEESKRLPTVIFPCSSVRTSWSSRQLHKQPIC >ONI19565 pep chromosome:Prunus_persica_NCBIv2:G3:25820580:25825620:-1 gene:PRUPE_3G285300 transcript:ONI19565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCVPAGSGNLHCRVRDFKGQGCRKASWVSSVTFSGSIHKELSWSAGAPKFLQIQRCNFSQYPINENSKSLRTVTVSSLRDDSTKSFDFAVIGSGVAGLRYALEVAKHGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHVQDTIVAGAYLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVLKDPNIFMFEHHLAIDLLTCQDGSDTVCLGVDTLNTETQEVIRFISKVTLLASGGAGQIYPTTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPAKPTKARENAFLITEAVRGDGGILYNLDMERFMPLYDERAELAPRDVVARGIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLKYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDSSASNWWSRPVVPVSLESNVINKILTMTREIRKEVQSIMWKYVGIVRSTTRLEAAEQKICDLEAKWEEYLFQLGWEPAMVGLEACEMRNFFCCAKLVVSSALARHESRGLHYTIDFPHLEESKRLPTVIFPCSSVRTSWSSRQLHKQPIC >ONI19566 pep chromosome:Prunus_persica_NCBIv2:G3:25821059:25824275:-1 gene:PRUPE_3G285300 transcript:ONI19566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCVPAGSGNLHCRVRDFKGQGCRKASWVSSVTFSGSIHKELSWSAGAPKFLQIQRCNFSQYPINENSKSLRTVTVSSLRDDSTKSFDFAVIGSGVAGLRYALEVAKHGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHVQDTIVAGAYLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVLKDPNIFMFEHHLAIDLLTCQDGSDTVCLGVDTLNTETQEVIRFISKVTLLASGGAGQIYPTTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPAKPTKARENAFLITEAVRGDGGILYNLDMERFMPLYDERAELAPRDVVARGIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLKYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDSSASNWWSRPVVPVSLESNVINKILTMTREIRKEVQSIMWKYVGIVRSTTRLEAAEQKICDLEAKWEEYLFQLGWEPAMVGLEACEMRNFFCCAKLVVSSALARHESRGLHYTIDFPHLEESKRLPTVIFPCSSVRTSWSSRQLHKQPIC >ONI19567 pep chromosome:Prunus_persica_NCBIv2:G3:25821059:25824275:-1 gene:PRUPE_3G285300 transcript:ONI19567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCVPAGSGNLHCRVRDFKGQGCRKASWVSSVTFSGSIHKELSWSAGAPKFLQIQRCNFSQYPINENSKSLRTVTVSSLRDDSTKSFDFAVIGSGVAGLRYALEVAKHGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHVQDTIVAGAYLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVLKDPNIFMFEHHLAIDLLTCQDGSDTVCLGVDTLNTETQEVIRFISKVTLLASGGAGQIYPTTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPAKPTKARENAFLITEAVRGDGGILYNLDMERFMPLYDERAELAPRDVVARGIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLKYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDSSASNWWSRPVVPVSLESNVINKILTMTREIRKEVQSIMWKYVGIVRSTTRLEAAEQKICDLEAKWEEYLFQLGWEPAMVGLEACEMRNFFCCAKLVVSSALARHESRGLHYTIDFPHLEESKRLPTVIFPCSSVRTSWSSRQLHKQPIC >ONI19570 pep chromosome:Prunus_persica_NCBIv2:G3:25820580:25825638:-1 gene:PRUPE_3G285300 transcript:ONI19570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTCVPAGSGNLHCRVRDFKGQGCRKASWVSSVTFSGSIHKELSWSAGAPKFLQIQRCNFSQYPINENSKSLRTVTVSSLRDDSTKSFDFAVIGSGVAGLRYALEVAKHGSVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHVQDTIVAGAYLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVLKDPNIFMFEHHLAIDLLTCQDGSDTVCLGVDTLNTETQEVIRFISKVTLLASGGAGQIYPTTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPAKPTKARENAFLITEAVRGDGGILYNLDMERFMPLYDERAELAPRDVVARGIDDQLKKRNEKYVLLDISHKPREKILSHFPNIAAECLKYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDSSASNWWSRPVVPVSLESNVINKILTMTREIRKEVQSIMWKYVGIVRSTTRLEAAEQKICDLEAKWEEYLFQLGWEPAMVGLEACEMRNFFCCAKLVVSSALARHESRGLHYTIDFPHLEESKRLPTVIFPCSSVRTSWSSRQLHKQPIC >ONI17003 pep chromosome:Prunus_persica_NCBIv2:G3:13923221:13924340:1 gene:PRUPE_3G133400 transcript:ONI17003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAQLLSFCLPHPTLEQSLRIVENLREKKRRWWSGNDADAIDGWLFCDCEFVVEGWRRLCFLSN >ONI19871 pep chromosome:Prunus_persica_NCBIv2:G3:26640878:26646136:-1 gene:PRUPE_3G302600 transcript:ONI19871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQCLLSRKGPLGAIWVAAYSFKKLKKAQVTQTDISSSVDKILQDEWDVVAYRVLAYLLLGVVRIYSKKVEYLFNDCNEVLIKINKFVVSTKKNADADKLRAPYYSVTLPDRFELDAFDLGILEVEDVSGCNVVSHEDITLKDCAKGNGIGQFYSEMYGYEEFGVCDNISSADFTPIKDVLSSYMLDFDMEFKTSSHGANSEAFVEKHQENRFSQEECTDLETFCGVQEETPNQVVSYGEDQETNREQIKAPVIAPSEDGIQGESSMEKPRDLTLSQEGLNLETVHGTEREPSYYVRSSSEDHQIDREEIMEPELVQPENQTCQVIRQDNNLIASEANMEKLLRCTVSQEEYMDLDMFLGAKQSRELVCSSGEENHIDREPIKLPETSSPKSIEHQIIVQEDPDPLSVEYDGTPGAKLPSALGITTPKFMVIRTPATKESARISRKRKCVIDDMTVLPNEVIRRSIHDASDLVSKRRKVPQTALAVWKACQIGILTHDFSEPLLPAGVSQELKSLSCKRKLKIIEPAETVGTPEKLDVVESPSVGRSEQIEIAPETPIRRSQSMKSFESPNSPEAHDVDIVRPEPSGRIEEDPCLSREQADPPESLEEVPFLDRDILGPGPSGRIEKEPSLGREQADPSGTVEEVPFLGRDQEHDFNLLNEIDLCEGVNPEVDGWSGRTRVVARYLQRHFPNRKKQGEEEVNLLQVSEGRTKRESARLFYEILVLKTKGYVDVKQDDAYGDILIWKRPIWNQTWADDMVNRGASRTMYLCA >ONI19870 pep chromosome:Prunus_persica_NCBIv2:G3:26640879:26646136:-1 gene:PRUPE_3G302600 transcript:ONI19870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQCLLSRKGPLGAIWVAAYSFKKLKKAQVTQTDISSSVDKILQDEWDVVAYRVLAYLLLGVVRIYSKKVEYLFNDCNEVLIKINKFVVSTKKNADADKLRAPYYSVTLPDRFELDAFDLGILEVEDVSGCNVVSHEDITLKDCAKGNGIGQFYSEMYGYEEFGVCDNISSADFTPIKDVLSSYMLDFDMEFKTSSHGANSEAFVEKHQENRFSQEECTDLETFCGVQEETPNQVVSYGEDQETNREQIKAPVIAPSEDGIQGESSMEKPRDLTLSQEGLNLETVHGTEREPSYYVRSSSEDHQIDREEIMEPELVQPENQTCQVIRQDNNLIASEANMEKLLRCTVSQEEYMDLDMFLGAKQSRELVCSSGEENHIDREPIKLPETSSPKSIEHQIIVQEDPDPLSVEYDGTPGAKLPSALGITTPKFMVIRTPATKESARISRKRKCVIDDMTVLPNEVIRRSIHDASDLVSKRRKVPQTALAVWKACQIGILTHDFSEPLLPELKSLSCKRKLKIIEPAETVGTPEKLDVVESPSVGRSEQIEIAPETPIRRSQSMKSFESPNSPEAHDVDIVRPEPSGRIEEDPCLSREQADPPESLEEVPFLDRDILGPGPSGRIEKEPSLGREQADPSGTVEEVPFLGRDQEHDFNLLNEEIDLCEGVNPEVDGWSGRTRVVARYLQRHFPNRKKQGEEEVNLLQVSEGRTKRESARLFYEILVLKTKGYVDVKQDDAYGDILIWKRPIWNQTWADDMVNRGASRTMYLCA >ONI19872 pep chromosome:Prunus_persica_NCBIv2:G3:26641107:26645904:-1 gene:PRUPE_3G302600 transcript:ONI19872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQCLLSRKGPLGAIWVAAYSFKKLKKAQVTQTDISSSVDKILQDEWDVVAYRVLAYLLLGVVRIYSKKVEYLFNDCNEVLIKINKFVVSTKKNADADKLRAPYYSVTLPDRFELDAFDLGILEVEDVSGCNVVSHEDITLKDCAKGNGIGQFYSEMYGYEEFGVCDNISSADFTPIKDVLSSYMLDFDMEFKTSSHGANSEAFVEKHQENRFSQEECTDLETFCGVQEETPNQVVSYGEDQETNREQIKAPVIAPSEDGIQGESSMEKPRDLTLSQEGLNLETVHGTEREPSYYVRSSSEDHQIDREEIMEPELVQPENQTCQVIRQDNNLIASEANMEKLLRCTVSQEEYMDLDMFLGAKQSRELVCSSGEENHIDREPIKLPETSSPKSIEHQIIVQEDPDPLSVEYDGTPGAKLPSALGITTPKFMVIRTPATKESARISRKRKCVIDDMTVLPNEVIRRSIHDASDLVSKRRKVPQTALAVWKACQIGILTHDFSEPLLPAGVSQELKSLSCKRKLKIIEPAETVGTPEKLDVVESPSVGRSEQIEIAPETPIRRSQSMKSFESPNSPEAHDVDIVRPEPSGRIEEDPCLSREQADPPESLEEVPFLDRDILGPGPSGRIEKEPSLGREQADPSGTVEEVPFLGRDQEHDFNLLNEEIDLCEGVNPEVDGWSGRTRVVARYLQRHFPNRKKQGEEEVNLLQVSEGRTKRESARLFYEILVLKTKGYVDVKQDDAYGDILIWKRPIWNQTWADDMVNRGASRTMYLCA >ONI19391 pep chromosome:Prunus_persica_NCBIv2:G3:25452013:25453053:1 gene:PRUPE_3G276500 transcript:ONI19391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRFSEAPEYTNGLSCPVLGLNLKSLALACNPSLVHVAMTIDSEYLRGTMAAVHSVLKHASCPDNTFFHFIASDSILVNLQDLTRIVQSTFPSLSFRVYVFRERLVNNLISSSIRQALDNPLNYARSYLADLLDPCVERVIYLDSDVIVVDDVQKLWKISLSGSRVIGAPEYCHANFTKYFSDGFWKDSELSKVFEGKKPCYFNTGVMVMDLVRWREGEYTKKIENWMAIQKERRIYELGSLPPFLLVFGGDVEAIHHRWNQHGLGGDNMVNSCRSLHPGPVSLLHWSGRGKPWSRLDLGMPCPVDLLWAPYDLYKHHHHHHLQDQHHLQHQHHHHQQTQQFSML >ONI15208 pep chromosome:Prunus_persica_NCBIv2:G3:2278761:2279745:-1 gene:PRUPE_3G030600 transcript:ONI15208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSPVHPKYDMEHEGNGFDPQADFYQFLEEAKHYAVEADFQKSSGYPGEGGERRLGQEKKKKSWKKFLFPWLKGDKMNKTSIKPETISHVSNTRRTNVSGPVYGTGKVTDGRHRRPTSGPIASLFNPTKRSDNAIPYVCLDNNGSPQVVKTYGPVYLVT >ONI15209 pep chromosome:Prunus_persica_NCBIv2:G3:2278186:2280087:-1 gene:PRUPE_3G030600 transcript:ONI15209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSPVHPKYDMEHEGNGFDPQADFYQFLEEAKHYAVEADFQKSSGYPGEGGERRLGQEKKKKSWKKFLFPWLKGDKMNKTSIKPETISHVSNTRRTNVSGPVYGTGKVTDGRHRRPTSGPIASLFNPTKRSDNAIPYVCLDNNGSPQVVKTYGPVYLVT >ONI15207 pep chromosome:Prunus_persica_NCBIv2:G3:2278186:2280254:-1 gene:PRUPE_3G030600 transcript:ONI15207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSPVHPKYDMEHEGNGFDPQADFYQFLEEAKHYAVEADFQKSSGYPGEGGERRLGQEKKKKSWKKFLFPWLKGDKMNKTSIKPETISHVSNTRRTNVSGPVYGTGKVTDGRHRRPTSGPIASLFNPTKRSDNAIPYVCLDNNGSPQVVKTYGPVYLVT >ONI15210 pep chromosome:Prunus_persica_NCBIv2:G3:2278186:2280417:-1 gene:PRUPE_3G030600 transcript:ONI15210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSPVHPKYDMEHEGNGFDPQADFYQFLEEAKHYAVEADFQKSSGYPGEGGERRLGQEKKKKSWKKFLFPWLKGDKMNKTSIKPETISHVSNTRRTNVSGPVYGTGKVTDGRHRRPTSGPIASLFNPTKRSDNAIPYVCLDNNGSPQVVKTYGPVYLVT >ONI14777 pep chromosome:Prunus_persica_NCBIv2:G3:468838:471467:1 gene:PRUPE_3G007600 transcript:ONI14777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSVFPLSSVLLILTLGALLVAFFVNPACSTSRRALDLHDHHQTMQNGFKVTLKHIDSDKNLTKLERLQRRIKRGRKRLQRLSVLSTSSSDHNLGATSPIHAGKGEFLMKLSIGTPAETYNAILDTGSDLIWTQCKPCKDCYDQPSPIFDPKKSSTFSKLSCESEFCEALPSQTCTDNSCEYYYAYGDFSSTDGILATETFTFGDVSIPKIGFGCGKDNQGGGFNQGAGLVGLGRGTLSLVSQLKEPKFSYCLASVDDTKSSSTLLMGSVANLDNTTSKHADIKTTPLIKNPNPDQSTFYYLGLEGISVGNTRLPIKKDTFALGDDGNGGLIIDSGTTLTYIEEGAFDLLKTEFTSQIKLAETDATDTVGLDVCFKLPEDDGSGKVEVPKLVFHFKNADLELPAENYIIADTDVGVLCLAMGSASGMSVFGNYQQQNLLVYHDLVKETISFVPTKCDQL >ONI15965 pep chromosome:Prunus_persica_NCBIv2:G3:5137936:5140909:1 gene:PRUPE_3G071600 transcript:ONI15965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIVFVVSTSSVKLRRRKPGFGNKGSLDSDSVRSATSPLDFRVLYNLSNPFSLKSGRPSSQNGNQTKWDCGKEGLGIVNSLGDETKATSEVIGSPKRKNIIFGSQVKTIVANSSKIYYDSDDCPIKSKSLNRNYITLDPQHQADKVNGFFGSVGRPLESEPSENIASFLLNSSGSSQSGSMYDPNLRFKSLDSVDKTLSSSLVLGRSFQVENSVGFKPSSLPVPIASTHQYASSISAREIELSEDYTCIISHGPKPKTTHIFGDCILECHTTELNNFDEAKLWIESPRVAKYQDRLANEHLDEALRLCYTCKKNLDGEEMYMCRGEKAFCSFDCHSEKIFAEEEIESTCENSAGSSSESYHEDLFLFGMHCEDIE >ONI15966 pep chromosome:Prunus_persica_NCBIv2:G3:5139352:5140561:1 gene:PRUPE_3G071600 transcript:ONI15966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKHTSNSLFSIHGFFTGFGNKGSLDSDSVRSATSPLDFRVLYNLSNPFSLKSGRPSSQNGNQTKWDCGKEGLGIVNSLGDETKATSEVIGSPKRKNIIFGSQVKTIVANSSKIYYDSDDCPIKSKSLNRNYITLDPQHQADKVNGFFGSVGRPLESEPSENIASFLLNSSGSSQSGSMYDPNLRFKSLDSVDKTLSSSLVLGRSFQVENSVGFKPSSLPVPIASTHQYASSISAREIELSEDYTCIISHGPKPKTTHIFGDCILECHTTELNNFDEAKLWIESPRVAKYQDRLANEHLDEALRLCYTCKKNLDGEEMYMCRGEKAFCSFDCHSEKIFAEEEIESTCENSAGSSSESYHEDLFLFGMHCEDIE >ONI15967 pep chromosome:Prunus_persica_NCBIv2:G3:5138002:5140909:1 gene:PRUPE_3G071600 transcript:ONI15967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKHTSNSLFSIHGFFTGFGNKGSLDSDSVRSATSPLDFRVLYNLSNPFSLKSGRPSSQNGNQTKWDCGKEGLGIVNSLGDETKATSEVIGSPKRKNIIFGSQVKTIVANSSKIYYDSDDCPIKSKSLNRNYITLDPQHQADKVNGFFGSVGRPLESEPSENIASFLLNSSGSSQSGSMYDPNLRFKSLDSVDKTLSSSLVLGRSFQVENSVGFKPSSLPVPIASTHQYASSISAREIELSEDYTCIISHGPKPKTTHIFGDCILECHTTELNNFDEAKLWIESPRVAKYQDRLANEHLDEALRLCYTCKKNLDGEEMYMCRGEKAFCSFDCHSEKIFAEEEIESTCENSAGSSSESYHEDLFLFGMHCEDIE >ONI15968 pep chromosome:Prunus_persica_NCBIv2:G3:5138002:5140909:1 gene:PRUPE_3G071600 transcript:ONI15968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKHTSNSLFSIHGFFTGFGNKGSLDSDSVRSATSPLDFRVLYNLSNPFSLKSGRPSSQNGNQTKWDCGKEGLGIVNSLGDETKATSEVIGSPKRKNIIFGSQVKTIVANSSKIYYDSDDCPIKSKSLNRNYITLDPQHQADKVNGFFGSVGRPLESEPSENIASFLLNSSGSSQSGSMYDPNLRFKSLDSVDKTLSSSLVLGRSFQVENSVGFKPSSLPVPIASTHQYASSISAREIELSEDYTCIISHGPKPKTTHIFGDCILECHTTELNNFDEAKLWIESPRVAKYQDRLANEHLDEALRLCYTCKKNLDGEEMYMCRGEKAFCSFDCHSEKIFAEEEIESTCENSAGSSSESYHEDLFLFGMHCEDIE >ONI15036 pep chromosome:Prunus_persica_NCBIv2:G3:1665170:1670940:1 gene:PRUPE_3G022400 transcript:ONI15036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLVCRKNQTLMEKVWRFRLCFLHRGPNQIIRALRMLPICHFSSPKVCPTNKTKKIFRENLDPERSKCTLTHQNVHSTLLNCPSDLIALRFFLWCAQQPNFFHNRIVFDHMVGVIKRMMERYKTVKSVVRELASVGCVIKSQTFLLLLRIYWRGRMYEMVFEAIEQMGAYGFTPNTFVRNVIIDVSFKIGRGDLAIKSLKETQVPNFVTFNIMLCNLCKFNDLFHIGDVLRMMLRWGYHPKVETFEMLLNCFCKMGNIVEAHQVLGLMITLGVSMSVNVWSMLIHGFCRLQRLDVAGKLLDKMVETGSSPNIVTYTTLIRGFLKSHMVHDAFNVLSIMESKGDAPDLVLCNVLIDSLTKVGRYNDAIDVFVGMRSRKLSPDSYTFCSLLSAICSSRRFSLFPKLVCGLEIEADLLVCNSLLSYFCKSGFPALAVKFYKVMLDRGFTADKYTFVGLLSGLCKARRVDQAVDVYHGIVMNYPGQDAHIHTVLIDGLIKVRKFDRAISIFKKVVAERYALDVVAYAVTIRGLFMGGRTEEACSLYRQMKEVGLTPNEYTYNVMVSGFVKERDLNMVNLMLQEMIEAKVRLSYNTVLRLSKFLCRSYHLISVIDLWIEMRNLGLISGEVMHELFPDEVVEGVKGDDGHITFSDAYSETDLHVETSGSEDFCDVAASIG >ONI15038 pep chromosome:Prunus_persica_NCBIv2:G3:1665169:1670940:1 gene:PRUPE_3G022400 transcript:ONI15038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVWRFRLCFLHRGPNQIIRALRMLPICHFSSPKVCPTNKTKKIFRENLDPERSKCTLTHQNVHSTLLNCPSDLIALRFFLWCAQQPNFFHNRIVFDHMVGVIKRMMERYKTVKSVVRELASVGCVIKSQTFLLLLRIYWRGRMYEMVFEAIEQMGAYGFTPNTFVRNVIIDVSFKIGRGDLAIKSLKETQVPNFVTFNIMLCNLCKFNDLFHIGDVLRMMLRWGYHPKVETFEMLLNCFCKMGNIVEAHQVLGLMITLGVSMSVNVWSMLIHGFCRLQRLDVAGKLLDKMVETGSSPNIVTYTTLIRGFLKSHMVHDAFNVLSIMESKGDAPDLVLCNVLIDSLTKVGRYNDAIDVFVGMRSRKLSPDSYTFCSLLSAICSSRRFSLFPKLVCGLEIEADLLVCNSLLSYFCKSGFPALAVKFYKVMLDRGFTADKYTFVGLLSGLCKARRVDQAVDVYHGIVMNYPGQDAHIHTVLIDGLIKVRKFDRAISIFKKVVAERYALDVVAYAVTIRGLFMGGRTEEACSLYRQMKEVGLTPNEYTYNVMVSGFVKERDLNMVNLMLQEMIEAKVRLSYNTVLRLSKFLCRSYHLISVIDLWIEMRNLGLISGEVMHELFPDEVVEGVKGDDGHITFSDAYSETDLHVETSGSEDFCDVAASIG >ONI15037 pep chromosome:Prunus_persica_NCBIv2:G3:1664999:1670940:1 gene:PRUPE_3G022400 transcript:ONI15037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVWRFRLCFLHRGPNQIIRALRMLPICHFSSPKVCPTNKTKKIFRENLDPERSKCTLTHQNVHSTLLNCPSDLIALRFFLWCAQQPNFFHNRIVFDHMVGVIKRMMERYKTVKSVVRELASVGCVIKSQTFLLLLRIYWRGRMYEMVFEAIEQMGAYGFTPNTFVRNVIIDVSFKIGRGDLAIKSLKETQVPNFVTFNIMLCNLCKFNDLFHIGDVLRMMLRWGYHPKVETFEMLLNCFCKMGNIVEAHQVLGLMITLGVSMSVNVWSMLIHGFCRLQRLDVAGKLLDKMVETGSSPNIVTYTTLIRGFLKSHMVHDAFNVLSIMESKGDAPDLVLCNVLIDSLTKVGRYNDAIDVFVGMRSRKLSPDSYTFCSLLSAICSSRRFSLFPKLVCGLEIEADLLVCNSLLSYFCKSGFPALAVKFYKVMLDRGFTADKYTFVGLLSGLCKARRVDQAVDVYHGIVMNYPGQDAHIHTVLIDGLIKVRKFDRAISIFKKVVAERYALDVVAYAVTIRGLFMGGRTEEACSLYRQMKEVGLTPNEYTYNVMVSGFVKERDLNMVNLMLQEMIEAKVRLSYNTVLRLSKFLCRSYHLISVIDLWIEMRNLGLISGEVMHELFPDEVVEGVKGDDGHITFSDAYSETDLHVETSGSEDFCDVAASIG >ONI19751 pep chromosome:Prunus_persica_NCBIv2:G3:26294012:26297631:1 gene:PRUPE_3G295200 transcript:ONI19751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQSITGQCRPVLLRALGRRYLAAASTEEYAKRNYASNVSEYNTVLNSLNAQRRHFLLRDVYDDMMLDGVQPDQDTFKSLILGTMKGSSLQDAFYFADQMKNMGLAPDVNSYNILITLCGKCKHSDKAIQILEDMKKFDVKPKVQTYMCLLNACAATGHLDRVYAIVRDMTAAGLGLNKFCYAALITALRNKTPLPDDFGTKIIEFVERSKEWTSVEESSMTAENVMMDITEEELYNMPTAEYARRRGFLNRQLTVYHAALHACADLRNVEVMEALLGMLEKDGKTPDLFIVMQKMRCYLQSGDIDRGLKTFDDYMKSGNLPAVELYTMLVEGAMIGHTPKGMQIAQETLVNMTSRNFYLSPKMGSDLLLAAAGDETGGYTVANYIWDLMQARNIIPQFPAVEAYYKGLKKREIPGDDPRLLVVTRTYDDLLARRGPRRPSR >ONI19752 pep chromosome:Prunus_persica_NCBIv2:G3:26294012:26297631:1 gene:PRUPE_3G295200 transcript:ONI19752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQSITGQCRPVLLRALGRRYLAAASTEEYAKRNYASNVSEYNTVLNSLNAQRRHFLLRDVYDDMMLDGVQPDQDTFKSLILGTMKGSSLQDAFYFADQMKNMGLAPDILEDMKKFDVKPKVQTYMCLLNACAATGHLDRVYAIVRDMTAAGLGLNKFCYAALITALRNKTPLPDDFGTKIIEFVERSKEWTSVEESSMTAENVMMDITEEELYNMPTAEYARRRGFLNRQLTVYHAALHACADLRNVEVMEALLGMLEKDGKTPDLFIVMQKMRCYLQSGDIDRGLKTFDDYMKSGNLPAVELYTMLVEGAMIGHTPKGMQIAQETLVNMTSRNFYLSPKMGSDLLLAAAGDETGGYTVANYIWDLMQARNIIPQFPAVEAYYKGLKKREIPGDDPRLLVVTRTYDDLLARRGPRRPSR >ONI20045 pep chromosome:Prunus_persica_NCBIv2:G3:27047294:27051329:1 gene:PRUPE_3G312000 transcript:ONI20045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIPYLTALTTYFSYGLLFVFGQVRDFFRKFIDWWSSSNLQGYAPICLGLEDFYIRRLYLRIQDCFNRPIASAPDAWFDVVERFSNDYNKTLKLTTKTSRCLNLGSYNYLGFAASDEYCTPRAIQTLKKYSPSTCSSRVDGGTTVLHNELEKCVASFVGKPAAIVFGMGYVTNSAILPVLMGKGRLIVSDSLNHNSIVNGARGSGASICVFQHNTPSHLEEVLREQIAEGQPRTRRPWKKIIVIVEGIYSMEGELCKLPEIIAICKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSSGGYIAGSEELIQYLKYTCPAHLYATSISPPAAEQIISAIKVILGEDGSNRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASHTREDLLKALEVFGRVGDLVGIKYFPAEPKKLQEEERLLKLE >ONI15356 pep chromosome:Prunus_persica_NCBIv2:G3:2791698:2797101:1 gene:PRUPE_3G038800 transcript:ONI15356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATMATAAGAAALLYYTLNRKLQSPTTGGDDDENGGDSVPNQAPLGIERVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNLHVDGVFGGIDSQQLKGSQIIAELKYLLNLLTLCWHFSKKPFPLFLEETGYSEENVLLQEPKAGILKPAFTILVDHNIKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVVHEGGVSNLVLGYAHCGMVAAARWIAKLAKPCLLEALDQSPGYELKIVGHSLGGGTAALLTYILREQKELSTTTCVTFAPAACMTWELAESGGHFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIEQTRILSTVYRSASALGSRLPSIASAKAKVAGAGAILRPVSNGTQVVMRRAQSMAQAAWTRPSLNLSSWSCMAPRHRASAVHSNSNDEGSSPGSSGRNKETSELLLTSPKRMTSSTGIETIDLPVSSSVGMEWTSEVGCSYSDGMRPDTDGDVGNEGERLMDHDRHEDRMTEVELWQHLEHELYDRTESEETDVANEIRNVENEIREEEEAAIAEVSDGQPESSAPDMKEAHRFFPAGKIMHIVTLHHGGPECESGSPSSSASGNEQPEETRVGIFLTPRSLYSKLRLSQTMISDHFMPVYRRQIEKLIKELEEEIASTGDVVF >ONI15355 pep chromosome:Prunus_persica_NCBIv2:G3:2791698:2797115:1 gene:PRUPE_3G038800 transcript:ONI15355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKFRIFRGFPLTQFINLIRDSKLMATATMATAAGAAALLYYTLNRKLQSPTTGGDDDENGGDSVPNQAPLGIERVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNLHVDGVFGGIDSQQLKGSQIIAELKYLLNLLTLCWHFSKKPFPLFLEETGYSEENVLLQEPKAGILKPAFTILVDHNIKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVVHEGGVSNLVLGYAHCGMVAAARWIAKLAKPCLLEALDQSPGYELKIVGHSLGGGTAALLTYILREQKELSTTTCVTFAPAACMTWELAESGGHFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIEQTRILSTVYRSASALGSRLPSIASAKAKVAGAGAILRPVSNGTQVVMRRAQSMAQAAWTRPSLNLSSWSCMAPRHRASAVHSNSNDEGSSPGSSGRNKETSELLLTSPKRMTSSTGIETIDLPVSSSVGMEWTSEVGCSYSDGMRPDTDGDVGNEGERLMDHDRHEDRMTEVELWQHLEHELYDRTESEETDVANEIRNVENEIREEEEAAIAEVSDGQPESSAPDMKEAHRFFPAGKIMHIVTLHHGGPECESGSPSSSASGNEQPEETRVGIFLTPRSLYSKLRLSQTMISDHFMPVYRRQIEKLIKELEEEIASTGDVVF >ONI16775 pep chromosome:Prunus_persica_NCBIv2:G3:10807903:10812362:-1 gene:PRUPE_3G121600 transcript:ONI16775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTTGKSMQEMGFDSLTSRFRDSLSYNSDTNNKNKPDFKELDLGSPVSPLMTRSSVSGGNGGGVAATTSSCSSGTSSGSVSSKTSNAQLGKRSDSKSNNHSGELSVSSETSPRASETGRSGTTPRNWKPAHRRSVSAGPPLIYSGGSVNTCISTNASTNTATSSSSSTSIVSTTTVFPSGNICPSGKITKSGLACRASNKTDVLGSGCGNYGHGSIVRGGGVKLDSNVNTSGGSNANVQLGGESVMGKRAMGNSDPEEVKKAGNELYRRGHFGEALALYDRAISLSPENAAYRSNRAAALTVLGRLPEAVRECEEAVRLDPGYGRAHQRLGSLYLRFGQVEKSYRHLCIPGQQPDQSELQKLKSLEKHLKQCEDARKLGDWKSVLRESEAAIATGAESSPQLVACKAEALLKLHQLEDAESSLTNTPKFENYPSSCLPTKFFGILIEAYVLYVRAQVEMALGRFENAVVAAEKAGLIDCSNVEVMRVSNKVKKVANARSQGNDLFSSGRFAEACSAYGEGLKYDSSNSVLYCNRAVCRSKLGQWEQSVEDCNQALKIQPNYIKALLRRAVSNAKLDRWAEAVRDYEVLRRDLPGDNEVAESLHRAQVALKKSHGEELHNVKFGSEVEEVSSLDKFKAAISSPGVSVVHFKVESNEKCEEISPFINMLCVRYPYVNFFKVDVEESLSIAKAESIRTIPTFKIYKNGEKVKEMVRPSHQFLEDSVRTCSL >ONI16774 pep chromosome:Prunus_persica_NCBIv2:G3:10807906:10812362:-1 gene:PRUPE_3G121600 transcript:ONI16774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTTGKSMQEMGFDSLTSRFRDSLSYNSDTNNKNKPDFKELDLGSPVSPLMTRSSVSGGNGGGVAATTSSCSSGTSSGSVSSKTSNAQLGKRSDSKSNNHSGELSVSSETSPRASETGRSGTTPRNWKPAHRRSVSAGPPLIYSGGSVNTCISTNASTNTATSSSSSTSIVSTTTVFPSGNICPSGKITKSGLACRASNKTDVLGSGCGNYGHGSIVRGGGVKLDSNVNTSGGSNANVQLGGESVMGKRAMGNSDPEEVKKAGNELYRRGHFGEALALYDRAISLSPENAAYRSNRAAALTVLGRLPEAVRECEEAVRLDPGYGRAHQRLGSLYLRFGQVEKSYRHLCIPGQQPDQSELQKLKSLEKHLKQCEDARKLGDWKSVLRESEAAIATGAESSPQLVACKAEALLKLHQLEDAESSLTNTPKFENYPSSCLPTKFFGILIEAYVLYVRAQVEMALGRFENAVVAAEKAGLIDCSNVEVMRVSNKVKKVANARSQGNDLFSSGRFAEACSAYGEGLKYDSSNSVLYCNRAVCRSKLGQWEQSVEDCNQALKIQPNYIKALLRRAVSNAKLDRWAEAVRDYEVLRRDLPGDNEVAESLHRAQVALKKSHGEELHNVKFGSEVEEVSSLDKFKAAISSPGVSVVHFKVESNEKCEEISPFINMLCVRYPYVNFFKVDVEESLSIAKAESIRTIPTFKIYKNGEKVKEMVRPSHQFLEDSVRTCSL >ONI19371 pep chromosome:Prunus_persica_NCBIv2:G3:25384291:25386961:-1 gene:PRUPE_3G275300 transcript:ONI19371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSMSSVTVRIWIAILFLSCACYCSYGAVVEDDVKCLQSLKQSLKDPLGKLVSWDFRNTSVVSMCKFVGVTCWNDRENRILNLELRDMELSGAIAKDIEYCSSLQNLDLGGNKLSGSIPPDICTWLPFLVTLDFSNNDFSGSIPTDLQHCKYLNNLILSDNKLSGTIPYEFSSLGRLKKFSVANNKLTGTIPAFLDHFDKADFAGNSGLCGGPLGSKCGGLSKKNLAIIIAAGVFGAAASLLLALGLWWWYHLRLSKKRKGGYGVGREDWAERLRAHKLTQVSLFQKPLVKVKLADLMAATNNFSPENVIISSRTGTTYKALLPDGSALAIKRLSTCKLGEKQFRLEMNRLGQLRHPNLVPLLGFCVVEEEKLLVYKYLSSGTLYSLLHGSGSGLDWPARFRIGLGAARGLAWLHHGCQPPIMHQNICSNVILLDEDFDARIMDFGLATLTASDSNESSFVNGDLGELGYVAPEYPSTMVASLKGDVYGLGIVLLELATGQKPLEVTTVEEGFKGNVVDWVNHLTNSGRTKDAIDKALCGKGHDEEILQFLKVASNCVVSRPKDRWSMYQVYHSLKSMNKDNSFTEQDDEFPLIFRKPDKDSA >ONI18621 pep chromosome:Prunus_persica_NCBIv2:G3:22674908:22677933:1 gene:PRUPE_3G227500 transcript:ONI18621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKTKQSLVLLLFLCLHLKTHVCLAADTIAANQSLSGDRTIVSVGKVFELGFFKPGNSSNYYIGMWYSKQLVSLETIVWVANRETPVSDRFSSVLRISDGNLVLFNESNTPIWSTNLTSTTTSGSAQAVLLDSGNLVLRADGSNASTSEPLWQSFDHPAHTWLPGARIGFNTVTNQTLILTSWKSSEDPAPGLFTLELDPNGSNAYLIFWNRSKQYWSSGAWDAKSRIFSWVPEMRLNYIYNFSYVTNKNESYFTYSVYNPKTISRFVMHTSGQIQQLTWLEISSQWNLFWNQPRKQCEVYDLCGAFGSCNEVSTVSCNCLTGFEPKLQRDWNLQAYSGGCKRKTPLHCENATSADGKQDQFKKMATMSLPENMQSVNVETIAGCESICLNNCSCTAYAYNSSGCSIWIGELFNLQQLSSSDSQGITLYLRLAASEFKSPKSNKGLIVGVVAGSAAGIAILLGLIVVVILRQRKRVTGTGKAVEGSLVAFGYRDLQDATKNFSEKLGGGGFGSVFKGTLPDSSVIAVKKLESVSQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEGTKRMLVYDYMPNGSLDSQLFHDTRPNVLDWKTRYQIALGTARGLAYLHEKCRDCIIHCDIKPENILLDTELGPKVADFGLAKLVGREFSRVLTTMRGTRGYLAPEWISGVAITVKADVYSYGMMLFEFVSGRRNSEQSEDGKVRFFPSWAANQISTAETDVLSLLDLRLDGNADVQELTRICRVACWCVQDDEAHRPSMGQVVQILEGVSDVNLPPIPRSLQVFGDGQEHIIFFTESSSSQSSHQRSNNTSTASSQTKSTTSPTITKRSEEEHQINT >ONI16310 pep chromosome:Prunus_persica_NCBIv2:G3:6785974:6789801:1 gene:PRUPE_3G091100 transcript:ONI16310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRGKPGKKEESVAVAVDKDKGSQHAIKWTVDNLLSRGQNVTLLHVKETASHHHNHHHHTGHGTEEAGKVHQSQADAQAKELFLPFRGYCTKKAIKCHEIIIEDNDISKALVNYVTTNLIEILVLGTPSKNGLFRFMTTDVPTIVSKKAPDFCTVYVIGRGKISIVRSATGSVPERVSPPPQEIEHHSSKASESYPQMRMSNHKPRAPERTQFSARWHPNENEIIRGNSALNKSYELPPDSDISYVSSGTPGRDHMFPSFYDSMSSGMTSRFSINSDLDSRSSTSTASYSGTKFIDMSSLQHDFSSPLRNLSSPHHDFSSPQHDFSSSSFESGNSWSSSQNADDVDAEMRRLRLELKHTRDMYNTACKEGVTEKHKGKEVNQWKLEEELRLEEARTVEEAALSLVGKEKAKCRAAMEAAEAAQRIAELETQKRRNVELKALRETEHRKTALESNAYDLRYRKYTIEEIETATNDFSAGYKIGEGGYGPVYRGELDHTPVAIKVLRPDAAHGEEQFKKEVEVLSCIRHPNMVLLLGACPEFGCLVYEYMANGSLEDRLFRRGNTPVIPWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDHNYVSKISDVGLARLVPASVANSVTQYHMTSTAGTFCYIDPEYQQTGLLGVKSDVYSLGVLLLQIVTARPPMGLTHLVEEAIDTGAFAEVLDPAISDWPIEEALKFAKLSLQCAEMRRKDRPDLGKVLLPELNRFREFGQDSMNRLMFGGSQVFSEKRGAISTRQDVISDTNLTHSGYDSSRS >ONI19738 pep chromosome:Prunus_persica_NCBIv2:G3:26265147:26266685:-1 gene:PRUPE_3G294400 transcript:ONI19738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWKLLVASGFFLASWVLGAGGENLPACSFPAIYNFGDSNSDTGGISAAFEPIRAPYGEAFFHKPAGRDSDGRLIIDFIAERLRLPYLSAYLNSLGTNYRHGANFATGGSTIRRPNETIYEYGISPFSLDMQTTQFLQFKARSAELYRQAKDPSDRSTLPNPQDFAKALYTFDIGQNDLSVGFRKLSFDQIRAALPDILSQLATAVRRIYEQGGRSFWIHNTGPIGCLPVNLFYNLNPPPGYLDDHGCVKGQNDMAIEFNRQLKDRVIKLRAELPQAAITYVDVYAAKYGLISNAKTEAGFADPMKVCCGYHVKYDHVWCGNKGMVNGSEVYGPSCQTPSSYISWDGVHYTQGANQWVANHILDGSLSSTPPTPITQACQRS >ONI19737 pep chromosome:Prunus_persica_NCBIv2:G3:26265147:26266689:-1 gene:PRUPE_3G294400 transcript:ONI19737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWKLLVASGFFLASWVLGAGGENLPACSFPAIYNFGDSNSDTGGISAAFEPIRAPYGEAFFHKPAGRDSDGRLIIDFIAERLRLPYLSAYLNSLGTNYRHGANFATGGSTIRRPNETIYEYGISPFSLDMQTTQFLQFKARSAELYRQAKDPSDRSTLPNPQDFAKALYTFDIGQNDLSVGFRKLSFDQIRAALPDILSQLATAVRRIYEQGGRSFWIHNTGPIGCLPVNLFYNLNPPPGYLDDHGCVKGQNDMAIEFNRQLKDRVIKLRAELPQAAITYVDVYAAKYGLISNAKTEGFADPMKVCCGYHVKYDHVWCGNKGMVNGSEVYGPSCQTPSSYISWDGVHYTQGANQWVANHILDGSLSSTPPTPITQACQRS >ONI16801 pep chromosome:Prunus_persica_NCBIv2:G3:10972744:10975174:1 gene:PRUPE_3G122900 transcript:ONI16801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMDVNGITWVGGVYEKFESMCLEVEENMYQDTVKFVENQVQTVGASVKKFYADVMQDLLPDSLMDPEKMSACGFPVENIFDVDNSKSSRITKKEEPIKANVEHLNRDSEVISSVKNKGVDHKPSFREQHMYDYRTQSAESCAEEACLDLYSRQDHDGNMFNNTNLAVKENPTKHRCPQAIPPAEKDLGRQSSSCHETHKGSCEHIDTFITPSIDEGMACDSTREGRVIANASQCTADVSIGCHSSDMIVLDKSDAKEWNEILDSSFGGLSLEPNASDICFINGVVSLVGSSPSGQVQCERYAEKEVSASHPGGSHDSNLDAIESNIVVVQEMETIQQSAKANLEETCVMVTGEDVHFVPHMEGKRRPYKKKLQKAFSSRMKSARKQEYEQLALWYGDDAKSNLESEDGVLHSITMEETKKSPSHDFCESEWEIL >ONI14713 pep chromosome:Prunus_persica_NCBIv2:G3:245466:246404:-1 gene:PRUPE_3G003600 transcript:ONI14713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVDMNVFPKLLCGWSWEENKLFELALAVVDEEDPQRWEVVAALLGGKKSAEDVQKHYVILLEDLQVIESGKLDHKLGQPQNYVQVVDHCTQSVCWTDENNNLLVRLGLN >ONI17845 pep chromosome:Prunus_persica_NCBIv2:G3:19859443:19866776:-1 gene:PRUPE_3G182900 transcript:ONI17845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSSSASGFNHQPQEGEKKCLNSELWHACAGPLVSLPLLGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDVYLLPAELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVITLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWTNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGIPSFHGLKDGDMGINAPLMWLQGGVGDQGIQSLNFQGFGVTPWMQPRLDASMAGLQPEVYQAMAAAALQEMRTVDSSKCASQSLLPFQQSSNVSNGPAAVLQRQVLPQSQSQNTYLQSFQENQAPAQTQVLQQQLQRYHPYSDQRQQQQLQQHQQQQQLHQQHQQQLQQSHHLHQLSVQQQIPNVMSALSNFASATQSQSASLQAIPSQSQQQSFPDPVGNPISSSDVPPIHSILGSLSQDGASHLLDLSGSNSVISSSLLPKQIAGEQQLSSGAAQCVLPQVEQLGTPQSNISELTALPPFPGREYSAFQGGTDPQSNLLFGVNIDSSSLMLHNGIPTLRNIGNGNDSLSMPFGASSYTSATGNDFPLNSDMTTSSCVDESGFLQSSENVDQVNPTRNFVKVHKSGSFGRSLDISKFSSYDELRSELARMFGLEGQLEDPQRSGWQLVFGDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLNCAASVPSNKLSNGGNTTCDDYVSRQDVRNSTNGIASLGSLDY >ONI17849 pep chromosome:Prunus_persica_NCBIv2:G3:19859452:19866098:-1 gene:PRUPE_3G182900 transcript:ONI17849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSSSASGFNHQPQEGEKKCLNSELWHACAGPLVSLPLLGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDVYLLPAELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVITLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWTNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGIPSFHGLKDGDMGINAPLMWLQGGVGDQGIQSLNFQGFGVTPWMQPRLDASMAGLQPEVYQAMAAAALQEMRTVDSSKCASQSLLPFQQSSNVSNGPAAVLQRQVLPQSQSQNTYLQSFQENQAPAQTQVLQQQLQRYHPYSDQRQQQQLQQHQQQQQLHQQHQQQLQQSHHLHQLSVQQQIPNVMSALSNFASATQSQSASLQAIPSQSQQQSFPDPVGNPISSSDVPPIHSILGSLSQDGASHLLDLSGSNSVISSSLLPKQIAGEQQLSSGAAQCVLPQVEQLGTPQSNISELTALPPFPGREYSAFQGGTDPQSNLLFGVNIDSSSLMLHNGIPTLRNIGNGNDSLSMPFGASSYTSATGNDFPLNSDMTTSSCVDESGFLQSSENVDQVNPTRNFVKVHKSGSFGRSLDISKFSSYDELRSELARMFGLEGQLEDPQRSGWQLVFGDRENDVLLLGDDPWQ >ONI17848 pep chromosome:Prunus_persica_NCBIv2:G3:19859394:19866966:-1 gene:PRUPE_3G182900 transcript:ONI17848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSSSASGFNHQPQEGEKKCLNSELWHACAGPLVSLPLLGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDVYLLPAELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVITLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWTNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGIPSFHGLKDGDMGINAPLMWLQGGVGDQGIQSLNFQGFGVTPWMQPRLDASMAGLQPEVYQAMAAAALQEMRTVDSSKCASQSLLPFQQSSNVSNGPAAVLQRQVLPQSQSQNTYLQSFQENQAPAQTQVLQQQLQRYHPYSDQRQQQQLQQHQQQQQLHQQHQQQLQQSHHLHQLSVQQQIPNVMSALSNFASATQSQSASLQAIPSQSQQQSFPDPVGNPISSSDVPPIHSILGSLSQDGASHLLDLSGSNSVISSSLLPKQIAGEQQLSSGAAQCVLPQVEQLGTPQSNISELTALPPFPGREYSAFQGGTDPQSNLLFGVNIDSSSLMLHNGIPTLRNIGNGNDSLSMPFGASSYTSATGNDFPLNSDMTTSSCVDESGFLQSSENVDQVNPTRNFVKVHKSGSFGRSLDISKFSSYDELRSELARMFGLEGQLEDPQRSGWQLVFGDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLNCAASVPSNKLSNGGNTTCDDYVSRQDVRNSTNGIASLGSLDY >ONI17846 pep chromosome:Prunus_persica_NCBIv2:G3:19859832:19866098:-1 gene:PRUPE_3G182900 transcript:ONI17846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSSSASGFNHQPQEGEKKCLNSELWHACAGPLVSLPLLGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDVYLLPAELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVITLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWTNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGIPSFHGLKDGDMGINAPLMWLQGGVGDQGIQSLNFQGFGVTPWMQPRLDASMAGLQPEVYQAMAAAALQEMRTVDSSKCASQSLLPFQQSSNVSNGPAAVLQRQVLPQSQSQNTYLQSFQENQAPAQTQVLQQQLQRYHPYSDQRQQQQLQQHQQQQQLHQQHQQQLQQSHHLHQLSVQQQIPNVMSALSNFASATQSQSASLQAIPSQSQQQSFPDPVGNPISSSDVPPIHSILGSLSQDGASHLLDLSGSNSVISSSLLPKQIAGEQQLSSGAAQCVLPQVEQLGTPQSNISELTALPPFPGREYSAFQGGTDPQSNLLFGVNIDSSSLMLHNGIPTLRNIGNGNDSLSMPFGASSYTSATGNDFPLNSDMTTSSCVDESGFLQSSENVDQVNPTRNFVKVHKSGSFGRSLDISKFSSYDELRSELARMFGLEGQLEDPQRSGWQLVFGDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLNCAASVPSNKLSNGGNTTCDDYVSRQDVRNSTNGIASLGSLDY >ONI17847 pep chromosome:Prunus_persica_NCBIv2:G3:19859407:19866776:-1 gene:PRUPE_3G182900 transcript:ONI17847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSSSASGFNHQPQEGEKKCLNSELWHACAGPLVSLPLLGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDVYLLPAELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVITLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWTNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGIPSFHGLKDGDMGINAPLMWLQGGVGDQGIQSLNFQGFGVTPWMQPRLDASMAGLQPEVYQAMAAAALQEMRTVDSSKCASQSLLPFQQSSNVSNGPAAVLQRQVLPQSQSQNTYLQSFQENQAPAQTQVLQQQLQRYHPYSDQRQQQQLQQHQQQQQLHQQHQQQLQQSHHLHQLSVQQQIPNVMSALSNFASATQSQSASLQAIPSQSQQQSFPDPVGNPISSSDVPPIHSILGSLSQDGASHLLDLSGSNSVISSSLLPKQIAGEQQLSSGAAQCVLPQVEQLGTPQSNISELTALPPFPGREYSAFQGGTDPQSNLLFGVNIDSSSLMLHNGIPTLRNIGNGNDSLSMPFGASSYTSATGNDFPLNSDMTTSSCVDESGFLQSSENVDQVNPTRNFVKVHKSGSFGRSLDISKFSSYDELRSELARMFGLEGQLEDPQRSGWQLVFGDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLNCAASVPSNKLSNGGNTTCDDYVSRQDVRNSTNGIASLGSLDY >ONI19797 pep chromosome:Prunus_persica_NCBIv2:G3:26438027:26441831:-1 gene:PRUPE_3G298700 transcript:ONI19797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTCLMQPFSYVSGIPNEAHEGNPIHALGHSISFGRFTSESLAWERWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKVAAQKAAALLEQANANAAAAAVASDNAPEPKSESQDLKTVVPTSEVVVNEQVEKEEEKVVEEPTKKEEAFTGNTNGYNSDTAMDKLASSRVQVADIPATHEPQVLVENSAEPELSSQMHKAVNDKEVNGMELSGTPQSEKPLLKSYSSNQEASTPIKRKKTRLFTSSKSSLAYHKAPKVPSSPAKPIVSSCSRKDDILTPLRKKPATELEDKKRSTPKSLHKSVSFTPIRELSRLTSTVIRKIENSRVGASSSKTSKDCMTPLRTPTTVSKNEVHKRCTKTPCSEKRRAKTPLDPSASGTKTPLSRWRSLRTDCSKFLSACRNKARSPFSSAPFNLRTEERAASRKKKLEEKFNANEAQKVQEKEGIEIGKFRQTLCFKARPLPDFYKERKAQKSEIDKVPVAHPLPQKLGKKSTPTPTPSVVEASTSLSPRGAPIKSSGTKNVQGKNKRTPTCSLISRSLKTTRENTSPNIQLG >ONI19800 pep chromosome:Prunus_persica_NCBIv2:G3:26438642:26441423:-1 gene:PRUPE_3G298700 transcript:ONI19800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTCLMQPFSYVSGIPNEAHEGNPIHALGHSISFGRFTSESLAWERWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKVAAQKAAALLEQANANAAAAAVASDNAPEPKSESQDLKTVVPTSEVVVNEQVEKEEEKVVEEPTKKEEAFTGNTNGYNSDTAMDKLASSRVQVADIPATHEPQVLVENSAEPELSSQMHKAVNDKEVNGMELSGTPQSEKPLLKQSYSSNQEASTPIKRKKTRLFTSSKSSLAYHKAPKVPSSPAKPIVSSCSRKDDILTPLRKKPATELEDKKRSTPKSLHKSVSFTPIRELSRLTSTVIRKIENSRVGASSSKTSKDCMTPLRTPTTVSKNEVHKRCTKTPCSEKRRAKTPLDPSASGTKTPLSRWRSLRTENKARSPFSSAPFNLRTEERAASRKKKLEEKFNANEAQKVQVQRKLKEKEGIEIGKFRQTLCFKARPLPDFYKERKAQKSEIDKVPVAHPLPQKLGKKSTPTPTPSVVEASTSLSPRGAPIKSSGTKNVQGKNKRTPTCSLISRSLKTTRENTSPNIQLG >ONI19798 pep chromosome:Prunus_persica_NCBIv2:G3:26438642:26441423:-1 gene:PRUPE_3G298700 transcript:ONI19798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTCLMQPFSYVSGIPNEAHEGNPIHALGHSISFGRFTSESLAWERWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKVAAQKAAALLEQANANAAAAAVASDNAPEPKSESQDLKTVVPTSEVVVNEQVEKEEEKVVEEPTKKEEAFTGNTNGYNSDTAMDKLASSRVQVADIPATHEPQVLVENSAEPELSSQMHKAVNDKEVNGMELSGTPQSEKPLLKSYSSNQEASTPIKRKKTRLFTSSKSSLAYHKAPKVPSSPAKPIVSSCSRKDDILTPLRKKPATELEDKKRSTPKSLHKSVSFTPIRELSRLTSTVIRKIENSRVGASSSKTSKDCMTPLRTPTTVSKNEVHKRCTKTPCSEKRRAKTPLDPSASGTKTPLSRWRSLRTDCSKFLSACRNKARSPFSSAPFNLRTEERAASRKKKLEEKFNANEAQKVQVQRKLKEKEGIEIGKFRQTLCFKARPLPDFYKERKAQKSEIDKVPVAHPLPQKLGKKSTPTPTPSVVEASTSLSPRGAPIKSSGTKNVQGKNKRTPTCSLISRSLKTTRENTSPNIQLG >ONI19801 pep chromosome:Prunus_persica_NCBIv2:G3:26438642:26441423:-1 gene:PRUPE_3G298700 transcript:ONI19801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTCLMQPFSYVSGIPNEAHEGNPIHALGHSISFGRFTSESLAWERWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKVAAQKAAALLEQANANAAAAAVASDNAPEPKSESQDLKTVVPTSEVVVNEQVEKEEEKVVEEPTKKEEAFTGNTNGYNSDTAMDKLASSRVQVADIPATHEPQVLVENSAEPELSSQMHKAVNDKEVNGMELSGTPQSEKPLLKQSYSSNQEASTPIKRKKTRLFTSSKSSLAYHKAPKVPSSPAKPIVSSCSRKDDILTPLRKKPATELEDKKRSTPKSLHKSVSFTPIRELSRLTSTVIRKIENSRVGASSSKTSKDCMTPLRTPTTVSKNEVHKRCTKTPCSEKRRAKTPLDPSASGTKTPLSRWRSLRTDCSKFLSACRNKARSPFSSAPFNLRTEERAASRKKKLEEKFNANEAQKVQEKEGIEIGKFRQTLCFKARPLPDFYKERKAQKSEIDKVPVAHPLPQKLGKKSTPTPTPSVVEASTSLSPRGAPIKSSGTKNVQGKNKRTPTCSLISRSLKTTRENTSPNIQLG >ONI19799 pep chromosome:Prunus_persica_NCBIv2:G3:26438027:26441831:-1 gene:PRUPE_3G298700 transcript:ONI19799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTCLMQPFSYVSGIPNEAHEGNPIHALGHSISFGRFTSESLAWERWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKVAAQKAAALLEQANANAAAAAVASDNAPEPKSESQDLKTVVPTSEVVVNEQVEKEEEKVVEEPTKKEEAFTGNTNGYNSDTAMDKLASSRVQVADIPATHEPQVLVENSAEPELSSQMHKAVNDKEVNGMELSGTPQSEKPLLKQSYSSNQEASTPIKRKKTRLFTSSKSSLAYHKAPKVPSSPAKPIVSSCSRKDDILTPLRKKPATELEDKKRSTPKSLHKSVSFTPIRELSRLTSTVIRKIENSRVGASSSKTSKDCMTPLRTPTTVSKNEVHKRCTKTPCSEKRRAKTPLDPSASGTKTPLSRWRSLRTENKARSPFSSAPFNLRTEERAASRKKKLEEKFNANEAQKVQEKEGIEIGKFRQTLCFKARPLPDFYKERKAQKSEIDKVPVAHPLPQKLGKKSTPTPTPSVVEASTSLSPRGAPIKSSGTKNVQGKNKRTPTCSLISRSLKTTRENTSPNIQLG >ONI19796 pep chromosome:Prunus_persica_NCBIv2:G3:26438642:26441423:-1 gene:PRUPE_3G298700 transcript:ONI19796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTCLMQPFSYVSGIPNEAHEGNPIHALGHSISFGRFTSESLAWERWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKVAAQKAAALLEQANANAAAAAVASDNAPEPKSESQDLKTVVPTSEVVVNEQVEKEEEKVVEEPTKKEEAFTGNTNGYNSDTAMDKLASSRVQVADIPATHEPQVLVENSAEPELSSQMHKAVNDKEVNGMELSGTPQSEKPLLKSYSSNQEASTPIKRKKTRLFTSSKSSLAYHKAPKVPSSPAKPIVSSCSRKDDILTPLRKKPATELEDKKRSTPKSLHKSVSFTPIRELSRLTSTVIRKIENSRVGASSSKTSKDCMTPLRTPTTVSKNEVHKRCTKTPCSEKRRAKTPLDPSASGTKTPLSRWRSLRTENKARSPFSSAPFNLRTEERAASRKKKLEEKFNANEAQKVQVQRKLKEKEGIEIGKFRQTLCFKARPLPDFYKERKAQKSEIDKVPVAHPLPQKLGKKSTPTPTPSVVEASTSLSPRGAPIKSSGTKNVQGKNKRTPTCSLISRSLKTTRENTSPNIQLG >ONI19802 pep chromosome:Prunus_persica_NCBIv2:G3:26438642:26441423:-1 gene:PRUPE_3G298700 transcript:ONI19802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTCLMQPFSYVSGIPNEAHEGNPIHALGHSISFGRFTSESLAWERWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKVAAQKAAALLEQANANAAAAAVASDNAPEPKSESQDLKTVVPTSEVVVNEQVEKEEEKVVEEPTKKEEAFTGNTNGYNSDTAMDKLASSRVQVADIPATHEPQVLVENSAEPELSSQMHKAVNDKEVNGMELSGTPQSEKPLLKQSYSSNQEASTPIKRKKTRLFTSSKSSLAYHKAPKVPSSPAKPIVSSCSRKDDILTPLRKKPATELEDKKRSTPKSLHKSVSFTPIRELSRLTSTVIRKIENSRVGASSSKTSKDCMTPLRTPTTVSKNEVHKRCTKTPCSEKRRAKTPLDPSASGTKTPLSRWRSLRTDCSKFLSACRNKARSPFSSAPFNLRTEERAASRKKKLEEKFNANEAQKVQVQRKLKEKEGIEIGKFRQTLCFKARPLPDFYKERKAQKSEIDKVPVAHPLPQKLGKKSTPTPTPSVVEASTSLSPRGAPIKSSGTKNVQGKNKRTPTCSLISRSLKTTRENTSPNIQLG >ONI19795 pep chromosome:Prunus_persica_NCBIv2:G3:26438027:26441831:-1 gene:PRUPE_3G298700 transcript:ONI19795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESTCLMQPFSYVSGIPNEAHEGNPIHALGHSISFGRFTSESLAWERWSTFSHNRYVEEAERYSRPGSVAQKKAFFEAHYKKVAAQKAAALLEQANANAAAAAVASDNAPEPKSESQDLKTVVPTSEVVVNEQVEKEEEKVVEEPTKKEEAFTGNTNGYNSDTAMDKLASSRVQVADIPATHEPQVLVENSAEPELSSQMHKAVNDKEVNGMELSGTPQSEKPLLKSYSSNQEASTPIKRKKTRLFTSSKSSLAYHKAPKVPSSPAKPIVSSCSRKDDILTPLRKKPATELEDKKRSTPKSLHKSVSFTPIRELSRLTSTVIRKIENSRVGASSSKTSKDCMTPLRTPTTVSKNEVHKRCTKTPCSEKRRAKTPLDPSASGTKTPLSRWRSLRTENKARSPFSSAPFNLRTEERAASRKKKLEEKFNANEAQKVQEKEGIEIGKFRQTLCFKARPLPDFYKERKAQKSEIDKVPVAHPLPQKLGKKSTPTPTPSVVEASTSLSPRGAPIKSSGTKNVQGKNKRTPTCSLISRSLKTTRENTSPNIQLG >ONI19790 pep chromosome:Prunus_persica_NCBIv2:G3:26418751:26427081:1 gene:PRUPE_3G298300 transcript:ONI19790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNSIQLEITYRVLGWMGFACWSVGGYPQVILNFRRKSVVGLNFDFVVLNLTKHSSYLIYNATLYFSSAVQKQYFEKYGLGQMIPVAANDVAFSIHAVLLTAITLYQIAIYERGNQKVSKISIGIVAAVWLGAAVCVFLALPSHSWLWLISIFNSIQVFMTVIKYIPQAVMNFLRKSTDGFSIGNILLDFSGGVANYAQMAVQSIDQGSWVNFYGNIGKTLLSLISIFFDLLFMGQHYVLYRGKRAVITPKIISKESSEPLVKSSDDPVLENV >ONI19791 pep chromosome:Prunus_persica_NCBIv2:G3:26418649:26420879:1 gene:PRUPE_3G298300 transcript:ONI19791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNSIQLEITYRVLGWMGFACWSVGGYPQVILNFRRKSVVGLSFDFVLLSLTKQSSYLIYNASLYFSSAVQKQYWEKYGYGQMIPVAVNDVAFSAHAVLLTAFVLLQIAIYERGTQKVSKIAIGIVVAVWSGAAVCVFVALPTHSWLWLINIFNSIQVCMTVVKYTPQAFLNFVRKSTDGYSLGSYLLDFSGGVTNYAQMTVLAIDQDSWVNFYGNMGKVLLSLISIFFDLVFMCQRFLLYPSKKAPISPPPPQIISKDKESLEPLLQSSSDHPLKHTV >ONI18019 pep chromosome:Prunus_persica_NCBIv2:G3:20446740:20455253:-1 gene:PRUPE_3G192300 transcript:ONI18019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPENRGGSGGPRRFDSKNGKAPPSRHLWVGNLSHSIMENDLTEHFLQFGELESVAFQPGRSYAFLNFKREDEAIAAMESLQGFPVAGNPLRIEFTKADKSSAPSRDEDYSQRRDEQRSALRGSPFLQRESRARQASPEQFYQEKSNMNDKNAEPSAVLWIGFPALLKVDELILKKAFSPFGEIEKITAFPGRSYAFVRFRSVMSACRAKDALQGKLFGNPRVHICFAKSETGSSNSGRNLMNVPPSPHFKGNDRSGSPENFREESKFGSLTGNPSIRSPQYFPDLDAGDSDPYSLNKKGNLWTGENNTFDQRRFGEMGSELGLSEDMYDHRGSPKREKYAHLHNYSQRFPQTSQPYEEPWDLPEDIHFHHGAKKLKTESFLPDRELPEYALSDFEQERHGFPRSYSDFPQSDSSKRNFEAGPFGYKQIPERPMNFALPSGQRGDHWKESYDNFQVNSGSQLANPVDRRRFTPEPDQSSFNVWKWEGTIAKGGTPVCRARCFPVGKVLDMILPEFLDCTARTGLDMLSKHYYQAASAWVVFFVPESDADIGYYNEFMHYLGEKQRAAVAKLDDKNTLFLVPPSDFSEKVLKVPGKLSISGVVLRLEHPSSNFGSHHQQHERKDRRLLSFPGDTSYTNPSTPSESIHPFTSLPDSSKPGGSNLSFLGNLITSAPPASYSGSAHGVGNGSESYNENRHDYPLHKGSPTLGPNWSSHHLQNSVSGSRNRPTQMSSIAIDPIHQDHRIMQRAVQESSTAGGISHIRNSNSSLHETQSSPSLAALQPDQLAQLASSLLGQQRQPGSTPNPYTREDFRQRNTMNESDNLPRTSQRFGLQNNQVSSEPSTSQFGQVQELQQLQQQVSTVSAVPHMGQRELQAGVQGNQQLQSISSNEAVETDPQKQRMQATLQLAAALLQQIQGGKGS >ONI18018 pep chromosome:Prunus_persica_NCBIv2:G3:20446541:20455266:-1 gene:PRUPE_3G192300 transcript:ONI18018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPENRGGSGGPRRFDSKNGKAPPSRHLWVGNLSHSIMENDLTEHFLQFGELESVAFQPGRSYAFLNFKREDEAIAAMESLQGFPVAGNPLRIEFTKADKSSAPSRDEDYSQRRDEQRSALRGSPFLQRESRARQASPEQFYQEKSNMNDKNAEPSAVLWIGFPALLKVDELILKKAFSPFGEIEKITAFPGRSYAFVRFRSVMSACRAKDALQGKLFGNPRVHICFAKSETGSSNSGRNLMNVPPSPHFKGNDRSGSPENFREESKFGSLTGNPSIRSPQYFPDLDAGDSDPYSLNKKGNLWTGENNTFDQRRFGEMGSELGLSEDMYDHRGSPKREKYAHLHNYSQRFPQTSQPYEEPWDLPEDIHFHHGAKKLKTESFLPDRELPEYALSDFEQERHGFPRSYSDFPQSDSSKRNFEAGPFGYKQIPERPMNFALPSGQRGDHWKESYDNFQVNSGSQLANPVDRRRFTPEPDQSSFNVWKWEGTIAKGGTPVCRARCFPVGKVLDMILPEFLDCTARTGLDMLSKHYYQAASAWVVFFVPESDADIGYYNEFMHYLGEKQRAAVAKLDDKNTLFLVPPSDFSEKVLKVPGKLSISGVVLRLEHPSSNFGSHHQQHERKDRRLLSFPGDTSYTNPSTPSESIHPFTSLPDSSKPGGSNLSFLGNLITSAPPASYSGSAHGVGNGSESYNENRHDYPLHKGSPTLGPNWSSHHLQNSVSGSRNRPTQMSSIAIDPIHQDHRIMQRAVQESSTAGGISHIRNSNSSLHETQSSPSLAALQPDQLAQLASSLLGQQRQPGSTPNPYTREDFRQRNTMNESDNLPRTSQRFGLQNNQVSSEPSTSQFGQVQELQQLQQQVSTVSAVPHMGQRELQAGVQGNQQLQSISSNEAVETDPQKQRMQATLQLAAALLQQIQGGKGS >ONI18017 pep chromosome:Prunus_persica_NCBIv2:G3:20446540:20455260:-1 gene:PRUPE_3G192300 transcript:ONI18017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRGGGGKDRFRMEHPENRGGSGGPRRFDSKNGKAPPSRHLWVGNLSHSIMENDLTEHFLQFGELESVAFQPGRSYAFLNFKREDEAIAAMESLQGFPVAGNPLRIEFTKADKSSAPSRDEDYSQRRDEQRSALRGSPFLQRESRARQASPEQFYQEKSNMNDKNAEPSAVLWIGFPALLKVDELILKKAFSPFGEIEKITAFPGRSYAFVRFRSVMSACRAKDALQGKLFGNPRVHICFAKSETGSSNSGRNLMNVPPSPHFKGNDRSGSPENFREESKFGSLTGNPSIRSPQYFPDLDAGDSDPYSLNKKGNLWTGENNTFDQRRFGEMGSELGLSEDMYDHRGSPKREKYAHLHNYSQRFPQTSQPYEEPWDLPEDIHFHHGAKKLKTESFLPDRELPEYALSDFEQERHGFPRSYSDFPQSDSSKRNFEAGPFGYKQIPERPMNFALPSGQRGDHWKESYDNFQVNSGSQLANPVDRRRFTPEPDQSSFNVWKWEGTIAKGGTPVCRARCFPVGKVLDMILPEFLDCTARTGLDMLSKHYYQAASAWVVFFVPESDADIGYYNEFMHYLGEKQRAAVAKLDDKNTLFLVPPSDFSEKVLKVPGKLSISGVVLRLEHPSSNFGSHHQQHERKDRRLLSFPGDTSYTNPSTPSESIHPFTSLPDSSKPGGSNLSFLGNLITSAPPASYSGSAHGVGNGSESYNENRHDYPLHKGSPTLGPNWSSHHLQNSVSGSRNRPTQMSSIAIDPIHQDHRIMQRAVQESSTAGGISHIRNSNSSLHETQSSPSLAALQPDQLAQLASSLLGQQRQPGSTPNPYTREDFRQRNTMNESDNLPRTSQRFGLQNNQVSSEPSTSQFGQVQELQQLQQQVSTVSAVPHMGQRELQAGVQGNQQLQSISSNEAVETDPQKQRMQATLQLAAALLQQIQGGKGS >ONI17073 pep chromosome:Prunus_persica_NCBIv2:G3:14398881:14400268:1 gene:PRUPE_3G136600 transcript:ONI17073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHVFHTSCLIHWILLCEVEIANQSANSKVRRRSRRKNAAKCNGQDGQMKALSTQIHSVFCQECQGTGAIIDGDDLEKPNLPLSQMFKYKIKVSDARRAWMKSPEMLVNCSTGFHFPSQYEEGIQVSEKVKPLKLLHFYRAD >ONI17074 pep chromosome:Prunus_persica_NCBIv2:G3:14399075:14400268:1 gene:PRUPE_3G136600 transcript:ONI17074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFHVFHTSCLIHWILLCEVEIANQSANSKVRRRSRRKNAAKCNGQDGQMKALSTQIHSVFCQECQGTGAIIDGDDLEKPNLPLSQMFKYKIKVSDARRAWMKSPEMLVNCSTGFHFPSQYEEGIQVSEKVKPLKLLHFYRAD >ONI18895 pep chromosome:Prunus_persica_NCBIv2:G3:23778713:23781505:-1 gene:PRUPE_3G246600 transcript:ONI18895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGNLAPKKEKKSRKSKGVIDENAPLLPKGQEDVVFDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLVLGISMIIFMAFLTEASIELILRFSRAGKSASYGGLMGEAFGKYGKVLLQISVLVNNLGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWTGRAIILLVTTLGIFAPLSCFKRIDSLSFTSALSVALAVVFLVITVGITVVKLFNGSIAMPRLLPDVTDVSSFFKLFTVVPVLVTAYICHYNVHSIHNELEDSTQIRGVVQTSLALCSSVYIMTSLFGFLLFGDGTLDDVLANFDTNLGIPYSSVLNDAVRVSYAAHLMLVFPIVFFPLRLNLDGLLFPSARPLVLDNMRFALVTIGLISVIFLGANFIPSIWDAFQFTGATAAVCLGFIFPAAITLKDRHNIATKKDKILSVFMIVLAVFSNVVAIYSDAYALFKNSSRRE >ONI18894 pep chromosome:Prunus_persica_NCBIv2:G3:23778406:23782431:-1 gene:PRUPE_3G246600 transcript:ONI18894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGNLAPKKEKKSRKSKGVIDENAPLLPKGQEDVVFDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLVLGISMIIFMAFLTEASIELILRFSRAGKSASYGGLMGEAFGKYGKVLLQISVLVNNLGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWTGRAIILLVTTLGIFAPLSCFKRIVHSIHNELEDSTQIRGVVQTSLALCSSVYIMTSLFGFLLFGDGTLDDVLANFDTNLGIPYSSVLNDAVRVSYAAHLMLVFPIVFFPLRLNLDGLLFPSARPLVLDNMRFALVTIGLISVIFLGANFIPSIWDAFQFTGATAAVCLGFIFPAAITLKDRHNIATKKDKILSVFMIVLAVFSNVVAIYSDAYALFKNSSRRE >ONI16758 pep chromosome:Prunus_persica_NCBIv2:G3:10572635:10573286:1 gene:PRUPE_3G120200 transcript:ONI16758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTGTVAKSIADAFPHMKCTVLDLPHVVADLKGSKNLEYVAGNMFEAVPAADAIFLKWILHDWSDEECVKILERCKEAITREGKKSKVIIVDMTVENKNTDKESGETQLFFDMLMMVMATGKERNDKEWAKLFSDAGFSHYKITPCLGLRSLIEVYP >ONI19794 pep chromosome:Prunus_persica_NCBIv2:G3:26433100:26434267:1 gene:PRUPE_3G298600 transcript:ONI19794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGRQHGMVRTYRVLPDPLNPRPETRHVNRFDSPPTAGLFTKVATKPTNHSKFTGKCGKPRCTECHIHPASKAKDKTKGNHKLKSSDVLSNHRLVTWRVVDSRPSSNFSGFSATGILDHLSTRDYDNDDDDEEDDEINGYASRAYDNRNDHDVRVDCDEVEEDGNYEEMGFFNVEYVIDPIEEDEGWCLVPQT >ONI19534 pep chromosome:Prunus_persica_NCBIv2:G3:25768228:25769607:1 gene:PRUPE_3G283800 transcript:ONI19534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVSSTSPSLKANFNPQSFTATSHLCNSTCKPASTSLLYLGQIYGDTTRPNRSKMPNSNGFFSVKAYMDNPNSISSFASKVIGSLPVVGLIARILSDEGGLGGDIIDFAEFRRRVGKKCTTSDSRAFYEFQDRRGRAGDPLYVLLCCWVAAIGAGLLKSEEILEGAARLRVSNDIEFEEQNFISLINEAKERRAKLKAATPIIPLEIRIEKALEGIYLCCFGKDPIEEEDERLLNVMLKAVYPSVEQSEIQRIVKDKAQKVAEGSDGGSVREPKPLSKEAVTMQMKDLQFLQQNRDT >ONI16906 pep chromosome:Prunus_persica_NCBIv2:G3:12964128:12964686:1 gene:PRUPE_3G128700 transcript:ONI16906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDSHTEALLTRASKGIFPSNHSSTAQFLCRPARLRLNSFKCFRASTSLHGPSQVTRKPSFHPPPLLKPTKTSGLSLFAEGLRIIILNKQAGLQNGKAHERNLGEGSQKITYLFPPCRSKLTPRRPSSRSQSNPCSKITDPSQLA >ONI16552 pep chromosome:Prunus_persica_NCBIv2:G3:8408805:8411266:-1 gene:PRUPE_3G106000 transcript:ONI16552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDDRLKDSLRVLLQEENKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLILNFDPSMLKPRISQSPKNPMSDKASCSGGDKNEGNQDEEKNEEKKDEEKEEEKKDEEKKEEKQDEKEKEDEEKHDDQVIKVVDYSNMEAPSSLKFLCRYVETTLVPQDKTLNFTIDKEVFGLERDTFVLPEDITQFAGMEEIGATVVAVYMRYLHDLLKQANMCSMVGFIDPATVSANSGIIADRSRLPSLDFADYHLPGNRVVDEEGKNIVNSAIKIYNSHIARSGRKAVIWKTLSGTPKQPSSVECGYYVMRFMSDIIMDPSLAFEKKYAKGKEEAPYPQEAIDEVRNEWAEFVCLHME >ONI18132 pep chromosome:Prunus_persica_NCBIv2:G3:20816818:20818433:-1 gene:PRUPE_3G198500 transcript:ONI18132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYIKVSSIQAASQFNFSQSHNQSKVIKLLLSVSVLSILLSYSSLVSFLLHSFNAFTSAASVKLFSYSFDKNYMFLLCNGLLVFIVKNSGLIGTSPPGSTNLNNDEHAPKNSENRQRVVELAETKAPKAKEEVVNVEVEQEQEGEDDEIFITVEEEAEAEDKRVLITQDEEEEGCRNSLIMVEDHEAYEEEGIELLSREELNKKCDDFIRRMKEGIKLEVQQAIMF >ONI16234 pep chromosome:Prunus_persica_NCBIv2:G3:6446926:6448459:-1 gene:PRUPE_3G087000 transcript:ONI16234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQINAHLKKKTKSSEFSPCPFHFNAYLSLPFTSTPSIFLSSTASIFISPLSDSDQQSQRPPEKKKKRERERALHLLFDKMKEGLLENSRFVFDQETLLTVCKTCLFFPGDGFTVYDCKGQLVFRVDSYGPDPRDRSEVVLMDAHGRCLLTVRRKRPSLHQRWEGFEGERTDGQKPIFSVRRSSIIGRSSMTVEVYGDPGEEYQIEGSFAQRCCTIFNAEKESVAEIRRKVDASTHVVLGKDVFSLCIKPGFDGAFAMGLVLVLDQINGDDYGDDGIEMDPTAEG >ONI17055 pep chromosome:Prunus_persica_NCBIv2:G3:14279170:14284960:-1 gene:PRUPE_3G135500 transcript:ONI17055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKVICPIYKHFIPITSQRSKSVHTDCKYFLNLEGAVASQIASILQACSDHSLIQQGRQVHAHAICSGLVKNSLVGTKILGMYFLCGSIVDAKNIFYKLDLQYTLPWNWMIRGFTMMGYFEFALLFYFKMLGSGISPDKYTFPSVIKACGGVNNVRLGKAIYDTIQFMGFGVDIFVGSSLIQLYVDNGCIHDAWCLFVEMPHKDCVLWNVMLHGYVKNGESKNAVGMFLEMRNSEIKPNAVTFACILSVCASEAMIGFGTQLHGLIVACGLELDSPVANTLLAMYSKCQCLSEARKLFDMMPRTDLVTWNGMISGYIQNGFMVEASRLFQAMISSSVKPDSITFASFLPSVAELANLKQGLVLNGMNHDALEIFRWLLKEKMRPNSLTLASVLPACAGLVALKLGKELHGNILKHGLDGRLHLGSALTDMYAKSGRLDLAHQVFERMFERDTICWNSMITSYSQNGKPEEAIDIFRQMGMAGAKYDCVSISAALSACANLPALHYGKEIHGFMIRSAFSSDLFAESALIDVYAKCGNLVFARRVFDMMEEKNEVSWNSIISAYGSHGCLQDSLVLFREMLGNGILPDHVTFLGILSACGHAGQVDDGIFYFRCMIEEYGISARSEHYACMVDLFGRAGRLSEAFETIKSMPFSPDSGVWGTLLGACRVHGNVELAEEASRHLFDVEPQNSGYYILLSNIHADAGKWGSVLKVRSLMKERGVQKVPGYSWIEVNNSTHMFVAADGSHPQSAQIYSMLKSLLLELRKEGYNPQPYLPTHPQTSGM >ONI17781 pep chromosome:Prunus_persica_NCBIv2:G3:19512950:19516154:-1 gene:PRUPE_3G178700 transcript:ONI17781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQSYESYDMLLKQFLLPHPFVPYISMLVGMFACKLVYDLNHLLSAAYFKSYSNLSKMQRIEWNNRAISTVHALFITAMSLYLVFWSDLYSDQQLNAFVTLQSSPLSTFALGVSVGYFLTDLGMIFWFYPALGGLEYVVHHLLSVASVAYAMLTGEGQLYTYMVLISETTTPGINLRWYLDTTGMKGSRTYLINGVVIFIAWLQEYSCSCICSTICTCTINRLSKCTSLGNF >ONI17782 pep chromosome:Prunus_persica_NCBIv2:G3:19513736:19515700:-1 gene:PRUPE_3G178700 transcript:ONI17782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQSYESYDMLLKQFLLPHPFVPYISMLVGMFACKLVYDLNHLLSAAYFKSYSNLSKMQRIEWNNRAISTVHALFITAMSLYLVFWSDLYSDQQLNAFVTLQSSPLSTFALGVSVGYFLTDLGMIFWFYPALGGLEYVVHHLLSVASVAYAMLTGEGQLYTYMVLISETTTPGINLRWYLDTTGMKGSRTYLINGVVIFIAWLVARILLFMYLFYHLYLHYQQLVRHSKKQVYSAYMCLLNRLSKCTSLGNF >ONI17780 pep chromosome:Prunus_persica_NCBIv2:G3:19512951:19516154:-1 gene:PRUPE_3G178700 transcript:ONI17780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQSYESYDMLLKQFLLPHPFVPYISMLVGMFACKLVYDLNHLLSAAYFKSYSNLSKMQRIEWNNRAISTVHALFITAMSLYLVFWSDLYSDQQLNAFVTLQSSPLSTFALGVSVGYFLTDLGMIFWFYPALGGLEYVVHHLLSVASVAYAMLTGEGQLYTYMVLISETTTPGINLRWYLDTTGMKGSRTYLINGVVIFIAWLVARILLFMYLFYHLYLHYQQVKQMHIIGKFLAFGVPPILAVMNVMWFGKIFKGLKKTLAKSQ >ONI17783 pep chromosome:Prunus_persica_NCBIv2:G3:19513819:19515700:-1 gene:PRUPE_3G178700 transcript:ONI17783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQSYESYDMLLKQFLLPHPFVPYISMLVGMFACKLVYDLNHLLSAAYFKSYSNLSKMQRIEWNNRAISTVHALFITAMSLYLVFWSDLYSDQQLNAFVTLQSSPLSTFALGVSVGYFLTDLGMIFWFYPALGGLEYVVHHLLSVASVAYAMLTGEGQLYTYMVLISETTTPGINLRWYLDTTGMKGSRTYLINGVVIFIAWLQEYSCSCICSTICTCTINSL >ONI16486 pep chromosome:Prunus_persica_NCBIv2:G3:7783528:7785147:-1 gene:PRUPE_3G101300 transcript:ONI16486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSLDVANLNFDSMTMCSLEQNIDGLTDGSDGNTKEDNHHTQRNNGSGSMQFADNDVKVHTQLRPTTNGEVNENSTNASGTRPPAGFLGVSLHSPYDKIGISTSFVAPSAGAGLPFDAMVLGSIWPLGFLMKYCSMLRNRMLAYPSFLFKVGTEIVIDSCCATFSENGKGFLG >ONI18881 pep chromosome:Prunus_persica_NCBIv2:G3:23726588:23728054:-1 gene:PRUPE_3G245700 transcript:ONI18881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKNEGNLPLYGFHDPESFVNSIQKPRVIIMLVKAGAPVDQTIKTLSVYLEKGDSIIDGGNEWYENTERRAKAMAELGLLYLGMGVSGGEEGARYGPSMMPGGSFEAYKYIEDILLKVSAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLSNEELRSVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIESSLDARFLSGLKEERVKAAKVFKSAGFGDILTAPEVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSIEQGWDLKLGELARIWKGGCIIRAVFLDRIKQAYDRNADLANLLVDPEFSKEIIERQSAWRRVVCLAINSGISTPGMSASLAYFDSYRRERLPANLVQAQRDYFGAHTYERTDVEGAFHTEWFKIAKQSKI >ONI18883 pep chromosome:Prunus_persica_NCBIv2:G3:23726588:23728054:-1 gene:PRUPE_3G245700 transcript:ONI18883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKNEGNLPLYGFHDPESFVNSIQKPRVIIMLVKAGAPVDQTIKTLSVYLEKGDSIIDGGNEWYENTERRAKAMAELGLLYLGMGVSGGEEGARYGPSMMPGGSFEAYKYIEDILLKVSAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLSNEELRSVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIESSLDARFLSGLKEERVKAAKVFKSAGFGDILTAPEVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSIEQGWDLKLGELARIWKGGCIIRAVFLDRIKQAYDRNADLANLLVDPEFSKEIIERQSAWRRVVCLAINSGISTPGMSASLAYFDSYRRERLPANLVQAQRDYFGAHTYERTDVEGAFHTEWFKIAKQSKI >ONI18882 pep chromosome:Prunus_persica_NCBIv2:G3:23726158:23729303:-1 gene:PRUPE_3G245700 transcript:ONI18882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKNEGNLPLYGFHDPESFVNSIQKPRVIIMLVKAGAPVDQTIKTLSVYLEKGDSIIDGGNEWYENTERRAKAMAELGLLYLGMGVSGGEEGARYGPSMMPGGSFEAYKYIEDILLKVSAQVPDSGPCVTYIGKGGSGNFVKMIHNGIEYGDMQLIAEAYDVLKSVGKLSNEELRSVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIESSLDARFLSGLKEERVKAAKVFKSAGFGDILTAPEVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSIEQGWDLKLGELARIWKGGCIIRAVFLDRIKQAYDRNADLANLLVDPEFSKEIIERQSAWRRVVCLAINSGISTPGMSASLAYFDSYRRERLPANLVQAQRDYFGAHTYERTDVEGAFHTEWFKIAKQSKI >ONI16901 pep chromosome:Prunus_persica_NCBIv2:G3:12733537:12739268:-1 gene:PRUPE_3G128200 transcript:ONI16901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFNNVKVPKVPGGGAVSALLKVGIIGGLGLYGVANSIYNVEGGHRAIVFNRIIGVKDKVYPEGTHLIIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVPDQLPTVYRTLGENYNERVLPSIVHETLKAVVAQYNASQLITQRETVSREIRKILTERAANFNIALDDVSITTLTFGKEFTAAIEAKQVAAQEAERAKFVVDKAEQDKKSAIIRAEGEATSAKLIGEAIANNPAFITLRKIEAAREIAHTISNSSNKVYLNSDDLLLNLQEMNLDFNRKK >ONI17885 pep chromosome:Prunus_persica_NCBIv2:G3:20012106:20014026:-1 gene:PRUPE_3G185500 transcript:ONI17885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILRQHSFLAFLCLLLILPTLVFGKCTCDKEEDEDRNKTEALKYKLAAIASILVASAIGVGIPTLGKNIPALHPDRNIFFLIKAFAAGVILATGFIHVLPDAFDKLTSPCLKENPWGKFPFTGFVAMVAAIGTLMVDASATSYYSRSHFKNNRAQPAESVGDEEKAGEHEDHMHVHTHATHGHAHGSVDTSPSTQLLRHRVISQVLELGIIVHSVIIGISLGASESPDTIRPLVAALTFHQFFEGMGLGGCISQAKFKARGVAIMALFFSLTTPVGIAIGIGISNVYEENSPTALIVEGVFNAASAGILIYMSLVDLLAADFMNPKMQSNGRLQAGANIALLLGAGCMSLLANWA >ONI17500 pep chromosome:Prunus_persica_NCBIv2:G3:18183274:18185592:-1 gene:PRUPE_3G163000 transcript:ONI17500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYDLSVRKGAWTREEDDLLRQCIENQGEGKWHQVPYKAGLNRCRKSCRLRWVNYLKPNIKKGEFAEDEVDLIIRLHKLLGNRWSLIARRLPGRTANNVKNYWNTRSRTDYCMKKIKDKSQETIKTIIRPQPRRFTKSSNCLSFKEPILDHTQRDWWETFLDDKDATERATGSGLGLDEELLASFWVDDDMPQSTRKCINFSEGLIRGDFSFSVDPWNHSNEEYRK >ONI15297 pep chromosome:Prunus_persica_NCBIv2:G3:2620759:2622939:-1 gene:PRUPE_3G036200 transcript:ONI15297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLDLAFLSAYSIGMYFAGHVGDRIDLRLFLVFGMMSAVVGNWFEKEKRGLIMGIWSSHTSVGNIIDSVVASGVLEFGWGWSFVVPGVLVILVGILVFLFLPVNPEDLGFESRVKEIQMNMEVNGIENLEGKVESDEAGLLGTENVDVDTSADTLAAIGFWEAWRLPGVAPFALCLFFSKLVSYTFLYWLPFYVRHTAVFDIGGVFGGILAGLISDTIEARAVTSITFLLLSVPALVFYWVYGSLSMVANILLMFLSGLLVNGPYSLITTAVAADLVIRGNSHAVATVTAIIDAQFHIIVLLESPSSKSNSILAREHIPYSITTNYQKLIILAAPHRDNTARQSPAFATSKHLSKRTIIS >ONI16471 pep chromosome:Prunus_persica_NCBIv2:G3:7678749:7684889:-1 gene:PRUPE_3G100200 transcript:ONI16471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKERLLPPKVPKPASAINLRESSYRPAAFGRQPFQSVDVLGLKKRGQGLRSWIRVDTYGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTTNGVGDVWQSDGSELSRRRGNRNFDSVFGSTSPDYLPFEFRALEVALEAACKFLDSQAAELEIEAYPLLDELTSKISTLNLERARRLKSRLLALTRRVQKVRDEIEQLMDDDGDMAEMYLTDKKSRMESSFYGDQSVLGYRSTDGTSLSAPVSPVASPPDGRKLEKSLSIARSRHESMRSSDSTTESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFTIPLFDNAGAFKWVLIITAMEGCWSAKDGAKVRTFNNSSLCHCQCLLRCSPSASSNTFDYGWIW >ONI16469 pep chromosome:Prunus_persica_NCBIv2:G3:7678909:7683982:-1 gene:PRUPE_3G100200 transcript:ONI16469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKERLLPPKVPKPASAINLRESSYRPAAFGRQPFQSVDVLGLKKRGQGLRSWIRVDTYGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTTNGVGDVWQSDGSELSRRRGNRNFDSVFGSTSPDYLPFEFRALEVALEAACKFLDSQAAELEIEAYPLLDELTSKISTLNLERARRLKSRLLALTRRVQKVRDEIEQLMDDDGDMAEMYLTDKKSRMESSFYGDQSVLGYRSTDGTSLSAPVSPVASPPDGRKLEKSLSIARSRHESMRSSDSTTESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFTIPLFDNAGAFKWVLIITGQWRAVGRQRMEQRYEHSTIALCATANACCVVRHQHQVIRLIMVGYGE >ONI16473 pep chromosome:Prunus_persica_NCBIv2:G3:7680254:7684907:-1 gene:PRUPE_3G100200 transcript:ONI16473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKERLLPPKVPKPASAINLRESSYRPAAFGRQPFQSVDVLGLKKRGQGLRSWIRVDTYGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTTNGVGDVWQSDGSELSRRRGNRNFDSVFGSTSPDYLPFEFRALEVALEAACKFLDSQAAELEIEAYPLLDELTSKISTLNLERARRLKSRLLALTRRVQKVRDEIEQLMDDDGDMAEMYLTDKKSRMESSFYGDQSVLGYRSTDGTSLSAPVSPVASPPDGRKLEKSLSIARSRHESMRSSDSTTESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFTIPLFDNAGAFKWVLIITGVTGFCIFSAFVWFFKYRRLMPL >ONI16472 pep chromosome:Prunus_persica_NCBIv2:G3:7678749:7684825:-1 gene:PRUPE_3G100200 transcript:ONI16472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKERLLPPKVPKPASAINLRESSYRPAAFGRQPFQSVDVLGLKKRGQGLRSWIRVDTYGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTTNGVGDVWQSDGSELSRRRGNRNFDSVFGSTSPDYLPFEFRALEVALEAACKFLDSQAAELEIEAYPLLDELTSKISTLNLERARRLKSRLLALTRRVQKVRDEIEQLMDDDGDMAEMYLTDKKSRMESSFYGDQSVLGYRSTDGTSLSAPVSPVASPPDGRKLEKSLSIARSRHESMRSSDSTTESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFTIPLFDNAGAFNNGGLLVGKGWSKGTNIQQ >ONI16470 pep chromosome:Prunus_persica_NCBIv2:G3:7678749:7684908:-1 gene:PRUPE_3G100200 transcript:ONI16470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKERLLPPKVPKPASAINLRESSYRPAAFGRQPFQSVDVLGLKKRGQGLRSWIRVDTYGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTTNGVGDVWQSDGSELSRRRGNRNFDSVFGSTSPDYLPFEFRALEVALEAACKFLDSQAAELEIEAYPLLDELTSKISTLNLERARRLKSRLLALTRRVQKVRDEIEQLMDDDGDMAEMYLTDKKSRMESSFYGDQSVLGYRSTDGTSLSAPVSPVASPPDGRKLEKSLSIARSRHESMRSSDSTTESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFTIPLFDNAGAFKSCWAAMEGCWSAKDGAKVRTFNNSSLCHCQCLLRCSPSASSNTFDYGWIW >ONI19222 pep chromosome:Prunus_persica_NCBIv2:G3:24927062:24929945:1 gene:PRUPE_3G265700 transcript:ONI19222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTCLELLSCTSYSFLRSRIYAQVFSAFGFVHKIATFEKAAGFQALIQFNDAETASSARNALDGRSIPRYLLPEHVGSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAIEGIMQPAVGPDGKKKELESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGQTQALVQYPDVNTAAVAREALEGHCIYDGGYCKLHLSYSRHTDLNVKAFSDKSRDYTIPEASLLAAQQVSGYPAAPAAWQNPQAAPMYHGNEFSGAASMQAQGPPGQGPSWDPAMQAGRSTFVSVPSTFPGQTFHASSGPVYSSAAMPPGSSPLQTGPTTSSSMASRGISQPGGPPNLRPGGGASPPGVGPPGASPSTYYGQ >ONI19221 pep chromosome:Prunus_persica_NCBIv2:G3:24926306:24930166:1 gene:PRUPE_3G265700 transcript:ONI19221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSGTPQFRYTQTPSKVLHLRNLPWECAEEELIELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAINMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDVPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQFNDAETASSARNALDGRSIPRYLLPEHVGSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAIEGIMQPAVGPDGKKKELESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGQTQALVQYPDVNTAAVAREALEGHCIYDGGYCKLHLSYSRHTDLNVKAFSDKSRDYTIPEASLLAAQQVSGYPAAPAAWQNPQAAPMYHGNEFSGAASMQAQGPPGQGPSWDPAMQAGRSTFVSVPSTFPGQTFHASSGPVYSSAAMPPGSSPLQTGPTTSSSMASRGISQPGGPPNLRPGGGASPPGVGPPGASPSTYYGQ >ONI17960 pep chromosome:Prunus_persica_NCBIv2:G3:20157757:20163194:-1 gene:PRUPE_3G188100 transcript:ONI17960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVRAAEREMHRGEDEDDERRRQRKLEEALEVKSLRRIISAYLNYPEAAEEDVRRYERSFKILPPSHKALLSHYPLKFQRLRRCISVNSYFIFSMLQAFEPPLDLSQDMDVRDGPHLERVSYNHDVSGVKSVSSSQSNSTSERMHISNSDQACCGEGSSAVCSTPIGVTTKKEVNNEGHCDCITERHTSGREYNREMHNCDGNDAIDSNGDVSSPTRTWLDPSLQLHVPLVDVDKVRCIVRNIVRDWAAEGQKERDQCYKPILEELDSLFADRSKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMICSSFILNHSRTAGEWTIYPWIHSNCNSLSDSDQLRPVSVPDIHPASAGITEGFSMCGGDFVEVYNDPNQVGVWDAVVTCFFIDTAHNIVEYIEIISRILKDGGVWINMGPLLYHFAEMYGQDDEMSIELSLEDVKRVALHYGFHFEKERTIETTYTTNPKSMMQNRYNAAFWTMRKRSAIATEQATP >ONI16855 pep chromosome:Prunus_persica_NCBIv2:G3:11588662:11589557:-1 gene:PRUPE_3G125600 transcript:ONI16855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAFLGGWLTHATREFHRKMYAFLRRGGFHMPHGTFHRKGVARRSQGHQDRTEFLHLNVVRLTPYLTVYKTLHFMPCDLFS >ONI17213 pep chromosome:Prunus_persica_NCBIv2:G3:15911515:15916864:-1 gene:PRUPE_3G145800 transcript:ONI17213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYPFQKISFLLVITSTICLLYIPRSLGEDDEQYLNCSALFQCANIPNIGYPFWGSSRPNYCGYPEFKLNCTGDSPVISFQEKDYRVLDINQSASTLRIARTDYWNNVCPVSPGNTTIEVNHVEYASDVQELLLFYDCPPLNIPLQIQLTSQFNCSINSTANYINYFVTQNLTNSGLTNISGTFGTCHTTVNALVSQSAGENLATNSTKDNLVAVLDSGFGLTWDASNNLCKQCNGSGGQCGYNTATAEFTCYCKDGPHPSNCAGKKKDLAMGLATGFYVMVIILCSICIWWKQNVRKSLPHVSSGRMVSFVQKEKRDEFDVEAFIRNYGSLTPKRYTYENVKKMTDSFRDKIGKGGYGTVYKGRLPDGLLVAVKVLSESKGNGGEDFINEVASIGRTSHVNIVTLSGFCYEGDKRALIYEYMPNGSLDNFIHKHGSGMANFLLEWKTLSEIAVGIARGLEYLHRGCNTRILHFDIKPQNILLDKDFRPKISDFGLAKLCKTKDSIVSMIGTRGTAGYIAPEVFSRNFGGVSHKSDVYSYGMLVLEMVGAKNNLDSGVSHSSEMFPHYVYKDLELEKDENAFGAITEEEKEIGRKMVLISLWCIQTIPSDRPSMSKVVEMLEGPLHSLRIAPKPFLFSPTIATEDSMTTTSGGSTMGSMVSDGPMAALEMASRSHVCLGSHGRRPHGEEDMQAVREKIEGGRKEKEREEEEEDND >ONI18204 pep chromosome:Prunus_persica_NCBIv2:G3:21026636:21027088:1 gene:PRUPE_3G202100 transcript:ONI18204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSCKLAMITTFKIFFLPLACKYQAEILGSQAKTLNVNLACISSESIKTMIYTLLYFQNKEQGGKIQVHT >ONI17541 pep chromosome:Prunus_persica_NCBIv2:G3:18510474:18511811:1 gene:PRUPE_3G166200 transcript:ONI17541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSIFIFLFLENYGVRKLEAVFAVLIATMALSFAWMFGEAKPSGTELLIGCFVKAFGRMDAADFLQGYYLCVFL >ONI16660 pep chromosome:Prunus_persica_NCBIv2:G3:9524482:9533383:1 gene:PRUPE_3G113600 transcript:ONI16660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYGIQSMLKEGHKHLSGLDEAVVKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKLLVLAGRAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYNKAITKTIEILDELVEEGSEKMNVRNKEEVVCRMKAAVASKQFGQEDILSSLVADACIQVCPKNPANFNVDNVRVAKIVGGGLHNCTVVRGMVLKTDAVGSIKRMEKAKVAVFVSGVDTSATETKGTVLIHSADQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAMLKLGQPNPDDLGYVDSVSVEEIAGVRVTVVKNEEGGNSVSTVLLRGSTESILDDLERAVDDGVNTYKAMCKDSRIVPGAAATEIELARRLKEFSFKETGLDQYAIAKFAESFEMVPKTLAENAGRNAMEIISSLYAEHASGNTKVGIDLEDGVCRDVSALNVWDLHITKFFALKYAADAACTVLRVDQIIMAKPAGGPSRRDQPAGMDED >ONI17239 pep chromosome:Prunus_persica_NCBIv2:G3:16195275:16206423:-1 gene:PRUPE_3G148000 transcript:ONI17239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDNQAIRLKDFFADSSQFPPDQISRFNSIRVADPQSLLEEKEAVEEKLAISEYELRLAQEDILKLKTELQKKAESPVNESRGLDSGSNSSVSVNNGPQFQRQKRDVSFSHLGPLKDNERRDLNCAVKEYLLIAGYRLTAMTFFEEVTDQNLDVWQDSPACVPDALRHYYYQYLSSTTEAAEEKITMLRENDSLSKEKETLYHEKLCLLKNKDLAEGQISTLNKSLEGLQKDVKDKENLVQNLKQSLEHQRKELNDCRAEITALKMHIEGYRSGRNTVAAEAEHVQSLSLERYKEEVKSLQMELESLKSKHAKAPDFSDSTNSEKESAQMEEKVVVMDEDKSLIPHPVDVVSRVVEKEDDQSLPARTFDDNIVTPKEIPQEFSVAPLNDSSTLVNDESVSKQNDEPSSGGRLHLTSEDLSAGIVSEKRGLETIQILADALPKIVPYVLINHREELLPLIMCVIERHPDSNTRDSLTHTLFNLIKRPDEQQRRIIMDACVTLAKNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGQLAEFVRPEIRDSLILSIVQQLIEDSATVVREAAAHNLALLLPLFPNMDKYFKVEDLMFQLVCDPSGVVVETTLKQLVPAVNKWGNKLDHILRVLLSHISSSAQRCPPLSGVEGSVESHLRVLGERERWNVDVLLRMLMEMLPFVYQKAIEMCPIASDTETTGTIFSTSFLELYARGHAQLPAFEWLHVDCFPALIQLACLLPPKEDSLRNRTTKFLLAVSEHYGDSYLTHIMLPVFLVATGDDAELTFFPSAIHSRIEGLRPRTAVAKRLATMCVLPLLLAGVLGAPSKHEQLVEYLRKLLVEGVTNQSTKCNAEIVDAVRFLCTFEDHHGMIFNLLWEMVVSSNIDMKINAANLLKVIVPYIDAKVASTHILPALVTLGSDQNLSVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVVRALVVAVPHTTDRLKDYLLSKIFQLTATPPASDLMRRRERANAFCEAIRALDATDVSANSVRDFLLPAIQNLLRDYDALDPAHKEALEIIMKERSGGTFDTISKVMGAGLASSVTSFFGEGGLLGKKENVELPPEPVESPKAAPMPPVEDTRLRRIMRGHFTDMLRGKAKGDET >ONI17241 pep chromosome:Prunus_persica_NCBIv2:G3:16195684:16203132:-1 gene:PRUPE_3G148000 transcript:ONI17241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFEEVTDQNLDVWQDSPACVPDALRHYYYQYLSSTTEAAEEKITMLRENDSLSKEKETLYHEKLCLLKNKDLAEGQISTLNKSLEGLQKDVKDKENLVQNLKQSLEHQRKELNDCRAEITALKMHIEGYRSGRNTVAAEAEHVQSLSLERYKEEVKSLQMELESLKSKHAKAPDFSDSTNSEKESAQMEEKVVVMDEDKSLIPHPVDVVSRVVEKEDDQSLPARTFDDNIVTPKEIPQEFSVAPLNDSSTLVNDESVSKQNDEPSSGGRLHLTSEDLSAGIVSEKRGLETIQILADALPKIVPYVLINHREELLPLIMCVIERHPDSNTRDSLTHTLFNLIKRPDEQQRRIIMDACVTLAKNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGQLAEFVRPEIRDSLILSIVQQLIEDSATVVREAAAHNLALLLPLFPNMDKYFKVEDLMFQLVCDPSGVVVETTLKQLVPAVNKWGNKLDHILRVLLSHISSSAQRCPPLSGVEGSVESHLRVLGERERWNVDVLLRMLMEMLPFVYQKAIEMCPIASDTETTGTIFSTSFLELYARGHAQLPAFEWLHVDCFPALIQLACLLPPKEDSLRNRTTKFLLAVSEHYGDSYLTHIMLPVFLVATGDDAELTFFPSAIHSRIEGLRPRTAVAKRLATMCVLPLLLAGVLGAPSKHEQLVEYLRKLLVEGVTNQSTKCNAEIVDAVRFLCTFEDHHGMIFNLLWEMVVSSNIDMKINAANLLKVIVPYIDAKVASTHILPALVTLGSDQNLSVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVVRALVVAVPHTTDRLKDYLLSKIFQLTATPPASDLMRRRERANAFCEAIRALDATDVSANSVRDFLLPAIQNLLRDYDALDPAHKEALEIIMKERSGGTFDTISKVMGAGLASSVTSFFGEGGLLGKKENVELPPEPVESPKAAPMPPVEDTRLRRIMRGHFTDMLRGKAKGDET >ONI17240 pep chromosome:Prunus_persica_NCBIv2:G3:16195319:16206231:-1 gene:PRUPE_3G148000 transcript:ONI17240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEVTDQNLDVWQDSPACVPDALRHYYYQYLSSTTEAAEEKITMLRENDSLSKEKETLYHEKLCLLKNKDLAEGQISTLNKSLEGLQKDVKDKENLVQNLKQSLEHQRKELNDCRAEITALKMHIEGYRSGRNTVAAEAEHVQSLSLERYKEEVKSLQMELESLKSKHAKAPDFSDSTNSEKESAQMEEKVVVMDEDKSLIPHPVDVVSRVVEKEDDQSLPARTFDDNIVTPKEIPQEFSVAPLNDSSTLVNDESVSKQNDEPSSGGRLHLTSEDLSAGIVSEKRGLETIQILADALPKIVPYVLINHREELLPLIMCVIERHPDSNTRDSLTHTLFNLIKRPDEQQRRIIMDACVTLAKNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGQLAEFVRPEIRDSLILSIVQQLIEDSATVVREAAAHNLALLLPLFPNMDKYFKVEDLMFQLVCDPSGVVVETTLKQLVPAVNKWGNKLDHILRVLLSHISSSAQRCPPLSGVEGSVESHLRVLGERERWNVDVLLRMLMEMLPFVYQKAIEMCPIASDTETTGTIFSTSFLELYARGHAQLPAFEWLHVDCFPALIQLACLLPPKEDSLRNRTTKFLLAVSEHYGDSYLTHIMLPVFLVATGDDAELTFFPSAIHSRIEGLRPRTAVAKRLATMCVLPLLLAGVLGAPSKHEQLVEYLRKLLVEGVTNQSTKCNAEIVDAVRFLCTFEDHHGMIFNLLWEMVVSSNIDMKINAANLLKVIVPYIDAKVASTHILPALVTLGSDQNLSVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVVRALVVAVPHTTDRLKDYLLSKIFQLTATPPASDLMRRRERANAFCEAIRALDATDVSANSVRDFLLPAIQNLLRDYDALDPAHKEALEIIMKERSGGTFDTISKVMGAGLASSVTSFFGEGGLLGKKENVELPPEPVESPKAAPMPPVEDTRLRRIMRGHFTDMLRGKAKGDET >ONI17238 pep chromosome:Prunus_persica_NCBIv2:G3:16195275:16206257:-1 gene:PRUPE_3G148000 transcript:ONI17238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDNQAIRLKDFFADSSQFPPDQISRFNSIRVADPQSLLEEKEAVEEKLAISEYELRLAQEDILKLKTELQKKAESPVNESRGSNSSVSVNNGPQFQRQKRDVSFSHLGPLKDNERRDLNCAVKEYLLIAGYRLTAMTFFEEVTDQNLDVWQDSPACVPDALRHYYYQYLSSTTEAAEEKITMLRENDSLSKEKETLYHEKLCLLKNKDLAEGQISTLNKSLEGLQKDVKDKENLVQNLKQSLEHQRKELNDCRAEITALKMHIEGYRSGRNTVAAEAEHVQSLSLERYKEEVKSLQMELESLKSKHAKAPDFSDSTNSEKESAQMEEKVVVMDEDKSLIPHPVDVVSRVVEKEDDQSLPARTFDDNIVTPKEIPQEFSVAPLNDSSTLVNDESVSKQNDEPSSGGRLHLTSEDLSAGIVSEKRGLETIQILADALPKIVPYVLINHREELLPLIMCVIERHPDSNTRDSLTHTLFNLIKRPDEQQRRIIMDACVTLAKNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGQLAEFVRPEIRDSLILSIVQQLIEDSATVVREAAAHNLALLLPLFPNMDKYFKVEDLMFQLVCDPSGVVVETTLKQLVPAVNKWGNKLDHILRVLLSHISSSAQRCPPLSGVEGSVESHLRVLGERERWNVDVLLRMLMEMLPFVYQKAIEMCPIASDTETTGTIFSTSFLELYARGHAQLPAFEWLHVDCFPALIQLACLLPPKEDSLRNRTTKFLLAVSEHYGDSYLTHIMLPVFLVATGDDAELTFFPSAIHSRIEGLRPRTAVAKRLATMCVLPLLLAGVLGAPSKHEQLVEYLRKLLVEGVTNQSTKCNAEIVDAVRFLCTFEDHHGMIFNLLWEMVVSSNIDMKINAANLLKVIVPYIDAKVASTHILPALVTLGSDQNLSVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVVRALVVAVPHTTDRLKDYLLSKIFQLTATPPASDLMRRRERANAFCEAIRALDATDVSANSVRDFLLPAIQNLLRDYDALDPAHKEALEIIMKERSGGTFDTISKVMGAGLASSVTSFFGEGGLLGKKENVELPPEPVESPKAAPMPPVEDTRLRRIMRGHFTDMLRGKAKGDET >ONI19385 pep chromosome:Prunus_persica_NCBIv2:G3:25431535:25432636:1 gene:PRUPE_3G276200 transcript:ONI19385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTSQFPCQRSNPNEFPFFPGDQLTNFKGQSQTPGGGGGAGGASQRLMSITDALLFIKQLKDVGGDQREEYYMFLEIMKDFKAQRIDTKGVCARVKALFKGHNHLILGFNRFLPQGYQIIPEEEDATPPLQKQTVDMKLLSRL >ONI19384 pep chromosome:Prunus_persica_NCBIv2:G3:25431523:25433416:1 gene:PRUPE_3G276200 transcript:ONI19384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLKVYTSQFPCQRSNPNEFPFFPGDQLTNFKGQSQTPGGGGGAGGASQRLMSITDALLFIKQLKDVGGDQREEYYMFLEIMKDFKAQRIDTKGVCARVKALFKGHNHLILGFNRFLPQGYQIIPEEEDATPPLQKQTVDMKLLSRL >ONI16747 pep chromosome:Prunus_persica_NCBIv2:G3:10404628:10405540:1 gene:PRUPE_3G119200 transcript:ONI16747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKAEIKVEVKIKKKRNCRRNHGVNWVRGELIGRGRYGSVHLATSTKPITRFGRKPEIMVVKSSNWVPCLRSVQHEAEVLIKLKGCPSVIECFGGQFTADENGEKVYNLFLEYASGLDHLIKNSDGVKHIHQCDYVHCDLTPENILLVPTTTSCGSTSLVAKIADFGLAKRTKEKDQPSEIWSVGCIVLEMLIGKPPWDTRFCWKPQDFLDMLVFDSPKIPAKISKEGRDFLKICLAFNPCKRLTAEELLSHLFVCAVNTTRRI >ONI17432 pep chromosome:Prunus_persica_NCBIv2:G3:17741577:17744396:1 gene:PRUPE_3G158800 transcript:ONI17432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTIGGRNKAKVMKISGETFKVKTPIRARDVVKDYPGHVLLNSEAVKHFGVRAKPLEPQQDLRPKKIYFLVQLPKFPEEEKQVPRRVRSGIQVSAKDRLECLMLSRRSVSDLTSVRAASAGSEGPVRMKVRVPRAQMVKLVEESNDDAEIAERIIELYMANNGGKVEADMAEEEGEQGVLRQRKPAGHGGIRVKENLKPRHEKRVSFVPVEEGEIRLDTAPQ >ONI14964 pep chromosome:Prunus_persica_NCBIv2:G3:1320174:1325796:-1 gene:PRUPE_3G018600 transcript:ONI14964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVMTGRLCIEVTPASKIAYISEELCIGCGICVKKCPFEAIQIINLPKDLDRDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTHFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGEVLNQKDERDMKEKLCADLDLNQVIERNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRANSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIESYARYRYPSMTKTQGNFRIRVVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTVEDSDVEIPEFNVSYKPQKISPKFASTVRHLLHSKIRDSYTHPQFMSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGRPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSIDCTANAPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLESTKDREQKAAGSYYYLDD >ONI14965 pep chromosome:Prunus_persica_NCBIv2:G3:1320130:1325871:-1 gene:PRUPE_3G018600 transcript:ONI14965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVMTGRLCIEVTPASKIAYISEELCIGCGICVKKCPFEAIQIINLPKDLDRDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTHFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGEVLNQKDERDMKEKLCADLDLNQVIERNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRANSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIESYARYRYPSMTKTQGNFRIRVVEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTVEDSDVEIPEFNVSYKPQKISPKFASTVRHLLHSKIRDSYTHPQFMSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGRPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGKPSIDCTANAPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLESTKDREQKAAGSYYYLDD >ONI18818 pep chromosome:Prunus_persica_NCBIv2:G3:23484611:23486385:1 gene:PRUPE_3G241300 transcript:ONI18818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEQGEEKQQTTSTQAAAGLGGAVVEKYRQIKEHAETYPYVWGSYIVVYGGLALWTAYRWRKLRKTEDRVRALQDRLRKHYAAEEASAIPPTTVRKVPVPPPADKISK >ONI16499 pep chromosome:Prunus_persica_NCBIv2:G3:7927347:7929416:1 gene:PRUPE_3G102100 transcript:ONI16499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDTPDSQTVTTETPHPQTVTTETPDSQTVTTDIPDPQTVTTDLETLTLSNDPLTDQFGSLNDVAHELASLQDLATRGSWRSILDKVARARAQSLLHKPHDHLVYFTYNVLALTKLRRFLEAAAEIDSLEDLDSPRYQYESYPKVYPNRVGSMVPFSLRWLYALMPIKLGQRQDGLDRLYCLLDFVRSKIKEKQKNGSVSVWKRREVFVMNGIIGVHLSNKEVSVCLSLINDLLNRDYTDPVLVSKLGYIQMQMGDLEGAKSSFNVVQGLVENEGEASNELKNIVSRNKALVYMVGKDYVSAVREYEECIEREHNDIVAINNKALCLMYLRDLSDSIKVLENALERVPTVALNETVVVNLCSMYELAYVNHSDIKRTLNSWIARVAPDDFDSSSTRI >ONI18394 pep chromosome:Prunus_persica_NCBIv2:G3:21695536:21699373:1 gene:PRUPE_3G213000 transcript:ONI18394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTAITQASKEFPAELNVLTRVNHSNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSGRDPLPWSNRLQIALDSARGLEYIHEHTVPVYIHRDTKSANILIDKNFHAKVADFGLAKLIEVGSTSLPTRLVGKFGYMPPEYARCGGASTKVDVYAFGVVIYESISAKEAVVRADSSGSEPRGLVCLFDEVLNQPDPKDLWKLVDPGLGDNYPLDSVRKMAQLAKACTHENKELRPSMQSIVVALMTLSSSTEDWDVGSLYENQALSPSTEDWDVGSLYENQALSPSTADWDVGSFCERESQSSSVNLMSGSQNLQVHCCV >ONI19348 pep chromosome:Prunus_persica_NCBIv2:G3:25304464:25306420:-1 gene:PRUPE_3G273400 transcript:ONI19348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQWAVRWNEVTYQPDETSFCSYYMGLFLNPLHVKELSQTSAGGFLSSITQGPNEIASSVRATIRSQSNKSKHGNETSVDKGNSGSNENRNDTVNVDLQHQDGDVDRKLDSKPSFKRSSSSNKNDETKILFLLFINKKSGAQCGNSLGQRLNILRNPVQSVIRKKGNKKKFVWTRRLAQGQSIKIQLFAAFPVRVDGEPCTLAISHHGQVSLSLSLWAEEPLGHAAAIITDVLENAEPLGHAAAM >ONI19349 pep chromosome:Prunus_persica_NCBIv2:G3:25304464:25307536:-1 gene:PRUPE_3G273400 transcript:ONI19349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQWAVRWNEVTYQPDETSFCSYYMGLFLNPLHVKELSQTSAGGFLSSITQGPNEIASSVRATIRSQSNKSKHGNETSVDKGNSGSNENRNDTVNVDLQHQDGDVDRKLDSKPSFKRSSSSNKNDETKILFLLFINKKSGAQCGNSLGQRLNILRNPVQSVIRKKGNKKKFVWTRRLAQGQSIKIQLFAAFPVRVDGEPCTLAISHHGQVSLSLSLWAEEPLGHAAAIITDVLENAEPLGHAAAM >ONI19131 pep chromosome:Prunus_persica_NCBIv2:G3:24660038:24661220:-1 gene:PRUPE_3G260600 transcript:ONI19131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKVDWDGDGILDFDEFKRMMKGVTQKNLGLGALAVSVYLVKEEEEEEEEEEEEEEEEEEVQNSHGALHKYNFFLLAMLGALLQLKHAAANIASPFVTNYVTLLIFIVDLFVYVASLVTVEMLENNPDLAEFMNNVSLLSGVLASALLLLILIPALGWLTLLLWITYFVKDVVTVRSYTSVALHVFDRVEELMNIQEQNNGDQQEIRMEEGQNGPPH >ONI18311 pep chromosome:Prunus_persica_NCBIv2:G3:21460735:21464642:-1 gene:PRUPE_3G208600 transcript:ONI18311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREVSELIRRTNLRERERERERVRMRMRGNQFRAVSIIFLICFVFSVCDALYGPSSPVLQLTPSNFKSKVLDSNRVVLVEFFAPWCGHCQALTPIWEKAATVLKGVATVAALDADAHKSLAQEYGIRGFPTIKVFVPGKPPVDYQGARDVKPVAEFALQQIKVLLKDRLSGKATGGPSEKSEPNASVELNSQNFDELVVKSKELWIVEFFAPWCGHCKKLAPEWKKAAKNLQGKVKLGHVDCDVEKSLMSRFNVQGFPTILIFGADKDTPLPYEGARTAKAIESFALEQLETNVAPAEVTELTGPDVMEEKCGSAAICFVAFLPDILDSKAEGRNKYIQQLLSVAEKFKRSPYSYVWAAAGKQPDLENRVGVGGYGYPALVALNVKKGAYAPLKSAFELDQIIEFVKEAGRGGKGNLPLDGTPNISKIEPWDGKDGQIIEEDEFSLEELMGGGDETTSKDEL >ONI14806 pep chromosome:Prunus_persica_NCBIv2:G3:646107:650051:1 gene:PRUPE_3G010100 transcript:ONI14806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSTQQDPSSHRCTYDAFLSFRGTDTRKGFTDHLYRALEVAGIHTFRDDDEIERGANISAELQKAIQESRVSIIVFSKDYASSRWCLDELVTIMDRRETNEHMVMPIFYDVDPSHVRNQTGIFEQAFARHQQRFNKEMDKVEKWRKALRDVADLGGMVLGDRYESQFVQDIVEIIGNKLDHTWNRRLRVDPYVVGMDYRVRGLNMWLEDGSSDVGVAVVYGMGGIGKTTIAKTAYNQNYNKFQGSSFLADIRATSKLPNGFVHLQRNLLSDLQKGKAKKIYSLDEGITKIKRAIRCKRVLIALDDVDNLEQFNAILGMREWLHPGSKIIITTRHEHLLKAHENYAILFKVEGLHEYESLELFSWHAFRQPHPSEGYMDLSRPVVQHCGGVPLALQVLGSSLFGKAADVWKNALQNLDVITEGKIQKILRISFDSLQDHDKRLFLHIACFFVGKDNDFSTTVLDECEFATNIGMQNLVDRCLLIIDGFNKLTMHQLLQDMGRGIIREESPEDPGKRTRVWNKDASNVLRKLTGTETIKGLVLNIPMLIKDESSKIIFSGSNRKRFHVEDYDGNCSSSRRRLGFFSWQSITNSFPVSNEIGFKTEAFRRMHNLELLLLDNVKISGGYEDFPKNLIWLSWRGFALKSLPTNFYLENLIVLDLRNSSLQHVWKGTRFLLRLKILNLSHSHGLVTTPDLSGLPNLERLILKDCINLKEVDESIGDLEKLVFLNLKDCKNLMKLPIRISMLRSLQKLILSGCPNLVLPASMIVKNQSDSVPSDMKQLSLLSAVKSWQSIRSWVLPRKNLQLTSASLPQFLKSLSMAYCNLSEIPDGLSSLSSLKHLNLSGNPFLSLNMNGLSKLQSLLLDGCTNLEMLAELPPSVERLQAWECTSLKRVQTYLPNKLSMGHEVLRCENLVEFQNVFKTRPLRSFDIEMIKDIGLFNLESIGSTEVEMINYLTRTTRKGPLQGLDECGIFSIFLPGSEVPDWFCYKSSMGNSELSITIPPHLNLKIRGLNACVVYAQGKVLVEDLKCYSVVPFLRISNETKGFKWTYLPVTIGFPKEKEDMLWLSHWRFTNDELEGGEEIRVSVRDESDSFWTKEFGIQLVYEQNNNE >ONI16184 pep chromosome:Prunus_persica_NCBIv2:G3:6160292:6160963:-1 gene:PRUPE_3G083300 transcript:ONI16184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGPTCQFFAPYLESGDNRHQLKPEFPNADKDFKDHSDIESHPFNKPKTSEVVLISTIPMAQNKMLHCNFKTRLCNNFKMGNCHYGQGCCFAHGISDLRKTWRNWQGLETEEGFKARTYNF >ONI18624 pep chromosome:Prunus_persica_NCBIv2:G3:22688150:22690086:-1 gene:PRUPE_3G227700 transcript:ONI18624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVPKPKPKFLHCFRPVVDMDMVLESKAVRIVEKKENKNENSLSTKTMSLDRGCTVKSENTKMPPRPNRTFSRAIKAVVFETILAKRARDRKGYRQDSFGSKSFSVKSEAPLNLGSDELMKVEETKPNLETLHTSSPSSLSPSSISRSSSISESKRLSKDLSDSMKQSKKNQQDPAVKSKNTQMSSSDFNSGIYFLLISLAITVFWGRVFAILVASTWLYFFPGRYNASNVKPENVRKWSEAECRDNKKKVIMEGLIERKNHHSQRENRGH >ONI18962 pep chromosome:Prunus_persica_NCBIv2:G3:23974815:23976009:-1 gene:PRUPE_3G249600 transcript:ONI18962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMMGWGFAEQQGWRKGPWTPEEDKLLNEYVNLYGEGRWSCVAKCAGLNRSGKSCRLRWVNYLRPGLKRGHITPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNFWRTHFKKKEKCTQKQEKRKAQILLAKQQQQLQEDQNLKIRATPQSEASQGENINMTTDHEAQARQENNMDLISSSYPNLEGDQYCLPALMNQGSVGSWADILGEEGLYMGRLWNLDDEHVNGRMDRNTSLSQCNKSAMQNQAAVTAFSSGGDHSYTFYNGGYIF >ONI18801 pep chromosome:Prunus_persica_NCBIv2:G3:23422175:23425767:1 gene:PRUPE_3G240300 transcript:ONI18801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLDLPLCASAQGFKALCKGSRNRLKEPIVWQVTHNSMVQGDARCTMCCRAKVCRLYTIQYTLLAEKEEQGGGEEKGKMEAPKTIEHQIGGVQNDALRFGLQGVKSDLVGSHPLESAYESAKMKQEQINRKVLGYTYGSAFPLKMDLERQILSRFQRPAGAIPSSMLGLEAMTGRLDDFGFEDYLNDPRESETLRPVDTHHAMEVRLGLSKGPVAPSFM >ONI18188 pep chromosome:Prunus_persica_NCBIv2:G3:20994522:20994964:-1 gene:PRUPE_3G201600 transcript:ONI18188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GESKLAKQINETDLQAAMADMRMSSYHGFVILFSSSIPKSLRNTNITFFMPNDQELSSAASSPRIHPQPFDTTALNFGHLLHFANGSLVPSGTPSKIISVTHSRRSGLFLNNAKIVTPYVSNSSTIRCHGISTTMAFRTLCSFQ >ONI19769 pep chromosome:Prunus_persica_NCBIv2:G3:26341978:26343576:1 gene:PRUPE_3G296500 transcript:ONI19769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRELVVLALVLVAIVGVAAADSGSSPTPSPSKKDDKDSGSSSDDDSSSSSSSSPSSSPSSSSSSTTTTASSSSSDAPTSSSSSSSDNKDKDKKDKSSSAPTSSASAPKSSSSASDSKDKDKSSSSDSKDKDKSSSSDSKDKDKDKSSSSPSPSSSSGGSSSSSPSPKSSSSGSSSSSSGNKDSGSSSSSPSPKSSSSGSSGSSGSSGSSASSPKSSSSGDKDSDSSSTPASSPKSSSSGNKDKDSSSSPSSDDDTSTAPVPSDSASPPAPSTDSTEAPSDIITADAPAPSDSSSKSAAAAPAFFSTVSAGSVALLAAATLFAF >ONI18939 pep chromosome:Prunus_persica_NCBIv2:G3:23905962:23907898:-1 gene:PRUPE_3G248600 transcript:ONI18939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFFTVLFLISTLSLSTPSEASHEKKTPSAVVVGTVYCDTCFQAEFSHASHFISGASVGVECKDGSSKPSFQTEVKTDSHGVFRVHLPFSVSKHVKKIEGCSVKLISSSEPYCAVASIATSSSLHLKSRKQGTHIFSAGFFTFKPLKQPSLCNQKPSIQNSKEFSSRKFSFPPTDELTFPPPTQNPTIPDLPSLPTLPYLPPLPLLPPLPPLPGLPGIPGIPVLPPIPGKTTEAGQLTQTSTIPDLSPLPTVPFLPPLPQLPPLPPLPGLPGIPGIPVLPPIPGKTTEAGQLTQNSTIPDLSPLPTVPFLPPLPQLPPLPPLPGIPGIPVLPPIPGKTTEAGQLTDKKVAHPDTFFPPNPFQPPSILPPNPLVPQPTPLIPNPFQPQPAPLIPNLPPIPGFTPPAGPTPLIPNLPPIPGFTASPSPPPPSFPLLPPLPFPLPPIPRIPGIPPAFSSSSKQTTSP >ONI19205 pep chromosome:Prunus_persica_NCBIv2:G3:24861260:24863865:-1 gene:PRUPE_3G264200 transcript:ONI19205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFRTHLEETLPEWRDKFLCYKLLKKLLKRLPAATAIAAVDSLPSHLNFRQQPSDADAAAAGDGGRGFRPFAGLQDWFVGILNEEVEKLNDFYVDTEEDFVIRFQELKGRIEQVKEKSSRGGAFTSESSFSEEVMDIRKDFVTIHGEMVLLTNYSSLNFAGIVKILKKYDKRTGGLLRLPFTQLALRQPFFITEPLTRLVRECEANLELLFPLEAEVIEATPPPPDETSPDRGHSNPEFNNSANIASETPSNQEANMDIYRGTLAAMRAIRGLRKASSTSNPWSLSNHYRSQDDESTGAVTAENSTSNSAASLHSEEEADPEDDPST >ONI19206 pep chromosome:Prunus_persica_NCBIv2:G3:24861647:24864016:-1 gene:PRUPE_3G264200 transcript:ONI19206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFRTHLEETLPEWRDKFLCYKLLKKLLKRLPAATAIAAVDSLPSHLNFRQQPSDADAAAAGDGGRGFRPFAGLQDWFVGILNEEVEKLNDFYVDTEEDFVIRFQELKGRIEQVKEKSSRGGAFTSESSFSEEVMDIRKDFVTIHGEMVLLTNYSSLNFAGIVKILKKYDKRTGGLLRLPFTQLALRQPFFITEPLTRLVRECEANLELLFPLEAEVIEATPPPPDETSPDRGHSNPEFNNSANIASETPSNQEANMDIYRGTLAAMRAIRGLRKASSTSNPWSLSNHYRSQDDESTGAVTAENSTSNSAASLHSEEEADPEDDPSTW >ONI15486 pep chromosome:Prunus_persica_NCBIv2:G3:3259570:3263008:1 gene:PRUPE_3G045300 transcript:ONI15486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKQKWTAEEEEGLLAGVAKHGPGKWKNILKDPEFASVLIHRSNIDLKDKWRNLSVSTSGHGSKDRPRGTKVKNRVSAQHLVRNSAPTASVRPNASPASAPPNSSPASVRPSASPASVCPNASPDAMMDDAVNSAPELKSASQYDPMIFQALSTMKDMNGSDLGAILNFIEQRHEVPVPPNFRRLLGPRLRRLVSQGKLEKVQNGYKLKKDATFGTKTPTLTRNQRDVRPRKLQNSGSMTFTETVQDAAETAAHKLADAEDKSFVAAVAMKEADRISKMTEDNESILQLIEEIYERCSRGEVVALA >ONI15487 pep chromosome:Prunus_persica_NCBIv2:G3:3259573:3264510:1 gene:PRUPE_3G045300 transcript:ONI15487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKQKWTAEEEEGLLAGVAKHGPGKWKNILKDPEFASVLIHRSNIDLKDKWRNLSVSTSGHGSKDRPRGTKVKNRVSAQHLVRNSAPTASVRPNASPASAPPNSSPASVRPSASPASVCPNASPDAMMDDAVNSAPELKSASQYDPMIFQALSTMKDMNGSDLGAILNFIEQRHEVPVPPNFRRLLGPRLRRLVSQGKLEKVQNGYKLKKDATFGTKTPTLTRNQRDVRPRKLQNSGSMTFTETVQDAAETAAHKLADAEDKSFVAAVAMKEADRISKMTEDNESILQLIEEIYERCSRGEVVALA >ONI15484 pep chromosome:Prunus_persica_NCBIv2:G3:3259570:3262978:1 gene:PRUPE_3G045300 transcript:ONI15484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKQKWTAEEEEGLLAGVAKHGPGKWKNILKDPEFASVLIHRSNIDLKDKWRNLSVSTSGHGSKDRPRGTKVKNRVSAQHLVRNSAPTASVRPNASPASAPPNSSPASVRPSASPASVCPNASPDAMMDDAVNSAPELKSASQYDPMIFQALSTMKDMNGSDLGAILNFIEQRHEVPVPPNFRRLLGPRLRRLVSQGKLEKVQNGYKLKKDATFGTKTPTLTRNQRDVRPRKLQNSGSMTFTETVQDAAETAAHKLADAEDKSFVAAVAMKEADRISKMTEDNESILQLIEEIYERCSRGEVVALA >ONI15485 pep chromosome:Prunus_persica_NCBIv2:G3:3259573:3264510:1 gene:PRUPE_3G045300 transcript:ONI15485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKQKWTAEEEEGLLAGVAKHGPGKWKNILKDPEFASVLIHRSNIDLKDKWRNLSVSTSGHGSKDRPRGTKVKNRVSAQHLVRNSAPTASVRPNASPASAPPNSSPASVRPSASPASVCPNASPDAMMDDAVNSAPELKSASQYDPMIFQALSTMKDMNGSDLGAILNFIEQRHEVPVPPNFRRLLGPRLRRLVSQGKLEKVQNGYKLKKDATFGTKTPTLTRNQRDVRPRKLQNSGSMTFTETVQDAAETAAHKLADAEDKSFVAAVAMKEADRISKMTEDNESILQLIEEIYERCSRGEVVALA >ONI15488 pep chromosome:Prunus_persica_NCBIv2:G3:3259573:3264510:1 gene:PRUPE_3G045300 transcript:ONI15488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKQKWTAEEEEGLLAGVAKHGPGKWKNILKDPEFASVLIHRSNIDLKDKWRNLSVSTSGHGSKDRPRGTKVKNRVSAQHLVRNSAPTASVRPNASPASAPPNSSPASVRPSASPASVCPNASPDAMMDDAVNSAPELKSASQYDPMIFQALSTMKDMNGSDLGAILNFIEQRHEVPVPPNFRRLLGPRLRRLVSQGKLEKVQNGYKLKKDATFGTKTPTLTRNQRDVRPRKLQNSGSMTFTETVQDAAETAAHKLADAEDKSFVAAVAMKEADRISKMTEDNESILQLIEEIYERCSRGEVVALA >ONI16537 pep chromosome:Prunus_persica_NCBIv2:G3:8300118:8301180:1 gene:PRUPE_3G105100 transcript:ONI16537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVKDNIRHKFKNNAEHRRAKFKKPNPELKMNKRVEIRSVWQQNLESEFALIEAAIAHYPFVSFDTEFPGTILECKAWDPPAYQYQIMKGNVDAMNIIQLGLTLSDHRGNLPDLGTDCCYIWEFNFRDFDIERGDHHSADAIEFLKRHEIDFLQNKKKGIYSSNFSWLMERMVLHNRSRLTWVTFHGSYDFGYLMKILTQKDLPMDIGGFMGEVEKKFGKRVYDIKHLIKCQGLYGGLEKVARLLQVGRVAGKSHQAGSDSLLTLQTFMRLKDVHFGSFLKNKDNCSLSLNQFRGVLHGLELEAH >ONI19024 pep chromosome:Prunus_persica_NCBIv2:G3:24343357:24352339:1 gene:PRUPE_3G254500 transcript:ONI19024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTAIPPPTPSAQLVGNAFIEQYYHILHNNPGLVHRFYQDSSVLSRPDSNGVMTSVTTMQGINEKILSLNYNEYKAEIKTADAQKSYKDGVTVLVTGCLTSKDNLKRKFAQSFFLAPQDNGFFVLNDVFRFVEDGELFENHSVNGVNDATTVLSNQDPEPNHVPDPPAPDLETTQVEENQIGIEKAYDTSDHERQSANEKESDAEPPSYSNGNDVSVAVEPTSTTAQEDAPKKSYASIVKVPKGSPGPNKVYVPTNTIRVAPKKVENNLPASAPPASVPEASAPTSTSTPESNDTNEEVEGYSVYIRNLPLNVTADQLEEEFKKFGPIKQGGIQVRNKKLQGYCFGFVEFLSASSMNSAIQASPISFGGRQAVIEIKRTTTRVGSSGRGRFPPPGRGGFRSDSFRGRGNYVGGRSFGRNEYGNRGEFSSRGRGPAGRGGDGYQQGRGRGGRPMEEWRKRKELQSLRRMEAKRKRSEKQQRNLKVPRDRSRENFEEDKRGGEAMNGVQSYRQEQFVKVVDEFRAMGIPTWVSGDKGNCPVPPPPASQSTIGSQGSGSSGISESESHAAAAQGAHKCTEPRSHANAQSSPKTNKELLVTPRMITTERSGQFNGVQMEINCDKPTVPEKGANEIVRNVLENMPCVSTKGDGPNGKRIEGFLYRYKKGEEVRIVCVCHGSFLSPAEFVKHAGGGDVAHPLKHIVVNPSPFL >ONI17795 pep chromosome:Prunus_persica_NCBIv2:G3:19549066:19551032:-1 gene:PRUPE_3G179400 transcript:ONI17795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTACFLHHHALTTASARSSSSQRQVVNVKQNQIVICRAQKQAVQEEDGAVNVSRRLALTVLIGAAALGSKVSPADAAYGESANVFGKPKTNTDFLPYVGEGFKLSIPAKWNPSKEVEYPGQVLRYEDNFDTTSNVAVTITPTDKKSITDYGSPEEFLTKVDYLLGKQAYFGKTDSEGGFDSGAVATANILESSSQVVDGKPYYYLSVLTRTADGDEGGKHQLITATVKDGKLYICKAQAGDKRWFKGARKFVESSASSFSVA >ONI19633 pep chromosome:Prunus_persica_NCBIv2:G3:25973460:25975563:-1 gene:PRUPE_3G288500 transcript:ONI19633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRVLGHETIVASTHADNFFEDDLMVALYLVTVFYQSPLIHIISSSEESEEPVSGWERATET >ONI18735 pep chromosome:Prunus_persica_NCBIv2:G3:23075234:23075974:-1 gene:PRUPE_3G235400 transcript:ONI18735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTLSQIAPQIASPSISPLTSPEIFPIYNTHLPNFLRDGFASILQNFNAFLIIPIVQYPLNQRFDLTMMTQTTSAKEISEVEWKKLLRVH >ONI16647 pep chromosome:Prunus_persica_NCBIv2:G3:9419663:9422362:1 gene:PRUPE_3G112900 transcript:ONI16647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSKEGEDDIDKVLRIPIMKFSYVDLQVATEDFKEELGSGGFGSVINGVMADSTMIAVKQLDNRGQGMTAFLVEIDTIRRLHHFNLVRLIRFCA >ONI15018 pep chromosome:Prunus_persica_NCBIv2:G3:1542148:1543427:1 gene:PRUPE_3G021300 transcript:ONI15018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRDEVLLEQDVNPIQDEGPVIHNSTSQLQSL >ONI17179 pep chromosome:Prunus_persica_NCBIv2:G3:15517185:15525559:-1 gene:PRUPE_3G143100 transcript:ONI17179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARHKHIPLLEALLRWRESESPKGENEASTFQRKPSMRWSAFFVQHVFAFSSAVRKKDLQRNFGLGLKSLYQQTQQPIYSFSTLAQNQTTPTKNFKTFSHIFQQCSHGRAPNPGKQAHARMIVSGFEPTVFVTNCLIQMYVKCGVLEYASKVFDGMPQRDTVSWNTMIFGYAESGNMGFAQSCFDVMPERDVVSWNSLISGYLQNGDYHKSIEVYVNMGNVGVEFDCTTTAMVLKACSVMEEIGLGIQIHCVSVKMGFDIDMVTGSALVDMYGKCKELDCSLRVFRELPEKNWVCWSSVIAGSVQNDQFVKGIDLFKEMQKAGVGVSQSIYASVFRSCAGLSAYRLGTQFHVHAIKTDFLYDVIVGTATLDMYAKCGSMSDARKIFNLMPNRSLQSYNATIVGYARNEHGFEALELFLLLLKSGLGFDEITLSGALSACAVIKGHLEGLQLQALVVKSSLRSNICVANAILDMYGKCGDLFGASRVFDEMVSRDAVSWNAIIAAHGQNENEKETLSFFVSMLRSRMEPDEFTFGSVLKVCAAQHSLNYGMEIHSRIFKSGMGMNLFIGGALVDMYCKCGMMEEAEKIHDRTEEQTMVSWNAIISGFSLHKQNEDAQRYFSQMLEMGAEPDNFTYATVLDTCANLATVGLGRQIHAQIIKHELQLDVYITSTLVDMYSKCGNMQDSYLMFKKAPKRDAVTWNAMISGYANFGLGEDALRIFENMQLENVKPNHSTFVSILRACGHIGQVEKGLHYFRTMRNDYGLHPQLEHYSCMVDIIGRSGQVHEALRLIQDMPFEADDIIWRTLLSICKLHGNVEVAEKAASSILQLDPQDSSTYVLLSNIYAEAGMWGEVSKMRKTMKHSKLKKEPGCSWIEVKDELHAFLVGDKAHPRCNEVYEKLDLLVAEMMRVGYRPEIDALLDEEMEEQELEDKLKISWLPFGNSSSMSW >ONI18005 pep chromosome:Prunus_persica_NCBIv2:G3:20408731:20412729:-1 gene:PRUPE_3G191600 transcript:ONI18005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESSASELQHGEESTSDASDQPQVHDQQGKNEETSLVQQSRRPNLSSLQIPARTLESSLSAFTRIDIPSVPSPSSTRAGLPPRPNSAKIKSSMKNLFPQKSFRAKNLPLDGETTILIIPDTPSSDGHLAKPSTSRSFSLNKVFFSPSMKATHSLPVTPSANVGSETVQGRHLESHSDFSKIEVKQHMSRSLSVPVNVKTRSLRRMDSGGMMRVISATPRPSIVEGASPNAAPALETSTEDSGEDIPEEEAVCRICLVELSEGGDTLKMECSCKGELALAHKDCAVKWFSIKGNKTCEVCKQDVQNLPVTLLKVHNPQTIIRRPPTVMQQREVPRYRVWQDIPVLVLVSMLAYFCFLEQLLWCCLFLKSEQVSDLGPRALAISLPFSCVLGLLSSMIASTMVSRSYIWAYASFQFAIVILFAHIFYTLLNVNPILSVLLSSFTGFGIAISTNSLLVEYLRWKSSRQLQSSHQHVHLHELQLQQHLQEHQQWQQQEQYQRHYQQQRRQRLMEDSQNQYQQRQQQLQQQEQEQDHYQHQQEQQLEEDLNVDGPRQLEIRHGT >ONI18004 pep chromosome:Prunus_persica_NCBIv2:G3:20408731:20412729:-1 gene:PRUPE_3G191600 transcript:ONI18004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESSASELQHGEESTSDASDQPQVHDQQGKNEETSLVQQSRRPNLSSLQIPARTLESSLSAFTRIDIPSVPSPSSTRAGLPPRPNSAKIKSSMKNLFPQKSFRAKNLPLDGETTILIIPDTPSSDGHLAKPSTSRSFSLNKVFFSPSMKATHSLPVTPSANVGSETVQGRHLESHSDFSKIEVKQHMSRSLSVPVNVKTRSLRRMDSGGMMRVISATPRPSIVEGASPNAAPALETSTEDSGEDIPEEEAVCRICLVELSEGGDTLKMECSCKGELALAHKDCAVKWFSIKGNKTCEVCKQDVQNLPVTLLKVHNPQTIIRRPPTVMQQREVPRYRVWQDIPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFSCVLGLLSSMIASTMVSRSYIWAYASFQFAIVILFAHIFYTLLNVNPILSVLLSSFTGFGIAISTNSLLVEYLRWKSSRQLQSSHQHVHLHELQLQQHLQEHQQWQQQEQYQRHYQQQRRQRLMEDSQNQYQQRQQQLQQQEQEQDHYQHQQEQQLEEDLNVDGPRQLEIRHGT >ONI18006 pep chromosome:Prunus_persica_NCBIv2:G3:20407863:20413051:-1 gene:PRUPE_3G191600 transcript:ONI18006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESSASELQHGEESTSDASDQPQVHDQQGKNEETSLVQQSRRPNLSSLQIPARTLESSLSAFTRIDIPSVPSPSSTRAGLPPRPNSAKIKSSMKNLFPQKSFRAKNLPLDGETTILIIPDTPSSDGHLAKPSTSRSFSLNKVFFSPSMKATHSLPVTPSANVGSETVQGRHLESHSDFSKIEVKQHMSRSLSVPVNVKTRSLRRMDSGGMMRVISATPRPSIVEGASPNAAPALETSTEDSGEDIPEEEAVCRICLVELSEGGDTLKMECSCKGELALAHKDCAVKWFSIKGNKTCEVCKQDVQNLPVTLLKVHNPQTIIRRPPTVMQQREVPRYRVWQDIPVLVLVSMLAYFCFLEQLLVSDLGPRALAISLPFSCVLGLLSSMIASTMA >ONI16841 pep chromosome:Prunus_persica_NCBIv2:G3:11423928:11427578:1 gene:PRUPE_3G124800 transcript:ONI16841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLASLKLASNFPKKLSNSSTTTTTSSIFHFQPHKSVSLTKPPQTQTQNYFFSSFPAHRLHRLPHSWSLQHTLTQKIHGLLSNTLLRRQFLVGFSNTLLRASSKSLSDFRLGFLRVQFSRQSAKFKFNPKFTSPRSVGRSWLRRVSEGEDNDVVLGLIIANAAVFLLWKIADTDFMVKNFINALINVRSGRLHTLITSAFSHIGTEHFIHNMIGLYVFGAHIERIFGPQFLLGLYLAGALGGSVFFLVHKTYLAVSSMGRREENKDPNNLALGAGGAVHAIILLDIFLFPKSISTPGFSKPLFLGIFLIGKDILRLILGDEQISGATQLGGAAVAAIAWTRLRKGRF >ONI16843 pep chromosome:Prunus_persica_NCBIv2:G3:11423928:11427578:1 gene:PRUPE_3G124800 transcript:ONI16843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLASLKLASNFPKKLSNSSTTTTTSSIFHFQPHKSVSLTKPPQTQTQNYFFSSFPAHRLHRLPHSWSLQHTLTQKIHGLLSNTLLRRQFLVGFSNTLLRASSKSLSDFRLGFLRVQFSRQSAKFKFNPKFTSPRSVGRSWLRRVSEGEDNDVVLGLIIANAAVFLLWKIADTDFMVKNFIIERIFGPQFLLGLYLAGALGGSVFFLVHKTYLAVSSMGRREENKDPNNLALGAGGAVHAIILLDIFLFPKSISTPGFSKPLFLGIFLIGKDILRLILGDEQISGATQLGGAAVAAIAWTRLRKGRF >ONI16842 pep chromosome:Prunus_persica_NCBIv2:G3:11423928:11427578:1 gene:PRUPE_3G124800 transcript:ONI16842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLASLKLASNFPKKLSNSSTTTTTSSIFHFQPHKSVSLTKPPQTQTQNYFFSSFPAHRLHRLPHSWSLQHTLTQKIHGLLSNTLLRRQFLVGFSNTLLRASSKSLSDFRLGFLRVQFSRQSAKFKFNPKFTSPRSVGRSWLRRVSEGEDNDVVLGLIIANAAVFLLWKIADTDFMVKNFINALINVRSGRLHTLITSAFSHIGTEHFIHNMIGLYVFGAHIERIFGPQFLLGLYLAGALGGSVFFLVHKTYLAVSSMGRREENKDPNNLALGAGGAVHAIILLDIFLFPKSISTPGFSKPLFLGDEQISGATQLGGAAVAAIAWTRLRKGRF >ONI19707 pep chromosome:Prunus_persica_NCBIv2:G3:26207329:26209871:1 gene:PRUPE_3G293100 transcript:ONI19707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLFSMRPRCLDICVAVGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNDRPNFTQIIQMLLHYLSTISAPEPAIPQRIFRSENAVLPPESPGTSSLMTTHDDSGETPKIDVEEKPKGFSFCFSQCY >ONI19706 pep chromosome:Prunus_persica_NCBIv2:G3:26206325:26209871:1 gene:PRUPE_3G293100 transcript:ONI19706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNGVYSVGDFNLDAKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQTVAVKIVHRGETPEEIAKRESRFAREVAMLSKVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLFSMRPRCLDICVAVGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNDRPNFTQIIQMLLHYLSTISAPEPAIPQRIFRSENAVLPPESPGTSSLMTTHDDSGETPKIDVEEKPKGFSFCFSQCY >ONI19708 pep chromosome:Prunus_persica_NCBIv2:G3:26207182:26209871:1 gene:PRUPE_3G293100 transcript:ONI19708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLFSMRPRCLDICVAVGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNDRPNFTQIIQMLLHYLSTISAPEPAIPQRIFRSENAVLPPESPGTSSLMTTHDDSGETPKIDVEEKPKGFSFCFSQCY >ONI19709 pep chromosome:Prunus_persica_NCBIv2:G3:26206325:26209871:1 gene:PRUPE_3G293100 transcript:ONI19709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLFSMRPRCLDICVAVGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNDRPNFTQIIQMLLHYLSTISAPEPAIPQRIFRSENAVLPPESPGTSSLMTTHDDSGETPKIDVEEKPKGFSFCFSQCY >ONI16786 pep chromosome:Prunus_persica_NCBIv2:G3:10843677:10844995:1 gene:PRUPE_3G121900 transcript:ONI16786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWKCIGFGLILMLGAWSCEATSRSLQDASMYGRYEQWMTRYGRVYNDVNEKENRFKIFKENVAFIESSNNDVNKPYKLGVNQFADLTNEEFKASRNGFKGHECSTKTTSFKYENIKAPVPATMDWRKKGAVTPIKDQGQCGCCWAFSAVAATEGITQLTTGKLISLSEQELVDCDTSGEDQGCEGGLMDDAFQFIQQNHGLSAEANYPYNGVDGSCNTKKAASIAAKITGYEDVPANSEKALLTAVAHQPVSVAIDAGGSDFQFYSSGVFTGSCGTSLDHGVTAVGYGVSDDGTKYWLVKNSWGTEWGEEGYIRMQRDVEAKEGLCGIAMEASYPTA >ONI17361 pep chromosome:Prunus_persica_NCBIv2:G3:16940792:16943293:1 gene:PRUPE_3G154000 transcript:ONI17361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHHGTQDFEETDGDADNLRLYQQLYSYAIHGQTNRFNDTIANELHDPNARVQLLSRRSPQNNTFVHIAVSSGHVKLAAKILQQHKPLLLEKNFEGDTALHIAAKAGDIDTTTNTLLREARGTTNVENNGDVLTLLRMKNNEENTALHEALIRGHQSVAKCLIDADPAVSLYTNKEQKSPLYLAAEQGLVEIVKLIKEKAVEKNIEIQGKSPLFAAILGRQKKEVLKIISNMEANILNSKDEKGRTPLHCAASIGYLEGVRFLGRRLVDSHQEDHCGNFPIHYASSKGHVDIVKELLRYCPDSMELRNSSDQNILHVAARSGKDNLVQYFLKKVEFQMLINQKDNRGNTPLHLAKMYD >ONI15022 pep chromosome:Prunus_persica_NCBIv2:G3:1545663:1547613:1 gene:PRUPE_3G021500 transcript:ONI15022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSISQTLTLTRHLSSKSSPSSSSRLITLRAQSNLPFHHDPTTDSSTDSPSDPLLRKLEDAIHRIMVRRSAPDWLPFLPGTSYWVPPPRSRSTGLAQLVDKLANPLTEEETLSMTTIRGWPSSAYFIEGASPQPMEAVDQSSNNVSSKSEDEEG >ONI15020 pep chromosome:Prunus_persica_NCBIv2:G3:1545668:1547613:1 gene:PRUPE_3G021500 transcript:ONI15020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSISQTLTLTRHLSSKSSPSSSSRLITLRAQSNLPFHHDPTTDSSTDSPSDPLLRKLEDAIHRIMVRRSAPDWLPFLPGTSYWVPPPRSRSTGLAQLVDKLANPLTEEETLSMTTIRGWPSSAYFIEGASPQPMEAVDQSSNNVSSKSEDEEG >ONI15023 pep chromosome:Prunus_persica_NCBIv2:G3:1545668:1547613:1 gene:PRUPE_3G021500 transcript:ONI15023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSISQTLTLTRHLSSKSSPSSSSRLITLRAQSNLPFHHDPTTDSSTDSPSDPLLRKLEDAIHRIMVRRSAPDWLPFLPGTSYWVPPPRSRSTGLAQLVDKLANPLTEEETLSMTTIRGWPSSAYFIEGASPQPMEAVDQSSNNVSSKSEDEEG >ONI15021 pep chromosome:Prunus_persica_NCBIv2:G3:1545668:1547613:1 gene:PRUPE_3G021500 transcript:ONI15021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSISQTLTLTRHLSSKSSPSSSSRLITLRAQSNLPFHHDPTTDSSTDSPSDPLLRKLEDAIHRIMVRRSAPDWLPFLPGTSYWVPPPRSRSTGLAQLVDKLANPLTEEETLSMTTIRGWPSSAYFIEGASPQPMEAVDQSSNNVSSKSEDEEG >ONI18756 pep chromosome:Prunus_persica_NCBIv2:G3:23204809:23205508:1 gene:PRUPE_3G236900 transcript:ONI18756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSASSFAKLEKKIARRSTNFNVCLLMSCDVHLWFCQCTYVFLFHSNKTILIINFDAYFLFEYFMNYVKKRQYIFLFLMKCFVWLYLWFINLNYKVFNIKLMYLWGLSED >ONI19805 pep chromosome:Prunus_persica_NCBIv2:G3:26448088:26450572:1 gene:PRUPE_3G298900 transcript:ONI19805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLCKMKWVGLLFLCCLSASLQFCFVSSTSSPQPLITNQGHQESATSRTLKQELGDPPEVHCSRERSRAAWKIIQEYLMPFVEKERYQIPRTCKLHPSNDLYRDQEEHKSLVEFNDWQCGYCKKRFYDEKFLDKHFDNRHYNLLNVSHSRCLANVCGALHCDLAMDSVPHKKTKCNPAAAARNKHLCEGLADSCFPVSNGPSASRLHEFFLRQFCDAHTCTGGRKPFSQGRRKKRSSISYLVISILTMLLLLLFYSYIYMYRRGVKRGTQELKRVTQSGRKKKPI >ONI19804 pep chromosome:Prunus_persica_NCBIv2:G3:26448088:26450572:1 gene:PRUPE_3G298900 transcript:ONI19804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKLCKMKWVGLLFLCCLSASLQFCFVSSTSSPQPLITNQGHQESATSRTLKQELGDPPEVHCSRERSRAAWKIIQEYLMPFVEKERYQIPRTCKLHPSNDLYRDQEEHKSLVEFNDWQCGYCKKRFYDEKFLDKHFDNRHYNLLNVSHSRCLANVCGALHCDLAMDSVPHKKTKCNPAAAARNKHLCEGLADSCFPVSNGPSASRLHEFFLRQFCDAHTCTGGRKPFSQGRRKGSEKGNSRTEACHTKWKEEKAHLVTSFS >ONI14740 pep chromosome:Prunus_persica_NCBIv2:G3:319803:323564:-1 gene:PRUPE_3G005300 transcript:ONI14740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAKPSLLNPLRSHLNELPLIDSSSIRTKLREFRFSHFNVTLKHARNASVLPVIRAQSSPDYVPDSKFYKVEAILRPWRVSQVSSALLKIGIRGVTVSDVRGFGAQGGSTERHGGSEFTEDNFVAKVKMEIVVSKDQVEAVVDKIIEAAKTGEIGDGKIFVVPVSDVIRIRTGERGERAEKMTGGWSDQSSAAA >ONI19085 pep chromosome:Prunus_persica_NCBIv2:G3:24524639:24528008:-1 gene:PRUPE_3G257900 transcript:ONI19085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGIEQYIMEVVPSSECEAKGVDSFVALGDEGYEHSVAEEVEAPVVLDDGKEGYVDFDLSQYIGGGVVEPTLGMEFTSEDDARNFYNAYAKQTGFSIRVNSYYRSKKDNSIISREFCCSKEGFRRERHAKKDSGEDAKRRRARALTREGCKALMTVRRRDCGRWYVAKLEKNHNHELVTPAMRHFLRSHKQEFAPEKSCSNSFSSPGLSLDAPVDVLTDCSSFGKMEFAVQSNVNYIGRGRLSTFGIDAQSLLGFFKIMQASDPAFYYAIQVDEEERLSSVFWVDTRSRIAYNCFSDVVAFDTTYQVNQYKMPFATFTGVNHHKHSVLFGCALLADETESTFSWLFTTWLEAMSGRQPGLIITDYDPAISRAVQQVFPQSSHRYCKWHIISKMPKELGHVYSVTPRTFQVEFDRCINKSETPDAFESTWQMLLDKYNLRGNDWLQSLYIDRKLWVPVYMRDTFFAGMYAAQRSGSVNSLFDGYVNSGTTLQDFAEQYEKALDERYEKEAKAEFETFYTKPVLKTPLPVEKQGADIYTRNMFTIFQDEVFESLLFAVKLSAEDGGTSTYEVSRFDEEHKMYVVAFNIAEQLASCSCKMFEFEGILCRHVLAVFKATNVFTLPQCYILKRWTRNAKEEVMLDLLPCVELQANSQKGRNLQYNILYQEAIKCAEEGMASDQIFKVALNALREARVKIVGAKRNAMKSMPQN >ONI19904 pep chromosome:Prunus_persica_NCBIv2:G3:26712703:26715349:1 gene:PRUPE_3G304100 transcript:ONI19904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLASFCLLVLVAALAPHRAYASIRSSLGHKRAAAAAAPTVGICASSVLVYGYQCQELQVTTQDGYILSLQRIPEGRHSNGTKKPPVLIQHGVLVDGVTWLLNSPDRNLPLILADNGFDVWIANTRGTRFSRRHTSLDPSDPKFWNWSWDELVAFDLPAVFDFVYSKTGQKVNYVGHSLGTLFALASLSDGKLVEQMKSAALLSPIAYLSHMNTALGVAAAKAFVGEITTLFGLAEFNPKGEPVAQFLNALCVYPGVDCYDLLEAVTGKNCCLNSSTVDLFLKNEPQSTSTRNMVHLAQTVRDGVLAKYNYGRLDYNLMHYGKFSPPIYNLSNIPHNLPLFLSYGGRDSLSDIRDVELLLDILKLHDVGKLTVQYIKDYAHADFIMGLNAKDIVYNQVTAFFQQQQ >ONI18826 pep chromosome:Prunus_persica_NCBIv2:G3:23501954:23507549:-1 gene:PRUPE_3G241800 transcript:ONI18826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSPSPNSSPSAVPPTSSGTSPPPPASPAPSNSSTTTTTATPSDASSPLPQSPPPATPAVPPPKSSPPPSKPPPSPPQAPPPSPPQSPPPSSPTAPPPSPPAASPPPSPPASSPPSPPASPPPAASAPPPNASPPPPSGGSPPPQSSPPPPAEASPPRTSTSDSPPPPADVPPPSANSSPPPPRGKAPEKSPPSPTGTPPPSGSKSAPPPADNSPPEPSLQSPPPSVPSSSSPPLSSPAPPSNSSSPTPLPQKPTARSTTNANVTSNTTSRNTSGLNTGGAVAIGIAVGFLVLSLVVMAVWFAKKHKKRTGSNLRYAMPSPFASSQNSDSVFLKPHSPAPLVGNGSGSDFMYAQSDPGGVNNSRSWFTYEELLQATNGFSTKNLLGEGGFGCVYKGVLEDEREVAVKQLKIGGGQGEREFKAEVEIISRVHHRHLVSLVGYCISEHQRLLVYDFVPNDTLHYHLHGEGRPVLDWATRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDSNFEAQVADFGLAKLSLDSNTHVTTRVMGTFGYMAPEYATSGKLTDKSDVYSYGVVLLELITGRKPVDSSQPLGDESLVEWARPLLSQALENEDFEELADSRLENNYVENEMFRMIEAASACVRHSAAKRPRMRQVVRAFDSLDELSDLSNGMKPGQSEIFDSAQQSAQIRMFQRMAFGSQDNSTSFFKNTQSSWKSRDSREHGDKTGSSWTSRDSREHGDQTQSSWSRDQRDQSTVISIDRSGLWNN >ONI15448 pep chromosome:Prunus_persica_NCBIv2:G3:3105842:3106778:1 gene:PRUPE_3G043700 transcript:ONI15448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAFCTWIQRHEIGTRGEVEVAGGGSGPPTALSLSLSLNYNGAPPLLAWCSQSPRQFFQAISLSFPFLLSALVWVHGSNEIRNFRLEGKSSKKTKNEFI >ONI14719 pep chromosome:Prunus_persica_NCBIv2:G3:264847:266029:-1 gene:PRUPE_3G004000 transcript:ONI14719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDADSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFISDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNENGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVVPENTLMRRQSFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRALVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKETFDFRPGMITINLDLKRGGGGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQS >ONI18785 pep chromosome:Prunus_persica_NCBIv2:G3:23345390:23347227:-1 gene:PRUPE_3G238900 transcript:ONI18785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKALQECKDLASKYAECSYGRTISVVWQCRQQAKELNECLHQFTNDDVLEEMKRDYMLQQDGKVSAKG >ONI18784 pep chromosome:Prunus_persica_NCBIv2:G3:23345390:23347226:-1 gene:PRUPE_3G238900 transcript:ONI18784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIQQARENHVKKKVEEALRSKMKQKALQECKDLASKYAECSYGRTISVVWQCRQQAKELNECLHQFTNDDVLEEMKRDYMLQQDGKVSAKG >ONI18306 pep chromosome:Prunus_persica_NCBIv2:G3:21435038:21437499:1 gene:PRUPE_3G208200 transcript:ONI18306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLHISWLLLTQSLLLVTRISASVVPAVVVFGDSSVDAGNNNQIPTILRSNFEPYGRDFSGGKPTGRFSNGRVPTDFISQALGLKPFVPAYLDPSYNISDFATGVTFASAGTGYDTATSDVLSVIPLWKQLEYYKEYQNKLRLYLGGIKANEIINGALHVTSMGTNDFLENYYTYPGRSSQYSIQQYQDFLIGIAGNFIKQLYHLGARKISLGGLPPMGCLPLERTTNVMGGNDCIADYNNVALEFNGKLKGLTTTLSKELPGIKLVFSNPYYIFLHMIRRPSFYGFEVTSVACCATGTFEMGYACNRNNMFTCTDASKYIFWDSFHPTEKANHIISDYVMNNVLAQFR >ONI18307 pep chromosome:Prunus_persica_NCBIv2:G3:21434903:21437499:1 gene:PRUPE_3G208200 transcript:ONI18307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLHISWLLLTQSLLLVTRISASVVPAVVVFGDSSVDAGNNNQIPTILRSNFEPYGRDFSGGKPTGRFSNGRVPTDFISQALGLKPFVPAYLDPSYNISDFATGVTFASAGTGYDTATSDVLSVIPLWKQLEYYKEYQNKLRLYLGGIKANEIINGALHVTSMGTNDFLENYYTYPGRSSQYSIQQYQDFLIGIAGNFIKQLYHLGARKISLGGLPPMGCLPLERTTNVMGGNDCIADYNNVALEFNGKLKGLTTTLSKELPGIKLVFSNPYYIFLHMIRRPSFYGFEVTSVACCATGTFEMGYACNRNNMFTCTDASKYIFWDSFHPTEKANHIISDYVMNNVLAQFR >ONI15766 pep chromosome:Prunus_persica_NCBIv2:G3:4311178:4317921:-1 gene:PRUPE_3G060000 transcript:ONI15766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRGISGGQRKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHITEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPRDNILEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWNDRRKQYRYVSVTEFANRFKRFHVGMRLENELSIPFDKPRGHKAALVFTRYSIPKMELLKACFDKERLLIKRNSFIYIFKTVQLIICAFIASTVFLRTEMNTRNEDDAAVYVGALIFSMIVNMFNGFAELSLTVARLPVFYKHRDLLFHPAWTFTVPSVLLGIPISILECCIWMAITYYTIGFAPEASRFFKHLLLLFLLQQMASGMFRLIAGVCRTMIISHTGGTLIVLIVFMLGGFIIPRGEIPKWWIWGYWVSPMTYGFNALTVNEMYSPRWMNKLASDNVTSLGVAVLNNFNVYPDKYWYWIGAAAILGFAVLFNVLYTLALMYLNAPGKPQAEEVANEMEADQEESKEEPRLRRPPSKKDSFSRSLSSTDENNSREMTIRRMSSRSNANGLSRNADSSLEITSGVAPKRGMVLPFTPLSMSFDSVNYYVDMPQEMKEGGVAEDRLQLLREVTGAFRPRVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQTDIHSPQVTIKESLIYSAFLRLPKEVNNEEKMIFVDQVMELVELDGLKDALVGLPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGRNSHKIVEYFEAVPGVTKIKEKYNPATWMLEASSVSTELRLGMDFAQHYKSSSLHQRNKALVKELSTPPAGANDLYFTTQYSQSSWKQFTSCLWKQWWTYWRSPDYNVVRFFFTLVAALLLGTIFWKVGTKRESTADLSMIIGAMYAAVLYVGIDNCATVQPIVAIERTVFYRERAAGMYSALPYALAQVVVEIPYVFIQTTYYTAIVYAMVSFQWTAAKFFWFFFINFFSFLYFTYYGMMTVSITPNHQVAAIFATAFYSVFNLFSGFFIPRPRIPKWWVWYYWICPVAWTVYGLIVSQYGDIEDTIRAPGITPDPTVKGYIEDHFGYDPNFMGPVAGVLVGFTLFFAFMFAYCIRTLNFQVR >ONI15765 pep chromosome:Prunus_persica_NCBIv2:G3:4311178:4320391:-1 gene:PRUPE_3G060000 transcript:ONI15765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSHSHSRSISRSFSRASWRMEEAFVSTSHSRRSSHVDEDEEALKWAAIEKLPTYDRLRTSIIKSCVGTEPQGHHHNNNKVVHKEVDVLKLDINDRQNFIDRIFKVAEEDNEKFLKKFRTRIDKVGIRLPTVEVRFEHLTVEADCHVGTRALPTLPNVARNIAESALGLIGIRLAKRTKLTILKEASGIIKPSRMALLLGPPSSGKTTLLLALAGKLDPALKVKGEITYNGYRLNEFVPQKTSAYISQNDVHTGVMTVKETLDFSARCQGVGSRYELLSELARREKAAGIFPELEVDLFMKATSIGGIESSLITDYTLKILGLDICKDTIVGDEMQRGISGGQRKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHITEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPRDNILEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWNDRRKQYRYVSVTEFANRFKRFHVGMRLENELSIPFDKPRGHKAALVFTRYSIPKMELLKACFDKERLLIKRNSFIYIFKTVQLIICAFIASTVFLRTEMNTRNEDDAAVYVGALIFSMIVNMFNGFAELSLTVARLPVFYKHRDLLFHPAWTFTVPSVLLGIPISILECCIWMAITYYTIGFAPEASRFFKHLLLLFLLQQMASGMFRLIAGVCRTMIISHTGGTLIVLIVFMLGGFIIPRGEIPKWWIWGYWVSPMTYGFNALTVNEMYSPRWMNKLASDNVTSLGVAVLNNFNVYPDKYWYWIGAAAILGFAVLFNVLYTLALMYLNAPGKPQAEEVANEMEADQEESKEEPRLRRPPSKKDSFSRSLSSTDENNSREMTIRRMSSRSNANGLSRNADSSLEITSGVAPKRGMVLPFTPLSMSFDSVNYYVDMPQEMKEGGVAEDRLQLLREVTGAFRPRVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQTDIHSPQVTIKESLIYSAFLRLPKEVNNEEKMIFVDQVMELVELDGLKDALVGLPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGRNSHKIVEYFEAVPGVTKIKEKYNPATWMLEASSVSTELRLGMDFAQHYKSSSLHQRNKALVKELSTPPAGANDLYFTTQYSQSSWKQFTSCLWKQWWTYWRSPDYNVVRFFFTLVAALLLGTIFWKVGTKRESTADLSMIIGAMYAAVLYVGIDNCATVQPIVAIERTVFYRERAAGMYSALPYALAQVVVEIPYVFIQTTYYTAIVYAMVSFQWTAAKFFWFFFINFFSFLYFTYYGMMTVSITPNHQVAAIFATAFYSVFNLFSGFFIPRPRIPKWWVWYYWICPVAWTVYGLIVSQYGDIEDTIRAPGITPDPTVKGYIEDHFGYDPNFMGPVAGVLVGFTLFFAFMFAYCIRTLNFQVR >ONI15039 pep chromosome:Prunus_persica_NCBIv2:G3:1671302:1672265:-1 gene:PRUPE_3G022500 transcript:ONI15039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQLTFGVLLTCTCNRCKKDKKKAGALKTEIMGVLKKFDGVHLREFDFGQGKLVVEVADNTTTSDSNLLIKALQKIENAHSVDLMNMEAGGGKPKKAVTFILPEKEEEEEEEEEEEESDADDDDLGLHDDDDEVDDPHLHDDEIPKVSMGEIDKKGKEKIGWTQGRGNGGAMHQTANMGHMGQTAKMSQMGQMSHVGQIDQMGLMGRVGYMGQMGQMGGCYMGPMGSYPVGPAAQGMIGYGHGYGYGNYYNQNQGQYMNVMPAMRMDEGRANWNDNDMNNNMPMPSQQEQAMDPYTYFSEENANGCAIM >ONI15211 pep chromosome:Prunus_persica_NCBIv2:G3:2281052:2285334:-1 gene:PRUPE_3G030700 transcript:ONI15211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKACVKRLQKEYRALCKEPVSHIVARPSPNDILEWHYVLEGSEGTPFAGGFYYGKIKFPPEYPYKPPGISMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTTAEKQRLAKASLAFNSKNPTFRKIFPEYVEKYNQQQLSEQLITERVSSELSQENSRPLLEKVDDSTGGMKRLDALKEARKNKKQSFPTWMMLLLISVFGVVMALPLLQL >ONI15162 pep chromosome:Prunus_persica_NCBIv2:G3:2097660:2101805:-1 gene:PRUPE_3G028100 transcript:ONI15162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAKAVISSSLSNSSTSSNTYIMYQWGGQRCWRPISLPVPKPNSQNLTITTRNRSLLIPRASGAPSESNNGGSSSKTKTKNKTIDPPPSASSSGNEKIDTSNKIQEEQEQKQKQQRRLDLVDVNPVGLGRRSRQLFDEVWRKFSGLGQISRTSRADERDALDALLIREGPMCEFVIPGAQNTTVLVVGATSSIGRIVVRKLMLRGYTVKALVRKADQEVVDMLPRSVEIVTGDVGDPATLYDAVQGCNKIIYCATARSTISGDLYRVDNRGIYNLTKSFQDYNNKMAQLRAGKSSKSKLTITKFKSPESVDGWEVRQGTYFQDVVSSKYDGGMDAKFEFTFTGDAVFSGYVFTRGGYVDLSKKLSLPLGRTLDRYEGLVLSVGGNGRSYILILEAGPLADTSQSKLYFSRFSTKAGFCRVRVPFSSFRPVKPDDPPLDPFLVHTLTIRFEPRRQKAVESRTGVKQDPRSFKLILEYVKALPTGQETDFILVSCTGSGIEPTRREQVLKAKRAGEESLRKSGLGYTIIRPGPLKEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYIADQGKELYELVAHLPDKANNYLTPALSVLEKNT >ONI15160 pep chromosome:Prunus_persica_NCBIv2:G3:2097277:2101928:-1 gene:PRUPE_3G028100 transcript:ONI15160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAKAVISSSLSNSSTSSNTYIMYQWGGQRCWRPISLPVPKPNSQNLTITTRNRSLLIPRASGAPSESNNGGSSSKTKTKNKTIDPPPSASSSGNEKIDTSNKIQEEQEQKQKQQRRLDLVDVNPVGLGRRSRQLFDEVWRKFSGLGQISRTSRADERDALDALLIREGPMCEFVIPGAQNTTVLVVGATSSIGRIVVRKLMLRGYTVKALVRKADQEVVDMLPRSVEIVTGDVGDPATLYDAVQGCNKIIYCATARSTISGDLYRVDNRGIYNLTKSFQDYNNKMAQLRAGKSSKSKLTITKFKSPESVDGWEVRQGTYFQDVVSSKYDGGMDAKFEFTFTGDAVFSGYVFTRGGYVDLSKKLSLPLGRTLDRYEGLVLSVGGNGRSYILILEAGPLADTSQSKLYFSRFSTKAGFCRVRVPFSSFRPVKPDDPPLDPFLVHTLTIRFEPRRQKAVESRTGVKQDPRSFKLILEYVKALPAGEESLRKSGLGYTIIRPGPLKEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYIADQGKELYELVAHLPDKANNYLTPALSVLEKNT >ONI15161 pep chromosome:Prunus_persica_NCBIv2:G3:2097277:2101928:-1 gene:PRUPE_3G028100 transcript:ONI15161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAKAVISSSLSNSSTSSNTYIMYQWGGQRCWRPISLPVPKPNSQNLTITTRNRSLLIPRASGAPSESNNGGSSSKTKTKNKTIDPPPSASSSGNEKIDTSNKIQEEQEQKQKQQRRLDLVDVNPVGLGRRSRQLFDEVWRKFSGLGQISRTSRADERDALDALLIREGPMCEFVIPGAQNTTVLVVGATSSIGRIVVRKLMLRGYTVKALVRKADQEVVDMLPRSVEIVTGDVGDPATLYDAVQGCNKIIYCATARSTISGDLYRVDNRGIYNLTKSFQDYNNKMAQLRAGKSSKSKLTITKFKSPESVDGWEVRQGTYFQDVVSSKYDGGMDAKFEFTFTGDAVFSGYVFTRGGYVDLSKKLSLPLGRTLDRYEGLVLSVGGNGRSYILILEAGPLADTSQSKLYFSRFSTKAGFCRVRVPFSSFRPVKPDDPPLDPFLVHTLTIRFEPRRQKAVESRTGVKQDPRSFKLILEYVKALPTGQETDFILVSCTGSGIEPTRREQVLKAKRAGEESLRKSGLGYTIIRPGPLKEEPGGQRALIFDQGNRISQVCYEYIADQGKELYELVAHLPDKANNYLTPALSVLEKNT >ONI14950 pep chromosome:Prunus_persica_NCBIv2:G3:1248301:1249954:-1 gene:PRUPE_3G017500 transcript:ONI14950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGTTLLSFFTVLVSLSCLYSPTSSSSTDSFVFGGCTQQKYVPDSPYESNLNSLLTSLVNSATYSSYNNYTIMGSSPQDVIYGLYQCRGDLAMPDCATCVARAVSQVGHLCSYTSGGALQLEGCYIKYDNTSFLGVEDKTVVLKKCGPSIGYDADGMGRRDSVLAALVGSSGPYRVGGSGEVQGLAQCVGDLSLSECQDCVSEAIGRVKSECGGAVYGDMFLAKCYARYSTGGSNFYSKGHHDKSTNDSEKTFAVIIGLLAGVALLIVFLTFIRKVFGGNGK >ONI15132 pep chromosome:Prunus_persica_NCBIv2:G3:2025849:2029011:1 gene:PRUPE_3G027300 transcript:ONI15132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLEALLLLHYVAKPESLSLHCCLHVQVQGMVSSCRHSCWSWWDWDWISLSQLLQVLVKRATRGRSDQSWSVPAEAYQSGSET >ONI18635 pep chromosome:Prunus_persica_NCBIv2:G3:22743905:22749563:1 gene:PRUPE_3G228600 transcript:ONI18635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSNSGEDFLKNFKIPKYVLVPKSESENVPNVPDVPQCPVLVFINSRSGGQLGGDLLVTYRSLLNETQVFDLGEETPDKVLSRIYANLEKLKQNGDEFATKIQEKLRIIVAGGDGTAGWLLGVVSDLKLSHSPPIATVPLGTGNNLPFAFGWGKKNPGTDPQSVKSFMNEVKKAKEMKIDSWHLLMRMKTPKDGPLDPIAPLELPHSLHAFGRVSDTNEMSVEGCHTFRGGFWNYFSMGMDAQVSYAFHTERKLHPEKFKNQFSNQSTYAKITRSQGWFSSSLSQSSSRNINQFAKLMIMKNHGGHWEELQIPSSIKSIVCLNLPSFSGGFNPWGMPSRRRHNGEFTPPYVDDGLIEVVGFRDAWHGLVLLAPKGHGTRLTQAHRIRFLFHKGAIDHTYMRIDGEPWKQPLPVDDETVMVEISHLRQVNILATHGCRSKSINNPVSPSSHGADEEDSDEEAHESAEYRKFGAADTFKLPEEIDISQLS >ONI18634 pep chromosome:Prunus_persica_NCBIv2:G3:22743818:22749563:1 gene:PRUPE_3G228600 transcript:ONI18634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGSHLLGSIVGGKMAHSNSGEDFLKNFKIPKYVLVPKSESENVPNVPDVPQCPVLVFINSRSGGQLGGDLLVTYRSLLNETQVFDLGEETPDKVLSRIYANLEKLKQNGDEFATKIQEKLRIIVAGGDGTAGWLLGVVSDLKLSHSPPIATVPLGTGNNLPFAFGWGKKNPGTDPQSVKSFMNEVKKAKEMKIDSWHLLMRMKTPKDGPLDPIAPLELPHSLHAFGRVSDTNEMSVEGCHTFRGGFWNYFSMGMDAQVSYAFHTERKLHPEKFKNQFSNQSTYAKITRSQGWFSSSLSQSSSRNINQFAKLMIMKNHGGHWEELQIPSSIKSIVCLNLPSFSGGFNPWGMPSRRRHNGEFTPPYVDDGLIEVVGFRDAWHGLVLLAPKGHGTRLTQAHRIRFLFHKGAIDHTYMRIDGEPWKQPLPVDDETVMVEISHLRQVNILATHGCRSKSINNPVSPSSHGADEEDSDEEAHESAEYRKFGAADTFKLPEEIDISQLS >ONI19740 pep chromosome:Prunus_persica_NCBIv2:G3:26267946:26269566:-1 gene:PRUPE_3G294500 transcript:ONI19740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCMFGVVKMERVRLFVVVASILVMCVVRSVGGEEVADTLSACDFPAIYNFGDSNSDTGGISAAFYPMASPCGETFFHRPAGRGCDGRLMIDFIAKHLGLPYLSPYLDSLESNFRHGANFATGGATIIRYNESWFQNGVSPFSLDIQIAQYQQLKSRTTSLYNRAKKQPHRFPRVDDFSKALYIFDIGQNDVAAGIRKMSDEQFQAQIPDIINQLATAIRNLYDQGARTFWIHNTGPIGCLAVTLHYLHNPSPDYVDRRGCVKFQNDMARQFNRALKQKVFQLRKELLLAAITHVNVFAAKYKLLSNAKKHGFLDKTRICCGYHEDSNHVYCGNKGIINGTQVYAGSCEDPSLYISWDGVHYTEAANHWIANQLISGSFSDPPLPITNSCHRLQPL >ONI19739 pep chromosome:Prunus_persica_NCBIv2:G3:26267829:26270513:-1 gene:PRUPE_3G294500 transcript:ONI19739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCMFGVVKMERVRLFVVVASILVMCVVRSVGGEEVADTLSACDFPAIYNFGDSNSDTGGISAAFYPMASPCGETFFHRPAGRGCDGRLMIDFIAKHLGLPYLSPYLDSLESNFRHGANFATGGATIIRYNESWFQNGVSPFSLDIQIAQYQQLKSRTTSLYNRGQNDVAAGIRKMSDEQFQAQIPDIINQLATAIRNLYDQGARTFWIHNTGPIGCLAVTLHYLHNPSPDYVDRRGCVKFQNDMARQFNRALKQKVFQLRKELLLAAITHVNVFAAKYKLLSNAKKHGFLDKTRICCGYHEDSNHVYCGNKGIINGTQVYAGSCEDPSLYISWDGVHYTEAANHWIANQLISGSFSDPPLPITNSCHRLQPL >ONI18261 pep chromosome:Prunus_persica_NCBIv2:G3:21331667:21336584:-1 gene:PRUPE_3G206000 transcript:ONI18261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVPKENVEVIAQSIGINNLSPDVALALAPDVEYRLREVMQEAIKCMRHSRRTRLTADDVDGALNLRNVEPIYGYVSGGPLRFKRAIGHRDLFYIDDKDVEFKDVIEAPLPKAPLDTGIVCHWLAIEGVQPAIPENAPVEVLAAPSDSKKYEQKDDGIPVDIKLPVKHILSRELQLYFDKITELVVSRSDLVIFKEALVSLATDSGLHPLVPYFTCFIADEVSRGLNDYPLLFALMRVVRSLLQNPHMHIEPYLHQLMPSVVTCLVAKRLGNRFADNHWELRDFTANLVASICKRFGHVYNTLQSRLTKTLVNAFLDPKRTLTQHYGAIQGLAALGPSVVRLLVLPNLETYLRLLEPELLLDKQKNEMKRHEAWRVYGALLNAAGQCIYDRLKMFPLLPSPAPQSFWSTSQRVITMPNKRKGSVEHMEEQPPLKKIATDGPTGLVPTNSSPSHMEVEIATPAPSGDPDAAPPSSSGQMPNEGVSNSRSRRDRASGRSLKKSALLTQVWKDDLNSGHLLVSLFELFGEDILSFVPAPEMCLFL >ONI18263 pep chromosome:Prunus_persica_NCBIv2:G3:21331535:21336984:-1 gene:PRUPE_3G206000 transcript:ONI18263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVPKENVEVIAQSIGINNLSPDVALALAPDVEYRLREVMQEAIKCMRHSRRTRLTADDVDGALNLRNVEPIYGYVSGGPLRFKRAIGHRDLFYIDDKDVEFKDVIEAPLPKAPLDTGIVCHWLAIEGVQPAIPENAPVEVLAAPSDSKKYEQKDDGIPVDIKLPVKHILSRELQLYFDKITELVVSRSDLVIFKEALVSLATDSGLHPLVPYFTCFIADEVSRGLNDYPLLFALMRVVRSLLQNPHMHIEPYLHQLMPSVVTCLVAKRLGNRFADNHWELRDFTANLVASICKRFGHVYNTLQSRLTKTLVNAFLDPKRTLTQHYGAIQGLAALGPSVVRLLVLPNLETYLRLLEPELLLDKQKNEMKRHEAWRVYGALLRVNAFMIGSRCSHFCHLQHPNLSGVPVKESLLCQINAREVWSTWKSNHP >ONI18262 pep chromosome:Prunus_persica_NCBIv2:G3:21331667:21336490:-1 gene:PRUPE_3G206000 transcript:ONI18262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIACVRLCRSVLLRSLFKLQEIVQLVIFGELRQWKIQYNLVVMKVQEAIKCMRHSRRTRLTADDVDGALNLRNVEPIYGYVSGGPLRFKRAIGHRDLFYIDDKDVEFKDVIEAPLPKAPLDTGIVCHWLAIEGVQPAIPENAPVEVLAAPSDSKKYEQKDDGIPVDIKLPVKHILSRELQLYFDKITELVVSRSDLVIFKEALVSLATDSGLHPLVPYFTCFIADEVSRGLNDYPLLFALMRVVRSLLQNPHMHIEPYLHQLMPSVVTCLVAKRLGNRFADNHWELRDFTANLVASICKRFGHVYNTLQSRLTKTLVNAFLDPKRTLTQHYGAIQGLAALGPSVVRLLVLPNLETYLRLLEPELLLDKQKNEMKRHEAWRVYGALLNAAGQCIYDRLKMFPLLPSPAPQSFWSTSQRVITMPNKRKGSVEHMEEQPPLKKIATDGPTGLVPTNSSPSHMEVEIATPAPSGDPDAAPPSSSGQMPNEGVSNSRSRRDRASGRSLKKSALLTQVWKDDLNSGHLLVSLFELFGEDILSFVPAPEMCLFL >ONI15600 pep chromosome:Prunus_persica_NCBIv2:G3:3620885:3626723:-1 gene:PRUPE_3G051500 transcript:ONI15600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15605 pep chromosome:Prunus_persica_NCBIv2:G3:3620885:3627281:-1 gene:PRUPE_3G051500 transcript:ONI15605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15606 pep chromosome:Prunus_persica_NCBIv2:G3:3620885:3626247:-1 gene:PRUPE_3G051500 transcript:ONI15606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15602 pep chromosome:Prunus_persica_NCBIv2:G3:3620885:3627210:-1 gene:PRUPE_3G051500 transcript:ONI15602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15608 pep chromosome:Prunus_persica_NCBIv2:G3:3620885:3626728:-1 gene:PRUPE_3G051500 transcript:ONI15608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15601 pep chromosome:Prunus_persica_NCBIv2:G3:3620885:3627215:-1 gene:PRUPE_3G051500 transcript:ONI15601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15609 pep chromosome:Prunus_persica_NCBIv2:G3:3620885:3627226:-1 gene:PRUPE_3G051500 transcript:ONI15609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYSNISFSDNRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15613 pep chromosome:Prunus_persica_NCBIv2:G3:3621525:3625435:-1 gene:PRUPE_3G051500 transcript:ONI15613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYSNISFSDNRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15607 pep chromosome:Prunus_persica_NCBIv2:G3:3621525:3625435:-1 gene:PRUPE_3G051500 transcript:ONI15607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15604 pep chromosome:Prunus_persica_NCBIv2:G3:3620885:3626741:-1 gene:PRUPE_3G051500 transcript:ONI15604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15614 pep chromosome:Prunus_persica_NCBIv2:G3:3621525:3625435:-1 gene:PRUPE_3G051500 transcript:ONI15614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYSNISFSDNRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15603 pep chromosome:Prunus_persica_NCBIv2:G3:3620885:3626635:-1 gene:PRUPE_3G051500 transcript:ONI15603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15612 pep chromosome:Prunus_persica_NCBIv2:G3:3620885:3627223:-1 gene:PRUPE_3G051500 transcript:ONI15612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYSNISFSDNRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15611 pep chromosome:Prunus_persica_NCBIv2:G3:3621525:3625435:-1 gene:PRUPE_3G051500 transcript:ONI15611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYSNISFSDNRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15610 pep chromosome:Prunus_persica_NCBIv2:G3:3621525:3625435:-1 gene:PRUPE_3G051500 transcript:ONI15610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQKRVRQEKGSDDAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSSGRKFWGFPRFAGDCASGNRKSHSGTTSELKCDISDTCSQMILQLHEVYDPNKINVKIKIISGSPSGSVAVEAKKAQASWVVLDKHLKHEEKHCMEELQCNIVVMKRSQPKVLRLNLNGSSKKEPELARSLPSQLDEGTDKHPKKKNDSLNSIRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEINGDMKKEESLVSKENKVLDDSSSDTDSENLSTSSASMRFQPWIAEFLNSHRPSSQHMEESSHRTNDNSKASTTKALLEKFSKLDKDAGIGMPNYRADMEFSGNLREAISLSRNAPPVPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYSNISFSDNRRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDDLIDPRLDNFYSEQEVYCMLHAASLCIRRDPQSRPRMSQVLRMLEGDMVMDTNYASTPGYDVGCRNGHDVGCRSGRIWSEHQQQHQPQEKERYSGPLLDEPMEGYKKLSLENVRPGFWERDKARRTSSEHHL >ONI15695 pep chromosome:Prunus_persica_NCBIv2:G3:3992258:3994719:1 gene:PRUPE_3G056200 transcript:ONI15695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHSQCLTAAALGLVFVCALFVSGGNAQEATTLVPAIMTFGDSAVDVGNNDYLPTIFKANYPPYGRDFVNHQPTGRFCNGKLATDITADTLGFTTYPPAYLSPQASGNNLLIGANFASAASGYDEKAATLNHAIPLSQQLQYFREYQGKLAEVAGSKKAASIIKDALYLLSAGNSDFLQNYYVNPYLNRVYTPEQYSSILVGLFSSFVKDLYGSGARKIGVTSLPPLGCLPAAITLFGYHEQGCVARINSDAQGFNKKISSAAANLQKQLPGLKIVIFDIFKPLYDVIKAPSNYGFAEARRGCCGTGIVETTSLLCNPKSIGTCSNASQYVFWDSVHPSQAANQVLADALIIQGITLIG >ONI15696 pep chromosome:Prunus_persica_NCBIv2:G3:3992364:3994566:1 gene:PRUPE_3G056200 transcript:ONI15696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHSQCLTAAALGLVFVCALFVSGGNAQEATTLVPAIMTFGDSAVDVGNNDYLPTIFKANYPPYGRDFVNHQPTGRFCNGKLATDITADTLGFTTYPPAYLSPQASGNNLLIGANFASAASGYDEKAATLNHAIPLSQQLQYFREYQGKLAEVAGSKKAASIIKDALYLLSAGNSDFLQNYYVNPYLNRVYTPEQYSSILVGLFSSFDLYGSGARKIGVTSLPPLGCLPAAITLFGYHEQGCVARINSDAQGFNKKISSAAANLQKQLPGLKIVIFDIFKPLYDVIKAPSNYGFAEARRGCCGTGIVETTSLLCNPKSIGTCSNASQYVFWDSVHPSQAANQVLADALIIQGITLIG >ONI15054 pep chromosome:Prunus_persica_NCBIv2:G3:1711392:1715823:1 gene:PRUPE_3G023200 transcript:ONI15054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKVKKFRKAHKPEPENVSEDNPVPQPEEPRKESGDDFGKSANADSIAEAEDDDDDFITEEVKRRLKELRRNSFMVLIPEEESFPEEDEEEEHGETSSNEWRDVEAEGRQWWCGFGAVYDKYCERMLFFERMSAQHLNETGIQTSTTPSPRSASKKLTSPFRCLSLKKIEEPDDETEHLQQPENDPYQDIETSYVAQICLSWEALHCQYTQLNQLITCQPDNPNCYNHSAQQFQQFQVLLQRFIENEPFEEGHRAEIYARTQRHLPKLLRVPDIQSPVKKGTEEEESDYMVHAPDLIKIIETSILTFQLFVKMDKKKTSSVLNLFGNQNQAATPLQQIQSSLEKKWMKLKELRNKRKGWKKKSWPQSQEDVQLLFGLIDAKVLSRVLRMVRISKEQLFWCEEKMKKLDLVDGKLWRDPSPTLFPC >ONI16199 pep chromosome:Prunus_persica_NCBIv2:G3:6209916:6210319:1 gene:PRUPE_3G084100 transcript:ONI16199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTILSNHNTKPQSFRHNNHSDAKRSLTGNTFLLNLGLSLSIKTSKSNLTKSLVFLVNFYSKNENNLKKRTNQIHNYFLHFFPQFSQ >ONI18257 pep chromosome:Prunus_persica_NCBIv2:G3:21318830:21320065:-1 gene:PRUPE_3G205600 transcript:ONI18257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKAFLLLGLLFGSVVLISSTVAAETSKDEKKVDEAQEANPVDDAKPYCGCCTRYGYYRCGPQCCRQPEADDQPQEVGDSLETNRPDGYGYGGGGYGGGRGGGYGGGGRGGGGRGGGYGGGGHGGGRGRGGGGGGYGRGGGGGGGGGN >ONI16991 pep chromosome:Prunus_persica_NCBIv2:G3:13752957:13759373:1 gene:PRUPE_3G132700 transcript:ONI16991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASEEALQASLPKKLKENEGALVSDPGNSGSAAGSADSIDLLKISTSGSSNSNVVKTSNGVSSNDDDDMSIEDDDGDDDNEYDYDDNDDNDGFLYDDDDYMTLQSHFDNVNLPPGVEAPLPWLNDPSPSENLASTKTLTISDLPPCSSTVPSESSSSTKPADSIDDCIIQNSQQFKQFDIVEDFSDHHYSRMGFSDEQPPKSWAKRIQEEWKILEKDLPDTIFVRVYEARMELLRAVIIGPPGTPYHDGLFVFDCLFPTNYPKSPPMVYYYSGGLRLNPNLYECGKVCLSLLGTWSGKQNENWVPGQSTMLQVLVSIQALILNADPFFNEPGYDTTYVGAEGQKRSRSYNEEVFILSLKTMMYTLRRPPKYFEDFVLGHFRNRAHNILAACKAYTEGTLVGSVSEDAAQNVENSGSKQFKSSVARMMNMLITNFTKNGSTDCEQFRVGA >ONI18548 pep chromosome:Prunus_persica_NCBIv2:G3:22275414:22276904:-1 gene:PRUPE_3G222400 transcript:ONI18548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLGGFFVCLLIVAMDIVGGILGIEAELEQNKVKHLRLWIFECREPSHAAFKLGLAAAGLLVAAHVVANLLGGCSCICSQEELQKAPPNKQLSVACLVFTWIIFGVGLAMLVIGTWSNHKSRASCGFTHHHFLSIGGIVCFVHGLFCVAYYISATASTY >ONI19643 pep chromosome:Prunus_persica_NCBIv2:G3:26006622:26008688:-1 gene:PRUPE_3G289100 transcript:ONI19643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHNHLIKMGLSSERYIAIRLLIMYLDSRKSAQVSEIVKGFDGFDPTVHNCLINANIQWGNLDQARRLFDEMPERNEVSWTALISGLMRYGRVDESMWYFERNPFHNVVSWTAAINGLVQNGLNAEALKLFLKLLDSGVRPNDITFTSVLRACAGFGEIGLGMSVLGLIVKTGFEHNISVSNSLITLCLKMGEKALAKRIFDQMEKKDVVSWTAILDMYVGMGDLREARRIFEEMPERNEVSWSAMIARYSQSGHPEEALKLFLQMSRNGFVPNRSCLAITLSALATLEDLRVGMNIHAHVVKIGCEKDVFISSSLVDLYCKCGKTKDGRLAFDSMLEKSVVSWNSMVGGYCLNGQMEEAKVLFNSIPAPNNVSWNTMVGGYLENKELDKVFLVFNEMLLCGETPNTSTFSSVLCGCASIASLEKGKNLHGKIIKHGTQCDVFVGTALIDMYAKSGDIESSKKVFDRMPEKNEVSWTVMIQGLAENGFAEESLLLFEEMNRTSIVAPNELMLLSVLFACSHTGLVDDGLQYFNSMEAVYGTKPKGRHYTCMVDILSRSGRLVEAEELLKSMPFEPETNAWSALLSGCSKHKNEEIAERTAKKLWELVEKNSAGYVMLSNIYASAGRWGDVLNIRRLMKDRGLKKSGGCSWIEVKNEVHCFYSEDASHCQLAEIYDLLELVRFEMLAI >ONI19820 pep chromosome:Prunus_persica_NCBIv2:G3:26482132:26487221:-1 gene:PRUPE_3G299500 transcript:ONI19820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDREKEREIELESAMYTNCLLLGLDPAIIGLGGSNATPRVGFFRHSNPKLGEQLLYFILSSLRGPIQSGKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFSADVASNPLPASLTDVAFSHAATLLPVTKARIALERRRFIKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGEHWDDLVSSSSQNSHLVSKATRLWESILARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQVPYGDVLSVQSGDFNPTHVDDKDKNDGSYVNVNREKMKSNSDSSHSQVNDEAIHRADERSGRVHPTVDVAEIIRRWTHALQRIHKQSLHMAKANEGEGPEILRSAHDGSSSGHAESLAATLAEHQQHLVSFQVLINQLKEVAPAIQKSISECTDKVDSISSSLPPMTKHPGRSTSPIQAQSSGRTLESNTDDVAEVTSKLSTFQLEKVSASPTLKLPQLFTLTPNSSGKGASMNKRPASAAQTNQIENFSERKSVEQPISNNHIDNLPQDSDNYFVQNLKRSVREAALSRNSLNSESSRGSHSDESSEHFFLPLSSSGFSRQGQESKGVSLRSKRFASQTEASLLENRASDGHMESKYAELSQVLNGLDSLDDYDQVNGFLSATGSNCAASDTQRSFYDFEEAQEQVFSPPLLMDSSLLVDYEDLLAPLSETDTALMEH >ONI19819 pep chromosome:Prunus_persica_NCBIv2:G3:26481122:26487541:-1 gene:PRUPE_3G299500 transcript:ONI19819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDREKEREIELESAMYTNCLLLGLDPAIIGLGGSNATPRVGFFRHSNPKLGEQLLYFILSSLRGPIQSGKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFSADVASNPLPASLTDVAFSHAATLLPVTKARIALERRRFIKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGEHWDDLVSSSSQNSHLVSKATRLWESILARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQVPYGDVLSVQSGDFNPTHVDDKDKNDGSYVNVNREKMKSNSDSSHSQVNDEAIHRADERSGRVHPTVDVAEIIRRWTHALQRIHKQSLHMAKANEGEGPEILRSAHDGSSSGHAESLAATLAEHQQHLVSFQVLINQLKEVAPAIQKSISECTDKVDSISSSLPPMTKHPGRSTSPIQAQSSGRTLLEKVSASPTLKLPQLFTLTPNSSGKGASMNKRPASAAQTNQIENFSERKSVEQPISNNHIDNLPQDSDNYFVQNLKRSVREAALSRNSLNSESSRGSHSDESSEHFFLPLSSSGFSRQGQESKGVSLRSKRFASQTEASLLENRASDGHMESKYAELSQVLNGLDSLDDYDQVNGFLSATGSNCAASDTQRSFYDFEEAQEQVFSPPLLMDSSLLVDYEDLLAPLSETDTALMEH >ONI18066 pep chromosome:Prunus_persica_NCBIv2:G3:20659044:20661948:-1 gene:PRUPE_3G195100 transcript:ONI18066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEEQSSVGVGEEEEETREVVEKEEVETWNQRKQTLILEISSKLIHGDLEAKIEAARDIRNLVRKSSSSSPSSSSKTRSKLGAAGVISPLVLMLCSPNPDARQVSLLALLNLAVRNERNKVKIVTAGAVPPLVELLKFQNGSLRDLATAAILTLSAAALNKPIIADSGAAPLLVEILSSGSVQGKVDAVTALHNLSTCQENSTDILDATAVPPLINLLKECKKYSKFAEKTTALLEILSNSEEGRIAISNSDGGILTLVETVEDGSLMSTEHAVGALLSMCQSCRDKYRELILNEGAVPGLLRLTVDGTAEARERARTLLDLLRDSPQQKQLASAVLERIVYDIATRVDGADKAAETAKRLLQDMVKRSMEHSMSRIQQRAASCTPSNTQST >ONI18065 pep chromosome:Prunus_persica_NCBIv2:G3:20659045:20662303:-1 gene:PRUPE_3G195100 transcript:ONI18065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEEQSSVGVGEEEEETREVVEKEEVETWNQRKQTLILEISSKLIHGDLEAKIEAARDIRNLVRKSSSSSPSSSSKTRSKLGAAGVISPLVLMLCSPNPDARQVSLLALLNLAVRNERNKVKIVTAGAVPPLVELLKFQNGSLRDLATAAILTLSAAALNKPIIADSGAAPLLVEILSSGSVQGKVDAVTALHNLSTCQENSTDILDATAVPPLINLLKECKKYSKFAEKTTALLEILSNSEEGRIAISNSDGGILTLVETVEDGSLMSTEHAVGALLSMCQSCRDKYRELILNEGAVPGLLRLTVDGTAEARERARTLLDLLRDSPQQKQLASAVLERIVYDIATRVDGADKAAETAKRLLQDMVKRSMEHSMSRIQQRAASCTPSNTQST >ONI18067 pep chromosome:Prunus_persica_NCBIv2:G3:20659045:20662249:-1 gene:PRUPE_3G195100 transcript:ONI18067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEEQSSVGVGEEEEETREVVEKEEVETWNQRKQTLILEISSKLIHGDLEAKIEAARDIRNLVRKSSSSSPSSSSKTRSKLGAAGVISPLVLMLCSPNPDARQVSLLALLNLAVRNERNKVKIVTAGAVPPLVELLKFQNGSLRDLATAAILTLSAAALNKPIIADSGAAPLLVEILSSGSVQGKVDAVTALHNLSTCQENSTDILDATAVPPLINLLKECKKYSKFAEKTTALLEILSNSEEGRIAISNSDGGILTLVETVEDGSLMSTEHAVGALLSMCQSCRDKYRELILNEGAVPGLLRLTVDGTAEARERARTLLDLLRDSPQQKQLASAVLERIVYDIATRVDGADKAAETAKRLLQDMVKRSMEHSMSRIQQRAASCTPSNTQST >ONI15822 pep chromosome:Prunus_persica_NCBIv2:G3:4515354:4519971:-1 gene:PRUPE_3G063200 transcript:ONI15822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDAATSDEDKVAPVYKLEEICQLLRSSHVSIVKEVSEFVFKRLENKSPIVKQKALRLIKYSVGKSGVEFRREMQRNSVAVRQLFHYKGQPDPLKGDALNKAVRDTAQEAIAAIFSEESNAAPADDLNRRIQGFGNTNFEMSSEEKKSFLSEVVGIGSASIKQGLSSFTQNHSFKNNENGNYKSPNLHRSLTIESEPTDRYEPAQYRNETQSSFGSSKNVASGPWNEDRLTKTEVTNGDSSSNYRESKTREERLLETIVTPGGVRLQPTRDAIQIFLIEAKKLDAIALSHALDTKLQSPLWQVRMKAICVLESILRRKDDEHFSIVESYFIDNKDLVVRCSDSPQASLREKANKVLSLLGGEQIGSVASNSEQLVKAQAASSVQMPDLIDTGDVDDYHGTDATTDNLSDQVITNLTAPPPPLIDDLFGEGAGNVISTSELKNEDDPFADVSFHTNDSTEQADDLFSGLTVDNKRDAHETALAEKKSAAEMFDIFGPNSELPQVQDHKNDFNNLMAGLSIDKNVSKMEQKGTSSGQLSETLLSDSSSHPSHQASSDAWNGILNSQTARTNDNAVFPPGTTPYNVTPGIMFNPAFTSQPINYGAMGSLLAQQQLLATMSSFQHLGNLNAQNMNFSQVAGTNGGYASALPDIFQANHPNPAPTSIPNSAKKEDTKAFDFISDHIAAARDPKRVV >ONI15821 pep chromosome:Prunus_persica_NCBIv2:G3:4514925:4519971:-1 gene:PRUPE_3G063200 transcript:ONI15821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVESYWRSRMIDAATSDEDKVAPVYKLEEICQLLRSSHVSIVKEVSEFVFKRLENKSPIVKQKALRLIKYSVGKSGVEFRREMQRNSVAVRQLFHYKGQPDPLKGDALNKAVRDTAQEAIAAIFSEESNAAPADDLNRRIQGFGNTNFEMSSEEKKSFLSEVVGIGSASIKQGLSSFTQNHSFKNNENGNYKSPNLHRSLTIESEPTDRYEPAQYRNETQSSFGSSKNVASGPWNEDRLTKTEVTNGDSSSNYRESKTREERLLETIVTPGGVRLQPTRDAIQIFLIEAKKLDAIALSHALDTKLQSPLWQVRMKAICVLESILRRKDDEHFSIVESYFIDNKDLVVRCSDSPQASLREKANKVLSLLGGEQIGSVASNSEQLVKAQAASSVQMPDLIDTGDVDDYHGTDATTDNLSDQVITNLTAPPPPLIDDLFGEGAGNVISTSELKNEDDPFADVSFHTNDSTEQADDLFSGLTVDNKRDAHETALAEKKSAAEMFDIFGPNSELPQVQDHKNDFNNLMAGLSIDKNVSKMEQKGTSSGQLSETLLSDSSSHPSHQASSDAWNGILNSQTARTNDNAVFPPGTTPYNVTPGIMFNPAFTSQPINYGAMGSLLAQQQLLATMSSFQHLGNLNAQNMNFSQVAGTNGGYASALPDIFQANHPNPAPTSIPNSAKKEDTKAFDFISDHIAAARDPKRVV >ONI19792 pep chromosome:Prunus_persica_NCBIv2:G3:26421825:26423825:1 gene:PRUPE_3G298400 transcript:ONI19792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWNSLQLEITYRVMGWMAFTCWSVGFYPQVILNFRRKSVVGLSFDFVVLNLTKHSSYLIYNATLYFSSAVQKQYWEKYGSGQMIPVAVNDVAFSAHAVLITAIILFQIAIYDRGTQKVSKIAIGIVVAVWLGAAVCFFVALPTHSWLWLINIFNSIQVFMTVVKYTPQAFMNFMRKSTDGFSIGFYLLDFSGGVTNYAQMAVLSIDQDSWVNFFGNMGKVLLSLISVSFDILFMCQRFLLYPAKKAPIPPPPPQITSKGSLEPLLQSSSSASPTHTV >ONI14862 pep chromosome:Prunus_persica_NCBIv2:G3:950524:951920:1 gene:PRUPE_3G013500 transcript:ONI14862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTLMYERRRRRIRDGQVLPEEIFFKILERLPVKSLLQCGCVCQSRKTLIRSPDFISAHLETSVMKKACDYLLIETSKRDCFSICCAETFSKCLDVKVPLSHFYAVIGSCNGLLCMSDFISIYLWNPSIRKIKRLPIGVIQDTTYVVAIGFGFHRSKNDYKVVRVMRFERKEFEVEVYSLRLNSWRKISVVPPDVSVSEDKCVFLNGVVYWSTREPFQGSTFILSFDFGSEEFRRIMLPHEVRIMLHHVRTSFRHIHIRVFKKSFPSSIDEDKMGNRVGFMTYGFLKWILRKSQISYGYDRYFFERSIFGFI >ONI19803 pep chromosome:Prunus_persica_NCBIv2:G3:26442355:26447371:-1 gene:PRUPE_3G298800 transcript:ONI19803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFLVLVAVGLMGLCMNGSWGVEAGQEAPRRIHTLFSVECQDYFDWQTVGLMHSYKKAGQPGPITRLLSCTDDEKKKYKGMHLAPTFEVPSMSRHPKTGDWYPAINKPAGVVHWLKHSKEAENVDWVVILDADMIIRGPIVPWELGAEKGKPVAAYYGYLVGCDNILSQLHTKHPDLCDKVGGLLAMHMDDLRALAPMWLSKTEEVREDRAHWTTNITGDIYGKGWISEMYGYSFGAAEVGLQHKINDNLMIYPGYTPREGVVPILFHYGLPFSVGNWSFSKLDHHEDGIVYDCGRLFPEPPYPKEVKLMESDPNKRRALLMNLECINTLNEGLLLQHAANGCPKPKWSKYLSFLKSKTFAELTRPKQLTPATLQFEKAVHVVQAVQQQVVDEPTKPHPKIHTLFSTECTPYFDWQTVGLVHSFHLSGQPGNITRLLSCTDEDLKQYTGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHANTDAEYIVILDADMILRGPITPWEFKAARGRPVSTPYDYLIGCDNELANLHTRHPEACDKVGGVIIMHIDDLRKFALLWLHKTEEVRADTAHYATNITGDIYESGWISEMYGYSFGAAELKLRHQISSEILIYPGYAPQPGIRYRVFHYGLEYKVGNWSFDKANWRNVDVVNKCWGQFPDPPDPSTLDQTDKNKLQTDLLSIECIKTLNEALRLHHERRNCPDPNSLSNSNSDAAEEIVVSRKFGKLDASRVVGSNRAEMNHSQEISEPTLTDGLFSSVRFWVVALWAFCGLGFLTVASVLFSGRRGKGKRGKSYRIKRRNSGSGFMDINGRDRHLRGGEVPL >ONI19891 pep chromosome:Prunus_persica_NCBIv2:G3:26695388:26697478:-1 gene:PRUPE_3G303700 transcript:ONI19891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLTKLHLIVSLLFNSLLVFAALGHKSQNLLSCETTSPDASGYHCTSAGTNGSSLENQCRTFLILRTNSYYSSLFNLSAYLGINRFVIAEANGFSADTQFLPKDEPLLIPIDCKCSSNEGLFHAELTKTTIKGESFYGIAEALEGLTTCKAIREKNWGVSPWGLADKLQLLIPLRCACPSSSSQSRLLLSYPVSEGDSISDLAIKFNTTKEAIISENNRSLGGTFRPESSLTPLTSLLIPLNGKPILGPLKKRREPNLHFPATSIPAISPHKKKAKMHKIGLYVALSGVIIGASIAIAAAFLLIQLKKKKQSSPKGGDVELQQLSLSVRTTSDKKVSFEGSQDALDGQIIDSTTPHKVLVETFSIEELRRATEDFSSSSHIEGSVYHGRLSGKNLAIKRTQLDTISRIEFGLCHDAIHHHPNIMRLLGTCLTEGQESFLVFEYAKNGSLKDWLHGGLAIKNQFIASCYCFLTWSQRLRICLDVAMALQYMHHIMNPSYVHRNVKSRNIFLDEEFNAKIGNFGMAKCAENDTDDHQVCSTSPAASWSLGYMAPEYIHQGVISPSIDIFAYGVVLLEVLCGQTPISRPSEKGERNFWLSEKIKSILQSDNADELREWMDSGLGENYSFDAAVTLANLARACVDEDPCLRPSAGEIVEKLSRLVEESLSQEGENILISESSCKPLVKAAAKTNSNM >ONI18401 pep chromosome:Prunus_persica_NCBIv2:G3:21734993:21737103:1 gene:PRUPE_3G213500 transcript:ONI18401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAADATTSSSPSTTATIPSNLPLISAFLACALAQFLKLFTSWYKERRWDSKRMLDSGGMPSSHSATVTALAVAIGLQDGVGGPAFAIALVLACIVMYDASGVRLHAGRQAELLNQIVYELPPEHPLSSVRPLRDSLGHTPLQVVAGAVLGCVVAYLMRNTK >ONI15277 pep chromosome:Prunus_persica_NCBIv2:G3:2557789:2558556:1 gene:PRUPE_3G035000 transcript:ONI15277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVGKLTKLKSVIKRWPSFTKLTRTTSSIAAATSESDHDSKVSKELHAVYVGKSRRRYLVNSDIVDHPIIQELVDTSSGEVVVACEVVLFEHLLWMLENSETQLGSMDELVEFYTC >ONI16530 pep chromosome:Prunus_persica_NCBIv2:G3:8258315:8262759:1 gene:PRUPE_3G104600 transcript:ONI16530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNTLTLSSSTLFHLTRKPISFTSTLTLRIKARASTAIAMASTTKTKVVPAVIVGGGRVGRALEDMGNGDDLLVKRGDPVPLDFKGPILVCTRNDDLEAVLEATPRPRWNDMVFFQNGMLEPWFESKGLGDADQVLAYFAVSKLGEPPVDGKTDTNPEGLTASYGKWASVVAERLQAGGLTCKVLNKEAFQKQMLEKLIWISAFMLVGARHPGTTVGGVEKEYRSEVSSLIAELASAAAAEKGLVFEEAMEDRLCAYSRAVAHFPTAVKEFKWRNGWFYSLSEKAIAAGKPDPCPLHTAWLKELKVV >ONI15571 pep chromosome:Prunus_persica_NCBIv2:G3:3534850:3539054:-1 gene:PRUPE_3G050100 transcript:ONI15571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLCAFIFFFTFSLFIFSFSVFKILRKTEQKQQTQNDLFELKRSLSETLGKTHLTHENDPTHIANPPHKLLVEILPSDSPKWASLFVNREGGDPDDAGSGLDVEKLGSGGDQRVKKKKRRAKKKSSSHLEEEEEDSGFHMRDKENSGPGLGSRVHEELVCFYPYTSSSSATQRKIKQQYDELMKCHESRKLTLAQVGQFANCLVEARNELQHKADVIQRKFTITKALLFKADRSSFDRLRQQICKLELEQKRLEEDASVYNWLQQQLKLSPAYNKMLEISACMELKAKSREQVESTDVEYSEISFEELLAQEKKDSFWQKNRKSRSRSS >ONI14836 pep chromosome:Prunus_persica_NCBIv2:G3:715652:717771:-1 gene:PRUPE_3G011500 transcript:ONI14836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSCRTRTPLLPTTKVNEGRRDRGGAREMGKRVGSRNSNESALTRWVQSVFGFVKFAEFEILFVLFFVIAFLVFKDLTSRPEYSQILVKKPGGGGFWPY >ONI16141 pep chromosome:Prunus_persica_NCBIv2:G3:5997926:6001131:1 gene:PRUPE_3G080700 transcript:ONI16141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGPTCQFFAPYLESGDNKHQLKPEFPNADKDFKDHSDIESHPFKKPKTSEVVLISTMPMAQNKMLPCNFKTRLCNNFKMGNCHYGQGCCFAHGISDLRKTWRNWQGLETQEGFKARTCDHRRTSDDVCRLFFNGGKCTYGDKCRYPHHVTPENIREKSAISISTTVESRSVQNLRTSSTSMSKRRMGIGATYHKQVQGMECNFKWNKLEKMSRIYADWIEDIPLVHGSSSKAEC >ONI16142 pep chromosome:Prunus_persica_NCBIv2:G3:5997926:6000986:1 gene:PRUPE_3G080700 transcript:ONI16142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGPTCQFFAPYLESGDNKHQLKPEFPNADKDFKDHSDIESHPFKKPKTSEVVLISTMPMAQNKMLPCNFKTRLCNNFKMGNCHYGQGCCFAHGISDLRKTWRNWQGLETQEGFKARTCDHRRTSDDVCRLFFNGGKCTYGDKCRYPHHVTPENIREKSAISISTTVESRSVQNLRTSSTSMSKRRMGIGATYHKQVQGMECNFKWNKLEKMSRIYADWIEDIPLVHGSSSKAEC >ONI15474 pep chromosome:Prunus_persica_NCBIv2:G3:3205852:3209390:-1 gene:PRUPE_3G044700 transcript:ONI15474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMNHRNGNYSPIRDQEDPILGIFDKPLPCFGCGIGWFSLLLGFVFPVMWYYAAFLYFGKYYHRDPRERTGLQASAIAALICTTAVFIVVAVFVFKSFLP >ONI15475 pep chromosome:Prunus_persica_NCBIv2:G3:3205852:3210665:-1 gene:PRUPE_3G044700 transcript:ONI15475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMNHRNGNYSPIRDQEDPILGIFDKPLPCFGCGIGWFSLLLGFVFPVMWYYAAFLYFGKYYHRDPRERTGLQASAIAALICTTAVFIVVAVFVFKSFLP >ONI18863 pep chromosome:Prunus_persica_NCBIv2:G3:23643922:23644253:1 gene:PRUPE_3G244300 transcript:ONI18863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSQKMSYHAGEAKGQAQEKASGMMDKANNAAQSTKETMQDVGQNVQAKAQGAADAVKNATGMNK >ONI15639 pep chromosome:Prunus_persica_NCBIv2:G3:3747909:3750685:-1 gene:PRUPE_3G053000 transcript:ONI15639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIDSYISTIGVDFKIRTVEQDGKVVKLQIWDTAGQERFRTITSSYYRGAHGIILVYDVTDQESFNNVKTWLQEIDKFAVGNVNKLLVGNKSDLADKKVVSSEASKAFADELGIPFLETSAKNSTNVEQAFMTMVAEIKNRIATQPMSVNKPSTVHMRGQPVVQKTTCCSS >ONI15825 pep chromosome:Prunus_persica_NCBIv2:G3:4547754:4549678:1 gene:PRUPE_3G063500 transcript:ONI15825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIAFGRFDDSFSLGSLKAYLAEFISTLLFVFAGVGSAIAYNKLTSNAALDPAGLVAIAIAHGFALFVAVAIGANISGGHVNPAVTFGLALGGQITVLTGIFYWIAQLLGAIVAAFILKFVTGGLTIPIHSLAAGVGAIQGVIFEIIITFALVYTVYATAADPKKGALGTIAPMAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFHDNWIYWVGPLIGGGLAGLIYGNVFFHTEHAPLVNEY >ONI18734 pep chromosome:Prunus_persica_NCBIv2:G3:23066009:23067647:-1 gene:PRUPE_3G235300 transcript:ONI18734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLEVKEEEAILQGQVDILRYTYNFVESMALKCAVELGIADIINSHGQGQPITLSQIAPQIASPSTDLDLLSRLLRFLVHMNLFEATIDPKTGDALYGLTSSSKWLLSNEEQSLAPLVLMANQPHHLASWHYLSQCIKEGGCGFQKANGFSLYDIPSEKSELGNYFKEGMACTSRIVMKAILSTYKQGFDGVGLIVDVGGGLGTAVAEIVKAHPHIRGINFDLADVVATAPKYPGVIHVGGDMFDVIPKADAIFMKWILHNWNDEKCTQILKNCRKAIPEKIGKLVIVDGVLLPDNGLWDSFVQRYDLTMMVQTINGKERSEVEWKKLLREGGFGRYKIIKIPSFLSIIEAYPE >ONI15391 pep chromosome:Prunus_persica_NCBIv2:G3:2972761:2973474:-1 gene:PRUPE_3G041700 transcript:ONI15391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQYYILFLFFLIFFSSSSHASVQDFCVADLKGPDGPAGYPCKSPANVTVSDFVFSGLAKGGNTTNIIKAAVTPAFVAQFPGVNGLGLSMARLDLAPGGVIPFHTHPGASEILVVTRGHITAGFVSSASTVYLETLKKGDVMVFPQGLLHFQVNAAKIHSSAFVSFSSASPGLQILDFALFANNLPSALVGQTTFLDLAQIKKLKGVLGGTG >ONI17477 pep chromosome:Prunus_persica_NCBIv2:G3:18013108:18020676:-1 gene:PRUPE_3G161300 transcript:ONI17477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTAGKVLSCPAVTNTKTIPNSYGILNSYAMSMQRNNLHCPSQGVELRQLNYGRLLKDKMIFSNDGLWRILGKPVSFTDSQRSTVLYLSRGTHNTKAKEPIITYGDPAGETSGIMRLDARARQDVAILGSGFLKLDARAREDTEKIDRNVKKKAECLHHIAMILKDKAESRLKTAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDRMVSKMYNFPLHSENGIVSENDMLGRIMLEKNGKSLDFPFGEVSTDRITAIQEAYWSMASALSEADGIDYTDPEELELLIATLIDLDAMDGKSSVSLLAECSNSPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAEDSNPAIAAAATKAIFELKRQWEIEEGDSWRFTMNRNPIEEWETQEADDNEDTD >ONI17478 pep chromosome:Prunus_persica_NCBIv2:G3:18013146:18020676:-1 gene:PRUPE_3G161300 transcript:ONI17478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTAGKVLSCPAVTNTKTIPNSYGILNSYAMSMQRNNLHCPSQGVELRQLNYGRLLKDKMIFSNDGLWRILGKPVSFTDSQRSTVLYLSRGTHNTKAKEPIITYGDPAGETSSQSGDKEDEHPVFSERTTHSSLAEACKFVCNDAKFVNERARNDIILLSRGIMRLDARARQDVAILGSGFLKLDARAREDTEKIDRNVKKKAECLHHIAMILKDKAESRLKTAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDRMVSKMYNFENGIVSENDMLGRIMLEKNGKSLDFPFGEVSTDRITAIQEAYWSMASALSEADGIDYTDPEELELLIATLIDLDAMDGKSSVSLLAECSNSPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAEDSNPAIAAAATKAIFELKRQWEIEEGDSWRFTMNRNPIEEWETQEADDNEDTD >ONI17479 pep chromosome:Prunus_persica_NCBIv2:G3:18013100:18020675:-1 gene:PRUPE_3G161300 transcript:ONI17479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTAGKVLSCPAVTNTKTIPNSYGILNSYAMSMQRNNLHCPSQGVELRQLNYGRLLKDKMIFSNDGLWRILGKPVSFTDSQRSTVLYLSRGTHNTKAKEPIITYGDPAGETSSQSGDKEDEHPVFSERTTHSSLAEACKFVCNDAKFVNERARNDIILLSRGIMRLDARARQDVAILGSGFLKLDARAREDTEKIDRNVKKKAECLHHIAMILKDKAESRLKTAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDRMVSKMYNFPLHSENGIVSENDMLGRIMLEKNGKSLDFPFGEVSTDRITAIQEAYWSMASALSEADGIDYTDPEELELLIATLIDLDAMDGKSSVSLLAECSNSPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAEDSNPAIAAAATKAIFELKRQWEIEEGDSWRFTMNRNPIEEWETQEADDNEDTD >ONI17480 pep chromosome:Prunus_persica_NCBIv2:G3:18013273:18019180:-1 gene:PRUPE_3G161300 transcript:ONI17480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTAGKVLSCPAVTNTKTIPNSYGILNSYAMSMQRNNLHCPSQGVELRQLNYGRLLKDKMIFSNDGLWRILGKPVSFTDSQRSTVLYLSRGTHNTKAKEPIITYGDPAGETSSQSGDKEDEHPVFSERTTHSSLAEACKFVCNDAKFVNERARNDIILLSRGIMRLDARARQDVAILGSGFLKLDARAREDTEKIDRNVKKKAECLHHIAMILKDKAESRLKTAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDRMVSKMYNFPLHSENGIVSENDMLGRIMLEKNGKSLDFPFGEVSTDRITAIQEAYWSMASALSEADGIDYTDPEELELLIATLIDLDAMDGKSSVSLLAECSNSPDVNTRKALANALAAAPSMWTLGNAGMGALQVIWSLHNGNHHSLP >ONI16175 pep chromosome:Prunus_persica_NCBIv2:G3:6133688:6138327:1 gene:PRUPE_3G082600 transcript:ONI16175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVISVKPIFTIGVLTLSLILSVLLLSPTSPLYKAPLFLTSPMNKLDIWSVGRMVEWRPCKWWLQGHLTALPSKNNGFIRVDCYGGLNQMRRDLCDGVGIARLLNATLVLPKFEVAAYWNESSGFADVFDVDYFIQQMNGFIKVVKELPSDISSKEPFHVDCSKRKGQFDYIESVLPSLLEHHYISITPAMSQRRDRYPQYAKASLCQACYSALRLTKSLEKKASELLEAIPKPFLSLHLRFEPDMVAYSQCEYPDLSSASMEAIEAARGDRKPWTGEVAQIWRKRGKCPLTPNETAFILQVLSIPTNTNIYLAAGDGLMEIEGLTSVYTNVFTKSSLLSGEDFKSMHGNTKAALDYYVSINSDSYIATYFGNMDKMVAAMRAFNGLYKTLFLSRRAFAEFTFQGLRGKELMNALRKAHRDDFAMGRGSALPDCFCEFKL >ONI16176 pep chromosome:Prunus_persica_NCBIv2:G3:6133688:6138327:1 gene:PRUPE_3G082600 transcript:ONI16176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDLCDGVGIARLLNATLVLPKFEVAAYWNESSGFADVFDVDYFIQQMNGFIKVVKELPSDISSKEPFHVDCSKRKGQFDYIESVLPSLLEHHYISITPAMSQRRDRYPQYAKASLCQACYSALRLTKSLEKKASELLEAIPKPFLSLHLRFEPDMVAYSQCEYPDLSSASMEAIEAARGDRKPWTGEVAQIWRKRGKCPLTPNETAFILQVLSIPTNTNIYLAAGDGLMEIEGLTSVYTNVFTKSSLLSGEDFKSMHGNTKAALDYYVSINSDSYIATYFGNMDKMVAAMRAFNGLYKTLFLSRRAFAEFTFQGLRGKELMNALRKAHRDDFAMGRGSALPDCFCEFKL >ONI14759 pep chromosome:Prunus_persica_NCBIv2:G3:412071:417847:1 gene:PRUPE_3G006800 transcript:ONI14759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGLPKTAHPLSQEKKSRPPKESQRKPDGISREVYALIGGVPPLMPAVETSQLKKRPPTDEKITWQWLPFTSSARKDNLQLYHWVRVVNGVPPTGDYSFAKYNKSVEVVKYTDEEYEKYLTDPIWTKEETDQLFDMCQRFDLRFIVIADRFPSSRTVEELKDRYYSVSRAILMARAASPADVSGHPIVKEPYKVAQERERKRALSMVLSQTKHQERKDAEVLAEAKRIAEARMVARSAKELELPVTLNDGLENNERALVPGETVSPSSNSQFPSGTVAPSTLMAENASTLGSLKMLRVYLRTYALDQMIQAASSSAGLRTIKRVEQSLQELGVNLKPRVPTKAVCSHHLELRKEILTLLNLQKQLQYKEAEGSSYRDSPYETPGTPKDRTFVPDSMSFGGDVLGERVVKRDQKRKAPGRAEAPSSPAQSKRPRKLKASDL >ONI14760 pep chromosome:Prunus_persica_NCBIv2:G3:412337:416771:1 gene:PRUPE_3G006800 transcript:ONI14760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGLPKTAHPLSQEKKSRPPKESQRKPDGISREVYALIGGVPPLMPAVETSQLKKRPPTDEKITWQWLPFTSSARKDNLQLYHWVRVVNGVPPTGDYSFAKYNKSVEVVKYTDEEYEKYLTDPIWTKEETDQLFDMCQRFDLRFIVIADRFPSSRTVEELKDRYYSVSRAILMARAASPADVSGHPIVKEPYKVAQERERKRALSMVLSQTKHQERKDAEVLAEAKRIAEARMVARSAKELELPVTLNDGLENNERALVPGETVSPSSNSQFPSGTVAPSTLMAENASTLGSLKMLRVYLRTYALDQMIQAASSSAGLRTIKRVEQSLQELGVNLKPRVPTKAVCSHHLELRKEILTLLNLQKQLQYKEAEGSSYRDSPYETPGTPKVCFLPCGRPVLQTMRFF >ONI15914 pep chromosome:Prunus_persica_NCBIv2:G3:4936004:4936725:-1 gene:PRUPE_3G068600 transcript:ONI15914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKEQGAGLLHHILPPRLEDAGLEDCALPADSIKEAFLKAATAVKSRSTSIFTADEDEEAFEDCISDPWPDLKVPADEVIGAGPETRSPAPCGGDKGRSVEVGGDEVVVGGEEVKGDKVIVGGEDVREGGDRACVDGLAGLEIGGKKNSKVRNGDGEGPILVGGYV >ONI17503 pep chromosome:Prunus_persica_NCBIv2:G3:18253815:18256025:-1 gene:PRUPE_3G163300 transcript:ONI17503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNNLDVKKGAWTKEEDALLSKCMENHGEGKWHEVPYKAGLNRCRKSCRLRWLNYVKPNIKRGEFTEDEVDLIIRLHKLLGNRWALIAGRLPGRTSNGVKNYWNTRRRTNSLLKTTTKEKFQETIKPIVTRPQPRSFTKSSNCSSFEEPVLDHTQLEENFSTPSQTSPSTRIGNDWWDTFLDDKDATETATGSGPGFDEELLTSFWVDDDMPQSARTCINFSEEELSISDFSFNLDLWNHSKEE >ONI18835 pep chromosome:Prunus_persica_NCBIv2:G3:23564029:23564862:1 gene:PRUPE_3G242600 transcript:ONI18835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLGLERLVLNLKSKLRSLKVNKKPYDKIEKSESMRVEIRSKKARKLIEKTLKVADSPKSKTFAF >ONI18836 pep chromosome:Prunus_persica_NCBIv2:G3:23563900:23565163:1 gene:PRUPE_3G242600 transcript:ONI18836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLGLERLVLNLKSKLRSLKVNKKPYDKIEKSESMRVEIRSKKARKLIEKTLKVADSPKSKTFAF >ONI18201 pep chromosome:Prunus_persica_NCBIv2:G3:21025722:21028189:-1 gene:PRUPE_3G202000 transcript:ONI18201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGEPGTDKIASDIVPKKESSNSEIHAPHQNPDNGICPLQSDHRGNVQSLIPEKSLQLPDGVGTASQSNQEGSVTSLTSEKAPQTPETSALVLRSGQEGSTPSTARERGLEDGYHWRKYGQKLVKGNAYVRSYYRCTHPKCPVKRQVERTHNGQITDTVYFGEHGHPKAQVSVPVAVSFLVSIVEERPEELLLTGVEGKPSDVHGHTSNQIEPVDPSQLSTVADNEGVQRVLSQSNRTRDGDPDSKRQKKEKHNGNSIPVDKPAGEPRVVVQTMSEADIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNPGCPVKKHVERASHDSKVVIATYEGQHDHDMPPTRTVTHNAAASNVITTARNGESGTTSDGNAVCHDTSPKHEDKPNKQLNVEPRTKSSDVAGCDMVVDSALGPERKLNEQVVGKACTTEESDAPDIIVPRANELQNGESGIKSEGNNACIDTVVHGNLCPESNSPEQKNPKAEPV >ONI18199 pep chromosome:Prunus_persica_NCBIv2:G3:21025351:21029457:-1 gene:PRUPE_3G202000 transcript:ONI18199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGEPGTDKIASDIVPKKESSNSEIHAPHQNPDNGICPLQSDHRGNVQSLIPEKSLQLPDGVGTASQSNQEGSVTSLTSEKAPQTPETSALVLRSGQEGSTPSTARERGLEDGYHWRKYGQKLVKGNAYVRSYYRCTHPKCPVKRQVERTHNGQITDTVYFGEHGHPKAQVSVPVAVSFLVSIVEERPEELLLTGVEGKPSDVHGHTSNQIEPVDPSQLSTVADNEGVQRVLSQSNRTRDGDPDSKRQKKEKHNGNSIPVDKPAGEPRVVVQTMSEADIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNPGCPVKKHVERASHDSKVVIATYEGQHDHDMPPTRTVTHNAAASNVITTARNGESGTTSDGNAVCHDTSPKHEDKPNKQLNVEPRTKSSDVAGCDMVVDSALGPERKLNEQVVGKACTTEESDAPDIIVPRANELQNGESGIKSEGNNACIDTVVHGNLCPESNSPEQKNPKAEPV >ONI18198 pep chromosome:Prunus_persica_NCBIv2:G3:21025351:21028390:-1 gene:PRUPE_3G202000 transcript:ONI18198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGEPGTDKIASDIVPKKESSNSEIHAPHQNPDNGICPLQSDHRGNVQSLIPEKSLQLPDGVGTASQSNQEGSVTSLTSEKAPQTPETSALVLRSGQEGSTPSTARERGLEDGYHWRKYGQKLVKGNAYVRSYYRCTHPKCPVKRQVERTHNGQITDTVYFGEHGHPKAQVSVPVAVSFLVSIVEERPEELLLTGVEGKPSDVHGHTSNQIEPVDPSQLSTVADNEGVQRVLSQSNRTRDGDPDSKRQKKEKHNGNSIPVDKPAGEPRVVVQTMSEADIVNDGYRWRKYGQKLVKGNPNPSYYRCSNPGCPVKKHVERASHDSKVVIATYEGQHDHDMPPTRTVTHNAAASNVITTARNGESGTTSDGNAVCHDTSPKHEDKPNKQLNVEPRTKSSDVAGCDMVVDSALGPERKLNEQVVGKACTTEESDAPDIIVPRANELQNGESGIKSEGNNACIDTVVHGNLCPESNSPEQKNPKAEPV >ONI18203 pep chromosome:Prunus_persica_NCBIv2:G3:21025722:21028189:-1 gene:PRUPE_3G202000 transcript:ONI18203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGEPGTDKIASDIVPKKESSNSEIHAPHQNPDNGICPLQSDHRGNVQSLIPEKSLQLPDGVGTASQSNQEGSVTSLTSEKAPQTPETSALVLRSGQEGSTPSTARERGLEDGYHWRKYGQKLVKGNAYVRSYYRCTHPKCPVKRQVERTHNGQITDTVYFGEHGHPKAQVSVPVAVSFLVSIVEERPEELLLTGVEGKPSDVHGHTSNQIEPVDPSQLSTVADNEGVQRVLSQSNRTRDGDPDSKRQKKEKHNGNSIPVDKPAGEPRVVVQTMSEADIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNPGCPVKKHVERASHDSKVVIATYEGQHDHDMPPTRTVTHNAAASNVITTARNGESGTTSDGNAVCHDTSPKHEDKPNKQLNVEPRTKSSDVAGCDMVVDSALGPERKLNEQVVGKACTTEESDAPDIIVPRANELQNGESGIKSEGNNACIDTVVHGNLCPESNSPEQKNPKAEPV >ONI18202 pep chromosome:Prunus_persica_NCBIv2:G3:21025351:21028498:-1 gene:PRUPE_3G202000 transcript:ONI18202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGEPGTDKIASDIVPKKESSNSEIHAPHQNPDNGICPLQSDHRGNVQSLIPEKSLQLPDGVGTASQSNQEGSVTSLTSEKAPQTPETSALVLRSGQEGSTPSTARERGLEDGYHWRKYGQKLVKGNAYVRSYYRCTHPKCPVKRQVERTHNGQITDTVYFGEHGHPKAQVSVPVAVSFLVSIVEERPEELLLTGVEGKPSDVHGHTSNQIEPVDPSQLSTVADNEGVQRVLSQSNRTRDGDPDSKRQKKEKHNGNSIPVDKPAGEPRVVVQTMSEADIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNPGCPVKKHVERASHDSKVVIATYEGQHDHDMPPTRTVTHNAAASNVITTARNGESGTTSDGNAVCHDTSPKHEDKPNKQLNVEPRTKSSDVAGCDMVVDSALGPERKLNEQVVGKACTTEESDAPDIIVPRANELQNGESGIKSEGNNACIDTVVHGNLCPESNSPEQKNPKAEPV >ONI18200 pep chromosome:Prunus_persica_NCBIv2:G3:21025722:21028189:-1 gene:PRUPE_3G202000 transcript:ONI18200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLGEPGTDKIASDIVPKKESSNSEIHAPHQNPDNGICPLQSDHRGNVQSLIPEKSLQLPDGVGTASQSNQEGSVTSLTSEKAPQTPETSALVLRSGQEGSTPSTARERGLEDGYHWRKYGQKLVKGNAYVRSYYRCTHPKCPVKRQVERTHNGQITDTVYFGEHGHPKAQVSVPVAVSFLVSIVEERPEELLLTGVEGKPSDVHGHTSNQIEPVDPSQLSTVADNEGVQRVLSQSNRTRDGDPDSKRQKKEKHNGNSIPVDKPAGEPRVVVQTMSEADIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNPGCPVKKHVERASHDSKVVIATYEGQHDHDMPPTRTVTHNAAASNVITTARNGESGTTSDGNAVCHDTSPKHEDKPNKQLNVEPRTKSSDVAGCDMVVDSALGPERKLNEQVVGKACTTEESDAPDIIVPRANELQNGESGIKSEGNNACIDTVVHGNLCPESNSPEQKNPKAEPV >ONI17481 pep chromosome:Prunus_persica_NCBIv2:G3:18050929:18052131:1 gene:PRUPE_3G161400 transcript:ONI17481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTKSWDCFGLARTGLSWINQTILSFLHFFLFSPFLLPFLPSPSFFFFSLSFLPVLLFSSQPVLIFLWSPPSSASKPVIQDEQFGNNSKMAPFFPALPSSLSTLNGWCVRMKTI >ONI15683 pep chromosome:Prunus_persica_NCBIv2:G3:3890132:3896484:1 gene:PRUPE_3G055200 transcript:ONI15683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSWVFKPPLWALLGGIALMLLAHAQDQSGFISIDCGLEQSPGYTERTTGIYYISDSSLTDTGDSKSISLDYRDQYTRPFWFLRSFPQGTRNCYSIRLTGGDKYLIRASFVYGNYDSQKGNLPEFELHLGATLWDSVAFSNASSITIKELLHTPAQNHIHICLVNIGTGVPFISAIELRPLPTASYLTQMPKQSLALLLRYDVGQTANTAGYRYPNDIYDRLWYSYPRDDWAQLSTLSIINNDQNTYQLPSDVMRTAATPKDISSQFLNISWVPADRYAEYYTYIHFAEVAKLQASQIREISITINGELTSDPFVPRYLYTNTINSTLAFRGGAQSYNFSIFTSEDSFLLPILNAFEVYMVKEFLESETNQKDFDAIANIKSTYIIIKNWQGDPCAPKDYLWEGVTCSAHENESRNIKSLDLSSSGLTGLIAPSISNLTMIQTLDLSNNNLTGPIPDFLSQLPNLNVLNLENNKLTGSVPVRLSDRSKNGLLLLSLCGNPNLSGQVSCNKKKKKHNLGVLVGVPVGISIGILLVVAAAAIWWHSFKTKGEKANTRPEAQEVGHTDTKPTLLKAPSDPRRGQHFTKVEITNITSNYTSLLGEGAFGKVYRGRLENKVEVAVKVLSPRSSQGLEEFNNEVALLMSVADHKNVVSLIGYCDDVDCMALVYAFVAYGNLKQHLSAVLSPSPPASSPQSPDHRYTSSAPLLVRSQRSDSELSPSPSASLAQPPHTGATLFHTAHSQGPESDSIIRVLTWKERLGIALDAARDITTQNGSTKRVMYIALELSC >ONI15682 pep chromosome:Prunus_persica_NCBIv2:G3:3890132:3896484:1 gene:PRUPE_3G055200 transcript:ONI15682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSWVFKPPLWALLGGIALMLLAHAQDQSGFISIDCGLEQSPGYTERTTGIYYISDSSLTDTGDSKSISLDYRDQYTRPFWFLRSFPQGTRNCYSIRLTGGDKYLIRASFVYGNYDSQKGNLPEFELHLGATLWDSVAFSNASSITIKELLHTPAQNHIHICLVNIGTGVPFISAIELRPLPTASYLTQMPKQSLALLLRYDVGQTANTAGYRYPNDIYDRLWYSYPRDDWAQLSTLSIINNDQNTYQLPSDVMRTAATPKDISSQFLNISWVPADRYAEYYTYIHFAEVAKLQASQIREISITINGELTSDPFVPRYLYTNTINSTLAFRGGAQSYNFSIFTSEDSFLLPILNAFEVYMVKEFLESETNQKDFDAIANIKSTYIIIKNWQGDPCAPKDYLWEGVTCSAHENESRNIKSLDLSSSGLTGLIAPSISNLTMIQTLDLSNNNLTGPIPDFLSQLPNLNVLNLENNKLTGSVPVRLSDRSKNGLLLLSLCGNPNLSGQVSCNKKKKKHNLGVLVGVPVGISIGILLVVAAAAIWWHSFKTKGEKANTRPEAQEVGHTDTKPTLLKAPSDPRRGQHFTKVEITNITSNYTSLLGEGAFGKVYRGRLENKVEVAVKVLSPRSSQGLEEFNNEVALLMSVADHKNVVSLIGYCDDVDCMALVYAFVAYGNLKQHLSAVLSPSPPASSPQSPDHRYTSSAPLLVRSQRSDSELSPSPSASLAQPPHTGATLFHTAHSQGPESDSIIRVLTWKERLGIALDAARGLNYLHSHRNMPIVHRDVKPANILLDKRLQAKIGDFGISRAFATESITHVSTLSKGTLGYLDPEYHNTKRLNKKSDVYSFGIVLLELITGRRAINTEVVQPGGPEVCIHIIEWVRNEVNNQRIESVVDPKLQGKYKMDSVRKAIQTAMACVPLTGAERPDIDVVYKDLEQCLKMESAPDPEPRTTVSDDITFPTSRCMIS >ONI16060 pep chromosome:Prunus_persica_NCBIv2:G3:5635679:5638030:-1 gene:PRUPE_3G076600 transcript:ONI16060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIEEVMELVELTRLRQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGEEIFVGPLGHHSCHLIKYFEGIEGVSKIKDGYNPATWILELTTSAEELALGIDFAQVYKNSKLFRTNKKLIKDFSKPAPSSKDLHFPTQYSQSFLTQSMVCLWKQNWSYWRNPLYTAVRLLFTTFMALLLGTMFWNLGSQMKRQQDLFNAMGSMYIAVFFLGVQNATSVQPVVAIERTVFYREKAAGMYSALAYALAQVKWILYCQFFNHQIWFSIYDNSISILSQVLIELPYILVQTVVYGVIIYKMIGFELTLVKFFWYLFFMYFTLLYFTFSGMMIVAVSPNHHIASIVTYASYGLWNLFSGFIVPRPRIPIWWRWYYWACPVAWTLYGLVASQFGDLNDTIDNGQTVKQFLDDYFGFRHDFVVVVAAGHVGFTVLFGFIFSVSVMAFNFQTR >ONI16874 pep chromosome:Prunus_persica_NCBIv2:G3:11732799:11746810:-1 gene:PRUPE_3G126600 transcript:ONI16874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNITEKFAVSSVSDTSIPLLPSQFLEMVGVLRSLWSSTRNSFSSSASSISPKPCLLPPRASALARAFSAATAASDATSPSSALNPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSIPWKEYELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPAVSEEMCNEVESLIFDLFANLGASEEQLDFPVLYASAKEGWASTTYTKDPPADARNMSRLLDAIISHVPPPTANLEAPFQMLVSMMERDFYLGRILTGRVSSGSIRVGDRVHGLRHKDSGVEKIEEGKVVKLMKKKGTHMALIDSAGAGDIVSMAGLASPSIGHTVANVEIMIALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLSAEAETNLAINVLPGLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENGQKLEPIEEVTIEVNEEHVGLVMEALSHRRAEIVDMGPVPGSVDRTRLSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLTYEKHRGPLGNVRKGVLVSMGYGTITAYALMSLEPRGTLFVNPGMETYDGMIVGEHSRDTDLDVNPVRNKELTNVRAASKDENVKLAPPRLISSPSWKLLIYSPA >ONI16875 pep chromosome:Prunus_persica_NCBIv2:G3:11732790:11746810:-1 gene:PRUPE_3G126600 transcript:ONI16875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNITEKFAVSSVSDTSIPLLPSQFLEMVGVLRSLWSSTRNSFSSSASSISPKPCLLPPRASALARAFSAATAASDATSPSSALNPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSIPWKEYELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPAVSEEMCNEVESLIFDLFANLGASEEQLDFPVLYASAKEGWASTTYTKDPPADARNMSRLLDAIISHVPPPTANLEAPFQMLVSMMERDFYLGRILTGRVSSGSIRVGDRVHGLRHKDSGVEKIEEGKVVKLMKKKGTHMALIDSAGAGDIVSMAGLASPSIGHTVANVEIMIALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLSAEAETNLAINVLPGLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENGQKLEPIEEVTIEVNEEHVGLVMEALSHRRAEIVDMGPVPGSVDRTRLSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLTYEKHRGPLGNVRKGVLVSMGYGTITAYALMSLEPRGTLFVNPGMETYDGMIVGEHSRDTDLDVNPVRNKELTNVRAASKDENVKLAPPRLIPSCLAEISILMRSLLGSRLVQISSPSWKLLIYSPA >ONI16871 pep chromosome:Prunus_persica_NCBIv2:G3:11731488:11746810:-1 gene:PRUPE_3G126600 transcript:ONI16871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNITEKFAVSSVSDTSIPLLPSQFLEMVGVLRSLWSSTRNSFSSSASSISPKPCLLPPRASALARAFSAATAASDATSPSSALNPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSIPWKEYELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPAEEQLDFPVLYASAKEGWASTTYTKDPPADARNMSRLLDAIISHVPPPTANLEAPFQMLVSMMERDFYLGRILTGRVSSGSIRVGDRVHGLRHKDSGVEKIEEGKVVKLMKKKGTHMALIDSAGAGDIVSMAGLASPSIGHTVANVEIMIALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLSAEAETNLAINVLPGLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENGQKLEPIEEVTIEVNEEHVGLVMEALSHRRAEIVDMGPVPGSVDRTRLSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLTYEKHRGPLGNVRKGVLVSMGYGTITAYALMSLEPRGTLFVNPGMETYDGMIVGEHSRDTDLDVNPVRNKELTNVRAASKDENVKLAPPRLMTLEEAIGYVASDELIEVTPKAIRLRKKYMDVNKRKSMSKRPKE >ONI16872 pep chromosome:Prunus_persica_NCBIv2:G3:11732054:11746510:-1 gene:PRUPE_3G126600 transcript:ONI16872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNITEKFAVSSVSDTSIPLLPSQFLEMVGVLRSLWSSTRNSFSSSASSISPKPCLLPPRASALARAFSAATAASDATSPSSALNPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSIPWKEYELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPAVSEEMCNEVESLIFDLFANLGASEEQLDFPVLYASAKEGWASTTYTKDPPADARNMSRLLDAIISHVPPPTANLEAPFQMLVSMMERDFYLGRILTGRVSSGSIRVGDRVHGLRHKDSGVEKIEEGKVVKLMKKKGTHMALIDSAGAGDIVSMAGLASPSIGHTVANVEIMIALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLSAEAETNLAINVLPGLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENGQKLEPIEEVTIEVNEEHVGLVMEALSHRRAEIVDMGPVPGSVDRTRLSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLTYEKHRGPLGNVRKGVLVSMGYGTITAYALMSLEPRGTLFVNPGMETYDGMIVGEHSRDTDLDVNPVRNKELTNVRAASKDENVKLAPPRLMTLEEAIGYVASDELIEVTPKAIRLRKKYMDVNKRKSMSKRPKE >ONI18660 pep chromosome:Prunus_persica_NCBIv2:G3:22833080:22843661:-1 gene:PRUPE_3G231000 transcript:ONI18660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGRQVFTVDLLERYAAKGRGVITCMAAGNDVILLGTSKGWIIRHDFGLGDSYDIDLSAGRPGEQSIHRVFVDPGGSHCIATVVGSGGADTFYTHAKWTKPRILTKLKGLVVNAVAWNRQQITEASTKEVILGTDNGQLHEMAVDEKDKKEKYVKFLFELLELPEAFMSLQMETGTILNGTRYYIMAVTPTRLYSFTGIGLLETVFASYLDHVVHFMELPGEIPNSELHFYIKQRRAVHFAWLSGAGIYHGGLNFGAQHSSPNGDENFVENKALLNYSSLSEGAELVKPSSMTVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQTPESVSRGVIGLCSDATAGLFYAYDQNSVFQVSVNDEGRDMWKVYLDMKEYAAALANCRDPLQRDQVYLVQAEAAFASKDYLRAASFYAKINYILSFEEITLKFITVNEQDALRTFLLRKLDSLAKDDKCQITMISTWATELYLDKINRLLLEDDTALDNRNSEYHSIMKEFRAFLSDCKDVLDEATTMRLLESYGRVEELVFFASLKELHEIVVHHYIQQGEAKKALEVLQKPSVPIDLQYKFAPDLIMLDAYEAVESWMATNNLNPRKLIPAMMRYSSEPHARNETHEVIKYLEYCVHRLHNEDPGVHNLLLSLYAKQEDDSALLRFLQFKFGKGRENGPEFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGAKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNNQIELLKQEMNDATHGADNIRNDISALAQRYAVIDRDEECGVCQRKILTVRKEYQLARGYTSVGQMAPFYVFPCGHAFHAECLIAHVTRSTNESQAEYILDLQKQLTLLDGEARKDTNGSLTEETITSMAPVDKLRSQLDDAVASECPFCGDLMIREISLPFILPEEQQQNNSWEINSRNLGNQRSLSLSL >ONI16128 pep chromosome:Prunus_persica_NCBIv2:G3:5893075:5899939:1 gene:PRUPE_3G079900 transcript:ONI16128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDINKVGRIQVIAFQSSDGKQHHMSRPISLSPVNKSPVIEVESSLSTSENEPARSFRAECPEQDTADSRTSGTIKGGGRSSDLGLLFANADANHLEKERIGGNHHTNTSDIIIADIDHMDMSESMQEAMHRSNLDMSGAEYVRKEVPLHVLPTSSLIKLDSPLLSFTELQRVLYEEERAAYNQAISQNMRDGKVHPLTYIHHTSMYQASMCKLIEYCLSPAINALQCRLRENEIRLAMLTEEVKNLETETHRASEQSSGSPRQVSSPRQILSPRQVASPIPRGSTPLGHRDLFSPSESISARSLAGSGSRSRKGS >ONI16127 pep chromosome:Prunus_persica_NCBIv2:G3:5891815:5899939:1 gene:PRUPE_3G079900 transcript:ONI16127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTGVKMSEDVWLTCLTHALSTETEEIMGLLLGDIENSVNGGVTALIWGASPQTRSDRRKDRVETNPEQLAAASAQAERMTTSTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDINKVGRIQVIAFQSSDGKQHHMSRPISLSPVNKSPVIEVESSLSTSENEPARSFRAECPEQDTADSRTSGTIKGGGRSSDLGLLFANADANHLEKERIGGNHHTNTSDIIIADIDHMDMSESMQEAMHRSNLDMSGAEYVRKEVPLHVLPTSSLIKLDSPLLSFTELQRVLYEEERAAYNQAISQNMRDGKVHPLTYIHHTSMYQASMCKLIEYCLSPAINALQCRLRENEIRLAMLTEEVKNLETETHRASEQSSGSPRQVSSPRQILSPRQVASPIPRGSTPLGHRDLFSPSESISARSLAGSGSRSRKGS >ONI16129 pep chromosome:Prunus_persica_NCBIv2:G3:5891815:5899939:1 gene:PRUPE_3G079900 transcript:ONI16129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDINKVGRIQVIAFQSSDGKQHHMSRPISLSPVNKSPVIEVESSLSTSENEPARSFRAECPEQDTADSRTSGTIKGGGRSSDLGLLFANADANHLEKERIGGNHHTNTSDIIIADIDHMDMSESMQEAMHRSNLDMSGAEYVRKEVPLHVLPTSSLIKLDSPLLSFTELQRVLYEEERAAYNQAISQNMRDGKVHPLTYIHHTSMYQASMCKLIEYCLSPAINALQCRLRENEIRLAMLTEEVKNLETETHRASEQSSGSPRQVSSPRQILSPRQVASPIPRGSTPLGHRDLFSPSESISARSLAGSGSRSRKGS >ONI16576 pep chromosome:Prunus_persica_NCBIv2:G3:8612389:8613421:-1 gene:PRUPE_3G107600 transcript:ONI16576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAGERSLKETPTWAVAIACAVFILISIIIEQAIHALGKWFQKRNKKAMLEALEKIKAELMLLGFISLLLTVGTRYLPKICIPEKYGNTMLPCELESKYKEDGGGKDDGKNKGGGGDGGDDNDRRRKLLEFAETMIWRRVLAPSGGDSFACSEGKVPLISPSGMHQLHIFIFVLAVFHVVYSVITMALAQAKVSTITL >ONI19593 pep chromosome:Prunus_persica_NCBIv2:G3:25882201:25886788:1 gene:PRUPE_3G286300 transcript:ONI19593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEINPPRSSRKRGTSSDHQGDTSSAARPTTPRESRYAVDDAGDRIECSGKYCKSCSGALIADCVALCCCPCALLNLLTLAFVKVPWMVGRKCLGLGKSKGQKRKSKRKCSSIDDDDDHHHRCEVERKEEERMPEISSDQMECVSARLEAERVWLELYQIGHLGFGRVSFSGMQSLGKAN >ONI15447 pep chromosome:Prunus_persica_NCBIv2:G3:3104399:3105332:1 gene:PRUPE_3G043600 transcript:ONI15447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTWKGCDDWLQFLNVGNLLIDGSGENDGDDCIAINGGCSDLNIANIECGPGHGIRYGGSGYARNITFEKITLDATKNPIIIDQFYCDRDHDCKSQPSALSVDYVKYIDFEGTSASEEAIKLDCDQNSGCHNIIMDCINITSAVLGKKIYASCNNDIGTSLGTTMGTRSGRYVLHIWSY >ONI15686 pep chromosome:Prunus_persica_NCBIv2:G3:3930876:3935501:1 gene:PRUPE_3G055500 transcript:ONI15686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYPSINGQIDEMLRNDEINTREILARGCFVSGFISIDCGLPETSAYSEQETGINFVSDATFIDTGETKFIQSNDLLTLNYQPYRYLRTFPQGIRNCYNINVTFGTEYLIRAGFYYGNYDAKNKLPEFQLHLGPNLWDTVNFTFSWADTTKEMIYVPVKNYIQLCLVNTSKGVPFISTIELRPLPAKSYVTQTGSFLGLFSRYPNDIHDRIWKADYLGGWAELSTSPNLFTDSNQNSLETPPLVMSTAATPKNASEPLDFYWFSNDNSTEYYIYMHFAEVLQLQPNQSRKFNITRNGKVFYGPMAPAYLNTTTIYGRVRPTGFLGQFFSFSILKTEKSTLPPILNAYEVYSVNQLLEQETSQADFDAITNIKLAYQIKKINWQGDPCSPQVYSWDGLKCIYPTNASARIISLNLSSSGLTGEIDPSLSNLAMIQTLDLSNNKLTGPVPDFLSQLQNLTVLNLEQNQLTGPVPAGLVERWNDGLLSLSLCENPNISGNVYCNDNKKKKKKKKHGFLIPVVVSVVGVSVLLLSAIAIWWWGFRKKSKQRDNVSEAQPTIQLESTKRQLTCSEILQITNNFERVLGRGGFGTVYHGYVGDTQVAVKMLSPSSVQGFQQFHSEVNLLTRVHHRNLTSLVGYCEDETKIGLVYEYMANGNLQECLSDRNILSWEDRLRIAVDAAQGLEYLHYGCKPPIIHRDVKSTNILLSENFQAKLSDFGLSRIFPTDTGTHISTVVAGTPGYLDPDYYASNRLNEKSDVYSFGIVLLEIITSRPVFSKTHEKIYISEWVGFMLANGDIYSILDPRLGGNFNTNSVWKAVEIAMACVCKDSIERPIMSQVVVELKECLAMELARTKQSHQTESINSIGIMPNNSIAMLHPSVR >ONI17562 pep chromosome:Prunus_persica_NCBIv2:G3:18573562:18578243:1 gene:PRUPE_3G167100 transcript:ONI17562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEAAAATFNNPIRPLPSNLCSSPISRQINFPYQRCLLGRYNSVKGLQLYSRGSLQYTGGVVCAVNGGGRGYVSSWDEKPYEYLPTGRKSYLDEQDIVTFLDPPKDLIPLDSASYNPAAYLWKKIDDIPPERRHRLLRLLEPRLISRAWEIAGTRYEDPNLAKRSASKLLSNEDGAISLEYYNCRTNGGPMPISWINSFKKGMCNWMFCKFQAIFSWKDGEIYGRFIGGSFVTGLANSFSPLYFVVRQLKEVMPTEQPCDLAYEFGDGLFDLHEYPEGFPKPVKHPYPFNDQVVVYVRHLGPGVSVGQAWQEGKELQQVPQKLCSEILMVKDYSALRENQ >ONI17563 pep chromosome:Prunus_persica_NCBIv2:G3:18573562:18578243:1 gene:PRUPE_3G167100 transcript:ONI17563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEAAAATFNNPIRPLPSNLCSSPISRQINFPYQRCLLGRYNSVKGLQLYSRGSLQYTGGVVCAVNGGGRGYVSSWDEKPYEYLPTGRKSYLDEQDIVTFLDPPKDLIPLDSASYNPAAYLWKKIDDIPPERRHRLLRLLEPRLISRAWEIAGTRYEDPNLAKRSASKLLSNEDGAISLEYYNCRTNGGPMPISWINSFKKAIFSWKDGEIYGRFIGGSFVTGLANSFSPLYFVVRQLKEVMPTEQPCDLAYEFGDGLFDLHEYPEGFPKPVKHPYPFNDQVVVYVRHLGPGVSVGQAWQEGKELQQVPQKLCSEILMVKDYSALRENQ >ONI17755 pep chromosome:Prunus_persica_NCBIv2:G3:19421402:19423806:-1 gene:PRUPE_3G177100 transcript:ONI17755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKASLILVLLVIFSCTLVAIAGIHNHNNQTPNGSTLAGMEVPEHMSFNAVSSSSHTGCSLSSSRKTKQSDSTMEKAVSDNEESDDDEDEVADDSVTKMRTHKQSVKLHLRHRSQNRESERKSSVIESTVRDLVRIQTLHTRIVEKKNQNTISRLQKDKKVHEFKPVVAPAASPESYTSELSGQLQATLKSGVSLGSGEYFMDVFIGTPPKHFSLILDTGSDLNWVQCAPCYACFEQDGPHYDPKDSTSFRDISCQDPRCRLVSSPDPPQPCKAENQTCPYFYWYGDSSNTTGDFSLETFTVNLTSHTGKTDFKRVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSDTNVSSKLIFGEDKELLSHPKLSYTSLVGGKENPADTFYYVQIKSIMVGGEVVDIPEETWNLTPEGAGGTIIDSGTTLSYFADPAYQIIKEAFSKKVKGYPVVKDFPFLDPCYNVSGVEKIVLPEFAILFADGAVWDFPVENYFIQIDPQEVVCLAVLGTPKSGLSIIGNYQQQNFHILYDTKKSRLGYVPMKCADV >ONI16713 pep chromosome:Prunus_persica_NCBIv2:G3:10009611:10010760:-1 gene:PRUPE_3G117200 transcript:ONI16713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAYVFLGGGHAEELGIMQDNSGTILLLCMILMSLTLISMVIFACGDDEGSSKKRYGGGGGGIVGDGGAACGGGGGCGGGGCGGGGC >ONI16714 pep chromosome:Prunus_persica_NCBIv2:G3:10009977:10010246:-1 gene:PRUPE_3G117200 transcript:ONI16714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGAYVFLGGGHAEELGIMQDNSGTILLLCMILMSLTLISMVIFACGDDEGSSKKRYGGGGGGIVGDGGAACGGGGGCGGGGCGGGGC >ONI19862 pep chromosome:Prunus_persica_NCBIv2:G3:26606519:26609651:1 gene:PRUPE_3G301800 transcript:ONI19862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDLQVEEAFAFWGTPEGDLVHPAECMQQLLEKVRRHRVNVDGNVCTVMVTTLVLEGWQRKFDPRYNVMQTLLLKAG >ONI19861 pep chromosome:Prunus_persica_NCBIv2:G3:26606519:26609651:1 gene:PRUPE_3G301800 transcript:ONI19861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDLQVEEAFAFWGTPEGDLVHPAECMQQLLEKVRRHRVNVDGNVCTVMVTTLVLEGWQRKFDPRYNVMQTLLLKAG >ONI14798 pep chromosome:Prunus_persica_NCBIv2:G3:588690:590069:1 gene:PRUPE_3G009300 transcript:ONI14798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRKWKLLSKRRLMLLCAGRYESLLHRVSRT >ONI18366 pep chromosome:Prunus_persica_NCBIv2:G3:21637047:21640681:1 gene:PRUPE_3G211500 transcript:ONI18366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTKPHHCGASNKSNTFVVQLYLLLLLITLNISTTSQAFNYTDALTKSLLYFESQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTILSWSVIEFRQQIAAAGELEHAMEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKIDETHPGSDLAGETAAAMAAAALVFKKTNPHYAHLLLHHAQQLFEFGDKYRDKYDGSLGVVKSYYASVSGFQDELLWAALWLYKATDNERYLKYVISKAHSFGGIGWAITEFSWDVKYAGLQLVASKLLIEEKHKQHSHILELYRSKAEHYICSCLNKNNDTKNVERTPAGLLYIRQWNNMQYVSTAAFLLTVYSDFLKSSNQKLSCHGGMVGHEEVLDFAKSQIDYILGSNPMNMSYLVGYGPKYPQRVHHRGASVESYRENKGFIGCTQGYDNWYGREEPNPNVLVGALVGGPDCQDNFVDQRDNYMQTEACTYNTAPLVGVFAKFSEVEVQKMDQSMGLVASY >ONI15774 pep chromosome:Prunus_persica_NCBIv2:G3:4357105:4358154:-1 gene:PRUPE_3G060500 transcript:ONI15774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNNLPVVAKKIWSLVRVAFFMLRKGISKRKLLLDLNMMMKRGKLASKALSNLMFHHHHHHHSQSHSHHCSAASSAAPREYEFSCSNTPNYTFHLMGKRRHQSHHHNFFACAHAPPTQDDEVAAAGVVKAVLEMLNNEVMAAEAVASVAASPLPGFGKSPMVRQLRITDSPFPLREADEDSHVDKAAEEFIEKFYKNLWQQNRMQD >ONI15741 pep chromosome:Prunus_persica_NCBIv2:G3:4127758:4129313:1 gene:PRUPE_3G058400 transcript:ONI15741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKRKRETQKEDEPELVKKERSLSLPECEWIRGRMLGKGGFGSVYLASAKKPKLGGEGLPAIMAVKSGRVFESPELLMERTLLKIFGDCPFVIHCYGSDMTADAENKCTYNVFMEYADGGTMRDLITKSGGCGLLEPQVRKYTGCLLKGLQYIHVRGCVHCDLKPENILLVSNSDGDFVPKIGDFGLAKFAVYKKKSRKEPACQGTAIYFSPEVVLYGNQEKPSDIWALGCVVLEMLTGRWPWDLEAGATLGDLQLLIASKVPTVPGWLSEDAKDFLRKCFVRNPSERLEAAKLLNHPIVTKLNGLGEVKVEPLKKQVSAVPSSENCEKAGGSKPGDAEEILPLAVLYPGDSKPVILPTTVCPKPSNVAITGAA >ONI18533 pep chromosome:Prunus_persica_NCBIv2:G3:22178543:22178896:-1 gene:PRUPE_3G221100 transcript:ONI18533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGVEESGFRQPPVSSPTGYVCCELCSKRASLYCLADDAYLCRKCDQWVHEANFLALRHVRCLLCNTCQNLTQRYVVRISVEVMLPTILSWAERKRCSSNNKRRRSTTLKRPFLFM >ONI14929 pep chromosome:Prunus_persica_NCBIv2:G3:1178126:1179459:1 gene:PRUPE_3G016500 transcript:ONI14929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMLRIVLAITIFTTMAAVASSAASPVTFVFGDSLTEVGNNNYLQYSLARSNYPWYGVDYAGGQATGRFTNGRTIGDIISEKLGIPSPPPYLSVSQNDDALLKGVNYASGGAGILNDTGLYFIQRLSFDDQIQNFNKTKEAIKAKIGGEAAAKLCSEAIYFIGIGSNDYVNNYLQPFLADGQQYTYDEFVELLITTLEGQLMRLYKLGARKMVFHGLGPLGCIPSQRVKSKTGQCLKRVNEWVIEFNSKVQKLVASLNRRLPKAKLAFADTYGDVFDLINNPAAYGKLN >ONI14928 pep chromosome:Prunus_persica_NCBIv2:G3:1178126:1180908:1 gene:PRUPE_3G016500 transcript:ONI14928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMLRIVLAITIFTTMAAVASSAASPVTFVFGDSLTEVGNNNYLQYSLARSNYPWYGVDYAGGQATGRFTNGRTIGDIISEKLGIPSPPPYLSVSQNDDALLKGVNYASGGAGILNDTGLYFIQRLSFDDQIQNFNKTKEAIKAKIGGEAAAKLCSEAIYFIGIGSNDYVNNYLQPFLADGQQYTYDEFVELLITTLEGQLMRLYKLGARKMVFHGLGPLGCIPSQRVKSKTGQCLKRVNEWVIEFNSKVQKLVASLNRRLPKAKLAFADTYGDVFDLINNPAAYGFKVSNTSCCNVDTSLGGLCLPNSKMCTNRNDYVFWDAFHPSDAANAVLAEKLFSRLFPQPPSLAPAPSH >ONI14694 pep chromosome:Prunus_persica_NCBIv2:G3:178171:185447:-1 gene:PRUPE_3G002700 transcript:ONI14694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATHLCESPLVKHHHPCVSLSPLCSSKLSHTLLDLKKSHLGTFSFGGKTFQMPKTSRMASRRRRRMQAVASLGGLLGGIFKGTDTGESTRQQYASTVSVINGLEAQMSALSDSELREKTRLFQERAKQGESLDSLLPEAFAVIREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALIGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTSEQRRENYLCDITYVTNSELGFDYLRDNLATETECISSYVQSVEELVLRNFNYCVIDEVDSILIDEARTPLIISGPAEKPSDRYYKAAKIAAVFEQEIHYTVDEKQKTVLLTEQGYEDSEEILGVKDLYDPREQWASYVLNAIKAKELFLRDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKPMIRKDESDVVFRATTGKWRAVVVEISRMHKTGRPVLVGTTSVEQSDSLSEQLQEVGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVKLTEGGYVSVKKLPPKKTWKVNENLFPCKLSNEKTKLAEEAVKLAVDTWGQRSLTELEAEERLSYSCEKAPAQDPVIDKLRSAFLEIVREYKVYTEEERKKVVSAGGLHVVGTERHESRRVDNQLRGRTGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEFDEVLNSQRDRVYTERRRALESDNLQSLIIEYAELTMDDILEANIGSDASKESWDLEKLIKKLQQYCYLLNDLTPDLLRSKCSSYEDLQDYLRRRGREAYLQKRDIIESKAPGLTKDAERFLVLSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLEMMAQIRRNVIYSIYQFQPVLVKKDQDQRENKSSTEVVTNGRGNNNPDPVNAIESSSAAATPQSSA >ONI17524 pep chromosome:Prunus_persica_NCBIv2:G3:18394284:18405801:-1 gene:PRUPE_3G164900 transcript:ONI17524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVAEHHGNDDELKDEEEEYEVQDLRDRIKSSRGSRFNLIKNELGLDDDSSSILRRFSRQSLINGVKGLSHGVIHPDNWWYRAWTKFILVWAVYSSFFTPFEFGFFRGLEEKLFILDVVGQVAFLLDIILQFFLAYRDGQTYRMVYKRTPIALRYLKSNFIIDLLGCMPWDNIYKVCGRREEVRYLLWIRLSRVLKVTKFFKDLEKDIRINYNFTRIIKLIVVELYCTHTAACIFYYLATTLPASQEGYTWIGSLKLGDYSYSNFRDIDLWKRYTTSMYFAIVTMATVGYGDIHAVNLREMIFIMVYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMTDVIKYMNRNRLGKDLRNQIKGHLRLQYESTYTEAAVLQEIPASIRSKISHTLYFPYIESVPLFKGCSAEFINQIVIKLHEEFFLPGEVIMEPGNVVDQLYFVCHGELEEVGIAEDGTEETVSQLVPNSSFGEISILCNIPQLYTVRVCELCRLLRLDKQSFTSILDIYFYDGRKILNNLLEGAPRIKQLESDITFHIGKQEAELALKVNSAAYHGDLFQLKGLIRAGADPNKTDYDGRSPLHVAALRGHEDITLFLIQEGVDINIKDTFGNTPLLEATKNGNDRVSSLLIKEGASLEMDNAGSFICTAITRGDSDFIKRLLSNGIDPNSKDYDHRTPLHVAASEGLYMMAKLLLEAGASVFSKDRWGNTPLDEGQMCGNKNLIKLLEEAKATQLTESPYRAQVLTDKLHPKKCTVFPFHPWDGKEQRRPGIVLWVPTTIQELIKTATDLLEFSSGSFIILSEDGGKILDVDLINDGQKLYLVSDTHLT >ONI17525 pep chromosome:Prunus_persica_NCBIv2:G3:18394190:18405879:-1 gene:PRUPE_3G164900 transcript:ONI17525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVAEHHGNDDELKDEEEEYEVQDLRDRIKSSRGSRFNLIKNELGLDDDSSSILRRFSRQSLINGVKGLSHGVIHPDNWWYRAWTKFILVWAVYSSFFTPFEFGFFRGLEEKLFILDVVGQVAFLLDIILQFFLAYRDGQTYRMVYKRTPIALRYLKSNFIIDLLGCMPWDNIYKVCGRREEVRYLLWIRLSRVLKVTKFFKDLEKDIRINYNFTRIIKLIVVELYCTHTAACIFYYLATTLPASQEGYTWIGSLKLGDYSYSNFRDIDLWKRYTTSMYFAIVTMATVGYGDIHAVNLREMIFIMVYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMTDVIKYMNRNRLGKDLRNQIKGHLRLQYESTYTEAAVLQEIPASIRSKISHTLYFPYIESVPLFKGCSAEFINQIVIKLHEEFFLPGEVIMEPGNVVDQLYFVCHGELEEVGIAEDGTEETVSQLVPNSSFGEISILCNIPQLYTVRVCELCRLLRLDKQSFTSILDIYFYDGRKILNNLLEGAPRIKQLESDITFHIGKQEAELALKVNSAAYHGDLFQLKGLIRAGADPNKTDYDGRSPLHVAALRGHEDITLFLIQEGVDINIKDTFGNTPLLEATKNGNDRVSSLLIKEGASLEMDNAGSFICTAITRGDSDFIKRLLSNGIDPNSKDYDHRTPLHVAASEGLYMMAKLLLEAGASVFSKDRWGNTPLDEGQMCGNKNLIKLLEEAKATQLTESPYRAQVLTDKLHPKKCTVFPFHPWDGKEQRRPGIVLWVPTTIQELIKTATDLLEFSSGSFIILSEDGGKILDVDLINDGQKLYLVSDTHLT >ONI18729 pep chromosome:Prunus_persica_NCBIv2:G3:23034339:23035086:-1 gene:PRUPE_3G234900 transcript:ONI18729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKTRTSFLPLISILVVLLAITGQAVAGRSVPTKDDKKQPEWFIGHDGSYLIPGLGRVLIPPRHNVVPQTPFPNNGRTGSTGGSGSGQTPSTGHDYVPGGDDTFVPNPGYEVPIPGNGAGNTQPTPTSP >ONI19097 pep chromosome:Prunus_persica_NCBIv2:G3:24552988:24556678:1 gene:PRUPE_3G258500 transcript:ONI19097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYITFNHCTGFFCVYMCCLIEQCKVGKQKADPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGSLIAGAKYRGEFEDRLKAVLKEVTESEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDGALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASKERLNRLEAELSLLKEKQAELAEQWEHEKSVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLVGAEKELDEYMKSGKSMLREEVTGNDIAEIVSKWTGIPVSKLQQSEIEKLLHLEDELHKRVVGQDPAVKSVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYSVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDDDTMPKDLAYDTIKKRVMEAARSIFRPEFMNRVDEYIVFQPLDRDQISRIVKLQLNRVQKRIADRKMKVKVSDAAIQLLASLGYDPNYGARPVKRVIQQYVENELAKGILRGDFGEEDTVFIDTEVTAFSNGQLPQQKLLFKRLETDDSESPAAENQEAFSETL >ONI19095 pep chromosome:Prunus_persica_NCBIv2:G3:24551377:24556994:1 gene:PRUPE_3G258500 transcript:ONI19095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATSFASGVGLPLPQSVSSKWCNKAAIFARPHISLSFHARTESFRALTSRQLSQNGAFRTGLRRNSRSSRPFVVRCDASTGRITQQDFTEMAWQSIVSSPEVAKENKHQIVETEHLMKALLEQKNGLARRIFSKAGIDNTRLLEATDKYIQRQPKVLGESAGSMLGRDLEALIQRARDYKKEYGDSFVSVEHLVLGFTQDQRFGKQLFRDFQISKETLKSAIESIRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGSLIAGAKYRGEFEDRLKAVLKEVTESEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDGALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASKERLNRLEAELSLLKEKQAELAEQWEHEKSVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLVGAEKELDEYMKSGKSMLREEVTGNDIAEIVSKWTGIPVSKLQQSEIEKLLHLEDELHKRVVGQDPAVKSVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYSVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDDDTMPKDLAYDTIKKRVMEAARSIFRPEFMNRVDEYIVFQPLDRDQISRIVKLQLNRVQKRIADRKMKVKVSDAAIQLLASLGYDPNYGARPVKRVIQQYVENELAKGILRGDFGEEDTVFIDTEVTAFSNGQLPQQKLLFKRLETDDSESPAAENQEAFSETL >ONI19096 pep chromosome:Prunus_persica_NCBIv2:G3:24552304:24556994:1 gene:PRUPE_3G258500 transcript:ONI19096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGESAGSMLGRDLEALIQRARDYKKEYGDSFVSVEHLVLGFTQDQRFGKQLFRDFQISKETLKSAIESIRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGSLIAGAKYRGEFEDRLKAVLKEVTESEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDGALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDKASKERLNRLEAELSLLKEKQAELAEQWEHEKSVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLVGAEKELDEYMKSGKSMLREEVTGNDIAEIVSKWTGIPVSKLQQSEIEKLLHLEDELHKRVVGQDPAVKSVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYSVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDDDTMPKDLAYDTIKKRVMEAARSIFRPEFMNRVDEYIVFQPLDRDQISRIVKLQLNRVQKRIADRKMKVKVSDAAIQLLASLGYDPNYGARPVKRVIQQYVENELAKGILRGDFGEEDTVFIDTEVTAFSNGQLPQQKLLFKRLETDDSESPAAENQEAFSETL >ONI18433 pep chromosome:Prunus_persica_NCBIv2:G3:21831316:21832141:-1 gene:PRUPE_3G215600 transcript:ONI18433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFIPKLLLVLAFAAFSAGARRSELELKETHLSLFFHDIASGPNFTLIPVAGIAGKLWTFDQFGTAFVTDDPLTETPDRNAPIVGRGQGIYVTSGLDGRNTHVLISIVFTNKKYNGSTLEIQGTSKQFEKVREVSVVSGTGQFRLARGYATFETYLWDPATFYSVERCNVTVQHY >ONI15981 pep chromosome:Prunus_persica_NCBIv2:G3:5176368:5179052:1 gene:PRUPE_3G072100 transcript:ONI15981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRIPVNDRNRILFTEFSNNDPKNQNDPPNFSIYSIMKSAERQYKCKTQSKWLFQLLNLGLFFFFFLGSIEYIEKRR >ONI17921 pep chromosome:Prunus_persica_NCBIv2:G3:20080202:20081206:-1 gene:PRUPE_3G186600 transcript:ONI17921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIQQIALPVLGIVAAAAVTFYAVSFAELSEKSFRDLDEKDNEIVGYKPSPSSREKRSRRKAEKQAKG >ONI19207 pep chromosome:Prunus_persica_NCBIv2:G3:24864863:24868674:-1 gene:PRUPE_3G264300 transcript:ONI19207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCTCPPRVFTSSQPGPRPRLAGRVDATAPFHGLSSLILRFPPNFVRQLSTKARRNCSNIGVAQIVAASWSNNNSNSGIPAAPSATAVDAAATAAIPVDPAKLSAGGDEVVFENDVQLEALPDLKEASFLSSDGSLAIHAGERLGRGIVTDAITTPVVNTSAYFFKKTADLIDFKEKRATSFEYGRYGNPTTVVVEEKISALEGAESTMILASGMCASTVMLMALVPAGGHIVTTTDCYRKTRIFIETILPKMGITATIIDPADVGALESALNEHKVSLFFTESPTNPFLRCVDIKLVSELCHKKGALVCIDGTFATPLNQKALALGADLVVHSATKYIGGHNDVLAGCISGSLKLVSEIRTLHHILGGALNPNAAYLIIRGMKTLHLRVQQQNSTASRMAKILEAHPKVARVYYPGLSSHPEHQLAKRQMTGFGGVVSFEIDGDLMTTIKFVDALRIPYIAPSFGGCESIVDQPAIMSYWDLSQSERITYGIKDNLVRFSFGVEDFEDLKADILQALETI >ONI17659 pep chromosome:Prunus_persica_NCBIv2:G3:19056520:19057214:1 gene:PRUPE_3G172500 transcript:ONI17659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQATILITSHVTPNPNVLEKNSKSQPGQSLYAHMQHTLIPHTLIPSTTKIITRNTKTKFLNEPPERAGYCGNKTDTTGLSDKIDIHFISNGDDCLTS >ONI18545 pep chromosome:Prunus_persica_NCBIv2:G3:22256663:22258333:1 gene:PRUPE_3G222100 transcript:ONI18545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKKLLDDPPVVEDSDEETVDEETVDEEELEEEDDAVEAEDGKAKSGDDNHDGDEEEGEEDADEEDEDEEDNEEKKEKALLTSSSAVSNSNPQWPSASEDDSGSGSETESENNSQSPSASEFTIKPVVSKPMNDPVKPNKKNAPAKPAPASNSGSKRPETELNKKNAPSSSNSGSKRRAETEPNPKDSKKKKVVNSGDDDDGKKGRLWSEDDEIAILKGMIEYQVKNGPVTNSTMGAFHEFIKKKLQVDVSKLQLSDKIRRLKMKYQKKGENGEDPVFSKPHEVKSFDLSKKVWSVEANGVDDNAKDSKRKPRKSSKVDKATVLALPVSDVAEKKEELNVANGGIKADPVDFWSKYPCLSGSLGLDNSTPRSDLTTLMVQKMPLIGKSKAKELEGKWRALQLIEMELSVKKLALMQEQAKFVLDSMKSSED >ONI14998 pep chromosome:Prunus_persica_NCBIv2:G3:1476572:1479198:-1 gene:PRUPE_3G020200 transcript:ONI14998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSNTFGWFSGCHLWLLAFAACLVLLGALSRSSHKVFSMEGSKYQLSMKTPLKGSGYPPVFAYWICGTNGESEKILRLLKAIYHPRNQYLLQLDAGSSDYDRGQLALYVQSEKVFQAFGNVNVVGKSYALNQMGSSALAATLHAAALLLKLSADWDWFITLSASDYPLIPQDDLLHAFTLLPRNTNFIHFTNKTGWKEQKNMDRIVVDPSLYLQESTPLMYAVENRSMPDAFKIFGGSPWMILTRDFMEYCVKGWDNFPRKLLMYISNVPYPLESYFHTVICSSTEFQNSVVNNDLRYIVWDSNALGESQVLSMSHYDQMLASGAAFARPFQADDPVLNKIDENVLNRSSKGLVPGEWCPDLGISKSLENSTAQEELCPAWDNINSVKPGPRGISLRELLPKLAVEGRFTTSHCQEH >ONI16924 pep chromosome:Prunus_persica_NCBIv2:G3:13295068:13297382:-1 gene:PRUPE_3G130300 transcript:ONI16924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLTQTIKQLGFARVTWGILLEASHLEEVEEFPPSPAEAKAQLAPPARWLPGQPAQPTPCARPRRKRSKEDEGILGKYSCGKICSHNDQLASSIEAKLREKSSLKSRRCIFKIPNMWRKHNREAFIPNLVSIGPYHHGKNKLQAMEETKLWYLHCLLARQPTPDTNLKSSEQYYLDCYAEKFDNLSRDEFVEMMVVDGCFLIELFRKSSDPDLVQNNDPTVTPWLPWRVLKCLFDITWIPGMLTLPELALNFLQASIFEKEPKLNSVAKHLHLLDFVRNCMLRSCDQRDPKEFTWHLIPSVTELLQDGVKFECGKKDDMFNVTFENGVMKIPPITVLGESLFRNLVAYEQCDPNIISSNNPFSSYAFLVDNLINSSKDVNFLVEKKIMRCFMSLEEAASFARLNNNDCLTTFSYVDLFENVTKYHQDKWHTWGERLKRDYFGNPWSAVSLVAVVVILGLTFIQTLYSILSYKFK >ONI17882 pep chromosome:Prunus_persica_NCBIv2:G3:19987291:19988220:1 gene:PRUPE_3G185200 transcript:ONI17882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLVFIPGPGIGHLVSTVEIAKQLAARDHQLFITVLIMKLPFDQLFTNTDASISRRINFINLPEAHVDTRNTGPSSFFKTFVENHKTHVRAAVLESSTQSESNQARLAGLVVDMFCTTMIDVANEFGVPSYVFFTSNAGCLAFLLYLQKLRDEHGTDAAQLMDSEAELAIPSFVNSLPAHVLPGVLLDKEGATTFLNHAKRFRETKGILVNSFSELESHALHSLSDDKTPPVYPVGPLLNLESDDFHVSTDKARQKYDILRWLDDQPSLSVVFLCFGSMGSFGEAQVKEMACALEYGGFRFLWSLRKV >ONI16685 pep chromosome:Prunus_persica_NCBIv2:G3:9756416:9758969:-1 gene:PRUPE_3G115500 transcript:ONI16685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDEYRRKERLALVIIVVLASLAVASLLVAFSYYCYIRNKVAKRFENHKGFGNEDKGAFSNLQVASSKGLQVFTFKQLHSATGGFSKSNVVGKGGFGLVYRGVLHDGRKVAIKFMDEAGKQGAEEFKMEVELLSRLCSPYLLALLGYCSDNNHKLLVYEFMENGGLQEHLYPRSPNAPSMKLDWETRLRIALEAAKGLEYLHEHISPPVIHRDFKSSNILLDKYFHAKVSDFGLAKLGSDKAGGYVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPSGEGVLVSWALPQLTDREQVVQIMDPALEGQYSLKEVIQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKIHRSTSKVGSSSSFHATKSPTPQSSKACL >ONI16686 pep chromosome:Prunus_persica_NCBIv2:G3:9755883:9759174:-1 gene:PRUPE_3G115500 transcript:ONI16686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDEYRRKERLALVIIVVLASLAVASLLVAFSYYCYIRNKVAKRFENHKGFGNEDKGAFSNLQVASSKGLQVFTFKQLHSATGGFSKSNVVGKGGFGLVYRGVLHDGRKVAIKFMDEAGKQGAEEFKMEVELLSRLCSPYLLALLGYCSDNNHKLLVYEFMENGGLQEHLYPRSGPNAPSMKLDWETRLRIALEAAKGLEYLHEHISPPVIHRDFKSSNILLDKYFHAKVSDFGLAKLGSDKAGGYVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPSGEGVLVSWALPQLTDREQVVQIMDPALEGQYSLKEVIQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKIHRSTSKVGSSSSFHATKSPTPQSSKACL >ONI14886 pep chromosome:Prunus_persica_NCBIv2:G3:1034166:1035685:-1 gene:PRUPE_3G014500 transcript:ONI14886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVILLDCWASPFCMRVKIALEEKGIKYENRAEDLFGGKSELLLTSNPIYGKVPVLLHNGKPLCESSIIVGYIDETWASPPLLPSCPYGRAQAKFWADFIDKKLFDAGGNIWKTKGEAQAVAVKDFIEILKQLEGGLGDKDYFGGDSFGFVDIIAIAIISWFNAFERFGNFKVEDHTPKFSAWIKRCLQRETVAKIIPDPEKVYEFVLLLKKMNGIE >ONI16614 pep chromosome:Prunus_persica_NCBIv2:G3:9030530:9030850:1 gene:PRUPE_3G110200 transcript:ONI16614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYMWNVQEVDDPMPFNAKVKELLSGLSTKASSNPKFYATGELELSSSETLYALTQCTWDLSSSNCKKCLDNAISELPNCCDAKRGGRVVGGSCNFRYELYPIVDP >ONI18216 pep chromosome:Prunus_persica_NCBIv2:G3:21053625:21059257:1 gene:PRUPE_3G202600 transcript:ONI18216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDSGKLFIGGISWDTNEERLKEYFSTFGEVVEAVIMKDRTTGRARGFGFVVFADPAVADSVIMEKHNIDGRMVEAKKAVPRDDQNILGRSSGSIHGSPGPGRTRKIFVGGLASTVTESDFKKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKTFHELNGKMVEVKRAVPKELSPGPSRSPIGGYNYGLSRVNSFLNGYTQGYTPNTVGGYGLGRFSPVAGGRSGFPPFNSGYGMGMNYEPGLSPGFGGNTNFNSNISYPRGVSPYYINNSNRFSSPVGYDGGNGGNTSSFFSSVTRNLWGNGGLNYGTNSQSSSAYMGSGSGTIGGSTFGNTGVNWRSSAISAQGGGNNVSNNSGNLGYGVGDNSYGLGTGGYGRNSGTSVAPTSSFAVSNGGFDGSFSDFYNGNSVYGDPTWRSSNSEREGSVPFGYGLGGATSDVSAKSSPGYVGGYSVNKRQSNTGIAA >ONI18217 pep chromosome:Prunus_persica_NCBIv2:G3:21053625:21059257:1 gene:PRUPE_3G202600 transcript:ONI18217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDSGKLFIGGISWDTNEERLKEYFSTFGEVVEAVIMKDRTTGRARGFGFVVFADPAVADSVIMEKHNIDGRMVEAKKAVPRDDQNILGRSSGSIHGSPGPGRTRKIFVGGLASTVTESDFKKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKTFHELNGKMVEVKRAVPKELSPGPSRSPIGGYNYGLSRVNSFLNGYTQGYTPNTVGGYGLGRFSPVAGGRSGFPPFNSGYGMGMNYEPGLSPGFGGNTNFNSNISYPRGVSPYYINNSNRFSSPVGYDGGNGGNTSSFFSSVTRNLWGNGGLNYGTNSQSSSAYMGSGSGTIGGSTFGNTGVNWRSSAISAQGGGNNVSNNSGNLGYGVGDNSYGLGTGGYGRNSGTSVAPTSSFAVSNGGFDGSFSDFYNGNSVYGDPTWRSSNSEREGSVPFGYGLGGATSDVSAKSSPGYVGGYSVNKRQSNTGIAA >ONI16620 pep chromosome:Prunus_persica_NCBIv2:G3:9162613:9164180:-1 gene:PRUPE_3G110800 transcript:ONI16620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFVAIVHILSLCDCSLSTGYASTILRKNGQLSELISELQPLFNGTGEQSEGDFYAIASQVRRLAAVVRQLDSSLQRAVLKGNDSQIASCKTINSLHVCLTSLIMPTATLGALGYGYMWWKGLKFSDLVYVTKRSMNAAVSKLHKRLESVTEAIANTKKHLTQRVQNLDDKLLEHKYIEKSIVDNVCHFLHIMSF >ONI16410 pep chromosome:Prunus_persica_NCBIv2:G3:7309959:7314579:1 gene:PRUPE_3G096600 transcript:ONI16410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNEEVPSAPSTPVTPGTPGAPLFGGFKADHRSSGIGRKSLLKSCSRCFTVEDWALEEGTLPKVSCALPHPPVSLARKVGAEFLGTFILIFAGTATAIVNQKTQGTETLIGLAASTGLAVMIVILSTGHISGAHLNPSVTIAFAALKHFSWKHVPVYVGAQVLASICAAFALKVIFHPIMGGGVTVPSGSYGQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGETTGASMNPVRTLGPAIAANNYKAIWVYLTAPFLGALFGAGTYTAVKLPEEDGDHIEKPSTRSFRR >ONI15780 pep chromosome:Prunus_persica_NCBIv2:G3:4387305:4388287:1 gene:PRUPE_3G061100 transcript:ONI15780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGCCSIELEPRTLSEGQLNHARELAADVVEKMEPEEASAIFIQGLRPVGSMKEMVHMVAEEGKQLQSKVVEWKEAQILETPPCQCLCSTVKIESPDQGTLTEPLSAPF >ONI15781 pep chromosome:Prunus_persica_NCBIv2:G3:4387305:4388134:1 gene:PRUPE_3G061100 transcript:ONI15781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEEASAIFIQGLRPVGSMKEMVHMVAEEGKQLQSKVVEWKEAQILETPPCQCLCSTVKIESPDQGTLTEPLSAPF >ONI18287 pep chromosome:Prunus_persica_NCBIv2:G3:21397649:21398309:1 gene:PRUPE_3G207400 transcript:ONI18287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDRTGYPIVEPAHMVQSWRNHQFMLHSIPVLSGANRVIVSPFFLLPGRHLNQDIPYLTAEAAKEHPGVSYIVTTPLGLHPLLVI >ONI14832 pep chromosome:Prunus_persica_NCBIv2:G3:700843:701647:1 gene:PRUPE_3G011100 transcript:ONI14832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDACSQEKRSNVVNNRRDMRGEETNRGISCLLSVFNTTQKLVQHLGRYKCGELPPNHQSYFFLCNNYIIKHNKIVFL >ONI15801 pep chromosome:Prunus_persica_NCBIv2:G3:4475112:4484075:1 gene:PRUPE_3G062600 transcript:ONI15801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSKGKKHSKTQSRLSNSDNFSSPVSLDFEATEEILASSLELASVKYPSLIGNSAFIGRVTDVQDDPKSCKIWLSEPSMVASSFIPGSIVSVSIPRLKSRFSDGFPLSSLADECARRFGVDSCGQLTNDAGNYFALATIFPSSKVIKNGVRLSSHLSNTMGCPPSGRVIFIHSVQNQSQAGLVCDTRKARSTKVNCLSVYDCKELVLELLHSNNRLIMNNTSANFSYEKSYCHSENGMLASPKTPLNQSKLSVSDTSPVTSPWRGESVGNATIPNESSVDSFDIEEVLGDDSTKRLLQTCATTWLYSRCLLIGNFVTIPMLSQLCLLRVIGAKTLSKNNANHDLLNESSELVGGENDAFLVKRETKVCFHLSSNPASETPQRSNLSSVECNDSIADTGDNISRLAGLSKEYEILKDIIISSSMDILPSFGLKPTKGVLLHGPPGTGKTSLARLCARDSGVNFFSVNGPEVVSQYHGESEQALHEVFDSASQAAPSVLLIDELDAIAPARKDGGEALSERIVSTLSKLIDGDGVNRTGVLVICATNRLDSIDPALRRTGRLDKEIEIGVPSPNQRLEILNVLVSAMEHSLSDEQVQHLAIATHGFVGADLAALCNEAGFNCLKRYVKYKYSHDYLHQTSISQEEISVHVKGTNANGDNFLNGIEEECVLQVAFEDFEKARMRVGPSAMREVKLEIPKVNWEDVGGQREVKNQLMEAVIWPQKHQDAFKQIGIRPPTGVLMFGPPGCSKTLMARAVASEARLNFLAVKGPELFSKWVGESEKAVKSLFAKARANAPAIIFFDEIDSLAAIRGKESDGVSVSDRVMSELLVELDGLHARVDVTVIAATNRPDKIDGALLRPGRFDRLLYVGPPNEPDREEIFRIHLRKIPCCSDVNIYELAHLSEGCTGADISLICKEAAVAALEESLDASEVKMQHLKTAIEQVKPTETQLYQELSEKFQRLVMSSTNEN >ONI15804 pep chromosome:Prunus_persica_NCBIv2:G3:4475116:4483577:1 gene:PRUPE_3G062600 transcript:ONI15804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSKGKKHSKTQSRLSNSDNFSSPVSLDFEATEEILASSLELASVKYPSLIGNSAFIGRVTDVQDDPKSCKIWLSEPSMVASSFIPGSIVSVSIPRLKSRFSDGFPLSSLADECARRFGVDSCGQLTNDAGNYFALATIFPSSKVIKNGVRLSSHLSNTMGCPPSGRVIFIHSVQNQSQAGLVCDTRKARSTKVNCLSVYDCKELVLELLHSNNRLIMNNTSANFSYEKSYCHSENGMLASPKTPLNQSKLSVSDTSPVTSPWRGESVGNATIPNESSVDSFDIEEVLGDDSTKRLLQTCATTWLYSRCLLIGNFVTIPMLSQLCLLRVIGAKTLSKNNANHDLLNESSELVGGENDAFLVKRETKVCFHLSSNPASETPQRSNLSSVECNDSIADTGDNISRLAGLSKEYEILKDIIISSSMDILPSFGLKPTKGVLLHGPPGTGKTSLARLCARDSGVNFFSVNGPEVVSQYHGESEQALHEVFDSASQAAPSVLLIDELDAIAPARKDGGEALSERIVSTLSKLIDGDGVNRTGVLVICATNRLDSIDPALRRTGRLDKEIEIGVPSPNQRLEILNVLVSAMEHSLSDEQVQHLAIATHGFVGADLAALCNEAGFNCLKRYVKYKYSHDYLHQTSISQEEISVHVKGTNANGDNFLNGIEEECVLQVAFEDFEKARMRVGPSAMREVKLEIPKVNWEDVGGQREVKNQLMEAVIWPQKHQDAFKQIGIRPPTGVLMFGPPGCSKTLMARAVASEARLNFLAVKGPELFSKWVGESEKAVKSLFAKARANAPAIIFFDEIDSLAAIRGKESDGVSVSDRVMSELLVELDGLHARVDVTVIAATNRPDKIDGALLRPGRFDRLLYVGPPNEPDREEIFRIHLRKIPCCSDVNIYELAHLSEGCTGADISLICKEAAVAALEESLDASEVKMQHLKTAIEQVKPTETQLYQELSEKFQRLVMSSTNEN >ONI15802 pep chromosome:Prunus_persica_NCBIv2:G3:4475116:4483577:1 gene:PRUPE_3G062600 transcript:ONI15802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSKGKKHSKTQSRLSNSDNFSSPVSLDFEATEEILASSLELASVKYPSLIGNSAFIGRVTDVQDDPKSCKIWLSEPSMVASSFIPGSIVSVSIPRLKSRFSDGFPLSSLADECARRFGVDSCGQLTNDAGNYFALATIFPSSKVIKNGVRLSSHLSNTMGCPPSGRVIFIHSVQNQSQAGLVCDTRKARSTKVNCLSVYDCKELVLELLHSNNRLIMNNTSANFSYEKSYCHSENGMLASPKTPLNQSKLSVSDTSPVTSPWRGESVGNATIPNESSVDSFDIEEVLGDDSTKRLLQTCATTWLYSRCLLIGNFVTIPMLSQLCLLRVIGAKTLSKNNANHDLLNESSELVGGENDAFLVKRETKVCFHLSSNPASETPQRSNLSSVECNDSIADTGDNISRLAGLSKEYEILKDIIISSSMDILPSFGLKPTKGVLLHGPPGTGKTSLARLCARDSGVNFFSVNGPEVVSQYHGESEQALHEVFDSASQAAPSVLLIDELDAIAPARKDGGEALSERIVSTLSKLIDGDGVNRTGVLVICATNRLDSIDPALRRTGRLDKEIEIGVPSPNQRLEILNVLVSAMEHSLSDEQVQHLAIATHGFVGADLAALCNEAGFNCLKRYVKYKYSHDYLHQTSISQEEISVHVKGTNANGDNFLNGIEEECVLQVAFEDFEKARMRVGPSAMREVKLEIPKVNWEDVGGQREVKNQLMEAVIWPQKHQDAFKQIGIRPPTGVLMFGPPGCSKTLMARAVASEARLNFLAVKGPELFSKWVGESEKAVKSLFAKARANAPAIIFFDEIDSLAAIRGKESDGVSVSDRVMSELLVELDGLHARVDVTVIAATNRPDKIDGALLRPGRFDRLLYVGPPNEPDREEIFRIHLRKIPCCSDVNIYELAHLSEGCTGADISLICKEAAVAALEESLDASEVKMQHLKTAIEQVKPTETQLYQELSEKFQRLVMSSTNEN >ONI15805 pep chromosome:Prunus_persica_NCBIv2:G3:4475112:4484075:1 gene:PRUPE_3G062600 transcript:ONI15805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSKGKKHSKTQSRLSNSDNFSSPVSLDFEATEEILASSLELASVKYPSLIGNSAFIGRVTDVQDDPKSCKIWLSEPSMVASSFIPGSIVSVSIPRLKSRFSDGFPLSSLADECARRFGVDSCGQLTNDAGNYFALATIFPSSKVIKNGVRLSSHLSNTMGCPPSGRVIFIHSVQNQSQAGLVCDTRKARSTKVNCLSVYDCKELVLELLHSNNRLIMNNTSANFSYEKSYCHSENGMLASPKTPLNQSKLSVSDTSPVTSPWRGESVGNATIPNESSVDSFDIEEVLGDDSTKRLLQTCATTWLYSRCLLIGNFVTIPMLSQLCLLRVIGAKTLSKNNANHDLLNESSELVGGENDAFLVKRETKVCFHLSSNPASETPQRSNLSSVECNDSIADTGDNISRLAGLSKEYEILKDIIISSSMDILPSFGLKPTKGVLLHGPPGTGKTSLARLCARDSGVNFFSVNGPEVVSQYHGESEQALHEVFDSASQAAPSVLLIDELDAIAPARKDGGEALSERIVSTLSKLIDGDGVNRTGVLVICATNRLDSIDPALRRTGRLDKEIEIGVPSPNQRLEILNVLVSAMEHSLSDEQVQHLAIATHGFVGADLAALCNEAGFNCLKRYVKYKYSHDYLHQTSISQEEISVHVKGTNANGDNFLNGIEEECVLQVAFEDFEKARMRVGPSAMREVKLEIPKVNWEDVGGQREVKNQLMEAVIWPQKHQDAFKQIGIRPPTGVLMFGPPGCSKTLMARAVASEARLNFLAVKGPELFSKWVGESEKAVKSLFAKARANAPAIIFFDEIDSLAAIRGKESDGVSVSDRVMSELLVELDGLHARVDVTVIAATNRPDKIDGALLRPGRFDRLLYVGPPNEPDREEIFRIHLRKIPCCSDVNIYELAHLSEGCTGADISLICKEAAVAALEESLDASEVKMQHLKTAIEQVKPTETQLYQELSEKFQRLVMSSTNEN >ONI15803 pep chromosome:Prunus_persica_NCBIv2:G3:4475116:4482542:1 gene:PRUPE_3G062600 transcript:ONI15803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSKGKKHSKTQSRLSNSDNFSSPVSLDFEATEEILASSLELASVKYPSLIGNSAFIGRVTDVQDDPKSCKIWLSEPSMVASSFIPGSIVSVSIPRLKSRFSDGFPLSSLADECARRFGVDSCGQLTNDAGNYFALATIFPSSKVIKNGVRLSSHLSNTMGCPPSGRVIFIHSVQNQSQAGLVCDTRKARSTKVNCLSVYDCKELVLELLHSNNRLIMNNTSANFSYEKSYCHSENGMLASPKTPLNQSKLSVSDTSPVTSPWRGESVGNATIPNESSVDSFDIEEVLGDDSTKRLLQTCATTWLYSRCLLIGNFVTIPMLSQLCLLRVIGAKTLSKNNANHDLLNESSELVGGENDAFLVKRETKVCFHLSSNPASETPQRSNLSSVECNDSIADTGDNISRLAGLSKEYEILKDIIISSSMDILPSFGLKPTKGVLLHGPPGTGKTSLARLCARDSGVNFFSVNGPEVVSQYHGESEQALHEVFDSASQAAPSVLLIDELDAIAPARKDGGEALSERIVSTLSKLIDGDGVNRTGVLVICATNRLDSIDPALRRTGRLDKEIEIGVPSPNQRLEILNVLVSAMEHSLSDEQVQHLAIATHGFVGADLAALCNEAGFNCLKRYVKYKYSHDYLHQTSISQEEISVHVKGTNANGDNFLNGIEEECVLQVAFEDFEKARMRVGPSAMREVKLEIPKVNWEDVGGQREVKNQLMEAVIWPQKHQDAFKQIGIRPPTGVLMFGPPGCSKTLMARAVASEARLNFLAVKGPELFSKWVGESEKAVKSLFAKARANAPAIIFFDEIDSLAAIRGKESDGVSVSDRVMSELLVELDGLHARVDVTVIAATNRPDKIDGALLRPGRFDRLLYVGPPNEPDREEIFRIHLRKIPCCSDVNIYELAHLSEGCTGADISLICKEAAVAALEESLDASEVKMQHLKTAIEQVKPTETQLYQELSEKFQRLVMSSTNEN >ONI16413 pep chromosome:Prunus_persica_NCBIv2:G3:7319715:7320063:1 gene:PRUPE_3G096900 transcript:ONI16413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMTGSNFVLVHSMQAFVERQALSSHMKLKKFDMRRTTRRPQIHLNTKSQHNHGRILKAQSKNRQTLKNMNS >ONI15490 pep chromosome:Prunus_persica_NCBIv2:G3:3270174:3273732:1 gene:PRUPE_3G045500 transcript:ONI15490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAPEGSQFDAKQYDTKMSELLSTDGQEFFTSYDEVYESFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAVVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLYDIQRFYNVVIEELPSNVADLL >ONI15491 pep chromosome:Prunus_persica_NCBIv2:G3:3270226:3273589:1 gene:PRUPE_3G045500 transcript:ONI15491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAVVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLYDIQRFYNVVIEELPSNVADLL >ONI15386 pep chromosome:Prunus_persica_NCBIv2:G3:2950976:2953312:1 gene:PRUPE_3G041200 transcript:ONI15386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLNLSSDSHMLIPHTAFFSMMFFISSLTQLVSLESSPIWLRFFLIVAELIMWESLLCFSKVSTKYFALRTTDLACSTHADSVLSSPSIVAPTE >ONI15396 pep chromosome:Prunus_persica_NCBIv2:G3:3004066:3006685:-1 gene:PRUPE_3G042200 transcript:ONI15396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVESPSAVRKVVVHLRATGDAPILKQAKFKILGTDKFAKVIEFLRRQLHRDTLFVYVNSAFSPNPDELVIDLYNNFGFDGKLVVNYACSMAWG >ONI15397 pep chromosome:Prunus_persica_NCBIv2:G3:3004065:3006799:-1 gene:PRUPE_3G042200 transcript:ONI15397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVESPSAVRKVVVHLRATGDAPILKQAKFKILGTDKFAKVIEFLRRQLHRDTLFVYVNSAFSPNPDELVIDLYNNFGFDGKLVVNYACSMAWG >ONI18362 pep chromosome:Prunus_persica_NCBIv2:G3:21625538:21627237:-1 gene:PRUPE_3G211300 transcript:ONI18362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSNGSPIWDRLVFNKIKEKLGGRVHFMGSGASPLSPDVMDFLRVGPLRSLLVKLWTIEGGFCKGYRISCWPFLGFF >ONI15700 pep chromosome:Prunus_persica_NCBIv2:G3:3999350:4003443:-1 gene:PRUPE_3G056400 transcript:ONI15700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGKNRDIKPLLLKFGVAFALSFAGFLFSRLKIKRTKPSLPPPRSPRSSDKESEVDPGVRHRRKDDLNVTRKPHSSCNASIASEKYEETYIPKVCAVNCTSSVSPCSKHGGGKDGLLLPVFNDLVKEFDFAAANSGFSPRMNVETPRSDVDTPKAFRTSEMEEHEQEIRHLRSTVRMLRERERSLEVQLLEYYGLKEQETAVMELQNQLKINTMEAKLFTLKIESLEAENRRVEAQVADHAKVVGELEATRAKIKILKKKLRFEAEQNKEQILNLKKRVEKFHDSEAADNSEIQLNLRRLKDLEGEAEELRKSNFQLQIENSELARSLESTQILANSILEDPEAEALKEASARLRQENEDLTKEIQQLQVDRCSDVEELVYLRWINACLRYELRNFQPPTGKTAARDLSKSLSPRSEEKAKQLIVEYANTEGMGEKGMMVDFDSDQWSSSHASFFTDSPEFDDFSVDNSSATKTNTTTKSKLFNKLRRLVLAQVNQQQLILGLRVRVTYLQLHRVVHLELL >ONI15699 pep chromosome:Prunus_persica_NCBIv2:G3:3999642:4002439:-1 gene:PRUPE_3G056400 transcript:ONI15699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGKNRDIKPLLLKFGVAFALSFAGFLFSRLKIKRTKPSLPPPRSPRSSDKESEVDPGVRHRRKDDLNVTRKPHSSCNASIASEKYEETYIPKVCAVNCTSSVSPCSKHGGGKDGLLLPVFNDLVKEFDFAAANSGFSPRMNVETPRSDVDTPKAFRTSEMEEHEQEIRHLRSTVRMLRERERSLEVQLLEYYGLKEQETAVMELQNQLKINTMEAKLFTLKIESLEAENRRVEAQVADHAKVVGELEATRAKIKILKKKLRFEAEQNKEQILNLKKRVEKFHDSEAADNSEIQLNLRRLKDLEGEAEELRKSNFQLQIENSELARSLESTQILANSILEDPEAEALKEASARLRQENEDLTKEIQQLQVDRCSDVEELVYLRWINACLRYELRNFQPPTGKTAARDLSKSLSPRSEEKAKQLIVEYANTEGMGEKGMMVDFDSDQWSSSHASFFTDSPEFDDFSVDNSSATKTNTTTKSKLFNKLRRLVLGKDIHYENRVLSTDRTGYAEDNESPYCSSSKSTAAYTGPEGQSNVFATSSRSSSRASLDLPRWRSPKQQDTKDVQSVQRHSDVGSSPAYKTFSREGSADLPLKSDQDSDSTEKAELVKYAEALMSSRGATPKVHRKSASAS >ONI18076 pep chromosome:Prunus_persica_NCBIv2:G3:20684104:20687334:-1 gene:PRUPE_3G195700 transcript:ONI18076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANANLICCNFFSTFPSLPLKNPTFRTSLQTQNLKARISHSKTTSKVSIFSGTHNGKTSIFTFQKGPLQICQSTLNSQKPEDPVLNESASLESELGKSENGADGGSWTTSVLLFVLWGALLYYVFNLAPDQTPGDDGFRMNEVLVSVWYIMGLWPLVYSMLLLPTGRSSKSKVPVWPFLILSFFGGAYALLPYFVLWRPPPPPVDESELTKWPLNFLESKLTAWISLAAGLGIVIYAGLADGADWKEYYQYFNGSKFIHVMSLDFTLLSAFAPFWVYNDMTSRKWFDKGFWLLFVSLVPLIGPALYLVLRPSLSTEANSPSPTDPKLHHEEDG >ONI18078 pep chromosome:Prunus_persica_NCBIv2:G3:20684104:20687334:-1 gene:PRUPE_3G195700 transcript:ONI18078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANANLICCNFFSTFPSLPLKNPTFRTSLQTQNLKARISHSKTTSKVSIFSGTHNGKTSIFTFQKGPLQICQSTLNSQKPEDPVLNESASLESELGKSENGADGGSWTTSVLLFVLWGALLYYVFNLAPDQTPSQDMYFLKKLLNLKGDDGFRMNEVLVSVWYIMGLWPLVYSMLLLPTGRSSKSKVPVWPFLILSFFGGAYALLPYFVLWRPPPPPVDESELTKWPLNFLESKLTAWDWASLSMQV >ONI18079 pep chromosome:Prunus_persica_NCBIv2:G3:20685548:20687267:-1 gene:PRUPE_3G195700 transcript:ONI18079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANANLICCNFFSTFPSLPLKNPTFRTSLQTQNLKARISHSKTTSKVSIFSGTHNGKTSIFTFQKGPLQICQSTLNSQKPEDPVLNESASLESELGKSENGADGGSWTTSVLLFVLWGALLYYVFNLAPDQTPSQDMYFLKKLLNLKGDDGFRMNEVLVSVWYIMGLWPLVYSMLLLPTGRSSKSKVPVWPFLILSFFGGAYALLPYFVLWRPPPPPVDESELTKWPLNFLESKLTAW >ONI18077 pep chromosome:Prunus_persica_NCBIv2:G3:20684104:20687348:-1 gene:PRUPE_3G195700 transcript:ONI18077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANANLICCNFFSTFPSLPLKNPTFRTSLQTQNLKARISHSKTTSKVSIFSGTHNGKTSIFTFQKGPLQICQSTLNSQKPEDPVLNESASLESELGKSENGADGGSWTTSVLLFVLWGALLYYVFNLAPDQTPSQDMYFLKKLLNLKGDDGFRMNEVLVSVWYIMGLWPLVYSMLLLPTGRSSKSKVPVWPFLILSFFGGAYALLPYFVLWRPPPPPVDESELTKWPLNFLESKLTAWISLAAGLGIVIYAGLADGADWKEYYQYFNGSKFIHVMSLDFTLLSAFAPFWVYNDMTSRKWFDKGFWLLFVSLVPLIGPALYLVLRPSLSTEANSPSPTDPKLHHEEDG >ONI15736 pep chromosome:Prunus_persica_NCBIv2:G3:4123031:4124164:1 gene:PRUPE_3G058200 transcript:ONI15736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKRKRETQKEDEPGHLEKSFLLTGCGSWVRGRKLGQGGFGSVYLASVKKPKLGSEGFPVIMAVKSALMVESLELMIEKSLLETLRSSPFVIDCYGEDVTAGFGHNLVYNVFLEYADGGTVGDLIKQSGGSGLCELQVRKYTESILKGVQYIHEMGFVHCDLKPENILFVTSGSGFVPKIGDLGLTKRAMSKRSCRGTPMYLSPETVLDRIQEKPSDIWALGCVVLKMLTGRHPWDTKAGVKLHDLKPQIASGVPNIPGGLSEEAKDFLKNCFVRDPSQRLTAAKLVHHPFVTEVDEIGQVKVEPMKEVSSVLSSEHCVIDYGSFIPLGSWSSEEAEEMEQQILPLALMSPKDSTPVIPTTGCQKPSAFPILGAA >ONI19350 pep chromosome:Prunus_persica_NCBIv2:G3:25308160:25312397:1 gene:PRUPE_3G273500 transcript:ONI19350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIFSTIVVFVFCNLLLLLTDFSSSHPLCTNMRSPFTPKAPLTFCQYNGSVCCNSTEDMQLQNLFKTMDVSDLGCASLVKSILCSRCDQFSAELYRIESVPRKVPILCNSTVSPNSRQYQHGGVDFCSNVWDECQNVSLLNSPFSMQVRGGTPSISTSKLSDIWQSKNDFCNTFGGSSESELFCFDGGPVLLNNSEIPTPPSGMCLEKIGNGSYLNMVAHPDGSNRVFLSDQPGKVWMATVPEEGSGEMLVIDKSNPFLDLTDEVYADTEFGMMGLAFHPNYVQNGRFFASFNCDKVKWPECSGRCSCNSDVGCDPSKLGSDNGAQPCQYHSIIAEFTANGTTSQPSSVTSVKPLEVRRIFTMGLPFTSHHAGQILFGPKDGFLYFMMGDGGSIGDPYNFSQNKKSLLGKIMRLDIDNLPSAMTITDLGLWGNYSVPGDNPFSEDKELQPEIWALGFRNPWRCSFDLERPSYFLCADVGQDQYEEVDIITKGGNYGWRIYEGPSPYNPPKSPGRNTSATSINPIFPVMGYNHSDVNKAEGSASIIGGYFYRSTTDPCMHGRYLYADLFAGGIWTGIETPEDSGNFTSTKIPVSCALDSPIQCSAEAGSSLPALGFIFSFGQDNRKDIFILASSGLYRVVRPSRCNYTCSKENVTSSSHPKSVPSPAPSASCTRRQLNNPFVLELLIFFSTFFGFFL >ONI15897 pep chromosome:Prunus_persica_NCBIv2:G3:4889893:4892783:1 gene:PRUPE_3G067800 transcript:ONI15897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGVLMPAPMSSYLEQELEKRFNLLKLWTVPQKPQFLKDHSSSIRAVVGNAGNGADAELIEKLPKLEIVASFSVGVDKVDLNKCREKGIRVTNTPDVLTDDVADLAIGLTLAVLRRLCECDRYVRSGQWKKGDYKLTTKFTGKTVGIIGLGRIGKAVAKRAEGFSCPIAYYSRTAKPELKYKYYPSVVELASNCDVLVVACPLTEETRHIVNREVIDALGPKGVLINIGRGPHVDEAELVSALVEGRLGGAGLDVYEKEPEVPEQLFGLENVVLLPHVGSGTVETRNVMADLVVENLEAHFLKKPLLTPVV >ONI15896 pep chromosome:Prunus_persica_NCBIv2:G3:4889883:4892783:1 gene:PRUPE_3G067800 transcript:ONI15896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHYNTLGFGCKLISICLHPTRRPHFTPFLPFHWSSSLNTTQQQTAVHHYQSTNPNLSHRFGCHQSKPSTENPTMESIGVLMPAPMSSYLEQELEKRFNLLKLWTVPQKPQFLKDHSSSIRAVVGNAGNGADAELIEKLPKLEIVASFSVGVDKVDLNKCREKGIRVTNTPDVLTDDVADLAIGLTLAVLRRLCECDRYVRSGQWKKGDYKLTTKFTGKTVGIIGLGRIGKAVAKRAEGFSCPIAYYSRTAKPELKYKYYPSVVELASNCDVLVVACPLTEETRHIVNREVIDALGPKGVLINIGRGPHVDEAELVSALVEGRLGGAGLDVYEKEPEVPEQLFGLENVVLLPHVGSGTVETRNVMADLVVENLEAHFLKKPLLTPVV >ONI18731 pep chromosome:Prunus_persica_NCBIv2:G3:23046834:23048058:1 gene:PRUPE_3G235100 transcript:ONI18731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTLLTPTSKLKSLPPIKATKPTTTTTIPQPRRREFLSFAAAAAATLSSPAWLFPLTPSAFAASDEEYVKETEEVISKVRNTINMDRNDPNVASAVAELRETSNSWVAKYRREKTLLSRASFRDMYSAINAVSGHYVSFGPTAPLPAKRKARILEEVETAEKALLRGR >ONI17694 pep chromosome:Prunus_persica_NCBIv2:G3:19185955:19188316:-1 gene:PRUPE_3G174900 transcript:ONI17694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSQGITVSPPAQQQGSKNVQTGRPQNVLTLSLTKGNTTYDEFKHGFPSDGLSTASNKWWGSNSPDGYESVRGEGAETDEDKKHQSEKLADDGASSTVVDKEKCESGKEENISTQGTDLLMAVRKGSLEEGQKALKLGVFRGYGAKKLGRRERALLLRIFKSSTPKQWIHEFS >ONI17118 pep chromosome:Prunus_persica_NCBIv2:G3:14630642:14631822:1 gene:PRUPE_3G138800 transcript:ONI17118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVLDGVISNKSIPLFSNIILKRLVDSLSHNSLSGKVVGFKRLKRGWLPLPHELWQVISMDFILGLTMTIKGCQFSGSGQTF >ONI20006 pep chromosome:Prunus_persica_NCBIv2:G3:26935807:26939162:1 gene:PRUPE_3G309700 transcript:ONI20006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEDLVELKFRLYDGSDIGPFRYSPASTVAMLKERIVAEWPKDKKIAPKGANDIKLINAGKILENNKTVGQCRAPFGELPRGIITMHVVVQPSVTKAKTEKKVDEAPRKNMCSCSIL >ONI16171 pep chromosome:Prunus_persica_NCBIv2:G3:6093898:6097229:1 gene:PRUPE_3G082300 transcript:ONI16171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRSLNSKPKTLHSIFHSLLSSPKPNTHYNPFSSLTYQNPPPPPSPHLVNEISRILSDHRNAHHDLEHSLNPLCTQISTNLVEQVLKRCKNLGLSAHRFFLWAKTIPGFQHSFESYHILIEILGSSKQYAVLWDFLIEVRESKCIEIVPEVFWLIFRVYSRANLPRDAIRAFNRMVEFGIKPSVLDLDQLMYTLCKRKHVKYAHEFFDKVKSGFELNAKIYSILMRGWGDIGDSDEARKLFDEMTERGCLVDVPAYNSYLEALCKGGKVDEAYKIFRGMGSNGTDPDACTYSIFIRAYCEANDIHSVFRVLDRMKRYNLLPNVYSYNCIIKKLCKNDKVEEAYELLDEMIERGVMPDAWSYNAIQAHHCDHCEVNRALRLLSRMKKDNCKPDRHTYNMVLKLLIRIGRFDRATEVWESMGERGFYPSVSTYSVMIHGLCKKKHKLEEACKYFEIMIDEGIPPYFSTVEMLKNRLRGLGLLEHTEILASKMEQSTCCSIQEMANLMRGNKTYVTYRIEHTDNESDW >ONI16172 pep chromosome:Prunus_persica_NCBIv2:G3:6093898:6097132:1 gene:PRUPE_3G082300 transcript:ONI16172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRSLNSKPKTLHSIFHSLLSSPKPNTHYNPFSSLTYQNPPPPPSPHLVNEISRILSDHRNAHHDLEHSLNPLCTQISTNLVEQVLKRCKNLGLSAHRFFLWAKTIPGFQHSFESYHILIEILGSSKQYAVLWDFLIEVRESKCIEIVPEVFWLIFRVYSRANLPRDAIRAFNRMVEFGIKPSVLDLDQLMYTLCKRKHVKYAHEFFDKVKSGFELNAKIYSILMRGWGDIGDSDEARKLFDEMTERGCLVDVPAYNSYLEALCKGGKVDEAYKIFRGMGSNGTDPDACTYSIFIRAYCEANDIHSVFRVLDRMKRYNLLPNVYSYNCIIKKLCKNDKVEEAYELLDEMIERGVMPDAWSYNAIQAHHCDHCEVNRALRLLSRMKKDNCKPDRHTYNMVLKLLIRIGRFDRATEVWESMGERGFYPSVSTYSVMIHGLCKKKHKLEEACKYFEIMIDEGIPPYFSTVEMLKNRLRGLGLLEHTEILASKMEQSTCCSIQEMANLMRGNKTYVTYRIEHTDNESDW >ONI16566 pep chromosome:Prunus_persica_NCBIv2:G3:8487899:8491228:-1 gene:PRUPE_3G106900 transcript:ONI16566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEREKGAGLAGQRSIAIRVSSGGGGYNKKKIKGRKKVKVIKERLMDFWHKMIFPVRRVWLTVSARIKARKNGAGLLKLHDDVQTCGYQDVQVMWQMLSRDTELTSHHSKRKQRPFWRVFEFSSNNSKPSPLSSNHA >ONI16018 pep chromosome:Prunus_persica_NCBIv2:G3:5350011:5368189:1 gene:PRUPE_3G074200 transcript:ONI16018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSQAQAVKSLNKSPGRRRFVFKSFSQRLEEVEVDVFRSLDKVKSEPQAGSTFFRDCLVEWRELNTAEDFIAFYEQMTPLVQTLPLVLLHKETIISELLSRLQMKARLSLEPILRLIAVLCRDLLEDFIPFLPRIADSLVSLLECGADREPEAIEQIFTSWSSIMMYLQKYLVQKLVHVLNVTVNLRYYPKDYIQEFMAEGMSFLLRNAPFEQLKKGVKKIMFEVVQKSIPVRKYGVSALLYFVMRGASSRFHSKAEQVLHLLMDDLILGIGENFSQGSDTVVEVLISALQRLCDDLDSKELNIMFNCLYQEITDCMINGGVERLSCLLSLLVSTVQVKNGQRVSDYQQMLEIVGLLVRTFIMPSGITMAEEHSSDVVDKVLQLMLCILSGLHSYNDMSTISSCSLQWAPVFDLKNSSLLGFIRQLLQKDVCILDIFAVNILRAMNDLLETSQEDVIYLLLTFNEKLQMETQSLTFLDRTREGVPRIQGFMRGAISNWVGVLKGIVNGDSSSTLIHEADLALLWGVINCFPQIAESEEDFSLLMDLLDADDQILMIEADNIAGFPKHTWESLIGATLNSYYKLTRGKKSELDETSRFLHLGNRHKSCPQVLVAVADFLDSVYGPIMEGDTKARTYHPELQADKAIAALDIFADNLCHSDRGIRASTLRILCHYETLNCNICTEDEPVAKKMRTEVSPTCHVDNQGFNVLPLLLSIELTPLSISTSRKVTLLISRIQMGLSTGRIAEAYLPLVLNGMIGIFHNRFSYLWNPTSECLAVLISQNTGLVWERLVHYFEQCLSRFQASFDQVEEVNSKLTNKSSDLVEGFNLCITSKSDSTPSAAVLSSLLQSLQRIPTIIESKSRQIIPLFLKFLGYNCKDFKSIGSFNPSVCKGKEWKGVLKEWLNLLKLMHSLKSFYQNQFLKEVLQNRLLDENDAEIQTKVLDCLLIWKDDFLLPYSQQLKNLASFHNLREELTTWSLSRESNLIEEEHRPDLVPMVIRLLMPKVRKLKKHASQKLSRVNHRKAVLSFIAQVEVEKLPLFFVLLIKPLQIVSMGSDSAASWFWTLPNSSLAEFQALDFLKYFTLSNISALSWKKRSGFLHVIEDILGVFDASRVGPFLDFLMGCVVRILGSCSLSLDVAKGNGSSVENYPDVDLTLLGKDSAVENNVLISTTLRQLKDLRSLCLKIVSFVLNKYEDHEFSCEFWDLFFMSCKPLIDGFKQEGPSGQKPSSLFSCFLALSRSQKLVPLLYREQKLVPDILSILTVMSASEAIVSCVLKFVENLLNLDHELDDEDSAVKRVILPNLEALIDSLHSLFHSNNATKRKLFKHPGDAETRIFKFLPKYIKSAVPARKFVDILLPVLANGTQNSDFCFEVVQVIRDIVPVLGSEITNKILNAVSPLLTSTDLDKRVFICDLLDAVARVDPSVHFVAKLVQDLNATSNTELGSLDYDNVVNAYEKISVDIFYTIREDHALVILSHCVYDMSSEELILRHSAYKSLRSFVEFAALILGQVVSNHCEMPDMPDKMLASDNCYWTRACIQRITSKFLLNHMGNALKRGISIKKEWVDLLREMVLKLPEVANLGSLKALCDEDAEIDFFNNIVHLQKHRRARALSRFRNVINASYMPEGITKKVFVPLFFNMLLEEHEGKGEHVKNVCIEALASISSHMEWNSYYSLLMRCFNEMIKNPNKQKLLLRLICSILDQFHFSDANDSLDNVSNTGTTDSGTSILRRCRSSVSANEIQTCLQKVVLPKIHKLLSDSEKVNANINLAALRVLRLLPGDVMDSQLPSIVHRISNFLKNRLESIREEARSALAACLKELGLEYLHFIVKVLRSTLKRGYELHVLGYTLNFILSKFLVTPISGKLDYCLEDLLYIVQNDILGDVAEEKDVEKIASKMKETKKQKSFETLRLIAQSITFKSHALKLLYPVTAQFEKHLTPKTKTKLESMLTHIAAGIEYNPTVDQTDLFIFVYGLIEDGINEENGQGENMFITRVNGRRRNDLTRKAVSSGCVAGAKSVCSHLISVFALGIFQKRIKNLKLGYNDAQMLSMLDPFVLLLGKCLNSKYEDVVSASLRCLTPLVRLPLPAIESQADNIKAALFGIAESSVNTGSSLMQSCLRLLTVLLCGTKITLSSDQLHLLIQLPLFVDLEKNPSFVALSLLKAILNRKLVVPEIYDLVTRVAELMVTSQVEPIRHKCSKILLQFLLDYRLSEKRLQQHLDFLLSNLRYEHSSGRKSVLDMLHTIIVKFPKGVVDEQSQTFFVHLVVCLANDQDNEVRSLAGAAIKCLTGYISLHSFRSILEYSLSWYLGAKQQLWSAAAQVLGLLVEVMEKGFHKHINKILPVEVMEKEFHKHINRILPVTKCILQSTINAVTDGQLDFSNETNIPLWKEAYYSLVMLEKILHQFHGLCFDRDLEDIWEAICELLLHPHMWLRCISCRLVAFYFAAVTEACSKNHEKPFGTYYLIRPSRLFMIAVYLCCQMKTQLVDDAASNLITQNLVSTICGVHSLVGQTECADPTQFWSTLEQHEQGCFLKAFELLDARKGRIMFLSLTSGICNKNNESPSTNIRYLLVSSLLKKMGKIALQMEAIQMKIVFDSFGKISSEISQEDCLLHASEILLPLYKVCEGFSGRVIPGEFCVSLFPHVAILIAYSRSIWKVGPAYPKSYRVSLPQ >ONI16017 pep chromosome:Prunus_persica_NCBIv2:G3:5349910:5369268:1 gene:PRUPE_3G074200 transcript:ONI16017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSQAQAVKSLNKSPGRRRFVFKSFSQRLEEVEVDVFRSLDKVKSEPQAGSTFFRDCLVEWRELNTAEDFIAFYEQMTPLVQTLPLVLLHKETIISELLSRLQMKARLSLEPILRLIAVLCRDLLEDFIPFLPRIADSLVSLLECGADREPEAIEQIFTSWSSIMMYLQKYLVQKLVHVLNVTVNLRYYPKDYIQEFMAEGMSFLLRNAPFEQLKKGVKKIMFEVVQKSIPVRKYGVSALLYFVMRGASSRFHSKAEQVLHLLMDDLILGIGENFSQGSDTVVEVLISALQRLCDDLDSKELNIMFNCLYQEITDCMINGGVERLSCLLSLLVSTVQVKNGQRVSDYQQMLEIVGLLVRTFIMPSGITMAEEHSSDVVDKVLQLMLCILSGLHSYNDMSTISSCSLQWAPVFDLKNSSLLGFIRQLLQKDVCILDIFAVNILRAMNDLLETSQEDVIYLLLTFNEKLQMETQSLTFLDRTREGVPRIQGFMRGAISNWVGVLKGIVNGDSSSTLIHEADLALLWGVINCFPQIAESEEDFSLLMDLLDADDQILMIEADNIAGFPKHTWESLIGATLNSYYKLTRGKKSELDETSRFLHLGNRHKSCPQVLVAVADFLDSVPIMEGDTKARTYHPELQADKAIAALDIFADNLCHSDRGIRASTLRILCHYETLNCNICTEDEPVAKKMRTEVSPTCHVDNQGFNVLPLLLSIELTPLSISTSRKVTLLISRIQMGLSTGRIAEAYLPLVLNGMIGIFHNRFSYLWNPTSECLAVLISQNTGLVWERLVHYFEQCLSRFQASFDQVEEVNSKLTNKSSDLVEGFNLCITSKSDSTPSAAVLSSLLQSLQRIPTIIESKSRQIIPLFLKFLGYNCKDFKSIGSFNPSVCKGKEWKGVLKEWLNLLKLMHSLKSFYQNQFLKEVLQNRLLDENDAEIQTKVLDCLLIWKDDFLLPYSQQLKNLASFHNLREELTTWSLSRESNLIEEEHRPDLVPMVIRLLMPKVRKLKKHASQKLSRVNHRKAVLSFIAQVEVEKLPLFFVLLIKPLQIVSMGSDSAASWFWTLPNSSLAEFQALDFLKYFTLSNISALSWKKRSGFLHVIEDILGVFDASRVGPFLDFLMGCVVRILGSCSLSLDVAKGNGSSVENYPDVDLTLLGKDSAVENNVLISTTLRQLKDLRSLCLKIVSFVLNKYEDHEFSCEFWDLFFMSCKPLIDGFKQEGPSGQKPSSLFSCFLALSRSQKLVPLLYREQKLVPDILSILTVMSASEAIVSCVLKFVENLLNLDHELDDEDSAVKRVILPNLEALIDSLHSLFHSNNATKRKLFKHPGDAETRIFKFLPKYIKSAVPARKFVDILLPVLANGTQNSDFCFEVVQVIRDIVPVLGSEITNKILNAVSPLLTSTDLDKRVFICDLLDAVARVDPSVHFVAKLVQDLNATSNTELGSLDYDNVVNAYEKISVDIFYTIREDHALVILSHCVYDMSSEELILRHSAYKSLRSFVEFAALILGQVVSNHCEMPDMPDKMLASDNCYWTRACIQRITSKFLLNHMGNALKRGISIKKEWVDLLREMVLKLPEVANLGSLKALCDEDAEIDFFNNIVHLQKHRRARALSRFRNVINASYMPEGITKKVFVPLFFNMLLEEHEGKGEHVKNVCIEALASISSHMEWNSYYSLLMRCFNEMIKNPNKQKLLLRLICSILDQFHFSDANDSLDNVSNTGTTDSGTSILRRCRSSVSANEIQTCLQKVVLPKIHKLLSDSEKVNANINLAALRVLRLLPGDVMDSQLPSIVHRISNFLKNRLESIREEARSALAACLKELGLEYLHFIVKVLRSTLKRGYELHVLGYTLNFILSKFLVTPISGKLDYCLEDLLYIVQNDILGDVAEEKDVEKIASKMKETKKQKSFETLRLIAQSITFKSHALKLLYPVTAQFEKHLTPKTKTKLESMLTHIAAGIEYNPTVDQTDLFIFVYGLIEDGINEENGQGENMFITRVNGRRRNDLTRKAVSSGCVAGAKSVCSHLISVFALGIFQKRIKNLKLGYNDAQMLSMLDPFVLLLGKCLNSKYEDVVSASLRCLTPLVRLPLPAIESQADNIKAALFGIAESSVNTGSSLMQSCLRLLTVLLCGTKITLSSDQLHLLIQLPLFVDLEKNPSFVALSLLKAILNRKLVVPEIYDLVTRVAELMVTSQVEPIRHKCSKILLQFLLDYRLSEKRLQQHLDFLLSNLRYEHSSGRKSVLDMLHTIIVKFPKGVVDEQSQTFFVHLVVCLANDQDNEVRSLAGAAIKCLTGYISLHSFRSILEYSLSWYLGAKQQLWSAAAQVLGLLVEVMEKGFHKHINKILPVEVMEKEFHKHINRILPVTKCILQSTINAVTDGQLDFSNETNIPLWKEAYYSLVMLEKILHQFHGLCFDRDLEDIWEAICELLLHPHMWLRCISCRLVAFYFAAVTEACSKNHEKPFGTYYLIRPSRLFMIAVYLCCQMKTQLVDDAASNLITQNLVSTICGVHSLVGQTECADPTQFWSTLEQHEQGCFLKAFELLDARKGRIMFLSLTSGICNKNNESPSTNIRYLLVSSLLKKMGKIALQMEAIQMKIVFDSFGKISSEISQEDCLLHASEILLPLYKVCEGFSGRVIPENMKQLAQEISERVRNKLGVQNYVLVYNDIRKNLKAKRDKRKHEEKRMAVTDPMQNAKRKLRIAEKHRANKKRKIMTMKMGRWTHSKSK >ONI16016 pep chromosome:Prunus_persica_NCBIv2:G3:5349910:5369268:1 gene:PRUPE_3G074200 transcript:ONI16016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSQAQAVKSLNKSPGRRRFVFKSFSQRLEEVEVDVFRSLDKVKSEPQAGSTFFRDCLVEWRELNTAEDFIAFYEQMTPLVQTLPLVLLHKETIISELLSRLQMKARLSLEPILRLIAVLCRDLLEDFIPFLPRIADSLVSLLECGADREPEAIEQIFTSWSSIMMYLQKYLVQKLVHVLNVTVNLRYYPKDYIQEFMAEGMSFLLRNAPFEQLKKGVKKIMFEVVQKSIPVRKYGVSALLYFVMRGASSRFHSKAEQVLHLLMDDLILGIGENFSQGSDTVVEVLISALQRLCDDLDSKELNIMFNCLYQEITDCMINGGVERLSCLLSLLVSTVQVKNGQRVSDYQQMLEIVGLLVRTFIMPSGITMAEEHSSDVVDKVLQLMLCILSGLHSYNDMSTISSCSLQWAPVFDLKNSSLLGFIRQLLQKDVCILDIFAVNILRAMNDLLETSQEDVIYLLLTFNEKLQMETQSLTFLDRTREGVPRIQGFMRGAISNWVGVLKGIVNGDSSSTLIHEADLALLWGVINCFPQIAESEEDFSLLMDLLDADDQILMIEADNIAGFPKHTWESLIGATLNSYYKLTRGKKSELDETSRFLHLGNRHKSCPQVLVAVADFLDSVYGPIMEGDTKARTYHPELQADKAIAALDIFADNLCHSDRGIRASTLRILCHYETLNCNICTEDEPVAKKMRTEVSPTCHVDNQGFNVLPLLLSIELTPLSISTSRKVTLLISRIQMGLSTGRIAEAYLPLVLNGMIGIFHNRFSYLWNPTSECLAVLISQNTGLVWERLVHYFEQCLSRFQASFDQVEEVNSKLTNKSSDLVEGFNLCITSKSDSTPSAAVLSSLLQSLQRIPTIIESKSRQIIPLFLKFLGYNCKDFKSIGSFNPSVCKGKEWKGVLKEWLNLLKLMHSLKSFYQNQFLKEVLQNRLLDENDAEIQTKVLDCLLIWKDDFLLPYSQQLKNLASFHNLREELTTWSLSRESNLIEEEHRPDLVPMVIRLLMPKVRKLKKHASQKLSRVNHRKAVLSFIAQVEVEKLPLFFVLLIKPLQIVSMGSDSAASWFWTLPNSSLAEFQALDFLKYFTLSNISALSWKKRSGFLHVIEDILGVFDASRVGPFLDFLMGCVVRILGSCSLSLDVAKGNGSSVENYPDVDLTLLGKDSAVENNVLISTTLRQLKDLRSLCLKIVSFVLNKYEDHEFSCEFWDLFFMSCKPLIDGFKQEGPSGQKPSSLFSCFLALSRSQKLVPLLYREQKLVPDILSILTVMSASEAIVSCVLKFVENLLNLDHELDDEDSAVKRVILPNLEALIDSLHSLFHSNNATKRKLFKHPGDAETRIFKFLPKYIKSAVPARKFVDILLPVLANGTQNSDFCFEVVQVIRDIVPVLGSEITNKILNAVSPLLTSTDLDKRVFICDLLDAVARVDPSVHFVAKLVQDLNATSNTELGSLDYDNVVNAYEKISVDIFYTIREDHALVILSHCVYDMSSEELILRHSAYKSLRSFVEFAALILGQVVSNHCEMPDMPDKMLASDNCYWTRACIQRITSKFLLNHMGNALKRGISIKKEWVDLLREMVLKLPEVANLGSLKALCDEDAEIDFFNNIVHLQKHRRARALSRFRNVINASYMPEGITKKVFVPLFFNMLLEEHEGKGEHVKNVCIEALASISSHMEWNSYYSLLMRCFNEMIKNPNKQKLLLRLICSILDQFHFSDANDSLDNVSNTGTTDSGTSILRRCRSSVSANEIQTCLQKVVLPKIHKLLSDSEKVNANINLAALRVLRLLPGDVMDSQLPSIVHRISNFLKNRLESIREEARSALAACLKELGLEYLHFIVKVLRSTLKRGYELHVLGYTLNFILSKFLVTPISGKLDYCLEDLLYIVQNDILGDVAEEKDVEKIASKMKETKKQKSFETLRLIAQSITFKSHALKLLYPVTAQFEKHLTPKTKTKLESMLTHIAAGIEYNPTVDQTDLFIFVYGLIEDGINEENGQGENMFITRVNGRRRNDLTRKAVSSGCVAGAKSVCSHLISVFALGIFQKRIKNLKLGYNDAQMLSMLDPFVLLLGKCLNSKYEDVVSASLRCLTPLVRLPLPAIESQADNIKAALFGIAESSVNTGSSLMQSCLRLLTVLLCGTKITLSSDQLHLLIQLPLFVDLEKNPSFVALSLLKAILNRKLVVPEIYDLVTRVAELMVTSQVEPIRHKCSKILLQFLLDYRLSEKRLQQHLDFLLSNLRYEHSSGRKSVLDMLHTIIVKFPKGVVDEQSQTFFVHLVVCLANDQDNEVRSLAGAAIKCLTGYISLHSFRSILEYSLSWYLGAKQQLWSAAAQVLGLLVEVMEKGFHKHINKILPVEVMEKEFHKHINRILPVTKCILQSTINAVTDGQLDFSNETNIPLWKEAYYSLVMLEKILHQFHGLCFDRDLEDIWEAICELLLHPHMWLRCISCRLVAFYFAAVTEACSKNHEKPFGTYYLIRPSRLFMIAVYLCCQMKTQLVDDAASNLITQNLVSTICGVHSLVGQTECADPTQFWSTLEQHEQGCFLKAFELLDARKGRIMFLSLTSGICNKNNESPSTNIRYLLVSSLLKKMGKIALQMEAIQMKIVFDSFGKISSEISQEDCLLHASEILLPLYKVCEGFSGRVIPENMKQLAQEISERVRNKLGVQNYVLVYNDIRKNLKAKRDKRKHEEKRMAVTDPMQNAKRKLRIAEKHRANKKRKIMTMKMGRWTHSKSK >ONI19759 pep chromosome:Prunus_persica_NCBIv2:G3:26312538:26314185:1 gene:PRUPE_3G295700 transcript:ONI19759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNSSKSQAMASISHKFTSNPQTSLSPQFKTKALPLPSSRILCTSSENNETKQSLSSAGKIKRLVLPQEGRTKLNTYPDRDFYAYPRLVTHVDDGFISTLTNLYRQKLRPNSEILDLMSSWVSHLPEEVEYKKVVGHGLNAQELAKNPRLDYFFVKDLNQDQKLELESSSFDAVLCTVSVQYLQQPEKVFAEVFRVLRPGGVFIVSFSNRLFYEKAIGAWRDGSAYSRTQLVVQYFQSVEGFTQAEIIKKLPDPNGGGGGAPQNKSPFSWVMGLLGLLSGSDPFYAVIAYKNFKPVYE >ONI17431 pep chromosome:Prunus_persica_NCBIv2:G3:17727041:17728722:1 gene:PRUPE_3G158700 transcript:ONI17431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPCCEKEGTNKGAWSKQEDQKLIDYIKAHGEGCWRSLPKAAGLHRCGKSCRLRWINYLRPDIKRGNFEQDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHIRKKLIKMGIDPNNHRLNQIIPRPNPQNDCVSAAATSSGSMSNISACTKAPIKSSREIDQRASQATSVLEDETSGSSSRDLNLDLTIAFPNPPLLVGEEMQKNIKGSFTMAREIETNLQHSPTLALFR >ONI19546 pep chromosome:Prunus_persica_NCBIv2:G3:25783350:25785466:1 gene:PRUPE_3G284300 transcript:ONI19546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSDSIPSVNKAWVYSEYGKAADVLKLDPNVPVPEIKEDQVLIKVVAAALNPVDYKRMFGYFKATDSPLPTVPGYDVAGVVVKVGSQVTKFKVGDEVYGDLNEKALENPTRFGSLAEYTAAAERVLALKPQNLSFIEAASLPLAIETAYEGLERTEFSAGKSILVLGGAGGVGTHVVQLAKHVFGASKVAATASTRKLELLRSLGADLAVDYTKEKYEELPEKFDVVYDTVGESDRAVKAVKEGGKVVTIVPGPVAAGAFIFILTSTGTILEKLKPYLESGKVKPVLDPTSPYPFSKTVEAFAYLETSRATGKVVVHPIP >ONI16631 pep chromosome:Prunus_persica_NCBIv2:G3:9324483:9326299:1 gene:PRUPE_3G111800 transcript:ONI16631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLIVSSINIVVALYVLRSQYASLYIYCDRDSRPTLEYTPNQIGKMEESVWIRKAAEPFELIRLVKALKKELCREVVVELSQPLKLKITNEIVERLKILRPKANVTDQRGQWCKEKLKEAKQLAIETEASNSRVSHEEAGVVIICELVCLDQGKRAKPNEKRCNIWVYCPFESGCHSPDIYGHRLGQCWLKYVRIKPLYYD >ONI16200 pep chromosome:Prunus_persica_NCBIv2:G3:6211728:6212816:-1 gene:PRUPE_3G084200 transcript:ONI16200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAVSFLLHFAFLFTFTQGRSIIPQPQPLRSFKLSNNQYAGSCSYTVSIKTSCSSPRYTRDQISIAFGDAYGNQVYAPRIDDPSSRAFESCSTDTFQISGPCAYQICYVYLYRNGYDGWKTQTVTIYGHYTKSVTFYYNSFIPNGIWYGFNHCNGGASAALSTSSM >ONI18260 pep chromosome:Prunus_persica_NCBIv2:G3:21330935:21331484:1 gene:PRUPE_3G205900 transcript:ONI18260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEVLDGATIVNFVEDEEAFNLAVHHRFGNLDTNHDGLLCYAEMLKELQSLRVFETHYGIDVKPDPEEIARVYDSLFVQFDHDSNGAVDLEEFKAETKRMMLAMANGMGFLPVQMVLEEDSFLKKAVERESTQIKQLLN >ONI15548 pep chromosome:Prunus_persica_NCBIv2:G3:3464018:3466628:-1 gene:PRUPE_3G049200 transcript:ONI15548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHASSQRFISTSSRLHHNHFRFPPKLSRSFSKPGHIHQTQIISHPSCTTHNEFLDFFEHILRQCTGNKQCKQVHAQIITTGTYQSEFLAAKLVTAYARIGLIFDAQKVFDTGPVEGRSNLLLWNSILRANVSHGFYEQALKLYDKMTNLGVLGDGFTFPLVIRACAFMDRLKLSKNVHSHVLQMGFQNHLHVVNELIGMYGKVGRMDCARLLFDRMRVRSYVSWNTMVSSYAFNYDCDGATEMFRRMELEGLEPNPVTWTSLLSSRARRGRREETIQLFGMMRVRGVGTTAEVLAVVLSVCADLAVVDKGKMIHGYVIRGGFKDYLFVENALICMYGKCGHVEDADKLFLGMESKNLVSWNALISCYAESGLCDEAFTIFSQLNDHPFMRPNIISWSAVIGGFSSKGRGEESLELFRQMQSIGVVANSVTISSVLSVCAELAVLNLGKEIHGHVVRALMQANILVGNGLVNMYTKCGSFKQGHLVFENIDSKDLISWNTMIAGYGMHGLGENALRIFYQMLESGFKPDNITFIAVLSACSHVGLVTEGCRLFDQMIGIYGIEPQMEHYACMVDLLGRAGLLHEGSNIVKNMPMEPNACVWGALLNSCRMHKNTDIAEETATHIFNMNSEITGSYMLLSNIYAASGKWEDSAKVRISAKTKGLKKIRGQSWIEVKNKVFMFSAGNTTQGGLELIHGILKDLALQMESEGYIPDKRIIQQNVDKELNLMGEA >ONI15964 pep chromosome:Prunus_persica_NCBIv2:G3:5132675:5134944:-1 gene:PRUPE_3G071500 transcript:ONI15964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTEDDAKVSSKPIWCESPAGLWFFSKGRNLPVRSGPYSRPVGSHYVDDEEDDEDEEDEELGEEEEDNNGQNGYPSVHKDVDDDDDDEDDGDDEEDEEDEDDNKSKVYNRMIDDADLKKHPKKRKLKNLISSYEFAPRLPAPPAAAPSAPRQSIGGRNSLTDWTEHETFVLLDAWGDRFLQRGKKSLRSEEWQEVAEKVSEVSKIERTDTQCRNRLDTLKKKYKKERLKFAETGGATSKWVYFKKMDMLMSSPQQQSGLSCGLDSGEYVFMNPRVYLNRANGLDEMRDSPGNSESAEGVDDDSDGLPPKKRRYGRDNDDRCSFRLLADSIQKFSDIYEKIETSKRQQMVELEKMRMDFHRDLEMQKRQIMERAQAEIAKIQQGDDEENDISAENASG >ONI15963 pep chromosome:Prunus_persica_NCBIv2:G3:5132675:5134955:-1 gene:PRUPE_3G071500 transcript:ONI15963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTEDDAKVSSKPIWCESPAGLWFFSKGRNLPVRSGPYSRPVGSHYVDDEEDDEDEEDEELGEEEEDNNGQNGYPSVHKDVDDDDDDEDDGDDEEDEEDEDDNKSKVYNRMIDDADLKKHPKKRKLKNLISSYEFAPRLPAPPAAAPSAPRQSIGGRNSLTDWTEHETFVLLDAWGDRFLQRGKKSLRSEEWQEVAEKVSEVSKIERTDTQCRNRLDTLKKKYKKERLKFAETGGATSKWVYFKKMDMLMSSPQQQSGLSCGLDSGEYVFMNPRVYLNRANGLDEMRDSPGNSESAEGVDDDSDGLPPKKRRYGRDNDDRCSFRLLADSIQKFSDIYEKIETSKRQQMVELEKMRMDFHRDLEMQKRQIMERAQAEIAKIQQGDDEENDISAENASG >ONI16052 pep chromosome:Prunus_persica_NCBIv2:G3:5589710:5591420:-1 gene:PRUPE_3G076000 transcript:ONI16052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVGKLDTKLNGQSVQPVSSSAQITEMTAVQDHTKRNNTTRKQEVEASVQELASRAASRVKAVAAEKITPPNSAYQFEAISPSALPQIFKNALTVPILLDIIKCAATFVVEEMDLAVNYLENLTGVPRFDTLIMFLSSSDNADLVKIWDEVFDNEATPVEYAEKLDNLHTKYCPSDDKAQQVSTLPSSTSCTVNSSCKNLKCSNDPFESQIVSWTTFLSIYRDVKSTLQLLLHSCWT >ONI16053 pep chromosome:Prunus_persica_NCBIv2:G3:5589710:5591420:-1 gene:PRUPE_3G076000 transcript:ONI16053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVGKLDTKLNGQSVQPVSSSAQITEMTAVQDHTKRNNTTRKQEVEASVQELASRAASRVKAVAAEKITPPNSAYQFEAISPSALPQIFKNALTVPILLDIIKCAATFVVEEMDLAVNYLENLTGVPRFDTLIMFLSSSDNADLVKIWDEVFDNEATPVEYAEKLDNLHTKYCPSDDKAQQVSTLPSSTSCTVNSSCKNLKCSNDPFESQIVSWTTFLSIYRDVKSTLQLLLHSCWT >ONI16050 pep chromosome:Prunus_persica_NCBIv2:G3:5589477:5592161:-1 gene:PRUPE_3G076000 transcript:ONI16050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNNTTRKQEVEASVQELASRAASRVKAVAAEKITPPNSAYQFEAISPSALPQIFKNALTVPILLDIIKCAATFVVEEMDLAVNYLENLTGVPRFDTLIMFLSSSDNADLVKIWDEVFDNEATPVEYAEKLDNLHTKYCPSDDKAQQVSTLPSSTSCTVNSSCKNLKCSNDPFESQIVSWTTFLSIYRDVKSTLQLLLHSCWT >ONI16051 pep chromosome:Prunus_persica_NCBIv2:G3:5589477:5592161:-1 gene:PRUPE_3G076000 transcript:ONI16051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVGKLDTKLNGQSVQPVSSSAQITEMTAVQDHTKRNNTTRKQEVEASVQELASRAASRVKAVAAEKITPPNSAYQFEAISPSALPQIFKNALTVPILLDIINEEMDLAVNYLENLTGVPRFDTLIMFLSSSDNADLVKIWDEVFDNEATPVEYAEKLDNLHTKYCPSDDKAQQVSTLPSSTSCTVNSSCKNLKCSNDPFESQIVSWTTFLSIYRDVKSTLQLLLHSCWT >ONI19079 pep chromosome:Prunus_persica_NCBIv2:G3:24504156:24508132:1 gene:PRUPE_3G257400 transcript:ONI19079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSHIAYSMHHFKCGFTLQGKMINKRPFGGEDSYEVACKHPRQLEHSNEDIFPFNGAPQKFQISDGEGDGDGSFSKCPDEGRFASVLGTSVSNETYKELETGASGSFARFLWSNSSIIEANVRPEAASHLSLFPEFFAPVNQWRALLHSDKICSSPVDYPPRKLVSIGAQHQAHVPVWGFEGSHASVHLEKLDPQHEPSCPSSQDLVLDVNEEKLMGTRVISMPDLEASAANYFSEDVGARSNCKCGDAGSVRCVRQHVMETREKLKEDLGEHLFEELGFYEMGEGVADKWTKEEKHAFHDVVLSNPVSLVTMMSGKKVNLEP >ONI19080 pep chromosome:Prunus_persica_NCBIv2:G3:24504156:24508132:1 gene:PRUPE_3G257400 transcript:ONI19080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKRPFGGEDSYEVACKHPRQLEHSNEDIFPFNGAPQKFQISDGEGDGDGSFSKCPDEGRFASVLGTSVSNETYKELETGASGSFARFLWSNSSIIEANVRPEAASHLSLFPEFFAPVNQWRALLHSDKICSSPVDYPPRKLVSIGAQHQAHVPVWGFEGSHASVHLEKLDPQHEPSCPSSQDLVLDVNEEKLMGTRVISMPDLEASAANYFSEDVGARSNCKCGDAGSVRCVRQHVMETREKLKEDLGEHLFEELGFYEMGEGVADKWTKEEKHAFHDVVLSNPVSLGKNFWHHLSVAFPSRTHKDLVSYYFNVFMLRKRTEQNRFDPLNIDSDDDEWQKSELGTVEDDEDSGVESPVNLDAPAYNQEEHLEGCYEHIEDAYDVDGCRDGNDVVDCIVMKDKDGGDIDDGSGAHVGSSPGDSGGTETQILDKIASNNREHYDIQDDSCTSYEYQRDS >ONI19078 pep chromosome:Prunus_persica_NCBIv2:G3:24505251:24508013:1 gene:PRUPE_3G257400 transcript:ONI19078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSLSLVSIFIGKGKMINKRPFGGEDSYEVACKHPRQLEHSNEDIFPFNGAPQKFQISDGEGDGDGSFSKCPDEGRFASVLGTSVSNETYKELETGASGSFARFLWSNSSIIEANVRPEAASHLSLFPEFFAPVNQWRALLHSDKICSSPVDYPPRKLVSIGAQHQAHVPVWGFEGSHASVHLEKLDPQHEPSCPSSQDLVLDVNEEKLMGTRVISMPDLEASAANYFSEDVGARSNCKCGDAGSVRCVRQHVMETREKLKEDLGEHLFEELGFYEMGEGVADKWTKEEKHAFHDVVLSNPVSLGKNFWHHLSVAFPSRTHKDLVSYYFNVFMLRKRTEQNRFDPLNIDSDDDEWQKSELGTVEDDEDSGVESPVNLDAPAYNQEEHLEGCYEHIEDAYDVDGCRDGNDVVDCIVMKDKDGGDIDDGSGAHVGSSPGDSGGTETQILDKIASNNREHYDIQDDSCTSYEYQRDS >ONI17093 pep chromosome:Prunus_persica_NCBIv2:G3:14500977:14502217:-1 gene:PRUPE_3G137400 transcript:ONI17093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPGVLAASVAAASATALAASSSSSSSSSSSFVSNSTMRFSNQEAVSSKRNQENPSPSTEKFAPRFDGLRFIETLVTAHR >ONI14893 pep chromosome:Prunus_persica_NCBIv2:G3:1060480:1064656:-1 gene:PRUPE_3G014900 transcript:ONI14893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYDGSRSESVLSANNGFSFSASGLQLKDQFSNGNDSAPKARKPYTITKQRERWTEEEHKKFLEALKLYGRAWRKIEEHVGTKTAVQIRSHAQKFFSKVARDSNGSNEISEEPIDIPPPRPKRKPMRPYPRKLVHPVNKETFIVERPTRSASPNLSVSEPENQSPTSVLSVIGSDTLGSADSNTPSRSLSPVSSAADVHGVDLNQSEPPNPSLEESGSTSPAVAENGSLPNVQLSMKLELFPTDNVDASGVSSEEVSARSLKLFGRTVLVTDSHRPSSPTLGTSKSLPSDVKEEKPVQISTPCNFTATESASGSVEHVWDNFPYGVHPGMYFMQFQNQNSNLVEPGSAYPVPWWTLCPKLPFPFIPFHKPQAVKEHFDGNLGDPKEVEKEGSWTGSDAGSVNDEENGDKCLGIETEGKEQEPNSVLQFKASANSAFSELRASPSPGKCRKGFVPYKRCLAERDTSTIASEDRDGKRVDLSL >ONI14894 pep chromosome:Prunus_persica_NCBIv2:G3:1061829:1064226:-1 gene:PRUPE_3G014900 transcript:ONI14894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGLMLSPDMAAAQDQYDGSRSESVLSANNGFSFSASGLQLKDQFSNGNDSAPKARKPYTITKQRERWTEEEHKKFLEALKLYGRAWRKIEEHVGTKTAVQIRSHAQKFFSKVARDSNGSNEISEEPIDIPPPRPKRKPMRPYPRKLVHPVNKETFIVERPTRSASPNLSVSEPENQSPTSVLSVIGSDTLGSADSNTPSRSLSPVSSAADVHGVDLNQSEPPNPSLEESGSTSPAVAENGSLPNVQLSMKLELFPTDNVDASGVSSEEVSARSLKLFGRTVLVTDSHRPSSPTLGTSKSLPSDVKEEKPVQISTPCNFTATESASGSVEHVWDNFPYGVHPGMYFMQFQNQNSNLVEPGSAYPVPWWTLCPKLPFPFIPFHKPQAVKEHFDGNLGDPKEVEKEGSWTGSDAGSVNDEENGDKCLGIETEGKEQEPNSVLQFKASANSAFSELRASPSPGKCRKGFVPYKRCLAERDTSTIASEDRDGKRVDLSL >ONI19368 pep chromosome:Prunus_persica_NCBIv2:G3:25374164:25377402:1 gene:PRUPE_3G275100 transcript:ONI19368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSPILSPFGVNIISADLGSSSTGLPRFNFCSIQRNNRRPSHVIVLSSKSSKESWSEAKRVLMEQYGFNPDEALSEPPPKSKRKKELKEAGKDKQIAPKEPKPPRTTHKLLTVLGGKARRMKLLSPKGMDVRPMMEVVKGAAFDILQAAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSDVLRPNLEWTGFLDVSVIHTVRVEKFIERAKQLAGKEPFDYISVTPPYMEVDYAVLMDQISNSALVGEDTFIITDRRFGRTHLVIYGPKWAEKKKKKEKLLRGVAVEV >ONI19369 pep chromosome:Prunus_persica_NCBIv2:G3:25374206:25376171:1 gene:PRUPE_3G275100 transcript:ONI19369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSPILSPFGVNIISADLGSSSTGLPRFNFCSIQRNNRRPSHVIVLSSKSSKESWSEAKRVLMEQYGFNPDEALSEPPPKSKRKKELKEAGKDKQIAPKEPKPPRTTHKLLTVLGGKARRMKLLSPKGMDVRPMMEVVKGAAFDILQAAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSDVLRPNLEWTGFLDVSVIHTVRVEKFIERAKQLAGKEPFDYISVTPPYMEVDYAVLMDQISNSALVGEDTFILSTH >ONI19367 pep chromosome:Prunus_persica_NCBIv2:G3:25374164:25377402:1 gene:PRUPE_3G275100 transcript:ONI19367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSPILSPFGVNIISADLGSSSTGLPRFNFCSIQRNNRRPSHVIVLSSKSSKESWSEAKRVLMEQYGFNPDEALSEPPPKSKRKKELKEAGKDKQIAPKEPKPPRTTHKLLTVLGGKARRMKLLSPKGMDVRPMMEVVKGAAFDILQAAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSDVLRPNLEWTGFLDVSVIHTVRVEKFIERAKQLAGKEPFDYISVTPPYMEVDYAVLMDQISNSALVGEDTFIVVEYPLRTDMLDSCGCLVKITDRRFGRTHLVIYGPKWAEKKKKKEKLLRGVAVEV >ONI18847 pep chromosome:Prunus_persica_NCBIv2:G3:23597907:23600784:-1 gene:PRUPE_3G243300 transcript:ONI18847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPQQPKRRVAFLLIDGVGDVSIPKFGFKTPLQAAKVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEKTGIVINRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPNLSGNISGTDPLKDNRLLLQAEALDGTDEARHTAKVVNELSKEISHILVAHPLNAKRAAEGKNIANLVLLRGCGIRIEVPPFEKKHGLWPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKATAIAKALSAPLQSCPNVFVPGEDEHKPGRSDGYDFGFLHVKAIDDAGHDKATIFKVKALEAVDRAIGQLSRLLWESESAGNFKYFLCVTGDHSTPVEYGDHSFEPVPFTICPLKDFVDAVGVETILGGSLDPFPLPTVKDGEHLAEDVKIEQGERSKQPRAFRGDSVYEFNEIAAARGCLGRFPGGEMMGVIKNFLKLDA >ONI18848 pep chromosome:Prunus_persica_NCBIv2:G3:23597516:23601054:-1 gene:PRUPE_3G243300 transcript:ONI18848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGYITAAEAHLNPWVLDWPCHLVILHLRYATEHRCGVVVKGPNLSGNISGTDPLKDNRLLLQAEALDGTDEARHTAKVVNELSKEISHILVAHPLNAKRAAEGKNIANLVLLRGCGIRIEVPPFEKKHGLWPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKATAIAKALSAPLQSCPNVFVPGEDEHKPGRSDGYDFGFLHVKAIDDAGHDKATIFKVKALEAVDRAIGQLSRLLWESESAGNFKYFLCVTGDHSTPVEYGDHSFEPVPFTICPLKDFVDAVGVETILGGSLDPFPLPTVKDGEHLAEDVKIEQGERSKQPRAFRGDSVYEFNEIAAARGCLGRFPGGEMMGVIKNFLKLDA >ONI14679 pep chromosome:Prunus_persica_NCBIv2:G3:135219:139473:1 gene:PRUPE_3G001700 transcript:ONI14679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGLADLPSLAATQPLFFPAPHGHRPPSVYVGPKPNKATPSLKLRCSVAKESTISPLGVSGEGGQLGAVDCVVVGGGISGLCIAQALATKHGDAVPNVIVTEARDRVGGNIITVQKDGYLWEEGPNSFQPSDPMLTMLVDSGLKDDLVLGDPNAPRFVLWDGKLRPVPSSPADIPFFDLMSIGGKLRAAFGALGIRPSPPPPGHEESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWNLEQNGGSIVGGAFKAIQERKRAHMTPRDPRLPKPKGQTVGSFRKGLSMLPDAISSRLGSKVKLSWKLSSITKLDKGGYTLTYETPEGLISVLSKSVVMTVPSHVASSLLRPLSGAAADALSKFYYPPVAAVSISYPKEAIRTECLIDGELKGFGQLHPRSQGLKTLGTIYSSSLFPNRAPPGRVLLLNYIGGATNPGILSKKESELVEAVDQDLRNVLLNRNAKDPLVLGVKVWPQAIPQFLVGHFDVLDAAKAALSDSQGLFLGGNYVTGVALGRCVEGAYDVAAEVANFVSRYAYK >ONI14680 pep chromosome:Prunus_persica_NCBIv2:G3:135320:139141:1 gene:PRUPE_3G001700 transcript:ONI14680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGLADLPSLAATQPLFFPAPHGHRPPSVYVGPKPNKATPSLKLRCSVAKESTISPLGVSGEGGQLGAVDCVVVGGGISGLCIAQALATKHGDAVPNVIVTEARDRVGGNIITVQKDGYLWEEGPNSFQPSDPMLTMLVDSGLKDDLVLGDPNAPRFVLWDGKLRPVPSSPADIPFFDLMSIGGKLRAAFGALGIRPSPPPPGHEESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWNLEQNGGSIVGGAFKAIQERKRAHMTPRDPRLPKPKGQTVGSFRKGLSMLPDAISSRLGSKVKLSWKLSSITKLDKGGYTLTYETPEGLISVLSKSVVMTVPSHVASSLLRPLSGAAADALSKFYYPPVAAVSISYPKEAIRTECLIDGELKGFGQLHPRSQGLKTLGTIYSSSLFPNRAPPGRVLLLNYIGGATNPGILSKRASLLKQLIKI >ONI17706 pep chromosome:Prunus_persica_NCBIv2:G3:19224514:19225499:1 gene:PRUPE_3G175400 transcript:ONI17706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVSQTSLKISKKEKKEFNSLIRLLRPKVYITDSSSFKRLVQDLTGNGASNPNTSSSSLHPQKKHNLVDEEQIPVVHIVDDQDREDQGDTEGSLDASTDTSTLDDYSELCNQVFMSDQEFNQLCYQIYSDDTTTTTNYESSTTDQLLVDDMLPFQDLESWLLDTDHPYDPFFNNGFAQIDQQVSIYDYELSGLLSSN >ONI16194 pep chromosome:Prunus_persica_NCBIv2:G3:6193774:6194338:-1 gene:PRUPE_3G083800 transcript:ONI16194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVKGLCKFNGKAYDLLCGLSMRSSYGPRAEILRRGKTPLYIRLCLAGAASLHDQSKECCFLRHQLAQTKLACLTELHTKAPEHSFAYTKKTIEPAFGRKLLEIFDNFEEKPVASGSIASASIESYFKI >ONI14939 pep chromosome:Prunus_persica_NCBIv2:G3:1203960:1208517:-1 gene:PRUPE_3G016900 transcript:ONI14939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLGSKATAGRLLLLLIAVSAATADEDVFIGVNIGTDLSDMPHPTQVVALLKAQQIRHVRLYDADRAMLVALANTGIQVMISVPNEQILGIGQSNSTAANWVSQNVVAHYPATNITAIAVGSDVLTTLPNAAKILVNALKYIQSALVASNLDRQIKVSTPLSSSIILDSFPPSQAFFNRSLNPVLVPMLTFLQSTGSFLMLNIYPYYDYMQSNGVIPLDYSLFKSLPPNKEAVDSNTLLHYTNVFDAVVDAAYYAMAFLNFTNVPIIVTESGWPSKGDSNEPDATLENANTYNSNLIRHVLNKTGTPKHPGLAVSTFIYELYNEDMKPGPISEKNWGLFDANGTPIYILRLTGSGAVLSNDTTNQTFCITKDGADPKMLQAALDWACGPGKVDCSALLQGEPCYEPDNVIAHATYAFDTYYHKMGKTPAACDFNGVAAITTTDPSHGSCIFPGSLGKNGTLVNITAPSLNSTSVDSSAYNLHSNAFTSIVLIIEIFISVAVFL >ONI18434 pep chromosome:Prunus_persica_NCBIv2:G3:21834294:21836640:-1 gene:PRUPE_3G215700 transcript:ONI18434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLYGVIFGEMFLILTLLFKSPLRKLVILALDKMKRGKGPVMVKTVAGTVFVVLLAGVYNLVDIKNRTIESGVLNPTDEVLMSVKMLEISLMGFFLFLSLMLDRLHHYIRELRFLRKAMDAAKKQSQSIQEEKNGSSEQLKTLAQENDTLRTKIKNLESEYETKAKKAKAAGSEVEALRKQSEGLLMEYDRLLADNQNLRSQLTSIEQSTSHSDDKKNT >ONI19086 pep chromosome:Prunus_persica_NCBIv2:G3:24528537:24530386:-1 gene:PRUPE_3G258000 transcript:ONI19086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAAGAPSRAEVLTLFRSFLRVARKFTDYNIREYTKRRTIDAFRQNRNLTDPSAISAAFSDGKAQFEVANRQAVVYSLYAPKLKSVMEVQK >ONI14647 pep chromosome:Prunus_persica_NCBIv2:G3:42966:43968:1 gene:PRUPE_3G000400 transcript:ONI14647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFQSSLWHLYLKHLGSYLPLLLYQIGKQLLCVPAIICLDTSSLTLYYLLLSMNGPVPIKKTCKFNLLEKYLIKLHRLLDLMNPES >ONI18796 pep chromosome:Prunus_persica_NCBIv2:G3:23386102:23391304:-1 gene:PRUPE_3G239800 transcript:ONI18796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVQASKLRLPNPSLSSPNITSLLFEPHSLSLALMHSDSTLSLYPSISPLSLSSLPPPQTLIAPPSSSSTFLLLQNPNPNPNTRVLFIVSGPYRGGSQVLLRFYILHKQKQFVRAQVVCTQKELQFDQKLGVLVDAHHGVSIKLAGSVNFFAMYSVSSSKIWVFAVKSIDNDDNDDNDGMVVKLMRCAVIECCKLVWSISISFGFLILGEDNGVRVFNLRQLVKGRVRKAKLLNSSSKTEGRNLCLPNGVIGDHAHSDLGDKGNKYGGGKFHGTSEIPCNGDLCGKNDRNYVSAKQRSVKLRQDSPEEGVCFVTFKGKEFETSKSTRMIPAKAISIEALSPNKFLILDSNGALRILHISSPVLGSNITSYLRELPHIMKVQKLAVLPDIASRTQSVWASDGFNSVHMMLASDMDNAGNENDRNDSEEKLIHISVVLTIFASEKIQDLIPLAANAILILGQGNLYTYAIS >ONI16188 pep chromosome:Prunus_persica_NCBIv2:G3:6181024:6183344:1 gene:PRUPE_3G083500 transcript:ONI16188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVPGGCVRVGFSRPAHVRRRLTTFATVSPTRGDRVDWVEATSGFFENDTRPIMLFDGVCNLCNGGVKFVRDNDQNRRIRFEALQSEAGKKLLRRSGRAPDDISSVVLVEQDRSFIKSEAVVKIMEYIDLPFPQLAFFLQFVPLFIRDFLYDNVANNRYTIFGRSDACEL >ONI16187 pep chromosome:Prunus_persica_NCBIv2:G3:6180942:6183215:1 gene:PRUPE_3G083500 transcript:ONI16187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVPGGCVRVGFSRPAHVRRRLTTFATVSPTRGDRVDWVEATSGFFENDTRPIMLFDGVCNLCNGGVKFVRDNDQNRRIRFEALQSEAGKKLLRRSGRAPDDISSVVLVEQDRSFIKSEAVVKIMEYIDLPFPQLAFFLQFVPLFIRDFLYDNVANNRYTIFGRSDACEL >ONI16186 pep chromosome:Prunus_persica_NCBIv2:G3:6180902:6183215:1 gene:PRUPE_3G083500 transcript:ONI16186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVPGGCVRVGFSRPAHVRRRLTTFATVSPTRGDRVDWVEATSGFFENDTRPIMLFDGVCNLCNGGVKFVRDNDQNRRIRFEALQSEAGKKLLRRSGRAPDDISSVVLVEQDRSFIKSEAVVKIMEYIDLPFPQLAFFLQFVPLFIRDFLYDNVANNRYTIFGRSDACEL >ONI17958 pep chromosome:Prunus_persica_NCBIv2:G3:20146993:20149295:-1 gene:PRUPE_3G187900 transcript:ONI17958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNQLCRRAVEAQKLLYHGSELPPGHMKLPENVEWLKTIRRKIHEHPELAFEELDRLNMDGEYQEVYIRNEKKKKKRRG >ONI15364 pep chromosome:Prunus_persica_NCBIv2:G3:2848373:2850642:-1 gene:PRUPE_3G039500 transcript:ONI15364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKLALNLLTPGCFKTNKPISDQKSQTSKEISSRRLSLSDVSNSSICTVLSDLSNSLIGSNLHIFTQKELKKITQSFSKSNYLGEGGFGKVYKGFIDDKLRPGLEAQPVAVKVLDLDGKQGHREWLAEVIFLGQLKHPNLVNLIGYCCEDEHRLLVYEYMERGNLENRLFKCYGGTLPWLTRIKIAIGAAKGLSSLHEEEKQVIYRDFKASNILLDSDYTAKLSDFGLAIDGPEGDETHITTCVMGTHGYAAPEYVKTGHLTTMSDVYSFGVVLLELLTGRRSVDESRHGREQNLVEWSKPFLKDAHKLDRVMDPGLEGQYSTEGARKAAALTHQCLNHNPKCRPTMSTVVKTLEPLMDLNDIPIGPFVYVVPTEEKKKVPAYKIEREGQAECEVVKNGENGEEKEVEVKAKGRHRSRKGHRYRNRIKSLRSGTVYSDTALYRILGTGLYSPK >ONI18781 pep chromosome:Prunus_persica_NCBIv2:G3:23332246:23332467:-1 gene:PRUPE_3G238600 transcript:ONI18781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLLKNNDGPKDGRKANIPKASTTQRTKSNFKNLLPFLFSLLLFFFFPFLGQLVRDRYKCTCSSSVAAENNK >ONI16480 pep chromosome:Prunus_persica_NCBIv2:G3:7726736:7727811:1 gene:PRUPE_3G100700 transcript:ONI16480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYRDEKDNYGEEMKCQTEGEFLLLFFSFYLQHPIYSVTNSTVWITPHHQLFIQWSITVGASMRHAHRRIFHPYDVIFSICFNLYLFYP >ONI14708 pep chromosome:Prunus_persica_NCBIv2:G3:218899:220611:1 gene:PRUPE_3G003200 transcript:ONI14708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEPFLGSQTGPQHQLQPIIEDHDFSFKIAPSLSDPTLLIFQEPQQHHVQQDPQSSSSTSTTQFGAPSARTKKPGTLHRCKTAPAMAVMRDLKPKTTQIPKPQSESSSIIRDKFSGIETHPVVDALYFCIVTMCTIGYGDIAPTTPFTKIFACVFVLFGFGFIDILLSGVVNFVLDLQENMILTGIQMGQAQAQAQAHSHRFSARDYIVDVAKGRMRIRLKVGLALGVVVLSIGMGALVLCFVENLNWIDSIYLSVMSVTTVGYGDRAFKTVQGRLFAAVWLLFSTLAVARAFMYLAEARVDKRHRRIIKWVLDRDITVQDLLAANINNHGFISKSEYVIHKLKEMGKIGEKDILQICNQFSKLDQNHSGKITLPDLLENRL >ONI14707 pep chromosome:Prunus_persica_NCBIv2:G3:218899:220611:1 gene:PRUPE_3G003200 transcript:ONI14707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEPFLGSQTGPQHQLQPIIEDHDFSFKIAPSLSDPTLLIFQEPQQHHVQQDPQSSSSTSTTQFGAPSARTKKPGTLHRCKTAPAMAVMRDLKPKTTQIPKPQSESSSIIRQAVFLLLIYLSLGVVIYSFNRDKFSGIETHPVVDALYFCIVTMCTIGYGDIAPTTPFTKIFACVFVLFGFGFIDILLSGVVNFVLDLQENMILTGIQMGQAQAQAQAHSHRFSARDYIVDVAKGRMRIRLKVGLALGVVVLSIGMGALVLCFVENLNWIDSIYLSVMSVTTVGYGDRAFKTVQGRLFAAVWLLFSTLAVARAFMYLAEARVDKRHRRIIKWVLDRDITVQDLLAANINNHGFISKSEYVIHKLKEMGKIGEKDILQICNQFSKLDQNHSGKITLPDLLENRL >ONI14959 pep chromosome:Prunus_persica_NCBIv2:G3:1293044:1294866:-1 gene:PRUPE_3G018100 transcript:ONI14959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRKCLKHQDMAESQIDTGRRMWSPLYPKSVFGEDTLVNVSFEKQADGKLSGYIRIRSKTRGIALQSWGQDHLETEGRPLIGQQA >ONI19140 pep chromosome:Prunus_persica_NCBIv2:G3:24739488:24740452:-1 gene:PRUPE_3G261300 transcript:ONI19140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACFLASNFFTSNSIKTPFHCKRVGIPSSITCCRMDSSPPSNDDSKPSIDTDWRSFRAKLVAAEKSSKSKKPTSSLVDLDTVVDLPQPITVGDKWAHTIHEPEKGCLLLATEKLDGVHIFERTVILVLSTGPLGPSGIILNRPSLMSIKETRSTALDVAGTFSDRPLFFGGPLEEGLFLVRPKGGDDVVGRSGVFDEVMKGLYYGTKESVGCAAEMVKRNMVGLGEFRFFDGYCGWEKEQLKDEIRAGYWTVAACSPSVIDLRSVGSVGLWEKVLGLMGRRKVR >ONI16058 pep chromosome:Prunus_persica_NCBIv2:G3:5616969:5622843:1 gene:PRUPE_3G076400 transcript:ONI16058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESAPWRAAAYISQHDIHNPETTVRETLAFSSRCQGIGVRNEWLAELSRREKAQNIHPDPDIDVFMKAASIEGQKSSVVTDYVLKILGLDICADTMVGDAMLRGISGGQRKRVTTGEMLVGPAKVLFMDEISTGLDSSTTFQIVNSLRQFIRILNGTAVIALLQPPPETYELFDDIILLSDGQIVYQGPREHVLEFFESLGFKCPERKGVADFLQEVTSEKDQEQYWANRDETYRFVTVKEFSDAFQSFHVGKRINEELAIPYDKSKQSADILAPQKYGVGKKDLFKACMSREYLLMKRNSFVYVFKFAQLIVMAIITMTLFLRTKMHRDSITDGGIFSGALFFGLIMVMFNGMAELSLTISKLPVFYKQRDLMFFPAWAYALPGWFLKIPISFVEVAIWVFVTYYVIGFDPNVNRLFRQYLIFVLVHQMASGLFRLLAALGRNMIVASTFGSFALLVLFVNGGFVLSRVDIKKWWKWAYWISPLMYGQNAVAVNEFLGHSWKHVLPNTAQPLGIVFLKSRGFFPHEYWYWIGVGALIGFMLLFNLAYVFALTYLDSYDKAQASQSEESQTNEQDATAENAGNKAGTGINSNKKKGMTLPFQQQSLTFDDIVYSVDMPQEMKNQGVSEDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIQGEIKISGYPKKQETFARISGYCEQNDIHSPYVTVYESLLFSAWLRLPPEVNSSTRKMFVEEVMELVELKPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGEEIYVGPLGYHSCDLVKYFEDVQGVSKIIDGYNPATWMLEVSTSAQEKAIGVDFSKVYKNSELYRRNKELIRQLSIPPPDSRDLHFPSRYSQSFFSQYMACFWKQRLSYWRNPPYTAVRFLFTTIIALMFGTMFWDLGSKTKNEQDLFNAMGCMYCAVQFIGVQNASSVQPVVSVERTVFYREKAAGMYSPLAYALSQIIIELPYIFAQTVAYAVIVYSMMGHEWTAAKFFWYLYFMYFSLLYFTFYGMMAVAVTPNYHIATIISSAFYGVWNLFSGFVVPRTRIPVWWIWYYWICPVAWTLYGLVASQYGDIDDVLDNGETVKQFLKDYFGFKHDFLGVVAGVVAAFVVLFGLIFAISIKVFNFQKR >ONI16057 pep chromosome:Prunus_persica_NCBIv2:G3:5615273:5622843:1 gene:PRUPE_3G076400 transcript:ONI16057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNLYEAGQSLQANGSSIWTDNGMEVFSRTSMTSNHENDEDDLMWAALERLPTFNRLKKGLLTSSRGEANEVDVRKLGFQERHNLIERLVRDAETGNEKFLMRLRERLDRVGVEVPTLEVRYEHVNIEAEAHVGKRALPSFFNFYINFLEGFLTNLHLLKSRKKKLSILGDLSGIIRPSRITLLLGPPSSGRTTLLLALAGKLPLDLKFSGKVTYNGLDMNESAPWRAAAYISQHDIHNPETTVRETLAFSSRCQGIGVRNEWLAELSRREKAQNIHPDPDIDVFMKAASIEGQKSSVVTDYVLKILGLDICADTMVGDAMLRGISGGQRKRVTTGEMLVGPAKVLFMDEISTGLDSSTTFQIVNSLRQFIRILNGTAVIALLQPPPETYELFDDIILLSDGQIVYQGPREHVLEFFESLGFKCPERKGVADFLQEVTSEKDQEQYWANRDETYRFVTVKEFSDAFQSFHVGKRINEELAIPYDKSKQSADILAPQKYGVGKKDLFKACMSREYLLMKRNSFVYVFKFAQLIVMAIITMTLFLRTKMHRDSITDGGIFSGALFFGLIMVMFNGMAELSLTISKLPVFYKQRDLMFFPAWAYALPGWFLKIPISFVEVAIWVFVTYYVIGFDPNVNRLFRQYLIFVLVHQMASGLFRLLAALGRNMIVASTFGSFALLVLFVNGGFVLSRVDIKKWWKWAYWISPLMYGQNAVAVNEFLGHSWKHVLPNTAQPLGIVFLKSRGFFPHEYWYWIGVGALIGFMLLFNLAYVFALTYLDSYDKAQASQSEESQTNEQDATAENAGNKAGTGINSNKKKGMTLPFQQQSLTFDDIVYSVDMPQEMKNQGVSEDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIQGEIKISGYPKKQETFARISGYCEQNDIHSPYVTVYESLLFSAWLRLPPEVNSSTRKMFVEEVMELVELKPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGEEIYVGPLGYHSCDLVKYFEDVQGVSKIIDGYNPATWMLEVSTSAQEKAIGVDFSKVYKNSELYRRNKELIRQLSIPPPDSRDLHFPSRYSQSFFSQYMACFWKQRLSYWRNPPYTAVRFLFTTIIALMFGTMFWDLGSKTKNEQDLFNAMGCMYCAVQFIGVQNASSVQPVVSVERTVFYREKAAGMYSPLAYALSQIIIELPYIFAQTVAYAVIVYSMMGHEWTAAKFFWYLYFMYFSLLYFTFYGMMAVAVTPNYHIATIISSAFYGVWNLFSGFVVPRTRIPVWWIWYYWICPVAWTLYGLVASQYGDIDDVLDNGETVKQFLKDYFGFKHDFLGVVAGVVAAFVVLFGLIFAISIKVFNFQKR >ONI19457 pep chromosome:Prunus_persica_NCBIv2:G3:25630411:25632436:-1 gene:PRUPE_3G280700 transcript:ONI19457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSCYTDVLPFMAMVTMECTNVGLNTLFKAATLRGMSYHVFVVYSYSVAALFLLPAPFISNRSRVLPPLNFSIMSKVLLLGLIGSSSQIMGYTGINFSSPTLASAISNLVPAFTFILAVIFRMESAALRSRSSQAKILGTIVSLAGAFVVTLYKGPPLVFPHQSPSISLHQPLLNPTTPTNSNWVLGGLLLTAEYILVPLWYIVQAQIMKEYPNELTVIFFYNVCVGVVAGVVALITEPNSSAWKLRPNVALVSILCSGLFGSFLNNTVHTWALRLKGPVYVTMFKPLSMAIAVAMGVIFLGDTLHLGSLVGATIISIGFYTVLWGKAKEEAVEDSVADSLEAPSAHKAPLLQKYIKNDNM >ONI19456 pep chromosome:Prunus_persica_NCBIv2:G3:25629895:25632612:-1 gene:PRUPE_3G280700 transcript:ONI19456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSCYTDVLPFMAMVTMECTNVGLNTLFKAATLRGMSYHVFVVYSYSVAALFLLPAPFISNRSRVLPPLNFSIMSKVLLLGLIGSSSQIMGYTGINFSSPTLASAISNLVPAFTFILAVIFRMESAALRSRSSQAKILGTIVSLAGAFVVTLYKGPPLVFPHQSPSISLHQPLLNPTTPTNSNWVLGGLLLTAEYILVPLWYIVQGLFGSFLNNTVHTWALRLKGPVYVTMFKPLSMAIAVAMGVIFLGDTLHLGSLVGATIISIGFYTVLWGKAKEEAVEDSVADSLEAPSAHKAPLLQKYIKNDNM >ONI19458 pep chromosome:Prunus_persica_NCBIv2:G3:25630954:25632612:-1 gene:PRUPE_3G280700 transcript:ONI19458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSCYTDVLPFMAMVTMECTNVGLNTLFKAATLRGMSYHVFVVYSYSVAALFLLPAPFISNRSRVLPPLNFSIMSKVLLLGLIGSSSQIMGYTGINFSSPTLASAISNLVPAFTFILAVIFRMESAALRSRSSQAKILGTIVSLAGAFVVTLYKGPPLVFPHQSPSISLHQPLLNPTTPTNSNWVLGGLLLTAEYILVPLWYIVQAQIMKEYPNELTVIFFYNVCVGVVAGVVALITEPNSSAWKLRPNVALVSILCSVRN >ONI19489 pep chromosome:Prunus_persica_NCBIv2:G3:25643909:25646594:1 gene:PRUPE_3G281100 transcript:ONI19489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSRVCKRALPLSPYFKNSQHFPCESRCIPSYNFIGGSSSSAYQRHFSHYRTIWGFKLGSFEKCGQLPSLASQSLLRIQRPYSSQATAQQKSRKMLLYLTGLVFAMVASTYAAVPLYRRFCQATGYGGTVQRRESVEQKIARHTKDGTVTTREIVVQFNADVADGMQWKFIPTQREIRVKPGESALAFYTAENRSSIPIVGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNIILSYTFFKVSE >ONI19490 pep chromosome:Prunus_persica_NCBIv2:G3:25643909:25646594:1 gene:PRUPE_3G281100 transcript:ONI19490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSRVCKRALPLSPYFKNSQHFPCESRCIPSYNFIGGSSSSAYQRHFSHYRTIWGFKLGSFEKCGQLPSLASQSLLRIQRPYSSQATAQQKSRKMLLYLTGLVFAMVASTYAAVPLYRRFCQATGYGGTVQRRESVEQKIARHTKDGTVTTREIVVQFNADVADGMQWKFIPTQREIRVKPGESALAFYTAENRSSIPIVGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNIILSYTFFKVSE >ONI17670 pep chromosome:Prunus_persica_NCBIv2:G3:19093905:19095327:1 gene:PRUPE_3G173200 transcript:ONI17670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVFLLQLPPPEKFKFCKLRLAVHISTFMPFSNKDSFNLGTFLVFFFFGCCWVLGYFGWVVMGLMCCRR >ONI16606 pep chromosome:Prunus_persica_NCBIv2:G3:8929233:8930627:1 gene:PRUPE_3G109800 transcript:ONI16606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDFVQIVVVATCVCGSRFCSLRASAAVSVGDYCFGESILSRICSGDYGVNTSLGASLFHFCFSKENYTAHSPYDANLIQLFNLLYTKVPPTGFGLGSTGKGQNQANGLALCRGDVSSQDCKTCVVEASKEISAALYSNVKFAGKVDYKNKFSLWNGYKVDDPMPFNAKVKELLSGLSTKASSNPKFYATGELVLSSWETLYGLTQCTRDLYSSDCKKCLDDAISELPNCCDGQRGGRVVGGSCNFRYELYPIVDP >ONI18341 pep chromosome:Prunus_persica_NCBIv2:G3:21567893:21571148:-1 gene:PRUPE_3G210200 transcript:ONI18341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWSIKQVRVSVTSTNNKRVSRPFPPLLSSPLFSTTQNNSKPHHPSHSSSQNQKLVPLIKIRIPKIPTKNLLFSTKNTLKKQKLIHDPKLLTMPSKLKKAIGAVKDQTSISLAKVSNTNSANLEVTVLKATSHDVVPIEDKYVQEILTLISSNKSYASSCAQAIARRIGKTRDWIVALKSLMLVLRIFQDGDPYFPIEVLHAMKRGAKILNLSNFRDDSNSCPWDFTAFVRTFALYLDERLDCFLTGKLQRRFTYQRDQEYNSSRRSRRSNDPVAVVRDMKPAMLLDRIHYWQKLLDRAMATTPTGAARTNRLVLISLYAIVQETYDLYRDISDGLALLLDSFFQLQYQSCVNAFHACVKASKQFEELSAFYGTCKSLGVGRTSEYPSVQKISEELLETLQEFLKDQASFPSRSPPTHLTAKGSKNKGLSLEQSEFPSSEQFETASEKGSAFSSACTSLEDLMSVCDQNGNVSPSWSVEQEFYNSEEQPEKPAEDGLARRTNENGSNQSSPESFNHVSFDGFPPQSQPQPQPQPDQIKQEELVGVDDQEGAKQGWELVLFESANNQTPLQTSSPNLPIDSSNLDSLFQNSSVPQHNYNPFLDDPVPFDSFAASSNPSATASNGFGNLGEDDLFSFPSTMLVVAPTFPGQNLKETTTFGANNSNESADVTPFPAQSSNNSTMALTFLAQSPKESEMSPSLWPRRANESTTMATAFCAQSQREMTIAPTFCVRSTNEVGEQSPKENTILMPPPTFHARDTNGSAISPTFCAQNPQESRMPPNFNESVVPTFRAQNRNDKTMAPTFTAVARDNESVVPTYGVHNRSDTAMAPTFGAHNRSDTAMAPTFQADEPNEFIAAPTFFARSEMKTRGALPNVENNDPFATFFSKVTTPSEPVCNGLVNQESLLHQQRLWLEQQNKIIAKHMS >ONI15387 pep chromosome:Prunus_persica_NCBIv2:G3:2954508:2957226:-1 gene:PRUPE_3G041300 transcript:ONI15387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKFSFPVISSLIFTKQRKTMQLFPENVRHLWNVWELRATVLISLSLQTILILIGNWRKHSTSNFLRIVLWLSYLSADAVATISLGILSNNQEDSQGDSVHPNYIITAFWAPFLLLHLGGPDTITAYSLEDNELWLRHLLGLVAQVLVAFYVCFRARSSKVLNFLAIPMFIVGIIKFGERTWVLRASSSELFRESMLKNPDPGPNYARYMEEYISKKDEGFRVVPTHFIEASTTAEAFPIPQVTGTTQPTILTSYNFFKTFKLLCADLILSFHDIVNSQSFFQNTNYEKVFEVIEIELGFMYDLFFTKAVLVYSWLGGILRCVSLSFTVAVFVAFLFVEKQAYKGVDVAITYVLLVGAIVLEIHAVAIILSSDWTKLWLSKLRQSNHKSIMVGVVDYLHKAISSIPLIKNDRWSNTVAQCNLITFCLKARPAKCILIHKDLYINQVLEKHRYKDLKVDCKELKDLIYEQLQDRASANLEDCKQLCALRVDKVFEKANCQRLGWTIKEFDQSIILWHIATDICYHSEPNPKSSPSCEASSLLSNYMLYLLLMCPFMLPDGIGKIRFRDTCAETEEFFTERNSITNEKNACKMLLKVSTKILPSEVKGDRSKSVLFDACRLAKALQALGDEENGEKKWELMSDVWVEMLCYAANQCRWSDHAQQLR >ONI18737 pep chromosome:Prunus_persica_NCBIv2:G3:23083939:23086533:1 gene:PRUPE_3G235600 transcript:ONI18737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAWDMLAEMLSPSPEEGRHNNNDESVVNVKHGSLYESLKSGDWNAAKEYIDRHPESLTHRGSSSGGTALHVAIEWKQLHIVEELLKLMTGEDLEIEDGDGFTAFFYALIRGTAPIVASMHFQVRFLSNFGNAESITINIYGFPQVRTPCSKCSSYKTFLLGHDRILQILRLMCDVVKHTNLDWKQTIFVQKAILRAVEGGKVEFIKEMCKANPRILLTKMDERGRSIFLYAVECRQEKVFNLIYGLSEYDRNAILTRADDFNNTILHAAGSLSGHLNHIQGAALQMQRELQWFKEVESIVPPSSLEMINVTEKMTAQEVFTKNHKELVKEGEESIKGIATSCTVVGALIVTIMFAAAFTVPGGSNQDTGFSIFLRKKILMSRYAEYDFLRSLPTKMILGLFTLFLSVATMMVAFSSTLFIMLEGESWVFIPVILLARVPISSFVWMQFPLFLDIFMFTYGKGIFDKKCKAGE >ONI16376 pep chromosome:Prunus_persica_NCBIv2:G3:7127351:7128631:1 gene:PRUPE_3G095100 transcript:ONI16376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCCCLIWADTGDKSDLVAACPLLGLLIWAQSSSPYSTTNAPLTPFSHSCSLSLSHRLKKNSQVNTEDPINASLNLKKASTKNDYSSLNINKKAGGGTKVIAKGDEKEWLGRGLEYKMALENENIQRNKNKIYYREREIWVVGEKKLGRGKKIWGGGEEIGRKGEEIGRKRIG >ONI18235 pep chromosome:Prunus_persica_NCBIv2:G3:21201141:21203052:1 gene:PRUPE_3G203900 transcript:ONI18235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLKNVLPMFVFLLLASTAKAQSAALDVTSEKYGGKPDSDITQALEKAWTDACASTAPSKIVVPKGTFKFVGTTFKGPCKAAIEFQLQGTLQAPVDGSQLPKDDTWIGFDNVDGLTLSGGGTFDGQGAQSWKNNDCNKNRQCKSKHINLRFHLLTNSKILDVTSKDSKNFHVNLLKCEKVEFNGFTVSAPKESMNTDGIHIGRSTGINITATTIGTGDDCISIGDGTKDLTVTNVTCGPGHGIAIGSLGRYPEEEPVSGINIKKCTLTDTTNGVRIKTWPASPKDSTASDIHFEDITMVNVGNPILIDQEYCPWNECKKGVPSKVKISNVSFKNIKGTCTDPVAVKLACSPGLPCENVELSDIDLKYTGDKGPITSVCSNVKPTITRVAQPLACATSAAAPASA >ONI14957 pep chromosome:Prunus_persica_NCBIv2:G3:1280475:1284621:-1 gene:PRUPE_3G017900 transcript:ONI14957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRSTRIAIVNTDKCKPKKCNQECKRTCPVVRTGKLCIEVKPVSKVARISEELCIGCGICVKKCPFGAIEIINLPKALDKDTTYRYGSNSFKLHRLPVPRPGEVLGLVGTNGIGKSTALKILAGKLKPNLGRFNNPPNWQEILTYFRGSELQSYFIRLLEDKLKAVIKPQYVDYIPKVAEGNVGELLKQKDERGVMEEVIVDLELNQVLDRKVVNLSGGELQRFAIAFLALQKADIYMFDEPSSYLDVKQRLKAAKVIRSLIRPDSYVIVVEHDLSVLDYLSDHICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVSETPQESGEEIETYARYGYPSMTKTLGDFKLQVIEGEFSNSQIIVMLGENGSGKTTFMRMLVGLLKPDSDVEIPELCVSYKTQKINTKRAIKVKDMLHEKIRDSCTHPQFVTDVMKPLQIGQLMDQDVTKLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVAAKVIKRFILHAKKTAFVVEHDFIMATYLADKVIVYEGKPSVDCIANSPQSLLTGMNLFLSQLDITFRRDPTNYRPRINKLNSTKDREQKAAGSYYYLDD >ONI15260 pep chromosome:Prunus_persica_NCBIv2:G3:2469912:2471532:1 gene:PRUPE_3G033500 transcript:ONI15260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRFQIYILAFCAGLVIQSSCHGHSGLQKKHAALFIFGDSLYDPGNNNYINTSKGLQANWLPYGETFFRYPTGRFSNGRLIADFIAEYAKLPFIPAYLQPGLKDYTYGVNFASAGAGALVIDLKTQRSQFKKVEKQLRKKLGEAEAYTLLSKAVYLISIGGNDYFSPLATNTSHEEYVGWVIGNLTSWIKDVYKKGGRKFGFSNLGPYASTPSMRFIQPGNTGPSREEITALENLHNRLLSKVLTKLKKELQGFKYSTLNLYTYAKERINHPSKYGFKEGKAACCGSGPYRAINTCGGKRGVTEYELCNNVTEYVFFDSAHATERFYEQVSKLWWSHNLKELFEV >ONI19955 pep chromosome:Prunus_persica_NCBIv2:G3:26825363:26825569:-1 gene:PRUPE_3G307500 transcript:ONI19955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNWAAPLIASGLFAFLSPGLVFQMPGKERPFEFMNMKTSVASIFLHAVIYGLLIILFLVILDIHIYA >ONI17935 pep chromosome:Prunus_persica_NCBIv2:G3:20103771:20105111:-1 gene:PRUPE_3G187000 transcript:ONI17935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSLVPQSPIGAANPNNNPSSSSSSMKTKRVDRDLAGGESSGEDPSFTKRPNSGRNFSREKTSDERETEPVAEGESAGLRLLGLLLQCAECVAMDSLDEASDLLPEIAELSSPFGSSPERVGAYFAHALQTRVISSCLGTYSPLTTKTLTLAQSQRIFNALQSYNSISPLVKFSHFTSNQAIFQALDGEDHVHVIDLDIMQGLQWPGLFHILASRSKKIRSMRITGFGSSSELLESTGRRLADFASSLGLPFEFRPLEGKIGSITELSQLGVRPDEATVVHWMHHCLYDVTGSDLATLRLLGSLRPKLITIAEQDLSHSGSFLGRFVEALHYYSALFDALGDGLGADSLERHMVEQQLFGCEIRNILAVGGPKRTGEVKVERWGDELKRVGFRPVSLGGNPAAQASLLLGMFPWKGYTLVEENGCLKLGWKDLSLLTASAWQPLD >ONI16569 pep chromosome:Prunus_persica_NCBIv2:G3:8522731:8528592:1 gene:PRUPE_3G107100 transcript:ONI16569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKRIATKTEFQRLSSTITKTHFISSTNFRPFSSNSKKGDDDWNDAWETAWLPPDLSGSSSRAPWEADVNFSSSESSVVLPSDADLETKAFVEDMNENWNERRKPKEEKQQSENGSSLYSLDSIKKDYRIKKQRIHAGLWMKEIEKQEEAKLADSNSFGGGDDIERLLDSCSDIFDSANNDLENSKAPSASDFKNKPDGWETTSKAKDGNVWEMTQREEDILLQEFERRIAYNKFQIASFIKTHIFSRRRPIDGWKYMIEELGPNARKGKGSVTRLPSLSDASTQPFKEENSAMSGSSIMPFKERKIEDAHKLFDEFPQGNDLISWNTLMGYLHVSQPQVIVDLFKKMCRSCFEASVTTVLNLLSAIGDLGSYLGGESLQGYCINIGFCLYLQVLSALIDMYAKNGQTDFGRRIFDGVAVKDVVLWNCLVDRYAKCGLVQEAVALSRLMRLERMKPNSSTLAGLLSACAASGSPSVGSCIKDYVEEENLVMDAVLGTALVDMYAKCVFLEKALNIFESMEK >ONI16826 pep chromosome:Prunus_persica_NCBIv2:G3:11218843:11221084:-1 gene:PRUPE_3G124200 transcript:ONI16826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSEGDCRPLGFLLGLPFALLSLVLSIVGIVIWIVGLLLTCICPCCLCVTVVVELALELVKAPIHVMEWFTSQIPC >ONI20030 pep chromosome:Prunus_persica_NCBIv2:G3:26999875:27001422:1 gene:PRUPE_3G311100 transcript:ONI20030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKADEGIYHDFLDQKNPPHHISSSSHVNLTSPIISSPSSSPTPREPMGVPTNKRSQHQATTGHAGSSLSGGPNRLFPCRYCSRQFYTSQALGGHQNAHKRERAAARRSVVAGGSYPTGATYSPHHHAPLNAPPPPPPPPPPPSHHHLPLNVPHHHPHDQIQHHDDDPTQHFPPHQYQVFHQAQNHQQQQPRLLDPPAVPNMSSNSYSSSFFMDMDMKHNIMQQQQQDNQPPPFYWGVDLTNHHLITASSTSSLPLPLPLPLHGPTEGIPPQPQPLSPAPPTHHYHLHPQNAVAPHDHTHSLDLSLRL >ONI17041 pep chromosome:Prunus_persica_NCBIv2:G3:14060282:14062462:1 gene:PRUPE_3G134400 transcript:ONI17041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDYNEMDMGYEDEPVEPEIEEGAEEEDVENANNDDLPGEAVEADDKEEQAPVERPRRTSKFMTKYERARILGTRAVQISMNAPVMVELQGETDPLEIAMKELRERKVPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGN >ONI20093 pep chromosome:Prunus_persica_NCBIv2:G3:27189692:27192988:1 gene:PRUPE_3G314600 transcript:ONI20093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVIARTGRHRQRYENNFRLVSGSLEEEKHLQVASSMICIPYRMTEDDEDHNGDIENRIQVLMVSSPDRDDRVFPKGGWEDDETVLEAACREAFEEAGVKGTLRETPLGVWEFRSKSSQKIESLEGGCRGYMFALEVTEELESWPEQQNRDRKWLNIKEAFRLCRYEWMCRALEEFVRVMEVSDDDEGGKIEIGGEEKGEASANVNAMPSVPAVTDHHVVAECQIVSSNCCKEIKPPSTSQQLVISVHCHGTWLSRGLPLSH >ONI20094 pep chromosome:Prunus_persica_NCBIv2:G3:27189692:27193023:1 gene:PRUPE_3G314600 transcript:ONI20094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVIARTGRHRQRYENNFRLVSGSLEEEKHLQVASSMICIPYRMTEDDEDHNGDIENRIQVLMVSSPDRDDRVFPKGGWEDDETVLEAACREAFEEAGVKGTLRETPLGVWEFRSKSSQKIESLEGGCRGYMFALEVTEELESWPEQQNRDRKWLNIKEAFRLCRYEWMCRALEEFVRVMEVSDDDEGGKIEIGGEEKGEASANVNAMPSVPAVTDHHVVAECQIVSSNCCKEIKPPSTSQQLVISVHCHGTWLSRGLPLSH >ONI20096 pep chromosome:Prunus_persica_NCBIv2:G3:27189692:27192988:1 gene:PRUPE_3G314600 transcript:ONI20096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVIARTGRHRQRYENNFRLVSGCIPYRMTEDDEDHNGDIENRIQVLMVSSPDRDDRVFPKGGWEDDETVLEAACREAFEEAGVKGTLRETPLGVWEFRSKSSQKIESLEGGCRGYMFALEVTEELESWPEQQNRDRKWLNIKEAFRLCRYEWMCRALEEFVRVMEVSDDDEGGKIEIGGEEKGEASANVNAMPSVPAVTDHHVVAECQIVSSNCCKEIKPPSTSQQLVISVHCHGTWLSRGLPLSH >ONI20095 pep chromosome:Prunus_persica_NCBIv2:G3:27189692:27193084:1 gene:PRUPE_3G314600 transcript:ONI20095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVIARTGRHRQRYENNFRLVSGCIPYRMTEDDEDHNGDIENRIQVLMVSSPDRDDRVFPKGGWEDDETVLEAACREAFEEAGVKGTLRETPLGVWEFRSKSSQKIESLEGGCRGYMFALEVTEELESWPEQQNRDRKWLNIKEAFRLCRYEWMCRALEEFVRVMEVSDDDEGGKIEIGGEEKGEASANVNAMPSVPAVTDHHVVAECQIVSSNCCKEIKPPSTSQQLVISVHCHGTWLSRGLPLSH >ONI16459 pep chromosome:Prunus_persica_NCBIv2:G3:7640466:7641467:-1 gene:PRUPE_3G099400 transcript:ONI16459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKKYKTKEEGCFLKNGAAILEQLIHSFYGNCNPIRMYSKEELKKATNDYCWDGMAHQDWNSRLYKGVHEEHGILVKKFEADSSSYMDPLELITNEAAIASNMSKHKNVLKLLGCCLESELPTLVYEFPAKGNLSHHIYGDGQSLQWQIRLKIGIEVADAVAYLHYGMPKMIIHRDIKAGHIFLDQDYVAKLSEFRTSVPIPLGKTHVDVEVITGTHRCLPPEYAISGRTSEKSDVHSFGILLCEIFAGKRWDKANVLEEENKKQVVECAKLIERCLKTNPDDRPIMTEVALSLRLIKSL >ONI17088 pep chromosome:Prunus_persica_NCBIv2:G3:14490050:14495721:1 gene:PRUPE_3G137200 transcript:ONI17088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRAFTFKCSPSLAFSTKTLMDSKPRTLRSPFSCSTSGSPQREARLSDAVEGAITLQEWQGWGTTSPLPAMVTKIVEDLKALEKDIDAQMSFGGSGGKLQGDFKVQEDKKHRKTYQALGDSEQKLQFFAARQIACRLLGSRGYLCQKCWLPLEDCMCSNVTQSTLWHRMRFWLYMHPKDFLRQNNTGKLLWQVFGTEAATLCLFGISEHEEIMWNALKLAGKKNVWCLYPNKNAALKSVEDVFGQEPSPYLECTDTKTNEDGTLNFILIDGTWNNSVSIFSRLKDQATSVWGEADFPCISLATGVSAMHKLRPQPSWDRTCTAGAAIGLLSELQLLPEFSSFGFDKQAEALEDTLVILLEALTTRRVRMGRSITRKVRHMSGIC >ONI17089 pep chromosome:Prunus_persica_NCBIv2:G3:14490050:14495721:1 gene:PRUPE_3G137200 transcript:ONI17089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRAFTFKCSPSLAFSTKTLMDSKPRTLRSPFSCSTSGSPQREARLSDAVEGAITLQEWQGWGTTSPLPAMVTKIVEDLKALEKDIDAQMSFGGSGGKLQGDFKVQEDKKHRKTYQALGDSEQKLQFFAARQIACRLLGSRGYLCQKCWLPLEDCMCSNVTQSTLWHRMRFWLYMHPKDFLRQNNTGKLLWQVFGTEAATLCLFGISEHEEIMWNALKLAGKKNVWCLYPNKNAALKSVEDVFGQEPSPYLECTDTKDQATSVWGEADFPCISLATGVSAMHKLRPQPSWDRTCTAGAAIGLLSELQLLPEFSSFGFDKQAEALEDTLVILLEALTTRRVRMGRSITRKVRHMSGIC >ONI17090 pep chromosome:Prunus_persica_NCBIv2:G3:14490050:14495721:1 gene:PRUPE_3G137200 transcript:ONI17090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRAFTFKCSPSLAFSTKTLMDSKPRTLRSPFSCSTSGSPQREARLSDAVEGAITLQEWQGWGTTSPLPAMVTKIVEDLKALEKDIDAQMSFGGSGGKLQCWLPLEDCMCSNVTQSTLWHRMRFWLYMHPKDFLRQNNTGKLLWQVFGTEAATLCLFGISEHEEIMWNALKLAGKKNVWCLYPNKNAALKSVEDVFGQEPSPYLECTDTKTNEDGTLNFILIDGTWNNSVSIFSRLKDQATSVWGEADFPCISLATGVSAMHKLRPQPSWDRTCTAGAAIGLLSELQLLPEFSSFGFDKQAEALEDTLVILLEALTTRRVRMGRSITRKVRHMSGIC >ONI17091 pep chromosome:Prunus_persica_NCBIv2:G3:14490050:14495721:1 gene:PRUPE_3G137200 transcript:ONI17091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRAFTFKCSPSLAFSTKTLMDSKPRTLRSPFSCSTSGSPQREARLSDAVEGAITLQEWQGWGTTSPLPAMVTKIVEDLKALEKDIDAQMSFGGSGGKLQCWLPLEDCMCSNVTQSTLWHRMRFWLYMHPKDFLRQNNTGKLLWQVFGTEAATLCLFGISEHEEIMWNALKLAGKKNVWCLYPNKNAALKSVEDVFGQEPSPYLECTDTKDQATSVWGEADFPCISLATGVSAMHKLRPQPSWDRTCTAGAAIGLLSELQLLPEFSSFGFDKQAEALEDTLVILLEALTTRRVRMGRSITRKVRHMSGIC >ONI17883 pep chromosome:Prunus_persica_NCBIv2:G3:19995920:19997845:-1 gene:PRUPE_3G185300 transcript:ONI17883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLRQHSFLAFLCLLLILPTLVFGECTCDEEEDGDRNKTEALKYKLAAIASILVASAIGVGIPILGKTIPALHPDRNIFFLIKAFAAGVILATGFIHVLPDAFDNLTSPCLKENPWGKFPFTGFVAMVAAIGTLMVDASATSYYSRSHFKNNKARPAESCGDQEKAGEHEGHVHVHTHATHGHAHGAVDTSPTTQLLRHRVISQVLELGIIVHSVIIGISLGASESPDTIRPLVAALTFHQFFEGMGLGGCISQAKFTARGVAIMALFFSLTTPVGIAIGIGISNVYKENSPTALIVEGVFNAASAGILIYMSLVDLLAADFMNPKLQSNGRLQVGANIALLLGAGFMSLLAKWA >ONI14754 pep chromosome:Prunus_persica_NCBIv2:G3:386105:387852:1 gene:PRUPE_3G006400 transcript:ONI14754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSKKKKSTPNDTSVKPEALKRMLTNEEKAKLTMELEALMDAEVPLNIVNFLQEHSHSEGQTNDDEIEVDLEAFSDDTLFTLRKLLDDHLLEKQKRQAKAEPCEMEILNESGRI >ONI17740 pep chromosome:Prunus_persica_NCBIv2:G3:19367538:19368985:-1 gene:PRUPE_3G176500 transcript:ONI17740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLQKLGFFCIHASVLLLLVSPLVSSSQEHTKGASSHKDNNIHEQMSHKLLFEITLHGFLLWASMGFLMPLGILAIRMSHREECGRRLRILFYVHGLSELFSVLLATAGAVMSFRNFNNSFNNKHQRVGVGLYGLIWLQALIGFVRPQRVEGLGGRRKGENDFNYEQTTSFFNALQGIQGKKRMVFCALDTWNCSFPAWDPQHLYRFTSLP >ONI17739 pep chromosome:Prunus_persica_NCBIv2:G3:19366980:19369783:-1 gene:PRUPE_3G176500 transcript:ONI17739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLQKLGFFCIHASVLLLLVSPLVSSSQEHTKGASSHKDNNIHEQMSHKLLFEITLHGFLLWASMGFLMPLGILAIRMSHREECGRRLRILFYVHGLSELFSVLLATAGAVMSFRNFNNSFNNKHQRVGVGLYGLIWLQALIGFVRPQRGSKGRSVWFSVHWILGTAVSLLGILNIYTGLQAYHEKTSKGIKFWTIIFTAEFCFIAFFYLFQDKWVYIRKQGVILGSEPVRPTIDQVVLPPEKQKELVTESC >ONI17738 pep chromosome:Prunus_persica_NCBIv2:G3:19366954:19369783:-1 gene:PRUPE_3G176500 transcript:ONI17738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLQKLGFFCIHASVLLLLVSPLVSSSQEHTKGASSHKDNNIHEMSHKLLFEITLHGFLLWASMGFLMPLGILAIRMSHREECGRRLRILFYVHGLSELFSVLLATAGAVMSFRNFNNSFNNKHQRVGVGLYGLIWLQALIGFVRPQRGSKGRSVWFSVHWILGTAVSLLGILNIYTGLQAYHEKTSKGIKFWTIIFTAEFCFIAFFYLFQDKWVYIRKQGVILGSEPVRPTIDQVVLPPEKQKELVTESC >ONI17879 pep chromosome:Prunus_persica_NCBIv2:G3:19974185:19975917:1 gene:PRUPE_3G184900 transcript:ONI17879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPAQLVFIPAPGVGHIVSTVEIAKQLVARDDQLFITILIMKLPFDKLFTNTDPSISHRISFVNLPESHIDTQGLAFTSFIKTFVQGHKTHVKDAVTKLLSESAQAELAGFVIDMFCTPMINVADEFQIPSYVFFTSGAATLGFWFHLQSLHDEQGKDVAEIIGWESESIVPSFINPVPAGVWPRVTRTKEGATDFIDFAARFRQTKGILINTFRELEPHALRSLSDGKFPPVYPVGPLLNMKSDDGHVGSDQSIQKSDILEWLDDQPPSSVVFLCFGSMGSFSEAQVKEIACALEQSGQRFLWSLRKPEPKGKMGMPTDYADVKGVLPEGFLDRTAGIGKVIGWAPQVAILAHPGVGGFVSHCGWNSTLESIWCGVPVATWPLYAEQQLNAFELVKELGLGVEIKVDYNQDSEVVVSAEEIERGIRQVMEKDSDLRARVKEISEKSKKALSDGGSSYSSLGRFLDQI >ONI19125 pep chromosome:Prunus_persica_NCBIv2:G3:24641889:24643631:1 gene:PRUPE_3G260000 transcript:ONI19125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTTASPPHIALLPSAGMGHLTPFLRLASMLSSRSCTVTLITASPSVSAAESSHVSFFLSQHPLVKHIEFKVIPSKPYSNPTTDDPFFLQFEATNRSVHLLYPSLASASPPLSAIFSDFAVASSFAPVAADLGIPNYIISTTSCKFFCLMAYLPVLLSDPSSFSSGLSEVNIPGITPFPLPSIPPQFKNPNHLFTSLIATSAQALSKAKGILMNTFDDFEPETLAAVNSSRVLDNLPPILPIGPLETFEPKKEQDQSYLPWLDSQPAESVVYVSFGSRTALSSAQIRELSKGLERSGYRFLWVLKTSKVDKDDKEELKDLLEESFLDRTKNKGRVVKGWVSQQDILEHPATGGFISHCGWNSVMEAARKGIPMLAWPQHGDQSVNAEVVEKAGLGIWERKWDWGLEGLVSGEEIGKKIVELMEDEKLRGLARKVGENAGKATGIGGKSEKVLTEVLEYLEQKKN >ONI19440 pep chromosome:Prunus_persica_NCBIv2:G3:25605508:25614564:1 gene:PRUPE_3G280200 transcript:ONI19440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSPAEKSKRRRHMWPVPHSNATIVASNLSSASDSFCKDGRKICVGDCALFKPPQDSPPFIGIIRRLKLDKEDRLSLGVSWLYRPADVKLSKGVSLEAAPNEVFYSFHKDEIPAASLLHPCKVAFLRKGVELPSGISSFVCRRVYDTENKCLWWLTDKDYINERQEEVDQLLDKTRLEMHGAVQSGGRSPKPLNGPSSTPQLKSGSDSLQNSTSSFSSLIKGKKRERGDQGSEPAKRERLIKTEDGESGQSRPENMLKSELAKITDKGGLVDFEGVEKLVQLMQPESADKKIDLAGRRMLVDVIAVTDRLDCLERFVQLKGVPVLDEWLQEVHKGKIGDGSSPKESDKSVDEFLFALLRALDKLPVNLHALQTCNVGKSVNHLRSHKNSEIQKKARSLVDMWKKRVEAEMNLNESKSGSGRSVSWPTKHSPSEVSHVGSRKTGSSSEVGSKGSTMQPSVSKAPQVKVGSGETVSKSSASPGSTKLSSISSGNVSKDQNFRMLAGAGTSDLPLTPIKEERSSSSSQSQNNSQSSDHAKTLGSLYREDARSSSAGSVSVTKISGSASRHRKSSNGLHGSSVSGVSKETGQGKVCTPSRNLTPEKASTAGVSYEKLPELPLVDHGNNRIIVRLSNTGRSPGRGASGGCFEDPVSRASSPAERNDNHDKKAKGRSDALQGNSTSDVNSDMYHSKEGLSGSEDGNMLPFSSEHDRTGEDDDKPTEASKAAGSSSKVNSRTGKSYEASLSSMNALIESCVKFSEGSGTASPGDDVGMNLLASVAAGEMSKSENVSPSGSPGRNSPVPEPSFSENDGKLKQVGEEIAEIQCQPNGGANSGATSEMGNICDSMRGKNEARHSVTHMPTNVFGDIKGTSSGCRDRTLECNANLNCSSNMQQNIDGQSLGADVKPGEPCDASASEPSSCARKEGQLEAEGSNQFHEQAKLGPPTLACSISDSKLQVMSSFSGEDKGVHYADERTVGSRTPVVSEAPSGSAKAEQDNELSTCSSSEVAEENHDVKKDSNSDLLTEQKPSVVAGIHSESKEGKSEDAALCSGSGNTLHVESKGENTDDIKAAGLSEQTEKEMRDISVPVLENSCVAQETTDRKDSFGHCSDRPVPHVESLSIPEKENQEHDKYSWSKSEAIESGGMEEQQVSCVNASGSDAAVKLDFDLNEGFPVDEGSQPEFVKAGDPGTSSSFHFPCPLPFQISSMSGSFPASVTVVAPAKGSFVPPENPMRSKGELGWKGSAATSAFRPAEPRKNLETSLSATDTPIGDTASSKQVRTPLDFDLNVPDQRVYEEVVSQNSAHVMGSKSGSRDRGAGGLDLDLNRVDESPDIGSLSASSNCRLEMHPLASRSSLSVGLSNGGVNDSRDFDLNNGPGLDEVATDTAPCTQHLKSSVSLRTPVSGLRINSPDFGNFSAWIPPGNSYPAITVPSVFPGRGEQSYGPAAGSQRVLCPPTANASFGPEIYRGPVLSSSTAVPFPPAATFQYPGFPFETNFPLSSSSFSGSTAYVDSSSGGPLCLPTIPSQLVGPGGVVPSPYTRPYMMSFPGGSSNVSLDGRKWGSQGLDLNAGPGAAETERRDERLTSGLRQLSVPSSQAQIEEPFKLFQVGGTLKRKEPDSGLDAVDRISYKQPSWQ >ONI19439 pep chromosome:Prunus_persica_NCBIv2:G3:25605508:25614564:1 gene:PRUPE_3G280200 transcript:ONI19439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSPAEKSKRRRHMWPVPHSNATIVASNLSSASDSFCKDGRKICVGDCALFKPPQDSPPFIGIIRRLKLDKEDRLSLGVSWLYRPADVKLSKGVSLEAAPNEVFYSFHKDEIPAASLLHPCKVAFLRKGVELPSGISSFVCRRVYDTENKCLWWLTDKDYINERQEEVDQLLDKTRLEMHGAVQSGGRSPKPLNGPSSTPQLKSGSDSLQNSTSSFSSLIKGKKRERGDQGSEPAKRERLIKTEDGESGQSRPENMLKSELAKITDKGGLVDFEGVEKLVQLMQPESADKKIDLAGRRMLVDVIAVTDRLDCLERFVQLKGVPVLDEWLQEVHKGKIGDGSSPKESDKSVDEFLFALLRALDKLPVNLHALQTCNVGKSVNHLRSHKNSEIQKKARSLVDMWKKRVEAEMNLNESKSGSGRSVSWPTKHSPSEVSHVGSRKTGSSSEVGSKGSTMQPSVSKAPQVKVGSGETVSKSSASPGSTKLSSISSGNVSKDQNFRMLAGAGTSDLPLTPIKEERSSSSSQSQNNSQSSDHAKTLGSLYREDARSSSAGSVSVTKISGSASRHRKSSNGLHGSSVSGVSKETGQGKVCTPSRNLTPEKASTAGVSYEKLPELPLVDHGNNRIIVRLSNTGRSPGRGASGGCFEDPVSRASSPAERNDNHDKKAKGRSDALQGNSTSDVNSDMYHSKEGLSGSEDGNMLPFSSEHDRTGEDDDKPTEASKAAGSSSKVNSRTGKSYEASLSSMNALIESCVKFSEGSGTASPGDDVGMNLLASVAAGEMSKSENVSPSGSPGRNSPVPEPSFSENDGKLKQVGEEIAEIQCQPNGGANSGATSEMGNICDSMRGKNEARHSVTHMPTNVFGDIKGTSSGCRDRTLECNANLNCSSNMQQNIDGQSLGADVKPGEPCDASASEPSSCARKEGQLEAEGSNQFHEQAKLGPPTLACSISDSKLQVMSSFSGEDKGVHYADERTVGSRTPVVSEAPSGSAKAEQDNELSTCSSSEVAEENHDVKKDSNSDLLTEQKPSVVAGIHSESKEGKSEDAALCSGSGNTLHVESKGENTDDIKAAGLSEQTEKEMRDISVPVLENSCVAQETTDRKDSFGHCSDRPVPHVESLSIPEKENQEHDKYSWSKSEAIESGGMEEQQVSCVNASGSDAAVKLDFDLNEGFPVDEGSQPEFVKAGDPGTSSSFHFPCPLPFQISSMSGSFPASVTVVAPAKGSFVPPENPMRSKGELGWKGSAATSAFRPAEPRKNLETSLSATDTPIGDTASSKQVRTPLDFDLNVPDQRVYEEVVSQNSAHVMGSKSGSRDRGAGGLDLDLNRVDESPDIGSLSASSNCRLEMHPLASRSSLSVGLSNGGVNDSRDFDLNNGPGLDEVATDTAPCTQHLKSSVSLRTPVSGLRINSPDFGNFSAWIPPGNSYPAITVPSVFPGRGEQSYGPAAGSQRVLCPPTANASFGPEIYRGPVLSSSTAVPFPPAATFQYPGFPFETNFPLSSSSFSGSTAYVDSSSGGPLCLPTIPSQLVGPGGVVPSPYTRPYMMSFPGGSSNVSLDGRKWGSQGLDLNAGPGAAETERRDERLTSGLRQLSVPSSQAQIEEPFKLFQVGGTLKRKEPDSGLDAVDRISYKQPSWQ >ONI15394 pep chromosome:Prunus_persica_NCBIv2:G3:2991593:2995356:-1 gene:PRUPE_3G042000 transcript:ONI15394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWLGFSLTPHLRIHEGYGGGEDQQDHGGGGGGGGGFTSQMTIMPLRSDGSLCVPPADPFRRPNGSEDWRYENHMGATSVTDPNDEGGPKLEDFLGSCYSSSPPPSETKVYNCQSTQQDQNPETISQINVNEAPNFNPTTNNGEDNNNNHHLIQPYSHYCTLNPPSLIQSNGMYKSWLGQTVPFSDEKSTPCGDHHQANGNSFHQSSLSLTMSPSLQQNGNNMGAISQLQIVESKKRPVGKSAAREPVPRKSIDTFGQRTSQFRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGSTTHINFPLSTYERELEEMKNMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDMSRYDVKRICSSSTLIASELAKRLPKDSTPAAIEDFNSCGSSTSAQPLLAIASGEPCDDMAEMVWNGNNAEDHSHSHHENSNTTNNAALGASSSRNSSSPQSPKCSFGHTSEFGLGGGDYSHAYFSLQGAKYEDGNGGGNDHSRLGNLGLVPQVPMFALWND >ONI17788 pep chromosome:Prunus_persica_NCBIv2:G3:19533343:19541228:-1 gene:PRUPE_3G179000 transcript:ONI17788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNRGGQQQNSKVPESMRNRIAQLLSRFNSADDEVYTFEAGLSNHDRAEVHQQCRKMGMKSKSKGSGKKRVVSVYKPKKKAAAVDGIPKLTHLTFSEGTKEVLRDLFMCYPPGDEVEGREMYGTNSNENVKAKRKKDSMFCKPLMTKAEIAKKVQSLASRIKNSDKLRKITKEKSKLPITSYRDVITSAVESHQVILISGETGCGKTTQVPQFILDHMWGKGEACKIVCTQPRRISAASVAERISKERGENVGEDIGYKIRLESQGGRHSSIVLCTNGILLRLLVSRGAGRSKAGDSNKETKQDVNDITHIIVDEIHERDHHSDFMLAIIRDMLSSHPHLHLILMSATVDAERFSHYFGGCPIIRVPGFTYPVKTFYLEDVLSILKSVENNHLNTAVGLQNEDPDLTQELKLFLDEAINLAWSNDEFDPLLEFTSSERTRKVFNYQHSLTGLTPLMVFAGKGRTGDVCMLLSFGADCQLQANDGATALELAEREEQRETAEILKEHIENALSNSMKEQLLIDRYLQYFNPENVDFVLIEQLLRKICSDSKDGAILVFLPGWDDIKKTQERLLTNPYFRNTSKVLIISLHSMVPSADQNMVFKRPPPGCRKIVLSTNMAETAITIDDVVYVIDSGRMKEKNYDPYKNVSSLQSSWVSKASAKQRRGRAGRCQPGICYHLYSKVRAASLPDFQVPEIRRMPIEGLCLQVKLLDPDCNIEDFLIKTLDPPLSETIRNAVAVLQDIGALSLDEKLTVLGEKLGSLPVHPLTSKMLFFSILMNCLDPALTLACATDFKDPFSLPMLPDDKKRAAAAKYELASLYGGHSDQLAVIAAFDIWKTAKQRGQEKLFCSQYFVSSSTMHMLSRMRKQLQTELIRHGFIPEDVSRCSLNARNPGILRAVLVAGLYPMVGRLLPVRKKMKRSVVETPNGVKVCLNNHSMNYKLGFKVTSDDRPLIMFDEITRGDSGVMNIRNCTVIGPLPLLLLSTEISVAPSNNDDDDGYIDEGSDMDDNEDESDEDAMEIDGKSGGQHKEKIMSSPDNSVTVIVDRWLQFGSKALDVAQIYCLRERLSAAILFKVTHPRKVLPPGLGASVYAIACALSYDGLSGISFPKESEESPTSVENETVTDDSVLPLQDCYLHKSIMCDILRDDAHGSNDTNEMHCYFLRSCTPAVNGAAKSSDPLSHSILNAHQKPLSQGTSIPAANGAVRFYVIGNGAAKLSDPSSHSVQPPLDSAPITAHQKPPSQGPDLVGNGASTDASDGPRGESPVKRKKRRNKGREQSS >ONI17037 pep chromosome:Prunus_persica_NCBIv2:G3:13986049:13988716:-1 gene:PRUPE_3G134000 transcript:ONI17037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFVLNTVLSSSLSMVLLPKYIGGLWIKLLLLLDLTCADSGRLWGKLCVCFTLFFYSWNFIFQFHTICDKAEQLPSNLQSLILIFIPFSRN >ONI17578 pep chromosome:Prunus_persica_NCBIv2:G3:18702148:18706312:-1 gene:PRUPE_3G168100 transcript:ONI17578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSIKAISTTSPFTASSSPSSQPPSSSKPSLLSFLHTSSSNPISLKLSHSHSHAHNSHSHSFLITNVLKTADSFETSVSNSQNLKPTSSDSTPTILVSEKLGEAGLQVLRGFGNLECAYNLSPEELCAKISTCDALIVRSGTKVTRQVFEAAKGRLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTVAAAEHGIALLTAMSRNVAQADASIKAGKWLRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMNVISHDPYAPADRARAVGVDLVSFEQAIATADFISLHMPLTPATSKVFNDETFAKMKKGVRIINVARGGVIDEDALVRALESGVVAQAALDVFTEEPPPKDSKLVQHENVTLTPHLGASTKEAQEGVAIEIAEAVVGALKGELSATAVNAPMVPPEVLSELSPYVVLAEKLGKLAVQLVAGGSGITSVRVVYKSARDPDDLDTRLLRAMITKGIIEPISASFINLVNADFVAKQKGLRISEERVAVDSSPGFPVDSIQVQIYNVESKFASSVSESGSISIEGKVKYGEPHLTCLGSFGVDVSLEGNLILCRQVDQPGMIGRVGNILGNDNVNVSFMSVGRTVQKKALMAIGVDEEPNKRTLEKIGKVPDIEEFVFLNL >ONI17768 pep chromosome:Prunus_persica_NCBIv2:G3:19470346:19473006:-1 gene:PRUPE_3G178000 transcript:ONI17768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAKVMRNSSDQKVKEDDEEIMLPGFRFHPTDEELVGFYLKKKVEKKPISMELIKQIDIYKYDPWDLPKVSTVGDKECYFFCRRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSVKEPLECIGLKKSLVYYRGSAGKGTKTDWMMNEFRLPPHGHGKTTNFLNAKDITQEAFGHSAEFSNESHLTKSTHQMTGKKATPNKTLPIQVLKHAASNLRTTAASHT >ONI17767 pep chromosome:Prunus_persica_NCBIv2:G3:19470346:19472998:-1 gene:PRUPE_3G178000 transcript:ONI17767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAKVMRNSSDQKVKEDDEEIMLPGFRFHPTDEELVGFYLKKKVEKKPISMELIKQIDIYKYDPWDLPKVSTVGDKECYFFCRRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSVKEPLECIGLKKSLVYYRGSAGKGTKTDWMMNEFRLPPHGHGKTTNFLNAKDITQEAEVWTLCRIFKRIPSYKKYTPDDWKEGNTKQNPTDSSSKTCSFESENYCGEPHVSPQESVVMQRIERSSSKPVRVDEQVDETNQWFKFVEETPYATSYSSLRDIPSDNDFFINGNWDELRPVVQLAVDPSFPSL >ONI19326 pep chromosome:Prunus_persica_NCBIv2:G3:25257222:25260042:1 gene:PRUPE_3G272300 transcript:ONI19326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRFLLQASATRRLPNGYFVPPYSAPLFHNRSVSSSTSYSSSSQIPHSPFVEHAENDPDQTTTQPTTSITIDRSGLYNPPEHSHEPSSDSELAKHLKGIIKFRGGPISVAEYMEEVLTNPKAGFYMNRDVFGAGGDFITSPEVSQMFGEMVGVWAMSLWEQMGQPARVNLVELGPGRGTLMADLLHGASKFKNFTESLHVHMVECSPALQKLQHQKLECVDEDVTAGKRTVSMLAKTPVSWHATLEQVPSGVPSIIIAHEFYDALPVYQFQRASRGWCEKMVDVAEDSTFRFVLSSQPTPATLYLAKRCKWAGKEEIAKLGHIEVCPKAMELTETIAERIASDGGGALIIDYGQNGVVSDSLQAIRKHKFVNILDDPGSADLSAYVDFASIRHSAEEVSGEVSVHGPITQSQFLGALGINFRVEALTQNCTEEQFESLRNGYWQLVGEGEAPFWEGPDDKVPIGMGTRYLAMAIVNKKQGVPVPFE >ONI19931 pep chromosome:Prunus_persica_NCBIv2:G3:26766073:26770597:-1 gene:PRUPE_3G305700 transcript:ONI19931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVRGPSDYSREPPRHPSLQINAKEPFNAEPPRSALVSSYVTPVDFFYKRNHGPIPVVDEIHSYSVYISGLIENPKHLFMKDIRALPKYNVTATLQCAGNRRTAMSKTRTVKGVGWDVSAIGNAVWGGAKLADVLELVGIPKLTGATKSGGKHVEFVSVDKCKEENGGPYKASIPLIQATNPEADVLLAYEMNGETLNRDHGYPLRVIVPGVIGARSVKWLDSINLITEECQGFFMQKDYKMFPPSVNWDNINWSTRRPQMDFPVQCVICSLEDVNAIKPGKVKITGYAASGGGRGIERVDVSVDGGKTWIEASRYQRTGIPYISEHTSSEKWAWVLFEATADVQQSTEIVAKAVDSAANVQPEKVEDIWNLRGILNTSWHRVQVRVGHSNL >ONI15927 pep chromosome:Prunus_persica_NCBIv2:G3:5005337:5011443:-1 gene:PRUPE_3G069400 transcript:ONI15927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVGRQGQRSSAAGVHHQRQYSDNFLETSSNGRWLQSAGLQHLQSSNSSGAPPQDYGFYGGGGGGPASRVYRNAQRGYNEFYAEAPSTPPYNSRRKSGEESPNEFSPGLLDLHSFDTELIPDMQVTGLYDGASLYYPSRGRSFDDSEPYISNKQTERARVPENNLLKSFAADKEKASSVAKIKVVVRKRPLNKKELAKNEEDIIDTLSNSVTVHETKLKVDLTEYMEKHEFVFDAVLNEEVSNDEVYHETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRGQGFQLFVSFFEIYGGKLFDLLNDRKKLCMREDGKQQVCIVGLQEYRVSDVETIKELIERGSATRSTGTTGANEESSRSHAILQLAIKRSVDGSESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSNPKKDMLPSTLNLKEPTNLPLPSALPTASTFEVDTNDTWPVQVEKEEFDASEEPYYEAKTLWKRNGKLEQYNVSASEDKVRKPNGQTKLELPKFRSRNSNSDDDLNALLQEEEDLVSAHRKQVEDTMNIVKEEMNLLVEADQPGNQLDDYVTRLNAILSQKAAGILQLQTRLAHFQKRLKEHNVLVSSSGY >ONI15928 pep chromosome:Prunus_persica_NCBIv2:G3:5005337:5011405:-1 gene:PRUPE_3G069400 transcript:ONI15928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVGRQGQRSSAAGVHHQRQYSDNFLETSSNGRWLQSAGLQHLQSSNSSGAPPQQDYGFYGGGGGGPASRVYRNAQRGYNEFYAEAPSTPPYNSRRKSGEESPNEFSPGLLDLHSFDTELIPDMQVTGLYDGASLYYPSRGRSFDDSEPYISNKQTERARVPENNLLKSFAADKEKASSVAKIKVVVRKRPLNKKELAKNEEDIIDTLSNSVTVHETKLKVDLTEYMEKHEFVFDAVLNEEVSNDEVYHETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRGQGFQLFVSFFEIYGGKLFDLLNDRKKLCMREDGKQQVCIVGLQEYRVSDVETIKELIERGSATRSTGTTGANEESSRSHAILQLAIKRSVDGSESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSNPKKDMLPSTLNLKEPTNLPLPSALPTASTFEVDTNDTWPVQVEKEEFDASEEPYYEAKTLWKRNGKLEQYNVSASEDKVRKPNGQTKLELPKFRSRNSNSDDDLNALLQEEEDLVSAHRKQVEDTMNIVKEEMNLLVEADQPGNQLDDYVTRLNAILSQKAAGILQLQTRLAHFQKRLKEHNVLVSSSGY >ONI14975 pep chromosome:Prunus_persica_NCBIv2:G3:1367015:1368531:-1 gene:PRUPE_3G019100 transcript:ONI14975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLSVFDLREKVVGKRGAPAVCPYCGGPVLAWDFDAHLLFCFISISHKTKRKFYCTICSRRLVPAS >ONI19753 pep chromosome:Prunus_persica_NCBIv2:G3:26298170:26300608:1 gene:PRUPE_3G295300 transcript:ONI19753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITRKSKKKKLVKEGGKDEKRGVCYLGRIPPRMDPSTLRQMLSQFGEIQRVYLTPQDPSAQVHNIRAGKFQRQNFSEGWVEFSDKRVAKRVANMLNGEQIGGRKRSSFYYDLWNIKYLSKFKWDDLTEEIAYKKATREQKLALEISAAKRERDFYLSKVDKSRALSCIEERLKKKQKVEEDPGLKQKAEEDLGLKQKAEEDPGLKPKAEEDPENKPDLPVSQPKREVIRRFRQKTPVADNAAEIRPRLSKDILAGVFGGSYCPTF >ONI19754 pep chromosome:Prunus_persica_NCBIv2:G3:26298170:26300445:1 gene:PRUPE_3G295300 transcript:ONI19754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITRKSKKKKLVKEGGKDEKRGVCYLGRIPPRMDPSTLRQMLSQFGEIQRVYLTPQDPSAQVHNIRAGKFQRQNFSEGWVEFSDKRVAKRVANMLNGEQIGGRKRSSFYYDLWNIKYLSKFKWDDLTEEIAYKKATREQKLALEISAAKRERDFYLSKVDKSRALSCIEERLKKKQKVEEDPGLKQKAEEDPENKPDLPVSQPKREVIRRFRQKTPVADNAAEIRPRLSKDILAGVFGGSYCPTF >ONI14850 pep chromosome:Prunus_persica_NCBIv2:G3:792671:795954:1 gene:PRUPE_3G012500 transcript:ONI14850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEWLLRSVSVHPATLNWTPTHLFSDSTHSRVVFLTQPPSLNHFNPRKATIDLNHHNLHCFNQTHSHIAKISLASHLHRHRCTAIVIVARPESSSHSHRHPCTAASSSNTSVIVTHQHHRRTVD >ONI17005 pep chromosome:Prunus_persica_NCBIv2:G3:13927179:13927935:-1 gene:PRUPE_3G133600 transcript:ONI17005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLNVKALKRIVANTHFGVGGMVVMPMQWKNGG >ONI16663 pep chromosome:Prunus_persica_NCBIv2:G3:9541464:9544024:-1 gene:PRUPE_3G113800 transcript:ONI16663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKSRVGIQHRVTKDDAMKWFQVKYEGVILNKSQQLSAS >ONI16808 pep chromosome:Prunus_persica_NCBIv2:G3:11058585:11059549:-1 gene:PRUPE_3G123500 transcript:ONI16808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRRAQQRSQTGHTGAGQHDQRPHVPKRRRSPPSSPPSATDPESFKVHLGPPPQSSQSRFTFFGDSITEESFGDGGWGASLAHHFSRTVDVVLRGYSGYNTRWALQVLDRVFPSSENREDAPLAVTVSFGANDACLPDRCSTFQHVPVNEYKHNLHSIVSFLKDDGADEIKGAAPTEMMRFPPSF >ONI17086 pep chromosome:Prunus_persica_NCBIv2:G3:14478413:14482765:-1 gene:PRUPE_3G137100 transcript:ONI17086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITDSIVLYSSAQNSYLRPVISSRPALSISVRRRLGALPEFRGLRVHLLPKPSPAPSNFPKARPFPSTAGIVSQAQDTTLDVPTVTDRTWNSLVLKADGPVLVEFWAPWCGPCRTIHPVVGELAKEYAGKLKCFKLSTDDTPSIATQYGIRSVPTIMIFINGEKKDAIIGAVPKTTLTATIEKFL >ONI17087 pep chromosome:Prunus_persica_NCBIv2:G3:14478477:14482724:-1 gene:PRUPE_3G137100 transcript:ONI17087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITDSIVLYSSAQNSYLRPVISSRPALSISVRRRLGALPEFRGLRVHLLPKPSPAPSNFPKARPFPSTAGIVSQAQDTTLDVPTVTDRTWNSLVLKADGPVLVEFWAPWCGPCRTIHPVVGELAKEYAGKLKCFKLSTDDTPSIATQYGIRSVPTIMIFINGEKKDAIIGAVPKTTLTATIEKFL >ONI19214 pep chromosome:Prunus_persica_NCBIv2:G3:24905641:24906647:-1 gene:PRUPE_3G265000 transcript:ONI19214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSFCIEFEFGLPPIGDEGVKRTIKRGATCDDQKEAKRQDRQPSPTVKPLEQCTNLGALKQVHASIVVNGFNSDYSSIRELIFASDMAISGKLFVHNSLNAIVLYTQMENRHSRKDSFTFSFILKMGMGSHGKVVRFGFESNTFVRNTLFYFHANYGDLKIASSLFDASEKRDVVPWSALTAGYARRGKLDVARRLFDQMPFKDLQGEMESARKHFDEVTKRYVVTWNATIAVYVLCGSNEQAFQMFKEINTGDLDIFYNTASCVWNFDIFRLYI >ONI15311 pep chromosome:Prunus_persica_NCBIv2:G3:2655507:2658730:-1 gene:PRUPE_3G036900 transcript:ONI15311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGITMAVPVQRAEQGTTKKKPLTKQRQVVVTGLGVVTPLGHDPDVFYNSLLEGVSGISEIGAFDCARFPTKIAGEIKSFSTDGWVSPKLSKKADKFMLYLISAGKKALADGGITEEVMDNLDKRRCGVIIGSALGGMKVFNDAIEALRISYKKMNPFCIPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAAYHIMRGETDMMLCGGSEAAIIPIGLGGFSACKVLSQRNSEPTKASCPWDINRDGFVIGEGAGVLLLEELEHAKKRGANIYAEFLGGSFTCDAYHMTEPHPDGTGVALCIEKALDQSGVCREDVNYINAYAASTPTGDLKEYRAIIRCFGKNPELRVNSTKSMIGHLLGASGAVEAVATIKAIQTGCIHPNINLENPDESVDMNVLVGPKKEKLDIKVAMSNALGFGGHNSSILFAPYK >ONI15310 pep chromosome:Prunus_persica_NCBIv2:G3:2655507:2659489:-1 gene:PRUPE_3G036900 transcript:ONI15310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTASPFCTWLLAACISSPYEKDHPMRSSIFQSPKRLSQWARRKKLISKCSYVGGADFASNLSSSFSVSGIHHLMSSFLAFEPCYDSKVLYESLAFFGSKRSRTRRQRQMNGAVHSGITMAVPVQRAEQGTTKKKPLTKQRQVVVTGLGVVTPLGHDPDVFYNSLLEGVSGISEIGAFDCARFPTKIAGEIKSFSTDGWVSPKLSKKADKFMLYLISAGKKALADGGITEEVMDNLDKRRCGVIIGSALGGMKVFNDAIEALRISYKKMNPFCIPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAAYHIMRGETDMMLCGGSEAAIIPIGLGGFSACKVLSQRNSEPTKASCPWDINRDGFVIGEGAGVLLLEELEHAKKRGANIYAEFLGGSFTCDAYHMTEPHPDGTGVALCIEKALDQSGVCREDVNYINAYAASTPTGDLKEYRAIIRCFGKNPELRVNSTKSMIGHLLGASGAVEAVATIKAIQTGCIHPNINLENPDESVDMNVLVGPKKEKLDIKVAMSNALGFGGHNSSILFAPYK >ONI18986 pep chromosome:Prunus_persica_NCBIv2:G3:24152081:24153379:1 gene:PRUPE_3G251500 transcript:ONI18986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRSHSVSLILSVTVMVMAMSTELPITSHCMMMNKSSTPLINWSNNSLVEFKFDRDLLNNNIDGAQGESDLGLAVVMHMVDSEETSRRLLTAARFSFAIHSLQGSSTFCSKQGSQYDGCLAQKNIPTHNNCRGADPYRKDCPH >ONI15005 pep chromosome:Prunus_persica_NCBIv2:G3:1507874:1513724:-1 gene:PRUPE_3G020700 transcript:ONI15005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPSAKHTSARLVLICVGLLGLALIADFLSASSPRFANSYISIASNWAPPLDISKPSLILTPKKTDDEVPEKGNHKNDTAHGRVLSATFADLPGPELKWENMAAAPVARLDGAAIQIKNLLFVFAGYGTIDYVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTAHTFVLDTETKQWRDMPPLPVPRYAPATQLWRGRLHVMGGSKENRYTPGVDHWSLAVKDGKALEKEWRTEMPIPRGGPHRACIVVDDRLYLIGGQEGDFMAKPGSPIFKCSRRNEVVYGDVYMLDDEMKWKVLPPMPKPDSHIEFAWVVVNNSIVIVGGTTEKHPVTKKMTLVGEIFQFNLNTLNWSVLGKLPFRVKTTLVGFWNGWLYFTSGQRDKGPENPAPKKVIGELWRTKLKLSS >ONI15004 pep chromosome:Prunus_persica_NCBIv2:G3:1507179:1514041:-1 gene:PRUPE_3G020700 transcript:ONI15004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPSAKHTSARLVLICVGLLGLALIADFLSASSPRFANSYISIASNWAPPLDISKPSLILTPKKTDDEGNHKNDTAHGRVLSATFADLPGPELKWENMAAAPVARLDGAAIQIKNLLFVFAGYGTIDYVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTAHTFVLDTETKQWRDMPPLPVPRYAPATQLWRGRLHVMGGSKENRYTPGVDHWSLAVKDGKALEKEWRTEMPIPRGGPHRACIVVDDRLYLIGGQEGDFMAKPGSPIFKCSRRNEVVYGDVYMLDDEMKWKVLPPMPKPDSHIEFAWVVVNNSIVIVGGTTEKHPVTKKMTLVGEIFQFNLNTLNWSVLGKLPFRVKTTLVGFWNGWLYFTSGQRDKGPENPAPKKVIGELWRTKLKLSS >ONI15003 pep chromosome:Prunus_persica_NCBIv2:G3:1507302:1513965:-1 gene:PRUPE_3G020700 transcript:ONI15003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPSAKHTSARLVLICVGLLGLALIADFLSASSPRFANSYISIASNWAPPLDISKPSLILTPKKTDDEGNHKNDTAHGRVLSATFADLPGPELKWENMAAAPVARLDGAAIQIKNLLFVFAGYGTIDYVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTAHTFVLDTETKQWRDMPPLPVPRYAPATQLWRGRLHVMGGSKENRYTPGVDHWSLAVKDGKALEKEWRTEMPIPRGGPHRACIVVDDRLYLIGGQEGDFMAKPGSPIFKCSRRNEVVYGDVYMLDDEMKWKVLPPMPKPDSHIEFAWVVVNNSIVIVGGTTEKHPVTKKMTLVGEIFQFNLNTLNWSVLGKLPFRVKTTLVGFWNGWLYFTSGQRDKGPENPAPKKVIGELWRTKLKLSS >ONI15006 pep chromosome:Prunus_persica_NCBIv2:G3:1507874:1513724:-1 gene:PRUPE_3G020700 transcript:ONI15006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPSAKHTSARLVLICVGLLGLALIADFLSASSPRFANSYISIASNWAPPLDISKPSLILTPKKTDDEVPEKGNHKNDTAHGRVLSATFADLPGPELKWENMAAAPVARLDGAAIQIKNLLFVFAGYGTIDYVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTAHTFVLDTETKQWRDMPPLPVPRYAPATQLWRGRLHVMGGSKENRYTPGVDHWSLAVKDGKALEKEWRTEMPIPRGGPHRACIVVDDRLYLIGGQEGDFMAKPGSPIFKCSRRNEVVYGDVYMLDDEMKWKVLPPMPKPDSHIEFAWVVVNNSIVIVGGTTEKHPVTKKMTLVGEIFQFNLNTLNWSVLGKLPFRVKTTLVGFWNGWLYFTSGQRDKGPENPAPKKVIGELWRTKLKLSS >ONI19782 pep chromosome:Prunus_persica_NCBIv2:G3:26399624:26403151:1 gene:PRUPE_3G297600 transcript:ONI19782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPKGVLASLWNFICFLPYFIGLLLRGNFKGILFCPLICLIMTIGNSAIILGLWPIHFLWTYYCMFRAKRYGPALKIVVCIFVLPVQLILWPLVGIVGSIVGGAAYGFLSPVMETFQAVGEGKTNQLYHCFYDGTWSTVQGCFTVVRDFGDVCYHTYFSVMDDLREQGPPYAKYYEIRDQVLYLPGAVIISVFGFMVDMPVISCIALCKSPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVASIFLGAFAGVVVYQESSFWLGLCYIIASLSIYDEYSNDILDMPEGSCFPRPNYRKKAKLVRTNSRGSSFSRPGSFRSVPSRSVSFTNPITELNPLELMDSLFKECKHHGEIMVSEGIITLQDIEDAKSSKGSRVISIGLPAYCLLQALLRSAKANSVGILLSDNVTELTSSNRPKETFFDWFFNPLLIIKDQIKAENLSEAEEAYLCKLVLLNGDPLRLKNSNIGSAPESERKQAELDAFARRLQGITKSISRYPTFRRRFENLVNAIADDLAQNDGSSKPTDGPKTIPRSKSAFARLFSQKSFSFTNKTSNHGYDPESQTVVNDVTIT >ONI18808 pep chromosome:Prunus_persica_NCBIv2:G3:23453306:23460411:1 gene:PRUPE_3G240800 transcript:ONI18808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPQRWSGWSLTPKTGAEKTGTGSGSNMKSGTPNFNSGDGVVAKGKGLSLFEPRTPASGSVLENGGNMQVESGEGATDREELAQRVSELENELFEYQYNMGLLLIEKKEWTSRHEELRQSLTEAKDAVRREQAAHLIAISEIEKREENLRKALGVEKQCVHDLEKALHEIRSENAEIKFTADSKLAEANALVASIEEKSLELEAKSRAADAKLAEVSRKSSEFERKSKDLEDRESALRRDRLSFNSEQEAHENSLSKRREDLLEWERKLQEGEERLAKGQRILNQREERANENDRIFKQKEKDLEDAQKKIDATNETLKRKEDDISSRLANLTLKEKEYDTMRINLEMKEKELLALEEKLNARERVELQKIIDEHNAILDAKKCEFELEIDQKRKSLDDELRNRLVDVEKKESEINHMEEKVAKREQALEKKGEKVREKEKDFESKMKSLKEKEKSIKSEEKDLESEKKQLIADKEDLVRLLAEVEKIRANNEEQLQKISEEKDRLKVSEEEKSEYHRLQSELKQEIDKYMQQKELLLKEAEDLKQQKELFEREWEELDDKRAEIEKELKNVNEQKEEVEKWKHVEEERLKSEKVMAQDHIQREQDDLKLAKESFEAHMEHEKSVLDEKAQSERSQMLHELETRKRELEIDMQNRLEEMEKPLREREKSFAEERERELDNVNYLREVARREMEEIKVERLKIEKEREEADANKEHLERQHIEIRKDIDELLDLSQKLRDQREQFIKERESFISFIEKFKSCTNCGEMISEFVLSNLRPLAEIENAEVIPPPRLGDDYLKGGFNENLAQRQNNEISLGIDSRSPVSGGTISWLRKCTSKIFNLSPGKKIEFGSPQNLANEAPFSGEQNVEASKRGCGIENEAELSFGVASDSFDVQRVQSDNRIREVEAVQYPSPDEHSNMNSEAPDLPEDSQPSDLKGGCQKPSRRGGRRGRPAVKRTRSVKAVVKDAKAILGEAFETNDSEYANGTAEDSVDMHTESHGGSSLADKRSARNGRKRGRAQTSQIAVSGGDDSEGRSDSVMGAQRKKRREKVIPAEQAPGESRYNLRRPKTGVTVAAASASRDLVKDNEEEVDNARATEHYSKAAPATSIGVGSENGGSTHFVRCGTLGDTQDGEADAIKNLEENTAVSEEVNGSTEGGQEYVDGDEYRSESQNGTPIEEDDDDEESEHPGEASIGKKLWTFFTT >ONI18761 pep chromosome:Prunus_persica_NCBIv2:G3:23243823:23248351:1 gene:PRUPE_3G237400 transcript:ONI18761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTVTSAIPNSPVLDQYNYEDWSFRIKLYLLAEDLWDVVEATTETPKREDDEAKFKAWRKKDAKALIVIQNYCGSANYSLIWGTEIAKAAWDTLAETLNPAQGPEGDANDLYMPFFQTLLDNDWDAAMEYVRQHPEKLNATFEQFDNGTALHFVVSNGRFEIAKELVQLMSEEDLEILDSIGRTALHLALLHRGDSHEIVKCMVEKNKKLLSIRFNNDEIAIPFVLATIEGRVAMSQYLCSASPLETLEDLAYAGVVMSACVVKSRFDILLDLVQRHPKAAFTENKSKVCTYHLLLLSIPKKNLLSMPLTMLVLMLKN >ONI16551 pep chromosome:Prunus_persica_NCBIv2:G3:8386566:8392479:-1 gene:PRUPE_3G105900 transcript:ONI16551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTVKTLKGSHFEIRVQPTDTVMAVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDETTLADNKVTEDGFLVVMLSKSKTSGLAGASSTQPTSTNPPPTPPTTNSTTRPEAPAQPPPVQSTISASDSANVHTDTYGQAASTLLAGTNLEQTIQQIMDMGGGNWDRETVTRALRAAYNNPERAVDYLYSSIPETAEVAVPVGHFPASQATETGAANAAPVSGAPNSAPLNMFPQETLSGAGAGALGSLAFLRNNRQFQALRSMVQANPQILQPMLQELGKQNPQLLRLIQEHHTEFLQLINEPLEGSEGDIFDQPDGPDQDMPHAINVTPAEQEAIERLEAMGFDRALVIEAFLACDRNEELAANYLLENAGDFED >ONI18544 pep chromosome:Prunus_persica_NCBIv2:G3:22250946:22256106:1 gene:PRUPE_3G222000 transcript:ONI18544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMEMRRGSYAVVFGILLCALVIGASEGVRRTKETFIGNGGGWVTQEIDEDMAEQAWVHCRKELIDGKDVVENFNLYIPQEATSDSETIFLTKSNKHNAILVLPPHVKQTLLDCLRKKGLLFRPSGKKASSKNWFIDYLEWLLSWPHSPRRYLAGDSPRPMELTPAPDLAPGPAPDLAPETAPVLAPSPSQLLAPSPSPLLAPGTAAKLPRQQAPSPSPSKHIHTTFRASPNPPPKDPSSKKKNPPLKDPSPKKKNQPPKNPSPKKKSPPSKESKTEKPPAKDNSSLIKLIAIAGTAVVTLIILALLCFCCLKKRRSRKVGPRNGQNDDRPLLTLSAGSSQKSTGLENSNNKEFSTSGDFVNNMPAKTENEPSPGEASTSESTAGGPLPPLKPPPGRPAPPPPGPPPPPPPRLRAPPPPKVAPPPKPLPGKIQRPPLGPHRKGNSVDGDVDGESGSQKAKLKPFFWDKVVANSDQSMVWHEISAGSFQFNEEQIESLFGYNAADKAKNDRRKEASSLEPAIQYIQIIDRKKAQNLSILLRALNVTTEEVSDALREGNELPLELLQTLLKMAPTAEEELKLRLYTGDIAQLGPAERFLKAMVDIPFAFKRIESLVFMCSLPEEVTTTKESFVTLEVACNKLRKSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFRLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEGRRAVRTARASRSMSNVSVEDLAEDVNEESEEHYCSLGLQVVSGLSDELQDVRKAALVDADGLTSTVGVLGQSLIKTRNFINVEMKNLDEDSEFQRTLASFVQRAESDITWLLEEEKRITALVKSTADYFHGNAGKEEGIRLFVIIRDFLVILNKVCTEVRNSAMKSAKTAKKETPIEVASENRQPATDMRQRLFPAIAERRVEDGFSSDDEA >ONI18736 pep chromosome:Prunus_persica_NCBIv2:G3:23080194:23081599:-1 gene:PRUPE_3G235500 transcript:ONI18736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATEAEAMLRGQADIWKYMFGFADSMALKCAVELRIADIIHSHAPTDHMITLSQIASHLIAPSPDITCLTRIMRLLVRRNIFAVHHPSEGGEPVYGLTYSSRWLLHDSEMSLAPMLVMENHPSLMAPWHYFSQCVREGGPWAFKKAHGLEIWDFASENPGFNKLFNDGMACTARIVMKAILTEYGRWFDGVGSLVDVGGGTGSAVADIVQAYPNIKGFNFDLPHVVATAPAYHGVSHVGGDMFEDHIPNADAVFMKWIMHDWNDSDCIKILKNCRKAIPERGGKIMIADIVLEPSGDGVLDDTRLVFDLVMIAHASGGKERTENEWEKILKEGGFPRYKIIKIPALLSIIEAYPV >ONI19029 pep chromosome:Prunus_persica_NCBIv2:G3:24370611:24375278:1 gene:PRUPE_3G255000 transcript:ONI19029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAKTQILIIFVLLLIGLFTGSHGIVVANEEEEADRIVGLPGQPKVSFQQFSGYVTVNHHVGRALFYWLTEAAHDPLSQPLVVWLNGGPGCSSVAYGASEEIGPFRINKSASGLYLNKFSWNSLANLLFLETPAGVGFSYSNRSSDLFDTGDGRTARDSLQFLIRWLDRFPRYKGREVYLTGESYAGHYVPQLAKAILTYNSQSKHPINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDRTYRQLINTCDFRRQKNSDECESLYSYAMDKEFGNIDQYNIYAPPCNNSDGSTSTRQSSMRLPHRPMFRQLSGYDPCTEKYAEVYYNRPDVQKALHANITRIPYKWTACSEVLNRNWNDTDVSILPIYRDMIAAGLRIWIFSGDVDSVVPVTATRYSLAQLKLATKIPWYPWYTKKQVGGWTEVYEGLTFATVRGAGHEVPLFKPREALQLFKSFIQGKPLPKSS >ONI19030 pep chromosome:Prunus_persica_NCBIv2:G3:24371550:24374816:1 gene:PRUPE_3G255000 transcript:ONI19030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNDDLTTNIICPGCSSVAYGASEEIGPFRINKSASGLYLNKFSWNSLANLLFLETPAGVGFSYSNRSSDLFDTGDGRTARDSLQFLIRWLDRFPRYKGREVYLTGESYAGHYVPQLAKAILTYNSQSKHPINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDRTYRQLINTCDFRRQKNSDECESLYSYAMDKEFGNIDQYNIYAPPCNNSDGSTSTRQSSMRLPHRPMFRQLSGYDPCTEKYAEVYYNRPDVQKALHANITRIPYKWTACSEVLNRNWNDTDVSILPIYRDMIAAGLRIWIFSGDVDSVVPVTATRYSLAQLKLATKIPWYPWYTKKQVGGWTEVYEGLTFATVRGAGHEVPLFKPREALQLFKSFIQGKPLPKSS >ONI19704 pep chromosome:Prunus_persica_NCBIv2:G3:26200061:26201131:-1 gene:PRUPE_3G292900 transcript:ONI19704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPRLRTGQEEEAKKEEVAVEIEPKTGVSFPFKLDDGKQLGCVGLRKKSMLGIGIKIYGFGIYADNEKLRELLKLKIGKAPAKPTKDMYQLVIDSDAEMMVRLVIVFSSLTMNMVRKNFDEGLGASIKKLTGGKKNEALANKVMGQASDDIKLTSGSVIEISRLPGNILQTKVIGEVVSKVESELLCRAYVNMYLGDDPLDKDTQEKFGMSLPSLF >ONI14799 pep chromosome:Prunus_persica_NCBIv2:G3:593434:594099:1 gene:PRUPE_3G009400 transcript:ONI14799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVFSSGSPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPHLRHNGSSVGGDFGEYKPLHSVDAKLQAICEGLAETQKQGKAAGKPSKRSSAARAPAPPPEVKVETSSSPVMTDSDGSAGLATIPITGTGINPARSFGPAVIFNNEKAWDDQWIFWVGPFVGALAAAAYHQYILRAAGQP >ONI17776 pep chromosome:Prunus_persica_NCBIv2:G3:19510211:19513827:1 gene:PRUPE_3G178600 transcript:ONI17776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEMKSYQKIGVRNYQKSDHPRLRWTPQLHELFVEAVESLGGKHKATPKRILQTMSVKGLKISHVKSHLQMYRGVNDNAHSQDHSSNVFSIFSPQTQTQRPSENYYLRYEPHVMFSETDEETKGRILTREEAAGKQQNQNAHTPELSRLSMDEETCQLYGFSELSLSFNPTVTTMAQFRKESKLWPSADEHNTSQSSSTGNFSNIQDFQEVGSNDINLDLTI >ONI17777 pep chromosome:Prunus_persica_NCBIv2:G3:19510211:19513827:1 gene:PRUPE_3G178600 transcript:ONI17777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLLKLLKVLVENTMYRGVNDNAHSQDHSSNVFSIFSPQTQTQRPSENYYLRYEPHVMFSETDEETKGRILTREEAAGKQQNQVLQAQKYHLHQTSFEKNAHTPELSRLSMDEETCQLYGFSELSLSFNPTVTTMAQFRKESKLWPSADEHNTSQSSSTGNFSNIQDFQEVGSNDINLDLTI >ONI17779 pep chromosome:Prunus_persica_NCBIv2:G3:19511902:19512807:1 gene:PRUPE_3G178600 transcript:ONI17779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGVNDNAHSQDHSSNVFSIFSPQTQTQRPSENYYLRYEPHVMFSETDEETKGRILTREEAAGKQQNQVLQAQKYHLHQTSFEKNAHTPELSRLSMDEETCQLYGFSELSLSFNPTVTTMAQFRKESKLWPSADEHNTSQSSSTGNFSNIQDFQEVGSNDINLDLTI >ONI17778 pep chromosome:Prunus_persica_NCBIv2:G3:19510211:19513827:1 gene:PRUPE_3G178600 transcript:ONI17778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLLKLLKVLVENTMYRGVNDNAHSQDHSSNVFSIFSPQTQTQRPSENYYLRYEPHVMFSETDEETKGRILTREEAAGKQQNQNAHTPELSRLSMDEETCQLYGFSELSLSFNPTVTTMAQFRKESKLWPSADEHNTSQSSSTGNFSNIQDFQEVGSNDINLDLTI >ONI17775 pep chromosome:Prunus_persica_NCBIv2:G3:19510211:19513827:1 gene:PRUPE_3G178600 transcript:ONI17775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEMKSYQKIGVRNYQKSDHPRLRWTPQLHELFVEAVESLGGKHKATPKRILQTMSVKGLKISHVKSHLQMYRGVNDNAHSQDHSSNVFSIFSPQTQTQRPSENYYLRYEPHVMFSETDEETKGRILTREEAAGKQQNQVLQAQKYHLHQTSFEKNAHTPELSRLSMDEETCQLYGFSELSLSFNPTVTTMAQFRKESKLWPSADEHNTSQSSSTGNFSNIQDFQEVGSNDINLDLTI >ONI19892 pep chromosome:Prunus_persica_NCBIv2:G3:26698331:26701441:-1 gene:PRUPE_3G303800 transcript:ONI19892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAAKIAPSMLSSDFANLASEAERMLKFGADWLHMDIMDGHFVPNLTLGAPVIQSLRKHTEAYLDCHLMVTNPLDYVEPLSKAGASGFTFHVEVSKENWQELVQRIKSKGMKPGVALKPGTPIEDVYPLVEGENPVEMVLVMTVEPGFGGQKFMPEMMEKVRTLRERYPSLDIEVDGGLGPSTINTAASAGANCIVAGSSVFGASDPSAVISLLRSSVEESQHKS >ONI19934 pep chromosome:Prunus_persica_NCBIv2:G3:26776685:26779470:1 gene:PRUPE_3G305900 transcript:ONI19934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQGKEPTFQELINIELIESGEKERLMELLRERLIECGWKDEMKALCRAFIKKKGRNNVTVDDLVHVITPKGRASIPDSIKAELLQRIRTFLVSAAL >ONI19933 pep chromosome:Prunus_persica_NCBIv2:G3:26776221:26779470:1 gene:PRUPE_3G305900 transcript:ONI19933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSVNRPPTPDVMENQGKEPTFQELINIELIESGEKERLMELLRERLIECGWKDEMKALCRAFIKKKGRNNVTVDDLVHVITPKGRASIPDSIKAELLQRIRTFLVSAAL >ONI16909 pep chromosome:Prunus_persica_NCBIv2:G3:13014227:13016176:-1 gene:PRUPE_3G129000 transcript:ONI16909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNDKVSATSHELLQAQAHVWNHIFQFINSMSLKCAVQLGIPDVIHSHGQPISLSNLISGLNVHPSKAHFIERLMRILVHSNFFAQDQQVQLPLLPNNNNNENIVHQDLDDGEEEKAVVVYSLTPASRLLLKEGPLSTTQFLLMILDPVVTDPFHLMGTWCQMNNHGNHDHPASPFEMAHGRPFWGLAAQQPKFGSLFNEAMEADSQLLARAVVEECEGVFEGLNSLVDVGGGTGTMAKAIAKAFPNINCTVFDQPHVVANLQGTHNLDFVGGDMFEKIPPANAIFLKWILHDWSDEESVKILKKSREAILSKNEGGKVIILDINMSADNKKMDKKSIETQLMFDMLMMVDLNGKERSEAEWEKLFLTAGFSHYKITHTFGLRSLIEVYF >ONI18550 pep chromosome:Prunus_persica_NCBIv2:G3:22286433:22298984:-1 gene:PRUPE_3G222600 transcript:ONI18550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGVACMPLQHNIMDRFPIQDKTTLCGGKTANNGFNSKPVKKKKIVKVMKPKKKVVKKPGFSKNVESEGSELGLDKGGNCVSKEAENGENAEEKKEEVEEGELGTLKWPKVEVENGEFVPEKSRRIEIEKGEIVGEKWRRSEVEKGESFSGKWRRGDIEKGEIVPERTRKGEAEFGSWRPPKDEIEKGEFIPDRWQKGEVARDDYVHSKMRRYDMGKDKGWKFERERTPPSGKYSNDDAFRRKEFNRSGSQQSKSTARWETGSERNIRISSKIVDEDGVYKNEYSNGKYYPREYPPVNRLKRYGTDSSISERKHYGDYGDYPGAKSRRVSDDTNRSAHPEHYSRRSVERSYRNPSSSRVAADKYPSRHYESTLSSRVVYDRHGRSPGHSERSPRDRVRYYDHRDRSPMRRERSPYVHERSPYGREKSPYGREKSPYGRERSPYGRERSPYGRERSPLGQERSPYDRSRQYDHRNRSLSPQDRPRFHDRRDHSPNYLERSPHDRSRPNNHRETSRKSGATERRSSHYGKRGQEDKLVQKDPSGKDSYSSAKESQDRSTVPDINGSVETNANCESLKEEPSQIPSVNCKETSQISVAPPEELPSMEEDMDICDTPPHVPVVTDSSTGKWFYLDYYGVECGPSKLCELKTLVEEGALMSDHMVKHSDSDRWVTVENAVSPLVTVNFPSIVSDSITRLVSPPEASGNLLADTGDAGQYDTQSGKEAAITLLPPGFCADVGITASEPLKDLHIEERVGALMEGLTVIPGRELEAVGEVLQMSFEHAQREGWGNTEGFTQGHDGEQYDQKTEEPGYSDNKIKEAAEIRLTAPSDKDSGFSCGDSGDWFSGRWSCKGGDWKRNDEASQERSSRKKLVVNDGFPLCQMPKSGYEDPRWHRKDELYYPSQSRRLDLPSWAFSCPDEMSDCSGVSRTTQIKTTVIKGVKGTMLPVVRINACVVKDHGSFVSEPRMKVRGMERYTSRSARSYSAGSDGKRSSGEGDSQLKPVSDRGSQGSLKCITSINISKDRVCTVDDLQLHLGDWYYLDGAGHERGPSSFSELQVLVDQGVILNHSSVFRKFDKVWVPVSSAAETSEATDMNQQEKNITSSDTSGLAPSQSQSAVFDESNTKLSWFHNLHPQFIGYTCGKLHELVMKSYKSREFAAAINDVLDPWLNAKQPKKELEKHMYWKADVHARIAKRARLLVDESEEEYDMGEDLQTVAKDESTFEDLCGDTSFNKEESVSYGSEMGSWGLLDGQVLARVFHFLRLDMKSLALASLTCKHWRAAVRFYKDISRQLDMSSLGPRCTDSMIVNIMSGYGKEKINSMVLIGCTNITPHTLEEILGALPCLSTVDIRGCNQLGELVSKFQNLNWIKTRSSHGTKIFEESHSKLRSLKHITEKSSSVSKSKVLGNDMDDFSELKEYFDSVDKRETANQSFRGSLYKRSKLFDARRSSSILSRDARMRRLSIKKSEHGYKKMEEFVASSLKDIMKENTFDFFVPKVAEIQDRMRNGHYIRRGLSSVKEDISRMCRDAIKAKNRGDAGDMNHVITLFIQLATRLEGASKSSHERDELIKSWEDDKFSGFSSASKYKKKLNKVATEKKYSNRSNGTSFLNGGLDYGEYASDREIRRRLSRLNKKSMDSESETSDDLDRSSGGSKSNSESTASDTESDLELRSQSQTGQSRADGSFTSDEGFDSMTDDREWGARMTKSSLVPPVTRKYEVIEEYVIVSNEEDVRRKMQVSLPDDYVEKFNSQKNGIEEADMELPEVKDYKPRKMLGDEVIEQEVYGIDPYSHNLLLDSMPEELDWPLSEKHLFIEDVLLCTLNKQVRQYTGSGNTPMIYPLRPVVEEILNAAEENGDVRTMKMCQGILKAIDSRRDDKYVAYRKGLGVVCNKEGGFGEEDFVVEFLGEVYPVWKWFEKQDGIRSLQKNNKDPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGRYQIGIYTVRGIQYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKDWHGILDRHQLMLEACESNSVSEEDYLDLGRAGLGSCLLGGLPDWVIAYSARLVRFINFERTKLPEEILKHNLEEKRKYFSDICLEVEKSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCVFGNPKNAPPPLERLSPEAAVSFLWKGEGSLVQELLQSMAPHVEEHLLNDLKTKMLAHDPSSSDDIWNELKKSLLWLRDEVRNLPCTYKSRHDAAADLIHIYAYTRCFIRIREYKTVTSPPVYISPLDLGPKYTDKLGSSFQEYCKTYGENYCLGQLIFWYNQTSAEPDCSLARASRGCLSLPDFGSFYAKVQKPSRQRVYGPRTVKFMLTRMEKQPQRPWPKDRIWCFNSSPKVFGSPMLDAVVNNSQLDREMVHWLKHRPAIYQAMWDR >ONI19822 pep chromosome:Prunus_persica_NCBIv2:G3:26489483:26492132:1 gene:PRUPE_3G299600 transcript:ONI19822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDVSSQFSLKRRRFTNIDPMEAEEAKAKLTAAKEKFGREIRVFETSAASSSQTEESVTEETDEFFEFTAEDYYRIMNAKKEDKVLKTRKLKEAEQAARRSRITKTVIRVRFPDNHTLEATFHPSETVQILVDLLTKVVARPELPFYIYTTPPKKQIKDTSQDLYSAGFVPGAIVYFSYNIPKDDASDAKSGPFLQEEIMSLKGLELLTKHAEPVESAPETILEASPPVVQEKKPAEKKPVKPKWLKL >ONI19821 pep chromosome:Prunus_persica_NCBIv2:G3:26489513:26492133:1 gene:PRUPE_3G299600 transcript:ONI19821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVDVSSQFSLKRRRFTNIDPMEAEEAKAKLTAAKEKFGREIRVFETSAASSSQTEESVTEETDEFFEFTAEDYYRIMNAKKEDKVLKTRKLKEAEQAARRSRITKTVIRVRFPDNHTLEATFHPSETVQILVDLLTKVVARPELPFYIYTTPPKKQIKDTSQDLYSAGFVPGAIVYFSYNIPKADDASDAKSGPFLQEEIMSLKGLELLTKHAEPVESAPETILEASPPVVQEKKPAEKKPVKPKWLKL >ONI17984 pep chromosome:Prunus_persica_NCBIv2:G3:20293114:20293671:1 gene:PRUPE_3G190000 transcript:ONI17984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLFLSFKCKVRAQSPLMAEVLALKHGLLRAKELNLVNVVVESDSQVAINSVLRDVSSSNWELFPILKDIRFLKASFTNLNWAWVPREANQSADAVALLARKGMCLESWLVRPPSSLVHILSRDGLPCPPPGA >ONI16751 pep chromosome:Prunus_persica_NCBIv2:G3:10426837:10427756:-1 gene:PRUPE_3G119600 transcript:ONI16751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFEYKYAKENQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI17652 pep chromosome:Prunus_persica_NCBIv2:G3:19031729:19032019:1 gene:PRUPE_3G171900 transcript:ONI17652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKRNNYITTNLSKRSCTFYFCPFICTPNAKKEQIIIKNASQAKLSSHKLMSCFLCCFLLSYKPKNAKLSPAQQIGEAPPNPNKARQLPYGARLV >ONI17497 pep chromosome:Prunus_persica_NCBIv2:G3:18175122:18177011:1 gene:PRUPE_3G162800 transcript:ONI17497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLMGLLRIHIQRGVNLAVRDMRSSDPYAVIKMGKQKVKTRVVKKNVNPEWNEDLTLSVADPNLPIRLCVYDRDTFSFDDKMGDAEFEVATFIKVLKMRLEGLPDGTIITKVQPNRQNCLAEESYIVWSKGKLVQNMVLRLRNVECGEVELQLKWIDVPTSKGL >ONI18653 pep chromosome:Prunus_persica_NCBIv2:G3:22799164:22799822:1 gene:PRUPE_3G230300 transcript:ONI18653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLNRVWMAASVVVVQGPADQGPKWTSGLKSFQLSRRRCLGGAGDASDLRPLSGAVGSGFSQVCEERRNQADESIQKVMYLNCWGQG >ONI17166 pep chromosome:Prunus_persica_NCBIv2:G3:15373056:15379790:1 gene:PRUPE_3G142000 transcript:ONI17166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLSLHTISKLQANLSTSRVTVKPRAALHGAQRMRVPYELKQEQSRLFHQLPSGLNMEVIVQKGVAEKESAEKESDEKKERTSENPPLVFVHGSYHAAWCWAEHWMPFFSASGYDCYAVSLLGQGESDAPSASVAGTLQTHASDVADFICKKLTFPPVLIGHSFGGLIIQYYIANAKADQFLDMRDFFPELTGAALVCSVPPSGNSGLVWRYLFSKPIAAFKVTRSLAAKGFQTSLPLCKETFFSATMEDHLVLRYQELMKKSSRMPLFDLRKLNAALPVPSVPKSAIEVLVLGANDDFIVDAEGLKETGRFYGVSPICVEAVAHDMMLDCLWDKGAKVILSWLKDLKK >ONI19388 pep chromosome:Prunus_persica_NCBIv2:G3:25437189:25445979:1 gene:PRUPE_3G276300 transcript:ONI19388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVRDDFVVGSAMKRPSGSSRGDSQSQVPGGGGGGGGVVVGGGGAMGGGGASQKLTTNDALTYLKEVKEMFQDQREKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLILGFNTFLPKGYEITLDEDETPPKKTVEFEEAISFVNKIKKRFQNDDHVYKSFLDILNMYRKEHKDINEVYSEVAALFDEHPDLLDEFTRFLPDASAAASAHHAQYGRTSFPRFNERSSATPTFRPMHMDKQRRRDRIIPSHADRDLSVDRPELDDDKGMVKVQKEHRKRCEKESRDRRNRDDDDRELENDNNRDYKLQRFPEKRKSSRKVEGFGVTANFAPYDDKDSLKSMYSQGFIFCEKVKERLCSQEDYQAFLKCLHIYSNGIIKRNDLQNLVTDLLGKYPDLMEEFNDFLERCENIDGFLAGVMSRKSLNSDGQLSRSVKVEEKDKEQKREMEGAKEKERYREKYWAKSIQELDLSNCERCTPSYRLLPEDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEELLNSINENKISMESPIHIEDHFTALNLRCIERLYGDHGLDVMDILRKNPTLALPVVLTRLKQKQEEWTRCRSDFNKVWADIYAKNHYKSLDHRSFYFKQQDSKNLSSKSLVAEIKELKEKKQIEDDILLAVAAGNRQSVVPHVEYEYVDISIHEDLYKLVQYSCEEVFSTKEQLNKAMRLYTTILEPMLGVPSRPHGSEDDEDADKTRNRTMNYTASSIGESDGSPGGDTAMVNLKQPQSVGTEEENTLAEVESLANGDTLAKEDGSCDAERVRKNDSICDNIQLEKDQKNMDLSDKRYLVTNMDNGRLPSQPSYRIGAENKHGRTSLEVTSGCVATTSRPGGSISDNDHLQKANADVVPSPEGVDIAKSASFANGVVPESTKVNSRHEVSVGPSKIEKEEGELSPVGDFGEDNFVVSGDAGVQAMPKTNHNVESRQYQSGNGEDTCQDAGENDADADDENSENVSEAGEDASGSETAGDECSREEQGEEEDAEHDDVDGKAESEGEAEGVADGHLVGGDGMSLQLSERFLLSVKPVAKHVPAALLEERKDSRVFYGNDNFYVLYRLHQILYERISSAKTSSTGAEMKWRSSKDSSSPDLYARFMSALYSLLDGSADNAKFEDECRAIIGNQSYILFTLDKLIYKFVKQLQAVAADEMDNKLLQLYEYEKSRKTEKLIDSVYYENARVLLHEENIYRLEFFSAPSRLSIQLMDSVSEKPEVFAVSMEPNFASYLHNDFLPVFPGKKEPHGITLQRNKRKYAGQDESSAFCRAMEDVQLVNGLECKIACNSSKVSRLNLLCSGHRRLLLPYEKEKEKSIWGQITIL >ONI19389 pep chromosome:Prunus_persica_NCBIv2:G3:25437766:25446011:1 gene:PRUPE_3G276300 transcript:ONI19389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGASQKLTTNDALTYLKEVKEMFQDQREKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLILGFNTFLPKGYEITLDEDETPPKKTVEFEEAISFVNKIKKRFQNDDHVYKSFLDILNMYRKEHKDINEVYSEVAALFDEHPDLLDEFTRFLPDASAAASAHHAQYGRTSFPRFNERSSATPTFRPMHMDKQRRRDRIIPSHADRDLSVDRPELDDDKGMVKVQKEHRKRCEKESRDRRNRDDDDRELENDNNRDYKLQRFPEKRKSSRKVEGFGVTANFAPYDDKDSLKSMYSQGFIFCEKVKERLCSQEDYQAFLKCLHIYSNGIIKRNDLQNLVTDLLGKYPDLMEEFNDFLERCENIDGFLAGVMSRKSLNSDGQLSRSVKVEEKDKEQKREMEGAKEKERYREKYWAKSIQELDLSNCERCTPSYRLLPEDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEELLNSINENKISMESPIHIEDHFTALNLRCIERLYGDHGLDVMDILRKNPTLALPVVLTRLKQKQEEWTRCRSDFNKVWADIYAKNHYKSLDHRSFYFKQQDSKNLSSKSLVAEIKELKEKKQIEDDILLAVAAGNRQSVVPHVEYEYVDISIHEDLYKLVQYSCEEVFSTKEQLNKAMRLYTTILEPMLGVPSRPHGSEDDEDADKTRNRTMNYTASSIGESDGSPGGDTAMVNLKQPQSVGTEEENTLAEVESLANGDTLAKEDGSCDAERVRKNDSICDNIQLEKDQKNMDLSDKRYLVTNMDNGRLPSQPSYRIGAENKHGRTSLEVTSGCVATTSRPGGSISDNDHLQKANADVVPSPEGVDIAKSASFANGVVPESTKVNSRHEVSVGPSKIEKEEGELSPVGDFGEDNFVVSGDAGVQAMPKTNHNVESRQYQSGNGEDTCQDAGENDADADDENSENVSEAGEDASGSETAGDECSREEQGEEEDAEHDDVDGKAESEGEAEGVADGHLVGGDGMSLQLSERFLLSVKPVAKHVPAALLEERKDSRVFYGNDNFYVLYRLHQILYERISSAKTSSTGAEMKWRSSKDSSSPDLYARFMSALYSLLDGSADNAKFEDECRAIIGNQSYILFTLDKLIYKFVKQLQAVAADEMDNKLLQLYEYEKSRKTEKLIDSVYYENARVLLHEENIYRLEFFSAPSRLSIQLMDSVSEKPEVFAVSMEPNFASYLHNDFLPVFPGKKEPHGITLQRNKRKYAGQDESSAFCRAMEDVQLVNGLECKIACNSSKISYVLDTEDYFFRMRRKRRNPSGARSPYCDQLRVQRFHKFLSVS >ONI19387 pep chromosome:Prunus_persica_NCBIv2:G3:25436677:25446011:1 gene:PRUPE_3G276300 transcript:ONI19387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVRDDFVVGSAMKRPSGSSRGDSQSQVPGGGGGGGGVVVGGGGAMGGGGASQKLTTNDALTYLKEVKEMFQDQREKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLILGFNTFLPKGYEITLDEDETPPKKTVEFEEAISFVNKIKKRFQNDDHVYKSFLDILNMYRKEHKDINEVYSEVAALFDEHPDLLDEFTRFLPDASAAASAHHAQYGRTSFPRFNERSSATPTFRPMHMDKQRRRDRIIPSHADRDLSVDRPELDDDKGMVKVQKEHRKRCEKESRDRRNRDDDDRELENDNNRDYKLQRFPEKRKSSRKVEGFGVTANFAPYDDKDSLKSMYSQGFIFCEKVKERLCSQEDYQAFLKCLHIYSNGIIKRNDLQNLVTDLLGKYPDLMEEFNDFLERCENIDGFLAGVMSRKSLNSDGQLSRSVKVEEKDKEQKREMEGAKEKERYREKYWAKSIQELDLSNCERCTPSYRLLPEDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEELLNSINENKISMESPIHIEDHFTALNLRCIERLYGDHGLDVMDILRKNPTLALPVVLTRLKQKQEEWTRCRSDFNKVWADIYAKNHYKSLDHRSFYFKQQDSKNLSSKSLVAEIKELKEKKQIEDDILLAVAAGNRQSVVPHVEYEYVDISIHEDLYKLVQYSCEEVFSTKEQLNKAMRLYTTILEPMLGVPSRPHGSEDDEDADKTRNRTMNYTASSIGESDGSPGGDTAMVNLKQPQSVGTEEENTLAEVESLANGDTLAKEDGSCDAERVRKNDSICDNIQLEKDQKNMDLSDKRYLVTNMDNGRLPSQPSYRIGAENKHGRTSLEVTSGCVATTSRPGGSISDNDHLQKANADVVPSPEGVDIAKSASFANGVVPESTKVNSRHEVSVGPSKIEKEEGELSPVGDFGEDNFVVSGDAGVQAMPKTNHNVESRQYQSGNGEDTCQDAGENDADADDENSENVSEAGEDASGSETAGDECSREEQGEEEDAEHDDVDGKAESEGEAEGVADGHLVGGDGMSLQLSERFLLSVKPVAKHVPAALLEERKDSRVFYGNDNFYVLYRLHQILYERISSAKTSSTGAEMKWRSSKDSSSPDLYARFMSALYSLLDGSADNAKFEDECRAIIGNQSYILFTLDKLIYKFVKQLQAVAADEMDNKLLQLYEYEKSRKTEKLIDSVYYENARVLLHEENIYRLEFFSAPSRLSIQLMDSVSEKPEVFAVSMEPNFASYLHNDFLPVFPGKKEPHGITLQRNKRKYAGQDESSAFCRAMEDVQLVNGLECKIACNSSKISYVLDTEDYFFRMRRKRRNPSGARSPYCDQLRVQRFHKFLSVS >ONI19386 pep chromosome:Prunus_persica_NCBIv2:G3:25436677:25446011:1 gene:PRUPE_3G276300 transcript:ONI19386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVRDDFVVGSAMKRPSGSSRGDSQSQVPGGGGGGGGVVVGGGGAMGGGGASQKLTTNDALTYLKEVKEMFQDQREKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLILGFNTFLPKGYEITLDEDETPPKKTVEFEEAISFVNKIKKRFQNDDHVYKSFLDILNMYRKEHKDINEVYSEVAALFDEHPDLLDEFTRFLPDASAAASAHHAQYGRTSFPRFNERSSATPTFRPMHMDKQRRRDRIIPSHADRDLSVDRPELDDDKGMVKVQKEHRKRCEKESRDRRNRDDDDRELENDNNRDYKLQRFPEKRKSSRKVEGFGVTANFAPYDDKDSLKSMYSQGFIFCEKVKERLCSQEDYQAFLKCLHIYSNGIIKRNDLQNLVTDLLGKYPDLMEEFNDFLERCENIDGFLAGVMSRKSLNSDGQLSRSVKVEEKDKEQKREMEGAKEKERYREKYWAKSIQELDLSNCERCTPSYRLLPEDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRAEELLNSINENKISMESPIHIEDHFTALNLRCIERLYGDHGLDVMDILRKNPTLALPVVLTRLKQKQEEWTRCRSDFNKVWADIYAKNHYKSLDHRSFYFKQQDSKNLSSKSLVAEIKELKEKKQIEDDILLAVAAGNRQSVVPHVEYEYVDISIHEDLYKLVQYSCEEVFSTKEQLNKAMRLYTTILEPMLGVPSRPHGSEDDEDADKTRNRTMNYTASSIGESDGSPGGDTAMVNLKQPQSVGTEEENTLAEVESLANGDTLAKEDGSCDAERVRKNDSICDNIQLEKDQKNMDLSDKRYLVTNMDNGRLPSQPSYRIGAENKHGRTSLEVTSGCVATTSRPGGSISDNDHLQKANADVVPSPEGVDIAKSASFANGVVPESTKVNSRHEVSVGPSKIEKEEGELSPVGDFGEDNFVVSGDAGVQAMPKTNHNVESRQYQSGNGEDTCQDAGENDADADDENSENVSEAGEDASGSETAGDECSREEQGEEEDAEHDDVDGKAESEGEAEGVADGHLVGGDGMSLQLSERFLLSVKPVAKHVPAALLEERKDSRVFYGNDNFYVLYRLHQILYERISSAKTSSTGAEMKWRSSKDSSSPDLYARFMSALYSLLDGSADNAKFEDECRAIIGNQSYILFTLDKLIYKFVKQLQAVAADEMDNKLLQLYEYEKSRKTEKLIDSVYYENARVLLHEENIYRLEFFSAPSRLSIQLMDSVSEKPEVFAVSMEPNFASYLHNDFLPVFPGKKEPHGITLQRNKRKYAGQDESSAFCRAMEDVQLVNGLECKIACNSSKVSSTRFLRDWRRKTVGRDMLCVQF >ONI15952 pep chromosome:Prunus_persica_NCBIv2:G3:5078883:5080629:-1 gene:PRUPE_3G070800 transcript:ONI15952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNNASREERRRRIVERESDRMALITGRIQSLPPPSPPPSPSLPPHDRALHTRRINAGTEGKDGTPADSTLLKVHGASNEDISRQNNAETGSQDGPSSCQCDTTTNTLQPHGVDGTTQVQPQLGTSIVQKAPPTDTELAITHRRARFFTSKRLNSCIVESENMRIFCALIIAFLVVLSYVDYALFGVNLVKSESVVASRPLYIILLTDVTIVLARMILVRREDVEEERVVVPQDGHNWVEAVKLLERGLVVYQAIRGVFIDFSVYVVVVICGLSLM >ONI16091 pep chromosome:Prunus_persica_NCBIv2:G3:5807498:5811732:1 gene:PRUPE_3G078500 transcript:ONI16091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSGSLMWFRKGLRIHDNPALEYASKGSKFLYPVFVIDPHYMKPDPEAFSPGSSKAGLNRIQFLLESLRDLDLNLKRLGSRLLVLKGEPSEVLIRCLKEWDVKKLCFEYDTEPYYQALDVKVKSYASTAGIEIYSPVSHTLFNPADIIRKNGGKPPLSYQSFLKLAGEPSWVSSPLSITLSSLPPVGNTGNCNISEVPTVEELGYEETQQDELCPFRGGETEALKRLRESIENKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYICLKDLYKNVKMHTVPPVSLAGQLLWRDFFYTVAFGTPSFDQMRGNKICKQIPWNDDEELLVAWREARTGFPWIDAIMIQLRKWGWMHHLARHCVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYNRIYSPISFGKKYDPNGDYIRHFLPVLKDMPKEYIYEPWTAPLSIQNKAKCIIGRDYPKPVVAHDSANKDCRRRMAEAYALNQKMSGMVSAEDLRNLRRKLEEDQKPEVENKRQRKLIG >ONI17343 pep chromosome:Prunus_persica_NCBIv2:G3:16632098:16635795:-1 gene:PRUPE_3G152500 transcript:ONI17343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRLCSRRSLLSSICQVPNLRLQLSLPPRFEALYDSALSTSTSQISCGFCPKFQASRSYARGGHKPYDLFGNGQPGDKDFRKAWKKEIDEDASLWTGSEEESDNEKDEKSHLEKEIRKVRQQAREHSNLIDADDSDELRSVWSGSDEEKSLWTGSEGDDDDDIPTEPYPNESSDKHIDKLFEFEETSKYRTISELLKAEQEPEELSPGKQARKIAVENALKKLKKGPDGRYINVWEVMSDLDILIGAFENIVSGPEYAELRQGGPKKLNIQFFKDIQARMRDPNYKFSPELKLKPKSKLVRRKKWQKTQSRRRKAQKR >ONI16580 pep chromosome:Prunus_persica_NCBIv2:G3:8660328:8663763:-1 gene:PRUPE_3G107900 transcript:ONI16580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSIFSVALILFPLLYQIGGVVSQYWVREQVNNAGSGCDLFHGEWVYDRSYPLYISTDCPFILKEFDCQKNGRPDNEYLKYRWQPTSCDLPRFDGRSFLGRFRGKRILFVGDSLSMNQWQSLTCLLHKSVPEANYTLSKVGGVSTFKFPAYDVSIVLSRNAFLVDVVNESNGRVLMLDSIQNGSYWRTFDVLVFNTWHWWLHSGRKQPWAEVRYGVNNAHNDIDRMKAYEKALTTWARWVESSVDPSKTKVFFQGVSPDHMRSREWGDSAKSETCFGQTAPVLGTQYPGGSHPAQVILERVLRTMSKPVYLLNITTLSQLRKDGHPSFYGFGGRRSIDCTHWCLPGIPDSWNQILFAALFQR >ONI19115 pep chromosome:Prunus_persica_NCBIv2:G3:24595801:24602258:1 gene:PRUPE_3G259400 transcript:ONI19115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKPQRSREELEDIVLRKIFLVSLTDSSESDSRIVYLEMTAAEILSEGKELRLTRDLMESILIDRLSGDFASAEPPFQYLIGCYKRAYDEGKKIAAMKDKNLRSELESVVRQAKKLSVSYCRIHLGNPDSFSNPNKSNASPLLPLIFSEGGGSVDGFGVSGSGGGIQCPPGFLDEFFTDPDFDSLDPILKGLYEELREIVLKVSALGNFQQPLRALYFLVKLPVGARSLVNHPWWIPKGVYLNGRVIERTSILGPFFHVSALPDHPIFKSQPDVGQQCFSEASTRRPADLLSSFTTIKTVMNNLYDGLAEVLLLLLKNADTRENVLEYLAEVINKNSSRAHIQVDPLSCASSGMFVNLSAVMLRLCEPFLDANLTKRDKIDPKYVFYSNRLELRGLTALHASSEEVTEWINKDNMGNPDGSRHSGDGENRLLQSQEATSSGNSVNVNPSNEKAKYSFICECFFMTARVLNLGLLKAFSDFKHLVQDISRSEETLATLKNMQGQSSSPQLEMDLARLEKEIELYSQEKLCYEAQILRDGTLIQSALSFYRLMVVWLVRLVGGFKMPLPLTCPTEFASMPEHFVEDAMELLIFASRIPKALDGVLLDDFMNFIIMFMASPEYIRNPYLRAKMVEVLNCWMPRRSGSSITSTLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHQNAWKQIAREEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTAEWERRPAQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTTEQITAPFLLPEMVERVASMLNYFLLQLVGPQRKSLSLKDPEKYEFRPKQLLKQIVYIYVHLAKGDTENIFPAAISKDGRSYNEQLFSAAADVLRRIGEDGRVIQEFIELGAKAKVAASEAMDTEAVLGDIPDEFLDPIQYTLMKDPVILPSSRITVDRPVIQRHLLSDNSDPFNRSHLTADMLIPDNELKGRIQEFIRSQELKKRGEDLSMQSSKATIQTTTSEMLID >ONI19231 pep chromosome:Prunus_persica_NCBIv2:G3:24954700:24955731:1 gene:PRUPE_3G266300 transcript:ONI19231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFHSSISVCNSVDQPTPMADSMSLTDPGAKSKQTNHVHRGRKIPNSPNCSKIPACHRSRSAAIDVVLFIAVVGAFGYLLFPYVKIISVKTIEIVGIIMYVLREEVSHAPLVYGCMGLSICCAALAAWALLACTSRKCGNPNCKGLRKAAEFDIQLETEECVKNSASVVKDGVKKGLFELPRDHHRELEAELKKMAPPNGRAVLVFRARCGCSVGRLEVPGPKKLKKIKK >ONI19232 pep chromosome:Prunus_persica_NCBIv2:G3:24954700:24955731:1 gene:PRUPE_3G266300 transcript:ONI19232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFHSSISVCNSVDQPTPMADSMSLTDPGAKSKQTNHVHRGRKIPNSPNCSKIPACHRSRSAAIDVVLFIAVVGAFGYLLFPYVKIISVKTIEIVGIIMYVLREEVSHAPLVYGCMGLSICCAALAAWALLACTSRKCGNPNCKGLRKAAEFDIQLETEECVKNSASVVKDGVKKGLFELPRDHHRELEAELKKMAPPNGRAVLVFRARCGCSVGRLEVPGPKKLKKIKK >ONI18764 pep chromosome:Prunus_persica_NCBIv2:G3:23249780:23256841:1 gene:PRUPE_3G237500 transcript:ONI18764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTVTSAIPNPPVLNQYNYEDWSFRIKLYLLAEDLWDVVEATTEPPKPEDGEAEFKAWRKKDAKALILIQNYCGSANYSLIWGTETAKAAWNTLAEMLNPAQEELFPLVPREDLNINPTSNDGNESILVHIDEFGLHQPFIVNVIMGDWDLVREYLSRNPEAIRERGSISGATALHLAVSYENVNMAKALVELMTEEDLEIQDANGATAMVYASNKGITELAKCMIEKNKKLISLPCPPDNIIPLVRAYSIGHWELARYLYSVTPLEALLQDDGCGGAEIVSESFRAKKLDIALDLIRRCPNLAVAKNYSGLTPLQLCVTMRSGFLNGMHLKFWQQWIYDCMGILRGLVPNPRELFGINHIYKMKWTHERTSEILHSMCEVIKDKSSKQLQGSVVEAAFFEAIKQGHVEFIREIFRANPPAFGLTGENGKSMLQFAIECRQEKVYFFLYKFTGIAPHLLTRTDQFSNTLLHAAASLSPIAQLNQIQGAALQMQRELQWFKEIENIVPPKILEVVNITDGMTARDLFTKNHKELAKEGERSMKEIATSCTVVGALIITIMFTAAFTVPGGNNGNTGMPLFLNEKLFKVFIVSDALSLFSSTTSVMTFLGVLTSRYAEADFLKSLPTKMIIGLFTLFFSIATMMVAFVSTLFIMLGENSWIVIPVILLASAPVVSFAWMQFPLLVEIFISTYGAGIFAKKGKPLAATDVL >ONI18763 pep chromosome:Prunus_persica_NCBIv2:G3:23249780:23256841:1 gene:PRUPE_3G237500 transcript:ONI18763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTVTSAIPNPPVLNQYNYEDWSFRIKLYLLAEDLWDVVEATTEPPKPEDGEAEFKAWRKKDAKALILIQNYCGSANYSLIWGTETAKAAWNTLAEMLNPAQEELFPLVPREDLNINPTSNDGNESILVHIDEFGLHQPFIVNVIMGDWDLVREYLSRNPEAIRERGSISGATALHLAVSYENVNMAKALVELMTEEDLEIQDANGATAMVYASNKGITELAKCMIEKNKKLISLPCPPDNIIPLVRAYSIGHWELARYLYSVTPLEALLQDDGCGGAEIVSESFRAKKLDIALDLIRRCPNLAVAKNYSGLTPLQLCVTMRSGFLNGMHLKFWQQWIYDCIHVRPDSAVYDIRINVEKGEDDPINKRDLIVRSGINHIYKMKWTHERTSEILHSMCEVIKDKSSKQLQGSVVEAAFFEAIKQGHVEFIREIFRANPPAFGLTGENGKSMLQFAIECRQEKVYFFLYKFTGIAPHLLTRTDQFSNTLLHAAASLSPIAQLNQIQGAALQMQRELQWFKEIENIVPPKILEVVNITDGMTARDLFTKNHKELAKEGERSMKEIATSCTVVGALIITIMFTAAFTVPGGNNGNTGMPLFLNEKLFKVFIVSDALSLFSSTTSVMTFLGVLTSRYAEADFLKSLPTKMIIGLFTLFFSIATMMVAFVSTLFIMLGENSWIVIPVILLASAPVVSFAWMQFPLLVEIFISTYGAGIFAKKGKPLAATDVL >ONI18762 pep chromosome:Prunus_persica_NCBIv2:G3:23249780:23256841:1 gene:PRUPE_3G237500 transcript:ONI18762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTVTSAIPNPPVLNQYNYEDWSFRIKLYLLAEDLWDVVEATTEPPKPEDGEAEFKAWRKKDAKALILIQNYCGSANYSLIWGTETAKAAWNTLAEMLNPAQEELFPLVPREDLNINPTSNDGNESILVHIDEFGLHQPFIVNVIMGDWDLVREYLSRNPEAIRERGSISGATALHLAVSYENVNMAKALVELMTEEDLEIQDANGATAMVYASNKGITELAKCMIEKNKKLISLPCPPDNIIPLVRAYSIGHWELARYLYSVTPLEALLQDDGCGGAEIVSESFRAKKLDIALDLIRRCPNLAVAKNYSGLTPLQLCVTMRSGFLNGMHLKFWQQWIYDCIHVRPDSAVYDIRINVEKGEDDPINKRDLIVRSGMGILRGLVPNPRELFGINHIYKMKWTHERTSEILHSMCEVIKDKSSKQLQGSVVEAAFFEAIKQGHVEFIREIFRANPPAFGLTGENGKSMLQFAIECRQEKVYFFLYKFTGIAPHLLTRTDQFSNTLLHAAASLSPIAQLNQIQGAALQMQRELQWFKEIENIVPPKILEVVNITDGMTARDLFTKNHKELAKEGERSMKEIATSCTVVGALIITIMFTAAFTVPGGNNGNTGMPLFLNEKLFKVFIVSDALSLFSSTTSVMTFLGVLTSRYAEADFLKSLPTKMIIGLFTLFFSIATMMVAFVSTLFIMLGENSWIVIPVILLASAPVVSFAWMQFPLLVEIFISTYGAGIFAKKGKPLAATDVL >ONI19026 pep chromosome:Prunus_persica_NCBIv2:G3:24360243:24363103:-1 gene:PRUPE_3G254700 transcript:ONI19026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVQKNDDSKHLKVHFESDMVNYRRNSSFPSSSSSSSSSTSSSPRSSLESDVTDKADVNGSFETTQQGQESQGPPPLPEASSSQTLAWSLQSGSSGQSSQLQTMGRPAGYDPSRIPTSIFASKPSTGMDWSVASNESLFSLHVGNNSFSREQFSMLYKSGELTYPDELFYIPTPLPTVTEAETVEMKIHNVEKETVENKDPNVEKKSVENMSANVDKEKVESTSVKVEMDSVETEEAADESEKTDLTDIPSDHGGNKAPLIVEIRGSATSYRSDDSNHSTKSFQFPLLAGHEAGRHSPAQMTSVKQQPEQHTQQEMHKQEDELETPDTPETPLKATAPSSSWFSCFYCCMVCR >ONI15879 pep chromosome:Prunus_persica_NCBIv2:G3:4792590:4794972:-1 gene:PRUPE_3G066500 transcript:ONI15879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIASLPPPLAAHGRNNTFFSALPKLPFSSIRERQNCASVVVKATGESSESSNSLSIVKSVQNVWDNSEDRPGLVGLGFAAIVAFWAASNLITAIDKLPLIPSVLEFVGILYSSWFIYRYLLFKPDREELFQIVNKSISDILGQ >ONI15878 pep chromosome:Prunus_persica_NCBIv2:G3:4792344:4795132:-1 gene:PRUPE_3G066500 transcript:ONI15878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIASLPPPLAAHGRNNTFFSALPKLPFSSIRERQNCASVVVKATGESSESSNSLSIVKSVQNVWDNSEDRPGLVGLGFAAIVAFWAASNLITWFIYRYLLFKPDREELFQIVNKSISDILGQ >ONI15990 pep chromosome:Prunus_persica_NCBIv2:G3:5239027:5239612:-1 gene:PRUPE_3G072800 transcript:ONI15990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKQVRCAVLVCLVLGLVMGQSTAQLFQLCYGACFGICIVNEHNPLKCGIRCLKKCILTPEPSDGHQTNSLHFCKLGCATSLCTNISTKDNPNEEKVKNCVDSCSGTCTNAQASTKN >ONI17081 pep chromosome:Prunus_persica_NCBIv2:G3:14450211:14454846:1 gene:PRUPE_3G136900 transcript:ONI17081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKISEWMSDARNYDLSHGDIAIRLDLISKVCGLEQAEMYFNSLPDPLRNFKVYGALLFCSVEHKSLEKAENLFEKMKELGYVKGPLPYNVMLTLYSQLGQHEKLDILVKEMEEKVIDYDSFTLKIQLNLYANTSDIDRMEKLLMKIEANPLVTVDWNGYVVAAKGFLKAGLLEKASSMLRRSEQLVSNQTRTLAYEIFLSLYAAIGNKEEVYRIWNMYKFMARFKNSGYLCMLSSLVKLDDIGGAEMILEEWESGAKFFDIRIPNFLITTYCKKGLLVKAESYINKLEESGKPLDASTWTRLAAGYHMHGQMAKAVETMKRAILASQPGWELNHLILAACLEYLKEKGNLEVAHELLSLIRERDHFSEELCDKLEKYII >ONI17080 pep chromosome:Prunus_persica_NCBIv2:G3:14450211:14454846:1 gene:PRUPE_3G136900 transcript:ONI17080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLLGSNPWHGNAIFGVLRTLFYSTEALASCSPPFETLYHRISRAGNPRVSIAPVLDQWVEEGRDVNKSELQSFVKMLRKYRRYSHALQISEWMSDARNYDLSHGDIAIRLDLISKVCGLEQAEMYFNSLPDPLRNFKVYGALLFCSVEHKSLEKAENLFEKMKELGYVKGPLPYNVMLTLYSQLGQHEKLDILVKEMEEKVIDYDSFTLKIQLNLYANTSDIDRMEKLLMKIEANPLVTVDWNGYVVAAKGFLKAGLLEKASSMLRRSEQLVSNQTRTLAYEIFLSLYAAIGNKEEVYRIWNMYKFMARFKNSGYLCMLSSLVKLDDIGGAEMILEEWESGAKFFDIRIPNFLITTYCKKGLLVKAESYINKLEESGKPLDASTWTRLAAGYHMHGQMAKAVETMKRAILASQPGWELNHLILAACLEYLKEKGNLEVAHELLSLIRERDHFSEELCDKLEKYII >ONI17082 pep chromosome:Prunus_persica_NCBIv2:G3:14450211:14454846:1 gene:PRUPE_3G136900 transcript:ONI17082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDARNYDLSHGDIAIRLDLISKVCGLEQAEMYFNSLPDPLRNFKVYGALLFCSVEHKSLEKAENLFEKMKELGYVKGPLPYNVMLTLYSQLGQHEKLDILVKEMEEKVIDYDSFTLKIQLNLYANTSDIDRMEKLLMKIEANPLVTVDWNGYVVAAKGFLKAGLLEKASSMLRRSEQLVSNQTRTLAYEIFLSLYAAIGNKEEVYRIWNMYKFMARFKNSGYLCMLSSLVKLDDIGGAEMILEEWESGAKFFDIRIPNFLITTYCKKGLLVKAESYINKLEESGKPLDASTWTRLAAGYHMHGQMAKAVETMKRAILASQPGWELNHLILAACLEYLKEKGNLEVAHELLSLIRERDHFSEELCDKLEKYII >ONI17084 pep chromosome:Prunus_persica_NCBIv2:G3:14450211:14454846:1 gene:PRUPE_3G136900 transcript:ONI17084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDARNYDLSHGDIAIRLDLISKVCGLEQAEMYFNSLPDPLRNFKVYGALLFCSVEHKSLEKAENLFEKMKELGYVKGPLPYNVMLTLYSQLGQHEKLDILVKEMEEKVIDYDSFTLKIQLNLYANTSDIDRMEKLLMKIEANPLVTVDWNGYVVAAKGFLKAGLLEKASSMLRRSEQLVSNQTRTLAYEIFLSLYAAIGNKEEVYRIWNMYKFMARFKNSGYLCMLSSLVKLDDIGGAEMILEEWESGAKFFDIRIPNFLITTYCKKGLLVKAESYINKLEESGKPLDASTWTRLAAGYHMHGQMAKAVETMKRAILASQPGWELNHLILAACLEYLKEKGNLEVAHELLSLIRERDHFSEELCDKLEKYII >ONI17083 pep chromosome:Prunus_persica_NCBIv2:G3:14450211:14454846:1 gene:PRUPE_3G136900 transcript:ONI17083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDARNYDLSHGDIAIRLDLISKVCGLEQAEMYFNSLPDPLRNFKVYGALLFCSVEHKSLEKAENLFEKMKELGYVKGPLPYNVMLTLYSQLGQHEKLDILVKEMEEKVIDYDSFTLKIQLNLYANTSDIDRMEKLLMKIEANPLVTVDWNGYVVAAKGFLKAGLLEKASSMLRRSEQLVSNQTRTLAYEIFLSLYAAIGNKEEVYRIWNMYKFMARFKNSGYLCMLSSLVKLDDIGGAEMILEEWESGAKFFDIRIPNFLITTYCKKGLLVKAESYINKLEESGKPLDASTWTRLAAGYHMHGQMAKAVETMKRAILASQPGWELNHLILAACLEYLKEKGNLEVAHELLSLIRERDHFSEELCDKLEKYII >ONI19289 pep chromosome:Prunus_persica_NCBIv2:G3:25135956:25138318:-1 gene:PRUPE_3G269700 transcript:ONI19289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCANSKQKSRCRHCQSPYSPVSRSYSMHVHHPPQTKGDSYHVVALTSSTLGSLKGDPSLQSNHHLEAKRFANGNDHIDKENIIGNAHKTHEHGKENVQDNKKEFSMGLIEAKTWSNMIDQKIPKIVPRTPIRTPPGEPETINTWELMEGLEDISPFRSPAHHLRSFSFDVVRSPSPVPISPADRPKSKLHENGTVSPKPMWLHFTEDDRVNSNSLISDFDPEVISAFRKSLSELKSDDDPFHFSQLGVQKQLALACNGVVTEYECDAVPCGKVKDNKDRDRIVLYFTSLRGVRKTYEDCCHVRVILKGVGVRVDERDVSMHSGFKEELKELLKDGFSGVTLPRVFLGNKYIGGADEIRQLHEDGKLEKLLESCERLDGGGAGGGGVCDACGDIRFVPCETCSGSCKIYYEDADRDEEDEEEEEEEAEEGESGFQRCPDCNENGLIRCPICCY >ONI16600 pep chromosome:Prunus_persica_NCBIv2:G3:8800438:8801531:-1 gene:PRUPE_3G109200 transcript:ONI16600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQGTLQAPADAGQLTRPDTWVGFQYIDMLTLSGGGTFDGQGALSWNQNDCHKNKNCKPPPVNLRFEFLTNSKVQDITSLNSKFFHIHVFRCNHTTFQQLTITAPDESRNTDGIHIGASTGINITHSKIGTGDDCISIGDDSHEITVTGVTCGPGHGISIGSLGKYKEEKDVTGIIVKNCTLTNTENGVRIKTFPDSPSPSSASGIHYEDIIMVNVSNPILIDQLYCPYTKCEQKPPSKVKINNVSFKNIKGSSFTPLAVKLVCTTGKPCENVELTDIDLTYGGDKGPLTSVCSNVKPTITGVTKALGCATSSLAPLP >ONI17582 pep chromosome:Prunus_persica_NCBIv2:G3:18720617:18722772:1 gene:PRUPE_3G168400 transcript:ONI17582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIQPPLGANPPPARRGDNPRRRRHDLTLPLPQRDASLAVPLPLPPPGPSSAQSSSAHSAAQQLINFNELERSNRIGSGTGGTVYKVIHRPTGRLYALKVIYGNHEEAVRRQICREIEILRGVDNPNVVKCHDMFDHNGEIEVLLELMDGGSLEGQLIQNEKTLSDLAKQILSGLAYLHRRKIVHRDIKPSNLLINARNQVKIADFGVSRILEQTMDPCNSSVGTIAYMSPERINTDLNHGQYDGYAGDIWSLGVSILEFYMGRFPFSVGRSGDWASLMWAICMSQPPEAPPTASREFRHFISCCLQRDPSRRLSAVQLLQHPFISGNGGGQAQQAHQNLHHLLPPPRPLPS >ONI18650 pep chromosome:Prunus_persica_NCBIv2:G3:22789893:22790274:1 gene:PRUPE_3G230000 transcript:ONI18650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAATASRAWVVAATVGVVEVLKDQGLCRWNYPMRLVHQQAKNHLRSFSQAKKLSSSSSAMASSMIMREEKIKQSEESMRKVMYLNSWGPN >ONI16455 pep chromosome:Prunus_persica_NCBIv2:G3:7612235:7614957:-1 gene:PRUPE_3G099000 transcript:ONI16455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKKTSDERKALKYLKPDGEEDLLCLKTEHNPKEPMKLTLSPSQDQTKAEPWLFKPKPGSVFPMKKSEEIMKRKAEQELEERGKKQRMWWGDGNQWERGEMIGEGSYGSVFLAFWKKPLWELNLNDTPPAPVMAVKSAKLSDSESIQHEAGILSDFIGSPFVIQYLGEEMTTIDDTGEEIFNLALEFAAGGTLDGLIQSYRGHGLPESDVRSYTRCILEGLIHIHNCDYVHCDLKPENILLVPSDTSTSGSSSFVAKIADFGLTKSTKDDMDGWRGTPRYTDPDALIDNVQKQCSDIWSLGAIVLEMLTGKPPWDVEPDSTLDDFLDMVAGEITPKIPTEISGLARDFLMKCIAVNSWERFTAQKLLLHPFVQEPQLSEPSHAKVKVLSSSLGSEDGASCLKPIEDYRTNSAPVPRIQPSPGFEIPAGL >ONI16990 pep chromosome:Prunus_persica_NCBIv2:G3:13744196:13748777:1 gene:PRUPE_3G132600 transcript:ONI16990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVSISPFSAPHSLSAPKGYHSFAILSSFKHFTARENSHQLQLLHNTNVLKAYSIRRGSFSFHGAEKHSKLRNFLVESNPTSSSSLQTHMGTWEDPDDGSGSEDEEETEEHDLGFQSEWEEEGEEEKGAPAAASVDRSTTNKYEEELVKEVEQLLEQEEKAILQKHATPYMEKISTAKWNPVHTLALSGLVTFMDKLLDDGVDINVVDKDGLTALHTAIIAKKEAVISHLLRKGASPHIRDGDGATPLHYAVQVGTKRIVKLLIKYKVDVNVADNDGWTPLHIAVQSRNRDIAKILLVNGADKSRRNEDGRTPLDLGLCYGKDFKSYDLTKLLKTVPMDREF >ONI18746 pep chromosome:Prunus_persica_NCBIv2:G3:23123905:23127330:1 gene:PRUPE_3G236300 transcript:ONI18746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVSPIISTLQLLNQPKPSPEEGHNNNNNDESVVNVKHGSLYESLKSGDWNAAKEYIDRHPESLTHRGSSNGGTALHEAIQWKQLHIVEELLKLMTVEDLEIEDGYGFTAFFCALIRGMAPIIASMVKKNENLVTMRLTTDLGSMTPVLYACVLGSWKVARFLYSRTPIHVLTQDNNGREGAELISQCFFHRNKFDIQVQQPQPAPINSNVRVNFEELEDDKRNRRDLIPSVTGFFQGVVKNLLKLLGIHDLHEMRLGHDRNLQILRLVCDVVKSTNLDWKKTAFVKKAILRAVEGGQVEFIKEMCKAKPRLLLTPMDETGRSIFHYAVECRQEKVYNLIYGISEYDRNAILVCADRSNNTILHAAGSLSAHLNHIQGAALQMQRELQWFKEVESIVPSPALEVINVNEKMTAREVFTKNHKELVKEGEESMKGTATSCTFVGALILTIMFAAAFIVPGGSNQDTGFPIFLRKKLFRVFIISDSISLFSSTTSVMIFLGILTSRYAENDFLWSLPTKMILGLFTLFLSIATMMVAFFSTILIMLEGESWVFIPIILLASVPTSSFVWMQFPLFLDIFMFTYGRAIFDKKCGAWE >ONI18745 pep chromosome:Prunus_persica_NCBIv2:G3:23123905:23127330:1 gene:PRUPE_3G236300 transcript:ONI18745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVSPIISTLQLLNQPKPSPEEGHNNNNNDESVVNVKHGSLYESLKSGDWNAAKEYIDRHPESLTHRGSSNGGTALHEAIQWKQLHIVEELLKLMTVEDLEIEDGYGFTAFFCALIRGMAPIIASMVKKNENLVTMRLTTDLGSMTPVLYACVLGSWKVARFLYSRTPIHVLTQDNNGREGAELISQCFFHRNKFDIGWDLLQHCPKLALTENYFGHSPLNTLVDLRSAFPSTVPLRFWQRWIYNNIQVQQPQPAPINSNVRVNFEELEDDKRNRRDLIPSVTGFFQGVVKNLLKLLGIHDLHEMRLGHDRNLQILRLVCDVVKSTNLDWKKTAFVKKAILRAVEGGQVEFIKEMCKAKPRLLLTPMDETGRSIFHYAVECRQEKVYNLIYGISEYDRNAILVCADRSNNTILHAAGSLSAHLNHIQGAALQMQRELQWFKEVESIVPSPALEVINVNEKMTAREVFTKNHKELVKEGEESMKGTATSCTFVGALILTIMFAAAFIVPGGSNQDTGFPIFLRKKLFRVFIISDSISLFSSTTSVMIFLGILTSRYAENDFLWSLPTKMILGLFTLFLSIATMMVAFFSTILIMLEGESWVFIPIILLASVPTSSFVWMQFPLFLDIFMFTYGRAIFDKKCGAWE >ONI18118 pep chromosome:Prunus_persica_NCBIv2:G3:20792026:20792418:1 gene:PRUPE_3G197800 transcript:ONI18118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNVDGAWRKDARIGGTGVIIRDCTGNFVAAGTHQIRNVGCSDQIEALALLDGLRLAASLDHDLFHFESDSLKTTTAVLKGGANLSLLGRIYEDCMGLLSNFHSVSLNHVPRTCNSVADRLACVGLGSSHDI >ONI17922 pep chromosome:Prunus_persica_NCBIv2:G3:20081207:20082435:-1 gene:PRUPE_3G186700 transcript:ONI17922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRVWSTSAALSLRVSVPCRGFSSAVEGLKFAKSHEWAKVEDEGKLASVGITEHAQEHLGDVIYVELPDVGVAVAQGTIVGSVESVKASSDINSPVSGNIVEVNKELLSSPGLVNGSPYEKGWIMKVEMSSRDELNNLMDPDEYSEYVKKKIPNITEHKDT >ONI19208 pep chromosome:Prunus_persica_NCBIv2:G3:24873482:24875869:1 gene:PRUPE_3G264400 transcript:ONI19208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERHCCCLADRTLLKSTEFMMDPVSFTKLQNQNVMIATNMLELNAFMSGTIIGFTLCLYIYFICNRRALWTIIIYQSSH >ONI18890 pep chromosome:Prunus_persica_NCBIv2:G3:23761568:23764428:-1 gene:PRUPE_3G246400 transcript:ONI18890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFTHRKDPVNLRASIALFSHPPQCNPCQDFMETMAKDGAAQEATVTPNKDVSEDNLKGYTVIYVLGGPGSGKSTQCAKIATYFGFCHLSVGDLLEAEVETRSEYGKMIEDCKKEGKLVPSDLVVKLLQQAMQRSQNKKFVIDGFPRNEENRAAAESFMKIEPDFVLFLDCSEEEMKRRLLNRNQGRVDDNISTIQKRLKVYFECTLPVINYYSAEGNVRKIDAERSPEEVFEAIKDVFFELKEKHGETRMTL >ONI18891 pep chromosome:Prunus_persica_NCBIv2:G3:23761969:23764063:-1 gene:PRUPE_3G246400 transcript:ONI18891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMAKDGAAQEATVTPNKDVSEDNLKGYTVIYVLGGPGSGKSTQCAKIATYFGFCHLSVGDLLEAEVETRSEYGKMIEDCKKEGKLVPSDLVVKLLQQAMQRSQNKKFVIDGFPRNEENRAAAESFMKIEPDFVLFLDCSEEEMKRRLLNRNQGRVDDNISTIQKRLKVYFECTLPVINYYSAEGNVRKIDAERSPEEVFEAIKDVFFELKEKHGETRMTL >ONI18892 pep chromosome:Prunus_persica_NCBIv2:G3:23761568:23764185:-1 gene:PRUPE_3G246400 transcript:ONI18892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMAKDGAAQEATVTPNKDVSEDNLKGYTVIYVLGGPGSGKSTQCAKIATYFGFCHLSVGDLLEAEVETRSEYGKMIEDCKKEGKLVPSDLVVKLLQQAMQRSQNKKFVIDGFPRNEENRAAAESFMKIEPDFVLFLDCSEEEMKRRLLNRNQGRVDDNISTIQKRLKVYFECTLPVINYYSAEGNVRKIDAERSPEEVFEAIKDVFFELKEKHGETRMTL >ONI17140 pep chromosome:Prunus_persica_NCBIv2:G3:14764627:14766936:1 gene:PRUPE_3G140100 transcript:ONI17140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWLMGVRLFFSLGRMGEIDENSGRITEEIEKCKEQSLEKKRGLEEAKEPVEKAAYAVLGMLNNRA >ONI17139 pep chromosome:Prunus_persica_NCBIv2:G3:14764627:14766936:1 gene:PRUPE_3G140100 transcript:ONI17139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWLMGVRLFFSLGRMGEIDENSGRITEEIEKCKEQSLEKKRGLEEAKEPVEKAAYAVLGMLNNRA >ONI17138 pep chromosome:Prunus_persica_NCBIv2:G3:14764627:14766936:1 gene:PRUPE_3G140100 transcript:ONI17138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWLMGVRLFFSLGRMGEIDENSGRITEEIEKCKEQSLEKKRGLEEAKEPVEKAAYAVLGMLNNRA >ONI17834 pep chromosome:Prunus_persica_NCBIv2:G3:19778730:19781869:-1 gene:PRUPE_3G181800 transcript:ONI17834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDKMQNDLLVHLALLVLLLWSSSTTTAASGAETPMAKHNCATHCGDISIPYPFGIGPNKDCYFDEWFEMVCNQSSSTSPHKLYLRRAQLEVLNVYANGTLRVNNPIAFFCQEYRGQQPANLSGSPFVYSGRHNKFTAVSCGYLASVSSEKYLVGGCRSICEGTSISPENCEIGVNCCQTNIPPHLSVISTDIKDDRRGRVCKKNIYAFLVDQKWFRDNFMASNFSVIKDMDSVPVVLDWSLGLDNTSLRLFRRFIRQHDSRAYDDPTPTCKIKNVTSTSRPSLECSCPRGFEGNPYLGYHCHDIDECTDQNICGPGAPHCMNYLGTYACYGHIVGGKTKIKLILIGLGAGVGLLLLLIGALWVHEVLKKRKRIKRKEFFFKRNGGLLLEQQLSSGEVNVEKIKLFKSKELEKSTDNFNTDRILGQGGQGTVYKGMLADGRIVAVKKSKIVDESQLSGFINEVVILSQINHRNVVQLLGCCLETEVPILVYEFIPNGNLSQYIHEQNEEFPLTWEVRLRIAKEIAGALSYLHASAAFPIYHRDIKSTNILLDAKYRAKVADFGTSRSVAIDQTHLTTIVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQKPISFRRSQEEGKSLATYFIISMQLDRLFEILDAQVVKGGSKADIITVANLARRCLNLSGRKRPTMREVTVELEGIQTSEKTSNGEQNYEEVEYVRTESIEPWDVVSSSTGTRPGLDGDHASSLHEVPLLPFMSR >ONI15184 pep chromosome:Prunus_persica_NCBIv2:G3:2190159:2190516:-1 gene:PRUPE_3G029300 transcript:ONI15184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVVSINQNFQQTIWHYHGGCQVGRVVDKGYRVLGIDSLRVIDGSMFYHTPGANPQATVMMLGRYMGQRIMHDRLVHGSKKKN >ONI15764 pep chromosome:Prunus_persica_NCBIv2:G3:4259976:4268895:-1 gene:PRUPE_3G059900 transcript:ONI15764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTEKVKGSQSHQRHHSHSRSISRSFSRASWSMEEVFVSASHSRRNSHVDEDEEALKWAAIEKLPTYDRLRTSIIKSCVETEPQGHHHNNNKVVHKEVDVLKLDINDRQNFIDRIFKVAEEDNEKFLKKFRSRIDKVGIRLPTVEVRFEHLTVEADCHVGTRALPTLPNVARNIAESALGLIGIRLAKRTKLTILKEASGIIKPSRMALLLGPPSSGKTTLLLALAGKLDPGLQVKGEITYNGYRLNEFVPQKTSAYISQNDVHTGVMTVKETLDFSARCQGVGSRYELLSELARREKADGIFPELEVDLFMKATSMGGIESSLITDYTLKILGLDICKDTIVGDEMQRGISGGQRKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHITEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPRDNILEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWNDRRKQYRYVSVTEFANRFKRFHVGMRLENELSIPFDKPRGQSSSCLLKACFDKERLLIKRNSFIYIFKTVQIIIGAFIASTVFLRTEMNTRNEDDAAVYVGALIFSMIVNMFNGFAELSLTIARLPVFYKHRDLLFHPAWTFTVPSVLLGIPISILESCIWIAITYYTIGFAPEASRFFKHLLLVFLLQQMASGMFRLIAGVCRTMIISNTGGSLTVLIVFMLGGFIIPRGEIPKWWIWGYWVSPMTYGFNAMTVNEMYSPRWMNKLASDNVTSLGVAVLNNFNVYPDQYWYWIGAAAILGFAILFNVLYTLALMYLNAPGKPQAIISEEVANEMEADQEESKEEPRLRRPPSKKDSFSRSLSSTDGNNSREMTIRRMSSRSNANGLSRNADSSLEIASGVAPKRGMVLPFTPLAMSFDSVNYYVDMPQEMKEEGVAEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQTDIHSPQVTIKESLIYSAFLRLPKEVNNEEKMIFVDQVIELVELDGLKDALVGLPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGRNSHKIVEYFEAIPGVTKIKEKYNPATWMLEASSVSTELRLRMDFAQHYKSSSLHQRNKALVKELSTPPAGAKDLYFTTQYSQSLWKQFTSCLWKQWWTYWRSPDYNLVRFFFTLVAALLLGTIFWKVGTKRESTADLSMIIGAMYAAVLFVGIDNCGTVQPIVAIERTVFYRERAAGMYSALPYALAQVIVEIPYVFIQTTYYTAIVYAMVSFQWTAAKFFWFFFINFFSFLYFTYYGMMTVSITPNHQVAAIFAAAFYSVFNLFSGFFIPRPRIPKWWVWYYWICPVAWTVYGLIVSQYGDIEDTIRAPGITPDPTVKGYIEDHFGYDPNFMGPVAGVLVGFTLFFAFMFAYCIRTLNFQVR >ONI15481 pep chromosome:Prunus_persica_NCBIv2:G3:3242156:3243275:1 gene:PRUPE_3G045100 transcript:ONI15481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHMSSSDHDPNSDASKARLYNPYQDLQVPMRNLYQLPTSPEFLFVEEARRQRRSWGENLTFYTGCSYLAGAIGGGTTGLVSGVRSFEAGDTTKLRINRVLNSSGHTGRVWGNRLGVIGLIYAGMESGIQAVRDTDDVLNSIAAGLTTGAVYRAARGVRSAAVAGAVGGVLVGVAVTGKQALKRYVPI >ONI18236 pep chromosome:Prunus_persica_NCBIv2:G3:21213311:21215207:1 gene:PRUPE_3G204000 transcript:ONI18236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLKNVLPMFVFLLLASTAKAQSAALDVTSAKYGGKPGSDITQALEKAWTDACASTTPSKIVVPKGIFKFVGTTFKGPCKAAIEFQLQGTLQAPVDGSQLPKDDTWIGFDHVDGLTLSGGGTFDGQGAQSWKNNDCNKNRKCKSKHINLRFHVLTNSKILDVTSKDSKNFHVNLQKCEKVEINGFTVSAPKESMNTDGIHIGRSTGINITDTTIGTGDDCISIGDGTKDLTVTNVTCGPGHGIAIGSLGRYPEEEPVSGIYIKKCTLTNTTNGVRIKTWPASPKDSTASDIHFEDITMVNVGNPILIDQEYCPWNECKKGVPSKVKISNVSFKNIKGTCTDPVAVKLACSPGLPCENVELSDIDLKYTGDKGPITSVCSNVKPTINRVAQPLACATGAAAA >ONI18121 pep chromosome:Prunus_persica_NCBIv2:G3:20796859:20799535:1 gene:PRUPE_3G198000 transcript:ONI18121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPKQTEFSLQLEKRNQMPPRNLDLYPSLDKDHITIVLYVHNRPQYLKAAVDSLSRVVGINETLLIVSHDGYFEEMNKIVEGIRFCQVKQIFAPFSPHVFPNSFPGVSPTDCKEKDDATKKQCKGTPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLKETKDHSGHILFIEEDHYIYPNAYRNLQILTELKPQKCPHCYAANLAPCDVNARGEGWDSLIAERMGNVGYTFNRTVWRKIHRKTTEFCFFDDYNWDITMWATVYPSFGNPVFTLRGPRTSAVHFGKCGLHQGQGEARACIDNGMVNFQVEEIDTVANINSDWEVRVFEHQPGYKAGFKGWGGWGDKRDHQLCLTFAQMYHS >ONI18122 pep chromosome:Prunus_persica_NCBIv2:G3:20796859:20799659:1 gene:PRUPE_3G198000 transcript:ONI18122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIVEGIRFCQVKQIFAPFSPHVFPNSFPGVSPTDCKEKDDATKKQCKGTPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLKETKDHSGHILFIEEDHYIYPNAYRNLQILTELKPQKCPHCYAANLAPCDVNARGEGWDSLIAERMGNVGYTFNRTVWRKIHRKTTEFCFFDDYNWDITMWATVYPSFGNPVFTLRGPRTSAVHFGKCGLHQGQGEARACIDNGMVNFQVEEIDTVANINSDWEVRVFEHQPGYKAGFKGWGGWGDKRDHQLCLTFAQMYHS >ONI18120 pep chromosome:Prunus_persica_NCBIv2:G3:20796859:20799614:1 gene:PRUPE_3G198000 transcript:ONI18120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIKKNRLKDEVAFRRFLPLVSITLLGVFLLMFLLRINSNFATPSSDDFSQVLTNRSHARHMLSLPKQTEFSLQLEKRNQMPPRNLDLYPSLDKDHITIVLYVHNRPQYLKAAVDSLSRVVGINETLLIVSHDGYFEEMNKIVEGIRFCQVKQIFAPFSPHVFPNSFPGVSPTDCKEKDDATKKQCKGTPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLKETKDHSGHILFIEEDHYIYPNAYRNLQILTELKPQKCPHCYAANLAPCDVNARGEGWDSLIAERMGNVGYTFNRTVWRKIHRKTTEFCFFDDYNWDITMWATVYPSFGNPVFTLRGPRTSAVHFGKCGLHQGQGEARACIDNGMVNFQVEEIDTVANINSDWEVRVFEHQPGYKAGFKGWGGWGDKRDHQLCLTFAQMYHS >ONI18123 pep chromosome:Prunus_persica_NCBIv2:G3:20796859:20799195:1 gene:PRUPE_3G198000 transcript:ONI18123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIVEGIRFCQVKQIFAPFSPHVFPNSFPGVSPTDCKEKDDATKKQCKGTPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLKETKDHSGHILFIEEDHYIYPNAYRNLQILTELKPQKCPHCYAANLAPCDVNARGEGWDSLIAERMGNVGYTFNRTVWRKIHRKTTEFCFFDDYNWDITMWATVYPSFGNPVFTLRGPRTSAVHFGKCGLHQGQGEARACIDNGMVNFQVEEIDTVANINSDWEVRVFEHQPGYKAGFKGWGGWGDKRDHQLCLTFAQMYHS >ONI19315 pep chromosome:Prunus_persica_NCBIv2:G3:25224927:25230460:1 gene:PRUPE_3G271500 transcript:ONI19315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHLFNNISLGGRGGTNPGQLKIYSGGISWKKQGGGKVVEVDKADIVGATWMKVPRTNQLGVRIKDGLYYKFIGFRDQDVTSLTNYFQNTCGLTPEEKQLSVSGRNWGEVDLSGNMLTFLVDTKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENRPPAQVFRDKIMSMADVGAGGEDAVVTFESIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVQSELSMSEELMNTKYKDKLELSYKGLIHEVFTTILRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHDQIDYVEFERHGAGGSNMHYFDLLIRLKSEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGESQTADGVAPLLEEADDDAVDPHLVRVKNEAGGDESDEEDEDFVIDKDDGGSPTDDSGEDDSDASESGAEKEKPAKKEPRKEPSTSKVSSSKKQKSKDGGEDGAKKKKQKKKKDPNAPKRAMSGFMFFSQMERENVKKSNPGIAFTDVGRVLGDKWKKMSAEEKEPYEAKARQDKLRYKDEISGYKNPQPMNIDSGNESDSG >ONI19316 pep chromosome:Prunus_persica_NCBIv2:G3:25225678:25230460:1 gene:PRUPE_3G271500 transcript:ONI19316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPGQLKIYSGGISWKKQGGGKVVEVDKADIVGATWMKVPRTNQLGVRIKDGLYYKFIGFRDQDVTSLTNYFQNTCGLTPEEKQLSVSGRNWGEVDLSGNMLTFLVDTKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENRPPAQVFRDKIMSMADVGAGGEDAVVTFESIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVQSELSMSEELMNTKYKDKLELSYKGLIHEVFTTILRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHDQIDYVEFERHGAGGSNMHYFDLLIRLKSEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGESQTADGVAPLLEEADDDAVDPHLVRVKNEAGGDESDEEDEDFVIDKDDGGSPTDDSGEDDSDASESGAEKEKPAKKEPRKEPSTSKVSSSKKQKSKDGGEDGAKKKKQKKKKDPNAPKRAMSGFMFFSQMERENVKKSNPGIAFTDVGRVLGDKWKKMSAEEKEPYEAKARQDKLRYKDEISGYKNPQPMNIDSGNESDSG >ONI15634 pep chromosome:Prunus_persica_NCBIv2:G3:3731847:3736980:-1 gene:PRUPE_3G052700 transcript:ONI15634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYIQEQEMEIEALEAILMDDFKEIHSGESGLNTSKRCFQIKVTPVDDEADEVTTAPVQLALIFSHTERYPDEPPLLNLNSLRGIQGEHLRILKEKLQQEASENLGMAMIYTLVTSAKEWLSEQYCQDTSIEDAEAEEAAKDDVIVPHGEPVTVETFLAWREMFEAELALERAKLMPEAALSAPKEKRLTGRQWFESGRHAVKGAAPATEGSDEEDVEDIDFDDDDFEDDEDDMLEHYLAEKTDLSSHSSKRETN >ONI17511 pep chromosome:Prunus_persica_NCBIv2:G3:18315139:18317383:-1 gene:PRUPE_3G163900 transcript:ONI17511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLRFLLALLIIISASSNCHSWGWFSSEETSSNENPSGSVGKFSMEGVNDQKGVRLVENAKAKLVGSDSCWKNAYRHLFSGCSEIFAVEEKRSRFAWHLSDCFQKDSGRPPFPSCDAKSVMYKCLKSLNDYEHKVYLEFYLETNSICHQLQARVFKHETERLVNELKNSAQYAEGKLEKIEEKAEYLLQGSNQIYESLNLIDVRTQQVAQTAKNVEDHVDVVLKHSTAVYEQSRKIQASQSELQEGQVEMKRNLKDGMAMLQDSYSHLGQEIDNLRNEAVEIEQEITKIGDQMSSKMENLQSKADDIGNMAGVSLDKQHQLLDGQTTALKDLQVLTKFQSEALEESRSTLHRLAEYGHRQQEELLQKQQQLQQVHDHLMKNSKSILAAQESFESKQASMFIALDKLFTLHNAMMLESRLIKAFFIYFISMFVIYMFTSTKQTYTVRPWLYIGLCATFMIEVVTIRFTPIDIQQQTWKINLFRSTFAVIALAKLIHAIFTYRDYEVLNNQLLHTLIEKVNGMQRYQKLSWEEDSEFNWSSWIDTNLQEDVDSSEDPDYLVPEEVGENFITASTIKEYDLRQRLLR >ONI18328 pep chromosome:Prunus_persica_NCBIv2:G3:21522443:21525872:1 gene:PRUPE_3G209500 transcript:ONI18328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVELNPNHHHQDQGTKTEMCRTIEVVAGGVVLSPAKQVAGADDCADDLFIPPLNFSMVDNGIFRSGFPESANFSFLQTLGLRSIICLCPEPYPEANVEFLKSNGIKLFQFGIEGYKEPFVNIPEDTIREALKVVLDVRNHPVLIHCKRGKHRTGCLVGCLRKLQRWCLTSVFDEYQRFAAAKARVADQRFMEMFDVSSLKHLPMPFSCSKR >ONI18329 pep chromosome:Prunus_persica_NCBIv2:G3:21522576:21525446:1 gene:PRUPE_3G209500 transcript:ONI18329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVELNPNHHHQDQGTKTEMCRTIEVVAGGVVLSPAKQVAGADDCADDLFIPPLNFSMVDNGIFRSGFPESANFSFLQTLGLRSIICLCPEPYPEANVEFLKSNGIKLFQFGIEGYKEPFVNIPEDTIREALKVVLGTARNSSFLVSYRPEIQHCYMLTFIYFSAMQM >ONI17351 pep chromosome:Prunus_persica_NCBIv2:G3:16697447:16698235:-1 gene:PRUPE_3G153300 transcript:ONI17351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPKFFALKSAKNQKYVVFKDQSTAELPNRLECSGEESHSKYARFKAEKDVNQPSLVHMKSTYSDKYLRTASEDSPWIVAEADEKQPNKNLWSCTLFKPVVLQKPAPYVDGVYQFVHVRLGNLTEPKSGTDFEDDALAAANAKPTSTPAFTVEKLPG >ONI19410 pep chromosome:Prunus_persica_NCBIv2:G3:25521676:25522329:-1 gene:PRUPE_3G278100 transcript:ONI19410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPTMMTTLDSAIKTYQINYHLKVTGTLDAKTGTSMRSGKKRGGHGSTHTVATFLSSKEAQNGLPISITSPMVFFKAPHLRLWAQLHVILQHGRATHTSRSAKPKALRALKIGFGRGDHGDGANNAFDGPGKTAAHAFRPTDGRFHYDADETWPVVGAVPGGVDLETVALHEIGHLLGLGLSSVPGAIMLPEILIGVTRQSLHADDIQGIRALYNT >ONI17219 pep chromosome:Prunus_persica_NCBIv2:G3:16001304:16007590:-1 gene:PRUPE_3G146300 transcript:ONI17219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGFACDENDKMGGVTSSIAAKFAFFPPNPPSYRVVPDESCGGVLYIPEVPRRDDVDVIKLRTRRGNEIVAVHVKHPKASATMLYSHGNAADLGQMFELFVELSARLRVNLMGYDYSGYGQSTGKATEYNTYADIDAAYKCLKEKYGVKDEQLILYGQSVGSGPTVDLASRLPTLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKMAMVNCPVLVIHGTADEVVDSSHGKQLWVLCKEKYEPLWLSGGGHCNLELYPEFIKHLKKFVHSLGRSKSATNGSKTSTVDSDECKPPETGTSDSSELGSDLPEVSRNSLDSRLEKSKKPNKPEKSRMSTDRVDTFKRRKGLVW >ONI17218 pep chromosome:Prunus_persica_NCBIv2:G3:16001002:16007939:-1 gene:PRUPE_3G146300 transcript:ONI17218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGFACDENDKMGGVTSSIAAKFAFFPPNPPSYRVVPDESCGGVLYIPEVPRRDDVDVIKLRTRRGNEIVAVHVKHPKASATMLYSHGNAADLGQMFELFVELSARLRVNLMGYDYSGYGQSTGKATEYNTYADIDAAYKCLKEKYGVKDEQLILYGQSVGSGPTVDLASRLPTLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKMAMVNCPVLVIHGTADEVVDSSHGKQLWVLCKENLGRSKSATNGSKTSTVDSDECKPPETGTSDSSELGSDLPEVSRNSLDSRLEKSKKPNKPEKSRMSTDRVDTFKRRKGLVW >ONI15261 pep chromosome:Prunus_persica_NCBIv2:G3:2479141:2480754:1 gene:PRUPE_3G033600 transcript:ONI15261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRFQMYILAFCAGLVIQSSCNGHSGLQKKHVALFIFGDSLYDPGNNNYINTTKDFQANWLPYGETFFRYPTGRFSNGRLISDFIAENAKLPFIPAYLQPGLKDYTYGVNFASGGAGALVETFQGFVIDLKTQRSQFKKVEKQLRQKLGEAEAYTLLSKAVYLISIGSNDYSIPLATNTSQEEYVGWVIGNLTSWIEKFGFSSVGPIASTPSMRVIQPGNTGPSGEEVTALENLHNRLLSKVLTTLKKELQGFKYSTLNLYTYSKERINHPSKYGFKEGKAACCGSGPYGGINSCGGKRGVTEYKLCNNVTEYVFFDSFHPTERVYEQASKLWWSHNLEELFEV >ONI18563 pep chromosome:Prunus_persica_NCBIv2:G3:22333272:22336213:1 gene:PRUPE_3G223200 transcript:ONI18563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPAFKIMSLFLSVFVGFALVGLVSSAKFDELFQPTWAFDHFTHEGELLHMKLDNFSGAGFQSKNKYLFGKVSLQIKLVEGDSAGTVTAFYMSSDGPSHNEFDFEFLGNTTGEPYSVQTNIYVNGVGNREQRLNLWFDPTTEFHSYSIFWNQRQVVFLVDETPIRVHTNMENKGVPFPKDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFIASYKGFEINACECPVSLAAADNAKKCSSSGDQKYWWDEPTLSALNLHQNHQLVWVKAHHMFYDYCTDSARFPVTPLECVHHRH >ONI17573 pep chromosome:Prunus_persica_NCBIv2:G3:18666279:18670695:-1 gene:PRUPE_3G167700 transcript:ONI17573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLYKNQFSREIPSTIGNCSKLQEFGVLLLGLGNCSTLTRFSAVSSNLEGSIPSSFGHLKYLTTLQLQRNYLTGEISISIWKLRSLKQILVFNNSLTRELIIGELPVVVIKLKQLKFIRLFNNLFFGVIPQSLGINISLSLKLILGSNRFQCTISSDVGTCSSLSRLSLYQNNLIGVFPQFAKNPTLSYMDIAARPHHEIIGEIPSSLGNCNNLTSINLCWNKLTGVLPHKLRNLAELHSLNISKKTICDNIFTGGIPPFFLEFGKLLELKLGGNFSETKTLKALGYMSSLIKVDVSDNNFTGAVPETLMKLLNSSPLSFLGNPYICVSYLSSCGSTCARNNTLGSSLLVVSMLYGLVYVFLLCKKTKQEFEVVTQEGPPPDWQGPFGGEFLARVQRAWSGARVYGKISERQGFDRF >ONI16521 pep chromosome:Prunus_persica_NCBIv2:G3:8182340:8187137:-1 gene:PRUPE_3G104000 transcript:ONI16521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAEEQEKESLHLEAENQKQKTSVKGVRDLLWTPMNWFKMLSSELHWSFVVGVVIVYGISQGLSMGLSRIGIQYYLKDDQKVQPSEAQVYFGIIQIPWMVKPFWGLLTDTLPILGYRRKPYFVFAGVIGMISMLVLSLDKKLDLTISLLSLMMGSAGVAIADVTIDACVTQNSISHPSLAGDMQSLCGLTSSIGALVGFSLSGFLVHLLGPKGVFGLLSIPPGLVILVGVMHREPHVRTFTYTQVSVKFLDACKTMWTTLKCGNVWRPCLYMYLSLALALNVREGMFYWYTDAKGGPSFSQEVVGSIFSIGAVGSLFGVLLYQNFFKSYPFRDVLFWTQLLYGVSGLLDLILVLRINLKFGLPDYFFVVIDEGVTQLIGRIKWMPLLVLSSKLCPAGIEGTFFALLMSIDHVGMLSSTWAGGLLLHVLKITRTQFENLWMAILIRSLLRIVPIALLFLIPRTDPNLSILPPEMLRTKKGHDTHEYENIEMASLVNAT >ONI16520 pep chromosome:Prunus_persica_NCBIv2:G3:8182329:8187137:-1 gene:PRUPE_3G104000 transcript:ONI16520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAEEQEKESLHLEAENQKQKTSVKGVRDLLWTPMNWFKMLSSELHWSFVVGVVIVYGISQGLSMGLSRIGIQYYLKDDQKVQPSEAQVYFGIIQIPWMVKPFWGLLTDTLPILGYRRKPYFVFAGVIGMISMLVLSLDKKLDLTISLLSLMMGSAGVAIADVTIDACVTQNSISHPSLAGDMQSLCGLTSSIGALVGFSLSGFLVHLLGPKGVFGLLSIPPGLVILVGVMHREPHVRTFTYTQVSVKFLDACKTMWTTLKCGNVWRPCLYMYLSLALALNVREGMFYWYTDAKGGPSFSQEVVGSIFSIGAVGSLFGVLLYQNFFKSYPFRDVLFWTQLLYGVSGLLDLILVLRINLKFGLPDYFFVVIDEGVTQLIGRIKWMPLLVLSSKLCPAGIEGTFFALLMSIDHVGMLSSTWAGGLLLHVLKITRTQFENLWMAILIRSLLRIVPIALLFLIPRTDPNLSILPPEMLRTKKGHDTHEYENIEMASLVNAT >ONI18215 pep chromosome:Prunus_persica_NCBIv2:G3:21042951:21044806:-1 gene:PRUPE_3G202500 transcript:ONI18215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSFPPLFFPPKNLNPFSLCNPKSMASFKPSIPLTSDSAASVPSPHTSGEVHVIMGPMFAGKTTALLRRIKSEGNNGRNVAMIKSSKDTRYAIDSVVTHDGAKFPCWALPDLLSFRQNFGGEAYEKLDVIGIDEAQFFEDLYDFCCMAADHDGKTIIVAGLDGDYLRRSFGSVLEIIPLADTVTKLTARCELCGKRAFFTLRKTEETRTELIAGADVYMPVCRQHYVNGQVLMKAARTVVESHKFKSDTFPEVAAVV >ONI18214 pep chromosome:Prunus_persica_NCBIv2:G3:21043137:21044703:-1 gene:PRUPE_3G202500 transcript:ONI18214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSFPPLFFPPKNLNPFSLCNPKSMASFKPSIPLTSDSAASVPSPHTSGEVHVIMGPMFAGKTTALLRRIKSEGNNGRNVAMIKSSKDTRYAIDSVVTHDGAKFPCWALPDLLSFRQNFGGEAYEKDLYDFCCMAADHDGKTIIVAGLDGDYLRRSFGSVLEIIPLADTVTKLTARCELCGKRAFFTLRKTEETRTELIAGADVYMPVCRQHYVNGQVLMKAARTVVESHKFKSDTFPEVAAVV >ONI16895 pep chromosome:Prunus_persica_NCBIv2:G3:12688475:12689557:1 gene:PRUPE_3G127800 transcript:ONI16895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTISKGFAVISATKNLHLPFIKDIFASGRTRFLEWVVSENQVFTFSLYFICSND >ONI18574 pep chromosome:Prunus_persica_NCBIv2:G3:22369812:22376508:1 gene:PRUPE_3G224000 transcript:ONI18574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHNLNHLELRTGFTQYDLVGMAALLKLCPNLETKMLDYLFKIEEDETLSEGLSSKPVELSMPSLKQVSVTSYTGTEDEVNFMKILSTQGVALEKIILVRGHVGAKSRVQMVLYRNAY >ONI14654 pep chromosome:Prunus_persica_NCBIv2:G3:95037:97662:1 gene:PRUPE_3G001000 transcript:ONI14654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMASASAVSVQIFHTQRRTLSPPFRHFQFQGGYSQCSMPYVTSTATAKRLQVTLRRPPYASRFSAKHQTMVCTNSMSNDVEQLQAKVTTKCFFDTEIGGEAVGRLVLGLFGDVVPKTVENFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFTEGDGTGGVSIYGSTFADESFALKHVGPGVLSMANAGPGTNGSQFFICTVKTPWLDNRHVVFGHVIEGMDVLRKLESQETSRSDVPRLPCRIVNCGELLLD >ONI14655 pep chromosome:Prunus_persica_NCBIv2:G3:95037:97662:1 gene:PRUPE_3G001000 transcript:ONI14655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMASASAVSVQIFHTQRRTLSPPFRHFQGGYSQCSMPYVTSTATAKRLQVTLRRPPYASRFSAKHQTMVCTNSMSNDVEQLQAKVTTKCFFDTEIGGEAVGRLVLGLFGDVVPKTVENFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFTEGDGTGGVSIYGSTFADESFALKHVGPGVLSMANAGPGTNGSQFFICTVKTPWLDNRHVVFGHVIEGMDVLRKLESQETSRSDVPRLPCRIVNCGELLLD >ONI16861 pep chromosome:Prunus_persica_NCBIv2:G3:11595588:11597752:-1 gene:PRUPE_3G125900 transcript:ONI16861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASFILITCFLLASSFTIVHSLSKPPLLGVHPLDEQYFASEVIKCKDNSKSFTRDRLNDNFCDCVDGTDEPGTSACPAGKFYCRNIGSTPQFLFSSRVNDHFCDCCDGSDEYDGSVNCPNTCIMGGNIEYKTDHRFSRVGGLVGDLGHVGAKQAKNGVSLDDLIQKLKGLKILIIIQVVITIVVVVFRILRRRARSKRRRHHKVIQHLLFCFWFYTSMMADFLMGSSKYV >ONI16860 pep chromosome:Prunus_persica_NCBIv2:G3:11595588:11597752:-1 gene:PRUPE_3G125900 transcript:ONI16860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASFILITCFLLASSFTIVHSLSKPPLLGVHPLDEQYFASEVIKCKDNSKSFTRDRLNDNFCDCVDGTDEPDCCDGSDEYDGSVNCPNTCIMGGNIEYKTDHRFSRVGGLVGDLGHVGAKQAKNGVSLDDLIQKLKGLKILIIIQVVITIVVVVFRILRRRARSKRRRHHKVIQHLLFCFWFYTSMMADFLMGSSKYV >ONI16863 pep chromosome:Prunus_persica_NCBIv2:G3:11595145:11597872:-1 gene:PRUPE_3G125900 transcript:ONI16863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASFILITCFLLASSFTIVHSLSKPPLLGVHPLDEQYFASEVIKCKDNSKSFTRDRLNDNFCDCVDGTDEPGTSACPAGKFYCRNIGSTPQFLFSSRVNDHFCDCCDGSDEYDGSVNCPNTCIMGGNIEYKTDHRFSRVGGLVGDLGHVGAKQAKNGVSLDDLIQKLKGLKILIIIQVVITIVVVVFRILRRRARSKRRRHHKVLYQHDG >ONI16862 pep chromosome:Prunus_persica_NCBIv2:G3:11595147:11597849:-1 gene:PRUPE_3G125900 transcript:ONI16862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASFILITCFLLASSFTIVHSLSKPPLLGVHPLDEQYFASEVIKCKDNSKSFTRDRLNDNFCDCVDGTDEPDCCDGSDEYDGSVNCPNTCIMGGNIEYKTDHRFSRVGGLVGDLGHVGAKQAKNGVSLDDLIQKLKGLKILIIIQVVITIVVVVFRILRRRARSKRRRHHKVLYQHDG >ONI16478 pep chromosome:Prunus_persica_NCBIv2:G3:7708136:7713522:-1 gene:PRUPE_3G100500 transcript:ONI16478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSAPKTDKVSEDGENDKLRYGSSSMQGWRSTMEDAHAAIPDFDGSTSFFAVYDGHGGKAVANFCAKYLHQQVLKDEAYLAGDLGTSLQKAFLRMDEMMRGQRGWRELAVLGDKIDKVSGLIEGFIFSPKSVEAKSGAFNDHTDQWSSEEGPHSDFDGPNSGSTACVAIIRNNQLVVANAGDSRCVISRKGQAYNLSKDHKPDIENEKERILKAGGFIQVGRVNGSLNLARAIGDAEFKQNKHLPVERQIVTANPDVTSVEICDDDEFLVIACDGIW >ONI16477 pep chromosome:Prunus_persica_NCBIv2:G3:7706230:7711111:-1 gene:PRUPE_3G100500 transcript:ONI16477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMMRGQRGWRELAVLGDKIDKVSGLIEGFIFSPKSVEAKSGAFNDHTDQWSSEEGPHSDFDGPNSGSTACVAIIRNNQLVVANAGDSRCVISRKGQAYNLSKDHKPDIENEKERILKAGGFIQVGRVNGSLNLARAIGDAEFKQNKHLPVERQIVTANPDVTSVEICDDDEFLVIACDGIWDCMSSQQLVDYVREQLKHESKLSVICERVFERCLAPSSGGEGCDNMTMILVQLKKPVTSAASVEDKPLSSNPSSEKDKSSAKAK >ONI16476 pep chromosome:Prunus_persica_NCBIv2:G3:7706230:7713522:-1 gene:PRUPE_3G100500 transcript:ONI16476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSAPKTDKVSEDGENDKLRYGSSSMQGWRSTMEDAHAAIPDFDGSTSFFAVYDGHGGKAVANFCAKYLHQQVLKDEAYLAGDLGTSLQKAFLRMDEMMRGQRGWRELAVLGDKIDKVSGLIEGFIFSPKSVEAKSGAFNDHTDQWSSEEGPHSDFDGPNSGSTACVAIIRNNQLVVANAGDSRCVISRKGQAYNLSKDHKPDIENEKERILKAGGFIQVGRVNGSLNLARAIGDAEFKQNKHLPVERQIVTANPDVTSVEICDDDEFLVIACDGIWDCMSSQQLVDYVREQLKHESKLSVICERVFERCLAPSSGGEGCDNMTMILVQLKKPVTSAASVEDKPLSSNPSSEKDKSSAKAK >ONI19627 pep chromosome:Prunus_persica_NCBIv2:G3:25955123:25956467:-1 gene:PRUPE_3G288100 transcript:ONI19627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKAKEIVSSNSVVVFSKTYCPYCVSVKQLLTQLGAKFKAIELDTESDGAQIQSALGEWSGQRTVPNVFIGGNHIGGCDTTTALHKEGKLVPLLSEAGAVAKTPA >ONI14841 pep chromosome:Prunus_persica_NCBIv2:G3:724836:727101:-1 gene:PRUPE_3G011700 transcript:ONI14841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKRALSILDQCLTMAHIKQIQSHLTVSGTLFDPYAAAKIITFCTVSNSGDLRHAFQLFRHMPYRTTYIWNVVIRALAENNESMRAVSLYSDMIQSGLLPNNYTFSFLLRACADLSYLSFGLVLHCHAIRLGWESHDFVQNGLIHLYVTCDFINPARKLFDMSVYKDVVTWTALINGYVKSGQVVIARELFDQMPQKNAVSWSAMINGYVQVGLFREALELFVDMQVSGFLPNHAGIVGSLTACAFLGALDQGRWIHAYVNRKGMQLDRVLGTALVDMYTKCGCIETARAVFNEMPSRDVFAFTSLISGLANNGDSAGAISLFARMQDEGIAPNEVTFICMLSACSRMGLVDEGLRIFGSMTSTFRIQPGIQHYGCLVDLLGRAGMLEEANKVVREMPMEPDSYVLGALLNACRVHGDVELGKEMVKRLSGKSLDHSGVHVLLSNIYASANQWDDVTVLRKGMEEKKVRKVPGCSLVEVNGEVFEFVAGDRSHVLMDEIMLASLVIDKHLKSRCFDRDDDKITE >ONI16598 pep chromosome:Prunus_persica_NCBIv2:G3:8775801:8777332:1 gene:PRUPE_3G109000 transcript:ONI16598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDQLVLSDDDVVIQQRAIPSIHFSGKVKSSLYRPWHFAVIIKLMGRPLAFTFLRSRLLQRWALKGPMSLIDLENNNFIAKFLYEEDMRYVLTGGPWQIAGQYIVTQKWKPGFNAKEEKITHMTAWVDAHTLSQGRGKFARICGELDLAKPFTPFIKIEGRTYGVVYEGINLVCFECGCFGHGRDSCPIILQAKQQVPESDNADCMEDISTVQVNVNLGAATKEVEVPAKMHGEWMLLKPRNFRKNSTNDIGKGADLSKRNTKDTGTKAISPVFGSRFNVLIEEVGREEDMEGSPPVKTSDSSSKKQGSFANTYSTKGKSAGVKFASSRDSGTWVFKKPLKDISNSVVANSSGGGVKSAGPKSFSCHDIEPVRLQVKGSDVQDDVRGKFSFNLGGSLFPKLPLGKEGLFFGHEPPDISEMGINEVEPSDASDMDHQGNFSEHCESDTGLEADSSFEHDGFEAVEAEHVQI >ONI17195 pep chromosome:Prunus_persica_NCBIv2:G3:15653922:15654869:-1 gene:PRUPE_3G144200 transcript:ONI17195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLKSTATGFLQLKKPQNPFLHHSPSQASTVSIKRHLLTPMAALTLPTITLSQTFTKLKNQRKVAFIPYITAGDPDLSTTAQALKVLDSSGADVIELGVPHSDPILDGPVIQASVTRSLARGTNFNSIMSMLKNVVPQLSCPIVLFSYHNPIIKHGIENFMSTISDVGVHGLVVPDAPFEETKSLRKEAVKNNVELALLTTPTTTIDRMKKIVEASEGFVYLVSTVGVTGARESVNEQIPRLLREIREATTKPVAVGFGLSKPEHVKQVAEWGADGVIVGSAIVKVLGEAKSPEEGLKALETFTKSFTSALLG >ONI17823 pep chromosome:Prunus_persica_NCBIv2:G3:19674423:19677769:-1 gene:PRUPE_3G180900 transcript:ONI17823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAEEYQQPLLLRLDDQQSRIADLSSTSIEEFLGQRQRPVELRWWSRLVVWESRLLWTLSGSSITVSVFNYMLSFVTLMFCGHLNALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKHLPAMGIICQRAIILHLGAAVLLTFVYWWSGPILIAIGQSDEIAEQGQIFARGIIPQLYAFAINCPQQRFLQAQNIVNPLAYISVAVFVLHIILTWVVVFVVDYGLLGAALMLSFSWWLLVVIYGLYIRLSPSCKETWTGLSWKAFKGMWPYFKLTVASAIMLCLEIWYNQGLTLISGLLPNPTVSLDSISISMNYWNFSLQFMLGLSAAASVRVSNELGAGNPRVAKFSVFVVTGTSILISIIFSAIILIFRTGLSKLFTSDPEVVKAVSDLTPLLAISVFLNGIQPILSGVAIGSGWQAVVAYVNLTCYYIIGLPIGCVLGFKTSMGVAGIWWGMLLGVFLQTVALIVLTARTNWDAEVVNAADRLKRSADAEHSDLVVYV >ONI14948 pep chromosome:Prunus_persica_NCBIv2:G3:1238628:1242580:-1 gene:PRUPE_3G017300 transcript:ONI14948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPKQRNMVFTTLLLIYSLGLCLIASSDLAADREALLALRTAVKGRSMEWNVTGTNPCLWPGVECESGRVTKLRLPGRALVGQLPRGLGNLTQLSTLSLRLNLLSGQVPNDLVNLVNLKNLYLQGNSFSGPIPEFLFNMQSLTRLSLAKNNFSGEISPGFNNLTSLVTLHLEENQLTGSIPELGLALEQFNVSSNQLNGSIPSKLSDWPENAFQGNLLCGKPLKACNGTENTGKKNKLSGGAIAGIVIGCIFGLFVIIAIVIFLCKRNKNGEEGTEYVAPAKVREVETASGKTGVDSESLSTDFSAASKGNVKSGGGSKSLVFFGDAVKVFDLEDLLRASAEVLGKGTFGTTYKAALELGVSMAVKRLKEVTVSEKVFRENIEEIGGMDHVNLVPLRAYYYSRDEKLLVYDYMPMGSLSALLHGNRGAGRTPLNWETRSGIAVGAARAITYLHSHGPTISHGNIKSSNILLTRSFEACVSDFCLAHLASPTSTPNRISGYRAPELTDASKVTQKADVYSFGVLLLELLTGKAPTQAIMNEEGVDLPRWVHSVVREEWTVEVFDLELLRYQNVEEDMVQLLQIALECTVQHPDNRPLMGEVTSRIEELYSASLKHGQDANPDISRDVDDGLSQQHFSADSHIRP >ONI17367 pep chromosome:Prunus_persica_NCBIv2:G3:17037932:17039594:1 gene:PRUPE_3G154500 transcript:ONI17367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAKRLTLGGLTAPLLGLLQLPSLFVFGSFSEDKTRSLLQKQSPGKAENPVEKNVLQFGSIYFGTIISSGECNGESSRPQNSVKGLSKVQPLSSLNKQNEVEAVKAANDSLPASLTTPTEKATTDNIDVASLPLSNNEGGLSNQFPSLELQNREQHGRVADLSASKAGLALGELQKENNFLRSLWPVTNPPSSQEHFLHPQLLQKPLHPFLARGYFDDVGV >ONI17817 pep chromosome:Prunus_persica_NCBIv2:G3:19629112:19631225:1 gene:PRUPE_3G180300 transcript:ONI17817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKILQTIQIQSQIIQSNQKQQSKAEIILQYLSPNESKQKAQKLTFSRHTSVVVGADFTLGVGICKGRYGIRIWEREEQKEEMARSMENR >ONI17510 pep chromosome:Prunus_persica_NCBIv2:G3:18312846:18314806:1 gene:PRUPE_3G163800 transcript:ONI17510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAISLSTNIPAGSTNITVTSGPNDEALRLIESSPSRLTFTELDVEAYSLLLHTCISRKSLEHGRRLYLQLLLSKDRGNNHSLLHNPTLKSKLITLYSVCGRMDEARSVFEDGLEDEQVPESVWVAMAIGYLRNGYLVEALLVYCDMLIRFVHPGNFAFLMALKACAELPELRVGRAVHAQIVKSIEEPDQVVNNALLRLYAENGCSIEVFRVFDTMPERNVVSWNSLIASFARRDQVFESLDSFRRMQEEGMGFICGRLTALHCGKEIHAQIVKSTKRPDVPVLKSLIDMCAKSGEIDYRKRVFERKQSKDLASWNTLLTGYANNGFIEEGMKLFDQMVESGVRPDGVTFIALLSGCSHAGLTDEGRRLFNKMKLVYGVSPTVEHYACLVDLLGRAGRIKEALDVVERMPMKPTGSIWGSLLNSCRLQGNVSLAEFAAKELFELEPTNPGNYVMLSNVYANAGMWNDVNRVRELMKHRGIKKDAGCSWVQIRNRIHTFLAGGGFEFRNSAEFKKVWNELTDAMKEVGYILDTSVVLHDVNEETKAMWVCGHSERVAVTFALVHTAAGMPIRITKNIRVCADCHSWVKIVSMITGRLIVLRDTNRFHHFKGGACSCKDHW >ONI16716 pep chromosome:Prunus_persica_NCBIv2:G3:10016310:10016639:-1 gene:PRUPE_3G117400 transcript:ONI16716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFVVVAGVRAEEVGFMPDNSGGLLLLCMIFVSLSLVSMVIFACSDKGSKKKKRRDDCGDCDFTGCCCGGGGPCGGGDGGGGGDGGGGGGGDGGGGGGGGCGGGGGS >ONI15880 pep chromosome:Prunus_persica_NCBIv2:G3:4797229:4798295:-1 gene:PRUPE_3G066600 transcript:ONI15880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFREFWGFFSREFLAKKDYQASQKKLVHHRYVFIQFHTRLKNCVRRLLRILGFFPPKEFLTKMDHQASQEKLAHRREFLTKKDYQASQKKLAHHRYVFLQFHTRLKNCVQFHIRLKNGL >ONI15680 pep chromosome:Prunus_persica_NCBIv2:G3:3862967:3864679:1 gene:PRUPE_3G055000 transcript:ONI15680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEYSKLNRVEMSIWECCELLNEVVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLLPSFGELPQWAVVGDTFPVGCSFDQANVHHKYFKENPDYYNPAYNTKFGVYSEGCGLENVMMSWGHDDYMYLVAKENNCTLPSAALFIIRYHSFYALHKAGAYKHLMNEEDVKNLNWLHVFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPSKLRW >ONI15678 pep chromosome:Prunus_persica_NCBIv2:G3:3861385:3864679:1 gene:PRUPE_3G055000 transcript:ONI15678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILIEQPEFGVEVGTEAGEKKVFEEEKELVLDDGLFVVPHTNSFGQTFRDYDAEGERQPSVEIFYQINHINQTYDFVQRMRKEYSKLNRVEMSIWECCELLNEVVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLLPSFGELPQWAVVGDTFPVGCSFDQANVHHKYFKENPDYYNPAYNTKFGVYSEGCGLENVMMSWGHDDYMYLVAKENNCTLPSAALFIIRYHSFYALHKAGAYKHLMNEEDVKNLNWLHVFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPSKLRW >ONI15679 pep chromosome:Prunus_persica_NCBIv2:G3:3862572:3864679:1 gene:PRUPE_3G055000 transcript:ONI15679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEYSKLNRVEMSIWECCELLNEVVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLLPSFGELPQWAVVGDTFPVGCSFDQANVHHKYFKENPDYYNPAYNTKFGVYSEGCGLENVMMSWGHDDYMYLVAKENNCTLPSAALFIIRYHSFYALHKAGAYKHLMNEEDVKNLNWLHVFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPSKLRW >ONI19132 pep chromosome:Prunus_persica_NCBIv2:G3:24671158:24672318:-1 gene:PRUPE_3G260700 transcript:ONI19132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAVLQRQYSTNIFSFSSPYISSLAAVNILQAHITSDLGEFMNKISLLSGILASILLLLILVLAFGWFALILWTACLVTVVTKSYQSLKTLLYTTVADASSGSSCLWQVEGAHELPLDGRSRGPAEWAIP >ONI16787 pep chromosome:Prunus_persica_NCBIv2:G3:10859420:10860834:1 gene:PRUPE_3G122000 transcript:ONI16787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWKCIGFGLLFMLGAWSCEATSRSLQDASMYGRYEQWMTRYGRVYNDVNEKENRFKIFKENVAFIESSNNDVNKPYKLGVNQFADLTNEEFKASRNGFKGHECSTKTTSFKYENVKAPVPATMDWRKKGAVTPVKDQGQCGCCWAFSAVAATEGITQLTTGKLISLSEQELVDCDTSGEDQGCEGGLMDDAFQFIQQNHGLSAEANYPYNGVDGSCNTKKAASIAAKITGYEDVPANSEKALLTAVAHQPVSVAIDAGGSDFQFYSSGVFTGSCGTSLDHGVTAVGYGVSDDGTKYWLVKNSWGTEWGEEGYIRMQRDVEAKEGLCGIAMEASYPTA >ONI16919 pep chromosome:Prunus_persica_NCBIv2:G3:13211641:13213033:-1 gene:PRUPE_3G129800 transcript:ONI16919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEVRASHELLQAQAHIWNHIFSFINSMSLKCAVELDIPDVIQKHGQPMILSELVSALPISPTKAHFIPRLMRILVHSGFFARESLSGGSEQGYVLTDASALLLKDNSMSTRPFLLAMLNPILTDPWQYLTTWFQNDNPTPFHVVHGMTFWDYGNQDPTLAHFFNDAMASDAQLISSLMIDDFKGVFQGVDSLVDVGGGTGTVAKSIADAFPHMKCTVFDLPHVVADLKGSKNLEYVAGDMFEAVPAADAIFLKWILHDWSDEECVKILERCKVAITREGKKGKVIIVDMTVENKNKDKESGETQLFFDMLMMVLVKGKERNEKEWAKLFSDAGFTHYKITPCLGLRSLIEVYP >ONI17749 pep chromosome:Prunus_persica_NCBIv2:G3:19385061:19385914:1 gene:PRUPE_3G176800 transcript:ONI17749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTSLFLFLLPHHHHRLILASKPLQLVGPLFSLSLLMKMVFGFSPRQGSGQYDLGYQYTHVLFQITTTLCRLRVIVLGVRPVVINKKVA >ONI19041 pep chromosome:Prunus_persica_NCBIv2:G3:24417424:24425926:1 gene:PRUPE_3G255600 transcript:ONI19041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEIEGRIHKLYELDNYSRQSQVADGNWPVLYNQWHEKQREISEPLSFNLKSNVQQLDSVRGRGNQSLTFDKNYTQLAQRPGLSNIQTTDLHLKTNGFMLGRQDFGERQNQLEFLGESTVFSPHNLTSRGLSILQSEQENASGAGDSPTLTTNSERSEITEASTEFNFVGKQQQLVRGQQQGIPQLHSMQQSGYTDMQLLQQHVMFKNLQELQRQQQLQQFGDTRQQNSVNQLSAMNKQAAGVQFSPLINGTPVNDTSQMFMNWVQRGGSPAGQNVSNRVIFSQEQGQTLSSMGPAPQQFDVSLYGTPVASGRGTLNQYSHLQAMSHDSENLLAKASDQTQKPVMQSSGFTNPFVGDHCTTASPEQACLPQGAFISKQGFQGKNVLGQVTNQGLNCGSTLGNLQQGNTLQANASLQEISGKQDPAGWLGTLQKKTMQHGPSQGLVPLDPMEEKILFNTEDNFWDASMVKRSDIGAGGFGNAFEQTGYSDAFPSLQSGSWSALMQSAVAEASSSDTGPQEEWSGLTFQNTDLSTGNQSSNILDSEKQQGSWADNNLQSASSLSSKPFPMLNDSSVNSSFPGFPQPGIQFPTEHQDEYHESIQKSPKNTSEWLDRNPQQKLSVERSQQVQPHLRLDNTWASQINEHSECDPRQQRIDSYGIAGQPSGKPEGESEAMYKRNSDGSLWKRDGDCRVNSFSRSTGQLEQVQSGSEDTLRNRENSHVFDFHSLQNSHITKVHQETSHQVQDNNKLDYMKRIIFSNKEENEGIREKQHQPSNSSHVIGNSYGREGETYEQQQNCYQGDNTYDSKRADTSTTVCRSGDPSGMHVTARTSSQNMFHLLSKVDQSKENSSIAQFGPSGFNPLSEVTEAKTHGASVAHMYNQSSASQGFALKLAPPSQRQSNSNTLFSSQGMLQPESNPNQMQMDSDLGEKSQSWLPTPSSSQSLPQSHESSPRARWDDKFSIAGQSSISSSYMHGSSIAEITSSPTFPRNQLQTQHLFSVPGAGPSTQAILPGTASRHSPSNRALSQGTSQQIFINPGAQQFPVLEAVPVSQSPYMSGMPARGGASVRPQGLWTNNPSQQHLSGMETQKVSLINHDSMDTTPLASELNTLNTQEGGYRSSEFGASSMNSQGFISSEEQQGKERAQKPMSSGMLDASQTGVRNVSDPRALASDSLLNPSARNLGFFGQALKSSHGFHQNYSPLRQVHAIMNVETDPSERVLDEQQVTAVAGQQSTYGHNKDDELSSVSALKSSPHGNSKAPSFLTDAREDPSVKTSSPSVFQAQGMVAFGESDSQSQSTGNTVLSNHAETSWGNLRMAPNWFKQYGTFRNGQMPPMYDARLARTAAGQFSLVKPSQSLNIHSPVEQIDASDASQSSRVWPSTAANLVGSEPFAPSVLPSDAIDGNTVSVRPKKRKIATYELLPWHKVTQGSKRVQDISMSEQDWALASNRLIEKVGDEFEMFEDGHQILRSKRRLIFTTQLLQHLLGPAPASILSADAALYYDSVIYFVAKLSLGDACSLTCSKRNSAHMPPNDGNMILERLKFSESIDDQYFSKAVGDFTNRSKKLENDLLRLDRTASILDLRLECQELERFSVINRFARFHVPRADMSAISSSSGTVPTALRPCPQRYVTGQPLPRILPEGVQCLSL >ONI19044 pep chromosome:Prunus_persica_NCBIv2:G3:24417424:24425926:1 gene:PRUPE_3G255600 transcript:ONI19044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEIEGRIHKLYELDNYSRQSQVADGNWPVLYNQWHEKQREISEPLSFNLKSNVQQLDSVRGRGNQSLTFDKNYTQLAQRPGLSNIQTTDLHLKTNGFMLGRQDFGERQNQLEFLGESTVFSPHNLTSRGLSILQSEQENASGAGDSPTLTTNSERSEITEASTEFNFVGKQQQLVRGQQQGIPQLHSMQQSGYTDMQLLQQHVMFKNLQELQRQQQLQQFGDTRQQNSVNQLSAMNKQAAGVQFSPLINGTPVNDTSQMFMNWVQRGGSPAGQNVSNRVIFSQEQGQTLSSMGPAPQQFDVSLYGTPVASGRGTLNQYSHLQAMSHDSENLLAKASDQTQKPVMQSSGFTNPFVGDHCTTASPEQACLPQGAFISKQGFQGKNVLGQVTNQGLNCGSTLGNLQQGNTLQANASLQEISGKQDPAGWLGTLQKKTMQHGPSQGLVPLDPMEEKILFNTEDNFWDASMVKRSDIGAGGFGNAFEQTGYSDAFPSLQSGSWSALMQSAVAEASSSDTGPQEEWSGLTFQNTDLSTGNQSSNILDSEKQQGSWADNNLQSASSLSSKPFPMLNDSSVNSSFPGFPQPGIQFPTEHQDEYHESIQKSPKNTSEWLDRNPQQKLSVERSQQVQPHLRLDNTWASQINEHSECDPRQQRIDSYGIAGQPSGKPEGESEAMYKRNSDGSLWKRDGDCRVNSFSRSTGQLEQVQSGSEDTLRNRENSHVFDFHSLQNSHITKVHQETSHQVQDNNKLDYMKRIIFSNKEENEGIREKQHQPSNSSHVIGNSYGREGETYEQQQNCYQGDNTYDSKRADTSTTVCRSGDPSGMHVTARTSSQNMFHLLSKVDQSKENSSIAQFGPSGFNPLSEGMLQPESNPNQMQMDSDLGEKSQSWLPTPSSSQSLPQSHESSPRARWDDKFSIAGQSSISSSYMHGSSIAEITSSPTFPRNQLQTQHLFSVPGAGPSTQAILPGTASRHSPSNRALSQGTSQQIFINPGAQQFPVLEAVPVSQSPYMSGMPARGGASVRPQGLWTNNPSQQHLSGMETQKVSLINHDSMDTTPLASELNTLNTQEGGYRSSEFGASSMNSQGFISSEEQQGKERAQKPMSSGMLDASQTGVRNVSDPRALASDSLLNPSARNLGFFGQALKSSHGFHQNYSPLRQVHAIMNVETDPSERVLDEQQVTAVAGQQSTYGHNKDDELSSVSALKSSPHGNSKAPSFLTDAREDPSVKTSSPSVFQAQGMVAFGESDSQSQSTGNTVLSNHAETSWGNLRMAPNWFKQYGTFRNGQMPPMYDARLARTAAGQFSLVKPSQSLNIHSPVEQIDASDASQSSRVWPSTAANLVGSEPFAPSVLPSDAIDGNTVSVRPKKRKIATYELLPWHKVTQGSKRVQDISMSEQDWALASNRLIEKVGDEFEMFEDGHQILRSKRRLIFTTQLLQHLLGPAPASILSADAALYYDSVIYFVAKLSLGDACSLTCSKRNSAHMPPNDGNMILERLKFSESIDDQYFSKAVGDFTNRSKKLENDLLRLDRTASILDLRLECQELERFSVINRFARFHVPRADMSAISSSSGTVPTALRPCPQRYVTGQPLPRILPEGVQCLSL >ONI19048 pep chromosome:Prunus_persica_NCBIv2:G3:24417424:24425926:1 gene:PRUPE_3G255600 transcript:ONI19048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEIEGRIHKLYELDNYSRQSQVADGNWPVLYNQWHEKQREISEPLSFNLKSNVQQLDSVRGRGNQSLTFDKNYTQLAQRPGLSNIQTTDLHLKTNGFMLGRQDFGERQNQLEFLGESTVFSPHNLTSRGLSILQSEQENASGAGDSPTLTTNSERSEITEASTEFNFVGKQQQLVRGQQQGIPQLHSMQQSGYTDMQLLQQHVMFKNLQELQRQQQLQQFGDTRQQNSVNQLSAMNKQAAGVQFSPLINGTPVNDTSQMFMNWVQRGGSPAGQNVSNRVIFSQEQGQTLSSMGPAPQQFDVSLYGTPVASGRGTLNQYSHLQAMSHDSENLLAKASDQTQKPVMQSSGFTNPFVGDHCTTASPEQACLPQGAFISKQGFQGKNVLGQVTNQGLNCGSTLGNLQQGNTLQANASLQEISGKQDPAGWLGTLQKKTMQHGPSQGLVPLDPMEEKILFNTEDNFWDASMVKRSDIGAGGFGNAFEQTGYSDAFPSLQSGSWSALMQSAVAEASSSDTGPQEEWSGLTFQNTDLSTGNQSSNILDSEKQQGSWADNNLQSASSLSSKPFPMLNDSSVNSSFPGFPQPGIQFPTEHQDEYHESIQKSPKNTSEWLDRNPQQKLSVERSQQVQPHLRLDNTWASQINEHSECDPRQQRIDSYGIAGQPSGKPEGESEAMYKRNSDGSLWKRDGDCRVNSFSRSTGQLEQVQSGSEDTLRNRENSHVFDFHSLQNSHITKVHQETSHQVQDNNKLDYMKRIIFSNKEENEGIREKQHQPSNSSHVIGNSYGREGETYEQQQNCYQGDNTYDSKRADTSTTVCRSGDPSGMHVTARTSQNMFHLLSKVDQSKENSSIAQFGPSGFNPLSEVTEAKTHGASVAHMYNQSSASQGFALKLAPPSQRQSNSNTLFSSQGMLQPESNPNQMQMDSDLGEKSQSWLPTPSSSQSLPQSHESSPRARWDDKFSIAGQSSISSSYMHGSSIAEITSSPTFPRNQLQTQHLFSVPGAGPSTQAILPGTASRHSPSNRALSQGTSQQIFINPGAQQFPVLEAVPVSQSPYMSGMPARGGASVRPQGLWTNNPSQQHLSGMETQKVSLINHDSMDTTPLASELNTLNTQEGGYRSSEFGASSMNSQGFISSEEQQGKERAQKPMSSGMLDASQTGVRNVSDPRALASDSLLNPSARNLGFFGQALKSSHGFHQNYSPLRQVHAIMNVETDPSERVLDEQQVTAVAGQQSTYGHNKDDELSSVSALKSSPHGNSKAPSFLTDAREDPSVKTSSPSVFQAQGMVAFGESDSQSQSTGNTVLSNHAETSWGNLRMAPNWFKQYGTFRNGQMPPMYDARLARTAAGQFSLVKPSQSLNIHSPVEQIDASDASQSSRVWPSTAANLVGSEPFAPSVLPSDAIDGNTVSVRPKKRKIATYELLPWHKVTQGSKRVQDISMSEQDWALASNRLIEKVGDEFEMFEDGHQILRSKRRLIFTTQLLQHLLGPAPASILSADAALYYDSVIYFVAKLSLGDACSLTCSKRNSAHMPPNDGNMILERLKFSESIDDQYFSKAVGDFTNRSKKLENDLLRLDRTASILDLRLECQELERFSVINRFARFHVPRADMSAISSSSGTVPTALRPCPQRYVTGQPLPRILPEGVQCLSL >ONI19043 pep chromosome:Prunus_persica_NCBIv2:G3:24417424:24425926:1 gene:PRUPE_3G255600 transcript:ONI19043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEIEGRIHKLYELDNYSRQSQVADGNWPVLYNQWHEKQREISEPLSFNLKSNVQQLDSVRGRGNQSLTFDKNYTQLAQRPGLSNIQTTDLHLKTNGFMLGRQDFGERQNQLEFLGESTVFSPHNLTSRGLSILQSEQENASGAGDSPTLTTNSERSEITEASTEFNFVGKQQQLVRGQQQGIPQLHSMQQSGYTDMQLLQQHVMFKNLQELQRQQQLQQFGDTRQQNSVNQLSAMNKQAAGVQFSPLINGTPVNDTSQMFMNWVQRGGSPAGQNVSNRVIFSQEQGQTLSSMGPAPQQFDVSLYGTPVASGRGTLNQYSHLQAMSHDSENLLAKASDQTQKPVMQSSGFTNPFVGDHCTTASPEQACLPQGAFISKQGFQGKNVLGQVTNQGLNCGSTLGNLQQGNTLQANASLQEISGKQDPAGWLGTLQKKTMQHGPSQGLVPLDPMEEKILFNTEDNFWDASMVKRSDIGAGGFGNAFEQTGYSDAFPSLQSGSWSALMQSAVAEASSSDTGPQEEWSGLTFQNTDLSTGNQSSNILDSEKQQGSWADNNLQSASSLSSKPFPMLNDSSVNSSFPGFPQPGIQFPTEHQDEYHESIQKSPKNTSEWLDRNPQQKLSVERSQQVQPHLRLDNTWASQINEHSECDPRQQRIDSYGIAGQPSGKPEGESEAMYKRNSDGSLWKRDGDCRVNSFSRSTGQLEQVQSGSEDTLRNRENSHVFDFHSLQNSHITKVHQETSHQVQDNNKLDYMKRIIFSNKEENEGIREKQHQPSNSSHVIGNSYGREGETYEQQQNCYQGDNTYDSKRADTSTTVCRSGDPSGMHVTARTSSQNMFHLLSKVDQSKENSSIAQFGPSGFNPLSEVTEAKTHGASVAHMYNQSSASQGFALKLAPPSQRQSNSNTLFSSQGMLQPESNPNQMQMDSDLGEKSQSWLPTPSSSQSLPQSHESSPRARWDDKFSIAGQSSISSSYMHGSSIAEITSSPTFPRNQLQTQHLFSVPGAGPSTQAILPGTASRHSPSNRALSQGMPARGGASVRPQGLWTNNPSQQHLSGMETQKVSLINHDSMDTTPLASELNTLNTQEGGYRSSEFGASSMNSQGFISSEEQQGKERAQKPMSSGMLDASQTGVRNVSDPRALASDSLLNPSARNLGFFGQALKSSHGFHQNYSPLRQVHAIMNVETDPSERVLDEQQVTAVAGQQSTYGHNKDDELSSVSALKSSPHGNSKAPSFLTDAREDPSVKTSSPSVFQAQGMVAFGESDSQSQSTGNTVLSNHAETSWGNLRMAPNWFKQYGTFRNGQMPPMYDARLARTAAGQFSLVKPSQSLNIHSPVEQIDASDASQSSRVWPSTAANLVGSEPFAPSVLPSDAIDGNTVSVRPKKRKIATYELLPWHKVTQGSKRVQDISMSEQDWALASNRLIEKVGDEFEMFEDGHQILRSKRRLIFTTQLLQHLLGPAPASILSADAALYYDSVIYFVAKLSLGDACSLTCSKRNSAHMPPNDGNMILERLKFSESIDDQYFSKAVGDFTNRSKKLENDLLRLDRTASILDLRLECQELERFSVINRFARFHVPRADMSAISSSSGTVPTALRPCPQRYVTGQPLPRILPEGVQCLSL >ONI19045 pep chromosome:Prunus_persica_NCBIv2:G3:24417335:24425926:1 gene:PRUPE_3G255600 transcript:ONI19045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEIEGRIHKLYELDNYSRQSQVADGNWPVLYNQWHEKQREISEPLSFNLKSNVQQLDSVRGRGNQSLTFDKNYTQLAQRPGLSNIQTTDLHLKTNGFMLGRQDFGERQNQLEFLGESTVFSPHNLTSRGLSILQSEQENASGAGDSPTLTTNSERSEITEASTEFNFVGKQQQLVRGQQQGIPQLHSMQQSGYTDMQLLQQHVMFKNLQELQRQQQLQQFGDTRQQNSVNQLSAMNKQAAGVQFSPLINGTPVNDTSQMFMNWVQRGGSPAGQNVSNRVIFSQEQGQTLSSMGPAPQQFDVSLYGTPVASGRGTLNQYSHLQAMSHDSENLLAKASDQTQKPVMQSSGFTNPFVGDHCTTASPEQACLPQGAFISKQGFQGKNVLGQVTNQGLNCGSTLGNLQQGNTLQANASLQEISGKQDPAGWLGTLQKKTMQHGPSQGLVPLDPMEEKILFNTEDNFWDASMVKRSDIGAGGFGNAFEQTGYSDAFPSLQSGSWSALMQSAVAEASSSDTGPQEEWSGLTFQNTDLSTGNQSSNILDSEKQQGSWADNNLQSASSLSSKPFPMLNDSSVNSSFPGFPQPGIQFPTEHQDEYHESIQKSPKNTSEWLDRNPQQKLSVERSQQVQPHLRLDNTWASQINEHSECDPRQQRIDSYGIAGQPSGKPEGESEAMYKRNSDGSLWKRDGDCRVNSFSRSTGQLEQVQSGSEDTLRNRENSHVFDFHSLQNSHITKVHQETSHQVQDNNKLDYMKRIIFSNKEENEGIREKQHQPSNSSHVIGNSYGREGETYEQQQNCYQGDNTYDSKRADTSTTVCRSGDPSGMHVTARTSSQNMFHLLSKVDQSKENSSIAQFGPSGFNPLSEGMLQPESNPNQMQMDSDLGEKSQSWLPTPSSSQSLPQSHESSPRARWDDKFSIAGQSSISSSYMHGSSIAEITSSPTFPRNQLQTQHLFSVPGAGPSTQAILPGTASRHSPSNRALSQGTSQQIFINPGAQQFPVLEAVPVSQSPYMSGMPARGGASVRPQGLWTNNPSQQHLSGMETQKVSLINHDSMDTTPLASELNTLNTQEGGYRSSEFGASSMNSQGFISSEEQQGKERAQKPMSSGMLDASQTGVRNVSDPRALASDSLLNPSARNLGFFGQALKSSHGFHQNYSPLRQVHAIMNVETDPSERVLDEQQVTAVAGQQSTYGHNKDDELSSVSALKSSPHGNSKAPSFLTDAREDPSVKTSSPSVFQAQGMVAFGESDSQSQSTGNTVLSNHAETSWGNLRMAPNWFKQYGTFRNGQMPPMYDARLARTAAGQFSLVKPSQSLNIHSPVEQIDASDASQSSRVWPSTAANLVGSEPFAPSVLPSDAIDGNTVSVRPKKRKIATYELLPWHKVTQGSKRVQDISMSEQDWALASNRLIEKVGDEFEMFEDGHQILRSKRRLIFTTQLLQHLLGPAPASILSADAALYYDSVIYFVAKLSLGDACSLTCSKRNSAHMPPNDGNMILERLKFSESIDDQYFSKAVGDFTNRSKKLENDLLRLDRTASILDLRLECQELERFSVINRFARFHVPRADMSAISSSSGTVPTALRPCPQRYVTGQPLPRILPEGVQCLSL >ONI19047 pep chromosome:Prunus_persica_NCBIv2:G3:24417424:24425926:1 gene:PRUPE_3G255600 transcript:ONI19047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEIEGRIHKLYELDNYSRQSQVADGNWPVLYNQWHEKQREISEPLSFNLKSNVQQLDSVRGRGNQSLTFDKNYTQLAQRPGLSNIQTTDLHLKTNGFMLGRQDFGERQNQLEFLGESTVFSPHNLTSRGLSILQSEQENASGAGDSPTLTTNSERSEITEASTEFNFVGKQQQLVRGQQQGIPQLHSMQQSGYTDMQLLQQHVMFKNLQELQRQQQLQQFGDTRQQNSVNQLSAMNKQAAGVQFSPLINGTPVNDTSQMFMNWVQRGGSPAGQNVSNRVIFSQEQGQTLSSMGPAPQQFDVSLYGTPVASGRGTLNQYSHLQAMSHDSENLLAKASDQTQKPVMQSSGFTNPFVGDHCTTASPEQACLPQGAFISKQGFQGKNVLGQVTNQGLNCGSTLGNLQQGNTLQANASLQEISGKQDPAGWLGTLQKKTMQHGPSQGLVPLDPMEEKILFNTEDNFWDASMVKRSDIGAGGFGNAFEQTGYSDAFPSLQSGSWSALMQSAVAEASSSDTGPQEEWSGLTFQNTDLSTGNQSSNILDSEKQQGSWADNNLQSASSLSSKPFPMLNDSSVNSSFPGFPQPGIQFPTEHQDEYHESIQKSPKNTSEWLDRNPQQKLSVERSQQVQPHLRLDNTWASQINEHSECDPRQQRIDSYGIAGQPSGKPEGESEAMYKRNSDGSLWKRDGDCRVNSFSRSTGQLEQVQSGSEDTLRNRENSHVFDFHSLQNSHITKVHQETSHQVQDNNKLDYMKRIIFSNKEENEGIREKQHQPSNSSHVIGNSYGREGETYEQQQNCYQGDNTYDSKRADTSTTVCRSGDPSGMHVTARTSQNMFHLLSKVDQSKENSSIAQFGPSGFNPLSEVTEAKTHGASVAHMYNQSSASQGFALKLAPPSQRQSNSNTLFSSQGMLQPESNPNQMQMDSDLGEKSQSWLPTPSSSQSLPQSHESSPRARWDDKFSIAGQSSISSSYMHGSSIAEITSSPTFPRNQLQTQHLFSVPGAGPSTQAILPGTASRHSPSNRALSQGTSQQIFINPGAQQFPVLEAVPVSQSPYMSGMPARGGASVRPQGLWTNNPSQQHLSGMETQKVSLINHDSMDTTPLASELNTLNTQEGGYRSSEFGASSMNSQGFISSEEQQGKERAQKPMSSGMLDASQTGVRNVSDPRALASDSLLNPSARNLGFFGQALKSSHGFHQNYSPLRQVHAIMNVETDPSERVLDEQQVTAVAGQQSTYGHNKDDELSSVSALKSSPHGNSKAPSFLTDAREDPSVKTSSPSVFQAQGMVAFGESDSQSQSTGNTVLSNHAETSWGNLRMAPNWFKQYGTFRNGQMPPMYDARLARTAAGQFSLVKPSQSLNIHSPVEQIDASDASQSSRVWPSTAANLVGSEPFAPSVLPSDAIDGNTVSVRPKKRKIATYELLPWHKVTQGSKRVQDISMSEQDWALASNRLIEKVGDEFEMFEDGHQILRSKRRLIFTTQLLQHLLGPAPASILSADAALYYDSVIYFVAKLSLGDACSLTCSKRNSAHMPPNDGNMILERLKFSESIDDQYFSKAVGDFTNRSKKLENDLLRLDRTASILDLRLECQELERFSVINRFARFHVPRADMSAISSSSGTVPTALRPCPQRYVTGQPLPRILPEGVQCLSL >ONI19046 pep chromosome:Prunus_persica_NCBIv2:G3:24417424:24425926:1 gene:PRUPE_3G255600 transcript:ONI19046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEIEGRIHKLYELDNYSRQSQVADGNWPVLYNQWHEKQREISEPLSFNLKSNVQQLDSVRGRGNQSLTFDKNYTQLAQRPGLSNIQTTDLHLKTNGFMLGRQDFGERQNQLEFLGESTVFSPHNLTSRGLSILQSEQENASGAGDSPTLTTNSERSEITEASTEFNFVGKQQQLVRGQQQGIPQLHSMQQSGYTDMQLLQQHVMFKNLQELQRQQQLQQFGDTRQQNSVNQLSAMNKQAAGVQFSPLINGTPVNDTSQMFMNWVQRGGSPAGQNVSNRVIFSQEQGQTLSSMGPAPQQFDVSLYGTPVASGRGTLNQYSHLQAMSHDSENLLAKASDQTQKPVMQSSGFTNPFVGDHCTTASPEQACLPQGAFISKQGFQGKNVLGQVTNQGLNCGSTLGNLQQGNTLQANASLQEISGKQDPAGWLGTLQKKTMQHGPSQGLVPLDPMEEKILFNTEDNFWDASMVKRSDIGAGGFGNAFEQTGYSDAFPSLQSGSWSALMQSAVAEASSSDTGPQEEWSGLTFQNTDLSTGNQSSNILDSEKQQGSWADNNLQSASSLSSKPFPMLNDSSVNSSFPGFPQPGIQFPTEHQDEYHESIQKSPKNTSEWLDRNPQQKLSVERSQQVQPHLRLDNTWASQINEHSECDPRQQRIDSYGIAGQPSGKPEGESEAMYKRNSDGSLWKRDGDCRVNSFSRSTGQLEQVQSGSEDTLRNRENSHVFDFHSLQNSHITKVHQETSHQVQDNNKLDYMKRIIFSNKEENEGIREKQHQPSNSSHVIGNSYGREGETYEQQQNCYQGDNTYDSKRADTSTTVCRSGDPSGMHVTARTSSQNMFHLLSKVDQSKENSSIAQFGPSGFNPLSEGMLQPESNPNQMQMDSDLGEKSQSWLPTPSSSQSLPQSHESSPRARWDDKFSIAGQSSISSSYMHGSSIAEITSSPTFPRNQLQTQHLFSVPGAGPSTQAILPGTASRHSPSNRALSQGTSQQIFINPGAQQFPVLEAVPVSQSPYMSGMPARGGASVRPQGLWTNNPSQQHLSGMETQKVSLINHDSMDTTPLASELNTLNTQEGGYRSSEFGASSMNSQGFISSEEQQGKERAQKPMSSGMLDASQTGVRNVSDPRALASDSLLNPSARNLGFFGQALKSSHGFHQNYSPLRQVHAIMNVETDPSERVLDEQQVTAVAGQQSTYGHNKDDELSSVSALKSSPHGNSKAPSFLTDAREDPSVKTSSPSVFQAQGMVAFGESDSQSQSTGNTVLSNHAETSWGNLRMAPNWFKQYGTFRNGQMPPMYDARLARTAAGQFSLVKPSQSLNIHSPVEQIDASDASQSSRVWPSTAANLVGSEPFAPSVLPSDAIDGNTVSVRPKKRKIATYELLPWHKVTQGSKRVQDISMSEQDWALASNRLIEKVGDEFEMFEDGHQILRSKRRLIFTTQLLQHLLGPAPASILSADAALYYDSVIYFVAKLSLGDACSLTCSKRNSAHMPPNDGNMILERLKFSESIDDQYFSKAVGDFTNRSKKLENDLLRLDRTASILDLRLECQELERFSVINRFARFHVPRADMSAISSSSGTVPTALRPCPQRYVTGQPLPRILPEGVQCLSL >ONI19049 pep chromosome:Prunus_persica_NCBIv2:G3:24417424:24425926:1 gene:PRUPE_3G255600 transcript:ONI19049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEIEGRIHKLYELDNYSRQSQVADGNWPVLYNQWHEKQREISEPLSFNLKSNVQQLDSVRGRGNQSLTFDKNYTQLAQRPGLSNIQTTDLHLKTNGFMLGRQDFGERQNQLEFLGESTVFSPHNLTSRGLSILQSEQENASGAGDSPTLTTNSERSEITEASTEFNFVGKQQQLVRGQQQGIPQLHSMQQSGYTDMQLLQQHVMFKNLQELQRQQQLQQFGDTRQQNSVNQLSAMNKQAAGVQFSPLINGTPVNDTSQMFMNWVQRGGSPAGQNVSNRVIFSQEQGQTLSSMGPAPQQFDVSLYGTPVASGRGTLNQYSHLQAMSHDSENLLAKASDQTQKPVMQSSGFTNPFVGDHCTTASPEQACLPQGAFISKQGFQGKNVLGQVTNQGLNCGSTLGNLQQGNTLQANASLQEISGKQDPAGWLGTLQKKTMQHGPSQGLVPLDPMEEKILFNTEDNFWDASMVKRSDIGAGGFGNAFEQTGYSDAFPSLQSGSWSALMQSAVAEASSSDTGPQEEWSGLTFQNTDLSTGNQSSNILDSEKQQGSWADNNLQSASSLSSKPFPMLNDSSVNSSFPGFPQPGIQFPTEHQDEYHESIQKSPKNTSEWLDRNPQQKLSVERSQQVQPHLRLDNTWASQINEHSECDPRQQRIDSYGIAGQPSGKPEGESEAMYKRNSDGSLWKRDGDCRVNSFSRSTGQLEQVQSGSEDTLRNRENSHVFDFHSLQNSHITKVHQETSHQVQDNNKLDYMKRIIFSNKEENEGIREKQHQPSNSSHVIGNSYGREGETYEQQQNCYQGDNTYDSKRADTSTTVCRSGDPSGMHVTARTSQNMFHLLSKVDQSKENSSIAQFGPSGFNPLSEGMLQPESNPNQMQMDSDLGEKSQSWLPTPSSSQSLPQSHESSPRARWDDKFSIAGQSSISSSYMHGSSIAEITSSPTFPRNQLQTQHLFSVPGAGPSTQAILPGTASRHSPSNRALSQGTSQQIFINPGAQQFPVLEAVPVSQSPYMSGMPARGGASVRPQGLWTNNPSQQHLSGMETQKVSLINHDSMDTTPLASELNTLNTQEGGYRSSEFGASSMNSQGFISSEEQQGKERAQKPMSSGMLDASQTGVRNVSDPRALASDSLLNPSARNLGFFGQALKSSHGFHQNYSPLRQVHAIMNVETDPSERVLDEQQVTAVAGQQSTYGHNKDDELSSVSALKSSPHGNSKAPSFLTDAREDPSVKTSSPSVFQAQGMVAFGESDSQSQSTGNTVLSNHAETSWGNLRMAPNWFKQYGTFRNGQMPPMYDARLARTAAGQFSLVKPSQSLNIHSPVEQIDASDASQSSRVWPSTAANLVGSEPFAPSVLPSDAIDGNTVSVRPKKRKIATYELLPWHKVTQGSKRVQDISMSEQDWALASNRLIEKVGDEFEMFEDGHQILRSKRRLIFTTQLLQHLLGPAPASILSADAALYYDSVIYFVAKLSLGDACSLTCSKRNSAHMPPNDGNMILERLKFSESIDDQYFSKAVGDFTNRSKKLENDLLRLDRTASILDLRLECQELERFSVINRFARFHVPRADMSAISSSSGTVPTALRPCPQRYVTGQPLPRILPEGVQCLSL >ONI19042 pep chromosome:Prunus_persica_NCBIv2:G3:24417424:24425926:1 gene:PRUPE_3G255600 transcript:ONI19042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEIEGRIHKLYELDNYSRQSQVADGNWPVLYNQWHEKQREISEPLSFNLKSNVQQLDSVRGRGNQSLTFDKNYTQLAQRPGLSNIQTTDLHLKTNGFMLGRQDFGERQNQLEFLGESTVFSPHNLTSRGLSILQSEQENASGAGDSPTLTTNSERSEITEASTEFNFVGKQQQLVRGQQQGIPQLHSMQQSGYTDMQLLQQHVMFKNLQELQRQQQLQQFGDTRQQNSVNQLSAMNKQAAGVQFSPLINGTPVNDTSQMFMNWVQRGGSPAGQNVSNRVIFSQEQGQTLSSMGPAPQQFDVSLYGTPVASGRGTLNQYSHLQAMSHDSENLLAKASDQTQKPVMQSSGFTNPFVGDHCTTASPEQACLPQGAFISKQGFQGKNVLGQVTNQGLNCGSTLGNLQQGNTLQANASLQEISGKQDPAGWLGTLQKKTMQHGPSQGLVPLDPMEEKILFNTEDNFWDASMVKRSDIGAGGFGNAFEQTGYSDAFPSLQSGSWSALMQSAVAEASSSDTGPQEEWSGLTFQNTDLSTGNQSSNILDSEKQQGSWADNNLQSASSLSSKPFPMLNDSSVNSSFPGFPQPGIQFPTEHQDEYHESIQKSPKNTSEWLDRNPQQKLSVERSQQVQPHLRLDNTWASQINEHSECDPRQQRIDSYGIAGQPSGKPEGESEAMYKRNSDGSLWKRDGDCRVNSFSRSTGQLEQVQSGSEDTLRNRENSHVFDFHSLQNSHITKVHQETSHQVQDNNKLDYMKRIIFSNKEENEGIREKQHQPSNSSHVIGNSYGREGETYEQQQNCYQGDNTYDSKRADTSTTVCRSGDPSGMHVTARTSSQNMFHLLSKVDQSKENSSIAQFGPSGFNPLSEVTEAKTHGASVAHMYNQSSASQGFALKLAPPSQRQSNSNTLFSSQGMLQPESNPNQMQMDSDLGEKSQSWLPTPSSSQSLPQSHESSPRARWDDKFSIAGQSSISSSYMHGSSIAEITSSPTFPRNQLQTQHLFSVPGAGPSTQAILPGTASRHSPSNRALSQGTSQQIFINPGAQQFPVLEAVPVSQSPYMSGMPARGGASVRPQGLWTNNPSQQHLSGMETQKVSLINHDSMDTTPLASELNTLNTQEGGYRSSEFGASSMNSQGFISSEEQQGKERAQKPMSSGMLDASQTGVRNVSDPRALASDSLLNPSARNLGFFGQALKSSHGFHQNYSPLRQVHAIMNVETDPSERVLDEQQVTAVAGQQSTYGHNKDDELSSVSALKSSPHGNSKAPSFLTDAREDPSVKTSSPSVFQAQGMVAFGESDSQSQSTGNTVLSNHAETSWGNLRMAPNWFKQYGTFRNGQMPPMYDARLARTAAGQFSLVKPSQSLNIHSPVEQIDASDASQSSRVWPSTAANLVGSEPFAPSVLPSDAIDGNTVSVRPKKRKIATYELLPWHKVTQGSKRVQDISMSEQDWALASNRLIEKVGDEFEMFEDGHQILRSKRRLIFTTQLLQHLLGPAPASILSADAALYYDSVIYFVAKLSLGDACSLTCSKRNSAHMPPNDGNMILERLKFSESIDDQYFSKAVGDFTNRSKKLENDLLRLDRTASILDLRLECQELERFSVINRFARFHVPRADMSAISSSSGTVPTALRPCPQRYVTGQPLPRILPEGVQCLSL >ONI19050 pep chromosome:Prunus_persica_NCBIv2:G3:24417424:24425926:1 gene:PRUPE_3G255600 transcript:ONI19050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEIEGRIHKLYELDNYSRQSQVADGNWPVLYNQWHEKQREISEPLSFNLKSNVQQLDSVRGRGNQSLTFDKNYTQLAQRPGLSNIQTTDLHLKTNGFMLGRQDFGERQNQLEFLGESTVFSPHNLTSRGLSILQSEQENASGAGDSPTLTTNSERSEITEASTEFNFVGKQQQLVRGQQQGIPQLHSMQQSGYTDMQLLQQHVMFKNLQELQRQQQLQQFGDTRQQNSVNQLSAMNKQAAGVQFSPLINGTPVNDTSQMFMNWVQRGGSPAGQNVSNRVIFSQEQGQTLSSMGPAPQQFDVSLYGTPVASGRGTLNQYSHLQAMSHDSENLLAKASDQTQKPVMQSSGFTNPFVGDHCTTASPEQACLPQGAFISKQGFQGKNVLGQVTNQGLNCGSTLGNLQQGNTLQANASLQEISGKQDPAGWLGTLQKKTMQHGPSQGLVPLDPMEEKILFNTEDNFWDASMVKRSDIGAGGFGNAFEQTGYSDAFPSLQSGSWSALMQSAVAEASSSDTGPQEEWSGLTFQNTDLSTGNQSSNILDSEKQQGSWADNNLQSASSLSSKPFPMLNDSSVNSSFPGFPQPGIQFPTEHQDEYHESIQKSPKNTSEWLDRNPQQKLSVERSQQVQPHLRLDNTWASQINEHSECDPRQQRIDSYGIAGQPSGKPEGESEAMYKRNSDGSLWKRDGDCRVNSFSRSTGQLEQVQSGSEDTLRNRENSHVFDFHSLQNSHITKVHQETSHQVQDNNKLDYMKRIIFSNKEENEGIREKQHQPSNSSHVIGNSYGREGETYEQQQNCYQGDNTYDSKRADTSTTVCRSGDPSGMHVTARTSQNMFHLLSKVDQSKENSSIAQFGPSGFNPLSEGMLQPESNPNQMQMDSDLGEKSQSWLPTPSSSQSLPQSHESSPRARWDDKFSIAGQSSISSSYMHGSSIAEITSSPTFPRNQLQTQHLFSVPGAGPSTQAILPGTASRHSPSNRALSQGTSQQIFINPGAQQFPVLEAVPVSQSPYMSGMPARGGASVRPQGLWTNNPSQQHLSGMETQKVSLINHDSMDTTPLASELNTLNTQEGGYRSSEFGASSMNSQGFISSEEQQGKERAQKPMSSGMLDASQTGVRNVSDPRALASDSLLNPSARNLGFFGQALKSSHGFHQNYSPLRQVHAIMNVETDPSERVLDEQQVTAVAGQQSTYGHNKDDELSSVSALKSSPHGNSKAPSFLTDAREDPSVKTSSPSVFQAQGMVAFGESDSQSQSTGNTVLSNHAETSWGNLRMAPNWFKQYGTFRNGQMPPMYDARLARTAAGQFSLVKPSQSLNIHSPVEQIDASDASQSSRVWPSTAANLVGSEPFAPSVLPSDAIDGNTVSVRPKKRKIATYELLPWHKVTQGSKRVQDISMSEQDWALASNRLIEKVGDEFEMFEDGHQILRSKRRLIFTTQLLQHLLGPAPASILSADAALYYDSVIYFVAKLSLGDACSLTCSKRNSAHMPPNDGNMILERLKFSESIDDQYFSKAVGDFTNRSKKLENDLLRLDRTASILDLRLECQELERFSVINRFARFHVPRADMSAISSSSGTVPTALRPCPQRYVTGQPLPRILPEGVQCLSL >ONI17492 pep chromosome:Prunus_persica_NCBIv2:G3:18126917:18131582:-1 gene:PRUPE_3G162300 transcript:ONI17492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTARIHPAASTVLSSTIKHHLLRPPPNAVVFRTNPNSVRRRGTIRTLTVAAAAPFEVCAKASVTVPNKLGDCPFCQRVLLTLEEKNLPYDLKLVDLANKPEWFLKINPEGKVPVINLNEKWVADSDVITQALEEKYPDPPLAAPPEKASVGSKIFSTFIGFLKSKDPKDGTEQALLNELSSFNDYLKENGPFINGKEVSAVDFSLGPKLYHLEIALGHFKDWSVPDSLPYVKSYMKSIFSLDSFVKTSSLKEDVIAGWRPKVLG >ONI17491 pep chromosome:Prunus_persica_NCBIv2:G3:18126470:18131582:-1 gene:PRUPE_3G162300 transcript:ONI17491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTARIHPAASTVLSSTIKHHLLRPPPNAVVFRTNPNSVRRRGTIRTLTVAAAAPFEVCAKASVTVPNKLGDCPFCQRVLLTLEEKNLPYDLKLVDLANKPEWFLKINPEGKVPVINLNEKWVADSDVITQALEEKYPDPPLAAPPEKASVGSKIFSTFIGFLKSKDPKDGTEQALLNELSSFNDYLKENGPFINGKEVSAVDFSLGPKLYHLEIALGHFKDWSVPDSLPYVKSYMKSIFSLDSFVKTSSLKEDVIAGWRPKVLG >ONI19398 pep chromosome:Prunus_persica_NCBIv2:G3:25468812:25472103:-1 gene:PRUPE_3G277000 transcript:ONI19398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVPSRQLFIAGEWREPLLEKRIPIINPATEEIVGDMAAATAEDVDMAVDAARTAFFRNEGKDWSSASGAYRAKFLRAISDMILERKSLLAKLETIDTGKPLDEAISDMESCASCFKYYAELAEALDLKQKITVSIQQQSFKTHVLKEPIGVVALITPWNYPLLMATWKVAPALAAGCTAILKPSELASVTCLELAEICRQVDLPSGVLNVVTGLGSAAGAPMSTHRHVDKIAFTGSTATGIKVMTAAAQLIKPVSLELGGKSPILVFEDADLDTAAEWTIFGCFFTNGQICSATSRLLVHESIAAEFLEKLVDWSKNIKISDPMEEGCRLGAIISEGQYEKIMSFISTAKSEGATILYGGARPQHLKKGFFIEPTIITDVSTSMSIWREEIFGPVLCVKTFTTENEAIELANDTDYGLGAAVISKDLERCERFTKAVQSGIVWINCSQPCFNEAPWGGNKRSGIGRELGEWGLENYLSIKQVTHYTSEKPLGWYSAPRKQ >ONI20043 pep chromosome:Prunus_persica_NCBIv2:G3:27042097:27045658:-1 gene:PRUPE_3G311900 transcript:ONI20043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNNLGEPTFPVKRKPDLSCEDQADYPKKTHKLEVSDISSSLAEGKTQDPENFDQNSSVAEEINDKFEANADPEDEEDFVEDDDEDEDGEKSNGKAEVDRKGKGIMRDDKGKGKLIEEDDDDSDDDSSDGGSEFEDGDSDLSDDPLAEVDLHNILPSRTRRRQVQPGVYMANDIGNDEEDDSDDSDTLS >ONI20044 pep chromosome:Prunus_persica_NCBIv2:G3:27042221:27045624:-1 gene:PRUPE_3G311900 transcript:ONI20044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETNNLGEPTFPVKRKPDLSCEDQADYPKKTHKLEVSDISSSLAEGKTQDPENFDQNSSVAEEINDKFEANADPEDEEDFVEDDDEDEDGEKSNGKAEVDRKGKGIMRDDKGKGKLIEEDDDDSDDDSSDGGSEFEDGDSDLSDDPLAEVDLHNILPSRTRRRQVQPGVYMANDIGNDEEDDSDDSDTLS >ONI14783 pep chromosome:Prunus_persica_NCBIv2:G3:495665:498368:-1 gene:PRUPE_3G008100 transcript:ONI14783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPREKGFELDLESGGSTSEEDASLELVSSKGPKKKFLSSDLGGLQSSNESVVCTCGIESSSSSEKSGEVTNDSVELLIERNSEVEENQGHMTLAEKTSENEWRRKTNSRNAPKPPRPPRGPSLDAADQKLVREITELAKRKRARIEQRKAAKKMKASKSSSLQSGISAMIITLLFFFVIIFQGISSRSVPNVIMQGSPEPAVLTEGLVSVQFYKSSLPKSTYQGVVED >ONI14782 pep chromosome:Prunus_persica_NCBIv2:G3:495276:498602:-1 gene:PRUPE_3G008100 transcript:ONI14782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPREKGFELDLESGGSTSEEDASLELVSSKGPKKKFLSSDLGGLQSSNESVVCTCGIESSSSSEKSGEVTNDSVELLIERNSEVEENQGHMTLAEKTSENEWRRKTNSRNAPKPPRPPRGPSLDAADQKLVREITELAKRKRARIEQRKAAKKMKASKSSSLQSGISAMIITLLFFFVIIFQGISSRSVPNVIMQGSPEPAVLTEGLVSVQFYKSSLPKSTYQGVVED >ONI14784 pep chromosome:Prunus_persica_NCBIv2:G3:495276:498845:-1 gene:PRUPE_3G008100 transcript:ONI14784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPREKGFELDLESGGSTSEEDASLELVSSKGPKKKFLSSDLGGLQSSNESVVCTCGIESSSSSEKSGEVTNDSVELLIERNSEVEENQGHMTLAEKTSENEWRRKTNSRNAPKPPRPPRGPSLDAADQKLVREITELAKRKRARIEQRKAAKKMKASKSSSLQSGISAMIITLLFFFVIIFQGISSRSVPNVIMQGSPEPAVLTEGLVSVQFYKSSLPKSTYQGVVED >ONI15829 pep chromosome:Prunus_persica_NCBIv2:G3:4560794:4561868:-1 gene:PRUPE_3G063800 transcript:ONI15829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAKKANDHHTGLTRILTLRRDLLKGVSHEPLLVVGPRKLKFFLEAYQRLEDLDMHFFDCKHTTEASLHAFEGVTETNKDHSFLGSPTRSRMQSYWKRPGSPVDNNVVFPILKSLQKVLEEASLEALMSFPVIHCPQAFGVVLRALERLNSVGKVIPGWKIVYSGDTRPCPELTEASRGATVLIHEATFEDGMVDEAIARNHSTTKEAIEVGNSAGVFRIILTHFSQRYPKIPVFDETHMHKTCIGFDMMSINIADFPELPKILPYLKLLFRNEMIIDESDEVVDAAASVAS >ONI15747 pep chromosome:Prunus_persica_NCBIv2:G3:4162087:4164306:-1 gene:PRUPE_3G058800 transcript:ONI15747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSFVEIQIPQQKLYSGIQFPVVITPSPAASFTLSAFTKTIQAQKPYLEAQLRKSGAVLFRGFPVNSASDFNDVVEASGFEEFPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPEYPAKLFFFCEVEPGSGGETPIVLSHIVYERMKERYPEFVDKLEEHGLIYNRVLGEDDDPSSPIGRGWKSTFLTKDKSIAEERAAKLGMKLEWLEDGVKSIMGPIPAIKYESTRRRKIWFNSMVAAYTGWEDARNDPVKAVTFGDGSPLPAETIYDCLKVLEEESVAIPWRKGDVLLLDNLAVLHSRKSFSPPRRVLASLCK >ONI16033 pep chromosome:Prunus_persica_NCBIv2:G3:5493298:5494487:1 gene:PRUPE_3G075000 transcript:ONI16033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPPTQRMSCCDQVQKRKEEKGCFYSCLFAFFCCCCCYKTCEPCLNGVCCCCP >ONI19888 pep chromosome:Prunus_persica_NCBIv2:G3:26684499:26686326:-1 gene:PRUPE_3G303400 transcript:ONI19888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSRLQLVASQLTRRGLGLPARRGYGSAAAAQLQYDDDYYYEDEEEDRRTANRNRMLDSDGWVPGRGIQWVLIGDRGAKKHLYTERLSKLLEVPHISMGTLVRQELNPRSSLYKQIANAVNEGKLVPDEVIFALLSKRLEEGYYRGENGFILDGIPRTRMQAEIVDQIVDIDLVVNFKCANENLVKQNLGTGSSSACQEYLSLSNSIPMRNLNLQLPDERLKSSTADAEQSKSLEDYYRKQNKLIDFQVKAAPGETWKGLLAALHLQHINAVSSSQKLTA >ONI18773 pep chromosome:Prunus_persica_NCBIv2:G3:23301448:23302461:-1 gene:PRUPE_3G237900 transcript:ONI18773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDEVNRCQIQEWYPKFKSVSIKTRIHELPEPFVQYLLDDSGPFLLPVSITNEDAFPNRIHNPEEEDDYQISEGSDDEAEQPPLPPSFPELELQVKESIESLGGSVFPKLNWSAPKDSAWISTTGTLKCSSFSEIALLLRSSDSLVHDLCHAYDSCHDKTSSRPKSFVLALRKWYQFLKPEMEFRCFVRNQNLVGISQREVTTFYPALLEKKDSLRVLIEDFFVENLMSRFESENYTFDVYVTEDDRVKVVDFNPWGAFTLPLMFTWEELDQNCSELGDDGVDFRIVEGQCAVRPGLKTAVPYDYLDTSPGSGWDQFMRNADEELQQQTRNAQAGA >ONI15521 pep chromosome:Prunus_persica_NCBIv2:G3:3370776:3375894:-1 gene:PRUPE_3G047500 transcript:ONI15521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSVKPTLLPPGLVSNLQDVLSKRAGGEGDKTAESTDPPSTSEAADTVEDPNDSSKPIVLVTNGDGIESPGLTYLVEALVHQGLYNVHVCAPQSDKSLSGHSVTLRETVSVSSAEIKGATAYEVSGTPVDCVSLALSGALFSWSKPLLVISGINRGSSCGHHMLYSGVVAGAREALISGIPSLSISLNWRKDESQENDFKDAVAVCLPLINAAIRDIEKGIFPNSCFLNIEIPSSPLSIKGFKLTKQSMWRSTPSWQAISASRYPAGHFMNSQQSLGIQLAQLGRDASAAGAARRVTTQRKNVEIESTGGAGKSDFERVKKYFRLEFVDKEEEDTDEDLDFRALESGFVSVTPLSLSPHLESETQTAASNWISSALEEQ >ONI15522 pep chromosome:Prunus_persica_NCBIv2:G3:3371604:3375894:-1 gene:PRUPE_3G047500 transcript:ONI15522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSVKPTLLPPGLVSNLQDVLSKRAGGEGDKTAESTDPPSTSEAADTVEDPNDSSKPIVLVTNGDGIESPGLTYLVEALVHQGLYNVHVCAPQSDKSLSGHSVTLRETVSVSSAEIKGATAYEVSGTPVDCVSLALSGALFSWSKPLLVISGINRGSSCGHHMLYSGVVAGAREALISGIPSLSISLNWRKDESQENDFKDAVAVCLPLINAAIRDIEKGIFPNSCFLNIEIPSSPLSIKGFKLTKQSMWRSTPSWQAISASRYPAGHFMNSQQSLGIQLAQLGRDASAAGAARRVTTQRKNVEIESTGGAGKSDFERVKKYFRLEFVDKEEEDTDEDLDFRALESGFGIY >ONI19355 pep chromosome:Prunus_persica_NCBIv2:G3:25343673:25344483:-1 gene:PRUPE_3G274000 transcript:ONI19355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEHPATFESLAMDPKEKQAIINDLLKFSKGKDYYKKIGKAGKRGYLLYEPLGTGKSTMIFAMSNLMNYVLKFQRDKPLTQQHRYCPQLNHLFSSFRCGTMCILQHYDVYDLELTIVKDNTELRKMLIAITGKAIIVIKDIDCSLDLTEHREKKKEEKEKKDGDEKDSIPKRPKEEEATTSKVTLSGLLNFTDGIWSACGEERLIVFTPNYVDKLDLAFIRRGRMDKHIQLSYCCYEANAES >ONI17532 pep chromosome:Prunus_persica_NCBIv2:G3:18444678:18451077:1 gene:PRUPE_3G165400 transcript:ONI17532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSLASRAMRPTASRLLSSQNPRPFSFHRAVSTTPELQNADQSSAAAQPEPAADLPPRTPVGGARVHFPNPEDAIEVFVDGYPVKIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPAMPGMKIKTDTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVLRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGADGRFQPVSWRDALAVVAEMAHQVKPEEITGIAGQLSDAESMMALKDFLNKMGSNNVWCEGNGSNRDADLRSGYIMNSSIAGLEKADAFLLVGTQPRVEAAIVNARIRKTVQATHAKVGYIGPAADFNYDHEHLGTGPQTLQEIAERRHPFSSVLSNAKNPAIIIGAGVFERKDKDAIFSAVETIAKYAEVVRPDWNGVNVLLLKAAQAAALDLGLVPESENSIESAKFVYLMGADDVNLEKVPSDAFVVYQGHHGDRGVYRANVILPAAAFSEKEGTYVNTEGCSQQTVPAVPTVGDARDDWKIIRALSEVAGVRLPYDTLGAIRSRIRTVAPNILQIDEREPATFSFSIKPESKQKLDSTPFGTAVENFYMTDSITRASKIMAQCSSVLLKK >ONI16500 pep chromosome:Prunus_persica_NCBIv2:G3:7930417:7932900:-1 gene:PRUPE_3G102200 transcript:ONI16500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTEGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDPSVQSDMKHWPFKVVPGPGDKPMIVVQYKGEEKQFAAEEISSMVLTKMREIAEAYLGHSVNNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKGSTGGEKNILIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDSKIDKSRVDEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIERLVQEAERYKAEDEEVKKKVDAKNSLENYAYNMRNTVKDEKIAGKLDPADKQKIEKAIDEAIEWLDRNQLAEVEEFEDKQKELEGLCNPIIAKMYQGAGGDVPMGGAQMPGGGFGNASSGGAGAGPKIEEVD >ONI16511 pep chromosome:Prunus_persica_NCBIv2:G3:8108269:8112617:1 gene:PRUPE_3G103300 transcript:ONI16511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKKNKEMAVKASSRSISATEALVVHLICGLGLAVAFWVAHNLHSINLTTHPSHTLRLICVIECPIVILLYSRYRKDRERCSYLKAVGRGLLGLPAGALVNALGAIALGAPAGIQYLPKTINWSLVMSLFTIVPAASVFGSSWMDWQRIFACTKAMDPVDYMICIPAHGVIIGAWFGAFPMPLDWERPWQEWPICVSYGAIAGYLVAMVASFGFILVRQHVKGD >ONI19414 pep chromosome:Prunus_persica_NCBIv2:G3:25542499:25544573:1 gene:PRUPE_3G278500 transcript:ONI19414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQLQAPSFRVSISSFVSPLRRSTHRHVIRAQAEPSEKSVEIMRKFSEQYARKSGTYFCVDKGVTSVVIKGLADHKDSLGAPLCPCRHYDDKPAEAGQGFWNCPCVPMRERKECHCMLFLTSDNDFAGPEQTISLEEIRESTANM >ONI19623 pep chromosome:Prunus_persica_NCBIv2:G3:25951947:25954888:1 gene:PRUPE_3G288000 transcript:ONI19623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEVTADTTNLSYWLNWRVLLCAIWLFTPIVIALLMIWKYDASGHLKSDRRETQQDADQDLCGDKAWKPCLKEIDPIWLLIYRVTAFSLLLATLIARVVISGGGIFYYYTQWTFTLLTIYFGCGSLLSIYGCWRCNKISSMGTSGDYHVGTDAEDGAYIPLEYQEKDSNPEEESCLHLAAAKCSYVFEAMFQMTVNMHTINAVLLLGDAMLNCLRLPFVRISLFILWTGAFVIFQWTIHAFVSLWWPYPFLDLSSPYAPLWYLLMALTHIPCYAIFALIVGLKHHLLSKWFPQSYQC >ONI19626 pep chromosome:Prunus_persica_NCBIv2:G3:25953136:25954888:1 gene:PRUPE_3G288000 transcript:ONI19626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSGDYHVGTDAEDGAYIPLEYQEKDSNPEEESCLHLAAAKCSYVFEAMFQMNAGAVMLTDCIYWIVIFPFLTIKDYNLNFMTVNMHTINAVLLLGDAMLNCLRLPFVRISLFILWTGAFVIFQWTIHAFVSLWWPYPFLDLSSPYAPLWYLLMALTHIPCYAIFALIVGLKHHLLSKWFPQSYQC >ONI19625 pep chromosome:Prunus_persica_NCBIv2:G3:25953302:25954888:1 gene:PRUPE_3G288000 transcript:ONI19625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRWTFTLLTIYFGCGSLLSIYGCWRCNKISSMGTSGDYHVGTDAEDGAYIPLEYQEKDSNPEEESCLHLAAAKCSYVFEAMFQMNAGAVMLTDCIYWIVIFPFLTIKDYNLNFMTVNMHTINAVLLLGDAMLNCLRLPFVRISLFILWTGAFVIFQWTIHAFVSLWWPYPFLDLSSPYAPLWYLLMALTHIPCYAIFALIVGLKHHLLSKWFPQSYQC >ONI19624 pep chromosome:Prunus_persica_NCBIv2:G3:25952237:25954882:1 gene:PRUPE_3G288000 transcript:ONI19624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEVTADTTNLSYWLNWRVLLCAIWLFTPIVIALLMIWKYDASGHLKSDRRETQQDADQDLCGDKAWKPCLKEIDPIWLLIYRVTAFSLLLATLIARVVISGGGIFYYYTQWTFTLLTIYFGCGSLLSIYGCWRCNKISSMGTSGDYHVGTDAEDGAYIPLEYQEKDSNPEEESCLHLAAAKCSYVFEAMFQMNAGAVMLTDCIYWIVIFPFLTIKDYNLNFMTVNMHTINAVLLLGDAMLNCLRLPFVRISLFILWTGAFVIFQWTIHAFVSLW >ONI19622 pep chromosome:Prunus_persica_NCBIv2:G3:25951813:25954888:1 gene:PRUPE_3G288000 transcript:ONI19622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEVTADTTNLSYWLNWRVLLCAIWLFTPIVIALLMIWKYDASGHLKSDRRETQQDADQDLCGDKAWKPCLKEIDPIWLLIYRVTAFSLLLATLIARVVISGGGIFYYYTQWTFTLLTIYFGCGSLLSIYGCWRCNKISSMGTSGDYHVGTDAEDGAYIPLEYQEKDSNPEEESCLHLAAAKCSYVFEAMFQMNAGAVMLTDCIYWIVIFPFLTIKDYNLNFMTVNMHTINAVLLLGDAMLNCLRLPFVRISLFILWTGAFVIFQWTIHAFVSLWWPYPFLDLSSPYAPLWYLLMALTHIPCYAIFALIVGLKHHLLSKWFPQSYQC >ONI16004 pep chromosome:Prunus_persica_NCBIv2:G3:5301381:5302505:-1 gene:PRUPE_3G073600 transcript:ONI16004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLISGLPDDIAYDCLIRIQYDQFPAMASVCKGWKAEVQLPEFHRLRKAGGHSQKLIVMVQSHVVSNHGVGVFKCPDSPVYRLTLCEPDLGNWSELPPLAGFATGLPMFCQLTAVGSDLVVIGGWDPMSWTISNSVFVFNFVSATWRRGADMPGGARIFFGCSSDSDRMVFVAGGHDDEKNALRSAIAYDVAKDEWISLPDMARERDECKAIFQHGKLHVIGGYCTEMQGRFERSTETFNFSTWQWDQVQDDFLLVATCPRTCVDGDDETMYMCRGGDVVKHRRGTWKFVAKLPAQVRNPACVTAWQGKVLVIGCAGFGEPHMAYILHLDNYTWTKLETPHKYSGHVQSSCHLEI >ONI17540 pep chromosome:Prunus_persica_NCBIv2:G3:18509898:18510385:1 gene:PRUPE_3G166100 transcript:ONI17540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTKRNPTLFLSRSETNPHLIGRRPLALCLSLDSSLGSLSLFTSLSLLESRETHSLSPNRTKHHPILLVFGANPCKFWRALVHSK >ONI18984 pep chromosome:Prunus_persica_NCBIv2:G3:24121345:24121995:1 gene:PRUPE_3G251300 transcript:ONI18984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIHIEFIRNMGSSVVVGVFVIMLTTAMVSQLPSTSHCLMNKSSSSTGSFSNWCINGSTHQYCQQLIIPQEEEDVDQLESEAMNMIMKHLLVVDDSHHEISDEISNRMLLVRHDSKITQESFIKYKPVVNCGRRLLKLYPPFPKRNKGTRLSSEISAESPL >ONI19692 pep chromosome:Prunus_persica_NCBIv2:G3:26156083:26171638:1 gene:PRUPE_3G292000 transcript:ONI19692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYNVLLGLFLLLMVDQTASHLSSGPHIADVNILLPSKMTHPVEYRLQGSDGCFKWSWDHHDILSVLPEYNSTSHCSTSARLRSIAPYSGRKETAVYAADVNTGAVIRCKVFIDKISRIQIFHNSIKLDLDGLATLRVRAFDSEENVFSSLVGLQFMWQLMPEPNVLPHHLVHVPLKDSPLSDCGGLCGDLDIQINLEDNGVFSDLYVVKGIEIGHEIVSVHLLEPQFKHMTDKIVLTVAEAISLNPPSPVFVLVGAAVRYSLIIIRGNKAQVVKLPSPHHRWSVSNSSVACVDSMMGLAYALNLGVTNTIVEDTRVAGHIQVSSLNVVLPDSLSLYMIPLSTSDDPVEGIKAIPSMTRWYGVSGRRYLIQMKVFSEGPDAQEIYITESDDIKLSNNQSDYWRLFTVSDDIAIKHGWQNSIILKATSQGRDKLTASLTYFSGLNETKEVLKVAQEVMVCDQLMFSLDKSDASPTIFLPWAPAIYQEVELLATGGCAKASSDYKWFSSDMSIVSVSASGVVQAKKPGKATIKVLSIFDSFNYDEVVVEVSVPASMVMLLNFPVETVVGTHLQAAVTMKASNGAYFYRCDAFSSFIKWKAGSESFIIVNSTGESPALDSLGNANFHASNYGPPCSWAYIYASASGRATLHATLSKEYHNFDSSFGGPFVLKASSLIAAYSPLSIRQAGDGNHFGGYFFDLALAETDKQLVKLDKIYLVPGTHLDVMLLGGPEKWNNGVDFVETMEILNEQHGHIDNGASVESLSESYKSLYRVSCQMLGTYKIVFKRGNLVGDGHPLPAVAEVPLSLICSIPASIVLLVDEHVNEREVIRTAIQADRSSGRIRVTPVTVANGRTIRLAAIGISNSGEAFANSSSLYLRWELFSCNEMAKWDDADNLERSEHSWERLLSLKNESGLCTVRATAIGFRDNMGGHKSVPLLDSSENVLADAIRLQLVSTLMVSPEFNLVFFNPNAKLNLSITGGSCFLEAVVNDSRVLEVVQPQRGLQCSQLMLSPKGMGTALVTVYDVGLAPPLGASAVVQVVDIDWIKIVSPEEISLMEGASQTIDLMAGISDGRTFDSYQFAYMNIHVHVEDHIIEVLDINDISRTGGGYVNIPKFKILATHLGITTFFVSAVQQSGHEILSQPIMVEVYAPPIIHPQDIFLVPGAAYVLTVKGGPTVGVYVEYMSMNEEIVTMHRSSGRLSAISPGNTTIRARVFRNGDTVICEAYGSVKVGVPSSVILNAQSELLGVGREMPIYPLFSEGDLFSVYELCQNYQWTVEDDKVLSFNLLEHLNGEKYATQLDPSEKIQFPSHMSEEELGFIKVMFGRSTGRTNIAVSFSCEFISSGSKSWTRFYNASLSILVVPDLPLALGVPITWVLPPHYTTTSILPSSSESYGQRDSQSHKGTIMYSLLRNFPDKNEGVQKDAISVEGDRIKTSESNNLACIQAKDRITGRIEIAACVKVAEVSQIRITNKEEVPFHGINLAVGAELSLPVVYLDALGNPFYEAYGAVLFDVVTNFPDVVSINKNNTHGGSRNIHLKAMQHGRALVRISIDRIPQKSDYILISVGAHIHPQNPVLHIGGHLNFSIEGLNDILSGQWSTANGSVISVSPLSGVAEVVGEGTTQVFFEASSLKLRTAVVVLTEDIVSVDAPRETLTNVPVPTKGYNFSVKISNNYDKFKALGNMKGLQYDCRVDPPFVGYAKPWLDLDTGNSYCLFFPYSPEHLVRLIPKSKDMKPDISVSINASLRGADHVSGSASALFVGGFSILEMGKDSMQLNLTPYSNKTIITILGNIDVEIYWHERESLLITRIHTEGFGIGGRAKYEVRVWKSTLAVTPEKEQHLKLPLIIPFGQRCLDVLLY >ONI19691 pep chromosome:Prunus_persica_NCBIv2:G3:26156083:26171638:1 gene:PRUPE_3G292000 transcript:ONI19691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYNVLLGLFLLLMVDQTASHLSSGPHIADVNILLPSKMTHPVEYRLQGSDGCFKWSWDHHDILSVLPEYNSTSHCSTSARLRSIAPYSGRKETAVYAADVNTGAVIRCKVFIDKISRIQIFHNSIKLDLDGLATLRVRAFDSEENVFSSLVGLQFMWQLMPEPNVLPHHLVHVPLKDSPLSDCGGLCGDLDIQINLEDNGVFSDLYVVKGIEIGHEIVSVHLLEPQFKHMTDKIVLTVAEAISLNPPSPVFVLVGAAVRYSLIIIRGNKAQVVKLPSPHHRWSVSNSSVACVDSMMGLAYALNLGVTNTIVEDTRVAGHIQVSSLNVVLPDSLSLYMIPLSTSDDPVEGIKAIPSMTRWYGVSGRRYLIQMKVFSEGPDAQEIYITESDDIKLSNNQSDYWRLFTVSDDIAIKHGWQNSIILKATSQGRDKLTASLTYFSGLNETKEVLKVAQEVMVCDQLMFSLDKSDASPTIFLPWAPAIYQEVELLATGGCAKASSDYKWFSSDMSIVSVSASGVVQAKKPGKATIKVLSIFDSFNYDEVVVEVSVPASMVMLLNFPVETVVGTHLQAAVTMKASNGAYFYRCDAFSSFIKWKAGSESFIIVNSTGESPALDSLGNANFHASNYGPPCSWAYIYASASGRATLHATLSKEYHNFDSSFGGPFVLKASSLIAAYSPLSIRQAGDGNHFGGYFFDLALAETDKQLVKLDKIYLVPGTHLDVMLLGGPEKWNNGVDFVETMEILNEQHGHIDNGASVESLSESYKSLYRVSCQMLGTYKIVFKRGNLVGDGHPLPAVAEVPLSLICSIPASIVLLVDEHVNEREVIRTAIQADRSSGRIRVTPVTVANGRTIRLAAIGISNSGEAFANSSSLYLRWELFSCNEMAKWDDADNLERSEHSWERLLSLKNESGLCTVRATAIGFRDNMGGHKSVPLLDSSENVLADAIRLQLVSTLMVSPEFNLVFFNPNAKLNLSITGGSCFLEAVVNDSRVLEVVQPQRGLQCSQLMLSPKGMGTALVTVYDVGLAPPLGASAVVQVVDIDWIKIVSPEEISLMEGASQTIDLMAGISDGRTFDSYQFAYMNIHVHVEDHIIEVLDINDISRTGGGYVNIPKFKILATHLGITTFFVSAVQQSGHEILSQPIMVEVYAPPIIHPQDIFLVPGAAYVLTVKGGPTVGVYVEYMSMNEEIVTMHRSSGRLSAISPGNTTIRARVFRNGDTVICEAYGSVKVGVPSSVILNAQSELLGVGREMPIYPLFSEGDLFSVYELCQNYQWTVEDDKVLSFNLLEHLNGEKYATQLDPSEKIQFPSHMSEEELGFIKVMFGRSTGRTNIAVSFSCEFISSGSKSWTRFYNASLSILVVPDLPLALGVPITWVLPPHYTTTSILPSSSESYGQRDSQSHKGTIMYSLLRNFPDKNEGVQKDAISVEGDRIKTSESNNLACIQAKDRITGRIEIAACVKVAEVSQIRITNKEEVPFHGINLAVGAELSLPVVYLDALGNPFYEAYGAVLFDVVTNFPDVVSINKNNTHGGSRNIHLKAMQHGRALVRISIDRIPQKSDYILISVGAHIHPQNPVLHIGGHLNFSIEGLNDILSGQWSTANGSVISVSPLSGVAEVVGEGTTQVFFEASSLKLRTAVVVLTEDIVSVDAPRETLTNVPVPTKGYNFSVKISNNYDKFKALGNMKGLQYDCRVDPPFVGYAKPWLDLDTGNSYCLFFPYSPEHLVRLIPKSKDMKPDISVSINASLRGADHVSGSASALFVGGFSILEMGKDSMQLNLTPYSNKTIITILGNIDVEIYWHERESLLITRIHTEGFGIGGRAKYEVKMLGAKRFTDTIFITLPANGQSVEIDVSCDPGERTASETTINYTLWTTVLGCLALLILTVVVSICYLDRPDRSPQTSINVPATPSIAAPVTPDRSSPAIGSESPRTPQPFIDYVRRTIDETPYYRREPRRRVNPQNTF >ONI14982 pep chromosome:Prunus_persica_NCBIv2:G3:1415879:1416841:1 gene:PRUPE_3G019500 transcript:ONI14982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVSVFRFLSIATITTISLCNRNLGVPCKQNERQALLLMFKQDLKDPSNRLLSWVGEGDCCNWTGVVCDNLTGRVREPHLGNYYSDEYLNYSLYQENSLGGKVNTSLPNLKHLSYLDLSNNDFGGIQIPSFLGLPQWLYTCSNLESLSIGNLTAIVNLDLSANQLGGKIPNSLGNLCPIPVSLGNLLFLEEASISENHFDRTLPKTTGQLKMVTVSYVKSIAVRL >ONI19279 pep chromosome:Prunus_persica_NCBIv2:G3:25103778:25105956:-1 gene:PRUPE_3G269300 transcript:ONI19279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFLDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGLESAEGEDDENDEY >ONI18243 pep chromosome:Prunus_persica_NCBIv2:G3:21263069:21264375:1 gene:PRUPE_3G204600 transcript:ONI18243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQFPKSLISFFTLILFAFFIVLCSFPTVRSELQTESTIIRLPSESEPADACAGSIRSPSLCPVNCFRPDPVCGVDGVTYWCGCQDAQCAGVKVAKLGFCEVGSGGSAPHSAQALLLVHIVWLIVLGFSVLFGLF >ONI18844 pep chromosome:Prunus_persica_NCBIv2:G3:23590476:23593443:-1 gene:PRUPE_3G243000 transcript:ONI18844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHSLFSANSLHSKPSSGTHIKVEEKWLGFTALDRKPTHLKLSNGCRARAALNADPRSIEIPRQWYNLIADLPVKPPPPLHPKTFEPVKPKDLSPLFPDELIKQEASNERFIDIPDEVRDVYRLWRPSPLIRAKRLEKLLDTPARIYYKYEGVSPAGSHKPNTAVPQVWYNAQQGIKKVVTETGAGQWGSALAFACSLFGLECEVWQVRASYDQKPYRRLMMQTWGAKVHPSPSNLTEAGRKILQMDPSSPGSLGIAISEAVEIAAMNADTKYCLGSVLNHVLHHQTVIGEECLKQMEAIGETPDFIIGCTGGGSNFAGLSFPFIREKLKGKMNPVIRAVEPAACPSLTKGVYTYDYGDTAGMTPLMKMHTLGHDFIPDPIHAGGLRYHGMAPLISHVYELGYMEAIAIPQIECFEGAIQFARSEGLIPAPEPTHAIAATIREALNCRETGEAKVILTAMCGHGHFDLPAYDNYLQGKLVDLSFEEEKIQASLARVPKVGG >ONI15843 pep chromosome:Prunus_persica_NCBIv2:G3:4636791:4638503:-1 gene:PRUPE_3G064700 transcript:ONI15843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYLPCLNTAIAGILAILVFFYFIIKRSSSGAKAKSLKPPKVEGGWPLLGHLDLFGGSQLPHIALASLAGKYGPIFTVKIGIHSALVISTWEAAKDCFTTNDIAVSSRPARLGIKHLSYNYAMFGFSPYGTYWREIRKLTSLELLSNRRLELLRNVRASEVEMSLKELYTLWSNRKEGPGELLVDMKQWFGGLTLNVIFRMIAGKRCFMNGDLSEEKEARRWQKAMGEFFHFLGLFLLGDAVPWLSWLDLGGQQKAMKRTAKELDSILAEWLEEHKQKRTKGKDQDFIDVMLSAIDGANVAGFDADTVIKATCLTMISGGSDTTMVTLTWTLSLLLNNRQVLKKVYEELDQHVGKSRLLNESDINNLVYLSATIKEAMRLCPPGPLSVQREFREDCTVEGYQVPKGTWLLVNLWKIQTDPRVWADPMEFKPERFLTTHKDVDVRGQQFELMPFGTGRRVCPGISLGLQTTLLTLASFLHSFEVTTRGNAAVDMTGSPGLTNRKLTPLDVLIKPRLSPHLYE >ONI18431 pep chromosome:Prunus_persica_NCBIv2:G3:21822234:21823572:-1 gene:PRUPE_3G215400 transcript:ONI18431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLLLCAAILGEIALILALLLEIKLAEPVTIAVDRLKRGRGPLVVRIIAGSVLFVLVARVYGTINIIKRTTMLNPSVLMNMFQISLTGFLLFLSVILDRLHHYTREVSLLRTEMEAAQRENQTLKEEKNGRAMELKILGQEIAKLRTNIMNTETEYETKAKGAKLEKTKAYALRKQYEGLLAEIDRVQEDNQILRSQLEWVDLSYDDKTKHARKRLH >ONI16083 pep chromosome:Prunus_persica_NCBIv2:G3:5768524:5772082:1 gene:PRUPE_3G078000 transcript:ONI16083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIIREWAGINSFAPATQTKLLELLGKLKQENVNSLTILVMGKGGVGKSSTVNSLIGERAVSISPFQSEGTRAVMVSRSRAGFTLNIIDTPGLIEGGYVNDMALNNIKSFLLNKTIDVLLYVDRLDAYRVDNLDKEVVKAITDSFGKGIWNRALVVLTHAQLSPPDGLPYEEFVSKRSEALLKVVRLGAGLKKQDAQASSIPVVLVENSGRCNKNEGDEKVLPNGTAWIPHLVQTITEVILSGSKSIYVDKKLIDGPNPNEKGKLLIPLILAIQYFFAIKPIERAIKNDIAKESRPSWEMRDSGVAGRKF >ONI16085 pep chromosome:Prunus_persica_NCBIv2:G3:5769364:5771771:1 gene:PRUPE_3G078000 transcript:ONI16085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSRAGFTLNIIDTPGLIEGGYVNDMALNNIKSFLLNKTIDVLLYVDRLDAYRVDNLDKEVVKAITDSFGKGIWNRALVVLTHAQLSPPDGLPYEEFVSKRSEALLKVVRLGAGLKKQDAQASSIPVVLVENSGRCNKNEGDEKVLPNGTAWIPHLVQTITEVILSGSKSIYVDKKLIDGPNPNEKGKLLIPLILAIQYFFAIKPIERAIKNDIAKESRPSWEMRDSGVAGRKF >ONI16084 pep chromosome:Prunus_persica_NCBIv2:G3:5768729:5772082:1 gene:PRUPE_3G078000 transcript:ONI16084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIIREWAGINSFAPATQTKLLELLGKLKQENVNSLTILVMGKGGVGKSSTVNSLIGERAVSISPFQSEGTRAVMVSRSRAGFTLNIIDTPGLIEGGYVNDMALNNIKSFLLNKTIDVLLYVDRLDAYRVDNLDKEVVKAITDSFGKGIWNRALVVLTHAQLSPPDGLPYEEFVSKRSEALLKVVRLGAGLKKQDAQASSIPVVLVENSGRCNKNEGDEKVLPNGTAWIPHLVQTITEVILSGSKSIYVDKKLIDGPNPNEKGKLLIPLILAIQYFFAIKPIERAIKNDIAKESRPSWEMRDSGVAGRKF >ONI15198 pep chromosome:Prunus_persica_NCBIv2:G3:2243358:2250925:1 gene:PRUPE_3G030000 transcript:ONI15198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETWRWVLLVLSICILGWSPGSINAATDPADVSALKTLYSSLNSPSQLTQWSASGDDPCGQSWKGVKCSGSRVTEIDVSNLQLSGSLWSSLQSLTALTNLDMSHNNLAGSIPYSLPPNMTRLNLAANNFNQGIPYSISLITSLEYLNISHNQLQNQVDDIFGKLSSLSTLDLSFNSLSGNLPESLSSLSSMTTMNLQNNQLTGPINVLANLPLENLNIQNNQFTGWIPEQLKNINLEKDGNTWNSGPAPPPPPGTPPASKNNGNHKSGSNGSSSKGGAGEGGKKSGIGGGGVAGIVISLLVVTGVVAFFVIKRRSRRSSSDVEKFDSQPFAPLASEVKEMKSVQTPATLDMKTFDTPATINLRPPPVDRHKSFDEEDFSKKPIVVKKTSTAPLNVTSYSIADLQIATGSFNVENLLGEGSFGRVYRAQFDDGKVLAVKKIDSSVLPSELSEDFTEIVSNISLLHHPNVTELVGYCSEHGQHLLVYEFHKSGSLHDFLHLSDDYNKPLTWNSRVKIALGTARALEYLHEVCSPSIIHKNIKSANILLDVELNPHLSDTGLASFIPNADQALEHNVGSGYSAPEVAMSGQYTLKSDVYGFGVVMLELISGRKPFDSSKPRSEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVEALVRLVQRANMSRRTVGNDQGGSQRGDNANGQDDMS >ONI16231 pep chromosome:Prunus_persica_NCBIv2:G3:6409808:6412954:1 gene:PRUPE_3G086700 transcript:ONI16231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALISVLLEQLASIIQKTKQEVRLVVDVKKEVAKLTSNFKAIQVVLENAEERQMKEVDVRYWLDSLKDVSYEMDDVLDEWSTEILKQHIQKEEAGNASSTSTTKKVCFYILAPWFCFGQVSQVILRRDIAMKIKQLNERLALIASERQNYNFQYMKRGIEEIERQKSSSFVDKTFGRVDEKEILVKKLLSVSGQGGATCLVIPIIGMGGIGKTTLAQLAYKSKSSGRGWPRQKIKIAKAIIDGLKKGNSPASNELQILLQFIHESVKGKKFFLVLDDVWNQDYRKWEQLKLPLQNGAMGSRILVTTRKEEVARMVGASTDMINLKVLREENCWVLFYHIALADREKNESKGLEFIGKEIVKKCKGLSLAAKALGGLMRYKETRKQWEDVLNSKISNVDEIEEQVFQPLLLSYYDLTPTIKRCLLYCVIFPKDYNIVKDELIELWMTQNFLNSIINKEKEAVCEMYFDNLVMRSFFQEFEKDDLGNITGCKMHDVMHDFLQFLTENECFVLEAEGGNNNKTIMEFNGDNKVRHLTLMFAPEGPLIPSSLCNSKITSFGRELISQVKCLRTLNLSRNSLEKVPNEVGELAHLRYFDLSYNVGLMKLPDTVCNLINLQTLRLISCWALERLPEGMGKLINLQHLHVMNCYNLKLPKEIARLTSLRTLEYIRIHGDDDVDNNKEALFELSDLINMDQLRGSFLIEFKKDLKDARQAEKAHLVNKNCLVSLELSFFSDMWQSNPIHEETLNALQPFPNLESLSILRYNSTILQPHWMTSLNKLRSLQLL >ONI19417 pep chromosome:Prunus_persica_NCBIv2:G3:25551839:25554750:1 gene:PRUPE_3G278800 transcript:ONI19417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQEAVMKLMIHKAKENEWAFQTPDRVTEISSPSPRRKWKLFNRSSSAIPSKTAKAQAPKEFICPISGSLMADPVIVSSGHTFERACVQVCKSLNFTPTLVDSPPPDFSSVISNLALKSAILNWCRKSSIDPPKPLDFSSADQLVRAFVASQNQTLPQKLVISENELLIQGVNETPKVNFNHAATEVTRRPTHFHSSSDESVTALVSTPPLPFSTQPSCYSSCSSSSSEIETLNPGNSNSSSRSVEDDEILIKLRSQHVFEIEDALASLRKITRTREDTRASLCTPRMLSALRPLIVSRYTGVQVNSVAALVNLSLEKSNKIKIVRSGVLPPLIDVLKAGSPEAQEHASGALFSLALDDDCKTAIGVLGALPPLLHLLRSESERTRHDSALALYHLTLVQSNRSKLVKLGSVPILLRMVKSGHMTGRVLLTLCNLSSCADGRASMLDAGGVECLLGVLRGNEFDSKATQESCVATLCGLSYGGLRFKGLAKVAGAIEVLREVEKKGSDRAREKARRMLEMMRGKEEEEEDEVDWEELLNSGLGSRNRSQLSGGLGGSSVNSSEF >ONI15259 pep chromosome:Prunus_persica_NCBIv2:G3:2464069:2466110:1 gene:PRUPE_3G033400 transcript:ONI15259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRFQIYILAVCAGLVIQSSCNGHSGLQKKHVALFIFGDSLYDPGNNNYINTTKDFQANWLPYGETFFRYPTGRFSDGRLIPDFIAEYAKLPIIPAYLQPGLKDYTYGVNFASGGAGALVESHQGFVIDLKTQRSQFKKVEKQLRQKLGEAEAYTLLSKAVYLISIGSNDYSIPLATNTSHDEYVGWVIGNLTSWIKDVYKKGGRKFGFSSLAPLASVPSMRVIQPGNTGPSGEEVTALVKLHNRLLSKVLTKLKKELQGFKYSKLNLYTYAKERINHPSKYGFKEGKAACCGSGPYGGIYTCGGKRGVTEYELCGNVTEYVFFDSVHPTERVYEQVSKLWWGQNLKELFEV >ONI16286 pep chromosome:Prunus_persica_NCBIv2:G3:6664391:6667041:-1 gene:PRUPE_3G089700 transcript:ONI16286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDSFGVSSTSPDKTFNSSMDKMVAASVVSGVVFIIAVAAIIYAIINCAKKAGSFPISARIVSSVDSTGKDSNQVAIDVRADQFPVKVDFPTTIKGFLSNMAREKPIAFSPKQIAEFTNNYNPANLLGSGAFGVVYKGLLVDGVEVAVKVLTNNNSAKIVEEQFMAEVGTLGRICHMNLVRLYGFCFDPELKALVYEYMENGSLDKLLFDENKQVELEKLHDIAVQIAQGLAYLHEGCGKRIIHYDIKPENVLLDENLNPKVADFGLAKLCNRGSSQMVLTNVRGTAGYAAPDVWKPYPVTHKCDVYSFGIVLFEIVGRRRHLDVNANESSEWLPKWTWNMYNKNELAVLVSRCGIEEKDREKAERMFLVALLCIHNSPEERPLMSNVVKMLEGHMVIPPPPFPFEHGQSPPRNLSQRSGTDEGSDTSASSTKTSETNEIELAAVE >ONI16287 pep chromosome:Prunus_persica_NCBIv2:G3:6664347:6666862:-1 gene:PRUPE_3G089700 transcript:ONI16287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDSFGVSSTSPDKTFNSSMDKMVAASVVSGVVFIIAVAAIIYAIINCAKKAGSFPISARIVSSVDSTGKDSNQVAIDVRADQFPVKVDFPTTIKGFLSNMAREKPIAFSPKQIAEFTNNYNPANLLGSGAFGVVYKGLLVDGVEVAVKVLTNNNSAKIVEEQFMAEVGTLGRICHMNLVRLYGFCFDPELKALVYEYMENGSLDKLLFDENKQVELEKLHDIAVQIAQGLAYLHEGCGKRIIHYDIKPENVLLDENLNPKVADFGLAKLCNRGSSQMVLTNVRGTAGYAAPDVWKPYPVTHKCDVYSFGIVLFEIVGRRRHLDVNANESSEWLPKWTWNMYNKNELAVLVSRCGIEEKDREKAERMFLVALLCIHNSPEERPLMSNVVKMLEGHMVIPPPPFPFEHGQSPPRNLSQRSGTDEGSDTSASSTKTSETNEIELAAVE >ONI16467 pep chromosome:Prunus_persica_NCBIv2:G3:7670594:7674477:-1 gene:PRUPE_3G100000 transcript:ONI16467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALALVSRLLDQLDGKEEFTELTGILRHIEPVLEDAEKRRVKEERVRVWLEKLKDVSCDIEDVLDELSTAIPKLEEETHGTKKAFVLMKVCFSRLTSCFCCSQLVNRVGQRRRIKCLRERLSVIFAESHGFRFRKYREIDQPERVGTGISTSHKLIEEPSLYGRDEDQKMLIRNLVSESSEEGVVRGPGVISIVGVGGIGKTTLAHLVYNDQKVKAHFDLRIWVCKSFSSRELRIAEEILQVIAQKTKTSNLVELEALLESIRASVSGKKFLLVLDNVWDPRDADFSKGYLLWKLLRFGVAGSRILVTARTERMAKRIGATRMIHLNALSQESSWLTFRQIAFFGRDASECKQLEKIGKKIVKICYGLPLAIKVIGGLLHFKSSRGEWESVLREMIWELGHANNISTFDLLLFSYHDLSPALRCCFSYCAIFPKDHVIERDNLIKMWMSQGFLGLSVDRNKEKEMLGQEYFMNLAMRSFFQDFERDYKGNIIKCKMHDLVHLLAQYVTRYECFITGVDCVEEPDVESALHSTFVQALGGYIGEPDIKGARHSTFVLAPGDAIPISTHNRKGLRTLFTLCSMNVPINPGTFVHLTCLRTLNLSRCWFKELPQEVGKFIHLRYLNLSSNDELEILPETLCDLSNLQTLLINNCMQIRKLPEGMGKLVNLRHLHLDYCFNLESLPRGIAKLTSLQTLDMLVVPCDDEEEAFKLGDLKNLNLEGYLHICRCGNLQNVTAAEKVELITRGNLVDLNLDFDWSEERRLKDKIILEALQPHPNLESLEIRKYRGTTISPDWMLRLTNLRRLILHSCSDCESLPPLGKLPSLESLEICLMSSMKKVGCEFLGIDSGGSENSSIISFPKLRELRFSSLFKWNAWVGSARSMKGDASIKIMPSLHTLEIDSCFCIKVLPYFLRRIPLQNLTINKCKILQKHCQKEKGKEWFKISHIPNIKINI >ONI17150 pep chromosome:Prunus_persica_NCBIv2:G3:14813055:14817304:1 gene:PRUPE_3G140400 transcript:ONI17150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEELGGLEPVSPNGQYFSSDALSLSFLAVLESEIPIDLESQSMFLLKNVFLPISPRFSSIMVENNGKKEWKRVEVKLEDHLHIPTFPSNLSPKSYDKYIDDYLSKLSTERFPQGKPLWEVHIVNYPTSNAAGNIIFKFHHALGDGYSLMGALISSLQRADDPSLPLTFPSQQRSESKENFVTKTFSAVGNTISDFWSASLKIMKEDDLTPIKSGNDAIEFRPSTVSTMTFSLDQIKSMKNKLGMTVNDVLTGMIFFGTRLYMQEINQSSSKADCTSIMLINTRLIGDYVPIEEMMKPNGKTPWGNRFTWVHIPVPKLTQLSNALDFIWNTQKIIKKKRSSLAAYFSSRLLEILDKFGSHEASSRYIHHTVKNSSIVISNMIGPVEKMSLANHPIKGLYFLMGGIPQGFQITIVSYMGKVRLGFKMEKGLIDPQKFKSCMQNAWEMILKDSDLMRNN >ONI19091 pep chromosome:Prunus_persica_NCBIv2:G3:24542357:24545523:1 gene:PRUPE_3G258300 transcript:ONI19091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVQDQLEIKFRLTDGSDIGPKSFPAATSVVTLKESILAQWPKEKENGPRTVKDVKLISAGRILENNRTVGECRSPLCDVPGGVTTMHVVVQPPSLEKEKKLMSEPKQNKCVCVIL >ONI19089 pep chromosome:Prunus_persica_NCBIv2:G3:24542357:24545523:1 gene:PRUPE_3G258300 transcript:ONI19089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVQDQLEIKFRLTDGSDIGPKSFPAATSVVTLKESILAQWPKEKENGPRTVKDVKLISAGRILENNRTVGECRSPLCDVPGGVTTMHVVVQPPSLEKEKKLMSEPKQNKCVCVIL >ONI19090 pep chromosome:Prunus_persica_NCBIv2:G3:24542746:24545523:1 gene:PRUPE_3G258300 transcript:ONI19090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVQDQLEIKFRLTDGSDIGPKSFPAATSVVTLKESILAQWPKEKENGPRTVKDVKLISAGRILENNRTVGECRSPLCDVPGGVTTMHVVVQPPSLEKEKKLMSEPKQNKCVCVIL >ONI17266 pep chromosome:Prunus_persica_NCBIv2:G3:16304825:16306237:1 gene:PRUPE_3G149200 transcript:ONI17266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNAAFPTSPDSSTRDFGSDFASMYRSIFPPKFTLPSSLSLTPSTRSSFSDSDSNSDDHHHNHNATSQRLTQAQLILESQELRDHYDLCSAHLRDLADELDSLRLENSHLRSANSDLVKLLSSQAAFQSFLLSSSSSSAYQSPSTPSFLDDFRRLGFGSLGPRDGVVSDEASDISPTSVIERNRFDVVDRVALPKSISVRSSTRPRVPSQLFSGSPTSQVKVFNQGMVKTELCNKWEETGTCPYGENCQFAHGVRELRPVMRHPRYKTQLCRMVAAGGKCPYGHRCHFRHSLTEQERLQLAMAAETRFD >ONI17265 pep chromosome:Prunus_persica_NCBIv2:G3:16304825:16306237:1 gene:PRUPE_3G149200 transcript:ONI17265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNAAFPTSPDSSTRDFGSDFASMYRSIFPPKFTLPSSLSLTPSTRSSFSDSDSNSDDHHHNHNATSQRLTQAQLILESQELRDHYDLCSAHLRDLADELDSLRLENSHLRSANSDLVKLLSSQAAFQSFLLSSSSSSAYQSPSTPSFLDDFRRLGFGSLGPRDGVVSDEASDISPTSVIERNRFDVVDRVALPKSISVRSSTRPRVPSQLFSGSPTQSQVKVFNQGMVKTELCNKWEETGTCPYGENCQFAHGVRELRPVMRHPRYKTQLCRMVAAGGKCPYGHRCHFRHSLTEQERLQLAMAAETRFD >ONI18226 pep chromosome:Prunus_persica_NCBIv2:G3:21080561:21084761:1 gene:PRUPE_3G203100 transcript:ONI18226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILQRMATSSSFISTPLLKASSSFPTHFLSPLKHCVSAPSTEFRALALYRNRNGVSTSSAAVATETQVSTQDDKLKETQKEKSDKLEKVVLPTNESSESLLRIRHTCAHVMAMAVQKLFPDAKVTIGPWIENGFYYDFDMEPLTDKELKRIKKEMDRIIGKNLPLIREEISRDEAQRRITALNEPYKLEILDSIKEDPITIYHIGNEWWDLCAGPHVEYTGKINRKAVELESIAGAYWRGDEKKPMLQRIYGTAWESEDQLKAYLHFKEEAKRRDHRRLGQVLDLFSIQNDAGGGLVFWHPKGAIVRHVIEDLWKKIHIERGYDLLYTPHVAKADLWRISGHLDFYKENMYDQMNIEDELYQLRPMNCPYHILIYKRRPHSYHDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEELLLQFGFSKYEVNLSTRPEKSVGDDDIWVKATSALRDALDDKGWSYQIDDGGGAFYGPKIDLKIEDALGRKWQCSTIQAS >ONI18227 pep chromosome:Prunus_persica_NCBIv2:G3:21080338:21085492:1 gene:PRUPE_3G203100 transcript:ONI18227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRIYGTAWESEDQLKAYLHFKEEAKRRDHRRLGQVLDLFSIQNDAGGGLVFWHPKGAIVRHVIEDLWKKIHIERGYDLLYTPHVAKADLWRISGHLDFYKENMYDQMNIEDELYQLRPMNCPYHILIYKRRPHSYHDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEELLLQFGFSKYEVNLSTRPEKSVGDDDIWVKATSALRDALDDKGWSYQIDDGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPQRFDITYVDSNSEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPVQAHVLPVTDTQLDYCKEVTNKLKANGIRGELCHGERLPKLIRNSEMLKIPLMAVVGAKEVETGSVTVRSRFGGDLGTMAIDDFVSTIKSAIESRASI >ONI18224 pep chromosome:Prunus_persica_NCBIv2:G3:21080387:21085492:1 gene:PRUPE_3G203100 transcript:ONI18224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILQRMATSSSFISTPLLKASSSFPTHFLSPLKHCVSAPSTEFRALALYRNRNGVSTSSAAVATETQVSTQDDKLKETQKEKSDKLEKVVLPTNESSESLLRIRHTCAHVMAMAVQKLFPDAKVTIGPWIENGFYYDFDMEPLTDKELKRIKKEMDRIIGKNLPLIREEISRDEAQRRITALNEPYKLEILDSIKEDPITIYHIGNEWWDLCAGPHVEYTGKINRKAVELESIAGAYWRGDEKKPMLQRIYGTAWESEDQLKAYLHFKEEAKRRDHRRLGQVLDLFSIQNDAGGGLVFWHPKGAIVRHVIEDLWKKIHIERGYDLLYTPHVAKADLWRISGHLDFYKENMYDQMNIEDELYQLRPMNCPYHILIYKRRPHSYHDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEELLLQFGFSKYEVNLSTRPEKSVGDDDIWVKATSALRDALDDKGWSYQIDDGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPQRFDITYVDSNSEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPVQAHVLPVTDTQLDYCKEVTNKLKANGIRGELCHGERLPKLIRNSEMLKIPLMAVVGAKEVETGSVTVRSRFGGDLGTMAIDDFVSTIKSAIESRASI >ONI18225 pep chromosome:Prunus_persica_NCBIv2:G3:21080387:21085492:1 gene:PRUPE_3G203100 transcript:ONI18225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILQRMATSSSFISTPLLKASSSFPTHFLSPLKHCVSAPSTEFRALALYRNRNGVSTSSAAVATETQVSTQDDKLKETQKEKSDKLEKVVLPTNESSESLLRIRHTCAHVMAMAVQKLFPDAKVTIGPWIENGFYYDFDMEPLTDKELKRIKKEMDRIIGKNLPLIREEISRDEAQRRITALNEPYKLEILDSIKEDPITIYHIGNEWWDLCAGPHVEYTGKINRKAVELESIAGAYWRGDEKKPMLQRIYGTAWESEDQLKAYLHFKEEAKRRDHRRLGQVLDLFSIQNDAGGGLVFWHPKGAIVRHVIEDLWKKIHIERGYDLLYTPHVAKADLWRISGHLDFYKENMYDQMNIEDELYQLRPMNCPYHILIYKRRPHSYHDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEELLLQFGFSKYEVNLSTRPEKSVGDDDIWVKATSALRDALDDKGWSYQIDDGGGAFYGPKIDLKIEDALGRKWQCSTIQLDYCKEVTNKLKANGIRGELCHGERLPKLIRNSEMLKIPLMAVVGAKEVETGSVTVRSRFGGDLGTMAIDDFVSTIKSAIESRASI >ONI15834 pep chromosome:Prunus_persica_NCBIv2:G3:4585165:4588237:-1 gene:PRUPE_3G064200 transcript:ONI15834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPLEHDYIGLTETSSMERSSEKISSSSSSALSTTEDEKGSAFNLKETELRLGLPGSRSPDRKSGLGIGVSIFGKDLEDNNHNGYSPNPSKNPVSGAKRGFSDAIDGSSEKWVFGSEVDMGKGAILFSPRGMNNVKSLGVESNGKTQQLCASAQAKQEVASVPQSPKPVLEKKTQVSEHASAPAAKAQVVGWPPIRSFRKNSMASNLAKNNDDAEGKQGSGCLYVKVSMDGAPYLRKVDLKTYNNYTELSMALEKMFSCFTIGQCSSNGIPERDGLSASRLMDLLHGSEFVLTYEDKDDDWMLVGDVPWKMFTETCRRLRIMKGSEAIGLAPRAVEKCKNRN >ONI18806 pep chromosome:Prunus_persica_NCBIv2:G3:23434339:23436083:-1 gene:PRUPE_3G240600 transcript:ONI18806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLAHFFWVHPVLMVIGLILLNGEAMLAYKTVSGTKSFKKLVHLTLQFLAFCLSIIGVWAALKFHNDKGIDNFYSLHSWLGLACLFLFTLQWTAGFVTYWYPGGSKNSRATLLPWHVFVGIYTYALAVVTVTTGILEKVTFLQTNHIISRYSTEALLVNSLGILVVVLGGFVILAVITPTNNNRGDVLRGSIE >ONI18805 pep chromosome:Prunus_persica_NCBIv2:G3:23434339:23436419:-1 gene:PRUPE_3G240600 transcript:ONI18805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIPVVRFPIFRAVRVIGVIVAALVLIWTIRFRGGLALISDNKDLIFNVHPVLMVIGLILLNGEAMLAYKTVSGTKSFKKLVHLTLQFLAFCLSIIGVWAALKFHNDKGIDNFYSLHSWLGLACLFLFTLQWTAGFVTYWYPGGSKNSRATLLPWHVFVGIYTYALAVVTVTTGILEKVTFLQTNHIISRYSTEALLVNSLGILVVVLGGFVILAVITPTNNNRGDVLRGSIE >ONI15783 pep chromosome:Prunus_persica_NCBIv2:G3:4394314:4396723:1 gene:PRUPE_3G061300 transcript:ONI15783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRKTTSVDDEVAEVGKVVVEINGTMTDAGASSLLRKGDVAQVCLRVMCMAASVTALLFMVTAREASTVSIYGFQLPVNSKWSFADSFEYLVGVSAAVVAHLLLQLVIIVSRLLRKSPLIPSRNHAWLTYAGDQVLAYAMMSAGSAASGVSNLNRTGIRHMALPDFCKPLHIFCDHVAISIACTFLSSLLLAISAIQNVIWLSNN >ONI16062 pep chromosome:Prunus_persica_NCBIv2:G3:5643777:5649340:-1 gene:PRUPE_3G076800 transcript:ONI16062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSLRWRNNGVEAFSRSLHDEDEEEALKWAALEKLPTYNRLKKGILITPTGEASEIDIPNLGFQERKELIGRFLKGSEEDNERFLLKIKNRIDRVGIDLPTIEVRIENLNVEAETYVGSRALPTLFNFIVNILEGFLNGLHILSSRKTHLSILHNVSGIIKPGRMTLLLGPPSSGKTTLLQALAGKLNLDLKLSGRVTYNGHEMNEFLPQKTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYDMLAELSRREKAANIKPDPDIDVFMKAIATEGQEVNVVTDYILKILGLEVCADTKVGNDMLRGISGGQRKRVTTGEMLVGPAKVLFMDEISTGLDSSTTFQIVNSIKQYIHILNGTAVITLLQPAPETYELFDDIILLSDGRIVYQGPLEHVLEFFESMGFKCPERKGIADFLQEVTSSKDQEQYWACKDEPYSFVTVKEFSEAFQSFHVGQKLRDELSIPFDKRKNHPAALTTKEYGLKMGELLKVCFSREYLLIKRNSFVYIFKLTQLTLMALITMTIFLRTEMPRGSVDDGGVYMGALFSIVVRIMFNGMPELAMTILKLPIFYKQRDLFFYPAWAYALPTWILKIPMTFVEIAVWVFITYYVIGFDPNIERFLRQYLLLLLVNQMASALFRLIAAMCRNLIVANTVGSFSLLTLSTLGGFVLSRDDVKKWWVWGYWISPMMYAQNAIVVNELLGESWRHVLPNSTVSLGIEVLKSRGFFPHACWYWIGVGAMTGFVLLFNICYIVALSKLNPLAKPQVVKLEDQSNEHDGRIKKTSQLLRSQNSSSQRTKTDSKDESVTEIAVESIRNGRRGMVLPFEQHSITFNEITYSVDMPQVLIFEINYTLLICFVKLT >ONI17053 pep chromosome:Prunus_persica_NCBIv2:G3:14260554:14260871:1 gene:PRUPE_3G135300 transcript:ONI17053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNPILHISFTTSSKAVLLHTDRSRRGLSWTTFFFVNCFDAFSLVPWKALAYCAMNSTSSKAVRSKCKLLESSSPSVGPRSPPSNSSDGFVTFGTSPVP >ONI15501 pep chromosome:Prunus_persica_NCBIv2:G3:3304637:3307103:-1 gene:PRUPE_3G046200 transcript:ONI15501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPISFPLKKRFQVPTFKRLRKTLHCKAKALCFPHYVKMASLFCYRDSCPSVKNILLLDSEGKRVAVKYFSDEWPTNGAKLAFEKSIFTKTLKTNARIEAEIMMFDSNVIIYKFIQDLHFFVTGGDDENELILATVLQGFFDAVALLLRNNVDKREALENLDLILLCFDEIVDGGMVLETDPSIIAGKVATHTMDADAPLSEQTITQAWATAREHLTRTLLK >ONI15502 pep chromosome:Prunus_persica_NCBIv2:G3:3304266:3307280:-1 gene:PRUPE_3G046200 transcript:ONI15502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLPISFPLKKRFQVPTFKRLRKTLHCKAKALCFPHYVKMASLFCYRDSCPSVKNILLLDSEGKRVAVKYFSDEWPTNGAKLAFEKSIFTKTLKTNARIEAEIMMFDSNVIIYKFIQDLHFFVTGGDDENELILATVLQGFFDAVALLLRNNVDKREALENLDLILLCFDEIVDGGKGGNSYHGRRCTLV >ONI18420 pep chromosome:Prunus_persica_NCBIv2:G3:21792655:21795864:1 gene:PRUPE_3G214600 transcript:ONI18420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASAAINLRSSSASRAFSKALPNSTAAPFSLVRTLSRFSVRSMADSAAAPFKKIQIHRGDTAFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHAMKISQLAPGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDIQASANWLKANGSKKVGVTGFCLGGALSIASSVLVPEVDAVVAFYGVPSSELADPAKAKAPVQAHFGELDNYVGFSDVGAAKSLEEKLKASGIPYEVHIYPGNGHAFMNRSQEGVKRRKNMGMPDEDEAAVQLAWSRFESWMTRYLSA >ONI18422 pep chromosome:Prunus_persica_NCBIv2:G3:21792729:21795271:1 gene:PRUPE_3G214600 transcript:ONI18422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASAAINLRSSSASRAFSKALPNSTAAPFSLVRTLSRFSVRSMADSAAAPFKKIQIHRGDTAFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHAMKISQLAPGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDIQASANWLKANGSKKVSVLLDFVWGVLSQLQVLFWSLRLMLLLHFTEYRHQSLQTLPKLRLLFRLILESLIIMLASQMWGLPSLWKKS >ONI18421 pep chromosome:Prunus_persica_NCBIv2:G3:21792729:21795596:1 gene:PRUPE_3G214600 transcript:ONI18421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASAAINLRSSSASRAFSKALPNSTAAPFSLVRTLSRFSVRSMADSAAAPFKKIQIHRGDTAFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHAMKISQLAPGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDIQASANWLKANGSKKVGVTGFCLGGALSIASSVLVPEVDAVVAFYGVPSSELADPAKAKAPVQAHFGELDNYVGFSDAAKSLEEKLKASGIPYEVHIYPGNGHAFMNRSQEGVKRRKNMGMPDEDEAAVQLAWSRFESWMTRYLSA >ONI18787 pep chromosome:Prunus_persica_NCBIv2:G3:23355679:23357699:1 gene:PRUPE_3G239100 transcript:ONI18787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEYCFWLDQVLDLLISLQVAYMIGTGLLNKCFTRYIRKKVSFNTREKWARVAVRALGVIFPYFRLTRMLILLTLDFICRKLSISAGRVRRNFVTRKLGSWIKKGSISKWSEQVPEDIMQSILQRLSISDYVRCKAVCHSWRASVDRAIATKNCPPARQHPVLMLVSHPSCFRDHYFTSLSLAPKETLKRPIPNYIPAIYKNMMNRLACVGSIEGWMVMVDSILWRPESNNFAKSCSLYLHNRGRQSTLDIILFFLNPISGARVMLPSSQSSTLLPCGCNNGSSFPIVKVVASATPTSQLCFVASLCSVGHLALCRPTDKSWTLIHEGINFCDIEFMDGKLYAATDNGLKFLTVFDIIQDANANGPISYGAQRLDMRIPFLRYNIWRMGDVLRVSDYEVMHLATDATSMELFLIFRRINFDFKADQAFPLFEIKRHSYINPPKTKGFRVFKLEHDSDDRPQWVQVVDLGDRILFLSETANIFIPSHDDKTLERNCIYFAFDYACLASLSSRSNDHGLFFMTNRSLGRYRLSNHGLLTLFHTRPVWFTPSLW >ONI15652 pep chromosome:Prunus_persica_NCBIv2:G3:3782637:3786412:1 gene:PRUPE_3G053700 transcript:ONI15652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYMQVALIIVLFVFGPTTIFSSSSVSSNGLSEIPFKLLDFAQKPQVFDWMVGIRRKIHENPELGYEEFETSELVRAELDKLGIDYKYPIAVTGVVGFIGTRKPPFVAIRADMDALAMQEMVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILKEHEQDLQGTVVLLFQPAEEGGAGAKKMIDGGALENVNAIFGLHVANHVPIAEVASRPGPFFAGSGFFEATISGKGGHAAIPQHAIDPILAASNVIVSLQHLVSREADPLDSQVVTVGKFQGGDAFNVIPDSVRIGGTFRAFTRESLMQLQQRIKEVIMGQASVQRCSATVNFLEDEKPLSPPTINHKDLQEHFQNVAGDMLGIHRVKDHQPLMGSEDFAYYQEAIPGYFFLLGMADDKLGPLHMPHSPHFQINEDALPFGAALHASLAIRYLLELQQEVPLPAQEYHDEL >ONI15193 pep chromosome:Prunus_persica_NCBIv2:G3:2216270:2216461:-1 gene:PRUPE_3G029700 transcript:ONI15193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVQVQCNKGGSSSVTAKAHAKRSRHGFSRKCAALVKEQRARIYILRRCATMLLCWYIQGDD >ONI18738 pep chromosome:Prunus_persica_NCBIv2:G3:23088462:23091767:-1 gene:PRUPE_3G235700 transcript:ONI18738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPRSWTGLFTRSSNKRNEKHGDYSLSPLQEQRLLRLQARLQVPFDENRPDHQEALKALWHAAFPDVALQGLISEQWKEMGWQGSNPSTDFRGCGFISLENLLFFARTYPVSFRRLLFKEDGKRATWEYPFAVAGINISFMLIKMLDLCSAKPRCLPGINFVRLLGEDEAAFDVLYCIAFEMVDAQWLAMHASYMQFNDVLQATRTQLERELSLEDTQRIHDLPAYNLLYQ >ONI14885 pep chromosome:Prunus_persica_NCBIv2:G3:1027174:1031110:-1 gene:PRUPE_3G014400 transcript:ONI14885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSLPPGFRFHPTDEELVAYYLKRKINGRKIELEVIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQTRAVGMKKTLVYYRGRAPHGIRTDWVMHEYRLDERECETAQGLQVQDAYALCRIFKKSATGPKIGEHYGSTSTTNYQLTSDHSSSVELYSDGGRCEDFESSSYPMQMNACSSSPNFVHTSSLDMARKRDGKWTQFLSKDAFNCSSSFSSFPNHGNVPYPPSKVDIALECARLQHRLSLPPLEVEDFPQVGINDFKTMQYSNPAVEPTSTETDALQEILSVAHVSQEMINQSSNLADQTWGGNYAAPANDFSFIVDRDAHYNQIADHMNSMRYVDKSWENPYTRPIDIGDLEDDFRMENTAENLRWVGISDKYLEKSFMEENKVVPLENISGFRREEQEHEVQVAGETGDQSGMIKEFNDSETNDDFSLGFINEDPNDNFLNDGTMDDYSPSPSFEVIEEIQVNHGMFVSTRQVAETFFHQLMPSQTVKVHLNPVLGHNVFVERVDTQTKCENIGSFFESFKAFGMDKFVGVSKSTKPWRKFASTLVCAVTLLLMHIIYFGQHVEDEKLMDAFTTTTTAAIVEEKGNSTVSKKKRLGLIKWSNKEEKVCLVNIRGGNSCSVLLKKIGVFLTVSLALCTMWAL >ONI14884 pep chromosome:Prunus_persica_NCBIv2:G3:1026994:1031590:-1 gene:PRUPE_3G014400 transcript:ONI14884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSLPPGFRFHPTDEELVAYYLKRKINGRKIELEVIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQTRAVGMKKTLVYYRGRAPHGIRTDWVMHEYRLDERECETAQGLQVQDAYALCRIFKKSATGPKIGEHYGSTSTTNYQLTSDHSSSVELYSDGGRCEDFESSSYPMQMNACSSSPNFVHTSSLDMARKRDGKWTQFLSKDAFNCSSSFSSFPNHGNVPYPPSKVDIALECARLQHRLSLPPLEVEDFPQVGINDFKTMQYSNPAVEPTSTETDALQEILSVAHVSQEMINQSSNLADQTWGGNYAAPANDFSFIVDRDAHYNQIADHMNSMRYVDKSWENPYTRPIDIGDLEDDFRMENTAENLRWVGISDKYLEKSFMEENKVVPLENISGFRREEQEHEVQGETGDQSGMIKEFNDSETNDDFSLGFINEDPNDNFLNDGTMDDYSPSPSFEVIEEIQVNHGMFVSTRQVAETFFHQLMPSQTVKVHLNPVLGHNVFVERVDTQTKCENIGSFFESFKAFGMDKFVGVSKSTKPWRKFASTLVCAVTLLLMHIIYFGQHVEDEKLMDAFTTTTTAAIVEEKGNSTVSKKKRLGLIKWSNKEEKVCLVNIRGGNSCSVLLKKIGVFLTVSLALCTMWAL >ONI14883 pep chromosome:Prunus_persica_NCBIv2:G3:1027174:1031110:-1 gene:PRUPE_3G014400 transcript:ONI14883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSLPPGFRFHPTDEELVAYYLKRKINGRKIELEVIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQTRAVGMKKTLVYYRGRAPHGIRTDWVMHEYRLDERECETAQGLQDAYALCRIFKKSATGPKIGEHYGSTSTTNYQLTSDHSSSVELYSDGGRCEDFESSSYPMQMNACSSSPNFVHTSSLDMARKRDGKWTQFLSKDAFNCSSSFSSFPNHGNVPYPPSKVDIALECARLQHRLSLPPLEVEDFPQVGINDFKTMQYSNPAVEPTSTETDALQEILSVAHVSQEMINQSSNLADQTWGGNYAAPANDFSFIVDRDAHYNQIADHMNSMRYVDKSWENPYTRPIDIGDLEDDFRMENTAENLRWVGISDKYLEKSFMEENKVVPLENISGFRREEQEHEVQVAGETGDQSGMIKEFNDSETNDDFSLGFINEDPNDNFLNDGTMDDYSPSPSFEVIEEIQVNHGMFVSTRQVAETFFHQLMPSQTVKVHLNPVLGHNVFVERVDTQTKCENIGSFFESFKAFGMDKFVGVSKSTKPWRKFASTLVCAVTLLLMHIIYFGQHVEDEKLMDAFTTTTTAAIVEEKGNSTVSKKKRLGLIKWSNKEEKVCLVNIRGGNSCSVLLKKIGVFLTVSLALCTMWAL >ONI14882 pep chromosome:Prunus_persica_NCBIv2:G3:1026994:1031590:-1 gene:PRUPE_3G014400 transcript:ONI14882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSLPPGFRFHPTDEELVAYYLKRKINGRKIELEVIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQTRAVGMKKTLVYYRGRAPHGIRTDWVMHEYRLDERECETAQGLQDAYALCRIFKKSATGPKIGEHYGSTSTTNYQLTSDHSSSVELYSDGGRCEDFESSSYPMQMNACSSSPNFVHTSSLDMARKRDGKWTQFLSKDAFNCSSSFSSFPNHGNVPYPPSKVDIALECARLQHRLSLPPLEVEDFPQVGINDFKTMQYSNPAVEPTSTETDALQEILSVAHVSQEMINQSSNLADQTWGGNYAAPANDFSFIVDRDAHYNQIADHMNSMRYVDKSWENPYTRPIDIGDLEDDFRMENTAENLRWVGISDKYLEKSFMEENKVVPLENISGFRREEQEHEVQGETGDQSGMIKEFNDSETNDDFSLGFINEDPNDNFLNDGTMDDYSPSPSFEVIEEIQVNHGMFVSTRQVAETFFHQLMPSQTVKVHLNPVLGHNVFVERVDTQTKCENIGSFFESFKAFGMDKFVGVSKSTKPWRKFASTLVCAVTLLLMHIIYFGQHVEDEKLMDAFTTTTTAAIVEEKGNSTVSKKKRLGLIKWSNKEEKVCLVNIRGGNSCSVLLKKIGVFLTVSLALCTMWAL >ONI18313 pep chromosome:Prunus_persica_NCBIv2:G3:21468581:21470655:-1 gene:PRUPE_3G208800 transcript:ONI18313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAATRTKLQSISNLDVVGICKSMVETQGYTCQEHQVTTADGYILGLQRIPKGRSGNEIADRQPVLLQHGLLMDASAWLLNPPDQSLAFILADNGFDIWLANSRGTNSSHGHPSLSPDDDPGTLTALAAFSQEKLLNLLRSAALLSPVAYLGQMSSLFVRILMDVFLAEKLKLLGLQEFPNGQTQQLVEFICTLPGIDCSNLLATITGPNCCLSSSSKGALFKHFPEPTATKNFIHLSQMVRRGTIKMYDYDIEDTNMEHYKQPTPPAYNMTNIPKNVPLFLSYGLRDKLSDANDVGLLLDNLKDHDKESRTSLCEEYAHMDFIISMNANQVVYNPLMAFFRLH >ONI18570 pep chromosome:Prunus_persica_NCBIv2:G3:22357731:22360699:1 gene:PRUPE_3G223800 transcript:ONI18570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLVRRASLLPLPAKFRTPAPKTATFSEFEIPVSFSFTFLSHYCSSALRLDPCYYGDQQLHYSYDSDSFFASLIDGSTQKSHLGQIHAQLLVLGLQDSGFLITKLVNASSNLGYVTYARRVFDEFTDPDVFLWNAIIRCYSRHIVFADALEMYARMQAMGVSPDGFTFPHVLKACSGLPDLEMGRRVHGQVLRHGFESDAFVQNGLVALYAKCGRIESARAVFDCLSERTIVSWTSIISGYAQNGQPLEALRIFGLMRKLNVKLDWIVLVSVLKAYTDVEDLGQGTSVHGCLIKMGLEFEPDLLIALTAMYAKSGQVMAARSFFYQMKTPNLILWNAMISGYAKNGYAEEAVELFREMISKSMRPDSITMRSAILACAQVGSVGLARWMDDYISKTEYINHVFVNTALIDMYAKCGSVDYARMVFDRTPNKDVVVWSAMIVGYGLHGRGREAIDLYHSMQQAGVRPNDVTFLGLLTACNHSGLVEEGWDLFHSMKHYRIKPGNQHYSCVVDLLGRAGHLDQAYDFIMKMPIEPGISVWGALLSSCKIYRRVTLGEYAAEQLFSLDPYNTGHYVQLSNLYASARLWDRVAKVRVLMREKGLTKDLGHSLIEINGRLQAFHVGDKSHPRSKEIYEELESLERRLKEAGFIPHTESVLHDLNQEETEETLCNHSERLAIAYGLISSAPRTTLRITKNLRACVNCHSATKLISKLVNREIVVRDAKRFHHFKDGRCSCGDYW >ONI17062 pep chromosome:Prunus_persica_NCBIv2:G3:14327209:14332748:1 gene:PRUPE_3G135800 transcript:ONI17062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHFVCNSQCSSKIFNDCFSILHLQHLELFAKSLQLCTRHPPPPPSKERSWLLATAIGFGFLFILVFLTSLLTVLLGPRFAIKNPVLKEIVVSSNVSKAACVLVYCIVTPVLEETIYRGFLLASISFTMKWQSAVLISSAIFSAAHLSGENSLQLFIIGCVLGCSYCSTGNSRSPMLIHSLNLVLACPPCHDNKFQPRFICPRSGPSKMGGPQTLMPQAQLGSILNFELRTHTCHMPPKTRRKRMAGFEHKVKERARELTILVKKGVKVVGDSCKKGWYKVKHIRR >ONI19644 pep chromosome:Prunus_persica_NCBIv2:G3:26009485:26011807:1 gene:PRUPE_3G289200 transcript:ONI19644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAETEANPEQVDHLFSLPVDADQKATEFRLFSIAPPHMLAFHLAWFSLFSNFFSTFSIPPLLAVIQDDLNLTDTDTGRAGTAAFLGSIFSRIAMGPICDLVGPRIAIATLSLITAPVILSTSLVSSPHSFIALRFLTGFSLANFVANQFWMSCMFSGCVVGLANGFSAGWANMGSGVTQLVMPLIYTLIMSFNVPSSTAWRLAFIVPAVFQAVTALLVLAFGQDVPSGSYRSLKKVNIGKESLCKVFCHGAKNYRAWILALTYGFCFGVEMTTDNIIAQYFYDRFDVNIQVAGIIAGSFGMANFFSRPSGGLVSDRMGRRFGMRGRLWGLWVTQTVAGLLCLLLGRVNSLWGSILVMCAFSVFVQAASGLTFGVVPFVSKRSLGVVSGITGSGGTVGAVITQLLLFSGSKFSKQTGISLMGIMMIVCTLPISLIYFPQSGGMFCGPSYGSDDRLKGPETDETNHYRLLQ >ONI14797 pep chromosome:Prunus_persica_NCBIv2:G3:576975:579264:1 gene:PRUPE_3G009200 transcript:ONI14797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHFPWVIGHKGLKRGSPPWMALQDRDAMNHSFNFSSSGPTEIPMVGIPKEVGRGGWGILSGSGVHHASSDATLFSSSLPVLPHPKLNTEHGCQSIDDLSTGLNKLGHNLEGNDTLEDIETHAIGSLLPGDEEELLAGIADDLDLSGLPGSLEDLEEYDLFGSGGGMEL >ONI17162 pep chromosome:Prunus_persica_NCBIv2:G3:15154386:15156332:-1 gene:PRUPE_3G141600 transcript:ONI17162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEKPVDLFKVRGKFALSYVYEDRFLLYKGKILLVTHRRLILWQVS >ONI16168 pep chromosome:Prunus_persica_NCBIv2:G3:6075315:6076129:1 gene:PRUPE_3G082000 transcript:ONI16168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSTMSSPHPYQSPVIVDEKDEAKSFRVEKVGASRSHAMENGKEEAPKNKLERKSTEDINESAEAFIKKFRKQLLIQRLESIENYEQMLARGL >ONI18000 pep chromosome:Prunus_persica_NCBIv2:G3:20392907:20395573:-1 gene:PRUPE_3G191300 transcript:ONI18000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQNWRQKLDPSAGVFKRPLIDSDDIIIDILSRLPVKSLLQFRCVCKSWHTLISDSHLVRKHLRRAVRGVNANSSKLLISNSPLYSIDYEALEDVNSFVAIKELHLPAPLVLDRMSIVGSCNGLICLHENKGDFFLWNPCTRDTLKLPGVTYFPSSPMFYGFGYDSTIEDYKVIVGGTSSSESGLLTTTIALFTLKSGSWRTVQDLNYVKLNGQGCLLNEALHWVEFEWRWEGYFNVLSSRIITFDLAGEEFQEMVPLSSYLSDQKYISIRIGTTTNCLFVYMFNHSNFSDIAITIWVMKEYGVKESWTKIIDIPLELFRPLQGVFFLNLICILESGEVCMSYSSGLVSYNPKENTFRSVLSSPVILLPEAIMYVETLVSPVVESWADI >ONI17999 pep chromosome:Prunus_persica_NCBIv2:G3:20392907:20395740:-1 gene:PRUPE_3G191300 transcript:ONI17999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQNWRQKLDPSAGVFKRPLIDSDDIIIDILSRLPVKSLLQFRCVCKSWHTLISDSHLVRKHLRRAVRGVNANSSKLLISNSPLYSIDYEALEDVNSFVAIKELHLPAPLVLDRMSIVGSCNGLICLHENKGDFFLWNPCTRDTLKLPGVTYFPSSPMFYGFGYDSTIEDYKVIVGGTSSSESGLLTTTIALFTLKSGSWRTVQDLNYVKLNGQGCLLNEALHWVEFEWRWEGYFNVLSSRIITFDLAGEEFQEMVPLSSYLSDQKYISIRIGTTTNCLFVYMFNHSNFSDIAITIWVMKEYGVKESWTKIIDIPLELFRPLQGVFFLNLICILESGEVCMSYSSGLVSYNPKENTFRSVLSSPVILLPEAIMYVETLVSPVVESWADI >ONI18001 pep chromosome:Prunus_persica_NCBIv2:G3:20394138:20395313:-1 gene:PRUPE_3G191300 transcript:ONI18001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQNWRQKLDPSAGVFKRPLIDSDDIIIDILSRLPVKSLLQFRCVCKSWHTLISDSHLVRKHLRRAVRGVNANSSKLLISNSPLYSIDYEALEDVNSFVAIKELHLPAPLVLDRMSIVGSCNGLICLHENKGDFFLWNPCTRDTLKLPGVTYFPSSPMFYGFGYDSTIEDYKVIVGGTSSSESGLLTTTIALFTLKSGSWRTVQDLNYVKLNGQGCLLNEALHWVEFEWRWEGYFNVLSSRIITFDLAGEEFQEMVPLSSYLSDQKYISIRIGTTTNCLFVYMFNHSNFSDIAITIWVMKEYGVKESWTKIIDIPLELFRPLQGVFFLNLICILESGEVCMSYSSGLVSYNPKENTFRSVLSSPVILLPEAIMYVETLVSPVVESWADI >ONI17998 pep chromosome:Prunus_persica_NCBIv2:G3:20392907:20395735:-1 gene:PRUPE_3G191300 transcript:ONI17998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVRKHLRRAVRGVNANSSKLLISNSPLYSIDYEALEDVNSFVAIKELHLPAPLVLDRMSIVGSCNGLICLHENKGDFFLWNPCTRDTLKLPGVTYFPSSPMFYGFGYDSTIEDYKVIVGGTSSSESGLLTTTIALFTLKSGSWRTVQDLNYVKLNGQGCLLNEALHWVEFEWRWEGYFNVLSSRIITFDLAGEEFQEMVPLSSYLSDQKYISIRIGTTTNCLFVYMFNHSNFSDIAITIWVMKEYGVKESWTKIIDIPLELFRPLQGVFFLNLICILESGEVCMSYSSGLVSYNPKENTFRSVLSSPVILLPEAIMYVETLVSPVVESWADI >ONI19940 pep chromosome:Prunus_persica_NCBIv2:G3:26789051:26791112:1 gene:PRUPE_3G306200 transcript:ONI19940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMQQNDFDRILFFEHSRKTSEATYTKNPLDAGNLTRWAGALLELSQFQNVVESNKMIQDAISKLEEALSINPKKHEALWCLGNAHTTRAFFNPDQNEANRGFDKASQYFQQALDEDPGNEVYRKSLEVAAKAPQLHLEFQKHGFGQQVMEAAAAAGPSTSSGAKATKKSKSSDLKYDIFGWIILAVGIVAWLGFAKSHPPPPPPPPPPRFS >ONI18430 pep chromosome:Prunus_persica_NCBIv2:G3:21820438:21822032:-1 gene:PRUPE_3G215300 transcript:ONI18430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLLLILGQGLVYLLYALIFSEMVLIMTLLFDAPMTKTAILELDSLKLNQGKGLLIVKIVTGTLFLVLMANFYSVLRITMKNRTNEGGGLNPTEEVLKSMNILQISLIGIVLFLVLMIDSLHHYIRGLPSLVMAMEAAKTQNQSFRKEKTESVQKLNTMVQEKDTLRTKLKYLESECKSEANEANKARAEAEALRQESEEFLKEYDRLLADNQNFRNQSKLVEQRFTKQSISHPNDKKNL >ONI19809 pep chromosome:Prunus_persica_NCBIv2:G3:26458666:26462899:1 gene:PRUPE_3G299100 transcript:ONI19809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPKGVGRKRRSSPRDVVSWSTLFWCTVFVVINCALFSGFSFYAFRFLIGKTFQPVLMLTWPAPSNKPISPITISVREVIMFPEQALVFLNNYPRTAHVFTKDDLHCVYFSANHSSLSQPRFNRPPIDIDGGNFHNQIVRCPRPPRSLTVSVGLKHNDDVPAVPSYSWDWLAYDALVDRDNTTIVFVKGLNLRRERISNTAKFECVYGWDFRTTRYLLRSDVVSIAQEIVRCKTPRSVLSFPRGPNNTVKVSVRVKGGGAKGILNTIARPAIRPGLANPTHWKEHEMCLCSMVRNQGRFLKEWVMYHAQMGVQRWFLYDNNSDDDTDVVIEWLRRANYNVTRHVWPWLKTQEAGFAHCALRARETCQWVGFIDADEFFHLPSGLMLHDVLRNQSNYDYVGEIRASCYSFGPSGLRRVPVQGVTVGYTCRLAAPERHKSIVRPEALNSTLINVVHHFHLRNGYEPVNVDRGVLVINHYKYQVWEVFKEKFYRRVATYVADWREEQNVGSKDRAPGLGTRAVEPQDWSHRFCEVGDTGLRDRVLQSFVDPQSLLLPWQEEGEGGDRVPLENSSMFSPRFKSVAAMAGWDEESLLIASLVVDDTPERQVKYKKRSDLHFKTPPTNSSRRKRRAQRRSPISMPVAVLNLDDDEETKKDESGKEKEEPQIIVNKEKETRDDKMAESSGVSCPPSSNLPCLDKLRDELSCAICLEICFEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQLMSHSRSCTVNTVLWNTIQLLFPQEVEARKAAGALNSSGEAVRQIPEKAFYNTRSVQSRRASSGVSSNREMTMRRRRVILSQDEEDDDAALAIRTSSSRRIPSQDGDAALALRLQREEFMEAFRVDHGQSGSSLSLARANLRAMTSRATSHRATTRH >ONI16876 pep chromosome:Prunus_persica_NCBIv2:G3:11789338:11791588:1 gene:PRUPE_3G126800 transcript:ONI16876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKVHASAEACGVSRNDLGAMDGDDEEKELLSVATTKIEEEKKGSKSLVFGINLIYIGGGCQAEAILSIPLMGDTLDRRIWNFAKNGKYYVKSGYWTALEYKRLEELSLSSPLNVDGAADMEIGVRGAGAIIRDSQGNLIGLLAMRAPSTISVLATELYALKIRISFALDASLMPLEIETDSLLAVSMVNNEEDCLVAEGGLVEAVRCLLASSASSPIRHIPRQANKVAHRIAHFSLRDQSLSCWMDVGLLWLMDAVSDDRPESIVIG >ONI15515 pep chromosome:Prunus_persica_NCBIv2:G3:3340846:3349065:-1 gene:PRUPE_3G047000 transcript:ONI15515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTLIRTGASLMNRILSKPLLHPNPNSNHQIVSHGLEITPKLFPSLSNFDTSFRLPQNDAESLKRVSYEGLVYPFGLPYLPFFLPDGDDSSSSEPMLLFPKRTFQPSTIRRKRNHGFFARKATKGGRRVIARRIAKGRSRVTA >ONI15516 pep chromosome:Prunus_persica_NCBIv2:G3:3340570:3343329:-1 gene:PRUPE_3G047000 transcript:ONI15516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTLIRTGASLMNRILSKPLLHPNPNSNHQIVSHGLEITPKLFPSLSNFDTSFRLPQNDAESLKRVSYEGLVYPFGLPYLPFFLPDGDDSSSSEPMLLFPKRTFQPSTIRRKRNHGFFARKATKGGRRVIARRIAKGRSRVTA >ONI16217 pep chromosome:Prunus_persica_NCBIv2:G3:6291055:6292497:1 gene:PRUPE_3G085300 transcript:ONI16217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASRSYHVHGRKVAIITVASIFLLTILLPNSVDSLHSSNNSESDDDEFRQSKMVLGSRPPGCQNKCLNCRPCIATLVIPVHKTKRFSLSSHGEEDDSYYLLSWKCRCGNKLFQP >ONI16068 pep chromosome:Prunus_persica_NCBIv2:G3:5693179:5695448:-1 gene:PRUPE_3G077200 transcript:ONI16068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAPSLSTTTTSPLLLHERCGHHLRLKTTLCAELSGAVGDLGTYIPIILALTLVSHLDLSTTLIFTALYNFTTGLLFGIPMPVQPMKSIAAVAISESPHLSLPQIAAAGLSTAGVLLLLGVTGLMSFLYRFLPFPVVRGVQLSQGLSFAFTAVKYIRFNQDLAASKSTSPRPWLGLDGLLLALSSLLFIVLATGSGDNDHTHNPSTENTINRRRSPRLNQRLKVLSQIPAALVVFLFGLILCFVRDISILGDLKFGPSKITLLKITWEDWKIGFVRGAIPQIPLSILNSVIAVCKLSGDLFPDREASARTVSISVGVMNFVGCWFGAMPVCHGAGGLAGQYRFGGRSGASVVFLGIGKLVLALLFGNSFVSVLNQFPIGILGVLLLFAGIELAMASKDMNSKEESFVMLVCAAVSLTGSSSALGFGCGILLFLLLKLRELEFSRVGFLKSKSEESSKDDEATSLIP >ONI17874 pep chromosome:Prunus_persica_NCBIv2:G3:19946716:19949920:1 gene:PRUPE_3G184500 transcript:ONI17874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSALPLPLSTPSPSTQTSIANPPENLSSSALPLPKLKTPTIRSRLSKLCQEGQPLLARQLFDTLPRPTTVLWNTIIIGFICNNMPNEALLFYAQMKASSPHIKSDSYTYSSTLKACADTRNFKMGKALHCHVLRCLPNPSRIVCNSLLNMYSACYNDFDYSEYDLVRRVFDTMRKRNVVAWNTLVSWYVKTQRYAEAVKQFKMMMRMRITPSAVSFVNVFPALSAMGDYKNANVLYGMLLRLGDEYVNDLFAVSSATFMYGELGCLDYARKIFDHCLERNTEIWNTMIGAYVQNNLPIEAISLLFQAVKSEQAILDEVTFLSALTACSQFQQLELAGQLHAFIIKHLRVMPVILQNATIVMYSRCNSVEMSFKIFHKMPERDVVSWNTMVSAFVQNGLDDEALMLVSEMQKQQFMIDSVTVTALLSASSNLRNLDIGKQTHAYLIRHGIQFEGMESYLIDMYAKSGSVRIAERIFKTEYTHDRDQATWNSMIAGYTQNGLTEEAFVVFRQMLEQNLIPNAVTLASILPACNPVGNIDMGKQLHAFSIRQYLDQNVFVGTALIDVYSKCGAITYAENVFTGTHEKNSVTYTTMILGYGQHGMGERALSLFHSMQRSGIVPDAITFVAVLSACSYAGLVDEGLSIYDSMKREYNIKPLTAHYCCIADMLGRVGRVVEAYEFVKGLGEEGDVTEIWGSLLGACRIHKHFELGKIVAEKLLEIEAGNGKTGYHVLLSNIYAEEGKWENVDRVRKQMREKGLRKETGCSWIEITGFLNCFVSRDQKHPQCDEIYDMLEELTTTMKDTGYRPSLSSPLDAIMEPNE >ONI14686 pep chromosome:Prunus_persica_NCBIv2:G3:162317:165766:1 gene:PRUPE_3G002300 transcript:ONI14686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQGRRHHISSKLFHHSVPDCSFNVSDDGLLVDATNNTSSSNPSIPIPIPIKQLFRQTKEIKEMDFFSDNNIMNDDADDDEEPRRQPQQDCNNNPPAVNTGLNLLTLNSGISSTSASDIHQNSNNKLMTSLQVELERLHEENGELKTTLDQMTKSYSQLQAQLLIAMQKQAQNRLREPIKCEANGMLARQFMDPRPSAAAAIDHVRDPSVAFSSGKTPADHEAFSLFAPSNLNIEVMSTERDQYQRRLQTNINCAEEALDRSSQCLGSPNYTSKSSDPNLLDDDNDEPKRSTDQVPVADQVPFRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMANGCPVRKQVQRLAEDKTILITTYEGNHSHPLPPAATAMANTTSAAAAMLLSGSTTSKEAHQYHHHHLANSGFFSNSQLPFFASSMATLSASAPFPTITLDLTQSPMQQFHRIPPPSSSTFPLPLHGYHQLMGGLGHPIQAPMYFPPNYKAPPPSAGLSLSGGQRSTSTHSSGMIETVSAAIASDPNFTAALAEAISTIMAAPRPHQGHQGGININDGDIANNNAARGVVASTNNSPPSANIGVRS >ONI16454 pep chromosome:Prunus_persica_NCBIv2:G3:7606992:7608357:1 gene:PRUPE_3G098900 transcript:ONI16454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKSEQEEFEEFEEEKKQRIWSHGEHWLRGEMVGEGSSGSVFLATPKNPRRGEFGSMLNFPGLMAVKSAEVSDSESIRHEAEVLLDIKGCPFVIERFGEEITTSEEGGDHMVYNLLLEFASGGTLGDLIEKSNGHGLPEAEVRRYTRSILEGIKHIHKFDYVHCDLKPENILLVPNPATSTGSFVAKISDFGLAKKTKENYGQWRGTPTYLSPEALNDSEQEPPSDIWSLGCIVLEMLTGKSFSDLKPGCELEDFHYMFHHVCTPKIPAEVSGVARDFLKSCLAMRSCERLTAEKLLLHQFVIRPKPSKAARHTKGKVVCSSSGYADGSKPSADCHASSAIVRRIPPPPGFEILAALV >ONI17686 pep chromosome:Prunus_persica_NCBIv2:G3:19133324:19135308:1 gene:PRUPE_3G174100 transcript:ONI17686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESFLPKIETRLGVGGEDQQEPSRGRTPRSSFPSSAYLDVGPSRRLSTAQLLTGGDVIVPIITTPNSSSYANLIVNLNKNKKRKLQHRSHSAPSVFTDARVPIRDSVDPRPSPKSTPLIVRQAFIGVIVYVIIGIVIFCTTSANFKGQGTYKPVDALYFIVVTLCTIGYGDIVPETTLTKLFTCFFILVGFGFIDILLNGLVAYICDRQEAVLLSTMDETKFNNMIQTYMIDREKGRMRIRIKVGLALSVVIGCIAIGTITVHFLEDMSWINSFYLSVTSVTTVGYGDLAFKTVGGRCFAIVWLLVSTLAVARAFLYLTELRIDKRNRRIAKWVLQKEVTMRDLLAADLNNDGCISKSEFVIYKLKEMGRVTENDILQICKQFDSLEHSNYDKITLVDLMEGNR >ONI20027 pep chromosome:Prunus_persica_NCBIv2:G3:26989688:26992108:1 gene:PRUPE_3G310900 transcript:ONI20027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITRTLLHSSCSKLPFQNPFLHFQSQVVASSFSSRREITKTSSIPIISRTHFRKPVAASCSASDGSNSSSATKVSVADPSVALKKKAMDISPELKGTSIFLVGMKSSIKTSLGKLLANVLRYYYFDSDSLVEEAAGGESAAKSLRETDKNGFHESETEVLKQLSSMGRLVVCAGDGAVQSSANLALLRYGISIWIDIPVDLVARGVIEDQSQLPAFNLSASASYPEVLTHLSTSNEEVRGGYETADATISVEKLACKLSYDDFGDVTTEDMALEVLKEIEKLTRVKKMMEAAARPF >ONI16675 pep chromosome:Prunus_persica_NCBIv2:G3:9696102:9703017:1 gene:PRUPE_3G114700 transcript:ONI16675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMMIRTTTAATSSSCYCWSSRGMNCLHSNSTFLVFVNNYFAFFHSQPSKPIKSTRTQLEQPKRDLPKITNVEDAFNLFDRMLQMRPLPSVVRFTKILGQVAKLKHYSAVISLDNQMGVSRIRHDIYTLTILINCYCHLNQMGFSLSVLGKFFKLGLEPNVFTFATLINGFLLENRVVQAAELFNKMINAGNCQPDAVTYGTLVKGFCMKGNNSAAIHLLRKMEEGACKPGLVVYNTIIDSLCKDKLVDDALNLLSEMMSKGIAPNVFTFTSLIHEVCKLGKWKEAKGLLNEMVSKNVFPDVYTFSVLVDTLCKEGMVGEAEGVVEMMIERDIQPGTVTYNSLMDGYCLRGEMSKARKVFKLMPSKGSMVNVFSYSILINGYCKRKMMDEAMMLLQEMSRKGLVPDTVTYSTLADGFCKVGKLGDAQKLFSEMQACGQLPDVQTYAVLLDGLCKNRQLSTAIQLFKEMEGKKLDVNIVIYTILIEGLCIAEKIESARELFFGLSSRGLQPNVRTYTIMINGLCIGGRTSEAEKLLIEMEEKGCSPNGWTYNIIIRGFINNNESVRAMELIQQMVEKGFSADASTTELIIDLLCKDKVDPALLPLMQKENYELNLPQLKLNRSSDHPNKH >ONI17194 pep chromosome:Prunus_persica_NCBIv2:G3:15634967:15636052:1 gene:PRUPE_3G144100 transcript:ONI17194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMKSQAALLGLTTLAILFFSGAHAAKITFTNKCSYTVWPGTLTGDQKPQLSLTGFKLATGISRSVDAPSPWSGRFFGRTRCSTDASGKFTCATADCGSGQVSCNGNGAAPPATLVEITIASNGGQDFYDVSLVDGFNLPMSVAPQGGTGKCKASTCPADINKVCPAPLQVKGSDGSVIACKSACLAFNQPKYCCTPPNDKPETCPPTDYSKLFKTQCPQAYSYAYDDKSSTFTCSGRPDYLITFCP >ONI17435 pep chromosome:Prunus_persica_NCBIv2:G3:17763275:17763971:1 gene:PRUPE_3G159100 transcript:ONI17435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHPHQTLLFIMLLIWSSKSVMTTSDHENQNDHHQQTLVSQKQEVDTGIKCGSCPCVNPCEQQQVLPPPPPPPPCPPPPPPPKAPTTTTQDCPPNPYVPPPPPRFVYVTGLPGDVYQYQTDAYAYYSPAPRHLYNLWLLLLVTFIWPGFQYILLL >ONI17673 pep chromosome:Prunus_persica_NCBIv2:G3:19102717:19105582:-1 gene:PRUPE_3G173500 transcript:ONI17673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKGGRFIYPLILVFFLLLGHFLCSGLKVTKKGKNVGNMKEQAHQKRRLQFTKTPISTTKRDITTPITTVPTITPTNPTSSIPIANPNSDPDSTSPVSATPSMTPFSTTPSSTGSSWCVASQSASQMALQVALDYACGYGGTDCSEIQSGRSCYNPNNVRDHASFAFNNYYQKNPVPNSCNFGGTAVITSTDPSTGTCQYPSTSTSSSVLNTTNTSGSTVFGAVPSGPSTSASAAAASSHSLRNIFIMACLILFTA >ONI17675 pep chromosome:Prunus_persica_NCBIv2:G3:19103065:19103912:-1 gene:PRUPE_3G173500 transcript:ONI17675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQAHQKRRLQFTKTPISTTKRDITTPITTVPTITPTNPTSSIPIANPNSDPDSTSPVSATPSMTPFSTTPSSTGSSWCVASQSASQMALQVALDYACGYGGTDCSEIQSGRSCYNPNNVRDHASFAFNNYYQKNPVPNSCNFGGTAVITSTDPSTGTCQYPSTSTSSSVLNTTNTSGSTVFGAVPSGPSTSASAAAASSHSLRNIFIMACLILFTA >ONI17676 pep chromosome:Prunus_persica_NCBIv2:G3:19102717:19105361:-1 gene:PRUPE_3G173500 transcript:ONI17676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQAHQKRRLQFTKTPISTTKRDITTPITTVPTITPTNPTSSIPIANPNSDPDSTSPVSATPSMTPFSTTPSSTGSSWCVASQSASQMALQVALDYACGYGGTDCSEIQSGRSCYNPNNVRDHASFAFNNYYQKNPVPNSCNFGGTAVITSTDPSTGTCQYPSTSTSSSVLNTTNTSGSTVFGAVPSGPSTSASAAAASSHSLRNIFIMACLILFTA >ONI17674 pep chromosome:Prunus_persica_NCBIv2:G3:19102717:19104071:-1 gene:PRUPE_3G173500 transcript:ONI17674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFEIRNHYPNQIWHHFISMFKKCKVEKIKLTLVFISSTGLKVTKKGKNVGNMKEQAHQKRRLQFTKTPISTTKRDITTPITTVPTITPTNPTSSIPIANPNSDPDSTSPVSATPSMTPFSTTPSSTGSSWCVASQSASQMALQVALDYACGYGGTDCSEIQSGRSCYNPNNVRDHASFAFNNYYQKNPVPNSCNFGGTAVITSTDPSTGTCQYPSTSTSSSVLNTTNTSGSTVFGAVPSGPSTSASAAAASSHSLRNIFIMACLILFTA >ONI17760 pep chromosome:Prunus_persica_NCBIv2:G3:19444270:19444578:-1 gene:PRUPE_3G177500 transcript:ONI17760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCCCSSAYVSLSCSETVERDRVRKGYVPILVGKEMGDMEKLWIPIKLLSHPRIVALLRRSAHEFGFQQQGLLKIKHDVHLFKGMIKSILSKSKQRTFLCN >ONI19950 pep chromosome:Prunus_persica_NCBIv2:G3:26811505:26812279:-1 gene:PRUPE_3G307000 transcript:ONI19950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDFEFSSRVTPKRLLTGTRKLQSRGVTVSMYKNPCFLPNQLLTQILVRVLLSEARTKTKIKSWEFVGSKKKKMDAIDSVFDPLREFSKDSVRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >ONI19949 pep chromosome:Prunus_persica_NCBIv2:G3:26810615:26812279:-1 gene:PRUPE_3G307000 transcript:ONI19949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDFEFSSRVTPKRLLTGTRKLQSRGVTVSMYKNPCFLPNQLLTQILVRVLLSEARTKTKIKSWEFVGSKKKKMDAIDSVFDPLREFSKDSVRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >ONI15583 pep chromosome:Prunus_persica_NCBIv2:G3:3586475:3592033:1 gene:PRUPE_3G050900 transcript:ONI15583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLLIVLILAATTTTTAILILSIIFLYRNNSNLILRSKHRRRPLPLGTLGWPFLGETIEFVSCAYSDCPESFMDKRRHLYGKVFKSHIFGSPTIVSTDAEVSKFVLQSDAKAFVPSYPKSLTELMGKSSILLINGALQRRVHGLIGAFFKSPHLKAQITTDMQKYVQQSMANWRDDRSIYIQDETKNIAFQVLVKALISLDPGADMEFLKKQFQEFIAGLMSLPINIPGSRLYRSLQAKKKMIKLVQKIIQARKKGTSSISNKVARDVVDVLLSDTSGQLTDDLIADNMIDMMIPGEDSVPVLMTLAIKYLSDSPTALQQLTEENMKLKTLKDQLGEPLCWSDYLSLPFTQNVITETLRMGNIIIGVMRKAMKDVEIKGYLIPKGWCVFTYFRSVHLDENNYDWPYDFNPWRWQDKDMSSSNFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRWVAEEDTIVNFPTVRMKRRMPVRVKRKGDH >ONI15584 pep chromosome:Prunus_persica_NCBIv2:G3:3585657:3592033:1 gene:PRUPE_3G050900 transcript:ONI15584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRRHLYGKVFKSHIFGSPTIVSTDAEVSKFVLQSDAKAFVPSYPKSLTELMGKSSILLINGALQRRVHGLIGAFFKSPHLKAQITTDMQKYVQQSMANWRDDRSIYIQDETKNIAFQVLVKALISLDPGADMEFLKKQFQEFIAGLMSLPINIPGSRLYRSLQAKKKMIKLVQKIIQARKKGTSSISNKVARDVVDVLLSDTSGQLTDDLIADNMIDMMIPGEDSVPVLMTLAIKYLSDSPTALQQLTEENMKLKTLKDQLGEPLCWSDYLSLPFTQNVITETLRMGNIIIGVMRKAMKDVEIKGYLIPKGWCVFTYFRSVHLDENNYDWPYDFNPWRWQDKDMSSSNFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRWVAEEDTIVNFPTVRMKRRMPVRVKRKGDH >ONI16233 pep chromosome:Prunus_persica_NCBIv2:G3:6436383:6437553:-1 gene:PRUPE_3G086900 transcript:ONI16233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGTLVESEFVYEQETHLTVSKTSVFFAGDGFIVYGCKGEVVLRVDSYAQDARDSCELVLMDPNGRCLLTVRRKRPSLHQRWEGFVGERTDGQKHIFSVRRSSMIGRSTVTVEVLGDPGEEYQIEGSFLQRSCTIFDAEKNLVAEIKRKVDASTHTMLGKDVFALCVKPGFDAAFAMGLVLVLDQINADDQINVDDYGDDGVVVEPTADD >ONI18414 pep chromosome:Prunus_persica_NCBIv2:G3:21774203:21775498:1 gene:PRUPE_3G214300 transcript:ONI18414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRRTASEGHIRGIGVRMDNSSARKKEKRKKKKVMDTLDRLTDQRLMAPEEQLRGIGARMNSSSVRKKEKRERRRRKKKCHEHLGSVEKPKAHGSRMTTKGYWSPHGQVKCKRKKKKKRS >ONI18450 pep chromosome:Prunus_persica_NCBIv2:G3:21893786:21895682:-1 gene:PRUPE_3G216800 transcript:ONI18450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSAFKMEHPLERRQAEAARIREKYPDRIPVVVERAEKSDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLGPEKAIFIFVKNILPPTAAMLSAIYEENKDEDGFLYMTYSGENTFGTF >ONI18449 pep chromosome:Prunus_persica_NCBIv2:G3:21893786:21895969:-1 gene:PRUPE_3G216800 transcript:ONI18449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSAFKMEHPLERRQAEAARIREKYPDRIPVVVERAEKSDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLGPEKAIFIFVKNILPPTAAMLSAIYEENKDEDGFLYMTYSGENTFGTF >ONI16911 pep chromosome:Prunus_persica_NCBIv2:G3:13047767:13049483:-1 gene:PRUPE_3G129200 transcript:ONI16911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNDKVSATSHDLLQAQAHVWNHIFQFINSMSLKCAVQLGIPDVIHSHGRPISLSNLISGLNVHPSKAHFISRLMRILVHSNFFAQDQQVQLPLLPNNNNNNENIVHQDLDDEEEEKAVVVYSLTPASRLLLEESPLSTTQFLLMILDPVVTDPLHLMGTWCQMNNHGNHDHPASPFEMAHGRPFWGLAAQQPKFGSLFNEAMEADSQLLARAVVEECEGVFEGLNSLVDVGGGTGTMAKAIAKAIAKAFPNINCTVFDQPHVVANLQGTHNLDFVGGDMFEKIPPANAIFLKWILHDWSDEESVKMLKKSREAILSKNEGGKVIILDINVSADNKKMDKKSIETQLMWDMLMMVDLNGKERSEAEWEKLFLTAGFSHYKITHTLA >ONI19693 pep chromosome:Prunus_persica_NCBIv2:G3:26171818:26174776:1 gene:PRUPE_3G292100 transcript:ONI19693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQTNDANLDGEAHDINRSDHRDEVEDEDYKSQPHTGDGASPGKIFIGGLARETTTAQFIKHFGKYGEIIDSVIMKDRKTGQPRGFGFVTYADPSVVDKVIDETHVINGKQVEIKRTIPRGAMGSKDFKTKKIFVGGIPTTVNEDEFSDFFSQFGEVKEHQIMRDHSTGRSRGFGFITFDTEQSVDELLDKGNKLEFAGAQVEIKKAEPKKPNLPAAPSKRYSDSRPAYGGGYGDSYGGFGGGGYGAAGGYRSTAAYGGRGGSAYGGYSGNEFGGYGVYGGGGGGGGIGAYRGESSIGYSGRYGGAYSRGYDLGGGYGGAGESYGGYGSAGGAAGGGYGSGYDAGFGGGYGGGSGASFYGSRGGYGGTGSGRYHPYGR >ONI19694 pep chromosome:Prunus_persica_NCBIv2:G3:26171818:26174776:1 gene:PRUPE_3G292100 transcript:ONI19694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTVKLTTLTGQTTEMRWRMKITSLNLILATEPVLAQFIKHFGKYGEIIDSVIMKDRKTGQPRGFGFVTYADPSVVDKVIDETHVINGKQVEIKRTIPRGAMGSKDFKTKKIFVGGIPTTVNEDEFSDFFSQFGEVKEHQIMRDHSTGRSRGFGFITFDTEQSVDELLDKGNKLEFAGAQVEIKKAEPKKPNLPAAPSKRYSDSRPAYGGGYGDSYGGFGGGGYGAAGGYRSTAAYGGRGGSAYGGYSGNEFGGYGVYGGGGGGGGIGAYRGESSIGYSGRYGGAYSRGYDLGGGYGGAGESYGGYGSAGGAAGGGYGSGYDAGFGGGYGGGSGASFYGSRGGYGGTGSGRYHPYGR >ONI19135 pep chromosome:Prunus_persica_NCBIv2:G3:24721523:24722630:1 gene:PRUPE_3G261000 transcript:ONI19135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPPGSSPSLMYSSAGSSRLNNILRGFFPLESADSLSFILTLLPLGPPLVCRVFPIQLQGDWLIPVLDVHGLPKLESTKGKLELCLLFLSSSFGKPEEEKVDSDVSATF >ONI18330 pep chromosome:Prunus_persica_NCBIv2:G3:21536116:21536963:1 gene:PRUPE_3G209600 transcript:ONI18330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSSSNILTWKDRLQIAIDAAQGLECLHYGCKPPIIHRDVKLTNILLNENVQAKLSDFALSRTFPSNDDTHILTVVAGTPGYLDPDVGVVLLEIISCRPVYSSRERERIHISRWVSPMLAEGDIYGIVDPRLERHFNTNTVWKAVEIVWQQRLLEQGRAIKLS >ONI17589 pep chromosome:Prunus_persica_NCBIv2:G3:18744635:18750764:1 gene:PRUPE_3G168500 transcript:ONI17589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIDEKTDLEYDEEIPFSSSSATSKMEALDFEMIHLHSRAELANSWISRHIRMRVPKSIYFVLIKAKINVLLPFGPLAILLHYLTGQHGWVFFFSLVGIVPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYQKVQVFNKSAAVVNSGLLLMAVMGLMFPAVLHSTHSEVHIGKSELSLSRFSSCVMLVAYASYLFFQLRSHRNLYSPIEEEGNQGGDDSDEEEAPEITHWEAIGWLAILTVWVSILSGYLVDAIQGASDSFNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGQRMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSDADD >ONI17584 pep chromosome:Prunus_persica_NCBIv2:G3:18744635:18750771:1 gene:PRUPE_3G168500 transcript:ONI17584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIDEKTDLEYDEEIPFSSSSATSKMEALDFEMIHLHSRAELANSWISRHIRMRVPKSIYFVLIKAKINVLLPFGPLAILLHYLTGQHGWVFFFSLVGIVPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYQKVQVFNKSAAVVNSGLLLMAVMGLMFPAVLHSTHSEVHIGKSELSLSRFSSCVMLVAYASYLFFQLRSHRNLYSPIEEEGNQGGDDSDEEEAPEITHWEAIGWLAILTVWVSILSGYLVDAIQGASDSFNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGQRMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSDADD >ONI17587 pep chromosome:Prunus_persica_NCBIv2:G3:18745420:18750767:1 gene:PRUPE_3G168500 transcript:ONI17587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIDEKTDLEYDEEIPFSSSSATSKMEALDFEMIHLHSRAELANSWISRHIRMRVPKSIYFVLIKAKINVLLPFGPLAILLHYLTGQHGWVFFFSLVGIVPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYQKVQVFNKSAAVVNSGLLLMAVMGLMFPAVLHSTHSEVHIGKSELSLSRFSSCVMLVAYASYLFFQLRSHRNLYSPIEEEGNQGGDDSDEEEAPEITHWEAIGWLAILTVWVSILSGYLVDAIQGASDSFNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGQRMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSDADD >ONI17585 pep chromosome:Prunus_persica_NCBIv2:G3:18744635:18750774:1 gene:PRUPE_3G168500 transcript:ONI17585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIDEKTDLEYDEEIPFSSSSATSKMEALDFEMIHLHSRAELANSWISRHIRMRVPKSIYFVLIKAKINVLLPFGPLAILLHYLTGQHGWVFFFSLVGIVPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYQKVQVFNKSAAVVNSGLLLMAVMGLMFPAVLHSTHSEVHIGKSELSLSRFSSCVMLVAYASYLFFQLRSHRNLYSPIEEEGNQGGDDSDEEEAPEITHWEAIGWLAILTVWVSILSGYLVDAIQGASDSFNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGQRMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSDADD >ONI17586 pep chromosome:Prunus_persica_NCBIv2:G3:18744527:18750794:1 gene:PRUPE_3G168500 transcript:ONI17586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIDEKTDLEYDEEIPFSSSSATSKMEALDFEMIHLHSRAELANSWISRHIRMRVPKSIYFVLIKAKINVLLPFGPLAILLHYLTGQHGWVFFFSLVGIVPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYQKVQVFNKSAAVVNSGLLLMAVMGLMFPAVLHSTHSEVHIGKSELSLSRFSSCVMLVAYASYLFFQLRSHRNLYSPIEEEGNQGGDDSDEEEAPEITHWEAIGWLAILTVWVSILSGYLVDAIQGASDSFNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGQRMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSDADD >ONI17591 pep chromosome:Prunus_persica_NCBIv2:G3:18744635:18750820:1 gene:PRUPE_3G168500 transcript:ONI17591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIDEKTDLEYDEEIPFSSSSATSKMEALDFEMIHLHSRAELANSWISRHIRMRVPKSIYFVLIKAKINVLLPFGPLAILLHYLTGQHGWVFFFSLVGIVPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYQKVQVFNKSAAVVNSGLLLMAVMGLMFPAVLHSTHSEVHIGKSELSLSRFSSCVMLVAYASYLFFQLRSHRNLYSPIEEEGNQGGDDSDEEEAPEITHWEAIGWLAILTVWVSILSGYLVDAIQGASDSFNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGQRMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSDADD >ONI17588 pep chromosome:Prunus_persica_NCBIv2:G3:18744635:18750774:1 gene:PRUPE_3G168500 transcript:ONI17588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIDEKTDLEYDEEIPFSSSSATSKMEALDFEMIHLHSRAELANSWISRHIRMRVPKSIYFVLIKAKINVLLPFGPLAILLHYLTGQHGWVFFFSLVGIVPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYQKVQVFNKSAAVVNSGLLLMAVMGLMFPAVLHSTHSEVHIGKSELSLSRFSSCVMLVAYASYLFFQLRSHRNLYSPIEEEGNQGGDDSDEEEAPEITHWEAIGWLAILTVWVSILSGYLVDAIQGASDSFNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGQRMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSDADD >ONI17583 pep chromosome:Prunus_persica_NCBIv2:G3:18745028:18750794:1 gene:PRUPE_3G168500 transcript:ONI17583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIDEKTDLEYDEEIPFSSSSATSKMEALDFEMIHLHSRAELANSWISRHIRMRVPKSIYFVLIKAKINVLLPFGPLAILLHYLTGQHGWVFFFSLVGIVPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYQKVQVFNKSAAVVNSGLLLMAVMGLMFPAVLHSTHSEVHIGKSELSLSRFSSCVMLVAYASYLFFQLRSHRNLYSPIEEEGNQGGDDSDEEEAPEITHWEAIGWLAILTVWVSILSGYLVDAIQGASDSFNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGQRMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSDADD >ONI17590 pep chromosome:Prunus_persica_NCBIv2:G3:18744635:18750775:1 gene:PRUPE_3G168500 transcript:ONI17590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIDEKTDLEYDEEIPFSSSSATSKMEALDFEMIHLHSRAELANSWISRHIRMRVPKSIYFVLIKAKINVLLPFGPLAILLHYLTGQHGWVFFFSLVGIVPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFTGGIVHYQKVQVFNKSAAVVNSGLLLMAVMGLMFPAVLHSTHSEVHIGKSELSLSRFSSCVMLVAYASYLFFQLRSHRNLYSPIEEEGNQGGDDSDEEEAPEITHWEAIGWLAILTVWVSILSGYLVDAIQGASDSFNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWIMGQRMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSDADD >ONI19544 pep chromosome:Prunus_persica_NCBIv2:G3:25775919:25780151:1 gene:PRUPE_3G284100 transcript:ONI19544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVATVTQHDQWKVESQVFRIYDLFGNLPPNAQSVMIELQRDNHIEYLTRGLRQLPPSFCTLDASRPWLCYWILHSLALLGESVDDELEHNTIDFLSRCQDPSGGYGGGPGQLPHLATTYAAVNALITVGGDQALSSINRCELYKFLQQMKHPSGGFRMHDGGEIDVRACYTAISVASILNILDDDLVHNVGSFILSCQTYEGGISGEPGSEAHGGYTFCGVATMILINQINRLDLPRLIDWLVFRQGKEFGFQGRTNKLVDGCYSFWQGGVFPLLQRVRSIIDEQLVLPDAGGHSTTNGTHTSTTSSISEVGESFEGTSSHVDDACHSRQEVNFNDIGYNFVKRPIEMEPLFHSLALQQYILLCSQEEKGGMKDKPGLSRDFYHTCYCLSGLSVCQHMWSDEEDSPVLPRALMGSYSNLLEPIHPLFNVVLEQYHEAREFFTRD >ONI15511 pep chromosome:Prunus_persica_NCBIv2:G3:3319820:3322262:1 gene:PRUPE_3G046600 transcript:ONI15511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMTSVSVSKKQKATTGTVSVEQTDLSNTIKSDEPNKMIIEESMSCKKRKAGQLKLGTNASFKNIEGRIDSSIEKFNPIISLPEPKDLFSPRYPSELDAAAIKLQKFYKSYRTRRSLADCAVVVEELWWKALDFAALKRSSVSFFESDKSETAVSRWARARTRAAKVGKGLSKDEKAQKLALRHWLEAIDPRHRYGHNLHMYYDIWFNSGSSQPFFYWLDVGDGKEENLEECSRTDLQRQCIQYLGPKEREAYEVIVESGKLMYRQSGNLVSTAKGSKWIFVLSASRNLYVGEKKKGLFQHSSFVSGGATIASGRLVACNGVLEAVWCYSGHYRPTQESLLEFISFLEEQQMDMTDVKTCPTDDDVPPSYATKKEMNSESITSTLEETKENEAARDTAAANVEPQKFELGRPLSCKWSTGAGPRIGCVRDYPAQLQSQALEQVNLSPRVTPEISVSNAPIPSPRPSPKIHLSPRLAYMGLPSPKVT >ONI15182 pep chromosome:Prunus_persica_NCBIv2:G3:2185000:2185706:-1 gene:PRUPE_3G029100 transcript:ONI15182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDDEELELRELQGSPNALGFSDHFTTNGDHACSLCKDLLAKFPPNSVKMKQPFCM >ONI18238 pep chromosome:Prunus_persica_NCBIv2:G3:21243978:21245708:1 gene:PRUPE_3G204200 transcript:ONI18238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHWIFVFFLLVSTAKVQSSVFDVTSAAYGGKPNSDITQALANAWRDACASTWPAKVVIPQGTYYTRGAILQGPCKAPIDVQVQGNFKAPLDSKYLTKQDSWVGFQYVDRLTLYGGGTFDGQNNCYKNKYCKTTQAVNLRLDFVTNSMIRDITSLDSKNFHINVLGCRNVTFQYVTITAPEDSINTDGIHIGRSFGITIDHTTIGTGDDCISLGDGSQRIIMTNVTCGPGHGISIGSLGKYQSEDPVAGVLVKNCTLTNTQNGLRIKTWPGSPAFGIATHIHFQDIAMVNVGNPIFIDQQYCPYSQCAQIAPSTVQISSVTFANIKGTSATPIAVQLMCSRKLPCQNVGLEDIDITYSGDKGSLTSQCAYVKPQFTRVANPLACATNPFKS >ONI19311 pep chromosome:Prunus_persica_NCBIv2:G3:25203562:25206298:1 gene:PRUPE_3G271100 transcript:ONI19311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSSSSPMSENQRPSLPATSITDVNVDSLAQCAGYLSLRDLSNMSMTCTYLKKVAYSDSIWQRWFRESWPRQTPPATSQTAGVREAYLCRRKDLLQFKFINPLVADFYTNSSPFDHVLLDNNNIIFSQGSMIQVITIDSWLSGVNSPVTLSDHNARITCMRLFPLNETSLYRREAQNEENVLVTSSSDHSIRLWWKGSCRRCFRGHSGPVSALSDKLLGNGAGKVLASGGEDGTVRLWSLSSSGKRGQHALKATFYGHEKPIRLMSVAGHNTSLLVSVSRDSKVRVWDTNTSSSVRSSCCVGMTSVLGAPIDIKCHEQLVYVAAGSSVMAIDLRTMQKVVIATVDAKLYSFQATPSKSLFCVGSNGRAMLYDIRKNQGTLKSEPIAELDGGHTAPLTYLHMDPYKIVTGSPEDFYVNVWEANTGAKTNSLDCSHPDETSTSFGCRALAVNGCRIVTGIANYDEDVGCLCFRDFTNASCPVFNREDDHVAKFWNPQSYSDSDSSYD >ONI18497 pep chromosome:Prunus_persica_NCBIv2:G3:22033259:22036293:-1 gene:PRUPE_3G219100 transcript:ONI18497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYSVPSMIMEEEGRFEAEVADVQAWWGSERFKLTKRPYAAKDVVALRGTLRQSYGSNEMAKKLWRTLKTHQANGTSSRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQKEARMSMSREERARTPYIDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVSVGEHINRLVAARLQFDVMGTETVLVARTDAVGATLIQTNVDTRDHQFIFGVTNPNLRGKSLATLLAEAMAAGKTGAELQALEDNWISMAQLKTFSECVTDAIKAMNFVEHEKRRRLNEWINHSSPDKCLSNEKGREIAERLGLKNLFWDWDLPRTREGFYRFKGSVMAAVVRGWAFADHADIIWMETASPDMVECTQFAEGVKSLQPEVMLAYNLSPSFNWDASGMTDDQMKDFIPRIAKLGYCWQFITLAGFHADALVVDTFAKDYARRGMLAYVERIQREERNNGVDTLAHQKWSGANFYDRYLKTVQGGISSTAAMGKGVTEEQFKESWTRSGSTDLSEGNVVIAKARM >ONI17305 pep chromosome:Prunus_persica_NCBIv2:G3:16536278:16539853:-1 gene:PRUPE_3G151000 transcript:ONI17305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASSLCSLHVLQPSIRSSCSRRHHVMQVRAQSLDEGRSRNIVDANLSVLREKMEVIKMRERLEKCCNNQHQQYGWNYAVGYNYKLRRAREVSTFFELMRLVCVTVGATCFTATLCLVLVSLMVNLNQ >ONI17050 pep chromosome:Prunus_persica_NCBIv2:G3:14208845:14212755:1 gene:PRUPE_3G135000 transcript:ONI17050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFRNFVANCGLLDLGFVGYPFTWSNRREGERIQERLDRALASSSWVHRFGEAKVSHHLVSGSDHAVILLEVEPVQVTHRGRFIYDHRWGKEVGCRTAVQDSWRWKCRGSACYRLVEKLKAARGNMRQWRRGAKTNTQCRIREINKELQISYQAPGFDRSKVCALERDLKVGDKNTKFFHAKTVTRRRRNKIRGLENELGEWHDDEKGIQDIAVKYFDQLFTSAASLQFEEIINCVDHRVSEQHNFELTREVTATEIKEAIFQIPPTRPDGLTGEDVVAAVLAFFRTGYILKKINHTHVVLIPKVASPTRMTQLRPISLCNVIYKVISKVLTNRLKRVLPHFISPNQTAFVSGQQITDNVLIVHKVLHSLKTKTRGLESDVVVKLDMAKAYDRVEWPFLLAMMRKLGIMVNGTPTGFIQPQRGLRQGDPLSPYLFLICTEGMTALIQHFAGRELLHGIKVSSSSPPLSHLLFADDSILFCKASEGEMDMVKHVLDLYAKGSGQQVNFDKSSLFFSTNCSQETRDRLARSLNIPQGRGFGKYLGLKFEFGHSKKEVFEEIREKVATRVHGWAENFLTTAGNEVLLKAVALALPTYTMFCFLLSQGVCADILRALSSFWWGSQGTGKGLHWAKWKTLTQRKEWGLDFKDLFCFNRAMLAKIGRRLLSQPNSLLHQYFKRPAGVNAGLRWCIGDGKSVHVTRDPWLPMPYHFKVRTPSPLLPEKVSDLIDPVLRQWDVQTVCHVFNEEADSILAMALSRFGCPDHLMWHFTKNGLYTVKSGYRVAVELDSNGLLGRRGGGRTSVDRGGRRLWKSIWGLNVASKIRHFTKELGTETTPVHGGGGEHRLMGTQKWSCPPMAFAKINCDGAWTSQILRGGWGWVMRDAAGVFKGPGVEGGVRCGAAIVAEAEALQAGLCAGMDQGWQRVVLESDSKLMIDM >ONI15959 pep chromosome:Prunus_persica_NCBIv2:G3:5122698:5127856:1 gene:PRUPE_3G071300 transcript:ONI15959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPALVCFGDKQRFIGTAGAASSLMNPKNTISQIKRLIGRQFSDPVVQRDIKSLPFAVTEGPDGYPLIHARYLGESRTFTPTQVLGMLFSDLKIIAEKNLNAAVVDCCIGIPVYFTDLQRRAVMDAATIAGLHPLRLFHETTATALAYGIYKTDLPENEQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDQSLGGRDFDEVLFHHFAAKFKEEYKIDVFQNARACLRLRVACEKLKKMLSANPEAPLNIECLMEEKDVRGFIKRDEFEQISVPILERVKGPLEKALLDAQLSIENIHTVEVVGSGSRVPAIIKILTDFFKKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNESFPCSIALSWKGSGPDTQNGAVDNNQSTIVFPKGNPIPSIKALTFYRSGTFSVDVQYADVSDLQAPAKISTYTIGPFQSTKGERAKLKVKARLNLHGIVSIDSATLLEEEEIEVPVTKEQPKEAAKMETDEAPSDAAPPSTNETDVNMQDAKATADALDAENGVPESGDKPVQMETDTKADAPKRKVKKTNIPVVELVYGGMPPSDVQKAIEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYQEFVTEPEREAFIARLQEVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEHTERGTVIDQLGYCINSYREAAMSTDAKFEHIDISDKQKVLNECVEAEAWLREKKQQQDSLPKYANPVLLSADVRRKAEALDRFCRPIMTKPKPAPAKPAAPETPTPPPQGNEHQPQGGDANANAGSNENPADGSNEVPQASEEPMETDKPEAPQSSA >ONI15960 pep chromosome:Prunus_persica_NCBIv2:G3:5122783:5127856:1 gene:PRUPE_3G071300 transcript:ONI15960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPALVCFGDKQRFIGTAGAASSLMNPKNTISQIKRLIGRQFSDPVVQRDIKSLPFAVTEGPDGYPLIHARYLGESRTFTPTQVLGMLFSDLKIIAEKNLNAAVVDCCIGIPVYFTDLQRRAVMDAATIAGLHPLRLFHETTATALAYGIYKTDLPENEQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDQSLGGRDFDEVLFHHFAAKFKEEYKIDVFQNARACLRLRVACEKLKKMLSANPEAPLNIECLMEEKDVRGFIKRDEFEQISVPILERVKGPLEKALLDAQLSIENIHTVEVVGSGSRVPAIIKILTDFFKKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNESFPCSIALSWKGSGPDTQNGAVDNNQSTIVFPKGNPIPSIKALTFYRSGTFSVDVQYADVSDLQAPAKISTYTIGPFQSTKGERAKLKVKARLNLHGIVSIDSATLLEEEEIEVPVTKEQPKEAAKMETDEAPSDAAPPSTNETDVNMQDAKATADALDAENGVPESGDKPVQMETDTKADAPKRKVKKTNIPVVELVYGGMPPSDVQKAIEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYQEFVTEPEREAFIARLQEVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEHTERGTVIDQLGYCINSYREAAMSTDAKFEHIDISDKQKVLNECVEAEAWLREKKQQQDSLPKYANPVLLSADVRRKAEALDRFCRPIMTKPKPAPAKPAAPETPTPPPQGNEHQPQGGDANANAGSNENPADGSNEVPQASEEPMETDKPEAPQSSA >ONI15961 pep chromosome:Prunus_persica_NCBIv2:G3:5122507:5127856:1 gene:PRUPE_3G071300 transcript:ONI15961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPALVCFGDKQRFIGTAGAASSLMNPKNTISQIKRLIGRQFSDPVVQRDIKSLPFAVTEGPDGYPLIHARYLGESRTFTPTQVLGMLFSDLKIIAEKNLNAAVVDCCIGIPVYFTDLQRRAVMDAATIAGLHPLRLFHETTATALAYGIYKTDLPENEQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDQSLGGRDFDEVLFHHFAAKFKEEYKIDVFQNARACLRLRVACEKLKKMLSANPEAPLNIECLMEEKDVRGFIKRDEFEQISVPILERVKGPLEKALLDAQLSIENIHTVEVVGSGSRVPAIIKILTDFFKKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNESFPCSIALSWKGSGPDTQNGAVDNNQSTIVFPKGNPIPSIKALTFYRSGTFSVDVQYADVSDLQAPAKISTYTIGPFQSTKGERAKLKVKARLNLHGIVSIDSATLLEEEEIEVPVTKEQPKEAAKMETDEAPSDAAPPSTNETDVNMQDAKATADALDAENGVPESGDKPVQMETDTKADAPKRKVKKTNIPVVELVYGGMPPSDVQKAIEKEFEMALQDRVMEETKDKKNAVEAYVYDMRNKLNDKYQEFVTEPEREAFIARLQEVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEHTERGTVIDQLGYCINSYREAAMSTDAKFEHIDISDKQKVLNECVEAEAWLREKKQQQDSLPKYANPVLLSADVRRKAEALDRFCRPIMTKPKPAPAKPAAPETPTPPPQGNEHQPQGGDANANAGSNENPADGSNEVPQASEEPMETDKPEAPQSSA >ONI17366 pep chromosome:Prunus_persica_NCBIv2:G3:17025006:17027324:-1 gene:PRUPE_3G154400 transcript:ONI17366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFETMEEARNYYEEYGRQEGFWIRTRFSSKTRRHLDMVMSRQFVCAHEGKYAPKNTSQKALEENDERDINSHNHKPVTPERRLKMKSNRVMPKAAKILTETFHEENLPISKVPSILGGPQIGFNNRDCYNHLRNVQHRQLDGGRSKLRILNFFYAIQCDENGRTTNFFWVDAQSRMAYHYFGDVVTFDTTYRTNEYDMPFAPFTGVNHHLQSIQFGCALLQDETEEFEEKWKELMKECELANDDWLNSLYDIHSSWVPVYNHNIFFAGMNTTGRSEGINSFFDGFITPTTNLREFVVKYEQALKRIMDRESDEDFESEHRYRIVNEGEFLLKHASKFYTRNVFNKFKDEWSKVTLFKVEEISCDDEYHAYLVKTKLGEHEEFVVKLNLQTYKGMCECQNFEFVGILCRHLLKVFVRLDTDTLLDHFILPRWRQEANKFRIIDFKSLVTNDGKEESEALRLSHMCHRATKLACIAASSNEAYTTSMEAINELSKKLSDNFTQHATIPSSITSDPCSTNIDSSQLLLLDPNISQTKGRKKDNISGSKRIKSGIELAQNKKKRKVRRLVARYGE >ONI19965 pep chromosome:Prunus_persica_NCBIv2:G3:26854111:26856509:-1 gene:PRUPE_3G308200 transcript:ONI19965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYQMFFPCSSSTSSANYDPMIPISATNNITTDGHHMGMGSSQVYNYFDGRDQSSNGLLGLRSSAGNHVGREVLINKDHHQYLQQQYSDLTTTASANININNVIVGADQNPHEATNSGNKNKGEKKTRKPKYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTYQGCNVKKQVQRLTKDEGIVVTTYEGMHTHPIEKPSDNFEHILNQMQIYTPF >ONI15986 pep chromosome:Prunus_persica_NCBIv2:G3:5197795:5198559:1 gene:PRUPE_3G072400 transcript:ONI15986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNAGAKWYFCIIFNFCTHFLSLLSPASIPLFHSCFSVRKKYATPLLSLQSLLHHLLISPLSFPNIFKLKMKK >ONI15758 pep chromosome:Prunus_persica_NCBIv2:G3:4224249:4225451:-1 gene:PRUPE_3G059600 transcript:ONI15758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSNSSLSSLSRRQPWKLINIADLPDAVLIEVVCRLSCSKLIFRCKCVCKRWLTLISDPYFVDHYLRHQSDKKRHIIRTLIYAREYVVRLRFHPWTNPTVVATYNDLMLCYGNLNRYCICNPCTMQWVALPRIPTYTVHRRSEVRVGFICDTPCYNYKEDEHEDQKGDVMQHNAAYRCKVVRIRPPEKGYYHFSFEWKVDIFSSETGEWRKAVVLSPRAITLNGLGFPHQGILYWLGREENGCSIIFGLDPFSDKPKCFFIALDEPLNDLRHFGVCGGCIRMCGLDMATRSLIVFYLKTEEDGKLCLSKRMVYSLDQNMYLDNESNVSLRPFDPNDEDVCYIYVYGECVRYKYNFRTRKWSTIVEEIPHQISYSFVHVQPWWPTPVPRLPQRACPAESN >ONI16492 pep chromosome:Prunus_persica_NCBIv2:G3:7919237:7922905:1 gene:PRUPE_3G101900 transcript:ONI16492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNVSVRESTLVRPAAETPKHALWNSNVDLVIPSIHTPSVYFYRPNQNGVSSNFFDPAVLKHALSKALVPFYPMAGRLKRDDDGRIEIDCNGEGVLFVEAETSSVIDDFGDFAPTLELRRLIPAVDYSAGISSYALLVLQVTYFKCGGVSLGVGMQHHAADGFSGLHFVNTWSDVARGLDITLPPFIDRTLLRARDPPQPAFHHIEYQPAPPMKTPPQSTKPGADSTTVSIFRLTREQLNILKAKSKEAGNTVSYSSYEMMAGHIWRCVCKARELPNDQDTKLYIATDGRSRLQPPLPPGYFGNVIFTATPIAAAGDLQSKPTWFAASRIHDSLVRMDDNYLRSALDYLELQPDLSALVRGAHTFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIAFEGLAFVIPSATNDGSLSVAISLQSEHMKSFSKLLYDI >ONI19686 pep chromosome:Prunus_persica_NCBIv2:G3:26138756:26141615:-1 gene:PRUPE_3G291700 transcript:ONI19686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNQEVAEANRLVKDLTSLNSKPLASAIGSQRLISQYMSNLGAEVSHHKFHPQLNQFHPLHFFSSPDSGRVEQNISCALHGVNTVGIIRAPRGDGKEAIVLVTPFNSVKLNQNEALSLAIAYSVFSLLTRVPWLAKDVIWLVADSQFGEYSGVAAWLRDYHTPVFSGLGTLDAEMCPESNNIYGLNENLSTKRTIYDGFKRAGTMAAALVIKVADRSEQYEDSLSIYAEASNGQMPNLDLINIVNYLAVHRQGLRVKVEKFWPLLGLKWLKTLGEIFESLGHLARSVNPQWKFGIPAADYIEGSATLASSLYYQALGVPTGPHGAFRDYQVDAITLEIFPKVSSLDNKVRKNDFLLRSGRLIEGVIRSVNNLLEKFHQSFFLYLLTSPGKFVSVGVYMIAFALLVAPLPMVAASLYVDASNSDYSLKIDKPAPFANASDEPCITLKSWKWLFAAKKVFFVHLWGSVVSLLPYFICQIPSCTPTTSFIIWVFLSILSLVVMYIVLASPSSHANESQPQRGEWAILKSVTLSAAFIGLCLMSVINFASAEIGGLLMVPMCLMAHPLKLDVKARSIRTVSRVVYNLVLGFIGFPPIAFIVLKGAFEGFSGVNVGDFWSWVESLWAWNSATYLYIGMVYLPCWVLCIHILFHRC >ONI19685 pep chromosome:Prunus_persica_NCBIv2:G3:26138756:26141615:-1 gene:PRUPE_3G291700 transcript:ONI19685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNQEVAEANRLVKDLTSLNSKPLASAIGSQRLISQYMSNLGAEVSHHKFHPQLNQFHPLHFFSSPDSGRVEQNISCALHGVNTVGIIRAPRGDGKEAIVLVTPFNSVKLNQNEALSLAIAYSVFSLLTRVPWLAKDVIWLVADSQFGEYSGVAAWLRDYHTPVFSGLGTLDAEMCPESNNIYGLNENLSTKRTIYDGFKRAGTMAAALVIKVADRSEQYEDSLSIYAEASNGQMPNLDLINIVNYLAVHRQGLRVKVEKFWPLLGLKWLKTLGEIFESLGHLARSVNPQWKFGIPAADYIEGSATLASSLYYQALGVPTGPHGAFRDYQVDAITLEIFPKVSSLDNKVRKNDFLLRSGRLIEGVIRSVNNLLEKFHQSFFLYLLTSPGKFVSVGVYMIAFALLVAPLPMVAASLYVDASNSDYSLKIDKPAPFANASDEPCITLKSWKWLFAAKKVFFVHLWGSVVSLLPYFICQIPSCTPTTSFIIWVFLSILSLVVMYIVLASPSSHANESQPQRGEWAILKSVTLSAAFIGLCLMSVINFASAEIGGLLMVPMCLMAHPLKLDVKARSIRTVSRVVYNLVLGFIGFPPIAFIVLKGAFEGFSGVNVGDFWSWVESLWAWNSATYLYIGMVYLPCWVLCIHILFHRC >ONI19684 pep chromosome:Prunus_persica_NCBIv2:G3:26138756:26142079:-1 gene:PRUPE_3G291700 transcript:ONI19684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEKESESESETPLIPRARPKPRPIVQLGIFLISHSLLFSKHRLVSFCSVVFSTAGVLALLLLPVLAKNTYISENALMPGSVAPMLSNQEVAEANRLVKDLTSLNSKPLASAIGSQRLISQYMSNLGAEVSHHKFHPQLNQFHPLHFFSSPDSGRVEQNISCALHGVNTVGIIRAPRGDGKEAIVLVTPFNSVKLNQNEALSLAIAYSVFSLLTRVPWLAKDVIWLVADSQFGEYSGVAAWLRDYHTPVFSGLGTLDAEMCPESNNIYGLNENLSTKRTIYDGFKRAGTMAAALVIKVADRSEQYEDSLSIYAEASNGQMPNLDLINIVNYLAVHRQGLRVKVEKFWPLLGLKWLKTLGEIFESLGHLARSVNPQWKFGIPAADYIEGSATLASSLYYQALGVPTGPHGAFRDYQVDAITLEIFPKVSSLDNKVRKNDFLLRSGRLIEGVIRSVNNLLEKFHQSFFLYLLTSPGKFVSVGVYMIAFALLVAPLPMVAASLYVDASNSDYSLKIDKPAPFANASDEPCITLKSWKWLFAAKKVFFVHLWGSVVSLLPYFICQIPSCTPTTSFIIWVFLSILSLVVMYIVLASPSSHANESQPQRGEWAILKSVTLSAAFIGLCLMSVINFASAEIGGLLMVPMCLMAHPLKLDVKARSIRTVSRVVYNLVLGFIGFPPIAFIVLKGAFEGFSGVNVGDFWSWVESLWAWNSATYLYIGMVYLPCWVLCIHILFHRC >ONI19683 pep chromosome:Prunus_persica_NCBIv2:G3:26138675:26142270:-1 gene:PRUPE_3G291700 transcript:ONI19683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEKESESESETPLIPRARPKPRPIVQLGIFLISHSLLFRLVSFCSVVFSTAGVLALLLLPVLAKNTYISENALMPGSVAPMLSNQEVAEANRLVKDLTSLNSKPLASAIGSQRLISQYMSNLGAEVSHHKFHPQLNQFHPLHFFSSPDSGRVEQNISCALHGVNTVGIIRAPRGDGKEAIVLVTPFNSVKLNQNEALSLAIAYSVFSLLTRVPWLAKDVIWLVADSQFGEYSGVAAWLRDYHTPVFSGLGTLDAEMCPESNNIYGLNENLSTKRTIYDGFKRAGTMAAALVIKVADRSEQYEDSLSIYAEASNGQMPNLDLINIVNYLAVHRQGLRVKVEKFWPLLGLKWLKTLGEIFESLGHLARSVNPQWKFGIPAADYIEGSATLASSLYYQALGVPTGPHGAFRDYQVDAITLEIFPKVSSLDNKVRKNDFLLRSGRLIEGVIRSVNNLLEKFHQSFFLYLLTSPGKFVSVGVYMIAFALLVAPLPMVAASLYVDASNSDYSLKIDKPAPFANASDEPCITLKSWKWLFAAKKVFFVHLWGSVVSLLPYFICQIPSCTPTTSFIIWVFLSILSLVVMYIVLASPSSHANESQPQRGEWAILKSVTLSAAFIGLCLMSVINFASAEIGGLLMVPMCLMAHPLKLDVKARSIRTVSRVVYNLVLGFIGFPPIAFIVLKGAFEGFSGVNVGDFWSWVESLWAWNSATYLYIGMVYLPCWVLCIHILFHRC >ONI19682 pep chromosome:Prunus_persica_NCBIv2:G3:26138675:26142270:-1 gene:PRUPE_3G291700 transcript:ONI19682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEKESESESETPLIPRARPKPRPIVQLGIFLISHSLLFSVVFSTAGVLALLLLPVLAKNTYISENALMPGSVAPMLSNQEVAEANRLVKDLTSLNSKPLASAIGSQRLISQYMSNLGAEVSHHKFHPQLNQFHPLHFFSSPDSGRVEQNISCALHGVNTVGIIRAPRGDGKEAIVLVTPFNSVKLNQNEALSLAIAYSVFSLLTRVPWLAKDVIWLVADSQFGEYSGVAAWLRDYHTPVFSGLGTLDAEMCPESNNIYGLNENLSTKRTIYDGFKRAGTMAAALVIKVADRSEQYEDSLSIYAEASNGQMPNLDLINIVNYLAVHRQGLRVKVEKFWPLLGLKWLKTLGEIFESLGHLARSVNPQWKFGIPAADYIEGSATLASSLYYQALGVPTGPHGAFRDYQVDAITLEIFPKVSSLDNKVRKNDFLLRSGRLIEGVIRSVNNLLEKFHQSFFLYLLTSPGKFVSVGVYMIAFALLVAPLPMVAASLYVDASNSDYSLKIDKPAPFANASDEPCITLKSWKWLFAAKKVFFVHLWGSVVSLLPYFICQIPSCTPTTSFIIWVFLSILSLVVMYIVLASPSSHANESQPQRGEWAILKSVTLSAAFIGLCLMSVINFASAEIGGLLMVPMCLMAHPLKLDVKARSIRTVSRVVYNLVLGFIGFPPIAFIVLKGAFEGFSGVNVGDFWSWVESLWAWNSATYLYIGMVYLPCWVLCIHILFHRC >ONI14735 pep chromosome:Prunus_persica_NCBIv2:G3:305332:312641:-1 gene:PRUPE_3G005000 transcript:ONI14735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLASSIISKSGKVLVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIESLYLLLVTNKQSNILEDLETLRLLSKLVPEYSLSLDEEGICRTAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMKRKASEIDKSKIDRGRVEKGGFMSLQSMGSGRIESAFSDMSISSSGSGGFGGGSGFGLSTDIDSFSTKTKGRPPSSATAPPKGLGMQLGKSQRTNQFLESLKAEGEVILEDVQPKAGQSKSAAPPLTDPVTLNVEEKLNVTLKRDGGMSNFDVQGTLSLQILNQEDALIQVQIETGANPGILFKTHPNMNKELFSNENILGLRDPSRPFPTGQAGDAGGVGLLKWRMQTTDESMVPLTINCWPSVSGNETYVNIEYEASQMFDLRNVVISVPLPALREAPRVSQVDGEWRYDSRNSTLEWSILLVDNSNRSGSMEFVVPPADSSVFFPISVRFSATSTFSDLKVVNILPLQGGNPPKFSQRTQLITENYQVV >ONI15573 pep chromosome:Prunus_persica_NCBIv2:G3:3559117:3561158:-1 gene:PRUPE_3G050300 transcript:ONI15573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLENLLLEAAGRTSSAGKSRHSHSSSRRRRGGSYSDGGSDSRGDDSDDGRGYASRKPSGTQVPLKKRLDPTEMDDEQGSQEEGDYNDSGSDRGGDSNESDVGSDLYKDEDDRRKLAEMSELQRELILSDRAQKKDDKSLKEKFRPKWDKGKTTHSRKETPPLPSSRVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGSSGSRSFSHMTRKSFTAVGRSSSSQSDSDSRSHSEDDGSTGEDPMMDSDDERSEGLTFDDIKEITIRRSKLAKWLMEPFFEELIVGCFVRVGIGRSKSGPIYRLCMVRNVDASDPDRKYKLENKTTHKYLNVVWGNENSAARWQMAMISDSAPLEEEFKQWVREVERSGGRLPSKQDVLEKKEAIKKINTFVYSAATVKQMIEEKKSASSRPLNIALEKDRLRRELEVAQSKHDDAEVERIKTRLQQLEARRQSQGKDSKAVRLAEMNRKNRVENFKNASELKPVKTGLKAGEAGYDPFSRRWTRSRNYYVSKPGEQKGEAEAIVDADGALADIESNGTAVVGAVRAGMAATAAALEAAAGAGKLIDTSAPVDFGTESNLMHNFELPISLATLQKFGGPQGAQEGFLARKQRIEATVGCRVPENDGRRHALTLTVSDYKRRMGLL >ONI16226 pep chromosome:Prunus_persica_NCBIv2:G3:6384883:6387111:1 gene:PRUPE_3G086200 transcript:ONI16226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSYLCFLLVFSTTTFLNVDASFTGTYGVNYGRIADNIPSPHSVVTLLKAAKIKNIRIYDADHEVLTAFKGSGIQIIVGLGNGLLKDISVAEDRAMNWINENVQPYIPGTHISGIAVGNEILGGTDVELWEALLPAAKNVYSALQRLGLAKSIEVSSPHSEAVFASSYPPSACIFREDVAQYINPLLEFFSQINSPFYINAYPFLAYKSDPEHIDLNYALFKKNAGIQDTKTNLHYDNMFDAMVDAAYFALEKAGFEKTEVIVSETGWASKGDENEAGADPKNARTYNYNLRKRLMKKKGTPHRPKMEVKAYIFALFNENLKPGPTSERNFGLFKADGSISYDIGFTGLVGPSAASSSLLNYKGLGLRGWFQSSHTWVFTSCAAVLFLMLT >ONI19418 pep chromosome:Prunus_persica_NCBIv2:G3:25556417:25557937:-1 gene:PRUPE_3G278900 transcript:ONI19418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFLIVFLTSLLTLTTLPAAESRLYSNYYQKSCPRFNQIVQDTVTNKQITSPTTAAATLRLFFHDCLHNGCDASILVSSTPFNKAERDADINLSLPGDAFDVVVRAKTALELACPNTVSCADILAVATRDLVTMMGGPYYNVPLGRRDGRVSKASAVEGTLPRPAMPVSQLIQVFGSRGFSVQEMVALSGAHTIGFTHCSEFSSAIYNYSKSEQYDPQYNPRFAAGLQQACADYHKNPTMSVFNDVMTPNKFDNVYFQNLPKGLGLLKSDHALLNDPRTRPFVELYAKDQNTFFQAFARAMEKLGLHGIQTGRRGEIRHRCDEFN >ONI15360 pep chromosome:Prunus_persica_NCBIv2:G3:2823227:2827724:1 gene:PRUPE_3G039200 transcript:ONI15360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKQIMGNSLMDKSQFVSSPSKLFLSQNQFLVRPSLVPSQRRREHLRKANRGTVAAISEDLVKIVPVFSAEKPVKFKVRAVVTVRNKIKEDLKETFSKHLDALTDKIGRNVALELISTEIDPRTKAPKKSSEGVLKDWSKKSNLKAERVNYTAEFMVDSNFGIPGAITVTNKHQKEFFLETITLEGFACGPLHFPVNSWMQSKKDHPEKRIVFCNKPYLPNQTPEGLRELRQKELKNLRGDGNGVRKLSDRIYDYALYNDLGNPDKGIDLARPTVGGQKFPYPRRCRTGRLPTDTDMSAESRVEKPLPMYVPRDEQFEESKMDTFSFGRLKGVLHNLIPSLKSSFKGDKDFRVFGDIDSLYSEGILLKLGLQDELLKKLPLPNMVSKFQDYNQGILKYDTPKILSKDKLAWLRDDEFARQAVAGVNPSSIERLKVFPPVSKLDPEIYGPLESALKEEHITPNIHGMTVQQALDENKLYIVDYHDVYLPFLDRINALDGRKAYATRTLYFLTPTGALKPIAIELSLPNSGPSSRSKRVLTPATDATSNWIWQLAKAHVCANDAGVHQLVHHWLRTHATLEPFILAAHRQLSAMHPIYKLLDPHMRYTLEINALARQILINADGVIESCFTPGRYAMEISSSAYKNWRFDRESLPADLIQRGMAVPDPTQPHGVRLVLEDYPYGSDGLLIWGAIENWVRTYVHHYYPDSSLIRNDRELQNWYSESINVGHADLRHENWWPSLSSADDLVSILSTLIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPEENDPEYASFISDPQKYFLSSLPSVLQAIKYMAVVDILSTHSPDEEYLGERQQPSTWSGDAEIVEAFYKFSAEMMEIEKEIERRNSDPELKHRCGAGVLPYELLAPSSEPGITCRGVPNSVSI >ONI18831 pep chromosome:Prunus_persica_NCBIv2:G3:23522632:23526795:1 gene:PRUPE_3G242200 transcript:ONI18831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHALTESLSEIFLSNFFENLLVRGADGENGSPSTTKDKGQRWATLMAGSNGYYNYRHQADICHAYQILKKDGLKDERTSSLFSCTMILHTTRKTLDKVSSSTSQRAMTGGSGKVLKSGPNDHVFIYYADNGSAGLLGMPSEDDSVSAKDLIHVLRKKHLSKCFKSMAFICIEACEFASIFEGLHPNKINIYATTAANAEEKFGSHSSYGTYCSGEPEVPEEYDTCLGDLYSISWLEDSFQYILNRWLVRRRTNESHVMQYGDVSHRKEFLFTYMGADLVKDSYSSIGDISSPSVSRAANQRDTNHLYFQHKSIHKIGELLFGHQKSSNLLMNVRSQGQPVVDDWDCFKKFLRIYKKYCGHLSTCEMKYTQAIANICNAGVTMEKMVAASDQACSKKSHV >ONI15372 pep chromosome:Prunus_persica_NCBIv2:G3:2878720:2881172:1 gene:PRUPE_3G040000 transcript:ONI15372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAKKEKQSHIEHSYMAMAYPKLYALLSLYLRSCGLCPCTVELDDQTTMNFWVANSRRSNKPALVMLHGYGGNSKWQFIHQVGSLSQSFNLYIPDLLFFGKSYTNRPERTEVFQAKCVAEGLKRLGVERFAVYSVSYGGYVAYWMAEMYPELVEKVVVVSCGVGMTEEQKREQINKVGSNALNLLVPESAHDLRLLVNLTVHKPGPSKWVPDIFLHGFINVTYKQHRKEKLELAEHLLSKKTDIDLPILTQETLIIWGDKDDVFPVYLAYQLQRQLGPKSKVEIIEDTGMTPCQSRYI >ONI15373 pep chromosome:Prunus_persica_NCBIv2:G3:2878727:2880979:1 gene:PRUPE_3G040000 transcript:ONI15373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAKKEKQSHIEHSYMAMAYPKLCNVLSFYLATIIAISQFLISILPYLNPITLLITLADALLSLYLRSCGLCPCTVELDDQTTMNFWVANSRRSNKPALVMLHGYGGNSKWQFIHQVGSLSQSFNLYIPDLLFFGKSYTNRPERTEVFQAKCVAEGLKRLGVERFAVYSVSYGGYVAYWMAEMYPELVEKVVVVSCGVGMTEEQKREQINKVGSNALNLLVPESAHDLRLLVNLTVHKPGPSKWVPDIFLHGFINVTYKQHRKEKLELAEHLLSKKTDIDLPILTQETLIIWGDKDDVFPVYLAYQLQRQLGPKSKVEIIEDTGHAVNMDSPISLNALITSFVLGYS >ONI15374 pep chromosome:Prunus_persica_NCBIv2:G3:2878721:2880979:1 gene:PRUPE_3G040000 transcript:ONI15374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAKKEKQSHIEHSYMAMAYPKLYALLSLYLRSCGLCPCTVELDDQTTMNFWVANSRRSNKPALVMLHGYGGNSKWQFIHQVGSLSQSFNLYIPDLLFFGKSYTNRPERTEVFQAKCVAEGLKRLGVERFAVYSVSYGGYVAYWMAEMYPELVEKVVVVSCGVGMTEEQKREQINKVGSNALNLLVPESAHDLRLLVNLTVHKPGPSKWVPDIFLHGFINVTYKQHRKEKLELAEHLLSKKTDIDLPILTQETLIIWGDKDDVFPVYLAYQLQRQLGPKSKVEIIEDTGHAVNMDSPISLNALITSFVLGYS >ONI15371 pep chromosome:Prunus_persica_NCBIv2:G3:2878720:2881172:1 gene:PRUPE_3G040000 transcript:ONI15371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAKKEKQSHIEHSYMAMAYPKLCNVLSFYLATIIAISQFLISILPYLNPITLLITLADALLSLYLRSCGLCPCTVELDDQTTMNFWVANSRRSNKPALVMLHGYGGNSKWQFIHQVGSLSQSFNLYIPDLLFFGKSYTNRPERTEVFQAKCVAEGLKRLGVERFAVYSVSYGGYVAYWMAEMYPELVEKVVVVSCGVGMTEEQKREQINKVGSNALNLLVPESAHDLRLLVNLTVHKPGPSKWVPDIFLHGFINVTYKQHRKEKLELAEHLLSKKTDIDLPILTQETLIIWGDKDDVFPVYLAYQLQRQLGPKSKVEIIEDTGMTPCQSRYI >ONI17236 pep chromosome:Prunus_persica_NCBIv2:G3:16183003:16183554:-1 gene:PRUPE_3G147800 transcript:ONI17236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSYSGVALCVVVMVAVMLSEEARMAEAVTCSPVQLSPCLGPINSGSPPSTTCCQKLREQRPCLCGYLKNPSLRQYVNSPNARKLASNCGVPVPQC >ONI18830 pep chromosome:Prunus_persica_NCBIv2:G3:23514866:23517072:-1 gene:PRUPE_3G242100 transcript:ONI18830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNITTYGFSFALIICMAAFSLIPQTTSSSSPFQFPPTQSTILPEPSSFFSPNLLSTPLPTNSFFQNFALNNGDKPEYFHPYSINSSSSSLSLSYPSLSSTSAFISQTFAPDLTIYASQTSTNIDAANSSRRVISGFTDLSVTLDFPSSNLRFFLARGSPYVTCNVSSPTAVSVSTIHAILESYSSNSNTKFIVQLNNNQTWVLYTSSPSNLTRSSPSTLTFDGYSGTIRIALVPGSDPKYESILDRFSYAYPVSGEAVFTKSFTLEYKWEKYGQGDLLMLAHPLHLQILSNATVLDDFKYKSIDGDLVGVVGDSWELRSHNVSVTWHSIEGVKQGSYPEIVSALRHDVEVLSSTPITTASSYFYGKLVARAARLALIAEEVDCLDVVPAIKKYLMDAIEPWLDGTFSGNGFLYDPKWGGLVTKQGSTDRGADFGFGVYNDHHYHLGYFVYGISVLAKIDPAWGIKYKAQAYSLAADFINLGNQSNSNYPKLRCFDMYTLHSWAGGLTEFGDGRNQESTSEAVNAYYSAALMGLAYGDTNLFDSGSMLTALEIQAAQMWWHVREGDTLYEEAFTKENRIVGISWANKRDSGLWFAPPEAREMRLGIQLLPISPITEILFSDDGFAKEIVEWALPSLSREGVEEGWKGFVYALQGIYDKDGALEKIKSVTGFDDGNSLTNLLWWIHSRSLGSQ >ONI15854 pep chromosome:Prunus_persica_NCBIv2:G3:4745369:4746077:-1 gene:PRUPE_3G065700 transcript:ONI15854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKHISTLVLGLMGSTFFKFICNYISTYRETASVLREIDEKMEEIRNTWSHEEFIALVGRDMDVFFKDYDAWKNFFDFF >ONI18799 pep chromosome:Prunus_persica_NCBIv2:G3:23400468:23401632:1 gene:PRUPE_3G240100 transcript:ONI18799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNSAAMAADQSNKRSNRVSCRRLGGYLRQQKGRLYIIRRCVVMLLCWHD >ONI20101 pep chromosome:Prunus_persica_NCBIv2:G3:27211292:27214080:1 gene:PRUPE_3G315000 transcript:ONI20101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDVQNSGGRGKKAAASPSQAVAAGIFQINTAVSTFRRLVDAIGTAKDTPDHRQKLHNTRQRILELVKDTSAKLKALSESDHHINVNPSKQIEDAKLARDFQTTLQEFQKVQQLASERESSYMPSLPLAAATSAASASEEHLEPTSTRDQHRQPFLQEQKRQEVLLLDNEISFNEAIIEEREHGIREIEEQIGQANEIFKDLAVLVHEQGVVIDDIHSNIDNSSAATTQARVQLAKASKGVKSRSSWCWWVVAVCVVVVVVVLIVLII >ONI19718 pep chromosome:Prunus_persica_NCBIv2:G3:26229314:26236520:1 gene:PRUPE_3G293500 transcript:ONI19718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSGYKGVVGLVFGNEKSGSSNEDSYVERLLDCISNGKLSEDRRTAMLELQSVVAESSNAQLAFGAMGFPVMMGILKEERDDVEMVRGALETLVSALTPIDHAKGPKNEIQPALMNTDLLSREADNISLLLSCCQRTISMYDITLFNF >ONI16933 pep chromosome:Prunus_persica_NCBIv2:G3:13381778:13382674:-1 gene:PRUPE_3G131000 transcript:ONI16933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIIAQLDASSTFYRCTYDVFLSYRGEDTRKGFTDHLYRALEQAGFHTFRDDDEIKRGANIAAEIQRAVQESRVSIIVFSKNYASSTWCLDELVKIMERRKADDGLMVMLVFYDVDPSHVRKLTGSFANSFSGPEDHFKEDIDKVEGWRRALRDVEDLGGMVLGDR >ONI15694 pep chromosome:Prunus_persica_NCBIv2:G3:3986835:3990798:1 gene:PRUPE_3G056100 transcript:ONI15694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTFKSLLFPLLGGFALMLLVHAQDESGFISIDCGLAGNSSYTEKTTGINYTSDTNFIDTGEVKFVSHDYKNEYQQQYWSVRSFPEGIRNCYKINVTSGSKYLIRASFLYGNYDGQNKFAEFELHLGPNLWDYVKFGEYGVDFELIHIPLRNYMHICLVNTGSGVPFISTIEIRPLLNETYQTQVGSLALVSRYDTGALAATFEGYRYPKDIHDRFWYIYARDDWTQINTSLTVNPGLFYKPPSDVMRTAATPKSASGSLDFSWKPVDKNSEYYVYMHFAEVEKLKTNHSRQQYVTKNGVLFQELFSPEYLYTRTLFTRLAIGGEVQYNFSIFAAENSTLKPIVNAIELYMVKEFLESETNEEDFDAITNIKSTYKVTKNWQGDPCAPEVFLWNGLNCSYRENETPRITSLNLSSNGLTGEIALSIANLTMIQTLDLSNNSLTGQIPDFLSQLPNLNVLNLEKNKLTGPVPVGLIERRNNGLLSLSLCGNLNMPEQVSCEKKETKKRTQNSNIVPIVVPIVGISILLLSVAAIWLGIKRRRQNATIGSLESRKRQFSYSEILNITNNLEKTLGKGGFGTVYYGCIDKTEVAVKMLSPSSVQGPQQFHAEVDLLLRVHHINLISLVGYCNDKTNKGLVYEYMANGNLQKHLAGSSSNVLTWEGRLQIAIEAAQGLEYMHHGCTPPMIHRDVKSSNILLNENFQAKISDFGLSRNFTEEDGTHILTGVAGTPGYLAPEYHDSNRLNEKSDVYSFGVVLLEIITGRPVYSNTHDERIHISNWVAFLLSNGDISGIVDRRLEGSFNVNSVWKAVEIATSCTSADAIKRPTMSEVVMGIRECLATELAQTNQTGIETNLVPMESPSVR >ONI17237 pep chromosome:Prunus_persica_NCBIv2:G3:16185378:16185674:-1 gene:PRUPE_3G147900 transcript:ONI17237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLSCCGVGLCVVVMMAMVLSEEARMTEAVTCSPLELSSCLEPIMSGTPPSTTCCQKLREQKPCLCGYLKDPALKQYVSSPNARKVASTCGVPFPQC >ONI16740 pep chromosome:Prunus_persica_NCBIv2:G3:10188093:10191720:-1 gene:PRUPE_3G118500 transcript:ONI16740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFCCVIKRVWCNQEKPSGFLSLNRVMTLDSLEVDFSKELTASPAQPKIEPVEAPAQSASSTSIKWKLAPTRREQDKWDRATKAATGGSEVMFRELRRSQEDPKVLAEQYRKQYFKLKKRLQFLTLGLGGVGLVSAYVSYSPEIAASYGVGLLGSVAYMRMLGSSVDSMADGARGLLKGAIGQPRLLVPVVLVMIFNRWNGLVVPQYGFMQLELIPMLVGFFTYKIATFIQAIEEALPVAEKTDTSSS >ONI16739 pep chromosome:Prunus_persica_NCBIv2:G3:10188094:10192640:-1 gene:PRUPE_3G118500 transcript:ONI16739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILNHCLSVTSTATPISPDSATPPVPDPRQTKILLPKKKPMKWSTGVAPGEYGGPPTTTKLRKYWGGEDDPLTSDDFIWNREFMDRMKKLIQDPDSSTQATTVQEKPSGFLSLNRVMTLDSLEVDFSKELTASPAQPKIEPVEAPAQSASSTSIKWKLAPTRREQDKWDRATKAATGGSEVMFRELRRSQEDPKVLAEQYRKQYFKLKKRLQFLTLGLGGVGLVSAYVSYSPEIAASYGVGLLGSVAYMRMLGSSVDSMADGARGLLKGAIGQPRLLVPVVLVMIFNRWNGLVVPQYGFMQLELIPMLVGFFTYKIATFIQAIEEALPVAEKTDTSSS >ONI17127 pep chromosome:Prunus_persica_NCBIv2:G3:14725726:14727238:1 gene:PRUPE_3G139500 transcript:ONI17127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYSFTSIAIHALISIPLLLNLSCLFHNLNLAAAAKHGRSKVYASHHHHHHRGNHNHPDPAAASDDSNPKLHLAFLALQAWKRVIYSDPYNFTSTWVGPSVCNYKGVFCAPSLDDPKIQVVAGIDLNKGDIAGFLPEELGLLSDLALIHLNSNRFCGILPQSMANLTLLYELDLSNNRFVGPFPTVVLSLPTLKYLDLRYNEFEGPLSPQLFQKKLDAIFVNNNRFTNVLPATLGGSSASVLVVANNNFGGCLPPSIVSFADTLEELLLINTNLSGCLPQEIGFLYKLRVLDVSYNKLVGPIPYSMAGLSHLEQLNLAHNSMTGIVPDGVCCLPNLANFTFSYNYFCEEEGICQNLTSKGIAFDDRRNCFPEKPYQRSQKECNATLEHPVDCFEHPCDGGFGGGAAAFAPTIAAVPAATPRSSPFSTAAPSYT >ONI18679 pep chromosome:Prunus_persica_NCBIv2:G3:22900273:22902533:1 gene:PRUPE_3G232400 transcript:ONI18679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAAAAARQFATSSRISSARTSSQASNLIQRRGLAGAADHHGPPRVNVWQDPLSPSKWKEEHFVIVSLSGWGLLIFGAYKFFTGGKGKKEEKLAEASH >ONI15622 pep chromosome:Prunus_persica_NCBIv2:G3:3684263:3689561:1 gene:PRUPE_3G052100 transcript:ONI15622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNVDPSKAVGNGNLASSSNLGSSKMHLANGGCPDRSFSCLSNDISFPPGGIPSLRLPQVTSHETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDSHAKLFEEQEAPGARSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVTTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCGTGSTEATTLEASKNPMRRQVPTPSRPSRSLSSSITRVVRRGAENPGVDANGNCFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >ONI15621 pep chromosome:Prunus_persica_NCBIv2:G3:3682018:3689572:1 gene:PRUPE_3G052100 transcript:ONI15621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDEVVAAPGGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDNGGTRRDLERMDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNVDPSKAVGNGNLASSSNLGSSKMHLANGGCPDRSFSCLSNDISFPPGGIPSLRLPQVTSHETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDSHAKLFEEQEAPGARSFFTEIIASISDIKFGKDGRYILSRDYMTLKLWDINMDSGPVTTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCGTGSTEATTLEASKNPMRRQVPTPSRPSRSLSSSITRVVRRGAENPGVDANGNCFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >ONI17067 pep chromosome:Prunus_persica_NCBIv2:G3:14374165:14378949:1 gene:PRUPE_3G136100 transcript:ONI17067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATLSLCSLFATHCKISQNLQTPSSNVSFLSLSSSSSSSHKLSSSSSRPTFPLLTKSAEAESAVVVEAEFQASESEAKPDDTEPAALQVVETPSSPKREQLFGVVMIGGRQYIVIPGRYIYTQRLKGANVNDKIVLNKVLLVGTKTTTYIGKPVVTNAAVHAVVEEQGLNDKVVVFKYKKKKNYRRNIGHRQPNTRIRITGITGYQDYPAVTLES >ONI18316 pep chromosome:Prunus_persica_NCBIv2:G3:21475097:21477783:-1 gene:PRUPE_3G209000 transcript:ONI18316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLRGAGTVISRSLAVTQLRKKAFRSWAAVQDTYFSTKDIFETHKVVFTVGTSIASVATAWFGYTIRHVHESRVDQRLESIEKAMKNNHNLEHSEIRNIVNSGSIGIPSCIATAGTTLVVGYALGWRGGRWYANRKFRREQMKLLGQIKPKRWQLLRRSLIRPRTQDNAVKTLEKIKDTHTPPNFGASHQSC >ONI18317 pep chromosome:Prunus_persica_NCBIv2:G3:21475138:21477783:-1 gene:PRUPE_3G209000 transcript:ONI18317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLRGAGTVISRSLAVTQLRKKAFRSWAAVQDTYFSTKDIFETHKVVFTVGTSIASVATAWFGYTIRHVHESRVDQRLESIEKAQMKNNHNLEHSEIRNIVNSGSIGIPSCIATAGTTLVVGYALGWRGGRWYANRKFRREQMKLLGQIKPKRWQLLRRSLIRPRTQDNAVKTLEKIKDTHTPPNFGASHQSC >ONI15534 pep chromosome:Prunus_persica_NCBIv2:G3:3417144:3419614:-1 gene:PRUPE_3G048400 transcript:ONI15534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDCMLLVKPHVRKEALMDLVARVSKHVYRRNGVLTNMKSFGTVQLGYGIKKLDGRYYQGQLMQMTMMATPNINKELHYLNKEDRLLRWLLVKHRDTKYGLEFLSEDDAKSEVSRFHPSSIYDDDEEEEDEDEDEDSDDNEYDVDQGGNPTEG >ONI15644 pep chromosome:Prunus_persica_NCBIv2:G3:3762054:3763110:1 gene:PRUPE_3G053300 transcript:ONI15644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTEKLMNQIMELKFTSKSLQRQSRKCEKEEKAEKLKIKKAMEKGNVDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMFTINKSMGNIVKSLESSLATGNLQKMSETMDSFEKQFVNMEVQAEFMETAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVPAKETEKVDEDDLSRRLAELKARG >ONI16634 pep chromosome:Prunus_persica_NCBIv2:G3:9355031:9356953:-1 gene:PRUPE_3G112100 transcript:ONI16634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAMPRMMMLSLVLLLVFHVSASWAASASVYDNFLQCLNTNTNPSSTQLSNIIYAENNPSYSSVLRAYIRNSRFNTTSTPKPVLIVTPSASSHVQASVVCAKQLGIQLRIRSGGHDYEGLSYWSDQTFIVLDMFNLRTVTVDDIEDSSVWVQAGATLGELYYRISEKSKVHGFPAGICPTVGIGGHISGGGYGNMLRKFGLAVDNVLDAQIVDAKGRLLDRKAMGEDLFWAIKGGGGGSFGVIVAYKLKLVPVPETVTVFQAARTLEENATAIVSRWQEVAPTTDDGLFMRLLLQPGSNTVKATIMAEFLGNADQLVSLLGKQFPELGLKKDDCKEMSWIESVLWWANYDNGTSPDVLLDRNPDHANFLKRKSDYVQTPISKSRLELVWKKMIEIGKIGLVFNPYGGMMSRIPASATPFPHRAGNLFKVQYSVSWGEAGAEAEKNYTTDTRRLFRFMTPFVSKNPRSAFLNYRDLDIGVNKFGNRSYEQGKVYGLKYFNENFDRLVKVKTAVDPANFFRNEQSIPPYPTFNSDPPHPILHLDVNGGSSSKLSMGKLKLVLAPLVFLFISF >ONI17108 pep chromosome:Prunus_persica_NCBIv2:G3:14569058:14572151:1 gene:PRUPE_3G138000 transcript:ONI17108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMMMRNIAAASFYCSSRRWRGGMPCLHSNSTLVVFDNNYFAFFHSQPSKPIKSTRTQLDQPVRDSPKITNVEDAFNMFDRMLQMHPRPSVVRFNQILGQVTKLKHYSAVISLYNQMGMSRIGHDVYTLTILINCYCHLNQMGFSLSVLGKFFKLGLEPNVFTFNTLINGFLLENRVAEVATLFDKMMRGGNCQPDVVTYGTLVKGFCMRGDNRAAIQLLRKMEEAVCKPDLVVYNTIIDSLCKDTLVDDALNLFSEMMCKGISPNVITYTSLMNGVCKLGEWKEATRLLNEMVSKNIFPDVFTFSVLVDTLCKEGMVVEAEGVVEMMIQRDIDPDTVTYTSLMDGYCLRGEIGKAQNVFELMLSKGSMVNVVCYNTLINGYCKLKKIDEAMMLFLDMSHRGLVANTVTYNTLLDGFGKAGRIQDTQKLFSEMQACGQLPNVRTYSILLDGLCTNRQLSRAMQLFGEMEAKKLDIDIVIYNILIEGLCIAGKIESARDLFCGLSSKGLRPDVRTYTIMINGLCIAGVTSEAEKFLIQMVEKGCSPDGCTYNTIIRGFIHNKQTSRAMVLIRTMVEKGFSADVSTTELIVNLLSKDEVDPALLPLIKKSL >ONI16789 pep chromosome:Prunus_persica_NCBIv2:G3:10877527:10878647:1 gene:PRUPE_3G122200 transcript:ONI16789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIKQLWRCVCLVLILMLGTWSSEATSHNLQDASMYERYEQWMVHYGREYDDVNEKQKRFEIFKKNVAYIESSNSDVNKSYKLSVNQFADQTNEEVKASRNGFKGREYSTKTTSFKYENVTVVPSTMDWRSKGAVTPVKDQGQCGSCWAFAAVAAVEGITQLTTSKLISLSEQEVVDCDINGKDLGCGGGYPDGAFEFINQNQGISSEASYNYTGVDGRCNTQATHAANITGYEDVPASNEEALLKAVANQPVSVCIDAGENDFMNYKSGVFTGSCGLEIDHCVTAIGYGVSDDGIKYWLLKNSWGTEWGEEGYMRMQRDVYAKEGLCGVAMFGSYPIA >ONI19283 pep chromosome:Prunus_persica_NCBIv2:G3:25123765:25127202:1 gene:PRUPE_3G269500 transcript:ONI19283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRQSGTPTIKDDQIIELVSKLRQLVPEIRDRRSDKVSASKVLQETCSYIRNLHREVDDLSERLSQLLSTIDADSPEAAIIRSLITQ >ONI19284 pep chromosome:Prunus_persica_NCBIv2:G3:25123765:25127202:1 gene:PRUPE_3G269500 transcript:ONI19284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRQSGTPTIKDDQIIELVSKLRQLVPEIRDRRSDKVSASKVLQETCSYIRNLHREVDDLSERLSQLLSTIDADSPEAAIIRSLITQ >ONI19282 pep chromosome:Prunus_persica_NCBIv2:G3:25123765:25127202:1 gene:PRUPE_3G269500 transcript:ONI19282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRQSGTPTIKDDQIIELVSKLRQLVPEIRDRRSDKVSASKVLQETCSYIRNLHREVDDLSERLSQLLSTIDADSPEAAIIRSLITQ >ONI17362 pep chromosome:Prunus_persica_NCBIv2:G3:16947243:16954836:1 gene:PRUPE_3G154100 transcript:ONI17362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALGISESTLETSASYHGVIPAVKEEPNGVIQLYSSEKNARDSSSLPELDQILVEPGMETKRTPAAAVQIQQHTAIATAWWLINRLVNCLEYPKTWLEETRSMLMIVATMISTTTFQAAVNPPGGVWQENNTNSSARGTTYCTQNNICLAGTSVAGSAFPKEFLAFVTFNTISFLASLSVNLLLVG >ONI18045 pep chromosome:Prunus_persica_NCBIv2:G3:20530142:20534933:-1 gene:PRUPE_3G193900 transcript:ONI18045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTFWPVVLFGMFFGSLLGLILNHFLPLMLLRLNGSPPPKGSFGWPILGQTLAFLKPHPSNSLGAFLQHHCSRYGKVFKSHLFLSPTIVSCDQELNYFILQNEGKLFECSYPKPIHGILGKSSMLVAVADTHKRLRNVAVSLVTITKSKPEFLSDIEATTISILHSWKDKSQVIFCEEARKFTFNVIVKQVLGLTPDEPQTTRILEDFLTFMRGLISLPLYIPGTPYARAVKARRRISSTVKAIIEERRRQAAETSTNSSSSKRSDFLEILMDVDTLSEDEKVSFILDSLLGGYETTSLLISMAVYFLAQSPSALQQLKVINEALRCGNVVKFVHRKALKDVKFRDYLIPSGWKVLPVFSAAHLDPSLHASALEFHPWRWEKIQSQDQTCKRFTPFGGGSRCCPGSELGKLEVAIFLHHLVQNFRWRTEDDDQPIAFPYVEFQRGLPLHLEHCPIN >ONI18046 pep chromosome:Prunus_persica_NCBIv2:G3:20531207:20534167:-1 gene:PRUPE_3G193900 transcript:ONI18046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTFWPVVLFGMFFGSLLGLILNHFLPLMLLRLNGSPPPKGSFGWPILGQTLAFLKPHPSNSLGAFLQHHCSRYGKVFKSHLFLSPTIVSCDQELNYFILQNEGKLFECSYPKPIHGILGKSSMLVAVADTHKRLRNVAVSLVTITKSKPEFLSDIEATTISILHSWKDKSQVIFCEEARKFTFNVIVKQVLGLTPDEPQTTRILEDFLTFMRGLISLPLYIPGTPYARAVKARRRISSTVKAIIEERRRQAAETSTNSSSSKRSDFLEILMDVDTLSEDEKVSFILDSLLGGYETTSLLISMAVYFLAQSPSALQQLKVEHQNIRRGKQKEEYLNWEDYKKMEFTQNVINEALRCGNVVKFVHRKALKDVKFRDYLIPSGWKVLPVFSAAHLDPSLHASALEFHPWRWEKIQSQDQTCKRFTPFGGGSRCCPGSELGKLEVAIFLHHLVQNFRWRTEDDDQPIAFPYVEFQRGLPLHLEHCPIN >ONI14683 pep chromosome:Prunus_persica_NCBIv2:G3:145227:147777:-1 gene:PRUPE_3G002000 transcript:ONI14683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKLLFSSTKASPSPSLPFFLSYKSPFLLQPKQSTKTHLSVSKILPEKSLFWGQSLVLHGRDVPLGNLTKNHVPFGPISAAVKRRKELPFDNVIQKDKKLKLVLKIRKILVSQPDRVMSLRELGRYRRDLGLQKKRRFIALLKKFPAVFEIVEEGVYSLQFKLTPEAERLYFEELKVRNEMEDLLVVKLRKLLMMSLDKRILLEKIAHLRNDLGLPLEFRDTICHRYPQFFKVVATARGPALELTHWDPELAVSAAELSEEENRVREIEEKDLIIDRPLKFNRLKLPKGLNLSKGEMRRICLFRDIPYISPYSDFSGLRSGTIEKEKHACAVVHEMLSLTVEKRTLVDHLTHFREEFRFSQQVRGMLIRHPDMFYVSLKGDRDSVFLREAYRDSQLIDKDRLLIIKEKLRSIISVPRFPRRGAPRSEADGAEGNDEAKGGTLEEGEEWSDAENFMSDDEFDNDEDDDEDDWSDEDDDTPPDFDEDGKTLNIGVSKPVKDEDNSRQNDGKVLVPVLPDGRPRERW >ONI18678 pep chromosome:Prunus_persica_NCBIv2:G3:22898573:22899490:1 gene:PRUPE_3G232300 transcript:ONI18678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFLSDSFKRYKDLEHQVCIDDMQAGGEAWKETVNLDGFFKEIENVKHDMGAVVQLYKRLQEANKESKLIHDAKSMKELSARMDSDVEQVLKLVKIIKGTLETLELSNADQRKLPSCGAGSSSDRTRTSVLSGLAKKFKDMMDDFQGLRTKMGSEYKETVQRRYFTITGEKANEEMIENLISSGASETLLQKAIEEQGRGQVLDTVQEIQERHDAVKEMEKSLIELHQVFLDMAALVDAQGQQLNDIESHVARANSFVRRGNLQLEVAKDYQKNNRKWACIAIVIGTSAVIILLLPVYLHFKS >ONI17336 pep chromosome:Prunus_persica_NCBIv2:G3:16609556:16611269:-1 gene:PRUPE_3G152300 transcript:ONI17336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPECDYINTNSHRISIISLSLSLSLCAFSYREEKMGALDYLSNFCTVTSTRSKRKAMQTVEIKVKMDCDGCERRVKNAVTSMKGVKAVEVNRKQSRVIVSGYVEPNKVLKRVKSTGKRAEFWPYIPQHLVHYPYASGVYDRRAPNGYVRNVVQAFPASMNGPEENMVSLFSDDNVNACSIM >ONI18955 pep chromosome:Prunus_persica_NCBIv2:G3:23946546:23951714:-1 gene:PRUPE_3G249300 transcript:ONI18955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVQLKRIENKISRQVTFSKRRAGLLKKAHEISVLCDADVALIVFSTKGKLFEYSSDSSMESILERYDQYSYAEQQLTTDSEPQNQLMHESISELHKKQKALQEQNNSLAKKLKLKENENMLEVEHDGQGRQVEQHQSNQAAHNSSTLLLMPPPPPQPPSTPALLASLTIGGGIQARGGMEDGDDNDGRTQTRPPTTTNTLMPMWMYRHFNE >ONI18954 pep chromosome:Prunus_persica_NCBIv2:G3:23946628:23951699:-1 gene:PRUPE_3G249300 transcript:ONI18954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVQLKRIENKISRQVTFSKRRAGLLKKAHEISVLCDADVALIVFSTKGKLFEYSSDSSMESILERYDQYSYAEQQLTTDSEPQGSCWSLEYPKLAARIEVLQRKLRHFTGEDLESLSLRELQNLELQLETALKRIRTRKNQLMHESISELHKKQKALQEQNNSLAKKLKLKENENMLEVEHDGQGRQVEQHQSNQAAHNSSTLLLMPPPPPQPPSTPALLASLTIGFSLSLSLSLSLLMIQLTNIWVTCKKKASILRLYANIDAVGESRQEEGWKMVMIMTEELKLGRPLLLTHSCQCGCIAISTNNGLAGRPAILK >ONI18956 pep chromosome:Prunus_persica_NCBIv2:G3:23947090:23951307:-1 gene:PRUPE_3G249300 transcript:ONI18956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVQLKRIENKISRQVTFSKRRAGLLKKAHEISVLCDADVALIVFSTKGKLFEYSSDSSMESILERYDQYSYAEQQLTTDSEPQGSCWSLEYPKLAARIEVLQRKLRHFTGEDLESLSLRELQNLELQLETALKRIRTRKNQLMHESISELHKKQKALQEQNNSLAKKLKLKENENMLEVEHDGQGRQVEQHQSNQAAHNSSTLLLMPPPPPQPPSTPALLASLTIGGGIQARGGMEDGDDNDGRTQTRPPTTTNTLMPMWMYRHFNE >ONI16512 pep chromosome:Prunus_persica_NCBIv2:G3:8134236:8135336:1 gene:PRUPE_3G103400 transcript:ONI16512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLCPWVDLVWFSGLLNYKIDKPNINTFDKWLLKCTTEGLKTNKGKGCFLDIIDVTCWTIWKTRNQASFDHVQPQPHLAIQTIILKMEQLSVINNRKSDRSILEGPSPNFDSWTAPEAPIIKVNIDVS >ONI18063 pep chromosome:Prunus_persica_NCBIv2:G3:20655809:20658226:-1 gene:PRUPE_3G195000 transcript:ONI18063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRSSFSRTGSFRPENLGPNALALIGNLCFTFFVLGVLIFTIIAATYEPEDPLFHPSTKITTFLTSKSNATFKSDDTVVRTGENFMAPNQTEFATFINMTDVVNLSEGDNTISDASDAVASSSCEGPIDCRDPEVFHLLMRATIEKFKDIHFYRFGKPVRGSDDNSCDMAWRFRPKEGKTASFYKDYRRFTILKSENCTLSVGDIGEYHTGVNARKRKKNQKPGFEKNGKKEVNSLPVVGEIVNDTLPVVESEGSFSRGKYLVYMGGGDRCKSMNHYLWSFLCALGEAQYLNRTLVMELNICLSSMYTSSNQDEEGKDFRFYFDFEHLRESASVLDAKQFWSDWEKWQKKDGLNLHLVEDFRVTPMKLNDVKDALIMRKFGSVEPDNYWYRVCEGETESVVQRPWHLIWKSRRLMDIVSAISSKLNWDYDSVHIERGEKAHNKELWPNLDADTSPNALLSTLQDKIEDGRNLYIATNEPDTSFFDPLKDKYTTHFLDEYKDLWDENSEWYFDTTKLNNGVPVEFDGYMRVSVDTEVFLRGKKQIETFNDLTSDCKDGINTCSTATS >ONI18064 pep chromosome:Prunus_persica_NCBIv2:G3:20655725:20658253:-1 gene:PRUPE_3G195000 transcript:ONI18064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRSSFSRTGSFRPENLGPNALALIGNLCFTFFVLGVLIFTIIAATYEPEDPLFHPSTKITTFLTSKSNATFKSDDTVVRTGENFMAPNQTEFATFINMTDVVNLSEGDNTISDASDAVASSSCEGPIDCRDPEVFHLLMRATIEKFKDIHFYRFGKPVRGSDDNSCDMAWRFRPKEGKTASFYKDYRRFTILKSENCTLSVGDIGEYHTGVNARKRKKNQKPGFEKNGKKEVNSLPVVGEIVNDTLPVVESEGSFSRGKYLVYMGGGDRCKSMNHYLWSFLCALGEAQYLNRTLVMELNICLSSMYTSSNQDEEGKDFRFYFDFEHLRESASVLDAKQFWSDWEKWQKKDGLNLHLVEDFRVTPMKLNDVKDALIMRKFGSVEPDNYWYRVCEGETESVVQRPWHLIWKSRRLMDIVSAISSKLNWDYDSVHIERGEKAHNKELWPNLDADTSPNALLSTLQDKIEDGRNLYIATNEPDTSFFDPLKDKYTTHFLDEYKDLWDENSEWYFDTTKLNNGVPVEFDGYMRVSVDTEVFLRGKKQIETFNDLTSDCKDGINTCSTATS >ONI14875 pep chromosome:Prunus_persica_NCBIv2:G3:1015982:1020928:1 gene:PRUPE_3G014200 transcript:ONI14875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLGSMTKEGASSSSTKRKSRRPKYSKFTQQELSACKPILTPGWVISIFVTIGIIFIPIGFASLFASERVVEIIDHYDKDCVPLRYTDDKLAFIQSSKTNKTCIRRLTVPKQMKSPVYIYYQLDHFYQNHRRYVKSRSDSQLRSKSDENKTSTCDPERNSPNGAIVPCGLIAWSLFNDTYKFSVKNKQLGVNKKDITWKSDQGNKFGSDVYPKNFQSGGLIGGAKLNSSIPLSEQEDLIVWMRTAALPTFRKLYGRIEVDLEANDVVIVTIENNYNTYSFGGNKKLVLSTASWIGGKNYLLGIAYLTVGGLCLFLAISFILLYLIKPRPLGDTSYLSWNRGASGGHIFIS >ONI14876 pep chromosome:Prunus_persica_NCBIv2:G3:1015982:1020928:1 gene:PRUPE_3G014200 transcript:ONI14876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLGSMTKEGASSSSTKRKSRRPKYSKFTQQELSACKPILTPGWVISIFVTIGIIFIPIGFASLFASERVVEIIDHYDKDCVPLRYTDDKLAFIQSSKTNKTCIRRLTVPKQMKSPVYIYYQLDHFYQNHRRYVKSRSDSQLRSKSDENKTSTCDPERNSPNGAIVPCGLIAWSLFNDTYKFSVKNKQLGVNKKDITWKSDQGNKFGSDVYPKNFQSGGLIGGAKLNSSIPLSEQEDLIVWMRTAALPTFRKLYGRIEVDLEANDVVIVTIENNYNTYSFGGNKKLVLSTASWIGGKNYLLGIAYLTVGGLCLFLAISFILLYLIKPRPLGDTSYLSWNRGASGGHIFIS >ONI14874 pep chromosome:Prunus_persica_NCBIv2:G3:1015982:1020928:1 gene:PRUPE_3G014200 transcript:ONI14874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLGSMTKEGASSSSTKRKSRRPKYSKFTQQELSACKPILTPGWVISIFVTIGIIFIPIGFASLFASERVVEIIDHYDKDCVPLRYTDDKLAFIQSSKTNKTCIRRLTVPKQMKSPVYIYYQLDHFYQNHRRYVKSRSDSQLRSKSDENKTSTCDPERNSPNGAIVPCGLIAWSLFNDTYKFSVKNKQLGVNKKDITWKSDQGNKFGSDVYPKNFQSGGLIGGAKLNSSIPLSEQEDLIVWMRTAALPTFRKLYGRIEVDLEANDVVIVTIENNYNTYSFGGNKKLVLSTASWIGGKNYLLGIAYLTVGGLCLFLAISFILLYLIKPRPLGDTSYLSWNRGASGGHIFIS >ONI15568 pep chromosome:Prunus_persica_NCBIv2:G3:3523041:3528963:1 gene:PRUPE_3G049900 transcript:ONI15568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMRGKGAEETVNERYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDIEAQPNRHAVLGASNSRPDLLLTGHQDYAEFALAMCHSEPFVLSGGKDKSVILWSIHDHISSLASDPGSARSPGSGGANAKHPSKTGGSNDKPTDSPSVEPRGIYQGHGDTVEDVQFCPTSAQEFCSVGDDSCLIVWDTRAGSSPVVKVEKAHDADLHCVDWNPNDVNLILTGSADNTVRMFDRRNLTSGQVGSPIHTFEGHNAAVLCVQWSPDKSSVFGSSAEDGVLNIWDHEKIGKKLDSVGSKPSNAPPGLFFRHAGHRDKIVDFHWNASDPWTIVSVSDDCGSTGGGGTLQIWRMIDLIYRPEEEVLAELDTFKSHLMTCQA >ONI19200 pep chromosome:Prunus_persica_NCBIv2:G3:24846529:24847496:1 gene:PRUPE_3G263700 transcript:ONI19200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYYTYYTGNDANEDSADVFEEYDPTPYEGGYDMALTYGRPVAPSERTCYRHSSGEDPEEDYDQPEFSSYQEPSAYDEEAVKEEYSSYARPSQEGDQYNIRRQGRGEGRRSDSYDDEEPTPAGEGGYGRKKYATSPGGTRSSWWPGCCTSEQAIQ >ONI15528 pep chromosome:Prunus_persica_NCBIv2:G3:3394509:3398534:1 gene:PRUPE_3G048100 transcript:ONI15528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLVKTTNCHHLSSTAMAMCSSFCPHLPNHFGGRPAYSFPTKPLSFSFKAFSSQASNASSKDELTTRVGFLGLGIMGSPMAQNLIKSGCDVTVWNRTKSKCDPLISLGAKYKPSPEEVAASCDVTFAMLADPESALDVALGKHGAANGLNSGKGYVDVSTVDGATSKLISGHIKSTGASFLEAPVSGSKKPAEDGQLIFLAAGDKSLYQIAAPLLDVMGKSRFYLGDVGNGAAMKLVVNMIMGSMMASFSEGLLLTEKIGLDPKVLVEVVSQGAISAPMYSVKGPSMIQSLYPTAFPLKHQQKDLRLALGLAESVSQSTPIAAAANELYKVAKSHGLSDEDFSAVIEALKPKLQQ >ONI15529 pep chromosome:Prunus_persica_NCBIv2:G3:3395085:3398534:1 gene:PRUPE_3G048100 transcript:ONI15529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPMAQNLIKSGCDVTVWNRTKSKCDPLISLGAKYKPSPEEVAASCDVTFAMLADPESALDVALGKHGAANGLNSGKGYVDVSTVDGATSKLISGHIKSTGASFLEAPVSGSKKPAEDGQLIFLAAGDKSLYQIAAPLLDVMGKSRFYLGDVGNGAAMKLVVNMIMGSMMASFSEGLLLTEKIGLDPKVLVEVVSQGAISAPMYSVKGPSMIQSLYPTAFPLKHQQKDLRLALGLAESVSQSTPIAAAANELYKVAKSHGLSDEDFSAVIEALKPKLQQ >ONI17529 pep chromosome:Prunus_persica_NCBIv2:G3:18418997:18422056:1 gene:PRUPE_3G165200 transcript:ONI17529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETARRQSGMLRQEKLELVTSDDIQPITSFDEMGLKDDVLRGIYQYGYEKPSAIQQRAVRRIIDGHDVIAQAQSGTGKTSMIALSVCHMVDTSCREVQALILCPTRELAVQTEKVILAVGNFINIQLHACVGGKSVGEDIRKLEHGVHVVCGTPGRVFDMIKRRTLSTRAIKLLVLDESDEMLSRVFKDQIYDVYRYLPPDLQVCLISATLPHEILEMTNKFMTEPVRILVKRDELTLEGIKQFFVSVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKLRSNNFTVSSMHGDMPQKERDAITQEFRSGNSRVLITTDVWARGLDVQQVSLVINFDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >ONI16622 pep chromosome:Prunus_persica_NCBIv2:G3:9184199:9188553:-1 gene:PRUPE_3G111000 transcript:ONI16622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFRSLLLCVVLLLLGFALANTNAARTDPPVVCATLNRTHFDTLFPGFTFGAATAAYQLEGAANIDGRGPSVWDNFTHEHPEKITDGSNGDVAIDQYHRYKEDVAIMKDMGLDAYRFSISWSRLLPNGKLSGGINKKGIEYYNNLTNELLRNGIEPLVTLFHWDVPQALVDEYDGLLSPRIVDDFKAYADLCYKEFGDRVKHWTTLNEPYTISNHGYTIGIHAPGRCSDWYNPKCLGGDSGTEPYLVTHNLLLAHAAAVKLYREKYQACQNGVIGITVVSHWFEPASESQQDKDAAFQALDFMYGWFMDPLTRGDYPQIMRSIVGERLPNFTEEQSKSLSGSYDYIGINYYSARYASAYPKDYSVTTPPSYLTDVHVNVTTDLNRVPIGPRAASDWLYVYPKGLYDLVLYTKEKYNDPIMYITENGMDEFNNPKLSLEQALNDANRIDYYYRHLCYLQAAMKEGANVQGYFAWSLLDNFEWSEGYTVRFGINYIDYDNGLERHSKLSTHWFKSFLKRSSISKKKIRRCGNNNGRANKFVYQI >ONI16623 pep chromosome:Prunus_persica_NCBIv2:G3:9184975:9188528:-1 gene:PRUPE_3G111000 transcript:ONI16623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFRSLLLCVVLLLLGFALANTNAARTDPPVVCATLNRTHFDTLFPGFTFGAATAAYQLEGAANIDGRGPSVWDNFTHEHPEKITDGSNGDVAIDQYHRYKEDVAIMKDMGLDAYRFSISWSRLLPNGKLSGGINKKGIEYYNNLTNELLRNGIEPLVTLFHWDVPQALVDEYDGLLSPRIVDDFKAYADLCYKEFGDRVKHWTTLNEPYTISNHGYTIGIHAPGRCSDWYNPKCLGGDSGTEPYLVTHNLLLAHAAAVKLYREKYQACQNGVIGITVVSHWFEPASESQQDKDAAFQALDFMYGWFMDPLTRGDYPQIMRSIVGERLPNFTEEQSKSLSGSYDYIGINYYSARYASAYPKDYSVTTPPSYLTDVHVNVTTADLNRVPIGPRAASDWLYVYPKGLYDLVLYTKEKYNDPIMYITENGMDEFNNPKLSLEQALNDANRIDYYYRHLCYLQAAMKEGANVQGYFAWSLLDNFEWSEGYTVRFGINYIDYDNGLERHSKLSTHWFKSFLKRSSISKKKIRRCGNNNGRANKFVYQI >ONI19766 pep chromosome:Prunus_persica_NCBIv2:G3:26335983:26336768:1 gene:PRUPE_3G296300 transcript:ONI19766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQVIVLALIFVAISGVLAQEPTSPSGPSPANAPALSPDAATSSSSSAPSPGASDAAAATPASAPTPVSDISSPPAPSPAGGDTEEAAPGSQATEADEAAAPEGIVTAEGPVDPSSAEEDYPVDDFSRLIKN >ONI16327 pep chromosome:Prunus_persica_NCBIv2:G3:6914725:6916763:-1 gene:PRUPE_3G092600 transcript:ONI16327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQSFCHSADDLKGIMRCLVESLMVETALLAHKSLLLLLLQIGSLPDDHDIFQNSVKTYQRFPMSELNKVKEPDAENNDAGETKDDDDDDDDDEDSDDDDEDDGDDSEEESDDDEEDLDDEVEVEANGDGESDDDDDDDGEEDDDDEDDDDEEDDDDEEDHYQLPLTRKK >ONI19032 pep chromosome:Prunus_persica_NCBIv2:G3:24376460:24379705:1 gene:PRUPE_3G255100 transcript:ONI19032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGDCKSMRPVMEGEDDLIAAARNIARALGSKKNLTDGEREILANLGTQLSTMISLSEKKGEKSGDIEDRLNSIQERVMSWEADQSMIWNSGPNESLEYVKAVEEARRFVERLEGLRLNKDDQEYEVLQKAYDVLQTAMARLEDEFKYMLVQNRQPFEPEHMSFRSSEEDIVDGSSIISFGDDSFEDSLQRDSVSRASEEVIIDLVHPDVVPELRGIANLMFNCTYDQECIQAYTSIRRDALDECLSILEVQRLSIEDVLKMEWGCLNSKIRRWVWVMKIFVRVYLPSERWLSDQIFGELGPVYLDCFVEASKPSMLQLMNFGEAMSIGPHQPEKLFRILDMYEVLVDLLPDIDGLYVDEAGSSVRIECHEVLMRLGDSVKATFSEFENAIASNTSTNPVAGGGIHPLTRYVMNYLRTLTDYGETLNVLLDDCDEGDSISLSPDMSPTTEEENKSTDTLGRISPMLRHYRSLVSTLECNLEDKSKLYKDASLQHIFLMNNLHYMAQKVKGDELRLLFGDGWIRKCNGKFQQHAMNYQRASWSSILSLLKEEGIQNPGSNSISKTLLKERLRSFYLAFEEIYKSQTTWLIPDPQLREDVQISTSLNVVQAYRTFVGRHSNDISDKLIKYSADDLQNYLLDLFEGSSKSLQNSSRR >ONI19031 pep chromosome:Prunus_persica_NCBIv2:G3:24376460:24379705:1 gene:PRUPE_3G255100 transcript:ONI19031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFMKQKICLCLRFDLELLGLFDLLFVCVATCKSLKTAKRFRFNCQSISVLAVDLKRFLVRLVGLHFCSVSCMSMGDCKSMRPVMEGEDDLIAAARNIARALGSKKNLTDGEREILANLGTQLSTMISLSEKKGEKSGDIEDRLNSIQERVMSWEADQSMIWNSGPNESLEYVKAVEEARRFVERLEGLRLNKDDQEYEVLQKAYDVLQTAMARLEDEFKYMLVQNRQPFEPEHMSFRSSEEDIVDGSSIISFGDDSFEDSLQRDSVSRASEEVIIDLVHPDVVPELRGIANLMFNCTYDQECIQAYTSIRRDALDECLSILEVQRLSIEDVLKMEWGCLNSKIRRWVWVMKIFVRVYLPSERWLSDQIFGELGPVYLDCFVEASKPSMLQLMNFGEAMSIGPHQPEKLFRILDMYEVLVDLLPDIDGLYVDEAGSSVRIECHEVLMRLGDSVKATFSEFENAIASNTSTNPVAGGGIHPLTRYVMNYLRTLTDYGETLNVLLDDCDEGDSISLSPDMSPTTEEENKSTDTLGRISPMLRHYRSLVSTLECNLEDKSKLYKDASLQHIFLMNNLHYMAQKVKGDELRLLFGDGWIRKCNGKFQQHAMNYQRASWSSILSLLKEEGIQNPGSNSISKTLLKERLRSFYLAFEEIYKSQTTWLIPDPQLREDVQISTSLNVVQAYRTFVGRHSNDISDKLIKYSADDLQNYLLDLFEGSSKSLQNSSRR >ONI16627 pep chromosome:Prunus_persica_NCBIv2:G3:9281361:9282710:-1 gene:PRUPE_3G111400 transcript:ONI16627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNNIPMGFKFRPSDEELLGYYLLNKVRGSSFKYENVIPEFDLYGRIEPWDVWNQFGGNKLEDGDDLYFFTKLKSSSAKNTRMARTIGSGSWKAENSGKKVPDPKDKKNDLGMWKRLHYENPKSDQNGCWIMHEYSLRPSLVKPKPNSTHRFVVCRIRKNHMRKRKFTSTAAKETHGIPSLQSQNKKQRQQETSFDEYLIGNSTPMSQAIGGGPTETQSPQDCPFFAYPITMVDDAIQFHGDVLMGGFSPLVDSAQSFTEQADFGSYNQERTECIIEFDETHATQQGLTDNNIGAAATEETDCQFGSENSQPDNVDFSIDYGWLHHLIQVDDDDVTTATPSGSQSIHQSAVNDEERPAYDPSSQSLTTESALEFGMSSENQTGAQLGVGTESAQVNASEFQVHNEDMLDFLNTATFDQLVDLFQFPDDDDDDEVQAFTTESSVMGIMG >ONI19607 pep chromosome:Prunus_persica_NCBIv2:G3:25917026:25919872:-1 gene:PRUPE_3G287200 transcript:ONI19607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMITFKLRSLTFFVFIAFSIWCSSLLEPCDARKGGKQYWRQNKGASASALRKEQKGYGGNHQRHQAGPRVSTTPSRGDNYITPEETAAKLQKNSGGNSNVFNVLDYGAKGDGKADDTKAFETAWAAACKVPASTINVPSGSVFLVKPISFSGPNCEANIVFQLDGKIIAPTSSGDWGSGLLQWLEFTKLKGISIKGKGVIDGQGSVWWSDSPTTYNIPADQTYSSSQDTVIESDSGKMPSTKPTALRFYGSDGVSVTGITLQNSPQTHLKFDACTNVQVSDISISSPGDSPNTDGIHLQNSQDVVIFGTSIACGDDCISIQTGCSNIYVHNVNCGPGHGVSIGGLGKDNTRACVSNVTVRDVKMHNTLTGVRIKTWQGGSGSVQNIMFSNIQVSEVATPIMIDQFYCDKSKCQNETSAVAVSGVNYVNIQGTYTTKPVHFACSDSLPCTGVSLDTIQLKSVDEGKQLYGPFCWEAYGELKTSTVPEIDCLKKGKPYSSSTGAQSESSDSC >ONI18968 pep chromosome:Prunus_persica_NCBIv2:G3:23991740:23994301:-1 gene:PRUPE_3G250000 transcript:ONI18968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSLKQIAGIESSSISCKPFKTEFFKLVLGTLSLPIDLPGTNYRRGFQGRKNIICMLEQLIEERRASQEVHQDMLGCLLSDENRYKLSDEEIIDHIITILYSGYETVSTTSMMAVKYLHDHPKALEELRKEHLAIREKKRPEDPIDWNDLKSMRFTRAVIFETSRLATIVNGVLRKTTQDMELNGYLIPKGWRIYVYTREINYDSFLYPDPLTFNPWRWLDKSLESHNYCLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDKLTKFPRVEAPNGLHLRVSHLAN >ONI18967 pep chromosome:Prunus_persica_NCBIv2:G3:23991115:23994878:-1 gene:PRUPE_3G250000 transcript:ONI18967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFMVILCVLFVLCICSALLRWNEVRYRKKGLPPGTMGWPVFGETTEFLKQGPNFMKNQRARYGSFFKSHILGCPTVVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKMALLSSLKQIAGIESSSISCKPFKTEFFKLVLGTLSLPIDLPGTNYRRGFQGRKNIICMLEQLIEERRASQEVHQDMLGCLLSDENRYKLSDEEIIDHIITILYSGYETVSTTSMMAVKYLHDHPKALEELRKEHLAIREKKRPEDPIDWNDLKSMRFTRAVIFETSRLATIVNGVLRKTTQDMELNGYLIPKGWRIYVYTREINYDSFLYPDPLTFNPWRWLDKSLESHNYCLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDKLTKFPRVEAPNGLHLRVSHLAN >ONI17870 pep chromosome:Prunus_persica_NCBIv2:G3:19912175:19914417:-1 gene:PRUPE_3G184100 transcript:ONI17870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTMSYMRLAIISISFTTFSILCDARIFAQFLKSQDTELEKKLKAINHLELDLPLPSSNVKPLEVSPPFSFPPFDSLAPLPLPGNVPLPPYCANPPFSQNPPQPNTPSSSSSPSSSAPTCSPIPKPPPANGPTPHPPGPPTTIPGPPKNPPSPPKPIPGPPALAPPVAYPPPSGPTSPPRKKPPQSGAVWCVAKPAVPGPIVQEALDYACGSGADCKSIQPNGSCYKPDTLLSHASYAFNSYWQSTRAAGGTCDFGGTAMIVTVDPSMSKFCPFLYSFKYNTHAIRYDLTN >ONI20046 pep chromosome:Prunus_persica_NCBIv2:G3:27052919:27056149:1 gene:PRUPE_3G312100 transcript:ONI20046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMDQAAAGLIHHEKTEACGLRAGVEADLGLVTAKGDKTSFHGSDRSCSIHDHDDLVHAVTSFGVHRKKRMTRQRRSSIINLHHLTFASSTTTSHVLPSLQPPSSLPPARVIDPTRLRFLFQKELKNSDVSSLRRMILPKKAAEAHLPALESKEGIPISMDDIDGLHVWSFKYRFWPNNNSRMYVLENTGEFVNAHGLQLGDFIMVYQDNQNQNYVIQAKKASDQDHVYGDISTMNNGVNDLFLDESEANKSSSFYMPTMAMDEDTTAGMSFVYDTTTFSNDSLLDFFGGSMTNYSRNGPLHESFGSVENLSLDDYY >ONI18946 pep chromosome:Prunus_persica_NCBIv2:G3:23936631:23939253:-1 gene:PRUPE_3G249000 transcript:ONI18946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKKKFVEIDIIADTVCPWCFVGKRNLDKALEEGNDRYEFELRWHPFQIDPEIPKEGTYKKEFYDTKMGADVAEVFETRMADIFSNHDMTYKIDGLTGNTIESHRLIYFAGLQDRDKQHDLVDEICLGYFTDGRFIGDRDYLLKCAEKIEIEGAAEFLDDPNNGLTEVKEELKKYSEVKGIPYFVINGKEEFAGAQPTEVFLAAFEAATK >ONI16773 pep chromosome:Prunus_persica_NCBIv2:G3:10803688:10804089:1 gene:PRUPE_3G121500 transcript:ONI16773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGGIVKKGHDEGLKLAVSILQKFELPQGVLPLAGVIEVGFVESTGYMWIVQEKKVEHEFKLISKLVSYDTEITGYIEKKRIKKLKGVKAKELVLWPPVSEITVDEPPTGKIHFKSLAGITKTFPVEAFA >ONI18961 pep chromosome:Prunus_persica_NCBIv2:G3:23971409:23972988:-1 gene:PRUPE_3G249500 transcript:ONI18961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNITASELAGFGVGALLLCATIAAPKVDAFISASQRSSLGMCKRCGNLRMIACSRCKGVGLIKEGGVFGLNLIDDFYESVGGSDSKVRSISCTNCNARGHFSCPDCSKTSV >ONI17764 pep chromosome:Prunus_persica_NCBIv2:G3:19453046:19460553:-1 gene:PRUPE_3G177800 transcript:ONI17764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVKPPGAFAVTPHKVSVCILLQVYAPAAQISVPFPFSTVNQHNRLGLFLLSLTKSYDDIFEPKLDELIHQLRGIGGLLNYWLTDHLTSKLLALSSPDDLFNFFSDMRGILGGPEAGVLEDDQVILDPNSHLGMFLRRCILAFNLLSFEGACHLLTSIGMYCKEAISSCPPYEAPHLDDSSNDLETPPEYENMELENLVFEKVTEEIEARERAGGRVSFHLHAPQALVGLVEDIEVPGDPEFKHGGKLREACHYAHPTSNTLRDLDPSGGIFLRTNWQIQGFLQEQADALEKQGSSFSLNDFELMLRQLQKLAPELHRVHFLRYLNGLYHDDCIAALENVHRYFDYSAGIEGFDFVPPASGCNTFGRYEIALLCLGMMHFHFGHPKQALEVLTEAVHFSQLQSNDTCLAYTLAAICNLLSETGISSTTGILGSSYSPLTRIGISLSVQQQLFVLLRGSLKRAENLKLKRLVASNHLAMAKFDLTHVQRPLVSFGPKASMKLRTSPINVCKELRLSSQLISEFGSETSSMTTDGAFSTAWLKNLQKPMDSQVLSQESGSGSNNAFQFCAQPSSVPASVLQLVGSSYLLRATAWEIYGSSSLARFNALVHATCFPDVSSSSDTALAYLKLIQHLAVFKGYKEAFAALKIAAEKFLSVSKSRILLLKLQLLHERALHRGHLKLAQQVCDELGVLASSVTGVDMELKTEASLRNARTLLAANQFSEAAAVAHSLFCMCYKFNMQVENASVLLLLAEIHKKSGNAVLGLPYALASLSFCQSFNLDLLKASATLTLAELWLSLGSNHAKRALSLVHGAFPMILGQGGLELRARAFIVEAKCYLSDPSFSVFEDSDIVLDPLRQASDELQLLEYHELAAEAFYLTAMVFDKLGRLEDREDAAASFKKHILALENPQDEEDPLANIF >ONI17763 pep chromosome:Prunus_persica_NCBIv2:G3:19452765:19460787:-1 gene:PRUPE_3G177800 transcript:ONI17763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVKPPGAFAVTPHKVSVCILLQVYAPAAQISVPFPFSTVNQHNRLGLFLLSLTKSYDDIFEPKLDELIHQLRGIGGLLNYWLTDHLTSKLLALSSPDDLFNFFSDMRGILGGPEAGVLEDDQVILDPNSHLGMFLRRCILAFNLLSFEGACHLLTSIGMYCKEAISSCPPYEAPHLDDSSNDLETPPEYENMELENLVFEKVTEEIEARERAGGRVSFHLHAPQALVGLVEDIEVPGDPEFKHGGKLREACHYAHPTSNTLRDLDPSGGIFLRTNWQIQGFLQEQADALEKQGSSFSLNDFELMLRQLQKLAPELHRVHFLRYLNGLYHDDCIAALENVHRYFDYSAGIEGFDFVPPASGCNTFGRYEIALLCLGMMHFHFGHPKQALEVLTEAVHFSQLQSNDTCLAYTLAAICNLLSETGISSTTGILGSSYSPLTRIGISLSVQQQLFVLLRGSLKRAENLKLKRLVASNHLAMAKFDLTHVQRPLVSFGPKASMKLRTSPINVCKELRLSSQLISEFGSETSSMTTDGAFSTAWLKNLQKPMDSQVLSQESGSGSNNAFQFCAQPSSVPASVLQLVGSSYLLRATAWEIYGSSSDTALAYLKLIQHLAVFKGYKEAFAALKIAAEKFLSVSKSRILLLKLQLLHERALHRGHLKLAQQVCDELGVLASSVTGVDMELKTEASLRNARTLLAANQFSEAAAVAHSLFCMCYKFNMQVENASVLLLLAEIHKKSGNAVLGLPYALASLSFCQSFNLDLLKASATLTLAELWLSLGSNHAKRALSLVHGAFPMILGQGGLELRARAFIVEAKCYLSDPSFSVFEDSDIVLDPLRQASDELQLLEYHELAAEAFYLTAMVFDKLGRLEDREDAAASFKKHILALENPQDEEDPLANIF >ONI15483 pep chromosome:Prunus_persica_NCBIv2:G3:3246127:3251652:-1 gene:PRUPE_3G045200 transcript:ONI15483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYASHIIHHSKKLRNASNLLRQEQALLVRFFSHDAQPSIDIRKAHRHSYVPSERARVCRSFSSTTKSLSGTFTRDVSTTTKLGNSISGSVSNKQLSCMQVQLRRGFSSDAALPPHQELGMPSLSPTMSEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEDGYLAKIVRGDGTQGIKVGEVIAITVEDEEDIAKFKDYTPSASGASAAAAKASPEPTPPKKEVVEEPVTSPEPKVSKPTAAAPSGDRIFASPLARNLAEEHKVPLSSIKGTGPDGSIVKADVEEYLASRGKEAPKAKGGAPAALALDYTDIPHSQIRKITASRLLLSKQTIPHYYLTVDTCVDRLMDLRGQLNALQEASGGKRLSVNDLVIKAAALALQKVPQCNSSWTDDHIRQFHNVNINVAVQTENGLFVPVVRDANKKGLSSIAEEVRQLAQKARENSLKPEDYEGGTFTVTNLGGPFGIKQFCAIINPPQSGILAVGSGMFGT >ONI15482 pep chromosome:Prunus_persica_NCBIv2:G3:3245429:3252354:-1 gene:PRUPE_3G045200 transcript:ONI15482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYASHIIHHSKKLRNASNLLRQEQALLVRFFSHDAQPSIDIRKAHRHSYVPSERARVCRSFSSTTKSLSGTFTRDVSTTTKLGNSISGSVSNKQLSCMQVQLRRGFSSDAALPPHQELGMPSLSPTMSEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMESMEDGYLAKIVRGDGTQGIKVGEVIAITVEDEEDIAKFKDYTPSASGASAAAAKASPEPTPPKKEVVEEPVTSPEPKVSKPTAAAPSGDRIFASPLARNLAEEHKVPLSSIKGTGPDGSIVKADVEEYLASRGKEAPKAKGGAPAALALDYTDIPHSQIRKITASRLLLSKQTIPHYYLTVDTCVDRLMDLRGQLNALQEASGGKRLSVNDLVIKAAALALQKVPQCNSSWTDDHIRQFHNVNINVAVQTENGLFVPVVRDANKKGLSSIAEEVRQLAQKARENSLKPEDYEGGTFTVTNLGGPFGIKQFCAIINPPQSGILAVGSAEKRVVPSSGPEQYQFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >ONI18595 pep chromosome:Prunus_persica_NCBIv2:G3:22487221:22490334:-1 gene:PRUPE_3G225400 transcript:ONI18595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLFLCLVTLLSSLCTSQDNLVPGKYITENQTLTCTTGTFALGFFRPENSTKYFLGIWYNTIPDTPVVWVANRESPLDSPGVFVFGGDGNLVVLDGMTRKVIWSSNASVPASAIYATTGLLMDSGNLELKYKGNILWQSFDHPFDTMLPGMKLSVNKRTGKQRLLTSWSALGDPQPGKFTAGIDPKVPRQIITWKENDTYWRSAVYFGKDAKTYFRNPSGTFFFIAHNIDINEIYFSYGVSDNSVKLRSVLNPNGMLVLLLWQWKDDTNGACDKNSNPLSSQCKCLKGFRIKFHKQWAMRDWPGCCVREKALTCDKGEGFSKFERMKLPDHSILLGNKSTKECESECLKNCSCTAYAYSNVTEGTTTSCLAWFGDLMDLVENHGLGQTIYIRVHRSDQGRIGGRMSQTLSNISAGGGNNDTELPHFDLRSILAATDNFSEANKLGEGGFGPVYKGTLLENQDVAIKRLSKKSGQGHQEFMNELKLIAKLQHTNLVKLLGCCIEDEEMILIYEFMPNRSLDKILFEPSENTKLDWGKRFRIIEGVAQGLLYIHKYSRLKIIHRDLKASNVLLDGTMNPKISDFGMARIFEINEIEANTKRVVGTYGYMSPEYALFGHFSEKLNVFSFGVLLLEIVSGKRNAAFYSVEHSRTLAGWAWELWKEGRGMEMIDASVRETYQPHEALRFIHVGLLCVEEAPADRPTMSSVIHMLQSNEASSLPPTKEPAFSRHRNSSAVGSSSQTSATFSNNVVTISMPEGR >ONI19783 pep chromosome:Prunus_persica_NCBIv2:G3:26403944:26404846:1 gene:PRUPE_3G297700 transcript:ONI19783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCNMNCVRATLFLLTIFICFGHFSVSAFEFQVGGTKDWVVPPTNDSKIYNDWASGNRFLVGDTIRFKYKKDSVMEVTEEEFKKCNSTRPNFFSNTGNTVYLFDHAGSFYFISGASGHCQRGQRMIVKVMSLEESPSSDRRSSGSGAAVFSVFVQFVVSYVACLLF >ONI15192 pep chromosome:Prunus_persica_NCBIv2:G3:2209652:2214899:1 gene:PRUPE_3G029600 transcript:ONI15192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQAELTGLLCVIWAVTLLYGEMVAFWRPSLGSCSWPHQSNNASSSMVDRDYVKVAVLTDPQLMDRTSLPLPPKSLALELAQFFADLFMRRAFHQSVLPLQPDVIFFLGDYFDGGPYLSDEEWKESLSRLKHIFSLSAHERYSNIPVYYLSGNHDIGYGILHSRRAEAIKRYEKEFGSRNYRFAVGKVEFIAIDAQTIDGNPQGILTSSTHDFIKNISMDVQAYPRVLLTHIPLYRQDWTDCGPNRNSEIINQRIVRSADGQEVVYQNYITEESSKYLLDSIQPVLVLSGHDHDQCHVIHESKYGPVGEYTVGTVSWQQGNLYPSFMLVSTSNFVPSTASNAEEAILTQPCFLPMQTHIYIWYLSLFALTLLALLLWPSSGVSVGHHFSDLMGLGKQLISSIRSRTKEKNEDLNCEYEMIWDAEGSMHLVKKALSSPIARTSEKNLVERGTAVMRPTVRKNSSQEIEVTVNADTDTDAMAKLLPRASRSWTKRVIKRLVRTFRMVIIIAAVNVPLYVMLLFKDWIDQ >ONI19017 pep chromosome:Prunus_persica_NCBIv2:G3:24303374:24306383:1 gene:PRUPE_3G253800 transcript:ONI19017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTSSVEVVEVCRVAPPPPPSPGAFASPSSLPLTFFDIRWLRFAPVQNLYFYQMPTSSTTPLFFDSILVPKLKASLSNTLQHFLPLAGNLTWPQDSQKPVLNYVQGDTVSLTIAESGAEFYHLSSGDFVEAREYHPLVPRLEASLEQAAVMALQITVFPNCGFSIGTSFNHAVLDGKSMTFFVKSWAHICKHDDQSNSVLPDELKQFYDRVIQDPAELGTIYSNDYRNKDGPDNRSLMFWEMKPPPDSIRGTFEFTRADTETLRQSFNAKMAEQKPVHVSTFTLACAYTWVCVVKAEDIKADKARLVFSMDCRSRLDPPIPANYFGNCVAGCMIVVETKGLLGEDGLVVAVNAISEAIERLKKGVLDGAETWVSRLFAVRSEERILSIAGSNLFGNYDTDFGWGRPKKFEIVSIDRNRAISLSDSKTGAGAIEVGLALDKHDMHVFASLFAKGLRNL >ONI15742 pep chromosome:Prunus_persica_NCBIv2:G3:4130740:4131719:-1 gene:PRUPE_3G058500 transcript:ONI15742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATSTSRWNVIMMLMLNTMLVLLVHAVHSIPIHHYKPRAFRPYITRPPPPSDGGDTSTDLESPPLPPPPPPTPNPNSKSPSSLEGRIRDINQLLCDESCSLECCRRFVFNDSLCLRGRLPEPGFPRPQYIAGRHSPYTFCKSECYNRCNYEMPPNLYQCTAGCAYHFITTKSAELTHDADADEVRKHVASCYNNCKTYSELL >ONI15743 pep chromosome:Prunus_persica_NCBIv2:G3:4130938:4131662:-1 gene:PRUPE_3G058500 transcript:ONI15743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATSTSRWNVIMMLMLNTMLVLLVHAVHSIPIHHYKPRAFRPYITRPPPPSDGGDTSTDLESPPLPPPPPPTPNPNSKSPSSLEGRIRDINQLLCDESCSLECCRRFVFNDSLCLRGRLPEPGFPRPQYIAGRHSPYTFCKSECYNRCNYEMPPNLYQCTAGCAYHFITTKSAELTHDAAADADEVRKHVASCYNNCKTYSELL >ONI18603 pep chromosome:Prunus_persica_NCBIv2:G3:22521109:22523932:-1 gene:PRUPE_3G225900 transcript:ONI18603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATTSVLMDNGNLELRLGEDTLWQSFDHPFDTFLPGMKLSLNTRTGQQRAFDVDGENAPSSNGTAYFLTYNFDADEVYLTYGVSDSSTKLRVILNPTGQIKLLLWLEHSETWFVWWRKPFDQCDFYPRCGPCGACSGKNETLSSPCKCLTVFRPKFQKQWDMGDWLGGCVREKALMCNNEDGFSKISKLNLKLPDQAVLLENKSMNECESKCLQNCSCTAYAYANVTQRNNIICLTWFGDLMDLVENQTFGQDVYIRVHGSQLGSKSHSHIVSKKSLVIGIASATAGLITIVFGYFLWKKYLGMKERAEGSLSAEAAKNDIELPLFTLRRILAATNNFTEANKLGEGGFGPVYKGIFSENQEVAIKRLSKKSGQGHEEFMNELKLIAKLQHTNLVRLLGCCVEEKEIILIYEYMPNRNASAKAKLDCGKRFQIIEGIAQGVLYIHKFSGLKIIHRDLKASNILFVMARIFRMNQTEADTKRVAVTYGYMSPEYALYGHFSEKLDTFSFGVLWLEIVSGKNNADFYRFERSPTLAGWAWELRKEGRGMEVLDASVRETCCPHEALRCSHVGLLCVQEDPDDRPAMPSVILMPQGIEATLGMPLMLAIPPMQPPLVYQKVDSSSMSGSGPSSNLPVRA >ONI17989 pep chromosome:Prunus_persica_NCBIv2:G3:20315736:20322415:1 gene:PRUPE_3G190500 transcript:ONI17989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFQGNKPHAVCIPFPIQSHIKAMLKLAKLLHHRGFHITFVNTEFNHRRFLKSLGPNSLDGLPDFQFETIPDGLPASDEDAGQNAYLLCDSIRKNFLAVFRNLLLKLNDMATSKNISNPPVTCIVSDGFMTFSITAAEELGIPVALFFTIAAIGFMGCKQYPTLVEKVLAPLKEESYLTNGFLDQVIDWVPGTKAIRLKDLPKSFQTTNPNDILFKLTLEAMDRVDKASAVVLHTFDELEADVLHALSSMPPPVYTIGTLRLLLNQIPQHPLKSMGYSLWKEEIEWFQWLNAKVPNSVVYVNFGSIVVIKSEDLIEFCWGLANSKLPFFWVVRPDLLVGESAILPPEFVAETKGRGLVASWCPQEQVLSHPSIGGFLTHSGWNSTIESLSAGVPMLCWPFFAEQRINCVYTCNEWGIGLEINNDAKRDQVENLIKELVEGEKGKKMRTKAMEWKKLAEKAISPDGSSYANLDNLVNQVLLRKG >ONI18646 pep chromosome:Prunus_persica_NCBIv2:G3:22781221:22782874:-1 gene:PRUPE_3G229600 transcript:ONI18646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAASQPPATDSKPLSQPARHSHTPLCRRSSLSLSVVALQARFRSSKGGAGVLERPKFDQSQFDPATQLEQGGDIGRLKDKRGIGSRDSYRVLLVDDVRHTEKLDIMSSSTPSTIAPTTFQC >ONI16502 pep chromosome:Prunus_persica_NCBIv2:G3:7941106:7944540:-1 gene:PRUPE_3G102400 transcript:ONI16502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVETMFFLLFQHLVLTSGNAVIGHHHHPHHSLLADKAVLLEFKKAIVYDPHSTLANWNEATGVCNFTGVSCNKKRHRVSELLLQDCELVGRLSPIISNLTGLRNLSLVGNHFHGTIPSEIALLRRLHHLLLDRNSFHGSIPDSLALPSQLTIVSLLQNNLTGAIPPTFFSNCTMLKVLDLSNNFLSGKIPIEIGNCPNLWSLNLYNNQFTGELPLFLTNNSLANLDVEYNHLSGELPIKFVQKLPKIVYLHLSNNNMISHDGNTNLNPFFTALTNCTSLEELELAGMVLGGVLPSSIGGLGINFSNLLLQENQIFGSIPPNIGNLSKLVVLNLTSNLLNGTISTNISQLSNLEQLFLSHNLFASAIPPALGQMTHLGLLDLSHNTFSGDIPSSIGNLVRLNYLFLNNNLLSGSIPPTLVHCTELYKLDLSYNRLTGSIPPELSGLSEIRIFINLSHNQLEGPIPIELSKLKDVQEIDLSSNNLSGSIFPQISSCIALTLINFSHNSLEGKLPDSIGELKNLESFDVSGNHLSGRIPLSLNKIRTLTYLNLSFNNFEGKIPSGGIFESVSYSSFLGNQHLCGPVAVKPVCPQKNRLFRTRIFLIIFTLVIFISTSLSIICCVIAFRHIEALISAERTETARKPTQPELVHNFPRVTYRDLSEATSGFDDQRLLGMGSYGRVYRGVLPDGTTIAVKVLHLQSGNSTKSFTRECQILRRIRHRNLIRIITACSLPDFKAIVLPYMANGSLDSRLYPHSQTGLSSGSSDLSLIQRLNICSDIAEGMAYLHHHSPVRVIHCDLKPSNVLLNDDMTALVSDFGIARLLIAGGANSALENMGNSTANMLCGSIGYIAPEYGFGSNASTKGDVYSFGILVLEMVTRKRPTDDMFVGGLSLHKWVKNHYHGRVEKVVDSSLMRAFRDQPPEVKKMWDVAIGELIELGILCTQESSSTRPTMLDAADDLDRLKRYLCGDTTATFASSLGISSSTLEDD >ONI14949 pep chromosome:Prunus_persica_NCBIv2:G3:1245944:1247894:-1 gene:PRUPE_3G017400 transcript:ONI14949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSIFGYPLRRFLWSPPIFREWSGSTALMDWLESPNAHIFKINVPGFRKEDIKVQIDEGNVLQIKGEGGKEEAYHAKDTVWHVAERGTGKGDFSREIELPENVKVDQIKAQVENGVLTIVVPKDATPKPSKVRNINITSKL >ONI18659 pep chromosome:Prunus_persica_NCBIv2:G3:22832348:22832754:1 gene:PRUPE_3G230900 transcript:ONI18659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIMSKNKLTMSLNLVLVLCLFLIISFAEGKQLGIGFHVKATPRCQKVYGVEAGDTCNGITQKFKLNAEFFAINPNINCNSIFVGQWLCIVGTAK >ONI19220 pep chromosome:Prunus_persica_NCBIv2:G3:24918998:24922478:-1 gene:PRUPE_3G265600 transcript:ONI19220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQRNSYGKRSHSQSDYADNGGGGNKRRNPGEEREQFIIDAEDTVYRYLCPVKKIGSIIGRGGEIVKQLRVDTKSKIRIGETVPGCEERVVTVYSASDETNGFEDGGAYVAPAQDALFRVHERVIAEDLHNDEDADGGSHHVIARLLVPSDQIGCIIGKGGQIVQNIRSETGAQIRILKDDHLPACALNSDELVQISGEAPLVKKALFQIASRLHDNPSRSQHLLTSAVPMYTSGGSLMGPSGGPPIVGIAPLMGPYGGYKGDVGDWSRSLYSAPRDDASSKEFSIRLVCPTANIGGVIGKGGVIINQIRQDSGAVIKVDSSTSEGDDCLITVSAKEFFEDPYSATVEAAVRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGAIVTEMRRITKANIRILSKESLPKIASEDDEMVQISGDLDIAKDALIQVVTRLRANLFDREGAGSAFLPVLPYLPVSADGSDGPNYDSRDGKRHGRGHSYSAGYGGSSDFATNDSYGSYGGSQIGGSGSAYGAYGAYSSGRSGSSGLSSQNPVSRRRNYGY >ONI15624 pep chromosome:Prunus_persica_NCBIv2:G3:3690671:3693184:1 gene:PRUPE_3G052200 transcript:ONI15624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLEKFVCLNFCNHSDFIVTICCNCFCILLNDLTCTSMNASCSFRESMASKVSSLQKVVQASNFLVKNGNIYYKQLVEQNKHHIQEPPTIEKCQTLAKQLFYTRLASIPGRYEAFWKEADSLKNALINRQELNVENAGLAALFGLECYAWFCGGEIIGRGFTFTGYYV >ONI15625 pep chromosome:Prunus_persica_NCBIv2:G3:3690083:3693666:1 gene:PRUPE_3G052200 transcript:ONI15625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVSSLQKVVQASNFLVKNGNIYYKQLVEQNKHHIQEPPTIEKCQTLAKQLFYTRLASIPGRYEAFWKEADSLKNALINRQELNVENAGLAALFGLECYAWFCGGEIIGRGFTFTGYYV >ONI15623 pep chromosome:Prunus_persica_NCBIv2:G3:3690616:3693184:1 gene:PRUPE_3G052200 transcript:ONI15623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFRESMASKVSSLQKVVQASNFLVKNGNIYYKQLVEQNKHHIQEPPTIEKCQTLAKQLFYTRLASIPGRYEAFWKEADSLKNALINRQELNVENAGLAALFGLECYAWFCGGEIIGRGFTFTGYYV >ONI18575 pep chromosome:Prunus_persica_NCBIv2:G3:22376654:22377813:1 gene:PRUPE_3G224200 transcript:ONI18575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNLNLLELQTGFTQYDLVGMAALLKLCPNLETMILEYLFKKRADESLSEELLNKPVELSMPSLKQVTMNVYTGTEDEFNFMKMLIGQGVVLEKIVFVPVQVENGKVVERSLPPVVLCRNGSQGWKCSPDLSSVRNIIESELNVD >ONI15542 pep chromosome:Prunus_persica_NCBIv2:G3:3437258:3437473:-1 gene:PRUPE_3G048700 transcript:ONI15542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERETVSQRKKVTLLTQREQNIFTKTSQLVFSHANYKNTQTTNKQTKPFAISFLFWQLVSSAALVIFCSF >ONI15567 pep chromosome:Prunus_persica_NCBIv2:G3:3510637:3520674:-1 gene:PRUPE_3G049800 transcript:ONI15567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSEEGCSTQLIDGDGTFNATGIDRLIKEVKLGECGLSYAIVSIMGPQSSGKSTLLNNLFATNFREMDAFRGRSQTTKGIWLAKCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPESHKETPLSEFFNVEVVALSSYEEKEEQFKEQVASLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYADFSGNEEWGQLEEAVQSGPISGFGKKLSSILDTCLSEYDAEATYFDEGVRTGKRKQLEEKLLQLVQPAFQALLGHIRSGSLDKFKEAFDKALNGGEAFSVAACNCFESFMALFDEGCADAVITQANWDTSKVRDKLKRDMEAHIASVRASKLAELTALYEAKLKEALSGPVEALLDGANSETWPAIRKLFQHETESAVSGLTSALSGFDMDEQSKGKLLSSLEAYARGVVEAKTKEEAGRVLIRMKDRFTTLFSHDSDSMPRVWTGKEDIRAITKTARSSSLKLLSVMAAIRLDDGDADNIENTLSLALVDSTNVAAKDRSITTADPLASSTWQEVSSSKTLITPVQCKSLWRQFKAETEYSVSQAISAQEANKRNNNWLPPPWAIVALIVLGFNEFMTLLRNPLYLGVIFVGFLLIKALWVQLDVAGEFRNGALPGLISLSSKLVPTIMNMIKRLADEGANAAANDPHRNPPLASKNFTNEGNASSEMSSSASSGLTESDYSSPSKQD >ONI18983 pep chromosome:Prunus_persica_NCBIv2:G3:24117454:24120111:1 gene:PRUPE_3G251200 transcript:ONI18983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVTIFVASDLPPIYNAVEDITINCGYSGHIFNAYDQRNWTGDINSTFSPFEHQAIGNTSIFKEAPHSYTVPQVPYTRARLSHFEFTYRFFNLTPGQKFVRLYFFPASYPDFDPSKAFFSVKAGGFTLLHDFNASVTAAASGSEETVYREFCMNIEEEEEEPSLSITFTPSRAIQDAYAFINGIEIVSMPVNLYYTPRGIQYVGNENIFRIENNTALEAVYRINVGGKALFFGKDTGMYRNWNAYGDEQIHLEELSLNRSVLPQNDSIQLHFAKIPEYSAPKEVYQTARAMGNDTAINKSYNLTWQFPVDSNFNYLVRLHFCEFQAGIWEIGDQVFLIYLDNIPAEKTADIIEWSGGNGFPIYRDYLVSMQLPSSTGTGSKAKVNLTIALQANPHDWRTLISDAMLNGLEIFKLNNSYGNLAGPNPDPPLMDPTNTTSSSGPEKPKLRNTHLLAIVAGVVSTTIALLSVLGLFLAFRRRQKLKDYDGCSTRGATNSTKSRGSSLPSNLCHYFSLAEIKDATRNFSEICIIGRGGFGNVYKGYLDGAATPVAIKRLKPESSQGAHEFKTEIEMLSHLRHRHLVSLIGYCADEGEMILVYDFMDRGALSDYLYHKDNPSLPWEQRLEICIGAARGLHYLHTSAQYTIIHRDVKSTNILLDEKWVAKVSDFGLSKMGTTSMSKTHISTMVKGSFGYLDPEYYRRNQLTEKSDVYSFGVVLFEVLCARPAFLRTAGKKQMSLAEWAKSCHREGTLDKIIDPSLKGKIGKACLNKFVEMAISCIHDNGIQRPSMNDVVWGLEYALQLHQKAERDLDFKGEDKVALMNDNDAAPAGFSTSCCWEEEEASNSLNSSGLAKLSSSDQNSTTNESIKGMSRIVFSGINDTDGR >ONI16425 pep chromosome:Prunus_persica_NCBIv2:G3:7410190:7411177:1 gene:PRUPE_3G097500 transcript:ONI16425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNWYCYAKTVAEQTAWEEAKEKGVDLVVVNPVLVLGPLLQPTVNASIIHILKYLKGSAKTYANSVQAYVHVRDVALAHILVYETPSASGRYLCGESVLHRGDVVEILATFFPEYPIPTRCSDEVKPRVKPYKLTTQKLQDLGVEFTPVKQCLYETVKSLQEKGHLPVPKPQEVPLKFNLKSCL >ONI15787 pep chromosome:Prunus_persica_NCBIv2:G3:4413202:4420621:1 gene:PRUPE_3G061700 transcript:ONI15787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNISHCFFGLFKTLLTVFLAVTICFAEAAATEFQAGDDLGWQEPDLNNSALYTQWASRNRFHVGDSLSFTYKNDSVLEVDKWDYYHCNTSNPIIAFDNGKSIMKLDRPGPFYFISGAPDHCKNGQRIFIEVMDPRPISQSPPPGAVPPEPYLSDSPAPSPSLGVAIAVAPCALFMALIVTFLASVCSSP >ONI18641 pep chromosome:Prunus_persica_NCBIv2:G3:22761592:22762107:-1 gene:PRUPE_3G229100 transcript:ONI18641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGKQNTFSESYGLLDQAFLSGINLFDSTEMYPVVQRAQTQGQGRSEERRFGHQGCKMTWIRDVPKSLNAKNITEAIDGSSSVFGLQTDYIDHWPDRFVCR >ONI15576 pep chromosome:Prunus_persica_NCBIv2:G3:3568073:3569201:1 gene:PRUPE_3G050500 transcript:ONI15576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSFLEGRSRGEVVTDPPPFPIAPLPPSLPPSSPFLFSPSIFFWFFYLLPCLGLLVELVFFRFEHVWLCLWLSLIVGCFSLCGVCLCLILCAEIKCLMPILCPWFSTKQPLQPDLFLPQLLILFLPYPLSGSGISFGIQQPYL >ONI15575 pep chromosome:Prunus_persica_NCBIv2:G3:3568073:3569201:1 gene:PRUPE_3G050500 transcript:ONI15575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSFLEGRSRGEVVTDPPPFPIAPLPPSLPPSSPFLFSPSIFFWFFYLLPCLGLLVELVFFRFEHVWLCLWLSLIVGCFSLCGVCLCLILCAEIKCLMPILCPWFSTKQPLQPDLFLPQLLILFLPYPLSGSGISFGIQQPYL >ONI18992 pep chromosome:Prunus_persica_NCBIv2:G3:24182020:24183457:-1 gene:PRUPE_3G252100 transcript:ONI18992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGVSCGGGQSSLGYLFGGGETANVNKTLSKRTQNPVRAAGEAPSQNETAPAPAFAPASASSPIDKQQIAAGLHGKPTNNYHRAEGQNCGNFITDRPSTKVHSAPGGGSSLGYLFGSGN >ONI17709 pep chromosome:Prunus_persica_NCBIv2:G3:19234294:19236484:-1 gene:PRUPE_3G175600 transcript:ONI17709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVTSTSASTSRNAEKFLPKLLDRLGKAKAKVIANINPGDDQEVVLSRFKKIENGLQQMEDLKLLPRVTLWEHTLFNQFTVLEHRVDKFFLGDNESNAFIGLEAITSLLTRMIENVDQIKASIPVVKLMPSYPERSLEFTHWSQPELGLTATKKLSQELSQMGVEKGIYKSRAMANMMRSYEHLEGTELRLCFLSFSIFPAESVIKKRPLIYWWIGEGFITATQDKTTEEVGEEIFGKLMKQGLIQPHGNAVNASSSSTSCTLHPWIRYMLIGLARDALLFHFDSSWPWMPSCQASVCRRPCLVFDQNPTSPGEEDPLTVFNVNRNYLSFKREWLSKLKNVVVLQLGRWQSSPTHHIEVDDEGLFLKGIGAQHYLSFKYLSLRGISGITRIPSCIFSIISLEILDLRACHNLETLPSDISSLRKLTHLDISECYLLEGMPKGIGKLSSLQVLKGFLIANLKNTPSRLGDLAKLKKLRRLSIYIGIEAVMHDKEFENLKEISSLRRLKISWAVVSPELRGKIARQSLDFSFPPDLEKLDLQGIPLKEVPPWLNPRQLTNIKKLYIRGGELCSLDHAGEETVTECKWRVEILRLKYLSNMKIELKEVEVQFPHLLYLEKVKCHEIEKDKYEKNIFWSKSQGQKLSNC >ONI17708 pep chromosome:Prunus_persica_NCBIv2:G3:19233906:19236565:-1 gene:PRUPE_3G175600 transcript:ONI17708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVTSTSASTSRNAEKFLPKLLDRLGKAKAKVIANINPGDDQEVVLSRFKKIENGLQQMEDLKLLPRVTLWEHTLFNQFTVLEHRVDKFFLGDNESNAFIGLEAITSLLTRMIENVDQIKASIPVVKLMPSYPERSLEFTHWSQPELGLTATKKLSQELSQMGVEKGIYKSRAMANMMRSYEHLEGTELRLCFLSFSIFPAESVIKKRPLIYWWIGEGFITATQDKTTEEVGEEIFGKLMKQGLIQPHGNAVNASSSSTSCTLHPWIRYMLIGLARDALLFHFDSSWPWMPSCQASVCRRPCLVFDQNPTSPGEEDPLTVFNVNRNYLSFKREWLSKLKNVVVLQLGRWQSSPTHHIEVDDEGLFLKGIGAQHYLSFKYLSLRGISGITRIPSCIFSIISLEILDLRACHNLETLPSDISSLRKLTHLDISECYLLEGMPKGIGKLSSLQVLKGFLIANLKNTPSRLGDLAKLKKLRRLSIYIGIEAVMHDKEFENLKEISSLRRLKISWAVVSPELRGKIARQSLDFSFPPDLEKLDLQGIPLKEVPPWLNPRQLTNIKKLYIRGGELCSLDHAGEETVTECKWRVEILRLKYLSNMKIELKEVEVQFPHLLYLEKVKCHEIEKDKYEKNIFWSKSQAADKCSRCNDLASQ >ONI16689 pep chromosome:Prunus_persica_NCBIv2:G3:9793459:9799699:-1 gene:PRUPE_3G115700 transcript:ONI16689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVDVTKSCLDSISQISEHIEGSVLYLDAGSTQSFQFMGAFPLLLNHGVRAVCSLENMCSLDTVVDWNANSDPGRKVVVITSRLLSDAHRYILRCLSTHQAVRCCTVFTSISEVAHSAYADSPLGTDAFHEYESLLVQDYEELVRKGKENSRQTEGSNLKDETKLEDEGWSRLASSEEDLSRPEASSRARDFIEENLIADTEDVGKKLIVSVHHFPMILCPFSPRVFVLPSEGSVGEAYLSVEHEDALSPGLPPLSTGLPSDGDDIPPGATLTANFLYHLAAKMDLKMEIFSLGGLSKTVGKVMTDMSSLYDVGRRKRSAGLLLVDRTLDLLTPCCHGDSLVDCMFSSLPRREKTTSFAYLKSSQTQLKHSPSNLERASLDVQIPLAKILREEDYNTDHFRLLENIEAFLCGLDSGNSASQVLDLINLKNKIHNEKPLQFENELFSGSFVSTENFRGTPYLEAILDRRTKDGTILVKKWLQEALRREKITVNVKSRPGFATKSELQPMVKALAKTQSSLLRNKGIIQLAAAALVALDESNSARWEAFISAEKILNVVSAGETSQSLAAQIGDLINKSALVGLHGQKNGKLEASQGLLSFQDALLLMISGYILAGENFPTSGSEGPFSWQEEQLLKDSIVEAILENPSIAKLKFLHGLMDELETNLRKIKSEESKETSSDQIDIDDFDDDEWGKWGDEDVDNKDDSKEQVYGDMQLKLELRDRVDSLFKFLHKLSSLKSRNIPLKDGAFSAENNFSGDPYARRGLLYKLLTRILNKNDVPGLEYHSSTVGQLFKSGFRRFGLGQAKPSLADQNIILVFVIGGINGVEVREAQEALSESGRPDIELILGGTTLLTPDDMLDLLLGKSSYF >ONI15255 pep chromosome:Prunus_persica_NCBIv2:G3:2437445:2444379:-1 gene:PRUPE_3G033000 transcript:ONI15255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGRVFVPNKTLVSSPKFPTGCFPLPSSQQTRRRALLSQVKMCALSDPLHSTPHHLSHITKYLEFQAMK >ONI16923 pep chromosome:Prunus_persica_NCBIv2:G3:13274645:13275187:-1 gene:PRUPE_3G130200 transcript:ONI16923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHSLVVKLKRRFLLLDSSKEGCTKMHGIVRSVIAISIAAQDVDVFMVQGQAEYRTWQIKPTCKQYIVVSLNAVNIDDCILKDGLEYQKLELLQLENSKISEFALQSMLKGMKLMVLSFIHTSFSELSTSIRVLRYLRTLSLDDCTLGDLSSIGKFENLEILSFARTDIKVLPREVADL >ONI18265 pep chromosome:Prunus_persica_NCBIv2:G3:21337809:21340715:1 gene:PRUPE_3G206100 transcript:ONI18265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINGGLVRSVFSRNRSLGTHESNVRSNMTERRRWSSVRSYLCGDEYNSVLAENDSSSVKSSVVTPTQLNSVLVEDDSSSVKSSEATVTQPMPEDLPDKDGIKREVTKEDMEMAKKEFSVSKTMSEEQAATIIQLAFRGFLTRCQNEGIKSKYGKQELIVGPESLSMESLGTSVEVQTGNSVDVYSIQEENVAAHRRMQQKARTQELKLKEEWDDSTVSSNISKMRIQNRLEATTRRERALAYAFSQQLRICSKKRHTTSDGTEQNMGWSWLERWMATRPPEISSVESHMSNHVEPINSNQRFIIGKKLFDGAGEEKESCGSNEVNILFDSFPVTTAEEKDGYSPTQNRFKATRSASRRKTAPSYECGKEYPKVSKKDCSREAEKDKEHNPKNTGRIKYKNASF >ONI18264 pep chromosome:Prunus_persica_NCBIv2:G3:21337762:21340722:1 gene:PRUPE_3G206100 transcript:ONI18264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINGGLVRSVFSRNRSLGTHESNVRSNMTERRRWSSVRSYLCGDEYNSVLAENDSSSVKSSVVTPTQLNSVLVEDDSSSVKSSEATVTQPMPEDLPDKDGIKREVTKEDMEMAKKEFSVSKTMSEEQAATIIQLAFRGFLTRCQNEGIKSKYGKQELIVGPESLSMESLGTSVEVQTGNSVDVYSIQEENVAAHRRMQQKARTQELKLKEEWDDSTVSSNISKMRIQNRLEATTRRERALAYAFSQQLRICSKKRHTTSDGTEQNMGWSWLERWMATRPPEISSVESHMSNHVEPINSNQRFIIGKKLFDGAGEEKESCGSNEVNILFDSFPVTTAEEKDGYSPTQNRFKATRSASRRKTAPSYECGKEYPKVSKKDCSREAEKDKEHNPKNTGRIKYKNASF >ONI16121 pep chromosome:Prunus_persica_NCBIv2:G3:5873032:5876264:1 gene:PRUPE_3G079300 transcript:ONI16121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVDVDRRPIGFFLPIQAPPDDDGSSVLPISASQGTEVSKDEKQFMNKEEELSKTNLPCTSVTTHTRAIGIIYPPLDIRNIVDKTAEFVAKNGPEFEQRVLAKNTGNAKFNFLISSSPFHAYYQHRLSEFRGQQPSSQFADSAAPESAPPAPAADGEAGGAPKPDLSAHFKPACKVLETPEAEQYTVRLPQGITGEELDTVMLTAQFVARNGKSFLTGLTSREINNPQFHFLRPKHSMFSFFTYLADAYSKVLMPPKGLTEKLKKSVADNATMLERCVNRLEWERSQEQARHKAEDEIEQERIQAAMIDWHDFVVVETIYFADHEDEDLPPPIAPEEVIRRSKVTNMEEDIVETGKEVEMELDEEDWRTDRLEEKETKVAEDPEPPMRIVNNWKRPEDRILSRRDPTKYVISPITGELIPINEMSEHMRISLIDPKYKEQKELMFAKLRVTTLAQDDEISRNILGLARTRPDIFGTTEEEVSNAVKAEIAKKNDEQPKQVIWDGHTGSIGVTANQAISQNDVFNEDAKSLPGPKPAVPSVRPLPAPHGLALNLPRVHPNTVQYSAPTSSGLPVPPPRPPVVQYQSVASPGPPMPMSSGQQPLSMNRQMPPSISMSAPSIPVPPPPGSQFALMQAYIPLPVPPPVMQMMPPPPPPPQRAPCPLPEEPAPKRQKLDDSMLIPEDQFLAQQPVQGPVRITVSIPNVDEGNRKGQLLEITLQSLSETVGSLKEKISGEIQLPANKQKLSGKPGFLKDNMSLAYYNVGAGETLTLSLRERGGRKR >ONI17344 pep chromosome:Prunus_persica_NCBIv2:G3:16637288:16638796:1 gene:PRUPE_3G152600 transcript:ONI17344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSSRPKKEQSLSVAGREWVRGRMLGKGGFGSVYLGWVKKPNMCADSLPSIFAVKSTLYCDALELVTENSILGTFSSCPFIIHRYGDDVTAGVDGNKVFNMFMEYADGGTMRDLINNSGGSGLPEFQVRKYTEAILQGVKHIHEMGYVHCDLKPENILLVTKSDSGGSEFVPKIGDLGLTKRVIEERAGGTTMYWSPETVIQDIQQQPSDIWALGCVVLNMLTGKQPWDLKAGARPWDLMLQIASKSPTIPVWLSDEAKDFLGKCFVWSPSERFTAAKLLNHPFVTNLDPVKELSSVSSSSSKKQILPLGSKTCHSKSNHCLPKATRFPYNWSCLA >ONI18846 pep chromosome:Prunus_persica_NCBIv2:G3:23596038:23597398:-1 gene:PRUPE_3G243200 transcript:ONI18846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSMYRKSPSTLSIKPPDAPHSGYVVITDEEAESKNTSCWGLCKRGKVKKLPFPQDKILTIVYTSEYQEATATKVWFIPVLDQPLSSNCYYVIRANGRHKGKACRCSRERDMTNCCIRGFLRDKKPKVLNFRDIYQEVKIHRHQGGGFFAESVAPDGVPPKFLKKKGWKVRSSSMYRNQLTDALGLDASLRSRLPDFNFPIFKKCSASSVVGRWYCPFAFVREKATIRHQMKKSKFYRITLEQWWEEIYSLGNVNNEGNVVNVSVDVQREVALVSATEAVKDDRNGRTGFTWFKAYNPRSKKVVSVGLSSAIVQNMRWVLEAGGWVNGDEMDVRVERVEEITGENRWTKFGCYVMVESFSLRRMDGSLAWRSDFRHTDKIRCKWE >ONI18610 pep chromosome:Prunus_persica_NCBIv2:G3:22592006:22597034:-1 gene:PRUPE_3G226500 transcript:ONI18610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAQQPAPFKEPDRDVQSLLNLGHVRAEIEFLADVILCKQKMGVSNIQNKEFHGNCRRSKGCNLPSREKNERSICNLVFLSAADFQHVRAPHMIALTHTDPSSWHKKKKELKQFGLATKKTTQTVTCSSSRSRASSPVTAGQPDIPDATDNFSNANKLGQGAYGPVYKGKFVGDQEIAVKRLSRASRQGLQEFKNEVVLIAKLQHRNLVRLKGFCIEGEEKNLLYEYMPNQSLDFYIFDHTKSMVLNWEMRFNIILGIARGLLYHHQDSRLRIVHRDLKTSNILLDEEMNPKISDFGLARIVGGKETDGYMSSEYALGGTFSIKSYVYSFGVILLEIISGTENTGFYQSQQTFSLISYAWKLWTENKALELMDKTLDDSCNKSQFIKCVNCVNFGLLCVQEDPVERPAMSNVLTMLDSEIAISPTPKQPAFLLRRGNNSRTASSSTKPETIPEITTSLEEGR >ONI14658 pep chromosome:Prunus_persica_NCBIv2:G3:103571:110639:1 gene:PRUPE_3G001200 transcript:ONI14658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWAKGKDVGRVVKGVSLIAKEFVKRSPAFQSAKNGDLEALIKNAIVSATDLSGLTKGTLSQFTNAINTTATTNGNDNNKAQASVVYFVHEEAPSSPPSAPAPPPPQQQRRQQHEQEEHHQHQQVQHVDQQQVEVLDSVKNENLAQQQPQPQPQQPLQRRKPRERRVPSTPFSRALGFAGLGAGLAWGTIQESTKRLVYGTQNSSDKPLLSPFLSPKNAELLALGLCRMRGAALKLGQMLSIQDESLVPAPILAALDIVRQGADVMPRKQLNQVLDAELGPDWSSKLISFDYEPLASASIGQVHRAVTKDGRDVAMKIQYPGVADSIESDIDNVKLLLDYTNLIPKGLYIERAMKVAKEELSRECDYVLEAENQKRFHDLLSNAQGFYVPFVVDDISSKRVLTTELVSGVPIDKVALLNQETRNYVGTKLLELTLKELFVFRFMQTDPNWSNFLYDEAKKTINLIDFGAARDYPKSFVDDYLRMVLACANDDRGTVIEMSKRLGFLSGTESEIMLEAHVQAGFVVGLPFSKPGGYDFRSSNITQSISNLGATMLRHRVTPPPDEAYSLHRKLSGAFLACMKLGAVVPCRELLLEVYEHYQFGEEGGETLSSGLGV >ONI14918 pep chromosome:Prunus_persica_NCBIv2:G3:1133830:1139145:1 gene:PRUPE_3G015900 transcript:ONI14918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKTASESDVSVHSTFASRYVRTSLPRFKMAENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMASINKNYVDMDEYPVTTELQNRCVNIIAHLFNAPLEDSESAVGVGTVGSSEAIMLAGLAFKRKWQNKRRAEGKPIDNPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKRLNDLLVEKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNVMENCRENMIVLKEGLEKTERFNIVSKDEGVPLVAFSLRDNHRHDEFEISDLLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSHTLAERLVNDIQKVLHELDTLPSKLSSNVKAADGEDGKPAETRALESKKSDLEKTREITTIWRKFVTARKQKMNVVC >ONI14919 pep chromosome:Prunus_persica_NCBIv2:G3:1136291:1139145:1 gene:PRUPE_3G015900 transcript:ONI14919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYMNTQNRCVNIIAHLFNAPLEDSESAVGVGTVGSSEAIMLAGLAFKRKWQNKRRAEGKPIDNPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKRLNDLLVEKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNVMENCRENMIVLKEGLEKTERFNIVSKDEGVPLVAFSLRDNHRHDEFEISDLLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSHTLAERLVNDIQKVLHELDTLPSKLSSNVKAADGEDGKPAETRALESKKSDLEKTREITTIWRKFVTARKQKMNVVC >ONI14920 pep chromosome:Prunus_persica_NCBIv2:G3:1136156:1139145:1 gene:PRUPE_3G015900 transcript:ONI14920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGLAFKRKWQNKRRAEGKPIDNPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKRLNDLLVEKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNVMENCRENMIVLKEGLEKTERFNIVSKDEGVPLVAFSLRDNHRHDEFEISDLLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSHTLAERLVNDIQKVLHELDTLPSKLSSNVKAADGEDGKPAETRALESKKSDLEKTREITTIWRKFVTARKQKMNVVC >ONI15582 pep chromosome:Prunus_persica_NCBIv2:G3:3581172:3584090:1 gene:PRUPE_3G050800 transcript:ONI15582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDPKLYTNKPRKAQLKQFQDQHRGKDFSSPPPSSTMATQSPSPPPQPPKESFARRYKFLWPLLLTVNLGVGAYLFMRTKKKDTDVEEEVTTTVSTTTQTTPTTTEKPLPLPTITEPVKLREPIPEDQQRELFKWILEEKRKVKPKDPEEKKRIDEEKAILKQFIRAKSLPRI >ONI16299 pep chromosome:Prunus_persica_NCBIv2:G3:6713707:6718991:-1 gene:PRUPE_3G090500 transcript:ONI16299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGENTFSPSDQAFSANSNLHITIQEGSQNSEQLLENENNDLENDCEQLFEIEGNEPESERDDTSDQLFDIQSNDHENDGGDINEHLFEIEGNDHESSRDDRTIIDYQNGGSQEKAYPPPVVGLEFDSYDDAYNYYNCYAKELGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTIKDANSRKKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHLFDPERAQNSKSHKRMDSGAKRKMEPTVDVEVRTIKLYRTPVVDAVGYGSSNSNEGETNNHVDRSKRLKLKNGDARAIYKYFCRVQLTDPNFFYVIDLNDEGYSRNVFWIDSRSRAAYNYFGDVVSFETTCLSNNYEIPLVAFVGVNHHGQTILLGCGLLADETLETYIWLFRAWLTCMSGRPPQTIITNQCKAMQSALAEVFPRAHHRLSSSHVMQSILENMGAIQEYEAFQMILSRTVYDSIKVDEFELAWEHMIQRFGIRDHEFIQTLYDDREQWVPVYSKDTFFAVMPNFQKGESTNPFFDGYVHQQTSLEEFLDVYDVVLEKKRQEEARNDFESRELTPMLRTRCYYELQLSKFYTKDVFIKFQDEVVMMSSCFSITQVHTNGPIVTYMIKEREGEEIMRDNNRNFEVMYDKAGAEVRCMCSCFNLNGYLCRHALCILNYNGVEEIPFQYILSRWRKDFKRLYVPDLGSNNVDITNPVQWFDHLYRRAMQVVQEGMISQDHYMVSWQAFKESLNKVRLVADKHV >ONI18569 pep chromosome:Prunus_persica_NCBIv2:G3:22356830:22357578:-1 gene:PRUPE_3G223700 transcript:ONI18569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHIQMFLKRLSYVSITIGTITFILLLLKTPDTCVAPDSPPKPHLRFPKSSCDSSPRELLPLHKKNKRLWSTKTWQNKVQSFSNFFRACYQMGLLHNDSKVLCVSAGAGHEVMALNQLGVADVTGIELLDSPPLVSRADPHNLPFFDDVFDLGFSAHFAKAMFPNRFVSEMERTVRAGGVCVVVVDECGDEEVREIVDLFTNSSFLGALNVTLTGLRMTRIIMKVQHLP >ONI14961 pep chromosome:Prunus_persica_NCBIv2:G3:1300146:1305082:-1 gene:PRUPE_3G018300 transcript:ONI14961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKSCTLLVHFDKGTPALANEIKEALEGNDVELKIEALKKAIMLLLNGDTIPHLFITIIRYVLPSEDHTVQKLLLLYLEIVEKTDSKGKILPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEAEIIEPLIPSILTNLEHRHPFVRRNAVLAIMFVYRLPQGETLLDSAPEIIDRFLASEQDPSSKRNAFLMLFNCAQDRAVNYLFTHISRIIEWGEQLQMVVLELIKKVCRVNKGEKSKYIKIIISLLDAPSSAVVYECAGTLVSLSSAPTAIKAAANTYSQLLLSQSDNNVKLILLDRISELKASHREIMVELVMDVLRALSSPNVDIRRKTLDIVLDLITSRNINQVVMMLKKEVVKTQSGELEKNGEYRQMLVQAIYACAVKFPEVASTVVHPLMDFLGDTNVASALDVAAFVREIIETNPKLRVSVITRLLDTFYQIRNSRVCTHSLWIIGEYCLSLSEVESGIATIKQCLGDLPFYTASEEKEAQDATKNSQVVSSTTVSSRRPVILADGTYATQSAALETAMSAPVLVQGSLASVGNLRSLILSGDFFLETVVACTLTKLVLRLEEIQPSKAEVNKATTQALLIMVSMLQLGQSSVLPHPIDNDSRDRIVLCIRLLCHKGEEVRKIWLESCRQSFVKMLADKQLLETEETKAKAQILSAQPDDLIDFYHLKSRKGLSQLELEDAVQDDLKRATGEFTKDRDDANKLNRILQLTGFSDPVYAEAYVTVNHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSSNVLERTVIVLNDIHIDIMDYISPASCADVSFRTMWAEFEWENKVAVNTVIQDEKEFLNHVVKATNMKCLTPPLALEGECGVLAANLYAKSVFGEDALVNVSVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGL >ONI18439 pep chromosome:Prunus_persica_NCBIv2:G3:21856714:21858607:1 gene:PRUPE_3G216100 transcript:ONI18439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIKAALGDAVLTSLWVFSAPSMGVFTVIIASFLGIQASSLLGLFITTIVATILVLAFSLIGKVLGDASFNPSTTASFYAAGLTPGTSLFSMAVRFPAQAAGGVGGAKAILQVMPKQYKHMLKGPFLKVDLYTGVIAEGLLTFVLCFSLLVIILRGPRNPILQIWLLSIATVGLVVAGGGYTGPSMNPANAFAWAYVNNWHNTWELFLVYWIGPFIGATLAASVFKTLLPPPIAKEKKA >ONI15845 pep chromosome:Prunus_persica_NCBIv2:G3:4655344:4656533:-1 gene:PRUPE_3G064900 transcript:ONI15845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKQDIKDPSNKLLSRVGEGDCCNWTGVVCDNLTGHVRELHLGNYYSDEYLNYSLHQENYLGGKVNTSLLNLKHLSYLDLSNNDFGGIQIPSFLGSLKRLLSKSYYWMKVKNLHWLSGLSSLQNLDLSRVDLSKASDWFQSVLQNTTWLKVLNLRWNIIWGTIPQWLYTCSNLESLSLYLNLLRGEISSCIGNLTAIVNLDSSANQLEGKIPNSLRNLCKLTVLDLSRNYFNGSVSEILGSLSRCSSGQMESLKLSTNDFSGPLFDQLGNFRHLRLLALSSNSISGPIPLSLGHLPFVEEASISEIHINGTLPKTTGMAGRLYSKYPEGYGPLAILFIFKKPPRPFRHVCKIV >ONI19982 pep chromosome:Prunus_persica_NCBIv2:G3:26895549:26897958:1 gene:PRUPE_3G308900 transcript:ONI19982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTVRFEPFFLYCCIRHSLPSMKTSSATELASRISRVLISASNHTRPTRSWNPSLENILHQLGCRDSLSPSLVARVIDPFLLPHHSLALGFFNWASQQPSFSHTSITYKSVLKSLSFSRQFNAIDALLKQVKAQKIGLDASVYRSVIASLIIGRKTHNAFLVFSEVSSLIKDIGHEICNSLLAALACDGYFEYAQKVFDEMTLKAIPLSTLGFGVFIWRLCGHAELGKTLSMLDEVRRGGSEINGSVTALLIIHGFCQASRVSEAFWVLDELRSRQCKPDFMAYRIVAEAFRSTGSVVDVEKVLKKKRKLGVAPRTNDYRQFIFDLISERQICEAKELGEVIISGNFPIDDDVLNVLIGSVSAIDPLSAIVFFRFMIEKQRFPTLLTLCNLSRNLWMVKEAYGVLQEMKKKGLGPDVSTYNSLIETCCREDLLRPAKRLWDEMFASGCRGNLKTYNILIRKFSEVGQVDEAQRLFYHMLGKGVAPDVMTYTSLLEGLCQETKLQAAFDVFRKSVEQDFMLAQNVLGTFTRSLCKAGFFLDASKLLCGLSNDVAQSDSHVILLKYLADAKEIPVAIEHVKWVQQTSPSMLQIVSAELLASLSSSSRLEPTRQLVQTIQEISGLK >ONI19981 pep chromosome:Prunus_persica_NCBIv2:G3:26895549:26897998:1 gene:PRUPE_3G308900 transcript:ONI19981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTVRFEPFFLYCCIRHSLPSMKTSSATELASRISRVLISASNHTRPTRSWNPSLENILHQLGCRDSLSPSLVARVIDPFLLPHHSLALGFFNWASQQPSFSHTSITYKSVLKSLSFSRQFNAIDALLKQVKAQKIGLDASVYRSVIASLIIGRKTHNAFLVFSEVSSLIKDIGHEICNSLLAALACDGYFEYAQKVFDEMTLKAIPLSTLGFGVFIWRLCGHAELGKTLSMLDEVRRGGSEINGSVTALLIIHGFCQASRVSEAFWVLDELRSRQCKPDFMAYRIVAEAFRSTGSVVDVEKVLKKKRKLGVAPRTNDYRQFIFDLISERQICEAKELGEVIISGNFPIDDDVLNVLIGSVSAIDPLSAIVFFRFMIEKQRFPTLLTLCNLSRNLCKHSNTDELLVVFQVLASGDYFKDLETYNVMVSFLCKAGMVKEAYGVLQEMKKKGLGPDVSTYNSLIETCCREDLLRPAKRLWDEMFASGCRGNLKTYNILIRKFSEVGQVDEAQRLFYHMLGKGVAPDVMTYTSLLEGLCQETKLQAAFDVFRKSVEQDFMLAQNVLGTFTRSLCKAGFFLDASKLLCGLSNDVAQSDSHVILLKYLADAKEIPVAIEHVKWVQQTSPSMLQIVSAELLASLSSSSRLEPTRQLVQTIQEISGLK >ONI16792 pep chromosome:Prunus_persica_NCBIv2:G3:10907626:10909866:1 gene:PRUPE_3G122400 transcript:ONI16792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLTKAQNTMTGKKTQYRIKTKKLCYRLSSTVDQFKWLFKREVTPKAYILKNVNFEARPGEITAIAGASGAGKTTLLEIVAGMIPLSSTAGQVIVNDQPMNAQHFRRVSGYVTQEEALFPLLTVEETLLYSARLRFHGVHQKATARVSELLRELGLQHVADVRIGSESNRGISGGEKRRVSIGVDLVHDPAVLLLDEPTSGLDSSSALDVALLLKTMASTQGFVLGTIFMNAANDPRRAKLQTQIGFFAFSLTFLMSSATEGLPIYLQERRILMRETSRGAYRISSYVISNTLVFLPFLLGVALLYATPVYWLVGLRREIDGFLYFSLVVWMVILMSNSLVACFSALVPNFIMGTSLVAGLMGSFFLFSGFFIPKEDIPSYWTFMHYLSLFKYPLECFLINEYGGEQGRRRCLQSVGGDCILYGDWFLMQQGLKPSQKWINLGIMLGFIIGYRWLGFLILWYRSYRISK >ONI16793 pep chromosome:Prunus_persica_NCBIv2:G3:10907626:10909866:1 gene:PRUPE_3G122400 transcript:ONI16793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLTKAQNTMTGKKTQYRIKTKKLCYRLSSTVDQFKWLFKREVTPKAYILKNVNFEARPGEITAIAGASGAGKTTLLEIVAGYVTQEEALFPLLTVEETLLYSARLRFHGVHQKATARVSELLRELGLQHVADVRIGSESNRGISGGEKRRVSIGVDLVHDPAVLLLDEPTSGLDSSSALDVALLLKTMASTQGFVLGTIFMNAANDPRRAKLQTQIGFFAFSLTFLMSSATEGLPIYLQERRILMRETSRGAYRISSYVISNTLVFLPFLLGVALLYATPVYWLVGLRREIDGFLYFSLVVWMVILMSNSLVACFSALVPNFIMGTSLVAGLMGSFFLFSGFFIPKEDIPSYWTFMHYLSLFKYPLECFLINEYGGEQGRRRCLQSVGGDCILYGDWFLMQQGLKPSQKWINLGIMLGFIIGYRWLGFLILWYRSYRISK >ONI18598 pep chromosome:Prunus_persica_NCBIv2:G3:22497015:22498248:-1 gene:PRUPE_3G225600 transcript:ONI18598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIDESVRETCSLHEALRCIQVGLLCVQEAPADRPTMSSVILMLANEATSLPPTKEPAFSTNRNSSAASASFFSNNAVTITMPQAR >ONI16405 pep chromosome:Prunus_persica_NCBIv2:G3:7259583:7262897:1 gene:PRUPE_3G096300 transcript:ONI16405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIRPLRKCILSPLSSSSSSKILAQQIPTLHFAHEEQVSLLNPKLLPPQCLRTYISEMRKSAFEGHVLRLLRNEIQYELDRSTPNQPVTKFKSFTVNDRPGEQWISLRRKFEENEDIKIEATMFDGAVPAPKSSGLGKEVQLHITMIVNISKKEGGNVLEIMCSVWPDTIEINKLFVREPDNMPAQAYVGPEFKELDDELQDALYEFSEARGINDDLAVFLHEYMKNKDKTEFIRWMGTVKSVIEKK >ONI16404 pep chromosome:Prunus_persica_NCBIv2:G3:7259459:7262897:1 gene:PRUPE_3G096300 transcript:ONI16404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIRPLRKCILSPLSSSSSSKILAQQIPTLHFAHEEQVSLLNPKLLPPQCLRTYISEMRKSAFEGHVLRLLRNEIQYELDRSTPNQPVTKFKSFTVNDRPGEQWISLRRKFEENEDIKIEATMFDGAVPAPKSSGLGKEVQLHITMIVNISKKEGGNVLEIMCSVWPDTIEINKLFVREPDNMPAQAYVGPEFKELDDELQDALYEFSEARGINDDLAVFLHEYMKNKDKTEFIRWMGTVKSVIEKK >ONI16607 pep chromosome:Prunus_persica_NCBIv2:G3:8946325:8947506:-1 gene:PRUPE_3G109900 transcript:ONI16607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKRKRATHDEIIVVDDSADDADHYLTVTLSGCEWVRGPMLGQGGFGSVYLGFVKKPRLCLKGVPRIVAVKSTLASQAHDLMGEDILLYIFRHCPFVINCYGEDETIGADGRHLFNVFLEYADGGTMADLIEKSRGFGLREFQVRKYIESILKGIKYIHERGFVHCDLKPENILLVSDAAGSSGGACFVPKIGDLGLTKKANQKWEKPSFGGTPMYLSPEAVVYGIQQQPSDIWALGCVVLQMLTGRHPWDFTPGAVFDVRDLLTALLVSRIPAIPGWLSKEAKDFLQCCFMWNTSERFTAAMLLNHPFVTKLDNGVEEVKPIKQVSSAVASSVPDCPSFIPLGSWKSEDAEEMAQENVGFSEQEILPLKLMSRHVVPSPKPSTFAIMGAA >ONI19169 pep chromosome:Prunus_persica_NCBIv2:G3:24811600:24813357:-1 gene:PRUPE_3G263000 transcript:ONI19169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKVSDGVEDRSSSEGTMAWDEMVKEASAAAELGGARRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSHSPSSTPALPSKITNLLLQRLKARNNSSSCSAPSAPLPINHHQMQQHADHQEEEAGADFSETQYTDFLNDPEDYITSNNHDIISASSIDYMTSSFESCLTEKEEYSTARETDQMDYGNLSEVAQTYSGGDANFVGEGSQEDMDQEEEEEVNDQVGAIDFQFVDDIGASNYYSPSPFDIADEEIEEPVEPETYADEPSMLRAAMKRMKYERKFSASLYAFNGIPECLKLKIGSSSSSSSAGNAKGRGISESLNNLQRACNKNKEEAAAAAAKQEYQEVVMGKKEEEETQQSSMDISLSSDGELSLWSSLDLQPICFLSTN >ONI18408 pep chromosome:Prunus_persica_NCBIv2:G3:21762469:21765910:-1 gene:PRUPE_3G214100 transcript:ONI18408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIITESLLGDQSSSRPPEATSGQNNSESTRPNDADPFKKVNVEQWILELRDRRTRENALQRLSKIREIREDLAPLLWHSFGTTYTLLKEILEVYPMVTSPHLLTQEASNRACNTLSLYQCVAAHPETRNLFVKANIPMYIYPFLGTKSKEKPYEYLRLSSLGVIGALVKDNDEDIITFLLQTQVFCYCIRCIEVGTILSRTVATFIIERILISDQGMKYCITFADRFYVITQVLSRMLDKLPEEPSHRLLKLIIHCFLRLSDGLTDVDSLSLCLPRSLRDSRVINLVSDDPVAMSYLRRLYCNVAAGRKPNGAAGKQPNGASGAESNGRVAKG >ONI18409 pep chromosome:Prunus_persica_NCBIv2:G3:21762613:21765780:-1 gene:PRUPE_3G214100 transcript:ONI18409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIITESLLGDQSSSRPPEATSGQNNSESTRPNDADPFKKVNVEQWILELRDRRTRENALQRLSKIREIREDLAPLLWHSFGTTYTLLKEILEVYPMVTSPHLLTQEASNRACNTLSLYQCVAAHPETRNLFVKANIPMYIYPFLGTKSKEKPYEYLRLSSLGVIGALVKDNDEDIITFLLQTQVFCYCIRCIEVGTILSRTVATFIIERILISDQGMKYCITFADRFYVITQVLSRMLDKLPEEPSHRLLKLIIHCFLRLSDGLTRDVDSLSLCLPRSLRDSRVINLVSDDPVAMSYLRRLYCNVAAGRKPNGAAGKQPNGASGAESNGRVAKG >ONI19946 pep chromosome:Prunus_persica_NCBIv2:G3:26806912:26809125:1 gene:PRUPE_3G306800 transcript:ONI19946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMLQTPYFPLFTSLFLCVLVLFWKRFKARGLKSPPPGPWKLPVIGSLHHMVGPLPHHTLRDLAKKHGPIMHLKLGQLEAVIISSSKAAQEVLKTHELTFSQRPLVLAVQVMSFGQASIAFAPYGDFWKELRKICVFELLSAKRVQSFRSIREEEVGNLVESISSVSQQGVAINFSEKCFSLTNGIVSRAAFGKKCKDQKEFTSLLEEAIKLAGGFDIPDLFPSLRFLGYVTGKIPAMKRIRNKLGKILESIISDHMTKRSEDLIASRTTTGIDKAEEEEEEDFVDVLLKLQESNKLEFNFTTDQIKDVIMDIFSAGSETSATTIEWTMSELMRNPRVMKKAQAEVRQVALEGKKNRIEEADVQKMDYLKSVVKETLRLHPPTPLLPREARERCQISGYELALKSKVIVNEWALGRDVESWGVDAECFKPERFHGSSVDFKGFDFEFIPFGAGRRICPGIAFGVTMIELALSQLLCHFDWKLAYGIKPEEVDMTETFGVTCRRKSDLYLIATPRFRLLNESE >ONI17133 pep chromosome:Prunus_persica_NCBIv2:G3:14750882:14759975:1 gene:PRUPE_3G139900 transcript:ONI17133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRSLPESLSDSDDEDPTHIHHHQQAKQSRAQDEYQLLQEEEKVLAVEENEENEEEEEEEEEEEEHDRDEAGDSEGSPSSTSEEKPEFLFVQLSEIRKDVHCPICLGIIKKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEELAFHEEERTRNEQIQASIAQIFQRQSEALIRRRTFGKDTPDAFITRSQRNNRSSFLRRRSSRATEIQGSVDNEDENDNNNMGKNSSSTDERRTEVTPRRLRRRAGSRSSQPSSSLANSSGGCTENDLEVNRENRGISPGIVWSSEMLAWGRGGARSHTRHGISSGCSNKNSRSTRLSKLVDYLRSIEENNDELDVHLMLISLDKRCTPSLQQPHLCCRPSLSVKHLCDYVSRQTPLLAEEVEFLAVKGYHSSNDEKLTLNPSSLMNDVDSAPLLVDPCRYDLQILQAEETLGGVKAICTSSRDHLILAYRRKEI >ONI17136 pep chromosome:Prunus_persica_NCBIv2:G3:14751978:14759969:1 gene:PRUPE_3G139900 transcript:ONI17136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEELAFHEEERTRNEQIQASIAQIFQRQSEALIRRRTFGKDTPDAFITRSQRNNRSSFLRRRSSRATEIQGSVDNEDENDNNNMGKNSSSTDERRTEVTPRRLRRRAGSRSSQPSSSLANSSGGCTENDLEVNRENRGISPGIVWSSEMLAWGRGGARSHTRHGISSGCSNKNSRSTRLSKLVDYLRSIEENNDELDVHLMLISLDKRCTPSLQQPHLCCRPSLSVKHLCDYVSRQTPLLAEEVEFLAVKGYHSSNDEKLTLNPSSLMNDVDSAPLLVDPCRYDLQILQAEETLGGVKAICTSSRDHLILAYRRKEI >ONI17132 pep chromosome:Prunus_persica_NCBIv2:G3:14750995:14760015:1 gene:PRUPE_3G139900 transcript:ONI17132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRSLPESLSDSDDEDPTHIHHHQQAKQSRAQDEYQLLQEEEKVLAVEENEENEEEEEEEEEEEEHDRDEAGDSEGSPSSTSEEKPEFLFVQLSEIRKDVHCPICLGIIKKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEELAFHEEERTRNEQIQASIAQIFQRQSEALIRRRTFGKDTPDAFITRSQRNNRSSFLRRRSSRATEIQGSVDNEDENDNNNMGKNSSSTDERRTEVTPRRLRRRAGSRSSQPSSSLANSSGGCTENDLEVNRENRGISPGIVWSSEMLAWGRGGARSHTRHGISSGCSNKNSRSTRLSKLVDYLRSIEENNDELDVHLMLISLDKRCTPSLQQPHLCCRPSLSVKHLCDYVSRQTPLLAEEVEFLAVKGYHSSNDEKLTLNPSSLMNDVDSAPLLVDPCRYDLQILQAEETLGGVKAICTSSRDHLILAYRRKEI >ONI17134 pep chromosome:Prunus_persica_NCBIv2:G3:14751088:14759900:1 gene:PRUPE_3G139900 transcript:ONI17134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRSLPESLSDSDDEDPTHIHHHQQAKQSRAQDEYQLLQEEEKVLAVEENEENEEEEEEEEEEEEHDRDEAGDSEGSPSSTSEEKPEFLFVQLSEIRKDVHCPICLGIIKKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEELAFHEEERTRNEQIQASIAQIFQRQSEALIRRRTFGKDTPDAFITRSQRNNRSSFLRRRSSRATEIQGSVDNEDENDNNNMGKNSSSTDERRTEVTPRRLRRRAGSRSSQPSSSLANSSGGCTENDLEVNRENRGISPGIVWSSEMLAWGRGGARSHTRHGISSGCSNKNSRSTRLSKLVDYLRSIEENNDELDVHLMLISLDKRCTPSLQQPHLCCRPSLSVKHLCDYVSRQTPLLAEEVEFLAVKGYHSSNDEKLTLNPSSLMNDVDSAPLLVDPCRYDLQILQAEETLGGVKAICTSSRDHLVSRH >ONI17135 pep chromosome:Prunus_persica_NCBIv2:G3:14750995:14759903:1 gene:PRUPE_3G139900 transcript:ONI17135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKKKKKKKKRRRNTIEMKLAILREAHLLLLKKNPRIIKKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIEKYEEEELAFHEEERTRNEQIQASIAQIFQRQSEALIRRRTFGKDTPDAFITRSQRNNRSSFLRRRSSRATEIQGSVDNEDENDNNNMGKNSSSTDERRTEVTPRRLRRRAGSRSSQPSSSLANSSGGCTENDLEVNRENRGISPGIVWSSEMLAWGRGGARSHTRHGISSGCSNKNSRSTRLSKLVDYLRSIEENNDELDVHLMLISLDKRCTPSLQQPHLCCRPSLSVKHLCDYVSRQTPLLAEEVEFLAVKGYHSSNDEKLTLNPSSLMNDVDSAPLLVDPCRYDLQILQAEETLGGVKAICTSSRDHLILAYRRKEI >ONI19112 pep chromosome:Prunus_persica_NCBIv2:G3:24588401:24591746:1 gene:PRUPE_3G259200 transcript:ONI19112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKFSRQVPGKMESLTIQHKLINCLSKSFYIRNLVSKQRRRMLVAGYDLDMTYITDRILAMSFPAERMRAMYRNPLWQVKSVLDMRHADHYKIYNLCIEENYDPSHFYGRVERFPFDDNHVPPLDLMKLFCENVDSWLSHNPKNIAVIHCMAGKGRTGLMVCAYLVYCGISAEEALELYAQRRTINNEGVSIPSQRRYVGYWSHCLSFHPEVDDGPPSVNLPPPCSRELRRIRFYDTVNIDKIFFVVLELKEISGQIYRPSLEVARSSCKQIKKGYQRTSSPRYYLSFVEGDGEDKKSEQEKPHVVVQMDTESSILYQKACLEYYFDKPVQVTGDIRVIFYQKMNGGRLFYACFNTAFIRNSLLQLTVPDLDKMGKKGRSICGPAFCLELLFGPANTTQSFLNTSDNRDFL >ONI19113 pep chromosome:Prunus_persica_NCBIv2:G3:24589042:24591375:1 gene:PRUPE_3G259200 transcript:ONI19113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKFSRQVPGKMESLTIQHKLINCLSKSFYIRNLVSKQRRRMLVAGYDLDMTYITDRILAMSFPAERMRAMYRNPLWQVKSVLDMRHADHYKIYNLCIEENYDPSHFYGRVERFPFDDNHVPPLDLMKLFCENVDSWLSHNPKNIAVIHCMAGKGRTGLMVCAYLVYCGISAEEALELYAQRRTINNEGVSIPSQRRYVGYWSHCLSFHPEVDDGPPSVNLPPPCSRELRRIRFYDTVNIDKIFFVVLELKEISGQIYRPSLEVARSSCKQIKKGYQRTSSPRYYLSFVEGDGEDKKSEQEKPHVVVQMDTESSILYQKACLEYYFDKPVQVTGDIRVIFYQKMNGGRLFYACFNTAFIRNSLLQVSTSFSLQHFTIVPHVKLEI >ONI18271 pep chromosome:Prunus_persica_NCBIv2:G3:21350214:21353149:1 gene:PRUPE_3G206500 transcript:ONI18271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFLTISPCKAPLILPFHPPISKTPKTQRTSLKTLSKPVNSLKGSPLLPAFGRAIEDDEYRLARSQVLRKGVDLEGYSIEGLSIGGHETCIIIPEFKCAFDIGRCPSRAIHQNFVFITHAHLDHIGGLPMYVASRGLYNLKPPTVFVPPCIKEDVEKLIDIHQTMGHVELNLDLVALDVGETYEMRNNLVVRPFRTDHAIPSQGYVIYSVRKKLKKQYMHLKGKQIEKLKKSGVEITDVILSPEVAFTGDTTSDYMLDPRNADALRAKVLITEATFLDEGCSIEHARQHGHTHIDEIIENAQWIRNKSVLLTHFSSRYHLEDIRQAVSKLQSKLSAKVVPLTEGFKSMHA >ONI15951 pep chromosome:Prunus_persica_NCBIv2:G3:5074392:5075127:1 gene:PRUPE_3G070700 transcript:ONI15951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSYICVKIGCEKMVSLNALAITRDQSKVHVPVRWRMLWRKIKKEKKRLLFDCSTSAQRVHVPYDPYTYSKNFDQGLMWADPDFLSRSFSARFAVPSRVFHQTQSVGS >ONI18930 pep chromosome:Prunus_persica_NCBIv2:G3:23854223:23856442:1 gene:PRUPE_3G248100 transcript:ONI18930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMVHEPTPNRRLHTTVATCTKIQKNHNRPGENFVDQSQVMNNGSGHENNAVVSPAMLPNTQNSVESDLGSGVVYKALDHIPGLATRSVPNVQQQNIFDPIGSVGLPTQPLQESISDAENMTSNPPYQLWQGITSTTASDDKLKKQDENSGSGSISSAYSQGVLNNLTQALQSSGVDLSQASISVQINVGSRVDSGLTSMASSSKAQLNQSLNNQMTQTQVSSCDEDFEPAHKRFRTGES >ONI18929 pep chromosome:Prunus_persica_NCBIv2:G3:23852354:23856442:1 gene:PRUPE_3G248100 transcript:ONI18929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASKGHHDEFEDDDEESHGVKVEGQGREKNRSKHSETEQRRRSKINERFQMLRDLIPKNDQKRDKASFLLEVIEYIQFLQEKVNLYEGYQGWSPEPTKLMPWKNHNRPGENFVDQSQVMNNGSGHENNAVVSPAMLPNTQNSVESDLGSGVVYKALDHIPGLATRSVPNVQQQNIFDPIGSVGLPTQPLQESISDAENMTSNPPYQLWQGITSTTASDDKLKKQDENSGSGSISSAYSQGVLNNLTQALQSSGVDLSQASISVQINVGSRVDSGLTSMASSSKAQLNQSLNNQMTQTQVSSCDEDFEPAHKRFRTGES >ONI18928 pep chromosome:Prunus_persica_NCBIv2:G3:23852350:23856442:1 gene:PRUPE_3G248100 transcript:ONI18928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASKGHHDEFEDDDEESHGGNLAVKVEGQGREKNRSKHSETEQRRRSKINERFQMLRDLIPKNDQKRDKASFLLEVIEYIQFLQEKVNLYEGYQGWSPEPTKLMPWKNHNRPGENFVDQSQVMNNGSGHENNAVVSPAMLPNTQNSVESDLGSGVVYKALDHIPGLATRSVPNVQQQNIFDPIGSVGLPTQPLQESISDAENMTSNPPYQLWQGITSTTASDDKLKKQDENSGSGSISSAYSQGVLNNLTQALQSSGVDLSQASISVQINVGSRVDSGLTSMASSSKAQLNQSLNNQMTQTQVSSCDEDFEPAHKRFRTGES >ONI18927 pep chromosome:Prunus_persica_NCBIv2:G3:23852391:23856442:1 gene:PRUPE_3G248100 transcript:ONI18927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASKGHHDEFEDDDEESHGGNLAVKVEGQGREKNRSKHSETEQRRRSKINERFQMLRDLIPKNDQKRDKASFLLEVIEYIQFLQEKVNLYEGYQGWSPEPTKLMPWKNHNRPGENFVDQSQVMNNGSGHENNAVVSPAMLPNTQNSVESDLGSGVVYKALDHIPGLATRSVPNVQQQNIFDPIGSVGLPTQPLQESISDAENMTSNPPYQLWQGITSTTASDDKLKKQDENSGSGSISSAYSQGVLNNLTQALQSSGVDLSQASISVQINVGSRVDSGLTSMASSSKAQLNQSLNNQMTQTQVSSCDEDFEPAHKRFRTGES >ONI19952 pep chromosome:Prunus_persica_NCBIv2:G3:26815723:26817531:1 gene:PRUPE_3G307200 transcript:ONI19952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACKGLLLLMVVLVLMVMQGRESEGQLAENFYSSTCPNVEFIVKQAVSTKLSQTPITIPATLRLFFHDCFVEGCDASVMIASPNGDAEKDFSDNLSLAGDGFDTVIKAKQAVEALCPGVVSCADILALAARDCVVLAGGPAFSVELGRRDGLVSQASQVVGNLPEPNFNLDQLNTMFAKHNLSQTDVVALSGAHTVGFSHCGRFSDRLYNFSSNSPVDPSLDPGYAKQLMGACPINADQVINLDPETPDTFDNAYYRNLVAGKGLLSSDQVLFSDSASRPTVIDFANNPGNFNGAFITAMRKLGRVGVKTGDQGQIRTDCTTFNS >ONI16727 pep chromosome:Prunus_persica_NCBIv2:G3:10085994:10087442:1 gene:PRUPE_3G117900 transcript:ONI16727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMRASKAKTKGLNDKLSLEDYLLLIQSHSDLHLTKVHLNQIISMHGYKKLHKVPKKLLNDAVSTLTLVEPSRSTLRKYISPLVITTLEDVVADLDHLNWKECCITSIETLSSWQNAHSSLPLTSPQQDVVRYSKHQPSALLALDSTPYGSVSAPDGASLPSRASETARHPAEKLAPKRKRKTSPRGGGGAHAALHSVSYGSC >ONI18084 pep chromosome:Prunus_persica_NCBIv2:G3:20699233:20703261:1 gene:PRUPE_3G196100 transcript:ONI18084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRVLQTTLIPSFHPQHRSPIFQSLPLNFRRNPIQCTVSTSNSTKATVPQKIPWGCESDSLENASALQKWLTDSGLPPQKMALQKVEVGERGLVALKNIRKGEKLLFVPPSLFITADSEWTCGEAGKVLKQNGVPDWPFLATYLISEASSLESSRWSNYISALPRQPYSLLYWTREELDRYLEASQIRQRAIERVTNVVGTYNDLRLRIFSKYPALFPEEVFNIETFKWSFGILFSRLVRLPSLGGKVALVPWADMLNHCCEVDAFLDYDKSSQGVVFSTDVSYEPGEQVFISYGKKSNGELLLSYGFVPREGTNPRDSVELSLSISKSDKCYKEKLEALRKHGLSASQCYPIQVTGWPLELMAYAYLAVSPPSMSGQFEEMAAAASNKSTTKKDLRYSEIEEEALQFILDSCEVSISKYNKFLQASGSMDLDVTSPKQLNRRLFLKQLAVDLSTSERRILFRAQYILRRRLRDMRRGELRALTIFNGFRKFFK >ONI18085 pep chromosome:Prunus_persica_NCBIv2:G3:20699233:20703261:1 gene:PRUPE_3G196100 transcript:ONI18085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRVLQTTLIPSFHPQHRSPIFQSLPLNFRRNPIQCTVSTSNSTKATVPQKIPWGCESDSLENASALQKWLTDSGLPPQKMALQKVEVGERGLVALKNIRKGEKLLFVPPSLFITADSEWTCGEAGKVLKQNGVPDWPFLATYLISEASSLESSRWSNYISALPRQPYSLLYWTREELDRYLEASQIRQRAIERVTNVVGTYNDLRLRIFSKYPALFPEEVFNIETFKWSFGILFSRLVRLPSLGGKVALVPWADMLNHCCEVDAFLDYDKSSQGVVFSTDVSYEPGEQVFISYGKKSNGELLLSYGFVPREGTNPRDSVELSLSISKSDKCYKEKLEALRKHGLSAY >ONI15102 pep chromosome:Prunus_persica_NCBIv2:G3:1902154:1908533:-1 gene:PRUPE_3G025900 transcript:ONI15102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRIKYGEGANDPLLFSTNNFHGRQTWEFDPDAGTPEERAEVEEARENFYRNRFKVQPSSDLLWRFQMLRERNFKQEIPPVRIGEGEEITYDQATAAYRRAATFWNALQSPHGHWPAENAGPNFYFPPLVMAAYIPGYLNVIFTPEHKKEILRYTYNHQNEDGGWGLHIAGPSMMFTTCLNYCMMRILGDGPDGGRDNACERARKWILDRGGAYYSASWGKTWMAVLGVYDWEGSNPMPPEFWTYPTLLPFHPSKMFCYCRLTYLPMSYFYATRFVGPITPLVEELRQEIYCEPYSEINWSTVRHSCAKEDNYYPHGRVQRFMWDSLYTVAEPLLKIWPFKKIRDNAIQFTIDQIHYEDENSRYITIGCVEKPLMMLACWAEDPSGEAFKKHIPRVTDYIWLGEDGIKMQSFGSQSWDCALVIQALLAGNLNAEMGPVLKKAHEFLKISQVRINTSGDYLAHFRHISKGAWTFSDRDHGWQVSDCTAEALRCCCLFANMSPEVVGEPMEAECMYDAVNVIMSLQSPNGGVSAWEPTGAPKWLEWLNPVEFLEDLVIEYEYIECTSSSIQALILFRKLYPGHRRKEINNFITRAADYIEDIQYPDGSWYGNWGICFVYGTWFAIKGLEAAGRTYNNCEAVRKGVDFLLKTQREDGGWGEHYTSCTNKKYTAQDSTNLVQTALGLMGLIHGRQAERDPTPIHRAARVLMNGQLDDGDFPQQELMGVFMRNAMLHYAAYRNIFPLWALGEYRTLVQLPTKRT >ONI18886 pep chromosome:Prunus_persica_NCBIv2:G3:23738000:23738969:-1 gene:PRUPE_3G246000 transcript:ONI18886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGEVTGLHYLVPSSSSSPYPPHFSMSTQSNTTPFQFNRFSSPLYNLQFPNSHLQEINNPQQPSSFSSNSTSDEADEHQLSLINERKQRRMISNRESARRSRMRKQKHLDELWSQVVWLRNENHQLVDKLNHVSESHDKVLQENAQLKEQASELRQMLTDLQLHSPYHPSLRDLEDVPCNTAYLRSESSNQSITSSMDLLG >ONI16489 pep chromosome:Prunus_persica_NCBIv2:G3:7884267:7890433:1 gene:PRUPE_3G101600 transcript:ONI16489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNVRVRESMVVKPEEETPKRALWISDLDVVISRTHVGSVYFYRRPNVFKCSLAKALVPFYPVAGRLKLNDEGRIEIDCNAEGVLFLVAETDSVLDDFGDFAPTLEFRKLIPAVDYSAGISSYPLLVLQVTYFKCGGVSLGVGLEHRVADGVSGLHFVNTWSDIARGCDITIPPFIDRTLLRARDPPQPAFHHIEYQPDPPIKTPPRSTNASSVESTTVSIFRLTLEQLNMLKAKSKEDGNTIEFSTFEMLAGHVWRCASNARQLPDDQETKLHVALDGRSRLEPPLQPGFFGNVVFAAAPIAAAGNLKSKPTWYAARCVHDAVVRMDNDYLRSALDYLKLEPDLSSLVRGAHTFRSPRLGIASWARLPIQ >ONI15197 pep chromosome:Prunus_persica_NCBIv2:G3:2234789:2238287:-1 gene:PRUPE_3G029900 transcript:ONI15197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHRHFGVDHDGDDEFRYLIVRPEKGGLRDLFRYSVLQKVDSGVRFLEGSDEEVVGRVAGDHRWVIVVSIIARKIIGLFGKPLEWTGYLVDFILNLLSLNGGLFGLLITLLHGKVVVPQRGTETFISTIGHFDGRIDLYKGENLAETSLKAELGNNRALMDLCMMAAKLAYENAQVVRYIVVDHWKMHFVKFYNCWNDFQKQMSTQVFMLCDKPKDANLILISFRGTEPFDADDWSTDFDYSWYEVPKLGKVHMGFLEALGLGNRTNAVTFYNQLIEMQKEFTPANCVDVSQRSSEGSKASSSNIDSHMKQGGGDRHSKKIVPPDMGEKSAYYAVRRKLKSLLEEHKNAKFVVTGHSLGGALAILFPSVLVLHEEMELMQRLLGVYTFGQPRVGNRKLGRYMEAHLNSMVPKYFRVVYCNDLVPRLPYDDKTFLYKHFGVCLYYDSQFNEQRMEEEPNRNYFGIRYLIPEYLNAVWELIRGLTMGYTYGPEYREGWFSILLRIIGLVAPGVSAHCPTNYVDSVRLGKERIVQMSSF >ONI15196 pep chromosome:Prunus_persica_NCBIv2:G3:2234789:2238288:-1 gene:PRUPE_3G029900 transcript:ONI15196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHRHFGVDHDGDDEFRYLIVRPEKGGLRDLFRYSVLQKVDSGVRFLEGSDEEVVGRVAGDHRWVIVVSIIARKIIGLFGKPLEWTGYLVDFILNLLSLNGGLFGLLITLLHGKVVVPQRGTETFISTIGHFDGRIDLYKGENLAETSLKAELGNNRALMDLCMMAAKLAYENAQVVRYIVVDHWKMHFVKFYNCWNEPFDADDWSTDFDYSWYEVPKLGKVHMGFLEALGLGNRTNAVTFYNQLIEMQKEFTPANCVDVSQRSSEGSKASSSNIDSHMKQGGGDRHSKKIVPPDMGEKSAYYAVRRKLKSLLEEHKNAKFVVTGHSLGGALAILFPSVLVLHEEMELMQRLLGVYTFGQPRVGNRKLGRYMEAHLNSMVPKYFRVVYCNDLVPRLPYDDKTFLYKHFGVCLYYDSQFNEQRMEEEPNRNYFGIRYLIPEYLNAVWELIRGLTMGYTYGPEYREGWFSILLRIIGLVAPGVSAHCPTNYVDSVRLGKERIVQMSSF >ONI16482 pep chromosome:Prunus_persica_NCBIv2:G3:7740788:7742805:-1 gene:PRUPE_3G100900 transcript:ONI16482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWTKTSLTPTPSMDTSQWPILLKTYDRLNVRTAHYTLVAVLEFESRLGKIYILNSMIRVNFINKHKKIHIVLNT >ONI15833 pep chromosome:Prunus_persica_NCBIv2:G3:4581624:4584639:1 gene:PRUPE_3G064100 transcript:ONI15833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPPSSSTQRSPTKMLERALSSRRNALYADEAAAENDAVAADESKTKKHLPISFFTTRITNYLTRTGPVWPCLLLLALVLLLIFSLIFNSRRFVCVSPYDPVSRSGFFGFDGLESDFGSLGVPWCRSKHGKTVEWTSKDLIKGLEVFVPIYETRPIKNNQFGMGFDHSFGLWFIAQWLKPDLMIESGAFKGHSTWVLRQAMPDTPIITLTPRHPEKYLKKGPAYVDGNCTYFSGKDFLDFGSIDWRKLMKKHGITDLGRVLIFFDDHQNELKRVRQALKAGFRHLVFEDNYDTGTGDHYSLRQICDQPYIRGGGHSCFKDSDEARIRSRRKKFWEKAVDIDELCGPGEAWWGVRGYMLDDFNNSKSNKLISFSEHFQNSRFVESILDVYWEVPPVAGPSLTHQTRYDPARAVTPVVEDGRYGLFQRLGLTRLDPSVFNGYTQMAYLQIYKQ >ONI18071 pep chromosome:Prunus_persica_NCBIv2:G3:20674764:20678535:1 gene:PRUPE_3G195500 transcript:ONI18071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKSNHNPHSGDGASPGKIFIGGLAKDTTLDTFVKYFEKYGEIIDSVIMKDRQTGRPRGFGFITYADPSVVDQVMEETHVINDKQVEIKRTIPKGSGQGNDFKTKKIFVGGIPTTVTEDEFKSFFSQFGKVVEHEIIRDHVTKRSRGFGFVVFDNEKVVDNVLANGNRIDMAGTQVEIKKASPKKASNPAHVPAFGSDSRAHPYNDSFGGFGDSMSGFGPGGYGAGGYGPASYRSLGGFGSRFGDYGGYPDGNDFGGGFGGFGGGGAFSGYRGESSFGYSSRFGSYGGGIGGGYGGSGLGAYGREARGYGGYDGSGSADGYDSGPGASYGGTRSLYGSRAGYGGSSRYHPYGR >ONI16939 pep chromosome:Prunus_persica_NCBIv2:G3:13498505:13498747:-1 gene:PRUPE_3G131600 transcript:ONI16939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSCNVVHGKLYAHGSMRVVPSKIYLYGMVECKNDLSGVDCKKCIDVARSELLGHSYKMKVGRAIYGSCNNRNITPFTT >ONI18273 pep chromosome:Prunus_persica_NCBIv2:G3:21353759:21357322:-1 gene:PRUPE_3G206600 transcript:ONI18273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPLGCGVETTWKRLIEGDCGIRALTPQDLKMNGFDTETQSYTYDQLTSKVAAIVPCGTNPGEFNEDLWFNSKEHRSMARFIGYALCAAEEALKDANWMPTEPDQKERTGVSVGGGTGSISDILDAAQLICEKRLRRLSPFFIPRILINMASGHISMKYGFQGPNHAAVTACATGAHSLGDATRMIQFGDSDVMVAGGTESSIDALSIAGFCRSRALTTKYNSSPQESSRPFDCGRDGFVIGEGSGILVLEELEHAKNRGAKIYAEIRGYGMSGDAHHITQPHADGRGAILAMTSAFKQSGIHPKQVDYVNAHATSTPLGDAIEANAIKHIFSDHATSGALALSSTKGAIGHLLGAAGAVEAIFSVLAIHHGIAPLTLNLSKPDPVFNDAFMPLTASKEMPIRAALSNSFGFGGTNASLLFASAP >ONI18272 pep chromosome:Prunus_persica_NCBIv2:G3:21353314:21357540:-1 gene:PRUPE_3G206600 transcript:ONI18272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVGALKAMAAPAWHKLFPRRMSSSFFDPPPPVRSRRVVVTGLGMVTPLGCGVETTWKRLIEGDCGIRALTPQDLKMNGFDTETQSYTYDQLTSKVAAIVPCGTNPGEFNEDLWFNSKEHRSMARFIGYALCAAEEALKDANWMPTEPDQKERTGVSVGGGTGSISDILDAAQLICEKRLRRLSPFFIPRILINMASGHISMKYGFQGPNHAAVTACATGAHSLGDATRMIQFGDSDVMVAGGTESSIDALSIAGFCRSRALTTKYNSSPQESSRPFDCGRDGFVIGEGSGILVLEELEHAKNRGAKIYAEIRGYGMSGDAHHITQPHADGRGAILAMTSAFKQSGIHPKQVDYVNAHATSTPLGDAIEANAIKHIFSDHATSGALALSSTKGAIGHLLGAAGAVEAIFSVLAIHHGIAPLTLNLSKPDPVFNDAFMPLTASKEMPIRAALSNSFGFGGTNASLLFASAP >ONI15283 pep chromosome:Prunus_persica_NCBIv2:G3:2588461:2589559:1 gene:PRUPE_3G035600 transcript:ONI15283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSWTDDNYVRRFWGCLDYGIRRGCTFFEWYDPRVCKRLKIVIPRLLK >ONI15704 pep chromosome:Prunus_persica_NCBIv2:G3:4017399:4024487:-1 gene:PRUPE_3G056600 transcript:ONI15704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASISRPRFRCFDPTSSYPATADHPRYFSSAVSLSSSSSSSSSSSSSSPRENGFRDTMAISNNSEPKIVPGEGGYVLEDVPHLVDYIPDLPTYPNPLQDNPSYSVVKQYFVNADDTVAQKIVVHKDSPRGTHFRRSGPRQKVYFESDEVHACIVTCGGLCPGLNTVIRELVCGLNHMYHVKRILGIEGGYRGFYARNTIPLTPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYVIGGDGTQRGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDSAVEEAQRAINAAHVEAESVENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLYEYIEKRLKEDGHIVIVIAEGAGDVLLSESLPKDEQDASGNKLLQDVGLWLSQNIKDHFSKRRKMNITLKYIDPTYMIRAIPGNASDNVYCTLLAHSAIHGAMAGYTGFTVGPVNGRHAYIPFCRVTERQNKVVITDRMWARLLSSTNQPSFLDPKEVAKKVAETKKEDTEAEAQLIDAENHVEATASSDPKDCSVCDPNV >ONI15706 pep chromosome:Prunus_persica_NCBIv2:G3:4018880:4024487:-1 gene:PRUPE_3G056600 transcript:ONI15706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASISRPRFRCFDPTSSYPATADHPRYFSSAVSLSSSSSSSSSSSSSSPRENGFRDTMAISNNSEPKIVPGEGGYVLEDVPHLVDYIPDLPTYPNPLQDNPSYSVVKQYFVNADDTVAQKIVVHKDSPRGTHFRRSGPRQKVYFESDEVHACIVTCGGLCPGLNTVIRELVCGLNHMYHVKRILGIEGGYRGFYARNTIPLTPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYVIGGDGTQRGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDSAVEEAQRAINAAHVEAESVENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLYEYIEKRLKEDGHIVIVIAEGAGDVLLSESLPKDEQDASGNKLLQDVGLWLSQNIKIPLT >ONI15705 pep chromosome:Prunus_persica_NCBIv2:G3:4018660:4024584:-1 gene:PRUPE_3G056600 transcript:ONI15705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASISRPRFRCFDPTSSYPATADHPRYFSSAVSLSSSSSSSSSSSSSSPRENGFRDTMAISNNSEPKIVPGEGGYVLEDVPHLVDYIPDLPTYPNPLQDNPSYSVVKQYFVNADDTVAQKIVVHKDSPRGTHFRRSGPRQKVYFESDEVHACIVTCGGLCPGLNTVIRELVCGLNHMYHVKRILGIEGGYRGFYARNTIPLTPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYVIGGDGTQRGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDSAVEEAQRAINAAHVEAESVENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLYEYIEKRLKEDGHIVIVIAEGAGDVLLSESLPKDEQDASGNKLLQDVGLWLSQNIKDHFSKRRKMNITLKYIDPTYMIRAIPGNASDNVYCTLLAHSAIHGAMAGYTGFTVGPVNGRHAYIPFCRVTERQNKVVITDRMWARLLSSTNQPSFLDPKEVAKKVAETKKEDTEAEAQLIDAENHVEATASSDPKDCSVCDPNV >ONI17517 pep chromosome:Prunus_persica_NCBIv2:G3:18337853:18339197:1 gene:PRUPE_3G164200 transcript:ONI17517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSWRRRKSTRHANKKKEFLAGENLDMEMEEIAVPTHFRCPISLDLMKDPVTLSTGMTYDRSSIEKWIETGNRTCPATNQELKSFDQIPNHALRRMIQDWCVENRSYGIERIPTPRIPVTKYEVSRICERIAAAARLGDGRKCGELVGKIKAWGKESERNKKCMVENGIGCCLSAALDSFASISMEDHVEILLEILSMLPWMFPVSEEGQSKLGSAGSLRCFLSFLEGKDLSARQNAVLVLGKLLSLDQKHVDDFVESEGAIEALVGIIREPISPAATKAALTSVLFMISFPSAASDEIRSRFVELGLVSLLLEIIVDAERRLCERALGVLEGLCDCKQGKDKVLENALTIPLLVKKILRISELATEFSIAIIWKLCRIETEESVLIEALQVGAFQKLLVLLQVGCGDGIKEKVTDLLKLFNLHSSKMDCVNSSMDFKNLKRPFLG >ONI16930 pep chromosome:Prunus_persica_NCBIv2:G3:13341403:13344812:-1 gene:PRUPE_3G130700 transcript:ONI16930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRAQQDASPSSHWCTYDAFLSFRATDTRKGFADHLYRALEVAGIHTFRDDDEIERGANILAELQKAIQESRVSIIVFSKDYASSRWCLDERVTIMDRRETNEHMVMPIFYDVDPFHRFNKETDKVEKCRKALRDVADLGGMVLGDRSESQFIQEIVEVIGNKLDHTWNRRLRVDPYVVGIDYRVKGLNMWLEDGSSDVGVAVVYGMGGIGKTTIAKTAYNQNFYKFQGSSFLADIRATSKLPNGLVHFQKNLLSDLQKGKAKKIYSLDEGITKIKQATRCKRVLIALDDVDNLEQFNAILGMREWLHPGSKIIITTRHEHLLKAHENCAMFKVKGLNENESLELFSWHAFRQPHPGAGYMDLSRPVVQHCGGVPLALQVLGSSLFGKAADVWKNALQNLDVITEGKVQKILRISFDSLQDHDKRLFLHIACYFIGKQKDFSTTVLDECGFATNIGIQNLKLTMHQLLQDMGRGIIREESPEDPGKRTRVWNKNASNVLRKLTGTETIKGLMLNIPMLIKDESSKITSSGSNRKRFHVEDYDGNCSSSRRRLGFFSWQSISFSSTNSFPVSNGIGFKTEAFRRMHNLELLLLDNVKISGGYEDFSKNLIWLSSRGFALKSIPTNFRLENLIALDLRNSSLQHVWKGTKFLPRLKILNLSHSHGFVTTPDLSGFPNLERLILKVCINLKEVDESIGDLEKLVFLNLKDCKNLMKLPIRISMLQSLQKLILSGCSNLVLPASMIVKNQLDSPPSDMKKVCLLSAVKSWQSIRSWVLPRRYLQLTSASLPQFLKSLDMAYCNLSEIPNDLSSLSSLEYLKLSGNPFLSLSVNMNGLSKLQTLLLDRCTNPEMIPELPPSVKTLRAYRCTSLKRVLRNLPNMIPKIGNAFLICKNLVEIQTMFKTRPLRSVNIEMIKDIGQFNLESIGSTEVEMLNYLTCTTRK >ONI19649 pep chromosome:Prunus_persica_NCBIv2:G3:26015023:26016145:1 gene:PRUPE_3G289400 transcript:ONI19649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNCWLIKGQQGHEYTLKLNEAYKVLMRENLRKEYDASIGEMRGNYSSSSLGRTSSWNGPLRPQGLFVDENACIGCRECVHHASSTFIFDEALGCARVKLQYGDDEQKIEVSVDSCPVNCIHWVENEELPVLEFLIQPQPKEGFGIFGGGWERPANVFMAAKSFNKQTHNENHGRNSRASTVEEEETPAQAKARADASRKINMEAASGLFSRFWQWQNKPFWGEKEE >ONI19648 pep chromosome:Prunus_persica_NCBIv2:G3:26014405:26016371:1 gene:PRUPE_3G289400 transcript:ONI19648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSAVSISQFSLPKAFQVEDHFTAISKPIWRWRRQGCPASRFRCGKREAWKMKATNMGKNYYELLGVSVDSNAQKIKQAYRNLQKKYHPDVAGQEGHEYTLKLNEAYKVLMRENLRKEYDASIGEMRGNYSSSSLGRTSSWNGPLRPQGLFVDENACIGCRECVHHASSTFIFDEALGCARVKLQYGDDEQKIEVSVDSCPVNCIHWVENEELPVLEFLIQPQPKEGFGIFGGGWERPANVFMAAKSFNKQTHNENHGRNSRASTVEEEETPAQAKARADASRKINMEAASGLFSRFWQWQNKPFWGEKEE >ONI18068 pep chromosome:Prunus_persica_NCBIv2:G3:20660153:20661328:1 gene:PRUPE_3G195200 transcript:ONI18068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNHLIKIHLVCYQNQELATPCKKNKMPISYHLISSPLKQKEYPRTPKVSHFPLLPFHSNKMSKKMQKPINKISINQSLTAHQQIKHKEI >ONI19510 pep chromosome:Prunus_persica_NCBIv2:G3:25694882:25695082:1 gene:PRUPE_3G282100 transcript:ONI19510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISWIKLDPITLNHRQILSLIAFSIFSLFFITIHIDMLLENISFTETVNFLIYNMMLSIHHNILT >ONI15019 pep chromosome:Prunus_persica_NCBIv2:G3:1543579:1545131:-1 gene:PRUPE_3G021400 transcript:ONI15019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANNGILVHMETDSETNPSVSIFYPQVIGELTPFQGQQFETLEEVYDFYNQYAREAGFSVRSYSSKKSKDGEVIRKEYVCNKEGSWSTETSGVVKRCRGVGRESCKARLIVVKSKYGGYVVTIFEEAHTHPMTTPRRRHLLKSHRRISGVDQLVAQQLISVNAF >ONI17839 pep chromosome:Prunus_persica_NCBIv2:G3:19800301:19802351:-1 gene:PRUPE_3G182300 transcript:ONI17839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYNNRSTVKCYCPYGFEGSPYLLQACQEKQLSSSEVSVEKIKLFKSKELEKSTDNFSADRILGQGGQCTVYKGMLADGRIVAVKKSKIVDKGKLSEFINEVVILSQINHRNVVQLLGCCLETEVPLLVYEFISNGTISHYIHQQNEDFPLTWKMPSVPIYHRDIKSTNILLDEKYRAKVADFGTSRSVAIDQTHLTTHVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQKPISAITRSTEVEDQEYRSLATYFNISMQEDRLFDILDARVLMEGSETEIKLISNLAKRCLSLNGRNRPTMREITAELEALEISEKTEYSPQKYERSEF >ONI16144 pep chromosome:Prunus_persica_NCBIv2:G3:6018292:6018658:-1 gene:PRUPE_3G080900 transcript:ONI16144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPIPSSFSHFGGGTGLMIEYSSRPQKKATAHHRKTRPRKTQPWDVKRKPTVYTLLPPLPADWTLVSSADEASSSSPKEPPTTE >ONI19067 pep chromosome:Prunus_persica_NCBIv2:G3:24481828:24485482:-1 gene:PRUPE_3G256900 transcript:ONI19067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKHLLTTIARRRPHRPPAALTVAVRSSSTSPAVAESPLAPSLPSPPPPSAMIYDRLAEDVKSKIRRLENPDPRFLKYGSPHPSIADHTHILTAPETRVTTLPNGLRVATESNLAAQTATVGVWIDAGSRFENDATNGTAHFLEHMIFKGTDQRSARQLEEEVENMGGHLNAYTSREQTTYYAKVLQSDVPKALSILADILQNSKFDDNRILREREVILREMEEVEKQPDEVIFDHLHATAFQYSPLARTILGPANNIKTISKEHLQSYIKTHYTAPRMVIAASGAVRHEDIVETVKGLFTKLSGDPTTASQLVAKEPSFFTGSEVRMFDSDLPLAHFAVAFNGASWTDPDSIPLMVMQAMLGSWNKNAGGGKHMGSELAQRVAINDIADSYMAFNTNYKDTGLFGVFATAKPDCLDDLAYSIMYEITKLVYRVSEADVIRARNQLKSSLLLHLDGTSAVAEDIGRQLLTYGRRIPLAELFARIDAVNASTIKRVANRFIYDRDIAIASMGPVGELPDYNWFRRRTYWNRY >ONI17282 pep chromosome:Prunus_persica_NCBIv2:G3:16368546:16374398:-1 gene:PRUPE_3G150000 transcript:ONI17282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSDANPPTTQNSQTILDGNQLIAKALARFGVDRMFGVVGIPVTSLATRAVSLGVRFIAFHNEQSAGYAASAYGFLTGRPGVLLTVSGPGCVHGLAGLSNAMANAWPMVMISGSCDQKDFGRGDFQELDQIAAVKPFSKFSVKAKSIKEIPDCVFQALAKAGSGRPGGCYLDFPSDVLHQTISESDAESLVAAAERFREPEKVVNVPSSQIEEAVSLLRHAERPLIVFGKGAAFARAEDELGKLVERTGIPFLPTPMGKGLLPDTHELAATAARSLAIGKCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVDKEEIELRKPHLGLVGDAKLVLEKINKEIKDDPFCLGKSHSWVEAISNKAKDNVVKMEAQLAKEVVPFNFLTPMKIIRDAISGLGSPAPILVSEGANTMDVGRGVLVQTEPRTRLDAGTWGTMGVGLGYCIAAAVASPDRLVVAVEGDSGFGFSAMEVETLVRYQFPVVVIVFNNGGVYGGDRRSPDEISGPYKDDPAPTSFVPSAGYHTLIEAFGGKGYLVGTPEELKSALSESFSARKPAVINVIIDPYAGAESGRLQHKN >ONI18644 pep chromosome:Prunus_persica_NCBIv2:G3:22774985:22775798:1 gene:PRUPE_3G229400 transcript:ONI18644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSASKAIVAASVGIVEALKDQGICRWNSALRSVHQQAKTQLRSLSQANNKLSSSSASAAFSKVRDEKLKKSEESLRTVMYLSCWGPN >ONI18252 pep chromosome:Prunus_persica_NCBIv2:G3:21291628:21296517:1 gene:PRUPE_3G205200 transcript:ONI18252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFEGVIVSDTWLQSQFTQVELRTLKSRYLSIRNQSGRVIVGDLPPVFAKLKAFTEMFTEDEVKGILLESNKDSGEEIDFESYLRAYLNLQARATAKSGGSKSSFLKATTTTVHHAINESEKSSYVAHINSYLAEDSFLKKFLPLDPCTNSLFDLAKGGVLLCKLINVAVPGTIDERAINTKTSLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEARPYLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELLGLPPEKVLLKWMNFHLKKAGYEKQVTNFSSDVKDGEAYAYLLNALAPEHSGPAALDKKDPTERANLILEQAEKLDCKRYLTPKDIVEGSPNLNLAFVAQIFHHRNGLTTDSKTMSFAEMMTDDAETSREERCFRLWVLLEVLDKISSGAVNWKKATKPPIKMPFRKVENCNQVIEIGKELNFSLVNVAGNDIVQGNKKLILAYLWQLMRFSMLQLLKNLRLHSQGKAGKEITDADILNWANKKVKKAGRTSQMDSFKDRNLSSGVFFLELLSAVEPRVVNWSLATKGETEEDKKLNATYIISVARKLGCSIFLLPEDIIEVNQKMMLTLTASIMYWSLQQPESESESSLNSPDGSPTASADGETGLASTVSKLSMDGAASNNATSPHIENENPSKVENEQSSLENERNDPSDGK >ONI19663 pep chromosome:Prunus_persica_NCBIv2:G3:26050152:26052068:-1 gene:PRUPE_3G290200 transcript:ONI19663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRASTCSINRIFVVSANSPYYTKPLLNINFILKISALSSSSSSSALQTIPDSHSISVSNPLYHFLPQTQNPNNIVNLICSSLKQGNAHLSLLQNDIKELFPHLGAQEISRVLLRFHSDYSSALVFFNWVKNGLGLRPTTQNYCIVIHILACSKKFPQAMKLLWELIELVRDVSPKDDIFQNLVVCTEDCNWDPVIFDMLIKAYVKAGMIGDGFSTMKKAIRVGFIPSVIACNCLLNGLVKLNCIDQCWEVYEEMGRIGIHPNVYTFNILINVLCKDGDVDKVNAFLEKMEEEGFDPDIVTYNTLISSYCRKGRLEDAFYLYKIMYRRGVMPDMVSYTALMNGLCKQGKVREAHQIFHRMIDRGLDPDTVSYNTLISGYCHEGKMQESRSLLHEMIRNGICPDDFTCRIVIEGYGKEGKLLSALNLVVELQRFQVSISHDVYDYLVVALCQELRPFAAKSLLERSSKDGHLPGLDIYNKLIESLCENLYVAEALLLKAEMIRKNIKPDLFTYRTLICCLCHINRTVEGESLMKEMAESGLAPNLEICRSLIKGYCKERDVDKAETLLGLFAKEFQVFDSESYNTLVEVFCEDGDMAKLLELQDRMMKVGFAPNSLTFKYVIHGLWRTARPDKNKLRVE >ONI15220 pep chromosome:Prunus_persica_NCBIv2:G3:2300979:2311223:1 gene:PRUPE_3G031000 transcript:ONI15220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIKDFLAKMSDSGKQISISKKNKKQLFIALVAAILLVGAVIGIVTGVKSQNKNSGEDSEASAASHAILKSSCSSTLYPDLCFSTLAAPGAAKKVSSQKDVIELSLNITTTAVGHNFFAVKKLLKSRKKLTKREKVALHDCLENIDETLDELHAAVADLHEYPNKNKPLTQYADDLKTLISSAITNQETCLDGFSHDDADKKVRKVLEKGQVHVEQLCSNALAMIRNMTDNDIANASKMNVNRKLKEEVVEEKGWPEWLSVADRRLLQSSTVTPNVVVAADGSGNYKTVSEAVAAAPEKSSTRYVIRIKAGVYRENVDVPKKKTNIMFLGDGRTSTIITASRNVVDGSTTFNSATVAAVGEKFLARDITFQNTAGPSKHQAVALRVGSDLSAFYRVDILAYQDSLYVHSNRQFFEGCLVAGTVDFIFGNAAVVLQNCDIHARKPNSGQKNMVTAQGRTDPNQNTGIVIQKSRIGATSDLQAVKGSFKTFLGRPWKEYSRTVIMQSAISDIIDPAGWHEWSGTFALDTLFYGEYANTGAGAGISNRVTWKGFKVITSATEAQTFTPGSFIAGGSWLSSTGFPFSLGLRTANMDSMKSFKGYGKVDELEEQAFRRKARKRVIILTVSTIVLLAVIIGAVAGVLIHKRSNSSSPTPNSAPTTVLTPSASLKAVCDVTQYPNSCFSSISSLETSNTTDPEVIFKLSLHVAINAASKLAGLPSKFRRQAANDTGLNGTLSVCESLFEDVVDRLNDSLSSMDVNQGEKLLSAAKIDDLKTWLSTTLTDQETCLDSLQDLNSTLVKEFKVAMQNSTEFSSNSLAIVAKILGLLTNLNIPVHRRLLGVGGSEMGFPGWVGPGDRRLLDDNNATAQVVVAKDGTGRFTTIKEAVEAVPKKSTTRFVIHVKEGVYNENVLMDKSKWNVMMVGDGKDKTIVNGSLNFVDGTPTFSTATFAVAGKGFIAKDMGFINSAGPAKHQAVAFRSGSDLSVYYKCSFSGFQDTLYAHSNRQFYRECDIIGTIDFIFGNAAVVFQNCNIMPRQPMSNQFNTITAQGKKDPNQNTGISIQKCKISALDANNLTAPTYLGRPWKEFSTTVFMQSDIGSFLNPKGWKEWVSDVEPPNTIYYAEYQNSGPGSSVDQRVKWAGYKPSLTDRDAAKFTVESLIQGGDWLPAANVEFDSSL >ONI17102 pep chromosome:Prunus_persica_NCBIv2:G3:14537548:14538667:1 gene:PRUPE_3G137700 transcript:ONI17102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTKRLCTLVLRLMAVAATLSAAVVMATSHERSNVLGLSFEAKYNHTPAFRYFVIANAIVTVYGFLVLFLPSKSQLWRLVVALDMVLTILLTSGISAALAIGSVGRHGNSYAGWLPICGQVPKYCDQVKGALIASFVGLLIYAVLLLYAIHNALDPLFLHKT >ONI17103 pep chromosome:Prunus_persica_NCBIv2:G3:14537962:14538458:1 gene:PRUPE_3G137700 transcript:ONI17103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVADSFIFYRYFVIANAIVTVYGFLVLFLPSKSQLWRLVVALDMVLTILLTSGISAALAIGSVGRHGNSYAGWLPICGQVPKYCDQVKGALIASFVGLLIYAVLLLYAIHNALDPLFLHKT >ONI18681 pep chromosome:Prunus_persica_NCBIv2:G3:22906158:22908862:1 gene:PRUPE_3G232600 transcript:ONI18681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDILEPAVNLVENLHKKGFDEGYGDGLVAGKEEAKEVGLKHGFEVGEELGFYRGCVDVWNSAIRVDPTPFSLRVQKGVKQMEELIEKYPVMEPEDESVQDVMEALRLKFRAICASMATDKQGGEKMLKSMLGCCKVYISESRNRAALEAIERAAKLFSEAPIVNKFEDETYNRVGYTLVSKLAPKPSEDPCPLRMAVLAMVKAAFETIDLEMHCGSHPRLGVVDHICFHPLLGASLDQVAGVANSLGADVGSNLQVPTFLYGAAHEEGRTLDSIRRELGYFRPTSSGEQWVGGPKSEYLALKPDKGPPQVTQGKGVIVIGATRWVDNYNVPVFSTDIAAVRRIAKQVSGRGGGLPSVQAMALAHGECVIEVACNLLEPEKVGGDRVQLEVERLSEEEGIRVGKGYFTDFSQEKLIESYLLSGCCV >ONI18683 pep chromosome:Prunus_persica_NCBIv2:G3:22906191:22908862:1 gene:PRUPE_3G232600 transcript:ONI18683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLREECELVNCFLGCASTDKQGGEKMLKSMLGCCKVYISESRNRAALEAIERAAKLFSEAPIVNKFEDETYNRVGYTLVSKLAPKPSEDPCPLRMAVLAMVKAAFETIDLEMHCGSHPRLGVVDHICFHPLLGASLDQVAGVANSLGADVGSNLQVPTFLYGAAHEEGRTLDSIRRELGYFRPTSSGEQWVGGPKSEYLALKPDKGPPQVTQGKGVIVIGATRWVDNYNVPVFSTDIAAVRRIAKQVSGRGGGLPSVQAMALAHGECVIEVACNLLEPEKVGGDRVQLEVERLSEEEGIRVGKGYFTDFSQEKLIESYLLSGCCV >ONI18684 pep chromosome:Prunus_persica_NCBIv2:G3:22906191:22908862:1 gene:PRUPE_3G232600 transcript:ONI18684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLREECELVNCFLGCASTDKQGGEKMLKSMLGCCKVYISESRNRAALEAIERAAKLFSEAPIVNKFEDETYNRVGYTLVSKLAPKPSEDPCPLRMAVLAMVKAAFETIDLEMHCGSHPRLGVVDHICFHPLLGASLDQVAGVANSLGADVGSNLQVPTFLYGAAHEEGRTLDSIRRELGYFRPTSSGEQWVGGPKSEYLALKPDKGPPQVTQGKGVIVIGATRWVDNYNVPVFSTDIAAVRRIAKQVSGRGGGLPSVQAMALAHGECVIEVACNLLEPEKVGGDRVQLEVERLSEEEGIRVGKGYFTDFSQEKLIESYLLSGCCV >ONI18682 pep chromosome:Prunus_persica_NCBIv2:G3:22906191:22908862:1 gene:PRUPE_3G232600 transcript:ONI18682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLREECELVNCFLGCASTDKQGGEKMLKSMLGCCKVYISESRNRAALEAIERAAKLFSEAPIVNKFEDETYNRVGYTLVSKLAPKPSEDPCPLRMAVLAMVKAAFETIDLEMHCGSHPRLGVVDHICFHPLLGASLDQVAGVANSLGADVGSNLQVPTFLYGAAHEEGRTLDSIRRELGYFRPTSSGEQWVGGPKSEYLALKPDKGPPQVTQGKGVIVIGATRWVDNYNVPVFSTDIAAVRRIAKQVSGRGGGLPSVQAMALAHGECVIEVACNLLEPEKVGGDRVQLEVERLSEEEGIRVGKGYFTDFSQEKLIESYLLSGCCV >ONI18686 pep chromosome:Prunus_persica_NCBIv2:G3:22907539:22908733:1 gene:PRUPE_3G232600 transcript:ONI18686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVHCGNRLGFSAATDKQGGEKMLKSMLGCCKVYISESRNRAALEAIERAAKLFSEAPIVNKFEDETYNRVGYTLVSKLAPKPSEDPCPLRMAVLAMVKAAFETIDLEMHCGSHPRLGVVDHICFHPLLGASLDQVAGVANSLGADVGSNLQVPTFLYGAAHEEGRTLDSIRRELGYFRPTSSGEQWVGGPKSEYLALKPDKGPPQVTQGKGVIVIGATRWVDNYNVPVFSTDIAAVRRIAKQVSGRGGGLPSVQAMALAHGECVIEVACNLLEPEKVGGDRVQLEVERLSEEEGIRVGKGYFTDFSQEKLIESYLLSGCCV >ONI18685 pep chromosome:Prunus_persica_NCBIv2:G3:22906158:22908929:1 gene:PRUPE_3G232600 transcript:ONI18685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLREECELVNCFLGCASTDKQGGEKMLKSMLGCCKVYISESRNRAALEAIERAAKLFSEAPIVNKFEDETYNRVGYTLVSKLAPKPSEDPCPLRMAVLAMVKAAFETIDLEMHCGSHPRLGVVDHICFHPLLGASLDQVAGVANSLGADVGSNLQVPTFLYGAAHEEGRTLDSIRRELGYFRPTSSGEQWVGGPKSEYLALKPDKGPPQVTQGKELRNK >ONI18687 pep chromosome:Prunus_persica_NCBIv2:G3:22906158:22908929:1 gene:PRUPE_3G232600 transcript:ONI18687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSMLGCCKVYISESRNRAALEAIERAAKLFSEAPIVNKFEDETYNRVGYTLVSKLAPKPSEDPCPLRMAVLAMVKAAFETIDLEMHCGSHPRLGVVDHICFHPLLGASLDQVAGVANSLGADVGSNLQVPTFLYGAAHEEGRTLDSIRRELGYFRPTSSGEQWVGGPKSEYLALKPDKGPPQVTQGKGVIVIGATRWVDNYNVPVFSTDIAAVRRIAKQVSGRGGGLPSVQAMALAHGECVIEVACNLLEPEKVGGDRVQLEVERLSEEEGIRVGKGYFTDFSQEKLIESYLLSGCCV >ONI19773 pep chromosome:Prunus_persica_NCBIv2:G3:26369333:26372217:1 gene:PRUPE_3G296900 transcript:ONI19773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAQCITGLHAAAGPGLAEECAALMLIFLYSRVEEEEVKKGSVGA >ONI18232 pep chromosome:Prunus_persica_NCBIv2:G3:21160003:21161881:1 gene:PRUPE_3G203600 transcript:ONI18232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLKNVLPMFVFLLLASTAKAQSAALDVTSAKYGGKPGSDITQALEKAWTDACASTTPSKIVVPKGIFKFVGTTFKGPCKAAIEFQLQGTLQAPVDGSQLPKDDTWIGFDHVDGLTLSGGGTFDGQGAQSWKNNDCNKNRQCKSKHINLRFHVLTNSKILDVTSKDSKNFHVNLQKCEKVEINGFTVSAPKESMNTDGIHIGRSTGINITATTIVTGDDCISIGDGTKDLTVTNVTCGPGHGIAIGSLGRYPEEEPVSGINIKKCTLTDTTNGVRIKTWPASPIDSTASDIHFEDITMVNVGNPILIDQEYCPWNECKKGVPSKVKISNVSFKNIKGTCTDPVAVKLACSPGLPCENVELSDIDLKYTGDKGPITSVCSNVKPTITRVAQPLACATGAAAA >ONI19513 pep chromosome:Prunus_persica_NCBIv2:G3:25706078:25707711:1 gene:PRUPE_3G282400 transcript:ONI19513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDQKVASEDPKIDLFEDDDEFEEFNINEEWDEKEEGKDSAQQWEDDWDDDDVNDDFSLQLKKELENNAEKS >ONI19514 pep chromosome:Prunus_persica_NCBIv2:G3:25705969:25707711:1 gene:PRUPE_3G282400 transcript:ONI19514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDQKVASEDPKIDLFEDDDEFEEFNINEEWDEKEEGKDSAQQWEDDWDDDDVNDDFSLQLKKELENNAEKS >ONI19926 pep chromosome:Prunus_persica_NCBIv2:G3:26753630:26756624:1 gene:PRUPE_3G305400 transcript:ONI19926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNNNNPSKNLGASSSPFGNAGMVAQSMPTNPTFPQSQAQAQIGAGFQAQFQLSQAQAIAHAQSKAQAHAQAQAQQAHAQFQAQLQAQALSLNQNQAAGIGNLGSSSPSFSTPGNASLKRFPQKPPVRPPSVSPTNMMSPMRTMELTPAARKKKQKLPDKQLQDKVATILPESALYTQLLEFEARVDAALARKKVDIQEALKSPPCIQKTLRIYVFNTFANQIRTIPKKPNAEPPTWTLKIVGRILEDGVDPDQPGVVQKSNPFYPKFSSFFKRVTISLDQRLYPENHIIMWENARSPAPHEGFEVKRKGDKEFTVNIRLEMNYAPEKFKLSQPLMEVLGIEVETRPRIIASIWHYVKARKLQNQNDPSFFNCDPPLQKVFGEEKMKFTMVSQKISQHLFPPQPIHLEHKIKLSGNSPAGTACYDVLVDVPFPIQRELSALLANSEKNKEIDTCDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINTLIESQNKDLKLVAGVASRSAEKERRSDFFHQPWVEDAVIRYLNRKPVAGSDAPGSM >ONI19927 pep chromosome:Prunus_persica_NCBIv2:G3:26753827:26756631:1 gene:PRUPE_3G305400 transcript:ONI19927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNNNNPSKNLGASSSPFGNAGMVAQSMPTNPTFPQSQAQAQIGAGFQAQFQLSQAQAIAHAQSKAQAHAQAQAQQAHAQFQAQLQAQALSLNQNQAAGIGNLGSSSPSFSTPGNASLKRFPQKPPVRPPSVSPTNMMSPMRTMELTPAARKKKQKLPDKQLQDKVATILPESALYTQLLEFEARVDAALARKKVDIQEALKSPPCIQKTLRIYVFNTFANQIRTIPKKPNAEPPTWTLKIVGRILEDGVDPDQPGVVQKSNPFYPKFSSFFKRVTISLDQRLYPENHIIMWENARSPAPHEGFEVKRKGDKEFTVNIRLEMNYAPEKFKLSQPLMEVLGIEVETRPRIIASIWHYVKARKLQNQNDPSFFNCDPPLQKVFGEEKMKFTMVSQKISQHLFPPQPIHLEHKIKLSGNSPAGTACYDVLVDVPFPIQRELSALLANSEKNKEIDTCDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINTLIESQNKDLKLVAGVASRSAEKERRSDFFHQPWVEDAVIRYLNRKPVAGSDAPGSM >ONI19928 pep chromosome:Prunus_persica_NCBIv2:G3:26754275:26756421:1 gene:PRUPE_3G305400 transcript:ONI19928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNNNNPSKNLGASSSPFGNAGMVAQSMPTNPTFPQSQAQAQIGAGFQAQFQLSQAQAIAHAQSKAQAHAQAQAQQAHAQFQAQLQAQALSLNQNQAAGIGNLGSSSPSFSTPGNASLKRFPQKPPVRPPSVSPTNMMSPMRTMELTPAARKKKQKLPDKQLQDKVATILPESALYTQLLEFEARVDAALARKKVDIQEALKSPPCIQKTLRIYVFNTFANQIRTIPKKPNAEPPTWTLKIVGRILEDGVDPDQPGVVQKSNPFYPKFSSFFKRVTISLDQRLYPENHIIMWENARSPAPHEGFEVKRKGDKEFTVNIRLEMNYAPEKFKLSQPLMEVLGIEVETRPRIIASIWHYVKARKLQNQNDPSFFNCDPPLQKVFGEEKMKFTMVSQKISQHLFPPQPIHLEHKIKLSGNSPAGTACYDVLVDVPFPIQRELSALLANSEKNKEIDTCDEAICAAIRKIHEHRRRR >ONI16535 pep chromosome:Prunus_persica_NCBIv2:G3:8295301:8299298:1 gene:PRUPE_3G105000 transcript:ONI16535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKDIIFEFPGDIFMIFHVQKCFKSVRFRRNTSNSCSFFHTSSENCSPALSSILSSQQGSSQFEDPTRLPDLDIIIAKVSVGRTDDEILQSLAHDPAYNSIQLTDNLVGQLFHRFKNDWKSALGVFRWAESRSDYKLTPDAYDMMVDILGKMRQMDKIMGLLEKMRQDHLVTLNTVGKVMRRFAGAGKWEDAVRMFDQLGSFGLEKNTESMNLLLDTLCKENKVEQAREIFLELKSHISPNAHTFNIFIHGWCKIKRVDEAHWTIQEMKGHGCRPCVISYSTIILFYCHQYNFVKVYELFDEMEAQGCPPNVITFTSVMCYLAKSEEFEEALQLYERMKSAGCDPDTLFYNSLIHTLGRAGRVRDAIHVFEVEMPKKGVPPNTSTYNTMIAMFCHHAEEQKALDVLLQMENARLCKPDVQTYYPLFKMCFKTGKTDNFLSKLLDDMVNRHHLSLDLSTYTLLIHGLCRSNKCEWAYLLFEEMIGQGIKPRYKTCRLLWDEVKLKNMFGPADTIEDFMKKL >ONI16536 pep chromosome:Prunus_persica_NCBIv2:G3:8293893:8299360:1 gene:PRUPE_3G105000 transcript:ONI16536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFHVQKCFKSVRFRRNTSNSCSFFHTSSENCSPALSSILSSQQGSSQFEDPTRLPDLDIIIAKVSVGRTDDEILQSLAHDPAYNSIQLTDNLVGQLFHRFKNDWKSALGVFRWAESRSDYKLTPDAYDMMVDILGKMRQMDKIMGLLEKMRQDHLVTLNTVGKVMRRFAGAGKWEDAVRMFDQLGSFGLEKNTESMNLLLDTLCKENKVEQAREIFLELKSHISPNAHTFNIFIHGWCKIKRVDEAHWTIQEMKGHGCRPCVISYSTIILFYCHQYNFVKVYELFDEMEAQGCPPNVITFTSVMCYLAKSEEFEEALQLYERMKSAGCDPDTLFYNSLIHTLGRAGRVRDAIHVFEVEMPKKGVPPNTSTYNTMIAMFCHHAEEQKALDVLLQMENARLCKPDVQTYYPLFKMCFKTGKTDNFLSKLLDDMVNRHHLSLDLSTYTLLIHGLCRSNKCEWAYLLFEEMIGQGIKPRYKTCRLLWDEVKLKNMFGPADTIEDFMKKL >ONI14864 pep chromosome:Prunus_persica_NCBIv2:G3:978503:980732:1 gene:PRUPE_3G013700 transcript:ONI14864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESMNMLPKCEANYTALTPTTFLKRAAAFYANRTSVIYEGTRFTWGQTYDRCCRLASSLLSLNIVKHDVVSVLAPNVPAMYEMHFAVPMAGAVLNTVNTRLDAKNIASILRHSGAKVFFVDYQFVPLAREALRIFMSGASHEPSMPLVIVIDDIDSPTGIRLGELEYEQLIKKGNPRFVSVEVADEWDPVALNYTSGTTSEPKGVVYSHRGAYLSTLSLVLGWEMGSEPVYLWTLPMFHCNGWTFTWGVAARGGTNVCLRNTTAYDIYRNIHRHKVTHMCCAPIIFNILLEAKPHERRDLAVPVQILTGGAPPPAPLLEKIEPLGFKVTHAYGLTEATGPALVCEWQAKWNKLPRDDQAKLKARQGISILTLADVDVKNKETMESVPHDGKTMGEIVLRGSSIMKGYYKDSKETLKSFQNGWFWTGDVGVVHPDGYLEIKDRSKDVIISGGENISSVEVENMLHGHPKVMEAAVVAMPHPRWGESPCAFVALRSNAESTTESEIIAYCRKNLPHFMVPKKVEFLPQLPRNPTGKVLKNELRDRAKGFVVSENSQTVVDSDYQNQQILALSRL >ONI20107 pep chromosome:Prunus_persica_NCBIv2:G3:27225186:27226028:1 gene:PRUPE_3G315300 transcript:ONI20107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKVSALVKQIIAAVSSIAKNKTLALRSKTRAIKTRIIIFSLLNRRFVMSSISHKLQALLGQQQHHYPESDDDDHQEDQDQSKGKAGALVVNPSSSNNDIINVVVSHRSHQHQPVDLIINPTDDDHIHMTADSEDNIDIDHDIDHGYLHHLVEAQAQAGDHHLDVDRYCEEEDQFESVIDIVKNSKQQAGQAFSLEDDIDHVADLFIRRVHRQITIQKQHSFKSKRHQ >ONI14773 pep chromosome:Prunus_persica_NCBIv2:G3:433989:440711:1 gene:PRUPE_3G007200 transcript:ONI14773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFSVPVEEGREGQDGKPSVGPVYRNLLSQNAFPPPDRQISSSWDLFSQSVQKYPGNRMLGWREIVRGKPGPYIWKTYEEVYEEVLCVGSALRLSSVEPGSRIGIYGSNCPQWIMAMEACNAHSLICVPLYDTLGPGAVNYIVDHAEVEVVFIQDKKVKELLNPDCRSLQRLKVMVCFTSLTEEEKENAVQLGIKPYSWNEFIEMGKQNPSEILPPQTENICAIMYTSGTSGDPKGVVITHENVAYGVRGIDLFMEQFEDKMTADDVYLSFLPLAHILDRVIEEYFFRNGASVGYYHGDLNALKDDMMELKPTLLAGVPRVFEKIHEGIKKAVLELNPRRRKIFDILYRYKLAWMNMGYKNKNASPLADLLAFRKIKARLGGRLRLIISGGAPLSSEIEEFLRVTCCAFVVQGYGLTETCGPTTLGFPDEMCMIGAVGSVSVYNELRLDEVPDMGYNPLGNPPCGEICLRGKTVFSGYYKSPELTREAIKDGWFHTGDIGEILPNGTIKVIDRKKNLIKLSQGEYVALEYLENVYGIASIIEDIWVYGNSFKSMLVAVVVPEEDTTKKWAYLNGHMGSFSDICSLDQLKNHIMAELKLTAERNKLRGFEHIKGVIVEPRPLDMERDLVTATMKKKRHQLLKYYKVEIDQLYQTLDGRKWS >ONI19680 pep chromosome:Prunus_persica_NCBIv2:G3:26128536:26130670:1 gene:PRUPE_3G291500 transcript:ONI19680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKKSQVDGGLESEGKKWVIAGISVRTSLKPINTKLRAKESEAGEEEEASSTTPTAKEARIPEIFSCPPAPRKSRPPSRCNFNGVREFFTPPDLDSVFKLHVEKAN >ONI18760 pep chromosome:Prunus_persica_NCBIv2:G3:23234461:23239298:1 gene:PRUPE_3G237300 transcript:ONI18760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSPIANLKLLNHRNTVSPIANLKLLNHRNYEDWSFRVKVYLLAEDVWDLVEATTEPPKPEDGEVAFKAWRKNNAKALLAIQTSCGDDTYPIIKGITEAKAAWDALAEELKSSDSDKELKPSDFSSSDSDEELPSDSDEELKPSDSEELKLKPSDSEELKPSDSEELKPSDSKEETGHNNNDDESDVNYAPLYDSLKRGDWNAAKEFIDRHPEALTHRGSSSGGTALHEAIERKQLHIVEELLKLMTEEDLEIEDDNGCTAFFYALQKGMAAIVAKMVKKNKSLVTMRFTNVTGNRTPVLVAYTLGHWEIARFLYSLTPIHVLTQDNSGRDGAQLISNCFVHRNKFDIGWDLLRHCPKLVLTESYFGHSPLNSLAGIRSAFSSGISLRFWERWIYNNIQPQPAPINSDVCVNFEELEDDKRNRRDLISSVTDFFQGVVKNLHKRLGIHDLHEMRLHHDRILQILPLMCDVATSRNLDSKQTAFVKKAIFRAVEGGQVEFIKEMCKANPRIPLIMGDESGRTIFHYAVECRQEKVFSLIYRLSEYDRNHILTRADNFNNTILHAAGSLSAHLNHIQGAALQMQRELQWFKEVERILHPQHLEIRNKTEQITARELFTKNHKKLVKEGEESMKGTATSCTVVGALIVTIMFAAAFTVPGGNNQDTGFPIFLRKKFFRVFLISDSISLFSSTTSVMIFLGILTSRYAEDDFLRSLPTKMLLGLFTLFLSIAAMMVAFSSTLFIMLEGESWVSIPISLLAGVPIASFVWMQFPLFLDIFMFTYGRGILDKKCRAWE >ONI16589 pep chromosome:Prunus_persica_NCBIv2:G3:8719474:8720584:-1 gene:PRUPE_3G108400 transcript:ONI16589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNEICSETSAAEVEGYVLQLTNKLFSQGELFHFCASILELVIPVYKSRRAYGQLNRVGFYGGRFGKLDGKECAYREACDVRLGDIVEKLSHIYECGMDGNHTLHIIPDSRQVKADELQSSVCYLQITAVDSVMEDEDLGSRRERIFSLSTGSVCARVFERFFFDTPFTKNGKTQGGLEDQWNLQKMPLGMINSRTAALRNELEEPRSSEGDQLSRFQTLQGILQVSVAVQVNNGVPSVCTAFLSGEPATRLRSQELQQLIGALL >ONI16224 pep chromosome:Prunus_persica_NCBIv2:G3:6378424:6383751:1 gene:PRUPE_3G086000 transcript:ONI16224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKVDEVSVELSAPPAWKKKFFPKKGGTPRKNEIVFISPTGEEINNRKQLEQYLKSHPGNPAISEFDWSTGETPRRSARISEKVKVAPAPESEPPKKRGRKSSGSKDNKMEAAGGEVDGTNEIQMKDAEVAEKRDAEAEKEKDAEAEKEKDADVENDDKKTQGEEENEEKNVVTEDKAATEITENNKEEVPQAGEDQANGTCGKKQDETAAVTVEENGAAEKENVDAAAPHTEGEIKGNNDAAENGGKCNAEADEKIKTKDGEVVENGKAEQVVQADAPQNLQTPPLSR >ONI18581 pep chromosome:Prunus_persica_NCBIv2:G3:22406959:22407341:-1 gene:PRUPE_3G224800 transcript:ONI18581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGKRAVDDFEDLLPEMADKLGGEGLIRELCNGFQLLMDKDKGVITLESLRSNSALLGLQNLREDELVSMMRKGDMDGDGALNQMEFCVLMFRLSPELMEESWIWLEQAMQGEQDNKRRQS >ONI19762 pep chromosome:Prunus_persica_NCBIv2:G3:26322717:26323513:1 gene:PRUPE_3G296000 transcript:ONI19762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIQRVLRRSQPTRIIDALHNPAASNLILHQPHIDQTNPDPHQFLNLSPLLIVPKTQNFGSSGFSHIFPSFPFGICLNPISSSGLVSSEAVEVEFDDSRKVWADSVKKKRKRKMNKHKYRKLRKRLQRQT >ONI15194 pep chromosome:Prunus_persica_NCBIv2:G3:2221630:2227164:1 gene:PRUPE_3G029800 transcript:ONI15194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLDKPLLDPENFNRDGVDLERLPLEEVFEQLRTSPQGLSSEDAEARLKIFGFNKLEEKTENKFLKFLSFMWNPLSWVMEAAAVMALVLANGGVSPDWQDFVGIIILLIINSTISFIEENNAGNAASALMARLAPKTRVLRDGRWQEQDASILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKQGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQQVLTAIGNFCICSIAVGMILEIIVMFPIQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFNKDIDKDAVILFAARAARLENQDAIDAAIVNMLADPKEARANITEVHFLPFNPVDKRTAITYIDADGNWYRASKGAPEQILDLCPEKDEIAGRVHNIIDKFAERGLRSLGVAYQEVPEKTKESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGRDRDKEEHEALPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISQDRVKPSPKPDSWKLNEIFATGIVIGTYLALVTVLFYWVVVGTDFFETTFHVRDLSSNSEEISSAIYLQVSIISQALIFVTRSQGWSFLERPGTLLMCAFVLAQLVATLIAVYARISFAYISGIGWGWAGVIWLYSLIFYIPLDIIKFAIRYGLSGDAWNLLFDRKTAFTSKKDYGKEDRAAKWVLSQRSLQGLADMEINKTGKRSSLIAEQARRRAEIARLGEIHTLRGHVESVVRLKNLDLGVIQSAHTV >ONI15195 pep chromosome:Prunus_persica_NCBIv2:G3:2220625:2227608:1 gene:PRUPE_3G029800 transcript:ONI15195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLDKPLLDPENFNRDGVDLERLPLEEVFEQLRTSPQGLSSEDAEARLKIFGFNKLEEKTENKFLKFLSFMWNPLSWVMEAAAVMALVLANGGGEGPDWQDFVGIIILLIINSTISFIEENNAGNAASALMARLAPKTRVLRDGRWQEQDASILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKQGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQQVLTAIGNFCICSIAVGMILEIIVMFPIQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLVEVFNKDIDKDAVILFAARAARLENQDAIDAAIVNMLADPKEARANITEVHFLPFNPVDKRTAITYIDADGNWYRASKGAPEQILDLCPEKDEIAGRVHNIIDKFAERGLRSLGVAYQEVPEKTKESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGRDRDKEEHEALPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISQDRVKPSPKPDSWKLNEIFATGIVIGTYLALVTVLFYWVVVGTDFFETTFHVRDLSSNSEEISSAIYLQVSIISQALIFVTRSQGWSFLERPGTLLMCAFVLAQLVATLIAVYARISFAYISGIGWGWAGVIWLYSLIFYIPLDIIKFAIRYGLSGDAWNLLFDRKTAFTSKKDYGKEDRAAKWVLSQRSLQGLADMEINKTGKRSSLIAEQARRRAEIARLGEIHTLRGHVESVVRLKNLDLGVIQSAHTV >ONI17221 pep chromosome:Prunus_persica_NCBIv2:G3:16021735:16025567:-1 gene:PRUPE_3G146500 transcript:ONI17221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLFLLLLLLFFFYSFEVTTSFPSNANLSNCSRVFDCGPLRNLSYPFTGGSRSAYCGPPEFHINCVNDSPELTISSLSYRVLQLDPVRRNLKLARSDLWTSTCTDKVFNSTMKPEFFAYNESDDIYVSIFYGCNSTITTPKLSNWFHCNNSLAFQDSYYLLGPVPLDPIMSTFKCEIGITVPILKTTAAKLVANRSLFQEAINEGFTVNYTNPYDNQCAQCLGVNGLCGFDSDSSEPVCICGNRVCDPAGKKKEIAIGLAVGGAILFGIFIGFYIYSFIQKKKKKLAALAQSKEIPTPLTSKSVATPSTNLSQSQSIPSYPSFTSKSDYDKGSTYFGVQVFSYTELEEATENFNPAKELGDGGFGTVYYGKLQDGRVVAVKRLYENNFKRVEQFMNEVEILTRLEHRNLVKLYGCTSRRSRELLLVYEYIPNGTVADHLHGKRVESGFLSWPVRLSIAIETADALAFLHRNDVIHRDVKTNNILIDNDFCVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISSLQAVDTNRHRHDINLANMAINKIQNHLVNELVDPLLEFETNHVVRRMATAVAELAFRCLQQERDMRPTMDEVLDGLRAIQNEDLGSEDGQAVVLDIGADDVGLLRNMPPPLSPDSAGTDKLVSSSTPPSSF >ONI19365 pep chromosome:Prunus_persica_NCBIv2:G3:25370976:25373509:-1 gene:PRUPE_3G275000 transcript:ONI19365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSRPKACTFGFPKKKKNGRQRRIIRRRVSSSDRSHPKSVLTLQGSMDAAWFDANSAIESERDDEFYSVHDDVVSLNGSESASTLSISSPKGHNFSRQRTREPQPPLHSTSAVSGDEVVDESVGVDKMQALEHCGILQNACLPCLASPSGDKRINPATPSLRRKVLSFKWREGHSAAATAADPTPTLLSPKAFVKRPIAGSTIPYCPIEKSMPDCWSPLEPNTFKVRGKNYLRDKKKEPAPNCAAFYPFAADIFLSQRKIDHIARFVDLPAVNSAEDVPSILVVNVQIPLYPANFFQGESDGEGMNLVMYYKISESFSKELPPHFRESISRLINDEVERVRGFPVDTIAPFRERLKILGRVANLEDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLGENYFEIDLDMHRFSYISRKGIEAFHERMKLCILDFGLTIQGNKAEDLPEHLLCCVRWNKVDYNNKSSSGVLGQKSL >ONI19366 pep chromosome:Prunus_persica_NCBIv2:G3:25370663:25373819:-1 gene:PRUPE_3G275000 transcript:ONI19366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKEELFAGAFRLPIARTLRSMDAAWFDANSAIESERDDEFYSVHDDVVSLNGSESASTLSISSPKGHNFSRQRTREPQPPLHSTSAVSGDEVVDESVGVDKMQALEHCGILQNACLPCLASPSGDKRINPATPSLRRKVLSFKWREGHSAAATAADPTPTLLSPKAFVKRPIAGSTIPYCPIEKSMPDCWSPLEPNTFKVRGKNYLRDKKKEPAPNCAAFYPFAADIFLSQRKIDHIARFVDLPAVNSAEDVPSILVVNVQIPLYPANFFQGESDGEGMNLVMYYKISESFSKELPPHFRESISRLINDEVERVRGFPVDTIAPFRERLKILGRVANLEDLHLSTAEKKLMNAYNEKPVLSRPQHEFYLGENYFEIDLDMHRFSYISRKGIEAFHERMKLCILDFGLTIQGNKAEDLPEHLLCCVRWNKVDYNNKSSSGVLGQKSL >ONI20056 pep chromosome:Prunus_persica_NCBIv2:G3:27081708:27089237:1 gene:PRUPE_3G312800 transcript:ONI20056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMSSSAVWMGGDEDDAASWTRSSSNNNNNEGEPKKEQQSSWGASFSNFKSMLEGDWYMNNVLNPTHHQDLHSLPNHRQPDIRDIACFSENTLMLQQMDSSASCSPSQAFSLDPSPPQHLFPPKSCFSSLLNVVSTNPFDNGFDLGCDSGLLSSFQGNQPSNSSALMGFTTLNSQSQMGTPELSSSAEFPTTRLLPAPNNDTVLDGDFSLTGFQGFDGSGGAQLLNRPKPLRPLHVFPPVGAHPTLFQKRAALRQNSGGDGTDKLGNLEISGPRYGGVLESLERKRKKNVEGAMEEGSMDVSGLNYDSDEFNEYSQVEENVKNAGSNSNANSTVTGVDGDRKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESAPPGSLLPPSSCFQPLTPTPPTLPCRVKEELCPSSFPSPKGQPAKVEVRVREGRTVNIHMFCARRPGLLLSAMRALDNLGLDIQQAVISCFNGFALDVFRAEQCRESQFLPEQIKAVLLDSAGFHEMM >ONI20057 pep chromosome:Prunus_persica_NCBIv2:G3:27081708:27084083:1 gene:PRUPE_3G312800 transcript:ONI20057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMSSSAVWMGGDEDDAASWTRSSSNNNNNEGEPKKEQQSSWGASFSNFKSMLEGDWYMNNVLNPTHHQDLHSLPNHRQPDIRDIACFSENTLMLQQMDSSASCSPSQAFSLDPSPPQHLFPPKSCFSSLLNVVSTNPFDNGFDLGCDSGLLSSFQGNQPSNSSALMGFTTLNSQSQMGTPELSSSAEFPTTRLLPAPNNDTVLDGDFSLTGFQGFDGSGGAQLLNRPKPLRPLHVFPPVGAHPTLFQKRAALRQNSGGDGTDKLGNLEISGPRYGGVLESLERKRKKNVEGAMEEGSMDVSGLNYDSDEFNEYSQVEENVKNAGSNSNANSTVTGVDGDRKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESAPPGSLLPPSSCFQPLTPTPPTLPCRVKEELCPSSFPSPKGQPAKVNFVHLI >ONI16585 pep chromosome:Prunus_persica_NCBIv2:G3:8713526:8718564:-1 gene:PRUPE_3G108300 transcript:ONI16585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFSGISMIGATLVLLIVLSVLHHEVHGGLVGSDIDGCDVSQGNWVFDDSYPLYAAPSCPFLEKVFDCVKNGRPDRDYLKYRWQPSACSLPRFNGSRLLTELRGKSIMFIGDSLSLNQWQSLTCMLYTSVPEAKYTSVRTGGLSTFTFLEYNVKLLFSRNALIVNIVRTPDGRILKLDSISTQDDKLWSGVDVLIFNMWHWWVHTGRKQPWNFIRVGNETYKDMDRLVAYEKALHTWATWVDSNVDSNKTKVFFQGVSPDHSNGREWGDPQANNCSAQSDPLPGPNYPGEAHPAEKVVEKVLRTMSKPVHLLNVTTLSQLRKDGHPSVYGLGGHRGLDCTHWCLAGVPDTWNVLLYAALTQN >ONI16587 pep chromosome:Prunus_persica_NCBIv2:G3:8713526:8717773:-1 gene:PRUPE_3G108300 transcript:ONI16587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLCVYIFGATLVLLIVLSVLHHEVHGGLVGSDIDGCDVSQGNWVFDDSYPLYAAPSCPFLEKVFDCVKNGRPDRDYLKYRWQPSACSLPRFNGSRLLTELRGKSIMFIGDSLSLNQWQSLTCMLYTSVPEAKYTSVRTGGLSTFTFLEYNVKLLFSRNALIVNIVRTPDGRILKLDSISTQDDKLWSGVDVLIFNMWHWWVHTGRKQPWNFIRVGNETYKDMDRLVAYEKALHTWATWVDSNVDSNKTKVFFQGVSPDHSNGREWGDPQANNCSAQSDPLPGPNYPGEAHPAEKVVEKVLRTMSKPVHLLNVTTLSQLRKDGHPSVYGLGGHRGLDCTHWCLAGVPDTWNVLLYAALTQN >ONI16586 pep chromosome:Prunus_persica_NCBIv2:G3:8713526:8717752:-1 gene:PRUPE_3G108300 transcript:ONI16586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTIHVHSSISCSLCAYIYVCIVGATLVLLIVLSVLHHEVHGGLVGSDIDGCDVSQGNWVFDDSYPLYAAPSCPFLEKVFDCVKNGRPDRDYLKYRWQPSACSLPRFNGSRLLTELRGKSIMFIGDSLSLNQWQSLTCMLYTSVPEAKYTSVRTGGLSTFTFLEYNVKLLFSRNALIVNIVRTPDGRILKLDSISTQDDKLWSGVDVLIFNMWHWWVHTGRKQPWNFIRVGNETYKDMDRLVAYEKALHTWATWVDSNVDSNKTKVFFQGVSPDHSNGREWGDPQANNCSAQSDPLPGPNYPGEAHPAEKVVEKVLRTMSKPVHLLNVTTLSQLRKDGHPSVYGLGGHRGLDCTHWCLAGVPDTWNVLLYAALTQN >ONI16588 pep chromosome:Prunus_persica_NCBIv2:G3:8714161:8717485:-1 gene:PRUPE_3G108300 transcript:ONI16588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFAVGATLVLLIVLSVLHHEVHGGLVGSDIDGCDVSQGNWVFDDSYPLYAAPSCPFLEKVFDCVKNGRPDRDYLKYRWQPSACSLPRFNGSRLLTELRGKSIMFIGDSLSLNQWQSLTCMLYTSVPEAKYTSVRTGGLSTFTFLEYNVKLLFSRNALIVNIVRTPDGRILKLDSISTQDDKLWSGVDVLIFNMWHWWVHTGRKQPWNFIRVGNETYKDMDRLVAYEKALHTWATWVDSNVDSNKTKVFFQGVSPDHSNGREWGDPQANNCSAQSDPLPGPNYPGEAHPAEKVVEKVLRTMSKPVHLLNVTTLSQLRKDGHPSVYGLGGHRGLDCTHWCLAGVPDTWNVLLYAALTQN >ONI14746 pep chromosome:Prunus_persica_NCBIv2:G3:354434:356716:-1 gene:PRUPE_3G005900 transcript:ONI14746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCKLSLMQVLCISFFCFFLSTQARRHNHKHKHTHPHISQPPSPPPDSASPPEEATNPNNSSSGVFDVRSFGAVGDGQTDDTEAFKMAWDTACQSESAARILVPRGFSFMIQSTIFTGPCLGGLVLQVDGTLVPPDGPESWPKNASRHQWLVFYRINEMSLQGGGVIDGRGEKWWNLPCKPHRGINGTTLPGPCYSPVAIKFFMSSNLTVQGLKIKNSPQFHFRFDNCRNVHIESISISAPARSPNTDGIHIENTNDVQIYNSVISNGDDCVSIGSGCYDVDIRNITCGPGHGISIGSLGNHNSRACVSNITVRDSIIKETDNGVRIKTWQGGSGSVSGVTFSNIHMDNVRNPIMINQFYCLNKGCTNQTSAVFVSDILYSNIRGTYDVRSSPMHFACSDALPCTNLTLSEVELLPAVGDIVLDPYCWNAYGELQTLTIPPVSCLLEGVPRSLLDNDIEHC >ONI19307 pep chromosome:Prunus_persica_NCBIv2:G3:25181838:25184871:-1 gene:PRUPE_3G270800 transcript:ONI19307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSNSRTAPEKKVDESVGYQEIYSQEESELENARAEMGEVREENARLKLTLQHMEKDYQSLQCRFLDILRQEASKKATNVDVGVHRIEEPNQLLSLCLGRSPREPKNDETNTTNFTKLVQVDHEDLNANLTLGLANSKLMELPMELVRSQKPQETSLEEPKDHSEAGAGESLPPSSKTPKTTRNEDDEVPQQANAKRARVSVRVRCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPACPVRKQVQRCYEDMSILITTYEGTHNHPLPFTASSMASTTAAAASMLLSGSSTSQPGFGSTATLLNGSNFGVFDSSITNQLYLPKSSNPLLPTITLDLTASPSSSPIHLNRLSSSFASACPFPSSLSFCSSESNISPNSRGNGYLKYGSLPFDKGSFNLDQPYAEKNQQSSSQLSLTESLTKAITSDPNFKSVIAVALSSMVGGGAATHRNQGEGERLGHHLKWSEAAHQFTSHNPLIQNGKRCSTPSIFNRLSSSDSQK >ONI17004 pep chromosome:Prunus_persica_NCBIv2:G3:13924851:13926140:-1 gene:PRUPE_3G133500 transcript:ONI17004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVCAEQQHKFHPSHQLLSSKKSLHNTTIDIPPRKLLTRRTQTQDFPSSSPDMYSPRFSTADEALLRKFLPYNAAADDSDEDDAHSSDPYSSDHFRMFEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRRYHYSGTVCADYRRGSCSRGDSCEFAHGVFECWLHPARYRTEACKDGKQCKRKVCFFAHTPHQLRVLPVQSSADHTAAALSPTPKKKYGHLSNSVGSPGSNQHCCLFCHSAVTTSSPTSTLLGMSHLSPPLSPAGNHCSSVNGFHNSPVMSRCSGLGLGLDQMHASTGGMMSYKDVLAELVNSLEAVKFSEAAASSPINPNAPRWVDVSFNSTDDVDQQQQFILSPSTPSPSRPFGGRGINFSDGDENSSSRKSSLLGFDDNYYKLNNINDEIIDGGGGSGSGSSDPDLGWVNELLM >ONI19767 pep chromosome:Prunus_persica_NCBIv2:G3:26338867:26340845:-1 gene:PRUPE_3G296400 transcript:ONI19767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPAPEPGSSSFSSAVNGGKTLEECQDMIQRSLRIPMVKFLLKHLEQAGCGIGDRFIKAVHCDKQIAGGYARGEGILVCGNHMNIQDEVNQVVIHELIHAFDDCRAANLNWANCAHHACSEIRAGHLSGDCHYKRELLRGFVKIRGHEQDCVRRRVMKSVIANPYCSEAAAKDAMEAVWDVCYNDTQPFDRAP >ONI19768 pep chromosome:Prunus_persica_NCBIv2:G3:26337406:26340864:-1 gene:PRUPE_3G296400 transcript:ONI19768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPAPEPGSSSFSSAVNGGKTLEECQDMIQRSLRIPMVKFLLKHLEQAGCGIGDRFIKAVHCDKQIAGGYARGEGILVCGNHMNIQDEVNQVVIHELIHAFDDCRAANLNWANCAHHACSEIRAGHLSGDCHYKRELLRGFVKIRGHEQDCVRRRVMKSVIANPYCSEAAAKDAMEAVWDVCYNDTQPFDRAP >ONI19229 pep chromosome:Prunus_persica_NCBIv2:G3:24943788:24944898:-1 gene:PRUPE_3G266100 transcript:ONI19229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPWFLRVFIVSCLLALCFSHGFGRNLMGTIDLEDFSVLVSKETHGKPRKMITVMDYADPEPNVNPRTGYIFTPPPQPN >ONI19228 pep chromosome:Prunus_persica_NCBIv2:G3:24943715:24944941:-1 gene:PRUPE_3G266100 transcript:ONI19228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPWFLRVFIVSCLLALCFSHGFGRNLMGTIDLEDFSVLVSKETHGKPRKMITVMDYADPEPNVNPRTGYIFTPPPQPN >ONI17704 pep chromosome:Prunus_persica_NCBIv2:G3:19218633:19223648:1 gene:PRUPE_3G175300 transcript:ONI17704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPISSSASPLQRLSTFKTSTPTSTATPTTATASPLDTLASDPIFSVFLSSSFSSTDFSSAALTSGSPASTAEKLQNAIRLLESQLRSEVLSRHDHLLSQLSSLHHADHALSTVRSSVLSLQSSLRRTRSELSDPLTSIRTLTVQLQNLHTSSDLLHHSIRALRLSSKLRSLASDDPERLDLAKAAQLHCEILALYNEYDLAGIDVVDAELEWVRETGDKLRNEAMRVLERGMEGLNQAEVGTGLQVFYNLGELRQAMDQLINKYKGMGVKTVSVALDMKAISGSGGGGFGPGGIRGGGGTPQIGGGAKAREAIWQKIGSCLDQLHSIMVAVWHLQRVLSKKRDPFTHVLLLDEVIQEGEPIITDRVWEALVKAFANQMKSAFTASSFVKEVFTMGYPKLFSMIDNLLERIARDTDVKGVLPAITSEGKEQLVSAVEIFQTSFLAHCLGRLSDLVNTVFPVSSRGSVPSKEHIARIITRIQEEIEAVQLDGRLTLLVLREIGKVLLLLAERAEYQISTGPEARQVSGPATPAQLKNFILCQHLQEIHTRVSSIITGLPAIAADVLSPSLGAIYGVACDSVTTLFQAMLDRLESCILQIHEQKFGVLGMDAAMDNNASPYMEELQKCILHFRSEFLSRLLPSKTATAGAETICTRLVRSMAARVLIFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSQLGGSPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQVWKGIKATLDDYATHVRARGDKEFSPVYPLMIRLGSSLTENAPATQKP >ONI17705 pep chromosome:Prunus_persica_NCBIv2:G3:19218633:19222893:1 gene:PRUPE_3G175300 transcript:ONI17705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPISSSASPLQRLSTFKTSTPTSTATPTTATASPLDTLASDPIFSVFLSSSFSSTDFSSAALTSGSPASTAEKLQNAIRLLESQLRSEVLSRHDHLLSQLSSLHHADHALSTVRSSVLSLQSSLRRTRSELSDPLTSIRTLTVQLQNLHTSSDLLHHSIRALRLSSKLRSLASDDPERLDLAKAAQLHCEILALYNEYDLAGIDVVDAELEWVRETGDKLRNEAMRVLERGMEGLNQAEVGTGLQVFYNLGELRQAMDQLINKYKGMGVKTVSVALDMKAISGSGGGGFGPGGIRGGGGTPQIGGGAKAREAIWQKIGSCLDQLHSIMVAVWHLQRVLSKKRDPFTHVLLLDEVIQEGEPIITDRVWEALVKAFANQMKSAFTASSFVKEVFTMGYPKLFSMIDNLLERIARDTDVKGVLPAITSEGKEQLVSAVEIFQTSFLAHCLGRLSDLVNTVFPVSSRGSVPSKEHIARIITRIQEEIEAVQLDGRLTLLVLREIGKVLLLLAERAEYQISTGPEARQVSGPATPAQLKNFILCQHLQEIHTRVSSIITGLPAIAADVLSPSLGAIYGVACDSVTTLFQAMLDRLESCILQIHEQKFGVLGMDAAMDNNASPYMEELQKCILHFRSEFLSRLLPSKTATAGAETICTRLVRSMAARVLIFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSQLGGSPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQVWKGIKATLDDYATHVRARGDKEFSPVYPLMIRLGSSLTENAPATQKP >ONI17838 pep chromosome:Prunus_persica_NCBIv2:G3:19796992:19799389:-1 gene:PRUPE_3G182200 transcript:ONI17838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDWFEIHCDNSTSPHKPFLRVAKLEVLNISIEGTLLVKNPVTFFFYRRKTPLAPNLTGSPFLYSQKYNRFTSVSCGFFALVRSYRDERVVGGCMSTCDKSAETAHNHGCIGINCCQTTLPQYLSVIETQIKSESEESSTSGNDRMLTRYNYAFLVEQDWFRNNLSNFRDVKDMDSVPVVLEWRLSLENMNRFSQNSMPYCRVYNVTSSTYNRSRLQCFCPPGFQGSPYLLQPCQDIDECKGPNMCPAHGRSHIGMLNFGGPTTCENFAGGHTCYSNTTGVACDYYGTGVFVYAGLGMGLGLLLLFLGAWYGAWHVYKVIKKRKDFKRKEIFFKRNGGLLLEKQLSSGEHNVEKVKLFKSKELEKSTDNFNINRILGQGAQGTVYKGMLTDGRIVAVKKSKIVDEGKLSEFINEVVILSQINHRNVVKILGCCLETEVPILVYEFIPNGTLSQYIQGKIEECSLTWKMRIRIATEIAGALFYLHGAASFPIFHRDIKSSNILLDENYRAKVADFGTSRSIATDQTHLTTLVNGTFGYLDPEYFQSNRFTEKSDVYSFGVVLVELLTGQKPIFAIAGSQEEDYTSLATYFITSMQEHRLFDVVDARVLKEGSETEIRIFANLARMCLNLNGRNRPTMREVTAELEALQISQKHL >ONI17099 pep chromosome:Prunus_persica_NCBIv2:G3:14527314:14536935:1 gene:PRUPE_3G137600 transcript:ONI17099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIMKHGKTDKDIHNLRQEIEILRKLKHENIIEMLDSFESPQEFCVVTEFAQGELFEIVEDDKCLHEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSIVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSIYALIRHIVKDPVKYPDNMSPSFKSFLKGLLNKVPQNRLTWPALLEHPFVKETSHELEAREMHSATAAERGCVSAWRREGNTVQTSVGLAVSSSGCQILDRLENNSGTVKGAQVIGQDNEALAQVLLPLKRCSQGSLDSSWDEDILNSNQSLRILSNLVAAGAIHSSALLDEIIHELLVYTGIIVSMKTSEVNELKEKSFSIIKFLVDNAGIGTGDSYFRHWVALTDIFSQVVGCSEDASGRVLYASIACITVVLTRLTQGLKACSSTSAPEAVSDLNGTLKRILDRAKTCGLVDQLCLCLVTAGSSLISGSSNMLCAACEACRAIWLLIDASENICTKRNAYSFPLSTLRSPSLQFDIRDQDQISLIGTESTKLVAAVTRAFLRSEAVQVAIRYCLHQRLEASLYASIQLLLRCCLHNGTVPGMLCGLPSSLPVTTVVSGGGDGTIISEIFSLLSLCMSSQNKDPQAMETTNFKCKLTNPTTFILHSCLILATIAQCLKATGRHSALFMLTTSPKKQLSRLSVLAHHFSSDETTNTSFQTHTASAMLALASILSLESGASVGSSVSRVAVPLIPRSTTLCEYLKLSSGNGIELGPNDTNGALSYWHGLRDGCVGLLESRLRWGGPVSIKQLCASNIPLLLVSLLTKNQQNVSPQEVDSTNDQVGLSPIGVVSTISSICHCLSGGALTFRQVLLRSDHIKNISDLISDMHLKLVKSWVGPGGGKDGVRDIINTVIDLLAFPFVTVQNAPGFPSATASVNSGALLNMGSPGVRVGMEDKDMVKVIEEDLGKYIKNLLEVRVPGIILRCLEHLELKDTGRPVAFLAKMIGHQSLALQLVRKEGLLEPTRMRRLLDCSSPREVVLDVLMIVSDLARKDEGFYGCINGASVLEFFKEFLTHEDPNVRSKACSALGNMCRHSSYFYSALARHQIIGLLLDRCSDPDKRTRKFACFAIGNAAYHSDMLYDELRRSIPHLAKFLVSTEEDKTKANAAAALSNLVRNSDKLCEDIVSKGAMQSLLKLVADCSVVALNPGRKDSVNESPLKIALLSLAKMCSHPPCRQFLRSSELFSVIGRLQQSPESRIANYATDIISKIADS >ONI17098 pep chromosome:Prunus_persica_NCBIv2:G3:14527314:14536935:1 gene:PRUPE_3G137600 transcript:ONI17098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIMKHGKTDKDIHNLRQEIEILRKLKHENIIEMLDSFESPQEFCVVTEFAQGELFEIVEDDKCLHEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSIVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSIYALIRHIVKDPVKYPDNMSPSFKSFLKGLLNKVPQNRLTWPALLEHPFVKETSHELEAREMHSATAAERGCVSAWRREGNTVQTSVGLAVSSSASSENSGISFQNDAQSDIYDCTTVNYSPNEFPGFANLKEVKQSGCQILDRLENNSGTVKGAQVIGQDNEALAQVLLPLKRCSQGSLDSSWDEDILNSNQSLRILSNLVAAGAIHSSALLDEIIHELLVYTGIIVSMKTSEVNELKEKSFSIIKFLVDNAGIGTGDSYFRHWVALTDIFSQVVGCSEDASGRVLYASIACITVVLTRLTQGLKACSSTSAPEAVSDLNGTLKRILDRAKTCGLVDQLCLCLVTAGSSLISGSSNMLCAACEACRAIWLLIDASENICTKRNAYSFPLSTLRSPSLQFDIRDQDQISLIGTESTKLVAAVTRAFLRSEAVQVAIRYCLHQRLEASLYASIQLLLRCCLHNGTVPGMLCGLPSSLPVTTVVSGGGDGTIISEIFSLLSLCMSSQNKDPQAMETTNFKCKLTNPTTFILHSCLILATIAQCLKATGRHSALFMLTTSPKKQLSRLSVLAHHFSSDETTNTSFQTHTASAMLALASILSLESGASVGSSVSRVAVPLIPRSTTLCEYLKLSSGNGIELGPNDTNGALSYWHGLRDGCVGLLESRLRWGGPVSIKQLCASNIPLLLVSLLTKNQQNVSPQEVDSTNDQVGLSPIGVVSTISSICHCLSGGALTFRQVLLRSDHIKNISDLISDMHLKLVKSWVGPGGGKDGVRDIINTVIDLLAFPFVTVQNAPGFPSATASVNSGALLNMGSPGVRVGMEDKDMVKVIEEDLGKYIKNLLEVRVPGIILRCLEHLELKDTGRPVAFLAKMIGHQSLALQLVRKEGLLEPTRMRRLLDCSSPREVVLDVLMIVSDLARKDEGFYGCINGASVLEFFKEFLTHEDPNVRSKACSALGNMCRHSSYFYSALARHQIIGLLLDRCSDPDKRTRKFACFAIGNAAYHSDMLYDELRRSIPHLAKFLVSTEEDKTKANAAAALSNLVRNSDKLCEDIVSKGAMQSLLKLVADCSVVALNPGRKDSVNESPLKIALLSLAKMCSHPPCRQFLRSSELFSVIGRLQQSPESRIANYATDIISKIADS >ONI17101 pep chromosome:Prunus_persica_NCBIv2:G3:14529583:14536935:1 gene:PRUPE_3G137600 transcript:ONI17101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSFKSFLKGLLNKVPQNRLTWPALLEHPFVKETSHELEAREMHSATAAERGCVSAWRREGNTVQTSVGLAVSSSVNSTASSENSGISFQNDAQSDIYDCTTVNYSPNEFPGFANLKEVKQSGCQILDRLENNSGTVKGAQVIGQDNEALAQVLLPLKRCSQGSLDSSWDEDILNSNQSLRILSNLVAAGAIHSSALLDEIIHELLVYTGIIVSMKTSEVNELKEKSFSIIKFLVDNAGIGTGDSYFRHWVALTDIFSQVVGCSEDASGRVLYASIACITVVLTRLTQGLKACSSTSAPEAVSDLNGTLKRILDRAKTCGLVDQLCLCLVTAGSSLISGSSNMLCAACEACRAIWLLIDASENICTKRNAYSFPLSTLRSPSLQFDIRDQDQISLIGTESTKLVAAVTRAFLRSEAVQVAIRYCLHQRLEASLYASIQLLLRCCLHNGTVPGMLCGLPSSLPVTTVVSGGGDGTIISEIFSLLSLCMSSQNKDPQAMETTNFKCKLTNPTTFILHSCLILATIAQCLKATGRHSALFMLTTSPKKQLSRLSVLAHHFSSDETTNTSFQTHTASAMLALASILSLESGASVGSSVSRVAVPLIPRSTTLCEYLKLSSGNGIELGPNDTNGALSYWHGLRDGCVGLLESRLRWGGPVSIKQLCASNIPLLLVSLLTKNQQNVSPQEVDSTNDQVGLSPIGVVSTISSICHCLSGGALTFRQVLLRSDHIKNISDLISDMHLKLVKSWVGPGGGKDGVRDIINTVIDLLAFPFVTVQNAPGFPSATASVNSGALLNMGSPGVRVGMEDKDMVKVIEEDLGKYIKNLLEVRVPGIILRCLEHLELKDTGRPVAFLAKMIGHQSLALQLVRKEGLLEPTRMRRLLDCSSPREVVLDVLMIVSDLARKDEGFYGCINGASVLEFFKEFLTHEDPNVRSKACSALGNMCRHSSYFYSALARHQIIGLLLDRCSDPDKRTRKFACFAIGNAAYHSDMLYDELRRSIPHLAKFLVSTEEDKTKANAAAALSNLVRNSDKLCEDIVSKGAMQSLLKLVADCSVVALNPGRKDSVNESPLKIALLSLAKMCSHPPCRQFLRSSELFSVIGRLQQSPESRIANYATDIISKIADS >ONI17097 pep chromosome:Prunus_persica_NCBIv2:G3:14527314:14536935:1 gene:PRUPE_3G137600 transcript:ONI17097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEGYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIMKHGKTDKDIHNLRQEIEILRKLKHENIIEMLDSFESPQEFCVVTEFAQGELFEIVEDDKCLHEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSIVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSIYALIRHIVKDPVKYPDNMSPSFKSFLKGLLNKVPQNRLTWPALLEHPFVKETSHELEAREMHSATAAERGCVSAWRREGNTVQTSVGLAVSSSVNSTASSENSGISFQNDAQSDIYDCTTVNYSPNEFPGFANLKEVKQSGCQILDRLENNSGTVKGAQVIGQDNEALAQVLLPLKRCSQGSLDSSWDEDILNSNQSLRILSNLVAAGAIHSSALLDEIIHELLVYTGIIVSMKTSEVNELKEKSFSIIKFLVDNAGIGTGDSYFRHWVALTDIFSQVVGCSEDASGRVLYASIACITVVLTRLTQGLKACSSTSAPEAVSDLNGTLKRILDRAKTCGLVDQLCLCLVTAGSSLISGSSNMLCAACEACRAIWLLIDASENICTKRNAYSFPLSTLRSPSLQFDIRDQDQISLIGTESTKLVAAVTRAFLRSEAVQVAIRYCLHQRLEASLYASIQLLLRCCLHNGTVPGMLCGLPSSLPVTTVVSGGGDGTIISEIFSLLSLCMSSQNKDPQAMETTNFKCKLTNPTTFILHSCLILATIAQCLKATGRHSALFMLTTSPKKQLSRLSVLAHHFSSDETTNTSFQTHTASAMLALASILSLESGASVGSSVSRVAVPLIPRSTTLCEYLKLSSGNGIELGPNDTNGALSYWHGLRDGCVGLLESRLRWGGPVSIKQLCASNIPLLLVSLLTKNQQNVSPQEVDSTNDQVGLSPIGVVSTISSICHCLSGGALTFRQVLLRSDHIKNISDLISDMHLKLVKSWVGPGGGKDGVRDIINTVIDLLAFPFVTVQNAPGFPSATASVNSGALLNMGSPGVRVGMEDKDMVKVIEEDLGKYIKNLLEVRVPGIILRCLEHLELKDTGRPVAFLAKMIGHQSLALQLVRKEGLLEPTRMRRLLDCSSPREVVLDVLMIVSDLARKDEGFYGCINGASVLEFFKEFLTHEDPNVRSKACSALGNMCRHSSYFYSALARHQIIGLLLDRCSDPDKRTRKFACFAIGNAAYHSDMLYDELRRSIPHLAKFLVSTEEDKTKANAAAALSNLVRNSDKLCEDIVSKGAMQSLLKLVADCSVVALNPGRKDSVNESPLKIALLSLAKMCSHPPCRQFLRSSELFSVIGRLQQSPESRIANYATDIISKIADS >ONI17100 pep chromosome:Prunus_persica_NCBIv2:G3:14529585:14536935:1 gene:PRUPE_3G137600 transcript:ONI17100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQDPVKYPDNMSPSFKSFLKGLLNKVPQNRLTWPALLEHPFVKETSHELEAREMHSATAAERGCVSAWRREGNTVQTSVGLAVSSSGCQILDRLENNSGTVKGAQVIGQDNEALAQVLLPLKRCSQGSLDSSWDEDILNSNQSLRILSNLVAAGAIHSSALLDEIIHELLVYTGIIVSMKTSEVNELKEKSFSIIKFLVDNAGIGTGDSYFRHWVALTDIFSQVVGCSEDASGRVLYASIACITVVLTRLTQGLKACSSTSAPEAVSDLNGTLKRILDRAKTCGLVDQLCLCLVTAGSSLISGSSNMLCAACEACRAIWLLIDASENICTKRNAYSFPLSTLRSPSLQFDIRDQDQISLIGTESTKLVAAVTRAFLRSEAVQVAIRYCLHQRLEASLYASIQLLLRCCLHNGTVPGMLCGLPSSLPVTTVVSGGGDGTIISEIFSLLSLCMSSQNKDPQAMETTNFKCKLTNPTTFILHSCLILATIAQCLKATGRHSALFMLTTSPKKQLSRLSVLAHHFSSDETTNTSFQTHTASAMLALASILSLESGASVGSSVSRVAVPLIPRSTTLCEYLKLSSGNGIELGPNDTNGALSYWHGLRDGCVGLLESRLRWGGPVSIKQLCASNIPLLLVSLLTKNQQNVSPQEVDSTNDQVGLSPIGVVSTISSICHCLSGGALTFRQVLLRSDHIKNISDLISDMHLKLVKSWVGPGGGKDGVRDIINTVIDLLAFPFVTVQNAPGFPSATASVNSGALLNMGSPGVRVGMEDKDMVKVIEEDLGKYIKNLLEVRVPGIILRCLEHLELKDTGRPVAFLAKMIGHQSLALQLVRKEGLLEPTRMRRLLDCSSPREVVLDVLMIVSDLARKDEGFYGCINGASVLEFFKEFLTHEDPNVRSKACSALGNMCRHSSYFYSALARHQIIGLLLDRCSDPDKRTRKFACFAIGNAAYHSDMLYDELRRSIPHLAKFLVSTEEDKTKANAAAALSNLVRNSDKLCEDIVSKGAMQSLLKLVADCSVVALNPGRKDSVNESPLKIALLSLAKMCSHPPCRQFLRSSELFSVIGRLQQSPESRIANYATDIISKIADS >ONI18725 pep chromosome:Prunus_persica_NCBIv2:G3:23025240:23026534:1 gene:PRUPE_3G234600 transcript:ONI18725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPGLSIKLIHRDSQPNLTQSHRTQKLILLSKARAMRLTKDLHSKYINNSNANVVPAKIYYQKDSTYMAQVSIGTFRRTPPISYFLDVDTGSGIIWIQCQECRNPGHHCFYQRQPLSPLWNPFLIKNLCIGNFCSYLVQYDDGATSEGYLASETFNFDSNSTSRNVVFGYGINQIKMDRYGTGGGNVAGILGLGWSSHSLVNQLGPIAQANIAGSRLTIPANYFQRRSGRGGTIIDTGTAHTFLVRPAYEMLERALVNYFSRMPSVFRIHRQRFFNLCYQRTTTRGGFNNLPTIAFHLSNADLVVQPQGAFYLGDIFGRYMIGAYQQTNQRFIYDVSRKTLNFGPADCARNP >ONI19074 pep chromosome:Prunus_persica_NCBIv2:G3:24496764:24499395:1 gene:PRUPE_3G257200 transcript:ONI19074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGKATDLQTTECTRQTEGRKIAINFGDHDEKEDNYLLDEKGDNYFIDYTRDNYLFKEEDDDDDDDDYFLDDEKGDNYSGEDRISELPDEILIAIVSLLDTWEGGRTCVISKRWRFLWASVTCLNFDRKEWEFTEPLRKPCICGLFKILQSHQGSTLERLRIGYCDLDSECCRSDVDNSIESAILQKRVQRLEIDDVRFSNDPYIFPERPFKTPFGVSCIKSLTHLSFNNTNIHSRIVCHFLSHCPLLEHLCIRRSSEIYVLKVVGPSLRLKFLQISDCPSVVKIEIFAPNLLSFIYDGRGPYRTGIVMKHAPSLVMLSLAEPCDCISKAFRSVSSCFSRLQTLSLRIGLGQRSIMLPESPQLSSLKKLSLAIHMGQNSKILMDLTSLIERSPFLHRLELRLKWSGLFRYCTSSVQKIKKCPHPCLKVVKISGFIGSRMDTMFAMYLIENSLVLEKLIFDLHKTYDFLSRKQYLSRKTIKKKIEATRKHALQIGKQLPPGAELIVI >ONI18249 pep chromosome:Prunus_persica_NCBIv2:G3:21287808:21290065:1 gene:PRUPE_3G205100 transcript:ONI18249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWRVCHHTKSTIKFLDKMELPVVFMLLLLCSSAAYAQTSKSPILSPTPAPAPAPEHVNLTDLLTVAGPFHTFLNYLESTKVLETFQDQANKTEEGITIFVPTDSAFSSLKKPSLSNLTADQLKSLILFHALPHYYSLSDFKNLSQSSPIPTFAGGQYTLNFTDVSGTVHLSSGWTDTKVSSSVLSTDPVAIYQVNKVLLPEAIFGTDIPPTPAPAPAPETAPAADAPTADGTNGSSSPGSSPGKSSSYRIISWGIWGHFVLAVSAGLVLFL >ONI18250 pep chromosome:Prunus_persica_NCBIv2:G3:21288315:21290065:1 gene:PRUPE_3G205100 transcript:ONI18250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWRVCHHTKSTIKFLDKMELPVVFMLLLLCSSAAYAQTSKSPILSPTPAPAPAPEHVNLTDLLTVAGPFHTFLNYLESTKVLETFQDQANKTEEGITIFVPTDSAFSSLKKPSLSNLTADQLKSLILFHALPHYYSLSDFKNLSQSSPIPTFAGGQYTLNFTDVSGTVHLSSGWTDTKVSSSVLSTDPVAIYQVNKVLLPEAIFGTDIPPTPAPAPAPETAPAADAPTADGTNGSSSPGSSPGKSSSYRIISWGIWGHFVLAVSAGLVLFL >ONI18251 pep chromosome:Prunus_persica_NCBIv2:G3:21287808:21290065:1 gene:PRUPE_3G205100 transcript:ONI18251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVVFMLLLLCSSAAYAQTSKSPILSPTPAPAPAPEHVNLTDLLTVAGPFHTFLNYLESTKVLETFQDQANKTEEGITIFVPTDSAFSSLKKPSLSNLTADQLKSLILFHALPHYYSLSDFKNLSQSSPIPTFAGGQYTLNFTDVSGTVHLSSGWTDTKVSSSVLSTDPVAIYQVNKVLLPEAIFGTDIPPTPAPAPAPETAPAADAPTADGTNGSSSPGSSPGKSSSYRIISWGIWGHFVLAVSAGLVLFL >ONI16403 pep chromosome:Prunus_persica_NCBIv2:G3:7256218:7258448:-1 gene:PRUPE_3G096200 transcript:ONI16403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRKSVCTEDSGSVSVYLNVYDLTPFNGYAYWLGLGVYHSGVQVHGVEYAFGAHEYPTTGIFEGEPKKCDGFTFRKSILIGKTDVGPLEVRAVMEELAEQYKGNAYNLITKNCNHFCNDACVRLTGNSIPSWVNRLARIGFLCNCVLPVTINSTKVRHHRIEDKADEGDKKKLTSQSNNLATSSNSSSSPSSSPSGTTTRRGRSRTRRARPPSSPLMIGSSSS >ONI17484 pep chromosome:Prunus_persica_NCBIv2:G3:18055153:18060106:-1 gene:PRUPE_3G161600 transcript:ONI17484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENSALIESILREQEEEEAERYGKKVNNERTQNGDAYGWQTVSYQKRNRKALSKTLPADSNGDLHIGASSASDVFRPIELHSEERRRKALEAQAAAASGDSAGGGSKRDSDDDDEDSNAEVSGTVENGEVKKVKTKKPKKPKVTVAEAASKIDADHLGAFLADITASYEKQQDIQLMRLADYFGRAFASVSSAQFPWLKTFKESTVAKLVDIPLSHISENVYKTSVDWIGHCSTEALGSFVLWSLDSILADLTNHQGAARGSKKVAQQAPSKSQVAIFVVLAMVLRRKPDVLINLLPVMKESPKYQGQDKLPITVWLIAQASQGDLVVGLYAWVHFLLPILSSKSSSNPLSRDLILQSVERILSSPKARPILLNGAVRKGEHIVPPSALDLLMRASFPAPSTRVKATERFEAVYPTLKEISLAGSPGSKTMRQVTQQILKYSVKAVKEGIPDLSKEASDIFIWCLTQNPECYRQWDMLYLENLDASVVVLKKLSDEWKKHASKHTSLDPLRETLKSFREKNDKALAVGDDFAHHSLLKDADKYCKLILGQLSQGHGCMKSMVLVSVALAVGAAIVSQNIQPEDLKKLAAMFNFPLTL >ONI17873 pep chromosome:Prunus_persica_NCBIv2:G3:19942248:19943676:-1 gene:PRUPE_3G184400 transcript:ONI17873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAELVFVPTPAVGHLVSAIEFSKRLLDVCDRFSITILVMKPPFEPSATLNSNTSAQSLAVSHGHIKIIDLPTVNRPLEFLQQSVEKYITVYIEGYKNHVREAIASHVLPSCSVSGLVVDMFCTTMIDVANELKVPSFLFFTSGAGFLGFLLHLSSRYDRVGTVFKESDSESVVPSYVNPVPASVIPSFAFSSEGYISFANHARRFKETKGIIVNTIFQLESHAVGSLSDGETPPVYTVGPLIGEHPAQHSDHQSKFDKVMMWLDDQPLRSVVFLCFGSSGSFDEAQLREIAIGLEQSKQRFVWSVRKDPPKGKFVVLEEHTSHEEFLPQGFLERTSGVGILCGWAPQVEILAHRAVGGFVSHCGWNSIMESLWHGVPVVTWPLYAEQQINAFQMVRDLGLAVELRLNYRKDGVDHFVVADEIERAVRCVMDGNDELRKKVQEMSGACRRAVGNGGSSSASFESLIEVMLASSN >ONI16229 pep chromosome:Prunus_persica_NCBIv2:G3:6393027:6397107:1 gene:PRUPE_3G086500 transcript:ONI16229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKMVVVFGVFVAVFVQCVAAQTVHVVGDSLGWTIPQSGQQYVTWASANKFAVGDFLIMPDVIILLVRTTTWHCHVVVPYHSIISPHTRRSLIILQFFNFATNAHDVQEVPYASFDSCSSDNTIGSSITTGPANVTLTSAGDNYFICTYGTHCQSGQKLAITVSAAAPGASPSGPTSAPPPPPPPTTPPTTSITVLERPCCLCSSQAIVTITVHGWGGPTRVLPAP >ONI16601 pep chromosome:Prunus_persica_NCBIv2:G3:8832090:8835004:1 gene:PRUPE_3G109300 transcript:ONI16601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQHAKNDQPLKPKPGARSPISKRTQQPLYPPSKLSGPLTETCSSSGNLGRTFKVELRSSINLPGRKFATTIISTNMRRTSQQGAIPSTHFSSKVRSSLYRPWRFAVIIKLMGRPLAYTFLRSRLLQRWALKGPMSLIDLENNYFIVKFLYEEDMRYVLTGGPWQIVGQCIVTQKWKPGFNAKKEKITHMTAWVDAHTLSQGRGKFARICAELDLAKPFTPFIKIEGRTYGVVYEGINLVCFECGCFGHGRDSCPIILQAKQQVPESDNADCMEDISTVQVNVNLGAATKEAEVPAKMHGEWMLLKPRNFRKNSTNDIGKGAELSKRNTKDTGTKAISPVFGSRFNVLIEEVGREEDMEGSTPVKTSDSSSKKQGSFANTYSTKGKSAGVKFASSRDSGTWVFKKPLKDISNSVVANSSGGGVKSAGPKSFSCHDIEPARLQVKGSDVQDDVRGKFSFNLGGSLFPKLPLGKGSLFFGHEPPDISEMGINEVEPSDASDMDHQGNFSEHCELDTGLEADSSFEHDGFEAVEAEHVQI >ONI18664 pep chromosome:Prunus_persica_NCBIv2:G3:22853111:22857078:-1 gene:PRUPE_3G231400 transcript:ONI18664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISSFFTRASRAFNGHPVASKLLVLCTLSSGGLLAYAESQSNVGSPSVVDDKPGESRKKRVVVLGTGWAGTSFLKYLDASAYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRKIIKKRNGEIKFCEAECVKIDATNKSVILRANFGTNLVGNDEFSLEYDYLVIAIGAQVNTFNTPGVKENCHFLKEVEDAQKIRTSVIDCFEMAVLPGLSEEERRRNLHFVVVGGGPTGVEFAAELHDYFQEDLVKLYPMVKDLVKITVIQSGDHILNMFDDRISSFAEQKFKRDGIDVQTGCRVVSVSDKEITMKVKPKGEVCSVPHGLVVWSTGIGTRPVVSDFMKQIGQADKRILVTDEWLRVKGCEDVYAIGDCASITQRKIMEDISAIFKAADKDNSGTLTVGEFQDVIDDIIIRYPQMELYLRSQHVQDLKDLLKDPEGNDRTEVNVEEFKLALAQVDSQMKSLPATAQVAAQQGAYLSRCFNQREQRKENPEGPRRFKSSGHHDFRPFRYKHFGQFAPLGGEEAAAELPGDWVSIGHSTQWLWYSVYASKQVSWRTRVLVVSDWTRRFIFGRDSSRI >ONI19967 pep chromosome:Prunus_persica_NCBIv2:G3:26863824:26872836:1 gene:PRUPE_3G308400 transcript:ONI19967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVFAFNPLTGRRAREPVTPIAPKSRSSLNFRNSHSVKFKCEHSCFEVSNVSYQPPGTELSLLNSVSFSLPEKSFGLIFGRSGSGKTTLVQLLAEISKPTSGSIYIQKYGNDGNPVQSPEPLSSGRVGIVFQFPERYFVADNVLEEVTFGWPRQKGDLQMKEHLALRLQRAINWVGLSGISLDRDPHSLSGGYKRRLALAIQLVQVPDLLILDEPLAGLDWKARADVVKLLKHLKKELTILVVSHDLKELAAIVDRSWRMEMGGILREEPLPI >ONI15248 pep chromosome:Prunus_persica_NCBIv2:G3:2409133:2410131:1 gene:PRUPE_3G032500 transcript:ONI15248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLHNSSYLCCQKLCHAYSFKRCSFFLLLFVTLAIVFLGVAALIVIFIMKPQRPVFSLETVSLDLYTLEAYSDSTLFLSSAITLTLNAQNPNKVGIWYSPSHLEVLDGGLSIGTIRIPGFLQPAHSSNVSVEIRVLFPCLNVSQIVAEASLQDDSRKNMFQMKIVGDVGAHLWVFHIILLKIKVALECDISIDYKELTMKNEVHTIGVKHHTVCFTTLDHMVSFP >ONI15518 pep chromosome:Prunus_persica_NCBIv2:G3:3359483:3360575:-1 gene:PRUPE_3G047200 transcript:ONI15518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTALCKKEILTDILVRLPAKTLVRFLCACKSRSDLINSSSFITTQLNRNVTKHLHVSLLCLHYPDLKRPFEFYEHYEDYPDLKRELEWSLFSNKTFEHCSKLNHPLGIKKDYRVYGSSNGLVCISDDKLDTKSPIHIWNPSVRKFRTLPMSTNHNVKFGYIALEFGFHPGVNDYKVVRMLCVHKDNAFAVEVYSLSTDSWKMVEEHPVWLKCTWQNHRGTFHNGVAYHITTTKKQKDDGKSPSCIWFFNGRGIHRHLFPHKPRR >ONI18988 pep chromosome:Prunus_persica_NCBIv2:G3:24158262:24161103:1 gene:PRUPE_3G251700 transcript:ONI18988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLLLPPLPLYFLPLFLQIATLLSAPIYTPVEDITINCGSSGTSLNMDDNRNWTGDINSKLSPSEFNQANTNTSSQVREAPPSSSVNQIQVPYTTARLSRSEFTYKVPLSTGQKFIRFYFRPVSYGPDFDRSKALFSVQAGGFTLLHDFNASVTADAGETEKLIREFCLNIDEEQSLAIMFTPSRAIPDAYAFINGIEIVSMPTNLYYTSPENPDGAHFVGNNIKYPIENSTAMETVYRINVGGSGLSFDQDTGMYRNWENVVYEQKYLDDLSSRWTVLPQNVSLQLNFVKIPKYSAPETVYQTGRSMGKNHTQNKSYNLTWEFPVDPKFLYLLRLHFCEFEPEIMDTGDRSFLIYVDNQLAEPQADIIMWSGGNGRPIYRDYVVFMPAGPDHKKVKLFLALQANPINWMTKYNDVLLNGLELFKLNDTNGNLAGPNPDPPPPKEMQPKTPSKQSKKKSTPMIPIVAGAVSAILVLLSVLGFLVFSRRRRDKDTDDDPFVPSGLCREFSLSEIKAATRNFNEGSIIGVGGFGHVYKGSIDQGTVVAIKRLKPESQQGVPEFRAEIDMLSQLRHQHLVSLIGYCKDRREMILVYEYMENKTLYDHLMKPKSDPNAQPLPWGKRLQICIGAARGLHYLHTGGTEGTIIHRDVKSTNILLDEKWVAKVSDFGLSKMSSMTMSKTHITTAVKGSYGYLDPEYMLRNQLTEKSDVYSFGVVLCEVLCARPALMRTVEKKEMSLAMWFKACHQNGELDQIIDPSLKGKIEDECFKVFVEIALSCMHENGKQRPSMNNVVEGLVQALKLQQNGDKMDEDDETKGETKETAIMNESDGGFSSSWTESSDSKNSSRVTNTSSDQSCSTTNNSIKGMSGTVFSEIKDPSGR >ONI18549 pep chromosome:Prunus_persica_NCBIv2:G3:22283480:22285248:-1 gene:PRUPE_3G222500 transcript:ONI18549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSELVMLMRSLLAKAQEEINTTNTWEAEAEEVPTFTAMLSSAGVSLHSFLSTTAAHDDPLDPKAMSSSSSLMPLPFSEFAQDTLITTTPTHSPTTTFNFTTAAEADYIQTQMQSPILRLPKHEPISNYQDYFPIPSPSNTNVCSSPSFHHLPQQQYQFYNNPPDLNLEFTMHSASSATSTPIHHHSPYDSMNDCSSIFHHLPDLLPLDPFNSPYSSSSYKRARLFDPPHFQNLHLSTPLPLFPPNNNTHNALLPSSSSSPYKIPAKPRTRKRGTPSPSPSPSPSPHASSLARGRRQKLSDKTRCLEKLLPLDRKMDTATVFEEAYKYVKFLQAQVTALQAMPVVTPNTSSASATGFDDDNLSPMSSRTTGGLERLSRNQLLQVLLNSPVAQTVMYSQGCCVFSVEQLAKFNCSRSSRSLNLLRHYYPHLFFDSHSPTN >ONI16354 pep chromosome:Prunus_persica_NCBIv2:G3:7018577:7018936:-1 gene:PRUPE_3G093700 transcript:ONI16354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALMGNKIRAASPSFASSSSPSRSFRCSLHKGRGPQAGKSSSSTITAVHHVNNQSTKMKMMKKLMMTNSKAHLLNAFLKLMIKPSSHHLQRRMNFKPRPTRFCLMNV >ONI15977 pep chromosome:Prunus_persica_NCBIv2:G3:5155163:5158175:1 gene:PRUPE_3G071900 transcript:ONI15977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGTLVWDPWLIVVQIVCLQCLYYLTLGFFLSILVGTRVSRMSLVYFFDYATLTVSTVTGWCVIASFMLSALAGAGYLLLLIERAKKCLDFSATVYIVHLFICIFYGGWPSSITWWVVNGTGIAVMALLGEYLCIRRELKEIPITRFRSNV >ONI15978 pep chromosome:Prunus_persica_NCBIv2:G3:5155171:5158065:1 gene:PRUPE_3G071900 transcript:ONI15978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGTLVWDPWLIVVQIVCLQCLYYLTLGFFLSILVGTRVSRMSLVYFFDYATLTVSTVTGWCVIASFMLSALAGAGYLLLLIERAKKCLDFSATVYIVHLFICIFYGGWPSSITWWVVNGTGIAVMALLGEYLCIRRELKEIPITRFRSNV >ONI18035 pep chromosome:Prunus_persica_NCBIv2:G3:20481970:20486074:1 gene:PRUPE_3G193000 transcript:ONI18035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKQIWIGHYSSSHKILLVGEGDFSFSACLARAFRSATNMVATTLESEDTLLKEHWSSEAHVDELQRRGCKVLHEVDVYDMDQHPTLMFKKFDMIVFNFPHAGHDYWLCERDNELIRHRGLLEAFFRSASGMLGEGGEIHVSHREGYPYDKWKLKELAKKAGLVLKEKVWFEKSDYPGYHQKRGGGIESNKTFPLKECYTFKFSLKHETSVLDSEEATSKLQRKLEELHLPQHKTFIPPNHIHVPESERFKLTFGSFGAADFVSGPETDKSSHIMSGCGFRVSISNRVRKAIQDSKEITGNHSEEEIYAMLKRCYMDPNETAQKLLYQDPFHEVKGKRDKRKEKLNNIESAESPWRSGMQGREDAGDGGNSAPRFAPSLPISRKTKNKQRSLVTSSGPIIVDGPTNVADGWSPVVLPSHLSGWDLCTSADGWSDVHPSHLSDGWSDVHAPPLATGWEL >ONI18034 pep chromosome:Prunus_persica_NCBIv2:G3:20481970:20486074:1 gene:PRUPE_3G193000 transcript:ONI18034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKQIWIGHYSSSHKILLVGEGDFSFSACLARAFRSATNMVATTLESEDTLLKEHWSSEAHVDELQRRGCKVLHEVDVYDMDQHPTLMFKKFDMIVFNFPHAGHDYWLCERDNELIRRHRGLLEAFFRSASGMLGEGGEIHVSHREGYPYDKWKLKELAKKAGLVLKEKVWFEKSDYPGYHQKRGGGIESNKTFPLKECYTFKFSLKHETSVLDSEEATSKLQRKLEELHLPQHKTFIPPNHIHVPESERFKLTFGSFGAADFVSGPETDKSSHIMSGCGFRVSISNRVRKAIQDSKEITGNHSEEEIYAMLKRCYMDPNETAQKLLYQDPFHEVKGKRDKRKEKLNNIESAESPWRSGMQGREDAGDGGNSAPRFAPSLPISRKTKNKQRSLVTSSGPIIVDGPTNVADGWSPVVLPSHLSGWDLCTSADGWSDVHPSHLSDGWSDVHAPPLATGWEL >ONI15776 pep chromosome:Prunus_persica_NCBIv2:G3:4364136:4371312:-1 gene:PRUPE_3G060700 transcript:ONI15776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCKDGKGGLDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENGYFRQHTQGTTLATKDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDLPSWLRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPACDFWLLRYTSVLEDGSLVICVRSLKNTQNGPTMPPVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPCSVPEVLRPLYESSAVLAQKMTMAALRQLRQIAHEVSQSNVTGWGRRPAALRALSQRLSRGFNEALNGFTDEGWSMMGNDGMDDVTILINSSPDKLMGLNLSFANGFPAVSNSVLCAKASMLLQNVPPAILLRFLREHRSEWADNNIDAYSAAAVKVGPCSLAGSRVGSFGGQVILPLAHTIEHEEFLEVIKLEGVGHSPEDAMMPREMFLLQLCSGMDENAVGSCAELIFAPIDASFADDAPLLPSGFRIIPLDYGKEASSPNRTLDLASALEIGPTGNKASSEFSANTGCVRSVMTIAFEFACESHMQEHVASMARQYVRSIISSVQRVALALSPSHLSSHAGLRSPLGTPEAQTLARWICNSYRCYLGVELLKSSTEGGESILKSLWHHSDAIMCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKTLCSEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEETAHCMCFLFVNWSFV >ONI19361 pep chromosome:Prunus_persica_NCBIv2:G3:25361772:25363542:1 gene:PRUPE_3G274600 transcript:ONI19361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTKERSLPTFPTIHQCPSIGREKHTVVADMDGTLLRGRSSFPYFALVAFEVGGILRLLFLLLASPLAGLLYYCVSESAGIRVLVFATFAGMRVSDIESVARAVLPKFYSTDLHSETWRVFSSCGKRCVLTANPRIMVEAFLKEFLGADMVLGTEISTYRGRATGWVLNPGITVGKNKADALNKAFGTDPSSAPDIGLGDRKTDFPFMKLCKESYVVPAKPEVEPVSHDKLPKPIVFHDGRLVQKPTPLMALLTILWIPVGFMLACLRIAAGSLLPMPVVYYAFWALGVRVYIKGTPPPPAKKSIGQSGVLFICSHRTLLDPIFLSTALGRPIPAVTYSLSRLSEIISPIKTVRLSRDRVTDANMIKKLLEQGDLVICPEGTTCREPFLLRFSALFAELTDELVPVAMSNRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVNFLNKLPYDLTCGAGKSSHEVANYIQRNIAASLSYECTTFTRKDKYRALAGNDGIVEEKPKRAAAAKKIMGC >ONI18638 pep chromosome:Prunus_persica_NCBIv2:G3:22754148:22755545:1 gene:PRUPE_3G228800 transcript:ONI18638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQGTNGQAAASASSPKGNYSVSSSTRPTIAEVYGGLTPKVLFNKATANNSTTTSDQTHQRLKQLQAEESLRTVMYLSCWGPNT >ONI16666 pep chromosome:Prunus_persica_NCBIv2:G3:9597792:9600032:1 gene:PRUPE_3G114100 transcript:ONI16666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSFSVPNSKMIRASSSQSVSAPTVSVPENLTEKFGRKGIKFLESDNIPIVELRVRNGSSLRLQIPNAHVTSYKPKVYWKDDGFQEVLYTIPGNGTDPNSTKAKGGIGLVLNDVSEAGSKGSLVSTSEWTVKDVDSDSIDALQVELSSTSGTLEITYVVSLYPVSMATAVIVRNKGRKPVTLTNAILSHFKFKRRSGAAIQGLKGCAYTALPPLSSPFEILSPAEAMKADTPGWFDFGSQPEEKPGSWTHQDVPFTILKNKLSRVYAAPPKERLKPIYNTPPSKYETLDQGRELFYRVIRMGFEDIYLSSPGSFYEKYGEEYFICTGPASMLVPVVVKPGEDWRGAQVIEHDNL >ONI14789 pep chromosome:Prunus_persica_NCBIv2:G3:525815:528023:-1 gene:PRUPE_3G008600 transcript:ONI14789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKDVVKMEDNSTNIGCGFSSSPFSGIFDFCEGEKSSSLGFMELLGAGQDFCTNSLFDYLPQTPSMLPSLAPNFPKTSIMAKECSDYSLNQQPATPNSSSISSASSEALNEEQTDNKGAADQDEEEEHDQPKTKKELKAKKASQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSTACNVKKRVERSFNDPSIVVTTYEGQHTHPSPLIPRPTLTASASAQPNISTTFAMPSMPRTLLSHHYQQQLQPFNFCNYVNGGSPTANASGTGFHSERRFCTPATGSAMLTDHGLLQDIVPSHMLKQE >ONI14790 pep chromosome:Prunus_persica_NCBIv2:G3:526371:527787:-1 gene:PRUPE_3G008600 transcript:ONI14790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKDVVKMEDNSTNIGCGFSSSPFSGIFDFCEGEKSSSLGFMELLGAGQDFCTNSLFDYLPQTPSMLPSLAPNFPKTSIMAKECSDYSLNQQPATPNSSSISSASSEALNEEQTDNKGAADQDEEEEHDQPKTKKELKAKKASQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSTACNVKKRVERSFNDPSIVVTTYEGQHTHPSPLIPRPTLTASASAQPNISTTFAMPSMPRTLLSHHYQQQLQPFNFCNYVNGGSPTANASGTGFHSERRFCTPATGSAMLTDHGLLQDIVPSHMLKQE >ONI14791 pep chromosome:Prunus_persica_NCBIv2:G3:525815:528034:-1 gene:PRUPE_3G008600 transcript:ONI14791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLAPNFPKTSIMAKECSDYSLNQQPATPNSSSISSASSEALNEEQTDNKGAADQDEEEEHDQPKTKKELKAKKASQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSTACNVKKRVERSFNDPSIVVTTYEGQHTHPSPLIPRPTLTASASAQPNISTTFAMPSMPRTLLSHHYQQQLQPFNFCNYVNGGSPTANASGTGFHSERRFCTPATGSAMLTDHGLLQDIVPSHMLKQE >ONI17252 pep chromosome:Prunus_persica_NCBIv2:G3:16235943:16237780:-1 gene:PRUPE_3G148700 transcript:ONI17252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSASPPPPTTSPTKPKLRIMCSYGGHIVPNPRSKSLCYIGGDTRILSIDPTTVSTLSSLTSHLAATLSLPAPLFLKYQLPRHDLLSLVSLSTDDDLLIFLNELRRLSNSASRIRLFVFFDKTHHQCKAIQHPKTESWFIDALESAKIVMQKGMRSNGLVGFEAESQSQSECLSGGGSRKFLSCGVGGGGSVAAESIVLETGSSFGSTSSSASLSSLPSVRARVEDVMAGTLLENRTDAVINVASMENRVSYNYAESDIKLSDPNFSGIQVQKTVQASGYYPVTSQLDQLQQPKLQFVQVGTHYIPQNPVGMLPVSSYYPMYHPQLHQQQLQHLHYQPNQPYPIYILPAAPAQPYNLPMHGSSYPIAHGHPPLYPNASCIPPQATQNEPIKAGVSSDFASRVYRPVHSEMPHHGGVPLINVPYDGNQQQPSGVSEMQHETVAIASRESPKYSNQPDNDPARDLIYKSQPSAPSLAYQSMTKDTIHLSEALTQFHIDNRKQQTGNLDSQ >ONI18111 pep chromosome:Prunus_persica_NCBIv2:G3:20770866:20771306:-1 gene:PRUPE_3G197300 transcript:ONI18111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDNDSGGNNDGSHAQSRELSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVFVTGEASDKCQREKRKTINSDDLLWAMTTLRFEEYMEPLKVYLHKYRELEGEKTIMGGRDKDSAGGGGGGLPVEVAVTV >ONI16825 pep chromosome:Prunus_persica_NCBIv2:G3:11208551:11212279:-1 gene:PRUPE_3G124100 transcript:ONI16825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPEEGYEDAMVEAEIEDSAMDIETIIEGSSSEEMTRETETVDSAMDYLTKEVQVAVTGISATASAHDQPLSLCIDEMHSVKAIECSSNPAGTAKQQNEDGGTQVGQPHLPFVRNFPLWENIESMDVFKRFPQKPHFHPLLKCKEVCREGSALGKMVNFAFMVEKTSKLQVLAILETYLIAFWRH >ONI15949 pep chromosome:Prunus_persica_NCBIv2:G3:5065146:5068074:-1 gene:PRUPE_3G070500 transcript:ONI15949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGNKIIQAKLVLLGDMGTGKTSLVLRFVKGQFFDHQEPTIGAAFFTQILSLSEATVKFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDISSTDTFTRAKKWVQELQRQGNLSLVMALVANKCDLEAKREVENEEGEQFAQDNGMFFLETSAKTAQNINELFHEIGNFQNYYLKIKSGSVTTLPKDSRVITREIYCFKH >ONI16390 pep chromosome:Prunus_persica_NCBIv2:G3:7175424:7176167:1 gene:PRUPE_3G095700 transcript:ONI16390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSAWLCTSSTKKMLVKIVHPGGHVELHDSPLLAAELMLRNPRCCVAHPHIFQQPWAIVAPDTMLRVGQKYYVVPISTIRKLQRLSLKHSPTSVHEMQSNITPSKEDQKSDDMVSNYCNWFIMNKNSTKSPYACTRHSNDAENNVDMASNHAGSTTTKGKKKEEECFSQDNCFDCFLMGTNVKEHGDDLKEETKSSSTSISSSEIETKALTRRRSKDSTRNLVRRPPKRFPSPDHWQPSLESINEE >ONI19817 pep chromosome:Prunus_persica_NCBIv2:G3:26477589:26479778:-1 gene:PRUPE_3G299400 transcript:ONI19817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRLPRKPSKSAENREGGSSNSSSNASTSSRGGSLASSRYVNSGTSSLSGPNSTPNFGVNVNSKLNGNSLASPYEALPSFKDVPNAEKQNLFIKKLNLCCVIFDFSDPTKNLKEKDIKRQTLVELVDYIASANGKFSETVMQEIVKMVCVNLFRTLTSPPLENKALEAFDLEEEEPSMDPAWPHLQVVYEFFLRFVASPETDAKLAKRYIDHSFVLRLLGLFDSEDHRERDYLKTVLHRIYGKFMVHRPFIRKAINNIFYHFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRVLIPLHKPKCIPLYHQQLSYCITQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQIGRCLSSSHFQVAERALFLWNNDHIANLIKQNRSVLLPIIFPSLEKNARNHWNQAVQSLTLNVRKIFSDIDPELFEECLLKFQEDEVQEKELNLRREITWKRLEEIAAKKATSNEAVLVSPRKATGKPSG >ONI19818 pep chromosome:Prunus_persica_NCBIv2:G3:26476968:26481090:-1 gene:PRUPE_3G299400 transcript:ONI19818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILNRLPRKPSKSAENREGGSSNSSSNASTSSRGGSLASSRYVNSGTSSLSGPNSTPNFGVNVNSKLNGNSLASPYEALPSFKDVPNAEKQNLFIKKLNLCCVIFDFSDPTKNLKEKDIKRQTLVELVDYIASANGKFSETVMQEIVKMVCVNLFRTLTSPPLENKALEAFDLEEEEPSMDPAWPHLQVVYEFFLRFVASPETDAKLAKRYIDHSFVLRLLGLFDSEDHRERDYLKTVLHRIYGKFMVHRPFIRKAINNIFYHFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRVLIPLHKPKCIPLYHQQLSYCITQFVEKDCKLADTIIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQIGRCLSSSHFQVAERALFLWNNDHIANLIKQNRSVLLPIIFPSLEKNARNHWNQAVQSLTLNVRKIFSDIDPELFEECLLKFQEDEVQEKELNLRREITWKRLEEIAAKKATSNEAVLVSPRKATGKPSG >ONI14960 pep chromosome:Prunus_persica_NCBIv2:G3:1296574:1299676:-1 gene:PRUPE_3G018200 transcript:ONI14960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFPMDLRRLSISKPTLLFRINRPLTCVTCNLQRPKEPPQPPPLQVPKEPQPPNQSLHNWVSSILSKPSLDSSKCKALIPLLSSHEFDRVFCSISSNVNPKTALHFFYFASESFKFQFTVRSFCVLVRLLILSNLVSPARLLLIRLIDGNVPVLYANHNQRHMEIAIAMLDLNTVSTQGLGVQALDLLIHVYCTQFKNMGFGYAIDAFVIFSKKGVFPSLKTCNFLLSSLVKANELHKSYDVFEVMCRGVSPDVYLFTTAINAFCKGGKVDDAIGLFSKMEGLGIVPNVVTYNNIIHGLCKSRRLVEAFQFKKKMIENNVSPSLITYSVLINGLIKLEKFHDANCVLKEMCNRGFVPNEVVYNTLIDGYCKTGNISEALKIRDNMLSNGLTPNSVTLNSLLQGFCRSDQFDHAEQVLDKIISGGLSINQAVCFSVIHWLCMKSRFDSALKFTTEMLLRNFRPSDSLLTTLVGGLCKDGKHSEALGLWFRLWEKGVAANTATSNALIHGLCESRSMQEVVMLLKPMLERGLVLDRISYNTLILGCCKEGKVEEGFKLKEEMAKQGIEPDTYTYNLLMHGLCNMGKVDDAVKLWDECENRGLVPNVYTYGVMIDGYCQAGRMKEGENLFSKLVNKEVELNSVVYNTLIRAYCTDGNMTAALGLRCDMKKKGIQPSCGTYSSLIHGLCNIGDVEDAKCLLDEMRKDGLLPNVVCYTALIHGYCKLGQMDKVRSAFLEMSSDNIQPNKITYTVMIDGYSKLGNMEEATKLLCEMAKMGIAPDAVTYNALTNGFCKERMVEEAFEVCDHMSSKGVGLDEITYTTLVHGLHQPTTCANQE >ONI15027 pep chromosome:Prunus_persica_NCBIv2:G3:1626130:1628208:1 gene:PRUPE_3G021900 transcript:ONI15027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRNLFRYPFDVHDRFWYAYDRDDWTQLSTSQTIDSGSSNDYQPPPIVMRTAATPRRANAPLDFFWLPADDKASYYVCMHFAEVEKLQANQSRLQYITRNRRVFYELFALDYLYTNTIFSPAALSGGQYNFSIRKAENSTLPPILNAIEIYTLKEFLELETNREDIDAINIIKSTYKIKKNWQGDPCAPQAYLWEGVKCSYPQNESPRIISLDLSSSGLTGEIAPSISNLTVIQTLNLEKNKLTGSVPVGLIERKNGFLSLSLCANPNLSGNVSCKKKCNFVIPVVASVAGISMLLLSVAALCWGIKRKRQPGAVTDANPIIALVEARKRQFTYSEILQITNNLKRILGKGGFGTVYHGCIDKTQVAVKMLSPSSVQVLQQFHAEARLLK >ONI15262 pep chromosome:Prunus_persica_NCBIv2:G3:2481316:2483007:-1 gene:PRUPE_3G033700 transcript:ONI15262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIEACTRRGMWCASDMKRQKKRTQSLHSQSNLQNSNTWSQGQMNIQGSFLVCQKKPNRWFFNLKHTLQFGIGLHHAGLNDKDRSLVEELFANNKVLVCTRTLAWGVNILGHHLVIIKVRQNLCSIFFLWFLYLQRFLVGVYCSSCSSGKIYLSVDSLARNRIL >ONI16524 pep chromosome:Prunus_persica_NCBIv2:G3:8228678:8234777:-1 gene:PRUPE_3G104300 transcript:ONI16524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNSVACVMEWSIELEKALRSKKPGRSLKAISEISPRLQQLSKEPDPSPAVYHLFDLIPGEDKLFSNVIILRLANAFEFGDKHTRVCIVKAFLFEYKKRNKRKEYKGVLCKTRVHMQAELLRRVKVVFDSGDVEDRALALGLFGCWAHFTKQSASIQYLVLSSLVSSHVLEVQAALFAAGCFAELSDDFACVVLEMLLHMMSSPETLPAIRLAGARLFAKLGCSQSLANNAYKASLKLLLEFSDEYYQVAMLVSLSKLASRSTILISQQVDLLLLFLSHEKTLQLRATAVRCLHFIFSQGICHVPVNGYVVKTLLSILDEPEIPTSMLCEVLQTLRKMILCMPPNLPYDVLESSKLLSIVENASPSPIMAESLLAISVLVDMSRRLKGGTGLGSLVRCFSLQPSQVILLIIDRITILVKLVLDLCQTDSVEFQQVNCLFNLLFLVIREYPDLHVLVLDQISDLVKSLSYMDDNLVVTTETDAFVHHSVDLKGEKSRIIRSKLLFKVYRFLVAFLENLTEAGTISTEVFDKVKLLVELVCQSNLFECYTYVLYSLLLRCQIIWGNMVNESEGSRNPDRNLGISLDNYSMKHELRTIECAKRMLAEKNNWPAYRVGVYAACQGDWLTTTFIFKQLVLKVRSNSCSCWMKSLVQFANSERKLELLLLPKQGLETHKLHLTPSSNDLGCQDAASSIKEHICSKELAAAYNGLCSSLETLKVDDVKTGHTFYFQHWFLSLRVKVIRAVVDIVKILGNIPFDQGNTTNNGKVENLMVGYLMSLQKITQISQQLKRLAREFDLVTTSFIDMDKKSSKIISELAMSCSLLAFCTGFALYIPSLFKPISNSGMGILERDLDAMLVQNLVGRLGNTNHETSKNLCLLLEAGRNPMDCFHMQSRTQACKIGSEARDILSVCNYAVSGIAGLKSKANRVHNEEGLSQLPKDGLKLLYDILTKWMQIPFRTPKYFFKLRPCCGSELFAVNETRNPDGIYVSPGFNLSLNLCLQLRNVAPDIPVRLKNLYCMLYSRVSFQEPTESGVNNQQNQGSYQACETDDMVEMNEKLLQYVTECSTKSSNKHRRGNNDGEFVNSFVRFELNERRQGFSNCLLDVSAFPVGSYRIKWHSCCIDSQGTCWTLPHLNLGPVFTVHRL >ONI19939 pep chromosome:Prunus_persica_NCBIv2:G3:26782228:26785473:-1 gene:PRUPE_3G306100 transcript:ONI19939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMNSFWQLGDELRGQSKVAEDQKWFMAASKLAEQTRVKGERMNNLDLSKGPAETRARDKFGFQEDNKFESLNFNMLSLDSKVNENVSKSSFRNGIYNMNAVYQKNNASVVGNMTGNKYSNKEINNSNINNESANTVEKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDAFRPVLHHYDGPKFRLELSIPETLDLLDLCEQAGSAA >ONI19938 pep chromosome:Prunus_persica_NCBIv2:G3:26782228:26785473:-1 gene:PRUPE_3G306100 transcript:ONI19938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMNSFWQLGDELRGQSKVAEDQKWFMAASKLAEQTRVKGERMNNLDLSKGPAETRARDKFGFQEDNKFESLNFNMLSLDSKVNENVSKSSFRNGIYNMNAVYQKNNASVVGNMTGNKYSNKEINNSNINNESANTVEKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDAFRPVLHHYDGPKFRLELSIPETLDLLDLCEQAGSAA >ONI19936 pep chromosome:Prunus_persica_NCBIv2:G3:26782622:26784237:-1 gene:PRUPE_3G306100 transcript:ONI19936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMNSFWQLGDELRGQSKVAEDQKWFMAASKLAEQTRVKGERMNNLDLSKGPAETRARDKFGFQEDNKFESLNFNMLSLDSKVNENVSKSSFRNGIYNMNAVYQKNNASVVGNMTGNKYSNKEINNSNINNESANTVEKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDAFRPVLHHYDGPKFRLELSIPETLDLLDLCEQAGSAA >ONI19937 pep chromosome:Prunus_persica_NCBIv2:G3:26781980:26785633:-1 gene:PRUPE_3G306100 transcript:ONI19937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMNSFWQLGDELRGQSKVAEDQKWFMAASKLAEQTRVKGERMNNLDLSKGPAETRARDKFGFQEDNKFESLNFNMLSLDSKVNENVSKSSFRNGIYNMNAVYQKNNASVVGNMTGNKYSNKEINNSNINNESANTVEKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDAFRPVLHHYDGPKFRLELSIPETLDLLDLCEQAGSAA >ONI17439 pep chromosome:Prunus_persica_NCBIv2:G3:17772422:17772957:1 gene:PRUPE_3G159300 transcript:ONI17439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSCDLNSHSQSPSLQGIQWGCKHMLLSRVIYSCTTLKSHEIILKINSKILVLKKINMPLKIQKDNNSFVLTSLIRKPEDKFKNGIICQNHVST >ONI19209 pep chromosome:Prunus_persica_NCBIv2:G3:24878974:24881601:-1 gene:PRUPE_3G264500 transcript:ONI19209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPTKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKNDALLSTVDGHSKNASNLSHMAQWESARLEAEARLVRESKLRSHSSLIHQLTSSPSNKMESSSAMVPMPSAAARSLDVFKGWNVGGGWPASKSSNVDLESPTSTLTYNSSEQINVNASASAVVVPPIMQPMIELVGSSGSSETKEEGDHDQDWKSHLSFTSGLHDHITMSLEAGAWTGEVHDHHHGGGGGVSSNVDMADQEGFTDLLLNNSDEVQSLSDGERGSRGDSDNGGGSGSGSGSDYYEDNKNYWNSILNLVNSSPSDSPMF >ONI19022 pep chromosome:Prunus_persica_NCBIv2:G3:24338286:24340362:1 gene:PRUPE_3G254300 transcript:ONI19022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSSTSVKLVEVCRVAPQPQPDVAEFSLPQTFFDLLWLRFPHFHRLFFYEILASSASADTKPFFDSLLFQKLKASLSVTLQHFLPLAGNITWPQDSHKPVLSYVQGDAVSLTTAHSDADFHRLSSNDFNIEAKEYHPLVPQLAISREKAAVMAFQITLFPNSSGFSIGISMHHAALDGKTLFMFLKSWAHLCKHEPDTLLPDQLKPFYDRSVFIQDPAGLELEAIYLNQFLNMDQRPNNRSLMVATRYKTLALPDSIRGTFEFTSTKIDALRQSVMIKKHQQYHQSVRNLSTFCLTCAYTWVCLVKAEQEEEEEEEEIKDDQILMVLSVDCRSRLDPPIPATYFGNCITGHGAFAGRKGLLGEDGFFVAVNAISEAIKGLNNGVLNGAGNLVSSLYLSESDLQAPTDHSRVFTTAGSHQFKMYDTDFGWGRPKSTEVVPIGRRGAITFSDGKNGGGAVDIGLVLKKHHMEVFASLFPKGLENL >ONI16046 pep chromosome:Prunus_persica_NCBIv2:G3:5551077:5553011:1 gene:PRUPE_3G075600 transcript:ONI16046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARLSDAFKSHPLNLQLKHPDFSSLQELPDSYAWSQNDDQYPSSPGSFGAEQDSVPVIDLSDPNALKLTGHACRTWGVFQVTNHGIPSKLLDDIESAGRSLFSLPVQQKLKAARSPDGISGYGFARISSFFQKLMWSEGFTIVGSPLDHFRQLWPQDYNKFCNIIEEYEKEMKKLAGRLMWLMLGSLGISKEDVKWAGPKGDFRDASAALQLNSYPACPDPDRAMGLAAHTDSTLLTILYQNNTSGLQVLREGTRWVTVPPLPGALVVNVGDLIHILSNGLYPSVLHQAVVNRSRHRLSIAYLYGPPDSVQISPLSKLLGPSQPPLYRPITWNEYLGTKAKHFNKALSSVRLCAPLNALVDVSDHNSVKVG >ONI16510 pep chromosome:Prunus_persica_NCBIv2:G3:8097111:8098590:1 gene:PRUPE_3G103200 transcript:ONI16510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLVLLFAFLPVIVVPAHARILSESAEGKLAFIITIYGRKPPSPPPSPSSSPPTHHSTIGATASPPPAPPRTKPRGQETSYERTRSPPPSPKAAPPRGQLGSSTSPCNRIISTVTEHCVRKARSPPPSLKLLIGSDYGNTRSPPPPPKAAPSHGQLGSTSPWNRIISIATDYGWIKLPSIVQEK >ONI15793 pep chromosome:Prunus_persica_NCBIv2:G3:4433404:4435975:-1 gene:PRUPE_3G062200 transcript:ONI15793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGLFEAIAANDKQTFINLVQDNEGFLSQKEGEAKNSVLHLAVRFGHVELVSEIITLFPDLVAVVNNREETPLHAACRQGKVDVVMLLLEANPGVSSCMLNSENQTPFFIACSYGNREVVKLFTDLPWVLDFEEDAVLSALHVSVAQGHADIVTTLLNARPRLARKADSNGCLPLHLACEKGHLEITRILLQLDPNLAFEKNYSRYTPLHFAAMNGQTQILEELLSRSPKSFEVLTGEGETVFHIAVMFNRYSSFVFLAQSFNSTNLLHLPDQRGNTVLHLAVTTSSLKLAEYIIEKTEVDINRRNHRGLTAYDILNRNYSMTENQHVRDMLKRFGGTGKTAQELQTPIEFNPHQSEHNYGNGVGNNQPDSNLSHQATINFDKQIDSPSAPPANLHQSKLVKQRGKKKISTVRQYEIYREALQNTRNTITLVAILIASVTFTAGINPPGGVYQEGLLKGQSTIGRTTAFKVFTISNTAALFLSMCIVIVLVSIIPFRRKTLMKLLVVAHKAMWVAVSLMTTAYIAATWVILPHSQGTNWTLEALISVCAGTMGSVFLFLCVTFARHWLRKLQWRKEKGKVKEERVEEREQRRAQRRAEKTAKERVQKRESAEKRAKESRQESRLASRQESSQDVSIKVFSLESSQEGSEDLISINSDTASAQDSGYHTF >ONI16019 pep chromosome:Prunus_persica_NCBIv2:G3:5421433:5436348:1 gene:PRUPE_3G074300 transcript:ONI16019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRIGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLTGFVETRQQLLTLKPNHRMNWIGFAVAQHLNANALKAVEILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECNLIERALEELHKKETKIVDKLDYKEQEVSLLVKLDHLEEGAELYRILLSMNPDNYRYYQGLQKCLGLYAENAQYSPDEVERLDALYKSLGQKYSWSSAVKRIPLDFLQGDKFREAADNYIRPLLTKGVPSLFSDLSPLYDHPGKADILEQLILELEHSIRMTGRYPGRAEKEPPSTLLWALFLLAQHYDRRGQYDIALSKIDEAMEHTPTVIDLYSAKSRVLKHAGDLPAAAALADEARCMDLADRYINSDCVKRMLQADQVPLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYYRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVEMLRFQDRLHSHAYFHKAAVGAIRCYLKLYDSPLKSTSEEDDEMSKLLPSQKKKMRQKQRKAEARAKKEAEGKNEESSVSGVSKSGKRHVKPVDPDPHGEKLLQVEDPMLEATKYLKLLQKNSPQSLLTHLLSFEVNMRKQKILLAFQALKQLLRLNAEHPDSHRSLIKFFHKVGSMPAPVTDNEKLIWSVLEAERPLISQLQGKSLIEANKNFLEKHQDSLMHRAAVAEMLYTMEPEKKSEAIKLIEESTNNMVPKSGALGPVKEWKLKDCITVNKLLETIIVDPEAALRWKERCAEYFPYSTYFEGNRSSAVPNSAYNQNGSANHSEGGQSADSIAVNGKLEAFKDLTVQP >ONI19699 pep chromosome:Prunus_persica_NCBIv2:G3:26187663:26189287:-1 gene:PRUPE_3G292500 transcript:ONI19699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELVLQNLFNGDRETQIEAATELGKLNSKQRHKLAERGVMVPLISMLHSPDVETIKAALFSLLSLAFGSERNKSMIVKSGALPVLLNLLRCESEALIELTIAALLILSSCKTNKSAIAKSGAIQLMVEILNMNNVIISMQARLDAIAALHNLSTCHQIVPSLVSCGVIFSLLQIIQYSLEKPGQLVDKAIALLDDIIFSSKNALKEAAATHGAIRALVEIIEEGSMERKEHAVRILLLICQSCREIYRGLILKEGAMPGLLQLSVDGTWRAKTMARELLFLLRDWSGSGYGSSNQQSRNRVIEQIMQEIDAEEERVVGTSTTALRLVEEMLAKLSGIP >ONI14734 pep chromosome:Prunus_persica_NCBIv2:G3:299397:300715:-1 gene:PRUPE_3G004900 transcript:ONI14734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRLEGKVALITGAAGGIGEEAVRLFAENGAFVVAADIQDELGPHVVASIGSERVSYCHCDVRDENQVEKTVNYTLDKYGSLDILFSNAGVMGPMTGILDLDIQGFDNTMATNVRGVAATIKHAARAMVARKVHGSIICTTSVAASLGGTAPHAYTTSKHALLGLVRGACSELGAYGIRVNSISPFGVATPLSCKAYNLQPSEVEANSSAIANLKGIVLKARHVAEAALFLASDESVYVSGHNLVVDGGFTVVDHSYSAN >ONI18780 pep chromosome:Prunus_persica_NCBIv2:G3:23330325:23331374:-1 gene:PRUPE_3G238500 transcript:ONI18780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRRMSTISLDPRLNLQAIKNPPNQHGVVLEEIEGLLRVHKDGNIERPPIIPSVPSTLALPHGVTAKDVVIDKFTNLWARIYVPSHPGNLPVLVYFHGGGFCVGSAAWSCYHDFLANLACKASCVIISVNYRLAPENRLPAAYDDGFKTLMWVKQQAMSEPSEQRWWLSRCSLSSLFIVGDSAGANIAYNVTTQLVSRDPSSLRPLTLSLKGTILIQPFFGGEARTWSEKYATQPPTSALTLSNSDVYWRLALPLGANKDHPWCNPLANGVTKLRDLRLPAIMVCISELDILKDRNLEVGNALSSLGKRVETTMYEGVGHAFQVMQNSQLSYSRTQDLISHIKAFINQ >ONI16372 pep chromosome:Prunus_persica_NCBIv2:G3:7105806:7106675:1 gene:PRUPE_3G094700 transcript:ONI16372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPFCRNPLTFHAPEKFRFLSEANSLYESFFNKTHFSVSSVSSPNQLNIFNPPCHTNVSAEIPICGVSGKESESQTGTPPVLDGIAAVVGQHVLYGSQKTCNVPTNGETTQIPEFGYCSERIGSAETSKKDADNKKGIGNLSVQKNYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDSAARRLRGAKARTNFEIPSVFPLSSPCSSSSSSDAKRVIRGKNNIKGLKSKRKCSVVTCVAHLFSEAPTHLRSQVMEIKENVELDLKLGVRKSDIGKTTP >ONI16165 pep chromosome:Prunus_persica_NCBIv2:G3:6069873:6071821:-1 gene:PRUPE_3G081800 transcript:ONI16165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIYRFSLRLAPISLPEKCLQPRTITPIFWKTRIHFSNPGPLNPEPAFNTHFPTRKSYSSGSSPVAKAGWLLGLGEKKKSTSLPDIVKAGDPVLHEPARDVEPGDIGSERIQKIIDDMVKVMRKAPGVGLAAPQIGIPLRIIVLEDTKEYISYAPKEETAAQDRRPFDLLVILNPKLQKKSNRTAVFFEGCLSVDGFRAVVERNLDVEVSGFDRNGQPIKISASGWQARILQHECDHLEGTLYVDKMVPRTFRTVENLDLPLAEGCPKLGGR >ONI16166 pep chromosome:Prunus_persica_NCBIv2:G3:6069190:6071873:-1 gene:PRUPE_3G081800 transcript:ONI16166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIYRFSLRLAPISLPEKCLQPRTITPIFWKTRIHFSNPGPLNPEPAFNTHFPTRKSYSSGSSPVAKAGWLLGLGEKKKSTSLPDIVKAGDPVLHEPARDVEPGDIGSERIQKIIDDMVKVMRKAPGVGLAAPQIGIPLRIIVLEDTKEYISYAPKEETAAQDRRPFDLLVILNPKLQKKSNRTAVFFEGCLSVDGFRAVVERNLDVEVSGFDRNGQPIKISASGWQARILQHECDHLEGTLYVDKMVPRTFRTVENLDLPLAEGCPKLGGR >ONI18469 pep chromosome:Prunus_persica_NCBIv2:G3:21965401:21966065:-1 gene:PRUPE_3G217700 transcript:ONI18469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKKMGGCGGYGFVGVGVFVCGFSVRWGRNDEEDAKEELYSLVTVTEVLPEGFTGLGTKVIDEED >ONI18597 pep chromosome:Prunus_persica_NCBIv2:G3:22491162:22493004:1 gene:PRUPE_3G225500 transcript:ONI18597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNLVGAFRGLSLRSGSSSSSISSSSSFFRGDLGSLQVGPKLSLVSNPQRLDLNIQNAHKKGAGSTKNGGDSPGQRLGIKILGDQAAKAGSIIVRQRGTKAKSPIVEGSHTHKENNLLTYKEMCERNS >ONI18596 pep chromosome:Prunus_persica_NCBIv2:G3:22491162:22494152:1 gene:PRUPE_3G225500 transcript:ONI18596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNLVGAFRGLSLRSGSSSSSISSSSSFFRGDLGSLQVGPKLSLVSNPQRLDLNIQNAHKKGAGSTKNGGDSPGQRLGIKILGDQAAKAGSIIVRQRGTKS >ONI19141 pep chromosome:Prunus_persica_NCBIv2:G3:24740844:24743184:-1 gene:PRUPE_3G261400 transcript:ONI19141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMCVPVRSPSWVSRRRLLEQKLSDLHRCTNLSHIKQVHAQILKANLHQDLHTAPKLIAAFSLCRQMALAVNVFNQVQDPNVHLYNTLIRAHIQNSQTTQAFATFFDMQLNGVYPDNFTYPFLLKACSGRPWFPVVQMIHTSIEKFGFCLDIFVPNSLIDTYSKCGLLGVSEAKKMFMLMGERDIVSWNSMIGGLAKTGELGEARRLFDEMPDKDAVSWNTILDGYAKAGQMNEAFELFERMPQRNVVSWSTLVSGYSKAGDMGMARMMFDKMPFRNLVPWTIIISGYAEKGLAKEAIMLYDQMEEAGLKPDNGAIISILAACAESGLIGLGRKVHASIERTRFKCSTPVSNALLDMYAKCGMLDEASRVFHGIAKKDLVSWNAMLQGLAMHGHGDKALQLFSRMVKAGFLPDKVTFIGVLCACTHAGFVEEGLQAFHTMEREYGIVPEIEHYGCMIDLLGRGGCLREAFRLVHSMPMEPNVVIWGTLLGACRMHNDPELAQEVLDHLVKLDPSDAGNFSMLSNIYAAAGDWANVANVRLQMRNTGVQKPSGASSIEVGDEVHEFTVFDKLHPKSGEIYQMIERLRQDFKQSDMSSRSISNGISG >ONI17756 pep chromosome:Prunus_persica_NCBIv2:G3:19430381:19434025:-1 gene:PRUPE_3G177200 transcript:ONI17756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRISLLRFLSLPSRSSSSSSLFFNPVSPCSHSQSMATCAYTTSSDSASSAKLLFRQLFEKESSTYTYLLADVAHPDRPALLIDPVDKMVDRDISLVKELGLKLIYAMNTHVHADHVTGTGLIKTKLPGVKSIISKASNSKADRLIEAGEKIHFGDLFLEVRATPGHTLGCVTYVTGDGPNQPQPRMAFTGDALLIRGCGRTDFQGGSSHQLYKSVHSQIFTLPKNTLIYPAHDYKGFSVSTVGEEMLYNPRLTKDEETFQNIMENLKLSYPKMIDIAVPANMVCGLQDLSERPVESVSN >ONI18945 pep chromosome:Prunus_persica_NCBIv2:G3:23933180:23936945:1 gene:PRUPE_3G248900 transcript:ONI18945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSASLDNSISDMYRSPPRPLPYDADPRYIRLQRDGLISRREKGSSHSHEEAEPLRSDTDADSECLSTGEKWNGSACEDGVKEHRSKSSMKFSSAKATTIVGNFYISSEDEDVCPTCLEEYTPENPKIMTKCSHHFHLGCIYEWMERSESCPVCGKVMVFDETT >ONI18943 pep chromosome:Prunus_persica_NCBIv2:G3:23933460:23936945:1 gene:PRUPE_3G248900 transcript:ONI18943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCCCLNAEDFEDYVNPNSSAYRNCICLSCFIENFLNVYTSLFRRGEVHSIPSSIQGAASMTSSASLDNSISDMYRSPPRPLPYDADPRYIRLQRDGLISRREKGSSHSHEEAEPLRSDTDADSECLSTGEKWNGSACEDGVKEHRSKSSMKFSSAKATTIVGNFYISSEDEDVCPTCLEEYTPENPKIMTKCSHHFHLGCIYEWMERSESCPVCGKVMVFDETT >ONI18944 pep chromosome:Prunus_persica_NCBIv2:G3:23932985:23936979:1 gene:PRUPE_3G248900 transcript:ONI18944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVCCCLNAEDFEDYVNPNSSAYRNCICLSCFIENFLNVYTSLFRRGEVHSIPSSIQGAASMTSSASLDNSISDMYRSPPRPLPYDADPRYIRLQRDGLISRREKGSSHSHEEAEPLRSDTDADSECLSTGEKWNGSACEDGVKEHRSKSSMKFSSAKATTIVGNFYISSEDEDVCPTCLEEYTPENPKIMTKCSHHFHLGCIYEWMERSESCPVCGKVMVFDETT >ONI18284 pep chromosome:Prunus_persica_NCBIv2:G3:21376808:21377202:-1 gene:PRUPE_3G207100 transcript:ONI18284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSMFQLSRKIQHDLSPKNQQAAEKNNEEHHFINLL >ONI18699 pep chromosome:Prunus_persica_NCBIv2:G3:22934261:22935544:-1 gene:PRUPE_3G233100 transcript:ONI18699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFCFLVDQKRQVRRSKPAAGICSRCGGGASVADMKTATRFCYVPFYWKSWRAIICTFCGAILRSYR >ONI15287 pep chromosome:Prunus_persica_NCBIv2:G3:2594203:2595534:-1 gene:PRUPE_3G035800 transcript:ONI15287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFASVSPITVATLPCTKGRSITCQANGLSSIGGPMVKGLRLVPKIKITRAAERSFPIPSCLKTAISCSIAQPETLLTVQSTIAKQLSIDESTVTPETKFVDLGADSLDTVEILMALEEKFGVSVGEGGAENISTVQDAADLIEKVKSTST >ONI16884 pep chromosome:Prunus_persica_NCBIv2:G3:11901761:11906873:-1 gene:PRUPE_3G127400 transcript:ONI16884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPAVKLATLALKTISKPIANRLKREAGLHPRFRQFIVNIAQANHRFTTNVQRRIYGHATDVAIRPLNEEKAVQAAADLLGELFVFTVGGAAVIFEVQRSSRSEARKEELRRQELEAMRQRDEDLAREVELLKKKLEELEQLAKGRGIAGYFNFRNAQGPENEKAKIPFDHSKNEVQHVVLL >ONI16885 pep chromosome:Prunus_persica_NCBIv2:G3:11901764:11906873:-1 gene:PRUPE_3G127400 transcript:ONI16885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPAVKLATLALKTISKPIANRLKREAGLHPRFRQFIVNIAQANHRFTTNVQRRIYGHATDVAIRPLNEEKAVQAAADLLGELFVFTVGGAAVIFEVQRSSRSEARKEELRRQELEAMRQRDEDLAREVELLKKKLEELEQLAKGRGIAGYFNFRNAQGPENEKAKIPV >ONI19403 pep chromosome:Prunus_persica_NCBIv2:G3:25491758:25492630:-1 gene:PRUPE_3G277400 transcript:ONI19403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHQKPTTTKITSSPFEFLEHLKGCHKGDKVQGIKDLKKYLGKFGYLSRNNNGHFNDDDFDDQLESAIKTYQINYHLKATGTLDAKTVSNMMMPRCGVADIINGTSSMRSGKKRHPHHHHGGHTVAHYSFFQGNPKWPASKYHLTYAFLQGTPAEAMGPVSSAFQTWAANKHFTFSEVQSNQNPDLTVSFHRGNHGDGAPFDGPGGTIAHAFAPTNGRFHYDAAEAWAVGAVTGAFDLQSVALHEIGHLLGLGHSSVQGAIMFPSIGTGVTQQSLHGDDIQGIKALYNT >ONI18626 pep chromosome:Prunus_persica_NCBIv2:G3:22700842:22702027:1 gene:PRUPE_3G227900 transcript:ONI18626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPFYDKSGLKKGAWSPEEDDTLRAYIEEHGHLNWRSLPKFAGISRCGKSCRLRWTNYLRPGVKRGNYTQAEDDLILKLHEEFGNKWSMIATKLPGRTDNEIKNHWNTRFKKLTEQNPTSSQSAKEQPCNHESSPTVTSQNTELGAQSGPKFDASVHQILESSPLSPETFSSDSSSLSSNQASASTSMSWFNESTGDFWTEPFQLNVQSNYSVNYLREEEVLSSPSLTFYDIGDNMDLFYQVMPTWPENID >ONI15240 pep chromosome:Prunus_persica_NCBIv2:G3:2382951:2384981:1 gene:PRUPE_3G031900 transcript:ONI15240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSSSGEALDLVDDFYFSALHDEEVFPISDEKYAHELQLQEALMSSAISSITPKISTQPSNREEDVETPMKKQKGKEKETGQSSETFCLICMDVKSTQEMFTNSGCNHSFCTDCIGTYVGTKIQENISMVKCPDVKCKEVLEPQSCRSIIPKEVFNRWENALCESLVLGSQKFYCPFKDCSALLVDDGGEVVTVSECPNCRRLFCAQCKVAWHAGIDCGEFQNLNENEREKEDIMVMELAKKKNWRRCPRCNFFVEKTDGCLHITCRCGLEFCYGCGSYWRHSQFSSHALWPR >ONI17267 pep chromosome:Prunus_persica_NCBIv2:G3:16308590:16312026:1 gene:PRUPE_3G149300 transcript:ONI17267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPMGNLTSHENQVTELETFEAFETRNELARQFRPVLMDVNHSINITPSSNTSPEMHGFMSTGSFELRNYYSHPSSREEADQEAKQSSFTELQKEEIEIFWNQQLFEIQNTTVAKAHHELPLARVKRVMKSDGQVKKVSSETPVLFSKACELFIMELTLRSWLHTERSKRRTLQHCDTARAIMQDELLHFLVHAVPPLNSIARDYFEDDE >ONI18797 pep chromosome:Prunus_persica_NCBIv2:G3:23392284:23393619:-1 gene:PRUPE_3G239900 transcript:ONI18797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSASRPTKVQELHVYEINERDRASPAYLSLSQKPVNSLGDLVPFTNKIYTGDLQKRLGVTAGICILIENKPEKKGDRYEAIYSFYFGDYGHISVQGPYLTYEDTYLAVTGGSGIFEGVYGQVKLHQIVFPFKILYTFYLKGIEDLPEELTAVKLVEPSPAVEPSPAAKACEPQATISNFTN >ONI16465 pep chromosome:Prunus_persica_NCBIv2:G3:7649930:7653474:-1 gene:PRUPE_3G099800 transcript:ONI16465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSSKLRVLRLYKCGTCKSILVGDNEHLGSEFQSLKHLDMLTLTIQSVSVFKKFFTSNKLLSCTQFLCLDGLSFLDISSLTYMKRPHSLTISSCEIVDYNVSRQSSFKHLQAVDIHDCPNLRDLTWLICAPNLKKLYVRSCSNMREVIQLERRDEVAQLEETNHFAKLDELILKSLPQLQSIYGGDTLPFPYLRRIEVLDCQNLKKLPLNFSNVPKRDLVIAGEEDWWNMLNWEDDVTRNAFHPCFRPHK >ONI16464 pep chromosome:Prunus_persica_NCBIv2:G3:7651479:7653474:-1 gene:PRUPE_3G099800 transcript:ONI16464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPSSKLRVLRLYKCGTCKSILVGDNEHLGSEFQSLKHLDMLTLTIQSVSVFKKFFTSNKLLSCTQFLCLDGLSFLDISSLTYMKRPHSLTISSCEIVDYNVSRQSSFKHLQAVDIHDCPNLRDLTWLICAPNLKKLYVRSCSNMREVIQLERRDEVAQLEETNHFAKLDELILKSLPQLQSIYGGDTLPFPYLRRIEVLDCQNLKKLPLNFSNVPKRDLVIAGEEDWWNMLNWEDDVTRNAFHPCFRPHK >ONI15827 pep chromosome:Prunus_persica_NCBIv2:G3:4550107:4551212:-1 gene:PRUPE_3G063600 transcript:ONI15827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQLSSCGSSFCSLPFHLINSTIANSHSTLRPSKPLSFFSRGKIRAVSTSQENPSGAIDPEQPPLVDFAFVSSVLLPDQTPDVHLRQACGGQKLRNIMLDSNIDLYGPYARTLLNCGGVGTCGTCMVEVVQGKELLNPRTGKEKEHLKKKPKNWRLACQTTVGKPDSRGLVVVQQLPEWKAHEWRYEEILVDPSQPS >ONI15826 pep chromosome:Prunus_persica_NCBIv2:G3:4548958:4551351:-1 gene:PRUPE_3G063600 transcript:ONI15826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQLSSCGSSFCSLPFHLINSTIANSHSTLRPSKPLSFFSRGKIRAVSTSQENPSGAIDPEQPPLVDFAFVSSVLLPDQTPDVHLRQACGGQKLRNIMLDSNIDLYGPYARTLLNCGGVGTCGTCMVEVVQGKELLNPRTGKEKEHLKKVVVQQLPEWKAHEWRYEEILVDPSQPS >ONI20011 pep chromosome:Prunus_persica_NCBIv2:G3:26949741:26952574:1 gene:PRUPE_3G310100 transcript:ONI20011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGVWRRRRVSVGSILIVCALCAGMGLLMLNLRQVDPPTSPDFPMQIHNSDSESESGGEVGVEDEPESKSKRKSNSCATVEEMGKDFKGGFWEESLRVRKLIQHHFDLNGASRVRNLPPEQFCQHGFVMGKASEAGFGNEMYKILNGAALSILLNRSLIIGQTRGKFPFGDYISYSNVSFTMREIKHLWRLNKCVKKYGRQLIMRTDDFEKPTQTNVLCSDWRKWKQPIIWFQGTNDAVAAQFFLKNIHPEMRNAASILFGKPEVLHSRPNVFGELMRVLITPSEDVEDAVNWVLSGVEPDISLHMRMLMNKSIRAAQAALNCIRKAKQNLGKSPRPRVVIVSDTPSLVKSITPNISKFAEVIHFDYELFKGNISDSRKGLHSLDFRMKDWGPAPRWVAFVDFFLASHAKYAVVSGAHRRVGTTYAQLIAALAAANNLGTYIHIVFG >ONI20010 pep chromosome:Prunus_persica_NCBIv2:G3:26949741:26953006:1 gene:PRUPE_3G310100 transcript:ONI20010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGVWRRRRVSVGSILIVCALCAGMGLLMLNLRQVDPPTSPDFPMQIHNSDSESESGGEVGVEDEPESKSKRKSNSCATVEEMGKDFKGGFWEESLRVRKLIQHHFDLNGASRVRNLPPEQFCQHGFVMGKASEAGFGNEMYKILNGAALSILLNRSLIIGQTRGKFPFGDYISYSNVSFTMREIKHLWRLNKCVKKYGRQLIMRTDDFEKPTQTNVLCSDWRKWKQPIIWFQGTNDAVAAQFFLKNIHPEMRNAASILFGKPEVLHSRPNVFGELMRVLITPSEDVEDAVNWVLSGVEPDISLHMRMLMNKSIRAAQAALNCIRKAKQNLGKSPRPRVVIVSDTPSLVKSITPNISKFAEVIHFDYELFKGNISDSRKGLHSLDFRMKDWGPAPRWVAFVDFFLASHAKYAVVSGAHRRVGTTYAQLIAALAAANNLGDKPTGSNFAFLSSFQGDLLREGLRFQIGWGHVWNRFAGPLGCHKQPNQCAFTPLLPPAWWDGLWQSPLPRDIKKLAEYGIQLSGFGTMDENYLDTFCSSRKVVVKTVPFLL >ONI15308 pep chromosome:Prunus_persica_NCBIv2:G3:2651237:2654951:1 gene:PRUPE_3G036800 transcript:ONI15308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLAEGLDDGRDIQDSEFYKQQVKALFKNLSRGQNEPSRMSVETGPYVFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLKNEFERVNGTQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVLGLVFLLFWVIKLR >ONI15309 pep chromosome:Prunus_persica_NCBIv2:G3:2651377:2654766:1 gene:PRUPE_3G036800 transcript:ONI15309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLAEGLDDGRDIQDSEFYKQQVKALFKNLSRGQNEPSRMSVETGPYVFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLKNEFERVNGTQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVLGLVFLLFWVIKLR >ONI15307 pep chromosome:Prunus_persica_NCBIv2:G3:2651586:2654951:1 gene:PRUPE_3G036800 transcript:ONI15307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPINHKKSKLVIFALLGSCEVKMVKLTMIARVTDGLPLAEGLDDGRDIQDSEFYKQQVKALFKNLSRGQNEPSRMSVETGPYVFHYIIEGRVCYLTMCDRAYPKKLAFQYLEDLKNEFERVNGTQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVLGLVFLLFWVIKLR >ONI19055 pep chromosome:Prunus_persica_NCBIv2:G3:24448095:24449907:1 gene:PRUPE_3G256100 transcript:ONI19055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTHIIAVPFPAQGHVIPLMELSQCLVNHGFTVTFVNTEYNHKRVVNALADESQFTDRIHLVSLPDGLEPWEDRNELGQLCEAIQRVMPGKLEELIEKINEGKGDKVTCVIADESSGWALEVAEKQNIRRVAFWPAAAALLALQFSIPKLIHEGIINDDGTVPRSQIMQLAPNMPTMKTADFVWACIGDLTTQKIVFQVMVRNNKTVKLAEWIVCNSAYELELAAFAMAPEMLPIGPLLASSRLGNSAGYFWPQDSTCLQWLDQQPACSVIYVAFGSFTVFDRTQFQELALALELSQRPFLWVVRPDITDKTSDPYPEGYQDRVASRGLMVGWAPQQKVLAHPSIACFLSHCGWNSTMEGVSNGVPFLCWPYFADQFLNESYICDVWKVGLNFNKNESGIIPQGEINKKVEQLLGDENFKVRASKLKEMAMTNTKEGGQSHRIFKNFIEWMKA >ONI17978 pep chromosome:Prunus_persica_NCBIv2:G3:20245378:20249772:1 gene:PRUPE_3G189400 transcript:ONI17978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIFPDSQPSTPLQDPLLPWLWSVKQALEDWNSGKESGSDLTKLLSDCIAAFKDNDQYRDDIRFLKIWFFYMDFSEDFGSVFQKMWESKICVGNALLYIWYASFLESKGKLYDASMVYQMGISRNAKPVEWVKKAHALFLERMSEIVSSAQTGYQCTTKPYSGKVALSSLKNSSRNKTIEIGRTKYQIIGCAGQGGFAQVYKAYVSCNPDDVVALKIQKPAFPWEFYMYRQLNQRISDKERSSFGVAHRMHLYSDCSILVCDYLANGTLQDAINSFAVIGKSMEEVLCIYYTIEMLYMLETLHGVGIIHGDFKPDNLLIRYARDGITKAGSRYGNDLTKDGFRDRSGPWHDQGLCLVDWGRGIDMHLFPDNMEFKGDCRTSGFRCVEMQENRPWTFQVDTYGLCVVVHMMLHNSYMEIEKKLSPEGGYIYQPKSSFKSLYSDDFEF >ONI17975 pep chromosome:Prunus_persica_NCBIv2:G3:20245288:20250328:1 gene:PRUPE_3G189400 transcript:ONI17975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIFPDSQPSTPLQDPLLPWLWSVKQALEDWNSGKESGSDLTKLLSDCIAAFKDNDQYRDDIRFLKIWFFYMDFSEDFGSVFQKMWESKICVGNALLYIWYASFLESKGKLYDASMVYQMGISRNAKPVEWVKKAHALFLERMSEIVSSAQTIGNDESITFGSLTNPWSSSTMKVLLKKINHELMKYDGYQCTTKPYSGKVALSSLKNSSRNKTIEIGRTKYQIIGCAGQGGFAQVYKAYVSCNPDDVVALKIQKPAFPWEFYMYRQLNQRISDKERSSFGVAHRMHLYSDCSILVCDYLANGTLQDAINSFAVIGKSMEEVLCIYYTIEMLYMLETLHGVGIIHGDFKPDNLLIRYARDGITKAGSRYGNDLTKDGFRDRSGPWHDQGLCLVDWGRGIDMHLFPDNMEFKGDCRTSGFRCVEMQENRPWTFQVDTYGLCVVVHMMLHNSYMEIEKKLSPEGGYIYQPKSSFKRYWNGELWRNLFKKLLNSSPGGNDKKLLQDLRETFQDYMCSDPQLIKKLSELLVKQRASLCA >ONI17976 pep chromosome:Prunus_persica_NCBIv2:G3:20245288:20250328:1 gene:PRUPE_3G189400 transcript:ONI17976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIFPDSQPSTPLQDPLLPWLWSVKQALEDWNSGKESGSDLTKLLSDCIAAFKDNDQYRDDIRFLKIWFFYMDFSEDFGSVFQKMWESKICVGNALLYIWYASFLESKGKLYDASMVYQMGISRNAKPVEWVKKAHALFLERMSEIVSSAQTGYQCTTKPYSGKVALSSLKNSSRNKTIEIGRTKYQIIGCAGQGGFAQVYKAYVSCNPDDVVALKIQKPAFPWEFYMYRQLNQRISDKERSSFGVAHRMHLYSDCSILVCDYLANGTLQDAINSFAVIGKSMEEVLCIYYTIEMLYMLETLHGVGIIHGDFKPDNLLIRYARDGITKAGSRYGNDLTKDGFRDRSGPWHDQGLCLVDWGRGIDMHLFPDNMEFKGDCRTSGFRCVEMQENRPWTFQVDTYGLCVVVHMMLHNSYMEIEKKLSPEGGYIYQPKSSFKRYWNGELWRNLFKKLLNSSPGGNDKKLLQDLRETFQDYMCSDPQLIKKLSELLVKQRASLCA >ONI17977 pep chromosome:Prunus_persica_NCBIv2:G3:20245378:20249772:1 gene:PRUPE_3G189400 transcript:ONI17977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIFPDSQPSTPLQDPLLPWLWSVKQALEDWNSGKESGSDLTKLLSDCIAAFKDNDQYRDDIRFLKIWFFYMDFSEDFGSVFQKMWESKICVGNALLYIWYASFLESKGKLYDASMVYQMGISRNAKPVEWVKKAHALFLERMSEIVSSAQTIGNDESITFGSLTNPWSSSTMKVLLKKINHELMKYDGYQCTTKPYSGKVALSSLKNSSRNKTIEIGRTKYQIIGCAGQGGFAQVYKAYVSCNPDDVVALKIQKPAFPWEFYMYRQLNQRISDKERSSFGVAHRMHLYSDCSILVCDYLANGTLQDAINSFAVIGKSMEEVLCIYYTIEMLYMLETLHGVGIIHGDFKPDNLLIRYARDGITKAGSRYGNDLTKDGFRDRSGPWHDQGLCLVDWGRGIDMHLFPDNMEFKGDCRTSGFRCVEMQENRPWTFQVDTYGLCVVVHMMLHNSYMEIEKKLSPEGGYIYQPKSSFKSLYSDDFEF >ONI18039 pep chromosome:Prunus_persica_NCBIv2:G3:20495590:20497376:1 gene:PRUPE_3G193300 transcript:ONI18039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGRERWIEHYSSAQEILLVGEGDFSFSACLARTFGSACNMVATSLESQGSLRSKHWSCLSHLSELKRRGCLVLHDVDVYEMDLHPALQWRKFDVIVFNFPHAGHFPWLRERNPQLIQMHKNLLKAFFENARGMLREGGEVHVATRDDYPYNRWNVEELAEEAGLVLMEKVWFKKSDYPGYQNKRGGDIKSNKTFRLRNCFTFKFALQQYEYDYTCDEMSVCSDDFI >ONI15903 pep chromosome:Prunus_persica_NCBIv2:G3:4913774:4917695:-1 gene:PRUPE_3G068200 transcript:ONI15903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSGSNWWSLRLLGMVYLVLALVFVSAQEILEQQPSSQTLGEEVESNDLIRASKFLSHPGGVVYKHVWPEMRFGWKIAVGTIIGFLGAAFGSVGGVGGGGFFVPMLTLIIGFDQKSSTAISKCMITSGAAATVFYNLRLRHPTLELPLIDYDLALLFQPMLVLGISIGVSLNVVLSEWMITILLIIVLLGTSTRSFFRGVETWKKETITKKNLLDASKSLQSKGAGSEDITIPGEVGNDTTETKETKRKKVSILHNVGWRQLGVIVIVWIIILALQIAKNYAAKCSVVYWILNLLQIPVTVGITSYEAVKLYKGSRIIESKGEGGANWRVHKLVSYCAFGIVAGLLGGLLGLGGGFIMGPVFLEMGIPPQVSSATATFIMTFSSSMSVVEYYLLKRFPIPYALYFAAVAIVSAIMGQYLVGKVIKALGRASLIIFILALTIFVSALTLGGVGIANMVKKIEHKEYLWFESMCPQKS >ONI15901 pep chromosome:Prunus_persica_NCBIv2:G3:4913811:4917671:-1 gene:PRUPE_3G068200 transcript:ONI15901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSGSNWWSLRLLGMVYLVLALVFVSAQEILEQQPSSQTLGEEVESNDLIRASKFLSHPGGVVYKHVWPEMRFGWKIAVGTIIGFLGAAFGSVGGVGGGGFFVPMLTLIIGFDQKSSTAISKCMITSGAAATVFYNLRLRHPTLELPLIDYDLALLFQPMLVLGISIGVSLNVVLSEWMITILLIIVLLGTSTRSFFRGVETWKKETITKKNLLDASKSLQSKGAGSEDITIPGEVGNDTTETKETKRKKVSILHNVGWRQLGVIVIVWIIILALQIAKNYAAKCSVVYWILNLLQIPVTVGITSYEAVKLYKGSRIIESKGEGGANWRVHKLVSYCAFGIVAGLLGGLLGLGGGFIMGPVFLEMGIPPQVSSATATFIMTFSSSMSVVEYYLLKRFPIPYALYFAAVAIVSAIMGQYLVGKVIKALGRASLIIFILALTIFVSALTLGGVGIANMVKKIEHKEYLWFESMCPQKS >ONI15902 pep chromosome:Prunus_persica_NCBIv2:G3:4913774:4917618:-1 gene:PRUPE_3G068200 transcript:ONI15902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSGSNWWSLRLLGMVYLVLALVFVSAQEILEQQPSSQTLGEEVESNDLIRASKFLSHPGGVVYKHVWPEMRFGWKIAVGTIIGFLGAAFGSVGGVGGGGFFVPMLTLIIGFDQKSSTAISKCMITSGAAATVFYNLRLRHPTLELPLIDYDLALLFQPMLVLGISIGVSLNVVLSEWMITILLIIVLLGTSTRSFFRGVETWKKETITKKNLLDASKSLQSKGAGSEDITIPGEVGNDTTETKETKRKKVSILHNVGWRQLGVIVIVWIIILALQIAKNYAAKCSVVYWILNLLQIPVTVGITSYEAVKLYKGSRIIESKGEGGANWRVHKLVSYCAFGIVAGLLGGLLGLGGGFIMGPVFLEMGIPPQVSSATATFIMTFSSSMSVVEYYLLKRFPIPYALYFAAVAIVSAIMGQYLVGKVIKALGRASLIIFILALTIFVSALTLGGVGIANMVKKIEHKEYLWFESMCPQKS >ONI16547 pep chromosome:Prunus_persica_NCBIv2:G3:8360355:8361586:-1 gene:PRUPE_3G105700 transcript:ONI16547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFLLVGRLFIWKPFNGEALMHTMTALLGDNLFLFFFPTQEELLQILSGGPWTFNCFLLGLAVADDQEFWVQIKGLLLVFMTRVMGKHIGEALSEYVVSDQNKKGELSGNYLHIRVGLDVMKPLRRCMPMRISDRKADTIWADIRYEKLPNLCYLCDTLPGVGMSLDDPFGLGPLFRASGKNRKAH >ONI14720 pep chromosome:Prunus_persica_NCBIv2:G3:271589:272612:1 gene:PRUPE_3G004100 transcript:ONI14720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMALSSPFTGKAIPLNTQTEFSTVQGNGRVSMRRAARKPAVSSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVVLMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPVNNNAWAYATNFVPGK >ONI19710 pep chromosome:Prunus_persica_NCBIv2:G3:26210598:26214422:1 gene:PRUPE_3G293200 transcript:ONI19710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAWLINSRGLANKVKNAALSSAYQIKDCGANRDCPNCHFVIDNSDVLSEWPGLPAGVKFDPSDAELLEHLAAKCGVGNSKPHMFIDEFIPTLAGDNGIYYDHPANLPGSKNDGSSFHFFHKTINAYATGHRKRRKVDCHRSLAAEHVRWHKTGKTKPIMENGVQKGCKKIMVLYKSSKKGSKADKSNWVMHQYHLGTEDGEKEGEYVVSKIFYQQHKQSETNDNNLVIEDDVNALGTSPRTPITSAPNPPRPGKSVGCDDVPDEDVLDSSAKEAELVPGTQFGDNIGDTTWLAGESQADENCDLDCLEDTLLCKETFDSSTLLNGSFADGISSTDFPSNIYGVTGNSSTSCGIGDLENIDLGTPPDFQLADLQFCSQDSLLGWLDRL >ONI19711 pep chromosome:Prunus_persica_NCBIv2:G3:26210737:26214345:1 gene:PRUPE_3G293200 transcript:ONI19711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLINSRGLANKVKNAALSSAYQIKDCGANRDCPNCHFVIDNSDVLSEWPGLPAGVKFDPSDAELLEHLAAKCGVGNSKPHMFIDEFIPTLAGDNGIYYDHPANLPGSKNDGSSFHFFHKTINAYATGHRKRRKVDCHRSLAAEHVRWHKTGKTKPIMENGVQKGCKKIMVLYKSSKKGSKADKSNWVMHQYHLGTEDGEKEGEYVVSKIFYQQHKQSETNDNNLVIEDDVNALGTSPRTPITSAPNPPRPGKSVGCDDVPDEDVLDSSAKEAELVPGTQFGDNIGDTTWLAGESQADENCDLDCLEDTLLCKETFDSSTLLNGSFADGISSTDFPSNIYGVTGNSSTSCGIGDLENIDLGTPPDFQLADLQFCSQDSLLGWLDRL >ONI19712 pep chromosome:Prunus_persica_NCBIv2:G3:26211157:26213730:1 gene:PRUPE_3G293200 transcript:ONI19712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYDICRRLVQWFCSFFMSIWVFFFFSERRISAFSFFTFLSVMDDVESFGMNLRFFPTLEWMMYKVSKAWLINSRGLANKVKNAALSSAYQIKDCGANRDCPNCHFVIDNSDVLSEWPGLPAGVKFDPSDAELLEHLAAKCGVGNSKPHMFIDEFIPTLAGDNGIYYDHPANLPGSKNDGSSFHFFHKTINAYATGHRKRRKVDCHRSLAAEHVRWHKTGKTKPIMENGVQKGCKKIMVLYKSSKKGSKADKSNWVMHQYHLGTEDGEKEGEYVVSKIFYQQHKQSETNDNNLVIEDDVNALGTSPRTPITSAPNPPRPGKSVGCDDVPDEDVLDSSAKEAELVPGTQFGDNIGDTTWLAGESQADENCDLDCLEDTLLCKETFDSSTLLNGSFADGISSTDFPSNIYGVTGNSSTSCGIGDLENIDLGTPPDFQLADLQFCSQDSLLGWLDRL >ONI17042 pep chromosome:Prunus_persica_NCBIv2:G3:14090181:14091755:1 gene:PRUPE_3G134500 transcript:ONI17042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATASGSGTVTAKRKPVFVKVDQLQPNTSGHTLTVKVVSSKPVKVTNKNGGRPSFSSRPLQPSRIAECLVGDETGTILFTARNDQVDTMKPDTTVILRNAKIDMFKGTMRLAVDKWGRVEVTEPANFQVKEENNLSLVEYELVNVEE >ONI16139 pep chromosome:Prunus_persica_NCBIv2:G3:5975782:5976641:1 gene:PRUPE_3G080500 transcript:ONI16139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGGVSSMCTRKGEDYGNPSRYLDLSPVAMANMKHLDTLQIKRMVDVYSTWITRLENPTCFLGLQFVEVVDCTNLKNLEWLVFAPNLIHLHVYGCSKMTRILGLNRTETTPFAKLTVLRLSKLPHLWRICENPLPVPFLKKILISGCPVLTRLPLNSSSAQTSNLIIEGEEKWWNGLEWEDQAARNAFLPCFRSCK >ONI17509 pep chromosome:Prunus_persica_NCBIv2:G3:18310516:18312504:1 gene:PRUPE_3G163700 transcript:ONI17509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENQTVRFGIIGCAGIARKVARAIKLAPNSTLYAIASRSIEKAQKFAGNNGLSGTVKTYGSYDQLLDDPCVDAVYMPLPTSLHLHWAVLAAQKKKHLLLEKPTALDVGELDQILEACESNGVQFMDGSMWLHHPRTAKLKELISESNHFGQINIIRSTSTKSVTQDFREGNIRVKPDLDALGALGDLGWYCIGAILWAKDYKLPTLVNALPDVTKNSAGVILSCTASFHWEPLEETVATIHCSFLSHTSMDLAISGCNGSLHCNDYIIPYQEKSASFAFTSGATFLEHHTGWNVKPEEVRVISELPQEALMVEEFARLVKGIMKFGHRPDSKWPEISRNTQVVLDAVKKSIDLGCKPVKL >ONI16418 pep chromosome:Prunus_persica_NCBIv2:G3:7341670:7343092:1 gene:PRUPE_3G097200 transcript:ONI16418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEAAVSTEAAPAAAPAPAPALGEPMDVTTALQLVLRKSLAHGGLVRGLHEAAKVIEKHAAQLCVLAEDCDQQDYVKLVKALCADHNVNMLTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEDHEGLHVVQQHVKAQ >ONI17164 pep chromosome:Prunus_persica_NCBIv2:G3:15303603:15306246:-1 gene:PRUPE_3G141800 transcript:ONI17164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDQVVLLEGLESEESDARQSAYASTGSRRSRTGSKRLNQIQEAVFRREAAAQRSQDNLNNMTAMMQWQVNRQFRKDRKRNERTVANFPFPSAADLQHVLAPHMIVLTQTIKQLGFTCVTLGILLEVSHLEEVEEFPQGRKRSEEDKGILGEYSCGKICSHNDQLASSIEAKLREKSSLKSRRCIFKIPNMWRKHNREAFIPNLVSIGPYHHGKKKLQAMEETKLWYLHCLLARQPTPDTNLKYFVQVIRSSEQYYLDCYAKKFDNLSRDEFVEMMVVDGCFLIELFRKSSDPNLVQNNDPAVTPWLPWRVLKCLFDITWIPGMLTLPELALNFLQASIFEKEPKLNSVAKHLHLLDFVRNCMLRSCDQKLLKDGVKFECGKKDGMFNVTFENGVMKIPPITVLGESLFRNLIAYKQCDPNIISSNTPFSSYAFLMDNLINSSKDVNFLVEKKIMRCFTSPEEAASFARLNNNDCLTNFSYVDLFENVTKYHQDKWHTWGERLKRDYFGNP >ONI18701 pep chromosome:Prunus_persica_NCBIv2:G3:22940365:22943852:-1 gene:PRUPE_3G233300 transcript:ONI18701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLHSQSCSSMELGQESFQSSMHNRTFSPCKLRNSQCPFRTRAFLEFNFTHHNHGLARRQLYPVPYALSTPQNIDHFVTSRAQKQNSRGPRAFVGFKLQCDSKTLVLPTKGSSINGKKKAYGGVLPSILRSLQSENDVEKTLNSCGENLNPKEQTVILKEQKRWERVVRVFEWFKSQKEYVPNVIHYNVVLRKLGRAQKWDELRLCWIEMAKRGVLPTNNTYAMLVDVYGKAGLVKEALLWIKHMKLRGIFPDDVTMNTVVKALKDAGEFDRADKFYKDWCDGKIELDELDLDSMGDSVNDSGLEPISFKHFLSTELFKTGGRIPTSKIKASSDTENSIRKPRQTSTYNALIDLYGKAGRLDDAANVFGEMMKSGVAMDAITFNTMIFTCGSHGHLSEAEALLSKMEERGISPDTRTYNIFLSLYADAGNIDAALNCYRKIREVGLSPDIVSHRTVLHVLCERNMVQDVETVIRSMEKSGVRIDEHSVPGVIKMYVNEGQLDQAKFFYEKCQLNGGLSSKTCAAIIDAYAEKGFWTEAEAIFYRKKDSVRQKKDVVEYNVMIKAYGKAKLYDKAFSLFKGMRNHGTWPDKCTYNSLIQMFSGGDLVDQARDVLTEMREMGFKPHSLAFSALIACYARLGQLSDAVDVYQDLVNSGVQPNEFVYGSLINGFVESGKVEEALKYFRHMEESGISANQVVLTSLIKAYGKVDCLDGAKVLYERLKDLEGPRDIVASNSMINLYADLGMVSEAKLIFEKLRAKGWADEITYAIMIYLYKNVGMLDEAIDVAEEMKLSGLIRDCGSFNKVMSCYAINGQLRECGELLHEMVTRKLLPDSGTFKVLFTILKKGIPIEAVTQLESSYNEGKPYSRQAIITYVFSLVGMHAMALESCEKFTKADVKLDSFLYNVAIYAYGAAGEIDRALNMFMKMQDEDLEPDLVTYINLVGCYGKAGMVEGVKRIYSQMKYEEIEPNESLFRAVRDAYTDANRHDLAKLVSQEMKYVFDSEHQMDSEAKAEPDETTSDLEDL >ONI17191 pep chromosome:Prunus_persica_NCBIv2:G3:15606312:15610281:-1 gene:PRUPE_3G143800 transcript:ONI17191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIIDYDTKQNTISTTTSASTPLKLFGFNISEDHYNSNITKSSSASQESDLRKYECLYCCREFSNSQALGGHQNAHKKERQILKRAQMHASSRNLVTSHIQNPIISAFISQSQLFASMMVQAAAPPQSPSCLYMAHAPVNISDRDAYLSGTGPSPLGRHVYRKAGIGESLMMRASSQEGVGNHASFLQGLSGCAEDEGGIKLDKGLGLNLHLSLGPASQ >ONI17190 pep chromosome:Prunus_persica_NCBIv2:G3:15606698:15607360:-1 gene:PRUPE_3G143800 transcript:ONI17190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIIDYDTKQNTISTTTSASTPLKLFGFNISEDHYNSNITKSSSASQESDLRKYECLYCCREFSNSQALGGHQNAHKKERQILKRAQMHASSRNLVTSHIQNPIISAFISQSQLFASMMVQAAAPPQSPSCLYMAHAPVNISDRDAYLSGTGPSPLGRHVYRKAGIGESLMMRASSQEGVGNHASFLQGLSGCAEDEGGIKLDKGLGLNLHLSLGPASQ >ONI16699 pep chromosome:Prunus_persica_NCBIv2:G3:9886247:9889478:-1 gene:PRUPE_3G116500 transcript:ONI16699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGPITQDWEPVVIRKKAPTAAAKKDEKAVNAARRSGAEIETLKKATAGTNKAASSSTTLNTRKLDEETENLAHERVPSELKKAIMQARMDKKLTQSQLAQIINEKPQVIQEYESGKAIPNQQVITKLERALGAKLRGKK >ONI16700 pep chromosome:Prunus_persica_NCBIv2:G3:9886605:9889478:-1 gene:PRUPE_3G116500 transcript:ONI16700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGPITQDWEPVVIRKKAPTAAAKKDEKAVNAARRSGAEIETLKKATAGTNKAASSSTTLNTRKLDEETENLAHERVPSELKKAIMQARMDKKLTQSQLAQIINEKPQVIQEYESGKAIPNQQVITKLERALGAKLRGKK >ONI17487 pep chromosome:Prunus_persica_NCBIv2:G3:18085228:18088586:-1 gene:PRUPE_3G161900 transcript:ONI17487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NWSPSLQTAVSDLEVEYHEESGTLYYIKYRVAGGSKSDYLTIATTRPETLFGDVAIAVHPEDDRYSKYINRMAIVPLTYGRHVPIISDKHVDKDFGTGVLKISPGHDHNDYNLARKLGLPILNVMNKDATLNKVAGLYCGLDRFEARKKIWADLEETGLAVKKEPHTLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALRAVEKGDLKIIPERFEKVCSM >ONI16617 pep chromosome:Prunus_persica_NCBIv2:G3:9077469:9082341:-1 gene:PRUPE_3G110500 transcript:ONI16617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAKYKIPLRNAEEVLNTHNDANRKSGTKKKLCIKVCPAGHKYEVVNSCNGLLCLSKPFINDAVVVCNPITGAEYKLLGGTRALSLEDEQILSCFPKEVKKEITSEVVSMSYIKQRELEEACRVKKPFCERLVTRLQAAGRDRSSFLFWWQEIGSGINVK >ONI19631 pep chromosome:Prunus_persica_NCBIv2:G3:25962708:25963477:1 gene:PRUPE_3G288300 transcript:ONI19631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNKSKIAILIVFVLLLEGVLMAHVRTKSELKQHSSRPSSSSGSVFFKIWNFNYRSSNQNSSGNGRNLKLKHRRFSNHGFFRSLPAADEEQKRITPNGANPLHNR >ONI15768 pep chromosome:Prunus_persica_NCBIv2:G3:4334070:4334547:1 gene:PRUPE_3G060200 transcript:ONI15768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLFLSLHFFFQNFLFSSFSISSFSPSAQRSRPAQLSSARVHLLVAQLSSSSAIGACLPSSSTTHGRRVGGLWLWLWLAWLLGGVCCNDK >ONI18086 pep chromosome:Prunus_persica_NCBIv2:G3:20703826:20706962:1 gene:PRUPE_3G196200 transcript:ONI18086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLASFRAVPSFTSRPRSSILGTALNPRRGNSLSFSSSSSSIVRAQQMESKDDEPEPEKIELKIKEWENSMYHNEVAASQGIRIRRRPPTGPPLHYVGPFEFRLQNEGNTPRNILEEIIWHKDTQVAQLKERQPLYSLKKALDNAPPVRDFIGALRAANSRTGLPGLIAEVKKASPSRGVLRENFDPVEIAQAYEKGGAACLSVLADEKFFQGSYDNMLAIRDAGVKCPLLCKEFIIEAWQIYYARSKGADAILLIAAVLPDLDIKYMTKICKMLGMATLVEVHDEREMDRVLGIEEVEFIGINNRNLETFEVDIGNTKKLLEGKRGEEIRKRDIIVVGESGLFTPDDIAYVQEAGVKAVLVGESIVKQSDPEKGVAGLFGKDISLQSV >ONI18087 pep chromosome:Prunus_persica_NCBIv2:G3:20703641:20707133:1 gene:PRUPE_3G196200 transcript:ONI18087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLASFRAVPSFTSRPRSSILGTALNPRRGNSLSFSSSSSSIVRAQQMESKDDEPEPEKIELKIKEWENSMYHNEVAASQGIRIRRRPPTGPPLHYVGPFEFRLQNEGNTPRNILEEIIWHKDTQVAQLKERQPLYSLKKALDNAPPVRDFIGALRAANSRTGLPGLIAEVKKASPSRGVLRENFDPVEIAQAYEKGGAACLSVLADEKFFQGSYDNMLAIRDAGVKCPLLCKEFIIEAWQIYYARSKGADAILLIAAVLPDLDIKYMTKICKMLGMATLVEVHDEREMDRVLGIEEVEFIGINNRNLETFEVDIGNTKKLLEGKRGEEIRKRDIIVVGESGLFTPDDIAYVQEAGVKAVLVGESIVKQSDPEKGVAGLFGKDISLQSV >ONI18446 pep chromosome:Prunus_persica_NCBIv2:G3:21880752:21884007:1 gene:PRUPE_3G216600 transcript:ONI18446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNSSGVDGVHEAEAAAQRGGSHHNHHQQHQQRGLKKGPWTAAEDGILMEYVKKHGEGNWNAVQKNSGLARCGKSCRLRWANHLRPNLKKGSLSPDEERLIIELHAKFGNKWARMASQLPGRTDNEIKNYWNTRIKRRQRAGLPVYPHDLQPEAAAAAFHHHQMRHSSSSSSSASSFSSLLSNSQPLKPSTYSPAQLSLYDHITTFSSSSDHHNNHTNNFHRNAASLFSNPITQFNGNGGVFAMPFGSSSSSALFNQSHNIPNPNPFGSSSAAPPPLQHQYNSDGGFGGYNNLSLSSIIMGPPSYDSTAGLVAGSNAELPSNQTRQSIHGDEAPMGASGNTNEDDHYEIAPVPSKGNSGLLDDVLAEAHNISNKRLKSEDSSSGVSGKEKGLVVVEEESNEEEEDDTVQVESTLKNSGDNSAENQRDDSSSPSSIDMKQSEDPLDEMDDDLLSLLNFSSTVPAVSETSWYLAECMSNSGSSASENVGLDTQQNAPLTLTSVKTTAAAPEVQRTLGACYWNNMPGIC >ONI18028 pep chromosome:Prunus_persica_NCBIv2:G3:20474045:20475693:-1 gene:PRUPE_3G192800 transcript:ONI18028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLSRSMRGQSLHEPAPFAEKITEEPVTTKTAQSTVTCVYLANIATNWRKVTVIWCKNLMNHSLSIRVDGLEGDFHYTCKIDLKPWHFWSKKGYKSFEVEGNQVEVYWDLRSAKFGGGPEPCSDFYVALVCDEEVVLLLGDMKKKAYKRTKSRPAIVEALLYYKKENVFAKKSFATRVRFDEKRKEHDIVVESSTAGPKDPEMWISVDGIVLIHVKNLQWKFRGNQTVLVNMEPVQVFWDVHDWLFTSPSTGHGLFIFKPGAPESESDRESNGNGGGLDSDHSSAYYSPQNNTTTSDFCLFLHAYKIE >ONI15881 pep chromosome:Prunus_persica_NCBIv2:G3:4805800:4807878:-1 gene:PRUPE_3G066700 transcript:ONI15881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEAIDKKKKKDRCRSSNEAGATWPATAAVPSVAAAAAAPSVATAAAAAPSLPLAINAAVTIAATTVPAPRSPCSPGAARAAWPAVTVAAAAAAAAAAAAIFSLAFWALAMIKHEPAVTVKDKQDTAAAPKTEETVVVFQTSTNWSREPAGAEITRVTEEAERMMITGNEFLTKKDHRASQEKLAHHREFLAKTDHQASQEKLAHHREFLAKMDHQKYVFFQFHTRLKNCVQFRIRLKNGL >ONI17683 pep chromosome:Prunus_persica_NCBIv2:G3:19121320:19126017:1 gene:PRUPE_3G173900 transcript:ONI17683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPARNKMKKQESQRGHSNSTDANTDLWTHGLICAFEFVRRHKKVLATPQFVNHVAKKQVSSPELSNSLHSRENRNNSCECKDDQEDNNHSPDSHSGHFRGKEGLPRNCWVPIGWDRISELVQTVQVDACWEQPTDFKEDDNDVAVADVAAPYWERPVGPTWWCHVAAGHPYINSWLRTAQWLHPAVSIALRDESKLISERMKHILYEVPVRVACGLLFELLGQSAGDPLVNEDDIPIVLRSWQSQNFLVTSLHVKGSAQNINVLGIVEVQELLAAEGINMPRNIHEIIAHLACRLACWDDRLYRKSIFGAADEVELKFMDRRTHEDMYLFSIILNQEIQRLSTQVIRVKWTLHAREEIVFELLQHLRGDAAKSLLEGVRKGAREMIQEQEAVRGRLFTIQDVMQSTVRAWSQDKSLTVTHNLGVFGGCGLVLSVITGLFGINVDGIPGNEGSPYAFALFSAVLVMLGVILIGIGLVYLGLKQPIVEEDVEVRKLELQELVKMFQKEAESHAQVRKTVPRTNPTAAGRPPESAARYVLIS >ONI17684 pep chromosome:Prunus_persica_NCBIv2:G3:19121264:19126197:1 gene:PRUPE_3G173900 transcript:ONI17684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPARNKMKKQESQRGHSNSTDANTDLWTHGLICAFEFVRRHKKVLATPQFVNHVAKKQVSSPELSNSLHSRENRNNSCECKDDQEDNNHSPDSHSGHFRGKEGLPRNCWVPIGWDRISELVQTVQVDACWEQPTDFKEDDNDVAVADVAAPYWERPVGPTWWCHVAAGHPYINSWLRTAQWLHPAVSIALRDESKLISERMKHILYEVPVRVACGLLFELLGQSAGDPLVNEDDIPIVLRSWQSQNFLVTSLHVKGSAQNINVLGIVEVQELLAAEGINMPRNIHEIIAHLACRLACWDDRLYRKSIFGAADEVELKFMDRRTHEDMYLFSIILNQEIQRLSTQVIRVKWTLHAREEIVFELLQHLRGDAAKSLLEGVRKGAREMIQEQEAVRGRLFTIQDVMQSTVRAWSQDKSLTVTHNLGVFGGCGLVLSVITGLFGINVDGIPGNEGSPYAFALFSAVLVMLGVILIGIGLVYLGLKQPIVEEDVEVRKLELQELVKMFQKEAESHAQVRKTVPRTNPTAAGRPPESAARYVLIS >ONI17959 pep chromosome:Prunus_persica_NCBIv2:G3:20151283:20157245:1 gene:PRUPE_3G188000 transcript:ONI17959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSNGADFRDFLENSSGSSDNKLFDASQYEFFGQKSVEEVELGGLEDEEDRKPLFGPVDNEYHLFEKDEGLGLGSLSDVDDLASTFAKLNKVVTGPRHPGVIGDRGSGSFSRESSSAADWAQDGDFSNWLDQHMFDTESSQEGKRWSSQPQPSSARFSESKQPKPLYRTSSYPEQQPVQHHFTSEPILMPKSTFTSFPPPGNRSQQGSPHHQLNISTLAGGSQLPFSAPNLSPLSNSNLLMAGLPHGLHYGGNMPQFTNPGLPFNSRAQNHWATHSGVLHGDHSSIINNILQQQHPHQNGLLSPQLLSAQQQLQQQRLHHSVQPSLAHFAAMQSQLYSTHPSPSHKGMHGLSDTRDHRPKHRGKQRYSQGSDTGSQKSESGWIQFRSKHMTSEEIESILKMQHAATHSNDPYIDDYYHQASLSKKSAGSRSKHPFCPSHLREFPSRGRNSSDQHTHSSVDALGRIPLSSIRRPRPLLEVDPPSGSGDGEQASEKPLEQEPMLAARIAVEDGLCLLLDVDDIDRLIQHGQPQDGGVQLRRRRQILLEGLASSLQLVDPLGKGTQAVGLAPKDDLVFLRLVSLPKGRKFLSRFIQLLFPGSELARIVCMTIFRHLRFLFGGLPSDSGAAETTTNLAKTVSTCINGMDLRALSACLVAVVCSSEQPPLRPLGSPSGDGATIILKSVLERATEILSDPLAAGNCSRPNRALWQASFDEFFGLLTKYCLSKYETIVQTIFTQPQQSTEVIGSEATKAIHREMPVELLRASLPHTDERQRKLLSDFAQRSMPISGLNAHGGGGGQMNSESVRG >ONI19273 pep chromosome:Prunus_persica_NCBIv2:G3:25078868:25087020:-1 gene:PRUPE_3G268800 transcript:ONI19273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKWRAIQHRHRYTYNTVVFPSSYTESLNSLPSQLSSLKFFSQLKELVSLNSTYAQLNHTKGLAAAFGDLLTNGDEATVAQVAPFYLELLFLENSLPLHKTLVSVLAKARTFQALIGRCYRKLCEDYGGGKGKRFCVSRSALSVMGMPKLGFLVQIVEECAVLIALDTVSSLNGLVSETKGSARPSPIVIEQCQEALSCLYYLLQRFPSKFEEFNSSRSGFDAGHSNVLEMSVTVVLSILKSLAFSRDCYVAAGVSFCAALQVCLSPEELGLFIFEGIFHPTDYSSLDANSESEKRNAIAKVPYKGDIYTEICNLSDLSRLCLIRGILTAVSRVVLNSHFDMSRGYSNGYEVHTNGGNCVKTILYDGILPELCNYCENPTDSHFNFHTLTVLQICLQQIKTSMLANLTIPSEHYDPIPVEMGTRILRIVWNNLEDPLSQTVKQVHLIFDLFLDIRSTLRWSEGSERIRSFLQNIASDLLRLGPRCKGRYVPLVSLTKRLGAKTMLDMSPNLLFETVHAYIDDDVCCAVTSFLKCLLEDLRNECWSSDGVEGGYVLYREKCLPPFLYGLASGVSKLRSNLNTYALPILLEVDEDSIFAMLSFISVGPSKGENQLLYPELCRGNMELRVEQKVAILVSLLKVSRLLALLEGDIDWCNGSAVHEQFGGLETDFPDRYALVSIKGIKVEVVVEWLVLALTHVDDSLRVDAAETLFLNPKTASLPSHLELMLLKEAVPLNMRCCSTAFQMKWSSLFRKFFSRVRTALERQFKQGIWEPLEHNNSNEMHLSCRSKHTEANRASDLFHFMRWLSSFLFFSCYPSAPYKRKIMAMELILIMLNVWSIVPATQEKIGSLSLEDCLYPYNKGITLPDSTLLLVGSIIDSWDRLRESSFRILLHFPTPLPGISDEYMVQNVILWAKKLVCSPRVRETDAGALNLRLIFRKYVLQLGWTVRASVDVACLPSQSGLENGDYQTYNSRYPAMEYIRSLIDWLDVSIVEGERDLSEACQNSFVHGVLLTLRYAFEELDFNSDVVLSSISEMRHSLEKLLELVMRITSLALWVVSADAWHLPEDMDGMVDDDDSFLSEVPDEVEVKASLLEHEDRNSKLVQNNRRSEQSVMVGCWLAMKEVSLLLGTIIRKIPLPSSPCSESLNSEGTSSCASDVSVMIASNAMLDLKQLEAIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPRLCKLTESWMEQLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLSEPEGAPKKLLPRALRWLIDVAKASFMDPVETNSSNCASSKVSSTKSDKSFESVVSSDIHIRDKVSKIRDEGVIPTVHAFNVLKAAFNDTNLATDTSGFSAEAMIVSIRSFSSPYWEVRNSACLAYTALVRRMIGFLNVQKRESARRALTGVEFFHRYPLLHPFLFKELKVATELLGDGVSEQSKSNLENAVHPSLCPVLILLSRLKPSTIASETGDDLDPFLYMPFIRRCSTQSNLRVRVLASRALTGLVSNEKLPTVLLNIVSELPRIDNQDTVTPDSSLLFHNIKRRHQCSYNWIHGVLLQLSSLLDTNCRNLADFSKKDQILGDLFQDLLPHSWIAKPRWCPCPILNASFLKLLDHMLSISRTCHLSKNFYAFRNLLLELSTECLDVEASEGHSYYDPTMAELRRQAAVSYFSCVFQASEKMAEEAFKMPQRSSQINSRFLKIPEMENAFVGLQERLVLSLSDSEYEVRLATLKWLLKFLTSIESGSESDDYSCEIRIIQHWNRTNLQTTLVNLLDVEKNHRCSYYILRILFTWNALQFQKPRDEKCTETIYIGGMEYDSVFLLWDKLISLYKLTRHAKARETLICCMGICVKRFAGLFTTSVLSDVRMRRLTDNSESDQLEKLTQLYSTISFFTSVIKKHSASSEPVNMRKAAAESIIACGLLEQAELIGSTLSNNQIPSENPYSYFEPKEAVNIYARQILDIWFACIQLLEDEDDGIRERLAMGIQGCFTCKRSGSSHSGVVPTQVEKVIGSCFEHLSSIFGHWIGYLDCLLRWMLNASNYEVAKGDLVRQVFDKEIDNHHEEKLFICQICCSQMEELPISKSWAVDFLNKQQFRDYLHDWRLRFSSQLMSFAKDRIGQLGGADWVGGAGNHKDAFLPVYVNLLAFHAVSSCIFSGKTDDNMHLLSDVAELSRAINPFLRNPLISNLYLLVVKSHEDAIGSTGDGVIPKLGEDAIWDGFNPHFLLS >ONI19274 pep chromosome:Prunus_persica_NCBIv2:G3:25078647:25087183:-1 gene:PRUPE_3G268800 transcript:ONI19274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANLTIPSEHYDPIPVEMGTRILRIVWNNLEDPLSQTVKQVHLIFDLFLDIRSTLRWSEGSERIRSFLQNIASDLLRLGPRCKGRYVPLVSLTKRLGAKTMLDMSPNLLFETVHAYIDDDVCCAVTSFLKCLLEDLRNECWSSDGVEGGYVLYREKCLPPFLYGLASGVSKLRSNLNTYALPILLEVDEDSIFAMLSFISVGPSKGENQLLYPELCRGNMELRVEQKVAILVSLLKVSRLLALLEGDIDWCNGSAVHEQFGGLETDFPDRYALVSIKGIKVEVVVEWLVLALTHVDDSLRVDAAETLFLNPKTASLPSHLELMLLKEAVPLNMRCCSTAFQMKWSSLFRKFFSRVRTALERQFKQGIWEPLEHNNSNEMHLSCRSKHTEANRASDLFHFMRWLSSFLFFSCYPSAPYKRKIMAMELILIMLNVWSIVPATQEKIGSLSLEDCLYPYNKGITLPDSTLLLVGSIIDSWDRLRESSFRILLHFPTPLPGISDEYMVQNVILWAKKLVCSPRVRETDAGALNLRLIFRKYVLQLGWTVRASVDVACLPSQSGLENGDYQTYNSRYPAMEYIRSLIDWLDVSIVEGERDLSEACQNSFVHGVLLTLRYAFEELDFNSDVVLSSISEMRHSLEKLLELVMRITSLALWVVSADAWHLPEDMDGMVDDDDSFLSEVPDEVEVKASLLEHEDRNSKLVQNNRRSEQSVMVGCWLAMKEVSLLLGTIIRKIPLPSSPCSESLNSEGTSSCASDVSVMIASNAMLDLKQLEAIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPRLCKLTESWMEQLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLSEPEGAPKKLLPRALRWLIDVAKASFMDPVETNSSNCASSKVSSTKSDKSFESVVSSDIHIRDKVSKIRDEGVIPTVHAFNVLKAAFNDTNLATDTSGFSAEAMIVSIRSFSSPYWEVRNSACLAYTALVRRMIGFLNVQKRESARRALTGVEFFHRYPLLHPFLFKELKVATELLGDGVSEQSKSNLENAVHPSLCPVLILLSRLKPSTIASETGDDLDPFLYMPFIRRCSTQSNLRVRVLASRALTGLVSNEKLPTVLLNIVSELPRIDNQDTVTPDSSLLFHNIKRRHQCSYNWIHGVLLQLSSLLDTNCRNLADFSKKDQILGDLFQDLLPHSWIAKPRWCPCPILNASFLKLLDHMLSISRTCHLSKNFYAFRNLLLELSTECLDVEASEGHSYYDPTMAELRRQAAVSYFSCVFQASEKMAEEAFKMPQRSSQINSRFLKIPEMENAFVGLQERLVLSLSDSEYEVRLATLKWLLKFLTSIESGSESDDYSCEIRIIQHWNRTNLQTTLVNLLDVEKNHRCSYYILRILFTWNALQFQKPRDEKCTETIYIGGMEYDSVFLLWDKLISLYKLTRHAKARETLICCMGICVKRFAGLFTTSVLSDVRMRRLTDNSESDQLEKLTQLYSTISFFTSVIKKHSASSEPVNMRKAAAESIIACGLLEQAELIGSTLSNNQIPSENPYSYFEPKEAVNIYARQILDIWFACIQLLEDEDDGIRERLAMGIQGCFTCKRSGSSHSGVVPTQVEKVIGSCFEHLSSIFGHWIGYLDCLLRWMLNASNYEVAKGDLVRQVFDKEIDNHHEEKLFICQICCSQMEELPISKSWAVDFLNKQQFRDYLHDWRLRFSSQLMSFAKDRIGQLGGADWVGGAGNHKDAFLPVYVNLLAFHAVSSCIFSGKTDDNMHLLSDVAELSRAINPFLRNPLISNLYLLVVKSHEDAIGSTGDGVIPKLGEDAIWDGFNPHFLLS >ONI18276 pep chromosome:Prunus_persica_NCBIv2:G3:21365190:21367311:-1 gene:PRUPE_3G206800 transcript:ONI18276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLTYDREGDLLLSCGKDHTPDNVERLGTYRGHNGAVWCGDFTRDSARLITGSADQTAKLWNVQTGQQLFTFNFDSPARSVDLSVGDRLAVITTDPFMELSSAIHVKRIAKDPAIVGESALILKGPQGRRQRAVWGPLNRTIISAGEDAVIRIWDSETGKLLKENDKEVGHKKTITSLAKSVDGSHFLTGSLDKSARLWDIRTLTLIKTYVTERPVNAVAMSPLLDDVVLGGGQDASAVTTTDHRAGKFEAKFYDRFLKWSGGEDGYVRLHHFDSDYFNIKI >ONI16208 pep chromosome:Prunus_persica_NCBIv2:G3:6254494:6259099:1 gene:PRUPE_3G084800 transcript:ONI16208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPQEQWLHGTLHATIYEVDKLHSSSGNLLRKITGKIEETVGIGKGVSRLYATVDLERARVGRTRVIEKEPSNPRWYESFHIYCAHVAANVIFTVKESNPIGASLIGRAYVPVDDLVEGEEVDRWAEILDEKKRPVHGNPKIHVKLQFFHVTKDRSWGLGIRSPKFPGVPFTFFSQRQGCKVNLYQDAHIPDKFIPKIPLAGGKFYEPHRCWEDIFDAISNAKHLIYITGWSVYTEISLVRDSRRPKPGGDITIGELLKKKASEGVRVLVLVWDDRTSVGLLKKDGLMATHDEETAQFFQNTDVHCVLCPRNPDGGGSIVQGAQISTMFTHHQKIVVVDNDMPNGGSERRRIVSFVGGLDLCDGRYDTPFHSIFRTLDTAHHDDFHQPNFTGASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDLLVQLRELDNVIIPPSPVMYPDDHETWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFAWEADGIKPEDIGALHVIPRELSLKICDKIQKGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYSDIFNSFKERGIEEDPRNYLTFFCLGNREVKKPGEYEPSERPEPDSDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSVREPARGQIHGFRMALWYEHLGMLDEKFLQPESVECVTKVNQIADKYWDLYSSESLNHDLPGHLLRYPIGISSEGTVTELPGCEFFPDTKARVLGAKSDYLPPILTT >ONI16209 pep chromosome:Prunus_persica_NCBIv2:G3:6254489:6259104:1 gene:PRUPE_3G084800 transcript:ONI16209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPQEQWLHGTLHATIYEVDKLHSSSGNLLRKITGKIEETVGIGKGVSRLYATVDLERARVGRTRVIEKEPSNPRWYESFHIYCAHVAANVIFTVKESNPIGASLIGRAYVPVDDLVEGEEVDRWAEILDEKKRPVHGNPKIHVKLQFFHVTKDRSWGLGIRSPKFPGVPFTFFSQRQGCKVNLYQDAHIPDKFIPKIPLAGGKFYEPHRCWEDIFDAISNAKHLIYITGWSVYTEISLVRDSRRPKPGGDITIGELLKKKASEGVRVLVLVWDDRTSVGLLKKDGLMATHDEETAQFFQNTDVHCVLCPRNPDGGGSIVQGAQISTMFTHHQKIVVVDNDMPNGGSERRRIVSFVGGLDLCDGRYDTPFHSIFRTLDTAHHDDFHQPNFTGASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDLLVQLRELDNVIIPPSPVMYPDDHETWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFAWEADGIKPEDIGALHVIPRELSLKICDKIQKGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYSDIFNSFKERGIEEDPRNYLTFFCLGNREVKKPGEYEPSERPEPDSDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSVREPARGQIHGFRMALWYEHLGMLDEKFLQPESVECVTKVNQIADKYWDLYSSESLNHDLPGHLLRYPIGISSEGTVTELPGCEFFPDTKARVLGAKSDYLPPILTT >ONI16207 pep chromosome:Prunus_persica_NCBIv2:G3:6254468:6259099:1 gene:PRUPE_3G084800 transcript:ONI16207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPQEQWLHGTLHATIYEVDKLHSSSGNLLRKITGKIEETVGIGKGVSRLYATVDLERARVGRTRVIEKEPSNPRWYESFHIYCAHVAANVIFTVKESNPIGASLIGRAYVPVDDLVEGEEVDRWAEILDEKKRPVHGNPKIHVKLQFFHVTKDRSWGLGIRSPKFPGVPFTFFSQRQGCKVNLYQDAHIPDKFIPKIPLAGGKFYEPHRCWEDIFDAISNAKHLIYITGWSVYTEISLVRDSRRPKPGGDITIGELLKKKASEGVRVLVLVWDDRTSVGLLKKDGLMATHDEETAQFFQNTDVHCVLCPRNPDGGGSIVQGAQISTMFTHHQKIVVVDNDMPNGGSERRRIVSFVGGLDLCDGRYDTPFHSIFRTLDTAHHDDFHQPNFTGASITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDLLVQLRELDNVIIPPSPVMYPDDHETWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFAWEADGIKPEDIGALHVIPRELSLKICDKIQKGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYSDIFNSFKERGIEEDPRNYLTFFCLGNREVKKPGEYEPSERPEPDSDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLSVREPARGQIHGFRMALWYEHLGMLDEKFLQPESVECVTKVNQIADKYWDLYSSESLNHDLPGHLLRYPIGISSEGTVTELPGCEFFPDTKARVLGAKSDYLPPILTT >ONI17736 pep chromosome:Prunus_persica_NCBIv2:G3:19359474:19362006:-1 gene:PRUPE_3G176300 transcript:ONI17736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNPKQREEETEERGGGGAGGVGALLMAEGSAEEIAKEIVAAEEAPHPYAFHVSGPRNLTAPNWRDLINSSWKDGNYKRTVIACFIQAIYLLELDRQENRDEANALAPKWWIPFKYKLSETLIDERDGSIFGAILEWDRSAALADFVLIRPSGAPKAVLALRGTLLKGPTMRRDIEDDLRFLAWESLKGSVRFKAAMEALKSVAERYGSSNVCIAGHSLGAGFALQVGKALAKEGMYVETHLFNPPSVSLAMSFRNIGEKAGFAWKRFKSMLPLRSGAQVSSEEGDRTSGFGLKNWMPNFSGLKNPGMGLGKWVPHLYVNNSDYICCSYTEHDGGEVNNANKENVRPTNGQVAAKLFVMSKGNQKFSEAHGLEQWWSDDLELQLAMHNSKLISRQLKSLYSLPAPQQTLAR >ONI17653 pep chromosome:Prunus_persica_NCBIv2:G3:19032242:19033358:1 gene:PRUPE_3G172000 transcript:ONI17653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSSSCSKVSMVLVVSLFVTSLMAMAASAGNFFQDFDVTFGDERAKILNGGQLLTLNLDKASGSGFKSKNEYLLGRIDMQIKLVSGNSAGTVTAYYLSSEGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFHLWFDPTKAFHTYSIVWNSQRIIFLVDNIPIRVFHNLETIGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFQASTTSTNSLTEQSAWQTQGLDAAGRNRLRWVQQKFMVYNYCSDLKRFPQGLPAECRRSRF >ONI18995 pep chromosome:Prunus_persica_NCBIv2:G3:24190555:24195006:1 gene:PRUPE_3G252300 transcript:ONI18995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSTSSFSMTKGEVQVNLLVNSAPRPSFVRIQEMGMETTKNNVKSNQVLKKKTRRRRRMKKSNLLQDISLSDNLRQEYGQSDTNHMHRLSTLSLVDERSDDIVVISSGAVKTMNEVDHSSGISNRSIVRAPVCDIEKKLLILDINGLLADIVSPPPKGLASDKRIAGRAIFKRPFYLDFLKFCFEHFEVGVWSSRSKRIVERVLDYLMGDMKHKLLFCWDLSHCTATGFRTLENRHKTLVFKELRRIWEKHDPSLPWEKGIYNESNTLLLDDSPYKALLNPAHTAVFPHPYTFQRGSDTSLGPGGDLRVYLEGLAAAENIQEFIEQEPFGQSPINESSASWPFYLRVLSTVYSVDTTNVTNMTCNSSVLR >ONI18997 pep chromosome:Prunus_persica_NCBIv2:G3:24191979:24193825:1 gene:PRUPE_3G252300 transcript:ONI18997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSTSSFSMTKGEVQVNLLVNSAPRPSFVRIQEMGMETTKNNVKSNQVLKKKTRRRRRMKKSNLLQDISLSDNLRQEYGQSDTNHMHRLSTLSLVDERSDDIVVISSGAVKTMNEVDHSSGISNRSIVRAPVCDIEKKLLILDINGLLADIVSPPPKGLASDKRIAGRAIFKRPFYLDFLKFCFEHFEVGVWSSRSKRIVERVLDYLMGDMKHKLLFCWDLSHCTATGFRTLENRHKTLVFKELRRIWEKHDPSLPWEKGIYNESNTLLLDDSPYKALLNPAHTAVFPHPYTFQRGSDTSLGN >ONI18994 pep chromosome:Prunus_persica_NCBIv2:G3:24190555:24195007:1 gene:PRUPE_3G252300 transcript:ONI18994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSTSSFSMTKGEVQVNLLVNSAPRPSFVRIQEMGMETTKNNVKSNQVLKKKTRRRRRMKKSNLLQDISLSDNLRQEYGQSDTNHMHRLSTLSLVDERSDDIVVISSGAVKTMNEVDHSSGISNRSIVRAPVCDIEKKLLILDINGLLADIVSPPPKGLASDKRIAGRAIFKRPFYLDFLKFCFEHFEVGVWSSRSKRIVERVLDYLMGDMKHKLLFCWDLSHCTATGFRTLENRHKTLVFKELRRIWEKHDPSLPWEKGIYNESNTLLLDDSPYKALLNPAHTAVFPHPYTFQRGSDTSLGPGGDLRVYLEGLAAAENIQEFIEQEPFGQSPINESSASWPFYLRVLSTVYSVDTTNVTNMTCNSSVLR >ONI18996 pep chromosome:Prunus_persica_NCBIv2:G3:24190555:24195014:1 gene:PRUPE_3G252300 transcript:ONI18996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSTSSFSMTKGEVQVNLLVNSAPRPSFVRIQEMGMETTKNNVKSNQVLKKKTRRRRRMKKSNLLQDISLSDNLRQEYGAVKTMNEVDHSSGISNRSIVRAPVCDIEKKLLILDINGLLADIVSPPPKGLASDKRIAGRAIFKRPFYLDFLKFCFEHFEVGVWSSRSKRIVERVLDYLMGDMKHKLLFCWDLSHCTATGFRTLENRHKTLVFKELRRIWEKHDPSLPWEKGIYNESNTLLLDDSPYKALLNPAHTAVFPHPYTFQRGSDTSLGPGGDLRVYLEGLAAAENIQEFIEQEPFGQSPINESSASWPFYLRVLSTVYSVDTTNVTNMTCNSSVLR >ONI15995 pep chromosome:Prunus_persica_NCBIv2:G3:5261353:5267669:1 gene:PRUPE_3G073300 transcript:ONI15995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGEEDVDYESDPEEAKRSLAMRRRAAASDDEEGEGEVREKPGEDPRAGIHSDESDDQGGAAEYDDDEEELGLEEEEEIDEEEEGEDEVLEREDLEGDQERGREGETGGRIEVLAVKESDGDGRRSVEESIDENAENQAAEEEEKKENEPFAVPTAGAFYMHDDRFRDNAVGRHRRTHGGRKLWESKDERKWGHDKFEEITLHERHNEEGKKPSKGPHVGRGRNRGVDRGFTRGNRSKEFDNNNQRQVPEGVRGRGPRRYVPTFRKNNRQTPPTQSKQSGKPVEKNMQTNSERTFTSTSNAESAPVPARKNVFASSLNYFSPPFYPSGSSSSNKDITLTQKRDVQAGSGKRNVRSSVVQDSFHVPQTNPLLRGKNIAESVGVDKLYIDDSVNPSGKTLTNLQMPSSGSSFANTTQAPQSRVQGRSVIPGQMSYQPAMSQNQMTRASQSTTHHHAVHHNPVQSRSQLAVQASAQQLGQRPGSGSGTSSPPKTTMSPNSFESGEVESPSDSSKSKGALIAKGKGSVQGSGRGTLTYGGAQVMGSTHGDQNFPATPAFLPVMQFGGQHPGGMGVPAVGMAFPGYVAQPQLGLGNPEMTWLPVLAGAAGALGATYCPPYISVDGSYHSRPSGQTSSVGSLSKENNATKTNNEWKPSQKPEIVGDEYGQRQNKPRRYSQMNVASEVLQNNTELV >ONI15996 pep chromosome:Prunus_persica_NCBIv2:G3:5261353:5267669:1 gene:PRUPE_3G073300 transcript:ONI15996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGEEDVDYESDPEEAKRSLAMRRRAAASDDEEGEGEVREKPGEDPRAGIHSDESDDQGGAAEYDDDEEELGLEEEEEIDEEEEGEDEVLEREDLEGDQERGREGETGGRIEVLAVKESDGDGRRSVEESIDENAENQAAEEEEKKENEPFAVPTAGAFYMHDDRFRDNAVGRHRRTHGGRKLWESKDERKWGHDKFEEITLHERHNEEGKKPSKGPHVGRGRNRGVDRGFTRGNRSKEFDNNNQRQVPEGVRGRGPRRYVPTFRKNNRQTPPTQSKQSGKPVEKNMQTNSERTFTSTSNAESAPVPARKNVFASSLNYFSPPFYPSGSSSSNKDITLTQKRDVQAGSGKRNVRSSVVQDSFHVPQTNPLLRGKNIAESVGVDKLYIDDSVNPSGKTLTNLQMPSSGSSFANTTQAPQSRVQGRSVIPGQMSYQPAMSQNQMTRASQSTTHHHAVHHNPVQSRSQLAVQASAQQLGQRPGSGSGTSSPPKTTMSPNSFESGEVESPSDSSKSKGALIAKGKGSVQGSGRGTLTYGGAQVMGSTHGDQNFPATPAFLPVMQFGGQHPGGMGVPAVGMAFPGYVAQPQLGLGNPEMTWLPVLAGAAGALGATYCPPYISVDGSYHSRPSGQTSSVGSLSKENNATKTNNEWKPSQKPEIVGDEYGQRQNKPRRYSQMNVASEVLQNNTELV >ONI19100 pep chromosome:Prunus_persica_NCBIv2:G3:24559457:24562111:-1 gene:PRUPE_3G258700 transcript:ONI19100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSTSCQCTKGHLSEDAIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCRTRAPKMMIDLQSSGSHSVDGNWRALGKLLIYCTGSKKGGLFNTIQIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGEEGDVGFFRGVFKSFSMSKVRKMLIKKEAQLHPTEVCPYCKAKLWSMLQAKMIPQSASCRLGAYEDCIEYFVCLNGHMLGICTLLPLSDSEEASELD >ONI18573 pep chromosome:Prunus_persica_NCBIv2:G3:22373498:22375449:1 gene:PRUPE_3G224100 transcript:ONI18573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQIRFMNQTGLWRSIFTPLEMEELLLHILTCLPILESVQTSLISQKWRPLWSRVPSLNFLFELFPPYEPPLDTRQFFAEFIDRVLILRSNSPIHTFRLSFIYHGHYRSHVDSWVRSAITRLRTRELYLDFFIHKDFHDEETHNHRYDFPFSVLRNGCVAILGLTRCDLSLPAKMSTLRFCSIGSMFLNEVYLTDQAMLDLISGCPNLEALDLENCLAHHHMKICSAKLKRLALRYFYDSELKETILVDCPNLCSISFNCCAFDKFVLKNASSLVEFHVDILHKIDRSYRYWNKVVRLLGQAPNVKHLNVQNWWFKIVYFGLGDIAVYFSIRLCLLGIERDENMKEWFSLARWKG >ONI17939 pep chromosome:Prunus_persica_NCBIv2:G3:20113085:20113485:-1 gene:PRUPE_3G187300 transcript:ONI17939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTNSTAAAYPAQAPNSAQQYQVQAPPPAGYPTRDVPQNSVPVETKSKGDGFWKGCCAALCCCCVLDACF >ONI17938 pep chromosome:Prunus_persica_NCBIv2:G3:20112467:20113825:-1 gene:PRUPE_3G187300 transcript:ONI17938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTNSTAAYPAQAPNSAQQYQVQAPPPAGYPTRDVPQNSVPVETKSKGDGFWKGCCAALCCCCVLDACF >ONI14652 pep chromosome:Prunus_persica_NCBIv2:G3:91450:93176:-1 gene:PRUPE_3G000800 transcript:ONI14652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWFVMWVDGTMHLWPSPWLYKGRFVLKSSRSSRYQLKCECDSLGSWVCIKSVYISIEVFFDLFRITPKRALKCFYCCFQIFTNVDKVHAAQVLNMLGLEDWFEGLLCFETLNPPHLEPIDCMMASDSLQTLITLNELNGHHRTYTRG >ONI15673 pep chromosome:Prunus_persica_NCBIv2:G3:3843592:3845630:1 gene:PRUPE_3G054600 transcript:ONI15673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSEFNFCMKSEDGLDRKRKSEESVTSVDDQKRIVQKRIVHLRLTGTLNKKEQASDIDRWIKLVIKHYVQVLELHINILFNYIDKLSPRYSFPPASSDLGSLVVLRLSSCDISKEALKQEGMRFSCLKELSLSLVDLNGLTNELLSRCPSIENLKFRACRNLKDLQLCGFSKLKNVDLDTTTRVHSYKIEASNLQTLPINCSNLKEWILETPRSEIRAQYIEKLLLKFPFLEKINLSVSYKSTELKILSHQLKALTLNFQDDTMIKKITIETPNLVSYKHAGHELQPSFSLNSMNLEEVDLTLEPRSDIGTSWFLQLLEYLGKFTPKQGLVLKFFTPPVLDLLPHPLLLLEVFIGRGLLDDRANSLI >ONI18279 pep chromosome:Prunus_persica_NCBIv2:G3:21369533:21373057:-1 gene:PRUPE_3G206900 transcript:ONI18279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAHNQKLFSHRVLQDNMQDPQVEHNIWVIWRGKKFNVEINAGATLKDLGHELQKLTNVKADTLKLIVPQFSDKSSKLLSPFSDEHEKLSLEETSIIEGKSIRMMGVSEHEVDEVLQHAKTNLRIAGFDEEEMRLRQRMSYRPHTLKLPQGPYIFCDFRTLQLPGIELNPPVSEALKRMHMLAADPGIISVMNKHRWRVGIMTEMAPVGYVGISPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEAESLDWTRSRSHTLSGVQYSEHYEENFYVGGRSNSSQKLGGNMSDRLPSARTSSVAAAYQRLATASHDSVSEVHEESHPDDSIPHMQKESSHMDFVGKGNLEIGSSHKIQWKPDMEPDPDDQSGNKNNFEPSPDESSSQSSGSGTLFGQDFSESMMSQLVSHSVSNRKLEGTECREEPDADYMEACLKHDVVAEPEPFHSHEMEILESRIQPRNNVDEPDPDDLDAKPDNLGCGSYGNIIRPNHDDSLVSETIKCEAHPRKVHNEPDPDDSQSNGVIQAEPDPDDSQSIGIIQAEPDPDDNLVHPREISRMQIDEPDPDDEEFQRIQDPVTVFRKRLQENIELLQAEVNPTQATAVLQTLFKITRFFAGMCSNTQVRLNTEDCARLTQQSKGMWQTTKLPWLSFS >ONI18278 pep chromosome:Prunus_persica_NCBIv2:G3:21369131:21373891:-1 gene:PRUPE_3G206900 transcript:ONI18278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPQVEHNIWVIWRGKKFNVEINAGATLKDLGHELQKLTNVKADTLKLIVPQFSDKSSKLLSPFSDEHEKLSLEETSIIEGKSIRMMGVSEHEVDEVLQHAKTNLRIAGFDEEEMRLRQRMSYRPHTLKLPQGPYIFCDFRTLQLPGIELNPPVSEALKRMHMLAADPGIISVMNKHRWRVGIMTEMAPVGYVGISPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEAESLDWTRSRSHTLSGVQYSEHYEENFYVGGRSNSSQKLGGNMSDRLPSARTSSVAAAYQRLATASHDSVSEVHEESHPDDSIPHMQKESSHMDFVGKGNLEIGSSHKIQWKPDMEPDPDDQSGNKNNFEPSPDESSSQSSGSGTLFGQDFSESMMSQLVSHSVSNRKLEGTECREEPDADYMEACLKHDVVAEPEPFHSHEMEILESRIQPRNNVDEPDPDDLDAKPDNLGCGSYGNIIRPNHDDSLVSETIKCEAHPRKVHNEPDPDDSQSNGVIQAEPDPDDSQSIGIIQAEPDPDDNLVHPREISRMQIDEPDPDDEEFQRIQDPVTVFRKRLQENIELLQAEVNPTQATAVLQTLFKITRNVLEHPGEIKYRRLRKANPAIQRNVANYKAAMAILFLIGFNENVVDEIGRPETYLVLKRDDPGLLWLAKSSLETCITF >ONI18277 pep chromosome:Prunus_persica_NCBIv2:G3:21369131:21373500:-1 gene:PRUPE_3G206900 transcript:ONI18277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAHNQKLFSHRVLQDNMQDPQVEHNIWVIWRGKKFNVEINAGATLKDLGHELQKLTNVKADTLKLIVPQFSDKSSKLLSPFSDEHEKLSLEETSIIEGKSIRMMGVSEHEVDEVLQHAKTNLRIAGFDEEEMRLRQRMSYRPHTLKLPQGPYIFCDFRTLQLPGIELNPPVSEALKRMHMLAADPGIISVMNKHRWRVGIMTEMAPVGYVGISPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEAESLDWTRSRSHTLSGVQYSEHYEENFYVGGRSNSSQKLGGNMSDRLPSARTSSVAAAYQRLATASHDSVSEVHEESHPDDSIPHMQKESSHMDFVGKGNLEIGSSHKIQWKPDMEPDPDDQSGNKNNFEPSPDESSSQSSGSGTLFGQDFSESMMSQLVSHSVSNRKLEGTECREEPDADYMEACLKHDVVAEPEPFHSHEMEILESRIQPRNNVDEPDPDDLDAKPDNLGCGSYGNIIRPNHDDSLVSETIKCEAHPRKVHNEPDPDDSQSNGVIQAEPDPDDSQSIGIIQAEPDPDDNLVHPREISRMQIDEPDPDDEEFQRIQDPVTVFRKRLQENIELLQAEVNPTQATAVLQTLFKITRNVLEHPGEIKYRRLRKANPAIQRNVANYKAAMAILFLIGFNENVVDEIGRPETYLVLKRDDPGLLWLAKSSLETCITF >ONI18280 pep chromosome:Prunus_persica_NCBIv2:G3:21369131:21373695:-1 gene:PRUPE_3G206900 transcript:ONI18280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPQVEHNIWVIWRGKKFNVEINAGATLKDLGHELQKLTNVKADTLKLIVPQFSDKSSKLLSPFSDEHEKLSLEETSIIEGKSIRMMGVSEHEVDEVLQHAKTNLRIAGFDEEEMRLRQRMSYRPHTLKLPQGPYIFCDFRTLQLPGIELNPPVSEALKRMHMLAADPGIISVMNKHRWRVGIMTEMAPVGYVGISPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEAESLDWTRSRSHTLSGVQYSEHYEENFYVGGRSNSSQKLGGNMSDRLPSARTSSVAAAYQRLATASHDSVSEVHEESHPDDSIPHMQKESSHMDFVGKGNLEIGSSHKIQWKPDMEPDPDDQSGNKNNFEPSPDESSSQSSGSGTLFGQDFSESMMSQLVSHSVSNRKLEGTECREEPDADYMEACLKHDVVAEPEPFHSHEMEILESRIQPRNNVDEPDPDDLDAKPDNLGCGSYGNIIRPNHDDSLVSETIKCEAHPRKVHNEPDPDDSQSNGVIQAEPDPDDSQSIGIIQAEPDPDDNLVHPREISRMQIDEPDPDDEEFQRIQDPVTVFRKRLQENIELLQAEVNPTQATAVLQTLFKITRFFAGMCSNTQVRLNTEDCARLTQQSKGMWQTTKLPWLSFS >ONI19253 pep chromosome:Prunus_persica_NCBIv2:G3:25004573:25005485:1 gene:PRUPE_3G267400 transcript:ONI19253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSKDLEKSEASEITGPSSTETTTNEVLDLNEDLEAGLAETDEEHVQVECRICQEEDFMDKLEAPCLCNGTLKFAHRDCIQRWINERLSMICEICKQPYQPDYTMVLPPPQDVQAEDVTIGIRQG >ONI16309 pep chromosome:Prunus_persica_NCBIv2:G3:6768249:6768749:1 gene:PRUPE_3G091000 transcript:ONI16309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRSGVAIEEIKNEILQFIHRSEFCSVLSMLSLQNPMMSYCHHESLDMTRSSY >ONI18174 pep chromosome:Prunus_persica_NCBIv2:G3:20948886:20955728:1 gene:PRUPE_3G200800 transcript:ONI18174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPGTKRSNVNPRRDPGSPATPLVENRRSVSDNPVPNRPSTGTPAPWAPRLSVLARVLPANQSEKGDEIKPVYVGEFPQVVRDEQASMVQKHVHGDTYVSGGMERGTSLAWIICGNRLFVWSYLSPAASINCIVLEIPAKVFEGGDVGRSGGNCWLLCVVNWDSTSTRTKKVVKHCSSAGIVLCNKKTRAAVYWPDIYAEGRTAPVVSVASSDELEANSSPIDRKTTPKRQQLNMRHRSSLTGFCTFNSLIASAVPDSQNMCVALACSSDGELWQFHCSPSGVSRKKVYRDNQTLSSQGGDNGQNLGSKGYPRSLTWCVPSLPMQESNRLFVLLTDHHIQCFNVELCDEFVVSKLWSHEIIGSDGDLGIKKDLAGQKQIWPLDMQVDYHGKVTTILVATFCVDRGSGSSYTQYSLLTMQYKSGMSVEPTHERVLEKKAPVQVIIPKARVENEDFLFSMRLRVGGKPSGSAIILSGDGTATVSHYFRNSTRLYKFDLPYDAGKVLDASILPSTDDGEEGAWVVLTEKAGIWAIPEKAVILGGVEPPERSLSRKGSSNEGSAQEERKNLTFAGNFAPRRASSEAWDAGDRQRAMTVSARQTAQDEESETLLSQLFHDYLLSGQVGASFEKLKNSGAFDRDRETNVFARMSRSIVDTLAKHWTTTRGAEILAMAVVSSQLMDKQQKHTKFLQFLALSKSHEELCSRQRNSLQIILEHGEKLAGMIQLRELQNIISQNRSSGLNSSHSSPENQISGALWDLIQLVGERARQNTVLLMDRDNAEVFYSKVSDLEQVFSCLDKQLEYVINAEQPFGIQVQRACELSNACVTIVRTAMQYRSEHHLWYPPPERLTPWYCLAVVRNGMWHLASFMLQLLKEASQLDVSAKSDLYTHLEVLAEVLLEAYAGAVTAKIELGDEHKGLLDEYWNRRDALLDSLYQQIKEFVEVGHQNLNEGTDDLNEEILAKLSSCLLPMAKRHECYSTLWKICCDLNDSGLLRNLMHDSRGPNGGFSYFVFKQLYLRRQLSKLLRLGEEFPEELSIFLKYHQDLLWLHEVFLHQFSSASETLHELALSQKESSISEAEEGTGPENLTMLPKLADRKRFLNLSKIAAIAGKDVDSETKVKRIEADLRILKLQEEIINLLPDDETKQSLDTKLLHPEDLIKLCLEGEKGAELSLRAFDVFAWTSSSFRKTRANLLEECWRNAADQDDWSKLYQASVSEGWSDEETLQNLKDTVLFQASNRCYGPEAETFGEGFDKVLSLRQEIAEPPIIKDSVSSVEAVLMQHKDYSEAGKLMLTAIMLGSLQDDNIEQEGPVPME >ONI18230 pep chromosome:Prunus_persica_NCBIv2:G3:21092840:21094061:1 gene:PRUPE_3G203400 transcript:ONI18230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKGNYLVGPVKFQGPCKAPVSVRVEGALQALVEPEKLKLEDGWVIFQNIDGVTVSGDGTFDGQGSIAPSQFSYVESLPSLLSSILLCWPRCCLSHILGVGFVIHFLLGASAFLVVVLVWWFQWVCCCYCADSHQVNDDYGASRFVGVGAHGGEYCPNGQCQAKILSKVKINNVRFKNIRGTAADPVVVKLACSKGIPRQNV >ONI15024 pep chromosome:Prunus_persica_NCBIv2:G3:1560625:1561062:-1 gene:PRUPE_3G021600 transcript:ONI15024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVILLSEKLSTVIKQAPLWNEFTCWKKFLECHGFSFHSDTSHSNKFSSNFSYNYDQSHARRLGTESKKRYHPVVTNFLNTLMNFYRLHDYMTDFGYKHKTYRALNLFINYVITTDPANVEYILKKNFAN >ONI16466 pep chromosome:Prunus_persica_NCBIv2:G3:7664893:7665990:1 gene:PRUPE_3G099900 transcript:ONI16466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKVEEELDGEKHSMWSHGGHWQRGEFIGEGSFGSVFLATPKKRRRGEFSRMVNLPAMMAVKSAKVSASKSIEHEAGVLFEIKGCPFVIERFGEETTTTDKGDKVYNLLLEFASGGTLDGLIQKSNGLGLPEYDVRRYTRSILEGIRHIHKCDYVHCDLKPDNLLLVPTTTTTTTSTTARSGATTSFVAKIADFGLAKKTKDNYSRWRGTPRYLSPEALFDNKQDQSCDIWALGCIVFEMLTGNSPWDLKPGCDLDNSVDVTVFDHLRTSKIPAEISDVARDFLKSCLAMRSCERSTAERLLSHPFVAPPQPSKAGHAKLKVVNSSLGYAYGVSYFKPKADYRASTATVPRIHPLPGFEIPAGH >ONI19345 pep chromosome:Prunus_persica_NCBIv2:G3:25290734:25291862:-1 gene:PRUPE_3G273100 transcript:ONI19345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLKQAKVVRFLSHHNKYLLAADDEVSVWQNRDGTVKNAKWTVELISQNSNTLRLKSCFGKYLTALSTPYLLGIKSKKVLQTLPRTLDSIVEWEPVSVAEGYKVKLKTANGQYLRANGCSLPPWKDSISHDNPNWRSTTKDWILWNVDVVEIRLDDQQQQEQQERQRQREQTNINSDHNAGSTGGDDDHDQTEPGSPLEIDLTSPKQNSPSYTKKN >ONI17533 pep chromosome:Prunus_persica_NCBIv2:G3:18451619:18453073:-1 gene:PRUPE_3G165500 transcript:ONI17533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVELLPREYGYVVLVLVLYTLLNFWMAGKVGKARKKYKVPYPTLYASESDNKEAKVFNCVQRGHQNSLELMPLFFVLLLLGGLKHPCISAGLGLLYTVTRYFYFKGYSTGDPENRLAVGKYGFLALLGHIACTISFGVTLLLRG >ONI15256 pep chromosome:Prunus_persica_NCBIv2:G3:2446191:2450817:1 gene:PRUPE_3G033100 transcript:ONI15256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANISLLIITTQSHGHSGLPKRHAPLFILGDSVFEAGNNNYFNTTARANYKPYGETYFKYPTGRFSDGRQVPDFIAEYAKLPLIPPYLQPDNHEFSYGINFASAGAGAMVETRQGAVIGLPSQLSNFKIVRKSLRKKLGNEEAKSLLSRAVYFFSIGSNDYIFPFDTDPSVLGSYSHQEYVDLVIGNITSVVKGIYKKGGRNFALLNLWPIACLPYARALKTEKKGACFDEFTPFVKLHNKALAKALQKLEKKLKGFRYSISDFNEFLTQRMNHPSKYGFVEGEAACCGSGVYGGIYNCGGKRIAKEYNLCKNVSEYVFYDSAHPTDRVYEQFAKQIWSGNSITAPYNLKALFET >ONI17168 pep chromosome:Prunus_persica_NCBIv2:G3:15430287:15431147:-1 gene:PRUPE_3G142200 transcript:ONI17168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLVQTIPAAKAEANGSEQNPRDSSSLEPDLDQILLEPGMERKSNKLDRQSPPPRTTAAPAGKIWNKTLATARRLINALVNCLEFPKTWVEATRDMLMVVATMISTATFQAIINPPGGVWEENKTNGTISYCTDDHKCLAGTAVAGNRLPEEFLTFIRFNTISFFASLSVTLLLVGGFPLQNYIIMWLLSMAICITLSSMALTYMQALMLVLPETEFFFSYGDMYDISINAWCSLLLSITLIHTTRLINWLAKKFSRRFKHNIPKSLRDVVDSLTAPARHRTNKF >ONI19497 pep chromosome:Prunus_persica_NCBIv2:G3:25661625:25664197:1 gene:PRUPE_3G281400 transcript:ONI19497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKISIWVSFISFSLFLHSSTSVKDEVKNSLIIFLAKVSNSGVQPGLTWGWNTSSDPCKDQWQNVICDSQNDSVTKLFLNGKNLTGTLDAASLCNVRSLAASITILALDDNNIGGQISAEIANCDQLTRLTVSSNQLSGNLPESLAALNNLKRLDISNNKFSGELPKLSRISGLTAFLAQDNQLTGQIPNFDFSNFDTFNVSNNNFQGQIPNVNGFLTASSFLGNPGLCGDPLPNKCSSSSTTADENSNTKKGISKNQMFIYMGYGVLALVCLVLVVLRICSKKKSKDQVDSVNKVAAVDESASKLSAASSEYKGGLSKSQYSVTFSADESAAMVSSSLVVLTSPVVNGLKFEDLLKAPAELLGRGKYGSLYKVIFENGMVLVVKRIKDWALSSNDFKQRMERLYEAKHPNVLPALAFYCSKQEKLLVYEYQQNGSLFRLIHGSHRGQAFDWTSRLSAAASIAEALAFMHQELRAEGIAHGNLKSSNMLLNKNMEPCISEYGLMEINDKDNFMPGKASGAKASSTFKGDVYGFGVILLELLTGKLVQHNGVDLTVWVHSVVREEWTAEVFDRSLMSEYASEERMVNLLQVAIKCVNRSAEARPSMNQVALMINAIREEEERSTVYDPQSMSLL >ONI18145 pep chromosome:Prunus_persica_NCBIv2:G3:20861121:20862602:1 gene:PRUPE_3G199300 transcript:ONI18145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDPCLWILPIASLPCPFDGLESDYFVHLGNQDFFHVKTGTNYEFYKIQYICITTFQIICSGRWKTYNQA >ONI16359 pep chromosome:Prunus_persica_NCBIv2:G3:7050168:7051235:1 gene:PRUPE_3G094100 transcript:ONI16359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLPAEVFMDIFARLSPKDLVRSTCVSKEWKATIEDPQLAKSHLQRSIKTKSHPTILIISSNPIVNCFSLNFSETDTTYGKRLIIEQPWQHPPRLTSDKIFGYFNGLVCMHDGAGFGLWNPSIQKFKRIHSSPFSMFRFAKIHGGFGYDSVNVDYKLVSFVHLNTFCAVHSYSLKSGAWKSIQDLPLKGLVFDSQGVFLQRALHWLTLQETDGERMLNILTFDLASEEFCKFPLPVHIFPAPISDQVCRCPIYSLVVVGGYLCIYLTESRCKAWIMREYGVVESWSMLYSFDGQGHNCKPLLLSSCGKMVLLEENYSRLVWYDLHNGTRKMFQSPMAQSFSTIICEESIHLLGS >ONI15835 pep chromosome:Prunus_persica_NCBIv2:G3:4595787:4596646:1 gene:PRUPE_3G064300 transcript:ONI15835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLPNPTHFLKLKPLTLTTNRTITHVSVRCGPRDNRGPLVKGRVLSIEAIQAVQALKRAQRSDPTELPTLASKTLSRLIKADLVAALRELLRQEQCHLALQVFSTFRSEYPPDLSVYAEMALALARNGMAEDMDGLICVLEKESGVQWDSDKGLIRLIRAVVTADRRESTVKIYEMLKRNGWGSSDFKADEYMVRVLSKGLRRLGEVGLADEVDMKFGSPYEGNLEKLTV >ONI19128 pep chromosome:Prunus_persica_NCBIv2:G3:24651902:24654249:-1 gene:PRUPE_3G260300 transcript:ONI19128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELQPNTPSPPYDLIILGASGFTGKYVVKEALKFLNTPSSPLKSLALAGRSPTKLTQTLKWAAHPNPPPPIPIINADTTDPPSLHRLCTQTKLILNCVGPFRLYGESVVAACAETGCDYLDICGEPEFMERMEAKYHDRAVEVGSLVISACGFDSVPAEFGLMFNSRQWVDPALPNRVEAYVSLESEKRIVGNFGTFESAVLSVANADKLQELRRSRPRKPRPAIPGPPPPKGPTIEQQKDIGLWAVKLPSADAIVVRRTLGVLTENPRGLPGSNESAERIEKREAFWSTVKPVHFGVKIGSKSLLGILHIMTVGIFIGLLGRFSFGRWLLLKFPSFFSVGWFRKKGPSEDEVRSASFKMWFVGKGFSDSSLVSQGNRKPDMEIITRVMGPEIGYLTTPIILLQCALILLHQRNNLPKGGVFPPGIVFGPTDLQERLQENGISFDVISKKAISS >ONI19517 pep chromosome:Prunus_persica_NCBIv2:G3:25710464:25711897:-1 gene:PRUPE_3G282700 transcript:ONI19517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTIDSQHVEDEGHVVLFPFMSKGHTIPLLHLSRLFLSRRLRVTIFTTPANRPFINQSLADTSASVISLPFPQSISPEIPSGVESTDNLPSINLFFPFALTTGHMQPDFERALQTLPRVSFMVSDAFLWWTLDSADKLGFPRFVFYGFGNYAMDVSKVVAENRLLHGPESDDELITVTRFPWIKITRKDFFHEAFTKDDHDQPSQASEFHMKAAVATNRSFGMIVNSFYELEPVFTDYWNSECEPKAWCVGPLCQVAQDEPHDDHQDKPIWIEWLDEKLEKGSWVLYVAFGTQAELSAEQLQEISKGLENSNINFLWVIRSKGSEETAAWDINGFEERVKGRGMVVKEWVDQRRILMHESVKGFVSHCGWNSVLEGICAGVPILAWPMMAEQPLNARMVVEEIKVGLRVETCDGSVKGFVKSEGLEKMVKELMEGEKGEEVRKKVKEFADLASKAVKEGGSSWLTLQSLTDEMRQH >ONI19292 pep chromosome:Prunus_persica_NCBIv2:G3:25144902:25147052:1 gene:PRUPE_3G269900 transcript:ONI19292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFPPCHSFMFQTHEDPDLHLANSSSLNNLPSCPPQHFHGGGVPFMMKRSLSFSGVENNKCHHHEEVGLHGGGEDDLSDDGSQIGEKKKRLNLEQVKTLEKSFEMGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLEKDYDVLKKKFDALKADNDVLQDHNNKLQAELLSMKSKDSNEAGLNNNLKKETDQGSWSNGSENSSDHHHHHHLNLDISRTPATTNSSPSNSQQINGKNLFPSSLRPTSITQLLQGSSSRSDLQCLKVDQMIQDESLCSMFNGIDQEQQQGYWPWPEQHHFH >ONI19291 pep chromosome:Prunus_persica_NCBIv2:G3:25144902:25147362:1 gene:PRUPE_3G269900 transcript:ONI19291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFPPCHSFMFQTHEDPDLHLANSSSLNNLPSCPPQHFHGGGVPFMMKRSLSFSGVENNKCHHHEEVGLHGGGEDDLSDDGSQIGEKKKRLNLEQVKTLEKSFEMGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLEKDYDVLKKKFDALKADNDVLQDHNNKLQAELLSMKSKDSNEAGLNNNLKKETDQGSWSNGSENSSDHHHHHHLNLDISRTPATTNSSPSNSQQINGKNLFPSSLRPTSITQLLQGSSSRSDLQCLKVDQMIQDESLCSMFNGIDQEQQQGYWPWPEQHHFH >ONI15268 pep chromosome:Prunus_persica_NCBIv2:G3:2507860:2508520:1 gene:PRUPE_3G034300 transcript:ONI15268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSAVVLVIMAALLVASTRAQSPASSPSKSHAASPSSTPTSAAPSPSSHPPKAASSASPSSSPKAASSASPSSSPPFPSPVSTPPSSSPSSTQPTASPSPSSTTPTTAPSPSSTSTEAAPSSKPAANSPPSPPSSSPVATPEISPSSSGVAPAPAPSGAVSNRLAVAGSLASGVFAAVLVM >ONI14731 pep chromosome:Prunus_persica_NCBIv2:G3:289158:289878:1 gene:PRUPE_3G004600 transcript:ONI14731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >ONI18740 pep chromosome:Prunus_persica_NCBIv2:G3:23097864:23098640:1 gene:PRUPE_3G235900 transcript:ONI18740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLVRGATASLSRRFDGYEPLDRVMRSASRRDVRLRRSMSFKREKVAHVPLRNNEDRAKQKRQIFLNSYKFESPKKSQRSRCMKLNKVACKVKTVVGKLVSSFFRIGGFRSCNCRLAIHASSPRPRRN >ONI14924 pep chromosome:Prunus_persica_NCBIv2:G3:1166974:1168979:-1 gene:PRUPE_3G016300 transcript:ONI14924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPHTKSTLKFVKTASQSPTSSWSSNSGGMPKYEGKERLHDKSKSSQKSPTKENTKPQEIRLHTQQRATRRATFNYMVAAKLSILEQRRKQEEMLQKMIEEEEIRLLRKEMVPRAQLMPHFDRPFVPQRSRRPLTVPREPSFMSSKCFGCNSSSGFYNFQHTTQAMNPIK >ONI18340 pep chromosome:Prunus_persica_NCBIv2:G3:21562800:21566403:1 gene:PRUPE_3G210100 transcript:ONI18340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRATAAPNPLQTLLDLINSTLSLLLRSSLTVRSFVGRWTALHTKLTSLHSSIADISDSPHWAQNPLLHTLLPNLLSTLQRLTALADQCTDAGFTGGKLHMQSDLDMASSSLCNQLRDLDLLLRSGVLHQSNAIVLSLPGPGSDKEDLGFFVRDLFTRLQIGGVEFKKKALESLLQLLNDDGKSSGVVAKEGNVAYLIHLLDFHNQPFVREQAVFAVCLLASANDESSRKAVFEEGGLGPLLRILESGSTHLKEKAAMAVEALTADPENAWALSAYGGVSVLIEACRSGSPATQTHAAGAIINVANVEEIKTALGEEGAVPVLVQLLLSGSITAQEKSANCLAILASSDEYFRALIIQERGLQRLMHLIQDLPSSDTLEHVLRAITSLSASDSCFKVLSSSTLFLIQLGEFIKHGNTTLQQISASLLAHLSINVSDGNKRALGSCMGSLVKLMESPKPVGLQESAAQVLVSLLTVRSNKKELVRDEKSVMRLVQMLDPKNHEMVCSKFPVAVVAAILSGGSGGCRKRLVAAGAYPHLQRLAEMDVVGAKKALQRLAGNRLKTIFSRTWRE >ONI19124 pep chromosome:Prunus_persica_NCBIv2:G3:24638149:24641527:-1 gene:PRUPE_3G259900 transcript:ONI19124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACKTLVRTSLSSISSSLRASGPPIPLLHSPSLRFSPLLSPNQGLRLASHNVPSRPYASISTEAADFTDEAQFTDSTLEPPEIPTQDTVEQLLKQKNDVGRLMKMERSPESAHQRGGRWFPYLDKFSCGEDAYLNSAEVLDALDPYILDVRKERFRRVVKNRSYSVCLVVEGLGDFGNVSAAFRSADALGFQSVHVLSCDSSKRYRDNRHVSMGAEKWLDIELWDSTPECFEVLKSRGYRIATTHVGMDAVSVYDMDWSCPTAIVVGNENRGISDEALDLSDLHCSIPMKGMVDSFNVSVAAGILMHHAVCDRTSRLGCHGDLTFEESQILLAEFSLRHSKSSMSIAHEYAKRKAAVLTPRI >ONI15154 pep chromosome:Prunus_persica_NCBIv2:G3:2058075:2058645:1 gene:PRUPE_3G027600 transcript:ONI15154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLNPSSSLYSQRLSLSHFPIYSHAISLISPLFPCNSDLEERVTPEKYLPQTVLLFFHRTEAKPKSTISKNL >ONI16546 pep chromosome:Prunus_persica_NCBIv2:G3:8359427:8360833:-1 gene:PRUPE_3G105600 transcript:ONI16546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLRHTEDSDFTAGFGHDEDMIDVDLPPEEDTASVNFPLPYLNLHLDLITDCAGRYLMPSFHLNHQRHMILICRLIGGLGTLGQLKRYEDLFVVRIPNTMENICVKLGFWQSIVVDSSGASGGLCFMWIKEVVMVPRSFCFHHIDTEVKVIRVLGCWRLIGFYRYPVTADQHKSWELLASLGDASFMAWLCVGDFNEVFWADEKLGGRVRNDRQIQGFRYAIDYCGLQELGFMGPKFTWWRNNPEDIRVRLDRALTNIEWSERFSGSTVFHLNPTKSDHLPIKIIISKQILNQS >ONI17389 pep chromosome:Prunus_persica_NCBIv2:G3:17448783:17450733:-1 gene:PRUPE_3G156200 transcript:ONI17389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNNINTQLTRHKGCQGKGSGQEQYWHKRSRVKWLKYGYSNSKFFHLFMTIIRRKNRILHIKGVMEEVKVAAFNLVSLMAPSPNGFLGLFYHKYSEQVNEILRNTTQSTTNWGGDLRKINQTHLIPKVSSLESATKFLPVCLCNNSYKILAKILANRLKKILPCIISHHQNAFVPNRQIQDKILVAHEALHYLKHKKKGKLAS >ONI17113 pep chromosome:Prunus_persica_NCBIv2:G3:14613256:14614840:1 gene:PRUPE_3G138500 transcript:ONI17113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVEGVLSLYERGRANGWKLDPNAFSILGKMFGEAGDYDGIRYVLQEMAALGVQPNLVVYNTLLEAMGKARKPGLARSLFEEIVGSGLKPNEKT >ONI17064 pep chromosome:Prunus_persica_NCBIv2:G3:14344996:14351075:1 gene:PRUPE_3G135900 transcript:ONI17064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGQRGKMVKRVKRVRNPASPAPRLEGGGRSLSDQKQRVLRCRLETFKHLRSSSLDEIVHQLRNNYRDYHRLKLQSFNKFVQQTLDSPSFKQSKTLIHVSDLEEEEEEEEKDEEEDGQSNSQRRQKRAASKSEDKLQRMESAHLRRIRERNGDRPSTSSSSSSSSSSSSSSSSSDDADEDGSLSMSEDAIYSKKVEPEFDVMKSSLRASYMESNSAMKPKAAEDQKEKNVEMELPGREEVELMGGNGVLRRPKTLQAAEAKGSVTGVEVKGSEGPRFGDLGGMEKVIEELKMEVIVPLRHPELPRWLGVRPMSGILLYGPPGCGKTKLAHAIANETGIPFYKISATEIVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRESLQREMERRIVTQLMTCMDESHRLVQPADANSDSQSSDNKSGYVLVIGATNRPDAVDHALRRPGRFDREIVLGVPDENARFQILSVLTRNLRLEGSFDLLKIARSTPGFVGADLAALADRAGNIAMKRIIHKRKTYMSKYSMNEECNEDWWRQPWTPEEMEKLTISMADFEEAVQVVQPSSKREGFSAIPNVKWDDVGGLDLLRQEFDRYIVRRVKYPENYEEFGVDLETGFLLYGPPGCGKTLIAKAIANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAEQRRGVFVIGATNRPDVMDRAVLRPGRFGKLIYVAPPTKDERGLILKALARKKPIDASVDLSEIGQRGTCENFSGADLAALMNEAAMAALEEKLTSPERSLDASPWTINDTHFEQALAKIAPSVTDTQMQYYQKFGESLKAPRNKA >ONI17063 pep chromosome:Prunus_persica_NCBIv2:G3:14344996:14351075:1 gene:PRUPE_3G135900 transcript:ONI17063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGQRGKMVKRVKRVRNPASPAPRLEGGGRSLSDQKQRVLRCRLETFKHLRSSSLDEIVHQLRNNYRDYHRLKLQSFNKFVQQTLDSPSFKQSKTLIHVSDLEEEEEEEEKDEEEDGQSNSQRRQKRAASKSEDKLQRMESAHLRRIRERNGDRPSTSSSSSSSSSSSSSSSSSDDADEDGSLSMSEDAIYSKKVEPEFDVMKSSLRASYMESNSAMKPKAAEDQKEKNVEMELPGREEVELMGGNGVLRRPKTLQAAEAKGSVTGVEVKGSEGPRFGDLGGMEKVIEELKMEVIVPLRHPELPRWLGVRPMSGILLYGPPGCGKTKLAHAIANETGIPFYKISATEIVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRESLQREMERRIVTQLMTCMDESHRLVQPADANSDSQSSDNKSGYVLVIGATNRPDAVDHALRRPGRFDREIVLGVPDENARFQILSVLTRNLRLEGSFDLLKIARSTPGFVGADLAALADRAGNIAMKRIIHKRKTYMSKYSMNEECNEDWWRQPWTPEEMEKLTISMADFEEAVQVVQPSSKREGFSAIPNVKWDDVGGLDLLRQEFDRYIVRRVKYPENYEEFGVDLETGFLLYGPPGCGKTLIAKAIANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAEQRRGVFVIGATNRPDVMDRAVLRPGRFGKLIYVAPPTKDERGLILKALARKKPIDASVDLSEIGQRGTCENFSGADLAALMNEAAMAALEEKLTSPERSLDASPWTINDTHFEQALAKIAPSVTDTQMQYYQKFGESLKAPRNKA >ONI17065 pep chromosome:Prunus_persica_NCBIv2:G3:14344965:14351077:1 gene:PRUPE_3G135900 transcript:ONI17065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGQRGKMVKRVKRVRNPASPAPRLEGGGRSLSDQKQRVLRCRLETFKHLRSSSLDEIVHQLRNNYRDYHRLKLQSFNKFVQQTLDSPSFKQSKTLIHVSDLEEEEEEEEKDEEEDGQSNSQRRQKRAASKSEDKLQRMESAHLRRIRERNGDRPSTSSSSSSSSSSSSSSSSSDDADEDGSLSMSEDAIYSKKVEPEFDVMKSSLRASYMESNSAMKPKAAEDQKEKNVEMELPGREEVELMGGNGVLRRPKTLQAAEAKGSVTGVEVKGSEGPRFGDLGGMEKVIEELKMEVIVPLRHPELPRWLGVRPMSGILLYGPPGCGKTKLAHAIANETGIPFYKISATEIVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRESLQREMERRIVTQLMTCMDESHRLVQPADANSDSQSSDNKSGYVLVIGATNRPDAVDHALRRPGRFDREIVLGVPDENARFQILSVLTRNLRLEGSFDLLKIARSTPGFVGADLAALADRAGNIAMKRIIHKRKTYMSKYSMNEECNEDWWRQPWTPEEMEKLTISMADFEEAVQVVQPSSKREGFSAIPNVKWDDVGGLDLLRQEFDRYIVRRVKYPENYEEFGVDLETGFLLYGPPGCGKTLIAKAIANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAEQRRGVFVIGATNRPDVMDRAVLRPGRFGKLIYVAPPTKDERGLILKALARKKPIDASVDLSEIGQRGTCENFSGADLAALMNEAAMAALEEKLTSPERSLDASPWTINDTHFEQALAKIAPSVTDTQMQYYQKFGESLKAPRNKA >ONI15078 pep chromosome:Prunus_persica_NCBIv2:G3:1809661:1811997:-1 gene:PRUPE_3G024700 transcript:ONI15078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLKIGNNNFNGMAFIIKMKHNKASASSNYNKLFLFFGFLLLPCSASAACAQVDHASLLSLAFKPPLNWSSSTDCCSWEGVSCQQDDDDHRVVRLWLPWRRLSGVISPSITNLTHLTHLNLSHNSFSGPLPNDLFSSLSRLQVLDLSYNSLTSHLPVFSSKTTRLETLDLSRNLFNGSIVVPAAAAATLTTFNVRKNNFAGSNPISFFCNNGSDHTSLTILDLSFNKFTGEIPPGLGACSKLQVFGAGFNNLSGYLPDEIFDLADLEELYLPGNRLLGHIGKGIVLLTKLKILELSSNKFSGPIPSNVGKLSRLENLLLSSNNFTGHLPPSLTNCTNLSTLILRFNHLTGDLSAFNFTTLPRLTMLDLGNNNFTGGELPQSLYSCKSLKAIRLASNQLTGQISPEIVALKSLSFLSLSINNLTNATGAIRILMGCKSLTTLVLSNNFLLEPVPDDENLAELDGFLNLKVLALGGCQFTGQVPSWLAKLKNLQALDLSYNLITGSVPGWLGSLPNLFYMDLSNNLFEGGFPTELCGIPALTSEETGDHKVQESFLELPLFVKPTNATSQLYKELSQFRRAIYVGNNLLNGTIPIQIGQLRLLHVLDFRHNNFTGSIPDQISNLANLEILDFSYNHLSGAIPASLKSLNFMSFFSVAYNDLQGLVPSGGQFDTFTISSFEGNPGLCGPPTVRLTCSPQPPPPPPVGGGSSFNGKFLTGIITAIGYGIGFGIGFWLEINKKKFLCNLKCIFKTCRSLINQMTKRIQKFCTVDQQNNDSVN >ONI16964 pep chromosome:Prunus_persica_NCBIv2:G3:13659610:13662211:-1 gene:PRUPE_3G131900 transcript:ONI16964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQILSVFLNTWGILIIAILSSNSVSGTTEFIFNTNFNSTSLSLYGNATIQSSILSLTNDTTTFSLGRALYPQKIPTKPSNSSSSTPLPFSTSFIFSLAPFKNLLPGHGFAFVFLPLPGLAGASSAQHLGVFNFTNNGDPNNHIFGVEFDVFKNQEFQDPDDNHVGVNLNSLTSNASYTAGYWSGEFDEDFNELKLNNGVNYQVWIDFLDLKINVTMALAGVKKPRKPLISEVVDLSGVLLDEMYVGFCGATGALVESHRILAWSFSNSNFSIGDGLVTQNLPSFVASGDSAFGSKGFIVGVSAGGVLVVWCVVLVYVVLVRRKRRKGKKGEEMEEIEDWEMYYWPHRIDYEEIRKATERFAEKNVIGMGGNGKVYKGLLAGVEVAVKRISHESEHGLKEFLAEVSSLGRLKHRNLVGLRGWCKKEKGSLILVYDYMENGSLDKRLFESNMLSWEERIKVLKDVASGILYLHEGWESKVLHRDIKASNVLLDKDMNARLGDFGLARMHQHGEMTATTQVVGTAGYMAPEVVRTGRASPQVDVFGFGILVLEVVCGRRPIEAGQPGLVDWVWRLMERSKLAFALDERLKTKGGYSIEEVERLLHLGLLCAHPEAHRRPTMRQVMKVLEGASDAIDDQSEGEGMNVNLLHNIRTTPMWSTYRWNMGGQGHPTFEDMKNSLSSSLSLSGSDIIRVGR >ONI19772 pep chromosome:Prunus_persica_NCBIv2:G3:26357343:26358464:-1 gene:PRUPE_3G296800 transcript:ONI19772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLRSKRFCRSFSSCKLISSNNNKIKAAAADQSKEFGGAINNNGEIKWELRPGGMLVQRRETAAESSAGEVLITVRVSAVSHCHDISIEATSTFGELKMILSLVTGMEAREQRLLYKGKQREDGEYLHMVGVRDKDKVLLLQDPAIKAMKLHGIGTSTTCRTISV >ONI18040 pep chromosome:Prunus_persica_NCBIv2:G3:20497970:20501580:1 gene:PRUPE_3G193400 transcript:ONI18040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKNRQIGHYSSSQKILLVGEGDFSFSACLARAFCSAANMVATTLESEDTLRTEHWSSEAHLEELERRGCLVLYEVDVYEMHQHPTLMCMKFDIIIFNFPHAGHYSWLCERDDELIQMHRDLLKAFFKSARGMLSQGGEVHVSHRDDYPYDQWKLKELAEKAGLVLKEKVWFEKSNYPGYHNKRGGGIQSNKKFPLNECYTFKFSLKHETSHELKPACNQTTSTLNKRKGDVNLERLEAGLATARALIREATSKFNQTALEDADYVPQGDIYRNAYAFHRSHLLMESLFKIYVYEEGEPPIFHNGPCKNIYSMEGLFLSFMETDTKFRTLDPDKAHVYFLPFSVVMIIEYLFHPIIRDKAVLERTVVDYVRVVSNKYPFWNRSLGADHVMLSCHDWGPRATWYVKQLYFVAIRVLCNANTSEHFNPKKDASFPEINLETGDITGLVGGLPPSERTTLAFFAGRMHGRIRPLLFQHWKEKDKDLLVYETLPEGVSYHDMLKKSKYCICPSGHEVASPRIAEAIYAECVPVLISQHYVLPFSDVLNWEFFSVQVSVSEIPHLKEILMGIPEEQYRRMQKRVKQVQRHFVVNSPPKRFDVFHMIIHSIWLRRLNVRIYG >ONI17875 pep chromosome:Prunus_persica_NCBIv2:G3:19950769:19953700:1 gene:PRUPE_3G184600 transcript:ONI17875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAAELVFIPSPGVGHLVSAVEIAKLLVARDDQLFITVLTMKLPFDCMPKGTVDAYTDSMSQRIKFVDLPEEAIETRGINPNLFLKFFIENHKAHVKDAVTKLTESDQSDSKPRLAGFVVDLFCTSMIDVADEFGVPTYIFFTSSAGFLGLMFHLQTLHDEQNKDCIEFKDSDAELVVPSFVNPLPAGSVLPGVFLDKHGAAEFINHARRFRETKGILVNTFIELESHALHSISDEGKTPPLYPVGPILNLKSDDNHKGSEILKWLDDQPPSSVVFLCFGSMGSFGEDQVREIACALEHSGLRFLWSLRQAPPKGVVAFPSDYADHKGVLPEGFLDRTVGIGKVIRWAPQVAILSHRAIGGFVSHCGWNSTLESLWHGVPVATWPMYAEQQLNAFQLVRDLGLAVEIKMSYRRDDQVVVSAEEIERGIKEVMEHDSDQRKRVKEMSEKCKKALMDGGSSHSSLGRFIDQLFL >ONI17964 pep chromosome:Prunus_persica_NCBIv2:G3:20168298:20168721:1 gene:PRUPE_3G188300 transcript:ONI17964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTKDEYEKCHSAHPIFFSNNGVTTFTLHRPGLFYFISGVSGHCERGQKMIIKVLEPASPPQSADQNEQKNDAAIAMAAITSATLMSYYVICGARILRFRSETSVGYAMIRVVNGYSL >ONI15242 pep chromosome:Prunus_persica_NCBIv2:G3:2392663:2393353:-1 gene:PRUPE_3G032100 transcript:ONI15242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGRWVVLPIYFKGSHYRDVLVGKTRTKSVEAELDESDRALFIFIVKL >ONI17940 pep chromosome:Prunus_persica_NCBIv2:G3:20119002:20121521:1 gene:PRUPE_3G187400 transcript:ONI17940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMKCIKRSNSIIILVPYPAQGHVTPMLKLASAFLSHGFKSVLVTPDHIHNQIVPKVEQNDKILCMPIPDGLDKDAPRDFFAIEKAMENTMPGHLESLVHQLDHHQDGDQVVCIVADLLASWAIDVANRCGVPSAGFWPAMLATYRLITAIPDMVRTGLISDTGFPKQLGGVCLPNQPMLSSEDLPWLIGTPASRKARFKFWKRTLDRSKTLPWLLVNSFPNEYCTNGEQQLDHHQLVKMNTQAQQPLVFPIGPLSKHTTIKNPSFWEEDTSCLTWLDKQNPNSVIYISFGSWVSPIGEAKVRSLALALEALGKPFLWVLGSSWLGGLPNGYLERVSRQGKVVSWAPQLEVLQHKAVGFYLAHCGWNSTMEAIQCQKPLLCYPVAGDQFVNCAYIVKVWRIGVKLIGFGQKDVEEGLKKVAEDAEMSNRLRKLNERTMGDEANLRAVANLSAFIDDQLKILTLGFSSNGVHDYDL >ONI16866 pep chromosome:Prunus_persica_NCBIv2:G3:11620108:11621001:1 gene:PRUPE_3G126200 transcript:ONI16866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTCKGNGGIGGENTSEGDIKTDFIGVFCHEMTHTWQWNGQGQAPVGLIEGIAHFVRLKADYVPNGWVKSGEGQRWDEGYLVTARFLDYCNDLQQGFVAELNKKMRDGYSDNFFQELLGKTVDQLWTDYKARFAN >ONI17519 pep chromosome:Prunus_persica_NCBIv2:G3:18347713:18348378:1 gene:PRUPE_3G164400 transcript:ONI17519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATGQTEKPNDYDGVEFWLNPERTGWLTKRGEYIKTWRRRWFVLKQGKLFWFKDSTVTRGSSPRGVIPVASCLTVKGAEDVLNKQYAFELSMRSDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSNKCWERRPAW >ONI19618 pep chromosome:Prunus_persica_NCBIv2:G3:25945413:25946141:1 gene:PRUPE_3G287700 transcript:ONI19618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITCTYCVHANLQKDMWSEEEDKILIEAHKQIGNKWAEIAKRLPGRTENTIKNHWNATKRKQNSKKKTNKDPNNNINPPESSLLQDYIRSVVSSSSSFSSSSSSASSSATTPKTSSASTETTETTEQRNALSSDHSRGFYFNQNNAESANWAQTAYHPDHISNETSNNMYSQMGGSVSNLFNFESYGFGGSFLVDQVTEVEEYRGSSMEAEMPLALEMQGPDDDHVKKEMDLLEMICQGKL >ONI16920 pep chromosome:Prunus_persica_NCBIv2:G3:13219261:13220445:-1 gene:PRUPE_3G129900 transcript:ONI16920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVPQDKTLNFTIDKEVFGLERDTFLLPEDITQFAGMEEIGATVIAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTIADRSRLVAARLQRTDGEQIFMMPYNPGRHWILLIVIAKRETVYFLDPLSGNRVVDEEAKNIVNSAIKIYNSHIARSGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLAFEKKVRRNYLHTFYVVFCIINDGHVKLPSLNRYTTSVMKNIVVCD >ONI18153 pep chromosome:Prunus_persica_NCBIv2:G3:20906622:20909398:1 gene:PRUPE_3G200000 transcript:ONI18153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESWRSKFISLHCFIFLSLIATHCFLFVQTQALAQVDECSALLQFKESFAINKSVSADPLAYPKVSFWTREGDGNRSNCCSWDGVECDEDFGHVVGLDLRSSCLYGSINSSNTLFRLVHLQRLDLSDNHFNFSQIPSRFGHDLSSLTYLNLSYSLFSGQIPSEISKLSKLSTLDLSFNGPKFDAYGIPLKLTKANMRSLVQNLTTIKQLHLNWVEMYFTVPDILVNASSLTSLQLRDCELNGEFPVGIFHLPNLEVLDVNGNSNLTGYFPNFNKSNVFKKLNVGATNFSGMLPASMGNLHSLNFLDISWCHFYPLIPSSLGNLTQLNYLDMISFNDIPNNNSTGQLVSEYSLSWIASLTNLYSLSLWANKFRGKFPSFVANLTQLSFLQLGGNEITGQIPSWLMNLTQLTTLYLVGNNLSGAIPRSLFQLQNLEILDLSSNSLSGQVEFDQFSQPKKLKELRLAYNKLSLQIITNLSATVPQLELLDLTSCNLTEFPEFLKYQSELRFLQLSDNNIQGQIPKWVWNATRETLLLLDLSSNFLTGFDQNPINLPWQNLYALDLRTNMLQGSLPIPPQSIINYVVGSNHYSGEISPSFCNLNHLHILDLSNNSLSGMLPQCLGNSSALEILMLMNNSFHGSIPQICPVANSLKMVDLSYNQLQGKVPRSMANCTRLEFLNLGNNHMRDIFPSWLGALPALQFLSLRSNGFHGMIGKYATNHDFPKLCIIDLSDNGFSGVLPSNCLENWNSMKFVDESQQTYFQVISTSYNNSAKYSYSFSYPYSITTFAKGVELKFIWTPYLLRFIDFSSNRFEGEIPAGVIGNLRGLLFLNLSNNALTGLIPAKI >ONI15060 pep chromosome:Prunus_persica_NCBIv2:G3:1741159:1742289:-1 gene:PRUPE_3G023600 transcript:ONI15060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKRKRETQKEDEPGLVQKERSLSIPECEWVRGRMLGKGGFGSVYLATAKKPKLGSEDLPAIMAVKSGRVSKSPELLMERTLLKIFGDCPFVIDCYGSDMTADAENKCTYNVFMEYADGGTMRDLIKKSRGCGLLEPQVRKYTGCLLKGLQYIHVRGCVHCDLKPENILLVSNSDGDFVPKIGDFGLAKFAVYKKKSRKEPTCQGTAIYLSPEAVLYGNQEKPSDIWALGCVVLEMLTGRWPWDLEAGATLQDLQLLIASKVPTVPGWLSEDAKDFLRKCFVRNPSERLEAAKLLNHPFVTKLDGLGEVKVEPLKKQVSAVPSSENCEKAEGSKPGDAEEILPLAVLYPGDSKPVILPTTVCRKPSNFGITGAA >ONI17992 pep chromosome:Prunus_persica_NCBIv2:G3:20345257:20349262:1 gene:PRUPE_3G190800 transcript:ONI17992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIEVQGNKPHAVCIPFPIQSHIKAMLKLAKLLHHRGFHITFVNTEFNHRRFLKSLGPHSLNGLPNFQFKTIPDGLPASDEDAGQNAYLLCDSVRKKFLAPFCNLLLKLNDMEISNNISNPPVTCIVSDGFMTFSITAAEELGIPVALFFTIAAIGFMGFKQYPTLVEKGLAPLKEESYLTNGFLDQVIDWVPGTKAIRLKDLPKSFQTTNPNDILFKLTLEAMDRVDKASAVVLHTFDELEADVLHALSSLPPPVYTIGPLQLLLNQIPQHPLKSMGYSLWKEETEWFQWLNAKVPNSVVYVNFGSIVVIKSEDLIEFCWGLANSKLPFFWVVRPDLLVGESAILPPEFVAETKGRGLVASWCPQEQVLSHPSIGGFLTHSGWNSTIESLSAGVPMLCWPFFAEQRINCVYTCNEWGIGLEINNDAKRDQVEKLIKELVEGEKGKKMRTKAMEWKKLAEKAISPDGSSYANLDNLVNQVLLRKG >ONI14845 pep chromosome:Prunus_persica_NCBIv2:G3:748367:753147:1 gene:PRUPE_3G012100 transcript:ONI14845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQATQALKMKQGYLGMVLPITITSIWWWSCLIRGVDASPQINLLNKGCSQYNATNLPDFYTNLNATFSDLKTQLNKNSTHFATAQQARGSDPVYAMVQCRNYLSAADCAACFAAAVSQIRNCSAANGARVIYDGCFLRYESAGFFDQTSLPGNVGLCSNKTASQATAFSAAAGKLLVDLQLATPKINGFFAATKNEVVSSGSGNETVYGVAQCAETISKTGCQDCLNVAYANLQSCPPDTDGRAVDAGCFLRYSSTSFFPDNQTTNIVSFLKTGGNSRKKAIIIGVVVGGVGLLILIVGVLLYFKLSRKPEAARRGDILGATELQGPMNYKYKDLKSATKNFSEENKLGEGGFGDVYKGTLNNGKIVAVKKLAILQSDRAKANFINEVKLISNVHHRNLIRLLGCCSKGPELLLIYEYMANNSLDRFIFGPKRGSLNWKQLNDIILGTARGLAYLHEEFHVCIIHRDIKTSNILLDDSFQPKIADFGLARLLPDDQTHLSTRFAGTLGYTAPEYAIHGQLSEKVDTYSYGVVVLEIISGQKSSEIKSDAMGEFLLEKAWKLYENGKHVELVDPNLDPNEYKPEDVKKIIEIALMCTQPSAAQRPTMSEVIVLLKSTSSLENRALTRPVFVDSDKRVKGDTSTSTASSTSNATVSVSQVSGR >ONI18594 pep chromosome:Prunus_persica_NCBIv2:G3:22461976:22464658:-1 gene:PRUPE_3G225300 transcript:ONI18594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLIACVLTLLVFSPKLPFSFCTSTHNLLVPGKSISANQTLISPTGNFALGFFSPENCTNTSLAFETPLDSPGVFLLGSDGNLVVLDGKTRKALIWSSNASLPASATNATIGLLMDTGNLVLGFGKDQTKDPLWQSFDHPSDTLLPSMMISLNKRTDQQRRLTSWAAVDDPKPGKFSLGIDLQLPAQVVVWKENTGPYWRTFKNPSATFLVLSFNVEFDDHTDEVYFTYGVSDSLVKLRSVLNPNGLFVLLLWQDDSKTWSELGDWTGECVRENALTCGRNREGFSKLELLKIPEHAVVLENKKSGKGHAKNFFKKRWAVIAIAIVSATTGLLAAIVGYLLWKRISRNEDNGSAGSGKDGTELPLSGLKSILAATNNFSEANKLGEGGFGPVYKGILPENEEVAIKRLSKKSGKGHEEFMNELKLIAKLQHTNLVRLLGCCNEEEEMILIYEYMPNRSLDKLVFDPYEKIKLDWGKRFRIIEGIAQGVLYIHKYSRLRIIHRDLKASNILLDGEMNPKISDFGMARIFGMNQTEANTDKVVGTYGYMSPEYALLGNFSEKSDVFSFGVLILEIVSGKRNSSFHRFDPTLTLAGWAWELWKEGRGMEVIDESVREACDTHVLMYAFWVFLLASLNNFVIGFLLLFIKLKFRLRIASLGVLN >ONI14858 pep chromosome:Prunus_persica_NCBIv2:G3:938892:942844:1 gene:PRUPE_3G013200 transcript:ONI14858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVALLWVVSPNTEVFNFFGILDSSRFALGNRSSIRAKMGRKQKWKSCSLSTDVKYSSVGSSGLGSENKFPVLSRVVANPVGEIAVSSEQKVYDVVLKQAALVKKQLNSNGDLDVKPDIVLPGNLSLLSDAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWESRLEDLFQGRPFDMLDAALSDTVNKFPVDIQPFKDMIEGMRMDLRKSIYQNFDELYLYCYYVAGTVGLMSVPVMGISPESQATTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDSDIYAGKVTDKWRSFMKNQIKRARMFFDEAEKGVTELSEASRWPVWASLLLYRQILDEIEANDYNNFTRRAYVSKAKKLLALPIAYTKSLIRPSRTSSYSTRAQKTDSLTSKV >ONI14859 pep chromosome:Prunus_persica_NCBIv2:G3:938428:942844:1 gene:PRUPE_3G013200 transcript:ONI14859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVALLWVVSPNTEVFNFFGILDSSRFALGNRSSIRAKMGRKQKWKSCSLSTDVKYSSVGSSGLGSENKFPVLSRVVANPVGEIAVSSEQKVYDVVLKQAALVKKQLNSNGDLDVKPDIVLPGNLSLLSDAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWESRLEDLFQGRPFDMLDAALSDTVNKFPVDIQPFKDMIEGMRMDLRKSIYQNFDELYLYCYYVAGTVGLMSVPVMGISPESQATTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDSDIYAGKVTDKWRSFMKNQIKRARMFFDEAEKGVTELSEASRWPVWASLLLYRQILDEIEANDYNNFTRRAYVSKAKKLLALPIAYTKSLIRPSRTSSYSTRAQKTDSLTSKV >ONI18643 pep chromosome:Prunus_persica_NCBIv2:G3:22768670:22773777:1 gene:PRUPE_3G229300 transcript:ONI18643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCATRGAWIAAVSVGAVEALKDQGFCRWSYTMRSLCKIAKELSSSSSAMVLNKMNSEQKNQAEDSLRKVMYLSCWGPY >ONI15810 pep chromosome:Prunus_persica_NCBIv2:G3:4505443:4508106:1 gene:PRUPE_3G063000 transcript:ONI15810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGAFGGNRGLSPVPPEKGVFPLDHMHLCDLDKKEYLSCLKTSGHQSEKCRLFSKKYLECRMEKNLMAKQDMSELGFVKESNLEASGEKVTERIGN >ONI15812 pep chromosome:Prunus_persica_NCBIv2:G3:4506205:4508106:1 gene:PRUPE_3G063000 transcript:ONI15812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLCDLDKKEYLSCLKTSGHQSEKCRLFSKKYLECRMEKNLMAKQDMSELGFVKESNLEASGEKVTERIGN >ONI15811 pep chromosome:Prunus_persica_NCBIv2:G3:4506326:4508106:1 gene:PRUPE_3G063000 transcript:ONI15811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLCDLDKKEYLSCLKTSGHQSEKCRLFSKKYLECRMEKNLMAKQDMSELGFVKESNLEASGEKVTERIGN >ONI17036 pep chromosome:Prunus_persica_NCBIv2:G3:13980492:13980977:-1 gene:PRUPE_3G133900 transcript:ONI17036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTQFFKINVDGALKTNDSMRGLGVVIRDDCEELIAATTKPVCGMFTPNITELFAIRFGLQLAMDLGLQHIYLESDAQAAIQMAFQCDADLSYDGILVNEIQVLANSFHSCFGKFRPRTCNHVAHSLACFTLSILDLIVWLEDGLEWLSLIVTDDVILTS >ONI17620 pep chromosome:Prunus_persica_NCBIv2:G3:18859392:18865086:-1 gene:PRUPE_3G170000 transcript:ONI17620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEVHKFAQCITCHAWSRDRSMLAFCPNNNEVHIYALLQGKWEKLHVLQKHDQIVSGIDWSARSNKIVTASHDRNSYVWNLEGSEWVPTLVILRLNRAALCVRWSPRENKFAVGSGAKIVCICYYEQENNWWVSKLIRKRHDSSVTSVAWHPNNILLATASTDGKCRIFSTFIKVVDAKESRTGPSADSKFGEQILQLDLSFTWSFGVRWSPSGNTLAYVGHNSMIYFVDDVGPSPLAQNVAFRDLPLRDVLFLSERLVIGVGFDCNPMVFAADERGIWSFIKFLGERKTTSSGPKYGSQFSEAFGKLYGQPKQGFNNDTNEHSRSRGGVHENCINCIVPLGDPGSSKVKRFSTSGLDGKIVVWDMENQEDLAEYL >ONI18195 pep chromosome:Prunus_persica_NCBIv2:G3:21022001:21025271:1 gene:PRUPE_3G201900 transcript:ONI18195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASKHHRSSGMSNRLCYQPVQEVEAYCLPRFQTLDPQVHYNNESSQSTVFSAQNFHIKYCTLESSAANGSYTVYNSPSTVNFSPNGSPMSQQDSHSYPPPDQYHSPDQNYSSPISGSCITDDATDFKYKLKQLETVMLGPDSNILDNYCSTFQNGTSNTLPETDSWGQIMDSISKRDLKQVLIFCAKAVADNDLLLAQWMMDELRQMVSVSGEPIQRLGAYLLEGLVARRASSGSNIYKALRCKEPASSELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENRVHIIDFQIGQGSQWLTLIQAFAARPGGPPHIRITGIDDSMSAYARGGGLNIVGKRLSKLAELFKVPFEFHAAAMSGCEVQLKHLGVRPGEALAMNFAFMLHHMPDESVSTQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAAFFPRFVETLNYYTAMFESIDVTLPRDHKERINVEQHCLAREVVNIIACEGVERVERHELLGKWRLRFTMAGFTPYPLSSLVNATIKTLLDNYSDKYRLQERDGALYLGWKNRDLVASCAWKCKPSTN >ONI18196 pep chromosome:Prunus_persica_NCBIv2:G3:21022001:21025320:1 gene:PRUPE_3G201900 transcript:ONI18196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASKHHRSSGMSNRLCYQPVQEVEAYCLPRFQTLDPQVHYNNESSQSTVFSAQNFHIKYCTLESSAANGSYTVYNSPSTVNFSPNGSPMSQQDSHSYPPPDQYHSPDQNYSSPISGSCITDDATDFKYKLKQLETVMLGPDSNILDNYCSTFQNGTSNTLPETDSWGQIMDSISKRDLKQVLIFCAKAVADNDLLLAQWMMDELRQMVSVSGEPIQRLGAYLLEGLVARRASSGSNIYKALRCKEPASSELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENRVHIIDFQIGQGSQWLTLIQAFAARPGGPPHIRITGIDDSMSAYARGGGLNIVGKRLSKLAELFKVPFEFHAAAMSGCEVQLKHLGVRPGEALAMNFAFMLHHMPDESVSTQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAAFFPRFVETLNYYTAMFESIDVTLPRDHKERINVEQHCLAREVVNIIACEGVERVERHELLGKWRLRFTMAGFTPYPLSSLVNATIKTLLDNYSDKYRLQERDGALYLGWKNRDLVASCAWKCKPSTN >ONI18197 pep chromosome:Prunus_persica_NCBIv2:G3:21021917:21025350:1 gene:PRUPE_3G201900 transcript:ONI18197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASKHHRSSGMSNRLCYQPVQEVEAYCLPRFQTLDPQVHYNNESSQSTVFSAQNFHIKYCTLESSAANGSYTVYNSPSTVNFSPNGSPMSQQDSHSYPPPDQYHSPDQNYSSPISGSCITDDATDFKYKLKQLETVMLGPDSNILDNYCSTFQNGTSNTLPETDSWGQIMDSISKRDLKQVLIFCAKAVADNDLLLAQWMMDELRQMVSVSGEPIQRLGAYLLEGLVARRASSGSNIYKALRCKEPASSELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENRVHIIDFQIGQGSQWLTLIQAFAARPGGPPHIRITGIDDSMSAYARGGGLNIVGKRLSKLAELFKVPFEFHAAAMSGCEVQLKHLGVRPGEALAMNFAFMLHHMPDESVSTQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAAFFPRFVETLNYYTAMFESIDVTLPRDHKERINVEQHCLAREVVNIIACEGVERVERHELLGKWRLRFTMAGFTPYPLSSLVNATIKTLLDNYSDKYRLQERDGALYLGWKNRDLVASCAWKCKPSTN >ONI18193 pep chromosome:Prunus_persica_NCBIv2:G3:21021878:21025350:1 gene:PRUPE_3G201900 transcript:ONI18193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASKHHRSSGMSNRLCYQPVQEVEAYCLPRFQTLDPQVHYNNESSQSTVFSAQNFHIKYCTLESSAANGSYTVYNSPSTVNFSPNGSPMSQQDSHSYPPPDQYHSPDQNYSSPISGSCITDDATDFKYKLKQLETVMLGPDSNILDNYCSTFQNGTSNTLPETDSWGQIMDSISKRDLKQVLIFCAKAVADNDLLLAQWMMDELRQMVSVSGEPIQRLGAYLLEGLVARRASSGSNIYKALRCKEPASSELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENRVHIIDFQIGQGSQWLTLIQAFAARPGGPPHIRITGIDDSMSAYARGGGLNIVGKRLSKLAELFKVPFEFHAAAMSGCEVQLKHLGVRPGEALAMNFAFMLHHMPDESVSTQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAAFFPRFVETLNYYTAMFESIDVTLPRDHKERINVEQHCLAREVVNIIACEGVERVERHELLGKWRLRFTMAGFTPYPLSSLVNATIKTLLDNYSDKYRLQERDGALYLGWKNRDLVASCAWKCKPSTN >ONI18194 pep chromosome:Prunus_persica_NCBIv2:G3:21021785:21025350:1 gene:PRUPE_3G201900 transcript:ONI18194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASKHHRSSGMSNRLCYQPVQEVEAYCLPRFQTLDPQVHYNNESSQSTVFSAQNFHIKYCTLESSAANGSYTVYNSPSTVNFSPNGSPMSQQDSHSYPPPDQYHSPDQNYSSPISGSCITDDATDFKYKLKQLETVMLGPDSNILDNYCSTFQNGTSNTLPETDSWGQIMDSISKRDLKQVLIFCAKAVADNDLLLAQWMMDELRQMVSVSGEPIQRLGAYLLEGLVARRASSGSNIYKALRCKEPASSELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENRVHIIDFQIGQGSQWLTLIQAFAARPGGPPHIRITGIDDSMSAYARGGGLNIVGKRLSKLAELFKVPFEFHAAAMSGCEVQLKHLGVRPGEALAMNFAFMLHHMPDESVSTQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAAFFPRFVETLNYYTAMFESIDVTLPRDHKERINVEQHCLAREVVNIIACEGVERVERHELLGKWRLRFTMAGFTPYPLSSLVNATIKTLLDNYSDKYRLQERDGALYLGWKNRDLVASCAWKCKPSTN >ONI19395 pep chromosome:Prunus_persica_NCBIv2:G3:25459223:25464461:1 gene:PRUPE_3G276800 transcript:ONI19395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSYIGSTRRGGWANSLLPSSSNPKSKLTRKPRRRLPLRDFIFANFFTIGLSISLFFFFVVILRYGVPSPLSSHFKSKSSTRFPKPRKSAFRKPVSAGDSGGDAAMGATVDITTKELYDKIEFSDVDGGPWKQGWRVSYKGDEWDSEKLKVIVVPHSHNDPGWKLTVEEYYERQSKHILDTIVDTLSKDTRRKFIWEEMSYLERWWRDSSDLKRESFTNLVKNGQLEIVGGGWVMNDEANSHYYAIIEQMTEGNMWLNDTVGVIPKNAWAIDPFGYSPTMAYLLRRMGFENMLIQRTHYELKKELALHKNLEYIWRQSWDVDETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFMYELCPWGDHPVETNQENVQERALILLDQYRKKSTLYRTNTLLIPLGDDFRYISIDEAEAQFRNYQMLFDYINSNPGLNTEAKFGTLEDYFQTLREEAERINHSLPGEIGSGQVGGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRTTDMMMAFLLGYCQRAQCEKLPMGFSYKLAAARRNLALFQHHDGVTGTAKDHVVLDYGTRMHTSLQDLQIFMSKAIEVLLGIRHEKNDNNPSQFEPEQVRSKYDVQPVHRAIMAREGTKQSVVFFNPLGQTREEVVMLIVNRPDVTVLYSNWTCVQSQISPELQHDKSKIFTGRHRVYWKASVPALGLQTYYIANGFVGCEKAKPAKLRFFSKSMSISCPTPYACSKAEVDVAEIQNRHQILTFDVNHGLLQKISYKNGSQNVVGEEIAMYSSWGSGAYLFKPNGDAQPITEAGGQMVISEGPLVQEVYSYPKTAWEKSPISHSTRIYNGENTVQEFLIEKEYHVELLSQDFNDMELIVRYKTDIDNKRIFFSDLNGFQMSRRETYDKIPTQGNYYPMPSLAFMQGSNGQRFSVHSRQSLGVASLKNGWLEIMLDRRLVKDDGRGLGQGVMDNRAMNVVFHIVVESNISATSNPVSNPLPLSPSLLSHRVNAHLNYPLHAFIAKKPEELSVQPPQRFFSPLAAPLPCDLHIVSFKVPQPLKYSQQPLEDSRFVLILQRQNWDSSYCRRGRSGCTRFADETVNLFYMFKELSVLNVRATSLNLLHEDTDMLGYTEQFGDVAQDGHVLISPMEVQAYKLELRPHK >ONI16873 pep chromosome:Prunus_persica_NCBIv2:G3:11732699:11733373:1 gene:PRUPE_3G126700 transcript:ONI16873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDISNRMNSVNTTKPITTKLPSQAGEYINNFQDGDEICTRREPRRDLIRMEISARQDGISTGKKTEVRS >ONI18117 pep chromosome:Prunus_persica_NCBIv2:G3:20789925:20790591:1 gene:PRUPE_3G197700 transcript:ONI18117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRPIFPIWPLPLTFWLFWTAFDIGSPDSPYKVPYAVIFRETAIVGVEGFSKLPKHFLAMCCGCDPKKISQFIPISMAIAVLFYIRAYFAIDIFVGTVLLFMWEQLNRKDAEDYAGAVASGLICGDGIWTIPSSILYIFKVNPPICLL >ONI15779 pep chromosome:Prunus_persica_NCBIv2:G3:4383406:4383687:-1 gene:PRUPE_3G061000 transcript:ONI15779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAANAKIPLQIVLFTFSLLLLLALVFKVFKTHFYVKWYVHKTTYQSTNTESHAFLLASSSCLPACLIILFKCPEIWALDTHKKVPTDHSQF >ONI15118 pep chromosome:Prunus_persica_NCBIv2:G3:1994069:1998170:-1 gene:PRUPE_3G026900 transcript:ONI15118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFHNILVLWVFLYGFFTTGVYSKTNSQDVSSLNVMYNSINSRSKLSGWKSSGGDPCGDSWKGIKCSGSSVTEIDLSDLGLSGSMGYQLASLTSVTRFDLSKNNLNGDIPYQLPPNAKYIDLSQNGFTNTVPYSISQMSDLETLNLGRNKLNGQLTDMFGKLTKLKVLDLSYNSMTGNLPQSFGKLSSLKKLNLQNNQFTGQITLLVYTPVEDLNIENNRFSGWIPNELKGINIKSGGNSWSSGPSPPSPPGARRNRGGGSSSQSGGGKSSVATGLTIAGIALAVLLVLAIIIALFSRRRRSSTSHLLDDDRLSHRKSFGASYSSKELSRELNSSFRNKVTDPGAVSIDIKPVSKSPSIGFKSLSGRLQSFARRSTSVKATNYALADLQVATANFAPARLLGQGTIGRVYRAKYDDGKVLAVKKIDSSLFQGSAPQEFSDIVVNISKIRNANIAELVGYCSEHGHNMLIYEYFRNGSLHEFLHMSDDYSNPLTWNTRVRIALGTARAVE >ONI15117 pep chromosome:Prunus_persica_NCBIv2:G3:1992744:1998170:-1 gene:PRUPE_3G026900 transcript:ONI15117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFHNILVLWVFLYGFFTTGVYSKTNSQDVSSLNVMYNSINSRSKLSGWKSSGGDPCGDSWKGIKCSGSSVTEIDLSDLGLSGSMGYQLASLTSVTRFDLSKNNLNGDIPYQLPPNAKYIDLSQNGFTNTVPYSISQMSDLETLNLGRNKLNGQLTDMFGKLTKLKVLDLSYNSMTGNLPQSFGKLSSLKKLNLQNNQFTGQITLLVYTPVEDLNIENNRFSGWIPNELKGINIKSGGNSWSSGPSPPSPPGARRNRGGGSSSQSGGGKSSVATGLTIAGIALAVLLVLAIIIALFSRRRRSSTSHLLDDDRLSHRKSFGASYSSKELSRELNSSFRNKVTDPGAVSIDIKPVSKSPSIGFKSLSGRLQSFARRSTSVKATNYALADLQVATANFAPARLLGQGTIGRVYRAKYDDGKVLAVKKIDSSLFQGSAPQEFSDIVVNISKIRNANIAELVGYCSEHGHNMLIYEYFRNGSLHEFLHMSDDYSNPLTWNTRVRIALGTARAVEYLHEGCSPSTVHKNIKSSNILLDIELNPRLCDYGLAIFHQRTSQNLGMGYNAPECTKPSAYTAKSDVYSFGVVMLELLTGRMPVDSSKPPVEQCLVRWATPQLHDLDALSEMVDPALRGLYPPKSVSRFADIIALCVQREPEFRPPMSEVVQQLVRLVQRSSMNMREDLGLGASRHSSAMSSAKEDLAASRRLTDF >ONI15116 pep chromosome:Prunus_persica_NCBIv2:G3:1992197:1998566:-1 gene:PRUPE_3G026900 transcript:ONI15116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFHNILVLWVFLYGFFTTGVYSKTNSQDVSSLNVMYNSINSRSKLSGWKSSGGDPCGDSWKGIKCSGSSVTEIDLSDLGLSGSMGYQLASLTSVTRFDLSKNNLNGDIPYQLPPNAKYIDLSQNGFTNTVPYSISQMSDLETLNLGRNKLNGQLTDMFGKLTKLKVLDLSYNSMTGNLPQSFGKLSSLKKLNLQNNQFTGQITLLVYTPVEDLNIENNRFSGWIPNELKGINIKSGGNSWSSGPSPPSPPGARRNRGGGSSSQSGGGKSSVATGLTIAGIALAVLLVLAIIIALFSRRRRSSTSHLLDDDRLSHRKSFGASYSSKELSRELNSSFRNKDPGAVSIDIKPVSKSPSIGFKSLSGRLQSFARRSTSVKATNYALADLQVATANFAPARLLGQGTIGRVYRAKYDDGKVLAVKKIDSSLFQGSAPQEFSDIVVNISKIRNANIAELVGYCSEHGHNMLIYEYFRNGSLHEFLHMSDDYSNPLTWNTRVRIALGTARAVEYLHEGCSPSTVHKNIKSSNILLDIELNPRLCDYGLAIFHQRTSQNLGMGYNAPECTKPSAYTAKSDVYSFGVVMLELLTGRMPVDSSKPPVEQCLVRWATPQLHDLDALSEMVDPALRGLYPPKSVSRFADIIALCVQREPEFRPPMSEVVQQLVRLVQRSSMNMREDLGLGASRHSSAMSSAKEDLAASRRLTDF >ONI20072 pep chromosome:Prunus_persica_NCBIv2:G3:27118920:27126356:-1 gene:PRUPE_3G313400 transcript:ONI20072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTATSMLCFTAAAVAAVLLAGLLPLGAKAEYIAYNTTAGIVPGKINVHLVPHSHDDVGWLKTVDQYYVGANNSIRGACVQNVLDSVISALLEDKNRKFIYVEIAFFQRWWRQQSPALKIKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHQFILKEFGKVPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRARRLRDKTLEVIWQGSKSLASSSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDILLFDYNVQDRVNDFVAAAFAQANVTRTNHIMWNMGTDFRYQYANSWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAAHEQWPLKTDDFFPYADHPNAYWTGYFTSRPALKGYVRTMSSYYQAARQLEFFRGRSDSGATTGALADALAIAQHHDAVSGTERQHVAADYAMRLSIGYLQAEKLVASSLAYLSESESSSGQGHTVTNFQQCPFLNISYCPPSEAVLSDGKSLIVVVYNSLGWKREEVIRIPVSNEAVTVQDSSGRDIEAQLLPLSKASLSLRSYYVRAYLGKSPSEPPKFWLAFSVTVPPIGFSSYIVSSAKPTDKGRSSTISNVYTSEGSTNETIEVGQGSLKLHYSVDEGKLARYVNSRSLVTASVEQSYSYYTGNDGTDRDPQASGAYVFRPNGTVLIKSEQKVPLTVMRGPVLDEVHQQLNPWVSQITRVYKGKEHAEVEFTIGPIPVDDGIGKEITTQITTAMKTNKTFYTDSNGRDFIKRIRDFRTDWDLQVNQPIAGNYYPINLGIYLQDSSTELSVLVDRSVGGSSLVDGQIELMLHRRLFHDDSRGVGEVLNETVCILDKCEGLTIQGKFYVRIDNVGEGAKWRRTAGQEINSPLLLAFTEQDENDWMNSHASTFSGIDPSYALPNNIAVITLQELENGKVLFRLAHLYETGEDKDYSVLANVELKKLFPRKKISKVTEMSLSANQERSEMEKKRLVWKAEGSAAKDPKVVRGGPVDPAKLLVELAPMEIRTFLIDFDYLHMYSI >ONI20071 pep chromosome:Prunus_persica_NCBIv2:G3:27118393:27126562:-1 gene:PRUPE_3G313400 transcript:ONI20071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTATSMLCFTAAAVAAVLLAGLLPLGAKAEYIAYNTTAGIVPGKINVHLVPHSHDDVGWLKTVDQYYVGANNSIRGACVQNVLDSVISALLEDKNRKFIYVEIAFFQRWWRQQSPALKIKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHQFILKEFGKVPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRARRLRDKTLEVIWQGSKSLASSSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDILLFDYNVQDRVNDFVAAAFAQANVTRTNHIMWNMGTDFRYQYANSWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAAHEQWPLKTDDFFPYADHPNAYWTGYFTSRPALKGYVRTMSSYYQAARQLEFFRGRSDSGATTGALADALAIAQHHDAVSGTERQHVAADYAMRLSIGYLQAEKLVASSLAYLSESESSSGQGHTVTNFQQCPFLNISYCPPSEAVLSDGKSLIVVVYNSLGWKREEVIRIPVSNEAVTVQDSSGRDIEAQLLPLSKASLSLRSYYVRAYLGKSPSEPPKFWLAFSVTVPPIGFSSYIVSSAKPTGRSSTISNVYTSEGSTNETIEVGQGSLKLHYSVDEGKLARYVNSRSLVTASVEQSYSYYTGNDGTDRDPQASGAYVFRPNGTVLIKSEQKVPLTVMRGPVLDEVHQQLNPWVSQITRVYKGKEHAEVEFTIGPIPVDDGIGKEITTQITTAMKTNKTFYTDSNGRDFIKRIRDFRTDWDLQVNQPIAGNYYPINLGIYLQDSSTELSVLVDRSVGGSSLVDGQIELMLHRRLFHDDSRGVGEVLNETVCILDKCEGLTIQGKFYVRIDNVGEGAKWRRTAGQEINSPLLLAFTEQDENDWMNSHASTFSGIDPSYALPNNIAVITLQELENGKVLFRLAHLYETGEDKDYSVLANVELKKLFPRKKISKVTEMSLSANQERSEMEKKRLVWKAEGSAAKDPKVVRGGPVDPAKLLVELAPMEIRTFLIDFDYLHMYSI >ONI19616 pep chromosome:Prunus_persica_NCBIv2:G3:25935271:25940307:1 gene:PRUPE_3G287500 transcript:ONI19616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATASSGPRYAPEDPTLPKPWRGLVDGKTGYLYFWNPETNVTQYDRPTGSAPPLKPSSAQSISSSVHVQQSSQGRRPDEGDDRYGRGSNGGSKFDAGPRNQQSARSATVSSHNIPNGTLSALGGSSLRGNGSSDTGIGLSAEAYSRRHEISVIGDNVPPPFTSFEATGFPSEILREVQNAGFSSPTPIQAQSWPVALQSRDIVAIAKTGSGKTLGYLLPGFIHLKRSRNDPQLGPTVLVLSPTRELATQIQDEAVKFGKSSRISCVCLYGGAPKGPQLRDIDRGADVVVATPGRLNDILEMKRISLHQVSYLVLDEADRMLDMGFEPQIRKIVNEVPARRQTLMYTATWPKEVRKIAADLLVNPVQVNIGNVDELVANKSITQYIEVLAPMEKHRRLEQILRSQEPGSKIIIFCSTKKMCDQLSRNLTRQFGAAAIHGDKSQSERDYVLNQFRSGRTPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFFGDQDSKYASDLIKVLEGANQRVPPEIREMATRGGGMNRFRRWGSGSGGRDGGRGGRNDSSYGGRDGGRGGGWGFTPSSGRYDRGHNNGYDRGRSPDKGSGHRGSNRSRSRSLERFDKALPTGGGSAGWSFHKAMMERERSSPPPPRSLPYGGGVDEAEEGMIPQEEEGGYHIANA >ONI19615 pep chromosome:Prunus_persica_NCBIv2:G3:25935102:25940318:1 gene:PRUPE_3G287500 transcript:ONI19615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATASSGPRYAPEDPTLPKPWRGLVDGKTGYLYFWNPETNVTQYDRPTGSAPPLKPSSAQSISSSVHVQQSSQGRRPDEGDDRYGRGSNGGSKFDAGPRNQQSARSATVSSHNIPNGTLSALGGSSLRGNGSSDTGIGLSAEAYSRRHEISVIGDNVPPPFTSFEATGFPSEILREVQNAGFSSPTPIQAQSWPVALQSRDIVAIAKTGSGKTLGYLLPGFIHLKRSRNDPQLGPTVLVLSPTRELATQIQDEAVKFGKSSRISCVCLYGGAPKGPQLRDIDRGADVVVATPGRLNDILEMKRISLHQVSYLVLDEADRMLDMGFEPQIRKIVNEVPARRQTLMYTATWPKEVRKIAADLLVNPVQVNIGNVDELVANKSITQYIEVLAPMEKHRRLEQILRSQEPGSKIIIFCSTKKMCDQLSRNLTRQFGAAAIHGDKSQSERDYVLNQFRSGRTPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGLAYTFFGDQDSKYASDLIKVLEGANQRVPPEIREMATRGGGMNRFRRWGSGSGGRDGGRGGRNDSSYGGRDGGRGGGWGFTPSSGRYDRGHNNGYDRGRSPDKGSGHRGSNRSRSRSLERFDKALPTGGGSAGWSFHKAMMERERSSPPPPRSLPYGGGVDEAEEGMIPQEEEGGYHIANA >ONI16406 pep chromosome:Prunus_persica_NCBIv2:G3:7265713:7267365:-1 gene:PRUPE_3G096400 transcript:ONI16406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKPQMQQAHDYHQEHPPQAPNTDILLDLSLSNKERDHGSNPELNLINCFDSNASGNSSPETSSPKGITAHDAAEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKKGHKSNCTAASADAVVRLPSLLSHRFSSMASLPLHGSFNRSLGVQVHSTIHKPCYQLSNTFGSTNTSLYGGHNSNSNGCLRKSLDQQPAIGRLAVPQNNVFHVQGGVGSSSSSSSGGVGRFVNSTSVRKFSPVTEGIGGLWWNSSVGHFKTKQDELQKLDLSLKL >ONI19256 pep chromosome:Prunus_persica_NCBIv2:G3:25009335:25009583:-1 gene:PRUPE_3G267700 transcript:ONI19256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNLEQSSPKTIHSLPNFTGTEMRFGPENERHGMGRRSIRTFVKPHPPAGHQLWVMCCAQSKHMQRDKSLTLFKGKTLPFY >ONI19844 pep chromosome:Prunus_persica_NCBIv2:G3:26576110:26579042:1 gene:PRUPE_3G301000 transcript:ONI19844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQAPTDQNSSVPVSSNKSQIDERPEKQSQIDEQLKRQKEIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSNLGWGPGTVILVLSWVITLFTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLICEVGVDIVYMVTGGKSLQKIHKIARKDKDPIKLTYFIMIFASAHFVLAHLPNFNSISGVSLAAAVMSLSYSTIAWTASVHKGVQPDVEYGYLAHSTSGTVFNFFTALGDVAFAYAGHNVVLEIQATIPSSPEKPSKKPMWRGVVIAYIVVALCYFPVAFIGYYIFGNKVEDNILISLEKPEWLIITANMFVVIHVIGSYQLYAMPVFDMIETLLVKKLHFRPTTMLRFISRNTYVAFTMFVGITFPFFGGLLGFFGGFAFSPTTYFLPCIMWLTVKKPRKFSLSWVVNWICITLGVLLMILSPIGGLRNIILQAKNYKFYN >ONI19845 pep chromosome:Prunus_persica_NCBIv2:G3:26576110:26579042:1 gene:PRUPE_3G301000 transcript:ONI19845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQAPTDQNSSVPVSSNIDERPEKQSQIDEQLKRQKEIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSNLGWGPGTVILVLSWVITLFTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLICEVGVDIVYMVTGGKSLQKIHKIARKDKDPIKLTYFIMIFASAHFVLAHLPNFNSISGVSLAAAVMSLSYSTIAWTASVHKGVQPDVEYGYLAHSTSGTVFNFFTALGDVAFAYAGHNVVLEIQATIPSSPEKPSKKPMWRGVVIAYIVVALCYFPVAFIGYYIFGNKVEDNILISLEKPEWLIITANMFVVIHVIGSYQLYAMPVFDMIETLLVKKLHFRPTTMLRFISRNTYVAFTMFVGITFPFFGGLLGFFGGFAFSPTTYFLPCIMWLTVKKPRKFSLSWVVNWICITLGVLLMILSPIGGLRNIILQAKNYKFYN >ONI19251 pep chromosome:Prunus_persica_NCBIv2:G3:24992632:25002154:-1 gene:PRUPE_3G267300 transcript:ONI19251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVETQEEFMADGSDSGRGASKAPRLFIREMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTKHQNLDSAGVSVHFQEIFDLNDGTFEAVPGSDFVITRVALRDNSSKYFINDRASNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGSHDEGFLEYLEDIIGTDKYVEKIDESYKELESLNEKRSGVVQMVKLAEKERDGLEDVKNEAEAYMLKELSLLKWQEKATKLAHEDTTEKLVHLRENMSSLEGNLKTEREKIQESNDALKELESEHNKHTKQQEELETDLRRCKDEFKQFEREDVKHREDMKHVKQKIRKLNDKVEKDSLKINDIEKECEDSTNIIPILEQSIPQLQKSLLDEEKVLEEIIENSKAETESYRSELTKVRAELEPWEKQLIEHKGKLEVACTEEKLLNEKHQAGHTAFEDARKQMDDILGRIETKTAGISKIQSELEKSKLEGMEARREEQDYIKEQEALIPCEQAARQKVAELKSVLDSERSQGTVLKAILHAKDSNRIQGIHGRMGDLGAIDAKYDVAISTACSGLDYIVVETTSAAQACVELLRRENIGIATFMILEKQVDLLPKLKENVSTPEGVPRLFDLVRVKDERMKLAFFAALGNTIVAKDLDQATRIAYGGNREFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRAASVSGEAVANAEKELAAMVDSLNNIRQQIADAVRRYQGSEKAIARLEMELAKCQKEIDSLNSQHSYLENQIGSLKAASQPKKDELDRLAELKNIISLEEKEMDKLTQGSKQLKEKALKLQSNIENAGGERLKTQKLTVNNIQSVIDQKNTEINRRKVQIETGQKTLKKLTKVIEESNHEKERLEKEKEKLSDKFKDIEQKAFKVKEKYEEIQKLIDKHRDVLDKAKSDYNKMKRTVDDLRASEVDADFKLQDMKKLGKELELKEKGYKKRLADLQTALVKHMEQIQKDLVDSEKLQATLADEVLNNPCDLKRALEMVALLEAQLKEMNPNLDSIAEYRRKVSSYNERVEDLNMVTQQRDDIKRQYDEWRKKRLDEFMAGFNAISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVCENAA >ONI19252 pep chromosome:Prunus_persica_NCBIv2:G3:24992502:25002197:-1 gene:PRUPE_3G267300 transcript:ONI19252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVETQEEFMADGSDSGRGASKAPRLFIREMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTKHQNLDSAGVSVHFQEIFDLNDGTFEAVPGSDFVITRVALRDNSSKYFINDRASNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGSHDEGFLEYLEDIIGTDKYVEKIDESYKELESLNEKRSGVVQMVKLAEKERDGLEDVKNEAEAYMLKELSLLKWQEKATKLAHEDTTEKLVHLRENMSSLEGNLKTEREKIQESNDALKELESEHNKHTKQQEELETDLRRCKDEFKQFEREDVKHREDMKHVKQKIRKLNDKVEKDSLKINDIEKECEDSTNIIPILEQSIPQLQKSLLDEEKVLEEIIENSKAETESYRSELTKVRAELEPWEKQLIEHKGKLEVACTEEKLLNEKHQAGHTAFEDARKQMDDILGRIETKTAGISKIQSELEKSKLEGMEARREEQDYIKEQEALIPCEQAARQKVAELKSVLDSERSQGTVLKAILHAKDSNRIQGIHGRMGDLGAIDAKYDVAISTACSGLDYIVVETTSAAQACVELLRRENIGIATFMILEKQVDLLPKLKENVSTPEGVPRLFDLVRVKDERMKLAFFAALGNTIVAKDLDQATRIAYGGNREFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRAASVSGEAVANAEKELAAMVDSLNNIRQQIADAVRRYQGSEKAIARLEMELAKCQKEIDSLNSQHSYLENQIGSLKAASQPKKDELDRLAELKNIISLEEKEMDKLTQGSKQLKEKALKLQSNIENAGGERLKTQKLTVNNIQSVIDQKNTEINRRKVQIETGQKTLKKLTKVIEESNHEKERLEKEKEKLSDKFKDIEQKAFKVKEKYEEIQKLIDKHRDVLDKAKSDYNKMKRTVDDLRASEVDADFKLQDMKKLGKELELKEKGYKKRLADLQTALVKHMEQIQKDLVDSEKLQATLADEVLNNPCDLKRALEMVALLEAQLKEMNPNLDSIAEYRRKVSSYNERVEDLNMVTQQRDDIKRQYDEWRKKRLDEFMAGFNAISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVCENAA >ONI16630 pep chromosome:Prunus_persica_NCBIv2:G3:9318817:9323101:1 gene:PRUPE_3G111700 transcript:ONI16630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKRKSTAKAIEIGNKGKQIQQIQEMGPFISDLPKSVLQDVLTKLPIRSIIMCKRVCKAWYSLISDHEFAKLHLAQAEACPLVRPSAPTRVSRTVYLLELEEKSDFDLKDYVGDAGTSECIHFHLRMNFAKFKIPLRNADQAIHAMRNRKGRTKRKPCIKLEPLDHKYMYVNSCNGLLFLAETFYNDPLVVCNPITGEFIQLPEASEDESRERLSIRCALGYSPKTNQYKVLRVFSQGTPNLVRMIELYTLGQSSWKSLGTAPTSAAYMLLTLPTYLNGELYWFYYESSYEIASFDFDNEQFHSVPTPPFELEECQHVSLGLLGGSLCVCYAHENCINVWVMEDYGTQKSWTNRFSIKTDDGVWWPKGLYEPMRYLKNGGLLMFNHSTVTLIYYHPRNYRSLIYLKLRGFSPYFEAISHVPSFISLKDILMGNDVEVLNINSRCAECKLPGETRGLDLVNLDADVASDDRSFKEFRMKQGFYRWQ >ONI19357 pep chromosome:Prunus_persica_NCBIv2:G3:25347424:25349807:-1 gene:PRUPE_3G274200 transcript:ONI19357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMGEMWAQLGSVMASMMFVYAMFKQFLPDDLGNILDKYTKKLVGYVYPYIQISFDEYTNEFHKRSEVYASIQSYLSTKSSTRAKRLKAHDVKGSTALVLGMDDNEEVTDEFQGIKLWWASMKSASKKTSFSFFPDYDERKHYKLTFHRRHRDLVMGSYLDHVRQEGKAIALSNRQRKLYINNTEKGAKWSHVVFEHPATFETLAMEPKEKQSIINDLLKFSKGKDYYKKIGKAWKRGYLLYGPPGTGKSTMISAMSNLMNYDVYDLELTAVKDNTELRKLLIDITGKAIIVIEDIDCSLDLTGQRKKKKEEKEKKDGDEKDPIPKRPEEEEATTSKVTLSGLLNFIDGIWSACGGERLIVFTTNYVDKLDPALIRRGRMDKHIQLSYCCFEAFKVLARNYLDVESHELFGTIERLLGETDMTPADVAENLMPKSDTEDADSCLKSLIEALEAAKVEARVKAEEEASKKAEEEAKLKAEKEKEKSANGKDEVTCNGAKDEVTGTSVAEVKENGVTP >ONI16709 pep chromosome:Prunus_persica_NCBIv2:G3:9962744:9963250:-1 gene:PRUPE_3G116800 transcript:ONI16709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNLVGLGVSRRHADLLLPSAGGGLYLVCMILMFLSVISAIILSCGTSSRKKKDNRGIDGGDGDGGDGDGGDGGGRVEGDGGDGSGGAHGHHGHGGGAHGHHGHGGGAHGHHGHGGGAHGHHGHWGGAHAHHGHGGGAHAHHGHGGGRTCWWRPWSFLGKRSYIVVS >ONI19907 pep chromosome:Prunus_persica_NCBIv2:G3:26723528:26726317:1 gene:PRUPE_3G304400 transcript:ONI19907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSASIQLVSLILLSGILYLETIKLGFCSAADHHNVKCMDIERKALLKLKQGLTDPLDRLSSWVGEYCCKWSGVGCNNITGRVNRLDLRNRYKIGRLDGDGAAGNAFGFGGEINPSLLVLKDLVYLDLSMNNFGGEKLPSFIGSLEKLTYLNLSGASFGGTIPPNLGNLSSLLYLDLSNYLFEPVENDLHWLRLATFSSLKYLNLEGVDLSKAASYWLPTVNMLPSLVELHLPFCRLSILPLTLPSINFTSLLVLDLSGNGFNSTIPPWMFNLTELVHLHLKSNNLHGELAETFGSLTSLQTLDLSENSGIGGQLARNLGRLCSLQTLRLSINKITGEITNFIDTLSACTNSRLETLNLGYNRLTGKLPDSLGYLKSLRYLTLWDNSFHGSIPKSIGNLTSLEEFYLARNQMSGIIPESLGQLSSLVALDISENTWEGFITGAHLAKLRVFDIPSDWIPPFKLRYLNIRSCQLGPKFPTWLRNQTELTIVVLNNALISDTIPDWFSQLDLQLSKLDVAYNQLRGRVPNSLRFSNSSTVDLSSNRFEVPLPLWSSNITMRYLRDNMFSGPIPHNIGEVMPFLSDLDISRNSLSGRIPLSLTNMSQLTTMVSSNNHLSGQIPHFWNKIPLLYIVDVSNNSLSGSIPRSLGSLTLLNVLILSSNNFSGEVPSLRNCTDMKSLDLSDNKFSGPIPASIGEIMLSLLILSLRLNSFTGNIPSQLCGLSNLHILDLSHNNLSGKIPQCIGNLSGFKSELTDKDTSSYQGKLEVVSKGRVLVYDSILYLVNSVDLSDNNLSGEMPVGLTRLLMLGTLNLSMNHLTGNIPEKIGNSERIETLDLSTNKLSGSIPQSMVSLTFLNHLNLSYNNLSGRIPTGNQFQTLVDLSIYEGNAALCGYPLPSCQDDSGEAPQVPRG >ONI19668 pep chromosome:Prunus_persica_NCBIv2:G3:26076581:26079926:1 gene:PRUPE_3G290600 transcript:ONI19668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPMTQLDDETVRSMAIGAVFPDFGGKINSLDFHRKDDLLVTASEDDSVRLYDIATAKLLKTTFHKKHGADRICFTHHPSSVICSSKHNLDATGESLRYLSMYDNRCLRYFKGHKERIVSLCMSPVNDSFMSGSLDHTVRIWDLRVNACQGILHLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSSDGKSMLLTTTNNNIYILDAYGGEKRCGYSLEPSPNVIIEATFTPDGQYVVSGSGDGNLHAWSINKRNEVACWNSHIGVPSCLKWAPRRAMFAAASSVLTFWIPNDSNAEPTRMDTEAGVEAEHPSQ >ONI19667 pep chromosome:Prunus_persica_NCBIv2:G3:26076491:26080040:1 gene:PRUPE_3G290600 transcript:ONI19667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPMTQLDDETVRSMAIGAVFPDFGGKINSLDFHRKDDLLVTASEDDSVRLYDIATAKLLKTTFHKKHGADRICFTHHPSSVICSSKHNLDATGESLRYLSMYDNRCLRYFKGHKERIVSLCMSPVNDSFMSGSLDHTVRIWDLRVNACQGILHLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSSDGKSMLLTTTNNNIYILDAYGGEKRCGYSLEPSPNVIIEATFTPDGQYVVSGSGDGNLHAWSINKRNEQVACWNSHIGVPSCLKWAPRRAMFAAASSVLTFWIPNDSNAEPTRMDTEAGVEAEHPSQ >ONI16637 pep chromosome:Prunus_persica_NCBIv2:G3:9382455:9383498:-1 gene:PRUPE_3G112300 transcript:ONI16637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKMVVVFGVFVAVFVQCVAAQTTHVVGDSLGWAIPQSGQQYVTWASANKFAVGDILTFNFATNEHDVQQVPKASFDSCSWDKVIGSSIRTGPANVTLTSAGDNYFICTYGTHCQSGQKLAITVSAAAPGASPSAPTSAPPPPLATTPPTTPSPSSKNPAACAPVPSPSPSTAGGPTVKATPRSSPPPDNSSSPAVVAGFSLSFLSLVIMGLFF >ONI16090 pep chromosome:Prunus_persica_NCBIv2:G3:5802886:5806042:1 gene:PRUPE_3G078400 transcript:ONI16090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNKLSTLLLVLLCAANCHITLSLVTDGLLPNGNFEYGPKKSDLKGTVVTQPNAIPNWEVSGYVEYIKSGQKQGDMLLVVPEGGFAVRLGNEASIKQKIKVTKGRFYSVTFSAARTCGQEETLNVSISPNLEKNDWGIFPIQTMYSSNGWDSYAWGFNANSDELEFRIHNPGAEDDAACGPLIDSVALKILAPPKRTRANLLKNGNFEEGPFVFPNTSWGVLIPPHIEDDHSPLPGWIIESLKAVKYIDSTHFAVPEGQRAIELVAGKESALAQVVFTKPGRLYALTFSVGDSKNACEGSLVVEAFAGKDTLKVPYESKGKGGFKRARLLFTAVETHTRVMFLSTFYTMSADHSGSLCGPVIDDVKLLSVRRRSV >ONI19364 pep chromosome:Prunus_persica_NCBIv2:G3:25369932:25370234:1 gene:PRUPE_3G274900 transcript:ONI19364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALPRSSISFRRQGSSGLIWEDRIQVLEQKPALQVTISIKKSDCQEHASNLDERFQDRKDVDSPSPPLPETSVPSKPEKKDRGCSFSILFGRCMRSPAA >ONI16174 pep chromosome:Prunus_persica_NCBIv2:G3:6106217:6107270:-1 gene:PRUPE_3G082500 transcript:ONI16174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSKGEFQEFKKRKVWFEKKSRCMELYPRWLDVTWGFPPNWVWNCYKETSEDNVEVVKLLDVCFLNVRGQFEMSELSAGVVYEIAYKVKLTTGAYGWEFPVTVKIGFPDGREQKRKYSLFHKPRGEWIELSGGSFEVNGEETGKVWFDLCQHGGHWKRGLIIQGIIIKPAEKNQSTDTSTGFCCW >ONI15278 pep chromosome:Prunus_persica_NCBIv2:G3:2561778:2562092:-1 gene:PRUPE_3G035100 transcript:ONI15278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRSSKLSQFIGARGAKRLKFPPPVAPRGYVPVCVGVDGDTRRFMVHTKLLGHAEFLELLYRSAEEYGFCNDGVLRIPYEAKDFEEYWMIKRSKPKIYKVEPV >ONI16010 pep chromosome:Prunus_persica_NCBIv2:G3:5327892:5328335:1 gene:PRUPE_3G073800 transcript:ONI16010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKRVRAIVIVSLVLGLLIGQSTASNFKDCYEVCFATCFPEKKDPIYCGGHCLRKCIFHPSSLDTQTYPKHFCKLGCATSLCNNISTKDNHNGGKVETCVDSCSRTCTATYKAGKH >ONI17234 pep chromosome:Prunus_persica_NCBIv2:G3:16164556:16166395:1 gene:PRUPE_3G147600 transcript:ONI17234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHVQSPFQNKISSRNRNAREEHMNIPTLSLRTLDKKVDNLDRELWEEIKGVESKVMENMTSIETNILDAVTKSSSIHNRYKESIHQLKWWRCRHTFV >ONI17233 pep chromosome:Prunus_persica_NCBIv2:G3:16164556:16166395:1 gene:PRUPE_3G147600 transcript:ONI17233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHVQSPFQNKISSRNRNAREEHMNIPTLSLRTLDKKVDNLDRELWEEIKGVESKVMENMTSIETNILDAVTKSRLSSDNQRNTIGFEGP >ONI19437 pep chromosome:Prunus_persica_NCBIv2:G3:25591797:25594164:-1 gene:PRUPE_3G280000 transcript:ONI19437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGDAFYVVRKGDIIGVYKNLRDCQNQAGSSVCNPSISVFKGHGLPREAEEYLVSHGLKNASYTICANDVKDDLFGIIAACPYQQPDSSIVESAVNDSAPNRFREMAGSTSFPTSLTQRRHFKSDDHIETLSVSYSGPDSSTVESAVKDYSPPKRLQDMVGTTSFPTSQRKHFKYDNPMETQAVPSSGHSCILEFDGASKGNPGQSGAGAVLRAEDGSAVYRLREGVGIATNNVAEYRAVILGLKYALEKGYKHIRVKGDSKLVCMQIQGLWATKNENMAVLCQVARELKEKFMSFDINHVLRDYNSDADVQANRAINLQDGQVEVDWNGK >ONI18380 pep chromosome:Prunus_persica_NCBIv2:G3:21663858:21666479:1 gene:PRUPE_3G212100 transcript:ONI18380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGTNCNNSSGVTYSGLMMGFGNNTGRTGSSKAQFLSLPLMVGCSHPNLQSDHQTTDQEMMVSCSDRMEAKIMAHPLFPRLLASYVSCQKVGAPPEVVARLEQACSAAVHNSEAACLGGGDPDPALDQFMEAYCEMLTKYEEELTKPFKEAMLFLSKIDSQLQALTVHSSSDSASSGDNIVGRSGSPEEVDATMNESCIDPRAEDREIKAKLLHKYTGYLGSLKQEFMKKKKNGKLPKEARHQLLDWWSRHYKWPYPSEAQKLALAESTGLDLKQINNWFINQRKRHWKPSEDIQFAVMDPTHPHHHPHFYMDNNLTFPMNSTSTLL >ONI17371 pep chromosome:Prunus_persica_NCBIv2:G3:17128488:17130062:1 gene:PRUPE_3G154900 transcript:ONI17371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRTTAAAVQIQQHPAIATAWWLINRLVNCLEYPKTWLEETRGMLMIVATMISTTTFQAAVNPPGGVWQCNNTNSSARGTTYCTQNNICLAGTSVAGSAFPNEFLLFVTFNTISFLASLSVNLLLVGGFPLRNRVIMWLLSMAMCLTLTSMVLTFLQAFSLVVPNTDILVSSRVRSRISALLVWIALLLTIASIHTIRFIIWLSRKLWGRFKHTIPKSLRKVVDNLVDSSRARHRINKF >ONI17537 pep chromosome:Prunus_persica_NCBIv2:G3:18479481:18484290:1 gene:PRUPE_3G165800 transcript:ONI17537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACGGAAVMGSLQQPTWTKGTIFPNKGLFGTGFSHKLKLTCVKPVRSSSSYIEGSLVAGRPSSSISVTLPEIGGNGSSFVDNGLSEADPEVRAIIEMEKERQFKSLELIASENFTYRAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELETLCQKRALDAFHLDGKKWGVNVQPLSGSPANFEVYTAVLKPHDRLMGLDLSHGGHLSHGFMTPKRRVSGTSIYFESMSYRLNESTGLVDYDKLEEMADRFKPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVLANPFDYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKYAQSPEFKAYQNKVVSNCRALASRLTELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDKASITLNKNSVPGDKSAVVPGGIRIGSPAMTTRGFAEKDFIAVADYIHEGVQITIDAKRAVSGSKLQDFMKFVASPEFSLKDRVLDLQRRVEALTTQFPMPGV >ONI14900 pep chromosome:Prunus_persica_NCBIv2:G3:1087394:1091972:-1 gene:PRUPE_3G015300 transcript:ONI14900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPKGNAGVSGDEQEPSSSRRGNKKYHRHTSEQIQRLEELFKECAHPDDNQRQQLSRELGLEPRQIKFWFQNKRTQTKAQNERADNTVLRVNNERIQCENFAFREALKNVICPTCGGPPFGEEERQLCLQKLQLENAQLREEHDKVASLLSKYIGKPISHIDSLASAAGSSFDFSSGSSMNQGMGSPPLSLNPTNPAVANNAALAYQLKEIPEMEKTHMADTAASAIEELLKSFEINEPLWIKSPGDGRYLLNRDSYDKIFPRPNHFKSSSARVESSKDSGVVSISAEHLVDIFLDSSKWEDLFPTIITNAKTIQVLESGMVGNQSGRLQLMYEQMHILSPLVLSRDFYFLRHCQQIEIGTWVIVDVSYDFPKETSACQTRSWRLPSGCMIKDMHNGCSKVTWIEHVEVDDKTQTHRLYRDLICSNVAYGAERWIVTLQRMCERFDYSMDEVAPGCEFGGVITTPQGRRSTMKLSHRMVKNFCGMLSMAGKLDFPQLSEVHNSGVRVSVRKNTEPGQPNGMVVSVATSLWLPLPSQNVFNFFRDEETRLQWDVLCNGNPVHEVTRISTGTHPGNCISIIRPFIPTENNMVMLQESYIDSLGALVIYAPVDIPALNIAVCGEDSSKIPILPSGFVISGDGHPETGPAASNGRSGGSLLTVAFQILVSSPTSSKQLNMESVATVNTLISSTVQKIKAALNCSGLD >ONI18344 pep chromosome:Prunus_persica_NCBIv2:G3:21578059:21580348:1 gene:PRUPE_3G210400 transcript:ONI18344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKQKEEAEAAGYYWLVKWRVQLFGRQWNFMDIGSVVVIFGLHCLALLAPFHFNLSAVWLAMALYVLTGLGVTLSYHRNLSHKSFKLPKWLEYFFAYCGTLALQGSPLEWVSTHRYHHQFTDTWNDAHSPIKGFWFSHIGWIFDYGSRFGSTEGRLNNVGDLRKQPYYIFLHYTYPFHSLALGFLLYAVGGLPFLVWGLGVRTILYLHVTFAINSICHTWGKQVWDTGDLSRNNWLFGLLAHGEGWHNNHHAFEYSARQGFEWWQIDLTWYVIRVLQAVGLATDVKLPTETQKKRKALYNKKFSKDRLETIVSDGKL >ONI19661 pep chromosome:Prunus_persica_NCBIv2:G3:26038998:26042548:1 gene:PRUPE_3G290000 transcript:ONI19661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSFMDKQIMDLSQGSPQQNNNDFIDQMKMNDNNHPKEEEEQQVGHGNGLSNKLYHEMLPSYDFQPIRPIVGTSSQSQSLDPAPNLGGGGAARVWNSGEPKSNTTAPIRNYGSLDSIEPAKVILQKDRNVLDATVVSEIDQAMKKHADNLLHVLEGVSARLTQLESRTRHLENSVDDLKVSVGNNHGNADGKMIRLEDILRDVQTGVKDLKDKQDIVEAQLHLARIQVSNPKVDPQPEPQNAMHGDSGQAAASAPRHSHQQLPPPVNLPPSLPAVSHPNAPPQPMPQSVPHTVQLPNQFSQNQIPPVPQQDPYFPPPGQNQGAPNQQYQLPPGQQTVPLPPVPPHQQFQPTTQPQYSQPPPQLPQQHPSHTPVNPSQLQPTLGHHAEETPYIPSQNYPPSLRQPPSHTPSGLPPSQQYYSPASQAYEPPSSRSSSGYSSGYSPPAGLGESYHYGGSPSQYGGSSSMKPPQLSSSATAQSGGSGYPQLPTARVLPQALPTPSGAGGGSASAGTGNRVPIEDVIDTVTTMGFPRDYVRATVRKMTDSGQSVDVNVVLDKLTNDGEVQQPRAWFGR >ONI17643 pep chromosome:Prunus_persica_NCBIv2:G3:18988290:18990685:-1 gene:PRUPE_3G171000 transcript:ONI17643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRSRVASLFIWTLVAGMISQNLVIPVMSSTVEGQKTYYSPDPHAGSPPGGPHSTPSNPPSHGDSHRPPSHRSHHPTPSSPPSNCGTPPYHNPTPSHDPGTPSRPSTPSTPPSGGGYYNSPPTSGGYSPPQTPDILTPPTPDILTPPTPDVLTPPTPDIVSPPMPYIGTPPTDPNTPSIPTPPFLPDPNSPPFTCIYWRNHPTVIWGLLGWWGTLGHAFGVTSLPGFGSSTMSLQQALSNTHTDGLGELYRQGTAALLNSMVDNRFHFTTKQVRDSFVGALGSNKAAASQARVFKLANEGKLKSRA >ONI18778 pep chromosome:Prunus_persica_NCBIv2:G3:23312008:23315680:-1 gene:PRUPE_3G238300 transcript:ONI18778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDMEERPKKLLILYATQTGNALDVAERVGREAERRGCPVHLISIDQYDTSCLAQEGTVIFVVSTTGQGDTPDPMKGFWKYLLQRNLSGQWLEGLHYAVFGLGDSGYQKFNFVAKKLDRRLLDLGATPIIQRGLGDDQHPSGYEAALDPWMISLWNMLNNINPKYFPNGPEFLIPYENFMAEPKVRIQYHDIKQVDSQFSTNSDLNHIALQIERARRVSPVKFSLDKNRPDCILKLVKNEPLTKSGSIDKEVHHFEFEFVSSAIEYEVGNVLEVLPSQNPAAIDSFILRCNLDPESFITVHPSEMENQLLDACTPIKLKTFVELTMDVASASPRRYFFEVMSIFATAEHEKERLQYFVSPEGRDDLYQYNQRERRTVLEVLEDFPSVQMPLEWLVQLVPPLKTRAFSISSSPSAHPNQVHLTVNVVSWTTPFKRSRAGLCSNWLAKLDPEQCVYVPVWFQKGSLPPPPPSLPLILIGPGTGCAPFRGFVEERAIQSLTGSTAPVMFFFGCRNEDNDFLYREFWISHSQNGGVLSEAKGGGFYAAFSRDQSQKVYVQHKIQEHSRRVWNLLREGAAVYVAGSSTKMPADVLLAFEEIISKESGLPQESAVRWLRALEKAGRYHVEAWS >ONI18777 pep chromosome:Prunus_persica_NCBIv2:G3:23311630:23315777:-1 gene:PRUPE_3G238300 transcript:ONI18777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDMEERPKKLLILYATQTGNALDVAERVGREAERRGCPVHLISIDQYDTSCLAQEGTVIFVVSTTGQGDTPDPMKGFWKYLLQRNLSGQWLEGLHYAVFGLGDSGYQKFNFVAKKLDRRLLDLGATPIIQRGLGDDQHPSGYEAALDPWMISLWNMLNNINPKYFPNGPEFLIPYENFMAEPKVRIQYHDIKQVDSQFSTNSDLNHIALQIERARRVSPVKFSLDKNRPDCILKLVKNEPLTKSGSIDKEVHHFEFEFVSSAIEYEVGNVLEVLPSQNPAAIDSFILRCNLDPESFITVMSIFATAEHEKERLQYFVSPEGRDDLYQYNQRERRTVLEVLEDFPSVQMPLEWLVQLVPPLKTRAFSISSSPSAHPNQVHLTVNVVSWTTPFKRSRAGLCSNWLAKLDPEQCVYVPVWFQKGSLPPPPPSLPLILIGPGTGCAPFRGFVEERAIQSLTGSTAPVMFFFGCRNEDNDFLYREFWISHSQNGGVLSEAKGGGFYAAFSRDQSQKVYVQHKIQEHSRRVWNLLREGAAVYVAGSSTKMPADVLLAFEEIISKESGLPQESAVRWLRALEKAGRYHVEAWS >ONI19571 pep chromosome:Prunus_persica_NCBIv2:G3:25828629:25832694:1 gene:PRUPE_3G285400 transcript:ONI19571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLMNKEPPPPMVLVPPLFDFPPLAARTRMLESSYDLLFGKLALKCLFDDYFEQARHFSTMIMLKPIDDPHVDLVATVSGPLDHKPEESIVGNAFFRWQSDVDDPHTFMDLFVSNTDPTLQMRACAYYPKYGFGAFGIFPMLLKKRITSEDFGVMGLRYGSTNLSIGATAMPFSMKDECPRRAWLVSKMGRLTAGVQYEPEYGSKEGQKYRNLKNWSCAIGYGVGSSSPLSPSFNFGLELSKSSQFIASFYQHVVVQRRVKNPLEENEVVGITNYIDFGFELQTRVDDTKSSNDVPESSYVPQVPDSTFQVAASWQANKNFLLKGKVGPLSSSIALAFKSWWKPSFTFSISATRDHVVGETGYGFGIRVENLRQASYQRADPNFVMLTPNKEHLAEGIVWKVGKRPMLQSDITAGNFDGIPKELRPLGKIL >ONI18205 pep chromosome:Prunus_persica_NCBIv2:G3:21032457:21034382:1 gene:PRUPE_3G202200 transcript:ONI18205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTPGHSPRHLSSPSPSAISDSSVQTPRSSAQITSRNPRGHPTVLDEDTYVDAIEKIIERDFFPDISKLRDRLDWLEAIKTRDPVQIRDAQLKIIERRGKKVTHNPDPDGRTPGSTFMRSFTPADEFDGKTPKTPGVSNMGLFGEVESNGADDGVEASLSLDQFFRRYTSEDNQSFSNIMEKVNRKRKERYEYLTEGEKEDVKSIEDAKRDRITDGYGTSDQPPFTLEGCKFTAKNLLMYHPADRGEAPLTTEERAVRMKCLEKEISRANTRLHGKMLDSRPKEDGMAEVLYTTVAGATPVIMDRDGDKFKKYDLDDLRKTPNPFYVESGKKAENGYSFVRTPSPAPGVDESPFITWGEIEGTPLRLDLEDTPIDVGGGEGPHYRIPCPPVRDEKAHSLSREAARKLRERSKMFQKPPLPTPSRGGSASPSVRTLSPAAQKFVRNAIAKSSSSVDETLRASYRGTSPGFSTPKGGRSMSRLGRDASIISRSPSPRVDSNPPW >ONI18206 pep chromosome:Prunus_persica_NCBIv2:G3:21032477:21034303:1 gene:PRUPE_3G202200 transcript:ONI18206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTPGHSPRHLSSPSPSAISDSSVQTPRSSAQITSRNPRGHPTVLDEDTYVDAIEKIIERDFFPDISKLRDRLDWLEAIKTRDPVQIRDAQLKIIERRGKKVESNGADDGVEASLSLDQFFRRYTSEDNQSFSNIMEKVNRKRKERYEYLTEGEKEDVKSIEDAKRDRITDGYGTSDQPPFTLEGCKFTAKNLLMYHPADRGEAPLTTEERAVRMKCLEKEISRANTRLHGKMLDSRPKEDGMAEVLYTTVAGATPVIMDRDGDKFKKYDLDDLRKTPNPFYVESGKKAENGYSFVRTPSPAPGVDESPFITWGEIEGTPLRLDLEDTPIDVGGGEGPHYRIPCPPVRDEKAHSLSREAARKLRERSKMFQKPPLPTPSRGGSASPSVRTLSPAAQKFVRNAIAKSSSSVDETLRASYRGTSPGFSTPKGGRSMSRLGRDASIISRSPSPRVDSNPPW >ONI14922 pep chromosome:Prunus_persica_NCBIv2:G3:1144683:1145517:1 gene:PRUPE_3G016100 transcript:ONI14922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPDLWFFEKACKTPSAIFISCNIGNMKVKLKNIYIYTSNELPYLFYWAFRSPEVSLFWTITLTYMHWR >ONI18790 pep chromosome:Prunus_persica_NCBIv2:G3:23366973:23368985:-1 gene:PRUPE_3G239300 transcript:ONI18790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVPICYKIPYKGNAQLNHYAMRQSDMSSNMCRNWHISSFLSTDVLTQKKTREASASKELESHPSFILANNERKQNIGMVWYASDSGTNEKQLRSLDSYLGKLQNGTNLPADKSNRTREPLTRNGQLRLKKGLESLDGYFGKLNKDGDSENYTFSSVDLTEDNPTEKLSSINQDSEKSDKREEQKSYRNPASTRDDHGPQSSQDSLQYNEISDLYLISILGSINIAVFLFEIASPVRSSDLGLFSLPLLYGAKINGLILVGEWWRLVTPMFLHSGFFHIVVGCWGLVTFGPKVCRGYGSFTFFLIYILGGISGNLISFLHTPEPTVGGTGPIFAMMGAWLTYQVQNKDIISKEVSEGMFRKAVITTLLSFTLSCFGPIDDWTHLGAAFTGVAYGFLTCPTLQLDDASSSTSGQEEGITLVRRYADPCKSLFFFTLFALVLTCLLFFVEPPLNVIASDTSL >ONI14744 pep chromosome:Prunus_persica_NCBIv2:G3:339932:340831:-1 gene:PRUPE_3G005700 transcript:ONI14744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHESKKRKMIISNDVDAAAAEADDEEEKIEKFFALINNVREARHRLMNGSNVILKREEINDNRSNKKKKKKVEEEEEKVIADVWKPSFQPEDLIEEEARHEFKIPHVISGISTTNNAQSRGCCNTEICKQDTHSKIIPTKNHLNPITVDAEK >ONI17326 pep chromosome:Prunus_persica_NCBIv2:G3:16569015:16571741:-1 gene:PRUPE_3G151500 transcript:ONI17326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFNTGEGGNCFVGCEVVMALALGFAHSPSPLLSLHQKPKHTLRLAAFRCSATPSNEIILRTCKNCKTQFDPSINNPRACRFHTAHFGGETKRKFESVHTGGTMNTPDSGKVVQYWHCCGSEDPFDPGCTAAPHSSYDD >ONI17324 pep chromosome:Prunus_persica_NCBIv2:G3:16568957:16571741:-1 gene:PRUPE_3G151500 transcript:ONI17324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFNTGEGGNCFVGCEVVMALALGFAHSPSPLLSLHQKPKHTLRLAAFRCSATPSNEIILRTCKNCKTQFDPSINNPRACRFHTAHFGGETKRKFESVHTGGTMNTPDSGKVVQYWHCCGSEDPFDPGCTAAPHSSYDD >ONI17323 pep chromosome:Prunus_persica_NCBIv2:G3:16569673:16571701:-1 gene:PRUPE_3G151500 transcript:ONI17323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFNTGEGGNCFVGCEVVMALALGFAHSPSPLLSLHQKPKHTLRLAAFRCSATPSNEIILRTCKNCKTQFDPSINNPRACRFHTAHFGGETKRKFESVHTGGTMNTPDSGKVVQYWHCCGSEDPFDPGCTAAPHSSYDD >ONI17325 pep chromosome:Prunus_persica_NCBIv2:G3:16568958:16571749:-1 gene:PRUPE_3G151500 transcript:ONI17325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFNTGEGGNCFVGCEVVMALALGFAHSPSPLLSLHQKPKHTLRLAAFRCSATPSNEIILRTCKNCKTQFDPSINNPRACRFHTAHFGGETKRKFESVHTGGTMNTPDSGKVVQYWHCCGSEDPFDPGCTAAPHSSYDD >ONI20075 pep chromosome:Prunus_persica_NCBIv2:G3:27140379:27142319:1 gene:PRUPE_3G313700 transcript:ONI20075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVLSSPPHPQLNPNPHSYPSSRPLKILQAKATRDVTQKQKQPWWLLSQVSSRTRANKKRQLQVVGALSSSLHDCESLVTSSLLVLLQEPPSSSSSSLFVVAADSVGYSLASYYTSLGLFVLSVPGLWSLIKRSVKSKVVQKTFIGEEKKAPSQVAGEILSFFTRNNFVVTDRGETITFEGMMVPSRGQAALLTFCTCISLASVALVLTITVPDVGNNWFWITTLSPLAGAYYWKRASRKEQIKVKMMVIDDDGAQSEIIVQGDDQQVEQMRKELQLSEKGMVYVKGIFER >ONI20076 pep chromosome:Prunus_persica_NCBIv2:G3:27140390:27141383:1 gene:PRUPE_3G313700 transcript:ONI20076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVLSSPPHPQLNPNPHSYPSSRPLKILQAKATRDVTQKQKQPWWLLSQVSSRTRANKKRQLQVVGALSSSLHDCESLVTSSLLVLLQEPPSSSSSSLFVVAADSVGYSLASYYTSLGLFVLSVPGLWSLIKRSVKSKVVQKTFIGEEKKAPSQVAGEILSFFTRNNFVVTDRGETITTTEHTHCILVPILSRMKMILNASRVWNWRSLPACLPACN >ONI15693 pep chromosome:Prunus_persica_NCBIv2:G3:3972221:3976858:1 gene:PRUPE_3G056000 transcript:ONI15693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNLCLASQSLMMSRTIKQFLFTLHLGLSLLLLVHAQDDQSEFMSIDCGLQKVTYTETTTKIRYISDASFIDTGESKSVLNKFRDDYQQPYWSLRSFPEGTRNCYNINVTSGIKYLIRASFVYGNYDGQEKVPEFELHLGANLWESIRFENASVAEAHKELIHVPLRSYIHVCLVNTGSGVPFISAIELRPLINASYPTQVGSLALEMRFDTGRVPTDLEGYRYPFDVHDRFWNAYDRDDWTQLSTSQTIDSGSSNDYQPPPIVMRTAATPRRANASLDFFWLPADDKASYYVYMHFAEVENLRANQSRLQYITRNGQVFYELFAPDYLYTNTIFSRAALSGGQYNFSIRKAENSTLPPILNAIEIYTLKEFLELETNQEDIDAINIIKSTYKIKKNWQGDPCAPQAYLWEGVKCSYPQNESPRIISLDLSSSGLTGEIAPSISNLTVIQTLDLSNNNLTGPIPDFLSQLPDLNVINLEKNKLTGSVPGALIERRKNGFLSLSLCANPNLSGNVSCKKKRNFVIPVVASVAGISILLLSVATLCWGIKRKRQPGANPIIAPVEATKRQFTYSEILQITNNLKRILGKGGFGTVYHGCIDKTQVAVKMLSPSSVQGLQQFHAEVNLLMRVHHINLTSLVGYCNEENHIGLVYEYMENGNLQAYLSDSTPVVLTWEGRLQIATDAAQGLEYLHYCCSPPMIHRDVKSTNILLNEYFQAKLSDFGLSRNFPVEDGTHILTGVAGTPGYLAPEYNMSNRLNEKSDVYSFGVVLLEIIVGRPAFINTHERIHISKWVGLLLPKGDIYSIVDPRLERRFNVSSVWKAVELAMACVSKNPINRPSMSQVLVELKECLATELARTKQSGNHTEIGNSIEMMSQNSIAMLRPSVR >ONI15692 pep chromosome:Prunus_persica_NCBIv2:G3:3972221:3976858:1 gene:PRUPE_3G056000 transcript:ONI15692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNLCLASQSLMMSRTIKQFLFTLHLGLSLLLLVHAQDDQSEFMSIDCGLQKVTYTETTTKIRYISDASFIDTGESKSVLNKFRDDYQQPYWSLRSFPEGTRNCYNINVTSGIKYLIRASFVYGNYDGQEKVPEFELHLGANLWESIRFENASVAEAHKELIHVPLRSYIHVCLVNTGSGVPFISAIELRPLINASYPTQVGSLALEMRFDTGRVPTDLEGYRYPFDVHDRFWNAYDRDDWTQLSTSQTIDSGSSNDYQPPPIVMRTAATPRRANASLDFFWLPADDKASYYVYMHFAEVENLRANQSRLQYITRNGQVFYELFAPDYLYTNTIFSRAALSGGQYNFSIRKAENSTLPPILNAIEIYTLKEFLELETNQEDIDAINIIKSTYKIKKNWQGDPCAPQAYLWEGVKCSYPQNESPRIISLDLSSSGLTGEIAPSISNLTVIQTLDLSNNNLTGPIPDFLSQLPDLNVINLEKNKLTGSVPGALIERRKNGFLSLSLCANPNLSGNVSCKKKRNFVIPVVASVAGISILLLSVATLCWGIKRKRQPGAVTGANPIIAPVEATKRQFTYSEILQITNNLKRILGKGGFGTVYHGCIDKTQVAVKMLSPSSVQGLQQFHAEVNLLMRVHHINLTSLVGYCNEENHIGLVYEYMENGNLQAYLSDSTPVVLTWEGRLQIATDAAQGLEYLHYCCSPPMIHRDVKSTNILLNEYFQAKLSDFGLSRNFPVEDGTHILTGVAGTPGYLAPEYNMSNRLNEKSDVYSFGVVLLEIIVGRPAFINTHERIHISKWVGLLLPKGDIYSIVDPRLERRFNVSSVWKAVELAMACVSKNPINRPSMSQVLVELKECLATELARTKQSGNHTEIGNSIEMMSQNSIAMLRPSVR >ONI17185 pep chromosome:Prunus_persica_NCBIv2:G3:15580578:15581249:-1 gene:PRUPE_3G143600 transcript:ONI17185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNGSLQQTHHQPNPAFQYLFQALDPISLILSQNPQPDQEPVPLRLITESIMERGPRYKAYAELREAKLRRKHLRPEEAEEPELKPSPLKKQVKFQTNTTKSRKGPSAVAQSVPDFSAVLRKENRKPPTRLPSTLEMTPPAKSWSKANGVLSNSRGSKSASAGEKRYNNGGGLMARKSYASMEELKGLSSAAANAISGENRGGRNGNGRAIPKTVLGYRQF >ONI16690 pep chromosome:Prunus_persica_NCBIv2:G3:9800050:9805314:1 gene:PRUPE_3G115800 transcript:ONI16690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLTCYDKLSSLAYCLIFLYVQLVASGSDEAEALLKWKATFQNQTQLQNLSSWTYPPSNVNSTNSSGNPCNMWTGISCNTAGSVNRINLTNSVLQGTLHEFTFSSFPNLEYLDLSINKFLGFIPPQISSLSKLIHLDLSSNQFSGKIPSEIGLLTNLKFLKLHENKLNGSIPQELGQLNFLNELAMSTNNLEGSVPASLGSMSYLTSLHLFDNHLSGSIPPELGNLSNLVELYMDTNNFTGPIPQTFGNLKKLTMLHLFNNQLSGSIPSEIGNLKSLMELLLYRNNLSGSIPTHLGYLENLTRLFLDENKLSGAIPKEIGNLKSVVDVHLSKNYLTGPIPPIFGNLRKLKVLYLFDCQLSGIIPSEMGNLKSLVELFLYRNNLSGSIPAWIGDMRNLTHVNLFGNKLSGAIPKEIGKLKSMVDLDLSQNQLNGSVPTSFGGLRNLEVLSLRDNQLSGSVPQEIENLVKLTLLYLDTNQFSGYLPQNICQGGSLTEFTANNNHFVGPIPKSLKACTTLSFVRLSWNQLTGNISEDLGVYPNLQSMDLSHNNLNGNNLTGSIPPEIGNATQIHQLDISSNSLVGMIPKEFWRLTSLVKLMLQGNQLSGRIPSEFGSLIDLEYLDLSTNKFNGSIPSTISDLYRLHYLNLSNNKFSQGIPFQLGKLVHLSQLDLSHNLLEGKIPSEISNMESLEMLNLSHNNLSGFIPTSFEDMNGLSYVDISYNDLEGPLPNSSAFRNALPEALQGNKGLCGNIGALKSCKHNSKKDRKVIFLILFPLLGALVLLLVFFMFAFLIARRKKNQTLEQNDDMLEEISFSILDFDGKTMYEEIIRVTEDFDSIYCIGTGGHGSVYRANLSSGNMVAVKKLHLLHNGENNFQKEFFNEIRALTEIRHRNIMKLYGFCSHKRHSFLVYEYLERGSLATTLSNDHEAKELGWSKRVNIVKGLANALSYMHHDCLPPIVHRDISSKNVLLDSEYEACVSDFGTAKFLNPDSTNWSALAGTYGYIAPELAYTMEVNDKCDVYSFGVVTLELIMGRHPGDLLSSLSSVSLLSSSSSALPAHQMPMEDILDQRISPPTHQEAGEVVSLVQIAFACLNPSPPSRPTMKQVSQHLSTQRLHLSKSVHMITCGELLALDGFTTRGNK >ONI16691 pep chromosome:Prunus_persica_NCBIv2:G3:9799928:9804228:1 gene:PRUPE_3G115800 transcript:ONI16691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLTCYDKLSSLAYCLIFLYVQLVASGSDEAEALLKWKATFQNQTQLQNLSSWTYPPSNVNSTNSSGNPCNMWTGISCNTAGSVNRINLTNSVLQGTLHEFTFSSFPNLEYLDLSINKFLGFIPPQISSLSKLIHLDLSSNQFSGKIPSEIGLLTNLKFLKLHENKLNGSIPQELGQLNFLNELAMSTNNLEGSVPASLGSMSYLTSLHLFDNHLSGSIPPELGNLSNLVELYMDTNNFTGPIPQTFGNLKKLTMLHLFNNQLSGSIPSEIGNLKSLMELLLYRNNLSGSIPTHLGYLENLTRLFLDENKLSGAIPKEIGNLKSVVDVHLSKNYLTGPIPPIFGNLRKLKVLYLFDCQLSGIIPSEMGNLKSLVELFLYRNNLSGSIPAWIGDMRNLTHVNLFGNKLSGAIPKEIGKLKSMVDLDLSQNQLNGSVPTSFGGLRNLEVLSLRDNQLSGSVPQEIENLVKLTLLYLDTNQFSGYLPQNICQGGSLTEFTANNNHFVGPIPKSLKACTTLSFVRLSWNQLTGNISEDLGVYPNLQSMDLSHNNLNGEISHKWGQCAQLTTLLIAGNNLTGSIPPEIGNATQIHQLDISSNSLVGMIPKEFWRLTSLVKLMLQGNQLSGRIPSEFGSLIDLEYLDLSTNKFNGSIPSTISDLYRLHYLNLSNNKFSQGIPFQLGKLVHLSQLDLSHNLLEGKIPSEISNMESLEMLNLSHNNLSGFIPTSFEDMNGLSYVDISYNDLEGPLPNSSAFRNALPEALQGNKGLCGNIGALKSCKHNSKKDRKVIFLILFPLLGALVLLLVFFMFAFLIARRKKNQTLEQNDDMLEEISFSILDFDGKTMYEEIIRVTEDFDSIYCIGTGGHGSVYRANLSSGNMVAVKKLHLLHNGENNFQKEFFNEIRALTEIRHRNIMKLYGFCSHKRHSFLVYEYLERGSLATTLSNDHEAKELGWSKRVNIVKGLANALSYMHHDCLPPIVHRDISSKNVLLDSEYEACVSDFGTAKFLNPDSTNWSALAGTYGYIAPELAYTMEVNDKCDVYSFGVVTLELIMGRHPGDLLSSLSSVSLLSSSSSALPAHQMPMEDILDQRISPPTHQEAGEVVSLVQIAFACLNPSPPSRPTMKQVSQHLSTQRLHLSKSVHMITCGELLALDGFTTRG >ONI16692 pep chromosome:Prunus_persica_NCBIv2:G3:9800035:9803950:1 gene:PRUPE_3G115800 transcript:ONI16692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLTCYDKLSSLAYCLIFLYVQLVASGSDEAEALLKWKATFQNQTQLQNLSSWTYPPSNVNSTNSSGNPCNMWTGISCNTAGSVNRINLTNSVLQGTLHEFTFSSFPNLEYLDLSINKFLGFIPPQISSLSKLIHLDLSSNQFSGKIPSEIGLLTNLKFLKLHENKLNGSIPQELGQLNFLNELAMSTNNLEGSVPASLGSMSYLTSLHLFDNHLSGSIPPELGNLSNLVELYMDTNNFTGPIPQTFGNLKKLTMLHLFNNQLSGSIPSEIGNLKSLMELLLYRNNLSGSIPTHLGYLENLTRLFLDENKLSGAIPKEIGNLKSVVDVHLSKNYLTGPIPPIFGNLRKLKVLYLFDCQLSGIIPSEMGNLKSLVELFLYRNNLSGSIPAWIGDMRNLTHVNLFGNKLSGAIPKEIGKLKSMVDLDLSQNQLNGSVPTSFGGLRNLEVLSLRDNQLSGSVPQEIENLVKLTLLYLDTNQFSGYLPQNICQGGSLTEFTANNNHFVGPIPKSLKACTTLSFVRLSWNQLTGNISEDLGVYPNLQSMDLSHNNLNGEISHKWGQCAQLTTLLIAGNNLTGSIPPEIGNATQIHQLDISSNSLVGMIPKEFWRLTSLVKLMLQGNQLSGRIPSEFGSLIDLEYLDLSTNKFNGSIPSTISDLYRLHYLNLSNNKFSQGIPFQLGKLVHLSQLDLSHNLLEGKIPSEISNMESLEMLNLSHNNLSGFIPTSFEDMNGLSYVDISYNDLEGPLPNSSAFRNALPEALQGNKGLCGNIGALKSCKHNSKKDRKVIFLILFPLLGALVLLLVFFMFAFLIARRKKNQTLEQNDDMLEEISFSILDFDGKTMYEEIIRVTEDFDSIYCIGTGGHGSVYRANLSSGNMVAVKKLHLLHNGENNFQKEFFNEIRALTEIRHRNIMKLYGFCSHKRHSFLVYEYLERGSLATTLSNDHEAKELGWSKRVNIVKDFGTAKFLNPDSTNWSALAGTYGYIAPELAYTMEVNDKCDVYSFGVVTLELIMGRHPGDLLSSLSSVSLLSSSSSALPAHQMPMEDILDQRISPPTHQEAGEVVSLVQIAFACLNPSPPSRPTMKQVSQHLSTQRLHLSKSVHMITCGELLALDGFTTRG >ONI15053 pep chromosome:Prunus_persica_NCBIv2:G3:1701280:1709644:1 gene:PRUPE_3G023100 transcript:ONI15053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDSSFGKLPDHLLIEIFIRVPVSEWAQISCVKKQWANLFRGECLWQAALATTYPLANPAKRWPGPIPRGLSKRRFAALYVSKHIFSLDGEIDEIVGHTYLFLKEQLELSTMPPSSSILHGTIIGFSSIPLLKINQSPMEGV >ONI19585 pep chromosome:Prunus_persica_NCBIv2:G3:25858071:25865116:-1 gene:PRUPE_3G285900 transcript:ONI19585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGATMAKEAVEDWRRRKQDIEANNRKVRVYGRNYTFYETRWKKLRVGDLVKVHKDEYFPADLLLLSSSYEDGICYVETMNLDGETNLKLKHALEATSHLQDENSLEKFKAVIKCEDPNENLYSFVGTLYYDGKSYPLSLQQMLLRDSKLKNTEYVYGVVVFTGHDTKVMQNATDPPSKRSKIERKMDKIIYILFSTLVVIAFVGSVFFGIDTKRDISGGKYRRWYLRPDHTTVFYDPKRPALAAFFHFLTALMLYGYLIPISLYVSIEIVKVLQSVFINQDQDMYYEETDRPAHARTSNLNEELGQVDMILSDKTGTLTCNSMEFIKCSIAGTAYGHGMTEVERALAKRRDGQPKTGDISSDVLGDTSDVVASGKSVKGFNFRDERIMNGQWVNEPHSDTIQKFLRVLAMCHTAIPVVDKKSGEITYEAESPDEAAFVIAARELGFEFFERTQASISLHELDFETGKKVDREYELLQVLEFSSSRKRMSVIVRSPENKYLLLCKGADSVIFEKLAKAGRQFEDQTKEHIHKYAEAGLRTLVIAYRELGEEELKIWEKEFLKAKSSVTEGRDLLVDGVADKIETDLILLGVTAVEDKLQKGVPECINKLAQAGIKIWVLTGDKMETAVNIGYACSLLRQDMKQIVISLDLPDINALSKQGNKEAVEKASLESIRKQIGEGVLQINQAKESSSPAKSFGLIIDGKSLEFSLKKDVEKSFFELAINCASVICCRSTPKQKALVTRLVKLGTGKITLSVGDGANDVGMLQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLLVHGHWCYRRISMMICYFFYKNITFGFTLFWFEAHASFSGQPAYNDWYMSFYNVFFTSLPVIALGVFDQDVSARLCLKYPSLYLEGVENLLFSWTRILGWMVNGVLSSIIIFFFTTNSMVGQALRRDGKVVDYEVLGVTMYTCVVWVVNCQMALSINYFTWIQHFFIWGSIAFWYIFLVIYGSVSPNVSTTAHKVLVEACAPSPLYWLVTLLVVICTLLPYFSYRAFQTRFKPMRHDVIQQKRLEGSNHDETSGELPLRLSSKLEHLKRRLRAREL >ONI19586 pep chromosome:Prunus_persica_NCBIv2:G3:25858321:25864309:-1 gene:PRUPE_3G285900 transcript:ONI19586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGRKTRRGIHFSKLYSFSCIRSPFHDSHSQIGERGFSRVVHCNDPDNPDALQLRHRGNYVSTTKYTAANFIPKSLFEQFRRVANIYFLVVACVSFSPLAPFKAVSVLAPLLVVIGATMAKEAVEDWRRRKQDIEANNRKVRVYGRNYTFYETRWKKLRVGDLVKVHKDEYFPADLLLLSSSYEDGICYVETMNLDGETNLKLKHALEATSHLQDENSLEKFKAVIKCEDPNENLYSFVGTLYYDGKSYPLSLQQMLLRDSKLKNTEYVYGVVVFTGHDTKVMQNATDPPSKRSKIERKMDKIIYILFSTLVVIAFVGSVFFGIDTKRDISGGKYRRWYLRPDHTTVFYDPKRPALAAFFHFLTALMLYGYLIPISLYVSIEIVKVLQSVFINQDQDMYYEETDRPAHARTSNLNEELGQVDMILSDKTGTLTCNSMEFIKCSIAGTAYGHGMTEVERALAKRRDGQPKTGDISSDVLGDTSDVVASGKSVKGFNFRDERIMNGQWVNEPHSDTIQKFLRVLAMCHTAIPVVDKKSGEITYEAESPDEAAFVIAARELGFEFFERTQASISLHELDFETGKKVDREYELLQVLEFSSSRKRMSVIVRSPENKYLLLCKGADSVIFEKLAKAGRQFEDQTKEHIHKYAEAGLRTLVIAYRELGEEELKIWEKEFLKAKSSVTEGRDLLVDGVADKIETDLILLGVTAVEDKLQKGVPECINKLAQAGIKIWVLTGDKMETAVNIGYACSLLRQDMKQIVISLDLPDINALSKQGNKEAVEKASLESIRKQIGEGVLQINQAKESSSPAKSFGLIIDGKSLEFSLKKDVEKSFFELAINCASVICCRSTPKQKALVTRLVKLGTGKITLSVGDGANDVGMLQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLLVHGHWCYRRISMMICYFFYKNITFGFTLFWFEAHASFSGQPAYNDWYMSFYNVFFTSLPVIALGVFDQDVSARLCLKYPSLYLEGVENLLFSWTRILGWMVNGVLSSIIIFFFTTNSMVGQALRRDGKVVDYEVLGVTMYTCVVWVVNCQMALSINYFTWIQHFFIWGSIAFWYIFLVIYGSVSPNVSTTAHKVLVEACAPSPLYWLVTLLVVICTLLPYFSYRAFQTRFKPMRHDVIQQKRLEGSNHDETSGELPLRLSSKLEHLKRRLRAREL >ONI18532 pep chromosome:Prunus_persica_NCBIv2:G3:22175151:22176614:-1 gene:PRUPE_3G221000 transcript:ONI18532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGECEKAYKSVFIVAQHFGRLYEGVLYEVKIEQGSGAIIRGGPGRALVGEEDRPPLLNPVNKIFGESDMVKPYSCRLVALRFNGLSKLYMLLTARDVLRHSLRSTTTAPTKPDLKGRIFDIETKSFYTFTHPKSFNRRSTLMSAYNKLYHLGIADSWSLNNPRVAFERYDPCNDCWEPLPSVPFGLYKGRGGMAGYAVCGNNILLSSSAGRSFIAFNVKEKKWYPVLAKGSFSFRGRAVVVGNTIYCLLSLHHGKVAKFSFDPSTYSISGTQRLFGLEYNKKHTYNGYFEQCSEYMVHLGNLDFCLVQSGTDSNCCGRQPIWITTFQIVSEGGKSSINTLHSTVYDVDLNGAHKFLIGFSFTPDCKDKEPKGEETKVKLIHGPLEKRCKRKGHCKLGIKRGIGCNDWAISKHKNEFRVTENVRKEIP >ONI16283 pep chromosome:Prunus_persica_NCBIv2:G3:6637112:6643889:1 gene:PRUPE_3G089400 transcript:ONI16283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVDVRYWLDSLKDVSYEMDDVLDEWSTEILKLHIQKQEAGNAKKTFGREVEKDILVKKLLSESGQARPNFLVIPIVGMGGIGKTTLAQLAYNDERVQAHFDNRIWVCVSDPFDEIKIAKAIIDVLKMGTNIQASNELQTLLEIIVECVKGKKFLLVLDDVWNDEYRKWEPFKLALQNGAVGSSILVTTRKEDVAMMMGASADTINLKELSDENCWKLFCHIALVDREISEYRTFEVIGKEIVKKCKGLPLVAKTLGGLMRHKKTRKEWEDVLKSKTWELDVVEKQVFQPLLLSYYDLASTDKCCLLYCVIFPKGYVIEKDNLIELWMSQNYLNLIGNKEKEEVGETHFDNLVTRSFFQEFEKDDLGYITRCKMHDVVHDFLQFLTKNECFVLEGEGGNKRIMEFNGENKARHLTLMFAPEGAFPSSLYSCKNLRTLATFDSNISTFGRELISQLKCLRTLNLSFNSIKEVPNEVGELAHLRYFDLSYNEDLMKLPDSVCNLMNLQTLRLIWCRTLERLPEGIGKLINLQHLYVMGCFRLKLPKVIARLTSLRTLDQVRILEVDNKKEVLFGLSDLRNLDQLRGSFRIEFLENLKDARQAEKAHLVNKNCLVSLELRFFFGGTDEIPLVASQEETLNALQPPPNLESFSLYHYSGTTLRPHWMTSLNKLRILTLGYFDYVEVLPPLGRLESLEVLEIQDWPSLKKVGVEFLGIETQTSSPIILFPNLKKLVFEFLPMWEEWEGMTGWSEEEDSQKTITIMPCLSSLQIGYCGLLKTLPNFLRNTPLKELVIGFGCRILAQGCQKGRGGSEWPKISHIPNIKVWIGPSGEFVQKDGVWIGQQQTDDDETLSVASTSSSSGVNLGHKSTLNSNMKMKTRTPRLNSSS >ONI17499 pep chromosome:Prunus_persica_NCBIv2:G3:18178123:18179936:1 gene:PRUPE_3G162900 transcript:ONI17499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLLGLLRVRVQKGVNLAIRDVTARTSDPYVVIKMGKQKLKTRVVKNSTNPEWNEDLTLSIADPTLPVNIHVYDKDTFTLDDKMGDAEFEIGPFLEALRMRVQGVPSGTVLSRVQPSRQNCLAEESCIIYTDGQVVQNMCIRLRNVERGELELQLQWIDIPGSRGL >ONI17498 pep chromosome:Prunus_persica_NCBIv2:G3:18178178:18179931:1 gene:PRUPE_3G162900 transcript:ONI17498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLLGLLRVRVQKGVNLAIRDVTARTSDPYVVIKMGKQKLKTRVVKNSTNPEWNEDLTLSIADPTLPVNIHVYDKDTFTLDDKMGDAEFEIGPFLEALRMRVQGVPSGTVLSRVQPSRQNCLAEESCIIYTDGQVVQNMCIRLRNVERGELELQLQWIDIPGSRGL >ONI19130 pep chromosome:Prunus_persica_NCBIv2:G3:24657565:24658597:-1 gene:PRUPE_3G260500 transcript:ONI19130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAHLCLKDFLISHLKLICSLLYHIISMHISNCIQLSRCNSKKDGEERNHHCDQSSTKALHKCISSLITMLAVLLPLKHRTATLVAFVVALMVYFSSLAVKILLQARDHNPDLAEFMDKISLSSGTLALNLELLVIVPAFGCFAIVVWSLCLVIAVIKSYHESAVVLKRLYRCAVEELVHAFDKLKELFHLTRREAEQQSGLPS >ONI20040 pep chromosome:Prunus_persica_NCBIv2:G3:27027877:27029997:-1 gene:PRUPE_3G311600 transcript:ONI20040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKTNQGSQPLYPSVSPPTAENDTNPFLQTPPPSYSSLKTQPPQYPSIANPTAQEINPFYQTPELSSSLQGTQKPSDFTPSTPNPSDHPAPSPSPSPSSPQKTQDLKQAHHHEEDIPSSSSSSSIPAPAPANEEEKAEQWGTHVMGHPAVPTCHPDNKKAALWGAAGTEQAQSFHHPYLQYKPIEKSNNGPMESMLHVFNSWSNRAESMANNIWHNLKTGTSVSGAAWGKMNVTAKAITGGGFEALYKQSFATYPNEKLNKSFACYLSTSTGPVAGTLYLSNLHTAFCSDRPLSFTAPSGQVTWSYYKIMVPLGNIATINPVVMRENSTEKYIQIVTIDGHDFWFMGFVNYEKASRHLTQSISTFVASGIAVNPGVAVADEKPDKADY >ONI18614 pep chromosome:Prunus_persica_NCBIv2:G3:22632459:22635190:1 gene:PRUPE_3G226900 transcript:ONI18614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCELCQLPARTYCESDQAILCWDCDAKVHGANFLVARHSRSLLCHSCQSPTPWKASGEKLGHTFSVCESCVVRDESRDEDEDEESQGGNDNEFDSDNDPDDDDDDFDEDGDNQVVPWSSTTPPPPSPSSSSSEEAASALNNGDTEGPKTATTVSLKRIRETASDLRSQDDLDRSSSRRRYGSASAAQASRPEPEGGATSFDSSRPRKDRRIDLNGPGSPSEPVIESKMIYRREDSLRS >ONI18615 pep chromosome:Prunus_persica_NCBIv2:G3:22632974:22633774:1 gene:PRUPE_3G226900 transcript:ONI18615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNCELCQLPARTYCESDQAILCWDCDAKVHGANFLVARHSRSLLCHSCQSPTPWKASGEKLGHTFSVCESCVVRDESRDEDEDEESQGGNDNEFDSDNDPDDDDDDFDEDGDNQVVPWSSTTPPPPSPSSSSSEEAASALNNGDTEGPKTATTVSLKRIRETASDLRSQVRRSRPFIFSTEVRLSFGSSSESARTRRRSHFV >ONI20034 pep chromosome:Prunus_persica_NCBIv2:G3:27003950:27008366:-1 gene:PRUPE_3G311200 transcript:ONI20034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGVCLFPSRLPSVIRPEFTSSSSSSGKSKFNPISVPSRRLAAVSTDHTPTVLTTVNNQTGGSSGKEAHTTTTSAAAEKKRWSGQEDQEELDAEEEEQERLSLTDYFEQAKDFVTSNGGGVGPPRWFSPLHDGGSRLDNSPLLLFLPGIDGTGLGLIRHQHKLGKIFDVWCLHIPAKDRTSFTDLVKLVERTIRSEHHHSPTRPIYLVGESLGACLALSVAALNPDIDLVLILANPATSFGKSQLQPIIPVLQVIPDSLPISLPHILSSMTGVLVANLEKGLGLPLPETVEKLSHDLAASTTYLSVLADILPRETLLWKLQMIRSASPYANSRLHAVKAQTLILSSGKDQLLPSQEEGERLQRTLANCEIRMFENSGHFLFSEDDFDLVTVIKGTGFYRHSRHRDYVSDYIPPTRSEVKNVVDSNRWLSIATSPVMLSTLADGKIVRSLAGVPSEGPVLFVGYHMLLGFELAPMVTQMFVERNIHLRGIAHPMMFVKLREGRLPDISNYDTLRVMGAVPVSASNFYKLLSSKCHVLLYPGGVREALHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGAVGEDDIADVRLHTLHFMPF >ONI20033 pep chromosome:Prunus_persica_NCBIv2:G3:27002574:27008600:-1 gene:PRUPE_3G311200 transcript:ONI20033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGVCLFPSRLPSVIRPEFTSSSSSSGKSKFNPISVPSRRLAAVSTDHTPTVLTTVNNQTGGSSGKEAHTTTTSAAAEKKRWSGQEDQEELDAEEEEQERLSLTDYFEQAKDFVTSNGGGVGPPRWFSPLHDGGSRLDNSPLLLFLPGIDGTGLGLIRHQHKLGKIFDVWCLHIPAKDRTSFTDLVKLVERTIRSEHHHSPTRPIYLVGESLGACLALSVAALNPDIDLVLILANPATSFGKSQLQPIIPVLQVIPDSLPISLPHILSSMTGVLVANLEKGLGLPLPETVEKLSHDLAASTTYLSVLADILPRETLLWKLQMIRSASPYANSRLHAVKAQTLILSSGKDQLLPSQEEGERLQRTLANCEIRMFENSGHFLFSEDDFDLVTVIKGTGFYRHSRHRDYVSDYIPPTRSEVKNVVDSNRWLSIATSPVMLSTLADGKIVRSLAGVPSEGPVLFVGYHMLLGFELAPMVTQMFVERNIHLRGIAHPMMFVKLREGRLPDISNYDTLRVMGAVPVSASNFYKLLSSKCHVLLYPGGVREALHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGAVGEDDIADFWIMKTR >ONI20032 pep chromosome:Prunus_persica_NCBIv2:G3:27003140:27008366:-1 gene:PRUPE_3G311200 transcript:ONI20032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGVCLFPSRLPSVIRPEFTSSSSSSGKSKFNPISVPSRRLAAVSTDHTPTVLTTVNNQTGGSSGKEAHTTTTSAAAEKKRWSGQEDQEELDAEEEEQERLSLTDYFEQAKDFVTSNGGGVGPPRWFSPLHDGGSRLDNSPLLLFLPGIDGTGLGLIRHQHKLGKIFDVWCLHIPAKDRTSFTDLVKLVERTIRSEHHHSPTRPIYLVGESLGACLALSVAALNPDIDLVLILANPATSFGKSQLQPIIPVLQVIPDSLPISLPHILSSMTGVLVANLEKGLGLPLPETVEKLSHDLAASTTYLSVLADILPRETLLWKLQMIRSASPYANSRLHAVKAQTLILSSGKDQLLPSQEEGERLQRTLANCEIRMFENSGHFLFSEDDFDLVTVIKGTGFYRHSRHRDYVSDYIPPTRSEVKNVVDSNRWLSIATSPVMLSTLADGKIVRSLAGVPSEGPVLFVGYHMLLGFELAPMVTQMFVERNIHLRGIAHPMMFVKLREGRLPDISNYDTLRVMGAVPVSASNFYKLLSSKCHVLLYPGGVREALHRKGEEYKLFWPEQSEFVRMAARFGAKIVPFGAVGEDDIADLVLDYEDQMKIPFLKGFIEEITDEAAKVRVGHDGEVGNQDVHFPGIIPKVPGRFYYYFGKPIETQGRKQELRDREKAHQVYLEVKSEVEKCLAYLREKRENDPYRSIFSRLQYQATHGFSSQVEIPTFEL >ONI20031 pep chromosome:Prunus_persica_NCBIv2:G3:27002859:27008490:-1 gene:PRUPE_3G311200 transcript:ONI20031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGVCLFPSRLPSVIRPEFTSSSSSSGKSKFNPISVPSRRLAAVSTDHTPTVLTTVNNQTGGSSGKEAHTTTTSAAAEKKRWSGQEDQEELDAEEEEQERLSLTDYFEQAKDFVTSNGGGVGPPRWFSPLHDGGSRLDNSPLLLFLPGIDGTGLGLIRHQHKLGKIFDVWCLHIPAKDRTSFTDLVKLVERTIRSEHHHSPTRPIYLVGESLGACLALSVAALNPDIDLVLILANPATSFGKSQLQPIIPVLQVIPDSLPISLPHILSSMTGVLVANLEKGLGLPLPETVEKLSHDLAASTTYLSVLADILPRETLLWKLQMIRSASPYANSRLHAVKAQTLILSSGKDQLLPSQEEGERLQRTLANCEIRMFENSGHFLFSEDDFDLVTVIKGTGFYRHSRHRDYVSDYIPPTRSEVKNVVDSNRWLSIATSPVMLSTLADGKIVRSLAGVPSEGPVLFVGYHMLLGFELAPMVTQMFVERNIHLRGIAHPMMFVKLREGRLPDISNYDTLRVMGAVPVSASNFYKLLSSKCHVLLYPGGVREALHRKLVLDYEDQMKIPFLKGFIEEITDEAAKVRVGHDGEVGNQDVHFPGIIPKVPGRFYYYFGKPIETQGRKQELRDREKAHQVYLEVKSEVEKCLAYLREKRENDPYRSIFSRLQYQATHGFSSQVEIPTFEL >ONI15171 pep chromosome:Prunus_persica_NCBIv2:G3:2123857:2152395:-1 gene:PRUPE_3G028500 transcript:ONI15171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGVVNQVISGFLGRYFRDIQRHQLKFTLWEGALFLENLELSLEAFDYLQLPFALKQGRVGKLSIKIPWKKLGWDPIEIVLENVFLSAAQRDDQEWTLDEVERRELAGKKAKLAAAELAKLSKRVCENQAGLISYISAKALDSIQVSIKDFHILYHDKLSDSAYTVFGLKLSSLRTMKQNPFGSSGGKGGQDNKFVDITGLEFYCGTFHGAMELMTMNNGGDSELWHDTRSDRERYDSILSPCDVSLSLSVNRSGQLDNNNPQYSINAEITGLVMSLNEVQLQQILILWDYLCTSELRNKYWRYRPWCSLLSKKMKGWQILWWRYAQESILSDVRKRLRKSSWRYFGQRLSSCRKYVNLYKTKLDFLRHYQPIDESTQWELEQMEKELDIDDILSYRSTAECELQEFLSNSSTSHTIENVASISVERIPNDERSAGKSRGWLNWLSRGMLGAGGTDDSGQFSGVVSDEVIKDIYEATEFNPPVLSNQDAPTDKISICALQFSIHQISATLRNMKYGQEIAELMLNGVIVECKFWEESATIVTTVNSGQMVYPCSKKVILHMRRPIIEKKLLDNVHPSCKLQVDLSPNLEVELSIKGMLQPLEVTIDAEFFLNLIDFFGVLKSFEGQHGRVLLSLNGIENVNGRLLSKAEYLLSHLRKVLWDVSIFNIIINVPWRDAISEQHSLVFEAGSLMFRTKCDMGSNPSDNEQAYALNNLLTSVSECKVPLSFQLQDLYDHFEVKLNDFEMKITMPSHTFPISILEKLSASISLARCLIQDESVLKQLEVYINVSSLNAHFSPSLYAAILGLIAYLVALQSSSESVSLETIDSFNVASKGSRSPVFGFSTNVKLETVSCRVELENEKENSTSIMLVFQQLNISYALTELEKFWICMEALRITTSHLTGESNSPILHSNGNQSSTSALHQHGIGLSNRSDDFAKNIVNTEACFILQYESLRKESVHKKCRISLNNADVHCYPNVIRLLIAFFDKLSTYGASDHGNLPSSSTVDAANPEKVNGFGFQRFGFSNFIETGSSEHASIPLDHFPFVTICNSGSLGSLESSLLYASHEWREYFCLREGRMCCPQSNTKKVSENFQATPLESASVVEASDVDGSSADTSSLFVIDITLCEIRVHFHDSSCIVGTITLPTSKSSVLISENCFDILCSTEGLVLTSSWYPQNLRQFLWGPSLPSLSPILNVRVSKEKCGPLSSRIEVSFGVQHVYCILPPEYLAVLIGYFSLPDWSSDSNEQPVIVGCEYTETGNECSLVYKIEILDSTLILPMKSNEGHFLKTELQQLYCSFIDSSSLNNVLNDIPPECWVPAHKLSERNHCLNLFGRDLFLSFLSLKDDGRSYLKFDQDIEHVDIPLVAPLCADVWVKIPCENESSSSPSTICVMTRIKNCQLMAEDAQFFHGFEGLLDAINQFSEVSDVSKCFKSDVPQFLQLKRTLEQNNAVSPVISSITFTEVRCYCDSLSMQLNRFGKGLKEPIAKAEMQFMCSASLRNDDLLSVVLSFSSLALYSLPDSVVLARFKSMCSTSAVLDFSLSKETHGEMELLVSLPSVDVWLYLSYWTDVIDCLKSYPGQLSINEPDNLKQDADVLFVKSENICVTCHFPVWIGDDGWEEYQVDEGHGEGHPNYSSNRKNFRCLAVTLCSKSSELFVDGRNVKVKSNMEKLSGMVLLSEEESGQSWPLFQICQVFLEAQTNNNQMELAHVEVDVQCDHLDVWISHRILYFWHGIPFNVGEGGPSQFSYGGIDFKVQLKKISFLLSDGRWSCSGPLFQILMGNIFLHANMTQNNLKGSVNGDLQVNYNNIHKVFWEPFIEPWKFEVDVIRKQEMSLNSSILTDINIKSTAHLNLNFTESLIECVFRTFEMIKDAWVLIGPNDLPESQKLLNSPYAEYTYAGKYAPYVLQNLTSLPLLYDVYRGPINPDDFGVSEMKNRKYVQPGSSIPIYINDTPEEQLINVKPAHFSERLFEQKANGVAHQYITIQFDGTSVSSDPISMDLVGLTYFEVDFSMAYDDNRGNNRTNAIGGFVVPVVFDVSVQRYTKLIRLYSTVLLSNASSMPLELRFDIPFGVSPMILDPIYPGQELPLPLHLAEAGRIRWRPIGDSYLWSEVYNLSNLLSQESKIGFLKSFVCYPAHPNSDPFRCCISVRNISLPSSVRSRKTFSPHLKSTLKQSVVDGQISQKLEESKKQFVHQVTLSIPLVVNNYLPKEVTLTIESGGITRTAFLSEVETSFHNVDPSHHLKLEILLHGFKPAVLNFPRNETFCKMAKFAGAKFSLSEIVAFYTDSSNGPIYVTVEKVLDAFSGARELFIFVPFLLYNCTGFPLFISEASSEMKGVSCSVPSCYYMAEQELLHGKKDGLSLVSSSHHLATDSHGLGSSLSRSHIVSARENANPHKEIFLSKPLNPLNSQENFQELSSRSDLDRQNSLFNSSQNQSSSSCQLTLKDSNFYGYERGRARACMFSPNPVSSVGEVTVRASRCLPEYLTENMPNSLWSSPFSLVPPSGSTTVLVPQPSSNAAFMLSVTSSAVAAPFAGRTSAITFQPRYIISNACSKDVCYKQKGTDFVFHLGIGEHSHLHWMDTAMELLVSIRYDEPGWQWSGGFLPDHLGDTQVKMRNYLSGSLNMIRVEVQNADVSMGDEKIVGNFHGNSGTNLILISDDETGYMPYRIDNFSNERLRIYQQRCETVETTVHSYTSCPYAWDEPCYPHRLTVEVPGKRVLGSYTLDDVKEYSPVQLPSSSEKRERTLHLSIHAEGATKVLHVIDSSYHILNDMKKTSVPRLREKRNDEQKQDKCIGFMERISVVIQHIGISMINIHPQELLFACAKNITIDLVQSLDQQKLSFQITSLQIDNQLRSSPYPVILSFDRDYKSNPIGHVNKDDVTKQRSERKLQRTSHSSFEPAFYLAVSKWRKKDVSLVSFEYISLRVADFCLELEQELILSLFGFIKNVSSRFQSRVFSLSDPFLGSHIKDTGLMDSYATVNQLHLMTVPVFNESHKPRLSLPSIVPIGAPWQQIYLLARRQKKIYVEVFDLCPINLTLSFSSAPWMRKNGILTAGESVIHRGLMALADVEGARIHLKQLTIAHQIASLESLQEILVRHYTRQLLHEMYKVFGSAGVIGNPMGFARSMGLGIRDFLSVPARSIFLSPTGLITGMAQGTTSLLSNTVYAISDAATQFSKAAHKGIVAFTFDDQAVSGVEQQQIGVATHSKGVINGVFEGLTGLLQSPIKGAERHGLPGVLSGIALGITGLVAKPAASILEVTGKTAQSIRNRSRFYQMGQQRFRVRLPRPLSRELPLRPYTWEEAVGASALVEADDSFRLKDEILVMCKELRQAGKFVIITHRLVLIVSCSSLLDLGKPEFRGVPADLEWVIESEVRLESVIHADCDQGVVHIVGSSSNIPLRQNQQAKRSSGTGAGRWNNPTVPLIQTNLELAHQEDAENLLQNLLSTIELGKEQGWGCRYLLHRSNIK >ONI15170 pep chromosome:Prunus_persica_NCBIv2:G3:2123857:2152395:-1 gene:PRUPE_3G028500 transcript:ONI15170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGVVNQVISGFLGRYFRDIQRHQLKFTLWEGALFLENLELSLEAFDYLQLPFALKQGRVGKLSIKIPWKKLGWDPIEIVLENVFLSAAQRDDQEWTLDEVERRELAGKKAKLAAAELAKLSKRVCENQAGLISYISAKALDSIQVSIKDFHILYHDKLSDSAYTVFGLKLSSLRTMKQNPFGSSGGKGGQDNKFVDITGLEFYCGTFHGAMELMTMNNGGDSELWHDTRSDRERYDSILSPCDVSLSLSVNRSGQLDNNNPQYSINAEITGLVMSLNEVQLQQILILWDYLCTSELRNKYWRYRPWCSLLSKKMKGWQILWWRYAQESILSDVRKRLRKSSWRYFGQRLSSCRKYVNLYKTKLDFLRHYQPIDESTQWELEQMEKELDIDDILSYRSTAECELQEFLSNSSTSHTIENVASISVERIPNDERSAGKSRGWLNWLSRGMLGAGGTDDSGQFSGVVSDEVIKDIYEATEFNPPVLSNQDAPTDKISICALQFSIHQISATLRNMKYGQEIAELMLNGVIVECKFWEESATIVTTVNSGQMVYPCSKKVILHMRRPIIEKKLLDNVHPSCKLQVDLSPNLEVELSIKGMLQPLEVTIDAEFFLNLIDFFGVLKSFEGQHGRVLLSLNGIENVNGRLLSKAEYLLSHLRKVLWDVSIFNIIINVPWRDAISEQHSLVFEAGSLMFRTKCDMGSNPSDNEQAYALNNLLTSVSECKVPLSFQLQDLYDHFEVKLNDFEMKITMPSHTFPISILEKLSASISLARCLIQDESVLKQLEVYINVSSLNAHFSPSLYAAILGLIAYLVALQSSSESVSLETIDSFNVASKGSRSPVFGFSTNVKLETVSCRVELENEKENSTSIMLVFQQLNISYALTELEKFWICMEALRITTSHLTGESNSPILHSNGNQSSTSALHQHGIGLSNRSDDFAKNIVNTEACFILQYESLRKESVHKKCRISLNNADVHCYPNVIRLLIAFFDKLSTYGASDHGNLPSSSTVDAANPEKVNGFGFQRFGFSNFIETGSSEHASIPLDHFPFVTICNSGSLGSLESSLLYASHEWREYFCLREGRMCCPQSNTKKVSENFQATPLESASVVEASDVDGSSADTSSLFVIDITLCEIRVHFHDSSCIVGTITLPTSKSSVLISENCFDILCSTEGLVLTSSWYPQNLRQFLWGPSLPSLSPILNVRVSKEKCGPLSSRIEVSFGVQHVYCILPPEYLAVLIGYFSLPDWSSDSNEQPVIVGCEYTETGNECSLVYKIEILDSTLILPMKSNEGHFLKTELQQLYCSFIDSSSLNNVLNDIPPECWVPAHKLSERNHCLNLFGRDLFLSFLSLKDDGRSYLKFDQDIEHVDIPLVAPLCADVWVKIPCENESSSSPSTICVMTRIKNCQLMAEDAQFFHGFEGLLDAINQFSEVSDVSKCFKSDVPQFLQLKRTLEQNNAVSPVISSITFTEVRCYCDSLSMQLNRFGKGLKEPIAKAEMQFMCSASLRNDDLLSVVLSFSSLALYSLPDSVVLARFKSMCSTSAVLDFSLSKETHGEMELLVSLPSVDVWLYLSYWTDVIDCLKSYPGQLSINEPDNLKQDADVLFVKSENICVTCHFPVWIGDDGWEEYQVDEGHGEGHPNYSSNRKNFRCLAVTLCSKSSELFVDGRNVKVKSNMEKLSGMVLLSEEESGQSWPLFQICQVFLEAQTNNNQMELAHVEVDVQCDHLDVWISHRILYFWHGIPFNVGEGGPSQFSYGGIDFKVQLKKISFLLSDGRWSCSGPLFQILMGNIFLHANMTQNNLKGSVNGDLQVNYNNIHKVFWEPFIEPWKFEVDVIRKQEMSLNSSILTDINIKSTAHLNLNFTESLIENRKYVQPGSSIPIYINDTPEEQLINVKPAHFSERLFEQKANGVAHQYITIQFDGTSVSSDPISMDLVGLTYFEVDFSMAYDDNRGNNRTNAIGGFVVPVVFDVSVQRYTKLIRLYSTVLLSNASSMPLELRFDIPFGVSPMILDPIYPGQELPLPLHLAEAGRIRWRPIGDSYLWSEVYNLSNLLSQESKIGFLKSFVCYPAHPNSDPFRCCISVRNISLPSSVRSRKTFSPHLKSTLKQSVVDGQISQKLEESKKQFVHQVTLSIPLVVNNYLPKEVTLTIESGGITRTAFLSEVETSFHNVDPSHHLKLEILLHGFKPAVLNFPRNETFCKMAKFAGAKFSLSEIVAFYTDSSNGPIYVTVEKVLDAFSGARELFIFVPFLLYNCTGFPLFISEASSEMKGVSCSVPSCYYMAEQELLHGKKDGLSLVSSSHHLATDSHGLGSSLSRSHIVSARENANPHKEIFLSKPLNPLNSQENFQELSSRSDLDRQNSLFNSSQNQSSSSCQLTLKDSNFYGYERGRARACMFSPNPVSSVGEVTVRASRCLPEYLTENMPNSLWSSPFSLVPPSGSTTVLVPQPSSNAAFMLSVTSSAVAAPFAGRTSAITFQPRYIISNACSKDVCYKQKGTDFVFHLGIGEHSHLHWMDTAMELLVSIRYDEPGWQWSGGFLPDHLGDTQVKMRNYLSGSLNMIRVEVQNADVSMGDEKIVGNFHGNSGTNLILISDDETGYMPYRIDNFSNERLRIYQQRCETVETTVHSYTSCPYAWDEPCYPHRLTVEVPGKRVLGSYTLDDVKEYSPVQLPSSSEKRERTLHLSIHAEGATKVLHVIDSSYHILNDMKKTSVPRLREKRNDEQKQDKCIGFMERISVVIQHIGISMINIHPQELLFACAKNITIDLVQSLDQQKLSFQITSLQIDNQLRSSPYPVILSFDRDYKSNPIGHVNKDDVTKQRSERKLQRTSHSSFEPAFYLAVSKWRKKDVSLVSFEYISLRVADFCLELEQELILSLFGFIKNVSSRFQSRVFSLSDPFLGSHIKDTGLMDSYATVNQLHLMTVPVFNESHKPRLSLPSIVPIGAPWQQIYLLARRQKKIYVEVFDLCPINLTLSFSSAPWMRKNGILTAGESVIHRGLMALADVEGARIHLKQLTIAHQIASLESLQEILVRHYTRQLLHEMYKVFGSAGVIGNPMGFARSMGLGIRDFLSVPARSIFLSPTGLITGMAQGTTSLLSNTVYAISDAATQFSKAAHKGIVAFTFDDQAVSGVEQQQIGVATHSKGVINGVFEGLTGLLQSPIKGAERHGLPGVLSGIALGITGLVAKPAASILEVTGKTAQSIRNRSRFYQMGQQRFRVRLPRPLSRELPLRPYTWEEAVGASALVEADDSFRLKDEILVMCKELRQAGKFVIITHRLVLIVSCSSLLDLGKPEFRGVPADLEWVIESEVRLESVIHADCDQGVVHIVGSSSNIPLRQNQQAKRSSGTGAGRWNNPTVPLIQTNLELAHQEDAENLLQNLLSTIELGKEQGWGCRYLLHRSNIK >ONI15169 pep chromosome:Prunus_persica_NCBIv2:G3:2123348:2152678:-1 gene:PRUPE_3G028500 transcript:ONI15169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGVVNQVISGFLGRYFRDIQRHQLKFTLWEGALFLENLELSLEAFDYLQLPFALKQGRVGKLSIKIPWKKLGWDPIEIVLENVFLSAAQRDDQEWTLDEVERRELAGKKAKLAAAELAKLSKRVCENQAGLISYISAKALDSIQVSIKDFHILYHDKLSDSAYTVFGLKLSSLRTMKQNPFGSSGGKGGQDNKFVDITGLEFYCGTFHGAMELMTMNNGGDSELWHDTRSDRERYDSILSPCDVSLSLSVNRSGQLDNNNPQYSINAEITGLVMSLNEVQLQQILILWDYLCTSELRNKYWRYRPWCSLLSKKMKGWQILWWRYAQESILSDVRKRLRKSSWRYFGQRLSSCRKYVNLYKTKLDFLRHYQPIDESTQWELEQMEKELDIDDILSYRSTAECELQEFLSNSSTSHTIENVASISVERIPNDERSAGKSRGWLNWLSRGMLGAGGTDDSGQFSGVVSDEVIKDIYEATEFNPPVLSNQDAPTDKISICALQFSIHQISATLRNMKYGQEIAELMLNGVIVECKFWEESATIVTTVNSGQMVYPCSKKVILHMRRPIIEKKLLDNVHPSCKLQVDLSPNLEVELSIKGMLQPLEVTIDAEFFLNLIDFFGVLKSFEGQHGRVLLSLNGIENVNGRLLSKAEYLLSHLRKVLWDVSIFNIIINVPWRDAISEQHSLVFEAGSLMFRTKCDMGSNPSDNEQAYALNNLLTSVSECKVPLSFQLQDLYDHFEVKLNDFEMKITMPSHTFPISILEKLSASISLARCLIQDESVLKQLEVYINVSSLNAHFSPSLYAAILGLIAYLVALQSSSESVSLETIDSFNVASKGSRSPVFGFSTNVKLETVSCRVELENEKENSTSIMLVFQQLNISYALTELEKFWICMEALRITTSHLTGESNSPILHSNGNQSSTSALHQHGIGLSNRSDDFAKNIVNTEACFILQYESLRKESVHKKCRISLNNADVHCYPNVIRLLIAFFDKLSTYGASDHGNLPSSSTVDAANPEKVNGFGFQRFGFSNFIETGSSEHASIPLDHFPFVTICNSGSLGSLESSLLYASHEWREYFCLREGRMCCPQSNTKKVSENFQATPLESASVVEASDVDGSSADTSSLFVIDITLCEIRVHFHDSSCIVGTITLPTSKSSVLISENCFDILCSTEGLVLTSSWYPQNLRQFLWGPSLPSLSPILNVRVSKEKCGPLSSRIEVSFGVQHVYCILPPEYLAVLIGYFSLPDWSSDSNEQPVIVGCEYTETGNECSLVYKIEILDSTLILPMKSNEGHFLKTELQQLYCSFIDSSSLNNVLNDIPPECWVPAHKLSERNHCLNLFGRDLFLSFLSLKDDGRSYLKFDQDIEHVDIPLVAPLCADVWVKIPCENESSSSPSTICVMTRIKNCQLMAEDAQFFHGFEGLLDAINQFSEVSDVSKCFKSDVPQFLQLKRTLEQNNAVSPVISSITFTEVRCYCDSLSMQLNRFGKGLKEPIAKAEMQFMCSASLRNDDLLSVVLSFSSLALYSLPDSVVLARFKSMCSTSAVLDFSLSKETHGEMELLVSLPSVDVWLYLSYWTDVIDCLKSYPGQLSINEPDNLKQDADVLFVKSENICVTCHFPVWIGDDGWEEYQVDEGHGEGHPNYSSNRKNFRCLAVTLCSKSSELFVDGRNVKVKSNMEKLSGMVLLSEEESGQSWPLFQICQVFLEAQTNNNQMELAHVEVDVQCDHLDVWISHRILYFWHGIPFNVGEGGPSQFSYGGIDFKVQLKKISFLLSDGRWSCSGPLFQILMGNIFLHANMTQNNLKGSVNGDLQVNYNNIHKVFWEPFIEPWKFEVDVIRKQEMSLNSSILTDINIKSTAHLNLNFTESLIEVLLSNASSMPLELRFDIPFGVSPMILDPIYPGQELPLPLHLAEAGRIRWRPIGDSYLWSEVYNLSNLLSQESKIGFLKSFVCYPAHPNSDPFRCCISVRNISLPSSVRSRKTFSPHLKSTLKQSVVDGQISQKLEESKKQFVHQVTLSIPLVVNNYLPKEVTLTIESGGITRTAFLSEVETSFHNVDPSHHLKLEILLHGFKPAVLNFPRNETFCKMAKFAGAKFSLSEIVAFYTDSSNGPIYVTVEKVLDAFSGARELFIFVPFLLYNCTGFPLFISEASSEMKGVSCSVPSCYYMAEQELLHGKKDGLSLVSSSHHLATDSHGLGSSLSRSHIVSARENANPHKEIFLSKPLNPLNSQENFQELSSRSDLDRQNSLFNSSQNQSSSSCQLTLKDSNFYGYERGRARACMFSPNPVSSVGEVTVRASRCLPEYLTENMPNSLWSSPFSLVPPSGSTTVLVPQPSSNAAFMLSVTSSAVAAPFAGRTSAITFQPRYIISNACSKDVCYKQKGTDFVFHLGIGEHSHLHWMDTAMELLVSIRYDEPGWQWSGGFLPDHLGDTQVKMRNYLSGSLNMIRVEVQNADVSMGDEKIVGNFHGNSGTNLILISDDETGYMPYRIDNFSNERLRIYQQRCETVETTVHSYTSCPYAWDEPCYPHRLTVEVPGKRVLGSYTLDDVKEYSPVQLPSSSEKRERTLHLSIHAEGATKVLHVIDSSYHILNDMKKTSVPRLREKRNDEQKQDKCIGFMERISVVIQHIGISMINIHPQELLFACAKNITIDLVQSLDQQKLSFQITSLQIDNQLRSSPYPVILSFDRDYKSNPIGHVNKDDVTKQRSERKLQRTSHSSFEPAFYLAVSKWRKKDVSLVSFEYISLRVADFCLELEQELILSLFGFIKNVSSRFQSRVFSLSDPFLGSHIKDTGLMDSYATVNQLHLMTVPVFNESHKPRLSLPSIVPIGAPWQQIYLLARRQKKIYVEVFDLCPINLTLSFSSAPWMRKNGILTAGESVIHRGLMALADVEGARIHLKQLTIAHQIASLESLQEILVRHYTRQLLHEMYKVFGSAGVIGNPMGFARSMGLGIRDFLSVPARSIFLSPTGLITGMAQGTTSLLSNTVYAISDAATQFSKAAHKGIVAFTFDDQAVSGVEQQQIGVATHSKGVINGVFEGLTGLLQSPIKGAERHGLPGVLSGIALGITGLVAKPAASILEVTGKTAQSIRNRSRFYQMGQQRFRVRLPRPLSRELPLRPYTWEEAVGASALVEADDSFRLKDEILVMCKELRQAGKFVIITHRLVLIVSCSSLLDLGKPEFRGVPADLEWVIESEVRLESVIHADCDQGVVHIVGSSSNIPLRQNQQAKRSSGTGAGRWNNPTVPLIQTNLELAHQEDAENLLQNLLSTIELGKEQGWGCRYLLHRSNIK >ONI15040 pep chromosome:Prunus_persica_NCBIv2:G3:1672855:1674953:1 gene:PRUPE_3G022600 transcript:ONI15040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYENVVGGKLKLKGKALDVKAPGMKKKKKHKKHQDQTSLVTENELSAGGSTELSTNPDEEEINDANKSGEEGKAPHYDDHLTPAERRYIEQRERIDTHRMAKTANKSHRDRIQDFNQYLANMSEHYDIPKVGPG >ONI15041 pep chromosome:Prunus_persica_NCBIv2:G3:1673148:1674950:1 gene:PRUPE_3G022600 transcript:ONI15041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYENVVGGKLKLKGKALDVKAPGMKKKKKHKKHQDQTSLVTENELSAGGSTELSTNPDEEEINDANKSGEEGKAPHYDDHLTPAERRYIEQRERIDTHRMAKTANKSHRDRIQDFNQYLANMSEHYDIPKVGPG >ONI19831 pep chromosome:Prunus_persica_NCBIv2:G3:26531676:26537007:1 gene:PRUPE_3G300200 transcript:ONI19831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSWHMEKRESFKNEPVKDVEHVLENGSLSIIVLGASGDLAKKKTFPALFNLFQQGFLQPNEVHIFGYARTKISDDELRNRIRGYLVRDKGASPKDLEDVTKFLQLIKYVSGSYDTEEGFRLLDKEISETEVSRSGVGGLSRRLFYLALPPSVYPSVCRMIRHCCMNKTDLGGWTRIVVEKPFGKDLESAEQLSTQIGELFEESQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIENVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPISLKPEHIRDEKVKVLQSVLPIEDDEVVLGQYDGYRDDPTVPDHSNTPTFSTVVLRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYGQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDNGDLKPLPYKPGSRGPAEADALLEKAGYVQTHGYIWIPPTL >ONI17157 pep chromosome:Prunus_persica_NCBIv2:G3:14966941:14971759:1 gene:PRUPE_3G141100 transcript:ONI17157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGNLEGLEPVSPNGQYFSSDVITLSVLAVLEFEIPIIDAESQTMSLLKNVFLPISPRFSSIMVENDGKKEWRRVEVKLEDHVMIPIFPSNLSPESYDQYLEDYLSRLSTERYPQGKPLWEVHIIKYPTSNAAGNVVFKFHHALGDGYSLMGALISTLQRADNPSLPLTFPSRQRSESKKRENFFVTKIFSSACNTISDIWWGISKTLKEDDLTPIKSSNDAIEFRPSTIATMTFSLDQLKSIKNKFGVTLNDVLTGMIFFGTRLYMQEIDQSSSTADCTAMVLLNTRLMGDYMPIEEMIKPNSKMPWGNRFTFLQVPIPNLTELSNAEDFIRHTHKMIKRKRNSLAAHFTSRLLEIVNKFGSREASSRYIHRTLKNSSMVISNMIGPVEQMSLANHPIKGFYFLVPGLPQGFDITIVSYMGNVRLAFKMEKGIIDPQKLKSCMENALDMIVKDSNKNPNLNN >ONI15953 pep chromosome:Prunus_persica_NCBIv2:G3:5082748:5084084:1 gene:PRUPE_3G070900 transcript:ONI15953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKLQKYLRDYLSKVKKPPPQILFPSRKWIRSGCKHPKTLSFAINRGQKDAHNDKDDAASLSDIDQFLFENFRSLYLKDDDEVEDDESEKRGKEVRRTEVFWDKTLRLKESRDEHHGTESSGGVLFESPRFNIDPPAVDLCGSHRFFVSPGRASSSVMEEARLSTTTTSEDLGSSSISTPTLNDSATTSNSNNNDHAKDVTLPNDCIAVLTYSPSPYHDFRRSMQDMVESRLRNHAKVDWNFMEELLFCYLNLNEKKSYRFILSAFSDLIVDLRQNSDRSSERSSSSSKF >ONI18675 pep chromosome:Prunus_persica_NCBIv2:G3:22885103:22887772:1 gene:PRUPE_3G232000 transcript:ONI18675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLGCRLSVLASPAASRYNDVNLKRRNKPNRVACKSNLSTFPILSSSRNLQHPNHNLHGWTSTGLPSLHLSATSSTLLRGERGSDQSHKLPVLLRQRKSSTLAQAKKNNSVTIPFPKMEEKPHWWWRTLACLPYLIALQTSDTGFLIRPFTEHYEVFRDLVYYVPGAITRLPVWFTMIYTLPTYYGVVKKKEWPHFFRYHIMMAMLLEMIMQVVEVSSNFFPLIHFDGTFSIEYWAVVSFAYIFILLKCIRCALGGKYVKLPFFSTPALAHTLFEIEGYYKPF >ONI20100 pep chromosome:Prunus_persica_NCBIv2:G3:27203664:27210623:1 gene:PRUPE_3G314900 transcript:ONI20100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLPIPGPSNSRWSEEATAISPGFRVWSRPGSTLWGVFLSLHPYKNAKIRGRRRGQVCCWVPNAVYTPSHTQSSEQGGGGGSTQTQWKPKSGAEGKRRDAVEAVGDAISFVNERIRREQSKRETRTVMDSEEADKYIELVKQQQQRGLEKLRGDASYKVDPYTLRSGDYVVHKKVGIGRFVGIKFDVSNSTAEYVFIEYADGMAKLPVKQASRLLYRYSLPNETKRPRTLSKLSDTSVWEKRKTKGKIAIQKMVVDLMELYLHRLKQRRPPYPKTNAMTHFVSQFPYEPTPDQKQAFIDVHKDLTERETPMDRLICGDVGFGKTEVALRAIFCVVSAGKQAMVLAPTIVLAKQHFDVISDRFSVYPNIKVGLLSRFQTRAEKEEHLDMIKNGRLDIIVGTHSLLGSRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKSHLSAYSKEKVLSAIKHELDRGGQVFYVLPRIKGLEEVMEFLEQSFPNVEIAIAHGKQYSKQLEETMEKFAQGEIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAHAHLFYPEKSLLTDQALERLAALEECCELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKVDEHRVVSVPYWSVEIDININPHLPSEYINYLENPMEIIQEAEKAAEKDIWSLMQYAENLRCQYGKEPPSMEILLKKLYVRRMAADLGITKIYASGKMVFMKTSMNKKVFKLITDSMVSDVHRNSLVFGGDQIKAELLLELPREQLLNWIFQCLAELHASLPALIKY >ONI18423 pep chromosome:Prunus_persica_NCBIv2:G3:21794182:21794784:1 gene:PRUPE_3G214700 transcript:ONI18423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLRHSILSLVMPNFIYNKNRHRTVGRLLCHIEQIGKSVLKFEVDLSFSLLSAINIQDENNQCNA >ONI15470 pep chromosome:Prunus_persica_NCBIv2:G3:3185692:3191847:1 gene:PRUPE_3G044400 transcript:ONI15470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSLFQSPHLFLKPQNPNPPTKPHFPTTTIKCSSSSSSSPPPQPLVPKPPSPPPPSHHPHHNIRDEARRHNTTHNHHFSAHYVPFNADPSSAAAADSESYSLDEIVYRSNSGGLLDVQHDMAALKNYDGKYWRDLFDSRVGKTTWPYGSGVWSKKEWVLPEIDSDDIVSAFEGNSNLFWAERYGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNRPVVGVGCASTGDTSAALSAYCAAAGIPSIVFLPANRISLAQLVQPIANGAFVLSIDTDFDGCMQLIREVTSELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVDRIPRLVCAQAANANPLYLHYKSGWDQEFKPVKANTTFASAIQIGDPVSIDRAVYALKNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRKNGIIGVNDRTVVVSTAHGLKFTQSKIEYHSNDIKDLACRFANPPVQVRADFGSVMDVLKTYLLSKAPKN >ONI19394 pep chromosome:Prunus_persica_NCBIv2:G3:25456723:25458690:-1 gene:PRUPE_3G276700 transcript:ONI19394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKIETGHQDIVHDVAMDYYGKRLATASSDATIKIIGVGSGSQHLATLSAHRGPVWEVAWAHPKFGSLLASCSYDGQVIIWKEGNPNEWQQAHVFNDHKSSVNSIAWAPHELGLSLACGSSDGNISVFTARADGGWDTTRIDQAHPVGVTSVSWAPSMAPGALVGSGLLDPVHKLASGGCDNTVKVWKLYNGTWKMDCFPALQMHRDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTVAKEGEQWEGNVLKDFKTPVWRVSWSLTGNLLAVADGNNNVTLWKESVDGEWQQVSTVDP >ONI18697 pep chromosome:Prunus_persica_NCBIv2:G3:22922939:22926616:1 gene:PRUPE_3G232900 transcript:ONI18697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGVERETGLLLFSDDDLREMSGVNRGSDHIEVTCGCTSHRYGDAVGRLRVFVNGDLEITCECTPGCQEDKLTPAAFEKHSGRETARKWKNNVWVIDNGKKVPLCKTVLLKYHNEASKHANASHRSNNGRACHRDEFVCCTRCNKERRFRLRTKEECRIHHDALADVNWKCAELPYDKITCNDEEERASRRVYRGCTRSPTCQGCTSCVCFGCEICRFSDCSCQTCTDFTRNAKT >ONI18791 pep chromosome:Prunus_persica_NCBIv2:G3:23370090:23371053:1 gene:PRUPE_3G239400 transcript:ONI18791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYNTKVEISMPFFYFFYFYILISKLITTKSIFNPTKKNNTNISCHLQTSQPPPFISQRTKKFKIIYNIKRKKL >ONI16412 pep chromosome:Prunus_persica_NCBIv2:G3:7318080:7321521:-1 gene:PRUPE_3G096800 transcript:ONI16412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEMADKMKYVNEVVLKRRKSNEELALRRKEQLEQRKFRSQKNKQEFIKKPEDFVKEFRYREMDLVHMKHRLKRKRPELETTNSQLLLVIRIQGKNDMHPTVRKTLYSLRLRKIFNAVFVKASDAIIEKLLRVQPYVSYGYPNLKNVRELIYKKGFAKIDKKKVPLTDNNLIEQEMGKHDIICIEDIVHEIATIGPHFKEVTSFLWPFVLNKPEVGLKGSKMAYKNGGDAGDREDKINELISKMN >ONI18541 pep chromosome:Prunus_persica_NCBIv2:G3:22243884:22247657:1 gene:PRUPE_3G221800 transcript:ONI18541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVTLGSLGEKIRLHSRCFCPNVLFINVPENAEGASKVWRQGTKNAPTFSSRSIISRSPPQAVAFEISTTEPKATTTLTYEVKMLRNYVPIFVMLPLGVITVDNVLEDKDKLVKELKELRAAGIDGVMIDVWWGIIESKGPKQYDWTAYRSLFQAVQECELKLQAIMSFHQCGGNVGDVVTIPLPQWVLDIGESDPDIFYTNLKGNRNPEYLTLGVDNRRLFSGRTAVEIYSDYMKSFRENIADFLETGLIIDIEVGLGPAGELRYPSYPESQGWVFPGIGEFQCYDKYLQADFKEAATAAGHPEWELPDNAGEYNDAPESTEFFKSNGTYLTEKGKFFLTWYSNKLLSHGDQILDEANKAFVGCKLKLAAKVSGIHWWYKADNHAAELTAGYYNLKDRDGYRPIARMLSRHRAILNFTCLEMRDSEQSADAKSAPQELVQQVLSGGWRENIEVAGENALSRYDSTAYNQILLNARPNGINRDGQPKLRMYGVTYLRLSDELLQKPNLNLFKTFVKKMHADQDYCPDPKKYNHHLGPLERSKPEISIEQLMEATKAEDAFPWDKETDMSVSGGLLSNLIGKLFSLFK >ONI18542 pep chromosome:Prunus_persica_NCBIv2:G3:22245027:22247657:1 gene:PRUPE_3G221800 transcript:ONI18542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATTTLTYEVKMLRNYVPIFVMLPLGVITVDNVLEDKDKLVKELKELRAAGIDGVMIDVWWGIIESKGPKQYDWTAYRSLFQAVQECELKLQAIMSFHQCGGNVGDVVTIPLPQWVLDIGESDPDIFYTNLKGNRNPEYLTLGVDNRRLFSGRTAVEIYSDYMKSFRENIADFLETGLIIDIEVGLGPAGELRYPSYPESQGWVFPGIGEFQCYDKYLQADFKEAATAAGHPEWELPDNAGEYNDAPESTEFFKSNGTYLTEKGKFFLTWYSNKLLSHGDQILDEANKAFVGCKLKLAAKVSGIHWWYKADNHAAELTAGYYNLKDRDGYRPIARMLSRHRAILNFTCLEMRDSEQSADAKSAPQELVQQVLSGGWRENIEVAGENALSRYDSTAYNQILLNARPNGINRDGQPKLRMYGVTYLRLSDELLQKPNLNLFKTFVKKMHADQDYCPDPKKYNHHLGPLERSKPEISIEQLMEATKAEDAFPWDKETDMSVSGGLLSNLIGKLFSLFK >ONI16671 pep chromosome:Prunus_persica_NCBIv2:G3:9635260:9635554:1 gene:PRUPE_3G114400 transcript:ONI16671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMEEKGNYVARRKGGLVTMPFIFANEICEKLAVVGFNANMISYLTTQLHMPATTKPSTETV >ONI16674 pep chromosome:Prunus_persica_NCBIv2:G3:9663392:9664498:1 gene:PRUPE_3G114600 transcript:ONI16674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINAGNCQPDAVTYGTLVKGFCMKGNNSAAIQLLRKMEEGACKPGLVVYNTIIGSLCKDKLVDDALNLLSEMMSKGIAPNVITYTSLIHGVCKLGKWKEAKGLLNEMVSKNVFPDVCTFSVLVDTLCKEGMVGEAEGVVKMMIERDIQPNTVTYNSLMDGYCLRGEMSEARKVFKLMLSKGLMVDVFNYSTLINGYCKRKMMDEAMTLLREMSRKGLVPNTVTYSTLVDGCCKVGKLGDAQKLFSEMQDCGQLPDVQTYAVLLDGLCKNRQLSTAIQLFKEMEGKKLDADIVIYSILIEGLCIAEKFESAWELFFGLSSRGLQPNVRTYTIMINGLCIGGRTSEAEKLLTEMEERGCPPDDCTYNIII >ONI18547 pep chromosome:Prunus_persica_NCBIv2:G3:22272039:22275403:1 gene:PRUPE_3G222300 transcript:ONI18547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYELHATRLESLKQNVAETLLAASTSNKGGSASTCSVLKLIDSMQRLGVAYHFEQETDAALLSLVSSSTHGTTDDLHTVALQFPDTKRHGISIAQQIVEQSLETPLRWRMPRIEARNFIDIYERDNSKNLALLELAKLDYNLVQSVYQMEIKELSRWWRDLDFKNKASFSRDRLMENYLWAMGINYEPRFSECRIGLTKFVCILTIIDDMYDVYGFLDELEHYTHAVCRWNMEAKEELPEYMKPVYAAMLKFGNELADKVFKNNGLDVLPYIKKEWVSLCKSYLVEARWFYRGYTPTLQEYLDNAWTSVGGPGALLHAYLLQGLGSHLTKTSLESFKHGSEMVYWSSLMTRLSDDLGTSKAESERGDVAKAVECYMEEKGTSEEEAQHYINDLICYSWKKMNEESAKTSWIPKSIVKMSLNMARTALSIFQHGDGIGTSIGVTKHRLISLIASPIAIFHEH >ONI15633 pep chromosome:Prunus_persica_NCBIv2:G3:3722613:3731773:1 gene:PRUPE_3G052600 transcript:ONI15633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPGGGLGMNSMMDDMNLIQQAQRHHLVVRELGEEIDLEIGPGDDDPAFANTPLIGGPPREPSAEDHDESKNMVMVSQLPSDDQDMSKGQPVKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIIADKPIYVKALMSKTAGSIVEAALKRDPHEVEFIQSLQEVVHALERVIAKNSHYVSIMERLLEPERMIVFRVPWVDDRGETNVNRGFRVQFNQALGPCRGGFRFHPSMTLSITKFLGFEQTLKNALSPYKIGGAAGGSDFDPKGKSDNEVMRFCQSFMNEMYRYLGIDKDLPSEEMGVGTREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATGYGLVFFAQLLLADLNKDFKGLRCVVSGSGKIALHVLEKLIAYGALPITVSDSKGYLVDEEGFDYMKISFLREIKAQNRSLRDYSKTYARSKYYDEAKPWTERCDVAFPCATQNEIDQSDAISLVNSGCRILVEGSNMPCTPDAVDILRKANVLIAPAVAAGAGGVVAGEFELNHECNSVNWSPEDFESKLQEAMKQTYQRSLKAAADFGYQKESPEALVHGAIISAFLTLAQAMTDQGCV >ONI15632 pep chromosome:Prunus_persica_NCBIv2:G3:3722758:3731686:1 gene:PRUPE_3G052600 transcript:ONI15632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPGGGLGMNSMMDDMNLIQQAQRHHLVVRELGEEIDLEIGPGDDDPAFANTPLIGGPPREPSAEDHDESKNMVMVSQLPSDDQDMSKGQPVKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIIADKPIYVKALMSKTAGSIVEAALKRDPHEVEFIQSLQEVVHALERVIAKNSHYVSIMERLLEPERMIVFRVPWVDDRGETNVNRGFRVQFNQALGPCRGGFRFHPSMTLSITKFLGFEQTLKNALSPYKIGGAAGGSDFDPKGKSDNEVMRFCQSFMNEMYRYLGIDKDLPSEEMGVGTREMGYLFGQYRRLAGHFQVPETPGSFTGPRIFWSGSSLRTEATGYGLVFFAQLLLADLNKDFKGLRCVVSGSGKIALHVLEKLIAYGALPITVSDSKGYLVDEEGFDYMKISFLREIKAQNRSLRDYSKTYARSKYYDEAKPWTERCDVAFPCATQNEIDQSDAISLVNSGCRILVEGSNMPCTPDAVDILRKANVLIAPAVAAGAGGVVAGEFELNHECNSVNWSPEDFESKLQEAMKQTYQRSLKAAADFGYQKESPEALVHGAIISAFLTLAQAMTDQGCV >ONI14974 pep chromosome:Prunus_persica_NCBIv2:G3:1359617:1362306:1 gene:PRUPE_3G019000 transcript:ONI14974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKVVVPESVLKKRKREEEWALAKKQGLEAAKKKNADNRKLIYNRAKQYSEEYKEQEKELIRLKREAKLKGGFYVDPEAKLLFIIRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATLNMLHRVEPYVTYGYPNLKSVKELIYKRGYGKLNKQRTALTDNSIVEQALGKHGIICTEDLIHEILTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >ONI14641 pep chromosome:Prunus_persica_NCBIv2:G3:13705:18415:1 gene:PRUPE_3G000100 transcript:ONI14641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAQSGWKLSYSNSWVRVVGVSRRSSGAAAKPAIFQASCPPPSPAIAVKIRRKCLSNWRWTQQPPQLLEDKKSDASFFIRCSKYGSSIGAASNTNSGKGYLASTDQELMSQCQMDTFKASGPGGQHRNKRESAVRLKHLPTGLAAQAVEDRSQHMNRASALARLRALIALKVRNTVDLDAYSPPQELLQILPQKSTIRGSDCGPQIGPNNPKFILGMQALLDLIFAVEGSVSEAAKFLGLSTGALSRLILSNDSLRLAVNELRFSKGMKPLK >ONI14642 pep chromosome:Prunus_persica_NCBIv2:G3:13663:18509:1 gene:PRUPE_3G000100 transcript:ONI14642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAQSGWKLSYSNSWVRVVGVSRRSSGAAAKPAIFQASCPPPSPAIAVKIRRKCLSNWRWTQQPPQLLEDKKSDASFFIRCSKYGSSIGAASNTNSGKGYLASTDQELMSQCQMDTFKASGPGGQHRNKRESAVRLKHLPTGLAAQAVEDRSQHMNRASALARLRALIALKVRNTVDLDAYSPPQELLQILPQKSTIRGSDCGPQIGPNNPKFILGMQALLDLIFAVEGSVSEAAKFLGLSTGALSRLILSNDSLRLAVNELRFSKGMKPLK >ONI14640 pep chromosome:Prunus_persica_NCBIv2:G3:13662:17292:1 gene:PRUPE_3G000100 transcript:ONI14640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAQSGWKLSYSNSWVRVVGVSRRSSGAAAKPAIFQASCPPPSPAIAVKIRRKCLSNWRWTQQPPQLLEDKKSDASFFIRCSKYGSSIGAASNTNSGKGYLASTDQELMSQCQMDTFKASGPGGQHRNKRESAVRLKHLPTGLAAQAVEDRSQHMNRASALARLRALIALKVRNTVDLDAYSPPQELLQILPQKSTIRGSDCGPQIGPNNPKFILGMQALLDLIFAVEGSVSEAAKFLGLSTGALSRLILSNDSLRLAVNELRFSKGMKPLK >ONI15707 pep chromosome:Prunus_persica_NCBIv2:G3:4026065:4031566:1 gene:PRUPE_3G056700 transcript:ONI15707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKAASRLRALKGRAGNVGATRFATSAAAIKPASGGIFSWLTGESSSTLPPLETQLPGVNLPPALPDHVQPSKTQITTLSNGVKIASETSPSPAASIGLYVDSGSIYETPESSGASHLLERLAFKSTTNRSHLRIVREVEAIGGHIGASASREQMGYTFDAIKTYVPQMVELLVDAVRNPAFLEWEVNEELKKVRAEIGELSNNPQGLLLEAIHSAGYSGALANPLLASEAALNRLNGSVLEDFVAENYTARRIVLAASGVDHEEIVSIAEPLLSDLPSGPRSEEPQSKYVGGEYRLQASPSETHIALAFEVPGGWRQEKQAILLTVLQLLMGGGGSFSAGGPGKGMHSRLYLRVLNKFQEIQSFSAFNSIFNDSGLFGIYASSASEFGSELVDIAAKELLSIATPGQVTDVQLKRAKESTKAAVLMNLESRMIASEDIGRQVLTYGERKPVEHFLKTVEEVSLTDITTIAQKIISSPLTMASFGNVSNVPSYESVSRHFHAK >ONI19787 pep chromosome:Prunus_persica_NCBIv2:G3:26409262:26410802:1 gene:PRUPE_3G298000 transcript:ONI19787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLLAGYLVFMIVIQVRTELVQAGTRLPFLINSQDLEAFEYVTITGCNNDCDTACCNCDISKQPPLCVLCCREDP >ONI16491 pep chromosome:Prunus_persica_NCBIv2:G3:7911190:7912648:1 gene:PRUPE_3G101800 transcript:ONI16491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKVTVSVSELSVVRPAEESTPRGSLWLSNLDLFPPYHTPLLYFYRPSGWHNFFDPGTLKQALSKALVPFYPMAGRFKHNDQNGRVEIDCNAEGVLFVSGFVVDDFGDFVPTANFLKLIPAVDYSGGISSYPILVLQVTYFKCGGVSLGVGMDHRIADGPSALHFLNTWSDIARGDFTNFKPPFMDRTLLRARDPPRPAFPHIEFQSPPQMKLQRSTSNITTSLFRITYEQLSILKAKSKEDGGGNYTSFEVLAGHIWRCVCMERKLPDDQEAKLLIPTDGRSRLQPPLPPGFFGNVTFRTSEIAAAGDLQSKPTWYATSCVHNALVQMHNDYLLSVIDYIELHAPSQAETVNGISLIGRPFLRINSWIRLPIHDADFGWGRPIFMGPGRPFTFDGKALLLPSATDDGSLSLVISLQSEHMKSFSKLLYDIQGPNLKLAPKL >ONI17495 pep chromosome:Prunus_persica_NCBIv2:G3:18165776:18167110:-1 gene:PRUPE_3G162600 transcript:ONI17495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRRNVLERTTSQQKHKKINNNEVHSVKKNRFLITVNVVGSTGPIRFVVNEDDLVAGVIDTALKSYAREGRLPLLGSDVKDFLLYCANAGSDALSPWESIGSHGGRNFVLSKKQRAVQNVTERKPEMTAPKGSVSSWKAWLNKSFPFKISSH >ONI14855 pep chromosome:Prunus_persica_NCBIv2:G3:884686:887237:-1 gene:PRUPE_3G012900 transcript:ONI14855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKGEERRRRVLLLCGDYMEDYEAMVPFQALQAFGVAVDAVSPGKKAGDICATAITIQVETTDEANSESRGHNFTLNATFDEIEFEEYDGLVIPGGRSPEHLAMNASVVELVRKFSDSRKPIAAICHGQLVLAAAAAVKGRKCTAVSTMKPGLVAAGAHWVEPDTLSVCVADDNLITGVTYYGNPEFIGLFLKALGGKICASERRVLIIIGNYAEDYEVTVPYQTLKVLGCHVDVVCPKKKAGDTCPTAIRDLEGGQTYSEIRGHNFVLTADFESIDASSYDALVLPGGKAPEFLALKEDVIVLVKKFMEARKPVASICHGLEILVAAGVLQGKKCTGYPGIKARVVSSGGTFVEADPIDRCVTDGNLVTAAAWHGQPELISQLMTLLDIRVSF >ONI16259 pep chromosome:Prunus_persica_NCBIv2:G3:6506633:6511183:1 gene:PRUPE_3G087800 transcript:ONI16259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIFSPLLLFLSFMCFRLLGVMPFTVIMSDSGVPSALVDGPQTGFSMNKDGARTDTREQEAVYDIMRATGNDWATDIPDVCRGRWHGIECMPDNNDVYHVVSLSFGALSDDTAFPTCDPTRSHISPSITKLPYLRTLFFYRCFSYNPQPIPSLLGQLGATLQTLVLRENGHVGPIPIELGNLTRLKVLDLHKNNLNGSIPVCLARMTGLRSLDLSGNKLTSIPNFSFPILSIFDLSQNLLMGSIPSSIGACPGLIKMDLGHNQLTGPLPYSIGTLKNLMLMDLSYNRLKGPLPMWIRSLISLQTLILKGNPMGPTPIPSDGFDGMKGLMTLILSNMNLHGPIPSSLGKLPGLRVLHLDGNNFNGSIPTSFRELESVSELRLNDNWLRGPIPFGREMVWRMRRKLRVHNNSGLCYNANSGLEDSGIGPCDTPRP >ONI18878 pep chromosome:Prunus_persica_NCBIv2:G3:23706029:23706794:1 gene:PRUPE_3G245400 transcript:ONI18878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASSTTSPISSPARIHHLWKSPLPYLFGSLGLMLVVISVALVILFCSYRKPSSSEDEEEEKPAKPLNAVLDDERKIAIVIMAGEDKPTHLATEIIITSPTCYCSCSSETDQKA >ONI17818 pep chromosome:Prunus_persica_NCBIv2:G3:19631306:19633924:-1 gene:PRUPE_3G180400 transcript:ONI17818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGEYQPLLLGLDSHSQIPGLSSAAVEDFLEHRPVAARWWPRLVAWESRLLWILSGSSIIVSIFNFMLSFVTLMFCGHLSALELAGASIANVGIQGLAYGIMLGMASAVQTVCGQAFGARKLREMGIICQRAIILHLGAAVLLTFLYWWSGPILRAIGQAEDIALEGQVFARGLIPQLYAFAINCPQQRFLQAQNIVNPLAYMSFGVFLIHILLTWLVVYVVEYGLLGAALTLSLSWWLLVITYGLYIILSPKCKETWTGFSWNAFKGIWPYFKLTLSSAVMLCLEIWYSQGLVLISGLLSNPTVALDSISICMNYLNWDLQFMLGLSAGASVRVSNELGAGNAKVTKFSVFVVNGTSILISIVFSAIVLIFKVGLSKLFTSDSVVIAAVSDLTPLLAISVFLNGIQPILSGVAIGSGWQAIVAYVNLTCYYVIGLPIGCVLGFKTNMGVSGIWWGMIIGVFLQTVTLIILTARTNWDAEVEKAAERLKKAAEIQDSLTDV >ONI15313 pep chromosome:Prunus_persica_NCBIv2:G3:2669425:2670655:1 gene:PRUPE_3G037100 transcript:ONI15313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPGNVNEFRELARLVLPKMYYDFYTGGAEDQHTLKQNVDAFRRFVLQPRVLVDVSKIDMSTTVLGYKISAPIRLLLQLGIREVATARAAAACNTIMVLSNMSTCTVEDVASSCNAVRFFQLYFFFTGIFLVYSFFSFCHAYWGLKLSNGTFPEQVYKRRDISAQIVQRAEKSGYKAIVLTVDAPRLGRREADIKNDEFELTMALCGCPGVMDITRSHVRTECDKLHSML >ONI19040 pep chromosome:Prunus_persica_NCBIv2:G3:24401236:24408635:1 gene:PRUPE_3G255500 transcript:ONI19040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISLPDMAVGIIHHCPTAYSGAHWKYDKNLCLGRRPPIFRTASKRKKNLFCKPHWLCKSRTRILSSMDDSSDTFADVVETSGRNEVLNIEEDELITARKALSEAQARQEAIEKERDQLLEKLACSEAKQQEYVATILHEKELAIAEVEAAKSLFHQKLQESVEEKFSLESKLVLAKNDAVELAVQVEKLAEIAFQQATSHILEDAQLRVSAAETAAAEAAYEIEKQIRDVTEGSILSIVEQSKYAIEKALDVAEKAGEHATKAVSEFTEGMNPLDELASIQSKNIMLQGVVNDLESQLLLTRSDVDRLKLELEKAHAHANAFELRAKDAEKALLEFQESSKKNTLQKEEEIMSLIEKMKKDSSERKKASSKAFKAELQSIRDAIGAAKEMAHSKDDAYLRRCEALQRSLKASEATTKMWRQRAEMAESILCEERPLGEGDEDSIYVVNGGRIDLLTDDDSQKWKLLSDGPRREIPQWMARKIRTIRPRFPPRKIDVAEALSSKFRSLDLPKPNEVWSIAQEKPKEGDTLIEHVIEKETIEKKRKALEHALQGKTIQWQKTPEQTNLESGTGTGREIVFQGFNWESWRKQWYLDLAPKAADLSKIGVTSVWFPPPTESVAPQGYMPSDLYNLNSSYGSVEELKHCIGEMHSQGLLALGDVVLNHRCAQKQSPNGIWNIFGGKLAWGPEAIVCDDPNFQGCGNPSSGDIFHAAPNIDHSKDFVRNDIKEWLNWLRNDIGFDGWRLDFVRGFSGTYVKEYIEASVPAFAIGEYWDSLAYENGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHNQYWRLIDPQGKPTGVLGWWPSRAVTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPVIFYDHLYDFGLHDILTELIEARRRAGIHCRSAVKIYHANNEGYVAQIGDTLVMKLGHFDWNPSKENHLEGSWQTFVDKGSDYKLWLRQ >ONI15112 pep chromosome:Prunus_persica_NCBIv2:G3:1945817:1948450:-1 gene:PRUPE_3G026500 transcript:ONI15112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVADGGNDPYIYSTNDFVGRHIFEFDAEAGTPEERAEVEEARLNFYNNRYQVKPSSDLLWRLQFLKEKNFKQTIPPVKVEDGEEITYEKATASLRISVHFLSAMQASDGHWPAENAGPLFFLPPLVMCTYIIGHLNTVFPAEHRKEILRYIYYLLKYNPSADFLELKSSSIFCFPAKSI >ONI19548 pep chromosome:Prunus_persica_NCBIv2:G3:25786223:25787649:1 gene:PRUPE_3G284400 transcript:ONI19548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSDSIPSVNKAWVYSEYGQSADVLKFDPNVAVPEIKEDQVLIKVVAASLNPIDFKRMLGYFKEIDSPPPTVPGYDVAGVVVKVGSQVTKFKVGDEVYGDLNENALVNPKKFGSLAEYTASEERVLALKPQNLSFVEAASLPLALETAYEGLERTEFSAGKSILVLGGAGGVGTHVIQLAKHVFGASKVAATASTKKLDLLRSLGADLAIDYTKDNFEDLPEKFDVVYDAVGKILHCCFLTILYMMFSSIRGMYPPIEVWKGISML >ONI19547 pep chromosome:Prunus_persica_NCBIv2:G3:25786034:25789009:1 gene:PRUPE_3G284400 transcript:ONI19547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSDSIPSVNKAWVYSEYGQSADVLKFDPNVAVPEIKEDQVLIKVVAASLNPIDFKRMLGYFKEIDSPPPTVPGYDVAGVVVKVGSQVTKFKVGDEVYGDLNENALVNPKKFGSLAEYTASEERVLALKPQNLSFVEAASLPLALETAYEGLERTEFSAGKSILVLGGAGGVGTHVIQLAKHVFGASKVAATASTKKLDLLRSLGADLAIDYTKDNFEDLPEKFDVVYDAVGQSDRAVKAVKENGKVVTIFGSVTPPALTFVLTSTGTILEKLKPYLESGKVKPVLDPTSPYPFSKTIEAFAYLETSRATGKVVVHPIP >ONI15471 pep chromosome:Prunus_persica_NCBIv2:G3:3194818:3201205:1 gene:PRUPE_3G044500 transcript:ONI15471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKQSIEQFKGRARLPNFAIPRRYDLHLRLDLSACTYSGTVQINVSIVEETKFLVLNALELDVHEVCFTNSHGQQYRPSDVVLDGDEEFLVLVFDQALGVGEGVLGIGFSAVLDAHLKGFYKCTYLDGGEKKNMAVTQFEPVDARRCFPCWDEPALKATFKIAVDVPSELTALSNMPIISEKLDANVKTVYFEESPIMSTYLVAVVVGLFEHIEDTTSDGVKVRAYCPVGKSDKGEFALNLAVKTLDLFSKYFSTPYSLPKLDMVAVPEFSGGAMENYGLITYRENEMLYDHLHSTTARKQRMAIVVAHEVAHQWFGNLVTMEWWTDLWLNEGFATWVSYMATDILFPEWKIWSQFLQQTTGGLVKDALEQSHPIEVEIHQARSILEVFDDISYKKGSAVIRMLQSYLGDDIFQKSLSSYIKRFSGKNAKTEDLWSVLSEESGVKVSEMMDAWTKKKGYPVISVKAKEHILEFEQTQFLSSGLQGDGNWIVPINFSLASYDRHKSFLLETKSREVDISDLVDSFDNEQLWVKINIYQSGFYRVNYEDKLAARLRKAIEHNSLEATDKFGILDDAYALCEACEQSLSSLLSLMDVYRKEVDYIVLTNLINVCYNVVKISSEAIPDSANDLKQFFINLLLFPAERLGWDSIPGEDHFSALLRAEILQALVIFGHDQTQKEALDRFQTLLNDRNTPLLSADTKGAAYIAVMRNASISNRKDFESLLNVYREANTVQEKERILRFFASSPDPDTVLEVLNFFLSDEVRDQDIIYGLIGISLECREIAWIWLKENWDLILSKYGAGLLLTHFVRDIVTPLCSNEKADEVEEFFASRVHPVISMTLKQSIAQVRIKARWVEHIRQQQSVQELVKELAGKK >ONI15472 pep chromosome:Prunus_persica_NCBIv2:G3:3194818:3201205:1 gene:PRUPE_3G044500 transcript:ONI15472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKQSIEQFKGRARLPNFAIPRRYDLHLRLDLSACTYSGTVQINVSIVEETKFLVLNALELDVHEVCFTNSHGQYRPSDVVLDGDEEFLVLVFDQALGVGEGVLGIGFSAVLDAHLKGFYKCTYLDGGEKKNMAVTQFEPVDARRCFPCWDEPALKATFKIAVDVPSELTALSNMPIISEKLDANVKTVYFEESPIMSTYLVAVVVGLFEHIEDTTSDGVKVRAYCPVGKSDKGEFALNLAVKTLDLFSKYFSTPYSLPKLDMVAVPEFSGGAMENYGLITYRENEMLYDHLHSTTARKQRMAIVVAHEVAHQWFGNLVTMEWWTDLWLNEGFATWVSYMATDILFPEWKIWSQFLQQTTGGLVKDALEQSHPIEVEIHQARSILEVFDDISYKKGSAVIRMLQSYLGDDIFQKSLSSYIKRFSGKNAKTEDLWSVLSEESGVKVSEMMDAWTKKKGYPVISVKAKEHILEFEQTQFLSSGLQGDGNWIVPINFSLASYDRHKSFLLETKSREVDISDLVDSFDNEQLWVKINIYQSGFYRVNYEDKLAARLRKAIEHNSLEATDKFGILDDAYALCEACEQSLSSLLSLMDVYRKEVDYIVLTNLINVCYNVVKISSEAIPDSANDLKQFFINLLLFPAERLGWDSIPGEDHFSALLRAEILQALVIFGHDQTQKEALDRFQTLLNDRNTPLLSADTKGAAYIAVMRNASISNRKDFESLLNVYREANTVQEKERILRFFASSPDPDTVLEVLNFFLSDEVRDQDIIYGLIGISLECREIAWIWLKENWDLILSKYGAGLLLTHFVRDIVTPLCSNEKADEVEEFFASRVHPVISMTLKQSIAQVRIKARWVEHIRQQQSVQELVKELAGKK >ONI16670 pep chromosome:Prunus_persica_NCBIv2:G3:9624805:9627115:1 gene:PRUPE_3G114300 transcript:ONI16670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFTLAFLLTIIYTSGLYAYSSTTTTTISAATITTTTTASTAVPQLPTTVFNTLLYYAAKSNDTFKISYADIKPILDALRKCSTPCNFLIFGLTHETLLWKALNNNGRTVFIDKNRYFAAYTWRRNTRKSMRTTCSTQQNRKSSRSWWRCQKSKYGMSAGRCRKKNFVVPPTKISSSPTPFQIKICHCIKDQFFIILSSSYLYA >ONI19908 pep chromosome:Prunus_persica_NCBIv2:G3:26726721:26730797:1 gene:PRUPE_3G304500 transcript:ONI19908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCFLSVRPPPPPLITCHFSPSAVRAPPAVSAPAPASAAPSPSLSPNATPPPSLTCALHCPHFESCSGCTHEFNLHRPVIVDEATEFFKAVGVSDFTFDSCKLWGWRCRAKLAVRGSSENPLIGLYQEGTHNVVDIPQCKAHHPSINAAVELLKQGITELSIEPYDEDEGTGDLRYVQMAVTTHDTSLPASERYKNGKVQVALVWNSRNENSPNSEKLNALANFIWRNGGTRSKVNLVHSVWANFQTSTNNIIFGNRWRHLLGERDFWEHVGGIDISLAPSSFGQANTRAFDTLLRKLQRYVPQGSSVADLYAGAGVIGLSLAVTRKCRSVRCIEINKESKLSFEKTVDRLPNLVDSSISWHLADTSKEPLSWITGSDVLVVDPPRKGLDASLVDALRSISSAEYKAKSYSESSSSKEKDEKRPWMLRAREASVQIGSKITSEDSKTLPRTLIYISCGWESFKEDCELLLSSKAWKLEKAHGYNFFPGTQSIEVLAVFKRGQGISLKKKKLGKKKKKHL >ONI19436 pep chromosome:Prunus_persica_NCBIv2:G3:25590111:25591277:-1 gene:PRUPE_3G279900 transcript:ONI19436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTVSAFSPLASLRTRNHSHSLAIPIPSPNLSFRNVSTSFQRLTHKDQRSQETLIPVEEEDSEQQEQPVSVPVSPSDTLTMLFQADGTMSDAAIPSVTNALEETQGITNLKVEVVEGIATVELTKQTTVQATGVASSLVETIQSSGFKLQTLNLSFDEEEEIAV >ONI18234 pep chromosome:Prunus_persica_NCBIv2:G3:21185008:21185223:1 gene:PRUPE_3G203800 transcript:ONI18234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIAKGNYLAGAVKFQGPCKAPVSVRVKGTLQALAEPEKLKSQDGWVVFQNIDGLTVSGGGTFDGQGSIA >ONI18110 pep chromosome:Prunus_persica_NCBIv2:G3:20761559:20763706:-1 gene:PRUPE_3G197200 transcript:ONI18110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHPPALTSTLALSALFLFLLSSTSQAHNITRILAKHPELSSFNHYLTLTHLATEINRRQTITVLAIDNAAMSSLLSKGLSVYTIKNVLSLHVLVDYFGAKKLHQITHGTTLASSMFQATGVAAGTSGYVNITNLKGGKVGFGAQDNDGKLNSFYVKSVQEIPYNISVLQISQVLTSAEAEAPTAGPSQLNLTAILSKQGCKAFADLLISSGADTTFETNIDGGLTVFCPTDAVINGFLPKYKNLTTSQKVSLLLYHGIPVYQSLQMLKSNNGLVNTLATDKANKFDFTVQNDGEVVSLETEVVTAKITGTLIDEEPLVIYKVNKVLQPTELFKVKTAPAPKEVAEGPDDSADAPGSDDSDDQTADSDKNGDAGLDGGRLGMVVLSLCVGVLLM >ONI16802 pep chromosome:Prunus_persica_NCBIv2:G3:10975633:10977483:-1 gene:PRUPE_3G123000 transcript:ONI16802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTISCIGEGWRLCTAASFISCIILIFFLNHSHDRVNLSTLGNIAIKSTLPANESDANMKLLQVADLKEHMKQINKEDKCNVFDGKWVYDPKQSPFYQGAQCPFLSDQVSCQRNGRADFMYEKWSWEAKGCKIPRFNGTDMLERLRGKRVIVVGDSLNRNQWESLACLLYSALPPSQTQVDVESGIYKVFTAKEYNCSVEFYWSPFLMQLEINQENGARILRLDRVADSAKKWIGADVMVFNTGHWWVHHGKIKAWDLFQNGGKTVENMEIESAFEKAMNTWASWIDQNVDVTKTRVFFRSISPEHKGKLWCYNKTKPITDDSYETTFPRKIIEAIERTIQRMKTPVTYLNITKLSQYRRDAHPMIYKTKQWKQLAMQQRRPESSADCSHWCLPGLPDTWNRLLYASLLLDTSEVILSS >ONI18148 pep chromosome:Prunus_persica_NCBIv2:G3:20873761:20874402:1 gene:PRUPE_3G199600 transcript:ONI18148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLKKSEVDSCYGGGDVTKAAESSSTPKTPIELAIDSILHDFEMPEGSRTWYQSDLDDLDTDRDGFICLDEFNAFWVSGSKDGDAAELRDAFDLYDQDRNGLISANELHLVLNQLQMTCSLENCNRMIKTIDADGDGNVNFEEFKKMMGNNAST >ONI16069 pep chromosome:Prunus_persica_NCBIv2:G3:5700585:5704195:1 gene:PRUPE_3G077300 transcript:ONI16069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDYSFTADEMAIDDGLGYPKAYAKLCRDRSSGPYSHGPPFTFMPYCLQQQEDLRARDLEQMFPIIDPKAKPTTRPKIFVSLLWKQLNHLGNAGFDPAVIRVDPYGNVLYYHADSASPLAWDIDHWFPCSRGGLTVPSNLRILQWQACKKKHNMLEFLIPWWDFQLGISVNQFLSIFASSNSDFRHRAFSFLFSDGENEELNSSQNVDSHSFPQHYVESKEHVGLAPAAIVVSRRESYGSSSVLKSLDYNKQIQPYSPAIAARKGNRIVSNENENPNFITNPYQGIVVARDSLKQREQTAKMQGEIQNLDDEVNELRQKNEEEKLTIQNLELTLIKRRRRAEKCRRLAEAQSSYRTTLEKMIRDAMHQSVIYKEQLRLNQAASSALMARLEAQKAMCDASEKELHKKYKQRDELETQIRPEWEQARKRSRTDDALAEERESKTVLYLPASKPRRVLHKELRVFLEEEQKASNAALSQSEEDGQIELNEELQRPTKRLSIDNLEEKARYIDALEDENLIENKMRTLEIEERNHKFQFPVLREPDIEEDEESRKQRGKGNVEKWLQILLDGSPEEFGPQNENESEIDKTGDIIRKMNLKYPQEVENLKRPEAVVKKGVPVNDEKRQSIVQEKDGNAHELGTPVKGVVSRKSFEGKERRDQSNGKERKLARSESARAFRRIPSSPSIILGMKKGVDCMRKKPMVTSDDEESYATENNFIKSSMKTIKKAVKL >ONI14839 pep chromosome:Prunus_persica_NCBIv2:G3:718592:722340:1 gene:PRUPE_3G011600 transcript:ONI14839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSQRPLLIALLTLSIFAISATATRPCKTLFISSYSFSLRRLPSSSSSSGFVTVVTEISRLRPVRYDDLVLQPHEEDDKRTQAASLFPLIGTSSASSSSYDLNSLRDRTRDILSIVVSLLFGVGCGALTAATMYLAWSVFFSRYDNGSASSFDDDFYDASPKKIGYVKIPEVAADSVPTPPSPVKEAAAV >ONI14838 pep chromosome:Prunus_persica_NCBIv2:G3:718592:722340:1 gene:PRUPE_3G011600 transcript:ONI14838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSQRPLLIALLTLSIFAISATATRPCKTLFISSYSFSLRRLPSSSSSSGFVTVVTEISRLRPVRYDDLVLQPHEEDDKRTQAASLFPLIGTSSASSSSYDLNSLRDRTRDILSIVVSLLFGVGCGALTAATMYLAWSVFFSRYDNGSASSFDDDFYDASPKKIGYVKIPEVAADSVPTPPSPVKEAAAV >ONI14837 pep chromosome:Prunus_persica_NCBIv2:G3:718592:722408:1 gene:PRUPE_3G011600 transcript:ONI14837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSQRPLLIALLTLSIFAISATATRPCKTLFISSYSFSLRRLPSSSSSSGFVTVVTEISRLRPVRYDDLVLQPHEEDDKRTQAASLFPLIGTSSASSSSYDLNSLRDRTRDILSIVVSLLFGVGCGALTAATMYLAWSVFFSRYDNGSASSFDDDFYDASPKKIGYVKIPEVAADSVPTPPSPVKEAAAV >ONI14840 pep chromosome:Prunus_persica_NCBIv2:G3:718592:722340:1 gene:PRUPE_3G011600 transcript:ONI14840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSQRPLLIALLTLSIFAISATATRPCKTLFISSYSFSLRRLPSSSSSSGFVTVVTEISRLRPVRYDDLVLQPHEEDDKRTQAASLFPLIGTSSASSSSYDLNSLRDRTRDILSIVVSLLFGVGCGALTAATMYLAWSVFFSRYDNGSASSFDDDFYDASPKKIGYVKIPEVAADSVPTPPSPVKEAAAV >ONI17112 pep chromosome:Prunus_persica_NCBIv2:G3:14596558:14603558:1 gene:PRUPE_3G138400 transcript:ONI17112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRQGTQSSSFDSDSEPYNIIPVHNLLADHPSLRFPEVRAAAAALRAVGNLRRPPYAQWQPHMDLLDWLALFFGFQYDNVRNQREHIVLHLANAQMRLNPPPDNIDTLDGAVLRKFRRKLLKNYTEWCSYLGKKSNIWISDRPRDTASDQRRELLYVSLYLLIWGEAANLRFVPECLCFIFHNMAMELNKILEDYIDENTGQPVMPSVSGENAFLNSIVKPIYETIKAEVESSKNGTAPHSVWRNYDDINEYFWSKRCFEKLKWPVDIGSNFFVTSSKSRHVGKTGFVEQRSFWNLFRSFDKLWIMLILFLQAAIIVAWEEREYPWQALEEREVQVKVLTVFFTWAGFRFLQSLLDVGMQYSLVSRETLGLGVRMVLKSVVAAGWIIVFGVFYGRIWTQRNQDRQWSSEANKRVVNFLLVAAVFILPELLALVLFILPWVRNFLENTNWKIFYMLSWWFQSRTFVGRGLREGLVDNIKYTLFWIFVLATKFFFSYFMQIKPMIRPSKALVKMKDVNYEWHQFFGNSNKFAVGLLWLPIVLIYLMDLQIFYAIYSSLVGAGVGLFAHLGEIRNIGQLRLRFQFFASAIQFNLMPEEQLLNARGTLRSKFNDAIHRLKLRYGLGRPYKKLESNQVEATKFALIWNEIILIFREEDIISDCELELLELPQNSWNVRVIRWPCFLLCNELLLALSQAKELVDAPDKWLWYKICKNEYRRCAVLEAYDCIKHLLLDIIKRNTEEHSIMTVLFQEIDHSVQIEKFTKTFKTTALPQLHAKLIKLVELLSKPEKDANQVVNALQAIYEIAIRDFFKEKRTTEQLMEDGLASRNPASSAGLLFENAVELPDPNNVFFYRQVRRLHTILTSRDSMQNIPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYSEEVLYNKEQLRTENEDGISILYYLQTIYVDEWKNFKERMRREGMGSDDEIWTTKLRDLRLWASYRGQTLTRTVRGMMYYYRALKMLAFLDSASEMDIREGSQELGSMMRDISLDGLTSERSPSSRSLSRTSSRVNLLYKGHEYGTALMKYTYVVACQIYGTQKAKKDPHADEILYLMKTNEALRVAYLDEVSTGRDEKEYYSVLVKFDQKLEKEVEIYRIKLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRRYYGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGFNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFLRMLSFFYTTVGFFFNTMMVVLTVYAFLWGRLYLALSGIEGSILADDTSNRALGTILNQQFIIQLGLFTALPMIVENSLEHGFLQAVWDFLTMQLQLSSVFYTFSMGTRTHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAIELGLILIVYASHSPVAKATFVYIAMTITSWFLVLSWFMAPFVFNPSGFDWLKTVEDFDDFMNWIWYRGSVFAKAEQSWERWWYEEQDHLRTTGLWGKILEIILDLRFFCFQYGIVYQLGIAAGSTSIAVYLLSWIFVFVAFGIFVVIAYARDRYAAKDHIYYRLVQFLVIKLAILVIIALLEFTEFKFVDIFTSLLAFIPTGWGMILIAQVFRPWLQRTILWNAVVSVARLYDVLFGVIVMTPVAVLSWFPGFQSMQTRILFNEAFSRGLRIFQLVTGKKSKGDKV >ONI17148 pep chromosome:Prunus_persica_NCBIv2:G3:14785927:14791015:-1 gene:PRUPE_3G140300 transcript:ONI17148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLEPNAAAAAAATATATATMTTKMIDETYEFSAPRFYDFIKDESDDDKRQAERWFDAALPYAPSPFMPRIKAGRSFTVESMCDFSEAEEMQKNLESSSELKANDKSVETKPQSELIPAEVKVDIIPRVAKEESNVSADKSISEVDKEEDQTAKKIASIVRNPSALKQKSHLQSSQTKNIKPSSVKREKKVKNATGTPNLAQENQAIKRQKLDGGRSRHILNPKPQTLPHKSKLGHTSGSFNLSSSTATMQKEDRKVYVRESAAPFVSMAEMMKRFQSSTRDLSMQHVNGSLSQMKPKLTLTRPKEPEFETSQRVRSVRVKSTAELEEEMMAKIPKFKARPLNKKILEAPSLPAIPRSTPQPPEFQEFHLETMARANQNAETASVASTEVSRQNNQGKSHHLTEPKTPVLHTSLRARPPRVKSSFEIEQEELERIPKFKAKALNKKIFESKGDLGVFCNAKKNVTKPQEFHFATNERIPPPPSSIFDIFDKLSLNSEPHQNPIPRNTTPNPFHLHTEERGAEKERKFITVVWQKQLEEERARVPKANPYPYTTDYPVIPPKPEPKQCTKPEPFQLESLVRHEEELQRDMEERQRLEKEAAQIRLFKAQPILKEDPIPVPEKVRKPLTQVQQFNLHVDHRAVGRAEFDQKVREKEMMYKRYREESDAARMVEEEKALKQLRRTLVPHARPVPNFEHPFCPQKCPKEPTKAKSPHLRVLERKERRRMMINSAISSAAAQMR >ONI17147 pep chromosome:Prunus_persica_NCBIv2:G3:14785927:14790929:-1 gene:PRUPE_3G140300 transcript:ONI17147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLEPNAAAAAAATATATATMTTKMIDETYEFSAPRFYDFIKDESDDDKRQAERWFDAALPYAPSPFMPRIKAGRSFTVESMCDFSEAEEMQKNLESSSELKANDKSVETKPQSELIPAEVKVDIIPRVAKEESNVSADKSISEVDKEEDQTAKKIASIVRNPSALKQKSHLQSSQTKNIKPSSVKREKKVKNATGTPNLAQENQAIKRQKLDGGRSRHILNPKPQTLPHKSKLGHTSGSFNLSSSTATMQKEDRKVYVRESAAPFVSMAEMMKRFQSSTRDLSMQHVNGSLSQMKPKLTLTRPKEPEFETSQRVRSVRVKSTAELEEEMMAKIPKFKARPLNKKILEAPSLPAIPRSTPQPPEFQEFHLETMARANQNAETASVASTEVSRQNNQGKSHHLTEPKTPVLHTSLRARPPRVKSSFEIEQEELERIPKFKAKALNKKLSLNSEPHQNPIPRNTTPNPFHLHTEERGAEKERKFITVVWQKQLEEERARVPKANPYPYTTDYPVIPPKPEPKQCTKPEPFQLESLVRHEEELQRDMEERQRLEKEAAQIRLFKAQPILKEDPIPVPEKVRKPLTQVQQFNLHVDHRAVGRAEFDQKVREKEMMYKRYREESDAARMVEEEKALKQLRRTLVPHARPVPNFEHPFCPQKCPKEPTKAKSPHLRVLERKERRRMMINSAISSAAAQMR >ONI17149 pep chromosome:Prunus_persica_NCBIv2:G3:14786145:14790800:-1 gene:PRUPE_3G140300 transcript:ONI17149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLEPNAAAAAAATATATATMTTKMIDETYEFSAPRFYDFIKDESDDDKRQAERWFDAALPYAPSPFMPRIKAGRSFTVESMCDFSEAEEMQKNLESSSELKANDKSVETKPQSELIPAEVKVDIIPRVAKEESNVSQANVSADKSISEVDKEEDQTAKKIASIVRNPSALKQKSHLQSSQTKNIKPSSVKREKKVKNATGTPNLAQENQAIKRQKLDGGRSRHILNPKPQTLPHKSKLGHTSGSFNLSSSTATMQKEDRKVYVRESAAPFVSMAEMMKRFQSSTRDLSMQHVNGSLSQMKPKLTLTRPKEPEFETSQRVRSVRVKSTAELEEEMMAKIPKFKARPLNKKILEAPSLPAIPRSTPQPPEFQEFHLETMARANQNAETASVASTEVSRQNNQGKSHHLTEPKTPVLHTSLRARPPRVKSSFEIEQEELERIPKFKAKALNKKIFESKGDLGVFCNAKKNVTKPQEFHFATNERIPPPPSSIFDIFDKLSLNSEPHQNPIPRNTTPNPFHLHTEERGAEKERKFITVVWQKQLEEERARVPKANPYPYTTDYPVIPPKPEPKQCTKPEPFQLESLVRHEEELQRDMEERQRLEKEAAQIRLFKAQPILKEDPIPVPEKVRKPLTQVQQFNLHVDHRAVGRAEFDQKVREKEMMYKRYREESDAARMVEEEKALKQLRRTLVPHARPVPNFEHPFCPQKCPKEPTKAKSPHLRVLERKERRRMMINSAISSAAAQMR >ONI16527 pep chromosome:Prunus_persica_NCBIv2:G3:8238031:8241404:-1 gene:PRUPE_3G104500 transcript:ONI16527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGCKKTTLIPRQSSYNSLYVNPLTDQKHNRSYSEGVSLNTKNHNLEPNPSILNHNKENAMPYKQEQAALDNDKENLVAQENGSSFLMPLKQKNLKSLSTGCRALKPSSLQFCMQMNEPDKVLFGGSTIWDPPSNGSENSSSLKIWDYSDSEAAPASSWSTLPNKSLLCRPLPMDVGRCTCVIVKEACPEGLDGGTLYSLHTNEGKGRQDRKLAIAHHKRRNGKSELTIAQNVKGILSHSDDSFIGSVNANLMGSKYHIWDQGSCPNSLSRQSKSLLAVVSFTPTILSWTGSYRRLRACIAKHQSMQLKNTTQVQHIKGLPKEWEEKLDKVHQLCSRVPNYNTISKRYELDFRDRGRAGLRIQSSVKNFQLTLEENGKQTILQLGRVGKSKYVMDYRYPLTGYQAFCICLASIDSKLCCTV >ONI16526 pep chromosome:Prunus_persica_NCBIv2:G3:8237592:8241676:-1 gene:PRUPE_3G104500 transcript:ONI16526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGCKKTTLIPRQSSYNSLYVNPLTDQKHNRSYSEGVSLNTKNHNLEPNPSILNHNKENAMPYKQEQAALDNDKENLVAQENGSSFLMPLKQKNLKSLSTGCRALKPSSLQFCMQMNEPDKVLFGGSTIWDPPSNGSENSSSLKIWDYSDSEAAPASSWSTLPNKSLLCRPLPMDVGRCTCVIVKEACPEGLDGGTLYSLHTNEGKGRQDRKLAIAHHKRRNGKSELTIAQNVKGILSHSDDSFIGSVNANLMGSKYHIWDQGSCPNSLSRQSKSLLAVVSFTPTILSWTGSYRRLRACIAKHQSMQLKNTTQVQHIKGLPKEWEEKLDKVHQLCSRVPNYNTENGKQTILQLGRVGKSKYVMDYRYPLTGYQAFCICLASIDSKLCCTV >ONI16529 pep chromosome:Prunus_persica_NCBIv2:G3:8237592:8241676:-1 gene:PRUPE_3G104500 transcript:ONI16529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGCKKTTLIPRQSSYNSLYVNPLTDQKHNRSYSEGVSLNTKNHNLEPNPSILNHNKENAMPYKQEQAALDNDKENLVAQENGSSFLMPLKQKNLKSLSTGCRALKPSSLQFCMQMNEPDKVLFGGSTIWDPPSNGSENSSSLKIWDYSDSEAAPASSWSTLPNKSLLCRPLPMDVGRCTCVIVKEACPEGLDGGTLYSLHTNEGKGRQDRKLAIAHHKRRNGKSELTIAQNVKGILSHSDDSFIGSVNANLMGSKYHIWDQGSCPNSLSRQSKSLLAVVSFTPTILSWTGSYRRLRACIAKHQSMQLKNTTQVQHIKGLPKEWEEKLDKVHQLCSRVPNYNTISKRYELDFRDRGRAGLRIQSSVKNFQLTLEISFDRLPSVLHMFGFY >ONI16528 pep chromosome:Prunus_persica_NCBIv2:G3:8238031:8241404:-1 gene:PRUPE_3G104500 transcript:ONI16528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGCKKTTLIPRQSSYNSLYVNPLTDQKHNRSYSEGVSLNTKNHNLEPNPSILNHNKENAMPYKQEQAALDNDKENLVAQENGSSFLMPLKQKNLKSLSTGCRALKPSSLQFCMQMNEPDKVLFGGSTIWDPPSNGSENSSSLKIWDYSDSEAAPASSWSTLPNKSLLCRPLPMDVGRCTCVIVKEACPEGLDGGTLYSLHTNEGKGRQDRKLAIAHHKRRNGKSELTIAQNVKGILSHSDDSFIGSVNANLMGSKYHIWDQGSCPNSLSRQSKSLLAVVSFTPTILSWTGSYRRLRACIAKHQSMQLKNTTQVQHIKGLPKEWEEKLDKVHQLCSRVPNYNTVGLRKDISKRYELDFRDRGRAGLRIQSSVKNFQLTLEENGKQTILQLGRVGKSKYVMDYRYPLTGYQAFCICLASIDSKLCCTV >ONI16295 pep chromosome:Prunus_persica_NCBIv2:G3:6705493:6708895:-1 gene:PRUPE_3G090300 transcript:ONI16295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSLNTEPAGDDDADEFEIEGDCAMTDFISQTGIIQGENPLPPVVGMEFDSYEDVYYFYNCYAKQQGFGVRVSNTWYRKSKERYRGKLSCSSAGFKKKSEANRPRPETRTGCPAMIKFRLMDSNRWRVIEVELEHNHLISPASGKFYKSHKSVGVGTKRALQLDTAEEVQKIRLFRTVIIDSEGNGSIDVDEGESGNRVDYSNQLKLKEGDAQAVQNYFSRLQLMDPNFFYVVDLNEKGCLRNLFWADARTRVAYSYFCDIVAIDATCLENKFEVPLVSFIGVNHHGQSVLLGCGLLASETVESYTWLFRAWLTCILGRPPQAIITSQCRTLQTAISDVFPRASHCLCLSHIMQKFPENLGGLFEYEAIKESFSRAVYYSLRVEEFEAAWEDMVQRHGIRDHKWLQALFDDRKRWVPVYLKDIFLAGMSPVQPSEVVSSYFKEFLHKDTPLKEFLDKYDQALQTHHRLEALADLDSRNSSYMLKSGCYFELQLSKVYTNDILRKFESEVEGMYSCFSTSQLNPDGPVITHIVKEQTEVDGNRREVRDYEVLYNPSEMEVLCICGMFNLRGYLCRHALSVLNQNGVEEIPAQYVLSRWRKDIERNYIFDHSCSGIDINNPVHRYDHLYKCIVQVVEEGRKSQDRYKVAFGALDEILNKLCLTEDPAL >ONI16296 pep chromosome:Prunus_persica_NCBIv2:G3:6705492:6708895:-1 gene:PRUPE_3G090300 transcript:ONI16296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSLNTEPAGDDDADEFEIEGDCAMTDFISQTGIIQGENPLPPVVGMEFDSYEDVYYFYNCYAKQQGFGVRVSNTWYRKSKERYRGKLSCSSAGFKKKSEANRPRPETRTGCPAMIKFRLMDSNRWRVIEVELEHNHLISPASGKFYKSHKSVGVGTKRALQLDTAEEVQKIRLFRTVIIDSEGNGSIDVDEGESGNRVDYSNQLKLKEGDAQAVQNYFSRLQLMDPNFFYVVDLNEKGCLRNLFWADARTRVAYSYFCDIVAIDATCLENKFEVPLVSFIGVNHHGQSVLLGCGLLASETVESYTWLFRAWLTCILGRPPQAIITSQCRTLQTAISDVFPRASHCLCLSHIMQKFPENLGGLFEYEAIKESFSRAVYYSLRVEEFEAAWEDMVQRHGIRDHKWLQALFDDRKRWVPVYLKDIFLAGMSPVQPSEVVSSYFKEFLHKDTPLKEFLDKYDQALQTHHRLEALADLDSRNSSYMLKSGCYFELQLSKVYTNDILRKFESEVEGMYSCFSTSQLNPDGPVITHIVKEQTEVDGNRREARTISP >ONI18629 pep chromosome:Prunus_persica_NCBIv2:G3:22718207:22721263:1 gene:PRUPE_3G228100 transcript:ONI18629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLSPATTSQLCSSKSAMFSSAQALAVKPRRTQMVGKGKGMRVTCQASSIAADRVPDMGKRKLMNLLLLGAISLPSGIMLVPYATFFVPPGSGSGAGGQVAKDALGNDVIASEWLKTHGPGDRTLTQGLKGDPTYLVVEKDRSLATYGINAVCTHLGCVVPFNTAENKFICPCHGSQYNEQGKVVRGPAPLSLALAHADIDEGKVVFVPWVETDFRTGDAPWWS >ONI17889 pep chromosome:Prunus_persica_NCBIv2:G3:20034265:20035525:-1 gene:PRUPE_3G185800 transcript:ONI17889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSGTGTMSNNPNEWLQFYNQTLSSSQAQVSHGHISSGINTSTFFGHDQVSEATVVTTTPSAVAATSAVGSANPGSSTNSLSPDQGRVSKPARKRSRASRRTPTTLLNTDTTNFRAMVQQFTGGPNTASFPSGGSSFSFGLAPPRPQGFMVSPGYHPLQPQQHQQHHHHQYQQQQQRQNQQYLVGAGVGDGFLQRLSSSSSRGGLTTNMGFGGPSDHHEFLREGMSSSQVPSAARPGANAANENRSGSFMF >ONI15399 pep chromosome:Prunus_persica_NCBIv2:G3:3016383:3022559:-1 gene:PRUPE_3G042400 transcript:ONI15399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGPSSSPKPLQPSNPNSIDPTAASTAAATNIIINQNPSNSSANPPIPSPSALAQSPQISSPPLPPLSNISLPQNQHITPTVGLDYTQKLNQQQQQQQSQSLVQQSQNVNVNSMSNYQLQQTLQRSTSMSRVNQLQQQTQNQQQQQHFGMMRQQGGSYPQVNFGGSGAIQQQNQLQQQNQPQQSQQQIGGGNLSRSALMAQSGHLPMLSSAAAVAAAQFNLQPQMLASPRQKGGLVQGSQFHAGNSPAQSLQGTQAMGMMGSINLSSQLRANGALASFAQQRMNSGQLRQQLAQQSSLTSAQVQSLPRTPSLAFMNPQPSGMTQNGQPAMVQNSLSQQWFKQMPTISGTGSPSFRLQQQRQQQVLYQQQLASQKSMPLNQQQLSQLVQQQQQLSHPQLQQQQQQQLQQLPQHQQQQQQIQQQSQQQPLNQQQQNSPRMAVPAGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQIDSQGRLDPEVEDLLLEIADDFIDSVTTYACNLAKHRKSSTLESKDVLLHLEKNWNLTIPGFSSEERKYQNKSLSSDLHKKRLDMIRTLMESSHLETNTNNPKEMMRGFGNPVGANHLRPSLGAEQLVSQSTGSQMLQQMTRF >ONI18739 pep chromosome:Prunus_persica_NCBIv2:G3:23093557:23094405:-1 gene:PRUPE_3G235800 transcript:ONI18739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSFWVAAIMVALLLFPNSMSARHIARPHSRHGRHLKSSVLIPKSTIREGAVEERRPISYKRIRGPDTLQVAGSSLPDCSHACGSCSPCRLVMVSFICASIAEAETCPMAYKCMCHNKSYPVP >ONI15551 pep chromosome:Prunus_persica_NCBIv2:G3:3483578:3488763:1 gene:PRUPE_3G049500 transcript:ONI15551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQLNLLLVQMCLIVGVLPFHGSAEHAVSPKSPLSFGWKRHEKLVALPPRKAFQHILPSDYSPDKASHPHSAIWPSSIAVPPTSSEGPAKKWVHGPISSPSISHHKHHHKSKKFHSSAPEPTYSRQGPAVSPLQSPFSSTSSWAAAAPSPTTLTSHYNMPVFPPTVSPVGSSLKNTKAPPPSPILALPPPPPSEDCSSITCTEPLTYTPLGSSCGCVRPIQVQLQLGVAIYTFFPLVSELAEEIAASVALNHSQVRIMGADAVSQQLEKSAVLINLVPTGITFDGTTAFQIYDKFWRRQVSIKPSLFGDYEVFYVHYPGLPPSPPSASSSISTTDDGPYTGKDNNGRAIKPFGVDVPRRKRDGLGKSMIAVIVVSSFTAFLLCVGVVWLLLLKCGSCHSHQLEKFPQTLTSSPTKLSGAPRSVMFGSMRGSGSTSLSSGTLNYTGSAKTFTLNDMERATNNFDASRILGEGGFGLVYSGILDDGREVAVKVLKREDHHGSREFLAEVEMLSRLHHRNLVKLIGICTEGHTRCLVYELVPNGSVESHLHGIDKETDPLDWDARIKIALGAARGLAYLHEDSNPRVIHRDFKASNILLEYDCTPKVSDFGLARAALEEGKRHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDLSQPPGQENLVAWARPLLTSKEGLEMIIDPALKPSDISWDSVTKVAAIASMCIQPEVSHRPFMGEVVQALKLVCNEFKETQEVGASTSCGQDDLFIHIDSGSLEASQSQQEQELPGFGAGHGTKIPSTSDLLSAPVGLEVEGQEFGSFRRYSSSGPLRTGKRKQFWQRLRSLSRGSMSEHGFPMKLWL >ONI18720 pep chromosome:Prunus_persica_NCBIv2:G3:22983848:22984945:1 gene:PRUPE_3G234200 transcript:ONI18720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNQRGGEGIQMSHGFWVDMEQGKDGGDRENHAHGLHPTKLEKGREGEKCDSRRKRRGGEEGQTTSPTRCGAEGVGGFCFFASVSWLVSDSCVFGQSNKSINILQKKSTLFYFSFNVVFV >ONI19161 pep chromosome:Prunus_persica_NCBIv2:G3:24787821:24789898:-1 gene:PRUPE_3G262500 transcript:ONI19161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCMSTPSKTIKSRKKHCQQVIKRHKKATCSASDSTKKRNTSFKKINSDAGDCMSDYAVSEFVYVDFENGATTTHRRSKVPNSTFHLTQLQWHHSQYDLNVICQEEADSVSILESDSDDDFISIHGDGFPLASNPVGNISSGQVLQYERSARFVDNGCKYEEYQSYMKIDGGKSDKITGKDERRESNQFSLISTQGYELSRLGKADEVCSKRKNILDHSYGSFKGLIEDGRDSNEKIQDNALKSGLSQLVPYVSFNDKILSAESLVLQSQRKPLAVFRLSFKRRASDAEETIEQCQSKRFLYWPRPGYIIPFCKVEMPTSGSWSEIPPSTFKFGGENYFKLRKSTAPNYSPYTPIGVDVFVCPKKIHHIAQHLELLKVKANGKLPTYPAAMFLRDSDGEEMSLVMYFKVSENFDKDISPQFQDSIKKMVDDETEKVKGFANGIAVLLCS >ONI19573 pep chromosome:Prunus_persica_NCBIv2:G3:25833058:25836981:1 gene:PRUPE_3G285500 transcript:ONI19573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLISTNFRSSPTFSPVPLFSRFPNRKLITFPLSKSISLHKSPSSTGSPILSLLHHKTQKRSLIPYKTYCPPCLHKLLLTTKNPFSTALDSLLILCTSVALALSLFIADVDPASAFVVTPPRKLQSDELATVQLFQENTPSVVYITNLAARQDAFTLDVFEVPQGSGSGFVWDKDGHVVTNYHVIRGASDLRVTLADQSTFDAKVVGFDQDKDVAVLHVDAPKDKLRPIPIGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSTGSLIGINTAIYSPSGASSGVGFSIPVDTGLLPTKRDAYGRLILGDIITSVNGKKVSNGSDLYRILDQCKVGDKVTVEVLRGDKKEKIPVVLEPKADET >ONI19572 pep chromosome:Prunus_persica_NCBIv2:G3:25833058:25836981:1 gene:PRUPE_3G285500 transcript:ONI19572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLISTNFRSSPTFSPVPLFSRFPNRKLITFPLSKSISLHKSPSSTGSPILSLLHHKTQKRSLIPYKTYCPPCLHKLLLTTKNPFSTALDSLLILCTSVALALSLFIADVDPASAFVVTPPRKLQSDELATVQLFQENTPSVVYITNLAARQDAFTLDVFEVPQGSGSGFVWDKDGHVVTNYHVIRGASDLRVTLADQSTFDAKVVGFDQDKDVAVLHVDAPKDKLRPIPIGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSTGSLIGINTAIYSPSGASSGVGFSIPVDTVNGIVDQLVRFGKVTRPVLGIKFAPDQSVEQLGVSGVLVLDAPANGPAGKAGLLPTKRDAYGRLILGDIITSVNGKKVSNGSDLYRILDQCKVGDKVTVEVLRGDKKEKIPVVLEPKADET >ONI19609 pep chromosome:Prunus_persica_NCBIv2:G3:25924122:25927913:1 gene:PRUPE_3G287300 transcript:ONI19609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGTAAAPAAENPSGNTKFADKLPEEINEMKIKDEKEMETAVVNGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVSKHYSKANQRMPLIYVKLYTYQICRALAYIHGGIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPSLRCTALEACVHPFFDQLRDPNARLPNGRPLPPLFNFKPQELKGATFELLSRLIPEHARKQCAFLGI >ONI19608 pep chromosome:Prunus_persica_NCBIv2:G3:25924122:25927882:1 gene:PRUPE_3G287300 transcript:ONI19608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGTAAAPAAENPSGNTKFADKLPEEINEMKIKDEKEMETAVVNGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVYRVSKHYSKANQRMPLIYVKLYTYQICRALAYIHGGIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPSLRCTALEACVHPFFDQLRDPNARLPNGRPLPPLFNFKPQELKGATFELLSRLIPEHARKQCAFLGI >ONI17155 pep chromosome:Prunus_persica_NCBIv2:G3:14907930:14909080:-1 gene:PRUPE_3G140900 transcript:ONI17155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRLFVSTLLVILMLGLASSSSQAYKFIVGGKDGWVLSPSENFNHWAERHRFQVNDTLLFKYKKGSDSVLVVTKEDYFSCNTKTSKQSLTDGDSIFKFERSGPFFFISGNAENCQKGQKLIVVVLAVRSKTQHTPPSEAPPSPSSSAPSPLVADPPAVSPSPLSDLDTNAPSQPPLPRENSAARGSDVGLLAFICTVISIGASTAVLGNFVV >ONI17372 pep chromosome:Prunus_persica_NCBIv2:G3:17153501:17156619:-1 gene:PRUPE_3G155000 transcript:ONI17372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPIYSHSRLSIVCKKSMCKCNPSNLLGNWNSFASKFSQWIGTFGCFFFSGEIYTMKLF >ONI17373 pep chromosome:Prunus_persica_NCBIv2:G3:17154236:17154418:-1 gene:PRUPE_3G155000 transcript:ONI17373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPIYSHSRLSIVCKKSMCKCNPSNLLGNWNSFASKFSQWIGTFGCFFFSGEIYTMKLF >ONI18539 pep chromosome:Prunus_persica_NCBIv2:G3:22238120:22239410:1 gene:PRUPE_3G221600 transcript:ONI18539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRTTLVSLVVVTMLIELAMAASYNVGGPNGGWDSTTDLQTWASSQTFLVGDNLNFQYAPSHDVVEVPKADYDSCQASNSIQSYSGGSTTIPLSSPGKRYFICGTTGHCSQGMKLEVDTLAPSTAPTASPLSPAPQESPSIPAPSSVDTPLAPEASSASPVQSPESAPTLSPALPSELPISPASNPTDIPSTEAPTSISRTGSSAQPSSSSLNCKLGSLQASLTVGFSAVVIMLFLSP >ONI14755 pep chromosome:Prunus_persica_NCBIv2:G3:393678:397212:1 gene:PRUPE_3G006500 transcript:ONI14755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIAFNTVGAPVRIFSQLSHPRSSYPLLGGIRLHRVALTRFSSSLSSSSGSAGIRAQVAAVEQSSAAVSQNMEAPVVIVTGASRGIGKAVALALGKSGCKVLVNYARSSKEAELVSKEIEASGGQALTFGGDVSKEEDVAAMIKTVVDAWGTVDVLVNNAGITRDGLLMRMKTSQWQEVIDLNLTGVFLCTQAAAKVMMKQRKGRIINIASVVGLVGNVGQANYSAAKAGVIGFTKSVAKEYSSRSINVNAVAPGFIASDMTAKLGEDIEKKILGTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >ONI14756 pep chromosome:Prunus_persica_NCBIv2:G3:393678:397212:1 gene:PRUPE_3G006500 transcript:ONI14756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIAFNTVGAPVRIFSQLSHPRSSYPLLGGIRLHRVALTRFSSSLSSSSGSGIRAQVAAVEQSSAAVSQNMEAPVVIVTGASRGIGKAVALALGKSGCKVLVNYARSSKEAELVSKEIEASGGQALTFGGDVSKEEDVAAMIKTVVDAWGTVDVLVNNAGITRDGLLMRMKTSQWQEVIDLNLTGVFLCTQAAAKVMMKQRKGRIINIASVVGLVGNVGQANYSAAKAGVIGFTKSVAKEYSSRSINVNAVAPGFIASDMTAKLGEDIEKKILGTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >ONI15469 pep chromosome:Prunus_persica_NCBIv2:G3:3174833:3176135:1 gene:PRUPE_3G044300 transcript:ONI15469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPKARSWGFFVYWFISLGGFSSGSGFRVLRRKGYIYNQIQGFELLEGAATPPWQVTFLLPPPVSSSPTPIPTSPSPSPIPIVTPNKTESTTTTKPSPPYIDPILSQPLSLSLSLSLSLKTCQESRYPFPSRSTTLVAKPSRMTTPDLTTSVLALQRPKNLATKTVAWPRASGVGSGLINCSNELLVRLSKRKKAVVCI >ONI17285 pep chromosome:Prunus_persica_NCBIv2:G3:16412031:16426097:-1 gene:PRUPE_3G150300 transcript:ONI17285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSTTKTQTLDSTRLFLTALATFSHQPNPPQLSFPPIPSTFPHSKLIPKTRFSVDAFRHAGDHSVSYFLSHFHSDHYGGLSPNWAKGVVFCSQTTARLLNEVLKISSLFVVALPLDEAVVIDGCEVVLIDANHCPGAVQFLFKVPGFNGKFERYVHTGDFRFSGSMKSDPFLCEFVGSDAIFLDTTYCNPKFVFPLQEESVNYIASLIETVGGEYKSSMKNVLFLVATYVIGKEKILLEIARRCNRKVHVDARKMAVLRVLGYGESGVFTEDECESDVHVVGWNVLGETWPYFRPNFVKMKEIMVEKGYSKVVGFVPTGWTYEVKRNKFSVRSKDSFEIHLVPYSEHSNYDELREYVRFLKPKHVIPTVGLDVEKLDSKHANKMQKHFAGLVDEMANKKEFLRGFLLGSTEVGDNVENESNNGPIEGLDQEKETMPSDKDTVHMELSSSLQEPDPQNPMVLDDEEVEKIIQELRDCLPTWVTQEQMLDLIGSSGGDIVESVSKFYERETEFHDQVISSTNAVSESQTSSLCDSGSLPKGGSVTSSPYGSTDVPSSQEYISLKPRNVIKSGISPGKRARNTNNKVNKRVKLNSKLDSRGPKQLAITKYFSKVLPDVQETLEIGSMDEQNLKDESLPHDDTKSYRDEIDQFLQIIDGTESLESYAATILRKTNGDINEALNIYYCNREVRSGKNEAGLVVDSGKMKPTADSSVEVSLQENVKTTVLSLPPEKYNPTEDACWSRGQRAPYLHLARTFDLLEDEKGKIKATSMLCNMFRSLLALSPEDVLPSVYLCTNKIAADHENVELNIGGSLVTSALEDACGTSRSKIREMYNELGDLGDVAQACRQTQKLLAPPSPLLIKDVFFALQKISVQTGSGSTGRKKSLILNLMRSCREKEMKFLVRTLVRNLRIGAMMKTVLPALAQAVVMNSSHNFNHEGALQSLKDRLQLHSAAVVEAYNVLPNLDLVVPSLMDKGIGFSSSTLSMVPGIPIKPMLAKITNGVQQALKLLGNKAFTCEYKYDGQRAQIHKLVDGSVRVFSRNGDESTSRFPDLIKIINESCKPDAVTFILDAEVVAIDRKNGLKLMSFQELSSRGRGSRDTSITLDSIKVDICVFVFDIMFANGQQLLGFPLRKRRKYLKDMFYDEKLGYFEYAKEMTVEADDACLTSEATLTKINCFLENAFLSSCEGIMVKSLDVDAGYSPSKRTDTWLKVKRDYMEGSNDSLDLVPIGAWHGNGRKAGWHSPFLMACYNPDTEDFQSVCRVMSGFSDSFYTEMKSFFSGDKILSRKPPYYKTAEAPDMWFPPELVWEIRGADFTVSPVHQAAVGLVHPSRGISIRFPRYVRTLADRKPDECSTSEDIAAMFCSQTRKMDIAED >ONI16193 pep chromosome:Prunus_persica_NCBIv2:G3:6187175:6190454:-1 gene:PRUPE_3G083700 transcript:ONI16193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPPPAPYYAPPPPAYYAPPLMPPPPKRYSPWLVPLIFLVNLGLFIWIMYENNCPSRISKDQCMLGQYLDRFSFQPWKDNRMVGPTPETLQRLGGLDRKLVVDGGEPWRLLSSMWLHAGLIHLFANMLSLDFVGLRLERDFGFYRFVFVYVLAGLGGNLASCLNIIKHDWSSKTISVGASGAIFGLLGASLSELITNWTVYDDKCSTIMILILNAALNLAIGFLLKMDNSGHVGGLVAGFFLGFVLFVKPQFGYVSSKYIPSYHQVKRTPRHNFCQYFLGLVALAVLVILYGVGFGKLFNIKEIKEHLPDSVKNY >ONI19868 pep chromosome:Prunus_persica_NCBIv2:G3:26635374:26639539:-1 gene:PRUPE_3G302400 transcript:ONI19868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALQALVANIQGLSSAGDISHLHVVLKQAPDSLYAESTRLLPVLDQLDPSKHSLGYLYILEACSSGSISKEQGSALVLPIATFINSCDTEQIRLAPDKFLSVCKRFKDQVTVLEEPLRGVAPMLTAIRKIQTSSEHLTSLHPEFLLLCLLSKCYKAGLSILGDDIFEVDQPRDLFLYCYYGGMICIGQKRFQKALELLHNVVTAPMTVINAIAVEAYKKYILASLIHHGQFSTSLPKYTSSVAQRNLKNFCQPYIELANSYSTGKIEELETCVQKYKEKFENDNNLGLVKQVISSMYKRNIQRLTQTYLTLSLQDIANSVQLNSAKEAEMHVLQMIQDGEIFATINQKDGMVRFLEDPEQYKTCQMIEHIDSSIQRIMALSRKLTAMDENISSDALFLGKVGRERQRFDFDDFDTVPQKFNI >ONI15924 pep chromosome:Prunus_persica_NCBIv2:G3:4999207:5000741:1 gene:PRUPE_3G069200 transcript:ONI15924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQETIHNSKNFFHKTLGNLKSFFFGGYKKLPKTLSFNPFYCGRDPKYHQTDQFYTDFYDDWESTLDKANKRDNASKEPTKEDDACSGSSLDFSKQSPKKSKQEGGLKQKKQMGSSHHLGKKEVQSSLQSMNGGSLAKKMKEFEMVDTSDVEQVLDVEEALHYYSRLKSPVYVDIVDKFFLDMYSDFSVPRASININNSKRRLGSQRLGSIRL >ONI18576 pep chromosome:Prunus_persica_NCBIv2:G3:22377948:22379462:1 gene:PRUPE_3G224300 transcript:ONI18576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRAAKKRRLLMEQENKHNLKIKDGLSDLPDDVLHHTLSFLPIKSAAHTSTLSKSWLLDWVPCLVKHRVEKLVLYVWLVHSLDLPCSLFECNSLRSLTLEATRSEHCLLINNPDTRFWLPSSCARATSGLRSLSLTGVDFAGNVLFSDPSSFPSLEKLTVDHCRRISHLKICCANLKCIEVCNMGIKSLDISGMKLEKLTVKLCFNGCGKGSSVKILAPNLQTFCWNDNDFAEKCLIQSFPKLMEVGSLCLSYRILEILSKIYLEFGGLPYSFMNLKTLQIYTDLRKADIPAIACIFRSSPAVRNLTITITAGCDIHSPPAGWENQAQSLSSFLCHLKVVNIVVWTNTIHESVINVVRFLLQHGRALQKFVISSWHSKVHDQLATLFPRASTRLEGSWFYTNFVNT >ONI16087 pep chromosome:Prunus_persica_NCBIv2:G3:5790388:5797539:-1 gene:PRUPE_3G078100 transcript:ONI16087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIPSGSTWVAQWGIRPQTMLRPCAMNKMLTSQCCVTSKIGYLGAPSSSFFSRDSLNALFCAGPSQTSHRSRGARFIVRADSDFYSVLGVSRNASKSEIKSAYRKLARSFHPDVNKEPGAETKFKEISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGTGDFSNPFDLFESLFEGMGGMGGMGGMGGMGGRGSRSRAVDGQDEYYNLVLNFKEAVFGVEKEIEISRLESCGTCNGSGAKPGTKPSRCSTCGGQGQVVQSARTPLGVFQQVMTCSSCGGSGETSIPCNTCSGDSRVRRTKRISLKVPAGVDSGSRLRVRNEGNAGRRGGSPGDLFVIIEVIPDPVLKRDDTNILYTCKVSYIDAILGTTIKVPTVDGMVDLKIPAGTQPNTTLVMAKKGVPLLNKSNMRGDQLVRVQVEIPKRLSNDEKKLIEELASLSKGKATSRR >ONI16086 pep chromosome:Prunus_persica_NCBIv2:G3:5790953:5794802:-1 gene:PRUPE_3G078100 transcript:ONI16086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIPSGSTWVAQWGIRPQTMLRPCAMNKMLTSQCCVTSKIGYLGAPSSSFFSRDSLNALFCAGPSQTSHRSRGARFIVRADSDFYSVLGVSRNASKSEIKSAYRKLARSFHPDVNKEPGAETKFKEISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGTGDFSNPFDLFESLFEGMGGMGGMGGMGGMGGRGSRSRAVDGQDEYYNLVLNFKEAVFGVEKEIEISRLESCGTCNGSGAKPGTKPSRCSTCGGQGQVVQSARTPLGVFQQVMTCSSCGGSGETSIPCNTCSGDSRVRRTKRISLKVPAGVDSGSRLRVRNEGNAGRRGGSPGDLFVIIEVIPDPVLKRDDTNILYTCKVSYIDAILGTTIKVPTVDGMVDLKIPAGTQPNTTLVMAKKGVPLLNKSNMRGDQLVRVQVEIPKRLSNDEKKLIEELASLSKGKATSRR >ONI16439 pep chromosome:Prunus_persica_NCBIv2:G3:7521382:7523749:1 gene:PRUPE_3G098100 transcript:ONI16439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSAAYDDTSLDLNTKPLRLFDDTPIKKEVHSKILIDFGRQLSANEESGALLEELQRVSAENKKLTEMLTVMGESYNALRSQLLDYMSKNPEKELSPISKKRKSESSNNTNTNTNTNSNNIINGAVNGNSESSSSDGESCKKPREETIKAKISRAYVRTEASDTTSLVVKDGYQWRKYGQKVTRDNPCPRAYFKCSFAPSCPVKKKVQRSVEDQSILVATYEGEHNHSHPSQIEATSGSNRGMTLGSVPSSSSLASSGPTITLDLTKSKSSADTKSTKTRTETPEVRKFLVEQMASSLTKDPDFTKALAAAISGRILQHNSY >ONI14895 pep chromosome:Prunus_persica_NCBIv2:G3:1069970:1077800:1 gene:PRUPE_3G015000 transcript:ONI14895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVATANVDKLKSEVAALSQISDNEKNGFINLVSRYVSGGEAEHVEWSKIQTPTDEVVVPYDGLAPTPDDPAEIKTLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIETLNNKYGSSVPLLLMNSFNTHDDTQKIVEKYTKSNVQIHTFNQSQYPRLVVEDFSPLPSKGQTGKDGWYPPGHGDVFPSLKNSGKLDLLLSQGKEYVFVANSDNLGAVVDLKILNHLIHKKNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFNHAIGINVPRSRFLPVKATSDLLLVQSDLYTLEDGFVIRNKARTNPANPTIELGPEFKKVGNFLSRFKSIPSILELDSLKVSGDVWFGAGVVLKGKVTITAKSGVKLEIPDNAVLENKDINGPEDL >ONI14897 pep chromosome:Prunus_persica_NCBIv2:G3:1069970:1077800:1 gene:PRUPE_3G015000 transcript:ONI14897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVATANVDKLKSEVAALSQISDNEKNGFINLVSRYVSGGEAEHVEWSKIQTPTDEVVVPYDGLAPTPDDPAEIKTLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIETLNNKYGSSVPLLLMNSFNTHDDTQKSQYPRLVVEDFSPLPSKGQTGKDGWYPPGHGDVFPSLKNSGKLDLLLSQGKEYVFVANSDNLGAVVDLKILNHLIHKKNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFNHAIGINVPRSRFLPVKATSDLLLVQSDLYTLEDGFVIRNKARTNPANPTIELGPEFKKVGNFLSRFKSIPSILELDSLKVSGDVWFGAGVVLKGKVTITAKSGVKLEIPDNAVLENKDINGPEDL >ONI14896 pep chromosome:Prunus_persica_NCBIv2:G3:1069857:1077800:1 gene:PRUPE_3G015000 transcript:ONI14896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVATANVDKLKSEVAALSQISDNEKNGFINLVSRYVSGGEAEHVEWSKIQTPTDEVVVPYDGLAPTPDDPAEIKTLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIETLNNKYGSSVPLLLMNSFNTHDDTQKIVEKYTKSNVQIHTFNQSQYPRLVVEDFSPLPSKGQTGKDGWYPPGHGDVFPSLKNSGKLDLLLSQGKEYVFVANSDNLGAVVDLKILNHLIHKKNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFNHAIGINVPRSRFLPVKATSDLLLVQSDLYTLEDGFVIRNKARTNPANPTIELGPEFKKVGNFLSRFKSIPSILELDSLKVSGDVWFGAGVVLKGKVTITAKSGVKLEIPDNAVLENKDINGPEDL >ONI17604 pep chromosome:Prunus_persica_NCBIv2:G3:18805129:18807642:1 gene:PRUPE_3G169000 transcript:ONI17604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLALMDPYGTNPGPIDGSVLYDQEKHVSSAVWDGQERGALRCHEHTSKLDQWTLTEKQIELVDQAGFGYLRLIPAISLDNPLISALVERWRRETNTFHLNVGEMTVTLKDVALLLGLAIDGEPVIGITHTTCNSVCERYLGRAPESSYTSGGMVKLSWLKEFFSYCPEDAPLELIECHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFDLCGKYAWGSAALAFLYRALGNASLRSQSTISGCLTLLQCWSYFHLNIGRPKLNTDSMHDRFPLVLSWKGKQSGPTTNRDVVFYRKALDSLKSCDVEWLPYRNMDSTVIPEDIKSNLILGRSKTMLICFDKAERHLPNRCLRQYGMFQSIPEDVQRWERKSRGVDGGVDLSGKMESEINEWLERRFHIVEGDDGADESDYMQWYLRITRKFVGRPISLSSEFQRTNAGLREIARMADTFSTNGLDEEQVDLINSIRTIAHECLRDQVGGPYIVSATPQIEVGKRIRGKERVRRKGTGKRLRKDDPAQYIAASEDDQSQYCGATVRVEQLPLHHLHREEDHSQLCPVDNEVTGLDMINGDGEGENMQLCNADIGFDHSELNHEAGEEGNAELIHAVVKVDDTQLFEATGKINDSQLCDVMNEVKESQFSDSAKVVDSQICDATNEVGDSELPHVTSESDRQTSKVEAEVVLESSLETPQDIAQQSKCSVVV >ONI18899 pep chromosome:Prunus_persica_NCBIv2:G3:23800540:23801154:1 gene:PRUPE_3G247000 transcript:ONI18899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEEQDKLAESLNDLFTSVSTMVKSELQGSTNHLDLLEKMNLRVAEEYKGFGDVASGLSVFVEQLKSKSGSFEEYAKQIDVIEQQVTEFEAVISVLDRYVSMLESKVQSVYQNPSPS >ONI15992 pep chromosome:Prunus_persica_NCBIv2:G3:5245894:5247171:-1 gene:PRUPE_3G073000 transcript:ONI15992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRVMCLVMGCLVLGFLVAQSSAVDNPGCYGNCVDKCRKTKGLFGVAACGVICIAECAFDSPSLHSVPKNSHYFCKVGCASSLCASLLDQENPDIEKVGGCVDSCSDRCASNTFLPPNKN >ONI17377 pep chromosome:Prunus_persica_NCBIv2:G3:17365901:17367408:1 gene:PRUPE_3G155400 transcript:ONI17377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGVIVELQRNSPNWGTVVEDIVKLEQKIFPKHESLARSFDAELRKKNSGLLYREVDGEVAGYVMYSWPSSLSASITKLAVRDACRKQGYGEALLTAAIQKCRTRNVQRITLHVDPLRTPAVNLYKKLGFKIDNLIEGYYSSDRNAYRMYLDFDASQ >ONI18843 pep chromosome:Prunus_persica_NCBIv2:G3:23574811:23576582:-1 gene:PRUPE_3G242900 transcript:ONI18843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHAIDLPNGKYIQPNNTHKNLFLLALTLVLLITIPLCLNNISNSPLPSPKSNAGSSKSIEKEDQCQIFTGNWVPYPDGPAYYTNETCNLIIDQQNCMKFGRPDTEFMTWRWKPDACELPLFDAAQFLELVRGKSLAFLGDSVGRNQMQSLLCLLATVTYPEDISHKYSSNTDYFKRYVYNDHNFTIANLWSPYLVKSRDVDPRGNDFNSLMSLYLDEPDEAWLTLVEQFDYVIVSVGHWFFRPLIYHENGRVIGCHKCEQDNMTSFVTSYGYRKAFRTLFKTLGSLKNYKGVTFLRTFSPSHFENGAWNEGGNCARTKPFTKEETKLDGYVLEMYLTQVEELKAAEEQGLQRGLQRGLQFRLMDTTEAMLLRPDGHPNFYGHSPHRNMTLADCVHWCLPGPIDAWNEILLYMLKTGYRPP >ONI16300 pep chromosome:Prunus_persica_NCBIv2:G3:6721549:6731646:1 gene:PRUPE_3G090600 transcript:ONI16300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWALLSLPLNLPPSSSPLPSILNPFKPKSQIGWLASCSGSRRRARVIASAADQEKTEDKDEDKDSSAFNPFGFVTDNPSSRSAIQIIESPAESGNVGQMLYRIEDKGKEYGKYVRSGEHVWFVRETGSAESQRGTVIFLHGAPTQSYSYRNVMSQMSELGFHCFAPDWLGFGFSDKPQPGYGFDYKEEEFHEEFDKLLDVLGVKSPFYLVVQGFLVGSYGLTWALKSRSRISKLAILNSPLTVSSPVPGLFKQLRIPLFGEFTCQNAVMAERFIEAGSAYVLKLEKADVYRLPYLSSSGPGFAILEATRKANFNNISTQIASGFASGSWDIPTLVAWGIADKYLPQSVAEEFQKGNPTAVKLKLIEGAGHMPQEDWPERVVTALRVFF >ONI18175 pep chromosome:Prunus_persica_NCBIv2:G3:20959256:20962524:1 gene:PRUPE_3G200900 transcript:ONI18175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLEPKTDDQASEGVGSSTSLLIQTINTSGQYVRRKRWLRYIALGVFISSRSKKTRLPHEAPTYEPLEAPAPPAPAFQHAIDIPLQDRFLNKVGRIVREKNLNALRGLGGVAGILPLLSSHFEDDGVDGGQNPQGWNTTKSPVDAKSFSNFLLKACNQYTVFFLLLSAGFSFAIEFMKQGVKQGWHDGVAILFAVFLLVAFPSVGNYLHERKLVRKHLLDRSRLMVNVERSNREPTSVNISSVVVGDIVHLKEGDRVPADGLFIDHGEDLMLDEVLNPKIDCQQNPFVLSGSKVIKGHGRMVVTCIGAKTVFAEMHSLGTNHNPNEKTLLQDLLDKPFDCMDYLAVCVSLLIALVVLIRLLFFRKHDNYNDRPELKGEGSMNLVMRIFEKIFLKPQGRFSTLAGVLATAVIGIQHGMPFAITAKPQNLSACVTMGLITVICIETTGELMCSPGEVKEFWMGGKDLCSDEVDSEADQVVLETLHQGISATSSPTKDLLISWLKTRWGANMELLNETGNTIEQRQLSSDEKCSGILVEKIVNDEQILQLHCNGDASTILHKCSHYNDNRGESKTMKNQNRRFKQVINKMEENGLRPIAFAYKKTEVHEVTEDGLILLAIVGVRRPYQEELKLAVEALKRVGVSIKLVSEDELSTVRARASQLGISPGSNDMEIEGEVFRRLNSMERQDKMDMISLMGRSLPKDKFLMVDRLRKKGHIVAFYGGLTISDTPTLKEADVGVIDDIRSTEMARENADLIVRNVCLLAPIWKSGACAYHNIQQFSQLQLTACISGLLITLVATMHSGESPLSAVHLIWVNLIMCLLGGLMMVMELRGPELLTQRPAKRTESLITPVIWRNIAIQVSSQASVLLILHFMGNAVPSMDQGIRNTMIFNTFTLCQVLNLLSAMHLVKKEMLLVVLHNYWFLMALGAVLIMQVMIVEFGKGLVSGARLNALQWLICFLLAALSWGFDWTFKLLSDSIERTTSALMVNSHVGFSNRRRRLYISIWLFLIFSVSLFCFHPVSQLASTYIS >ONI19129 pep chromosome:Prunus_persica_NCBIv2:G3:24654352:24656772:-1 gene:PRUPE_3G260400 transcript:ONI19129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIKSGFGSLGTTTQIRSLCKLIVEDPGSPSSFLSEKFASFLDNCADAFSLRKLHARIFAHGLGNHIFLGSKLLNCYAKFGLLSESRWVFNRIINGNLSLWNSILVGYFRSRHFEEVIRRYINLKQWNIGLDSAAITFSVKSCTELGNLEFGQGIHGDALKSGLNANGFVGSSLIGLYCKCGRINDASKVFEEITDRDIVVYTSIITGYAHSGDQRAYEAFWFARHMQRQGLHPNRVTLVSLLQAASQVETLKEGCSVHGYAVRRGIGSSDEVFETSLLDMYNKCKAPRMAACIFGKMDKKTIGSWNAMIVGYLKMEEPSEAFHLFCQVMQDNFVLDLITLSNGILSCAHLNYLQQGKSIHGYIIRVGVQLDLVASTALVDLYSKSNKLIQARYLFEKMEEKDAISYDVMMAGYLYNYFASEAMDTFLEMVGEGIKPNLGSMLSVLSATSELKDIRKGKCIHGHVLRLGFDSNAEITNQIIYMYAKCGCIGNARQIFNKLRYRDLVSWTSMMMSYVCHGHADEAIVLFRLMQREQAEHDSVTFITLLQAICQLGSLSLAKEVHCHLYRANMNNDISITNSLITNYSKCGKLNMAANLFEHAVERCLTSWNTMILAYGMHGKCKEALMLFEQMKNVKIVPDEVTFTSILTACSHSGMVNEGLEVFKSMIEEYSIVPCEEHYGCMVDLLSRAGLLEEAYNLVKSLPSGLTASTVRTLLAACKVHGNTEIGEILGRRLLDLDPENSSVFAMVSNLYAEGGKWGEVARVRDAAKQRGLKRTPGYSLIEVHR >ONI15238 pep chromosome:Prunus_persica_NCBIv2:G3:2374630:2382186:1 gene:PRUPE_3G031800 transcript:ONI15238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSQGASKQTCSLLVVTCGKISEEKPNEDTLDEKLKYPFPELVSLGRLEVQTLTKPSKEEFCKMLESYKPNLVYLQGEQLENNEIGSPVWEDVDLSTAEAISEIFSATLPTTVYLEVPNGENLAAALHSKGIPYVIYWKHEFSSYAACHFRHALLSVVQSSSTHTWDAFQLAYASFRLYCVENSHAIPANRHKSSSAELGPCLLGDRLKINVDPPEADVEEDEEGSLGTLPAIKIHDDDVILRFLVCGEPSTLDASLLEPLEDGLNALLNIEMRGSKLHGKFSAPPPPLQAGTFSRGVVTMRCDVSTCSSAHISLLVSGSAQTCFDDQLLENHIKNEVIEEIQLVRALPNNEGNKVPLAEPRKSASIACGATVFEVCMKVPAWASQVLRQLAPDVSYHSLVALGIASIQGLPVASFEKEDAERLLFFCSSLGKDNKSNDFILGSPPTWLRPPPPSRKRSQPCQETSRGSNYSQRLPSLAASKIDEDNKEAGAMNGVSTPLLPPRQRLKIAAMRPIPHVRRPKMTPFSGMSELDGHDGGQFKANLPPAPPTKLNIVGLTPTTQRKSYSSSSHSKQIISLNPLPLKKHGCGRSPIHSCLEEEFLKDVMQFLILRGHSRLIPQGGLAEFPDAILNGKRLDLYNLYKEVVTRGGFHVGNGINWKGQIFSKMRNYTMTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPHCSISNFKKKPQKIANGFSQGSTVSRPL >ONI15239 pep chromosome:Prunus_persica_NCBIv2:G3:2374630:2382186:1 gene:PRUPE_3G031800 transcript:ONI15239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSQGASKQTCSLLVVTCGKISEEKPNEDTLDEKLKYPFPELVSLGRLEVQTLTKPSKEEFCKMLESYKPNLVYLQGEQLENNEIGSPVWEDVDLSTAEAISEIFSATLPTTVYLEVPNGENLAAALHSKGIPYVIYWKHEFSSYAACHFRHALLSVVQSSSTHTWDAFQLAYASFRLYCVENSHAIPANRHKSSSAELGPCLLGDRLKINVDPPEADVEEDEEGSLGTLPAIKIHDDDVILRFLVCGEPSTLDASLLEPLEDGLNALLNIEMRGSKLHGKFSAPPPPLQAGTFSRGVVTMRCDVSTCSSAHISLLVSGSAQTCFDDQLLENHIKNEVIEEIQLVRALPNNEGNKVPLAEPRKSASIACGATVFEVCMKVPAWASQVLRQLAPDVSYHSLVALGIASIQGLPVASFEKEDAERLLFFCSSLGKDNKSNDFILGSPPTWLRPPPPSRKRSQPCQETSRGSNYSQRLPSLAASKIDEDNKEAGAMNGVSTPLLPPRQRLKIAAMRPIPHVRRPKMTPFSGMSELDGHDGGQFKANLPPAPPTKLNIVGLTPTTQRKSYSSSSHSKQIISLNPLPLKKHGCGRSPIHSCLEEEFLKDVMQFLILRGHSRLIPQGGLAEFPDAILNGKRLDLYNLYKEVVTRGGFHVGNGINWKGQIFSKMRNYTMTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPHCSISNFKKKPQKIANGFSQGSTVSRPL >ONI16619 pep chromosome:Prunus_persica_NCBIv2:G3:9154185:9158771:-1 gene:PRUPE_3G110700 transcript:ONI16619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDKIASCWERQELFSSLVAGNRVWYQHQIGPQCSVNCQSTGTGMRCSFRRVEKTSLHIL >ONI19305 pep chromosome:Prunus_persica_NCBIv2:G3:25174553:25177028:1 gene:PRUPE_3G270600 transcript:ONI19305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGSSNNLFLLSLCMVCLVITPSAGFNIWRSAQKHKAAAQDWLNHGGDLYNRRYANREKKISPATVSNLSLKWKFYAGGDITVTPAIVDGTLYFPSWNGYLYAVKASDGSLVWKKNVQKLTGFKNTGFILNVNSTVTRSTPTVAGDLLIVGIYGPAFVIAVKRSNGKLVWSTRLDNHTRAFITMSGTYYKGDYYVGTSSLEEGLTIEQCCTFRGSVSKLDVRTGAILWQTFMLPDNFGKFGEYAGAAIWGSSPSIDVPRNLVFIATSNLYSAPPRIIECQEKENNQTVPSHPEACIEPDNHSESIVALDLDTGKIKWYKQLGGYDIWFGACHRHLDPRCPPGPSPDADFGEAPMMLTTYVNGTKKDIVVAVQKSGFAWALDRDTGSLVWSTEAGPGGLGGGAMWGAATDEKRVYTNIANSQHKNFTLKPSQNSTIAGGWVAMEARSGNIIWSTANSNDATAPAAVTVANGVVFGGSTHRQGPIYAMNAKTGKILWSYDTGATVYGGISVSDGCIYLGNGYKVFNGFVNPNYTAGTSLFAFCV >ONI17039 pep chromosome:Prunus_persica_NCBIv2:G3:14046230:14047313:-1 gene:PRUPE_3G134200 transcript:ONI17039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLFAYVSIFLLVCGSANAQQASQFCAYAWHEFSNCLRFLTGLYPQYPSRECCDAVRTLNLVAQGDELAPGNICQCIQDMASVYRIPFVASLIQDLPIKCNAHLSFPISNSMDCTHLN >ONI18403 pep chromosome:Prunus_persica_NCBIv2:G3:21740572:21745241:1 gene:PRUPE_3G213700 transcript:ONI18403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTLSPNPTAQKQRLPMAARLQSPTSPFFLGSNDDHLERAQARAARAAAIRRKSIALNLPPLDADTDPCLGKEQILELFQNCIKLASENKINQKNTWELNLIDHLTEIIKVEEENDAETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGMNRAGQEDEQDTSPDDAAVDNGQDVAHSKKEMEKKSPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGARGLLMNNLGVYGRCRVLFDSSEVPAKCMSCDNQHDKSDTIDLSFASEYVEQMVLNMRMKDEISPTLRNIVNRFDEDNRRPADIYSCSQESAEQVDAAHNNEVEFDGDVPENFGNWDYEHDDQTSMVEEGLNCENSTFPSCHEENEPGAFDERDNEERLKEVDEYLFLSLGLTSKQNAWAGPDHWKYRKTKVSEIDPSGEQPVAVKRHRKKVQPDLDFTKALEEEMPDIFASPKNPKSLLLPANRGPCNTKLPEDCHYQPEDLIKLFLIPNVKFLGRRGKKSSDESRRQSDDYGQSPFYDDDDVFGGQYDDGISHSDVEDFSTLVSQPRQVNKIEVHYDKTSKQVDVQVLKETLWGHVQESVHMSTQGQEQLASFKQILASFPSDCRAAGTINEISPHLCFICLLHLANEHGLSILGTTNLDDLSIHLP >ONI18636 pep chromosome:Prunus_persica_NCBIv2:G3:22749612:22753106:-1 gene:PRUPE_3G228700 transcript:ONI18636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASLSFSALSQCSDRKSVISSTRNLAYNSEGLRLRTSFSCNNGGVRASSSSSRMMIHCMSDAPTVADTKLNFLKAYKRPIPSVYNTVLQELIVQQHLIKYKKSYRYDPVFALGFVTVFDQLMDGYPSDEDREAIFQAYIEALNEDPEQYRIDAQKLEEWARAQTSSSLVEFPSREGEIEGTLKDIAERAASKGSFSYSRFFAVGLFRLLELANATEPTILEKLCAALNIDKRSVDRDLDVYRNLLSKLVQAKELLKEYVAREKKKREERVENQKANEAVTK >ONI18637 pep chromosome:Prunus_persica_NCBIv2:G3:22750067:22752950:-1 gene:PRUPE_3G228700 transcript:ONI18637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASLSFSALSQCSDRKSVISSTRNLAYNSEGLRLRTSFSCNNGGVRASSSSSRMMIHCMSGASYAPTVADTKLNFLKAYKRPIPSVYNTVLQELIVQQHLIKYKKSYRYDPVFALGFVTVFDQLMDGYPSDEDREAIFQAYIEALNEDPEQYRIDAQKLEEWARAQTSSSLVEFPSREGEIEGTLKDIAERAASKGSFSYSRFFAVGLFRLLELANATEPTILEKLCAALNIDKRSVDRDLDVYRNLLSKLVQAKELLKEYVAREKKKREERVENQKANEAVTK >ONI18530 pep chromosome:Prunus_persica_NCBIv2:G3:22152392:22152630:1 gene:PRUPE_3G220800 transcript:ONI18530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKQTTLSKLSNKFAKALHEHSFSKPKSKFPQKILKSQKHQWIPSSPKFSKKKENTRTSKCREVL >ONI17217 pep chromosome:Prunus_persica_NCBIv2:G3:15997033:16000654:-1 gene:PRUPE_3G146200 transcript:ONI17217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILLFQSSNLLLLTISFFISINIQFCLCGEDPQYANCRKAINCGGIKDIWYPFWGVNRASYCGQPGFEVQCLDNVPVINMVNTSFRILEMNPKNPRTVKVARQDYWNKICPQSTLVNTSINVSLFNYASGLANLTFYYGCNTSTPSTNSQVCTINGSDVSVAFHQTGDEHPFANYTCKTEVLVPVFETAALALENNQTSVEDAVDEGFELGLQIDNDQCNYCEGSGGTCGYNNKTTTHGGFVCFCKDQPYAITCAVKSDIQRKGAIAFWTGVGITIGVICIIFISRKRKFFLKKYFKTEHRHELDIEQVIQNYGSFTPKRYSYSAVKKLTNSFKDKVGRGGYGTVYKGTLPDGHLVAVKVLNEAKGNGEDFINEVASISRTSHVNIVKLSGFCYERHKRALIYEFMPNGSLDKFIHKQGSSDTNFPRLEWKMLFEISVGVARGLEYLHRGCNTRILHFDIKPQNILLDKDFCPKISDFGLAKLCKTEESIVSMLGARGTAGYMAPEVFSRNFGGISPKSDVYSYGMLVLEMVGARKNLDYGGSHTSEMFPQNVYKDLELEKDENILEGISEGGKEVARKLILISLWCIQTIPSDRPSMSKVVEMLEGPLHSLQIAPKPFLFSSPISGGDSSQPSVRS >ONI19697 pep chromosome:Prunus_persica_NCBIv2:G3:26184887:26187529:1 gene:PRUPE_3G292400 transcript:ONI19697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAHPYPYRHHRPLSSLIILIVTQRNFSLISISTSTSTSTSTSSTSTRRPLLLLPPPRSLRTLTMASDDLSTLPAEDEKYGFQRSEMYETKLAGTVDAYDRHVFLCYKTPEAWPSRVEGSESDPLPKFFASALKARKNDIAVKTKLTVCEGREGTEFSDGDVLIFPEMIKYRGLKESDVDSFVDDVLVNNKPWASGVHEALTGSHVFVCAHGSRDRRCGVCGPVLIDKFREEAELRGLTNQVFVSPCSHIGGHKYAGNLIIYSPGSDGILTGHWYGYVTPDDVPELLDQHIGKGEIIERLWRGQMGVSSEEGEKINDQKLPNGEDNKKSEEKPQENGNQIQNNENFSGCCQGANGFTCCKDVSLEQNSGSEEKKLKETTEACGKKDALGRLSSLIGKWEQSDVLAAAAVVGAVATVAVAYSLYRRSG >ONI19698 pep chromosome:Prunus_persica_NCBIv2:G3:26185103:26187329:1 gene:PRUPE_3G292400 transcript:ONI19698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDLSTLPAEDEKYGFQRSEMYETKLAGTVDAYDRHVFLCYKTPEAWPSRVEGSESDPLPKFFASALKARKNDIAVKTKLTVCEGREGTEFSDGDVLIFPEMIKYRGLKESDVDSFVDDVLVNNKPWASGVHEALTGSHVFVCAHGSRDRRCGVCGPVLIDKFREEAELRGLTNQVFVSPCSHIGGHKYAGNLIIYSPGSDGILTGHWYGYVTPDDVPELLDQHIGKGEIIERLWRGQMGVSSEEGEKINDQKLPNGEDNKKSEEKPQENGNQIQNNENFSGCCQGANGFTCCKDVSLEQNSGSEEKKLKETTEACGKKDALGRLSSLIGKWEQSDVLAAAAVVGAVATVAVAYSLYRRSG >ONI17918 pep chromosome:Prunus_persica_NCBIv2:G3:20073865:20080201:1 gene:PRUPE_3G186500 transcript:ONI17918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNRLLGSNLRTQLRSCTKYVAASRSPQEHLFLVPFLSRSYSTGSPLQKEEPITDEKVLGFKGHEMLAPFTAGWQSADLHPLIIEKSEGSYVYDINGKKYLDALAGLWCTALGGNEPRLVAAATQQLNTLPFYHSFWNRTTKPSLDLAKELLETFTATKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPDKKKFIARHKSYHGSTLIAASLSGLPALHTKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLENLILKEGPDTIAAFIAEPVMGAGGVILPPATYFEKVQAVVKKYDILFIADEVICAFGRLGTMYGCDKYNIKPDLVSVAKALSSAYMPIGAVLVSPEVSDVIHSQSSKLGSFSHGFTYSGHPVSCAVAIEALKIYKERNIANQVNSISPRFQDGIKAFSDSPIIGEIRGTGLILGTEFTDNKSPNDLFPPEWGVGAYFGAQCEKHGMLVRVSGDNIMMSPPFIMTPNEVDELISKYGKALKDTENWVKELKSKQK >ONI17919 pep chromosome:Prunus_persica_NCBIv2:G3:20073964:20080085:1 gene:PRUPE_3G186500 transcript:ONI17919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNRLLGSNLRTQLRSCTKYVAASRSPQEHLFLVPFLSRSYSTGSPLQKEEPITDEKVLGFKGHEMLAPFTAGWQSADLHPLIIEKSEGSYVYDINGKKYLDALAGLWCTALGGNEPRLVAAATQQLNTLPFYHSFWNRTTKPSLDLAKELLETFTATKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPDKKKFIARHKSYHGSTLIAASLSGLPALHTKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLENLILKEGPDTIAAFIAEPVMGAGGVILPPATYFEKVQAVVKKYDILFIADEVICAFGRLGTMYGCDKYNIKPDLVSVAKALSSAYMPIGAVLVSPEVSDVIHSQSSKLGSFSHGFTYSGHPVSCAVAIEALKIYKERNIANQVNSISPRFQDGIKAFSDSPIIGEIRGTGLILGTEFTDNKSPNDLFPPEWGKSHLSFYRRKMK >ONI17920 pep chromosome:Prunus_persica_NCBIv2:G3:20074453:20080201:1 gene:PRUPE_3G186500 transcript:ONI17920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKLRSCTKYVAASRSPQEHLFLVPFLSRSYSTGSPLQKEEPITDEKVLGFKGHEMLAPFTAGWQSADLHPLIIEKSEGSYVYDINGKKYLDALAGLWCTALGGNEPRLVAAATQQLNTLPFYHSFWNRTTKPSLDLAKELLETFTATKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPDKKKFIARHKSYHGSTLIAASLSGLPALHTKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLENLILKEGPDTIAAFIAEPVMGAGGVILPPATYFEKVQAVVKKYDILFIADEVICAFGRLGTMYGCDKYNIKPDLVSVAKALSSAYMPIGAVLVSPEVSDVIHSQSSKLGSFSHGFTYSGHPVSCAVAIEALKIYKERNIANQVNSISPRFQDGIKAFSDSPIIGEIRGTGLILGTEFTDNKSPNDLFPPEWGVGAYFGAQCEKHGMLVRVSGDNIMMSPPFIMTPNEVDELISKYGKALKDTENWVKELKSKQK >ONI19786 pep chromosome:Prunus_persica_NCBIv2:G3:26406922:26409078:1 gene:PRUPE_3G297900 transcript:ONI19786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLIRRAIAAAASFRYVVVRGMASEVQAQQVDLKAQDKTSLKTFSIYRWNPENPKKPELREYEIDLKECGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIAPSSSVGATTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSPPTEPGKEIRQSKKDRAKLDGMYECILCACCSTSCPSYWWNPETYLGPAALLHANRWISDSRDEYTKERLDAINDEFKLYRCHTILNCARACPKGLNPGKQITHIKQLQLGVGA >ONI19785 pep chromosome:Prunus_persica_NCBIv2:G3:26406922:26409078:1 gene:PRUPE_3G297900 transcript:ONI19785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLIRRAIAAGVSSASRSTAASFRYVVVRGMASEVQAQQVDLKAQDKTSLKTFSIYRWNPENPKKPELREYEIDLKECGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIAPSSSVGATTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSPPTEPGKEIRQSKKDRAKLDGMYECILCACCSTSCPSYWWNPETYLGPAALLHANRWISDSRDEYTKERLDAINDEFKLYRCHTILNCARACPKGLNPGKQITHIKQLQLGVGA >ONI19646 pep chromosome:Prunus_persica_NCBIv2:G3:26011808:26013908:-1 gene:PRUPE_3G289300 transcript:ONI19646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARKFLCSFRQSLDLLISSRSSISHSRQFSYAEFEPLKALLKGVQPVLRNRASAYYCSLNMQRNSLQKFWFSGGSILRFGSILGVSVFLGSISFLPNAAYAMDDVEEDQHAVWMFVRKIWLPFFFFVTMLAYWDDSVTLAPFALKLILFLLSTKPSPFSVYGFVDELCHRLKRGEPHLYNKSLYASKVEVEDFQLLCLARVEVRDQKYTLVGILGGWWPLPTLDAIKHRLLHSHKEL >ONI19647 pep chromosome:Prunus_persica_NCBIv2:G3:26011987:26013750:-1 gene:PRUPE_3G289300 transcript:ONI19647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARKFLCSFRQSLDLLISSRSSISHSRQFSYAEFEPLKALLKGVQPVLRNRASAYYCSLNMQRNSLQKFWFSGGSILRFGSILGVSVFLGSISFLPNAAYAMDGHDILVNDLNLEVSGASDVEEDQHAVWMFVRKIWLPFFFFVTMLAYWDDSVTLAPFALKLILFLLSTKPSPFSVYGFVDELCHRLKRGEPHLYNKSLYASKVEVEDFQLLCLARVEVRDQKYTLVGILGGWWPLPTLDAIKHRLLHSHKEL >ONI19645 pep chromosome:Prunus_persica_NCBIv2:G3:26011808:26013908:-1 gene:PRUPE_3G289300 transcript:ONI19645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARKFLCSFRQSLDLLISSRSSISHSRQFSYAEFEPLKALLKGVQPVLRNRASAYYCSLNMQRNSLQKFWFSVFLGSISFLPNAAYAMDGHDILVNDLNLEVSGASDVEEDQHAVWMFVRKIWLPFFFFVTMLAYWDDSVTLAPFALKLILFLLSTKPSPFSVYGFVDELCHRLKRGEPHLYNKSLYASKVEVEDFQLLCLARVEVRDQKYTLVGILGGWWPLPTLDAIKHRLLHSHKEL >ONI17916 pep chromosome:Prunus_persica_NCBIv2:G3:20063745:20063972:1 gene:PRUPE_3G186300 transcript:ONI17916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGGGEGESFDLRLGKMIAHARGLSEWPIRRSFNFAPNLFLFRVSKTLDQSDSHLFSLAASFSPFIDLFLLFLV >ONI19072 pep chromosome:Prunus_persica_NCBIv2:G3:24489133:24492410:-1 gene:PRUPE_3G257100 transcript:ONI19072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVGKKAGSVTPVKDQHGSRSKRHGNSRYAENLNPNVSPGPKPTASPATKSTKSQKSAPKNPNPVVHSPRNKIRERKFVVAKKKSKKENPNVACKCKEKGNSKKCLCVAYENLRASQEEFFKNRNDESDSLKECDRAERELEEEIEKGLRIQDGLEGDFDPSEIDPSGEMSSSTFKRRRDKLLEEARKSVPERGKVMHLVQAFEKLLSIPSKELDEQKDGEEPEDNGKKAEKWALPGLQPPKVPEAQVSSYSFCPSDLFLTSENLGLDRRPSVSSSWDGSLGSVSSRTSNGGRRSRRNSSESSSTMGGRTWKKKQLRATSLKPFKLRTEERGRQKEEEFMKKIQEMMIEEERQRIPIAQGLPWTTDEPECLMKPPVKDITIPTDLKLYSDMRAVERAEFDHQVAEKMNLFEQYKMERERLQKLAEEEEIRRLRKELVPKAQPMPYFDRPFIPRRKRECR >ONI19073 pep chromosome:Prunus_persica_NCBIv2:G3:24489262:24492216:-1 gene:PRUPE_3G257100 transcript:ONI19073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVGKKAGSVTPVKDQHGSRSKRHGNSRYAENLNPNVSPGPKPTASPATKSTKSQKSAPKNPNPVVHSPRNKIRERKFVVAKKKSKKENPNVACKCKEKGNSKKCLCVAYENLRASQEEFFKNRNDESDSLKECDRAERELEEEIEKGLRIQDGLEGDFDPSEIDPSGEMSSSTFKRRRDKLLEEARKSVPERGKVMHLVQAFEKLLSIPSKELDEQKDGEEPEDNGKKAEKWALPGLQPPKVPEAQVSSYSFCPSDLFLTSENLGLDRRPSVSSSWDGSLGSVSSRTSNGGRRSRRNSSESSSTMGGRTWKKKQLRATSLKPFKLRTEERGRQKEEEFMKKIQEMMIEEERQRIPIAQGLPWTTDEPECLMKPPVKDITIPTDLKLYSDMRAVERAEFDHQVAEKMNLFEQYKMERERLQKLAEEEEIRRLRKELVPKAQPMPYFDRPFIPRRKRECR >ONI19071 pep chromosome:Prunus_persica_NCBIv2:G3:24488619:24492410:-1 gene:PRUPE_3G257100 transcript:ONI19071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVGKKAGSVTPVKDQHGSRSKRHGNSRYAENLNPNVSPGPKPTASPATKSTKSQKSAPKNPNPVVHSPRNKIRERKFVVAKKKSKKENPNVACKCKEKGNSKKCLCVAYENLRASQEEFFKNRNDESDSLKECDRAERELEEEIEKGLRIQDGLEGDFDPSEIDPSGEMSSSTFKRRRDKLLEEARKSVPERGKVMHLVQAFEKLLSIPSKELDEQKDGEEPEDNGKKAEKWALPGLQPPKVPEAQVSSYSFCPSDLFLTSENLGLDRRPSVSSSWDGSLGSVSSRTSNGGRRSRRNSSESSSTMGGRTWKKKQLRATSLKPFKLRTEERGRQKEEEFMKKIQEMMIEEERQRIPIAQGLPWTTDEPECLMKPPVKDITIPTDLKLYSDMRAVERAEFDHQVAEKMNLFEQYKMERERLQKLAEEEEIRRLRKELVPKAQPMPYFDRPFIPRRSMKHPTIPKEPKFHVPQQKKIKSCLPWNDIGSYIYQE >ONI19035 pep chromosome:Prunus_persica_NCBIv2:G3:24390410:24395022:1 gene:PRUPE_3G255300 transcript:ONI19035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLVWGSSSPPPPPPEAAVSTMAKKCGGSRGLLSSSKGVVSNSSGAVASCTTGFPSFLPKEVEKIKDPYARTLAQRMERLPVQIGSSESFIMSSCVKPQKQSEINPVVLLHCFDSSCLEWRCAYPLLEEAGLEAWAVDVLGWGFSDLERRPPCSAASKRHHLYQFWKSYIRRPMILVGPSLGASVAIDFAVHHPVAVEKLVLINASVYAEGTGDLAKLPKFLAYAGVSLLKSIPLRLYANLLAFNGISLSTTFDWTNVGRLHCLLPWWKDATVSFMSSGGYNVISQIKQVKQKVLVICSEQDHIISYKQILRLRCELPCAIMRLIPDSGHLPHVENPTSVAKLIAGFARNDRC >ONI19037 pep chromosome:Prunus_persica_NCBIv2:G3:24390410:24395022:1 gene:PRUPE_3G255300 transcript:ONI19037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKCGGSRGLLSSSKGVVSNSSGAVASCTTGFPSFLPKEVEKIKDPYARTLAQRMERLPVQIGSSESFIMSSCVKPQKQSEINPVVLLHCFDSSCLEWRCAYPLLEEAGLEAWAVDVLGWGFSDLERRPPCSAASKRHHLYQFWKSYIRRPMILVGPSLGASVAIDFAVHHPVAVEKLVLINASVYAEGTGDLAKLPKFLAYAGVSLLKSIPLRLYANLLAFNGISLSTTFDWTNVGRLHCLLPWWKDATVSFMSSGGYNVISQIKQVKQKVLVICSEQDHIISYKQILRLRCELPCAIMRLIPDSGHLPHVENPTSVAKLIAGFARNDRC >ONI19038 pep chromosome:Prunus_persica_NCBIv2:G3:24390410:24395022:1 gene:PRUPE_3G255300 transcript:ONI19038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKCGGSRGLLSSSKGVVSNSSGAVASCTTGFPSFLPKEVEKIKDPYARTLAQRMERLPVQIGSSESFIMSSCVKPQKQSEINPVVLLHCFDSSCLEWRCAYPLLEEAGLEAWAVDVLGWGFSDLERRPPCSAASKRHHLYQFWKSYIRRPMILVGPSLGASVAIDFAVHHPVAVEKLVLINASVYAEGTGDLAKLPKFLAYAGVSLLKSIPLRLYANLLAFNGISLSTTFDWTNVGRLHCLLPWWKDATVSFMSSGGYNVISQIKQRLRCELPCAIMRLIPDSGHLPHVENPTSVAKLIAGFARNDRC >ONI19036 pep chromosome:Prunus_persica_NCBIv2:G3:24390410:24395022:1 gene:PRUPE_3G255300 transcript:ONI19036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLVWGSSSPPPPPPEAAVSTMAKKCGGSRGLLSSSKGVVSNSSGAVASCTTGFPSFLPKEVEKIKDPYARTLAQRMERLPVQIGSSESFIMSSCVKPQKQSEINPVVLLHCFDSSCLEWRCAYPLLEEAGLEAWAVDVLGWGFSDLERRPPCSAASKRHHLYQFWKSYIRRPMILVGPSLGASVAIDFAVHHPVAVEKLVLINASVYAEGTGDLAKLPKFLAYAGVSLLKSIPLRLYANLLAFNGISLSTTFDWTNVGRLHCLLPWWKDATVSFMSSGGYNVISQIKQRLRCELPCAIMRLIPDSGHLPHVENPTSVAKLIAGFARNDRC >ONI19218 pep chromosome:Prunus_persica_NCBIv2:G3:24915683:24917194:-1 gene:PRUPE_3G265400 transcript:ONI19218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPCKVTSKLFGLCTHEKLHLNYSFSSQIPVHWIRDFDRTVLDLLLLCTKAEIINTYLECYGNKRKQLLPNSLFIYEQARLSLSLYGYISMFIRPNTAKRLCSPIGFFFSVCFQSFPSLFGTTPCFLVEISRGLSFHFSTRECCLASLGNSYPASTFLFLSFFLLGFLHIWIYLIDRRRR >ONI17607 pep chromosome:Prunus_persica_NCBIv2:G3:18816712:18821877:-1 gene:PRUPE_3G169200 transcript:ONI17607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFQEIYGSYPYKGGPTARAGQIVAVQFQTESAASSQLNSLGCAIVKLCNHGQPLLFSYTRKQVFRLHVLSRQDPLMVRQRGNISSSRSRKRASGVRGSNCELS >ONI19309 pep chromosome:Prunus_persica_NCBIv2:G3:25199577:25203234:1 gene:PRUPE_3G271000 transcript:ONI19309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEAMTFNIHGGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSSFGLLYPYGHEELAVCEDVDQVRGVMEKYPPYQAIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >ONI19310 pep chromosome:Prunus_persica_NCBIv2:G3:25199799:25202945:1 gene:PRUPE_3G271000 transcript:ONI19310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGFEAMTFNIHGGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSSFGLLYPYGHEELAVCEDVDQVRGVMEKYPPYQAIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >ONI14742 pep chromosome:Prunus_persica_NCBIv2:G3:325186:326334:1 gene:PRUPE_3G005500 transcript:ONI14742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERERDYLLLPLPITLVIILCFSAITRVDCECIKRPVIFNFGDSNSDTGGFFDGLGINFGPPNVHIFYHHHYHHHHHHHEHREPAGQLCDGRLIINFLCASLQTHYLTPYLEYLGPNFSDGANFAISGSSTLPRHLPFSLDVQYIYQHGGIYFWVHNTGPLGCLPQKLAGTASNAGNFDDHGCLKFLSDAAKAFNKQLHTLCEEHRSQMGRAIIVYVDIYAIKYDLIANSAKYGSKFISWDGVHYTEAANKNFASKILSTNYSTPPIKLDYFCNA >ONI17759 pep chromosome:Prunus_persica_NCBIv2:G3:19440873:19441423:-1 gene:PRUPE_3G177400 transcript:ONI17759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVLGKDEFEDFEVTEVDGALLWDLLEELENEEEVKKDGKIGDVDDANSMTDGQVSVEQHDEICTPDLKSGDVDMAETNPSSYEMEAWFADDTVGMVDFGCVVGDFPQLHNGVHIGASCNEITYNCLWGDT >ONI18270 pep chromosome:Prunus_persica_NCBIv2:G3:21346744:21350009:1 gene:PRUPE_3G206400 transcript:ONI18270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIIHLFFSCPETSMAPPTIVMLLIASFFISTQTHLQVQATDDAFTSIVISQKGLDFLKDLLITKAVSSIIPLQLPQISKSTRIPFLGNVNMVLSNITIYGIDVGSSYFKLGDDGIAIIASGTTCNLSMSWYYSYSTWIAPVVVSDEGRASIQVEGLEVGLTLGLGIQDGTLKLTLKDCGCYVKDISIKLDGGASWLYQGMINAFEEQIGSAVETSVTKKLKDGIVKLDSLLQALPKEMPLDDNTSLNVTFVNDPVLSNSSIGFEVNGLFARRNASVSKYHNKDSQALVSCSDSSKMLGISLDEAVFNSVGAAYFNAEFMQWIVDKIPDQSFLNTAGWRYIVPQLYKKYPNHDMDLNVSLSSPPVVRISEHNIDATIYADLIIDVLEENRVIPVACISLVIRASGSVKISGNNLAGSIKLNDFSMSLKWSNIGNLRLYLIQPVMWTIIQTVFLPYVNSHLGKGFPLPIIHGFTLQNAELVCSSSRIMVCSDVIYSAASRSQNLNWLLHSFV >ONI18337 pep chromosome:Prunus_persica_NCBIv2:G3:21555399:21559334:-1 gene:PRUPE_3G210000 transcript:ONI18337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKPWRIIPRPLIETVLNNHAQHHRVPQPLILHGPRGVGKTTLILERLLNDWNKGPHLTGYVDFAESIKDHHPQFNQSFPWASWSNCPPPTLSDCRTKLECCLESMTHEGVQLGSISSHQIFSTLNKWHGINTALRRVIEGNSASKNAVSDRVSGSVLWDRAVFALSARCNAEEIDGILGLREKRKSLPLEEASYYREAVVALRLAKEVIKVQQSWRANAIAHLNRTGGFSRFLANSCTDWPCLLLELLSQAAEIDHFQPKLVINNIEVLRNAILLDENSSVCGSMYHDSLIWRIIALGANERCIPVVLVTSDSYYSYRAYMDFGFPDIFISRETFGWNPQEAKLHMVNDYFSQSEWLVIAEVFGPNLRHLFELYALKQGNYYQQLEDNKDSTFEDIVDAYLAYLQITVVNPAMEKALGLLQKFAVDAHSGKISKDRLRFGAPWRHPPPTDDPALCRQWAKVQLMDFVQSLVNTEFGVNYLADCSLELLDDPSTVALLEVGLLYAQRDPSIIRPISRGIQRCIVRWLVQERMQMSSPKLLQYLWQRIMRGRSYRHLMLQVGYK >ONI18338 pep chromosome:Prunus_persica_NCBIv2:G3:21554282:21559510:-1 gene:PRUPE_3G210000 transcript:ONI18338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKPWRIIPRPLIETVLNNHAQHHRVPQPLILHGPRGVGKTTLILERLLNDWNKGPHLTGYVDFAESIKDHHPQFNQSFPWASWSNCPPPTLSDCRTKLECCLESMTHEGVQLGSISSHQIFSTLNKWHGINTALRRVIEGNSASKNAVSDRVSGSVLWDRAVFALSARCNAEEIDGILGLREKRKSLPLEEASYYREAVVALRLAKEVIKVQQSWRANAIAHLNRTGGFSRFLANSCTDWPCLLLELLSQAAEIDHFQPKLVINNIEVLRNAILLDENSSVCGSMYHDSLIWRIIALGANERCIPVVLVTSDSYYSYRAYMDFGFPDIFISRETFGWNPQEAKLHMVNDYFSQSEWLVIAEVFGPNLRHLFELYALKQGNYYQQLEDNKDSTFEDIVDAYLAYLQITVVNPAMEKALGLLQKFAVDAHSGKISKDRLRFGAPWRHPPPTDDPALCRQWAKVQLMDFVQSLVNTEFGVNYLADCSLELLDDPSTVALLEVGLLYAQRDPSIIRPISRGIQRCIVRWLVQERMQMSSPKLLQYLWQRIMRGRSYRHLMLQVGYK >ONI18339 pep chromosome:Prunus_persica_NCBIv2:G3:21554284:21559510:-1 gene:PRUPE_3G210000 transcript:ONI18339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKPWRIIPRPLIETVLNNHAQHHRVPQPLILHGPRGVGKTTLILERLLNDWNKGPHLTGYVDFAESIKDHHPQFNQSFPWASWSNCPPPTLSDCRTKLECCLESMTHEGVQLGSISSHQIFSTLNKWHGINTALRRVIEGNSASKNAVSDRVSGSVLWDRAVFALSARCNAEEIDGILGLREKRKSLPLEEASYYREAVVALRLAKEVIKVQQSWRANAIAHLNRTGGFSRFLANSCTDWPCLLLELLSQAAEIDHFQPKLVINNIEVLRNAILLDENSSVCGSMYHDSLIWRIIALGANERCIPVVLVTSDSYYSYRAYMDFGFPDIFISRETFGWNPQEAKLHMVNDYFSQSEWLVIAEVFGPNLRHLFELYALKQGNYYQQLEDNKDSTFEDIVDAYLAYLQITVVNPAMEKALGLLQKFAVDAHSGKISKDRLRFGAPWRHPPPTDDPALCRQWAKVQLMDFVQSLVNTEFGVNYLADCSLELLDDPSTVALLEVGLLYAQRDPSIIRPISRGIQRCIACPRKDADEFPEVAPISMAAYYAWP >ONI18336 pep chromosome:Prunus_persica_NCBIv2:G3:21555399:21559334:-1 gene:PRUPE_3G210000 transcript:ONI18336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKPWRIIPRPLIETVLNNHAQHHRVPQPLILHGPRGVGKTTLILERLLNDWNKGPHLTGYVDFAESIKDHHPQFNQSFPWASWSNCPPPTLSDCRTKLECCLESMTHEGVQLGSISSHQIFSTLNKWHGINTALRRVIEGNSASKNAVSDRVSGSVLWDRAVFALSARCNAEEIDGILGLREKRKSLPLEEASYYREAVVALRLAKEVIKVQQSWRANAIAHLNRTGGFSRFLANSCTDWPCLLLELLSQAAEIDHFQPKLVINNIEVLRNAILLDENSSVCGSMYHDSLIWRIIALGANERCIPVVLVTSDSYYSYRAYMDFGFPDIFISRETFGWNPQEAKLHMVNDYFSQSEWLVIAEVFGPNLRHLFELYALKQGNYYQQLEDNKDSTFEDIVDAYLAYLQITVVNPAMEKALGLLQKFAVDAHSGKISKDRLRFGAPWRHPPPTDDPALCRQWAKVQLMDFVQSLVNTEFGVNYLADCSLELLDDPSTVALLEVGLLYAQRDPSIIRPISRGIQRCIVRWLVQERMQMSSPKLLQYLWQRIMRGRSYRHLMLQVGYK >ONI18335 pep chromosome:Prunus_persica_NCBIv2:G3:21554284:21559510:-1 gene:PRUPE_3G210000 transcript:ONI18335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKPWRIIPRPLIETVLNNHAQHHRVPQPLILHGPRGVGKTTLILERLLNDWNKGPHLTGYVDFAESIKDHHPQFNQSFPWASWSNCPPPTLSDCRTKLECCLESMTHEGVQLGSISSHQIFSTLNKWHGINTALRRVIEGNSASKNAVSDRVSGSVLWDRAVFALSARCNAEEIDGILGLREKRKSLPLEEASYYREAVVALRLAKEVIKVQQSWRANAIAHLNRTGGFSRFLANSCTDWPCLLLELLSQAAEIDHFQPKLVINNIEVLRNAILLDENSSVCGSMYHDSLIWRIIALGANERCIPVVLVTSDSYYSYRAYMDFGFPDIFISRETFGWNPQEAKLHMVNDYFSQSEWLVIAEVFGPNLRHLFELYALKQGNYYQQLEDNKDSTFEDIVDAYLAYLQITVVNPAMEKALGLLQKFAVDAHSGKISKDRLRFGAPWRHPPPTDDPALCRQWAKVQLMDFVQSLVNTEFGVGLLYAQRDPSIIRPISRGIQRCIVRWLVQERMQMSSPKLLQYLWQRIMRGRSYRHLMLQVGYK >ONI18568 pep chromosome:Prunus_persica_NCBIv2:G3:22353732:22354793:1 gene:PRUPE_3G223600 transcript:ONI18568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCTRWHAAVYFTMAVILAFIAISMTLRSEANDETSQTKPISHIVSLNASRTLRRAGFNIMATLLQVSPELFFSSANATLFAVKDSAISNATLPPRLLKNLLQYHTSPLQLSMEDLLSKPQGACLPTLYQQKSIAITKVDEKERSVEINNVLVSHPNLFLEGPISIHGVLGPFSALDPRDVYRGWDIIQSPACDSNSNMISDVPPDLKNMVEWTRIIRLLNSNGFVSFAIGLHSVLDGILGDHKGLKSVTIFVPPSLELEAYPTPLLEKIVRFHVLPQKFTNRELESLAPRTLLRTLLHGQPLEVTGAVDFMKGLVISGVNIVAPDMFSSSKFIVHGISRALELDDLPNTAR >ONI17611 pep chromosome:Prunus_persica_NCBIv2:G3:18845679:18847926:1 gene:PRUPE_3G169600 transcript:ONI17611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQSQRLTVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRQILKNIVSTKESMGDVMKNSSFALTEAKYVAGENIKHIVLENVQNASIKVRSKQENVAGVKLPKFEYFTEGETKNDLTGLARGGQQVQLCRAAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQMASAKQFADDQVAEKLSLKKGVSLNSAQNMLSAGMEKDDDIIF >ONI19162 pep chromosome:Prunus_persica_NCBIv2:G3:24797952:24798251:1 gene:PRUPE_3G262600 transcript:ONI19162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTKADYEKCHSAHPIFFSNNGVTVFTLDRPGLFYFISGVSGHCERGQKMIIKVLEPASPPQSADQNEQKNDAAVAMAAITSATLMSCIMSFVGVLFF >ONI14963 pep chromosome:Prunus_persica_NCBIv2:G3:1314675:1318464:1 gene:PRUPE_3G018500 transcript:ONI14963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLRQVVAGILTLAMFVMLGNMIKRDHFDSVEEKFPGSERDTLENAKISKEGLSKKNSGPWKDDGQELKPCWSKPSDDVEQTEGYVLFSLTNGPEYHVSQIADAVVVARYLGATLVLPDIRGTKPGDERNFEEIYDVEKFISSLDGVVRVAKERPGKLSTKNLAAVKVPNRVTEDYIVEHVEPIYRSKGNIRLATYFPSVNMKRIERKSSSDSVACLAMFGTLELQPEINEVVDSMVERLRTLSRKSNGQFVAVDLRVEILEQNGCQGGDESGTKSCYNAQEVALFLRKVGFGKGTTIYLTESKWDSSLDALKDIFPKTYIKDGIIPADKKSKFLDSEGSEFEKIIDFYVCSQSDVFVPAISGLFYANVAGKRIASSKTQILVPTDISDSSASASNFITHYVSKKNHLAYSCLC >ONI14834 pep chromosome:Prunus_persica_NCBIv2:G3:709380:711070:-1 gene:PRUPE_3G011300 transcript:ONI14834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKPSPPNSSPLTPLSFLERSATVYGDCPSLIYNDTTYTWTQTHRRCLRVASSISSLGIKSGHVVSVLSPNTPAMYELHFAVPMSGAILNTINLRLDTRTISILLRHSESKLVFVDHQSRSLVLDAISLFPPDTPKPLLVLIADDADAPQRTPLDRFLCMYEDMVERGDQDFEWVPPTSEWDPMTLNYTSGTTSSPKGVVHCHRGVFIMAVDSLIDWGVHKQPVYLWTLPMFHANGWSYPYGIAALGGTNICLRKFDAQIIYAVIKRHRVTHMCGAPVVLNMLTNVPPGTEKLENPVQILTAGAPPPAAVLLRTESMGFVVSHGYGLTETAGLVVSCAWKGKWNLLPASERARLKARQGVRTVGLTRMDVVDPNSGRSVKRDGLSLGEVVLKGGSVMLGYLKDQLGTAKCMKGGWFYTGDVGVMHADGYLEIKDRSKDVIISGGENLSSVEVESVLYTHPAVNEAAVVAKPDEFWGETPCAFVSMKSDVSPKPTEKEMMEYCRGRLPHYMVPKTVVFKEELPKTSTGKIQKFVLREIAKEIGSSSSRVSRM >ONI17531 pep chromosome:Prunus_persica_NCBIv2:G3:18421461:18432305:-1 gene:PRUPE_3G165300 transcript:ONI17531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESVPDLQSSMYMTLDGYPCVRLLNLSGVIGCSNPGRVKVVAPIIRLNNATELSQLSAVLLSVDEIQSFLTRILNDSTFAKNVAGILVESSPEFQNKLKGFSPDQKFPQSEFAPYQSINYEWNPIGSGIMWNPYNFPVFLLSQSSTLTLQEAAIKNEKNIKSYTADVAEFDLVMQTMKAGTHDSESCLKENTCLPLGGYSVWSSLPPINFSSSEQSKPIILVVASMDSASFFRDKGLGADSPISGLISLLAAVDALSHVDGLDDFNKQLVFIVFTGEAWGYLGSRRFLLELDLQSDAVSGLNYSLIEKVVEIGSVGKGLNQGVKNFFVHTTGVSSATNETLDALKRAQDSVKSESFTISSANASNPGIPPSSLMTFLRKNSLTSGVVLEDFDTVFTNKFYNSHLDDISNVNSSAIVAAASLVARTLYILASDNKNLSSSAITSINVNVSLVEELMGCLLDCEPGLSCELVKSYISPANTCPSHYVGVILGEPSSPPYLGYVDDISRFVWNFLAEKTSIPRKNGSSVCSQDCSNEGEVCIRAETEGKGVCVVSTTRYVPAYSTRLKYESESGTWNVLPPNNSDPMGLVDAVWTESNWDTIGLRVYTVQNASFDRLVLLGSIAITVLSCFAIVITKAFVTKAVKRD >ONI17530 pep chromosome:Prunus_persica_NCBIv2:G3:18421786:18432057:-1 gene:PRUPE_3G165300 transcript:ONI17530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKFLQLLFFFSFQLRLSFSGQTIMMESVPDLQSSMYMTLDGYPCVRLLNLSGVIGCSNPGRVKVVAPIIRLNNATELSQLSAVLLSVDEIQSFLTRILNDSTFAKNVAGILVESSPEFQNKLKGFSPDQKFPQSEFAPYQSINYEWNPIGSGIMWNPYNFPVFLLSQSSTLTLQEAAIKNEKNIKSYTADVAEFDLVMQTMKAGTHDSESCLKENTCLPLGGYSVWSSLPPINFSSSEQSKPIILVVASMDSASFFRDKGLGADSPISGLISLLAAVDALSHVDGLDDFNKQLVFIVFTGEAWGYLGSRRFLLELDLQSDAVSGLNYSLIEKVVEIGSVGKGLNQGVKNFFVHTTGVSSATNETLDALKRAQDSVKSESFTISSANASNPGIPPSSLMTFLRKNSLTSGVVLEDFDTVFTNKFYNSHLDDISNVNSSAIVAAASLVARTLYILASDNKNLSSSAITSINVNVSLVEELMGCLLDCEPGLSCELVKSYISPANTCPSHYVGVILGEPSSPPYLGYVDDISRFVWNFLAEKTSIPRKNGSSVCSQDCSNEGEVCIRAETEGKGVCVVSTTRYVPAYSTRLKYESESGTWNVLPPNNSDPMGLVDAVWTESNWDTIGLRVYTVQNASFDRLVLLGSIAITVLSCFAIVITKAFVTKAVKRD >ONI18719 pep chromosome:Prunus_persica_NCBIv2:G3:22978299:22979450:1 gene:PRUPE_3G234100 transcript:ONI18719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRNLNYALAQVLGSPPFILLLLEGSVFPWLQCSIWCFGNVNFGGVGDYCVVAFVFLCFCFVRVSWFC >ONI19941 pep chromosome:Prunus_persica_NCBIv2:G3:26791594:26793886:1 gene:PRUPE_3G306300 transcript:ONI19941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCCISYIRRRFARRTRKFLIQTKVYSGDLASSSSFSRSLAAAPGTLGLHSISNASRRVCCDAPKRRRFQVLALAVKRSPKRLKYATPRFTKEDELLYVEVDPSLSGADSWKLEPVVHLLKQGGVGVIPTDTVYAIVCDLRNHSAIERLRRIKNPLSILCHSFHDIDTFTTGFPRGDGQGHANLFRAVKHCLPGPYTFILTATKELPKHCIRYGTADAKYTLRKNVGVRMPDEPICQAILEKMGSPLISTSVKCPKENEWLLDPVVIADIYGPEGLDFVVDGGVRVADPSTVVDMTVIPPKLIRQGKGPKLHWMVAED >ONI16394 pep chromosome:Prunus_persica_NCBIv2:G3:7203710:7208211:-1 gene:PRUPE_3G095900 transcript:ONI16394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKSISLEEIKKENIDLERIPVLEVFEQLQCTKEGLSSEEGQKRLQVFGPNKLEEKKENKVLKFLGFMWNPLSWVMELAAIMAIAMANGGGRPPDWPDFVGITALLIINSTISFIEENNAGNAAAALMAGLAPKAKVLRDGRWCELEAAILVPGDVISIKLGDIIAADARLLDGDPLKIDQSALTGESLPVTRYPGDEAFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMIIEIVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTMVEVFVKDVDKDGLILLGARASRVENQDAIDTCIVGMLGDPKEAREGITEVHFLPFNPVEKRTAITYIDSEGNWHRVSKGAPEQIIELCNLKGDPMKKAHAIIGKFADRGLRSLAVARQTVPEKSKESPGTPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGETKDESIAALPVDELIEKADGFAGVFPEHKYEIVRRLQEKKHICGMTGDGVNDAPALKRADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFMLLALIWKFDFSPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVILGTYLAVMTVVFFWAANDSDFFTEKFGVRSIRGNEPELTAAVYLQVSIVSQALIFVTRSQGWSYIERPGLLLVGAFLIAQLIATIIAVYAHWGFARIHGIGWGWAGVIWLYSIVFYIPLDILKFIIRYALSGKAWDNVLERKTAFTTKKDYGKGEREAQWATAQRTLHGLQSAETAELFSDKNNYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >ONI16393 pep chromosome:Prunus_persica_NCBIv2:G3:7203232:7208471:-1 gene:PRUPE_3G095900 transcript:ONI16393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKSISLEEIKKENIDLERIPVLEVFEQLQCTKEGLSSEEGQKRLQVFGPNKLEEKKENKVLKFLGFMWNPLSWVMELAAIMAIAMANGGGRPPDWPDFVGITALLIINSTISFIEENNAGNAAAALMAGLAPKAKVLRDGRWCELEAAILVPGDVISIKLGDIIAADARLLDGDPLKIDQSALTGESLPVTRYPGDEAFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGMIIEIVVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTMVEVFVKDVDKDGLILLGARASRVENQDAIDTCIVGMLGDPKEAREGITEVHFLPFNPVEKRTAITYIDSEGNWHRVSKGAPEQIIELCNLKGDPMKKAHAIIGKFADRGLRSLAVARQTVPEKSKESPGTPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGETKDESIAALPVDELIEKADGFAGVFPEHKYEIVRRLQEKKHICGMTGDGVNDAPALKRADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFMLLALIWKFDFSPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVILGTYLAVMTVVFFWAANDSDFFTEKFGVRSIRGNEPELTAAVYLQVSIVSQALIFVTRSQGWSYIERPGLLLVGAFLIAQLIATIIAVYAHWGFARIHGIGWGWAGVIWLYSIVFYIPLDILKFIIRYALSGKAWDNVLERKKDYGKGEREAQWATAQRTLHGLQSAETAELFSDKNNYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >ONI19842 pep chromosome:Prunus_persica_NCBIv2:G3:26557879:26561313:1 gene:PRUPE_3G300800 transcript:ONI19842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRIDSNHLIKLTSLRRIRRLETVWDDEAQFDDVAKCRSNVARKLFYECESKKGKNSLIRAGYGGWLMYTAASAGQLGFVQELLERNPLLVFGEGEFGITDILYAAARSKNTEVFRLLFDFAVSPRFMTGKGGELEEHIGDIPSVYKREMVNRAVHAAARGGNLSILKELLSDCSDVLTYRDIQGSTILHAAAGKGRVEVVKYLLASYDIINSNDHQGNTALHVAASRGQLAAAEALISASPSSISMRNNSGETFLHKAVSGFQSPAFRRLDRQIQLLKQLVCGKAFNIEDIINAKNNEGRTALHTAIIGNVHSDLVQLLMIAQSIDVNARDIDGMTALDYLRQWPRSASSEILIRQLISAGGIFGCQDYNARKAIASRLKMQGDGSSPGTSFRISDTEIFLHTGIENVSDATADQHSTGNNSPSPELISPYDPTNENRSSFSSKKPGSVNYAAQQLKRVIGWPRMKEKKPERFKKSVDFGSVDSNKICSSSDDAPTPLRQRFSKPSSLANNKRTLSVRSNQSSPSAKKRFACGIRHGVLQAIPHITVPRRSRSSSFSKSSSISSPGSLDKQKGVYIETDTAGPSWSNHVVDDETPNLGKQGSLNRRLRSQYFCFGTSGLSVKNPVARQQQQQQQQNQSFKHASPPPPVISVA >ONI19841 pep chromosome:Prunus_persica_NCBIv2:G3:26557879:26561316:1 gene:PRUPE_3G300800 transcript:ONI19841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRIDSNHLIKLTSLRRIRRLETVWDDEAQFDDVAKCRSNVARKLFYECESKKGKNSLIRAGYGGWLMYTAASAGQLGFVQELLERNPLLVFGEGEFGITDILYAAARSKNTEVFRLLFDFAVSPRFMTGKGGELEEHIGDIPSVYKREMVNRAVHAAARGGNLSILKELLSDCSDVLTYRDIQGSTILHAAAGKGRVEVVKYLLASYDIINSNDHQGNTALHVAASRGQLAAAEALISASPSSISMRNNSGETFLHKAVSGFQSPAFRRLDRQIQLLKQLVCGKAFNIEDIINAKNNEGRTALHTAIIGNVHSDLVQLLMIAQSIDVNARDIDGMTALDYLRQWPRSASSEILIRQLISAGGIFGCQDYNARKAIASRLKMQGDGSSPGTSFRISDTEIFLHTGIENVSDATADQHSTGNNSPSPELISPYDPTNENRSSFSSKKPGSVNYAAQQLKRVIGWPRMKEKKPERFKKSVDFGSVDSNKICSSSDDAPTPLRQRFSKPSSLANNKRTLSVRSNQSSPSAKKRFACGIRHGVLQAIPHITVPRRSRSSSFSKSSSISSPGSLDKQKGVYIETDTAGPSWSNHVVDDETPNLGKQGSLNRRLRSQYFCFGTSGLSVKNPVARQQQQQQQQNQSFKHASPPPPVISVA >ONI18454 pep chromosome:Prunus_persica_NCBIv2:G3:21917016:21922289:1 gene:PRUPE_3G217000 transcript:ONI18454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKAKQLKILVVALASLTALSVFFLGRERRRRKQKCPQSRCYLRADHTKPQCGFKRVLADNTYSPFKHLNLLNDPQEDKTWNSHPYEAEVTALMESPRLELQCITVKNVDLKKLSESYVWVETESQLKELVEVLSKEKVFGVDTEQHSLRSFLGFTALVQISTEKEDYLVDTIALRDCMSLLRPVFADASICKVFHGADSDVLWLQRDFHIYVVNLFDTAKACEVLSKSQKSLAYLLESYCGVVTNKLLQREDWRQRPLSTEMVQYARTDAHYLLYISRCLIAELEILDNENSCSNDKFHFVLEASRRSNLMCLQLYTKEIEASPGESAASSIFSRHLNGRRGISSVSCEIQGTVSKLCAWRDLMARVHDESLRYVISDQAIVALADKAPTTPTDILATIAQADSNVDSSLSSGLSSPSPVVCSHLDDLYHLLQNKIGNADDFFPLILQNCLGTNGSCPLSIFNYALLIKCNLKMTQVSRQNGVRNSKKVARKSSRQLFVQKFSCKAPVYHNCRIYADDGRLLCYCDRRKLEWYLRRDLAKLVDENPPAIMLLFEPKGRPEDEGNDFYIQSKKNIMHFPEHMKSHRSHDIVLVCVDCHEIAHASAEKYKKQIAAEYGIPLFVRKVVVSEQAHAISESSVPATSFEEEGVSPLQLRTAAMALLRHGPRMPSTRRDVLTEIVMRYYGGRQISEEDLESALLVGMSPHERRRFEKKKGLSFGKSRRRVLSDAEQEKNTVTMSACASVKASEVDTLHESCTSTAEAFIMVKDAAGLGNSSLNSYLGSDDKASDVVQNMDSDISGVSDMQFVSVVNADGDCESSAQNGSVDVYYARDDAISQPKHRSKMSLLGHGPHGKQVVEHLLKEYGEDGIRQFCQRWRQVFVEAVHPRFLPSGWDITHSGRRDFGEFSVYNPTKKASAAAEGL >ONI18053 pep chromosome:Prunus_persica_NCBIv2:G3:20578179:20579620:-1 gene:PRUPE_3G194400 transcript:ONI18053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDKGKMREGPRPKMMIQYPRPMGQDPFLSGEWSLFIDVSALYLYDMKNVTDVVIDLSHCDIPAQVLDFVGASQLANSLKCVDVVVIAAQVPNIVMLSMMTCDDLFNINASIVKKLVEAVADNCPKALIHIISNSMNSRVSFVAEEHNLKPINVDVSVVGGHAKITIPSVSLNDEEVENLTVKIQNAGTEVVEEKGGVGSATLSMVYAAARFVESSMRALDGDGDIYEYSYVESDLIELTFFASRVKLGRNGVEALVTYMTSKYEQKALEALKRELKSSIENGIAFANKQAATA >ONI15596 pep chromosome:Prunus_persica_NCBIv2:G3:3603962:3607052:-1 gene:PRUPE_3G051200 transcript:ONI15596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVHDFLHGTLEATIFHATPYTPPPFPFNCIFGAGKPACVTIKIDNKKVARTTHERDRVWNQTFRILCAYPSDSTITITMKTKCSILGKFQMQAHEILNEASFVNSFLPLVIENGKPNPELKLRFMLWFKPAQFEPTWGQMTADNVQFQGLRNATFPQRSNSHVTLYQDAHHCSTFKPSSELCGTPRRLWEDVYKAMEGAKNLIYIAGWSFNPKMVLVRDPQTDIPHARGVKLGELLKQKAEEGVAVRIMLWDDETSLPIIKNKGIMRTHDEDAFYYFAHTKVICRLCPRLHNKFPTIFSHHQKTITVDTKSSTSASDREIMSFIGGLDLCDGRYDTEQHSLFHTLNTESHCSDFYQTNISGASLQKGGPRTPWHDAHACVTGEAAWDVLTNFEQRWAKQCDPSVLVPSSTLTSLIQQTYASKPTSERGWNVQVLRSIDHVSASQLFRNLTVEQSIHEAYVEAIRHADKFLYIENQYFIGGCRLWEKDQNCGCRNLIPIEIALKVVNKIKAKERFAVYIVIPMWPEGLPESESVQDILHWTRETMSMMYRLIGEAIKESGVEGHPRDYLNFYCLANREVESQGDFIPPHSPNSATQYGNAQKHRRFMVYVHSKLMIVDDAYLIIGSANINQRSMDGHRDTEIAIGCYQSKNGDDKAMMSAGGDIEAYRMSLWYEHIGRAEELFKQPESLECVQTVRLIGDQMWKTYSGEEVVDMEGVHLVTYPMNVTEEGHVEDLVEGSGGLFPDTKSAVKGKRSKVIPPMFTT >ONI19511 pep chromosome:Prunus_persica_NCBIv2:G3:25696403:25700960:1 gene:PRUPE_3G282200 transcript:ONI19511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIIATPASKFRPLHPNSNPNNADSSGVAIPVEEHPLQILWNHQVLDPDSDIVAHWNHVFLVICIFALFIDPLYFYLPNVDGPACLSSNNELAVVVTCFRTFTDLFYLLHMIIKFRTAYVNPSSRVFGRGELVMDPRQIAIRYLKSDFVVDLAATIPVPQIVIWLVIPATRNSRADHANSTLALFVLTQYVPRIFLIFPLNQRIIKTTGVVAKTAWAGAAYNLLLFMLASHIVGAVWYLSSIGRQFSCWRQECRRESESMIVSCLTSFLDCNSMELPERKYWLNVTEVVSNCDAENEKNIKFKFGIFGDAFKNDVANSRFFEKYLYCFWWGLRNLSSYGQTLKTSTYLWEIMFSIVLCLTGLILFSLLIGNMQTYLQSMSIKFEEWRIKQTDTEEWMRHRQLPEDLRDRVRRFMQYKWFATRGVDEESILRSLPLDLCHEIQRHLCLNLVRRVPFFSQMDDQLLDAICERLVSSLSIQGTHIVQEGDPVTEMLFIIRGKLESSTTNGGRSGFFNSIALGPGDFCGEELLTWALMPSSSLNLPSSTRTVRALTEVEAFALRAEDLKFVAGQFKRLHSKKLQHAFRYYSHQWRTWGACFIQAAWRRFKKRKMARDLAMQESFYYMQIPGQEEEGYYYYDEEQADGNYENNENGGRSGESTSNTGSHIGVTFLASKFAANTKRGIAQKAQAVEAASTSLGMPRLFKPDEPDFSVE >ONI14852 pep chromosome:Prunus_persica_NCBIv2:G3:862269:862863:1 gene:PRUPE_3G012700 transcript:ONI14852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSSPKSILGWQGFYQMIRLILLEPDLLEHCKLNYFPTGITPDGDLYDHYSSTIFIPVLCFALLTVLSAHKKCYESTTYILCSRRGLVYLHHHGDAL >ONI15620 pep chromosome:Prunus_persica_NCBIv2:G3:3671403:3673194:-1 gene:PRUPE_3G052000 transcript:ONI15620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVVFDFDKTIIECDSDNWVVDELGATDLFNQLLPTMPWNSLMDRMMEELHSQGKTIEDIAEVLKRTPIHPRVVPAIKAAHALGCDLRIVSDANLFFIETILNHLGLEEYFSEINTNPSYVDEQGRLRISPHHDFTKFSHGCSLCPPNMCKGVVIERIQTSVSTEGKKKIIYLGDGSGDYCPSLKLKEGDFVMPRKNFPLFDLICKDPLLIKAGIHEWTDGEELEQILLSLINTISMEENAQFISADCKLQTISASAHEAFPKALPVQQ >ONI16173 pep chromosome:Prunus_persica_NCBIv2:G3:6097779:6102944:-1 gene:PRUPE_3G082400 transcript:ONI16173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFVTPVVTTVLKKLSSVAIQKLLAVFDVRKDIENLRSSLTNIQLLLNRLENNRMNSSGPTLLNNWLEDLDAAAYDAEDLIESWATEYHQWEMKKQVQKLHLPFSPFNLLFVLRESSKLREVTERIDQHIRNGESYSTIVGNTINRIEDQPQTGPLENSFIVSREEDKKNIIKLLITDEEDDNFSLVSILGMGGLGKTTLARNVIGDKEVGDRFEIIVWACVTKPFKIESILSEIVVSPKTTDTNVSHFTLAKLQERSRGILAGKRFLLVLDNLWNHETNYLDPLLSVLVLGSKGSRVLVTSRFKEVSGIDFKGLKIKPPYNLACLGENESWSLFANFAFKEDSDSDREEFVKYGREIVRKCQGLPLALKQMGALLKSKDLGVWKSIANSQTWREKEKVLPALRLSYNHLHSSYHKQCFAYCSLFPKAHVYEKDELVKMWMAEAIIEPGDEERTEDIGGRYFKDLSDRFFFECTSDAKYKMHDLIHDLAQLISSPFCCQLVKGVGDFKEKARRVSILCNDVDQPALEIIRKSKKLRTVLLQGQNFKAFDKVQREIFHSLRYVRLLDLSSSTPLTQLPDSIGELKLLRYLDLSATEIEKLPDSICKLYNLETLKLLGCHYWNFILPRNFASLVKLRHLELDDMFWFKATFPPSMGCLTSLHNLHKFQVGCKTGYKLEELKNMAYLTGKLHISKLENAVDAGEANLKGKEMLQKVVYEWSNSDLNLQDDDIENQVLEDLEPHPMVLKELEICHYRGTAVPTWMQADRLGQFRKLVNIRLNHCRKIKILSLGKLPELRELLLKNMLELEEWQEEEEEMYRSIKRLRISCCPKLKKVPFLFLNLIDLKIKKCDSLQVIPWGPIKFITLVDNPELKHWNREGLKISIVPYTDENRNTRKYITLTLDMINVKIINCPKLHALPSGLYPQKLEIRGCKSLSNLPDDDHAVRLALLALEACHDDETILNLIVSRVPSSSSLLSLEISNISNLICLPKWPLLPKVETLFIHGCKDLEHLSTPEKRVFEGFTSLKSLSIRNCPMLVTLPVEGLPTSLQYFSIGSCERLESFGPSVDTLNNLTSLTDLYIEDCPAFQSLPEGGLPTSLQHLSIHGCPSLTKRCEKEDGPDWPKIQGISDLEIETPP >ONI20062 pep chromosome:Prunus_persica_NCBIv2:G3:27099181:27102670:-1 gene:PRUPE_3G313100 transcript:ONI20062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHKAASSNNINRRPTVLYLVCAAAFFSVLLFGIQSSLFTGNQKLDLKTEQQDVRILSEFQSTVKQCVANRGLGLTAHIIDHCNLTLKFPEGTNSTWYNEQFKTFEALEYNYNVCEAILLWEQYRNMTTVLTREYLDVRPKGWEEYAAQRIAQLGADKCYNRTLCEEHLNLILPAKPPFHPRQFRTCAVVGNSGDLLKTEFGKEIDSHDAVIRDNEAPVTEKYAKYVGLKRDFRLVVRGAARNMVAILNGSADEVLIIKSVTHKDFNAMIKRITNPVYLFQGIVLRRGAKGTGMKSVELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSKRKQDWSDVPSREMIGRAHAAALRLKRSQAGQAGDLGQFGSCKVMGNVDPDNIGPVSGSPDMSDVRKNSNYNKWEVLPFKSLRKEAQDHFIQMEGASLYKMDGNKLDDLVCVRHSLKSEI >ONI20061 pep chromosome:Prunus_persica_NCBIv2:G3:27098110:27103004:-1 gene:PRUPE_3G313100 transcript:ONI20061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHKAASSNNINRRPTVLYLVCAAAFFSVLLFGIQSSLFTGNQKLDLKTEQQDVRILSEFQSTVKQCVYNEQFKTFEALEYNYNVCEAILLWEQYRNMTTVLTREYLDVRPKGWEEYAAQRIAQLGADKCYNRTLCEEHLNLILPAKPPFHPRQFRTCAVVGNSGDLLKTEFGKEIDSHDAVIRDNEAPVTEKYAKYVGLKRDFRLVVRGAARNMVAILNGSADEVLIIKSVTHKDFNAMIKRITNPVYLFQGIVLRRGAKGTGMKSVELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSKRKQDWSDVPSREMIGRAHAAALRLKRSQAGQAGDLGQFGSCKVMGNVDPDNIGPVSGSPDMSDVRKNSNYNKWEVLPFKSLRKEAQDHFIQMEGASLYKMDGNKLDDLVCVRHSLKSEI >ONI19314 pep chromosome:Prunus_persica_NCBIv2:G3:25220859:25223017:-1 gene:PRUPE_3G271400 transcript:ONI19314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMMVKNEENPGRRRLSTADRETQATRSVKRRRRDPATVAVSRDNNQSEQQLPQKPADPTSATTMKRSSRFRGVSRHRWTGRFEAHLWDKLSWNVTQKKKGKQGAYDEEESAARAYDLAALKYWGTSTFTNFLISDYEKEIEIMQTVSKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSSYIRWLKPTADDPITTQEPETVAEPPNMPFMANSYIPTGESFSHTNPFASDYLNSAREQEVIGSNIPLNTGSKSSSPTALGLLLQSSIFRELVQKNSNFSEDESTDGEETKNQPQVGSDDEFGGIFYDGIGNNPFACSSNSVGDNNPWSSITSTILLNQPTKANASDSLFFFS >ONI18334 pep chromosome:Prunus_persica_NCBIv2:G3:21548444:21549365:-1 gene:PRUPE_3G209900 transcript:ONI18334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPIINLEGLNGEGRKATMEKIKDACENWGFFELVSHGIPTEFLDTVERLTKEHYRQCLEQRFKELVASKGLEAVKTEVNDMDWESTFYLRHLPKSNISEVPDLEDQYRNVMKEFALKLEKLAEQLLDLLCENLGLEQGYLKKAFYGTNGPTFGTKVSNYPPCPNPELIKGLRAHTDAGGLILLFQDDKVSGLQLLKDGQWIDVPPMRHSIVINLGDQLEVTQYSE >ONI18333 pep chromosome:Prunus_persica_NCBIv2:G3:21547735:21549448:-1 gene:PRUPE_3G209900 transcript:ONI18333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPIINLEGLNGEGRKATMEKIKDACENWGFFELVSHGIPTEFLDTVERLTKEHYRQCLEQRFKELVASKGLEAVKTEVNDMDWESTFYLRHLPKSNISEVPDLEDQYRNVMKEFALKLEKLAEQLLDLLCENLGLEQGYLKKAFYGTNGPTFGTKVSNYPPCPNPELIKGLRAHTDAGGLILLFQDDKVSGLQLLKDGQWIDVPPMRHSIVINLGDQLEVITNGKYKSVEHRVIAQTDGTRMSIASFYNPGSDAVIYPAPTLVEKEAEEKNQVYPKFVFEDYMKLYAGLKFQPKEPRFEAMKAVETNISLGPIATA >ONI17538 pep chromosome:Prunus_persica_NCBIv2:G3:18487172:18488757:1 gene:PRUPE_3G165900 transcript:ONI17538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQKTMLLISLFFLISPSLSAKENKYLNNTTFSLSFPLTSSQLSLAPNTSKPLHHASLISNSRRIPRLTSYNQKLSFKYSMAPIVSLPIGTPPQTQQMVLDTGSQLSWIQCHKKTPKSKPPPPPMASFDPSLSSTFSVLPCTHPICKPRVPDFTLPTSCDQNKLCHYSYFFADGTLAEGNLVREKFTFSPSVSTPPLILGCAKDTSDSKGILGMNRGRVSFASEAKITKFSYCIPARPAQTGSNLPTGTFYLGNNPNSAGFRYVDMLTFDPSQRMPNLDPLAYTVVMLGIRIGGKKLSILPSVFRHDPSGAGQTMIDSGSEFTYFVDEAYTKVREEIVRLVGPRLKNGYVYAGVADMCFDGHDVEIGRLIGDMVFEFDKGVEIVTTKEQILADVGGGVRCVAIGQSSKLGAASNIIGNFHQQNQWVEFDLSNRRVGFGRADCSRSV >ONI15339 pep chromosome:Prunus_persica_NCBIv2:G3:2753508:2756304:-1 gene:PRUPE_3G038200 transcript:ONI15339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAGPEDVYLSTSLASYLDKKLLVLLRDGRKLLGILRSFDQFANAVLEGACERVIVGELYCDIPLGLYVIRGENVVLIGELDSEREELPPHMTRVSAAEIKSAQKAEREASDLKGTMRKRMEFLDMD >ONI15378 pep chromosome:Prunus_persica_NCBIv2:G3:2895471:2897333:-1 gene:PRUPE_3G040400 transcript:ONI15378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQEPEPNSTSDHILDWLEDSVTFFPTFLDNPYHSNDINGLQWWDESHDLIHSNTTSTSLNNPISIALPTTTRPQNPTNLNHQSPSDSSKKRKVSDDQHIDQKNHVRPISLAGQGDQLVDEVVVPVKRTNGNRKGTAKTTGNNCNNGNSKEGRWAEQLLNPCALAITGGNLTRVQHLLYVLHELASLTGDANHRLAAHGLRALTQHLSSSGPNGSASAPPVTFASTEPRFFQKSLLKFYEVSPWFAFPNNIANSSILQLFAEESDRTRNLHIVDVGVSHGMQWPTLLEALTRRPGGPPPLVKITVVSAAANTENNQNRETPFSIGPPGDNFSFSLLGFAKSMNINLQINRLDNQPLQNLNAQVIDTSNDEMLIVCVQFRLHNLNHNTPDERTEFLKALRNMEPKGVILSENNMECSCNNCGDFATGFSRQVEYLWRFLDSTSSAFKGRESDERRVMEGEAAKALTNRGEMNEGKEKWCERMRGVGFVGQVFGEDAIDGGRALLRKYDSNWEMRVDEKDGCAGLWWKGQPVSFCSLWKTDVKVMVTKL >ONI18938 pep chromosome:Prunus_persica_NCBIv2:G3:23902168:23903311:1 gene:PRUPE_3G248500 transcript:ONI18938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSNPLELNSLDLALSLKPSYVPKSLNHLFSDLASIDNVPHKLSVLNEYLLKHQEELHRVEEFKRDLPQCVLLLLDAIQTLNNEIEKLSGQDQFDACKNRGSCEDPVERRSAFRKYKSSSPPFNPRPRNQDHTSQDHKVKGKEPILMEPSPRRPFPCDLNMEASGSELELEPEPDPQPQPEVPPPMWKHSRLSWTQELHTKFVEVLSMLGGPAEATPKQIREAMQVEGLTNDQVKSHLQVGFEL >ONI15778 pep chromosome:Prunus_persica_NCBIv2:G3:4380903:4382686:1 gene:PRUPE_3G060900 transcript:ONI15778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPEFYLGGYFDAGASDFLPEKKPAAAGDQFTVDDLLDFSNEDALVADGGFLDAAADSSAITAVDSCNSSVSGGEPQFSGNRNFGDSQFSGDLCVPHDDLAELEWLSNFVEDSFSAEKDLQALQFLSMTTTKPQTPETSSSSETNQNAPLFHPETPLPGKARSKRSRAAPGDWSTRLLHLVTPNDTIKPPKTTTCKKKDGIGGNSNSGSDTSGRKCLHCAAEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVSARHSNSHRKVLELRRQKEVHRSHQHQFLSKSSIFGVSNGGHDEYLIRHHSVNDFRQMM >ONI18795 pep chromosome:Prunus_persica_NCBIv2:G3:23381236:23384231:-1 gene:PRUPE_3G239700 transcript:ONI18795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTMEEPPYGSRRRDETEFSLREWAVKARISRENTNSRRFSASYVRSFREDTRSFRSNITISSTASSPGYNLRDEIDPATYSFTTALKALQARSAYHSWESLSPDGFALNSKWNEAEKYICNPLSGQVPMECLSAKTLSGRSFRNITNRITMSAPLVYSSHSRPIHAKPSSNPAKEDFVRQFPIPEKKTEGTTRDVGTQSTPPDMSSSSPPSSASTPSIIERSLNRFRVGDSPKSNAKLKSDEEVEVKDTREQEETKGEKEERKKRDDEQQRRQGGCLSWMRKRYREKHKPRKKNIFLSHLKGC >ONI14997 pep chromosome:Prunus_persica_NCBIv2:G3:1473284:1475005:1 gene:PRUPE_3G020100 transcript:ONI14997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARVCELCDQEASLYCPSDSAFLCSRCDARVHQANFLVARHIRQYICYNCKGLTGSRNIRSFCSSCSPDNFSGHGNGDGDTQSSSSACSACVSSTDSFGGTAATKAGFDNLKSESSVTQVSGKLSNIPARFSGAKRKCVQRAQARTSTSADAKAKGSFINWCSQLGLNGNYTAAVVSTASNALGFCLGRLAGVPLRVCLAASFWFALRFCGGRSVSTRQNLRRIEELSGVPAKLILAVEAKLGRELRIRRARRDDLEEGWAEC >ONI18989 pep chromosome:Prunus_persica_NCBIv2:G3:24171482:24173974:1 gene:PRUPE_3G251800 transcript:ONI18989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPSRRLHKSITMKPILTPLFLPVFLHIITVHVAGDTSPIYTPVDDITVQCGFSGHQLNTEDNRTWTGDINSKFSPLENQAAGRSSTYRQAPSSSPVNQLPYSTARLSLYEFTYEFPVTSGQKFVRLYFNPVSYGPDFDPSNALFSVTAGGFTLLEDFNASVTADAFGLDTIYREFCLNVESDESLNIAFTPTRATQDTYAFINGIEIVSMPNNLYYTSAENSDGVNYVGSDNTFRIENSTALEMVYRFNVGGRSLVFIQDTGMYRNWDGGQKENKYLDDLSYKFSVLPENSSIELNFTDIAEYSAPEELYHTGRSMGLNKTINKSYNLTWEFPVDPKFLYLVRLHFCEFEPKITKARDRQFQIFIANQTAEQLADIIEWSGGNGRPIYKDYVVFMPAGPGSQKKVSLFLALQANPKDFMTNYNDAILNGLEIFKLSDTNRNLAGPNPDPPLLITPRVIAPPQSRKFSNKSSTSLIAIIAGLVSGVLVLGSVLGFFLVFRRGRKNKDSSSNQGMKWDPFSFPATHRTYGPSYLCRYFTLAEIKAATRNFDDSFIIGVGGFGNVYKGCIDDGATLVAIKRLKSESSQGAHEFKTEIELLSQFRHRHLVSLIGYCKDGNEMVLVYDYMSRGTLDSHLYHTDNPLFLGISVSKFVSALQ >ONI15545 pep chromosome:Prunus_persica_NCBIv2:G3:3458395:3460088:-1 gene:PRUPE_3G048900 transcript:ONI15545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPTVAFRAILVGGIAAFAKVAGVMKAAGGAKVGAAAAMATAAATAAIGSRESKDSK >ONI16667 pep chromosome:Prunus_persica_NCBIv2:G3:9623546:9624573:1 gene:PRUPE_3G114200 transcript:ONI16667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGAYVVLGGGHAEELGIMQDNSGGIILLCMILMSLSLISMVIFACGDDEGSSKKRYRNRGGGGGAAAGAGAAGAGAGAAAAAAAAACGGGGGGCGGGGGCGGGGGGGGGGGGGGGGGGC >ONI16669 pep chromosome:Prunus_persica_NCBIv2:G3:9623546:9624573:1 gene:PRUPE_3G114200 transcript:ONI16669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGAYVVLGGGHAEELGIMQDNSGGIILLCMILMSLSLISMVIFACGDDEGSSKKRYRNRGGGGGAAAGAGAAGAGAGAAAAAAAAACGGGGGGGGC >ONI16668 pep chromosome:Prunus_persica_NCBIv2:G3:9623546:9624577:1 gene:PRUPE_3G114200 transcript:ONI16668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGAYVVLGGGHAEELGIMQDNSGGIILLCMILMSLSLISMVIFACGDDEGSSKKRYRNRGGGGGAAAGAGAAGAGAGAAAAAAAAACGGGGGGCGGGGGCGGGGC >ONI15711 pep chromosome:Prunus_persica_NCBIv2:G3:4048297:4050321:1 gene:PRUPE_3G057100 transcript:ONI15711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILPILVFFLATVVSTTQGHEPLVTHHIHLLRPKSGAGGDSVPGVSCLSWRLGVETNNIINWKTVPAECESYVGHYLLGHQYRKDSKVVTNEAWLYAKSLNLTNDGKNVWVFDIDETTLSNLPYYADHGFGTELYNSTAFNTWVLEGTAPALPESLKLYKKLLKLGVKVVFITGSGEGQRNVTTTNLKNVGYHTWEKLVLKGSTYSGNTSYVYKSTERTKLVKSGFRIIGNIGDQWSDILGTNVGNRTFKLPDPMYYIS >ONI14730 pep chromosome:Prunus_persica_NCBIv2:G3:286224:288853:-1 gene:PRUPE_3G004500 transcript:ONI14730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRCKFWLPKKKRFCANIPLNESLFCGNHTPRSDSQWIPCPIDPSHSVLKENLEGHVRRCPLLKQVQYLTLQPFYQKGINAGKEEDQEELETFRPKGADGLESSNDPALGSLDNILSEMKRNAVYSMTVLDFYKLIEKIEHVHESICKDIQDSYKVPEACGIWIKREVDRKLPFQEKHVMQQVSILGNLEGLGVLKSSLGKERADYDDGTGIPAVVEFGAGRGYLTQMLADCYGIKKVFLVERKSYKLKADRSLRQKESLILQRLRIDIEDLNLNAVESLREDPYLAIGKHLCGPATDLTLRCCLGEHSNQSNAELNSVNPNLRGLAIATCCHHLCQWKHYINKKYLLELGITKEEFHAITWFTSWAVDADHGADLPDVTDCKLHLESIEKKQCGEDYGVEEIVRNMKAVERAVLGFMCKKIIDMGRLMWMKEHGLDARFVKYVPSSVSPENHLLIGRCPNHL >ONI14962 pep chromosome:Prunus_persica_NCBIv2:G3:1305895:1310558:-1 gene:PRUPE_3G018400 transcript:ONI14962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKSCTLLVHFDKGTPALANEIKEALEGNDVELKIEALKKAIMLLLNGDTIPQLFITIIRYVLPSEDHTVQKLLLLYLEIIEKTDSKGKILPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEAEIIEPLIPSILTNLEHRHPFVRRNAVLAIMFVYRLPQGETLLDSAPEIIDRFLASEQDPSSKRNAFLMLFNCAQDRAVNYLFTHISRIIEWGEQLQMVVLELIKKVCRVNKGEKSKYIKIIISLLDAPSSAVVYECAGTLVSLSSAPTAIKAAANTYSQLLLSQSDNNVKLILLDRISELKASHREIMVELVMDVLRALSSPNVDIRRKTLDIVLDLITPRNINQVVMMLKKEVVKTQSGELEKNGEYRQMLVQAIYACAVKFPEVASTVVHPLMDFLGDTNVASALDVAVFVREIIETNPKLRVSIITRLLDTFYQIRNSRVCTHSLWIIGEYCLSLSEVESGIATIKQCLGELPFYTASEEKEAQDATKNSQVVSSTTVSSRRPVILADGTYATQSAALETAMSAPVLVQGSLTSVGNLRSLILSGDFFLETVVACTLTKLVLRLEEIQPSKAEVNKATTQALLIMVSMLQLGQSSVLPHPIDNDSRDRIVLCIRLLCHKGEEVRKIWLESCRQSFVKMLADKQLLETEETKAKAQILSAQPDDLIDFYHLKSRKGMSQLELEDAVQDDLKRATGEFTKDKDDANKLNRILQLTGFSDPVYAEAYVTVNHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYEASSNVLDRSVIVLNDIHIDIMDYISPASCADVSFRTMWAEFEWENKVAVNTVIQDEKEFLNHVVKATNMKCLTPPSALEGECGVLAANLYAKSVFGEDALVNVSVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGL >ONI19020 pep chromosome:Prunus_persica_NCBIv2:G3:24324607:24325328:-1 gene:PRUPE_3G254100 transcript:ONI19020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METCKYKENKKGENSEKPSIPSSLVSLPSGVLVLVGADASGTEAGGADAGKLFSAFLGNREAKTSM >ONI18088 pep chromosome:Prunus_persica_NCBIv2:G3:20709846:20710466:-1 gene:PRUPE_3G196300 transcript:ONI18088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIWCLNDGVSISNVDANRFLFTFSCESDKRQVIHGNPWTFDKALLLLANYDGLCNPATVPLTTQDFWVQVHDLPVLYMNPVVRELIVRSLGGYIEIEQSRTRLWVGSFLRIKVHLDVTKALRLRLQYERLPIFCYICGLLNHTEKNYGVRATLGEVEPRPYGSWLRAALEGPNLQGWSGRTFFTQDPFAK >ONI15824 pep chromosome:Prunus_persica_NCBIv2:G3:4544446:4545734:-1 gene:PRUPE_3G063400 transcript:ONI15824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWGDGADTLVYGDAWIPRPTSFRSISPPVLDQETKIIAFIFPNGVWNIELLKLCFYEEDVRASANYHKDRWIWHYTNNGIYSVKNCNGDAGSSSDPRAQNSFWRKVWSLEIPQKLIFFNWRAIHKSRPCKANLLKRKIVSDDACPICIVNSENLIHVVWSCPGAQKVWKKVRFMEVFKGLQLSTYGDFFEACSKKEEMIFNGAVHLTEEYGDLMRRESNIVIEKASKWSHPPVGKFKLNVDAAYIPDTGVGGIGAVIRNEKGEVMVATALPLHTATSPKHAEIMALQFGLNFAWDAGFSSILVESDSQTVVNNLNTDEESFAPKGHLIDDVKRSLQQLENATVSFIPSGCNQVGIILPKEMTAFYEHSGKQKIKIGRGAGIQLCK >ONI19360 pep chromosome:Prunus_persica_NCBIv2:G3:25356774:25359867:1 gene:PRUPE_3G274500 transcript:ONI19360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPANTVETHDSESVISSLQDLSFKNSFSISMCSSTVSGSDNSVSSSTKVSDGTQEANKNSENAESSIVDCEDDSDKSTLCQAGNSSRFDPNEGSFRSFCPSKPHKGNDMRWDAIQCVKAKDGDLGLGHFRLLKKLGCGDIGSVYLAELRGLGCLFAMKVMDRGMLAGRKKLIRAQTERDILGLLDHPFLPTLYSNFETEKFSCLLMEFCCGGDLHTLRQRQPGKHFTEQAARFYASEVLLALEYLHMMGVVYRDLKPENVLVRQDGHIMLSDFDLSLRCYVNPTLVQSSDEPSCRISSYCIQPSCILDPACKLPVCVQPSCLQPSCFKPRFLRSKSTKEKSERSTSGNSDSLPVLIAEPTNARSMSFVGTHEYLAPEIIRGDGHGSAVDWWTFGIFLYELLHGRTPFKGNGNRETLFNVVGQSLKFPDGSNASFAAKDLIRGLLVKNPQKRLGFKRGATEIKQHPFFANVNWALIRSTHPPEIPKPFDLAVLNHTFKSAVPQNDKGATDSNRSSGPYLDFEFF >ONI19600 pep chromosome:Prunus_persica_NCBIv2:G3:25905123:25906711:1 gene:PRUPE_3G286900 transcript:ONI19600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYVYEQGGPVLAISSQNTMVHVYFNPKQKSLSFSSECEGWHVTSLQGSPINRRFLLPPIHFGFQSRTRLNISQLLHHHTPLVLRYFFYFLPRLTTISETFGLFFIFLLIYLYWKNIYNCFDFITNFNLNFKFTMERVFPNFSNGVCPTISWLNHIVDFFSANYKK >ONI16912 pep chromosome:Prunus_persica_NCBIv2:G3:13123945:13124525:-1 gene:PRUPE_3G129300 transcript:ONI16912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVALKTLQEKRKPHALSVLHISPFVSSLYLSGLSISFPDFISKPNLNTQNLSHNRAPLLSSNHTVCIHKSPICFAKLLLSPSTNCHFARPNSHCHLLFLISTPELNPKTPIANLRKTQIHLTFSHLYYHR >ONI18142 pep chromosome:Prunus_persica_NCBIv2:G3:20849026:20849740:1 gene:PRUPE_3G199100 transcript:ONI18142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISKDPTKKGNLRIKFDVVFPSKQQNHEEPHKTQRWAQHHKSTLQISTLPSKYPNQRHVFLSGNHDLAFAAFVGVLPKPLDGSEFSVAWKEYEANEEREGWFKGDGYERIVFIFIYFLFFIFLGTTSHAFPPQWTALVDSTCL >ONI15767 pep chromosome:Prunus_persica_NCBIv2:G3:4326582:4328728:1 gene:PRUPE_3G060100 transcript:ONI15767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPQPVSENPIDFRAPPPSPIASGRRSLVTNDEVLTEYLEHSLQVPDLILPDKFFPKQNSLENPPTIDLLALNLDEFDASLCKVVESIARNGCFQLVNHGISSELVSSVQAAATGIFQVPPEKRAEITRLPEKPYGFEEVHGEEVGSELSEEFVWCRDQGLKLTMERIAPIGYSNFGEKLETLMTDIEKVSGKILPSLLKNSQTKFVYGNDDMVQGKELGTVCCLYRHSQNVLEDEWDSSLRYDVIKMLIRGTDYSHALCLHLCDGSSEFHVYSKKGWVSFTPDKNALVVTTGDQIQAWSGGQYKHVIGRPIFKGEEDCISMAFLYSPPTFISNSQSNKEKTISLGEQAIMAIFLTLVYQFLVYFYRNF >ONI19973 pep chromosome:Prunus_persica_NCBIv2:G3:26884295:26887039:1 gene:PRUPE_3G308700 transcript:ONI19973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGSKQTQEIPSFLSLPQPQQQQQQQQQQQQQQQPNMSENKPAEIKDFQIVIADKEEGKKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALTAAGGSVSQQGTSLSAGLHQKIDELGGSSIGSGSRTSWAMVGGNLGRPHVATGLWPPVSSFGFQSSSGPSTTNLGSESSNYMQKIGFPGFDLPVSNMGPMSFTSILGGGSNQQLPGLELGLSQDGHIGVLNSQALSQIYQQMGHARVHQHQHQHQHQHQHQQPPAKDDSQGSGQ >ONI19971 pep chromosome:Prunus_persica_NCBIv2:G3:26884295:26887810:1 gene:PRUPE_3G308700 transcript:ONI19971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGSKQTQEIPSFLSLPQPQQQQQQQQQQQQQQQPNMSENKPAEIKDFQIVIADKEEGKKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALTAAGGSVSQQGTSLSAGLHQKIDELGGSSIGSGSRTSWAMVGGNLGRPHVATGLWPPVSSFGFQSSSGPSTTNLGSESSNYMQKIGFPGFDLPVSNMGPMSFTSILGGGSNQQLPGLELGLSQDGHIGVLNSQALSQIYQQMGHARVHQHQHQHQHQHQHQQPPAKDDSQGSGQ >ONI19972 pep chromosome:Prunus_persica_NCBIv2:G3:26884295:26888652:1 gene:PRUPE_3G308700 transcript:ONI19972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGSKQTQEIPSFLSLPQPQQQQQQQQQQQQQQQPNMSENKPAEIKDFQIVIADKEEGKKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALTAAGGSVSQQGTSLSAGLHQKIDELGGSSIGSGSRTSWAMVGGNLGRPHVATGLWPPVSSFGFQSSSGPSTTNLGSESSNYMQKIGFPGFDLPVSNMGPMSFTSILGGGSNQQLPGLELGLSQDGHIGVLNSQALSQIYQQMGHARVHQHQHQHQHQHQHQQPPAKDDSQGSGQ >ONI19974 pep chromosome:Prunus_persica_NCBIv2:G3:26884295:26887757:1 gene:PRUPE_3G308700 transcript:ONI19974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKGSKQTQEIPSFLSLPQPQQQQQQQQQQQQQQQPNMSENKPAEIKDFQIVIADKEEGKKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALTAAGGSVSQQGTSLSAGLHQKIDELGGSSIGSGSRTSWAMVGGNLGRPHVATGLWPPVSSFGFQSSSGPSTTNLGSESSNYMQKIGFPGFDLPVSNMGPMSFTSILGGGSNQQLPGLELGLSQDGHIGVLNSQALSQIYQQMGHARVHQHQHQHQHQHQHQQPPAKDDSQGSGQ >ONI18566 pep chromosome:Prunus_persica_NCBIv2:G3:22350766:22352989:1 gene:PRUPE_3G223500 transcript:ONI18566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGLICLVLAGFMVLQATMADKSRDLELNPFEEVKFQDGVFVREAEHSVSVAAEPSSESMQEAQGAEAPTSRRLGPGKHHSSDKSVAGGGVIIGGLVTTIFAAVFCYIRVTRRRGGVH >ONI18567 pep chromosome:Prunus_persica_NCBIv2:G3:22350766:22352409:1 gene:PRUPE_3G223500 transcript:ONI18567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGLICLVLAGFMVLQATMADKSRDLELNPFEEVKFQDGVFVREAEHSVSVAAEPSSESMQEAQGAEAPTSRRLGPGKHHSSDKSVAGGGVIIGGLVTTIFAAVFCYIRVTRRRGGVH >ONI16014 pep chromosome:Prunus_persica_NCBIv2:G3:5335276:5336029:-1 gene:PRUPE_3G074000 transcript:ONI16014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGECMRSALVTLFIFAMVLSPILTSEAARFTFPDTTPICPDCVCCAPPPPGYCCDCNCAAPIKTHTDE >ONI18094 pep chromosome:Prunus_persica_NCBIv2:G3:20724731:20728082:1 gene:PRUPE_3G196500 transcript:ONI18094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKSYKDDAWYDVRIETESNGDSGGNGRRLRVKFSGFADEHDEVVDGKDLKSFKDVDFLRCRFRPMSVQLQDTECSQVHKGLSVCAAHSAYPDDRRFYDAIVDGVVRNEHRFENGEEQCTCSFILSWKHGPYYGSLSEQALENICRIQPPLAVDKLDPLLASFLNSARKTVQATGFFDSGARSQRLKQETKLARSTSSHLKGDDVDIGGVPHMIIIDNLEKGISPLTIMEFIHYHLSFPCQAFVSPSRVLESYARGAILLHSKRNFDKLSAFLENPDHIIISSRGRPWLMTEKTPVHDTHMALIETFRLTSQNVQQTRRTGTCNELKVVISGTKEYSTAKQLKDLFERLANHICKLHQRLVVEEDRILQLPDEV >ONI18095 pep chromosome:Prunus_persica_NCBIv2:G3:20724731:20728082:1 gene:PRUPE_3G196500 transcript:ONI18095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKSYKDDAWYDVRIETESNGDSGGNGRRLRVKFSGFADEHDEVVDGKDLKSFKDVDFLRCRFRPMSVQLQDTECSQVHKGLSVCAAHSAYPDDRRFYDAIVDGVVRNEHRFENGEEQCTCSFILSWKHGPYYGSLSEQALENICRIQPPLAVDKLDPLLASFLNSARKTVQATGFFDSGARSQRLKQETKLARSTSSHLKGDDVDIGGVPHMIIIDNLEKGISPLTIMEFIHYHLSFPCQAFVSPSRVLESYARGAILLHSKRNFDKLSAFLENPDHIIISSRGRPWLMTEKTPVHDTHMALIETFRLTSQTRRTGTCNELKVVISGTKEYSTAKQLKDLFERLANHICKLHQRLVVEEDRILQLPDEV >ONI19084 pep chromosome:Prunus_persica_NCBIv2:G3:24522537:24524158:1 gene:PRUPE_3G257800 transcript:ONI19084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISIKFNPKTQTPPQFVLLNLEHKPIISIQSFTFSSNPTHQIPTLAIPNSATETLNPPTNSTPKARLHQQEQQEEQQLHAHSITSSLLSRIEALEASLHRYSSYRSQSSYSRSLRDSAARVIQTHFRAFLVRRSRTLRQLKDLAFIKSAFNSLKSSISNDTHFDFHAVSQKAIDLLLKLDSIQCGDPIVRDGKRSISRDLVRFMEFIDGVVMKRHGLSLKAVKNARFGQNVNKSRVLPTKCDDLGRDQREMIGKLRDRIEKIRGFARVSENDEEDVELEGFQHVSDEDEENLMTRFKNGVLVKRHGLQPKVKKNVSFAENGNVYRVFSNSDEPVSSGDGSDSSDDHGELVENLRSEVEDVKGFAQETEDDDEAHTENDGSPQASDGERNPRARMTQRREDIYETKVHDLGRNGYLLFSAPLPVKMESKADIIKRNKAVKLVK >ONI18627 pep chromosome:Prunus_persica_NCBIv2:G3:22710908:22713300:-1 gene:PRUPE_3G228000 transcript:ONI18627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLENPLTISHDIHSDTLTSMFSLESDHMPSEIYFQTVQARDFDISIRREAIASISQYLAVNYLDRFLSCQGMLQPKPWLIKLLAISCVSLAAKMKKADFSLADVQGDGRIIFDTQTIQRMEVLILGALKWRMRSITPFSFISFFNSLFKLEDPPLLQALKARAAQIIFKSQKDVELLGFKPSIIAASALLSASHELFPMQYPCFKKALSNCSYVNKENMLQCYSAMQDIVADGFDSVLEMVSSSVTAANVLDHNFSSSAESGTTTVTGMTPLRLEKDMKRRKISEYCKDHRVQISQIQNC >ONI18628 pep chromosome:Prunus_persica_NCBIv2:G3:22711443:22713056:-1 gene:PRUPE_3G228000 transcript:ONI18628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLENPLTISHDIHSDTLTSMFSLESDHMPSEIYFQTVQARDFDISIRREAIASISQLCCNYDNLLQYLAVNYLDRFLSCQGMLQPKPWLIKLLAISCVSLAAKMKKADFSLADVQGDGRIIFDTQTIQRMEVLILGALKWRMRSITPFSFISFFNSLFKLEDPPLLQALKARAAQIIFKSQKDVELLGFKPSIIAASALLSASHELFPMQYPCFKKALSNCSYVNKENMLQCYSAMQDIVADGFDSVLEMVSSSVTAANVLDHNFSSSAESGTTTVTGMTPLRLEKDMKRRKISEYCKDHRVQISQIQNC >ONI17803 pep chromosome:Prunus_persica_NCBIv2:G3:19572965:19574049:1 gene:PRUPE_3G179700 transcript:ONI17803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLCAFTLYLSKSMPSPIPIITASQLEQALKIPSFLYILLSYIFSKHNHTSFDNFFLHIYLKHNREKINPSFN >ONI18618 pep chromosome:Prunus_persica_NCBIv2:G3:22645109:22647031:-1 gene:PRUPE_3G227200 transcript:ONI18618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPPSVDIQPSSSRFPLTSAAPTASGGDQRKVAIAVDLSEESAFAVQWAVQNYLRPGDSVVLLHVRPTSGLYGADWGSVDPNLPNDAVSSSESRQKLEDDFDAFTTTKAADLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLRLGVVIMGSRGFGASKRAAKVGRLGSVTDYCVQHCVCPVVVVRFSDDSDGSEPNGPNPVELHPVPEEDHEELSDADSGEDDPQEIA >ONI15840 pep chromosome:Prunus_persica_NCBIv2:G3:4614357:4617444:1 gene:PRUPE_3G064500 transcript:ONI15840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGNPNWWSSMHPPSLFPSQYVQLGSSNSLPLNSLPEHNLEPPQSWSQLLLGGLSSQEDGFGTLSHFQPKGRLENWEEDQILIPQYPRAPVVDFIKQESNSQNSINLCNNPHGDEEFQLAPSSRPVWSPVQIMPVSSPRSCVTSLSNNNILDFTYNKADNKRNQHAVHSSECNSTATGGMCKKARVQPSPSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGNASKNMRNQQSLLNDNCLKRKGAPNQHPSSQDKAKDLRSKGLCLVPVSCTQHVGSDNGADYWAPAYGSGF >ONI15839 pep chromosome:Prunus_persica_NCBIv2:G3:4614357:4617444:1 gene:PRUPE_3G064500 transcript:ONI15839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGNPNWWSSMHPPSLFPSQYVQLGSSNSLPLNSLPEHNLEPPQSWSQLLLGGLSSQEDGFGTLSHFQPKGRLENWEEDQILIPQYPRAPVVDFIKQESNSQNSINLCNNPHGDEEFQLAPSSRPVWSPVQIMPVSSPRSCVTSLSNNNILDFTYNKADNKRNQHAVHSSECNSTATGGMCKKARVQPSPSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGNASKNMRNQQSVQGERNCVFPEDPGQLLNDNCLKRKGAPNQHPSSQDKAKDLRSKGLCLVPVSCTQHVGSDNGADYWAPAYGSGF >ONI15841 pep chromosome:Prunus_persica_NCBIv2:G3:4614707:4616664:1 gene:PRUPE_3G064500 transcript:ONI15841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGNPNWWSSMHPPSLFPSQYVQLGSSNSLPLNSLPEHNLEPPQSWSQLLLGGLSSQEDGFGTLSHFQPKGRLENWEEDQILIPQYPRAPVVDFIKQESNSQNSINLCNNPHGDEEFQLAPSSRPVWSPVQIMPVSSPRSCVTSLSNNNILDFTYNKADNKRNQHAVHSSECNSTATGGMCKKARVQPSPSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGNASKNMRNQQSVQGERNCVFPEDPGQVCFIGSITPSKASREGFEYKKASNKLFYS >ONI18765 pep chromosome:Prunus_persica_NCBIv2:G3:23264285:23264884:-1 gene:PRUPE_3G237600 transcript:ONI18765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELENRFGMNLQLFDKEWQGVVIEEDGIANMMMGSQFTLVARVLTTRAIHRDVFVGAFKSLWKGIDEVSIKEIDDSLFLVRFTNQRDMHRVLDMELWTFRDSLVLLAKVWTSIDARSINLTLGTFWVQLHGIPPLTMTAAVAQKIGSLVGRVIEVDQTGDEDCLGHFLRVHIRIDVSQALMRGAFVAFLEKGSRWVDL >ONI15419 pep chromosome:Prunus_persica_NCBIv2:G3:3059943:3064653:1 gene:PRUPE_3G043000 transcript:ONI15419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDIVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLTLTHVDEKLCYQILHEYKIHNAELLFREDATVDDLIDVIEGNRKYMKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNFDRLLAKMWEEMGLVRVYTKPQGQQPDFGDPVVLSADRGGCSVGDFCNHIHRSLMKEVKYVLVWGTSARHYPQHCGLGHVLNDEDVVQIVKKKDKEGEGRGRFKSHSTAPARISDREKKAPLKQ >ONI15418 pep chromosome:Prunus_persica_NCBIv2:G3:3059945:3064025:1 gene:PRUPE_3G043000 transcript:ONI15418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDIVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLTLTHVDEKLCYQILHEYKIHNAELLFREDATVDDLIDVIEGNRKYMKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNFDRLLAKMWEEMGLVRVYTKPQGQQPDFGDPVVLSADRGGCSVGDFCNHIHRSLMKEVKYVLVWGTSARHYPQHCGLGHVLNDEDVVQIVKKKDKEGEGRGRFKSHSTAPARISDREKKAPLKQ >ONI15956 pep chromosome:Prunus_persica_NCBIv2:G3:5086727:5100908:-1 gene:PRUPE_3G071000 transcript:ONI15956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYNIRGIDVDFPFEAYDCQLVYMEKVIQSLQERSNALLESPTGTGKTLCLLCATLAWRKSLGVFSTGPNVKMSQITGEKSGVPLSQSATKDLPTIIYASRTHSQIRQVIKELKRSSYRPKMVVLGSREQLCINEEVSLLRGRTQTKACHFLCRRTKETKDKKRHCAHYSRGSGYLKNNPHLGDEPIDIEDLVNTGRKLGPCPYYLSRELHKVVDILFAPYNYLIDHGYRKSLQIDWKNSILIFDEAHNLESICADAASFDLPSWLLTACTSEAKNCIDLSMKRREESTDKSQNPDDFAILRALLLKLEKRISEVPIESKELGYTKPGPYIYELLAELNITQDTVPKLIKILEDATVLMEEENQNKANRSVCRLESISDMFNLIFRDTDNNHAKSYRVHVQEVEAAAIDGLKGKASRTLSWWCFHPGIAMEEFAKMNVGSIILTSGTLSPLDSFAQELKLEFPVRLENPHVITPNQIWAGVVPAGPSGFSFNSSYRNRDSLEYKRDLGNAIVNFARIVPDGLLVFFPSYYILDQCIACWKNTSHANSTTIWERISKHKKPVVEPRQSSLFLLSIEDYMAKLKDTSASGAVFFAVCRGKVSEGLDFADHAGRAVVITGLPFATRNDPKVRLKREYLDQQAYSQRQVCKLEVLTGEDWYNQQALRAVNQAVGRVIRHRHDYGAIIFCDERFAQPNRQSQISLWIQPHIKCYSKFGDVIFSLTRFFRDGGARGPTKLKLLQTEKWDAISTSNSVLDEQTNEAPFDHKPYSVGSSTSVHGTSGKIYELKTEQPPEKLYLDKFLPPTTAVSQDSLVKSSSSLLKAGRDKLSSLLGEVLPANRSSLTSFQVHKMPKLVQHCCVKTRGSYNREMLSF >ONI15955 pep chromosome:Prunus_persica_NCBIv2:G3:5087298:5100799:-1 gene:PRUPE_3G071000 transcript:ONI15955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYNIRGIDVDFPFEAYDCQLVYMEKVIQSLQERSNALLESPTGTGKTLCLLCATLAWRKSLGVFSTGPNVKMSQITGEKSGVPLSQSATKDLPTIIYASRTHSQIRQVIKELKRSSYRPKMVVLGSREQLCINEEVSLLRGRTQTKACHFLCRRTKETKDKKRHCAHYSRGSGYLKNNPHLGDEPIDIEDLVNTGRKLGPCPYYLSRELHKVVDILFAPYNYLIDHGYRKSLQIDWKNSILIFDEAHNLESICADAASFDLPSWLLTACTSEAKNCIDLSMKRREESTDKSQNPDDFAILRALLLKLEKRISEVPIESKELGYTKPGPYIYELLAELNITQDTVPKLIKILEDATVLMEEENQNKANRSVCRLESISDMFNLIFRDTDNNHAKSYRVHVQEVEAAAIDGLKGKASRTLSWWCFHPGIAMEEFAKMNVGSIILTSGTLSPLDSFAQELKLEFPVRLENPHVITPNQIWAGVVPAGPSGFSFNSSYRNRDSLEYKRDLGNAIVNFARIVPDGLLVFFPSYYILDQCIACWKNTSHANSTTIWERISKHKKPVVEPRQSSLFLLSIEDYMAKLKDTSASGAVFFAVCRGKVSEGLDFADHAGRAVVITGLPFATRNDPKVRLKREYLDQQAYSQRQVCKLEVLTGEDWYNQQALRAVNQAVGRVIRHRHDYGAIIFCDERFAQPNRQSQISLWIQPHIKCYSKFGDVIFSLTRFFRDGGARGPTKLKLLQTEKWDAISTSNSVLDEQTNEAPFDHKPYSVGSSTSVHGTSGKIYELKTEQPPEKLYLDKFLPPTTAVSQDSLVKSSSSLLKAGRDKLSSLLGEVLPANRSSLTSCKDQSVSLKCSNNLFRNEKKLLICERETLQCQDSKVFGFPGKNEKQGEELITPCSAKRCKLSTEHDSVHLIDNSNKHSSLAKDSDSYGGFPNINLRSESSHISDSSSSQNAQVGSALLRKDKRIIQQGDAEFLSNKNKSAISIPVLSGDKETRGSEFLVQVSVLMMQMLFSHRPWLESNINY >ONI15954 pep chromosome:Prunus_persica_NCBIv2:G3:5084644:5100908:-1 gene:PRUPE_3G071000 transcript:ONI15954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYNIRGIDVDFPFEAYDCQLVYMEKVIQSLQERSNALLESPTGTGKTLCLLCATLAWRKSLGVFSTGPNVKMSQITGEKSGVPLSQSATKDLPTIIYASRTHSQIRQVIKELKRSSYRPKMVVLGSREQLCINEEVSLLRGRTQTKACHFLCRRTKETKDKKRHCAHYSRGSGYLKNNPHLGDEPIDIEDLVNTGRKLGPCPYYLSRELHKVVDILFAPYNYLIDHGYRKSLQIDWKNSILIFDEAHNLESICADAASFDLPSWLLTACTSEAKNCIDLSMKRREESTDKSQNPDDFAILRALLLKLEKRISEVPIESKELGYTKPGPYIYELLAELNITQDTVPKLIKILEDATVLMEEENQNKANRSVCRLESISDMFNLIFRDTDNNHAKSYRVHVQEVEAAAIDGLKGKASRTLSWWCFHPGIAMEEFAKMNVGSIILTSGTLSPLDSFAQELKLEFPVRLENPHVITPNQIWAGVVPAGPSGFSFNSSYRNRDSLEYKRDLGNAIVNFARIVPDGLLVFFPSYYILDQCIACWKNTSHANSTTIWERISKHKKPVVEPRQSSLFLLSIEDYMAKLKDTSASGAVFFAVCRGKVSEGLDFADHAGRAVVITGLPFATRNDPKVRLKREYLDQQAYSQRQVCKLEVLTGEDWYNQQALRAVNQAVGRVIRHRHDYGAIIFCDERFAQPNRQSQISLWIQPHIKCYSKFGDVIFSLTRFFRDGGARGPTKLKLLQTEKWDAISTSNSVLDEQTNEAPFDHKPYSVGSSTSVHGTSGKIYELKTEQPPEKLYLDKFLPPTTAVSQDSLVKSSSSLLKAGRDKLSSLLGEVLPANRSSLTSCKDQSVSLKCSNNLFRNEKKLLICERETLQCQDSKVFGFPGKNEKQGEELITPCSAKRCKLSTEHDSVHLIDNSNKHSSLAKDSDSYGGFPNINLRSESSHISDSSSSQNAQVGSALLRKDKRIIQQGDAEFLSNKNKSAISIPVLSGDKETRGSEFLVQVEEKLSTLEYKEFVNLMKALKSKAMKMNEVLQSIASLFSGRERLPLLKRFKDFIPVKYHSMYEHYFQTNDGL >ONI15527 pep chromosome:Prunus_persica_NCBIv2:G3:3391408:3393757:1 gene:PRUPE_3G048000 transcript:ONI15527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFPERSRFDDNQIAYNGNEEFEQPPEQQYEEFEQPPEQQFDLGRGFETNHNVDSEGNEFRDSFNHRDSAAGKLFVGGVSWETTEEAFTNYFSKYGEIADSVIMIDKHSGRPRGFGFVTFADPGVVDSVLEEEHVIDGRVVEVKRTVPRENMGFKGISKRKKIFVGGIPTSLTDGILGEYFSTYGSIVEHQIMVDHKTGRSRGFGFVTFENEDALDKVFSEGKIHELGGKQVEIKKAEPKRGGGDFSGSAPKSYGGLGGAAAGYGAYNSASRYNGKMGRGYGGGGYPTYGTYNNYGGNYAGSYAGFYGGYGGYGYGFGYGGPMYGNAGYAASGYGMPAGYGGNTAYGGGRGYGNGAVGRGGGSGGGSYGSGRGYDRSGGSTTGRYHPYQK >ONI17592 pep chromosome:Prunus_persica_NCBIv2:G3:18752319:18760596:1 gene:PRUPE_3G168600 transcript:ONI17592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFVVLLVLQLLQQVNAKHSYEAEGGSRCDLYEGRWVFDDLHPLYDSTHCPFIEKIFNCQKNGRPDKLYQQYRWQPSACNLPRFSAEDFLQRFKDKRIMFIGDSLSLNQWQSLACMLHTAMPHSEFTIEIPPLKYTTERTLSTFTFPQYNVSVMFARNVFLVDIEKEGSWQVLKLNSVQDTEVWEGMDVLIFNTWHWFIHTGNIQPWDFIQDGKNTYRDMNRYVAFEQALETWARWVDETIDPSKTKVFFQGISPTHFNASLWGFHEGKNCSKEMRPLPEPPRFSNPAEVIVEKVLQSMSKPVHLLNITKLSQQRTDAHPSVYGYGAQNGMDCSHWCLAGVPDAWNELLYAELIRN >ONI18845 pep chromosome:Prunus_persica_NCBIv2:G3:23593807:23595862:1 gene:PRUPE_3G243100 transcript:ONI18845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELDVQIPTAFDPFAEANAEDSGAGTKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPEQGQVIQLQGDQRKNVSSFLVQAGIVKKDNIKIHGF >ONI20113 pep chromosome:Prunus_persica_NCBIv2:G3:27245723:27252594:1 gene:PRUPE_3G315800 transcript:ONI20113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQYYNTNYYNIIVAEPPPVAILTSYSELKRRKSIPNSVPPTPPPLSLTSSSSSLWGRSRFKSWGWGTSSGSSIMSTSNNKVIWGMESSSANNITTTNHLRHVESMATMPSGAGNIPHLNAIVLGEALAFEENDLIFPSDDFSRQAHVPSPGKYLEMYKRSIEDPAGFWSDIASSFYWKQKWGQQVYLENLDVRKGDIRIEWFKGGITNICYNCLDSNVEAGLGDKIALYWEGNEPGVDATLTYIQLLHNVCQLANYLKDIGVKKGDAVVVYLPMLMELPITMLACARIGAVHSVVFAGFSAESLAQRIVDCKPKVVITCNAVKRGSKVIHLKDIVDAALIESSQSGVSIDVCLTYENQLAMKKESTKWKEERDVWWQDVIPKYPTTCAVEWVDAEDPLFLLYTSGSTGKPKGVVHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGASAVIFEGAPNYPNPGRCWDIVDKYQVTIFYTAPTLVRSLMRDSDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNLVGDSKCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQAVIVDEKGVEIEGECSGYLCVKSSWPGAFRTLYCDHERYETTYFKPFPGYYFSGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGLYAFVTLVEGVPYSEELRKSLILNVRKQIGAFAAPDKVHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPNVVDQLIALADV >ONI16308 pep chromosome:Prunus_persica_NCBIv2:G3:6759632:6760812:-1 gene:PRUPE_3G090900 transcript:ONI16308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLLVSASTVVFVILLLELRLAYSSAEPQRPIKETWEANNAAVNTGGCTTKSKANFDKQQSDFGMAPQPPPHLWRRMARGRDGDHPRTKPSPAHPKPGGRP >ONI18042 pep chromosome:Prunus_persica_NCBIv2:G3:20513153:20514299:1 gene:PRUPE_3G193600 transcript:ONI18042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKVVIKLSALHDEKSRAKAMKTAVGVDGVNSASLQQDKGLIEVTGDGVDVVLLTTLLRKSLKHADVVSVNSVEEKKKEEEKKKEPEAIIQYVMGCPAQYICPPCPQYEEPGCSIM >ONI17990 pep chromosome:Prunus_persica_NCBIv2:G3:20342334:20344388:1 gene:PRUPE_3G190600 transcript:ONI17990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIEVQGNKPHAVCIPFPIQSHIKAMLKLAKLLHHRGFHITFVNTEFNHRRFLKSLGPNSLDGLPDFQFETIPDGLPASDEDAGQNAYLLCDSIRKNFLAVFRNLLLKLNDMATSNNISNPPVTCIVSDGFMTFSITAAEELGIPVALFFTIAAIGFMGFKQYPTLVEKGLAPLKEESYLTNGFLDQVIDWVPVTKAIRLKDLPNYFQTTNPNDILFKLTLEAMDRVDKASAVVLHTFDELEADVLHALSSLPPPVYTIGPLQLLLNQIPQHPLKSMGYSLWKEETAWFQWLNAKVPNSVVYVNFGSIVVIKSEDLIEFCWGLANSKLPFFWVVRPDLLVGESAILPPEFVAETKGRGLVASWCPQEQVLSHPSIGGFLTHSGWNSTIESLSAGVPMLCWPFFAEQRINCVYTCNEWGIGLEINNDAKRDQVEKLIKELMEGEKGKKMRTKAMEWKKLAEKAISPDGSSYANLDNLVNQVLLKKASCCPYEPSY >ONI18080 pep chromosome:Prunus_persica_NCBIv2:G3:20687451:20688920:1 gene:PRUPE_3G195800 transcript:ONI18080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRALQTTLIPSFHSQHKVSHFKISVETQIQCSVSTCDSTRNRVTQKIHGPVTLIPCKTLLLCRNG >ONI16364 pep chromosome:Prunus_persica_NCBIv2:G3:7075591:7080975:1 gene:PRUPE_3G094400 transcript:ONI16364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSSTAHAGASPGEVFRSQPAIISGDLSDSSYSSSSSISNITQAFPFSSGNPRIEETRGIMLLYRDDADVVSTSSSHLPVGRKPLVCVLGVPNHMTYADFCQFCGSFIQHILEMRIVRNDGMEDRYSILIRFDSQDSTDDFYKHFNGSRFSSLEVEACRILFTVDVQYTGSIEHAQASSGSSTEQPSCPVCLERLDQDMGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSNCFVCQTSENLWMCVICGFVGCGRYKEGHAIRHWKDTEHCYSLELETQRVWDYAGDNYVHRLIQSKTDGKLVELNSPYNECGSCECVDSGFSEALLNSKVEAIVNEYNELLATQLENQKVYFELLLQEVKEGTEREISNAVEKAVPLKKMQAKLDRCVKEKKFLDDIFLLLHAA >ONI16363 pep chromosome:Prunus_persica_NCBIv2:G3:7075444:7081293:1 gene:PRUPE_3G094400 transcript:ONI16363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSSTAHAGASPGEVFRSQPAIISGDLSDSSYSSSSSISNITQAFPFSSGNPRIEETRGIMLLYRDDADVVSTSSSHLPVGRKPLVCVLGVPNHMTYADFCQFCGSFIQHILEMRIVRNDGMEDRYSILIRFDSQDSTDDFYKHFNGSRFSSLEVEACRILFTVDVQYTGSIEHAQASSGSSTEQPSCPVCLERLDQDMGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSNCFVCQTSENLWMCVICGFVGCGRYKEGHAIRHWKDTEHCYSLELETQRVWDYAGDNYVHRLIQSKTDGKLVELNSPYNECGSCECVDSGFSEALLNSKVEAIVNEYNELLATQLENQKVYFELLLQEVKEGTEREISNAVEKAVPLKKMQAKLDRCVKEKKFLDDLNENLLKNQEIWKAKILEAEEREKKTLKLKDDKISDLEEQLRNLMLYLETGKTMEQPSISNEIKDGTALPASIELSQPSSSK >ONI17365 pep chromosome:Prunus_persica_NCBIv2:G3:17011997:17013886:1 gene:PRUPE_3G154300 transcript:ONI17365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVEEGVGGWRLVAGMGVYSGAEKKEGEKDKNVNVIPAVKEEPNGVIQLYSSEKNARDSGSLPELDQILVEPGMETKRTTAAAVQIQQHTAIATAWWLINRLVNCLEYPKTWLEETRSMLMIVATMISTTTFQAAVNPPGGVWQENNTNSSARGTTYCTQNNICLAGTSVAGSAFPKEFLAFVTFNTISFLASLSVNLLLVGGFPLRNRVIMWLLSMAMCLTLTSMAFTFLLASLLVVPNTDILVSQGVRSRKSAVLVWIALLLTIALIHTIRLIIWLSRKLWGRFKHKIPKSLRKVVDSLVDSSRARHRTNKF >ONI18889 pep chromosome:Prunus_persica_NCBIv2:G3:23759661:23761422:-1 gene:PRUPE_3G246300 transcript:ONI18889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELCATTHLPREPQPNEPDPHTLTLDPLAHWCTNQNCNPFADPPDSRSSDCDCFSDAASDSDHDVSCFVTDLFDRRTSERPNNDCVTVPGSGSGSDPLSLESEIGGVDGGDEMGSNLGLGFGLESNSQDSVSELGEFNITPGDDSRVSGLRIVGLESESDSDSGTIDDIESNRITDSGIQSIWDNLFLVEQRNMFENSESNRIDGGVEELSVASGFSTEEEEEIPEGATRSLEWEILLTVNNFRRYADDDDVDLETAEYINTIFGQFVENANAMKGSPPAASSVVEDLPFVKFTKEKLKNEEVVCAVCKDSIASEDKVRSLPCCHYYHQDCIVPWLGIRNTCPVCRYELPTDDPDYERSKCERATRGEPGDSEVRFEQFV >ONI17286 pep chromosome:Prunus_persica_NCBIv2:G3:16413430:16414565:1 gene:PRUPE_3G150400 transcript:ONI17286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGKAKSFGGLGVGSLKARSAALRAKWLWRFPNEPHALWHKVIRSIYGMDTNEWDAKPVIRGSCRSPWRDISSGYNLFLQGYVFVVGCGVRVRFWEDNWSRGGVLKEVFPRLFNLSRKQNHNISSYVDSDGFPLSWDFGFRRNLNDLEIAEMAEVARLLDLLGGMRFSPYTQIWKAKTPSKVKIFVWQAVLGKLNTDDTLQRHCTYMYLSPHWCALCNKAGESAEHLLLHCPFSLKLWGKKAKILWGSLMQAVIWNLWLECNRRIFEDYKGVGVVESWDRVKFWAALWASTSLAFKDISYPTIMHNLLAVVY >ONI19066 pep chromosome:Prunus_persica_NCBIv2:G3:24478866:24481364:-1 gene:PRUPE_3G256800 transcript:ONI19066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTVPCPKACSPPMARLGSTAQTSQPQQHLLSFHNYLNRCPIPSLQISGLQSPIRKQSAAWKVQAQLSEVTEKSSNSAPINNTKSEDGSLEGKDESTEKRSSNIPDASSISAFMAQVSDLVKLVDSRDIVELQMKQLDLELVIRKKEALEKPAPQAPFAPPPAHYPYAMLPPQQAAAPATAPAPASHPAAAAPALPAPAKASTSSHPPLKCPMAGTFYRCPAPGEPPFVKVGDKVQKGQVICIIEAMKLMNEIEADQSGTVTEILAEDAKPVSVDTPLFVIVP >ONI14835 pep chromosome:Prunus_persica_NCBIv2:G3:713450:715651:1 gene:PRUPE_3G011400 transcript:ONI14835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSNKIQRDQLRPGDHIYSWRRAYLYAHHGIYVGEGKVIHFTRGAGQEIGTGTVLDRIIFSSSPSHSPDNPCPKCGDQSSLDGVMSSCIDCFLSGGDLYLFEYGVNAAFFIAKARGGTCTLAASDPQQDVLHRAFYLLQNGFGSYDIFKNNCEDFAIYCKTGLLVYTSISVGRSGQAASFLAAASAVISSPLRFLTTSFTGLGAVGCGMYCVSRLVSDIGIRRDVIKIPVERLTAPCGLDEAEFATEVVKTD >ONI19347 pep chromosome:Prunus_persica_NCBIv2:G3:25295804:25303394:1 gene:PRUPE_3G273300 transcript:ONI19347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAAEIGRSVRTSFHQHASSFRSTSDVRSINDNNDDETELQWAAIQRLPTFERLTDEQDNNGGGTITKRVVDVTKLGALERHVFIEKLVKDIEGYNRRLLQKLKQRIDRVGLQLPTVEVGYQNLVVEAECEVVQGKPIPTLWTALKSILCGITQIIGCKPQAYKLEILKGVSGIIKPSRMTLLLGPPGCGKTTFLQALAGKLNHSLKVRGEITYNGYKFNEFVPQKTSAYISQYDLHIPELTVREALDFSARCQGIGNRADIMKEVSRREKQSGIVPEPDIDTYMKAISIEGLKNSLQTDYIMKILGLDICADTIVGDAMQRGISGGQKKRLTTGEMMIGPARAFFMDEISTGLDSSTTLQIVTCLQQLTHVTDSTILVSLLQPTPETFALFDDIILIGKVVYHGPCNNVAEFFEQCGFRSPPRKGISDFLQEVVSRKDQAKYWYHEDQPYSYVTVDKFVNMFKDFHVGKKLDEELRKPFDKSECHKDALSFNIYSLRKWELLKACTAREWLLMKRNSFVHVFKSAQLVVVALVTMTVFSRTWMNIDDVHAKYYMASLFYALIRLMSNGIAELSMTVSRLAVFYKQRDFYFNPAWAYSIPATILKIPFSLLDAFLWTSLTYYVIGYSPEPERFFKQIIILFLVHQVSISFFRLIASLVRTPSVAATIGLFSLIVMFLFGGFIIPKCKESSLPTWLEWGFWLSPLTYGEISASVNEFHAPRWQKVLSSNVTIGQRVLGNRGLNFSDSFYWISIGALLGFWMVFNIGFTCALSYLKCMVLPFEPISISFQNVQYFVDTPKKMREQGFPPQRLQLLQDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGSIEGDIRIGGYPKVQETYARISGYCEQSDIHSPQITVGESVQYSAWLRLPAQIDRHTRSQFVKEVLQMIELDEIKDELVGISGGSGISAEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNIVSTRRTVVCTIHQPSIDIFEAFDELILMKRGGQIIYYGELGQNSSKLIEYFEGIPGVPKIKENYNPATWMLEVTGLSAEAQIAIDFAHIYRGSHLCQKNNELVRELSFPEQGSKELHFSTRFPQNGWEQFKACLWKRHLSYWRSPRYNLGRLIFTAACSLLFGALLWQKGQKIDGEQEFFNILGSMFVLLQCMGIGNCSSVLPFIATERNVVYRERFAGMYSSWAYSFSQVIIEIPYILIQAALFSTITYPAIDFQWSLYKVFWYFYAMFSTFLYFNYFGMLLVSLTPTYQVASVLASFCYTMFNLFSGFLLPGPKIPVWWVWGYWICPLAWSLKGALTSQYGDVEKEIVVHGEQRTISAFLGSIYGYNYDDLGVVAIVLLAYPLVFALVFACATEKINFQRR >ONI15390 pep chromosome:Prunus_persica_NCBIv2:G3:2968175:2971155:-1 gene:PRUPE_3G041600 transcript:ONI15390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIVVSSFIFTEKRMAMQIFPESVRKIWNEWELRAMVLISLSLQAILILIGSWRKHSTSNILRIVLWLAYLSADSVATVSLGILSNNQEDSPGDSVNPDYIITAFWAPFLLLHLGGPDTITAYSLEDNELWLRHLLGVAVQVLVAFYVFLRAWSNKVLNFLAIPMFIVGIIKFGERTWVLRSASSEHFRESMLPHPDPGPSYARYMEEYSSKKAEGFKVELGPPIEAPKAENNSNDAGGVLDDSIQNAVILNKAYSFFETFKRLCADLILSFHNIEKSQTFFQNRNSEQAFEVIEIELGFMYDVFYTRAVLVHSRLGGILRCISLSLTVSVFLVFLFKEKHAYTGEDVAITYVLLVGAIILEMYAVVMLLSSDWTTLQLNKHKNGVLKLFHTAVSSIPLVKRNRWSNKLGQYNLITFCLKNRPAKCIFIKKDLFINKLLEKYRYQDSEDVSKELKNLIFTQLKEKSKSASNFDACKQLCARRGAQVLRDAKCLVRLGWTIFGVEFEQSILLWHIATDLCYNFDVKRNSNVVPNQNCKNSKQLSDYMLYLLVMCPFMLPNGIGQIRFQDTCAEAEDFFTERKSITDEEKACTMLLKVSTDISPSEVKGDRSKSVLFDACRLAKALQSMEVEGHWGTNEKKWELVSQVWVEMLSYAANRCRWSDHAQQLRRGGELLTHVWLLMAHLGLTEQFQISEGHKRAKLVVQ >ONI16757 pep chromosome:Prunus_persica_NCBIv2:G3:10550737:10552803:1 gene:PRUPE_3G120100 transcript:ONI16757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPPLQNPLQSQKISTHCLIPALLDHRQLRPRLIDLIIRLKEQRSYFFPTQQRVGINVQIVPQSRFIFQRHREDSHHHVHCWATEICAAKFGESG >ONI17281 pep chromosome:Prunus_persica_NCBIv2:G3:16361512:16365600:-1 gene:PRUPE_3G149900 transcript:ONI17281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKEMDKEIAETVEDEKTWEVTWGTFGRELKKEGSIAAPMVAVSVLQYLLQFVSMIMVGHLDQLSLSSVAIAISLTNVTGFSLLSGMAGGLETLCGQAFGAGQYHKLGTYTCTAMLSLLLVCPPICVLWIFFDKLLPLVGQDPLISLEARKYSVWLIPALFAGAILKPLTRYLQSQSSIFPMLLSSFAILLFHILASWGFVYKVGLGSKGAAIAFSMSTWLYVILLLFYALISSAFEKTRITFSMESLLHIRQFFRLAVPSAVMVCLKWWSCELIILLSGLLPNPKLETSVLSICLTISTLHFTISYGFGAAASIRVSNELGADNPQAARVAVWAAMFLSVTEAVIVSTTLFFCRHVLGHVFSSEKPVVDYIAVMAPLICLSVFMDSLQAVLSGIARGSGWQSIGAYVNLGAFYLVGLPVGVVLGFPLRLRGKGLWIGIVAGSIVQSALLALVTGFTNWSKQATRARERVFVERLSEDSEAN >ONI15509 pep chromosome:Prunus_persica_NCBIv2:G3:3311308:3312459:-1 gene:PRUPE_3G046400 transcript:ONI15509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKTELKDSLSEKSLGHHADKSPKHHKETHGTSDDIDETTPVDEVKGPGVFERIKEEVEAIVEAIHPKKESSSHDSSPK >ONI19005 pep chromosome:Prunus_persica_NCBIv2:G3:24210187:24212643:-1 gene:PRUPE_3G252600 transcript:ONI19005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSHNGADLQEAAGNSSRDDQANKFSTKANDLSRPSTPWLRLKDPRIVRVSRAFGGKDRHSKVCTVKGLRDRRVRLSVPTAIQLYDLQERLGLNQPSKVVDWLLDAAKHEIDELPPLPLPPSGNFGLNHPSLVLTSSHGVQTHAHAQLSHDNGEGPSGGIAQARSHFWSTNSDAIWRGKSKEIARDTTNEEEEENQKDISTGSDQKEEGTVDGNSSSNNFLTRISTNHPFFPGLVNNAMPYAYHNWDHNQPSNFPLSQLGSHGFPSQTADLHNFINVVSLPSTLSLSTTQSYFPSHNAAAAAEIDPRQFNHMHMLSSSSTSQNLLPNSLSPTLYPNSQTLRAPHLSMMTKLVRSSNNTTGSDHHQPNTDQESPSR >ONI15656 pep chromosome:Prunus_persica_NCBIv2:G3:3792611:3793619:-1 gene:PRUPE_3G053900 transcript:ONI15656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >ONI17243 pep chromosome:Prunus_persica_NCBIv2:G3:16209620:16213563:-1 gene:PRUPE_3G148200 transcript:ONI17243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTSVEIDDETYEEIVRYVGSNFQLFFDATKRMPVFKTIQRV >ONI18759 pep chromosome:Prunus_persica_NCBIv2:G3:23225322:23225974:1 gene:PRUPE_3G237200 transcript:ONI18759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPSEPLMGKIPLSVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPPKIVSYKDLFGWTMDEIVKMIGLKNNCTFCGVSSSEILYACILDITSAFICFDNVKAVWLVTPHKLMLLII >ONI19199 pep chromosome:Prunus_persica_NCBIv2:G3:24843210:24845570:-1 gene:PRUPE_3G263600 transcript:ONI19199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLLRVAQDGSGDYRTVQEAIDAVPLCNTRRTLIRVSPGIYRQPVYVPKTKNLITLAGLAPELTVLTWNNTATKIEHHQASRVIGTGTFGCGTTIVEGEDFIAENITFENSSPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYVEGSVDFIFGNSTALLENCHIHCKGAGFITAQSRKSSQETTGYVFLRCVITGNGGTSYAHLGRPWGPFGRVVFAYTFMDGCIRHVGWNNWGKTENERSACFYEYRYACLIHLLVL >ONI19198 pep chromosome:Prunus_persica_NCBIv2:G3:24842597:24845680:-1 gene:PRUPE_3G263600 transcript:ONI19198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLLRVAQDGSGDYRTVQEAIDAVPLCNTRRTLIRVSPGIYRQPVYVPKTKNLITLAGLAPELTVLTWNNTATKIEHHQASRVIGTGTFGCGTTIVEGEDFIAENITFENSSPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYVEGSVDFIFGNSTALLENCHIHCKGAGFITAQSRKSSQETTGYVFLRCVITGNGGTSYAHLGRPWGPFGRVVFAYTFMDGCIRHVGWNNWGKTENERSACFYEYRCFGPGSCPSKRVTWARELLDEEAVQFLQHGFIDPDPERPWLAQRMALRIPYSA >ONI19705 pep chromosome:Prunus_persica_NCBIv2:G3:26202763:26205430:1 gene:PRUPE_3G293000 transcript:ONI19705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKESSKKRKRARRRDRAQNEKLKLSSEKESASAAERIDEDEEESTKTSASAKRIKSQGQLSNSSSFLAKMKAKLSGGHFRMINEKLYTCTGKEALEYFNDDPTLFDTYHSGYQEQMSHWPELPVNIIIKWLKDHSPSLVVADFGCGDARLAKNVKNKVFSFDLISKDPSVISCDMSNTPLGSSSVDVAVFCLSLMGTNFPSYLREAHRILKPSGWLLIAEVKSRFDSTTGGADPKTFTKAVCDLGFTSVSKDFSNKMFILFYFKKKEEQSSKKKEVEWPELKPCLYKRR >ONI19970 pep chromosome:Prunus_persica_NCBIv2:G3:26873890:26878142:1 gene:PRUPE_3G308600 transcript:ONI19970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METETASVGISEPLLHDSDKISPLESVDDDDQVPQWKDQITLRGLVVSALLGTLFCIITHKLNLTVGIIPSMNVGAGLLGFFLVKSWTGLLSKLGFSVAPFTRQENTVIQTCVVACYGLAFSGGFGSYLIAMDERTYNLVGADYPGNRAEDVKNPSLSWMTGFMFVVSFLGLFSLVPLRKVMVLDNKLTYPSGTATAMLINSFHTNTGVELAGKQVRALGKYLSISLIWSCFKWFFSGIGDSCGFDNFPSFGLTLFKNTFYFDFSPTYVGCGLICSHIVNCSVLFGAILSWGFLWPLISQYAGDWYPADLGSNDFKGLYGYKPGSCCISYEKHLKVFIAIALILGDGLYNLVKILTFTVKEICNKSSKQSNLPVVNEVLDGDSSVVTPEQKKREEVFLKDRIPTWVAGAGYVGLVAISTATMPIIFPPLKWYLVLGSYVLAPALAFCNSYGTGLTDWNLTTTYGKIGLFIIASLVGRDGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTMSSAKSMFISQLVGTAMGCVIAPLTFWLFWTAFDIGSPDGPYKAPYAVIFREMAILGIEGFSELPKHCLAMCCVFFVGALVINLLRDVTPKKISQFIPIPMAMAVPFYIGAYFAIDMFVGTVILFIWERLNRKDAEDYSGAVASGLICGDGIWTIPSAILAIFKVNPPVCMYFGPSLSS >ONI17691 pep chromosome:Prunus_persica_NCBIv2:G3:19179155:19179985:-1 gene:PRUPE_3G174600 transcript:ONI17691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTMALSSPSLAGQAVKLGSSVSNIVGEGRITMRKTASKSKNVSSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGGPLGEITDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPVNNNAWSYATNFVPGK >ONI17327 pep chromosome:Prunus_persica_NCBIv2:G3:16571829:16575091:1 gene:PRUPE_3G151600 transcript:ONI17327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRKNNDNDEPNTAPEPDQWYNLSLGSSFVDHRPSPKFCTLRYEFKPASIDKNQPGSFHKSKDNRVTVEFHNNQQGKPKVTFEGVSEDYKEHDAVLFFDGESFRLERLHRAVKRLRHLRLPGESAAPAASVTSTSISALESYSPPSGGKGAKLQSLNKDVLPHMPVEVKGIDINNSESIAPGPTSEADKDFDCPPSLPNPPAASPDAEPRSDEMDEEVDVVIDDDDDDDVVVNETTGKGKSPVNEFHTGIDINIPHPGDLDDEIADVDVDDVVDNVPNAAEALRAQVNAEVGGKQTSSSSDSSGSESSGSESGSGSGSGSESESASSSSDTESSEGGDSVNSI >ONI18440 pep chromosome:Prunus_persica_NCBIv2:G3:21859172:21861175:-1 gene:PRUPE_3G216200 transcript:ONI18440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSKILYPNSGSLLLDEDLNVASFQGWDGAIGSLVIMAWNRKANIGSQEMHQYLNFLQVVQAMDVPAVSLHYCSRELLSTATFKPCNIMLLLFCQREWEGRWRRRWWWRWWLEEVIKVKESGLKTRDVKLENPCAPWLVVLFNIWCHIFQALAEGQKAGTSDDEHHTCIPIKHSSERAQADNDLYLMASADILYDDEDHDPMDLLVWNTRIS >ONI18481 pep chromosome:Prunus_persica_NCBIv2:G3:21987849:21989778:-1 gene:PRUPE_3G218000 transcript:ONI18481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETRPVPRRESPWGRPEGDHREPKAHRCNDRAEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPTREVKLE >ONI18296 pep chromosome:Prunus_persica_NCBIv2:G3:21416873:21419023:-1 gene:PRUPE_3G207800 transcript:ONI18296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLQAFWNHPAGPKTILACSGLIWARYGTVITPKNWNLASVNFGMSLTALFQLSRKIQHDLSAKTQEADAEEE >ONI18297 pep chromosome:Prunus_persica_NCBIv2:G3:21417186:21418571:-1 gene:PRUPE_3G207800 transcript:ONI18297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKLQAFWNHPAGPKTIHFWAPTFKWGLTIANILDSSKPPEELSYPQQSVLACSGLIWARYGTVITPKNWNLASVNFGMSLTALFQLSRKIQHDLSAKTQEADAEEE >ONI17244 pep chromosome:Prunus_persica_NCBIv2:G3:16213839:16214957:-1 gene:PRUPE_3G148300 transcript:ONI17244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMKSQAALLGLTTLAILFFSGAHAAKITFTNKCSYTVWPGTLTGDQKPQLSLTGFELATGISNSVDAPSPWSGRFFGRTRCSTDASGKFSCATADCGSGQVSCNGNGAVPPATLVEITIAENGGQDFYDVSLVDGFNLPMAVAPQGGTGECKASTCPADVNAVCPAELQVKGSDGSVIACKSACLALNQPQYCCTPPNDKPETCPPTEYSKIFKDQCPQAYSYAYDDKSSTFTCSARPDYLITFCP >ONI17689 pep chromosome:Prunus_persica_NCBIv2:G3:19150709:19153091:-1 gene:PRUPE_3G174400 transcript:ONI17689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFRAEVQKKICQIHKKLLLFVSLFQHKHHTIKEPNLLFMMSHVEKVDKMKQSRETKMELERDWEEDKRREVT >ONI19554 pep chromosome:Prunus_persica_NCBIv2:G3:25808350:25810526:-1 gene:PRUPE_3G285000 transcript:ONI19554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRVESSETLRNKCAACYRQFNKIEHLVEHMRTSYHSAHEPTCGICKKHCRSFESLREHLIGPLPKQECRNIFSTRGCKFCLAILDSPYALRVHQDRCQLSGVNAGLLGRFANLGIRDNTENGSTRGTQVVALACKMVGGGSDGSLDLCAKVCLIDEYENIIFQSYVKPLLPVTNYRYETTGIRPEYLRDAMPLRQVQKKIQDFLCNGEPMWKIRPRGGKARILVGHGLDHDLDSLQVEYPQVMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIQTGIQDPYEDCVATMKLYMRMRSQVHKTEAYPLASDPQNRNNFASWRQNELERMNPEQMLEASRSDYYCWCLDSRDM >ONI19555 pep chromosome:Prunus_persica_NCBIv2:G3:25808677:25810297:-1 gene:PRUPE_3G285000 transcript:ONI19555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRVESSETLRNKCAACYRQFNKIEHLVEHMRTSYHSAHEPTCGICKKHCRSFESLREHLIGPLPKQECRNIFSTRGCKFCLAILDSPYALRVHQDRCQLSGVNAGLLGRFANLGIRDNTENGSTRGTQVVALACKMVGGGSDGSLDLCAKVCLIDEYENIIFQSYVKPLLPVTNYRYETTGIRPEYLRDAMPLRQVQKKIQDFLCNGEPMWKIRPRGGKARILVGHGLDHDLDSLQVEYPQVMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGQVPYYINFVYLKFQI >ONI16501 pep chromosome:Prunus_persica_NCBIv2:G3:7936725:7939215:1 gene:PRUPE_3G102300 transcript:ONI16501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTEGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDPSVQSDMKHWPFKVVPGPGDKPMIVVQYKGEEKQFAAEEISSMVLTKMREIAEAYLGHSVNNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKGSRGGEKNILIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDSKMDKSRVDEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIERLVQEAERYKAEDEEVKKKVDAKNSLENYAYSMRNTVKDEKIAGKLDPADKQKIEKAIDEAIEWLDRNQLAEVEEFEDKQKELEGLCNPIIAKMYQGAGGDVPMGGAQMPGGGFGNASSAGSGAGPKIEEVD >ONI17169 pep chromosome:Prunus_persica_NCBIv2:G3:15442259:15443416:1 gene:PRUPE_3G142300 transcript:ONI17169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGHRPELLHVAENDKGSRVIDLDIGDNEISEVLEARETDACEPAKPVNAGEGVKRDGGIGGGRRAWRSRREWCWGGGGRVECRGLWMLGC >ONI19838 pep chromosome:Prunus_persica_NCBIv2:G3:26549832:26552356:1 gene:PRUPE_3G300600 transcript:ONI19838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFINIEYISYMFKYDSVHGQWKHHELNAKDDKTLLFGDKPVKVFASREPEGIPWGKAGAEFVVESTGVFTDKEKASLHLKGGAKKVVISAPSKDAPMFVVGVNEKDYTPDLNIVSNASCTTNCLAPLAKVVHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAAATNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYDEIKDAIKKESEGSMKGILGYTDEDVVSTDFVGDNRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVIDLICHIASVK >ONI18772 pep chromosome:Prunus_persica_NCBIv2:G3:23290624:23293642:-1 gene:PRUPE_3G237800 transcript:ONI18772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLLTTLLVILLSLLIRVAYDTLSCYFLTPRRIKKIMEKQGVRGPKPRPLNGNILDMASLVAKSTSHDMHTISHDIVGRLLPHYVLWSKQYGKRFIYWTGIEPRMCLAETELIKELLSKYSTVSGKSWLQQQGSKHFIGRGLLMANGEDWYHQRHIVAPAFMGDRLKSYAGYMVECTKEMLQSLQNEIETSGGREFEIGEYMTRLTADIISRTEFDSSYEKGKQIFRLLTVLQHLCSQASKHLCLPGSRFFPSKYNREIKSLKMEVERLLMEIIQSRKDCVEIGRSSSYGNDLLGMLLNEMQKKRGNGFSINLQLIMDECKTFFFAGHETTALLLTWTVMLLASNPSWQEKVRAELKQVCNGGTPSVDHLPKLTLLNMVINESLRLYPPATVLPRMAFEDIKLGDLHIPKGLSIWIPVLAIHHSEELWGKDANEFNPERFASKSFTPGRFIPFATGPRNCIGQSFAMMEAKIILAMLISRFSFTISPNYRHAPVIVLTIKPKYGVQVCMTPIDPST >ONI15524 pep chromosome:Prunus_persica_NCBIv2:G3:3384530:3385217:1 gene:PRUPE_3G047700 transcript:ONI15524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVARIIAPHEELEISSSKSFTVRAFGTMPLEVACNNKTCLNEWWLDCPKRLACSVRNSEIAPKSIFLFLCNGAHKTDCRSFVHNL >ONI15519 pep chromosome:Prunus_persica_NCBIv2:G3:3361566:3363352:1 gene:PRUPE_3G047300 transcript:ONI15519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGCNGSHYIPEEILINILSRLPVKSLIRFICVCKLWSSLIRSSRFIGMHLNRNVTNHAHAFIIALHESRRKPRICYTLFSNETFEPCLKLKHPSTWPKIIGPKIDIHGSSNGLVCLSYELGNLDTAIYLCNLSIQKHVVLPPTSILCLPCPEYVTYFAFGFHPGLNDYKVVRLLSFSEGNHCIEVEVYSLSTNSWKRIDAIPASIKTMVLHSDQCAVYNGVAYFIMKKEDATYCFVSFDTDSEVFEEFLLPDAVVDGIESMLDNCKELIAEYKGSACLLQSNLDFRAGNDYIDMWVLQEKSFKKLLTVYLPGKRSFYPLAIRMSNELLVGYPCGSYLCSYNLETKQVTETGIKLAVDRYNDYHAHTYVESLVLLRE >ONI16347 pep chromosome:Prunus_persica_NCBIv2:G3:6985302:6987785:1 gene:PRUPE_3G093200 transcript:ONI16347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNYSAIPKNSHLELQSRYDSENPAVPPKSHVKLSSFDEESFLDSKHLNGQDGGDDFDDNLDFDIASYPLIVEGSKQGSGISGAVFNLTTSIIGAGIMALPATMKVLGLVWGSILIILMGILSEISVELLVRFSVSCKASSYGEVVQCALGRPARILSEICIIVNNAGVLVVYLIIMGDVMSGSLHHMGVFDQWLGHGVWDHRMLLIFATVVVFLAPLCALDKIDSLSLTSAASVALAVVFVVVACGIAFIKLVEGKIESPRMTPDFGSKKAILDLLVVIPIMTNAYVCHFNVQPIYNELEGPSPQKMNRVGRITTVLCVVIYSLTAIAGYLLFGKDTESDILTNFDKDLGIRFSSVLNYIVRVGYIFHLLLVFPVVHFSLRQTVDALVFEGSPPLTESRKRCFILTLVLLGLIYLGSTVIPNIWTAFKFTGATTAVSLGFIFPSLIALKLGRKGDGLSLVEKTLSWLMLIMAIAVSIVGIIGNVYSLRSKSE >ONI17159 pep chromosome:Prunus_persica_NCBIv2:G3:15073876:15077286:1 gene:PRUPE_3G141300 transcript:ONI17159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEVHGNEPVSPIGQCLNSSVLSMSILGVLEFEIPINESKILSFLQDVFLNISPRFSSIMVDVNGEKQWKRVEVKLRDHVHVPIFPSGMSPKSYDDHFQDYISNIILEQYPKDKPLWEVHIVKYPTSSAAGNLIFKFHHALGDGYSFMSALLSCLQRADNPLPLTFPSRRGSKPGGDSESIFRWDVSETFASVFDTVKDFSWNSLLQDDRTPIRSGNYGVEFLPMTVSSITFSLDEIKLIKTKLNATVNDVISGALFFATRLYMQEKSQKSSTASCTALVLVNTRITQGDYKPIKEMNKPDSEMPWGNRMAFMPVTMPKLTEFSNPLDFVFKAQKLIKRKRSSFAVYINNKMLEIVKKIRGYEGASRYMHNRLKNSSLMISNMIGPAEQMALADHPVRGVYFMVLGIPQDLIVTVVSYMGKLRIAIGTQNGLIDPDKFKACMENAFKMILEATDNIPTQTVADPGIFQGRGNIEKSKNLQGAQARSKVQV >ONI18858 pep chromosome:Prunus_persica_NCBIv2:G3:23632601:23634057:1 gene:PRUPE_3G243900 transcript:ONI18858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVSAIGFEGYEKRLEVSFFEPGLFADPAGMGLRSLSKDQLDEILKPAECTIVSSLSNDDLDSYVLSESSLFVYPYKVIIKTCGTTKLLRSIPAILKLAETLSLAVKSVRYSRGSFIFPGAQPSPHRSFSEEVAVLDGHFGKLGLASRAYVMGSSDKTQKWHIYSASAELASLLWGARQSGPTYTLEMCMTGLNRKKASVFYKSKASSAAGMTEESGIRKILPQSEICDFEFDPCGYSMNSIEGNAISTIHVTPEDGFSYASFETMGYDFKNVNLTQLIDRVLDCFKPAEFSVALHTTSTAGEQLNAKFPLDDLRGYCCGESNYERLGLGGAVIFHSFVKDAGCQSPRSILKGCWSEDEKDEEVEEIVMDKI >ONI15395 pep chromosome:Prunus_persica_NCBIv2:G3:2999700:3001163:1 gene:PRUPE_3G042100 transcript:ONI15395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMTEESIKRKVKAGEAMKGSKREVNKGAWTAEEDHKLAEVIEVHGAKRWKTIASKAGLNRCGKSCRLRWLNYLRPNIKRGNISDQEEDLIVRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKRIIQKEKQSGANPTRQMVSTDQKLSLAEHHQKVHIEMKEDSLSEEGASFASKDSSKNMNNIDVDDFFDFSNEGPLNLEWVSKFLDFDDDRWLIN >ONI15882 pep chromosome:Prunus_persica_NCBIv2:G3:4810578:4811724:-1 gene:PRUPE_3G066800 transcript:ONI15882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGHDPFDIFQSFFGGGGSSRGRRQRRGEDVIHPLKVYLEDLYNGTSKKLSLSRSIICSKCAGLGQKSVASLKCPGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTEETINDKDRCTQCKGEKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAVATHHHRDIVFALQQKEHPKFKHKGDYLFFEHNLSLVEAPCGFHFVLTHLDGRHLLIKSQPGDMDDWQTHSPQILSILPFLGVA >ONI19755 pep chromosome:Prunus_persica_NCBIv2:G3:26300671:26302970:-1 gene:PRUPE_3G295400 transcript:ONI19755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDGRPVKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTIMLIGNKSDLAHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFIKTAAKILQNIQDGVFDVSNESSGIKVGYGRPQGPSGSNGAVAQRGGCCG >ONI16483 pep chromosome:Prunus_persica_NCBIv2:G3:7746825:7751055:1 gene:PRUPE_3G101000 transcript:ONI16483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNVSVRESTLVKPAEETPRQVLWMTNLDLVILGNHTPSVYFYRQNQNSVHGHHNNFFDPQVLKQALSKALVPFYPLAGRLGMEGNGRGEIDCNSEGALFVVAETGSTIDDFGDFAPTLEFRKLIPAVDYSTGISTYPLLVLQVTYFKCGGVSLGVGLEHRVADGLSGLHFVNTWSDIARGLDLTIPPFIDRTLLRARYPPQPAFDHIEYQPDPPIKTGTKAVGDESATVSIFRLTREQLNILKAKSKEDGNTINYTTYEMLAGHVWRCASVARELPDDQETKLHIAVDGRSRLQPPLPPGFFGNVVFAGAPIAAAGDLKSKPTWYAASCIHDTVVRMDNDYLRSALDYLELQPDLSPLVRGAHTFRCPRLGITSWSRLPIYDADFGWGRPIFMGPGGMGYEGLAFVLPSATNDGSLSVAISLQSQHMQSFSKLLYEI >ONI16034 pep chromosome:Prunus_persica_NCBIv2:G3:5493992:5498691:-1 gene:PRUPE_3G075100 transcript:ONI16034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAALNILSQPWPPTPPLSVSNCYYSFCNTSKSNSSNKSHSLSHSYHFSLLSSLYGSNRPYPSSKLSAMPTHFSKSGILLAGSGCSWMQDNSMHHNNAAGSECGQGQLYSVFPTQPAEVSSVQDLFNFICSGPLINKLGLTPEAVAESVDKWLSYGLHLCRLFQLNELYLTEPQKVRLYHYYIPVFFWCDDQISQHRSLYKDGEDIPPLVIGFSAPQGCGKTTLVFALNYLFQITGRKSASISIDDFYLTAEGQAKLREANPGNALLELRGNAGSHDLTFSVETLSDLSKLSKEGMKMKLPRYDKSAYNGKGDRADPSTWPEVEGPLTVVLFEGWMLGFKPLPVEVVKAVDPQLETVNKNLEAYYDAWYKFIKAWIIIKIKDPSCVYQWRLQAEIAMREDGKPGMSDDEVKDFVSRYLPAYNAYLPTLYSEGPEGSDPKRLIVIEIDEGRNPILGN >ONI17048 pep chromosome:Prunus_persica_NCBIv2:G3:14141193:14150864:-1 gene:PRUPE_3G134800 transcript:ONI17048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQDPNTPSGIGKRDEESVKVPAKDPKKKDEKKDEDLSEEDLELKKNLELYVERVQDPNPALQRNALESMRQEIRTSTSSMTSVPKPLKYLRPHYGTLKAFYDTMADSDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEISQEYAKRQSEEAPIDDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDKTNFKRTCLYLTSSARYLPGPDDTLVLDIAYLIYMKFEEYPNALQIALFLDNLQNVRQVFQSCDDLLRKKQFCYILARHGISFELDDEMVAEDDDREALQDIINNSKLSEGYLTLARDIEVMEAKTPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPSDASSGGNSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCSIKNDCDPALALLGEYIDKEDPSIRIGAIMGLGIAYAGAQNEQIRSKLTPILNDAKAPLDVVAFTAISLGLIYVGSCNEEVAQAIIFALMDRSDSELGEPLARLIPLSLGLLYLGKQENVEATAEVSKTFNEKIRKHCDMTLLSCAYAGTGNVLKVQNLLGHCSQHLEKNETHQGPAVLGIAMIAMAEELGVEMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLLGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSPTALGGIITLMHACLDMKAIILGKYHYVLYFLILAMQPRMLLTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGFVILKENPDYREDN >ONI16123 pep chromosome:Prunus_persica_NCBIv2:G3:5881165:5881825:1 gene:PRUPE_3G079500 transcript:ONI16123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLLHCSANQIFWHNEEGISTGQVSRVLKYPGHLALLPTILLPECITICVGCVVDDLPCLLKADMGIVVEPAESLIALGNNFGVKFLPLLDMLMMKQKDCDIGEVVGWKHQGSGLLFVGRNWCDVAAFICTLHDVQ >ONI20074 pep chromosome:Prunus_persica_NCBIv2:G3:27129875:27136463:-1 gene:PRUPE_3G313600 transcript:ONI20074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQSLVQSSIDAQADADAEISSPPPRRASSRLQGKPRPQKELLVRRRVQLLDEHEDGDREGGGLSKRANVYGRRRSHAENEAQEASSNGALEGGTGKPSDPLVEKSDHVKVKETLRLFNKHYLYFVQEEEKRCRKVEADNKASASSKKASKSSKKASKSSKNSKKEASSPDTKVGKAKRPDLKALTKMLENKEILRGTKRIGSIPGIDVGHQFYSRAEMVAVGFHSHWLNGIDYMGQSYSKGEYSNYTFPLAVAIVISGMYEDDLDNAEDVVYTGQGGHNLTGDKRQIQDQVLERGNLALKNCVEQCVLVRVVRGHECKSSYCGKLYTYDGLYKVVQYWAEKGISGFTVFKYRLRRADGQPALTTNQVQFINGRVPQSLSEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPTGFMYCKSMQIAQNVKLPTDATGCNCKGTCMDPKTCACTMLNGSDFPYVQRDGGRLIEAKDVVFECGPKCGCGPACVNRTSQRGLKYRFEVFRTPMKGWAVRSWDFIPSGAPVCEYIGILRRTEDVDNASENYYIFDIDCLQTMKGLDGRERRSQAVCLPAVNSLERPDDQSVPDFCIDAGSTGNIARFINHSCEPNLFVQCVLSSHHDIKLARIMLFAADNIPPLQELTYDYGYALDSVLGPDGKVKQMFCHCGATGCRKRLF >ONI19277 pep chromosome:Prunus_persica_NCBIv2:G3:25099273:25101811:1 gene:PRUPE_3G269100 transcript:ONI19277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKSPRRIFEGEALLRRMNRYGLLEETQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSITSPLGGGRPGRVKRRNQKAAAKKAAGGGDGDEEDEE >ONI18219 pep chromosome:Prunus_persica_NCBIv2:G3:21062567:21065193:1 gene:PRUPE_3G202800 transcript:ONI18219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEKEKDRVSLEGGRVILLPYMKEHVPKYHEWMQDPALLQATGSEPLTLDQEYQMQLTWTQDPNKQTFIVLDKQLVVGEFNHGQPHVEAMVGDVNLYMNDLDDPQMAEIEIMIAEPKSRGKGLGKESALMMMAFAVENLGIHIFRAKIGELNGASLNLFRKLGFEETSYSEIFKEVTLELSVTKAKHDELLQLMGSFITHA >ONI15856 pep chromosome:Prunus_persica_NCBIv2:G3:4755277:4755883:-1 gene:PRUPE_3G065900 transcript:ONI15856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKHISTLVLGLMGSTFFKFICNYISTYRETASVLREIDEKMEEIRNTWSHEEFIALVGRDMDVFFKDYDAWKNFFDFF >ONI20041 pep chromosome:Prunus_persica_NCBIv2:G3:27031239:27033224:1 gene:PRUPE_3G311700 transcript:ONI20041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQKAPQEPYPPPGYPYPYPPPGYPSGPPPPPPPYEGYPPPPPGYPHGYGPPPPPPPHGPPPPYEGYQGYFNEGYPPPPPPQQQYQQHYHYEHQNYQEQDGCMSFLRGCLAALCCCCLLEECCFFF >ONI16599 pep chromosome:Prunus_persica_NCBIv2:G3:8783301:8784678:-1 gene:PRUPE_3G109100 transcript:ONI16599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLSFLAMLLCLLLASTPKAHASVFDVTSATYGAKPGSDVSTALAKAWSDACASPSASKVVVPSGTYKLKEATFRGPCKAPIEMQVQGTLQAPADAGQLTRPDTWVGFQYIDMLTLSGGGTFDGQGALSWNQNDCHKNKNCKPPPVNLRFEFLTNSKVQDITSLNSKFFHIHVFRCNHTTFQQLTITAPDESRNTDGIHIGASTGINITHSKIGTGDDCISIGDDSHKITVTGVTCGPGHGISIGSLGKYKEEKDVTGIIVKNCTLTNTENGVRIKTFPDSPSPSSASGIHYEDIIMVNVSNPILIDQLYCPYTKCEQKPPSKVKINNVSFKNIKGSSFTPLAVKLVCTTGKPCENVELTDIDLTYGGDKGPLTSVCSNVKPTITGVTKALGCATSSLAPLP >ONI16503 pep chromosome:Prunus_persica_NCBIv2:G3:7950663:7951540:1 gene:PRUPE_3G102500 transcript:ONI16503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAMLRTIFLFSLISFFQFSTCSTLVKPKSSSQGSSQKTWFVSIDNYNDVQRRSPPPPPKAGPTPGQSPSPKDLLSKSKRAPPSRYTASA >ONI19963 pep chromosome:Prunus_persica_NCBIv2:G3:26849613:26852080:-1 gene:PRUPE_3G308100 transcript:ONI19963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSSSLPKPCLCVSLSSLGKEEIREEGRVVVVVVMEWDFKDFACWDSTDELELELELELELEQQKLEQDSDLAALVGSISQPQKKKRSAAAGSLLVDLNLSDSPPAGHLFKDPIKPSAVASSSSPLSSPSSSSSSSKRVKSNGTDEQKLSCLVEGCKADLSLCREYHRRHRVCELHSKTPVVSVRGEQKRFCQQCSRFHSLVEFDEVKRSCRKRLNGHNQRRRKPKPESLYFTSNFLSGYKGPRILQFSNPQLCATNNVRSMWPVEAKNGAESMLYSRHPSFNGGEKPFLFLQTNNDTKAASGNRAAPQTFLRHQPFPTTAASPAESRKGSHIMSSDGMTRVIDSGCALYLLSSHPAQASVTQSNVTTTHPGQLLDSRLQKNGSFAEFSCSHDAEDKAANPEMMFLMGPDGFLDNGVQMLPILLE >ONI19964 pep chromosome:Prunus_persica_NCBIv2:G3:26849613:26852330:-1 gene:PRUPE_3G308100 transcript:ONI19964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDFKDFACWDSTDELELELELELELEQQKLEQDSDLAALVGSISQPQKKKRSAAAGSLLVDLNLSDSPPAGHLFKDPIKPSAVASSSSPLSSPSSSSSSSKRVKSNGTDEQKLSCLVEGCKADLSLCREYHRRHRVCELHSKTPVVSVRGEQKRFCQQCSRFHSLVEFDEVKRSCRKRLNGHNQRRRKPKPESLYFTSNFLSGYKGPRILQFSNPQLCATNNVRSMWPVEAKNGAESMLYSRHPSFNGGEKPFLFLQTNNDTKAASGNRAAPQTFLRHQPFPTTAASPAESRKGSHIMSSDGMTRVIDSGCALYLLSSHPAQASVTQSNVTTTHPGQLLDSRLQKNGSFAEFSCSHDAEDKAANPEMMFLMGPDGFLDNGVQMLPILLE >ONI18348 pep chromosome:Prunus_persica_NCBIv2:G3:21588567:21591650:-1 gene:PRUPE_3G210700 transcript:ONI18348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFSKNQSMDRHLLTLSLLLLSVLSSHADAASVGVNYGRIADNLPSAYRVMQLLKSQGLERVKVFDSDPAVLRALAGSNIKVTVDVPNELLSSVAKSQSFATNWVQRNVVAYHPNTQIEAIAVGNEVFVDTHNTTKFLIPAMKNIHTALIHFDIHSAIKVSSPIALSALQNSYPASAGSFRPELVETVFKPMLEFLRQTGSYLMVNAYPYFAYESNADVISLDYALFRQNPGVVDAGNGLRYLSLFDAQIDAVFAAMSALKYDDINMVVSETGWPSKGDENEVGASLENAAAYNGNLVRRILTGGGTPLRPKADLTVYLFALFNEDRKNGPTSERNYGLFFPNERKVYDIPFTFEGLKNYHDHRSPVAGNQHMTAPVNGGGDVSKSLTGNTWCVANGEAGKEKLQRALDYACGEGGADCHQIQPGSACYDPNTLEAHASYAFNSYYQKKARGVGTCYFGGAAYVVSQPPKYGKCELPTGY >ONI16183 pep chromosome:Prunus_persica_NCBIv2:G3:6157200:6159845:-1 gene:PRUPE_3G083200 transcript:ONI16183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVLSISLSCDAIVSRCWDSVFEREPNVRKLQENLQALTTSLQELKSLKNDVQREVELAERQPRLKRLERVNNWILSVEALETEINEVIVSHSTQEIEKLCCGGYCSKNYRSSYKYGKKVARKSVEVEALKSKGVFEEVAAESLPTALVDVIPSDPTVGMEPIFDQVWRHVEDEQVGMIGLYGMGGVGKTTLLTQIRNNFNRTRNDFNLVIWIVVSKGQKIEVIQDKIGKKIGLSSDEWKLKEQHEKAEDIFRILNTKKFVLLMDDLWEPVELTKVGVPAPDSRNKFKIVFTTRSEEVCGHMDAQKKIKVGCLTWDKAWNLFQEKVGKETLLLHPDIPKLAEIVASECGGLPLALITVGRVMACKKTPQEWKRAVQVLRRFASEFSGMGDKVFPLLKFSYDNLPSQKVRSCFLYCALFPEDFVILKDDLVYFWMCEDILDEYGNVEEAKNESYHIIGTLLTSCLLEDEGDLVKMHDVIRDMALWLACDLGKEGENILVDTGAYRAPNVAKWKNVKRVSLMGSGIKSLDATPRSPNLLTLFLGGSSLMRIVDDFFDFMPTLRVLDLSENLFITQLPTGVANLVSLQHLNLSYTGIKWLPVELAACARLKYLNLEHTLLLHYVPPNILSNFPRLEVLRILDCGSSNRVFFYNEKTMIDELQGLKHLDVLSLTVVGDTSCFRNLDSHHILVTCTLTLCLNGENYVKPSSYLDLSPLAIANMKYLDTLRIKHMVDVYSSWKIPLENPSCFLGLQFVEVVNCTNLKNLEWLVFAPNLIHLDVYGCSKMTTILCLNTLETTPFAKLTVLDLSELPHLRRICENPLPVPFLNKIRIVGCPVLTRLPLNSSSAQTSNLIIEGEEKWWNGLEWEDQAARNAFLPCFRPF >ONI18698 pep chromosome:Prunus_persica_NCBIv2:G3:22926979:22930608:-1 gene:PRUPE_3G233000 transcript:ONI18698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLIPELTLEDLDLASKTKNSKDPPDPVDPRDLTFADSFLDFESIEDWFKDIPSPDMAETGEVKVEVVEEGFIREANGCEGQIFNVYNPIDCGSEPTVDGTVPIDCGFVEKVECEISEHLSCSIEEELGKVSLLGGCDQNSVLDGGNCMRSERHEESSALNGENGMQSEIVSRNAGEREPQNNENGNESLKSKIEGENGARSEIVNESSESSSSSSSSSSSDDDSSDDDDDMDEEENEKGKMKVEVEECDEAGEIEEGEIRDADGGEKGDKTHYIDYESADDDDDDEVVAWTDAEIFDDGDEEEDDGGALKGPIRSKNELEVLPPIPPVNVTLEPHHQMMPVGVVLSILGTQAIVEGVEKHNPLNEGSILWITESRSPLGLIDEIFGPVIHPYYVVRYNSESEIPAGIQAGTLVSFVPEFADHVLNDKDVYKKGYDASGKNDEEVSDEAEFSDDEKEAEYRRMQKMTKRGMNDQNLGNMKNNRKKGKNKPGPWKNDQPSPHQTPKDAGQLPPNQHQHHFSPAAPSFDRGYYPSSSAAPQGFVGGTGLVPPFPAATQATGMNATSNGVWTNGMPFQQQNTPFPNGFTNNSSPWPSPYNHQYPYQMPIPERLPFYPQAEGQRFLSGGQLNSFAGPTYSQGLMGQHGFNQTTFGIGLQGQPTPGQQLNVFAGPMYPQGMVGQHGFNQNAFGIGLQGQPTHPTLNADQGMLSNRLPVEQNCNMPQPVANTGNVDMQQFNPGASSNRGRRPSHRGGRHFGRGRGRQQSR >ONI15724 pep chromosome:Prunus_persica_NCBIv2:G3:4078716:4082169:1 gene:PRUPE_3G057600 transcript:ONI15724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSATLIAPPPQPPPPPQASARQYGVTRPISTSEPTEVDIQMNIELEKFLIEEAGVYESKEEATKGEQVLQRIEQIVKDWVKQLTRSRGYTEQMVEDANALIFTFGSYRLRVHYPGDEIEILCVGPSYINREEDFFFILQNILAEMEEVTELQPLPDAHIPVMKFKFDGVPIDLLYASISLLVVPEDLDISDASLLYDVDEHTIRSLNCCRVADQILKLVPNVEHFCTTLRCLKFWAKRRGVYSNVIGFLGGVDWAILVARVCQLYPNAVPSMLVSRFFRVYTEWRWPNPVMLCAIEEDELGFSVWDPRKNPHDRTHHMPIITPAYPCMNSSDNVSQSTLRVMVEQFQYGNNICEKVELFKAEWCALFEPYLLFESYKNYLQVDIVAADVDDLRAWKGWVESHLNQLTLMIERDTLGMLQCHPYPREYVDTNKQCAHSAFFMGLQKKQGEKIQGQQFDIRGTVDEFRHSVNMYMFWKPGMEIYVSHVRRRLLPPYVFPDGCRPRRPSRHTAQHQADNLPCDNGEVAP >ONI15725 pep chromosome:Prunus_persica_NCBIv2:G3:4078715:4082169:1 gene:PRUPE_3G057600 transcript:ONI15725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSATLIAPPPQPPPPPQASARQYGVTRPISTSEPTEVDIQMNIELEKFLIEEAGVYESKEEATKGEQVLQRIEQVHYPGDEIEILCVGPSYINREEDFFFILQNILAEMEEVTELQPLPDAHIPVMKFKFDGVPIDLLYASISLLVVPEDLDISDASLLYDVDEHTIRSLNCCRVADQILKLVPNVEHFCTTLRCLKFWAKRRGVYSNVIGFLGGVDWAILVARVCQLYPNAVPSMLVSRFFRVYTEWRWPNPVMLCAIEEDELGFSVWDPRKNPHDRTHHMPIITPAYPCMNSSDNVSQSTLRVMVEQFQYGNNICEKVELFKAEWCALFEPYLLFESYKNYLQVDIVAADVDDLRAWKGWVESHLNQLTLMIERDTLGMLQCHPYPREYVDTNKQCAHSAFFMGLQKKQGEKIQGQQFDIRGTVDEFRHSVNMYMFWKPGMEIYVSHVRRRLLPPYVFPDGCRPRRPSRHTAQHQADNLPCDNGEVAP >ONI17536 pep chromosome:Prunus_persica_NCBIv2:G3:18467024:18467625:1 gene:PRUPE_3G165700 transcript:ONI17536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQWFMNHVITSNLPTYHTWMDHGQLVLGMHPTKHTKLKEERNRRERMRKEQRWKTKRKIMVNSKIQSTFNTKC >ONI15940 pep chromosome:Prunus_persica_NCBIv2:G3:5045541:5046910:1 gene:PRUPE_3G070100 transcript:ONI15940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVHLMLGSLVRLVTALIARPAATITTLLYHSDLLPRNFDSERLRRLVRHEYLLVQENCLFSSLINVLRCFW >ONI17987 pep chromosome:Prunus_persica_NCBIv2:G3:20302411:20304393:1 gene:PRUPE_3G190300 transcript:ONI17987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISVDNKPHAVCIPLPFQSHIKAMLKLAKLLHHKGFHITFVNTEFNHKRFLKSLGPNSLDGLPDFQFETIPDGLPDSDADSAQDPYLLCDSVRKNCLAPFRKLIMKLNDTTSSNVNPPVTCIVSDGFMTFTITAAEELRVPVTLLFTIAACAFMVSKQYPILVEKGLAPLKDESCLTNGFLDKVIDWIPAMKGIRLRDLPSFFETTNDPNDIFLNFTIEAMDRAHTASAVVLHTFDVLERDVLDALSSMLPPVYAIGPLQLLLNKIPQEYPVKPMGYSLWKEETDCFKWLNDKAPNSVVYVNFGSIAVMTPECLVEFGWGLANSKNPFLWIIRPDLVVGASAILPPEFVAETKERALIASWCPQEQVLNHPSVGGFLTHSGWNSTIESLSAGVPMVCWPLFADQPINCWYACNEWGCGMEIDKNVKREEVEKLVRELMEGEKGKKMKNKAMEWKKLAEEATGPHGSSSTNLDNMVNQVLLKNN >ONI15797 pep chromosome:Prunus_persica_NCBIv2:G3:4452932:4455745:-1 gene:PRUPE_3G062400 transcript:ONI15797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRLVEAVKRNDIPTFISLVEKYDGILEQREADTCNTPLHLVSKFGHHSMVSAIVKSRPDMVAAENKDLETPVHEACRIGNAEVLKLLLEANAGAATKLNSEKKSALFLACSYGHVDVVNLLLNEPGMLGLAEDGLETCICVALSGGHIDVVGELLRVCPDLASAIDENGNSPLHYASSKGHREMTWMLLRLDPKLAQQYNNNGHTPLHLAAINYRASVIEGFVLMAPASFQFVTKDGETVFHLAVKYGQYAALVFLILVCNGMNLFNCRDRFGNTILHLAVSGAQNQIAEYIINKTRVEINSRNCEGLTALDILVQAKDSVENRCLEALFVKAGGKRSIELLSHSGKVDRVSAQSVSILEKVPKRAKFVKEHELQVSIINEIVHPASKTPSSFSSPLSRKSSSLPSPQSSRSSPMLPSPQSRNSSPWHDIRGGLHYQPQTPESPLPTVVRQQKNPSEIFYDPKRKQHKTYREALQNARNTITLVASLIATVTFAAGISPPGGVDQEDGKSVAGRTTAFKVFAISNYVALFTSLSIVVVLVSIIPYRRKPLIRLLVVAHKIMWVAVAFMATSYVAATWVIMPHSLEKEWVLVALLAVSIGTLGTVFIGLGLMLVDHWLRKRKWRKGRREAGEANEDAEMGSQNSDVESSYTLGYHSY >ONI19308 pep chromosome:Prunus_persica_NCBIv2:G3:25191205:25194697:-1 gene:PRUPE_3G270900 transcript:ONI19308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIETTTPNRKGNKEIYGLPVETNSHNKTRKCRRRHRKMSPVQRLYQTCKDVFSFCGAGIVPSPEDIQRLRSVLDTMKPADVGLTPELPYFRMTVARRTPAITYLHLHECEKFSMGIFCLPPSGVLPLHNHPGMTVFSKLLFGTMHIKSYDWVADATEDKSTSANPSPATPPGVRLAKVKVDADFTAPCNTSILYPADGGNMHCFTAVTACAVLDVLGPPYSDPDGRHCQYYLDFPFSHFSVDGVSVAEEEKEGYAWLQEIEKPEDLAVDGAKYRGPKIVEN >ONI19999 pep chromosome:Prunus_persica_NCBIv2:G3:26912305:26916763:1 gene:PRUPE_3G309300 transcript:ONI19999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLMEQEIKDVIVGEACAEFRHQLDINYPVNNGIVQNWDDMGHVWDHAFYSELKIDPTECQILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRDDYLEEGVACLSKCGQA >ONI20000 pep chromosome:Prunus_persica_NCBIv2:G3:26912305:26916763:1 gene:PRUPE_3G309300 transcript:ONI20000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLMEQEIKDVIVGEACAEFRHQLDINYPVNNGIVQNWDDMGHVWDHAFYSELKIDPTECQILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRNCDCELKILHEESTWCI >ONI17248 pep chromosome:Prunus_persica_NCBIv2:G3:16224910:16227649:1 gene:PRUPE_3G148500 transcript:ONI17248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGEFDSRRRRIGSFRKANNLYEDRILEASPSLKKAEIDFSEKSSSIARELHMARRDMGRFKEYRTDTDLVKAQAESELYEVYRRAKDLSSVIEESNSNAKSHTREIEVLKKSRRRGNKREDQVLALGEVENQQYADVMRELELVRQELSMLKLDVASVLEEKSQAEKQTEAANTKMLLYTSSVEAIRKEIEDANEEQVLTELARIEASREFADIEAEREKEANQFSFAVEETRKKMKDVIEEIDSSKELETKLSVTMSDVVVLQNELKLVKEMDKRIQRIDSLSRSEPSFRRGEDLEGSPLLHSVTEELEVAKKELAAVKVEGFQFMASMDIIRSELKHLTDETARLRKTEEKSDLTVKSLNSKLLRAKAKLEAVSASEEKAKSMASNLSLTLEKLKTEAEAARKEKELACEEAATIKSEILKMESEIDSTEEKLQAAMEELETVKSSEAVTLENLKGLIEDTIRARAFESQSSSSITISKFEYDYLTGRAAGAEEIADKKVAAAQAWIEALKASEKEILIKIDLSLRDLKEMRVQEEQVTYRAERQLSRKMRVEGELQNLGQKRERNAVYENRQQAQPRKSMKSNGNLTPSRRAKYRKSASPGARNTFPIQKKKRVMPNLAKIFSGKKIAKEV >ONI17249 pep chromosome:Prunus_persica_NCBIv2:G3:16224800:16227667:1 gene:PRUPE_3G148500 transcript:ONI17249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGEFDSRRRRIGSFRKANNLYEDRILEASPSLKKAEIDFSESSSIARELHMARRDMGRFKEYRTDTDLVKAQAESELYEVYRRAKDLSSVIEESNSNAKSHTREIEVLKKSRRRGNKREDQVLALGEVENQQYADVMRELELVRQELSMLKLDVASVLEEKSQAEKQTEAANTKMLLYTSSVEAIRKEIEDANEEQVLTELARIEASREFADIEAEREKEANQFSFAVEETRKKMKDVIEEIDSSKELETKLSVTMSDVVVLQNELKLVKEMDKRIQRIDSLSRSEPSFRRGEDLEGSPLLHSVTEELEVAKKELAAVKVEGFQFMASMDIIRSELKHLTDETARLRKTEEKSDLTVKSLNSKLLRAKAKLEAVSASEEKAKSMASNLSLTLEKLKTEAEAARKEKELACEEAATIKSEILKMESEIDSTEEKLQAAMEELETVKSSEAVTLENLKGLIEDTIRARAFESQSSSSITISKFEYDYLTGRAAGAEEIADKKVAAAQAWIEALKASEKEILIKIDLSLRDLKEMRVQEEQVTYRAERQLSRKMRVEGELQNLGQKRERNAVYENRQQAQPRKSMKSNGNLTPSRRAKYRKSASPGARNTFPIQKKKRVMPNLAKIFSGKKIAKEV >ONI17250 pep chromosome:Prunus_persica_NCBIv2:G3:16224853:16227651:1 gene:PRUPE_3G148500 transcript:ONI17250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRDMGRFKEYRTDTDLVKAQAESELYEVYRRAKDLSSVIEESNSNAKSHTREIEVLKKSRRRGNKREDQVLALGEVENQQYADVMRELELVRQELSMLKLDVASVLEEKSQAEKQTEAANTKMLLYTSSVEAIRKEIEDANEEQVLTELARIEASREFADIEAEREKEANQFSFAVEETRKKMKDVIEEIDSSKELETKLSVTMSDVVVLQNELKLVKEMDKRIQRIDSLSRSEPSFRRGEDLEGSPLLHSVTEELEVAKKELAAVKVEGFQFMASMDIIRSELKHLTDETARLRKTEEKSDLTVKSLNSKLLRAKAKLEAVSASEEKAKSMASNLSLTLEKLKTEAEAARKEKELACEEAATIKSEILKMESEIDSTEEKLQAAMEELETVKSSEAVTLENLKGLIEDTIRARAFESQSSSSITISKFEYDYLTGRAAGAEEIADKKVAAAQAWIEALKASEKEILIKIDLSLRDLKEMRVQEEQVTYRAERQLSRKMRVEGELQNLGQKRERNAVYENRQQAQPRKSMKSNGNLTPSRRAKYRKSASPGARNTFPIQKKKRVMPNLAKIFSGKKIAKEV >ONI15685 pep chromosome:Prunus_persica_NCBIv2:G3:3923294:3927264:1 gene:PRUPE_3G055400 transcript:ONI15685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTFKHILFLLAGFALNLMLLVHSQDGQSGFISIDCGLQTDSGYSEKRTGINYISDATFIDTGESKFTLPSQRDDYQQPYWSVRSFPEGARNCYKINVTRGNKYLIRASFVYGNYDGQDKIPEFELHLGPNLWDTVRFENASDADTDKELIYVPLRNYIHVCLVNTGSGVPFISALELRPLPNASYETKTGSLAFVSRYDTGQSPNTLTRYPVDILDRFWGAYNDLNDWTQLNTSSTIDSESDQLYQLPSVVMSTASTPKNPSDSLSIILSLPDKDAEYYSYLHFAEVESLQLNQSRLQYVYRDGGHHYGPFAPQYLSTNTIYSTNAWSTGGDDVTFSITRAENSTLPPILNAIEIYMVKQFVEAETNQEDVDAIASIKSTYNIKRNWQGDPCAPQDYVWQGVKCNYQEFELPRIISLNLSSSSLTGEIAASISNLTMIQSLDLSNNNLTGPIPEFLSKFQHLTVLNLEKNKFTGSVPVGLIERKNNGLLSLSLCENPNLSGHDSCKLKKQQNLVIPVVVPIAGILFLVVAAIWWWWSLKSKRQHGKTSNILEITDEDLRYGSLESTKRQFTYSEILMMTNNFERVLGKGGFGTVYHGYMEHTQVAIKMLSPSSVQGFQQFHAEVSLLMRVHHKNLTSLVGYCNDETNVGLVYEYMANGNLLDHLSDSISSILTWEDRLRIATDAAQGLEYLHCGCKPPIMHRDVKSTNILLNENFQAKISDFGLSRKLLTHDGTHASSLLAGTPGYLDPEFYLSNRLNEKSDVYSFGVVLLEIITCRPVITRTHERIHISQWVGFMLANGDINSIVDPRLEGNFNTNSVWKAVEIAMACVSTNAIKRPSMSQVVVDLKECLATEYARTKHSRVGQFENPNGLMPDNSIARLTPSVR >ONI16000 pep chromosome:Prunus_persica_NCBIv2:G3:5269424:5274856:1 gene:PRUPE_3G073400 transcript:ONI16000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKNETNQNQVKAGKSIDVVLTKKENGVSSRRSASSKKRSKKSARSLRGKVHGKQKKTVEVEGHETEEQELDIPKTNTSYGMLVGPFGFVEDRTLEWSPKTRSGTCDRKGDFARLVWSRRFLLIFHELSMTGAPLSMMELATELLSCGATVSAVVLSKKGGLMPELARRRIKVLEDKVEQSFKTAMKADLVIAGSAVCASWIDQYMDHFPAGASQIAWWIMENRREYFDRAKVVLNRVKMLAFLSESQSKQWLDWCEEEKIKLRSQPAVVPLSINDELAFVAGIGCSLNTPSSSTEKMLEKRQLLRDSVRKEMGLTDNDMLVMSLSSINPGKGQLLLLESARLVIEEPLKYNSKIKNPVRKRQARSTLARKHHLRALFQELNDDGVSSNELPLSNESDVQLNEPQKKKLRLRSLYTSFDDTGDLTFNVTHKRKVLSDNGGTLEQSVKFLIGSVGSKSNKVLYVKELLGFLSQHSNMSKSVLWTPATTRVAALYSAADVYVMNSQGLGETFGRVTIEAMAFGLPVLGTEAGGTTEIVEHNVTGLLHPVGHPGTRVLAENIRFLLKSPNARKQMGLKGREKVERMYLKRHMYKRFVDVLLKCMRPK >ONI15998 pep chromosome:Prunus_persica_NCBIv2:G3:5269761:5274856:1 gene:PRUPE_3G073400 transcript:ONI15998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSRGDYKSSRGSGSFKSTLSGRSSPRNSPSFRRLNSSRTPRREARSSGGVQWFRSNRLLFWLLLITLWAYLGFYFQSSWAHNNKENFLGFGNKASNGNSDTEQNARRDLLASDSSMAVKNETNQNQVKAGKSIDVVLTKKENGVSSRRSASSKKRSKKSARSLRGKVHGKQKKTVEVEGHETEEQELDIPKTNTSYGMLVGPFGFVEDRTLEWSPKTRSGTCDRKGDFARLVWSRRFLLIFHELSMTGAPLSMMELATELLSCGATVSAVVLSKKGGLMPELARRRIKVLEDKVEQSFKTAMKADLVIAGSAVCASWIDQYMDHFPAGASQIAWWIMENRREYFDRAKVVLNRVKMLAFLSESQSKQWLDWCEEEKIKLRSQPAVVPLSINDELAFVAGIGCSLNTPSSSTEKMLEKRQLLRDSVRKEMGLTDNDMLVMSLSSINPGKGQLLLLESARLVIEEPLKYNSKIKNPVRKRQARSTLARKHHLRALFQELNDDGVSSNELPLSNESDVQLNEPQKKKLRLRSLYTSFDDTGDLTFNVTHKRKVLSDNGGTLEQSVKFLIGSVGSKSNKVLYVKELLGFLSQHSNMSKSVLWTPATTRVAALYSAADVYVMNSQGLGETFGRVTIEAMAFGLPVLGTEAGGTTEIVEHNVTGLLHPVGHPGTRVLAENIRFLLKSPNARKQMGLKGREKVERMYLKRHMYKRFVDVLLKCMRPK >ONI15997 pep chromosome:Prunus_persica_NCBIv2:G3:5269761:5274856:1 gene:PRUPE_3G073400 transcript:ONI15997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSRGDYKSSRGSGSFKSTLSGRSSPRNSPSFRRLNSSRTPRREARSSGGVQWFRSNRLLFWLLLITLWAYLGFYFQSSWAHNNKENFLGFGNKASNGNSDTEQNARRDLLASDSSMAVKNETNQNQVKAGKSIDVVLTKKENGVSSRRSASSKKRSKKSARSLRGKVHGKQKKTVEVEGHETEEQELDIPKTNTSYGMLVGPFGFVEDRTLEWSPKTRSGTCDRKGDFARLVWSRRFLLIFHELSMTGAPLSMMELATELLSCGATVSAVVLSKKGGLMPELARRRIKVLEDKVEQSFKTAMKADLVIAGSAVCASWIDQYMDHFPAGASQIAWWIMENRREYFDRAKVVLNRVKMLAFLSESQSKQWLDWCEEEKIKLRSQPAVVPLSINDELAFVAGIGCSLNTPSSSTEKMLEKRQLLRDSVRKEMGLTDNDMLVMSLSSINPGKGQLLLLESARLVIEEPLKYNSKIKNPVRKRQARSTLARKHHLRALFQELNDDGVSSNELPLSNESDVQLNEPQKKKLRLRSLYTSFDDTGDLTFNVTHKRKVLSDNGGTLEQSVKFLIGSVGSKSNKVLYVKELLGFLSQHSNMSKSVLWTPATTRVAALYSAADVYVMNSQGLGETFGRVTIEAMAFGLPVLGTEAGGTTEIVEHNVTGLLHPVGHPGTRVLAENIRFLLKSPNARKQMGLKGREKVERMYLKRHMYKRFVDVLLKCMRPK >ONI15999 pep chromosome:Prunus_persica_NCBIv2:G3:5269290:5274895:1 gene:PRUPE_3G073400 transcript:ONI15999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSRGDYKSSRGSGSFKSTLSGRSSPRNSPSFRRLNSSRTPRREARSSGGVQWFRSNRLLFWLLLITLWAYLGFYFQSSWAHNNKENFLGFGNKASNGNSDTEQNARRDLLASDSSMAVKNETNQNQVKAGKSIDVVLTKKENGVSSRRSASSKKRSKKSARSLRGKVHGKQKKTVEVEGHETEEQELDIPKTNTSYGMLVGPFGFVEDRTLEWSPKTRSGTCDRKGDFARLVWSRRFLLIFHELSMTGAPLSMMELATELLSCGATVSAVVLSKKGGLMPELARRRIKVLEDKVEQSFKTAMKADLVIAGSAVCASWIDQYMDHFPAGASQIAWWIMENRREYFDRAKVVLNRVKMLAFLSESQSKQWLDWCEEEKIKLRSQPAVVPLSINDELAFVAGIGCSLNTPSSSTEKMLEKRQLLRDSVRKEMGLTDNDMLVMSLSSINPGKGQLLLLESARLVIEEPLKYNSKIKNPVRKRQARSTLARKHHLRALFQELNDDGVSSNELPLSNESDVQLNEPQKKKLRLRSLYTSFDDTGDLTFNVTHKRKVLSDNGGTLEQSVKFLIGSVGSKSNKVLYVKELLGFLSQHSNMSKSVLWTPATTRVAALYSAADVYVMNSQGLGETFGRVTIEAMAFGLPVLGTEAGGTTEIVEHNVTGLLHPVGHPGTRVLAENIRFLLKSPNARKQMGLKGREKVERMYLKRHMYKRFVDVLLKCMRPK >ONI18755 pep chromosome:Prunus_persica_NCBIv2:G3:23193545:23197068:1 gene:PRUPE_3G236800 transcript:ONI18755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSDVKYDPLYDSLKRGDWNAAKEFIDRHPGALTYRGSSSGGTALHEAIERKQLHIVEELLKLMTEKDLEIDDDLGFTAFFYALLKGMAAIVAKMVKKNKNLVTKRFTNSKDRTPVLVACGWGHWEIARFLYSRTPIHVLTQDKNGRDDIGWDLLQKYPKLALTENYSLGHSPLNTLAGLHSAFPSEVRLSCWQRLIYNRIHDLHEIRLGHDRNLQILRLVCDVVKRTNLYRKQTDFMETAIFRAVERGKVEFIRETCKANPRIPLMTMDERGRSIFHYAVECRQEKVFNLIYGINEYDRNAILKHAYRFNNTILHAAGSLSAHLNHIQGAALQMQRELQWFKEVERILSPRDFENHKALMKEGEESMKGTATSCTVVGALIVTIMFAAAFTVPGGSNQDTGFPTFLRKKIFRVFLISDSISLFFSTTSVMIFLGILTSRYAEDDFLKSLPTKMLLGLFTLFLSIAAMMVAFSSTLFIMLEGESWVSIPILLLAGVPITSFVWMQFPLFLDILMFTYGRGIFCRAWE >ONI19553 pep chromosome:Prunus_persica_NCBIv2:G3:25804608:25806567:1 gene:PRUPE_3G284900 transcript:ONI19553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASCVSTPPSVNKAWIYREHGETAHVLKFETNVAVPQIKEDQVLIKVVAAALNPIDAKRILGVLRATDTAPPIVPGYDVAGVVVKLGSKASKFNIGDEVYGDINEESSLNLKKFGTLAEYTAAEERLLALKPKNLSFVEAASLPAAIETAYEGLKRVGLSAGQSILVLGGAGGCGTHVIQLAKHVFGASRVAATASTKKLDLLRSLGADLAIDYTKENVEDLPEKFDVVYDAVGQSDKAVKAVKEGGRVVTLIGPITPPASMFVLTSTGSILEKLKPYLESRKVKAVIDPTGPYQFSKTIDAFAYLQTSRATGKVVVYPIP >ONI16348 pep chromosome:Prunus_persica_NCBIv2:G3:6988315:6990939:-1 gene:PRUPE_3G093300 transcript:ONI16348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHKLSLLGRVTEARQMFDIMPRRDSGSWNSMISGYIQNGLLNKAQELFDSFQGKNVRTWTILLSGYARHGRAHEARAVFESMPERNVVSWNAMITAYAQNGLLRSARDVFDQMPERNTVSWNSMITGYCHCGMMGEARELFDQMEERNIASWMVMVSGYVEIGECCDAWMVFLMMLRSSVRPDQAILVAALLAVMGFNKLELIESLRVMALKTGYESNVVVGTAFLNAYIANGNGLGIFLEMPDRDIVTWNAVLTGCAQNGLGKEAVEVFKQMETTGISPNETSFLALLCACSHAGLVDEGWAYFNSMSQHHGITPSVYHYTCMVDLLGRAGWLSEAEDLIRCMPVKPDSVIWEALLGACRIHRNTELGQRVAERLFQMGTKRSGTYVLLSNMYASRGMWGKVREIREMMTDRGVTKEPGISWIQIKNKVHYFLMGEKAHDEIKEINMAVNELYRCFRATGYVPDTNFVLHDVAEEQKEDDLLYHSEKLAVAYGILQTPNGAPIQILKNLRTCGDCHSFMKFVSSVAQRKIILRDGNRFHHFQDGLCSCGDYW >ONI16717 pep chromosome:Prunus_persica_NCBIv2:G3:10017398:10022003:-1 gene:PRUPE_3G117500 transcript:ONI16717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKNLMEEKQLNFNQPLLSVRRFSATVVSSEADEKRKAEKSLPKLPPLPVYKSELKSGPVRNPGTVPFVWEQIPGRPKDERKSPNQALEWLPTAPKLPPGRVSKVKKQATDKGSECTTAAQSPTGNVLSNSQNVSTLDTKEVTKYDSSKVEMEDKGIAGSDDGDETYLDALSRSESFFMNCSISGLSGLDGLDIKPSGTFSTDPQTRDFMMGRFLPAAKALASETPQYASRKQPVAREQPLLQEQPSGMKKVVSGDKQHPLNQHRPKDLPHYVQDIAGDKSMRVQAQLPISSVRRVRAKSSYAISYREAKKEHSLGDSSEKRLMSGHPEGRVPEDKNDLIHESNQITNRSDCQKLDGSPMYRRLQGSGISPYRNECSQHEEKGFLGIPEKAKNSREANSSGKYRKCHNNFQELLAAENVAELEMGPGSPVVEKTLYIDSVQTVKSPCSSDTKGRIIDYRGNGFEIREKRDKVEEITHSVESSFQDTEHLGDGNEKAIVRHKSLEFPDSSFLSSSGRSSDDVQTDIRIGYIPNQDLIQHSSQVTSSKGADQEKLNLESKQLVKSGDRENFVGLTPDCSTLTSTKLAGEKKIGLESQQSRQSGREDSSHGHVQNSVSLTNSKLANRGKIDLESHRLLKLGNQESPRGNKVPLPLGPPLPKSPSESWLKRTLPSISSRSSSSQSSLGSRSYTSSQASKTSSLDPKWETIVKTSKPHHGHLRFSEELLTPIPEA >ONI16719 pep chromosome:Prunus_persica_NCBIv2:G3:10017903:10020316:-1 gene:PRUPE_3G117500 transcript:ONI16719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKNLMEEKQLNFNQPLLSVRRFSATVVSSEADEKRKAEKSLPKLPPLPVYKSELKSGPVRNPGTVPFVWEQIPGRPKDERKSPNQALEWLPTAPKLPPGRVSKVKKQATDKGSECTTAAQSPTGNVLSNSQNVSTLDTKEVTKYDSSKVEMEDKGIAGSDDGDETYLDALSRSESFFMNCSISGLSGLDGLDIKPSGTFSTDPQTRDFMMGRFLPAAKALASETPQYASRKQPVAREQPLLQEQPSGMKKVVSGDKQHPLNQHRPKDLPHYVQDIAGDKSEDEGMRVQAQLPISSVRRVRAKSSYAISYREAKKEHSLGDSSEKRLMSGHPEGRVPEDKNDLIHESNQITNRSDCQKLDGSPMYRRLQGSGISPYRNECSQHEEKGFLGIPEKAKNSREANSSGKYRKCHNNFQELLAAENVAELEMGPGSPVVEKTLYIDSVQTVKSPCSSDTKGRIIDYRGNGFEIREKRDKVEEITHSVESSFQDTEHLGDGNEKAIVRHKSLEFPDSSFLSSSGRSSDDVQTDIRIGYIPNQDLIQHSSQVTSSKGADQEKLNLESKQLVKSGDRENFVGLTPDCSTLTSTKLAGEKKIGLESQQSRQSGREDSSHGHVQNSVSLTNSKLANRGKIDLESHRLLKLGNQESPRGNKVPLPLGPPLPKSPSESWLKRTLPSISSRSSSSQSSLGSRSYTSSQASKTSSLDPKWETIVKTSKPHHGHLRFSEVVLNSKPSWL >ONI16718 pep chromosome:Prunus_persica_NCBIv2:G3:10017825:10020316:-1 gene:PRUPE_3G117500 transcript:ONI16718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKNLMEEKQLNFNQPLLSVRRFSATVVSSEADEKRKAEKSLPKLPPLPVYKSELKSGPVRNPGTVPFVWEQIPGRPKDERKSPNQALEWLPTAPKLPPGRVSKVKKQATDKGSECTTAAQSPTGNVLSNSQNVSTLDTKEVTKYDSSKVEMEDKGIAGSDDGDETYLDALSRSESFFMNCSISGLSGLDGLDIKPSGTFSTDPQTRDFMMGRFLPAAKALASETPQYASRKQPVAREQPLLQEQPSGMKKVVSGDKQHPLNQHRPKDLPHYVQDIAGDKSEDEGMRVQAQLPISSVRRVRAKSSYAISYREAKKEHSLGDSSEKRLMSGHPEGRVPEDKNDLIHESNQITNRSDCQKLDGSPMYRRLQGSGISPYRNECSQHEEKGFLGIPEKAKNSREANSSGKYRKCHNNFQELLAAENVAELEMGPGSPVVEKTLYIDSVQTVKSPCSSDTKGRIIDYRGNGFEIREKRDKVEEITHSVESSFQDTEHLGDGNEKAIVRHKSLEFPDSSFLSSSGRSSDDVQTDIRIGYIPNQDLIQHSSQVTSSKGADQEKLNLESKQLVKSGDRENFVGLTPDCSTLTSTKLAGEKKIGLESQQSRQSGREDSSHGHVQNSVSLTNSKLANRGKIDLESHRLLKLGNQESPRGNKVPLPLGPPLPKSPSESWLKRTLPSISSRSSSSQSSLGSRSYTSSQASKTSSLDPKWETIVKTSKPHHGHLRFSEELLTPIPEA >ONI15110 pep chromosome:Prunus_persica_NCBIv2:G3:1935991:1937314:1 gene:PRUPE_3G026300 transcript:ONI15110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRASLLMSLILLTILGFNKNNWFYPGSIVLLVLQFLPLSVVLLTSAHSTRVGLEKCFASPNQNRILQLRSGDSSIADYLDKVNVIADNLTLSGSPIPDSDLLAIIMNNVGPLYESIVASAQACETPITYADLEALLLFAEQRHLAVHTPTCDGHVEVGSPKDMKGVKEIDGQKSCSRFDWALPLERQNGGFLPRHRRSRETCSLSSDRARIHAFCLSWRRVSNADPW >ONI15276 pep chromosome:Prunus_persica_NCBIv2:G3:2550134:2551429:-1 gene:PRUPE_3G034900 transcript:ONI15276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDMIRTIVGIIGNVISFGLFVSPIPTFVKIIKQKSVAEFRPDPYIVTLLNCALWSFYGLPIVHPDNLLVVTINGTGLVIEFIYIAIFFVFSPGKKRRNIIIALLVEVVFFAVVVFITLHFFHDTKGRSMIIGILSIVFNIIMYNSPLTVMKMVIKTKSVKYMPFYLSLANLCNGIVWTIYALLKFDPYLLLPNGLGAVSGAVQIILYATYYKTTNWDEDG >ONI18652 pep chromosome:Prunus_persica_NCBIv2:G3:22791986:22795417:1 gene:PRUPE_3G230200 transcript:ONI18652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAEKSGPDGKLWSLFKNPFGQSRNASSSSSSSSSSTLSSMHNVHQLGQTHESVERAALHSASTVSYVTKSLLPARRRLRLDPANKLFFPYEPGKQVKSAIGIKNTSKSHVAFKFQTTAPKSCYMRPPGGILSPGESLIATVFKFVEPPETNEKLVDQKSRVKFKIMSLKVKGDMDYVPELFDEQKDQVVVEQVLRVVFLDPERPTLAMEKLKRQLAEAEAALEARKKPPEETGPRIVGEGLVIDEWKERRERYLAQQQVEGVDSV >ONI16676 pep chromosome:Prunus_persica_NCBIv2:G3:9707936:9709102:-1 gene:PRUPE_3G114800 transcript:ONI16676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLPKMPLPSPSISGVVSQCDATLLYLQHKFQRARSLELALRRKRKLKSSPNHLQGSPVYSLEILKNYFKKFSGFNQNVMTYKILLIILYIQDF >ONI18966 pep chromosome:Prunus_persica_NCBIv2:G3:23987829:23990407:-1 gene:PRUPE_3G249900 transcript:ONI18966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATHLLTDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKTIKVPDGFDYELYNRNDINRILGPRSSCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAQDPAGKPVNALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRFVDAVLTIPKGTLFPMCGMNLGFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIYHSKASNPFVNLRKEYKGIFWQEEIIPFFQAAVLPKDCTTVQACYVELSKQVKEKLGKVDPYFDKLAEAMVTWIEAWDELNPTGPGAKLANGKAK >ONI16839 pep chromosome:Prunus_persica_NCBIv2:G3:11371464:11372022:-1 gene:PRUPE_3G124600 transcript:ONI16839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNFYFCLALVFLIASRSESRPLDTNLERKNTTSILLRQLFQRSKEHKLRFQEDDAVVVGSPYRTMRRSPGGPDPAHH >ONI16743 pep chromosome:Prunus_persica_NCBIv2:G3:10302646:10304017:-1 gene:PRUPE_3G118800 transcript:ONI16743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFEYKYAKGNQEASYPQEAIDEVRNEWVEFVFQIIKQGNY >ONI17427 pep chromosome:Prunus_persica_NCBIv2:G3:17713862:17715402:1 gene:PRUPE_3G158400 transcript:ONI17427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKWSELEELTLLTKYSELLISGALAKLKTREKKFKPIADHVNSVHHLHDPVTFPFKWSWRDVSIKVQNMRHQYLGVKQKIRVSKDEFNWKDGENHWENFLKYKEVFGDVELDVKGKRACESESLDVFGDCGDLGFGIDSEDLEEEEDEEEEEPLEDEEEEEDGSGDGDNGLEELGRSEGGEFGGQREIGDVGFAQKRKLSKVGLHRRLGLVSAQVLDLRDVVVKREERRRERECRRENSEAEREEKRKELECRREKRRNEREEWLEDRELELEEREVMWARNEFEKRLRLEREFDEERRRRMRMEEKREEAELEWRERMVSMQIEHEKQMMQMHAEACQNQMQILGVMARLVCQFFGSVNDGLGGGLGALPPQVLQNLQHPGDLGHNGKPEANSPSEFL >ONI17548 pep chromosome:Prunus_persica_NCBIv2:G3:18525884:18528742:1 gene:PRUPE_3G166400 transcript:ONI17548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRKSRRSCNDELALVKAAAWAWFQHGSGTDGKPVMPEFDVRRTCHAPYKPSRYKLEAMLISNINKQGMEFEGLKSLSVVESISDHSLLDTYEIESISRKLDQLIESSGEGKLSKGFLAGDVLGRNKAKPGGRGSNSDLECGDKCSLPLKLQASNLVEDNRRQGRSESLMDGKTNGRKNKNKNFTGFWNRHAAICGRSDDVLNTKAFVVSRRRPREKGV >ONI16864 pep chromosome:Prunus_persica_NCBIv2:G3:11605764:11607508:-1 gene:PRUPE_3G126000 transcript:ONI16864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWRARAVSSTLFNRLLGGHFSAIISTTTACRTLSTDVGGASNQLFHYDVNSQFGRCMPLADMHIQAKIHSIEMHPGQGGKLVRAPGTYAKILKEPTSRCLVRLPSGVEKWIDSKCRATIGTVPSEGNKPKKLYKAGQNRWLGRRPTVRGVAMNPVDHPHGGGEGKSKSSGSHGKGSRTPWGKPTKGGYKTGPNKRRK >ONI18579 pep chromosome:Prunus_persica_NCBIv2:G3:22400618:22402273:1 gene:PRUPE_3G224600 transcript:ONI18579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKAAKKRRLIEEENERNGRGRDRFSDLPKEISNHIFSFLPMKAIAQVSFTLKRWRSLWDSFPILDFSEVCPFTSQKLTIESCRSMNQLGIFCEDLKDLQVCGMEKICLDISGMGLERLAAVKLHLTSVISNSCVNIFVPNTQTFQWGPDEVAEKGLVHFWLPVNDAKVKTHTVVVLSALSQAEKLTISFQILESLSKIYFEGGLPFSFVNLKTLEIITAGLRKSDFLGIACLFKSSPIVEGLKIVISPIHRPQDDKWNDILLDNALWSEEQFWESQAQTLNSFLCHIKK >ONI20112 pep chromosome:Prunus_persica_NCBIv2:G3:27242053:27244962:1 gene:PRUPE_3G315700 transcript:ONI20112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVARLSCTPEVLPKSKLFTGSRVTKFGSQEALTLLQNCATFKHLKQIHAKIIRNGLSHDQLLIRKLIHLCSSYGKMDYANLIFHQIQGPLTFTWNLMIMSYTINGCSQEALLLYSLMIHQGFPPDKFTFPFVIKACIASSAFEQGKVVHGLSIKNSFSRDMFVQNTLMDFYFKCGEIDCGCRVFEKMRVRNVVSWTTMISGLVACGELHAARAVFERMPAKNVVSWTAMMNGYVRNQQPEEAFELFWRMQVGDVRPNEFTLVSLLKACTLLGSLKLGRWIHDFALKNGFKLDVFLGTALIDTYSKCGSLEDARRVFDEMRIKSLATWNAMITSLGVHGFGEEALALFAEMEKVNVRPDAITFVGVLSACLHTNNLEAGCMYFKYMSKHYGITPILEHYTCMIELYSRADMLDEVCKLAQSMPVKQNIYKAEDNDNHLSLVQRS >ONI16902 pep chromosome:Prunus_persica_NCBIv2:G3:12855054:12856715:-1 gene:PRUPE_3G128300 transcript:ONI16902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCIDFESCREVATKYPGINYKEIIVGNCCMQLVSKPDQFDVMVNKGQRSWSSTDGGRR >ONI16616 pep chromosome:Prunus_persica_NCBIv2:G3:9076037:9077171:1 gene:PRUPE_3G110400 transcript:ONI16616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYLPPEIITQILLRLPIKSLLRCTSICKSWNSLIKHTRFINNHLNLNLDKTSNSPLLLLRHCPKDPTRELYSLHLDNGSFQEHSKPELPVQSLNECFRIVGSCNGLILLSDDYLTDYNMFVLWNPSIRKFITLAKPHVPKSPHHSVYGFGFDSKKNDYKVVRLVYLHQNEGHACPEIELYSLNSGSWKSITSAAPSYLIAQNFWGQVFVNGAVHWVASCKKGNCFRNMVLSFDMSNETFQEIELPEDLACELPTKYMAISAAGKSICVKHFDQNKHTMWVLREYRVVESWEKQVSIDVHGTPNFRVLQVLGCRKNNGEFLLERYDHGRKIGEFVSHNPKNNTNECLGIHTDPGYSCIVYYTESLVLLDKTS >ONI17822 pep chromosome:Prunus_persica_NCBIv2:G3:19666031:19667361:1 gene:PRUPE_3G180800 transcript:ONI17822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLILITNWFLDNETLTCSSPSLIIVFIILEPQRSSWGWLGVWRGFLEEGRCWMSAQRLWGGLRDSGRWVGRRVGLMVFFS >ONI15755 pep chromosome:Prunus_persica_NCBIv2:G3:4208582:4214970:-1 gene:PRUPE_3G059400 transcript:ONI15755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTLQEVKDESSVVELKSTVHGGVQDVYGEDTATEDQFVTPWSVSVASGYTLIRDPHHNKGLAFTEKERDAHYLRGLLPPVVISQELQVKKMINCIRQYLVPLQKYIAMMDLQGRNEKLFYKLLIDHVEELLPVVYTPTVGEACQKYGSIFTHPHGLFISLKDKGKILEVLRNWPEKNIQVIVVTDGERILGLGDLGCHGMGIPVGKLALYTALGGVRPSACLPVTIDVGTNNQKLLDDEFYIGLRQKRATGQEYAELLQEFMTAVKQNYGEKILVQFEDFANHNAFDLLSKYGTTHLVFNDDIQGTASVVLAGLVAALKLVGGSLADHRFLFLGAGEAGTGIAELIALEVSKQTNIPVEETRKNIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVKELVDAVNAIKPTVLIGTSGAGRTFTKEVVESMASLNERPIILALSNPTSQSECTAEEAYTWTQGRAIYCSGSPFPPVEYEGKVYTPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALASQVSQEDYDKGLIYPPFTNIRKISAHIAAKVAAKSYELGLATRLPEPKDLEKYAESCMYSPSYRSFR >ONI15754 pep chromosome:Prunus_persica_NCBIv2:G3:4208835:4215053:-1 gene:PRUPE_3G059400 transcript:ONI15754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLNRSCFLGNPAIAGSSSPFSHSQKRRSASLKVVALVPKARTGDRNGSVVMESTLQEVKDESSVVELKSTVHGGVQDVYGEDTATEDQFVTPWSVSVASGYTLIRDPHHNKGLAFTEKERDAHYLRGLLPPVVISQELQVKKMINCIRQYLVPLQKYIAMMDLQGRNEKLFYKLLIDHVEELLPVVYTPTVGEACQKYGSIFTHPHGLFISLKDKGKILEVLRNWPEKNIQVIVVTDGERILGLGDLGCHGMGIPVGKLALYTALGGVRPSACLPVTIDVGTNNQKLLDDEFYIGLRQKRATGQEYAELLQEFMTAVKQNYGEKILVQFEDFANHNAFDLLSKYGTTHLVFNDDIQGTASVVLAGLVAALKLVGGSLADHRFLFLGAGEAGTGIAELIALEVSKQTNIPVEETRKNIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVKELVDAVNAIKPTVLIGTSGAGRTFTKEVVESMASLNERPIILALSNPTSQSECTAEEAYTWTQGRAIYCSGSPFPPVEYEGKVYTPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALASQVSQEDYDKGLIYPPFTNIRKISAHIAAKVAAKSYELGLATRLPEPKDLEKYAESCMYSPSYRSFR >ONI15756 pep chromosome:Prunus_persica_NCBIv2:G3:4208582:4215262:-1 gene:PRUPE_3G059400 transcript:ONI15756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLNRSCFLGNPAIAGSSSPFSHSQKRRSASLKVVALVPKARTGDRNGSVVMESTLQEVKDESSVVELKSTVHGGVQDVYGEDTATEDQFVTPWSVSVASGYTLIRDPHHNKGLAFTEKERDAHYLRGLLPPVVISQELQVKKMINCIRQYLVPLQKYIAMMDLQGRNEKLFYKLLIDHVEELLPVVYTPTVGEACQKYGSIFTHPHGLFISLKDKGKILEVLRNWPEKNIQVIVVTDGERILGLGDLGCHGMGIPVGKLALYTALGGVRPSACLPVTIDVGTNNQKLLDDEFYIGLRQKRATGQEYAELLQEFMTAVKQNYGEKILVQFEDFANHNAFDLLSKYGTTHLVFNDDIQGTASVVLAGLVAALKLVGGSLADHRFLFLGAGEAGTGIAELIALEVSKQTNIPVEETRKNIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPVKELVDAVNEEHSPKKWLSLWPPSMRDLLSLLFPTQHHNLNVLRKKHTHGLRVVLFIVVEAHSRLLNMRGRFTLLARQTMHTSSLDLVWV >ONI18850 pep chromosome:Prunus_persica_NCBIv2:G3:23615293:23618603:1 gene:PRUPE_3G243500 transcript:ONI18850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRVIPAASKLLKTLRPLNSAASSTTISAISPPIGYAEKPLQSPSGHAITAADTITDNIFNLDDVDKLFASVSTPTLLRAALNLHAVAFEPLVDIGMWVMKSRLMDTPLIRDVIMGIIRSTFYEHFCAGENAMAAGRSVLELNEAGLRGMLVYALEYAGDNDACDRNLQGFLDTAEATKSLPPSSVSFIIMKITAICPMRVLERVSDLLRWQHKDPSFKLPWKLDTLPIFSDNSPLYHTLEKPEPLTPDEERDLELVHQRLLKLSQKCSEANVPLSVDAEYSSVQPAIDYLTYSSAIIYNKDDNPIVYGTIQAYLKDAKERLLLATKAADDMGIPMGFKVVRGAYMSSEAKVASSLGYKSPIHDSIEDTHACYNDCASFMLEKIANGSGGVVLATHNVESGKLAVAKAHEIGIGKVRQKIEFAQLYGMAESLSFGLRNAGFQVSKYMPFGPIELVLPYLLRRAEENRGLLSASTQDRQRTREVLKRRLKAAIF >ONI18564 pep chromosome:Prunus_persica_NCBIv2:G3:22338735:22340741:1 gene:PRUPE_3G223300 transcript:ONI18564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLVKTDPRQDGSNPVAETLAKWKAYNDHLDSCNDESKPIRRVPAKGSKKGCMKGKGGPENSRCNYRGVRQRTWGKWVAEIRTPNRGSRLWLGTFPTAIEAALAYDEAARAMYGSAARLNFPNISISSLSKDPSSRATLSAVSSLATSAGSESSGRSDHSEDCAAQGKVNYLSPSVENEDTTMNRDQTNEDGEDE >ONI17128 pep chromosome:Prunus_persica_NCBIv2:G3:14727730:14729655:-1 gene:PRUPE_3G139600 transcript:ONI17128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNVFLLIVQTVVLTGCTKYQIIGCAGQGGSAQVYKAYVSCNPDDVVALKIQKPAFPWEFYMYRQLDQRISDKEVKLWSCYLYSDCSILVCDYLANGTLQDAKNSFAVIGKSMEEVLCIYYTIEMLYMLETLLGVGIVRGDFKPDNLLIRYARNDFTTDGFSDRSGPWHDQGLCLVDWGRGIDMHLFPDNMEFKGDCRTSGFRCAEMQENRPWTFQVDTYGLCVVVHLMLHNSYMEIEKKLSPEGGYIYQPKYWNAELWRNLFTKRLNSGPRANDKKLLQDLRESFQDYMSSDPLLIKKLSGLLVKQRATLGA >ONI17593 pep chromosome:Prunus_persica_NCBIv2:G3:18761482:18764783:1 gene:PRUPE_3G168700 transcript:ONI17593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALGLGIAFALLVLLPSLEHQVHGKQSNYELKKCDIYQGRWVYDASYPLYDSSLCSFIENAFACQKNGRADELYLKYKWQPFACKLPRFDAEDFLERFRDKRIMFVGDSLSLNQWQSFTCMLHAASPESEYNFERIGSTSTFTFQSHNVSLMLQRNAFLVDMEHNKYGRVLKLNSIDHEDGLAWKEFDVLIFNTWHWWLHTGRKQPWEFIQDGNTLRQDMDRFVAYEKALRTWARWVDKNVDPTKTKVFFQSISPTHWNASFWGNPEGRNCTAETRPLAEQPYPRSPHPAQVIVERVLRGMSKPVNLLNVTALSRLRKDGHPSIYGNEGHRGMDCSHWCLPGVPDTWNLLLYTELIRN >ONI16245 pep chromosome:Prunus_persica_NCBIv2:G3:6484607:6487138:-1 gene:PRUPE_3G087500 transcript:ONI16245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAVSALKPRHKRASNGKPLHVVHTNHNSEVHQVQDLIMSSSSSSFSSKKLRVYGGKASFMTWRLHDVLPVAKHHWIPCVFAMGLLFFMGVEYTLRMVPSSSPPFDVGFITTRSLHLLLASKPKLNTLLAGLNTVFVGMQTTYIVWTWLVEGRPRATISALFMFTCRGILGYSTQLPLPQGFLGSGADFPVGNVSFFLFFSGHVAGSVIASLDMRRMQRWEMAWTFDALNVLQALRLLGTRGHYTIDLAVGVGAGFLFDSLAGKYEESKRSKAPTKTGTKEAFFL >ONI18379 pep chromosome:Prunus_persica_NCBIv2:G3:21658399:21661291:1 gene:PRUPE_3G212000 transcript:ONI18379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEAQESNPQNDAVINSFCEITSASKQEALFFLESHNWDLDAAVSTFLDNNTVAVSVDAPTNAGSFPIPASHSLSPSPSHSPNYSPSQSPPRSRSPSPTPSRAPYQLRSKRAASSGAQDKADTKPPSASRPRGIRTLSDLNQPAKGGSGSDSDEPQEYYTGGEKSGMLVQDPTKGSDVDSIFSQARQLAAAQGPIDPHQPSSSSKSFTGTARLLSGETVPTAAPQPPEAVIHTITFWRNGFSVDDGPLRRLDDPENAPFLESIKKSECPKELEPANRRTAVHVNLMRREEEYPEPVKRHVAFQGVGRTLGESSSTSAAATSELIAADLPLRAAPLPTMGLTVDQSLPSTSIQLRLADGTRMVSRFNYHHTIRDIHAFIDASRPDGARSYRLQTMGFPPKQLSDLDQTIEQAGIANSVIIQKL >ONI15510 pep chromosome:Prunus_persica_NCBIv2:G3:3315232:3317353:1 gene:PRUPE_3G046500 transcript:ONI15510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISQEPISSKKRKTGELNLRTGDSLKTTQEKPDSFIEKFNPTISLTKPEDWFSPRSPRELDAAAIKLQKVYKSYRTRRNIADCSMVAEELWWQALDFAALRRSSVSFFGSGKSETAVSRWARARTRAAKVGKGLTTDEKAPKLALRHWLEAIDPHHRYGQNLHLYYDVWFSSGSFQPFFYWLDVGDGKEVNLDKCPRTDLQGQCIKYLGPKEREAYEVIVKSGKLVYRQSRKLVNTAEGCKWIFVLSTSRKMYVGEKKKGLFQHSTFLAGGATIAAGRIVAFNGVLEAVWSYSGHYRPTEENFMEFISFLEEHQLDLTDVKKYPIDDDVPPSCAPKKEMKSDITSANVDVVCVYSHVLHM >ONI17602 pep chromosome:Prunus_persica_NCBIv2:G3:18794175:18803298:1 gene:PRUPE_3G168900 transcript:ONI17602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIMEANNSNVSRAEELKLLANEAYKAHKFAQAIDLYTQAIEVNSQNAVYWANRSIAHLKLEEYGSAIQDASKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDASKKLKECEKAVMKLKFAEAISVPVSERRPVADSINYRSIGMGPSTSSMSAEVAVAALAMTVGAAMVMMRTAVTAVMATVVVVVMVALGALWWRGFHGGVYTKSRVHDLDVEPQYSGARIEGDVVTLDFVKKMMDDFKHQKNLHQRYAFQIVLQTKEMLQALPSLVDINVPKGNHFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCISPSAIYLARGNHESKSMNRIYGFEGEVQSKLSEKFVELFAEVFCCLPLAHVINGKVFVVHGGLFSVDGVKLSDIRAINRFCEPPEEGLMCELLWSDPQPTPGRGPSKRGVGLSFGGDVTKRFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVDHPDVKPMAYANNFLRLFQ >ONI17603 pep chromosome:Prunus_persica_NCBIv2:G3:18794175:18803298:1 gene:PRUPE_3G168900 transcript:ONI17603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIMEANNSNVSRAEELKLLANEAYKAHKFAQAIDLYTQAIEVNSQNAVYWANRSIAHLKLEEYGSAIQDASKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKRICPNDPDASKKLKECEKAVMKLKFAEAISVPVSERRPVADSINYRSIDVEPQYSGARIEGDVVTLDFVKKMMDDFKHQKNLHQRYAFQIVLQTKEMLQALPSLVDINVPKGNHFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCISPSAIYLARGNHESKSMNRIYGFEGEVQSKLSEKFVELFAEVFCCLPLAHVINGKVFVVHGGLFSVDGVKLSDIRAINRFCEPPEEGLMCELLWSDPQPTPGRGPSKRGVGLSFGGDVTKRFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVDHPDVKPMAYANNFLRLFQ >ONI19508 pep chromosome:Prunus_persica_NCBIv2:G3:25680676:25685180:-1 gene:PRUPE_3G281900 transcript:ONI19508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGVVRNHPIKKDSWKTLLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIHHSETNEEIYGVLSFVFWTLTLIPLVKYVFIVLRADDNGEGGTFALYSLLSRHARVSSLPNCQLADEELSEYTKDGVVSTSNSAFGSSLKSTLEKHRVLQKVLLILALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEQHRYVEVPVACVILIFLFALQHYGTHRVGFLFAPVVITWLFCISSIGVYNIFRWNRQVYQALSPYYMYKFLKKTQKGGWMSLGGILLCMTGSEAMFADLGHFSQLSIKIAFTFVVYPSLILAYMGQAAYLSEHHVIQSDYRIGFYESVPEKIRWPVLAIAILAAVVGSQAIITGTFSIIKQCSSLGCFPRVKIIHTSSKIHGQIYIPEINWTLMLLCLAVTIGFRDTKSMGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAICFILFFGSIEALYFSASLIKFREGAWVPIALSFIFLVVMYVWHYGTFKKYEFDVQNKVSINWLLSLGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVVFLCIKSVPVPHVGPEERFLVGRVGPKEYRLYRCIARYGYRDVHKDDIEFERDLVCSIAEFIRSERPECDVSLEKLEDDEKMTVVGTSSSNLDGIRMSVDDADFSEMASTSELQEIRPTEKPKKRVRFVVPESPQIDREAVEELQELMEAREAGMAFILGHSYVKAKRGSNLMKKLVINVGYDFLRRNFRGPTYALSIPHASTLEVGMVYHV >ONI19507 pep chromosome:Prunus_persica_NCBIv2:G3:25680334:25685838:-1 gene:PRUPE_3G281900 transcript:ONI19507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGVVRNHPIKKDSWKTLLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIHHSETNEEIYGVLSFVFWTLTLIPLVKYVFIVLRADDNGEGGTFALYSLLSRHARVSSLPNCQLADEELSEYTKDGVVSTSNSAFGSSLKSTLEKHRVLQKVLLILALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEQHRWFLFAPVVITWLFCISSIGVYNIFRWNRQVYQALSPYYMYKFLKKTQKGGWMSLGGILLCMTGSEAMFADLGHFSQLSIKIAFTFVVYPSLILAYMGQAAYLSEHHVIQSDYRIGFYESVPEKIRWPVLAIAILAAVVGSQAIITGTFSIIKQCSSLGCFPRVKIIHTSSKIHGQIYIPEINWTLMLLCLAVTIGFRDTKSMGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAICFILFFGSIEALYFSASLIKFREGAWVPIALSFIFLVVMYVWHYGTFKKYEFDVQNKVSINWLLSLGPTLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVVFLCIKSVPVPHVGPEERFLVGRVGPKEYRLYRCIARYGYRDVHKDDIEFERDLVCSIAEFIRSERPECDVSLEKLEDDEKMTVVGTSSSNLDGIRMSVDDADFSEMASTSELQEIRPTEKPKKRVRFVVPESPQIDREAVEELQELMEAREAGMAFILGHSYVKAKRGSNLMKKLVINVGYDFLRRNFRGPTYALSIPHASTLEVGMVYHV >ONI15931 pep chromosome:Prunus_persica_NCBIv2:G3:5024382:5027561:-1 gene:PRUPE_3G069700 transcript:ONI15931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWPEFLANSWGREFVAGGIGGMAGVVSGYPLDTLRVWQQSSPSGSSAFSILRNVVSAQGPTALYRGMAAPLASVTFQNAMVFQIYAILSRAFDSSLSPKDPPSYKGVALGGFGTGAIQSLILSPVELIKIRLQLQTNDQSHAKSKPHKLQSHKGPVDVAKSIVKAEGLRGIYRGLTITVLRDAPSHCFYFWTYEYMREKLHPGCRSTGQESLQTMLMAGGLAGVASWVCCYPLDVVKTRLQAETSYRPQKYYGIVDCFRKSVKEDGYGVLWRGLGTAVARAFLVNGAIFAAYEVALRCLISNGSGTIQTESAI >ONI17416 pep chromosome:Prunus_persica_NCBIv2:G3:17655422:17656172:1 gene:PRUPE_3G157800 transcript:ONI17416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYVMALSNAASELMRGAQKLSSLLDESADWDSRMQTRCSNLEPNIFLCGVHMLMFKNKNKNYKITIQLLCL >ONI19788 pep chromosome:Prunus_persica_NCBIv2:G3:26409999:26412839:-1 gene:PRUPE_3G298100 transcript:ONI19788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLINRTLKSIGNSKLITALSSRSRHFSSIAAIEQPQSDGPSSSFSFSSDGQENQNNRNNQDDSIHIKPSSSSSKHETASSSVTMPMSFMTGSIVGKRFYNQVTTRKADDGNGWTVMLDYRTLKTPSKRPLKLPTLALAKAIAAEWEYQQTDGIRPFTMPLMRLACTALERVPLTRPKVIDYLMQKFNQDLVFCRAPDDNDLTSVVHERQVEKIDPLLDWVKSEFGFKPVVYSSFFGGKQGDGLTKAIETVLKQTDDCELAAIDAIASSAHSLIIAIGIHRGKLQIEEAIELIRLEEDLQVDRWGLVEGGHDVDLADLKVQIASAAVFLGLSRRI >ONI14802 pep chromosome:Prunus_persica_NCBIv2:G3:624864:629126:1 gene:PRUPE_3G009700 transcript:ONI14802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSTQQNASPSSHRCTYDAFLSFRGRDTRKGFADHLYRALEVAGIHTFRDDDEIERGANILAELQKAIQESRVSIIVFSKDYASSRWCLDELVMIMDRRETNGHMVMPIFYDVDPSHVRNQTGIFEEAFSRHQQRFNKEMDKVEKWRKALRDVADLGGMVLGDRYESQFIQDIVEVIGNKLDHTWNRRLRVDPYLVGIDNRVEGLNMWLEDGSSDVGVAVVYGMGGIGKTTIAKTAYNQNCNKFQGSSFLADIRATSKLPNGFVHLQRNLLSDLQKGKAKKIYSLDEGITKIKRAIRCKRVLIALDDVDNLEQFNAILGMREWLHPGSKIIITTRHEHLLKAHENCAMFNVEGLLENESLELFSWHAFRQPHPGEGYMDLSRPVVQHCGGVPLALKVLGSALFGKLQMYGKNALQNLDVITEGKIEKILRVSFDSLQDHDKRLFLHIACFFIGRHKDFSITVLDECGFATNIGIQNLVDRCLLIIDGFNKLTMHQLLQDMGRGIIREESPEDPGKRTRVWNKDASNVLRKLTGTATIKGLMLNIPMLIKDESSKIISSGSNRKRFHVEDYDGNCSSSRRRLGFFSWQSITNSFPVSNEIGFKTEGFRSMHNLELLLLDNVKISGGYEDFPTNLIWLSWRGFALKSIPTNFYLENLIALDLRNSSLQHVWKGTRFLPRLKILNLSHSHGLVTTPDLSGSPDLERLILKDCINLKEVDESIGDLEKLVFLNLKDCKNLMKLPIRISMLRSLQELILSGCSNLVLPASKIVENQSDSTPSDMKKVSLLFAVKSWQSIRSWVLPRKNLQLTSASLPQFLKSLDMAYCNLSEIPNDLPSSLSSLERLNLDGNPFLSLPVNLNGLSKLQRLSLDMCPNLEMIPELPPSVEALIATRCTSLKRVLLNLPDMLPTIRLAVIACENVVEIQNVFKKRPLRSVDIEMIKDIGLFNLESIGSTEVEMFDYLTLTRRKGPLQGLDECGIFSIFLPGSEVPDWFCYKSSMGNSELSITIPPHLNLKIRGLNACVVYAHDEVDDDKGRGFYRAPFLNISNETKGLKWTYVPVTIGFSKEKEHMLWLSHWRFANDELKGGDEIRVSVRVDKDDILIKEFGIQLVYEQNNNEGTVTEDITTMFQHETTPPSTQNHVVAGDVSASASRFHKNKNNKVGEYYICNHNGWYP >ONI15744 pep chromosome:Prunus_persica_NCBIv2:G3:4137369:4141973:-1 gene:PRUPE_3G058600 transcript:ONI15744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCTRNEEACPQLLDLIPRERDWIVKRDERSHGSSEEKKLELRLGPPGEDWSLNGNSRSNKERDESLLSLGYFSPMSSNNNNNNNNNNNVNHHRTQKFISPSDKSVLSSSPWSYQLGNIHQHQTKVPSFLHFTSSSQGLPVTPNKASQPCCTKVVDLQKAEKKVFSPASANTAVPNSSQKRTAPAPVVGWPPIRSFRKNLASNSSSKPTSESQNVVPNKVPNGTQVETSRKGLFVKINMDGVPIGRKVDLSACDSYENLSSAVDELFRGLLAAQRDSCAGGTQNKQEEEKEITGLLDGSGEYTLVYEDNEGDRMLVGDVPWNMFVSTVKRLRVLKSSELSALCLRNSKQGKMPPKSALK >ONI19293 pep chromosome:Prunus_persica_NCBIv2:G3:25150881:25156685:1 gene:PRUPE_3G270000 transcript:ONI19293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGPDAFHAGAWNVGKSKGKKKKDDEDEMTGCWMKFRLMGGCVSSRTKVDSSTSGTSTQDAESKCTNDSIKDQLAAPVASSSTTSNTESTLSTPKAAEELKVASQLRKFTFDELKSVTKNFRPVNLLGEGGFGCVFKGWIDENGTAPVKPGTGLAVAVKTLNHDGLQGHKEWLAEVIYLGDLLHPNLVKLYGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDAPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLVGRRSMDKNRPNGEVNLVEWARPHLGDRRRFYRLVDPRLEGRFSIKGAQKALQLAARCLSRDPKARPQMSEVVEVLQPLPTLKDMASSSSYFQALQIERPGSNLNSRNGSRGQAGVSRNGQPTRSRSMPNGPHASPYHLNHPHRSPKPVAQS >ONI19545 pep chromosome:Prunus_persica_NCBIv2:G3:25780347:25782633:1 gene:PRUPE_3G284200 transcript:ONI19545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCQVRDAEETRSSTSSPSQSQTQNMKTENQPAPQDKEEEEEKERNSISETPPSTKFYSPPLFQSPSPPSDSPTTHSTHQAYHTHEFRVTPSDTKPASPPAVPARSFKVKPKVVDPKPQVGFSGLAEVQEESGGATATGTNRGGVKMRTLRANLSILNRSRRENMVRKALLGFRISGFVFCLISFSVMAADKNQGWALDSYYRYKEFRYCLAVNVIGFVYSGLQTYDLAYFFTSGKHVMQLHLRHYFDFLMDQILTYLLISASSSAAIRADDWESNWGKDKFPDMARAAVALSFLAFLALASSSLISGYTLCNLKSI >ONI16582 pep chromosome:Prunus_persica_NCBIv2:G3:8667333:8670442:-1 gene:PRUPE_3G108000 transcript:ONI16582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFGISTLVIVLSLLHHVHGDVTSTKGCDIFQGKWVYDASYPLYNSAKCSFIEKEFDCLKNGRPDKYYLKYRWQPTGCSLTRFNGQDFLQRFRGKSIMFVGDSLSLNQWQSLTCMLHTANPQTPYKLFRIGGLSTFTFPAYNVKVMFSRNAFLVDIIATKAGRVLKLDSIESGKMWKGIDFLIFNTWHWWLHSGRKQPWDLIQEGNRLYKDMDRLVAYKKGLNTWARWIDTNLDPKKTRVFFQVEVIGGSQLQNIVRDKCDQWLGISIQQVHIQQSL >ONI16581 pep chromosome:Prunus_persica_NCBIv2:G3:8667333:8670441:-1 gene:PRUPE_3G108000 transcript:ONI16581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFGISTLVIVLSLLHHVHGDVTSTKGCDIFQGKWVYDASYPLYNSAKCSFIEKEFDCLKNGRPDKYYLKYRWQPTGCSLTRFNGQDFLQRFRGKSIMFVGDSLSLNQWQSLTCMLHTANPQTPYKLFRIGGLSTFTFPAYNVKVMFSRNAFLVDIIATKAGRVLKLDSIESGKMWKGIDFLIFNTWHWWLHSGRKQPWDLIQEGNRLYKDMDRLVAYKKGLNTWARWIDTNLDPKKTRVFFQGVSPDHNNGGDWGEPTAKHCEGQMRPVVGHQYPAGSHPAELVVERVLHSMSKPAYLLNVTTLSQLRKDGHPSVYGHGGHRDMDCSHWCLAGVPDTWNQLLYAALIQRKTN >ONI19155 pep chromosome:Prunus_persica_NCBIv2:G3:24767606:24772469:1 gene:PRUPE_3G262200 transcript:ONI19155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCISSKQAAASASPCFEYSAAVADNGSVVLQPSSSSLKNSATTTTTNNSKINRSKSKSKSKSNSNSSEFKKTNSKKDIQRQDKSEDRSRELIQLKKSKKEKEGSLNSYNSHNSHNGGKGSGFSFRLGFSNRVSLEAEQVAAGWPSWLSAAAGEAIYGWVPLKADSYEKLEKIGQGTYSSVFRAREVETGRILALKKVRFDNYQPESIRFMAREIMILRRLDHPNIMKLEGIITSQSSSSIYLVFEYMEHDLAGLVASSDIKFSDMQIKCYMRQLLSAVEHCHLRGIMHRDIKASNILVNNDGILKLGDFGLANVVNSKNKQPLTSRVVTLWYRPPELLMGATEYGVSVDLWSVGCVFAELFLGKPLLKGRTEVEQLHKIFKLCGSPPEEYWKASKLPHATMFKPQYSYESSLRDRCKEFPTTAVDMLENLLSVEPYKRGTASSVLMAEYFRTRPYACDPSHLPKYPPTKEMDTKSREDSQRKRPGIRARETSRKPRRVRKTLQESNNSAKLAPKEDGQDDNTQSARCNPLILREKGGLLRRESLKLSFDTVSENCKLNTSQGDSTFSSGALISAASGFTWAKRQNEDGTKSAVSDGSKSQFSALDTNFAGSTFDFTRQGNGTSKIAIRKLRTFDTSELCRADESEDTGNDMDSIDEEKHVIEYSGPLISQLCRMDEHLRRNESHIRHAARRSRFERGTQASLDHGRA >ONI19154 pep chromosome:Prunus_persica_NCBIv2:G3:24767607:24772469:1 gene:PRUPE_3G262200 transcript:ONI19154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCISSKQAAASASPCFEYSAAVADNGSVVLQPSSSSLKNSATTTTTNNSKINRSKSKSKSKSNSNSSEFKKTNSKKDIQRQDKSEDRSRELIQLKKSKKEKEGSLNSYNSHNSHNGGKGSGFSFRLGFSNRVSLEAEQVAAGWPSWLSAAAGEAIYGWVPLKADSYEKLEKIGQGTYSSVFRAREVETGRILALKKVRFDNYQPESIRFMAREIMILRRLDHPNIMKLEGIITSQSSSSIYLVFEYMEHDLAGLVASSDIKFSDMQIKCYMRQLLSAVEHCHLRGIMHRDIKASNILVNNDGILKLGDFGLANVVNSKNKQPLTSRVVTLWYRPPELLMGATEYGVSVDLWSVGCVFAELFLGKPLLKGRTEVEQLHKIFKLCGSPPEEYWKASKLPHATMFKPQYSYESSLRDRCKEFPTTAVDMLENLLSVEPYKRGTASSVLMAEYFRTRPYACDPSHLPKYPPTKEMDTKSREDSQRKRPGIRARETSRKPRRVRKTLQESNNSAKLAPKEDGQDDNTQSARCNPLILREKGGLLRRESLKLSFDTVSENCKLNTSQGDSTFSSGALISAASGFTWAKRQNEDGTKSAVSDGSKSQFSALDTNFAGSTFDFTRQGNGTSKIAIRKLRTFDTSELCRADESEDTGNDMDSIDEEKHVIEYSGPLISQLCRMDEHLRRNESHIRHAARRSRFEREVEPQALQVAL >ONI18515 pep chromosome:Prunus_persica_NCBIv2:G3:22110493:22113604:1 gene:PRUPE_3G220200 transcript:ONI18515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGECSMLVKQETSYKSVFIVAQHFGRLYEGVLYEVKIEQGSGAIIRGGPGRALVGEEDRPPLLNPVNKIFGESDMVKPYSCRLVALRFNGLSKLYMLLTARDVLRHSLRSTTTAPTKPDLKGRIFDIETKSFYAFTHPKSFNRRSTLMSAYNKLYHLGIADSWSLNNPRVAFERYDPCNDCWEPLPSVPFGLYKGRGGMAGYAVCGNNILLSSSAGRSFIAFNVKEKKWYPVLAKGSFSFRGRAVVVGNTIYCLLTLHHGMVAKFSFDPSTYSISGSQRLYGLEYNKKHTYNGYFEQCSEYMVHLGNLDFCLVQSGTDSNCCGRQPIWITTFQIVSEGGKSSINTLHSTVYDVDLNGAHKFLIGFSFTPDCEDNEPKGIETKVKLIHGPLEKRCKRKGHYKLGIKRGIKLRTKRTSRKSFGLCLKRGLITLGKL >ONI18516 pep chromosome:Prunus_persica_NCBIv2:G3:22110757:22113459:1 gene:PRUPE_3G220200 transcript:ONI18516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGECSMLVKQETSYKSVFIVAQHFGRLYEGVLYEVKIEQGSGAIIRGGPGRALVGEEDRPPLLNPVNKIFGESDMVKPYSCRLVALRFNGLSKLYMLLTARDVLRHSLRSTTTAPTKPDLKGRIFDIETKSFYAFTHPKSFNRRSTLMSAYNKLYHLGIADSWSLNNPRVAFERYDPCNDCWEPLPSVPFGLYKGRGGMAGYAVCGNNILLSSSAGRSFIAFNVKEKKWYPVLAKGSFSFRGRAVVVGNTIYCLLTLHHGMVAKFSFDPSTYSISGSQRLYGLEYNKKHTYNGYFEQCSEYMVHLGNLDFCLVQSGTDSNCCGRQPIWITTFQIVSEGGKSSINTLHSTVYDVDLNGAHKFLIGFSFTPDCEDNEPKGIETKVKLIHGPLEKRCKRKGHYKLGIKRGIKLRTKRTSRKSFGLCLKRGLITLGKL >ONI18514 pep chromosome:Prunus_persica_NCBIv2:G3:22110757:22113459:1 gene:PRUPE_3G220200 transcript:ONI18514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSTSVALNYLCLSTSLSFVSLLSSLHMEGECSMLVKQETSYKSVFIVAQHFGRLYEGVLYEVKIEQGSGAIIRGGPGRALVGEEDRPPLLNPVNKIFGESDMVKPYSCRLVALRFNGLSKLYMLLTARDVLRHSLRSTTTAPTKPDLKGRIFDIETKSFYAFTHPKSFNRRSTLMSAYNKLYHLGIADSWSLNNPRVAFERYDPCNDCWEPLPSVPFGLYKGRGGMAGYAVCGNNILLSSSAGRSFIAFNVKEKKWYPVLAKGSFSFRGRAVVVGNTIYCLLTLHHGMVAKFSFDPSTYSISGSQRLYGLEYNKKHTYNGYFEQCSEYMVHLGNLDFCLVQSGTDSNCCGRQPIWITTFQIVSEGGKSSINTLHSTVYDVDLNGAHKFLIGFSFTPDCEDNEPKGIETKVKLIHGPLEKRCKRKGHYKLGIKRGIKLRTKRTSRKSFGLCLKRGLITLGKL >ONI16461 pep chromosome:Prunus_persica_NCBIv2:G3:7643840:7645307:-1 gene:PRUPE_3G099600 transcript:ONI16461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKSCNTTHLILFLLFISGLAVLTPILATDHIVGANRGWNAGINYTLWANNQTFYVGDLISFRYPKNQYNVFEVNQTGYDNCTLDSAVGNWSSGKDFIPLNQAKRYYFICGNGQCYNGMKVSVVVHPLPSPPKAAPGAHNSSSDSAVLVVPRQGFRALAVSLAMLCFGFGWV >ONI19847 pep chromosome:Prunus_persica_NCBIv2:G3:26582319:26583585:-1 gene:PRUPE_3G301200 transcript:ONI19847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQNPIPCLLIFFPMFFVVYLIAYFIVFRTWSPKIRPEASSCLISLAHGTPAVFLSTYAILAYPATGFASQNTRFQNTVLDYSVAYFLTDLLHYIVFFPSDVLFIGHHLATLFVFLTCRYVASHGAFAILSLLILAEVTSLCQNVWTLANARRRDLEFAAKVYDLLSPPFYVLYSIVRGLVGPYFVYRMGAFYVGGEADGLIPRWVWVSWIVVVMSAISVSILWISNLWVELFRARTGNLEKKTR >ONI14666 pep chromosome:Prunus_persica_NCBIv2:G3:117648:121074:-1 gene:PRUPE_3G001400 transcript:ONI14666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDESMTIRSCISMQEDEYDDYTTDDGYDHHPHLHNLSRLSMCTSRSSTYGAPDQDEIDDDVDGNYFYQGLHQDQDHDAQEQSGMSMYMSLLSMESFDGDVDEEETLSSNDKRTKQVLLEAGLSSDSDKEPGCCYSLPATPPRPRTQASAPAGMFNYKHDNPMLVKEYASENEAQTLMSVDSKKRSRRSRRRISSDNKENMNMMMTRMGSNQLDHCHSFSGESEGGSGTGVVVITRPKGGRRSLCMDLEEVKACRDLGFELEHQHMLQEMPSRLSLSTPTPTLTLDTNTTCSSGGNSPIANWRISSPGDDPRDVKARLKVWAQAVALASTSRQGSGAD >ONI16854 pep chromosome:Prunus_persica_NCBIv2:G3:11561733:11564995:-1 gene:PRUPE_3G125500 transcript:ONI16854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKIPSMTPSWPDFSHQKMPPMGHFAPNGNAPAVNATTASQNSCWVDEFIDFSSARRGSHRRSVSDSITFLETPMQDECRAPHGSHPNNHEFDRFDDEQFMSMFTTEEISAAAAAAGPTGSSSNPSSPSDHNSINEDEKEADAPHHKQLKNESEEVESQCEQPNPNDTTKSKSNDRKIDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQNLKKMENVAQSPTPPSDTITTPEKEQLLNVSS >ONI19700 pep chromosome:Prunus_persica_NCBIv2:G3:26190130:26193792:1 gene:PRUPE_3G292600 transcript:ONI19700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNHPIITQNLLPPTKTNKHRRRRKRKNNHSNPDADPDPHSEPPPPPPPPEPEPEFQSPSSSSSPLPIDDPHVRIAMYVAMAHAGLAFSLALLYGVTKLLQGYWRPIHWAILCSMPLRELHTALVSFWSHSLNLGLFETLIALPIAALRATTASLFDSHTAIQCCLLRRRNKPRRRKRQFRFSKLIQWLISFALFVVVYESIGLVSVPAFALACFVAYALGCRSILIDPGVATTLSAISSVRRLKSKNNNDKSNNSSGSANLGGKFSRYITCLMLNRLKTTVAIGLIMVMIVGSVFGFVFFSYKIAMEGKGAVISLKAHLEEMNYNYAERVGFKRWMNENQIPELIDNYATKFYETVSQNIDSLAAHYNVTEVVDSVRHYLSTNHDHNPMSQSNKSEAVDVSSISRNGDYLNHQHEQMISNSNVHVQPNLSDKLHSIQSRVKNREWGVIYKDIDRVFREFKALIALIAREDLAEKTKSFLLQGLDVSRRVLASGTMVLAGGANLLFFMAVSLVSGAAGLFNFFFELTVFFWLLYYLITTDSGGVMDHVLGMLPLSKYTRVRCAQVLDHAVSSVLLAAAKVTFFQGCLTYLLFRFYRIHFLYMSTSLALMSAVLQITPAWLLSIPAALQLAMEARYIDAILLTVIHQILLEYGTTAIQDEIPGQNAYLTGLSILGGIALFPSMLEGAIMGPLLMTVMIAFKNLYVEFVLASGTREETSARSYN >ONI14800 pep chromosome:Prunus_persica_NCBIv2:G3:606163:610062:1 gene:PRUPE_3G009500 transcript:ONI14800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPINTLHVVALFCHCKATMAISSPLLYCFLSLWLGHAVHQLEASHQVYRNLPTYSQLTSSHHHPVNEPYRTGYHFQPPKNWINDPNGPLIYKGIYHFFYQYNPLDVVWGNIVWAHSTSTDLVNWTPHDAAIYPSQPSDINGCWSGSATILPGGKPVMLYTGIDPQNRQVQNLAYPKNLSDPFLREWAKIPQNPLMAPSQANQINASSFRDPTTAWLGPDKKWRVIIGGKTNQTGEAILYRSKDFLNWVKAKQPLHSAEKTGMWECPDFFPVSIHSQNGLDTSKIGPDVKHVFKVSLDNTRREYYTIGTYNIDKDIYIPDKGSVESDSGLRYDYGKFYASKTFFDSSKNRRILWGWINESSTVEDDIKKGWSGLQAIPRTLWLDKSGKQLVQWPVVEIEKLREKEVKLPSSVLKGGSVHEVLGVTAAQADVEITFGISDLKKAEVLDPSWTNPQLLCSRKGASVKGGLGPFGLLVLASKGLKEYTSVFYRIFKDHNKHVVLLCSDQSRSSLNKDNDKTTYGAFVNVDPLHEKLSLRSLIDHSIVESFGGEGKACITARVYPTLAINGDAHLYAFNYGTEDVKITGSAWSLKTAKIN >ONI14733 pep chromosome:Prunus_persica_NCBIv2:G3:294675:297952:-1 gene:PRUPE_3G004800 transcript:ONI14733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEVYWYDFVCFGIVGVSLFGALWVIWRREGASARFEDTTLYESLLVTRPDSEVFVSALPRGHVSTSQLWTSCWKGLHPGWLLAIRFLSFLALAGFLTWDIVEWDASIFIYYTEWTFTLVMVYFALGTIVSAYGWWLSANKPPSENGTRAESVIRDVEEDNGIANTYRQKGINGTIKLQSHYAQEEIQHRAGFWGYLMLIAFQTCAGAVVLTDIVFWCVILPFLSNAHLGLNTLMCCMHTLNAAFLLLDTSLNSLPFPWFRLSYFVLWSCIYVIFQWVIHALGFPWWPYPFLELNTPWAPLWYFCMAVVHIPCYGIFALIIKAKYSLLPKWFPNAFVRPI >ONI15752 pep chromosome:Prunus_persica_NCBIv2:G3:4187430:4188916:-1 gene:PRUPE_3G059200 transcript:ONI15752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNQSRRQVRLMRQSLFDQGFLDEQFIQLEELQDDSNPNFVEEIATSYYRDSYRSLQAIELALEKTPRDFSKLDSYMHQFKGSSSSIGAKKVKAECQQFREYCNAGNGEGCMRTFQALKKEHATLKKRLEAYFQMARQAGPIEAACRPK >ONI16117 pep chromosome:Prunus_persica_NCBIv2:G3:5847243:5851097:-1 gene:PRUPE_3G079100 transcript:ONI16117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRRASSLSLDRSRASPYPCTSDDSEPYNLKNPLESKENVKEWEEARCPICIEHPHNAVLLKCSSHEKGCRPFMCNTSYRHSNCLDQFCKSSLPCCSTPMLQELPLTITTSHSANEEQSSLGQTSPCGSQLEPKPVCPLCRGEIYGYVVVEAARHYMNCKVRNCSSETCDFNGTYSELRKHARSQHPSVRPSEVDPNRHSDWVRLERERDLEDVLSLVQQGPAEDIVDEESGEFSSWMTNLFSAMFRSLEVMLVTRLMDSSSGSSSGREQTHNRRSGRMPRAHYDNDSISVTRRSNILSDSTSRPRGLRWRAPNNDVEISRAPRWSQNSLSGETGHASRWSNNFISEPNSRAPRWSNNSLSDETNRARRWGNNSLSEETSRAPGWGNNSLPEEASRAPPRWSNTSVPENAPRPRGLRWRNQRWPTYNNRR >ONI16119 pep chromosome:Prunus_persica_NCBIv2:G3:5847243:5850451:-1 gene:PRUPE_3G079100 transcript:ONI16119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRRASSLSLDRSRASPYPCTSDDSEPYNLKNPLESKENVKEWEEARCPICIEHPHNAVLLKCSSHEKGCRPFMCNTSYRHSNCLDQFCKSSLPCCSTPMLQELPLTITTSHSANEEQSSLGQTSPCGSQLEPKPVCPLCRGEIYGYVVVEAARHYMNCKVRNCSSETCDFNGTYSELRKHARSQHPSVRPSEVDPNRHSDWVRLERERDLEDVLSLVQQGPAEDIVDEESGEFSSWMTNLFSAMFRSLEVMLVTRLMDSSSGSSSGREQTHNRRSGRMPRAHYDNDSISVTRRSNILSDSTSRPRGLRWRAPNNDVEISRAPRWSQNSLSGETGHASRWSNNFISEPNSRAPRWSNNSLSDETNRARRWGNNSLSEETSRAPGWGNNSLPEEASRAPPRWSNTSVPENAPRPRGLRWRNQRWPTYNNRR >ONI16118 pep chromosome:Prunus_persica_NCBIv2:G3:5847737:5849035:-1 gene:PRUPE_3G079100 transcript:ONI16118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVRRASSLSLDRSRASPYPCTSDDSEPYNLKNPLESKENVKEWEEARCPICIEHPHNAVLLKCSSHEKGCRPFMCNTSYRHSNCLDQFCKSSLPCCSTPMLQELPLTITTSHSANEEQSSLGQTSPCGSQLEPKPVCPLCRGEIYGYVVVEAARHYMNCKVRNCSSETCDFNGTYSELRKHARSQHPSVRPSEVDPNRHSDWVRLERERDLEDVLSLVQQGPAEDIVDEESGEFSSWMTNLFSAMFRSLEVMLVTRLMDSSSGSSSGREQTHNRRSGRMPRAHYDNDSISVTRRSNILSDSTSRPRGLRWRAPNNDVEISRAPRWSQNSLSGETGHASRWSNNFISEPNSRAPRWSNNSLSDETNRARRWGNNSLSEETSRAPGWGNNSLPEEASRAPPRWSNTSVPENAPRPRGLRWRNQRWPTYNNRR >ONI18779 pep chromosome:Prunus_persica_NCBIv2:G3:23327777:23329162:-1 gene:PRUPE_3G238400 transcript:ONI18779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASMPLRSKKRMMKQLQCSERTTQLVELPLDILLNILSRLPTRSLFSLLCVSKTLRSLIDCPSFAHEHSQYIATTNYEDLDPSHVMVLTNSQLITLRALNPLSPFSEFAFDISNSLRNGFNLKLVSYGLLLFNKKESTTNDQALLLLVNPLMGEVLNLPPPPTTIFYEALPPRWNYELYGMGYDAMTSSHKIVRLSRPGPKHDLVAQIYELGTGSSSSWRQISSVPPPSCCLSSKNCVSAYGNMHWLVNGFSSDLEFRILSFDFKREEFEWTPHTNLPDFGKRSVLHLINFRGRLAIVDVTAISSPKNSHHHDQIEIWVMKSYKNFTWEKKYAVEIDLHPCCMKSWETPFRFHGVGPGSVGAWEHGIFLRDITSTKSKNMVVFLDVDSGGIRAVQVGSSSMSDCDDLMPINVFSYTGGYISLRKYGDLMEAETGERNFFSVGKMMQRSMLTRYCFEVRV >ONI14700 pep chromosome:Prunus_persica_NCBIv2:G3:201367:206496:1 gene:PRUPE_3G003000 transcript:ONI14700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDFTRPPRLMSFVSEEQLDEAKRTRGERVEDGTAQRDRPLFEILKENKDKKDAEFNERFKHRPPKALDDDETEFLDTLENSRKEYERKIADEEAQQLLSFQAAVVAKSSIVQELQETPSVPIIQEQKSAGKRNPPPRPLGMIIKVKPQAKKAKIDQGGGEEPTNTVTTPHVDTDKSLEGH >ONI14701 pep chromosome:Prunus_persica_NCBIv2:G3:201367:206496:1 gene:PRUPE_3G003000 transcript:ONI14701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDFTRPPRLMSFVSEEQLDEAKRTRGERVEDGTAQRDRPLFEILKENKDKKDAEFNERFKHRPPKALDDDETEFLDTLENSRKEYERKIADEEAQQLLSFQAAVVAKSSIVQELQETPSVPIIQEQKSAGKRNPPPRPLGMIIKVKPQAKKAKIDQGGGEEPTNTVTTPHVDTDKSLEVMKTPNSDTDKSSVVAKTGLVSYSDESEDD >ONI18037 pep chromosome:Prunus_persica_NCBIv2:G3:20491847:20493760:1 gene:PRUPE_3G193200 transcript:ONI18037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYWRSSPRLKDTLSTKHWSSKAHVDELKRTGCLVLYEVDVDDMDQHPTLTCMKFDIVIFNFPHAGHYSWLCERDDELIQKHRELLKAFFKSARGMIGEGGEIHDSHRDDYPYDQWKLKELAEKAGLVLKEKVWFEKSDYPGYHNKRGGGIQSNKKFPLKECYTFKFSLKPEFTTHELMPSSSQTTSILDDDISYA >ONI18038 pep chromosome:Prunus_persica_NCBIv2:G3:20492683:20493568:1 gene:PRUPE_3G193200 transcript:ONI18038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATTLESKDTLSTKHWSSKAHVDELKRTGCLVLYEVDVDDMDQHPTLTCMKFDIVIFNFPHAGHYSWLCERDDELIQKHRELLKAFFKSARGMIGEGGEIHDSHRDDYPYDQWKLKELAEKAGLVLKEKVWFEKSDYPGYHNKRGGGIQSNKKFPLKECYTFKFSLKPEFTTHELMPSSSQTTSILDDDISYA >ONI17251 pep chromosome:Prunus_persica_NCBIv2:G3:16231407:16234830:1 gene:PRUPE_3G148600 transcript:ONI17251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQVLYLVFLLCNSLAFISCVNEEGLALLSFKESLTHYPEGSLSNWNSSDQTPCSWTGVACRQDKVVSLSIPSRNLYGIFSPALGNLSAIRHLNLRSNELFGSLPCELFNAKDLQSLVLSGNSLSGSVPAEIGKLSYLQTLDLSQNSFNGSIPSSIVQCKRLKMLVFGENHFSGSLPDGIGTSLVSLQKLNISFNNLSGSIPEDMSNLSSLRATLDMSHNFFNGSIPASLGALPETVYIDLSYNNLSGPIPQNGALINLGPTAFVGNPLLCGLPSKISCPSSSPGSNSQSLINNQPQNTGRSSGMNGKHSNSSSGIFVITVIAGVMIGICIAGLLFSNWYKKVCACKVSEHFVGCNFEQKFMARKDFSCFAKDGLETLSENLEHYHFVQVDLQVNLDLEQLLKASAFLLGESGIGIVYKVVLEDGRTLAVRRLGDGGSQRFREFQTAIEAIGKIRHQNIVTLLAYCWSVDEKLLIYDYIPNGDLASAIHGKSGMVSFTPLSWPVRLRIIKGLAKGLAYIHEFSPRKYVHGNLRPSNILLGQNMEPHISDFGLGRLANLTEESSSSSSFQLEQIMTETPPQNSPYVQRVASSLAAAGPFYKAPEASKVTKPSQKWDVYSFGVIILEMISAKMPFKRIGSLEMDLIQWFQLSIDERKPLVDLLDPFLAPDVDMEEEIIAVLKMALACAHKAPEGRPSMRFVCDNLARLA >ONI15616 pep chromosome:Prunus_persica_NCBIv2:G3:3638584:3641966:1 gene:PRUPE_3G051700 transcript:ONI15616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISPEPPEIVEDKRPPSSWPNKGRIELYSLRIKYRANAPLVLKGITCTFKGGTRVGVVGRTGSGKTTLISALFRLVEPVSGTITKDGIDICSLGLKDLRMKLSIIPQEPTLFRGSIRTNLDPLGLYSDDEIWRALEKCQLKATVSSLPNLLDSSVSDEGENWSAGQRQLFCLGRVLLKRNRILVLDEATASIDSSTDAVLQRIIRQEFAECTVITVAHRVPTVIDSDMVMVLSYGKLVEYEEPSKLLDTNSYFSKLVAEYWSSCRRT >ONI16626 pep chromosome:Prunus_persica_NCBIv2:G3:9277323:9277904:1 gene:PRUPE_3G111300 transcript:ONI16626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYENKSMSLDSPQRKLGWGKIEVKQIENTTNRQVAFCKKRNGLLKMAYELSLLCDAEVALIVFSS >ONI19145 pep chromosome:Prunus_persica_NCBIv2:G3:24753475:24755030:1 gene:PRUPE_3G261800 transcript:ONI19145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVMRSNYSCRLAGFRWTAVVITAITVGWLMTSANGVLHKVGGAQGWNQNVNYTEWSANENFYVGEWLLFNFDKRYYNVLEVNKSSFESCNDQGFMKNITRGGRDVYQLTEDRPYYFLSGGGYCFHGMRLALVALQDQQQLPPAPALAPSTNAASPNSLPSAKMFIFLSATVISLGLHLMWDVDLCKSYV >ONI19379 pep chromosome:Prunus_persica_NCBIv2:G3:25403886:25405669:-1 gene:PRUPE_3G275800 transcript:ONI19379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDPRKHLFNLIHDFASEKSHGERRVVGLRKRIEELRSELEVANAELEEAKRSKETIEQDLKGYEVELHMNEATIQTLESRISLTQDEISTVGSDLDALKNKKGASRDEFISQMFEINTQIRKFQESIARKIDKLLYNGSTEEEDPKLVKEEVTEGALSTLEDMLAGVISQTTEVEEEFKSEQNIEKQVQQALIDCERKVFLMEEMLEATKALQDLTRYPFQDCIGY >ONI19377 pep chromosome:Prunus_persica_NCBIv2:G3:25400554:25405706:-1 gene:PRUPE_3G275800 transcript:ONI19377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDPRKHLFNLIHDFASEKSHGERRVVGLRKRIEELRSELEVANAELEEAKRSKETIEQDLKGYEVELHMNEATIQTLESRISLTQDEISTVGSDLDALKNKKGASRDEFISQMFEINTQIRKFQESIARKIDKLLYNGSTEDPKLVKEEVTEGALSTLEDMLAGVISQTTEVEEEFKSEQNIEKQVQQALIDCERKVFLMEEMLEATKALQDLTRYPFQDCIGY >ONI19378 pep chromosome:Prunus_persica_NCBIv2:G3:25402634:25405730:-1 gene:PRUPE_3G275800 transcript:ONI19378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDPRKHLFNLIHDFASEKSHGERRVVGLRKRIEELRSELEVANAELEEAKRSKETIEQDLKGYEVELHMNEATIQTLESRISLTQDEISTVGSDLDALKNKKGASRDEFISQMFEINTQIRKFQESIARKIDKLLYNGSTEEEDPKLVKEEVTEGALSTLEDMLAGVISQTTEVEEEFKSEQNIEKQVQQALIDCERKVFLMEEMLEATKALQDLTRYPFQDCIGY >ONI18662 pep chromosome:Prunus_persica_NCBIv2:G3:22847440:22849173:-1 gene:PRUPE_3G231200 transcript:ONI18662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASSRRLRCYIAAASDLCASNFGPNSAPNRYPIHKRMPLSTPLDKPLFSTISSFISNSRASSFSEEDAIVNQILSDLENAAPLLESSYKKRVFLSSKAFNRVLVAAASERNDLSLVSQLVHVAVASRRQPLNSTCFLTVAKAFAKSDDCGELLRLTKQVMEITSPNMTIINRVLFAFGECGEIDKALLIFAQMKALNFVPDLYTHNTILEILGCAGRIDDMLGQFGSMKEAGIDPDVVSYNAVLNNLRKLGKFDICLFYFGEMGENGVQPDLLTYTAMIESLGRSGNVDESLRLFSEMKVRRIRPSVYVYRSLISNLKKMGKVDLALKLMEEMNSCDTEFAGPTDFKRNKRL >ONI16061 pep chromosome:Prunus_persica_NCBIv2:G3:5638402:5639352:1 gene:PRUPE_3G076700 transcript:ONI16061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDITDSSNSRPLVSLPANSNHHHDLMNVQLLPTKLDGTNYLAWSHFVRLYITGKGRIGYLTGEKKQPDNTDPKFITWVEEDAMLRSWLLQAMTPDISLGYMRLDSAHAIWDAVSQTYSEGGCDAQIYKLKHRIQATTQQGKTLETYFNSLQALWQELDYYQPCDMKCSNDTAALKKRIEKERTFEFLAGLNPDLDQVRTQVLGKDPFPSLREAYAYVRAQALRRSAMVMPPSLEGSALTSTANHSAWAPPVHQSSSSAAVSSSNVAKSSKSDDKDALKCDYCHQTKHVREQCFKLNGYLPWWPGKKGEKAEGSKGG >ONI19434 pep chromosome:Prunus_persica_NCBIv2:G3:25585723:25587179:-1 gene:PRUPE_3G279800 transcript:ONI19434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYKAILLLLAALLFVTTRAAYSKVLVPAPAPPKLPIVKAPAPPPLVKAPAPPVPVIKPPSPPPLPVIKPPSPPPVPVIKPPSPSPVPVIKPPTPPPAPVVKPPTPPTPVSPLPPVKPPTYPPLPPVKSKEDCIPLCDKRCQLHSRKRVCMRACMTCCDRCRCVPPGTFGNRERCGKCYTDMVTHGNRSKCP >ONI19435 pep chromosome:Prunus_persica_NCBIv2:G3:25585723:25587226:-1 gene:PRUPE_3G279800 transcript:ONI19435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYKAILLLLAALLFVTTRASSSSVEHHEEEELKLKAAYSKVLVPAPAPPKLPIVKAPAPPPLVKAPAPPVPVIKPPSPPPLPVIKPPSPPPVPVIKPPSPSPVPVIKPPTPPPAPVVKPPTPPTPVSPLPPVKPPTYPPLPPVKSKEDCIPLCDKRCQLHSRKRVCMRACMTCCDRCRCVPPGTFGNRERCGKCYTDMVTHGNRSKCP >ONI16312 pep chromosome:Prunus_persica_NCBIv2:G3:6802359:6803050:-1 gene:PRUPE_3G091300 transcript:ONI16312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLGVAVSALAFLMLLFGHQLAYCSSLAHSQSPITEIKERNRVNTFSKQRLARILIQPPRGGRDLDHPRPIQSPPQVPRGGHP >ONI18751 pep chromosome:Prunus_persica_NCBIv2:G3:23151568:23153288:-1 gene:PRUPE_3G236500 transcript:ONI18751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWALWVFNCGYQRHRIFLNPNAHKICLFLCLNLLSLLLGQSPLVLFIRLIGFNL >ONI18758 pep chromosome:Prunus_persica_NCBIv2:G3:23209922:23211248:1 gene:PRUPE_3G237100 transcript:ONI18758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEFIKEMCKANPRIPLIMGDESGRTIFHYAVECRQEKVFSLIYRLSEYDRNHILTRADNFNNTILHAAGSLSAHLNHIQGAALQMQRELQWFKEVERILPLQYLEARNKTEQITARELFTKNHKKLVKEGEESMKGTATSCTVVGALIVTIMFAAAFTVPGGNNQDTGFPIFLRKKFFRVFLISDSISFFSSTTSVMIFLGILTSRYAEDDFLRSLPTKMLFGLFTLFLSIAAMMVAFSSTLFIMLEGESWVSIPISLLAGVPIASFVWMQFPLFLDILKFTYGRGILDKKCKAWE >ONI18868 pep chromosome:Prunus_persica_NCBIv2:G3:23667741:23670014:1 gene:PRUPE_3G244800 transcript:ONI18868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQWRLLLLRAHHRSSPHVFVKCCYSQVHSQPNLHSLSSLTSHFHTHTLDPKLSPSHPVFNSHLTTPINPRNPLSRSLSSEPALELKDSDHGAIAEIFAKHRGVDDIRKDLELNNVVISHDLVLRVLKSLESNPDVARRFFDWVLACEGERLSSKSYNFMLGIFGVNGCVSEFWDLVDVMKKKGYGVSKWVQDKALEKFEKDGLGGDVEKLRVVFASGSTDNSPDKICSRVCKIVRNEVWSGDVERKILDLNVALSSDMVKVVLENLSTEPMKALIFFRWMEESGFLKHDQQTYNAMARVLGREDCKDRFWKVVDEMRSNGYELELETYVKVLGRFCKRKMIKDAVDLYEFALTGANKPSVHCCTFLLRKIAGGKQLDMSLFSRVVRVFTENGNVLTDSMLNAVLKALNGVGRHGECNKVFKAMEEGGLVASGSLQSKIAFRLSSAGKKEQSSEFINNMEASGRSSDYKIWASLIEGHCVAGNLNNASNCFQKMLEKEGAAYAGYAFELLVNAYCRKNRATDAYKLLHDSVNERQLKPWHMTYKLLISKLLVQGGFKDALNILGLMKNDGFPPFVDPFIEYVSKSGTGDDAIAFLKAMTSNRFPSTSVFLSVFKAYFKAGRHTEAQNFLSKCPGFIRNHADVLDLFLCAQSGEGAASPASAVATPAAATPVVAA >ONI16929 pep chromosome:Prunus_persica_NCBIv2:G3:13324197:13324662:1 gene:PRUPE_3G130600 transcript:ONI16929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPVCPLFQPSQYEGPAVVAAHVTPARPIIVAHVACPMPQHHPARGPISSWPAYFSSSTRPSASFPNVSPPCAPSLAPPFEPISRQPRALARRLLLLA >ONI19966 pep chromosome:Prunus_persica_NCBIv2:G3:26858443:26861874:-1 gene:PRUPE_3G308300 transcript:ONI19966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSPGKKVLLTSNGDAISHNIAFSLAQRGCRLVLMGKESCLRSIQQKIKGSLEGVVPVEVVDVDMEDEREGAFDEAVDKACHILGNLDAFVHCYTYEGKMQEHLELAEDEFKKIMKRNFMSAWFLLNAVGRRMRDYKSGGSIIFLTSIIGAERGIYPGAAAYSACSAAVQQLARTSALEIGRYQIRVNAIARGLHLEDEYPMFVGMERAKKLVKEAAPLERWLDVKNDLASTVIYLISDGSKYMTGTTIFVDGAQSLTRPRMRSYM >ONI15376 pep chromosome:Prunus_persica_NCBIv2:G3:2891846:2894904:1 gene:PRUPE_3G040200 transcript:ONI15376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHEQALISLVSQLALSFDGAILGVALAYAAVRTVLKLNSVSSALRKLHNAPSVQVSDLRSILSLDHSDESQPSDAKLVVVRGTVEAKSAVDGNWNILNSGVLVSQGTKERAVVLQRTQTCVYNEWKGFVGWPSDLRAIFSRSWRERESKVFRTVPFILAEGGRRPISEFVAVDMDGSRHPLPLTTVYHHLHPVNPSPYTFLEALFGHRYPVGLLDEEKILPLGKEISAVGLCSVKDGVLEIKSCKDFPYFLSEMSKDQMVVDLTSRTKVLFWSGIFLGSVSIGVLAYAVSRNWNRWKVWRQQRELQRSIRASNAEAQIQEEEEEEDAGDVPDGQLCVICLMRRRRSAFIPCGHLVCCQLCSISIERDVAPKCPVCRQQIRTSVRIYDS >ONI16082 pep chromosome:Prunus_persica_NCBIv2:G3:5762956:5768058:1 gene:PRUPE_3G077900 transcript:ONI16082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTYLYDFAKGRKDLGRELYLAWVGWVCLWTALFLVLLAIFNACDIINKFTRIAGELFGMLISVLFIQEAIKGIVSEFKIPKGEYSKKETHQFPWLYTNGLLGVIFTFGLLYTALKSRKARSWWYATGRFRSFIADYGVPLMVLLWTALSFSVPRNVPSDIPRRLYSPLAWESASLHHWTVMKDMGKVPPAYVFAAIIPAVMVAGLYFFDHSVASQLAQQQEFNLKKPSAYHYDMLLLGLMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKRQLIRKKMVKSAKESIKQKASNSEIYGKMQAVFIEMDNSTTPTSQVKELEDLKEAVMKSENKGDNAKDAFDPEKHIDDYLPVRVKEQRVSNLLQSLLVAASVFSMPAIKKIPKSVLWGYFAYMAIDSLPGNQFWERLLLLFITPSRRYKVLEGGHASFVESVPFKYIAIFTLFQLVYLLVCFGVTWIPVAGILFPLPFFLLIIIRQHLLPKFFQPHHLQEMDSAEWEEVAGAPKRSLSLPRELETSNEDDGMEMCDAEILDELTTSRGELKIKASFSEERRGQIYPEIIGQKE >ONI16081 pep chromosome:Prunus_persica_NCBIv2:G3:5762956:5768058:1 gene:PRUPE_3G077900 transcript:ONI16081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFKAPFRGIAKDVKGRALCYKQDWTSGFRSGIGILAPTAYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTAICGIIHSILGGQPLLILGVAEPTVIMYTYLYDFAKGRKDLGRELYLAWVGWVCLWTALFLVLLAIFNACDIINKFTRIAGELFGMLISVLFIQEAIKGIVSEFKIPKGEYSKKETHQFPWLYTNGLLGVIFTFGLLYTALKSRKARSWWYATGRFRSFIADYGVPLMVLLWTALSFSVPRNVPSDIPRRLYSPLAWESASLHHWTVMKDMGKVPPAYVFAAIIPAVMVAGLYFFDHSVASQLAQQQEFNLKKPSAYHYDMLLLGLMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKRQLIRKKMVKSAKESIKQKASNSEIYGKMQAVFIEMDNSTTPTSQVKELEDLKEAVMKSENKGDNAKDAFDPEKHIDDYLPVRVKEQRVSNLLQSLLVAASVFSMPAIKKIPKSVLWGYFAYMAIDSLPGNQFWERLLLLFITPSRRYKVLEGGHASFVESVPFKYIAIFTLFQLVYLLVCFGVTWIPVAGILFPLPFFLLIIIRQHLLPKFFQPHHLQEMDSAEWEEVAGAPKRSLSLPRELETSNEDDGMEMCDAEILDELTTSRGELKIKASFSEERRGQIYPEIIGQKE >ONI14980 pep chromosome:Prunus_persica_NCBIv2:G3:1379797:1380357:-1 gene:PRUPE_3G019300 transcript:ONI14980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLKSPRKFDLDGLTPFEKNFYVESPVEVERMSEKEVEEYRQRREITIEGRDVPKPIKSFYDTGFPGAFEVSH >ONI14979 pep chromosome:Prunus_persica_NCBIv2:G3:1379696:1380428:-1 gene:PRUPE_3G019300 transcript:ONI14979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPTSYRDRKSDSGFGVTGYARRIMMVLKSPRKFDLDGLTPFEKNFYVESPVEVERMSEKEVEEYRQRREITIEGRDVPKPIKSFYDTGFPGAFEVSH >ONI15807 pep chromosome:Prunus_persica_NCBIv2:G3:4493527:4494258:-1 gene:PRUPE_3G062800 transcript:ONI15807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPNNSELAQSSSNSSSSSRLLQTHPTSPSNSLKTKTKTHKASSQHQQQHQVALKRKRDDNSSSKHPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFSTPDMAARAHDVAALSIKGNSAILNFPELAELLPRPASRKPQDIQAAATKAAAMVHLTSTSSSSSSSSSSSSSLLVSQSEGSESEELGEIVELPNIEGSFDSPESRNEFILVNDSEDGWVYPSMGLEGVEFNLWGFFSSDIGS >ONI19846 pep chromosome:Prunus_persica_NCBIv2:G3:26579906:26582043:1 gene:PRUPE_3G301100 transcript:ONI19846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQAPTDQNSSVPVPSNIDEQLEKQSQIVEQLKRQKEIDDWLPITSSRNAKWWYSAFHNVNAMVGAGVLSLPFAMSNLGWGPGIVILVLSWVITLFTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLICEVGVDIVYMVTGGKSLQKIHIIARKDKDPIKLTYFIMIFASAQFVLSHLPNFNSISGISLAAAVMSLSYSTIACTASVHKGVQPGVEYGYLAQSTSGTAFNFFTALGDIAFAYSGHNVVLEIQAIIPSSPEKPSKKPMWRGVVIAYIVVALCYFPVAFIGYYTFGNKVDDNILISLEKPEWLIITANMFVVIHVIGGYQLFAMPVFDMIESLLVKKLHFRPTTRLRFITRNTYVAFTMLVGITFPFFGGLLGFFGGFAFSPTTYFLPCVIWLIIKKPRKFSLSWFVNWICITLGVLLMILSPIGGLRNIILQAKNYKFYD >ONI16741 pep chromosome:Prunus_persica_NCBIv2:G3:10238595:10239446:1 gene:PRUPE_3G118600 transcript:ONI16741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVEMRVHMDCPGCESKVRSALQKLKGVDNVDIDMAMQKVTITGWADQKKVLKTVRKTGRRAELWQFPYSADHNNFSTDQYYNQQCNGPLNNYAPQPSSWYNYYKHGYDGPSHGYSQNPANSTRAYGYQTTAAFSDENPHACSIM >ONI19053 pep chromosome:Prunus_persica_NCBIv2:G3:24433997:24435021:1 gene:PRUPE_3G255900 transcript:ONI19053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRCLAKHGIKVTSVNTEHIHMQMQNALAMEDDDIGFIYLGWALEIAEKKALKRAAFCRGAAALLVLGFSIPKLIDEGVIGNDGTPTKEQVIKLLSPDMPGMNTANLVWACLGSKALQKNMFELMVRNNKSIKLADWLLCNSTYDLEPAEILPIGPLLASNRLKDSAGNLWLGDSCLNWLDQQPPQSFIYVLLVGSQFLIQNNSKNWPWGLSSPIDHFVGC >ONI18051 pep chromosome:Prunus_persica_NCBIv2:G3:20574248:20575422:-1 gene:PRUPE_3G194200 transcript:ONI18051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSLSHATPFRCGYGGFEFLRVLHLEHVDVTREVVEYFMSNCPTLERLSIHSATNLVDLRVVRPSISLKFLSIKHCLRLDSIEIYDANLVSFVYVGLKINVSLYEFSECSSGADLLSLAFTQLSCFLSQLETLMLNINGDVYSCAFPVPTLPNLKHLELIVPADDQWALNNLNSFLKASPSLQRLVLKLEFSPPPPPCKPLEEKLQLLSCELREELRILLFEPPKMIKAPECPHRNLKIAEIVRYRGRINAVEHVMYLIENVVALEKLVIDPVRCWCHHPTSFNRKIKDVKEEEEARDHAMHQLKQMVPSTVQFVCL >ONI15987 pep chromosome:Prunus_persica_NCBIv2:G3:5204560:5207720:1 gene:PRUPE_3G072500 transcript:ONI15987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSENALPPSKKRAAGRELSRDNPGLDDEEDALEQETGTFKRASEEVLATRRIVKVRRQQPASGPTSNPFAGIQLVPPTEGSAVPAEVTAAAQPASEIRVSDEENKQSESKVEQVGAESAADKENVVKENTEVSSEATEPEVVHEPAVGENKTNEGETENEEKTENEDNEDNKNENVDPSPEGTSLSSFQQLSSSQNAFTNLSGTGFSTSTFSFGLISKDGSTLGTGSGSLFGSNNDQPSGLGLSNNGNSSKFGTSGAPVVPKSDGGGFPPMQEVAVETGEENEKAVFNADAALFEFIDGGWKERGKGDLKVNVSESGTEKARLVMRLKGIRKVILNASLYPDMKLTNMEKKGVTFACMNSIDEKKDGLSTFALKFKDGSIVEEFCTAVTAHKDKGKASTAMKTPENSPKASDD >ONI15750 pep chromosome:Prunus_persica_NCBIv2:G3:4171298:4179017:1 gene:PRUPE_3G059000 transcript:ONI15750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHLKDEDAEVKRDDLSKALMSAFSSTPLFEPFVIPLLLEKLSSSLPLAKVDSLKYLNHCTAKYGADRMAKHAGAIWISLKDAISNSLEKPDMSFTSEPLYGLGFQENEIATEALMLLQKVTLQNEALFLSLIIQDEGINIVFNSIASHEHYNNIPLQGKQWLHAVGRILYIISKTSMASCNSVFESFFPRLMNTLEISVTNSAGDCTLNENTFPSKKFNFGALYLCVELIAACRDLIMRSKDLAPKPDTPQETCRYMLQSFADSLVNAFSSSLATNANEVAHGADIYFKVKGLQILATFPGDFLPISKFLFANILTILMSIILVDFNKILLWKLVLKALVHIGSFVDVYHESEKALGYMGAVVDKTVSLVSRDDVKMPFSLKLEAASEIGASGRNHMLKIVQGMEEAIVAKLSDYVHGNLKSAEKTIQLLECYCNKILSWINETGGLEEVLLRFVINIWNCVESCKDFSIQVQEEELLDATMMAMKLAIGSCSEESQNIIIHKAYSVISSSISIPFKESLDATSSIQLEELSVSEQIDNSSHRDDQIDKFSLRDEWILSHFASVIIAVRPKAQIVNVKGILHLFMTTVLKGCVPAAQALGSVINKLGTKSNETANSIDCTLEEAVDMIFRTKLWNLNENGVLRTCGSGNGSKVGLTDLCLGFSSNKLLRVHAVVGLAWIGKGLLLLGHEKVKDVTKILLECLLSEGRIRAMELKQGLLENSYEQHSVMRSAADAFHILMSDSEVCLNRKFHAIARPLYKQRFFSTVMPILQSCIIKSDSSVCRSMLFRASAHLISNAPLIVILSEAKKLMPVLLDGLSLLSEDILDKDKLYSLLLVLSGILTDKNGQVAVIENAHILVNCLTRLIDYPHMMFVRETALQCLIATSELPYARIFPMRTQVLQAICKALDDPKRAVRQEAVRCRRAWASIA >ONI15749 pep chromosome:Prunus_persica_NCBIv2:G3:4167778:4179017:1 gene:PRUPE_3G059000 transcript:ONI15749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTELIQHIELYVDTSRSPTEQAASLNSIISLVKSDFLTIEVLVKEMRMYLTTTDNVIRARGILLLAEVLTGLASKPLDNATIHSLIGFFTDRLADWRALRGALVGCLALLRRKVNAGMVSASDGKLVAQSYIESLQVQSLGQHDRKLCFELLECLLERHPNEIASLGETFFYGICQAMDGEKDPHCLMLTFPIVETLVRIYPDPSGSLASFCGDLFELLGSYFPIHFTHLKDEDAEVKRDDLSKALMSAFSSTPLFEPFVIPLLLEKLSSSLPLAKVDSLKYLNHCTAKYGADRMAKHAGAIWISLKDAISNSLEKPDMSFTSEPLYGLGFQENEIATEALMLLQKVTLQNEALFLSLIIQDEGINIVFNSIASHEHYNNIPLQGKQWLHAVGRILYIISKTSMASCNSVFESFFPRLMNTLEISVTNSAGDCTLNENTFPSKKFNFGALYLCVELIAACRDLIMRSKDLAPKPDTPQETCRYMLQSFADSLVNAFSSSLATNANEVAHGADIYFKVKGLQILATFPGDFLPISKFLFANILTILMSIILVDFNKILLWKLVLKALVHIGSFVDVYHESEKALGYMGAVVDKTVSLVSRDDVKMPFSLKLEAASEIGASGRNHMLKIVQGMEEAIVAKLSDYVHGNLKSAEKTIQLLECYCNKILSWINETGGLEEVLLRFVINIWNCVESCKDFSIQVQEEELLDATMMAMKLAIGSCSEESQNIIIHKAYSVISSSISIPFKESLDATSSIQLEELSVSEQIDNSSHRDDQIDKFSLRDEWILSHFASVIIAVRPKAQIVNVKGILHLFMTTVLKGCVPAAQALGSVINKLGTKSNETANSIDCTLEEAVDMIFRTKLWNLNENGVLRTCGSGNGSKVGLTDLCLGFSSNKLLRVHAVVGLAWIGKGLLLLGHEKVKDVTKILLECLLSEGRIRAMELKQGLLENSYEQHSVMRSAADAFHILMSDSEVCLNRKFHAIARPLYKQRFFSTVMPILQSCIIKSDSSVCRSMLFRASAHLISNAPLIVILSEAKKLMPVLLDGLSLLSEDILDKDKLYSLLLVLSGILTDKNGQVAVIENAHILVNCLTRLIDYPHMMFVRETALQCLIATSELPYARIFPMRTQVLQAICKALDDPKRAVRQEAVRCRRAWASIA >ONI14758 pep chromosome:Prunus_persica_NCBIv2:G3:403444:407130:-1 gene:PRUPE_3G006700 transcript:ONI14758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPSPSPIRTKKTKAVGIPTIDLSNYNRSKLSEQIVEACEEYGFFKVVNHGIPKEVIERMEAQGADFFAKPAMEKQRAGPACPFGYGCKNIGRNGDMGELEYLLLQTDPLSVSERSNAISNEPTKFNCAVNDYTEAVKELACEILDLVGEGLWVSDKSVFSRFIRDVQSDSILRLNHYPAVKDPSPKQQLHPYGNNNRIGFGEHSDPQILTILRSNNVGGLQISLHDGLWVPVPPDPNHFFVMVGDALQALTNGRLVSVRHRALANSVMKPRMSMVYFGAPPLNAWMSPLPEMVSPEKPSLYKPFTWGDYKKAAYTTRLGDSRLDLFKINNNNHTCNDQIPCPCLDNLVQ >ONI17430 pep chromosome:Prunus_persica_NCBIv2:G3:17715986:17720423:1 gene:PRUPE_3G158600 transcript:ONI17430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKLLFICDKTDTVVYWVRILQNCWNLNPGDIKKRVPGMDAISESLNLKFFPVCPDRIHDLCMGRITFQDIDRTSFVHVD >ONI17429 pep chromosome:Prunus_persica_NCBIv2:G3:17715986:17720423:1 gene:PRUPE_3G158600 transcript:ONI17429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKLLFICDKTDTVVYWVRILQNCWNLNPGDIKKRVPGMDAISESLNLKFFPVCPDRIHDLCMGRITFQDIDRTSFVHVD >ONI19969 pep chromosome:Prunus_persica_NCBIv2:G3:26868315:26870016:-1 gene:PRUPE_3G308500 transcript:ONI19969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSFGSSYGSTSLEPPKRDQEKSFSESEANREEETSAIISELFHGFLTIGTLGSEPSINEPETPTFATTLENLSEPKTEVTQNDLKLISYELEKFLEAETKEEGVRASSARNSHASTITLNGKQMEESEDEEYWTTTVVRPLKEYLFGSSIELPDTRIEAKKEKTSLQELFDRTKMTTENCKETSESVDIKSEHKHTSAMQFMKKIIKKLHASSKSSAPCTGGDVTDPVSTRKTFGEASDSVSTKKKPHKILRMFHRRIHPESSTAAREFVEAEKYKDKNNYSAHGRCRENMMLMGRDNRRFPQGAMIKEGTEHGKKYMNLPQYRLSGSNFRRKGEHWIKTDADCKYHFISYTMETYFD >ONI19968 pep chromosome:Prunus_persica_NCBIv2:G3:26867799:26870648:-1 gene:PRUPE_3G308500 transcript:ONI19968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLQWVHHKFRHSSIEPLKDLSIAQPSVDDQHSHMKSSFGSSYGSTSLEPPKRDQEKSFSESEANREEETSAIISELFHGFLTIGTLGSEPSINEPETPTFATTLENLSEPKTEVTQNDLKLISYELEKFLEAETKEEGVRASSARNSHASTITLNGKQMEESEDEEYWTTTVVRPLKEYLFGSSIELPDTRIEAKKEKTSLQELFDRTKMTTENCKETSESVDIKSEHKHTSAMQFMKKIIKKLHASSKSSAPCTGGDVTDPVSTRKTFGEASDSVSTKKKPHKILRMFHRRIHPESSTAAREFVEAEKYKDKNNYSAHGRCRENMMLMGRDNRRFPQGAMIKEGTEHGKKYMNLPQYRLSGSNFRRKGEHWIKTDADYLVLEL >ONI19678 pep chromosome:Prunus_persica_NCBIv2:G3:26108296:26122589:-1 gene:PRUPE_3G291300 transcript:ONI19678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILDKEDQLLRGKEFGVVEETGSKEKEVVEEIDNKAEQHSESHFIANDLDEHVQVISDQQDSINENPQCVEKEAKSSHEDVKGRQLADEIADSSSEQVSVLLEERSFQEDEAAVRTLDLTNNSLKKDIKPETSIDENRDNTSSTNTIFQEEFEEETGIKGLKNKAPESFDAVYEEKGPEATVFNNDKSTDDDSSSTIVAGPDIPNDEATLEAKECAEDKLQKTSMTDNHEEKAGLEVGKKANEAPGILDTPLITQTEETHLPEVGNPDIFEVHPETGSEDIIKESPKEPEYQNEFLEETPEASDTASETVKDDESSERHFGSPCMKHEENTKRKGEALDVGEAKAGEEEDSQGFAAVGPIQETEQSNMNSAEHEGETDTNLVEKIESAHYDIERPLNLNSEENDTETLRENTKTITTDEAERQVINLEQSFKKEGKEDTAIPSYEITEDEIPEEKVLKEPGDSGGGESTTWELNKNIEIPENILNTSTVKCEEGEQGEGKNTAPTKATEEDHRDEDPFTVEVAEEDHDYNSAISVTETSAKVTSNDEEDAPQALQKNEPGGDLQNVLDVMPEEIKTETSRESAEVITCRKEERTIQNSQESSDKERKEDIESTINTEENDTAVVEACTKAADLSTIIEEKNLEEQEIQKLSSSLVVEETTKEISEEEEIYGVILKEERKEAHAKCKEPAEGANLTQDLKSETTEDRTETDKDIYAPISEGEIHAEESKKTFVPKNSFLSDVPEMEIEKHFQVNDVPTVSNFDSVSGIVSQVTGLVEAETENQEQEKKNDIAPEEKVLPTIFTSEKIDVQEEENFNSKEKLENQIPTTAGKPETCLKEAEAENKEHVQNIDPEEKGVETILTDKTALDIEDIAVQAGENFNSKENLEEQIPTAADEGETFWKEAEAENKEEIKHTDIASEEKGLEIILTDETALNIEDINVQAEENLNSKDNLEKQIPTSADEGETFSNEAEAEKEEEIKHTDIAPEEKGLETILTDETALDIEDIYVQAEENFNSKENLEEQIPTAADEGETFWKEVEAENKEEIKHTDIASEEKGLETILTDETALDIEDINVQAEENLNSKDNLEEQIPTSADEGETFSNEAEAENKEEIKHTDIAPDKKGLETILTDETALDIEDIYVQAEENFSSKENLEEQIPTTADEHESCLKEAQAENKEQVKNTDSIPEEKGLVTILTDGTSLDIEDIYVQAEETFNANEKLEEQIPKAADEGETFLKEVEAQNEEQAKNIDIAHEEKRLPSILTDETALDIEDNDVQAEENFNLKENLGKEIQTTADERETFLKEAEAENQEQVKTTDIVPEEKGLATILTDETSLDVEDIDVQAEQNFKSKENLDEQIPAAANEGETCLKEAESENKEQTKDINIAPEEKGLATIVTDETASEIEDGDVQAEENFNSKENLEKQFPTAANEVETCLKEAKAENKEQVKDTDIVHEEKGLATILTDDTSLDVEDIDVQAEQNFNSTENLEEQIPTAADEDKTCFKDAETESKEQTKTTDIAPEEKGLATISAVEMALDIEDIDVKAEENLNSKEILEKQIPTAANEGETCLKEAESENKEQTKNINIAPEEKGLATTLTDETASEIEDSDVQAEENFNSKENLEKQFPTAADEVETCLKEAKAENKEQVKDTDIVREEKGLATVLTDDTCLDVEDIDVQAEENFNSKENLEKQFPTAADEVETCLKEAKAENKEQVEDTDIVHEEKGLATVLTDDTSLDVEDIDVQAEQNFNSKENLEEQIPTAADEDKTCFKDAETENKKQTKTTDIAPEEKGLATISAVETALDIEDIDVKAEESFNSKEILEKQIITAADEGETGLKDAEAENKEQVKDTDIVHEQVKNDIAPEEKVLATILTDETSLDVEDIDVQAEQNFNSKENLEEHIPAAADEDGTCLKEAKAENKEQVKDTDIVHEEKGLATVLTDDTSMDVEDIDVQAEQNFNSKENLEEQIPTAADEDKTCFKDAETENKKQTKTTDIAPEEKGLATISTDETALDIEDIDVKAGEIFNSKEILEQQITTAADEGETGLKDAEAENHEKVKNDIAPKERVLATILTDETASEIEDSDVQAEESFNLKENLEKQIPTAADEGETGLKESESEHNEQVENDITPEEKVLSTILTDETGLDIEDIYVEAEGNFDSTEFLENQIPTEAGKTTEQSFQVQSLEKADPKLGVEDESHESEDHPEKNIIIVEEPQWLQVFDEPKIKGRDLNKEEILEGNVTFSPESIEEDAGNNSQEIEKEIKNLKEEGSSVESMKEGNGDDLSPEYIPEDESEKFKEDKMDAVEWKEEILEESRTAGIGGQQGEGNIPASAKASEEEDPIEDTFPVKLAEVEDDDISTVEVIETSAKVIGEEGSPRAVQKYEPGEGLQKLLDVMPEETKAESSRENAQVITCTKEERAIQNIKESKEEDTNAINDTKQKDTGSEEVLERNSREQETGVHALQEIHPQEFNDKETTEDNSTEYQACIEAADFSPKIVQGNLEEQANRELSSSLVGDNIIKESSEEEENYVSNLKKEKKEAHEECKEPIEVTNMIEEETNSETFEDKRKPNEDLCTPISEEETHAEESNSFQSEVSEKDGSTVINSDSVSGIVRHQTVLKEAEAENKEQVENTDTALDEKGLATILTDGTTLDVEGIDEQSDENSNSKDFSESQIPTVAVVDEGEEKVGLGNINLDDAPVNFQVQSLEEAEPTLRVEDENNNMIAEEVKPQLLDQVFDEPKNIEGDFNKGKIIEANAAIFSPELIQEETVKNSQDNDKETEKLEEEGSSIENIKELNTNDLQLESIPEDGSEKFKDDKQEAVKFKEEILQELHAAGVGEGTACETSKIAERSEEILYTSPVKYEEGPLGEGNSPTSAKASNEEDKDEETFSVKVAEDDDSNNGAVTVTETSEKVTSNEEGDSSQSLEKYELGEEVQVKTSDLAYENKVYNLEVKASFAERTAEETSLRKEGHKEVSDLALEKLDVDATEEEIREGSEIVPESDSQNIDVVSKDDIIACHALHEGISKEQLQIPSSTLLPEERELKSNQHEHETTTQDKNIEEEHTHEGEVPADENTGDVFAARSVEEAHLQKEEPRELKASELSLEKLDASESEEEIKESFETVSKSDCLSIDAVSKDEIIADQTLLEGITDMQLQIPSHSLLPKEEELKTYEHEHGITTQDKSIEEEHAKKIEMPADENGGYFFAARSVEETILHKEELRELKVSELAPEELNADETEEIKETFETVSKADSQSTDVVSKDEIIAYQIVHEGISKEQLQIPSSILLHEEKEIKASDDEHGTTSEEICPQKEEPKNAKVSEMALKTMDADETAEETEETCETANKFASQSTDVVTEDEVVDDQTLCKVTLKETPSTALLTGETKHATITTTEEENMSNVQIQDDENGNATSTAEEKSLPKEEPSELKVSDVELYSSKELQKESSNEVHEEERSSLIGVPEVEPHEDEEGERYDDSPLGNQKTTELKGTTMEASNLDIETSENASDCAPEEGFSRSQDATINREHLKNEANETNETIKNEVSNEQKHLEVAISDSRAEERWCETTIEANETRKNEVSNEEIEAEKLEAKDLRPISVSGENISHREQENELIAEKYEVEKSESFNTARNENRDNEESPKETTKVAKDLTEDKELQTKENLCDTTVEANEKIKAGLSNDEIKEDKELPKDSEADSVREESHQEKKAIAEEYQVEKTNESSVIRTNENRGELPEEKDTTASKEVRTEEEEEEHENAEEVKTYEETGENEHKNAEPGYDSPVIVEASRDADAKISHKKSHNILSGIKHSISKVKKAITGKSSHSKTQSEK >ONI19677 pep chromosome:Prunus_persica_NCBIv2:G3:26108296:26125443:-1 gene:PRUPE_3G291300 transcript:ONI19677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEADTPEAISVTKEGEGRYLTSGQFDITISQCSPVQVNKSEGESDQHLETVKCFAEGENTQVGCSAQVESKNSSFGISEGGSFLASLSDSKVDKQDTCVSENSQGDESNQAEMEILDKEDQLLRGKEFGVVEETGSKEKEVVEEIDNKAEQHSESHFIANDLDEHVQVISDQQDSINENPQCVEKEAKSSHEDVKGRQLADEIADSSSEQVSVLLEERSFQEDEAAVRTLDLTNNSLKKDIKPETSIDENRDNTSSTNTIFQEEFEEETGIKGLKNKAPESFDAVYEEKGPEATVFNNDKSTDDDSSSTIVAGPDIPNDEATLEAKECAEDKLQKTSMTDNHEEKAGLEVGKKANEAPGILDTPLITQTEETHLPEVGNPDIFEVHPETGSEDIIKESPKEPEYQNEFLEETPEASDTASETVKDDESSERHFGSPCMKHEENTKRKGEALDVGEAKAGEEEDSQGFAAVGPIQETEQSNMNSAEHEGETDTNLVEKIESAHYDIERPLNLNSEENDTETLRENTKTITTDEAERQVINLEQSFKKEGKEDTAIPSYEITEDEIPEEKVLKEPGDSGGGESTTWELNKNIEIPENILNTSTVKCEEGEQGEGKNTAPTKATEEDHRDEDPFTVEVAEEDHDYNSAISVTETSAKVTSNDEEDAPQALQKNEPGGDLQNVLDVMPEEIKTETSRESAEVITCRKEERTIQNSQESSDKERKEDIESTINTEENDTAVVEACTKAADLSTIIEEKNLEEQEIQKLSSSLVVEETTKEISEEEEIYGVILKEERKEAHAKCKEPAEGANLTQDLKSETTEDRTETDKDIYAPISEGEIHAEESKKTFVPKNSFLSDVPEMEIEKHFQVNDVPTVSNFDSVSGIVSQVTGLVEAETENQEQEKKNDIAPEEKVLPTIFTSEKIDVQEEENFNSKEKLENQIPTTAGKPETCLKEAEAENKEHVQNIDPEEKGVETILTDKTALDIEDIAVQAGENFNSKENLEEQIPTAADEGETFWKEAEAENKEEIKHTDIASEEKGLEIILTDETALNIEDINVQAEENLNSKDNLEKQIPTSADEGETFSNEAEAEKEEEIKHTDIAPEEKGLETILTDETALDIEDIYVQAEENFNSKENLEEQIPTAADEGETFWKEVEAENKEEIKHTDIASEEKGLETILTDETALDIEDINVQAEENLNSKDNLEEQIPTSADEGETFSNEAEAENKEEIKHTDIAPDKKGLETILTDETALDIEDIYVQAEENFSSKENLEEQIPTTADEHESCLKEAQAENKEQVKNTDSIPEEKGLVTILTDGTSLDIEDIYVQAEETFNANEKLEEQIPKAADEGETFLKEVEAQNEEQAKNIDIAHEEKRLPSILTDETALDIEDNDVQAEENFNLKENLGKEIQTTADERETFLKEAEAENQEQVKTTDIVPEEKGLATILTDETSLDVEDIDVQAEQNFKSKENLDEQIPAAANEGETCLKEAESENKEQTKDINIAPEEKGLATIVTDETASEIEDGDVQAEENFNSKENLEKQFPTAANEVETCLKEAKAENKEQVKDTDIVHEEKGLATILTDDTSLDVEDIDVQAEQNFNSTENLEEQIPTAADEDKTCFKDAETESKEQTKTTDIAPEEKGLATISAVEMALDIEDIDVKAEENLNSKEILEKQIPTAANEGETCLKEAESENKEQTKNINIAPEEKGLATTLTDETASEIEDSDVQAEENFNSKENLEKQFPTAADEVETCLKEAKAENKEQVKDTDIVREEKGLATVLTDDTCLDVEDIDVQAEENFNSKENLEKQFPTAADEVETCLKEAKAENKEQVEDTDIVHEEKGLATVLTDDTSLDVEDIDVQAEQNFNSKENLEEQIPTAADEDKTCFKDAETENKKQTKTTDIAPEEKGLATISAVETALDIEDIDVKAEESFNSKEILEKQIITAADEGETGLKDAEAENKEQVKDTDIVHEQVKNDIAPEEKVLATILTDETSLDVEDIDVQAEQNFNSKENLEEHIPAAADEDGTCLKEAKAENKEQVKDTDIVHEEKGLATVLTDDTSMDVEDIDVQAEQNFNSKENLEEQIPTAADEDKTCFKDAETENKKQTKTTDIAPEEKGLATISTDETALDIEDIDVKAGEIFNSKEILEQQITTAADEGETGLKDAEAENHEKVKNDIAPKERVLATILTDETASEIEDSDVQAEESFNLKENLEKQIPTAADEGETGLKESESEHNEQVENDITPEEKVLSTILTDETGLDIEDIYVEAEGNFDSTEFLENQIPTEAGKTTEQSFQVQSLEKADPKLGVEDESHESEDHPEKNIIIVEEVFDEPKIKGRDLNKEEILEGNVTFSPESIEEDAGNNSQEIEKEIKNLKEEGSSVESMKEGNGDDLSPEYIPEDESEKFKEDKMDAVEWKEEILEESRTAGIGGQQGEGNIPASAKASEEEDPIEDTFPVKLAEVEDDDISTVEVIETSAKVIGEEGSPRAVQKYEPGEGLQKLLDVMPEETKAESSRENAQVITCTKEERAIQNIKESKEEDTNAINDTKQKDTGSEEVLERNSREQETGVHALQEIHPQEFNDKETTEDNSTEYQACIEAADFSPKIVQGNLEEQANRELSSSLVGDNIIKESSEEEENYVSNLKKEKKEAHEECKEPIEVTNMIEEETNSETFEDKRKPNEDLCTPISEEETHAEESNSFQSEVSEKDGSTVINSDSVSGIVRHQTVLKEAEAENKEQVENTDTALDEKGLATILTDGTTLDVEGIDEQSDENSNSKDFSESQIPTVAVVDEGEEKVGLGNINLDDAPVNFQVQSLEEAEPTLRVEDENNNMIAEEVKPQLLDQVFDEPKNIEGDFNKGKIIEANAAIFSPELIQEETVKNSQDNDKETEKLEEEGSSIENIKELNTNDLQLESIPEDGSEKFKDDKQEAVKFKEEILQELHAAGVGEGTACETSKIAERSEEILYTSPVKYEEGPLGEGNSPTSAKASNEEDKDEETFSVKVAEDDDSNNGAVTVTETSEKVTSNEEGDSSQSLEKYELGEEVQVKTSDLAYENKVYNLEVKASFAERTAEETSLRKEGHKEVSDLALEKLDVDATEEEIREGSEIVPESDSQNIDVVSKDDIIACHALHEGISKEQLQIPSSTLLPEERELKSNQHEHETTTQDKNIEEEHTHEGEVPADENTGDVFAARSVEEAHLQKEEPRELKASELSLEKLDASESEEEIKESFETVSKSDCLSIDAVSKDEIIADQTLLEGITDMQLQIPSHSLLPKEEELKTYEHEHGITTQDKSIEEEHAKKIEMPADENGGYFFAARSVEETILHKEELRELKVSELAPEELNADETEEIKETFETVSKADSQSTDVVSKDEIIAYQIVHEGISKEQLQIPSSILLHEEKEIKASDDEHGTTSEEICPQKEEPKNAKVSEMALKTMDADETAEETEETCETANKFASQSTDVVTEDEVVDDQTLCKVTLKETPSTALLTGETKHATITTTEEENMSNVQIQDDENGNATSTAEEKSLPKEEPSELKVSDVELYSSKELQKESSNEVHEEERSSLIGVPEVEPHEDEEGERYDDSPLGNQKTTELKGTTMEASNLDIETSENASDCAPEEGFSRSQDATINREHLKNEANETNETIKNEVSNEQKHLEVAISDSRAEERWCETTIEANETRKNEVSNEEIEAEKLEAKDLRPISVSGENISHREQENELIAEKYEVEKSESFNTARNENRDNEESPKETTKVAKDLTEDKELQTKENLCDTTVEANEKIKAGLSNDEIKEDKELPKDSEADSVREESHQEKKAIAEEYQVEKTNESSVIRTNENRGELPEEKDTTASKEVRTEEEEEEHENAEEVKTYEETGENEHKNAEPGYDSPVIVEASRDADAKISHKKSHNILSGIKHSISKVKKAITGKSSHSKTQSEK >ONI14714 pep chromosome:Prunus_persica_NCBIv2:G3:248619:251553:-1 gene:PRUPE_3G003700 transcript:ONI14714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKKVVVSGISLILVVGAVIGAVVAIVHYNKKPKGESLTAQQKMVTQICNYTDFQPECQRSLGPVAQNNSADYKDYVKAALLSISEEAKKALNMSESLLVEARNGTSSKKSLEECKEMLKEAVAELQAVFSYVGDADLHTMEDRVMELKVWIGSVISYSTTCLDIIGDDDNKIFEALKDPIGNASAITDNALAIVGEIGSILGLFGMKVNDKALRNLGTQRRLLEDELSSDGRSPSWFSASDRKLMALQDNGKLKPHAVVAKDGSGKYSSINAALKAYPYGQQGRYIIYVKAGVYREYVTVDKKMTNVYMYGDGPRATLVTGQKSEAGTGDKISTSGTFQVFGAGFIGRSMGFSNTAGPEGRPAVALRTVGDQIAFFNCRISGYQDTLYMQAGRQFFRNCVISGHVDYIFGDARAFIQNSLIIVRKSSIPEQNQVFITAPGRQYSHEIGAIIIHNSRIVPEQKLLPTRFQVRTYLGRPWKPYARVVFMESTLADFIRPEGYSAWDGKAGNTEHAFFGEYKNRGPGANLAKRAKWSGGFYGEMDRATAEKFTAGPALLADRWLKLANIPYIPSFIRADS >ONI15492 pep chromosome:Prunus_persica_NCBIv2:G3:3275508:3278224:1 gene:PRUPE_3G045600 transcript:ONI15492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSESSDQEQTSGTTVVLASLTGLAIGGSLVAMIGFSILASVALVLLTANITLLLFAVFAFVGAISGFVLVLAMALAGVLMLERMLQKRGGTSLLSFVSETETETLVPQTEIVKKEQVQHENNEQDDMAGLALEEQEDFATFDEVYCDFEYMGLQCNLLRGIFAYGFEKPSASQRRGIVPFCEGLDVIQQAQPGTGKRATFCCGILEQLNIDLVECQALVLAPTRASALKTEKVMLALGDYLGLRVYACVRGRTRHEGQRILHAGVHVVVGTPDCVFEMLSSQNLYPDHIDMIVLDEAGEMLTGSFNDEILHIFQLLPSPVQVGVFSATMPPEVLEVTRKFMTNTKPVRIIVEHDELTLEGIKQFYVNVEEEEWKLDTVCELHDSLSTYPTVIFVDTTHKVEWLTDRMRSLDHTVSAIHVDTDLNTRDTILREFRTGSCTVLITTDHLARCMDVQQARVVVNYDLPNQPENYLHRIGRSGSFGSKSVVINFMIRNEERKVLDIQRFYKLVIEELPADFAHLF >ONI18393 pep chromosome:Prunus_persica_NCBIv2:G3:21693578:21694444:1 gene:PRUPE_3G212900 transcript:ONI18393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKYTHNKLNQNHFQYECHRDHNNGSTHFHHLFSFLKFFKKFRVANTWIIYYDIILECELSLFDMRNSQHVIFGSFPFCVSYTYSVLVLVQPISS >ONI17121 pep chromosome:Prunus_persica_NCBIv2:G3:14670714:14671507:1 gene:PRUPE_3G139000 transcript:ONI17121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGKYWGYLQNIWDIALIGDISSIRGKIWPYPPPPPVSVSTVENTDISADISVYRLIFQSLMKMHPLYN >ONI18537 pep chromosome:Prunus_persica_NCBIv2:G3:22188792:22189292:-1 gene:PRUPE_3G221400 transcript:ONI18537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSRLFISLNLLFFSLFLQETFGVRYAPQVLGHNCFNQEEFPAKEYYENNMNTLLTEVLLKNISDLGYKSGVTNGTSKSIIEQNTFIYGLVQCWNYLLKDSPYTPCKKCVSTASLELRKRCPSNRGAIIWMVSCLLKYSNIKSFGASDTNNRIYETNSKFINDPC >ONI18399 pep chromosome:Prunus_persica_NCBIv2:G3:21727912:21734759:1 gene:PRUPE_3G213400 transcript:ONI18399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNDIAVAAAINILTACAFFVAFAILRIQPVNDRVYFPKWYIKGLRSSPSSGGALVSKFVNLDFRSYAKFLNWMPAALQMPEPELIDHAGLDSAAYLRIYLIGLKIFVPIAFVAFAVMVPVNWTNSTLKNSNVVFSNIDELSISNVPVGSSRFWTHLVMAYAFTLWTCYVLKREYEKVASMRLHFLASDQRRADQFTVLVRNVPPDPDETVSQLVEHFFLVNHPDHYLTHQVVYNANKLSKLVNEKKKLQNWLDYYQLKLSRNPSKRPSKKTGFLGLWGNRVDAIDFYTSEIERLLKEISSERDKITSNPKSIMPAAFVSFRTRWNAAVCAQTQQSRNPTIWLTEWAPEPRDVCWDNLAIPYVSLTIRRLVVAVAFFFLTFFFMIPIAFVQSLANIEGIEKAVPFLKPVIEVKFIKSFIQGFLPGIALKIFLIFLPTILMIMSKFEGFNSISALERRSATRYYIFQFVNVFLGSIITGTAFQQLDKFIHQSANEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFLLVKTEKDREEAMDPGTLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIITALIVSQLLLMGLLSTKEAAQSTPLLITLPVLTIWFHRFCKGCYEPAFIRYPLQEAMMKDTLERAREPNLNLKGFLQNAYIHPVFKGEDDSENEAAAEECEKEPAVVPTKRQSRRNTPLPSKYSGSSSSSLPDDTQKMLRP >ONI18400 pep chromosome:Prunus_persica_NCBIv2:G3:21729183:21734743:1 gene:PRUPE_3G213400 transcript:ONI18400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNDIAVAAAINILTACAFFVAFAILRIQPVNDRVYFPKWYIKGLRSSPSSGGALVSKFVNLDFRSYAKFLNWMPAALQMPEPELIDHAGLDSAAYLRIYLIGLKIFVPIAFVAFAVMVPVNWTNSTLKNSNVVFSNIDELSISNVPVGSSRFWTHLVMAYAFTLWTCYVLKREYEKVASMRLHFLASDQRRADQFTVLVRNVPPDPDETVSQLVEHFFLVNHPDHYLTHQVVYNANKLSKLVNEKKKLQNWLDYYQLKLSRNPSKRPSKKTGFLGLWGNRVDAIDFYTSEIERLLKEISSERDKITSNPKSIMPAAFVSFRTRWNAAVCAQTQQSRNPTIWLTEWAPEPRDVCWDNLAIPYVSLTIRRLVVAVAFFFLTFFFMIPIAFVQSLANIEGIEKAVPFLKPVIEVKFIKSFIQGFLPGIALKIFLIFLPTILMIMSKFEGFNSISALERRSATRYYIFQFVNVFLGSIITGTAFQQLDKFIHQSANEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFLLVKTEKDREEAMDPGTLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIITALIVSQLLLMGLLSTKEAAQSTPLLITLPVLTIWFHRFCKGCYEPAFIRYPLQEAMMKDTLERAREPNLNLKGFLQNAYIHPVFKGEDDSENEAAAEECEKEPAVVPTKRQSRRNTPLPSKYSGSSSSSLPDDTQKMLRP >ONI18398 pep chromosome:Prunus_persica_NCBIv2:G3:21729141:21734743:1 gene:PRUPE_3G213400 transcript:ONI18398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNDIAVAAAINILTACAFFVAFAILRIQPVNDRVYFPKWYIKGLRSSPSSGGALVSKFVNLDFRSYAKFLNWMPAALQMPEPELIDHAGLDSAAYLRIYLIGLKIFVPIAFVAFAVMVPVNWTNSTLKNSNVVFSNIDELSISNVPVGSSRFWTHLVMAYAFTLWTCYVLKREYEKVASMRLHFLASDQRRADQFTVLVRNVPPDPDETVSQLVEHFFLVNHPDHYLTHQVVYNANKLSKLVNEKKKLQNWLDYYQLKLSRNPSKRPSKKTGFLGLWGNRVDAIDFYTSEIERLLKEISSERDKITSNPKSIMPAAFVSFRTRWNAAVCAQTQQSRNPTIWLTEWAPEPRDVCWDNLAIPYVSLTIRRLVVAVAFFFLTFFFMIPIAFVQSLANIEGIEKAVPFLKPVIEVKFIKSFIQGFLPGIALKIFLIFLPTILMIMSKFEGFNSISALERRSATRYYIFQFVNVFLGSIITGTAFQQLDKFIHQSANEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFLLVKTEKDREEAMDPGTLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIITALIVSQLLLMGLLSTKEAAQSTPLLITLPVLTIWFHRFCKGCYEPAFIRYPLQEAMMKDTLERAREPNLNLKGFLQNAYIHPVFKGEDDSENEAAAEECEKEPAVVPTKRQSRRNTPLPSKYSGSSSSSLPDDTQKMLRP >ONI18376 pep chromosome:Prunus_persica_NCBIv2:G3:21648145:21653335:1 gene:PRUPE_3G211800 transcript:ONI18376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSVNLKAVPGRLTSSYSEVQASRLDVSLPLPSVLKSSFSVVDGPKSSAAGNPEEIAKLFPNLFGQPSAVLNPGGSSVLSKDTSLKIGVVLSGGQAPGGHNVISGIFDYLQHRTSGSTVYGFKGGPAGIMKGKYVELSAEFVYPYRNQGGFDMIASGRDKIETPEQFKQAEETAKTLDLDGLVVIGGDDSNTNACLLAEHFRGKNIKTLVIGCPKTIDGDLKSKEVPVSFGFDTACKLYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALETHPNITIIGEEVAAKKQTLKSVTDYITDIICKRSELGFNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDEAGVWKKKLQSQSHELFEFLPPTIQEQLLLERDPHGNVQVAKIETEKMLLQMVETELDKRKQEGKYKRDFLGKTHFFGYEGRCGLPTNFDANYCYALGFGAGALLHSGKTGLISSVGNLAAPVEQWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASFRDEWALKNRYVNPGPIQFLGPAANAINNTLLLELGAQA >ONI19346 pep chromosome:Prunus_persica_NCBIv2:G3:25292930:25294403:1 gene:PRUPE_3G273200 transcript:ONI19346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKTIDECTFYPTMTIKGGTSQACAACKYQRRRCAKDCPLSPHFPADQPKMFQNAHRLYGVSNIMKIRKQVHPEQQNEAMRSIIYESNMRAKFPVTGCMGIINQLNFQLQQAIEELRYVRTHLVICKDQCQYRIPPSPPHYSSCPSSQLQLGMPSNNDASAALTIYQHQYQYASAGGGAAGMSFVANEYLANGLSAVYIDDNDVVKPLRVQHPYYSDNNVDQLMAVQSNLVSSQAFPIHQEMDVPHDYDDIPFDTIADDRQSYIESKDACESSAESSFKGTTQSIEHVSQNDLKSAAACFSLTSVK >ONI18101 pep chromosome:Prunus_persica_NCBIv2:G3:20736532:20741027:-1 gene:PRUPE_3G196800 transcript:ONI18101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVTAEACSDSIKPRDVYIVGVARTPMGAFLGALSSLPATKLGSIAIEAALKRANVDPSLVEEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGLKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLAEARKGSRLGHDTLVDGMLKDGLWDVYNDYGMGVCAELCADQHAVTREDQDNFAVQSFERGIAAQGHDAFSWEIVPVEVSGGRGRPSTIVDKDEGLGKFDAAKLRKLRPSFKESGGSVTAGNASSISDGAAALVLVSGEKVLKLGLQVIAKISGYADAAQAPELFTTAPALAIPKAISNAGLEASQIDFYEINEAFAVVALANQKLLGLNPEKVNVHGGAVALGHPLGCSGARILVTLLGVHTIHFLLFLGKTYYIKNE >ONI18100 pep chromosome:Prunus_persica_NCBIv2:G3:20736521:20741175:-1 gene:PRUPE_3G196800 transcript:ONI18100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVTAEACSDSIKPRDVYIVGVARTPMGAFLGALSSLPATKLGSIAIEAALKRANVDPSLVEEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGLKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLAEARKGSRLGHDTLVDGMLKDGLWDVYNDYGMGVCAELCADQHAVTREDQDNFAVQSFERGIAAQGHDAFSWEIVPVEVSGGRGRPSTIVDKDEGLGKFDAAKLRKLRPSFKESGGSVTAGNASSISDGAAALVLVSGEKVLKLGLQVIAKISGYADAAQAPELFTTAPALAIPKAISNAGLEASQIDFYEINEAFAVVALANQKLLGLNPEKVNVHGGAVALGHPLGCSGARILVTLLGVLKQKSGKYGAAGICNGGGGASALVLELL >ONI14650 pep chromosome:Prunus_persica_NCBIv2:G3:78305:79916:-1 gene:PRUPE_3G000600 transcript:ONI14650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFVAYLAIFTFTAAFSGALASDPDLLQDVCVALPSSSGGVKVNGFACKDDANVTAADFFFDGLAKPGLINNSVGSIVTAANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHQRATEIVFVLVGELDVGFITTANKLVSKTINKGEIFVFPRGLVHFQKNNGDKAAAVIAAFNSQLPGTQSIPAALFTATPPVPDEVLTKAFQLGTKEIDKIKSKLAPKS >ONI18043 pep chromosome:Prunus_persica_NCBIv2:G3:20517580:20520273:-1 gene:PRUPE_3G193700 transcript:ONI18043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKYKMSNVSGAIIFILGLFFLRAAECRTPANRGTVKYSALSCRRHSALLTDFGAVGDGKTSNTKAFKAAIDHLSQSASDGGAQLIVPPGKWLTGSFNLTSHFTLFLHKDAVILATQDESEWPLVPVLPSYGRGRDAPGGRFSSLIFGTNLTDVVITGNNGTIDGQGISWWKKYKAGQLNETRPYMIEIMYSNQIQISNLTLVNSPSWFVHPIYSSNITIQGLTILAPIDSPNTDGIDPDSCSQTRIEDCFIVSGDDCIAVKSGWDQYGIKVGIPTEHLVIRRLTCISPDSATIALGSEMSGGIRDVRAEDITALSTQSSVRIKTAQGRGAYVKDIFVRRMTLKTMKYVFWMTGSYGSHPDPGFDPKALPLIQNINYKQVEAENVTYSARLEGIPNDPFKGICISNVTITLTEKPKKLQWNCTNIAGVTSNVTPKACDLLPEKKEVIDCPFPEDRLAIEDVKLVTCSASLPFF >ONI18934 pep chromosome:Prunus_persica_NCBIv2:G3:23864693:23867989:1 gene:PRUPE_3G248300 transcript:ONI18934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEKKLLKKVNFLDWKREGGHREAQVLQRYHVTGRDDYKKYSGLCRMVQKLVNILKQMNPNDPFRIEMTDMLLEKLYNIGVIPSRQSLALCDHLSVSSFCRRRLSTVVVRLKFAEHLKEAVTYIEQGHLRVGPETVTDPAFLVTRNMEDFVTWVDSSKIRRKVLEYNDKLDDYDAMN >ONI16488 pep chromosome:Prunus_persica_NCBIv2:G3:7866225:7868310:1 gene:PRUPE_3G101500 transcript:ONI16488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHVRVRESVVVKPEEETPKQALWISHLDIVISATHLGSVYFYRRPNVQNGAHHLQNNCVLDSEVLKCSLAKALVPFYPLAGRLKLNDEGRIEIDCNGEGVLFVVAETDSVLDEFGDFAPTLEFRKLVPAVDYSAGISSYPLLVVQVTYFKCGGLSLGVGLEHRVADGFSGLHFVNTWSDIARGLDLTIPPFIDRTLLRARDPPQPAFDHIEYQPDPPIKTGTKAVGDESATVSIFRLTREQLNILKAKSKEDGNTINYTTYEMLAGHIWRCASVARELPDDQETKLHIAVDGRSRLQPPLPPGFFGNVVFSGAPIAAAGDIKSKPTWYAASRIHDAVVRMDNNYLRSALDYLELQPDLLPHVRGAHTFRCPRLAITNWSRLPIYDADFGWGRPTFMGPGGIGYEGLAFVLPSATNDGSLSVVISLQSQRMKSFSKLLYEI >ONI17855 pep chromosome:Prunus_persica_NCBIv2:G3:19873219:19876646:-1 gene:PRUPE_3G183300 transcript:ONI17855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISAEPIRVQSLAQAGLNQVPPQYIQPPQHRPNRPSSSTNIPLINLFGLDPTRRDSVRASIGQACRDWGAFHVTNHGVPASIVDAIKRAGLTFFNDSSVEDKLKYACDPSSSASEGYGSRMLEKDDTVLDWRDYFDHHTLPLRRRNPTRWPHFPADYRQVVSEYSDQIASLARELLGLASESLGLETRRLEEAVGEFWQNITISYYPPCPQPELTLGLQAHSDFGAITLLVQDEVGGLEVLKDGEWVPVKPLGGDAIVVLLADQTEIMTNGKYRSAQHRALTNSNQARLSVATFHDPAKTVKVSPASELTSALTPPLYREIVYGDYVSSWYTKGPEGKRNIDALLLEP >ONI17856 pep chromosome:Prunus_persica_NCBIv2:G3:19874191:19876420:-1 gene:PRUPE_3G183300 transcript:ONI17856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISAEPIRVQSLAQAGLNQVPPQYIQPPQHRPNRPSSSTNIPLINLFGLDPTRRDSVRASIGQACRDWGAFHVTNHGVPASIVDAIKRAGLTFFNDSSVEDKLKYACDPSSSASEGYGSRMLEKDDTVLDWRDYFDHHTLPLRRRNPTRWPHFPADYRQVVSEYSDQIASLARELLGLASESLGLETRRLEEAVGEFWQNITISYYPPCPQPELTLGLQAHSDFGAITLLVQDEVGGLEVLKDGEWVPVKPLGGDAIVVLLADQTECLRLIPINVFSLS >ONI17857 pep chromosome:Prunus_persica_NCBIv2:G3:19874226:19876420:-1 gene:PRUPE_3G183300 transcript:ONI17857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISAEPIRVQSLAQAGLNQVPPQYIQPPQHRPNRPSSSTNIPLINLFGLDPTRRDSVRASIGQACRDWGAFHVTNHGVPASIVDAIKRAGLTFFNDSSVEDKLKYACDPSSSASEGYGSRMLEKDDTVLDWRDYFDHHTLPLRRRNPTRWPHFPADYRQVVSEYSDQIASLARELLGLASESLGLETRRLEEAVGEFWQNITISYYPPCPQPELTLGLQAHSDFGAITLLVQDEVGGLEVLKDGEWVPVKPLGGDAIVVLLADQTEFWIVPSGLELRRSETKKCSA >ONI17858 pep chromosome:Prunus_persica_NCBIv2:G3:19874298:19876420:-1 gene:PRUPE_3G183300 transcript:ONI17858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISAEPIRVQSLAQAGLNQVPPQYIQPPQHRPNRPSSSTNIPLINLFGLDPTRRDSVRASIGQACRDWGAFHVTNHGVPASIVDAIKRAGLTFFNDSSVEDKLKYACDPSSSASEGYGSRMLEKDDTVLDWRDYFDHHTLPLRRRNPTRWPHFPADYRQVVSEYSDQIASLARELLGLASESLGLETRRLEEAVGEFWQNITISYYPPCPQPELTLGLQAHSDFGAITLLVQDEVGGLEVLKDGEWVPVKPLGGDAIVVLLADQTEVLI >ONI16543 pep chromosome:Prunus_persica_NCBIv2:G3:8325387:8326247:-1 gene:PRUPE_3G105400 transcript:ONI16543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWFKKQYNKMSTLMRNNSGFGWDPIAKTFTASEEVWKDYLKSHPSHSKLREKNVVDYEDLKIVVGGGTATGNGSIALGADNTDATTYGEENRDFGMEDFSYDPNNDAFIAPNHYEPSYQPPSPCQCSPPSPSLLGSEVPTEKSSRNKRNRSEYEVSSSSVGTNNQAKVLENLSIGIETISANFVKISNLMEKRERNRDREKDIWDALKDIPNLDDNTRYMVCETLSNKAKKDLFLKMSLEERSSWIKFKLG >ONI19670 pep chromosome:Prunus_persica_NCBIv2:G3:26084384:26086476:-1 gene:PRUPE_3G290800 transcript:ONI19670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSYTNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYAASSCNNLEIPIASQSGRTRTNSNMGHGGGSLGQNPGLYFSSPWNRSDSYGGDRNERGGG >ONI16281 pep chromosome:Prunus_persica_NCBIv2:G3:6614938:6618804:1 gene:PRUPE_3G089200 transcript:ONI16281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALFSVLIEQLASIIQKQVQQEVRLVVGVEKEVAKLTSNFKTIEVVLKNAEERQVKEVDVRQWLERLKDVSYEMDDLLDEWSPEILKQQIQQQEAGNAGSTSTTKKVCFCVPAPWFCFGQVSQVTLRRDIAVKIKELNERLALIASERQNYNFQYTKREIERQKSSSFVDKTFGRVDEKDKVVEKLLSGSGQGGATCLVIPIIGMGGIGKTTLAQLAYNDEKVQGHFHTRIWVCVSDPFDEIKIAKAIIEGMKKETPASNELHTLKSIIHESVKGKKFLLVLDDVWNQDYLKWEQLKLPLQNGAVGSRILVTTRKEEVARMVGVSTDMVNLEVLSEDNCWALFYHIALADREKNESNGLESIGKQIVKKCKGLPLAAKALGGLMRYKKMRKEWEDVLNNEIWELDGVEEQVFQPLLLSYYDLAPAIKRCLLYCVIFPKDYNIVKDELIELWMSQNYLNSIGNKEKEAVGEMYFDNLVMRSFFQEFEKDNLGNITGCKMHDVVHDFLQFLTKNECLVLEAEDGNNKRIMEFDGYKKVRHLTLMFAPNGPLIPSSLCNCKNLWTLATFDSKITSFGRELISQVKCLRMLNLSHNSLKEVPNKVGELAHLRYLDLSYNHDLMKLPDTNLINLQTLRLIYCWALEILPEGMGKLINLQHLHVMGCVDLKLPKGIARLKSLRMLDVVYIHGNDDVDNNKEALFELSDLRNMDQLRGSFCIWFGTDLKDVRQAEKGHLVNKNCLVSLKLSFFSDTWQPKPIQEETMNALQPPPNLESLFIEGYCGTTLRPHWMTSLNKLRSLTLQYCHFVECVPPLGRLESLEVLVIYKWPRVKKVGVEFLGIDGTIETQTSSSPLILFPNLKTLLFDSLYTWEEWEGMTGWSEEGDSQNTITIMPCLSSLLIYDCGVLRTLPNFLRNTPLKKLVIDENYYPTLAQGCRKEGGEWPKISHIPNIKVGKEFVQKDGVYQIDDDEMPSVASTSSSGIKKFLKNCLGLGLALPGQALIRANDPHFQILAVEGIFWHAKRKVSFEFTL >ONI19438 pep chromosome:Prunus_persica_NCBIv2:G3:25596040:25599234:-1 gene:PRUPE_3G280100 transcript:ONI19438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNSVVVTIEKPDSSALKVNGLDSSVFLEKQKAVSTKQFTWVLLLKVNRIFACLSWLPMAFRAMFVSLKKRIALSDKSDEEPKSRGRLYRFIKAFLGLSIVALVIEVIAHFKNWNLNLIQPWEVQGLLQWSYMTWSAFRVDYIAPVAIILSKFCIVLFLIQSLDRLVLCIGCFWIKYKKIKPTVAADAYDIEDSSTFPMVLLQIPMCNEREVYEQAIESACQMDWPRDRLLIQVLDDSDDRNLQLLIKDEVSTWNQKGVNIIYRHRLIRTGYKAGNLKSAMGCEYVKDYEFVAIFDADFQPNPDFLKLTIPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVRVLCELPESYETYKKQQHRWHSGPMQLFRLCLPAILTSKISMWKKSNLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAELPLWVICYIPIFMSFLNILPSPKSFPFLVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKTGRSSESDLLALAERESLSSNEEKILRRNSESGLELLSKLKEQEVAPIPKKKKKNGIYRKELALAFLLLTASARSFLSAHGVHFYFLLFQGLSFLAVGLDLIGEQMG >ONI15883 pep chromosome:Prunus_persica_NCBIv2:G3:4817423:4818007:-1 gene:PRUPE_3G066900 transcript:ONI15883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSISLRLSLKALGCLEIWQTCVGIANLSGSVVAMILMDKVGRKVLLLGSFSGMAVAMGLQVTGASSYASGSGALSLSVGGMLLL >ONI18081 pep chromosome:Prunus_persica_NCBIv2:G3:20689850:20690669:1 gene:PRUPE_3G195900 transcript:ONI18081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQEYCNNIRYNITNTGPVGNLRGLVLLNLSNNSLTGRIPSSFGDLTVLESLDLSQNQLSGRIPNNLAQLTFLAYFNVSHNHLSGPIPLGKQFDTFQEDSYQGNSGLCGKPLSKKCEDSESSMRPPSSIVEEDEDSGFQIALDWYVVLPGIVSGLIVGVVVENIWITKKHEWFVETFSRRRKPRGTRARRGRRT >ONI14761 pep chromosome:Prunus_persica_NCBIv2:G3:418090:419720:1 gene:PRUPE_3G006900 transcript:ONI14761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALIVTGSSCVLHNFPNNNNAKCKHVLPATIRPSCNLRNQNTTRRSSLGTAWPSISFALFGSGFFLGPLIDGLHSRVELVAYQNGSINIGPLHTNIWVPVLLGLFYCTVGLLQLFLDENERAPLNSPEANQQKTIASFLALVLFIELSAELYKAGTADNIEAYLLFAAAEFIWFSVDRTWFGFLLASIIGIACPLAEIPLMKFFHLWHYPQANVEIFGQGLVSWTLTCYFVYTLFLINFSRWLKSVITAVADTEDKST >ONI16753 pep chromosome:Prunus_persica_NCBIv2:G3:10513316:10514438:1 gene:PRUPE_3G119800 transcript:ONI16753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHGNHDHPASPFEMAHGRPFWGLAAQQPKFGSLFNEAMEADSQLIARAVVEECEGVFEGLNSLVDVGGGTGNMAKAIAKAFPNINCTVLDQPHVVANLQGTHNLDFVGGDMFDKIPPANAIFLKWILHDWSDEESVKILKKSKEAILSKNEGGKVIILEINVSPDNKKMDKKSIETQLMWDMLMMVNLNGKERSEAEWEKLFLTVGFSLYKITHTLGLRSLIEVYP >ONI19406 pep chromosome:Prunus_persica_NCBIv2:G3:25507019:25507821:-1 gene:PRUPE_3G277700 transcript:ONI19406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLKGCHKGDKVQGIQDLKKYLGKFGYLSSNNNGHFNDDDFDDQLESAIKTYQINYHLKATGALDAKTVSNMMMPRCGVADIINGTSSMRSGKQRHPHHHHHGGHTVAHYTFFRGNPKWPASKYHLTYAFLQDTPAEATGPSNQNPDLTVSFHRGNHGDGAPFDGPGGTIAHAFAPTNGRFHYDADERFSVGAVSGAYDLETVALHEIGHLLGLGHSSVQGAIMYPTISPGVTQQSLHGDDIQGIKALYNA >ONI20097 pep chromosome:Prunus_persica_NCBIv2:G3:27195540:27201365:1 gene:PRUPE_3G314700 transcript:ONI20097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPESGISTPSRNPPPQLSWVNLSRNLILAYQSLGVVYGDLSTSPLYVYTSTFIGKLQNHNNEEVIFGAFSLIFWTLTLIPLLKYVFILLSADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELTAYKYGPSSQVVASSPLKRFLEKHKRLRTALLVVVLLGACMVIGDGVLTPAISVLSSVSGLQVTEEKLTDGELLLLACVILVGLFALQHCGTHRVAFLFAPIVIIWLISIFAIGLYNTIHWNPAIVRALSPHYIVKFFRETGKDGWISLGGILLSITGTEAMFADLGHFTALSIRLAFAFIIYPCLVVQYMGQAAFLSKHPNCIRYSFYDSIPEPVFWPVFVVATLATIVGSQAVITATFSIIKQCHALGCFPRVKVVHTSKHIYGQIYIPEINWILMILTLAITIGFQDTTLIGNAYGLACMMVMFITTFLMALVIVFVWQKSVVLAAVFLIFFWFIEGVYLSAALIKVPQGGWVPFVLSFIFMIVMYVWHYGTRKKYNYDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPSVSPEERFLIGRICPRPYRMYRCIVRYGYKDIQRDDGDFENQLIQSIAEFIQMEAVEPQFSSSESASFDGRMAVISTRTGQSSSSLIANEQEVFGVSDSIQSSKSLTLQSIGSVYDDENPQIRRRQVRFQLPSNPGMDPAVREELMDLIQAKEAGVAYIMGHSYVKARRSSSYLKKLVIDMGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >ONI20098 pep chromosome:Prunus_persica_NCBIv2:G3:27196842:27201365:1 gene:PRUPE_3G314700 transcript:ONI20098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGDGVLTPAISVLSSVSGLQVTEEKLTDGELLLLACVILVGLFALQHCGTHRVAFLFAPIVIIWLISIFAIGLYNTIHWNPAIVRALSPHYIVKFFRETGKDGWISLGGILLSITGTEAMFADLGHFTALSIRLAFAFIIYPCLVVQYMGQAAFLSKHPNCIRYSFYDSIPEPVFWPVFVVATLATIVGSQAVITATFSIIKQCHALGCFPRVKVVHTSKHIYGQIYIPEINWILMILTLAITIGFQDTTLIGNAYGLACMMVMFITTFLMALVIVFVWQKSVVLAAVFLIFFWFIEGVYLSAALIKVPQGGWVPFVLSFIFMIVMYVWHYGTRKKYNYDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPSVSPEERFLIGRICPRPYRMYRCIVRYGYKDIQRDDGDFENQLIQSIAEFIQMEAVEPQFSSSESASFDGRMAVISTRTGQSSSSLIANEQEVFGVSDSIQSSKSLTLQSIGSVYDDENPQIRRRQVRFQLPSNPGMDPAVREELMDLIQAKEAGVAYIMGHSYVKARRSSSYLKKLVIDMGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >ONI15317 pep chromosome:Prunus_persica_NCBIv2:G3:2694650:2704049:-1 gene:PRUPE_3G037300 transcript:ONI15317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSFKVSKTGTRFRPKPPLQSETSVVDDDVSDTSRSSSRAAPRNESNPRMLEGDVIERHGSVPGVSGSSMSSEGLLVSPENEVSFTLNLFPDGYSFGKPSENENAHQGTLQDVPKLLHPYDRTSETLFSAIESGRLPGDILDDIPCKYVDGTLLCEVRDYRKCISEQGPGSPPTEGSLVVNKVCLKMSLENVVKDIPLISDNSWAYGDLMEVESRILKALQPQLHLDPAPKLDRLCKNPVPTKLDLALTSIRRKRLRQMPEVTITSSSKTHGKKVCIDRVPESSNCRLGDSGILPSNMMPHHIHENLTTQNLSPNNMLVRSKNFMSDASVPALPNQSRYHMGVGTPRSMQDHGSGTVANASASPVGQDTMISYADNVSTNVPLHGKREHQDGQMSHLSTFNKRQRPSPVGLDGMQHQQIGPHIDSFHGSDMNWKNTLLQQQTMAKGIQYSNTGIQKFPQQVFEGAPSQDAGTMQFSVGQPNMRYGAKEEQFETGKLDGSELSGIKNDMQMVEGDTGHLDPQISRHHQRLPQHPFMRSSFSQQSWNNFGQNIEKDARKDDQLQKRKSVQSPRLSSVSLVQSPLSSKSGEFSNGSVGPHFGAVAATAALGVSQKEKAAMTAVPAIGTPSLTSSANDSMQRQHQSQVAAKRKSNSLPKTSAMSGVGSPASVSNISVPLNAGSPSVGTPSSTDQSMLERFSKIETVTMRYQLNRKKNKVDDPPNRKPNTFSAQQLLTSLSNGSNNDDFKEDPSMRSLSKSLVGGNMNICKTRVLNFTQHDRIVQGGTAYDVLKARTRLIMSEKPNDGTVAMYYGEIDEAEFLAAEDYLPTLPNTHLADLLAAQFSSLMEHEGYRKEDQIQPKPSRMNLGPGNQSNASGLPRNNSAVEMQQYAESVSGQASNEVAKPINGGNSSLNPAQNLLPSTRMLPPGNPQALQMSQGLLTGTSMSQRPQQMESQPSLQLQQQQQQQQQQQHQQQQLQQQQQQQQQQQQQQQQQPQQQQQPQQQSSQHSMIQQQHPQLQRSMMLANPLSQLNAIGQNPNMQLGNQMVNKISTLQLQLLQQQQQQQQQQQQPPQMQRKMMMGLGTAMGMGSIGNNMVGLSGLGNTIGMGAARGIGGMSAPMTPISGIGNVGQNPMNLSQASNISNLTQQIQSGRLTQAALMASKFRMQQNRGGMIGVPQSSMAGMSGSRQMHQGTAGLSMLGQSLSRTSMSPMQPMGPPKLVAGMNMYMNQQQQQQQLQQQQLQQLQQQQQLQQQQQLQQQQQETTSPLQAVVSPQQVGSPSTMGISQLNQQSQQQQQQASPQQMSQRTPMSPQQMSSGAIHGMSAGNPEACPASPQLSSQTLGSVGSITNSPLDLQGVNKSNSVGNA >ONI15028 pep chromosome:Prunus_persica_NCBIv2:G3:1643165:1647718:1 gene:PRUPE_3G022000 transcript:ONI15028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGERRVLLVLGDYVEDYEAMVPFQALQAYGVSVDAVCPGKKAGDICRTAIHQLFPAHQTYSESRGHNFALNATFDDIEFNKYDGLIIPGGRAPEYLALDASVLELVRKFSDSGKPIASICHGQLVLAAAGSVKGRKCTAFPAVRPVLVAAGAYWVEPETMLACVVDGNIITGATYEGHPEFIRLFVKALGGNITGSDRRILFLCGDFMEDYEVIVPFQSLQALGCHVDAVCPKKKAGEICATAVHDFEGDQTYSEKPGHNFTLTADFEALDVSSYDALVIPGGRAPEYLALDEKVIALVKQIVEARKPIASICHGQQILAAAGVLQVLGRVGSIINFLRGLIY >ONI19133 pep chromosome:Prunus_persica_NCBIv2:G3:24697902:24699649:-1 gene:PRUPE_3G260800 transcript:ONI19133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCNTSREPSIRAFHKYICFVITILAVFLQLKQESGTISLFDADYPSFVILIVAIIIYGWSLIGGTYIRQAHLNSDLAKFIDNISLLSGALALVLELVILVPALGLFTLLFWIVCFVSFIAVYSYPYLKTLFTRTVGGVVHAFEKLREYLPSTSQ >ONI16639 pep chromosome:Prunus_persica_NCBIv2:G3:9389922:9392752:1 gene:PRUPE_3G112400 transcript:ONI16639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRKSTSCATQIGNKDDHIQEMGPFITDLPKSIIHMVLLKIPTRSILLCKCVCKTWHGLISDPEFAQLHFAQAEVFPMVRPLGPARVSRTLYFVEPEDSSGSFLKDSGAYYRYRKRSDFHMILSKCKIPLRNAEQVISNHGNANVMLDRKHGTTRKPCIKIRRNDHKYNVVNSCNGLLCLSDPFSNDPAVVCNPITGEFINLPKGSKPENEKISIDCGFGFSPRTNEYKVIRMFKQGTPRPNRVAEIHTLGAGSWKSVGSAPYLASKLAFTTYVKGVLYWFCDEWSSLTIISFDLDTEKLQSVPSPPFGREKCRNVVMGVLGDCICVCHTDDLKIKFWTLNDSGAQELWRKNISIDTQDAGRWPYGSFKPMKYLQNGCLLMFNSHTNAFFYYHPRNHSPFIFLKLRGFKSDFEVFSHVPSFISLKDILVGKDVEVLNINSRCAGLKLLGETKALFLEEDIAELGSDFYSTDSCEDYED >ONI16638 pep chromosome:Prunus_persica_NCBIv2:G3:9389922:9392777:1 gene:PRUPE_3G112400 transcript:ONI16638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRKSTSCATQIGNKDDHIQEMGPFITDLPKSIIHMVLLKIPTRSILLCKCVCKTWHGLISDPEFAQLHFAQAEVFPMVRPLGPARVSRTLYFVEPEDSSGSFLKDSGAYYRYRKRSDFHMILSKCKIPLRNAEQVISNHGNANVMLDRKHGTTRKPCIKIRRNDHKYNVVNSCNGLLCLSDPFSNDPAVVCNPITGEFINLPKGSKPENEKISIDCGFGFSPRTNEYKVIRMFKQGTPRPNRVAEIHTLGAGSWKSVGSAPYLASKLAFTTYVKGVLYWFCDEWSSLTIISFDLDTEKLQSVPSPPFGREKCRNVVMGVLGDCICVCHTDDLKIKFWTLNDSGAQELWRKNISIDTQDAGRWPYGSFKPMKYLQNGCLLMFNSHTNAFFYYHPRNHSPFIFLKLRGFKSDFEVFSHVPSFISLKDILVGKDVEVLNINSRCAGLKLLGETKALFLEEDIAELGSDFYSTDSCEDYED >ONI17180 pep chromosome:Prunus_persica_NCBIv2:G3:15525269:15527472:1 gene:PRUPE_3G143200 transcript:ONI17180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNERRQEERTGRSGTPRLQYLQELVSQFQNTTDDEETKEKIVANLANFAYDPYNYTFLRQLNVLELFVDCITEPNEKLVEFGLGGICNCCADPANAVIITQCGGIPLVIQCLSSPVRNTVNYAIGSLYYLCNASNKGEIMKPEVVDVMKRYAAAEEVSLSFSNLAKAFLDKHVSETA >ONI17181 pep chromosome:Prunus_persica_NCBIv2:G3:15525269:15527472:1 gene:PRUPE_3G143200 transcript:ONI17181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGKKSELEDLELQGCNTFRNWFLNFRIQQMMKLNVLELFVDCITEPNEKLVEFGLGGICNCCADPANAVIITQCGGIPLVIQCLSSPVRNTVNYAIGSLYYLCNASNKGEIMKPEVVDVMKRYAAAEEVSLSFSNLAKAFLDKHVSETA >ONI14729 pep chromosome:Prunus_persica_NCBIv2:G3:283146:286046:-1 gene:PRUPE_3G004400 transcript:ONI14729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPKGTVVFSTVGRPHYGFDLFSLPLHFSSNNLALEHRLSDGISVNFNAQFANDDPHSVVFISERTGLPAIYLTRPGLPTPKLLHSEPGSLFHDRPILKNDILYFISAHQHPNRPFKSWSALYSTTTTLLLDEDQNQNPKTTRLTPSDSVDYSPAISQSGQFIAVASYGSRPWDGEFHHLNTDIVVFRALQPSKRVLVCERGGWPTWSGDAVIYFHRQDDDGWWSIFRVDFSQDLESSSRYPITPTRVTPSGLHCFTPAALHDGKQIAVATRRTEKNFRHIELFDVESKTFRQVTENLNPNFHHYNPFVSTNSQFLGYHRFRGESTQWGETTIPYLEPVSSPIKQLQMLRIHGSFPTFSPKGDLIAFNHDFNADAGIKIVKSDGSKRWNLIKDRAAFYNAWSPTEKHVIFTSLGPIFQSAKTTVQIARVEFNPSDLDGDKEEIPCNVKILTKEDTGNNAFPSCSPDGKSLVFRSGRTGHKNLYIIDAVNGEFNGDARRLTEGQCIDTMPSWSPKGDLIAFSSNRHNPDDVAVFGIYVIKPDGSGLRRVHAAGDDVERERINHVCFSKDGEWLLFTANLGGVTAEPVSLPNQFQPYGDLYVVRLDGSGLRRLTWNGYENGTPAWLEGDEVVDMGRLRLENEVGGDKLKGRFEEPLWISCDF >ONI18611 pep chromosome:Prunus_persica_NCBIv2:G3:22611057:22614769:-1 gene:PRUPE_3G226600 transcript:ONI18611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLVSAGRRFELGFFTPSTEAGRSGNGRYVGIWYHQLNPRTVVWVFNRDTPVPANSTIEIKEGNLHVLNAITRESYWSPKFGVSSSALKPMVKLMDSGNLVLSDGDDPLAVNNILWQSFKDPTDTFIPGMKMDNDMELTSWRGNDDPRFGDFTFKLDVAGDNQNQYEITKLKSIIYWKSREPAIGTSVISDEMHPAVAYLFQPDRNSSHHKQIAILPRTDYNDTRLVIDFKGNIQFLLLSKNKWTTIWSEPKDQCSVFNACGNFGSCNMNNGQSQCKCLPGFEPQSPDKWNFGDFSDGCRRKFPLCSKKETFFTLKMMKVGNPYIQNDVSNETECKNTCLLNNCQCNAYSYAEAGKTSGGNASTSKCWIWDSQNLDSLQEEYADGRELSVRVALADLVEEPSKPPLEEHSRRQIPLSLIVVAVLVSIIFVACIISIYIWRRKMTSKRDQISRGQFDNERQLKELIETSEFKEEEGIDVPLFDLQSILDATDNFSDANKLGQGGYGPVYKGKLLGGQEIAVKRLSRVSGQGLQEFKNEVVLIAKLQHRNLVRLKGYCMKGEEKILLYEYMPNKSLDSFIFDRTQKMFLNWEMRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIVGGKETESSTNTVVGTYGYMSPEYALDGTFSVKSDVFSFGVVVLEIISGKKNTGLYQSKETFSLINHAWRLWTENKVLDLMDNNLDESCNKSQFIKCVNVGLLCVQEDPVDRPAMSNVLTMLDSEIAIPPTPKQPPFVMRRGNSSSTASSSTKPETFSEITTTLVEGR >ONI18856 pep chromosome:Prunus_persica_NCBIv2:G3:23624953:23629415:1 gene:PRUPE_3G243700 transcript:ONI18856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKLEEDDHEAGPVPALRLDRFGFLKQELSPQGLTKGRSAYEYEREERRVRKWRKMIGVGGSDWKHYIRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFDQLVKEHLPKMGEHFTQEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVRIVFKVGLALLKYCHDDLIKLPFEKLIHALRNFPDDAMNPDTLLPMAYSIKVSRGLEETRQEYEKKNRKINQSTENEKQLQ >ONI17610 pep chromosome:Prunus_persica_NCBIv2:G3:18838130:18840386:1 gene:PRUPE_3G169500 transcript:ONI17610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHSLPYLCPINHSKYEAASLLYIQAASLKMMMKAFESALAMSTGRTCTSFPTSTPQWKYVVFPSFQGDHTRKHFTASRTSFPSSTPQWKYEKRFRASCSSSSPSNRQWKYDVFLSFRGDDTWKRFTDHLYTALESHGIITFKDDPELQKGKPISPELFSAIEESKFALILLSENYASSTWCLDELLQILEFMEARETVLPIFYNVDPSDVRKQTGSFTQAFIKHEENFKDDKKKVQRWRYGLTKVANFSGWDSKDWSESKLVKDIVELVWKRLRPTLLSLVDDFVGIDSRLKPIINLCLDARCLHMHTELEFSFTFIGTVMKMCGVRVVYEEDAEAFIK >ONI19352 pep chromosome:Prunus_persica_NCBIv2:G3:25318342:25321405:1 gene:PRUPE_3G273700 transcript:ONI19352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLKSYYTHLRHHQSMELKWIFPLAIGSIVSLFLLFLTTLTSPDGTPLLPFYRSFSISSSVFIESKLHPLPVSYLPPPPRFAYFISGSNGDGKMLKRTLQALYHPHNRYVVHLDLEAPPEERLDLQNYVSTHPVFVKFGNVKMITKANLVTYRGPTMVANTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKEYQRAKPIIVDPGLYMTKKADVFWVTQRRSVPTAFKLFTGSAWMALSKPFVDYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNAQEFRNTTVNSDLHFITWDNPPKQHPHHLNLGDMQRMIDSKAPFARKFHQDDPVLDKIDSELLFKGPGMLVPGGWCIGKRDNGSDPCSVVGNTTVLRPSPGAKRLEVLISSLLSNENFRSKQCK >ONI16360 pep chromosome:Prunus_persica_NCBIv2:G3:7058840:7061278:1 gene:PRUPE_3G094200 transcript:ONI16360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLPAELWINILARLSTKELVRLTCVSKEWNDTIQDPQLAIMQFQRSIKTKSHPTIFIIPYSPIMSCYFSLNFFNDTTYGGFGYDSVNDDYKLVSFMDCGTYCLVHMYSLKSRTWKRIQDLPLKKFVVHSMGVFLKGTLHWLMHHEIDDEPIIIGTLDLVSEEFCQFTLPIHMFHGDISDKNSIRFNLVVVEGYLCIYRKECGSTAWIMREYGVAESWTMLYSIDNNWIDGCKPLMLSSCEFEMYFYANKNDGFSSKKIILNDGSWKIMGDS >ONI15599 pep chromosome:Prunus_persica_NCBIv2:G3:3617958:3621853:1 gene:PRUPE_3G051400 transcript:ONI15599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPPHDPYYLQQQPPLPPQQQDFSDRSVINTLFVSGLPDDVKAREIHNLFRRRPGFDSCQLKYTGRGNQVVAFATFFNHQSAMAALHSLNGVKFDPQTGSLLHIELARSNSRRKRKPGDSETDEPSETENNDSGDKTELETTKSAETAVDSDNAVATVNEQSEKHVDGGPCSTLFIANLGPNCTEDELKQVLSKYPGFNVIKLRAKGGMPVAFADFEEIEQANKAMDELRGNSLPSSDRGGMHIEYARSKMRKS >ONI15598 pep chromosome:Prunus_persica_NCBIv2:G3:3617958:3621853:1 gene:PRUPE_3G051400 transcript:ONI15598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPPHDPYYLQQQPPLPPQQQDFSDRSVINTLFVSGLPDDVKAREIHNLFRRRPGFDSCQLKYTGRGNQVVAFATFFNHQSAMAALHSLNGVKFDPQTGSLLHIELARSNSRRKRKPGSGAYVVIDKRTKKEADTQETSSDDGDSETDEPSETENNDSGDKTELETTKSAETAVDSDNAVATVNEQSEKHVDGGPCSTLFIANLGPNCTEDELKQVLSKYPGFNVIKLRAKGGMPVAFADFEEIEQANKAMDELRGNSLPSSDRGGMHIEYARSKMRKS >ONI19523 pep chromosome:Prunus_persica_NCBIv2:G3:25726595:25740982:1 gene:PRUPE_3G283100 transcript:ONI19523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNDSTSDELRDARPAAAEPTRSVLGIDLNEIPSPPETLPDSYDVVRSYHDHPSPPPGGPAGVPGSARGSACPFCGKPEVRGHVVVCDGCERGFHLTCAGMRGRQAVNLEEWVCEECTGTGVRSKRWPLGVKSKQILDINASPPSDVDDIEELRDLRKHTPGGNSFGGNPFGAPVTYSNFLYPGNGFGLEKASAVMTHTVKVGFEDILHHTQTMGGSFEEVDLRFPLGKHRSSNNASIRIPSRSPSEIFLQALKDFVSERHGVLEEGWHVEFKESIGNCEPYLVYRAPNGKTFDSVYEVAYYLGLMPNCNSVGSEIRREGSLSNTEKTYLPRKRKSRLLYTNGLAENKELSSNGLSMEASACGLGNNVKLTEVGAEENGRIGSQQNNEGLPVQFEDFFVLSLGEVDTRPSYHDSNLISPVGYRSCWHDKITGSLFVCEVLDGGDSGPLFQIRRCSCSALPIPNGSTILSRPQLGNFCSHIDRESRDPTCDNDGSIHMILSDPSPPMENDILSCLRSWSEEASDVQTSAELQFEDNSGCGKPGTLSSADLGTRDDIGEISIEDHSSSAAWGMISQKIVNACSEIFKQKGIFKFVCKHVENAQGFQNGVIRNEDDKVNHTPLDKFCSSPVSVSIPSVIQADDEPGSFYDILAKWLDQDRFGLDVDFVQELLEQLPGAQSCSQYQYLSDRGFNSTQLTVGNGLLVVEMRAGLHGKEEVLDNLFRRSKKPKLVKDHLKNDHPPPLGKPLCLRFPPALVGDVYQVWELLSHFDEILGLKEAFSLEELEEELVNPWFGSSDRTEKFEREIQGSQALNSHRIDYTSGQLSSSSESVFAVAGNNPHAFIHMETGAMKEAAQAKLASVTYSRCSGIALTKAHASLLRVLIGELQSKVAALVDPNFDSGDVKSKRGRKKDVDSSIPVKRTKLNILPINELTWPELARRYVLAVLAMDGNLESAEITARESSKVFRCLQGDGGVLCGSLTGVAGMEADALLLAESTKQIFASFNRENDVLTIEEEVSDGGAGANEKNLGNGSNTPVWAQVLEPVRKLPTNVGTRIRKCVYEALDKDPPEWARKILEHSISKEVYKGNASGPTKKAVLSVLADVSGEGLLQKAEKGRKRKINIPISDVIMKQCRIVLRRAAAADDTKVFCNLLGRKLINSSDNDDEGLLGSPAMVSRPLDFRTIDLRLAAGSYGGSHEAFLEDVRELWSNLRIAYGDQPDLVELAETLAQTFETLYEKEVITLVHKLAETAKLECLSAERKKEIDDLLASTSGIPKAPWDDGVCKVCGIDKDDDSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVVSKQMVQDASEHHQVIRKCRRKNYQGEVTRTYLEALTLLSMKMEENEYWEFNVDERTFLLKFLCDELLNSAVIRQHLEHCSETSAELQQKLRSLSAEWKNLKSKEEILIAKAAKVDPSLEEDGVKEGLSTSVENHEKFVLQAHALSGRSNSFNVVSDDVPALEGARGLDKHPSASNAEYSSQHSVDTEARAKDVHAAVHDTGTPGNVSSNAASEKSDISSRLIEFPSSNSLPHEINGSIGKIGCLGHPQDNMEMDVSLPLDQQGVCIPSDVRSNHVGQHMSPASVNESQAYHLELNSVKSDLSLLQDSITSVDFELSKLSVRREFLGIDSLGGLYWASGHSRIVVDRTVSVQDGMNMTDGRDPVWRGSVTQSCASTGVDSSLPLEGSKAGCPYLFEPNSAVAFSAPWVSYQTDAEIDGLIGWLKDKNPKERELKESILQWKKSRFHKFQKTRSQSQDELLTAISVARNGEKTESDCLVTRAATLLEKMYGPCSELETTDISKKRGKRARLTNDEKMYRCECLEPIWPNRHHCLSCHRTFVADAELEGHNDGRCVPFSAACEKGKEISDSSKVKGSLKCEINREECRGELNSVETSKSVHSELSAKLIKFQNGGLVCPYDFEEICSKFVTNDSNKDLIQEIGLIGSQGVPSFVPSLSPYLSDSTQQLVTQKDVGVHGNGPEAAEQLVLQGKTNVDIAGCSSLSGKGGGLLNANIPTLGCLEKREKRPSGSHSSVVGAGRFCVVPQSSLRPLVGKVCQISRRLKINLLDIDAALPEEALRPSKSHLERRWAWRTFVKAAVTIYEMVQATIVLEDMIKTEYLRNEWWYWSSFSAAAKISTLSALALRIYSLDSAIMYEKMFPSSDPVDKLEPSSVLDLKLLPILDSTERTKLSRKSNKKRKEPEG >ONI17205 pep chromosome:Prunus_persica_NCBIv2:G3:15845513:15852145:1 gene:PRUPE_3G145000 transcript:ONI17205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATLFLTPLSTLPNITHHLPSHSNPPFHSYNPISSALNSKPPQNPKPTNPIPSKSPNSLSLSSTTTTPNSKAPSEPNSSTDACIKAPTAPWMKGPLLLQPHEVIDFSKPRNKKTHNNAKAEKPDTVLAGKLVGIRGDKAIKQIVQSIERLGPNQKTDETQKGFGEFRIWDSLEGLGQNEKWDETHKDFVEFGIGGCLEGLGKAADSRFGGKMPWERDERIVFQRIKKKRVASAAELSLEKELLERLRAEAAKMRKWVKVKKAGVTQAIVDDIKFIWKTNELAMVKFDVPLCRNMHRAQEIVETKTGGMVVWGKKDTLVIYRGCNYQSSSKFFPKMRPCSADRQETLSSDHMQPDLEENSSYQYKSFESPVDEKMSRKDAEEDCIQSGTFQETSMSCQPTSRSLYEKEADRLLDGLGPRFIDWWMHKPLPVDADLLPEVVPGFKAPIRRCPPHTRSKLTDDELTFLRKFARSLPTHFVLGRNRKLQGLAAAILKLWEKSLIAKIAVKFGVPNTNNEQMAYELRCLTGGVLILRNKFIILLYRGKDFLPCGVADLVAKREVELTRWQLYEEHARQKAIETFCESGEPLVNTVGTLSEFQDIQTEYGELIKENKNVEIKLEAEKEQLERELRNQERKFFILNKKIEKSTNELSKLNSQRTPAEQDVDQEMMTEEEKECLRTVGLKMHSCLVLGRRGVFNGVMEGLHQHWKHREVVKVITMQKLFRQVMHTAKLLEAESGGILVSVDKLKEGHAIIIYRGKNYRRPLMPTGGNLLSKRKALHRSLEMQRIGSLKFFASQRQQATLDLKLKLETLEKSRGVDQRESEV >ONI17263 pep chromosome:Prunus_persica_NCBIv2:G3:16268018:16268761:-1 gene:PRUPE_3G149000 transcript:ONI17263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQSNINADTDSFWTWKPSPFNEKQQNENRFSIAEIFQSSQKAERKVLLGPGAGAGIGCGAGVGFGLVGGLGYGAWPFNQVKLVFGVGLGCGIGVGFGFGQGVGYGVSSDSLESYF >ONI15257 pep chromosome:Prunus_persica_NCBIv2:G3:2455292:2457066:1 gene:PRUPE_3G033200 transcript:ONI15257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIRFQIYILAFCAGLVIQSSCCNGHSGLQKKRAALFVFGDSLYDAGNNNYINTTTDFQASWFPYGETFFRYPTGRFSDGRTIPDFIAEYAKLPFIPVYLQPGLNDYTYGVNFASGGAGALVETYQGSVMDLKTQMSQFKKVEKQLRQKLGHAEAYTLVSEAVYIINIGNNDYVSPLATNTSHEEYVDWVIGNLTSWIEDIYQKGGRKFALSSLAPFASMPLMRTTQPAGSTAGPSRKEVAALVKLHNRVLAKVLLKLKKELQGFKYSKLNLYTYLKERINHPLKYGFKEGKMACCGSGAYRGIYSCGGKRSVTEYLLCDNATEFVFFDCAHPTERVNKQLSKLWWSNNLKELFEV >ONI18862 pep chromosome:Prunus_persica_NCBIv2:G3:23642096:23642792:1 gene:PRUPE_3G244200 transcript:ONI18862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSQKMSYHAGEAKGQAQEKASGMMDKANDAAQSTKETMQDVGQNVQAKAQGAADAVKNATGMNK >ONI18861 pep chromosome:Prunus_persica_NCBIv2:G3:23642151:23647870:1 gene:PRUPE_3G244200 transcript:ONI18861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSQKMSYHAGEAKGQAQEKASGMMDKANNAAQSTKETMQDVGQNVQAKAQGAADAVKNATGMNK >ONI16560 pep chromosome:Prunus_persica_NCBIv2:G3:8461676:8464606:1 gene:PRUPE_3G106700 transcript:ONI16560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFESTRSNSLPDRLLLCEIKMHHTRLNTPITYFLLSFFFLLFSSSTAYNITEVLNKYPDFSIFNDYLTRTKVSNQINEHRIMTVLVVKNAAMSSLVGKSIDVINKVMRIHVFLDYYTLNKFKSLPTSQPAQLTTLFESPDQHRYLNVTNGATVSIVSAAGSEKVEVVRDISPDDIYKTSIVEVSNVILPSTLSTSPRLPSPSSPSLTVASSPSPTIASSPSSSTFNITKFLNNYPDFNQFNTYLTETQVCDQINALSTVTVLVVNNASMSSLVGKSTEFKKKVLSLHVVMDYYTSQSFHNLPSSQTTQLTTLLQSSFQSIGFQGLLNATNGDTVSILSAVGSDKVEVVKDIFTENSKISAVQISNLIVPSDSTSSPSTLSPSVPSPSPSSSSSAFNITQILNKYPEFSIFNDYLTRTQVSNQINERRTLTILVANNEAMSSLVGQPMDVIRKVLSLQVVLDYYNVQKLHNLPVSKPTRIITLLEAADKPSGQQGFVNITNGDTISIVSGAGSDQALVIRDVVADELFTISVVQINKVIVPSGLTSPPSSPSLSPSPSILSSPSSSTFNITKILNDYPEFSQFNTYLTDTQVCDQINARTTVTVLVVNNAAMSSLVGKSTEIKKRVLSLHIITDYYTSQSFHNFPTLKTTQLTTLLQDSFQSNGFQGLLNATSGDAVSIVSAAGSDKVEIVKDIFTENLKISVVQINNLIVPSDSTSPSSSPSPSESSPSVPSSSAPSSSAAFDITKILSSNSDFNLFNNYLTQTQVANQINEHKTMTVFVVNNGAMTSLVDKPMEIKKKVLSLHVIMDYYTVQKFHNLPVSQPTRINTLLQVTDEPSGQQGFVNITNGDTISIVSSAGSDQAVVVRDVADKTNTISVVQISNLILPSGLIAPTSSPSPSTSSNPSKLRAAAPVQAPSSSIASAPNLGGAPLSNAPNMGSAPLPNAPNMGSTPMTNAPNLGQYTMGQTHQTWATHH >ONI15526 pep chromosome:Prunus_persica_NCBIv2:G3:3389116:3390696:1 gene:PRUPE_3G047900 transcript:ONI15526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEVEDHSKFILEKGHKAVFGRGNGCSTNDRTVSRRHVLFEFEHKSANQTEPSVSFEVLGKNPIWVRSQKDGGVRVFRRSEKGEVAAGDWFCVSGKRPVWFRVRNVEVEEREKRVLESESELAEDLQSGSELEGLDVSGIDPVKELPVMLVMIMSHSFWNAEFGFVVMGHEFDPYPKQMIRDVKKWNWFLEEPRKESENDDEFEQKEREGAPRKRKKGEENEDEEWTGESEADEELVAKFRKVNKTKYSTRSKASFKPQKDTKCGSKSSAQKKKTTSLGEEDETLGGFIVGEEDLEEEELEETDEDDEEEEFVEEDDDEEE >ONI18816 pep chromosome:Prunus_persica_NCBIv2:G3:23481252:23484856:-1 gene:PRUPE_3G241200 transcript:ONI18816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQSLVFLFLMVSLASTHSQSTQFQNYPAKEPTRRNSRRLSRPLIGHDGKVYTCSEKDFLAFESNGSIAWTMHLNYTCNSDMPPVHGGRRKMYLVADNRVIKINLLNNGTSEPAAEVLLSAEPTKEGQGGIVGLAVSTMSSSVFVNIKNRGLFAYITRGQLLWSAGPVIDLFGYRQGCRKNIADCFFNSVPVIDECEASIYISNTGGELYSLSIRRPHFKWIQDLSSYDKVFTITPGNNGRLYVTVPVKALLLALDVSSGNVLWEGSIGPLSTADYAPVVDSNGWISIGSLDGFLYSFSPTGVLKKFSRTAVTDSVIQVSPTLDCTGFAIYISQTEMEGKISRTVGEYTYVSAMKPKSVLLTLYVPATGSIYWSESYPGQFSSFMSQSDLRHFILDERILLAFVAASKTGNPLACRSTRQKLMSSCSQVRPKLVSIYTGNERAILWFLLFESAIMVVLAGLVRFCCIFWSKKKLEGENLGNFLEKRRSLRLKKKAFDRTITELEQKAAVEAMANEEVLEKLGNLLQKREGIERKLSTTYSLGRDGGSSRSKTLLPLYDGKTRSYYLQGGKKESVAVFHTLSDTSTSKESSSGGGEAGWTSCEDKGKAPLEEESSSDGGFFTREYKKSPSEPASSSREFVNPLLGEKEWTGKKLHYEDEVDSEPKIMIGSRSLSLKRRRALSSTN >ONI18817 pep chromosome:Prunus_persica_NCBIv2:G3:23482014:23484856:-1 gene:PRUPE_3G241200 transcript:ONI18817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQSLVFLFLMVSLASTHSQSTQFQNYPAKEPTRRNSRRLSRPLIGHDGKVYTCSEKDFLAFESNGSIAWTMHLNYTCNSDMPPVHGGRRKMYLVADNRVIKINLLNNGTSEPAAEVLLSAEPTKEGQGGIVGLAVSTMSSSVFVNIKNRGLFAYITRGQLLWSAGPVIDLFGYRQGCRKNIADCFFNSVPVIDECEASIYISNTGGELYSLSIRRPHFKWIQDLSSYDKVFTITPGNNGRLYVTVPVKALLLALDVSSGNVLWEGSIGPLSTADYAPVVDSNGWISIGSLDGFLYSFSPTGVLKKFSRTAVTDSVIQVSPTLDCTGFAIYISQTEMEGKISRTVGEYTYVSAMKPKSVLLTLYVPATGSIYWSESYPGQFSSFMSQSDLRHFILDERILLAFVAASKTGNPLACRSTRQKLMSSCSQVRPKLVSIYTGNERAILWFLLFESAIMVVLAGLVRFCCIFWSKKKLEGENLGNFLEKRVSFKSLSYASLKCKFSIKERDRGEADQRCLNLD >ONI17489 pep chromosome:Prunus_persica_NCBIv2:G3:18114456:18116023:1 gene:PRUPE_3G162100 transcript:ONI17489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSTIPSYENSALLRNPNIALNKSPSPRISIGSLRLISLKIRATHTQVADSSSVQPLLTKPLEITQLPIFQFDEYLSMKAKKVEKALNEAVPLQYPKKIHRAMRYSLLAGGKRVRPVLCLASCELVGGDQSLAIPTACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKAFGEETAILAGDALLSLAFEHIAAKTTKVVSPERVVRAIAELGSAVGSSGLVAGQIVDILSEGKEVTLEELEYIHVHKTAKLLEASVVCGAIIGGGNEGEVERMRKYARCIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKATYPKFMGIEGAKNFAAKLVAQAIEELAHFDAAMATPLYCLANYIANRQT >ONI15657 pep chromosome:Prunus_persica_NCBIv2:G3:3793530:3800090:1 gene:PRUPE_3G054000 transcript:ONI15657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMPGHGLRHVTTMRPWLRTFRSSTSLASLPSHFTEDSEQAQPKTDKPSSYFPKRGQTLELVCESLAFKGKGMCKVADTGFVVMCDRALPGERFIGRVTRRKGNYAEVIKVKTISPHWDFVDAPCEYASYCGGCKTQNLSYEAQVKAKEHQVHELIIHFGKFSQTELELQSIMKPIVPCEIQFHYRNKMEFSFGSKKWLPRESLMDRQDGSDNYALGLHAPGFFDRVLNVDKCLLQSEPANMVLAAVQDCWRDPQLGLSPYDVYSHVGFLKHLMLRTGRNVKTGIPELMVNFVTSSYKPELLQPLLGKISAIPEVVSIMNNVNTSVGNTSLGEMEYTLYGKSSITENLRGLTFQISANSFFQTNTHQAEVLYKLIEDCAGLRGDGSEVVLDLFCGTGTIGLTLARRAKHVYGYEIIPQAIADACLNAKLNGIQNATFIQGDLNKIDESFGNNFPKPDIVISDPNRPGMHMKLIRFLLKLKAQRIVYVSCNPATCARDLDYLCHGVTEKGIEGCYKLKSIQPVDMFPHTPHIECVCLLELS >ONI15338 pep chromosome:Prunus_persica_NCBIv2:G3:2750089:2753017:-1 gene:PRUPE_3G038100 transcript:ONI15338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSSSSLALLLCVLSVEASVHEYAEERFATKGNAFVVHGGSEGIYSSAPTQSTSVSANGDSFIRFEKITFRRSKESANLSSRPVHAIVFEVEDRETIGGSAYGGQRAVCCTGDLAKLGVCTEGEIIHRPSTKNPGWPQVFGVSFEAHEKVATLPSKSILITKTGMYNLYFIHCDLKLNDLVVEGKTIWKNPTGYLPGRMAPFMNFYGFMSLAFVILGIFWFSQYARFWREVFPLQNCITLVITLGMFEMALWYFEYAEFNETGVRPTGITVWAVTFGTVKRTVARVILLMVSMGFGVVRPSLGGLTSKVILLGLTFFVASEVLEMVENVGAVSDLSGKARLFLVLPVAILDAFFILWIFTSLSATLNKLQARRMMVKLDIYRKFTNALAVTVVVSVGWICYELYFKSNDVYNEQWQNAWIIPAFWQVLSFSLLFVICVLWAPSQNSTRYAYLGDASEEFDKDDTTLTLIKPSLTPAKDVLSAPEARAMQSSNGLSKGGDEEDKIE >ONI17229 pep chromosome:Prunus_persica_NCBIv2:G3:16085714:16091582:-1 gene:PRUPE_3G147300 transcript:ONI17229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRPTIALYLLSFLLSSLVLHTPTFAIKKSYVVYLGSHSHPPNLSELELNQVTENHYEFLGSFLGSHEVAKESIFYSYTRHINGFAATLEEEEAAQIAKHPKVVSIFLNQGRKLHTTRSWDFLGLQHDGVTPPNSIWNKARYGEDTIIGNLDSGAWPESNSFSDEEYGPIPSKWKGICQNETDSEFYCNRKLIGARYFNKGYAAVAGTLNSSFDSPRDNVGHGSHTLSTAGGNFVTGASVFGFGNGTAKGGSPKARVAAYKVCWPPVNGRECYEADILAAFDIAIHDGVDVLSVSLGGNPSAFFNDGVAIGAFHAVKHGIVVVCSAGNSGPAEGTVSNVAPWQITVGASTIDREFPSYVTLGNWKHFRGQSLSPVALPGKRFYPLISAADAKAANASVQEALLCKAGTLDLKKVKGKILACLRGDSARVDKGEQALLAGAVGMILANDELSGNEIISDPHVLPASHINFTDGALVFAYINSTKSPRAYIKRPTTQLGTKPAPFMAEFSSKGPNTITPDILKPDITAPGVSIIAAFTEAQGPTNQMFDKRRVLFNSLSGTSMSCPHISGICGLLKTLYPHWSPAAIKSAIMTTATTQDNSREPVLNASFYRATPFSYGAGHVNPNSVMDPGLVYDLSLNDYLNFLCSNGYNKTQIEMVSEETYKCPKPAISGTNLNYPSITVPKLDGSLVVTRTVKNVGTPGTYKARIQNPDGILVSVEPNKLEFKKIGEEKSFKLLLQVKDAKAAKNYVFGKLIWSDGKHYVRSPIVVKAA >ONI18633 pep chromosome:Prunus_persica_NCBIv2:G3:22736849:22738446:-1 gene:PRUPE_3G228500 transcript:ONI18633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKNGLKKGPWTTEEDALLVDYIQTHGTGNWRNLPKNAGLERCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLKMGIDPVTHAPRIDLLDLSSILSSYMCNNPAAALLNLSSLLSSTQQQQPLVNPELLRLATNLLSIKQENPELCSQNYNLHDQNQLCNSQQQNSQVLPPLQSHDQLQDLIQGDFSADVAKMKQLMQVINAEGYSPNMTNFNCPTVSQENMVHSNLGADHQTAVCQPSYVPCTTSSDPTNIPDLPENYFQSLNYNRSSDFSFDSVMSTPYSSPTALNSSGTYINSSTEDEKESYCSDWLKFEIPESVLDINDIM >ONI16484 pep chromosome:Prunus_persica_NCBIv2:G3:7763895:7765838:1 gene:PRUPE_3G101100 transcript:ONI16484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKVTVSVRESTMVRPAEESTPRGSLWLSNSDLAFTPFHTSSVYFYRTSGELNFFDRRVLKQALSKVLVPFCPMAGRFKLNDQNGRGEIDCNAEGVLFVVAESNSVVDDFGDFAPTSDFLKLIPAVEYSVGISSYPLLVLQVTYFKCGVDHRIADGASSLHFVNTWSDIARDDLSNIKPPFMNRMLLCARDAPQPAFPHVEYQPFPQMKLASDHLESTSNTTASIFRFTREQLNILKANSAEDDGGNINTIKYSTFEVLAGHIWRCACKARKLPDDQDTKVLIPIDGRSRLQPPLPPGFFGNVIFRATHIAAAGDLQSKPTWYAASCVHNALVQIDDDYLRSAVDYLELHRPCVSPLVMGALLIRCPNLWINSWVRLPIHDADFGWGRPIFMGPGTLFDGMALLLPSATNDGSLSLMISLHSEHLKSFSKLLYDI >ONI15302 pep chromosome:Prunus_persica_NCBIv2:G3:2645092:2646193:-1 gene:PRUPE_3G036600 transcript:ONI15302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYSDFISHAFILIACALILIAIKVLNQCSSPFSLYAEVEDRENDPCWRSRAHARYISFNVLRILHVL >ONI17283 pep chromosome:Prunus_persica_NCBIv2:G3:16404629:16408196:1 gene:PRUPE_3G150100 transcript:ONI17283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRFYKLEHGDRDGPSNSSSSSSDSEIEPEAIEDSDDDDDVVEELEEDAQACSTSSGYQSEDSSANEVPDDSLDLPIYEDDAKTGNERQVPLANQLFGKSGSEILEEQSNIVANEESLPVDFPDCVVKCKSAFKCRICPRVVCLNEETLRAHLKSKRHARSEKLLDEGRLKTMLNTDGKIEEEETPALYARILANSQGMPRKKSKREEKKVSRKKRMRYGAKKSKENPAKRSRK >ONI17485 pep chromosome:Prunus_persica_NCBIv2:G3:18073582:18078536:-1 gene:PRUPE_3G161700 transcript:ONI17485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIKPSFATKLPIKRKNPDPIPNLNPNPNLLPPTLESTAIYDYNAVGFSGEDGQRIPPFKYHRIWTEPDELLFLQGLLDCASDSFSFPKDLHLFYARFSTTVSQHYTKSQLSEKLRRFRKKFRVVSARLARGLHESQLSPHDRALYDLSRKLWSSECWSTSPFGNKAKSDEGDDNLMVNQNQSVLDDLFVENDYGDDVKINLSRAIAGQAAKTVLDVFDQSLNEVRRVLVQRCLLCSDHVGSVSGSGSGFGSGSCSSNKGKTALDFEQQWQLQRLAELDVLSQRLRLVLDNTIRGQ >ONI16167 pep chromosome:Prunus_persica_NCBIv2:G3:6073042:6073569:1 gene:PRUPE_3G081900 transcript:ONI16167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICIQPLLAAAAQRRIFICISASDLHHHLFCNFFMFGISCRDSLGSLCSFHLSFCESFSSLLCESFSSLLVCNLNWDAYARVSSILPDRWWRHT >ONI17154 pep chromosome:Prunus_persica_NCBIv2:G3:14887493:14887990:1 gene:PRUPE_3G140800 transcript:ONI17154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDIISSPGSFLISRDLAYGPMVNKGNNYHCGVELYYPPATGYQHSFIQSILIQPMDSINLFFSWCVEFKEAREVTTLTNFNKDLVKSFSISIRDHRFSDANILIS >ONI19105 pep chromosome:Prunus_persica_NCBIv2:G3:24570982:24572660:-1 gene:PRUPE_3G258900 transcript:ONI19105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIKLKSGGLWVHAPIAPTKECIQLLKELGAPVEYIVLPTFAYEHKIFVGPFSREFPRAQVWVAPRQWSWPLNLPLEFFGIFRAKTLKDDDLSTPWAYEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPDCISKESLLASAKNGLAVKLLSKGKEVPQEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDGIAREWRFKRIIPAHFAAPINASRSDLLAAFAFLDDLLDERYVTRPSLSLLFTSLMGKAASYFPPDDMKTLSSLDKFLVSVGAVKKTVSGRKR >ONI19104 pep chromosome:Prunus_persica_NCBIv2:G3:24569939:24573835:-1 gene:PRUPE_3G258900 transcript:ONI19104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIAVASPKSAILQNPVSLREPSWSPFGGSLKSLCLQLKPRNKNREDAINLVVASTNSTNSANTSRSRGGGRFYINFTGFPFPLGPFLNRSTTRTEAVKGCIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIQLLKELGAPVEYIVLPTFAYEHKIFVGPFSREFPRAQVWVAPRQWSWPLNLPLEFFGIFRAKTLKDDDLSTPWAYEIEQKVLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPDCISKESLLASAKNGLAVKLLSKGKEVPQEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDGIAREWRFKRIIPAHFAAPINASRSDLLAAFAFLDDLLDERYVTRPSLSLLFTSLMGKAASYFPPDDMKTLSSLDKFLVSVGAVKKTVSGRKR >ONI15530 pep chromosome:Prunus_persica_NCBIv2:G3:3399546:3403330:1 gene:PRUPE_3G048200 transcript:ONI15530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKDTFDMSDLGSSLPAAAAALSAEDRAGLVNALKNKLQSLAGQHTDILETLPPSVRKRVEVLREIQGQHDELEEKYFEEKAALEAKYQKLYEPLYTKRYDIVNGVTEVEGVKNEDAPDQEGDKATEEKGVPDFWLTAMKTNEVLSEEITERDEGALKYLKDIKWCRIDKPKGFKLEFFFDPNPYFKNSVLSKIYHMIDEDNEPILEKAIGTEIEWYPGKSLTQKLLKKKPRKGSKNAKPMTKTEDCPSFFNFFSPPQVPDDDDDIDDDTAEELQSQMEQDYDIGSTIRDKIIPHAVSWFTGEAVQGDEFEDLEDDDDDDVDENDDDDDEEEEEDGEDDDDDDDDDEEEEDKGRKKPSAGSKKSGRAQGAEGQQGERPPECKQQ >ONI15531 pep chromosome:Prunus_persica_NCBIv2:G3:3399884:3402826:1 gene:PRUPE_3G048200 transcript:ONI15531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKDTFDMSDLGSSLPAAAAALSAEDRAGLVNALKNKLQSLAGQHTDILETLPPSVRKRVEVLREIQGQHDELEEKYFEEKAALEAKYQKLYEPLYTKRYDIVNGVTEVEGVKNEDAPDQEGDKATEEKGVPDFWLTAMKTNEVLSEEITERDEGALKYLKDIKWCRIDKPKGFKLEFFFDPNPYFKNSVLSKIYHMIDEDNEPILEKAIGTEIEWYPGKSLTQKLLKKKPRKGSKNAKPMTKTEDCPSFFNFFSPPQVPDDDDDIDDDTAEELQSQMEQDYDIGSTIRDKIIPHAVSWFTGEAVQGDEFEDLEDDDDDDVDENDDDDDEEEEEDGEDDDDDDDDDEEEEDKGRKKPSAGSKV >ONI16935 pep chromosome:Prunus_persica_NCBIv2:G3:13417252:13420949:1 gene:PRUPE_3G131200 transcript:ONI16935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSLVLPCKALKFPSAKFEGSNQLRHKGKKMELTITRPDDWHLHLRDGELLQAVVPHSASHFARGIVMPNLKPPITTTAAAVSYRESILKALPAGSDFTPLMTLYLTDTTNPNEIKLAKRSGVVYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMAQQNMPLLVHGEVTDADVDVFDREKVFIDTVLQPLIQRLPQLKVVMEHVTTKDAVKFVESCKEGFVAATVTPQHLLLNRNSLFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGSKRFFIGTDSAPHERRRKEASCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFVSFNGPDFYGFPRNTSKIKLSKTSFKVPDCFSFPFGEIIPMFAGETLEWQPSFN >ONI15158 pep chromosome:Prunus_persica_NCBIv2:G3:2084762:2087659:-1 gene:PRUPE_3G027900 transcript:ONI15158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGEFKVTMSKQEVVAAALPLQEHWLPLSNLDLLLPPVDVGVFFCYKNEQSMSFWSMVGVLRKAMAQALVTFYAFSGEVVQNSVGEPAILCNNRGVDFFEAFADVELKDLNLYDPDESIEGKLVPKKKHGVLAVQATELKCGGMVVACSFDHRIADAYSTNMFLVSWAEMAQSKSLSLLPTFRRSLLNPRRPGHIDPSLNDMYVPITALPPPKNDTDVNQDHLISRIYYVTAEQLDNLQALASSNGFKRTKLESFCAFLWKMVAKSDTKIGCAKKLCKMGIVVDGRTRLSERGNYRSHQASHMATYFGNVLSIPFGGEKVEDLVEKSLNWVADEVHDFLKCAVTKEHFLGLIDWVEVHKPVPALAKIYCSGSEEGPAFVVSSGQRFPVSEVDFGWGLPVFGSYHFPWGGNAGYVMPMPSPARKGDWIVYMHIFKGQLELIEKAAAHVFRRLTFDYLSQI >ONI15157 pep chromosome:Prunus_persica_NCBIv2:G3:2084745:2087659:-1 gene:PRUPE_3G027900 transcript:ONI15157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGEFKVTMSKQEVVAAALPLQEHWLPLSNLDLLLPPVDVGVFFCYKNEQSMSFWSMVGVLRKAMAQALVTFYAFSGEVVQNSVGEPAILCNNRGVDFFEAFADVELKDLNLYDPDESIEGKLVPKKKHGVLAVQATELKCGGMVVACSFDHRIADAYSTNMFLVSWAEMAQSKSLSLLPTFRRSLLNPRRPGHIDPSLNDMYVPITALPPPKNDTDVNQDHLISRIYYVTAEQLDNLQALASSNGFKRTKLESFCAFLWKMVAKSDTKIGCAKKLCKMGIVVDGRTRLSERGNYRSHQASHMATYFGNVLSIPFGGEKVEDLVEKSLNWVADEVHDFLKCAVTKEHFLGLIDWVEVHKPVPALAKIYCSGSEEGPAFVVSSGQRFPVSEVDFGWGLPVFGSYHFPWGGNAGYVMPMPSPARKGDWIVYMHIFKGQLELIEKAAAHVFRRLTFDYLSQI >ONI17913 pep chromosome:Prunus_persica_NCBIv2:G3:20058114:20061491:-1 gene:PRUPE_3G186200 transcript:ONI17913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSDNNQLKLNNLTMDIDLRLPSGEHDKEDEEPHGIDNMLDHEEKLQNGDIENGNIVDVRDEVHAEDGGDLNSPTADMVVFKEDTNLEPLFGMEFASHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSYNRPRARQNKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHNFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDLKILLDFFTQMQNMNSNFFYAIDLGDDQRLKSLFWVDAKSRHDYINFSDVVSFDTTYIRNKYKMPLVLFVGVNQHYQFVLLGCALVSDESTTTFSWLMQTWLKAMGGQAPKVIITDHDKSIKSVISEVFPNAYHCFCLWHILGKVSENLGHVIKRHENFMAKFEKCIHRSSTNEEFEKRWWKILEKFELKDDEWTQSLYEDRKQWVPTYMRDVCLAGMSAVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKADSDTWNKQPTLRSPSPLEKSVSGVYTHAVFKKFQVEVLGAVACHPKRERQDETTITFRVQDFEKNQDFIVTWNEMKTEVSCLCCLFEYKGYLCRHALIVLQICGLSAIPAQYILKRWTKDVKSRHLVGEESDHGLSRVQKFNDLYQRAMKVIEEGSLSQESYSVACRALEEAFGNCVSVNNSSKSLIEAGTSSVTHGLLCIEDDSQNRSMGKTNKKKNPTKKRKVNSEPDVMTVGAQDSLQQMDKLNPRAVTLDGYYGAQQSVQGMVQLNLMAPTRDNYYGNQQTIQGLGQLNSIAPSHDGYYSAQQSMHGLGQMDFFRTAGGFTYGMRDDPNVRTAPLHDDASRHA >ONI17914 pep chromosome:Prunus_persica_NCBIv2:G3:20057693:20063939:-1 gene:PRUPE_3G186200 transcript:ONI17914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLRLPSGEHDKEDEEPHGIDNMLDHEEKLQNGDIENGNIVDVRDEVHAEDGGDLNSPTADMVVFKEDTNLEPLFGMEFASHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSYNRPRARQNKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHNFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDLKILLDFFTQMQNMNSNFFYAIDLGDDQRLKSLFWVDAKSRHDYINFSDVVSFDTTYIRNKYKMPLVLFVGVNQHYQFVLLGCALVSDESTTTFSWLMQTWLKAMGGQAPKVIITDHDKSIKSVISEVFPNAYHCFCLWHILGKVSENLGHVIKRHENFMAKFEKCIHRSSTNEEFEKRWWKILEKFELKDDEWTQSLYEDRKQWVPTYMRDVCLAGMSAVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKADSDTWNKQPTLRSPSPLEKSVSGVYTHAVFKKFQVEVLGAVACHPKRERQDETTITFRVQDFEKNQDFIVTWNEMKTEVSCLCCLFEYKGYLCRHALIVLQICGLSAIPAQYILKRWTKDVKSRHLVGEESDHGLSRVQKFNDLYQRAMKVIEEGSLSQESYSVACRALEEAFGNCVSVNNSSKSLIEAGTSSVTHGLLCIEDDSQNRSMGKTNKKKNPTKKRKVNSEPDVMTVGAQDSLQQMDKLNPRAVTLDGYYGAQQSVQGMVQLNLMAPTRDNYYGNQQTIQGLGQLNSIAPSHDGYYSAQQSMHGLMDFFRTAGGFTYGMRDDPNVRTAPLHDDASRHA >ONI17912 pep chromosome:Prunus_persica_NCBIv2:G3:20057693:20063939:-1 gene:PRUPE_3G186200 transcript:ONI17912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSDNNQLKLNNLTMDIDLRLPSGEHDKEDEEPHGIDNMLDHEEKLQNGDIENGNIVDVRDEVHAEDGGDLNSPTADMVVFKEDTNLEPLFGMEFASHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSYNRPRARQNKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHNFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDLKILLDFFTQMQNMNSNFFYAIDLGDDQRLKSLFWVDAKSRHDYINFSDVVSFDTTYIRNKYKMPLVLFVGVNQHYQFVLLGCALVSDESTTTFSWLMQTWLKAMGGQAPKVIITDHDKSIKSVISEVFPNAYHCFCLWHILGKVSENLGHVIKRHENFMAKFEKCIHRSSTNEEFEKRWWKILEKFELKDDEWTQSLYEDRKQWVPTYMRDVCLAGMSAVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKADSDTWNKQPTLRSPSPLEKSVSGVYTHAVFKKFQVEVLGAVACHPKRERQDETTITFRVQDFEKNQDFIVTWNEMKTEVSCLCCLFEYKGYLCRHALIVLQICGLSAIPAQYILKRWTKDVKSRHLVGEESDHGLSRVQKFNDLYQRAMKVIEEGSLSQESYSVACRALEEAFGNCVSVNNSSKSLIEAGTSSVTHGLLCIEDDSQNRSMGKTNKKKNPTKKRKVNSEPDVMTVGAQDSLQQMDKLNPRAVTLDGYYGAQQSVQGMVQLNLMAPTRDNYYGNQQTIQGLGQLNSIAPSHDGYYSAQQSMHGLMDFFRTAGGFTYGMRDDPNVRTAPLHDDASRHA >ONI17915 pep chromosome:Prunus_persica_NCBIv2:G3:20058114:20061309:-1 gene:PRUPE_3G186200 transcript:ONI17915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLRLPSGEHDKEDEEPHGIDNMLDHEEKLQNGDIENGNIVDVRDEVHAEDGGDLNSPTADMVVFKEDTNLEPLFGMEFASHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSYNRPRARQNKQDPENATGRRSCSKTDCKASMHVKRRPDGKWVIHNFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLALEAGDLKILLDFFTQMQNMNSNFFYAIDLGDDQRLKSLFWVDAKSRHDYINFSDVVSFDTTYIRNKYKMPLVLFVGVNQHYQFVLLGCALVSDESTTTFSWLMQTWLKAMGGQAPKVIITDHDKSIKSVISEVFPNAYHCFCLWHILGKVSENLGHVIKRHENFMAKFEKCIHRSSTNEEFEKRWWKILEKFELKDDEWTQSLYEDRKQWVPTYMRDVCLAGMSAVQRSESVNSFFDKYVHKKTTVQEFLKQYEAILQDRYEEEAKADSDTWNKQPTLRSPSPLEKSVSGVYTHAVFKKFQVEVLGAVACHPKRERQDETTITFRVQDFEKNQDFIVTWNEMKTEVSCLCCLFEYKGYLCRHALIVLQICGLSAIPAQYILKRWTKDVKSRHLVGEESDHGLSRVQKFNDLYQRAMKVIEEGSLSQESYSVACRALEEAFGNCVSVNNSSKSLIEAGTSSVTHGLLCIEDDSQNRSMGKTNKKKNPTKKRKVNSEPDVMTVGAQDSLQQMDKLNPRAVTLDGYYGAQQSVQGMVQLNLMAPTRDNYYGNQQTIQGLGQLNSIAPSHDGYYSAQQSMHGLGQMDFFRTAGGFTYGMRDDPNVRTAPLHDDASRHA >ONI15929 pep chromosome:Prunus_persica_NCBIv2:G3:5018520:5019944:1 gene:PRUPE_3G069500 transcript:ONI15929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDGAVTIYSGSAITDAKKNPYSLKVGLGQMLRGGAIFEVTTADQAKLAEDAGACSIIVSDPPRAQGISRMSDPALVKDIKRAVSLPVMARSRVGHFVEAQVLEAIGVDYIDESEYLAIADEDHFINKHNFQTPFVCGAQTLGDALRRVREGAAIVRTQGDLSGSGNVAMAVKNVRSVMGQIRLLNNMDDDEVFAFSKEIQAPYDLVAQTKQMGRLPVVQFASGGIVTPADAALMMQLGCDGVFVGSNVFNCSDPYKRVRGIVEAVRNYNDPHVLVETSSGLSGLMAGLDLGEDRIEHFGRGHGGV >ONI16531 pep chromosome:Prunus_persica_NCBIv2:G3:8265865:8282364:-1 gene:PRUPE_3G104700 transcript:ONI16531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDAHTQEEFFDVLTKTGEKTGISKPRGDVHRDGDYHRAVHVWIFAESTQELLIQRRADCKDSWAGLWDISSAGHISAGDSSLVTARRELQEELGVTLPKDAFEMIFVFLQECVTNDGKFINNEFNDVYLVTTVDPIPLEAFTLQETEVSAVKYISYEEYRSLLAKEDLEYVPYDVNGEYGQLFDIIARRYKENTIARSLSLQKQLQRYAPVSLSAELAGLPDADREALVLLIKAAAIMDEIFYLQVWYSNPVLRDWLKEHADASQLDKLKWMYYVINKSPWSSLDENEAFLTTADSAIKLLPEATRPVTGWKGLEYKAAFPVLKPPGANFYPPDMDKVEFELWKTSLTEDQQQAATGFFTVIKRHSEFSLDSSLYSSTVSSTNHSVGSTHDLYSVPFSEEYNSSITRAAEFLHKAGDLASSPSLKRFLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDALFGYKATFEAFIGVRDDKATAQLKLFGDNLQVLEQNLPLDNVYKSKDVISAPIRVIDLLYNAGDVKGPQTVAFNLPNDEHIVKDRGTSMVMLKNISEAKFKHILQPIADVCITKEQQELVDFESFFTHTICHECCHGIGPHSITLPNGRKSTVRLELQELHSALEEAKADIVGLWALKFLIHKDLLPKTLLKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLYEKGAFILNPEETFSVDFTKVEGAVESLSREILTIQAKGDKEAANLLLQKHCKLTDPLKVALQRLEKIQVPVDIVPAFSVIDKILEQRC >ONI19255 pep chromosome:Prunus_persica_NCBIv2:G3:25007088:25008231:-1 gene:PRUPE_3G267600 transcript:ONI19255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKFFAALMLALLAISMLQTTVMANNGHGGHHGGNNAYGPGSLKSYQCPSQCSRRCGQTQYHKPCMFFCQKCCKKCLCVPPGYYGNKGVCPCYNNWKTQQGGPKCP >ONI18726 pep chromosome:Prunus_persica_NCBIv2:G3:23028461:23031892:1 gene:PRUPE_3G234700 transcript:ONI18726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNIIAAHKLPSPASSSFAAQSQKPNFLSLFCTQTFSKPDPSRLLGFSTRRKRNSTVGSVTEDREVVPLKEKHAEDQDSSLLVNGSEEFEAVSSSSAYSEGRGEGDDLDKLTSRAINALIVLGFGTFAVSKLLTIDHDYWHGWTLYEILRYVPEHNWIAYEQALKANPVLAKMMISGVVYTLGDWIAQCYEGKPLLEFDRKRMFRSGLVGFTFHGSLSHYYYQFCEALFPLEDWWVVPAKIAFDQTVWAAIWNSIYFVVLGFLRLESPTKIFDELKATFRPMLTAGWKLWPFAHLVTYGLIPVEQRLLWVDCVELIWVTILSTYSNEKSESSISEASSGADSASSSSSSPKD >ONI18727 pep chromosome:Prunus_persica_NCBIv2:G3:23028480:23031030:1 gene:PRUPE_3G234700 transcript:ONI18727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNIIAAHKLPSPASSSFAAQSQKPNFLSLFCTQTFSKPDPSRLLGFSTRRKRNSTVGSVTEDREVVPLKEKHAEDQDSSLLVNGSEEFEAVSSSSAYSEGRGEGDDLDKLTSRAINALIVLGFGTFAVSKLLTIDHDYWHGWTLYEILRYVPEHNWIAYEQALKANPVLAKMMISGVVYTLGDWIAQCYEGKPLLEFDRKRMFRSGLVGFTFHGSLSHYYYQFCEALFPLEDWWVVPAKIAFDQTVWAAIWNSIYFVVLGFLRLESPTKIFDELKATFRPMLTAGWKLWPFAHLVTYGLIPVEQRLLWVDCVELIWVTILSTCLLHMVRLQSINLEVS >ONI19416 pep chromosome:Prunus_persica_NCBIv2:G3:25547723:25551376:-1 gene:PRUPE_3G278700 transcript:ONI19416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGTEAFPDLGRHCQHSDCHQLDFLPFQCDGCHKVFCVEHRSFKSHECPKSDHNSRKVLVCEICSTSIETTGRDGEKDQMLLLEKHHKSGNCDPRMKKKPTCPVRRCKEILTFSNTSTCKTCQVKVCLKHRFPADHVCRKQTTPSSSLLVGNGVHWNEKFMAAFASRKGKECGKSERDSKSSSTTPSVRAY >ONI16317 pep chromosome:Prunus_persica_NCBIv2:G3:6836304:6839968:-1 gene:PRUPE_3G091800 transcript:ONI16317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKPEASKKTDDVFVGSIDQGTTSTRFIIYDRSARPVGSHQVEFTQFYPEAGWVEHDAMEILESVRVCMAKALDKATADGHNVDSGLKAIGLTNQRETTLIWSKSTGCPLYNAIVWMDVRTSSICRKLEKELSGGRTHFLETCGLPISTYFSALKLLWLLENVDKVKEAVKSGDALFGTIDTWLIWNLTGGVKGGIHVTDVSNASRTMLMNLKTLEWDNPTLKTLGIPAEFLPKIVSNSEIIGKISTGWPITGVPISGCLGDQHAAMLGQACRRGEAKSTYGTGAFILLNTGEEIIRSTHGLLSTVAFKLGPKAPTNYAIEGSIAIAGAAVQWLRDSLGIIKSAKEIEDLALQVESTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKAHICRAVLESMAFQVKDVLDSMHKDAGEKGEVKNEKGEFLLRVDGGATVNTLLMQIQADLLGSPVLRPADIETTALGAAYAAGLAVGIWTEKEIFAGEERAKVATTFHPKLDEELRKKKLDSWFKAISRTFDLADLSL >ONI15264 pep chromosome:Prunus_persica_NCBIv2:G3:2486595:2487436:1 gene:PRUPE_3G033900 transcript:ONI15264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRQISGSGNEILTKDIILDQISECSSYGISRRDTIEADGQMLELWETTDQDASIDLMVGKGQKVDAVPTDHKSLVEKELDMDKLEISKRFTEPRQEGNKRRILERLDSDVQKLTNLQITGQLEEADEAITKLFDVNQKLMKNVEDGPLFSDGASGVVSDESWSVRRRRLSEQAKEGSEKIGRLQLEVQKLQFLLLKLDGEKESRGSTRITERKTRVLLRDYIYGGNRTSQKRKKAPFCACIQPPT >ONI17486 pep chromosome:Prunus_persica_NCBIv2:G3:18083499:18084764:1 gene:PRUPE_3G161800 transcript:ONI17486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLGRQRKGRASSSLLATIYSSSQSLPTMYQTGIRCPHHSCLLMHQSLIFDSQ >ONI17528 pep chromosome:Prunus_persica_NCBIv2:G3:18418335:18418753:-1 gene:PRUPE_3G165100 transcript:ONI17528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTLIKSKLVFFNLHLQIERSYRLECENLSEKLMSFYA >ONI18689 pep chromosome:Prunus_persica_NCBIv2:G3:22911288:22912610:1 gene:PRUPE_3G232700 transcript:ONI18689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCCKLYISESRNHAALDAIERAARLDPESVIVNKFEDRAYNRVRYTIVSYVMHDSTGSAIYSPLQQTVMAMAEAAFGAINLEQHSGAHPRLGVVDDIVFHPLARASLDEAAWLAKAVAVDIGNRFQVPVYLYAAAHPTGKALDTIRRELGYYRPNFMGSQWAGWTMPEILHEKPDEGPTSICPARGISMIGARPWVALYNIPILSTDVAATRRIARMVSARGGGLPTVQTLGLVHGEDSTEIACMLLEPNQIGGDRVQNHVEMLAAQEGLDVEKGYFTDHSPDMIIEKYMKLTSEDTN >ONI18688 pep chromosome:Prunus_persica_NCBIv2:G3:22910386:22912610:1 gene:PRUPE_3G232700 transcript:ONI18688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSPACKDKKKKTIDQSMLLCCKLYISESRNHAALDAIERAARLDPESVIVNKFEDRAYNRVRYTIVSYVMHDSTGSAIYSPLQQTVMAMAEAAFGAINLEQHSGAHPRLGVVDDIVFHPLARASLDEAAWLAKAVAVDIGNRFQVPVYLYAAAHPTGKALDTIRRELGYYRPNFMGSQWAGWTMPEILHEKPDEGPTSICPARGISMIGARPWVALYNIPILSTDVAATRRIARMVSARGGGLPTVQTLGLVHGEDSTEIACMLLEPNQIGGDRVQNHVEMLAAQEGLDVEKGYFTDHSPDMIIEKYMKLTSEDTN >ONI16508 pep chromosome:Prunus_persica_NCBIv2:G3:8080603:8081822:-1 gene:PRUPE_3G103000 transcript:ONI16508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKTANMCSMVGFIDPATVSANSGTITARSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNSVVDEEAKNIVNSALKLYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKVRRNYLHTFHVVFCIINDGRVKLTSLNGYTTSVMKNIVVCD >ONI16479 pep chromosome:Prunus_persica_NCBIv2:G3:7715265:7719303:-1 gene:PRUPE_3G100600 transcript:ONI16479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARINRGHGEKREESVAVAIDKDKGSENAIKWAVDHLLTRGQPLTLLHVKHTSSSEGADSQGGGAQAQSLFLSYRSFCSKKNIKCNEVVLEDTDIPKAIINYVVTNSVEILVLGTPTKSGLFRFKLTDVPSTVSKGAPDFCSVYVIGRGKVSYMRCATTTTPQKSAPRNQIQHQPTPVSETKPSGCVSKIIRGANPIKMISRSPFTRGRASMDKSYELSSDTDISFVSSGRASIDHISPSFYDFGAEVAMPSRHSIGSEMESRSSTSSYSRNRLFDMGSPQHEISSCSIESGKSSSSSQNMDDVEAEMRRLKLELKQTMEMYSMACKEALTAKHKERELNRWKLEDEQRLEEARHAEEAALALVEREKAKCKAAIEAAEVSHRIAELEAQKRRAAEMKAFKESEEKKKALQSRAYDPRYRKYTIEEIETATNDFSQARKIGEGGYGPVYRGELDHTPVAIKVLRPDAAQGKSQFNQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGNTPVIPWQLRFRIAAEIATGLLFLHQAKPEPLVHRDLKPGNILLDHNYVSKISDVGLARLVPPSVADTVTQYHMTSTAGTFCYIDPEYQQTGMLGTKSDIYSLGVMLLQLITAKPPMGLTHHVERAIEMGTFAEMLDPAVPDWPVEEALKFAKLCLQCAEMRRKDRPDLAKVVLSELNRLRALADESLNCVMNGAGGAFSPRQRSNTSIQTDL >ONI16605 pep chromosome:Prunus_persica_NCBIv2:G3:8890506:8891988:-1 gene:PRUPE_3G109700 transcript:ONI16605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKLSFLAMLLCLLLASTPKAHASVFDVTSATYGAKPGSDVSTALAKAWSDACASPSASKVVIPSGTYKLKEATFRGPCKAPIEMQVQGTLQAPADAGQLTRLDTWVGFQYIDMLTLSGGGTFDGQGALSWNQNDCHKNKNCKPPPVNLRFEFLTNSKVQDITSLNSKFFHIHVFRCNHTTFQQLTITAPDESRNTDGIHIGASTGINITHSKIGTGDDCISIGDDSHKITVTGVTCGPGHGISIGSLGKYKEEKDVTGIIVKNCTLTNTENGVRIKTFPDSPSPSSASGIHYEDIIMVNVSNPILIDQLYCPYTKCEQKPPSKVKINNVSFKNIKGSSFTPLAVKLVCTTGKPCENVELTDIDLTYGGDKGPLTSVCSNVKPAITGVTKALGCATSSLAPLP >ONI18538 pep chromosome:Prunus_persica_NCBIv2:G3:22232550:22236146:1 gene:PRUPE_3G221500 transcript:ONI18538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRPTTGCWSRWKYMKRFILRFNALVTFLVVIVKHVFTMRFHGQLRLEGFIFSNCNTTLNYTSGSLYEQNLNLTLSYLVANASLTGFYTTSIGENSDVVYGLVQCRGDLSKVDCHACANTAATEIRQLCFSQKEASVGYINCSLQYSAQRFFSTFDSFPGVQLHNTKTATDPALFKSQSGNLVKNLSSKAASNPSRFDLGFTSYTDFNDIYGMAQCTQDLAENTCLTCLQEIASHVPECCDGYVGATLISKSCYLRYEIYSFFLSPIAHQPPPVASPPSPSLLEPKASHAGKKSNSKIILIIVIPLALALTVLATGCAGYLFWKKAKRNGVDDVPDLLEDGNNSMDALLIGLRSLKVATNNFSEAYKLGEGGFGPVYKGTLPDEQEIAVKRLSRSSEQGLAELKTEVMLVAKLLHRNLVRLLGFCLEDEEKLLVYEYLPNGSLDKILFDQRRPFGLEWERRFKIIVGIARGLLYLHEDSQLRIVHRDLKASNILLDEEMNPKISDFGLAKLFCGSQTHGNTNRISGTFGYMAPEYAKNGKFSTKSDAFSFGVLVMEIITGRKNSSFRNFSNLQSYAWQHWANGTALELLDPRLGDQWPRYEVLKCFHIGLLCVQEAPAERPTMSEVVMMLNSYTINSTVPSQPAFYVRQESSADSQQSVARLESYGALELDQLAEATQSVNDITVTELCPR >ONI19356 pep chromosome:Prunus_persica_NCBIv2:G3:25345221:25347263:-1 gene:PRUPE_3G274100 transcript:ONI19356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGEMGPQLGSVIASVMFVCTVFRQYLPPQLRYYVDKYTHQLVKLFYPYIQITFDEYTNEFRKRSEVYSAIQSYLSTKSSTIVRRLKAHDVKDSKSLVLAMDDNEEVTDEFQGIKVWWVLVKREPRQTSFSFYPQSDEKKHYKLTFHRRHRDVVTGAYLAHVIKEGKAITVGNRQRKLYVNNPSRNWYTYRGSTWSHVVFEHPATFETLALHPKMKEEIVNDLIKFRKGKEYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMSNLMDYDVYDLELTTVKDNTELRKLLIDTPSKSIIVIEDIDCSLDLTGQRKKKKEKDEEDNEEKDPVKKKMEKEESQPSKVTLSGLLNFIDGIWSACGGERLIVFTTNYVDKLDPALIRRGRMDKHIELSYCCYEAFKVLAKNYLDLESHELFETIERLLGETNMIPADVAENLMPNSVTEDADSCLKKFIEALESAKEEARKMAEEEEASKKAEEEAKLKAEKEEKEKQEPAKDEVKCNGTSAKEEDAK >ONI18258 pep chromosome:Prunus_persica_NCBIv2:G3:21322656:21323556:-1 gene:PRUPE_3G205700 transcript:ONI18258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLKLAIFLVRFFTSITFRQVMTFKAFILLGLLFGSVVLISSTVADETSEDEKKVDEAQEANPVEDARQYCGCCIRFGIYRCGLRCCRRPEPEDQPQEVGDSLETNQPDGYGYGGGGYKGGRGGSYGGGGYGGGGRGGGGYGAGGHGGGGRGSGGYGGGGRGGYGGGGGGGRN >ONI19408 pep chromosome:Prunus_persica_NCBIv2:G3:25515175:25516110:-1 gene:PRUPE_3G277900 transcript:ONI19408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKTSTPSLFAITTLLLFILLSLLSYAKASETHHKKTSSYEFLEHLKGCHKGDKVQGIQDLKKYLEKFGYLNGNTNNDDHFDDELESAIKTYQINYHLKVTGTLDAKTVKKMEMPRCGVPDIINGTTSMRTGKKRGGHGSTHTVGHYAFFQGNPKWPANKYHLTYGFLQGTQSEAVGAVARAFATWQSNTHFTFSQAQSFESADLKIGFGRGDHGDGANNAFDGPGKTIAHAFRPTNGRFHYDADETWVVGAVPGGFDLETVALHEIGHLLGLDHSSVPGAVMQSQIPPGYTQSLHADDVQGIRALYNT >ONI17666 pep chromosome:Prunus_persica_NCBIv2:G3:19088149:19088349:1 gene:PRUPE_3G173000 transcript:ONI17666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVFKIPFANMILRVHMAKMPCWVIGLQGCLRREKNSRLYRRETNRIVMFIVGRCCHIPKNFVLN >ONI18418 pep chromosome:Prunus_persica_NCBIv2:G3:21786461:21790331:-1 gene:PRUPE_3G214400 transcript:ONI18418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPNSLPLHERFEHKNTLHKVMEFIILFLLLSLLVYRLLSFNNNGFTWLIAFLCELSFTFNWIITINNKWNIVEYKTYPDRLLQRYVTPIMVAEHELPPVDMFVTTADPELEPPIITINTVLSLLALDYPADKLACYVSDDGCSPLTFYSLVEASKFAKLWVPFCKKYDVQVRAPFRYFSNNNTMFSGNKSNEFKREWKTLKDEYEQLSRKVVDAVGTSIPFDLSGDFAVFSDIEGNNHPTIIKVVWENKVGASNGLPHLVYISREKRPKHPHHSKAGAMNVLTRVSGLMTNAPFMLNVDCDMLVNNPNMMFHAMCMLLGSKNETENAFVQFPQTFYDGLKDDPFGNQMTVVWKYAGRGISGIQGPFYLGTGCVHRRKVIYGLSPNDVKGTNGKPELHKTFGNSQEFIKSADDALRGKTKYPDMLSKSMEAAHQVGDCGYEYDTNWGKKVGWMYGSRTEDILTGLSIHKRGWRSIICSPDPPAFQGCAPTGGPASMTQQKRWATGLLEILLSKNCPIFHTIFANLKFRMFLAYFWVFSWALRSVPELCYAALPAYSIITNTHFLPKGQEPTYYIPIALFVLYHVYTLSEYLQTGLSIRAWWNNQRMGRIIPMSAWLFGVVGVILKLSGRSETVFEVTRKDQSTSTDEGTEAGTFTFDKSPVFVPPTTILLVHLTALATALFGLQPPAHDGLRSGPLEVVCSVWLVLCLWPFLKGLFGAGKYGIPSSTIFKSAGFTLLFYTLCTNMCPL >ONI18417 pep chromosome:Prunus_persica_NCBIv2:G3:21785819:21790410:-1 gene:PRUPE_3G214400 transcript:ONI18417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPNSLPLHERFEHKNTLHKVMEFIILFLLLSLLVYRLLSFNNNGFTWLIAFLCELSFTFNWIITINNKWNIVEYKTYPDRLLQRVAEHELPPVDMFVTTADPELEPPIITINTVLSLLALDYPADKLACYVSDDGCSPLTFYSLVEASKFAKLWVPFCKKYDVQVRAPFRYFSNNNTMFSGNKSNEFKREWKTLKDEYEQLSRKVVDAVGTSIPFDLSGDFAVFSDIEGNNHPTIIKVVWENKVGASNGLPHLVYISREKRPKHPHHSKAGAMNVLTRVSGLMTNAPFMLNVDCDMLVNNPNMMFHAMCMLLGSKNETENAFVQFPQTFYDGLKDDPFGNQMTVVWKYAGRGISGIQGPFYLGTGCVHRRKVIYGLSPNDVKGTNGKPELHKTFGNSQEFIKSADDALRGKTKYPDMLSKSMEAAHQVGDCGYEYDTNWGKKVGWMYGSRTEDILTGLSIHKRGWRSIICSPDPPAFQGCAPTGGPASMTQQKRWATGLLEILLSKNCPIFHTIFANLKFRMFLAYFWVFSWALRSVPELCYAALPAYSIITNTHFLPKGQEPTYYIPIALFVLYHVYTLSEYLQTGLSIRAWWNNQRMGRIIPMSAWLFGVVGVILKLSGRSETVFEVTRKDQSTSTDEGTEAGTFTFDKSPVFVPPTTILLVHLTALATALFGLQPPAHDGLRSGPLEVVCSVWLVLCLWPFLKGLFGAGKYGIPSSTIFKSAGFTLLFYTLCTNMCPL >ONI19058 pep chromosome:Prunus_persica_NCBIv2:G3:24457064:24457299:-1 gene:PRUPE_3G256400 transcript:ONI19058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSAKLETLKSLISPSHAGKTLKADELFQETADYIVLLRTQVVILQRLVELYGSSEKENITATAAVL >ONI20007 pep chromosome:Prunus_persica_NCBIv2:G3:26939961:26942210:-1 gene:PRUPE_3G309800 transcript:ONI20007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSLCGSMGSIKSEISCTSDLKPPSPNESTTMSISMSESKKTTTPPSSEMEQSSLTPPSLNFPTLKFELDGDVEVQSPDHSIWESLFSEQFDTDFMVLSPVPNNMPDSPQPGSSLSAAHNTYNYNYAQSMQGQSLSGCSPPRFSPHLGAFSSTHNPKGKGLSPLRRVFNSPVNQYMQPADQNMQSMPPASIEDFLDDYQRDSFIAAYQNPTTSSSKMSGTSSQSYNPHDMPTSSTVPAAPLDCLPMQNSSRFSRSASETSLVTAGNSQMTQESRASAYQQMGSNCGAPLSQQLQQERQQEKQQQQQPLMHPIKHQLHHNLNYMAPNLPMAPEQQEQDSGLQLVHLLLACAEAVAKEDFMLARRYLHHLNRVVTPLGDSMQRVASCFTEALSARLAATLTTNPAASAPKPFSPFPPNSLEILKIYQIVYQACPYIKFAHFTANQAIFEAFESEERVHVIDLDILQGYQWPAFMQALAARTGGAPFLRITGVGPCIEAVKETGRCLTELALSLHVPFEFHAVGEQLEDLKPHMFNRRIGEALAVNTVNRLHRVPGNYLGNVLAMIRDQAPNIVTLVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPPDSAQRAKVEQYIFAQEIRNIVACEGAERTERHERLEKWRKVMESKGFKSVALSANAVTQSKILLGLYSCDGYRMTEDKGCLLLGWQDRSIMAASAWRC >ONI15358 pep chromosome:Prunus_persica_NCBIv2:G3:2807424:2810260:1 gene:PRUPE_3G039000 transcript:ONI15358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTLSLFLFLLSLLLPATLSIPPDLSDRPKTFIVHVSKSQKPSLFSSHRSWYTSIIQNLPSPHPTKLLYTYDRSVHGFSATLTSSQATQLLSHPSVLSVTPDQPRQLHTTHTPNFLGLADSFGLWPNSDYADDVVIGVLDTGIWPERPSFSDSGIGPVPTRWKGTCVTTADFPSSACNRKIIGARAYFNGYESHIGRLMDETTEAKSPRDTEGHGTHTASTAAGAVVANASFFSYAQGDARGMATKARIAVYKICWSFGCFDSDILAAMDQAIADGVDIISLSVGASGNAPPYDRDSIAIGAFGAAQHGVLVSASAGNSGPNPFTATNIAPWILTVGASTIDREFPADVVLGDNRVISGVSLYSGEPLVDYKLPLVYGGDCGSRYCYEGALQPSKVQGKIVVCDRGGNARVAKGGAVKLAGGLGMILANTEESGEELLADGHLIPATEVGEIAANQIREYIRLSQYPTATIVFRGTVIGSSPSSPQVAAFSSRGPNSLTPEILKPDVIAPGVNILAGWTGATAPTDLDIDPRRVEFNIISGTSMSCPHVSGIAALLRKAFPNWSIAAIKSALITTAYTLDNAGKKIKDLGTGEESTPFVHGAGHVDPNRALNPGLIYDLNVNDYVAFLCSIGYSPRQIAVFVGKPTGSDMCTRNSLASPGDLNYPSFSVVLSSDQGLIKYKRIATNVGGDADAVYEVTVNAPAGVEISVEPRKLVFSAENQTQSYEVTFKRGVGYDGGERYGSIEWTDGRHLVRSPVAVRWSSARSLASM >ONI18471 pep chromosome:Prunus_persica_NCBIv2:G3:21967328:21972225:1 gene:PRUPE_3G217800 transcript:ONI18471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGVALPLHTLIWVFLTGSLYCQRPSVVNIGAIFTFNSVIGRVAKTAMEAAVSDVNADPRILNGTELRLHMEDANCSVFLGSVEVFQVLDKSIVAIVGPQSSSIAHMISEIANGLQVPLISYAATDPSLSALQFPFFLRTTQSDAYQMAAMADLIDFYGWKEVIAVYVDDDYGRNGVYTLGHELGKKMSRISYKLALPVQFNLSDITELLNKSKVLGPRVYVVHVDPDPRLRIFTVAKQLQMMTSSYVWLATDWLSTTVDSFSPTNRTSLTVLEGVVTLRQHIPQSNRKRAFISRWKKMQKEGLASSELNAYGLYAYDTVWAVAHSIENFINEYRNISFSFVDRLHDMKPSKIELGKLKVFDGGSLLRRKLLKTNMSGLTGQVQFNEDRNRVIGGYDVINIDQMTIRTVGFWTNYSGFSVSPPKTLKGRRSSYSPLDYKLDNVTWPGGNTERPRGWVIADNEKPLRIGVPNRASFVEFVTELNDSHTVQGYCIDVFTEARKLVPYDIPYRFEPFGDGLSNPSYDELVKMVAENVFDAAVGDIAIVKNRTLIVDFSQPYATTGLVIVAPIDNSKSNAWVFLKPFTWEMWCVTAAFFVMIAVVIWTLEHRVNKDFRGPPKRQLVTMFLFSFSTLFKKNQEDTVSPLGRMVMVVWLFLLMVITSSYTANLTSILTVQQLSSPITGIDSLIASNWPIGYQVGSFAYSYLTESLYIPRSRLVQLGSPEEYEKALRQGPYDGGVGAIIDELTYIELFLSRQTDFGIIGQTFTRSGWGFAFQRDSPLAIDMSTAILKLSESGELQKIHEKWFCKMGCPSEKNLESEPNQLKLISFWGLYLLCGVFTISALLIFLLRVVLQFVRYKKQQAVTPSTLSSSSSWSSRFSESIYNFVDFIDEKEEAIKRMFIHGGNPQGQAT >ONI18470 pep chromosome:Prunus_persica_NCBIv2:G3:21967375:21972582:1 gene:PRUPE_3G217800 transcript:ONI18470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGVALPLHTLIWVFLTGSLYCQRPSVVNIGAIFTFNSVIGRVAKTAMEAAVSDVNADPRILNGTELRLHMEDANCSVFLGSVEVFQVLDKSIVAIVGPQSSSIAHMISEIANGLQVPLISYAATDPSLSALQFPFFLRTTQSDAYQMAAMADLIDFYGWKEVIAVYVDDDYGRNGVYTLGHELGKKMSRISYKLALPVQFNLSDITELLNKSKVLGPRVYVVHVDPDPRLRIFTVAKQLQMMTSSYVWLATDWLSTTVDSFSPTNRTSLTVLEGVVTLRQHIPQSNRKRAFISRWKKMQKEGLASSELNAYGLYAYDTVWAVAHSIENFINEYRNISFSFVDRLHDMKPSKIELGKLKVFDGGSLLRRKLLKTNMSGLTGQVQFNEDRNRVIGGYDVINIDQMTIRTVGFWTNYSGFSVSPPKTLKGRRSSYSPLDYKLDNVTWPGGNTERPRGWVIADNEKPLRIGVPNRASFVEFVTELNDSHTVQGYCIDVFTEARKLVPYDIPYRFEPFGDGLSNPSYDELVKMVAENVFDAAVGDIAIVKNRTLIVDFSQPYATTGLVIVAPIDNSKSNAWVFLKPFTWEMWCVTAAFFVMIAVVIWTLEHRVNKDFRGPPKRQLVTMFLFSFSTLFKKNQEDTVSPLGRMVMVVWLFLLMVITSSYTANLTSILTVQQLSSPITGIDSLIASNWPIGYQVGSFAYSYLTESLYIPRSRLVQLGSPEEYEKALRQGPYDGGVGAIIDELTYIELFLSRQTDFGIIGQTFTRSGWGFAFQRDSPLAIDMSTAILKLSESGELQKIHEKWFCKMGCPSEKNLESEPNQLKLISFWGLYLLCGVFTISALLIFLLRVVLQFVRYKKQQAVTPSTLSSSSSWSSRFSESIYNFVDFIDEKEEAIKRMFIHGGNPQGGARVLLVQIDSCPRVLFSYLGVTVTYINIVMVISFSFQL >ONI18472 pep chromosome:Prunus_persica_NCBIv2:G3:21967375:21972582:1 gene:PRUPE_3G217800 transcript:ONI18472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVSDVNADPRILNGTELRLHMEDANCSVFLGSVEVFQVLDKSIVAIVGPQSSSIAHMISEIANGLQVPLISYAATDPSLSALQFPFFLRTTQSDAYQMAAMADLIDFYGWKEVIAVYVDDDYGRNGVYTLGHELGKKMSRISYKLALPVQFNLSDITELLNKSKVLGPRVYVVHVDPDPRLRIFTVAKQLQMMTSSYVWLATDWLSTTVDSFSPTNRTSLTVLEGVVTLRQHIPQSNRKRAFISRWKKMQKEGLASSELNAYGLYAYDTVWAVAHSIENFINEYRNISFSFVDRLHDMKPSKIELGKLKVFDGGSLLRRKLLKTNMSGLTGQVQFNEDRNRVIGGYDVINIDQMTIRTVGFWTNYSGFSVSPPKTLKGRRSSYSPLDYKLDNVTWPGGNTERPRGWVIADNEKPLRIGVPNRASFVEFVTELNDSHTVQGYCIDVFTEARKLVPYDIPYRFEPFGDGLSNPSYDELVKMVAENVFDAAVGDIAIVKNRTLIVDFSQPYATTGLVIVAPIDNSKSNAWVFLKPFTWEMWCVTAAFFVMIAVVIWTLEHRVNKDFRGPPKRQLVTMFLFSFSTLFKKNQEDTVSPLGRMVMVVWLFLLMVITSSYTANLTSILTVQQLSSPITGIDSLIASNWPIGYQVGSFAYSYLTESLYIPRSRLVQLGSPEEYEKALRQGPYDGGVGAIIDELTYIELFLSRQTDFGIIGQTFTRSGWGFAFQRDSPLAIDMSTAILKLSESGELQKIHEKWFCKMGCPSEKNLESEPNQLKLISFWGLYLLCGVFTISALLIFLLRVVLQFVRYKKQQAVTPSTLSSSSSWSSRFSESIYNFVDFIDEKEEAIKRMFIHGGNPQGGARVLLVQIDSCPRVLFSYLGVTVTYINIVMVISFSFQL >ONI16805 pep chromosome:Prunus_persica_NCBIv2:G3:11010282:11013130:1 gene:PRUPE_3G123200 transcript:ONI16805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLFKALFSTLFLTLFLVSHQTKAEDFNSFNDNNNNNNNNASASSTISAAAAASNSVPRKLAGKCNWFRGMWVYDASSKPRYDSSTCPFLDPQFDCQKYGRRDSTYLKYRWQPFSCALFNGLKFLEKWRGKKIMFVGDSLSFNQWVSLTCMLHAWVPNSRTTHFKRDGLASVTFQDYGVQILLYRTTYLVDLVNEKVGRVLKLDSIRSGNAWRGMDLLIFDTWHWWTHTGRAQPWDYLEERGKLYKDMNRLVAFYKGLTTWSRWVNRYVDASKTKVFFQGISPTHYEGRDWNQPTRSCSSETQPFFGAKYPAGIPLSWVVVNKVLARIKKPVYLLDITFLSQMRKDAHPSKYSGSHGGTDCSHWCLPGLPDTWNDLLYAALFG >ONI16804 pep chromosome:Prunus_persica_NCBIv2:G3:11010148:11013507:1 gene:PRUPE_3G123200 transcript:ONI16804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLFKALFSTLFLTLFLVSHQTKAEDFNSFNDNNNNNNNNASASSTISAAAAASNSVPRKLAGKCNWFRGMWVYDASSKPRYDSSTCPFLDPQFDCQKYGRRDSTYLKYRWQPFSCALPRFNGLKFLEKWRGKKIMFVGDSLSFNQWVSLTCMLHAWVPNSRTTHFKRDGLASVTFQDYGVQILLYRTTYLVDLVNEKVGRVLKLDSIRSGNAWRGMDLLIFDTWHWWTHTGRAQPWDYLEERGKLYKDMNRLVAFYKGLTTWSRWVNRYVDASKTKVFFQGISPTHYEGRDWNQPTRSCSSETQPFFGAKYPAGIPLSWVVVNKVLARIKKPVYLLDITFLSQMRKDAHPSKYSGSHGGTDCSHWCLPGLPDTWNDLLYAALFG >ONI18256 pep chromosome:Prunus_persica_NCBIv2:G3:21315477:21316403:-1 gene:PRUPE_3G205500 transcript:ONI18256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQKKMKMKGYGFVLALVLIFSSVAAEIVPQDHESKVDGAEKTVDDCVQYDGLGRRGRCGSSAAYAREEAMPETDSVAKESER >ONI16264 pep chromosome:Prunus_persica_NCBIv2:G3:6559800:6570124:1 gene:PRUPE_3G088200 transcript:ONI16264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNGQIAPPGTGGSSIPPPPAAQPSYTVLPSPADAEARLEEKARKWQQLNSKRYSDKRKFGFVESQKEDMPPEHVRKIIRDHGDMSSKKFRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLCVYHTPMVMYIKTEDPDLPAFYYDPLIHPIPSTNKERREKKTFDEEEDDVFGLPEGVGPFLQDTQLYTDTTAAGISLLFAPRPFNMRSGRTRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQSVWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMSQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAEPKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTVITEPHHIWPSLSDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQNAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIAMAPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTTHAKILENTKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMIPDTGPWNYNFMGVKHTPSMKYGIKLGTPREYYHEDHRPTHYLEFSNLEEGDTVEGDRDDTFT >ONI17820 pep chromosome:Prunus_persica_NCBIv2:G3:19638420:19642616:-1 gene:PRUPE_3G180600 transcript:ONI17820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILRPLRLAGTIFVLATLATATAAAAQALPGCPDYCGDLKVPYPFGTVEGCYLGENFFINCTKKKNTMTSNFTQIPTAYLMGSDNIVTNISLDGELQILQRASHDCFYNNDKTGAVYISPYSVSYPLQVSPFTISDTRNKLVSVGCDTFAILEGYRQDEERYESGCLSLCRRPDSVTESCSGIGCCTASIPSGLKNRTVTVSRYKNDSYGDLRCSYAFIVEENYFKFSNASFLKMANTLQLPMVINWEIGDQPCAAAEKSHNFSCKANSKCINRTNSSGYFCMCLPGYGGNPYHPDGCQDIDECEVSDPCSAVCLNLPGNYSCLCPKGYKGDGLKTGSGCIKYNSSQSPLLINISLGIGIGLGLLLVIIGAWWLQKVVKKRKAIKRKENFFKQNGGILLEQQLSSGEVNVQKAKLFKLEELEKATDQFNVDRILGHGGQGTVYKGMLVDGTIVAVKKSKNIASGGKVRQFINEIVILSQINHRNVVKLLGCCLETEVPLLVYEYIPNGTLYQYIHDQNEEFPLTWEMRLRIAVEIAGALSYLHSAASFPIYHRDIKSTNILLDGKYRARIADFGTSRSVSVDQTHLTTLVHGTFGYLDPEYFQSSKFTDKSDVYSFRVVLVELLTGQKAVSLTSSQEARGLVPYFNNSMEENNVLDIFDAQVKEEGAPEETLAVANLAKRCLDMNGKRRPTMKEVAMELEGIQKASNVQLNLEAAEHVPNVVIGPWDDAFTSTDSTGSGWDSGAASSTDVLSILSDESG >ONI17638 pep chromosome:Prunus_persica_NCBIv2:G3:18974245:18977821:-1 gene:PRUPE_3G170800 transcript:ONI17638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAYKPKNILITGAAGFIASHVCNRLIRNYPEYKIVVLDKLDYCSNLKNLHPSRSSSNFKFIKGDIGSADLVNFILLTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKMIPKFILLAMKGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVVDVAKEICQLFSLNPDTQIKFVENRPFNDQRYFLDDQKLKNLGWSERTSWEEGLRKTMDWYVKNPEWWGDVSGALLPHPKMLMVPGIERKFDGTDTGASAFSLSASDSRESHMVVPPPKNNPSTQKPSLKFLIYGKTGWIGGLLGKICEKQGIPYEYGQGRLQERSHLLADIQSVKPTHVFNAAGVTGRPNVDWCESHKPETIRTNVVGTLTLADVCRDHNLLMINYATGCIFEYDAAHPSRSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKISRYDKVVDIPNSMTILDELLPISVEMAKRNLRGLWNFTNPGVVSHNEILEMYKKYIDPSFKWTNFTLEEQAKVIVAPRSNNEMDASKLKKEFPELLPIKESLIKYVFEPNKKAFSG >ONI19008 pep chromosome:Prunus_persica_NCBIv2:G3:24236145:24237712:1 gene:PRUPE_3G252900 transcript:ONI19008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVIGSVLLIMFVLATWHLIMTLEILLNSFFDLFWLRFPPFQRIFFYELPPSSAPTPLFFDSILPKLTASLSLTIQHFLPLAGSLTWPQTSHKPILNYVQGDAVSLTIAHDSDDHRADYFDHLSSCNEFVEAIKYHPLVPKLAMSHEKAAAMALQITLFPSRGFSIGTCMHHAILDGKSSNHGLTSLKPFYGKVITDPAGLESIYLNHFLNLDRPENRTLISNNNNNNINRFTFQRFLSRAYAWVCLAKSKAMEIRSEKIAMIFSIDCMSRLDPPLPASHFGNCIAGGRVVAETKGLLGENGLVLAVNAIREAIKGLDNKGQRIGYFILTSVAGSNRFQIYDTDFGWGKPRRTEVVSIDKTGAISLSDSKNGGGGVEIGLVLKKQYMDAFAALFAQGLL >ONI17137 pep chromosome:Prunus_persica_NCBIv2:G3:14762266:14763089:1 gene:PRUPE_3G140000 transcript:ONI17137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLLGFKLHGQNQTHCGGSIRVQRGEKMAVVFSNGLMMSASIGENFDGLAIEDNERTGRPSWTWKRKRDEAMVCSVGFRGCTDYC >ONI18625 pep chromosome:Prunus_persica_NCBIv2:G3:22696089:22697287:1 gene:PRUPE_3G227800 transcript:ONI18625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTPCRDENGMKKGTWTPEEDRKLIAYVTRYGCWNWRQLPRFAGLSRCGKSCRLRWMNYLRPNIKRGNYSQEEEETIIKLHQQLGNRWSAIAAQLPGRTDNEIKNHWHTNLKKRMNNKHYKSSSSSSSSSSSSSSSVTQTDDQETPNCSGLVELAVDQLTKSATILPNADETADHLQVPQTDHDHLDNIFQLSSPQPSSSEVSSLTTDNAPVVLSSMNNDDWVNYVEEDNVISSMEAYADVQFGNDFWTEPFLADTSYIPCGLLTPSMDSEFFYPLFD >ONI18378 pep chromosome:Prunus_persica_NCBIv2:G3:21653671:21655384:1 gene:PRUPE_3G211900 transcript:ONI18378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNTLLTFLSRRTSTTTTHNPNLRWRFFCSPPSSSSTGPNNKLFVGGLSWSMDEKSLKDAFSSFGEVTEVKIVYDRDSGRSRGFGFVNFTKEDDAQVARDAMDGKALLGRPLRVTYALERVRGGHVVVPRLSDSGDANS >ONI18377 pep chromosome:Prunus_persica_NCBIv2:G3:21653460:21655432:1 gene:PRUPE_3G211900 transcript:ONI18377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNTLLTFLSRRTSTTTTHNPNLRWRFFCSPPSSSSTGPNNKLFVGGLSWSMDEKSLKDAFSSFGEVTEVKIVYDRDSGRSRGFGFVNFTKEDDAQVARDAMDGKALLGRPLRVTYALERVRGGHVVVPRLSDSGDANS >ONI16448 pep chromosome:Prunus_persica_NCBIv2:G3:7589235:7590344:-1 gene:PRUPE_3G098700 transcript:ONI16448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKVEEELEGEKHSMWSHGGHWQRGEFIGEGSFGSVFLATPKKRRRGEFSRMVNLPAMMAVKSAKVSASESIEHEAGVLFEIKGCPFVIERFGEETTTTDKGDKVYNLLLEFASGGTLDGLIQKSNGLGLPEDDVRRYTRSILEGIRHIHKCDYVHCDLKPDNILLVPTTTTTTTTTTTTTTARSGATTSFVAKIADFGLAKKTKDNYSRWRGTPRYLSPEALFDNKQDQSCDIWALGCIVFEMLTGNSPWDLKPGCDLDNSVDVTVFDHLRTSKIPAEISDVARDFLKSCLAMRSCERSTAERLLSHPFVAPPQPSKAGHAKLKVVNSSLGYAYGVSYFKPKADYRASTATVPRIHPLPGFEIPAAH >ONI15757 pep chromosome:Prunus_persica_NCBIv2:G3:4220873:4223329:-1 gene:PRUPE_3G059500 transcript:ONI15757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIHDLPELVLVEILCRVPCGKFIFQCKCVCKRWLTLISAPYFIDRFLRLQSHRKTPTVRTLISRNAEELFNRVSLSEVLTPMLKRLESFDHLETEPVVVGAYNDLILCCDSLFDQHNYYICNPYTMQWVALPPTPTRCYDIVRVGLICDSPYYEEDDPNGHVIQLNAKFRCKVVRILPPDRQFVFDHDEYCFNFNVEIFSFETGKWSELVVSSPRGISYDYLDAMAFSYNGVLYWSGHDYGYFLVLGFDPFNDNSSTSTSSGCSNGGDIIDHKCRFVVFAMPVADHFVVECLGVCGGLLRMCDFDSDTKTLFVWDLKRQDHDYMVKGAGELCLSKHNVYSFDQDMYPDDARVVERLSFDPNNEDIFYLYVDADVIMCNIRTRKWSKIVEKRKLNNFYFFPFTLPWWPTPVPSLPQHAHQLAHGGETSS >ONI19672 pep chromosome:Prunus_persica_NCBIv2:G3:26090347:26093889:1 gene:PRUPE_3G290900 transcript:ONI19672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEELRKKLTPLFDAEKGFSTGSTLDLDPCDSYTLSDSGTVNLLSRSYGVYNINELGLQKCTTLAVDDSSEKTYRCGSHEMRVFGAIGSGASSVVQRAIHIPTHRILALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFHGAFYTPDSGQISIALEYMDGGSLADILRLRKRIPEPLLSSMFQKLLHGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYTANEGPVNLMLQLLSHPFITKYEDSQVDLAVFVRSVFDPTQRMKDLADMLTIHFYLLFDGPDELWQHTRTLYSEDSVFSFSGKQLVGPNDIFASLSSIRSTLAGDWPPERLVHVVEKLQCRAHGQDGVAIRVSGSFIVGNQFLICGDGVQVEGLPSIKDLSIDISSKRMGTFREQFIMEPSNIIGRYSISKQELYIMQ >ONI19673 pep chromosome:Prunus_persica_NCBIv2:G3:26090484:26093288:1 gene:PRUPE_3G290900 transcript:ONI19673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRASPRAQPWTWTLAILTRRSYGVYNINELGLQKCTTLAVDDSSEKTYRCGSHEMRVFGAIGSGASSVVQRAIHIPTHRILALKKINIFEKKRQQLLTEIRTLCEAPCYQGLVEFHGAFYTPDSGQISIALEYMDGGSLADILRLRKRIPEPLLSSMFQKLLHGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYTANEGPVNLMLQILDDPSPTPSKHNFSPEFCSFIEACLQKDADARPTAEQLLSHPFITKYEDSQVDLAVFVRSVFDPTQRMKDLADMLTIHFYLLFDGPDELWQHTRTLYSEDSVFSFSGKQLVGPNDIFASLSSIRSTLAGDWPPERLVHVVEKLQCRAHGQDGVAIRVSGSFIVGNQFLICGDGVQVEGLPSIKDLSIDISSKRMGTFREQFIMEPSNIIGRYSISKQELYIMQ >ONI19671 pep chromosome:Prunus_persica_NCBIv2:G3:26090347:26093889:1 gene:PRUPE_3G290900 transcript:ONI19671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEELRKKLTPLFDAEKGFSTGSTLDLDPCDSYTLSDSGTVNLLSRSYGVYNINELGLQKCTTLAVDDSSEKTYRCGSHEMRVFGAIGSGASSVVQRAIHIPTHRILALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFHGAFYTPDSGQISIALEYMDGGSLADILRLRKRIPEPLLSSMFQKLLHGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYTANEGPVNLMLQILDDPSPTPSKHNFSPEFCSFIEACLQKDADARPTAEQLLSHPFITKYEDSQVDLAVFVRSVFDPTQRMKDLADMLTIHFYLLFDGPDELWQHTRTLYSEDSVFSFSGKQLVGPNDIFASLSSIRSTLAGDWPPERLVHVVEKLQCRAHGQDGVAIRVSGSFIVGNQFLICGDGVQVEGLPSIKDLSIDISSKRMGTFREQFIMEPSNIIGRYSISKQELYIMQ >ONI17502 pep chromosome:Prunus_persica_NCBIv2:G3:18239737:18240027:1 gene:PRUPE_3G163200 transcript:ONI17502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGISKPAIRKARQKRMQIAAGHELTTIHPDILCQGILSFFEPAHKQQSWASWPQARLNPDEIPWSESES >ONI18867 pep chromosome:Prunus_persica_NCBIv2:G3:23653143:23653839:1 gene:PRUPE_3G244700 transcript:ONI18867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSEKVSYHAGEAKGQAQEKASGVMDMANNAAQSAKETMQAAGQNVQATAIGAADAVKNATGMNKK >ONI15799 pep chromosome:Prunus_persica_NCBIv2:G3:4458995:4460835:1 gene:PRUPE_3G062500 transcript:ONI15799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRQILFSTRAILEPYSTTGSAKFSTKSNPYLVKVGIPEFLNGIGNGVESHVSKLESEIGDFQKLLVTRTLKLKKLGIPCKHRKLILKYTHKYRLGLWRPRAQAVKS >ONI15800 pep chromosome:Prunus_persica_NCBIv2:G3:4458987:4460835:1 gene:PRUPE_3G062500 transcript:ONI15800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRQILFSTRAILEPYSTTGSAKFSTKSNPYLVKVGIPEFLNGIGNGVESHVSKLESEIGDFQKLLVTRTLKLKKLGIPCKHRKLILKYTHKYRLGLWRPRAQAVKS >ONI15798 pep chromosome:Prunus_persica_NCBIv2:G3:4458743:4460835:1 gene:PRUPE_3G062500 transcript:ONI15798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRQILFSTRAILEPYSTTGSAKFSTKSNPYLVKVGIPEFLNGIGNGVESHVSKLESEIGDFQKLLVTRTLKLKKLGIPCKHRKLILKYTHKYRLGLWRPRAQAVKS >ONI15858 pep chromosome:Prunus_persica_NCBIv2:G3:4765303:4768151:1 gene:PRUPE_3G066100 transcript:ONI15858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKIGTLGVGFLVLLALSSAAVSAREEMLGSQPSSQNITGKEVQSHLGYKHVWPDIRFGGKIAVGTMIAFVGAAFGSVGGVGGGGSFIPMLTLIIGFDQKSSIAVSKCMITGTATATVMYNLRRRHPTLDLPIIDYDLALLFQPVLVLGISIGVSLNVVLSDWMITILLFIVLLGVSTKSFFKGVETWKRETITKKAGFKLFFVPQVDYVEDKYIPGGPSNGTLTETKEPERTAVSVLDNVRWKELGVIVAVWIIILALQIVKNYVTKCSLTYWLVELSQIPVAVGVTSYQAVKLYKGRRVIASKGEETTKWPLYKLVFYCACGTAAGMLGGLLGLGGAFMLGPMFLEMGIPPQVSSATATFAMTFSSSMSVVEYYLLNRFPVPYALYFAAVTTVSAIAGQHVAGKVIKKLGRASLIIFILAFTVFVSSLMLGGIGIKNMIKNIEHKDSLGFQNICT >ONI18864 pep chromosome:Prunus_persica_NCBIv2:G3:23645672:23646328:1 gene:PRUPE_3G244400 transcript:ONI18864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSQKMSYHVGEAKGQAQEKAGGMMDKANNAAQSTKETMQDVGQNVQAKAQGAADAVKNATGMNK >ONI15097 pep chromosome:Prunus_persica_NCBIv2:G3:1857795:1860784:-1 gene:PRUPE_3G025500 transcript:ONI15097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGAHMAMARLMRAPNPTPTFFVALFIAVLSCMVMLPFGSPMATTAEALMRFKKTLQLGNESATLSSWDPNKDPCNGNKVNWVGVLCFNGNVRGLQLENLGLQGKLDLEPLTRLPYLKTLSFMNNSLAGPLPDLKNLKLRSVYLSYNHFSGEIPDDAFEGMILLRKLYLGNNQFTGKIPSSLTTLPKIFDVGLEENKFSGQIPEFRQKGLKRLNLANNELEGPVPESLSKLDPSSFSGNGKLCGPPLAGKCSDPPPPASPPSPSAPPSECSGDSCGTSKKPSSGLKIALIVVSILLLLALIAVILIFLNKKRQQSELDVAESLDDSASKYTAAGGSSQMDVKSVEATPHPKKGDHGKLSFVRDDRQRFDLHDLLRASAEILGSGTFGASYKALIMTDAVVVKRYKQMNNVGREEFHEHMRRLGRLTHPNLLPLVAYYYRREEKLLVSDFVENGSLASHLHGNHNSDQPVLDWPIRLRVIKGIARGLTYLYSALPSLVVPHGHLKSSNVLLDENFEPLLNDYALLPVINMEQAQHLMMAYKSPEYAQHRRITKKTDVWCLGIIILEVLTGKFPENYLKQSFDSRADLASWVNGMIKEKRTSEVFDVEMGGVGSSKGELLKLLKIGVKCCEEDVERRLDLTEVVEKIDELNEGESDGDYRSSVSSEGDDYTSQVV >ONI17833 pep chromosome:Prunus_persica_NCBIv2:G3:19775251:19776486:1 gene:PRUPE_3G181700 transcript:ONI17833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEGAWRRGLFPGFVFGSTMGRLQCSTEMAKVSIEMGLASSGEVPVVFLGSPRSLARPYQRGKNYDLMLNLQLGIRYSIGKHASIVRDLKPSDFNPKEKFWTRFPPEGSNKTPPHQSFEFRWKDYCPMVFRHSFTV >ONI16126 pep chromosome:Prunus_persica_NCBIv2:G3:5888771:5890154:1 gene:PRUPE_3G079800 transcript:ONI16126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQNQDLSHKAGELTGQAQVKKDEFLNQASDMSQSIQNKASNASQSVQNKASNASQSVQNKASNASQSAQNKASNASQSVQNKASNASQSAQDKTSDASHTAQDIKDQATNLLQQTSEQVKNMAQGAAEAVKSTLGMNNPNDPNSNPSNANMSPSINPSNPRI >ONI17988 pep chromosome:Prunus_persica_NCBIv2:G3:20311941:20313892:1 gene:PRUPE_3G190400 transcript:ONI17988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAVRDRPHVVCLPVPLQSHIKAMLKLAKLFHHRGFHVTFVNTEFNHKRFLQSLGPNSLDGLPDFRFETFPDGLPVSSDEDTSQDIFLLFNSMRDNFLPLFLNLLKELNDRAASGNTNPPVSCIVSDGLMPFPITAAEELGIPIAMFFTISAGGFMGSKQYPALVEKGLAPLKDESYFTNGFLDKVIDWIPGMKGIHLRELPTVFHITNPDNIFFKLTVETMDRVDKASAVVLLTFDALEQEILDALSSMLIPPIYTIGPIELLLVNQIPEDPLKSVGYSLWKEETECLQWLNSKEPNSVVYVNFGSLAVMTPELVVEFGWGLANTDYPFLWVIRPDFVAGESAIFPPEFVAETKGRGVIVNWCPQEQVLNHPSVGGFLTHSGWNSTIESLSAGVPMICWPLFADQPTNSWCTCNQWGSGMEMDKNDKREEVEKIVRELMEGEKGKTMKSKAIEWKKLAEEATGPQGSSSTNLNNLVNQMLLRKI >ONI17969 pep chromosome:Prunus_persica_NCBIv2:G3:20218139:20219696:1 gene:PRUPE_3G188800 transcript:ONI17969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIEVQGNKPHAVCIPFPLQSHIKATLKFAELLHHRGFHISFVNTEFNHKRFLKSLGLNSLDGLPDFRFEAIPDSLPDSNEDTTQDVTLLAESVGKNLFAPFHALLAILNNDAIETSSNPPVTCIVSDGFMSMFTITAAEEIGAPIVLFYTIAACSFMGLKQLRALVEKGLAPLKDESCLTNGYLDTEDFKSRKKIHFAT >ONI19015 pep chromosome:Prunus_persica_NCBIv2:G3:24282958:24284751:1 gene:PRUPE_3G253600 transcript:ONI19015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSSVKVVEVCRVAPPPPSSPGAFASPSSLPLTFFDIRWLRFGPVQRLYFYQMPTSSATPLFFDSVLIPRLKTSLSNTLQHFLPLAGNLTWPQDSQKPVLSYVQGDAISLTIAESNADFYHLSSGDLVEATEYHPLVPELGASHEQAAVVALQITVFPNCGFSIGTSMHHAILDGKTSTLFVKSWAHICKHDDQSNSVLPDQLKQLYDRRVIQDPAQLGVLYSNSYRNKDGPNNRSLMFWERKPPPGSIRGTFEFTRADIETLRQLLKAKLAEQKQEDIRSVHVSTFTLACAYTWVCIVKAEEINSDQTRLAFNVDCRSRLDPPISPNYFGNCIAGRTAVAETKALFGEDGLVVAVNAISEAIKSLEKGVLDGAENWVSRLFAVTSERMLTLAGSHRFGVYETDFGWGRPKKVEIVSIDRTRAISFSDAKTDAGVVDVGLVLDKHSMQVFASLFAKGLQNP >ONI15280 pep chromosome:Prunus_persica_NCBIv2:G3:2567426:2571589:1 gene:PRUPE_3G035300 transcript:ONI15280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFRGLLQASLNATKKALTWNVEDLVPPTERYIFNFNSKDELKKWHLYSDSEYGGLSSASLEIPDTGNGPNGIFSGNLSLDFIEGSKLKINRSGFCGMRSKKFDGFIDLDPYDTVALKVRGDGRCYISTIYTENWVNSPGQEEDNSWQAFVFVPKDNWYIAKIPLARYLPTWRGNVIDAEMEMNPSRVVGMSLSVNAEGGLPGARVGPGDFKLEIDWIKALRTQ >ONI16169 pep chromosome:Prunus_persica_NCBIv2:G3:6078878:6080751:-1 gene:PRUPE_3G082100 transcript:ONI16169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETMGNPLHLKSLNHISLICRSVEQSIDFYQNVLGFVPIRRPGSFNFDGAWLFGYGIGIHLLQSEDPESMPKKTEINPKDNHISFQCESMGAVEKKLKEMELKYKRAMVEEGGIHVDQLFFHDPDGFMIEICDCDNLPVIPIAGEIARSCSLVNRPMLQQKQQQQLRLLQQ >ONI18242 pep chromosome:Prunus_persica_NCBIv2:G3:21261053:21261767:-1 gene:PRUPE_3G204500 transcript:ONI18242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGRPIIPYNIKNNNKSPLLLLGNGSNGLHHFSPAEIEVSQILLEMATLIYECSNQNMKHGKSQDQAELSMVHDHGRRHHRTADVDVINTNRVVFDIIPDLNVPLSLEEEESAAVEQGKTLN >ONI14812 pep chromosome:Prunus_persica_NCBIv2:G3:661898:674175:-1 gene:PRUPE_3G010500 transcript:ONI14812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKDASLLLFFVAISWVSTSFADSIHGCGGFVEASSSLIKARKPTDAKLDYSHITVELRTVDGLLKDSTQCAPNGYYFIPVYDKGSFVIKINGPEGWSWNPEKVPVVVDHTGCNGSEDINFRFTGFSISGRVVGAVGGGSCSVKNGGPSNIEVELLSDTGDVVSSVPTSAGGNYLFKNIIPGNYELRSSHPDLKVEIRGSTEVKLGFGNGVVDDIFYVPGYDIRGFVVSQGNPILGVHVYLYSDDVLEVDCPQGSGIASGMRKALCHAVSDAHGMFVFRSIPCGTYELIPYYKGENTVFDVSPPVMSVTVEHQHVTVPQKFQVTGFSVGGRVVDGNDVGVEGVRIIVDGHERSITDKQGYYKLDQVTSNRYAIEATKEHYKFSSLNDYLVLPNMASVVDIKAVSYDVCGVVQMTSSGYKAKVALTHGPENVKPQVKQTDGSGSFCFEVPPGEYRLSALAASPESASGLMFLPSYIDVVVKSPLLDVKFSQALVNVRGTVACKEKCGASVSVTLVSLAGKRNEERTVSLTDKSSEFLFQNVIPGKYRFEVKHNSEEPAAVEDNWCWEQSFIDVDVGLDDVKGIEFVQKGYWVNAISTHDVDAYMTLPDGSSVNLKIKKGSQNICVEYPGVHELHFVNSCVFFGSLSIEIDTLNPSPIYLKGQKYLLKGQISVASSSFDGFNELPENFIVDILSSGGSIIDGTTARLTSSENDQSAAVYEYSVWANLEEKLTFVPRDSRNNEMGKILFYPKQHHVVVTNDGCQASILPFSGRLGLYIKGSVSPPLSDVHIKILAAGDSRIAQLKDGELVLETTTGKDGSFVGGPLYDEITYSVEASKPGYHLKKVGPHSFSCQKLGQISVNIYSKDDAKEPIPSVLLSLSGDDGYRNNSVSGAGGTFLFNNLFPGTFYLRPLLKEFAFSPPALAIDLGSGESREAVFQATRVAYSAMGVVTLLSGQPKEGVLVEARSESKGFYEETVTDSSGSYRLRGLLPDTTYVIKVVKKDGLGSAKIERASPESVTVKVGYEDIKALDFLVFEQPETTILSCHVEGKRIEELHSHLLVEIKSSSDVSRIESVFPLPLSNFFQVKDLPKGKHLLQLRSSLPSSSHKFESEIIEVDLEKHTHIHVGPLRYMFKEDHHKQDLTPAPVFPLIVGVLVIALFVSIPRLKDLYEATVGIPTPGFTTTAKKEVRRPILRRKAY >ONI14813 pep chromosome:Prunus_persica_NCBIv2:G3:662755:674175:-1 gene:PRUPE_3G010500 transcript:ONI14813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKDASLLLFFVAISWVSTSFADSIHGCGGFVEASSSLIKARKPTDAKLDYSHITVELRTVDGLLKDSTQCAPNGYYFIPVYDKGSFVIKINGPEGWSWNPEKVPVVVDHTGCNGSEDINFRFTGFSISGRVVGAVGGGSCSVKNGGPSNIEVELLSDTGDVVSSVPTSAGGNYLFKNIIPGNYELRSSHPDLKVEIRGSTEVKLGFGNGVVDDIFYVPGYDIRGFVVSQGNPILGVHVYLYSDDVLEVDCPQGSGIASGMRKALCHAVSDAHGMFVFRSIPCGTYELIPYYKGENTVFDVSPPVMSVTVEHQHVTVPQKFQVTGFSVGGRVVDGNDVGVEGVRIIVDGHERSITDKQGYYKLDQVTSNRYAIEATKEHYKFSSLNDYLVLPNMASVVDIKAVSYDVCGVVQMTSSGYKAKVALTHGPENVKPQVKQTDGSGSFCFEVPPGEYRLSALAASPESASGLMFLPSYIDVVVKSPLLDVKFSQALVNVRGTVACKEKCGASVSVTLVSLAGKRNEERTVSLTDKSSEFLFQNVIPGKYRFEVKHNSEEPAAVEDNWCWEQSFIDVDVGLDDVKGIEFVQKGYWVNAISTHDVDAYMTLPDGSSVNLKIKKGSQNICVEYPGVHELHFVNSCVFFGSLSIEIDTLNPSPIYLKGQKYLLKGQISVASSSFDGFNELPENFIVDILSSGGSIIDGTTARLTSSENDQSAAVYEYSVWANLEEKLTFVPRDSRNNEMGKILFYPKQHHVVVTNDGCQASILPFSGRLGLYIKGSVSPPLSDVHIKILAAGDSRIAQLKDGELVLETTTGKDGSFVGGPLYDEITYSVEASKPGYHLKKVGPHSFSCQKLGQISVNIYSKDDAKEPIPSVLLSLSGDDGYRNNSVSGAGGTFLFNNLFPGTFYLRPLLKEFAFSPPALAIDLGSGESREAVFQATRVAYSAMGVVTLLSGQPKEGVLVEARSESKGFYEETVTDSSGSYRLRGLLPDTTYVIKVVKKDGLGSAKIERASPESVTVKHFAKFVGWLRGYQSIRFSGL >ONI14811 pep chromosome:Prunus_persica_NCBIv2:G3:661296:674401:-1 gene:PRUPE_3G010500 transcript:ONI14811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKDASLLLFFVAISWVSTSFADSIHGCGGFVEASSSLIKARKPTDAKLDYSHITVELRTVDGLLKDSTQCAPNGYYFIPVYDKGSFVIKINGPEGWSWNPEKVPVVVDHTGCNGSEDINFRFTGFSISGRVVGAVGGGSCSVKNGGPSNIEVELLSDTGDVVSSVPTSAGGNYLFKNIIPGNYELRSSHPDLKVEIRGSTEVKLGFGNGVVDDIFYVPGYDIRGFVVSQGNPILGVHVYLYSDDVLEVDCPQGSGIASGMRKALCHAVSDAHGMFVFRSIPCGTYELIPYYKGENTVFDVSPPVMSVTVEHQHVTVPQKFQVTGFSVGGRVVDGNDVGVEGVRIIVDGHERSITDKQGYYKLDQVTSNRYAIEATKEHYKFSSLNDYLVLPNMASVVDIKAVSYDVCGVVQMTSSGYKAKVALTHGPENVKPQVKQTDGSGSFCFEALVNVRGTVACKEKCGASVSVTLVSLAGKRNEERTVSLTDKSSEFLFQNVIPGKYRFEVKHNSEEPAAVEDNWCWEQSFIDVDVGLDDVKGIEFVQKGYWVNAISTHDVDAYMTLPDGSSVNLKIKKGSQNICVEYPGVHELHFVNSCVFFGSLSIEIDTLNPSPIYLKGQKYLLKGQISVASSSFDGFNELPENFIVDILSSGGSIIDGTTARLTSSENDQSAAVYEYSVWANLEEKLTFVPRDSRNNEMGKILFYPKQHHVVVTNDGCQASILPFSGRLGLYIKGSVSPPLSDVHIKILAAGDSRIAQLKDGELVLETTTGKDGSFVGGPLYDEITYSVEASKPGYHLKKVGPHSFSCQKLGQISVNIYSKDDAKEPIPSVLLSLSGDDGYRNNSVSGAGGTFLFNNLFPGTFYLRPLLKEFAFSPPALAIDLGSGESREAVFQATRVAYSAMGVVTLLSGQPKEGVLVEARSESKGFYEETVTDSSGSYRLRGLLPDTTYVIKVVKKDGLGSAKIERASPESVTVKVGYEDIKALDFLVFEQPETTILSCHVEGKRIEELHSHLLVEIKSSSDVSRIESVFPLPLSNFFQVKDLPKGKHLLQLRSSLPSSSHKFESEIIEVDLEKHTHIHVGPLRYMFKEDHHKQDLTPAPVFPLIVGVLVIALFVSIPRLKDLYEATVGIPTPGFTTTAKKEVRRPILRRKAY >ONI19722 pep chromosome:Prunus_persica_NCBIv2:G3:26247756:26249491:-1 gene:PRUPE_3G293900 transcript:ONI19722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESTPSGAAAWEDDEDWELVNEDGFVFKRRKKRRVDPSADSSLRAPPSASAADLQEDAEKLRRERKKKSLLKLKTQYQAEIDQWELLSSTLRAVEERTCHLQEQQQQHRLNEQEREKTASLAGSGPSELQGAESVCGSVVDELLLQAEAQEAIIGDVSNLCDIAEAMCSEQEEQITQSLLDLPIWGSPQELMNSLCDP >ONI18827 pep chromosome:Prunus_persica_NCBIv2:G3:23509616:23510836:1 gene:PRUPE_3G241900 transcript:ONI18827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSKEPPTAIKMCRYQDWEDDLPDSEVHDEYPGRDAVTAFIRQIEQQINEISGFLDNMKGDSSKKPNKFWSGFLSGICFTILLNYVIKYVTSNS >ONI18828 pep chromosome:Prunus_persica_NCBIv2:G3:23509502:23510836:1 gene:PRUPE_3G241900 transcript:ONI18828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRYQDWEDDLPDSEVHDEYPGRDAVTAFIRQIEQQINEISGFLDNMKGDSSKKPNKFWSGFLSGICFTILLNYVIKYVTSNS >ONI19956 pep chromosome:Prunus_persica_NCBIv2:G3:26827966:26828178:-1 gene:PRUPE_3G307600 transcript:ONI19956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADWGPVIVAVVLFILLSPGLLFQLPARTRVMEFGNMMTSGIAILVHAVIYFCILTILIIAIGIHIHVN >ONI17397 pep chromosome:Prunus_persica_NCBIv2:G3:17511615:17516185:-1 gene:PRUPE_3G156700 transcript:ONI17397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIQHKHVEVRGLKLHVAEIGSGPKAVVFLHGFPEIWYTWRHQLVSVASKGYRAIAIDFRGYGLSEQPAEPENDSFIDLVHDVVALLDSLAIDKAFLVGKDFGALPAYLVAALHPERVSGVITLGIPFMLPGPSAVQNHLLPEGFYISRWQEPVGRAEADFGRFDVKTVIRNIYILFSRSEIPIAAADQEIMDLFDPATPLPPWFSEEDLSVYASLYEKSGFRYPLRVPYRTLAVDCGLTDPKVSAPSLLIVGEKDYVLKFPGIEDYIRTGAVKHFVPDLEITYMAEGNHFVHEQFPEEVNQLVVSFLDKHGI >ONI18448 pep chromosome:Prunus_persica_NCBIv2:G3:21888473:21891261:-1 gene:PRUPE_3G216700 transcript:ONI18448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVESREWYLASYAPEGVPTSDHLKLRTVTLSLDSIPERHVVLETLFISVEPYLRSRVTGREDGLYTPQFNLNEVLSTFGVGRVIQSKDCDYVEGDIVVSPFTPFAEYGVVPSQLLTRKVDPNDGIPLPEYISLLGVPGFAAWVGIEVIADPKPGSNVFISAAAGAVGMYAGQLAKLRGCRVIGSTGSDEKVRLLKEEFGYDDAFNYHTETDFDAALSNYFPNGIDVYLDNVGGKMLEAVLNHVNKNAKIPLCGMISGYNKVWTEREGVRNLLNLIGKEVNMQGFMVGSYLHRFGDFAKDMESHLKQGKIGSKLKIFHGIETFLESLGSLFTSSNVGKVIVQVK >ONI18447 pep chromosome:Prunus_persica_NCBIv2:G3:21885887:21891202:-1 gene:PRUPE_3G216700 transcript:ONI18447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVESREWYLASYAPEGVPTSDHLKLRTVTLSLDSIPERHVVLETLFISVEPYLRSRVTGREDGLYTPQFNLNEVLSTFGVGRVIQSKDCDYVEGDIVVSPFTPFAEYGVVPSQLLTRKVDPNDGIPLPEYISLLGVPGFAAWVGIEVIADPKPGSNVFISAAAGGVGMYAGQLAKLKGCRVIGSTGSDEKVRLIKEEFGYDDAFNYHKEKDFDAALSKYFPNGIDVYLDNVGGRMLEAVLNHVNKHAKIPLCGMISEYNKVWTEREGVRNLLNLVGKEVHMQGFMLGSYMDRFGDFAKEMEGHLKHGKIGSKIKIFHGIDKFLESLGSLFTSCNIGKVVIQVKE >ONI16694 pep chromosome:Prunus_persica_NCBIv2:G3:9808577:9812288:1 gene:PRUPE_3G116000 transcript:ONI16694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTTQTITMTSLTSAKLCFLPYCLVILLYVSSPNWVAFPFATSTSNTEAKALLKWKASLFPNQALNHLTWYDPPIHNINATNSSSSNPKPRTSPCTWTGVSCNSAGSVRMINLSTCGIQGTLHEFSFLSFPNLEYLDLSLNKLFDAIPPQISYLSKLHHLDLSQNNLSGRIPPEIGLLRNLRFLRLSVNTFLGEIPKEIGNLKSLVDLRLSYNTLSGLIPSNIGNLIKLNTLYLVEDQLSGLIPMEIWNLKSLIDLKLSYNNLSGLIPPNIGNLINLNTLYLGENQLSGLIPKEIGNLKYLMDLQLSRNQLNGSIPVSFANLSNLETLYLRDNQLFGSIPQELENLKNLTRLRLDTNQLSGYLPPNICQGGKLVNLSVHRNYLTGPIPKSLKNCMSLVRVRLDQNQLTGNIFEDFGVYPNLDFMNISHNNLYGEISHNWGQCPKLKTLIMAGNNLTGSIPPEIGNATQIHVLDLSSNHLVGLIPKEFGRLASLERLMLNGNQFSGRIPSEFGSLNDLEYLDLSTNKFNESIPSILGDLLKLHYLNLSNNKLAQAIPFKLGKLGQLNYMDLSHNSLEGKIPSEMGSMQSLVTLDLSHNNFSGSIPSSFEEMRGLSYIDISYNHLEGPLPNISAFREAPPERLKGNKGLCGNVRALLPPCNAHGSKKDHKVIFSVLAVFVLLSALFTIVFVIVQRKKKHQDTKQNHMHGEISFSVLNFDGKSMYEEIIRATEDFDSTYCIGKGGHGSVYKVNLSSGDVVAVKKLHLPWDGETEFQKEFLNEVRALTEIRHRNIVKLYGFCAHKRHSFLVYEYLERGSLAAMLSKDEEAKELGWIKRVNIVKGLAYALSYMHHDCLPSIVHRDISSKNILLDSEYEACVSDFGTAKFLNPDSTNWTAAAGTHGYMAPELAYTMEVNESCDVYSFGVVTLEIIMGKHPGDLFSSFSSVSSSSSSLSSSALPAHQIPIVDVLDQRIYPPTHQVASEVVSLVKIAFSCLNSSPKSRPTMKQISHFLSTQMLHLSKPVHMMTCGELLELDPLAT >ONI15735 pep chromosome:Prunus_persica_NCBIv2:G3:4114428:4116134:-1 gene:PRUPE_3G058100 transcript:ONI15735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIMVLLLTAAASLGFVVEAQIGLPPPPMPGLPPPIIPPGFPNPNIPGLFPPITPPGLPDPYLPGLTPPGFPNPYLPGLTPPAFPNPNLPSLTPPAFPNPNLPGLTPPAFPNPLIPGLSPPTTPSAPVPSPPPYFNPLDPNIPLPPYSPQPSPSVEAPANSPQPSTFTLPPELYKNIGKAPPFVIAPNTPLPPGVVIPPPPPLNTPPPPGWSAEKIALKTSICGVSCAYECQAPAVWSTPTAKNTNGLFRGRGGGGGGGGFFRPVRPVRPVTPVPVPVTPVPVIPSPVIRPPPIPTPTKPNPPSPPSPVAPAKPNPPSTPPTGPSPPSPPSPAAPAKPNPPPSPPTGPSPPGSPTGPSPPGAPAGPSPPGSPASPAKPGPPASPSPPGSPSAPSPPGSPAAPSSPGTPVTPVTPASPVDPVHPVDPNHPVPGYRYSRKRGMNQAGCVAACMLQCNQDYLLSLVP >ONI16202 pep chromosome:Prunus_persica_NCBIv2:G3:6218002:6221212:-1 gene:PRUPE_3G084300 transcript:ONI16202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSEGNILVERFNGVPAEERLHWRSFLVKLGAENLRGVKNEELLVACHKSVYIVYTVLGDVSIYVVGKDEYDELAWVAGEYGKRQNQETNKVKASY >ONI16201 pep chromosome:Prunus_persica_NCBIv2:G3:6218406:6220935:-1 gene:PRUPE_3G084300 transcript:ONI16201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSEGNILVERFNGVPAEERLHWRSFLVKLGAENLRGVKNEELLVACHKSVYIVYTVLGDVSIYVVGKDEYDELALSEVIFVITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRIKRLIRLKPPTEF >ONI20078 pep chromosome:Prunus_persica_NCBIv2:G3:27144538:27146200:1 gene:PRUPE_3G313900 transcript:ONI20078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEMEGNSGNEEESDIIGGGGGKVNLSASEIEYVSYEGEDHLPLIMGLVDQELSEPYSIFTYRYFVYLWPQLCFLAFHRGKCVGTVVCKMGEHRNTYRGYIAMLVVIKPYRGKGIATQLVTRSIQVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFRYYLNGVDAFRLKLLFPPRPDLLSQSQSQSQSSPPLHCNLHE >ONI16613 pep chromosome:Prunus_persica_NCBIv2:G3:9015014:9015914:1 gene:PRUPE_3G110100 transcript:ONI16613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKSIPLFFLLFCLLHHAALGASPLFHFCFSKENYTANSPYGANLIQLFNLLYTKVPPTGFGLGSTGEGQNQANGLALCRGDVSSQDCKTCVVEASKELGERCPSRKGAIIWYDNCLFKYSNVNFAGKIDNNNRFYMWNVQEVDDPKPFNAKVEELLSGLSTKASSNPKFYATGELELSSSETLYGLTQCTRDLSSSDCKKCLDGAISELPNCCDAKRGGRVVGGSCNFRYELYPIVDP >ONI16592 pep chromosome:Prunus_persica_NCBIv2:G3:8727736:8728076:1 gene:PRUPE_3G108600 transcript:ONI16592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHGSQRWFALQAYTLVFPSVSLETFKPNKERHFAYIYKNETNPTHRLNIFQTRCFRLLENSKKVILFQLFSFFSLAFSPSCASRLG >ONI20055 pep chromosome:Prunus_persica_NCBIv2:G3:27076962:27080178:1 gene:PRUPE_3G312700 transcript:ONI20055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLAREFKLNSCNDFLLKRTRLFSTRAGGGHGSRLEGKVALITGSASGLGKATAQEFIQQGAHVIIADTDSELGQQVAKELGPAAHFVQCDVSLESQIAEAVETAVARHGKLDIMYNNAGITGPAFPPSIADLDLEEFDRVMRVNVRGAVAGMKHAARVMVPAGAGSILCTSSISGVLGGLGPHPYSISKFTIPGLVKSVASELCRSGVRVNCISPGPIPTEMSVREIGQFYPGATREQVIEIVNGVGELKGAKCEEKDVAQAAVYLASDEAKYVTGHNLVVDGGFTCFKTLSFPPPDQFL >ONI20054 pep chromosome:Prunus_persica_NCBIv2:G3:27076936:27080178:1 gene:PRUPE_3G312700 transcript:ONI20054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLAREFKLNSCNDFLLKRTRLFSTRAGGGHGRSRLEGKVALITGSASGLGKATAQEFIQQGAHVIIADTDSELGQQVAKELGPAAHFVQCDVSLESQIAEAVETAVARHGKLDIMYNNAGITGPAFPPSIADLDLEEFDRVMRVNVRGAVAGMKHAARVMVPAGAGSILCTSSISGVLGGLGPHPYSISKFTIPGLVKSVASELCRSGVRVNCISPGPIPTEMSVREIGQFYPGATREQVIEIVNGVGELKGAKCEEKDVAQAAVYLASDEAKYVTGHNLVVDGGFTCFKTLSFPPPDQFL >ONI19083 pep chromosome:Prunus_persica_NCBIv2:G3:24517433:24517866:1 gene:PRUPE_3G257700 transcript:ONI19083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFLLLLFGIKMERLLDEQKHKKKPNSRLLMCMETQSQLNRATCEKMFMRMHVCMIPQLLDSPITFRKRKRKKMLSTSNKQESLARIIMFQLFSQ >ONI16278 pep chromosome:Prunus_persica_NCBIv2:G3:6605352:6607721:1 gene:PRUPE_3G089000 transcript:ONI16278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALISALLEQLGSIIRKQVEQEVRLVVGVEKEVAKLSHNFKAIQVVLEDAEERQVKELNVKYWLERLKDVSYEMDDVLDLWSTEILKQQIQQQEKAAGNAGSTSTTKKVRFCIPAPWFCFGRQVVLRHDIAVKIKELNERLALIASERQNSSFVGKTFGRVDEKDKVVEKLVSGSGQGGMGGIGKTTLAQLAYNDEKVQAHFNTRIWVCVSVPFDEIKIAKAIIEGLKKETPASNELQTLKSIIHESVKGKKFRLVLDDVWNQDYRKWEQLKLPLQNGAVGSRILVTTRKEEVARMVGAHHMVNLEVLSEENCWALFYHIALANREKNESKVLEFVGKEIVKKCKGLPLLAKTMGGLMCYKKTKKEWEDVLNSKIWKLDVVEEQVFQPLLLSYYDLAPAIKRCLLYCVIFPKNYEIAKNELIELWMSQNYLNSIENKEKEVVGKIYFDNLVTRSFFQEFKEDELGNIMGCKMHDVVHDFLQFLTKNECLVLESEGGNNKRIMEFDGYKKVCHLTLMFAPEGPLIPSSLCNCKNLRTLATFDSKITSFGRELISQVKCLRTLNLSHNSLKEVPNEVGELVHLRYLDLSENFDLMKLPDTMCNLINLQTLRLIRCWALKRLPEGMGKLINLQHLHVMGCVDLKLPKGIARLTSLQTLDEVHIHGDDDVDNNKEALFELSDLRNMDQLRGSFRIWFSTDLKDVSQAEKAHLVNKNCLVSLELSFFFEMWQPNPIQEETINALQPPPNLESLSIKLLIWHLDP >ONI17228 pep chromosome:Prunus_persica_NCBIv2:G3:16078123:16083974:1 gene:PRUPE_3G147200 transcript:ONI17228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFWPVVLFGMFGSLLGLILNHFLPLMLLRLNGSPPPEGSFGWPILGQTLTFLKPHPSNSLGAFLQHHCSRYGKVFKLHLFLSPTIVSCDQELNYFILQNEGKLFECSYPKPIHGILGKSSMLVAVADTHKRLRNVAVSLVTITKSKPEFLSDIEATTISILLHSWKDKSQVIFCEEARKFTFNVIVKQVLGLTPDEPQTTRILEDFLTFMRGLISLPLYIPGTPYARAVKSKEEDKHAAETSTNSSSSKRSDFLEILMDVDTLSEDEKVSFILDSLLGGYETTSLGLLWSKRSGLYINPDLLDHRNPRDLWSLTVGYYLIPSGWKVLPVFSAAHLDPSFLASALEFHPWRWESQDQTCKRFTPLGGGWRTENDDQPIAFPYVEFQRGLPLHLEHCPIN >ONI18580 pep chromosome:Prunus_persica_NCBIv2:G3:22402900:22405101:1 gene:PRUPE_3G224700 transcript:ONI18580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSAAKKRKLLILYNQKDQVDDDDESEKSNRSRSVDRISDLPDYVLHHILFLLPIKTVAQTSVLSKRWRSIWSSFPDLDFTTLTRTPTKPHSSSSSKSLSQNSKETDFITQVLTLRDKHSDIRILRFGSRLSFSRLNGLIRLAIRRNVQELDVEVATEDYFNFPRCVIASDSLRIFKLKSRYPGFRLPPSSVMTSGFKSLHSLSLSRIVLYNQPSLCDMFGESAFPMLKKLSLDACFGLKYLRIGCRALEDLTLENCFQLQGLDVLGGKLERLRIVRCFDAYADKSWVKIGGPRLRIILWENNAITDSSSLDNLSSLQEASIGFFLLQEDISVAKLQSVSNLFMGLYHAHCLTLDTQCIEILSNSNYFAVYLHPFNNLKSLELHTGFNKNNVPGLACIFRSSPMLHTLTLNIINDYKIERRQWNKDLWEMSNSEEEKFWESQTQALRSFLQNLTVVKIQGFLECENEVSLAKFLLKHGKALQEMILCTGHCKARYSLQRQKIRSQMMGFSWASSNAKIAFH >ONI18981 pep chromosome:Prunus_persica_NCBIv2:G3:24102788:24106083:1 gene:PRUPE_3G251000 transcript:ONI18981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNVPILTTQLYLSLFLHIITGLLVAARDSPPIYTPVEDITVKCGYSGNLLNEYDERHWHGDINSNFSPTTGSDTSMFREVPHSYTVHQVAYTTARLSRSEFTYSFKLTSGQKFIRLYFNPVSYGPDFDRSKALFSVKASGFTLLHDFNTSVTADAYGTETIYREFCLNVESSEQSLNITFTPSPRLASPDAYAFINGIEIVSMPTNLYFTAAQRFGVGLVGNNFNFHIENNSALETVYRINVGGKSLFFSEDTGMYRNWGSEQEEPKYLDDLSIEFSVLPQNTSIELDFAGIAEYYAPKEVYQTGRSMGMNKTINKSYNLTWNFPVDPKFYYLVRLHFCEFEPDIVQPRDRNFLINIANVVAEEEADIIMWSGGNGRPVYRDYLVFVTCPATSESQRKVNLSIALRANPYDFMTNFNDAILNGLEIFKLNDTNSNLAGHNPNPPPMLTPKKALSPSPERTNTELTRTPMLAIIAGVVSTVTIVMFLGLILVFKQQQKLKDSWYSSNRTTNSSLPSALCHYFSLAKIKAATRNFNDICIIGRGGFGNVYKGYIDGRATPVAIKRLKPESSQGAHEFKTEIEMLSQLRHRHLVSLIGYCVDESEMILVYDFMDRGTLSDHLYHKDNPSLLWEQRLEICIGAARGLHYLHTGAMCTIIHRDVKSTNILLEEKWIAKVSDFGLSKMGATTMSNAYISTVVKGSFGYLDPEYYRRQQLSVKSDVYSFGVVLCEVLCGRPAVMRMVERRQMSLAEWAKTCHRNGTLNEIVDPCLKGKVASLCFNKFVEIAMSCMHDNGIERPSMADVVRELEFALKLQRSADDDIDFNIVENNICEDEVAFIKDKEGSGESEQSCATDEFIKLIFETIFSEINNPDER >ONI16204 pep chromosome:Prunus_persica_NCBIv2:G3:6224301:6226764:-1 gene:PRUPE_3G084500 transcript:ONI16204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPIIFASLCLLIIPVVVFADCSGEAEATEAGQGHKPQALKLKVAAIAFVLFAGAMGVGIPILGKAIPCLNPGSSLFSIIKVFAAGVILATGFIHVLPDAFESLTSPCLKENPWRMFPFTGFVATVAAIGTLISNRVLELGTVVHSIIIGISLGVSGSPDTIRPLVAALAFYQFFEGIGLGGCIAQANFKSRATAVMALFFSLTTPIGVAIGIGISNIYTENSPTALVVEGVLNAASAGILIYMSLVDMLVAELMNSKLQSNIRLLAGANLSVLLGAGCISLLAIWS >ONI18606 pep chromosome:Prunus_persica_NCBIv2:G3:22559021:22563282:-1 gene:PRUPE_3G226200 transcript:ONI18606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVGKPDAQITSEHEIQCRKACLNSCQCQAFSYAGVNSTSRDTATSLCWTWSSDLNNLEEEYDNGHNLSARVALSSLVTRWCYVHPGNFSSQVSSGGTNAVELGWELPLEPACTISADCRGWPHSTCSPASDRKKRCLCNANYQWSGFNLNCTQEGNLQQTPKSQIEEQPRRKVPVSPILIVVAVVTSGIFLACIVCVYIWRRKITKRQDKINRAQLDSERRVQELIDTGEFKEEDEKGIDVPFFDLQSILDATENFSNANKLGQGGYGPVYKGKFLGDQEIAVKRLSRASGQGLQEFKNEVVLIAKLQHRNLVRLKGYCIKGEEKILLYEYMPNKSLDFFIFDHTKSIFLNWEMRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIVGGKETESNTNTVVGTYGYMSPEYALDGTFSVKSDAFSFGVVLLEIISGKKNTGFYQSQQTFSLISYAWRLWTKNEALELMDMTLDESCNKNQFIKCVNVGLLCVQEDPVDRPTMSNVLTMLDSEIAISPTPKQPAFLLSRGNYSSTASSSTKPETFAEISTSLEEGR >ONI17293 pep chromosome:Prunus_persica_NCBIv2:G3:16465867:16470636:-1 gene:PRUPE_3G150700 transcript:ONI17293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMGEILSAYCSDDFDMQLIGKFLSFASRGDRVGLNQMLIKGISPDVQDYDNRTALHLAASEGHTPIVELLLCYKANVNLKDRWKRTPLTDARLYGHRDICRILEVNGGNDLTNDQFTVRHEQEDSNDVKFDMSELKTEHSSTIQQGSFSESEKVKWRGTWVVKTVIKRHICNPVKMILSAKDNTRLRELRHPNILQFLGSIVQQEEMVLITEYLPKGNLEDILRQRARLDVHTALRYALDIARGMNYLHEHKPCPIVHNHLDTRNLLQDEGGHLKIGEYWVQMLYEQINPDQNGKRSGNPGNSTNILDGTKKDIRTFGFIYYQMLEGNHFMTDTNSDFIHQEPVDFKPKFHLSRCSYRIQQLIEGCTSNDPSEIPTFESVIGTLEEESASLGRPACPVIC >ONI18543 pep chromosome:Prunus_persica_NCBIv2:G3:22247751:22251784:-1 gene:PRUPE_3G221900 transcript:ONI18543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWKNGVNLEGQKLHALMVTSGNLLNNTYLSTKLAAFYANCGRMAQAQVIFDGILLKNSFLWNFMIRGYACNECSLKALVLYREMLSFGQKADNFTYPFVLKACGDLLLVETGRRVHSEVVVSGLESDIYVANALLAMYSKFGHMGLARMLFDRMLERDLISWNTMISGYVKNNNPRKALEVFEEMGKAGLKADGTTLLGILSACAELLALKLGKEIHAYVVRKSVEIHNEFLTNSLIEMYCNCKSLAYSRRLFDGVKWKDTVSWNCMIRGYEQNGDAFESLRLFCRMVMEGAEVDEVTIITILGACDQINALQFGMSVHSCLVKKGFGANIIVGTALIDMYSKCGSLSCSRRVFDEIPRKNLVAWSAMISGYGAHGRGEEAISCYHELVANNFTPDEGVLTSVLSACSHAGLVNEGKHIFNRMTIEYNVKPGLAHYSCLVDLLGRAGHVDEAYELIKTMEVKPSSDIWAAFLSACRLHKNVKLAEVSAQKVFEMHPKGVGSYICLSNIYASEKRWDDVERVRAMVRSKGLKKPPGCSFVEVDKMVHRFLVGDKSHPQTHDVYAKLKELNLRLTEAGYKPDTTSVFYDVEAEVKEKMLWDHSERLAIAFALINTGPGTTIRITKNLRVCNDCHTVTKMISELMNREIVMRDIHRFHHFRHGFCSCGDYW >ONI18876 pep chromosome:Prunus_persica_NCBIv2:G3:23696122:23696833:1 gene:PRUPE_3G245200 transcript:ONI18876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNYIEKLPLRDQGDSALFVLGAPDDQGKLATVYKSEKRNEMVKEGYRILGNSGDQWSDILGTSISMSIRPFKLPNPMYYIP >ONI17606 pep chromosome:Prunus_persica_NCBIv2:G3:18809442:18811276:1 gene:PRUPE_3G169100 transcript:ONI17606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCSFSLSLPLILLLLSLPSSIPSASTTNSHHANKQPPINAPKPINTIAPSEAEALFKIMDSMSSDQNWRISHPNPCQPGSSWPGIECKVGKDNYLHVSRLDFGTPPNPTCKKTATFPSQIFALPYLQSVFFFNCFTHTKTTLTVPQNRASPASLQQLSLRSNPALVGPIPPQISTLKSLEILTLSQNRLTGPIPLEIFSLGSLVHLDLSYNMLTGTIPYQLGSLRNLQGLDLSYNMLTGAIPNTIGQLGLLQKFDFSSNSLTGGIPDGIEKLSLLVFMALSNNKLGGQFPKGLEKLQSLQYFILDGNPIHSPLPLEFGKLVKLQELRLADSGYSGTIPESFSQLKNLSTLSLQNNRLMGEIPVGFGSLSHIYHMNLSRNMLGGVVPFNSSFLKRLGRNLDLSGNPGLCLSPSEAHSSKIGVNVCGKNNNASSIQPWKKSQAPSGLSKPLFLFTALCVWGLHQMLFLV >ONI17605 pep chromosome:Prunus_persica_NCBIv2:G3:18809442:18811369:1 gene:PRUPE_3G169100 transcript:ONI17605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCSFSLSLPLILLLLSLPSSIPSASTTNSHHANKQPPINAPKPINTIAPSEAEALFKIMDSMSSDQNWRISHPNPCQPGSSWPGIECKVGKDNYLHVSRLDFGTPPNPTCKKTATFPSQIFALPYLQSVFFFNCFTHTKTTLTVPQNRASPASLQQLSLRSNPALVGPIPPQISTLKSLEILTLSQNRLTGPIPLEIFSLGSLVHLDLSYNMLTGTIPYQLGSLRNLQGLDLSYNMLTGAIPNTIGQLGLLQKFDFSSNSLTGGIPDGIEKLSLLVFMALSNNKLGGQFPKGLEKLQSLQYFILDGNPIHSPLPLEFGKLVKLQELRLADSGYSGTIPESFSQLKNLSTLSLQNNRLMGEIPVGFGSLSHIYHMNLSRNMLGGVVPFNSSFLKRLGRNLDLSGNPGLCLSPSEAHSSKIGVNVCGKNNNASSIQPWKKSQAPSGLSKPLFLFTALCVWGLHQMLFLV >ONI19925 pep chromosome:Prunus_persica_NCBIv2:G3:26752150:26753397:-1 gene:PRUPE_3G305300 transcript:ONI19925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAHAIRKMQLGKAKSYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRQSNGQGRWPVKSANFILDLLKNAESNAEVKGLDVDSLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELVLSEKEEPVKKEVIYSFLV >ONI19924 pep chromosome:Prunus_persica_NCBIv2:G3:26751242:26753555:-1 gene:PRUPE_3G305300 transcript:ONI19924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAHAIRKMQLGKAKSYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRQSNGQGRWPVKSANFILDLLKNAESNAEVKGLDVDSLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELVLSEKEEPVKKEPETQLAPRKSRKGQALHSGASS >ONI18833 pep chromosome:Prunus_persica_NCBIv2:G3:23531680:23533164:-1 gene:PRUPE_3G242400 transcript:ONI18833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHAIDLINGKYTQPNNSHKNIFLLALTLVLLTTIPLCLNNISNSPLPSPKNNAGGSKSIEKEDQCQIFSGNWVPCPDGPAYYTNETCNLIIDEQNCMKFGRPDTEFMKWRWKPDACELPLFDAAQFLELVRGKSLAFLGDSVGKNQMQSLLCLLAIVAYPEDISHKYSSNTDYFKRYVYNDYNFTIATLWSPYLVKSRDADPSGHDINSLMNLYLDEPDQAWLTQVEQFDYVIVSAGQWFFRPLMYHENGRVIGCHKCEQDNMTSFVTSYGYRKAFQTVFRTLRSLKNYKGVTFLRTFSPSHFENGAWNEGGNCVRTSPFTKEETKLDGYVLEMYLTQVEELKAAEEQGLQRGLQFRLMDTTEAMLLRPDGHPNFYGHSPHRNMTLADCVHWCLPGPIDTWNEILLSMLKTGYRPSRL >ONI15782 pep chromosome:Prunus_persica_NCBIv2:G3:4388880:4392517:1 gene:PRUPE_3G061200 transcript:ONI15782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVHRTPKSGRQSLFFQDITSPVSARRGTISTPGQAAAVSALWRESGGGSDLPPPPLYTLEDRSDFSPESGIPDYPVSPEIKSDPRTPVHSFGRESLTPVKNRSEASTSYALSSGQHGQQGSASVNWWSSPKSGGEQDEKGRNSPVEGVVQPGALITLPPPREVARPEMQRNTLPAGNLNEEEWVTVYGFSPADTNLVLREFEKCGMILKHVPGPRDANWMHILYQNYFDAQKALSKNGMQINGALIIGVKPLDPMQRHALNERINNQGFMTLLPQPAMKHAELNASRAPPRSYYLQNGNTNARQSGGSIASPTKSMASKVMDLMFGV >ONI15681 pep chromosome:Prunus_persica_NCBIv2:G3:3882493:3887484:1 gene:PRUPE_3G055100 transcript:ONI15681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRTLKHFLLFAVLQFGGFALNLMLLVHAQDQSGFISIDCGLATNSSYTEKKTGINYISDEGFIETNESTRNCYKINVTRGTRYLIRASFLYGNYDDQYRLPEFQLHLGPNLWDSVKLENASTVANKELIHVPLLNYIHVCLVDTNKGVPFISAIELRTLPNNTYVAEAWSLALDSRYDTGQIANSKQLYRYPDDIYDRFWYGNDRDEWTQLNTSSSIDTNNTYQPSSVVMTTAATPKNVDDSLNIFWLPSDNSGKYYIYMHFAEVEKLQGNESRQLDITTNGEPYFGPFAPDYLSVSTIFSLSDWSGGQYITLSISKTGNSTLPPILNAFETYMVKDFSELETNQQDVNAITNIKSAHNIKRNWQGDPCSPQVYSWEGLNCTYYENGQQPRITSLDLSNNKLKGSIPEFLSHLPKLTILKLDKNKLTGSVPKGLIQRRKDGFLSFSFCENPNQSEQVPCELKKKHRTNIHLAVYILGFFILLSTILAVLWVVFKRKRQHEIKKNFYKNEFLESQGQRFTYSEIVKITNNFASIIGRGGFGKVYLGALKNETQVAVKLLGSSRQGSNEFRNEVILLMSAHHRNLVSLIGYCDEGDTMALVYDYVANGNLEQHISSDVGKNVLTWKERLQIAVDAARAHGRNIFAGLEYLHNGCKPPIVHRDLKTSNILLNEKLQAKIADFGLSKGLATESATHVSTAAKGTFGYLDPEYCSTGQLNKKSDIYSFGIVLLELITGRAAIIRDLEQLPIHICQWVRPKFEKIEIEIESIVDSRIQGTFLKSSAKKSIEIAMACVSSTSIQRPDITVVFNDLKECLEIEMPSEITNVTEAYESDESDDTISSSGSIIKTSHISSEAE >ONI19353 pep chromosome:Prunus_persica_NCBIv2:G3:25331783:25333231:-1 gene:PRUPE_3G273800 transcript:ONI19353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPADMFAHLGSTIGGLMFVWAIFQQYFPYELRRHIEKYSQRLVGYVYPYIQITFNEFTGERLMRSEAYSAIENYLSSKSSTQAKRLKADIKNNQSLVLSMDDHEEVSDEFKGVKVWWASGKNISKQQTFSYTPLNDEKRYYKLNFHKGQRELIIGPYLTHVLKEGKAIRVRNRQRKLYTNNGSHWSHVVFEHPATFKTLAMEPEKKQDIIDDLMAFSKAEEFYASIGRAWKRGYLLYGPPGTGKSTMIAAMANLLGYDLYDLELTAVKDNTELRRLLIETSSKSIIVIEDIDCSLDLTGQRRKRKEKVEEDEEKDPREKLAKEERETKPSQVTLSGLLNFIDGLWSACRGERLIVFTTNYVEKLDAALIRKGRMDKHIELSYCNFESFKVLARNYLKLEAHHLFPKVRGLLEQTNMTPADVAEHLMPKSLAGVAENCLHNLIQALEQEIENGKLKAEEEGKEKKSAAEEKDQDTEASSDRK >ONI17226 pep chromosome:Prunus_persica_NCBIv2:G3:16050082:16051942:1 gene:PRUPE_3G147000 transcript:ONI17226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKTTCMGVDAALTMTLILLTATIAMADDDTPVPADQSQVNTWFNNNVKPYTERQGTLDPALATAEAGQTVIKVMKDGSGQFKTITDAINSIPADNTKRVIVYIGEGEYEEKITIPRNKPFVTFYGSPTNMPTLTFTGTAQKYGTVNSATVIVESDYFMAANVIIKNSSPRPDGKAVGAQAVALRVSGNKSALYNCKLIGFQDTLCDDKGNHFFKDCFIEGTVDFIWGSGKSLYLNNELHVVGDNGLTVITAQARDSASDDTGYSFAHCNITGTGNGTYLGRAWRISPMVVFAYTSMSKVINPAGWSNDNHPERDNTVFYGEYKCSGPGSSVAGRVKYIKHMTDEQIKPFLSLDYIQGSKWLLPPPNPKV >ONI18149 pep chromosome:Prunus_persica_NCBIv2:G3:20890813:20893692:-1 gene:PRUPE_3G199700 transcript:ONI18149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCWSINVQKTNTSTHSSKENSISIANALSSVVMGYRKISQLCAAAADLTSGQDHALTMASHEDKLVGKISEIEKSLAALSTQQIQLFDLKKSISDELDLLRASRARSYKHGAVHPYPRLPMEGESSMTVNQGEHRSVFLMITFSKGNIGALYEVKFKYGGEVDDMDGRAALEPVVKFPCINECARIFNRFQLYVFTEDGCIRSFDTKTRELDPSLPLTLELKPSGTVVSAYDRLYFLEEASPFLADPLPSFAKYNPDENDWERMPEFPIRYPYPMWVTGYVVCYGLILYTLIDGHENSDVVAFHVGGMNWIRVEVDTCDYTPFRGRAVVVGETIYALDLFQVDEIIAFSLKMNKRDDDSIAYTLIKLCKLDGLKIASPPCPFDGLESDYFVHLGNQDFFHVKTGTNFEFYKVQYICITTFQIFVREDGIHMIKTLHSSVLPMDIEACGRFMLTFGFTTECEDYEPVEGKSAAST >ONI18150 pep chromosome:Prunus_persica_NCBIv2:G3:20890809:20893692:-1 gene:PRUPE_3G199700 transcript:ONI18150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCWSINVQKTNTSTHSSKENSISIANALSSVVMGYRKISQLCAAAADLTSGQDHALTMASHEDKLVGKISEIEKSLAALSTQQIQLFDLKKSISDELDLLRASRARSYKHGAVHPYPRLPMEGESSMTVNQGEHRSVFLMITFSKGNIGALYEVKFKYGGEVDDMDGRAALEPVVKFPCINECARIFNRFQLYVFTEDGCIRSFDTKTRELDPSLPLTLELKPSGTVVSAYDRLYFLEEASPFLADPLPSFAKYNPDENDWERMPEFPIRYPYPMWVTGYVVCYGLILYTLIDGHENSDVVAFHVGGMNWIRVEVDTCDYTPFRGRAVVVGETIYALDLFQVDEIIAFSLKMNKRDDDSIAYTLIKLCKLDGLKIASPPCPFDGLESDYFVHLGNQDFFHVKTGTNFEFYKVQYICITTFQIFVREDGIHMIKTLHSSVLPMDIEACGRFMLTFGFTTECEDYEPVEGKSAAST >ONI19056 pep chromosome:Prunus_persica_NCBIv2:G3:24450531:24452768:1 gene:PRUPE_3G256200 transcript:ONI19056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPHIVAIPYPAQGHVIPLMEFSQCLVNHGFKVTFVNTEHNHKRVVNALADESHISRNQLHLASLPDGLEPWEDRSELGQLTEALKWIMPGKLEELIENINQGEGDKVTCVIADENCAWALEVAEKMKLKRVAFWPASAAALTLIFGIPKLIHEEIIDNDGTVLKSKNVDLGPNMPTMNTKDFVWACIGDFTTQKMIFEVMLRINRTLKLVERLVCNSTYDFEPEAFTSAPEILPIGPLLASNRVRNSAGSFWPQDSTCLQWLDQQPLNSVIYVAFGSFTVFDQTQFQELALALELSQRPFLWVVRPDTTDKTCDPYPERYQERVASRGLVVGWASQQKVLAHPSIACFLSHCGWNSTIEGLSNGVPFLCWPYFADQFLNESYISDVWKVGLKFSKNEKGIITQGEINNKLEQLLGDENFKARASKLKELAMTSVKEGGQSNKTFKNFIEWIKS >ONI15637 pep chromosome:Prunus_persica_NCBIv2:G3:3739790:3744051:1 gene:PRUPE_3G052800 transcript:ONI15637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRMMEQKELKRMRGLEDLGMGVVSKKSGQTGGLLELVQQDSASLLDSNTRNGVPNGSPVNGSKGISSLKRKRSQVANANEVLKRKNRSRPLTKVLESTAMVSIPVTCDQFQNSCGSPLQGLSDGRVSGLESNESKGSLSVDHNGISCENGASTNAPERAFGASCINGKIKENEIPSISGLAENDSSDRLFDVPFAGEEKDPSGYSPIIAVCSSGKPQIGALGRQSSQSSQAEAVSLRDERINESGSTSSAALHDIGQSIEKGSSKWQSKGKRNSRQTSKDRKHDSRRYMDVDEESNAYSAGIEHSDGLSQDSDQKVNCKGISGSGAYNCTLQAKSKQVTELADGPIQRSLPYRQSRFTVPARYQTSDSTARNLCSDGSLYDVKLEVKSNYRPQHVPLVSLMSKLNGKAIVGHPLTVEALGDGCCDIMLCKLECDREVGKIGYAIQPKAEIGRNPGKHLALQPQNSPNKSPKTKKSGLQPKKTRKLSSLTGHKQSEVMKPLTAKPQGPVIACIPLKLVFSRINEAVNGLARPTHRVLKSSDT >ONI15635 pep chromosome:Prunus_persica_NCBIv2:G3:3739082:3744764:1 gene:PRUPE_3G052800 transcript:ONI15635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSETSNKSIDASVGGLVWVRRRNGSWWPGRIMGLDELPDSSAVSPRSGTPVKLLGRDDASVDWYNLERSKRVKAFRCGEYDECIEKAKAHAASSNKKAVKYARREDAIIHALEIENARLGKDNLDFSARMSNSGGEHGCSARESPAMSHSGEENEVMVDDVSDSENSSDSAPELSQSGKEKKNAQMIQRMMEQKELKRMRGLEDLGMGVVSKKSGQTGGLLELVQQDSASLLDSNTRNGVPNGSPVNGSKGISSLKRKRSQVANANEVLKRKNRSRPLTKVLESTAMVSIPVTCDQFQNSCGSPLQGLSDGRVSGLESNESKGSLSVDHNGISCENGASTNAPERAFGASCINGKIKENEIPSISGLAENDSSDRLFDVPFAGEEKDPSGYSPIIAVCSSGKPQIGALGRQSSQSSQAEAVSLRDERINESGSTSSAALHDIGQSIEKGSSKWQSKGKRNSRQTSKDRKHDSRRYMDVDEESNAYSAGIEHSDGLSQDSDQKVNCKGISGSGAYNCTLQAKSKQVTELADGPIQRSLPYRQSRFTVPARYQTSDSTARNLCSDGSLYDVKLEVKSNYRPQHVPLVSLMSKLNGKAIVGHPLTVEALGDGCCDIMLCKLECDREVGKIGYAIQPKAEIGRNPGKHLALQPQNSPNKSPKTKKSGLQPKKTRKLSSLTGHKQSEVMKPLTAKPQGPVIACIPLKLVFSRINEAVNGLARPTHRVLKSSDT >ONI15636 pep chromosome:Prunus_persica_NCBIv2:G3:3739082:3744487:1 gene:PRUPE_3G052800 transcript:ONI15636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRMMEQKELKRMRGLEDLGMGVVSKKSGQTGGLLELVQQDSASLLDSNTRNGVPNGSPVNGSKGISSLKRKRSQVANANEVLKRKNRSRPLTKVLESTAMVSIPVTCDQFQNSCGSPLQGLSDGRVSGLESNESKGSLSVDHNGISCENGASTNAPERAFGASCINGKIKENEIPSISGLAENDSSDRLFDVPFAGEEKDPSGYSPIIAVCSSGKPQIGALGRQSSQSSQAEAVSLRDERINESGSTSSAALHDIGQSIEKGSSKWQSKGKRNSRQTSKDRKHDSRRYMDVDEESNAYSAGIEHSDGLSQDSDQKVNCKGISGSGAYNCTLQAKSKQVTELADGPIQRSLPYRQSRFTVPARYQTSDSTARNLCSDGSLYDVKLEVKSNYRPQHVPLVSLMSKLNGKAIVGHPLTVEALGDGCCDIMLCKLECDREVGKIGYAIQPKAEIGRNPGKHLALQPQNSPNKSPKTKKSGLQPKKTRKLSSLTGHKQSEVMKPLTAKPQGPVIACIPLKLVFSRINEAVNGLARPTHRVLKSSDT >ONI16328 pep chromosome:Prunus_persica_NCBIv2:G3:6922911:6927431:1 gene:PRUPE_3G092700 transcript:ONI16328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALVYVVLQQLASTTYQQIEKEVKLVLDVKKEVAKITSNLKAIQAVLDDAEKRQVKEAVVRDWLDKLTDVSYEMDDVLDEWNTKILMQQVDNQEKEGDITLLVTKKKVRLFIPFDCFCFGHVSRVILRRDIKLKLKDLNNKLTVIAKERKAYKFQHTEGRVEQPERQKSSSFVDISKTFGRENEKDIIVNKLLSESSQEIVTGPLVIPIVGMGGMGKTTLAQLAYNDENVKAHFERRIWVCVSDPFDEIKIAKAIIDGNGTPNSDELEAFLQCMSKSIEGEKFLLVLDDVWTQDHRKWEQLKLPLLKGAMGSRILVTTRKEEVAIMMGAKRSHMIHLKQLSEESCWSLFYHLAFFDKEEDESNVFEAIGKEIVKKCKGLPLAAKALGSLMRFKKTKKEWEDVLRSEIWELEEVEQEVFQPLLLSYYDLASGVKRCLLYCVIFPKDYEFNKDNLIELWMSQDYLSLERNQEKEIVGENYFNNLVMRSWFQDFKKDDNGNTTVKMHDIVHDFVQFLNQRECYIMIVKDANKRIELPSDKVRHLTLILASDNQIACSISLSPPVSFDNCKVLRTLSCFDSRITSLDPDLILQLKGLRTLNLSGNIFNELPKEIGELIHLRYIDLSGNHHMNELPNTMCNLYNLQTLHLTGCRQLAKLPKAIGKLINLRHLHIKSCYALKYLPKGIGRLRSLQVLDGFSVCAADNSETLQLGDLGILDKLQGSLFIKGLGNVRDASEAEKAQLANKRHILCLELDFSNGDKRRQGERDGEMLSFLEPPQSLEFLSISCYCGGTFSSPNWMISLPNLRTLVLRSWKNCDFLPPLGKLPSLQILSIDDMPSVRKVGAEFLGIKETSALLKSSSPTVSFPKLKKLSFSGMCSWEEWEGVGGSAEEDSEVRIMPCLSSLDILKADSLQTLPDFLRKTPLRDLTIYKCSVFLEHSCQERRGEEHLKISHIPNIEINYKSVREDGVWIQQEDETEETDSSDSDEDDDGIAQE >ONI17172 pep chromosome:Prunus_persica_NCBIv2:G3:15449530:15451180:1 gene:PRUPE_3G142600 transcript:ONI17172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVRLLAAYPSDFFFFPVTLISCESRLSPLFYSAFFSLFYFLKTLRGCVRRLSLSLSTYLIFNSTSDLSVKTSASARRSFIDLSSARHAQHIPDQF >ONI18504 pep chromosome:Prunus_persica_NCBIv2:G3:22053527:22055993:1 gene:PRUPE_3G219400 transcript:ONI18504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKAQNLWILTLSYSDLRFLYPQRQSQAETFALLPTFSPDLPYFFYSVSHCLLGFIVFASRFCPEVYIGEKGHVIQTCCGFKRRGKNRVHEWITGGLNDVLAPVEAFHLKHMFQDVIKHHQRFDFERVPAVVELCWQAGANDGNQYSNWSPESDCCSVDGAESLSPDELVMIASGTLKAWEVLRNGVEKLLMVYPAKVCKHCSEVHVGPSGHKARLCGIFKYESWQGTHFWRKANVDDLVPPKIVWRRRPQDPQVLLNEGRGFYGHAPAVVELCTQAGAIAPKKYHCLMKLQGVATL >ONI18505 pep chromosome:Prunus_persica_NCBIv2:G3:22053537:22055993:1 gene:PRUPE_3G219400 transcript:ONI18505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAQKEIMALRKKLFESSNFNGKIMYTFSRYFSSNKMDLRKLKPMIQKRIQERAKDYPVPGMIPVAQEVLNSRRLLMQGVSTLLKVLPVMACKFCPEVYIGEKGHVIQTCCGFKRRGKNRVHEWITGGLNDVLAPVEAFHLKHMFQDVIKHHQRFDFERVPAVVELCWQAGANDGNQYSNWSPESDCCSVDGAESLSPDELVMIASGTLKAWEVLRNGVEKLLMVYPAKVCKHCSEVHVGPSGHKARLCGIFKYESWQGTHFWRKANVDDLVPPKIVWRRRPQDPQVLLNEGRGFYGHAPAVVELCTQAGAIAPKKYHCLMKLQGVATL >ONI17714 pep chromosome:Prunus_persica_NCBIv2:G3:19314691:19320705:1 gene:PRUPE_3G176000 transcript:ONI17714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIVVGGDDVINWILGVICDLKLPESPSIAPVPPPTLLKVWRLSYDHYQYGIAASFGWKDISNLSSLRPFLVDVALAKTCRTDSWHCFIRMKHTQSSTSQQQKPHSSFTDRLPHYLHEVRDVGKADIPTLYGRFWYYFMLTAHDPWGDSDDLTSVAIIKVLNHLGQWKVLHIPGCTINSICCLNTPIFRPREDRWFTNNTWDYGKTPKNPSFIDDGRLEVIGSVFQFMDRSRKWTLLDQVQGIRFEFIKGAEGHARWSVDISIDGAPEFDIPAVELVEIEISYQGQVNILAGPNCEARSIHHSKLPVQIEMEKLHSVQIPEANLEH >ONI18913 pep chromosome:Prunus_persica_NCBIv2:G3:23833969:23835747:-1 gene:PRUPE_3G247700 transcript:ONI18913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIFLFTISLHFHSLQIHGLPIAPALYVFGDSLFDSGNNNFLPTVCKADYLPYGVNFVKGVTGRFTNGRTVADFIAEFLELPYPPPYMSIRGSNTALKGLNYASGSCGILPETGSQFGKCLNLKDQIDLFQRTVKSDLPGQFQNPHDLLHYLSKSIFLFSIGSNDFINNYLYIKAFDTSQRYSPQQFAQLLMDALANHLERMYNLGARKIVTFEIGPLGCIPSIAKTHNHSGNCVEDTNVLATIFNENLRVTLANLTNTFQGSFFVLGRANWIGYDAITSPVKYGLIDGSNPCCTTWADGTSESIPLLAPCLEPNNYFFWDAFHLTESVSSVIATGCFNGSTVCTPLNIKQLVEM >ONI16513 pep chromosome:Prunus_persica_NCBIv2:G3:8139410:8146080:1 gene:PRUPE_3G103500 transcript:ONI16513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDMLKHGDDPPGSTSPLQWLKESEIPVRSDEVANFMGTLNNRNASGVDDITMPCTTTPFSLFPFGDMYGTTNSYAMEMPLTGINLMTDVTPFSNSQNPFFDYDSVYAEGHTPVLPSPVSNFLGLEGKKTEFSQYHTLDYSVPPDNVVSATSDVHIIQNSYVDRKLVTAKGNSAAALESIQRSEELNSNTGRPQLLRNFISDGVSNTVPCHAIDGVDIALNNNFMASEVQEDCPDKFDASLLTLGIGTKTEDLSKSNRSGINVTNNFGRVALPQPNTFYGRKEDRGSLNPSSDVAAGFPCLQNNVGGFAVMKNNMPQDDGQHHFLMPGNRNVCLGIEGNWDTRSAYIDPPNDSFQYSPAVSFIPVGSSLAELPDSGWSRANRLALSPSSSTSTCLTVSETSRNLFSDSSMVFPPICVTRSTSLHDEFGKLSTANQGIAAQVAERGHVPGKFWFSQLPSNSCQPQVIGAVQSSTDLSRSMMTGEEVPITKLNRNVQGSSALVGTSLKRVAEEPPEVASRARKKRRAQSSVGPFAPNWPLLVPSLHLSNASNLTVPGPTAPSLPHQVKSTALLPPLPQTSQSLPLQAKGTASLQPISENAPSLPTLVRRTAVLPPLSQIAPSLPSQAKTIASHQPLPKTASYLRLKGSTASLPSVSQNARSLSSMHQIVPPVPSEAKTTQSHPPLPQAAPNLRSRARSIMSLPTLSQNAPSLTPLPRMAPPLSSQPSSAPPLPQKIWTAKPLPEKSQTASPLPAKSQTASPLPAKSQTASPLHLSPQIAPPLPSQPQTASTFHIKWQGFGQTQTQPIGHKCLICKRDLSFTPEGPVFVPAIRPVVAVLPCGHTFHDHCLRLITPEEEVKNPPCIPCAIGES >ONI18775 pep chromosome:Prunus_persica_NCBIv2:G3:23308574:23310506:-1 gene:PRUPE_3G238100 transcript:ONI18775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMGLGIECQANLNASVLRQKLPQQKHKLLLVNNNQRALNFKPRTSNFSVSHSLSELKLRLTQTTRNVKLSAAAESLVAEETAAADDNLTTETPLEKEKLGVVVKPIEKPRLVLKFIWMEKNIGIALDQMIPGHGSIPLSPYYFWPRKDAWEELKVLLESKPWISQKQMIILLNQATDIINLWQQSGGNLA >ONI17444 pep chromosome:Prunus_persica_NCBIv2:G3:17833055:17835295:-1 gene:PRUPE_3G159500 transcript:ONI17444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETQESDGIEEEISKIEKGLVSTSHNPGTNTSDDFRSSAAPPSLPQKLIAELIGTYFVIFAGCGSVAVNKIYGNVTFPGVCVTWGLIVMAMIYSVGHISGAHFNPAVTITFGVLRRLSFREVPSYIVAQLLGSTMASGTIAVLLDITPKAYFGTVPVGSNGQSLVFEIIISFLLMFVISGASTDSRAIGDLAGVAVGMTILLNVFIAGPVSGASMNPARSLGPAIVKHVYKGLWVYIVGPITGCLAGGIAYNFIRSKPLAS >ONI16137 pep chromosome:Prunus_persica_NCBIv2:G3:5947395:5950504:1 gene:PRUPE_3G080300 transcript:ONI16137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSISLSCDAIVSRCWDSVFGRVRYVRKLQENLQALTTSLQELKSLKNDVQREVELAERQPRLRRLELVNNWILSVEALETEINEVIVSHSTQEIEKLCCGGYCSKNYRSSYKYGKKVARKLVEVEDLKSKGVFEEVAAESLPTALVDVIPSEPTVGMEPIFDQVWRHVENEQVGMIGLYGMGGVGKTTLLTQIHNNFNRTRNDFNLVIWIVVSKGHKIEVIQDKIGEKIGLSSGVWKLKMQHEKAEDIFRILNTKKFVLLMDDLWEPVELTKIGVPAPDSRNKFKIVFTTRSEEVCGHMDAQKKIKVGCLTWDKAWNLFQEKVGKETLLLHPDIPKLAEIVANECGGLPLALITVGRVMACKKTPQEWKRAVQVLRRFASEFSGMGDKVFPLLKFSYDNLPSQKVRSCFLYCALFPEDFVILKDDLVYFWMCEDILDEYGNVEEAKNESYHIIGTLLTSCLLEDEGDSVKMHDVIRDMALWLACDLGKEGENILVDTGAYNAPHVAKWKNAKRVSLMGSGIKCLDETPTSPNLLTLFLRGSHLKMIVDDYFDFMPTLRVLDLSENVLLTQLPTGVASLVSLQHLNLSKTAIKWLPVEIMACAGLKYLNLEHTFKLDYVPPNLLSSFPLLEVLRILDCGSSDRIFFHSEETMIDELQGLKHLDVLSLTVGSSSCFENLDSHHILVTCTLTLCLKGEDYGNPSSYLDLSPVAMANMKHFDTLQIKRMVDVYSTWITRLENPTCFLGLQFVEVVDCTNLKNLEWLVFAPNLIHLHVYGCSKMTTILGLNTTETTPFAKLTVLRLSKLPHLWRICENPLPVPFMKKILISGCPVLTRLPLNSSSAQTSNLIIEGEDEWWNGLEWEDQAARNAFLPCFRPCK >ONI19945 pep chromosome:Prunus_persica_NCBIv2:G3:26804193:26806454:1 gene:PRUPE_3G306700 transcript:ONI19945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQLQSSSEMLSREQLLHLFDRFSFLTSQPDVKKRIADGVDDKQEAVAITTAIQEEIFLEMGIDPRFGISSLGKVNEKYENDQDMMIRFYKFIAREEMACDEAELGADEFAERMHTQEKLQEQQLEMLKHMRKFPLDDQSAILEKLRQQMENADFDGAASVLSSEQIQEIVRRRVSPLFKPR >ONI19126 pep chromosome:Prunus_persica_NCBIv2:G3:24647703:24649298:1 gene:PRUPE_3G260100 transcript:ONI19126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTTASPPHIALLPSAGMGHLTPFLRLASMLSSRSCTVTLITASPSVSAAESSHVSFFLSQHPLVKHIEFQVIPSKPSSNPTTDDPFFLQFEATNRSVHLLYPSLASASPPISAIFSDFAVASSIAPVAADLGIPNYIISTTSCKFFCLMAYLPVLLSDPSSFSSGLSEVNIPGITPFPLPSIPPPFKNPSHLLTSLIATDAQALSKAKGILMNTFDDFERETLAPIKSGRVLDNLPPILPIGPLETYEPKKEQDQSYLPWLDSQPAESVVYVSFGSRTALSSAQIRELSKGLERSGYRFLWVPKTSKVDKDDKEELKDLLEESFLDRTKNKGRVVKGWVSQQDILEHPAIGGFISHCGWNSVMEAVRKGIPMLAWPQHMDQSVNAEVVEKAGLGIWERKWGWGLEGLVSGEEIGKKIVELMEDEKLRVWPGR >ONI18973 pep chromosome:Prunus_persica_NCBIv2:G3:24008717:24011127:1 gene:PRUPE_3G250300 transcript:ONI18973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFVSALFSLVIFSYAAAFDPLDPNGNITIKWDIMSWTPDGYVAVVTLNNFQMYRHIMSPGWTLGWTWARREVIWSAVGAQATEQGDCSRFKGNIPHCCKRNPTFVDLLPGVPYNQQFTNCCKGGVLGAWGQDPSAAVSAFQLSVGSAGTSNRTVRLPRNFTLLGPGPGYTCGRARVVPPTIFLTPDRRRKTQALMTWNVTCTYSQILARKYPSCCVSLSSFYNDTVIPCPPCTCGCQTKDNCVKSDSKILSTVGVNTPKKDNKPLLQCTRHMCPVRVHWHVQRNYKDYWRVKVSITNFNYRMNYTLWTLVVQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGLKFFNDQLMEAGPFGNVQSEILLRKDRNAFTLKEGWAFPHKVYFNGDECQLPPPDAYPFLPNSAYQNLLSFSTFISSFIFFLIAIW >ONI16028 pep chromosome:Prunus_persica_NCBIv2:G3:5451905:5453944:1 gene:PRUPE_3G074600 transcript:ONI16028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTSAPPTLPMPNKSISCCGGTNYGFSQSKPNSAPAINFQAKFFPKENVITSRKQDDDITPAAAAKKIPQHLNCESRKLDGVYDTFGGKLGQGGLVFQQNFSIRSYDLGPNGKATIGCLMERLQETSIQHCRSVGLYAGGFGSTPEMSKRGLVWVLCKLQTVVEKYPSWADVIQIDTWTGASGNNGLFRDWIFRDYSTGEILIRATSLYMMMHMKTRKFSKVTAEITDELRSALMDSDPLIDIKNAKLGRLDIDARNYFQTSVAKPGWSDLDVNQHVSHAKYIDWVFESIPFSVLETHELSSMTLEYRSECQRDSAVQSLTAVARDGTGDSTHNQGVEFDHLLRLETGSKVLRARTVWKPICDGFFSTLKFPATNGCT >ONI16027 pep chromosome:Prunus_persica_NCBIv2:G3:5451922:5453944:1 gene:PRUPE_3G074600 transcript:ONI16027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTSAPPTLPMPNKSISCCGGTNYGFSQSKPNSAPAINFQAKFFPKENVITSRKQDDDITPAAAAKKIPQHLNCESRKLDGVYDTFGGKLGQGGLVFQQNFSIRSYDLGPNGKATIGCLMERLQETSIQHCRSVGLYAGGFGSTPEMSKRGLVWVLCKLQTVVEKYPSWADVIQIDTWTGASGNNGLFRDWIFRDYSTGEILIRATSLYMMMHMKTRKFSKVTAEITDELRSALMDSDPLIDIKNAKLGRLDIDARNYFQTSVAKPGWSDLDVNQHVSHAKYIDWVFESIPFSVLETHELSSMTLEYRSECQRDSAVQSLTAVARDGTGDSTHNQGVEFDHLLRLETGSKVLRARTVWKPICDGFFSTLKFPATNGCT >ONI15101 pep chromosome:Prunus_persica_NCBIv2:G3:1889271:1901421:1 gene:PRUPE_3G025800 transcript:ONI15101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKFGAEGENVDPHLFSTNEFVGRQIWEFDPHAGTPQERAELHRERNFKQTIPQVKIEDDDEECRHEKATAALKRAVAYWSALQSPHGHWPAETTGPLFYTPPLVMALYITGHLNMVFSADHRKEMLWYMYCHQNEDGGWGLHIEGHSMMFCTLLNYICMRLLGEGPDGGLDNACARARKWILDHGGATHSSSWGKIWMAILGVYDWEGNNPIPPELWSCPSFLPFHPAKILCYTRMAYLPMSYLFGKRFVGPITPLILQLREEIYNEPYNENKWSKVRHLCAKEDNYYPHGKLQSLMWDSLHTICEPLFTRWPFKKFREKALQETSKHIHYEDENTRYITIGVVIKKHLARIANFIWVAEDGMKMQSFGSQMWDASFAIQALLAANLNDELGCVLKKGHNFLKKSQVRDNPSGDFLAHFRHISKGAWTFSDQDHGWQVSDCTAEALKSCLLLSMLQPQLVGEQLEPERLYDAVNVILSLQSPNGGVSAWEPAGAPKWLEWFNPIEFLGDLMIEYEHVECTSSSIQALALFRKLYPAHRKKQIDNFITTATGFIEDIQNPDGSWYGNWGICFIYGTSFAIRGLEAAGKTYNNCEAIRRGVEFLLKTQRDDGGWGESYISCTNKIYTPLEGDRSNLVQTAMGLMGLIHGGQAERDPTPIHQAAKMLINSQLENGDFPQQEVMGVFMRNGMLHYAAYRNTIPIWALAEYCNMVPKPLYV >ONI17821 pep chromosome:Prunus_persica_NCBIv2:G3:19652979:19659408:-1 gene:PRUPE_3G180700 transcript:ONI17821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CYINDGWFQIICDNSTGNPTPFLNRTNLEVQEISVEEYTLRVRNPITFSNCSNKPISRQTANLEGTPFAYSQKNIFTAVGCGVMATITSNSNGYVISSGCSSECNISTNRSDTCEAALPSSLSDFSTSFQDYGNYRTQSSCHYAFLADSDWFGNNSPNFSAIREMDYVPVMLEWSLFHSTTDVYGTFFEPNLTTIYGSHQPYCRSYYDSSSINESSRLECSCRRGYKGNPYLLDGCQVNVEKIKLFNSKELETATDHYNVDRILGQGGQGTVYKGMLTDGRIVAVKKSKVVDGGEVAQLINEIVILSQINHRNVVKLLGCCLETEVPLLVYEFIPKGTIYQYLHQQNEEWQMRLRIVAEVAGALSYLHSAAGFPIYHRDIKSTNILLDEEYRAKVADFGTSRSVSIDQTHLTTHQHGTFGYLDPDYFQSNQFTDKSDVYSFGVVLVELLTGEKLVSLARSPEVRGLVAYFNFSMEENRLFDIVDAQVKEEGVTEDILAVATLAKRCLDLSGKRRPTMKEVAMALEWIQKSVKASKLQQNQEEVEYVRNELICDCPWDVASESTGSGFNGGTASSKDSLPLLS >ONI17985 pep chromosome:Prunus_persica_NCBIv2:G3:20296733:20299688:1 gene:PRUPE_3G190100 transcript:ONI17985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASKPHAVCIPFPYQSHIKALLKFAKLLHHRGFHVTFVNTLYNHRLFLKSLGPNSLDGLPDFQFEAIPDGLPTSDSDSTQDLAALSDSILNTNHFLDSFRDLLAKLNLDAATSNTCPVTCIVADGFMPFSTTVAEDLGIPWVSFFTIAACGFMAIKHFRALVERGFTPLKDETYLTNGYLDTVIDWIPGLKSIRLRDLPSFVRTTDTKSIVFNLGIEATEKANKASAVVIHTYDALEREVLDALSSMLPLVYTIGPLQLLVNQLPEDPLKSVGYSLWKEETGCLQWLNTKAPNSVVYVNFGSVVVMTPEQLVEFGWGLANSKHFFLWVIRPDLVVGDSAILPAEFVDETKERGLIASWCPQEQVLNHPSVGGFLTHSGWNSTIESLSAGVPMICWPFVSEQLTNCWYTCNELGCGMEIDSNVKRDEVEKLVRELIEGEKGEKMRNTADGWKKLAEEATGAQGSSVINLDNLVNHVLLRKS >ONI19021 pep chromosome:Prunus_persica_NCBIv2:G3:24334868:24336246:1 gene:PRUPE_3G254200 transcript:ONI19021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTSSVKVVEVCRVAPPPPSSSGAFASPSSLPLTFFDIRWLRFAPVQRLYFYEMPTSSATPLFFDSILVPKLKASLSYTLQHFLPLAGNLTWPQDSQKPVLNYVQGDTVSLTIAESGADFYHLSSGDFVEATEYHPLVPRLEASHEQAAVMALQITVFPNCGFSIGTSIHHAVLDGKSITFFVKSWAHICKHDDQSNSDPAELGTIYSNDYRNKDGPNNRSLIFWEMKLPPDSIRGTFEFTRADIETLRQSFKAKMAEQKPVHVSTFTLACAYTWVCVVKAEDIKADKARCIPVAETKGLLGEDGLVVAVNAISEAIERLKKGVLDGAENWVSRLFGVRSEERILSIAGSNRFGNYDTDFGWGRPKKFEIVSIDRTRAISLSDSKNGAGAIEVGLALDKHDMHVFASLFAKGLRNL >ONI15247 pep chromosome:Prunus_persica_NCBIv2:G3:2407948:2408184:1 gene:PRUPE_3G032400 transcript:ONI15247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAWNWLWPPGFMVCHMPQPCEECFCMSATCSLSHERLNFESIRQTRGGRLMDLCVICLHTYIYIYIYIYIASPAKK >ONI16572 pep chromosome:Prunus_persica_NCBIv2:G3:8570104:8578541:1 gene:PRUPE_3G107400 transcript:ONI16572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIGCSLELSKNWNPMWPSLKLRLVTSKICWSLVCFTGAKPQPQNSQGFKTGLRGETFTLSNIENTESPRNHLTNNLARMIS >ONI16574 pep chromosome:Prunus_persica_NCBIv2:G3:8570596:8576576:1 gene:PRUPE_3G107400 transcript:ONI16574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIGCSLELSKNWNPMWPSLKLRLVTSKICWSLVCFTGAKPQPQNSQGFKTGLRGETFTLSNIENTESPRNHLTNNLARMIS >ONI16573 pep chromosome:Prunus_persica_NCBIv2:G3:8570069:8576576:1 gene:PRUPE_3G107400 transcript:ONI16573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIGCSLELSKNWNPMWPSLKLRLVTSKICWSLVCFTGAKPQPQNSQGFKTGLRGETFTLSNIENTESPRNHLTNNLARMIS >ONI16665 pep chromosome:Prunus_persica_NCBIv2:G3:9588422:9596734:1 gene:PRUPE_3G114000 transcript:ONI16665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYGIQSMLKEGHKHLSGLDEAVVKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKLLVLAGRAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYNKAITKTIEILDELVEEGSEKMDVRNKEEVVCRMKAAVASKQFGQEDILSSLVADACIQVCPKNPANFNVDNVRVAKIVGGGLHNCTVVRGMVLKTDAVGSIKRMEKAKVAVFVSGVDTSATETKGTVLIHSADQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAMLKLGQPNPDDLGYVDSVSVEEIAGVRVTVVKNEEGGNSVSTVLLRGSTDSILDDLERAVDDGVNTYKAMCKDSRIVPGAAATEIELARRLKEFSFKETGLDQYAIAKFGESFEMVPKTLAENAGRNAMEIISSLYAEHASGNTKVGIDLEDGVCRDVSALNVWDLHITKFFALKYAADAACTVLRVDQVSN >ONI18494 pep chromosome:Prunus_persica_NCBIv2:G3:22026914:22031120:1 gene:PRUPE_3G218900 transcript:ONI18494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLTDSFVGDARAQPSGTNDIEMGRPVPSSFDTGMDAFNKQIQEVEKQVDKLSGLLKKLKDANEESKSVTKASAMKAIKKRMEKDIDEVGKIARGVKTKLEAISKDNLSNRQKPGCEKGTGVDRSRMNMTNSLTKKFREIMIEFQTLRQRIQDEYREVVERRVITVTGTRPDEETIDNLIETGNSEQIFQKAVQEMGRGQVLNTVEEIQERHDTVKEIEKKLLDLHQIYLDMAVLVEAQGEILDNIETQVTNAVDHVQSGNTALQKAKKLQKNSRKWMCIAIIILLIIVAIIVVSVLKPWKSG >ONI18495 pep chromosome:Prunus_persica_NCBIv2:G3:22027676:22031120:1 gene:PRUPE_3G218900 transcript:ONI18495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPVPSSFDTGMDAFNKQIQEVEKQVDKLSGLLKKLKDANEESKSVTKASAMKAIKKRMEKDIDEVGKIARGVKTKLEAISKDNLSNRQKPGCEKGTGVDRSRMNMTNSLTKKFREIMIEFQTLRQRIQDEYREVVERRVITVTGTRPDEETIDNLIETGNSEQIFQKAVQEMGRGQVLNTVEEIQERHDTVKEIEKKLLDLHQIYLDMAVLVEAQGEILDNIETQVTNAVDHVQSGNTALQKAKKLQKNSRKWMCIAIIILLIIVAIIVVSVLKPWKSG >ONI19932 pep chromosome:Prunus_persica_NCBIv2:G3:26771635:26776167:-1 gene:PRUPE_3G305800 transcript:ONI19932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSLSSLCLTQNPNSISFSSIASDHVRFGSPTIEFGSVKKYRRDRAVVCRASSVVFRNLDADDFRHPLDKQNTLILRAIPGLNELGKILLGSVAEQVMLLENIGTSLLVSKDQLSGLHQLMVEAAEILNIDAPDLYVRQSPVPNAYTLAISGKKPFVVVHTSLVELLTREELQAVLAHELGHLKCDHGVWLTFANILTLGAYTIPGLGGMIAQSLEEQLFRWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKASSSPVGWYIRNAQTRQLSHPLPVLRAREIDEWSRSQDYTTLLKHATQITGAKKVLTTPGR >ONI15748 pep chromosome:Prunus_persica_NCBIv2:G3:4164604:4166717:1 gene:PRUPE_3G058900 transcript:ONI15748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNEEKARSVRKALRDVANNYGGGRFSKSSATAKKNGRFSKSSATSKKKDKEPHKLRVEEDEGKDQNEETLDRLLLLQSDLSALVHQIDELVVQAFKCKGTETKEVESFTNFLSEILSSMKPWVPRLQKSLSTPVESTVNEVESVEVESPLGSLISPSPLVSWRADCTIERGRHLFKLTPLPISKSLSSKPPIPSKSSVFERISSGTSDRIPFFHATFGDANDDLLEFVATKQTPSKPSGPVGIEPGSNIEFGFVSPPMFSKQRGSMVVNVVTPCLKTSPPKSCVLLEPISESSPKGHRRVHKSTPFPVGFTNCSESSGSEVSEDLAFRYPELLALQQAYKSGPRKKHVEASPEWCMSPPRTCVLLNPPDVESSDNADFQLPQTSHLLDKQMNLYVSKGNDGQGGIHQVKASCLQETVGGRLALIGSTPIWKEAESVVRTGKRPGENTLKRELWTKFEAASSNELLFNDCVTHKGFLDRLDEVS >ONI17353 pep chromosome:Prunus_persica_NCBIv2:G3:16764046:16765178:1 gene:PRUPE_3G153500 transcript:ONI17353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKITMNILLFVIAAAAFYSGLLIQRCMDEDSTIRTYPDIGEHAFGKKGRIDKKDSKIAIWTTLVNPPPKYALMVTPIIYSAKNWFPNHCNNGHFDLLLSSSLVISSVVVALAVPFFAYLVSLVGAFLSVSASLLFPCFCYLKISATYRNLGCEMLIIGFIILMGAAVMILGTYTAVSEIIEHL >ONI15379 pep chromosome:Prunus_persica_NCBIv2:G3:2902215:2904824:1 gene:PRUPE_3G040500 transcript:ONI15379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAAADEAASISNTEDETGRTSQHSSNQSRDGQDSHLGDVAADETTSTSNNSGVGTRPTCRHPPNISYDRQRQQHPPAAPEVYDNAFKYEAHEFSLTETSPKLGGGRVASGRVIHGEKTASAYDLVEQMYFLYVRVVKARDLPAMDVTGRLAPYTEVRVGDYKWFTNYYEKEQNPVWNEVFAFSGDRLKSSVLEVLVRDNDADRDDHEFVGIVKFDISDVPLRVPPESDNPLAPQWYRIEDNTGHKASGELMLAVWFGTQADEAFPGAWHSDNASSHVDIRLLTSTVLGSKVYHAPRLWYLRVNVIEAHNLRRQESFPICLCPGNQDSVTQKIQAQNLNPLWNEDLFLVAAEPFEDHLIISVYDHVSPTKDEIIGRVPIALQSVDRRADDSMIRGRWYKLEKPVAVDQNESEREKLSSSIHLRLCLEGGYHVANESPHYNSDLRPTSKKLWRPSIGVLELGILDAVELHPMKTRVFDNNLQFGNGSRHAMIGKVRIRISSLEAGRVYTHTDIHCWLFIGVKKMGELHLAVRFSCTSFVKTLYSYSQPQMPKMHYLRPITAAQLDKLRMIALYLVKAQFSRADPPLTREVVEYMCDGNCHLWSIRRSRANFFRLATALSGVISAGKWFWEICMWKNPIMTVLAHVFFLMLVCFPQLILPTAFLCMVLSGLWNFHFRARCPPHINIKLSQLELVNLDELDEEFDTYPTSQNMERVGKRYDRLRSNASSVQALVGDMASLAERFQLLLSWRDPRATVLFVTFCIAVTFVLYVTPFTAVAALAGLIVMMPPGFRHMLPTAAFFNFFSRLPTKTDTIF >ONI17198 pep chromosome:Prunus_persica_NCBIv2:G3:15735008:15735958:-1 gene:PRUPE_3G144500 transcript:ONI17198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLKFTSTGFLQLKKPQNPFLLHSPSQASTVSVKRRLITPMAALTLTPTINLSQTFTKLKNQRKVAFIPYITAGDPDLSTTAQALKVLDSSGADVIELGVPHSDPILDGPVIQASVTRSLARGTNFNSIMSMLKDAVPQLSCPIVLFSYHNPIIKHGVEKFMSTISDVGVHGLVVPDAPFEETKGLRKEAVKNKIELVLLTTPNTPIDRMKDIVEASEGFVYLVSTVGVTGARESVNEQVPRLLREIKEATTKPVAVGFGLSKPEHVKQVAEWGASGVIVGSAIVKVLGEAKSPKEGLKALETFTKSFTSALLG >ONI17553 pep chromosome:Prunus_persica_NCBIv2:G3:18550730:18553883:-1 gene:PRUPE_3G166700 transcript:ONI17553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPKPPSLATSSDSYAFHLQACVRKRDLFSGKSIHAQIIKSGLHFGVFLMNNLMNMYVKTGYSLDAHRVFEEMPVRNTLSWNAILSAYAKQGKFDAALRVFNEMPERDSVSWTSMIVGYNQMGRFGNAIQMFVDMISDGVTVTQFTITNILSACAAVKALDVGRKVHSFVVKLAVGSYVSVANCLLNMYAKSGDPRTAKIVFDRIRLTNTSSWNAMISLHMQCGRADLAIVQFKQMTERDVVSWNSMIAGYNQHGLDMEALTIFSSMLKDSFLKPDKFTLSSVLSACANLEKLEIGRQIHALIITAELDTSQAVKNALISMYAKSGGLEIAQKVLEQCVTSDLNVIAFTALLDGYIKLGDINPARQIFDSLRDRDVVAWTAMIVGYVQNGLNDDALELFREMINEGPRPNSYTLAAMLSVSSSMASLDYGKQIHASAMRLGEVSSVSVSNALISMYSKAGSISGAKQIFNLVHRNRDTVSWTSMIIALAQHGLGEEAIELFEKMLSLGIKPDHITYVGVLSACIHVGLVEQGRSYYNLMRNVHKIEPTHSHYACMIDLLGRAGLLQEAFNFTQSMPIEPDVIAWGSLLSSCKVHKNVDLAEVAAERLLLIDPDNSGAYSSLANLYSACGRWADAAQVRKSMKDRRVKKEQGFSWIQIQNKVHVFGVEDGGHAQRDAIYKMMEKIWKEIKNIGFIPDTESVLHDVSEEAKEKMLKYHSEKLAIAFGLINTPENTTLRIMKNLRVCNDCHSAIKFISKLVGREIIVRDATRFHHFKNGRCSCRDYW >ONI15001 pep chromosome:Prunus_persica_NCBIv2:G3:1495847:1500609:1 gene:PRUPE_3G020500 transcript:ONI15001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALASSAFVPETHPVLHNPTSIRTPNKRSGFLKISQSSHFVGLRVQALAGEASGSSDSEEVRPLNNGFGLVSEEILSLSQGNLNQSDSSEKNVSEALNLDMSFSSPSANGAAGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSTGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEHQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGEQNLKELNAIFSKPLKELLSAESEVDDAALISIDSKGTDVRVRQGAQFNIQRISFDEGHSVETLEEAKAALRKLINKGRVFKL >ONI15199 pep chromosome:Prunus_persica_NCBIv2:G3:2251951:2255211:-1 gene:PRUPE_3G030100 transcript:ONI15199 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RPT1 description:hypothetical protein MAPEPEDIKDEKNPRPLDEDDIALLKTYGLGPYSTHIKKAEKEVKDLAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNSEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >ONI18498 pep chromosome:Prunus_persica_NCBIv2:G3:22042848:22043639:-1 gene:PRUPE_3G219200 transcript:ONI18498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLDHSTSDDNSVDSREESSQDSKLHFSEDEETLITRMFNLVGERWSLIAGRIPGRSAEEIEKYWTSRYSTSE >ONI19441 pep chromosome:Prunus_persica_NCBIv2:G3:25615183:25618569:1 gene:PRUPE_3G280300 transcript:ONI19441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFCTFSPEKLHNNAKRERGFNMGSRLVPFLFTSLAIATLFSFFLIYSPNPLLTIAKQGLNSIQIQPNLHAVQEQDHQDLDHNNINLQPPTKKEEDKCDLYKGHWIPELRGPMYTNSSCATIPESKNCFKNGRRDSDFLNWRWKPDKCELPLFDPNTFLEIVRGKKMAFIGDSVARNHVESLLCLLSQEESPKDVYKDSEDRFRTWYFPQHDFTLMKLWSKYLVASDERMINGTGTGTFDLHLDRLDDQWARHLPDLDYAIVSGGHWFFRVMYLHEGGNLTGCVYCNQPNVTDYNISHAVRMAFRTVFKHIKDCKNCRGGLMALLRTFAPAHFENGAWNTGGYCNRTSPFSEAQIDLGTFDWEMRNIQIEEFERGRREGEMKGKKFGVLDITRAMLMRADGHPGAHWGNQWMKGYNDCVHWCMPGPVDYWNHFLMAIIRNEGGLVS >ONI17786 pep chromosome:Prunus_persica_NCBIv2:G3:19525725:19530306:-1 gene:PRUPE_3G178800 transcript:ONI17786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVNELSSSLSFASSSYLSNGSSSNHVSASASSQPVPSIEIYLSLNKLSGSLERLLLEPEYDYNDAEIIVEGNTVGVNRCILAARSEFFQDLFKQGNDDSIKEGKPKYLMSDLVPNGRVGYEAFKVILNYLYTGKLQPSPREVSTCVDHHCAHDACGPAINYAVELMYASATFQMKELVQLVQRRLSNFVEKAFIEDVIPILVVAFHCQLSQLLSDCIQRVARSDLDSVAIEKELPHEVSNDIKSLCLRSQEKDESSMMEMEPVKDKRIRRIHKALDSDDVELVELLLKESDITLDDAYALHYAVAYCDPKVVKEVLGLGLATINLCNARGYTVLHVAARRKHPAVMVPLLNRGASASETTVDGRTAVAICRRLTRPKDFYENTEKGQESNKDRMCIDLLEREMQRNSMSGNLSIISEVMADDLNVRLDYLENRVAFARLLFPAEAKLAMEMADACTTSMHTGLSTSRGSSGNLREVDLNETPSGRTKRLQARMQALRKTVEMGRRFFPHCSEVLDKFLDDEMDMADFILEKGTPEEQRKKKTRFLELKDDVQKAFCKDMAENKRSVLSSSSSSSSSPKEGVTHKIRKR >ONI17784 pep chromosome:Prunus_persica_NCBIv2:G3:19525713:19530747:-1 gene:PRUPE_3G178800 transcript:ONI17784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVNELSSSLSFASSSYLSNGSSSNHVSASASSQPVPSIEIYLSLNKLSGSLERLLLEPEYDYNDAEIIVEGNTVGVNRCILAARSEFFQDLFKQGNDDSIKEGKPKYLMSDLVPNGRVGYEAFKVILNYLYTGKLQPSPREVSTCVDHHCAHDACGPAINYAVELMYASATFQMKELVQLVQRRLSNFVEKAFIEDVIPILVVAFHCQLSQLLSDCIQRVARSDLDSVAIEKELPHEVSNDIKSLCLRSQEKDESSMMEMEPVKDKRIRRIHKALDSDDVELVELLLKESDITLDDAYALHYAVAYCDPKVVKEVLGLGLATINLCNARGYTVLHVAARRKHPAVMVPLLNRGASASETTVDGRTAVAICRRLTRPKDFYENTEKGQESNKDRMCIDLLEREMQRNSMSGNLSIISEVMADDLNVRLDYLENRVAFARLLFPAEAKLAMEMADACTTSMHTGLSTSRGSSGNLREVDLNETPSGRTKRLQARMQALRKTVEMGRRFFPHCSEVLDKFLDDEMDMADFILEKGTPEEQRKKKTRFLELKDDVQKAFCKDMAENKRSVLSSSSSSSSSPKEGVTHKIRKR >ONI17785 pep chromosome:Prunus_persica_NCBIv2:G3:19525725:19530671:-1 gene:PRUPE_3G178800 transcript:ONI17785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVNELSSSLSFASSSYLSNGSSSNHVSASASSQPVPSIEIYLSLNKLSGSLERLLLEPEYDYNDAEIIVEGNTVGVNRCILAARSEFFQDLFKQGNDDSIKEGKPKYLMSDLVPNGRVGYEAFKVILNYLYTGKLQPSPREVSTCVDHHCAHDACGPAINYAVELMYASATFQMKELVQLVQRRLSNFVEKAFIEDVIPILVVAFHCQLSQLLSDCIQRVARSDLDSVAIEKELPHEVSNDIKSLCLRSQEKDESSMMEMEPVKDKRIRRIHKALDSDDVELVELLLKESDITLDDAYALHYAVAYCDPKVVKEVLGLGLATINLCNARGYTVLHVAARRKHPAVMVPLLNRGASASETTVDGRTAVAICRRLTRPKDFYENTEKGQESNKDRMCIDLLEREMQRNSMSGNLSIISEVMADDLNVRLDYLENRVAFARLLFPAEAKLAMEMADACTTSMHTGLSTSRGSSGNLREVDLNETPSGRTKRLQARMQALRKTVEMGRRFFPHCSEVLDKFLDDEMDMADFILEKGTPEEQRKKKTRFLELKDDVQKAFCKDMAENKRSVLSSSSSSSSSPKEGVTHKIRKR >ONI18645 pep chromosome:Prunus_persica_NCBIv2:G3:22779242:22780107:1 gene:PRUPE_3G229500 transcript:ONI18645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSASKAIVAASVGVVEALKDQGICRWSSALRSVHQQAKTQLRSFSQANNKLSSSSASAAFSKVKDEKLKKSEESLRTVMYLSCWGPN >ONI17292 pep chromosome:Prunus_persica_NCBIv2:G3:16464476:16465484:1 gene:PRUPE_3G150600 transcript:ONI17292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYVSCTLSTQIAKNSKAATKVIVPTGEIKQFYVPMKAAELMLETPNFFLVNTKSLHIGRRFSALNADEDLEIGEVYVMFPMKRLNSIVTPADMGALFLTASSAAKRLSGRNMRVQPAEDQSGELADDDDEEEEEDNKRMENWRENLEHEAAKPKLNLDDIEDFSAPEFMHRVSMCRSKKPLLETIAEEPVSVCSR >ONI17662 pep chromosome:Prunus_persica_NCBIv2:G3:19062847:19063369:-1 gene:PRUPE_3G172700 transcript:ONI17662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAQTQTQVSKSTFKRKQRKTQQKSHMGNAVHQSLSSFNQLRAESTQRRARKQRREECMKTNQPSDVKNGGEEDGDKEEEVEKKIEALQRIVPGGESLGVDKLFEETAGYIMALQGQLKAMKALASFVEGLEKEKRKFGG >ONI17433 pep chromosome:Prunus_persica_NCBIv2:G3:17756493:17757281:-1 gene:PRUPE_3G159000 transcript:ONI17433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWMQKRPMAPKPCKESKKSHEKKEEAITNSESKDVKFIAKLNSKISSKALAMAKMVSWRKMEAGEEDQKDDDDDDHSDEAVWRKSIIMGERCAPLNFSGKIDYDSDGNLQPESPDRNH >ONI19519 pep chromosome:Prunus_persica_NCBIv2:G3:25718193:25719326:-1 gene:PRUPE_3G282900 transcript:ONI19519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFFSVILLFVGIGFLILVHVWIVGRAFRRGGFGNGSMVAMASSTGGTASMSKDDLEKLPSFDYVANWDKPGSPVDCAVCLDSFKMGEKCRLLPLCKHSFHAQCVDAWLLRTPICPICRSRTAEPQKEGPVTDASVDLRESQTTGSRHLSDIRIELRENQTTESGHLSVI >ONI18991 pep chromosome:Prunus_persica_NCBIv2:G3:24177283:24180876:-1 gene:PRUPE_3G252000 transcript:ONI18991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTILPTSEEDPALSVVRFTSELSWADAGPEVAEPQVSRLCTEAQECMVMARWFDLVSLMLTSADVILSKVSEKDLECIFTVICNVVTKSESPDEALEMAKLISAKITQQPSDKPALRLKILFNLYNLLENPYSRFFVYLSALNLAINGKVTEHVIPSFKKIESFLKEWNIGVSDQRQLFLTISNVLREHKSLAKESFKFLSKYLATFSGEDAYILSEAKEEAVRTIVEFVKAPDLFQCDLLDMPAVGQLEKDAKHALAYQLLKIFLTQRLDAYLEFQTANSTLLKGYGLVHEDCITKMRLISLVDLGSDESGRIPYSLIRDTLQINDDVVELWVVKAITAKLMDCKMDQMNQVVIVSRCTERVFGQQQWLTLRSKLATWRGNVANVISTIRANRIAEDGSQAVQGLVIR >ONI18604 pep chromosome:Prunus_persica_NCBIv2:G3:22524620:22529287:-1 gene:PRUPE_3G226000 transcript:ONI18604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKRKIRKIIIISWSVNHMFCMYFLYLFLLCTSLLCCIAKDSMTVSSLISDTQGDTIVSSGERFELGFFTPNGSSDSRRYVGIWYYGSNPKTVVWVANRDNPLSDTHGVFAMAEDGNLKVLDGSGKTYWSTSLERSSSMYRTAKLMDTGNLIVSNQEQGNHSVRILWQSFENPTDTFLPGMKMNENLVLASWKSYDDPATGNFTFQQDEEGMNHFVIWKRSMRYWKSGIAGKFIRSDEMPSSFLYLLSNFSSTTIHNDSVPYLTSSLYNDTRLVMSFWGQIQYLMWDTEKVWSLIWADPRDSCSVYNACGNFGSCKSENGLVCKCLPGFKPSSPENWNGGDYSAGCSRKSGICGNGAVSDIFLSLKMMKVGNPDSQFNAKSEMECKIECLNNCQCQAYLYEEVEITRRGGSSSSTCWIWSQDVSNLQEEYDSGRNLQVRVAVSDIESTSRNCETCGTNIIPYPLSTGPNCGDLTYYSFQCNISTGQVSFEAPAGTYQVTSIDPDTRKFVIQAKNADDCRNKNFLQLNQSSPFHLINRCNADPANFSSDFSFKAGDEVEISWDPPLEPPCSSSTDCKDWPHSTCNASQEGKKRCLCTTNSKWDSLTLNCTQEVDYSRQTGARGKMTLALIIAVTFISVAVLALLSSTFIYTYLWRRRRVKGQGSREILHKNSALYLYDSERKVKNLIESGRFKGDDTEGFDVPFFELESILVATDYFSNANKLGQGGFGPVYKGKLPGGQEIAVKRLSSCSGQGLEEFKNEVLLIAKLQHRNLVRLLGYCVEGDEKMLVYEYMANKSLDSFIFDRRLCMLLNWDMRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLGEDMNPKISDFGLARIFGGNETAANTSRVVGTYGYMAPEYALDGLFSVKSDVFSFGVVVIEIISGKRNTGFFQPERSLSLLGYAWHSWKEEKALDLLDQTLCQSCNKDEYLKCVNVGLLCVQEDPSDRPTMSQVVFMLGSETATIPAPKQPAFIVRRCPSRSSRASSSSKPETFSNNELTITLEDGR >ONI16657 pep chromosome:Prunus_persica_NCBIv2:G3:9469832:9473198:-1 gene:PRUPE_3G113300 transcript:ONI16657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKFYRISPFGTDPADPDQPMTSENGPGSPSSGEETKVATAPSPKKRRGVQKRVVTVPIGDVEGSKSKGEGHPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLLITYACEHNHPKPTTKPHQTSTAMSPNAEPELPAKTITPNDEELTIFASQVDLDLSDDSATLLSAFGWFSDVASTAVLESPICAGNSSCADYDVATRLGDEEDEYLFADLGELPEGSVIFRHKMVESDEQKRRCSLSVVPCCDSR >ONI16457 pep chromosome:Prunus_persica_NCBIv2:G3:7621688:7628241:-1 gene:PRUPE_3G099200 transcript:ONI16457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCGYKSQYIGGQKEKFVRLDDLDSTMSMSADTSKMKKSVFHIEALPFTGRNRKATSSKSLKYGIQRGSDGLKTFGRTLRTGVTRAVFPEDLKVSDRRIFDPQDKSLLLWNRLFVISCIFAISIDPLFFYLPIFDEKQDCLTIDRNLAATTITVRSLVDAFYLIRMTLQFRTAYIAPSSRVFGRGELVIDSSQIAKRYLHRYFFVDFVSVLPLPQIVVWRFLHGKGSEVLATKQALLFIVWFQLLPRFIRLLALNSDLKKSAGSFAESAWAGAAYYLLWFLLAAQVTGAAWYLLAVERYDTCWREACIESKKCSINYLYCGISENIPGYKEWSDIRVEVLNKSCTAEGDILPFNFGIFTEAISSKVIASTEFFSKFLFCLWWGLKNLSTLGQGLETSTFALEILFSIGIGIFGLILFALLIGNIQTYLQSLTIRLEEMRIKKRDSEQWMHHRWLPQDLRERVRRYDQYKWLETRGVDEESIVRSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLCTENTYIVREGDPVGEMLFIIRGRLESVTTDGGRSGFFNSGVLKEGDFCGEELLTWALDPKASSNLPSSTRTVKAITEVEAFALEAEELKFVASQFRHLHSRQVQYTFRFYSQQWRTWASCFIQAAWRHYRRKLAEKQRKEEEEGFDYSEGDDDNDDDDYRGGSITSKLRATFFASHFAAKALRGHRRRSAGSTGIMGSMGSTELMKLQKPPEPDFSAYDDKN >ONI18404 pep chromosome:Prunus_persica_NCBIv2:G3:21746035:21748959:1 gene:PRUPE_3G213800 transcript:ONI18404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMMSMTPGIPSSSSSSSPSPPSSPPLSSPTVSLPVRTIPGAYGWPLLGPISDRLDYFWFQGPETFFKKRTEKYKSTVFRTNVPPSFPLFLNVNPNVVAVLDGKSFSYLFDMDLVEKKNTLVGDFMPSVNFTGGLRTCAYLDTTEPQHAKIKNFAMDILKRSSKVWVPELTANLSTMFDTIEAEVSKDGSASYLIPLQKFIFNFLTKCLVGADTASSPKIAESGYAMLDRWLALQLLPTVKIGLLQPLEEILLHSFAYPSFLVSGDYNKLYQFVKEQGKEVVKRGETEFGLSQEEVIHNLLFVLGFNAFGGFSVFLPTLISTIASDTTGLQDKIVKEVREKAGSTLTFDSVKNLPLVQSIVYETLRCNPPVPLQYARARKDFQLSSHDSVFDIKKGELLCGYQPQVMKDEKVFDEPETFQPDRFLKNKELLNYLYWSNGPQTGSPSQYNKQCPGKDTVTLTACLFVAYVFQRYDSMTGTATSITALEKPK >ONI16047 pep chromosome:Prunus_persica_NCBIv2:G3:5570813:5572270:-1 gene:PRUPE_3G075700 transcript:ONI16047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETPLKLPVIDFSNQSLTPGTTEWNTVRAQVHNALEEYGCFEALFNKVPPHIRKSIFPSIEELFDLPLQTKLQNVSKKPFHGYVGQYPMVPLYESMGVDDANVYEQVQSLTNTLWPQGNPSFCKTIQSFSEQVSELDQIIRRMILESLGLEKYLEEHLESTNYLLRVMKYKGPQTNETKLGLNAHTDKNIVTILYQNQVDGLEVQNKDGKWINVKPSPDSFIAMIGDSLYAWTNGRLHSPYHRVMMSGNEVRYSTGLFSIPKEGYIIKAPEEVVDEEHPLLFKPFDHVQFLGFYYSEAGQRAHSALKTYCGVQDFAFSN >ONI17275 pep chromosome:Prunus_persica_NCBIv2:G3:16351579:16353226:1 gene:PRUPE_3G149700 transcript:ONI17275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRNPPPPPPPDPFAPPPPPPPHHGHPPPPPPRPEFYPPPPPPPHYPPGPPPPPYAPGPPPPGPPPPPYSDPYRPPPPWGPPPPPPRY >ONI18103 pep chromosome:Prunus_persica_NCBIv2:G3:20745491:20750495:-1 gene:PRUPE_3G196900 transcript:ONI18103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLTTNRCYWHNVELINQGKATDSLSFSSSISVHESFESGRPTRADKFLRFQVQMRKAESSSRSGVNGRAVRMVPASEVVKRTTPSTNKIEIVNGRKQAVNGASLVRRNPTPALVKTQKSRPTKELPPMEELKVLPSDDGFSWANENYNSWQRSADVWSFVLSLRVRVLLDNAKWAYIGGFTEDKQKNRRRKTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPAKAIGFIETELGAPINVLFKEFEDRPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQKSETLGGPTRDWIGIYEECATILYQEIDYINEGKNADRFRRDFRNTKWVRVPMVFWDYTAMKVLTLEYVPGIKINRLDVLDSQGFNRARISSRAIEAYLIQILRTGFFHADPHPGNLAIDVDETLIYYDFGMMGDIKSFTRERLLELFYSVYEKDAKKVIQCLIDLGALQPTGDLSSVRRSVQYFLDNLLSQTPDQAKTLSAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYTLDPDFSFVKIAAPYAQELLDLRQKQRTGTQLVNEIRKQADDARSYTMSMPYRVQRIEEFVKELESGDLKLRVRVLESERAARKATILQMATMYTVLGGTLLNLGVTLSSQGSQAIANGSFIGAGVFLTLLARSMQRVKKLEKFEKMI >ONI18102 pep chromosome:Prunus_persica_NCBIv2:G3:20742890:20751550:-1 gene:PRUPE_3G196900 transcript:ONI18102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLTTNRCYWHNVELINQGKATDSLSFSSSISVHESFESGRPTRADKFLRFQVQMRKAESSSRSGVNGRAVRMVPASEVVKRTTPSTNKIEIVNGRKQAVNGASLVRRNPTPALVKTQKSRPTKELPPMEELKVLPSDDGFSWANENYNSWQRSADVWSFVLSLRVRVLLDNAKWAYIGGFTEDKQKNRRRKTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPAKAIGFIETELGAPINVLFKEFEDRPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQKSETLGGPTRDWIGIYEECATILYQEIDYINEGKNADRFRRDFRNTKWVRVPMVFWDYTAMKVLTLEYVPGIKINRLDVLDSQGFNRARISSRAIEAYLIQILRTGFFHADPHPGNLAIDVDETLIYYDFGMMGDIKSFTRERLLELFYSVYEKDAKKVIHVASPMASHTSASPHENSLRVKCKRHVTLGDILRVSHIE >ONI18104 pep chromosome:Prunus_persica_NCBIv2:G3:20745491:20750495:-1 gene:PRUPE_3G196900 transcript:ONI18104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLTTNRCYWHNVELINQGKATDSLSFSSSISVHESFESGRPTRADKFLRFQVQMRKAESSSRSGVNGRAVRMVPASEVVKRTTPSTNKIEIVNGRKQAVNGASLVRRNPTPALVKTQKSRPTKELPPMEELKVLPSDDGFSWANENYNSWQRSADVWSFVLSLRVRVLLDNAKWAYIGGFTEDKQKNRRRKTASWLRERVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPAKAIGFIETELGAPINVLFKEFEDRPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQKSETLGGPTRDWIGIYEECATILYQEIDYINEGKNADRFRRDFRNTKWVRVPMVFWDYTAMKVLTLEYVPGIKINRLDVLDSQGFNRARISSRAIEAYLIQILRTGFFHADPHPGNLAIDVDETLIYYDFGMMGDIKSFTRERLLELFYSVYEKDAKKVIQCLIDLGALQPTGDLSSVRRSVQYFLDNLLSQTPDQAKTLSAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYTLDPDFSFVKIAAPYAQELLDLRQKQRTGTQLVNEIRKQADDARSYTMSMPYRVQRIEEFVKELESGDLKLRVRVLESERAARKATILQMATMYTVLGGTLLNLGVTLSSQGSQAIANGSFIGAGVFLTLLARSMQRVKKLEKFEKMI >ONI19763 pep chromosome:Prunus_persica_NCBIv2:G3:26327165:26330549:1 gene:PRUPE_3G296100 transcript:ONI19763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSMAAKFAFFPPNPPSYKLITDDHTGLLLLSPFPHRENVEVLKLPTRRGTEIVAIYIRHPLATSTLLYSHGNAADLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKASEQNTYADIEAAYKCLEESYGTKQEDIILYGQSVGSGPTLDLAARLPQLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLIIHGTADEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLELYPEYIRHLKKFISTVEKSPSQRYNSRRSTDQFEQPRKSTDVYEVSRKSTDRREKPRKSTDRPEKLKNQFISADKLEKLRVSFDHMERSRRSVDCHEKSRKSIDHQLDRARKSVDRLDRIRTG >ONI15365 pep chromosome:Prunus_persica_NCBIv2:G3:2853050:2860577:-1 gene:PRUPE_3G039600 transcript:ONI15365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEKAILIMFDESGTVDSELKQKAKDYCDKIKEEQAICSVCIEKLCFSNLVQVQFWCLQTLHEVIRVRYSSMSLDERYLIRKSVFSIACFGGFDDKSTVRVLEGPAFIKNKLAQVLVTLIYFEYPLVWSSVFVDFLSQLSKGAMVIDMFCRVLNALDEELINLDYPRTPEELAVAARVKDAMRQQCVAQIVRAWYDIVSMYRNSDEELCASVLESMRRYISWIDIGLIVNDAFIPLLFELVLVGGLSEQLRGAAAGCLSAVVSKRMDPQSKLPLLQSLQMRRVFGLVAQDSDSELVSNVAALLTGYAVEVLECFKRLNSEDAKGVSMELLNEVLPSVFYVMQNCELDSTFSIVQFLSGYVATMKTLSPLRETQLLHVGQILEVIRSQIRYDPMYRKNLDILDKIGREEEDRMVEFRKDLFVLLRNVGRVAPDVTQIFIRNSLATAVGSSSNWNVEEVEAALSLFYAFGESINGEAMRTGSGLLGELVPMLLSTRFPCHSNRLVALVYLETVTRYMKFVQENTQYIHMVLAAFLDERGIHHPNVNVSRRASYLFMRVVKLLKLKLVPFIENILQSLQDTVAGFTSMDYTSKELSGSEDGSHIFEAIGLLIGMEDVPPAKQSDYLSSLLTPLCQQVEALLRNAKVLTPEEAPQKFANIQQIIVAINSLSKGFSERLVTASRPAIGLMFKQTLDVLLQVLVVFPNVEALRSKVTSFVHRMVDTLGASVFPYLPKALEQLLVDSEPKELVGLLILLNQLICKFNTLFRDILDEVFPAIAGRILNVIPVDALPSGPGSNTEENRELQELQRTLYTFLHVITTHDLSSVFLSPKSRSYLQPIMQLLLFTSCKHKDILVRKVCVQIFIRLIRDWCAMPNGEEKVPGFQSFIIENFATNCCLYSLLDNSFEFRDANTLVLFGEIVLAQKVMYEKFGNDFLVHFVSKGFPAAHCPQDLAETYCQKLQGSDIKALKSFYQSLIENLRLQQNGSLVVR >ONI15366 pep chromosome:Prunus_persica_NCBIv2:G3:2853810:2860123:-1 gene:PRUPE_3G039600 transcript:ONI15366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEKAILIMFDESGTVDSELKQKAKDYCDKIKEEQAICSVCIEKLCFSNLVQVQFWCLQTLHEVIRVRYSSMSLDERYLIRKSVFSIACFGGFDDKSTVRVLEGPAFIKNKLAQVLVTLIYFEYPLVWSSVFVDFLSQLSKGAMVIDMFCRVLNALDEELINLDYPRTPEELAVAARVKDAMRQQCVAQIVRAWYDIVSMYRNSDEELCASVLESMRRYISWIDIGLIVNDAFIPLLFELVLVGGLSEQLRGAAAGCLSAVVSKRMDPQSKLPLLQSLQMRRVFGLVAQDSDSELVSNVAALLTGYAVEVLECFKRLNSEDAKGVSMELLNEVLPSVFYVMQNCELDSTFSIVQFLSGYVATMKTLSPLRETQLLHVGQILEVIRSQIRYDPMYRKNLDILDKIGREEEDRMVEFRKDLFVLLRNVGRVAPDVTQIFIRNSLATAVGSSSNWNVEEVEAALSLFYAFGESINGEAMRTGSGLLGELVPMLLSTRFPCHSNRLVALVYLETVTRYMKFVQENTQYIHMVLAAFLDERGIHHPNVNVSRRASYLFMRVVKLLKLKLVPFIENILQSLQDTVAGFTSMDYTSKELSGSEDGSHIFEAIGLLIGMEDVPPAKQSDYLSSLLTPLCQQVEALLRNAKVLTPEEAPQKFANIQQIIVAINSLSKGFSERLVTASRPAIGLMFKQTLDVLLQVLVVFPNVEALRSKVTSFVHRMVDTLGASVFPYLPKALEQLLVDSEPKELVGLLILLNQLICKFNTLFRDILDEVFPAIAGRILNVIPVDALPSGPGSNTEENRELQELQRTLYTFLHVITTHDLSSVFLSPKSRSYLQPIMQLLLFTSCKHKDILVRKVCVQIFIRLIRDWCAMPNGEEKVPGFQSFIIENFATNCCLYSLLDNSFEFRDANTLVLFGEIVLAQKVMYEKFGNDFLVHFVSKGFPAAHCPQDLAETYCQKLQGSDIKALKSFYQSLIENLRLQQNGSLVVR >ONI15367 pep chromosome:Prunus_persica_NCBIv2:G3:2853177:2860575:-1 gene:PRUPE_3G039600 transcript:ONI15367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEKAILIMFDESGTVDSELKQKAKDYCDKIKEEQAICSVCIEKLCFSNLVQVQFWCLQTLHEVIRVRYSSMSLDERYLIRKSVFSIACFGGFDDKSTVRVLEGPAFIKNKLAQVLVTLIYFEYPLVWSSVFVDFLSQLSKGAMVIDMFCRVLNALDEELINLDYPRTPEELAVAARVKDAMRQQCVAQIVRAWYDIVSMYRNSDEELCASVLESMRRYISWIDIGLIVNDAFIPLLFELVLVGGLSEQLRGAAAGCLSAVVSKRMDPQSKLPLLQSLQMRRVFGLVAQDSDSELVSNVAALLTGYAVEVLECFKRLNSEDAKGVSMELLNEVLPSVFYVMQNCELDSTFSIVQFLSGYVATMKTLSPLRETQLLHVGQILEVIRSQIRYDPMYRKNLDILDKIGREEEDRMVEFRKDLFVLLRNVGRVAPDVTQIFIRNSLATAVGSSSNWNVEEVEAALSLFYAFGESINGEAMRTGSGLLGELVPMLLSTRFPCHSNRLVALVYLETVTRYMKFVQENTQYIHMVLAAFLDERGIHHPNVNVSRRASYLFMRVVKLLKLKLVPFIENILQSLQDTVAGFTSMDYTSKELSGSEDGSHIFEAIGLLIGMEDVPPAKQSDYLSSLLTPLCQQVEALLRNAKVLTPEEAPQKFANIQQIIVAINSLSKGFSERLVTASRPAIGLMFKQTLDVLLQVLVVFPNVEALRSKVTSFVHRMVDTLGASVFPYLPKALEQLLVDSEPKELVGLLILLNQLICKFNTLFRDILDEVFPAIAGRILNVIPVDALPSGPGSNTEENRELQELQRTLYTFLHVITTHDLSSVFLSPKSRSYLQPIMQLLLFTSCKHKDILVRKVCVQIFIRLIRDWCAMPNGEEKVPGFQSFIIENFATNCCLYSLLDNSFEFRDANTLVLFGEIVLAQKVMYEKFGNDFLVHFVSKGFPAAHCPQDLAETYCQKLQ >ONI14804 pep chromosome:Prunus_persica_NCBIv2:G3:640927:641719:1 gene:PRUPE_3G009900 transcript:ONI14804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGSNTSTLFNTATKPYQTHRYPQNQHLKSQRQSRQSNQWTKQQVSLPKPLPLPSQAPRTAAKTPTATPISSFSSLCPLPHPKSDLVTPFSGRRSTRFVSKMHLGRPKTTMGSYRSPLAEEALHQAVQFGNDDLALDDIRVRVCYQLVIKI >ONI17841 pep chromosome:Prunus_persica_NCBIv2:G3:19819961:19822249:-1 gene:PRUPE_3G182500 transcript:ONI17841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAKPNCRTHCGNVSIPYPFGIGPNKDCLFMGPGLLLLLVGAWYAYKVIKKRKNIELKERFFKRNSEELEKSTDKYNTHRILGQEGQGTVYKGMFEDGRIIAVKKSNILDEGQLSEFINEVVILSQINHRNTEVPLLIYEFIPNGTLSHYILEQNEDFPFTWKTRDIKSTNILLDEKYRAKVADFGSSRSIVIGQTHLTTVVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLLELLTGLQPVSAVTGSQGNEYKSLATYFITSMQEDRLFGIIDAGVLMEGSQTDIITFANIAMRCLNLNGRNRPTMREVATELEAIQMLIENTCNVPQKYNGVESAVSDINEHWESQPRMLGQVHH >ONI15299 pep chromosome:Prunus_persica_NCBIv2:G3:2634298:2640259:1 gene:PRUPE_3G036400 transcript:ONI15299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDSSFEFEQSSSSHRHPRHPTPVSSYSRSTQNRTPNSRRRSRPRTPFAADNDVSWQSEISWQFEPTGWSDTRNLGSALSPWAATSTSTEAQITRRSANYYYLSRTSTGFRSSAQNNTNYDSTPDGRLELRSYVAPPRDGNNYNNYNNSESCLVFGKSNYNANYKKSPKLEKIKELGSGNYSGPLAKRDVLGHYDDESEVLGHGLSHNLGHDPGGHFYGTSKIIGHGGYGHGLSHAGHDHHQHGYDDHAWQSTVTNRRNDGDDRYGDSDQGSVFDEDGEDEEDAVPPKQVGLLSLFKYSTKWDLVLVFLGCVGALINGGSLPWYSFLFGQFVNKIAKESTFADKTPMMKDVEMICLYMAGLAAIVVVGAYMEITCWRMVGERSAQRMRREYLRAVLRQDVSFFDTEVAAGDIMHGISSDVAQIQEVMGEKMAHFIHHICTFICGYAVGFIRSRKLSLVIFSVIPLMMFCGIAYKAVYVGLTTKEEVSYRKAGTVAEQAISSIRTVFSFVAEDNLAERYANLLADLVPFGARIGFAKGAGVGVIYLVTYSTWALAFWYGGVLVARGEISGGDAIACFFGVNVGGRGLALSLSYFAQFSQGTVAAGRVFEIIDRVPEIDPYSSVGRTLPKARGRIEFKGVSFSYPSRLNAPILHSLNLVIPSSKTLALVGSSGGGKSTIFALIERFYDPNQGIVTLDGHDLRTLQVKWLRDQIGMVGQEPVLFATSILENVLMGKENATKKEAISACIAANAHSFISGLPQGYETQVGDRGALLSGGQKQRIALARAMIKDPRILLLDEPTSALDPESESVVQQAIDKISSGRTAIVIAHRLSTVRNSHTIVVLDSGSVVEIGNHRQLMEKAGAYYSLVELAADGVTKPFSKQNHTEKGTQLLVPDKSIHDAPRSNPTQGKTQIEEKEVQKPKPRKVRLSDIWLLLRPELPMLLFGLILGMHAGAILSIFPFLLGVALEIYFGKDPSKIKRKIEPLCLVLVGLGFGNIVFMTGQQGLCGWAGTKLTMRVRNLLFRSILKQEPGWFDSEENSKAVLVSRLSIDSVSFRSVHIDRLSVLLMGLSSGMVGLGLCVYLNWRLAILAAALTPLTLGASYLTLIINLGPKLDNEAYAKASNIASGAVSNIRTVTTFSAQEQLVKSFEKALSGPKSKSVRRSQIMGLALGFSQGVMYGAYTVTLLFGAYLIKEGKANFGEVYKIFLILVLSSFSVGQLAGLAPDTSMAATAIPAVFDIISRRPLIGSSNRDKDKKLDRSKPLDIQFKMVTFAYPSRPDVTVLSDFCLKIKGGSTVALVGGSGSGKSTVVWLIQRFYDPIQGKVMMGGVDLRDINVKWLRKQIALVGQEPTLFSGTIRENIAFGNPNASWAEIEDAAREAYIHNFISSLPQGYETQVGESGAQLSGGQKQRIAIARAILKRSKVLLLDEASSALDLESEKHIQDALRKISKRATTIIVAHRLSTIRGADMIAVMSNGAITEYGSHDALMASHLNGVYASLVRAETEANAF >ONI14715 pep chromosome:Prunus_persica_NCBIv2:G3:252459:256505:-1 gene:PRUPE_3G003800 transcript:ONI14715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDVSGSSMAASTSMGKAETQYEKAKTSVWWDIENCQVPKVCDTHAIAQNISSALVKMNYCGPVSISAYGDTNRIPASVQHALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAPLIAAAKSVWLWTSLSAGGPPLSSGESSQLANGNHSYNPEMPQYPLPEAFQINPPPVYFEQPSMGNQKPSTNGRVGDSKNKGKSNRKNPNQPNISRASSVPVGNQDDKNNDYPYQSEHTHAKQFKKAPHEFFGVSENLVSTNRSTPNFFPGNSDPSGGNGNNFLGQQNQYPPPRLNNFHVQPNVGLDNMFPPNSQSHGFRPIPPRPDGLRFSSAPPTNMPDMSKLIVSEYSNYAQNSQRFPHRNGDEFSRPRSSESLNSASPYASHKGHNLQSGQGFHHDSMNNRYPRGSDYLSSQSSPAVANNIPSNGIWGTQGCTPSEYVQGLIGVILLALNTLKVEKIMPTEANITDCIRYGDLKHRNTDVRKALDYAIEQHMVVKQSLGALQLYVGKNEQLWKCVNPIGGNLNHYPKATWDQIQNFLSSSDGRSAIMASQCRYEAAMILRKACSEGLALGNVLQILNMIVSMKKWITHHPSGWQPISITLEETKAEMGAEPST >ONI16349 pep chromosome:Prunus_persica_NCBIv2:G3:6998581:6999849:1 gene:PRUPE_3G093400 transcript:ONI16349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFSWLSKTGLEPSLVYEYGLAFAHNELEEEDIVYFNHEFLQSMGISIAKHRLEILKLARKEKGTSPRPIRKLLVAIKRTKRCLAEYVRTWIRREQDASALALVTRPSNYRSRFRGAMLKRNKKIIIANNQGSRLLLTNGSPVVVSGPHVDSFSSPVVYDLQKEGKMDGDDQYNDDGYWSTGVEEIRWDTMFQDLKPT >ONI16744 pep chromosome:Prunus_persica_NCBIv2:G3:10314539:10319446:1 gene:PRUPE_3G118900 transcript:ONI16744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKCEVQLGIPDVIHNHGQPISLSNLIFGHNVHPSKAHFIACLMRILVHSNFFAQDQQVQLPLRPNNNNSNENIVHQDLDDEAEEKTVVAYSLTPASGLLLKEGTLSTTQFLLMILDPVVTDPFHLMGTWCQMNNHGNLDHPASPFEMAHGRPFWGLAAQQPKFARAVVEECEGVFEGLNSLVDVGGGTGTTAKAIAKAFPNINCTVFDQPHVVANLQGTHNLDFVGGDMFEKIPPANAIFLKWIMHDWSDEERVKILKKSREAILSKNEVGKVIILDINVSADNTKMDKKSIETQLINIMMMVDLSGKKRSEAEWEKLFLTAGFSHYKITHTLSLRSLIEVYPRKKESLF >ONI20077 pep chromosome:Prunus_persica_NCBIv2:G3:27142364:27144375:-1 gene:PRUPE_3G313800 transcript:ONI20077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAARRLCSLSASPWRPNQAATASSSVLSRNLIDGRDSSDDLRSIYFTTDFYLPSRGFASDSLTPSKDNNLVPDVPPTVAAVKNPTSKIVYDDYNHERYPPGDPSKRAFAYFVLTGGRFVYASLIRLLVLKFVLSMSASKDVLAMASLEVDLSSIEPGATVTVKWRGKPVFIRRRTDDDIKLANSVDVNSLRDPQQDAERVKNPEWLIVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDVSGRIRKGPAPYNLEVPTYTFLDENKLLIG >ONI17165 pep chromosome:Prunus_persica_NCBIv2:G3:15326218:15328345:1 gene:PRUPE_3G141900 transcript:ONI17165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDDNNENRDEDELLSSSIQEKVNHASDPFSVHRCIYRIPGVLSKHKEKAFVPIVVSIGPSHHGNENLQAMEEVKLWYLHCLLDRKPTPETDMESLVKAIRPIQQACQECYEEKIHISNDEFLEMMVIDGCFISEFFLRFANEVNVDNEDGLFSTSWMLLAVINDLLLLENQLPWRVLDCLFELTCESGTSSLLGLINSTFKAYTGGLSAKPSGTAKHRHLLDFIRNSFLGSYPESQSDESTRDSEAIQMLGTSLFLNRNATTHVGTYQNCGSAPVLNITFENGVMEIPPIIVFDENRESLFGNLIVYEQYVDFLVEKGIIAKIWSRKEMLGFFKRLYNDTRLYKYFSYDELQKEVNAYCVGGWRKWKQILRRDCLKNPCSSSS >ONI16414 pep chromosome:Prunus_persica_NCBIv2:G3:7328266:7335165:1 gene:PRUPE_3G097000 transcript:ONI16414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSEEESDISESEINDYKDKPYEQLREGKYKVKGPNGTLRCPFCAGKKKQDYKFKDLFQHASGVGKGSANRSAKQKANHIALAIYLENDLASEADQIQRPLLPTPVAPQEKQEEDLYVWPWTGIVVNIVSQPKDGKDLLDSRYWLRKFSKYKPSEVHTFLNEEEPAACAVVCFSKDWSGFGNATDFEKMFETDCHGKKDWNARKQLPGSSIYGWCARADDYHSQGPMGTFLREEGKLRTVSDIVQEAAQNRNDVVASLANKIDMTNENLDELRYKYNENTMSLSRMLEEKDKLHNDFVEETRKMQRTARDNVRRILDEQEKLNYELESKKRKLDSWSKELNKREALTERERQKLDEDKKKNDQRNNSLHLASVEQKKADENVLRLVEEQKREKEDALNKILELEKQLDAKQKLEMEIEEIKGKLEVMKHLGDQDDDAVQKKIKEMNDELEEKVDELEDLESLNQTLITKERQSNDELQKARKELIAGLRGMLDVRSLIQIKRMGDLDYKPFYNVCKERFSDEEAQVQASTLCSLWQDNLTKTDWHPFKIITVDGNAQEIINEEDEKLWNLKEEWGHEIYECVVTALKELNEYNPSGRYAVSELWNVKEGRKATLKEVISYILSKIKTLKRKRT >ONI16415 pep chromosome:Prunus_persica_NCBIv2:G3:7328700:7335165:1 gene:PRUPE_3G097000 transcript:ONI16415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSEEESDISESEINDYKDKPYEQLREGKYKVKGPNGTLRCPFCAGKKKQDYKFKDLFQHASGVGKGSANRSAKQKANHIALAIYLENDLASEADQIQRPLLPTPVAPQEKQEEDLYVWPWTGIVVNIVSQPKDGKDLLDSRYWLRKFSKYKPSEVHTFLNEEEPAACAVVCFSKDWSGFGNATDFEKMFETDCHGKKDWNARKQLPGSSIYGWCARADDYHSQGPMGTFLREEGKLRTVSDIVQEAAQNRNDVVASLANKIDMTNENLDELRYKYNENTMSLSRMLEEKDKLHNDFVEETRKMQRTARDNVRRILDEQEKLNYELESKKRKLDSWSKELNKREALTERERQKLDEDKKKNDQRNNSLHLASVEQKKADENVLRLVEEQKREKEDALNKILELEKQLDAKQKLEMEIEEIKGKLEVMKHLGDQDDDAVQKKIKEMNDELEEKVDELEDLESLNQTLITKERQSNDELQKARKELIAGLRGMLDVRSLIQIKRMGDLDYKPFYNVCKERFSDEEAQVQASTLCSLWQDNLTKTDWHPFKIITVDGNAQEIINEEDEKLWNLKEEWGHEIYECVVTALKELNEYNPSGRYAVSELWNVKEGRKATLKEVISYILSKIKTLKRKRT >ONI16416 pep chromosome:Prunus_persica_NCBIv2:G3:7327178:7335183:1 gene:PRUPE_3G097000 transcript:ONI16416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSEEESDISESEINDYKDKPYEQLREGKYKVKGPNGTLRCPFCAGKKKQDYKFKDLFQHASGVGKGSANRSAKQKANHIALAIYLENDLASEADQIQRPLLPTPVAPQEKQEEDLYVWPWTGIVVNIVSQPKDGKDLLDSRYWLRKFSKYKPSEVHTFLNEEEPAACAVVCFSKDWSGFGNATDFEKMFETDCHGKKDWNARKQLPGSSIYGWCARADDYHSQGPMGTFLREEGKLRTVSDIVQEAAQNRNDVVASLANKIDMTNENLDELRYKYNENTMSLSRMLEEKDKLHNDFVEETRKMQRTARDNVRRILDEQEKLNYELESKKRKLDSWSKELNKREALTERERQKLDEDKKKNDQRNNSLHLASVEQKKADENVLRLVEEQKREKEDALNKILELEKQLDAKQKLEMEIEEIKGKLEVMKHLGDQDDDAVQKKIKEMNDELEEKVDELEDLESLNQTLITKERQSNDELQKARKELIAGLRGMLDVRSLIQIKRMGDLDYKPFYNVCKERFSDEEAQVQASTLCSLWQDNLTKTDWHPFKIITVDGNAQEIINEEDEKLWNLKEEWGHEIYECVVTALKELNEYNPSGRYAVSELWNVKEGRKATLKEVISYILSKIKTLKRKRT >ONI18600 pep chromosome:Prunus_persica_NCBIv2:G3:22509063:22511549:-1 gene:PRUPE_3G225700 transcript:ONI18600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVILNPNGQIELLLWLDFSKKWFSWWKKPVDTCDFYNHCGPYGACNDKNETLSSPCKCLTGFRPKFQDQWDKGNWVGGCVPEKALMCKEDGFSKFSKLKLPDHAVLLENMSMSACESKCFQNCSCTAYAYPNVTAGSIRKCLTWFGDLMDILDNQPVDSPSLQNYGHDVYIRVHGSQLGLKSHSHNVLKKSPVIGIASATGVLITLVLGYFFWKKYLGMEGSTEGSMSETISKARAEAAKNDAELPLFSLKRVLAATNNFSVANKLGEGGFGPVYKGVLLENQEVAIKRLSKKSAQGHLEFMNELKLIAKLQHTNLVRLLGCCIEEEELILIYEFMPNRSLDKLLFAPSNNTELDWGRRFRIIEGIAQGLLYIHKYSRLKIIHRDLKASNVLLDGAMNPKISDFGMAKIFEINQTEANTNRVVGTYGYMSPEYARYGHFSEKLDVFSFGVLLLEIVSGKKNAAFYRCEHSPTLAGWAWELWKEGRGMEVIDASVRETCPPDEALKCIHVGFLCVQEAPADRPTMSSVIRMLQANEATSLPPSKEPAFSTHKNSIPAAVSSSGQTPASFSNNTVTISLAEGR >ONI18599 pep chromosome:Prunus_persica_NCBIv2:G3:22508917:22514596:-1 gene:PRUPE_3G225700 transcript:ONI18599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLNLGLALLLLSLVLPICISQDNLGPGKSIIGKQTLISSLGTFALGFVSPENSTKYFLGIWYNKIPKTPIIWVANRESPLDSPGVFTLSGDGNLVVLDTVDGTRKVIWSSNTSVPASAMNGTTGVLVDNGDLQLRFGEDTLWQSFDNPSDTLLANMKLSFNKRTSQRRALTSWAALDDPQPGKFTSGIDPKVPGQLVIWKENAIYWRSDLYVGKETNTDFGVDDFSKKWFSWWKKPVDTCDFYNHCGPYGACNDKNETLSSPCKCLTGFRPKFQDQWDKGNWVGGCVPEKALMCKEDGFSKFSKLKLPDHAVLLENMSMSACESKCFQNCSCTAYAYPNVTAGSIRKCLTWFGDLMDILDNQPVDSPSLQNYGHDVYIRVHGSQLGLKSHSHNVLKKSPVIGIASATGVLITLVLGYFFWKKYLGMEGSTEGSMSETISKARAEAAKNDAELPLFSLKRVLAATNNFSVANKLGEGGFGPVYKGVLLENQEVAIKRLSKKSAQGHLEFMNELKLIAKLQHTNLVRLLGCCIEEEELILIYEFMPNRSLDKLLFAPSNNTELDWGRRFRIIEGIAQGLLYIHKYSRLKIIHRDLKASNVLLDGAMNPKISDFGMAKIFEINQTEANTNRVVGTYGYMSPEYARYGHFSEKLDVFSFGVLLLEIVSGKKNAAFYRCEHSPTLAGWAWELWKEGRGMEVIDASVRETCPPDEALKCIHVGFLCVQEAPADRPTMSSVIRMLQANEATSLPPSKEPAFSTHKNSIPAAVSSSGQTPASFSNNTVTISLAEGR >ONI16806 pep chromosome:Prunus_persica_NCBIv2:G3:11015627:11018296:1 gene:PRUPE_3G123300 transcript:ONI16806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQQMRRENTTSEREVEIEKDKVPKITTHFEALRGAEGKDTSLDSSKQSQQQHEDKARSGSTAGDVGMGKAEEAHELESLKDQVEKDKDKEREAQADQARTAKMVADKEAEAKRESEGRTQGQGEGQGGKFEEHHQGQGQSEGQGQGGARPQTHTKVEEHQRQAGAQGQGGGQVGEHQRQVGAQGQEGGGQYHAKVEEHQGQGGGRQSLGQAGGEGKGRAQSVGKFEVSGEEEKGSANKGRESTEVDKQSRCTQIGQGIEKMTLEEEKSSKGGRENEMKSREEKEGRSTNTTGRGDQGREEMGGGEERGSIGREEKEGRQQQNKEQQPSLEEISKFRAAAQQNSMEAIRAAEERYAQAKESARQGIGSATEFVSEKGEQTKETLVQGAQTAKETLSSAGKTVVEKTAPVAENAKDVAVSAGQTTLHYVGEGAAKAKDVTLEGGKTAGAYAGDVAVGLKDKATVAGWSAAHYTTEAAVEGTKLAARTVKGAAEYAGHTAVDIVSKPLIMAKDAAAVAGEKAEEYTARKREEAQREVEAKRSAEQNKSQGGLTQQGREKEGSKGDQGETGQEWQSQVQGTVRGEDISRDQRREEGQKGTQSEGGDQQRREERTYEEGGDKASTEEYRSGMDTSQVAGGGAGVGVVLGAIGETLVEIAQTTKDMVIGQEEEQNGNQGEWNSSTDQQGKQGVGKMK >ONI16796 pep chromosome:Prunus_persica_NCBIv2:G3:10950347:10954664:-1 gene:PRUPE_3G122600 transcript:ONI16796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNPGILIDIVDEEWMRSTLPDDELPLPPVLVVRTDDTEDSNQETQRVAADGWHDLALGSQ >ONI16797 pep chromosome:Prunus_persica_NCBIv2:G3:10950315:10954669:-1 gene:PRUPE_3G122600 transcript:ONI16797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNPGILIDIVDEEWMRSTLPDDELPLPPVLVVRTDDTEDSNQETQRVAADGWHDLALGSQ >ONI16798 pep chromosome:Prunus_persica_NCBIv2:G3:10950342:10955508:-1 gene:PRUPE_3G122600 transcript:ONI16798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNPGILIDIVDEEWMRSTLPDDELPLPPVLVVRTDDTEDSNQETQRVAADGWHDLALGSQ >ONI16795 pep chromosome:Prunus_persica_NCBIv2:G3:10950285:10955513:-1 gene:PRUPE_3G122600 transcript:ONI16795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNPGILIDIVDEEWMRSTLPDDELPLPPVLVVRTDDTEDSNQETQRVAADGWHDLALGSQ >ONI16567 pep chromosome:Prunus_persica_NCBIv2:G3:8497507:8505476:-1 gene:PRUPE_3G107000 transcript:ONI16567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVNLWRQTLTYSLLQSPNPQIPNTMTLCNCNPFLSKTPIFSLPFHPTTKTQLPISSLCCSVNPSSVPDPNPSTNCSNSDTNNGGGGPKNKLSDQSSWEAKDSEGNDYLYRLGKEADNMNIAVGARAGLVDDLFVGKFLGRDSDIVFDYRQKVTRSFQYLQGDYYIAPLFMDKVVCHIAKNYLAHLLNTKVPLILGIWGGKGQGKSFQTELIFQAMGVEPVIMSAGELESERAGEPGKLIRDRYRTASQVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLCDNPTRVSIGQDWRESDITNRIPIIVTGNDLSTIYAPLIRDGRMEKFYWQPNHEDIINIVYRMYEKDGMSRDEVVSIVDTFPNQALDFYGALRSRTYDRSISKWVEDIGGVQSLGDKLLKRRKNDNLPVFTPPKQTIEALFESGHSLLKEQQLIMETKLSKEYMKNMDD >ONI16568 pep chromosome:Prunus_persica_NCBIv2:G3:8497929:8505476:-1 gene:PRUPE_3G107000 transcript:ONI16568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVNLWRQTLTYSLLQSPNPQIPNTMTLCNCNPFLSKTPIFSLPFHPTTKTQLPISSLCCSVNPSSVPDPNPSTNCSNSDTNNGGGGPKNKLSDQSSWEAKDSEGNDYLYRLGKEADNMNIAVGARAGLVDDLFVGKFLGRDSDIVFDYRQKVTRSFQYLQGDYYIAPLFMDKVVCHIAKNYLAHLLNTKVPLILGIWGGKGQGKSFQTELIFQAMGVEPVIMSAGELESERAGEPGKLIRDRYRTASQVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLCDNPTRVSIGQDWRESDITNRIPIIVTGNDLSTIYAPLIRDGRMEKFYWYVIVQRRINLPFCWQPNHEDIINIVYRMYEKDGMSRDEVVSIVDTFPNQALDFYGALRSRTYDRSISKWVEDIGGVQSLGDKLLKRRKNDNLPVFTPPKQTIEALFESGHSLLKEQQLIMETKLSKEYMKNMDD >ONI17117 pep chromosome:Prunus_persica_NCBIv2:G3:14624041:14624776:1 gene:PRUPE_3G138700 transcript:ONI17117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISALVRGVNWMRRMQTTFFCTAGSLLRFGGFQEVFGLVWVFSRNCSVLVGGFMNEGVKDLRFRI >ONI17816 pep chromosome:Prunus_persica_NCBIv2:G3:19612891:19616643:-1 gene:PRUPE_3G180200 transcript:ONI17816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGEYQPLLLGLDSHSQIPGLSSAAIEEFLEHQPVAVRWWPRLVAWESRLLWILSGSSIIVSIFNYMLSFVTLMFCGHLSALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAFGARKLPEMGIICQKAIILHLGAAVLLTFLYWWSGPILIAIGQAEDIALQGQVFARGIIPQLYAFAINCPQQRFLQAQNIVNPLAYMSVGVFLIHILLTWLVVYVLEYGLMGAALTLSLSWWLLVITYGLYIILSPKCKETWTGFSWNSFKGIWPYFKLTLSSAIMLCLEIWYNQGLVLISGLLSNPTIALDSISICMNYLNWDMQFMLGLSAAASVRVSNELGAGNAKVAKFSVFVVNGTSILISIVFSAIVLIFKVGLSKLFTSDSVVIAAVSDLTPLLAISVFLNGIQPILSGVAIGSGWQAVVAYVNLTCYYIIGLPIGCVLGFKTNMGVAGIWWGMIIGVFLQTVTLIILTARTNWNTEVEKAADRLKKAAEIPDSLTDV >ONI17184 pep chromosome:Prunus_persica_NCBIv2:G3:15574370:15579951:1 gene:PRUPE_3G143500 transcript:ONI17184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSTGKTGSSLAIAEKKTHRPGGCVGIFFQLFDWNRRFAKKKLFSKKLLPPSRAKQVSKKFRDEKMPNSKLHLIADENSGGFPNVKKNVNRSVDFEHKHELRAPSLVARLMGLESMPATRENPKKASFTDACDSGEKTFLDNHSGSDRAELNLETGNAKSESRPQKLQKMGPYEKRAVTRFGAEALQIKSVLSRSRKHHPKLASPAKSPRIPSGKNASRTSRLIDAATRILEPGLQSTNRAKCAITYSSSFDYPSVDEVLADGTTVQSPEISSQACYNVGASNSLMSQTSCKSCGNLVDVVDLRSKVEEQQPAFPSLASNIVNGSSLVAEQNKPRSSMSSFGQEKDAIFQGTRNQPVSVSGQKGMRSLGEPVTERKSMPPEGQASWQLSSQPCKPQSEEASSITLKNRSQMQHRMSLGRERIPPRSKLNNLDSRRASSAANAVRETKDFVALNRNLSGRAQPRVPTKANDSKFDTERKAFTGKDDYPSQLRTTIRKRRMINVSGQVESSGLVSSSSTRQVNYQFDVPTRKGLGNGARLMNTTSPKSKLPGQREGNRANGNKDTDVISFTFNSPIRNKTGIPTQMDGPSMDNGTKPSFQKPLSLSGDAIGAFLEQKFRELACQEDDDLAAGASSKRSTAMILQELISTLTADHSLSHDGHMASADIESPAQRKTDRSVGIFHHGDSLSPGSVLEASFSSSSLDDSSGHRSFYPHFMDYSDDALQLGHYGDLIDSATSVDRKKTGSEMMTALVNNVSRILHSINAGGERLRGDKLTHANEVILKAELLFGDVTQHKMDVMKGLFISPLLLDLETIASSMMKIFDVLSSFGDTKEGTKISEFLFDCVIEHLDSKYGRYCNSGFRFWEKLPLCMNRKLMIQEVEDEMQKWTDLAGMIPDEMIEWDMNHALGKWTDFNIEAFEAGSEIDGDILQSLVNEVVVDLRECRLGSL >ONI19675 pep chromosome:Prunus_persica_NCBIv2:G3:26100648:26104639:1 gene:PRUPE_3G291100 transcript:ONI19675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHKHYRPPGKKKEGNAARYITRSQAVKQLQVSLPLFRKLCILKGVFPREPKKKVKGNHHTYYHLKDVSFIQHEPLLERLREIRAYERKVKKADAKKNRDRANLLRQRRPTYRLDKIILQRYPNFIDALRDLDDCLTMVHLFAALPAIEERIEVKRIHNCRRLSHEWQAYISRTHKLRKVFVSVKGIYYQAEVKGQKVTWLTPHPLQQVLTEDIDFNIVLNFLEFYEALLAFVNCHLYRSINVKYPPILDPRLEALAADLYALSRYFDANSRSAVLDPQASSLSGSGNVESQQIGDSVSESELRLAQLQHQLPSNEPGALMHLVKDVAGEEEEDDDTRECKKLFKDMKFFLNREVYRESLLFVIPAFGGTVSWEGDGAPFEENDESITHQIVDRPMHGRQTLAREYIQPQWVYDCINARIILPTGGYLAGRDPPPHLSPFVDNEAEGYVPDYAETIKQWKTAGRSEVLPLPGVGKEDLEDPQKLLAEGVIDRAKAKEAVEKKKKMMALERQYHDELNKELHGVPHSSSVSNIDNHISGKETEDKEEFDDDSELAKLSLSRRKRGNLEAAEKGIKRKKDHIDVIRERKRKLKESQKSKEG >ONI16633 pep chromosome:Prunus_persica_NCBIv2:G3:9339829:9341879:1 gene:PRUPE_3G112000 transcript:ONI16633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFITDVPKSVLQDVILKLPTKSIILCKSVCKTWYSLISDPAFARLHFAQAEAYPLVRPVDGRSVSRNLFLVEPEDTFGFDLKDHDCNRSRVHMNLSKYKFPLCHAEELLNYHRNGNVMPSHETEREVHMKITNIDDNNNEVDWGEGIAFMMQYDHNNYNLVNSCNGLLCLSDFFVNYPAAVCNPITGEFINLPYGPKHEKAMTLIGSGLGFSPRTNEYKVVRILKERTPDPKVAEIHTLGTGSWKSVGTAPFSDAELSFPTCVKGMLYWFCDRWTGCSVISFDLDTEEFQSVPSLPFMEDDCDVGMGDLGGSLCLCETESFEINVWVMNDSGPQKLWMKKLSIRTGFSGRWPDDVYIPMKYFENDGLLMFNSRLNAFFYYHPGNHSPFIYLKLREINKSSSEAISHVPSFISLKDILVGKDVEILNINSRCAVLKLPGETEALSLVEENASSRYSSDSWEE >ONI15932 pep chromosome:Prunus_persica_NCBIv2:G3:5028385:5030951:1 gene:PRUPE_3G069800 transcript:ONI15932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLTLPLPFPAVIPPLPPPSSSSSSSSSSSCLCSFRPYQYKPNPGCSIALRAKPISFLNRRRQSHVVRMAPEEEKLTRRSPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPPPLPADPPEEDEEEEEKKEEEEEEGEEDPDKENPEDPGQQ >ONI15025 pep chromosome:Prunus_persica_NCBIv2:G3:1577361:1578787:1 gene:PRUPE_3G021700 transcript:ONI15025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSIIYCVDVINTDDILPSLEDQGVHQLYPKGPNIDFKKELRSLNRELQLHILELADILVEKPSQYARRLEDISLVFKNLHHLLNSLRPHQARATLIHILELQIQRRKQVVEDIKRRREEARRLLKESIGTLEDTDASFVLK >ONI17645 pep chromosome:Prunus_persica_NCBIv2:G3:18995310:18998969:-1 gene:PRUPE_3G171200 transcript:ONI17645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKEKPLSANVAASQHSFQVILMAIILMLVSSCLAPSASAAGPEAEALLNWKASLDNTSLSLLSSWVGNSTCNWDGIGCNNIGSITQINLTGSGLRGTLHSFSFSSFPSLLSLNLSHNSISGRIPSEIGFLKSLQILDLSENNLNGSIPHEVGLLGNLTILSLHNNELSGNIPLEICMLNSLSVIHIEKNSLTGSIPESIGNLSNLTVLALHRNNFSGSIPSSIGNLTRLKELYLMGNQLTGTIPNEVGKLQFLTLLGLVDNRLNGSLPLELSNLKFLKHLWLSGNNLSGNIPPDLCSGGLLEMFTAHHNQLTGLMPTSLRNCTSLVRLRLERNQLTGNINEEFGIYPKLVYVDLSYNRFYGELSENWGECQNLQSLKLSNNRISGRVPQFEGSIQLHILDLSSNNLTGTISRELGRLTSLFNLNLGDNKLSGSVPPEIGMLTDLQQLNLAANDFNGPIPEQLDGCRELLNLNLSKNKFSESIPLRMGSMHSLQVLDLSQNSLMKEIPPQLGQLVKLEALNLSHNELSGSIPYTFDNMLSLTVIDLSFNHLEGPLPNNKAFLEASAVAFVNNTGLCGNATGLNTCPSETRGGKKGSKSVIFIIVFVLAILFFAFVVFGILCVCCYSQEAQNENQFAVWSYDGRLEYEDIIEATEEFNSKYCVGVGGNASVYKAELQTGRIVAVKKLHIVQDSGVANFKAFENEVRTLSEIRHRNILKLYGFCSHPQHPLLVYDFIEGGSLENILTDENHAFKFGWIERVNVVKDVANALSYMHHDCSPAILHRDISSKNILLDLEYGAYVSDFGTAKLLKADTSNWTSFAGTFGYSAPELAYTMETNEKCDVYSFGVVALEVIMGKHPGDLISYFLSLSLTSTAQPIQLKDVLDPRLSPPGNHVVEKVVTVVNLAFACLRTNPQSRPTMQQISHELLF >ONI17811 pep chromosome:Prunus_persica_NCBIv2:G3:19590705:19594579:1 gene:PRUPE_3G179900 transcript:ONI17811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAKSNPQLRRAVCYSNGDKKQTSGGTTTSPSVIVIGGGMAGVSAARALHDASIQVMLLESRDRLGGRVYTDYSFGFPIDLGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGKQVPQDLVTKVGEVFENLLKETDKVREEFSEDMSITRAFSIVFERNPELRLEGVAHKVLQWYLCRMEGWFAADADTISLKCWDQEELLPGGHGLMVRGYLPVINTLAKGLDIRLGHRVTKIARQYNGVHVTIEDGSTFVADAAVVAVPLGVLKAKSISFEPKLPNWKEEAIDDLGVGIENKIVLHFEKVFWPNVEFLGVVAETSYCCSYFLNLHKATGHSVLVYMPAGQLAKDIEKMSDEEAANFAFMQLKKILPDASSPIQYLVSRWGSDVNTLGSYSYDMVGKPHDLYEKLRVPVDNLFFAGEATSADFPGSVHGAFSTGMMAAEDCRMRVLERYGELDLFEPVMGEEAMSIPLLISRI >ONI16938 pep chromosome:Prunus_persica_NCBIv2:G3:13492784:13493983:-1 gene:PRUPE_3G131500 transcript:ONI16938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFVANLEERDHQIQMDHNQGSQSSSTKVERRVIEKNRRNQMKVLYSKLNSLLPRQNSQEPLSLPDQIDEAINYIKSLETKLQKSKEKRDSLKGKKKRSHTACTNFDSMRCSKPPQIEIHEMGSTLEVVLISGLDNHQFMFTEIIRIVHEEQADVVHASLSTLGDSIFHVIRAEVGKSMIDFGAAKITEKLRRFVNGSTSDEELQREYLWDFEIYPETLWDQF >ONI16132 pep chromosome:Prunus_persica_NCBIv2:G3:5912030:5915650:1 gene:PRUPE_3G080100 transcript:ONI16132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVAGSLGTLNAKITNLNFGRTRVGILQSSGLKPWTGQKPQLYSCLSISRRPDNVLRAHSGPSLETLPTTSLEDGPAESRDSGSTNQLIPNFDEVKSLVTTICDTTSVAEFELKIGGFRLHVLRELTEKISTLPPPSPAPVSVNATSEAPASNGSVPTQSLAVIRQEHSSRNIQTLLDRAADDGLVLIHSPRVGLFRRSRTIKGKRAPPSCKEKQIVKEGQVICYIEQLGGELPIESDVSGEVIKILREDGDPVGYGDALIAVLPSFPGIKKLQ >ONI16131 pep chromosome:Prunus_persica_NCBIv2:G3:5910917:5915769:1 gene:PRUPE_3G080100 transcript:ONI16131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSLGTLNAKITNLNFGRTRVGILQSSGLKPWTGQKPQLYSCLSISRRPDNVLRAHSGPSLETLPTTSLEDGPAESRDSGSTNQLIPNFDEVESLVTTICDTTSVAEFELKIGGFRLHVLRELTEKISTLPPPSPAPVSVNATSEAPASNGSVPTQSLAVIRQEHSSRNIQTLLDRAADDGLVLIHSPRVGLFRRSRTIKGKRAPPSCKEKQIVKEGQVICYIEQLGGELPIESDVSGEVIKILREDGDPVGYGDALIAVLPSFPGIKKLQ >ONI16133 pep chromosome:Prunus_persica_NCBIv2:G3:5911809:5915769:1 gene:PRUPE_3G080100 transcript:ONI16133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWVAGSLGTLNAKITNLNFGRTRVGILQSSGLKPWTGQKPQLYSCLSISRRPDNVLRAHSGPSLETLPTTSLEDGPAESRDSGSTNQLIPNFDEVESLVTTICDTTSVAEFELKIGGFRLHVLRELTEKISTLPPPSPAPVSVNATSEAPASNGSVPTQSLAVIRQEHSSRNIQTLLDRAADDGLVLIHSPRVGLFRRSRTIKGKRAPPSCKEKQIVKEGQVICYIEQLGGELPIESDVSGEVIKILREDGDPVGYGDALIAVLPSFPGIKKLQ >ONI18392 pep chromosome:Prunus_persica_NCBIv2:G3:21689549:21689770:-1 gene:PRUPE_3G212800 transcript:ONI18392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFQLCLLFTLLLFFAPTNNRAIRTSFPSPASARSQQVFRPSVSAPSTRQARVFNSEKRRVPTGSNPLHNKR >ONI16026 pep chromosome:Prunus_persica_NCBIv2:G3:5440519:5440764:1 gene:PRUPE_3G074500 transcript:ONI16026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVIIGKYKFIGYALHFQLILSSQTSTTTQVNTQQAQNSETRIVEKQNKSNFRKSKTYVKHRSKTHVSKTSYTLNSHTKLH >ONI17655 pep chromosome:Prunus_persica_NCBIv2:G3:19039417:19041819:-1 gene:PRUPE_3G172200 transcript:ONI17655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAATPTQSLCHGSSSLSWRHISLESCLQSSRGSSDACFMDFEWFKFHTGVSSQFCTSLLEYVKR >ONI15279 pep chromosome:Prunus_persica_NCBIv2:G3:2565434:2566132:1 gene:PRUPE_3G035200 transcript:ONI15279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKIPKSEISDNNNTSDGKKRSKGRRRIEIKRIEEKNKRHVTFSKRKKGIFNKAAELSVLSGAEIATMVVSSNGKVFCFGTPNYDAVINCYLGHHTAPLAAAGQPDHRALLHGKTMRSSNKQVENYVEATRHLEAEKISIKGEENNNNINFAINNNNYYYNSDYEGREGGCGYAWWERPIPIGMMSSLEELEEYKAALYKLKHNVEVRTNQMIMGSAPSNYYSSLLVMGD >ONI20058 pep chromosome:Prunus_persica_NCBIv2:G3:27090240:27095372:1 gene:PRUPE_3G312900 transcript:ONI20058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALHFSGVKTPCPASASSERSLQYKQPLWSQRLRFATRVASNNGFYTSAKNFSCTSRVLRVSCEGGGITDVLEKKQTDNQCLGENEKQLTCVMKFGGSSVASAERMREVADLILSFPQERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVSTIDELSFIKELHLRTVDELGVDSSIISSHLEELEQLLKGIAMMKELTLRTKDYLVSFGECMSTRLFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLHGDWICNPAIPIVTGFLGKGWRSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPRAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTVITHARDMSKAVLTSIVLKRNVTMLDIVSTRMLGQYGFLAKVFSTFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFQVLRTNGVNVQMISQGASKVNISLVVNDNEAEQCVRALHQAFFENDPFEVECGSENGSV >ONI20059 pep chromosome:Prunus_persica_NCBIv2:G3:27090240:27095372:1 gene:PRUPE_3G312900 transcript:ONI20059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALHFSGVKTPCPASASSERSLQYKQPLWSQRLRFATRVASNNGFYTSAKNFSCTSRVLRVSCEGGGITDVLEKKQTDNQCLGENEKQLTCVMKFGGSSVASAERMREVADLILSFPQERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVSTIDELSFIKELHLRTVDELGVDSSIISSHLEELEQLLKGIAMMKELTLRTKDYLVSFGECMSTRLFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLHGDWICNPAIPIVTGFLGKGWRSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPRAEPVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTVITHARDMSKAVLTSIVLKRNVTMLDIVSTRMLGQYGFLAKVFSTFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKAFQVLRTNGVNVQMISQGASKVNISLVVNDNEAEQCVRALHQAFFENDPFEVECGSENGSV >ONI19052 pep chromosome:Prunus_persica_NCBIv2:G3:24431841:24433436:1 gene:PRUPE_3G255800 transcript:ONI19052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDSGFCSDCKAYTDVVLDHRSGDTICTECGLVLEERARSDPITDNGVLTVNISNDNKKAVSSVLPRLHKTLRNPDKSLHIAFESLGVMADGLALVATIRDHAKELYEKADNRKFCRGRNCDAIMAACLFLACQEKGFPRTLKEISTVANGASRKEISRMKELLKKILEVDSKTTNVGDLSRRCCSRIGMANQDMKAVLETLNKSEEVDVRRSPQSTLAAVMYMIAQLSNDKSTRDLTLQDVSQAADVAVATTEKAYKDLYPYASRIIPNWFVKLEALKKLCVP >ONI16799 pep chromosome:Prunus_persica_NCBIv2:G3:10956940:10958192:-1 gene:PRUPE_3G122700 transcript:ONI16799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESINLQLCKFCTCLALIIMLGAWSSEATSRSLQDASMYGRHEQWMTRYGRVYTDNEEKEKRFKIFKENVAYIESSNADTSKPYKLRVNQFTDLTNEEFIASRNRFKGHECSTKTTSFKYENVTTSVPATMDWRKKGAVTPIKDQGQCGCCWAFSAVAATEGITQLTTGKLVSLSEQELVDCDTKGVDQGCEGGLMDDAFQFIQQNHGLSTEANYPYNGVDGTCNTKKEANIAAKITGHEDVPANDEKALLNAVAHQPVSVAIDASGSDFQFYSSGVFTGTCGTSLDHGVTAVGYGVSDDGTKYWLVKNSWGTEWGEEGYIRMQRDVDAKEGLCGIAMEASYPTA >ONI19551 pep chromosome:Prunus_persica_NCBIv2:G3:25795565:25797324:1 gene:PRUPE_3G284700 transcript:ONI19551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASCVSTTPPSVNKAWIYREHGETAHVLKFETNVAVPQIKEDQVLIKVVAAALNPIDAKRILGVFRATDTALPTVPGFDVAGVVVKLGSKARKFNIGDEVYGDINEEGSINLKKFGTLAEYTAAEERLLALKPTNLSFVEAASLPMAMETAYEGLERVGLSAGQSILVLGGAGGLGTHVIQLAKHVFGASRVAATASTKKLDLLKSLGADLAIDYTEESVEDLPEKFDVVFDAVGQSDKAVKAVKAEGRVVTIFGPITPPAFMFVLTSTGSSLEKLKPYLESGKVKPVLDPTGPYPFSKTLEAFAYLQTSRAAGKVVVYPIP >ONI15281 pep chromosome:Prunus_persica_NCBIv2:G3:2573217:2575613:1 gene:PRUPE_3G035400 transcript:ONI15281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKPSSATPPSSKPTWVLPYKTQNLTDLYTLGRVLGQGQFGTTYLCTESSTGHQYACKSIPKRKLLCIEDYEDVWREIQMMHHLSEHPHVVRIRGTYEDSVSVHLVMELCKGGELFDRIVKKGHYSEREAAKLLKTIVGVVETCHSLGVMHRDLKPENFLFDSEEEDAALKATDFGLSVFYKPGETFSDVVGSPYYVAPEVLRKHYGPESDVWSAGVILYILLSGVPPFWAETEIGIFRQILQARLDFESEPWPRISESAKDLLRKMLERNPKKRVTAHEVLCHPWIIDDTMAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDADDSGSITFDELKEGLRKVGSELMESEIKDLMEAADIDNSGTIDYGEFLAATVHLNKLEREENLLSAFSFFDKDGSGFITIDELRQACREFGLGELHLEDMIKEIDQDNDGQIDYGEFAAMMRKGNGGGIGRRTMRRTMNLGDALGLGLADNGLQLTD >ONI15237 pep chromosome:Prunus_persica_NCBIv2:G3:2368789:2370396:1 gene:PRUPE_3G031700 transcript:ONI15237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKFRKASNLVDHKQSSVAVGLKILIQISQGNKSNIIVKSSLRLSQPNPEFSCFLKTCHLCNKGLSLEKEVYMYRGDLGFCSIQCRNRQIVIDEMRDLEASTKQMLASYRRGQNRCSSNTKSRLSVLEDVHLQQDRIPQHRNIFAL >ONI17230 pep chromosome:Prunus_persica_NCBIv2:G3:16093960:16096288:1 gene:PRUPE_3G147400 transcript:ONI17230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKYKMSNVSGAIIFILGFFFVRPAECRTPANWGTVKYSALSCRKHSALLTDFGAVGDGKTSNTKAFKAAIDHLSQSASDGGAQLIVPPGKWLTGSFNLTSHFTLFLHKDAVILATQDESEWPLVPVLPSYGRGRDAPGGRFSSLIFGTNLTDIVITGNNGTIDGQGTSWWKKYKAGQLNETRPYMIEIMYSNQIQISNLTLVNSPSWFVHPIYSSNITIQGLTILAPIDSPNTDGIDPDSCSQTRIEDCFIVSGDDCIAVKSGWDQYGIKVGIPTEHLVIRRLTCISPDSATIALGSEMSGGIRDVRAEDITALSTQSSVRIKTAQGRGAYVKDIFVRRMTLKTMKYVFWMTGSYGSHPDPSFDPKALPLIQNINYKQVEAENVTYSARLEGIPNDPFKGICISNVTITLIEKPKKLQWNCTNIAGVTGNVTPKACDLLPEKKEVVDCPFPEDRLAIEDVKLVTCSASLPFF >ONI17552 pep chromosome:Prunus_persica_NCBIv2:G3:18549091:18550170:-1 gene:PRUPE_3G166600 transcript:ONI17552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYVWKKAFGSVDHQWNFDCFATVKKFKFINIKYKKKKKKTVYPSYHDNDCVMHRSAPEQSFEWATYIVVSVRFNTGQPDILATSASDCSIALYDSRMGKPRRRSLHEGR >ONI19889 pep chromosome:Prunus_persica_NCBIv2:G3:26687010:26689184:1 gene:PRUPE_3G303500 transcript:ONI19889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYSYSSYPGGDSTSILIDDGTAEELRNTITKNLLGDSPLPAHCCIFRVPELIRRQKKAAYEPDIVSIGPFHRGCGEKFQLMEKVKRWYLQCLLSSRTDISLDSLIKGIMELGRRARDCYADPLEHLSQKDFVQMMILDGCFLLELFRKELWDYLQDENDPVFNLSCMLEYLYHDLLLLENQLPWFVLERLYNLTANNTSQTSASLTKLVLNFFKQSVFDDQISDLNLNLPFEILHILDLIRTVTVVPFKNLESQKKEERVEEQEERKNEPEFPQRIPNATTLSEAGVQFRRSKNTPDCIMNIEFDFKNGVFTIPPLGIDEKTGPLFRNLIAFEQCHHSRLHKITSYAVLMDNLIDTNKDVELLRERGILANWLSPEDAAQFFNELYNDTTVIGFYYRGLCNDVNKYYNTDWNKWMEKLKRDYFSTPWAVISFIAAFILLVLTLVQTAYTIHPING >ONI16584 pep chromosome:Prunus_persica_NCBIv2:G3:8680775:8685065:-1 gene:PRUPE_3G108200 transcript:ONI16584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSYTCTLLHYIICAYIPCELHQPSNLSFSDLMDAFAVGATTIALLVLSVLYQPVHGGLVGSDINGCDVSHGNWVFDDSYPLYAAPSCPFIENEFDCIKNGRPDKGYLKYRWQPSACNLPKFDGSRILTEFRGKSIMFIGDSLSLNQWQSLTCMLHTAVPKAKYTLVRTGGLSTFTFPEYNLKVLFSRNAFIVDIVASPGGRVLKLDSISTQDEELWLGIDVLIFNTWHWWLHTGRKQPWDLIRVGKQTYTDMNRLVAYEKALNTWASWVNSNVDPNKTKVFFQGVSPDHSNATEWSDPKAINCNAQSKPLPGPDYPGKAHPAEEVVEKVLRSMSKTVHLLDVTTLSQLRKDGHPSVYGLGGHRGMDCSHWCLAGVPDTWNVLLYAALTQN >ONI16206 pep chromosome:Prunus_persica_NCBIv2:G3:6243072:6248779:1 gene:PRUPE_3G084700 transcript:ONI16206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFYLLFFPSPGLVAAVGTLTVDAYATSYYSWSHSKNNNSQPTESGGDVEEHGHVLATGGHIGHCRALRRTRKPASVEEREREREREREELKGWYQLI >ONI17368 pep chromosome:Prunus_persica_NCBIv2:G3:17057784:17058459:1 gene:PRUPE_3G154600 transcript:ONI17368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENILNSKDEKGRTPLHCAASIGKRLVDSHRNDHCENFPIHCASSKCHVDIIKELLQHCLDSMELRNSSDQNILHVAARCGEDNLVKYFLKKVEFQMLINQKDNRGNTPLHLAKMYHHPKVVELFTFDRRTNLKVLNDRGMTSLDIRERALETSASYHGEL >ONI20039 pep chromosome:Prunus_persica_NCBIv2:G3:27021552:27024285:1 gene:PRUPE_3G311500 transcript:ONI20039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNPISSPVISAVVALLLFTSALASSDSPFIVSHKKASLNRLKSGAERVSVSIDIYNQGSSTAYDVSLSDESWPQDIFDVVSGNTSKSWERLDAGGIISHSFELEAKERGIFNGAPALITFRIPTKAALQESFSTPILPLDVLADRPPENKFDSVKRLLAKYGSLISVVSIVVLFVYLVASPSKSGAAKGGKKKR >ONI18511 pep chromosome:Prunus_persica_NCBIv2:G3:22093086:22096855:-1 gene:PRUPE_3G220000 transcript:ONI18511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSTRLVTRLYSPESPRFFLSLRRSPNTLSQFSTLRKARFTASATANGPRQPHPKRPAMDPQSLVPDPPAAENFVHVENPTIEDLSDSIVGVDVPRDEYDDDVVSTSAAAESSDQRKVLPEELSRSVVVLTCESTAEGGVCDVHLVGTAHVSVESCREVEAVISYLKPEVVFLELCSSRVAALTPQNLKVPTMGEMIEMWKKKHNAFGIVYSWFLAKVSSKLEVFPGAEFRVAYEEAMKYGGRVILGDRPVQITLRRTWAKMPLWHKIKLLYSFLFQAVFLPSPDDLNKMLKEMDDVDMLTLVIQEMSKEYPTLMETLVHERDQYMSSTLLRIATEHSSVVAVVGKGHLQGIKKHWKQPVVVKDLMEIPSQQSLFSTAKVLKSFGVAVAGVAIISGIYLASKK >ONI16992 pep chromosome:Prunus_persica_NCBIv2:G3:13801235:13803056:1 gene:PRUPE_3G132800 transcript:ONI16992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTRNGKRRQFEDGVGPFEKRKRSCSRVSEPKRNEAVEEKPTACLPLRKSSSPKQGKKIIPLASSARKPRQSEEELGFDGLCQTQKSKRQKLGFDCKETMYCSKVLVYLMNLPHARCFEKPVVDPVAENLPGYFEEIWRPMDFGTVKSKLERGAYSSADGFAADVRLIFSNAFRYYPLGRKERAAAKHLNGVFETKWKEAVEKKSKAVCPYPLPKVEGLSPKLKQGKSSSPSRVNLQSQGLGVSHSTKDDDLATLVQHAMDQASENLYPCGASRIQLLKLQFSDTILKANKTLKGLPDSPPRTKLMQRMKQRKLARRAILNLEKSVQFEDSLQDLKQLEMLCGCGSGDTFLQVHLGLPLKKLGLYLKEDDELQGQDGKTFLNGD >ONI19518 pep chromosome:Prunus_persica_NCBIv2:G3:25712903:25717833:-1 gene:PRUPE_3G282800 transcript:ONI19518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKKDMIRIERESVIPVIKPKIIMTLANLIEHSSDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVNGAKKLEQHNLPPKEIDVLEQNFLTYLFQVMEKSNFKIASNEEIEVAHSGQYLLNLPIVVDESKLDKKLLKKFFERRPQPNLPDFCDKYIIFRRGVGLDQTTDYFFMEKVDMIIARFWAYLLRITKVGKLLSRRSSGRPKTDPKKNEEISSEADGEDEDLHVERIRLEKMELSVKNLLSKTTIKEPTFDRIIVVYRRASTKAKEERGIYVKHFRNIPMADMEIVLPEKKNPGLTPMDWVKFLGSAIVGLVAVVGSIEMPKADLWVIFAVLSTVIGYCAKIYFTFQQNLVAYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIIAFYILMEQGKATTQDLDRWCEELIKEEFNANCNFDVEDAVHKLMKLGIVAQDSVGRFYCVGLKRANEIIGTTTEELVLKAKQGGSA >ONI17412 pep chromosome:Prunus_persica_NCBIv2:G3:17594861:17600504:1 gene:PRUPE_3G157400 transcript:ONI17412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLAKPYRRHPFPLDEQLRAKFCFLTKRKKSIEAMAPKKRLQGVDDKLQKLLDANMDEARARRRAREAFKEIQLGIDHILFKTPTDGLKIEESYEVNSRGLEIFCKSWLPEASCPKAIVCYCHGYGDTCTFFFEGIARKLASYGYGVFAMDYPGFGLSEGLHCHIPSFDILVDDVIEHYSNVKENPEFHTLPSFLFGQSLGGAVALKVHLKQPRAWNGAILVAPMCKIADDMVPPWALTQILIGAAKFLPTKKLVPQKNLAEAAFKDLKKREMTAYNVIAYKDKPRLQTAVEMLRTTEEIERRLEEVSLPLLILHGEADIVTDPSVSKALHEKASSSDKKLILYMDAYHSLLEGEPDEMIVRVFDDIISWLNEHSEKFTGS >ONI18723 pep chromosome:Prunus_persica_NCBIv2:G3:23010884:23014578:1 gene:PRUPE_3G234400 transcript:ONI18723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQGSSVKYLVTKVKQDLLASSQVDVYGLVPPSPYDTAWLSMVSNPQHSDQPLFQGCLDWVLQHQNRGGFWGENIGHPTLECLTSTLACIVALITWNVGHDAIQKGLAFIHGNTERLLEEQNGSFPEWFAIVFPAMIELAETKGLHVYFSNGLVEQVFLERQEILQTRRWVSGCGQQQYYPALMLQYLEDGLIQSPSAIAYAFMKTGNKEFLVKHVVMEFFMYMTSCVRLLLPIPAVYPLDEDLVNILLINQIETLGLAEHFMEEIKSLLAQVYRSCISCKESKSMGKHAIPLQLYTHALSFRLLRLYGYRVSPRKFCRFLEDEDIVTYIEEYHELFLSAMYNVYRATDVTFTGENQLEDARAFSRRILEKETMKNCTNLVRPISLTNLQGQIKHELSIPWLARLDHLEHRRCIERKETLGPWTGNSLSYRLLACQSNAMLLQLAIENYTLRQSIFRNELKELERWSKILSQNNLLTNVDISYKIRWEPKGLTGHGKTIFNALKDFVDDISGKFFNKNGYDIKAYLQDLVRLYFQISVDNIFLHLCVALW >ONI18722 pep chromosome:Prunus_persica_NCBIv2:G3:23010884:23015340:1 gene:PRUPE_3G234400 transcript:ONI18722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQGSSVKYLVTKVKQDLLASSQVDVYGLVPPSPYDTAWLSMVSNPQHSDQPLFQGCLDWVLQHQNRGGFWGENIGHPTLECLTSTLACIVALITWNVGHDAIQKGLAFIHGNTERLLEEQNGSFPEWFAIVFPAMIELAETKGLHVYFSNGLVEQVFLERQEILQTRRWVSGCGQQQYYPALMLQYLEDGLIQSPSAIAYAFMKTGNKEFLVKHVVMEFFMYMTSCVRLLLPIPAVYPLDEDLVNILLINQIETLGLAEHFMEEIKSLLAQVYRSCISCKESKSMGKHAIPLQLYTHALSFRLLRLYGYRVSPRKFCRFLEDEDIVTYIEEYHELFLSAMYNVYRATDVTFTGENQLEDARAFSRRILEKETMKNCTNLVRPISLTNLQGQIKHELSIPWLARLDHLEHRRCIERKETLGPWTGNSLSYRLLACQSNAMLLQLAIENYTLRQSIFRNELKELERWSKILSQNNLLTNVDISYKIRWEPKGLTGHGKTIFNALKDFVDDISGKFFNKNGYDIKAYLQDLWCQTFASWLKEAEWSRNGHAPSTVEYLQVAATSIASHTILLPAAFLLYPPPEIDILKKRQPLTNSLMLLTRLLNEIRSYQKEQEEGKPNLVLLYMKENPNLGVEESIAIIQKTLDEKKKEFLELALISDEMPEACKQLHLHCLKAFQMFFNSTNAFDSPTESNF >ONI18741 pep chromosome:Prunus_persica_NCBIv2:G3:23098773:23100001:1 gene:PRUPE_3G236000 transcript:ONI18741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGPNHPPPPPYVMLQDQGAPGHYPGPHGRNPPRFNGQYRPNGRRKNTCLRCYCCFYCCFFITLVVIIAAVVISFIIINPRVPEYKINDFSVKAFNLTPDFNLNAHFVITVKAENPNKQISMIYGKGSSVTLLYSGKKLCSGQVPDFTQPTKNTTMINVDLKGDLKGSDFGGSLGESLMEKMKSDKIPLTVAVRVPVNAAMGKMHVLKDPMGIYVNCSMVVNNLSQPDKKVGISDTKYDVDFSYKFKH >ONI18620 pep chromosome:Prunus_persica_NCBIv2:G3:22661118:22662285:-1 gene:PRUPE_3G227400 transcript:ONI18620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRMSEERKKGREGRKRVAIAVYLSEERAFAVQWAIQNYLRPGDSVVLLHVLPTSVLYGAEWASVDPNLPNDAVSSSEFISMTCNMMIIVKHSFGCISILVMVHAKRNL >ONI17812 pep chromosome:Prunus_persica_NCBIv2:G3:19596645:19599894:-1 gene:PRUPE_3G180000 transcript:ONI17812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEYEEGMVIEFSANGNSMKATDVQAKLDEGNIQEAESSLREGLSLNFEEARALLGKLEYQRGNLEGALRVFDGIDLQAAIERLQPSLAEKTPSRKGRTRSDSQHTVSQHAASLVLDAIYLKSKSLQKLGRLTEAASECKNVLDAVEKIFHQGMHDAQVDGRLQETVSQAVELLPELWKQAGYYHEAISAYRRALLSQWNLDNDCCARIQKGFAVFLLYSGVEAGPPSLGVQVEGSYVPKNNLEEAILLLMILLRKLSLGKTKCDPSLMEHLTFALSLCNQTSVLAKQLEEIMPGVYHRVDRWNSLALCYSGAGHNNEALNLLRKSLHKHERPDELTALLLAAKTCSEDSHVAAEGVGYAQRAISSAQGMDEHLKGVGLRLLGLCLGKQAKVSSSDFERSRLQSEALKSLNEAIALEQNNLDLIFELGVQYAEHRNLNAALRYAKQFIDRTGGSIIKGWRLLALVLSAQQRYSEAHVVTDAALDETAKWEQGPLLRLKAKLKISQSLPMDAIETYRYLLALVQAQRKSFGPLRISNQVEDDKVDEFEVWHGLADLYSSLSHWKDAEICLSKASELKRHSAEMLHTEGVILEGRGQIQEALAAYIDALLLEPYYVPCKILIAALLSKMGSVALPVARSLLSDALRIDPNNRKAWYYLGMIHRDDGRIADAIDCFQAASMLEESDPIESFSSIL >ONI17814 pep chromosome:Prunus_persica_NCBIv2:G3:19596172:19600781:-1 gene:PRUPE_3G180000 transcript:ONI17814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEYEEGMVIEFSANGNSMKATDVQAKLDEGNIQEAESSLREGLSLNFEEARALLGKLEYQRGNLEGALRVFDGIDLQAAIERLQPSLAEKTPSRKGRTRSDSQHTVSQHAASLVLDAIYLKSKSLQKLGRLTEAASECKNVLDAVEKIFHQGMHDAQVDGRLQETVSQAVELLPELWKQAGYYHEAISAYRRALLSQWNLDNDCCARIQKGFAVFLLYSGVEAGPPSLGVQVEGSYVPKNNLEEAILLLMILLRKLSLGKTKCDPSLMEHLTFALSLCNQTSVLAKQLEEIMPGVYHRVDRWNSLALCYSGAGHNNEALNLLRKSLHKHERPDELTALLLAAKTCSEDSHVAAEGVGYAQRAISSAQGMDEHLKGVGLRLLGLCLGKQAKVSSSDFERSRLQSEALKSLNEAIALEQNNLDLIFELGVQYAEHRNLNAALRYAKQFIDRTGGSIIKGWRLLALVLSAQQRYSEAHVVTDAALDETAKWEQGPLLRLKAKLKISQSLPMDAIETYRYLLALVQAQRKSFGPLRISNQVEDDKVDEFEVWHGLADLYSSLSHWKDAEICLSKASELKRHSAEMLHTEGVILEGRGQIQEALAAYIDALLLEPYYVPCKILIAALLSKMGSVALPVARSLLSDALRIDPNNRKAWYYLGMIHRDDGRIADAIDCFQAASMLEESDPIESFSSIL >ONI17813 pep chromosome:Prunus_persica_NCBIv2:G3:19595987:19600835:-1 gene:PRUPE_3G180000 transcript:ONI17813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEYEEGMVIEFSANGNSMKATDVQAKLDEGNIQEAESSLREGLSLNFEEARALLGKLEYQRGNLEGALRVFDGIDLQAAIERLQPSLAEKTPSRKGRTRSDSQHTVSQHAASLVLDAIYLKSKSLQKLGRLTEAASECKNVLDAVEKIFHQGMHDAQVDGRLQETVSQAVELLPELWKQAGYYHEAISAYRRALLSQWNLDNDCCARIQKGFAVFLLYSGVEAGPPSLGVQVEGSYVPKNNLEEAILLLMILLRKLSLGKTKCDPSLMEHLTFALSLCNQTSVLAKQLEEIMPGVYHRVDRWNSLALCYSGAGHNNEALNLLRKSLHKHERPDELTALLLAAKTCSEDSHVAAEGVGYAQRAISSAQGMDEHLKGVGLRLLGLCLGKQAKVSSSDFERSRLQSEALKSLNEAIALEQNNLDLIFELGVQYAEHRNLNAALRYAKQFIDRTGGSIIKGWRLLALVLSAQQRYSEAHVVTDAALDETAKWEQGPLLRLKAKLKISQSLPMDAIETYRYLLALVQAQRKSFGPLRISNQVEDDKVDEFEVWHGLADLYSSLSHWKDAEICLSKASELKRHSAEMLHTEGVILEGRGQIQEALAAYIDALLLEPYYVPCKILIAALLSKMGSVALPVARSLLSDALRIDPNNRKAWYYLGMIHRDDGRIADAIDCFQAASMLEESDPIESFSSIL >ONI16385 pep chromosome:Prunus_persica_NCBIv2:G3:7142821:7148294:1 gene:PRUPE_3G095300 transcript:ONI16385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQLRARHRTLTKPPLTHLFSTTTSSDPSDPKDPKDPTDPNPQSHSSSLTSYFSDVKASLKQQQQQPQQQRRPISQNPSFSNHPLSRTSGAASLEEIRKNLSEFRRRSAVPEPTDSNSAPSQQSSTAQGSTQQVSFQELYNRNVLGKSEDPNADKGGKLSFNAIRESLKKIKSNTNVQSDRKSVDPMSLSAFTNSLKLKPNVIGGTDTLPMSIFGKEKIKGRNEEEGSYAMKTDFVKLYDHAELGEKLRRLRPEAKGDSWFSLKELNERLMKLREMEEMETQAAMSGVSFRDLRDSLVKLKISDEEKVKKTSIQRLDILGQFGRTPSFMLQPPKEHLVEKYFHPDNMSSAEKLKIELAKVREEFKMSESDCGSARVQVAQLTTKIKHLSSVLHKKDKHSRKGLQAMLQRRKRLLKYLRKTDWDSYCFVLSKLGLRDKPEFLSKLGLRYKTDNKT >ONI18295 pep chromosome:Prunus_persica_NCBIv2:G3:21406736:21407496:1 gene:PRUPE_3G207700 transcript:ONI18295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSSLTHNHTAASLLSIASLFRLSYHFPSMTEETKPTELFKIAN >ONI19051 pep chromosome:Prunus_persica_NCBIv2:G3:24426952:24428960:1 gene:PRUPE_3G255700 transcript:ONI19051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTKQKEDWDKGFCNDNGFCSDCKTYTDVVLDHRSGDTICTQCGLILEDHAVDFTAEWRNFDDQDSTNDPSRVGARSDPLLDSGVLTVNISNDNKKAVSCVLPRLHKTLQNPDKSLHIAFETLGMMADRLALVTAIRDHAKELYKKADDRKFCRGRNCDAIMAACLFLACQEKGLPRTLKEIAMVANGATRKEINRMKELLKKILEVDSKTTNVGDLSRRCCSMIGMANQDMKAVLETLNKSKEVDVRRSPKSVLAAVMYVIAQLSNDKSTRDLTLHDVSQAADVAVATTKKAYKDLYPYVSRIIPNWFVKLEDLKILCLP >ONI17707 pep chromosome:Prunus_persica_NCBIv2:G3:19226992:19227856:-1 gene:PRUPE_3G175500 transcript:ONI17707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSSKRNSISEWYILEAFHTFTTGGKTDSVMASLRLSYDELLPSLKHCLLCFSIYPQDFEINADNLIPWWIGEGLVQGKDSKTALQLLSRCLVEIVQCRGLDGRVYTCKIIEVPSSINKLRNLPSVGFKWMLIILDLDKCPIKYLPRGLRRLSYLQELYGFVVSHPRKLCASLLKIKELTTIRERQIRISNTTVISDNAPDVLFQLKGLKVLAIDDEGCTKSDVFKMLDNLNPPPTLQELYLRNYLHKTMPNRFNPRLLPGNLEVCVCVS >ONI18506 pep chromosome:Prunus_persica_NCBIv2:G3:22056259:22057014:-1 gene:PRUPE_3G219500 transcript:ONI18506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIIDTWGHEFTIEVGLKEPVLEIKGKIAQLLGIPMASQTLAVWGWELEDGLDMNDYPIVTEGTKINLTIKCMEPPFHRSSTIPIIIKFSAIQHHIEVNRTETVSSLKDTIHILYGTPIKRMSLFFCGIELEEDIRNLSEYGIREFSEILVFLKTVNRLTDNPPSRRLSLVVQTSSSLLNASRIPLKMKDSSTVNEMRQLLLSRKLLPIDDYLFIHKQRTMRDNCSLRWHGVEDGDPIYVFKGTVHRSGY >ONI15514 pep chromosome:Prunus_persica_NCBIv2:G3:3338322:3340119:1 gene:PRUPE_3G046900 transcript:ONI15514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNKQKVKRGLWSPEEDEKLINYITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLIPHEVIPALASFSHDIHNPSGNSEESFFTLTTNPNLGPQQDQLFLPIPNPMPQGFNFHGDHNNINLIKLDQTNYGSNFVHHFPHPLQLPSNSSSYESSWSLGYQPHHQFDPNQHLQNFSIDEAAAHYNIGNELMVPISMPSSAPAVYENPSILPTLPKLCEILDGNVCRMPCYPSDPQDLDSLAKLSCFPSTHGAYPQDLQFLSSQLECIDAILSLPSSSSSSSQLSVSSSLSPLSSTQFVANSNVLEA >ONI17557 pep chromosome:Prunus_persica_NCBIv2:G3:18566064:18569298:-1 gene:PRUPE_3G166900 transcript:ONI17557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSKLHEHCTTVGRNIHIINLDPAAENFDYPVALDIRELVSLDDVMEELGLGPNGGLLYCMEHLEDNLDDWLTEELDNYLDDDYLVFDCPGQIELFSHVPVLKNFVDHLKRKNFSICAVYLLDSQFMTDVTKFISGCMSSLSAMVQLEVPHVNILSKMDLVAKKKDVEDFLNPEPQVLLSELNQRMAPQFAKLNKSLVELVDNYGMVSFVPLNLRKATSISYVLAQIDTCIQYGEDADVKIKDFDPEDED >ONI17556 pep chromosome:Prunus_persica_NCBIv2:G3:18566306:18568961:-1 gene:PRUPE_3G166900 transcript:ONI17556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSKLHEHCTTVGRNIHIINLDPAAENFDYPVALDIRELVSLDDVMEELGLGPNGGLLYCMEHLEDNLDDWLTEELDNYLDDDYLVFDCPGQIELFSHVPVLKNFVDHLKRKNFSICAVYLLDSQFMTDVTKFISGCMSSLSAMVQLEVPHVNILSKMDLVAKKKDVEDFLNPEPQVLLSELNQRMAPQFAKLNKSLVELVDNYGMVSFVPLNLRKATSISYVLAQIDTCIQYGEDADVKIKDFDPEDED >ONI17555 pep chromosome:Prunus_persica_NCBIv2:G3:18566064:18569298:-1 gene:PRUPE_3G166900 transcript:ONI17555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSKLHEHCTTVGRNIHIINLDPAAENFDYPVALDIRELVSLDDVMEELGLGPNGGLLYCMEHLEDNLDDWLTEELDNYLDDDYLVFDCPGQIELFSHVPVLKNFVDHLKRKNFSICAVYLLDSQFMTDVTKFISGCMSSLSAMVQLEVPHVNILSKMDLVAKKKDVEDFLNPEPQVLLSELNQRMAPQFAKLNKSLVELVDNYGMVSFVPLNLRKATSYVLAQIDTCIQYGEDADVKIKDFDPEDED >ONI20106 pep chromosome:Prunus_persica_NCBIv2:G3:27221830:27223799:1 gene:PRUPE_3G315200 transcript:ONI20106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRSMEYSSRQRYWVLEIKLMSCKDLKAFNFFQKLSVYAIVSLKVISDDAEKKVKEAEEQMNEEVVEQQIKKTPTDGEGDGNPEWNHEMRFEFDHNDDHRQLKDGRLLIHFDLRHQGLGILGIGDRSIGEVRVPLNDLMIPHIPPSPANNSGLGLGLGGVQQQQQQARYVNYQVRTSDEKPNGILTFSYKLKLLIKGAGTDDPRIQYPTITLEEDDDDDIIHKFNNTNSDITSSGSQQQQEYYYTSNISSAAPAPAHNNNYLQSQSQLQADHQQICSSCLPQYHHPYDPLVAVAVAGHRTYHPPPPPPPPPPLMADHMASYPYHPPPPGHGMVHPPWPPHPYHDYGPWSPPAGIRRRPHGDGHGHEHGFF >ONI15877 pep chromosome:Prunus_persica_NCBIv2:G3:4782760:4790653:-1 gene:PRUPE_3G066400 transcript:ONI15877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFSGKVSLGNFPDLAGAVNKLQESVKNIEKNFDSALGFEEKEKAESGNEASGLWPSSTERKLLFDPVISFMGQTNEGSSVDSSQKAESSEHPPKVDKSSGESESPQKLSTVEAKEGVKTETLQHSSTEQMADKEETEVVKEETDDKHAATVEETETVVAEPEKSESESSSLPVEPFEPTVKNDGPSESVGSQDDNKISAVGPSVNPETMQGKSGAVEVDQAEEGHTVLPREAHDVDVDEQKTQVEQKDGHMTQAGEIVETVAMVEGETPTDSQPGGLTEPSSLHSVTTEEIHSGRSSTNQPPGVNPSDDALDAVSESVSKEHNAIVEEPEVEQQADDNEADVKGQHLSSGENASDSSVIELEKVKMEMKMMEAALQGAARQAQAKADEIAKFMNENEQLKSAIEDLKRKSNDAEVESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEGQIRKLRAQIREFEEEKKGLITKLQVEENKVESIKRDKTATEKLLQETIEKHQTELAAQKEYYTNALAVAKEAEAMAEARANSEARSELESRLRESEEREAMLVQALEELRQTLTRTEQQAVFREDMLRRDIEDLQRRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAEAKAAAAEEGERSVNERLSQTLSRINVLEAQISCLRAEQSQLSKSLEKERQRAAENRQEYLAAKEEADTQEGRANQLEEEIRELRRKHKQELQDALMHRELLQQEVEREKAARLDLERTSRARSTTVSDQSAITRHNSALENGSMSRKLSSASSLGSMEESYFLQASLDSSDSFSERRNAGEATMSPYYMKSMTPSAFEASLRQKEGELASYMSRLASMESIRDSLAEELVKMTEQCEKLRAEAGMLPSIRAELDALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQIMSSSVGA >ONI15876 pep chromosome:Prunus_persica_NCBIv2:G3:4782496:4790652:-1 gene:PRUPE_3G066400 transcript:ONI15876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFSGKVSLGNFPDLAGAVNKLQESVKNIEKNFDSALGFEEKEKAESGNEASGLWPSSTERKLLFDPVISFMGQTNEGSSVDSSQKAESSEHPPKVDKSSGESESPQKLSTVEAKEGVKTETLQHSSTEQMADKEETEVVKEETDDKHAATVEETETVVAEPEKSESESSSLPVEPFEPTVKNDGPSESVGSQDDNKISAVGPSVNPETMQGKSGAVEVDQAEEGHTVLPREAHDVDVDEQKTQVEQKDGHMTQAGEIVETVAMVEGETPTDSQPGGLTEPSSLHSVTTEEIHSGRSSTNQPPGVNPSDDALDAVSESVSKEHNAIVEEPEVEQQADDNEADVKGQHLSSGENASDSSVIELEKVKMEMKMMEAALQGAARQAQAKADEIAKFMNENEQLKSAIEDLKRKSNDAEVESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEGQIRKLRAQIREFEEEKKGLITKLQVEENKVESIKRDKTATEKLLQETIEKHQTELAAQKEYYTNALAVAKEAEAMAEARANSEARSELESRLRESEEREAMLVQALEELRQTLTRTEQQAVFREDMLRRDIEDLQRRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAEAKAAAAEEGERSVNERLSQTLSRINVLEAQISCLRAEQSQLSKSLEKERQRAAENRQEYLAAKEEADTQEGRANQLEEEIRELRRKHKQELQDALMHRELLQQEVEREKAARLDLERTSRARSTTVSDQSAITRHNSALENGSMSRKLSSASSLGSMEESYFLQASLDSSDSFSERRNAGEATMSPYYMKSMTPSAFEASLRQKEGELASYMSRLASMESIRDSLAEELVKMTEQCEKLRAEAGMLPSIRAELDALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQIMSSSVGA >ONI17069 pep chromosome:Prunus_persica_NCBIv2:G3:14382636:14384525:1 gene:PRUPE_3G136300 transcript:ONI17069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLATAWQCLCFAMAKACWCFVKGELASWLFLDDVQGFRMAKTWCFLAEGKLAFASLPPFPLGNRCLPFIGAGSSWKLRKIGFLTQEDEALVPMALIEFDWLYC >ONI18866 pep chromosome:Prunus_persica_NCBIv2:G3:23650993:23651671:1 gene:PRUPE_3G244600 transcript:ONI18866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSQKMSYHAGEAKGQAQEKASGMMDKANNAAQSTKETMQDVGQNVHAKAQGAADAVKNATGMNK >ONI18492 pep chromosome:Prunus_persica_NCBIv2:G3:22016678:22018164:1 gene:PRUPE_3G218700 transcript:ONI18492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKSCNSSLIKAVPSKQTTYSCTEEESGWTAYFEDFSNNNNNNREGEAAAEEQSLCSSFGCTSSLVSDAASGAAWKLASQNNQNQHACSNSIGGSPNNFPKKLTFKKTRTKKISGDDLEDTASSPVNSPKIGDLRPVGINPRKIDDHHININSLGKEGEHFAELEQADDHEKTNDCCGKTDSTDLKKRGLCLVPMSLLANYLV >ONI18428 pep chromosome:Prunus_persica_NCBIv2:G3:21817838:21818341:-1 gene:PRUPE_3G215100 transcript:ONI18428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMVLAVTTSLVLASHEVKETQLSFYFQDIFAGPNATDIPFAGISGKAWTFTQFATLYAIDDPVTEGSHPNSASVGRVQGTSMTSALDGLNAHVLFSIVFTNKKYNGSTLQIQGIDKQFEPVRELSVVSGTGKFRFVRGYITFETISVDIPNSYAVIRCNVTVKHY >ONI16856 pep chromosome:Prunus_persica_NCBIv2:G3:11590104:11591982:1 gene:PRUPE_3G125700 transcript:ONI16856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSMVLTRASLTFLMVWIFCISSTACSETYKPLRTDPKAMKERYERWLQKYGRIYKNREEAAYRFGVYKSNIEFVDFVNSQNLSYKLTDNKFADITNLEFTKTFMGFQTRSHPKTKFSYDKDEELPTAVDWRKHGAVTPIKNQGQCGSCWAFSAVAAVEGINQIKTGKLVSLSEQELVDCDVKTGNEGCNGGYMEKAFSFIKDNGLSTEKDYPYKGSDGICDEDSLKNSAVNISGYESIPANSEKSLQAAVAHQPVSVAVDAAGYAFQFYSSGTFTGQCGKNLNHGVTAVGYGEDSGKKYWIVKNSWGPDWGESGYIRMTRDSVDKKGTCGIAMQASYPVKG >ONI16907 pep chromosome:Prunus_persica_NCBIv2:G3:12977365:12978389:1 gene:PRUPE_3G128800 transcript:ONI16907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHVKVTITKIAIDDGNPPSCIQFFNRREIDRHLLMHKTTMIFNLPASLKHNPEKQTKKNSLSSRFQLHKEHENESSTVHEEYCRCLVTFKSIHGLSQHIIYILSHKSSFVLHTQHEMGKVDLKI >ONI17051 pep chromosome:Prunus_persica_NCBIv2:G3:14242453:14246021:-1 gene:PRUPE_3G135100 transcript:ONI17051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKELRAATNEDTAASISIADDEDDGVDLRSLASDRPVSRKEAVVLDLPMHQKDDGGLLEQTDGDEDSSGLHFVRTASEDVEFSEQYANAFHGTRENASKKLTKKKVVHERPVLDVPVYKRTAVVDVKFAAQHVNFYHGTREVPTATKPSEEFNRGLLEQTDGDEDSSSLRLYQQLYGYATQGKIDSFKDTIERKLEADEVHDPNARVRLLSRVSPHKNTFLHIAANFGHAKLAAEIIHLHKPLLFEKNFEGDTALHITAKTGDLDTASTLLCEAPGAENADEVFMLLTSINDEQNTPLHEALIHGHQSVAKCFIEAYPAFSFYLNKEAKSSLYLAAEEGFDEIVKLINKKAVEKKPEVRVNGKSPLHAAILSRRNNGIRGSLLIRQTRICDSHQMDHGGNFPIHSASSKGHVKIVKELLRHCPDSKELKNSNGENILHVAARCGKDNLVKYFLKKGEFRMLVNQKDRNGNTPLHLATMHHHPKVVYRLAWDTRTNLKLLNDRHMTALDITESTLETIASYHGRLTWTVLKSAFAQRAQSLHVLQRKKQRSPQVADNGGGSTDLKLPNEESIRDRVNTLLVVTTLVATMAFAAGFTMPGGNNGDAPQEGMAILLTNAMFQAFVICNTIAMYTAILVAVCLIWAQLGDLNLVDIALRLALPLLGIALTMISLAFMAGVYVVVSNLHWLAYVVLILGVLFIFTVLVVFTPLFCKTTSGSRIFRWITYFLFCVEVWASGSHNDD >ONI18056 pep chromosome:Prunus_persica_NCBIv2:G3:20610669:20613320:-1 gene:PRUPE_3G194600 transcript:ONI18056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETHMAEAAREPRKRKIGKKKGSKAKKKAKMLQSAESIRAMKPKKIDKKMQKLYRKRARDYNSEDESEQDNATPLGNNEDELIGGSSSGEEAEKGGDHSERNVDNGFSDDEEHGEILPGIMRFTEGSNAFRLAFRSIIKKTVPEDVLGPVLSGQKKLVAEKLAEEENERKVKGEAKKEKQLVIEKGHVKPANYLDSHEKFLIGVATKGVVKLFNAVNKAQNAQKGLNPSKFKDAKVIKKRRKEAFFSELGKTSSRGASASAKAHTSKGPVDGEGPAWAPLQDNYMLTNSKLKDWDKMPDTVVGDDIGRVSEDSSDDD >ONI18057 pep chromosome:Prunus_persica_NCBIv2:G3:20610669:20613320:-1 gene:PRUPE_3G194600 transcript:ONI18057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETHMAEAAREPRKRKIGKKKGSKAKKKAKMLQSAESIRAMKPKKIDKKMQKLYRKRARDYNSEDESEQDNATPLGNNEDELIGGSSSGEEAEKGGDHSERNVDNGFSDDEEHGEILPGIMRFTEGSNAFRLAFRSIIKKTVPEDVLGPVLSGQKKLVAEKLAEEENERKVKGEAKKEKQLVIEKGHVKPANYLDSHEKFLIGVATKGVVKLFNAVNKAQNAQKGLNPSKFKDAKVIKKRRKEAFFSELGKTSSRGASASAKAHTSKGPVDGEGPAWAPLQDNYMLTNSKLKDWDKMPDTVVGDDIGRVSEDSSDDD >ONI18058 pep chromosome:Prunus_persica_NCBIv2:G3:20610237:20613593:-1 gene:PRUPE_3G194600 transcript:ONI18058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETHMAEAAREPRKRKIGKKKGSKAKKKAKMLQSAESIRAMKPKKIDKKMQKLYRKRARDYNSEDESEQDNATPLGNNEDELIGGSSSGEEAEKGGDHSERNVDNGFSDDEEHGEILPGIMRFTEGSNAFRLAFRSIIKKTVPEDVLGPVLSGQKKLVAEKLAEEENERKVKGEAKKEKQLVIEKGHVKPANYLDSHEKFLIGVATKGVVKLFNAVNKAQNAQKGLNPSKFKDAKVIKKRRKEAFFSELGKTSSRGASASAKAHTSKGPVDGEGPAWAPLQDNYMLTNSKLKDWDKMPDTVVGDDIGRVSEDSSDDD >ONI17713 pep chromosome:Prunus_persica_NCBIv2:G3:19312881:19314677:1 gene:PRUPE_3G175900 transcript:ONI17713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDVAQFLRNKFLAYLSETESELSSGAVLISDLGAIKDIVIAIDTRRLTEDYYCRFISVLLDLTDALTKCQVFSHEWKKHSHHKTHLVVFNHLSLRKICFVWKMKKRLAAIKRIFEAEFMKMEERIYSLRESSSHPDPEENLEIELPVEIELPVVEAEVVGSDEQLLKIGNFLLKSSPSSGAGFAAVGIVGMAGVGKTTLVCKVLSWWMVLGKFSPIIWLCLSNIIKENKQVEEEIEVSIVKCMLSKLDAVADGDGIIQEEEKTIISNNSGHLLAALLERLNQHLSGKSYLIVLDDVWHMNDFYSDLGQLLEVQEGDKKVGNRLSQGLPKGSGGAIIVTSRIPEVVEAMVVPAQGSDKHYKSLIISLEPLDRESCWNIYKDTAFGYQQHLEKVQNEIKDLCYGLPLAARTLAEIMSQNSQNFERTSSPYRKSEDLPDSFHMKKSPVLVFIDMYGRQQGEELIGKFCDLLTKEQVFGVLQKGEKTYNNPETVLK >ONI19325 pep chromosome:Prunus_persica_NCBIv2:G3:25256193:25256977:1 gene:PRUPE_3G272200 transcript:ONI19325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTYDQKKPTKTTLPTFNTRNPDASQTINLTPCFVYFNLTICSLTTLYRAYEHSDYPVVAFVVFVYVSYFVLDKCLAVYTQLPRGEQSVKKELLRFTLWGLSSAILFGFAYQFGTFMHLSAVVLMYCIAVSSSLLLFYAYYIVDDQNHNGCSFCATIPPQSSCPNQEKRNNSDNV >ONI16908 pep chromosome:Prunus_persica_NCBIv2:G3:12986198:12987158:1 gene:PRUPE_3G128900 transcript:ONI16908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFIHQEAKEKANEISICAEEFCTCFPIRDSNTWSLCPPSLLPSMLLVGLFSALFSLFFSFAPHLILQKFDLYMINFGSLPFCLIW >ONI19258 pep chromosome:Prunus_persica_NCBIv2:G3:25024922:25027317:-1 gene:PRUPE_3G267900 transcript:ONI19258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRQVVVRRSEEGSATSSFTMRSVRYGECQKNHAAGVGGYAVDGCREFMASNGEEGTTAALTCAACGCHRNFHRREVETVCECPSPSANGA >ONI15847 pep chromosome:Prunus_persica_NCBIv2:G3:4675133:4679603:-1 gene:PRUPE_3G065100 transcript:ONI15847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGLQQQGQNLPPDLTQVIDQLERHCLAPDGSLVSKSAYFDLQLAREEMSRERLRYLEAMAIYGEAIAMVEEYQQAVSVANLGGIRDVQGLYLQSDLKNPPQLYETLEHRMIVAEAAQRLRLPLISKDGEIHEEEIEKCSTMSRSSLDSISTSVTISSSSNSTNFNTATSTSSAANNNLSLNATDAVESGVGGVPNRFLGITPAYLWQTQLQQTPLSMDMTEYQLCLSREIEVRLVAKCDKLADAFIMDDNDSSSGHQNSSSCLPERVKLIIEEMEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVRDLKLQHQHQYDGLQKTWLCKRCETMSAKLRVLEHVLLLETYTKESIPALHKIRKYLLEATDEASIAYNKAVTRLREYQGVDPHFDSIARQYHDIVKKLENMQWTIDQIEMDLTHQDHVNA >ONI16697 pep chromosome:Prunus_persica_NCBIv2:G3:9866825:9868679:1 gene:PRUPE_3G116300 transcript:ONI16697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQNAKHTTTPTPTISHPLPPPPALSMATSETQEAWPELLGANNWAGLLDPLDLNLRTLILRCGDFCQATYDAFNNDQNSKYCGSSRYGKASFFDKVVLQDASSYQVSCFLYATAEVSVPEALLLHSQSRESWDRESNWIGYIAVTTDAVSKAIGRREIYVAWRGTIRNYEWVNVLGADLDSAAALLRSHESNPSLTNDQTDGGHGSNSSSSSDDGKGEDDEKVPKVMRGWLSMYTSSDSKSPFTKLSARDQLLTKIKELRQKYKDEKQSITITGHSLGASLSILSAFDLVENGVADDIPVAAFVFGCPEVGNKALKNRIQSYPNLKILHTRNTIDLIPHYPGRLLGYRDIGTELVIDTRKSKFLKESNNPSDWHNLQGMLHVVAGWNGKEGEFELRVKRSVALVNKSSDFLKTEFYVPASWWVEKNKGVVLDESSGEWLLAPPSDEDLPVPEF >ONI17754 pep chromosome:Prunus_persica_NCBIv2:G3:19415878:19418120:-1 gene:PRUPE_3G177000 transcript:ONI17754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFRFHQYQVVGRALPSEKDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKSPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEDMYTEMASRHRVRSPCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKATRPNIFV >ONI19254 pep chromosome:Prunus_persica_NCBIv2:G3:25005590:25006150:1 gene:PRUPE_3G267500 transcript:ONI19254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVELCRRDGYFTLNNTPVVAAMQAQRTAQIDAAAAEYMRRQQVYNARAFSGSAVCRIGAVICLAFLVVKDVYYFIQNGEESDLITIFCVSGISH >ONI17122 pep chromosome:Prunus_persica_NCBIv2:G3:14672419:14679596:1 gene:PRUPE_3G139100 transcript:ONI17122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHSFKEALKPCRNSSSLTSSTIQSQSSLTQTLEDPTIPRKPPKSSLSQQLLRLQDPLSLPPIQPQSQPKQTHNQNGKEDESDEKDDDPESLDYEKPKVGLFEFDRIGPYEPLVLSSEGEFPVIQVPASINCRLLEHQREGVKFLYNLYKNNHGGILGDDMGLGKTIQTIAFLAAVFGNDGDCMDSTLLKKNQTAERGPVLIVCPSSVIHNWESEFSKWANFGVAVYHGANRDLVYDKLEAHEVEILITSFDTYRICGSQLSEVNWEIVIVDEAHRLKNEKSKLYIACLEFKTLKRIGLTGTVMQNKIMELFNLFDWVAPGSLGTREHFREFYDEPLKHGQRSTAPERFVRVADERKQHLVALLHKYMLRRTKEETIGHLMMGKEDNVIFCAMSELQKRVYRRMLQLPDIQCLINKDLPCSCGSPLAQAECCKRTVPDGKIWPYLHKENPDGCDSCPFCIVLPCLIKLQQISNHLELIKPNPKDDPDKQKKDAEFASAVFGTDINLVGGNTQNESFMGLSDVKHCGKMRALEKFLFSWISCGDKVLLFSYSVRMLDILEKFLIRKGYCFSRLDGSTPTNLRQSIVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPSWNPAQDLQAQDRSFRFGQKRHVVVFRFLSAGSLDELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKEFQGELFGICNLFRDLSDKVFTSEIFELHEKDGQKEGYGIRQQSTDVGSNSVSLKEVGVTSLSLSETRITSNSKKGLTSQHVLKDVGVVYAHRNEDILNYGPGGQGAIEMISQNNGMMDPYIRVARRKRLDGMVGKENFPSCKDQKRIQYSLLSMFMGLGELEFSKWVMSATPMERETVLRDFKKRKEKIHDG >ONI15638 pep chromosome:Prunus_persica_NCBIv2:G3:3745791:3747838:1 gene:PRUPE_3G052900 transcript:ONI15638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEIVKKNNSFLVKEFGRSHAGVRFSKEPNNLLNLNTYKHSGLANKKTVTIEAVGKDQSVLLATTKTKKQNKPAALLHKSVMKQEFRRMAKAVANQVADNYYRPDLKKAALARLSVVHKSLKVAKSGVKKRNRQAVRVYGRK >ONI16602 pep chromosome:Prunus_persica_NCBIv2:G3:8840661:8842019:-1 gene:PRUPE_3G109400 transcript:ONI16602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLSFLAMLLCLLLASTPKAHASVFDVTSATYGAKPGSDVSTALAKAWSDACASPSASKVVVPSGTYKLKEATFRGPCKAPIEMQVQGTLQAPADAGQLTRPDTWVGFQYIDMLTLSGGGTFDGQGALSWNQNDCHKNKNCKPPPVNLRFEFLTNSKVQDITSLNSKFFHIHVFRCNHTTFQQLTITAPDESRNTDGIHIGASTGINITHSKIGTGDDCISIGDDSHEITVTGVTCGPGHGISIGSLGKYKEEKDVTGIIVKNCTLTNTENGVRIKTFPDSPSPSSASGIHYEDIIMVNVSNPILIDQLYCPYTKCEQKPPSKVKINNVSFKNIKGSSFTPLAVKLVCTTGKPCENVELTDIDLTYGGDKGPLTSVCSNVKPAITGVTKALGCATSSLAPLP >ONI15155 pep chromosome:Prunus_persica_NCBIv2:G3:2061340:2063165:-1 gene:PRUPE_3G027700 transcript:ONI15155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGEFKVTMIKQEVVAAALPLQEHWLPLSNLDLLLPPVDVGVFFCYKNEQSMSFWSMVGVLRKAMAQALVTFYAFSGEVVQNSVGEPEILCNNRGVDFFEAFADVELKDLNLYDPDESIEGKLVPKKKHGVLAVQATELKCGGMVVACSFDHRIADAYSTNMFLVSWAEMAQSKSLSLLPTFRRSLLNPRRPGHIDPSLNEMYVPITALPPPKNDTDVDQDHLISRIYYVTAEQLDNLQALASSNGFKRTKLESFCAFLWKMVAKSDTKIGCAQKLCKMGIVVDGRTRLNERGNYQSHQASHMATYFGNVLSIPFGEEKVEDLVEKSLNWVAEEVHDFLKCAVTKEHFLGLIDWVEAHRPVPALAKIYSSGSEEGPAFVVSSGQRFPVSKVDFGWGLPVFGSYHFPWGGNAGYVMPMPSPARKGDWIVYMHIFKGQLELIEKEAAHVFRPLTFDYLSQI >ONI15084 pep chromosome:Prunus_persica_NCBIv2:G3:1825750:1828324:1 gene:PRUPE_3G024900 transcript:ONI15084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSCGVLLSLALLSLSIGINLCLPENGKEIDFSAHGENASPSTTKKDKGKRWAVLIAGSNGYYNYRHQADICHAYQILKKGGLKDENIIVFMYDDIAHDPENPRQGVIINKPKGHDVYKGVPKDYTGADVNSKNFYAVILGNKRNLTGGSGKVLKSGPNDHVFIYYADHGSAGLLGMPSGDDAVYAKDLIHVLKKKHASKGFKSMVFYVEACESGSIFEGLLPNKMNIYATTAANAEESSYGTYCPGEPEVPEEYDTCLGDLYSISWLEDCDSNDLRKETLEKQYERVRRRTNKSHVMQYGDMSHRKEFLFTYMGADLANDSYTSIGDISSPSRAVNQRDTNLLYFQHKFLRAPAGSHAKLEARKQLLHEIAHRKHVDYSIHKIGELLFGYQKSSNVLMNGRPQGQPVVDDWDCFKKFLRIYKNYCGHLSTYGMKYTRAIANICNAGVTLEKMVAASDQACSKKLHV >ONI16269 pep chromosome:Prunus_persica_NCBIv2:G3:6579805:6583579:1 gene:PRUPE_3G088500 transcript:ONI16269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQVEKAKPAVSFEYELFEGDPDHLRTVVATLTPTLTPTSPWIDPASLNFKYRIGRGPFGDVWLATRHQSADDYEEYHEVAVKMLHPLKEDDRQEFVRKFERLFFKFRRVYGVGWLHGISIVDGKICIAMKFYEGSIGDRVAQMKGGKLQLSDVLRYGIELAKGILDLHSLGLLVLNLKPSNFLLDEHDQVVLGDFGIPYLLLGISLSNSDMVLRLGTPNYMAPEQWEPEVRGPVSFETDAWGFGCCFVEMLTGVQPWFGRSIEEIYNSVVIKQEKPPVPNGLPPALESVIHGCFEYDFRNRPSMEDIIHAFESSQNDAQSDGEWLGLGSSTPAGRFSSSSYTTWYLLKDRLQVGDIVRSRKPLNARKPQAMDVPEGSVTGLETDSDRDGFALVKIPGERNPVRVLVSTVERVTSGFAVGDWVSLKGECRKHSPVGILHSIQRDGSVAVGFIGLETLWRGKSSDLQMGDAYYVGEFVRLKANVLSPRFEWPRKNGGAWTTGRISQVLPNGCLVVRFPGRLVLGDESNSFLADPAEVELVSFDTCPGVVQKYQHIEDFHWAVRPLTIAFGLFTAMKISLFVGRNVSAKLKGRRNSMRRDGNSQDGQSGGNTAWLPPPVANLFKEGVSTASAR >ONI14728 pep chromosome:Prunus_persica_NCBIv2:G3:281998:283247:1 gene:PRUPE_3G004300 transcript:ONI14728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTKSFKDEFTFEQRVEESQDIMAKYPDRVPVIVEKYSRTDLPQMEKKKYLVPRDMSVGQFIYVLSSRLHLAHGKALFVFVKDTLPQTASLMDSVYESFKDKDGFLYMCYSTEKTFGGYANVQRYEQ >ONI18298 pep chromosome:Prunus_persica_NCBIv2:G3:21419660:21419902:-1 gene:PRUPE_3G207900 transcript:ONI18298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKAMTVLSLSSLLVCAIFLGEEVEGLRIGYGALSRDDIPGCSFMHPENCYKMKINPYQRGCEPEERCRNSLSYRKNP >ONI15917 pep chromosome:Prunus_persica_NCBIv2:G3:4942585:4947436:-1 gene:PRUPE_3G068800 transcript:ONI15917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSPETNSTSPLPPGTNATSPPPPATNAPEPTTPSPPANATTPPPPPPTAPTESPPPPPSPGSGTLPTKTNVAIIVGVGIGGFSMLVFVSIFFFFYRRRKRRQQGRPYEYPHEPKNDQFGGQPQHLQQNYTPPLADKVEIYSKPALFPVPSSTSSSLSSEKPPSGQSPGIAFGSSQITFTYEELLMATNGFSNANLLGQGGFGYVHKGVLPNGKVVAIKQLKAGSGQGEREFQAEIEVISRVHHRHLVSLVGYCISGAQRMLIYEFVPNDTLEFHLHGKGRPTMNWPTRLKIALGSAKGLAYLHEDCQPKIIHRDIKGSNILLDYNFEAKVADFGLAKVSLDTDTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVVLLELITGRQPIDKTQSFTDDSMVEWARPMLAQALETGNFDAIVDVRLQNDYNTGEMACMLACAAACVRHSGRRRPRMSQVVRALEGNLSPDELNEGVIPGHSTIYSSYGSSDYNTSEYKEDLQKFRKLALESQEQEQGTSGISGPSSEFDQHQSASSGEGQQTSQEIELGKTKKNTHNLSESS >ONI16840 pep chromosome:Prunus_persica_NCBIv2:G3:11381259:11388003:-1 gene:PRUPE_3G124700 transcript:ONI16840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGKGGSLEETPTWAVSVFALFFFFLSFVIDSSIHHLTTFLNRRRRKSLNRALMKFKTEMMKLGFVSLLLTISEVPISKICVAQTLADTFLPCKYVEDAEPAVSSATQLSGSNSTNFSKEVNDENYCEAKGMVSLVSREGVLQLNIFISMLAVFHVLYCILTMFLGMAKMRKWNAWEDETQTLEYQIRNDSRRFQLIHQTPFGKRHLKFWSKHPLLLWPVCFARQFSGSISKADYMTLRNGFIEANFTKSSNFNFLKFLARAFDDDFEQVVGIRFWIWLFSILFIFSSAHVFYNHYWLPFIPLLIVLVVGAKLEMIITKMCVESCKNPVIRGCLVVKLNDDLFWFGRPHWLLHLIQFVLIQNSFQLAFLTWTWFQYGQGSCLNSKKEVIAIRISMGLLVQFICGYVTLPLHALVTQMGSGMKKAVFTERVVDGLKNWHKNARHRLSKSRSISKNSVEATDFSISDQMSTEILNFKSFPHSVVESSPCTSEIIEEKVPHNSLPKPDTTSVLIPEIAKEEENPKINGKMITYDGEISFGSSWKLESSKRSGVKSLQYVIDEDHDVNYF >ONI14805 pep chromosome:Prunus_persica_NCBIv2:G3:644354:645140:1 gene:PRUPE_3G010000 transcript:ONI14805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVVEMDSINAVNLILSNDLNICHPMAGLVHSCKRLLSQIPKCFLHHIYREKNAVADRLAAWSHDIDLGCWFLEDNPTWLRPLLLDDSIGVTKTRIISSI >ONI17488 pep chromosome:Prunus_persica_NCBIv2:G3:18090309:18095481:-1 gene:PRUPE_3G162000 transcript:ONI17488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AASENGVFTSPEIAKTFDFTSEEGIYNWWESQGYFRPNLDRGSDPFVISMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMKGRPTLWLPGTDHAGIATQLVVEKMLASEGIKRVELGRDEFVNRVWEWKEKYGGTITNQIKRLGASCDWNREHFTLDEQLSRKAFFYLVEL >ONI15068 pep chromosome:Prunus_persica_NCBIv2:G3:1785030:1786044:1 gene:PRUPE_3G023900 transcript:ONI15068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKSNKIREIVRLQQILKKWKKLANAPKNTNPSTNSSSTNSTNSLNSSTSTSTSSSRSMKFIKRTLSFSDVSAAQNDVVPKGFLAICVGKELKRFIIPTEYLGHQAFRILLREAEEEFGFQQEGVLKIPCEVPVFEKILKVVEEKREVFFLHNEFGFISAEKDKIGFCSSPSDCELTPSHHPQMCR >ONI15525 pep chromosome:Prunus_persica_NCBIv2:G3:3385721:3387623:-1 gene:PRUPE_3G047800 transcript:ONI15525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHINPGPIYKSVLYDQDNHISSAIWNGQERGVLRCHEHTSMLEQWRLTPKQAELVEKAGFSYFRSIPTIVLDNSLISALVERWRRETNTFHLPVGEMTVTLEDVALILGLPIDGNPVIGVPVKTPHSVCEDLLGKAPKDLNGGMLKLNWLRECFSRCPDDAPVEEIERHTRAYLLYLVGCTIFSTTTGNKVSVTFLPLFEKFDEAGKYAWGAAALAFLYRALGNASLKSQGTISGSLTLLQCWSYYHLNIGQPKFNEEPNHSYFPFALRWKGRSTGSRSKTNIVAYRKALDSLQPSDVKWFPYKDFDYTVIPEGIKNKMILRTSKTMLICFGKAERHLPDRCLRQFGMPQPIPEDVQIWERKIPAVDQGVDLSKDMESGGKGKMRSEIREWLERRLHILEGEEGVDESTYMEWYENITRKFVGRPESLESEFQRIAAAMREIANIADSLLVEQMEFQDRKLLDEIKSTVHVCLTDVVGNSKNGRCKNAVKRKREGG >ONI18654 pep chromosome:Prunus_persica_NCBIv2:G3:22801982:22802666:1 gene:PRUPE_3G230400 transcript:ONI18654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGSKMALILNLILVLSLVLMISIAESRQVGIGFGGKKPAAVCAAVYGAEEGDTCTSVSEMFNLSLDFFLSINPNINCDNFFVGQWLCTAGSA >ONI17390 pep chromosome:Prunus_persica_NCBIv2:G3:17454300:17456265:1 gene:PRUPE_3G156300 transcript:ONI17390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRFFPNIMPDFVAETPTLPTQEAEPEIGSGSLMKLLSMAYPSLSERFKRTALDLKETILTETLGATGQHVHDFTLYSGALGTALLLFKSYQVTSNTNDLSLCSQIIKACDSASLGSRDVTFICGRAGVCALGAVAAKHLGDSASLDYYLTQFREIKLSRNLPDELLYGKVGFLWACLFLNKHLGEGTISSAYMRAVVDEIIKNGRALGKRGRCPLMFEWYGEKYWGAAHGLAGIMDVLMDMELKPDEVEDVKGTLKYMIDNRFPSGNYPASEEDKNRDVLVHWCHGAPGISLTLAKAAKVFGDKEFMEAAVDAAEVVWKRGLLKRVGICHGISGNAYVFLSLYQLTGNLGFLYRAKAFACFLVDRAHKLISEGELHRGDSPYSLFEGVGGMAYLLLDMIEPSQAKFPAYEQ >ONI19454 pep chromosome:Prunus_persica_NCBIv2:G3:25622301:25626380:1 gene:PRUPE_3G280500 transcript:ONI19454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNKRKRGPKPKTPVAEDTNITTMDIQYTNPTTANDAVSVPANETATDPSSSQPSRRGRGRPRKTEKLNIAASPERRSLRHADQNGDGGHALAVVSEPQLMVPSWENVARVVPAMEAVVKVFCVHTEPNLSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHHTQVKLKKRGSETKYLATVLAIGTECDIAMLTVSDDEFWEGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIMHFIQDYEKNGEYTGFPVIGVEWQKMENPDLRTSIGMRTDQKGVRIRRIEPTAPESHVLKPSDIILSFDGVNIANDGTVPFRHGERIGFSYLVSQKYTGDNALVKVLRNSEILEYSIKLSTHKRLIPAHINGKPPSYYIIAGFVFAAVSVPYLRSEYGKDYEFDAPVKLLDKHLHSMAQSIDEQLVVVSQVLVADINIGYEDIVNTQVLAFNGKPVNNLKNLASMVENCDDEYLKFDLEYDQMVVLQTKTAKAATLDILLTHCIPSAMSDDLKS >ONI18668 pep chromosome:Prunus_persica_NCBIv2:G3:22875103:22877161:-1 gene:PRUPE_3G231800 transcript:ONI18668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANKRKIEGCSGADMSKKMKSHEQDDVQKNSVMAKKRKFAGCSGSNINKKMKSHEQDDVQKNQELPMDVDTYTPELPDLVVNQILLLLPTKLAVRASTLSRQWQRLWSSLPVLNFDEDLQLYDSQYGTDRAMFRKYLLSCLKHREKDQEEHDLDTFRLSMRYSGGASMIDKWLSFAVERNVKELEIILIRKYGDRSKHYFLPQTILNAQSLTTLRLNNVKLKDYPMPISLPSLKNMVLKNMDLGNVGFVHLISGCPSLEDLSLTSCGLRLCKVLSSSLKSLQIARCRKVRIEVEAVNLESFRFWGDSYTEMYMHSSLNIASCRSFRHLEFFDTCFGGRWFHDDLSSRFPLLESLVLYKCSNFWSDSIHINNQTLKRLAFCGRNGFTVKVNINTPNLAAFEFSTGYLPSHQLNVLFSNFSLNAPNLLKADVNFNLEDRYGKEENIFEDIDFPALINFLRHFDSSGSLSLTLNEAEALIFPAERRNTCSPPLPSLRHLWVAVDPLKKVKDDVSSKKVKDDVSSKKVKDDVSSKKVKDDVLSLRDSLQWMAPRGYINMLMEEDKTVKSY >ONI18577 pep chromosome:Prunus_persica_NCBIv2:G3:22386884:22388508:1 gene:PRUPE_3G224400 transcript:ONI18577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLETFKFTQCFGECCFNIFAPNLQSFYWASNNFAGKCLIQSFLILRKADIYYPFTVKGIKIHSTVNLFSAISQVQNLRLSFEIFEILSKRYFEFGGLPPCSFINLKSLQIDTSWSKSYIPGIACLFKSSPVVHTLGIEIKSYCAPDNKWNNNLLDNGHCTKEQFWDAEAQTLSPFLCHLKVVKIRVFQKMGHEGVISIASFLLEHGKNLQEMIIITPKYYKASDVAAWKDKIGIIEGLSRGSTDVKTSYLTADM >ONI17196 pep chromosome:Prunus_persica_NCBIv2:G3:15680854:15681795:-1 gene:PRUPE_3G144300 transcript:ONI17196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLKSTATGFLQFKKPQNPFLLLSQASTVPVKKSPLTPMAALTLTATNNLSQTFTKLKNQRKVAFIPYITAGDPHLSTTAQALKVLNSSGADVIELGVPHSDPILDGPVIQASATRSLARGTNFNSIMSMLKDVIPQLSCPIVLFSYYNPIIKHGIENFMSTISDVGVHGLVVPDAPFEETKSLRKEAVKNNVELALLTTPTTPIDQMKKIVEASEGFVYLVSTVGVTGARESVNEQIPRLLREIREATTKPVAVGFGLSKPEHVKQVAEWGADGVIVGSAIVKVLGEAKSPKEGLKALETFTKSFTSVLG >ONI18977 pep chromosome:Prunus_persica_NCBIv2:G3:24090916:24094211:1 gene:PRUPE_3G250600 transcript:ONI18977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNSIGNTEINWDKLDKTKFYVVGAGLFTGVTVALYPVSVVKTRLQVASKDALERDTFSVVRGILKADGIPGLYRGFGTVITGAIPARIIFLTALETTKVAAFKMVEPFKLSEPTEAALANGLAGMTASLFSQAVFVPIDVISQRLMVQGYSGHAKYSGGMDVARKVIKSDGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRLIWSFLGQGSGSDEAVPGLGKIVLVQAAGGIVAGATASCITTPLDTIKTRLQVMGHEKRPTAREVVKGLIRDDGWKGFYRGLGPRFFSMSAWGTSMILAYEYLKRLCAKDE >ONI20008 pep chromosome:Prunus_persica_NCBIv2:G3:26945195:26945846:1 gene:PRUPE_3G309900 transcript:ONI20008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLFLLVISSLFFQSVYLGVEAAKAKPVKGTTRSQIIVMGLVYCDICSNNTFSRHSYFIPGAEVKIDCIFQAVSPRTSEHISFSVNRTTNKYGVYKLEIPAVEGIKCAQDSAIVSSCQATLMWSSSSVCNVPGYKSTSDEITVKSKQANLCIYSLNALNFRPSKREITLCGKKN >ONI15677 pep chromosome:Prunus_persica_NCBIv2:G3:3855251:3858469:1 gene:PRUPE_3G054900 transcript:ONI15677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPMAPPSMEGTPPPMAVQPPGTDMTGICFKDQLWLNSYPLDRNLVFDYFAISPFYDWTCNNEQLRQRSIHPLDPSHLSKMTGTEYSLNEVMEPHLFVFRKQKRDGPEKVTPMLTYYVLDGTIYQAPQLCNVFAARIGRALYYISKAFTTAASKLEKIGYVDSENETAAFESKVTKETIDFKEVKRVDHILQSLQRKLPPAPPPPPFPEGYVPAPTAEAENGPETQQAGEPQQPQMDPIIDQGPAKRMKF >ONI17867 pep chromosome:Prunus_persica_NCBIv2:G3:19899175:19900852:-1 gene:PRUPE_3G183800 transcript:ONI17867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQHAHSSLPLPLLLLLLLLLNLTPPSHQQQNQKISTVDLHALTAIKNSLTDVPFRGATAFFSTWDFAAPDPCSTFSGVTCTSGRVTTLTLGTGLSDSPGLAGSLPPSLADLTELTQLILFPGIVTGPIPFQLGRLANLRVISLTNNRLTGPIPQTISLLSNLHTLDLSYNRLTGSIPSGLTRLPALKVLILASNSLSGELPHDVLSQLLHLDLKRNKLTGPLPPSLPTTLRYLSFSENEMSGPIGSLFDSLADVVHLDLGMNRLSGPLPQTLFRTTLQSLLLQRNNLSGWVPPVESQPPSYGEGSIVDLSHNSITGELSPILAGVESLFLNNNRLIGNVPREYVKSVYVGTTRTLYLQHNYLSGFHVEPGSMLPDTVSLCLSYNCMVPQVGMEACPASAGTELSRPASQCSVFTYG >ONI16178 pep chromosome:Prunus_persica_NCBIv2:G3:6142186:6142480:1 gene:PRUPE_3G082800 transcript:ONI16178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELPSGVVYEIAYMAKDQWIELVGSRFEAKEGEAGEVCFDLYDHGGHWKSGLIVKGIIIRPKH >ONI16049 pep chromosome:Prunus_persica_NCBIv2:G3:5582972:5584565:-1 gene:PRUPE_3G075900 transcript:ONI16049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETPLRLPVIDFSNQSLTPGTREWNTVRAQVHNALEEYGCFEALFTKVPSHIRKSIFQSIEDLLDLPLQTKLKNVSRKPYRGYAGQLPMMPLYESMGIDGANVYEQVQSLANTLWPQGNPSFCKTIQSFTEKVSELDQIIRRMILESLGLKEYLEEHLNSTDYLLRVMKYKAPQTNETKLGLPPHTDKNIVTILYQNQVDGLEVQTKDGKWINVKPSSDSFIALIGESLHAWTNGRLHSPIHRVMMNGNEIRYSTGLFSAPKEGYIIKAPNEVVDEEHPLLFKPYEHAQFIAFCNSEAGQRGPFSLKTFCGVQDLTLST >ONI19370 pep chromosome:Prunus_persica_NCBIv2:G3:25379821:25382231:1 gene:PRUPE_3G275200 transcript:ONI19370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDRKVLRTLFGALLLLGVFWFFLAGILVNRSTEKSTVTVSSTKMFKHWKLIGREKHAVVWDSSFIYVSKRRVPNGPDPIHNRRAVKTRQPPT >ONI17954 pep chromosome:Prunus_persica_NCBIv2:G3:20129556:20136325:1 gene:PRUPE_3G187600 transcript:ONI17954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRMASILFKLGLFLSVLCLVFSPSQSAVMSIDLGSEWVKVAVVNLKRGQSPITVAINEMSKRKSPNLVAFHSGDRLLGEEAAGLVARYPEKVYSQTRDLIGKPFNYSKSLLDSLYLPFDITEDSRATAAFKIDDRVSTYSVEELVAMILGYAANLAEFHSKVPVKDAVISVPPYFGQAERKGLLRAAQLAGINVLSLINEHSGAALQYGIDKDFSNESRHVVFYDMGTSSTYAALVYFSAYNAKEFGKTLSVNQFQVKDVRWNPELGGQNLELRLVEYFADEFNKQVGNGVDVRKSPKAMAKLKKQVKRTKEILSANTMAPISVESLYDDRDFRSTITREKFEELCEDLWEKSLLPLKEVLKHSGLKLDEIYAVELIGGATRVPKLQAKLQEYLGRKELDRHLDADEAIVLGAALHAANLSDGIKLNRKLGMIDGSSYGFVLELDGPDLLKEDSTRQLLVQRMKKLPSKMFRSFTQSKDFEVSLAYESEDTLPPGVTSPLFAQYSVSSLTDTSEKYASRNLSSPIKASLHFSLSRSGVLSLDRADAVIEVTEWVEVPKKNLTVENSTNVAPNISAETGAKNSSEESNDNTEDGGNSNTNNSTIEGQGTADLGIERKLKKRTFRIPLKIVEKTVGPAMSPSKESLAEAKRKLEELDKKDTERRRTAELKNNLEGYIYATKEKLETSEEFEKISTSEERQSFIGKLDEVQEWLYMDGEDATASEFQERLDLLKTTGDPIFFRFKELTARPEAVEYARKYLVELQQIVRGWELNKPWIPKDRINEVLSDADKLKTWLDEKEAEQKKTPGYSKPAFTSSEVYQKTFDLEDKVANINRIPKPKPKIEKPTSNETDSSGEKAQDSSTSSDNSSQDDKKARDSDDSAKEKVDSEPEGHDEL >ONI17955 pep chromosome:Prunus_persica_NCBIv2:G3:20129556:20136278:1 gene:PRUPE_3G187600 transcript:ONI17955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRMASILFKLGLFLSVLCLVFSPSQSAVMSIDLGSEWVKVAVVNLKRGQSPITVAINEMSKRKSPNLVAFHSGDRLLGEEAAGLVARYPEKVYSQTRDLIGKPFNYSKSLLDSLYLPFDITEDSRATAAFKIDDRVSTYSVEELVAMILGYAANLAEFHSKVPVKDAVISVPPYFGQAERKGLLRAAQLAGINVLSLINEHSGAALQYGIDKDFSNESRHVVFYDMGTSSTYAALVYFSAYNAKEFGKTLSVNQFQVKDVRWNPELGGQNLELRLVEYFADEFNKQVGNGVDVRKSPKAMAKLKKQVKRTKEILSANTMAPISVESLYDDRDFRSTITREKFEELCEDLWEKSLLPLKEVLKHSGLKLDEIYAVELIGGATRVPKLQAKLQEYLGRKELDRHLDADEAIVLGAALHAANLSDGIKLNRKLGMIDGSSYGFVLELDGPDLLKEDSTRQLLVQRMKKLPSKMFRSFTQSKDFEVSLAYESEDTLPPGVTSPLFAQYSVSSLTDTSEKYASRNLSSPIKASLHFSLSRSGVLSLDRADAVIEVTEWVEVPKKNLTVENSTNVAPNISAETGAKNSSEESNDNTEDGGNSNTNNSTIEGQGTADLGIERKLKKRTFRIPLKIVEKTVGPAMSPSKESLAEAKRKLEELDKKDTERRRTAELKNNLEGYIYATKEKLETSEEFEKISTSEERQSFIGKLDEVQEWLYMDGEDATASEFQERLDLLKTTGDPIFFRFKELTARPEAVEYARKYLVELQQIVRGWELNKPWIPKDRINEVLSDADKLKTWLDEKEAEQKKTPGYSKPAFTSSEVYQKTFDLEDKVANINRIPKPKPKIEKPTSNETDSSGEKAQDSSTSSDNSSQDDKKARDSDDSAKEKVDSEPEGHDEL >ONI15420 pep chromosome:Prunus_persica_NCBIv2:G3:3063976:3065917:-1 gene:PRUPE_3G043100 transcript:ONI15420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKFIPSFKVSLLGTNQPFYNLLTHYLYVSNTLNQLKQIHTLLLKSPTKPNHRYHILVQLLKRLLQLPGDNLRYAHYLFDRIPKCRNQFLWTSLIHSHVLHDHFGQSISLYAKMHRVGVSPSGFTFSSVLNACARVPALFEGRQVHARVVQYGFLGNKIVQTALLHMYAKCGLVLDARDIFDRMDDKDLVAWTAMVCGYSKMGLMGEARWLFDNMEQPNAVSWTTMVAGYANNGDMETAKELYERMVEKDSVAWVAMISGYGKCGNVLEAKIVFEEIQMADASCWAAMVACYAQNGYAKEAIEMYKKMREEKVTVNEVVMVGAISACTQLGDNEVAATLAKHVEEGCCERTLFVSNALIHMHSKCGDIEQAWREFNRMSIRDVISYSALMAALADHGRAEDAMNLFSEMQKEGIRPNQVTFVGLLNACSHVGLIEEGCQYFELMTRVFGIEPRKEHYACMVDLLGRAGQLEKAYNLIIYNIGAADAKVWGSLLGACKVHGNTKLSEIAAMHLFKIEPHDAGNYVLLANTYAEINKWDDAERVRKMMSEKGMIKSPGCSWIEKLR >ONI16088 pep chromosome:Prunus_persica_NCBIv2:G3:5795385:5796613:1 gene:PRUPE_3G078200 transcript:ONI16088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVFAFLRLVAAVDKNAFTLKASKATLIQLNITHTRNERFKEMNNQNSKENDNIIYMWDATDANIVSNKSFESKHRNIYI >ONI15115 pep chromosome:Prunus_persica_NCBIv2:G3:1982887:1988198:-1 gene:PRUPE_3G026800 transcript:ONI15115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVADGGNDPYIYSTNDFVGRQIFEFDPEAGTPEERAEVEEARLNFYNNRYQVKPSGDLLWRMQFLKENNFKQTIPPVKVEDGEEITYEKATASLRRSVHFFSALQASDGHWPAENAGPLFFLPPLVMCTYITGHLNTVFPAEHRKEILRYIYYHQNQDGGWGLHIEGHSTMFCTALSYICMRILGEGPDGGQNNACARARKWILDHGSVTHMPSWGKTWLSILGVFEWSGSNPMPPEFWMLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGPITPLILQLREELYAQPYDAINWKGVRHLCAKEDIYYPHPWIQDIMWDSLYICTEPLLTRWPFNKLVREKALQVTMKHIHYEDENSRYITIGCVEKVLCMLACWAEDPNGDYFKKHLARIPDYLWVAEDGMKMQSFGSQQWDTGFAIQALLASNLTDEIGPTLARGHDFIKKSQVKDNPSGDFKSMHRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLFSTMRPDIVGEKMEPQRLYDSVNVLLSLQSKNGGLAAWEPAGAAEWLEMLNPTEFFADIVVEHEYVECTSSAIQSLVLFKKLYPGHRKKEIDNFITNATEYLENIQMPDGSWYGNWGVCFTYGSWFALGGLAAAGKTFNNCLTVRKGVNFLLTTQRENGGWGESYLSCPKKEYVPLEENRSNLVHTAWAMMGLIQAGQAERDPAPLHRAAKLIINSQMENGDFPQQEITGVFMKNCMLHYAAYRNIYPLWALAEYRKWVPLPSEA >ONI17216 pep chromosome:Prunus_persica_NCBIv2:G3:15977795:15981530:-1 gene:PRUPE_3G146100 transcript:ONI17216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINISNINYRILKTKTNDSAPSVTVARQDYWGTFCPPTYVNTSINFSLFNYTSGLTNLTFYYECNTTIPEVLLGFSVTSQVCSTNNGDISVWPITRGLSLDPVAIGACKNKVIVPVFSTAAVALEANTTTIQDAVDGGFELGLEINNVQCNSCVESGGKCGLNTTTGGFSCFCLDQAYATVCNATAPGAQGKKKNIAIGLAVGGFSMMVIILCIICISRKRMVLFVKKDKRDEFDVEAFIRNYGSLTPKRYTYRNVKKMTDSFRDKIGKGGYGTVYKGRLPDGLLVAVKVLSESKGNGGEDFINEVASIGRTSHVNIVTLSGFCYEGDKRALIYEYMPNGSLDNFIHKHGSGMANFRLEWKILSEIAVGIARGLEYLHRGCNTRILHFDIKPQNILLDKDFRPKISDFGLAKLCKTKDSIVSMMGTRGTAGYIAPEVFSRNFGGVSHKSDVYSYGMLVLEMVGAKKNLDSGVSHTSEMFPHYVYKDLELEKDENAFGAITEEEKEIARKMVLISLWCIQTIPSDRPSMSKVVEMLEGPLHSLRIAPKPFLFSPTIAAEDSMTTTSGRSTMESMVSDGPMAALEMASRSHIGLGSHGRQPHGEEDMQAVREKTEGGRREREREEEEEEDND >ONI19302 pep chromosome:Prunus_persica_NCBIv2:G3:25163332:25166194:1 gene:PRUPE_3G270300 transcript:ONI19302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEWLSNSPNSGTVSWFYTDMLSSCQSFVLFWERTIYIGTASLEETLSAEECCTFHGSFAKLDVKSGTILWQTFVLLDNHGKLGQYSGPAIWGSSPSIDVHRKHVYIATGNIYSVPENVSQCQENQNNNNSTLPTHPDACVEPQNHGNSILALDLDGGDIKWYRQLGGYDVPHWTKPPMMLRTYVNGSKLDTVVAVQKSGFAWALDRNNGSLVWSTEVGPGGITGGGTWGAATDKKRVYTNIANSEGKNFTLNPSNNITTAVSVANGVLFAGSTNPKGSIYAMNTRTGKILWSNETGATVYGGMSISNGCIYVGNGYNVGIGSLTSMFTGGTSLFAFCTCVT >ONI19617 pep chromosome:Prunus_persica_NCBIv2:G3:25942702:25944926:1 gene:PRUPE_3G287600 transcript:ONI19617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELETNLKELDNFPFLSSVFSDSSTPMKLKPEHPSNTNNGINSLHPQLSSSSSSPPPNCKPLFSSLVPHFDPHHQHLHLHHHHHHQPLHGSHLNLNSHSHDDFKTSSDHINHFSTIEGSSSNPFSGIYYNTNPHDFVAVPLAPDGIAFHGARGSYWGAHHHHHLDAQEIPDGQAQMGPQSCIQLPPLINFGDFGSSSPMAISLPSDEVTCNSSSSTEIEFCKRLSAVDQKKTKRLCMRRPTSSKVLQKKPSIFKGQWTPQEDRLLVQLVERFGIKKWSQIAKMLSGRVGKQCRERWHNHLRPDIRSIEENERENGSDDEI >ONI14781 pep chromosome:Prunus_persica_NCBIv2:G3:477978:478274:-1 gene:PRUPE_3G008000 transcript:ONI14781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLKWIIACLTLFVLSQSRGSVSTDLVEETCHKTTNYDLCVSSLKSDPRSSTADVKGLARIALDQTLTNSVDAQARIARLFNETSDEYTRKRLRIYL >ONI16921 pep chromosome:Prunus_persica_NCBIv2:G3:13232360:13235220:1 gene:PRUPE_3G130000 transcript:ONI16921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTENNSTSDHSAIESENDQEEEPVPIENDDTNENRDEDELLSSSIQEKVNQASDPFSVHRCIYRIPAVLRKHNEKAFVPIVVSIGPLHHGNENLQAMEEVKLWYLHCLLERKPTPETDMESLLKAIRPIQACQECYEEKIHISDDEFLEMMVIDGCFIIEFFRRFANEVTVDNEDGLFSTSWMPLAVINDLLLLENQLPWRVLDCLFELTCESGTSSLLGLINSTFKAYTWGLSPKPSGTVKNRHLLDFIRNSFLGSYPESESDESARDSDTILSATELRKVGVKFKRGNEDDTMLNITFENGVMKIPPIIVFDENRESLFGNLIVYEQCQPRLGYQITSYVVLLDNLIKSRKDVEFLVEKGIIAKIWSRKEMLGFFKRLYNDTRLYKYFSYDELQTEVNAYCVGGWRKWKQILRRDYLKNPCSSSS >ONI15114 pep chromosome:Prunus_persica_NCBIv2:G3:1974747:1980093:-1 gene:PRUPE_3G026700 transcript:ONI15114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVADGGNDPYIYSTNNFVGRQIFEFDPEAGTPEERAEVEEARLNFYNNRHQVKPSGDLLWRMQFLKENNFKQTIPPVKVEDGEEITYEKATASLRRSVHFFSALQASDGHWPAENAGPLFFLPPLVMCTYITGHLNTVFPAEHRKEILRYIYYHQNQDGGWGLHIEGHSTMFCTALSYICMRILGEGPDGGQDNACARVRKWILDHGSVTHMPSWGKTWLSILGVFEWSGSNPMPPEFWVLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGPITPLILQLREELYAQPYNEINWKGVRHHCAKEDIYYPHPWIQDIMWDSLYICTEPLLTRWPFNKLVREKALQVTMKHIHYEDENSRYITIGCVEKVLCMLACWAEDPNGDYFKKHLARIPDYLWVAEDGMKMQSFGSQEWDTGFAIQALLASNLTDEIGPTLARGHDFIKKSQVKDNPSGDFKSMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLFSMMRPDIVGEKMEPERLYDSINVLLSLQSKNGGLAAWEPAGAADWLEMLNPTEFFADIVVEHEYVECTSSAIQALVLFKKLYPGHRKKEIDHFITNATQYLESIQMPDGSWYGNWGVCFTYGSWFALGGLAAAGKTFINCLAMRKGVNFLLKTQRENGGWGESYLSCPKKEYVPLEGNRSNLVHTGWAMMGLIHAGQADRDPAPLHRAAKLIINSQMENGDFPQQEITGVFMKNCMLHYAAYRNIYPLWALAEYRKWVSLPSQA >ONI17163 pep chromosome:Prunus_persica_NCBIv2:G3:15233453:15236644:1 gene:PRUPE_3G141700 transcript:ONI17163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESNIDDDSVMGNTDNATAAGNDLKNQSSGLSENRKEDELLASSIRKKLPQQSSSLACSCIFRIPHILRRGNENVFVPNLVSIGPYHHGHEDLKAMEEIKQWYLHCLLERRGNQEASVMSFVKGIRSMEKYCRDCYGEKVNLSSDDFVEIMVVDGCFIIELFRKYITDAGKCAIKVFPERDDPVFNTAWMIAALLYDLLLLENQIPWRVLDCLFKLTRENDETEETSLSQLTLYYFEFYTLGRYPKKIEETGSKHLLDFIRRCLLGGTKEDSNNIHPNSDWAPIPSVTELLQAGVKFRRTDDSMLNITFENGVMKIPPIVVNENGESLFRNLIVYEQCEASVYECKITSYAVVLDNLINTSSDVDILIQKGILLTHLSKEDIASFFNKLYNNTIPGHFSYKELTDKVNEHYHRRFNRWKTILARDYFNNPWSTLAFAGALLGLGLTFMQTLYAILAYKAPTSDEGYHYP >ONI16157 pep chromosome:Prunus_persica_NCBIv2:G3:6055906:6056471:1 gene:PRUPE_3G081500 transcript:ONI16157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSSVSFRRQGSSGFVWDDKHLSRELVDKVAPLLKEGGGGARQDQEKDEIIERVRAPKPINTVSRSRSNGGGRGYRTGKVTPAIEPPSPKVSACGFCGGFGIPSRKSTNLRARACLIGYKWNLSMDFFRKSQSCTKEIKLK >ONI16761 pep chromosome:Prunus_persica_NCBIv2:G3:10675857:10676578:1 gene:PRUPE_3G120500 transcript:ONI16761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKSAKVSASESIKHESDVLFEIKGCPFIIERLGEETTATDKGDMAYNLYTRSILEWIQHIHKCDYVHCDLKLDNILLVPTTTTSSGGTSFVAKIADFGLAKKTNVNYSRWGGTPRYLSPEAFINNKQDQSSDIWSLGCIVFEMLTGKSPWDLKPGYNPNMLMFYHLRTCKIPTGISDVTRDFLKSCLAMKSRERLTAESLLSHPFVAQPQSSKE >ONI19637 pep chromosome:Prunus_persica_NCBIv2:G3:25985333:25993869:-1 gene:PRUPE_3G288900 transcript:ONI19637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYTLKSLEDLPAPFRSSFNFRYFNSLQSECFPVCFHSDANMVVSAPTGSGKTVIFELCILRLLSRFLSEDGRLVHTNGTLKTIYIAPSKALVQEKVRDWNQKFGSWGINCLELTGDNEFYNTRNIQEADIILTTPEKFDAVTRYRAKDGGLSFFSDIALLLIDEVHLLNDPRGAVLEAIVSRIKMLAQNPELKSSSLAHVRFLAVSATIPNIEDLAEWLKVPVRGVKRFGEEMRPVKLTTKVFGYTPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAHRLSQTVMAFGHSNPFIKNREQQERLREASLSCSDKQMQSYIPYGVGYHNGGLSMKDRNLVESLFLKGDIQILCTTNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSTIQQMCGRAGRPPFDDTGMVIIMTRRDTVHLYENLLNGCEMVESQLLSCLTEHLTAEIVQLTVSNIPSAIEWMKCSFLYVRMKKNPGHYAVRKVISKDHIEKHMQDVCVKKVNELSHHQMIWTDKDGFLLKPLEPGRLMTKYYLKFDTMKHIMQTPVNCSLEDALHVICRSEEIAWIQLRRNEKKLLNDINADKDGRLRFHILDDKGKRKKRIQTREEKIFVLANDCLTGDPSVHDLSLTQDMNSICSNGCRIARCMKEFFIYQKNYKGALNSMLLAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGVKSFGTLAEADPRRIEIVTGRKYPFGNHLKDSLHSLPPKVEMKVEETECQRQGKLKLVVTLTRLSQTFQPTKRHYADMIVGSEEDNLILFHEKIRVQEFSSPYNATILLSNPQQGKLTVKANLIFEEYIGIDIYQKLIFMKDNLSVNNKRGKKPPSFPPPEEVCIIEDDAIHQPPTKELPNSTKSKRLNDSMPSFNLLDEESEEDEAAANVHEDEIAAKAEDSECKIITQQTVFDHIREKAKNFPVLAASNAVCSPSSERLVLTRKRAREKQLEPHNEVEVLEELEWNKIPRWAVVNPSSESKETEQNQHNLMDERAGLPLEPGDGAFKTSGEETIFEHIRTKAKNFPVINKSKVVESGSLTKTKEQPSKNHPVLSMDPSGVQKGTNSNKVLRNTALISDLETKEVEKDECGISNASPRGLGDAAHLSSSKMLSFDISMLKNTRPSADPGSSMESRTRIRQPSPIVPQRQCCTLTTVAKTREVETFLGFQSVFSFL >ONI14981 pep chromosome:Prunus_persica_NCBIv2:G3:1389675:1399260:-1 gene:PRUPE_3G019400 transcript:ONI14981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGGGLFCLSFISAATGRGSFFEHHHHHIFLSQTCPGHQEITLFERISAFTTITAGVSQDLPTRDKQYENTCRISIKAWRRIIWIGYASEPIEWLVEKSDTENVKRTLSDSDKLHMVKKGPTIMSCFSNFNDVGTVKQFLFTLHLGLSLLLLVHAQDDQDNQKVTYTETTTKIRYISDASFIDTGESKSVLNEFRDDYQQPYWSLRSFPEGTRNWNCYNINVTSGIKYLIRASFVYGNYDGQEKAPEFELHLGANLWESIRFENASIAAAHKELIHVPLRSYIHVCLVNTGSGVPFISAIELRPLLNASYPTQVGSLALEMRFDTGQVPTDFEGYRYPFDVHDRFCNDYQPPPIVMRTAATPRRANASLDFFWLPADDKASYYVYMHFAEVEKLQANQSRLQYITRNGQVIYESFAPDYLYTNTIFSPAALSGGQYNFSIRKAENSTLPPILNAIEIYTLKEFLELETNQEDIDAINIIKSTYKIKKNWQGDPCAPQAYLWEGVKCSYPQNDSPRIISLDLSSSGLTREIAPSISNLTVIQTLDLSNNNLTGPIPDFLSQLPDLNVINLEKNKLAGSVPVGLIERRKNGFLSLSLCANPNLSGNVSCKKKRNFVIPVVASVAGISILLLSVAALCWGIKRKRQPGAVTDANPIIAPVEARKRQFTYSEILQITNNLKRILGKGGFGTVYHGCIDKTQVYLLMRVHHINLTSLVG >ONI17692 pep chromosome:Prunus_persica_NCBIv2:G3:19181948:19183068:-1 gene:PRUPE_3G174700 transcript:ONI17692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTMALSSPSLAGQAVKLGSSVSNIVGEGRVTMRKTASRSKNVSSGSPWYGPDRVKYLGPFSGEAPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLADHLADPVNNNAWAYATNFVPGK >ONI18857 pep chromosome:Prunus_persica_NCBIv2:G3:23632269:23632518:1 gene:PRUPE_3G243800 transcript:ONI18857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKGGKKKSSSSKSLFYEAPLGYSIEDVRPHGGIKKFRSAAYSNCVRKPS >ONI18173 pep chromosome:Prunus_persica_NCBIv2:G3:20944039:20946994:-1 gene:PRUPE_3G200700 transcript:ONI18173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREMSKEHPPEPLDFFIWTVEDVGLWLEEINLGSYRQTFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSVVFVKVAKRNRQSRVVSLKLEP >ONI14681 pep chromosome:Prunus_persica_NCBIv2:G3:141629:143336:1 gene:PRUPE_3G001800 transcript:ONI14681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRAPTSSTSCSSSIDSSNHPGPALSTTPSSSSQTLPSSFSHSQFRQLKRDLSTDLRLGLSLSPSASHSQQHNPSVARGSSSDLAYSNQPKEEAMNNYAAAAADEGSNDNDDHTTSTFYVKVYMAGHAIGRKLDLLAHDGFHDLIRTLEHMFNTNILWGDEVDRVHSESGRDVHVLTYEDEEGDWLMVGDVPWEMFLSAAKRLKITRTFPC >ONI14709 pep chromosome:Prunus_persica_NCBIv2:G3:226632:229917:1 gene:PRUPE_3G003300 transcript:ONI14709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNQMVHVSLFLAHVLLLISLHGASGKDYISAVGDPGMRRDELRVALEAWNFCNEVGAEAPGMGSPRAADCFNLTSSSLRHGVSEDDNKLGVGTPFPGISQGALNNVDLYAAEKELYLGSLCQVSDSPKPWQFWMVMLKNGNFDTKSGLCPANGKKAAPFSPGKFPCFGSGCMNQPIVNHQETELLDGDKMRGSFSGSYDLGSDLSNGNGGADGISFYEVVWQKKLGVGSWVFSHKLKTSKKYPWLMLYLRADATQGYSGGYHYDTRGMLKTLPESPNFKVRLTLDIKQGGGPKSQFYLIDIGSCWKNNGQPCNGDVLTDVTRYTEMIINPETPAWCSPTGLGNCPPYHITPDDRKIYRNDTANFPYSAYHYYCAPGNAKHLEQPVSTCDPYSNPQAQEIMQLLPHPIWAEYGYPTKQGQGWVGDARTWELDVGALSSRLYFYQDPGTTPARRIWGSVDVGTEIFVSDKDEVAEWTLSDFDVIFTPKKE >ONI14710 pep chromosome:Prunus_persica_NCBIv2:G3:226632:229917:1 gene:PRUPE_3G003300 transcript:ONI14710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNQMVHVSLFLAHVLLLISLHGASGKDYISAVGDPGMRRDELRVALEAWNFCNEVGAEAPGMGSPRAADCFNLTSSSLRHGVSEDDNKLGVGTPFPGISQGALNNVDLYAAEKELYLGSLCQVSDSPKPWQFWMVMLKNGNFDTKSGLCPANGKKAAPFSPGKFPCFGSGCMNQPIVNHQETELLDGDKMRGSFSGSYDLGSDLSNGNGGADGISFYEVVWQKKLGVGSWVFSHKLKTSKKYPWLMLYLRADATQGYSGGYHYDTRGMLKTLPESPNFKVRLTLDIKQGGGPKSQFYLIDIGSCWKNNGQPCNGDVLTDVTRYTEMIINPETPAWCSPTGNAKHLEQPVSTCDPYSNPQAQEIMQLLPHPIWAEYGYPTKQGQGWVGDARTWELDVGALSSRLYFYQDPGTTPARRIWGSVDVGTEIFVSDKDEVAEWTLSDFDVIFTPKKE >ONI14653 pep chromosome:Prunus_persica_NCBIv2:G3:93288:95008:-1 gene:PRUPE_3G000900 transcript:ONI14653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSANIVPVPSPTASAVAAAATAKPSYLLLLTVTKANPPSKTPNFAASVYSSSFIKPNHSAVSHSSCRPHAQCSSSNKFDSAFLSQDDEDDDDDQAVSEDGDGDVSINMDAAADDIDIEIDIDIDVEIEKTGNNCRRIRSEIGIEAPLNTVWNLLTDYERLADFIPGLAVCRLLHKTDNYARLFQIGQQNLAFGLKFNAKGIVDCYETPLEILPNLGHKRDIEFNMVEGDFEIFQGKWSLQRLNREISCDDSLIEQQMHTTLSYLVDVKPKLWLPVRLVEGRLCKEIKINLACIREEALKLTHSNTMQHPR >ONI17685 pep chromosome:Prunus_persica_NCBIv2:G3:19130764:19131901:1 gene:PRUPE_3G174000 transcript:ONI17685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDLALAAKMNQSLAEAQHQQLPCPSSLSPAPLVTGSDAVVPDPIPTPPTSSSFANPIAVLNNNKKKLIIGLIVYVIIGIVIFCTTSFELEKTHKLVDALYYIVVTLCTIGYGDIVPSTTKSVLLRPSDGKFKNMIQTYMVDKEKGRIRTRSKIGLALGIVIVCIGIGIFAVHFLEGMSWPDSIYLSVTSTTARRCFAIVWLLVSTLAVARAFLYFTELRMEKRNRRIADWVLQKEVTLRDLKAADLDNDGCISKSDFIIYKLKEMGRVAESDILQICKKFDSLEHSNKDYSC >ONI19665 pep chromosome:Prunus_persica_NCBIv2:G3:26066413:26068110:-1 gene:PRUPE_3G290400 transcript:ONI19665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASGDQTIKVWDIQEKKCTAILMGHTGSVKSLCPQPTNPEIIVSGSRDGSFALWDMRCNSSSKNIHGEIAIWRGKAASMSITAVLYLKDEVSIAAGGAVDSIVKFWDTRSLKNVVTQTSPHLESTEKSIISPDAAHILSGSSDGNAYIWQVNKPQEDPVILKSHDGEVTAVDWSHFEVGKMATSSDDFTVRIWNSQNSYCPSTNSPSVIRRRVMAIRGAECRKLLMNESLHTSKDSGNQI >ONI15300 pep chromosome:Prunus_persica_NCBIv2:G3:2641247:2644364:1 gene:PRUPE_3G036500 transcript:ONI15300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGVLLKLLQSINSNVKVRGEYRSVLLQVISIVPALSGSELWPNQGFFIKVSDSSHSTYVSLSKEDNEIILNNKLQLGQFFYVDRMEAGTPVPILIGVRPVPGRHPFVGNPKDLMQMLEPSESPVQVDQEGSNSLKLRESFDAKDENSRQTFVIKEERAAVASRYMQGVLTSNSKASGPDSNGGGKNHDNESGARKVSMLKGKQQEHNGQARSITPSHNRPGALSSKPDSVVSNTKETAVPYRSTSAKRTSSKQENMNSNCLSSRKDKSQSPEAVLWACLPANFLKLGKGMLRRRNLASLVAAEAQKEASTAATLVKCLSMFADLCSTASPDNPHLPLNKFFILHQLIDQPNIAAPFKDNSIPSTTKQLVPPDVEKLSKRTGLIHGKSTLKSPKAPAELSGAEKLEWAKGDSAKEIAELKEILINETRSWFLKFLEEALDTGFHLKPQEKKGKDSAGRRNEPDNHIAVTLSQLKKANEWLDKLKNNMSSGNNGLVENVDRLKQKVYACLLVHVESAASALENRSDRG >ONI15301 pep chromosome:Prunus_persica_NCBIv2:G3:2640878:2644364:1 gene:PRUPE_3G036500 transcript:ONI15301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGVLLKLLQSINSNVKVRGEYRSVLLQVISIVPALSGSELWPNQGFFIKVSDSSHSTYVSLSKEDNEIILNNKLQLGQFFYVDRMEAGTPVPILIGVRPVPGRHPFVGNPKDLMQMLEPSESPVQVDQEGSNSLKLRESFDAKDENSRQTFVIKEERAAVASRYMQGVLTSNSKASGPDSNGGGKNHDNESGARKVSMLKGKQQEHNGQARSITPSHNRPGALSSKPDSVVSNTKETAVPYRSTSAKRTSSKQENMNSNCLSSRKDKSQSPEAVLWACLPANFLKLGKGMLRRRNLASLVAAEAQKEASTAATLVKCLSMFADLCSTASPDNPHLPLNKFFILHQLIDQPNIAAPFKDNSIPSTTKQLVPPDVEKLSKRTGLIHGKSTLKSPKAPAELSGAEKLEWAKGDSAKEIAELKEILINETRSWFLKFLEEALDTGFHLKPQEKKGKDSAGRRNEPDNHIAVTLSQLKKANEWLDKLKNNMSSGNNGLVENVDRLKQKVYACLLVHVESAASALENRSDRG >ONI15382 pep chromosome:Prunus_persica_NCBIv2:G3:2919458:2925634:-1 gene:PRUPE_3G040800 transcript:ONI15382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVARGMRRIEEMINSNLGMSRRRSSSKGNKEVCARNIRATLEQSRSKVRSLLARSIVDEIDEYQSVQTPALCVSLRAAELVSSLMELIIGNSKLMDGMVEQVISVKMHVDLLPFFMEDLQSLKLESEMEKSWLKEASKTVEEAINDIAYINIAANRQKWWWWVYFSRNWRARRKLKGGIMSLGSKISDLLETKERYGFKFITGRSSNFARKSPRQQTVEYQDMDRHLSSAVENIRNRLIQLRQTSKELSLCVSAMSKELEHMHKLFKATKPTEDFNQKKKTPTEAYAPKFRSAYLEQLKKLTCEAEQYSKASGIQGSELVTTISEIKGITHAVNLLQRCIKVYSIEVRADSCSVVGLEENVYELVSQLTSNRKERSVISIVGMKGIGKTTLAKEVYNHPTIQRRFQVRRWVSVPQVSDKSELLEAVGNQVLKTQEKQGREYWIAKVRDFLKKERYLLVLDNVLSTETWDALKVAFPEMANKSRIVLTTCKKAIASHADQNSIHHLRLRTKQESWDLFSQIVHHCPDELQTDAKEVLGRGGGLPLAVISVGYLLSWKEVSTPEEYLKELERITQGQNRTPWFDTVKVNNADLQFDKILSKCLSYFQLFSRDFEIPARRIVASWVAQGLAQVSGDEKTKIPETVAYAYLSELIGRNVVQMVQRKPNGKVKTCRLPSAVRHLLLQGGNDNNSSETGSGSSLTSPTSNVDRNYNLHDSEDGNTYDTSSRQIHGLYTNWRNVLLKESFPRSVLFFDDRAKIKPGEEVGEIISRGIAGGLFGKLQTLDLERVFRPKLPETIGKLKQLTYLGLRRTYLLTIPESIGDLVKLLTLDLKHTHVRTLPSSIWKLKKIRHLYLNENCQIHRPIFMISMKDLMILSGLFVEKGHPLKDRLDKLTKLRKLALVFNLAPTEQMLVAKWIEKLTDLESLRLRSIDEKRAPQHLKLETISHLTNLSSLHLFGKLENQVIINQLPQSLTQLTLSASKIKDDPMPTLGLLPKLRSLSFHSDSYLGTRMVCCKDGFPLLLFLKLWNLDTLKILAVQNGAMQNLRYIDTRSCHNLTITDSRRNRGRERRKIKG >ONI17994 pep chromosome:Prunus_persica_NCBIv2:G3:20364780:20370878:1 gene:PRUPE_3G191000 transcript:ONI17994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGTKCFSSFHAPNVTLFRKLGAEFVGTFILVFSAAAGPIVNEKYHGVETLLGNAACSGLAVMVVILSTGHISGAHLNPSVTLAFATFRHFPWSQVPLYIIVQVSASISASFALKGVFHPFMSGGVTVPSVTHGQAFSLEFIVTFILMFTITAVATDTRAVGEMAGVAIGATVLLDILVAGPTTGGSMNPIRTLGPAIAAGNYKGLWIYLVAPTFGALAGAAAYTTVKLPDNKPPNQVRISTC >ONI17881 pep chromosome:Prunus_persica_NCBIv2:G3:19980355:19982486:1 gene:PRUPE_3G185100 transcript:ONI17881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPAQLVFIPAPGVGHIVSTIEIAKQLVARDDQLFITILIMKLPFDKLFTNTDPSISHRISFVNLPESHIDTQGLAFTSFIKTFVQGHKTHVKEAVTKLLAESAQAELAGFVIDMFCTPMINVADEFQIPSYLFFTSGAAGLGFWFQLQSLHDEQGKDVAEITGWDSELIVPSFINPVPAGIWPGMMREKKGATDFIDFAARFRQTKGILINTFRELEPHALRSLSDGKFPPVYPVGPLLNLKSDDGHVGSDQSIQKSDILEWLDDQPPSSVVFLCFGSMGSFGEAQVKEIACALEKSGQRFLWSLRKPEPKGKVGMPSDYADVKGVLHEGFLDRTAGIGKVIGWAPQVAILAHPSVGGFVSHCGWNSTLESLWCGVPIATWPMYAEQQLNAFALVKDLGLGVEIKMDYKQDSEVVVSAEEIERGIRQVMEKDSDIRARVKEISEKSKKALTDGGSSYSSLGRFLDQI >ONI18456 pep chromosome:Prunus_persica_NCBIv2:G3:21930054:21930437:-1 gene:PRUPE_3G217200 transcript:ONI18456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRSRTLHRRSSQNRSTEPFLKYLKPGALAQIRDSRISSARSHRLNWLSQICTSPPSSPANDAGLPQVNAIDGFPCFSGRIYGPRCPQRKKLAASKSVLFLNPSSPVHDSPDPIIDVFSTDILAAH >ONI19509 pep chromosome:Prunus_persica_NCBIv2:G3:25683057:25683465:1 gene:PRUPE_3G282000 transcript:ONI19509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSPIDRTIFCLDSFCNPLCNCHWRAHCPQIANTRKLKLAGSSTNEVIDKSPPGKDANCETHTHTQMYKYMIYGCMRRGFLKLVKF >ONI16059 pep chromosome:Prunus_persica_NCBIv2:G3:5623037:5631580:-1 gene:PRUPE_3G076500 transcript:ONI16059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDIYRTGNSVQLGSSMRWRNNGVEVFSRSSREEDDEAALKWAALEKLPTYNRLRKGILTSPAGEASEVDIPNLGFQERKELIERFLKGAEEDNERFLLKLKNRIDRVGIDLPTIEVRYEHLNVEAEAYVGSRALPTLFNFIINIFEGILNSLRIFSSRKTHLSILHSVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPDLKLSGRVTYNGHEMNDFVPQKTAAYISQHDLHVGEMTVRETLAFSARCQGVGTRYDMLSELCRREQAANIKPDPDIDVFMKAIATEGQEVNVVTDYILKILGLEVCADTIVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVNSIKQYIRILNGTAVISLLQPAPETYELFDDIILLSDGQIVYQGSREHVLEFFESMGFKCPERKGIADFLQEVTSRKDQEQYWACKDKPYRFVTVKEFNEAFQSFHVGQKIGDELSIPFDKSKNHPAALTTKEYGLKKGELLKACFSREYLLAKRNAFVYIFKLIQLTVMALISMTLFLRTKMHHDSVNDGGVYAGALFFIVVMVMFNGMSELPMTIIKLPVFYKQRDLFFYPAWTYALPTWILKIPITIVEVALWVFTTYYVIGFDPNIERLLRQYLLLILVSQMASALFRLIAAACRNLVVANTLGSFALLIMFTLGGFVLSRDNIRKWWIWGYWISPMMYSQNAVVVNEFLGKNWRHVLPNSTESLGVEVLKSRGFFPHAYWYWIGVGAMAGFVLLFNSCYIVALSYLNPLGKPQAVKSEDSQRDENDCRTEGTGILPRNQNSSSERSKTESTGQNRTEVSLQTIHNTKRGMVLPFEPHSITFDEIIYSVDMPQEMKIQGVMEDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVKISGYPKKQETFARISGYCEQNDIHSPHVTVHESLIYSAWLRLPPEVKSETRKMFIEEVMELVELTSLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQEIYVGPLGRHSCHLIKYFEGIEGVSKIKDGYNPATWMLEVTTSAQELDLRIDFAQVYKTSELYRRNKQLIKDFSKPAPTSKDLYFPTQYAQSFLIQTIACLWKQHWSYWRNPLYTAVKILFTIVIALMFGTIFWKLGSKTKRQQDLFNAMGSMYTAVLFLGVQNATSVQPVVAVERTVFYREKAAGMYSALPYAFAQVLIELPYILVQAVVYGVIIYTLIGFEMTPVKFFWYLFFMYFTLLYFTFYGMMTVAVTPNHHIASIVSSAFYAMWNLFSGFIVPRPRIPIWWRWYYWACPMAWTLYGLVASQFGDLNHVLDNGETVKQFLGDYFGFKHDFVGVVAAVHVGITVLFGFIFAFSIRAFNFQTR >ONI17835 pep chromosome:Prunus_persica_NCBIv2:G3:19782303:19785515:-1 gene:PRUPE_3G181900 transcript:ONI17835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILLDQHMIFSLLFCLWHISIAQAASRAEAPPIAMPNCTMLCGGVSIPYPFGIGPSTNCFLNEWFQIDCNKSTGHKPFLRRAQLEVLNISINGTLRVNSPVTFLCNTKKGNSSHQLLANLTGSFFVFSQRHNIFTAVSCGLLAVVTSSNDDEMVAVTDKIIGGCRSICDSFQKGRSNYDGCDGINCCQTTIPPNLTSVTTHILYANASAIDCTNYPPTDYIYEKNNNYAFLVDREWFDNTSSRAVQAMEKVPVVLEWALQYDNNVTDLLFTSFIHGERNRSRRHDPTPYCEVDETSTYSFSPRHMAASFYCHCPEGFEGNPYLRQSCHDIDECSYNPTICLAGSKCVNDYGEYHCSVNKMRTLKWALKGLGSGLGLILLLIGLWLGYRLIKKRNDIKKKKKFFKRNGGLLLEQQLSSGEVNVERIKLFKSTELEKSTDNFNVNRILGQGGQGTVYKGMLTDGRIVAVKKSKVVDEGQLSEFINEVVILSQINHRNVVKILGCCLETEVPLLVYEFIPNGTLYQYIQGQIEEFQLTWQMRLRIALEISGALSYLHSAASFPIYHRDIKSTNILLDERYRAKVADFGTSRSIVIGQTHLTTVIHGTFGYLDPEYFRSSQFTEKSDVYSFGVVLVELLTGEKHISLVTSSAKEKEYRSLAAYFITSMEEDRLLDIVDALVLKEGSEIEIRVVANLARRCLNLNGRNRPTMREVTAELEALQMAEKSSNTQQNYEDVEFVEHKSIEQWGAVSSSTGTGWSAWDRDGDDPTSSLLELPQLSVQTW >ONI18213 pep chromosome:Prunus_persica_NCBIv2:G3:21039013:21043472:1 gene:PRUPE_3G202400 transcript:ONI18213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASPLKGSSVSSSPFSSPNVGALLKIKILSWTQETGLPVSVSVRVLGKIFNLHKFPLFSKSGYFKKRLNESTELELPPDFPGGPETFEMIALFIYGSSTLIDPFNVVALRCAAEFLEMTEDYCSGNLCERFDLYLNQVVMQSWDDTLIVLQKCQTLLPWSEDLLIVSRCIECLAFMACMEILDPERRRDTPVLTLEALSTRNWSCEIGREIMSQDLWIKDLIALPFGFFKRIIGSLRRQGMKEKYLSPIIVFYANKWVLSKKTLQFWENSGQKTGDDHKVSVILQGVLDLLPMGEKASRSIPVGFYFAILSRSLEVGLRIDSRAKLQEKIVSLLHFAQLEDFLFPKSGTESISSSMELSTMESIISTYVSSNMEADHSLSAGHSIIVAELWDAYLSYIAPDPNMEPKRFMELIERVPISYRQSHDKLYRAMNTFLQANPNISQEEKWAVCKYLNCQKLSQEACIEAVQNELMPLRLIVQALFVQQISTHQAFKECSDSFRYVHCGEFSGSLSSSRCPNSKSQNLGDSPYVDGAEQGSRPLSFLLQKDNVNQRPELSRKEYESTSFRIQNLEQELMSLKRSLQWQSISKKKEAISTTAHSMKSYGKESRSMSKKSNPLGQVTSCIGSVNFTSQRKYASRLLKVFRRISLFGSRKPKRKPCAPSPWPKPMQQNTHQQKMYENQNQ >ONI17383 pep chromosome:Prunus_persica_NCBIv2:G3:17392854:17394026:1 gene:PRUPE_3G155700 transcript:ONI17383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESPLADQTCIYRVPHKLFRHNEEAFVPSLVSIGPYHHGEKKLQAMEEMKLWYLHCLIERKPNQNTSLEKFVTEIRSMEQFCRDCYEEKFDHMSSNIFVEMMVVDGCFIIELFRKNLLRFWDHALLEIGVDFECRIEIMKPDLLDITLENGVMRIPSLSIGENGECFLRNLIAYEQCAPKHAKCYATSYAKLFSCLIKSTKDAEFLMEKGIIQTQLSKEDIACFFTRVCKDIEIEGDFLYFGDLASSVGKYCKRRWLRSWLTMIKRDYLYNPSSIWSVSSGVGVILILTITQTIYTVLSYYK >ONI16803 pep chromosome:Prunus_persica_NCBIv2:G3:10979553:10981880:1 gene:PRUPE_3G123100 transcript:ONI16803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLKDIVPANNINTRFILLDKSMKTSSSLEGFNKTCLALVADKTVAVHFQLWGDECNAFKPSDIISLSNGIFSYNRNSLLLRAGKRGKIEKVGEFIIAFVETPNTSEIRWVPDPNNSYKYIQEAVISPHSRIFPPKC >ONI19082 pep chromosome:Prunus_persica_NCBIv2:G3:24513956:24520912:-1 gene:PRUPE_3G257600 transcript:ONI19082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQFAATRGGAETVWQCKSQSKLIDFSSRKNKSKLLFTRRNLNQRRSFSFSVKNASNESSQKLKDPIVEQDSSILSSFIPDAASIASSIKYHAEFTASFSPERFELPKAFFATAQSVRDALIINWNATYAYYEKLNAKQAYYLSMEFLQGRALLNAIGNLELDGAYAEALSKLGHKLENVACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQRITKDGQEEVAEDWLELGNPWEIVRNDVSYPIKFYGKVVSGSDGKRHWIGGEDIDAVAYDVPIPGYKTKTTINLRLWSTKASSQDFDLYAFNSGEHTKASEALANAEKICYVLYPGDESVEGKTLRLKQQYTLCSASLQDIVERFERRSGPNIKWEEFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIEMIDEELINTIILEYGTADYDLLEKKLKEMRILENVDLPATFADLFVKPKESSVVVPSEELEDSKEEEEEDESVDEENESVDEEDESVDEEDESVDEEDESVDEENGPDKKCDEEKKKKVVVEPPPKLVRMANLCVVGGHAVNGVAEIHSEIVKDEVFNSFFKLWPKKFQNKTNGVTPRRWIRFCNPDLSKIITKWIGTEDWVLNTENLAELRKFADNNDLQTQWREAKRSNKLKVVSLIKERTGYSVSPDAMFDIQVKRIHEYKRQLLNIFGIVYRYKKMKEMSASGRKAKFVPRVCMFGGKAFSTYVQAKRIVKFITDVAATINRDPGIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCVLIGTLDGANVEIREEVGADNFFLFGAKAHEIAGLRKERAEGKFVPDPRFEEVKEFIRSGVFGSFNYDELIGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDEAYRDQKRWTRMSILNTAGSYKFSSDRTIHEYAEDIWNINPVELP >ONI16375 pep chromosome:Prunus_persica_NCBIv2:G3:7124135:7126001:1 gene:PRUPE_3G095000 transcript:ONI16375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVTVSIRDSSMVKPAEESTPRGSLWLSNLDLVFPPFHTPSVYFYRPSCGHEHNFFHPEALKQALIKALVPFYPMAGRLKLNDQDGRLEVDCNAEGVLFVVAESFSTVDDFGDFAPSPNFLPLIPPIDYAAGISAYPILVLQVTYFKCGGVSLGVAIEHRIADGVSALHFVNTWSRIARGDLSNIKPPFMDRTLLRARDPPEPEFPHTEYHPFPEMKSVSNEHLQTTSNITTSLFRFTQEQLSLLKAKSKEKGDGQNTSTTNYTTFEMLACHIWRCVCKARKLPDDQDTKLFIPIDGRNRLRPPLPPGFFGNVLFRTTPIAAAGDLQSKPTWYAASCVHSALVRRDDDYLRSVLDYLELHLPCNQKLVTGVPTIQCPNLSINSWIKLPIHDADFGWGRPIFMGPGRPFVLDGRCMLLPSTTNDGSISIILGLQSEHMKLFSKLLYDI >ONI17192 pep chromosome:Prunus_persica_NCBIv2:G3:15610723:15611911:1 gene:PRUPE_3G143900 transcript:ONI17192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMKSQAALLGLTTLAILFFSGAHAAKITFTNKCSYTVWPGTLTGDQKPQLSLTGFELATGISRSVDAPSPWSGRFFGRTRCSTDASGKFTCATADCGSGQVSCNGNGAAPPATLVEITIASNGGQDFYDVSLVDGFNLPMSVAPQGGTGDCKASTCPADINKVCPAPLQVKGSDGSVIACKSACLAFNQPKYCCTPPNDKPETCPPTDYSKLFKTQCPQAYSYAYDDKSSTFTCSGRPDYLITFCP >ONI14743 pep chromosome:Prunus_persica_NCBIv2:G3:333478:338232:1 gene:PRUPE_3G005600 transcript:ONI14743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETFVPLQGIKNDLRGRLMCYKQDWTGGFRAGFRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLVSTAVCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKERADLGPKLFLAWSGWVCVWTAALLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVDEFRLPQREDTSLPQFVPSWRFANGMFALVLSFGLLLTALKSRKARSWRYGSGWLRGFVADYGVPLMVLVWTGVSYIPTSTVPHGIPRRLFSPNPWSPGAYDNWTVIKDMLNVPVLYIIGAFIPATMIAVLYYFDHSVASQLSQQKEFNLRKPSSYHYDLLLLGFLTLMCGLLGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKSMRKNASLGQLYGNMQEAYRQMQTPLIYQEASARGLNELKESTIQAASSMGNYIDAPVDETVFDVEKEIDDLLPVEVKEQRLSNLLQAILVGGCVAAMPILKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKSIGLFTVFQTLYLLVCFGLTWVPIAGVMFPLMIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPALPFNLATEAELGAGASYAGDAEILDEVMTRSRGEFRHVSSPRITSSTSTPGNAPKILGSPHSSFSPRVNELRGERSPRSGGRGPNSPRTPGSSSILGKSPSDNTTS >ONI18070 pep chromosome:Prunus_persica_NCBIv2:G3:20664750:20672288:-1 gene:PRUPE_3G195400 transcript:ONI18070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGFRVSIPNSVRKTIQDIKEITGNHSEEEIYAMLKECNMDPNETAQKLLFQDPFHEVKRKRDKRKENLNNRESAESRWRPGMQGRGGRGGRVNFSPRSDAGGGRSTAPGTENGPSQVAEKGGASSLPTSRETKNKERSLVTSSVPVIVDGPTNVVSGSTSVVHPSHVSAGSGPDISLSLVGDNLGSSVPPVDANKNTTVKFGNEDLHEQPAPSSSSSLVLPPPASTLAVCFSSSDPVLVPSNDSRLPSSVGTIKREVGSHHPSAFLNDAIAASEIGSSQAQGKVASKTQGVGKSQLADLSHPSSTSTHGSSGSRPSSNYSSRSQQSVGTQKVGTNKEWKPKPVNSTVVQGQGTAGTAVASEVPADSVKAPSQSQSVSSVLDSEEATSKLQRKLEELHLPQRKTVILPNHIHVPESERTKLSFGSFGATFAVTTGYVSGPETDKSSTPRSETSQVIEEAVEEQLSSNQNALATANEDDYPDHPQSPTHVPENISSGEVDVSSSATQGQNESKHDTALPSGGHQFSVAHTSPNYSFGFVPPILGSQLAPFENSESQPRDISRLPSFVVQPPFDPASYYAQFYRSGADGDGRLSPFPSPGVSSKYNGNVAVLPPSSQSPQEGGVLSAAGPTPLVTQASGLVQSSIGVTQQQVPVFRPPAGMHISHYAPNYIPYSHYFSPFYVPPPAIHQFLGNGAFPQQPQAGGVYPAPPAAATGVKYSLPQYKTGTNTGNSAHIGMASGYGPYGSSPAGYNPSSATTAGNSTANEDLSTSQFKESNVYMTGQQSEGSSVWVAAPGREMSSLTSSFYNLPQQGQHVTFTPTQAGHGTFAGIYHPAQAVTAATVHPLLQQSQTMAGAVDMVGPGGSVYQQPQHAQINWPSNY >ONI19757 pep chromosome:Prunus_persica_NCBIv2:G3:26303483:26306939:-1 gene:PRUPE_3G295500 transcript:ONI19757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAAIDVPKGGFSFDLCRRNDMLAKKGVQQPSYRKTGTTIVGLIFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKKHLFSYQGHVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMSIFESKYKEGLTRDEGIKLVTEAICSGIFNDLGSGSNVDVCVITKGHKEYLRNHLSPTPRTYVSAKDYTFSKKTEVLLTKIIPLTEKVEVIEAGDAMEE >ONI19756 pep chromosome:Prunus_persica_NCBIv2:G3:26303483:26306872:-1 gene:PRUPE_3G295500 transcript:ONI19756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAAIDVPKGGFSFDLCRRNDMLAKKGVQQPSYRKTGTTIVGLIFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKKHLFSYQGHVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMSIFESKYKEGLTRDEGIKLVTEAICSGIFNDLGSGSNVDVCVITKGHKEYLRNHLSPTPRTYVSAKDYTFSKKTEVLLTKIIPLTEKVEVIEAGDAMEE >ONI16294 pep chromosome:Prunus_persica_NCBIv2:G3:6703446:6705395:1 gene:PRUPE_3G090200 transcript:ONI16294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKAFLTENGVNLLEKRFLPALDKMGKICHLYLNRDHAIFLHNLLNGDGVQSIAQFRKEALFDDYRISSQNEDRIAFAVDISLLHRALRSSVSICTEFGNGPTANRLQIKLVKKLPPNSTQPMPFLTFETKGYKSAVIQDVPISKPMSRAQVLELQTALDMAQDLPQTLVQVPDLNQLQNFVDRMRHVGELLNISISKYGDLHIQISTTLITLGAEFQKLLVLGERADAPSEDLNLSAQTRSARAILRGDAQSVQVSVKHFGKSLQCHLAKPDCAFYGIAPQGACLTVIFQFFIPGSHQMDKSISLHCRLPVLDQGSG >ONI19504 pep chromosome:Prunus_persica_NCBIv2:G3:25674242:25674764:1 gene:PRUPE_3G281700 transcript:ONI19504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIKLQRFLVPLLVLSFVLLAAAIEARPLSHHYKNHHHQGHQLHHNPREAGPSPGGVGHYFGDVLALWGVKNSGQSPGEGH >ONI15172 pep chromosome:Prunus_persica_NCBIv2:G3:2148111:2148751:1 gene:PRUPE_3G028600 transcript:ONI15172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKEEYSTREQTKQNQDKGSGRLTSQIQGSGAGVCSMCLVCRGCALEAVMGCFWELLGLGAKYKVITLRQCALALLWN >ONI18435 pep chromosome:Prunus_persica_NCBIv2:G3:21838056:21842376:1 gene:PRUPE_3G215800 transcript:ONI18435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWGTIRRKVASSQVLGQAWKVRHGASTPSCYRTVPKEALFIGKGFECVQSSSYHILLGDHVSKTSREATSLYQTESFIRLRNRSFSSDSGDLVEAVVPFMGESITDGTLATFLKKPGDKVAIDEPIAQIETDKVTIDVVSPQAGVIQKFVAKEGETVEPGVKIAIISKSGEGIEQVAPSDAQPEPPKEKESAEKQVPKAEPAPVKGTTAQPKARAPSPPPSPKRVASEPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKAAVSALEHLPIVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVVRNAGGMNFAEIEKEINTLAKKAADGSISIDEMAGGTFTISNGGVYGSLLSTPIINPPQSAILGMHSIVNRPMVVGGNVVPRPMMYIALTYDHRLIDGREAVLFLRRIKDVVEDPRRLLLDV >ONI16424 pep chromosome:Prunus_persica_NCBIv2:G3:7397706:7403053:1 gene:PRUPE_3G097400 transcript:ONI16424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADSSSVSGHGQTVCVTGAGGFIASWIVKLLLERGYIVRGTLRNPDDPKNAHLRELEGAQDRLTLRKADLLDFESLKEAINGCDGVFHTASPVTDDPEQMIEPAVNGTKNVIVAAAEAKVKRVVFTSSIGTVYMNPTRGPAVMVDESCWSNLEYCKNTKGFYRKLEKVKSAKKVCEILK >ONI19290 pep chromosome:Prunus_persica_NCBIv2:G3:25137715:25137939:1 gene:PRUPE_3G269800 transcript:ONI19290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGNRNKRTFLGCFDGFEDPVNWEIVGLGIFLLVHAFILVTRETETKTESVETHINEAESSKLRKPSWGIGLN >ONI17178 pep chromosome:Prunus_persica_NCBIv2:G3:15509448:15514628:-1 gene:PRUPE_3G143000 transcript:ONI17178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNWELKSCCKHDQVVFLATIGVFTVVILALWRTIILTPFKLITVFLHEASHAIACILTCGKVEGIQVHANEGGVTQTRGGIYCLILPAGYLGSSFWGMALILASTNLLTARIAAGCLGVALLVVLFVAKNWTLRGLCIGFIVFLAIIWVLQETTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAEVCPCPCNGVGWGVIWGLISFIFLSASVYLGLVILS >ONI16122 pep chromosome:Prunus_persica_NCBIv2:G3:5877617:5879780:1 gene:PRUPE_3G079400 transcript:ONI16122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLESGDHHFWSRARQFELAEQIANANAIHKLVRDSEFYFLSAIYSPFSLKMYSGSLPLETYNLYRALEFHIMQTILESFSILRENCDGYDAHLLLQLEAQLIGEAKLTLETELIAKLNEEDLPEAVSIFTEELLMQAELSVVGSSDDQTIAYTIATVAAWLRLYHVIGQHFMNWIRGKIEGNHLYQDWFDRGWFKFFGCVHRNWFDFYASAEFKELVVQTEFLLDQSCQNLSEVQLQILDNRYRTSLKDAVSFFSHESVHASVRAVVPILPKPPK >ONI16765 pep chromosome:Prunus_persica_NCBIv2:G3:10703467:10704894:1 gene:PRUPE_3G120900 transcript:ONI16765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHTRLRANKSQKFQRKKASCHEINKINFSTASCQISITKNQEKSKNKQQIPNQFHQILKNHFKETQSPFLKINSEPRFVRKNQRPENGERSEQEITSRGTAIDRGSRYLDNHGEDQGCEGGLMDDAFQFNKIMGLVRKLDTLTTLLMVHATPREEATIAAKIIGYEDVPANSEKALLTVVAHQPVSVAIDASGSDFQFYSSGVFTGTCGTSLDHGVTAVGYEVSDDGTKYWFVKN >ONI20085 pep chromosome:Prunus_persica_NCBIv2:G3:27160076:27162141:-1 gene:PRUPE_3G314200 transcript:ONI20085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCLSLKSSSSTTSISPAAAAAAPDIHSHPPPPPRSQGGTSSEASPSATLTHEYRLAVQTQSYNEIWSRIHEVHDHHHHHHDEVEGDLDSNSDSDSEKENQHERQRQRQRLLLEQVLQPNRQCVEEALRQAKPNSLTRLVSDYFDQSENTTQVCLMLHRYVYRARELYAPLHQLLDVVQSEEESLSLNLSQSQCSRALEVFLQFDRHDNPFPSPDDSDSHNFDDMLRCFSQLKHQLDSRLRSSRSRIRLLRRATLASAICFIGTALGVAVSAVAITVHALTAALVVVAGPPLCCTPTATGNGNGSSCCSCSSSSSMMTAIEKKEVAHMKQLDAAAMGTCVLNNDLATIDRLVRRLHTAVEGDKLLIRLGLERGSVTGTATDKHPIQEVLKQLSKSHPNFLHLLNELEEHICLCFNTVNRARSMLLQHMSSLVYSSS >ONI16711 pep chromosome:Prunus_persica_NCBIv2:G3:10002317:10005034:-1 gene:PRUPE_3G117000 transcript:ONI16711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSCWVCNGGTALLDFDLGYHMGIRDNGGGEFLTSCCTIFSVQEDSTPVERIVQQSFGHLFLFEFAIVMLIVSRFLLSVVYCVLFIVLYQLSRIIGHESLQPLSWISLITSSAYPGNGNCPLHKESDWKEAGYHDHGGILLAEELKG >ONI16374 pep chromosome:Prunus_persica_NCBIv2:G3:7120270:7121467:1 gene:PRUPE_3G094900 transcript:ONI16374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILQLLLLLFRILVWGVELEYIWTPYRLRLIDFSSNRFEGEIPAGIIGNLRALHSLIISNNALTGRIPGDLAQLNFLAYFNVSHNRLWGPIPLGQQFGTFLEDSYVGNSSLCGKPLSKKCESSRPPPPSIFEQDEDSGFQIELDWYVVLPGIISGLIIGVISGDIWTNKKHEWFVEAAKRINRRRGRI >ONI14794 pep chromosome:Prunus_persica_NCBIv2:G3:556453:560922:-1 gene:PRUPE_3G008900 transcript:ONI14794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNDPVSPILSLCRSNSLEQYFDLSNGKFSVKGVPLLSEVPSNVTFNHFHSTSQSSDAPFPLFQRVRALSHKGGFLGFNKEEPSDRLMNSLGRFSNRDFLSIFRFKTWWSTMWVGNSGSSLQMETQWVLLDVPEIKSYVIILPIIEGSFRSALHPGTDDHVMICAESGSTQVKASNFDAIAYVHASENPYNLMKEAYSALRVHLNTFRLLEEKTIPNLVDKFGWCTWDAFYLTVEPVGVWHGVNEFVEGGVSPRFLIIDDGWQSINLDGEDLHEDAKNLVLGGTQMTARLHRFEECKKFRNYRGGSMLGPDAPSFDPKKPKLLIAKAIEIEHAEKNRDKAIRSGVTDLSEFETKIQKLKQELEEIIGEEESSASNEGCGSCSCGADNYGMKAFTNDLRTKFKGLDDIYVWHALCGAWGGVRPGATHLSAKVIPCKVSPGLDGTMTDLAVVKIVEGGIGLVNPDQADNLFDAMHSYLSKVGITGVKVDVIHTLEYVSEEYGGRVELAKAYYKGLTHSLQKNFNGTGLIASMHQCNDFFFLGTKQISIGRVGDDFWFQDPNGDPMGVYWLQGVHMIHCAYNSMWMGQIIHPDWDMFQSDHLCAKFHAGSRAICGGPVYVSDSVAGHDFDLIKKLVYPDGTIPKCQHFALPTRDCLFKNPLFDNKTVLKIWNFNKYGGVIGAFNCQGAGWDPKEQRIKGYSDCYKPISCSLHVSELEWDQKIEAANLCKAEEYVVYLNQAEELRLVTPKSDAIQITIQPSTFELFSFVPIKKIGSSIKFAPIGLTNMFNSGGTVQELEYKTTAVEFSAQMKVKGGGNFLAYSSESPKKCCLNGTEVAFEWSTDGKLTLNLPWVEEAAGNSDVVFAF >ONI17404 pep chromosome:Prunus_persica_NCBIv2:G3:17560809:17563563:-1 gene:PRUPE_3G157100 transcript:ONI17404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKKSGKSKKGVEESEMETSLDWEINEDKDLGFSFESQPWKLGFNEASMMSKRPLKKIKSPERQDPIQSSASSAHQATSSTTPCPSSSSSRIVFPFAFDGSQQPVQFPNQFNTTAVPIYPSPFSQQQQQQQNQQQMISFASQQQQQQQQHGMSYPPPFLGDSTTWQQQQQHQILQYWSDALNLSPRGRVMMMNRLGPDGRPLFRPPAMPYNTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPELFLNKDNTSVSTAPSSTATSPTAHESSMPTTTRNRKQSRKVQKNPKASNTEAMPPPPPPQAHTEEDNTDDDSGLGSSEAKTSDEFQANMNAAGGGNSQPEELVWGDMAEAWMNAIPAGWGPGSPVWDDLDTNNNLLLQSHLPFTNPNQQNFNNICPELERQQDHSGSASSSSSSYPLKNFFWKDQD >ONI17518 pep chromosome:Prunus_persica_NCBIv2:G3:18342695:18347049:-1 gene:PRUPE_3G164300 transcript:ONI17518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTHFGFKLLTIFLAGFSSFLLCANSQPSSSNDASVMLDLKKSLNPSESLGWSDPDPRKWSHVGWSDDKRVTRIQLGHLNLEGTLPPSLQNLTKLERLELQWNKISGPLPSLNGLSLLQVLLLSNNQFSSIPSDFFTDMTSLQSVEIDNNPFMGWEIPATLRNASSLQNFSANSANITGNVPDFFDGDSFSSLVNLHLAFNGLLGELPESFARSQIQSLWLNGQESVGKLGGSIGVIQNMTLLKEVWLHSNAFSGPLPDFSGLKDLRSLSLRDNMFTGPVPVSLLNLKSLEAVNLTNNLLQGPMPAFGVGVAVDMVNGSNNFCLPSLGQCDPRVNALLLIVSSLGYPQRFAENWKGNDPCADWIGVTCSNGNITVLNFQKMGLTGMISPEIASLKSLQRVILADNNLTGTIPEELATLPALTTLDVSNNKLYGKVPDFKVNVLVNKNGNPDIGKDMSTSSGAAPSQNSTNPSPSIGSGNNGSSGPHGKKSSTLTGVIVFSVIGGVFVIFLIALLLICIYRTKQKQLSRVQSPNAMVIHPRHSGSDNESMKITVAGSSVSVGAISETHTLPSSEPSEIQMVEAGNMVISIQVLRNVTNNFSQENILGQGGFGTVYKGELHDGTKIAVKRMESGVIAGKGLTEFKSEISVLTKVRHRHLVALLGYCLDGNERLLVYEYMPQGTLSRYLFNWPEEGLKPLEWTKRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKFSIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDESQPEESMHLVTWFRRMFINKDTFRKAIDPTIDLSEETLASVSTVAELAGHCCAREPYQRPDMGHTVNVLSSLVELWKPSDQSSEDIYGIDLEMSLPQALKKWQAYEGRSNMESSSSSLLPSLDNTQTSIPTRPYGFAESFTSADGR >ONI17868 pep chromosome:Prunus_persica_NCBIv2:G3:19903470:19904344:-1 gene:PRUPE_3G183900 transcript:ONI17868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVILVGSRLSAICLRTKNQCPLSSLFNLFVILSRATHSLVVSGPLVFHFCLRVGDKNFGFQLYLSDPSGNYAGWKAAVIGANNQAAQSMLKQDYKDDMTREEGGQLALCWWS >ONI17075 pep chromosome:Prunus_persica_NCBIv2:G3:14402856:14405871:1 gene:PRUPE_3G136700 transcript:ONI17075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSCMSKLISFSLQNPSRNYGALPSLRPSKIPNPPSLSSSSSSSSSSSSSTTLHDRIKVIRDPKASVLPVLEQWVTEGGAVEKQELQSLVRLLKDFRRFNHALEISQWMTDRRYFDLSPSDAAARLNLIHRVHGLEHAEKYFNNLSKNLKSVNAYGALLSIYVQEHSVEKAEATMQKMKKMGMAKTSFPYNMLINLYSQNGEHEKINILMQEMEENGIPLDKYTLRNRMIAYIAASDMPGMETILNRMEEDSNFIVDWKIYSMAASGYLKVGMIKKACSMLKMMERMMPLQGRKSLEFLITLYANTGHKGELYRVWNTYKQSNEPMDVPYGCMISSLAKLDDIEGAERIFEEWESRCTEYYDFRVLNRLLVAYCKKGLFDKAESAVKKAVEGRVPYASTWNALAIGYTESKQMPKAIETLKKALSVDRRGWVPDSSTLTACLDYLEGQGDFEGIEEIISLLKNLGPLSRDLYQRLLRASAASGKSVSIILDQMKVDGFTADEEAYKFLETGPS >ONI18757 pep chromosome:Prunus_persica_NCBIv2:G3:23206347:23210662:1 gene:PRUPE_3G237000 transcript:ONI18757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSPIANLKLLNHHNYEYWSSRVKVYLLAEDVWDLVEATTEPPKPEDGEVAFKAWRKNNAKALLAIQTSCGDDTYPIIEGITGAKAAWDALAEELKPSDSDKELKPSDSDKELKPSDSEKLKPSDSREGHNNNDDESDVNYAPLYDSLKRGDWNAAKEFIDRHPEALTHRGSSSGGTALHEAIERKQLHIVEELLKLMTEEDLEIQDDNRCTAFFCALQKGMAPIVAKMVKKNKSLVTMRFTNVAGNTTPVLVAYAFGHWEIARFLYSLTPIHVLTQDNSGRDGAQLISNCFAHRNKFDIQVQQPQPAPINSDVCVNFEELEDDKRNPRDLISSGTYTAFKVFIVQNIFVFFYTKHFLLGFLFWFSILHPLLYFIFKKANVK >ONI17384 pep chromosome:Prunus_persica_NCBIv2:G3:17394142:17396475:-1 gene:PRUPE_3G155800 transcript:ONI17384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDSFPNDDETHHDPTTRPFDDDGYMGYDPRLSSQRYDSSFNPPTHDDDDFSSSAVDPAPPPLHIDFQDDVVLPGGHSIDQQSPEIYGFGISTPNPDYVSPFDSAVPEDNDVGGMGGDGGGGGGGGDDGDIFASSEPVLPPPEEMREEGFARREWRRLNVIHLEEKEQREREMRNQIIEEAEEFKRAFYEKRKLNCETNRAHNREREKLYLVNQEKFHKEADKHYWKAIAELIPREVPNIEKKRGKKDPDKKPSVLIIQGPKPGKPTDLSRMRQIFIKLKQNPPPHMMPPPPPAKDGKDSKEGKNAQDSKEGKDAKDSKEGKDAKDAKEGNDAKEGNDAKGGDDAKDSKDANDSKDSKEGKDAKNGKTNSPIAAGAAAAIAPVSPAKDVTANTTPNSSKAETPAEHEGEQPAETRPTPAE >ONI18774 pep chromosome:Prunus_persica_NCBIv2:G3:23303715:23308811:1 gene:PRUPE_3G238000 transcript:ONI18774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFVKTLKGTNFEIEVKPEEMVADVKKIIETVQGADVYPASQQMLIHQGKVLKDTTTLEENQVAENSFIVIMLTKNKASPSGASSTKGAATSQAQPASTPTSTAPPVASPAPVRAVAESQPVVETPAVAAPTDSSRSDVYGQAASNLVAGTNLEATVQQILDMGGGSWDRDTVVRALRAAFNNPERAVEYLYSGIPEQAEVPPAAQVPAGEQAANPPAANPPAQAPQPVAPTGGPNANPLDLFPQGLPNMGANAGAGNLDFLRNSPQFQALRAMVQANPQILQPMLQELGKQNPHLMQLIQAHQADFLRLINEPVEGGEGNLLEQLGAAVPQAVTVTPEEREAIERLEAMGFDRALVLEVYFACNKNEELAANYLLDHMHEFEE >ONI14976 pep chromosome:Prunus_persica_NCBIv2:G3:1369203:1374434:-1 gene:PRUPE_3G019200 transcript:ONI14976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSYTLLSRLRHTHRFNSRCQSQFLLFHYSDPKLTQNNDCSFSQNAPFSSSAHTLLRGLVSSSACSKRLVLLDLVSSGSVVHHQHQQQHLFHRNFFTRAKQVKIQFNDEHSQRAVTTALWCNFLVFSLKFGVWLSTASHVMFAEVVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGFQNLWTSQPPPNIQYAALVIGGSFIIEGASLLVAIQAVKKGAAAEGMKLRDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVNRTGNAIYDPIGSIVVGNLLGMVAIFLIQRNRHALIGRAMDDHDMEKVLQFLKNDPVVDSLYDCKSEVIGPGFFRFKAEIDFNGVVVVQNYLHRTGREEWAKQFRDAAKEKDDTALLKIMSNYGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEMYHDSSRKLLRIL >ONI14977 pep chromosome:Prunus_persica_NCBIv2:G3:1370438:1374160:-1 gene:PRUPE_3G019200 transcript:ONI14977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSYTLLSRLRHTHRFNSRCQSQFLLFHYSDPKLTQNNDCSFSQNAPFSSSAHTLLRGLVSSSACSKRLVLLDLVSSGSVVHHQHQQQHLFHRNFFTRAKQVKIQFNDEHSQRAVTTALWCNFLVFSLKFGVWLSTASHVMFAEVVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGFQNLWTSQPPPNIQYAALVIGGSFIIEGASLLVAIQAVKKGAAAEGMKLRDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVNRTGNAIYDPIGSIVVGNLLGMVAIFLIQRNRHALIGRAMDDHDMEKVLQFLKNDPVVDSLYDCKSEVIGPGFFRFKAEIDFNGVVVVQNYLHRTGREEWAKQFRDAAKEKDDTALLKIMSNYGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEAHNPIDLSP >ONI14978 pep chromosome:Prunus_persica_NCBIv2:G3:1369980:1374434:-1 gene:PRUPE_3G019200 transcript:ONI14978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSYTLLSRLRHTHRFNSRCQSQFLLFHYSDPKLTQNNDCSFSQNAPFSSSAHTLLRGLVSSSACSKRLVLLDLVSSGSVVHHQHQQQHLFHRNFFTRAKQVKIQFNDEHSQRAVTTALWCNFLVFSLKFGVWLSTASHVMFAEVVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGFQNLWTSQPPPNIQYAALVIGGSFIIEGASLLVAIQAVKKGAAAEGMKLRDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVNRTGNAIYDPIGSIVVGNLLGMVAIFLIQRNRHALIGRAMDDHDMEKVLQFLKNDPVVDSLYDCKSEVIGPGFFRFKAEIDFNGVVVVQNYLHRTGREEWAKQFRDAAKEKDDTALLKIMSNYGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEAHNPIDLSP >ONI16182 pep chromosome:Prunus_persica_NCBIv2:G3:6152299:6155854:-1 gene:PRUPE_3G083100 transcript:ONI16182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWIGETVDSIKSLQIRQVLTQAVSLGMIVTSALIIWKALMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKEPIRAGEIVVFNVDGREIPIVHRVIKVHERQDTGEVDVLTKGFCMLMVSCGFNGIISWVERWGFYLMLAG >ONI16181 pep chromosome:Prunus_persica_NCBIv2:G3:6152197:6155980:-1 gene:PRUPE_3G083100 transcript:ONI16181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWIGETVDSIKSLQIRQVLTQAVSLGMIVTSALIIWKALMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKEPIRAGEIVVFNVDGREIPIVHRVIKVHERQDTGEVDVLTKGDNNYGDDRLLYAHGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >ONI18605 pep chromosome:Prunus_persica_NCBIv2:G3:22540415:22546002:-1 gene:PRUPE_3G226100 transcript:ONI18605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIRKCGGLLQNCTRRNMQSLRDTLTYDTPIRGDVKSETLVSAGGKFELGFFTPLNSSAESYVGIWYHNLRLEPIKSSNLTVRLMDSGNLVLFSDDGEDDQLVVQNNQDDPRIGNFTFKLDQEGDNQYVISRKSIPYWKSGEQGEFSTSAEMPPLITYLLSNFRMRGVSPKNLTRLEYNYTRLVMNFIWEPQFLTYKKQWNLRCCNRNNRPLECKCLPGFSPQFVEQWNSGDFSGGCARQSKICEKNDTFLSLTKMKLGKPNTRIKVDNETVRRNECLSNCLCQAYSYAAAANNTRWDASTSSLCWTWLAELNNLEEEYGDDGHNISVRIALSDSETVSLGTTINPYPLSTGPDGDDPMYSHFNCSISIGQVSFVGPNGIVFRVISINPSAQRFVIQAKNVDNCDPINRVKSQQLNPPFPFNVTKGNLQQTQEQQIEEQPISKVRVSLMLITVAVVTSGIFLACIIFVYTWRRKITKRQDKINRAQLDSERRVQELIDTGEFKEEDEKGIDVPFFDLQSILDATDSFSEANKLGQGGYGPVYKLMEEYLFSISLQLNHLSNTNGKFLGDQEISVKRLSSASGQGLQEFKNEVLLIAKLQHRNLVRLKGYCIKDHAKSMVLDWGMRFNMILGITCGLLYLHLDSRLRIIHRDLKTSNVLLDEEMNPKISDFGLARIVGGKETESNTNTIVGTYGYMSPEYALEGTFSVKSDVYSFGVVLLEIISGKKNIGFYQSKQTFSLINYVSLSNESFMPIYFFHPHFMLYTMLKFKLFLRHGDWTENKGLELTDKTLDESCNKSQFIKRPCCVDRPTMSNVPTMLDSEIAISSTPEQPAFLLRRFNSSTASSSTKPETFAEVTTTLDEGR >ONI15459 pep chromosome:Prunus_persica_NCBIv2:G3:3134500:3136991:-1 gene:PRUPE_3G044100 transcript:ONI15459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSTAEEESAQEIHIPAEIDWQMLDKSKFFFLGAALFSGVSATLYPVVVLKTRQQVAQSQVSCLKTAISMVRHEGFRALYRGFGTSLMGTIPARALYMAALEVTKSKVGTATIRLGFSESTAAAIANAAAGLSAAMAAQIVWTPVDVVSQRLMVQGGVKSSSKYPNAADCKYVNGIDAFRKIIKTDGPRGLYRGFGISILTYAPSNAVWWASYSVAQRMVWGGVGYHFCKKSDESNEDGVTTYTPDSKTIMAVQGVSAAMAGGMSALITMPLDTVKTRLQVLDGEDNGRRGPTIGQTVRNLVREGGWTACYRGLGPRWASMSISATTMITTYEFLKRLSTKNQEVLT >ONI20052 pep chromosome:Prunus_persica_NCBIv2:G3:27071117:27075186:-1 gene:PRUPE_3G312600 transcript:ONI20052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATQSVQVVEESEEDQEEEEEEEEEEEGEENDIGEPIRSELDKDLIKKVLEQEPEMLCHASASPLSPQLSSLGTPRLGPSIKVWDPYNVLAPPPPLLPPPQGFSRSFSLSSNAMEDDRFMTEVFLISHGECELNLRPDLVGGRCPQAALTPNGKRQARALAVFLNSQRVSFNAVYTSPLDRARSMAVSVCQEMNFPVEQIQASDALAEMSQGDWEGCPRSEIYTAELLSFIDRVQPDFSAPSGESLRQVEFQMVQFLNGTVLGLTEKLRAYFSFSSHNQNESQGFSHHNSHVLTNSIHDREGSSLPPPQWDLLHRQRQGLLRKKSGKSRLQVVTTTGDQEVEDEISPREVNHQSSPHDLSGRSSSSVSCIGIFSHAVPIKCLLTGILGCSPLMSHKICIEDSSVTVLQHSWRTGWQIKRLNDTAHLRLM >ONI20053 pep chromosome:Prunus_persica_NCBIv2:G3:27071492:27074313:-1 gene:PRUPE_3G312600 transcript:ONI20053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATQSVQVVEESEEDQEEEEEEEEEEEGEENDIGEPIRSELDKDLIKKVLEQEPEMLCHASASPLSPQLSSLGTPRLGPSIKVWDPYNVLAPPPPLLPPPQGFSRSFSLSSNAMEDDRFMTEVFLISHGECELNLRPDLVGGRCPQAALTPNGKRQARALAVFLNSQRVSFNAVYTSPLDRARSMAVSVCQEMNFPVEQIQASDALAEMSQGDWEGCPRSEIYTAELLSFIDRVQPDFSAPSGESLRQVEFQMVQFLNGTVLGLTEKLRAYFSFSSHNQNESQGFSHHNSHVLTNSIHDREGSSLPPPQWDLLHRQRQGLLRKKSGKSRLQVVTTTGDQEVEDEISPREVNHQSSPHDLSGRSSSSVSCIGIFSHAVPIKCLLTGILGCSPLMSHKICIEDSSVTVLQHSWRTGWQIKRLNDTAHLRLM >ONI16575 pep chromosome:Prunus_persica_NCBIv2:G3:8595132:8599133:-1 gene:PRUPE_3G107500 transcript:ONI16575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKNQICNQTFTEKERRVLDPYIDDVLSVKMVSHQDQSKDSGSNKNGGKEQVPPQEKSSKIMKSSKKMKFASSSADTEPTSATTISDDSKAGRGMSTMPRVVKRKLQKLRPIVEYNKRGKGIGQAHSEMQSYIGVLARSRVPLVDLKWAQIPKDIKEQIWEAVDIAFVVGQGGKNSVLASAAKKWKDFKSTLTRHYILPYTNDRERLSQPPETYKFIEKAQWDAFVASRLSKDFESIREKLEYNHRLSRKGYAGLEDQLEETMSGVEIDRSTLWKRARQDKHGNIPDPKVAEKAKLIHKMSVVQFRVVCIKLLRRNVLNNVVKGDDLQKQVSEGKVTVSGSNDVLTMALGPEHPGRVRGVGAGISPKQYFNLPKPQRVSFDDRLKDSLRVLLQEETRKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSQHFEDDTAKNGKHQEEKVTKVVDYSNMEAPSSLQTLCRYVETTLVPQDKTLHFTIDKEVFGLERDTFLLPEDITQFAGMEEIGATVIAVYMRYLHDVLKQANMCTMVGFIDPATVSASSGTIADRSRMVASRLQKTDGEQIFLMPYNPGRHWILLIVRAKRETVYFLDPLPGYRVVDEEAKNIVNSAIKIYNSHIARSGRKAVIWKTLSGTPKQPSNVECRYYVMRFMKDIIMDPSLGFEKKYAKGKQEEPYPQEAIDEVRNEWAEFVCLQLK >ONI16468 pep chromosome:Prunus_persica_NCBIv2:G3:7676280:7677785:1 gene:PRUPE_3G100100 transcript:ONI16468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPKTSSATGGGGGGGGAGGRGFRAKMEHYMYSGEKKHVFAGIVLVSAVFAVPWYLMTRGAKHQSHQDYLEKADKARSQRLSSGASSAK >ONI17936 pep chromosome:Prunus_persica_NCBIv2:G3:20108172:20108943:-1 gene:PRUPE_3G187100 transcript:ONI17936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPNKAIIILQGRYAGRKAVIVRAFDDGTRDRPYGHCLVAGIKKYPSKVIRKDSAKKTAKKSRVKPFVKLVNYQHLMPTRYTLDVDLKDVVNVEAFATKDKKVTALKETKKRFEERFKTGKNRWFFTKLRF >ONI17222 pep chromosome:Prunus_persica_NCBIv2:G3:16025452:16029694:-1 gene:PRUPE_3G146600 transcript:ONI17222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLLLQSLFPLLLITSFLSINVELSLSQDNEQFTNCSEAINCGGIGGISYPFWGVNRANYCGLPGFEVKCVDNAPMINMSNINYRILKTKTNDSAPSVTVARQDYWGTFCPPTYVNTSINFSLFNYTSGLTNLTFYYECNTTIPEVLLGFSVTSQVCSTNNGDISVWPITRGLSLDPVAIGACKNKVIVPVFSTAAVALEANTTTIQDAVDGGFELGLEINNVQCNSCVESGGKCGLNTTTGGFSCFCLDQAYATSYFRDEGIHIHLLFFPTTLNSILSLSLSLSLSLKMNLYPLQKISFLLVITSTICLFYIPRSLGEEDDEQYLKCSASSQCANFPNIGYPFWGSSRPNYCGYPEFKLNCTGDAPVISFQDKDYRVLDINQSASTLRIARTDYWNNVCPVSPGNTTIEVNRVEYASDVQELLLFYDCPPLNIPLPSQLTSQFNCSINSTANYINYFVTQNLTNSGLANISDTFGTCHTTVTALVSQSAGENLAMNSTKDNLVAVLDSGFGLKWDASNNLCKQCNETGGQCGYNTSTAEFTCYCKDGPNPSNCAGPPPIHQLPFRKIASLELTLFDYTFEVDQESY >ONI19662 pep chromosome:Prunus_persica_NCBIv2:G3:26042802:26048609:1 gene:PRUPE_3G290100 transcript:ONI19662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKVSSPKPGGLSPSDCISDPEEKEVSDDDDDDRNHKHRRRETRSQSLERDTLDQVIARPYRKRNKPFANGNSFRDNDSQASTTWRNYNSASQDFSVKFDKRRPGLASLPRAPFDLNQRIRANQGFPGDPGLGRGRGRDSGSWGQRDSRFNSDIASQMVQQGSIPPNLFAGRGLPSVSSAQNASWNAFGLIPGIPNGAMDTLHSIGLQGTLRPPIHSSMNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSSAHLLGKPTGPGSLPSVSASSAALMNSKGLHGKTSKSAVNDDGLGLNGPYSGPGYLGGADLYDPDQPLWNNNGPETSNALLGLQSPRNDETESLSNDDPSDRHRARLDSADNECPIRSVGTAANSQSTSVSVWGRIGSSKSKLDVKEKIDPTNNSSDCIESETKEGKEALVSIQNPPRQGKRIMAEDGPKAVDSSPKTHFDPTRNIRKPSQKALRTLFVNGIPQKSNKREALLSHFQKFGEVIDIYIPLNSERAFVQFSRREEAEAALKAPDAVMGNRFIRLWWANRDSIPDDGTGTASNFPGLIPPHPTVTSISKDNLQSAAPKSSIVHTSDAFLPSADNSKPVISNGPKAPPLQKKLENLEQLKEELRKKQEMLDQKRNDFRRKLDKLEKQATGPKGEADIEQAAKRPKVGITADVGKVANPKSSNPTPMEELHAEMTDKNKCVENVVSCSPKTSTTMVLQQSTSLKQLSIRPLGSIGTPSPVNRYKLDNRPTAFRILPPLPAGFANVAIMKEHFSPYGDLSNAELEDLESRDCGSELEASKDCSACITFTTRRSAERAFLNGKCWEGHDLKFMWLTSSISSNDRSGRENSPSTTTPKGPLIADVEPADEVADSGSQEASAASGNGEPEHSERQGGVEHVEPGEYSQSSPKSTSGEKESSKGEAM >ONI18069 pep chromosome:Prunus_persica_NCBIv2:G3:20662923:20664455:-1 gene:PRUPE_3G195300 transcript:ONI18069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKLLNVHKLKPFVRHALPKQAPATQPESWPEDGGTSTLKIVHAGGRVESYYMAIPAAKILEKYPSFLLARPEVFRRPWDSVVKADEILTPGQKVLLVPRRTVRKLRRRIRKPNKEFSVNSYVSQSSMDVSSHTISQRKQGAVGELSDSSSTCSSIGRQKSVGKKHVTFTGIDVKHRYKAGEMEHSTSSESSSSQSQGRKRTVRSAMTWQPSLTAITEARRADHVMKYE >ONI19201 pep chromosome:Prunus_persica_NCBIv2:G3:24847857:24850966:1 gene:PRUPE_3G263800 transcript:ONI19201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYTAVGDDEVHDFDEYDPTPYGGGYDLFLTYGRALEPSDETCYPNSSPSDDFDYERPQFSSYSEPSAYDEEALDNEYSSYARPTHLPGPAIGFTPGGGDPEGEHGGRPQPAYGFQPGGEDRPEYGSERPDLGHGSGYGRKPGYEQPGSEYGSGYRRRPESDEPSSEYGSGYGRKPVYETPEPEYGSGYGRKPEYETPEPEYGSGYGRKPEYETPEPEYGSGYGRKPEYEAPESEHGSGYGRKPEFEAPGAGFGSGYERKPSYGEEPGYGDEPPRKPSYGRPSYETSESEERTKYESSGYGKTEFERPGYGEEPRRRPSYEIPESEERPSYGRPGYGDEPPPPRPSYGRPGYGDEPPPPRPSYGRPSYEGEESAEYEKPSYGRSEEQEYRRPGGYERRGDDDEAERPKYGSREEGYSRKKYGNDNSDEDDDDEEKKRRHHKHHHRKSYDDE >ONI19019 pep chromosome:Prunus_persica_NCBIv2:G3:24322105:24323688:1 gene:PRUPE_3G254000 transcript:ONI19019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELSSTSVKLVEVCSVAPQPQPDVAEFYLPLTFFDLLWLRFPHFHRLSFYEILASSASADTKPFFDSLLFQKLKASLSVTLQHFLPLAGNITWPQDSHKPVLSYVQGGAVSLTTAHSDADFHRLSSNDFNIEAKEYHPLVPQLAISREKAAVMAFQITLFPNSSGFSIGISMHHAALDGKTLFMFQKSWAHLCKHEPDTLLSDQLKPFYDRRVFIQDPAGLELEAIYLNQFLNMDQRPNNQSLLVATRYKSLTRFDLRHV >ONI15026 pep chromosome:Prunus_persica_NCBIv2:G3:1591936:1592898:-1 gene:PRUPE_3G021800 transcript:ONI15026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVSVFRFLSIATITTISLCNRNLGVPCKQNERQALLLMFKQDLKDPSNRLLSWVGEGDCCNWTGVVCDNLTGRVHEYLNYSLYQENSLGGKVNTSLPNLKHLSYLDLSNNDFGGIQIPSFLGSLRRLPQWLYTCSNLESLSIGNLTAIVNLDLSANQLGGKIPNSLGNLCKLTVLGLSRNYFNGRVSEILGSLSRCPLSDQLGNFRHLRLLALMSNSISGPIPVSLGNLLFLEEASISENHFDGTLPKTTGQLKMVTVSYVKSIAVRL >ONI16442 pep chromosome:Prunus_persica_NCBIv2:G3:7560072:7562915:1 gene:PRUPE_3G098400 transcript:ONI16442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTKVAAENSPSLVLPLEVVVREYDKERDKAAVEEMERRCELGQRGKPSLVTDLMGDPICRIRHFPTHVMLVAEYGENEIVGVIRGCVKEATRGNSVFVKLAYILGLRVSPTHWRLGIGTKLVRHLEEWCKEKGAEYVYMATDCTNEASINLFTIKCAYKKFRTPTILVQPVHAHYKPISSSANTNIAIVQLPTTLAASIYRQLFSQSSEFFPKDIDAILACNLSLGTFMALPVKSLAKWDPQKWTLPPNFAILSVWNTKEVFRLQVKGVSSLTYACCVGTRLVDAWLPWLRLPSFPNFFKQFGVYFMYGLHMEGEHGNRLMKGLCNFVHNMARDDRGCAAVVAEVGQRDPVRESVPHWRKFSWAEDTWCVKKLDEAKQGGERSSSDQFDWTNTPSSSSSHIFVDPRDF >ONI16934 pep chromosome:Prunus_persica_NCBIv2:G3:13401201:13403908:1 gene:PRUPE_3G131100 transcript:ONI16934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSRRNSFISQSVLLLLCFTFKAYTSMASNTISPGQSLSGNQTITSPGGIFELGFFTPGNSQNHYIGIWYKKLQKTVVWVANRNQPVSDPSSSALHLFQNGNLTLLNQSKSAVWSTHDPSLPVFSNSTKAMLLDNGNFVITDAFNSSVIIWQSFDHPTDTWLPGGKLGYSKVTNEKLTLTPWRSPENPAPGIFSLEIEQNGTSFLLLYNGSIRYWTTGPWTGKIFTNVPEIELNHYITNVTYVSNEMGSYVSYDAVNPDVFIRYVLDISGQFKAYKWGKDFPQGNSIWLRPSEHCEVYGFCGASSICNQQQVHLCDCLEGFQPKSPNDWELADHTDGCVRKVPLQCSAGNGGNDTFVVVPDVRFPNNSKTLAAENINECKSACLRNCSCTAFAYDNECLVWTGGLFNVKQLTLDEKVGKVLHLRIAASEAIEVKKESKTLWIVIGVLGGLLAVLLIIAVIAKNECSGEGFEVVEGSLVMFRYRVLRSATKNFSLKLGEGGFGSVFKGTLQNSTPIAVKRLNCPKQADKQFLTEVRTIGKVQHINLVRLRGFCAETSKRFLVYDYMPNGSLESVLFQKSPIVLDWKARYNIAAGTARGLAYLHEECRECIIHCDIKPENILLDAEYAPKIADFGLAKLMSRDFSRIITTMQGTRGYIAPEWISGEAITPKADAFSYGMLLFELISGRRNRDLLGDGLQNYFPTRVANVVTKEEDVITLLDCRLEGNADKEELMRACKAACWCIQDDEKDRPSMGQVVQLLEGVIELGIPPIPQFLDRFSKSLDEAIDYHDYISSASDSRHGYSSNITISGA >ONI18884 pep chromosome:Prunus_persica_NCBIv2:G3:23729718:23732784:-1 gene:PRUPE_3G245800 transcript:ONI18884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVRGSRGGGGSGFGGFSLRSFFSYRIFVSAMFSLLFIATLSVLFTTNPSTPHHDSALPTTGNAYMRRTFLALNSDPLKTRLDLIYKQANDHVTLVNAYAAYARKLKLEISRQMRMFDDLASNISNLQMKPSYRSALFESDGPLDEDVLRHFEKEIKDKVKVARLMIAESKENYDNQLKIQKLKDTIFAVNELLIKAKKNGAFASSIAAKSIPKSLHCLAMRLVEERISHPEKYKEEEPSPEFEDPSLYHYAIFSDNVIAVSVVIRSVVNNSDEPWKHVFHVVTDRMNLAPMKVWFKMRPVERGAYVEVKAVEDFTFLNSSYVPVLRQLESAKLQKFYFENRAENATTDTHNMKYRNPKYLSMLNHLRFYLPEMYPKLHKILFLDDDVVVQKDLTGLWKIDLDGKVNGAVETCFGSFHRYAQYLNFSHPLIRERFNPRSCAWAYGMNIFDLDAWRQEKCTEQYHYWQNLNEDRTLWKLGTLPPGLITFYSTTKSLDKSWHVLGLGYNPSISMDEIRNAAVIHYNGNMKPWLDIAMNQYKKLWTYYLDNDMEFVQMCNFGL >ONI17331 pep chromosome:Prunus_persica_NCBIv2:G3:16588398:16593805:1 gene:PRUPE_3G152000 transcript:ONI17331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFALGGDEDGEGEGSRIPRPKKPRLPPNLLPQYPAARIAIIEQQPLQPPQPQSQQQLRTVEEDEEADEESEGEEVESESEVEADEESEEEEEEEDEEGEEEITVGCLPQVGNSYPVRVPRIIDLLLQNRTAQANHQVGSASASLTSNAGVQEGSPPPISPVTDGSLVFTLADPEVLDCLICYEPLTIPVFQCENGHIACSSCCTKSKNKCPSCSWPIGYNRCRAIEKILESIRISCRNIKHGCKEMVTYNKKNEHEKACMYSPCSCPLSGCNFISSSKQLYLHFTVSHVDYATRFLYGIDFSITLNIKDKFLVLQEKREGILFILDNHAEKLGNLVSLRCIQPIFKGGFYYDLLAKTNGSSLRFQSFTKNSAGQVISPSSTGYFIIPSDFFSSGKLNMDLCIWRNGQCPASFQSIGVA >ONI18521 pep chromosome:Prunus_persica_NCBIv2:G3:22128254:22134822:-1 gene:PRUPE_3G220400 transcript:ONI18521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSIVEEGLPMSMYMNPRFKRGSPAALVNVKELPVSSRSRNARTGNMNPPPLIRTLDMKVDNLGRELREDLKGVESKKTNLGLTRYNTKRKRNSTAWPPFAFSNLRVSLSTLCVSMDCDCTIDAELRSEISALLTPPSPAQVDKYLDEQIKSSNRHCYGIKIKQNGEFGKGVYADLGFKEGELLLKDQMLVGLQHSSNKIDCLVCSFCFRFIGSVELQIGRRLYLQELGVSASQGCCQTDYSSEDDDLGDSGPSSSSCKEKVPLPKGFAESLMNGGIKLPYSDKFPLPPAVPCHGGCGEAYYCSKLCAESDWDLSHSLLCTGEKSEAVSREALVQFIQHANDTNDIFLLAAKAVSSSILKYRKLKVAHSEEQENKPNVSGIPYPSLLLEAWKPMSVGHKRRWWDCIALPFDVESSDEVAFRMQIRELAFTSLQLLKAAIFDEECKSLFSLNIYGHIIGMFELNNLDLVVASPVEDYFLYIDDLPYPEKKEAEEITRPFLDALGDDYSVCCQGTAFYPLQSCMNHSCSPNAKAFKGEEDRDGQATIIALKPISKGEEITISYVDEDLPFEERQALLADYGFKCRCPKCLEEEP >ONI17703 pep chromosome:Prunus_persica_NCBIv2:G3:19217265:19217998:1 gene:PRUPE_3G175200 transcript:ONI17703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKSEKNSKKEKAVWSLKKVISKELWPRKFSVFKWKRLDFQTTIVDDVVFKVLSAVEVVVLVSTLCFFYLCCGCHI >ONI17171 pep chromosome:Prunus_persica_NCBIv2:G3:15447236:15448174:1 gene:PRUPE_3G142500 transcript:ONI17171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSCCHWDGCVVVLSTQLFSLVFVVFFTQVLQSTVDAMILLHLLVHAYGIRSNLVFGVGKMIVAGLCGIGFSLCKIRGLAMLVLRVSLVC >ONI15982 pep chromosome:Prunus_persica_NCBIv2:G3:5181412:5184270:1 gene:PRUPE_3G072200 transcript:ONI15982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLTIEKEFAQNSERVKSVDQHPTEPWILVSLYSGTLCIWNYQSQTMEKSFKVTESPVRSAKFIARENWIVTAADDKYIRIYNYDTMEKIKEYEAHTDYIRSVAVHPTLPYLLSCSDDKVIKLWDWEKDWSCTQIFVGHSHYVMQVAFNPKDTNTFASASLDGTIKIWNIGSPTAEFTLDGHSKGVNCIDYFSCGDKPYLLSGSDDFTAKVWDIETKSCVQTLEGHEHNVTAVCVHAELPIIITVSEDGNIHIWNATTFRLENKLNYGLERVWAIGQLKGSNKVAFGFDKGTIVVKMNGSHTWDSVDLQA >ONI17158 pep chromosome:Prunus_persica_NCBIv2:G3:15038433:15039479:1 gene:PRUPE_3G141200 transcript:ONI17158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTATMDLRESIRNQNDVALGLTKKLPQTKGKESNLVYSPLSIHMVLSLIIVGSKGPTQDQLLSFLKSKSADHLNSFTAELISIIFFDWSLSGRPRLSFANGIWVDMPLPLKPSFKHVVDTAYKAAPLLLYLSWFWSLAYSEIKVSNFIIIIRYVRLYPKNQKSAVSDPLFRAVNRYDRKVNGGPVFITEPKRLRHGSSIKFPQTAGYRPNRYMYIIY >ONI19877 pep chromosome:Prunus_persica_NCBIv2:G3:26650135:26652914:1 gene:PRUPE_3G302800 transcript:ONI19877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFLRRALSFRNVLLLRSSAIVGRELFQYNSEAPQRILSHSALEFVKESRRGFAKGRNKSKDDSAAGTIEVLPNMGPTIKANTTSQMEAAITALSAELSKLRTGRASPGMLDHIIVETGGVKLPLSQIAVVSVLDSKTLSINPFDPNALQKLETAIVESALGLNPKVDGDRLIAAIPPLTKEHVQAVCKVVTKSCEDGRLSIRRARQKAMDTIKKLYSRYPKDDLKRLEKEVEELTKKFVKTAEDLCKAKEKEISAS >ONI14732 pep chromosome:Prunus_persica_NCBIv2:G3:291576:294251:-1 gene:PRUPE_3G004700 transcript:ONI14732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKGEGPGYWLQWQVLVCALIVTVPAVIALKFVKKAKQQPLNSVDLWSTCWRSLDPLWLLFYGALVFLCMAKMLYDMVSQYGLFTLVFYTQWTFALVMLYFALGTIISAHGCWVGRSTYREEQLKGRVKSKSHQARNEISQRAGFFGNLMQIMYQICAGAVMLTDIVFWCLILPFLTSIEFELTLLIGAIHAVNAVFLIGDTALNRLPFPKLGFAYFALFGFLYIVFQWLLHASGVKWWPYPFLELNTPWAPLWYFGLAVWHIPCFWIYSLIVKVKFSILPSLFPRALVRL >ONI16570 pep chromosome:Prunus_persica_NCBIv2:G3:8529709:8531724:-1 gene:PRUPE_3G107200 transcript:ONI16570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQELASGNLHLNVDDFYFSALFDQSDHEDENDPVSDSKYAEELQFQEALMSSSIASQMTLNVGSSSSSATAAASSSSSSSSTTIIQASQATQSTPIHETLNPIQPHIFCGICVEMKEAAQMFRTESCGHSFCSDCIAKHAASKIQDNIHIVVCPGLGCKAVIELDACRPMLPREVLERWNDALCEALVLGAQRLYCPFSDCSLVLMIDDEGEGIRESECPACHRLFCARCQVPWHPGVDCEEFQRLNEDERGRADLMVKELAKLKKWRRCPKCKFYVEKTQGCLHISCRCQFQFCYGCGGEWNSVHDGSCVRD >ONI19409 pep chromosome:Prunus_persica_NCBIv2:G3:25518624:25519556:-1 gene:PRUPE_3G278000 transcript:ONI19409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSKATTLSLFTITTLLFILLSLLSCAKASETHNKTSSPFEFLDHLKGCHKGDKVQGIQDLKKYLERFGYLNGDINNDDFDDQLEAAIKTYQINYHLKATGTLDTKTVSKMMMPRCGVPDIINGTTAMRSGKKRHPHHHGGHTVAHYAFFQGSAKWPANKYHLTYGFAQGTPANAVGAVTRAFATWAGNTHFSFSRAKSVDSADLKISFGSGEHGDGQPFDGPGGVLAHAYAPTNGRFHYDADETWVVGAVPGGMDLETVALHEIGHLLGLAHSSVEGAVMLPGIRAGFTQSLHADDIQGIKALYNT >ONI19758 pep chromosome:Prunus_persica_NCBIv2:G3:26308185:26310786:-1 gene:PRUPE_3G295600 transcript:ONI19758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLAPILFALLLGGLPILLACVLVQKFSATHDHQNPAKNLPPGSMGWPWLGETLDFLKPHYTYSVGTFLQQHCSRYGKVFKSHLFGSPTIVSCDLELNMFILQNEEKLFQASYPKAIHGILGKHSLLTISGELHRKLRSIAVSFIANSKSAPDFLHWVQKLSISMMDSWNGCKQVSFYKQAKAFTLSLMVKHLLSVKPEEPVASRILQDFETYMTGFVSLPVNIPGTSYAKAVKARVRLSSTVKEIMEERRKGNVNRNTEDFLDVILSKQSLNDEEIVSIVLDIMLGGYETTATLMSLIVYFLAHAPIAFRKLKEEHQSIRQNKKDGEFLNWEDYKKMDFSHYVIYEAMRCGNVVKFVHRKALVDVKFKEFVIPSGWKVLPIFSGAHLDQALHENPTQFDPCRWAEDFKEMSKKVTVFGGGVRLCPGAELAKVVIAFFLHHLVLSYRWKTKPDESPLAYPFVQFRRGLQLEIEPADAAAA >ONI19374 pep chromosome:Prunus_persica_NCBIv2:G3:25397683:25398729:1 gene:PRUPE_3G275600 transcript:ONI19374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGKESERVTKERELQEYIVHTVLFTAGAAVLMACLQRVILTVFLMEQWRAWVFLVLNLILLAILFTPISSTSNFDQNQQCSSNNSNAEVVENIEPKNKRREYYRCPSSEQAVEEVKECDEEICKKRSTSVENEEEGFEDQTEEVSKEALNERVEAFIVMFRQHLVSDARNESRWKQVL >ONI18553 pep chromosome:Prunus_persica_NCBIv2:G3:22310294:22312927:-1 gene:PRUPE_3G222900 transcript:ONI18553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDARGVVVFFGQMDVELAGMFLLLDLPIRFGGPRIKHRNIYCIHLWSPLTCTYKSTFICFSGEL >ONI15846 pep chromosome:Prunus_persica_NCBIv2:G3:4659001:4660141:-1 gene:PRUPE_3G065000 transcript:ONI15846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCEYVDVPIGFKFHPSDDELLRYYLLNKVCGTPFMYSNVVPEFNLYGKKEPWDIWNDFGGQKLEKGEDLYFFTKLKLVTSKGSLVARTIGNGTWKGEDRGTMVGDPAKKNKPLGLCKRFRYENDKSDQHGRWIMHEYSLHPSLVKPHSNSNTCGGDGKYVLCQIRKNDRKRKLSENDGVGGHALVLQSPTTILRQLKNIDEVVGANFTPISEASEVNYHYNQQQEQTTSANAYLPMNQPHYFLTDYPTTMASASTSPPPSLPQPDYGFELISDTDHQPTQGFNYDLSQFLDDDDVDALMKDLSNLDNIQPLAAQPLGGGSHPYPSIIQESAVDEDSGNIINSGFGISSEDQTGGELGAENVQLNVLGFPEPC >ONI19669 pep chromosome:Prunus_persica_NCBIv2:G3:26080047:26080918:-1 gene:PRUPE_3G290700 transcript:ONI19669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRPQPHQLQVRPQHGLGAKTQYQGPSTGQVLAVITGLPVGGTLLALAGLTLMGTIIGALLATPLFIIFSPVLVPAIFVIGLAVTGFLTSGAFGLTALSSLSWVTNYLRRATGLVPEQLDQMKRRTADMVEFVGQKTKEGSQDIQSKAQDEKRRT >ONI18887 pep chromosome:Prunus_persica_NCBIv2:G3:23746259:23747796:-1 gene:PRUPE_3G246100 transcript:ONI18887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWWQVSDRSTISLGEIPDGDDIPRRKRSKRSSSSPKLKNINIDDLPDLVLVDILCRLPHNKFSFRCKCVSKRWCAIISDSLFIRHFLCLQSEKYMPIVRTLINYNIKLGLKERSKFTDPVAERSLQSLRSFLPTLSSKLTDLVIDRPSEDKLPVFVHEDLKAVVLETYNDLVLCCAGKYYQRDYCICNPYTQKWVALPPSPQCHKIVVALGFICDPPYYSYYGEEAEFHRKEATQQRPYLQILRGDLFSSETGEWRVSYVSFPKKFAFHRVNPSTGFAYKGMLFWLGRHRNKGGRAFLFGFDPFDTNNNNHETIDKKCLIEFDEQPAIEGLDGDVSEIECLGVCQGCLRMFNFELRTGSLLVWDFKLPEPADDQMLNGGSNCLILKHRVLMDPRDKLYMDRIKLCVLDPNNKDILYFYRSTHIFVMCNIRTKTWSKIAKETWERSDAPLLPLILHPPWPTPVPTHFPVRGVPQQVGTCSTRHGQCKCN >ONI15271 pep chromosome:Prunus_persica_NCBIv2:G3:2533030:2535642:-1 gene:PRUPE_3G034600 transcript:ONI15271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNAAAPPRQLSQKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWDKLQLAARVIVAIENPKDIIVQSARPYGQRAVLKFAQHTGANAIAGRHTPGTFTNQMQTSFNEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLEMRGSLRPGHKWDVMVDLFFYREPEEAKEKEEEEAVADSGYGMGGYTAPGIDLGGDQWPTQASDATWLADAPAAAPASGVGWNAETAPLTGDVWEAAPIPPTQAPAPSVEGASTPTGWE >ONI19210 pep chromosome:Prunus_persica_NCBIv2:G3:24890994:24892912:-1 gene:PRUPE_3G264600 transcript:ONI19210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENASGFSKEDEKMELPPGFRFHPTDEELISHYLSPKVLDSCFCATAIGEVDLNKCEPWDLPWKAKMGEREWYFFCVRDRKYPTGLRTNRATDAGYWKATGKDKEIYKAKTLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKYSAYNLPKTAKNEWVICRIFQKSSGGKKTHISGLVRLGSFGNELRPSLLPPLMDSSPYNSDTRTTVCETSHVSGFSDPMEDQRTQDDIIDSFQHNNHHNSSNSNHNPLLNSSSRSNPSAHLNPFYSNQITPNIGFLQHQDSVLMQDQSFLRMLLENQAPNLRRSAKTELSQDTGLSMDVSSVVSNREMVQDDPSYSSAPIEFDSLWNY >ONI18020 pep chromosome:Prunus_persica_NCBIv2:G3:20457157:20462817:-1 gene:PRUPE_3G192400 transcript:ONI18020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDNHFYNNDNNNNNHNNGAATVKIDSHVEILVPQMGSMEPKPAFEYEDQSLVGPDKHSLDEAPLRSLYSGGPGDCSPQAMGNTVVDSIKIVVFSAKINLLMPFGPLAIVVDKFSGHRGWVFLLSLLGIIPLAERLGYVTEQLACYTGPTVGGLLNATFGNATELIISIYALRRGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVFSKREQVFNKATAAVSSGLLLMAVMGLLFPAVLHSTRTELHFGKSELALSRFTSCIMLVAYASYLFFQLKSQQNLYVPVDQAENHAEEISDDEEEPEISKWESIIWLSILTAWISVLSEYLVNAIEGASVAMNIPVAFISVILLPIVGNAAEHAGAVMFAMKDKLDITLGVAIGSSTQISMFGIPFCVVVGWVMGCPMDLDFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYIIVAASFFVHRDPKAIRDKPQKPKQ >ONI17616 pep chromosome:Prunus_persica_NCBIv2:G3:18851710:18852759:1 gene:PRUPE_3G169800 transcript:ONI17616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFLYFFLFTLTFNFPKLCLNLENPYLHFHSPLLPQYPPINVVLQPTLLTSTSLAPSLLWCLPHISSGHVLAQYLNQQPLLLGFMDEDASKVLVALASRIMVALFAWVVCPPPLSILSIGLLGYWLPITGDCGSVTAMRQMESGGCSFSYLGFFLYVCIGLDVCFKVFPLYSFFLLSVGLRPYNS >ONI18893 pep chromosome:Prunus_persica_NCBIv2:G3:23765507:23778194:1 gene:PRUPE_3G246500 transcript:ONI18893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPIPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELSIRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLADGGKSQWPPAGVEPALTLWYEAVGRIKGQLMHWMEKQSKHISVGYPLVTLLLCLGDGTTFVNYLSSHMDQLYKLLRDKTHRFMALDCLHRVLRFYLSVHTEKQPPNRTWDYLDSVTSQLLTVLKKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRSLLAIVMSPSSQHVGLEIFTGHDIGHYIPKVKAAIESILRSCHRTYSQALLTSSRTTIDSVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLIDDRLECDAQDVKRVGRNDGFKKPSFHIAGDLIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRYLTICLQPDHSLKYEPEPIFIIDVLEEHGDDIVQSCYWDSGRPFDLRRESDAIPPDVTLQSIIFESPDKNRWARCLSELVKYAAELCPRSVHEAKAEVMQRLAHITPVELGGKAHQSQDADNKLDQWLMYAMFVCSCPPNNREAGSIVATKDLYHLIFPSLKSGSEAHIHAATMTLGRSHLEACEIMFTELASFIDEVSSETEGKPKWKSQKSRREELRIHIANIFRTVAENVWPGMLARKPVFRLHYLKFIDETTRQILTAPAENFQDMQPLRFALASVLRSLAPEFVESKSEKFDIRTRKRLFDLLLSWCDDTGSTWGQEGVSDYRREVERYKSSQNARSKDSVDKISFDKELSEQVEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRAPFGYSPADPRTPSYSKYTGEGGRGTAGRDRHRGGHHRVSLAKLALKNLLQTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGIESSGNYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDHFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARVCPQRTIDHLVYQLAQRMLEDSMDPIGPTANKVDANGNFVLEFSQGPAVPQIASLVDIQPHMSPLLVRGSFDGPLRNASGSLSWRTAGVTGRSVSGPIGPMPPELNIVPGNTGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHSGVSMHGISAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRSELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVLLLRCLHRCLGNPVPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTTENVLLSSMPRDEFDANNDIGDFQRMETRSGYEQPPSGGNLPTFEGVQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDAETRLLMHITGLLPWLCLQLSKDPVMGPASPLQQQFQKACSVAANISIWCRAKSLDELATVFMIYSRGDIKSINNLLACVSPLLCNEWFPKHSALAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDAAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSVPGSHPHEPGSFENGIGGGDEKMLAPQTSFKARSGPLQYGMASPFAAGSTPAHGSSTESGTSPREVALQNTRLILGRVLHSCALGKRRDYKRLVPFVTSIGNP >ONI15988 pep chromosome:Prunus_persica_NCBIv2:G3:5219155:5221399:-1 gene:PRUPE_3G072600 transcript:ONI15988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACVVLGLLTGQSTALFKGCYLVCFAGCIHEPPKNPLTCVVKCAKECFGLHSSLGIQTDPKHFCKLGCAASLCANIITAENPGQSQATFQECYAGCMLVCFIKQHKIGCFAKCLKSCFVIPTDIQAKPEHYCKLGCATSLCSNISTKDNIST >ONI16710 pep chromosome:Prunus_persica_NCBIv2:G3:9978780:9979007:-1 gene:PRUPE_3G116900 transcript:ONI16710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGTYVVLGGGHAEELGIMQDNSGGIFLLCMILLSLSLISMVIFACGDDEGSSKKRYGGRGSGGGGCGGGGGGC >ONI16542 pep chromosome:Prunus_persica_NCBIv2:G3:8322227:8323155:1 gene:PRUPE_3G105300 transcript:ONI16542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTYKQGSSSHHHLFLTQKVQQQRHHGAYSLPHDKSTLSPVVLTKTAHTFLSAKPSLYNQEFGPIVG >ONI16683 pep chromosome:Prunus_persica_NCBIv2:G3:9735423:9738156:-1 gene:PRUPE_3G115300 transcript:ONI16683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLTLYIDCFFNPSPYTTKPSFISSALIFMKLAMASLSYSFIVVTLFIIPFFGCGANAQLSPNFYARTCPSLPTIVRNAMSQAVTREARMGASILRLFFHDCFVNGCDASILLDDTATFTGEKNAFPNQNSVRGFEVIDTIKTRVEAACNATVSCADILALATRDGVVLLGGTSWTVALGRRDARTASQSDANNQLPSPFANLATLISSFAAKGLTASDLTVLSGGHTIGQSQCLLFKTRIYNETNIDPSFATTRKATCPASGGDTNLAPFDITPTRFDNNYYKALVARRGLLHSDQELFNSGSQDALVRTYSNNAAAFSRDFAAAMAKMSAISPLTGTNGEIRKNCRLVN >ONI15775 pep chromosome:Prunus_persica_NCBIv2:G3:4361852:4363224:1 gene:PRUPE_3G060600 transcript:ONI15775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNGETIVGSNYSRTNNFSKSKPPKLSFSSDSLKRTVSDISYELSKEAVDQDLQSLPPISEVEDAKCECCGMSEECTPEYIDRVRDKFLGKWICGLCSEAVKEELEKNGGDKEEAMNAHISACVRFNKYSRPYPVLFQAEAMREMLKKSKMEGRGMRAKSISPRDKGGAKGKGGIARSSSCIPAITREMNDLSMA >ONI15920 pep chromosome:Prunus_persica_NCBIv2:G3:4983569:4990323:1 gene:PRUPE_3G068900 transcript:ONI15920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFRVHYLLLVLEASIVMEVTSSSVWINLPAAAFLIVLLRYLSLDYDMRRKAAAYNSRPSLASTTSQNKPIQWPNTSQKSEWRRKVNSPVVEEAIDHFTQHLVSEFVTDLWYSRLTPDRQGPEELACIVNGVLGEISGRMRNINLIDLLTRDLINLICNHLELFRVAQAKIEKKQLGLLTIEKRDMELRLVLAAANKLHPALFSAESEHKVLQHLMDGLISFTFKPEDLQCSLFRYIVRELLACAVMRPVLNLASPRFINERIELLVLKMTEAKSVTAVQEESRSKPEGPSKISSDHFSRFLDPSVTGVELVQLKNGQSRTSAETRVTENANGSKDPLLKVDTQSSRSWSSLPMNSQNSIERGIERNHLGGEWGDMLDLMSRRKTQALAPENFENMWAKGRNYKKKEGENSIIEQSSGGKSVTVDHTMEKSRPKDKEIVSKLNLSERSTSHSGCTTQLKVENAFRPGAQNIPNHSPVASDQGDDERNHMRLEEVDSGSSTSYTSEDEETDSVTGLDSPGTKVWDGKSNRNMPLSHIHHPLENSERRITKRTGKGNLHFQRLPKAQSGQKRSRPSNKKVPVWQEVERTSFLSGDGQDILNSPKGHENIEDSSDDSDIEGLGRVNSGAATSSSATSLSFAGSHSLTFNSMKNSMAVDSFFKLKCEVLGANIVKSDSKTFAVYSISVTDVNNNSWSIKRRFRHFEELHRRLKEFPEYNLHLPPKHFLSTGLDLAVIQERCILLDEYVKKLMQLPTVSGSIEVWDFLSVDSQTYVFTNSFSIIKTLSVNLDDKASEKSKQVSNFGGPVTDPFSLKREPIGTRVKDSALQLKNNVVADGLRVNTKGSSSPVKNSGNDFGKSLGATDSDTRGRKDASSLTNLGKTIQGRDEKEIELFVDTDTDPTLPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQLLRRGLVVASGIKRVEQILWPDGIFITKHPKRRPPSTNQAQNSPQGQKPTEISSPRFVEQQKQEADRRAKLVYELMIDNAPAAIVGLVGSREYDKCAKDLYYFLQSSVCLKQLAYDLLELLLMSAFPELDYVFKQLHEEKHRFGEFKAQ >ONI15919 pep chromosome:Prunus_persica_NCBIv2:G3:4982651:4990323:1 gene:PRUPE_3G068900 transcript:ONI15919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQRQQVPIRDLVEEAKKRIVFLAICVIGLSYLMSLTSSSVWINLPAAAFLIVLLRYLSLDYDMRRKAAAYNSRPSLASTTSQNKPIQWPNTSQKSEWRRKVNSPVVEEAIDHFTQHLVSEFVTDLWYSRLTPDRQGPEELACIVNGVLGEISGRMRNINLIDLLTRDLINLICNHLELFRVAQAKIEKKQLGLLTIEKRDMELRLVLAAANKLHPALFSAESEHKVLQHLMDGLISFTFKPEDLQCSLFRYIVRELLACAVMRPVLNLASPRFINERIELLVLKMTEAKSVTAVQEESRSKPEGPSKISSDHFSRFLDPSVTGVELVQLKNGQSRTSAETRVTENANGSKDPLLKVDTQSSRSWSSLPMNSQNSIERGIERNHLGGEWGDMLDLMSRRKTQALAPENFENMWAKGRNYKKKEGENSIIEQSSGGKSVTVDHTMEKSRPKDKEIVSKLNLSERSTSHSGCTTQLKVENAFRPGAQNIPNHSPVASDQGDDERNHMRLEEVDSGSSTSYTSEDEETDSVTGLDSPGTKVWDGKSNRNMPLSHIHHPLENSERRITKRTGKGNLHFQRLPKAQSGQKRSRPSNKKVPVWQEVERTSFLSGDGQDILNSPKGHENIEDSSDDSDIEGLGRVNSGAATSSSATSLSFAGSHSLTFNSMKNSMAVDSFFKLKCEVLGANIVKSDSKTFAVYSISVTDVNNNSWSIKRRFRHFEELHRRLKEFPEYNLHLPPKHFLSTGLDLAVIQERCILLDEYVKKLMQLPTVSGSIEVWDFLSVDSQTYVFTNSFSIIKTLSVNLDDKASEKSKQVSNFGGPVTDPFSLKREPIGTRVKDSALQLKNNVVADGLRVNTKGSSSPVKNSGNDFGKSLGATDSDTRGRKDASSLTNLGKTIQGRDEKEIELFVDTDTDPTLPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQLLRRGLVVASGIKRVEQIMHLQLLSVWLVVGSMTNAPRISITFFSHLFV >ONI15918 pep chromosome:Prunus_persica_NCBIv2:G3:4982612:4990323:1 gene:PRUPE_3G068900 transcript:ONI15918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQRQQVPIRDLVEEAKKRIVFLAICVIGLSYLMSLTSSSVWINLPAAAFLIVLLRYLSLDYDMRRKAAAYNSRPSLASTTSQNKPIQWPNTSQKSEWRRKVNSPVVEEAIDHFTQHLVSEFVTDLWYSRLTPDRQGPEELACIVNGVLGEISGRMRNINLIDLLTRDLINLICNHLELFRVAQAKIEKKQLGLLTIEKRDMELRLVLAAANKLHPALFSAESEHKVLQHLMDGLISFTFKPEDLQCSLFRYIVRELLACAVMRPVLNLASPRFINERIELLVLKMTEAKSVTAVQEESRSKPEGPSKISSDHFSRFLDPSVTGVELVQLKNGQSRTSAETRVTENANGSKDPLLKVDTQSSRSWSSLPMNSQNSIERGIERNHLGGEWGDMLDLMSRRKTQALAPENFENMWAKGRNYKKKEGENSIIEQSSGGKSVTVDHTMEKSRPKDKEIVSKLNLSERSTSHSGCTTQLKVENAFRPGAQNIPNHSPVASDQGDDERNHMRLEEVDSGSSTSYTSEDEETDSVTGLDSPGTKVWDGKSNRNMPLSHIHHPLENSERRITKRTGKGNLHFQRLPKAQSGQKRSRPSNKKVPVWQEVERTSFLSGDGQDILNSPKGHENIEDSSDDSDIEGLGRVNSGAATSSSATSLSFAGSHSLTFNSMKNSMAVDSFFKLKCEVLGANIVKSDSKTFAVYSISVTDVNNNSWSIKRRFRHFEELHRRLKEFPEYNLHLPPKHFLSTGLDLAVIQERCILLDEYVKKLMQLPTVSGSIEVWDFLSVDSQTYVFTNSFSIIKTLSVNLDDKASEKSKQVSNFGGPVTDPFSLKREPIGTRVKDSALQLKNNVVADGLRVNTKGSSSPVKNSGNDFGKSLGATDSDTRGRKDASSLTNLGKTIQGRDEKEIELFVDTDTDPTLPTEWVPPNLSVPILDLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQLLRRGLVVASGIKRVEQILWPDGIFITKHPKRRPPSTNQAQNSPQGQKPTEISSPRFVEQQKQEADRRAKLVYELMIDNAPAAIVGLVGSREYDKCAKDLYYFLQSSVCLKQLAYDLLELLLMSAFPELDYVFKQLHEEKHRFGEFKAQ >ONI18007 pep chromosome:Prunus_persica_NCBIv2:G3:20414312:20422722:1 gene:PRUPE_3G191700 transcript:ONI18007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSSALEYINQMFPTEASLSGVEPLMQKIHSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATRAVEELMYKIREIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEASAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKESEETNLLQQLSDACLVVDALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPTPWHVPYRLCIQFCKKTRKQLEDIHNNQKEKPDVGTLLLALQRTLEFEDELAEKFGGGTRGREIGNEIEEIGRGENTSQSASDIRKKYEKKLGAHQESTEEKDKDLSVPGAGFNFRGIISSCFEPHLNVYTELEEKTLMENLEKLVQEETWDIEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKNQTLFNLFKVFQRILKAYATKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHQTSGELAESVSKIIDTQFADGVDMSEVQDEFSAVITKALVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSEYVNGINMILASSIPILGSLLSPIYFQFFLDKLASSLGPRFYANIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGHQTSRAASYSKFVSREMSKAEALLKVILSPIDSVADTYRALLPEGTPMEFQRILELKGLKKADQQSILEDFNKHGPGITQPSIPPPAAPPIPLPTAPTVALISNPASAGLIASRDDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >ONI18482 pep chromosome:Prunus_persica_NCBIv2:G3:21990414:21991424:1 gene:PRUPE_3G218100 transcript:ONI18482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLVKLIDALLFLLLLAIAFEKPLIEAQACLPGDLYPNILVDLRNWYARESGDYLMTEMPDFYVGIMWVELVFQLPLVFINLYGILTGKPWFNITCLSYGISLFTSMVPIFAELTGSGRAPDKLLTLYFSFLSLGVLAILRGLLPTSSTRSSATIGKRPASGRKKKV >ONI19023 pep chromosome:Prunus_persica_NCBIv2:G3:24340664:24342062:1 gene:PRUPE_3G254400 transcript:ONI19023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSSIKVVEVCWVAPKPNSPDSASPDDQSLPPTFFDLLWLRFPPIQRVFFYEISSFNTPLFLDSILPKLKASLSLTLQHFLPLAGNLTWPQDSQKPFLSYVKGDTLSLTIAESDADFYHLVSTNNFNIEASYTPIGMSHDQAAVMALQITIFPNCGFSIGSAVHHAALDGKTSTSFLKLWAHLCKHGGLPSSLFPEPPKPCYDRRAVKDPAGIEAIYLKECADCRSRLDPPLPATYFGNCIAGRGVVAETKGLLGEDGLFVALNAISESIKSLDKTFLDGAETWVSRMLNALQTTDRVHSISGSHTFGIYEATAFGWGRPTKIDFVSIDRTGAISFSDSKNGAGGAGGVEVGLVLKKHYMEAFASLFARSLEDL >ONI18459 pep chromosome:Prunus_persica_NCBIv2:G3:21951045:21952086:-1 gene:PRUPE_3G217400 transcript:ONI18459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLRRSNNKVSAQDHEKHEEAKTPEAKKTAPMPLPSNLKLKRKSVRFDLQEDEDSGGGNVVSGESTKNGVVRIRLVVTQEELKQLLDYKKDSNHSSLEQLLSAVRNRGTKVSEIGTSNDESTGSGWSPTLESIPEDQD >ONI17554 pep chromosome:Prunus_persica_NCBIv2:G3:18564657:18566081:1 gene:PRUPE_3G166800 transcript:ONI17554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPSPPPPHAVIFPFMAQGHTIPLLDISKALSSRGLKVTVVTTPQNAPFISSKVSDCSSISISVVPFPSVPDLPRGCENTASLPSMELLVPFIAATKKIKQPFEALLKEMVENGSRPICVISDFFLSWTLDTCRSFDIPRVVSHGMGVLPMVVLKTVSSMDVQRETTSVSGSVVELPDLSLPFALDRSDLQPRSNDPEADPFSRMIWEVEEADRNSWGVIVNSFQELECEYVAALEALHKQAKAWCVGPVLLYDYIPPGTRGSHDKSESCPYIKWLDEQDGSSAVIYVSFGTQARLSGDQMDEIAYGLEMAGCRFIWAVRSGTWASDEGWERRVEGRGLVVCDWVDQRSILAHPKVGGFLSHCGWNSVLESLSMGVPLLAWPMGAEQPLNAKYVAMGLKAGLMVSQGQITALDRHVICDGIKELMGGEKGRRARERAGLFGTMARHAVEKGGSSDKKLDELIKCLIANKKE >ONI19054 pep chromosome:Prunus_persica_NCBIv2:G3:24440756:24442756:1 gene:PRUPE_3G256000 transcript:ONI19054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPHIIAVPFPAQGHVIPLMELSQCLVNHGFRVTFVNTDYNHKQVVNALAADESQLSDRICLVSLPGGLEPWEARHEVGKLCEATERVMPGKLEELIEKINQGEGDKVTCVIADECSGWALEVAEKLNIRRVAFYPASAAILTLQFSIPKLIHQGIINDDGTVLRSQMIQLAPGMPTMKTTEFLWACMGDLTAQKIVFQVMVRNNKAAKLAEWIVCNSAYELEPAAFTVAPEILPIGPLLASSRLGNSACYFWPQDSTCLQWLDQQPPCSVIYVAFGSTTFFDQTQFQELALALELSQRSFLWVVRPDITDKTSDPYPEGYQDRVASCGLMVGWAPQQKVLAHPSIACFLSHCGWNSTIEGLSNGVPFLCWPYCSDQFLNESYICDVWKVGLKLKKNENGIIPQGEIKSKVEQLLGDENFKARASKLKEMGMTSVKEGGQSHRNFKNIIEWMKTC >ONI20035 pep chromosome:Prunus_persica_NCBIv2:G3:27011921:27013867:1 gene:PRUPE_3G311300 transcript:ONI20035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEACKEKTSSALPKMTSSSGLPMSSPRQQRMSLLVAQATLRISAIVFTLIAISVIVTNTQSVIVFGFKFEAHYTYSTAFKFLVGANAVVCAFSALSLIFLSLFVSRSVPQQQQQQQQQLKNYFFLLLHDVVMMVLIISGCAAATAIGYVGRYGEKKMTWQPTCGYVSKYCNRMSISLAFSYLAFFAYLLLTFTSALTLVSRPTTQ >ONI17609 pep chromosome:Prunus_persica_NCBIv2:G3:18832219:18836964:1 gene:PRUPE_3G169400 transcript:ONI17609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVTELPVTARGRLAVLSAHLAAATIEPSELEPTLEPLCLSAQGVVSPPGNLRGPLTIVDERTGKRYQVQVSEEGTIKAADLKKITTGKNDKGLKLYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAERSTFLEVAYLLMYGNLPSESQLADWEFAISQHSAVPQGILDIIQAIPHDAHPMGVLVSAMSALSVFHPDANPALRGQDVYKSKQVRDKQIARILGKAPTIAAAAYLRLAGRPAVLPANNLSYAENFLYMLDSLGNRSYKPNPRLARVVDVLFILHAEHEMNCSTAAARHLASSGVDVYTALAGATGALYGPLHGGANEAVLKMLNEIGTVENIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLADEVFSIVGRDPLIEVAVALEKAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWRESLDDPDTKIMRPQQVYTGNWLRHYIPPRERIVTSDSDKLSQVSVSNASRRRLAGSGV >ONI17322 pep chromosome:Prunus_persica_NCBIv2:G3:16565781:16566242:-1 gene:PRUPE_3G151400 transcript:ONI17322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANCLCSSPLPPPPFFSGQSQCPKLGFGHRRSKRSTARTGMVVVAATRDHYGGRSTVDESLIVLRKRIHEMKMVERNYEPPQHWMHWEKQCYASYDEYICNLVGCLQSYLMNTRPSLALSMMLLVTVSVPASTVMILLRFMEVANGAVHHLS >ONI16389 pep chromosome:Prunus_persica_NCBIv2:G3:7170453:7174826:1 gene:PRUPE_3G095600 transcript:ONI16389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLALLLISMWVSTTSLLVTIIEARAEPTSSTISGHIILFEEEPITQFSAKMEAQSPGLPEVRVVHHQDLNKRILIALIVASTLLGGILLFLSCFWIYRQKTLKHSNGKKGKPIETAKGMQFNPMTVEFNTLRMGSRKGSVAVFNYQSLEDATNNFNESNILSEDGSGLLYRASFDDKLIAAVKKVNSEGPDSDREFKNEVNLLSKIKHQNIIRLLGYCIHSEARFLVYDMMQNGSLETQLCGPNHGSALTWHLRLKIAVDVSRGLEYLHEHCNPPVVHRGLKSSNILLDSNFSAKLSDFGLAVTAGMKDKDNIKLSGTLDYVAPEYILDGQLTDKSDVYAFGVVLLELLMGRKSVENKAGAESQSIVTWAMPQLTDRSRLPNIVDCVIKDTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPIELGGSLRIADCVPSA >ONI19920 pep chromosome:Prunus_persica_NCBIv2:G3:26740455:26742015:1 gene:PRUPE_3G305000 transcript:ONI19920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRTIILTIVEEAWTGPGSVLDLFLESFRIGQETKWLLNHLIIVTVGRQAFQYCKSLHPHCFQLTPIGVSKPPTGEQLHKHSRDRHDLLHKVLQLGYNLVFTEVDVMWFRNPIPHFNPQDEVTIPCDLELKYGSNKQDRGLFYVKSNDISVEFFNYLKLVGVLYPNTPFESLCEIATDQEIMEMHGMRIKFLDKAYFGGFCQPLKNNSEVYTMQANCCEKIESKVHDLKLVLDDWNNFTGQSSNNSLGTLSSWRAPDKCIQ >ONI19919 pep chromosome:Prunus_persica_NCBIv2:G3:26740455:26742015:1 gene:PRUPE_3G305000 transcript:ONI19919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPMSLRRLRDMKQSRYLPLIAFSALVLLCILLKNSSQPIATTHDVSLLNFQTNPESAELVEVLRRASMADRTIILTIVEEAWTGPGSVLDLFLESFRIGQETKWLLNHLIIVTVGRQAFQYCKSLHPHCFQLTPIGVSKPPTGEQLHKHSRDRHDLLHKVLQLGYNLVFTEVDVMWFRNPIPHFNPQDEVTIPCDLELKYGSNKQDRGLFYVKSNDISVEFFNYLKLVGVLYPNTPFESLCEIATDQEIMEMHGMRIKFLDKAYFGGFCQPLKNNSEVYTMQANCCEKIESKVHDLKLVLDDWNNFTGQSSNNSLGTLSSWRAPDKCIQ >ONI14833 pep chromosome:Prunus_persica_NCBIv2:G3:702183:703868:-1 gene:PRUPE_3G011200 transcript:ONI14833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLKPSPANLCPLTPLTLLERAAVVNGDCPSIIYTATTTTTTYTWSQTHRRCLQLASSISSLVKRSQVVSVVAPNTPAMYELHFAVPMSGAILNNINTRLDAHTISIILRHSESKLIFVDHLSVSLTLQALSLFPPHLPKPLLILITDDEHRSTPIPAVDNKYFLDTYENLVRKGNPSFEWVRPASEWAPMVLNYTSGTTSSPKGVVHSHRGLYIVTLVSIIDWSVPKHCVYLWTLPMFHSNGWGYPWAMAAVGGTNICLRKFDAPKIYSSIRAHNVTHMCAAPVVLNMLVNHPISVPLPHPVHILTGGAPPPSSVLLRAESIGFLVCHGYGLTETGGVVVSCAWKPEWNKYPMTEKAKLKARQGVRTIGMTEVDVVDPDSGSPVKRDGSEIGEIVFKGGCVMLGYFKDPESTAKCLKKNGWFYTGDVGVMHPDGYLEIKDRSKDVIITGGENLSSVEVESVLYANPAVNEAAVVAKPDEFWGETPCAFVSLKSDVSPKPTEKEIMEYCRENLPHYMVPKTVVFKDELPKTSTGKIQKFVLREIAKAIRSSSSLRTTSRM >ONI16868 pep chromosome:Prunus_persica_NCBIv2:G3:11622334:11623827:1 gene:PRUPE_3G126300 transcript:ONI16868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKNNGTPGTQPACAACKHQRKKCHDGCVLAPYFPADRTREFLAVHKVFGVSNVTKMVKNANEANRRKVVDSLVWEALCRQKDPVLGPYGEYRMVFDELKRYKNHEIQMVHPSQVQKGMCFSKSLSDFVGWNCGGANGISNHNINLGGYLHENENVIIDSASYGYPSNCELQSPENIIKQAKLVDSVVLPLNQHQQRSINNINQQYYLSGQLNQIISKPIEDTIWEGGT >ONI16867 pep chromosome:Prunus_persica_NCBIv2:G3:11621998:11623790:1 gene:PRUPE_3G126300 transcript:ONI16867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKNNGTPGTQPACAACKHQRKKCHDGCVLAPYFPADRTREFLAVHKVFGVSNVTKMVKNANEANRRKVVDSLVWEALCRQKDPVLGPYGEYRMVFDELKRYKNHEIQMVHPSQVQKGMCFSKSLSDFVGWNCGGANGISNHNINLGGYLHENENVIIDSASYGYPSNCELQSPENIIKQAKLVDSVVLPLNQHQQRSINNINQQYYLSGQLNQIISKPIEDTIWEGGT >ONI17447 pep chromosome:Prunus_persica_NCBIv2:G3:17842323:17844019:-1 gene:PRUPE_3G159800 transcript:ONI17447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKDMRMPHDSCTHESPQVSSDLSNGFVFRATSNYHQPDHQVAHSVINFKNSGYNSFFQNSESLLSFEKNSKQNILKTRNDKDEHFNCEDDLHHGNYQWNHTASVSDPRLSGDFNCFQTASNYSSMPNTSEDDHGDEAYGWLYSEATVVADGFQEPESQEGFHKRPHAGEAMQPLKKQCTNSGTKKPKPKSSSPTKDPQSIAAKNRRERISERLKILQELVPNGCKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPVQGGKAPDISQVKEAIDAILSSQRDTSSSSK >ONI18189 pep chromosome:Prunus_persica_NCBIv2:G3:21003466:21013504:1 gene:PRUPE_3G201700 transcript:ONI18189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNFRVSGFNGILPGNFKLNKATEPLHGPNCVRKWRRKLLLLGLLGFITIIWFFVGFNDGTLGMREKTPDMSEGKARILQQHFNVSKDQLLALASLFSESDQIASLECTKEPGPGMTLSDDITCALKVLCSDSQEFQKRHKWVTENVEARDQCLVQDENIPRELHLSLLEDKSVSCTPQSTISANRICEKKNFGSGVPVECAKDDSQMRCVMVIRYWWAFVGLILIYKMSGFSLKLWRNQKQKLVHEWPFTWQLKMVQEQPLARRVQPEKQQQQAQSPPKVAGKWRKNLLIVFFVFGVITSFWLFWHLNERDFLWREETLANMCDERARMLQDQFNVSLNHVHALAILVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVTHAEREQFEKEHGWTIKKMETEDQTLVQDFLPESLAPAPIQDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATAKGVLTSPFKLLKSNHLGVVLTFAVYDTDLPPDATSEHRSQATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAPVNMYGTDVIDTGLLHISNLDFGDPQRKHEMHCRFKQKRPFPLTAVSASMGVLVITLLVGHIFHAAISRIAKVEADYCAMMELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLKPNQQDYAETAHASGRELISLINEVLDQAKIESGRLELETVPFDLRSVLDNVLSLLSGKSNEKGIELAVYVSNMVPEVVIGDPGRLRQIITNLVGNSIKFTHDKGHIFVSVHLADEVRAPPDVMDEVLRQGLNLVGDISNKTYNTLSGFPVVDRWKSWECFKALSSTTMEEPDMIKLLVTVEDTGVGIPLDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKRLVDLMSGEIGFVSEPGTGSTFSFTGSFGKGEKSSLETKWQQYEPAVSEFEGLRALVIDKSIIRTEVTKYHVQRLGIFVDTASSLESACSYLSNSGKTSLSSQLTMVLIDKDVWDKETGLTFSQSLKEHRQSNNVESLINLPKIFLLATSITPTECKELTSAGLVDEVLIKPLRLSVIIACFQDALGSRKKRLLNLKKPTLGKLLREKKILVVDDNAVNRRVAEGALKKYGAIVTCVDSGKAALLMLKPPHNFDACFMDLQMPEMDGFEATRLIRGMESEVKEKIASKEPSIEMFGNVQTWHTPILAMTADVIQASNEECMKCGMDDYVSKPFEEEQLYSAVARFFESG >ONI18190 pep chromosome:Prunus_persica_NCBIv2:G3:21003814:21013465:1 gene:PRUPE_3G201700 transcript:ONI18190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNFRVSGFNGILPGNFKLNKATEPLHGPNCVRKWRRKLLLLGLLGFITIIWFFVGFNDGTLGMREKTPDMSEGKARILQQHFNVSKDQLLALASLFSESDQIASLECTKEPGPGMTLSDDITCALKVLCSDSQEFQKRHKWVTENVEARDQCLVQDENIPRELHLSLLEDKSVSCTPQSTISANRICEKKNFGSGVPVECAKDDSQMRCVMVIRYWWAFVGLILIYKMSGFSLKLWRNQKQKLVHEWPFTWQLKMVQEQPLARRVQPEKQQQQAQSPPKVAGKWRKNLLIVFFVFGVITSFWLFWHLNERDFLWREETLANMCDERARMLQDQFNVSLNHVHALAILVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVTHAEREQFEKEHGWTIKKMETEDQTLVQDFLPESLAPAPIQDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATAKGVLTSPFKLLKSNHLGVVLTFAVYDTDLPPDATSEHRSQATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAPVNMYGTDVIDTGLLHISNLDFGDPQRKHEMHCRFKQKRPFPLTAVSASMGVLVITLLVGHIFHAAISRIAKVEADYCAMMELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLKPNQQDYAETAHASGRELISLINEVLDQAKIESGRLELETVPFDLRSVLDNVLSLLSGKSNEKGIELAVYVSNMVPEVVIGDPGRLRQIITNLVGNSIKFTHDKGHIFVSVHLADEVRAPPDVMDEVLRQGLNLVGDISNKTYNTLSGFPVVDRWKSWECFKALSSTTMEEPDMIKLLVTVEDTGVGIPLDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKRLVDLMSGEIGFVSEPGTGSTFSFTGSFGKGEKSSLETKWQQYEPAVSEFEGLRALVIDKSIIRTEVTKYHVQRLGIFVDTASSLESACSYLSNSGKTSLSSQLTMVLIDKDVWDKETGLTFSQSLKEHRQSNNVESLINLPKIFLLATSITPTECKELTSAGLVDEVLIKPLRLSVIIACFQDALGSRKKRLLNLKKPTLGKLLREKKILVVDDNAVNRRVAEGALKKYGAIVTCVDSGKAALLMLKPPHNFDACFMDLQMPEMDGFEATRLIRGMESEVKEKIASKEPSIEMFGNVQTWHTPILAMTADVIQASNEECMKCGMDDYVSKPFEEEQLYSAVARFFESG >ONI18191 pep chromosome:Prunus_persica_NCBIv2:G3:21003538:21013476:1 gene:PRUPE_3G201700 transcript:ONI18191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNFRVSGFNGILPGNFKLNKATEPLHGPNCVRKWRRKLLLLGLLGFITIIWFFVGFNDGTLGMREKTPDMSEGKARILQQHFNVSKDQLLALASLFSESDQIASLECTKEPGPGMTLSDDITCALKVLCSDSQEFQKRHKWVTENVEARDQCLVQDENIPRELHLSLLEDKSVSCTPQSTISANRICEKKNFGSGVPVECAKDDSQMRCVMVIRYWWAFVGLILIYKMSGFSLKLWRNQKQKLVHEWPFTWQLKMVQEQPLARRVQPEKQQQQAQSPPKDQFNVSLNHVHALAILVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVTHAEREQFEKEHGWTIKKMETEDQTLVQDFLPESLAPAPIQDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATAKGVLTSPFKLLKSNHLGVVLTFAVYDTDLPPDATSEHRSQATVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAPVNMYGTDVIDTGLLHISNLDFGDPQRKHEMHCRFKQKRPFPLTAVSASMGVLVITLLVGHIFHAAISRIAKVEADYCAMMELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLKPNQQDYAETAHASGRELISLINEVLDQAKIESGRLELETVPFDLRSVLDNVLSLLSGKSNEKGIELAVYVSNMVPEVVIGDPGRLRQIITNLVGNSIKFTHDKGHIFVSVHLADEVRAPPDVMDEVLRQGLNLVGDISNKTYNTLSGFPVVDRWKSWECFKALSSTTMEEPDMIKLLVTVEDTGVGIPLDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKRLVDLMSGEIGFVSEPGTGSTFSFTGSFGKGEKSSLETKWQQYEPAVSEFEGLRALVIDKSIIRTEVTKYHVQRLGIFVDTASSLESACSYLSNSGKTSLSSQLTMVLIDKDVWDKETGLTFSQSLKEHRQSNNVESLINLPKIFLLATSITPTECKELTSAGLVDEVLIKPLRLSVIIACFQDALGSRKKRLLNLKKPTLGKLLREKKILVVDDNAVNRRVAEGALKKYGAIVTCVDSGKAALLMLKPPHNFDACFMDLQMPEMDGFEATRLIRGMESEVKEKIASKEPSIEMFGNVQTWHTPILAMTADVIQASNEECMKCGMDDYVSKPFEEEQLYSAVARFFESG >ONI17224 pep chromosome:Prunus_persica_NCBIv2:G3:16038192:16038906:1 gene:PRUPE_3G146800 transcript:ONI17224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSISPKLMLSLLEIAFCLIVFLPISHCKVSYFPMGANLIDLTCKKTPYYDLCVISLNSDPRSYTADVAGLGVVMADVVKAKATDSLNKINELLTQSPGDRSLTTCVDYYKTVIEADVPLANEAFASGNAKLADQGMSDAGIVIDLCESQFSEGSSPLTDKNKAAHDVAAVGAGIARTML >ONI17403 pep chromosome:Prunus_persica_NCBIv2:G3:17543738:17547709:1 gene:PRUPE_3G157000 transcript:ONI17403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFITSPNHKTLTLNLNPKTTTLQTLKLQIEQKSQIPISEQRLFISQSLQLLTQTGSTLLSDLGIRPLSTLTLHIPLFGGTQPPNVPKPRLEFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSATTIGGAASAAAPPGVGRGRGKPEEEEEDEGEDKGYDENQKFDEFEGNDVGLFASAEYDDEDKEADAVWEAIDTRMDSRRKDRREARLKEEIEKYRASNPKITEQFANLKRKLYTVSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEKEHVTALDPKSRAASGTETPWSQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQKGCEECPKSEDVWLEACRLANPDEAKAVIAKGVKTIPNSVKLWMQAAKLEHDDLNRSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHIELWLALARLETYDNAKKVLNKAREKLSKEPAIWITAAKLEEANGNTSMVGKIIERGIRALQREGLAIDREAWMREAEAAERAGSVATCQAIIRNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTEKVWMKSAIVERELGNLDEERKLLDEGLKRYASFFKLWLMLGQLEERLGHLEKAKEAYDSGLKHCSNSIPLWLSRANLEEKMVGLSKARAVLTMGRKKNPQNPELWLAAVRAELRHGNKKEADILMAKALQECPNSGILWAASIEMVPRPQRKTKSMDALKKCDHDPHVIAAVAKLFWHDRKVDKARNWLNRAVTLAPDIGDFWALYYKFELQHGTEENQKDVLKRCEAAEPKHGEKWQPISKAVENSHQSFEAILKKVVVALGKEESAAENNKH >ONI15619 pep chromosome:Prunus_persica_NCBIv2:G3:3665694:3667650:-1 gene:PRUPE_3G051900 transcript:ONI15619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVVFDFDKTIIECDSDNWVVDELGATDLFNQLLPTMPWNSLMDRMMKELHSQGKTIEDIVEVLKRTPIHPRVVPAIKAAHALGCDLKIVSDANLFFIETILKHLGLEEYFSEINTNPSYVDEQGRVRISPHHDFIKCSHGCSLCPPNMCKGVVIERVQTSLSSEGKKKIIYLGDGSGDYCPSLKLKEVDFVMPRKNFPLFDLICKDPLLIKADIHEWTDGEELEHILLNLINTIATEENAQFISAADCNLQTMSAHEALPQALPVRQ >ONI18331 pep chromosome:Prunus_persica_NCBIv2:G3:21538324:21538882:1 gene:PRUPE_3G209700 transcript:ONI18331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRLNEKSDVYSFGVVLLEIIACRPAFINTHERIHISKWVGLLLPKGDIYSIVDPRLERSFNVSSVWKAVELAMACVSKHPINRPSMSQVLVELKECLATELARTKQSGDHTEIGNSIEMMSQNSIAMLRPSFR >ONI19351 pep chromosome:Prunus_persica_NCBIv2:G3:25312742:25315671:1 gene:PRUPE_3G273600 transcript:ONI19351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGISSSFSLPLLPHNKTHNYTSIPFTFKGREGKPRARFPPIAFSASSTTKHVWRRTPQLKTTPSSPPSHQLTQKRHPRNQQGPSHLDHSIDMDELLSSIGQTQNEQELYSLMSTYKGRQLSIRFMVSLLSREPDWQRSLAILDWINEEALYTPSVFAYNVVIRNVLRAKQWEIAHGLFEEMRQRALAPDRLKRLGIMPDLVAYNSMINVFGKAKLFREARLLLKEMRAVGVLPDTVSYSTLLSMYIENQKFVEALSVFSEMNEVKCPLDLTTCNIMIDVYGQLDMAKEADRLFWSMRKMGLEPNVVSYNTLLRVYGDAELFGEAIHLFRLMQRMDIEQNVVTYNTMIKIYGKSLEHEKATNLVQEMQNSGIQPNAMTYSTIISIWGKAGKLDRAAMLFQKLRSSGVEIDQVLYQTMIVAYERVGLVAHAKRLLHELKRPDNIPRETAITILAGAGRIEEATWVFRQAFDAGEVKDISVFGCMIDLFSRNRKYANCIEVFEKMRVAGYFPASNVIDLVLNAFGKLREFEKADALYREMQEEGCVFSDEVHFQMLTLYGARKDFKMVEALFKRLMCDPNINKKELHLVVASIYERSNRLNDASRIMNKMNERGILKS >ONI19606 pep chromosome:Prunus_persica_NCBIv2:G3:25914301:25916940:1 gene:PRUPE_3G287100 transcript:ONI19606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAAWLQPLSSSHALFPTLCRRPTFPPIFHRLTRFSSTVRLVSTMNRSTPVHAQAKRGFSVKEDEVATAADVEFETPLKIVEYPDPILRAKNKRIDSFDENLKILVDEMFDIMYKTDGIGLSAPQVGINVQLMVFNPVGERGEGEEIVLVNPRVSRYSQKTRPFNEGCLSFPGIYADVVRPESVKIDARDINGARFTVNLSGLPARVFQHEFDHLQGILFFDRMSEGVLETICAQLQTLEKKYEDKTGLPSPERIQTRKRMKAATGFGKS >ONI17220 pep chromosome:Prunus_persica_NCBIv2:G3:16019145:16020676:-1 gene:PRUPE_3G146400 transcript:ONI17220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQKFKLLATQCAVAGSPTRSPSASPVIHLRRRKTLRMFLSRTDRRRFTSRSNSDPPVINGDDEDDDDRPQKSKEVAKVRHKLKDLFVSSPPLEDRVSDRSRGIEQEEERGLLSATGSVGGVGSGGFASRRGAGMSLRPLAASFRCRLLKRAWRPVLVTIPE >ONI17632 pep chromosome:Prunus_persica_NCBIv2:G3:18936128:18943063:1 gene:PRUPE_3G170600 transcript:ONI17632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNQAPESSSQRKIGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNSVRATIDRYKKACTDSTNGGSVSEANTQFYQQESSKLRRQIREIQNSNRHILGEALSTLNIKELKNLEGRLEKGISRIRSKKNEMLFAEIEFMQKREMELQNHNNYLRAKIAENERAQQQQTNMIQGTSYDQSMPSQSYDRNFLPVILEANNNNNNHYSRHDQTALQLV >ONI15369 pep chromosome:Prunus_persica_NCBIv2:G3:2874326:2876145:-1 gene:PRUPE_3G039800 transcript:ONI15369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDEDLPRDAKIVKTLLKSMGVEEYEPRVIHQFLELWYRYVVDVLTDAQVYSEHAGKPAIDCDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKIPLPKSIAGPGVALPPEQDTLISPNYQLAIPKKQSAQAVEEMEEDEEPAEPNPPQEQKPSDLPQGTPQRVSFPLAKRTK >ONI18124 pep chromosome:Prunus_persica_NCBIv2:G3:20800995:20801867:1 gene:PRUPE_3G198100 transcript:ONI18124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSGAPEFRSVFTCLADPYPANFDGESICGGDHFLMLVAFG >ONI18218 pep chromosome:Prunus_persica_NCBIv2:G3:21061001:21062200:-1 gene:PRUPE_3G202700 transcript:ONI18218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLSLFLLFSLPHVTFSAHCTTSTPTQTFQKCITLPTQQASIAWTFHHHNATLDLVFFGTFISPSGWVGWGINPTSPEMTGTNALVAFPDPSTGQIVLLPYLLDPTVKFQRRPLLSRPLDIRILSSSATLYGGKLATVHNGAAVQIFATLKLASNKTKLHHVWNRGLYVQGYSPTIHPTTANDLSSVMTFDVMSGSTAARHTNIGTLRSVHGIINAVSWGIMLPIGAVIARYLRHIQALGPTWFYVHAGIQLFAFFLGTVGFAIGIRLGDLSPGVQYGLHRKLGFAAFCLGALQTLALLFRPKTTNKFRKYWKSYHHFVGYGCVVLGVVNCFQGFDVMGEGRSYAKLAYCLGLSSLIGVCIALEVNSWVVFCRKSKEEKLRREGLIGGSDKGSAIFS >ONI16223 pep chromosome:Prunus_persica_NCBIv2:G3:6349901:6352091:-1 gene:PRUPE_3G085900 transcript:ONI16223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTHCVASSGMKKGPWTPAEDQKLLAFVQKHGHGKWQSLPQKAGLQRCGKSCRLRWRNYLRPDIKRGNFSLQEDTTIIQLHALLGNRWSAITAHLPKRTDNEVKNYWHSRLKKRLALKGYDPVTHKPKTTIFGFANGSTDDPKTGSNLNHIAQWESARLQAEARFVRDSELHKQAYHPYNNNDISAASSILLGHNKDFRPTDQLFGQNAPQCLDILRAWESMLMSNYSKAAGGQDHVNSFGNHLAGDFEPPIISAISSNGSSLMDCFFHEPVGQCFDENYGTSITEPFATVHHKNNGACLEDFGLWEIIMQGKNDCPISGISM >ONI16272 pep chromosome:Prunus_persica_NCBIv2:G3:6588351:6592182:1 gene:PRUPE_3G088700 transcript:ONI16272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVCGSKRSFFEELPPSPPLSKRLRCSSSTSPIRFSAPYLLDQLRSLFPQMDPQVLERALEECGNDIDAAVKLLHELRIGSAEENSASAAEQDDSVAQGILTNDEAAAASENPSVPSNLPVDGAEWVELFVREMMSANSVDDARARAAKVLEVLEKSISARAGAEATQNFQKENMLLKQQIEGLIRENTILKRAVSIQHERQKEYEERNQEFQNLKQLVSQYQEQLRTLEVNNYALTMHLKQAQQSNSIPGRFHPDVF >ONI18147 pep chromosome:Prunus_persica_NCBIv2:G3:20868379:20871558:1 gene:PRUPE_3G199500 transcript:ONI18147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISRGMESRCSKFISVRCLILLLLIATHCFPLVQTVWTVGRVDECSALLQFKQSFSIEESASGERLSCAKIASWTQHGGERSNCCSWDGVECDEDSGHVIGLDLRSSCLHGSIISSSSLFDLVHLQRLDLSDNDFNYSGIPSRLGHDLTSLTYLNLSSSVFSGQIPSEISMLSKLSTLDLSSNVFNGNSLKLTKTTLGSLVQNSTNLKQLHLSMVEIYSTVPDILVNASSLTSLKVDSCGLYGEFLVGIFHLPNLEVLYVSYNSDLTGYFPTFNKSNVFKKLNVANTNFSGQLPTTIGNLHSLNLLDMSNCNFSPLVPSSSLRNLTQLSFLSMSSFNDVSKGKLCVSFSWVGKLTKLYHLSLGNTNIRGEFLSFVANLTQLEYLNLAANEISGQIPSWLVKLTQLTTLSLGDNNLQGPIPRSLFQLKNLEYLLLPDNNLSGLVEFDQFSKLKKLKGLELSNNMFSVEIRNDLSATLPKLQTLSLGCCNLTEFPNFLKNQSRLTQLDLSDNKIHGRIPNWVSNATRETLSGLYLYNNFLTGFDQNQGILPWTNLNFLTLGSNLLQGPLPIPPQSIRFYDVDNNEYTGEISQLFCNLNNLFGLELSNNHLSGMLPQCLGNSDVLEILDLHNNFFHGPPICPNSLIIVDLSYNQFQGKLPRALVNCTQLNVLNFGNNQMSDIFPSWLGALPELRILILRSNGFHGIIGTPTTKHEFPKLRIIDLSNNGFSGMLPSNYLEIWNSMKYVDDSQQIYFQVHSNIQKRYTYPYSMIIHGKGVELKYKKTLYLLKLIDLSSNRFEGEIPAGIMGNLRGLVLLSLANNALTGCIPSSLGDLTVLESLDLSQNQLSGRIPNKLAQLTFLAYFNVSHNHLSGPIPLGKQLDTFQEDSYQGNSGLCGKPLSKKCKDSESSTRPLSSIVEEDEDSGFQIALDWYVVLPGIVSGLIVGVVVENIWITKKHEWFVETFSRRRKPRGTRARRGRRTYLPKGP >ONI17451 pep chromosome:Prunus_persica_NCBIv2:G3:17899359:17902353:-1 gene:PRUPE_3G160200 transcript:ONI17451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEAYEMFLNVCSDYVMLLYFFSLVNRVTVLFDVEKGDRPLLVALAERWWDTTHTFHFDEVGEMTMTPMDFAAITGLRVGGKRLTYDLDIYKNKNKVVKLFGKPIADLLAGERRVPYESLCTPYWKKNPKDDKEADQIARAFILCLIGSSFLNDKSQYVSMHYAPCLEIVSDIGKYDWGGAALACLYRSLDSCSRGRSSSMGGYWRAWEVWACEYLKPFALSRPSRTVNTWPRTLRWVGVKSKRDLQHHLEHFRVMMRHLTNDQVNWNPWGTNESDMPEAVKKSVPATRKRILLEGPAGSAWFLGERVAMQSRKGKTKIVIPEEHEEDEEEEDEEEDEDDAWIKETEVGDEDEEADEDEVGDEDEEADEDGAVAKGNPDAEQHSTDQKRKVEKSLHSRTPKRKKTK >ONI19491 pep chromosome:Prunus_persica_NCBIv2:G3:25647089:25649567:-1 gene:PRUPE_3G281200 transcript:ONI19491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHYDLHRQRRDLKHKGRNVVWSLAMDKCLIDALAVQARNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKAMKDMLSQDGFRWNPSTKMIECDNEELWKRYIAAHPDARGIQGKQIEMYDELKIVCGNYQAPSRWAKMKDGGHPSDMKNCEDDSASFLSPSSDDLSETDGTESYSGPDEYTKMPDGSQDPPLIQPLRQLPKRPRGSEALQDAMMAVASSIRRLADAMEQSKYSIDASELLQAVMEIDGLEEARQMYAFEYLNADPVKARAFMAYNAQMRKLYLFRQFWWWK >ONI17223 pep chromosome:Prunus_persica_NCBIv2:G3:16030830:16031721:-1 gene:PRUPE_3G146700 transcript:ONI17223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSFDGYTSCSNKFNCGEITNVGFPFWGYGRPESCGYPELNLTCSESVTTIGIMGVQYRVLKINQEAEETLKLVRDDYYDKICSPKFGDTKLNSNLFDYVSGSVDVKLLYDCTSSSQGDFSCPKGETYGNVAAVLAAFPVSPMCKSQMVIRIQDSMGLGIISLENVTELEQAVREGFEVKYKVDSAKCAECVGSKGVCGYDWGLSETVCHCPNQSSASRNCSATAEAIDNPVLPSAKVFNMTSFCPMDMDLCARGLQKF >ONI16903 pep chromosome:Prunus_persica_NCBIv2:G3:12914288:12914551:1 gene:PRUPE_3G128400 transcript:ONI16903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALPNGKAYAEEKGAHEKYLHQPCQSKTTPNCLFVHNPHRRYQLYPLLAREAQLQITPSPLWPHLLKV >ONI16180 pep chromosome:Prunus_persica_NCBIv2:G3:6149632:6151644:-1 gene:PRUPE_3G083000 transcript:ONI16180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRTSRRFCHAAIAITSNGCPAAVSNHVFDTKPLEEPALVKLKAERDPEKLFHLFKANAHNTLVIENRFAFEDTVSRLAGARRFDYIEHLLEHQKTLPQGRREGFIMRIITLYGKAGMTKHAIDTFCDMHLYGCCRTVKSFNAALKVLTQTRDLGAIEAFLNEVPQKFDIEVDVFSVNILIKAFCEMGILVKAYRIMVEMEKLGIRPDVITYTTLMSAFYKENWCEIGNGLWNLMVLKRCLPNLATFNVRIQYLVNRRRAWEANKLMGLMQNVGITPDEVTYNLVIKGFFQARYLEMAKRVYSALHGKAYKPNIKIYQTMIHYLCKGGDFDLAYTMCKDCMQKNWYPNVDTIHTLLEGLKRGKQLGKAKAIMMLVRKRVPPFSSKQLGDLQTILNKS >ONI18180 pep chromosome:Prunus_persica_NCBIv2:G3:20982750:20983511:1 gene:PRUPE_3G201300 transcript:ONI18180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRESSDPTVVSSSIALLQERFRQLQKVKERREEQQLLKMLSETDHHHQGVGSIRHFEPAKFSIQSDMARPSSPADHSLSLGLNLQTRQIDHCAMKTQPTTISWPNNTTAASASSASKCFDNSDLDTSLHL >ONI19869 pep chromosome:Prunus_persica_NCBIv2:G3:26639591:26640782:1 gene:PRUPE_3G302500 transcript:ONI19869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYHFVYKDVEGASTQWDDIQRKLGNLPAKPPAFKPAPFTPANDQASLPKDKSWIDEKTEQDLEDLEDNPDLDDDRFLEEYRKKRLAELRETAKVARFGSVVPISGSDFVREVSQAPADVWVVVILYKEGIAECGVLMQCLEDLAAKYPATKFVKIISTDCIPNYPDRNVPTVLVYNSGAVKANHVGLRSFGRRCTPEGVALVLCQSDPVLTDGQSGNGRSRKAVIDGVRKRFIEKVVTEHENDDDGSSSD >ONI17648 pep chromosome:Prunus_persica_NCBIv2:G3:19015317:19016455:-1 gene:PRUPE_3G171500 transcript:ONI17648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSEVSMVLVVSLFVTSLMALTASAGNFYQDFDITFGGERAKILNGGQLLTLNLDKFSGSGFKSKNEYLFGRIDMQIKLVSGNSAGTVTAYYLSSEGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFHLWFDPTKAFHTYSIVWNSQRIIFLVDNIPIRVFHNLETIGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFKVSTTTSTSTNSLTEQSEWQTQGLDAAGRNRLRWVQQKFMVYNYCSDLKRFPQGLPVECRRSRF >ONI19905 pep chromosome:Prunus_persica_NCBIv2:G3:26716046:26716667:1 gene:PRUPE_3G304200 transcript:ONI19905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRNTILLVLVFVGLITKKGFAAQLTVGGSQGWDESTDFNSWASAQKFKVGDQLVFKYSSGLHSLVELPNESAYKSCDLGGALDSKNSGNDVVKLTKAGTRYFACGTLGHCGQGMKVKITTVDGNAPSSPASASSSSSSSDASPASTSLHSITSFVVLAALSATVVLLSMF >ONI14921 pep chromosome:Prunus_persica_NCBIv2:G3:1143814:1149075:1 gene:PRUPE_3G016000 transcript:ONI14921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKIASESDVFVHSTFASRYVRTSFPRFKMAENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMASINKNYVDMDEYPVTTELQNRCVNIIAHLFNAPLGESESAVGVGTVGSSEAIMLAGLAFKRKWQNKRRAEGKPTDNPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKRLNDLLVEKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNVMENCRENMIVLKEGLEKTARFNIVSKDEGVPLVAFSLRDNHRHDEFEISDLLRRFGWIVPAYTMPPDAQHVTVLRVVIRGRTFSRTFGGAPSE >ONI19122 pep chromosome:Prunus_persica_NCBIv2:G3:24635103:24636339:-1 gene:PRUPE_3G259700 transcript:ONI19122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIHNHRKINIPTTTIISSSSSSKSGIKTATRRIAMWAALSPPLFLTPEEAQALPHPLQHCLLLSAAAEPAAVVVAVPLLRSQLNPNILGIE >ONI16994 pep chromosome:Prunus_persica_NCBIv2:G3:13812297:13816017:1 gene:PRUPE_3G133000 transcript:ONI16994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSQRKWQFGGNEELNSAAISVRGALAMLMNNVNNPDDGDHHPQRPTIMLGCGDPTEFRSFWTTQSAVDAVTDALQSFKFNSYCPTGGVLEARRAIAEYLSRDLSHKLLAEDVYLTGGCTQAIEIMVSVLARPGSNILLPRPGYPQYEARASFDHLEVRHYYLLPEKGWEVDLDAVEALVDHNTTAIVIINPSNPCGNVFTYQHLEKIAETAKKLSNFVISDEVYGHLTFGSNPFVPMGKFSSTVPVITLGSISKTWIVPGWKLGWIVKNDPKGIFDKTRIVDSIKNYLDITTDPAAFIQGAIPQIFERTKETFFSNIIGIMKEAADVVYEMIKEIPCLTCPHKPQGSMVVLVKLINT >ONI18041 pep chromosome:Prunus_persica_NCBIv2:G3:20504414:20505046:1 gene:PRUPE_3G193500 transcript:ONI18041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVIKLSVHDEKSRAKAMKAAVGVDGVNSASLQQDKDLIEVTGEGIDVVLLTNQLRKSLKYAEVVSVNPVEEKKIEENKEKEKNKEPEATIQYLMGCPPPQYICAPCPPYQDPSCSIL >ONI17794 pep chromosome:Prunus_persica_NCBIv2:G3:19546347:19546786:1 gene:PRUPE_3G179300 transcript:ONI17794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFSAITGFHLPHSFPVVRTLFLFVCVSAALDDPFGTNGGEIMICILIYRYVVQKKKKITRNSYQI >ONI16523 pep chromosome:Prunus_persica_NCBIv2:G3:8215747:8216076:-1 gene:PRUPE_3G104200 transcript:ONI16523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGPSGASVPGGLDVAWKYARLIEGNKHGTICTFCESTFKSGGITRLKYHLARFDPHKSVKKCKEVPLDIKKEVIAWIKEKESSKQHKKSAEDKHKIYNKRGIHGQ >ONI16388 pep chromosome:Prunus_persica_NCBIv2:G3:7157318:7161028:-1 gene:PRUPE_3G095500 transcript:ONI16388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANTAIIVTTLALLLITQTITEAQHNPTHKEVEEEKRSRSTRSSSRSRSRSRSRRGSSHGGRTRSNCDPLFQYLFGTCGQWPFPINPSPSNPFDPTPRPSPRPPQMIQPPTLPWLTPPPLVPTPEQPAFDLPPPTPDLPPPDEDSSPSPPLVPIFTSPPLPFEPPPFPFAPPIISSPTAPDPGVIDQPPSLPIPQSPEIFLPPPIPEVPQNPQGPFPFVPPIISTPTAPDPGVIDQPPSLPIPQSPEIFLPPPIPEMPQNPRGPFPFVPPIISTPTAPDPGVIDQPPSLPIPQSPEIFLPPPIPEVPQNPQESFPFGSAPPAPDMDFIEPLSPPEIPFLPPVDQLPRAFDSPPSYT >ONI17646 pep chromosome:Prunus_persica_NCBIv2:G3:19006436:19009733:-1 gene:PRUPE_3G171300 transcript:ONI17646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPVEKQIYLFMLVVVTLVSVSSFKVATSASLTAPSSRSPEGQEAEALLKWRDSLDNQNQSLMSSWLGKSPCNWTGIACNEFRSITNISLSSIGLRGTLYAFGFSSFPSLQSLNLYQNSFYGSIPSSLGNLSKLIYLDLSFNQLFGRIPSEIGLMTSLHKLYLNDNAINGSIPEEIGSLSSLKVLGLSGNNLTGPIPASIWNMGNLSLLYLFKNELTGTVPQEVGNLKSLNQLHLQFNNLTGPIPASIGNLVNLTILALLENNFYGSIPPTLGNLTKLTLLDVQQNQLSGPIPPEIGKLKLLFKLGLFVNNLNGSIPGEFKNLTNLQNLGVSSNMLSGYLPQDICTGGLLVNFTANDNYFIGSVPKSFRNCSSLYRVRLDRNQLSGNISEDLGVYPHLNYIDLSYNNFYGELSPKWGLCQSLQSLKISNNRISGRIPTQLGESLQLRVLDLSSNYLVGAIPKELGRLASLFNLNLGGNKLSDSVPLEIGRLSNLEQLNLAANNLSGYIPKQLYGCLKLLNLNLSTNGLNENLPSEIGSLESLQVLDLSHNLLRGEIPPQFGELENLEALNVSHNELSGSFPSTFDNMLHLTAIDISYNQLEGPLPNIKAFNEAPIEALESNKGLCGNATSLKACQSTIRNRKKNKNIILIAALILGTLFLGFIVVGFLYICRHQTVREEHEMPRRADLFDIWSYDGKLVYEDIIDATEEFDSKHCVGAGGHASVYKAMLQTGQIVAVKKLHTLQDGGIANIKAFESEIRALSEIRHRNIVKLYGFCAHPRHSFLVYQFLEGGSLEGVLRNDREATMFEWTARINLVKSVADALSYMHHDCLPPIVHRDISSKNILLDLELVAYISDFGTARILKPDSSNWTSFAGTFGYTAPEFAYTMEVNEKCDVYSFGVLALEVIMGKHPGDLLISVLSSTTSTALDTPLRDVLDQRLSPPKDQVAEKVMFVVKLAFSCLQTKPQCRPTLQQVSQELSIPKVPLPLTLDKVALEQLVSHA >ONI16914 pep chromosome:Prunus_persica_NCBIv2:G3:13132778:13133797:1 gene:PRUPE_3G129500 transcript:ONI16914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRKIGLASNLWKNSVWFGGEKIYPASLSLLQSEESLSSFFFPQIYYLLGSFGKLDMKELRETVVSILSGNIEGAVWGKAYHLLIQPFF >ONI17654 pep chromosome:Prunus_persica_NCBIv2:G3:19033880:19036419:1 gene:PRUPE_3G172100 transcript:ONI17654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAGNFYQDFDIMFGDQRLKILEEGKLVTLSLDKVSGAGFQSKNGYIFGRFDVQMKMPPGNSAGTVTTFYLSSSGPNHDEIDLEFLGNSTGEPYTLHTNVYAQGQGSKEQQFHLWFDPTKDFHTYSVVWNSKRIIFLVDGSPIRVFNNLESLGLPFPKNQSMKIYATFWNADDWATQGGRVKTDWSHAPFSASYRNFNINACLGSEESPSSSCSTSTNSSAWQNQGLNAAGRNRLRWLQRKFMVYDYCTDRPKFPKGLPRECKHSRV >ONI17335 pep chromosome:Prunus_persica_NCBIv2:G3:16600583:16603907:-1 gene:PRUPE_3G152200 transcript:ONI17335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNEFVFVNIKTHSLKVHINCEGCVQKVRKLLRKIEGVYSVHIDAEEQKITVTGNVDFATLIRKLGNAGKHAEPWSPSSNQTKAPMNGLNLDSENQLLLPPFFGSEGQHGWGSGNFVDGNGNLIGAAHHGKLQGNVFPMMGRTGFHGNAEEGLEFRGLQDFSAGFPAVGEYGHSRPVMLTNSEGYPHTNFAPAFMIPPNTSSSQYNAGSATPSGIYY >ONI17085 pep chromosome:Prunus_persica_NCBIv2:G3:14458296:14460748:-1 gene:PRUPE_3G137000 transcript:ONI17085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEQHFPEAMVQNDSIGQDKCSFEKWNSVSDEVTDSENKSCGNFDCSICLDSVHEPVVTLCGHLFCWPCIYKWLQFQSPPSEYQDEQKQPQCPVCKAEVNESSLVPLYGRGQTTKPTKPSKGKASHLGIVIPRRPFRPCGVDTPRTAGTPTSPYVTQQLHHRSSPYSSQLNTPQQGSNTALPMQSPGSTTTINPVIGMFGEMVYARVFGNSMTNLYTYSNSYNLAGSGSPRVRRHVMQADKSLSRICFFLFCCFMLCLLLF >ONI17173 pep chromosome:Prunus_persica_NCBIv2:G3:15453694:15454370:1 gene:PRUPE_3G142700 transcript:ONI17173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLGSSPNRSQYRIDPRTEVHLQFGLFLSFTLDSTRPENRALLLFSPRVSQKLTRPKPCPGLENSYKLVANGSKALKRSKGIDLTIISLIK >ONI17306 pep chromosome:Prunus_persica_NCBIv2:G3:16546777:16549662:1 gene:PRUPE_3G151100 transcript:ONI17306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNIGAGNSDDAFYRYKMPKMVTKIEGRGNGIKTNIVNMVDIAKALARPAAYTTKYFGCELGAQSKFDEKTGTSIVNGSHDTAKLAGLLENFIKKFVQCYGCGNPETEILITKTQMIQLKCAACGFVSDVDMRDKLTTFIIKNPPEQKKGSKDKKAMRRAEKERLKEGEAADEELKKLKKEAKKKGTSSAKEGPVKASSSKKKTSASDEDRTSPTPSQADEEEADDDDDDVQWQTDTSIEAARQRMQEQLNDATADMVMLPTNEPEKAKAETKANESLENGNSTARGTLTDELKKNLDKGISPKQFQSLLGSLSGSAKEKMTALFDALFGGLEKGFAKEVSKKINYLAVAAAQDEESQLLLLQAIEGFCGKSSSSALKEVALVLKALYDVDILEEECIVQWYQEGLKGGSKNSQIWKNAKPFIDWLQSAESETEED >ONI17665 pep chromosome:Prunus_persica_NCBIv2:G3:19081261:19087972:1 gene:PRUPE_3G172900 transcript:ONI17665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHLQDTMLGRFEGAFKIPSVNNPRKLESNAWGILHATEAYHASSDTSLFSSSLPVLPHEKLNFSDLEHLGQSVDDSLPSLNNVEQENEIKDPLENVESNAFGIMLPDDEDDLLAGITDDFDLSRLPNQLEDVEEYDLFGSGGGMELDFESQDGLGIGMSKLSISDGVVPNGIGHYALPNGVGAVAGEHPYGEHPSRTLFVRNINSNVEDSELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDASVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRTLMQQLTQELEQDETRSFRHQVGSPLTNSPPGTWAHIGSPIEHNQPAFSKSPGLGSLSPDSNHLPGLASILPAHVSNSPKIAPIGKDQGRLNPINQIYSNSTSTQGAGYQHSHSYPEQKLSASPGPISFGESNSNSAGIGTLSGPQFLWGSPTPYAEHNSSAWPTSSVGNPFSSSGQGQGFSLSSRHGSFLSSHSQHVGSAPSGVPLDRHFGFFPESPETSFMNPVFGGMALSRNSGNYMMNMGGRATLSAGVGLPGNITENSSPSFRMMSVPKHGPMYLGNGSYTGPAATINEMLADRSRSRRIENTGNQIDSKKQYQLDLDKIISGEDIRTTLMIKNIPNKYTSKMLLAAIDENHCGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIIAFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGQETSDQETFLSRNLNICIRQPDGSYLGDSLDSPKGDLDEKPENS >ONI19243 pep chromosome:Prunus_persica_NCBIv2:G3:24980598:24982052:1 gene:PRUPE_3G267000 transcript:ONI19243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QCLYLLNLCFTFKQLSQVHAQIQVSGFQRDHFLLTQLIRFCALSPSKNFNYARTLLDHSESSPPSSWNFLIRGYASSDTPREAIWAFRAMLGRGIRPNQLTFPFLIKSCASAAALKEGRQVHVGVVKCGLDCDVYVQNNLVHFYGACKKIKDAQRVFDGMSVRTVVSWNAVLTACVENFWLDEGIGYFVKMRDCGFEPDETTMVVMLNASSELGNLSLGKWVHSQVIEKGLILNCQLGTALVDMYAKSGALVYARLVFDRMELRNVWTWSAMILGLAQHGFAKEALELFPKMLNFSVRPNYVTFLGVLCACSHAGQVDDGYQYFHDMEHVHGIKPMMIHYGAMVDILGRAGRLNEAYSFIMSMPFDPDPIVWRTLLSACNTRDANDDEGVGNKVSEKLLELEPSRGGNLVMVANMYAEVGMWEKAANLRKVMKERRVKKTAGESCVELGGSIHKFFSGYDSRADYEGIYQLLDVLSLHMELVNM >ONI18754 pep chromosome:Prunus_persica_NCBIv2:G3:23190079:23192680:1 gene:PRUPE_3G236700 transcript:ONI18754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIIKGVRSAQLLDVDEGIKRTYDMKVTSHRIYEFLRYMSKTTRFFTDVKEIKSWVRTAIFQAVERGHVAFISHICADNSNLWRITDSKGRTIFHFAIERRQARVYSLIYLLHENKRELIAGAVDKLGNTLLDLAVSFSPSTLKVQGAAVQMKSEIEWFKLTTESHCQVVGALIVTIMFAAAFTVPGGNNQNSGFPIIFIVSDAISLFSSTTSVMIFLGILTSRYAQDDFLKSLRTKMLLGLLTLFLSIVTMMIAFYCSLIILLHGKFRIILPLILLSSFPITTFLWMQFPFLVKSFNSTYRGELFSRQIWLGQLKFLS >ONI16595 pep chromosome:Prunus_persica_NCBIv2:G3:8754115:8755089:1 gene:PRUPE_3G108800 transcript:ONI16595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVYNSLTDALSFGWLLDHSLYEYVKLEPLLYDPFDYHHELPNFFTQFHQHHHPNNVPIKMPFDHDDLKHMQVQVQDVDVVDKIRCQSLVARGRHYRGVRQRPQGEFVVEKRA >ONI18248 pep chromosome:Prunus_persica_NCBIv2:G3:21282159:21285326:1 gene:PRUPE_3G205000 transcript:ONI18248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKKSDQETEKENQDDTDNEAVEGIGRQMSEASVSAAEEEEDEEGSNKIQLGPQCTLKEQIEKDKDDESLRRWKEQLLGAVDFESVGETLEPEVKFIQLSILSPDRPDIVLDIPEDGKPKGLWFTLKEGSPHNLKFSFQVTNNIVSGLKYTNTVWKTGVKVDSTKEMIGTFSPQPEPYTHLMPEETTPSGIFARGSYSARSKFLDDDNKCYLEINYTFDIRKEWAK >ONI15855 pep chromosome:Prunus_persica_NCBIv2:G3:4746497:4747733:1 gene:PRUPE_3G065800 transcript:ONI15855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYTCARTPCTCSSLTLNSQKLYPPVNNMIDTLIFLAAFIGFPVAQVIVVPVGYIMRHERRHLFDRLNEDLLRSKRWRLQLLPQRKT >ONI19318 pep chromosome:Prunus_persica_NCBIv2:G3:25236493:25238960:1 gene:PRUPE_3G271700 transcript:ONI19318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLADVYHVVAATVPLYVAMILAYVSVKWWKLFTPDQCSGINKFVAKFSIPLLSFQVISGNNPYKMNLKLILSDCLQKLIAFLALTAITKFSSHGGLNSIITGLSLSTMPNTLILGIPLLKAMYGDEAAMLVTQIVVLQSLIWYNMLLFLFEFRAAKAASVTPSSESTAEEMEAPQEAQSKEEAEDETRSRTPKKIKSILLTVGRKLIANPNTHATLLGLIWASIHYKWGVKLPDIVTQSIAILSNGGLGMAMFSLGLFMASRGSIIACGTRTGMLAMGLKFIAGPALMAVSSFAVGLRGRILRVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPIALAYYFLLGL >ONI16883 pep chromosome:Prunus_persica_NCBIv2:G3:11882516:11887133:1 gene:PRUPE_3G127300 transcript:ONI16883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFFNVSDKNQRCTRAVCGYASTRFHNIIRSYSIHVRRNETLYLLLQSCQSSSGFKPNYQVLSAILKSCAALLAINFGKALHGYVVKQGHLSCHSISKALLNMYAKCAALGDCKTLFGQMGYSDPVIWNIVLSGFSASRNYDAEVMRLFHEMRVDGKAKPTSVTIAIFLPVCARLGDLHAGKSVHSYVMKSGLEKDVLVGNALISMYSKCGLVSGDAYAVFNSITDKDVVSWNAIIAGFAENSFINDAYKLFSWMLKGPVEPNYATIANILAVCASLDKDVAYCSGREIHCYVLRRNELAADVSVCNALVSFYLQLGRMQEAESLFHRMKSRDLVSWNAIIAGYASNREWSKALELFGKLLALQMIRPDSVSVVSILPACAHLQNLEVGKKIHGYILRHPSLFEATAVGNAMVSFYSKCYKIEAAFKTFLMILRRDLISWNTMLVAFAEIGHSTEFLNLLDDMLRDGMRPDHITILTIIQFCAAILRVGKVKEIHSYSIRAGFLCDNIEPTIANAILDAYAKCGNMKYAFNIFQSLLGKRNLVTCNSMISAYVNCGSRDDAYIIFNSMSETDLTTWNLMVRAYAENDCPAQALSLFLELQAQGMKPDAMTIMSLLPVSAQMASVHLLRQCHGYVVRACLDDLCLKGALLDMYAKCGSIVCAYKLFQSSLHKDLVMFTAMVGGFAMHGRGEEALKVFFHMLDLGVKPDNVIITAVLSACSHAGLVNEGLKIFYSIEEIHGVKPTMEQYACVVDLLARGGRIEDAFSFVSRMPIEANANIWGTLLGACRTHHEVELGRVVADHLFEIEANNIGNYVVMSNLYAAEARWDGVMEVRRMMRTRDIKKPAGCSWIEVERRKNLFMAGDWSHPERSIIYSTLSALDQQMKEPV >ONI15759 pep chromosome:Prunus_persica_NCBIv2:G3:4226829:4229708:1 gene:PRUPE_3G059700 transcript:ONI15759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIRSINQTTFNVLDYGAVGDGQTDDSKNSHNPVPSVGGLGVNGAYETTEEIHVRNCTFNGGSGYAKKISFEQIKLVASRNLIVIDQHYCNGRHDCKNSISGVGVSDVKYIDFQATSASEEAIKFDCSKILGCVNIVMNQINIALQVAGKEIHASCNNVNGTSNRKHPRPHPHPHCLDGNVAA >ONI16618 pep chromosome:Prunus_persica_NCBIv2:G3:9152786:9153950:1 gene:PRUPE_3G110600 transcript:ONI16618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYLPPEIITQILLRLPIKSLLQCTSICKSWNSLIKHTRFINNHLNLNLDKTSNSPLLLLRHCPKDPTRELYSLHLDNGSFQEHSKPELPVQSLNECFRIVGSCNGLILLSDDYFTDYNMFVLWNPSIRKFITLAKPHVPKSPHHSVYGFGFDSKKNDYKVVRLVYLRQNEGQACPKIELYSLNSGSWKSITSAAPSYQIAQNFRAQVFVNGAVHWVASCKKGNCFRNVVLSFDMSNETFQEIELPEDLACELPTKYMPISAAGKSICVKHFDQNRHTMWVMREYGVVESWEKQVSVDVHVTPNFTPKFRVLQVLGCRKNNGEFLLERYDHGRKIGELVSHDPKKNTNEFLGVHTDPGYSCIVYYTESLVLLDKMSRSMQIS >ONI16847 pep chromosome:Prunus_persica_NCBIv2:G3:11446660:11447802:1 gene:PRUPE_3G125000 transcript:ONI16847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLHPNPMRLLNDEDESRRIYDEFHKNPYDLNSKIMLTAIISLSVVVLLVIVLHTYARCVLRRQALRRASMRHHLGSTMAHVHLAEQPKTGLEPSIIAALPTFVFTRVDGQDDGGANAIECAVCLSMLDHDEVARLLPNCKHSFHVECIDTWLNSHTTCPICRTEAQPRLQPEPREDPVAGGPTAPPLEPPLNSAFPSYMEGTSDGAAQSSSGTKANGSISRLSSFRRMLSRDRSSRRIQSCGQEDGIEDLERQ >ONI17374 pep chromosome:Prunus_persica_NCBIv2:G3:17206935:17208181:1 gene:PRUPE_3G155100 transcript:ONI17374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITFNTVSFIASLGVTLLLVGGFPLRNRVIMWLLSMAMCLTLTFMALTYMLALILVFPDIEIYKSYEKIYSIFALTLVLWATLLGIIAAIHTIRLLICERNEKTEEKKE >ONI15645 pep chromosome:Prunus_persica_NCBIv2:G3:3763191:3764019:1 gene:PRUPE_3G053400 transcript:ONI15645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYSVVKEPLCLLSLAGDALEFLLLMHLCYFVYMHETGVWCAVKWRQCVHDNCFIFDHLLGDSFLVAVIKIVRILLGFFVRMPTCSYNELFLMAICWFIVRSLFCHNSLK >ONI15359 pep chromosome:Prunus_persica_NCBIv2:G3:2812864:2813957:1 gene:PRUPE_3G039100 transcript:ONI15359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDHDLHRQNQPLVRRGFPLRRSRKLPVARLGGPKPKRGLVFVKMLKRIRMRWLKLQYLCMLKKLKESYKNMMKDLMVAGASLETFHQRIFMETSFAIPLGVSLSSYPSVAGSDRPRTLFM >ONI16143 pep chromosome:Prunus_persica_NCBIv2:G3:6001695:6004951:1 gene:PRUPE_3G080800 transcript:ONI16143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVLSISLSCDAIVSRCWDSVFEREPNVRKLQENLQALTTSLQELKSLKNDVQREVELAERQPRLKRLERVNNWILSVEALETEMNEVIVSHSTQEIEKLCCGGYCSKNYRSSYKYGKKVARKLVEVEALKSKGVFEEVAAESLPTALVDVIPSEPTVGMEPIFDQVWRHVEDEQVGMIGLYGMGGVGKTTLLTQIHNNFNRTRNDFNLVIWIVVSKGHKIEVIQDKIGEKIGLSSGVWKLKQQHEKAEDIFRILNTKKFVLLMDDLWEPVELTKVGVPAPDSRNKFKIVFTTRSEEVCGHMDAQKKIKVGCLTWDKAWNLFQKKVGKQTLLLHPDIPKLAEIVANECGGLPLALITVGRVMACKKTPQEWKRAVQVLRRFASEFSGMGDKVFPLLKFSYDNLPSQKVRSCFLYCALFPEDFVILKDDLVYFWMCEDILDEYGNVEEAKNESYHIIGTLLTSCLLEDEGDSVKMHDVIRDMALWLACDLGKEGENIVVDTGAPNVAKWKNAKRVSLMSSGITSLDETPRSPNLLTLFLRGSSLKRIVDDFFDFMPMLRVLDLSENFLITQLPTGVANLVSLQHLNLSNTVIKWLPVELAACARVKYLNLEYTYYLDYVPPNILSNFPRLKVLRILDCGSSNRVFFYNEKTMIDELQGLKHLDVLSLTVGGTSCFRNLDSHHILVTCTLTLCLKGEDCGNLSSYLYLSPLALANMKYLDTLQIKHMADVYSTWITRLENPNCFLGLQFVEVVHCTNLKNLEWLVFAPNLIHLHVRGCSKMTRILGLNTTETTPFAKLTVLRLSALPHLWRICENPLPVPFLKKILISGCPVLTRLPLNSSSAQTSDLIIEGEEKWWNGLEWENQAARNVFLPCFRPVSEEGLLLQTL >ONI16391 pep chromosome:Prunus_persica_NCBIv2:G3:7182432:7185689:1 gene:PRUPE_3G095800 transcript:ONI16391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLEGENPSPKEGVKVVGCDERATKSDPTTDISQQLENLKVEDASSGSTGCREFSSDTEIGANEKTASTNEGSCNKLPARSQLYEICVGKKWKLPLYECCKEEGPPHMRKFTYKVIVEIEETEKTVLECFGAPHSKKKSAAEHAAEAALWYLKNIGYDSKDR >ONI16392 pep chromosome:Prunus_persica_NCBIv2:G3:7182432:7185802:1 gene:PRUPE_3G095800 transcript:ONI16392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLEGENPSPKEGVKVVGCDERATKSDPTTDISQQLENLKVEDASSGSTGCREFSSDTEIGANEKTASTNEGSCNKLPARSQLYEICVGKKWKLPLYECCKEEGPPHMRKFTYKVIVEIEETEKTVLECFGAPHSKKKSAAEHAAEAALWYLKNIGYDSKDR >ONI18832 pep chromosome:Prunus_persica_NCBIv2:G3:23528777:23531477:1 gene:PRUPE_3G242300 transcript:ONI18832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLAWAADVVGGGGGQGNEEDGSNSIPLIFTPEQQKYALDLDHKATSLSRSIQDLRLRLPPSDISQRLPDLHAHSVASNAALALQLNAHSTTREQAQLREVTLQEENVAYERAISNCETKIMEKIQEADLLRRKLMEMEETERDLRVKLENAQTALDVSRSGESHDSIGDSKMTIETELDIEASKKAILDKLEERKKELSSMEEVVKHLEGRWAEIQDNALSHPSPVQREKILDKQLHSLIEQLAAKQAQAEGLVNEIHLKETELERVNGLWRKLESSNMEMNTARNRFGRSTSFKGSASSDYILDGHHRYADGRTESQQRLMLLRSGFVLYILALHIVVFIKISF >ONI18510 pep chromosome:Prunus_persica_NCBIv2:G3:22089993:22092256:1 gene:PRUPE_3G219900 transcript:ONI18510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEARIKCKRTNKKLKTQKAKLIPRPRKLTNPNQNSTKPPPLPETTSLGQAATQIPYNPTYYKDTYKKKEASHKIITFQIKPDKAPEQTQKPNKH >ONI16715 pep chromosome:Prunus_persica_NCBIv2:G3:10013705:10014031:-1 gene:PRUPE_3G117300 transcript:ONI16715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFVVVAGVRAEEVGFMPYNSGGLLLLCMIFVSLSLISMVIFACSGKGSKKKKRRADCGNLDFTGCCCGDEGGPCGGGGRDGSGGRDGGGGGDGGKGGGCGGGGGG >ONI18486 pep chromosome:Prunus_persica_NCBIv2:G3:21994604:21996550:1 gene:PRUPE_3G218400 transcript:ONI18486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALVKLVDATLFVFFFVIALAAPLIDAQTCLPQSLFPNVLVQLKSWYARQYGDYLVTQKPHFFVGIVWLELLFQWPLSIANLYGILAAKSWFNTTCLIYGVSVFTSMVTILSELVQSGKASDKLLYMYFPFLGLGVLAILRGLLPPSSTTSSGIGKRPTLGRKKKA >ONI18485 pep chromosome:Prunus_persica_NCBIv2:G3:21994546:21997039:1 gene:PRUPE_3G218400 transcript:ONI18485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALVKLVDATLFVFFFVIALAAPLIDAQTCLPQSLFPNVLVQLKSWYARQYGDYLVTQKPHFFVGIVWLELLFQWPLSIANLYGILAAKSWFNTTCLIYGVSVFTSMVTILSELVQSGKASDKLLYMYFPFLGLGVLAILRGLLPPSSTTSSGIGKRPTLGRKKKA >ONI16759 pep chromosome:Prunus_persica_NCBIv2:G3:10592427:10595350:1 gene:PRUPE_3G120300 transcript:ONI16759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAGLIDLGYHGSSFTWRALRQNGIFVQERLDRVLGNLPWQESCPNTFISHHPAIGSDHNPLVLETTMYHKNHRRQFKFEAYWADELQHCTTLLKKWSREKFSNNKKRMEALHAELNEKQLRWDENHVEIRRITQEITETGAREEQYWHQRSRIKWLSKGDANTAFFHQSMLARRLQNCILRIKGDDGRWHVGEQAVKRVFEEHFKNLFTFEAQSINRDILDCVDSVISQTTNDNLLQAITMEEIKEAAMQMGGLKAPGPDGYQGIFFHKYWDTIYDEIRGITEDFFRNNQSLGALNTTNLVLIPKIPNPEGVSHFRPISLCNFSFKIVSKVMANRLKVFLPQIISPAQNAFVPNRQIQENILIAHEAFHILKLRKTTKMYDFGIKLDMNKAYDRVEWHFLESVMLKMGFDVRWVNLVMNLVRTVQFSLVLNGVQGNTFTPTRGIQQVDPLSPYLFLIVSEVLSLMIKKACEANYMQCLKFGRSGPTLSHLLFADDALFFIRANTQNCRNIPNTPASIRAQLGAILGMTMVDDPGKYLGLPTMWGRSKKEALQFVREKLCRKLSGWKQSLLSQASREVLIKVVAQAVPNYPMGVFLFPKMFCTKMESEIANFWWGQKQEERKIHWVRWTELGLPKHEGGMGFCDFHDFNLALLAKQCWRLLTELNSVWARLIKARYFPECNFMEATKGSRGSWAWASLIEGRKVILNGARCSEVDRLVWSDEKNGKYSVKSGYHNIHTHHHRSSVCRPSSSMSIDQRVWKLIWHADVTQKIRHFFWRDLNGHVAVSSVLYKKKLRNSPLCPICNDHEETIEHMLLLCPWVEPIWFGGLTYRVDRQQNSSLHTWLRDCVIIALNTKEEKS >ONI18963 pep chromosome:Prunus_persica_NCBIv2:G3:23979126:23980343:1 gene:PRUPE_3G249700 transcript:ONI18963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSSSSSFLSSSSPPLEALKPEERGLHLIQLLIKCANHAASRNLNLADECLCQISRLASLHGDSMQRLAARFASALAFRLVKNWPGIHKALNCDTKRPKLELDRARVIFTKAFPYLSFAYAIIARTLLQAMSAERVIHIVDLGSADLKLWAPLLQSFAALPDGPPHLKITCVNSNKAVLEKLGPKLVKEAEAFDMPFQYNPLNVSLRELTKDMLKVRSGEALGFVSILNLHVLLAQDDQADAQFRPKKSNIDVKDCKQMGEFLGMVRSMSPKVVLLVEQEAHHNLNHLVDRFIEGLHYYSAMFDSLEASFGGLSSSSEERFVLEEMFGREIENIVAWEGVEREERHERYAWWMVRFCEVGFKPVRLWLDSMEDSKKGYKIISHGTSLMICWNERPLYAVSAWTC >ONI19826 pep chromosome:Prunus_persica_NCBIv2:G3:26516741:26517831:-1 gene:PRUPE_3G300000 transcript:ONI19826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSKSKAPKEMQDNIAVGIGGSFLGPLQTDPEAIETARGRQLHFLANVDPIDVARNIVGLKPETTLGST >ONI19666 pep chromosome:Prunus_persica_NCBIv2:G3:26070162:26073612:1 gene:PRUPE_3G290500 transcript:ONI19666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIICHEIFKTGSITLENLIVSTILVYGTTGLFAESHGCNFQTTVITVIRCLSQAPRLPALDWDTIIRRCMGYEAQVAELFPTESSLEKGTLREECVKFSLAHANKFDQLPSFLDELSDLSRFRTLELKLQSCLLDHLVDLIKVFSGSRLEKVFDDVRSYFSSVTSYQSLGTDETSLLRISCWKGFYQCLDEASLDSLEYISQIEKGMEVLFLQGKQLQDYIPTLFSLMPAMQLPAIGGGGQLRTVEEWSEAVRCFRKARKSWLLDFLQVSQEDLQQRDGQLIGVLKKVQTKAKLVRIGSIPLTELGRLKAWILNTELNGMWDALVEVVAALQHADGSVKRQWLIDAVEISRVSSYPSMALQFLGLLSGSWSKYMPLLILGKHILWGGVAEFVVPSLFASTERIYNWAIHIARCEDVPPDTQPIDKIENSMAVFLLRVMHCTSVFFKDYLPLEKQLKLAFKISHLF >ONI19281 pep chromosome:Prunus_persica_NCBIv2:G3:25111417:25117480:1 gene:PRUPE_3G269400 transcript:ONI19281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLQLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKSAGYKLMEIIKQKPTIIQDPLDGKCLSDVNGNIEFKEVTFSYPSRPDVIIFRNFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQVLIDSVDIRTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMADVEAAASAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDASSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVETGTHEELIAKAGAYASLIRFQEMVGNRDFRNPSTRCSRSSRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNAETDRKTRAPDGYFFRLLKLNAPEWPYSIMGAIGSVLSGFIGPTFAIVMSNMIEVFYYSNPASMERKTKEYVFIYIGAGLYAVAAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLLAARLATDASDVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKILSLFCHELRIPQLGSLRRSQTAGLLFGLSQLALYASEALILWYGAHLVSKGVSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGEAVGSVFSILDSQTRIDPDDPEAEIVETIRGEIELRHVDFAYPSRPDIMVFKDFNLRIRTGQSQALVGASGSGKSSVIALIERFYDPIVGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFENIAYGKEGATEAEVIEAARTANVHGFVSGLPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDSIGVVQDGRIVEHGSHSELVSRPDGAYSRLLQLQHHHI >ONI19280 pep chromosome:Prunus_persica_NCBIv2:G3:25110142:25117479:1 gene:PRUPE_3G269400 transcript:ONI19280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTEAKTLPEADKKKEQSLPFYQLFSFADKYDWLLMISGSIGAIIHGSSMPVFFLLFGEMVNGFGKNQMDLKKMTAEVAKYALYFVYLGLIVCFSSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIMAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLQLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKSAGYKLMEIIKQKPTIIQDPLDGKCLSDVNGNIEFKEVTFSYPSRPDVIIFRNFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQVLIDSVDIRTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMADVEAAASAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDASSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVETGTHEELIAKAGAYASLIRFQEMVGNRDFRNPSTRCSRSSRLSHSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNAETDRKTRAPDGYFFRLLKLNAPEWPYSIMGAIGSVLSGFIGPTFAIVMSNMIEVFYYSNPASMERKTKEYVFIYIGAGLYAVAAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLLAARLATDASDVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKILSLFCHELRIPQLGSLRRSQTAGLLFGLSQLALYASEALILWYGAHLVSKGVSTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGEAVGSVFSILDSQTRIDPDDPEAEIVETIRGEIELRHVDFAYPSRPDIMVFKDFNLRIRTGQSQALVGASGSGKSSVIALIERFYDPIVGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFENIAYGKEGATEAEVIEAARTANVHGFVSGLPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDSIGVVQDGRIVEHGSHSELVSRPDGAYSRLLQLQHHHI >ONI14749 pep chromosome:Prunus_persica_NCBIv2:G3:366566:369707:1 gene:PRUPE_3G006100 transcript:ONI14749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAKIVCQQSVPVLEVQYFGKGTNVHEVEDVVAVSQSISPKSFDRNRASESVSDAITSSQVDVKPADKIPDLALESADLQFFPRLRSGSFADIGPRRYMEDEHILIDDLSSHLGSLFNFPTPSALYGVFDGHGGPEAASYVRKNMLRFFFEDANFPQISEVDEIFSEELENSLRKAFHLVDLALADDRSVSSSSGTTALTAMIFGRLLMVANAGDCRAVLCRKGEAIDMSQDHRPIYPSERKRVEELGGYVDDGYLNGVLSVTRALGDWDMKFPRGSSSPLIADPEFRQVVLTEDDEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPEQCARDLVMEALRLNTFDNLTVIVVCFSPLDHREPSPQRERRFRCCSLSAEALCSLRNLLDSSASG >ONI15156 pep chromosome:Prunus_persica_NCBIv2:G3:2083094:2084039:1 gene:PRUPE_3G027800 transcript:ONI15156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWNRDELVGKTMTKPVEVELDESNRVVFIYCKVVRFWELFL >ONI17688 pep chromosome:Prunus_persica_NCBIv2:G3:19146447:19149447:1 gene:PRUPE_3G174300 transcript:ONI17688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEKKNPYQYDPFDYNPHEINRPSFPFFNYGTHSIQDPQNLHGFESDHPNSSFMSFTDCLHGSMDYNTLSKAFDMSCSSSEVISPQLDHENSKNQQAAVGDHSVGTSTTENPSTPNSSVSSSSNEAAGSHEHEDSEKKKKEKQPKVAVCDEAAGDEEDKSKKGSKAKKKEKRQREPRFAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCVVKKRVERSFQDPSIVITTYEGQHNHQCPATLRGNLNLNAVGMLSPNPLLTSASLNGSARFQHEFLTQFLPMNNQLQLQLQSHHHQDDHQASNSMIYSNLVAPHPHHHHQQQHQRQQLHVPDYGLLQDLVPSFGHKQEP >ONI17993 pep chromosome:Prunus_persica_NCBIv2:G3:20362793:20364262:-1 gene:PRUPE_3G190900 transcript:ONI17993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKRPGDVASVDVYTAKGLLSVGHRYLDVRTVEEFNKSHVENALNIPYMFITEEGRVKNPEFLTQISSILKKQDHLVVGCNSGGRSLKACVDLLNEGFQHVTNMEGGYSAWVDSELAHDKPTEDLKVACKFRP >ONI17493 pep chromosome:Prunus_persica_NCBIv2:G3:18128350:18129829:1 gene:PRUPE_3G162400 transcript:ONI17493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQILILQLPVHISKIIIRLPLLKEYIRLPLTKSTFGISDQTLTPRSRIDAERNTPSKDKILRDSSQIGLHLWTITMIH >ONI15546 pep chromosome:Prunus_persica_NCBIv2:G3:3459678:3462004:1 gene:PRUPE_3G049000 transcript:ONI15546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSYSGGGGGGGGSWNMIPSVPTHSNPSTPSSQDHMYLHHQQQQQQQQQQQFQQQQQRLLLQQQQQQQQQQQQQQQQQQQQQQHHQSLASHFHLFHLVEKLADTIENGTRDQQSESLISDLNNHFEKCQQLLNSISGSISTKAMTVEGQKRKLEESEQLLNQRRDLITKYRNSVEDLLKSEP >ONI17572 pep chromosome:Prunus_persica_NCBIv2:G3:18630088:18636068:1 gene:PRUPE_3G167600 transcript:ONI17572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKTARSRGTPVKENGVKLEEGLNVFKSDKFDSQGYVQSRCSLNEKEIRQLCSYLLDLKRASAEEMRRSVYANYTAFIRTSKEISDLEGELSSIRNLLSTQAALIHGLAEGVNIGSLSVSEGSTANGVLISEDKEPSDLEKWLVEFPDLLDVLLAERRVDEALDALDEGERVAAEAKQLKLLDPALLMSLQNSIVERRQRLADQLAEAACQPSTRGGELRAAISALKRLGDGPRAHSLLLSAHFQRYQYNMQSLRPSSTSYGGAYTAALSQMVFSAIAQAASDSSAIFGKETDYTSELVMWAIKQTEAFALLIKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALDANLKRIEESTAALAAADDWVLTYAPTATRQSGRPSSTSLNTTAFQHKLTSSAHRFNLMVQDFFEDVGPLLSMQLGGQTLEGLLQVFNSYVNMLIKALPGSMEEEANFEGSGNKIVRIAENEAQQIALLANASLLADELLPRAAMKLSPLNQVAYRDDLRRRSSDRQNRHPEQREWKRRLGSSVDRLKDSFCRQHALDLIFTEDGDSHLSADMYINMDGNADEVEWFPSLIFQELFVKLNRMASIAAEMFVGRERFATLLLMRLTETVILWLSEDQTFWDDIEDGPRPLGPLGLQQFYLDMKFVICFASQGRYLSRNLNRVVNEIISKAMTAFSATGMDPNSVLPEDDWFNEVCQDAIERLSGRPKAANGDRDLNSPTASVSAQSISSVRSHGSS >ONI15070 pep chromosome:Prunus_persica_NCBIv2:G3:1789219:1789680:1 gene:PRUPE_3G024100 transcript:ONI15070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKRVKTIRQAIQLKQVMKLWKAISLNVKSSLAPSGFLPVYIGYDRIRFLIPTRFLNFPIFVALLDKSGEEFGFKASGGIVLPCDVVFFKEVLSLLKKDEKMYGSMELHEFLKMVSEVGNFDSSTICSKGDSMCCDHRHGFRPLLQKARV >ONI18052 pep chromosome:Prunus_persica_NCBIv2:G3:20576130:20577494:1 gene:PRUPE_3G194300 transcript:ONI18052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVGVMQRRYYSNKNIRNNKASKNTIKIISQQRQGHSYGQYKSKARARLSRDNASKVRARLSRERQEQGYAEATKIDCSDTMLK >ONI17354 pep chromosome:Prunus_persica_NCBIv2:G3:16766841:16767455:-1 gene:PRUPE_3G153600 transcript:ONI17354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPEFIALESVKNRKYLVYKHQPTIPKLPHFLQCSGQDSHSKDARFKVEKDVNDPSLVHIKCTYNDKYLRLESQQSSWIVADADKKQPNKTLWSCTLFKPEGLEFPGLDGLYKFIHVYTEKPIGPKSESLLKDFLAVESAKPNEIHAFIVKKLPG >ONI18728 pep chromosome:Prunus_persica_NCBIv2:G3:23032058:23033863:1 gene:PRUPE_3G234800 transcript:ONI18728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIPKRAGFNNQQPTTNVQRPQAVRPKKKTSSGWKFQETTQRAPDLKYLLHLDPGTPFRSKTTCNWASNNNGESGRSAARVKWQQHREAEKEEEPWLNRVLRLRGLRFPLDLLCVPLLHHLQDCTRHHIRFLYTLETEILFNQVQVQA >ONI17983 pep chromosome:Prunus_persica_NCBIv2:G3:20292595:20293106:1 gene:PRUPE_3G189900 transcript:ONI17983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQQLVEFGWGLANTETKEKGLIAGWSPQEVLNHPWVKGFLTPNGWNSTIESVAAGMPMFSWPFFAEQQTNCWYTCNGMETGMEIDNNMKKDKVKKLVRELMEGEKGEKMKNKAKEWKTLAGEATGSQGSSFKNLDNVVNHVLLRKS >ONI19006 pep chromosome:Prunus_persica_NCBIv2:G3:24216982:24218545:1 gene:PRUPE_3G252700 transcript:ONI19006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNSVKVVEVCKVAAPPSSSACSATSPPEALPLTVFDLLWLRFAPVQRLFFYQISSNSFDTTTLVSKLKASLSIALQQFLPLAGNLTWPQDSPKPILTYVHGDAVSLTIATAQSADHFDHISSNNLDVESKEYHPLIPQLAFSQEKAAAMALQVTIFPNRGFSIGIATHHAILDGKTSTMFMKSWAHICKHVDDDPSSLMLPDQLKPFFVRRVIRDLAGLEAVYSDEFLSMDGRSNNRSLMPSKFRAPAPDSIRGTFVFTRPKIEALRLLVKEKNQQHEYQSVQKYLSTFCITCAYTWVCLVKAEEIQGGKAYMGFTVDCRSRLDPPISSNYFGNCLVIRLVVAETKALLGEDGLTVAVKAICEAVKSLDDGVLSGAENWIKPLYSVGGDEKVLSVAGSPRFEVYETDFGWGRPNKVEVVSIEGTGAMSMSESKDGAGGVDVGLVLGKNSMQVFATLFAEGLANL >ONI19127 pep chromosome:Prunus_persica_NCBIv2:G3:24650369:24651770:1 gene:PRUPE_3G260200 transcript:ONI19127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSGDLQVTHVALLPSAGMGHLTPFLRLAALLTAHNVHVTFITPSPTVSLAESLSLSHLFTTFPQITQKHLHLLPLDQPSANSEDPFYYHFELIRRSSHLLPPLLSSLCPPLSAIITDMSLTSTVNPLTDSLGLPNYIFFTSSAKMLTFYVSFHTMLGPNHEIEDHTKVSGLEQIPKAWIPPPLLRGGNNLLKTFFLENGKKMTESSGILVNTYESIERETLAALNEGKVLRKLPSVIAIGPLAPCIFEESQQLAWLDDQPTGSVLYVSFGSRTAMSRDQIRELGDGLVRSGCRFLWVVKDKKVDVEDDKKLIEVLGQGLLERVKKNGFAVKNWLNQQEILSHPAIGGFLSHCGWNSLTEALWNGVRILAWPQHGDQKINADLVERIGLGTWDKSWGWGEGEMLVKAQDIAERVREIMGNKLLRLQTDHIREEARMAVGDGGSSTKRLYALIKIWKRVQVV >ONI17395 pep chromosome:Prunus_persica_NCBIv2:G3:17507395:17509700:-1 gene:PRUPE_3G156600 transcript:ONI17395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIQHRHVQVRGVKLHVAEIGSGPKVVLFLHGFPEIWYTWRHQMVAVANKGHRAIAIDFRGYGLSEQPAEPEKATFKDLIDDVVALLDSLSIDKAVLVGKDFGAFPAYVIPALHPQRVSGMITVGVPFMQPDASPVQFHLLPKGFYMSRLKEPGRAEADFGRFDVKTVIRNIYILFSGSELQVAADDQEIMDLVDPATPLPPWFSEEDLAAYASLYEKSGLHFPLQIPYRSRRSSEDFHLTDPKISAPSLLIMEGTHFVQEQFPEQVNQLITSFLDKHGI >ONI17394 pep chromosome:Prunus_persica_NCBIv2:G3:17503392:17509565:-1 gene:PRUPE_3G156600 transcript:ONI17394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIQHRHVQVRGVKLHVAEIGSGPKVVLFLHGFPEIWYTWRHQMVAVANKGHRAIAIDFRGYGLSEQPAEPEKATFKDLIDDVVALLDSLSIDKAFLVGKDFGALPAYLVAALHPERVSGVITLGIPFMLPGPSAVQNHLLPEGFYISRWQEPVGRAEADFGRFDVKTVIRNIYILFSRSEIPIAAADQEIMDLFDPATPLPPWFSEEDLSVYASLYEKSGFRYPLRVPYRTLKVDCGLTDPKVSAPSLLIVGEKDYVLKFPGIEDYIRTGAVKHFVPDLEITYMAEGNHFVHEQFPEQINQLIVSFLDKHGI >ONI17396 pep chromosome:Prunus_persica_NCBIv2:G3:17507379:17509706:-1 gene:PRUPE_3G156600 transcript:ONI17396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIQHRHVQVRGVKLHVAEIGSGPKVVLFLHGFPEIWYTWRHQMVAVANKGHRAIAIDFRGYGLSEQPAEPEKATFKDLIDDVVALLDSLSIDKAVLVGKDFGAFPAYVIPALHPQRVSGMITVGVPFMQPDASPVQFHLLPKGFYMSRLKEPGRAEADFGRFDVKTVIRNIYILFSGSELQVAADDQEIMDLVDPATPLPPWFSEEDLAAYASLYEKSGLHFPLQIPYRSRRSSEDFHLTDPKISAPSLLIMGEKDYFLKFPGVEDYLRTGAVKHFVPDLDINFIAEGTHFVQEQFPEQVNQLITSFLDKHGI >ONI16221 pep chromosome:Prunus_persica_NCBIv2:G3:6310415:6312570:-1 gene:PRUPE_3G085700 transcript:ONI16221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTHDCVASSGVKKGPWTPAEDQKLLAFVQKHGHGNWQSLPQKAGLQRCGKSCRLRWRNYLRPDIQRGNFSLLEDQTIIQLHALLGNRWSAITAHLPKRTDNEVKNYWHSRLKKRLALKGYDPVTHKPKTTIFGFANGSTDDPKTGSNLNHIAQWESARLQAEARYVRDSELHKQAYHPYYNNDISAASSILLGHNKDFGPTDQLFGQNAPQCLDILRAWESMLMSNYSKAAGGQDHVNSFGNHLAGDFEPPIISAISSNGSSLMDCFFHEPVGQCFDENYGTSITEPFATVHHKNNGACLEDFGLWEIIMQRKKTIV >ONI16760 pep chromosome:Prunus_persica_NCBIv2:G3:10625435:10627412:1 gene:PRUPE_3G120400 transcript:ONI16760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNDKVSATSHELLQAQAHVWNHIFQFINSMSLKCAVQLGIPDVIHNHGQPISLSNLISGLNVHPSKAHFIARLMRILVHSNFFAQDQQVQLPLRPNNNNNNENIVHQDLDDEAEEKTVVVYSLTPASRLLLKEGTLSTRQFLLMILDPVMTDPFHLMGTWCQMNNHGNLDHPASPFEMAHGTPFWGLVAQQPKFGSLFNEAMEADSQLIARAVVEECEGVFEGLNSLVDVGGGTGTMAKAIAKAFPNINCTVFDQPHVVANLQGTHNLDFVGGDMFEKIPPANAIFLKWILHDWSDEESVKILKKSREAILSKNEGGKVIILDINVSADNTKMDKKSIETQLMWDMLMMVELSGKERSEAEWEKLFLTAGFSHYKITHTLGLRSLIEVYP >ONI17771 pep chromosome:Prunus_persica_NCBIv2:G3:19485140:19487898:-1 gene:PRUPE_3G178300 transcript:ONI17771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGPFYASPKRVLVCLVEKEIEFETSPIDLFKGEHKDPEFLKLQPFGQVPVIQDGDYTLYESRAIIRYYAEKYKSQGTDLLGKTIEERGLVEQWLEVEASNYHPPLDNLVIQILFAPELGLPSDPKIIQESEEKLGKVLDVYEERLSKSKYLAGDFFSLADLSHLPFTYYLANSMEKEYMIKDRKHVSAWWDDISNRPSWKKVLQQFGAPF >ONI16571 pep chromosome:Prunus_persica_NCBIv2:G3:8555363:8559001:1 gene:PRUPE_3G107300 transcript:ONI16571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIETTPNDIVYRSKLPDIPIPKHLPLHSYVFQDKNHLSSKPCIINGTTGDIYSYSDVELKARRVASGLNKLGVQQGDVIMLLLPNSPEFVFAFLGASFRGAMTTSANPFFTPAEILKQAKASKAKLIITLACYYDKVKDLSSSSSAGHDDVHDIKLMCVDSPPDPSCLHFSELLQADENDMPEVDIDPNDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYYSSDDVVLCVLPLFHIYSLNSVLLCGLRAGAAILMMNKFEIVSLLGLIEKHKVSIAPIVPPIVLAIAKFPDLDKYDLSSIRVLKCGGAPLGKELEDTVRAKFPNVTLGQGYGMTEAGPVLTMSLAFAKQPFGVKPGGCGTVVRNAQIKIVDPETGASLPRNQPGEICIRGDQIMKGYLNDPESTRATIDKEGWLHTGDIGFIDDDEELFIVDRLKELIKYKGFQVAPAELEALLVTHPSVSDAAVVPMKDEAAGEVPVAFVVRSKNAQITEDEIKQFISKQVVFYKRLNRVFFIEAIPKSPSGKILRKDLRAKLATGFPN >ONI18286 pep chromosome:Prunus_persica_NCBIv2:G3:21395237:21396416:1 gene:PRUPE_3G207300 transcript:ONI18286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKREVKRDAIKKDRRLLKSFRERYPKRPFCWIASARLEEQAEEMEAAWQLIQKGCEECPNSEDVWLEACRIAIAAARSSPNPNEAGKAVIAHGLKLIPNSVKLWLEAANLEHDNSNKSRGWNTFLIPFCCGRRWLSSPLRRNRMRRTMQMQGRKAKEAYDLGLTHCPNSIQLWLSLVNLEQNLNGLTSARAVLTLARNKNPHNPQLWLAATRTELRHGNNKEADILMAKALHECPNSGILWAAYIESMILLPPSQWMAKIMDALMKCDYDPHVIAAVAKLFWHHCKVERARTWLDRAVTIAPDIGDFWALYFQFELQHGTDENQKDVLRRCIASQPKHGEKWQPIFKALHNSHQPTEAILHQVVAVLAKEESSQQARQAPQTDRL >ONI15357 pep chromosome:Prunus_persica_NCBIv2:G3:2800907:2802706:1 gene:PRUPE_3G038900 transcript:ONI15357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSATILSDPMVLPPAAETQQPQATKLIAQIEIEFVKCDCCGLSEECTPAYIQHVRERYQGHWICGLCAEAIKDEIVRSERLISTEEAMATHMNFCKKFKASGPPPDPTVHLISAMRQILRRSLDSPRVLRSTPTSPTKINGKGLKRSESCFPTLTG >ONI17819 pep chromosome:Prunus_persica_NCBIv2:G3:19635020:19637783:-1 gene:PRUPE_3G180500 transcript:ONI17819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVLQLFEVVLLLWKISTALAQSPALAKSGCQSQCGDVTIPYPFGIGANCYVDEWFSISCNETFNPPKPFLNSTKLEVLEVSTELGTVRVNFPVNSTCGDRSNANVMSMEGSPFVFSQADNRFTGVGCNNLALMTLLNGSTIGGCLSFCFSGSTGGSSTTCNGIDCCQTTIPSDLQAFNTTIENIEARENSEGCKYAFLVDQQWFESNVRVAKASAIQNRDNFPVVLEWRIDRWKYDSLVTNKSISLNNSTLCESGVFINSTSFSNQTSVVRCSCKRGYEGNPYLPEGCLDIDECADPKNSPCLQENLGPTFTCKNTEGSYECHFHSPPEKKKSAVKPVLIGIGASLGLLLLLAGAWWLYKVVKKRNNVKRREKFFKQNGGLLLHEQLSSGEVNVEKIKLFDPKELEKATDHFNVNRILGQGGQGTVYKGMLTDGRIVAVKKSKVVDEGKLRHFINEIVILSQLNHRNVVKLLGCCLETEVPLLVYEFVPNGTLFEYIHEDNEEFPLTWDMRVRIAIEVAGALFYLHSAASIPIYHRDIKSTNILLDDKYRAKVADFGTSRSISIDQTHVTTIVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTSQKPISFTRSEQGRSLATYFILSMEENRLFDIVDVRFMKEGSKDQIVAVANLAKRCLDLNGKRRPTMKEVAMELEGTQKAVKASHVEQNHEEIEYVGNQVTGPWDVASTSTSTDALPLLSSESQ >ONI18008 pep chromosome:Prunus_persica_NCBIv2:G3:20423218:20427499:-1 gene:PRUPE_3G191800 transcript:ONI18008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTILRRSPSIATVRSFAAIETLLFNHHSFLAASTPDLVLPANGALCGEARSYTVSAPKPKLGPSYGFQVRDLHAKSGPLNYRASTALSAEFAVDYSYDESSKKSGQDDGLEIAKLGISQDIVSALAKKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPILDKILKYNAKHGRGRNPLALVLAPTRELAKQVEKEFHESAPGLDTICVYGGTPISSQMRQLDYGVDVAVGTPGRVIDLINRGALNLSEVQFVVLDEADQMLQVGFQEAVEIILEKLPKKRQTLMFSATMPGWIKKLTQNYLKNPEVIDLVGESDQKLADGISLFSISSDSYGKASIIGPLITAHAKGGKCIVFTQTKRDADRLAYAMSRSYKCEALHGDINQTQRERTLAGFRDGNFNILVATDVAARGLDVPNVDLVIHYELPNNSEIFVHRSGRTGRAGKKGSAILVYTQDQSRAVRTIEQDVGCKFTELPRIAVDGKSIDMMPDMGRFNTKSSRFGQAGPGFSRPGFGRSSGYGDSSGQMGRSSGSRPGRFGSFGDSAQSGGGFSGSGSNRGGNFGGSGFGRSSGSGGFGSSDRSSGFGGFGSSDRSSGFGGFGGSDRSGGSRNFSLDRQSGFADENSSRFGTFGADDQNAGRRHF >ONI18009 pep chromosome:Prunus_persica_NCBIv2:G3:20423309:20427470:-1 gene:PRUPE_3G191800 transcript:ONI18009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTILRRSPSIATVRSFAAIETLLFNHHSFLAASTPDLVLPANGALCGEARSYTVSAPKPKLGPSYGFQVRDLHAKSGPLNYRASTALSAEFAVDYSYDESSKKSGQDDGLEIAKLGISQDIVSALAKKGITKLFPIQRAVLEPAMQGRDMIGRARTGTGKTLAFGIPILDKILKYNAKHGRGRNPLALVLAPTRELAKQVEKEFHESAPGLDTICVYGGTPISSQMRQLDYGVDVAVGTPGRVIDLINRGALNLSEVQFVVLDEADQMLQVGFQEAVEIILEKLPKKRQTLMFSATMPGWIKKLTQNYLKNPEVIDLVGESDQKLADGISLFSISSDSYGKASIIGPLITAHAKGGKCIVFTQTKRDADRLAYAMSRSYKCEALHGDINQTQRERTLAGFRDGNFNILVATDVAARGLDVPNVDLVIHYELPNNSEIFVHRSGRTGRAGKKGSAILVYTQDQSRAVRTIEQDVGCKFTELPRIAVDGKSIDMMPDMGRFNTKSSRFGQAGPGFSRPGFGRSSGYGDSSGQMGRSSGSRPGRFGSFGDSAQSGGGFSGSGSNRGGNFGGSGFGRSSGSGGFGSSDRSSGFGGFGSSDRSSGFGGFGGSDRSGGSRNFSLDRQSGFADENSSRFGTFGADDQNAGRRHF >ONI16282 pep chromosome:Prunus_persica_NCBIv2:G3:6635675:6636308:1 gene:PRUPE_3G089300 transcript:ONI16282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYTHIQAYIILFFSSSKQSKKENRETNRSQTSHHLNPSLRSLGLQLRRFPFLLHYRILFLTRTLQLLKYQLVQLSLTCRVRSALNQLKLGGLDQRLSLFFGH >ONI19276 pep chromosome:Prunus_persica_NCBIv2:G3:25092779:25096699:-1 gene:PRUPE_3G269000 transcript:ONI19276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQKKRNFQIEAFKHRVVVDPKYAEKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVTTMTYHLKEISKSIEAAQGELFLEELNRKWAEHNKALQMIRDILMYMDRTFIPSTHKTPVHELGLNLWRDVVIHSSKTQARLLDTLLELVHRERSGEVINRGLMRNIIKMLMDLGSSVYQDDFEKHFLEVSADFYRCESQEFIESCDCGNYLKKAERRLMEEMERVSHYLDARSEAKITNVVEKEMIESHMNRLVHMESSGLVNMLVDDKYDDLGRMYSLFRRVQNGLVIVRDVMTAYIRDTGKQLVTDPERLRDPVDFVQRLLDLKDKYDKVINLAFNNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRKGLRGVSEEDVEVVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMHGFYSAVGHQLGDSPTLAVQVLTTGSWPTQPSATCNLPAEILWVCEKFKSYYLGTHTGRRLSWQTNMGTADLKTTFGKGQKHELNVSTYQMCVLMLFNNTDRLTYKEIEQATEIPASDLKRCLQSLACVKGKNVLRKEPMSKDIAEDDAFFFNDKFTSKFFKVKIGTVVAQRESEPENQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIVAEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKTDRKLYRYLA >ONI18798 pep chromosome:Prunus_persica_NCBIv2:G3:23394974:23395918:-1 gene:PRUPE_3G240000 transcript:ONI18798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLNESTSEAANSNKLPSSRYKGVVPQPNGRWGAQIYEKHQRVWLGTFNAEEEAAKAYDIASIKFRGLETITNFNQNQLKPYMDGDHETLFLQSHSKAEIVDMLRKHSYTNELEMYKLKLFNAGDDDAARKRIKCHVNLMEGYDHQREWLFEKVATPSDVGRLNRMVIPKQHAEKHFPLHLSEELCKGVLLNFEDREGKVWRLRYCYWSSSQSYVLTKGWTRFVKEKKLKAGDVVRFERSRGEEKKMFIDCRPRNVEVSGLGDMASRVEVEEPLSRVQEDDGVVRLFGVNIVETCSCIVDNNMCWRLGARISS >ONI19139 pep chromosome:Prunus_persica_NCBIv2:G3:24730300:24735523:-1 gene:PRUPE_3G261200 transcript:ONI19139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEVQSKMYLPGYYSVQKLSSNVGHGSWSLLHENKNLKNEQQYELFLTRPIMDGFHGRDKEQMRQTILKHESVFRHQLSELHRLYKRQKDLMNEIKSKELLKHQKVAGTLPSTFSSSGFPNEDDRNSRHNSNLPFVDSSFGRPCTSSTGISQSPCNSIGKTLQTSGGSSESRVRMKDHESLDSRGKKPRRRLFNLELPADEYISDGEEPEGVFMGSGTENYPPNRKNEVTQARDDILSNHIGETSGYNTGLHIERSSGLTDLNEPIQIDKVSASTSVFKYGNDFSSKEEIERQVLSANAYKGVWPFAKKFPENPQMEKDGRVSNLHLKNERHQKEWSTYALKAEQTRSFSGGRFGLQDFNKPCESSETEARIVCEPTKFFSSDQNKMEKQRKRTIFGIEIFERDINSSAKPLQSDVTISESSPNWTKPPISLSQNLISAQGNTFLNTSQSDKASIMLQQSTEVIGEILLVDCNSIPSTPSLKAEVSHQNGVCFRAKSDTNELQASHPSISLAFPNGDGNRNFANQSDLRKGPNQSPVEDCSSLKRGHDTENWRVEIGDWMKTRKIALPMFDKVSYPCSSSKSGCLASVVDNGYTVRIESAKGNVVQDPVSHMCTVQLKADGPVLEKRLFNANADSRHQIDLNRCFTEEETEMTAASLIMRTETVIDLEAPVIIESDIDAEDSMQSKCKEPLDLPHEGLLRVAAEALVAISSSQGHDMQDSAAHHLQESATCHETDASENDSLLWFAELIYSHEGNIDNGKVAEVKGTACDEDDVMDFFEHMTLNLVETKVDKHFYVPPNQENPKKEVSLPKRPRRGQARRGRQRKDFQRDVLPGLASLSRNEVTEDLQLIEGLIRETGGSWQSSLTLRNAGRSGKGRGRKRVGTSAPSTSVAAVSQPQIEEPKCEELQGLEERSLTGWGKRTRRPPRQRYSSPVPKR >ONI15991 pep chromosome:Prunus_persica_NCBIv2:G3:5242934:5244026:-1 gene:PRUPE_3G072900 transcript:ONI15991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMDKKELRSLAVAYLVLGMMLVGQSTASFESCYGSCFILCVIIPNNTVGSCTLECLKDCIIPNPPLGQSNIQNHNQYDFCKLGCASTLCSNFSTKQNPEVEKVSGCVDSCSGRCKIKITSPPKKNL >ONI18877 pep chromosome:Prunus_persica_NCBIv2:G3:23700379:23701810:1 gene:PRUPE_3G245300 transcript:ONI18877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSANSTSAATGQDAVLRNWKSPIPYLFGGLALMLGLVAVALLILACSFHKTPSSNSSTSSDADQDQKPTRPVDIEAADSEPKIVVIMAGEKTATYLAKPMCSNTYQTDQSV >ONI19242 pep chromosome:Prunus_persica_NCBIv2:G3:24977388:24980344:1 gene:PRUPE_3G266900 transcript:ONI19242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPALSLSVSLSPLCKSQDISKETHLPKNPNRENPCQSTHSNFKFSRRSLLNSTTLDLVGAGALSVSKPARAEPKPEPESPVASTSSRMSYSRFLQYLDEDAVKKVDLFENGTVAIAEIFNPTLDKIQRVKVQLPGLPQELLRKMKEKNVDFSAHPMEINWLPAVLDLLGNFAFPLILLGTLLFRASSTNTPGGPNLPFGLGRSKAKFQMEPNTGVTFDDVAGVDEAKQDFQEIVEFLKTPEKFSAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANSPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGNSGVIVIAATNRPEILDSALLRPGRFDRQVTVGLPDIRGREEILKVHSNNKRLDKDVSLSVIAMRTPGFSGADLANLMNEAAILAGRRGKNKITMKEIDDSIDRIVAGMEGTKMTDGKSKVLVAYHEVGHAICATLTPGHDPVQKVSLIPRGQARGLTWFIPDEDPALISKQQLFARIVGGLGGRASEEVIFGEPEITTGAAGDLQQITQIARRMVTMFGMSEIGPWALTDPATQSSDVVLRLLARNNMSEKLAEDIDLSVRHIIESAYELAKNHVRNNREAMDKLVEVLLEKEILTGDEFRAILSEFTDISVAKLDRKSVREMIEA >ONI15708 pep chromosome:Prunus_persica_NCBIv2:G3:4035612:4038256:1 gene:PRUPE_3G056800 transcript:ONI15708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRRHLRLLGLTKPTRSTTSVPTMRRHYATKYTAKITSTSPTGLSVSAEVTPPPPLPTDIRGYALPRRDLICKATQILLRQSPSATSSDPFSDLSDYLSSLSLHLTSSEASEILKSLNCPDLAIRFFRFCPSLSPNFHHDPFTCNRLLLILSKSTSPARFDLARSILRDMDRSNIRGNISTVNILIGLFGDTEDLQTCIGLVKKWCLNMNCYTYKCLLQAFLRSYESTKAFDTYLEMRRRGYKLDIFGYNMLLDALAKDEKVERAYKVFDDMKKKHCEPDEFTYTIMIRMSGKLGKGDESLGLFQEMITRGCSPNMIVYNTMIQALARSKMVEKAIIVFSKMVENNCRPNEFTYSVILNVLVAEGQLGRFDKVVEMSKKYMTKSIYAYLVRTLSKLGHAGEAHRLFCNMWSFHDRGDRDAYMSMLESLCSAGKTAEAIDMLSNIHEKGVTTDTMMYNTVLSALGRLKQVSHLSELYEKMKLDGPEPDIFTYNILISSYGRAGKVDEAVTIFEQLENSDCKPDIISYNSLINCLGKNGDVDEAHMRFKEMQEKGFSPDVVTYSTLIECFGKTDRVEMACRLFEDMLAQGCYPNIVTYNILLDCLERCGRTAEAVDLYAKLKQQGLTPDSITYAVLERLQSGSHRRVRVRRQSPITGWVVSPLR >ONI16284 pep chromosome:Prunus_persica_NCBIv2:G3:6641322:6644718:-1 gene:PRUPE_3G089500 transcript:ONI16284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVATTASATPTAPGVSSLRYSSGSGHRRIRPAKKVTGYRKFAIHYPNCFSSTRVKWSLLIRALDPQRGGGEEEEESSGIANTTSAPNSQDDLEYLGKVVAGSIVAAAVIKYGSIVFPEITRPNIVQALIMILTPVIVAILLLIKQSRAEGRS >ONI19793 pep chromosome:Prunus_persica_NCBIv2:G3:26427909:26432388:1 gene:PRUPE_3G298500 transcript:ONI19793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEGRQHGMFRPYRVLPDPLNLRPGSRQVNKFDSLSTAGLFTKVATKPTNHSKFTGKCGKPRCTKCHIHPASKAKDKTKGSHKLKSSDVISNHRLVKFSGSSATGILDHLSTSHYDNNDDDDEEDDEINGYASECEWSL >ONI17864 pep chromosome:Prunus_persica_NCBIv2:G3:19890413:19891592:-1 gene:PRUPE_3G183600 transcript:ONI17864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEYTSPAIAAAMSNLIPCITFIIAVVCRMEKFDITKLGTQAKIGGTLISFAGAALMTLYKGIAVISMHTQASHQSATSKSSVDRDWIKGSLFLLVSYISSSAFYILQTITIEMYPAPITLTSITCLSGTLLTAIMAAILDHEASSWKLSWDSTLLAPLYSGVLIFGITIYVQTLVVKTRGPVFMTAFRPLSTIVAAIMGLFVLREAIYLGSILGASLIIVGLSTTLWGENKEKEKNPLKDAISQEVCEIQLEN >ONI17863 pep chromosome:Prunus_persica_NCBIv2:G3:19890340:19892321:-1 gene:PRUPE_3G183600 transcript:ONI17863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEMQTEEQDDRAKGGSSKREVSKPYILCIFANICFAGFPVVIKVSLDKGMSCYVLVVYGHAFGTLATALLALLFERKNESKISVPIIRNVFFLGLLGGVLGRTLNYMGLEYTSPAIAAAMSNLIPCITFIIAVVCRMEKFDITKLGTQAKIGGTLISFAGAALMTLYKGIAVISMHTQASHQSATSKSSVDRDWIKGSLFLLVSYISSSAFYILQTITIEMYPAPITLTSITCLSGTLLTAIMAAILDHEASSWKLSWDSTLLAPLYSGVLIFGITIYVQTLVVKTRGPVFMTAFRPLSTIVAAIMGLFVLREAIYLGSILGASLIIVGLSTTLWGENKEKEKNPLKDAISQEVCEIQLEN >ONI17830 pep chromosome:Prunus_persica_NCBIv2:G3:19721382:19725484:-1 gene:PRUPE_3G181400 transcript:ONI17830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQAVHMMILLLIVLVLLIIYACAAAAAQEVYYPNAKPFCKEKCGGVLIPYPFGIGHKDCYLDDWFEIECSNQSSKTTSSAHKPVLKRAQLEVLSISIEGTLRVNSPVTFFCNGNGSSEMANLMGSPFVYSQDKNRFTAVSCGFLAMMESAEFVVGGCRSVCDYGSYNASCDIGINCCQTTIPPYLIMIGASILYKGETRKADCDDYAFLVDKDWFQRSSYIYDVKIAGGVIGLLFLLIGARWSHKVIKKRKDIKRKQKFLKQNGGLLLEKQLSSGEVNVEKIKVFSSKDLDKATDKFSIDRILGQGGQSTVYKGMLSDGRIVAVKKSKIVAGGEVGQFINEIVILSQIIHRNVVKLLGCCLETEVPLLVYQFIPNGTLSQYIHHPNEEFCLTWEMLLRIAIEVAGALSYLHSAASFPIYPRDIKSSNILLDEKYRAKVADFGTSRSVSIDQTHLTTLVYGKFGYLDPEYFRSSQFTEKSDVYSFGVALAELLTGQKPVSLMRSQESRSLATYFLLSMENNLLFDILDSQVMKDGRKEKITAVANLAVRCLNLNGRNRTSISGTHDEDEQNLQNLGAVAYILHHEVHHEEKHSSRQVV >ONI16089 pep chromosome:Prunus_persica_NCBIv2:G3:5799044:5799934:1 gene:PRUPE_3G078300 transcript:ONI16089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLTSFRPVSIRPNASGSQRPDPNRLKPSSSSWWTPLFGWSSDPAEYITADPALNFRNNDLVSGSDPARPGSRFGLGCFTEEKAKQLRKKTLETSAFHDIMYHSAIASRLASDLSDQ >ONI16120 pep chromosome:Prunus_persica_NCBIv2:G3:5852985:5853977:-1 gene:PRUPE_3G079200 transcript:ONI16120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHHTNLVSLIGYCDEVANGNLQHHIFSADITKKVLTWKERLQIVIHPQANKITRLLIFSGLDYPHTGCKLPIVHRDLKTSNILDYLHTA >ONI18807 pep chromosome:Prunus_persica_NCBIv2:G3:23438315:23438854:1 gene:PRUPE_3G240700 transcript:ONI18807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAILTLLPLKEQKQVQSSETKLHGIAIGLVDDDHYVQVHLSPDHPIQPLSRMWHEQSDICNVTHLYSRYQECVDAFQQLQGVENVATTDTVILMTNENAPTTELRL >ONI15270 pep chromosome:Prunus_persica_NCBIv2:G3:2522800:2530577:1 gene:PRUPE_3G034500 transcript:ONI15270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSETELPNRVDPEQPNSCFLQKFKLYETRSNFYMIGRDKSRTFWRVLKIDRLDPSELNILEDPATYSDDECYDLLKRIHEGNKSMGGLKFITICYGIVGFVKFLGPYYMLIITKRRKIGAICGHTIYAISKSEMIPVPNPAVRSKLANSKNENRYKKLLCTVDLTKDFFFSYSYHVMSSLQKNLCDNKTGPILYEKMFVWNEYLTRGIRNSLKNTLWTVALVYGFFKQVNLSVSGRDFKLTLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQIVIEDVAEGSPVQISSIVQNRGSIPLFWSQETSRLNIKPDIILSRKDHNYEATRLHFENLVQRYGDPIIILNLIKKCEKKPRETILRAEFANAITYINKSLTDASRLRFLHWDLHKHARGKATNVLTDLGRVATFALGLTGIFYCQVTPNLGPEGLLKGSFFEKNDDSGKCLQHSCSRKYEDDDSLETEISNGSDDASRNYVRSPMFQKGVLRTNCIDCLDRTNVAQYAYGLVGLGHQLHALGITESPDIDLDNPLAEDLMRVYEAMGDTLALQYGGSAAHNKIFSETRGQWKAATQSQEFFRTLQRFYSNAYMDAEKQDAINVFLGHYQPLQGKPALWELDSDQHYNVGRRNDNVRSFIKRSLSDGHMLSESDSPIASTDVGHNQPLSEISQGGSKGISESAPAISTCESEISYCRFTSPMPCKQLFSGMQEDQCLQSDNIFYDEHDYDCNFSNFLNSDWLSSSGNSCEEETCGRSFASVSSENLVNGPKVETSTSVSESGSTKKGKEQCESELSDDNGILEYSKSFMNWVDNGELLFL >ONI16827 pep chromosome:Prunus_persica_NCBIv2:G3:11221499:11226321:-1 gene:PRUPE_3G124300 transcript:ONI16827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLKLKPMLSPTLQRSLCSHVPFLFCLKINSFSSSSSSSSSLQCSPWTGLQTWRESPLNENRCWGPKGPKPQPLQSQPSSLNSDDCPMVSSVSSLAELGALVLSTSDPLAKSKLSHLAFSRWRLENLPIGSCEPPPRPARPLKPQLVSPKEIPAPKNSGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSPFSETLGEGFFADFSHVADDESRHFAWCSQRLAELGFNYGDMPAHNLLWRECEKSSENVAARLAVIPLVQEARGLDAGPRLVQKLVGFGDLRTSDIVARIADEEVAHVAVGVHWFVDVCQKMDCTPSSAFKDLLKEYNVELRGPFNYSARDEAGIPRDWYDLPTNDQDKNKKKDKTGKLTEVYDRLASIISIESENSSLNRP >ONI14940 pep chromosome:Prunus_persica_NCBIv2:G3:1211185:1213856:1 gene:PRUPE_3G017000 transcript:ONI14940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATAIADATSRRNYSNQFYSVLDPSMLVNHRKVLNHSHCSMRFASSGGYSVLHSSGLQHWFKNWQGLRKHKLTASTFAAAIGFFHRRRLQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYKLITGNSVLFPEFQVYGNRNAGDDWLGASPDGVVDRLVYGLPSRGVLEIKCPFFDGNMKKATPWSRIPLYCVPQAQGLMEILDRDWMDFYVWTPKGSSLFRVYRDAEYWDGLKMVLSDFWWNHVQPAREICSKSQITDPLLELRSLKPAPRHEMCSYIVYESKRIVDSSKLLMREINGKLIN >ONI20005 pep chromosome:Prunus_persica_NCBIv2:G3:26932417:26933425:1 gene:PRUPE_3G309600 transcript:ONI20005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQTESWGSYMPTRSSMGGDPLERIERMASENAVVIFSVSSCCMCHAIKRLFCGMGVNPTVYELDEDPRGKDLERALMRLLGTSSAVPVVFIGGKLVGAMDRVMASHINGTLVPLLKEAGALWL >ONI15913 pep chromosome:Prunus_persica_NCBIv2:G3:4933888:4935682:-1 gene:PRUPE_3G068500 transcript:ONI15913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFKSNKKPCRRTPIDSFSCGGWTSLPVLVFLILDKLLEPIDHVRFAAVCKHCRSMSELYNHATQRWRNKQLPPMLLIPKQDDNYRRVVYSISEGRIYNNIRLQVPFSRRCCGSSHGWFATVDVEQRLPVVVLRNPFTKAKPIFLPPLKTIISHDDPPYHEHYVRKVILSADPTMNPENYVVVALYGRGFMLAFIKAGQNNWTYPIPTGEFDDVIFYKSKVYALETYGEIESLDVFSSDSPRLKLRTPYKPFPCCKYLHAYLVESTKGDLLHILRFYASRNNRFRWQTTHFIVYKWVYEDGGSIGHKVEVKSIGDEALFVGSNHSISVLASKFPECQPNSIYYTDDYFSNWPTLHSDEPFDMGIFNLEDGTITQHYSPHSNSRRAIWVVPPFNGL >ONI19921 pep chromosome:Prunus_persica_NCBIv2:G3:26742065:26743372:-1 gene:PRUPE_3G305100 transcript:ONI19921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLCNLFSFLNPSKLPSQPKTPPTQLPMLQTQKTRDGVAPLMAQGGPSAYGPSSSSVESVICPSLAYANTLFFKSAYNVQVIVDDNEPEERLLNRFRREVMRAGVIQETKRRRYFENKQDEKKRRTRDAAKRNKRSRRPFSRPFQQKPEVPETKKSDDDGDNWDLPQGDIPY >ONI18432 pep chromosome:Prunus_persica_NCBIv2:G3:21828644:21829878:-1 gene:PRUPE_3G215500 transcript:ONI18432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLIPNLSDFSFSLLLVLAMAPFLAQSSELKETQLVLYFQDNVSAGPNATSLPVAGIAGKLWTFTQFGTVYVTDDPLTAGPEPNSASIGRAQGITVAAALNGRNALVLVSLVFTNQEYNGSTLELQGNSKQFEQVREVAVVSGTGKFRFARGYATFETYLVDPATSYSVERCNVTVQHY >ONI18985 pep chromosome:Prunus_persica_NCBIv2:G3:24148270:24151818:1 gene:PRUPE_3G251400 transcript:ONI18985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTYIWSQKLDLEKSLMMTIWPHLCKRYSTQRSTLITYPCNSPPLYFSLLITQASNRHVDTIPMMKPILSKPLYFSLFVHLIIVTVFAASDPQPVYSPVEDITVNCGYSGDKIGEDDGRNWIGDINSKFSPFEHQAVGNKSESKEAPHSYTFKPVPYTTVRLSRSEFTYSFKLNSGQKFIRLYFNPVSYGPDFDQSKALFSVKAGNFTLLHDFNASVTAEAFNFTKIIYREFCLNIDEEKSLNITFTPSRTIPDAYSFINGIEIVSMPTNLYYSPLEMESARQIKTVGSGTNFRIENSTALQMAYRINIGGKSLLFKEDTGMYRNWDDGEMEQYYLEDLSVTRSVRPQNVSTQLNFSEIPAYTAPEEVYRTGRSMGRNDTLNKSYNLTWRFPLDSKFYYLVRLHFCEFQHAISQTYDRTFAIYMDNQTAETNADIIQWSHGNDRPVYKDYVVFIPEGHEYLFLALRANPNDQTTTYSDALLNGLEIFKLDSQGNLAGPNPVPPPMILPKGPSKPNSNNKKSRPPMLAIVGGVVISSTLAALCALGFLVFRQRRKAKESDRSSHGKTNSTNTRDSSLPSELCHRFSLAEIKAGTQNFKDICIIGRGGFGNVYKGYIDDGGTPVAVKRLKPESSQGALEFKTEIEMLSQLRHRHLVPLIGYCMDEGEMILVYEYMAHGTLRDHLYHTDNPTLSWEERLQICIGAARGLHYLHTGAKYTIIHRDVKSTNILLDEKWVAKVSDFGLSKMGTITMSKTHISTMVKGSFGYLDPEYYRRQQLTVKSDVYSFGVVLCEVLCARPALIRTVEKKQMSLAEWAKNCHRNGALEQIIDPSLKGKIETHCFNKFAEIAMSCLHDNGIERPSMNDVLSGLEYALELQRSADRDIINNTNTGRKKEDEVALTKNMQGFSSSEKTCATSESITGMSGTLFSKINDSEGR >ONI17842 pep chromosome:Prunus_persica_NCBIv2:G3:19835044:19838462:-1 gene:PRUPE_3G182600 transcript:ONI17842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLLHMTILLLWRISTQSAEPDQLGPIAKPSCETHCGVVSIPYPFGIGPSSSCYMYDWFEIHCDNSTSPHNPFLRVAKLEVLNISIEGTLLVKNPVTFFFYRRKTPLAPNLTGSPFLYSQKYNRFTSVSCGFFALVRSYRDERVVGGCMSTCDKSAETAHNHGCIGINCCQTTLPQYLSVIETQIKSESEESSTSGNDRMLTRYNYAFLVEQDWFRNNLSNFRDVKDMDSVPVVLEWRLSLENMNSSLIELFKRFITNTSERHLSLIGFSQNSMPYCRIYNVTSSTYQCHGQ >ONI19413 pep chromosome:Prunus_persica_NCBIv2:G3:25530537:25537419:1 gene:PRUPE_3G278400 transcript:ONI19413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLTHLPASPFPPKILTDQSLSPFFSFPKTLPSQNPKPSKFAIRSSTTGTKTLAPKSAIQRIAEKLRSLGFTENNEKPQPQPDTKYAGEIFVPLPQRLPKYRVGHTLDSSWSTPENPVPEPGTGRAIARFHELRREVKKQKELEKTGKKEERVPTLAELSLGKGELRRLTTVGIGLRKKLKIGKAGITEGIVNGIHENWRRSEVVKIVCEDLCRMNMKRTHDMLERKTGGLVVWRSGSKIVLYRGVNYKYPYFLRDKVDEDSTIDTSHNALPDAHINDGINEISNEVNSAIIPSTTNERAQPMLVKGVGLQDRVRFQLPGEAQLTEEADHMLEGLGPRFTDWWGYEPLPVDADLLPAIVPGYRKPFRLLPYGLKPKLTDDEMTTIRRLGRPLPCHFALGRNRNLQGLASSIVKLWEKCEIAKIAVKRGVQNTNTEIMAEELKRLTGGTLLARDREFIVLYRGKDFLPPAVSSAIEERRKYAIHAEKQIAEHGTSVTTRQELEPRTEPENKHEWTNDHKMGLPSAKRKLKSAEVVVNRTSIKLSMALEKKAKAEKLLAELENAAIPQQPEIDKEGITKEERYMLRKVGLRMKPFLLMGRRGVFDGTIENMHLHWKYRELVKIICNEKSIEAVQQVAQTLEAESGGILVAVERVSKGYAIIVYRGKNYSRPASLRPQTLLNKREAMKRSIEAQRRESLKLHVLRLNENIDELKLLLVKDKEADNLQSVGESRNELARDKQEAHVTPVNLNDGMGAVVNGQLATQQDEVISFSSTWDEDEIGKFKPGSSNESVTNEEDEIGKVEPGPSNESVTNETPANFLKDTNGEVGDFVSTCFPEDVMGRGKQEAHVTPMKLNDGMGTIVNGQLATQQDKVITFSSICCEDENGEVEPISSSEPVTNETHANLFRDVNGEEGVSISTSFPEDAMSNGLNSSATVDKQHRVSEIEAKDSSGRFGNSESEPSVPVMVRKDFNERPLKSVHLSNGERLLLRKQALKMKKRPVLAVGRNNIVSGVAKTIKAHFEKHPLAIVNVKGRAKGTSVREVVLKLEQATGGVLVSQEPSKVILYRGWGAAGDNDRKASNTRKKVSTQGAVSPELLAAIRLECGFKSPGKEDATPTAQVGI >ONI15334 pep chromosome:Prunus_persica_NCBIv2:G3:2732646:2733608:-1 gene:PRUPE_3G037800 transcript:ONI15334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVNFAQTCNLLSQYLKEKRSLQVPTTMDLLTNMETGPAAETPSSKPSIDLFPQFAKNPEAVFSNQPGSAQMTIFYGGQVLVFNDLQAEKAREIMNFATKGSSKISSGFVSNGIDKFGSASVTKMVASEPNIAANSQDIQKVHSQVIGSDLPIARRASLHKFLAKRKERVAAIAPYQVNHQRASPSKSEEEMSSRDQVEGQCSKQLELRLY >ONI16487 pep chromosome:Prunus_persica_NCBIv2:G3:7787616:7789526:1 gene:PRUPE_3G101400 transcript:ONI16487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNVRVRESVVVKPEEETPKRALWISDLDIVISATHLGSVYFYRRPNVQNNCVLDSEVLKCSLAKALVPFYPVAGRLKLNDEGRIEMDCNAEGVLFVVAETASVLDDFGDFAPTLEFRKLVPAVDYSAGMSSYPLLVVQVTYFKCGGVSLGVGLEHRVADGFSGLHFVNTWSDIARGLDLTIPPFIDRTLLRARDPPQPAFDHTEYQPDPPIKTGTKAVGDESATVSIFRLTREQLNILKAKSKEDGNTINYTTYEMLAGHIWKCASVARELPDDQETKLHIAVDGRSRLQPPLPPGFFGNVVFSSAPIAATGDLKSEPTWYAASRIHDAVVRMDNDYLRSALDYLELQPDLLPHVRGAHTFRCPRLAITNWSRLPIYDADFGWGRPTFMGPGGIGYEGLAFVLPSATNDGSLSVVISLQSQRMKSFSKLLYEI >ONI16232 pep chromosome:Prunus_persica_NCBIv2:G3:6418629:6421107:1 gene:PRUPE_3G086800 transcript:ONI16232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSGGDINQGEHPECVSLLGRLESLEVLIIENWWSVKKVGVEFLGIDGTIETQTSSSPLILFPSLKTLEFNVLPKWEEWEGMTGWSEEEDSHKTITIMPYLSSLLIFDCGVLITLPNFLRNTPLKELVIDGNYLLYSSAPVAQGCRKGKGEWPKISHIPNIEVHKEFVQKDGVYQIDDDEMPSAAFTSCSGIKTFL >ONI16772 pep chromosome:Prunus_persica_NCBIv2:G3:10785956:10787554:-1 gene:PRUPE_3G121400 transcript:ONI16772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSVSKVKTRGPNHKMSLEDYLLLTQSHSNLHLTASDLNQILGMHGYRKIHGLNKQRLIDAVSSMSLVQPARSTLKDGISPFVITAPEDVIAALDVLNWKECCVTSIETLSSSKHAHCSPPLTSPCSDVAKHNKHQQSSPLPLNSVAYGVVSALDGASLSSGASETTHPAKESVQERKRQRSPSCGGGANSTALACVSYEAC >ONI14745 pep chromosome:Prunus_persica_NCBIv2:G3:344737:349155:1 gene:PRUPE_3G005800 transcript:ONI14745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHELAYKTDGISYIRSSNGEIYKLTHQSLVVTFITKRLSFQVQLLFAIKRCTEQKIWKVIKENKDLDLPAHEVMVVTVRCKKIANEKYDAQATYFDEGVRTRKREQLEEKLLQLVQPAFQALLGHIRSGSLDKFKGAFDKTLNGEEAFSVAACNCSESFMALFDEGCAANWDTSKVRDQLKRDIEAHIASVCAAKLSELTALYEEEFEWKKKLKGNKKNWRMPYQDRLEALLDGVNGETWPSIRNLFRRETESAVSGISSASSGFDMDEQSKGKTLTSLEAYAIVRLEDYAADNIENTLSLALMASTNAAAEDRSITTADPLASSTWQEVSSSKTLIKPVECKSLWRQFKRWSEHSVSMAVTAQVGIDSKF >ONI19656 pep chromosome:Prunus_persica_NCBIv2:G3:26025309:26025953:-1 gene:PRUPE_3G289700 transcript:ONI19656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASNWTVEFRFLITGRHWNQDIPSLTAEAAKEHPGVSSIVTAPLGLHQLLVDVLNDRINHGFSHIAGDAEECSVCVGTNKCQLH >ONI16324 pep chromosome:Prunus_persica_NCBIv2:G3:6901823:6903363:1 gene:PRUPE_3G092300 transcript:ONI16324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERQRTKKERESYQYNLEAGSSGKKLLHDSRTWGAEKAVKKPLLLGCSTSKAVVCNSEVEELNERLRILEEETETMKQELFESAQERKKLMNEIYQQFQMLEIDPRYLVAREFKSTDGNTSVNSSQDERMGTSLSDILHQDPHPSLVTRNLRANALALLM >ONI16177 pep chromosome:Prunus_persica_NCBIv2:G3:6138604:6143754:-1 gene:PRUPE_3G082700 transcript:ONI16177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHQLTCIIVCRNTAGMMLLVATFLTTSTVHASPPTPSANSYTLVLHKALMFFNAQRSGKLSDHNHVSWRGDSCLTDGKPSAAGSPTSDLVGGYYDAGNAIKFNFPASFAMTMLSWSVIEYNAKYEAAEELTHIKDVIKWGTDYFLKTFNNSADTIDMIVSQVGLGNTDGGKDTTPNDIYCWMRPEDIDYTRPVTECHACSDLAAEMAASLAAASIVFKDNRAYSKKLVHGAKTLFKFSRDSRGRYSSGSSDAALFYNSTSYWDEYVWASTWLYYATGNSSYLQLATTPALAKHATFSQAPNQYWPNHGVLSWDNKLVGAQVLLTRLRLFLNPGYPYETTLRRFHNETNTIMCSYLPAFTIFNRTRGGLIQLNRGHLRRPRPLEYVVNAAFLATVYSDYLEAADSTKPAGLHCGPNFFSVDVLRDFAKTQIDYILGKNPQKMSYVVGFGNHFPKHVHHSGASIPKKKIKYTCKEGWKWRDSLKPNPYTIVGAMVAGPDKHDGFHDVRANYNYTEPTLVGNAGLVAALVALSGDRTTDGIDKNTMFSAIPPMFPTPPPPPPSASKP >ONI16216 pep chromosome:Prunus_persica_NCBIv2:G3:6283665:6285331:1 gene:PRUPE_3G085200 transcript:ONI16216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARLALKNLHQRVLSPASFSAASVLGHGVNERTVDESRGRVGNEIVKRFSTEANEKVSGEKSENKDVAVSQGKRSRLFPRRQRRRGLWRDSDRNFVPALYEFFPSGLGNALLQATENINRLLDNLNISPWSLSGRVKEQSDSYKLQYDVPGLAKEDVKIIVHDGFLEIKGECKEEEEEGLEGWRYGYYDTILQLPDDAKVDDIKAELKDGVLTITIPRTEKPKKDVKEVNVQ >ONI18912 pep chromosome:Prunus_persica_NCBIv2:G3:23831718:23833856:1 gene:PRUPE_3G247600 transcript:ONI18912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLTSFILGLLFVMHALGHFTTAAHAHQGKEELSMTEKPVILSGHKEPNTDGVSIAGSRMIGLGGRKMAAHKVLRKLEPARPASQLNGETTSSKNSGKDSKASSNSHGKPQNILNQQADIKILEPTTLKAAANSFGIPRVLKPKHSQDSKTKQPIIRAHQKTINYDDTDDHHKLTDEARRLLKATREIVNLMHKDYHGMGRRKPPINNHEPQH >ONI19217 pep chromosome:Prunus_persica_NCBIv2:G3:24914330:24915056:1 gene:PRUPE_3G265300 transcript:ONI19217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNLSAFVIGLVGAAMTLFAYSQTLVSPNQSIAIGLLVLMFGLLIKEGFISL >ONI14792 pep chromosome:Prunus_persica_NCBIv2:G3:533849:534936:-1 gene:PRUPE_3G008700 transcript:ONI14792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMNNPLLVSLDLKGMVLKNEGKSYTKWNKVNMIITRESGGVVKNKRTTKRRRILKKRGTLKRLQQSARMRSNGIQRRVKTLKRLIPKTDSSTGLDGLFRETVDYILSLQSRVTLLQIMVQALAPGSHHDE >ONI18396 pep chromosome:Prunus_persica_NCBIv2:G3:21718024:21720295:1 gene:PRUPE_3G213200 transcript:ONI18396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMGAQAEEYQTLHMDEVLSSEAEKSTTLTDEEAQPNLEEVEKIIGYSFTNKVLLKEAFTHSSFLSTERSASSYERLEYVGDAVLNLLFSREQYNLYPDLPPGRLTRLRAANVDTEKLARVAIKHGLHRYLRHKKPFLEEQIREFTRAISHYPLHSNGLIDAPKNLADIVESTIGAVFMDCNSIDTVWEAFKDLLEPIINPGTIKTHPVTQLYEICQKNNLKLRFVNLWEESHTVEVFINNEIVGRGTYSLKKEVAQNRAAKDALDNIWKVLGEEKENAQDQDQEANCQSGELPEMKNLNV >ONI18402 pep chromosome:Prunus_persica_NCBIv2:G3:21737443:21740027:1 gene:PRUPE_3G213600 transcript:ONI18402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKRASSPMRQLLRLVTGLIIIVSIFLCLLAFLRVLHFQSKLPPSFFTSQRSHAFIHEDQFKGPPKVAFLFLVHRDLPLYFLWDTFFKNGDVAKFSIYIRSEPGFAFDESTTSSAFFYGRQLNNSIQVVWGESSMIEAERLLLEEALYDPANQIFALLSDSCVPLYNFSYIYNYVMSSPRSFVDSFIDVKGFRYDPKMSPTMPEKRWRKGSQWITLVRRHAEIVVDDHTVFPVFRKFCMRRPPIDLRLKRQLLTVPKYRNCIPDEHYVQTLLASTIPWKRRSWHPITFDYADASPWKMREIKDIDHVYYEYEQHTEFCHTGSKIASCYLFARKFTVGAAMRILREGLIGPYDVTAIPNM >ONI16219 pep chromosome:Prunus_persica_NCBIv2:G3:6298814:6301105:1 gene:PRUPE_3G085500 transcript:ONI16219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSAPIFNSWLSHSKDSSPEPEPLLTRTRSVSLSSSSSFHSPSIDPTKKTTTQVLLEADIPSQPKPKEKNPIPLSLGKKSKTKAKNVEEQEEELKPSSISSSATQRLFSSSGLGEKLMDDEDSAVGKEDYGLQTLVLGGGAGSNGGKICGGGSSGKGSDGGDGGWSGFFESNNHGSGSTDAYYQKMIQADPGNGLLLGNYAKFLKEVRGDYAKAEEYCGRAILANPNDANVLSLYADIIWKTQKDAERAENYYDQAVKTSPDDCFVLASYAEFLWDAEEEEEEDEENQGVKHETERSHTSSSNFFHGAPHHSPLTTAS >ONI17872 pep chromosome:Prunus_persica_NCBIv2:G3:19940849:19942097:1 gene:PRUPE_3G184300 transcript:ONI17872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKEAASLTSLKQQEQEEEEERERFIVLSSTCKVVEYLQPVMSKELLCKFPDNSAFDFDYTQSSIWSPLVPRAHAPMDLDLDFDFMTPRKLNFEMGLELESQSSIKKVSSSIKKKISTAGFNISRSALKNKKKKKKKKKSKMALASDFSPTPVKVHCNPLASKVWNKVLKAASKHFKKKKRDPMDHVRLSNYLRDGNI >ONI19635 pep chromosome:Prunus_persica_NCBIv2:G3:25981760:25982565:-1 gene:PRUPE_3G288700 transcript:ONI19635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSIVKEIIYGATIGLSVGFLWKMYHWNLQRRTKEFYELLDRGEISTVVEDD >ONI17369 pep chromosome:Prunus_persica_NCBIv2:G3:17110774:17115921:1 gene:PRUPE_3G154700 transcript:ONI17369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEETELPSQEMEAHHRTQDFEETDGDADNLRLYQQLYSYATRGNTNRFNDTIANELHDPNARVQLLSRRSPQNNTFVHIAVSSGHVELATKILQEHKPLLLEKNFEGDTALHIAAKAEDIDTTTNTLLREARGTTNVENNADVLTLLRMKNNEENTALHEALIQGHQLVAKCLIEADPAVSLYTNKEQKSPLYLAAEQGLVEIVKLIKEKAVEKDTEIQGKSPLFAAILGRQKKEVLKIISNMEANILNSEDEKGRTPLHCAASIGYLEGVRFLGRRLKDSHQKDHYGNFPIHCASSKGHVHIVKELLRHCPDSMELRNSSDQNILHVAARCGEENLVKYFLKKVEFQMLINQKDNRGNTPLHLAKMYDHLKVVHLFILDRRINFKVLNDRGMTALGISESTLETSASYHEVSLCYIYFYHIIDDHDFWLS >ONI17210 pep chromosome:Prunus_persica_NCBIv2:G3:15893233:15894049:-1 gene:PRUPE_3G145500 transcript:ONI17210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLVLHSLLPFLLITCFISINLQLCLCADDPQYVNCSQAINCRDIKNVSYPFWGVNRQSNIPMITTKNIKFRILEMNSSTHTVTVADRLSGTPASWTVITQDCPAYKRNISVSYATGSTVIDLMNCESVVHVPVFDTAAKDLENNEKDINDVLELGLKTDNDQCSRCEGSGGKCGCNSTDSRFICFCQNKAHATTCSKNFESGMHVKLSQIF >ONI15252 pep chromosome:Prunus_persica_NCBIv2:G3:2417580:2419799:1 gene:PRUPE_3G032700 transcript:ONI15252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANISFQVSVFAFCTSISLLLMATQSQGHLGFDKHVPLFFFGDSYFDAGNNNYINTTLRANYWPYGETFFKYPTGRFSDGRLISDFIAEYAELPFIPPYLQPGSHQFIYGANFASAGAGALVETSQGLVIDLHSQLSYFQRVKRLLRQKLGDEEAKALLSRAVYLFSVGGNDYIFPFETNSSVLRSYSHEEFVGLVIANITAVIKEIYREGGRNFGFLGLDPLGCLPYSRAIVYVRGESGACFEGITPYVKLHNKAISKLLQKLEIELKGFRYSLSQIYEFLIERINHPSKYGFEEGKVACCGSGPYGGIYSCGGKREVKEYDLCHNASEYVFFDSGHPTERVFQQFAKQFWRGTSNSTTSYNLKALFETQY >ONI19033 pep chromosome:Prunus_persica_NCBIv2:G3:24381229:24387767:-1 gene:PRUPE_3G255200 transcript:ONI19033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDSGARVLSDVPNDASQTRQRKKRKWDQPAASLVSAGLAVPGAFPLGNAGLLDGITVPGVTPVTGAHLMNPLEASRPTIPQLYQVPLIPQQTATVIQKLIQPKIQDELIAREIVINDAESLVRYKLTKRQTQEEIQRCTGAVVITRGKYRPPNASTDGEKPLYLHISAGANLKETAERILAVDRAAAMVEEMLKQGQNPTMSNGLTAPNTCVYLGFDADPSWSIAARIRGPNDQYINHIMNETGATVSLRGRGSGNLESVNGEEGQLPLHLFLSSNNSKSLEDAKLLAENLLDTVSVECGVSRVSSSKVYSAVPPPQQVYSAVPPPHQLLAGVQSSGSEVKTITSLSPPTVGATPAPAVSSVGTPVVATVFSQGAISQPGGLLNSLQSHTNIGGYPQPVPLLSNGTSYNGYGGIYPQVTPLQQVALALRQSSPISSTVAPTTSAPSTEPKLNVNSTSGSEKEKRSPQSQRRKFQELPIGTAKVHQELEMSKRNELLADLGVRNVSTMPAPKKLVQPASNGMPPPLPRTMPPPPPKFTSSAHVLAKTKSDDVPDTLVKLMEYGEDDDDSEETNEELPTSNSGAVTARKPFWAL >ONI19034 pep chromosome:Prunus_persica_NCBIv2:G3:24383024:24387636:-1 gene:PRUPE_3G255200 transcript:ONI19034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDSGARVLSDVPNDASQTRQRKKRKWDQPAASLVSAGLAVPGAFPLGNAGLLDGITVPGVTPVTGAHLMNPLEASRPTIPQLYQVPLIPQQTATVIQKLIQPKIQDELIAREIVINDAESLVRYKLTKRQTQEEIQRCTGAVVITRGKYRPPNASTDGEKPLYLHISAGANLKETAERILAVDRAAAMVEEMLKQGQNPTMSNGLTAPNTCVYLGFDADPSWSIAARIRGPNDQYINHIMNETGATVSLRGRGSGNLESVNGEEGQLPLHLFLSSNNSKSLEDAKLLAENLLDTVSVECGVSRVSSSKVYSAVPPPQQVYSAVPPPHQLLAGVQSSGSEVKTITSLSPPTVGATPAPAVSSVGTPVVATVFSQGAISQPGGLLNSLQSHTNIGGYPQPVPLLSNGTSYNGYGGIYPQVTPLQQVALALRQSSPISSTVAPTTSAPSTEPKLNVNSTSGSEKEKRSPQSQRRKFQELPIGTAKVHQVFSLTFYVANMAILDLSIGPLVCIFHRVFNLGGAIGVHLWLWRYIPHAT >ONI17242 pep chromosome:Prunus_persica_NCBIv2:G3:16206517:16207730:1 gene:PRUPE_3G148100 transcript:ONI17242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEKTKTKNLICMLYSFTRLACQLREKGERSGRGEKGQHHPFLLFHITGGPPPQLNNVGSLTIVQKRRLRSCEER >ONI19241 pep chromosome:Prunus_persica_NCBIv2:G3:24975890:24976798:-1 gene:PRUPE_3G266800 transcript:ONI19241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKSDGVGIKIYNNAVYGDPHEDESAKSPKPPSSSSHSLPLVRLNPDHIQGRKRRAVAKGVQKTLSKTSMLANFLPTGTLLTFEMVLPAIYRSGECTRVTNMMTHVLLGLCAMSCFFFHFTDSFRGPDGKLFYGFVTPKGLAVFKPGLPVEVPKDERYKLGLTDFVHAAMSVMVFAAIAFSDRRVTDCLFPGHEKEMDEVMESFPLMVGIVCSGLFLVFPTTRYGIGCAAS >ONI19407 pep chromosome:Prunus_persica_NCBIv2:G3:25511958:25512740:-1 gene:PRUPE_3G277800 transcript:ONI19407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCHKGDKVQGIQDLKKYLEKFGYLNVHTNNDDYFDDELESAIKTYQINYHLKVTGTLDAKTVKKMEMPRCGVPDIINGTTSMRSGKKRGGHGSIHTVGHYAFFQGNPKWPANKYHLTYGFLQGTPSEAVGAVARAFATWQGNTHFTFSQAQSIESADLKIGFGRRDHGDGHPFDGPYGTAAHAFAPTDGRFHYDADETWVVGAVPGGLDLETVALHEIGHLLGLGHSSVPGAVMLPEVRTGFTQSLHADDIQGIKALYNT >ONI17971 pep chromosome:Prunus_persica_NCBIv2:G3:20230931:20232416:-1 gene:PRUPE_3G189000 transcript:ONI17971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELGWFFDVCIWRLQLFYIACYHKEADVVCLKCSPLSLFFSSLFAQPYVLFCCKCFIVSTAKHHQFNNWKF >ONI15085 pep chromosome:Prunus_persica_NCBIv2:G3:1830380:1832842:1 gene:PRUPE_3G025000 transcript:ONI15085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANVCHAYQILKKGGLKDENIIFFMYDDIAFNPENPRQGVIINKPKGHDVYKGVPKDYTGDDVNADNLYAVILANKSTLSGGSGKVLRSGPNDHIFIYYTDHGAAGLLGMPTGTPSVFTIDLIDVLKKKHAAKGYKSMVFYLEACESRSIFEGLLPKNGASAHPGHALAPPTSANAEEDGYATYCPGQPGIPAEYDICLGDLFSISWIEDCDKNDLRKETLEKQYERVRRRTNDSHVMQYGDMSHRKEFLLTYMGADPANDSYTSMEDISSPSISRVVNQRDADLLHLHHKFHKAPTGSHTKLEARKQLLDEIAHRKLVDYRINKKIGELLFGHQKSSKVLLNVRPHGQPLVDDWDCFKMLESPSPMRTYENNCGPLSNYGMKYARAIANMANAGVAIENMAAASDQTCSKKPDV >ONI14643 pep chromosome:Prunus_persica_NCBIv2:G3:21815:26891:1 gene:PRUPE_3G000200 transcript:ONI14643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRKMATIQQLYFATSLLLLGAISGFYPQFIAAKPVTKSKLNSRILQDSIIKQINDNPMAGWEAAMNPRFSNYTVSQFMHLLGVKPTPRKDLQSFPILTHPKSLKLPTNFDARTAWPQCNTIGRILDQGHCGSCWAFAAVEALSDRFCIHFGMNISLSVNDLLACCGFMCGDGCDGGYPIYAWRYFVHHGVVTEECDPYFDPTGCSHPGCEPAYPTPKCVKKCTDKNQLWKNSKRYSINAYRINSDSHSIMAEVYSNGPVEVAFTVYEDFAHYKSGVYRHIKGDVLGGHAVKLIGWGTTDAGEDYWLLANQWNRSWGDDGYFMIKRGTNECGIEEDVVAGLPSLKNFIREVASADAVVGDVSI >ONI16314 pep chromosome:Prunus_persica_NCBIv2:G3:6816714:6817505:1 gene:PRUPE_3G091500 transcript:ONI16314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRGKKKLKPTGIGSLTRSWIIHLEVQTQHKKLSSEVPAKVSLQVVEFKDGKTRSQPTRTYCITAQEKSFTIPNSILLTNHMGWWWLGSSH >ONI16659 pep chromosome:Prunus_persica_NCBIv2:G3:9484981:9489835:-1 gene:PRUPE_3G113500 transcript:ONI16659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQLLSPGFSEALKFQNPLPNGCSRSPWRMLVKTSSSQSLMGHGGRRRRGFGRVRVATGGSPSTDAVADDYYSVLGLLPDATPAQIKKAYYNCMKACHPDLSGDDPETTNFCMFINEVYEVLTDPVQRMVYDEIHGYALTAVNPFLDDSITRDHAFVDEFSCIGCKNCANVAPDVFGIEEDFGRARVYSQCGNPDLVQQAIDSCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLAGMGSSADVFRMASARWQKRQSKVLEQAKMRMMNQKDSDKTESYWDNIWSNTKDYRNSEEEVKERAKRTAAAARRWREYSRRGADKPPSYKLPEAISNTDK >ONI19016 pep chromosome:Prunus_persica_NCBIv2:G3:24299752:24302960:1 gene:PRUPE_3G253700 transcript:ONI19016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSSVKVVEVCRVAPPPPPSPGAFSSPSSLPLTFFDIRWLRFGPVQRLYFYQMPTSSATPLFFDSVLITRLKTSLSNTLQHFLPLAGNLTWPQDSQKPVLSYVQGDAISLTIAESNADFYHLSSGDFVEATEYHPLVPELGASHEQAAVVALQITVFPNCGFSIGTSMHHAILDGKTSTLFVKSWAHICKHDDQSNSVLPDQLKQFYDRRVIQDPAQLGVLYSNSYRNKDGPNNRSLMFWERKPPPGSIRGTFEFTRADIETLRQLLKAKLAEQKQEDIRSVHVSTFTLACAYTWVCIVKAEEINSDQTRLAFNVDCRSRLDPPISPNYFGNCIAGRTAVAETKALFGEDGLVVAVNTISEAIKSLEKGVLDGAENWVSRLFAVTSERMLTLAGSYRFGVYETDFGWGRPKKVEIVSIDRTRAISFSDAKTDAGVVDVGLVLDKHSMQVFASLFAKGLQNP >ONI18013 pep chromosome:Prunus_persica_NCBIv2:G3:20435735:20438337:-1 gene:PRUPE_3G192100 transcript:ONI18013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHRGGGGGGSGVPNHTYNSQLLRAAALQPREEEEEKERMVGCGFDYFGDNEQDFQGPPTPTVTQSDDSVVVEGEEEEEEEEEEEEEDLSHDLQTPSVLSSNFKPRLRWTPHLHACFVDAINQLGGPHKATPKKLLQKMGVKGITLYHLKSHLQKYRLGKYSVKEWKEVPETFSQDFEGRSVSSTSLCSSRSQKKHSSKLIKKALTQTEVEEKLGLQIEAEKRLKLRQDAERRYMDYALDNACKKLADQFLGSVAVDSAGVFRKDVAGLGTMVSIISQKDQFLPYDLSKETSMQLSLEDQLGGFEAQREAYHETEGHLISHGNSENSSVEDFQILADDDKIMEQSLDNDPAEAYLVLDTAEMGTSSHRT >ONI15094 pep chromosome:Prunus_persica_NCBIv2:G3:1841946:1843881:-1 gene:PRUPE_3G025300 transcript:ONI15094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGEEVKTRADAQVEIQERGEIFFFYRPKVNKEEAHSPDDVQRLYIVLRPESGERPIEEKQDPDSGKEGAKKKGSNPGEKGSDRSQSSEGGHGRQEVNIEKQPLLRFIVMGRKSLPDPSKKGRPYWGFVEMVTTNIDDVKTALQGEEYDTKTEGHRHTSAARALGEGIYRIVRHKEGKKKPHTHLIYKLEFPPEDENNEPQESLNIKHEGSFHIQIKNPDQHGSSSTSQFRGLQNNRRAMFPAHLQGQFGNLRYCPADPPDFLNYEGCEFLLISASDDIEEELGLELQTEGEAVESCSDLIKTFGETASTSSLLRGTWV >ONI16998 pep chromosome:Prunus_persica_NCBIv2:G3:13853898:13855873:1 gene:PRUPE_3G133200 transcript:ONI16998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAAATPPYHHHSRSEPPSRTKSASRLAQSGQIPHLSLGLITSSPKRTASPSAHSIRSSDSLPLRELLLLSPSPLRKRSKIRLTDRLEMVDEPAVETAGPRRRCKSRGAQMEEKIKIRYMLLTNCVKLWLAFFISFTIPKLYSCYSIQMNHKVDCLKWWALETWGASSHKKIVAATAFWNLSSVRTRIFTAFISLVILRYCRQHLAVAVAEPEAEGKQG >ONI14737 pep chromosome:Prunus_persica_NCBIv2:G3:316814:318887:-1 gene:PRUPE_3G005200 transcript:ONI14737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELREAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >ONI14738 pep chromosome:Prunus_persica_NCBIv2:G3:316814:318668:-1 gene:PRUPE_3G005200 transcript:ONI14738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELREAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >ONI14739 pep chromosome:Prunus_persica_NCBIv2:G3:316850:318845:-1 gene:PRUPE_3G005200 transcript:ONI14739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELREAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >ONI19301 pep chromosome:Prunus_persica_NCBIv2:G3:25160131:25162737:-1 gene:PRUPE_3G270200 transcript:ONI19301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTLFSCKPINLFNSPHPIKLLSHIFSFFAKNNMAKICVLLCFILLAASGFANVSGSEPKEKKVGIYELKKGDLSVKFTNWGATIISLVFPDKNGKLADVVLGYDTIKEYTNDTSYIGAIVGRVANRIGGAQFTLNGTHYKLVANEGKNVLHGGLIGFSDVVWKVQKYQNEGDAPHIVFTYHSADGEEGFPGDLLVTVSYTLLGDKQLSVKMKAKALNKPTPVNLAQHTYWNLGGHNCGDVLSQYIKIFASQITLVDSHLIPTGKFASVKGTPYDFLKPKAVGSRINKLASGYDINYVLDGGAGNKLKPAAVVYDKKSGRVLELSTNAPGLQFYTSNFLKDVKGKGGFVYEPHAALCLETQGFPDAVNHPNFPSTIVTPKKPYKHNMLFKFSTKAPYAS >ONI16218 pep chromosome:Prunus_persica_NCBIv2:G3:6293378:6297119:1 gene:PRUPE_3G085400 transcript:ONI16218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAATLLPSTPYKPLPLPLPNSLFLLSFSIPCTRHHFTPPASANRASPFPLHPRRPYVALPSSPGRCFAANSGPPLPPPESDSTSLTGLVASFSKFQDKVQIFFAVLFWMSLFFWASVWDERSRPNKGSRFRK >ONI15175 pep chromosome:Prunus_persica_NCBIv2:G3:2158864:2162188:1 gene:PRUPE_3G028800 transcript:ONI15175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGLFVLSTLLVLIVIQHGDCKGEANHASNGGAFARTEGTRFVMNGRPFYINGFNAYWMMYMASDPSSRAKVTSAFQQASRYGMNVARTWAFNDGRGKDRPLQPSPGSYNEDTFKGLDFVISEAKKFGVHVILSFVNNFSDFGGRKQYVQWARDRGQPISSDDDFYSNAVIKGYYKDHIKTVLTRINSITRVAYKDDPTIFAWELINEPRCQSDVSGALLQQWVTEMAAHVKSIDSEHLLEIGLEGFYGETTPDKKQYNPGNLEFGSDFIATNLLPQIDFATIHIYADQWLSGESEEAQAGFVDRWVQAHIQDCNTVVKKPLLVAEFGKSYKLPGYVLQKRDAYFGKIYSDIYSSASRGGSCVGGLFWQLMAPNMDTFGDGYEVVLEQSPTTATVIAQQSRKLNGLKASLAINVTRT >ONI14788 pep chromosome:Prunus_persica_NCBIv2:G3:518331:524287:1 gene:PRUPE_3G008500 transcript:ONI14788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNRGTGFVKFSHKDMALEAIKALNGTFIMRGCAQPLIVRFADPKKPRGIESRGNYLFSNTNIVPHSQEPVVRPVSDHGDSTGGYNIHNASYPVQQASHPPISQLANHEPQASSVIQPPFPPSKSPSQLCRMPLQHTQITHSRTSQVEVNETQKQHLTQPSGQIIGQQQSSQTVASNSLSAAVPSNHEIAAFIECDWSEHNCPDGYKYYYNCETCESRWDKPEEFALFEQALQMQTQQQNPSHHLKSMSSVLSTQHSAQTQQVQIQTQAFHQTLQLQKPCSSAPELDHVQIQPETNPVVGPTCV >ONI17382 pep chromosome:Prunus_persica_NCBIv2:G3:17378280:17380733:1 gene:PRUPE_3G155600 transcript:ONI17382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLLRHGSGLLLVLLVANCLGRLVASAQVQHPVGTHTVWLPAIATWYGSPEGDGSDGGACGYGSMVDVKPLRARVGAVNPVLFKNGEGCGACYKVKCLDKSICSRRAVTIIVTDECPGCSKDRTQFDLSGAAFGRMAVAGEGGLLRNRGELSVLYRRTPCKYPGRQVAFHVNEGSTNFWLSLLVEFEDGDGDVGSMHIRPASSSEWIQMNHVWGANWCINGGPLNGPFSVKITTLSTAKTLSARDVIPSNWSPKATYTSRLNFHS >ONI18987 pep chromosome:Prunus_persica_NCBIv2:G3:24154239:24156936:1 gene:PRUPE_3G251600 transcript:ONI18987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLLLPPLPLYLLPLFLQIATLLSAPIYTPVEDITINCGSYGTSPNIYDNRNWTGDINSKFSPFELHQDNTNTSSQVREAPPSSVSQVPYTTARLSLSEFSYKVPLSTGQKFIRLHFHPVSYDPGFDRSKTLFSVQAGGFTLLHDFNASVTADASETETLIREFCLNIDEGQSLTITFTPSRAIPDAYAFVNGIEIVSMPTNLYYTASESHGVDYVGNEVNYRIENITAMEMVYRINVGGSALSFDQDTGMYRNWDSVVDEQKYLDDLSSRWTVLPQNVSLQLNFVKIPEYSAPQVVYQTGRSMGSNHTRNKSYKLTWEFPVDPMFLYLLRLHFCEFEPEIMDTGDRSFLIYVDNQLAEPQADIIMWSGGNGRPIYRDYVVFMPAGADQKKVKLFLALQANPRDWMTKYNDVLLNGLELFKLSDTNGNLAGPNPDPPPPKPVQLKTPSKQSKKKSTPMLATVAGAVSAILVLFCVLGFLVFRRRRRSKDTFDVLSGLCREFSLSEIKAAPKNFNQDFIIGVGGFGHAYKGSIDQGTNPSRNIAGQEIRI >ONI17131 pep chromosome:Prunus_persica_NCBIv2:G3:14747483:14749256:1 gene:PRUPE_3G139800 transcript:ONI17131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMSKINLNKQLRMEKPHVVVKEEEEEDEEFDQHQQLEDHDKKKKGIVGGSGSVKRSGTSGGGTRCCQADRCPADLSDAKQYHRRHKVCDLHSKAQVVLVSGLRQRFCQQCSRFHELPEFDDTKRSCRRRLAGHNERRRKNSVESNAEGPNRNGTGTPLKDVCGQGDNSGRIRITIQGNSPYKHFQIR >ONI18125 pep chromosome:Prunus_persica_NCBIv2:G3:20802080:20806764:1 gene:PRUPE_3G198200 transcript:ONI18125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSLNKTNCSRNSFTQSKHGARVVAQTPIDAKLDIDFRESERFFDYSTSIDCNVSSSTSNVPSSTVSAYLRSMQRGRLIQPFGCIIAVDEENLTVLAYSENAPEMLDLAPHAVPNIEQQEALTFGVDVRTLFRSSGAAALHKAAHFGEVNLLNPILLHCKTSGKPFYAILHRVDVGLVIDLEPVSPADVPVTAAGALKSYKLAAKAISKLQSLPSGDISLLSDIIVKEVSDLTGYDRVMVYKFHEDEHGEVVAECRRPDLEPYLGLHFPATDIPQASRFLFMKNKVRMICDCLAPPVKVIQDKKLAQPLSLCGSTLRSPHDCHAQYMENMGSVASLVMSVTINDDVDEMETDQRKGRKLWGLVVCHHTSPRFVQFPLRYACEFLIQVFGVQISKELEMAAQLREKHILRTQTVLCDMLLRDSPVGIVTQSPNVMDLVKCDGAALYYRKKLWLLGVTPTEAQIGDIAEWLLKYHGGSTGLSTDSLMEAGYPGASALGDEVCGMAAIRITSKDFLFWFRSHTAKEIKWGGAKHDPADKDDGRKMHPRSSFKAFLEVVKRRSVPWEDVEMDVIHSLQLILRGSLPDETVDNSKVLVKGPSVDDRIQRVDELRIVTNEMVRLIETAAVPILAVDASGNINGWNTKASELTELAVEKAIGMPLVDVVGDDSIEVVKDMLSSALQGVEKKNVEIKLKTFGRQENDSFVTLVVNACCSRDIKEDVVGACFVSQDLTGEKLGMDKYTRLLGDYIGIVRSPSALIPPIFMTDENFRCLEWNYAMQKVSGLRREEAVERMLVGEVFTVRNFGCRVKGHDTLTKLRILLNGVIAGQDACKLFFEFFDQQGNYVEALLSANKRIDAEGRITGVLCFLHVASPELKYAMQMQRVSEHAAADSLKKLAYIRQEIKKPLSGVMFIQNLMGSSDLSEEQKQLLKNRRLCQEQLSKIVDDTDIESIEECYMEMSSSEFNLGEAVEVVMNQVMILSQERQVEVIHDSPAEVSSMILYGDNLRLQQVLSDFLTNALLFTPASEGSSIVLRVTPKKERIGMKMHIVHLEFRIIHPAPGIPEDLIQEMFHSSHRASKEGLGLHMSQNLVKIMNGTVQYQREEDRSSFIILIEFPLVPQIGR >ONI18126 pep chromosome:Prunus_persica_NCBIv2:G3:20802080:20806110:1 gene:PRUPE_3G198200 transcript:ONI18126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSLNKTNCSRNSFTQSKHGARVVAQTPIDAKLDIDFRESERFFDYSTSIDCNVSSSTSNVPSSTVSAYLRSMQRGRLIQPFGCIIAVDEENLTVLAYSENAPEMLDLAPHAVPNIEQQEALTFGVDVRTLFRSSGAAALHKAAHFGEVNLLNPILLHCKTSGKPFYAILHRVDVGLVIDLEPVSPADVPVTAAGALKSYKLAAKAISKLQSLPSGDISLLSDIIVKEVSDLTGYDRVMVYKFHEDEHGEVVAECRRPDLEPYLGLHFPATDIPQASRFLFMKNKVRMICDCLAPPVKVIQDKKLAQPLSLCGSTLRSPHDCHAQYMENMGSVASLVMSVTINDDVDEMETDQRKGRKLWGLVVCHHTSPRFVQFPLRYACEFLIQVFGVQISKELEMAAQLREKHILRTQTVLCDMLLRDSPVGIVTQSPNVMDLVKCDGAALYYRKKLWLLGVTPTEAQIGDIAEWLLKYHGGSTGLSTDSLMEAGYPGASALGDEVCGMAAIRITSKDFLFWFRSHTAKEIKWGGAKHDPADKDDGRKMHPRSSFKAFLEVVKRRSVPWEDVEMDVIHSLQLILRGSLPDETVDNSKVLVKGPSVDDRIQRVDELRIVTNEMVRLIETAAVPILAVDASGNINGWNTKASELTELAVEKAIGMPLVDVVGDDSIEVVKDMLSSALQGVEKKNVEIKLKTFGRQENDSFVTLVVNACCSRDIKEDVVGACFVSQDLTGEKLGMDKYTRLLGDYIGIVRSPSALIPPIFMTDENFRCLEWNYAMQKVSGLRREEAVERMLVGEVFTVRNFGCRVKGHDTLTKLRILLNGVIAGQDACKLFFEFFDQQGNYVEALLSANKRIDAEGRITGVLCFLHVASPELKYAMQMQRVSEHAAADSLKKLAYIRQEIKKPLSGVMFIQNLMGSSDLSEEQKQLLKNRRLCQEQLSKIVDDTDIESIEECYMEMSSSEFNLGEAVEVVMNQVMILSQERQVEVIHDSPAEVSSMILYGDNLRLQQVLSDFLTNALLFTPASEGSSIVLRVTPKKERIGMKMHIVHLEFR >ONI19114 pep chromosome:Prunus_persica_NCBIv2:G3:24591944:24594576:-1 gene:PRUPE_3G259300 transcript:ONI19114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLREEAFGDDKPIRFQVLRSVLAETYLAIVENIEAENASSGRSDFIRYPQNPPYDPPPVVITRNFEEFKDALKTHGTYMEINVPVDVHPYIHTSTCIPVTEIESKNWINELPESVLSFILSFLTIKEAAGTSMICRRWRHRQLWLDHILTRRDLEFNIPNIFGSNYLKCRSSLISDFEDERLLFFPIIIGHIINSKAFEVEQITLYLERVTVDEVFMASMFSICLFLESLSLLGCSIDSSLIVDGPSLRLDDLKVLNCFNDPANIKISAVNLASFEYSGNIHHISSIKTPRLSRIYFNNHKVQNAFPHALTRLASFPQLVKELPESLPTFRNLKQLELVVHGPEKSSKDNELVWVLKFLKATPLLQEPAITLKRVTINMVQGNWYEVELAICVLILVTKLEKMVIDPFGKLYYDGEWSWTNDDASDSPWVEKRCPFVCQQLKEVKIDAQVIIL >ONI17304 pep chromosome:Prunus_persica_NCBIv2:G3:16518839:16528425:1 gene:PRUPE_3G150900 transcript:ONI17304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRLGPDDSELSQQSRAAPADLISDDDRSVAADSWSIKSDYGSTLDDDQRHADAAEALSAANLRAPSDYSSDKEEPDAEGVTSMLGLQSYWDAAYADELANFREHGHTGEVWFGADVMEVVGSWTKNLCIDISRGRMPNHVDNIKSDPVEQGDKYLSDWSVLDIGTGNGLLLQELSKQGFSDLTGTDYSEGAIDLARSLAGRVGLPNIHFLVDDVLDTKLERQFQLVVDKGTLDAIGLHPDGSIKRVMYWDSVSRLVAPGGVLVITSCNSTKDELVQEVESFNQRSVTQEHDETPKDKFQYLSHVRTYPTFMFGGSVGSRVATVAFLRN >ONI17535 pep chromosome:Prunus_persica_NCBIv2:G3:18466024:18470956:-1 gene:PRUPE_3G165600 transcript:ONI17535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEMVAHEGGCHCKKVRWRVQAPTGVVAWSCNCSNCSMRGNTHFIVPADRFELLGDSEQFLTTYSFGTHTAKHKFCKVCGITSFYYPRSNPDGVSITFTCVDPGTLTHIEVKYYDGKNWETSHNQTGIASQSKG >ONI17534 pep chromosome:Prunus_persica_NCBIv2:G3:18466042:18470956:-1 gene:PRUPE_3G165600 transcript:ONI17534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEMVAHEGGCHCKKVRWRVQAPTGVVAWSCNCSNCSMRGNTHFIVPADRFELLGDSEQFLTTYSFGTHTAKHKFCKVCGITSFYYPRSNPDGVSITFTCVDPGTLTHIEVKYYDGKNWETSHNQTGIASQSKG >ONI16125 pep chromosome:Prunus_persica_NCBIv2:G3:5886242:5887552:-1 gene:PRUPE_3G079700 transcript:ONI16125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKQSYRAGEAKGQAEEKAGQMMGSVGDTAREGRERAQEGRDKAQDTTQATRDKTYETGQAAKDKTQGATYQVKDKAVEKAEAAKQRAEEAARKARETAEHGKEKTSGVLQQTGEQMKNMTQGAADAVKSTFGLAKNDEEKDETGLSDKKAGTG >ONI19215 pep chromosome:Prunus_persica_NCBIv2:G3:24907634:24909022:1 gene:PRUPE_3G265100 transcript:ONI19215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGNIKGRYGRKSSLAAILNHHHTSLNPIFDDLPDVVLVEILCRLRCYKLVYQCKCVSKRWCTLITDPYFIGRFVSLQREYHHQQTPIILINKKRDLLNRMSDPLKPFFRRFKKFHGSLIQQEPEVAGAYNDLLLCYTGKYYERNYYICNPYTQQWIALPAAPPCHEQTVPLPEGFMCDLPLYNKYTNDDDPKRHNSNNIAELNTNYRCKVVRLICPCYGKKYSKFKVQIFSSETGQWRETIVSSPQDIMLGTVKFHICFANACNRMLYWMGGVHFLLELDPFMINNGDYKCRLINLRDHHFDGNIILSCLGVYGGRLKMFDFELTTNTFLVWDVNVNKEEYHGGFEKVCLENMTSYILEEDMVRKRGDVLASECMALDPNNEEIIYLVIDYEIVMFNIRTRIQSKLDKKYTTDYGLNCGFFQVVVPWWPTPVPRLPQDAHAHAQSTKNQSKWEEEMGKVM >ONI15520 pep chromosome:Prunus_persica_NCBIv2:G3:3365323:3366756:1 gene:PRUPE_3G047400 transcript:ONI15520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFIKKYKDEQTSFLTSLILIYLIRMSNSGCNGSHYIPEEILINILSRLPVKSLIRFICVCKLWSSLIRSSRFIGMHLNRNIKHPLTGPKIDIHGSSNGLVCLSYELGNLDTAIYLCNLSIQKHVVLPPTSILCFPWPEYATGVAFGFHPGLNDYKVVRLLAFFVGNCIEVEVYSLSTNSWKRIDAIPASIKTLVLHSDQCAFFNGVAYWIMLKGKDATHCLLSFDTDSEVFEELLLPDAVEPMPYYCKVLISEYKGSICLLLSNLDFRASNDYIDMWVLQEKSFKKLLTVYLPGKWSFYPLAIRMSNELLGGYPRGRYLYSYNLETKQITETGIKLAVDCYNNYNAHTYAESLVLLRE >ONI18059 pep chromosome:Prunus_persica_NCBIv2:G3:20635178:20635773:1 gene:PRUPE_3G194700 transcript:ONI18059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTNSIVQLLCIGNFSLLHARLLMRNRYRIGHSYIPRKLILPYWRPFDMSSRIFEFESGTSEVETLKSGSPPCIQDFPHNAIEFRKDASKPKLEALSRGSKRGTTFRLWQNAA >ONI16029 pep chromosome:Prunus_persica_NCBIv2:G3:5464345:5466376:1 gene:PRUPE_3G074700 transcript:ONI16029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTSAPPTLPMPRLLSTIPTNKSISCCVGINYGCSQSKPNSIDFQAKVFPKENVITSPKQDDKTVTPAEKIPQHLNWESRKFHWVYDTFGGKLGQEGLVFQQNFSIRSYDLGPDGKATIGCLMERLLETSVQHCRSLGLYAGGLGSTPEMTKRGLVWVLCKLQTVVEKYPSWAEVIQIDTWTGASGNNGLFRDWIVRDYSTGQILIRATGLLMLMHMKTRKFSKGTAEITDELRSALMDSDPLIDINNAKLRRLDIDARNYFQTSVAKPGWSDLDVNQHVSSAKYIDWVFKSIPFSVLETHELSSMTLEYRSECRRDSAVQSLTAVARDGTGDSTHNQGVEFDHLLRLETGSEVLRARTVWKPICDGFLSTLKIPATNGCT >ONI19664 pep chromosome:Prunus_persica_NCBIv2:G3:26053911:26066057:-1 gene:PRUPE_3G290300 transcript:ONI19664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYTPLLEKTRVPQPSLQKYAVISIFLKLRSAPKYLDFESEPGREAISQCLHSTSPAVVDQSVRELCSLVTDSKIDISRGLLELQSALEGSGPKFVDLFVKGLGYLVRLGFQRSNGKWSFSATETHPFVKVLSCRPDAESELVQQVLLFMAHNKQLGMVEVCEFLRSFLNYSILRVPFLDTSSLFARHLISSMASLCCSIPLEAMPVLKMLTDCLPFVPHKNSQDFRNFIYFAECMVDAYTVVLRHLAGTGSLIAEAHLCGLELFEKILSISSAAHMHSGLIEPILELSKHLLFAQKDIGLHYVPKLSSAMLTLFIILVQSELEHEQLSTLKLLHLLLKWKYGNEYVVDRTACVLSEELLFIFPVISLLSSPSKYVKGAATDLLAMLEKLLVTVLIAPTHKPSKEAGYPSLSTPGSIVFRILRHLWFQDPYSSSSFFLNFASSGKTDGKEIHDVSRSWASELREYTLWIVERQKSSLPLSQPQERFITGMPLLLCAISGVLVMHQSLGSTALDSLAAIATMDPKVGAQLLLAILFYNNMFTRKDISCCTMLPKLLTMLPALATHSMMIPLVVQTILPMLQKDAKPTLYATAIRLLCQTWETNDRAFGSLQGVLLPKGFTELKFERNICISMAASIRDVCRKNPDRGVDLILSVSSCIENKDPVIQALGFQSLAHLCEADIIDFYTAWDVIAKHVLDYREDTILAHSICLLLRWGAIDAEAYPEASKNVLQILWSVSISGHPGLESQWAKARASSLEALAQYEISHIEQNIQDFKKRTTELLFSETNITVLRAMEELQVKIITYEHLTRRRLVKEKRVSGSKIEKLLDVFPQVIFSSGKRSDTRELPGAALLCLSFTPKDVNTLGTSKGLRDVHAGYEKALLEIASSLQLSRNIFIALISLQSWKPFVRRWVRADVLSFDAKVPSVFLDKTAKAASDILKSMIKAAEEAIPRSAENIALAIGALCVVLPPSAHTVKSDASKFLLNWLVQHEHEHRKWSAAISLGLISSCLHVTDHKQKFENITGLVEVMCSSNSTLVRGACGLALGFSCQDLLTRVDAGDNSDVDKETGKMTEADLLGMIVKALSLLIGQLTQLPSDVMESLSAYFPPNTFGIDMNITAELSHENSDDSLEDIWGVAGLVLGLASSVGALYRAGAHDAVLKIKDLIISWIPHMTTSVQGSRSFSGVSEIVLSVGSCLALPIVVEFCQRLELIDDNEVRHLVNGYRELISELLSVKKSGTFYHSLLMASCIGAGSLIACILNGGLHSLEVEHVKGLLELFRKCYSNPYPPLVHLSGMLGVVNAMGAGAGILVDMYPPTSMQTAYEHKESRYLMGPLLSSPTCKQHLTSLMQDIFLVAQNSDDHQLQQYAAWAVSFLRNHLFSINSDGGGSKSVSQSFADDSLVLKLSSWLMHLNSAETGSVAHVGTVITVIRCLSQAPRLLTLDWGTIIRRCMRYEAQVAELFPTESSLEKGTLREECVKFSLAHANKFDQLLSFLDELSDLSRFRTLELKLQSCLLDHLVDLIKVFSGSRLEKLFDDVRSYFSSVTSYQSHGTDETSLLRISCWKGFYQCLDEASLDSLEYISHIEKGMEVLFSLMPAMQLPAIGGVGQLRTVEEWSEAVRCFRKARKSWLLDFLQVSQEDLQQRDGQLIEVLKKVQTKAKLVRIGSIPLTELGRLKAWILNTESNGMWDALVDVVAALQHADGSVKRQWLVDAVEISCVSSYPSMALQFLGLLSGSWSKYMPLLILDQLTVLSDLPVTLSSLLSDSSWGGVAEFVVPSLFASTERIYNWAIHIARCEDMPPDMQPIDKSENSMAVFLLRVMHCTCVSLKDYLPLEKQLKLANMVVA >ONI15269 pep chromosome:Prunus_persica_NCBIv2:G3:2518050:2519232:1 gene:PRUPE_3G034400 transcript:ONI15269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPPSLLPGCQAPSTFIFRQPILGLVHLCTNFLRLYTLIIPQPSISSFPCIPTCHKKDFKYMGCELARDSEHA >ONI18455 pep chromosome:Prunus_persica_NCBIv2:G3:21922911:21927992:-1 gene:PRUPE_3G217100 transcript:ONI18455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKTKYDRQLRIWGEQGQTALEKASICLLNCGPTGSETLKNLVLGGVGSITVIDGSKVELGDLGNNFMVDESSVGKSKAKCVCQFLQELNDAVKAKFIEEYPEALIETNPSFFSQFTLVVATQLVEDSMVKLDRICREANVILVLARSYGLTGLVRISLKEHTVIESKPEHFLDDLRLNNPWPELRGLAETIDLDVTDPVAHKHMPYVLILVKMAEEWSKSHDGKLPSTREEKKEFKEHIKARMTALDEDNYKEAIEASFKVFAPRGISSDLQQLIGDRFVEVDSSSSDFWVMVAALKEFIENEGGGEAPLEGSIPDMTSSTEHYVSLQKLYQAKAEADFLVIEQRVRTILKRIGRDPNSISKTTIKSFCKNARKLKVCRYRLVEDEFNSPVLPELQKCLTDEDYSVAGGFYILLRAVDRFAANYNSFPGQFDGVMDEDISRLKTTAVGLLNDLGCNGVTLTEDLINEMCRFGAAELHAVAAFIGGIASQEVIKLITRQFVPLSGTFIFNGIDHKSQLLSL >ONI15890 pep chromosome:Prunus_persica_NCBIv2:G3:4877114:4881556:-1 gene:PRUPE_3G067500 transcript:ONI15890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRALEEEEENYKSRLHNFKGMHENTGRHTKSLSVESATALEFQDDDKKGSRSNGAQGSKSRLSKEEAEAREKQQETDMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQTRLEPMSADRKKRWRKEIDWLLCVSDYIVEFVPSQQKSKDGSNMEIMVTRQRTDLHMNIPALRKLDAMLIDCLDNFIDKQEFYYVSKDADENEKGNAKRKDDKWWLPTPKVPPNGLSEAARKFLQYQKDCVNQVLKAAMAINAQVLTEMEIPENYIESLPKNGRASLGDSIYRSITVEFFDPDQFLCSMDLSSEHKILDLKNKMEASMVIWKRKMNQKDGKSGWGSAVSLEKRELFEERAETILLLLKQRFPGIPQSSLDISKIQYNANVGQAILESYSRILESLAFTVLSRIEDVLHADYASQNPSHVACKRNNLGESTPVATSPDRSTKEENSGTETPGSMTLSDFMGWGLDQVDTEAKKDPDDTDEGKDFCEVKHPHMQKIANIVTNKKISYLDNLGGLRSPTARH >ONI16646 pep chromosome:Prunus_persica_NCBIv2:G3:9417216:9419646:1 gene:PRUPE_3G112800 transcript:ONI16646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDKSCNSVFNTIRIPTSRFLSTNTSRVVSKLGDSAAEQLSSLCSKGHIKEAFESFKSEIWSNPSLFSHLLQACIPRKSLSLGKQLHSLIITSGCSADKFVSNHLLNFYSKVGDLGVALTLFGHLPRRNIMSCNILINGYVQKGDLESAQKVFNEMPERNVATWNALVTGLTQFQFNEEGLGLFSEMHELGFLPDEFTLGSVLRGCAGLRALHAGRQVHTYVMKCRFEFNLVVGSSLAHMYMKSGSLEEGERVIKSLPIRNVVAWNTLIAGKAQNGHSEAVLDQYNIMKIAGFRPDKVTFVSVISSCSELATLGQGQQIHAEAIKAGASTVDAVISSLISMYSRCGCLEDSLKAFKESVGGDVVLRSSMISAYGFHGRVEEAIQLFEEMEQEELEANDVTFLSLLYACSHCGLKEKGIEFFNSMVEKYGLKPRVEHYTCVVDLLGRSGRLEEAESMIRSMPVKADAIIWKTLLSACKIHKNANIAKRISEEVIRRDPQDSASYVLLSNIHASARRWQDVSEVRKAMRDRKVKKEPGISWLEIKNQVHQFCIGDKSHPQSKELDMYLQELTSELKLHGYVPDTGSVLHDMDNEEKEYNLAHHSEKLAIAFALMNTPEGVPVRVMKNLRVCIDCHVAIKYISLIKNREIIVRDASRFHHFKNGKCSCGDYW >ONI18658 pep chromosome:Prunus_persica_NCBIv2:G3:22827439:22828824:1 gene:PRUPE_3G230800 transcript:ONI18658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSVSLSAVLLKSLSLMISHCSLHFFLLLVPHAFKLSTVFYPALLKAVAKPVRQILFLTTPPPPTTSQPQNTQSAIFGLVVFLALDIIPYLWVVGSATNSALRGLQGLPAAVNSGRSWVLPLVFTRVLSLMQIASSSSLFRLLLDLMVPVIGPYFTAFLGLFFLMVLQNRDLMWSLAPAVVVAESKLGQDALERSSGLVRQRGLKRVVRCLVGFYVFATWGLGILWMKSEGAVNLLRSWALGLLASFLLLWYTVAVTVLYCIACEGDEEADGDVKLPIEDDDEG >ONI19382 pep chromosome:Prunus_persica_NCBIv2:G3:25425919:25431184:1 gene:PRUPE_3G276100 transcript:ONI19382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGPPSDRDSRKKVGSIRSVFMHADGVDKCFMILGLFGSLGDGFSTPLVLLITSRLMNNIGGSSTSAQDAFLHNINKNAVALLYLACGSFVCCFLEGYCWTRTGERQAARMRVRYLKAVLRQDVGYFDLHVTSTSEVITSVSNDSLVIQDVLSEKLPNFLMNASMFSGSYVAAFIMLWKLAIVGFPFVVLLIIPGLMYGRTLMGLARQIREEYNKAGSIAEQAISSIRTVYAFVGENKTISEFSAALQGSVKLGLNQGLAKGLAIGSNGVVFAIWSFMSYYGSRMVMYHGAQGGTVFAVGASIAVGGLALGAGLSNLKYFSEASSAAERIMEVIRRIPKIDSDNMEGEILEEVSGEVEFKHVEFAYPSRPESIIFKDFNLTVPAGKTVALVGGSGSGKSTVISLLQRFYDPLGGEILLDGVAINKLQLKWLRSQMGLVSQEPALFATSIKENILFGKEDAEIEQVIDAGKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKKPRILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIRNADVIAVVQNGQVMETGSHSELSRIEDGHYTSLVRLQQTEKQKGPEELGSSSISNDIHNTSSRRLSLVSRSSSANSFAQGRASSLAGDQENMEEFDQQKLPVPSFRRLLALNLPEWKQAILGCLSATLFGAVQPAYAFAMGSMVSVYFLTDHDEIKAKTRTYALCFLGLAIFSLLVNVCQHYNFAYMGECLTKRVRERMLSKILTFEVGWFDQDENSSGAICSRLAKDANVVRSLVGDRMALVVQTISAVVVACTMGLVIAWRLALVMIAVQPLIIVCFYTRRVLLKSMSRKAIKSQEESSKLAAEAVSNLRTITAFSSQDRLLKMLEKAQEGPRRESIRQSWFAGIGLACSQSLTTVTWAFDFWYGGKLVAKGYVHAKQLFETFMVLVSTGRVIADAGSMTTDLAKGSDAVGSVFAVLDRYTKIEPEDPEGLEPKRIVGHIELRDVHFAYPARPDVMIFKGFSIKIESGKSTALVGQSGSGKSTIIGLIERFYDPIKGVVKIDGRDVKSYHLRSLRKHIALVSQEPTLFAGTIRENIVYGVSDKVDELEIVEAARAANAHDFIAGLKDGYDTWCGDRGVQLSGGQKQRIAIARAILRNPVVLLLDEATSALDSQSEKVVQDALERVMVGRTSVVVAHRLSTIQNCDLITVLDKGKVVEKGTHSSLLSKGPAGAYYSLVSLQRTGPTQSVH >ONI19383 pep chromosome:Prunus_persica_NCBIv2:G3:25425919:25431184:1 gene:PRUPE_3G276100 transcript:ONI19383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRYLKAVLRQDVGYFDLHVTSTSEVITSVSNDSLVIQDVLSEKLPNFLMNASMFSGSYVAAFIMLWKLAIVGFPFVVLLIIPGLMYGRTLMGLARQIREEYNKAGSIAEQAISSIRTVYAFVGENKTISEFSAALQGSVKLGLNQGLAKGLAIGSNGVVFAIWSFMSYYGSRMVMYHGAQGGTVFAVGASIAVGGLALGAGLSNLKYFSEASSAAERIMEVIRRIPKIDSDNMEGEILEEVSGEVEFKHVEFAYPSRPESIIFKDFNLTVPAGKTVALVGGSGSGKSTVISLLQRFYDPLGGEILLDGVAINKLQLKWLRSQMGLVSQEPALFATSIKENILFGKEDAEIEQVIDAGKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKKPRILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIRNADVIAVVQNGQVMETGSHSELSRIEDGHYTSLVRLQQTEKQKGPEELGSSSISNDIHNTSSRRLSLVSRSSSANSFAQGRASSLAGDQENMEEFDQQKLPVPSFRRLLALNLPEWKQAILGCLSATLFGAVQPAYAFAMGSMVSVYFLTDHDEIKAKTRTYALCFLGLAIFSLLVNVCQHYNFAYMGECLTKRVRERMLSKILTFEVGWFDQDENSSGAICSRLAKDANVVRSLVGDRMALVVQTISAVVVACTMGLVIAWRLALVMIAVQPLIIVCFYTRRVLLKSMSRKAIKSQEESSKLAAEAVSNLRTITAFSSQDRLLKMLEKAQEGPRRESIRQSWFAGIGLACSQSLTTVTWAFDFWYGGKLVAKGYVHAKQLFETFMVLVSTGRVIADAGSMTTDLAKGSDAVGSVFAVLDRYTKIEPEDPEGLEPKRIVGHIELRDVHFAYPARPDVMIFKGFSIKIESGKSTALVGQSGSGKSTIIGLIERFYDPIKGVVKIDGRDVKSYHLRSLRKHIALVSQEPTLFAGTIRENIVYGVSDKVDELEIVEAARAANAHDFIAGLKDGYDTWCGDRGVQLSGGQKQRIAIARAILRNPVVLLLDEATSALDSQSEKVVQDALERVMVGRTSVVVAHRLSTIQNCDLITVLDKGKVVEKGTHSSLLSKGPAGAYYSLVSLQRTGPTQSVH >ONI15383 pep chromosome:Prunus_persica_NCBIv2:G3:2934606:2937442:1 gene:PRUPE_3G040900 transcript:ONI15383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRIFPESLSKLWSLWEIDVMVVLSLILQGILIAFGNKRKYSNSKWLRLLWLAYLSADWVATVSLSLLSSNLSSTNHKMNTADADDQDEILTAFWAPFLLLHLGGPDTITAYSLEDNELWWRHFLVLLVQVSLAVYVFLRAWAGQALNLLTIPVFVAGIIKFAERTWVLRCASSKHFRDSMFCCPDPGPDYARYMDELRSKKHEGFEVDIERVDEAPTIGDFSFTAPSIFPNTANLQHADVFFNIFKKLFADLILSIHDILKSKSFFQNRSYYEAFKVIEIELGFMYDLFYTKAVLYSLNGAILRFTSSVSIISVSVAFLVTIEKQDYSARSIIITYMLLAGAIILDLYAVILFLRSDWALLWFCKHKTAAHLLYPVISHMSFVENKRWSNEITQYNLILICCEDKPAKYRFLQKVPGICRKLKKSVEVPRELKELIFLQLLKKSTCAPNSAGYKELRDRRVEWVLQNENCIEKLGWSIGEEFDLSVLLWHIATQLCYYSDRDQDKYPNAFPDPNCEASKLLSEYMLYLLVKRSSMLPNGIGQIRFKDTCAEATEFFKQRKCQRSEQDRACKKLREVNSDEILPAEVKGDESKSVLFDACKLAKDLESLETKENWENQKKWQLISHVWVEMLSYAASHCRWNHHAVQLRRGGELLTHVWLLMAHLGLTEQLQTFELQKAIVLNVR >ONI19014 pep chromosome:Prunus_persica_NCBIv2:G3:24278986:24280821:1 gene:PRUPE_3G253500 transcript:ONI19014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLNSVRVIEVCKVAPQPSSPEDYSVTPDALPLTLFDLLWLRFAPVQRLFFYQISNSFETTTLVSKLKASLSIALQHFRPLAGNITWPQHSPKPVLTYVQGDAVSLTIAESHADFHHLSNRSNFVEAKEYHPLVSQLPISHEKAAAVAFQVTVFPNGNGFSIGTSMHHAILDGKSSTMFVKSWAHICRHLGVDPSGTALPDQLKPFFDRRVVQDPAGLEPIFLNQLQNLDGPNNRSLMVTQFKSPPADAVRGIFVITRPEIEAMKQWASTKMAEMIKNEKQSDHHPHLSTFSVTCAYTWVCLAKAEEKQSDKPVLMAFSLDCRSRFDPPLPANYFGNCIAGRAVVADRKGVLGEDGLTVAVNEISEAIKSADSDGILNGAETWVPKLYTAVSSEERFMGVAGSPRFGIYDTDFGWGRPSKVEVVSIEVTGAMSLAESRDGIAGDVEVGLVLEKHHMQAFASVFAKGLQDL >ONI19781 pep chromosome:Prunus_persica_NCBIv2:G3:26390557:26396553:1 gene:PRUPE_3G297500 transcript:ONI19781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSSEAYRAKLIPAAQSSIQGLENVRLDDPTLAPSSACIICMEGLLLVHHSDNINVVPASQDDHHDEDQGQGVDHRHPPMTIKRLPCLHQFHEDCIVPWLQTNHLCPLCRYPLEVRESSKPKRRRLS >ONI15898 pep chromosome:Prunus_persica_NCBIv2:G3:4892844:4898104:-1 gene:PRUPE_3G067900 transcript:ONI15898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPKPTSRLILLTLTLLLLVNFLTSIKASEPTTDENEDGELEELLALDEQVEQEEEQEGSSASVRSSEAEVLTKAQRIVLELNHDNTKRVIEGHEFVLVLGYAPWCSRSAELMPQFAEAATSLKELGSPLLLAKLDAERHPKTALSLEIKGFPTLLLFVNGTSQVYTGGFSAEEIVIWARKKTGEPVIRISSVTEAEEFLKKHHMFVVGLFEKFEGPDYEEFVKAAAADNAIQFVGVSNIEVAKVLFPNVKPTNLSLGIVKSEPERYTSYEGTFETDKILQFLDYNKLPLVNKLTETNSARVYSSPIKLQVLVFAEADDFKKLLEPLQDVARQFKSKILFIYIDNTDENLAKPYLTLFGLEESNSTVVTAFDISVNSKYLLESDPTPSNIKEFCSGLLHGTLSTHFKSQPIPDNTNETVQGIVGKTFDDKVLNSHKNVLLEVFTPWCINCETTSIHVEKLAKHFKGLDNLVFARIDASANEHPKLQVNDYPTLLFYTANDKANPIKLSTKSSLKDLATTINKHLKAKDNVAKEKDNVPKDEL >ONI17876 pep chromosome:Prunus_persica_NCBIv2:G3:19961852:19963291:1 gene:PRUPE_3G184700 transcript:ONI17876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKASELVVIPSAGIGHLVSAVEMSKLLVARHDQLFITVLIMKLPFNSKGTEAYIASLEASPVLPRLNFITLPKVPDLDKHLSSHSFRNQFVESHKTHVKNAVAELTESQSESRPRLAGFVIDMFCTTMIDVAYDFGVPTYMFFTSPAGFLGLLFNLQRIRDVYGKDVSEFKDSDAELSLPTFVNSVPGRVLPSVVLDKEGAESFLSYAKRFTETKGILVNTFMELESHALDSLSDGETPPLYPVGPILNLMSDDTQSGSEQAQQKSDILEWLDDQPPSSVVFLCFGSMGSFGEDQVREIAWGLERSGLRFLWSLRQPPPKGTVVSPGDYSNPKGVLPEGFLFRTAAMGKVIGWAPQVAILAHQAVGGFVSHCGWNSTLESLWFGVPMATWPVYAEQQLNAFELVRELGLAVAIKMDYRRDTQVVVSAEEIERGIREVMEDDSDVRKRVKEMSEKSKKALTEGGSSHSSLGHFLDQIFL >ONI19010 pep chromosome:Prunus_persica_NCBIv2:G3:24240283:24241948:1 gene:PRUPE_3G253100 transcript:ONI19010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPTSSVKVVEVCKVALPPPPSPRSFASPSSFPLTFFDIRWLRFAPVQCLYLCEMPTSSATQLFFDTVLAPQLKTLLFNTLQHYLPLARHLTWPQNSQKPVLSYITVFPNCGLSIGTSMHHTILYGKTSTLFAKSWAHICKHGDQSNSLLLDHLKQFYDTRVIQDPAELRAKSNLLEPRKAPPGSIRGTFQITTADIETPRQLLKAKLAEQKQQDIRLVHVSTFTLASLFGDNGLVVAVNANSEAIRSLEKGVLDGAENWVSRVFAVSSEKMLMLAGSHRFGIYDTDFGWGRPRRTEVVSIDKTGAISLSDSKNGGGGVEIGLVLKKQYMDAFAALFAQGLL >ONI15523 pep chromosome:Prunus_persica_NCBIv2:G3:3379983:3384471:1 gene:PRUPE_3G047600 transcript:ONI15523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEDMDRRKERMKSDCKKIMESINAMCFENLNDEQVHSLVMMREIARGVVNNRVEKVTAGDVKKALSSSIEKMVDSCRDVVNILGRLSCDGLGEEEVEKVLRMKEIAMGIVSKRPRVVTMLGCEPSELGDGADDDDTSDKDVWSGGVEAELRNEDGQPELMESPVYGVTHTSNGHVQIGGLGAERTMVEDKYAEMEAISKNEDGWNELGGSVFEATMDTGHEEQIGRVEGLMFEDDCLVVVADEFESEDCQGEVQKSLSSSTFQHSLSWPLDDRITLEWVQGMMSALEQSPVDFQSVLSISVVDKLIDTATSILCKEPNCVAVDCHGEDSRVVVVGDIRGQFRDLLSLFEHAGFPSDKQFYVFNGNYVDRGSRGLDVFLVLLAWKVLMPCRVYLLRGNHESKYCTSVLGFEVEVETKFGNQGEYVYNKCLDCFKELPLASIVANCVYTTHGGLFRSMHATSLRRSKRKREERLELGSLEDLSKVQRSLIDAPDEGPNIILTDVLWSDPSKEDGLVEKSFRERGLWWGPECTEDFLKQSNLKLIIRSHEGPDVRAGQGEFGDMLSGYSLDHVGESGKLYTLFSASDYPQLDEKRYNNEGVYALLEPPNLEFPSFYSLKAIGRTKVDPCVVDAADKDLVVVGEPDLGLMSATGATSLSQTSFPPGISSEVNFEALGISNPPSWSVMLADDAGGTQLVRVPKAPVVEGLPLPSDLQEPHQAAYKYFLELIAGLKHMLSTRVSQDRVHESAIQKRARHQMGTPQEVNLKPEE >ONI18047 pep chromosome:Prunus_persica_NCBIv2:G3:20546285:20548372:-1 gene:PRUPE_3G194000 transcript:ONI18047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENTGANGNGDCLGWAATDESGILSPYKFSRRSLQNDDVSVKITHCGICYADVLFPRNKHGNAKYPMVPGHEIAGIVQEVGSNVRGFKVGDHVGIGTYVNSCRNCDYCGDGLEIFCEKGAVFTYNNVDEDGTITQGGFSSYIVAHQGYCFRIPDGYPLASAAPLLCAGITVYTPMIRHKMNQPGKSLGVIGLGGLGHLAVKFGKAFGLNVTVFSTSTSKKEEALTLLGADNFVVSSNLEQMTALAKSLDFIIDTASGDHPFDPYMSLLKTAGVLVLVGAPSEVKLSPLSLIGGMKSISGSATGGTKQTQEMLDFCAAHKIYPNIEIVPIQYVNEALERLIKRDVKYRFVIDVESSLK >ONI18349 pep chromosome:Prunus_persica_NCBIv2:G3:21592977:21594671:1 gene:PRUPE_3G210800 transcript:ONI18349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPQQEEDKKPNDQAAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVELNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGAVQI >ONI19422 pep chromosome:Prunus_persica_NCBIv2:G3:25564429:25564914:-1 gene:PRUPE_3G279100 transcript:ONI19422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKEQADHQTDNTRKVMFVYNFVDAFFWDYFVLYCLRSIHLLFTMHTHQ >ONI15398 pep chromosome:Prunus_persica_NCBIv2:G3:3011045:3012596:-1 gene:PRUPE_3G042300 transcript:ONI15398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCSKDEGLNRGAWTGMEDKILTEYIRVHGEGKWRNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNITRDEEELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTTIGKKIQGHPFSDGNRKPPKQTQENPKPTQPPKVDTNSYTKVVRTKASRCTKVFIPQEAQNLDDQIRDDNDHVSNNAPLVAVDQVTDQVAGIEEPLSPIFPLDDENSSCKFMVDFKVDENFLSDFLNVDFSELYNNGNYEGGSVASATTCDKVPDFQSSSMVPVVDYELDWLIDNTAH >ONI15167 pep chromosome:Prunus_persica_NCBIv2:G3:2118470:2122831:-1 gene:PRUPE_3G028400 transcript:ONI15167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKKLPIVKSVKDFEDDDTPTSTRNSQLLRLAADAAIETEVPQTPTNKKLAPEIPTPQFVIVDTYERDYSRTFAQPNSYLRARGARAELGEFVEYDLDNEDEDWLYEFNKEKNILAPDKLESIIFKLEVLDHKARERAGVITPTLASPIPVLLQHDVASEALQPQSLRYAVIQSIYNYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKTHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTILEALIKREEKKREVMEIEVSLQIIQMKYKHETELLEDSLALPGFPPVSCKFGSSEDEFVDSDDVANSRPRTRPSAIQNPPLTDSNMVMVPTGTMKQEFRRRHAPQGWLHKMDPLEPVLLFTRPLVPEKLAAAGIVPPSDSSTQNGVPTPPYKFRGRIGRGGRLVFDRWNPLMHTPIDCGNSFYIPPKPRPTTYN >ONI15168 pep chromosome:Prunus_persica_NCBIv2:G3:2118847:2122498:-1 gene:PRUPE_3G028400 transcript:ONI15168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKKLPIVKSVKDFEDDDTPTSTRNSQLLRLAADAAIETEVPQTPTNKKLAPEIPTPQFVIVDTYERDYSRTFAQPNSYLRARGARAELGEFVEYDLDNEDEDWLYEFNKEKNILAPDKLESIIFKLEVLDHKARERAGVITPTLASPIPVLLQHDVASEVLLYETEALQPQSLRYAVIQSIYNYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKTHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTILEALIKREEKKREVMEIEVSLQIIQMKYKHETELLEDSLALPGFPPVSCKFGSSEDEFVDSDDVANSRPRTRPSAIQNPPLTDSNMVMVPTGTMKQEFRRRHAPQGWLHKMDPLEPVLLFTRPLVPEKLAAAGIVPPSDSSTQNGVPTPPYKFRGRIGRGGRLVFDRWNPLMHTPIDCGNSFYIPPKPRPTTYN >ONI16664 pep chromosome:Prunus_persica_NCBIv2:G3:9561697:9564159:1 gene:PRUPE_3G113900 transcript:ONI16664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDDRLKDSLRVLLQEENKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHFEDDTAKNGKHQEEKKNEGNQDEEKNEEKKDEEKEEEKKDEEKKEEKQDEKEKEDEEKHDDQVIKVVDYSNMEAPSSLKSLCRYVKTTLVPQDKTLNFTIDKEVFGLERDTFVLPEDITQFAGMEEIGATVVAVYMSRHWILLIVSAKRETVYFLDSLPGNRVVDEYGKNIVNSAIKIYNSHIARSGRTPKQPSSVECGYYVMRFMRDIIMDPSLAFEKKYAKGKQEAPYPQEAIDEVRNEWAEFVCLHIE >ONI16145 pep chromosome:Prunus_persica_NCBIv2:G3:6023848:6030200:-1 gene:PRUPE_3G081000 transcript:ONI16145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKKWASIISSIASCVYFLVIILQVPLFRVPCTAGICRTPIEVTSSQLIACELFPLVVVKALLYPGAFANALIKNRPIPSYDSLKLYNFTNVKAADAISELQRLEVLAGSYLSVAGALLGLIKPGRMSLCGMLLIVWGLVREIILRKSTSTIHVYIYPAMSIALFSAFLSITKDVRRIIRSCRLGIIGGLSVNSTLNFLRNLVNWSSRDGESCPPFVLCSDPALNKELLLIERPSFPYVSRKNEGTELDPTLIVENLRSKRIYLENSGSRCIVMPCHISHSWHDQISEGCSVPFLHMGECVAKELKEAKLKPLEAGSPLQIGVLATYATLKAGFYQEKLRNEGFEVVLPDKATMEHTVIPAFEALNRKDTEGAQNLLRIAVQVLLVRAANAVILASDDLRDLLPPDDPLLKKCIDPMNALAWSTIKWVQSAKEGT >ONI17126 pep chromosome:Prunus_persica_NCBIv2:G3:14719945:14723323:1 gene:PRUPE_3G139400 transcript:ONI17126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSSHPLVFQKIHGQSSLISRLSPNLHTRNHGVTGAYANGGLQRPLLTFESTALAQVSPLPPIVVQAPVEKGAAGFAVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSQPYKGITDCFARTIKDEGVLALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLIDVYKKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGGLQDSFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIIKKEGSKSLFKGAGANILRAVAGAGVLAGYDKLQVVLLGKKYGSGGGG >ONI19012 pep chromosome:Prunus_persica_NCBIv2:G3:24254452:24255723:1 gene:PRUPE_3G253300 transcript:ONI19012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPTSSVKVVEVCQVALPPPPSPRSFASPSSFPLTFFDIRWLRFAPVQCLYFYEMPTSSATQLFFDTVLAPKLKTSLFNTLQHYLPLAGHLTWPQNSQKPVLSYVQGDTFSLTIAESGITVFPNCGLLIGTSMHHAILYGKTSTLFAKSWAHNCKHGEQSTSMLLDHLKQFYDIRDGPNNRSLMFWEKKAPPGSIRGTFPITTADIETPRQLLKAKLAEQKQQDIRLVHVPTFTLASLFGDDGLVVAVNANSEAIRRLEKGVLDGAENWVSRVFAVSSEKMLMLAGSHRSGVYESDFGRGRPKKVEIVSIDRARAISFSDPETDAGVVDVGLVLDKHNMQVSASLFAKGLENP >ONI18508 pep chromosome:Prunus_persica_NCBIv2:G3:22074916:22077232:1 gene:PRUPE_3G219700 transcript:ONI18508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVRFSGILLASCFLVILGLSEAQLQLGFYAKNCPKAEKIVKDFVDEHIHNAPSVAAALIRMHFHDCFVRGCDASVLLNSTSSNQAEKVAPPNLTLRGFNFIDRIKTLLEAECPGVVSCADAIALAARDSIVATGGPFWKVPTGRRDGVISRRAEALANIPAPTSNFTTLQTSFGNLGLDLMDLVLLSGAHTIGISHCSSFSNRLYNFTGVGDQDPALDKQYAANLKANKCKSSTDNTTIVEMDPGSHRTFDLSYYTLLLKRRGLFQSDAALTTSSTTLNYINQLLKGPLQNFYDEFAKSMEKMGRVNVKTGSAGEIRKQCAVVNS >ONI17649 pep chromosome:Prunus_persica_NCBIv2:G3:19020777:19022331:-1 gene:PRUPE_3G171600 transcript:ONI17649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSEVSMVLLVSLFVTSLMAMTASAGNFYHDFDITFGGERAKILNGGQLLTLNLDKFSGSGFKSKNEYLLGRIDMQIKLVSGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFHLWFDPTKAFHTYSIVWNSQRIIFLVDNIPIRVFHNLETIGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFKVSTTSTTSTNSLTEQSAWQTQGLDAAGRNRLRWVQQKFMIYNYCSDLKRFPQGLPAECRRSRF >ONI19405 pep chromosome:Prunus_persica_NCBIv2:G3:25502315:25503363:-1 gene:PRUPE_3G277600 transcript:ONI19405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTLNLNVEVRVVKGCRKGDNVQGILDVKKHLEKFGYLSGHSQNDDFDDQLESAIKTYQINYNLEATGRLDAKTVSNMMIPRCGVPDIINGTTSMISRKIQHHEGLTVSQYAFFPGNPKWPSSKYRLTYAFLQGTPNQAKDPVKRAFQTWANNTQFTFSQVEEYGTPDLTISFQRRDHGDGYPFDGRGGITAHAFSPTDGRFHYDADEMWVVGSVNGGMDLQSVAVHEIGHLLGLNHSFVEDAIMYPYLNTATVKRNLKPDDIQGIKNLYNIK >ONI19784 pep chromosome:Prunus_persica_NCBIv2:G3:26404939:26406104:-1 gene:PRUPE_3G297800 transcript:ONI19784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDIGRPLPKFGEWDVNNPASAEGFTVIFTKARDQKMSNGAPGTGTPSSKPEPNSQYPPEKKWFCCM >ONI18639 pep chromosome:Prunus_persica_NCBIv2:G3:22756411:22756917:1 gene:PRUPE_3G228900 transcript:ONI18639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCATRGAWIAAVSVGAVEALQDQGFCRWSYTMRSLIQHAKNNMRSFLQAKELSSSSSSAMVLNKMNSEQKNQAENSLRKVMYLSCWGPY >ONI17153 pep chromosome:Prunus_persica_NCBIv2:G3:14881720:14886202:-1 gene:PRUPE_3G140700 transcript:ONI17153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGNLEGLEPVSPNGQYFSSDVITLSVLAVLEFEIPIIDAESQTMSLLKNVFLPISPRFSSIMVENDGKKEWRRVEVKLEDHVMIPIFPSNLSPESYDQYLEDYLSRLSTERYPQGKPLWEVHIIKYPTSNAAGNVVFKFHHALGDGYSLMGALISTLQRADNPSLPLTFPSRQRSESKKRENFFVTKIFSSACNTISDILWGISKTLKEDDLTPIKSSNDAIEFRPSTIATMTFSLDQLKSIKNKFGVTLNDVLTGMIFFGTRLYMQEIDQSSSTADCTAMVLLNTRLMGDYMPIEEMIKPNSKMPWGNRFTFLQVPIPNLTELSNAEDFIRHTHKMIKRKRNSLAAHFTSRLLEIVNKFGSREASSRYIHRTLKNSSMVISNMIGPVEQMSLANHPIKGFYFLVPGLPQGFDITIVSYMGNVRLAFKMEKGIIDPQKLKSCMENALDMIVKDSNKNPNLNN >ONI15950 pep chromosome:Prunus_persica_NCBIv2:G3:5072119:5074305:1 gene:PRUPE_3G070600 transcript:ONI15950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPNSQPPPPPQYIPLLSDQNYVVSPASLPHSHLPRRRRTICLGITLLLVLAAASCSAFLFWPSDPSLKIVRLRLNRVQVHTRPHVAIDVSMLVTIKVRNGDVYRMDYDALEVAVGYRGRSLGHVTFQRGHVRAFGSSYMEAEVEFNGVGVFSDVVLLLEDLAKGKVPFDTVTEVHGRLGFLFFEFPLQARVSCEVMVNTVNQTILRHNCYE >ONI18888 pep chromosome:Prunus_persica_NCBIv2:G3:23751528:23755700:-1 gene:PRUPE_3G246200 transcript:ONI18888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLLLPPLPLYLLPLFLQIGSLISAPIYTPVEDITINCGSSETLSNIYDKRNWVGDIKSKFSPSELHQADTNTSSEVRKAPPSSSVSQVPYTTARLSRFEFSYKISLSTGQKFIRFYFYPVSYDPGFDRSKALFSVQAGGFTLLHDFNASVTADASETETLIREFCLNIDEGQSLTITFTPSRAIPDAYAFVNGIEIVSMPTNLYYTASESHGVDYVGNEANYRIENITAMEMVYRINVGGSALSFDQDTGMYRNWDSVVDEQKYLDDLSSRWTVLPQNVSLQLNFVKIPEYCAPQVVYRTGRSMGSNHTRNKSYKLTWEFPVDPMFLYLLRLHFCEFEPEIMDPGDRSFLIYVENQLAEPQADLIVWSGGNGIPIYRDYVVFMPAGPDQKKVKLFLALQANPRDWMTKYNDVLLNGLELFKLNDTNGNLAGPNPDPRPYIPLSDLNGNFAGPTDLTPSSSVKHNTKSNRILAIAAGVASGLLVFSVLFGFLIFRRRLKAKSFVSSIHGPTKSTETRGSSLPPYLCRYFPLAEIKAATQNFNDSFIIGVGGFGNVYKGYIDDGGPTPVAIKRLKPESSQGAHEFKTEIELLSQLRHRHLVSLIGYCTDDNEMILVYDYMARGTLADHLYHKDNPPLSWEQRLQICIGAARGLSYLHTGAKGTIIHRDVKSTNILLDEKWVAKVSDFGLSKMGTTNTSKTHISTMVEGSFGYLDPEYYRRQRLTEKSDVYSFGVVLCEVLCVRPAVMHTVELRQMNLAEWARNCHRDGELDQIIDPSIRGKIEIQCLNQFVEIAMSCMNDSGIERPSMNDVVRALELALQLHRNCIERNNEVAFVNDSADAELRSSKPVKKQLYC >ONI18512 pep chromosome:Prunus_persica_NCBIv2:G3:22096045:22101919:1 gene:PRUPE_3G220100 transcript:ONI18512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERKLFKTKLCVLYQRGRCARESCSFAHGQAELRRFSGSFDGRRDSGGDDLRDKLERRYSPRGRYSPQRDARGRHVLRAEHSPSRSLERENFEYSFGNSKRRKKQHLDGQSDISGSLRISNETEHEAKEANSRGVLEEQLKQLQSEINMLDHRRSQLGVYLEEKSQEVDSLTSKIKELEAQLYKEKEDCKRISSKVKKFVKAHSRNSRIQDQLKRSQVRLNKLGDQLALDIYGNDVNEEDSSINIVSDGDGETTGFPVTPHNERLNDASPSKMRLEAIRDYAKESKQSAHSTRGHLTTSSRPKKLSRWNIHPAQSNFDKKNEAVSNTTGSPKQLANEGKHDRRKTASSSILSADMLKISESAPVVPSTSMAAHPVDTEVEIEPEDKIEMVGKASGKIEEGAAYENVSFSLPLPPPPIPKINYSQYEGDDEIIDVQGAD >ONI18513 pep chromosome:Prunus_persica_NCBIv2:G3:22096045:22101165:1 gene:PRUPE_3G220100 transcript:ONI18513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERKLFKTKLCVLYQRGRCARESCSFAHGQAELRRFSGSFDGRRDSGGDDLRDKLERRYSPRGRYSPQRDARGRHVLRAEHSPSRSLERENFEYSFGNSKRRKKQHLDGQSDISGSLRISNETEHEAKEANSRGVLEEQLKQLQSEINMLDHRRSQLGVYLEEKSQEVDSLTSKIKELEAQLYKEKEDCKRISSKVKKFVKAHSRNSRIQDQLKRSQVRLNKLGDQLALDIYGNDVNEEDSSINIVSDGDGETTGFPVTPHNERLNDASPSKMRLEAIRDYAKESKQSAHSTRGHLTTSSRPKKLSRWNIHPAQSNFDKKNEAVSNTTGSPKQLANEGKHDRRKTASSSILSADMVHLFYFLEEFCLISM >ONI19250 pep chromosome:Prunus_persica_NCBIv2:G3:24990592:24993297:1 gene:PRUPE_3G267200 transcript:ONI19250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKHPEPGNHNTNELVSVQENHPISQNPTFEQQYYPPGFFRKVVAEMIATYMLVFVTCGSAALAASDEHKVSRLGASIAGGLVVTVMIYAVGHISGAHMNPAVTLAFAAVRHFPWKQVPIYWVAQLAGSISASFTLSVLLHPIKHVGTTSPSGSDFQALIAEIVMTFSMMFIASAVATDTKAIGELAGVAVGSAVCITSIFAGPISGGSMNPARTIGPAIASTYYKGIWVYVVGPVIGTLLGSWSYNFIRVSDNPTQPISPPPPPRSFAFKLRRMKSDNGQVPCKDPLDSA >ONI18616 pep chromosome:Prunus_persica_NCBIv2:G3:22637434:22640348:-1 gene:PRUPE_3G227000 transcript:ONI18616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLPLRRLSRLLKPLCSASSSSFRSSLYLLAASKSLHLSENSHGPRYWIDSRSFCSSPLNLNDAEVPAAIDYRSVLQEDEFHKLADTTIHDLQEKFEEYGDSVQVDGFDIDYGNEVLTLKLGDLGTYVLNKQTPNRQLWLSSPVSGPFRFDWDRNAQAWVYRRTKAHLLKLLESEMEELCGEPISLS >ONI17264 pep chromosome:Prunus_persica_NCBIv2:G3:16292106:16294207:-1 gene:PRUPE_3G149100 transcript:ONI17264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRTTCMVMPAAGFVIITILLAASTTAADDSTPIPSDGSQVGSWFDNNVKPLAERKGALDAALVTAEDGPKLIKVMKDGSGNFKTLTDAINSIPERNTKRVVVYIGGGVYNEKINIPQNKPFVTLYGSPKNMPTLMFDGTAEKYGTVYSGTLIVESDYFRAANIIVTNSAPEPDGIRSGAQAVALQISGDKAAFYNCKFIGFQDTLYDYKGLHFFKDCYIEGTVDFIFGKGKSLYLNTEIHVLERNITVITAQQRDALEDNGFSFVHCKITGTTYVRSTYLGRAWGSSPTVVFAYTDMANVVHPERWNDFGHPERSNNMFYGEFQNSGPGSNISGQARYTKKLSDVEAKSFLSLGYIQGSKWLLPHLNTRSRHI >ONI18976 pep chromosome:Prunus_persica_NCBIv2:G3:24019238:24021075:-1 gene:PRUPE_3G250500 transcript:ONI18976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSDWNLVNGDYCQMSQHDAYPSLPKIESKLYFISIFVLGVALHYRHIQAPGWSIGWTWVKKEAIWPMLGSEATRRGENCSSLKDAIPHNLSWVPNGALDVGFFTITIAQSETTYKDVRVPKNFTLKAPGPGYTCGSTMTWNMAYTFSPFIAREMPTCCVSLFAFYDSNIVSCPTSSCGCRSNTSSSEICAEKFKAQVRPMDRT >ONI16698 pep chromosome:Prunus_persica_NCBIv2:G3:9869792:9871668:-1 gene:PRUPE_3G116400 transcript:ONI16698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLIWRWRRFKPKPISSHEWEMAAAEPLTQLPTTKALKYLKPDGEEDLLCPKTEHNPKEPMKLTLSPSQDQTKAEPWLFKPKPSSVFPVKKRLVKRIMFDQIVQCFCSVSDYTNSPLFLLLEPLKPSHHQTVTRSLATTFTQAHHHRYGMIFCFCFCFCYCSISVFCF >ONI16742 pep chromosome:Prunus_persica_NCBIv2:G3:10267666:10268700:-1 gene:PRUPE_3G118700 transcript:ONI16742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKVDEKLDEEKHSMCSHGVHWSRGKLIGKGSYGFVFLATPRKPTKDEHSRGMLNLREMMAVKSAKVSASESIKHESDVLLEIKGCPFIIERLGEETTATDKGDMVYNLLLEFASGGTLDGLIHKSKGHKLSEYDVRRYTRSILEGIQHIHKYDYVHCDLKPDNILLLPTTTTSSGGTSFVAKIADFGLAKKTKVNYSRWRGTPRYLSPEAFINNKQDQSSDIWSLGCIVFEMLTGKSPWDLKPGYNPNNFPDVLMFDHLRTCKLPTGISDMARDFLKSCLAMNSRERLTAESLLSHPFVAQPQSSKEGHTKVKLINSFLGYASGVCCFKPSSDYHAHSAIVQ >ONI19057 pep chromosome:Prunus_persica_NCBIv2:G3:24453374:24455782:1 gene:PRUPE_3G256300 transcript:ONI19057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQHILAIPYPAQGHVIPLMELSQCLATHGFKVTFVNTEHNHKRVMNALADESHISRDHIHLVSIPDGLEPLEDRNELGRLSEAMQRVMPGKLEELIEKINQGEGQKITCVIADQTAGWILEVAEKMKIRRVAFYPAAAALLALKLSIPKLIHEGIINNDGTAPKGRMFQLAPNMPIMKTEHLLWSCIGDLTTQKIIFQTMVRSNKTTLAADWLLCNSAYDLEPAAFTLAPEILPIGPLLASNRLGNSAGYFWPQDLTCLNWLDQQPPCSVIYVAFGSFTVLDQTQFQELALALELSQRPFLWVVRPDTIEKTRNLYPEGYQDRVASRGLMVGWAPQQKALAHPSIACFLSHCGWNSTIEGISSGVPFLCWPYFADQLFNESYICDVWNVGLKFNKNESGIITQGEIMNKLDQLLGDVGFKARASKLKELAMTSVKEGGQSNKNFKNFIEWMKS >ONI19266 pep chromosome:Prunus_persica_NCBIv2:G3:25060532:25064183:1 gene:PRUPE_3G268500 transcript:ONI19266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPASSLFRLYASKKSISRFRVLVWNQYLSSAGSYDRSVSPSFPFCPAFDGPNRRFSTSLRDLVRLRLPPQSPKFMGSDTLDAKPFSTALGDGDEDVNDNSAYSSTMVESECDFDADAGKNVEFELEDSARNLSNCEDRDGDDEGLICDSMMVESENGDDNVSSVKPLSFVHVASRESAELYRELRNAEKGAKQRRSDWDTLQEIFRYFGNSGWASDQSLAIYIGRSFFPTAVHNFRNFFFKKCSADVARYVVSLGPSDDAVEFLFPVFVEYCLEEFPDEIKRFRGMIESADLTKPHTWFPFARAMKRKIVYHCGPTNSGKTYNALQSFMEAKKGIYCSPLRLLAMEVFDKVNGNGVYCSLHTGQEKKFVPFSNHVACTVEMVSTDELYDVAVIDEIQMMADPYRGFAWTRALLGLKADEIHLCGDPSVLDIVRKISSETGDELYVHHYERFKPLVVEAKTLLGDLKNVRSGDCVVAFSRREVFEVKIAIEKHTNHRCCVIYGALPPETRRQQANLFNDQNNEYDVLVATDAVGMGLNLNIRRVVFYGLAKYNGDKTVAVPASQVKQIAGRAGRRGSIYPDGLTTTLNLDDLAYLIECLKQPFDEVKKVGLFPFFEQVELFAGKMPNVTFCQLLEKFSENCRLDGSYFLCRHDHIKKVANMLQKVPELSLEDRFNFCFAPVNIRDPKAMYHLLRFASSYSQNLPVNIAMGIPKGSARNNKELLDLETKHQVLSMYMWLSHHFKEETFPYWKKAEAMATDIAELLGKSLANANWKPESRAAENQKFQQKRDSYDRPRSLIKVYEKKRQDRSVQHELSEKVAA >ONI15615 pep chromosome:Prunus_persica_NCBIv2:G3:3634446:3638462:1 gene:PRUPE_3G051600 transcript:ONI15615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDGEFDLGSYCTQTTIINIVNLLFAFLFCLFMLIDFIRKRHIIGNDPNRRDQLSVLVSICCALTSFAYFAAGLWDLIAQSVESNHFGWLDYFVQGLIWISFTVSFLVQRSKWIKVLNSVWWVSSFSLVSALNIEMFLRTHDIHLFDILSWLVNFLLLLCAFRNLSHFVYQHTQVNSLSEPLIATKSARKSQQTDLDHSSFLSKLTFSWINPLLNLGSSKTLALEDIPSLVSEDEANLAYQKFAHAWDSLSREKSSSSSGNLALRAIAKVYMKENIWIAFCAFLRTISVVVSPLILYAFVNYSNSDKESLSEGITTVGCLILTKLVECLSQRHWFFDSRRSGMKMRSGLIAAIYQKQLKLSSLGRRRHSAGEIVNYIAVDASRMGEFPWWFHSTWSYALNYSSLLAIQKFQSQFMIAQDERLRATSEILNSMKIIKLQSWEEKFKSLVGSLRDRELKWLAESQFKKVYCNLLYWLSPTIISSFIFMGCILFQSVPLNASTIFTVLASLRSIGEPVKMIPEALSVMIQVKVSFDRLNAFLVDDELNDDQIRNLTSHKSDESIRIERGIFSWYPESTVPTLRDVNIEVQREQKVAVCGPVGAEKSSLLYAVLGEMPKISGTVDAFGTIAYVSQTSWIQSGTVRDNIFYGKSMDKNKYEKTINACALDKDITSFDRGDLTEIGQRGINLSGGQKQRIQLARAVYSDADIFLLDDPFSAVDAHTAAILFHDCVMGALAKKTVILVTHQVEFLPEVDNILVMQGGQITQSGSYESLLTAGTAFEQLVNAHRDAVTTLGPSNYQSQEDMIQLEESHMTYVTGKNSGGDISLKGVPGVQLTEEEEKEIGVIAQFCFIGLQAAATYWLALAIQIPNITISMLIGVYTAISTLSAVFVYLRTCFAVHMGLKASGAFFSGFTDAIFKAPMLFFDSTPVGRILTRASSDLSILDFDIPFCIIFTVCAVIELLTTFAIMASVTWQVLIVGIPAMVAAKHAQVDWNINFLIFSLFFFTYI >ONI15640 pep chromosome:Prunus_persica_NCBIv2:G3:3754698:3754952:1 gene:PRUPE_3G053100 transcript:ONI15640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASTKKTKKSYSLTNGGNGGDVVTAKRGRFDRCFSFMEISTEQGKSLKDMDSEKLKSGIRRWAKAVVAYARQVSGRFGGTRG >ONI17769 pep chromosome:Prunus_persica_NCBIv2:G3:19476383:19477588:-1 gene:PRUPE_3G178100 transcript:ONI17769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGSVDASPQRVLACLVEKEIDFEIIPIDLFKGEHKDPEFLKLQPFGQVPVIQDGDYTLYESRAIMRYYAEKFKSQGTELLGKTIEERGLVEQWLEVEAQRFHPPVYKLVVHILLASALGFPSDPKIIQESEAKLGKVLDIYEERLSKSKYLAGDFFSLADLSHLPFNQHLVADLGKEHMIRDRKHVSAWWDDIGNRPSWKKVLQLGVFELPK >ONI17937 pep chromosome:Prunus_persica_NCBIv2:G3:20111375:20111647:-1 gene:PRUPE_3G187200 transcript:ONI17937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKSVKPQDVVQLANCHLQLANYLQLSVGQLPVTFNSAQQYHVQAPPPPAGYPTRDEPQNSVPVETKSKGDGFWKGCCAALCCCCVLDACF >ONI15684 pep chromosome:Prunus_persica_NCBIv2:G3:3899479:3901913:-1 gene:PRUPE_3G055300 transcript:ONI15684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFVVYEFELMPSTLRSATSVSFVLCLTDISIVLLQFIVFNGLVLLSWVGEGDCCNWTGVVCDNLTGHVRELHLGNYYSDEYLNYSLYQENSLGGKVTNTLPSMLVELHMSGCELNQIPVGVANTTRLKVVNLRWNIIWGTIPQWLYTCSNLESLSLYLNLLRGEISSSIGNLTAIVNLDLSANQIEEKMPNSLGNLCQMESLKLSTNDFSGPLSYQLGNFRHFRLLALSSNSISGPIPVSLGNLSFL >ONI15753 pep chromosome:Prunus_persica_NCBIv2:G3:4197946:4202298:-1 gene:PRUPE_3G059300 transcript:ONI15753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSNNGHNSRTCPTRVGSSSSSSAGGGGCGGLKLFGVRLTDGSIIKKSASMGNLSSAAHYHSSSPNPDSPSSDPLHDPVHVPEGYLSDDPAHASSSANRRGDRKKGTPWTEEEHRMFLIGLQKLGKGDWRGIARNYVTTRTPTQVASHAQKYFIRQSNATRRKRRSSLFDMVPDMAMDPPPVPEEQVFLPSCPEEESEAASSLPSLNLSLSSECKPMETTHEEKVKEPDHEPVMGSNGFPPMIPGFFPAYLPYPFPVWPPSAGPMRELKGGEASHQQVLRPIPILRKEPVNVDALVGMSQLSLGDTQRGHKEPSPLSLKLLGEPSRQSAFHPNAPAGEPDLSKGKSSAIQAV >ONI18730 pep chromosome:Prunus_persica_NCBIv2:G3:23036222:23039311:-1 gene:PRUPE_3G235000 transcript:ONI18730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSTSSRSTRSSRSNGETVAHSFLGIGFCGQKRTKKTFSDHVYTLQNLHSIPNRIFTNGKSRASCIFTQQGRKGINQDAMVVWEDFMSEDAIFCGVFDGHGPHGHLVARKVRDALPVKLLSFLHSYQSRLNGSSKTCFKGNLKRSDGGDSEKDASAEEKLNVTWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTLVKQGSNLFMGYIGDSRAILGSKDSSDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVSRVWLPFDDAPGLAMARAFGDFCLKDYGVISIPEFSHRILTERDQFIVLASDGVWDVLSNEEVVEIVSSSPSRASAARIVVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYEEQGFSSATLQSNHSGNAVESDDGQKSEPSLQRNFTVRSSDESDTYGRLPVEIEGNEETMAAEDQNWSGLEGVTRVNSLVQLPRFSEERP >ONI17770 pep chromosome:Prunus_persica_NCBIv2:G3:19481374:19482581:-1 gene:PRUPE_3G178200 transcript:ONI17770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGAAYASPKRVLVCLVEKEVDFETIPIDLFKGEHKHPEFLKLQPFGTVPLIQDGDYTLYESRAIIRYYAEKYKSQGTALLGKTIEERGLVEQWLEVEAHNFHPPLNNLVLHILFASALGFPSDPKVIQESEEKLGKVLDIYEERLSKSKYLAGDFFSLADLSHLPFTQYMVTLLGKEYLIKDRKHVSAWWNDISNRPSWKKVLEFGTPF >ONI18127 pep chromosome:Prunus_persica_NCBIv2:G3:20807740:20811584:1 gene:PRUPE_3G198300 transcript:ONI18127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCFLLFTPSHPCRRVPRLSNHAFSTFTASSPVVSDPPPPHASLFFKSQNPTRPLIPLQSSFDQYESSQPQLKAPPFPPHFTHTNNGTYGYLSSRYRDSRTSNDAQSFHLQICKHGFANDLFLCNTLINVYVRIGALVEAGKLFEEMPEKNSVTWACLISGYTQNGMPNEACAHFKQMVSDGFSPSPYACGSVLRACQESGPCKLKFGMQIHGLICKTNHASDMVMSNVLMSMYGRCLGSVDDAYHVFCEIEIKNSVSWNSIISVYCQRGESISAFKLFSSMQKDGSAFSLQPNEYTFGSLITAACSLAHAGLSLLQQILTRVNKSGILQDLYVGSALVSGFARFGLIDYARKIFEQMSERNAISMNGLMVALVRQKRGKEATEVFMEMKGLVGINLDSLVVLLSSFAEFSVLEEGKRKGREVHAYVIGAGLIYRKVAIGNGLINMYAKCGAISDACSVFRHMMDKDLISWNSLISGLDQNEFFEDAVMNFREMKRSEFMPSNFTLISALSSCASLGWIILGQQIHCEALKLGLDLDVSVSNALLALYSDTGHLSECRNVFFLMQDYDQVSWNSIIGALAGSEASVLEAVEYFLDMMQSGWELNRVTFMSILAAVSSLSLPDLGQQIHAVVLKYNAAEDCAIENALITCYGKCGGIDDCEKIFSRMSERRDEISWNSMISGYIHNEFLPKAMDLVWFMMQRGQRLDSFTFATVLSACASVATLERGMEVHACGIRACLESDVVVGSAIVDMYSKCGRIDYASRFFELMPVRNAYSWNSLISGYARNGQGHEALSLFSHMKLQGQLPDHVTFVGVLSACSHAGLVDEGFQHFKSMTKVHGLAPRMEHFSCMVDLLGRAGKLNMIEDFINKMPMKPNVLIWRTVLGACCRANGRNTELGRRVAEMLLELEPQNATNYVLLANMYAAGGKWDDVAKARMAMRKATAKKEAGCSWVTMKDGVHVFVAGDKSHPEKDLIYEKLKELNRKMREAGYVPETKFALYDLELENKEELLSYHSEKLAVAYVLTRPSQLPIRIMKNLRVCGDCHSAFKYISKIVGRQIVLRDSSRFHHFADGKCSCGDYW >ONI17375 pep chromosome:Prunus_persica_NCBIv2:G3:17306506:17307141:1 gene:PRUPE_3G155200 transcript:ONI17375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLTNREVWAVTKLPSQEMEAHHQIQDSEETDGDADNLCLYQQLYSYATQGKTNQFHDTIEKKLRDPNIRVQFLSRRSSQNNTFIHIAVSSGHVELAAKILQQHKPLLLEKNFESDTALHIAAKAGDVDTTTNTLLREARGTTDVENTGDVLTLLRMKNNEENTALHEALIRGHQLVAKCLIEADPAVSLYINKEQKSPLYLAAEQGLVEI >ONI19597 pep chromosome:Prunus_persica_NCBIv2:G3:25897540:25901479:-1 gene:PRUPE_3G286700 transcript:ONI19597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFLYVILGGGVAAGYAALEFTKRGISHGELCIISEEPVPPYERPALSKGFLLPEAPARLPSFHTCVGANEERLTPKWYKEHGIELVLGSRVKSVDVRRKTLLTGSGETISYKVLIIATGARALKLEEFGVKGSDSENVCYLRDLADANRLVNLMESSSGGNAVVIGGGYIGMECAASLVINRMSVTMVFPEEHCMARLFTPKIASFYEEFYKSKGVKFVKGTILSSFDIDSNGKVTAVNLRDGSSLPADTVVVGIGIRPNTSLFEGQLTLEKGGIKVNGKMQSSNSSVYAVGDVATFPVKVFGESRRLEHVDSARKSARHAVGAIMEQNQAGEFDYLPFFYSRVFTLSWQFYGDNAGDVVHFGDFSGGTFGAYWVRNGHLVGSFLEGGTKEEYEAIAKATRLKPAVEDLAELERQGLGFAVTVSEKPPPSPPRDVIGSGIVLERPIYALHATAGVVLAASVAAFAFWYGRKRRRW >ONI18178 pep chromosome:Prunus_persica_NCBIv2:G3:20965861:20968056:-1 gene:PRUPE_3G201100 transcript:ONI18178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLTPSHSLHQPLLSPQSLPFSLLQTCKTQREAEQHHAISLKTGTFSHPAIASRFLSLYADPKINNLDSARSVFDQIEEPTLVSWNVLIKCYVGNQRSHDAIVLFYELLHELVPDNFTVPCVIKGCARLNAIGEGKQIHGLVLKIGLGLDKFVQSSLVSLYSKCGEIGLARKVFDQMLDRDLVTWNSLIDGYARCGEVEVAMELFDQMQERDSFSWTVLVDGLSKCGKVEMAREIFDRMPNRNLVSWNAMINGYMKSGDFEMARQLFGLMPTRDIITWNSMIAGYEFNGQFMEALELFQEILEEDIMPSHATLVSALSAVSGLATLSKGRWIHSFMVKHGFDLDGVLGTSLIELYSKCGSIESALAVFRAIDRKKLGHWTAIIVGLGMHGMADQVLELFLEMRKNGMRPHSITFIGVLNACSHAGLVDLGRYYFNLMINDYEIEPTIEHYGCFVDILCRAGCLDEAKNVIEGMPMKPNKVIWMSLLSGARNQGNVEVGDYAARHLIDVSPDTVGCYVLLSNMYAAADKWEKVSQVREIMRKRGVKKDPGCSSIEHRGVLHGFIVGDKSHPRTKDIYSKLTEMREKLKSEGHVPDTSQVLLCLEEEKEKEAELENHSERLAIAYGLINLESGSPIRIIKNLRVCNDCHSVTKLLSSIYDREIIVRDNSRFHHFRNGSCSCKDFW >ONI15393 pep chromosome:Prunus_persica_NCBIv2:G3:2983247:2983663:-1 gene:PRUPE_3G041900 transcript:ONI15393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FCALLVDSQSQDVVNNVLSPPALPPPESPTPPSPPDSSNSPSPPDTPGPPPPDRPANQLNSKNDPKSLPPPSHRGPRPTNYRRRHPPPPHPGHKYNAGKKIGLLFVGIAAILQIGVIGFLVFKRRQLLIVKDRYETCS >ONI17576 pep chromosome:Prunus_persica_NCBIv2:G3:18691353:18695566:-1 gene:PRUPE_3G167900 transcript:ONI17576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYLFNFLLLLCLSVSISTVSSLNSDGVALLSLSKHWTSVPASISSSWNASDSTPCQWVGIECDNDHNVVSLKLTGYGISGQLGPEISRFRYLKILDLSVNKFSGKIPTELANCSLLENLDLYENGFSGEIPESFFAIPALAYVHLYSNRLNGSIPGNVGNLSELVHLDLYENQFSGVIPSSVGNCSKLEDLYLAENQLIGELPKSLNKLENLVYLDVANNSLEGSIPLGSGTCKNLIYLDFSYNKFSGGIPPGLGNCSNLTQFSAVGSNLEGTIPSSFGQLKYLSILYLPLNHLSGKIPPELGKCESLKELHLYTNQLVGEIPGELGMLTQLQDLKLFENRLTGEIPVSIWKIQSLQHILVYNNSLTGELPVVMTELKQLKNISLFNNLFFGVIPQTLGINSSLWLLDFTNNKFTGKIPPSLCRGKQLWKLNMGFNRIQGTIPSDVGNCSSLSRLKLGHNNLTGVLPQFAKNSRLLYMDISNNEISGEIPSILGNCSNLTTINLSINKLTGGIPQELGNLEELRSLILFKNNLVGPLPPQLSKCTKMDKFDVGSNLLNGSIPSSLRSWTDLSTLILSDNSFTGEIPRFFTEFEKLIELRLGGNLFAGAIPSSIGALVSLSYALNLSNNALTGRIPSELGKLTSLQQLDLSHNNLTGTLKALDHMISLTEVDVSDNNFTGSVPETFMKLLNSSSLSFLGNPYLCVSYLPLCGSTCGRNNSFKLCNRQLSNHKGLSKVEIAFTALGSSLFVVFVLYGLVYMFLLRKKTKQELEVSAQDRLSSLLKEVMEATENLNDQYIIGKGAHGTVYKAFLAPDKDYAVKKLVFAGHEGTRSSMVREIQTLGTIRHRNLVKLEDFWLRKDHGLILYRYMENGSLHDALHEIKPPPTLEWIVRYRIALGTAYGLEYLHFDCDPRIVHRDVKPMNILLDSDMEPHVADFGIAKLLDQSSASTASAAVVGTTGYIAPENASRPSTSVESDVYSYGVVLLELITRKKALDPAFGEQTDIVGWARSAWSNTEDIDQIVDSSLKEELPHSNIIDQVVDVLMVAFRCTDKNPRKRPTMRDVIQQLLDANPQVRKRRILNPRNGLS >ONI18546 pep chromosome:Prunus_persica_NCBIv2:G3:22262877:22266984:1 gene:PRUPE_3G222200 transcript:ONI18546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSLYPSLPLVLVSPSFVTSYQRLIHIPTALQHRTRGKQFASFIVSRNRMTSSPSSTEISNPPAPQRRLAQYHPTIWDDKLIDSFTTHYTYELHATRLESLKQNVAETLLAASTSNKGGSASTCSVLKLIDSMQRLGVAYHFEQETDAALLSLVSSSTHGTTDDLHTVALQFRILREHGISISPEVFNKFRSRDGSFKDSLSKDVEGLLSLYEASHLEMPGEEEDHVLEEAKSFSTRNLRQLIGTLEDDNLLKQIVEQSLETPLRWRMPRIEARNFIDIYERDNSKNLALLELAKLDYNLVQSVYQMEIKELSRWWRDLDFKNKASFSRDRLMENYLWAMGINYEPRFSECRIGLTKFVCILTIIDDMYDVYGFLDELEHYTHAVCRWNMEAKEELPEYMKPVYAAMLKFGNELADKVFKNNGLDVLPYIKKEWVNLCKSYLVEARWFYRGYTPTLQEYLDNAWTSVGGPGALLHAYLLQGLGSHLTKTSLESFKHGSEIVYWSSLMTRLSDDLGTSKAESERGDVAKAVECYMEEKGTSEEEAQHYINDLICYSWKKMNEESAKTSRIPKPIVKMSLNMARTAHSIFQHGDGIGTSIGVTKDRLISLIANPIPIYREHKGQRSLVSL >ONI16353 pep chromosome:Prunus_persica_NCBIv2:G3:7013574:7014212:-1 gene:PRUPE_3G093600 transcript:ONI16353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASSRSRSNTYSCRKSQPLFSSSSSGFASSTSSSFSSATSTFFNRSGSPTRLSVYDRSPSQSSSSLRFENRSGSPGRSISVQNHFNHSNKNNYKNVPTSKKTCLCSPTTHPGSFRCALHKNSPRGGAAHHLNSNDQTSSYHSTIRLNYRRSAMKNSLVRIGGVEGDLVKRALSALIRPSSHSQRRRVDFQPKPSRLSVMSKASDDDDDDV >ONI19027 pep chromosome:Prunus_persica_NCBIv2:G3:24362310:24364335:1 gene:PRUPE_3G254800 transcript:ONI19027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFGGKIAPMEGALKKQLFHFHGGVVSFNCKQATKHHIRTPSIHLMSSTGITENMSSSVHLKLFKTRSEQRDAVKVCAVRRRRIYHNSETYVLLEPGEDEKFVSEEELRAKLKGRLENWPAKNLPPDLARFESIDDAVSYLVRSVCELEIHGDVGSVQWYEVRLE >ONI17054 pep chromosome:Prunus_persica_NCBIv2:G3:14277236:14278615:-1 gene:PRUPE_3G135400 transcript:ONI17054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPEIWSDLEIWRWITVPICLSSGDCHHTPLYWFLIETDQTKPDTRCTDHS >ONI15016 pep chromosome:Prunus_persica_NCBIv2:G3:1534741:1535682:1 gene:PRUPE_3G021100 transcript:ONI15016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSAMELKLRRKNTCSSAVLLILCTILLLVTTNLGSADEGEQQHKVVEESRNDDAPEKPSLWKMTRNAFPPYTSSPLRIQSYLEKAKALLNQGQAYIFPPSLDFRGSGNNIYVGEEAGSRGRGGGAGEKVREVVGNSFGKSKETVDSSAKSAAKLVGETVQKTKEKVQRSFSDGESREPQSEL >ONI16770 pep chromosome:Prunus_persica_NCBIv2:G3:10740514:10744776:1 gene:PRUPE_3G121200 transcript:ONI16770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCDCASKHCNAEAPKPKILAVIFDLDGTLIDTERATKGVFQEFLARYGKVLDKEREEKKSLGMTLKDSATSVVKDYDLPLTPDQFIQEIIPMYQEKWLYSKALPGANRLIKHFHDRGVPIALASNSLREYIDAKISHHRGWKERFSVILGSDQVKAGKPSPDLFEEAAKQMGVDAVHCLVIEDSVVGVKAANAARMEVVAVPPRGEATCSSLANTVLHSLLEFQPAHWGLPPFEDWVDNALPIEPIYFSGLNVNGFVSEITEDGRSTLPDQVWGVFFGWGVADMEKTYRVVVGIGLDYSSCSPNKNIQMYAVDGNNCCISNQQMKLLLVGYIRGLNTKEITSMDAETLKECKSIASASLDLPIFSHHGCVPLYPEPFSVEDVIGCDEIQQY >ONI15008 pep chromosome:Prunus_persica_NCBIv2:G3:1514608:1519469:-1 gene:PRUPE_3G020800 transcript:ONI15008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPITGPPSRTGSFGGAASHSGPIPNAAVRAGYTTSGPVSSGGMPGPASLKKSNSGPLNKHGEPLKKSSGPQSGGVTPTGRQNSGPLPPVLPATGLITSGPISSGPLNASGAPRKVSGPLESMGSMKVQGSSIVHNQAITTLSQDDEFSFRKSFPKLILWSLILLFVMGFIAGGFILGAVHNAYLLIVVVILFGAVATLFTWNTYWGRRAIIGYIASYSDSELRTAKNGQFVKVSGVVTCGNLPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGLRSIEISTSLISNPG >ONI15007 pep chromosome:Prunus_persica_NCBIv2:G3:1514933:1517658:-1 gene:PRUPE_3G020800 transcript:ONI15007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPITGPPSRTGSFGGAASHSGPIPNAAVRAGYTTSGPVSSGGMPGPASLKKSNSGPLNKHGEPLKKSSGPQSGGVTPTGRQNSGPLPPVLPATGLITSGPISSGPLNASGAPRKVSGPLESMGSMKVQGSSIVHNQAITTLSQDDEFSFRKSFPKLILWSLILLFVMGFIAGGFILGAVHNAYLLIVVVILFGAVATLFTWNTYWGRRAIIGYIASYSDSELRTAKNGQFVKVSGVVTCGNLPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGLRSIEGRVVDFYISDFQSGLRALVKTGSGARVTPYVDDSIVIDVNPENEELSPEFIRWLGERNLSSDDRMMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPITTGCQWAKCIFPASLEGIVLRCDDASKNDVIPV >ONI19065 pep chromosome:Prunus_persica_NCBIv2:G3:24465695:24478444:1 gene:PRUPE_3G256700 transcript:ONI19065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPVTSSSSSGSAHWGISLSNTVHSEVAPCLPLPSLPVFCGASDQDLRLFDEPSRNSAWLNHPDAALSSRIADLLRETDVSYLNLREDSSLVPYGYIEPLKLHDEVLQFNPEAFEYNSPGPIKGQVPGSSTVPDKKPFKPSVPITRLAQRDYGATNNNQLNDIPPNDVSTPSSRKPKAKKKDTDVVMSSVGPDQTAIQDAIIGRFCELVEDFCGRAELFSDDREEAEWLSIPLSDLRVLANEIMSLRAKRLLHLVPVDSFVRLLRILDHQIHRAEGLSISECEQSNSDVVSSINCALESIHAALAVMAHNQMPKQLYKEEIIERILEFSRHQIMDVMCAYDPSYRALHRPSQNGSLEVEEDEDPDAEIGSASKKRRSIKTVKVHKSSFNRVSAAVNNILQKMCTILGLLKDLLLIERLSDGCILQLVKTSFTTFMVDNIQLLQLKAMGLISGIFYSYTQHRTYVIDELIQLLWKLPFSKRALRAYHLPDEEQRQIQMITALLIQLVHYSANLPEPLRQESSGNSILELSLDADYPTKGHEAATEACCHFWTRVLQRFASAKAQEASELKVMMENLVTDLLTTLNLPEYPASAPILEVLCVLLLQNAGLKSKDIGARTMAIDLLGTIAARLKRDSALCIKDKFWILQELVSVDGNDQTDPKNACSVCLDGRVEKNFFVCQGCQRMFHADCMGVREYEVPNRSWHCQICLCRKQLLVLQSYCKSQCKDDGTKDRNRSGRNTEVAFSITKLEVVQQMLLNYLQDAASADDGHLFVRWFYLLLWYKDDPKSQQKFMYYLARLKSKEIVRDSGTVFSLLTRDSVKKITLALGQKNSFSRGFDKILHLLLASLMENSPVIRAKALRAVSIIVEADPQVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYFEKVAERIKDTGVSVRKRSIKIIRDMCVSNANFSEFTKACIAIISRIGDDESSIQDIVCKTFYEFWFEEPTGSQTQFFGDGSSVPLEVAKKTEQIVEMLRRMPSHQLLVTVIKRNLALDFFPQSAKAIGINPVSLASVRKRCELMCKCLLERILQVEEMNIQEGERRTLPYVLALHAFCVVDPTLCAPASDPSQFVVTLQPYLKSQADSRVIAQLVESIIFIIDAVLPFVRKLPQSVVEELEQDLKNMILRHSFLTVVHACIKCLCAVSKVAGKGAAIVENLIQLFFKRLDAQAVDNKQQVGRSLFCLGLLIRYGNCLASNSDKTSDVVSSLSLFKKYLLVEDFVIKVRSLQALGFVLIARPEYMLEKDIGKILEATFSSSSDVRLKMQALQNMYEYLLDAESQMGTDAASNNVIQYSVEGGNAVSVAAGAGDTNICGGIVQLYWDNMLARCLDLNEQVRQSALKIVEVVLRQGLVHPITCVPYLIALETDPLESNSKLAHHLLMNMNEKYPAFFESRLGDGLQMSFTFIQSVTTSSERENTKVPTKASGNAKGKCDSISLAQARVGVSRIYKLIRANRASRNKFMSSIVRKFDNTSWTTSVVPFLMYCTEILALLPFTTPDEPLYLVFSINRVIQVRAGALEAKLKALTLHLLQRGAPHGNGIIEEDPTAQPFQRGTTLVDLNGTIQQEPVFQPVTNYMPTMQWNGVIQLEPAEQSVSNQATPFGANMHGTGSGSSHGFSKDDEQKIQADCLAAIALQLLLKLKRHLKIVYSLNDARCQAFSPADPLKPGDVLSRQNIPFDLSETHTTLPTTHQELVQRYQEFKNALREDTVDYSTYTANIKRKRPAPRKGRKSVGGDDDGDDDDEDWTGGPRRLSNSGRRGNYSRSRQRL >ONI18829 pep chromosome:Prunus_persica_NCBIv2:G3:23510877:23514063:-1 gene:PRUPE_3G242000 transcript:ONI18829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKIKRKVKILITKSFKKPRKPYKPPPSSPSFPSPPSPEESETMPPPPQPALKLPTATPFLFPQTQSTVLPDPSHFFSPNLLTTPLPTNSFFQNFALKNGDQPEYFHPYQIKSSSSSLTISYPSRFFNSAFLYHIFIADLTISASQNSNSQETHKISSFNDLSVTLDFPSSNLRFFLVRGSPFVTCSVSSRTELSISTIHAVLSCSSNSSRTKYTIKLNNNQTWLIYTSSPIDLTSSSLSLITSGGFSGIIRIAILPDSDPQYEATLDQFSSCYPVSGEAVFTKPFSLEYKWEKKGWGDLLMLAHPLHLQLLSGDDCDVTILEDFKFKSIDGDLVGVVGDSWVLKPDPVSVTWHSTRGIKEDSYAEIISALRKDVEALNSTPITTTSSYFYGKLIARAARLALIAEEVAYLDVIPAIRKYLKDTIEPWLDGSFSGNGFLYDRKWGGIVTRQGATDSGADFGFGIYNDHHYHLGYFLYAISVLAKIDPAWGRKYRPQAYSLAADFLTIGRRSNSHYPRLRCFDLYKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVATGSMLAALEIQAAQTWWHVREGDSMYGEDFTRENRLVGVLWATKRDSGLWFAPPEWRECRLGIQLLPLLPISEVLFSDVGFVRDLVKWTLPALSREGVGEGWKGFVYSLVGIYDKEGALQKIRNLNGYDDGNSLTNLLWWIHSRGEEGKEFEAGGNVCWFRHYH >ONI19362 pep chromosome:Prunus_persica_NCBIv2:G3:25363754:25366958:1 gene:PRUPE_3G274700 transcript:ONI19362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRFLLTLSLCFLCIFPHLSYSRSRVPILIEEKKTEGSVLRLRRGASSATFDPTRVTQLSWHPRAFLYKGFLSEEECDHLIEIAKNKLEKSMVADNESGKSIESEVRTSSGMFLQKSQDEVVANIEARIAAWTFLPIENGESIQILHYEHGQKYEPHFDYFHDKANQELGGHRVATVLMYLSNVEKGGETVFPNTEAQMSQSKDDDASDCAKQGYSVKPYKGDALLFFSLHPDATTDPSSLHGSCPVIEGEKWSATKWIHVRSFEKSLKHAVSGDCADENDNCPLWAKAGECEKNPTYMVGSKGLPGFCRKSCNMCSS >ONI17268 pep chromosome:Prunus_persica_NCBIv2:G3:16316433:16317343:1 gene:PRUPE_3G149400 transcript:ONI17268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMYMYSSQHALHSYGQDKVLRLHKCSSNNSIDIGITVTLHGNLNFKLQTNCKFFAVLVQLLLKKVVAFDGWKDTTSLLPWNWKEVFLFPTNHNLT >ONI19750 pep chromosome:Prunus_persica_NCBIv2:G3:26292477:26293478:1 gene:PRUPE_3G295100 transcript:ONI19750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSSSAKLPAYQRLKHDDDQDGLELDDDFDYGRVRVLARSRSTSWYKFRLRKVHIRRRRSFKLKVPSLKRLLFLRRKIRLISALRASCAKVLQRFKEGQAHFGDLFAGNYLFLQVNPCSLKSLHKAALPSSYTLAIPTTNIA >ONI16341 pep chromosome:Prunus_persica_NCBIv2:G3:6954333:6959059:1 gene:PRUPE_3G093000 transcript:ONI16341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYMILCSFSQKIVVILWWIAMVIRGAEATSTPYNGSPVSICKYKKLRTLLACDLNVETISWDLILQLKCLRTLNLKGNSIEELPEAIGELSHLLYLNLLKNSCLKELPHTVGNLYNLQTLCLIYCKSLVKLPDTMRNLINLKHLYTYLSGSVKLGSLRNMDQLRGSLCINGLSDINTVSEAKEAQLVKKELLVELNLSFSVDKNYEKRWRDGQILNALQPHPNLEESTILHYHGTNFFCEFLPPLGNLHSLEILEISWVLGVKKVGLEFLDVQEQTSSSSSFPKLKQLCFKDVQWEEWEGGAEHSEITIMPSLSSLTLWNNLKLKTLPDLLWKTPLKFLEISDFPILKQDFEIGSGKLWDKTSHIPNIKINHQFVRQDGVPIQPNLKQSQN >ONI18565 pep chromosome:Prunus_persica_NCBIv2:G3:22341081:22348040:1 gene:PRUPE_3G223400 transcript:ONI18565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNGEIAPPGTVASSVLPPPMAEPTLFERARTWQKLESKRYGTKRKFGFVEAEKEDMPAEHASKVLRDHGDMSSKRFKHDKRVYLGALKFVPHMPMPWEQTREVKVLYHVSGAITFVNEVPLVVEPIYLAQWGTMWVMMRREKRDRQQFKRTRFPPFDDEEPPLDYADNLLDIVDLPEPIQLELDEEEDSAVCSWFYDHKPLVKTKLINGPRQVLSDLVDRNYFYLFDRESFLTAKALNMCIPGGPKFEPLYRGMEKGDEDWNEFNDINKLIIRSPLRTEYRVAFPHLYNNRPRKVKLSVYRTPMIMYIKTEDPDIPAFCYDPLIHPILSTNTKKTYDDDAEEEDDGFVLPKGLEPFLNDTQLYTDTTAAGISLLFAPRPFNMRSGWTGRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKSFVLNELHHRPPKARKKTQLFRSLKATKFFRTTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLGRDILRLTKLVVDAHVQFRLGNVDAFQLADGLQYIFSHVGQLTGTYRYKYRLMRQIRMSKDLKHLIYYWFNTGPVGRGPGSGFWAPMWRVWLFFLRGIVPLLERWLGNLLARKFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKAKAILQHLSEAWRCWKANIPWKVPGLPVLIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCLKNLGRLTRLWLKAEQERQHNYRKNGPYITPEEGVAMYTTTAHWLESRKFIHIKFPPLSYKHDTKLLILALDRLKESYAVAVRLNQHQREELGLIEQAYDNPHEALSRIKRHLLSQRSFKEVGIQFMDLYSFLIPVYEIDPLEKITDAYLDQYLWYEADKMHLFPNWIKPADSEPPPLLVYKWCQGINNLQSIWDTSEGQCVVMLQTKFEKFFEKIDLIMLNRLLGLILDGYLADYITAKNNVLLCYKDMNHTNSYGLIRGLQFASFVVQYYGLVVDLLLLGLTRASEIVGPQQMPNEFTTYQNTKVETRHPIRLYSRYIDRVHMLFCFTHEEARDLIQRYLTEHPDPNNENIVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSVTTLEWENSFVSVYSKDNPNLLFSLCGFEIRILPKIRMSQEAFSSTRDGVWNLQNEQTKERAAVAFLRVDDEQMKVFENPLIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRRQKKLEVLACYLWVRYSQQTDFGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYAMKRQEAQAPNRHLTLEDLEDSWDRGVPRINTLFQKDRHTLAYDKGWRVHTEFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEEILEHTLFKGTYFPTWEGLFSEKASGFEESMKFKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGILMPGKIPTLKMSLIQIFRAHLWQKIHESVVVDLCQVLDQELDALEIETVQQETIHPRKSYKMNSSCADILLFAAHRWAMSKPSLVAETRDVFNQKASNNMSIYPSPTGVVIGIDLAYNLHSAFGNWFAGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQLIWFVDDTNKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTCKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKNVKFNDPVVKATEPQMVLFNIYDDWLKSISSCTAFSRLILILRALHVNSVKANMLLKPDRTIYATKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTANVHDLRTQIAGYLYGVSPPDNPQVKEVCCIVMPPQKGTSQQVCLSSVLPKNDFLNDLEPLGWMHTQPNEHPQLSHHDVISHAKMLDSNTQWNLENFTPGSCSLTAYKLTPPGYKWGRQVNKQGSEGDITPQGYLPTHYEKVQMLLSDRFLGFFMIPDIRSWNYNFMGTKLTSGMKYDIKLGIPKEYYDQDHRPTHFLQFSNLEEGDREVVRDDNFA >ONI17212 pep chromosome:Prunus_persica_NCBIv2:G3:15899120:15902414:-1 gene:PRUPE_3G145700 transcript:ONI17212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPILLSPILTLLLLLFFFYSVDAATPFSSKDTQAKEIQPKETPSVCSQTFACGPLQGLSFPFTGGARPAHCGPPEFHISCVDDSPELTIMSLRYRVLELDPVHKSLRLVRSDLWNSTCTDKLANATIKSEFFAYNENDNAVVSIFYGCNSSTITPKPENWFPCNVNLSFKDSYYLIWTIPIDQIMSGVKCKIETTVPILKTAAAKLFANRSLFQEAIMEGFNVNYTHPYDDECAKCLEVNGGCGFDSHSSKPVCFCGDRVCVMSGENIAIGILSGVTSAIVFIVCMVYASKKEVLFFKKYKKNEIDIQEVITNNRWMAPKRYSYSDVKKMTHWFKDQIGKGGYGTVYRGKLPDGLLVAVKVLSESKGNGEDFINEVASIGRTSHVNIVTLCGFCYERDKRALIYEFMPNGSLDNFIRKQGSEMENSRLEWKKLSEIAVGIARGLEYLHRGCNTRIVHFDIKPQNILLDKDFCPKVADFGLAKLCKTKESIVSMLGTRGTVGYIAPEVFSWNFGGVSHKCDVYSYGMLVLEMVGARKNLDSAVSHTSEMFPHYVYKDLELDKDENAFGATTEEEKQIARKMVLISLWCIQINPSDRPSMSKVVEMLEGPLHSLQIAPKPLLVSPTIAAEDSMTTSQPSEIEDSMTTSKPLRVSLRHIGI >ONI18825 pep chromosome:Prunus_persica_NCBIv2:G3:23499209:23501379:-1 gene:PRUPE_3G241700 transcript:ONI18825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMAMEEFAVLKPQVRCLREEEQKVCVNNAFSCGLDARERKLVCVTGGNSNLGSHIVKALLARSYLVRVTIQNQGDYEDMKKLMRDEEINQLEGVVVAKMGSLDSLCEAFRGCHAIFHTSSFIDPHGISGYSEHMAFLEAEAARNVIEACGRAAYAKRCIFTSSLLAAIWTGDNENKIIDEFSWTNEEFCRENKLWLALGKTTAEMTCWRKSKEMKVNLVTVCPGLLMDASFPNAHNYVPYLKGGKVMLEHGHLAIEDARKAAKAHVYVYEAMDYGACGRYLCYGKVVRKMSEAIELENGLKIHGLLSGGRHEALDEEIHIMLSNAKLVRLIQGSQKLSCK >ONI19634 pep chromosome:Prunus_persica_NCBIv2:G3:25976992:25981264:1 gene:PRUPE_3G288600 transcript:ONI19634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKTTTWVIFFFLVFSVSLSDPRTNQATLSCGTLKPPPNASFIPTFVKEMESLSQLITTHHWGTHFVNSTPPMYGLAQCFQDLSHTDCLLCYAASRTKIPRCLPSLSATIFLDGCYLRYDNYSFYQQPTDPSRDAVICSSSSKPEIENEDRMEFVKNVGFAIRNVTKAAVDNGGFGVAQVKGSVYALAQCWKTVGRDGCRACLEKGGEAVRGCAPKKEGRALNAGCYLRYSTEKFYNDEEQAEHMADHGHGLSVSRGIIIAVVLAAAAFILLSLFAAYAGYAKLVQIKQERSGVGLASISINKYSSLKFKYETLEKATDYFNSSRKIGQGGGGSVFKGTLPNGKTVAVKRLIYNTRQWVDDFFNEVNLISGIQHKHLVKLLGCSIEGPESLLVYEYVPNKSLDHFLFDKNKVRILTWNERLNIIVGTAEGLAYLHEGSTIRIIHRDIKSGNVLLDENLSPKIADFGLARCFAADQSHLSTGIAGTLGYMAPEYLVQGQLTEKADVYSFGVLVLEIVSGKRNSTFRQDWDDSDSLLHKVWKLYISNDLARAIDPCLRDDFPAEEASRVLQIGLLCTQASVALRPSMAEVVAMLTNKERVAVPNQPPFLNATGSSTINRSSYSANSSISNALTKVEASCTSTESSSMRSSYGDFKNRTSFAQ >ONI19948 pep chromosome:Prunus_persica_NCBIv2:G3:26809478:26811835:1 gene:PRUPE_3G306900 transcript:ONI19948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASMSFNLVGAFRGLSLRSGSSSSSSSSSSSFFRGDLGSLQVGPKLSLVSNPKRLALTIQNAHKKGAGSTKNGRDSPGQRLGVKIFGDQAAKAGSIIVRQRGTKFHAGKNVGLGKDHTIFSLIDGLVKFEKYGPDKKKVSVYPQQVQPENPNSYRARKRENFRLQRERKKARKEGYTLRNQPQLVLASADAADVPPVC >ONI19947 pep chromosome:Prunus_persica_NCBIv2:G3:26809478:26811835:1 gene:PRUPE_3G306900 transcript:ONI19947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASMSFNLVGAFRGLSLRSGSSSSSSSSSSSFFRGDLGSLQVGPKLSLVSNPKRLALTIQNAHKKGAGSTKNGRDSPGQRLGVKIFGDQAAKAGSIIVRQRGTKFHAGKNVGLGKDHTIFSLIDGLVKFEKYGPDKKKVSVYPQQVQPENPNSYRARKRENFRLQRERKKARKEGYTLRNQPQLVLASADAADVPPVC >ONI15921 pep chromosome:Prunus_persica_NCBIv2:G3:4990805:4992109:-1 gene:PRUPE_3G069000 transcript:ONI15921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARKRKYNWSVKAIRRKTTGTGRMRYLRNVPRRFKSGFREGTEAAPRKKGAAATA >ONI16525 pep chromosome:Prunus_persica_NCBIv2:G3:8233015:8233419:-1 gene:PRUPE_3G104400 transcript:ONI16525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGESETPISTNPPPPTQVHQSTPVQSAPLPSTLGKRKPSKNASGVWEHFTKIKCEDDTSEPRCICKYCKKGYACGSKNNGTSTLWHHLRSRCKNSPLRHEKKQKVLSFEGQGKGGNLVAKEWHIHFVAPFEQS >ONI17049 pep chromosome:Prunus_persica_NCBIv2:G3:14187849:14188736:-1 gene:PRUPE_3G134900 transcript:ONI17049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFAKSLSFFFLLVLIFSSFENIQARESVFFSKVTHYNNNKNVNEPTISTSIAEVPTPAPSPAAEAPELADVQAPAAEAPELADVPAPAPSYLEKEHRHNGALYGLGSGKSPSTEETPTTIDGEDEILAEELSGESFDRTGNYESTNLYNNNGYKTNYVNNNNYVNRNGYKTNYVNNNNYINGNGYTKTNNYKGSNGYTKTNNYKGGNGYTKTNNYKGGNGWENREVNVQQGMSDTRFLENGRYFHDVKNEINQNFNENYEGGRGSTRNYVENSNEFNTMEEYDKYQESRGYVP >ONI17567 pep chromosome:Prunus_persica_NCBIv2:G3:18603984:18604761:1 gene:PRUPE_3G167300 transcript:ONI17567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVDGCFIIELLRKQVHLSPVEDDDAIFRTPRMLSAITNDLLLVENQLPWRVLDCLFEVTRVDADDHGNPSLRELACHVFQNPAFQQSFESISSLNCEKEFESSHLLETVRNFVVQPWVEDWEDMEYRTPIPSVSELLEIGVKFVAASSNGQLHITFRNGVMEIPPIIIREDTESFIRNLIAYEQCLQKPEQCQVTSYAILFSQLIESVQDVDFLIQRKL >ONI19028 pep chromosome:Prunus_persica_NCBIv2:G3:24364066:24364977:-1 gene:PRUPE_3G254900 transcript:ONI19028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEPELPPPKSPIQSSEMMNFSECITPPPPPLQHKDENSQNSGNDLRKPTTPDRLKVPKAFKYPERYTSPTDLMMSPVTKGLLARNRKGGALLPPSKNLHKPQDSGFAAVGCGPASELNFGSNK >ONI18612 pep chromosome:Prunus_persica_NCBIv2:G3:22625592:22631712:-1 gene:PRUPE_3G226700 transcript:ONI18612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAGSILNSNWLPNRSSPYKYEWAKRYFTGKQCWAKTNKETDTLTSLDDPIRDDGSEGLVSVGGRFQLGFFTPSTEAGRSGNGRYVGIWYHNMSPRTVVWVANRDTPVPANSRSTDVFAIQDGNLQVLDDTTGKSYWSTRLKTPKSLNLMVRLMDSGNLVLLRDGDDDPLAANNILWQSFRNPTDTFIPGMVMDQSLELPSWRDQDDPRIGDFTFKLDQEGDQFVTLKNSTPYWRSGESGNKFSSSAGMFPPLDDLLSNFSKSTARSRRRNNYNNLKIIDVTRLDYNYTRMLMSFTGEVQFLTWINHTKQWNLLWKEPRDRCRVLNACGNFGSCNINNWPLVCKCLPGFKPQFAKQWEAGDFSNGCARESTICSKDTFLSLKMMKVGKPDAQITSEDEIQCRKECLNSCQCQAFSYSAGVNSTSRDTATPTSLCWTWSSDLNNLEEEYDNGHNLSARVALSSLESTVRDCKPCGTTIIPYPLSTGPDCGDPMYFHFNCSPITGQLSFVGPNGTSFRVISVNPSTQRVVIQAKNVNNCDPINRVKSQQLNPPFPFNVTSWCYVPPGNFSSQISSGGTNAVELGWKPPLAPTCRTSADCRGWPHSTCSPASDRKKRCLCNANYQWSGFNLNCTQEEQRRRKVPVSLILIVVAVVTSGIFLACIVCVYIWRRKITKRQDKINRAQLDSERQVQELIDTGEFKEEDEKGIDVPFFDLQSILDATDNFSDANKLGQGGYGPVYKGKFLGDQEIAVKRLSRASGQGLQEFKNEVVLIAKLQHRNLVRLKGYCIKGEEKILLYEYMPNKSLDIFIFDHTKSLVLNWEMRFNIILGIVRGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIVGGKETESNTNTVVGTYGYMSPEYALDGIFSVKSDVYSFGVVLLEIISGKKNTGFYQSKQTFSLINYAWRLWTENKASELMDTTLDESCNKSQFMKCVNVGLLCVQEDPVDRPTMSNVLTMLDSEIAISPTPKQPAFLPRRGNSSTASSSTKPETFAEITTTLDEGR >ONI17501 pep chromosome:Prunus_persica_NCBIv2:G3:18220455:18222943:-1 gene:PRUPE_3G163100 transcript:ONI17501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYNLGVRKGAWTREEDDLLRQCIENHGEGKWHQVPNKAGLNRCRKSCRLRWMNYLKPNIKRGEFAEDEVDLIIRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTRLRTDSRLKKVKDKPQETIKTIVIRPQPRSFIKSSNCLSSKEPILDHIQTVENFSTPSQTSPSTKNGNDWWETFLDDEDVFERATCYGLALEEEEFTSFWVDDMPQSKRQCTNVSEEGLGRGDFSFNVDFWNH >ONI18552 pep chromosome:Prunus_persica_NCBIv2:G3:22307572:22309814:1 gene:PRUPE_3G222800 transcript:ONI18552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRQKHISHTKAIDRFPLTQGLIYLLNLETHIPTDKTLALQSHPPIFTGRVSSTQLSLSSPSSSSSFSLKLLLFPHIFLIFTAMKTEVRGSTTSISLQNPTTIFNTSQGASLTGALKGCLGSLDGACIEKLLLHCASALESNDVTLAQQVMWVLNNVASSVGDPNQRLTSWILRALISRASKVCPTPMNFNGSTSSSTIPTRLMSVTELAGYVDLIPWHRFGYCASNSAIFKAIQGCPKVHILDFSITHCMQWPTLIDALAKRPEGPPLLRVTVPNWRPQVPPLLNVSSEEVGLRLGNFSRFRDVPFEFNVIENSSSLELLFSTQLNPSSLDLQDDEVLVVNCQNWLRYLSDEQLGGSPGHQDGSMRNTFLNMIRSLNPRIIVVVDEDSDLSAPSLSSRITTCFNYMWIPFDSLETFLPKDSTQRMDYESDIGHKIENIIGFEGHQRIERLETGVAMSQRIRNVGFLSAPFCEETVKEVKGLLDEHASGWGMKREEDMLVLTWKGHNSVFATAWVANGPNPNGLLMED >ONI15792 pep chromosome:Prunus_persica_NCBIv2:G3:4432270:4433215:1 gene:PRUPE_3G062100 transcript:ONI15792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNISYCFFGLFKTWLTVFLAVTICCAEAAATEFQAGDDLGWQEPDLNNSALYTQWASRNRFHVGDSLSFTYKSDSVLEVDKWDYYHCNTSNPIIAFDNGKSIMKLDRPGPFYFIRGAHDHCKNGKRICIEVMDPRLVSLSPPPGAVPPEPYLSDSPSLGVAIAVAPCALFMALIVTFLASVCSSP >ONI18223 pep chromosome:Prunus_persica_NCBIv2:G3:21075792:21079373:-1 gene:PRUPE_3G203000 transcript:ONI18223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLTMKGLFKPKARSPLELVKHTHELLMFLDRNMDAREQKRKEKMDELSKAILEIRTVLYGDEESEPNKDSCAQLTQEFFRGDTFRLLIVCLPKLNLGARKNTTHVIANLLRQRVQSQLLALEYLEKNIDLMDILIKGYEDSGDVALSYGAISRECIRHQNVARYVLESDHMKKFFDYIQTPNFDIASDAAATFKELMTRHKSTVAQFLSKNYEWFFQEYNSQLLESSNYITKRQAIKLLGDVLLDRSNSAVMVQYVCSLDNMRILMNLLRDPKKTIKLETFHVFKLFVANQNKPPEIVNVLITNRTKLLRFFDDFTIEKEDEQFETAKADVINEISVLEPKPKISSILSFRNNCEVKC >ONI19212 pep chromosome:Prunus_persica_NCBIv2:G3:24899751:24902509:-1 gene:PRUPE_3G264800 transcript:ONI19212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHVFFGCYSNLFRLMKKVGADENLLVKDHTHTFVTKGVTLVTYDKARNAVALALSPVVRALVDPDGALRDVRNLDSISLTLPANIEGQGSLPQCVLTPVDPYIPLPNEEIIARVTKQAPGKDPFRPDQKRPVKNFFLAGSTDFPWLPYRTKKRQKKLNYLDLLQHATAIVYLANKGNVKANWFAICQQFNSCERISGSLILSFIGVVVFILLILLSAVALSRR >ONI15392 pep chromosome:Prunus_persica_NCBIv2:G3:2977871:2980492:1 gene:PRUPE_3G041800 transcript:ONI15392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFCRVEIERQERQQGAAILCQWDLSVRPTHVGPCSGHTWAHTHVSNAQGQLQSRSRVAVIIRADSVSQTECTEKRESVFRGSQEPLVHPCIKEACNPLNNQLNKKQTHFSVSLKSPKLFFLNPSSSCFSSPGYFEGLLILCFVFFFFFYINLFCLKNHKKKKMLSGNPNTARNRYPFTPNQWQELEHQALIFKYMASGIPIPTDLVYSLKRRLDNSISSRLFPHPMGWGCYEMGFGRKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEVSSSTTTPSATTTATEHPSSSSSSALSSPNNKNLSKTSSYSLSPLSSSISTETQTQHNPHQSNSPLYPFLNYPQSSSSSSRPPPGSVFSPQNNSSSATPHLFLNSGSYNTQAEKDYRYLHGTKGSVDELTFFPESSANGRSILTGGSSSCQPLMSSYRGYSQPQFQSLTDHHNPEVSQQSQEEEQHCFVWGTDFKSGRAIKTEKEAAETQKSLHHFFGDWQPPKSTDTWLDLSSNSGVPNDG >ONI18613 pep chromosome:Prunus_persica_NCBIv2:G3:22629660:22630132:1 gene:PRUPE_3G226800 transcript:ONI18613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSMRFANHERLEINIIQGDKHMCLARGSLLVVVSTFICFPCLLSENVRFTPIVLCNLHLEQTLDIPAKAENVIPVAITQCFHMTLEEAA >ONI16326 pep chromosome:Prunus_persica_NCBIv2:G3:6913422:6914064:1 gene:PRUPE_3G092500 transcript:ONI16326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVKLRLSDASAHQKLTDLLSPFHVQTLIQENIFFDGSNAELSSNRAILRLRFYDGVSPCVLSLKAKPVISDCVSRVQELEEPFDPVLGRTCVAEPWRLSVVDSSALLKRVREEYGVREEGLGFVCLGGFRNVRGVYEWKGLKIEVDESNYDFGTCYEVECESSNPERDKKILEKLLEENGIWFQYSEVLKFAIFRSGKLSE >ONI18345 pep chromosome:Prunus_persica_NCBIv2:G3:21581005:21582600:-1 gene:PRUPE_3G210500 transcript:ONI18345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWVTQWRVEFLGREWNVVDIGSVVVVLGLHCLTLLAPFHFNWPAFWAAVALYFVTGVSVNLSYHRQLSHRSFKLPKWLEYLFAYCAVLSFQRSPLEWVSIHRSHHQFTDTLKDPHSPVRGFWYSHIGWIFDYRSRFGSYDARLKNVGDLKREPFYIFLHYTYPLHAIGFGVVLYAVGGLPFLVWGLGVRSVVFLHATFGINSICHTWGQVVWDTGDLSRNNWLIGLMAHGEGWHNNHHAFEQSARHGLEWWQVDVTWYVIRFLEAIGLATDVKLPTETQKKRKSLSNNYKISEEKLETKVINNGKL >ONI16044 pep chromosome:Prunus_persica_NCBIv2:G3:5533753:5537950:1 gene:PRUPE_3G075400 transcript:ONI16044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPTLVGLQDHLKLAREYAMEGLYDTSLIFFDGGIAQINKHLNTLDDPLIRSKWMNVKKALTEEAEVVKQLDAERRAFKESPLGRRPSSPPIHAKSSFVFQPLDEYPTSSGLPMDDPDVWRPPSRDTTGRRPGKAGPGGMRKSPQDATWARGSATRTAPPGRGAKAGGSSRANSAVRTSATGKKGTGSIKSSKADSANGDAEDGKSKRSQYEGPDPDLAEMLERDVLETSPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNSRGASGEHESSRRVKSELLVQVDGVNNTGTNEDGTRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKALIRINLKSVEVAQDVDIDDVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTREEIKSMSKDDISKDPVAMCDFEEALRKVQRSVSQADIERHEKWFNEFGSG >ONI19202 pep chromosome:Prunus_persica_NCBIv2:G3:24851321:24852873:-1 gene:PRUPE_3G263900 transcript:ONI19202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPEQQQWQVSLSFSLGYMAQNCLSMRHLKQIHARSIVTNLHHHAIVFAKLFRFAAVSPSGDLNYAHRLFCHMPQPTTFLYNTLIRGYSKSSSPSQSVLLFNQMRLNSLSPDEFTFTFLLKSRSRMKIDVPSIMASDEIHGLVLKFGFCSHLFVQNALIHIYGARGIPLAARRVFDEAVAPDVVSWSGLVSAHVRAGELDFARQVFDEMPERDVVSWTAMISAYSQAKYSREALELFWEMNRAGVRPDEVTMVSVISACTDWKDVQTGICIHQYIDENGFAWMVSLCNALIDMYAKCGCMDRAWQVFNKMNQKSLVTWNSMISACANHGNADDAFGLFDSMISAGFPPDGVTFLALLVAYTHKGLVDEGLWLFDRMQKDYRIEAQIEHYGCVVDMLGKAGRLEEAYNLISSMPVPGNDVVWGTLLTACRTYGDADMGERVVKRLLELKPDEGGYYILLRDIYVAAGRTAEANAMRQTMMVNGASKTPGCSWVGA >ONI15183 pep chromosome:Prunus_persica_NCBIv2:G3:2186870:2188112:-1 gene:PRUPE_3G029200 transcript:ONI15183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAMRFVSVFRFLSIATITTISLCNGNLGVPCKQNERQALLMFKQDLKDPSNRLLSWVGEGDCCNWTGVVCDNLTSHVRELHLGNYYSDEYLNYSLYRKLFGWQVGVANMTRLKVVNLRWNIIWGTIPQRLYTCSNLESLSLYLNLLRGEILSSIGNLTAIVNLDLSANQIEGKMPNSLGNLCKLTVLDLSRNYFNGSVSEMESLKLSTNDFSGPLSDQLGNFRHLCLLALLSNSISGPIPVTLGNLSFQEEASISENHFNRTLPKTTGQLKMVTAAYVKRIAGRL >ONI18253 pep chromosome:Prunus_persica_NCBIv2:G3:21296945:21300355:-1 gene:PRUPE_3G205300 transcript:ONI18253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKRQPWTIDPELDGIDLDASDFAASLPLKKVPNGDVFEASRAGDVDRLSYLLESGVNVNARDQWDSVALYYACLAGHLEAARMLLENGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLSPLQAAMRETFLGCGANSAYLEQADNTQFQISGLQSNDGTNSNYFTPDVVFFVQGRPIEAHRVILSARSPFFRTKFVTDWKQRKEVRFAREKLSYPALYSLIHFFYSDRLEVAVDDMEDIVRICKVCKCESLQRILEKELIHQKYAEYKALRDIDSSKKRFILQGLSLPEEDQLPAGLHNILQISLANSTLEPNLDNGVNNLTSCVGMMQISDFENDLADVCVRVDKKNFRCHQVVLASRSEYFKARLSRMKDFHEGKNDLPVDTLPVLEEHDLSSEAFEKMIEYMYTDRLKDIEPDQAGEIFDVASRYLLFPLKRAVADVLLPHLESASPAEMCHWLILSDMYGVLKIREFCLDAIAYNFETFAETCEFRAMLLTLPPPSGDSSFRTTIPSAPGAEVNTDQRNLLDDLREKWLEAEAAELDKRDESALIFDKRLEMLMVVAEREKSDGLTNDTPNGPRTSLFPCTTAPIASEQEENVG >ONI18609 pep chromosome:Prunus_persica_NCBIv2:G3:22575839:22577306:1 gene:PRUPE_3G226400 transcript:ONI18609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLTAPSTIVSSPLLPTAAPRKLSEKIICRAGDLQSTEVKYL >ONI18896 pep chromosome:Prunus_persica_NCBIv2:G3:23784299:23789100:1 gene:PRUPE_3G246700 transcript:ONI18896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDGGPEASVSVNDVNIDDPEVDSSKVGNLESVSSDGVIVGELRSVGEVFTRVELDLACASEKLVNLNVLMMHVATKESDLEALASKEEQTSVDFVEKAMEFVLLSGILDSEVSELDKLMAILQAEITNAQGLLSSYTCLGETFVVVEDKLCDSEQSLKQLQDQISEIRMQSLKFQRTFSCYDGEENWNANKGVVYLEDDKFSSMNLKINMQTVEQQRHILRMLEKSLAREMDLEKKLTESKQIEEDMKLRLLSSEQEAYCMEEEAADAWERWLQADNASEVLMGISKELLGKLHILQFNLNGSVQREAELRLKLDGQVESRESSLRKLESSNAKLNSFLLDQTAGLKDSLKEAEDKLILADSEAFTLREKVSSLEEQHTVLCSDISELENVITDLKEKVSKAETRAENAEAKSKLLTETNMELNEEIGLLKSTGSASETVDSLERKLRESDTQLQHAVASAEASLEKQQMLYSTINDMGSVIKDLKQKVLKAESRTDSAEDNCIRLSESNALLTEELSFLRGRLACMEASLHQAEEVKVATATDIGIRSKVLTSLVVQLAFERERLHKQISSLAVENKTLVLKLQTNNSPSVEMGNDDRGNHKDFMPSKHGLATGTRESEEEADELSDGNCKLDETQNNVSVGETKVGPTDSTSEFETARRIDAGVLSFKHFLMAMLILLISVAAYFFLQQDCPF >ONI18642 pep chromosome:Prunus_persica_NCBIv2:G3:22764625:22765705:-1 gene:PRUPE_3G229200 transcript:ONI18642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGEQITFLESFGLLDQAFLSGINFFDFTEMYPVVQRTQTQVRGGARSVVLATKMTWIRDGPKCSNAKNITKANVGRLNGGPADARLNLFRGQFSNCSSHLLICRNLEY >ONI18663 pep chromosome:Prunus_persica_NCBIv2:G3:22849557:22852998:-1 gene:PRUPE_3G231300 transcript:ONI18663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSNFTYRSKYVVSINSSECVKKWEPIKNQNQKLGFTMWGHSFYESASRLLRKHPSVSKLLVVVTVSGGGLAAFHETTPVHTVYADSVQEDQIAKKKKVVVLGTGWAGVSFLKNLKSSNYDVEVVSPKNYFLFTPLLPSVTCGTVEARSIVEPIRCITKKKGLEVQFREAVCHKIDPKKKKVYCRSTQDTNLGGKHGEFSVDYDYLIIAMGAQSNTFNTPGVEQYAHFLKGIEDAMKIRQSVINCFERASLPCVSEEERKNILHFVCVGGGPAGVEFAAELHDFVKEDLAKLYPSVIDRVQITVIEASDHILNMFDKRITAFAEQKFSRDGIDVKTGSMVVKVTDKELSTKERATGKVSHLPYGMVLWATGIGPRPEVIDFMKQIGQSNRRVLATDEWLRVEGCDGVYALGDCATINQRRVMEDIVEIFRTADTDKSGTLNLEEFQEIMDDLVERYPQLELHLKSKQMRNIDELLEKSWQNPTAEVDIETFKSALSQADSQMKNLPATAQVAAQQGAYLANCFNRMEECEKYPEGPLRFRGVGRHCFQPFRYKHFGMFAPLGGEQTAAHLPGDWVSIGHSTQWLWYSVYASKLVSWRTRVLVVSDWGRRFVFGRDSSRL >ONI14684 pep chromosome:Prunus_persica_NCBIv2:G3:154455:155501:-1 gene:PRUPE_3G002100 transcript:ONI14684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISWLSSSIFLSLFTLFCLSISNASWGAEAARESCRPISSLINKDLFHFMFLHKDDAACPAKDFYAYNSFIQASKSFPGFGTTGSLTTRKREIAAFLAQISHETSGGWATAPDGPYAWGLCYKEEINPQSDYCDSTNKEWPCYPGKSYKGRGPIQLSWNFNYGPAGKALGFDGLKNPEIVANNSMIALKTALWFWMTERKPKPSCHDVMVGRYIPTEADVAANRTAGFGVVTNIINGGLECGISNDARVNDRIGYFKRYAQLLNVDTGSNLDCANQKSF >ONI20024 pep chromosome:Prunus_persica_NCBIv2:G3:26981211:26982502:1 gene:PRUPE_3G310700 transcript:ONI20024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGISKLGANAHQHHQHEHLTTSSACESNNYNIGKDLERGMHDYGEEDDMMMKEKERSGRVEKVVKERRIQEGHPHAHAHAGLGLGKGGRQYSDDDGYDEQMLSSSDREDSFIHCPRSPSFRDYFIDSDQDSSRHHTPNKFFFSGDANDHEINKSETWAESQNTNKQQRISEADELVKKESKGSRPSGIRGVLGKQSRLRSYLNVSNWHHHNSPISSPSQQNPSKLVAAEN >ONI16164 pep chromosome:Prunus_persica_NCBIv2:G3:6063881:6067444:1 gene:PRUPE_3G081700 transcript:ONI16164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIRSCPTASKCLIVLIHMLFLLVSSNTANGENFDSFLQLPQSGSTRTRPKSKRVLFVGDFGAKGDGITDDSKAFKNAWEIACSLPMRMRIVIPVGSTYLVHPLDIAGPCRSKVTLMISGNIVAPKDPDAWIGLNPRKWLYFHGVNHLTLEGGGRINGMGQEWWARSCKTNSTNPCRHAPTAVTFHRCKNLKVKDLMIVNSQQMHMAFTNCMRVVASNLRVMAPAVSPNTDGIHISASRGVEVKNSIIRTGDDCISIVSNSSRIRIINIACGPGHGISIGSLGKSNSWSHVHDVLVDVALLSNTDNGVRIKTWQGGSGFASNIAFRNVLMDNVSNPIIIDQYYCDSWLPCLNQSSAVKVENISFVHVKGTSATEEAISFACSDDSPCEGLYLEDIQLQSSIGGITRSLCWEAQGSSSGSVDPPACFSSFLSSENFMKQKVNPQTIHSQRLANLDHGGRATQ >ONI16621 pep chromosome:Prunus_persica_NCBIv2:G3:9169411:9172984:1 gene:PRUPE_3G110900 transcript:ONI16621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSPMKKSFHERELCYEQLTPIDGDRPENINGEGTDPPVVCTTLNRTHFDTLFSGFTFGAATAAYQLEGAANIDGRGPSVWDNFTHEHPEKITDGSNGDVAIDQYHRYKEDVAIMKDMGLDVYRFSISWSRFGGINKKGVEYYNNLTNELLRHGKEPLVTLFHWDVPQALVDEYGGLLSPRIVDDFKVYANLCYKEFGDRVKHWTTLNEPYTISNHGYTIGIHAPGRCSDWYNPKCLGGDSGTEPYLVTHNLLIVHAAAVKLYREKYQACQNGVIGITVVSHLFEPASEFMDPLTRGDYPQIMRSILGSRFPNFTEEQSNSLSGSYDYSGINYYSARYASVYPKDYSVPTPPSYLTDVHVNVTSDAKSLTDLQMGLQAASDWLYVYPKGLYDLVLYTKEKYNDPIMYITENGMDEFNNPKLSLEQALNDANRIDYYYHHLCYLQEAMKEGANVQGYFAWFLLDNFEWSEGYTVRFGINYIDYDNGLERHSKLLTHWIKSFLKRS >ONI19233 pep chromosome:Prunus_persica_NCBIv2:G3:24957221:24959913:1 gene:PRUPE_3G266400 transcript:ONI19233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPLLRYQNLALDQIQSASSFRLSFSDPKFFGHRSLSLFSGYCFPLNIRKWRNRLPHIRCSSVEQELKPRPKPIPSKIEVDEPKAAPLEDIHVVKRNSGLCSQIEKSVLYKRYREAFELFEILEFEGGYELASSTYDALVSACISLKSIRGVKRVTNYMISNGFEPDQYMRNRVLLMHVKCGMMIDARRLFEEMPERNLVSWNTIIGGLVDSGDFMDAFQLFLDMWQEFSDGGSRTFATMIRASAGLGLIFAGRQFHSCCLKMGLGADIFVSCALIDMYSKCGDIEDAQCVFDEMPRKTTVGWNSIIAGYALHGYSEEALSMYYDMRDSGVQMDHFTFSMIIRICARLASLEHAKQAHAGLVRHGFGLDVVANTSLVDFYCKWGRIEDARHVFEQMPLKNVISWNALIAGYGNHGRGDEAVEMFEKMLQEGMVPNHVTFLAVLSACSHSGLSERGWEIFESMSRDHKIKPRAMHYACMIELLGREGLLDEASALIRSAPFNPTANMWAALLTACRIHENLELGKFAAEKLYGMEPEKLSNYVVLLNIYSSSGKLKEAASVVQTLRRKGLRMLPACSWIEVKKHLHIFRSGDKSHSETREIYQKMDDLMIRITKHGYIPEEKHLLPDVDEHEWRSFYHSEKLAIAYGLISTADGTPLQIVQSHRICGDCHSAVKLIARVTGREIVVRDASRFHHFKDGSCSCGNYW >ONI19153 pep chromosome:Prunus_persica_NCBIv2:G3:24762479:24766479:1 gene:PRUPE_3G262100 transcript:ONI19153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKEKRCLLDIASMAKNQDSERVSVSAPPQPQRPVITLPPRPSAESLFSGGSGASPGPMTLVSSFFPDTYPDSEYRSFSQLLAGAMGSPMGSTRPIQFNENPVDGSAQLEGGSENGGENKSGFKQSRPMNLMVARSPLFTVPPGLSPSGLLNSPGFFSPPSPFGISHQQALAQVTAQAALAQSRMHMQAEYQPSSVGAPTEPQAYHPSVMPNEASQQQTLPSTSDHRSSAGQSSEASHSDRKYQPSSVATDRPADDSYNWRKYGQKQVKGSEYPRSYYKCTHLNCPVKKKVERSPNGEITEIIYKGQHNHEAPQPKRGKDGGDLNGHLHSQPRPENGLQRLVGDSNGSSENIASHSMLERHQESTQAAPGQLPGASDSEELRDGEIREEGDADEPNPKRRNIDVGPSEVALSHKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYAGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARNSSHNTANNNASQLKPLTVVAENHPLLKGGEFGNNDQRPVLLQLKEEQIFV >ONI15166 pep chromosome:Prunus_persica_NCBIv2:G3:2112210:2112614:1 gene:PRUPE_3G028300 transcript:ONI15166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLIVCIFLVCSMWISCPLCIYSFQMNKAYVIHPKKNQAFLNIFPKDLKAYVPVNASDIQDKGTSATILKEMNQTRIIIYQKYL >ONI15993 pep chromosome:Prunus_persica_NCBIv2:G3:5248546:5249190:-1 gene:PRUPE_3G073100 transcript:ONI15993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGVNMGAAMASLVLLVTLTGRSTAVDNPDCYASCLPKCVKGSMFGAITCAGTCIAQCLAISPSLLSVPKDDHYFCKLGCASSMCASLIDTENPEMGKVGDCVDSCSEKCSISSTLPHN >ONI16629 pep chromosome:Prunus_persica_NCBIv2:G3:9295173:9297418:-1 gene:PRUPE_3G111600 transcript:ONI16629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLSSVASKIMGGNGVVSRSVASSLRLRAGMGLPVGKHIVPNRPLHVNDELVWDNGTPFPEPCIDRIADTVGKYEALAWLCGGLSFFASLGLLAVWNDKASKIPFAPKVYPYDNLRVELGGEP >ONI18885 pep chromosome:Prunus_persica_NCBIv2:G3:23733646:23736460:1 gene:PRUPE_3G245900 transcript:ONI18885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCSSLSLPAPSKLPLPPSLRPQKSPNFDSLNNRLISHINVGHLRKAITTLDLMAQRGTHPDLPIYSLLLKSCIRSRNFDLGRLVHARLVHSQLELDPVVLNSLISLYSKSRDWKKANSIFENMGNKRNLVSWSAMVSCFANNDMGLEAILTFLDMLEDGFYPNEYCFASVIRACSNAQNIRIGNIIFGSVIKSGYLGSDVCVGCSLIDMFAKGSGELDDAYKVFETMPETDAVTWTLMITRLAQMGCPGEAIDLYVDMLWSGLMPDQFTLSGVISACTKLDSLSLGQQLHSWVIRSGLALGHCVGCCLVDMYAKCAADGSMDDARKVFDRMPNHNVLSWTSIINGYVQSGEGDEEAIKLFVGMMTGHVPPNHFTFSSILKACANLSDLRKGDQVHSLAVKLGLASVNCVGNSLISMYSRSGQVEDARKAFDILYEKNLISYNTIVDAYAKHSDTEEAFGIFHEIQDTGFGASAFTFSSLLSGAASICAVGKGEQIHARIIKSGFESNQGICNALVSMYSRCGNIDAAFAVFNEMEDWNVISWTSMITGFAKHGYAAAAVEMFNKMLEAGLKPNEITYIAVLSACSHAGLVAEGWKHFKAMQKKHGIIPRMEHYACMVDLLGRSGSLVEAIEFINSMPFTADELIWRTFLGACRVHGHIELGKHAAKMIIEQNPHDSAAYSLLSNLYASSGLWEEVAKVRKDMKEKFLIKEAGSSWIEVKNKIHKFHVGDTSHPKAREIYDELDKLGSKIKKIGFVPNTDFVLHDVEEEQKEYYLFQHSEKIAVAFGLISTSKSKPIRVFKNLRVCGDCHTAIKYISKATGREIVVRDSNRFHHFKDGTCSCNDYW >ONI19929 pep chromosome:Prunus_persica_NCBIv2:G3:26757149:26761607:1 gene:PRUPE_3G305500 transcript:ONI19929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEEAKRGGGWSEEVCWRKQVHDNLSRLHSLLFGAELAVERHDFSSAQVLGLRLLGFLDSQSHSQLDHAFVRPIRRETVSELDASRRALIPHSDRKAFEQAKKAPGCVFGTNGDIDIEKIKQSKYFQALLHQSNNGRVVNDLGDQLERQDKLTCKLSKPLAQTKLASLYKNNFMTESNGKSKSSWGSRSNSSGDCTIVEKLHSYHNRNDGHSALSFQKVEEEEKAYGNSLRAKRAHKEFTSPIIDNAKSPSSSEDVKADVSSHSFVTAKAKLEMDARQRRGLAGSPNACVSPQSDNNATNRGYSTRSYGYSRRGARGNFVPPIKSNEGNVGNMTSRVAGKSDDTLGDSTKKCLEMLCGPDGELPEKLRNLEPRLLEHVSNEIMDKDPNVRWNDIAGLEHAKKCVTEMVIWPLLRPDIFKGCRSPGKGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVQNILDKDGLFKLSREDIDGICNLTEGYSGSDMKNLVKDASMGPLREALKQGIEITKLKKEDMRAVTVEDFESALQEVRPSVSLNELGTYEEWNKQFGSLSL >ONI14801 pep chromosome:Prunus_persica_NCBIv2:G3:611226:612057:-1 gene:PRUPE_3G009600 transcript:ONI14801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYISWSDGSLEENRSRNWILCFLLIVLASSLSLHLNQRKWAFVSGNEYMYDMRLH >ONI15806 pep chromosome:Prunus_persica_NCBIv2:G3:4482104:4482307:-1 gene:PRUPE_3G062700 transcript:ONI15806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSILNIRVILFPQDLSLLEFLSCYIHSSQSTKGKFENRSFTMVDHSIQDHLVESRITQYFKSIQQE >ONI15336 pep chromosome:Prunus_persica_NCBIv2:G3:2746288:2749592:1 gene:PRUPE_3G038000 transcript:ONI15336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPTPPSPTELDAAERIILLWDSTASEEARERMIFEGHRDEVDRYLQAVDEIQRSMSSASLVSVDDQAKLNSAIQIAMARLEDEFRNILLNHTSPIEPDSLTSTDPSSSTHSCSADSVSEFEDYSAEDDSGLTTPKASSASVGDADELLQRGDSSNTCSYRSTSSIRELDLIPSDAVVDLRNIAERMIAAGYLRECIQVYGGVRKSAVDSSFRRLGIEKLSIGDVQRLQWEQLEAKIRRWIRAAKACVRIVFASEKKLCEQIFNGIGTAIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALMDLMPDIESVFESKSSESIRIQAVEILSRLAEAARGILSEFENAVLREPSKVPVPGGTIHPLTRYVMNYISLISDYKQTLNELIVSKPSTGSRYSGDPTTPDMEFAELEGKSPLALHLIWIIVILQFNLDGKSKHYKDAAIAHLFMMNNVHYIVQKIKGSPELREMIGDDYLRKLTGKFRQAATSYQRATWVSVLYCLRDEGLHVSGSFSSGVSKSALRERFKSFNAMFEEVHRTQATWLIPDSQLREELRISISEKLIPAYRSFLGRFRSHIESGRHPENYIKYSVEDLETAVLDFFEGYSVSQHLRRRSQ >ONI15337 pep chromosome:Prunus_persica_NCBIv2:G3:2746401:2749592:1 gene:PRUPE_3G038000 transcript:ONI15337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPTPPSPTELDAAERIILLWDSTASEEARERMIFEGHRDEVDRYLQAVDEIQRSMSSASLVSVDDQAKLNSAIQIAMARLEDEFRNILLNHTSPIEPDSLTSTDPSSSTHSCSADSVSEFEDYSAEDDSGLTTPKASSASVGDADELLQRGDSSNTCSYRSTSSIRELDLIPSDAVVDLRNIAERMIAAGYLRECIQVYGGVRKSAVDSSFRRLGIEKLSIGDVQRLQWEQLEAKIRRWIRAAKACVRIVFASEKKLCEQIFNGIGTAIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALMDLMPDIESVFESKSSESIRIQAVEILSRLAEAARGILSEFENAVLREPSKVPVPGGTIHPLTRYVMNYISLISDYKQTLNELIVSKPSTGSRYSGDPTTPDMEFAELEGKSPLALHLIWIIVILQFNLDGKSKHYKDAAIAHLFMMNNVHYIVQKIKGSPELREMIGDDYLRKLTGKFRQAATSYQRATWVSVLYCLRDEGLHVSGSFSSGVSKSALRERFKSFNAMFEEVHRTQATWLIPDSQLREELRISISEKLIPAYRSFLGRFRSHIESGRHPENYIKYSVEDLETAVLDFFEGYSVSQHLRRRSQ >ONI19011 pep chromosome:Prunus_persica_NCBIv2:G3:24240980:24243959:1 gene:PRUPE_3G253200 transcript:ONI19011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNSVRVLEVCNVAPQPSSPAGSATPPPKALPLSLFDLPWLRFAPVQRLFFYEISNSFDTTILVSKLKASLSVALQQFLPLAGYLKWPQDSPKPILSYVQGDAVSLTIAESDADFHHLSSRSNFVEAKEYHSLVPQLTTSHEKAAAVAFQVTIFPNGNGFSIGTSMHHAILDGKSSTMFVKSWAHICEHLGDDPSGSSLPDQQKPFFDRRVVQDPAGLEPIFLNQIQNLDGPNNRSLMVTQFKSPPPDAVRGIFVITRPEIEAMKQWVSTKMAEMIKNEKQSDRPHLSTFSVTCAYTWVCLLKAEEKKTDKPVMMGFTLDCRPRFDPPIPVNYFGNCIAGRVIVAERKGLLGEDGLTVAVNEISEAIKRAESDGILKGAETLVPIVYSAERSEKRFMGVAGSPRFGIYDTDFGWGRPSKVEVVSIEVTGAMSLAESRDGIAGDVEVGLVLEKHHMQAFASLFAKGLQDL >ONI15017 pep chromosome:Prunus_persica_NCBIv2:G3:1541130:1541779:-1 gene:PRUPE_3G021200 transcript:ONI15017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVVASSMFLLQIHGMHDQLRKSLKVSSKTGSTNRKVILKPTLTLSITANVSLRIRLNMRRFSCDINERISLAMLFLLQVFQCRPSQ >ONI15495 pep chromosome:Prunus_persica_NCBIv2:G3:3282602:3284619:1 gene:PRUPE_3G045900 transcript:ONI15495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSENPGFLRTLRTESGPSRLSNCTLLEAERASFGDNPHEFIRHETVKENTFSCSLSFPETNDDFDEYELKKIGSF >ONI17215 pep chromosome:Prunus_persica_NCBIv2:G3:15955469:15956204:-1 gene:PRUPE_3G146000 transcript:ONI17215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLLLQSLFPLLLITSFLSINVELSLSQDNEQYTNCGEDINCGGFRNISYPFWGVNRANYCGPKTYVTTNINFSLFDYTSGLTNLTFYYACNTSNIPGLNSSSQACNTNNTTVRYAMRSPPVDPVATRACKTGVSVPVFTTAALALKADRITIKEVVGRGFELGLQIDNVQCKSCVESGGKCGLNTTSRGFCFCLDEPYATTCNAK >ONI17737 pep chromosome:Prunus_persica_NCBIv2:G3:19365235:19366548:1 gene:PRUPE_3G176400 transcript:ONI17737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNVTAASLYPNPSPFPPSSIPPHPPFLNPKRKPHFRSNTTFRTSAQSDRIESWVKDEDPPASLSGSSSSARTQLNLLEQLTSTSSSVDGGYESDGSSRKLTIRDQLAQLVGDRDGNFSIPLGKNFKKVIAKELTISQKRNIKRQAYLNEVSKRNDSVFFATIGAFVLVPPLVILGIAILTGYVQLFP >ONI16965 pep chromosome:Prunus_persica_NCBIv2:G3:13663118:13669256:-1 gene:PRUPE_3G132000 transcript:ONI16965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLICSKPSAVEDSRESPPKRSSSSLSKQRSERKASRLNSSKRKEAVWTKDKLGGGGDVKVMLMDKKVSGSMRLYDQNKSKKIEKADVAVLDHPGSRIVNATVAEQVAAGWPAWLSAAAGEAINGWIPRRADTFEKLNKIGQGTYSSVYKARDVLNDTFVALKKVRFDNLDPESVKFMAREILFLRRLDHPNIIKLQGLITARTSSSLYLVFEYMEHDLTGLGSSPSIKFSEPQVKCYMKQLLSGLDHCHSHGVLHRDIKGSNLLIDNSGILKIADFGLASFYDPHHSVPLTSRVVTLWYRPPELLLGASKYGVAVDLWSTGCILGELYSGKPILPGKTEVEQLHKIFKLCGSPSEEYWRKLHLRNSTVIKPPQPYRRCLAETFKDLPAAAVHLMEILLSVDPTDRGTAAIALESEFFTTKPLPCDPSSLPKYPPSKEIDAKLREEEARRKGAIGGKGQKVDLGWRPQPPAISGTNANAELIASVQRRQGHSNQKMRSEMFNPHREEAVSGFLVDPTKHLRTDKDARKDLLEHHNKRSSVSGPLVHGPGWPKSGKECNDSLMSSNRANLSKLSGLVLARTALSEDQQEKPGPSGPETTKQVGGVPGSFDVVESTKNQERMHYAQRIARSRQMEDEKACIKEPNMHGRGPKGNKIYVSGPLLVSSNNVDKMLKEHDRRIQEHARRARLDKNRPGKQGTENPTFASWH >ONI19866 pep chromosome:Prunus_persica_NCBIv2:G3:26624508:26627737:1 gene:PRUPE_3G302200 transcript:ONI19866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFTQHHTHSSSAAKWVFLTSCACLLGGALVADFLWASSSYSSSAYSFISSNLALDKSGALVVPNVTANEADQKGSFKDKKEHASERLLSGTFADISAPELPWENMTPAPVPRLDGSSIQIKNIFYVFAGYGTIDHVHSHVDVYNFTDNTWGERLDIPKEMAHSHLGVATDGRYIYVVSGQYGPQCRGPTARIFVLDTETKKWSSMLPLPSPRYAPATQLWRGRLHVMGGSKENRHTPSVDHWSIAVKDGKALEKEWRKEAPIPHGGPHRACVVMNDRLFLIGGQEGDFMPKPGSPIFKCSRRHEVVYGDAYMLDGEMKWKVLPPMPKPNSHIECSWVIVNNSIIIAGGTTEKNPVTKRMILVGEVFRFQVDSMTWSVIGRLPYRVKTTLTGFWNGYLYFTSGQRDRGPENPQPRQVVGDMFRTKLNL >ONI18327 pep chromosome:Prunus_persica_NCBIv2:G3:21509557:21510342:1 gene:PRUPE_3G209400 transcript:ONI18327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRRSLSKSSQDHFLKIQAFHLQATRLCIVKAYTCILKMKNAIAKTSKRKRKIHHHLCNPCNTVVGD >ONI15254 pep chromosome:Prunus_persica_NCBIv2:G3:2434951:2435906:1 gene:PRUPE_3G032900 transcript:ONI15254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITLFQTIGLQTQLSNFKNITKSLRKKLGNEEAKSLLSRAVYFFSIGSNDYLYPFDTDPSVLGNYSHQEYVDLVIGNITTVVEGIYEKGGRNFALLNLWPIACLPYARALNPEEGACYDAFTPYVKLHNKSLLKVLQTLEKKLNGFRFSVSDFNEFLTQRMNHPSKYGFVEGEAACCGSGVYGGIYNCGGMRIAKEYNLCKNVSDYVYYDSAHPTDRVYEQFAKTIWSGNSITTPYNLKTLFEN >ONI18244 pep chromosome:Prunus_persica_NCBIv2:G3:21265515:21267070:1 gene:PRUPE_3G204700 transcript:ONI18244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSVLQMSKRRRRSSQREDQPVLGMENLPYEIVADIISRLPFSSLVQFRNANRGSWHRFRVYRPQSEVQVLTLGSSNWRSIGKTSHYLHHWPAQVLVNGRLHWVTWRRRYHPGRKLISFDLGDEQFREVPKPEADGLNRWDYHLLVVRGCLAAVFYCSFGKLEMWVMKEYGVKEAWVKELSIASHVPKALKQDVDRSWKISKIAIRGRYVRVLCVLGSGQILLEYKSRALVLYDPNGGNFQDLVFQGMPKWFQTVVHLGRLNQIHTLVNM >ONI18419 pep chromosome:Prunus_persica_NCBIv2:G3:21791159:21792580:-1 gene:PRUPE_3G214500 transcript:ONI18419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSSPECLLELLQRFLKRPNQINQIHSRLISHGHLLHSPNPSSASKWMTTLLYNTLIRAHLGFSQAHKPFLLFTHMLAHQAPPNSHTFPPLIKAASASSSPNLGAPLHTQVVKRGVLHDSFIQTSLVSFYAQFGILCDARKVFEEISEPCVVAYNAMIDGFGKNGDVGSAVSLFQSMPKKDVVSWTSVINGFGRNGSFSEGIQFFKMMVHEDLMGCFVKPNEATYVTVLSSCANLDGWGSLYWGKQIHGYVIRKEIEFTAFLGTALIDLYGKMGYVRSAENVFKKMVVTEVCTWNAMISALSLNGKEREALDLFEKMKRERLQPNAVTFVAVLTACARGKLVNFGLELFRSMSNDFGVEPIMEHYGCVVDLLGRAGLFLEATELIKSMPFEPDASVLGALLGSCKIHGTAELGNKVGQKLLELQPQHCGRYVVLSSINAGTERWDRAAAVRQAMVHAGIRKIPAYSVIDVK >ONI14786 pep chromosome:Prunus_persica_NCBIv2:G3:503131:507803:-1 gene:PRUPE_3G008300 transcript:ONI14786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRPDPDIDDDFSELYKEYTGPPGSNATNTQDRAKPNKRSLTGSDEEEETRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGEPGHFTQGCPSTLGANRKSQDFLERVPAREKHVKSLFTEKVIDRIEKDIGCNIKMEEKFIIVSGKDRLILRKGVDAVHKVIREEGEQRDGSGSHMTRSRSSERSPVGSRLQRSESQRSHSGSRNGSHFQQRFHRQEKFVEDRIRQDMQKFSRGSPQAYGNDGARSRPSHSNSPARAPYMGNSYSSYDVHNQSMATYRNDGWDSDKKGSDMQSGRRFDYSATPQTLEELELEYKREATELGRIRDKEEDEENYKHREVIREMRENYMKKLGTLRGMHAKQWEDFIQIDAQRHQQQVNQQMSTSGFGGYKSQNYNEYDGSSANPHYAGASLAMDSRSRYPNPMENYPSRPHDNFGEFQRQRHDDYGRGYGRY >ONI19505 pep chromosome:Prunus_persica_NCBIv2:G3:25676206:25679664:-1 gene:PRUPE_3G281800 transcript:ONI19505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNWVALVLICVVLSGDFSSASASPPAKIVTGVVSNVVSALVKWLWSLKSTTKTGTAVSGRSMVKFEGDYTVDTVFDGSKLGIEPHTVIVSPSGDLLVLDSENSNIYKISTPLSRYGRPKLIAGSPEGYSGHVDGRPREARMNHPKGLTVDDRGNIYIADTMNMVIRKISDAGVTTIAGGKWGRGGGHVDGPSEDAKFSSDFDVVYIGSSCSLLVIDRGNQAIREIQLHYDDCTDRYDGSFHLGIAMLIAAAFFGYMLALLQRRVQAMFSSDEDRRTPMKRDAPMAPYQRPPKSVRPPLIPPEDEPEKLDDGFFGSLGKIAVNTGSSVAEILGGLFMGFRRKPMHYQIQQQYHQANKHSNAWPMQESFVIPDEDEPPSIETRSPTPKKTYPFMTKDLEKSHHLKQSQAYYNSWDGEYHQQQQHQMQMQMQQQQQHQMQMQMQQQEQHQMQMQQQQQQQHRQQQHHRQYSSSPKTFYEKSSETNEIVFGAVQEQDGRREAVVIKAVDYGDSRYNHHNIRPRFNYMGYNSSGY >ONI19506 pep chromosome:Prunus_persica_NCBIv2:G3:25676206:25678582:-1 gene:PRUPE_3G281800 transcript:ONI19506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPKGLTVDDRGNIYIADTMNMVIRKISDAGVTTIAGGKWGRGGGHVDGPSEDAKFSSDFDVVYIGSSCSLLVIDRGNQAIREIQLHYDDCTDRYDGSFHLGIAMLIAAAFFGYMLALLQRRVQAMFSSDEDRRTPMKRDAPMAPYQRPPKSVRPPLIPPEDEPEKLDDGFFGSLGKIAVNTGSSVAEILGGLFMGFRRKPMHYQIQQQYHQANKHSNAWPMQESFVIPDEDEPPSIETRSPTPKKTYPFMTKDLEKSHHLKQSQAYYNSWDGEYHQQQQHQMQMQMQQQQQHQMQMQMQQQEQHQMQMQQQQQQQHRQQQHHRQYSSSPKTFYEKSSETNEIVFGAVQEQDGRREAVVIKAVDYGDSRYNHHNIRPRFNYMGYNSSGY >ONI18776 pep chromosome:Prunus_persica_NCBIv2:G3:23308974:23309679:1 gene:PRUPE_3G238200 transcript:ONI18776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSINPIMNKRQPASTLLQFVSVFNFLDYPYIFPATKQNAGKHIYQIFNDIINLSEEKRKPERECEG >ONI19867 pep chromosome:Prunus_persica_NCBIv2:G3:26628171:26631231:1 gene:PRUPE_3G302300 transcript:ONI19867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSPLHNRCIWSSPVRSSSSHRPLLVSLSARKSKSPGLVPSFRSTRRRNLCSASSSETLIGSRKEDGKHSQVVSKKEEEEDLKSWMHKNGLPPCKVVLKEKPSHNEKHRPIHYVAASEDLQVGDVAFSVPDSLVVTLKRVLGNETIAELLTTNKLSELACLALYLMYEKKQGKNSFWYPYIRELDRQRGRGQLAVESPLLWSEAELAYLTGSPTKAEVHERGEGIKREYNELDTVWFMAGSLFQQYPYDSPTEAFPFEIFKQAFVAVQSCVVHLQKVSLAQRFALVPLGPPLLAYRSNCKAMLTATDGAVQLVVDRPYKAGESICVWCGPQPNSKLLINYGFVDEDNSYDRLVVEASLNTEDPQYQDKRMVAQRNGKLSVQAFQVNVGKEKETVFDMLPYLRLGYVSDPSEMQSVISSQGPICPVSPCMERAVLDQLADYFRTRLAGYPTTLSEDESLLADANLNPKKRVATQLIRLEKKMLHACLKVAVDLINQLPDHTVSPCPAPYAPSLK >ONI15176 pep chromosome:Prunus_persica_NCBIv2:G3:2163524:2164320:1 gene:PRUPE_3G028900 transcript:ONI15176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTESLVGNLVRKSYEMEGSLTFKLGEEELEPGMRSPIILRPKQNRGTNEPQKKRKQSAKNWKNRRKDLQKWGNKISRDPKKKRSIVFWLKNVFFFSEVFYECWCRLQ >ONI18387 pep chromosome:Prunus_persica_NCBIv2:G3:21678112:21679695:-1 gene:PRUPE_3G212500 transcript:ONI18387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIMAPTFSSISVNRTGGDCSKWSSTNRHRRGMKLKAMRIEKPLEELYQVRVERKVSPERLAELGVSRWSMWKTGKCKLPWDWQVDQLVYIEEGEVRVVPEGSKQYMRFVAGDLVRYPKWLEADLFFNGPYQERYRFRAYGDD >ONI16989 pep chromosome:Prunus_persica_NCBIv2:G3:13736852:13738775:-1 gene:PRUPE_3G132500 transcript:ONI16989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLKLASPVKFYGHSLPRPRIYTDVKFNDHRVDPPVAVLDPLLWWANEAHWSMGGLSFKRIRLQGRIEGNVGKLRVQREKTERKRQKLEKSANGSASNQSNSKRAASDSPPPAPIATKRRRFLDLIDEDDEDGNDADEVEHEVEAVKGKRLVKNLADAFDKVAMEGEGGNSLSPSKGLGGESEGIATRTRSRRSEEDEAAETVLKVAEEVNKLSFKDKKLKGKSKGKENKQQTGSSNVNGTRTSPRLANRS >ONI17274 pep chromosome:Prunus_persica_NCBIv2:G3:16348250:16349023:1 gene:PRUPE_3G149600 transcript:ONI17274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHHPPGPPPPPDPFAPPPPDPAPPRPPPPGPPAPPPPGHPPPGGPFAPPPPGPPGPPPPRGPPPPP >ONI19595 pep chromosome:Prunus_persica_NCBIv2:G3:25890951:25895042:-1 gene:PRUPE_3G286500 transcript:ONI19595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPTRSTAVEGGSASALEPPKGSDAEENTIVEAINGSGGTQPGPGGSGRESSVGDVGSSRVGEVVKTQVVEPKSSTERSFGDLNVGLSDTEEGGLVESSLLKLRGDEGSVEKLESFSSKEKKAVTDATMAMASVNGGVEENGSSLDEFEEYQDGKHEIIEGKTGVNGGRADENDSFLDEIEEDPDGKPEITEDMGDEGHEFSVGDFVWGKIKSHPWWPAQICDPSDASEYAVKLKYKDRLLVAYFGDGTFAWCHPSQLKPFEENFQEMSKQSSSKAFVNAVQQAVDEIGRLVKLKMSCGCVKKEFLSDISQPLALNAGIKEGVVVPEGKVGKFLGHLSESANLLAELKHASQVTSVSSVLELTVLKSCLSAFYFSKGGYQLPVFYEAQPIPGLEDDEKAVEVPVQGPFEDWLSSPGGAKTGQTDQTFSRSSPKILEDRQYQRRKQKSIADLMGGDDDIQAKTKDGGIMANEGAVSEKPEQKKRKGSESHDESNLSSDVVKRKLRLSKSPTSTLTKKILSVENDCSGSKEEGNKGRLSRRRKKDESFGMDSDDGKMKEETGDSPLSRDGELRSGGLQSDMKDQIDNRPLSRERKKSKYLSPPFTNLNMVKRMRDIEIESEVSNENQLGERATSNLIGSPHMLNCCTEKLKKKHTTELSPKAPAEDEEKSIDPLKANASASLVISELRSAALNPSYPIKRKSFEIFRDFMAIFRDSIYRNGSNYELYKNRQPHRKRKNLISEPGSLGKDQSQTAENLRDSESGHKKIKKSSDKPIGKHATGTPDLKTRRKKRDEKASPASLFVTFGPGSSLPTKADLIKIYSKFGELNEMETEMFYNNFCARVSFLRISDAEEAFNHSQNDSPFGASNVNFRLHNLSTASKVRELSEISNSPPAKSRGKTRSQPVGTNSQPPVDGEASQLDFIRHKLEKLTSMLDNSDGKVSAVTKSKLESEIKELLETVSTMVESSS >ONI15113 pep chromosome:Prunus_persica_NCBIv2:G3:1963183:1964356:-1 gene:PRUPE_3G026600 transcript:ONI15113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGKNQSLPLNSRSAMGSFDPIDPMVDPPLIYACQVVAVKKIDSSLFQGSATQEFSDIVVNISKIRNANITELVGYCSEHGHNMLIYEYFSNGSLHEFLHMSDDYSNPLTWNTRVRIALGTARAVEYLHEGCSPSTVHKKIK >ONI17328 pep chromosome:Prunus_persica_NCBIv2:G3:16579645:16582453:-1 gene:PRUPE_3G151700 transcript:ONI17328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLVPLLNRVLVERIVPPSKTTTGILLPEKSAKLNSGKVIAVGPGTRDKEGSFIPATVKEGDTVLLPEYGGTEVKLGDKEYHLYRDEDILGTLHD >ONI17761 pep chromosome:Prunus_persica_NCBIv2:G3:19446613:19448920:1 gene:PRUPE_3G177600 transcript:ONI17761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLYIPWIFLVQTLTLFAKCRGKVPAVIVFGDSSVDSGNNNFIPTIARSNFQPYGQDFPGGQPTGRFCNGRIPSDLISDGLGLKPTIPAYLDPIYNISDFATGVCFASAGTGYDNTTSDVARVIPLWKEVEYYEEYQKKLKAYLGNKKAKKLLSDALYLISIGTNDFLENYYTLPDRQSQFTVKQYENFLINLAADFVKKLYALGARRMSLAGLPPMGCLPLERTTNIMGLHACVEERNNVALEFNRKLKGMVAKLNKQLPGLEAVYGDVYYIFLQIITRPSVFGLEEARVGCCGTGRFEMSFLCNPHNPFTCQDANKYVFWDAFHPSEKTNQIISDNALKTYLAKFL >ONI18651 pep chromosome:Prunus_persica_NCBIv2:G3:22790414:22791102:1 gene:PRUPE_3G230100 transcript:ONI18651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKSKAWAVLGTVVAVEELKEKNLSKWRSLQSYQHALINNIVPLSTQVGKSSSTSSTMESKKKYCKQGTLNLKQSEEPLRLIMYLSCWGPN >ONI17446 pep chromosome:Prunus_persica_NCBIv2:G3:17841003:17841260:-1 gene:PRUPE_3G159700 transcript:ONI17446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAKSSNGHNFVSLIPRFNDDNYDYWSNNMKVLPKAVELWNMVEDGYEEPEDEQALTQAQRNALKENRKKDSKTLSTSIKQLRC >ONI19424 pep chromosome:Prunus_persica_NCBIv2:G3:25566197:25569578:-1 gene:PRUPE_3G279300 transcript:ONI19424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQFSSGLELANVLLTSEPLHQSWDAIQNDKQKVNPNAQPTLHINTTQQANLTIITFLTSPMSLRGQEGLILSSTFKERNLPDFGFLLNKSNPSFSLNEAAIKLFASRFDELCLLKTEISRSNSLVIITGYSMGGCVATLFTLWLLESLNLSKAKRPLCITFSSPLIGDEHFRKCVSQFSAWTSCFLHVASIQDPVPKLFLSPNPTALGTGTKVGAYKPFGTFLLCSDSGCACFEDPDLILELVAANSQGDQTQYPNVGIQFFDYGQLLERLKLKAFCKDVFELAESDRVPLKASIITQLAAIFGVPPSQALQQKQLNSLIKKMETHEYKLAIQKTRASNAAKKINDMKVNMAYLEWYKKESKDREIGYYDVYKNKHNRADFNVQEFKKKLSNYWQDLVEEVENRPQKEGAALRTRWLMGGTNYRRMMEPLHIAEYYKDKDGKNYIEERPKHFILLEKWFEEEEERKVAERIRRGETVEDGPSKSKAQNVASSLTDDSCFWAHVEEALILCNQLEKGQPSFQEREQCKQKLIEFEKYVLDALKNFAVTPDIFLKYSSFMAWWKQYNKIVRSSTQLGRIMTDGTYRHYEKGVKVVFEPL >ONI16316 pep chromosome:Prunus_persica_NCBIv2:G3:6831873:6833100:-1 gene:PRUPE_3G091700 transcript:ONI16316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPTCGPDPVSPNTTYVQADPATFRAVVQKLTGAPEDPSAQKLPLTLPARYSNPKPTTATEMGPRRPAFKLHERRQATKKLELNLNTASPSASCGPHGRPRGFVGFGSHHEMVMVSPVSTLDFLARGSPRTPASPCEDEDRAIAEKGFYLHPSPPLSTPRGSEPPELLPLFPLHSPRDSQNSSSAT >ONI17459 pep chromosome:Prunus_persica_NCBIv2:G3:17937811:17939413:1 gene:PRUPE_3G160600 transcript:ONI17459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSNLVSSSPNPSWKGSGVGAVGSREQLETIFNKFDKNGDGKISCDELRGVFGELGSDTSSLEEVRSIMAEFDRDGDGHIDILEFAEIMNGGSSQELRDAFDLYDLDKNGLISVSELHEVMKRLGLKCSLQDCVKMIRNVDADGDGHVNFDEFKKMMTPR >ONI16800 pep chromosome:Prunus_persica_NCBIv2:G3:10964399:10964944:1 gene:PRUPE_3G122800 transcript:ONI16800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSASHGWCVANGMLSKAIVETKILPKINEKLRCHKTYSRYQSHSRFGWDPTTKKFTAPEEVWKDYFKSHPKHTSIQTKTCEDYEDLQIVIGNAITIGRNTLGLGDDTDARNFRVEDRHVGIKDFIFYDES >ONI14776 pep chromosome:Prunus_persica_NCBIv2:G3:462969:465064:-1 gene:PRUPE_3G007500 transcript:ONI14776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSFFFKPFFFLYRIFFRKLKGFPMSVSSSHHASSSQSKNDKFFGSLYSHKSDLSNQTLIIFNFEGALLKSSSLFDYFMLVAFEAGGLLRSLVLFLLYPLICLVSEEIGLKIMVMVCFFGLKKDSFRVGTAVLPKFFFEDVGLEAFEVLQRAGKKVGVSNLPRVMIESFLKDYLEIDVVVGKELKVFCGYFLGLMEEKENNVLPVLEEHDGEDMGFDIIGITASNKFHDDQLFYYCKDVYLVGNSDKRSSKKLPRDRYPKKLVFHDGRLALKPNPLDTIAILMWVPIGIALAIFRIIVGISLPYGVSLPILCFSGLRLTVNDNTKRESQSINSHRSLPNDKPKGVLYVCNHRTLLDPLYVCFALKKNLHAVTYSLSKMSEILSPIKTVRLTRNRHQDAEMMERLMNEGDVVVCPEGTTCREPYLLRFSPLFSEISDEIVPVAVDTHVSMFHGTTAGGLKCLDPLFFMMNPSAIYTVQLLDRVSGLSCCRDGSRSSIDVANYVQGEVGRALGFECTKFTRRDKYFILAGNEGIACKSNQPLKFE >ONI14848 pep chromosome:Prunus_persica_NCBIv2:G3:757513:760471:-1 gene:PRUPE_3G012300 transcript:ONI14848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKGEEQRRVLLLCGDYMEDYEAMVPFQALQAFGVSVDAVSPGKKAGDICATAITIKVESTDRAYTESRGHNFTLNATFDEIEFDEYDGLLIPGGRSPEHLAMNASVVELVRKFSDSRKPIAAICHGQLVLAAAGAVKGRKCTAFPTVKPGLVAAGAHWVEPDTLSVGVVDDNIVTGVTYYGNPEFIRLFLKALGGNISGSGRRVLIICGDYVEDYEVTVPYQTLKVLGCHVDVVCPKKKAGDTCPTAIRDLEGAQTCSETQGQNFVLTADFESVDASSYDALVLPGGKDPEVLSLNEDVIVLAKQFMEARKPIASICHGLEILVAAGVLQGKKFTGYPGIKAHVVVSGGTFAEANPIDRCVTDVNLVTAAAWHGQPELLI >ONI15015 pep chromosome:Prunus_persica_NCBIv2:G3:1531126:1534053:-1 gene:PRUPE_3G021000 transcript:ONI15015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVMTSHAILFQTSNPIRPKPKLKPFCTPALKFSPNPNLNPNKILKFSLRPLCASLTTNLQTLNTQIPSQSPPPLAHLPTRTLTTLFALTLAVVRNLSISLLKFGSQFGPSIGSAAGPLFFAALGDRPSGYLNTPLTVVAAGLSKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPIFDTLDVSPLLAFAVLGTLGSILNNSRGMY >ONI17170 pep chromosome:Prunus_persica_NCBIv2:G3:15444788:15446422:-1 gene:PRUPE_3G142400 transcript:ONI17170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKELGEAPVRPRADSVSMADDDDDNVNTKECQYKAGLDVENGAQFGNVCTRLGRSVKELLSKKIGAHHQTRDFEDPDNLRLYQQLYSYATVGKKGIFNDTIAKKLHDPNASIQLLSRRSPENDTFVHIAVTFGHAQLAAEILQLHKPLLMKNNEENTALHEALIGGHQSAAKRLIEADPAVSLYTNKEQKSALYLAAEQGLVEILKIMNERAVDKNTQIQGNSPLLAAIHGCHNKEVLKIISNMEANILNSKDEKGRTPLHYAASIDYLDGVRFLLELRLSDSHQMDNGGNFPIHSASSKGHVKIVKELLRHCPDSRELKNSSGQNILHVAARFGKDNLVKYFLKNGEFRMLINKKDNLGNTPLHLATMYHHHKVVYLLTWDRRTNLKVVNGRGMTALDISESTLETTASNHGVSLCYIYSYHILLMMMIFGYHNNQGNSFKLLVLSSQMMWVICLQTISHLSLITLK >ONI16440 pep chromosome:Prunus_persica_NCBIv2:G3:7525407:7529296:1 gene:PRUPE_3G098200 transcript:ONI16440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTASLLPKPPSPFLTNTRSFPSTHFTKLSFFSPSDHPHCTKRQNLQTHAKKKNPWRDPFDDGEDPDMGYGSLFSEGKQEEDDRPPENLDNPYGFLKFPMGYSVEIASLALKVRGDVRRCCCVVSGGVYENLLFFPVIQLIKDRYPGVQVDVVASARGKQAYELNKNVRWADVYDPDDHFPEPAEYTDMVGLLKNRYYDMILSTKLAGIGHGIFLFMTTARERVSYIYPNVNAAGAGLFLSETFTPNSMNLSEGGYHMYHQMVDWLGRPVRDVPRQPLPPLKVSISKKLKQFVESKYQNAGVSKGKYIVIHGLESDSKASMQSKGDADSLLPIQMWATIARGIREFRPVFVIPHEKERENVEEVVGEDTSIVFITTPGQLAALINDSAGVITTNTAAIQLANAREKPCIALFGSEEKGKLFVPNAEEKKNCVIVSSKTRQLKDIDTEAVKRALQIFSVSLALV >ONI17209 pep chromosome:Prunus_persica_NCBIv2:G3:15889028:15890561:1 gene:PRUPE_3G145400 transcript:ONI17209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRWVAMREREREREREREREQKKNGEAQRMWFGRRVCGGHSDSRGYVHVHKFEDSSSGSLAFRVQNISH >ONI15651 pep chromosome:Prunus_persica_NCBIv2:G3:3771359:3774778:-1 gene:PRUPE_3G053600 transcript:ONI15651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKRALQNLRVPSESKRKRISSTVYDKTCAIDLCAEASGAHRKRKREQFSIIDKNVKLKKQQTAKDSNSVDKRVASMDYISQLPDTVIHIILSLLRSTKDAARTSILSKRWRDLWTSFSVLTFDQRTFQELEGVQSMENCNEVFKQFIDKSLQSHLDRKLGVYKFVLHLTSYDPALVHHMERWFGLAVENNAKELGFHVHVNGTRRYILPKNVFAAEGITGLRLQGCKLETCSIIKLPHLQKLYLRNLRVDQLIIMNLISSCPLIDDLRLIQCSGLSCLDISSLLKLDRVEVHCCNVLKRIDITAPSLQAFWYSGKKQVSCKINLKDCESLKRLKLEDSRMTNNLFHGRFFSFPLLEKLELSNCLKLTNIRIGSHRLNRLVLRGCKNLEEAEIDTPNLLSFEYQGDKFPFSFLNPKCLKEVKLSFAPMEDRKLKLNLKSEPLWFPKLREFLGKFDHKGLKLVVRTSKNIIIHEDFGEILLSTCGGLKIEMVQSSVGTEELLDISLHKGHPQTLSIMSSSSSEFPKLVHEKIINRKEAPTCCTSNNPNNKCWRHFLKDAKMVNLKAAKGKNDSESMAWLKSRPNANKIACFRLDWKSDKDGRKASR >ONI17167 pep chromosome:Prunus_persica_NCBIv2:G3:15401199:15404661:-1 gene:PRUPE_3G142100 transcript:ONI17167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGKSMKHHNQRSIRLQRLRCSVQNYDWGKRGTDSLVARLSALNSSSEIDPEKPYAEIWMGTHESGPSFLIQNGDENNGFLSIGSESLSLKDWVLENPKVLGEKVVQKWGSDLPFLFKVLSVGKALSIQAHPDKELAKVLHKFMPNLYKDANHKPEMALAVTHFEALCGFISLEELKVVLDNVPEIEELVGSEDANKVFDITDRDDENKVTSVLRSIFTHLMSASKEMITTIITKMKNRLHIESQGRLLTEKEQLVLQLERQYPADVGVISAFFLNHVNLKPGEALYIGANEPHAYIFGECIECMATSDNVVRAGLTPKHMDVNTLCSMLTYKQGYPKILQGVALGPYVTRYLPPFDEFEVDCCQLPQGESAEFPAVPGPSIFVVTFGEGIIYSIDLKGDVITEGDVLFAPANTQISITSASQLQIYRAGVNSMFFQAS >ONI18677 pep chromosome:Prunus_persica_NCBIv2:G3:22895328:22898126:1 gene:PRUPE_3G232200 transcript:ONI18677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNDNNQVVTEQSHKLRRPKSREVSSRFMSSPTSATSLETTTNLPSPNQAISPVRRKSGSPFNETRKPKTQLDDLVGPIRGLWPSSTSSSNKKLDTLADHLGNDRLKDHIEGKNSNFDRQRSRREVGLFENEKEKERESAKENHKPIIGGSMRYPRNFKFLGKSTSPSSSSSSSKFSSNSNVVVPGRFSVDENALYQKPSRRISDGLSDTLDSGSECSDGCSGQTVGSPNAGSSSRKLGMGVSSKYMNEVPLRHRRGTSDSNLPNPISGDKSPKLNKFTIKNVMRRAHSLTGATTQWALSPGRTGSPTMSVENMGLPMSFSSLKPPTSPSKTKGVEKLLNLGLDLFKSKKSSSSSSVLVRSNSVSSAPSMTEMGHQLRLLHNRLMQWRYANARAYVVDEKISNQAQSNVTYAWDSLIKLRHSVLQKKLKLEKEKLDLKLNSILRSQLKPLESWGDMEWQHMAAFSMMKECLYSVVCRVPLIEGAEVDIQLAAVALRHASDLTASMKLLLATFSPLAEQTVPLLSELAKVVAQEKLLLEECLDLSKTISTLESQERSLKCSIIQLELWKQEKIKQQQLKQEDIMS >ONI19951 pep chromosome:Prunus_persica_NCBIv2:G3:26812408:26814702:-1 gene:PRUPE_3G307100 transcript:ONI19951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMDVEGPQLGFPHWKPLRRRFGPESPFFASGNIERELLAKQLALDLTEDERHQLRNMVDEEGRGVFCTIVGCGARLSSLEDFEDHYNARHTASCSVCSRVYPTSRLLSLHLSEAHDSFFQAKVARGYPMYECLVEGCDLKFKNYKSRQQHLVDKHKFPTSFEFFKKAQPSKKQRQKNQRKQAIPKKADASCMEVENETIDSLVSAVSKLSTSDSSPSSISFGRRPTRGLTFVPRAVQREKKPDST >ONI14712 pep chromosome:Prunus_persica_NCBIv2:G3:244489:245465:1 gene:PRUPE_3G003500 transcript:ONI14712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVCAKANKSVTMPKDTARLWFLNKISFSVSCLNQINMVSSTHVPVRTTHLDLDLDLAVFSFSLIDCTMLSLKGSLCM >ONI15361 pep chromosome:Prunus_persica_NCBIv2:G3:2838809:2840892:1 gene:PRUPE_3G039300 transcript:ONI15361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESISWVAYAAAWLGALVLILLSRRLRHRKLNLPPGPKPWPIIGNLNLISQLPHRSIHALAQKYGPIMQLKFGSHPVVVGSSVDMAKAFLKTHDVTFAGRPKFAAGKHTTYNYSDITWSPYGPYWRQARKMCVMELFSARRLESYEYIRKEEMNALLRGLFESSNTNILLKDHLSTVSLNVISRMVLGKKYTDESEDSIVSPDEFKKMLDELFLLSGVLNIGDSIPWLDFLDLQGYIKRMKTLSKKLDRFLEHVLDVHIAKRKAGGEDFVAKDMVDVLLQLADDPNLEVKLERHGVKAFTQDLIAGGTESSAVTVEWAISELLRKPEVFKKATEELDRVIGRERWVEENDIVNLPYVDAIAKETMRLHPVAPMLVPRLAREDCQVAGYDIPKGTRILVSVWTIGRDPQLWDSPEEFCPERFLGKDIDVKGQDFELLPFGSGRRMCPGYSLGIKVIQASLANLLHGFTWRLPDNLKEEDLNMEEIFGLSTPKKYPLVAVCEPRLPPHVYSI >ONI17111 pep chromosome:Prunus_persica_NCBIv2:G3:14594225:14595681:-1 gene:PRUPE_3G138300 transcript:ONI17111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICFFLGAFSPRKATIICILLLCLYALRVWLANHVYAKAGRWDVAFGLLNNPPRTSIRVVNNLQICRDCHSAMKLISQAYNREIVIRDNYRFHRFVDGNCSCKDYW >ONI18714 pep chromosome:Prunus_persica_NCBIv2:G3:22962775:22965346:1 gene:PRUPE_3G233700 transcript:ONI18714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCLGTTKTPNQDPHHHPNGPNNSHFHPKSSVTEPDPTHIQKTQLRASTPPPPPPPPPVVEEESVKEVLSETPISKPQIPKISQDQNTHLPPKFQGELPDFPPQPQAEPIHVPPNPQAELTHFPPNLQAELTHVPPKLQAEQPKELSEEPSQASDLCGVSESFSLSTTTTTTTITDAREDEATSKRKRDVGHRDRASGPSSTTARCKRPYSGELAGRREKGVNLPARALEPPMGKRNRTETRSSRGRESGQLRTMQRGAGPTGVRRDAVSSRSRSPATRKAGRAAVGRSPAKVTGRAAAGGSSSQQGAVESEGKQIKKEADPSNDGVSQPQKQGNESLELECFIFV >ONI16677 pep chromosome:Prunus_persica_NCBIv2:G3:9709602:9711881:-1 gene:PRUPE_3G114900 transcript:ONI16677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSGFKPRDHILNRLIDVYCKSSNFSYAHQLFDQIPKPDIVARTTLITAYSAIGNLTLARKIFNETPLSMRDTVCYNAMITGYSRNNDGYASIRLFCEMRQGGFRPNDFTYTTVLSGVAQIVDAEKQCQQLHCAVVKSGTGFATSVWNALLSVYVRCASSPLVSSSSLMGEARNLFNEMPERDELSWTTMITGYIRNEDLHAARELLDGMDERMEVVWNAMISGYAHHNSFQEALLLFRKMRTEAKPTVDFSLSVNNTLLTLYYKCGKLDEARYIFNNMPVKDLVSWNAILSGYVSAGRIQEAKSFFKEMPERSILTWTVMISGLAQNGLGEEAMTLFNQMRSEGFEPCDYAFSGAITSCAALGALEHGRQLHAQLISLGFDSSLSAANALITMYARCGVFKDANSVFLTMPCIDSVSWNAMIAALAQHGHGVQAIDLFEQMLKADILPDRITFLIILSACSHAGLVKEGRHYFSSMRVCYGISPDEGHYARMIDLLCRCGEFTEAKGLIESMPFEPGGPIWEALLAGCRTHGNMDLGIQAAERLFELVPQHDGTYILLSNLYAAIGRWDDVAKVRKLMRDRGVKKEPGCSWIDVENMVHVFLVGDTEHPEVQAVYKYLEQLGLEMRKLGYLPDTKFVLHDMESEHKEYSLSTHSEKLAVAFGLMKLPLGATIRVFKNLRSCGDCHTAIKFMSRVVGRDIIVRDAKRFHHFRNGECSCGNYW >ONI19681 pep chromosome:Prunus_persica_NCBIv2:G3:26135554:26137153:-1 gene:PRUPE_3G291600 transcript:ONI19681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLCDELVQEIFQRLPPSTSSSVSLVSKRWLYLYRTSKTSLSLRLTPHDYTIPSLSSLLSHYPFLSSLSLLLHPDPTTTKSTTFTDHLLLLVSSFCPQLHSLRFLAGPVSLSSLTSLSAACTQLTYLCINLSRPLFLMWILNFPSLKELSILVCCGEGLEQAVDVNTEYGFCADDDSDAELGLESLCLSGIGADDWGLGWLWRSCRKLKKLQFRSCEGIGDGGSFSSFVRCLQGVQEVELRTCRTIIDGVLVKLAENCDSLTSLLVYDGGSREGLLRFFSQSHCNLQKLDLRLPLDLKNDHLLAVAMNFRSLSSLKLQSCCLVSGEGLRALGAAVSSGLEELALVNCDVVEREPGLLSTLGQNFRQLRKLDLSYNEMLVDKELASMLVSCNYLVDLRLRGCKGLTNAAMVSVFKSCKYLENVDIIRCCGIQAEAIEFFVMNSPQLRQVQVEQSKISDAAKTWASRKFIEAVA >ONI19216 pep chromosome:Prunus_persica_NCBIv2:G3:24909982:24913752:-1 gene:PRUPE_3G265200 transcript:ONI19216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVLYAFSPHKPYIKQVRSLYSLFSLFNAKYKFSTTTCLAYPSFPSFSTTPNNNTQIDLSSICCSGIAQSVISRCSHFSEKNKGKGFANASLKDLLLEISDVVPEYTRRLRRVSEVKPEDVLGLLLGFQFQCGKVGFKASKVESLWEIFKRVNGQSKGFKHLSQSFEVMASMLVRVGLLREVEFLLSTMESQGILLDSHEVFSNLIKGCVDAGESEMAISMYDRMRRRLLPSLSCYDALLDHLVKMKKTHLAFRVCWDMTEMGIDLRGVKKATIEDVIGLLCKDGRLLEARNLVKKAMAFELKPSNLVLYEIAYGYCEKKDFDDLLSFYAEIKCAPDVLAGNRIMHSQCSNFGTGKAELFLRELEHLGFNPDEITFGIMIGWSCRERKLKNAFIYLSQMLSRRLKPHNCTYNALISAVFMGDMWKHAQEIFDEMVDRGTIPDLLTFRVLLAGYCKARQFDEAKRIVFDMASRGLIQNSTTEDSLSKAFIILGFNPLSVRLKRDNDLGFSSTEFYDNLGNGLYLDTDLDEYEKRVTWILEDCMVPDYNSLMMKECTLGNLKGALMLVDEMVRWGQDLSSSTFSALMKGFSASPSHIKGITAVVHKKSQLVDQLDQETLNLLVQAYMKKGLICDGRIILDGMFRRHLKIKNETCTAVIKGLCKRGNLKELLACWNNAQQNRWLPGSEDCKALMECLCKKEMLWEALQLLESMLISLPHLRLDICHMFLEKLSVTGFTRIGHILLEELEQRGGILDHVAYSYLIRGLCKEKTFPLAFAILENMLARNLAPWLDDSVLLISRLCRAGRYEKAIYLKEIGLREKPLSSLSIDRALIEGCCMAGKVGEATTILRNMLLKGILPDTETYNILVQGHCKVNNLKKVRELLGVMIRKHFSISLATFRNLVCLMCVEGKVLYAVNLKELMHGQSEPRDLTIYNILIFYLFQTGNTLIVNNVLDHLQEKKLLLNEVTYNFLVYGFSRCKDVSSAVEILSTMISKEFRPSNRNLRIVMTSLCGIGELEKALELSREMESRGWVHDSIIQNAIVEDLLSHGKLQEAEKFLDRMVEKCLIPENINYDNLIKRFCSCGRLSKAVDLLNIMLKKGNLPDATSYDSVTSSCCAVNQLDQAMDFHTEMLDRNLKPSINTWELLVHNLCQDGQTAEAERLLLSMVCIGETVSREIYSSVINRYRLEKNLRKTSELMQAMQQSGFEPDFETHWSLISNLSNSSDKDNANSSRGFLARLLSSSGFSRQKDSKTKLG >ONI14774 pep chromosome:Prunus_persica_NCBIv2:G3:441168:442640:1 gene:PRUPE_3G007300 transcript:ONI14774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTRGSDHARLYFDGNPPSRNILSCNRMLAAHVRNDQTPIAQDLFDQMLVKDVVSWNTMLTGLQKAKNPHGVNRCFLQMRRDGFRPSEYTISTVLNAFLGTAFNVSVSQIHALVVRLALSSSDVSSSNALVSSYMELGCTLEAQRVFDVMLERNIVSWTSLVSGYINNKRINKARSVFDKMREKNVVSWTVMISGYVKNQKFMDALDLFLLMLKSGALPNHFTFSSVLDACAGCSSLILGQQVHSSILKLGRPDDVIMSTSLVDMYAKCGDIEAAFCVFGSMPRKNLVTWNSIIGGSARHGLATRALDKFERMIKCGVRPDEVTFVNVLSACGHGGMVEKGENLFNSMKAKFGIEPNVEHYACMVDLYGKAGNLEEAEKLIHGMPFQPDVVIWVAFLGACVLHSSLQLGEFAAKEIEKLRNDHPAIYSTLSKIHGERGAWDSVLEFRKIMKEKHIQRQIA >ONI16358 pep chromosome:Prunus_persica_NCBIv2:G3:7043828:7046789:-1 gene:PRUPE_3G094000 transcript:ONI16358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKSFTLEQDVAICNARLNIFQDPFNVVSKPQYNFWDRIYQNYVELTGDQNVRSQCSVQSRWKLIFGSCKKIHDCLARVEDKQSGLTESNKVLRANMLYFEHEKKRFAFNHCWEILQHKMKDLVPAKSPDPRTKDRDPNIHSSFSSHPPDPNTLVSPESDKDSYYIMESRKSKRPTRRRAEKEAHRRSKVVNTLDSRICDLMEQFTKQLAESDKRKAEVAERKVKVMEEMNERQQREQDDRIMSMDTSNMGPRARAYYEHRKSDIYFKTMGHTADKSNMEDKS >ONI16048 pep chromosome:Prunus_persica_NCBIv2:G3:5580457:5582403:-1 gene:PRUPE_3G075800 transcript:ONI16048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSETPLKLPITDYSKQALTPGSKEWETVRTQVHNAPEEYGCFEALFYKVPPHNKAPPHIRKSIFQSMEEPYNLPLQTKLQNVSMGVDDVNIYEQVQRLINTLWPQENPSFCKTIQSFSEQVSELDQIIRRMILESLGLEKYFEEHLELTNYLLRMIKYKGPQTNETKLRLPAHTNKNIVTILYQNQVDGLEVQTKDGKWIYANPSSDSFITMIDLLYRKFVPDEIHWSRKCLRDEIY >ONI16485 pep chromosome:Prunus_persica_NCBIv2:G3:7779558:7779966:-1 gene:PRUPE_3G101200 transcript:ONI16485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLKGRLLLEREARAPNLSSWLLLYIPMFFRSKDQ >ONI16063 pep chromosome:Prunus_persica_NCBIv2:G3:5655237:5659459:-1 gene:PRUPE_3G076900 transcript:ONI16063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIASLVVVVWSVYGLLSLGFTYPYHVEPEFDFMSERSETPVTYNYDRIDEVKKECGFVLSSASELKAANNKVYSIKEELLFVNGDWRQEVGNAPIIPFDDREVPTESWGNRTTSNLVSFWVTDVDRTHRSKKSVSVSGFMILGITKDGGFADYGYQGNSEFQIWPGHSQIPISFQGIYTESKKNGGERVMCLLGSTMLPSRDSDSANPWEWLKASRESDPPLSQDDQILLVLHYPMTFTLTNRSIQGELRSLNSKSNSKYFDVVHISSQLGKSASYDFGSEKIVSRACDPYPYNDSLIYGGVSIYKGPSICEILEEIVRDQAFTVLPNWRCNANDDFCSKLGPFVADEEIKASDGSFKGVKLFMQNIKCEQKKDQGNASSARVSAVFRAASPLENQYTAAKRSGLNNMTVAAEGIWKSTSGQLCMAGCLGLVDVEGSRCNSRICLYIPVSFSIKQRSIIYGSLSSTNNSGASFFPLSFEKLVQPTELWNYLRTSHPYYRYTKIDSAAVVLEKNEAFSVGTVIKKSLLNFPKLEDTEAFQVSLSLLSEDLTLHVSAFPDPMRNAQPPRTDIQMEILSVGPLFGRFWSPQNSSTVEEETPYHTKAEYTEKQLLLNVSAQLTISGKAFSNFSVLFLEGLYDPHVGKMYLVGCRDVRASWKILYESMDLEAGLDCLIEVVVSYPPTTSRWLVNPAASISIASRRNEDDPLYFSTVKLKTLPIMYRKQREDILSRRGIEGILRILTLSLAISGILSQLFYIRHNVDSVPYMSLVMLGIQAIGYSIPLVTGAEALFKKISSESYETSSYDLDNSQWFHIIDYTVKFLVMVSLLLTLRLCQKVWKSRIRLLTQTPPEPHRVPSDKRVLLSTLTIHFIGYIIVLVIHSLNTSRRSIRTKSYRIARANSHAMWEWETELEEYVGLVQDFFLLPQIIGNLVWQIDCKPLRKFYFFAITLVRLFPHIYDYVRAPVLNPYFAEDYELVNPTTDFYSKFGDIAIPVTASILAGVVYAQQRWSYEKLSQTLTVGQCRLLPLGSKMYERLPSSSKAFEAELVSVVSGNARHENEKEDDDAE >ONI18318 pep chromosome:Prunus_persica_NCBIv2:G3:21478739:21481341:-1 gene:PRUPE_3G209100 transcript:ONI18318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGRATAAAAAGGAGAQLCNPKPKPTGPQEIRFRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARSLRGPKAKTNFPPPTSAADYDINNNHRLPPHHPSLYDDDTVCDVVQASRPTSSSLSSTVESFSGPRPQPRPTSDAQLQRRPVHPVPPDDCHSDCDSSSSVVDDGDDCVLTSSFRRVSPLPFDLNLPPPQMMDGLDLFKSGEVCGDDHDLQATALCL >ONI19930 pep chromosome:Prunus_persica_NCBIv2:G3:26761931:26763484:-1 gene:PRUPE_3G305600 transcript:ONI19930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISMWLFTHPNISFVFLSLILLYVFHVIFCEFRRAYELSCQGPPSYPIIGCLLSFCNNRNRLLDWYTDLLAQSATNTIVVHRFGARRTVVTANPESVEYMLKTNFNNFPKGKPFTEILGDFLGCGIFNVDGELWRTQRKLASHEFSSKSLREYIMNTLEEEVEKGLLPLMESLAMTAQVVDLQELLRRFGFNVICKVFLGVDRCCLDPSLPSPPLARAFDTASDICARRAAAPMFIIWKIKRWLGVGSEQRLRAAVEEVHAYVMNVIENRKKKMEEGEADTRQEDLLSRLIMAGHEEEVTRDMVISFIMAGRDTTSAAMTWLFWLLSRQPGVEEDVVKEIDAAGERILDFESLDLRLLKACLCESMRLYPPVAWDSKHAIADDLLPDGTHVRAGDRVTYFPYGMGRMEALWGKDRLEFRPDRWFLEPEKESSALKKVSPYKLPTFQAGPRVCLGKDMAFIQMKYVVASILRRFEIRPVESVEPVFVPRLTAHMAGGLKVLVRKRGDDHSDKNYNIY >ONI17979 pep chromosome:Prunus_persica_NCBIv2:G3:20254830:20256841:1 gene:PRUPE_3G189500 transcript:ONI17979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTIVAHNKPHAVCVPVPVQSHIKAMLKLAKLLHNRGFHITFVNTEFNHRRFLKSQGPNSLDGLTDFRFETIPDGLPDSDEGATQDVTLLAEAVVKDGFLAPFRNLLVKLKDAATSNNNPPVTCIVSDGFMSPFAIKAAEEFGLPIALFFTIAACSFMGFKQFHALVEKGLAPLKDESCLTNGFLDKVIDWIPGMEGIRLRNLPSFFQTTNPDDVLFKLSMVVTDEVHKAAALVLLTFDALEKDVLSALSSVPNIPPVYTIGPIELLLNQIPKDPLKNSVGYSLWKEETECLQWLNSKAPNSVVYVNFGSITVMTPNQLVEFGWGLANSKLPFFWVIRPDLVVGESAILPPEFVAETKERGLVASWCPQEQVLNHASVGGFLTHSGWNSTLESLTAGVPMLCWPFFADQQTDCYYTCNEWGIGMEIDNDVKRDEVEKLIKELMEGEKGQKMKNKAMEWKKLAEEATGPHGSSSTGFDNLVNQVLLRKS >ONI15989 pep chromosome:Prunus_persica_NCBIv2:G3:5224902:5225436:-1 gene:PRUPE_3G072700 transcript:ONI15989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKMLRSAVVVCFLLGLLIGQSQATFQECYAGCMLVCFIKQHKIGCFAKCLKSCFVIPTDIQAKPEHYCKLGCAASLCSNISTKDNINEDKVNACVDSCSGPCSKSYVSAKN >ONI16411 pep chromosome:Prunus_persica_NCBIv2:G3:7315480:7315818:-1 gene:PRUPE_3G096700 transcript:ONI16411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNDPWLAPDKLHHVLFCFSFTLVFSTLAARTRYPFLRRHSIRVGSILSLLAGAAKEFADELGFFRSAGASAKDAAADILGVLIGSLVLYLIKYTTRPENETGRIKEDSMV >ONI15388 pep chromosome:Prunus_persica_NCBIv2:G3:2958879:2960969:-1 gene:PRUPE_3G041400 transcript:ONI15388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLKLGVLVVSAHDLIVPKDGQGAASAFVELHFDNQTFQTVTKERDASPVWDQSFYFNISDPKRLPNLTLEAHVYHLKEDSSKSFLGKVCVPARSLFVPYSLQHHPLQKKGILFNSRVKGELGLKVFVTDGDGHDLPMNSGMHDEIESQQPQPEPESKPESSKDDDLSSSSDYLLKETNPYLGGGQIVQGRLVPGDVSTYDLVETMHYLFVLIVKARLDFALNSEDVAGSLKTYLEIKVGNCNAITKHVERKQNPEWNEVFAFAKDNNLEPSVLDVVVKDDDQFFGSVHFDLKEFTTRDLNASDLLPMKTREGKDTSDTYCVAKYGHKWDVYDLHTVLTVLVFDNSRVGNHSNGNKDAKFGKVQIRISTLESGRVYTFSYPLISLRPLGVKKMGELHLAVRFSRTSLVNMMFLYSQPLLTGMQQDMLYDQAVLMVADTLGLEKEVVQYISSNAEESDVWSVRRSKANVFRLMSVFSGLVGVAQWFGEVRVWKNPLTTFLVHVLFVMIISSPQLILPTVFLYMFVTGIWNFQYRPRYPAHMDTKLSQADFVLPDELDEELHTLPTSTLHLPDDIAWMRYDRLRSIAGRFQNMMGDVATELERIQEILRWPDSHTSAVFATFCLVAAVVFYVTPFQVVVLVAGFYYMRHPKYRSKMPVPSALVNFFQRLPAKTDYML >ONI17370 pep chromosome:Prunus_persica_NCBIv2:G3:17111676:17112296:1 gene:PRUPE_3G154800 transcript:ONI17370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNKPHHHHLKKCCRGHTHHLIHNRSGENMISSDLPAHKSEQINENNNFAKEQLGDLQQPPSKTM >ONI15969 pep chromosome:Prunus_persica_NCBIv2:G3:5143067:5147812:1 gene:PRUPE_3G071700 transcript:ONI15969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVMGSSCFLHSPLSLSRFPSSSHPFFVYSSSRNAEAKRRRALPMASLRQEDPNDAVSCKRRAIMLVGISVLPFLQLRANALEGLATRESELKNPEERKKAEPSEINAPSNPVLSLLNGLGVFSSGILGALYGLAWAEKKATDATVESIKTKLKEKEAAIISLEKNFESKLLNEQEEWSKQLTRAMEEQKSLMDQLNSANSTIAGLGKELNGEKRLIEELKIQIDSLETNLSKAGEEKIALEENLKEKINSIEVLQGRINVLNLELKDREKNIQNLGSSLAEKDFELNELKTTYKQTRDELANALSNIQKLKDELLKDQKELELKNAALDQLNATVSSVTSEKNDLKRKIDAIQEEYNNLKTSSGQKAALDAKLLGEKEEELKQLKERLELVLSDTSRNKKIIADLTQEKENLREMLDKQLNNETNLKHELHITHEALGKSRNEASNLEIQLKQSKTLCTELEAEISGVQAEFAGVRESLQRSLDEATVSSDVFAGELAAAKELLKKTKEELQVVSHELASVAENRDSLQGELVDIYKKAERASNDLNEEKELVSSLKKELKALEKQILKDKESRKSLEIDLDEATKSLDEMNQNALLLSKDLERANSLISNLEDEKEVLYKSLTEKKNASKEARENMEDVHNLAMRLGEERDSLEKKAKKLEEELASAKGEILRLRSQMNSLKDLVNNQQLPKRETEAPVTVTARKSNQQPPEGKAEVPVTVTAKKSGRRRKAAE >ONI17981 pep chromosome:Prunus_persica_NCBIv2:G3:20284475:20286995:1 gene:PRUPE_3G189700 transcript:ONI17981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAAANKPHVVCVPAPAQSHIKANLKFAKLLHHRGVHVTFVNTEFNHNRFLKSLGPNSLDGLPDFRFETIPDGLPAGSDQDATQDVYLVADSIRNKLLAPFRDLLMKLDDRSTSNSDINPPVTCIVSDGFMPFTTTAAEEIGVPVVLLFTIAACSFMGCKQFPALVEKGLAPLKDESCLTNGFLDKVIDWIPGMKGIRLRDLPTNFRTTDPNDILFNFALESIERVHKASAVVLHTFDALEPDVLNAMSSMLPLVYAIGPDQLLLNQLPEDPLKHIGYSLWKEETECLEWLKSKALNSVVYVNFGSIAVLTPQQLVEFGWGLANSKLPFFWVIRPDLVVGESAILPPEFVAETKERGLIASWCPQEQVLNDPSVGGFLTHSGWNSTIESLCAGVPMLCWPFFADQQTNCYYACNEWGIGLEINNDVKRDQVEKLVKELMEGEKGKKMKNKVMEWKKLAEEATSPHGSSSTNLDNLVNQVILRKT >ONI19312 pep chromosome:Prunus_persica_NCBIv2:G3:25207120:25209091:-1 gene:PRUPE_3G271200 transcript:ONI19312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVKNEEKTRRLRLSTVDREAQESRCVKRRRRDPAAVAASCDNSQSEQHLPQKPADQTSATTMKRSSRFRGVSRHRWTGRFEAHLWDKLSWNATQKKKGKQGAYDEEESAARAYDLAALKYWGTSTFTNFAISDYEEEIEIMQTVTKEEFLASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSSYIRWLKPRADDPIATQEPETVAEPQNIPAFMANSYIPTEEPSFSHTNPFASGYLSSPQKQKVTRSSVPLNTGSKSSSPTALGLLLQSSIFRELVQKNANFSEDESTDGEEKKNQTQVGSDDEFSGIFYDGIRDNPFVCSSNSDGDNSWSSIASTILLNQPSKANASSDSLFFFS >ONI16913 pep chromosome:Prunus_persica_NCBIv2:G3:13128579:13130009:-1 gene:PRUPE_3G129400 transcript:ONI16913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEVRASHELLQAQAHIWNHIFSFINSMSLKCAVQLDIPDVIQKHGQPMILSELVSALPISPTKAHFIPRLMRILVHSGFFAKESLSGGSEQGYVLTDASALLLKDNPMSTRPFLLAMLNPILTDPWQYLTTWFQNDNPTPFHVVHGMTFWDYGNQDPTLAHFFNDAMASDARLISSLMIDDFKGVFQGVDSLVDVGGGTGTVAKSIANAFPHMKCTVFDLPHVVADLKGSKNMEYVAGDMFEAVPAADAIFLKWILHDWSDEECVKILERCKKAITREGKKGKVIIVDMTVENKNKDKESGETQLFFDMLMMVLVKGKERNDKEWAKLFSDAGFSHYKITPYLGLRSLIEVYP >ONI19679 pep chromosome:Prunus_persica_NCBIv2:G3:26123329:26125499:1 gene:PRUPE_3G291400 transcript:ONI19679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVDTSKGFLETELAVKHVARNDNGVLTIREEQQLLYGNSVTCPTPQSSPSASATNRGSFDMMRTCFSPVVQSHIDAPREPIGDMLPSLKLPLGPVIPASMSQCKSSKDSLEADLFYFI >ONI16878 pep chromosome:Prunus_persica_NCBIv2:G3:11867201:11872808:1 gene:PRUPE_3G127000 transcript:ONI16878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVTWRTQVLSNHLNQPSMSSPLSPNPCVGFSPPELSEPFEFDTKEMRKLLDAHNLEDRDWLFGLMKQSKPFNPREAGGRVFVSPDYNQSMEQQREMTMKRIAYLLDRGVFEGWLTEKGVEAELRKLALHEVIGIYDHSLSVKLGVHFFLWGGAIQFFGTKRHHDKWLRDTENYTIKGCFAMSELGHGSNVRGIETVTTYDSNTGEFVINTPCESAQKYWIGGAANHATHTIVFSQLNISGKNHGVHAFIAQIRDANGNICPNIRIADCGHKIGLNGVDNGRIWFDNVRIPRENLLNSVADVSPDGQYLSAIKDPDQRFAAFLAPLTSGRVTIAVGAILSSQISLAIAIRYSLTRRAFSVTPKGPEVLLLDYPSHQQRLLPLLAKTYAMSFAANHLKMIYVKRTPELSKTIHVVSSAFKATLTWHNMRTLQECREACGGQGLKTENRIGPLKGEYDVQSTFEGDNNVLMQQVSKALLAEYIAAHKRSKPFKALGLEHMNKPCPVIPSQLTSSTLQSSQFQMDAFCLRERDLLNRFAMEVSERQKQGESKEYSVILSYQLAQDLGRAFSDRTILQALLDAEAGVPSGSLKNVLAMLRSMYALICLEEDPAFLRFGYLSTDNVAAVRKEVSRLCGELRPHALALVSSFGIPDAFLSPIAFNWIDANSWSSVQH >ONI17207 pep chromosome:Prunus_persica_NCBIv2:G3:15864926:15866053:-1 gene:PRUPE_3G145200 transcript:ONI17207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAVAVLSIIIIIFFTIWIARKRILAFFKKDKRDDEFEFDVEALIRNYGSFTPKTYSYANVKKMTDLSKDKIGKGGYGTVYKGRLPDGLLVAVKVLRESKGNGEEFINEVASIGRTSHVNIVTLSGFCYERDKRALINEFMPNESLDNFIHNGSGMANCRLEWKTLSEIAVCIARGLEYLHRGCNTRILHFDIKPQNILLAKDFCPKISDFGLAKLCKTKESIVSMLGSIGTAGYIAPEVFSQNFGGVSHKSDVYSYGMLVLEMIDKDDIVFGAISEEEKEVARKMVLVSLWCIQTNPSDRPSMTKVVEVLEGPLHSLQIAPKPFLFSPTIAAEDSVTTSQPSETEKGN >ONI14699 pep chromosome:Prunus_persica_NCBIv2:G3:199017:199763:1 gene:PRUPE_3G002900 transcript:ONI14699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNPRVTSSRRKNRKAHFTAPSSVRRVLMSSPISSDLRSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRLDKDRKALLDRKAKGRAAADKDKGTKFTAEDIMQNVD >ONI17671 pep chromosome:Prunus_persica_NCBIv2:G3:19096989:19100007:1 gene:PRUPE_3G173300 transcript:ONI17671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPVVLIKLIDLVWYQLLANSCHRAARKVRNYGFLFGNSSPPNKPSQQQPPFSFPSVTKCVLEGRDCATIACDIFGALLKSQSVFPYFMLVAFEGGSVIRAFLLLLSCPILLVLDYELKLRVMIFITFCGLRRKDMESVGRAVLPKFYLDNLNLQAYEVLASTGSKFVFTSVPRVMVEGFLKEYLEVDDVIGTELQTVGHYFTGFLSKSGFLVKHRALKEHFGDKKPDVGLGTSSLHDHLFISLCKEAYVVNKEDSKSGSNSVMPRQKYPKPVIFHDGRLAFLPTPSATLAMFMWLPLGIILAIFRISVGIFLPYKIALFLVTLTGLNLSAKGCDNSTSAKPDQEKRGVLYVCTHRTLLDPVFLSTSLCKPLTAVTYSLSKMSEIIAPIKTVRLTRDRKKDGETMQKLLSEGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAINTNVTMFYGTTASGLKCLDPIFFLMNPRPGYYVEVLGKLPKDLTCAGGKSSNEVANYIQRKLADALGFECTTLTRKDKYLMLAGNEGIVQDNKRKKP >ONI19744 pep chromosome:Prunus_persica_NCBIv2:G3:26272889:26276820:-1 gene:PRUPE_3G294700 transcript:ONI19744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSASVDALSNGFKNHLSLYQSHNNNNVVSNPRVKPKQPFFIGVAGGTASGKTTVCNMIISQLRDQRVVLVNQDSFYHSLNAEKLKRVHEYNFDHPEAFDTEHMLSCMEQIKRGQAVTIPNYDFKTHQSIEPACKVNPSDIIILEGILVLHDPRVRDLMNMKIFVDTDSDVRLSRRIQRDTVERGRNIENVLDQYARFVKPSFEEFILPSKKYADIIIPRGGDNDVAIDLIVQHIHTKLGQHELCKIYPNVVVIFSTFQIRGMHTLIRDAKTTKHDFVFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYSGVVFYKRLCGVSVIRSGESMENALRACVKGIKIGKILIHGEGNNGRELIYEKLPKDISSRHVLFLDPVLASGNSAINAISLLLSKGVPESNIIFLNLIAAPDGIHALCKRFPKLKLVTSEIDESLNEDLRVIPGMGEFGDRYFGTDNEDGTISNSTTPK >ONI17225 pep chromosome:Prunus_persica_NCBIv2:G3:16048486:16049516:1 gene:PRUPE_3G146900 transcript:ONI17225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDFINIMWRRTLFLKEMLERGYSFIFTDIDVMWLRNPFPRLISFNESIDLQISTDSFNGDQLSEANPINTGFYMVRSNNRTILFEKWYAQKNYSTKLKAQDVLNGMMKEGVFRQLGLSVRFLDTRYFSGFCEVSRDFNAVTTVHANCKRTIGAKVVDPTAVVHDWKRFKSLSNSNSTSTLKWTNHVACNRSWKCKVTLG >ONI19863 pep chromosome:Prunus_persica_NCBIv2:G3:26617155:26617849:1 gene:PRUPE_3G301900 transcript:ONI19863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCCFVCCVGGNLKHCGKCLQSYHLHKEKKHIEVSGTRQIPIKTFPTSLDEVPSQRDAYGNTSSGKKVGSSSNANAGALVDDNNVGGRLVSQLVMNSAVIIADFVRQKSPSAAAAFERKSSSECDGSSPRLNTSNLEDTDSFSRNKLDKLGGDSACVKQVDHPIDYFLPKE >ONI17391 pep chromosome:Prunus_persica_NCBIv2:G3:17457968:17458945:-1 gene:PRUPE_3G156400 transcript:ONI17391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQKYGTHGGIKCLPWQTKGYRAIAIDFRGYGLSEQPAEPEKGTFKDLADDVVALLDSLGINKVDPFFPPGT >ONI14778 pep chromosome:Prunus_persica_NCBIv2:G3:471754:472278:-1 gene:PRUPE_3G007700 transcript:ONI14778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLKWIIACLTLFVLSQSRGSVSTDLVEETCHKTTNYDLCVSSLKSDPRSSTADVKGLARIALDQTLTNSVDTQARIARLFNETSDEYIRKGLGTCKDEYDLGVGKITEATKNVILSRFVDARNDVADVADEVNTCEESFSRGGRWRQSPLTDRNNVIVRFAKFTGEIIAILG >ONI16071 pep chromosome:Prunus_persica_NCBIv2:G3:5708305:5710901:-1 gene:PRUPE_3G077500 transcript:ONI16071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNQDLQESVTLPGKPSPFAAECWFDDTCILDMDYFVKTLAGIKAKGVRPDLIGSIITHYASKWLPDLSGEALSDQRSLISLESSPESVTALWMRKRFFVETLVGVLPPEKDSIPCNFLLRLLRTANMVGVEPTYRAELEKRISWQLDQALLKELMIPSFSHTCGTLLDVELVIRLVKRFVNLDETAKSGAAMVKVAKLVDCYLAEAATDAYLSLNEFAALAGAVPSHARAMDDGLYRAIDTYLKAHPGVSKQDRKSLCRLIDCRKLSPEASLHAAQNERLPVRAVIQVLFSEQNKLHRHIDWSGSFSASTRSPNPFLDAPARSLSKREMNAMQMEIRKLKEEVLRLQSQCSSMQVQAERKEKKKAGIFKWSRNLGIMPSFRSAGVVKINEGGDGNVEYGKQTPMDMKTRLVRARATPKWRNSLS >ONI19674 pep chromosome:Prunus_persica_NCBIv2:G3:26092921:26097916:-1 gene:PRUPE_3G291000 transcript:ONI19674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKPQSFFQDIRSRELHGFRAPKRPYINGLASDFHEIGAIAAEHNGPPMAISFGKTCKNSDIVAMSDEDGYLSLFDTRRKFSASASFQENADKTKVCDWVAHQNAVFDVCWIKDDTQIMTASGDQTIKVWDIQEKKCTAILMGHTGSVKSLCPHPTNPEIIVSGSRDGSFALWDMRCNSSSKNIHGEIAICSTAVVKGAHLFPRAKRVRRGKAASMSITSVLYLKDEVSIATAGAVDSIVKFWDTRSLKNVVTQTSPHLESTEKERRLHGITSLSQDLNGVFISASCMDNRIYLYNVLQLQKGPMQSFSGCRIESFFVKSVLSPDAAHMLSGSSDGNAYIWQVNKPQEDPVILKSHDGEVTAVDWSHFEVGKMATSSDDFTVRIWNSQNSYGPSTNSPSVIRRRVMAIPGAECRKLLMNESIHTSKDSGNLCPSDDGLDESSSRKPIKMPKISTPQSQKKQSMADSDFIETFEKTPEAALKSPSSVLNPPSSLKRKTIRDYFGVPTLNL >ONI17450 pep chromosome:Prunus_persica_NCBIv2:G3:17888580:17890563:1 gene:PRUPE_3G160100 transcript:ONI17450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTSRKRRHGYPPPLPSSSSNPNRHKHQPTKKLHSSPNSIRKNHNSSTPIQKNHLSSTPIPLPLNPVPQTPMPKRPTFASYLDTPNLPPKIRLLCEIVAKTHTLSVEERLAETSVRVTQEDVEEVLKLSYAFPGPAVKFFRWAGHHLNDYHSPYAWNLVVDLLGKNCFFDAMWDAIKSMRKERLLSLATFASVFSSYVIANRVQEAFMTFEVMSEYGCPRDIVALNSLLSAICRDGKTSDAVDFLRIAKDKIKPDPDTYAILLEGWENEGNVACARQVFSEMVIEIGWDPSNVPAYDSFLNTLLKGPDGIREAVKFFETLKDRRCYPGVKFFRLALDECVKNSDSRGAEALWHAMVGIIGFQPDTNMCNLMISLHCREGNPDLAKRMLDDMVYNGAFPNSQTYDMLFKSLIKNRKLKEASILFTEMVKNECVPEHANCNMAVRTFLDLGDPYFAIKVWKCMLENYHSGLEDTGNLLVVGLGDLNRVPEAVKYAEDMIVRGIKLEFSTLSKLKQNLVQARKEYLYDELFRKWKDQ >ONI19550 pep chromosome:Prunus_persica_NCBIv2:G3:25792771:25794905:1 gene:PRUPE_3G284600 transcript:ONI19550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASTDSTPSVNKAWVYSEYGKSADVLKLDPNVPVPEIKEDQVLIKVVAAALNPIDYKRMFGYIKATDSPLPTVPGYDVAGVVVKVGSQVTKFKVGDEVYGDLNEKAAQPKKFGSLAEYTAAEERVLALKPKNLSFVEAASLPLAIETAYEGLERTEFSAGKSILVLGGAGGLAKHVFGASKVAATASTRKLELLRSLGADLAVDYTKEKFEELPEKFDVVYDTVGESDRAVKAVKEGGKVVTIVSGPAAPPAVHFVLTSTGTVLEKLKPYLEGGKVKPVLDPTSPYPFSKTVEAFAYLETSRATGKDNFKDLPEKFDVVYDAVGQSDRAVKAVKKGGEGCDSGRPNNTTSLHVYADFYGSILEKLNPYLESGKVKAVIDPNGPYPFSKNLEAFAYLQASSRAIGKVVVYPIPSP >ONI16179 pep chromosome:Prunus_persica_NCBIv2:G3:6142858:6146461:-1 gene:PRUPE_3G082900 transcript:ONI16179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLLSRIKPLHNPKPASLSSSSPPHIKRLVNDTIQILRADDQWEQSLATQFSETETLVSDVAHFVLDRIHDVELGLKFFDWAFKRPYCCSPDGFAYSSLLKLLARFRVLSEIELVMEQMKFEEVKPTIDALSFVIRAYADSGLVDKALEFYCFVVKVYDCVPDVFACNTLLNVLVKNRRVDVARRVYDEMAEKGGGDHVCMDNYSTCIMVKGLCKAGKVEEGRKLIEDRWGESCVPNVVFYNTLIDGYCKKGDVKNANRLFKELKLKGFFPTLETYGAMINGYCKEGNFKAIDRLLMEMKERGLTINVQVHNSIVDARCKHGSSAKGVESVTMMIECGCEPDITTYNILINSSCKDGKVEEAEQFLNNAMERRLVPNKFSYTPLFHVYFRKGKHCRALDIFTKITERGHKPDLVSYGALIHGLVVSGEVDTALTVRDRMMENGVVPDAGIFNVLMSGLCKRGRLSTAKLLLAQMLDQNIPPDAFVYATLVDGLIRNGDLDEAKKLFGLTIDNGLDPGVVGYNAMIKGFCKFGMMKDALSCFKKMREVHHRHPDEFTYSTIIDGYVKQHNLDAALNFFELMIKQGCKPNVVTYTSLIYGFFHKGDSCGAVKTFREMQSCGMEPNVVTYSILIGNFCKEGKLAKAVSFFELMLKNKCIPNDVTFHYLVNGFTNNEPGAILEEVHESQENEKSIFLGFFGRMISDGWSQKAAVYNSINICLCHNGMVKTALRLCDKFVNKGIFLDSVSFAGLLYGICLEGRSKEWKNIISFDLKDQELQTSLKYLLVLDDYLHQGRPSEATLVLQSLVEEFKSQDQEVDLTDIKT >ONI15298 pep chromosome:Prunus_persica_NCBIv2:G3:2623116:2627787:-1 gene:PRUPE_3G036300 transcript:ONI15298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLYDEFGNYIGPEIESDQESDREDEDGELPDRQDDGAASDGDDAAAASNGWLAATSNDVDMDNQVVLAEDKKYYPTAEEVFGEDVETLVMDEDAQPLEQPIIKPVRNVKFEVGVKDSSTYVSNQFLLGLMSNPSLVRNVALVGHLQHGKTIFMDMLVEQTHHMSTFDANSDKHMRYTDTRIDEQERRISIKAVPMSLVLEDSKSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGMMVNTERAIRHAIQDRLPIVVVINKVDRLITELKLPPRDAYFKLRHTLEVINNHITAASSTAGNVQIIDPLAGNVCFASATAGWSFTLQSFAKLYIKLHGVNLDADKLASRLWGDMYYHRGDRTFRRKPPVDGGERSFVEFVLEPLYKIYSQVIGEHKKSVEATLAEFGVTLSNAAYKLNVRPLLRLACSSVFGSASGFTDMLVQHIPSPKDAATRKVDHIYTGPKDSLIYKAMKNCEPDGPLMVNVTKLYPKSDCSVFDAFGRVYSGKIQTGQTVRVLGEGYSPEDEEDMTVKEVTKLWLYQARDRIPIAEAPPGTWVLIEGVDASIMKTATLCDEYYDEDAYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSIDWSRKDIGNFFQTRYEWDVLAARSIWAFGPDKQGPNILLDDTLSTEVDKSLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAQEPLHRGSGQIIPTSRRVAYSSFLMATPRLMEPVYYVEIQTPIDCISAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMVELAQQAADLHQQMI >ONI14968 pep chromosome:Prunus_persica_NCBIv2:G3:1331569:1332633:1 gene:PRUPE_3G018800 transcript:ONI14968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTRCAGCKFLRRKCAQDCVLAPYFPSSNPERFACVHKIFGAGNITKMLQQLPVHVREAAADCMFYEASIRVEDPIYGCVKIISQLQQDLFQAQSEMAKTKAQIAFYNAQQQLNQQQQNMVDGVDDNYQQDQHRESSRLGLDDHFYSLG >ONI14775 pep chromosome:Prunus_persica_NCBIv2:G3:442891:447217:-1 gene:PRUPE_3G007400 transcript:ONI14775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTERKRKVSLFDVVDETSVSSAKMSKSNGGAGATANHNAVSNLINRWTGKPYSQRYYEILEKRKTLPVWHQKEEFLQALKASQSLILVGETGSGKTTQIPQFVLEAVDSETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYSVIILDEAHERTLATDVLFGLLKEVLRNRPDLKLVVMSATLEAEKFQGYFSGAPLMKVPGRLHPVEIFYTEEPERDYLEAAIRTVVQIHMYETPGDILVFLTGEEEIEDACRKINKEVGNLGDQAGPVKVVPLYSTLPPAMQQKIFDPAPPPINEGGIPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFHNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTKLGEIMSEFPLDPQMSKMLVVSPEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPSWCYENFVNQRALKSADNVRQQLVRIMARFSLKLCSTDFNSRDYYINIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLANFPQCEAKRVLEKLYKKREDRDENKNRK >ONI16624 pep chromosome:Prunus_persica_NCBIv2:G3:9194257:9194632:-1 gene:PRUPE_3G111100 transcript:ONI16624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVILVYIAGMDEFNNPKLSLKQALDDANRIDYYYRHLCYLQAAIKEGANVQGYFAWSLLDNFEWRDGYTIWFGINYIDYHNGLERHSKLSTH >ONI17160 pep chromosome:Prunus_persica_NCBIv2:G3:15124737:15127582:1 gene:PRUPE_3G141400 transcript:ONI17160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTCRNNFIYKPVLLLLCLTFDACISMATHVISAGQSLSGNQTITSPSGIFELGFFTPGNSQNYYIGIWYKKLSPQTVVWVANRNQPVSDTSSSTLQLFQNGNLTLLVQSKTEIWSTHSMSTVSHSTVAMLLDNGNFVITDAFNSSVVIWQSFDHPTDTWLPGGKLGHNKLTKEKLSLTPWRNPQNPAPGLFSFELEQNGTSFWLFWNGSKTYWTSGYWTGKIFSLVPETAANDYIASFTFASNENGSYFTYASAYNDTFIRFMLEITGQIKFYVWGKGFTQWTLIWMRPNEQCDAYATCGAFSICNQQNASLCGCLPGFEPKVPKVWKLKDHSNGCLRKTPLQCNDVRNSTFLVIHDVLHPVNSESLTVENIEKCRLACLRNCSCTAFAYDNQCLIWKGDLLNVKLLPSEGKVGKDWHLRVAASDNEYQKIGSTSKIKRKTAWIVIGVLLGFTFILTIVMILVRRRQSAGALETVDDYLVLFKYRDLRRATKNFSEKLGEGAFGSVFKGVLPDSTAIAVKELKSLNQGEKQFRNEVRTIGSIQHINLVRLWGFCAEASKRILVYDYMPNGSLQSLLFQKNPIILDWKARYNIAIGTARGLAYLHEDCRERIIHCDIKPENILLDAEYSPKLGDFGLAKLIGRQNSRVLTTMRGTVGYLAPEWFSGEAITPKADVFSYGMLLIEIISGRRNRQGLDEGLESFFPIQVTNIVTKGEDVVTLLDYKLEGQADKDELTRACKVACWCIQDDEKDRPKMREVVQILQGVSDVGIPPIPQFLQRLNENPIEATSSQETICTSNSSA >ONI19338 pep chromosome:Prunus_persica_NCBIv2:G3:25283313:25285959:-1 gene:PRUPE_3G272800 transcript:ONI19338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTLARFVLLHSRVCSEAATTTKNNGGLISTLNKRKFTSTAIPRAQNKTSEELLVVVGGGAAGVYGAIRAKTLAPNLNVVVIEKGKPLSKVKISGGGRCNVTNGHCVDNKMLAENYPRGHKELRGAFFNVHGPVDTMSWFSEHGVRLKIEDDGRVFPVSNSSSTIIDCLMSESTRLGVSLQTGKAVITASPTAGGKFLLGIEKRTFSSPEYVEADYLLIASGNSKQGYSLASQLGHSIVDPVPSLFTFKIEDPRLADLSGITFPKVKATLKIENARRNIPQLTQVGPVLVTHWGFSGPAILRLSAWGACVLSRTLIVDFTPDVHIEDLKSILTQHKNRFAKQKLSNSYPSEFGLVKRFWIYILGRQVLFLFQA >ONI15320 pep chromosome:Prunus_persica_NCBIv2:G3:2717971:2721410:1 gene:PRUPE_3G037500 transcript:ONI15320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTFFPSRPAYPLPTNRPIQPSPPVKFNSTTLPPPPQTPSPPFPIDSLLQHLLSLSSPPNTPPKLKPLNPPQQTNGNFPSLQISVDSTPKQHHQLKKAASILVPNFEDDKVEVKPEDGLLDFLTIKGKLMFSSIVEQPLHSLNDFFDSAKFELFEVDLISLLKALDLSGNWERALLLFEWILSNLSSENLKLNNPMIELMVRILGRESQHTIASKLFDVIPIEKYSLDVRAYTTIIHAHSRTGKYERAIDLFNKMVELGLSPTLVTYNVMLDVYGKMGRSWNKILGLLEDMRSKGFEFDEFTCSTVISACGREGLLNEAKEFFAGLKSQGYVPGTVTYNALLQVFGKAGVFTEALSILKEMEDNNCPPDAVTYNELVAAYVRAGFSEEGASVLETMTQKGTMPNAVTYTTVINAYGKAGKEEEALRLFNHMKATGCVPNVCTYNAVLGMLGKKSLPEEMIMLLCEMKASGCAPNRITWNTMLAMCGDKGRHKYVNRVFREMKNCGFEPDRDTFNTLISAYGRCGSEIDAAQMYDEMIKAGFTPCVTTYNALLNALARRGDWKAAESVVVDMRSKGFKPNETSYSLMINCYAKGANVKGIERIEREIYDGHIFPSWVLLRTLVLANFKCRALKGMERAFQKLQSNGYKPDLVLYNSMLSIFARNNMYDRANDMLYMIRENGLQPDLVTYNSLMDMYARKGECWKAEEILMALQKSGGKPDLVSYNTVIKGFCRQGHMQEAIRILSEMTARGIRPCIFTYNTFITGYAGQGMFSEIDEVISYMTQNNCKPNELSYKIAVDGYCKARKYKEAMDFLSKIKEIDNSFDDQYVQRLASRIRGNLES >ONI15385 pep chromosome:Prunus_persica_NCBIv2:G3:2948693:2950582:-1 gene:PRUPE_3G041100 transcript:ONI15385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEWNTLKAAFPVTTSSGSRILLTTRDHNLASQVDSNNPPHQLRPLTREESWQLFNQAVHFPPKWKMLAKEILSKYKGSPLAIICLMLGKDENTAQELKRVIQYINQNDTASIRTYTIGCADKLPSHLSHCLSCFKVFPRDFKIPTRRLIAMWIAEGLVHIRDKTTEPYEDVAPVRDKATEYEDVANTYLSELIDRDIVQVVERKVDGRVKTCCLHKDLQEPIRSKSMTLDQRRLADHLNCYDPSFRFIHSDSSDFPDSYKKLISILSFDSGEGYKPGEEIGNFLRKGIAGGFFQLLQVLDLERVFRPELPKTIGKLSKLRYLGLRWTYLESIPTSIGNLLNLQTLDVKHTYVRTLPHSIWKLQELRHLYFSQNYLSKLMCQQGRRSSLKKLQTLWGVFVDKDSPLKDGLDKFTSLRKLGLAFQLEKEDQKALADGILKLKHLQSLRLRSIDELGEPHALALESLSALERLSSLNLFGKLVPSIIPELPKNLTDLTLSASFLQEDPMPKLEKLQNLKSLCFHSNSYTGNKMLWMLELEDWDVEGKAMQNLRELEIRSCTKLNAPSGLGHLKTLTELKLTNMPEGFVTTITETMRQVWVGINHPPKITPVNWKSQE >ONI16656 pep chromosome:Prunus_persica_NCBIv2:G3:9464095:9469279:1 gene:PRUPE_3G113200 transcript:ONI16656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYKADDDYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATKTMNVDGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRGATFENVARWLKELRDHTDPNIVVMLIGNKSDLRHLVAVSKEDGKSFAERESLYFMETSALEATNVENAFTEVLTQIYRVVSKRAVEAGNNGSATAVPSKGQTINVKDDSSVFKRIGCCSN >ONI19761 pep chromosome:Prunus_persica_NCBIv2:G3:26316870:26321700:-1 gene:PRUPE_3G295900 transcript:ONI19761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTWQIRKFAQVEVLFWHSCCIFVVMSEATKDLVGSSEHDKIGPDFFGYYTRLVTELLSQEEDFPPFASQSSDLSQRRSGDVRGKDVIEHSYGTSGSLFGNSIGTGLSDFKKERLKSLLRQGVNFLSPEVDEMLEPVVAISRLKSQLKSRNCLSSSKGTLPDIDARSAHSKRPKRSSSFSSTSLPALSTPTNLESSKENCAHGLTTETARLIAVPEEPKVDDDLQFLIEKDSLQVEETVKKYSDEFSATLGHMEQQLEKLLDTVMASCRPMTLPEKHKLGKLIQKLPPKNLDCVAEMLQHRNAACINSSDEIHVDLEKQSNVTLWRLYYYVEAVEKARKLAK >ONI16658 pep chromosome:Prunus_persica_NCBIv2:G3:9480407:9481624:1 gene:PRUPE_3G113400 transcript:ONI16658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEEEEEVVLLSLFDSCWFEHEILSKKSLSKPQEATNPSLQVDQSAQEATQQQVPNFPRLSCLPRSLSDDQSSNTKGSLISSDSLSPHSILMSTTPKFQTVFSGKQVIEFSKQSVKQEQVPAAQTKRVHGHGKRNRSRRRVGPSKSLSDLEFDELKGFMDLGFVFTEEDKDSKLVSIIPGLQRLGSIGEQDRDEEDRRNNNHNNIDPSSHHVVSRPYLSEAWDALDQRNKENKLVNWRIPANLGKDMKHNLRFWAHTVASTVR >ONI18381 pep chromosome:Prunus_persica_NCBIv2:G3:21667617:21668392:1 gene:PRUPE_3G212200 transcript:ONI18381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFVMDLDSFGCASFYREKCCCSFSRCCLVSYIWCSLLLKSRNSMSGLVFSCNSQKNVEFAEWFSFSKRVMLVSS >ONI20087 pep chromosome:Prunus_persica_NCBIv2:G3:27167232:27171008:-1 gene:PRUPE_3G314400 transcript:ONI20087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASPSPPPCGPSPAAAAGSPPPDPVHPPCTPSPSKRMRFLPHIDPTSTDIETEAEAEAEAVSSFSTCFHCKRQGHWVRDCPFKFNLNTPTASAAPPSLPNVCHHPEIECNNGHGPCTAKVSRSLKNPGRIYYACLHLLPNGCKCGFFKWYDELKTNKNGSGGASGRPLSLSLSRSEYPMCSCGAGLCRLMLETSEPNAGRLYFVCPITKGHGACPFFLWYDGQANTGLTSSLDESEHDGSLKPSLNCHEVVETVNYDLDENDELSFEHPNRMTFESAKIDNPQPEPTFNFVPFGPSEIEEEPLLWREEHDDTSNMKTSTNFSNLQVVVREHLHNLVMQEEGLLDPIMEKASHVSQKTSMQAEIHHQQIEFWRHSSVAGDTLTGGWGKDGTHQILDFQIFGWLGRLAFTPSGCLTVPPSKPKFCCVFPSLDPIFVPKETYGSHSEGLVDLPHSPSHSNFHLSPQMSSEDDARPLSGVLFELSGIKNLLDPLQDSVVTGKIVGALEQAALPIQNLLLTLLESMDPLQHESMTWAAECTFAALDHLSVDYRRFSERVRKFIACASSLAEVERSIHNDLSSKELIKVYHNEVDRFDNISRTHGDTVGAFTTSDHHLQSLRKEASRVKEMLLQIENQLSLCEVETSELKTRVDETAKDMIETEKRLQSAAQKAEAAMELCHQREVTRNAFKAAFEEARVKLR >ONI16092 pep chromosome:Prunus_persica_NCBIv2:G3:5812896:5816695:1 gene:PRUPE_3G078600 transcript:ONI16092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLLSSSQLKTLTLAANSASAPCRSATGPARCLLHKPLPLLPCYFSTTSDAAKPGVKKVEEVMPIATGHEREELEAELEGRDVLEINHPVGPFGTKEAPAVIKSYYDKRIVGCPGGEGEDEHDVVWFWLTKDEPHECPVCSQYFVLEVVGPGGSPDHSDDHDHH >ONI19234 pep chromosome:Prunus_persica_NCBIv2:G3:24960076:24960733:-1 gene:PRUPE_3G266500 transcript:ONI19234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQELTAFHDSDYEHVRAIDRDDQTSSNAPASVELLMEEAWTTKWLWDEHECHCQLCTICLEGLSYAANSEEANSKEMPCKQKFHSSCIRTWLARRGSCPLCRSSVKPVLPMNMPLLQHGEEEDEEVTVWINIVNKMDKFWFGKISL >ONI19373 pep chromosome:Prunus_persica_NCBIv2:G3:25390579:25396638:1 gene:PRUPE_3G275500 transcript:ONI19373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFAVENVTRQITAPKTAVLISSAPSVGGKVIPSNIAANAKRLLKLNKVAHPLPRPTTRFANRPANPTPTWNTTYQTLFFFAGLSYRGLSPVPVCSHIFYERGSVFVRSELKQVWSELDRVNSNQVKPLDRQITREDASFSESPTPKPILLSRLGSGHEIVPTSGLDNIPVSRGIDHKCCNEMKQSEQLSVSDLDKFYAPDSDLASIIMGSKEPELLRNGCTQRIRAFERNLLDEKVLPSGYVDNHISHRKNELGTKESDKEEGNCNSPSIRDNSMEVINDACVRETKKPSKVRTLRRRKTRFGKAKTSRQYCPSELMKSWQPTSVNENDRSHEDACIQPSVKAGNVDMTRTPSGLEESLQPSNRYYMDEVKTIRKGKRKRKMNSKNGITTSTGQLMKPGQPSSVLSRCRTFAYLGNGGVKSYEDRPNTTQNEARMKAFPHLDHGLTLIDMDPISGSTSVTLSMKEINEAEAGENAEDKDMELIDVPVLILQESDIVENSEAPSSEFDHATADLSLMNSELKDVNASLMNSELKDVRVSLMNSELKDVKASEQSNRSPSCADNGRLLKYTFQRKRKNSSRNPGQKSSFEGSTSKRRIEENECIAQEPQMMNESSRDNRRLAQVARQVFSKL >ONI19412 pep chromosome:Prunus_persica_NCBIv2:G3:25527630:25530179:1 gene:PRUPE_3G278300 transcript:ONI19412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKGLVHFVYAICLVSVLLGIQGPVHGRLLSLKKPDPENAAATARWLVSQNSWGVLNTISSELGGAPFGNVVSFSDGEPGNGRGIPYFYLTTLDPTARNALKDQRASLTISEYPIGTCGKTDPENPTCAKITLTGKLKLADKDSKETEFAKNALFSKHPEMKDWPKNHNFEFFKLDIENIFLIDWFGGPKPLTVDQYLHTRTTEYAFILGFLI >ONI16824 pep chromosome:Prunus_persica_NCBIv2:G3:11169929:11173271:-1 gene:PRUPE_3G124000 transcript:ONI16824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHHLINISHYLLLSLCLLGIRLATSTAVKAKSICIEEERKALASFKQDLTDPSVRLSSWEGISCNNRTGHVSQMDLRNPYLDSTTDEEWDELAYNKSCLGGKINPSLLSLKHLHYLDLSCNGFEGIHIPNFFGELKTLSAHIHLMCTLMMKTLSLPLSLQRINFTSPSVLDLSTNDFNTSSFPSWIFNLTSLKRLDLRGNSFTNHFPDELGNLCKLKTLSLGGNNFDGGGIEEFWGRLSNCLNNTLVLKSLDLSSCGLEGQLPASLGMLKSLQYLDLSHNQMNGSIPQSLGQLSALVELRLFGNSWEGNITEAHFINLTNLKSLSMGNNLDNIQKPMSLVFNLHKIEIINYKVGPRFGVWLQSQTEMVDVRLYRTGISDSIPEEWLLKLSSQLEYLDFILKPILSNLFFGPIPSNFDKLMPKLEELYLSENHLNGTIPPSICNMQDLTILFLKSNHFSGEFPLAWSSGSHIRIVDAAYNNLFGNIPATMGVLSFLEILKLNNNNFGGKIPHSLHNCSFLKSIDLGSNKLSRSIPPRIGGSNVSVLHNNFSGTIPKCFNNLTSLINVSYGTSEYVNEEPTMLTLKGQELVYNTTLMLVKSIDLSSNFLEGEIPQEICSLTLLGTLNLSRNQLTSNIPSIVGSMHMLETLDLSHNHLSGHIPQSLASLTFLSHLNLSYNNLVGRIPLGSQLQTLSDSSIYMDNPSLCGVPLPKCLGDDTFIATNAKHSNEDGNDNGALWFYVSMILGFIVGFWGVCGTLLLKKSWRYAYFRFFDDTKDKVTLAIALKVARLQRKFHHV >ONI14844 pep chromosome:Prunus_persica_NCBIv2:G3:743536:744202:1 gene:PRUPE_3G012000 transcript:ONI14844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIFLTFAAEGILKKVASLAAQEFNLAWGFKAELTRLSESLNMIQDILSDAVEQPQGRGKAVEEWVKKLKQIAYDADDVLDEFKYEDLRSKVGLRNQIHIKIINASLVNLENRAFVIGLVAKNVMATTQYVPRGIVGDRETNSFLDKDENIVGRKEVVSSIITTLINSKNLENVSIMAIVGMPGLGKATLANSVYNEFETK >ONI17161 pep chromosome:Prunus_persica_NCBIv2:G3:15146104:15150712:-1 gene:PRUPE_3G141500 transcript:ONI17161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQSGCLTYCDPNIEDLKDELTKLIVKRDALQRLVNEAEREGEVINYDVLIWLRTVDVMIGKVSRFEDEVNRRRRCLYWLSLSRQAQKIKQHVVHLLEEGKFQNVAHPGAPQIQNVAHPAALQTILPTLTAGVRGPKSRITVMNHVLEALKNEKITMVGICGMDGVGKTLMAEEIIKIVQGKMFDEVVMIVVSPNPNIRKIQAEIADKLGLTIDLETEKGRELILSERILIVLDDVWSVLDFEAIGLPFGPSHKGCKVLVTSSNLDVCYEMGSQKNFTLPMLTAEEAWELFQETIGEPLDADPDLCGIAKALTNECGGLPYSVVTIAKALQNNKSKYEWANALQQLKAHEIPPQAYYSSIKLSYERLENDELKSCFLLCCLFPQGYDIPIDYLVRYAWGQGIISDRFDSVEAARMRVHFLVVKLKRRFLLLGSSKEGCTKMHGVVHGVAISIASQDMDVFMVQDQAGYRSWQINPPYKQYTTVSLNDVHIDDPIVTGLGYQELKLLQLKNSEVSESALESMLKGMKLQVLSFIHTGSTSIRVLLRHLRTLSLDDCTLGDISSVGKLENLEILSFARSNIKVLPRELADLQQLRLLDTTDCSYLKEIPHGILSGLSKLEELYMTRSFNKWEPAEEVDQSKEGEIRMASLAEVMSLPSKNLTVLAIDIPDFKLLPEDEVLLEKETTRFHISICKANTFPMPQPLDYGFKNTVKLAGDAKEFMQNGDIRFLLKYSRALYFRETKNLNCVLNDQVSFEGLEALSIQNSKHGTESLLSDQTGETAFLQLKSLELNGISDLLAICHGQLQNQSFGNLISLQISCCSELRYAFPVSIARNLVQLQSLVVYLCDKMQEIVSNEGLEDEIDASSKVAFPNLTELNLYGVSNLVSFYIANQPCSSKPEMAETWSSNQGNEAGGSSSKKSKILLPPNCISWLKNLEELEVKLSSKIEVLFDLEGQMVQGNTEEIPVSFTQLRKVSLANVTLLAHLWKNVPCRIRCFENLRFLTVLSCDSLQYLFSYSVARQLVGLEELKISYCRTMKTIVARQYKEEIGSTRILFPKLSLRLQDLSSLVSLSDGPKTFSDDADNTFVWPSTRVMHLRRCPKLETLGSLIPRKQKQRKNIPQIQKLDAGPSERTSQKKNIPQPSVSPPFWSSEHTSKKEVTPSTTINESDDSDNLECLEMEDCESLQVIFQPKESNYAHKFTKIKRLVLKALPMLIDIWEMGSQQIDGFRNLRWLEVHGCAQMRYLFSPSIVKLLISLEQIKVIDCWMMEEIVAETEAEHAEEMELPLVNSIMLRNLPNFKRVCTEAYTLKCPSLAVAELVYIECNPRLKIKIDLGVLRTVPAENASVLKQRYVVLSTPTLTKNILGSI >ONI17388 pep chromosome:Prunus_persica_NCBIv2:G3:17412621:17413163:-1 gene:PRUPE_3G156100 transcript:ONI17388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEVCCTGTKNFFSSPHRSHDVAKFSCFFSEKSLTAC >ONI17625 pep chromosome:Prunus_persica_NCBIv2:G3:18903144:18910131:1 gene:PRUPE_3G170300 transcript:ONI17625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFEGFSIREYAAKMRTVDVFKSYPFTAADSHDADEGDEDMKEKKKKKKKEQVEALLPPITITKFKWWAHELHRLRASTNPHHHHPEQMLTLVNKESSDIPRNDIEFTAVADELEAEVESQETQKSSETSSSLVCPVCKDFSSATVNAVNAHIDSCLAQASREERRQMRKAKSKVPKKRSIAEIFAVAPQIQNHYEEDDDGDDEDCELLGESGGDSSFSVSRLKAKKVKKRKKEKKKVLLLEENRKKFNKKMVMKNKKNKKKKNDGLIANKEKSCKLKLQNPVTFAKKLNKKFALDIWDGVTVRARTPNLKYLSTKKRKVVQTSKLIPKHQKQIFAVRSILKNHDVCGQNSAFCSMQGDSQANPCGIQHSERHVRFSDKNHILGPRKNGLSFFQHNTVGNLSSDTFVSSSEKDQSADSNKEAAPMEVDRRENHVSIGTDNGTEACSIIGRKELPKISDHADIPSFLRPHITHQEKVKHLPDKSVPASRAATEDNNLSMFGQGYPITSHKPAYAGIPRLISALEEPRINTHGVAVSRAFGSSGTMIDHIEHPIYGAAMSSRENAGAFPEPFSSSFTFNEIARGGLPFPSQSEIDKFSDHGLHRQSLCPPMNLMGASYPFPEWKQRAGSFRERCVDEDFIGLPLNSQGELIQLSPTGRSGFNQLRKLDTIPGSSSSLPVQNFSQLMSTSSLPAHNFTHRTSMEDSLTAYKKHFVEKELPNDQLNLFPMQNYVKENFNSHFPDRLGVTYLDSTQRAGIHQLDFESSRSSHSFRPLDSGLNLMNISTSGCRQFDQVQNQKTVGMIPMDNSGHTSSNMNQPTMRLMGKDVAIGKSSREIQGFEDGKVWTDKEIIAEHCPSSTALHSSSLNKNFQQSWLPDTASGKLKETVAQSSEIHSEHASLQNFLMKAPEYRFPHPYHNWQSNSDFQTGSLTAHRSPSSNLIHFAQLPTSPAMFNRAPNFPEAFISGAESLQFGSQLPVFSGPQTTCGHGVLRPAEFNYKQNPPHFTKSAFGFPFLNPECRENVQSPWFQSSSKGLPPWLLHATLQGKPPNTASQSFPDVGRKNHHHIMPRSDIFTAPFMHHSSEFSYPCNLMTYHSQVMSSPSPATTFLPPHAPANTGGNQKAMSAINMGYRNRTKVKDRLKSKDFGIKDPYPCKKTKRLAVKAVDSTIPPNTFNLEMQEKLSAVAGSSRGNFFSEMQSTSRALDVDSSRTKASDLGCSLHEIQEDGFGSFGIESSKVDGMVKSGPIKLCAGAKHILKPTQNVDQDISRPIHSTIPFVAVPNGCREPEPQKKSTKIYRF >ONI16643 pep chromosome:Prunus_persica_NCBIv2:G3:9407904:9410109:1 gene:PRUPE_3G112600 transcript:ONI16643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSTNNNTPAYSPPFLTYHDPYDYFFGINDDIGEWPFGQSISPLSDNFQATQATRTAASDSRRPFEGTQARARAQPLGLAGSAAPPGSRIHILVLGDEMAGQGNPWRQITGNQWNAAAPSRLELDSRLSNTEQKRALQKLKKEIYKPFRRLSQKVNLYYRDTVVNANEMENDENSKRCAVCLEDFEPRQEVMLTPCRHMFHEECIVPWVKSNGHCPVCRFAICE >ONI17376 pep chromosome:Prunus_persica_NCBIv2:G3:17315585:17319669:1 gene:PRUPE_3G155300 transcript:ONI17376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLTNREVPTVTELPSQEMEAHHQIQDSEETDGDADNLLLYQQLYSYATQGKTNKFHDTIEKKLRDPNTRVQFLSRRSPQNNTFVHIAVSSGHVELAAKILQQHKPLLLEKNFEGDTALHIAAKAGDVDTTTNTLLREARGTTDVENTGDVLTLLRMKNNEENTALHETLIRGHQLVAKCLIEADPAVSLYVNKEQKSPLYLAAEQGLVEIVKLIEEKAVKKNTKIQGKSPLFAAILGRQKKEVLKIISNMEANILNSKDEKGRTPLHCAASIGYLEGVRFLGKRLVDSHRMDNCGNFPIHFASSKGHVDIVKELLLHCPDSMELRNSSDQNILHVAARCGEDNLVKYFLKNVEFQMLINQKDNRGNTPLHLAKMYHHPKVVDLFTLDRRTNLKVLNDRGMTALGISESTLETSASYDGMIPAVKEEENGVIQLYSSEQNPRDSSSLPELDQILVEPGPGMETKRTTAAAGQIQTKAVATAWWLINRLVNCLEYPRTWLEDTRGMLMIVATMISTTTFEAAVNPPGGVWQDNKINSSAGNATYCTDDKICLAGTSVAGSGFPKDFLEFVIHNTISYISSLSVTLLLVGGFPLRNRVIMWLLSMAMCLTLTSMALTYIHALIIVFPNTEIYKSYEKISQISIVVWVTLLGIIAAIHTIRLIIWLVRKLWGRFKHKIPKSLRNVIDSLVDSSRARHRTKKF >ONI18536 pep chromosome:Prunus_persica_NCBIv2:G3:22184822:22187119:1 gene:PRUPE_3G221300 transcript:ONI18536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPQSPSKSQSSSRLINLVIISSSVFTLFLLVSFFLVFTSSKLAHISYLSQDVYSSPPTSLEHVVFGIASNQQSWSAKRKEYVRLWWTNPMRGCVFLESLPPGHEHHANDTSLPPVCISEDTTRFRYTYKGGMRSAIRVARVVSETVALNHSNVRWYVFGDDDTVFFPENLVKTLSKYDHGLWYYIGTNSEIYEQNRIFGFRMAYGGAGFAISSPLAKVLAKVFDSCIERYPHLYGSDSRISSCLAELGIGLTQEPGFHQNDIHGDTFGLLAAHPLTPLVSLHHLDHINPVFPNMTTIKALQHLFKAVNADSQRVLQKTVCYDRWFSWTIVVSWGYAVQIHGNHIFLPDVLPVQETFSQWKKGSVLSGTYTFNTREHPKDPCRRPVVFFLDNVSSAGDGIKSIYKKSYENCTSDMGSPRKLEEVRVYSHKLDLDIKQLQAPRRHCCDILPSTGGQVMDIGIRECKDEELIYMHP >ONI16557 pep chromosome:Prunus_persica_NCBIv2:G3:8452993:8453388:-1 gene:PRUPE_3G106400 transcript:ONI16557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTWSSEATSRSLQDASMYGRHEQWMARYARTYNDIREKEKRFNIFKENVAYIESSNEDANKLYKLSVNQFADLTNDEFKASRNRFKGHECSTKTTSFKYENATSSMPTTMDWRKKGAVTPIKDQGQCGN >ONI15103 pep chromosome:Prunus_persica_NCBIv2:G3:1913740:1914968:1 gene:PRUPE_3G026000 transcript:ONI15103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCVLRPRSIPGWVLVLFSVLGLMTTLAPNSRAQHTQTIYNRLFGILCTNFWYSPKQTLDECAYISSIYQNLRLFFILHVYSCICRDNAIN >ONI18842 pep chromosome:Prunus_persica_NCBIv2:G3:23571455:23574523:-1 gene:PRUPE_3G242800 transcript:ONI18842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGRIPPAHLRRPLPGPDPFGPGIRPPHGAYPPFDMLPPPQVMEQKLAAQHVEMERLVTENQRLAATHGTLRQELAGAQHELQILHAQIGAIKSEREQQMRGLVDKIAKMEAELKSAEPVKLELQQAGAEAQNLVVSRQELIAKVQQLSQDLQRAHTDVQQIPALVSQLDSLRQEYQHCRATYDYEKKLYNDHLESLQVMEKNYVTMAREVEKLRAELLNNSNVDRRTGAPYYGTPGNNENESTGHAIGQNAYEDSYGVPQGRAPFPPPAAAAGGGATAAGTPPLVGAQPGPPGRTVYDAPRGPGYDASGGSGYDAQRGPGYDAQRGAPYDAQRPGYDVQRPNYEVQRGPGYDPSRGVNYDAQSRGAAGPQGHMPTSNAPYGSATPPARGGSGYEAPPPRGGGGGNPVRR >ONI18483 pep chromosome:Prunus_persica_NCBIv2:G3:21991650:21992516:1 gene:PRUPE_3G218200 transcript:ONI18483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALVKTIDAILFVMFAITAVNAVLIDVQLCLPASFFSSSLAELKNWYVREFEDYLGKEKPHFFLGLIWVELVFQWPLLVANLYGIWAAKPWYNTTCLAYGASFFTTMGAILAELIGSGKASNKMLKLYYPAMGFALLAILRGLLPPPSATSSTGGRGTTKRVGPPKNKKMI >ONI16559 pep chromosome:Prunus_persica_NCBIv2:G3:8460277:8460976:1 gene:PRUPE_3G106600 transcript:ONI16559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNLELENLILYFTGRRKKWRKRGREKQKGRGKLERLLNILRLRNLSYCRNAWHGRNGNLRDALVRQGT >ONI18507 pep chromosome:Prunus_persica_NCBIv2:G3:22069866:22072470:1 gene:PRUPE_3G219600 transcript:ONI18507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQKLISVLLLQLLLVLLASDFSNGQKLKVGFYHKTCPNLEAIVAKTTQHYISRAPTLAAPLLRLHFHDCFVRGCDGSVLLNSTASNSAEKDAIPNLSLRGFHVIDAAKSAVEKKCPGVVSCADILALVARDAVRMLPGSFWEVPTGRRDGRVSVNSEALRGLPSPFANITQLKAKFASKGLSVKDLVVLSGGHTIGTSHCDSFSSRLYNFTGKGDTDPKLDKNYIARLKKKCKPGDTKTLVEMDPGSFKSFDEDYYTLVAKRRGLFHSDSALLDDPETRAYVIQQATSHGGATFLKDFGASMVNMGNIGVLTGNSGEIRKQCALVN >ONI17631 pep chromosome:Prunus_persica_NCBIv2:G3:18926219:18931578:1 gene:PRUPE_3G170500 transcript:ONI17631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSDAVIVKSTRLKSVVWNDFDRIKKGDKCIAVCRHCKKKLSGSSTSGTSHLRNHLIRCQRRSNLGIPQLFAAREKKKEGTYLNLDQEQKKDEAFNLVNIRFEQEQTKDDIINYGSGNFDQRRSRFDLARMIILHGYPLDMVEHVGFRVFVKNLQPLFELVTSERVEADCMEIYGKEKQKVKDMLGKLPGKISLTVDMWASLDGTEYLCLTAHYIDESWQLNKKILNFIVIDSSHTEDKHSEIIMESLMDWDIDRNLFSMTFDSYSTNDNVVFRIRDRLSQNKLLSCDGQLFDVRCAANVINMMSQDALEALCEMTDKIRGSIRYVKSSQVIQEKFNSIVHQVGGESRRCLCLDNPLQWNSTYVMVEIALEYRDAFALLQENDPVYAMCPSDVEWDRVNIITSYLKLFVGVTNVFTRFKSPTANLYFPELCEVYSQLNEWCKNADDYISSLALKMRSKFEEYWMRCSLSLAVAVMLDPRFKMKPVDYYYAQFFGSGAPGRISDVFECVKTLYNEHSTCLAYVDQGLAWQVGGSSRLPGSGRDLRDRLTGFDKFLHETTEIDGTKSDLDKYLEEPLFPRNAEFDILNWWKVHAPRYPILSMMARNVLGIPVSKVPIDSTFNTGGRVLDRDWSSMNPATIQALMCAQDWIRSELES >ONI19843 pep chromosome:Prunus_persica_NCBIv2:G3:26570710:26575228:1 gene:PRUPE_3G300900 transcript:ONI19843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQAPTDQNNYASTDIDEKLAKQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVVILVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLVVEVGVNIVYMVTGGKSLQKVHNSVCPECKKIKLTYFIMIFASVHFVLSHLPNLNSISGVSLAAAVMSLSYSTIAWAASVNKGVVDNVQYGYKAKSTSGTVFNFFNALGEVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVALCYFPVALIGYYIFGNSVEDNILMSLQKPVWLIAMANMFVVIHVIGSYQIYAMPVFDMIETVLVKKLNFRPTRTLRFITRNIYVAFTMFVGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWWCNYICIFFGVLLMVLSPIGGLRTIIIEAKTYKFYS >ONI15002 pep chromosome:Prunus_persica_NCBIv2:G3:1501836:1506795:1 gene:PRUPE_3G020600 transcript:ONI15002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSTSSSNSFNNTNGGSPISYMAQDHLFTILLLLPVDSILCFAMACKKFRALTASDTLWESICRRDWGPKSVEALKASNFHHQLPWMRLYKQVSRLDSVYCHKLSNPDGELVFPSPRASHSLNFVSDCLVLFGGGSEGGRHLDDTWVAYVGNDFRRMLKWQKINSGIPSGRFGHSCVVIDDFLVLFGGINDNGSRHNDTWVGKVACHETLGITLSWRLLVVGPDAPSQRGAHAACCIDGRKMVIHGGIGLHGVRLGDTWVLELSENFRFGTWYEIVAHPCPPARSGHTLTCIGGTRTVLFGGRGLGYDVLHDIWFLDIHEGQPKWVQILYELQNVPGGVSLPRVGHSATLILGGHLLICGGEDSYRHRKNDFWVLDISAVPSITMQPTTLNSVQLLAKMWKRLKANGYKPKCRSFHRACTDNSGRYLFVFGGMVDGVLQPADPAGLRFDGELVLVELVLQL >ONI15384 pep chromosome:Prunus_persica_NCBIv2:G3:2938879:2943030:1 gene:PRUPE_3G041000 transcript:ONI15384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASIACWILFAIYVVAIAASEITFRHLNAKYKWIRRESRLLTALLEDFDNVSRSRNKIIQKANHQLLDPQLIQAGMTARVLNEWEEGWMNKAREVASEATRCVRILGNRRTSPKWFVLISTDLVPMLQLALRMKGLNHFLGKKKLDVDPRINPLNSLLWKKKISASDICRSLEQSRSWVSSLQNRSVVGEILEQSTPWTGPPVSLRAEELISSIKNLIAENPEFINSSTTMHLELLHAFMKDLQPLRLETEMEKSWVVEANETIDEANEAIHTINITAADQRSWWLSVVCNRRDRRKLKEVILRVGTWISDLLETKERYGFKFVRRQSSKLSANRFPQQRSFKYQTADDMDAVNNIRNWLNQLAKTSDELVSHVSALSEELEQMHTFLKHTKATEDYAINLRNACLEQLKKLVPEADQQSSNVPNNEGSGSKLLSKITRINYTVNVLQRCVQAYSIEARQELCSVVGLEEDIHELVKRLADNGEQRRPIISIVGMKGVGKTTLAKKVYYHSTMANHFEIRRWVTLTDADQDSDVNALLASVGSQVLETQEKGDGKEHWINKLHGFLEPKRYLVILDGVLSIQTMHALKAAFPKVANGSRILLTTRKKAIASAADQNSIPHQLRLRTKEESWELFTQMVHCPPELEALGKKVVGRGGGLPLVILRIGYPLSGKKVTSEELEVLEGITQQEQNQKPWLENLEVNKEDLQSHQILGKCFSYFQLFSGNFEIPARRIVTSWVAQGLAQVSGGGKTLHTLENVVYEYLLELIGRNVIQVVQKNLDGKVKTCCLPTALRDLMLQGEGNSSKATSLSGQLAFHFDDKDARFHSGVNANSPMVMQNESQQHSILLFDSQEGNKPREEIGEFLQSGIIGGFFGQLQVLDLERVCMPEIPKAIGKLRQLAYLGLRWTYLSAIPESIGNLVNLLTLDLKHTYVRILPSSVRKLKKLRHLYLNQNIQMSAPTSLPLSNLQTLSGVFVGMDKLVKDRRDKLINLRKLGLTIQLAQPEQKVLAKWIMQLTKLQSLKLASLNEKSAPQLLKLKPLSKLEKLSSLYLLGRLENPASIIGELPASLTRLTLSASGIQEDPMPMLGKLPNLKSLNLKSGSYEGPNMVCSMDTFPLLLVLKLRNLDTLKKLDVQEGAMRNLRELEIKSCRNFTITTGLTHLKILQTYEVN >ONI16807 pep chromosome:Prunus_persica_NCBIv2:G3:11036215:11038882:1 gene:PRUPE_3G123400 transcript:ONI16807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEFRWGGENPKYAIFDRVTLELHHGGMFENGVYKGGKVCYLDNIVDDFLSLLDLRKIRKEWGYTVDVSKIEQTMEIRYRKAGQKDGNILELITSDAKVVEMVGCMPCNRVLVLYYTDLENSNDVDNDGKGVTWHTFSEPGDADADVEVGNEGENEAAATENGEVGNEGENEENDAEFVDSENIGPTIQPNAGENVEPTIDPNACENVGPTVQPHGHEKVGPTYEAPGEFQFSNSRCKRSVHFGSQTYQVTHMYGGEFVVDFRARTCSCWRWDLCWIPCGHAISAIFQRDESLIDYVDECYKPATYMKSYEPMIHPIPLMDQWTKSGHPPIHPPNVRVQPGRPKKARSKEPAEILVPPPPPPHPMPPNYVQPRDKLRRLFIKITCRRCGQSGHNRATCDRQNSENQQANASSAQKEVDTKGGAVRLRTYIGPFSLLQKSKKTTVNHRRVFDFSMVVESTVIFSLINHDAKSPSLLQYTTSSTNTKRRLCTAKDLKNSRG >ONI18647 pep chromosome:Prunus_persica_NCBIv2:G3:22784215:22785316:1 gene:PRUPE_3G229700 transcript:ONI18647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYFITYFDFTLLIIIFSINNTLKTFTFTAEEFKRFKREIVERRKEIIIMMSSTSVGVEALKDQGICRWNSALRSVHQQAKTQLRSFSQLSSSSASAAFSTAGDEKLKKSEESLRTVMYLSCWGPN >ONI19455 pep chromosome:Prunus_persica_NCBIv2:G3:25626594:25629888:1 gene:PRUPE_3G280600 transcript:ONI19455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQYINPTSAATINDAISVSSTEPNNIAAPRLVDQNGDCGHALAVVSQPLPEVPRWESAPRVVPSMDAVVKVFCVHTAPNFSLPWQRKKQYSSSSSGFVIGGRRVLTNAHSVDHHTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVSDDEFWEGISPVKFGCLPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGMQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPIPVIMHFIEDYEKNGAYTGFPILGIEWQKMENPDLRMSMGMGPDQKGVRIRRIEPTSPESQLLKPSDVILSFDGVNIANDGTVPFRHGERIGFSYLVSQKYIGDNAVVKVLRNSETLEFNIKLAKHKELIPSHIEGKRPSYYIIAGFVFTAVSLPYLRSEFGNLFDVPIKLLDKHLHAMAQSIDEQLVVVSQVLVADINIGYEDIVNNQVLTFNGMPVKDLKSLASMVENCDDEYLKFGLEYNQMVVLQTNTARAATLDILTTHCISSAMSDDLMTKENALEEVQSTLDDPKTRDTILEEVQETKDIF >ONI17360 pep chromosome:Prunus_persica_NCBIv2:G3:16910123:16912367:1 gene:PRUPE_3G153900 transcript:ONI17360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKESLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKRYEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSKMEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMSRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKLYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI17973 pep chromosome:Prunus_persica_NCBIv2:G3:20240898:20241271:1 gene:PRUPE_3G189200 transcript:ONI17973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGIIKGLTARVPMICWPFFDDQRTNCYYTCNELGRGIEIDNNVKRDEVEMLVRELMERERRKLAENATDPHGQRQIQDLKMAWAKFVS >ONI16579 pep chromosome:Prunus_persica_NCBIv2:G3:8637106:8637874:1 gene:PRUPE_3G107800 transcript:ONI16579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATTVQLPTAFFEYFERESEKEMATVFARGAQTMNTIVFKPIGRKHFHKNSSSADVIRETKKFEGDEAKHKNHMGENDSNLWVPHERTGIYYPKGQEKVMDEISPRAVKDMGVNWFSNNEN >ONI18241 pep chromosome:Prunus_persica_NCBIv2:G3:21257048:21257828:-1 gene:PRUPE_3G204400 transcript:ONI18241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRGLATMRQNLQNIRKSPRVADESMVIGGGNLAELPIFAGHGHQHQMDRRSSSRVRWIKGFSVLYSVVLVPFSALSCFSQPDHVLGADGVWASGEFAQITEMNHLMVSDSMRYAILM >ONI16752 pep chromosome:Prunus_persica_NCBIv2:G3:10450064:10451296:1 gene:PRUPE_3G119700 transcript:ONI16752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATHELLQAQAHIWNHIFSFINSMSLKCAVQLDIPDVIQKHGQPMTLSELVSALPISPTKAHFIPRLMRILVHSGFFARESLNGGEQGYVLTDASALLLKDNPMSARPFLLDMLNPILTDPWQYLTTWFQNDNPTPFHVGVFQGVDSLVDVGGGTGTVAKSIADAFPHMKCTALDLPHVVADLKGSKNLEYVAGNMFEAVPAADAIFLKWILHDWSDEECVKILKRCKEAVTREGKKGKVIIVDMKVENKNTNKESGETQLFFDMLMMVMATGKERNEKEWAKLFSDAGFSHYKITPCLSLRSLIEVYP >ONI15074 pep chromosome:Prunus_persica_NCBIv2:G3:1796111:1796554:-1 gene:PRUPE_3G024300 transcript:ONI15074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPSFNSLPQNPNTSSSTAKPKTPNTQKKKLPTPQELISHYESQGLDTQEASLKVIGDLQAALFRVITSGRGRKDKLLAETSRKIDSTNNSLAILNMKIDSKPGYGEAFGIGVASGVTLKGIETVLPHVLGGFGQIWNTVRNATKD >ONI19687 pep chromosome:Prunus_persica_NCBIv2:G3:26146569:26147921:-1 gene:PRUPE_3G291800 transcript:ONI19687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFHCPIVNLDKLWSLVPQDVKDKAAKGNEAPLLDVTQFGYFKVLGKGVLPSSQPLVVKAKLISKTAEKKIKEAGGAVVLTA >ONI16763 pep chromosome:Prunus_persica_NCBIv2:G3:10684975:10685508:1 gene:PRUPE_3G120700 transcript:ONI16763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTTTSSGGTSFVAKIADFGLAKKTNVNYSRWRGTPRYLSPDAFINNEQDQSSDIWSLGCIVFEILTGKSPWDLKPGYNPNNLPDVLMFDHLRTCKIPTGISDVAWDFLKSCLAMKSRERLTAEILLSHPFVAQPQPSKEGHTKVKLVINSFLGYASGVCCFKPNSDYHANSAMVQ >ONI16504 pep chromosome:Prunus_persica_NCBIv2:G3:7958189:7958800:-1 gene:PRUPE_3G102600 transcript:ONI16504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFPGLMAVKSAEVSDSESIRHEAEVLLDIKGCPFIIERFGEEITTSEEGGDHMVYNLLLEFAPGGTLGDLIEKSNGHGLPEAEVRRYTRSILEGIKHIHKFDCVHCDFTGSFVAKISDFGLAKKTKENCGQWRGIPTYLSPEALNDSEQEPPSDIWSLGCIVLEMLTGKSFSDLKPGCELEDSRNPC >ONI19944 pep chromosome:Prunus_persica_NCBIv2:G3:26802546:26804090:1 gene:PRUPE_3G306600 transcript:ONI19944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFPEEPLLAPNPDRFCMFPIQYPEIWEMYKKAEASFWTAEEVDLCQDLRHWETLTPGEKHFITHVLAFFAASDGIVLENLAGRFMKEVQVSEARAFYGFQIAIENIHSEMYSLLLETYIKDSDEKNRLFHAIDTIPCVTKKAQWALRWIDGSESFAERIVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRKKLSEDRVKSIIRNAVEIEREFVCEALPCALVGMNGDLMSQYIEFVADRLLGELGYSKIYNVQNPFDWMELISLQGKTNFFEKRVGEYQKASVMNSINGNVDSHIFKMDADF >ONI15241 pep chromosome:Prunus_persica_NCBIv2:G3:2384582:2385577:-1 gene:PRUPE_3G032000 transcript:ONI15241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGADESEITNDDNINGKRQRTDPTMVKMVAAESEITNDDNINGKRQITDPTMAKMGAAEIEITNDDNINGKRQITDPTMAKMGASESEITNDNNINGKRPRTDPTMAKRGAAEIEITNDNNINGKRPSKRQRRVEIKKVEEKNKRHVTFSKRKRGLFNKAAELSVLCGAETAGIVVSSNGKVFCFGSPSADTVIHRYLGHNASSLLHAGQPDIHHVNGEVRYCPYNISSHVSIMRNGNKQVEDYMEARRHMEVEKIKRVKNNNTDNIDDIDNNIDGERGGWCHWWWEKPIEMTTSLEEFEEYMAALRQLKHNVEVRTNQMVINVGYNC >ONI17197 pep chromosome:Prunus_persica_NCBIv2:G3:15683000:15683734:-1 gene:PRUPE_3G144400 transcript:ONI17197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLKFTATGFFQLKKPQNPFLLPSQASTVPVKKSLLTPMAALTLTPTINLSQTFTKLKNQREVAFIPYITAGDPGLSTTAQALKVLDSSGADMIELGVPHSDPILDVISFPIVLFSYHNPIIKHGIENFMPTISDVGVQGLVVPDAPFEETKSLRKEAVKNNAELASRVEPEIFQWVG >ONI15751 pep chromosome:Prunus_persica_NCBIv2:G3:4179677:4186244:-1 gene:PRUPE_3G059100 transcript:ONI15751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPFPPQSSFGPPPNQNPLTPTPPPPPSQRGNQYNQNWGYNASSGGGGGGDGAVPAASSSYPQNYNYNHGNYGPPRTQHPHPPPQYNYPPPPPPPESSYPPPPPPQAPPVAQNKMPLQPPQAPMYYQNSQYSQYSHQPIQPLQQPPPPPPPPFSPSSSVPPPPPPPGSPPPPPPQSKDGGVDRGSHEKGAPRDVSVSGRREHGHLNHGVPQKQHKPPVPSMPVKKANGPPGRVETEEERRLRKKREFEKQRQEEKHRQQLKDSQNSVLQKTQMLSSGKGHGSIAGSRMGERRATPFLSGERTENRLKKPTTFVCKLKFRNELPDPSAQPKLMSLKKDKDQYTKYTITSLEKTYKPKLFVEPDLGIPLDLLDLSVYNPPSVRPPLALEDEELLRDDVAATPVKNNGIRRKERPTDKGVAWLVKTQYISPLSMDSARQSLTEKQAKELREMKGGRNILDNLNDRERQIKDIEASFEACKSRPVHATNKNLYPVEILPLLPDFERYEDQFVLAAFDGAPTADSEIYSKLDQSGHDAYESRAIMKSYKVTGADPANPEKFLAYMVPSPNELSKDPYDESEDVSYSWVREYHYDVRGDDVHDPTTYLVSFDEEEARYAPLPTKLVLRKKRSKEGKTSDEVEHFPAPSRVTVRQRSTVAAIELKDSGDYSRGSVSNLKTRRFDVEDTLERPRKIARHQDIDEYSGAEDDLSD >ONI17428 pep chromosome:Prunus_persica_NCBIv2:G3:17715563:17715973:1 gene:PRUPE_3G158500 transcript:ONI17428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPECSFLLQRLIVLQGFRMGLNIKKKRLWLEKNKKYISDARNHLNVELLPCSQISELRIVSFFIC >ONI15900 pep chromosome:Prunus_persica_NCBIv2:G3:4909886:4911230:1 gene:PRUPE_3G068100 transcript:ONI15900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAFVEDTDMPVKMQMQAMAAASQALDLYDVFDCRSIAAHIKKEFDMRYGGGWQCVVGSNFGCFFTHSKGSFIYFTLESLSFLIFKGASSPSSSPEG >ONI19143 pep chromosome:Prunus_persica_NCBIv2:G3:24748502:24750270:1 gene:PRUPE_3G261600 transcript:ONI19143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKTKLPKKRNIKAQKAESLVRQSVPEQPLDSARKRAMEGLRRGVGSGLAMVLVVLLCFVLVMVPEVSATRFIVGGGNKGWTSNVNYTIWAQDKHFYNGDWLFFVYDRNQMNVLEVNQTDYVSCNADHPLHNWTTGAGRDVVPLNVTRHYYFISGNGFCYGGVKIAVKVENPPPPPSAAPLKSKSSALTPAYRSQFVLPAVFAIGAMWDALVRFC >ONI18804 pep chromosome:Prunus_persica_NCBIv2:G3:23433930:23434323:1 gene:PRUPE_3G240500 transcript:ONI18804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCHHAETRQSIIILIQFYRAKQKNIRLLQQAFSKKKKERPGHVLSADEHNGTRQDMNNEDALRKER >ONI15000 pep chromosome:Prunus_persica_NCBIv2:G3:1486922:1487569:-1 gene:PRUPE_3G020400 transcript:ONI15000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKVSELNNLCDVPACAIIYSLYHTQHEIWPSFLQVLRVLKKFKTMPEMEQSRKMVNQESLLRQRIEKANEQLKKQRKENREKEMRRVLNMVSLNDLGWLIDQSLKDIRVKIKILNYEEENKQVQVEPTGLLAFETNSIETMQRHPWLMGMKNPQDQHMEVGVGGGDEMIMPFWGQNHINAIWSNNAFFP >ONI17886 pep chromosome:Prunus_persica_NCBIv2:G3:20017461:20022278:-1 gene:PRUPE_3G185600 transcript:ONI17886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEPKDTCDTSNTSVHSNSNADQPDRLVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHKFKGKTVMTESERYESLRHCKWVDEVIPDAPWVINQEFLDKHEIDYVAHDSLPYADASGAGKDVYEFVKAVGRFKETKRTDGISTSDIIMRIVKDYNQYVLRNLDRGYTRKELGVSFVKEKRLRVNMRLKKLQEKVKEHQEKVGEKIQTVAMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQESLRGQQSREKYLLENGKADSDAEEYYDEDDDEEYYDDEEYYDDEEYYEEVYGKDGNNEKEKVEKEKNEKGK >ONI19025 pep chromosome:Prunus_persica_NCBIv2:G3:24353844:24357558:-1 gene:PRUPE_3G254600 transcript:ONI19025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSPSSSSVTKEQFFRKPTSPVLVLKLVLLLWVFCASLNGVVFADADTDASVLLQLKNSVSDPSGLLSSWNYSVNSGHCSWFGVFCNSNSQVVALNITGNSGGGEGGSKSIACLDFAQFPLYGFGIRRSCLGSGGRLSGKLPSVIGKLTELRVLSLPFNGLDGEIPSEVLGLKNLEVLDLEGNSITGSLPFQLNPNLRVLNLGFNMIEGEIPTSWSNSVSLEILNVAGNLVNGTIPGFIGRLKAVYLSYNSLSGDVPSEIGDNCGKLEHLDLAGNFLVDKIPSSLGNCSQLRTLMLYSNMLEEGIPAELGRLQALEVLDVSRNSLSSSLPRELGNCSELSVLVLSSMFNPLPRVNDTVVDSLLEQLNSMNDDFNYFQGAMPVEITTLPKLRILWAPRASIEGNFPSNWGSCEYLEMINLAQNFFTGEIPSGLSRCRKLQFFDVSSNRLSGELVQDLQVPCMVMFDVSGNILSGSIPEYFNSTCAPVSPLTDFSFKDDDPSSPYLAFFASKTQVGNPLQLYGEDDGLTVLHNFGDNNFTGTLPSLPIAHERLGKQTLYAFLVGENKLTGTFPGSLFGKCEGLDSLVVNVSNNKLYGQIPAEVGTMCKSLKFLDASRNQIIGPIPPTFGKLVSLVALNLSWNMLQGQIPISLGQTRDLRYLSLSGNNLTGTIPSSLGQLYSLEVLELSSNHLTGEIPKDLVNLGNLTVLLLDKNNLSGQIPSGLANVTALSSFNVSFNNFSGSLPSNNNLMKCNAAIGNPYIHSCPMFSLTQPSSDSQGRDGDSQPYAASPVGVPASRNGNFNSIEIASITSASAIVSVLLALVVLFLYTRKWNAKSGALGSTRKEVTVFTNIGVPLTFESVVRATGSFNASNCIGNGGFGATYKAEISPGILVAIKRLSVGRFQGVQQFHAEIKTLGRLRHPNLVTLLGYHASDTEMFLIYNYLAGGNLEKFIKERSTRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSYGNGFNIVQWSCMLLRQGRAKEFFTAGLWDAGPHDDLVEVLHLAVVCTVDSLSTRPTMRQVVRRLKQLQPPSC >ONI17880 pep chromosome:Prunus_persica_NCBIv2:G3:19976368:19977935:1 gene:PRUPE_3G185000 transcript:ONI17880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPAELVFIPAAGIGHVMSTIEIAKLLVSRDDRLFITLLIMKRPFDKLFTNTDSSISPCINFVNLPDPETTDLSCFKFFVENQKTQVRDAVAKLVESNQSGSSKPRLAGFVVDMFCTTMIDVANEFEVPSYVFFTSSAACLGLLFHLQTLRDEHGKDVTEFMHSDSDLVVPSFVNPLPASVLPGKLLDKESAESFLNYARRFRETKGILVNTFWELESHALHSISYGKNPPVYPVGPLLNIKSSEDDKVWDILSWLHDQPPLSVVFLCFGSMGSFGEAQVKEIAYALEHTGPRFLWSLRQPPPKGKMAMPSDYADTSAVLPEGFLDRTAKIGKVIGWAPQVDILAHSSIGGFVSHCGWNSTLESLWYGVPVATWPMYAEQQTNAFELVKELGLAVEIKMDYRKDSEVVVGAQDIERAIRQVMEHDSVIRKRVKEMSEKSKKSLMNGGSSYSSLGRFIDQI >ONI18631 pep chromosome:Prunus_persica_NCBIv2:G3:22725723:22729547:1 gene:PRUPE_3G228300 transcript:ONI18631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDVNRPPPKLEGKYAAMVVCWLLGNGCLFSWNSMLTIEDYYVDLFPRYHPARVLTLVYQPFALGTIIILSYHEAKMNTRKRNLFGYVLFFITSLLVLVLDLATSGRGGLGTFIGICAISAAFGIADAHVQGGMVGDLSFMSGELIQSFLAGLAASGALTSALRLITKAAFENSHNGLRKGAILFFAISSIFELLCVILYAFAFPKLPIVKYYRSKAASEGSKTVSADLAAGGIQTLPEADEEEQRDLERLGNKQLLLQNIDYALDMFLIYALTLSIFPGFLSEDTGSHSLGTWYALVLIAMYNVSDLIGRYIPLVKFLKLENRRGLMVVILSRFLLVPAFYFTAKYGDQGWMIMLTSFLGLSNGYLTVCVLTSAPKGYKGPEQNALGNLLVVFLLVGIFAGVTLDWLWLIGKGW >ONI16427 pep chromosome:Prunus_persica_NCBIv2:G3:7476583:7481493:1 gene:PRUPE_3G097700 transcript:ONI16427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADSSSVSGHGQTVCVTGAGGFIASWIVKLLLERGYTVRGTLRNPDDPKNAHLRELEGAQERLSLRKADLLDYESLKEAINGCDGVFHTASPVTDDPEQMVEPAVNGTKNVIVAAAEAKVKRVVFTSSIGAVYMDPTRGPDVVVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWEEAKAKGVDLVVVNPVLVLGPLLQPTINASIIHVLKYLTGSAKTYANSVQAYVHVRDVALAHILVYEIPSASGRYLCAESVLHRGDVVEILAKFFPEYPIPTKCSDEVKPRVKPYKFSNQKLQDLGLEFTPVKQCLYDTVKSLQEKGHLAVPKPQEDSIKIQS >ONI18897 pep chromosome:Prunus_persica_NCBIv2:G3:23795043:23796844:1 gene:PRUPE_3G246800 transcript:ONI18897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPATLKMLGLVPGIVLIIIVAFVIEGSIEMLLRFSKAGSAYSYGDAMADAFGRIGKVLLQICIVIYNTGSLIVYMIIIEDVLSGSTSKEVHHAGILEGWFGEHWWTSRAFVLVAVTVVIFFPLMFFERIDSARYISVISVGLAIVFLLVVIGVTTFKLVDGSIETPKWFPAVTDSTSFLNLSTAVPVVVFAYICHYNVHTIQNELADSSLIQGVVRGSLALCALVYVMTGIFGFLLFGESTYTDLLSNFDTDIGVPYSSLFNNIVRISYAGHVILVFPTIFLPLRLNLDGLLFPSARPLALDKKRFVLESVGLVLISLVGAISIPNIWVAFEFTGATMGGLLAFVFPASITLKDPHCIATTKDKIVSVSMIILAVVSNFMAIYSNLRSLLS >ONI14793 pep chromosome:Prunus_persica_NCBIv2:G3:536421:537667:-1 gene:PRUPE_3G008800 transcript:ONI14793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDEVGNYYRSSYKPSIKKEVDETATSEIHGEVEEEVDGVERRLKELKNFDELPNPPFNHHFINKPYNIQASLAKRIQGEWESIRQLPPSSILVRGYTSRIDLMRAVIVGGGDFIRLPKRDKIKIMGRKGVVIVDDDREDDEMITCREGPPYHQGLFFFDIFFPSNYPTEPPQLFFRSYGLDLNPNLPKNGKVLLDANLQQSNIWDTLVSIRELILVDGIDRDREISSKLSKRVFVQTWEAMLHMLECAPIHFQALVLGYFRVRSHQILLDYKAYADVQDPAMKLLFFKLVKAFETNGTYCRHHYNQKEYDLALEKKEPLEDYSNNIRSFWYPSLKI >ONI14931 pep chromosome:Prunus_persica_NCBIv2:G3:1191627:1197808:1 gene:PRUPE_3G016700 transcript:ONI14931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILTGIISKITEYTVEPIGRQVGYVIDYKINLENLRRQLENLDAAKDRMNRTVNEVERKGKHVYPDVQKWLTEVDEITREAENILGDECQAKTNCFRGVCPNLVSYHRLSRKSAKLAKEIELHHDKKEFPIVSYDPPLEEICATPSQNYMAFESRILMVNEIMKELKNPDTNMIGVYGLGGVGKTTLAQEVYRQATKENLFDEVVIVLDVKKYPDLEKMERIQKKIAEKLGMDVDETHDIEARAKHLWNRIKDKNIFVILDDVWEAIDLEALGLRPMATCKILLTSRNRVSEMNMEIEFRLEVLSVKENWSLFEKMVGDVVKDGRIHEVATEIAKKCGGLPVSVVAVARSLRSATTLEEWRVALRDFKSFDEHGLAKSAYFALEWSYNRLDGDELKPLFLLCGIIAGGRCKILFTDLLKYAMGLSLVKNVHTVEDARDKLISLAKKLIKDYCLLLDIDDHGDIRMHELLREVAVGIASKDSHAIAKAYGDELKEWPDRDSLKKCTAISLKSCKFPRLPEEPCVCPELRFFVLESDNVDDSLEIPGNYFEGMKELKVLDVTRLRIPSLPPSLQSLTNLQTLCLDQCVLGDIALVGQLTSLKILSLGQSQVKELPKEIGQLTRLQLLDLTCCSELVRIPHSVISSLTSLEDLRMGSFIKWERALNDGSNATLSELKELRQLTALEIHIPDAKLLPANMFSDTKLERYTILIGDCWRYPRIYGTSSNMLKLKLTRNSQFGQGIKLLLKRCEHLDLDGMETANIISYLLASDIGKQLKNLHVQNNEEVTSLINSSHAFPNLESLSLYNLVNLETVCCSQLIAQPFQKLRSLTLWNLPKLIGFSSKGSRPGEEIILENEIGGPTKLFMNGEVLIPNLTYLILHQCDGLRFLFSRSMARRLEQLKHLEISTCQMMEEIVSTSGYNQEHTDNMFCNLKYLKLQHLPSLTRFCSGSYIEFSLLETLHIEDCPRLGTFIFDGKSEITTIMGKENDDRNSKENLDTVIPHFLFDQKVGFPSLESLIICGLPKLRTIWHRQLAPDSFRKLKKVEVLGCQGLINIFTPSMMGRLNALGTLVIQQCKSLQVVFDMEVVLGVKEAYGTLSTTQLKTVGCPNLDSVVMDSCDSLKNIFPASLAKGLQQLSELYVRNCEILEEIVANDGLETTPEFVFSKVTFVKLFFLPQLSSFYLGLHVSKWPLLKSLRFIKCGKVEILASEYSGFQEKLDSGTPIKQPFLLVDKGYPFPNLEVLGLDENTKIWYEAHSPLPAELFINLKMFAFSCAHPQSFHFLQELHNLEELVVYNGPWKEIFVYEGTSSGEIDAVGRTLPHIKILYLNGLMELMHLGIGNDNSESVFPNLEILKVYSCGRLKNLTSSTISFHKLTTLHVANCEGLKYLTTYSVAKCLHQLKSLEVENCESMIEIVASNEDEEDSGNYYEIAFSCLQHLKLYYLPSLQGCCSSGNCTVRVPSLNSLIVEECLIELKISPDGSLIQSGSRPERQQITEEVEEEEEEDDGNETEGRTQLIARTN >ONI18588 pep chromosome:Prunus_persica_NCBIv2:G3:22428895:22430080:1 gene:PRUPE_3G225000 transcript:ONI18588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQEMIFHNNTNNYSLTTLEMHKLRSYTSDNELLRQDFPLSKRTACTEAEVVVEVKCVDQTGVLAPLKRTKLPYLIFNTDENLSEIGFSLFATQTMVLADTTRPEYQVPM >ONI18192 pep chromosome:Prunus_persica_NCBIv2:G3:21016113:21017813:1 gene:PRUPE_3G201800 transcript:ONI18192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVLIWLILALLCLSLAPPKSGAEFEQWCVADEQTPDDELQAAMDWACGGGGADCSKIQVNQPCYFPNTLKDHASYAFNSYFQKFKHKGGSCYFKAAALITELDPSHASCHYEFIP >ONI15098 pep chromosome:Prunus_persica_NCBIv2:G3:1868593:1870997:1 gene:PRUPE_3G025600 transcript:ONI15098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPNAVLVKLLMGFMYLNVMVNHGESSYAGAAGTYHDQMKRMALMMKASHTIQNKVSSGSRVHHVTEYGADPTGRLDSTGALQEAISDAFASPLEGHLITGVADLGGTEVHLDGGTYKISRPLRFPDTGGGNFMIHGGSLHASDDFPTDRHLIELWSSSNSFVYEDITFKDLMINSNFRGGGIAVINSLRSTIDNCYISHFTTSGILIQGGHETYVRNSFIGQHINIGGDHRERNFSGIGINIQGNDNAITDVVIFSASIGVMVQGQANVLTGVHCYNKATTWGGTGIYVRAPGLTQTRILNSYFDFTGIVAEDPVQLHIAGSFFLGNAFILIKSLKGVACGISIVDNMFSGDYTGVPIVQLDQSNGQYFTTIDQVMVDRNVVQGMVLKSTVAKGSVWSNGTRWTVDFSKLLLFPDLIQNVQYTLHASKSFPKHVLRDVSSNRVMVESDVPVSATLRVSVDQSMMGYV >ONI19004 pep chromosome:Prunus_persica_NCBIv2:G3:24205872:24208292:-1 gene:PRUPE_3G252500 transcript:ONI19004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGGGESGREHEQHNRTQRKSSGDDFARAIAKIAVAQVCEIVGFQTYQLSALETLSDVAVHYIHNIGKTAHFYANLSGRMDCNVFDIIQGLEDLGLAQGFAGASDVDHCLASSGTVREIAQYVGETEHIPFSYSIPQFPVVKDRKLTPSFLQSGVETLGEHIPIWLPAFPEPHTYVPSPISNERARELHTDMIEQKKKQRNVERSLFNLQRRLVCNGLEGPSIDPGDADKAKQARESNPFLAAPLQYGETEVSHVALPAKLSSEATVEKLVAENRVAEKCSSVLETFAPAIEAMKSSSCESQEEHKEILLSRRPTVQFKIGIAKTSFSTMLHSSPHNKGFQKNYSWFGRENEKDEKKKRAEKILKNSMENSQELAQL >ONI15476 pep chromosome:Prunus_persica_NCBIv2:G3:3227158:3230440:1 gene:PRUPE_3G044800 transcript:ONI15476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDAEDTKSQMTQNPSTPQTHRHKKKKKKIPTVQATIQVVPDIPEKTPPLVGYFPCSFDPTKSPYSNSTDVGLFRDSKKPRRLQIVVTPNGTNVDFVGTNYTGEATTGQSCNFALGVLDKATQTLKILPVASNKIFRLQPMVRGYNYSAKEPESSVKEQLTGEEKAEKQMELQSRYGTKKAIRESKKLRSLKQEDGPESQKDLDAKIKLIVPNKEALESTESQISRHIPPFNESATTPQEAYSLDKIILTGEWDLLGDIYEHFQGGAEVSWDAFPRFVCHRIHKLQDIEVDEEKFKLSCIFSYITHLIKFKDQHSMDGISSSRTHRIPNLLFHKFSTMFPTESKGLSNEKTNLLISYVLVLTLFVDEFETDLTDIAKDLRMSAIALRKHYENLGCKLVRKRNVMFATLPVPLQFPQLRQRRQKRNR >ONI15512 pep chromosome:Prunus_persica_NCBIv2:G3:3323796:3326797:-1 gene:PRUPE_3G046700 transcript:ONI15512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRPSPLDEPPAASSSEEEVASSEEEVDGEEEEESGSGSESESEEPEPPKAAPTPVAAKKPDSATVNSKPQSSSSGSESESESDSELDKDRVVKPIVSKPMEETPKTKKPRSKASAITTPAARAGLKRPSESDPKDSKRPKKKVTDPDQEREHAGEETKKAGGDDSKKLFQRIWSDDDEITILKGMIDYSTKKGADPYSDMGAFHDFIKKSLKADVNKTQLQDKIRRIKKKYETNVAKGKKYNPVKPHEQKLFDLSKKVWGSGEGSIGLSGLSEQSKSNGKARTNQKGNKTLASLKAELLSSPERPKECEKVEFGLKPCGSESLSEVIGFDKGFRELGLPEGVVKQGLELIGGAKRAELKEKWKKLHVAELELFVKKSELMRDQAKPGAFGVVTLPFSVREGINIFLSGFVPTENPRFRDESLTFKVTETPQESGEEIETYARYGYPSMTKTLGEFKLQVIEGEFTNSQIIVMLGENGSGKTTFMRMLVGLSKPDSGVEIPELHVSYKNQKINPKRATKVKDLLHEKIRDSCTHPQFVTDVMKPLQIEQLMDQEITKLSGGELQRVALCVCLGKPADIYLMDEPSAYLDSEQRIVAAKVIKRFVLHAKKTAFVVGHDFIIATYLADKVIVYEGKSSVDCIANSPNQQIESTNDTEQKASGSYYYLDD >ONI16712 pep chromosome:Prunus_persica_NCBIv2:G3:10003435:10005023:1 gene:PRUPE_3G117100 transcript:ONI16712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITKLLEADPSKLIICSGKENEKNAQASIHPSTLTWKKKNCMIQNSVTEIDTLNLTQITCYLKLKQINTTLEKQKYHSTFQMSSMNGT >ONI17980 pep chromosome:Prunus_persica_NCBIv2:G3:20258473:20261039:1 gene:PRUPE_3G189600 transcript:ONI17980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAAANRPHVVCVPAPAQSHIKANLKFAKLLHHRGVHVTFVNTEFNHNRFLKSLGPNSLDGLPDFRFETIPDGLPAGSDQDATQDVSLVSDSINNKLLAPFRDLLMKLNDRSTSDSDINPPVTCIVSDGFMPFTTTAAEEIGVPIVLLFTIAACSFMGYKQYPALVEKGLAPLKDESCLTNGFLDKVIDWIPGMKGIRLRDLPANFRTTDPNDFVFNLSLESIERVHKASAVVLHTFDALEPDVLNALSSMFPLVYAIGPDQLLLNQLPEDPLKHIGYNLWKEETECLEWLKSKAPNSVVYVNFGSIAVLTPQQLVEFGWGLANSKLPFFWVIRPDLVVGESAILPPEFVAETKERGLIASWCPQEQVLNDPSVGGFLTHGGWNSTIESLCAGVPMLCWPFFADQQTNCYYACNEWGIGLEINNDVKRDQIEKLVKELMEGEKGKKMKNKVMEWKKLAEEATSPHGSSSTNLDNLVNQVILRKT >ONI18429 pep chromosome:Prunus_persica_NCBIv2:G3:21818840:21819394:-1 gene:PRUPE_3G215200 transcript:ONI18429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPFSRPTKLMSFSSILLLLAMLMPLAKAQSPRETTIVLYLQDLASGPDATVVPITGIQGKPQSFTSFGTIFAVDDIITETPDKVSAQIGRAQGILVASSLSGSNVHVSMSLAFTSVEYNGSSLEIQGISRQFERYKEVSVVSGTGSFRYARGYATLETVFYDNKTSYSIIRCTVRLLPNSQ >ONI15293 pep chromosome:Prunus_persica_NCBIv2:G3:2602129:2603429:1 gene:PRUPE_3G036000 transcript:ONI15293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDEIQSPASGRSGSPESPRQNGRITVTVAVPSAQIPAPASQPQSKGLTLALPSQGRSSGGGREDCWSEGATAVLIEAWGERYLELSRGNLKQKHWKEVADVVSSREDYGKTPKTDIQCKNRIDTVKKKYKLEKSKMAAGGGPSKWPFFERLDHLIGPSSAAKVGPGSGGGGGFLAHNQKVPMGMPVGVRPGQYFGQRDSKREVKIRPRSLVDWDSDESGELSPFSIDNEVFERKRRRRTVLPEANVNNAGGVGKGGVGTKDGGVGREKGGGGWGSSVRELTRAIMKFGEAYEHAETAKLQQVVEMEKQRMKFAKELELQRMQFFMKTQVEISQLKHGGGRRSGNGNAGNHHSNNNNSDCSN >ONI19676 pep chromosome:Prunus_persica_NCBIv2:G3:26106670:26108154:1 gene:PRUPE_3G291200 transcript:ONI19676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMRIRRAIQAVISTTTTSRWSDELPEEIMQLILQRLCLPDYLRCGAVCRSWRAALTVKRCPPRPEGFLYPWSFCLHALRDYHLKYPYTTLNFFLNPISGVRVMLPSQSTIPYMYGTRPFFFKKVVASSLPLLTTSSWSKSDDHHHHRHQIINSSCLVAGLCGEGLYLAFCRPTDKSWTLIEPVLEGTRFTPLDIEIIDGKLYPANQDAAQFLIVYDLQMLHADGHANAGPPGNKYSGPPQKLVMLHPRPVRSLSSNNTGGVVYVTEKESPRLAKDPTTKELFLILHNTSFAYEKDPIIPQSLLGHNYVIPPQTKGFRVFKLEYNNNGPKWVEVEDIGGDRILFLSKASNKLISATSLSLTYGDYKKRVEGNCICFAFDNPCLASPWMGRDFGVFSLTNKNIQRFIVPNDHPRTSLFNTQTVWFTPYIE >ONI18617 pep chromosome:Prunus_persica_NCBIv2:G3:22641082:22645108:1 gene:PRUPE_3G227100 transcript:ONI18617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFSCFQAHVHCPKPKKTLQPSVEAMHKTLQVCSQNQALKNSSGKTSLNLSQTEGISQVNSSLKHVSSLEADWKSGDMESSFIKESDLKVTQTGRIIKSRSLGSALYLKGRASANNDAEDETDQGISCDGSQDHNGLVVPDGSRDRGESPPEQCQTSPTSDYCQVRSGIVNNESIFSIGEPLHTEKEGPENSDTPPSGEFGIDSGDHTPRAPQGLVKSHSFANISACSPTTEGHSPINRLPVHSRSSEDLHALGIQRKGISVHEAEIQVIQEKDDDIGGKSENRNFENSIDDGYDSCNYSGYAKDWIVPATDEVRTEKNLQGESSVQSWNELPSKDFRVKRIEEWVNNLQHSSPLEETNELPQSNDQVKRDSNDSNGLVAAKTDAKVTPGMEAAKRYISSLSAAATTAHLANHGLVVIPFLSAFVNLKVVNLSGNSIVRITAGALPRGLHMLNLSKNNISTIEGLRELTRLRVLDLSYNRILRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAISLEGNPAQKNVGDDQLKKYLQGLLPHMAYYNRQQIKNSTLKDAADRSVRLGISNHQFDRGLRPDHKAPRKASHGISSHRPSTHGHGNQSVVPLKKSRARHGHLPPSGTKASTHSRHHYFDLGSKLMNLKQDLSMRRSRSEGTMAAM >ONI14685 pep chromosome:Prunus_persica_NCBIv2:G3:156285:158993:1 gene:PRUPE_3G002200 transcript:ONI14685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKARLPRKTRNPDLIRGVGKFSRSKMYHKRGLWAIKAKNGGVFPRHDPKPAAETPTQKPPKFYPADDVKKPLVNKRKPKPTNLRASITPGTVLIILAGRFKGKRVIFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVNVEKFDDKYFAKEVEKKKKKGEGEFFEADKEEKNVVPQGRKDDQKSVDASLIKSIEAVPDLKTYLAARFSLKSGMKPHELVF >ONI14849 pep chromosome:Prunus_persica_NCBIv2:G3:789350:791632:-1 gene:PRUPE_3G012400 transcript:ONI14849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKGEVQRRVLLLCGDYMEDYEAMVPFQALQAFGVSVDAVSPVKKAGDICATAITIQVESTDQANKESRGHNFTLNATFDDIEIDKYDGLVIPGGRSPEHLAMKASVVELARKFSDCRKPIAAICHGLLVLAPAGAVKGRKCTAVSTMKPGLVAAGAHWVEPDTLSVCVADDNLITGVTYYGNPEFIRLFLKALGGNICASERRVLIIIGNYAEDYEVTVPYQTLKVLGCHVDVVCPKKKAGDTCPTAIRDLEGGQTYSEIRGHNFVLTADFESIDASSYDALVLPGGKAPEFLALKEDVIVLVKQFMEAGKPVASICHGLEILVAAGVLQGKKCTGYPGIKARVVSSGGTFVEADPIDRCVTDGNLVTAAAWHGQPELISQLMTLLDIRVSF >ONI16474 pep chromosome:Prunus_persica_NCBIv2:G3:7687432:7689391:-1 gene:PRUPE_3G100300 transcript:ONI16474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAGVIGGNGSSWNLFKDKPRKKKTVNKVMVSCVYSSVMDPYQTLKIQPGASESEVRKAFRQLALKYHPDVCRGNNCGVQFHQINEAYDIVMSNLRGESTPSPMYETYDEGVDEPMRGMNDPDWDMWEEWMGWEGAGIRDYTSHINPYI >ONI17211 pep chromosome:Prunus_persica_NCBIv2:G3:15894892:15898801:-1 gene:PRUPE_3G145600 transcript:ONI17211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYPFQKISFLLVITSTICLLYIPRSLGEEDDEQYLKCSASSQCANFPNIGYPFWGSSRPNYCGYPEFKTWDASNNLCKQCNGSGGQCGYNTATAEFTCYCKDGPHPSNCAAGANLVMNSTKDNPVAVFDSGFGLTWDASNNLCKQCHRSGGSRKAIAIGIAVAVPPIIIIILFTICISRKRILAFLKRDKGDEFDVEAFIRNYGSLTPKRYTYANVKKMTDSFKDKIGKGGYGTVYKGRLPDGLLVAVKVLRESKGNGEDFINEVASIGRTSHVNIVTLSGFCYERDKRALIYEFMPNGSLDNFIHKHGSEMENRRLEWKTLSEIAVGIARGLEYLHRGCNTRILHFDIKPRNILLDKDFCPKISDFGLAKLCKTKESIVSMLGARGTAGYIAPEVFSRNFGGVSHKSDVYSYGMLILDMVGARKNLDSGVTHTSEMFPNYVYTRLNIYLGIYLRRKKKWQES >ONI19213 pep chromosome:Prunus_persica_NCBIv2:G3:24903896:24904723:-1 gene:PRUPE_3G264900 transcript:ONI19213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAGAIELGESKSTSSTPKIGGVNRGASILDFILRIIAFLGTLVSAIAMGTTRERLPFFTQFLQFRAEYDDLPTFTFFVVANSVVCGYLVFSLALSIFHIIRSNAKRSRIILIFFDTAMLALLTAGASAAAAIVYLAHKGNAKANWFAICQQFNSFCERISGSLIGSFVGVVVFILLILLSAAALSRR >ONI19381 pep chromosome:Prunus_persica_NCBIv2:G3:25415982:25417244:-1 gene:PRUPE_3G276000 transcript:ONI19381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRDKPPPPSSSRRRSERRACRRRHVADGGADSSVQLPRPSAPKTIYSYLEGLYERRGSDREEALASIIGVLNSRLEHDFLENNFASFLYRGLNSFKKGSSKEKQLSLHLVGLLAMIVGYEDKVSEVYRELLPVLSKAPKSGTTAVKVLDCLGIVAFFGATNSEDIQNAMQIIWKFIHADQSDANEEKHSAAVLVAAINAWLFLLTSVEGWRLRHNNWNGAIDYFSTLLLKHDDKLVRVAASEALALIFETGSLEKFWGEGKERSYSHMQQLLRESIVANDIREVVKYFGNFQCPGTSLTVNGKDLKLSSWYQKIQLQFLKGFLAEGFELQVKENEKLQNLFEFNPHRIINSGRELYVSTTDKISVSFFLPEERDPEKLTKEDRKKQKIWRNSVLKKAQTQLMTKHRRLSEEMNRSDYD >ONI19961 pep chromosome:Prunus_persica_NCBIv2:G3:26836105:26838415:1 gene:PRUPE_3G307900 transcript:ONI19961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFSFDLCLCILLFIYTCLYVFFIIILKQITKIKVQPHTSLSIFTNNNIILFNDENLNAPFFFSHLV >ONH89455 pep supercontig:Prunus_persica_NCBIv2:scaffold_203:16:2759:1 gene:PRUPE_I003000 transcript:ONH89455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFSLKRRRVVFVADDEGETKHLEALEGASTRLRLFQIDLLDYNSIFAAINGCSGVFHLASPCTVDQVQDPEKELLDPAIKGTLNVLTAAKQAGVIRVVLTSSVSAITPSPRWPSDKIKGEDCWTDIDYCTQKGLWYPLSKTLAEKAAWEFAKEKGLDVVVVNPGTVMGDVISPRLNGSMVMLVRLLEGCTETYENFFMGSVHFKDVALAHILVYENKSATGRHMCVEAISHYGDFVAKVAELYPEYKVPSLPKDTQPGLLREKNGAKKLMNLGLEFIPMDQIIKDAVESLKSKGFIS >ONH89501 pep supercontig:Prunus_persica_NCBIv2:scaffold_26:222:1985:-1 gene:PRUPE_I003500 transcript:ONH89501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPHTKKKTMEGHVMSHVAGFFAHQKGERSPVALLCLVFSRHPLCLPPLLLNAKRTVTLPHYSMTFLCVPNFHEILCYFSSKYIEFLSIQDPFVLRSISFCRIQGPIQFIDTIFGSWVHRILFWLTRLM >ONH89502 pep supercontig:Prunus_persica_NCBIv2:scaffold_26:80:1985:-1 gene:PRUPE_I003500 transcript:ONH89502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPHTKKKTMEGHVMSHVAGFFAHQKGERSPVALLCLVFSRHPLCLPPLLLNAKRTVTLPHYSMTFLCVPNFHEILCYFSSKYIEFLSIQDPFVLRSISFCRIQGPIQFIDTIFGSWVHRILFWLTRLM >ONH89503 pep supercontig:Prunus_persica_NCBIv2:scaffold_26:17340:19778:-1 gene:PRUPE_I003600 transcript:ONH89503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELTSGQDHALTMASCQDELLAKTSKIKKSLRKIWAMEKSLRERGENEKYLAALLAQKIQLIDLKKLISKELRLLRRSKADSHKHGLPMVENASTTVNQGEDRSLFLMVNFTRGKYTDAIYEVKFKFGGEVDDMGARIARVAKFSGSTHLGARLFDRSQLYVFSEEGWDKPCVDSFGGYIFDTKTRALDRLTPSTVQFKQHGTVVSAYGTLYFLETQTEFVQGSGLFFGKYNPDKKDWVQMPSFPFSYKFTTEVTGFAVGYGVILYTLSDLHGNFDVVAFHLGRKNWKRVEIGTCTPFQGRAVVVGETIYALNYFRTDEIIAYSLRRKVVDDGDIAYSLVQQFELNGLDIADPPLQFDGLVTDYLVHLGNQDFVHVKTGTNEECDEVQHLCITTFQIVQGMIETLHSTVLRVKIDVRNWFRLTLSFTPECGDYEPEESKSAASMKQPKQEDDTTLDENSLMHEEEAKHEVAFMHHEKANQTKPKNASGIIKNKRKRKSGWKEGLHVTKKKKVGLQVVENNL >ONH89489 pep supercontig:Prunus_persica_NCBIv2:scaffold_42:3634:4544:-1 gene:PRUPE_I004700 transcript:ONH89489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEDYDPNEIFDFDPFHHPDSNPPPETPLITFVWSGGESILLISLATQIIGVKQLILEVLRIPFPVERQELSWAGQFLRDELTLQDYNIPSETSIILLEKIKVTIYLEAGDIQYEYVIHDSTTIDQLKAKLHAEHDVVIENKVLRMGNAYLPDDAQLFAVGVVEGTTLYLVERFNA >ONH89490 pep supercontig:Prunus_persica_NCBIv2:scaffold_42:5636:7423:-1 gene:PRUPE_I004800 transcript:ONH89490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLPPATSLITFLWSGGESILLVPMATRIIDVKLLISEVLRIPIPIDRQELSWEGQCLKDELTLQDYHIPPTAFLVIYKKIEVKIYLEFDGHCYVYVVFDGITVGELKAKLQTEQGVDIEHKVLWMVDNSYLDDHAQLLVAGDVEGA >ONH89491 pep supercontig:Prunus_persica_NCBIv2:scaffold_42:11075:12144:-1 gene:PRUPE_I004900 transcript:ONH89491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDSNLPPANMRIIVLWRGAISTLEIPVAARIIEVKVLICEALHIPVPADRQELSWEGQFLRDELTLQNYHIPPNASLTVLTKIKVEIYVEYSRFYYVCEVHDGATVGELKAKVCAEQSIIDVEHKVLRMNGFDLDDGALLWAATVMEGTKLHLVKYQN >ONH89488 pep supercontig:Prunus_persica_NCBIv2:scaffold_42:356:1371:-1 gene:PRUPE_I004600 transcript:ONH89488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDSNLPPANMRIIVLRRGAISTLEIPVAARIIEVKVLICEALHIPVPADRQELSWEGQFLRDELTLQNYHIPPNASLTVLTKIKVEIYVEYSRFYYVCEVHDGATVGELKAKVCAEQSIIDVEHKVLRMNGFDLDDGALLWAATVMEGTKLHLVKYQN >ONH89477 pep supercontig:Prunus_persica_NCBIv2:scaffold_72:91:2670:-1 gene:PRUPE_I005900 transcript:ONH89477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLRSFLLGVLLLIGFAFTNSKADIRAPPTHFDTASLNRSSFPEGFIFGVGSGSYQYEGAANEGGRGPSIWDTFTHKYPEKINDSSNGDIAVDQYHRYKEDVGIMKNMGGGVNKEGIKYYNNLINELYAMVSLTPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKHWSTLNEPYTFSNFGYAIGSHAPGRCSTWQQLNCTGGDSSTEPYLVTHHLLLAHTAAVKLYKNKYQASQNGVIGITLVSHWFEPLSEEKENKNAALRALDFMFGWFVEPLTSGDYPQNMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYAGYYASNAPQNNSVYASYTTDAGVNLSSERNGVPIGSKGASEWLNVYPQGIQDLLLYTKKKYHNPVIYITENGVDEFNDPKLSLAEALNDTHRIDYYNRHLHYVQSSIE >ONH97231 pep chromosome:Prunus_persica_NCBIv2:G7:17579037:17579930:-1 gene:PRUPE_7G178200 transcript:ONH97231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKYHVRSISLPSRSHPTTLRVEEELSRLQAWEASSSASTSDSICRALCGLEELYECVDDLLHMASTQQLLSQPQQEKYMNELLDGSVRLLDICGITKDAISQIKEHARALQSALRRRKGDSSIETGIANYTCFRKKMKKEAKKLITSLKQVDSKIGASQMVEQDQHLSAVIRVLREACSNNMSIFQSLLVFLSVPVSKPKSNKWSLVSKFMHKGVIACEGQKEDINEMDGVDAALNTLRKSSAAPIECTDVEKIQSAQKRLEALEVTIEGLDSGLESVFRRLIKTRASLLNIISQ >ONH98292 pep chromosome:Prunus_persica_NCBIv2:G7:20737305:20739888:-1 gene:PRUPE_7G241000 transcript:ONH98292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRLQGTENATNGVVLSCSVIRINRSPASHAGGLFASVGQAARGFVITPNPQNPIDKSTKLLSSTYMKYMPTPDFGLRIGRVDELVEGEALDLGEEELLKNNKRGGLKLKIKIGNASLRRLFSGAIAGVVSRAAVAPLETIRTHLMAGSCGHSSSQVFQSIMETDGWQGLFRGTLVNVIRVAPSKAIELFAYDTVNKHLTRPGEELKIPIPASSIAGAVAGFSSTLCTYPLELLKTRLTVQRGVYQNFFHAFSKIVQEEGPAGLYRGLTPSLIGVIPYAAANYFAYDALSKAYKNAFKKEEIGNITTLLIGSAAAAISSSATFPLEVARKKMQVGALNGRQYKDILHALSSTLESEGIAGLYRGLGPSYMKLVPAAGISFMCYEACKRILTENEEEI >ONH95205 pep chromosome:Prunus_persica_NCBIv2:G7:9594389:9597126:-1 gene:PRUPE_7G056800 transcript:ONH95205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMKSNEEAQAQAAKKTRELPNLSECHSCHLRVDIANANAKSKLHVLYSEWRIVLLCKKCFSRVESSELCSYCYSTSSSQESFFCLQCHRKVHRHCDSEYRSVALLSDSCSAMEFSVCADCWIPESLVKWKRVVSSSKSRRTGKRRVGLGLGKSRVLAMVDDREIDDAFGSEEGSNRRDEDAAVDVDDGQLDAMDGSAEKLCSPNLSVCFCDSSTDIGCLKLEPRALDIEEESGDDDDDDEPLKEGDWSCSNGDDLESPAFCKQEQEESVCITTPKKQRCNAKLDPFYFKYRKRSTLDRYVLTYKRRSPKNLNASSMVTYKRRKPKCLYACYTFTYKRRRPRILYARSTGSS >ONH95171 pep chromosome:Prunus_persica_NCBIv2:G7:9344536:9345644:-1 gene:PRUPE_7G054700 transcript:ONH95171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPYRMSKANAALRQGGKALKDLNLLKVLQSEIQHELSCNPPEVSRSSSMGEFVVDCDLPQSQDLVLRRKYESGEEVAVSALLGPFSPDGLEGVECLYPRDVLMKVCLKKPGLSSMLQFDCSVYEKDGIGSGFKIHNAYCFQPSVGFGPSVYNPPFRT >ONH95170 pep chromosome:Prunus_persica_NCBIv2:G7:9342384:9345644:-1 gene:PRUPE_7G054700 transcript:ONH95170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPYRMSKANAALRQGGKALKDLNLLKVLQSEIQHELSCNPPEVSRSSSMGEFVVDCDLPQSQDLVLRRKYESGEEVAVSALLGPFSPDGLEGVECLYPRDVLMKVCLKKPGLSSMLQFDCSVYEKDGIGSGFKIHNAYCFQPSVGFGPSVYNPPFSDLDKKLQVELKKYLVSKGIGESLTNFLLHHLHKKEQAQYADWLHKLESYVAKSE >ONH96693 pep chromosome:Prunus_persica_NCBIv2:G7:15953747:15955318:1 gene:PRUPE_7G146500 transcript:ONH96693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSALIAMVGKNCFAIASDRRLGVQLQTIATDFQRIHRVHDGLFIGLSGFRGFCFGTSCTSFPVIAGLGDEGKPFFCTMDSTGANQDFVVAGTASESLYGACEAMFKSEMELEPEEFFEIVSQALLSSDDQDCLSGWGGHVYVV >ONH95057 pep chromosome:Prunus_persica_NCBIv2:G7:8609262:8612052:1 gene:PRUPE_7G049300 transcript:ONH95057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLHLIPLSVNYHKDRWMWHYTTNGIYSLKSGYRLEISKKKDCSGAVGSSLEPRVQNAFWRKVWSQEVPQKILYFNWRAIKNYLPCRSNLLKRKIISEDSCPICNVNSESVIHALWSCPNAQKVWKKVLFKEVFTGLNFSNYGDLFEIATMYLSKYEINKTVIEGRSCAQDVIFNRAHHLAGEYGSLVKGEPNLIVEKPTKWSPPPAGKYKLNVDAAFIPETGVGGIDVVVRNDKGEVMAAMALPLASATSSKHAEIMAFLFEMKFAWDAGFSSILIESDSQGVVNDVKKDEEESWASDGHLIDDIKRSLQHFEDVIISFSPRGGNQVAHFLAKHALNCNTMLMIPSCKAFLMK >ONH98440 pep chromosome:Prunus_persica_NCBIv2:G7:21115908:21118258:-1 gene:PRUPE_7G249100 transcript:ONH98440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLGSLQPDDPHDEAKQPQSPSSSRVPNDLSFLGQTLGRQLRGVASFLVPPPPSSSPSHDAVPSSNSQPQPPSQSQSQSQALLGIRNDLVEISGSFKSGLSLLSTNSNKAVTEISKFASNLLQLQNEALEDDDDDDDDDDCSVPGITDDVIGFVTEISVLPNYWTDFPIPVDHDFSMSDAQREHASTVERLVPGFADLRTRLGSSMSEKRFWMIYFLLLLPRLNEHDFQLLSTPKIVDARDVLLHKLQNKRNTQADSCEKSILDSPKKDIQVGKAQGVEISSQENEALTEIVNTAGRLKIDDEESTEQWSEEASISSGTFVDGQRKHETEEDISFSDLEDDENDLSSSTRLSGLRRGRDVRACSPNGSNDWVQLNRSSEIEGGQRKAGQSKERDSEGEDSNDWLTVDGFD >ONH98443 pep chromosome:Prunus_persica_NCBIv2:G7:21115707:21120429:-1 gene:PRUPE_7G249100 transcript:ONH98443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLGSLQPDDPHDEAKQPQSPSSSRVPNDLSFLGQTLGRQLRGVASFLVPPPPSSSPSHDAVPSSNSQPQPPSQSQSQSQALLGIRNDLVEISGSFKSGLSLLSTNSNKAVTEISKFASNLLQLQNEALEDDDDDDDDDDCSVPGITDDVIGFVTEISVLPNYWTDFPIPVDHDFSMSDAQREHASTVERLVPGFADLRTRLGSSMSEKRFWMIYFLLLLPRLNEHDFQLLSTPKIVDARDVLLHKLQNKRNTQADSCEKSILDSPKKDIQVGKAQGVEISSQENEALTEIVNTAGRLKIDDEESTEQWSEEASISSGTFVDGQRKHETEEDISFSDLEDDENDLSSSTRLSGLRRGRDVRACSPNGSNDWVQLNRSSEIEGGQRKAGQSKERDSEGEDSNDWLTVDGFD >ONH98444 pep chromosome:Prunus_persica_NCBIv2:G7:21115707:21120533:-1 gene:PRUPE_7G249100 transcript:ONH98444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLGSLQPDDPHDEAKQPQSPSSSRVPNDLSFLGQTLGRQLRGVASFLVPPPPSSSPSHDAVPSSNSQPQPPSQSQSQSQALLGIRNDLVEISGSFKSGLSLLSTNSNKAVTEISKFASNLLQLQNEALEDDDDDDDDDDCSVPGITDDVIGFVTEISVLPNYWTDFPIPVDHDFSMSDAQREHASTVERLVPGFADLRTRLGSSMSEKRFWMIYFLLLLPRLNEHDFQLLSTPKIVDARDVLLHKLQNKRNTQADSCEKSILDSPKKDIQVGKAQGVEISSQENEALTEIVNTAGRLKIDDEESTEQWSEEASISSGTFVDGQRKHETEEDISFSDLEDDENDLSSSTRLSGLRRGRDVRACSPNGSNDWVQLNRSSEIEGGQRKAGQSKERDSEGEDSNDWLTVDGFD >ONH98442 pep chromosome:Prunus_persica_NCBIv2:G7:21115707:21120481:-1 gene:PRUPE_7G249100 transcript:ONH98442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLGSLQPDDPHDEAKQPQSPSSSRVPNDLSFLGQTLGRQLRGVASFLVPPPPSSSPSHDAVPSSNSQPQPPSQSQSQSQALLGIRNDLVEISGSFKSGLSLLSTNSNKAVTEISKFASNLLQLQNEALEDDDDDDDDDDCSVPGITDDVIGFVTEISVLPNYWTDFPIPVDHDFSMSDAQREHASTVERLVPGFADLRTRLGSSMSEKRFWMIYFLLLLPRLNEHDFQLLSTPKIVDARDVLLHKLQNKRNTQADSCEKSILDSPKKDIQVGKAQGVEISSQENEALTEIVNTAGRLKIDDEESTEQWSEEASISSGTFVDGQRKHETEEDISFSDLEDDENDLSSSTRLSGLRRGRDVRACSPNGSNDWVQLNRSSEIEGGQRKAGQSKERDSEGEDSNDWLTVDGFD >ONH98441 pep chromosome:Prunus_persica_NCBIv2:G7:21115707:21119832:-1 gene:PRUPE_7G249100 transcript:ONH98441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLGSLQPDDPHDEAKQPQSPSSSRVPNDLSFLGQTLGRQLRGVASFLVPPPPSSSPSHDAVPSSNSQPQPPSQSQSQSQALLGIRNDLVEISGSFKSGLSLLSTNSNKAVTEISKFASNLLQLQNEALEDDDDDDDDDDCSVPGITDDVIGFVTEISVLPNYWTDFPIPVDHDFSMSDAQREHASTVERLVPGFADLRTRLGSSMSEKRFWMIYFLLLLPRLNEHDFQLLSTPKIVDARDVLLHKLQNKRNTQADSCEKSILDSPKKDIQVGKAQGVEISSQENEALTEIVNTAGRLKIDDEESTEQWSEEASISSGTFVDGQRKHETEEDISFSDLEDDENDLSSSTRLSGLRRGRDVRACSPNGSNDWVQLNRSSEIEGGQRKAGQSKERDSEGEDSNDWLTVDGFD >ONH94805 pep chromosome:Prunus_persica_NCBIv2:G7:5824581:5827763:-1 gene:PRUPE_7G031300 transcript:ONH94805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQVADHLEPWRHLHGKVVMVTGASSGLGRELCLDLAKAGCRIVAAARRIDRLQSVCDEINQLPVTAPSSSSSSSSVNGPGSSRAVAVELDISADGPAIEKSVNKAWDAFGRIDALVNNAGVRGNVSSSLELSEEEWNNVFKTNLTGSWLVSKYVSIRMRDADQGGSIINVSSIAGLHRGYLPGGVAYNCSKAGVNTLAKVMAMELGVHKIRVNAISPGLFRSEITEGLMKKDWLHNVAMKTVPLRTFGTSDPALTSLVRYLVHDSSEYVSGNVYIVDAGATLPGVPIFSAL >ONH94804 pep chromosome:Prunus_persica_NCBIv2:G7:5823894:5827820:-1 gene:PRUPE_7G031300 transcript:ONH94804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQVADHLEPWRHLHGKVVMVTGASSGLGRELCLDLAKAGCRIVAAARRIDRLQSVCDEINQLPVTAPSSSSSSSSVNGPGSSRAVAVELDISADGPAIEKSVNKAWDAFGRIDALVNNAGVRGSWLVSKYVSIRMRDADQGGSIINVSSIAGLHRGYLPGGVAYNCSKAGVNTLAKVMAMELGVHKIRVNAISPGLFRSEITEGLMKKDWLHNVAMKTVPLRTFGTSDPALTSLVRYLVHDSSEYVSGNVYIVDAGATLPGVPIFSAL >ONH98330 pep chromosome:Prunus_persica_NCBIv2:G7:20850138:20851347:1 gene:PRUPE_7G243600 transcript:ONH98330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPISTTQTNTNTATAAAAAMAVGSDPRGSSSDLDHSLSEPKGLSEILHAKLRKCSNLPSPSMTCLRLDNESSHIGVWQKRAGQRSDNSNWVMTVQLGKKKNSNNTNAADDHGQSISSSSPLIMSTSESSASTSSARAPELISEMDEEEKIALQMIEELLNRNCPSPSNSSFGIQPGEESFYL >ONH94673 pep chromosome:Prunus_persica_NCBIv2:G7:4217742:4229901:1 gene:PRUPE_7G025900 transcript:ONH94673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNEAFDGQILADKLTKLNSSQQSIESLSRWCISHRKKAKQIVETWDKCFNSSQKDQRVSFLYLANDILQNSRRKGSEFVNEFWKFLPAALKHVYENGDGHGKKVATRLVDIWEERKVFGSRGQSLKDEMMGKNPPALPVSNGKSSNPIKIVKRDAHSVRIKLAVGGLPEKILTAFQPVLEEHLSEESALNKCSAAVHHVGKIDEDVENTLTHGTQQGSTLLDDLKEQEDVLNQSVGQLENVEATRSALVSQLKEALQDQESELELVRTQLQVARHQIEKLGNIKRRLTLSPVVNPQSNVTNMTTESTRVLEPNLSLVQPTGIPPQPPTQPVISFASVKTTDEENKKAAAAAVAAKLAASTSSAQMLTSVLSSLVAEEAASMTGSLTSAAFTSGLSMFPPEKRPKLEKQMSASELNNLDVGNTAYFTPLQQQTMTNVPHAPPATMQPLSQTNQMQNTFGPPPPPPPAPSASPATPPANQYAQSAGLMVGVMPYGYGSNTLPPPPPIPPHISMGLSRPGQPQQQQQQQQQQHQPQPQQQQQQQLQPATGGYYRPLGMGFYGQSNQSNTQPVPRQ >ONH94674 pep chromosome:Prunus_persica_NCBIv2:G7:4216158:4229906:1 gene:PRUPE_7G025900 transcript:ONH94674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNEAFDGQILADKLTKLNSSQQSIESLSRWCISHRKKAKQIVETWDKCFNSSQKDQRVSFLYLANDILQNSRRKGSEFVNEFWKFLPAALKHVYENGDGHGKKVATRLVDIWEERKVFGSRGQSLKDEMMGKNPPALPVSNGKSSNPIKIVKRDAHSVRIKLAVGGLPEKILTAFQPVLEEHLSEESALNKCSAAVHHVGKIDEDVENTLTHGTQQGSTLLDDLKEQEDVLNQSVGQLENVEATRSALVSQLKEALQDQESELELVRTQLQVARHQIEKLGNIKRRLTLSPVVNPQSNVTNMTTESTRVLEPNLSLVQPTGIPPQPPTQPVISFASVKTTDEENKKAAAAAVAAKLAASTSSAQMLTSVLSSLVAEEAASMTGSLTSAAFTSGLSMFPPEKRPKLEKQMSASELNNLDVGNTAYFTPLQQQTMTNVPHAPPATMQPLSQTNQMQNTFGPPPPPPPAPSASPATPPANQYAQSAGLMVGVMPYGYGSNTLPPPPPIPPHISMGLSRPGQPQQQQQQQQQQHQPQPQQQQQQQLQPATGGYYRPLGMGFYGQSNQSNTQPVPRQ >ONH97709 pep chromosome:Prunus_persica_NCBIv2:G7:19008831:19010135:-1 gene:PRUPE_7G205800 transcript:ONH97709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNNNSPPGSPKEADHDSDANQLVKDDSSLETIVRKFQDSMSIGKRHAFWETQPVGQFKDLGDVSLAEGPIEPPTPLSEVKQEPYNLPTQYEWTTCDLDSEDTCTEVYNLLKNNYVEDDENMFRFNYSKEFLRWALHPPGYFRSWHIGVRAKTNKKLVAFITGVPARIRVRNEIVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRKMELRDVPAVTRLLRNYLSQFVVAPDFDENDVEHWLLPEENVVDSYLVESPETHEVTDFCSFYTLPSSILGNQTYSILKAAYSYYNVSTKTPLLQLMNDALIVAKQKDFDVFNALDVMQNESFLKELKFGPGDGQLHYYLYNYRMRNALKPSELGLVLL >ONH97708 pep chromosome:Prunus_persica_NCBIv2:G7:19008612:19010685:-1 gene:PRUPE_7G205800 transcript:ONH97708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNNNSPPGSPKEADHDSDANQLVKDDSSLETIVRKFQDSMSIGKRHAFWETQPVGQFKDLGDVSLAEGPIEPPTPLSEVKQEPYNLPTQYEWTTCDLDSEDTCTEVYNLLKNNYVEDDENMFRFNYSKEFLRWALHPPGYFRSWHIGVRAKTNKKLVAFITGVPARIRVRNEIVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRKMELRDVPAVTRLLRNYLSQFVVAPDFDENDVEHWLLPEENVVDSYLVESPETHEVTDFCSFYTLPSSILGNQTYSILKAAYSYYNVSTKTPLLQLMNDALIVAKQKDFDVFNALDVMQNESFLKELKFGPGDGQLHYYLYNYRMRNALKPSELGLVLL >ONH97094 pep chromosome:Prunus_persica_NCBIv2:G7:17207965:17210426:-1 gene:PRUPE_7G169600 transcript:ONH97094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGTSGKASSLRWKILRQAILRKPNADEQSEIGIKRITRKTKQGFNLLPCHLVDDPSHSSDVTVCYTLPIDSAPKLYLTQRMVDHAELSDFEICNKYNIDNTGLVCQWPSEEVLAYFCLSHADMFRSKRVIELGSGYGLAGLVIAAVTEASEVVISDGNPQVVDYIQHNIDANSKAFGGTRVKSMMLHWNQNEISNISSTFDLIVASDCTFFKEFHNGLAQIVKFLLGKVQPSEAIFLSPKRGDSLDKFLEKIKENDLRFSLKENYDAEIWKHHTEFMNGAVSWPSYQKDHCYPLLIRITV >ONH97091 pep chromosome:Prunus_persica_NCBIv2:G7:17207279:17210538:-1 gene:PRUPE_7G169600 transcript:ONH97091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGTSGKASSLRWKILRQAILRKPNDEQSEIGIKRITRKTKQGFNLLPCHLVDDPSHSSDVTVCYTLPIDSAPKLYLTQRMVDHAELSDFEICNKYNIDNTGLVCQWPSEEVLAYFCLSHADMFRSKRVIELGSGYGLAGLVIAAVTEASEVVISDGNPQVVDYIQHNIDANSKAFGGTRVKSMMLHWNQNEISNISSTFDLIVASDCRP >ONH97095 pep chromosome:Prunus_persica_NCBIv2:G7:17207280:17210538:-1 gene:PRUPE_7G169600 transcript:ONH97095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGTSGKASSLRWKILRQAILRKPNDEQSEIGIKRITRKTKQGFNLLPCHLVDDPSHSSDVTVCYTLPIDSAPKLYLTQRMVDHAELSDFEICNKYNIDNTGLVCQWPSEEVLAYFCLSHADMFRSKRVIELGSGYGLAGLVIAAVTEASEVVISDGNPQVVDS >ONH97098 pep chromosome:Prunus_persica_NCBIv2:G7:17208875:17210426:-1 gene:PRUPE_7G169600 transcript:ONH97098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGTSGKASSLRWKILRQAILRKPNADEQSEIGIKRITRKTKQGFNLLPCHLVDDPSHSSDVTVCYTLPIDSAPKLYLTQRMVDHAELSDFEICNKYNIDNTGLVCQWPSEEVLAYFCLSHADMFRSKRVIELGSGYGLAGLVIAAVTEASEVVISDGNPQVVDYSLMFRYSA >ONH97097 pep chromosome:Prunus_persica_NCBIv2:G7:17207536:17210538:-1 gene:PRUPE_7G169600 transcript:ONH97097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGTSGKASSLRWKILRQAILRKPNADEQSEIGIKRITRKTKQGFNLLPCHLVDDPSHSSDVTVCYTLPIDSAPKLYLTQRMVDHAELSDFEICNKYNIDNTGLVCQWPSEEVLAYFCLSHADMFRSKRVIELGSGYGLAGLVIAAVTEASEVVISDGNPQVVDS >ONH97093 pep chromosome:Prunus_persica_NCBIv2:G7:17207965:17210426:-1 gene:PRUPE_7G169600 transcript:ONH97093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGTSGKASSLRWKILRQAILRKPNDEQSEIGIKRITRKTKQGFNLLPCHLVDDPSHSSDVTVCYTLPIDSAPKLYLTQRMVDHAELSDFEICNKYNIDNTGLVCQWPSEEVLAYFCLSHADMFRSKRVIELGSGYGLAGLVIAAVTEASEVVISDGNPQVVDYIQHNIDANSKAFGGTRVKSMMLHWNQNEISNISSTFDLIVASDCTFFKEFHNGLAQIVKFLLGKVQPSEAIFLSPKRGDSLDKFLEKIKENDLRFSLKENYDAEIWKHHTEFMNGAVSWPSYQKDHCYPLLIRITV >ONH97096 pep chromosome:Prunus_persica_NCBIv2:G7:17208875:17210426:-1 gene:PRUPE_7G169600 transcript:ONH97096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGTSGKASSLRWKILRQAILRKPNDEQSEIGIKRITRKTKQGFNLLPCHLVDDPSHSSDVTVCYTLPIDSAPKLYLTQRMVDHAELSDFEICNKYNIDNTGLVCQWPSEEVLAYFCLSHADMFRSKRVIELGSGYGLAGLVIAAVTEASEVVISDGNPQVVDYSLMFRYSA >ONH97092 pep chromosome:Prunus_persica_NCBIv2:G7:17207280:17210538:-1 gene:PRUPE_7G169600 transcript:ONH97092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGTSGKASSLRWKILRQAILRKPNADEQSEIGIKRITRKTKQGFNLLPCHLVDDPSHSSDVTVCYTLPIDSAPKLYLTQRMVDHAELSDFEICNKYNIDNTGLVCQWPSEEVLAYFCLSHADMFRSKRVIELGSGYGLAGLVIAAVTEASEVVISDGNPQVVDYIQHNIDANSKAFGGTRVKSMMLHWNQNEISNISSTFDLIVASDCRP >ONH97805 pep chromosome:Prunus_persica_NCBIv2:G7:19330933:19334353:1 gene:PRUPE_7G211700 transcript:ONH97805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSGAVGGKSFTASARLKSGPSFEEILKNVEWPEQFPFKEEDFKRFDESSDQLFYEAPRFVTHIDDPAIAALTKYYSKVFPPSNSPGVCILDMCSSWVSHFPPGYKQEQIVGMGMNEEELKRNPVLTEYVVQDLNVNPKLPFEDNSFDVITNVVSVDYITKPLDVFKEMRRILKPGGLAIMSFSNRCFWTKAISIWTSTGDADHGLIVGSYFHYAGGFEPPQGVDISPNPGRSDPMYIVYSRKLSTV >ONH97804 pep chromosome:Prunus_persica_NCBIv2:G7:19331525:19333545:1 gene:PRUPE_7G211700 transcript:ONH97804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKCIGLRLSPRLPTLAGICPSSSSSHSGPSAVGSVPILAKALNKWKTGGRAPRRLVLGLGVSFWAQLMNMSGAVGGKSFTASARLKSGPSFEEILKNVEWPEQFPFKEEDFKRFDESSDQLFYEAPRFVTHIDDPAIAALTKYYSKVFPPSNSPGVCILDMCSSWVSHFPPGYKQEQIVGMGMNEEELKRNPVLTEYVVQDLNVNPKLPFEDNSFDVITNVVSVDYITKPLDVFKEMRRILKPGGLAIMR >ONH97803 pep chromosome:Prunus_persica_NCBIv2:G7:19331234:19334353:1 gene:PRUPE_7G211700 transcript:ONH97803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKCIGLRLSPRLPTLAGICPSSSSSHSGPSAVGSVPILAKALNKWKTGGRAPRRLVLGLGVSFWAQLMNMSGAVGGKSFTASARLKSGPSFEEILKNVEWPEQFPFKEEDFKRFDESSDQLFYEAPRFVTHIDDPAIAALTKYYSKVFPPSNSPGVCILDMCSSWVSHFPPGYKQEQIVGMGMNEEELKRNPVLTEYVVQDLNVNPKLPFEDNSFDVITNVVSVDYITKPLDVFKEMRRILKPGGLAIMSFSNRCFWTKAISIWTSTGDADHGLIVGSYFHYAGGFEPPQGVDISPNPGRSDPMYIVYSRKLSTV >ONH98455 pep chromosome:Prunus_persica_NCBIv2:G7:21140886:21142687:-1 gene:PRUPE_7G249500 transcript:ONH98455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSALFRCSTLFWLITLFFLLFFLLTFSPLLFTENHLNIPFVSSFNTTTPFNLTKTNHTTPPHVSLDELILTNSMYQRRHKASATKVSNTRGRTSLEKIEEDLAKARAAILEAIRFKKYTSEKTETFVPRGTIYKNPYAFHQSHIEMVKRFKVWSYKEGEQPLVHFGPVNNIYGIEGQFIDEIEREESPFRATHPDEAHTFFLPVSVANIVHYVYMPITRKQDYYRDRLQRVVMDYIGVVANKYPYWNRSNGADHFMASCHDWAPEVSVGKPELFTNFIRVLCNANTSEGFQPKRDVSLPEIYLPYGRLGPPSLGQAPNNRPILAFFAGRVHGPIRPMLLDYWKGKDDEVQVHEKLPKGLNYTKLMGQSKYCLCPSGFEVASPRVVEAFYAGCVPVLISDNYTLPFSDVLNWSQFSVQIPVARIPEIKTILQSIPYEKYLKMQKRVSRVHRHFVLNRPSKPFDVIHMVLHSVWLRRLDYKLET >ONH95270 pep chromosome:Prunus_persica_NCBIv2:G7:9961122:9962919:1 gene:PRUPE_7G060500 transcript:ONH95270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPVQVYISSVLKACRNHVNVSNTCSPPQLNIKNLRARAATPTAPTTLVGPPDLTRLTNKVFPSYGNPCLDLFYQVVPDAANAGADSHKYLKQLLPVAWSHNPSTTLKLICNKLNVHGKDDQDGFYTAAFWLHHNHPKTLACNVPSIAGSFGTLTTLLEILYRILQGQDRPLHLCLYGDIITVSSAGSETILALARMAVERYQRDPDYRFLHDRISDLFTDCLKSDIQNLNKHHQQNINDDEDDESTKCLETTYAEGLSIAKKFFPRESYPEYEGLEEADYAYRVRHRLRKEVLVPLRKVLECSKYHTGMNKWGYNSGFKREPCVVKKYLEDVKAAGNSKIEPGALLPHEIIGYVNDGDVGQVAEIQWKAMVEHIYLKQGKFKNCLPVCHNFGERLAALQILMSELSEEPWKGKLINFSENPQLYSLQGLDDLKSKGELVKRMDQDWEVDMHKVFDLILEVAVKGHLKPEQMIRRVFVFASDKGFDDALGYDRCWKTDYEAIQRKFKDNGYGDVVPQIVFWNVSNVNPTSVRSIQQGVAMLSGFNTNLIKSFLDNDGEIGPDHVMEAAISDPEYQNLVVVD >ONH97623 pep chromosome:Prunus_persica_NCBIv2:G7:18787963:18789441:-1 gene:PRUPE_7G201700 transcript:ONH97623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPCCDKEGLNKGAWSAWEDKALANYINTHGEGKWRDLPPRAGLNRCGKSCRLRWLNYLRPDIKRGNISPEEEELIVRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNLSKRSEQGSDKAHQDQRSSSTSSKDDTKKSNNPASKSSVQVIRTKAFKCRKVAIPSHLDGHDQMLERSNVAPVSDSESPCSSAAQEHDSSFGFLRDFDINDMLIPDVLSSDFQQAQINDCDQDMLECGITKIEDLLMGEARLGEWRAGDEDQDDPLQPNDDDHGDVDGFNALSSFLNSVDNDQWIIS >ONH97649 pep chromosome:Prunus_persica_NCBIv2:G7:18880875:18884172:1 gene:PRUPE_7G203600 transcript:ONH97649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTYVSALLYGVGGLVVAGMALLVALQEKLVYVPVLPGLTKSYPITPARLRLTYEDVWLRSSDGVRLHAWFIKLLPDCRGPTLLFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASEGCPSQHGITKDAQVALDHLYQRTDIDTSRIVVFGRSLGGAVGTVVTKNNPDKVAALIVENTFTSILDMAGVLLPFLKWFIGGSSSKGPKVLNFLVRSPWSTIDVIGEVKQPILFLSGLQDEMIPPSHMQMLYAKAAAHNRRCVFAEFPTGMHMDTWLAGGDHYWRTIQEFLQQHVQEKKDDESSNNDIAAAIESSL >ONH97650 pep chromosome:Prunus_persica_NCBIv2:G7:18880883:18883834:1 gene:PRUPE_7G203600 transcript:ONH97650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTYVSALLYGVGGLVVAGMALLVALQEKLVYVPVLPGLTKSYPITPARLRLTYEDVWLRSSDGVRLHAWFIKLLPDCRGPTLLFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASEGCPSQHGITKDAQVALDHLYQRTDIDTSRIVVFGRSLGGAVGTVVTKNNPDKVAALIVENTFTSILDMAGVLLPFLKWFIGGSSSKGPKVLNFLVRSPWSTIDVIGEVKQPILFLSGLQDEMIPPSHMQMLYAKAAAHNRRCVFAEFPTGMHMDTWLAGGDHYWRTIQEFLQQHVQEKKDDESSNNDIDVGAK >ONH98847 pep chromosome:Prunus_persica_NCBIv2:G7:22112172:22114809:-1 gene:PRUPE_7G268900 transcript:ONH98847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDEVKEVRNQQVLARDYISAIPVESDMYLNTSSTIKLRVPDDDDCQEAMLLKNLYLSCDPYMRGVKHSDDAASSTLQDRIVFFATDKPIVGYGVSKVVDSKHPEFKAGDLVWGITKWEEYTLIKNTQSFFKINHTDVPLSYYTGILGMPGMTAYAGFYEVCNPKKGEYVYVSSAFGAVGQLVGQFAKLMGCYVVGSAGSQEKVDILKNKFGFDEAFNYKEEADLAAALKRYFPQGIDIYFENVGGKMLDAVLLNMRLHGRIAVCGMISQYNFEQHQGVTNLMHLVYNRVRMEGFFVPDFYHLYPKFLDLVVPYIKQGNITYAEDIVEGLENGPASLVRLFSGRNAGKAVVVVARD >ONH94375 pep chromosome:Prunus_persica_NCBIv2:G7:1778712:1779623:-1 gene:PRUPE_7G012900 transcript:ONH94375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGITERTSPAQAKWRKVAYGGMQPGFDDNHTDESFLEEMVTNANVGKRDMLKVMQDSVSIAQYLCIVALIGLVWIYTLKSTLNENSLLLLDVTLLGLGFLILLLTQEMFSLNLLFHYFLNISFFTTGLYLLAPIYQTLTRSISSDSIWAVTVSLLVLHLFLHDYFGSTIRTLGAASNPTLTSCISLNASVVASVFIASRLPSSQLVFAMMLFSLQVFLFAPLVTYCIRKYSFRLHLWCSFGLMIATLALVYTLHLFLFVVFLGLLVFVTVVCPYWLIRMQEYKFEINGPWDEAKLCFDIID >ONH94372 pep chromosome:Prunus_persica_NCBIv2:G7:1778589:1781161:-1 gene:PRUPE_7G012900 transcript:ONH94372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGITERTSPAQAKWRKVAYGGMQPGFDDNHTDESFLEEMVTNANVGKRDMLKVMQDSVSIAQYLCIVALIGLVWIYTLKSTLNENSLLLLDVTLLGLGFLILLLTQEMFSLNLLFHYFLNISFFTTGLYLLAPIYQTLTRSISSDSIWAVTVSLLVLHLFLHDYFGSTIRTLGAASNPTLTSCISLNASVVASVFIASRLPSSQLVFAMMLFSLQVFLFAPLVTYCIRKYSFRLHLWCSFGLMIATLALVYTLHLFLFVVFLGLLVFVTVVCPYWLIRMQEYKFEINGPWDEAKLCFDIID >ONH94376 pep chromosome:Prunus_persica_NCBIv2:G7:1778585:1781161:-1 gene:PRUPE_7G012900 transcript:ONH94376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGITERTSPAQAKWRKVAYGGMQPGFDDNHTDESFLEEMVTNANVGKRDMLKVMQDSVSIAQYLCIVALIGLVWIYTLKSTLNENSLLLLDVTLLGLGFLILLLTQEMFSLNLLFHYFLNISFFTTGLYLLAPIYQTLTRSISSDSIWAVTVSLLVLHLFLHDYFGSTIRTLGAASNPTLTSCISLNASVVASVFIASRLPSSQLVFAMMLFSLQVFLFAPLVTYCIRKYSFRLHLWCSFGLMIATLALVYTLHLFLFVVFLGLLVFVTVVCPYWLIRMQEYKFEINGPWDEAKLCFDIID >ONH94370 pep chromosome:Prunus_persica_NCBIv2:G7:1778599:1781161:-1 gene:PRUPE_7G012900 transcript:ONH94370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGITERTSPAQAKWRKVAYGGMQPGFDDNHTDESFLEEMVTNANVGKRDMLKVMQDSVSIAQYLCIVALIGLVWIYTLKSTLNENSLLLLDVTLLGLGFLILLLTQEMFSLNLLFHYFLNISFFTTGLYLLAPIYQTLTRSISSDSIWAVTVSLLVLHLFLHDYFGSTIRTLGAASNPTLTSCISLNASVVASVFIASRLPSSQLVFAMMLFSLQVFLFAPLVTYCIRKYSFRLHLWCSFGLMIATLALVYTLHLFLFVVFLGLLVFVTVVCPYWLIRMQEYKFEINGPWDEAKLCFDIID >ONH94377 pep chromosome:Prunus_persica_NCBIv2:G7:1778599:1781161:-1 gene:PRUPE_7G012900 transcript:ONH94377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGITERTSPAQAKWRKVAYGGMQPGFDDNHTDESFLEEMVTNANVGKRDMLKVMQDSVSIAQYLCIVALIGLVWIYTLKSTLNENSLLLLDVTLLGLGFLILLLTQEMFSLNLLFHYFLNISFFTTGLYLLAPIYQTLTRSISSDSIWAVTVSLLVLHLFLHDYFGSTIRTLGAASNPTLTSCISLNASVVASVFIASRLPSSQLVFAMMLFSLQVFLFAPLVTYCIRKYSFRLHLWCSFGLMIATLALVYTLHLFLFVVFLGLLVFVTVVCPYWLIRMQEYKFEINGPWDEAKLCFDIID >ONH94380 pep chromosome:Prunus_persica_NCBIv2:G7:1778589:1781161:-1 gene:PRUPE_7G012900 transcript:ONH94380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGITERTSPAQAKWRKVAYGGMQPGFDDNHTDESFLEEMVTNANVGKRDMLKVMQDSVSIAQYLCIVALIGLVWIYTLKSTLNENSLLLLDVTLLGLGFLILLLTQEMFSLNLLFHYFLNISFFTTGLYLLAPIYQTLTRSISSDSIWAVTVSLLVLHLFLHDYFGSTIRTLGAASNPTLTSCISLNASVVASVFIASRLPSSQLVFAMMLFSLQVFLFAPLVTYCIRKYSFRLHLWCSFGLMIATLALVYTLHLFLFVVFLGLLVFVTVVCPYWLIRMQEYKFEINGPWDEAKLCFDIID >ONH94371 pep chromosome:Prunus_persica_NCBIv2:G7:1778598:1781161:-1 gene:PRUPE_7G012900 transcript:ONH94371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGITERTSPAQAKWRKVAYGGMQPGFDDNHTDESFLEEMVTNANVGKRDMLKVMQDSVSIAQYLCIVALIGLVWIYTLKSTLNENSLLLLDVTLLGLGFLILLLTQEMFSLNLLFHYFLNISFFTTGLYLLAPIYQTLTRSISSDSIWAVTVSLLVLHLFLHDYFGSTIRTLGAASNPTLTSCISLNASVVASVFIASRLPSSQLVFAMMLFSLQVFLFAPLVTYCIRKYSFRLHLWCSFGLMIATLALVYTLHLFLFVVFLGLLVFVTVVCPYWLIRMQEYKFEINGPWDEAKLCFDIID >ONH94374 pep chromosome:Prunus_persica_NCBIv2:G7:1778599:1781161:-1 gene:PRUPE_7G012900 transcript:ONH94374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGITERTSPAQAKWRKVAYGGMQPGFDDNHTDESFLEEMVTNANVGKRDMLKVMQDSVSIAQYLCIVALIGLVWIYTLKSTLNENSLLLLDVTLLGLGFLILLLTQEMFSLNLLFHYFLNISFFTTGLYLLAPIYQTLTRSISSDSIWAVTVSLLVLHLFLHDYFGSTIRTLGAASNPTLTSCISLNASVVASVFIASRLPSSQLVFAMMLFSLQVFLFAPLVTYCIRKYSFRLHLWCSFGLMIATLALVYTLHLFLFVVFLGLLVFVTVVCPYWLIRMQEYKFEINGPWDEAKLCFDIID >ONH94373 pep chromosome:Prunus_persica_NCBIv2:G7:1778599:1780881:-1 gene:PRUPE_7G012900 transcript:ONH94373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGITERTSPAQAKWRKVAYGGMQPGFDDNHTDESFLEEMVTNANVGKRDMLKVMQDSVSIAQYLCIVALIGLVWIYTLKSTLNENSLLLLDVTLLGLGFLILLLTQEMFSLNLLFHYFLNISFFTTGLYLLAPIYQTLTRSISSDSIWAVTVSLLVLHLFLHDYFGSTIRTLGAASNPTLTSCISLNASVVASVFIASRLPSSQLVFAMMLFSLQVFLFAPLVTYCIRKYSFRLHLWCSFGLMIATLALVYTLHLFLFVVFLGLLVFVTVVCPYWLIRMQEYKFEINGPWDEAKLCFDIID >ONH94378 pep chromosome:Prunus_persica_NCBIv2:G7:1778585:1780758:-1 gene:PRUPE_7G012900 transcript:ONH94378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGITERTSPAQAKWRKVAYGGMQPGFDDNHTDESFLEEMVTNANVGKRDMLKVMQDSVSIAQYLCIVALIGLVWIYTLKSTLNENSLLLLDVTLLGLGFLILLLTQEMFSLNLLFHYFLNISFFTTGLYLLAPIYQTLTRSISSDSIWAVTVSLLVLHLFLHDYFGSTIRTLGAASNPTLTSCISLNASVVASVFIASRLPSSQLVFAMMLFSLQVFLFAPLVTYCIRKYSFRLHLWCSFGLMIATLALVYTLHLFLFVVFLGLLVFVTVVCPYWLIRMQEYKFEINGPWDEAKLCFDIID >ONH94379 pep chromosome:Prunus_persica_NCBIv2:G7:1778712:1779623:-1 gene:PRUPE_7G012900 transcript:ONH94379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGITERTSPAQAKWRKVAYGGMQPGFDDNHTDESFLEEMVTNANVGKRDMLKVMQDSVSIAQYLCIVALIGLVWIYTLKSTLNENSLLLLDVTLLGLGFLILLLTQEMFSLNLLFHYFLNISFFTTGLYLLAPIYQTLTRSISSDSIWAVTVSLLVLHLFLHDYFGSTIRTLGAASNPTLTSCISLNASVVASVFIASRLPSSQLVFAMMLFSLQVFLFAPLVTYCIRKYSFRLHLWCSFGLMIATLALVYTLHLFLFVVFLGLLVFVTVVCPYWLIRMQEYKFEINGPWDEAKLCFDIID >ONH97731 pep chromosome:Prunus_persica_NCBIv2:G7:19063213:19067730:-1 gene:PRUPE_7G207100 transcript:ONH97731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDSELCLGQN >ONH97740 pep chromosome:Prunus_persica_NCBIv2:G7:19063318:19067730:-1 gene:PRUPE_7G207100 transcript:ONH97740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDRFAE >ONH97730 pep chromosome:Prunus_persica_NCBIv2:G7:19062061:19067839:-1 gene:PRUPE_7G207100 transcript:ONH97730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDSELCLGQN >ONH97741 pep chromosome:Prunus_persica_NCBIv2:G7:19063318:19067730:-1 gene:PRUPE_7G207100 transcript:ONH97741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDRFAE >ONH97739 pep chromosome:Prunus_persica_NCBIv2:G7:19063318:19067730:-1 gene:PRUPE_7G207100 transcript:ONH97739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDRFAE >ONH97738 pep chromosome:Prunus_persica_NCBIv2:G7:19063318:19067730:-1 gene:PRUPE_7G207100 transcript:ONH97738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDRFAE >ONH97727 pep chromosome:Prunus_persica_NCBIv2:G7:19062035:19067839:-1 gene:PRUPE_7G207100 transcript:ONH97727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDRIE >ONH97735 pep chromosome:Prunus_persica_NCBIv2:G7:19062061:19067839:-1 gene:PRUPE_7G207100 transcript:ONH97735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDSELCLGQN >ONH97733 pep chromosome:Prunus_persica_NCBIv2:G7:19063213:19067730:-1 gene:PRUPE_7G207100 transcript:ONH97733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDSELCLGQN >ONH97728 pep chromosome:Prunus_persica_NCBIv2:G7:19062042:19067843:-1 gene:PRUPE_7G207100 transcript:ONH97728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDRIE >ONH97734 pep chromosome:Prunus_persica_NCBIv2:G7:19063213:19067730:-1 gene:PRUPE_7G207100 transcript:ONH97734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDSELCLGQN >ONH97725 pep chromosome:Prunus_persica_NCBIv2:G7:19062061:19067843:-1 gene:PRUPE_7G207100 transcript:ONH97725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDRIE >ONH97729 pep chromosome:Prunus_persica_NCBIv2:G7:19062061:19067842:-1 gene:PRUPE_7G207100 transcript:ONH97729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDRIE >ONH97726 pep chromosome:Prunus_persica_NCBIv2:G7:19062035:19067840:-1 gene:PRUPE_7G207100 transcript:ONH97726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDRIE >ONH97736 pep chromosome:Prunus_persica_NCBIv2:G7:19063318:19067730:-1 gene:PRUPE_7G207100 transcript:ONH97736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDRFAE >ONH97732 pep chromosome:Prunus_persica_NCBIv2:G7:19063213:19067730:-1 gene:PRUPE_7G207100 transcript:ONH97732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDSELCLGQN >ONH97737 pep chromosome:Prunus_persica_NCBIv2:G7:19063318:19067730:-1 gene:PRUPE_7G207100 transcript:ONH97737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANIETEKPSQTLIAFPPHCRRHLKSGTYRSLVRILSHCYEESQHSAADQNVPQELNQDNGSIEPVQATEKEVLENVELVGPGSMGPKNSAAEEVRVADESCNPNDFQEQLEQIKSILNVEENEDFSKLNEVMDIENILAIDFQDLDFDPEQILMDEPERMAKGNEDDTHRPSATSLDQNQSGSDKVALLTNQEEHDATVISESSVQMVSGTLYSSLHTIAMGESSNQRESNEQNSLLMTNRLDVEPIIQQKAMELETYVSSEGAKESFIPITEVGAIKEPENFSQKVPDSFDFSLNKDMVAEASKPAEYGKDKSSSRKNNDLEVEHEMQQKNKELEEIVCTRTAINSPSHMSEDVEEGEISSDDGMDDRSNDVLLHDTVVLEEKKVPEVQIFKDALDRKEHSCNVANDKDFGSNSFLVDMVDEGKIDRGAELRESSRTEMICRPGVVEGKNVKALKVDDSNPLLEGGRIEMQDNGVRMGIGCPAAGNHGITSEEKWDDGACNKRKRGPPTKEKKEKKKAKERKKRAEKNRELGVKRLKLHPIQKAKTVAYCRHFVRGRCHEGDKCKFSHDTVPLTKSKPCVHFARQSCMKGDDCQYDHELSKYPCNNFKENGFCSRGDRCMFSHKMPSNEDSATAACKPELKPPMVLNNSRSQQVNTGGASQQNVHALAYSTGIHSRNNTKQNVTQTVLKQPEPANKGISFPSIPNSSLVHSSMSKQGLAPDRNNGAKAGNQIDQSPLSSVQNSTEILKRTPPVTTPKGINFLSFGKASPDDARGKNQVSRQTSPCVSETVKNLNGMLKANQSAVLQGTHFNPFGKSSVASSSSEKPVSLPSFWNNGSNIDSCESQTTADKPQNSSGISLRLPPSPLTSGQSSDRIASRFCKETANSFQRGLFSTLAFAAKYKTQNMNQSIGSTGAQVDKETRNSSISDRFAE >ONH95120 pep chromosome:Prunus_persica_NCBIv2:G7:9128431:9133083:-1 gene:PRUPE_7G053000 transcript:ONH95120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSASTTASATPEGLQLCIFDLRRGQNEGQELDKILFFFPADLPFSAQFSVIGLSEGLITFTRIFSPEAACEAIEAERHSHVFYEAEPDIWMVMVVEKSKEFEAIWRSDALRKVLKEVHYLFVMFHGSIRALLDKDPGGGLTRSHLYYFIMDYLGAFQKRSPFDGCCWDFLVGKKLLLPSFRDCLKERGTVQMLTVGREAAIEVQSLVRVLESCAGNIPCHSLILFQDLLVSTTLSPDDTVNLFAYAVLRLTPRALSSGVSSWSYLRKGATSHVSSSSILARPGGVPEQFQGSDVNSPAGDNNYRVIRPLQQDKWSKGKDGFLVTDIWGAEASNLISATPTVLLHQTEERMYLCAHQHKSLTLIFLIPVSSVLIGDQGVSAVKQQVLENVSLKLLRVEEKLSKGWVGENAYHVSGYRYLLVDGDRNVSRASPPGKVTTLTKDSLLALSKLREEVDLEKSKAQSNNAGQEKELEVSIRAKNNAWVIARVTRGKELYMVLEKANETLLYASDAVEKFSNRYCNGAFSLN >ONH95115 pep chromosome:Prunus_persica_NCBIv2:G7:9128397:9133170:-1 gene:PRUPE_7G053000 transcript:ONH95115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSASTTASATPEGLQLCIFDLRRGQNEGQELDKILFFFPADLPFSAQFSVIGLSEGLITFTRIFSPEAACEAIEAERHSHVFYEAEPDIWMVMVVEKSKEFEAIWRSDALRKVLKEVHYLFVMFHGSIRALLDKDPGGGLTRSHLYYFIMDYLGDFLVGKKLLLPSFRDCLKERGTVQMLTVGREAAIEVQSLVRVLESCAGNIPCHSLILFQDLLVSTTLSPDDTVNLFAYAVLRLTPRALSSGVSSWSYLRKGATSHVSSSSILARPGGVPEQFQGSDVNSPAGDNNYRVIRPLQQDKWSKGKDGFLVTDIWGAEASNLISATPTVLLHQTEERMYLCAHQHKSLTLIFLIPVSSVLIGDQGVSAVKQQVLENVSLKLLRVEEKLSKGWVGENAYHVSGYRYLLVDGDRNVSRASPPGKVTTLTKDSLLALSKLREEVDLEKSKAQSNNAGQEKELEVSIRAKNNAWVIARVTRGKELYMVLEKANETLLYASDAVEKFSNRYCNGAFSLN >ONH95116 pep chromosome:Prunus_persica_NCBIv2:G7:9128426:9132850:-1 gene:PRUPE_7G053000 transcript:ONH95116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSASTTASATPEGLQLCIFDLRRGQNEGQELDKILFFFPADLPFSAQFSVIGLSEGLITFTRIFSPEAACEAIEAERHSHVFYEAEPDIWMVMVVEKSKEFEAIWRSDALRKVLKEVHYLFVMFHGSIRALLDKDPGGGLTRSHLYYFIMDYLGDFLVGKKLLLPSFRDCLKERGTVQMLTVGREAAIEVQSLVRVLESCAGNIPCHSLILFQDLLVSTTLSPDDTVNLFAYAVLRLTPRALSSGVSSWSYLRKGATSHVSSSSILARPGGVPEQFQGSDVNSPAGDNNYRVIRPLQQDKWSKGKDGFLVTDIWGAEASNLISATPTVLLHQTEERMYLCAHQHKSLTLIFLIPVSSVLIGDQGVSAVKQQVLENVSLKLLRVEEKLSKGWVGENAYHVSGYRYLLVDGDRNVSRASPPGKVTTLTKDSLLALSKLREEVDLEKSKAQSNNAGQEKELEVSIRAKNNAWVIARVTRGKELYMVLEKANETLLYASDAVEKFSNRYCNGAFSLN >ONH95119 pep chromosome:Prunus_persica_NCBIv2:G7:9128397:9133145:-1 gene:PRUPE_7G053000 transcript:ONH95119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSASTTASATPEGLQLCIFDLRRGQNEGQELDKILFFFPADLPFSAQFSVIGLSEGLITFTRIFSPEAACEAIEAERHSHVFYEAEPDIWMVMVVEKSKEFEAIWRSDALRKVLKEVHYLFVMFHGSIRALLDKDPGGGLTRSHLYYFIMDYLGAFQKRSPFDGCCWDFLVGKKLLLPSFRDCLKERGTVQMLTVGREAAIEVQSLVRVLESCAGNIPCHSLILFQDLLVSTTLSPDDTVNLFAYAVLRLTPRALSSGVSSWSYLRKGATSHVSSSSILARPGGVPEQFQGSDVNSPAGDNNYRVIRPLQQDKWSKGKDGFLVTDIWGAEASNLISATPTVLLHQTEERMYLCAHQHKSLTLIFLIPVSSVLIGDQGVSAVKQQVLENVSLKLLRVEEKLSKGWVGENAYHVSGYRYLLVDGDRNVSRASPPGKVTTLTKDSLLALSKLREEVDLEKSKAQSNNAGQEKELEVSIRAKNNAWVIARVTRGKELYMVLEKANETLLYASDAVEKFSNRYCNGAFSLN >ONH95114 pep chromosome:Prunus_persica_NCBIv2:G7:9128426:9133083:-1 gene:PRUPE_7G053000 transcript:ONH95114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSASTTASATPEGLQLCIFDLRRGQNEGQELDKILFFFPADLPFSAQFSVIGLSEGLITFTRIFSPEAACEAIEAERHSHVFYEAEPDIWMVMVVEKSKEFEAIWRSDALRKVLKEVHYLFVMFHGSIRALLDKDPGGGLTRSHLYYFIMDYLGDFLVGKKLLLPSFRDCLKERGTVQMLTVGREAAIEVQSLVRVLESCAGNIPCHSLILFQDLLVSTTLSPDDTVNLFAYAVLRLTPRALSSGVSSWSYLRKGATSHVSSSSILARPGGVPEQFQGSDVNSPAGDNNYRVIRPLQQDKWSKGKDGFLVTDIWGAEASNLISATPTVLLHQTEERMYLCAHQHKSLTLIFLIPVSSVLIGDQGVSAVKQQVLENVSLKLLRVEEKLSKGWVGENAYHVSGYRYLLVDGDRNVSRASPPGKVTTLTKDSLLALSKLREEVDLEKSKAQSNNAGQEKELEVSIRAKNNAWVIARVTRGKELYMVLEKANETLLYASDAVEKFSNRYCNGAFSLN >ONH95118 pep chromosome:Prunus_persica_NCBIv2:G7:9128431:9132850:-1 gene:PRUPE_7G053000 transcript:ONH95118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSASTTASATPEGLQLCIFDLRRGQNEGQELDKILFFFPADLPFSAQFSVIGLSEGLITFTRIFSPEAACEAIEAERHSHVFYEAEPDIWMVMVVEKSKEFEAIWRSDALRKVLKEVHYLFVMFHGSIRALLDKDPGGGLTRSHLYYFIMDYLGAFQKRSPFDGCCWDFLVGKKLLLPSFRDCLKERGTVQMLTVGREAAIEVQSLVRVLESCAGNIPCHSLILFQDLLVSTTLSPDDTVNLFAYAVLRLTPRALSSGVSSWSYLRKGATSHVSSSSILARPGGVPEQFQGSDVNSPAGDNNYRVIRPLQQDKWSKGKDGFLVTDIWGAEASNLISATPTVLLHQTEERMYLCAHQHKSLTLIFLIPVSSVLIGDQGVSAVKQQVLENVSLKLLRVEEKLSKGWVGENAYHVSGYRYLLVDGDRNVSRASPPGKVTTLTKDSLLALSKLREEVDLEKSKAQSNNAGQEKELEVSIRAKNNAWVIARVTRGKELYMVLEKANETLLYASDAVEKFSNRYCNGAFSLN >ONH95117 pep chromosome:Prunus_persica_NCBIv2:G7:9128426:9133075:-1 gene:PRUPE_7G053000 transcript:ONH95117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSASTTASATPEGLQLCIFDLRRGQNEGQELDKILFFFPADLPFSAQFSVIGLSEGLITFTRIFSPEAACEAIEAERHSHVFYEAEPDIWMVMVVEKSKEFEAIWRSDALRKVLKEVHYLFVMFHGSIRALLDKDPGGGLTRSHLYYFIMDYLGDFLVGKKLLLPSFRDCLKERGTVQMLTVGREAAIEVQSLVRVLESCAGNIPCHSLILFQDLLVSTTLSPDDTVNLFAYAVLRLTPRALSSGVSSWSYLRKGATSHVSSSSILARPGGVPEQFQGSDVNSPAGDNNYRVIRPLQQDKWSKGKDGFLVTDIWGAEASNLISATPTVLLHQTEERMYLCAHQHKSLTLIFLIPVSSVLIGDQGVSAVKQQVLENVSLKLLRVEEKLSKGWVGENAYHVSGYRYLLVDGDRNVSRASPPGKVTTLTKDSLLALSKLREEVDLEKSKAQSNNAGQEKELEVSIRAKNNAWVIARVTRGKELYMVLEKANETLLYASDAVEKFSNRYCNGAFSLN >ONH95121 pep chromosome:Prunus_persica_NCBIv2:G7:9128556:9133075:-1 gene:PRUPE_7G053000 transcript:ONH95121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSASTTASATPEGLQLCIFDLRRGQNEGQELDKILFFFPADLPFSAQFSVIGLSEGLITFTRIFSPEAACEAIEAERHSHVFYEAEPDIWMVMVVEKSKEFEAIWRSDALRKVLKEVHYLFVMFHGSIRALLDKDPGGGLTRSHLYYFIMDYLGAFQKRSPFDGCCWDFLVGKKLLLPSFRDCLKERGTVQMLTVGREAAIEVQSLVRVLESCAGNIPCHSLILFQDLLVSTTLSPDDTVNLFAYAVLRLTPRALSSGVSSWSYLRKGATSHVSSSSILARPGGVPEQFQGSDVNSPAGDNNYRVIRPLQQDKWSKGKDGFLVTDIWGAEASNLISATPTVLLHQTEERMYLCAHQHKSLTLIFLIPVSSVLIGDQGVSAVKQQVLENVSLKLLRVEEKLSKGWVGENAYHVSGYRYLLVDGDRNVSRASPPGKVTTLTKDSLLALSKLREEVDLEKSKAQSNNAGQEKELEVSIRAKNNAWVIARVTRGKELYMVLEKANETLLYASDAVEKFSNRYCNGAFSLN >ONH96698 pep chromosome:Prunus_persica_NCBIv2:G7:15957600:15969284:1 gene:PRUPE_7G146600 transcript:ONH96698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSSVQCVGQSDCPQHSSATTSVYDGESNCLEHEKQVHVADGRVDDFLPNVEGPQLVRQGQVQEAVDELHTSEGCQNGASCLDSQAEGQKSSSISHDFDDDDINEQNYCTEPCLTSDNGHLIVDSRENELPNNRREGESYLSESTWLESDESVALWVKWRGKWQTGIRCARADCPLSTLRAKPTHDRKKYFVIFFPHTRNYSWADTLLVRSINEYPHPIAYKTHKVGLKLVKDLTVARRFIMQKLAVGMLNVVDQFHTEALIETARDVAVWKEFAMEASRCNGYSDLGNMLRKLQSMISQSYINSDWQEKSYHLWVQQCQNASSAATVEVLKEELVESILWNEVQSLQNAPLQPTLGSEWKTWKHEVMKWFSTSHPVSNGVDFQQQSSDGPLATSLQTGRKRPKLEVRRAEAHASQVESRGSDEAIAIEIDSEFFNNRDTANAATLASEPYKEEDMKDIAPQTDTPSGVAHKWDEVVVEAGNSEFNRTKDVEFTPVNEVAAVKSSDPGSKNRQCIAYIESKGRQCVRWANDGDVYCCVHLSSRFMGNSTKAEGSHSSDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKDDMKTILSFPENTLKRKYEETIPSLETINCREIVLVGDVESPLQVDPVSVMAGDASYERKSLFEKSESPAKACNSSGELRCIGSCLHDNSNPCLESPKRHSLYCEKHLPSWLKRARNGKSRIISKEVFIDLLKDCHSQEQKFQLHQACELFYKLFKSILSLRNPVPKDVQFQWALSEASKNFGVGEIFTKLVCSEKERLRRIWGFNTDEDTGALSSVMEEQALLPWAVDDNHDSEKAIKCKVCSQEFVDDQALGTHWMDNHKKEAQWLFRGYACAICLDSFTNKKVLEAHVQERHRVQFVEQCMLLQCIPCRSHFGNTEQLWLHVLAVHTDDFRLSEASQPILSAGDDSPRKLELCNSASVENNSENLSGSRKFVCRFCGLKFDLLPDLGRHHQAAHMGPSLVSSRPSKRGIRYYAYRLKSGRLSRPRLKKSLAAASYRIRNRANATMKKRIQASKALGTGGINIQRHATEGASLCRLAESHCSAVARILFSEMQKTKRRPSNLDILSVARSACCKISLKAFLEGKYGVLPEHLYLKAAKLCSEHNIQVGWHQDGFICPKGCNAFKECLLSPLMPLPIGIVGHKFPPSSDPLDDKWEMDESHYIIDAYHLSQISFQKALVLCNDVSFGQELVPVVCVADEGHLDSYNALAHSSNDQNAGHSMPWESFTYIMKPLVHQSLGLDTESVQLGCVCPHSTCCPETCDHVYLFDNDYDDAKDIFGKPMRGRFPYDRKGRIILEEGYLVYECNQMCSCNRTCPNRVLQNGVRVKLEVFKTGKKGWAVRAGEAILRGTFVCEYIGEVLDELEANDRRNRYGKDGCGYLYEVDAHINDMSRLVEGQVNYVIDSTNYGNVSRFINHSCSPNLVNHQVLVESMDSQRAHIGLYANRDIALGEELTYDYRYKLLPGEGYPCHCGASTCRGRLY >ONH96696 pep chromosome:Prunus_persica_NCBIv2:G7:15957600:15969284:1 gene:PRUPE_7G146600 transcript:ONH96696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSSVQCVGQSDCPQHSSATTSVYDGESNCLEHEKQVHVADGRVDDFLPNVEGPQLVRQGQVQEAVDELHTSEGCQNGASCLDSQAEGQKSSSISHDFDDDDINEQNYCTEPCLTSDNGHLIVDSRENELPNNRREGESYLSESTWLESDESVALWVKWRGKWQTGIRCARADCPLSTLRAKPTHDRKKYFVIFFPHTRNYSWADTLLVRSINEYPHPIAYKTHKVGLKLVKDLTVARRFIMQKLAVGMLNVVDQFHTEALIETARDVAVWKEFAMEASRCNGYSDLGNMLRKLQSMISQSYINSDWQEKSYHLWVQQCQNASSAATVEVLKEELVESILWNEVQSLQNAPLQPTLGSEWKTWKHEVMKWFSTSHPVSNGVDFQQQSSDGPLATSLQTGRKRPKLEVRRAEAHASQVESRGSDEAIAIEIDSEFFNNRDTANAATLASEPYKEEDMKDIAPQTDTPSGVAHKWDEVVVEAGNSEFNRTKDVEFTPVNEVAAVKSSDPGSKNRQCIAYIESKGRQCVRWANDGDVYCCVHLSSRFMGNSTKAEGSHSSDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKDDMKTILSFPENTLKRKYEETIPSLETINCREIVLVGDVESPLQVDPVSVMAGDASYERKSLFEKSESPAKACNSSGELRCIGSCLHDNSNPCLESPKRHSLYCEKHLPSWLKRARNGKSRIISKEVFIDLLKDCHSQEQKFQLHQACELFYKLFKSILSLRNPVPKDVQFQWALSEASKNFGVGEIFTKLVCSEKERLRRIWGFNTDEDTGALSSVMEEQALLPWAVDDNHDSEKAIKCKVCSQEFVDDQALGTHWMDNHKKEAQWLFRGYACAICLDSFTNKKVLEAHVQERHRVQFVEQCMLLQCIPCRSHFGNTEQLWLHVLAVHTDDFRLSEASQPILSAGDDSPRKLELCNSASVENNSENLSGSRKFVCRFCGLKFDLLPDLGRHHQAAHMGPSLVSSRPSKRGIRYYAYRLKSGRLSRPRLKKSLAAASYRIRNRANATMKKRIQASKALGTGGINIQRHATEGASLCRLAESHCSAVARILFSEMQKTKRRPSNLDILSVARSACCKISLKAFLEGKYGVLPEHLYLKAAKLCSEHNIQVGWHQDGFICPKGCNAFKECLLSPLMPLPIGIVGHKFPPSSDPLDDKWEMDESHYIIDAYHLSQISFQKALVLCNDVSFGQELVPVVCVADEGHLDSYNALAHSSNDQNAGHSMPWESFTYIMKPLVHQSLGLDTESVQLGCVCPHSTCCPETCDHVYLFDNDYDDAKDIFGKPMRGRFPYDRKGRIILEEGYLVYECNQMCSCNRTCPNRVLQNGVRVKLEVFKTGKKGWAVRAGEAILRGTFVCEYIGEVLDELEANDRRNRYGKDGCGYLYEVDAHINDMSRLVEGQVNYVIDSTNYGNVSRFINHSCSPNLVNHQVLVESMDSQRAHIGLYANRDIALGEELTYDYRYKLLPGEGYPCHCGASTCRGRLY >ONH96701 pep chromosome:Prunus_persica_NCBIv2:G7:15957600:15969337:1 gene:PRUPE_7G146600 transcript:ONH96701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSSVQCVGQSDCPQHSSATTSVYDGESNCLEHEKQVHVADGRVDDFLPNVEGPQLVRQGQVQEAVDELHTSEGCQNGASCLDSQAEGQKSSSISHDFDDDDINEQNYCTEPCLTSDNGHLIVDSRENELPNNRREGESYLSESTWLESDESVALWVKWRGKWQTGIRCARADCPLSTLRAKPTHDRKKYFVIFFPHTRNYSWADTLLVRSINEYPHPIAYKTHKVGLKLVKDLTVARRFIMQKLAVGMLNVVDQFHTEALIETARDVAVWKEFAMEASRCNGYSDLGNMLRKLQSMISQSYINSDWQEKSYHLWVQQCQNASSAATVEVLKEELVESILWNEVQSLQNAPLQPTLGSEWKTWKHEVMKWFSTSHPVSNGVDFQQQSSDGPLATSLQTGRKRPKLEVRRAEAHASQVESRGSDEAIAIEIDSEFFNNRDTANAATLASEPYKEEDMKDIAPQTDTPSGVAHKWDEVVVEAGNSEFNRTKDVEFTPVNEVAAVKSSDPGSKNRQCIAYIESKGRQCVRWANDGDVYCCVHLSSRFMGNSTKAEGSHSSDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKDDMKTILSFPENTLKRKYEETIPSLETINCREIVLVGDVESPLQVDPVSVMAGDASYERKSLFEKSESPAKACNSSGELRCIGSCLHDNSNPCLESPKRHSLYCEKHLPSWLKRARNGKSRIISKEVFIDLLKDCHSQEQKFQLHQACELFYKLFKSILSLRNPVPKDVQFQWALSEASKNFGVGEIFTKLVCSEKERLRRIWGFNTDEDTGALSSVMEEQALLPWAVDDNHDSEKAIKCKVCSQEFVDDQALGTHWMDNHKKEAQWLFRGYACAICLDSFTNKKVLEAHVQERHRVQFVEQCMLLQCIPCRSHFGNTEQLWLHVLAVHTDDFRLSEASQPILSAGDDSPRKLELCNSASVENNSENLSGSRKFVCRFCGLKFDLLPDLGRHHQAAHMGPSLVSSRPSKRGIRYYAYRLKSGRLSRPRLKKSLAAASYRIRNRANATMKKRIQASKALGTGGINIQRHATEGASLCRLAESHCSAVARILFSEMQKTKRRPSNLDILSVARSACCKISLKAFLEGKYGVLPEHLYLKAAKLCSEHNIQVGWHQDGFICPKGCNAFKECLLSPLMPLPIGIVGHKFPPSSDPLDDKWEMDESHYIIDAYHLSQISFQKALVLCNDVSFGQELVPVVCVADEGHLDSYNALAHSSNDQNAGHSMPWESFTYIMKPLVHQSLGLDTESVQLGCVCPHSTCCPETCDHVYLFDNDYDDAKDIFGKPMRGRFPYDRKGRIILEEGYLVYECNQMCSCNRTCPNRVLQNGVRVKLEVFKTGKKGWAVRAGEAILRGTFVCEYIGEVLDELEANDRRNRYGKDGCGYLYEVDAHINDMSRLVEGQVNYVIDSTNYGNVSRFINHSCSPNLVNHQVLVESMDSQRAHIGLYANRDIALGEELTYDYRYKLLPGEGYPCHCGASTCRGRLY >ONH96700 pep chromosome:Prunus_persica_NCBIv2:G7:15957600:15969284:1 gene:PRUPE_7G146600 transcript:ONH96700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSSVQCVGQSDCPQHSSATTSVYDGESNCLEHEKQVHVADGRVDDFLPNVEGPQLVRQGQVQEAVDELHTSEGCQNGASCLDSQAEGQKSSSISHDFDDDDINEQNYCTEPCLTSDNGHLIVDSRENELPNNRREGESYLSESTWLESDESVALWVKWRGKWQTGIRCARADCPLSTLRAKPTHDRKKYFVIFFPHTRNYSWADTLLVRSINEYPHPIAYKTHKVGLKLVKDLTVARRFIMQKLAVGMLNVVDQFHTEALIETARDVAVWKEFAMEASRCNGYSDLGNMLRKLQSMISQSYINSDWQEKSYHLWVQQCQNASSAATVEVLKEELVESILWNEVQSLQNAPLQPTLGSEWKTWKHEVMKWFSTSHPVSNGVDFQQQSSDGPLATSLQTGRKRPKLEVRRAEAHASQVESRGSDEAIAIEIDSEFFNNRDTANAATLASEPYKEEDMKDIAPQTDTPSGVAHKWDEVVVEAGNSEFNRTKDVEFTPVNEVAAVKSSDPGSKNRQCIAYIESKGRQCVRWANDGDVYCCVHLSSRFMGNSTKAEGSHSSDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKDDMKTILSFPENTLKRKYEETIPSLETINCREIVLVGDVESPLQVDPVSVMAGDASYERKSLFEKSESPAKACNSSGELRCIGSCLHDNSNPCLESPKRHSLYCEKHLPSWLKRARNGKSRIISKEVFIDLLKDCHSQEQKFQLHQACELFYKLFKSILSLRNPVPKDVQFQWALSEASKNFGVGEIFTKLVCSEKERLRRIWGFNTDEDTGALSSVMEEQALLPWAVDDNHDSEKAIKCKVCSQEFVDDQALGTHWMDNHKKEAQWLFRGYACAICLDSFTNKKVLEAHVQERHRVQFVEQCMLLQCIPCRSHFGNTEQLWLHVLAVHTDDFRLSEASQPILSAGDDSPRKLELCNSASVENNSENLSGSRKFVCRFCGLKFDLLPDLGRHHQAAHMGPSLVSSRPSKRGIRYYAYRLKSGRLSRPRLKKSLAAASYRIRNRANATMKKRIQASKALGTGGINIQRHATEGASLCRLAESHCSAVARILFSEMQKTKRRPSNLDILSVARSACCKISLKAFLEGKYGVLPEHLYLKAAKLCSEHNIQVGWHQDGFICPKGCNAFKECLLSPLMPLPIGIVGHKFPPSSDPLDDKWEMDESHYIIDAYHLSQISFQKALVLCNDVSFGQELVPVVCVADEGHLDSYNALAHSSNDQNAGHSMPWESFTYIMKPLVHQSLGLDTESVQLGCVCPHSTCCPETCDHVYLFDNDYDDAKDIFGKPMRGRFPYDRKGRIILEEGYLVYECNQMCSCNRTCPNRVLQNGVRVKLEVFKTGKKGWAVRAGEAILRGTFVCEYIGEVLDELEANDRRNRYGKDGCGYLYEVDAHINDMSRLVEGQVNYVIDSTNYGNVSRFINHSCSPNLVNHQVLVESMDSQRAHIGLYANRDIALGEELTYDYRYKLLPGEGYPCHCGASTCRGRLY >ONH96699 pep chromosome:Prunus_persica_NCBIv2:G7:15957499:15969337:1 gene:PRUPE_7G146600 transcript:ONH96699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSSVQCVGQSDCPQHSSATTSVYDGESNCLEHEKQVHVADGRVDDFLPNVEGPQLVRQGQVQEAVDELHTSEGCQNGASCLDSQAEGQKSSSISHDFDDDDINEQNYCTEPCLTSDNGHLIVDSRENELPNNRREGESYLSESTWLESDESVALWVKWRGKWQTGIRCARADCPLSTLRAKPTHDRKKYFVIFFPHTRNYSWADTLLVRSINEYPHPIAYKTHKVGLKLVKDLTVARRFIMQKLAVGMLNVVDQFHTEALIETARDVAVWKEFAMEASRCNGYSDLGNMLRKLQSMISQSYINSDWQEKSYHLWVQQCQNASSAATVEVLKEELVESILWNEVQSLQNAPLQPTLGSEWKTWKHEVMKWFSTSHPVSNGVDFQQQSSDGPLATSLQTGRKRPKLEVRRAEAHASQVESRGSDEAIAIEIDSEFFNNRDTANAATLASEPYKEEDMKDIAPQTDTPSGVAHKWDEVVVEAGNSEFNRTKDVEFTPVNEVAAVKSSDPGSKNRQCIAYIESKGRQCVRWANDGDVYCCVHLSSRFMGNSTKAEGSHSSDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKDDMKTILSFPENTLKRKYEETIPSLETINCREIVLVGDVESPLQVDPVSVMAGDASYERKSLFEKSESPAKACNSSGELRCIGSCLHDNSNPCLESPKRHSLYCEKHLPSWLKRARNGKSRIISKEVFIDLLKDCHSQEQKFQLHQACELFYKLFKSILSLRNPVPKDVQFQWALSEASKNFGVGEIFTKLVCSEKERLRRIWGFNTDEDTGALSSVMEEQALLPWAVDDNHDSEKAIKCKVCSQEFVDDQALGTHWMDNHKKEAQWLFRGYACAICLDSFTNKKVLEAHVQERHRVQFVEQCMLLQCIPCRSHFGNTEQLWLHVLAVHTDDFRLSEASQPILSAGDDSPRKLELCNSASVENNSENLSGSRKFVCRFCGLKFDLLPDLGRHHQAAHMGPSLVSSRPSKRGIRYYAYRLKSGRLSRPRLKKSLAAASYRIRNRANATMKKRIQASKALGTGGINIQRHATEGASLCRLAESHCSAVARILFSEMQKTKRRPSNLDILSVARSACCKISLKAFLEGKYGVLPEHLYLKAAKLCSEHNIQVGWHQDGFICPKGCNAFKECLLSPLMPLPIGIVGHKFPPSSDPLDDKWEMDESHYIIDAYHLSQISFQKALVLCNDVSFGQELVPVVCVADEGHLDSYNALAHSSNDQNAGHSMPWESFTYIMKPLVHQSLGLDTESVQLGCVCPHSTCCPETCDHVYLFDNDYDDAKDIFGKPMRGRFPYDRKGRIILEEGYLVYECNQMCSCNRTCPNRVLQNGVRVKLEVFKTGKKGWAVRAGEAILRGTFVCEYIGEVLDELEANDRRNRYGKDGCGYLYEVDAHINDMSRLVEGQVNYVIDSTNYGNVSRFINHSCSPNLVNHQVLVESMDSQRAHIGLYANRDIALGEELTYDYRYKLLPGEGYPCHCGASTCRGRLY >ONH96694 pep chromosome:Prunus_persica_NCBIv2:G7:15957600:15969284:1 gene:PRUPE_7G146600 transcript:ONH96694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSSVQCVGQSDCPQHSSATTSVYDGESNCLEHEKQVHVADGRVDDFLPNVEGPQLVRQGQVQEAVDELHTSEGCQNGASCLDSQAEGQKSSSISHDFDDDDINEQNYCTEPCLTSDNGHLIVDSRENELPNNRREGESYLSESTWLESDESVALWVKWRGKWQTGIRCARADCPLSTLRAKPTHDRKKYFVIFFPHTRNYSWADTLLVRSINEYPHPIAYKTHKVGLKLVKDLTVARRFIMQKLAVGMLNVVDQFHTEALIETARDVAVWKEFAMEASRCNGYSDLGNMLRKLQSMISQSYINSDWQEKSYHLWVQQCQNASSAATVEVLKEELVESILWNEVQSLQNAPLQPTLGSEWKTWKHEVMKWFSTSHPVSNGVDFQQQSSDGPLATSLQTGRKRPKLEVRRAEAHASQVESRGSDEAIAIEIDSEFFNNRDTANAATLASEPYKEEDMKDIAPQTDTPSGVAHKWDEVVVEAGNSEFNRTKDVEFTPVNEVAAVKSSDPGSKNRQCIAYIESKGRQCVRWANDGDVYCCVHLSSRFMGNSTKAEGSHSSDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKDDMKTILSFPENTLKRKYEETIPSLETINCREIVLVGDVESPLQVDPVSVMAGDASYERKSLFEKSESPAKACNSSGELRCIGSCLHDNSNPCLESPKRHSLYCEKHLPSWLKRARNGKSRIISKEVFIDLLKDCHSQEQKFQLHQACELFYKLFKSILSLRNPVPKDVQFQWALSEASKNFGVGEIFTKLVCSEKERLRRIWGFNTDEDTGALSSVMEEQALLPWAVDDNHDSEKAIKCKVCSQEFVDDQALGTHWMDNHKKEAQWLFRGYACAICLDSFTNKKVLEAHVQERHRVQFVEQCMLLQCIPCRSHFGNTEQLWLHVLAVHTDDFRLSEASQPILSAGDDSPRKLELCNSASVENNSENLSGSRKFVCRFCGLKFDLLPDLGRHHQAAHMGPSLVSSRPSKRGIRYYAYRLKSGRLSRPRLKKSLAAASYRIRNRANATMKKRIQASKALGTGGINIQRHATEGASLCRLAESHCSAVARILFSEMQKTKRRPSNLDILSVARSACCKISLKAFLEGKYGVLPEHLYLKAAKLCSEHNIQVGWHQDGFICPKGCNAFKECLLSPLMPLPIGIVGHKFPPSSDPLDDKWEMDESHYIIDAYHLSQISFQKALVLCNDVSFGQELVPVVCVADEGHLDSYNALAHSSNDQNAGHSMPWESFTYIMKPLVHQSLGLDTESVQLGCVCPHSTCCPETCDHVYLFDNDYDDAKDIFGKPMRGRFPYDRKGRIILEEGYLVYECNQMCSCNRTCPNRVLQNGVRVKLEVFKTGKKGWAVRAGEAILRGTFVCEYIGEVLDELEANDRRNRYGKDGCGYLYEVDAHINDMSRLVEGQVNYVIDSTNYGNVSRFINHSCSPNLVNHQVLVESMDSQRAHIGLYANRDIALGEELTYDYRYKLLPGEGYPCHCGASTCRGRLY >ONH96695 pep chromosome:Prunus_persica_NCBIv2:G7:15957600:15969284:1 gene:PRUPE_7G146600 transcript:ONH96695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSSVQCVGQSDCPQHSSATTSVYDGESNCLEHEKQVHVADGRVDDFLPNVEGPQLVRQGQVQEAVDELHTSEGCQNGASCLDSQAEGQKSSSISHDFDDDDINEQNYCTEPCLTSDNGHLIVDSRENELPNNRREGESYLSESTWLESDESVALWVKWRGKWQTGIRCARADCPLSTLRAKPTHDRKKYFVIFFPHTRNYSWADTLLVRSINEYPHPIAYKTHKVGLKLVKDLTVARRFIMQKLAVGMLNVVDQFHTEALIETARDVAVWKEFAMEASRCNGYSDLGNMLRKLQSMISQSYINSDWQEKSYHLWVQQCQNASSAATVEVLKEELVESILWNEVQSLQNAPLQPTLGSEWKTWKHEVMKWFSTSHPVSNGVDFQQQSSDGPLATSLQTGRKRPKLEVRRAEAHASQVESRGSDEAIAIEIDSEFFNNRDTANAATLASEPYKEEDMKDIAPQTDTPSGVAHKWDEVVVEAGNSEFNRTKDVEFTPVNEVAAVKSSDPGSKNRQCIAYIESKGRQCVRWANDGDVYCCVHLSSRFMGNSTKAEGSHSSDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKDDMKTILSFPENTLKRKYEETIPSLETINCREIVLVGDVESPLQVDPVSVMAGDASYERKSLFEKSESPAKACNSSGELRCIGSCLHDNSNPCLESPKRHSLYCEKHLPSWLKRARNGKSRIISKEVFIDLLKDCHSQEQKFQLHQACELFYKLFKSILSLRNPVPKDVQFQWALSEASKNFGVGEIFTKLVCSEKERLRRIWGFNTDEDTGALSSVMEEQALLPWAVDDNHDSEKAIKCKVCSQEFVDDQALGTHWMDNHKKEAQWLFRGYACAICLDSFTNKKVLEAHVQERHRVQFVEQCMLLQCIPCRSHFGNTEQLWLHVLAVHTDDFRLSEASQPILSAGDDSPRKLELCNSASVENNSENLSGSRKFVCRFCGLKFDLLPDLGRHHQAAHMGPSLVSSRPSKRGIRYYAYRLKSGRLSRPRLKKSLAAASYRIRNRANATMKKRIQASKALGTGGINIQRHATEGASLCRLAESHCSAVARILFSEMQKTKRRPSNLDILSVARSACCKISLKAFLEGKYGVLPEHLYLKAAKLCSEHNIQVGWHQDGFICPKGCNAFKECLLSPLMPLPIGIVGHKFPPSSDPLDDKWEMDESHYIIDAYHLSQISFQKALVLCNDVSFGQELVPVVCVADEGHLDSYNALAHSSNDQNAGHSMPWESFTYIMKPLVHQSLGLDTESVQLGCVCPHSTCCPETCDHVYLFDNDYDDAKDIFGKPMRGRFPYDRKGRIILEEGYLVYECNQMCSCNRTCPNRVLQNGVRVKLEVFKTGKKGWAVRAGEAILRGTFVCEYIGEVLDELEANDRRNRYGKDGCGYLYEVDAHINDMSRLVEGQVNYVIDSTNYGNVSRFINHSCSPNLVNHQVLVESMDSQRAHIGLYANRDIALGEELTYDYRYKLLPGEGYPCHCGASTCRGRLY >ONH96697 pep chromosome:Prunus_persica_NCBIv2:G7:15957600:15969284:1 gene:PRUPE_7G146600 transcript:ONH96697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSSVQCVGQSDCPQHSSATTSVYDGESNCLEHEKQVHVADGRVDDFLPNVEGPQLVRQGQVQEAVDELHTSEGCQNGASCLDSQAEGQKSSSISHDFDDDDINEQNYCTEPCLTSDNGHLIVDSRENELPNNRREGESYLSESTWLESDESVALWVKWRGKWQTGIRCARADCPLSTLRAKPTHDRKKYFVIFFPHTRNYSWADTLLVRSINEYPHPIAYKTHKVGLKLVKDLTVARRFIMQKLAVGMLNVVDQFHTEALIETARDVAVWKEFAMEASRCNGYSDLGNMLRKLQSMISQSYINSDWQEKSYHLWVQQCQNASSAATVEVLKEELVESILWNEVQSLQNAPLQPTLGSEWKTWKHEVMKWFSTSHPVSNGVDFQQQSSDGPLATSLQTGRKRPKLEVRRAEAHASQVESRGSDEAIAIEIDSEFFNNRDTANAATLASEPYKEEDMKDIAPQTDTPSGVAHKWDEVVVEAGNSEFNRTKDVEFTPVNEVAAVKSSDPGSKNRQCIAYIESKGRQCVRWANDGDVYCCVHLSSRFMGNSTKAEGSHSSDTPMCEGTTVLGTRCKHRSLYGSSFCKKHRPKDDMKTILSFPENTLKRKYEETIPSLETINCREIVLVGDVESPLQVDPVSVMAGDASYERKSLFEKSESPAKACNSSGELRCIGSCLHDNSNPCLESPKRHSLYCEKHLPSWLKRARNGKSRIISKEVFIDLLKDCHSQEQKFQLHQACELFYKLFKSILSLRNPVPKDVQFQWALSEASKNFGVGEIFTKLVCSEKERLRRIWGFNTDEDTGALSSVMEEQALLPWAVDDNHDSEKAIKCKVCSQEFVDDQALGTHWMDNHKKEAQWLFRGYACAICLDSFTNKKVLEAHVQERHRVQFVEQCMLLQCIPCRSHFGNTEQLWLHVLAVHTDDFRLSEASQPILSAGDDSPRKLELCNSASVENNSENLSGSRKFVCRFCGLKFDLLPDLGRHHQAAHMGPSLVSSRPSKRGIRYYAYRLKSGRLSRPRLKKSLAAASYRIRNRANATMKKRIQASKALGTGGINIQRHATEGASLCRLAESHCSAVARILFSEMQKTKRRPSNLDILSVARSACCKISLKAFLEGKYGVLPEHLYLKAAKLCSEHNIQVGWHQDGFICPKGCNAFKECLLSPLMPLPIGIVGHKFPPSSDPLDDKWEMDESHYIIDAYHLSQISFQKALVLCNDVSFGQELVPVVCVADEGHLDSYNALAHSSNDQNAGHSMPWESFTYIMKPLVHQSLGLDTESVQLGCVCPHSTCCPETCDHVYLFDNDYDDAKDIFGKPMRGRFPYDRKGRIILEEGYLVYECNQMCSCNRTCPNRVLQNGVRVKLEVFKTGKKGWAVRAGEAILRGTFVCEYIGEVLDELEANDRRNRYGKDGCGYLYEVDAHINDMSRLVEGQVNYVIDSTNYGNVSRFINHSCSPNLVNHQVLVESMDSQRAHIGLYANRDIALGEELTYDYRYKLLPGEGYPCHCGASTCRGRLY >ONH97385 pep chromosome:Prunus_persica_NCBIv2:G7:18051123:18053168:-1 gene:PRUPE_7G186900 transcript:ONH97385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQQQHPHHPHHLHQQQQQQGGAQLFNSPFGDTTLTKVFVGGLAWETQSDTLRRHFEQFGDILEAVVITDKNTARSKGYGFVTFRDPDSARRACADPNPVIDGRRANCNLASLGRPRPSFPFHGQLRSAAPILGRVQTPRAAYMGSPNPAYHHPLPHGYQPGFVYPSYGYTAYGPEYVYPQGAYSPYMNQQYLQIYGVPGTVNPGAYPYGQLGHSLSGTHGYAAVQSYGVPGHHIMQFGGSNVSGASPASIPTIQTPYPTGLAAPAPGQAQLILPTNSPQFTSGSDQTAS >ONH97384 pep chromosome:Prunus_persica_NCBIv2:G7:18050908:18053772:-1 gene:PRUPE_7G186900 transcript:ONH97384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQQQHPHHPHHLHQQQQQQGGAQLFNSPFGDTTLTKVFVGGLAWETQSDTLRRHFEQFGDILEAVVITDKNTARSKGYGFVTFRDPDSARRACADPNPVIDGRRANCNLASLGRPRPSFPFHGQLRSAAPILGRVQTPRAAYMGSPNPAYHHPLPHGYQPGFVYPSYGYTAYGPEYVYPQGAYSPYMNQQYLQIYGVPGTVNPGAYPYGQLGHSLSGTHGYAAVQSYGVPGHHIMQFGGSNVSGASPASIPTIQTPYPTGLAAPAPGQAQLILPTNSPQFTSGSDQTAS >ONH97383 pep chromosome:Prunus_persica_NCBIv2:G7:18050245:18053772:-1 gene:PRUPE_7G186900 transcript:ONH97383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQQQHPHHPHHLHQQQQQQGGAQLFNSPFGDTTLTKVFVGGLAWETQSDTLRRHFEQFGDILEAVVITDKNTARSKGYGFVTFRDPDSARRACADPNPVIDGRRANCNLASLGRPRPSFPFHGQLRSAAPILGRVQTPRAAYMGSPNPAYHHPLPHGYQPGFVYPSYGYTAYGPEYVYPQGAYSPYMNQQYLQIYGVPGTVNPGAYPYGQLGHSLSGTHGYAAVQSYGVPGHHIMQFGGSNVSGASPASIPTIQTPYPTGLAAPAPGQAQLILPTNSPQFTSGSDQTAS >ONH97523 pep chromosome:Prunus_persica_NCBIv2:G7:18477561:18481715:-1 gene:PRUPE_7G194700 transcript:ONH97523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLKQQQAMMQQSLYQHPAILAAPQIEPILSGNLPPGFDSSTCRSVYVGNIHPQVTEPLLQEVFSSTGPLEGCKLIRKDKSSYGFVDYFDRRSAAYAIVTLNGRFGQPIKVNWAYASSQREDTSGHFNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQSAINDLNGKWLGSRQIRCNWATKGATSNDDKQSSDSKSVVELTNGTSEDGQEKPNEDAPENNPQYTTVYVGNLAPEVTSVDLHRHFHSLGAGTIEDVRVQRDKGFGFVRFSTHSEAAVAIQLGNARFLCGKPIKCSWGSKPTPPGTSSTPLPPPAAAQMPGFSAADLAAYERQMALSKMGGAQALMLPQGQHALKAAMGMGAGASQAIYDGGFQNVATTQQLMYYQ >ONH97524 pep chromosome:Prunus_persica_NCBIv2:G7:18477522:18481884:-1 gene:PRUPE_7G194700 transcript:ONH97524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLKQQQAMMQQSLYQHPAILAAPQIEPILSGNLPPGFDSSTCRSVYVGNIHPQVTEPLLQEVFSSTGPLEGCKLIRKDKSSYGFVDYFDRRSAAYAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHFNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQSAINDLNGKWLGSRQIRCNWATKGATSNDDKQSSDSKSVVELTNGTSEDGQEKPNEDAPENNPQYTTVYVGNLAPEVTSVDLHRHFHSLGAGTIEDVRVQRDKGFGFVRFSTHSEAAVAIQLGNARFLCGKPIKCSWGSKPTPPGTSSTPLPPPAAAQMPGFSAADLAAYERQMALSKMGGAQALMLPQGQHALKAAMGMGAGASQAIYDGGFQNVATTQQLMYYQ >ONH96885 pep chromosome:Prunus_persica_NCBIv2:G7:16550072:16551429:-1 gene:PRUPE_7G157300 transcript:ONH96885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPGSEGAAAAPLAQWRSDFSRAFQYYLDRSTPHTVNRWLGTLAVAMIYILRVYYVQGFYVISYGLGIYVLNLLIGFFSPKVDPELEALDGASLPTKGADEFRPFVRRLPEFKFWYSITKAFIVAFMLTFISVLDVPVFWPILLCYWIVLFVLTMKRQILHMIKYKYVPFDIGKQRYTGKK >ONH96884 pep chromosome:Prunus_persica_NCBIv2:G7:16549865:16551443:-1 gene:PRUPE_7G157300 transcript:ONH96884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPGSEGAAAAPLAQWRSDFSRAFQYYLDRSTPHTVNRWLGTLAVAMIYILRVYYVQGFYVISYGLGIYVLNLLIGFFSPKVDPELEALDGASLPTKGADEFRPFVRRLPEFKFWYSITKAFIVAFMLTFISVLDVPVFWPILLCYWIVLFVLTMKRQILHMIKYKYVPFDIGKQVTVHWEEVTEWEQLFCKSKNKNA >ONH96886 pep chromosome:Prunus_persica_NCBIv2:G7:16549448:16551864:-1 gene:PRUPE_7G157300 transcript:ONH96886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPGSEGAAAAPLAQWRSDFSRAFQYYLDRSTPHTVNRWLGTLAVAMIYILRVYYVQGFYVISYGLGIYVLNLLIGFFSPKVDPELEALDGASLPTKGADEFRPFVRRLPEFKFWYSITKAFIVAFMLTFISVLDVPVFWPILLCYWIVLFVLTMKRQILHMIKYKYVPFDIGKQRYTGKK >ONH94748 pep chromosome:Prunus_persica_NCBIv2:G7:5154774:5159379:1 gene:PRUPE_7G027300 transcript:ONH94748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSASNDAVNFLGLKEESMVDPFLVEALQNPRHRLTILRMELDIQRFLHNPDEQLFEFQHFPTSYLRLAAHRVSQHYGLQTMVQDSGSDGQGNRILVRKTGERKYPAIQLSEIPVKQSENNKPEQIKTVIKPRSNKNNMNETHGAGIKRSPVRSVEERKEEYNRARARIFSSPSSSDSGDTTYQVPPDVKKASLSRDENENSRNSMIDPEKNVNIKDLGTSSRVAIFRDREKDRTDPDYDRSYERYVRSLPTNQRFNLAPVNMQKLQPQFVQYDTVFSQMPRAQASISYGPPSSPAMSPFCAMGFNQTYRDATYMQWPSAAMMYSHSYDQFRQAVIQAPFCQHPLSFDYSQNH >ONH94749 pep chromosome:Prunus_persica_NCBIv2:G7:5155186:5159379:1 gene:PRUPE_7G027300 transcript:ONH94749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDIQRFLHNPDEQLFEFQHFPTSYLRLAAHRVSQHYGLQTMVQDSGSDGQGNRILVRKTGERKYPAIQLSEIPVKQSENNKPEQIKTVIKPRSNKNNMNETHGAGIKRSPVRSVEERKEEYNRARARIFSSPSSSDSGDTTYQVPPDVKKASLSRDENENSRNSMIDPEKNVNIKDLGTSSRVAIFRDREKDRTDPDYDRSYERYVRSLPTNQRFNLAPVNMQKLQPQFVQYDTVFSQMPRAQASISYGPPSSPAMSPFCAMGFNQTYRDATYMQWPSAAMMYSHSYDQFRQAVIQAPFCQHPLSFDYSQNH >ONH96907 pep chromosome:Prunus_persica_NCBIv2:G7:16621447:16622072:-1 gene:PRUPE_7G158800 transcript:ONH96907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINGLNPRPYLKKKNCRMKRGKSEDQGKRCLVGQLVKVYNIVFVRNGLKVDLLASRRLFFQIKFCPYILQFALGSLKVLKTQNSCTKFSFVPIFLASLNSV >ONH97923 pep chromosome:Prunus_persica_NCBIv2:G7:19654234:19656298:-1 gene:PRUPE_7G218500 transcript:ONH97923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATRWFKGLFGIKTKDKEYKANSSSDFKDTKGSSFGREDATLLCHNPATIPPNISPSEAAWLRSYYNETEEEQNKHAIAVAAATAAAADAAVAAANAAVAVVRLTSHGRGTMFGGGRERWAAVKVQTVFRGYLARKALRALKGLVKLQALVRGYLVRKQATATLHSMQALIRAQATVRSHKTRGLINTTTNNIEANSRFEIRARKSMERFDDTGSEHTAPSHSRRLSASNLDANIDESPKIVEVDTGRPKSRSRRTNTCVSEFSDDPTYQQALSSPIPCRGPARLSIPDSRNFPESDWGLAGDECRFVSTAQSTPRFVNSCGASNLPGTPSKSVCADNFFRGYAPNYMASTQSFKAKLRSHSAPKQRPDTGPKRRLSLHEMLESRNSLSGVRMQRSCSQAQDAINFKNAIMSKLDRSSGIL >ONH97922 pep chromosome:Prunus_persica_NCBIv2:G7:19654234:19656518:-1 gene:PRUPE_7G218500 transcript:ONH97922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATRWFKGLFGIKTKDKEYKANSSSDFKDTKGSSFGREDATLLCHNPATIPPNISPSEAAWLRSYYNETEEEQNKHAIAVAAATAAAADAAVAAANAAVAVVRLTSHGRGTMFGGGRERWAAVKVQTVFRGYLARKALRALKGLVKLQALVRGYLVRKQATATLHSMQALIRAQATVRSHKTRGLINTTTNNIEANSRFEIRARKSMERFDDTGSEHTAPSHSRRLSASNLDANIDESPKIVEVDTGRPKSRSRRTNTCVSEFSDDPTYQQALSSPIPCRGPARLSIPDSRNFPESDWGLAGDECRFVSTAQSTPRFVNSCGASNLPGTPSKSVCADNFFRGYAPNYMASTQSFKAKLRSHSAPKQRPDTGPKRRLSLHEMLESRNSLSGVRMQRSCSQAQDAINFKNAIMSKLDRSSGIL >ONH96422 pep chromosome:Prunus_persica_NCBIv2:G7:14886394:14887370:1 gene:PRUPE_7G128400 transcript:ONH96422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGAGWSGDEADTTKKGLAGTGLELPENRHGNLKSASSDQNLKDLLLQIKSCKSPAVINYGASWCRVCSQILPAFCQLSNSFPKLSFIYADIDECPETTQHIRYTPTFHFYREGERVDEMFGAGEERLHDRLWLHS >ONH96666 pep chromosome:Prunus_persica_NCBIv2:G7:15829505:15851521:1 gene:PRUPE_7G144700 transcript:ONH96666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNNVTRRKLASLLRPWLREEPDLELKLGLLNSHAVAKNLRFDTSVLNQLFDESSQFSFKEITVEHLTVRFSNWFVPAFSIEFQGVTVTLSPGELMEERNVELRPKPRDKFAEDMKKKLSEIDPEGSALRGVLEKLLATSPSTNNFRTTLCNLILKHCQLRMHDINVQMQVPILNDSLVCLLNLKDINADPQYLDHGCLLRGLFGALFLPLKEISFTLVGSGFEVGFKRADQLKHVLLLSDLCTCIKLNDLQLVDISLGIPELRFSFSPDDIFFYSAFGKASSQESHCSRNAVQLWKLAASRIDNVISGPRRSLQKLVVVVCLWLRYVNAYEHLLLLIGYSDDHSLKRSATRISQDKMFFSSVKNQMKVISDIEKELPAEAIAQAWRIARHRAASNVQCAKDGLRKSFATIHFNFLLKILFILACIWRVLCKIIHFIIRLLTFRKVLAKEPKKANLKIVSGGPCTEFCFILILGNVLITISHINEIQLAVNEKLESHIGTSCSDFLSFRLSVDSLLLKYVENTCEQSVLISCGQLKVRSSSLLEATVKESSSKSYFSSMEAHWKESNDDLKNILWAEPAQNFPLSETYKPGYADHVEGACLSLLKNFLGDMWLNWNTACKEFEKSEIQYFENPFLLCEIKNFLTYPDLKNSDSGFLKFFLTLGKLNIVLGCSSILSISLLFKQIQHALFWTEDNGQSGVLSHSPRASEDNKYRCYASKLEMTLLKILPEKHIQLGIFAAGPHIHISLGKNFDAGNKDINHEVGQEEFHLAFDFRNIEAAVWPTSQFDMESFVAPSGPDDIEPECLRLEQPLIVDMFKSDSGKYQCQEWISLGSYLRVGGLEAYLVDSAGKRQSQILGLKPMTVRLLSFREYVHSFSTSVIAFSAALCGTAEGFTILSYADEFYVFFQVLENLSSAISYSFSSFGSISYLPFKFAKQEFAISEPENAETTAHGAPLNYSNSLFSINGTFKIKSVAIILHKSRISGSVDSSVGNSDVSSSKKLAEHDLPDCGISISIHQTTADLSWKEGKVKVLSNLSEIQSVIFRYKNQKGKSTDHCDLLLQSFDCLYELSLSSSVFNFSLSLSQNYLSSDNVSNAPGTSTSVDKTVHVENLPFTTNSESSNGQDCRFLQDIEFASNVPPPGSDHWLLINVVLGTIYMGRYSAKNVMNGAHQLNKFLSSLSVGGEFQTICCGIQGGFLFLEITALATFVNCFASYLHCFANLLSGLQSSDEHIEEAEISVDTTRPNDHSVQEYMQETHCTSQQAQITQMEAFILNISHFSCVLVIEDEHGGMQELVLEVDVHLNFQVTNMRRKLVFDLSRMSILSQAFQEIVENEIQIPHFSSVTSNVFPSDVVSGGSAEFSHHGDRIHPVNDASCSRDPGPQEEFSVHNSLPEAFRPIHQNYILKQAGAVISVEKPLNDSLCLNEVWVGSGSISCFDITISLSEIQMLLSMISSFSGVFKEEMISEPDRRHQSSNEEFKNSSETMIPNGAIVAIQDVHQHMYFTVEGEENKFNLVGVVHYSLVGERALFRVKYHNQGRWKSSVSWFSLISLYAKNDLGEPLRLNYRPGSGFVDLSSANDNGWALWKAISCEPENSEGDIDWEPNIQLVQRTFYLLNKKSDSAVAFVDGIPEFVRKPGNPFKLKVFHNASVARDIKMDSYPGEASGTSLQHDALRDDGNTSVRSGKLPCIDVTFDKISLTIFHELVDTEDMFPLLCGCIDQTKLTVQILPSKTRVISMSTAVLHYFDAQKNLWRELLHPVEVCLFYRSSFQLQGSQAVSPGVPVHIHCRTKELNISLSELSLDILLFVIGKLNLAGPYSVRSNKIWANCCKVVNHSGSDLLCHFFDKQSVTVSRMQSASVILRCSDLANQPPEIASVVSIQLAVPRSFVTKSIDVSLIETQVLAWKTQITSLQDSKTFPGPFVVVDVSRKSEDGLSIVISPLIRIHNETGFPMELRFRRAQQKEDEFASVMLNAGDAIDDSMAMFDALSLSGGRKKALMSLGLGNFLLSFRPEIPDGFMTSKNSLSVEWSDDLKGGKAVRLSGIFDRLSYRVRNALFTESVKCSFSTAQCTLKSEGASISDMHFLVQSIGRNVPVVQPNQSTDVLENNKSPVAFQEQKDIYLLPTVRVSNLLHTEVHVFLSESDRCYTVGSDNDRNQSTISCGSMVEFYANPSIIYFTVTLTAYNSSCRPVNSSDWVKKLQKQKSDVPCLDIDLDFGGGKYFASLRLSRGNRGTLEAAIFTSYSLRNDTEFTLYFYVPNKRPLSRDEAENYGSGIPPEFGSYLPPKTTRSWFLKPNKMCLKLLEDNASETLIDLDALSGLAEISLEVEDGSGVKYITKLGVSTGPPLSRVVIPSQVVTMVPRHVVVNESEQRIIVRQCYLQDDSVGMIPINSKQRATLQLQDGMNKKRDFSLFEHIMKKHRKVNDDSLIYLQFRLNESKLGWSGPVCIASLGRFFLKFKKPHMDQVTALESSVTEFAAVHVVEEGSTLVLRFHKPPNVSLPYRIENCLHDVSITYYQKDSLEPEILGSESGTDYVWDDLTLPHKLVVRINDSLLLREINLDKVRAWKPFYKLRQQSGLASHLPLGKRSVDQRIDFGELNAMEMVKVGYEVYADGPTRVLRFCEISRSHKGDKMFHSCEKIQLRVPQFTIHLLEHEKKDGDDMEPSAYTPILAARIGNINFDSLFTHEQKFSQICVQSVNLEHKWVGAPFAAMLRRHESDYNDSNDCVLKIVVVFLSTSSNVVQVKFSSIALQPMDLNLDEETLMKIVPFWRTSLSNSKSQQYYFDHFEIHPIKIFANFLPGDSYSSYSSAEETLRSLLHSVVKVPAIKNKVVELNGVMVTHALITMRELLIKCAQHYSWYAMRAIYIAKGSPLLPPDFVSIFDDLASSSLDVFFDPSRGLKNLPGLTLGTFKLISKCIDGNGFSGTKRYFGDLGKSLRTAGSNVLFAAVTEISDSVLKGAEASGFNGVVTGFHQGILKLAMEPSLLGTALMEGGPDRKIKLDRSPAADELYIEGYLQAMLDTVFRQEYLRVRVIDNQVYLKNLPPNSSLIEEIMDRVKGFLVSKALLKGDPSITSRPLSHLRGESEWRLGPTVLTLCEHLFVSFTIRLLRKQANKFIAGIKCNSEGDNAKAVVPANPAEVAPRVKFTWKWGIGKFVLSGIVAYIDGRLCRCIPNPVARRIVSGFLLTFLDNKNNE >ONH96667 pep chromosome:Prunus_persica_NCBIv2:G7:15829505:15851521:1 gene:PRUPE_7G144700 transcript:ONH96667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNNVTRRKLASLLRPWLREEPDLELKLGLLNSHAVAKNLRFDTSVLNQLFDESSQFSFKEITVEHLTVRFSNWFVPAFSIEFQGVTVTLSPGELMEERNVELRPKPRDKFAEDMKKKLSEIDPEGSALRGVLEKLLATSPSTNNFRTTLCNLILKHCQLRMHDINVQMQVPILNDSLVCLLNLKDINADPQYLDHGCLLRGLFGALFLPLKEISFTLVGSGFEVGFKRADQLKHVLLLSDLCTCIKLNDLQLVDISLGIPELRFSFSPDDIFFYSAFGKASSQESHCSRNAVQLWKLAASRIDNVISGPRRSLQKLVVVVCLWLRYVNAYEHLLLLIGYSDDHSLKRSATRISQDKMFFSSVKNQMKVISDIEKELPAEAIAQAWRIARHRAASNVQCAKDGLRKSFATIHFNFLLKILFILACIWRVLCKIIHFIIRLLTFRKVLAKEPKKANLKIVSGGPCTEFCFILILGNVLITISHINEIQLAVNEKLESHIGTSCSDFLSFRLSVDSLLLKYVENTCEQSVLISCGQLKVRSSSLLEATVKESSSKSYFSSMEAHWKESNDDLKNILWAEPAQNFPLSETYKPGYADHVEGACLSLLKNFLGDMWLNWNTACKEFEKSEIQYFENPFLLCEIKNFLTYPDLKNSDSGFLKFFLTLGKLNIVLGCSSILSISLLFKQIQHALFWTEDNGQSGVLSHSPRASEDNKYRCYASKLEMTLLKILPEKHIQLGIFAAGPHIHISLGKNFDAGNKDINHEVGQEEFHLAFDFRNIEAAVWPTSQFDMESFVAPSGPDDIEPECLRLEQPLIVDMFKSDSGKYQCQEWISLGSYLRVGGLEAYLVDSAGKRQSQILGLKPMTVRLLSFREYVHSFSTSVIAFSAALCGTAEGFTILSYADEFYVFFQVLENLSSAISYSFSSFGSISYLPFKFAKQEFAISEPENAETTAHGAPLNYSNSLFSINGTFKIKSVAIILHKSRISGSVDSSVGNSDVSSSKKLAEHDLPDCGISISIHQTTADLSWKEGKVKVLSNLSEIQSVIFRYKNQKGKSTDHCDLLLQSFDCLYELSLSSSVFNFSLSLSQNYLSSDNVSNAPGTSTSVDKTVHVENLPFTTNSESSNGQDCRFLQDIEFASNVPPPGSDHWLLINVVLGTIYMGRYSAKNVMNGAHQLNKFLSSLSVGGEFQTICCGIQGGFLFLEITALATFVNCFASYLHCFANLLSGLQSSDEHIEEAEISVDTTRPNDHSVQEYMQETHCTSQQAQITQMEAFILNISHFSCVLVIEDEHGGMQELVLEVDVHLNFQVTNMRRKLVFDLSRMSILSQAFQEIVENEIQIPHFSSVTSNVFPSDVVSGGSAEFSHHGDRIHPVNDASCSRDPGPQEEFSVHNSLPEAFRPIHQNYILKQAGAVISVEKPLNDSLCLNEVWVGSGSISCFDITISLSEIQMLLSMISSFSGVFKEEMISEPDRRHQSSNEEFKNSSETMIPNGAIVAIQDVHQHMYFTVEGEENKFNLVGVVHYSLVGERALFRVKYHNQGRWKSSVSWFSLISLYAKNDLGEPLRLNYRPGSGFVDLSSANDNGWALWKAISCEPENSEGDIDWEPNIQLVQRTFYLLNKKSDSAVAFVDGIPEFVRKPGNPFKLKVFHNASVARDIKMDSYPGEASGTSLQHDALRDDGNTSVRSGKLPCIDVTFDKISLTIFHELVDTEDMFPLLCGCIDQTKLTVQILPSKTRVISMSTAVLHYFDAQKNLWRELLHPVEVCLFYRSSFQLQGSQAVSPGVPVHIHCRTKELNISLSELSLDILLFVIGKLNLAGPYSVRSNKIWANCCKVVNHSGSDLLCHFFDKQSVTVSRMQSASVILRCSDLANQPPEIASVVSIQLAVPRSFVTKSIDVSLIETQVLAWKTQITSLQDSKTFPGPFVVVDVSRKSEDGLSIVISPLIRIHNETGFPMELRFRRAQQKEDEFASVMLNAGDAIDDSMAMFDALSLSGGRKKALMSLGLGNFLLSFRPEIPDGFMTSKNSLSVEWSDDLKGGKAVRLSGIFDRLSYRVRNALFTESVKCSFSTAQCTLKSEGASISDMHFLVQSIGRNVPVVQPNQSTDVLENNKSPVAFQEQKDIYLLPTVRVSNLLHTEVHVFLSESDRCYTVGSDNDRNQSTISCGSMVEFYANPSIIYFTVTLTAYNSSCRPVNSSDWVKKLQKQKSDVPCLDIDLDFGGGKYFASLRLSRGNRGTLEAAIFTSYSLRNDTEFTLYFYVPNKRPLSRDEAENYGSGIPPEFGSYLPPKTTRSWFLKPNKMCLKLLEDNASETLIDLDALSGLAEISLEVEDGSGVKYITKLGVSTGPPLSRVVIPSQVVTMVPRHVVVNESEQRIIVRQCYLQDDSVGMIPINSKQRATLQLQDGMNKKRDFSLFEHIMKKHRKVNDDSLIYLQFRLNESKLGWSGPVCIASLGRFFLKFKKPHMDQVTALESSVTEFAAVHVVEEGSTLVLRFHKPPNVSLPYRIENCLHDVSITYYQKDSLEPEILGSESGTDYVWDDLTLPHKLVVRINDSLLLREINLDKVRAWKPFYKLRQQSGLASHLPLGKRSVDQRIDFGELNAMEMVKVGYEVYADGPTRVLRFCEISRSHKGDKMFHSCEKIQLRVPQFTIHLLEHEKKDGDDMEPSAYTPILAARIGNINFDSLFTHEQKFSQICVQSVNLEHKWVGAPFAAMLRRHESDYNDSNDCVLKIVVVFLSTSSNVVQVKFSSIALQPMDLNLDEETLMKIVPFWRTSLSNSKSQQYYFDHFEIHPIKIFANFLPGDSYSSYSSAEETLRSLLHSVVKVPAIKNKVVELNGVMVTHALITMRELLIKCAQHYSWYAMRAIYIAKGSPLLPPDFVSIFDDLASSSLDVFFDPSRGLKNLPGLTLGTFKLISKCIDGNGFSGTKRYFGDLGKSLRTAGSNVLFAAVTEISDSVLKGAEASGFNGVVTGFHQGILKLAMEPSLLGTALMEGGPDRKIKLDRSPAADEVYLKNLPPNSSLIEEIMDRVKGFLVSKALLKGDPSITSRPLSHLRGESEWRLGPTVLTLCEHLFVSFTIRLLRKQANKFIAGIKCNSEGDNAKAVVPANPAEVAPRVKFTWKWGIGKFVLSGIVAYIDGRLCRCIPNPVARRIVSGFLLTFLDNKNNE >ONH96668 pep chromosome:Prunus_persica_NCBIv2:G7:15829505:15848339:1 gene:PRUPE_7G144700 transcript:ONH96668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNNVTRRKLASLLRPWLREEPDLELKLGLLNSHAVAKNLRFDTSVLNQLFDESSQFSFKEITVEHLTVRFSNWFVPAFSIEFQGVTVTLSPGELMEERNVELRPKPRDKFAEDMKKKLSEIDPEGSALRGVLEKLLATSPSTNNFRTTLCNLILKHCQLRMHDINVQMQVPILNDSLVCLLNLKDINADPQYLDHGCLLRGLFGALFLPLKEISFTLVGSGFEVGFKRADQLKHVLLLSDLCTCIKLNDLQLVDISLGIPELRFSFSPDDIFFYSAFGKASSQESHCSRNAVQLWKLAASRIDNVISGPRRSLQKLVVVVCLWLRYVNAYEHLLLLIGYSDDHSLKRSATRISQDKMFFSSVKNQMKVISDIEKELPAEAIAQAWRIARHRAASNVQCAKDGLRKSFATIHFNFLLKILFILACIWRVLCKIIHFIIRLLTFRKVLAKEPKKANLKIVSGGPCTEFCFILILGNVLITISHINEIQLAVNEKLESHIGTSCSDFLSFRLSVDSLLLKYVENTCEQSVLISCGQLKVRSSSLLEATVKESSSKSYFSSMEAHWKESNDDLKNILWAEPAQNFPLSETYKPGYADHVEGACLSLLKNFLGDMWLNWNTACKEFEKSEIQYFENPFLLCEIKNFLTYPDLKNSDSGFLKFFLTLGKLNIVLGCSSILSISLLFKQIQHALFWTEDNGQSGVLSHSPRASEDNKYRCYASKLEMTLLKILPEKHIQLGIFAAGPHIHISLGKNFDAGNKDINHEVGQEEFHLAFDFRNIEAAVWPTSQFDMESFVAPSGPDDIEPECLRLEQPLIVDMFKSDSGKYQCQEWISLGSYLRVGGLEAYLVDSAGKRQSQILGLKPMTVRLLSFREYVHSFSTSVIAFSAALCGTAEGFTILSYADEFYVFFQVLENLSSAISYSFSSFGSISYLPFKFAKQEFAISEPENAETTAHGAPLNYSNSLFSINGTFKIKSVAIILHKSRISGSVDSSVGNSDVSSSKKLAEHDLPDCGISISIHQTTADLSWKEGKVKVLSNLSEIQSVIFRYKNQKGKSTDHCDLLLQSFDCLYELSLSSSVFNFSLSLSQNYLSSDNVSNAPGTSTSVDKTVHVENLPFTTNSESSNGQDCRFLQDIEFASNVPPPGSDHWLLINVVLGTIYMGRYSAKNVMNGAHQLNKFLSSLSVGGEFQTICCGIQGGFLFLEITALATFVNCFASYLHCFANLLSGLQSSDEHIEEAEISVDTTRPNDHSVQEYMQETHCTSQQAQITQMEAFILNISHFSCVLVIEDEHGGMQELVLEVDVHLNFQVTNMRRKLVFDLSRMSILSQAFQEIVENEIQIPHFSSVTSNVFPSDVVSGGSAEFSHHGDRIHPVNDASCSRDPGPQEEFSVHNSLPEAFRPIHQNYILKQAGAVISVEKPLNDSLCLNEVWVGSGSISCFDITISLSEIQMLLSMISSFSGVFKEEMISEPDRRHQSSNEEFKNSSETMIPNGAIVAIQDVHQHMYFTVEGEENKFNLVGVVHYSLVGERALFRVKYHNQGRWKSSVSWFSLISLYAKNDLGEPLRLNYRPGSGFVDLSSANDNGWALWKAISCEPENSEGDIDWEPNIQLVQRTFYLLNKKSDSAVAFVDGIPEFVRKPGNPFKLKVFHNASVARDIKMDSYPGEASGTSLQHDALRDDGNTSVRSGKLPCIDVTFDKISLTIFHELVDTEDMFPLLCGCIDQTKLTVQILPSKTRVISMSTAVLHYFDAQKNLWRELLHPVEVCLFYRSSFQLQGSQAVSPGVPVHIHCRTKELNISLSELSLDILLFVIGKLNLAGPYSVRSNKIWANCCKVVNHSGSDLLCHFFDKQSVTVSRMQSASVILRCSDLANQPPEIASVVSIQLAVPRSFVTKSIDVSLIETQVLAWKTQITSLQDSKTFPGPFVVVDVSRKSEDGLSIVISPLIRIHNETGFPMELRFRRAQQKEDEFASVMLNAGDAIDDSMAMFDALSLSGGRKKALMSLGLGNFLLSFRPEIPDGFMTSKNSLSVEWSDDLKGGKAVRLSGIFDRLSYRVRNALFTESVKCSFSTAQCTLKSEGASISDMHFLVQSIGRNVPVVQPNQSTDVLENNKSPVAFQEQKDIYLLPTVRVSNLLHTEVHVFLSESDRCYTVGSDNDRNQSTISCGSMVEFYANPSIIYFTVTLTAYNSSCRPVNSSDWVKKLQKQKSDVPCLDIDLDFGGGKYFASLRLSRGNRGTLEAAIFTSYSLRNDTEFTLYFYVPNKRPLSRDEAENYGSGIPPEFGSYLPPKTTRSWFLKPNKMCLKLLEDNASETLIDLDALSGLAEISLEVEDGSGVKYITKLGVSTGPPLSRVVIPSQVVTMVPRHVVVNESEQRIIVRQCYLQDDSVGMIPINSKQRATLQLQDGMNKKRDFSLFEHIMKKHRKVNDDSLIYLQFRLNESKLGWSGPVCIASLGRFFLKFKKPHMDQVTALESSVTEFAAVHVVEEGSTLVLRFHKPPNVSLPYRIENCLHDVSITYYQKDSLEPEILGSESGTDYVWDDLTLPHKLVVRINDSLLLREINLDKVRAWKPFYKLRQQSGLASHLPLGKRSVDQRIDFGELNAMEMVKVGYEVYADGPTRVLRFCEISRSHKGDKMFHSCEKIQLRVPQFTIHLLEHEKKDGDDMEPSAYTPILAARIGNINFDSLFTHEQKFSQICVQSVNLEHKWVGAPFAAMLRRHESDYNDSNDCVLKIVVVFLSTSSNVVQVKFSSIALQPMDLNLDEETLMKIVPFWRTSLSNSKSQQYYFDHFEIHPIKIFANFLPGDSYSSYSSAEETLRSLLHSVVKVPAIKNKVVELNGVMVTHALITMRELLIKCAQHYSWYAMRAIYIAKGSPLLPPDFVSIFDDLASSSLDVFFDPSRGLKNLPGLTLGMPFTLLVL >ONH98801 pep chromosome:Prunus_persica_NCBIv2:G7:21991926:22001495:1 gene:PRUPE_7G266700 transcript:ONH98801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVIVVTLDTGEVYIIVSLPSRLDTQVIHVDPTTGALRYNAKPGFDVFKSEKEALDYITNGSHWLRKSTTYAHAILGYAALGSFGMLLVATKLTASVPNLPGGGCVYTVTESQWIKISLQNPQPQGKGEVKNVNELTDLDIDGKHYFCDARDITRPFPSRMCLHEPDDEFVWNAWFSMPFKNIGLPQHCVTLLQGFAECRSFGTLGKLEGIVALIARRSRLHPGTRYLARGLNSCFSTGNEVECEQIVWVPRRAGQTVPFNTYVWRRGTIPIWWGAELKITAAEAEIYVSDRDPYKGSSEYYQRLSKRYDARNLDVAVGGSQNRKALVPIVCINLLRNGEGKSECILVQHFEESLNYVRSTGKLPYTRIHLINYDWHASIKLKGEQQTIEGLWKHLKAPTVSIGISEGDFLPSRERIKECRGEIICNDDFKGAFCLRSHQNGVIRFNCADSLDRTNAASYFGSLQVFVEQCRRLGISLDSDLAYGYQSMTNYGGYIAPLPPGWEKRSDAVTGKTFYIDHNTRTTTWMHPCPDKPWKRFDMAFEEFKRTTILPPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEDAGKYKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEMFLGMRLFKHLPSVSFHPLNVVSRPSGFFLKPVANMFPSSNGGASLLSFKRKDLVWVCPQAADVIELFIYLGEPCHVCQLLLTISHGADDSTYPSTVDVRTGRSLDGLKLVLEGASIPQCVNGTNLLIPLPGLISPEDMAVTGAGARLHAQDTSTLPLLYDFEELEGELDFLTRVVALTFYPAVSGRSPITLGEIEVLGVSLPWRGVFTNEGPGATLPEHTKKIQNETNPFSSGLDTNPFSGASSNENVPPPVQPSASGNNLVDLLTGEVMLSEHVAQPVIGKTEDKGGDLLDFLDQAIVEYHGAETDHKFPSSHDGRSSDSSSQKYIDCLKSCAGPRMERKLDFMGAMKLEIERLRLNVSAAERDKALLSIGTDPATINPNVLLDERYMGRLCRVANSLALLGQASLEDKITSAVALETTDDNVIDFWNITRFGECCYGGMCEVRAETNAPTHASFMESSTGVPPSVLLCSQCERKVCKVCCAGRGALLVAGYGSREANGVVSQGGSSHGFQVDVSTNRSVVLDSVICKRCCNDIVLDALILDYVRVLISMRRSARADSAAHEALNQVIGFSLKNSLSERKHASDRQGAIKVQQQLLDGEESLAEFPFASFLHSVETAADSAPFLSLLAPLDCGPRHAYWKAPPSATSVEFIIVLGSLSDVSGVVLLISPCGYSEADAPTVQIWASNKIHKEERSCMGKWDVQSQIMSSSDYYGPEKLVREDEVPRHVKFEFRNPVRCRILWITLRLQRPGSSSLNLGNLNLLSLDENPFAEVTRRASFGGEVDRDPCIHARRILVVGSPVNKEMADTSAQGSDQMNLKGWLERAPPLNRFRVPIEAERLLDNDIVLEQYLSPASPLLAGFRLDAFGAIKPLVTHSPSSNAQIWDMSARLVDERHISPAVLHIQVSVVQEPHSLVTIAEYRLPEAKAGTPMYFDFPREIQTRRITFKLLGDITAFADDPAEQDDPSSRVLPVAAGLSLSNRIKLYYYADPYELGKWASLSAV >ONH98798 pep chromosome:Prunus_persica_NCBIv2:G7:21991855:22001879:1 gene:PRUPE_7G266700 transcript:ONH98798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGGGTMETSVIVVTLDTGEVYIIVSLPSRLDTQVIHVDPTTGALRYNAKPGFDVFKSEKEALDYITNGSHWLRKSTTYAHAILGYAALGSFGMLLVATKLTASVPNLPGGGCVYTVTESQWIKISLQNPQPQGKGEVKNVNELTDLDIDGKHYFCDARDITRPFPSRMCLHEPDDEFVWNAWFSMPFKNIGLPQHCVTLLQGFAECRSFGTLGKLEGIVALIARRSRLHPGTRYLARGLNSCFSTGNEVECEQIVWVPRRAGQTVPFNTYVWRRGTIPIWWGAELKITAAEAEIYVSDRDPYKGSSEYYQRLSKRYDARNLDVAVGGSQNRKALVPIVCINLLRNGEGKSECILVQHFEESLNYVRSTGKLPYTRIHLINYDWHASIKLKGEQQTIEGLWKHLKAPTVSIGISEGDFLPSRERIKECRGEIICNDDFKGAFCLRSHQNGVIRFNCADSLDRTNAASYFGSLQVFVEQCRRLGISLDSDLAYGYQSMTNYGGYIAPLPPGWEKRSDAVTGKTFYIDHNTRTTTWMHPCPDKPWKRFDMAFEEFKRTTILPPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEDAGKYKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEMFLGMRLFKHLPSVSFHPLNVVSRPSGFFLKPVANMFPSSNGGASLLSFKRKDLVWVCPQAADVIELFIYLGEPCHVCQLLLTISHGADDSTYPSTVDVRTGRSLDGLKLVLEGASIPQCVNGTNLLIPLPGLISPEDMAVTGAGARLHAQDTSTLPLLYDFEELEGELDFLTRVVALTFYPAVSGRSPITLGEIEVLGVSLPWRGVFTNEGPGATLPEHTKKIQNETNPFSSGLDTNPFSGASSNENVPPPVQPSASGNNLVDLLTGEVMLSEHVAQPVIGKTEDKGGDLLDFLDQAIVEYHGAETDHKFPSSHDGRSSDSSSQKYIDCLKSCAGPRMERKLDFMGAMKLEIERLRLNVSAAERDKALLSIGTDPATINPNVLLDERYMGRLCRVANSLALLGQASLEDKITSAVALETTDDNVIDFWNITRFGECCYGGMCEVRAETNAPTHASFMESSTGVPPSVLLCSQCERKVCKVCCAGRGALLVAGYGSREANGVVSQGGSSHGFQVDVSTNRSVVLDSVICKRCCNDIVLDALILDYVRVLISMRRSARADSAAHEALNQVIGFSLKNSLSERKHASDRQGAIKVQQQLLDGEESLAEFPFASFLHSVETAADSAPFLSLLAPLDCGPRHAYWKAPPSATSVEFIIVLGSLSDVSGVVLLISPCGYSEADAPTVQIWASNKIHKEERSCMGKWDVQSQIMSSSDYYGPEKLVREDEVPRHVKFEFRNPVRCRILWITLRLQRPGSSSLNLGNLNLLSLDENPFAEVTRRASFGGEVDRDPCIHARRILVVGSPVNKEMADTSAQGSDQMNLKGWLERAPPLNRFRVPIEAERLLDNDIVLEQYLSPASPLLAGFRLDAFGAIKPLVTHSPSSNAQIWDMSARLVDERHISPAVLHIQVSVVQEPHSLVTIAEYRLPEAKAGTPMYFDFPREIQTRRITFKLLGDITAFADDPAEQDDPSSRVLPVAAGLSLSNRIKLYYYADPYELGKWASLSAV >ONH98800 pep chromosome:Prunus_persica_NCBIv2:G7:21991869:22001495:1 gene:PRUPE_7G266700 transcript:ONH98800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVIVVTLDTGEVYIIVSLPSRLDTQVIHVDPTTGALRYNAKPGFDVFKSEKEALDYITNGSHWLRKSTTYAHAILGYAALGSFGMLLVATKLTASVPNLPGGGCVYTVTESQWIKISLQNPQPQGKGEVKNVNELTDLDIDGKHYFCDARDITRPFPSRMCLHEPDDEFVWNAWFSMPFKNIGLPQHCVTLLQGFAECRSFGTLGKLEGIVALIARRSRLHPGTRYLARGLNSCFSTGNEVECEQIVWVPRRAGQTVPFNTYVWRRGTIPIWWGAELKITAAEAEIYVSDRDPYKGSSEYYQRLSKRYDARNLDVAVGGSQNRKALVPIVCINLLRNGEGKSECILVQHFEESLNYVRSTGKLPYTRIHLINYDWHASIKLKGEQQTIEGLWKHLKAPTVSIGISEGDFLPSRERIKECRGEIICNDDFKGAFCLRSHQNGVIRFNCADSLDRTNAASYFGSLQVFVEQCRRLGISLDSDLAYGYQSMTNYGGYIAPLPPGWEKRSDAVTGKTFYIDHNTRTTTWMHPCPDKPWKRFDMAFEEFKRTTILPPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEDAGKYKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEMFLGMRLFKHLPSVSFHPLNVVSRPSGFFLKPVANMFPSSNGGASLLSFKRKDLVWVCPQAADVIELFIYLGEPCHVCQLLLTISHGADDSTYPSTVDVRTGRSLDGLKLVLEGASIPQCVNGTNLLIPLPGLISPEDMAVTGAGARLHAQDTSTLPLLYDFEELEGELDFLTRVVALTFYPAVSGRSPITLGEIEVLGVSLPWRGVFTNEGPGATLPEHTKKIQNETNPFSSGLDTNPFSGASSNENVPPPVQPSASGNNLVDLLTGEVMLSEHVAQPVIGKTEDKGGDLLDFLDQAIVEYHGAETDHKFPSSHDGRSSDSSSQKYIDCLKSCAGPRMERKLDFMGAMKLEIERLRLNVSAAERDKALLSIGTDPATINPNVLLDERYMGRLCRVANSLALLGQASLEDKITSAVALETTDDNVIDFWNITRFGECCYGGMCEVRAETNAPTHASFMESSTGVPPSVLLCSQCERKVCKVCCAGRGALLVAGYGSREANGVVSQGGSSHGFQVDVSTNRSVVLDSVICKRCCNDIVLDALILDYVRVLISMRRSARADSAAHEALNQVIGFSLKNSLSERKHASDRQGAIKVQQQLLDGEESLAEFPFASFLHSVETAADSAPFLSLLAPLDCGPRHAYWKAPPSATSVEFIIVLGSLSDVSGVVLLISPCGYSEADAPTVQIWASNKIHKEERSCMGKWDVQSQIMSSSDYYGPEKLVREDEVPRHVKFEFRNPVRCRILWITLRLQRPGSSSLNLGNLNLLSLDENPFAEVTRRASFGGEVDRDPCIHARRILVVGSPVNKEMADTSAQGSDQMNLKGWLERAPPLNRFRVPIEAERLLDNDIVLEQYLSPASPLLAGFRLDAFGAIKPLVTHSPSSNAQIWDMSARLVDERHISPAVLHIQVSVVQEPHSLVTIAEYRLPEAKAGTPMYFDFPREIQTRRITFKLLGDITAFADDPAEQDDPSSRVLPVAAGLSLSNRIKLYYYADPYELGKWASLSAV >ONH98799 pep chromosome:Prunus_persica_NCBIv2:G7:21991926:22001495:1 gene:PRUPE_7G266700 transcript:ONH98799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILTVFLSGGGTMETSVIVVTLDTGEVYIIVSLPSRLDTQVIHVDPTTGALRYNAKPGFDVFKSEKEALDYITNGSHWLRKSTTYAHAILGYAALGSFGMLLVATKLTASVPNLPGGGCVYTVTESQWIKISLQNPQPQGKGEVKNVNELTDLDIDGKHYFCDARDITRPFPSRMCLHEPDDEFVWNAWFSMPFKNIGLPQHCVTLLQGFAECRSFGTLGKLEGIVALIARRSRLHPGTRYLARGLNSCFSTGNEVECEQIVWVPRRAGQTVPFNTYVWRRGTIPIWWGAELKITAAEAEIYVSDRDPYKGSSEYYQRLSKRYDARNLDVAVGGSQNRKALVPIVCINLLRNGEGKSECILVQHFEESLNYVRSTGKLPYTRIHLINYDWHASIKLKGEQQTIEGLWKHLKAPTVSIGISEGDFLPSRERIKECRGEIICNDDFKGAFCLRSHQNGVIRFNCADSLDRTNAASYFGSLQVFVEQCRRLGISLDSDLAYGYQSMTNYGGYIAPLPPGWEKRSDAVTGKTFYIDHNTRTTTWMHPCPDKPWKRFDMAFEEFKRTTILPPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEDAGKYKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEMFLGMRLFKHLPSVSFHPLNVVSRPSGFFLKPVANMFPSSNGGASLLSFKRKDLVWVCPQAADVIELFIYLGEPCHVCQLLLTISHGADDSTYPSTVDVRTGRSLDGLKLVLEGASIPQCVNGTNLLIPLPGLISPEDMAVTGAGARLHAQDTSTLPLLYDFEELEGELDFLTRVVALTFYPAVSGRSPITLGEIEVLGVSLPWRGVFTNEGPGATLPEHTKKIQNETNPFSSGLDTNPFSGASSNENVPPPVQPSASGNNLVDLLTGEVMLSEHVAQPVIGKTEDKGGDLLDFLDQAIVEYHGAETDHKFPSSHDGRSSDSSSQKYIDCLKSCAGPRMERKLDFMGAMKLEIERLRLNVSAAERDKALLSIGTDPATINPNVLLDERYMGRLCRVANSLALLGQASLEDKITSAVALETTDDNVIDFWNITRFGECCYGGMCEVRAETNAPTHASFMESSTGVPPSVLLCSQCERKVCKVCCAGRGALLVAGYGSREANGVVSQGGSSHGFQVDVSTNRSVVLDSVICKRCCNDIVLDALILDYVRVLISMRRSARADSAAHEALNQVIGFSLKNSLSERKHASDRQGAIKVQQQLLDGEESLAEFPFASFLHSVETAADSAPFLSLLAPLDCGPRHAYWKAPPSATSVEFIIVLGSLSDVSGVVLLISPCGYSEADAPTVQIWASNKIHKEERSCMGKWDVQSQIMSSSDYYGPEKLVREDEVPRHVKFEFRNPVRCRILWITLRLQRPGSSSLNLGNLNLLSLDENPFAEVTRRASFGGEVDRDPCIHARRILVVGSPVNKEMADTSAQGSDQMNLKGWLERAPPLNRFRVPIEAERLLDNDIVLEQYLSPASPLLAGFRLDAFGAIKPLVTHSPSSNAQIWDMSARLVDERHISPAVLHIQVSVVQEPHSLVTIAEYRLPEAKAGTPMYFDFPREIQTRRITFKLLGDITAFADDPAEQDDPSSRVLPVAAGLSLSNRIKLYYYADPYELGKWASLSAV >ONH95268 pep chromosome:Prunus_persica_NCBIv2:G7:9947406:9948566:-1 gene:PRUPE_7G060400 transcript:ONH95268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIAISALWWKNGHQKLSIWWEAYNPHIFSRQLGCPQGQVCGFCSGSDLKPYQPDCCCTESFHSCRNEN >ONH95267 pep chromosome:Prunus_persica_NCBIv2:G7:9947412:9948566:-1 gene:PRUPE_7G060400 transcript:ONH95267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIAISALWWKNGHQKLSIWWEAYNPHIFSRQLGCPQGQVCGFCSGSDLKPYQPDCCCTESFHSCRNEN >ONH95269 pep chromosome:Prunus_persica_NCBIv2:G7:9948243:9948532:-1 gene:PRUPE_7G060400 transcript:ONH95269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIAISALWWKNGHQKLSIWWEAYNPHIFSRQLGCPQGQVCGFCSGSDLKPYQPDCCCTESFHSW >ONH96311 pep chromosome:Prunus_persica_NCBIv2:G7:14384742:14389995:1 gene:PRUPE_7G120300 transcript:ONH96311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKSKAVYNVYRALSHGLSPLLYLHLHWRKLRGLEHPLRWPERLGRPSLPRPPGQLLWIHAVSLGEGMAAIPVIKQCIQRRPDLTILMTTTTSSAFEVIEKRLPTGVIHQFAPVDTPSAMDSFLGHWKPNAIVIMESELWPNLIMGASERGITLALLNARVSTKSFKRWSGPLLLPLVSLMLSKFSLIVPLSNMQAIHFQLLHAPPFVINFSGDLKYVVEEFDISEGEIRSIEDLKVHLAHRKVWMASSIHKGEEEVILGIHKVLMQQYPDVLTIIVPRHPQHGKEIAQQLWKEGHSVALRSQHDKLVGDTNLYVVDTLGELKHLYRLTPVAVIGGSFLPTLSGHNISEAAAAGCAVLTGPYVGHFSRMVLEMQRLNPLSVLQVSGKIELEDALKKLFSDAKILEAHRTAAKQVYHSLSTGIVGKVWSLLDFQILQRALS >ONH96313 pep chromosome:Prunus_persica_NCBIv2:G7:14384822:14389188:1 gene:PRUPE_7G120300 transcript:ONH96313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKSKAVYNVYRALSHGLSPLLYLHLHWRKLRGLEHPLRWPERLGRPSLPRPPGQLLWIHAVSLGEGMAAIPVIKQCIQRRPDLTILMTTTTSSAFEVIEKRLPTGVIHQFAPVDTPSAMDSFLGHWKPNAIVIMESELWPNLIMGASERGITLALLNARVSTKSFKRWSGPLLLPLVSLMLSKFSLIVPLSNMQAIHFQLLHAPPFVINFSGDLKYVVEEFDISEGEIRSIEDLKVHLAHRKVWMASSIHKGEEEVILGIHKVLMQQYPDVLTIIVPRHPQHGKEIAQQLWKEGHSVALRSQHDKLVGDTNLYVVDTLGELKHLYRLTPVAVIGGSFLPTLSGHNISEAAAAGCAVLTGPYVGHFSRMVLEMQRLNPLSVLQGT >ONH96315 pep chromosome:Prunus_persica_NCBIv2:G7:14386344:14389995:1 gene:PRUPE_7G120300 transcript:ONH96315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLGHWKPNAIVIMESELWPNLIMGASERGITLALLNARVSTKSFKRWSGPLLLPLVSLMLSKFSLIVPLSNMQAIHFQLLHAPPFVINFSGDLKYVVEEFDISEGEIRSIEDLKVHLAHRKVWMASSIHKGEEEVILGIHKVLMQQYPDVLTIIVPRHPQHGKEIAQQLWKEGHSVALRSQHDKLVGDTNLYVVDTLGELKHLYRLTPVAVIGGSFLPTLSGHNISEAAAAGCAVLTGPYVGHFSRMVLEMQRLNPLSVLQVSGKIELEDALKKLFSDAKILEAHRTAAKQVYHSLSTGIVGKVWSLLDFQILQRALS >ONH96316 pep chromosome:Prunus_persica_NCBIv2:G7:14386445:14389641:1 gene:PRUPE_7G120300 transcript:ONH96316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLGHWKPNAIVIMESELWPNLIMGASERGITLALLNARVSTKSFKRWSGPLLLPLVSLMLSKFSLIVPLSNMQAIHFQLLHAPPFVINFSGDLKYVVEEFDISEGEIRSIEDLKVHLAHRKVWMASSIHKGEEEVILGIHKVLMQQYPDVLTIIVPRHPQHGKEIAQQLWKEGHSVALRSQHDKLVGDTNLYVVDTLGELKHLYRLTPVAVIGGSFLPTLSGHNISEAAAAGCAVLTGPYVGHFSRMVLEMQRLNPLSVLQVSGKIELEDALKKLFSDAKILEAHRTAAKQVYHSLSTGIVGKVWSLLDFQILQRALS >ONH96314 pep chromosome:Prunus_persica_NCBIv2:G7:14384810:14389995:1 gene:PRUPE_7G120300 transcript:ONH96314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKSKAVYNVYRALSHGLSPLLYLHLHWRKLRGLEHPLRWPERLGRPSLPRPPGQLLWIHAVSLGEGMAAIPVIKQCIQRRPDLTILMTTTTSSAFEVIEKRLPTGVIHQFAPVDTPSAMDSFLGHWKPNAIVIMESELWPNLIMGASERGITLALLNARVSTKSFKRWSGPLLLPLVSLMLSKFSLIVPLSNMQAIHFQLLHAPPFVINFSGDLKYVVEEFDISEGEIRSIEDLKVHLAHRKVWMASSIHKGEEEVILGIHKVLMQQYPDVLTIIVPRHPQHGKEIAQVN >ONH96312 pep chromosome:Prunus_persica_NCBIv2:G7:14384813:14389995:1 gene:PRUPE_7G120300 transcript:ONH96312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKSKAVYNVYRALSHGLSPLLYLHLHWRKLRGLEHPLRWPERLGRPSLPRPPGQLLWIHAVSLGEGMAAIPVIKQCIQRRPDLTILMTTTTSSAFEVIEKRLPTGVIHQFAPVDTPSAMDSFLGHWKPNAIVIMESELWPNLIMGASERGITLALLNARVSTKSFKRWSGPLLLPLVSLMLSKFSLIVPLSNMQAIHFQLLHAPPFVINFSGDLKYVVEEFDISEGEIRSIEDLKVHLAHRKVWMASSIHKGEEEVILGIHKVLMQQYPDVLTIIVPRHPQHGKEIAQQLWKEGHSVALRSQHDKLVGDTNLYVVDTLGELKHLYRLTPVAVIGGSFLPTLSGHNISEAAAAGCAVLTGPYVGHFSRMVLEMQRLNPLSVLQGT >ONH95702 pep chromosome:Prunus_persica_NCBIv2:G7:12109705:12112276:-1 gene:PRUPE_7G086200 transcript:ONH95702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRNTRNPLGEQNFLMRKPNWENLDRFIPNRAALDLDYAHYMVRGGRKGIENPEAASTPYQKLLTETFNMNRGRIFTFKNKPPTPVEAIPRRLLSPPLHKAKSTKPSRRVPQGPEKTMDAPGVVDNFYSNLLDWSSSNILAIALENSVYLWNPSNHSIIKLVTIDDEDGPVTSISWALDGQHIAVGLDNSWVQLWDSVSVRLIRTLRGGHHGRVGSLAWNKRILTTGGMDGRIINNDVRVRSHIVGTYKGHEAEICGLKWSPSGQHLASGGNDNVLFIWDRSTASSNSPRQWLQRLEDHTAAVKALAWCPFQENLLASGGGELDRCIKIWNTQTGSCLSSVDTGSQVCGLLWNNYERELLSCHGFSKNELILWKYPSMVRMAELTGHTSRVLFMTQSPDGCTVATAAADETVRTWNVFGIPGVSKPARKENPLPFAHLYTIR >ONH94602 pep chromosome:Prunus_persica_NCBIv2:G7:3664629:3669459:-1 gene:PRUPE_7G023400 transcript:ONH94602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSANIGFYQFVPIELELLTDRDITHMWTQGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94615 pep chromosome:Prunus_persica_NCBIv2:G7:3667649:3672520:-1 gene:PRUPE_7G023400 transcript:ONH94615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQECLIMVLAMSQADLYTKCCH >ONH94599 pep chromosome:Prunus_persica_NCBIv2:G7:3666103:3670315:-1 gene:PRUPE_7G023400 transcript:ONH94599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94619 pep chromosome:Prunus_persica_NCBIv2:G7:3667846:3670575:-1 gene:PRUPE_7G023400 transcript:ONH94619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQVISCILFLCLNLKC >ONH94604 pep chromosome:Prunus_persica_NCBIv2:G7:3666103:3669343:-1 gene:PRUPE_7G023400 transcript:ONH94604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTQGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94587 pep chromosome:Prunus_persica_NCBIv2:G7:3664491:3672885:-1 gene:PRUPE_7G023400 transcript:ONH94587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94609 pep chromosome:Prunus_persica_NCBIv2:G7:3666808:3670578:-1 gene:PRUPE_7G023400 transcript:ONH94609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGFVKTYIWVPTC >ONH94610 pep chromosome:Prunus_persica_NCBIv2:G7:3667449:3672883:-1 gene:PRUPE_7G023400 transcript:ONH94610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGVPNNGFGNEPSGFVHKMLSLNPKSCCCSSF >ONH94600 pep chromosome:Prunus_persica_NCBIv2:G7:3666103:3670315:-1 gene:PRUPE_7G023400 transcript:ONH94600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94592 pep chromosome:Prunus_persica_NCBIv2:G7:3666103:3670315:-1 gene:PRUPE_7G023400 transcript:ONH94592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94594 pep chromosome:Prunus_persica_NCBIv2:G7:3666103:3670315:-1 gene:PRUPE_7G023400 transcript:ONH94594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94583 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3672942:-1 gene:PRUPE_7G023400 transcript:ONH94583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94588 pep chromosome:Prunus_persica_NCBIv2:G7:3664685:3670614:-1 gene:PRUPE_7G023400 transcript:ONH94588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94612 pep chromosome:Prunus_persica_NCBIv2:G7:3667449:3672520:-1 gene:PRUPE_7G023400 transcript:ONH94612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQECLIMVLAMSQADLYTKCCH >ONH94590 pep chromosome:Prunus_persica_NCBIv2:G7:3664685:3670627:-1 gene:PRUPE_7G023400 transcript:ONH94590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94611 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3672520:-1 gene:PRUPE_7G023400 transcript:ONH94611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQECLIMVLAMSQADLYTKCCH >ONH94585 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3672520:-1 gene:PRUPE_7G023400 transcript:ONH94585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94613 pep chromosome:Prunus_persica_NCBIv2:G7:3667703:3670315:-1 gene:PRUPE_7G023400 transcript:ONH94613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQECLIMVLAMSQADLYTKCCH >ONH94618 pep chromosome:Prunus_persica_NCBIv2:G7:3667703:3669424:-1 gene:PRUPE_7G023400 transcript:ONH94618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSANIGFYQFVPIELELLTDRDITHMWTQGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQECLIMVLAMSQADLYTKCCH >ONH94584 pep chromosome:Prunus_persica_NCBIv2:G7:3664684:3670495:-1 gene:PRUPE_7G023400 transcript:ONH94584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94606 pep chromosome:Prunus_persica_NCBIv2:G7:3664629:3670577:-1 gene:PRUPE_7G023400 transcript:ONH94606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGRGHSWDNWPC >ONH94603 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3669459:-1 gene:PRUPE_7G023400 transcript:ONH94603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTQGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94598 pep chromosome:Prunus_persica_NCBIv2:G7:3664629:3670614:-1 gene:PRUPE_7G023400 transcript:ONH94598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94597 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3672942:-1 gene:PRUPE_7G023400 transcript:ONH94597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94593 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3672520:-1 gene:PRUPE_7G023400 transcript:ONH94593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94605 pep chromosome:Prunus_persica_NCBIv2:G7:3666103:3669343:-1 gene:PRUPE_7G023400 transcript:ONH94605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTQGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94601 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3670598:-1 gene:PRUPE_7G023400 transcript:ONH94601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94591 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3672520:-1 gene:PRUPE_7G023400 transcript:ONH94591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94616 pep chromosome:Prunus_persica_NCBIv2:G7:3667649:3672883:-1 gene:PRUPE_7G023400 transcript:ONH94616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQECLIMVLAMSQADLYTKCCH >ONH94586 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3672521:-1 gene:PRUPE_7G023400 transcript:ONH94586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94581 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3672520:-1 gene:PRUPE_7G023400 transcript:ONH94581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94614 pep chromosome:Prunus_persica_NCBIv2:G7:3667703:3670315:-1 gene:PRUPE_7G023400 transcript:ONH94614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQECLIMVLAMSQADLYTKCCH >ONH94617 pep chromosome:Prunus_persica_NCBIv2:G7:3667703:3670315:-1 gene:PRUPE_7G023400 transcript:ONH94617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQECLIMVLAMSQADLYTKCCH >ONH94596 pep chromosome:Prunus_persica_NCBIv2:G7:3666103:3670315:-1 gene:PRUPE_7G023400 transcript:ONH94596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94608 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3670577:-1 gene:PRUPE_7G023400 transcript:ONH94608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGRGHSWDNWPC >ONH94582 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3672921:-1 gene:PRUPE_7G023400 transcript:ONH94582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94607 pep chromosome:Prunus_persica_NCBIv2:G7:3664629:3670575:-1 gene:PRUPE_7G023400 transcript:ONH94607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGRGHSWDNWPC >ONH94589 pep chromosome:Prunus_persica_NCBIv2:G7:3664720:3670599:-1 gene:PRUPE_7G023400 transcript:ONH94589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH94595 pep chromosome:Prunus_persica_NCBIv2:G7:3666103:3670315:-1 gene:PRUPE_7G023400 transcript:ONH94595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSKIQSLERISSSSSVVFKILKSRNIATTTGSTSSSGVPKEKVDCVVIGAGVVGLAVARELTLKGREVLVLESASTFGTGISSRNSEVIHAGIYYPPNSLKAILCVRGREMLYKYCSEHNIPHNQIGKLIVATGSSEIPKLHNLMNNGIKNGVGGLVMMEGSEATRMEPELRCLKALLSPVSGIVDTHSLMLSLVGEAENHGATFSYNTTVIGGHIEENRLSLHISETKHLENWNGKFPLQPEMVLIPKLVVNSAGLSAPVLAKRFDGLRSAVIPPTYYARGCYFTLSNTTICPFKHLIYPIPEDGGLGVHVTLDLNGQVKFGPNVEWIDGIDDVSSFLNKFDYSVCTNRAKLFYPEIRKYYPNLKDGSLDPGYAGIRPKLSGPRQSPVDFVIQGEDIHGITGLVNLFGIESPGLTSSMGVAEHIATRFFRC >ONH95864 pep chromosome:Prunus_persica_NCBIv2:G7:12552764:12554751:-1 gene:PRUPE_7G093000 transcript:ONH95864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGDYSNMEAPSSLKTLCRFVETTLLSRPSLDLADCHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFEYKGSGYHRRRLCNDSG >ONH98482 pep chromosome:Prunus_persica_NCBIv2:G7:21207294:21211515:-1 gene:PRUPE_7G251000 transcript:ONH98482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDMLFYDHFDTFLVMARTKLILICQSGGEFVIKDDGSMSYTGGEAHAVDINLETVFDDLKFKLAEMLNLEYKSISMKYFLPGNTRTLITLSNDKDLKRMYEFHGKSVTADVFVMGKAGFDSEALSTQRRACGIKLAESVTPVAASTTSAAALHSSPLTVPTDVKSAVGSAAANAIPVVPAPLPLSKQTGSVMSVEERTQSPSGVDVPSSIPSDPVTVTADANVHSSNEFDMNATPADTVKKRRRTAAWKIGADGPTIVAVTDHVGEKRKVMPRKKNILSHNTTAETDDVGQKQDTLPCKDSSNSSDPIQDTLGQSNDVPPEKLVTLWKDGITGVGQEFKSVKEFRDALQKYAIAHRFMYRLKKNDTNRASGRCIAEGCSWRIHASWDSSVQRFRIKNMNKIHTCGREFWKSYHPTKSWLVSIIKDRLLDSPHLKPKELANGILQDFGIAVNYTQVWRGIEDARELLLGSYREAYNQLPRFCEKMAEANPGSNITLFTGDDRRFQRLFVCFHASIHGFQNGCRPIIFLDATSLKSKYHETFFAATALDGDDGVFPVAFAIVDVENDDNWRWFLEQLRSVVSTSQSLTFVSDREKGLKKSVIEVFENAHHGYSLHRLLESFKKNLKGPFHGDGKGSLPINFVAAAHAVRLDGFKTSTDQIRRVSSQAYDWVLQIEPECWTNALFKGEHYNHVTSDVAETYIKWIEEVRELPIARKIEVLSCKLMELINTRRTDSSTWPTKLTPSKEEKLRQETLQAYGLKVLFSSDTLFEVHKDSINVVDIDKWDCSCLKWKATGLPCCHAIAVFNCTGRNVYDYCSRYFKANNFQLTYSESINPSVPFQPLDSDTIDLETLHVLPPFISKPQNQEKKKQTRTKGVITRTVTCARCKEVGHNKATCKAPTTPTP >ONH98484 pep chromosome:Prunus_persica_NCBIv2:G7:21207294:21211515:-1 gene:PRUPE_7G251000 transcript:ONH98484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKLILICQSGGEFVIKDDGSMSYTGGEAHAVDINLETVFDDLKFKLAEMLNLEYKSISMKYFLPGNTRTLITLSNDKDLKRMYEFHGKSVTADVFVMGKAGFDSEALSTQRRACGIKLAESVTPVAASTTSAAALHSSPLTVPTDVKSAVGSAAANAIPVVPAPLPLSKQTGSVMSVEERTQSPSGVDVPSSIPSDPVTVTADANVHSSNEFDMNATPADTVKKRRRTAAWKIGADGPTIVAVTDHVGEKRKVMPRKKNILSHNTTAETDDVGQKQDTLPCKDSSNSSDPIQDTLGQSNDVPPEKLVTLWKDGITGVGQEFKSVKEFRDALQKYAIAHRFMYRLKKNDTNRASGRCIAEGCSWRIHASWDSSVQRFRIKNMNKIHTCGREFWKSYHPTKSWLVSIIKDRLLDSPHLKPKELANGILQDFGIAVNYTQVWRGIEDARELLLGSYREAYNQLPRFCEKMAEANPGSNITLFTGDDRRFQRLFVCFHASIHGFQNGCRPIIFLDATSLKSKYHETFFAATALDGDDGVFPVAFAIVDVENDDNWRWFLEQLRSVVSTSQSLTFVSDREKGLKKSVIEVFENAHHGYSLHRLLESFKKNLKGPFHGDGKGSLPINFVAAAHAVRLDGFKTSTDQIRRVSSQAYDWVLQIEPECWTNALFKGEHYNHVTSDVAETYIKWIEEVRELPIARKIEVLSCKLMELINTRRTDSSTWPTKLTPSKEEKLRQETLQAYGLKVLFSSDTLFEVHKDSINVVDIDKWDCSCLKWKATGLPCCHAIAVFNCTGRNVYDYCSRYFKANNFQLTYSESINPSVPFQPLDSDTIDLETLHVLPPFISKPQNQEKKKQTRTKGVITRTVTCARCKEVGHNKATCKAPTTPTP >ONH98483 pep chromosome:Prunus_persica_NCBIv2:G7:21207244:21211547:-1 gene:PRUPE_7G251000 transcript:ONH98483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKLILICQSGGEFVIKDDGSMSYTGGEAHAVDINLETVFDDLKFKLAEMLNLEYKSISMKYFLPGNTRTLITLSNDKDLKRMYEFHGKSVTADVFVMGKAGFDSEALSTQRRACGIKLAESVTPVAASTTSAAALHSSPLTVPTDVKSAVGSAAANAIPVVPAPLPLSKQTGSVMSVEERTQSPSGVDVPSSIPSDPVTVTADANVHSSNEFDMNATPADTVKKRRRTAAWKIGADGPTIVAVTDHVGEKRKVMPRKKNILSHNTTAETDDVGQKQDTLPCKDSSNSSDPIQDTLGQSNDVPPEKLVTLWKDGITGVGQEFKSVKEFRDALQKYAIAHRFMYRLKKNDTNRASGRCIAEGCSWRIHASWDSSVQRFRIKNMNKIHTCGREFWKSYHPTKSWLVSIIKDRLLDSPHLKPKELANGILQDFGIAVNYTQVWRGIEDARELLLGSYREAYNQLPRFCEKMAEANPGSNITLFTGDDRRFQRLFVCFHASIHGFQNGCRPIIFLDATSLKSKYHETFFAATALDGDDGVFPVAFAIVDVENDDNWRWFLEQLRSVVSTSQSLTFVSDREKGLKKSVIEVFENAHHGYSLHRLLESFKKNLKGPFHGDGKGSLPINFVAAAHAVRLDGFKTSTDQIRRVSSQAYDWVLQIEPECWTNALFKGEHYNHVTSDVAETYIKWIEEVRELPIARKIEVLSCKLMELINTRRTDSSTWPTKLTPSKEEKLRQETLQAYGLKVLFSSDTLFEVHKDSINVVDIDKWDCSCLKWKATGLPCCHAIAVFNCTGRNVYDYCSRYFKANNFQLTYSESINPSVPFQPLDSDTIDLETLHVLPPFISKPQNQEKKKQTRTKGVITRTVTCARCKEVGHNKATCKAPTTPTP >ONH94925 pep chromosome:Prunus_persica_NCBIv2:G7:7507586:7513569:1 gene:PRUPE_7G040400 transcript:ONH94925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISTLGTIDNNMINLRRMLKQPWPLLASFCSLCFLSCFWFQVSVAQNATTDPSEVTALISIFRQWDMLALPIRGGEPCIGFAINGSEFEKPENNPAVTCDCTYDKNTTCHITKLRVHALKKRGVFPTEFEALRYLAVLKLDKNNFTGPLPAFIGNMSALTVLSVSHNSFSGPIPKELGNLKELTMLSFGSNNFSGTLPPELGNLVNLGLFYMDSCGLSGEIPSTFAKLINMQVLWASDNSFSGKIPSFIGNWRKLTYLRFQGNSFEGPIPSSFSQLTSLESLRISDIYYVSSSLDFIRNLKNLTDLVLRNTLINGSIPTDFGEYQRLQILDLGFNNLTGELPSSLFNMSSLTYLFLGNNILSGPLPSQKSNKLQTIDLSYNYFSGSFPPWVATISQLNLVVNNFTFDSSNITLPGLNCLQRDFPCNRNTPQYTSFSINCGGPQMRGSGGILYEAEDSALGPATFKVTSTQKWAVSNAGLFSDIKNKSFVETTLAQVTGTDVTPELFQTSRLSPGSLRYYGLGLQNGPYIVTLQFAEMVFDSRDEQSRQSLGRRVFDIYIQGNLRRKDFDISKEAGGVKRAVARPFKVNVTENYLDIHLFWAGKGTCCIPEQGDYGPLISAVHAALDLTPTTLGKKSRTGLIVGIAVAVGVGVVILLLLFAVLYMRRKTSEKDDDEDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGSHRILVYEYLENKSLDQALFD >ONH94927 pep chromosome:Prunus_persica_NCBIv2:G7:7507586:7514979:1 gene:PRUPE_7G040400 transcript:ONH94927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALPIRGGEPCIGFAINGSEFEKPENNPAVTCDCTYDKNTTCHITKLRVHALKKRGVFPTEFEALRYLAVLKLDKNNFTGPLPAFIGNMSALTVLSVSHNSFSGPIPKELGNLKELTMLSFGSNNFSGTLPPELGNLVNLGLFYMDSCGLSGEIPSTFAKLINMQVLWASDNSFSGKIPSFIGNWRKLTYLRFQGNSFEGPIPSSFSQLTSLESLRISDIYYVSSSLDFIRNLKNLTDLVLRNTLINGSIPTDFGEYQRLQILDLGFNNLTGELPSSLFNMSSLTYLFLGNNILSGPLPSQKSNKLQTIDLSYNYFSGSFPPWVATISQLNLVVNNFTFDSSNITLPGLNCLQRDFPCNRNTPQYTSFSINCGGPQMRGSGGILYEAEDSALGPATFKVTSTQKWAVSNAGLFSDIKNKSFVETTLAQVTGTDVTPELFQTSRLSPGSLRYYGLGLQNGPYIVTLQFAEMVFDSRDEQSRQSLGRRVFDIYIQGNLRRKDFDISKEAGGVKRAVARPFKVNVTENYLDIHLFWAGKGTCCIPEQGDYGPLISAVHAALDLTPTTLGKKSRTGLIVGIAVAVGVGVVILLLLFAVLYMRRKTSEKDDDEDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGSHRILVYEYLENKSLDQALFGRNDLHLDWPTRFNILLGTARGLAYLHEESKPRIVHRDVKASNILLDAELSPKISDFGWAKLYDDKKTHMSTRVAGTIGYLAPEYAMRGRLTEKADVFGFGVVALEILSGRPNSDDNLDPEKIYLLEWAWTLHENDQSLGLVDPRLTEFDENDATRLIKAALLCTQASPMMRPSMSRVVAILSGDIEASTVMSKPSYLADWDFKDVTTSSFLVDDDTSSTESNVLLDHQPEGSTTGASLGIDPGIVGEGT >ONH94926 pep chromosome:Prunus_persica_NCBIv2:G7:7507586:7514979:1 gene:PRUPE_7G040400 transcript:ONH94926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLRRMLKQPWPLLASFCSLCFLSCFWFQVSVAQNATTDPSEVTALISIFRQWDMLALPIRGGEPCIGFAINGSEFEKPENNPAVTCDCTYDKNTTCHITKLRVHALKKRGVFPTEFEALRYLAVLKLDKNNFTGPLPAFIGNMSALTVLSVSHNSFSGPIPKELGNLKELTMLSFGSNNFSGTLPPELGNLVNLGLFYMDSCGLSGEIPSTFAKLINMQVLWASDNSFSGKIPSFIGNWRKLTYLRFQGNSFEGPIPSSFSQLTSLESLRISDIYYVSSSLDFIRNLKNLTDLVLRNTLINGSIPTDFGEYQRLQILDLGFNNLTGELPSSLFNMSSLTYLFLGNNILSGPLPSQKSNKLQTIDLSYNYFSGSFPPWVATISQLNLVVNNFTFDSSNITLPGLNCLQRDFPCNRNTPQYTSFSINCGGPQMRGSGGILYEAEDSALGPATFKVTSTQKWAVSNAGLFSDIKNKSFVETTLAQVTGTDVTPELFQTSRLSPGSLRYYGLGLQNGPYIVTLQFAEMVFDSRDEQSRQSLGRRVFDIYIQGNLRRKDFDISKEAGGVKRAVARPFKVNVTENYLDIHLFWAGKGTCCIPEQGDYGPLISAVHAALDLTPTTLGKKSRTGLIVGIAVAVGVGVVILLLLFAVLYMRRKTSEKDDDEDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGSHRILVYEYLENKSLDQALFGRNDLHLDWPTRFNILLGTARGLAYLHEESKPRIVHRDVKASNILLDAELSPKISDFGWAKLYDDKKTHMSTRVAGTIGYLAPEYAMRGRLTEKADVFGFGVVALEILSGRPNSDDNLDPEKIYLLEWAWTLHENDQSLGLVDPRLTEFDENDATRLIKAALLCTQASPMMRPSMSRVVAILSGDIEASTVMSKPSYLADWDFKDVTTSSFLVDDDTSSTESNVLLDHQPEGSTTGASLGIDPGIVGEGT >ONH94924 pep chromosome:Prunus_persica_NCBIv2:G7:7507500:7514979:1 gene:PRUPE_7G040400 transcript:ONH94924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISTLGTIDNNMINLRRMLKQPWPLLASFCSLCFLSCFWFQVSVAQNATTDPSEVTALISIFRQWDMLALPIRGGEPCIGFAINGSEFEKPENNPAVTCDCTYDKNTTCHITKLRVHALKKRGVFPTEFEALRYLAVLKLDKNNFTGPLPAFIGNMSALTVLSVSHNSFSGPIPKELGNLKELTMLSFGSNNFSGTLPPELGNLVNLGLFYMDSCGLSGEIPSTFAKLINMQVLWASDNSFSGKIPSFIGNWRKLTYLRFQGNSFEGPIPSSFSQLTSLESLRISDIYYVSSSLDFIRNLKNLTDLVLRNTLINGSIPTDFGEYQRLQILDLGFNNLTGELPSSLFNMSSLTYLFLGNNILSGPLPSQKSNKLQTIDLSYNYFSGSFPPWVATISQLNLVVNNFTFDSSNITLPGLNCLQRDFPCNRNTPQYTSFSINCGGPQMRGSGGILYEAEDSALGPATFKVTSTQKWAVSNAGLFSDIKNKSFVETTLAQVTGTDVTPELFQTSRLSPGSLRYYGLGLQNGPYIVTLQFAEMVFDSRDEQSRQSLGRRVFDIYIQGNLRRKDFDISKEAGGVKRAVARPFKVNVTENYLDIHLFWAGKGTCCIPEQGDYGPLISAVHAALDLTPTTLGKKSRTGLIVGIAVAVGVGVVILLLLFAVLYMRRKTSEKDDDEDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGSHRILVYEYLENKSLDQALFGRNDLHLDWPTRFNILLGTARGLAYLHEESKPRIVHRDVKASNILLDAELSPKISDFGWAKLYDDKKTHMSTRVAGTIGYLAPEYAMRGRLTEKADVFGFGVVALEILSGRPNSDDNLDPEKIYLLEWAWTLHENDQSLGLVDPRLTEFDENDATRLIKAALLCTQASPMMRPSMSRVVAILSGDIEASTVMSKPSYLADWDFKDVTTSSFLVDDDTSSTESNVLLDHQPEGSTTGASLGIDPGIVGEGT >ONH94650 pep chromosome:Prunus_persica_NCBIv2:G7:4077375:4081722:-1 gene:PRUPE_7G025200 transcript:ONH94650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGIYGAVMEEITLYTGLSPAAFFTIAGMMVVVYRIVSAMFVGPEDYNKPPMAASIGTDSNLVNKYLNLNQTTTTTPTQMGDMTEQQLRGFDGSDPNKPLLMAIRAQIYDVSSSRNFYGPGGPYAMFAGRDASRALALLSFKPQDINGNIEGLGPDELEILQDWEDKFIEKYPNVGKLVAEPTKTEQTEAQQQGIGQEQ >ONH94652 pep chromosome:Prunus_persica_NCBIv2:G7:4077465:4081722:-1 gene:PRUPE_7G025200 transcript:ONH94652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGIYGAVMEEITLYTGLSPAAFFTIAGMMVVVYRIVSAMFVGPEDYNKPPMAASIGTDSNLVNKYLNLNQTTTTTPTQMGDMTEQQLRGFDGSDPNKPLLMAIRAQIYDVSSSRNFYGPGGPYAMFAGRDASRALALLSFKPQDINGNIEGLGPDELEILQDWEDKFIEKYPNVGKLVAEPTKTEQTEAQQQGIGQEQ >ONH94651 pep chromosome:Prunus_persica_NCBIv2:G7:4078093:4081722:-1 gene:PRUPE_7G025200 transcript:ONH94651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGIYGAVMEEITLYTGLSPAAFFTIAGMMVVVYRIVSAMFVGPEDYNKPPMAASIGTDSNLVNKYLNLNQTTTTTPTQMGDMTEQQLRGFDGSDPNKPLLMAIRAQIYDVSSSRNFYGPGGPYAMFAGRDASRALALLSFKPQDINGNIEGLGPDELEILQDWEDKFIEKYPNVGKLVAEPTKTEQTEAQQQGIGQEQ >ONH96086 pep chromosome:Prunus_persica_NCBIv2:G7:13542267:13542935:-1 gene:PRUPE_7G106800 transcript:ONH96086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETREAALACFDNLSEEQKDKAWEDFEAMDLDGDGTITLIDYQQFYYGSSYRIPFQQLDTNRDGKLNFQEFKTLFYLLLNSRGTTPLIPTQDQPESSESNQRFVGAAKLFSVQYKDIRLIRGKNGLHLPQRQPIRPPNMSCAGIGCLVSS >ONH96085 pep chromosome:Prunus_persica_NCBIv2:G7:13541888:13542990:-1 gene:PRUPE_7G106800 transcript:ONH96085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETREAALACFDNLSEEQKDKAWEDFEAMDLDGDGTITLIDYQQFYYGSSYRIPFQQLDTNRDGKLNFQEFKTLFYLLLNSRGTTPLIPTQDQPESSESNQPNSSQSNTRTFGLSEAKMVFTYLKDSRFVLLTCLVLGLGVWYQVSRSSEVVMKAIEDFNSCWAFASAVASVATGLFDYVINIL >ONH96087 pep chromosome:Prunus_persica_NCBIv2:G7:13541888:13543000:-1 gene:PRUPE_7G106800 transcript:ONH96087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETREAALACFDNLSEEQKDKAWEDFEAMDLDGDGTITLIDYQQFYYGSSYRIPFQQLDTNRDGKLNFQEFKTLFYLLLNSRGTTPLIPTQDQNLPSPINQTLLSPIQGHSAYQRQKWSSPTSKTADSSS >ONH98702 pep chromosome:Prunus_persica_NCBIv2:G7:21791896:21794747:-1 gene:PRUPE_7G262500 transcript:ONH98702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLLESGRRMEEEPSNLPVSLWGRVSAFEARAREAYKRKPISHWILLVLSSAAMLVAFPASSLLSRVYYANGGTSKWIISWVAVAGWPLTALILFPTYLVCKTSPTPLTLKLTFSYIVLGLLSAADNLMYAYAYAYLPASTASLLASSSLVFSALFGYLLVKNKLNAAIVNAVVVITAAMTIIALDSDSDRYGNVTDKQYIWGFIWDILGSALHGLIFALSELVFVKLVGRRSFHVVLEQQVMVSLFAFVFTTIGVIVNNDFQRMKYEARSFEGGKSSYYLVLIWSTISFQLGVLGSVAVLFLSSTVLAGVLNAIRVPLTSIAAVILLKDPMSGLKILSLVVTFWGFGCYIYGSSSSSKDSS >ONH98705 pep chromosome:Prunus_persica_NCBIv2:G7:21792082:21793143:-1 gene:PRUPE_7G262500 transcript:ONH98705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPSNLPVSLWGRVSAFEARAREAYKRKPISHWILLVLSSAAMLVAFPASSLLSRVYYANGGTSKWIISWVAVAGWPLTALILFPTYLVCKTSPTPLTLKLTFSYIVLGLLSAADNLMYAYAYAYLPASTASLLASSSLVFSALFGYLLVKNKLNAAIVNAVVVITAAMTIIALDSDSDRYGNVTDKQYIWGFIWDILGSALHGLIFALSELVFVKLVGRRSFHVVLEQQVMVSLFAFVFTTIGVIVNNDFQRMKYEARSFEGGKSSYYLVLIWSTISFQLGVLGSVAVLFLSSTVLAGVLNAIRVPLTSIAAVILLKDPMSGLKILSLVVTFWGFGCYIYGSSSSSKDSS >ONH98703 pep chromosome:Prunus_persica_NCBIv2:G7:21791896:21794747:-1 gene:PRUPE_7G262500 transcript:ONH98703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPSNLPVSLWGRVSAFEARAREAYKRKPISHWILLVLSSAAMLVAFPASSLLSRVYYANGGTSKWIISWVAVAGWPLTALILFPTYLVCKTSPTPLTLKLTFSYIVLGLLSAADNLMYAYAYAYLPASTASLLASSSLVFSALFGYLLVKNKLNAAIVNAVVVITAAMTIIALDSDSDRYGNVTDKQYIWGFIWDILGSALHGLIFALSELVFVKLVGRRSFHVVLEQQVMVSLFAFVFTTIGVIVNNDFQRMKYEARSFEGGKSSYYLVLIWSTISFQLGVLGSVAVLFLSSTVLAGVLNAIRVPLTSIAAVILLKDPMSGLKILSLVVTFWGFGCYIYGSSSSSKDSS >ONH98704 pep chromosome:Prunus_persica_NCBIv2:G7:21792082:21793143:-1 gene:PRUPE_7G262500 transcript:ONH98704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPSNLPVSLWGRVSAFEARAREAYKRKPISHWILLVLSSAAMLVAFPASSLLSRVYYANGGTSKWIISWVAVAGWPLTALILFPTYLVCKTSPTPLTLKLTFSYIVLGLLSAADNLMYAYAYAYLPASTASLLASSSLVFSALFGYLLVKNKLNAAIVNAVVVITAAMTIIALDSDSDRYGNVTDKQYIWGFIWDILGSALHGLIFALSELVFVKLVGRRSFHVVLEQQVMVSLFAFVFTTIGVIVNNDFQRMKYEARSFEGGKSSYYLVLIWSTISFQLGVLGSVAVLFLSSTVLAGVLNAIRVPLTSIAAVILLKDPMSGLKILSLVVTFWGFGCYIYGSSSSSKDSS >ONH97753 pep chromosome:Prunus_persica_NCBIv2:G7:19107348:19112447:1 gene:PRUPE_7G207900 transcript:ONH97753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNVSDHSVVQIKDDDKDDVIAEDRNGVELIVSSIRGKLHQNPPSTARSCIFGIPNVLHRHNEKAFVPNLVSIGPFQHGKKNLKVMQEIKLWYLHCLLDRKPTSETSLEYLVEAIKGMEQDCRDCYGEKIHMSSEKFVEMMVVDGCFIVELFRKYAKEVPRNEDDPVFYTAWMKLALTKDLFLLENQLPWKVVDCLFHHTMEKDEPESNALLLLALKFFEVSAFGQDPQPDPEPKHLLDGIRSSLLASYPSQDTQRYWEPIPSVTELLQAGVKFERRSNTWDNMLDITFENGVMEIPPISIEENAESLFRNLIAYEQCDPSIKSCNIASYAVILDNLINTSKDADFLIQKEIIVTKLSKEDIACLFNRLYSDTIVGYFCYVELTKNVNEYYQDRWHRWQTILSRDYFSNPWSIFSLAAALLILGFTFLQTLYALLTYY >ONH97754 pep chromosome:Prunus_persica_NCBIv2:G7:19110575:19112447:1 gene:PRUPE_7G207900 transcript:ONH97754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNVSDHSVVQIKDDDKDDVIAEDRNGVELIVSSIRGKLHQNPPSTARSCIFGIPNVLHRHNEKAFVPNLVSIGPFQHGKKNLKVMQEIKLWYLHCLLDRKPTSETSLEYLVEAIKGMEQDCRDCYGEKIHMSSEKFVEMMVVDGCFIVELFRKYAKEVPRNEDDPVFYTAWMKLALTKDLFLLENQLPWKVVDCLFHHTMEKDEPESNALLLLALKFFEVSAFGQDPQPDPEPKHLLDGIRSSLLASYPSQDTQRYWEPIPSVTELLQAGVKFERRSNTWDNMLDITFENGVMEIPPISIEENAESLFRNLIAYEQCDPSIKSCNIASYAVILDNLINTSKDADFLIQKEIIVTKLSKEDIACLFNRLYSDTIVGYFCYVELTKNVNEYYQDRWHRWQTILSRDYFSNPWSIFSLAAALLILGFTFLQTLYALLTYY >ONH95351 pep chromosome:Prunus_persica_NCBIv2:G7:10443511:10444585:-1 gene:PRUPE_7G065900 transcript:ONH95351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWIAFIAQTRCTKFGFCIQDKATNQVLLRGRSNKGIYPIPSAVSPVNSSSLAEGSFSPATAYVGQQIKSSMWHNRLGHPTNEVVQTMLKTSQLPVLVDAHQHICPYCLSGKMHTLPFPSTHVKSLVPFQRIRSDL >ONH96819 pep chromosome:Prunus_persica_NCBIv2:G7:16397418:16398572:1 gene:PRUPE_7G154200 transcript:ONH96819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLIDDDGVHSKSSEIPRFDRFVPNPTSFQLQIWFFWSLAYVYSIFELNNCNLMIGKKFGLKSLLNCLVIWLIIILWGSFILFTVIHFYMLSCAIKQGPMRAQISHPHHSLIQFIMQYFAIHTYKTKCQYICSNSCLYFTTILV >ONH96797 pep chromosome:Prunus_persica_NCBIv2:G7:16305100:16308555:1 gene:PRUPE_7G152900 transcript:ONH96797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDKYVGSDSDSSFVFVSDNNSVGSDSENYVILGDESYVATASVENLCDVTKQQLKIVDADISVGTDSEEDLSDESKQQLKNVDKDSYMDTDSEGYLYDESEPGMKNVNNDCYLGSDYEEDIFDESEPQMKNVDNDSYLGTDSEEDISDGSGEVFKIEDNGNYAGTVVPVPRSRIKRYSFKFHTYSYKRIGHIDDCPEDRKHIKKMNINDAAMEYVLPFLPAKILCRLKTVSKQWDQWIGSAFFSHKQTYYFRDISGLFCQPSGDYPSFISLDRDAYGVPSSSLTFLPKPVTVRTTCKGLLCCQTCDGEDTYYICNPLNKQWKELPKPGYYHGPESFLALAFEPSVLDFEAKFELVCAFSVPGHTGVYFDIFSSRSNSWELSDAVCYELNALELSGNGLFLKGVVFWETSTGHILAFDLKEKQYGMMLLPLGSGPQGVMTEMNGELCYILPCEDDDGQYTLEIYGDMCMNLKRRIHLIGEVFNHVTERLRALACVNDDVVVILLGRYLIAYHVKAGEIETLRTGRTNAGYERFLPYVNSLVAI >ONH97905 pep chromosome:Prunus_persica_NCBIv2:G7:19619275:19620205:1 gene:PRUPE_7G217600 transcript:ONH97905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCDIDGQGQHLSAAAIIGLDGSVWAKSASFPQFKPEEMTGINKDFEEPGHLAPTGLHLGGAKYMVIQGEPGAVIRGKKGSGGITIKKTGQALVFGLYEEPVTPGQCNMVVESLHLLHPKSL >ONH97906 pep chromosome:Prunus_persica_NCBIv2:G7:19619022:19620401:1 gene:PRUPE_7G217600 transcript:ONH97906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCDIDGQGQHLSAAAIIGLDGSVWAKSASFPQFKPEEMTGINKDFEEPGHLAPTGLHLGGAKYMVIQGEPGAVIRGKKGSGGITIKKTGQALVFGLYEEPVTPGQCNMVVERLGDYLIDQGL >ONH98727 pep chromosome:Prunus_persica_NCBIv2:G7:21857780:21862410:1 gene:PRUPE_7G264200 transcript:ONH98727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMQGSMAILLHSFVGILCLALATVVATMAVPKQQELDRISALPGQPPVSFSQFSGYVTVNEHHGRALFYWLTEAATVSPHNKPLVLWLNGGPGCSSVAYGASEELGPFRINTTGSSLYLNKYSWNREANILFLESPAGVGFSYTNTSSDLEDSGDKRTAQDALIFLLKWLSRFPQYKYREFYIAGESYAGHYVPQLAREIVTYNQGNSHSIINLKGFIVGNAVTDNYYDSLGTVTFWWSHAMISDQTYRSILNHCNFTAERASQQCDAAVNYAMNHDFGDIDQYSIYTPFCLTMLNNTNRHLRLKNTLLRRRVSGYDPCTENYAEKYYNRPDVQKAMHANITRIPYKWTACSDVLIKNWKDSEDSMLPTYKQLIKAGLKIWVFSGDTDSVVPVTATRFALNHLNLTIKTPWYPWYTGGQVGGWTEAYDGLTFATVRGAGHEVPLFQPNRAFIIFKSFLAGKKLPKRN >ONH98729 pep chromosome:Prunus_persica_NCBIv2:G7:21859247:21862407:1 gene:PRUPE_7G264200 transcript:ONH98729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICGGGGGGLSSAVLKLGFNLINTSSNLNWKIVRPGCSSVAYGASEELGPFRINTTGSSLYLNKYSWNREANILFLESPAGVGFSYTNTSSDLEDSGDKRTAQDALIFLLKWLSRFPQYKYREFYIAGESYAGHYVPQLAREIVTYNQGNSHSIINLKGFIVGNAVTDNYYDSLGTVTFWWSHAMISDQTYRSILNHCNFTAERASQQCDAAVNYAMNHDFGDIDQYSIYTPFCLTMLNNTNRHLRLKNTLLRRRVSGYDPCTENYAEKYYNRPDVQKAMHANITRIPYKWTACSDVLIKNWKDSEDSMLPTYKQLIKAGLKIWVFSGDTDSVVPVTATRFALNHLNLTIKTPWYPWYTGGQVGGWTEAYDGLTFATVRGAGHEVPLFQPNRAFIIFKSFLAGKKLPKRN >ONH98728 pep chromosome:Prunus_persica_NCBIv2:G7:21858124:21861207:1 gene:PRUPE_7G264200 transcript:ONH98728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMQGSMAILLHSFVGILCLALATVVATMAVPKQQELDRISALPGQPPVSFSQFSGYVTVNEHHGRALFYWLTEAATVSPHNKPLVLWLNGGPGCSSVAYGASEELGPFRINTTGSSLYLNKYSWNREANILFLESPAGVGFSYTNTSSDLEDSGDKRTAQDALIFLLKWLSRFPQYKYREFYIAGESYAGHYVPQLAREIVTYNQGNSHSIINLKGFIVGNAVTDNYYDSLGTVTFWWSHAMISDQTYRSILNHCNFTAERASQQCDAAVNYAMNHDFGDIDQYSIYTPFCLTMLNNTNRHLRLKNTLLRRRVSGYDPCTENYAEKYYNRPDVQKAMHANITRIPYKWTACSDVLIKNWKDSEDSMLPTYKQLIKAGLKIWVFR >ONH97043 pep chromosome:Prunus_persica_NCBIv2:G7:17016112:17018554:1 gene:PRUPE_7G166300 transcript:ONH97043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESIESTVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGPPQEEGWVVCRAFKKRTSSQNKGIEGWDSSYFYEELNGVSSVVDPTEYMISRQPPSFLAQNFMCKQETEADSLNFMHSDHFVQLPQLESPSLPLISKRPSSMSLISENNIEVEDEPKKVTDWRALDKFVASQLSQEERYEGDGESSFGAHDDSEMALLLLQSSGRDDDQGNKLNGFLNSSPDGDIGICIFEK >ONH97039 pep chromosome:Prunus_persica_NCBIv2:G7:17014933:17018554:1 gene:PRUPE_7G166300 transcript:ONH97039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESIESTVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGPPQEEGWVVCRAFKKRTSSQNKGIEGWDSSYFYEELNGVSSVVDPTEYMISRQPPSFLAQNFMCKQETEADSLNFMHSDHFVQLPQLESPSLPLISKRPSSMSLISENNIEVEDEPKKVTDWRALDKFVASQLSQEERYEGDGESSFGAHDDSEMALLLLQSSGRDDDQGNKLNGFLNSSPDGDIGICIFEK >ONH97042 pep chromosome:Prunus_persica_NCBIv2:G7:17014002:17018578:1 gene:PRUPE_7G166300 transcript:ONH97042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESIESTVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGPPQEEGWVVCRAFKKRTSSQNKGIEGWDSSYFYEELNGVSSVVDPTEYMISRQPPSFLAQNFMCKQETEADSLNFMHSDHFVQLPQLESPSLPLISKRPSSMSLISENNIEVEDEPKKVTDWRALDKFVASQLSQEERYEGDGESSFGAHDDSEMALLLLQSSGRDDDQGNKLNGFLNSSPDGDIGICIFEK >ONH97040 pep chromosome:Prunus_persica_NCBIv2:G7:17015790:17018554:1 gene:PRUPE_7G166300 transcript:ONH97040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESIESTVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGPPQEEGWVVCRAFKKRTSSQNKGIEGWDSSYFYEELNGVSSVVDPTEYMISRQPPSFLAQNFMCKQETEADSLNFMHSDHFVQLPQLESPSLPLISKRPSSMSLISENNIEVEDEPKKVTDWRALDKFVASQLSQEERYEGDGESSFGAHDDSEMALLLLQSSGRDDDQGNKLNGFLNSSPDGDIGICIFEK >ONH97041 pep chromosome:Prunus_persica_NCBIv2:G7:17014374:17018554:1 gene:PRUPE_7G166300 transcript:ONH97041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESIESTVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESEENGPPQEEGWVVCRAFKKRTSSQNKGIEGWDSSYFYEELNGVSSVVDPTEYMISRQPPSFLAQNFMCKQETEADSLNFMHSDHFVQLPQLESPSLPLISKRPSSMSLISENNIEVEDEPKKVTDWRALDKFVASQLSQEERYEGDGESSFGAHDDSEMALLLLQSSGRDDDQGNKLNGFLNSSPDGDIGICIFEK >ONH97597 pep chromosome:Prunus_persica_NCBIv2:G7:18694141:18697004:-1 gene:PRUPE_7G199600 transcript:ONH97597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITTGGNPSSSATDDIELYIIVFWVVTFLSELYKRLMQIFLIVEVNLNYYQELYRAALKGNWKEAKSFLDRDPDAVKARISNLKMTALHVAACEGHSEFVENIVALMPAAELAQLDDLGYTALHYAAIGGSLRSAKALLAANSNLTQVVDSEGRTPLLLAASLGSESKEVVWYLLLVTTDEKPGQPFTGPRAAYLVNVLIASGLHEISLYLLEKHPHLATAIDHQDNNCTPLFILARNKSNFLSGSRLGFWETCIYQFLPVEVDYRPPRSVRAHVVGHESVQDQLRMPTQNKVIHGLRRLLCGAIKPIASARFRQLQDAKLRHHCAVELVQQICKQISNKNVSERLDYLLKLEILNTATVNGTTEILRILLNFFPDLIWVRFGNNRFLVLTYAIEHRHENLFRMVCDKTARNKLMAFAVLEPRETILHLAAKLPPLSQLSSISGAALQMQRELQWFKVFIQKVCQLVVENLVHPYYKEYPNTNLETARELFTKEHKQLAESGEKWLKDTSNSCMLVATLVATVVFAAAFTAPGGNNDDGDPNFLDKKTIMFMVFAVSDAISLFASLTSLLMFLSILTARYAEEDFLESLPKKLIIGLASLFIAIATMMVAFGATFTIVLSKKFNWVYIPITLLASFPVTLFALLQLPLFIQMVRSTFGRSIFRPQNIW >ONH94260 pep chromosome:Prunus_persica_NCBIv2:G7:813368:822778:1 gene:PRUPE_7G006400 transcript:ONH94260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSKIKWVALFVLVLSFASLLRHLSIAKYSTVDLVQYSALTAFHNDFDTLSGRQNIPNKKLWEPVKPLQSLQPYANPRSNYPVPNEQSSGFIYAKIFGGFSKIRSTICDLVTISRLLNATLVIPDIQESTRSKGISHRFKSFTYLYDEEQFIASLKNDIIIVKSLPDNLKAARKRNEYPSFRPKNSASPKFYIKEVLPKLKKAKVIGLVIADGGCLQSILPPGMAELQRLRCRVAFHALQFRPEIQILGHRMVERLRAWGQPFLAFHPGLLRETLAYHGCAELFQDVHTELIQYRRAQMIKRGIVHEELSIDSHLRRENGSCPLMPEEVGILLRAMGYPPKTIVYLAGSETFGGQRVLVPLRAMFPNLVDRTSLCSNKELLDILGPETPLPLNPFQPPPAKSEAQLKEEWKKAGPRPRPLPPPPGRPIYQHEKEGWYGWITETATEPDLSAVDLRMQAHRLIWDALDYIVSVEADTFFPGFNNDGSGWPDFSSLVMGHRLYETASSRTYRPDRKVVAELFNMTRDNVYHPKHNWTLSVQEHLKKSLGEEGLIRQSLSSKPGVFISHPLPECSCRISSAEVPVHVKGNDGRSVHGGEEECPKWMQHGQEVPLESAGEEDKVEDNELSEYGSTLVEQPESNDSDRTNTSLVFDQDDEMDPND >ONH94261 pep chromosome:Prunus_persica_NCBIv2:G7:813263:822849:1 gene:PRUPE_7G006400 transcript:ONH94261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSKIKWVALFVLVLSFASLLRHLSIAKYSTVDLVQYSALTAFHNDFDTLSGRQNIPNKKLWEPVKPLQSLQPYANPRSNYPVPNEQSSGFIYAKIFGGFSKIRSTICDLVTISRLLNATLVIPDIQESTRSKGISHRFKSFTYLYDEEQFIASLKNDIIIVKSLPDNLKAARKRNEYPSFRPKNSASPKFYIKEVLPKLKKAKVIGLVIADGGCLQSILPPGMAELQRLRCRVAFHALQFRPEIQILGHRMVERLRAWGQPFLAFHPGLLRETLAYHGCAELFQDVHTELIQYRRAQMIKRGIVHEELSIDSHLRRENGSCPLMPEEVGILLRAMGYPPKTIVYLAGSETFGGQRVLVPLRAMFPNLVDRTSLCSNKELLDILGPETPLPLNPFQPPPAKSEAQLKEEWKKAGPRPRPLPPPPGRPIYQHEKEGWYGWITETATEPDLSAVDLRMQAHRLIWDALDYIVSVEADTFFPGFNNDGSGWPDFSSLVMGHRLYETASSRTYRPDRKVVAELFNMTRDNVYHPKHNWTLSVQEHLKKSLGEEGLIRQSLSSKPGVFISHPLPECSCRISSAEVPVHVKGNDGRSVHGGEEECPKWMQHGQEVPLESAGEEDKVEDNELSEYGSTLVEQPESNDSDRTNTSLVFDQDDEMDPND >ONH94265 pep chromosome:Prunus_persica_NCBIv2:G7:813407:822545:1 gene:PRUPE_7G006400 transcript:ONH94265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSKIKWVALFVLVLSFASLLRHLSIAKYSTVDLVQYSALTAFHNDFDTLSGRQNIPNKKLWEPVKPLQSLQPYANPRSNYPVPNEQSSGFIYAKIFGGFSKIRSTICDLVTISRLLNATLVIPDIQESTRSKGISHRFKSFTYLYDEEQFIASLKNDIIIVKSLPDNLKAARKRNEYPSFRPKNSASPKFYIKEVLPKLKKAKVIGLVIADGGCLQSILPPGMAELQRLRCRVAFHALQFRPEIQILGHRMVERLRAWGQPFLAFHPGLLRETLAYHGCAELFQDVHTELIQYRRAQMIKRGIVHEELSIDSHLRRENGSCPLMPEEVGILLRAMGNKELLDILGPETPLPLNPFQPPPAKSEAQLKEEWKKAGPRPRPLPPPPGRPIYQHEKEGWYGWITETATEPDLSAVDLRMQAHRLIWDALDYIVSVEADTFFPGFNNDGSGWPDFSSLVMGHRLYETASSRTYRPDRKVVAELFNMTRDNVYHPKHNWTLSVQEHLKKSLGEEGLIRQSLSSKPGVFISHPLPECSCRISSAEVPVHVKGNDGRSVHGGEEECPKWMQHGQEVPLESAGEEDKVEDNELSEYGSTLVEQPESNDSDRTNTSLVFDQDDEMDPND >ONH94264 pep chromosome:Prunus_persica_NCBIv2:G7:813407:822545:1 gene:PRUPE_7G006400 transcript:ONH94264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSKIKWVALFVLVLSFASLLRHLSIAKYSTVDLVQYSALTAFHNDFDTLSGRQNIPNKKLWEPVKPLQSLQPYANPRSNYPVPNEQSSGFIYAKIFGGFSKIRSTICDLVTISRLLNATLVIPDIQESTRSKGISHRFKSFTYLYDEEQFIASLKNDIIIVKSLPDNLKAARKRNEYPSFRPKNSASPKFYIKEVLPKLKKAKVIGLVIADGGCLQSILPPGMAELQRLRCRVAFHALQFRPEIQILGHRMVERLRAWGQPFLAFHPGLLRETLAYHGCAELFQDVHTELIQYRRAQMIKRGIVHEELSIDSHLRRENGSCPLMPEEVGILLRAMGNKELLDILGPETPLPLNPFQPPPAKSEAQLKEEWKKAGPRPRPLPPPPGRPIYQHEKEGWYGWITETATEPDLSAVDLRMQAHRLIWDALDYIVSVEADTFFPGFNNDGSGWPDFSSLVMGHRLYETASSRTYRPDRKVVAELFNMTRDNVYHPKHNWTLSVQEHLKKSLGEEGLIRQSLSSKPGVFISHPLPECSCRISSAEVPVHVKGNDGRSVHGGEEECPKWMQHGQEVPLESAGEEDKVEDNELSEYGSTLVEQPESNDSDRTNTSLVFDQDDEMDPND >ONH94263 pep chromosome:Prunus_persica_NCBIv2:G7:813407:822545:1 gene:PRUPE_7G006400 transcript:ONH94263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSKIKWVALFVLVLSFASLLRHLSIAKYSTVDLVQYSALTAFHNDFDTLSGRQNIPNKKLWEPVKPLQSLQPYANPRSNYPVPNEQSSGFIYAKIFGGFSKIRSTICDLVTISRLLNATLVIPDIQESTRSKGISHRFKSFTYLYDEEQFIASLKNDIIIVKSLPDNLKAARKRNEYPSFRPKNSASPKFYIKEVLPKLKKAKVIGLVIADGGCLQSILPPGMAELQRLRCRVAFHALQFRPEIQILGHRMVERLRAWGQPFLAFHPGLLRETLAYHGCAELFQDVHTELIQYRRAQMIKRGIVHEELSIDSHLRRENGSCPLMPEEVGILLRAMGYPPKTIVYLAGSETFGGQRVLVPLRAMFPNLVDRTSLCSNKELLDILGPETPLPLNPFQPPPAKSEAQLKEEWKKAGPRPRPLPPPPGRPIYQHEKEGWYGWITETATEPDLSAVDLRMQAHRLIWDALDYIVSVEADTFFPGFNNDGSGWPDFSSLVMGHRLYETASSRTYRPDRKVVAELFNMTRDNVYHPKHNWTLSVQEHLKKSLGEEGLIRQSLSSKPGVFISHPLPECSCRISSAEVPVHVKGNDGRSVHGGEEECPKWMQHGQEVPLESAGEEDKVEDNELSEYGSTLVEQPESNDSDRTNTSLVFDQDDEMDPND >ONH94262 pep chromosome:Prunus_persica_NCBIv2:G7:813407:822545:1 gene:PRUPE_7G006400 transcript:ONH94262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSKIKWVALFVLVLSFASLLRHLSIAKYSTVDLVQYSALTAFHNDFDTLSGRQNIPNKKLWEPVKPLQSLQPYANPRSNYPVPNEQSSGFIYAKIFGGFSKIRSTICDLVTISRLLNATLVIPDIQESTRSKGISHRFKSFTYLYDEEQFIASLKNDIIIVKSLPDNLKAARKRNEYPSFRPKNSASPKFYIKEVLPKLKKAKVIGLVIADGGCLQSILPPGMAELQRLRCRVAFHALQFRPEIQILGHRMVERLRAWGQPFLAFHPGLLRETLAYHGCAELFQDVHTELIQYRRAQMIKRGIVHEELSIDSHLRRENGSCPLMPEEVGILLRAMGYPPKTIVYLAGSETFGGQRVLVPLRAMFPNLVDRTSLCSNKELLDILGPETPLPLNPFQPPPAKSEAQLKEEWKKAGPRPRPLPPPPGRPIYQHEKEGWYGWITETATEPDLSAVDLRMQAHRLIWDALDYIVSVEADTFFPGFNNDGSGWPDFSSLVMGHRLYETASSRTYRPDRKVVAELFNMTRDNVYHPKHNWTLSVQEHLKKSLGEEGLIRQSLSSKPGVFISHPLPECSCRISSAEVPVHVKGNDGRSVHGGEEECPKWMQHGQEVPLESAGEEDKVEDNELSEYGSTLVEQPESNDSDRTNTSLVFDQDDEMDPND >ONH95022 pep chromosome:Prunus_persica_NCBIv2:G7:8341872:8343914:-1 gene:PRUPE_7G047100 transcript:ONH95022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVLHSCKDLQTLRQFHARILVSTGFKPPSLLSKLITLYSRFNGVESAALVFRSIQEPNTMLSNLIIKSHVDSGLLGSALLLYKKMLELGVSHDCFTFPIVNRAVLLLGSDATYSGMVHCVAIQMGFGMDVYVGNTMIDAYVKCGRLDYARKLFDEMRQRDLVTWTSMISGYVSEGNVACGFSLFSEMRRELEPNAVTMLVMLQGCCDIEISVYGEPLHGYGIKSGLLNDGSVQNSIFKMYAKLGTVDQVEDFFGELDRRDVVSWNIRISFYSWRGDVVKVRDLFHEMQGEVAPSNETLTLVISAVTKHGILSQGESLHCLATKSGLCDDVLQTSLLDFYAKCGELGNSDKLFREIPHRNSITWGAMMFGFILNGYFNEAVGLFGRMQAEGVEPGAEILRSLVDAFANIGALKLGKGIHGCIIRKSFCEVKKCNTHLETSLINMYVRCGSISMARVCFSRMLIRDIVAWTSMIEGYGSHGLGLEALKLFDLMIREGTKPNSVTLLSLLSACSHSGLVTEGCEAFCSMKWKFGIEPDLDHYTSIVDLLGRSGKLKEALVVIMKMVIFPDSRIWGALLSGSRIYGRRDVGEFAAQRLLELEPDNVGYYTLLSNAQASVGEWDEVEEIRRVMKERDLKKKPGWSCIEAEEGRIYGFVSGDRSHHQMEAVYEVLEYLSRMAQGFS >ONH96373 pep chromosome:Prunus_persica_NCBIv2:G7:14647953:14649558:-1 gene:PRUPE_7G124300 transcript:ONH96373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLIDIARLFAQQGIIVTIFTTPHNAARFQTVLTRDLESGLQIRVIQVKFPAEEAGLPEGCENFDMLPSPELVFNFCAATALLHKPVEKLFEELTPKPNCIISDVTFPWTYSIASKHHIPRISFGGTGCFSFLCAHNLRVSNVLESVTSDSEYLVLPDLPDRIEMTKAQLPDGTVTAKLKDIGDEMAAADTETYGMIMNTFEELEPAYVKEYKKVKKDKLWCIGPASLCNKDDLDKAQRGNKISIDELHCFKWLDSWAPASVLYACLGSMCNLSSKHLVEIGLGLEASNKPFIWVVRGCKESQELDKWIAESGFEETNKARSLLIRGWAPQTLILSHPAVGGFLTHCGWNSTLEGICAGVPLLTWPLFGDQFMNEKFVEQILKIAVRVGVEFPVTWGEEEKIRRVLRKKKVKEAIEKLMDGKESQGRRERARELADMAKRAVAEGGSSYLNIKQLIQEIKELNCINTN >ONH98473 pep chromosome:Prunus_persica_NCBIv2:G7:21179753:21184273:-1 gene:PRUPE_7G250300 transcript:ONH98473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIRMHPMLLQLTLTSFVFLSCLRNGNAGITSTFIRSEYPSMDIPLDNKVFSVPKGHNAPQQVHITQGDYDGKAVIISWVTPDEPGTSKVQYGTSENGYELSAEGIVTNYTFYKYKSGYIHHCLVDGLEHDTKYYYKIGSGDSSREFWFTTPPKIDPNSRYTFGIIGDLGQTFNSLSTLEHYMQSKGQAVLFLGDLSYADRYQYNEVGLRWDTWGRFVEQSTAYHPWIWSAGNHEIEFMPYLGEVTPFKSYLQRYATPYLASKSSNPLWYAIRRASAHIIVLSSYSPFVKYTPQWRWLEEELKRVDREKTPWLIVLMHVPIYNSNDAHYMEGESMRVVFESWFVHYKVDVIFAGHVHAYERSYRISNIHYNITSGDQNPIPDKSAPVYITVGDGGNQEGLAGRFTDPQPDYSAFREAAYGHSTLEIINRTHALYHWNRNDDGKKIATDAFVLHNQYWGMNRRRRKLKKHYVRKSLEQVAIY >ONH98474 pep chromosome:Prunus_persica_NCBIv2:G7:21180220:21183316:-1 gene:PRUPE_7G250300 transcript:ONH98474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIRMHPMLLQLTLTSFVFLSCLRNGNAGITSTFIRSEYPSMDIPLDNKVFSVPKGHNAPQQVHITQGDYDGKAVIISWVTPDEPGTSKVQYGTSENGYELSAEGIVTNYTFYKYKSGYIHHCLVDGLEHDTKYYYKIGSGDSSREFWFTTPPKIDPNSRYTFGIIGDLGQTFNSLSTLEHYMQSKGQAVLFLGDLSYADRYQYNEVGLRWDTWGRFVEQSTAYHPWIWSAGNHEIEFMPYLGEVTPFKSYLQRYATPYLASKSSNPLWYAIRRASAHIIVLSSYSPFVKYTPQWRWLEEELKRVDREKTPWLIVLMHVPIYNSNDAHYMEGESMRVVFESWFVHYKVDVIFAGHVHAYERSYRISNIHYNITSGDQNPIPDKSAPVYITVGDGGNQEGLAGRFTDPQPDYSAFREAAYGHSTLEIINRTHALYHWNRNDDGKKIATDAFVLHNQYW >ONH98472 pep chromosome:Prunus_persica_NCBIv2:G7:21179753:21184352:-1 gene:PRUPE_7G250300 transcript:ONH98472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIRMHPMLLQLTLTSFVFLSCLRNGNAGITSTFIRSEYPSMDIPLDNKVFSVPKGHNAPQQVHITQGDYDGKAVIISWVTPDEPGTSKVQYGTSENGYELSAEGIVTNYTFYKYKSGYIHHCLVDGLEHDTKYYYKIGSGDSSREFWFTTPPKIDPNSRYTFGIIGDLGQTFNSLSTLEHYMQSKGQAVLFLGDLSYADRYQYNEVGLRWDTWGRFVEQSTAYHPWIWSAGNHEIEFMPYLGEVTPFKSYLQRYATPYLASKSSNPLWYAIRRASAHIIVLSSYSPFVKYTPQWRWLEEELKRVDREKTPWLIVLMHVPIYNSNDAHYMEGESMRVVFESWFVHYKVDVIFAGHVHAYERSYRISNIHYNITSGDQNPIPDKSAPVYITVGDGGNQEGLAGRFTDPQPDYSAFREAAYGHSTLEIINRTHALYHWNRNDDGKKIATDAFVLHNQYWGMNRRRRKLKKHYVRKSLEQVAIY >ONH94951 pep chromosome:Prunus_persica_NCBIv2:G7:7772564:7773139:-1 gene:PRUPE_7G041800 transcript:ONH94951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNDVREETKRLSSSSNAWRPFTQTKQIEEEDSPSLVEEEDPPSSVEEEDPPSSVEEEDPSSMRQRDEVLLEQDVNPIQDEGLVIHNSTSQLQNGKR >ONH98761 pep chromosome:Prunus_persica_NCBIv2:G7:21895823:21899935:1 gene:PRUPE_7G265300 transcript:ONH98761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITTSCASKAMLYRTTYKTTPSPLSLSSVESKLFGLRFNNSSSCFGCLSSTVSSPITARFGGGPRFPGNDPRRSRKSDSDEDEALDVSSIRSDTVRLIDAKQNMVGVVSKREAIRLADDADLDLVILSPEADPPVLRIMDYNKYRYEQQKKKRGQQKKSAASRMDLKELKMGYNIDQHDYSVRLRAAQKFLKDGDKVKVIVHLKGRENDFRNIAIALIKRFQNDVGELATEEAKSFSDKNMFIVLVPNKAILQKAQEPPPKKKENSAPAVNEISAGV >ONH98760 pep chromosome:Prunus_persica_NCBIv2:G7:21895823:21899935:1 gene:PRUPE_7G265300 transcript:ONH98760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITTSCASKAMLYRTTYKTTPSPLSLSSVESKLFGLRFNNSSSCFGCLSSTVSSPITARFGGGPRFPGNDPRRSRKSDSDEDEALDVSSIRSDTVRLIDAKQNMVGVVSKREAIRLADDADLDLVILSPEADPPVLRIMDYNKYRYEQQKKKRGQQKKSAASRMDLKELKMGYNIDQHDYSVRLRAAQKFLKDGDKVKVIVHLKGRENDFRNIAIALIKRFQNDVGELATEEAKSFSDKNMFIVLVPNKAILQKAQEPPPKKKENSAPAVNEISAGV >ONH96362 pep chromosome:Prunus_persica_NCBIv2:G7:14590668:14594669:1 gene:PRUPE_7G123600 transcript:ONH96362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVSHVTTTAEQPSNEARLGILELANMISVPMSLNAVVRLNVPDAIWQGGSNSPLSASAILSHVLPDGGGDAENLQRILRMLTSYGVFAEHLVSADDDGGSHQRKFSLTEIGKTLVTDQNGLSYGPYILQHHQDVLMGAWPMVHEAVVDPTIEPFVKVNGEPAYEYYGKKPEMNGLMQRAMSGVSVPFMKAILDGYDGFEGVGRLVDVGGSAGDCLRMILQKHPSVREGINFDLPEVVAKAPTIAGVSHVGGDMFKSIPSGDAIFMKWVLSTWTDSECKLIMENCYKALPVGGKLIACEPVLPTKSDDSPRTRALLENDIFVMTIYRAKGKNRTEDELRQLGLSAGFSHFKPIYIDYFYTVLEFQK >ONH95812 pep chromosome:Prunus_persica_NCBIv2:G7:12434222:12437627:-1 gene:PRUPE_7G091100 transcript:ONH95812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKHLLTSLSSVLRRTLTPTSHFSFNSTRWFQSGTFFSPNVKTHHRLFPCSGLDFLEIHKIPGKCFTSSQSAEKASAKCWNCSAAAEAVPFLFCSSCRCIQPVDQSVDYFQIFGLERKYDSVVDNLEGKYKDWQKKLHPDLVHTKSKEEREYAAEQSARVIDAYLTLSKPLARAIYILKLEGVDVDEEETLSESELLTEILEIREAVEEAADSQALVQIQSQMQEKLKHWSNSFANAFRSRNFDEALKAIRRMTYYERVKETIVKKL >ONH98059 pep chromosome:Prunus_persica_NCBIv2:G7:20030913:20036092:1 gene:PRUPE_7G226000 transcript:ONH98059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFEATKSQIQKLKQPISAESSCSPDRFMADSDSTSAGGGKNTPPKDFVCPITSTLFDDPVTLETGQTYERKAIQEWIERGNSTCPITRQNLQSTQLPKTNYVLKRLIASWQEQNPACAVLNLSQNTSPVVDPVVKSIMPLTSPDSVISQASLDGAVGELRHSITNLCMSEILKESELAVLRIERFWQEANVEWDIQSLLTKPPVINGFVEVLFNSVDSSVLSAAVFLLSELGSRDNAVIQTLTRVDSDVECIVTLFNKGLKEAVVLIYLLRHSIPNLIELDMVDSLLMVIRKEDNDLLNMCLKPRTAAVVLLGLILGGSGEGIASSIVNTVVSEKALERIISSLESESVEERIAAVGILLRCMQQDGKCRNTIADKAELAPVLDSFMGANDRERFEIVHFFSELVKLNRRTFNEQILHIIKDEGPLSTMHTLLIYLQTALQDQCPIVAGLLLQLDLLAEPRKMSIYREEAIDVLISCLRNVEFPAAQIAAAETIMSLQGRFTTSGKPLTRAFLLKRAGLDKSYKSSMRMDQLSNFSGEDETLEEEKAANNWERKMALVLASHEFGLLFEALAEGLKSRYAELCSACFVSATWLAHMLDVLPDTGIREAARVCLLKRFISIFKSAKDTEDKALSMLALNSFIHDPEGISEVTSSIKDIVKGLRELKRSTPLAFQMLKLFSEGQDSSAELWDHKELVQVDCSENGEVLSLVCFKDKIFSGHSDGTIKVWTGKGSVLHLIQEIREHTKAVTSLAILQSGETLYSGSLDRTTRVWSISNEAIYCVHVHDMKDQVHSIAVTNTLACFIPQSNGIKVHSWNGGSKLLNSSKHVKCFALVHGKLYCGCHDSGIQEIDLATGTLSTIQNGTRKLLSKANPIHAIQVHSGLIYAASSSADGAAVKIWNAANFSMVGFLPTTLEVRTMAISSELIYLGGKGGSVEIWDREKQNRIDTLQTGTNCKVLCLALDANEEVLVTGTSDGRIRAWGLS >ONH98058 pep chromosome:Prunus_persica_NCBIv2:G7:20029216:20036092:1 gene:PRUPE_7G226000 transcript:ONH98058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTSSSQILRHTAAFVSETLSQPELRRHLFSTLRRKFPSSTSQAALNPLNLAAETLENTISSTSPAIRASSLSLSEKLLLKNPLNPFSSLLLSLIYGLYRRPIEAALSLLDIFHTDPSFARTELAPVLFEELFLVHLLPVLHWYNEQRTQILPTLSTNVSYENDDCSISDMSIVFPCSKSLSKMSGGQTSELKELESNYEKVLDENCRVFSNYFKQVLENKDASRLIDPPTVMLKRIERVDQVKYGDDEQGIKTEEPRFENGRYNPIWAEAEASVELFSSSRGRKPKSPPPAYPQRVYLNNILTVQEESSWRLEASANVNSDSESESSLEDNSVGSSSSSLDSEAEIEENNREMELFEATKSQIQKLKQPISAESSCSPDRFMADSDSTSAGGGKNTPPKDFVCPITSTLFDDPVTLETGQTYERKAIQEWIERGNSTCPITRQNLQSTQLPKTNYVLKRLIASWQEQNPACAVLNLSQNTSPVVDPVVKSIMPLTSPDSVISQASLDGAVGELRHSITNLCMSEILKESELAVLRIERFWQEANVEWDIQSLLTKPPVINGFVEVLFNSVDSSVLSAAVFLLSELGSRDNAVIQTLTRVDSDVECIVTLFNKGLKEAVVLIYLLRHSIPNLIELDMVDSLLMVIRKEDNDLLNMCLKPRTAAVVLLGLILGGSGEGIASSIVNTVVSEKALERIISSLESESVEERIAAVGILLRCMQQDGKCRNTIADKAELAPVLDSFMGANDRERFEIVHFFSELVKLNRRTFNEQILHIIKDEGPLSTMHTLLIYLQTALQDQCPIVAGLLLQLDLLAEPRKMSIYREEAIDVLISCLRNVEFPAAQIAAAETIMSLQGRFTTSGKPLTRAFLLKRAGLDKSYKSSMRMDQLSNFSGEDETLEEEKAANNWERKMALVLASHEFGLLFEALAEGLKSRYAELCSACFVSATWLAHMLDVLPDTGIREAARVCLLKRFISIFKSAKDTEDKALSMLALNSFIHDPEGISEVTSSIKDIVKGLRELKRSTPLAFQMLKLFSEGQDSSAELWDHKELVQVDCSENGEVLSLVCFKDKIFSGHSDGTIKVWTGKGSVLHLIQEIREHTKAVTSLAILQSGETLYSGSLDRTTRVWSISNEAIYCVHVHDMKDQVHSIAVTNTLACFIPQSNGIKVHSWNGGSKLLNSSKHVKCFALVHGKLYCGCHDSGIQEIDLATGTLSTIQNGTRKLLSKANPIHAIQVHSGLIYAASSSADGAAVKIWNAANFSMVGFLPTTLEVRTMAISSELIYLGGKGGSVEIWDREKQNRIDTLQTGTNCKVLCLALDANEEVLVTGTSDGRIRAWGLS >ONH96397 pep chromosome:Prunus_persica_NCBIv2:G7:14782505:14783957:-1 gene:PRUPE_7G126300 transcript:ONH96397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESKQAHLQFLVFSLFLLYWHQIPIGAQVVGNANIFTSCTHLIYQLHHYHSHWVFGAGQEIEFDYRNGNDKGPEHWGELKKEWAACKYGKLQSPIDLSDDRATKVISSSEDLQMSYKPCNATMKNEGHYIAMEWEGDAGSVKINGTDYFLKQCHWHTPSEHSINGIRYDLELHMLHRSPDLNNKAVVALLFKIGSPNPLLSKVHNGLESMIGTKETQLELTDPSEIKWSSLKFYRYIGSLTTPPCTEGVIWIVNEMVNTVSKEQLELLKQAVYDYAKMNARPSQPLNDRDIMLYSTLLRQPVLRN >ONH98193 pep chromosome:Prunus_persica_NCBIv2:G7:20438451:20440489:1 gene:PRUPE_7G234800 transcript:ONH98193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMPPDAVDDDDVSKSMDFKDTELTLGLPGRPRRCSSSAADHFFGGIKSGSCSVKRGFMETVDQNIGLESFTSCEPRSQIKVDGKLEAHYNNYSSSSSSSRTTTAVKSPAAMARVVGWPPVRSLRQKALVESKMKSKSTYVKVGADGAPYLRKLDLDMYKSYQELLRALDQMFPSFITSGKYVEDTTSNQEQLMKPAVKGMDEYMVMTYEDKDGDWMLVGDVPWKMFIESCKRIRLMKSSEAVGIAPRTSQVLPQ >ONH98194 pep chromosome:Prunus_persica_NCBIv2:G7:20438451:20439514:1 gene:PRUPE_7G234800 transcript:ONH98194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMPPDAVDDDDVSKSMDFKDTELTLGLPGRPRRCSSSAADHFFGGIKSGSCSVKRGFMETVDQNIGLESFTSCEPRSQIKVDGKLEAHYNNYSSSSSSSRTTTAVKSPAAMARVVGWPPVRSLRQKALVESKMKSKSTYVKVGADGAPYLRKLDLDMYKSYQELLRALDQMFPSFITSGEHNIA >ONH96381 pep chromosome:Prunus_persica_NCBIv2:G7:14696831:14698736:1 gene:PRUPE_7G124900 transcript:ONH96381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKMCLLLPIFLCLVADGDGHDIHVVSHNHHHRPKFAPGAWKHAHATFYEGGSGTFGGACGYEDVVQEGYGLETAALSEALFNKGQGCGSCYEIKCVDDPKWCKPGQLTLMVTGTNNCPPNWNQASDNGGWCNPPREHFDIAKPAFAKIAEYKAGIVPIMYRRVPCMKKGGIKFTITGNPYFNQVLVWNVGGAGEVTSLQVKGNKNLKWTAMKRLWGQKWETDAKMVGESLTFRVRGSDGRYSTSWHVAPNNWQFGQTFEGKNFK >ONH97668 pep chromosome:Prunus_persica_NCBIv2:G7:18942557:18946059:1 gene:PRUPE_7G204700 transcript:ONH97668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGSLGPSLKLTLPPSDEVSFSKFLTKSGTFMDGDLLVNREGVRIVSHREVEVPPPIQPSDNQIILADLYVIKVIGKGNGGVVQLVQHKWTGQFFALKVLQGLLYLHHEKHIIHRDFKPSNLLINHQGEIKITDFGVSAIKASTSEQANTFVGTFNYMSPERIVGGNYSYKSDIWSLGLVLLECATGQFPYLPPDQAQVWDSFFELMSAIVEQPPPCAPSDQFSQEFCSFISACVQKDPKDRSSAHDLLTHPFINMYNDLNIDLASYFSEAGSPLATF >ONH97667 pep chromosome:Prunus_persica_NCBIv2:G7:18942557:18946059:1 gene:PRUPE_7G204700 transcript:ONH97667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGSLGPSLKLTLPPSDEVSFSKFLTKSGTFMDGDLLVNREGVRIVSHREVEVPPPIQPSDNQIILADLYVIKVIGKGNGGVVQLVQHKWTGQFFALKVIQMNIEESIRKQIVQELKINQSSQCPYIVVCYQSFYDNGTISIILEYMDGGSLADLLKKVKTIPEPYLACICKQVLQGLLYLHHEKHIIHRDFKPSNLLINHQGEIKITDFGVSAIKASTSEQANTFVGTFNYMSPERIVGGNYSYKSDIWSLGLVLLECATGQFPYLPPDQAQVWDSFFELMSAIVEQPPPCAPSDQFSQEFCSFISACVQKDPKDRSSAHDLLTHPFINMYNDLNIDLASYFSEAGSPLATF >ONH97669 pep chromosome:Prunus_persica_NCBIv2:G7:18942557:18946059:1 gene:PRUPE_7G204700 transcript:ONH97669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGSLGPSLKLTLPPSDEVSFSKFLTKSGTFMDGDLLVNREGVRIVSHREVEVPPPIQPSDNQIILADLYVIKVIGKGNGGVVQLVQHKWTGQFFALKVIQMNIEESIRKQIVQELKINQSSQCPYIVVCYQSFYDNGTISIILEYMDGGSLADLLKKVKTIPEPYLACICKQVLQGLLYLHHEKHIIHRDFKPSNLLINHQGEIKITDFGVSAIKASTSEQANTFVGTFNYMSPERIVGGNYSYKSDIWSLGLVLLECATGQFPYLPPDQAQVWDSFFELMSAIVEQPPPCAPSDQFSQEFCSFISA >ONH97997 pep chromosome:Prunus_persica_NCBIv2:G7:19844517:19845942:-1 gene:PRUPE_7G222500 transcript:ONH97997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSCHMCIVFILYLHNNLPQCFSSHSLLVCVGYSFVVFGTIWNSVHYGVHLRIISVPVAIYDISLRLMTWCLISPLWFSCVVGVFQIALELPWCILVLLLFSEVEICLKQSISYLYGIFHKHKT >ONH97868 pep chromosome:Prunus_persica_NCBIv2:G7:19481200:19483052:1 gene:PRUPE_7G215000 transcript:ONH97868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKQIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLSGLGTKVIEEDD >ONH97867 pep chromosome:Prunus_persica_NCBIv2:G7:19481200:19483052:1 gene:PRUPE_7G215000 transcript:ONH97867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKQIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLSGLGTKVIEEDD >ONH97866 pep chromosome:Prunus_persica_NCBIv2:G7:19481047:19483203:1 gene:PRUPE_7G215000 transcript:ONH97866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKQIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLSGLGTKVIEEDD >ONH97865 pep chromosome:Prunus_persica_NCBIv2:G7:19481272:19483203:1 gene:PRUPE_7G215000 transcript:ONH97865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTNDVRVDVKLNKQIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLSGLGTKVIEEDD >ONH95597 pep chromosome:Prunus_persica_NCBIv2:G7:11570175:11571570:-1 gene:PRUPE_7G080100 transcript:ONH95597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYGEGHKRWIVEDRPDGANVHNWHWAETDCLGWSRDLLTKLLNNLTVLDGEGNIHLKTKTVDKVDGEAYVNIRKGKIIPGYEISLSLSWEGEAKDADGKSLLKVDGVVEIPYIADENADEDPEVKISVKDEGPIGKRLKEAMLAKGKPLILEKVRVYVESIAKGGPVKDEVEAKKIATKSNSTAAVAAAAGEVKKEVVVEKKEVKKKKESKKGFKTISMTEKFSCRAKDLFEILMDENRWKGFSQSNAKISKEVGGQISIFDGSVTGTNLELQNGSLIVQKWRFGSWPDGIDSTVCEAYT >ONH95595 pep chromosome:Prunus_persica_NCBIv2:G7:11568826:11571740:-1 gene:PRUPE_7G080100 transcript:ONH95595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYGEGHKRWIVEDRPDGANVHNWHWAETDCLGWSRDLLTKLLNNLTVLDGEGNIHLKTKTVDKVDGEAYVNIRKGKIIPGYEISLSLSWEGEAKDADGKSLLKVDGVVEIPYIADENADEDPEVKISVKDEGPIGKRLKEAMLAKGKPLILEKVRVYVESIAKGGPVKDEVEAKKIATKSNSTAAVAAAAGEVKKEVVVEKKEVKKKKESKKGFKTISMTEKFSCRAKDLFEILMDENRWKGFSQSNAKISKEVGGQISIFDGSVTGTNLELQNGSLIVQKWRFGSWPDGIDSTVRLTLEEPEPGLTVVKLTHSDIPEEDRYGNATVVENTERGWRDLIFQRIRAVFGFGI >ONH95596 pep chromosome:Prunus_persica_NCBIv2:G7:11568957:11571781:-1 gene:PRUPE_7G080100 transcript:ONH95596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYGEGHKRWIVEDRPDGANVHNWHWAETDCLGWSRDLLTKLLNNLTVLDGEGNIHLKTKTVDKVDGEAYVNIRKGKIIPGYEISLSLSWEGEAKDADGKSLLKVDGVVEIPYIADENADEDPEVKISVKDEGPIGKRLKEAMLAKGKPLILEKVRVYVESIAKGGPVKDEVEAKKIATKSNSTAAVAAAAGEVKKEVVVEKKEVKKKKESKKGFKTISMTEKFSCRAKDLFEILMDENRWKGFSQSNAKISKEVGGQISIFDGSVTGTNLELQNGSLIVQKWRFGSWPDGIDSTVRLTLEEPEPGLTVVKLTHSDIPEEDRYGNATVVENTERGWRDLIFQRIRAVFGFGI >ONH94506 pep chromosome:Prunus_persica_NCBIv2:G7:2937415:2943109:-1 gene:PRUPE_7G019800 transcript:ONH94506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEEDQALPSNVASEASAQNAEAHCAGCCGGFRRFIGLRCILVLLLSVALFLSAMFWLPPFLQFADQSDLDLDSKFKDHYIVASFNLWKPVSLLEDNILQLENDIFDEIVAPSIKVVILSVESLTGSNTTTVVFGVDPEPKSSKLLPTSQSLIKSSFEYLVTHQSLSLNTSLFGRTFLFEVLKFPGGITIVPPQNAFLLQKVQILFNFTLNFSIYQIQLNFNELKSQLKAGLHLAPYENLYISLSNSRGSTVAAPTTVRASVFLTVGNTPSMQRLKQLSQTIRGSHSRNLGLNNTVFGRVKQVRLSSIYSLNGGDGTVPSPSPAPLPHPHHHHHHHHHHHHHHHHHHHNPHLAPAVSPAPAPDSGPPASQKGGPAPKDGSPDAQKGSPPKKSCEAKPPSFQFGSRGKTGKESHFAPAVAPNMFPPVFIPSPQKQVQPSAPIYPSVPVSSPLPHVVFAHVQPPSKSESDTRHSGTMSSAEPSPSTSLLPSVQWAFSLFLVLVLHV >ONH94507 pep chromosome:Prunus_persica_NCBIv2:G7:2938004:2942708:-1 gene:PRUPE_7G019800 transcript:ONH94507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEEDQALPSNVASEASAQNAEAHCAGCCGGFRRFIGLRCILVLLLSVALFLSAMFWLPPFLQFADQSDLDLDSKFKDHYIVASFNLWKPVSLLEDNILQLENDIFDEIVAPSIKVVILSVESLTGSNTTTVVFGVDPEPKSSKLLPTSQSLIKSSFEYLVTHQSLSLNTSLFGRTFLFEVLKFPGGITIVPPQNAFLLQKVQILFNFTLNFSIYQIQLNFNELKSQLKAGLHLAPYENLYISLSNSRGSTVAAPTTVRASVFLTVGNTPSMQRLKQLSQTIRGSHSRNLGLNNTVFGRVKQVRLSSIYSLNGGDGTVPSPSPAPLPHPHHHHHHHHHHHHHHHHHHHNPHLAPAVSPAPAPDSGPPASQKGGPAPKDGSPDAQKGSPPKKSCEAKPPSFQFGSRGKTGKESHFAPAVAPNMFPPVFIPSPQKQVQPSAPIYPSVPVSSPLPHVVFAHVQPPSKSESDTRHSGTMSSAEPSPSTSSAALLPSVQWAFSLFLVLVLHV >ONH96089 pep chromosome:Prunus_persica_NCBIv2:G7:13555066:13557917:-1 gene:PRUPE_7G107000 transcript:ONH96089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQTTAFSLQALRYSVDQKLYHLQTPQSPIVHTSAYKKYCIDEYPTGTNAIIASSVERGMFHGQIHQTETVDLSDQMSRSDGSRKYFMESNIERHRSIDSDGLPCVGQTIKPDEEYYSIYNEVNNEQHAVKQKGSEDVIVDYVTMDGKKHLQNHLQKHMFLLSGFPLLCPFYVAGNPVIGDKFSSRHGQKGVCSQLWPDIDIPFSEVTGMCPDLIINPHAFPLRMAIGMLLESIAAKIFIGPVYYQRLQHMVHSTGMVDQITSQPIKGRKGGGGICFGEIERDSLLAHGAAYLLHDRLHTCSDYHIAAVCSTCGSILTPSAIKPEKRVARAVKELPPVRTPKVFCHASDTNKGMETVAIPYVFKYLAAELAAMSIKMTLQLSI >ONH98625 pep chromosome:Prunus_persica_NCBIv2:G7:21562242:21566364:1 gene:PRUPE_7G258300 transcript:ONH98625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRASKRKAAPSTSTSVTSCDAVPPRAGKCKSRKVVDRIGSLFELYANQSLNMIDPEGIEALCSDLGVDHTNVRILMLAWKMKAEKQGYFSRDEWQRGLKELHVDTISKLKKALPGLEKELMTPPKFEDFYAYAFRYCLTEERQKSVDIETVCELLNLVLGPLYRLQVDLLIKYLKVQSEYRALNMDQWLHFYRFCKEISFPDLQNYDSDQAWPVIIDNFVEWMRDEQS >ONH98624 pep chromosome:Prunus_persica_NCBIv2:G7:21562094:21566548:1 gene:PRUPE_7G258300 transcript:ONH98624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRASKRKAAPSTSTSVTSCDAVPPRAGKCKSRKVVDRIGSLFELYANQSLNMIDPEGIEALCSDLGVDHTNVRILMLAWKMKAEKQGYFSRDEWQRGLKELHVDTISKLKKALPGLEKELMTPPKFEDFYAYAFRYCLTEERQKSVDIETVCELLNLVLGPLYRLQVDLLIKYLKVQSEYRALNMDQWLHFYRFCKEISFPDLQNYDSDQAWPVIIDNFVEWMRDEQS >ONH96864 pep chromosome:Prunus_persica_NCBIv2:G7:16507216:16513614:1 gene:PRUPE_7G156200 transcript:ONH96864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTELMKLVDRISRIFPDIEAARPRCTTGIQALCLLTRAIEKAKLLLQHCSDSSKLYLAFTGDVIVSRCQKSRNLLEQNLREIQNWVPVMLVVEISQIVDDLKGATFILDPSEEQAGRVVRGLLHQDASQSNSREDSEITALQIASWRLHITSSKAMLIEKRSIKKLLDKVGDSDLRKKHILTYFLYLIKKYGHLIMGKQAEGASMWQQGSLASETSGNGLEYNQYTEAEPHVKYNQYNRSDMLHRTVPPEEFLCGISSRLMYDPVVIASGQTYEKKWIQKWFDEGHDTCPKTHMKLTHLSFTPNAAMKELISRWCTEYGVTILDASMQPETLSWETSSTSIASLGSSMNDIHVQMDLSNVSFGSLDTSYNSDSSRTKIESGLSLVQEYDDSLKYRYAKNCETDSEFLSKLGELPWESQCKVVEDVKSHLKCNPQASYSMSSKNFVEPLIKFLRDAHDLDDVKAQRDGFKLLFTFVSKNRNDIPYLVEDAYTLLASYLDSKVIEKALAILEIVSVQQHCRSKISESGALSSILKLLDSQIKDIQGKAIKETAFPY >ONH96856 pep chromosome:Prunus_persica_NCBIv2:G7:16507216:16513614:1 gene:PRUPE_7G156200 transcript:ONH96856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDAAEGVETVRKPHSFKVHRLMCTELMKLVDRISRIFPDIEAARPRCTTGIQALCLLTRAIEKAKLLLQHCSDSSKLYLISQIVDDLKGATFILDPSEEQAGRVVRGLLHQDASQSNSREDSEITALQIASWRLHITSSKAMLIEKRSIKKLLDKVGDSDLRKKHILTYFLYLIKKYGHLIMGKQAEGASMWQQGSLASETSGNGLEYNQYTEAEPHVKYNQYNRSDMLHRTVPPEEFLCGISSRLMYDPVVIASGQTYEKKWIQKWFDEGHDTCPKTHMKLTHLSFTPNAAMKELISRWCTEYGVTILDASMQPETLSWETSSTSIASLGSSMNDIHVQMDLSNVSFGSLDTSYNSDSSRTKIESGLSLVQEYDDSLKYRYAKNCETDSEFLSKLGELPWESQCKVVEDVKSHLKCNPQASYSMSSKNFVEPLIKFLRDAHDLDDVKAQRDGFKLLFTFVSKNRNDIPYLVEDAYTLLASYLDSKVIEKALAILEIVSVQQHCRSKISESGALSSILKLLDSQIKDIQGKAIKVLYNLSLNRDICPKIVSLECIPKLVSFFKDDALAGNCISILKNLCDTEEARISIAETSGCIASIAEVLETGSSEDQEHAVAILLSLCSQRVEFCHLVMHEGVIPALVFLSNNGKERAMISALEVLRLLRDIDYVDEQECSGSDLDASKDNDNHSAGKKLSKTSGFFGRTMLKFSKPSSLAPKKKK >ONH96854 pep chromosome:Prunus_persica_NCBIv2:G7:16507216:16513614:1 gene:PRUPE_7G156200 transcript:ONH96854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDAAEGVETVRKPHSFKVHRLMCTELMKLVDRISRIFPDIEAARPRCTTGIQALCLLTRAIEKAKLLLQHCSDSSKLYLAFTGDVIVSRCQKSRNLLEQNLREIQNWVPVMLVVEISQIVDDLKGATFILDPSEEQAGRVVRGLLHQDASQSNSREDSEITALQIASWRLHITSSKAMLIEKRSIKKLLDKVGDSDLRKKHILTYFLYLIKKYGHLIMGKQAEGASMWQQGSLASETSGNGLEYNQYTEAEPHVKYNQYNRSDMLHRTVPPEEFLCGISSRLMYDPVVIASGQTYEKKWIQKWFDEGHDTCPKTHMKLTHLSFTPNAAMKELISRWCTEYGVTILDASMQPETLSWETSSTSIASLGSSMNDIHVQMDLSNVSFGSLDTSYNSDSSRTKIESGLSLVQEYDDSLKYRYAKNCETDSEFLSKLGELPWESQCKVVEDVKSHLKCNPQASYSMSSKNFVEPLIKFLRDAHDLDDVKAQRDGFKLLFTFVSKNRNDIPYLVEDAYTLLASYLDSKVIEKALAILEIVSVQQHCRSKISESGALSSILKLLDSQIKDIQGKAIKVLYNLSLNRDICPKIVSLECIPKLVSFFKDDALAGNCISILKNLCDTEEARISIAETSGCIASIAEVLETGSSEDQEHAVAILLSLCSQRVEFCHLVMHEGVIPALVFLSNNGKERAMISALEVLRLLRDIDYVDEQECSGSDLDASKDNDNHSAGKKLSKTSGFFGRTMLKFSKPSSLAPKKKK >ONH96862 pep chromosome:Prunus_persica_NCBIv2:G7:16507216:16513614:1 gene:PRUPE_7G156200 transcript:ONH96862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTELMKLVDRISRIFPDIEAARPRCTTGIQALCLLTRAIEKAKLLLQHCSDSSKLYLISQIVDDLKGATFILDPSEEQAGRVVRGLLHQDASQSNSREDSEITALQIASWRLHITSSKAMLIEKRSIKKLLDKVGDSDLRKKHILTYFLYLIKKYGHLIMGKQAEGASMWQQGSLASETSGNGLEYNQYTEAEPHVKYNQYNRSDMLHRTVPPEEFLCGISSRLMYDPVVIASGQTYEKKWIQKWFDEGHDTCPKTHMKLTHLSFTPNAAMKELISRWCTEYGVTILDASMQPETLSWETSSTSIASLGSSMNDIHVQMDLSNVSFGSLDTSYNSDSSRTKIESGLSLVQEYDDSLKYRYAKNCETDSEFLSKLGELPWESQCKVVEDVKSHLKCNPQASYSMSSKNFVEPLIKFLRDAHDLDDVKAQRDGFKLLFTFVSKNRNDIPYLVEDAYTLLASYLDSKVIEKALAILEIVSVQQHCRSKISESGALSSILKLLDSQIKDIQGKAIKVLYNLSLNRDICPKIVSLECIPKLVSFFKDDALAGNCISILKNLCDTEEARISIAETSGCIASIAEVLETGSSEDQEHAVAILLSLCSQRVEFCHLVMHEGVIPALVFLSNNGKERAMISALEVLRLLRDIDYVDEQECSGSDLDASKDNDNHSAGKKLSKTSGFFGRTMLKFSKPSSLAPKKKK >ONH96858 pep chromosome:Prunus_persica_NCBIv2:G7:16507216:16513614:1 gene:PRUPE_7G156200 transcript:ONH96858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDAAEGVETVRKPHSFKVHRLMCTELMKLVDRISRIFPDIEAARPRCTTGIQALCLLTRAIEKAKLLLQHCSDSSKLYLAFTGDVIVSRCQKSRNLLEQNLREIQNWVPVMLVVEISQIVDDLKGATFILDPSEEQAGRVVRGLLHQDASQSNSREDSEITALQIASWRLHITSSKAMLIEKRSIKKLLDKVGDSDLRKKHILTYFLYLIKKYGHLIMGKQAEGASMWQQGSLASETSGNGLEYNQYTEAEPHVKYNQYNRSDMLHRTVPPEEFLCGISSRLMYDPVVIASGQTYEKKWIQKWFDEGHDTCPKTHMKLTHLSFTPNAAMKELISRWCTEYGVTILDASMQPETLSWETSSTSIASLGSSMNDIHVQMDLSNVSFGSLDTSYNSDSSRTKIESGLSLVQEYDDSLKYRYAKNCETDSEFLSKLGELPWESQCKVVEDVKSHLKCNPQASYSMSSKNFVEPLIKFLRDAHDLDDVKAQRDGFKLLFTFVSKNRNDIPYLVEDAYTLLASYLDSKVIEKALAILEIVSVQQHCRSKISESGALSSILKLLDSQIKDIQGKAIKMTLLQETAFPY >ONH96860 pep chromosome:Prunus_persica_NCBIv2:G7:16507216:16513614:1 gene:PRUPE_7G156200 transcript:ONH96860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTELMKLVDRISRIFPDIEAARPRCTTGIQALCLLTRAIEKAKLLLQHCSDSSKLYLAFTGDVIVSRCQKSRNLLEQNLREIQNWVPVMLVVEISQIVDDLKGATFILDPSEEQAGRVVRGLLHQDASQSNSREDSEITALQIASWRLHITSSKAMLIEKRSIKKLLDKVGDSDLRKKHILTYFLYLIKKYGHLIMGKQAEGASMWQQGSLASETSGNGLEYNQYTEAEPHVKYNQYNRSDMLHRTVPPEEFLCGISSRLMYDPVVIASGQTYEKKWIQKWFDEGHDTCPKTHMKLTHLSFTPNAAMKELISRWCTEYGVTILDASMQPETLSWETSSTSIASLGSSMNDIHVQMDLSNVSFGSLDTSYNSDSSRTKIESGLSLVQEYDDSLKYRYAKNCETDSEFLSKLGELPWESQCKVVEDVKSHLKCNPQASYSMSSKNFVEPLIKFLRDAHDLDDVKAQRDGFKLLFTFVSKNRNDIPYLVEDAYTLLASYLDSKVIEKALAILEIVSVQQHCRSKISESGALSSILKLLDSQIKDIQGKAIKVLYNLSLNRDICPKIVSLECIPKLVSFFKDDALAGNCISILKNLCDTEEARISIAETSGCIASIAEVLETGSSEDQEHAVAILLSLCSQRVEFCHLVMHEGVIPALVFLSNNGKERAMISALEVLRLLRDIDYVDEQECSGSDLDASKDNDNHSAGKKLSKTSGFFGRTMLKFSKPSSLAPKKKK >ONH96859 pep chromosome:Prunus_persica_NCBIv2:G7:16507216:16513614:1 gene:PRUPE_7G156200 transcript:ONH96859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDAAEGVETVRKPHSFKVHRLMCTELMKLVDRISRIFPDIEAARPRCTTGIQALCLLTRAIEKAKLLLQHCSDSSKLYLAFTGDVIVSRCQKSRNLLEQNLREIQNWVPVMLVVEISQIVDDLKGATFILDPSEEQAGRVVRGLLHQDASQSNSREDSEITALQIASWRLHITSSKAMLIEKRSIKKLLDKVGDSDLRKKHILTYFLYLIKKYGHLIMGKQAEGASMWQQGSLASETSGNGLEYNQYTEAEPHVKYNQYNRSDMLHRTVPPEEFLCGISSRLMYDPVVIASGQTYEKKWIQKWFDEGHDTCPKTHMKLTHLSFTPNAAMKELISRWCTEYGVTILDASMQPETLSWETSSTSIASLGSSMNDIHVQMDLSNVSFGSLDTSYNSDSSRTKIESGLSLVQEYDDSLKYRYAKNCETDSEFLSKLGELPWESQCKVVEDVKSHLKCNPQASYSMSSKNFVEPLIKFLRDAHDLDDVKAQRDGFKLLFTFVSKNRNDIPYLVEDAYTLLASYLDSKVIEKALAILEIVSVQQHCRSKISESGALSSILKLLDSQIKDIQGKAIKETAFPY >ONH96857 pep chromosome:Prunus_persica_NCBIv2:G7:16507216:16513614:1 gene:PRUPE_7G156200 transcript:ONH96857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDAAEGVETVRKPHSFKVHRLMCTELMKLVDRISRIFPDIEAARPRCTTGIQALCLLTRAIEKAKLLLQHCSDSSKLYLISQIVDDLKGATFILDPSEEQAGRVVRGLLHQDASQSNSREDSEITALQIASWRLHITSSKAMLIEKRSIKKLLDKVGDSDLRKKHILTYFLYLIKKYGHLIMGKQAEGASMWQQGSLASETSGNGLEYNQYTEAEPHVKYNQYNRSDMLHRTVPPEEFLCGISSRLMYDPVVIASGQTYEKKWIQKWFDEGHDTCPKTHMKLTHLSFTPNAAMKELISRWCTEYGVTILDASMQPETLSWETSSTSIASLGSSMNDIHVQMDLSNVSFGSLDTSYNSDSSRTKIESGLSLVQEYDDSLKYRYAKNCETDSEFLSKLGELPWESQCKVVEDVKSHLKCNPQASYSMSSKNFVEPLIKFLRDAHDLDDVKAQRDGFKLLFTFVSKNRNDIPYLVEDAYTLLASYLDSKVIEKALAILEIVSVQQHCRSKISESGALSSILKLLDSQIKDIQGKAIKVLYNLSLNRDICPKIVSLECIPKLVSFFKDDALAGNCISILKNLCDTEEARISIAETSGCIASIAEVLETGSSEDQEHAVAILLSLCSQRVEFCHLVMHEGVIPALVFLSNNGKERAMISALEVLRLLRDIDYVDEQECSGSDLDASKDNDNHSAGKKLSKTSGFFGRTMLKFSKPSSLAPKKKK >ONH96861 pep chromosome:Prunus_persica_NCBIv2:G7:16507216:16513614:1 gene:PRUPE_7G156200 transcript:ONH96861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTELMKLVDRISRIFPDIEAARPRCTTGIQALCLLTRAIEKAKLLLQHCSDSSKLYLAFTGDVIVSRCQKSRNLLEQNLREIQNWVPVMLVVEISQIVDDLKGATFILDPSEEQAGRVVRGLLHQDASQSNSREDSEITALQIASWRLHITSSKAMLIEKRSIKKLLDKVGDSDLRKKHILTYFLYLIKKYGHLIMGKQAEGASMWQQGSLASETSGNGLEYNQYTEAEPHVKYNQYNRSDMLHRTVPPEEFLCGISSRLMYDPVVIASGQTYEKKWIQKWFDEGHDTCPKTHMKLTHLSFTPNAAMKELISRWCTEYGVTILDASMQPETLSWETSSTSIASLGSSMNDIHVQMDLSNVSFGSLDTSYNSDSSRTKIESGLSLVQEYDDSLKYRYAKNCETDSEFLSKLGELPWESQCKVVEDVKSHLKCNPQASYSMSSKNFVEPLIKFLRDAHDLDDVKAQRDGFKLLFTFVSKNRNDIPYLVEDAYTLLASYLDSKVIEKALAILEIVSVQQHCRSKISESGALSSILKLLDSQIKDIQGKAIKVLYNLSLNRDICPKIVSLECIPKLVSFFKDDALAGNCISILKNLCDTEEARISIAETSGCIASIAEVLETGSSEDQEHAVAILLSLCSQRVEFCHLVMHEGVIPALVFLSNNGKERAMISALEVLRLLRDIDYVDEQECSGSDLDASKDNDNHSAGKKLSKTSGFFGRTMLKFSKPSSLAPKKKK >ONH96855 pep chromosome:Prunus_persica_NCBIv2:G7:16507216:16513614:1 gene:PRUPE_7G156200 transcript:ONH96855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDAAEGVETVRKPHSFKVHRLMCTELMKLVDRISRIFPDIEAARPRCTTGIQALCLLTRAIEKAKLLLQHCSDSSKLYLAFTGDVIVSRCQKSRNLLEQNLREIQNWVPVMLVVEISQIVDDLKGATFILDPSEEQAGRVVRGLLHQDASQSNSREDSEITALQIASWRLHITSSKAMLIEKRSIKKLLDKVGDSDLRKKHILTYFLYLIKKYGHLIMGKQAEGASMWQQGSLASETSGNGLEYNQYTEAEPHVKYNQYNRSDMLHRTVPPEEFLCGISSRLMYDPVVIASGQTYEKKWIQKWFDEGHDTCPKTHMKLTHLSFTPNAAMKELISRWCTEYGVTILDASMQPETLSWETSSTSIASLGSSMNDIHVQMDLSNVSFGSLDTSYNSDSSRTKIESGLSLVQEYDDSLKYRYAKNCETDSEFLSKLGELPWESQCKVVEDVKSHLKCNPQASYSMSSKNFVEPLIKFLRDAHDLDDVKAQRDGFKLLFTFVSKNRNDIPYLVEDAYTLLASYLDSKVIEKALAILEIVSVQQHCRSKISESGALSSILKLLDSQIKDIQGKAIKVLYNLSLNRDICPKIVSLECIPKLVSFFKDDALAGNCISILKNLCDTEEARISIAETSGCIASIAEVLETGSSEDQEHAVAILLSLCSQRVEFCHLVMHEGVIPALVFLSNNGKERAMISALEVLRLLRDIDYVDEQECSGSDLDASKDNDNHSAGKKLSKTSGFFGRTMLKFSKPSSLAPKKKK >ONH96863 pep chromosome:Prunus_persica_NCBIv2:G7:16507216:16513614:1 gene:PRUPE_7G156200 transcript:ONH96863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTELMKLVDRISRIFPDIEAARPRCTTGIQALCLLTRAIEKAKLLLQHCSDSSKLYLISQIVDDLKGATFILDPSEEQAGRVVRGLLHQDASQSNSREDSEITALQIASWRLHITSSKAMLIEKRSIKKLLDKVGDSDLRKKHILTYFLYLIKKYGHLIMGKQAEGASMWQQGSLASETSGNGLEYNQYTEAEPHVKYNQYNRSDMLHRTVPPEEFLCGISSRLMYDPVVIASGQTYEKKWIQKWFDEGHDTCPKTHMKLTHLSFTPNAAMKELISRWCTEYGVTILDASMQPETLSWETSSTSIASLGSSMNDIHVQMDLSNVSFGSLDTSYNSDSSRTKIESGLSLVQEYDDSLKYRYAKNCETDSEFLSKLGELPWESQCKVVEDVKSHLKCNPQASYSMSSKNFVEPLIKFLRDAHDLDDVKAQRDGFKLLFTFVSKNRNDIPYLVEDAYTLLASYLDSKVIEKALAILEIVSVQQHCRSKISESGALSSILKLLDSQIKDIQGKAIKVLYNLSLNRDICPKIVSLECIPKLVSFFKDDALAGNCISILKNLCDTEEARISIAETSGCIASIAEVLETGSSEDQEHAVAILLSLCSQRVEFCHLVMHEGVIPALVFLSNNGKERAMISALEVLRLLRDIDYVDEQECSGSDLDASKDNDNHSAGKKLSKTSGFFGRTMLKFSKPSSLAPKKKK >ONH97824 pep chromosome:Prunus_persica_NCBIv2:G7:19367378:19370933:-1 gene:PRUPE_7G212500 transcript:ONH97824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKSNGVVAGKVVVVAVNALREIQKTALVWALTHVVQPGDYVKLLAVIPSHTSSKKIWEFARFTSDCTTSHRRSLSGTVSDKKDDIVDSCSQMVLRLQDVYDPEKIKIRIKILSGSPCGVVAAEAKRAQSNWVILDKQLKYEKKHCMEKLQCNVVIMKRSGPKVLRLNLITKTDPEVPYPSLSESESSPKRLKSKFEESNMIRGPTVTPRSSFDHESPLTATDIGTSSISSSDVGTERDFLSEILGRLKQEYPSTIEGNQNLNESDIETNNENQSSYFTSSCCQPCMADYQSSGGEFSRCAVEGSERPYDKALISTYGALLDKLANLNREPDVGVLNYRLDLNLSRSVREAISLSKNSPPNPPPLCSICQHKAPVFGNPPRWFTYAELEFATGGFSQANFLAEGGFGSVHRGVLAHGQVVAVKQYKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNGSLNSHLYGPQRHPLKWSARQRIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPDGDVGMQTRVLGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAMDLNKPKGQQCLTEWARPLLEKNAIFELLDPRLRSCYSNQEVCNMLQCASLCIRRDPHSRPRMSQV >ONH97823 pep chromosome:Prunus_persica_NCBIv2:G7:19366870:19371515:-1 gene:PRUPE_7G212500 transcript:ONH97823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKSNGVVAGKVVVVAVNALREIQKTALVWALTHVVQPGDYVKLLAVIPSHTSSKKIWEFARFTSDCTTSHRRSLSGTVSDKKDDIVDSCSQMVLRLQDVYDPEKIKIRIKILSGSPCGVVAAEAKRAQSNWVILDKQLKYEKKHCMEKLQCNVVIMKRSGPKVLRLNLITKTDPEVPYPSLSESESSPKRLKSKFEESNMIRGPTVTPRSSFDHESPLTATDIGTSSISSSDVGTERDFLSEILGRLKQEYPSTIEGNQNLNESDIETNNENQSSYFTSSCCQPCMADYQSSGGEFSRCAVEGSERPYDKALISTYGALLDKLANLNREPDVGVLNYRLDLNLSRSVREAISLSKNSPPNPPPLCSICQHKAPVFGNPPRWFTYAELEFATGGFSQANFLAEGGFGSVHRGVLAHGQVVAVKQYKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNGSLNSHLYGPQRHPLKWSARQRIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPDGDVGMQTRVLGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAMDLNKPKGQQCLTEWARPLLEKNAIFELLDPRLRSCYSNQEVCNMLQCASLCIRRDPHSRPRMSQVLRILEGDDIPANSTKHS >ONH97236 pep chromosome:Prunus_persica_NCBIv2:G7:17601169:17601672:1 gene:PRUPE_7G178700 transcript:ONH97236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQYNLMMEGVDVKDPKTMESVAFDGKSIGEIIFRGNTLMLGYLENSKPAHEVFKGGWYRTGDLAVRHPDGYIQMKDRAGNIIICGGEVISTLEVEPVLEAAVVGKYDEVFGETPCAIVKLKEGFGGGVEASSREIIEFCEGTLPGFMVPKVMIFGEKANPVGGQE >ONH98809 pep chromosome:Prunus_persica_NCBIv2:G7:22022000:22024686:1 gene:PRUPE_7G267100 transcript:ONH98809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMAPKYSKEFFMGSWTPPICNMGLIYF >ONH98813 pep chromosome:Prunus_persica_NCBIv2:G7:22022041:22024686:1 gene:PRUPE_7G267100 transcript:ONH98813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMGQLKNLWV >ONH98816 pep chromosome:Prunus_persica_NCBIv2:G7:22022040:22024686:1 gene:PRUPE_7G267100 transcript:ONH98816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMGQLKNLWV >ONH98817 pep chromosome:Prunus_persica_NCBIv2:G7:22021964:22024686:1 gene:PRUPE_7G267100 transcript:ONH98817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMGQLKNLWV >ONH98820 pep chromosome:Prunus_persica_NCBIv2:G7:22022275:22022646:1 gene:PRUPE_7G267100 transcript:ONH98820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMVSIFALFFCSHLFLVLILGFLCMIEERLGFHLTR >ONH98808 pep chromosome:Prunus_persica_NCBIv2:G7:22021886:22024708:1 gene:PRUPE_7G267100 transcript:ONH98808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMGYVSCRIMVIFSSVEHQYVAVCSSV >ONH98815 pep chromosome:Prunus_persica_NCBIv2:G7:22022041:22024686:1 gene:PRUPE_7G267100 transcript:ONH98815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMGQLKNLWV >ONH98814 pep chromosome:Prunus_persica_NCBIv2:G7:22021964:22024686:1 gene:PRUPE_7G267100 transcript:ONH98814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMGQLKNLWV >ONH98812 pep chromosome:Prunus_persica_NCBIv2:G7:22022041:22024470:1 gene:PRUPE_7G267100 transcript:ONH98812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMGQLKNLWV >ONH98818 pep chromosome:Prunus_persica_NCBIv2:G7:22022041:22024686:1 gene:PRUPE_7G267100 transcript:ONH98818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMFQGKSLSKSFIAKDAPRNFSYRHVYFILPPFVPVSLQFVE >ONH98821 pep chromosome:Prunus_persica_NCBIv2:G7:22022275:22022646:1 gene:PRUPE_7G267100 transcript:ONH98821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMVSIFALFFCSHLFLVLILGFLCMIEERLGFHLTR >ONH98810 pep chromosome:Prunus_persica_NCBIv2:G7:22021902:22024548:1 gene:PRUPE_7G267100 transcript:ONH98810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMAPKYSKEFFMGSWTPPICNMGLIYF >ONH98807 pep chromosome:Prunus_persica_NCBIv2:G7:22021886:22024686:1 gene:PRUPE_7G267100 transcript:ONH98807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMGYVSCRIMVIFSSVEHQYVAVCSSV >ONH98811 pep chromosome:Prunus_persica_NCBIv2:G7:22021902:22024828:1 gene:PRUPE_7G267100 transcript:ONH98811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMSLLGATEEPLGLSYSNRSYLMRRQWVTHCPPLPALQVAPQHRDKYDLMEV >ONH98819 pep chromosome:Prunus_persica_NCBIv2:G7:22021902:22024827:1 gene:PRUPE_7G267100 transcript:ONH98819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENESASQATVNGDNTLTRSTMSSIGIGRGCVLLRETHRECTRHRWCRPRVPHSWRDDRLVLIMFQGKSLSKSFIAKDAPRNFSYRHVYFILPPFVPVSLQFVE >ONH95882 pep chromosome:Prunus_persica_NCBIv2:G7:12679746:12682448:1 gene:PRUPE_7G094200 transcript:ONH95882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVSVLRLLYNVIDGFVDDMWHGVKNALGILQVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEVWCYRSKKPGEKGG >ONH95889 pep chromosome:Prunus_persica_NCBIv2:G7:12679517:12682448:1 gene:PRUPE_7G094200 transcript:ONH95889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVSVLRLLYNVIDGFVDDMWHGVKNALGILQVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEVWCYRSKVSKRRLSFLAVNHDKINTY >ONH95884 pep chromosome:Prunus_persica_NCBIv2:G7:12679591:12682448:1 gene:PRUPE_7G094200 transcript:ONH95884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVSVLRLLYNVIDGFVDDMWHGVKNALGILQVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEKPGEKGG >ONH95890 pep chromosome:Prunus_persica_NCBIv2:G7:12679988:12682448:1 gene:PRUPE_7G094200 transcript:ONH95890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVSVLRLLYNVIDGFVDDMWHGVKNALGILQVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEVWCYRSKVSKRRLSFLAVNHDKINTY >ONH95888 pep chromosome:Prunus_persica_NCBIv2:G7:12679347:12682448:1 gene:PRUPE_7G094200 transcript:ONH95888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEKPGEKGG >ONH95886 pep chromosome:Prunus_persica_NCBIv2:G7:12679542:12682448:1 gene:PRUPE_7G094200 transcript:ONH95886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVSVLRLLYNVIDGFVDDMWHGVKNALGILQVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEKPGEKGG >ONH95893 pep chromosome:Prunus_persica_NCBIv2:G7:12679348:12682448:1 gene:PRUPE_7G094200 transcript:ONH95893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEVWCYRSKVSKRRLSFLAVNHDKINTY >ONH95892 pep chromosome:Prunus_persica_NCBIv2:G7:12679323:12682448:1 gene:PRUPE_7G094200 transcript:ONH95892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEVWCYRSKVSKRRLSFLAVNHDKINTY >ONH95887 pep chromosome:Prunus_persica_NCBIv2:G7:12679323:12682448:1 gene:PRUPE_7G094200 transcript:ONH95887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEKPGEKGG >ONH95883 pep chromosome:Prunus_persica_NCBIv2:G7:12679323:12682448:1 gene:PRUPE_7G094200 transcript:ONH95883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVSVLRLLYNVIDGFVDDMWHGVKNALGILQVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEVWCYRSKKPGEKGG >ONH95885 pep chromosome:Prunus_persica_NCBIv2:G7:12679322:12682450:1 gene:PRUPE_7G094200 transcript:ONH95885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVSVLRLLYNVIDGFVDDMWHGVKNALGILQVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEKPGEKGG >ONH95891 pep chromosome:Prunus_persica_NCBIv2:G7:12679323:12682463:1 gene:PRUPE_7G094200 transcript:ONH95891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVSETRTPIRIGDRSTSDVVVRIRTQDGRDDWFYCHSQVLIEKSKYFADRLSENWPTCQILDSRNCVEVYCQESEFDHHVSVLRLLYNVIDGFVDDMWHGVKNALGILQVAVNLGCPQIITACVDYLEAVPWEESEEEEILKIIPRLGTQVEPILARLLPVKQSAIMGIFFSTIQFATSSPSPIMNDLKSSAQEQLEYMLTEDDDAPLLAADDEIKSEVKDCVKRLFERFNNLLEALLCEMELFSDGGKMQSFQSLLSDLSWACQILCKLEIMRELVCNWTDASDKIVKVVKEASPTAELIETKLRVIEVAAKILEAIGYGTVILPTAKRLHMVKVWLPFVRVAKPLIDSVTTARDDAPTLRMDTELWQSLESTFVSVILALPSAEQAEILTEWLGNEHIQYPDLTEAFEVWCYRSKVSKRRLSFLAVNHDKINTY >ONH98616 pep chromosome:Prunus_persica_NCBIv2:G7:21535679:21538958:-1 gene:PRUPE_7G257600 transcript:ONH98616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVFITQLYVLCHLVLADQIFPAHLGGTFSRSSREPKYKIEFHPEDSPFHPEDGQESVVMPNDNGQNFICYLPKVEEAKSGKQVLQHNISSVIVETEKRVKMKTPDELLEVLREECFIRQEGWWSYEFCYQKRLRQIHLEDEKVVQEFILGIYDAEATAAVNQNLSDISRLKDPRSKDAYQRYHAHQYTNGTTCDLTDQQRATEVRFVCSEGIAMINSITEIATCKYAVTIQCPTLCKHP >ONH98615 pep chromosome:Prunus_persica_NCBIv2:G7:21535774:21538958:-1 gene:PRUPE_7G257600 transcript:ONH98615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVFITQLYVLCHLVLADQIFPAHLGSGSPEFFSGTFSRSSREPKYKIEFHPEDSPFHPEDGQESVVMPNDNGQNFICYLPKVEEAKSGKQVLQHNISSVIVETEKRVKMKTPDELLEVLREECFIRQEGWWSYEFCYQKRLRQIHLEDEKVVQEFILGIYDAEATAAVNQNLSDISRLKDPRSKDAYQRYHAHQYTNGTTCDLTDQQRATEVRFVCSEGIAMINSITEIATCKYAVTIQCPTLCKHPAFLAERPVWQAINCNVLPKDYKETKAEEESNIKQIVLGADTDSESPSNDVSDD >ONH98614 pep chromosome:Prunus_persica_NCBIv2:G7:21535522:21539118:-1 gene:PRUPE_7G257600 transcript:ONH98614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVFITQLYVLCHLVLADQIFPAHLGGTFSRSSREPKYKIEFHPEDSPFHPEDGQESVVMPNDNGQNFICYLPKVEEAKSGKQVLQHNISSVIVETEKRVKMKTPDELLEVLREECFIRQEGWWSYEFCYQKRLRQIHLEDEKVVQEFILGIYDAEATAAVNQNLSDISRLKDPRSKDAYQRYHAHQYTNGTTCDLTDQQRATEVRFVCSEGIAMINSITEIATCKYAVTIQCPTLCKHPAFLAERPVWQAINCNVLPKDYKETKAEEESNIKQIVLGADTDSESPSNDVSDD >ONH94700 pep chromosome:Prunus_persica_NCBIv2:G7:4393352:4402202:1 gene:PRUPE_7G026600 transcript:ONH94700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFTSQEVEALQNGGNQRAREIYLMDWDLQRQRLPDNSKVDKIREFIRSVYVDRKYAGGRTSEKPPRDMQNHRIREDETRRASSYHSYSQSPPYDYQYEDRRYGKQAAVLNRKPGSDRGRYEGNMSSFVYSAGRLSEQMYEDRFANEGSGSRVSDFSISSGGDASRSGVQSPNFQKEIGSSSPSFPPSSDNLTEVGCQAKNVFLEANSKRDAAGITCPQRTASSGSFGSAGSYSMPAFEPEQAPGIFQDESISLTGSSLFGSTDSLDLFKAPVKQKISSAALSIDLFHLPAPSSSLSIDSFQPPVSCSDSSVNVGECPKLFSPTSFELFADFPQQQSTANLAKQVPDSFHESEGWATFDTPQPSASVPGTENITPENIASNGGGSIGKFDLFSPSNTSMQWPSFQYSTIHGPSDISSPWSDNLHNVTAPNTTSTQSWSAFEDSIVHLPLEGTKKGSEPGATDKLLSAGDGYLGFRISEDSSEDGIQRAVSQGEPHDPGLPAHVVLGQSFTPQGLPQMSQGEIKSDATDHKSNNPFDLPYDTDLDPNNVFLDMSSLQASLPNAQLQSSFLGGVSQAWLPQNTVSGMSYPAAGEGGLTYMPGQAHSSQIPNVPTQGPVASIGGNPFA >ONH94694 pep chromosome:Prunus_persica_NCBIv2:G7:4393307:4402222:1 gene:PRUPE_7G026600 transcript:ONH94694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFVCITCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRAREIYLMDWDLQRQRLPDNSKVDKIREFIRSVYVDRKYAGGRTSEKPPRDMQNHRIREDETRRASSYHSYSQSPPYDYQYEDRRYGKQAAVLNRKPGSDRGRYEGNMSSFVYSAGRLSEQMYEDRFANEGSGSRVSDFSISSGGDASRSGVQSPNFQKEIGSSSPSFPPSSDNLTEVGCQAKNVFLEANSKRDAAGITCPQRTASSGSFGSAGSYSMPAFEPEQAPGIFQDESISLTGSSLFGSTDSLDLFKAPVKQKISSAALSIDLFHLPAPSSSLSIDSFQPPVSCSDSSVNVGECPKLFSPTSFELFADFPQQQSTANLAKQVPDSFHESEGWATFDTPQPSASVPGTENITPENIASNGGGSIGKFDLFSPSNTSMQWPSFQYSTIHGPSDISSPWSDNLHNVTAPNTTSTQSWSAFEDSIVHLPLEGTKKGSEPGATDKLLSAGDGYLGFRISEDSSEDGIQRAVSQGEPHDPGLPAHVVLGQSFTPQGLPQMSQGEIKSDATDHKSNNPFDLPYDTDLDPNNVFLDMSSLQASLPNAQLQSSFLGGVSQAWLPQNTVSGMSYPAAGEGGLTYMPGQAHSSQIPNVPTQGPVASIGGNPFA >ONH94697 pep chromosome:Prunus_persica_NCBIv2:G7:4393307:4402202:1 gene:PRUPE_7G026600 transcript:ONH94697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFVCITCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRAREIYLMDWDLQRQRLPDNSKVDKIREFIRSVYVDRKYAGGRTSEKPPRDMQNHRIREDETRRASSYHSYSQSPPYDYQYEDRRYGKQAAVLNRKPGSDRGRYEGNMSSFVYSAGRLSEQMYEDRFANEGSGSRVSDFSISSGGDASRSGVQSPNFQKEIGSSSPSFPPSSDNLTEVGCQAKNVFLEANSKRDAAGITCPQRTASSGSFGSAGSYSMPAFEPEQAPGIFQDESISLTGSSLFGSTDSLDLFKAPVKQKISSAALSIDLFHLPAPSSSLSIDSFQPPVSCSDSSVNVGECPKLFSPTSFELFADFPQQQSTANLAKQVPDSFHESEGWATFDTPQPSASVPGTENITPENIASNGGGSIGKFDLFSPSNTSMQWPSFQYSTIHGPSDISSPWSDNLHNVTAPNTTSTQSWSAFEDSIVHLPLEGTKKGSEPGATDKLLSAGDGYLGFRISEDSSEDGIQRAVSQGEPHDPGLPAHVVLGQSFTPQGLPQMSQGEIKSDATDHKSNNPFDLPYDTDLDPNNVFLDMSSLQASLPNAQLQSSFLGGVSQAWLPQNTVSGMSYPAAGEGGLTYMPGQAHSSQIPR >ONH94696 pep chromosome:Prunus_persica_NCBIv2:G7:4393352:4402202:1 gene:PRUPE_7G026600 transcript:ONH94696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFVCITCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRAREIYLMDWDLQRQRLPDNSKVDKIREFIRSVYVDRKYAGGRTSEKPPRDMQNHRIREDETRRASSYHSYSQSPPYDYQYEDRRYGKQAAVLNRKPGSDRGRYEGNMSSFVYSAGRLSEQMYEDRFANEGSGSRVSDFSISSGGDASRSGVQSPNFQKEIGSSSPSFPPSSDNLTEVGCQAKNVFLEANSKRDAAGITCPQRTASSGSFGSAGSYSMPAFEPEQAPGIFQDESISLTGSSLFGSTDSLDLFKAPVKQKISSAALSIDLFHLPAPSSSLSIDSFQPPVSCSDSSVNVGECPKLFSPTSFELFADFPQQQSTANLAKQVPDSFHESEGWATFDTPQPSASVPGTENITPENIASNGGGSIGKFDLFSPSNTSMQWPSFQYSTIHGPSDISSPWSDNLHNVTAPNTTSTQSWSAFEDSIVHLPLEGTKKGSEPGATDKLLSAGDGYLGFRISEDSSEDGIQRAVSQGEPHDPGLPAHVVLGQSFTPQGLPQMSQGEIKSDATDHKSNNPFDLPYDTDLDPNNVFLDMSSLQASLPNAQLQSSFLGGVSQAWLPQNTVSGMSYPAAGEGGLTYMPGQAHSSQIPM >ONH94701 pep chromosome:Prunus_persica_NCBIv2:G7:4394202:4402202:1 gene:PRUPE_7G026600 transcript:ONH94701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFTSQEVEALQNGGNQRAREIYLMDWDLQRQRLPDNSKVDKIREFIRSVYVDRKYAGGRTSEKPPRDMQNHRIREDETRRASSYHSYSQSPPYDYQYEDRRYGKQAAVLNRKPGSDRGRYEGNMSSFVYSAGRLSEQMYEDRFANEGSGSRVSDFSISSGGDASRSGVQSPNFQKEIGSSSPSFPPSSDNLTEVGCQAKNVFLEANSKRDAAGITCPQRTASSGSFGSAGSYSMPAFEPEQAPGIFQDESISLTGSSLFGSTDSLDLFKAPVKQKISSAALSIDLFHLPAPSSSLSIDSFQPPVSCSDSSVNVGECPKLFSPTSFELFADFPQQQSTANLAKQVPDSFHESEGWATFDTPQPSASVPGTENITPENIASNGGGSIGKFDLFSPSNTSMQWPSFQYSTIHGPSDISSPWSDNLHNVTAPNTTSTQSWSAFEDSIVHLPLEGTKKGSEPGATDKLLSAGDGYLGFRISEDSSEDGIQRAVSQGEPHDPGLPAHVVLGQSFTPQGLPQMSQGEIKSDATDHKSNNPFDLPYDTDLDPNNVFLDMSSLQASLPNAQLQSSFLGGVSQAWLPQNTVSGMSYPAAGEGGLTYMPGQAHSSQIP >ONH94699 pep chromosome:Prunus_persica_NCBIv2:G7:4393638:4401013:1 gene:PRUPE_7G026600 transcript:ONH94699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFVCITCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRAREIYLMDWDLQRQRLPDNSKVDKIREFIRSVYVDRKYAGGRTSEKPPRDMQNHRIREDETRRASSYHSYSQSPPYDYQYEDRRYGKQAAVLNRKPGSDRGRYEGNMSSFVYSAGRLSEQMYEDRFANEGSGSRVSDFSISSGGDASRSGVQSPNFQKEIGSSSPSFPPSSDNLTEVGCQAKNVFLEANSKRDAAGITCPQRTASSGSFGSAGSYSMPAFEPEQAPGIFQDESISLTGSSLFGSTDSLDLFKAPVKQKISSAALSIDLFHLPAPSSSLSIDSFQPPVSCSDSSVNVGECPKLFSPTSFELFADFPQQQSTANLAKQVPDSFHESEGWATFDTPQPSASVPGTENITPENIASNGGGSIGKFDLFSPSNTSMQWPSFQYSTIHGPSDISSPWSDNLHNVTAPNTTSTQSWSAFEDSIVHLPLEGTKKGSEPGATDKLLSAGDGYLGFRISEDSSEDGIQRAVSQGEPHDPGLPAHVVLGQSFTPQGLPQMSQGEIKSDATDHKSNNPFDLPYDTDLDPNNVFLDMSSLQASLPNAQLQSSFLGGVSQAWLPQNTVSGMSYPAAGEGGLTYMPGQAHSSQIP >ONH94698 pep chromosome:Prunus_persica_NCBIv2:G7:4393352:4402202:1 gene:PRUPE_7G026600 transcript:ONH94698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFVCITCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRAREIYLMDWDLQRQRLPDNSKVDKIREFIRSVYVDRKYAGGRTSEKPPRDMQNHRIREDETRRASSYHSYSQSPPYDYQYEDRRYGKQAAVLNRKPGSDRGRYEGNMSSFVYSAGRLSEQMYEDRFANEGSGSRVSDFSISSGGDASRSGVQSPNFQKEIGSSSPSFPPSSDNLTEVGCQAKNVFLEANSKRDAAGITCPQRTASSGSFGSAGSYSMPAFEPEQAPGIFQDESISLTGSSLFGSTDSLDLFKAPVKQKISSAALSIDLFHLPAPSSSLSIDSFQPPVSCSDSSVNVGECPKLFSPTSFELFADFPQQQSTANLAKQVPDSFHESEGWATFDTPQPSASVPGTENITPENIASNGGGSIGKFDLFSPSNTSMQWPSFQYSTIHGPSDISSPWSDNLHNVTAPNTTSTQSWSAFEDSIVHLPLEGTKKGSEPGATDKLLSAGDGYLGFRISEDSSEDGIQRAVSQGEPHDPGLPAHVVLGQSFTPQGLPQMSQGEIKSDATDHKSNNPFDLPYDTDLDPNNVFLDMSSLQASLPNAQLQSSFLGGVSQAWLPQNTVSGMSYPAAGEGGLTYMPGQAHSSQIPR >ONH94695 pep chromosome:Prunus_persica_NCBIv2:G7:4393352:4402205:1 gene:PRUPE_7G026600 transcript:ONH94695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFVCITCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRAREIYLMDWDLQRQRLPDNSKVDKIREFIRSVYVDRKYAGGRTSEKPPRDMQNHRIREDETRRASSYHSYSQSPPYDYQYEDRRYGKQAAVLNRKPGSDRGRYEGNMSSFVYSAGRLSEQMYEDRFANEGSGSRVSDFSISSGGDASRSGVQSPNFQKEIGSSSPSFPPSSDNLTEVGCQAKNVFLEANSKRDAAGITCPQRTASSGSFGSAGSYSMPAFEPEQAPGIFQDESISLTGSSLFGSTDSLDLFKAPVKQKISSAALSIDLFHLPAPSSSLSIDSFQPPVSCSDSSVNVGECPKLFSPTSFELFADFPQQQSTANLAKQVPDSFHESEGWATFDTPQPSASVPGTENITPENIASNGGGSIGKFDLFSPSNTSMQWPSFQYSTIHGPSDISSPWSDNLHNVTAPNTTSTQDSSEDGIQRAVSQGEPHDPGLPAHVVLGQSFTPQGLPQMSQGEIKSDATDHKSNNPFDLPYDTDLDPNNVFLDMSSLQASLPNAQLQSSFLGGVSQAWLPQNTVSGMSYPAAGEGGLTYMPGQAHSSQIPNVPTQGPVASIGGNPFA >ONH97070 pep chromosome:Prunus_persica_NCBIv2:G7:17131540:17133808:1 gene:PRUPE_7G168300 transcript:ONH97070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATTLTSIAGEKTLQQKFVRDEDERPKVAYNNFSNEIPIISLAGIDEVEGRRADICKKIVEACEDWGIFQIVDHGVDTKLISEMTGLAREFFALPSEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPIRHRDYSRWPDKPEGWREVTQKYSDELMGLACKLLGVLSEAMGLDTEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDDGKTWITVQPVEGAFVVNLGDHGHFLSNGRFKNADHQAVVNSNSSRLSIATFQNPAQEATVYPLSIREGEKPILEGPITYTEMYKKKMTKDLELARLKKLAKEQQLQDSEKEGKPKDDIFA >ONH97071 pep chromosome:Prunus_persica_NCBIv2:G7:17131540:17133699:1 gene:PRUPE_7G168300 transcript:ONH97071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATTLTSIAGEKTLQQKFVRDEDERPKVAYNNFSNEIPIISLAGIDEVEGRRADICKKIVEACEDWGIFQIVDHGVDTKLISEMTGLAREFFALPSEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPIRHRDYSRWPDKPEGWREVTQKYSDELMGLACKLLGVLSEAMGLDTEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDDGKTWITVQPVEGAFVVNLGDHAVSEQWEVQEC >ONH94826 pep chromosome:Prunus_persica_NCBIv2:G7:6179531:6182871:1 gene:PRUPE_7G033200 transcript:ONH94826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETVGRHEERANGSLAEQSGTEHEDYVSGVLYDMLQKEVVSLRKACHEKDQTLKDKDDAIEMLAKKVDTLNKAMEVEAKKMRREVAAMEKEVSAMRVTKEPDQRARRSSAPRGVVNSSHTLSSRNAHKSR >ONH96498 pep chromosome:Prunus_persica_NCBIv2:G7:15157143:15164319:1 gene:PRUPE_7G133100 transcript:ONH96498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLFYNSMNLSAAVFSRTHFPIPINKDFPLEFSPCVHTDYHLRSRTRSGQKKCLTEVRATVASPTEVPSAPASTQPKKLRILVAGGGIGGLVFALAAKKKGFDVVVFEKDLSAVRGEGQYRGPIQIQSNALAALEAIDMDVAEEVMRVGCVTGDRINGLVDGVSGTWYVKFDTFTPAVERGLPVTRVISRIALQQILARAVGEEIIINDSNVVNFEDLGDKVNVILENGQRYEGDMLVGADGIWSKVRKNLFGLNEAVYSGYTCYTGIADFVPADINSVGYRVFLGHKQYFVSSDVGGGKMQWYAFHKESPGGVDGPNGKKERLLKIFEGWCDNVIDLLLTTEEDAILRRDIYDRTPILTWGKGHVTLLGDSVHAMQPNMGQGGCMAIEDGYQLALELDKAWKKSSETGIPVDVASSLRSYENSRRLRVAIIHGMARMAALMASTYKAYLGVGLGPLSFLTKFRIPHPGRVGGRVFIDKAMPLMLSWVLGGNSSKLEGRSPSCRLSDKASDQLRTWFEDDDALERAIDGEWYLIPCGQDNDASQLICLNRDEKNPCIIGSAPHGDVSGISIAIPKPQVSEMHARISYKDGAFYLTDLRSEHGTWIADIEGKRYRVPPNFPARFRPSDAIEIGSQKVAFRVKVMKSSPGSVEKEGILQAA >ONH96499 pep chromosome:Prunus_persica_NCBIv2:G7:15157143:15164319:1 gene:PRUPE_7G133100 transcript:ONH96499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLFYNSMNLSAAVFSRTHFPIPINKDFPLEFSPCVHTDYHLRSRTRSGQKKCLTEVRATVASPTEVPSAPASTQPKKLRILVAGGGIGGLVFALAAKKKGFDVVVFEKDLSAVRGEGQYRGPIQIQSNALAALEAIDMDVAEEVMRVGCVTGDRINGLVDGVSGTWYVKFDTFTPAVERGLPVTRVISRIALQQILARAVGEEIIINDSNVVNFEDLGDKVNVILENGQRYEGDMLVGADGIWSKVRKNLFGLNEAVYSGYTCYTGIADFVPADINSVGYRVFLGHKQYFVSSDVGGGKMQWYAFHKESPGGVDGPNGKKERLLKIFEGWCDNVIDLLLTTEEDAILRRDIYDRTPILTWGKGHVTLLGDSVHAMQPNMGQGGCMAIEDGYQLALELDKAWKKSSETGIPVDVASSLRSYENSRRLRVAIIHGMARMAALMASTYKAYLGVGLGPLSFLTKFRIPHPGRVGGRVFIDKAMPLMLSWVLGGNSSKLEGRSPSCRLSDKASDQLRTWFEDDDALERAIDGEWYLIPCGQDNDASQLICLNRDEKNPCIIGSAPHGDVSGISIAIPKPQVSEMHARISYKDGAFYLTDLRSEHGTWIADIEGKRYRVPPNFPARFRPSDAIEIGSQKVAFRVKVMKSSPGSVEKEGILQAA >ONH96570 pep chromosome:Prunus_persica_NCBIv2:G7:15432858:15434051:-1 gene:PRUPE_7G137800 transcript:ONH96570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWRSAGGNLRAVLQNPSSRQQAPFQSFSALYHTIQAIPRECTGNRVSVKDRAQGRIPAVVFSQELNPSTTTVRSVSKKHLLTAERKQIQAILKSVELPFFCSTRFPLQIRAGSGSSDLLESGNVLPIKIHRDEESGKILNLVFVWADEGSQLKVDVPVVFKGEDVCPGLKKDADQSFKLLLCILS >ONH96568 pep chromosome:Prunus_persica_NCBIv2:G7:15431308:15434051:-1 gene:PRUPE_7G137800 transcript:ONH96568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWRSAGGNLRAVLQNPSSRQQAPFQSFSALYHTIQAIPRECTGNRVSVKDRAQGRIPAVVFSQELNPSTTTVRSVSKKHLLTAERKQIQAILKSVELPFFCSTRFPLQIRAGSGSSDLLESGNVLPIKIHRDEESGKILNLVFVWADEGSQLKVDVPVVFKGEDVCPGLKKGGC >ONH96569 pep chromosome:Prunus_persica_NCBIv2:G7:15432186:15434051:-1 gene:PRUPE_7G137800 transcript:ONH96569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWRSAGGNLRAVLQNPSSRQQAPFQSFSALYHTIQAIPRECTGNRVSVKDRAQGRIPAVVFSQELNPSTTTVRSVSKKHLLTAERKQIQAILKSVELPFFCSTRFPLQIRAGSGSSDLLESGNVLPIKIHRDEESGKILNLVFVWADEGSQLKVDVPVVFKGEDVCPGLKKGGHLNKIRTSLKYLCPAEHIPPKIEVDVSKLDIGDRVFIPDVEVHPSMKLLSKNETMPICKIVATKLENPESAGV >ONH95939 pep chromosome:Prunus_persica_NCBIv2:G7:12890678:12899149:-1 gene:PRUPE_7G097500 transcript:ONH95939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQVLAEFVRVLKISKNSRIEAPLLQYLSIMIQNMNSDHSIYYCFSNDYINNIIGHKFEFGGDLALYYVSFLRAVSNKLNKDTVCLLLKVYGDSVVSFPLYNEALKFAHHGEKMIQTAIRALTLSIYNVSDDMIYQYITTPPVSKYFSDLVSSLSNQFFHLDALVHATEMRTNQKRKELLLETDKIVDDLYYFNDMLGVGQSRLSTVVIENLLRILIFPILLPLLQLGQSNGSNLSAVTSFYTVSCLLQVIGGKGMFNSVAGVILYPYMTSSVRDAIERDSTESINHAKSILSEMGKVVLSSPETEGAENSSLPRDTASNKRSGILAHVFSDNPSLSLASLFLLFILAEAKDLHPLLAQMIGLNGMQNMIAVDGNIGNLLVKYMDQILNALLKVLASQPPFSILIQWHTGWFLRKLLILQGKGLGDHNFKLLNSSYQQSRECLQKELDGCWFDHIPEVLRNEWPNCKAALEESSQCKDPFFVLELDDSQQATDGDATSYFAWKTMVETVKTSQ >ONH95940 pep chromosome:Prunus_persica_NCBIv2:G7:12892289:12897929:-1 gene:PRUPE_7G097500 transcript:ONH95940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQVLAEFVRVLKISKNSRIEAPLLQYLSIMIQNMNSDHSIYYCFSNDYINNIIGHKFEFGGDLALYYVSFLRAVSNKLNKDTVCLLLKVYGDSVVSFPLYNEALKFAHHGEKMIQTAIRALTLSIYNVSDDMIYQYITTPPVSKYFSDLVSSLSNQFFHLDALVHATEMRTNQKRKELLLETDKIVDDLYYFNDMLGVGQSRLSTVVIENLLRILIFPILLPLLQLGQSNGSNLSAVTSFYTVSCLLQVIGGKGMFNSVAGVILYPYMTSSVRDAIERDSTESINHAKSILSEMGKVVLSSPETEGAENSSLPRDTASNKRSGILAHVFSDNPSLSLASLFLLFILAEAKDLHPLLAQMIGLNGMQNMIAVDGNIGNLLVKYMDQILNALLKVLASQPPFSILIQWHTGWFLRKLLILQGKGLGDHNFKLLNFLWTVLISAIP >ONH95941 pep chromosome:Prunus_persica_NCBIv2:G7:12892403:12899162:-1 gene:PRUPE_7G097500 transcript:ONH95941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLWRSIDRFSLQYFKYVINELRQIKVVDWHNRELVIDLLQSIVELVTYGDRQDPLIFEYFMEYQVLAEFVRVLKISKNSRIEAPLLQYLSIMIQNMNSDHSIYYCFSNDYINNIIGHKFEFGGDLALYYVSFLRAVSNKLNKDTVCLLLKVYGDSVVSFPLYNEALKFAHHGEKMIQTAIRALTLSIYNVSDDMIYQYITTPPVSKYFSDLVSSLSNQFFHLDALVHATEMRTNQKRKELLLETDKIVDDLYYFNDMLGVGQSRLSTVVIENLLRILIFPILLPLLQLGQSNGSNLSAVTSFYTVSCLLQVIGGKGMFNSVAGVILYPYMTSSVRDAIERDSTESINHAKSILSEMGKVVLSSPETEGAENSSLPRDTASNKRSGILAHVFSDNPSLSLASLFLLFILAEAKDLHPLLAQMIGLNGMQNMIAVDGNIGNLLVKYMDQILNALLKVLASQPPFSILIQWHTGWFLRKLLILQGKGLGDHNFKLLNEQLEDIS >ONH95936 pep chromosome:Prunus_persica_NCBIv2:G7:12889283:12899188:-1 gene:PRUPE_7G097500 transcript:ONH95936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLWRSIDRFSLQYFKYVINELRQIKVVDWHNRELVIDLLQSIVELVTYGDRQDPLIFEYFMEYQVLAEFVRVLKISKNSRIEAPLLQYLSIMIQNMNSDHSIYYCFSNDYINNIIGHKFEFGGDLALYYVSFLRAVSNKLNKDTVCLLLKVYGDSVVSFPLYNEALKFAHHGEKMIQTAIRALTLSIYNVSDDMIYQYITTPPVSKYFSDLVSSLSNQFFHLDALVHATEMRTNQKRKELLLETDKIVDDLYYFNDMLGVGQSRLSTVVIENLLRILIFPILLPLLQLGQSNGSNLSAVTSFYTVSCLLQVIGGKGMFNSVAGVILYPYMTSSVRDAIERDSTESINHAKSILSEMGKVVLSSPETEGAENSSLPRDTASNKRSGILAHVFSDNPSLSLASLFLLFILAEAKDLHPLLAQMIGLNGMQNMIAVDGNIGNLLVKYMDQILNALLKVLASQPPFSILIQWHTGWFLRKLLILQGKGLGDHNFKLLNSSYQQSRECLQKELDGCWFDHIPEVLRNEWPNCKAALEESSQCKDPFFVLELDDSQQATDGDATSYFAWKTMVETVKVFILHLQLKSCIFKGELLQEPLLNMSSTIADSSKTHASDIASASFGSEVSLRSGIPCTIAFSNAGIRDIYLIPKARETTGKVLLAEKHPFRSQRGVVLAIGPLAGLNPKVDEDHPTWLHLQIREFEPKLHKIKVGHQSSGMSNHVADGRWTLGFSNANACEAARLSILEEIRKQRSSVESILAPLLQDSYPGNLSETESQDE >ONH95937 pep chromosome:Prunus_persica_NCBIv2:G7:12889353:12899152:-1 gene:PRUPE_7G097500 transcript:ONH95937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLWRSIDRFSLQYFKYVINELRQIKVVDWHNRELVIDLLQSIVELVTYGDRQDPLIFEYFMEYQVLAEFVRVLKISKNSRIEAPLLQYLSIMIQNMNSDHSIYYCFSNDYINNIIGHKFEFGGDLALYYVSFLRAVSNKLNKDTVCLLLKVYGDSVVSFPLYNEALKFAHHGEKMIQTAIRALTLSIYNVSDDMIYQYITTPPVSKYFSDLVSSLSNQFFHLDALVHATEMRTNQKRKELLLETDKIVDDLYYFNDMLGVGQSRLSTVVIENLLRILIFPILLPLLQLGQSNGSNLSAVTSFYTVSCLLQVIGGKGMFNSVAGVILYPYMTSSVRDAIERDSTESINHAKSILSEMGKVVLSSPETEGAENSSLPRDTASNKRSGILAHVFSDNPSLSLASLFLLFILAEAKDLHPLLAQMIGLNGMQNMIVMDGNIGNLLVKYMDQILNALLKVLASQPPFSILIQWHTGWFLRKLLILQGKGLGDHNFKLLNSSYQQSRECLQKELDGCWFDHIPEVLRNEWPNCKAALEESSQCKDPFFVLELDDSQQATDGDATSYFAWKTMVETVKVFILHLQLKSCIFKGELLQEPLLNMSSTIADSSKTHASDIASASFGSEVSLRSGIPCTIAFSNAGIRDIYLIPKARETTGKVLLAEKHPFRSQRGVVLAIGPLAGLNPKVDEDHPTWLHLQIREFEPKLHKIKVGHQSSGMSNHVADGRWTLGFSNANACEAARLSILEEIRKQRSSVESILAPLLQDSYPGNLSETESQDE >ONH95938 pep chromosome:Prunus_persica_NCBIv2:G7:12889352:12899179:-1 gene:PRUPE_7G097500 transcript:ONH95938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLWRSIDRFSLQYFKYVINELRQIKVVDWHNRELVIDLLQSIVELVTYGDRQDPLIFEYFMEYQVLAEFVRVLKISKNSRIEAPLLQYLSIMIQNMNSDHSIYYCFSNDYINNIIGHKFEFGGDLALYYVSFLRAVSNKLNKDTVCLLLKVYGDSVVSFPLYNEALKFAHHGEKMIQTAIRALTLSIYNVSDDMIYQYITTPPVSKYFSDLVSSLSNQFFHLDALVHATEMRTNQKRKELLLETDKIVDDLYYFNDMLGVGQSRLSTVVIENLLRILIFPILLPLLQLGQSNGSNLSAVTSFYTVSCLLQVIGGKGMFNSVAGVILYPYMTSSVRDAIERDSTESINHAKSILSEMGKVVLSSPETEGAENSSLPRDTASNKRSGILAHVFSDNPSLSLASLFLLFILAEAKDLHPLLAQMIGLNGMQNMIAVDGNIGNLLVKYMDQILNALLKVLASQPPFSILIQWHTGWFLRKLLILQGKGLGDHNFKLLNSSYQQSRECLQKELDGCWFDHIPEVLRNEWPNCKAALEESSQCKDPFFVLELDDSQQATDGDATSYFAWKTMVETVKTSQ >ONH95447 pep chromosome:Prunus_persica_NCBIv2:G7:10876130:10877142:1 gene:PRUPE_7G071100 transcript:ONH95447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKRMFSTVFILVLLFVAIGTGPMVAEGKVETKETSRTCESLSTKFKGPCIRSSNCANICEEEGFKGGKCVGFRLRCTCTKNC >ONH95179 pep chromosome:Prunus_persica_NCBIv2:G7:9411293:9415287:-1 gene:PRUPE_7G055300 transcript:ONH95179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHDDDVSMEDAVVEPMPRRTKGRGGSGRLRSQSKTLDHPNNPFFLDANEPPSQGYAPQRSIEGWIVLITGIHEEAQEDHILNAFGYYGEIKNLHMNLDRRTGFAKGNALIEYETFEEADAAISAMNGTKLFGRTILVNSAFMTGPFGSNSRRSPQLNRSRSPRMKY >ONH95442 pep chromosome:Prunus_persica_NCBIv2:G7:10857635:10861565:1 gene:PRUPE_7G070700 transcript:ONH95442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLHIALLLLIAEVVLCLNPDGLSLLALKSAIETDPTRVLDSWFDSDPTPCHWHGVVCTRNRVTDLLLSDKGFSGYIPSELGHLDSLKRLSLSRNNFSKLIPAHLFNATNLISLDLSRNSFVGPVPAQIEALKALKHLDLSSNFLNGSLPESLAELPSLAGTLNLSYNKFSGEVPASYGRLPVLVSLDLRHNNLTGKVPQVGSLVNQGPTAFSGNPSLCGFPLEIPCPEAQNPNASKSGAEDVQKPLNTDPSLVNGVEERENRRGGSVTVPIISGLSVVIGAVSISVWLLRIRRRAKEVKTVREKVEKAVVVVEDEGEGQNGKFVVLDEGFGLELEDLLRASAYVVGKSRSGITYRVVAGSAGKGYGAAPAVVAVRRLSEGDATWRFKEFEAEVEAIGKVVHPNIVRLRAYYYANDEKLLVTDFIRNGSLYNALHGVPSTSLPPLPWTARLKIAQGTARGLMYIHEHSPRKYVHGNIKSTKILLNDDLQPYISGFGLVRLMLGTSKFTTSASRKHNSSQCIGASGLTVSTSSTIYLAPEARISGSKFTQKCDVYSFGVVLLEILTGRLPDEGLENGGKGLESLVRKTFRDERPLSEIIDPVLLQEVYAKKQVVEAFHIALNCTELDPELRPRMKTVSESLDRIKLRC >ONH94909 pep chromosome:Prunus_persica_NCBIv2:G7:7195169:7195613:1 gene:PRUPE_7G039000 transcript:ONH94909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLGSKTSYAEKPEKFKGSKKFVVGRFLDFKMVDSKPVVRQVEDVQKIIHEILAEGMKINESFQVVSLIEKLPPNWKEFKSYLKHKRKKMSI >ONH95356 pep chromosome:Prunus_persica_NCBIv2:G7:10449263:10454795:-1 gene:PRUPE_7G066100 transcript:ONH95356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNCSFLLVIARNVFKADELGLEIVHIALPTLLALLADPVASLVDTAFVGHIGPVELAAVGISIAVFNQVSKIAIFPLVSITTSFVAEEDATEELRANEQVHENVENGTPISMEMEMEELVPLVGKSSSTEMVKLECVRRHIPSASSALVVGSILGFIQAVFLIFAANPVLNYMGVDSNSPMLKPARQYLTLRSLGAPAVLLSLAVQGVFRGFKDTKTPLYATIVGDVANIILDPILMFVFHMGVRGAAIAHVVSQYLISLILLWKLNKQVDLLTSGVKDLRFGQFLKNGFLLLVRVIAATFCVTLAAALAARQGPTTMAAFQVCLQIWLAASLLADGLAVAGQAILASAFARKDHSKAVATASRVLQLALVLGLMLSIILMVVLQFGSRIFTKDINVLQLISLGIPFVAVTQPINALAFVFDGVNYGASDFAYSAYSMVLVALVSILCLFLLSSHGFVGIWVALSVFMSLRALVGFWRIGTGMGPWSFLRE >ONH95353 pep chromosome:Prunus_persica_NCBIv2:G7:10448932:10455714:-1 gene:PRUPE_7G066100 transcript:ONH95353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMPLFVFFKDIRNVFKADELGLEIVHIALPTLLALLADPVASLVDTAFVGHIGPVELAAVGISIAVFNQVSKIAIFPLVSITTSFVAEEDATEELRANEQVHENVENGTPISMEMEMEELVPLVGKSSSTEMVKLECVRRHIPSASSALVVGSILGFIQAVFLIFAANPVLNYMGVDSNSPMLKPARQYLTLRSLGAPAVLLSLAVQGVFRGFKDTKTPLYATIVGDVANIILDPILMFVFHMGVRGAAIAHVVSQYLISLILLWKLNKQVDLLTSGVKDLRFGQFLKNGFLLLVRVIAATFCVTLAAALAARQGPTTMAAFQVCLQIWLAASLLADGLAVAGQAILASAFARKDHSKAVATASRVLQFGSRIFTKDINVLQLISLGIPFVAVTQPINALAFVFDGVNYGASDFAYSAYSMVLVALVSILCLFLLSSHGFVGIWVALSVFMSLRALVGFWRIGTGMGPWSFLRE >ONH95354 pep chromosome:Prunus_persica_NCBIv2:G7:10449263:10455033:-1 gene:PRUPE_7G066100 transcript:ONH95354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMPLFVFFKDIRNVFKADELGLEIVHIALPTLLALLADPVASLVDTAFVGHIGPVELAAVGISIAVFNQVSKIAIFPLVSITTSFVAEEDATEELRANEQVHENVENGTPISMEMEMEELVPLVGKSSSTEMVKLECVRRHIPSASSALVVGSILGFIQAVFLIFAANPVLNYMGVDSNSPMLKPARQYLTLRSLGAPAVLLSLAVQGVFRGFKDTKTPLYATIVGDVANIILDPILMFVFHMGVRGAAIAHVVSQYLISLILLWKLNKQVDLLTSGVKDLRFGQFLKNGFLLLVRVIAATFCVTLAAALAARQGPTTMAAFQVCLQIWLAASLLADGLAVAGQAILASAFARKDHSKAVATASRVLQLALVLGLMLSIILMVVLQFGSRIFTKDINVLQLISLGIPFVAVTQPINALAFVFDGVNYGASDFAYSAYSMVLVALVSILCLFLLSSHGFVGIWVALSVFMSLRALVGFWRIGTGMGPWSFLRE >ONH95359 pep chromosome:Prunus_persica_NCBIv2:G7:10448921:10455714:-1 gene:PRUPE_7G066100 transcript:ONH95359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMEELVPLVGKSSSTEMVKLECVRRHIPSASSALVVGSILGFIQAVFLIFAANPVLNYMGVDSNSPMLKPARQYLTLRSLGAPAVLLSLAVQGVFRGFKDTKTPLYATIVGDVANIILDPILMFVFHMGVRGAAIAHVVSQYLISLILLWKLNKQVDLLTSGVKDLRFGQFLKNGFLLLVRVIAATFCVTLAAALAARQGPTTMAAFQVCLQIWLAASLLADGLAVAGQAILASAFARKDHSKAVATASRVLQFGSRIFTKDINVLQLISLGIPFVAVTQPINALAFVFDGVNYGASDFAYSAYSMVLVALVSILCLFLLSSHGFVGIWVALSVFMSLRALVGFWRIGTGMGPWSFLRE >ONH95357 pep chromosome:Prunus_persica_NCBIv2:G7:10448932:10455714:-1 gene:PRUPE_7G066100 transcript:ONH95357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMEELVPLVGKSSSTEMVKLECVRRHIPSASSALVVGSILGFIQAVFLIFAANPVLNYMGVDSNSPMLKPARQYLTLRSLGAPAVLLSLAVQGVFRGFKDTKTPLYATIVGDVANIILDPILMFVFHMGVRGAAIAHVVSQYLISLILLWKLNKQVDLLTSGVKDLRFGQFLKNGFLLLVRVIAATFCVTLAAALAARQGPTTMAAFQVCLQIWLAASLLADGLAVAGQAILASAFARKDHSKAVATASRVLQFGSRIFTKDINVLQLISLGIPFVAVTQPINALAFVFDGVNYGASDFAYSAYSMVLVALVSILCLFLLSSHGFVGIWVALSVFMSLRALVGFWRIGTGMGPWSFLRE >ONH95361 pep chromosome:Prunus_persica_NCBIv2:G7:10449263:10453906:-1 gene:PRUPE_7G066100 transcript:ONH95361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMEELVPLVGKSSSTEMVKLECVRRHIPSASSALVVGSILGFIQAVFLIFAANPVLNYMGVDSNSPMLKPARQYLTLRSLGAPAVLLSLAVQGVFRGFKDTKTPLYATIVGDVANIILDPILMFVFHMGVRGAAIAHVVSQYLISLILLWKLNKQVDLLTSGVKDLRFGQFLKNGFLLLVRVIAATFCVTLAAALAARQGPTTMAAFQVCLQIWLAASLLADGLAVAGQAILASAFARKDHSKAVATASRVLQLALVLGLMLSIILMVVLQFGSRIFTKDINVLQLISLGIPFVAVTQPINALAFVFDGVNYGASDFAYSAYSMVLVALVSILCLFLLSSHGFVGIWVALSVFMSLRALVGFWRIGTGMGPWSFLRE >ONH95362 pep chromosome:Prunus_persica_NCBIv2:G7:10449263:10453906:-1 gene:PRUPE_7G066100 transcript:ONH95362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMEELVPLVGKSSSTEMVKLECVRRHIPSASSALVVGSILGFIQAVFLIFAANPVLNYMGVDSNSPMLKPARQYLTLRSLGAPAVLLSLAVQGVFRGFKDTKTPLYATIVGDVANIILDPILMFVFHMGVRGAAIAHVVSQYLISLILLWKLNKQVDLLTSGVKDLRFGQFLKNGFLLLVRVIAATFCVTLAAALAARQGPTTMAAFQVCLQIWLAASLLADGLAVAGQAILASAFARKDHSKAVATASRVLQLALVLGLMLSIILMVVLQFGSRIFTKDINVLQLISLGIPFVAVTQPINALAFVFDGVNYGASDFAYSAYSMVLVALVSILCLFLLSSHGFVGIWVALSVFMSLRALVGFWRIGTGMGPWSFLRE >ONH95358 pep chromosome:Prunus_persica_NCBIv2:G7:10448932:10455714:-1 gene:PRUPE_7G066100 transcript:ONH95358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMEELVPLVGKSSSTEMVKLECVRRHIPSASSALVVGSILGFIQAVFLIFAANPVLNYMGVDSNSPMLKPARQYLTLRSLGAPAVLLSLAVQGVFRGFKDTKTPLYATIVGDVANIILDPILMFVFHMGVRGAAIAHVVSQYLISLILLWKLNKQVDLLTSGVKDLRFGQFLKNGFLLLVRVIAATFCVTLAAALAARQGPTTMAAFQVCLQIWLAASLLADGLAVAGQAILASAFARKDHSKAVATASRVLQFGSRIFTKDINVLQLISLGIPFVAVTQPINALAFVFDGVNYGASDFAYSAYSMVLVALVSILCLFLLSSHGFVGIWVALSVFMSLRALVGFWRIGTGMGPWSFLRE >ONH95360 pep chromosome:Prunus_persica_NCBIv2:G7:10449263:10453906:-1 gene:PRUPE_7G066100 transcript:ONH95360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMEELVPLVGKSSSTEMVKLECVRRHIPSASSALVVGSILGFIQAVFLIFAANPVLNYMGVDSNSPMLKPARQYLTLRSLGAPAVLLSLAVQGVFRGFKDTKTPLYATIVGDVANIILDPILMFVFHMGVRGAAIAHVVSQYLISLILLWKLNKQVDLLTSGVKDLRFGQFLKNGFLLLVRVIAATFCVTLAAALAARQGPTTMAAFQVCLQIWLAASLLADGLAVAGQAILASAFARKDHSKAVATASRVLQLALVLGLMLSIILMVVLQFGSRIFTKDINVLQLISLGIPFVAVTQPINALAFVFDGVNYGASDFAYSAYSMVLVALVSILCLFLLSSHGFVGIWVALSVFMSLRALVGFWRIGTGMGPWSFLRE >ONH95355 pep chromosome:Prunus_persica_NCBIv2:G7:10448932:10455714:-1 gene:PRUPE_7G066100 transcript:ONH95355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNCSFLLVIARNVFKADELGLEIVHIALPTLLALLADPVASLVDTAFVGHIGPVELAAVGISIAVFNQVSKIAIFPLVSITTSFVAEEDATEELRANEQVHENVENGTPISMEMEMEELVPLVGKSSSTEMVKLECVRRHIPSASSALVVGSILGFIQAVFLIFAANPVLNYMGVDSNSPMLKPARQYLTLRSLGAPAVLLSLAVQGVFRGFKDTKTPLYATIVGDVANIILDPILMFVFHMGVRGAAIAHVVSQYLISLILLWKLNKQVDLLTSGVKDLRFGQFLKNGFLLLVRVIAATFCVTLAAALAARQGPTTMAAFQVCLQIWLAASLLADGLAVAGQAILASAFARKDHSKAVATASRVLQFGSRIFTKDINVLQLISLGIPFVAVTQPINALAFVFDGVNYGASDFAYSAYSMVLVALVSILCLFLLSSHGFVGIWVALSVFMSLRALVGFWRIGTGMGPWSFLRE >ONH98701 pep chromosome:Prunus_persica_NCBIv2:G7:21789296:21791818:1 gene:PRUPE_7G262400 transcript:ONH98701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHQFESKADAGASKTYPQQAGTIRKNGYIVIKARPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDESLLSQIKDGFADGKDLVVTVMSAMGEEQICALKDIGPKN >ONH96634 pep chromosome:Prunus_persica_NCBIv2:G7:15740680:15744463:1 gene:PRUPE_7G142700 transcript:ONH96634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGLDSPLCPKPYSPSLEISKIHFGLQIRPLGCEVQITYRDSETQESETERNKSEFTMPILRKKSVASANPNNRSNNEQTQTSQTQNKSQYEQSREERIKENLERMKKLGIVDISLQLKSNFQPKRTAPKSFSNRSTTPSGPSPIREPGRLRRSSRLQNATPVSYSEYLTKKDKALDKEGIMLEEGAKPEIYTEEHENLLGNTDKSWTLFVDGYGKDGKRIYDQVRGKTCHQCRQKTLGHHTHCSQCDKGQGQFCGDCLYMRYGEHVIEAIQNPDWICPVCRGICNCSFCRTAKGWPPTGVLYKKITQLGFKSVAHYLIQTQRSQKILGENPETTNQVSAKRSLHFPDVDASCEEILKDHCNDIVMIKPLAEHKRDDELKSEKENDTQKSSNPDINNQTSAKRSLSFPDVVDHKVGDHLGLPKPQSESSRDDLKGEKENEIHLMDMKLGDSSHESSSKHKMKPALAIEPGSIAGRLRQRHRKGNEHDDDLPEAKVETPDVEQEVSKILSEKEVEKGKGILFTDGGNSSTALGTSSKLKKKRALAAEPSPDSIAGRLRQRRKANSPDGANTLSQSTPV >ONH96633 pep chromosome:Prunus_persica_NCBIv2:G7:15740680:15744735:1 gene:PRUPE_7G142700 transcript:ONH96633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGLDSPLCPKPYSPSLEISKIHFGLQIRPLGCEVQITYRDSETQESETERNKSEFTMPILRKKSVASANPNNRSNNEQTQTSQTQNKSQYEQSREERIKENLERMKKLGIVDISLQLKSNFQPKRTAPKSFSNRSTTPSGPSPIREPGRLRRSSRLQNATPVSYSEYLTKKDKALDKEGIMLEEGAKPEIYTEEHENLLGNTDKSWTLFVDGYGKDGKRIYDQVRGKTCHQCRQKTLGHHTHCSQCDKGQGQFCGDCLYMRYGEHVIEAIQNPDWICPVCRGICNCSFCRTAKGWPPTGVLYKKITQLGFKSVAHYLIQTQRSQKILGENPETTNQVSAKRSLHFPDVDASCEEILKDHCNDIVMIKPLAEHKRDDELKSEKENDTQKSSNPDINNQTSAKRSLSFPDVVQQSENFGSPEVDHKVGDHLGLPKPQSESSRDDLKGEKENEIHLMDMKLGDSSHESSSKHKMKPALAIEPGSIAGRLRQRHRKGNEHDDDLPEAKVETPDVEQEVSKILSEKEVEKGKGILFTDGGNSSTALGTSSKLKKKRALAAEPSPDSIAGRLRQRRKANSPDGANTLSQSTPV >ONH97629 pep chromosome:Prunus_persica_NCBIv2:G7:18819761:18821268:1 gene:PRUPE_7G202200 transcript:ONH97629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSGLGNGTQIDGKVLQTFQKSFVQVQKILDQNRLLINEINHNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTKSMDTSSEGDSSGALKSDAKAGHKRIRPA >ONH97628 pep chromosome:Prunus_persica_NCBIv2:G7:18819267:18821268:1 gene:PRUPE_7G202200 transcript:ONH97628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSGLGNGTQIDGKVLQTFQKSFVQVQKILDQNRLLINEINHNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTKSMDTSSEGDSSGALKSDAKAGHKRIRPA >ONH97630 pep chromosome:Prunus_persica_NCBIv2:G7:18819267:18821268:1 gene:PRUPE_7G202200 transcript:ONH97630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSGLGNGTQIDGKVLQTFQKSFVQVQKILDQNRLLINEINHNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTKSMDTSSEGDSSGALKSDAKAGHKRIRPA >ONH97631 pep chromosome:Prunus_persica_NCBIv2:G7:18819560:18821268:1 gene:PRUPE_7G202200 transcript:ONH97631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSGLGNGTQIDGKVLQTFQKSFVQVQKILDQNRLLINEINHNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTKSMDTSSEGDSSGALKSDAKAGHKRIRPA >ONH95192 pep chromosome:Prunus_persica_NCBIv2:G7:9528922:9537875:1 gene:PRUPE_7G056200 transcript:ONH95192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSSGYIEGMIEEDKRSASAGKYLVWEDITVVAAQNLRNDATSRKQLLNGISGFAEPHRIMALMGPSGSGKSTLLDALAGRLPANVKMSGDVILNGNKRRLNCRDISYVTREDIFLGALTVRETLEYSAQLRLPSTMTKDDKNEVVEETLTKMGLQDCAENNIGNWHLRGISNGEKRRLSICIEILTQPHVLLLDEPTSGLDSASSFFVIWALRNIAHDGRIVICSIHQPSSDVFNLFNDLLLLAGGETVYFGEAKMAVKFFADAGFPCPTRKNPPDHFLRCVNSDFDKVVTALTMSQRINYGSSSSLNSEMNLTTDDIKGKLINEYKSSQFSTNARKRIQELFTLQEKPATKSSKENSSTWWRQLRTLISRSSLNMSRDIGYYWLRSVFYILVGVSAGSFFFKIGTNYQAIWARGKCNGFIYGLMICLSIGGIPFVIEELQVFRRERLGGHYGDALFVLSNFLSSLPFVVAMAFSSGTILYCMVKFHSGFSHYLYFCLNLFCSIAVTEGTALIVAALVPNLLMGIGAAAGVMVFMMMPSLLFRRLVDLPNIFWRYPMSYLSYAAWSVQGQFKNDMIGLEFDPQVPGEPKLKGEDVLLYMYGINPKISKWWDLAALAALFICARVIFYMVVKYKERASFFTQRLYAKANFQHHAKRAPLRKEPLISSKRHRTHNTLASQEGLGSPLP >ONH95193 pep chromosome:Prunus_persica_NCBIv2:G7:9533279:9537875:1 gene:PRUPE_7G056200 transcript:ONH95193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIIYILSFPYFLKGRLPANVKMSGDVILNGNKRRLNCRDISYVTREDIFLGALTVRETLEYSAQLRLPSTMTKDDKNEVVEETLTKMGLQDCAENNIGNWHLRGISNGEKRRLSICIEILTQPHVLLLDEPTSGLDSASSFFVIWALRNIAHDGRIVICSIHQPSSDVFNLFNDLLLLAGGETVYFGEAKMAVKFFADAGFPCPTRKNPPDHFLRCVNSDFDKVVTALTMSQRINYGSSSSLNSEMNLTTDDIKGKLINEYKSSQFSTNARKRIQELFTLQEKPATKSSKENSSTWWRQLRTLISRSSLNMSRDIGYYWLRSVFYILVGVSAGSFFFKIGTNYQAIWARGKCNGFIYGLMICLSIGGIPFVIEELQVFRRERLGGHYGDALFVLSNFLSSLPFVVAMAFSSGTILYCMVKFHSGFSHYLYFCLNLFCSIAVTEGTALIVAALVPNLLMGIGAAAGVMVFMMMPSLLFRRLVDLPNIFWRYPMSYLSYAAWSVQGQFKNDMIGLEFDPQVPGEPKLKGEDVLLYMYGINPKISKWWDLAALAALFICARVIFYMVVKYKERASFFTQRLYAKANFQHHAKRAPLRKEPLISSKRHRTHNTLASQEGLGSPLP >ONH97576 pep chromosome:Prunus_persica_NCBIv2:G7:18628414:18630494:-1 gene:PRUPE_7G198000 transcript:ONH97576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSLVVLALALLAALALLSKILFPKRPKLPPGPKPWPIIGNLNLLGPLPHQSLHKLSQTYGPIMQLKFGSYPVVVASSPEMTKQFLKTHDHIFASRPQTAAGKYLTYDYLNVTWAPYGPYWRQGRKIFLMELFSPKRLESFRYIRVEENRAFASRLYALSGKAVVLKEHLSRLSLSIMSRIVLGKEYFSLTDFESSIMSLKEFQDMLDELFLLNGVFNIGDWIPWLDFLDLQGYVKRMKVVKKKMDWFYEFVLHEHKARKEGVKEFVAKDMVDLLLQLVDDPNDLEVKLTYDSIKAFTQDVIAGGTDTSASVLEWAMSELIKQPNLIEKATEELDRVIGKERWVEEKDLENLPYMDAIMKETMRKHPAVVMLPPHLALEDCNVAGYDVRKGTLVFVNIWSMGRDPTLWDAPDEFRPERFLGKAIDVKGQSFELLPFGSGRRMCPGYSLGLKMIGSCLANMLHGFNWKLPENVKVEDLGMEEVYGLVTPRKFPLVVVTEPRLPIHLY >ONH96377 pep chromosome:Prunus_persica_NCBIv2:G7:14662465:14682511:-1 gene:PRUPE_7G124700 transcript:ONH96377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSGSSIPALEAVQVLVSSLADESPMVREASIASLKDIAALSPLLVLDCCSASSRGGRRRFGNMAGVFQVMAYGVRALDKDEVDPLFMSKIAKIATTEIISSKELNTDWQRAASGLLVSIGLHLPDLMMEEIFLHLPGPNSALPAMVQILADFAYADALQFTPRLKDVLSRVLPILGSVRDVHRPVFANAFKCWCQAVWQYSLDIPSHSPLDGDIMSFLNSVFELLLRVWAASRDLKVRISSVEALGQMVGLITRTQLKAALPRLVPTILELYKRDQDVAFLATCSLHNLLHASLLSESGPPLLDFEELTVILSTLLPVVCINNDNKEHSDFSVGLKTYNEVQRCFLTVGLVYPEDLFVFLINKCRLKEEPLTFGALCVLKHLLPRLSEAWHSKRHNLVEAVQFLLDDQDLGVRKVLSELIVVMASHCYLIGSSGELFVEYLVRHCALTNKDSNDLERSKDASGNPNIPFQYKRLEVKIGTLCPAELRAICEKGLLLLTITIPEMEHILWPFLLKMIIPQAYTGAVAMVCRCISELCRHGSNSNTMLAECKARADIPNPEELFVRLVVLLHDPLAREQLASQILTVLCYLAPLFPKNINLFWQDEIPKLKAYVSDTEDLRQDPSYQETWDDMIINFFAESLDVIQDSDWVIPLGNAITKQYGLYTSDDEHSALLHRCFGVFLQKVNDRAYVRDKIDWMYKQANITIPTNRLGLAKAMGLVAASHLDTVLEKLKGILDNVEQSIFRRFLSFFSDDFKTEESDDIHAALALMYGYAAKYAPSTVIEARIDALVGTNMLSRLLHVRHPTAKQAVITAIDLLGRAVINAAENGSSFPLKRRDQMLDYILTLMGRDDSESFSDSSLELLDTQARALSACTTLVSVEPKLTIETRNHVLKATLGFFALPNDPIDVVNRLIDNLITLLCAILLTSGEDGRSRAEQLLHILRQIDQYVSSPMDYQRRRGCLAVHEMLLKFRTVCITAHCALGCQGSCTHNKQFDRNLHGNFSNLPSAFVLPSREALSLGDRVIMYLPRCADTNSEVRTVSAQILDQLFSISLSLPRPETSSYGVDIELSYSALSSLEDVIAILRSDASIDPSEVFNRIISSVCILLTKNELIATLHGCTSAICDKIKQSAEGAIQAVIEFVTRRGKELSEADVSRTTQALLMAATHVTEKHLRQETLAAISSLAESTSSKVVFNEVLATSGRDIVTKDISRLRGGWPMQDAFYAFSQHTVLSSLFLEHVIGVFGQYPIHKGDSVKGDNPSHLVDGQMEDDILQAAIIAVTAFFRGGGKIGKKAVQQNYASVLAELTLQLGTCHGLASCGQHDPLRALLTAFQAFCECVGDLEMGKILARDGEHNENERWINLIGDIAGCISIKRPKEVQSISVILSKSLNRHQRYQREAAAAALSEFVRYSDGFGSLLEQIVEVLCRHVSDESPTVRRLCLRGLVQIPSIHMLQYTTQVLGVILALLDDSDESVQLTAVSCLLTMLEASPNDAVEPILLSLSVRLRNLQVCMNPKMRANAFAAFGALSNYGIGAQHEAFLEQVHAAIPRLVLHLHDDDVSVRQACRSTLKRIAPLLEMEGLLPLFNMHCFNHDHRTDYEDFVRDLTKQFAQHLPSRVDTYMASTIQAFDAPWPIIQANAIYFSSCMLSLSDDQHILTLYYAQVFGTLVGKMSKSADAVVRATCSSALGLLLKFSKSSSWKAARVDRVESGRRSHDSSA >ONH96378 pep chromosome:Prunus_persica_NCBIv2:G7:14663664:14682396:-1 gene:PRUPE_7G124700 transcript:ONH96378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSGSSIPALEAVQVLVSSLADESPMVREASIASLKDIAALSPLLVLDCCSASSRGGRRRFGNMAGVFQVMAYGVRALDKDEVDPLFMSKIAKIATTEIISSKELNTDWQRAASGLLVSIGLHLPDLMMEEIFLHLPGPNSALPAMVQILADFAYADALQFTPRLKDVLSRVLPILGSVRDVHRPVFANAFKCWCQAVWQYSLDIPSHSPLDGDIMSFLNSVFELLLRVWAASRDLKVRISSVEALGQMVGLITRTQLKAALPRLVPTILELYKRDQDVAFLATCSLHNLLHASLLSESGPPLLDFEELTVILSTLLPVVCINNDNKEHSDFSVGLKTYNEVQRCFLTVGLVYPEDLFVFLINKCRLKEEPLTFGALCVLKHLLPRLSEAWHSKRHNLVEAVQFLLDDQDLGVRKVLSELIVVMASHCYLIGSSGELFVEYLVRHCALTNKDSNDLERSKDASGNPNIPFQYKRLEVKIGTLCPAELRAICEKGLLLLTITIPEMEHILWPFLLKMIIPQAYTGAVAMVCRCISELCRHGSNSNTMLAECKARADIPNPEELFVRLVVLLHDPLAREQLASQILTVLCYLAPLFPKNINLFWQDEIPKLKAYVSDTEDLRQDPSYQETWDDMIINFFAESLDVIQDSDWVIPLGNAITKQYGLYTSDDEHSALLHRCFGVFLQKVNDRAYVRDKIDWMYKQANITIPTNRLGLAKAMGLVAASHLDTVLEKLKGILDNVEQSIFRRFLSFFSDDFKTEESDDIHAALALMYGYAAKYAPSTVIEARIDALVGTNMLSRLLHVRHPTAKQAVITAIDLLGRAVINAAENGSSFPLKRRDQMLDYILTLMGRDDSESFSDSSLELLDTQARALSACTTLVSVEPKLTIETRNHVLKATLGFFALPNDPIDVVNRLIDNLITLLCAILLTSGEDGRSRAEQLLHILRQIDQYVSSPMDYQRRRGCLAVHEMLLKFRTVCITAHCALGCQGSCTHNKQFDRNLHGNFSNLPSAFVLPSREALSLGDRVIMYLPRCADTNSEVRTVSAQILDQLFSISLSLPRPETSSYGVDIELSYSALSSLEDVIAILRSDASIDPSEVFNRIISSVCILLTKNELIATLHGCTSAICDKIKQSAEGAIQAVIEFVTRRGKELSEADVSRTTQALLMAATHVTEKHLRQETLAAISSLAESTSSKVVFNEVLATSGRDIVTKDISRLRGGWPMQDAFYAFSQHTVLSSLFLEHVIGVFGQYPIHKGDSVKGDNPSHLVDGQMEDDILQAAIIAVTAFFRGGGKIGKKAVQQNYASVLAELTLQLGTCHGLASCGQHDPLRALLTAFQAFCECVGDLEMGKILARDGEHNENERWINLIGDIAGCISIKRPKEVQSISVILSKSLNRHQRYQREAAAAALSEFVRYSDGFGSLLEQIVEVLCRHVSDESPTVRRLCLRGLVQIPSIHMLQYTTQVLGVILALLDDSDESVQLTAVSCLLTMLEASPNDAVEPILLSLSVRLRNLQVCMNPKMRANAFAAFGALSNYGIGAQHEAFLEQVHAAIPRLVLHLHDDDVSVRQACRSTLKRIAPLLEMEGLLPLFNMHCFNHDHRTDYEDFVRDLTKQFAQHLPSRVDTYMASTIQINACYMYQVGLIRWCGSLHGKPLMARYMLG >ONH96786 pep chromosome:Prunus_persica_NCBIv2:G7:16270331:16270639:-1 gene:PRUPE_7G151900 transcript:ONH96786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDQIFLVHMYLRLFCVYPSFYLSINLSFRGLELSLAACSLCLCFIRKSLSLASHSCSNNPCVLHPWILIFVSTYYLPLGLSLRHTFLEDQIKDPNPVVY >ONH95697 pep chromosome:Prunus_persica_NCBIv2:G7:12075067:12076639:-1 gene:PRUPE_7G085800 transcript:ONH95697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALCHGGGIVQALNTHVYGNGSQTLVLAHGFGSDQTVWHFLIPFLACYFKVVVFDLVFSPNVDPKLYDPERYDSNFGAYAEDLLCLLDHLNVNKTVYLGHSMSAMVGCIASIQRPHLFQHLILLGGSPRYLNKTRYNGGFTRTELDAFFNQINQNFSNWVLSFAPIAIGVKDTSAIAEFENSLGRMTPKIAVSVARTVFLSDLRRILPQVVVPSSIIQSRKDFIVPKTVAFYMKKRLGGPARVKILNTEGHFPQLTVHPLLLKVLKRFLHIK >ONH94319 pep chromosome:Prunus_persica_NCBIv2:G7:1411579:1417342:1 gene:PRUPE_7G010600 transcript:ONH94319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMGDGYVGTAQDAVRIRRLEKQREAERQKIQELKTKSASEKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRNKIEEEEKEKLQKLQQEEEEFQLQKRKKRKIKGSSRLSFAEDMEDGSEEEDGENKSSEATRVWCGRLGKDPTVETSFLPDSEREAEEQAERERLRKQWLVEQDKIRNEPLQITYSYWDGTGHRKVLQVRKGDKIGDFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >ONH94318 pep chromosome:Prunus_persica_NCBIv2:G7:1411229:1417342:1 gene:PRUPE_7G010600 transcript:ONH94318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMGDGYVGTAQDAVRIRRLEKQREAERQKIQELKTKSASEKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRNKIEEEEKEKLQKLQQEEEEFQLQKRKKRKIKGSSRLSFAEDMEDGSEEEDGENKSSEATRVWCGRLGKDPTVETSFLPDSEREAEEQAERERLRKQWLVEQDKIRNEPLQITYSYWDGTGHRKVLQVRKGDKIGDFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >ONH95255 pep chromosome:Prunus_persica_NCBIv2:G7:9860097:9863118:1 gene:PRUPE_7G059300 transcript:ONH95255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVVITTPGGPEVLQLQEVEDPELKGDEVLIKVEATALNRADILQRKGMYPPPPGSSPYLGLECSGTIEAVGKQVSHWQVGDEVCALLSGGGYAEKVAVPAGQVLLAPPGVSLQDAASFPEVSCTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQIAKYWGAKVFVTAGSKEKLAFCKNLGADVCINYKTEDFVARVKEETGGKGVDVILDIIGAEYFRRNLDSLSFDGRLFVIGTMGGAVTEIDLRVVLSKRLTIQAAGLRYRSPENKAAIVREVENNVWPAIVAGKVKPVVYKYFPLSKAAEAHRLMESSKHIGKILLLP >ONH95256 pep chromosome:Prunus_persica_NCBIv2:G7:9860352:9863121:1 gene:PRUPE_7G059300 transcript:ONH95256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVVITTPGGPEVLQLQEVEDPELKGDEVLIKVEATALNRADILQRKGMYPPPPGSSPYLGLECSGTIEAVGKQVSHWQVGDEVCALLSGGGYAEKVAVPAGQVLLAPPGVSLQDAASFPEVSCTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQIAKYWGAKVFVTAGSKEKLAFCKNLGADVCINYKTEDFVARVKEETGGKGVDVILDIIGAEYFRRNLDSLSFDGRLFVIGTMGGAVTEIDLRVVLSKRLTIQAAGLRYRSPENKAAIVREVENNVWPAIVAGKVKPVVYKYFPLSKAAEAHRLMESSKHIGKILLLP >ONH95254 pep chromosome:Prunus_persica_NCBIv2:G7:9860097:9863137:1 gene:PRUPE_7G059300 transcript:ONH95254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVVITTPGGPEVLQLQEVEDPELKGDEVLIKVEATALNRADILQRKGMYPPPPGSSPYLGLECSGTIEAVGKQVSHWQVGDEVCALLSGGGYAEKVAVPAGQVLLAPPGVSLQDAASFPEVSCTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQIAKYWGAKVFVTAGSKEKLAFCKNLGADVCINYKTEDFVARVKEETGGKGVDVILDIIGAEYFRRNLDSLSFDGRLFVIGTMGGAVTEIDLRVVLSKRLTIQAAGLRYRSPENKAAIVREVENNVWPAIVAGKVKPVVYKYFPLSKAAEAHRLMESSKHIGKILLLP >ONH95253 pep chromosome:Prunus_persica_NCBIv2:G7:9860352:9863137:1 gene:PRUPE_7G059300 transcript:ONH95253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVVITTPGGPEVLQLQEVEDPELKGDEVLIKVEATALNRADILQRKGMYPPPPGSSPYLGLECSGTIEAVGKQVSHWQVGDEVCALLSGGGYAEKVAVPAGQVLLAPPGVSLQDAASFPEVSCTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQIAKYWGAKVFVTAGSKEKLAFCKNLGADVCINYKTEDFVARVKEETGGKGVDVILDIIGAEYFRRNLDSLSFDGRLFVIGTMGGAVTEIDLRVVLSKRLTIQAAGLRYRSPENKAAIVREVENNVWPAIVAGKVKPVVYKYFPLSKAAEAHRLMESSKHIGKILLLP >ONH94301 pep chromosome:Prunus_persica_NCBIv2:G7:1256641:1258257:-1 gene:PRUPE_7G009400 transcript:ONH94301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLISLEPSNFVAIRIEPGQKCYGQLTLRNVMYTMPVAFRLQALIKNRYTVKPQSGIISPLEKLTIEIVYHLPPGSSLPDSFPYCHDSFLLHSVVVPGAAIKDSSSTFDAVPNDWFTTKKKQVFIDSGVKIMFVGSPILAQLVADGLMDDIREVLEKSDPSWKAADSVDSEGQSLLHLAISQGRPDLVQLLLEFEPDVEAQSRSGSSPLEAAASCGEALIVELLLARRASTERSESSTWGPVHLAAAGGHVEVLRLLIIKRANVDAVTKDGSTALHLAVEKRKRDCARLLMASGAKAEVRDSRDGDTPLHIAAGLGDEYMVKLLLQKGANKDIRNFAGRTAYDVASENGHTRLFDLLRLGDSLCIAARKGEVRTIVRLLETGAAINGRDQHGWTALHRACFKGKIDAVRTLLEKGVDVDAKDEDGYTALHCAAESGHADVIELLVKKGADAEARTNKGVTALQIAESLHYVGITRILVHGGATKDNNMAHLLSQASVAFGKKSMGLEEDLKGGMKKKSSRARALRGSFDRSMPLAVL >ONH97044 pep chromosome:Prunus_persica_NCBIv2:G7:17024228:17025479:-1 gene:PRUPE_7G166400 transcript:ONH97044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATEVVQAPAVEAPPAVEAPAMEEPKKEEKPVKERKTRTPREKKPKEPKTAAHPPYFQMIKEALLSLNEKSGSSPYAIAKYMEEKHKAVLPSNFKKTLALQLKNSAARGKLIKIRASYKLSEAGKKDKTTGKTKASTKPKTEKKTKTTAPAPKATKKPAKKNKKSTASKPKQPKSIKSPAAKKPKKAVA >ONH96136 pep chromosome:Prunus_persica_NCBIv2:G7:13755216:13756240:1 gene:PRUPE_7G109500 transcript:ONH96136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLVMQENITKIMRPDGKILEYRADMKVYQVLSEFSGHAISETAPVYQHLRPDTKLLGGRLYYLVPLPLPPKKASQKKVRFANPEVEAEQETKVVRIKIVISKQELQEMLRKGGVSVDDLVSQLQSDRSIDKTNNFNDDGNCEGWKPVLESIPEVN >ONH96809 pep chromosome:Prunus_persica_NCBIv2:G7:16363656:16367933:1 gene:PRUPE_7G153500 transcript:ONH96809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERQSASMSSDSEVILCLLPSVLALFLFLILIRRKQQQTRLHLPPGNMGWPFLGETLGYLKPYSATCTGQFMEQHISRYGKIYKSNLFGEPTIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRMISLNFLSHARLRTHLMREVEKHTLLVLGSWKENSVFSAQDEAKKFTFNLMAKHIMSLDPGKPETEQLKKLYVTFMKGVVSAPVNLPGTAYRKALQSRSTILKFIESKMEGRLKEGKENIDEDDLLGWVLKHSNLSKEQILDLILSLLFAGHETSSVAIALAIYFLPGCPNAIQQLREEHTEIAKAKKQAGETELNWDDYKKMEFTQCVISETLRLGNVVRFLHRKALKDVRYKGYDIPCGWKVLPVIAAVHLDPLLFDQPQHFNPWRWQNNNSGASSSSYTSMTSSNFMPFGGGPRLCAGSELAKLEMAVFIHHLVLNFHWDLEDDLDQPFAFPFVDFQNGLPITARRHQNQSHINPKI >ONH96807 pep chromosome:Prunus_persica_NCBIv2:G7:16363751:16367933:1 gene:PRUPE_7G153500 transcript:ONH96807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERQSASMSSDSEVILCLLPSVLALFLFLILIRRKQQQTRLHLPPGNMGWPFLGETLGYLKPYSATCTGQFMEQHISRYGKIYKSNLFGEPTIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRMISLNFLSHARLRTHLMREVEKHTLLVLGSWKENSVFSAQDEAKKFTFNLMAKHIMSLDPGKPETEQLKKLYVTFMKGVVSAPVNLPGTAYRKALQSRSTILKFIESKMEGRLKEGKENIDEDDLLGWVLKHSNLSKEQILDLILSLLFAGHETSSVAIALAIYFLPGCPNAIQQLREEHTEIAKAKKQAGETELNWDDYKKMEFTQCVISETLRLGNVVRFLHRKALKDVRYKGYDIPCGWKVLPVIAAVHLDPLLFDQPQHFNPWRWQQNNNSGASSSSYTSMTSSNFMPFGGGPRLCAGSELAKLEMAVFIHHLVLNFHWDLEDDLDQPFAFPFVDFQNGLPITARRHQNQSHINPKI >ONH96808 pep chromosome:Prunus_persica_NCBIv2:G7:16363734:16367933:1 gene:PRUPE_7G153500 transcript:ONH96808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERQSASMSSDSEVILCLLPSVLALFLFLILIRRKQQQTRLHLPPGNMGWPFLGETLGYLKPYSATCTGQFMEQHISRYGKIYKSNLFGEPTIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRMISLNFLSHARLRTHLMREVEKHTLLVLGSWKENSVFSAQDEAKKFTFNLMAKHIMSLDPGKPETEQLKKLYVTFMKGVVSAPVNLPGTAYRKALQSRSTILKFIESKMEGRLKEGKENIDEDDLLGWVLKHSNLSKEQILDLILSLLFAGHETSSVAIALAIYFLPGCPNAIQQLREEHTEIAKAKKQAGETELNWDDYKKMEFTQCVISETLRLGNVVRFLHRKALKDVRYKGYDIPCGWKVLPVIAAVHLDPLLFDQPQHFNPWRWQNNNSGASSSSYTSMTSSNFMPFGGGPRLCAGSELAKLEMAVFIHHLVLNFHWDLEDDLDQPFAFPFVDFQNGLPITARRHQNQSHINPKI >ONH98231 pep chromosome:Prunus_persica_NCBIv2:G7:20551029:20554214:1 gene:PRUPE_7G237300 transcript:ONH98231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEVQLEPAGETERDPTDVDPLLENQEDSSPGSSTEIYNEDLESGSIPCCRICLETDAEPGDELISPCMCKGTQQFVHSSCLDHWRSVKEGFAFSHCTTCKAQFHLRVESYEDNSWRKIKFRVFVARDVFLVFLAVQSVIAAIGGFAYVMDKDGAFRNSFSDGWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVIVFVVIFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTKEYIVEDLHGCYTPPKLDPEHEARLKMLKLL >ONH98234 pep chromosome:Prunus_persica_NCBIv2:G7:20550722:20554214:1 gene:PRUPE_7G237300 transcript:ONH98234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEVQLEPAGETERDPTDVDPLLENQEDSSPGSSTEIYNEDLESGSIPCCRICLETDAEPGDELISPCMCKGTQQFVHSSCLDHWRSVKVIAAIGGFAYVMDKDGAFRNSFSDGWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVIVFVVIFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTKEYIVEDLHGCYTPPKLDPEHEARLKMLKLL >ONH98232 pep chromosome:Prunus_persica_NCBIv2:G7:20550722:20554214:1 gene:PRUPE_7G237300 transcript:ONH98232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEVQLEPAGETERDPTDVDPLLENQEDSSPGSSTEIYNEDLESGSIPCCRICLETDAEPGDELISPCMCKGTQQFVHSSCLDHWRSVKEGFAFSHCTTCKAQFHLRVESYEDNSWRKIKFRVFVARDVFLVFLAVQSVIAAIGGFAYVMDKDGAFRNSFSDGWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVIVFVVIFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTKEYIVEDLHGCYTPPKLDPEHEARLKMLKLL >ONH98233 pep chromosome:Prunus_persica_NCBIv2:G7:20550722:20554214:1 gene:PRUPE_7G237300 transcript:ONH98233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEVQLEPAGETERDPTDVDPLLENQEDSSPGSSTEIYNEDLESGSIPCCRICLETDAEPGDELISPCMCKGTQQFVHSSCLDHWRSVKEGFAFSHCTTCKAQFHLRVESYEDNSWRKIKFRVFVARDVFLVFLAVQSVIAAIGGFAYVMDKDGAFRNSFSDGWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVIVFVVIFAILGIAYGFLAATMAIQRIWQRHYHILTKRELTKEYIVEDLHGCYTPPKLDPEHEARLKMLKLL >ONH95297 pep chromosome:Prunus_persica_NCBIv2:G7:10104656:10113040:1 gene:PRUPE_7G062100 transcript:ONH95297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVKDGSTTTGFLPSSEVFAIHYPGYPSSMSRAIETLGGTQGIRKAHSSQSNRLELHFRHQEPYSHPAFGDLRPCNNLLLKISKTKSNAGQTQPQSELLASKQDEVQIPENDRVHFDIVARVPEAYHFDGMVDYQHVVPVHADVARKKKRNWIEIKDPHSDKGGLMDIDQEDAMILLPQLFAPKDVPDNLVLKPSVTLSAKKNQEEPVQHQWEMDMEPVLAIDFGISEIPKRTNWEEYIPQGSDQWESQMAVSHLFDERPVWPKDSLLERLVDKGFNFSDHLLRRLLSRVAYYFSRGPFLRFWIKKGYDPRKDPESRIFQKIDFRVRPPLQSYCDANSANQPKHRWEDICAFRVFPYKCHTTLQLFELGDDYIQEQIRKPPAQTTCSSETGWFSYNMLENLKDCVKVRFLSVFPEPGAEPLLKAATESFKKSKKMSSNDKLMRDEVVHPQAKAGYEDVEEPNNVEEEEKDEIGVNNCEEALDTDDGLDVAEDGEISLQSHS >ONH95299 pep chromosome:Prunus_persica_NCBIv2:G7:10106084:10112086:1 gene:PRUPE_7G062100 transcript:ONH95299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCSVVAWYYHLQAHSSQSNRLELHFRHQEPYSHPAFGDLRPCNNLLLKISKTKSNAGQTQPQSELLASKQDEVQIPENDRVHFDIVARVPEAYHFDGMVDYQHVVPVHADVARKKKRNWIEIKDPHSDKGGLMDIDQEDAMILLPQLFAPKDVPDNLVLKPSVTLSAKKNQEEPVQHQWEMDMEPVLAIDFGISEIPKRTNWEEYIPQGSDQWESQMAVSHLFDERPVWPKDSLLERLVDKGFNFSDHLLRRLLSRVAYYFSRGPFLRFWIKKGYDPRKDPESRIFQKIDFRVRPPLQSYCDANSANQPKHRWEDICAFRVFPYKCHTTLQLFELGDDYIQEQIRKPPAQTTCSSETGWFSYNMLENLKDCVKVRFLSVFPEPGAEPLLKAATESFKKSKKMSSNDKLMRDEVVHPQAKAGYEDVEEPNNVEEEEKDEIGVNNCEEALDTDDGLDVAEDGEISLQSHSYLNMENISRTHLQELFGSFPSPEAGGDRIQAAYTSDEEYQIYEQDSDDNFSDENDC >ONH95298 pep chromosome:Prunus_persica_NCBIv2:G7:10104656:10110834:1 gene:PRUPE_7G062100 transcript:ONH95298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVKDGSTTTGFLPSSEVFAIHYPGYPSSMSRAIETLGGTQGIRKAHSSQSNRLELHFRHQEPYSHPAFGDLRPCNNLLLKISKTKSNAGQTQPQSELLASKQDEVQIPENDRVHFDIVARVPEAYHFDGMVDYQHVVPVHADVARKKKRNWIEIKDPHSDKGGLMDIDQEDAMILLPQLFAPKDVPDNLVLKPSVTLSAKKNQEEPVQHQWEMDMEPVLAIDFGISEIPKRTNWEEYIPQGSDQWESQMAVSHLFDERPVWPKDSLLERLVDKGFNFSDHLLRRLLSRVAYYFSRGPFLRFWIKKGYDPRKDPESRIFQKIDFRVRPPLQSYCDANSANQPKHRWEDICAFRVFPYKCHTTLQLFELGDDYIQEQIRKPPAQTTCSSETGWFSYNMLENLKDCVKVRFLSVFPEPGAEPLLKAATESFKKSKKMSSNDKLMRDEVVHPQAKAGIKIKPHLLTLQFF >ONH95300 pep chromosome:Prunus_persica_NCBIv2:G7:10104656:10113040:1 gene:PRUPE_7G062100 transcript:ONH95300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCSVVAWYYHLQAHSSQSNRLELHFRHQEPYSHPAFGDLRPCNNLLLKISKTKSNAGQTQPQSELLASKQDEVQIPENDRVHFDIVARVPEAYHFDGMVDYQHVVPVHADVARKKKRNWIEIKDPHSDKGGLMDIDQEDAMILLPQLFAPKDVPDNLVLKPSVTLSAKKNQEEPVQHQWEMDMEPVLAIDFGISEIPKRTNWEEYIPQGSDQWESQMAVSHLFDERPVWPKDSLLERLVDKGFNFSDHLLRRLLSRVAYYFSRGPFLRFWIKKGYDPRKDPESRIPKHRWEDICAFRVFPYKCHTTLQLFELGDDYIQEQIRKPPAQTTCSSETGWFSYNMLENLKDCVKVRFLSVFPEPGAEPLLKAATESFKKSKKMSSNDKLMRDEVVHPQAKAGYEDVEEPNNVEEEEKDEIGVNNCEEALDTDDGLDVAEDGEISLQSHSYLNMENISRTHLQELFGSFPSPEAGGDRIQAAYTSDEEYQIYEQDSDDNFSDENDC >ONH95301 pep chromosome:Prunus_persica_NCBIv2:G7:10104656:10113040:1 gene:PRUPE_7G062100 transcript:ONH95301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDYQHVVPVHADVARKKKRNWIEIKDPHSDKGGLMDIDQEDAMILLPQLFAPKDVPDNLVLKPSVTLSAKKNQEEPVQHQWEMDMEPVLAIDFGISEIPKRTNWEEYIPQGSDQWESQMAVSHLFDERPVWPKDSLLERLVDKGFNFSDHLLRRLLSRVAYYFSRGPFLRFWIKKGYDPRKDPESRIFQKIDFRVRPPLQSYCDANSANQPKHRWEDICAFRVFPYKCHTTLQLFELGDDYIQEQIRKPPAQTTCSSETGWFSYNMLENLKDCVKVRFLSVFPEPGAEPLLKAATESFKKSKKMSSNDKLMRDEVVHPQAKAGYEDVEEPNNVEEEEKDEIGVNNCEEALDTDDGLDVAEDGEISLQSHSYLNMENISRTHLQELFGSFPSPEAGGDRIQAAYTSDEEYQIYEQDSDDNFSDENDC >ONH95296 pep chromosome:Prunus_persica_NCBIv2:G7:10104656:10113040:1 gene:PRUPE_7G062100 transcript:ONH95296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVKDGSTTTGFLPSSEVFAIHYPGYPSSMSRAIETLGGTQGIRKAHSSQSNRLELHFRHQEPYSHPAFGDLRPCNNLLLKISKTKSNAGQTQPQSELLASKQDEVQIPENDRVHFDIVARVPEAYHFDGMVDYQHVVPVHADVARKKKRNWIEIKDPHSDKGGLMDIDQEDAMILLPQLFAPKDVPDNLVLKPSVTLSAKKNQEEPVQHQWEMDMEPVLAIDFGISEIPKRTNWEEYIPQGSDQWESQMAVSHLFDERPVWPKDSLLERLVDKGFNFSDHLLRRLLSRVAYYFSRGPFLRFWIKKGYDPRKDPESRIFQKIDFRVRPPLQSYCDANSANQPKHRWEDICAFRVFPYKCHTTLQLFELGDDYIQEQIRKPPAQTTCSSETGWFSYNMLENLKDCVKVRFLSVFPEPGAEPLLKAATESFKKSKKMSSNDKLMRDEVVHPQAKAGYEDVEEPNNVEEEEKDEIGVNNCEEALDTDDGLDVAEDGEISLQSHSYLNMENISRTHLQELFGSFPSPEAGGDRIQAAYTSDEEYQIYEQDSDDNFSDENDC >ONH98574 pep chromosome:Prunus_persica_NCBIv2:G7:21413126:21418832:-1 gene:PRUPE_7G254900 transcript:ONH98574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSFPKELRPLNVARTVADEPRIALATATGRNPDGLFPNLALEVNSPNSIPVFYPSTVAEAGLVGVGYGNAMSGVPTWRPRIPVPVGHPGMNTAVAVGIGYSPNLGGRLGGNAVDLVSSSTTTTASGPSVCNFNMSNRVVGNGLDHGVNDMAVRFGYNPNLGNKVSGNVANQTGNDLTSGYGNNANFGNRVGGNGTDQASDDGGDDSVSGKKVKLLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFSELVQKMLDTYGQPVVIKYQLPDEDLDALVSVSCVDDLDNMKDEYGKLVERSPDGSAKLRVFLFSASEVDPSSAVQFGDLHNSEQRYVDAVNGIMDGVGGGIMRKESMTSATSTQNSDFSGTDIVDSSIPGQGDTTGPPSAGKLSPKGDSATSHDNSTRLVIVDPNPAVYSEVSTVPLGIPVVKSAPPQTSPSQPECELERSVPVTVSQQQVGLQQPGIGIPSTAPYLQTYVGPRQEVMNRADHLQLPPQMGFPNAHLLGTASPVYTQQQFCDSVAGITQHHFIPAVHMTMTPSSSHVNIRPNVLQPLMQPQQTRLDHYVDESTFVPRVVQFPTEQSYNSYQVQVPSPVVGGAYGWHQVPPPEHVIFHDGLVSHQQVMYPEKSQRLEDCYMCQRALPHAHSDTLVQVHRDSGGSPVSDSNSTYHSPRLEDNLRAQPMNMVMVSGALAEGNFGQGVEARLRVQGQVDPLVGTSHSEVTGISQISEGTRENETMNLQQVDLPMISAPHGVIRRGGDVQSPNSTFMVAIPQRCQDDAVQQHSAPFQYQVKQENLVNDPFNQDVPLVGGTPVQTSEYLVHECPTAYPNKFPGVIPKEDNVDTCIAYDHLRQIDGRMETLRISPTEVYVNNEHGKSPIDTPRVEDSFDHKAPQVGGREVTLDNTVGRSHFKPTEVVASSPAEVSHGYNSQPVEFFEAVQPSMWGNPESYPQSRVGFHPQDAYEFNYGNPVVSTHITNGIQPPAEWKDENLRLQPKMVPNDVDGVTSNDAVPQDSSNSLFSNQDPWSLSHDTHLPPKPTKIQLRKEPFTELRMDDGGQQSLGNLNRDLSSEPAQSSKGSAEEQIKQELQAVAEGVAACVFQSSSPSNPDLRDKDEYAYQSNQDEDVQNNTAGMQNRAKVEDVKTKFRDKANIGFPVSDSRGRLQIIKNSDLEERRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMINGSLRNALQKNENRTLDKRKRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCHTLISGGVRGTLPWMAPELLNGGSSLVSEKVDVFSFGIVLWELLTGDEPYADLHYGAIIGGIVSNTLRPPVPDSCDPEWKSLMERCWSSEPTERLNFTEIANELRAMTAKIPPKGQSQPQQPPSTQPQIQK >ONH98576 pep chromosome:Prunus_persica_NCBIv2:G7:21413332:21418832:-1 gene:PRUPE_7G254900 transcript:ONH98576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSFPKELRPLNVARTVADEPRIALATATGRNPDGLFPNLALEVNSPNSIPVFYPSTVAEAGLVGVGYGNAMSGVPTWRPRIPVPVGHPGMNTAVAVGIGYSPNLGGRLGGNAVDLVSSSTTTTASGPSVCNFNMSNRVVGNGLDHGVNDMAVRFGYNPNLGNKVSGNVANQTGNDLTSGYGNNANFGNRVGGNGTDQASDDGGDDSVSGKKVKLLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFSELVQKMLDTYGQPVVIKYQLPDEDLDALVSVSCVDDLDNMKDEYGKLVERSPDGSAKLRVFLFSASEVDPSSAVQFGDLHNSEQRYVDAVNGIMDGVGGGIMRKESMTSATSTQNSDFSGTDIVDSSIPGQGDTTGPPSAGKLSPKGDSATSHDNSTRLVIVDPNPAVYSEVSTVPLGIPVVKSAPPQTSPSQPECELERSVPVTVSQQQVGLQQPGIGIPSTAPYLQTYVGPRQEVMNRADHLQLPPQMGFPNAHLLGTASPVYTQQQFCDSVAGITQHHFIPAVHMTMTPSSSHVNIRPNVLQPLMQPQQTRLDHYVDESTFVPRVVQFPTEQSYNSYQVQVPSPVVGGAYGWHQVPPPEHVIFHDGLVSHQQVHRDSGGSPVSDSNSTYHSPRLEDNLRAQPMNMVMVSGALAEGNFGQGVEARLRVQGQVDPLVGTSHSEVTGISQISEGTRENETMNLQQVDLPMISAPHGVIRRGGDVQSPNSTFMVAIPQRCQDDAVQQHSAPFQYQVKQENLVNDPFNQDVPLVGGTPVQTSEYLVHECPTAYPNKFPGVIPKEDNVDTCIAYDHLRQIDGRMETLRISPTEVYVNNEHGKSPIDTPRVEDSFDHKAPQVGGREVTLDNTVGRSHFKPTEVVASSPAEVSHGYNSQPVEFFEAVQPSMWGNPESYPQSRVGFHPQDAYEFNYGNPVVSTHITNGIQPPAEWKDENLRLQPKMVPNDVDGVTSNDAVPQDSSNSLFSNQDPWSLSHDTHLPPKPTKIQLRKEPFTELRMDDGGQQSLGNLNRDLSSEPAQSSKGSAEEQIKQELQAVAEGVAACVFQSSSPSNPDLRDKDEYAYQSNQDEDVQNNTAGMQNRAKVEDVKTKFRDKANIGFPVSDSRGRLQIIKNSDLEERRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMINGSLRNALQKNENRTLDKRKRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCHTLISGGVRGTLPWMAPELLNGGSSLVSEKEVL >ONH98575 pep chromosome:Prunus_persica_NCBIv2:G7:21412825:21419628:-1 gene:PRUPE_7G254900 transcript:ONH98575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSFPKELRPLNVARTVADEPRIALATATGRNPDGLFPNLALEVNSPNSIPVFYPSTVAEAGLVGVGYGNAMSGVPTWRPRIPVPVGHPGMNTAVAVGIGYSPNLGGRLGGNAVDLVSSSTTTTASGPSVCNFNMSNRVVGNGLDHGVNDMAVRFGYNPNLGNKVSGNVANQTGNDLTSGYGNNANFGNRVGGNGTDQASDDGGDDSVSGKKVKLLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFSELVQKMLDTYGQPVVIKYQLPDEDLDALVSVSCVDDLDNMKDEYGKLVERSPDGSAKLRVFLFSASEVDPSSAVQFGDLHNSEQRYVDAVNGIMDGVGGGIMRKESMTSATSTQNSDFSGTDIVDSSIPGQGDTTGPPSAGKLSPKGDSATSHDNSTRLVIVDPNPAVYSEVSTVPLGIPVVKSAPPQTSPSQPECELERSVPVTVSQQQVGLQQPGIGIPSTAPYLQTYVGPRQEVMNRADHLQLPPQMGFPNAHLLGTASPVYTQQQFCDSVAGITQHHFIPAVHMTMTPSSSHVNIRPNVLQPLMQPQQTRLDHYVDESTFVPRVVQFPTEQSYNSYQVQVPSPVVGGAYGWHQVPPPEHVIFHDGLVSHQQVHRDSGGSPVSDSNSTYHSPRLEDNLRAQPMNMVMVSGALAEGNFGQGVEARLRVQGQVDPLVGTSHSEVTGISQISEGTRENETMNLQQVDLPMISAPHGVIRRGGDVQSPNSTFMVAIPQRCQDDAVQQHSAPFQYQVKQENLVNDPFNQDVPLVGGTPVQTSEYLVHECPTAYPNKFPGVIPKEDNVDTCIAYDHLRQIDGRMETLRISPTEVYVNNEHGKSPIDTPRVEDSFDHKAPQVGGREVTLDNTVGRSHFKPTEVVASSPAEVSHGYNSQPVEFFEAVQPSMWGNPESYPQSRVGFHPQDAYEFNYGNPVVSTHITNGIQPPAEWKDENLRLQPKMVPNDVDGVTSNDAVPQDSSNSLFSNQDPWSLSHDTHLPPKPTKIQLRKEPFTELRMDDGGQQSLGNLNRDLSSEPAQSSKGSAEEQIKQELQAVAEGVAACVFQSSSPSNPDLRDKDEYAYQSNQDEDVQNNTAGMQNRAKVEDVKTKFRDKANIGFPVSDSRGRLQIIKNSDLEERRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMINGSLRNALQKNEKTLDKRKRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCHTLISGGVRGTLPWMAPELLNGGSSLVSEKEVL >ONH98572 pep chromosome:Prunus_persica_NCBIv2:G7:21412825:21419628:-1 gene:PRUPE_7G254900 transcript:ONH98572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSFPKELRPLNVARTVADEPRIALATATGRNPDGLFPNLALEVNSPNSIPVFYPSTVAEAGLVGVGYGNAMSGVPTWRPRIPVPVGHPGMNTAVAVGIGYSPNLGGRLGGNAVDLVSSSTTTTASGPSVCNFNMSNRVVGNGLDHGVNDMAVRFGYNPNLGNKVSGNVANQTGNDLTSGYGNNANFGNRVGGNGTDQASDDGGDDSVSGKKVKLLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFSELVQKMLDTYGQPVVIKYQLPDEDLDALVSVSCVDDLDNMKDEYGKLVERSPDGSAKLRVFLFSASEVDPSSAVQFGDLHNSEQRYVDAVNGIMDGVGGGIMRKESMTSATSTQNSDFSGTDIVDSSIPGQGDTTGPPSAGKLSPKGDSATSHDNSTRLVIVDPNPAVYSEVSTVPLGIPVVKSAPPQTSPSQPECELERSVPVTVSQQQVGLQQPGIGIPSTAPYLQTYVGPRQEVMNRADHLQLPPQMGFPNAHLLGTASPVYTQQQFCDSVAGITQHHFIPAVHMTMTPSSSHVNIRPNVLQPLMQPQQTRLDHYVDESTFVPRVVQFPTEQSYNSYQVQVPSPVVGGAYGWHQVPPPEHVIFHDGLVSHQQVMYPEKSQRLEDCYMCQRALPHAHSDTLVQVHRDSGGSPVSDSNSTYHSPRLEDNLRAQPMNMVMVSGALAEGNFGQGVEARLRVQGQVDPLVGTSHSEVTGISQISEGTRENETMNLQQVDLPMISAPHGVIRRGGDVQSPNSTFMVAIPQRCQDDAVQQHSAPFQYQVKQENLVNDPFNQDVPLVGGTPVQTSEYLVHECPTAYPNKFPGVIPKEDNVDTCIAYDHLRQIDGRMETLRISPTEVYVNNEHGKSPIDTPRVEDSFDHKAPQVGGREVTLDNTVGRSHFKPTEVVASSPAEVSHGYNSQPVEFFEAVQPSMWGNPESYPQSRVGFHPQDAYEFNYGNPVVSTHITNGIQPPAEWKDENLRLQPKMVPNDVDGVTSNDAVPQDSSNSLFSNQDPWSLSHDTHLPPKPTKIQLRKEPFTELRMDDGGQQSLGNLNRDLSSEPAQSSKGSAEEQIKQELQAVAEGVAACVFQSSSPSNPDLRDKDEYAYQSNQDEDVQNNTAGMQNRAKVEDVKTKFRDKANIGFPVSDSRGRLQIIKNSDLEERRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMINGSLRNALQKNEKTLDKRKRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCHTLISGGVRGTLPWMAPELLNGGSSLVSEKVDVFSFGIVLWELLTGDEPYADLHYGAIIGGIVSNTLRPPVPDSCDPEWKSLMERCWSSEPTERLNFTEIANELRAMTAKIPPKGQSQPQQPPSTQPQIQK >ONH98571 pep chromosome:Prunus_persica_NCBIv2:G7:21412825:21419628:-1 gene:PRUPE_7G254900 transcript:ONH98571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSFPKELRPLNVARTVADEPRIALATATGRNPDGLFPNLALEVNSPNSIPVFYPSTVAEAGLVGVGYGNAMSGVPTWRPRIPVPVGHPGMNTAVAVGIGYSPNLGGRLGGNAVDLVSSSTTTTASGPSVCNFNMSNRVVGNGLDHGVNDMAVRFGYNPNLGNKVSGNVANQTGNDLTSGYGNNANFGNRVGGNGTDQASDDGGDDSVSGKKVKLLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFSELVQKMLDTYGQPVVIKYQLPDEDLDALVSVSCVDDLDNMKDEYGKLVERSPDGSAKLRVFLFSASEVDPSSAVQFGDLHNSEQRYVDAVNGIMDGVGGGIMRKESMTSATSTQNSDFSGTDIVDSSIPGQGDTTGPPSAGKLSPKGDSATSHDNSTRLVIVDPNPAVYSEVSTVPLGIPVVKSAPPQTSPSQPECELERSVPVTVSQQQVGLQQPGIGIPSTAPYLQTYVGPRQEVMNRADHLQLPPQMGFPNAHLLGTASPVYTQQQFCDSVAGITQHHFIPAVHMTMTPSSSHVNIRPNVLQPLMQPQQTRLDHYVDESTFVPRVVQFPTEQSYNSYQVQVPSPVVGGAYGWHQVPPPEHVIFHDGLVSHQQVMYPEKSQRLEDCYMCQRALPHAHSDTLVQVHRDSGGSPVSDSNSTYHSPRLEDNLRAQPMNMVMVSGALAEGNFGQGVEARLRVQGQVDPLVGTSHSEVTGISQISEGTRENETMNLQQVDLPMISAPHGVIRRGGDVQSPNSTFMVAIPQRCQDDAVQQHSAPFQYQVKQENLVNDPFNQDVPLVGGTPVQTSEYLVHECPTAYPNKFPGVIPKEDNVDTCIAYDHLRQIDGRMETLRISPTEVYVNNEHGKSPIDTPRVEDSFDHKAPQVGGREVTLDNTVGRSHFKPTEVVASSPAEVSHGYNSQPVEFFEAVQPSMWGNPESYPQSRVGFHPQDAYEFNYGNPVVSTHITNGIQPPAEWKDENLRLQPKMVPNDVDGVTSNDAVPQDSSNSLFSNQDPWSLSHDTHLPPKPTKIQLRKEPFTELRMDDGGQQSLGNLNRDLSSEPAQSSKGSAEEQIKQELQAVAEGVAACVFQSSSPSNPDLRDKDEYAYQSNQDEDVQNNTAGMQNRAKVEDVKTKFRDKANIGFPVSDSRGRLQIIKNSDLEERRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMINGSLRNALQKNEKTLDKRKRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCHTLISGGVRGTLPWMAPELLNGGSSLVSEKVDVFSFGIVLWELLTGDEPYADLHYGAIIGGIVSNTLRPPVPDSCDPEWKSLMERCWSSEPTERLNFTEIANELRAMTAKIPPKGQSQPQQPPSTQPQIQK >ONH98570 pep chromosome:Prunus_persica_NCBIv2:G7:21413126:21418832:-1 gene:PRUPE_7G254900 transcript:ONH98570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSFPKELRPLNVARTVADEPRIALATATGRNPDGLFPNLALEVNSPNSIPVFYPSTVAEAGLVGVGYGNAMSGVPTWRPRIPVPVGHPGMNTAVAVGIGYSPNLGGRLGGNAVDLVSSSTTTTASGPSVCNFNMSNRVVGNGLDHGVNDMAVRFGYNPNLGNKVSGNVANQTGNDLTSGYGNNANFGNRVGGNGTDQASDDGGDDSVSGKKVKLLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFSELVQKMLDTYGQPVVIKYQLPDEDLDALVSVSCVDDLDNMKDEYGKLVERSPDGSAKLRVFLFSASEVDPSSAVQFGDLHNSEQRYVDAVNGIMDGVGGGIMRKESMTSATSTQNSDFSGTDIVDSSIPGQGDTTGPPSAGKLSPKGDSATSHDNSTRLVIVDPNPAVYSEVSTVPLGIPVVKSAPPQTSPSQPECELERSVPVTVSQQQVGLQQPGIGIPSTAPYLQTYVGPRQEVMNRADHLQLPPQMGFPNAHLLGTASPVYTQQQFCDSVAGITQHHFIPAVHMTMTPSSSHVNIRPNVLQPLMQPQQTRLDHYVDESTFVPRVVQFPTEQSYNSYQVQVPSPVVGGAYGWHQVPPPEHVIFHDGLVSHQQVHRDSGGSPVSDSNSTYHSPRLEDNLRAQPMNMVMVSGALAEGNFGQGVEARLRVQGQVDPLVGTSHSEVTGISQISEGTRENETMNLQQVDLPMISAPHGVIRRGGDVQSPNSTFMVAIPQRCQDDAVQQHSAPFQYQVKQENLVNDPFNQDVPLVGGTPVQTSEYLVHECPTAYPNKFPGVIPKEDNVDTCIAYDHLRQIDGRMETLRISPTEVYVNNEHGKSPIDTPRVEDSFDHKAPQVGGREVTLDNTVGRSHFKPTEVVASSPAEVSHGYNSQPVEFFEAVQPSMWGNPESYPQSRVGFHPQDAYEFNYGNPVVSTHITNGIQPPAEWKDENLRLQPKMVPNDVDGVTSNDAVPQDSSNSLFSNQDPWSLSHDTHLPPKPTKIQLRKEPFTELRMDDGGQQSLGNLNRDLSSEPAQSSKGSAEEQIKQELQAVAEGVAACVFQSSSPSNPDLRDKDEYAYQSNQDEDVQNNTAGMQNRAKVEDVKTKFRDKANIGFPVSDSRGRLQIIKNSDLEERRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMINGSLRNALQKNENRTLDKRKRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCHTLISGGVRGTLPWMAPELLNGGSSLVSEKVDVFSFGIVLWELLTGDEPYADLHYGAIIGGIVSNTLRPPVPDSCDPEWKSLMERCWSSEPTERLNFTEIANELRAMTAKIPPKGQSQPQQPPSTQPQIQK >ONH98569 pep chromosome:Prunus_persica_NCBIv2:G7:21413126:21418832:-1 gene:PRUPE_7G254900 transcript:ONH98569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSFPKELRPLNVARTVADEPRIALATATGRNPDGLFPNLALEVNSPNSIPVFYPSTVAEAGLVGVGYGNAMSGVPTWRPRIPVPVGHPGMNTAVAVGIGYSPNLGGRLGGNAVDLVSSSTTTTASGPSVCNFNMSNRVVGNGLDHGVNDMAVRFGYNPNLGNKVSGNVANQTGNDLTSGYGNNANFGNRVGGNGTDQASDDGGDDSVSGKKVKLLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFSELVQKMLDTYGQPVVIKYQLPDEDLDALVSVSCVDDLDNMKDEYGKLVERSPDGSAKLRVFLFSASEVDPSSAVQFGDLHNSEQRYVDAVNGIMDGVGGGIMRKESMTSATSTQNSDFSGTDIVDSSIPGQGDTTGPPSAGKLSPKGDSATSHDNSTRLVIVDPNPAVYSEVSTVPLGIPVVKSAPPQTSPSQPECELERSVPVTVSQQQVGLQQPGIGIPSTAPYLQTYVGPRQEVMNRADHLQLPPQMGFPNAHLLGTASPVYTQQQFCDSVAGITQHHFIPAVHMTMTPSSSHVNIRPNVLQPLMQPQQTRLDHYVDESTFVPRVVQFPTEQSYNSYQVQVPSPVVGGAYGWHQVPPPEHVIFHDGLVSHQQVHRDSGGSPVSDSNSTYHSPRLEDNLRAQPMNMVMVSGALAEGNFGQGVEARLRVQGQVDPLVGTSHSEVTGISQISEGTRENETMNLQQVDLPMISAPHGVIRRGGDVQSPNSTFMVAIPQRCQDDAVQQHSAPFQYQVKQENLVNDPFNQDVPLVGGTPVQTSEYLVHECPTAYPNKFPGVIPKEDNVDTCIAYDHLRQIDGRMETLRISPTEVYVNNEHGKSPIDTPRVEDSFDHKAPQVGGREVTLDNTVGRSHFKPTEVVASSPAEVSHGYNSQPVEFFEAVQPSMWGNPESYPQSRVGFHPQDAYEFNYGNPVVSTHITNGIQPPAEWKDENLRLQPKMVPNDVDGVTSNDAVPQDSSNSLFSNQDPWSLSHDTHLPPKPTKIQLRKEPFTELRMDDGGQQSLGNLNRDLSSEPAQSSKGSAEEQIKQELQAVAEGVAACVFQSSSPSNPDLRDKDEYAYQSNQDEDVQNNTAGMQNRAKVEDVKTKFRDKANIGFPVSDSRGRLQIIKNSDLEERRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMINGSLRNALQKNENRTLDKRKRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCHTLISGGVRGTLPWMAPELLNGGSSLVSEKVDVFSFGIVLWELLTGDEPYADLHYGAIIGGIVSNTLRPPVPDSCDPEWKSLMERCWSSEPTERLNFTEIANELRAMTAKIPPKGQSQPQQPPSTQPQIQK >ONH98567 pep chromosome:Prunus_persica_NCBIv2:G7:21412825:21419707:-1 gene:PRUPE_7G254900 transcript:ONH98567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSFPKELRPLNVARTVADEPRIALATATGRNPDGLFPNLALEVNSPNSIPVFYPSTVAEAGLVGVGYGNAMSGVPTWRPRIPVPVGHPGMNTAVAVGIGYSPNLGGRLGGNAVDLVSSSTTTTASGPSVCNFNMSNRVVGNGLDHGVNDMAVRFGYNPNLGNKVSGNVANQTGNDLTSGYGNNANFGNRVGGNGTDQASDDGGDDSVSGKKVKLLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFSELVQKMLDTYGQPVVIKYQLPDEDLDALVSVSCVDDLDNMKDEYGKLVERSPDGSAKLRVFLFSASEVDPSSAVQFGDLHNSEQRYVDAVNGIMDGVGGGIMRKESMTSATSTQNSDFSGTDIVDSSIPGQGDTTGPPSAGKLSPKGDSATSHDNSTRLVIVDPNPAVYSEVSTVPLGIPVVKSAPPQTSPSQPECELERSVPVTVSQQQVGLQQPGIGIPSTAPYLQTYVGPRQEVMNRADHLQLPPQMGFPNAHLLGTASPVYTQQQFCDSVAGITQHHFIPAVHMTMTPSSSHVNIRPNVLQPLMQPQQTRLDHYVDESTFVPRVVQFPTEQSYNSYQVQVPSPVVGGAYGWHQVPPPEHVIFHDGLVSHQQVHRDSGGSPVSDSNSTYHSPRLEDNLRAQPMNMVMVSGALAEGNFGQGVEARLRVQGQVDPLVGTSHSEVTGISQISEGTRENETMNLQQVDLPMISAPHGVIRRGGDVQSPNSTFMVAIPQRCQDDAVQQHSAPFQYQVKQENLVNDPFNQDVPLVGGTPVQTSEYLVHECPTAYPNKFPGVIPKEDNVDTCIAYDHLRQIDGRMETLRISPTEVYVNNEHGKSPIDTPRVEDSFDHKAPQVGGREVTLDNTVGRSHFKPTEVVASSPAEVSHGYNSQPVEFFEAVQPSMWGNPESYPQSRVGFHPQDAYEFNYGNPVVSTHITNGIQPPAEWKDENLRLQPKMVPNDVDGVTSNDAVPQDSSNSLFSNQDPWSLSHDTHLPPKPTKIQLRKEPFTELRMDDGGQQSLGNLNRDLSSEPAQSSKGSAEEQIKQELQAVAEGVAACVFQSSSPSNPDLRDKDEYAYQSNQDEDVQNNTAGMQNRAKVEDVKTKFRDKANIGFPVSDSRGRLQIIKNSDLEERRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMINGSLRNALQKNEKTLDKRKRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCHTLISGGVRGTLPWMAPELLNGGSSLVSEKVDVFSFGIVLWELLTGDEPYADLHYGAIIGGIVSNTLRPPVPDSCDPEWKSLMERCWSSEPTERLNFTEIANELRAMTAKIPPKGQSQPQQPPSTQPQIQK >ONH98568 pep chromosome:Prunus_persica_NCBIv2:G7:21412825:21418900:-1 gene:PRUPE_7G254900 transcript:ONH98568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSFPKELRPLNVARTVADEPRIALATATGRNPDGLFPNLALEVNSPNSIPVFYPSTVAEAGLVGVGYGNAMSGVPTWRPRIPVPVGHPGMNTAVAVGIGYSPNLGGRLGGNAVDLVSSSTTTTASGPSVCNFNMSNRVVGNGLDHGVNDMAVRFGYNPNLGNKVSGNVANQTGNDLTSGYGNNANFGNRVGGNGTDQASDDGGDDSVSGKKVKLLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFSELVQKMLDTYGQPVVIKYQLPDEDLDALVSVSCVDDLDNMKDEYGKLVERSPDGSAKLRVFLFSASEVDPSSAVQFGDLHNSEQRYVDAVNGIMDGVGGGIMRKESMTSATSTQNSDFSGTDIVDSSIPGQGDTTGPPSAGKLSPKGDSATSHDNSTRLVIVDPNPAVYSEVSTVPLGIPVVKSAPPQTSPSQPECELERSVPVTVSQQQVGLQQPGIGIPSTAPYLQTYVGPRQEVMNRADHLQLPPQMGFPNAHLLGTASPVYTQQQFCDSVAGITQHHFIPAVHMTMTPSSSHVNIRPNVLQPLMQPQQTRLDHYVDESTFVPRVVQFPTEQSYNSYQVQVPSPVVGGAYGWHQVPPPEHVIFHDGLVSHQQVHRDSGGSPVSDSNSTYHSPRLEDNLRAQPMNMVMVSGALAEGNFGQGVEARLRVQGQVDPLVGTSHSEVTGISQISEGTRENETMNLQQVDLPMISAPHGVIRRGGDVQSPNSTFMVAIPQRCQDDAVQQHSAPFQYQVKQENLVNDPFNQDVPLVGGTPVQTSEYLVHECPTAYPNKFPGVIPKEDNVDTCIAYDHLRQIDGRMETLRISPTEVYVNNEHGKSPIDTPRVEDSFDHKAPQVGGREVTLDNTVGRSHFKPTEVVASSPAEVSHGYNSQPVEFFEAVQPSMWGNPESYPQSRVGFHPQDAYEFNYGNPVVSTHITNGIQPPAEWKDENLRLQPKMVPNDVDGVTSNDAVPQDSSNSLFSNQDPWSLSHDTHLPPKPTKIQLRKEPFTELRMDDGGQQSLGNLNRDLSSEPAQSSKGSAEEQIKQELQAVAEGVAACVFQSSSPSNPDLRDKDEYAYQSNQDEDVQNNTAGMQNRAKVEDVKTKFRDKANIGFPVSDSRGRLQIIKNSDLEERRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMINGSLRNALQKNEKTLDKRKRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCHTLISGGVRGTLPWMAPELLNGGSSLVSEKVDVFSFGIVLWELLTGDEPYADLHYGAIIGGIVSNTLRPPVPDSCDPEWKSLMERCWSSEPTERLNFTEIANELRAMTAKIPPKGQSQPQQPPSTQPQIQK >ONH98573 pep chromosome:Prunus_persica_NCBIv2:G7:21413126:21418832:-1 gene:PRUPE_7G254900 transcript:ONH98573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSFPKELRPLNVARTVADEPRIALATATGRNPDGLFPNLALEVNSPNSIPVFYPSTVAEAGLVGVGYGNAMSGVPTWRPRIPVPVGHPGMNTAVAVGIGYSPNLGGRLGGNAVDLVSSSTTTTASGPSVCNFNMSNRVVGNGLDHGVNDMAVRFGYNPNLGNKVSGNVANQTGNDLTSGYGNNANFGNRVGGNGTDQASDDGGDDSVSGKKVKLLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFSELVQKMLDTYGQPVVIKYQLPDEDLDALVSVSCVDDLDNMKDEYGKLVERSPDGSAKLRVFLFSASEVDPSSAVQFGDLHNSEQRYVDAVNGIMDGVGGGIMRKESMTSATSTQNSDFSGTDIVDSSIPGQGDTTGPPSAGKLSPKGDSATSHDNSTRLVIVDPNPAVYSEVSTVPLGIPVVKSAPPQTSPSQPECELERSVPVTVSQQQVGLQQPGIGIPSTAPYLQTYVGPRQEVMNRADHLQLPPQMGFPNAHLLGTASPVYTQQQFCDSVAGITQHHFIPAVHMTMTPSSSHVNIRPNVLQPLMQPQQTRLDHYVDESTFVPRVVQFPTEQSYNSYQVQVPSPVVGGAYGWHQVPPPEHVIFHDGLVSHQQVMYPEKSQRLEDCYMCQRALPHAHSDTLVQVHRDSGGSPVSDSNSTYHSPRLEDNLRAQPMNMVMVSGALAEGNFGQGVEARLRVQGQVDPLVGTSHSEVTGISQISEGTRENETMNLQQVDLPMISAPHGVIRRGGDVQSPNSTFMVAIPQRCQDDAVQQHSAPFQYQVKQENLVNDPFNQDVPLVGGTPVQTSEYLVHECPTAYPNKFPGVIPKEDNVDTCIAYDHLRQIDGRMETLRISPTEVYVNNEHGKSPIDTPRVEDSFDHKAPQVGGREVTLDNTVGRSHFKPTEVVASSPAEVSHGYNSQPVEFFEAVQPSMWGNPESYPQSRVGFHPQDAYEFNYGNPVVSTHITNGIQPPAEWKDENLRLQPKMVPNDVDGVTSNDAVPQDSSNSLFSNQDPWSLSHDTHLPPKPTKIQLRKEPFTELRMDDGGQQSLGNLNRDLSSEPAQSSKGSAEEQIKQELQAVAEGVAACVFQSSSPSNPDLRDKDEYAYQSNQDEDVQNNTAGMQNRAKVEDVKTKFRDKANIGFPVSDSRGRLQIIKNSDLEERRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMINGSLRNALQKNENRTLDKRKRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCHTLISGGVRGTLPWMAPELLNGGSSLVSEKVDVFSFGIVLWELLTGDEPYADLHYGAIIGGIVSNTLRPPVPDSCDPEWKSLMERCWSSEPTERLNFTEIANELRAMTAKIPPKGQSQPQQPPSTQPQIQK >ONH98566 pep chromosome:Prunus_persica_NCBIv2:G7:21413126:21418832:-1 gene:PRUPE_7G254900 transcript:ONH98566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDQNSFPKELRPLNVARTVADEPRIALATATGRNPDGLFPNLALEVNSPNSIPVFYPSTVAEAGLVGVGYGNAMSGVPTWRPRIPVPVGHPGMNTAVAVGIGYSPNLGGRLGGNAVDLVSSSTTTTASGPSVCNFNMSNRVVGNGLDHGVNDMAVRFGYNPNLGNKVSGNVANQTGNDLTSGYGNNANFGNRVGGNGTDQASDDGGDDSVSGKKVKLLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFSELVQKMLDTYGQPVVIKYQLPDEDLDALVSVSCVDDLDNMKDEYGKLVERSPDGSAKLRVFLFSASEVDPSSAVQFGDLHNSEQRYVDAVNGIMDGVGGGIMRKESMTSATSTQNSDFSGTDIVDSSIPGQGDTTGPPSAGKLSPKGDSATSHDNSTRLVIVDPNPAVYSEVSTVPLGIPVVKSAPPQTSPSQPECELERSVPVTVSQQQVGLQQPGIGIPSTAPYLQTYVGPRQEVMNRADHLQLPPQMGFPNAHLLGTASPVYTQQQFCDSVAGITQHHFIPAVHMTMTPSSSHVNIRPNVLQPLMQPQQTRLDHYVDESTFVPRVVQFPTEQSYNSYQVQVPSPVVGGAYGWHQVPPPEHVIFHDGLVSHQQVHRDSGGSPVSDSNSTYHSPRLEDNLRAQPMNMVMVSGALAEGNFGQGVEARLRVQGQVDPLVGTSHSEVTGISQISEGTRENETMNLQQVDLPMISAPHGVIRRGGDVQSPNSTFMVAIPQRCQDDAVQQHSAPFQYQVKQENLVNDPFNQDVPLVGGTPVQTSEYLVHECPTAYPNKFPGVIPKEDNVDTCIAYDHLRQIDGRMETLRISPTEVYVNNEHGKSPIDTPRVEDSFDHKAPQVGGREVTLDNTVGRSHFKPTEVVASSPAEVSHGYNSQPVEFFEAVQPSMWGNPESYPQSRVGFHPQDAYEFNYGNPVVSTHITNGIQPPAEWKDENLRLQPKMVPNDVDGVTSNDAVPQDSSNSLFSNQDPWSLSHDTHLPPKPTKIQLRKEPFTELRMDDGGQQSLGNLNRDLSSEPAQSSKGSAEEQIKQELQAVAEGVAACVFQSSSPSNPDLRDKDEYAYQSNQDEDVQNNTAGMQNRAKVEDVKTKFRDKANIGFPVSDSRGRLQIIKNSDLEERRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMREDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMINGSLRNALQKNEKTLDKRKRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCHTLISGGVRGTLPWMAPELLNGGSSLVSEKVDVFSFGIVLWELLTGDEPYADLHYGAIIGGIVSNTLRPPVPDSCDPEWKSLMERCWSSEPTERLNFTEIANELRAMTAKIPPKGQSQPQQPPSTQPQIQK >ONH96408 pep chromosome:Prunus_persica_NCBIv2:G7:14837996:14840424:-1 gene:PRUPE_7G127400 transcript:ONH96408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVACLLPLFLVPIVNFLPLLFYYIVGKIYRLLGWEYRKPEIAPPACPYKPVAKQDSKVNEKAELAQPDSVPEFVGAVGLKQE >ONH98074 pep chromosome:Prunus_persica_NCBIv2:G7:20092609:20094324:-1 gene:PRUPE_7G227500 transcript:ONH98074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEKSLSSAINTKIMGSSGSEETIVLAHGYGGDQSVWDKIVPYLAEHYRVVVFDWPFSGAVNNKDLFDPSKYSSYDAFANDLIALLDELNLKSTVFVGHSMSGMIGCIASINRPDLFKTLILIGASPRYINTEDYEGGLEMSDITQIISAIESNYHNWAAYFASLAVDPNDPLSVDKFVKCLRRMRPEVALALAKTVFYSDQRDVLDQVSTPCTIINTSSDIVAPNSVAFYMQKKIKGKSTVEIINTNGHFPQLTAHLELLDVLGKVLGLKFDHQDPDIVGVN >ONH97563 pep chromosome:Prunus_persica_NCBIv2:G7:18581023:18584572:1 gene:PRUPE_7G197000 transcript:ONH97563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSGSSASVPSLPPPCPKSPPQYPDLYGKRRETARVQMLEREIGFLEEELKSVERLQPSSRCCKEVADFVAANPDPLIPTNRKKRRSCRFWKWLCRMPCFSCSWICCCCCNRCSLHLEMPRCCDCGQCNCNPCACCCPLPKWRCGCSCPRSCCSCPWSSCSCPRSSCSCPWSSCCKNISCSKNCCIFPSCSCPDCSCSNCFKWNCSCPKCPKLRPCCCCRISCCCNPCSICL >ONH97566 pep chromosome:Prunus_persica_NCBIv2:G7:18583119:18584572:1 gene:PRUPE_7G197000 transcript:ONH97566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFSCSWICCCCCNRCSLHLEMPRCCDCGQCNCNPCACCCPLPKWRCGCSCPRSCCSCPWSSCSCPRSSCSCPWSSCCKNISCSKNCCIFPSCSCPDCSCSNCFKWNCSCPKCPKLRPCCCCRISCCCNPCSICL >ONH97564 pep chromosome:Prunus_persica_NCBIv2:G7:18581023:18584572:1 gene:PRUPE_7G197000 transcript:ONH97564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSGSSASVPSLPPPCPKSPPQYPDLYGKRRETARVQMLEREIGFLEEELKSVERLQPSSRCCKDRMPCFSCSWICCCCCNRCSLHLEMPRCCDCGQCNCNPCACCCPLPKWRCGCSCPRSCCSCPWSSCSCPRSSCSCPWSSCCKNISCSKNCCIFPSCSCPDCSCSNCFKWNCSCPKCPKLRPCCCCRISCCCNPCSICL >ONH97565 pep chromosome:Prunus_persica_NCBIv2:G7:18582613:18584572:1 gene:PRUPE_7G197000 transcript:ONH97565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKSVERLQPSSRCCKEVADFVAANPDPLIPTNRKKRRSCRFWKWLCRMPCFSCSWICCCCCNRCSLHLEMPRCCDCGQCNCNPCACCCPLPKWRCGCSCPRSCCSCPWSSCSCPRSSCSCPWSSCCKNISCSKNCCIFPSCSCPDCSCSNCFKWNCSCPKCPKLRPCCCCRISCCCNPCSICL >ONH96041 pep chromosome:Prunus_persica_NCBIv2:G7:13341271:13345076:1 gene:PRUPE_7G103900 transcript:ONH96041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEWGDQNLNPEAMPEPKTRPVGGTEYSWCKAVPSGTGITVLALLLSKPPNFSILQTALHNLQYSHPVLRSKHLFDPTTNTFSFLTPPTPHLQIQPFDLPSTALILQNQSQPNIPAFHLILEHELNINTWRNPNPSSDADTDVLFASVYTISESRWALALRVHTSACDRAAAVALLRALLGEMKSTGRGGAERELKGNGEVSLGIEDLIPNGKANKPFWARGVDMLGYSLNSLRLSNLDFKDASSARRSRVVKLQLNPHDCQRLLAGCKSREIKLSGALAAAGLIAVHASKHLPDHQWEKYAVVTLLDCRSILEPPLSSNNLGFYHSAIMNTHDINGGNTLWELAKRCHIAFANAKNSNKHFTDMSDLNFLMCKAIENPGLTPSSSMRTAFISVFEDPVIDDSSEVHQELGVEDYEGCASVHGVGPSIAIFDTIRDGALNCACVYPSPLHSREQMQDLMDRMKRILVDGCDDVDSES >ONH96294 pep chromosome:Prunus_persica_NCBIv2:G7:14315067:14316814:-1 gene:PRUPE_7G118800 transcript:ONH96294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKMKSVMVMVVVVQILYSGMNGLCKLVAQEGMNLTILVAYRLIFAPSAMISPALIFERDNTTKLTRMVLFQAFLCGLFGMEKFSIRTNAGKTKILGMVMGLGGAMLFSFYKGIEINIWSTNVNLLHNHGQQNKASHKSFGSILSGFMLFLGRCVSYALWLIIQVTFLYFL >ONH94351 pep chromosome:Prunus_persica_NCBIv2:G7:1679622:1689129:1 gene:PRUPE_7G012400 transcript:ONH94351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGNVGGGRKCFWGFRSLIQRKQVDSVHVRSEGHHQLARKLSVTDLIAIGVGATIGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELACRCPSAGSAYHYSYICVGEGVAWLVGWALILEYTIGGAAVARGITPNLALFFGGVDKLPAILAPHTIPVFGIVVDPCAAVLVLIVTFLLCTGIKESSLVQMIVTSVNVSVMLFIVIAGGYLGFKTGWVGYELPSGYFPFGINGMFAGSAVVFFSYIGFDSVTSTVEEVKNPQRDLPLGIGIALFICCILYMFVSFVITGLVPYYALDPDTPISSVFASYGLHWAVFIITTGAITALFASLLGSLLAQPRILMAMARDGLLPSFFSDINKNTQVPVKSTVTTGIFAAVLAFFMDVSQLAGMVSVGTLFAFTTAAVSVLILRYVPPDEVPLSSSLQESIKSVSLRFGPNIQESDSKNLQNPSGSSENNSRYLHEIGEASIGYPLIQKSISQENQNEQTRRKISAWTITFLCMGVFIFAFAASAQGLSSILRFTVCGVCGALLLCCLIVLTCTDQDDGRHSFGHTGELPHGSASLYGLP >ONH94354 pep chromosome:Prunus_persica_NCBIv2:G7:1679622:1689127:1 gene:PRUPE_7G012400 transcript:ONH94354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGNVGGGRKCFWGFRSLIQRKQVDSVHVRSEGHHQLARKLSVTDLIAIGVGATIGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELACRCPSAGSAYHYSYICVGEGVAWLVGWALILEYTIGGAAVARGITPNLALFFGGVDKLPAILAPHTIPVFGIVVDPCAAVLVLIVTFLLCTGIKESSLVQMIVTSVNVSVMLFIVIAGGYLGFKTGWVGYELPSGYFPFGINGMFAGSAVVFFSYIGFDSVTSTVEEVKNPQRDLPLGIGIALFICCILYMFVSFVITGLVPYYALDPDTPISSVFASYGLHWAVFIITTGAITALFASLLGSLLAQPRILMAMARDGLLPSFFSDINKNTQVPVKSTVTTGIFAAVLAFFMDVSQLAGMVSVGTLFAFTTAAVSVLILRYVPPDEVPLSSSLQESIKSVSLRFGPNIQESDSKNLQNPSGSSENNSRYLHEIGEASIGYPLIQKSISQAFFASLCVEYVELSCCAA >ONH94358 pep chromosome:Prunus_persica_NCBIv2:G7:1679622:1689127:1 gene:PRUPE_7G012400 transcript:ONH94358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGSAVVFFSYIGFDSVTSTVEEVKNPQRDLPLGIGIALFICCILYMFVSFVITGLVPYYALDPDTPISSVFASYGLHWAVFIITTGAITALFASLLGSLLAQPRILMAMARDGLLPSFFSDINKNTQVPVKSTVTTGIFAAVLAFFMDVSQLAGMVSVGTLFAFTTAAVSVLILRYVPPDEVPLSSSLQESIKSVSLRFGPNIQESDSKNLQNPSGSSENNSRYLHEIGEASIGYPLIQKSISQENQNEQTRRKISAWTITFLCMGVFIFAFAASAQGLSSILRFTVCGVCGALLLCCLIVLTCTDQDDGRHSFGHTGGFTCPFVPFLPAACILINTYLLIDLGAATWIRISVWFAIGAVVYLFYGRSHSSLFNAVYVPSAYADELYRSSSDYLS >ONH94350 pep chromosome:Prunus_persica_NCBIv2:G7:1679622:1689127:1 gene:PRUPE_7G012400 transcript:ONH94350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGNVGGGRKCFWGFRSLIQRKQVDSVHVRSEGHHQLARKLSVTDLIAIGVGATIGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELACRCPSAGSAYHYSYICVGEGYFPFGINGMFAGSAVVFFSYIGFDSVTSTVEEVKNPQRDLPLGIGIALFICCILYMFVSFVITGLVPYYALDPDTPISSVFASYGLHWAVFIITTGAITALFASLLGSLLAQPRILMAMARDGLLPSFFSDINKNTQVPVKSTVTTGIFAAVLAFFMDVSQLAGMVSVGTLFAFTTAAVSVLILRYVPPDEVPLSSSLQESIKSVSLRFGPNIQESDSKNLQNPSGSSENNSRYLHEIGEASIGYPLIQKSISQENQNEQTRRKISAWTITFLCMGVFIFAFAASAQGLSSILRFTVCGVCGALLLCCLIVLTCTDQDDGRHSFGHTGGFTCPFVPFLPAACILINTYLLIDLGAATWIRISVWFAIGAVVYLFYGRSHSSLFNAVYVPSAYADELYRSSSDYLS >ONH94355 pep chromosome:Prunus_persica_NCBIv2:G7:1679622:1689127:1 gene:PRUPE_7G012400 transcript:ONH94355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGNVGGGRKCFWGFRSLIQRKQVDSVHVRSEGHHQLARKLSVTDLIAIGVGATIGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELACRCPSAGSAYHYSYICVGEGYFPFGINGMFAGSAVVFFSYIGFDSVTSTVEEVKNPQRDLPLGIGIALFICCILYMFVSFVITGLVPYYALDPDTPISSVFASYGLHWAVFIITTGAITALFASLLGSLLAQPRILMAMARDGLLPSFFSDINKNTQVPVKSTVTTGIFAAVLAFFMDVSQLAGMVSVGTLFAFTTAAVSVLILRYVPPDEVPLSSSLQESIKSVSLRFGPNIQESDSKNLQNPSGSSENNSRYLHEIGEASIGYPLIQKSISQAFFASLCVEYVELSCCAA >ONH94357 pep chromosome:Prunus_persica_NCBIv2:G7:1682932:1689127:1 gene:PRUPE_7G012400 transcript:ONH94357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGSAVVFFSYIGFDSVTSTVEEVKNPQRDLPLGIGIALFICCILYMFVSFVITGLVPYYALDPDTPISSVFASYGLHWAVFIITTGAITALFASLLGSLLAQPRILMAMARDGLLPSFFSDINKNTQVPVKSTVTTGIFAAVLAFFMDVSQLAGMVSVGTLFAFTTAAVSVLILRYVPPDEVPLSSSLQESIKSVSLRFGPNIQESDSKNLQNPSGSSENNSRYLHEIGEASIGYPLIQKSISQENQNEQTRRKISAWTITFLCMGVFIFAFAASAQGLSSILRFTVCGVCGALLLCCLIVLTCTDQDDGRHSFGHTGGFTCPFVPFLPAACILINTYLLIDLGAATWIRISVWFAIGAVVYLFYGRSHSSLFNAVYVPSAYADELYRSSSDYLS >ONH94349 pep chromosome:Prunus_persica_NCBIv2:G7:1679622:1689127:1 gene:PRUPE_7G012400 transcript:ONH94349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGNVGGGRKCFWGFRSLIQRKQVDSVHVRSEGHHQLARKLSVTDLIAIGVGATIGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELACRCPSAGSAYHYSYICVGEGVAWLVGWALILEYTIGGAAVARGITPNLALFFGGVDKLPAILAPHTIPVFGIVVDPCAAVLVLIVTFLLCTGIKESSLVQMIVTSVNVSVMLFIVIAGGYLGFKTGWVGYELPSGYFPFGINGMFAGSAVVFFSYIGFDSVTSTVEEVKNPQRDLPLGIGIALFICCILYMFVSFVITGLVPYYALDPDTPISSVFASYGLHWAVFIITTGAITALFASLLGSLLAQPRILMAMARDGLLPSFFSDINKNTQVPVKSTVTTGIFAAVLAFFMDVSQLAGMVSVGTLFAFTTAAVSVLILRYVPPDEVPLSSSLQESIKSVSLRFGPNIQESDSKNLQNPSGSSENNSRYLHEIGEASIGYPLIQKSISQENQNEQTRRKISAWTITFLCMGVFIFAFAASAQGLSSILRFTVCGVCGALLLCCLIVLTCTDQDDGRHSFGHTGGFTCPFVPFLPAACILINTYLLIDLGAATWIRISVWFAIGAVVYLFYGRSHSSLFNAVYVPSAYADELYRSSSDYLS >ONH94356 pep chromosome:Prunus_persica_NCBIv2:G7:1679622:1689127:1 gene:PRUPE_7G012400 transcript:ONH94356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGNVGGGRKCFWGFRSLIQRKQVDSVHVRSEGHHQLARKLSVTDLIAIGVGATIGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELACRCPSAGSAYHYSYICVGEGYFPFGINGMFAGSAVVFFSYIGFDSVTSTVEEVKNPQRDLPLGIGIALFICCILYMFVSFVITGLVPYYALDPDTPISSVFASYGLHWAVFIITTGAITALFASLLGSLLAQPRILMAMARDGLLPSFFSDINKNTQVPVKSTVTTGIFAAVLAFFMDVSQLAGMVSVGTLFAFTTAAVSVLILRYVPPDEVPLSSSLQESIKSVSLRFGPNIQESDSKNLQNPSGSSENNSRYLHEIGEASIGYPLIQKSISQAFFASLCVEYVELSCCAA >ONH94353 pep chromosome:Prunus_persica_NCBIv2:G7:1679622:1689127:1 gene:PRUPE_7G012400 transcript:ONH94353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGNVGGGRKCFWGFRSLIQRKQVDSVHVRSEGHHQLARKLSVTDLIAIGVGATIGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELACRCPSAGSAYHYSYICVGEGVAWLVGWALILEYTIGGAAVARGITPNLALFFGGVDKLPAILAPHTIPVFGIVVDPCAAVLVLIVTFLLCTGIKESSLVQMIVTSVNVSVMLFIVIAGGYLGFKTGWVGYELPSGYFPFGINGMFAGSAVVFFSYIGFDSVTSTVEEVKNPQRDLPLGIGIALFICCILYMFVSFVITGLVPYYALDPDTPISSVFASYGLHWAVFIITTGAITALFASLLGSLLAQPRILMAMARDGLLPSFFSDINKNTQVPVKSTVTTGIFAAVLAFFMDVSQLAGMVSVGTLFAFTTAAVSVLILRYVPPDEVPLSSSLQESIKSVSLRFGPNIQESDSKNLQNPSGSSENNSRYLHEIGEASIGYPLIQKSISQAFFASLCVEYVELSCCAA >ONH94352 pep chromosome:Prunus_persica_NCBIv2:G7:1679622:1689127:1 gene:PRUPE_7G012400 transcript:ONH94352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGNVGGGRKCFWGFRSLIQRKQVDSVHVRSEGHHQLARKLSVTDLIAIGVGATIGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELACRCPSAGSAYHYSYICVGEGYFPFGINGMFAGSAVVFFSYIGFDSVTSTVEEVKNPQRDLPLGIGIALFICCILYMFVSFVITGLVPYYALDPDTPISSVFASYGLHWAVFIITTGAITALFASLLGSLLAQPRILMAMARDGLLPSFFSDINKNTQVPVKSTVTTGIFAAVLAFFMDVSQLAGMVSVGTLFAFTTAAVSVLILRYVPPDEVPLSSSLQESIKSVSLRFGPNIQESDSKNLQNPSGSSENNSRYLHEIGEASIGYPLIQKSISQENQNEQTRRKISAWTITFLCMGVFIFAFAASAQGLSSILRFTVCGVCGALLLCCLIVLTCTDQDDGRHSFGHTGELPHGSASLYGLP >ONH97468 pep chromosome:Prunus_persica_NCBIv2:G7:18304384:18307528:-1 gene:PRUPE_7G191500 transcript:ONH97468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEGRVSYSSLPKIDKRQTQFQYDDEFIGSEWTEPEDGAKVSYPPVASKPLKQDYAFQFPLESEDFIDGSGYDSSEEPCDSAQTNMHPEVNLKNVLSGMVAILTGRNKAPGPPSDKQLPNSNVSFLGSEKNGETYLHSSVYTPSAPPLLEPTAFDYNAYKDVLEAEPPEWLPDSSTTVCMQCTFPFTALTRGRHHCRFCGGVFCRTCSKGRCLLPVKFRERNPQRVCDACYDRLDPLQGILINNISNASQAAKHDVMDWTCTRGWLNLPVGFSMEHEIYKASNTLRSYSQVARLNPERSIPLAVLRGAKGLAILTVAKAGVLLSYKLGTGLVIARRSDGSWSAPSSIFSVGLGWGAQVGGELMDFIIVLHDLKAVKTFCSRMHFSLGAGCSVAAGPIGRVLEADMRAGDRGSGMCYTYSCSKGAFVGVSLEGNIVATRMDTNLQFYGDPYLTTSDILLGTVDRPKAAEPLYTALENLYSSLQC >ONH97469 pep chromosome:Prunus_persica_NCBIv2:G7:18304383:18307528:-1 gene:PRUPE_7G191500 transcript:ONH97469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEGRVSYSSLPKIDKRQTQFQYDDEFIGSEWTEPEDGAKVSYPPVASKPLKQDYAFQFPLESEDFIDGSGYDSSEEPCDSAQTNMHPEVNLKNVLSGMVAILTGRNKAPGPPSDKQLPNSNVSFLGSEKNGETYLHSSVYTPSAPPLLEPTAFDYNAYKDVLEAEPPEWLPDSSTTVCMQCTFPFTALTRGRHHCRFCGGVFCRTCSKGRCLLPVKFRERNPQRVCDACYDRLDPLQGILINNISNASQAAKHDVMDWTCTRGWLNLPVGFSMEHEIYKASNTLRSYSQVARLNPERSIPLAVLRGAKGLAILTVAKAGVLLSYKLGTGLVIARRSDGSWSAPSSIFSVGLGWGAQSHAFFSWCWL >ONH97467 pep chromosome:Prunus_persica_NCBIv2:G7:18304619:18306998:-1 gene:PRUPE_7G191500 transcript:ONH97467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEGRVSYSSLPKIDKRQTQFQYDDEFIGSEWTEPEDGAKVSYPPVASKPLKQDYAFQFPLESEDFIDGSGYDSSEEPCDSAQTNMHPEVNLKNVLSGMVAILTGRNKAPGPPSDKQLPNSNVSFLGSEKNGETYLHSSVYTPSAPPLLEPTAFDYNAYKDVLEAEPPEWLPDSSTTVCMQCTFPFTALTRGRHHCRFCGGVFCRTCSKGRCLLPVKFRERNPQRVCDACYDRLDPLQGILINNISNASQAAKHDVMDWTCTRGWLNLPVGFSMEHEIYKASNTLRSYSQVARLNPERSIPLAVLRGAKGLAILTVAKAGVLLSYKLGTGLVIARRSDGSWSAPSSIFSVGLGWGAQVGGELMDFIIVLHDLKAVKTFCSRMHFSLGAGCSVAAGPIGRVLEADMRAGDRGSGMCYTYSCSKGAFVGVSLEGNIVATRMDTNLQFYGDPYLTTSDILLGTVDRPKAAEPLYTALENLYSSLQC >ONH97466 pep chromosome:Prunus_persica_NCBIv2:G7:18304384:18307207:-1 gene:PRUPE_7G191500 transcript:ONH97466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEGRVSYSSLPKIDKRQTQFQYDDEFIGSEWTEPEDGAKVSYPPVASKPLKQDYAFQFPLESEDFIDGSGYDSSEEPCDSAQTNMHPEVNLKNVLSGMVAILTGRNKAPGPPSDKQLPNSNVSFLGSEKNGETYLHSSVYTPSAPPLLEPTAFDYNAYKDVLEAEPPEWLPDSSTTVCMQCTFPFTALTRGRHHCRFCGGVFCRTCSKGRCLLPVKFRERNPQRVCDACYDRLDPLQGILINNISNASQAAKHDVMDWTCTRGWLNLPVGFSMEHEIYKASNTLRSYSQVARLNPERSIPLAVLRGAKGLAILTVAKAGVLLSYKLGTGLVIARRSDGSWSAPSSIFSVGLGWGAQVGGELMDFIIVLHDLKAVKTFCSRMHFSLGAGCSVAAGPIGRVLEADMRAGDRGSGMCYTYSCSKGAFVGVSLEGNIVATRMDTNLQFYGDPYLTTSDILLGTVDRPKAAEPLYTALENLYSSLQC >ONH96120 pep chromosome:Prunus_persica_NCBIv2:G7:13696049:13698277:-1 gene:PRUPE_7G108600 transcript:ONH96120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCRPYSPFHSYQIGSLISFHHLKLFQYCSSLRLQGVRCYYKNKRTTSIMEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKDIAGNVSSL >ONH96112 pep chromosome:Prunus_persica_NCBIv2:G7:13694789:13698277:-1 gene:PRUPE_7G108600 transcript:ONH96112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCRPYSPFHSYQIGSLISFHHLKLFQYCSSLRLQVGVRCYYKNKRTTSIMEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKALMREGPVSTPFDDISYLGMHIESLDDNIVPAMVSLLRGMPNLKTLYIKSDPSIFIHKPEACGFNKEFWKSQNLAFIDQLKEATIELSNGNNELELARYMLEDAKNLKKMVLLYSPQQSTHIRVVNESRMNSVATARVVFQEKQRK >ONH96114 pep chromosome:Prunus_persica_NCBIv2:G7:13694785:13698277:-1 gene:PRUPE_7G108600 transcript:ONH96114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKALMREGPVSTPFDDISYLGMHIESLDDNIVPAMVSLLRGMPNLKTLYIKSDPSIFIHKPEACGFNKEFWKSQNLAFIDQLKEATIELSNGNNELELARYMLEDAKNLKKMVLLYSPQQSTHIRVVNESRMNSVATARVVFQEKQRK >ONH96122 pep chromosome:Prunus_persica_NCBIv2:G7:13696056:13698277:-1 gene:PRUPE_7G108600 transcript:ONH96122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKDIAGNVSSL >ONH96113 pep chromosome:Prunus_persica_NCBIv2:G7:13694791:13698277:-1 gene:PRUPE_7G108600 transcript:ONH96113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKALMREGPVSTPFDDISYLGMHIESLDDNIVPAMVSLLRGMPNLKTLYIKSDPSIFIHKPELKEATIELSNGNNELELARYMLEDAKNLKKMVLLYSPQQSTHIRVVNESRMNSVATARVVFQEKQRK >ONH96111 pep chromosome:Prunus_persica_NCBIv2:G7:13694689:13698277:-1 gene:PRUPE_7G108600 transcript:ONH96111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCRPYSPFHSYQIGSLISFHHLKLFQYCSSLRLQGVRCYYKNKRTTSIMEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKALMREGPVSTPFDDISYLGMHIESLDDNIVPAMVSLLRGMPNLKTLYIKSDPSIFIHKPEACGFNKEFWKSQNLAFIDQLKEATIELSNGNNELELARYMLEDAKNLKKMVLLYSPQQSTHIRVVNESRMNSVATARVVFQEKQRK >ONH96121 pep chromosome:Prunus_persica_NCBIv2:G7:13696206:13698277:-1 gene:PRUPE_7G108600 transcript:ONH96121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKDIAGNVSSL >ONH96117 pep chromosome:Prunus_persica_NCBIv2:G7:13694791:13697644:-1 gene:PRUPE_7G108600 transcript:ONH96117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKALMREGPVSTPFDDISYLGMHIESLDDNIVPAMVSLLRGMPNLKTLYIKSDPSIFIHKPEACGFNKEFWKSQNLAFIDQLKEATIELSNGNNELELARYMLEDAKNLKKMVLLYSPQQSTHIRVVNESRMNSVATARVVFQEKQRK >ONH96115 pep chromosome:Prunus_persica_NCBIv2:G7:13695010:13697438:-1 gene:PRUPE_7G108600 transcript:ONH96115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKALMREGPVSTPFDDISYLGMHIESLDDNIVPAMVSLLRGMPNLKTLYIKSDPSIFIHKPEACGFNKEFWKSQNLAFIDQLKEATIELSNGNNELELARYMLEDAKNLKKMVLLYSPQQSTHIRVVNESRMNSVATARVVFQEKQRK >ONH96116 pep chromosome:Prunus_persica_NCBIv2:G7:13694791:13698278:-1 gene:PRUPE_7G108600 transcript:ONH96116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKALMREGPVSTPFDDISYLGMHIESLDDNIVPAMVSLLRGMPNLKTLYIKSDPSIFIHKPEACGFNKEFWKSQNLAFIDQLKEATIELSNGNNELELARYMLEDAKNLKKMVLLYSPQQSTHIRVVNESRMNSVATARVVFQEKQRK >ONH96118 pep chromosome:Prunus_persica_NCBIv2:G7:13694791:13697903:-1 gene:PRUPE_7G108600 transcript:ONH96118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKALMREGPVSTPFDDISYLGMHIESLDDNIVPAMVSLLRGMPNLKTLYIKSDPSIFIHKPEACGFNKEFWKSQNLAFIDQLKEATIELSNGNNELELARYMLEDAKNLKKMVLLYSPQQSTHIRVVNESRMNSVATARVVFQEKQRK >ONH96119 pep chromosome:Prunus_persica_NCBIv2:G7:13694791:13698277:-1 gene:PRUPE_7G108600 transcript:ONH96119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSKLLATTSSQAQGACPDHMKDRFSDLPDEVAHQILSSVSILDLTRFGTLSKKCRELYLSTPSLNFDEFNNWNKSSYAKQFKLLSSLDRFLVRRRENKIQCFRICWSLSYGKAASLYNELYRVVTWIHMAVRCNVEVLDLELRIPDTTRLELPSCIFLCGSLRSLSVHCNKILTAPSLVSSTNLQYLKLTNVTVDEGLCKWISCSCKCIKELHLEHVEVENITMESSSLESFSFVFPSYCGLCHLNISCEKLEDIHIEWRFASTSSRSFKFFAPNLKYLKWIGNLLNNQNLGKLMCLEKAEIFLKHGVDNNDFSNVLEVLCSVCRAKVLILSGEMTKALMREGPVSTPFDDISYLGMHIESLDDNIVPAMVSLLRGMPNLKTLYIKSDPSIFIHKPEACGFNKEFWKSQNLAFIDQLKEATIELSNGNNELELARYMLEDAKNLKKMVLLYSPQQSTHIRVVNESRMNSVATARVVFQEKQRK >ONH94361 pep chromosome:Prunus_persica_NCBIv2:G7:1696355:1704143:1 gene:PRUPE_7G012500 transcript:ONH94361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVDSKEGGGGGSGKQGSWGGLNSLIRRKQVDSVHVKSGGQQLAKELTIPHLVAIGVGSTIGAGVYILVGTVAREHSGPALAISFLIAGIAAALSAFCYAELASRCPSAGSAYHYTYICIGEGVAWLVGWALILEYTIGGSAVARGISPNLALLFGGEDSLPAFIARQYIPALDMVVDPCAAILVFIVTGLLCVGIKESTVAQGIVTIANVCAMIFVIVAGTYLGFKTGWAGYELPTGYFPFGVNGMLAGSATVFFAYIGFDTVASAAEEVKNPQRDLPLGIGAALSICCALYMLVSIVIVGLVPYHAMDPDTPISTAFASHGMQWAAYVITAGAVTALCSTLMGSILPQPRILMAMARDGLLPSFFSDINKRTQVPVKSTIATGIGAAVLAFFMDVSQLAGMVSVGTLLAFTMVAICVLILRYVPPDEVPLPSSLQESIDSVSSWQGRNSHEISEEDPKAHVGSSKESTKPLLDKVDILVELPLIEKQLPFVNYTISEDNRRKIAGWTIMFTCVGVLLLTYSASDLGLPGFLRYTLCGAGGILLLLGLVVLTFIDQDEARHNFGHTGGFICPFVPLLPIVCILINVYLLINLGADTWARVTIWLLVGVVIYVFYGRTHSSLEDAIYVPAAHADEIFRSSENYVS >ONH94359 pep chromosome:Prunus_persica_NCBIv2:G7:1696323:1704143:1 gene:PRUPE_7G012500 transcript:ONH94359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTGGKAVDSKEGGGGGSGKQGSWGGLNSLIRRKQVDSVHVKSGGQQLAKELTIPHLVAIGVGSTIGAGVYILVGTVAREHSGPALAISFLIAGIAAALSAFCYAELASRCPSAGSAYHYTYICIGEGVAWLVGWALILEYTIGGSAVARGISPNLALLFGGEDSLPAFIARQYIPALDMVVDPCAAILVFIVTGLLCVGIKESTVAQGIVTIANVCAMIFVIVAGTYLGFKTGWAGYELPTGYFPFGVNGMLAGSATVFFAYIGFDTVASAAEEVKNPQRDLPLGIGAALSICCALYMLVSIVIVGLVPYHAMDPDTPISTAFASHGMQWAAYVITAGAVTALCSTLMGSILPQPRILMAMARDGLLPSFFSDINKRTQVPVKSTIATGIGAAVLAFFMDVSQLAGMVSVGTLLAFTMVAICVLILRYVPPDEVPLPSSLQESIDSVSSWQGRNSHEISEEDPKAHVGSSKESTKPLLDKVDILVELPLIEKQLPFVNYTISEDNRRKIAGWTIMFTCVGVLLLTYSASDLGLPGFLRYTLCGAGGILLLLGLVVLTFIDQDEARHNFGHTGGFICPFVPLLPIVCILINVYLLINLGADTWARVTIWLLVGVVIYVFYGRTHSSLEDAIYVPAAHADEIFRSSENYVS >ONH94360 pep chromosome:Prunus_persica_NCBIv2:G7:1696748:1703836:1 gene:PRUPE_7G012500 transcript:ONH94360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVDSKEGGGGGSGKQGSWGGLNSLIRRKQVDSVHVKSGGQQLAKELTIPHLVAIGVGSTIGAGVYILVGTVAREHSGPALAISFLIAGIAAALSAFCYAELASRCPSAGSAYHYTYICIGEGVAWLVGWALILEYTIGGSAVARGISPNLALLFGGEDSLPAFIARQYIPALDMVVDPCAAILVFIVTGLLCVGIKESTVAQGIVTIANVCAMIFVIVAGTYLGFKTGWAGYELPTGYFPFGVNGMLAGSATVFFAYIGFDTVASAAEEVKNPQRDLPLGIGAALSICCALYMLVSIVIVGLVPYHAMDPDTPISTAFASHGMQWAAYVITAGAVTALCSTLMGSILPQPRILMAMARDGLLPSFFSDINKRTQVPVKSTIATGIGAAVLAFFMDVSQLAGMVSVGTLLAFTMVAICVLILRYVPPDEVPLPSSLQESIDSVSSWQGRNSHEISEEDPKAHVGSSKESTKPLLDKVDILVELPLIEKQLPFVNYTISEDNRRKIAGWTIMFTCVGVLLLTYSASDLGLPGFLRYTLCGAGGILLLLGLVVLTFIDQDEARHNFGHTGGFICPFVPLLPIVCILINVYLLINLGADTWARVTIWLLVGVVIYVFYGRTHSSLEDAIYVPAAHADEIFRSSENYVS >ONH95635 pep chromosome:Prunus_persica_NCBIv2:G7:11674173:11677120:1 gene:PRUPE_7G081700 transcript:ONH95635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTLARKYESSAFEAGGHKWRLSLYPNEMINGHCYISLYLATAKSSVPSLRSMHSGIRHRPSSIYNGISDGEHVYATFRLFVFDQTQQEYFTVEDGAGILSRFDRVTTEVGFAKFLLRDTFEHPSEGYLLNDCCTFGAEVFVNTSGRTSYGESISRIHKSILSPPFRYDLVKFSSQFGLEHLCPNLISFGERKWQLCVYPKGYGQHKDVSLSLYLRSADDLYTLPSVYVEFKLRVVDRAFNLHHIERTGKHWFLSSDNTFGWSDFMPLRTLNDPSKGFLRDDILSVVVEMLVKNGS >ONH96282 pep chromosome:Prunus_persica_NCBIv2:G7:14273270:14275705:-1 gene:PRUPE_7G118100 transcript:ONH96282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMCCCLQVQRNQQNCFIKFTPHRPNFRFLIKLGVLDLTHQTLSLSSSKQTETFSKRLISLNFKFTSYS >ONH98830 pep chromosome:Prunus_persica_NCBIv2:G7:22055558:22058706:-1 gene:PRUPE_7G267600 transcript:ONH98830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLRKPLPHLLFKPNFKSSSLQALVSYSTKSETSSTQISNSPKQANPIFKMAPFDPISPAFLQTGSVLSYAGSKSCLNNDAHVIDTHSPKSPKQEKSVSRATKYGPILYRLIPNRPLSTANSDPSRSKPLDSAEPAEPLSSESIPAVSTATSPYDSSQPIDAGSSIRKPISLWPGMYHSPVTNALWEARSKMYEKLGDTPIDAPSQSELVARTPAQSRTSILYKFSSDYKLREQYRNPWNEIRMGKLVEDLDALAGTIAYKHCCNEDGATRPLLLVTASVDKMVLKKPIRVDTDLNLGGAVAWVGKSSMEIQLEVTQSMHGTPHPSESIALIANFTFVARDSETGKSAPVNRISPETEKEKLLCEEADKRNKMRKKKRGAHKTDIENQDANRFNALLAEGRVFCDMPALADRDSILIRDTCLENSFICQPQQRNIHGRIFGGFLMRRAFELAFSTTYAFAGVAPHFLEVDHVDFVRPVDVGNFLRLKSCVLYTELENPAEPLINVEVVAHVTQPELRSSEVSNKFYFTFSAPPEAMKDGIRIRNVVPATVEEARRVIERMDAEEKSQFVAS >ONH98829 pep chromosome:Prunus_persica_NCBIv2:G7:22055244:22058961:-1 gene:PRUPE_7G267600 transcript:ONH98829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLRKPLPHLLFKPNFKSSSLQALVSYSTKSETSSTQISNSPKQANPIFKMAPFDPISPAFLQTGSVLSYAGSKSCLNNDAHVIDTHSPKSPKQEKSVSRATKYGPILYRLIPNRPLSTANSDPSRSKPLDSAEPAEPLSSESIPAVSTATSPYDSSQPIDAGSSIRKPISLWPGMYHSPVTNALWEARSKMYEKLGDTPIDAPSQSELVARTPAQSRTSILYKFSSDYKLREQYRNPWNEIRMGKLVEDLDALAGTIAYKHCCNEDGATRPLLLVTASVDKMVLKKPIRVDTDLNLGGAVAWVGKSSMEIQLEVTQSMHGTPHPSESIALIANFTFVARDSETGKSAPVNRISPETEKEKLLCEEADKRNKMRKKKRGAHKTDIENQDANRFNALLAEGRVFCDMPALADRDSILIRDTCLENSFICQPQQRNIHGRIFGGFLMRRAFELAFSTTYAFAGVAPHFLEVDHVDFVRPVSNKFYFTFSAPPEAMKDGIRIRNVVPATVEEARRVIERMDAEEKSQFVAS >ONH98831 pep chromosome:Prunus_persica_NCBIv2:G7:22056539:22058961:-1 gene:PRUPE_7G267600 transcript:ONH98831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLRKPLPHLLFKPNFKSSSLQALVSYSTKSETSSTQISNSPKQANPIFKMAPFDPISPAFLQTGSVLSYAGSKSCLNNDAHVIDTHSPKSPKQEKSVSRATKYGPILYRLIPNRPLSTANSDPSRSKPLDSAEPAEPLSSESIPAVSTATSPYDSSQPIDAGSSIRKPISLWPGMYHSPVTNALWEARSKMYEKLGDTPIDAPSQSELVARTPAQSRTSILYKFSSDYKLREQYRNPWNEIRMGKLVEDLDALAGTIAYKHCCNEDGATRPLLLVTASVDKMVLKKPIRVDTDLNLGGAVAWVGKSSMEIQLEVTQSMHGTPHPSESIALIANFTFVARDSETGKSAPVNRISPETEKEKLLCEEADKRNKMRKKKRGAHKTDIENQDANRFNALLAEGRVFCDMPALADRDSILIRDTCLENSFICQPQQRNIHGRIFGGFLMRRAFELAFSTTYAFAGVAPHFLEVDHVDFVRPFSLCV >ONH95065 pep chromosome:Prunus_persica_NCBIv2:G7:8650446:8655631:1 gene:PRUPE_7G049700 transcript:ONH95065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPLALELEEARRNKQEQQIRDYNLWDDTAKSSEILAKLADSAKVVDALKDLTYKAEEAKLITQLAEIDAIHYGLFRQAYVASLDVSKLLDQYEMSKLLKGPYDMEGACLVIKAGGEGYPEVWVKQLLSMYTKWAKKLGYKGRVIEKRPSTNGGIKSATIEFEFEFAYGYLSGETGVHNIISSQNGSALHLASSASVDVFPLFLGKAHDLQIDEEDLVVTLPSMLEEEQGQTGPSVSIQHIPTSVTAQSSGERSHFANKIKAINRLKGKLLILALEQGVTEVSDIKKDDIVNLWQKETRTYMYHPHKLVKDVKTGIQLPDLMSVLNGNLEPLIVAHINSRQ >ONH95063 pep chromosome:Prunus_persica_NCBIv2:G7:8650446:8655835:1 gene:PRUPE_7G049700 transcript:ONH95063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMAAEFAFVRNGSTATTTTAAAALFSSNWKASERKSHQSLHTSCMDDKNRVYKQLGLFSLKKKIEDTVLRAEMLAPLALELEEARRNKQEQQIRDYNLWDDTAKSSEILAKLADSAKVVDALKDLTYKAEEAKLITQLAEIDAIHYGLFRQAYVASLDVSKLLDQYEMSKLLKGPYDMEGACLVIKAGGEGYPEVWVKQLLSMYTKWAKKLGYKGRVIEKRPSTNGGIKSATIEFEFEFAYGYLSGETGVHNIISSQNGSALHLASSASVDVFPLFLGKAHDLQIDEEDLVVTLPSMLEEEQGQTGPSVSIQHIPTSVTAQSSGERSHFANKIKAINRLKGKLLILALEQGVTEDALFLAESKF >ONH95064 pep chromosome:Prunus_persica_NCBIv2:G7:8650446:8655631:1 gene:PRUPE_7G049700 transcript:ONH95064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMAAEFAFVRNGSTATTTTAAAALFSSNWKASERKSHQSLHTSCMDDKNRVYKQLGLFSLKKKIEDTVLRAEMLAPLALELEEARRNKQEQQIRDYNLWDDTAKSSEILAKLADSAKVVDALKDLTYKAEEAKLITQLAEIDAIHYGLFRQAYVASLDVSKLLDQYEMSKLLKGPYDMEGACLVIKAGGEGYPEVWVKQLLSMYTKWAKKLGYKGRVIEKRPSTNGGIKSATIEFEFEFAYGYLSGETGVHNIISSQNGSALHLASSASVDVFPLFLGKAHDLQIDEEDLVVTLPSMLEEEQGQTGPSVSIQHIPTSVTAQSSGERSHFANKIKAINRLKGKLLILALEQGVTEVSDIKKDDIVNLWQKETRTYMYHPHKLVKDVKTGIQLPDLMSVLNGNLEPLIVAHINSRQ >ONH97869 pep chromosome:Prunus_persica_NCBIv2:G7:19486365:19489914:-1 gene:PRUPE_7G215100 transcript:ONH97869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLARQLRKSQDDDFSLPTWDDPTLNDSRPLDIQEQEELVRSFEKSQAQQSRFWRLLFASLLFCFSAFLLYSIFQQVLSPWELRYHAYFMEEVHSWMIISADWLAVLACSSAIIGLLHDSKHHRRWIWYSLFTGIILTVFWLYYMLRLPRFRWDVIWLPFGPLSGAGLTLYVDHLLIESSEEIRKLRGYMYAYKAS >ONH97917 pep chromosome:Prunus_persica_NCBIv2:G7:19644253:19644713:1 gene:PRUPE_7G218200 transcript:ONH97917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTGSGNGNKGDKGNGKGREVRKDRKSGTGMNGSPKKGGHGGKFTWVGDLGYSPAEMGFQKEVLDAKDPNFEDAEEIPTD >ONH96532 pep chromosome:Prunus_persica_NCBIv2:G7:15260456:15262226:-1 gene:PRUPE_7G135000 transcript:ONH96532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVARVATSATAKKKRKVNTNGESVELPSSYAQLKKPRRLVVSGASKIAAAEGVREADEDVSTSDHVETSCCSSNGSSELVHDGDIKFVDLKKESEQVGSWKYNSSRERREMTAPMSGLQAEAEFEEVESTVTVRSKDTDSRRRSAPPIESELEEFFAAAEKNIQKQFMERYNYDIAKDEPMEGRYEWVRLTP >ONH96533 pep chromosome:Prunus_persica_NCBIv2:G7:15260457:15262226:-1 gene:PRUPE_7G135000 transcript:ONH96533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVARVATSATAKKKRKVNTNGESVELPSSYAQLKKPRRLVVSGASKIAAAEGVREADEDVSTSDHVETSCCSSNGSSELVHDGDIKFVDLKVNDLIEKESEQVGSWKYNSSRERREMTAPMSGLQAEAEFEEVESTVTVRSKDTDSRRRSAPPIESELEEFFAAAEKNIQKQFMERYNYDIAKDEPMEGRYEWVRLTP >ONH97445 pep chromosome:Prunus_persica_NCBIv2:G7:18265769:18273707:1 gene:PRUPE_7G190900 transcript:ONH97445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKANLPKSGAISKGYNFASNWEQNTPLTEQQQAAIATLSHSVAERPFPPNLGQDRTGHQSALSVSTKDSSFGSEHSVAIEAVLVNTNQFYKWFTDLEAALKSETEEKYRHYVDTLTERIQTCDGILGQVDDTLDLFNELQLQHQAVATKTKTLHDACDRLLIEKQRLIEFSEALRSKLNYFDELENITTNFYSPNMNVLNENFLPLLKRLDDCISYVESNPQYAESSVYLLKFRQLQSRALGMIRSHVLSVLKGASSQVQAAIRSSGGSKASVSEGVEASVIYVRFKAAASELKPVLEEIESRSSRKEYTQILAECHKLYCEQRLSLVRGIVHQRISEFAKKEALPSLTRSGCAYLMQVCQLEHQLFYHFFPSSAEDVSSLAPLIDPLSTYLYDTLRPKLIHETNVDFLCELVDILKVEVLGEQLSRRSESLAGLRPTLERILADVHERLTFRARTHIRDEIANYFPLDEDLDYPAKLESSVADNLETTTQADENLVFKTWYPPLEKTISCLSKLYRCLEPGVFTGLAQEVVEVCSTSIQKASKLIARRSSPMDGQLFLIKNLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQIDAKKELEKSLKTTCEEFIMSVTKLVVDPMLSFVTKVTAVKVAMSSGGQNQKAESVMAKPLKDQAFATPDKVAELVQKVAAAIQQELPIVMTKMKLYLQNPSTRTILFKPIKTNIVEAHLQVQSLLKAEYSPEEIQGIINMPSIQELQAQLDNLL >ONH94776 pep chromosome:Prunus_persica_NCBIv2:G7:5477979:5478647:-1 gene:PRUPE_7G029600 transcript:ONH94776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCREGLMSPQTETEASIGFKAGVKDYKLTYYTPDYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTEGLSNLDRYKGRCYHIEPVAGEESQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPETFQGPPHGIQVERDKLNKYGRPLFLFV >ONH94409 pep chromosome:Prunus_persica_NCBIv2:G7:2191747:2196085:1 gene:PRUPE_7G014800 transcript:ONH94409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYKHNHGIGSERMSPATKPHGRDDWDIEFQIHRMEAKAYHAVLRAFSAQSNNLTWGREALMTELRKELNISDDEHGVLLTKIKSDEAIKVIREWRNDAHCAQEYGKDAPRYPSKLVSNAQQREVETQHPPVSKSQKYSSCSQPCAEVIPSATAAPDAQIKDIGRMRVKLGRNFVTQGNSPFLNLKYQGELSKAQGSGDLAMLSSGNGRQSMHIIGRNPQAPYGSRSTGLVKSQLKMGFHPIGSDNLNKSSDLIKIRATDKVLYEIYEMKY >ONH94414 pep chromosome:Prunus_persica_NCBIv2:G7:2193239:2196085:1 gene:PRUPE_7G014800 transcript:ONH94414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATKPHGRDDWDIEFQIHRMEAKAYHAVLRAFSAQSNNLTWGREALMTELRKELNISDDEHGVLLTKIKSDEAIKVIREWRNDAHCAQEYGKDAPRYPSKLVSNAQQREVETQHPPVSKSQKYSSCSQPCAEVIPSATAAPDAQIKDIGRMRVKLGRNFVTQGNSPFLNLKYQGELSKAQGSGDLAMLSSGNGRQSMHIIGRNPQAPYGSRSTGLVKSQLKMGFHPIGSDNLNKSSDLIKIRATDKVLYEIYEMKY >ONH94411 pep chromosome:Prunus_persica_NCBIv2:G7:2193215:2197856:1 gene:PRUPE_7G014800 transcript:ONH94411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATKPHGRDDWDIEFQIHRMEAKAYHAVLRAFSAQSNNLTWGREALMTELRKELNISDDEHGVLLTKIKSDEAIKVIREWRNDAHCAQEYGKDAPRYPSKLVSNAQQREVETQHPPVSKSQKYSSCSQPCAEVIPSATAAPDAQIKDIGRMRVKLGRNFVTQGNSPFLNLKYQGELSKAQGSGDLAMLSSGNGRQSMHIIGRNPQAPYGSRSTGLVKSQLKMGFHPIGSDNLNKSSDLIKIRATDKVLYEVEKLLDCQESLVPLHVEKAKLILKEHERAILEALDKLPDVSDVDDSPKQIWHKYPHEQLPVTGRKMLIRNDFYG >ONH94410 pep chromosome:Prunus_persica_NCBIv2:G7:2193059:2196085:1 gene:PRUPE_7G014800 transcript:ONH94410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLQKRCFMSKGRLISLITESLGNYKHNHGIGSERMSPATKPHGRDDWDIEFQIHRMEAKAYHAVLRAFSAQSNNLTWGREALMTELRKELNISDDEHGVLLTKIKSDEAIKVIREWRNDAHCAQEYGKDAPRYPSKLVSNAQQREVETQHPPVSKSQKYSSCSQPCAEVIPSATAAPDAQIKDIGRMRVKLGRNFVTQGNSPFLNLKYQGELSKAQGSGDLAMLSSGNGRQSMHIIGRNPQAPYGSRSTGLVKSQLKMGFHPIGSDNLNKSSDLIKIRATDKVLYEIYEMKY >ONH94412 pep chromosome:Prunus_persica_NCBIv2:G7:2192578:2197844:1 gene:PRUPE_7G014800 transcript:ONH94412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATKPHGRDDWDIEFQIHRMEAKAYHAVLRAFSAQSNNLTWGREALMTELRKELNISDDEHGVLLTKIKSDEAIKVIREWRNDAHCAQEYGKDAPRYPSKLVSNAQQREVETQHPPVSKSQKYSSCSQPCAEVIPSATAAPDAQIKDIGRMRVKLGRNFVTQGNSPFLNLKYQGELSKAQGSGDLAMLSSGNGRQSMHIIGRNPQAPYGSRSTGLVKSQLKMGFHPIGSDNLNKSSDLIKIRATDKVLYEVEKLLDCQESLVPLHVEKAKLILKEHERAILEALDKLPDVSDVDDSPKQIWHKYPHEQLPVTGRKMLIRNDFYG >ONH94413 pep chromosome:Prunus_persica_NCBIv2:G7:2193462:2197844:1 gene:PRUPE_7G014800 transcript:ONH94413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATKPHGRDDWDIEFQIHRMEAKAYHAVLRAFSAQSNNLTWGREALMTELRKELNISDDEHGVLLTKIKSDEAIKVIREWRNDAHCAQEYGKDAPRYPSKLVSNAQQREVETQHPPVSKSQKYSSCSQPCAEVIPSATAAPDAQIKDIGRMRVKLGRNFVTQGNSPFLNLKYQGELSKAQGSGDLAMLSSGNGRQSMHIIGRNPQAPYGSRSTGLVKSQLKMGFHPIGSDNLNKSSDLIKIRATDKVLYEVEKLLDCQESLVPLHVEKAKLILKMILPSKSGISILMNSFQ >ONH94408 pep chromosome:Prunus_persica_NCBIv2:G7:2191703:2197844:1 gene:PRUPE_7G014800 transcript:ONH94408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYKHNHGIGSERMSPATKPHGRDDWDIEFQIHRMEAKAYHAVLRAFSAQSNNLTWGREALMTELRKELNISDDEHGVLLTKIKSDEAIKVIREWRNDAHCAQEYGKDAPRYPSKLVSNAQQREVETQHPPVSKSQKYSSCSQPCAEVIPSATAAPDAQIKDIGRMRVKLGRNFVTQGNSPFLNLKYQGELSKAQGSGDLAMLSSGNGRQSMHIIGRNPQAPYGSRSTGLVKSQLKMGFHPIGSDNLNKSSDLIKIRATDKVLYEVEKLLDCQESLVPLHVEKAKLILKMILPSKSGISILMNSFQ >ONH94406 pep chromosome:Prunus_persica_NCBIv2:G7:2191877:2197841:1 gene:PRUPE_7G014800 transcript:ONH94406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYGISNQIRFHGGVQFLSNMDQFWLFGNYKHNHGIGSERMSPATKPHGRDDWDIEFQIHRMEAKAYHAVLRAFSAQSNNLTWGREALMTELRKELNISDDEHGVLLTKIKSDEAIKVIREWRNDAHCAQEYGKDAPRYPSKLVSNAQQREVETQHPPVSKSQKYSSCSQPCAEVIPSATAAPDAQIKDIGRMRVKLGRNFVTQGNSPFLNLKYQGELSKAQGSGDLAMLSSGNGRQSMHIIGRNPQAPYGSRSTGLVKSQLKMGFHPIGSDNLNKSSDLIKIRATDKVLYEVEKLLDCQESLVPLHVEKAKLILKEHERAILEALDKLPDVSDVDDSPKQIWHKYPHEQLPVTGRKMLIRNDFYG >ONH94407 pep chromosome:Prunus_persica_NCBIv2:G7:2191700:2197881:1 gene:PRUPE_7G014800 transcript:ONH94407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYKHNHGIGSERMSPATKPHGRDDWDIEFQIHRMEAKAYHAVLRAFSAQSNNLTWGREALMTELRKELNISDDEHGVLLTKIKSDEAIKVIREWRNDAHCAQEYGKDAPRYPSKLVSNAQQREVETQHPPVSKSQKYSSCSQPCAEVIPSATAAPDAQIKDIGRMRVKLGRNFVTQGNSPFLNLKYQGELSKAQGSGDLAMLSSGNGRQSMHIIGRNPQAPYGSRSTGLVKSQLKMGFHPIGSDNLNKSSDLIKIRATDKVLYEVEKLLDCQESLVPLHVEKAKLILKEHERAILEALDKLPDVSDVDDSPKQIWHKYPHEQLPVTGRKMLIRNDFYG >ONH97409 pep chromosome:Prunus_persica_NCBIv2:G7:18126816:18128349:-1 gene:PRUPE_7G188600 transcript:ONH97409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPKMSLAEEFSNPKPRKKKPSTQKAPLFQLQGNDANGTPPLPLKPGQKVSKRNFKNEVSPSFQQPERSNSDSLPDSSTSGSGYRALRLKYLLLEEESFALGRDLREVEDEVKTLEDEKLALLDQLVVLEGLVDPSELHPQGLHLS >ONH94184 pep chromosome:Prunus_persica_NCBIv2:G7:333097:337705:-1 gene:PRUPE_7G002800 transcript:ONH94184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQGGFEENQPVDLSIHPSGIVPTLQNIVSTVYLGCRLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFSASFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVREDTYKAFENIYPVLTEFRKVQQ >ONH94183 pep chromosome:Prunus_persica_NCBIv2:G7:332609:338151:-1 gene:PRUPE_7G002800 transcript:ONH94183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQGGFEENQPVDLSIHPSGIVPTLQNIVSTVYLGCRLDLKQIALQARNAEYNPKVCTGAKSEQQSKLAARKYARIIQKLGFSASFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVREDTYKAFENIYPVLTEFRKVQQ >ONH96474 pep chromosome:Prunus_persica_NCBIv2:G7:15053950:15057090:1 gene:PRUPE_7G131400 transcript:ONH96474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTYDHYYKNATCSSSPSASVSPAAAAAPSQPPSTSDEISLFLQQILLRSSSSLASVVAHTGKAPQFLFSSSPSVAALPDHLSRPCHSMFLGDGITAVDSSAALLPAGNPNVSSSSFGASENETDEYDCESEEGLEALVEEAAGKPGCGRSSSKRSRAAEVHNMSEKTDKASMLDEAIEYLKQLQLQVQMLSMRNGMSLHPMCLPGALQPVQVSQMRMDLGEENRPLHLDMTGTLLMNQESPTQNLFHFSNQCTDANQSYVPDMSNVVNSETSFGLESSMRAHLGPFQLPNSSENLNWERPLEFHFPLTHKYLI >ONH96470 pep chromosome:Prunus_persica_NCBIv2:G7:15053725:15057204:1 gene:PRUPE_7G131400 transcript:ONH96470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSPLCHTPRRNKSSNSKLKAKANTPTLSLSLSLSFSLSHIPKTSKQHQNQKQRRKRNQRVMGDTYDHYYKNATCSSSPSASVSPAAAAAPSQPPSTSDEISLFLQQILLRSSSSLASVVAHTGKAPQFLFSSSPSVAALPDHLSRPCHSMFLGDGITAVDSSAALLPAGNPNVSSSSFGASENETDEYDCESEEGLEALVEEAAGKPGCGRSSSKRSRAAEVHNMSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGMSLHPMCLPGALQPVQVSQMRMDLGEENRPLHLDMTGTLLMNQESPTQNLFHFSNQCTDANQSYVPDMSNVVNSETSFGLESSMRAHLGPFQLPNSSENLNWERPLEFHFPLTHKYLI >ONH96468 pep chromosome:Prunus_persica_NCBIv2:G7:15053937:15056633:1 gene:PRUPE_7G131400 transcript:ONH96468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSPLCHTPRRNKSSNSKLKAKANTPTLSLSLSLSFSLSHIPKTSKQHQNQKQRRKRNQRVMGDTYDHYYKNATCSSSPSASVSPAAAAAPSQPPSTSDEISLFLQQILLRSSSSLASVVAHTGKAPQFLFSSSPSVAALPDHLSRPCHSMFLGDGITAVDSSAALLPAGNPNVSSSSFGASENETDEYDCESEEGLEALVEEAAGKPGCGRSSSKRSRAAEVHNMSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGMSLHPMCLPGALQPVQVSQMRMDLGEENRPLHLDMTGTLLMNQESPTQNLFHFSNQCTDANQSYVPDMSNVVNSETSFGLESSMRAHLGPFQLPNSSEEICREDMLQHQQINVNHSETNPLEFELGATARVSLSFNTQVSDLKGSSSLGACITGRNRQEGLLLKAMKQNFHIDR >ONH96467 pep chromosome:Prunus_persica_NCBIv2:G7:15053725:15057247:1 gene:PRUPE_7G131400 transcript:ONH96467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSPLCHTPRRNKSSNSKLKAKANTPTLSLSLSLSFSLSHIPKTSKQHQNQKQRRKRNQRVMGDTYDHYYKNATCSSSPSASVSPAAAAAPSQPPSTSDEISLFLQQILLRSSSSLASVVAHTGKAPQFLFSSSPSVAALPDHLSRPCHSMFLGDGITAVDSSAALLPAGNPNVSSSSFGASENETDEYDCESEEGLEALVEEAAGKPGCGRSSSKRSRAAEVHNMSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGMSLHPMCLPGALQPVQVSQMRMDLGEENRPLHLDMTGTLLMNQESPTQNLFHFSNQCTDANQSYVPDMSNVVNSETSFGLESSMRAHLGPFQLPNSSEEICREDMLQHQQINVNHSETNPLEFELGATARVSLSFNTQVSDLKGSSSLGACITGRNRQEGLLLKAMKQNFHIDRKKKGCK >ONH96469 pep chromosome:Prunus_persica_NCBIv2:G7:15053725:15057247:1 gene:PRUPE_7G131400 transcript:ONH96469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSPLCHTPRRNKSSNSKLKAKANTPTLSLSLSLSFSLSHIPKTSKQHQNQKQRRKRNQRVMGDTYDHYYKNATCSSSPSASVSPAAAAAPSQPPSTSDEISLFLQQILLRSSSSLASVVAHTGKAPQFLFSSSPSVAALPDHLSRPCHSMFLGDGITAVDSSAALLPAGNPNVSSSSFGASENETDEYDCESEEGLEALVEEAAGKPGCGRSSSKRSRAAEVHNMSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGMSLHPMCLPGALQPVQVSQMRMDLGEENRPLHLDMTGTLLMNQESPTQNLFHFSNQCTDANQSYVPDMSNVVNSETSFGLESSMRAHLGPFQLPNSSENLNWERPLEFHFPLTHKYLI >ONH96473 pep chromosome:Prunus_persica_NCBIv2:G7:15053725:15057204:1 gene:PRUPE_7G131400 transcript:ONH96473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTYDHYYKNATCSSSPSASVSPAAAAAPSQPPSTSDEISLFLQQILLRSSSSLASVVAHTGKAPQFLFSSSPSVAALPDHLSRPCHSMFLGDGITAVDSSAALLPAGNPNVSSSSFGASENETDEYDCESEEGLEALVEEAAGKPGCGRSSSKRSRAAEVHNMSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGMSLHPMCLPGALQPVQVSQMRMDLGEENRPLHLDMTGTLLMNQESPTQNLFHFSNQCTDANQSYVPDMSNVVNSETSFGLESSMRAHLGPFQLPNSSENLNWERPLEFHFPLTHKYLI >ONH96472 pep chromosome:Prunus_persica_NCBIv2:G7:15053950:15057090:1 gene:PRUPE_7G131400 transcript:ONH96472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTYDHYYKNATCSSSPSASVSPAAAAAPSQPPSTSDEISLFLQQILLRSSSSLASVVAHTGKAPQFLFSSSPSVAALPDHLSRPCHSMFLGDGITAVDSSAALLPAGNPNVSSSSFGASENETDEYDCESEEGLEALVEEAAGKPGCGRSSSKRSRAAEVHNMSEKTDKASMLDEAIEYLKQLQLQVQMLSMRNGMSLHPMCLPGALQPVQVSQMRMDLGEENRPLHLDMTGTLLMNQESPTQNLFHFSNQCTDANQSYVPDMSNVVNSETSFGLESSMRAHLGPFQLPNSSEEICREDMLQHQQINVNHSETNPLEFELGATARVSLSFNTQVSDLKGSSSLGACITGRNRQEGLLLKAMKQNFHIDSMRTGRRRVANDNIKTERRHIWCMLSSATLNILDLVQPQLVTAFVILQID >ONH96471 pep chromosome:Prunus_persica_NCBIv2:G7:15054019:15057090:1 gene:PRUPE_7G131400 transcript:ONH96471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTYDHYYKNATCSSSPSASVSPAAAAAPSQPPSTSDEISLFLQQILLRSSSSLASVVAHTGKAPQFLFSSSPSVAALPDHLSRPCHSMFLGDGITAVDSSAALLPAGNPNVSSSSFGASENETDEYDCESEEGLEALVEEAAGKPGCGRSSSKRSRAAEVHNMSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGMSLHPMCLPGALQPVQVSQMRMDLGEENRPLHLDMTGTLLMNQESPTQNLFHFSNQCTDANQSYVPDMSNVVNSETSFGLESSMRAHLGPFQLPNSSEEICREDMLQHQQINVNHSETNPLEFELGATARVSLSFNTQVSDLKGSSSLGACITGRNRQEGLLLKAMKQNFHIDSMRTGRRRVANDNIKTERRHIWCMLSSATLNILDLVQPQLVTAFVILQID >ONH95776 pep chromosome:Prunus_persica_NCBIv2:G7:12371862:12373518:1 gene:PRUPE_7G090200 transcript:ONH95776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLVQNVLDSVLIQIETFFIPREREGEREREREREMDNSIGVGFMAVVAVSGSVVLLAHQVHKRLLSDFMKNIECEMGGLLDHHKKMASGSEKIQGKKCVRFAADVAEPSSNNKEYRKRRFAPTTKQAKEGNGNYKMDTMPLNRFYKR >ONH95775 pep chromosome:Prunus_persica_NCBIv2:G7:12371860:12373478:1 gene:PRUPE_7G090200 transcript:ONH95775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLVQNVLDSVLIQIETFFIPREREGEREREREREMDNSIGVGFMAVVAVSGSVVLLAHQVHKRLLSDFMKNIECEMGGLLDHHKKMASGSEKIQGKKCVRFAADVAEPSSNNKEYRKRRFAPTTKQAKEGNGNYKMDTMPLNRQALYKGIIEFKSLKGPHVLQTMKGELRENTYLEWENTSKDHVDSPYLYGGINV >ONH95777 pep chromosome:Prunus_persica_NCBIv2:G7:12371951:12372568:1 gene:PRUPE_7G090200 transcript:ONH95777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLVQNVLDSVLIQIETFFIPREREGEREREREREMDNSIGVGFMAVVAVSGSVVLLAHQVHKRLLSDFMKNIECEMGGLLDHHKKMASGSEKIQGKKCVRFAADVAEPSSNNKEYRKRRFAPTTKQAKEGNGNYKMDTMPLNRQALYKGIIEFKSLKGPHVY >ONH94397 pep chromosome:Prunus_persica_NCBIv2:G7:2010768:2015688:1 gene:PRUPE_7G014100 transcript:ONH94397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPDSPYQPRAWFSFSRPDYKYANSSRCNRATGKGFWKITGKPRQVKSRQLPKSVTCKKRTLTFHEGRVSKSRNTGWVRQEYYLTPTDPGSNPNQLSGFVLCRMKNKSTDNESDDKKQQDVSICVESADPGIAGCMASNSELDQAAGIHLIPEAEEHLTYKELEHVLLGSGNQNDGEPNGFVSSDFDDMIQELCAKGGEYLDSPSPPERPHQHQLPQLGNVPVTGDYVGSNSNNQAAAINHMIPHPEDFLTYKELEHVLGTCNPDAGEPGGCVSSNMLQKLCAQLGEELDSPIPPPGPPELGNATYVYTDECSSWPSPIGDNDSSLPNKNSIPTNYESKPVSYDSKPVSNTASNFENQTRISEVYSQAEENLESFFRQFELEGDFLHANNYIGCNESQSATLFPQSS >ONH94398 pep chromosome:Prunus_persica_NCBIv2:G7:2010768:2015688:1 gene:PRUPE_7G014100 transcript:ONH94398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPDSPYQPRAWFSFSRPDYKYANSSRCNRATGKGFWKITGKPRQVKSRQLPKSVTCKKRTLTFHEGRVSKSRNTGWVRQEYYLTPTDPGSNPNQLSGFVLCRMKNKSTDNESDDKKQQDVSICVESADPGIAGCMASNSELDQAAGIHLIPEAEEHLTYKELEHVLLGSGNQNDGEPNGFVSSDFDDMIQELCAKGGEYLDSPSPPERPHQHQLPQLGNVPVTGDYVGSNSNNQAAAINHMIPHPEDFLTYKELEHVLGTCNPDAGEPGGCVSSNMLQKLCAQLGEELDSPIPPPGPPELGNATYVYTDECSSWPSPIGDNDSSLPNKNSIPTNYESKPVSYDSKPVSNTASNFENQTRISEVYSQAEENLESFFRQFELEGDFLHANNYIGCNESQSATLFPQSS >ONH94395 pep chromosome:Prunus_persica_NCBIv2:G7:2010746:2015688:1 gene:PRUPE_7G014100 transcript:ONH94395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEGRMSSSMSTTEESVLDLLPKFRFHPSEEEMVNLLKNKVEGQDSQAMPDEIVDKPWDLPDNESIQLFCRFLKRMMQGKASQSIPEIDVYKYEPWDLAELMFPDSPYQPRAWFSFSRPDYKYANSSRCNRATGKGFWKITGKPRQVKSRQLPKSVTCKKRTLTFHEGRVSKSRNTGWVRQEYYLTPTDPGSNPNQLSGFVLCRMKNKSTDNESDDKKQQDVSICVESADPGIAGCMASNSELDQAAGIHLIPEAEEHLTYKELEHVLLGSGNQNDGEPNGFVSSDFDDMIQELCAKGGEYLDSPSPPERPHQHQLPQLGNVPVTGDYVGSNSNNQAAAINHMIPHPEDFLTYKELEHVLGTCNPDAGEPGGCVSSNMLQKLCAQLGEELDSPIPPPGPPELGNATYVYTDECSSWPSPIGDNDSSLPNKNSIPTNYESKPVSYDSKPVSNTASNFENQTRISEVYSQAEENLESFFRQFELEGDFLHANNYIGCNESQSATLFPQSS >ONH94396 pep chromosome:Prunus_persica_NCBIv2:G7:2010768:2015688:1 gene:PRUPE_7G014100 transcript:ONH94396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMSTTEESVLDLLPKFRFHPSEEEMVNLLKNKVEGQDSQAMPDEIVDKPWDLPDNESIQLFCRFLKRMMQGKASQSIPEIDVYKYEPWDLAELMFPDSPYQPRAWFSFSRPDYKYANSSRCNRATGKGFWKITGKPRQVKSRQLPKSVTCKKRTLTFHEGRVSKSRNTGWVRQEYYLTPTDPGSNPNQLSGFVLCRMKNKSTDNESDDKKQQDVSICVESADPGIAGCMASNSELDQAAGIHLIPEAEEHLTYKELEHVLLGSGNQNDGEPNGFVSSDFDDMIQELCAKGGEYLDSPSPPERPHQHQLPQLGNVPVTGDYVGSNSNNQAAAINHMIPHPEDFLTYKELEHVLGTCNPDAGEPGGCVSSNMLQKLCAQLGEELDSPIPPPGPPELGNATYVYTDECSSWPSPIGDNDSSLPNKNSIPTNYESKPVSYDSKPVSNTASNFENQTRISEVYSQAEENLESFFRQFELEGDFLHANNYIGCNESQSATLFPQSS >ONH96406 pep chromosome:Prunus_persica_NCBIv2:G7:14822641:14825924:1 gene:PRUPE_7G127200 transcript:ONH96406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDAKKLEEGRLHNKDDLISERVNTTSDHNGRGDAIDHDHEEKNKAAVAAAPLEADQIKGEEQPVLVVKQKSKRVATLDAFRGLTIVVMILVDDAGGAYARIDHSPWNGCTLADFVMPFFLFIVGVAIALALKKIPKINDAIKKIILRTLKLMFWGIILQGGYSHAPADLSYGVDMKQIRWFGILQRIALVYFVVALIETLTTKFRPTVLEPGHLSIFTAYKWQWIGGFLAFLIYMITTFSLYVPDWSFVVDNDHRSKKYLVKCGMRGHLGPACNAVGYVDRQVWGINHLYTQPVWRRLKACTLSSPSDGPLREGAPSWCRGPFEPEGLLSSISAILSGTIGIHYGHVLIHFKGHSERLKQWVSMGFILIVIAIILHFTDAIPINKQLYSFSYVCFTAGAAGLVFSGFYLLIDVWGYRTPFLFLEWIGMNAMLVFVMAAQGIFAAFVNGWYYKSPDNSLVHWIQEHVFINVWHSERLGTLLYVIFGEILFWGVVAGILHKFRIYWKL >ONH98162 pep chromosome:Prunus_persica_NCBIv2:G7:20354906:20357898:1 gene:PRUPE_7G233200 transcript:ONH98162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAFTTQATMQKAKSFQLKRMFEIPRHLQNLIFENGQDGEGDGENVQLLSPCSRTAAEDGPIEAQGMWGANHDKGTASDGDQGERIKSPIDKKPSDMEMMKERFSKLLLGEDMSGGGKGVSSALALSNSITNLAASVFGEQRKLEPMSPDRKARWVKEIGWLLSVTDHIVEFVPSQQNGTNMEIMVTRQRNDLHMNIPALRKLDGMLIGHLDSFGTPSEFWYVKRDAQDSEKGDDAPRNEEKWWKPTVKVPPEGLSDECRRWLQSQKESVNQVLKAAMAINAQVLSEMEIPDNYIESLPKNGRASLGDSIYKCITVDYFDPVEFFDTMDLSTEHKVLDLKDRIEASIVIWKRKMNHKDGKSSWSSVVSLEKRELFEERVETILLLLKQKFPGIPQSALDISKIQYNKDVGYAILESYSRVIESLAFNVMSMVEDVLYADNQARKASSNRRLSVDSSSPFTDNGRSPYAREDADRLSSSETPTKTLFEFMGWNAETDEPDMEKDSSTGNKESYFKEDTEKSMSKPPANINTKRFSYLEKLEKLSGLRSPTARH >ONH97785 pep chromosome:Prunus_persica_NCBIv2:G7:19241890:19243876:1 gene:PRUPE_7G210100 transcript:ONH97785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSPFLELSGDGGDHDHQYHHLFNLEPQTSFSSSSLSSPIFLNPSQAQAPSGHYREPQNFQFQLLEADHHNIVSYGGSCDYDPQTLENESGSGTILKLSISKNEAGRNGNPSTDKWMSSKMRMMKKMTNPDQTSSSCTSSDDKPVAMKLSISHKSEEQKPQHPDMISCSNKSSNIMNNNVPIIRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAAAAASGTTLAAAPSMKSTSKAQHKDNKPRGASTVPFKKRPYNKLSSTPPSKGRPPKKLCFEDFAISMDNNHSSSATTTTTTSLQRVFPQDEKEAAILLMALSCGLVHG >ONH97945 pep chromosome:Prunus_persica_NCBIv2:G7:19735658:19739423:1 gene:PRUPE_7G220200 transcript:ONH97945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDTKQLSIYRAARTIKRRENTLYNALKSIYDDSIFVGEILQVWPDLPLLANLRCGLWYSSKFHSTCYFKSTDGHNNNWSFNTSRLNLHVAQLAGQKGGCFIVDATRRGKRFPDSMSKTIPIWTCVLNRSISNHLNKMRQSAQFNDGEVSTSDEQHCEDTRQTSVDWDCSLHLPLWVSETEKASIEDRLDEWTRQLEASGADIASLASLLKKPLRPLWISQKTVIWLNEVPDHDSWDFTPIILVSASASNDVVQQHSTSSEFSWNYIAGAGDDEESWARGLTPTLFWNHAYEIINAGPVLCNQMVADIVEKDRVYRARRGQIAPQVTVKASKLVENSVHCLQDDSVLPLDISTINIDLNSCDEDCTICWLGSTSLAVGKSQTAAASKVDCILNCDQEPMSASLRHDKVYLHLPMVTSKFDRFSLLNKLPSAVNFAKLNLSKGKTLLICCNNGEDISVCVCLAILTSLFDDGGTFDGGSFFSETCITKLEMRRRLVTICKYVVNARPSRGNLKQVFGFLSGGRAVSEKRLHSQDQLLE >ONH97947 pep chromosome:Prunus_persica_NCBIv2:G7:19735363:19738091:1 gene:PRUPE_7G220200 transcript:ONH97947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNLQKGGCFIVDATRRGKRFPDSMSKTIPIWTCVLNRSISNHLNKMRQSAQFNDGEVSTSDEQHCEDTRQTSVDWDCSLHLPLWVSETEKASIEDRLDEWTRQLEASGADIASLASLLKKPLRPLWISQKTVIWLNEVPDHDSWDFTPIILVSASASNDVVQQHSTSSEFSWNYIAGAGDDEESWARGLTPTLFWNHAYEIINAGPVLCNQMVADIVEKDRVYRARRGQIAPQVTVKASKLVENSVHCLQDDSVLPLDISTINIDLNSCDEDCTICWLGSTSLAVGKSQTAAASKVDCILNCDQEPMSASLRHDKVYLHLPMVFSFFVLEYRGK >ONH97946 pep chromosome:Prunus_persica_NCBIv2:G7:19735363:19739434:1 gene:PRUPE_7G220200 transcript:ONH97946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNLQKGGCFIVDATRRGKRFPDSMSKTIPIWTCVLNRSISNHLNKMRQSAQFNDGEVSTSDEQHCEDTRQTSVDWDCSLHLPLWVSETEKASIEDRLDEWTRQLEASGADIASLASLLKKPLRPLWISQKTVIWLNEVPDHDSWDFTPIILVSASASNDVVQQHSTSSEFSWNYIAGAGDDEESWARGLTPTLFWNHAYEIINAGPVLCNQMVADIVEKDRVYRARRGQIAPQVTVKASKLVENSVHCLQDDSVLPLDISTINIDLNSCDEDCTICWLGSTSLAVGKSQTAAASKVDCILNCDQEPMSASLRHDKVYLHLPMVTSKFDRFSLLNKLPSAVNFAKLNLSKGKTLLICCNNGEDISVCVCLAILTSLFDDGGTFDGGSFFSETCITKLEMRRRLVTICKYVVNARPSRGNLKQVFGFLSGGRAVSEKRLHSQDQLLE >ONH98896 pep chromosome:Prunus_persica_NCBIv2:G7:22218100:22224102:-1 gene:PRUPE_7G271200 transcript:ONH98896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEMASVEGNGGWIVVNETNSEDPTYIEMEAEESGIDCPNNGVQGLASDKGEGSNVVFSREGPLVRKESRMSTNCSCSAKKLKSQAAVVDSNLEKKEKIEQEKKLSRQDRIELGRLFQGAVSSHDWELAESLILLADPQTLNDALCITLDSIWFLSTQQELYGITGLIKKIIVNGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGFHSRGQGKKDRVNNSSSVEIQLQLSAFKTFIDLAGNQLSGKDFTEAFDAACFPLTLFSSSFNSGWASGISATAIHGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVEWFVKRGCRDMELCLALTAATSSSQVEVAAHLLPHVPHHVLAALSIEILKAAGERSGGSLDGVAFLLHSDFLGDPAATYAVADSIARSDDEAVAPELKAFLREHWSEEAFLRGIRLGQEHYLSLVRILKWGGSPICLRDLPAPLRVTIAYLPLYRECVKAGGCLLSQKLRGKVVEAVSRLGGGVLEGVSQCGELLAVLEHHLPPFLLHSPSNA >ONH98895 pep chromosome:Prunus_persica_NCBIv2:G7:22217789:22224102:-1 gene:PRUPE_7G271200 transcript:ONH98895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEMASVEGNGGWIVVNETNSEDPTYIEMEAEESGIDCPNNGVQGLASDKGEGSNVVFSREGPLVRKESRMSTNCSCSAKKLKSQAAVVDSNLEKKEKIEQEKKLSRQDRIELGRLFQGAVSSHDWELAESLILLADPQTLNDALCITLDSIWFLSTQQELYGITGLIKKIIVNGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGFHSRGQGKKDRVNNSSSVEIQLQLSAFKTFIDLAGNQLSGKDFTEAFDAACFPLTLFSSSFNSGWASGISATAIHGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVEWFVKRGCRDMELCLALTAATSSSQVEVAAHLLPHVPHHVLAALSIEILKAAGERSGGSLDGVAFLLHSDFLGDPAATYAVADSIARSDDEAVAPELKAFLREHWSEEAFLRGIRLGQEHYLSLVRILKWGGSPICLRDLPAPLRVTIAYLPLYRECVKAGGCLLSQKLRGKVVEAVSRLGGGVLEGVSQCGELLAVLEHHLPPFLLHSPSNA >ONH98894 pep chromosome:Prunus_persica_NCBIv2:G7:22217789:22224111:-1 gene:PRUPE_7G271200 transcript:ONH98894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEMASVEGNGGWIVVNETNSEDPTYIEMEAEESGIDCPNNGVQGLASDKGEGSNVVFSREGPLVRKESRMSTNCSCSAKKLKSQAAVVDSNLEKKEKIEQEKKLSRQDRIELGRLFQGAVSSHDWELAESLILLADPQTLNDALCITLDSIWFLSTQQELYGITGLIKKIIVNGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGFHSRGQGKKDRVNNSSSVEIQLQLSAFKTFIDLAGNQLSGKDFTEAFDAACFPLTLFSSSFNSGWASGISATAIHGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVEWFVKRGCRDMELCLALTAATSSSQVEVAAHLLPHVPHHVLAALSIEILKAAGERSGGSLDGVAFLLHSDFLGDPAATYAVADSIARSDDEAVAPELKAFLREHWSEEAFLRGIRLGQEHYLSLVRILKWGGSPICLRDLPAPLRVTIAYLPLYRECVKAGGCLLSQKLRGKVVEAVSRLGGGVLEGVSQCGELLAVLEHHLPPFLLHSPSNA >ONH94937 pep chromosome:Prunus_persica_NCBIv2:G7:7679007:7679270:1 gene:PRUPE_7G041100 transcript:ONH94937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPSLYDRNRASAPESSRVSVLVEEVARRVEASAEDIDHPTDLGSQKYHRMAARKIMGIIFFLSSKENKLHVCICIFLVSYLIRGW >ONH96262 pep chromosome:Prunus_persica_NCBIv2:G7:14201316:14204478:1 gene:PRUPE_7G117100 transcript:ONH96262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVKTPQKGKARRELEKRAPKIVETGKKTLILHGRKTSNVVNSVLSDLYHLKKDSAVKFSRRNEDISPFESGGETSLEFFSQKTDCSIFVFGSHSKKRPDNLVIGRTYDHHIYDLVEVGVENYKRMESFTYDKKLAPRVGSKPFICFIGEGFENAGELKHLKEVLLDLLRGEVVEKLNLAGLDRVYVCTAISSNKVVLTLCALRLKKSGTVVPRMELVEVGPSMDLVVRRHRLPNESLRKEAMREAKNQPRKDKNIKQDTLRGKVGKINIPNQKIGDTVYYHTKVGDTFVPSKTKGVKRERREARMKHESNERAPKFQDMLMPKKSKKAKRERREAGTSEEGNEHASKRQEEGAE >ONH97269 pep chromosome:Prunus_persica_NCBIv2:G7:17689781:17694117:-1 gene:PRUPE_7G180900 transcript:ONH97269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISPGGSNNCLLSRLRALIKQQRLVHALSEGGLQAQFHTKMVPDSVPDKGCIDTSKWKKLDSRNLGIKPSMVSQPSWIVLKILQSEGFEAYLVGGCVRDLILKRIPKDFDVITTANLKQIKRQFYRAEIVGRRFPICRVHVKGSVIEVSSFETVAKHAGKKEADSPCRPPGCDKKDFIRWRNSMHRDFTINSLFFDPFANKIYDYANGMVDLRSLKLRTLGSAKLSFEEDCARILRGLRIAARLSLSISKETETAMHRLSSSILKLDKSRIMMELNYMLSYGAAEPSFCLLWRFDLLKILLPLHAAYFDRQSKNMKTAQSSTMLMKLFSSLDKVVSCDRPSESTLWVGLLAFHLALVNNPQDALVVLTFASVLYHEEWEEGVKFSRDNAEGIVNYVPEILCSSEFKSDKVLAKEVSQLASFVLDSISALTATESLIESMSRYPVFPCSGLVFVPKKMAEEVAEIFKGLVNIKSYNKGRKSFQIDYHSLGKGYLSEVRFVIGKVILETMNSGILQGKEVVQELDYHLLQENVKNYNKGRKHSLIPDTPEVKQEMSKKLKLKEQKCNLFEQDTAIDKQGVVELCQAPQRELIAVLGNMLAKRKFQLPEEEGIKKNQELSEDEKFQNKGEKKMHLKTIEKLQECMPPDMATKQQLNKVKKHNSSQEDTIKLKNMFQKKTQKVISEEIVEDRQVTDKGKGSLPPLPSVVSSEEIQKRKQVADNKKGGLPRLSSLFKR >ONH97273 pep chromosome:Prunus_persica_NCBIv2:G7:17689781:17694117:-1 gene:PRUPE_7G180900 transcript:ONH97273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISPGGSNNCLLSRLRALIKQQRLVHALSEGGLQAQFHTKMVPDSVPDKGCIDTSKWKKLDSRNLGIKPSMVSQPSWIVLKILQSEGFEAYLVGGCVRDLILKRIPKDFDVITTANLKQIKRQFYRAEIVGRRFPICRVHVKGSVIEVSSFETVAKHAGKKEADSPCRPPGCDKKDFIRWRNSMHRDFTINSLFFDPFANKIYDYANGMVDLRSLKLRTLGSAKLSFEEDCARILRGLRIAARLSLSISKETETAMHRLSSSILKLDKSRIMMELNYMLSYGAAEPSFCLLWRFDLLKILLPLHAAYFDRQSKNMKTAQSSTMLMKLFSSLDKVVSCDRPSESTLWVGLLAFHLALVNNPQDALVVLTFASVLYHEEWEEGVKFSRDNAEGIVNYVPEILCSSEFKSDKVLAKEVSQLASFVLDSISALTATESLIESMSRYPVFPCSGLVFVPKKMAEEVAEIFKGLVNIKSYNKGRKSFQIDYHSLGKGYLSEVRFVIGKVILETMNSGILQGKEVVQELDYHLLQENVKNYNKGRKHSLIPDTPEVKQEMSKKLKLKEQKCNLFEQDTAIDKQGVVELCQAPQRELIAVLGNMLAKRKFQLPEEEGIKKNQELSEDEKFQNKGEKKMHLKTIEKLQECMPPDMATKQQLNKVKKHNSSQEDTIKLKNMFQKKTQKVISEEIVEDRQVTDKGKGSLPPLPSVVSSEEIQKRKQVADNKKGGLPRLSSLFKR >ONH97265 pep chromosome:Prunus_persica_NCBIv2:G7:17689108:17694262:-1 gene:PRUPE_7G180900 transcript:ONH97265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISPGGSNNCLLSRLRALIKQQRLVHALSEGGLQAQFHTKMVPDSVPDKGCIDTSKWKKLDSRNLGIKPSMVSQPSWIVLKILQSEGFEAYLVGGCVRDLILKRIPKDFDVITTANLKQIKRQFYRAEIVGRRFPICRVHVKGSVIEVSSFETVAKHAGKKEADSPCRPPGCDKKDFIRWRNSMHRDFTINSLFFDPFANKIYDYANGMVDLRSLKLRTLGSAKLSFEEDCARILRGLRIAARLSLSISKETETAMHRLSSSILKLDKSRIMMELNYMLSYGAAEPSFCLLWRFDLLKILLPLHAAYFDRQSKNMKTAQSSTMLMKLFSSLDKVVSCDRPSESTLWVGLLAFHLALVNNPQDALVVLTFASVLYHEEWEEGVKFSRDNAEGIVNYVPEILCSSEFKSDKVLAKEVSQLASFVLDSISALTATESLIESMSRYPVFPCSGLVFVPKKMAEEVAEIFKGLVNIKSYNKGRKSFQIDYHSLGKGYLSEVRFVIGKVILETMNSGILQGKEVVQELDYHLLQENVKNYNKGRKHSLIPDTPEVKQEMSKKLKLKEQKCNLFEQDTAIDKQGVVELCQAPQRELIAVLGNMLAKRKFQLPEEEGIKKNQELSEDEKFQNKGEKKMHLKTIEKLQECMPPDMATKQQLNKVKKHNSSQEDTIKLKNMFQKKTQKVISEEIVEDRQVTDKGKGSLPPLPSVVSSEEIQKRKQVADNKKGVS >ONH97272 pep chromosome:Prunus_persica_NCBIv2:G7:17689781:17694117:-1 gene:PRUPE_7G180900 transcript:ONH97272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISPGGSNNCLLSRLRALIKQQRLVHALSEGGLQAQFHTKMVPDSVPDKGCIDTSKWKKLDSRNLGIKPSMVSQPSWIVLKILQSEGFEAYLVGGCVRDLILKRIPKDFDVITTANLKQIKRQFYRAEIVGRRFPICRVHVKGSVIEVSSFETVAKHAGKKEADSPCRPPGCDKKDFIRWRNSMHRDFTINSLFFDPFANKIYDYANGMVDLRSLKLRTLGSAKLSFEEDCARILRGLRIAARLSLSISKETETAMHRLSSSILKLDKSRIMMELNYMLSYGAAEPSFCLLWRFDLLKILLPLHAAYFDRQSKNMKTAQSSTMLMKLFSSLDKVVSCDRPSESTLWVGLLAFHLALVNNPQDALVVLTFASVLYHEEWEEGVKFSRDNAEGIVNYVPEILCSSEFKSDKVLAKEVSQLASFVLDSISALTATESLIESMSRYPVFPCSGLVFVPKKMAEEVAEIFKGLVNIKSYNKGRKSFQIDYHSLGKGYLSEVRFVIGKVILETMNSGILQGKEVVQELDYHLLQENVKNYNKGRKHSLIPDTPEVKQEMSKKLKLKEQKCNLFEQDTAIDKQGVVELCQAPQRELIAVLGNMLAKRKFQLPEEEGIKKNQELSEDEKFQNKGEKKMHLKTIEKLQECMPPDMATKQQLNKVKKHNSSQEDTIKLKNMFQKKTQKVISEEIVEDRQVTDKGKGSLPPLPSVVSSEEIQKRKQVADNKKGGLPRLSSLFKR >ONH97270 pep chromosome:Prunus_persica_NCBIv2:G7:17689781:17694117:-1 gene:PRUPE_7G180900 transcript:ONH97270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISPGGSNNCLLSRLRALIKQQRLVHALSEGGLQAQFHTKMVPDSVPDKGCIDTSKWKKLDSRNLGIKPSMVSQPSWIVLKILQSEGFEAYLVGGCVRDLILKRIPKDFDVITTANLKQIKRQFYRAEIVGRRFPICRVHVKGSVIEVSSFETVAKHAGKKEADSPCRPPGCDKKDFIRWRNSMHRDFTINSLFFDPFANKIYDYANGMVDLRSLKLRTLGSAKLSFEEDCARILRGLRIAARLSLSISKETETAMHRLSSSILKLDKSRIMMELNYMLSYGAAEPSFCLLWRFDLLKILLPLHAAYFDRQSKNMKTAQSSTMLMKLFSSLDKVVSCDRPSESTLWVGLLAFHLALVNNPQDALVVLTFASVLYHEEWEEGVKFSRDNAEGIVNYVPEILCSSEFKSDKVLAKEVSQLASFVLDSISALTATESLIESMSRYPVFPCSGLVFVPKKMAEEVAEIFKGLVNIKSYNKGRKSFQIDYHSLGKGYLSEVRFVIGKVILETMNSGILQGKEVVQELDYHLLQENVKNYNKGRKHSLIPDTPEVKQEMSKKLKLKEQKCNLFEQDTAIDKQGVVELCQAPQRELIAVLGNMLAKRKFQLPEEEGIKKNQELSEDEKFQNKGEKKMHLKTIEKLQECMPPDMATKQQLNKVKKHNSSQEDTIKLKNMFQKKTQKVISEEIVEDRQVTDKGKGSLPPLPSVVSSEEIQKRKQVADNKKGGLPRLSSLFKR >ONH97264 pep chromosome:Prunus_persica_NCBIv2:G7:17689246:17694262:-1 gene:PRUPE_7G180900 transcript:ONH97264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISPGGSNNCLLSRLRALIKQQRLVHALSEGGLQAQFHTKMVPDSVPDKGCIDTSKWKKLDSRNLGIKPSMVSQPSWIVLKILQSEGFEAYLVGGCVRDLILKRIPKDFDVITTANLKQIKRQFYRAEIVGRRFPICRVHVKGSVIEVSSFETVAKHAGKKEADSPCRPPGCDKKDFIRWRNSMHRDFTINSLFFDPFANKIYDYANGMVDLRSLKLRTLGSAKLSFEEDCARILRGLRIAARLSLSISKETETAMHRLSSSILKLDKSRIMMELNYMLSYGAAEPSFCLLWRFDLLKILLPLHAAYFDRQSKNMKTAQSSTMLMKLFSSLDKVVSCDRPSESTLWVGLLAFHLALVNNPQDALVVLTFASVLYHEEWEEGVKFSRDNAEGIVNYVPEILCSSEFKSDKVLAKEVSQLASFVLDSISALTATESLIESMSRYPVFPCSGLVFVPKKMAEEVAEIFKGLVNIKSYNKGRKSFQIDYHSLGKGYLSEVRFVIGKVILETMNSGILQGKEVVQELDYHLLQENVKNYNKGRKHSLIPDTPEVKQEMSKKLKLKEQKCNLFEQDTAIDKQGVVELCQAPQRELIAVLGNMLAKRKFQLPEEEGIKKNQELSEDEKFQNKGEKKMHLKTIEKLQECMPPDMATKQQLNKVKKHNSSQEDTIKLKNMFQKKTQKVISEEIVEDRQVTDKGKGSLPPLPSVVSSEEIQKRKQVADNKKGVS >ONH97267 pep chromosome:Prunus_persica_NCBIv2:G7:17689087:17694262:-1 gene:PRUPE_7G180900 transcript:ONH97267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISPGGSNNCLLSRLRALIKQQRLVHALSEGGLQAQFHTKMVPDSVPDKGCIDTSKWKKLDSRNLGIKPSMVSQPSWIVLKILQSEGFEAYLVGGCVRDLILKRIPKDFDVITTANLKQIKRQFYRAEIVGRRFPICRVHVKGSVIEVSSFETVAKHAGKKEADSPCRPPGCDKKDFIRWRNSMHRDFTINSLFFDPFANKIYDYANGMVDLRSLKLRTLGSAKLSFEEDCARILRGLRIAARLSLSISKETETAMHRLSSSILKLDKSRIMMELNYMLSYGAAEPSFCLLWRFDLLKILLPLHAAYFDRQSKNMKTAQSSTMLMKLFSSLDKVVSCDRPSESTLWVGLLAFHLALVNNPQDALVVLTFASVLYHEEWEEGVKFSRDNAEGIVNYVPEILCSSEFKSDKVLAKEVSQLASFVLDSISALTATESLIESMSRYPVFPCSGLVFVPKKMAEEVAEIFKGLVNIKSYNKGRKSFQIDYHSLGKGYLSEVRFVIGKVILETMNSGILQGKEVVQELDYHLLQENVKNYNKGRKHSLIPDTPEVKQEMSKKLKLKEQKCNLFEQDTAIDKQGVVELCQAPQRELIAVLGNMLAKRKFQLPEEEGIKKNQELSEDEKFQNKGEKKMHLKTIEKLQECMPPDMATKQQLNKVKKHNSSQEDTIKLKNMFQKKTQKVISEEIVEDRQVTDKGKGSLPPLPSVVSSEEIQKRKQVADNKKGGVS >ONH97266 pep chromosome:Prunus_persica_NCBIv2:G7:17689014:17694262:-1 gene:PRUPE_7G180900 transcript:ONH97266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISPGGSNNCLLSRLRALIKQQRLVHALSEGGLQAQFHTKMVPDSVPDKGCIDTSKWKKLDSRNLGIKPSMVSQPSWIVLKILQSEGFEAYLVGGCVRDLILKRIPKDFDVITTANLKQIKRQFYRAEIVGRRFPICRVHVKGSVIEVSSFETVAKHAGKKEADSPCRPPGCDKKDFIRWRNSMHRDFTINSLFFDPFANKIYDYANGMVDLRSLKLRTLGSAKLSFEEDCARILRGLRIAARLSLSISKETETAMHRLSSSILKLDKSRIMMELNYMLSYGAAEPSFCLLWRFDLLKILLPLHAAYFDRQSKNMKTAQSSTMLMKLFSSLDKVVSCDRPSESTLWVGLLAFHLALVNNPQDALVVLTFASVLYHEEWEEGVKFSRDNAEGIVNYVPEILCSSEFKSDKVLAKEVSQLASFVLDSISALTATESLIESMSRYPVFPCSGLVFVPKKMAEEVAEIFKGLVNIKSYNKGRKSFQIDYHSLGKGYLSEVRFVIGKVILETMNSGILQGKEVVQELDYHLLQENVKNYNKGRKHSLIPDTPEVKQEMSKKLKLKEQKCNLFEQDTAIDKQGVVELCQAPQRELIAVLGNMLAKRKFQLPEEEGIKKNQELSEDEKFQNKGEKKMHLKTIEKLQECMPPDMATKQQLNKVKKHNSSQEDTIKLKNMFQKKTQKVISEEIVEDRQVTDKGKGSLPPLPSVVSSEEIQKRKQVADNKKGGVS >ONH97268 pep chromosome:Prunus_persica_NCBIv2:G7:17689461:17694262:-1 gene:PRUPE_7G180900 transcript:ONH97268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISPGGSNNCLLSRLRALIKQQRLVHALSEGGLQAQFHTKMVPDSVPDKGCIDTSKWKKLDSRNLGIKPSMVSQPSWIVLKILQSEGFEAYLVGGCVRDLILKRIPKDFDVITTANLKQIKRQFYRAEIVGRRFPICRVHVKGSVIEVSSFETVAKHAGKKEADSPCRPPGCDKKDFIRWRNSMHRDFTINSLFFDPFANKIYDYANGMVDLRSLKLRTLGSAKLSFEEDCARILRGLRIAARLSLSISKETETAMHRLSSSILKLDKSRIMMELNYMLSYGAAEPSFCLLWRFDLLKILLPLHAAYFDRQSKNMKTAQSSTMLMKLFSSLDKVVSCDRPSESTLWVGLLAFHLALVNNPQDALVVLTFASVLYHEEWEEGVKFSRDNAEGIVNYVPEILCSSEFKSDKVLAKEVSQLASFVLDSISALTATESLIESMSRYPVFPCSGLVFVPKKMAEEVAEIFKGLVNIKSYNKGRKSFQIDYHSLGKGYLSEENVKNYNKGRKHSLIPDTPEVKQEMSKKLKLKEQKCNLFEQDTAIDKQGVVELCQAPQRELIAVLGNMLAKRKFQLPEEEGIKKNQELSEDEKFQNKGEKKMHLKTIEKLQECMPPDMATKQQLNKVKKHNSSQEDTIKLKNMFQKKTQKVISEEIVEDRQVTDKGKGSLPPLPSVVSSEEIQKRKQVADNKKGGLPRLSSLFKR >ONH97271 pep chromosome:Prunus_persica_NCBIv2:G7:17689396:17694262:-1 gene:PRUPE_7G180900 transcript:ONH97271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISPGGSNNCLLSRLRALIKQQRLVHALSEGGLQAQFHTKMVPDSVPDKGCIDTSKWKKLDSRNLGIKPSMVSQPSWIVLKILQSEGFEAYLVGGCVRDLILKRIPKDFDVITTANLKQIKRQFYRAEIVGRRFPICRVHVKGSVIEVSSFETVAKHAGKKEADSPCRPPGCDKKDFIRWRNSMHRDFTINSLFFDPFANKIYDYANGMVDLRSLKLRTLGSAKLSFEEDCARILRGLRIAARLSLSISKETETAMHRLSSSILKLDKSRIMMELNYMLSYGAAEPSFCLLWRFDLLKILLPLHAAYFDRQSKNMKTAQSSTMLMKLFSSLDKVVSCDRPSESTLWVGLLAFHLALVNNPQDALVVLTFASVLYHEEWEEGVKFSRDNAEGIVNYVPEILCSSEFKSDKVLAKEVSQLASFVLDSISALTATESLIESMSRYPVFPCSGLVFVPKKMAEEVAEIFKGLVNIKSYNKGRKSFQIDYHSLGKGYLSEVRFVIGKVILETMNSGILQGKEVVQELDYHLLQENVKNYNKGRKHSLIPDTPEVKQEMSKKLKLKEQKCNLFEQDTAIDKQGVVELCQAPQRELIAVLGNMLAKRKFQLPEEEGIKKNQELSEDEKFQNKGEKKMHLKTIEKLQECMPPDMATKQQLNKVKKHNSSQEDTIKLKNMFQKKTQKVISEEIVEDRQVTDKGKGSLPPLPSVVSSEEIQKRKQVADNKKGGLPRLSSLFKR >ONH96453 pep chromosome:Prunus_persica_NCBIv2:G7:15007484:15009351:1 gene:PRUPE_7G130400 transcript:ONH96453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQILHISTTTVRPTTQDDQLTAPKIELTPWDLRLIQFDYIQKGILFHKSAETEDSNVSLVQRLKDSLSLTLNIFYPLAGRLVVSENAEDSTVSISIKCNGAGAEFVHAAADGVKVADILNPYIPDHVIFNLFSLNEVRNYEGVSKPLLSAQVTELLDGIFIGCSLNHLVADGTSFWHFFNTWAEISRSGSHKILQPRPVFGRQFLDGVIDLPVHLPFSYSEIIGEPIIKSSSFNAFLQRVVFHFPKEKIAKLKAKANAEMGTTSNISSLQALMAHLWQATTRARHLQPDQQVNYRFAIGLRQRWEPETLPKEYLGTAIIGVNVTAIASELLQNGLGWAASQINKKISSLTPEQARNDLVDWVNKPTLIPNMRNPTLLARLLTGSSPRFNVYGNDFGWGRPLAVRSGAGDKMDGKLTVFPGAEEGSIDFEACLLPETIQTMMDDAEFMEAVA >ONH95802 pep chromosome:Prunus_persica_NCBIv2:G7:12396588:12402056:1 gene:PRUPE_7G090500 transcript:ONH95802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDMEAKKSESHMISAAAFVEGGIQEACAEACSICLEEFCESDPSVVTTCKHEFHLHCILEWCQRSSQCPMCWQAISLKDSCSQELLEAVERERSFRNTSRNATIFHHPTLGDFEFQHLPGGTNNAELEERIIQHLAAAASMGRAHHNGRREGQRSRSSARGHPHFSVFSTHPSASPLGPVSAPGGDSEPAEITVASPSTPLTSDGDESSRRSAHFPSVQTDGISPSASGSVRMHRNRQGLSSSHWNSTSQSSPLNQDRAGPSDLQSISESLKSKFNSMSMRYKESFSRSTRGWKERLFSRSTSMSELSSEVRREVNAGIATVSRMMERLETKDIGRAPEASVANHTADGSAADQSNNPNSSEIHGENRLSDNNRPGTTCPAGSASN >ONH95801 pep chromosome:Prunus_persica_NCBIv2:G7:12396570:12402045:1 gene:PRUPE_7G090500 transcript:ONH95801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPDMEAKKSESHMISAAAFVEGGIQEACAEACSICLEEFCESDPSVVTTCKHEFHLHCILEWCQRSSQCPMCWQAISLKDSCSQELLEAVERERSFRNTSRNATIFHHPTLGDFEFQHLPGGTNNAELEERIIQHLAAAASMGRAHHNGRREGQRSRSSARGHPHFSVFSTHPSASPLGPVSAPGGDSEPAEITVASPSTPLTSDGDESSRRSAHFPSVQTDGISPSASGSVRMHRNRQGLSSSHWNSTSQSSPLNQDRAGPSDLQSISESLKSKFNSMSMRYKESFSRSTRGWKERLFSRSTSMSELSSEVRREVNAGIATVSRMMERLETKDIGRAPEASVANHTADGSAADQSNNPNSSEIHGENRLSDNNRPGTTCPAGSASN >ONH95799 pep chromosome:Prunus_persica_NCBIv2:G7:12395749:12402003:1 gene:PRUPE_7G090500 transcript:ONH95799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPDMEAKKSESHMISAAAFVEGGIQEACAEACSICLEEFCESDPSVVTTCKHEFHLHCILEWCQRSSQCPMCWQAISLKDSCSQELLEAVERERSFRNTSRNATIFHHPTLGDFEFQHLPGGTNNAELEERIIQHLAAAASMGRAHHNGRREGQRSRSSARGHPHFSVFSTHPSASPLGPVSAPGGDSEPAEITVASPSTPLTSDGDESSRRSAHFPSVQTDGISPSASGSVRMHRNRQGLSSSHWNSTSQSSPLNQDRAGPSDLQSISESLKSKFNSMSMRYKESFSRSTRGWKERLFSRSTSMSELSSEVRREVNAGIATVSRMMERLETKDIGRAPEASVANHTADGSAADQSNNPNSSEIHGENRLSDNNRPGTTCPAGSASN >ONH95804 pep chromosome:Prunus_persica_NCBIv2:G7:12397034:12401992:1 gene:PRUPE_7G090500 transcript:ONH95804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKSESHMISAAAFVEGGIQEACAEACSICLEEFCESDPSVVTTCKHEFHLHCILEWCQRSSQCPMCWQAISLKDSCSQELLEAVERERSFRNTSRNATIFHHPTLGDFEFQHLPGGTNNAELEERIIQHLAAAASMGRAHHNGRREGQRSRSSARGHPHFSVFSTHPSASPLGPVSAPGGDSEPAEITVASPSTPLTSDGDESSRRSAHFPSVQTDGISPSASGSVRMHRNRQGLSSSHWNSTSQSSPLNQDRAGPSDLQSISESLKSKFNSMSMRYKESFSRSTRGWKERLFSRSTSMSELSSEVRREVNAGIATVSRMMERLETKDIGRAPEASVANHTADGSAADQSNNPNSSEIHGENRLSDNNRPGTTCPAGSASN >ONH95800 pep chromosome:Prunus_persica_NCBIv2:G7:12395639:12402003:1 gene:PRUPE_7G090500 transcript:ONH95800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPDMEAKKSESHMISAAAFVEGGIQEACAEACSICLEEFCESDPSVVTTCKHEFHLHCILEWCQRSSQCPMCWQAISLKDSCSQELLEAVERERSFRNTSRNATIFHHPTLGDFEFQHLPGGTNNAELEERIIQHLAAAASMGRAHHNGRREGQRSRSSARGHPHFSVFSTHPSASPLGPVSAPGGDSEPAEITVASPSTPLTSDGDESSRRSAHFPSVQTDGISPSASGSVRMHRNRQGLSSSHWNSTSQSSPLNQDRAGPSDLQSISESLKSKFNSMSMRYKESFSRSTRGWKERLFSRSTSMSELSSEVRREVNAGIATVSRMMERLETKDIGRAPEASVANHTADGSAADQSNNPNSSEIHGENRLSDNNRPGTTCPAGSASN >ONH95803 pep chromosome:Prunus_persica_NCBIv2:G7:12396642:12401986:1 gene:PRUPE_7G090500 transcript:ONH95803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDMEAKKSESHMISAAAFVEGGIQEACAEACSICLEEFCESDPSVVTTCKHEFHLHCILEWCQRSSQCPMCWQAISLKDSCSQELLEAVERERSFRNTSRNATIFHHPTLGDFEFQHLPGGTNNAELEERIIQHLAAAASMGRAHHNGRREGQRSRSSARGHPHFSVFSTHPSASPLGPVSAPGGDSEPAEITVASPSTPLTSDGDESSRRSAHFPSVQTDGISPSASGSVRMHRNRQGLSSSHWNSTSQSSPLNQDRAGPSDLQSISESLKSKFNSMSMRYKESFSRSTRGWKERLFSRSTSMSELSSEVRREVNAGIATVSRMMERLETKDIGRAPEASVANHTADGSAADQSNNPNSSEIHGENRLSDNNRPGTTCPAGSASN >ONH94248 pep chromosome:Prunus_persica_NCBIv2:G7:805130:808696:1 gene:PRUPE_7G006300 transcript:ONH94248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSLVLYYLSQRRVPGRSFRHFFFIPTSLALVTSLLILFYISTTSNLFTHHHPNPKTPLQINSSSSSSTFSFTILRHKTSSTPFAIEAPLTEDGGGQRIESQRSLGPQLGSDGSHVNNNEVFHDRHIFLEDYKEMKRSFKIYVYPHRQDDSFANALLPVDSEPGGNYASESFFKKVLMKSRFITNDPTKADLFFLPFSIARLRHDPRVGVGGIQDFIRDYIFNVSQKYQYWNRTGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH94253 pep chromosome:Prunus_persica_NCBIv2:G7:806415:808458:1 gene:PRUPE_7G006300 transcript:ONH94253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFKIYVYPHRQDDSFANALLPVDSEPGGNYASESFFKKVLMKSRFITNDPTKADLFFLPFSIARLRHDPRVGVGGIQDFIRDYIFNVSQKYQYWNRTGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH94250 pep chromosome:Prunus_persica_NCBIv2:G7:805130:808696:1 gene:PRUPE_7G006300 transcript:ONH94250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLETVRFYGPGSHVNNNEVFHDRHIFLEDYKEMKRSFKIYVYPHRQDDSFANALLPVDSEPGGNYASESFFKKVLMKSRFITNDPTKADLFFLPFSIARLRHDPRVGVGGIQDFIRDYIFNVSQKYQYWNRTGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH94259 pep chromosome:Prunus_persica_NCBIv2:G7:805130:808696:1 gene:PRUPE_7G006300 transcript:ONH94259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPRQIFSFCLSQLQGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH94257 pep chromosome:Prunus_persica_NCBIv2:G7:805130:808696:1 gene:PRUPE_7G006300 transcript:ONH94257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPRQIFSFCLSQLQGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH94258 pep chromosome:Prunus_persica_NCBIv2:G7:805130:808696:1 gene:PRUPE_7G006300 transcript:ONH94258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPRQIFSFCLSQLQGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH94255 pep chromosome:Prunus_persica_NCBIv2:G7:806415:808458:1 gene:PRUPE_7G006300 transcript:ONH94255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFKIYVYPHRQDDSFANALLPVDSEPGGNYASESFFKKVLMKSRFITNDPTKADLFFLPFSIARLRHDPRVGVGGIQDFIRDYIFNVSQKYQYWNRTGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH94252 pep chromosome:Prunus_persica_NCBIv2:G7:806105:808696:1 gene:PRUPE_7G006300 transcript:ONH94252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKTTFGMGGQDDSFANALLPVDSEPGGNYASESFFKKVLMKSRFITNDPTKADLFFLPFSIARLRHDPRVGVGGIQDFIRDYIFNVSQKYQYWNRTGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH94254 pep chromosome:Prunus_persica_NCBIv2:G7:805130:808696:1 gene:PRUPE_7G006300 transcript:ONH94254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFKIYVYPHRQDDSFANALLPVDSEPGGNYASESFFKKVLMKSRFITNDPTKADLFFLPFSIARLRHDPRVGVGGIQDFIRDYIFNVSQKYQYWNRTGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH94256 pep chromosome:Prunus_persica_NCBIv2:G7:805130:808696:1 gene:PRUPE_7G006300 transcript:ONH94256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRFITNDPTKADLFFLPFSIARLRHDPRVGVGGIQDFIRDYIFNVSQKYQYWNRTGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH94251 pep chromosome:Prunus_persica_NCBIv2:G7:805130:808696:1 gene:PRUPE_7G006300 transcript:ONH94251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLETVRFYGPGSHVNNNEVFHDRHIFLEDYKEMKRSFKIYVYPHRQDDSFANALLPVDSEPGGNYASESFFKKVLMKSRFITNDPTKADLFFLPFSIARLRHDPRVGVGGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH94249 pep chromosome:Prunus_persica_NCBIv2:G7:805130:808696:1 gene:PRUPE_7G006300 transcript:ONH94249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSLVLYYLSQRRVPGRSFRHFFFIPTSLALVTSLLILFYISTTSNLFTHHHPNPKTPLQINSSSSSSTFSFTILRHKTSSTPFAIEAPLTEDGGGQRIESQRSLGPQLGSDGSHVNNNEVFHDRHIFLEDYKEMKRSFKIYVYPHRQDDSFANALLPVDSEPGGNYASESFFKKVLMKSRFITNDPTKADLFFLPFSIARLRHDPRVGVGGGADHFYVACHSIGRSAMEKASEVKFNAIQVVCSSSYFLPGYIPHKDACLPQIWPRKEEPHDLLSSNRTKLAFFAGGINSPVREKLLQVWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARVADSLYYGCVPVIIANYYDLPFADILNWKSFSVIVATLDIPLLKKILKGISSEEYTRLQSNVLKVRKHFQWHLSPIDYDAFYMVMYELWLRRSFSTVTSSNF >ONH96264 pep chromosome:Prunus_persica_NCBIv2:G7:14205093:14207997:-1 gene:PRUPE_7G117200 transcript:ONH96264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKDECYPKSPPTSAELDPENPLRPEMSEPLLGSQSIPSFTSPLMEFEAFCALNPSESSWSSGAFEFDEKAPTATYSSMDAGGAEHVAVPQPLECLQDSPVPPFLSKTFDLVDDPSLDSIISWGSGGNSFVVWDPLEFSRLVLPRNFKHNNFSSFVRQLNTYGFRKVDTDKWEFANEAFKRGKRHLLKKIQRRKSPQSLQVGPSAEAGRPGLEGDIETLRKERSMLMQEVDDLQQQQRGTVHHMKVVNERLQYAEQRQKQMVSFLSKLLQNPAFLARLQQKTGQKGIDSPRMKRKFVKQHQHELGKSDSCIQGQIVKYQPAWRNLSAVPDVNPVVPIEQSPDNLSQVMAGKLGLVTESKPYQFVDVASDELNLSAEPTVTLGFIKTPEQEGEGASSMGAKDPFQKGKSVLSPQQELNPEYYVSFQEDFGKNKMFPELFSPGIDGMIKQEDIWSMGFDVSAGRNPCEGQRVSVGETWSCMLNCPFQLQKFQQDELI >ONH96268 pep chromosome:Prunus_persica_NCBIv2:G7:14205450:14207997:-1 gene:PRUPE_7G117200 transcript:ONH96268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKDECYPKSPPTSAELDPENPLRPEMSEPLLGSQSIPSFTSPLMEFEAFCALNPSESSWSSGAFEFDEKAPTATYSSMDAGGAEHVAVPQPLECLQDSPVPPFLSKTFDLVDDPSLDSIISWGSGGNSFVVWDPLEFSRLVLPRNFKHNNFSSFVRQLNTYGFRKVDTDKWEFANEAFKRGKRHLLKKIQRRKSPQSLQVGPSAEAGRPGLEGDIETLRKERSMLMQEVDDLQQQQRGTVHHMKVVNERLQYAEQRQKQMVSFLSKLLQNPAFLARLQQKTGQKGIDSPRMKRKFVKQHQHELGKSDSCIQGQIVKYQPAWRNLSAVPDVNPVVPIEQSPDNLSQVMAGKLGLVTESKPYQFVDVASDELNLSAEPTVTLGFIKTPEQEGEGASSMGAKDPFQKGKSVLSPQQELNPEYYVSFQEDFGKNKMFPELFSPGIDGMIKQEDIWSMGFDVSAGMSSSSNELWSNPVNYDVPEIGVTSELLDIWDIGPLHAAGGSGIDKWPADESAFDEPDSQAGQLKVDTSKRIDP >ONH96266 pep chromosome:Prunus_persica_NCBIv2:G7:14205450:14207997:-1 gene:PRUPE_7G117200 transcript:ONH96266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKDECYPKSPPTSAELDPENPLRPEMSEPLLGSQSIPSFTSPLMEFEAFCALNPSESSWSSGAFEFDEKAPTATYSSMDAGGAEHVAVPQPLECLQDSPVPPFLSKTFDLVDDPSLDSIISWGSGGNSFVVWDPLEFSRLVLPRNFKHNNFSSFVRQLNTYGFRKVDTDKWEFANEAFKRGKRHLLKKIQRRKSPQSLQVGPSAEAGRPGLEGDIETLRKERSMLMQEVDDLQQQQRGTVHHMKVVNERLQYAEQRQKQMVSFLSKLLQNPAFLARLQQKTGQKGIDSPRMKRKFVKQHQHELGKSDSCIQGQIVKYQPAWRNLSAVPDVNPVVPIEQSPDNLSQVMAGKLGLVTESKPYQFVDVASDELNLSAEPTVTLGFIKTPEQEGEGASSMGAKDPFQKGKSVLSPQQELNPEYYVSFQEDFGKNKMFPELFSPGIDGMIKQEDIWSMGFDVSAGMSSSSNELWSNPVNYDVPEIGVTSELLDIWDIGPLHAAGGSGIDKWPADESAFDEPDSQAGQLKVDTSKRIDP >ONH96263 pep chromosome:Prunus_persica_NCBIv2:G7:14204712:14208816:-1 gene:PRUPE_7G117200 transcript:ONH96263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKDECYPKSPPTSAELDPENPLRPEMSEPLLGSQSIPSFTSPLMEFEAFCALNPSESSWSSGAFEFDEKAPTATYSSMDAGGAEHVAVPQPLECLQDSPVPPFLSKTFDLVDDPSLDSIISWGSGGNSFVVWDPLEFSRLVLPRNFKHNNFSSFVRQLNTYGFRKVDTDKWEFANEAFKRGKRHLLKKIQRRKSPQSLQVGPSAEAGRPGLEGDIETLRKERSMLMQEVDDLQQQQRGTVHHMKVVNERLQYAEQRQKQMVSFLSKLLQNPAFLARLQQKTGQKGIDSPRMKRKFVKQHQHELGKSDSCIQGQIVKYQPAWRNLSAVPDVNPVVPIEQSPDNLSQVMAGKLGLVTESKPYQFVDVASDELNLSAEPTVTLGFIKTPEQEGEGASSMGAKDPFQKGKSVLSPQQELNPEYYVSFQEDFGKNKMFPELFSPGIDGMIKQEDIWSMGFDVSAGRNPCEGQRVSVGETWSCMLNCPFQLQKFQQDELI >ONH96267 pep chromosome:Prunus_persica_NCBIv2:G7:14205450:14207997:-1 gene:PRUPE_7G117200 transcript:ONH96267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKDECYPKSPPTSAELDPENPLRPEMSEPLLGSQSIPSFTSPLMEFEAFCALNPSESSWSSGAFEFDEKAPTATYSSMDAGGAEHVAVPQPLECLQDSPVPPFLSKTFDLVDDPSLDSIISWGSGGNSFVVWDPLEFSRLVLPRNFKHNNFSSFVRQLNTYGFRKVDTDKWEFANEAFKRGKRHLLKKIQRRKSPQSLQVGPSAEAGRPGLEGDIETLRKERSMLMQEVDDLQQQQRGTVHHMKVVNERLQYAEQRQKQMVSFLSKLLQNPAFLARLQQKTGQKGIDSPRMKRKFVKQHQHELGKSDSCIQGQIVKYQPAWRNLSAVPDVNPVVPIEQSPDNLSQVMAGKLGLVTESKPYQFVDVASDELNLSAEPTVTLGFIKTPEQEGEGASSMGAKDPFQKGKSVLSPQQELNPEYYVSFQEDFGKNKMFPELFSPGIDGMIKQEDIWSMGFDVSAGMSSSSNELWSNPVNYDVPEIGVTSELLDIWDIGPLHAAGGSGIDKWPADESAFDEPDSQAGQLKVDTSKRIDP >ONH96265 pep chromosome:Prunus_persica_NCBIv2:G7:14204712:14208816:-1 gene:PRUPE_7G117200 transcript:ONH96265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKDECYPKSPPTSAELDPENPLRPEMSEPLLGSQSIPSFTSPLMEFEAFCALNPSESSWSSGAFEFDEKAPTATYSSMDAGGAEHVAVPQPLECLQDSPVPPFLSKTFDLVDDPSLDSIISWGSGGNSFVVWDPLEFSRLVLPRNFKHNNFSSFVRQLNTYGFRKVDTDKWEFANEAFKRGKRHLLKKIQRRKSPQSLQVGPSAEAGRPGLEGDIETLRKERSMLMQEVDDLQQQQRGTVHHMKVVNERLQYAEQRQKQMVSFLSKLLQNPAFLARLQQKTGQKGIDSPRMKRKFVKQHQHELGKSDSCIQGQIVKYQPAWRNLSAVPDVNPVVPIEQSPDNLSQVMAGKLGLVTESKPYQFVDVASDELNLSAEPTVTLGFIKTPEQEGEGASSMGAKDPFQKGKSVLSPQQELNPEYYVSFQEDFGKNKMFPELFSPGIDGMIKQEDIWSMGFDVSAGRNPCEGQRVSVGETWSCMLNCPFQLQKFQQDELI >ONH98269 pep chromosome:Prunus_persica_NCBIv2:G7:20630097:20633492:1 gene:PRUPE_7G239000 transcript:ONH98269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPWRAFSVVFCIFSCFFVCLSHASDSLRHGQYLYRNETLVSAGGVFELGFFSSHAAPNGYLGIWFKNDKNQKPVWVANRDSPFMDFPGVPGSSGVFLTIRYDGNMVISDQRNVPFILNYGALASSNNTRATIVSWFSASVPADGSYALGIDPVNNTQFNVWRSDGAYQQIGLWDGHEFKFFFQSTSDNLNFSFVWNSKEIYLTFNNKNTTMPSWFVLTSNGEINEFRMVGQEIEIVDYSLCDDTMARSSNGCLVAMPSACSGGDNFSNTRGSLPSSMVLSWPIHVDPYDCEILCRNNCSCVAYAYKPLQDEGILCQLYYGNKSDLLKLVGTGNSTVYVRGNASKSDRKLLVVTVMVIPLVFFILILLLHRLWRKLNSLGIYGFHNGMRDSMRLLLLQLSSDDNASSPNVIELGTKKDHELPFLSFSLIVTSTNNFSLANKLGQGGFGPVYKGKLLEHDIAVKRLSKNSRQGPLEFKNEVQLISKLQHRNLVKLLGCCIHREEKILIYEYMPNKSLDSFIFDPTKRRLLNWTQRIHIIEGIAQGLLYLHKYSRLRIIHRDLKISNILLDAYMNPKISDFGLARILSGNECRAKTNRVVGTYGYMSPEYVMHGLFSTKSDVFSFGVIVLEIVSGRKNANFHESDHSLNLLGHAWNLWKSGRCLELMDSTLVDSSSTDSLALCIQVGLLCVQDCAEERPTMSDVVSMFSNEGATLSTPKQPTYSNLMTEVDKPLRREVHSENLLTFSAMEAR >ONH96333 pep chromosome:Prunus_persica_NCBIv2:G7:14441874:14445148:1 gene:PRUPE_7G121300 transcript:ONH96333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNQDDDRSQSSDYTSEDEGTEDYRRGGYHAVRIGDTFKSGRYVVQTKLGWGHFSTVWLAWDTHHSRYVALKVQKSADHYTEAAMDEITILKQIAEGDTDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHMVKEICYHVLVGLDYLHRQLSIIHTDLKPENILLLSTIDPFKDPRKSSAPLILSSSKDKDASESGFAKALNGDLSRNQKKKIRRKAKRAAQGCVDRDEADADLETSTEVESSPNTKLNVHSGENQATSSVSMSRSSDADGPKGTRPGSQGSKRGNRTTRQKLLASIDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDYFNRYGDLRHIRRLRFWPLNKVLVEKYEFSEQDASDLTDFLVPILDFVPEKRPTAAQCLLHPWINAGSRLLEPSMASDKKQGMDHDTTEKNKREKDEREAMEAGVENIAINSDSKPVKDLPSSSKPSKAAVSSSSR >ONH98682 pep chromosome:Prunus_persica_NCBIv2:G7:21734769:21743657:-1 gene:PRUPE_7G261700 transcript:ONH98682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRKLFYRKPPDGLFEISERVYVFDCCFTTDAWKEENYKVYIGGIVGQLQDHLPDASFLVFNFHDGVAQSQMASILSEYDMTIMDYPRHFEGCPVLTLELIHHFLRSSESWLALGQHNVLLMHCERGGWPVLAFMLAALLIYRKQYSGEQRTLDMVYRQAPHELLHFLSPLNPIPSQLRYLQYVSRRNVALEWPPLDRALTLDCVIFRFIPNFDGEGGCRPLFRIYGQDPFVVTDRTARVLYSTPKRSNTIRAYKQAECELVKIDINCHIQGDVVVECISLHDDTEREEMMFRIMFNTAFIRSNILMLNRDEIDMLWDAKEQFPKKFRVEILFSEMDAVKTASIILGGISCFEDKEGLPMEAFAQVQEIFNYVDWLDPKVDATLNALQQMGVSNIAHEKLDNDSSQSTGNDTSLQESSPRNIQRKKKQLNLENNSKNLLSSAEVHPVASPLQSPDTTVSKQEAKPQDIHTALQLPGQCDSVSQHIPQPSQSTPMEAFAQVQEIFNHVASPAQSPSTTASKQEAKPQDIHTALQPPNKCDSVCQQMPRPSQSTPMEAFTQVQEIFSHVASPMQSPDAAVSKPDGKPEDIHTALQSPNQHDSVCQKMPQPSQSTPVSSNSRKDLPDPTPKYQNTCQASGIKPLLNDHDFSGRQEVSHSVTAYPGVPDATLNVSRVPESAEVKSVSITAPTPSSPPPLRPSMTTSTIKTVSSPPRQQVPPSVSKPEDSSLSKDSETYTQDGTHPSITSHPGAHGMPISGTISGSVLPDPPSAPPHSQITTSARPPPTPPPPPPTLPLKENLADGSGPPPPPPPPPPTHSGQAGGTTNSSPVPPPPPPPTAATASVPSAPPAPPPIGKGGSKTGNPPPPPIISPGNAKGRLSRTISSKNNNAKKLKPLHWLKLSRAVQGSLWAEAQKSGEASKAPEIDISELENLFSAALPTSDHGRKSTTQGSVAPKSDKVQLIDHRRAYNCEIMLSKVKVPLNELMKSVLALEDTALDADQVENLIKFCPTKEEMELLKGYTGEKEKLGKCEQFLLELMKVPRVESKLRVFSFKIQFSSQVSDLRNSLNVVNSASEEIRNSVKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLIETRARNHKMTLMHYLCKVLIDQLPEVLDFSKDLASLEPASKIQLKFLAEEMQAVSKGLEKVVQELSTSENDGPISENFRKILKEFLRFAEAEVRTLASLYSTVGRNVDALILYFGEDPARCPFEQVVSTLLNFVRMFIKAHDENCKQSEIEKKKAAESEKPKMGASKESERLSRNPIKSGNA >ONH98683 pep chromosome:Prunus_persica_NCBIv2:G7:21734770:21743657:-1 gene:PRUPE_7G261700 transcript:ONH98683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVKTASIILGGISCFEDKEGLPMEAFAQVQEIFNYVDWLDPKVDATLNALQQMGVSNIAHEKLDNDSSQSTGNDTSLQESSPRNIQRKKKQLNLENNSKNLLSSAEVHPVASPLQSPDTTVSKQEAKPQDIHTALQLPGQCDSVSQHIPQPSQSTPMEAFAQVQEIFNHVASPAQSPSTTASKQEAKPQDIHTALQPPNKCDSVCQQMPRPSQSTPMEAFTQVQEIFSHVASPMQSPDAAVSKPDGKPEDIHTALQSPNQHDSVCQKMPQPSQSTPVSSNSRKDLPDPTPKYQNTCQASGIKPLLNDHDFSGRQEVSHSVTAYPGVPDATLNVSRVPESAEVKSVSITAPTPSSPPPLRPSMTTSTIKTVSSPPRQQVPPSVSKPEDSSLSKDSETYTQDGTHPSITSHPGAHGMPISGTISGSVLPDPPSAPPHSQITTSARPPPTPPPPPPTLPLKENLADGSGPPPPPPPPPPTHSGQAGGTTNSSPVPPPPPPPTAATASVPSAPPAPPPIGKGGSKTGNPPPPPIISPGNAKGRLSRTISSKNNNAKKLKPLHWLKLSRAVQGSLWAEAQKSGEASKAPEIDISELENLFSAALPTSDHGRKSTTQGSVAPKSDKVQLIDHRRAYNCEIMLSKVKVPLNELMKSVLALEDTALDADQVENLIKFCPTKEEMELLKGYTGEKEKLGKCEQFLLELMKVPRVESKLRVFSFKIQFSSQVSDLRNSLNVVNSASEEIRNSVKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLIETRARNHKMTLMHYLCKVLIDQLPEVLDFSKDLASLEPASKIQLKFLAEEMQAVSKGLEKVVQELSTSENDGPISENFRKILKEFLRFAEAEVRTLASLYSTVGRNVDALILYFGEDPARCPFEQVVSTLLNFVRMFIKAHDENCKQSEIEKKKAAESEKPKMGASKESERLSRNPIKSGNA >ONH96882 pep chromosome:Prunus_persica_NCBIv2:G7:16541655:16544305:1 gene:PRUPE_7G157100 transcript:ONH96882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCAVLPSARTELFNPVHESRFIAPTRQFQCNRSKCVMSRRGFAFRGVAASGLSVVGSSLTTQPVEGIERLPFKPEGYNFWTWRGHKIHYVVQGEGPPVVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALIEYDAMVWRDQVVDFMKEIVKEPTVLVGNSLGGFTALVAAAGLPEQVIGVALLNSAGQFGNPNSEAEKSEEETALQRFILKPLKQIFQRVILGFLFWQAKQPARVESVLKSVYKNTSNVDDYLVESITMPAADPNAGEVYYRLMTRFMTNQTKYTLDNVLSKMSCPLLLVWGDLDPWVGPAKANRIKEFYPNTTLVNLQAGHCPHDEVPELVNRALLDWLSTSTCEAEASLQTI >ONH97048 pep chromosome:Prunus_persica_NCBIv2:G7:17032076:17035102:1 gene:PRUPE_7G166600 transcript:ONH97048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESTLSSSQRPADEITTVSERSEVSDPVLEKLKSLKISTPILTSPPAEGSLTDILVRKPSSSSVSSIVNPKVLLELFSMYRDWQEEKVQKMSKRQEDIEDKIEVADALAVKLLQRFNYSVSAMKTSSQHLSEVHALQVEIGELKGRLTEVISNCDALCRRISVEGPESLRSSVKPFAVGTADQEFQSSSSDLQRDLNGSLPSAETKLD >ONH97047 pep chromosome:Prunus_persica_NCBIv2:G7:17032272:17035102:1 gene:PRUPE_7G166600 transcript:ONH97047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESTLSSSQRPADEITTVSERSEVSDPVLEKLKSLKISTPILTSPPAEGSLTDILVRKPSSSSVSSIVNPKVLLELFSMYRDWQEEKVQKMSKRQEDIEDKIEVADALAVKLLQRFNYSVSAMKTSSQHLSEVHALQVEIGELKGRLTEVISNCDALCRRISVEGPESLRSSVKPFAVGTADQEFQSSSSDLQRDLNGSLPSAETKLD >ONH97005 pep chromosome:Prunus_persica_NCBIv2:G7:16888994:16891008:1 gene:PRUPE_7G164100 transcript:ONH97005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKLIENHTNRQVTYSKRRNGIFKKAQELTVLCDARVSLIMLSNTGKMHEYISPTTTTKNMYDDYQKTLGVDLWSSHYQAMKDTLWKLKEINNKLRREIRQRVGHDLNGLTYDQLRSLEDKMASSLEAIRERKYHVLKTQTETCKKKVKNLQERRGNMLHGYFDQEVASEDPQYGYVDNEGEYESAVALANGASNLFTIHLHQDIRDHANLHHHGGSSLGSSITHLHDLRLA >ONH97006 pep chromosome:Prunus_persica_NCBIv2:G7:16889030:16891169:1 gene:PRUPE_7G164100 transcript:ONH97006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKLIENHTNRQVTYSKRRNGIFKKAQELTVLCDARVSLIMLSNTGKMHEYISPTTTTKNMYDDYQKTLGVDLWSSHYQAMKDTLWKLKEINNKLRREIRQRVGHDLNGLTYDQLRSLEDKMASSLEAIRERKYHVLKTQTETCKKKVKNLQERRGNMLHGYEVASEDPQYGYVDNEGEYESAVALANGASNLFTIHLHQDIRDHANLHHHGGSSLGSSITHLHDLRLA >ONH94945 pep chromosome:Prunus_persica_NCBIv2:G7:7729075:7734637:1 gene:PRUPE_7G041400 transcript:ONH94945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDLTEPPTVETLCPETPSSFSSSPPLSPKSATPCPQNPTQSPSSPSSVLATPLARLWRPTAQRNLRNQWSKLASYRQQWASSSSTARSHATALVNAYLSQKYMPSMELGALRDIPNIKKKASQKLFKQQVLHRGKLLSSYKHMVSVVIHMVNISRSMKCFLKGASSSPLVHFSGYSEDKNDPGDGGGIPVFTFWTISCFEEVAEELVQMFILELNLKRLLVVELLSISCKVPPLNSLHWSDELYPGEFSDLSICNLYSEETCKPVYPRLDDQKSGMPAARFNQQPDHDVLQVYLTTWLAEVNIDSDRVDEIFVEVGDEMHVMIS >ONH94946 pep chromosome:Prunus_persica_NCBIv2:G7:7729048:7734637:1 gene:PRUPE_7G041400 transcript:ONH94946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDLTEPPTVETLCPETPSSFSSSPPLSPKSATPCPQNPTQSPSSPSSVLATPLARLWRPTAQRNLRNQWSKLASYRQQWASSSSTARSHATALVNAYLSQKYMPSMELGALRDIPNIKKKASQKLFKQQVLHRGKLLSSYKHMVSVVIHMVNISRSMKCFLKGASSSPLVHFSGYSEDKNDPGDGGGIPVFTFWTISCFEEVAEELVQMFILELNLKRLLVVELLSISCKVPPLNSLHWSDELYPGEFSDLSICNLYSEETCKPVYPRLDDQKSGMPAARFNQQPDHDVLQVYLTTWLAEVNIDSDRVDEIFVEVGDEMHVMIS >ONH94944 pep chromosome:Prunus_persica_NCBIv2:G7:7729075:7734637:1 gene:PRUPE_7G041400 transcript:ONH94944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDLTEPPTVETLCPETPSSFSSSPPLSPKSATPCPQNPTQSPSSPSSVLATPLARLWRPTAQRNLRNQWSKLASYRQQWASSSSTARSHATALVNAYLSQKYMPSMELGALRDIPNIKKKASQKLFKQQVLHRGKLLSSYKHMVSVVIHMVNISRSMKCFLKGASSSPLVHFSGYSEDKNDPGDGGGIPVFTFWTISCFEEVAEELVQMFILELNLKRLLVVELLSISCKVPPLNSLHWSDELYPGEFSDLSICNLYSEETCKPVYPRLDDQKSGMPAARFNQQPDHDVLQVYLTTWLAEVNIDSDRVDEIFVEVGDEMHVMIS >ONH94943 pep chromosome:Prunus_persica_NCBIv2:G7:7729777:7734733:1 gene:PRUPE_7G041400 transcript:ONH94943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDLTEPPTVETLCPETPSSFSSSPPLSPKSATPCPQNPTQSPSSPSSVLATPLARLWRPTAQRNLRNQWSKLASYRQQWASSSSTARSHATALVNAYLSQKYMPSMELGALRDIPNIKKKASQKLFKQQVLHRGKLLSSYKHMVSVVIHMVNISRSMKCFLKGASSSPLVHFSGYSEDKNDPGDGGGIPVFTFWTISCFEEVAEELVQMFILELNLKRLLVVELLSISCKVPPLNSLHWSDELYPGEFSDLSICNLYSEETCKPVYPRLDDQKSGMPAARFNQQPDHDVLQVYLTTWLAEVNIDSDRVDEIFVEVGDEMHVMIS >ONH97908 pep chromosome:Prunus_persica_NCBIv2:G7:19621620:19622829:1 gene:PRUPE_7G217700 transcript:ONH97908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCEIEGNHLSAAAIIGHDGSVWAQSATFPQLKPEEVTGILNDFNEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKSTLALLIGIYDEPMTPGQCNMIVERLGDYLVEQGL >ONH97907 pep chromosome:Prunus_persica_NCBIv2:G7:19621619:19622816:1 gene:PRUPE_7G217700 transcript:ONH97907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDDHLMCEIEGNHLSAAAIIGHDGSVWAQSATFPQLKPEEVTGILNDFNEPGSLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKSTLALLIGIYDEPMTPGQCNMIVERLGDYLVEQGL >ONH97974 pep chromosome:Prunus_persica_NCBIv2:G7:19804746:19807255:1 gene:PRUPE_7G221600 transcript:ONH97974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSTCRNQRPKGLKVKLVFQIALLLAVCFWLLYQMKYSHDKAYSGSAENKVSEERGSNILGRKGNAGWSKDGGISGSEDVNLVEGSIKKEDGGVGDDMLGENAEENNEAESNKVDDHAHGKLGRPEGNEIERETEMQYKVLNITDDNSDVEDKGNDEPVGLNRNSLQEENSQGRHGDTQEIISDHDGEKDVKNISHDEVGEENEQNSQVNHDKQENEKDREKEPQRLEEFSTNKDISVQHSQGKSDTLKGPDSVVDGVHGFNDENGVPVDGNDLIESIVTGSSDDHAMVLHQEMNSSSNNQSETKENTIKEEVAAKEGTNGADFEAKSKILVEDSKIDVKPKVETSSGIGVDTNTSRIDSVSETTQRGSSVSDS >ONH97975 pep chromosome:Prunus_persica_NCBIv2:G7:19804882:19807189:1 gene:PRUPE_7G221600 transcript:ONH97975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSTCRNQRPKGLKVKLVFQIALLLAVCFWLLYQMKYSHDKAYSGSAENKVSEERGSNILGRKGNAGWSKDGGISGSEDVNLVEGSIKKEDGGVGDDMLGENAEENNEAESNKVDDHAHGKLGRPEGNEIERETEMQYKVLNITDDNSDVEDKGNDEPVGLNRNSLQEENSQGRHGDTQEIISDHDGEKDVKNISHDEVGEENEQNSQVNHDKQENEKDREKEPQRLEEFSTNKDISVQHSQGKSDTLKGPDSVVDGVHGFNDENGVPVDGNDLIESIVTGSSDDHAMVLHQEMNSSSNNQSETKENTIKEEVAAKEGTNGADFEAKSKILVEDSKIDVKPKVETSSGIGVDTNTSRIDSVSETTQRGSSVSDS >ONH97980 pep chromosome:Prunus_persica_NCBIv2:G7:19804778:19807216:1 gene:PRUPE_7G221600 transcript:ONH97980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGENAEENNEAESNKVDDHAHGKLGRPEGNEIERETEMQYKVLNITDDNSDVEDKGNDEPVGLNRNSLQEENSQGRHGDTQEIISDHDGEKDVKNISHDEVGEENEQNSQVNHDKQENEKDREKEPQRLEEFSTNKDISVQHSQGKSDTLKGPDSVVDGVHGFNDENGVPVDGNDLIESIVTGSSDDHAMVLHQEMNSSSNNQSETKENTIKEEVAAKEGTNGADFEAKSKILVEDSKIDVKPKVETSSGIGVDTNTSRIDSVSETTQRGSSVSDS >ONH97976 pep chromosome:Prunus_persica_NCBIv2:G7:19804746:19807189:1 gene:PRUPE_7G221600 transcript:ONH97976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSTCRNQRPKGLKVKLVFQIALLLAVCFWLLYQMKYSHDKAYSGSAENKVSEERGSNILGRKGNAGWSKDGGISGSEDVNLVEGSIKKEDGGVGDDMLGENAEENNEAESNKVDDHAHGKLGRPEGNEIERETEMQYKVLNITDDNSDVEDKGNDEPVGLNRNSLQEENSQGRHGDTQEIISDHDGEKDVKNISHDEVGEENEQNSQVNHDKQENEKDREKEPQRLEEFSTNKDISVQHSQGKSDTLKGPDSVVDGVHGFNDENGVPVDGNDLIESIVTGSSDDHAMVLHQEMNSSSNNQSETKENTIKEEVAAKEGTNGADFEAKSKILVEDSKIDVKPKVETSSGIGVDTNTSRIDSVSETTQRGSSVSDS >ONH97977 pep chromosome:Prunus_persica_NCBIv2:G7:19804777:19807189:1 gene:PRUPE_7G221600 transcript:ONH97977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSTCRNQRPKGLKVKLVFQIALLLAVCFWLLYQMKYSHDKAYSGSAENKVSEERGSNILGRKGNAGWSKDGGISGSEDVNLVEGSIKKEDGGVGDDMLGENAEENNEAESNKVDDHAHGKLGRPEGNEIERETEMQYKVLNITDDNSDVEDKGNDEPVGLNRNSLQEENSQGRHGDTQEIISDHDGEKDVKNISHDEVGEENEQNSQVNHDKQENEKDREKEPQRLEEFSTNKDISVQHSQGKSDTLKGPDSVVDGVHGFNDENGVPVDGNDLIESIVTGSSDDHAMVLHQEMNSSSNNQSETKENTIKEEVAAKEGTNGADFEAKSKILVEDSKIDVKPKVETSSGIGVDTNTSRIDSVSETTQRGSSVSDS >ONH97979 pep chromosome:Prunus_persica_NCBIv2:G7:19805218:19807189:1 gene:PRUPE_7G221600 transcript:ONH97979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGENAEENNEAESNKVDDHAHGKLGRPEGNEIERETEMQYKVLNITDDNSDVEDKGNDEPVGLNRNSLQEENSQGRHGDTQEIISDHDGEKDVKNISHDEVGEENEQNSQVNHDKQENEKDREKEPQRLEEFSTNKDISVQHSQGKSDTLKGPDSVVDGVHGFNDENGVPVDGNDLIESIVTGSSDDHAMVLHQEMNSSSNNQSETKENTIKEEVAAKEGTNGADFEAKSKILVEDSKIDVKPKVETSSGIGVDTNTSRIDSVSETTQRGSSVSDS >ONH97981 pep chromosome:Prunus_persica_NCBIv2:G7:19804759:19807216:1 gene:PRUPE_7G221600 transcript:ONH97981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGENAEENNEAESNKVDDHAHGKLGRPEGNEIERETEMQYKVLNITDDNSDVEDKGNDEPVGLNRNSLQEENSQGRHGDTQEIISDHDGEKDVKNISHDEVGEENEQNSQVNHDKQENEKDREKEPQRLEEFSTNKDISVQHSQGKSDTLKGPDSVVDGVHGFNDENGVPVDGNDLIESIVTGSSDDHAMVLHQEMNSSSNNQSETKENTIKEEVAAKEGTNGADFEAKSKILVEDSKIDVKPKVETSSGIGVDTNTSRIDSVSETTQRGSSVSDS >ONH97978 pep chromosome:Prunus_persica_NCBIv2:G7:19804882:19807189:1 gene:PRUPE_7G221600 transcript:ONH97978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSTCRNQRPKGLKVKLVFQIALLLAVCFWLLYQMKYSHDKAYSGSAENKVSEERGSNILGRKGNAGWSKDGGISGSEDVNLVEGSIKKEDGGVGDDMLGENAEENNEAESNKVDDHAHGKLGRPEGNEIERETEMQYKVLNITDDNSDVEDKGNDEPVGLNRNSLQEENSQGRHGDTQEIISDHDGEKDVKNISHDEVGEENEQNSQVNHDKQENEKDREKEPQRLEEFSTNKDISVQHSQGKSDTLKGPDSVVDGVHGFNDENGVPVDGNDLIESIVTGSSDDHAMVLHQEMNSSSNNQSETKENTIKEEVAAKEGTNGADFEAKSKILVEDSKIDVKPKVETSSGIGVDTNTSRIDSVSETTQRGSSVSDS >ONH98695 pep chromosome:Prunus_persica_NCBIv2:G7:21765620:21772619:1 gene:PRUPE_7G262100 transcript:ONH98695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH98698 pep chromosome:Prunus_persica_NCBIv2:G7:21766373:21772697:1 gene:PRUPE_7G262100 transcript:ONH98698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH98694 pep chromosome:Prunus_persica_NCBIv2:G7:21765620:21772619:1 gene:PRUPE_7G262100 transcript:ONH98694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH98697 pep chromosome:Prunus_persica_NCBIv2:G7:21765620:21772619:1 gene:PRUPE_7G262100 transcript:ONH98697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH98689 pep chromosome:Prunus_persica_NCBIv2:G7:21765620:21772654:1 gene:PRUPE_7G262100 transcript:ONH98689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH98692 pep chromosome:Prunus_persica_NCBIv2:G7:21765620:21772646:1 gene:PRUPE_7G262100 transcript:ONH98692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH98687 pep chromosome:Prunus_persica_NCBIv2:G7:21766906:21772619:1 gene:PRUPE_7G262100 transcript:ONH98687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH98693 pep chromosome:Prunus_persica_NCBIv2:G7:21765620:21772619:1 gene:PRUPE_7G262100 transcript:ONH98693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH98690 pep chromosome:Prunus_persica_NCBIv2:G7:21765620:21772630:1 gene:PRUPE_7G262100 transcript:ONH98690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH98691 pep chromosome:Prunus_persica_NCBIv2:G7:21765620:21772619:1 gene:PRUPE_7G262100 transcript:ONH98691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH98696 pep chromosome:Prunus_persica_NCBIv2:G7:21765620:21772619:1 gene:PRUPE_7G262100 transcript:ONH98696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH98688 pep chromosome:Prunus_persica_NCBIv2:G7:21766382:21772633:1 gene:PRUPE_7G262100 transcript:ONH98688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGAHGVAALHKYKYSGVDHSYTAKYVLQPFWSRFVKIFPLWMPPNMITLTGFMFLLTSALLGYIYSPRLDSAPPRWVNFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEGLAFGSTAMCGRTTFWFWVISAVPFYCATWEHYFTNTLILPAVNGPTEGLMLIYFAHFFTAIVGSEWWAQPFGKSLPFLSWVPFIHEITTYKAVLFLMVAFAVIPTLTFNVCNVYKVVQTKKGSMLLALAMLYPFAVLLGGVLAWGYLSPADIMGNYPHLVVVGTGLAFGYLVGRLILAHLCDEPKGLKTGMCMSLLYLPFAIANALTARLNDGVPLVDESLVLLGYCAFTATLYLHYATSVVHEITTALGIYCFRITRKEA >ONH96008 pep chromosome:Prunus_persica_NCBIv2:G7:13139172:13141909:1 gene:PRUPE_7G101500 transcript:ONH96008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASAEGGSPEVTLETSMGAFTVELYYKHAPRTCRNFIELSRRGYYDNVKFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIRTELKHTGAGILSMANAGPNTNGSQFFITLAPCPSLDGKHTIFGRVCKGMEIIKRLGSVQTDNNDRPVHDVKILRTSVKD >ONH98013 pep chromosome:Prunus_persica_NCBIv2:G7:19903160:19904278:1 gene:PRUPE_7G223300 transcript:ONH98013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIDGIQNYSKFEFDGKLNPTFVEGAFKLPLSSIRAYLKEPITPRLVRGGSAGITRPDRPGLDLSKQPPAVRLNKELDFILTFKLKQEEDLIRESGIPYTIVRPCALTEEPAGANLIFDQGDNITVKSVVPFSEPFTVDPQNPPPDKDYNVYFKTLKDGITGKEILEQDPVPV >ONH97220 pep chromosome:Prunus_persica_NCBIv2:G7:17544374:17547078:-1 gene:PRUPE_7G177300 transcript:ONH97220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKKKVDWSDLPKELWPNIGKCLDNSVGVFRFRSVCKLWRSSIPSFQRTILPPLPHMYSSCSSASARAAGTEIQAVLYLITVYRMEALVDGEPNSSKPWLVKFEESPSGKLRLRHPITNSPLRNSPDGSSKQFNLLDFGIVELSKSYALKYRLNDFTVDCMNKVIVLNPTHLDNFAVMMMHGEGQLYFARFGDEKWTLLKQKDSWFDDIIAYKGHCYVVDREGIVSCMNSEFKVIQFSPPLGRLGEQKHLVESCGDLYVVDRFTERQRLKMCKMLEHRDLIFGDLTAPYPETVSFKVYKLEREWGRWVEVNSLGDQVFVLSHDDSFSVSTRGVSRVKGNCILFTDQKRTYEPEFGGPRTLTRQCSVFNLENGSITNLAASPGYSPMLQPPSAWLSPD >ONH95531 pep chromosome:Prunus_persica_NCBIv2:G7:11268773:11273607:1 gene:PRUPE_7G075700 transcript:ONH95531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTDMEAQIHHLEQEAYCAVLRAFKAQSDAITWEKESLITELRKELRVSDDEHRELLTKVNSDDIISKIREWRKGGGHQAARLSASQPVYDLLPSPTVSASRKKQKTSQSHGQPFPGLSSMKSMQYPSTGPTLSRQLTGRSSSGPLVGNEPVEAATFDSLIGRKVWTRWPEDNSFYEAVITEYNRTEGRHALVYDINTANETWEWVDLKEISREDIRWEGEDPGLSHRGGHGGGQGGRRVKKSLNHGGVTPGAGRGRGPIKPQSKKEIHLSQNGVGKKMPDDLELLNTDTLVKEVERVFNANHPDPFELEKARKMLKDHEQALVDAIARLAYASDGESDGEHTFLHGRSMDG >ONH95532 pep chromosome:Prunus_persica_NCBIv2:G7:11267637:11272690:1 gene:PRUPE_7G075700 transcript:ONH95532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTDMEAQIHHLEQEAYCAVLRAFKAQSDAITWEKESLITELRKELRVSDDEHRELLTKVNSDDIISKIREWRKGGGHQAARLSASQPVYDLLPSPTVSASRKKQKTSQSHGQPFPGLSSMKSMQYPSTGPTLSRQLTGRSSSGPLVGNEPVEAATFDSLIGRKVWTRWPEDNSFYEAVITEYNRTEGRHALVYDINTANETWEWVDLKEISREDIRWEGEDPGLSHRGGHGGGQGGRRVKKSLNHGGVTPGAGRGRGPIKPQSKKEIHLSQNGVGKKMPDDLELLNTDTLVKEVERVFNANHPDPFELEKARKMLKDHEQALVDAIARLAYASDGESGNRYMCT >ONH95528 pep chromosome:Prunus_persica_NCBIv2:G7:11267637:11273607:1 gene:PRUPE_7G075700 transcript:ONH95528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTDMEAQIHHLEQEAYCAVLRAFKAQSDAITWEKESLITELRKELRVSDDEHRELLTKVNSDDIISKIREWRKGGGHQAARLSASQPVYDLLPSPTVSASRKKQKTSQSHGQPFPGLSSMKSMQYPSTGPTLSRQLTGRSSSGPLVGNEPVEAATFDSLIGRKVWTRWPEDNSFYEAVITEYNRTEGRHALVYDINTANETWEWVDLKEISREDIRWEGEDPGLSHRGGHGGGQGGRRVKKSLNHGGVTPGAGRGRGPIKPQSKKEIHLSQNGVGKKMPDDLELLNTDTLVKEVERVFNANHPDPFELEKARKMLKDHEQALVDAIARLAYASDGESADGEHTFLHGRSMDG >ONH95529 pep chromosome:Prunus_persica_NCBIv2:G7:11267637:11273607:1 gene:PRUPE_7G075700 transcript:ONH95529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTDMEAQIHHLEQEAYCAVLRAFKAQSDAITWEKESLITELRKELRVSDDEHRELLTKVNSDDIISKIREWRKGGGHQAARLSASQPVYDLLPSPTVSASRKKQKTSQSHGQPFPGLSSMKSMQYPSTGPTLSRQLTGRSSSGPLVGNEPVEAATFDSLIGRKVWTRWPEDNSFYEAVITEYNRTEGRHALVYDINTANETWEWVDLKEISREDIRWEGEDPGLSHRGGHGGGQGGRRVKKSLNHGGVTPGAGRGRGPIKPQSKKEIHLSQNGVGKKMPDDLELLNTDTLVKEVERVFNANHPDPFELEKARKMLKDHEQALVDAIARLAYASDGESDGEHTFLHGRSMDG >ONH95527 pep chromosome:Prunus_persica_NCBIv2:G7:11267637:11273607:1 gene:PRUPE_7G075700 transcript:ONH95527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTDMEAQIHHLEQEAYCAVLRAFKAQSDAITWEKESLITELRKELRVSDDEHRELLTKVNSDDIISKIREWRKGGGHQAARLSASQPVYDLLPSPTVSASRKKQKTSQSHGQPFPGLSSMKSMQYPSTGPTLSRQLTGRSSSGPLVGNEPVEAATFDSLIGRKVWTRWPEDNSFYEAVITEYNRTEGRHALVYDINTANETWEWVDLKEISREDIRWEGEDPGLSHRGGHGGGQGGRRVKKSLNHGGVTPGAGRGRGPIKPQSKKEIHLSQNGVGKKMPDDLELLNTDTLVKEVERVFNANHPDPFELEKARKMLKDHEQALVDAIARLAYASDGESADGEHTFLHGRSMDG >ONH95524 pep chromosome:Prunus_persica_NCBIv2:G7:11267637:11273870:1 gene:PRUPE_7G075700 transcript:ONH95524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDISDSSGENSLNLYNYLESGSRISRDFGTDDDLPPSHQNRIPRGAHVTAKERSAVGSISFSRMYTDMEAQIHHLEQEAYCAVLRAFKAQSDAITWEKESLITELRKELRVSDDEHRELLTKVNSDDIISKIREWRKGGGHQAARLSASQPVYDLLPSPTVSASRKKQKTSQSHGQPFPGLSSMKSMQYPSTGPTLSRQLTGRSSSGPLVGNEPVEAATFDSLIGRKVWTRWPEDNSFYEAVITEYNRTEGRHALVYDINTANETWEWVDLKEISREDIRWEGEDPGLSHRGGHGGGQGGRRVKKSLNHGGVTPGAGRGRGPIKPQSKKEIHLSQNGVGKKMPDDLELLNTDTLVKEVERVFNANHPDPFELEKARKMLKDHEQALVDAIARLAYASDGESDGEHTFLHGRSMDG >ONH95526 pep chromosome:Prunus_persica_NCBIv2:G7:11267637:11274053:1 gene:PRUPE_7G075700 transcript:ONH95526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDISDSSGTDDDLPPSHQNRIPRGAHVTAKERSAVGSISFSRMYTDMEAQIHHLEQEAYCAVLRAFKAQSDAITWEKESLITELRKELRVSDDEHRELLTKVNSDDIISKIREWRKGGGHQAARLSASQPVYDLLPSPTVSASRKKQKTSQSHGQPFPGLSSMKSMQYPSTGPTLSRQLTGRSSSGPLVGNEPVEAATFDSLIGRKVWTRWPEDNSFYEAVITEYNRTEGRHALVYDINTANETWEWVDLKEISREDIRWEGEDPGLSHRGGHGGGQGGRRVKKSLNHGGVTPGAGRGRGPIKPQSKKEIHLSQNGVGKKMPDDLELLNTDTLVKEVERVFNANHPDPFELEKARKMLKDHEQALVDAIARLAYASDGESDGEHTFLHGRSMDG >ONH95525 pep chromosome:Prunus_persica_NCBIv2:G7:11267637:11273607:1 gene:PRUPE_7G075700 transcript:ONH95525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDISDSSGTDDDLPPSHQNRIPRGAHVTAKERSAVGSISFSRMYTDMEAQIHHLEQEAYCAVLRAFKAQSDAITWEKESLITELRKELRVSDDEHRELLTKVNSDDIISKIREWRKGGGHQAARLSASQPVYDLLPSPTVSASRKKQKTSQSHGQPFPGLSSMKSMQYPSTGPTLSRQLTGRSSSGPLVGNEPVEAATFDSLIGRKVWTRWPEDNSFYEAVITEYNRTEGRHALVYDINTANETWEWVDLKEISREDIRWEGEDPGLSHRGGHGGGQGGRRVKKSLNHGGVTPGAGRGRGPIKPQSKKEIHLSQNGVGKKMPDDLELLNTDTLVKEVERVFNANHPDPFELEKARKMLKDHEQALVDAIARLAYASDGESADGEHTFLHGRSMDG >ONH95530 pep chromosome:Prunus_persica_NCBIv2:G7:11267637:11273607:1 gene:PRUPE_7G075700 transcript:ONH95530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTDMEAQIHHLEQEAYCAVLRAFKAQSDAITWEKESLITELRKELRVSDDEHRELLTKVNSDDIISKIREWRKGGGHQAARLSASQPVYDLLPSPTVSASRKKQKTSQSHGQPFPGLSSMKSMQYPSTGPTLSRQLTGRSSSGPLVGNEPVEAATFDSLIGRKVWTRWPEDNSFYEAVITEYNRTEGRHALVYDINTANETWEWVDLKEISREDIRWEGEDPGLSHRGGHGGGQGGRRVKKSLNHGGVTPGAGRGRGPIKPQSKKEIHLSQNGVGKKMPDDLELLNTDTLVKEVERVFNANHPDPFELEKARKMLKDHEQALVDAIARLAYASDGESDGEHTFLHGRSMDG >ONH94457 pep chromosome:Prunus_persica_NCBIv2:G7:2580982:2585519:1 gene:PRUPE_7G017800 transcript:ONH94457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERHASSLAVNTRKSLFCLFILTSTLFILSWFFVLRSTGSPHFIDHKLLPNSKLLAMIDNGISGSESQNDVEPSVGNRSILVDKEEEEKPTISSQDKEASQANNDVKCNTNGKLALKVFMYDLPHEFHFGLLDWKPQGRSVWPDLQTKVPAYPGGLNLQHSIEYWLTLDILASELPNPPNVRTAIRVQNSSEADIIFIPFFSSLSYNRFSKINPHQKKSNNRLLQDKLVKYVTAQQEWKISGGRDHLIVAHHPNSLLDGRMKLWPATFILSDFGRYPPNIANVEKDIIAPYKHVIKSYVNDSSTFDSRPTLLYFQGAIYRKDGGFVRQELFYLLQDEKDVHFTFGSVQKDGIKKASQGMHTSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSEFCIFVRTRDALKKNFLVNLTRSIGMDEWTQMWRRLQEVQKFYEFQYPSKEGDAVQMIWQAVARKVPAIRMKLNKSRRFSRSYVRKEKGLSRIPSPSNFW >ONH94456 pep chromosome:Prunus_persica_NCBIv2:G7:2580982:2585589:1 gene:PRUPE_7G017800 transcript:ONH94456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERHASSLAVNTRKSLFCLFILTSTLFILSWFFVLRSTGSPHFIDHKLLPNSKLLAMIDNGISGSESQNDVEPSVGNRSILVDKEEEEKPTISSQDKEASQANNDVKCNTNGKLALKVFMYDLPHEFHFGLLDWKPQGRSVWPDLQTKVPAYPGGLNLQHSIEYWLTLDILASELPNPPNVRTAIRVQNSSEADIIFIPFFSSLSYNRFSKINPHQKKSNNRLLQDKLVKYVTAQQEWKISGGRDHLIVAHHPNSLLDGRMKLWPATFILSDFGRYPPNIANVEKDIIAPYKHVIKSYVNDSSTFDSRPTLLYFQGAIYRKDGGFVRQELFYLLQDEKDVHFTFGSVQKDGIKKASQGMHTSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSEFCIFVRTRDALKKNFLVNLTRSIGMDEWTQMWRRLQEVQKFYEFQYPSKEGDAVQMIWQAVARKVPAIRMKLNKSRRFSRSYVRKEKGLSRIPSPSNFW >ONH95329 pep chromosome:Prunus_persica_NCBIv2:G7:10311714:10313904:1 gene:PRUPE_7G064600 transcript:ONH95329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPTKPSPKIPSFFKVLVGEFSQRLSIPPAFMYHVNGRLSPKCTLRDPSGDCWTVGLEVRGDRFFFHEGWQRFVKDHSLEIANVLVFDYDGKSKFDVTLYDPIGSEKELEPAKKRRGNRARVKEEIIELDTEEPDKDSEEDARMSNIRKRKSFKSGKRIARTDGGNETSNAPIVFRSKHLCFIRAMRKNRYRMNFPKELAVAKGLIRKKSVRVEDPHGISWDVKLRLDEKEHNGGRLLMTKGWSECCYANNISLGDTLVFELVNAMHGGMKMHIFRGNSYVVLDASCVKYQGYVVENLALQ >ONH95330 pep chromosome:Prunus_persica_NCBIv2:G7:10312270:10313904:1 gene:PRUPE_7G064600 transcript:ONH95330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHVNGRLSPKCTLRDPSGDCWTVGLEVRGDRFFFHEGWQRFVKDHSLEIANVLVFDYDGKSKFDVTLYDPIGSEKELEPAKKRRGNRARVKEEIIELDTEEPDKDSEEDARMSNIRKRKSFKSGKRIARTDGGNETSNAPIVFRSKHLCFIRAMRKNRYRMNFPKELAVAKGLIRKKSVRVEDPHGISWDVKLRLDEKEHNGGRLLMTKGWSECCYANNISLGDTLVFELVNAMHGGMKMHIFRGNSYVVLDASCVKYQGYVVENLALQ >ONH98550 pep chromosome:Prunus_persica_NCBIv2:G7:21366298:21369307:-1 gene:PRUPE_7G253600 transcript:ONH98550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSHRLTTWVLTIFLIFQSGYGWYLPGSYPHKYVVGDTLGVKVNSLTSIDTEIPFSYYSLPFCQPQDGVKDSAENLGELLMGDRIENSPYQFKMYTNESEIFLCHTGPLSGDQFNLLKKRIDEMYQVNLILDNLPAIRYTKKEGFVLRWTGYPVGIKVKDVYYVFNHLKFKVLVHKYEEPNVARVMGTGDGAEVIPTVAKSDSDVPGYIIVGFEVIPCSFMHNADSVKKSKMYEKYPAPIKCDPTTVAMPVNEKQPIVFTYEVEFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNASLLCIMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYMILGIAAGYVAVRLWRTIGCGDHKGWVSVSWKVACFFPGIAFLILTTLNFLLWGSHSTGAIPFSLFVILLLLWFCISVPLTLIGGYLGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVVAIMLATGTVGFLSSFWFVHYLFSSVKLD >ONH94487 pep chromosome:Prunus_persica_NCBIv2:G7:2785673:2799549:1 gene:PRUPE_7G018700 transcript:ONH94487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHANSPEGYVQAKVSSVAAQLLKRGWLEFSATDKEAFFYQVNQAVYGIHGVDVQFAGINFLESLVSEFSPSTSSAMGLPREFHEHCRKSLELDHLKTFYCWARDAALSVTNRIIESDSAIPEVKVCTAAFRLMLQILNWEFSTTAFADGVKQGSDSPKRSECNLVQPGPAWRDVLVTGGHIGWLLSLYGALRQKFSCEGYWLDCPIAVAARKLIVQFCSLTGTVFLSDNVQMHEHHLLELLSGIIQWIDPPDAVSKAIECGKSESEMLDGCRALLSIATVTTPSVFDQLLKSTRPYGTLTLLCVLMSEVVKNLMTNNSEEETWSWEARDILLDTWTALLVPINRSGGNALLPAEGKNATASLFALIVQAELKAASASAFKDDDSDYLQASIVALDERLSSYALIARAAIDVTIPLLTRLFTERFERLNQGRGIIDPTETLEELYSLLLITGHVIADEGEGETPLIPNAIQIHFPQNLEAENHPLVILCSSIIRFAEKSLEPEMRASVFSPRLMEAVIWFIARWSCTYLMSREENRERNSRNILLKFFGEHNQGKFVLDIIVRISLTALMSYPGEKDLQALTCFQLLNALVQQKHICVHLVALDSWRDLANAFANEKTLFLLNTAHQRSLSQTLVHSASGLRNSEASNLYVRDLMGHMATYLVEMSSKSDFKSIAQQPDIILPVSCLLERLRGAASASEPRTQKAIYELGFSVMNPVLVLLEVYKHESAVVYLILKFVVSWVDGQISYLEAQETAIVVNFCMSLLQLYSSNNIGKISISLSTSLLTEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSTATHATNISQVVYFGLHIVTPLLSLDLLKYPKFCNDYFSLLSHLLEVYPETVAQLNGEAFSHVLGTLDFGLHHQDVEIVDMCLRALRALASYHYVETSAGKVGLGSHAAGLKDPGGNFKEGILSRFLRSVLQLLLFEDYSPDLVSSAADALLPLILCEQSLYQRLGSELIERQANATLKSRLTNALQCLTSANQLSSTLDRKNYQVFRKNLNSFLIDVRGFLRTM >ONH94488 pep chromosome:Prunus_persica_NCBIv2:G7:2785673:2799549:1 gene:PRUPE_7G018700 transcript:ONH94488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHANSPEGYVQAKVSSVAAQLLKRGWLEFSATDKEAFFYQVNQAVYGIHGVDVQFAGINFLESLVSEFSPSTSSAMGLPREFHEHCRKSLELDHLKTFYCWARDAALSVTNRIIESDSAIPEVKVCTAAFRLMLQILNWEFSTTAFADGVKQGSDSPKRSECNLVQPGPAWRDVLVTGGHIGWLLSLYGALRQKFSCEGYWLDCPIAVAARKLIVQFCSLTGTVFLSDNVQMHEHHLLELLSGIIQWIDPPDAVSKAIECGKSESEMLDGCRALLSIATVTTPSVFDQLLKSTRPYGTLTLLCVLMSEVVKNLMTNNSEEETWSWEARDILLDTWTALLVPINRSGGNALLPAEGKNATASLFALIVQAELKAASASAFKDDDSDYLQASIVALDERLSSYALIARAAIDVTIPLLTRLFTERFERLNQGRGIIDPTETLEELYSLLLITGHVIADEGEGETPLIPNAIQIHFPQNLEAENHPLVILCSSIIRFAEKSLEPEMRASVFSPRLMEAVIWFIARWSCTYLMSREENRERNSRNILLKFFGEHNQGKFVLDIIVRISLTALMSYPGEKDLQALTCFQLLNALVQQKHICVHLVALDSWRDLANAFANEKTLFLLNTAHQRSLSQTLVHSASGLRNSEASNLYVRDLMGHMATYLVEMSSKSDFKSIAQQPDIILPVSCLLERLRGAASASEPRTQKAIYELGFSVMNPVLVLLEVYKHEISISLSTSLLTEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSTATHATNISQVVYFGLHIVTPLLSLDLLKYPKFCNDYFSLLSHLLEVYPETVAQLNGEAFSHVLGTLDFGLHHQDVEIVDMCLRALRALASYHYVETSAGKVGLGSHAAGLKDPGGNFKEGILSRFLRSVLQLLLFEDYSPDLVSSAADALLPLILCEQSLYQRLGSELIERQANATLKSRLTNALQCLTSANQLSSTLDRKNYQVFRKNLNSFLIDVRGFLRTM >ONH94485 pep chromosome:Prunus_persica_NCBIv2:G7:2785673:2799549:1 gene:PRUPE_7G018700 transcript:ONH94485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFPERGKAADLGQLQSTMHSIELACTSIQMHMNSAAAEATILSLSQTPQPYQACKFILENSQVANARFQAAAAIRNAAIREWGFLSSDNKRSMISFCLCFVMQHANSPEGYVQAKVSSVAAQLLKRGWLEFSATDKEAFFYQVNQAVYGIHGVDVQFAGINFLESLVSEFSPSTSSAMGLPREFHEHCRKSLELDHLKTFYCWARDAALSVTNRIIESDSAIPEVKVCTAAFRLMLQILNWEFSTTAFADGVKQGSDSPKRSECNLVQPGPAWRDVLVTGGHIGWLLSLYGALRQKFSCEGYWLDCPIAVAARKLIVQFCSLTGTVFLSDNVQMHEHHLLELLSGIIQWIDPPDAVSKAIECGKSESEMLDGCRALLSIATVTTPSVFDQLLKSTRPYGTLTLLCVLMSEVVKNLMTNNSEEETWSWEARDILLDTWTALLVPINRSGGNALLPAEGKNATASLFALIVQAELKAASASAFKDDDSDYLQASIVALDERLSSYALIARAAIDVTIPLLTRLFTERFERLNQGRGIIDPTETLEELYSLLLITGHVIADEGEGETPLIPNAIQIHFPQNLEAENHPLVILCSSIIRFAEKSLEPEMRASVFSPRLMEAVIWFIARWSCTYLMSREENRERNSRNILLKFFGEHNQGKFVLDIIVRISLTALMSYPGEKDLQALTCFQLLNALVQQKHICVHLVALDSWRDLANAFANEKTLFLLNTAHQRSLSQTLVHSASGLRNSEASNLYVRDLMGHMATYLVEMSSKSDFKSIAQQPDIILPVSCLLERLRGAASASEPRTQKAIYELGFSVMNPVLVLLEVYKHEISISLSTSLLTEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSTATHATNISQVVYFGLHIVTPLLSLDLLKYPKFCNDYFSLLSHLLEVYPETVAQLNGEAFSHVLGTLDFGLHHQDVEIVDMCLRALRALASYHYVETSAGKVGLGSHAAGLKDPGGNFKEGILSRFLRSVLQLLLFEDYSPDLVSSAADALLPLILCEQSLYQRLGSELIERQANATLKSRLTNALQCLTSANQLSSTLDRKNYQVFRKNLNSFLIDVRGFLRTM >ONH94483 pep chromosome:Prunus_persica_NCBIv2:G7:2785673:2799549:1 gene:PRUPE_7G018700 transcript:ONH94483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFPERGKAADLGQLQSTMHSIELACTSIQMHMNSAAAEATILSLSQTPQPYQACKFILENSQVANARFQAAAAIRNAAIREWGFLSSDNKRSMISFCLCFVMQHANSPEGYVQAKVSSVAAQLLKRGWLEFSATDKEAFFYQVNQAVYGIHGVDVQFAGINFLESLVSEFSPSTSSAMGLPREFHEHCRKSLELDHLKTFYCWARDAALSVTNRIIESDSAIPEVKVCTAAFRLMLQILNWEFSTTAFADGVKQGSDSPKRSECNLVQPGPAWRDVLVTGGHIGWLLSLYGALRQKFSCEGYWLDCPIAVAARKLIVQFCSLTGTVFLSDNVQMHEHHLLELLSGIIQWIDPPDAVSKAIECGKSESEMLDGCRALLSIATVTTPSVFDQLLKSTRPYGTLTLLCVLMSEVVKNLMTNNSEEETWSWEARDILLDTWTALLVPINRSGGNALLPAEGKNATASLFALIVQAELKAASASAFKDDDSDYLQASIVALDERLSSYALIARAAIDVTIPLLTRLFTERFERLNQGRGIIDPTETLEELYSLLLITGHVIADEGEGETPLIPNAIQIHFPQNLEAENHPLVILCSSIIRFAEKSLEPEMRASVFSPRLMEAVIWFIARWSCTYLMSREENRERNSRNILLKFFGEHNQGKFVLDIIVRISLTALMSYPGEKDLQALTCFQLLNALVQQKHICVHLVALDSWRDLANAFANEKTLFLLNTAHQRSLSQTLVHSASGLRNSEASNLYVRDLMGHMATYLVEMSSKSDFKSIAQQPDIILPVSCLLERLRGAASASEPRTQKAIYELGFSVMNPVLVLLEVYKHESAVVYLILKFVVSWVDGQISYLEAQETAIVVNFCMSLLQLYSSNNIGKISISLSTSLLTEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSTATHATNISQVVYFGLHIVTPLLSLDLLKYPKFCNDYFSLLSHLLEVYPETVAQLNGEAFSHVLGTLDFGLHHQDVEIVDMCLRALRALASYHYVETSAGKVGLGSHAAGLKDPGGNFKEGILSRFLRSVLQLLLFEDYSPDLVSSAADALLPLILCEQSLYQRLGSELIERQANATLKSRLTNALQCLTSANQLSSTLDRKNYQVFRKNLNSFLIDVRGFLRTM >ONH94484 pep chromosome:Prunus_persica_NCBIv2:G7:2785673:2799549:1 gene:PRUPE_7G018700 transcript:ONH94484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFPERGKAADLGQLQSTMHSIELACTSIQMHMNSAAAEATILSLSQTPQPYQACKFILENSQVANARFQAAAAIRNAAIREWGFLSSDNKRSMISFCLCFVMQHANSPEGYVQAKVSSVAAQLLKRGWLEFSATDKEAFFYQVNQAVYGIHGVDVQFAGINFLESLVSEFSPSTSSAMGLPREFHEHCRKSLELDHLKTFYCWARDAALSVTNRIIESDSAIPEVKVCTAAFRLMLQILNWEFSTTAFADGVKQGSDSPKRSECNLVQPGPAWRDVLVTGGHIGWLLSLYGALRQKFSCEGYWLDCPIAVAARKLIVQFCSLTGTVFLSDNVQMHEHHLLELLSGIIQWIDPPDAVSKAIECGKSESEMLDGCRALLSIATVTTPSVFDQLLKSTRPYGTLTLLCVLMSEVVKNLMTNNSEEETWSWEARDILLDTWTALLVPINRSGGNALLPAEGKNATASLFALIVQAELKAASASAFKDDDSDYLQASIVALDERLSSYALIARAAIDVTIPLLTRLFTERFERLNQGRGIIDPTETLEELYSLLLITGHVIADEGEGETPLIPNAIQIHFPQNLEAENHPLVILCSSIIRFAEKSLEPEMRASVFSPRLMEAVIWFIARWSCTYLMSREENRERNSRNILLKFFGEHNQGKFVLDIIVRISLTALMSYPGEKDLQALTCFQLLNALVQQKHICVHLVALDSWRDLANAFANEKTLFLLNTAHQRSLSQTLVHSASGLRNSEASNLYVRDLMGHMATYLVEMSSKSDFKSIAQQPDIILPVSCLLERLRGAASASEPRTQKAIYELGFSVMNPVLVLLEVYKHESAVVYLILKFVVSWVDGQISYLEAQETAIVVNFCMSLLQLYSSNNIGKISISLSTSLLTEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSTATHATNISQVVYFGLHIVTPLLSLDLLKYPKFCNDYFSLLSHLLEVYPETVAQLNGEAFSHVLGTLDFGLHHQDVEIVDMCLRALRALASYHYVETSAGKVGLGSHAAGLKDPGGNFKEGILSRFLRSVLQLLLFEDYSPDLVSSAADALLPLILCEQSLYQRLGSELIERQANATLKSRLTNALQCLTSANQLSSTLDRKNYQVFRKNLNSFLIDVRGFLRTM >ONH94486 pep chromosome:Prunus_persica_NCBIv2:G7:2785673:2799549:1 gene:PRUPE_7G018700 transcript:ONH94486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFPERGKAADLGQLQSTMHSIELACTSIQMHMNSAAAEATILSLSQTPQPYQACKFILENSQVANARFQAAAAIRNAAIREWGFLSSDNKRSMISFCLCFVMQHANSPEGYVQAKVSSVAAQLLKRGWLEFSATDKEAFFYQVNQAVYGIHGVDVQFAGINFLESLVSEFSPSTSSAMGLPREFHEHCRKSLELDHLKTFYCWARDAALSVTNRIIESDSAIPEVKVCTAAFRLMLQILNWEFSTTAFADGVKQGSDSPKRSECNLVQPGPAWRDVLVTGGHIGWLLSLYGALRQKFSCEGYWLDCPIAVAARKLIVQFCSLTGTVFLSDNVQMHEHHLLELLSGIIQWIDPPDAVSKAIECGKSESEMLDGCRALLSIATVTTPSVFDQLLKSTRPYGTLTLLCVLMSEVVKNLMTNNSEEETWSWEARDILLDTWTALLVPINRSGGNALLPAEGKNATASLFALIVQAELKAASASAFKDDDSDYLQASIVALDERLSSYALIARAAIDVTIPLLTRLFTERFERLNQGRGIIDPTETLEELYSLLLITGHVIADEGEGETPLIPNAIQIHFPQNLEAENHPLVILCSSIIRFAEKSLEPEMRASVFSPRLMEAVIWFIARWSCTYLMSREENRERNSRNILLKFFGEHNQGKFVLDIIVRISLTALMSYPGEKDLQALTCFQLLNALVQQKHICVHLVALDSWRDLANAFANEKTLFLLNTAHQRSLSQTLVHSASGLRNSEASNLYVRDLMGHMATYLVEMSSKSDFKSIAQQPDIILPVSCLLERLRGAASASEPRTQKAIYELGFSVMNPVLVLLEVYKHEISISLSTSLLTEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSTATHATNISQVVYFGLHIVTPLLSLDLLKYPKFCNDYFSLLSHLLEVYPETVAQLNGEAFSHVLGTLDFGLHHQDVEIVDMCLRALRALASYHYVETSAGKVGLGSHAAGLKDPGGNFKEGILSRFLRSVLQLLLFEDYSPDLVSSAADALLPLILCEQSLYQRLGSELIERQANATLKSRLTNALQCLTSANQLSSTLDRKNYQVFRKNLNSFLIDVRGFLRTM >ONH98041 pep chromosome:Prunus_persica_NCBIv2:G7:19964274:19969083:-1 gene:PRUPE_7G224800 transcript:ONH98041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIVGGKYKLGRKIGSGSFGEIFLATHTETFEIVAVKIENSKTKHPQLLYEAKLYNILQGGSGIPNIKWSGIDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEFVHSKGFLHRDIKPDNFLMGLSRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSQTFDQRPDYGFLKRLFRDLFTREGYEFDYIFDWTIIKYQQSQNNRTQSQLSPVPGRSNSHAMPVRVDNHQGGASASYPAEVTDRIRSNNATGPGVRMQFKPSTGRNLNYDNPLEKNISNEAYQPSTSFSGTSRRNSTKPILPTEAANTGHGHGSKVGPSSSWIQSLQRMSSAK >ONH98040 pep chromosome:Prunus_persica_NCBIv2:G7:19964383:19969083:-1 gene:PRUPE_7G224800 transcript:ONH98040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIVGGKYKLGRKIGSGSFGEIFLATHTETFEIVAVKIENSKTKHPQLLYEAKLYNILQGGSGIPNIKWSGIDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEFVHSKGFLHRDIKPDNFLMGLSRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSQTFDQRPDYGFLKRLFRDLFTREGYEFDYIFDWTIIKYQQSQNNRTQSQLSPVPGRSNSHAMPVRVDNHQGGASASYPAEVTDRIRSNNATGPGVRMQFKPSTGRNLNYDNPLEKNISNEAYQPSTSFSGTSRRNSTKPILPTEAANTGHGHGSKVGPSSSWIQSLQRMSSAK >ONH94528 pep chromosome:Prunus_persica_NCBIv2:G7:3137676:3142818:1 gene:PRUPE_7G021100 transcript:ONH94528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSLGQDSVPGFGSFDKSRVLDVRPLRRLVPVFPSASSSSSFSTPQGAAPFVCASPAGPFPPGVSPFFPFYISAESQRPPEQNQQTPSGIGNQNTPFVFNNPISNAVPINSFRTPPSSTARVTPNQYTGTSNGDTTPSRRITRSRAQPQSQSGIAEEDGFNTSVTDGENTRKGGRSKSKFQSQKRTRGGQDINVALPDVDVDAIVDNILTSYTDTFRHADGNKESVGYALVFYDLLRRRITQLEEMKEKIPGASRTGRPDLRAGTLFMNKGIRTNTKRRIGAVPGVEVGDIFFFRMELCLVGLHAPTMGGIDYMGVKNSAEDEPLALSIVSSGGYEDSVEDANVLIYSGQGGNASNDNRNNREMKDQKLERGNLALEKSLHRSNDVRVIRGIKDVSNPTGKVYVYDGLYKIHESWVDKGKSGCSVFKYKLVRLPGQPEAFTIWKSIEQWKVETTTTRVGLILPDLTSGAENLPVSLVNDVDGEKGPAHFTYISSLQYSKPVNLTESSAGCTCIGGCLPGNSNCSCIKKNGGFLPYTANGLLVNQTPLLHECGLSCQCPSNCRNRVSQGGLKIRLEVFKTKDKGWGLRSWDPIRAGSFLCEYAGQVLNISGVEELGGDYEDDYIFDATRTCEPLGVLPGDSTETPKVPFPLIISANTAGNVARFMNHSCSPNVFWQPVLRENKNESDLHIAFYAVGHIPPMTELTYDYGLVPHEKAYQRKKVCLCGSVKCRGSFN >ONH94527 pep chromosome:Prunus_persica_NCBIv2:G7:3137630:3142818:1 gene:PRUPE_7G021100 transcript:ONH94527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSLGQDSVPGFGSFDKSRVLDVRPLRRLVPVFPSASSSSSFSTPQGAAPFVCASPAGPFPPGVSPFFPFYISAESQRPPEQNQQTPSGIGNQNTPFVFNNPISNAVPINSFRTPPSSTARVTPNQYTGTSNGDTTPSRRITRSRAQPQSQSGIAEEDGFNTSVTDGENTRKGGRSKSKFQSQKRTRGGQDINVALPDVDVDAIVDNILTSYTDTFRHADGNKESVGYALVFYDLLRRRITQLEEMKEKIPGASRTGRPDLRAGTLFMNKGIRTNTKRRIGAVPGVEVGDIFFFRMELCLVGLHAPTMGGIDYMGVKNSAEDEPLALSIVSSGGYEDSVEDANVLIYSGQGGNASNDNRNNREMKDQKLERGNLALEKSLHRSNDVRVIRGIKDVSNPTGKVYVYDGLYKIHESWVDKGKSGCSVFKYKLVRLPGQPEAFTIWKSIEQWKVETTTTRVGLILPDLTSGAENLPVSLVNDVDGEKGPAHFTYISSLQYSKPVNLTESSAGCTCIGGCLPGNSNCSCIKKNGGFLPYTANGLLVNQTPLLHECGLSCQCPSNCRNRVSQGGLKIRLEVFKTKDKGWGLRSWDPIRAGSFLCEYAGQVLNISGVEELGGDYEDDYIFDATRTCEPLGVLPGDSTETPKVPFPLIISANTAGNVARFMNHSCSPNVFWQPVLRENKNESDLHIAFYAVGHIPPMTELTYDYGLVPHEKAYQRKKVCLCGSVKCRGSFN >ONH97998 pep chromosome:Prunus_persica_NCBIv2:G7:19846193:19849185:1 gene:PRUPE_7G222600 transcript:ONH97998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARHQLRDAVDLLYSRGCATAEPYTRLVLECVRADDVSQAKRLQSHMDLHLFQPTKTFLHNRILQLYAKSGNLGDARDLFDKMPKKDVYSWNAMLSAYAKSGSVEELGTIFDQMPSRDLVSYNTVIAGATANGCWGKAFEVFVKMQEEGFEPSEHTFVSVLKACTRLLGFRLGKQIHGRVVVGNMEGNVFLWNALIDMYAKCGDIDRARWLFDWLVGKNAVSWNLIISGYLKNGQPEKCIDLFHEMQSSGLKPDQVTVSNILRAYFQSGNIVEARKVFDEIREKDKICWTTMIVGYAQNGREEDALILFGEMLLENVRPDSFTISSVVSSCAKLSSLYYGQVVHGKAVHMGVADQLLVSSALVDMYCKCGVTADAWVVFTLMPFRNVVSWNAMITGYAQNGKDLEALALYENMLQENIVPNNVTFIGVLSACVHANLIERGQKYFDSISERSGMEPTMDHYACMVCLLGHSGYINKAVDLIRSMPHEPNSLIWSTLLSVCAMTGDIEHGEMAARHLFELEPLGAEPYIMLSNMYATCGRWKDVACMRSLMKDRNVKKFAAYSWIEIDNEVHKFASEDRTHPEAKEIYEELNTLIRKLQETGFTPDTKLVLHDVGEEEKFESICYHSEKLALAFGLTKKPHGSIPIRIIKNIRVCGDCHEFMKLVSQATGRLIILRDSKRFHHFIDGHCSCKNCW >ONH97999 pep chromosome:Prunus_persica_NCBIv2:G7:19846193:19849678:1 gene:PRUPE_7G222600 transcript:ONH97999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARHQLRDAVDLLYSRGCATAEPYTRLVLECVRADDVSQAKRLQSHMDLHLFQPTKTFLHNRILQLYAKSGNLGDARDLFDKMPKKDVYSWNAMLSAYAKSGSVEELGTIFDQMPSRDLVSYNTVIAGATANGCWGKAFEVFVKMQEEGFEPSEHTFVSVLKACTRLLGFRLGKQIHGRVVVGNMEGNVFLWNALIDMYAKCGDIDRARWLFDWLVGKNAVSWNLIISGYLKNGQPEKCIDLFHEMQSSGLKPDQVTVSNILRAYFQSGNIVEARKVFDEIREKDKICWTTMIVGYAQNGREEDALILFGEMLLENVRPDSFTISSVVSSCAKLSSLYYGQVVHGKAVHMGVADQLLVSSALVDMYCKCGVTADAWVVFTLMPFRNVVSWNAMITGYAQNGKDLEALALYENMLQENIVPNNVTFIGVLSACVHANLIERGQKYFDSISERSGMEPTMDHYACMVCLLGHSGYINKAVDLIRSMPHEPNSLIWSTLLSVCAMTGDIEHGEMAARHLFELEPLGAEPYIMLSNMYATCGRWKDVACMRSLMKDRNVKKFAAYSWIEIDNEVHKFASEDRTHPEAKEIYEELNTLIRKLQETGFTPDTKLVLHDVGEEEKFESICYHSEKLALAFGLTKKPHGSIPIRIIKNIRVCGDCHEFMKLVSQATGRLIILRDSKRFHHFIDGHCSCKNCW >ONH95454 pep chromosome:Prunus_persica_NCBIv2:G7:10917225:10919558:1 gene:PRUPE_7G071800 transcript:ONH95454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYIPIDMPYYYLPFFICFIFAFLVHFFIKSYIKPKPSSQDPPSPPALPIIGHLHLIGSVLPKSFQTLARRYGIPLMQLRLGAATCIVVSSAEVAREIFKTHDIIFSSRPEFGSSEHFIYRGSRFLLAPYGEYWRFMKKLCMNKLLAAPQLNLSVDIRAEEVANLVEKVTKRAREGQPCNLSSELTTLTNNTICRMVMSTRCSGSDNEAEEIKKLIDECMKLGAKLSVGDVLGPLKIFDFSGTAKKLGSVLQRFDGLVERIMKEHEGRSEVGEQGRDLMDILLEIYRDPTSEVKLSRNDIKSFLLDIFMAGTDTSSAAMQWAMGELLSHPQAYKKLREEIDIVVGVKRLVRESDIPNLPYLRAVIKEILRLHPSGPFIIRECGEDCKVYGSIVKAKARILINAYAIMRDPDLWTDPDEFIPERFLDSSEEKIGEHQMELKGQNFRYIPFGSGRRGCPGASLAMLVMHSTIAALVQCFDWKVKDGEKIDLELGSGFAAEMAKSLVLYPIARLNPY >ONH94145 pep chromosome:Prunus_persica_NCBIv2:G7:127765:130716:-1 gene:PRUPE_7G000600 transcript:ONH94145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASRVSRIGVLILKQLSGGKPTSISRAGYNTRSCYNQYLQPLSETKLLRGSIFHKHHLFSTVSASDSSNEGIEQKEKISVTFVDKDGEEIHIKVPTGMSMLEAAHENDIDLEGACEGSLACSTCHVIVMDMELTVESSYRIWTITTN >ONH94146 pep chromosome:Prunus_persica_NCBIv2:G7:128266:130641:-1 gene:PRUPE_7G000600 transcript:ONH94146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASRVSRIGVLILKQLSGGKPTSISRAGYNTRSCYNQYLQPLFDQQSETKLLRGSIFHKHHLFSTVSASDSSNEGIEQKEKISVTFVDKDGEEIHIKVPTGMSMLEAAHENDIDLEGACEGSLACSTCHVIVMDMELTVESSYRIWTITTN >ONH94143 pep chromosome:Prunus_persica_NCBIv2:G7:127756:130869:-1 gene:PRUPE_7G000600 transcript:ONH94143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASRVSRIGVLILKQLSGGKPTSISRAGYNTRSCYNQYLQPLSETKLLRGSIFHKHHLFSTVSASDSSNEGIEQKEKISVTFVDKDGEEIHIKVPTGMSMLEAAHENDIDLEGACEGSLACSTCHVIVMDMDYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGIRLAIPAATRNFAVDGYVPKPH >ONH94144 pep chromosome:Prunus_persica_NCBIv2:G7:127755:130869:-1 gene:PRUPE_7G000600 transcript:ONH94144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASRVSRIGVLILKQLSGGKPTSISRAGYNTRSCYNQYLQPLFDQQSETKLLRGSIFHKHHLFSTVSASDSSNEGIEQKEKISVTFVDKDGEEIHIKVPTGMSMLEAAHENDIDLEGACEGSLACSTCHVIVMDMDYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGIRLAIPAATRNFAVDGYVPKPH >ONH97261 pep chromosome:Prunus_persica_NCBIv2:G7:17681973:17683859:-1 gene:PRUPE_7G180700 transcript:ONH97261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATSPHSLLLQNPNTQFLKNPKIPFTTIPMTSTRLTTTTTTLNPNKAISVKCSATTTHIQDRPPAQPQSQDRVFNFAAGPANLPENVLLKAQSELYNWRGSGMSVMEMSHRGKEFLSIIQKAEADLRTLLNIPPEYSVLFLQGGATTQFAAIPLNLCKPDDKVDYVVTGSWGDKAFKEAQKYSQPKVIWSGKSEKYTKIPAFEELEQSPDAKYLHICTNETIHGVEFKTYPTPKNGLLIADMSSNFCSKPVDVSKFGIIYAGAQKNVGPSGVTVVIIRKDLIGNAQEITPVMLDFKIHDENKSLYNTPPCYGIYMCGLVFEDLLEQGGLGEIEKKNKRKADLLYNAIDESKGFYRCPVEKSVRSLMNVPFTLEKSELEAEFVKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVDFMKDFQAKHA >ONH97102 pep chromosome:Prunus_persica_NCBIv2:G7:17214521:17217954:-1 gene:PRUPE_7G169800 transcript:ONH97102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDFSGDENSPIEQVALTVPVTDDPSLPTVTFRTWTLGALACVLLSFLNQFFWYRREPLSLTSISAQIAVVPLGHLMASLITKRVFFKGQKWEFTLNPGPFNVKEHVLITIFANSGAGNVYAIHIVSTVKLFYKKNLSFFVALLVVLTTQVLGFGWAGLFRRYLVEPAAMWWPQNLVQVSLFRALHEKEKRPKGGLTRNQFFLIAFTCSFAYYVLPGYLFPMLTSLSWICWIFPTSILAHQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANITAGFFLVLYVITPVAYWLNLYNAKTFPIVSDGLFTSTGQSYNISAIIDPNFRLDIDAYGREGALHISTFFAMYYGVNFACLTATIVHVLLFNGRDIWQLSTSAIREKKMDVHTKLMRKYKQVPGWWFMCILVANIVATMFTCHYYNDQLQLKWWGVLLACGLALFFTLPIGVIAATTNQKPALNVITEYIIGYLYPGYPVANVCFKVYGYISMKQGIAFLEDFKLGHYMKIPPRAMFMAQVVGTIIAAFVHLGTAWWLMNTIPDICDRALLPADSPWTCPGDHVFYDASVIWGLVGPRRIFGDLGHYSAINWFFLAGAIAPVLVWLAHKAFPRKHWIKLITMPVLLGATVNMPPATAVNFTSWVLIGFASGFIAYRYYRGLWSRHNYVLSGALDAGLAFMAVILYLCLGMEHVSFKWWGSSPDGCPLASSSTP >ONH97100 pep chromosome:Prunus_persica_NCBIv2:G7:17214340:17218512:-1 gene:PRUPE_7G169800 transcript:ONH97100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEHEISSPLIHSNKTDGSASRPPMPEDFSGDENSPIEQVALTVPVTDDPSLPTVTFRTWTLGALACVLLSFLNQFFWYRREPLSLTSISAQIAVVPLGHLMASLITKRVFFKGQKWEFTLNPGPFNVKEHVLITIFANSGAGNVYAIHIVSTVKLFYKKNLSFFVALLVVLTTQVLGFGWAGLFRRYLVEPAAMWWPQNLVQVSLFRALHEKEKRPKGGLTRNQFFLIAFTCSFAYYVLPGYLFPMLTSLSWICWIFPTSILAHQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANITAVSDGLFTSTGQSYNISAIIDPNFRLDIDAYGREGALHISTFFAMYYGVNFACLTATIVHVLLFNGRDIWQLSTSAIREKKMDVHTKLMRKYKQVPGWWFMCILVANIVATMFTCHYYNDQLQLKWWGVLLACGLALFFTLPIGVIAATTNQKPALNVITEYIIGYLYPGYPVANVCFKVYGYISMKQGIAFLEDFKLGHYMKIPPRAMFMAQVVGTIIAAFVHLGTAWWLMNTIPDICDRALLPADSPWTCPGDHVFYDASVIWGLVGPRRIFGDLGHYSAINWFFLAGAIAPVLVWLAHKAFPRKHWIKLITMPVLLGATVNMPPATAVNFTSWVLIGFASGFIAYRYYRGLWSRHNYVLSGALDAGLAFMAVILYLCLGMEHVSFKWWGSSPDGCPLASSSTP >ONH97101 pep chromosome:Prunus_persica_NCBIv2:G7:17214521:17218124:-1 gene:PRUPE_7G169800 transcript:ONH97101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEHEISSPLIHSNKTDGSASRPPMPEDFSGDENSPIEQVALTVPVTDDPSLPTVTFRTWTLGALACVLLSFLNQFFWYRREPLSLTSISAQIAVVPLGHLMASLITKRVFFKGQKWEFTLNPGPFNVKEHVLITIFANSGAGNVYAIHIVSTVKLFYKKNLSFFVALLVVLTTQVLGFGWAGLFRRYLVEPAAMWWPQNLVQVSLFRALHEKEKRPKGGLTRNQFFLIAFTCSFAYYVLPGYLFPMLTSLSWICWIFPTSILAHQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANITAGFFLVLYVITPVAYWLNLYNAKTFPIVSDGLFTSTGQSYNISAIIDPNFRLDIDAYGREGALHISTFFAMYYGVNFACLTATIVHVLLFNGRDIWQLSTSAIREKKMDVHTKLMRKYKQVPGWWFMCILVANIVATMFTCHYYNDQLQLKWWGVLLACGLALFFTLPIGVIAATTNQKPALNVITEYIIGYLYPGYPVANVCFKVYGYISMKQGIAFLEDFKLGHYMKIPPRAMFMAQVVGTIIAAFVHLGTAWWLMNTIPDICDRALLPADSPWTCPGDHVFYDASVIWGLVGPRRIFGDLGHYSAINWFFLAGAIAPVLVWLAHKAFPRKHWIKLITMPVLLGATVNMPPATAVNFTSWVLIGFASGFIAYRYYRGLWSRHNYVLSGALDAGLAFMAVILYLCLGMEHVSFKWWGSSPDGCPLASSSTP >ONH97815 pep chromosome:Prunus_persica_NCBIv2:G7:19356055:19356654:1 gene:PRUPE_7G212200 transcript:ONH97815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTVNYRMKLLGNYRIKTICHAPFSLLLFAFLSLPGCLIFFSTVVTVRSYVLIIKHSIKLYSCIKETFTFVIKSLVQINHVLLCFASAFVQGFLLLNYH >ONH97751 pep chromosome:Prunus_persica_NCBIv2:G7:19096544:19098714:1 gene:PRUPE_7G207700 transcript:ONH97751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTLSESRHDVELIASSIRGKLNQQPPLPACSCIFRIPNVLRRHNEKAFLPNLVSIGPFHHGQRNLQVMEEIKLWYVHCLLDRKPTSETRLEYLIELIRSVEQQCRDCYGEKIDMSSEKFVEMMVVDGCFIIELFRKYARVVPSNKDDPVFYTLWMHTALINDLFLLENQLPWRVLECLFHNTRENNEKPTNYSLFQLALNFFRHSTFSQSSHLNEEVENKHLLGIIRNSLLGSYTQLQLTFKSYWEPIPSVSELLQAGVQFKLRNTGDNMLDITFENGVMEIPPVNIRENAESLFRNLIAYEQCNPSISISNITSYAVVLDNLIKSSKDVHLLIQRGIITTVLSKEDIARFFTRLYNDTIPGYFSYAELTKNVNAYYKDRWHRWQTILRRDYFNNPWSILSFAAALMILGLTFIQTIYTILTFY >ONH96141 pep chromosome:Prunus_persica_NCBIv2:G7:13761940:13765196:-1 gene:PRUPE_7G109700 transcript:ONH96141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAESFNAAFSSGVRTIQRPLSSSIFGVTKPKLIAATPSKSSRFTRISIRCAVHFRPCIDIHKGKVKQIVGSTLSDSKEDGSALVTNFVSDKSAAEYAKMYKEDGLTGGHVIMLGADPLSRAAAIEALHAYPGGLQVGGGINSDNSLRYIEEGASHVIVTSYVFNNGKMDLERLKDLVSVVGKERLVLDLSCRKREGKYAIVTDRWQKFSDVYLDEEILDFLANYADEFLVHGVDVEGKKLGIDEELVALLGKHSPIPVTYAGGVTGMGDLERIKVAGLGHVDVTVGSALDVFGGNLSYKHVVAWHAQQEALTV >ONH96142 pep chromosome:Prunus_persica_NCBIv2:G7:13761940:13765195:-1 gene:PRUPE_7G109700 transcript:ONH96142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAESFNAAFSSGVRTIQRPLSSSIFGVTKPKLIAATPSKSSRFTRISIRCAVHFRPCIDIHKGKVKQIVGSTLSDSKEDGSALVTNFVSDKSAAEYAKMYKEDGLTGGHVIMLGADPLSRAAAIEALHAYPGGLQVGGGINSDNSLRYIEEGASHVIVTSYVFNNGKMDLERLKDLVSVVGKERLVLDLSCRKREGKYAIVTDRWQKFSDVYLDEEILDFLANYADEFLVHGVDVEGKKFL >ONH96144 pep chromosome:Prunus_persica_NCBIv2:G7:13762489:13765195:-1 gene:PRUPE_7G109700 transcript:ONH96144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAESFNAAFSSGVRTIQRPLSSSIFGVTKPKLIAATPSKSSRFTRISIRCAVHFRPCIDIHKGKVKQIVGSTLSDSKEDGSALVTNFVSDKSAAEYAKMYKEDGLTGGHVIMLGADPLSRAAAIEALHAYPGGLQVGGGINSDNSLRYIEEGASHVIVTSYVFNNGKMDLERLKDLVSVVGKERLVLDLSCRKREGKYAIVTDRWQKFSDVYLDEEILDFLANYADEFLVHGVDVEGKKLGIDEELVALLGKHSPM >ONH96145 pep chromosome:Prunus_persica_NCBIv2:G7:13763666:13765195:-1 gene:PRUPE_7G109700 transcript:ONH96145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAESFNAAFSSGVRTIQRPLSSSIFGVTKPKLIAATPSKSSRFTRISIRCAVHFRPCIDIHKGKVKQIVGSTLSDSKEDGSALVTNFVSDKSAAEYAKMYKEDGLTGGHVIMLGADPLSRAAAIEALHAYPGGLQVGGGINSDNSLRYIEEGASHVIVTSVSFNLLFFPFTSIY >ONH96140 pep chromosome:Prunus_persica_NCBIv2:G7:13761940:13765195:-1 gene:PRUPE_7G109700 transcript:ONH96140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAESFNAAFSSGVRTIQRPLSSSIFGVTKPKLIAATPSKSSRFTRISIRCAVHFRPCIDIHKGKVKQIVGSTLSDSKEDGSALVTNFVSDKSAAEYAKMYKEDGLTGGHVIMLGADPLSRAAAIEALHAYPGGLQVGGGINSDNSLRYIEEGASHVIVTSEGKYAIVTDRWQKFSDVYLDEEILDFLANYADEFLVHGVDVEGKKLGIDEELVALLGKHSPIPVTYAGGVTGMGDLERIKVAGLGHVDVTVGSALDVFGGNLSYKHVVAWHAQQEALTV >ONH96143 pep chromosome:Prunus_persica_NCBIv2:G7:13762507:13765128:-1 gene:PRUPE_7G109700 transcript:ONH96143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAESFNAAFSSGVRTIQRPLSSSIFGVTKPKLIAATPSKSSRFTRISIRCAVHFRPCIDIHKGKVKQIVGSTLSDSKEDGSALVTNFVSDKSAAEYAKMYKEDGLTGGHVIMLGADPLSRAAAIEALHAYPGGLQVGGGINSDNSLRYIEEGASHVIVTSYVFNNGKMDLERLKDLVSVVGKERLVLDLSCRKREGKYAIVTDRWQKFSDVYLDEEILDFLANYADEFLVHGVDVEGKKLGIDEELVALLGKHSPMPLERCRTEP >ONH95935 pep chromosome:Prunus_persica_NCBIv2:G7:12881689:12885558:-1 gene:PRUPE_7G097400 transcript:ONH95935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGCTLQQGLTTEAANIVKQAVTLARQRGHAQVTPLHVAHTMLSSSTGLLRTACLQSHSHPLQCKALELCFNVALNRLPASNSSPMLGSHPQQSSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAVSLEICNSQTPSVSSKPKENNSLLVVNPHQFPSIGQSIGVKDGKPVRSEDVTSVIENLVKKRRKSIVVVGECLASIEGVVRGVMDKVEKGDVVEALREVKFITLTLSSFEHQSRVEVEQKLGELKSTVRSCVAKGVILFVGDLKWTSEYRASSSSEQGRGYYCPVEHMIMELGNLLCGMNGDHQNGRLWLVGMATFQTYMRCKSGHPSLETVWGIHPLTIPSGSLRLSLVTDSDLQSESTSKIAETGTNNRQMLEGGGKQLTCCAECSSKFEAEARSLQSSSSCNSESTTSSLPAWLQQYKNENKVPSSTNDQNSVPVSDLCKKWNSICNSMHQQHSNNSSEKTLTIFSSLSPSSSTSNFSYEQQQQPQHPNLHHHHSWRHQHFWISGSNCNKAVDDQPSLRMYIPENNSPKQPISSNPNSTPTSASSSDIVMETDQYVQRFKELNTENLKTLCSALETKVPWQKDIVPEIASTILKCRSGTVRRKGNKMGNYSDVTKEETWLFFQGIDMEAKLKVARELARLVFGSQTNLTSIALSSFSSTRADSTEDCRNKRSRDEQSCSYVERFAEAVSFNPHRVFLVEDVEQADYCSQMGFKRAIERGRITNSSGEEVGLGDAIIILSCESFSSRSRACSPPIKQKLSQGSHEEDNRDVAALEQTSPCVSLDLNISFDDGGVDGDRTEDQSIDDIGLLESVDRRIIFKIQEL >ONH94542 pep chromosome:Prunus_persica_NCBIv2:G7:3353892:3354352:-1 gene:PRUPE_7G021800 transcript:ONH94542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRKNLQVIYEAMRCGNVVKFLHRKSLLVIPSGWKVLPIFYGVHLDQALHENPTKFDPWRWAVYYKEMSKKVTVFGGGVRLCPGAELAKV >ONH95602 pep chromosome:Prunus_persica_NCBIv2:G7:11576092:11579577:-1 gene:PRUPE_7G080400 transcript:ONH95602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDQQKVADLIKELVIRLLSQNPNSESHPPTPNSPQFQSSLRYAFRLISSRLTPSVSPDAAAIAESTKRRLATQDVIKVPRAIRLMVRKLCELGWLFRKVKGYISESMDGFPSEDVGTVGQAFCAALQDELSDYYKLLAVLEAQSMNPIPLVSETASSGNYLSLRRLSVWFAEPMVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVHEFMGRLLRRVCSPLFEMVRSWVLEGELEDVFAEFFVVGQPVKAESLWREGYLLHAGMLPSFISQSLAQRILRTGKSINFLRVCCEDRGWADAATEAAAAAGTSTRRWGLGYGETDALESLVDGAAKRVDKHLLDVIYNQYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFQLAGLLETAVRASNAQYDDRDILDRLKVKMMPHGIGDRGWDVFSLEYDARVPLDTVFTESVMAKYLRIFNFLWKLRRVEHALIGAWKTMKPNCITSRSFMKLQHAVKLQLLSTLRRCQVLWDEMNHFVSNLQYYIMFEVLEVSWSNFLNEMEVAKDLDDLLAAHEKYLHSIVEKSLLGERSQTLYSSLFALFDLILKFRSHADRLSEGINELQARTLESSVPSRNKSKTKKRLNDTSEPGSWVSEGRKALTQRAGEFLRNMGQDLDALSKEYSSLLEDFISKLPMQQHVDLKFLLFRLDFTEFYSQLRPST >ONH95601 pep chromosome:Prunus_persica_NCBIv2:G7:11576097:11579577:-1 gene:PRUPE_7G080400 transcript:ONH95601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDQQKVADLIKELVIRLLSQNPNSESHPPTPNSPQFQSSLRYAFRLISSRLTPSVSPDAAAIAESTKRRLATQGKSSQALTFADLYTKFASKTGPGSVNNKWAVLYLLKIISEDRKNVKTQLGSLVLLPNLALNDGELGNESRVLLGKGNKEKGWNNGVLLVLKDPENLREIAFREFVNLIKEENEVSEEVLVRDVLYACQGIDGKYVKFDSGADGYVLSDVIKVPRAIRLMVRKLCELGWLFRKVKGYISESMDGFPSEDVGTVGQAFCAALQDELSDYYKLLAVLEAQSMNPIPLVSETASSGNYLSLRRLSVWFAEPMVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVHEFMGRLLRRVCSPLFEMVRSWVLEGELEDVFAEFFVVGQPVKAESLWREGYLLHAGMLPSFISQSLAQRILRTGKSINFLRVCCEDRGWADAATEAAAAAGTSTRRWGLGYGETDALESLVDGAAKRVDKHLLDVIYNQYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFQLAGLLETAVRASNAQYDDRDILDRLKVKMMPHGIGDRGWDVFSLEYDARVPLDTVFTESVMAKYLRIFNFLWKLRRVEHALIGAWKTMKPNCITSRSFMKLQHAVKLQLLSTLRRCQVLWDEMNHFVSNLQYYIMFEVLEVSWSNFLNEMEVAKDLDDLLAAHEKYLHSIVEKSLLGERSQTLYSSLFALFDLILKFRSHADRLSEGINELQARTLESSVPSRNKSKTKKRLNDTSEPGSWVSEGRKALTQRADGVCFFLDGLSGRFTVDLKIYCITRSGCEI >ONH95603 pep chromosome:Prunus_persica_NCBIv2:G7:11576767:11579456:-1 gene:PRUPE_7G080400 transcript:ONH95603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDQQKVADLIKELVIRLLSQNPNSESHPPTPNSPQFQSSLRYAFRLISSRLTPSVSPDAAAIAESTKRRLATQGKSSQALTFADLYTKFASKTGPGSVNNKWAVLYLLKIISEDRKNVKTQLGSLVLLPNLALNDGELGNESRVLLGKGNKEKGWNNGVLLVLKDPENLREIAFREFVNLIKEENEVSEEVLVRDVLYACQGIDGKYVKFDSGADGYVLSDVIKVPRAIRLMVRKLCELGWLFRKVKGYISESMDGFPSEDVGTVGQAFCAALQDELSDYYKLLAVLEAQSMNPIPLVSETASSGNYLSLRRLSVWFAEPMVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVHEFMGRLLRRVCSPLFEMVRSWVLEGELEDVFAEFFVVGQPVKAESLWREGYLLHAGMLPSFISQSLAQRILRTGKSINFLRVCCEDRGWADAATEAAAAAGTSTRRWGLGYGETDALESLVDGAAKRVDKHLLDVIYNQYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFQLAGLLETAVRASNAQYDDRDILDRLKVKMMPHGIGDRGWDVFSLEYDARVPLDTVFTESVMAKYLRIFNFLWKLRRVEHALIGAWKTMKPNCITSRSFMKLQHAVKLQLLSTLRRCQVLWDEMNHFVSNLQYYIMFEVLEVSWSNFLNEMEVAKDLDDLLAAHEKYLHSIVEKSLLGERSQTLYSSLFALFDLILKFRSHADRLSEGINELQARTLESSVPSRNKSKTKKRLNDTSEPGSWVSEGRKALTQRAGEFLRNMGQDLDALSKEYSSLLEDFISKLPMQQHVDLKFLLFRLDFTEFYSQLRPST >ONH94708 pep chromosome:Prunus_persica_NCBIv2:G7:4440145:4443821:-1 gene:PRUPE_7G026800 transcript:ONH94708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNNIELEGRTRTPKWNWMRCKKNWQLMS >ONH94718 pep chromosome:Prunus_persica_NCBIv2:G7:4441389:4442985:-1 gene:PRUPE_7G026800 transcript:ONH94718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHKKRTIAILCCSLICISLHLQGFQRGIRSVSATADLLTTGKECKMAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94735 pep chromosome:Prunus_persica_NCBIv2:G7:4441289:4443823:-1 gene:PRUPE_7G026800 transcript:ONH94735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94725 pep chromosome:Prunus_persica_NCBIv2:G7:4440146:4443814:-1 gene:PRUPE_7G026800 transcript:ONH94725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94733 pep chromosome:Prunus_persica_NCBIv2:G7:4440146:4443814:-1 gene:PRUPE_7G026800 transcript:ONH94733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94723 pep chromosome:Prunus_persica_NCBIv2:G7:4440146:4443207:-1 gene:PRUPE_7G026800 transcript:ONH94723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHKKRTIAILCCSLICISLHLQGFQRGIRSVSATADLLTTGKECKMAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94703 pep chromosome:Prunus_persica_NCBIv2:G7:4440135:4443207:-1 gene:PRUPE_7G026800 transcript:ONH94703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHKKRTIAILCCSLICISLHLQGFQRGIRSVSATADLLTTGKECKMAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNKARRKDTYTQMELDEVQEELATHVLEWLFD >ONH94710 pep chromosome:Prunus_persica_NCBIv2:G7:4440135:4443784:-1 gene:PRUPE_7G026800 transcript:ONH94710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNNIELEGRTRTPKWNWMRCKKNWQLMS >ONH94714 pep chromosome:Prunus_persica_NCBIv2:G7:4440388:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNNGIKAFNAQIKRRTCKLPTWIMFSGAPKQSDGKSCGYFVMRYMKDIL >ONH94734 pep chromosome:Prunus_persica_NCBIv2:G7:4441389:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94721 pep chromosome:Prunus_persica_NCBIv2:G7:4441389:4442985:-1 gene:PRUPE_7G026800 transcript:ONH94721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHKKRTIAILCCSLICISLHLQGFQRGIRSVSATADLLTTGKECKMAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94730 pep chromosome:Prunus_persica_NCBIv2:G7:4441389:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94704 pep chromosome:Prunus_persica_NCBIv2:G7:4440127:4443838:-1 gene:PRUPE_7G026800 transcript:ONH94704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNKARRKDTYTQMELDEVQEELATHVLEWLFD >ONH94715 pep chromosome:Prunus_persica_NCBIv2:G7:4440388:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNNGIKAFNAQIKRRTCKLPTWIMFSGAPKQSDGKSCGYFVMRYMKDIL >ONH94727 pep chromosome:Prunus_persica_NCBIv2:G7:4440974:4443814:-1 gene:PRUPE_7G026800 transcript:ONH94727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94726 pep chromosome:Prunus_persica_NCBIv2:G7:4441389:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94712 pep chromosome:Prunus_persica_NCBIv2:G7:4440388:4442985:-1 gene:PRUPE_7G026800 transcript:ONH94712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHKKRTIAILCCSLICISLHLQGFQRGIRSVSATADLLTTGKECKMAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNNGIKAFNAQIKRRTCKLPTWIMFSGAPKQSDGKSCGYFVMRYMKDIL >ONH94731 pep chromosome:Prunus_persica_NCBIv2:G7:4441389:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94722 pep chromosome:Prunus_persica_NCBIv2:G7:4441389:4442985:-1 gene:PRUPE_7G026800 transcript:ONH94722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHKKRTIAILCCSLICISLHLQGFQRGIRSVSATADLLTTGKECKMAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94724 pep chromosome:Prunus_persica_NCBIv2:G7:4441389:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94719 pep chromosome:Prunus_persica_NCBIv2:G7:4440974:4443207:-1 gene:PRUPE_7G026800 transcript:ONH94719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHKKRTIAILCCSLICISLHLQGFQRGIRSVSATADLLTTGKECKMAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94709 pep chromosome:Prunus_persica_NCBIv2:G7:4440135:4443814:-1 gene:PRUPE_7G026800 transcript:ONH94709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNNIELEGRTRTPKWNWMRCKKNWQLMS >ONH94711 pep chromosome:Prunus_persica_NCBIv2:G7:4440135:4443822:-1 gene:PRUPE_7G026800 transcript:ONH94711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNNIELEGRTRTPKWNWMRCKKNWQLMS >ONH94713 pep chromosome:Prunus_persica_NCBIv2:G7:4440388:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNNGIKAFNAQIKRRTCKLPTWIMFSGAPKQSDGKSCGYFVMRYMKDIL >ONH94729 pep chromosome:Prunus_persica_NCBIv2:G7:4440146:4443814:-1 gene:PRUPE_7G026800 transcript:ONH94729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94707 pep chromosome:Prunus_persica_NCBIv2:G7:4440146:4443207:-1 gene:PRUPE_7G026800 transcript:ONH94707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHKKRTIAILCCSLICISLHLQGFQRGIRSVSATADLLTTGKECKMAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNNIELEGRTRTPKWNWMRCKKNWQLMS >ONH94732 pep chromosome:Prunus_persica_NCBIv2:G7:4441389:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94728 pep chromosome:Prunus_persica_NCBIv2:G7:4441389:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94705 pep chromosome:Prunus_persica_NCBIv2:G7:4440072:4443852:-1 gene:PRUPE_7G026800 transcript:ONH94705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNKARRKDTYTQMELDEVQEELATHVLEWLFD >ONH94716 pep chromosome:Prunus_persica_NCBIv2:G7:4440845:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNKVLLNKAMASHVGIL >ONH94720 pep chromosome:Prunus_persica_NCBIv2:G7:4440146:4443207:-1 gene:PRUPE_7G026800 transcript:ONH94720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKHKKRTIAILCCSLICISLHLQGFQRGIRSVSATADLLTTGKECKMAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLW >ONH94706 pep chromosome:Prunus_persica_NCBIv2:G7:4440070:4443852:-1 gene:PRUPE_7G026800 transcript:ONH94706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNKARRKDTYTQMELDEVQEELATHVLEWLFD >ONH94717 pep chromosome:Prunus_persica_NCBIv2:G7:4440845:4442199:-1 gene:PRUPE_7G026800 transcript:ONH94717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGEKANIVASGTIVEINVPNQLVHNVPLGEGSIRVAVNCALKGDSPLPIPVKGVFETVGDALGSQVAWPQDLIVFDDKVKKEETTKEKLAKTLFKSISPTMPKSCNVLYAYAHQVMSKGQTISTNTDEDIFGVKKIVYIFQEQVIVFAEMREIGQAIIIAHISYLFRLVKSQQRDQVIGFMDPARTAHDPRYGNLWGHWILTIIDEDKDNVYVMDPLGARHPQDAWKAVLNKVLLNKAMASHVGIL >ONH97193 pep chromosome:Prunus_persica_NCBIv2:G7:17449750:17452406:-1 gene:PRUPE_7G174900 transcript:ONH97193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPRHARLQTRKTSSSSSTLVLTLLVMFTFVILILLALGILSVPSGSSGNSAKANDLSSIVRKSADRNEEEGAGEQWVEVISWEPRAFVYHNFLTKEECEYLIDLAKPSMHKSTVVDSETGKSKDSRVRTSSGTFLQRGRDKIIRNIEKRIANFTFLPVEHGEGLQVLHYEVGQKYEPHYDYFQDEFNTRNGGQRIATVLMYLSDVEEGGETVFPAAKGNISSVPWWDELSECGKKGLSVKPKMGDALLFWSMRPDASLDPSSLHGGCPVIKGNKWSSTKWVRVNEYKI >ONH95481 pep chromosome:Prunus_persica_NCBIv2:G7:11119773:11127382:1 gene:PRUPE_7G073500 transcript:ONH95481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPIEPSTSSSSTKDPALLIHPRREPFEHGLLPIPKLIFTDPTQTLIPLKQKLIEQSSSHRVNSAAISEVLQISIDHARLVLDTLASVLQSESDPLLKSKPDVVDEVGADVNDLVLFLYIQSYKKLLPRTHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNSRRFVPSQADEEAHQLSYLQKHLANIVSLLAEPVEGEGEESLVLTMEKFEHLGFLFYFGDKGSEGYHLGQYAPFFANSDPDMPAVPVPAAQVHDWVLQNIVSSLENISERISPKENGPPSSSDQDVPMADACTSTNKGSMSVRGPSFIEGISKSSLIKQASDLKGSSVKVLNCHDSVIYILAPLRYATVYGCSDATIVLGAVGKAVRIEHCERVHVITAAKRICIANCRECMFFLGVNQRPLIVGDNHKLQVAPYNTFYGQLEEHMNEVGIDATINRWNEPLALGVVDPHDSLSHPAGVSDAQAESGSHLDPEQFTNFLIPNWYGGESEGSTKDNPFPLPDIYVASQQRNNNLGEVKQLLREAPLEENRKRELSTALHVYFKDWLYASGNIRQLYCLQGE >ONH95480 pep chromosome:Prunus_persica_NCBIv2:G7:11119761:11127012:1 gene:PRUPE_7G073500 transcript:ONH95480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPIEPSTSSSSTKDPALLIHPRREPFEHGLLPIPKLIFTDPTQTLIPLKQKLIEQSSSHRVNSAAISEVLQISIDHARLVLDTLASVLQSESDPLLKSKPDVVDEVGADVNDLVLFLYIQSYKKLLPRTHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNSRRFVPSQADEEAHQLSYLQKHLANIVSLLAEPVEGEGEESLVLTMEKFEHLGFLFYFGDKGSEGYHLGQYAPFFANSDPDMPAVPVPAAQVHDWVLQNIVSSLENISERISPKENGPPSSSDQDVPMADACTSTNKGSMSVRGPSFIEGISKSSLIKQASDLKGSSVKVLNCHDSVIYILAPLRYATVYGCSDATIVLGAVGKAVRIEHCERVHVITAAKRICIANCRECMFFLGVNQRPLIVGDNHKLQVAPYNTFYGQLEEHMNEVGIDATINRWNEPLALGVVDPHDSLSHPAGVSDAQAESGSHLDPEQFTNFLIPNWYGGESEGSTKDNPFPLPDIYVASQQRNQNNLGEVKQLLREAPLEENRKRELSTALHVYFKDWLYASGNIRQLYCLQGE >ONH95979 pep chromosome:Prunus_persica_NCBIv2:G7:13026335:13027548:1 gene:PRUPE_7G099600 transcript:ONH95979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLSFVFPPCLSESPLSLLPIPLISLIFDSFCLQMPPSIHYISLKFSSSPFPMIDFLYFFLFTLTLNFPKLCLNLKNP >ONH96014 pep chromosome:Prunus_persica_NCBIv2:G7:13179902:13183369:1 gene:PRUPE_7G101900 transcript:ONH96014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSASILLQILACALYNNWWPMLSALMYVLVPMPCLFFGGGSTQFLLSRESDGWVNAAKFLTGASTVGSIAIPIILRHAHMIETSAMWIEFFSFLIFVCTVLCFHRASLEDEW >ONH96013 pep chromosome:Prunus_persica_NCBIv2:G7:13179597:13183369:1 gene:PRUPE_7G101900 transcript:ONH96013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSASILLQILACALYNNWWPMLSALMYVLVPMPCLFFGGGSTQFLLSRESDGWVNAAKFLTGASTVGSIAIPIILRHAHMIETSAMWIEFFSFLIFVCTVLCFHRASLEDEW >ONH94435 pep chromosome:Prunus_persica_NCBIv2:G7:2339148:2342716:1 gene:PRUPE_7G016400 transcript:ONH94435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVKAKAISFCGDMSETESRSNENSNDIKLFGRTIPLLQTQIAAITCKNSQVPPKSQFMDSCTELTKAEADGPCAENSVQAGTSAICKEEEKSRMQVNEARVNAKSNSKQAENRILEQEEDFQKPDKVLPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRSVPVGAGRRKNKHLASQYHQIIVSSDGVPSTRLETTDSINHQLLSCSKSSSTFGLPDRAGTVLKFGHEAPLCESMDTVVNLRDLRTCVEIGSVSGRESGDEPSCVSSVTACGNQGSELSKNILQRDGIGLQGSCSEPNISHPLNYYPIAPLVFRWNPGWRNAAPPAAPQHSQSICVQNCTTPNQVQWYPTPVLVVPGPCPQSIPLQTVPAPSWGCMPVCPAGMGNLLLSGSNGCVSPSSSTSNSCCSGNGSPTLGKHSRDSNIMDEEKSENRVLVPKPLRVDDPDEASKSPIWASLGIKRDLKT >ONH94436 pep chromosome:Prunus_persica_NCBIv2:G7:2340095:2342716:1 gene:PRUPE_7G016400 transcript:ONH94436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVNEARVNAKSNSKQAENRILEQEEDFQKPDKVLPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRSVPVGAGRRKNKHLASQYHQIIVSSDGVPSTRLETTDSINHQLLSCSKSSSTFGLPDRAGTVLKFGHEAPLCESMDTVVNLRDLRTCVEIGSVSGRESGDEPSCVSSVTACGNQGSELSKNILQRDGIGLQGSCSEPNISHPLNYYPIAPLVFRWNPGWRNAAPPAAPQHSQSICVQNCTTPNQVQWYPTPVLVVPGPCPQSIPLQTVPAPSWGCMPVCPAGMGNLLLSGSNGCVSPSSSTSNSCCSGNGSPTLGKHSRDSNIMDEEKSENRVLVPKPLRVDDPDEASKSPIWASLGIKRDLKT >ONH98154 pep chromosome:Prunus_persica_NCBIv2:G7:20339977:20343840:-1 gene:PRUPE_7G232900 transcript:ONH98154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKSSSSTIMASRDREPRDRELLIPVADSTDEDASFKPSSSSSISHHTGRETFSKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDSFFSPIYAQLGINIFGLGFVTSITFIFIVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVVLQSYSGDEELCCVYVPTNHLYIGDVFLVNTKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDNRIMPMDS >ONH95741 pep chromosome:Prunus_persica_NCBIv2:G7:12223275:12226039:1 gene:PRUPE_7G088700 transcript:ONH95741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLQYFFLLFLIPTISFTIIPAVHSLCTKDQQLSLLHLKQSLTPYHHKPYSPLNSTKVMYWDSRTDCCFWDGVTCSSDGHVVGLDLSGETIGTGIGYRSPIPSAIGNLTSLRYLDLSNSNFTGSIPKTMGNLKQLVYLNLSSNMLNGPIDSIQWENLVNLIDLRLYDNLLGGSIPSSIFALPILQFLLFSHNQFSGQLHEFSDASSSYLLSLDLSSNNLEGLIPTSIFNFHALESLNLSSNNFSAFPFNGPQQLKNLNEIDLSYNSLLIFYNGTTSSYSSFPNIVRLNLTSNKLRTIPDFLRYQSGLLKLDLSQNQIQGMIPKWIWGLDLFSLNLSCNSLATLEGPVPNFTLVEVDLHSNQLQGPLPTIPSNGGYVDYSRNHFSSSIPFDIGECYFLSLSSNTLHGIIPRSICNARDVQVIDLSNNSLTGVIPKCLSAMPYLVVLNLRGNNLTGRISNLEFGEGCHLSTLDLGENQIKGQFPKSLANCKDLEFLNLRNNQIKDAFPCLLMNMTSYLRVLSLRSNQFYGGIGCPNTNDTWPNLQIIDLAHNNLSGEIPATFLTTWQSMMADVHEKVNVLEFSQPGGGIFVGFSFGDAVTVISKGSERYLVKIITSYTLIDFSCNKFSGSIPKEMGAFKSLYVLNLSGNAFEGEIPSSFGNMQVLESLDLSLNKLSGQIPPQLAKLTFLSFLNLSYNQLVGRIPTSTQFSTFPKDSFTGNKGLWGPPLTVDNKASPPALNGSLPNSGHRGINWDLISVEIGFTVGFGVSVGSLVLCKRWSKWYYRAMYRMVLKIFPQLEERIGIHRRHVHINRRWRR >ONH95742 pep chromosome:Prunus_persica_NCBIv2:G7:12223173:12226039:1 gene:PRUPE_7G088700 transcript:ONH95742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLQYFFLLFLIPTISFTIIPAVHSLCTKDQQLSLLHLKQSLTPYHHKPYSPLNSTKVMYWDSRTDCCFWDGVTCSSDGHVVGLDLSGETIGTGIGYRSPIPSAIGNLTSLRYLDLSNSNFTGSIPKTMGNLKQLVYLNLSSNMLNGPIDSIQWENLVNLIDLRLYDNLLGGSIPSSIFALPILQFLLFSHNQFSGQLHEFSDASSSYLLSLDLSSNNLEGLIPTSIFNFHALESLNLSSNNFSAFPFNGPQQLKNLNEIDLSYNSLLIFYNGTTSSYSSFPNIVRLNLTSNKLRTIPDFLRYQSGLLKLDLSQNQIQGMIPKWIWGLDLFSLNLSCNSLATLEGPVPNFTLVEVDLHSNQLQGPLPTIPSNGGYVDYSRNHFSSSIPFDIGECYFLSLSSNTLHGIIPRSICNARDVQVIDLSNNSLTGVIPKCLSAMPYLVVLNLRGNNLTGRISNLEFGEGCHLSTLDLGENQIKGQFPKSLANCKDLEFLNLRNNQIKDAFPCLLMNMTSYLRVLSLRSNQFYGGIGCPNTNDTWPNLQIIDLAHNNLSGEIPATFLTTWQSMMADVHEKVNVLEFSQPGGGIFVGFSFGDAVTVISKGSERYLVKIITSYTLIDFSCNKFSGSIPKEMGAFKSLYSSPWTCH >ONH96341 pep chromosome:Prunus_persica_NCBIv2:G7:14493773:14495405:-1 gene:PRUPE_7G121900 transcript:ONH96341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEFATISQCLTYDDKEDDLMNASLKNGAISLTRQLNNYREYQNEVVSMVGRAKANDIFSGAIHLLSAGTSDFIQNYYINPLLRVYSPDQFSDILMISYSTFIQNLYGLGVRRIGVISLPPTGCLPAAITLFGLGRNQCIPRLNQDAISFNNKLNNTSQILKSRLPGLKLVVFDIFQPVLDMITNPGDNGFFESRRACCGTGRLETSKLCNSRSVGTCTNATGYVFWDGFHPSEAANEVLAGNILQQGFDLIS >ONH98851 pep chromosome:Prunus_persica_NCBIv2:G7:22116691:22118133:-1 gene:PRUPE_7G269000 transcript:ONH98851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLNLLHMDMDQHWISSTLNLQSTQQQQQQQQQQATTISMETSLLDHQFLNNNKEEDEQDLQRQLPCEIVEEILLQLPVKSLLRFRCVCKPWLALISDPKFIKSHLHNHSTKQDEGDDDGKTRSSKALVMLSSSLSILKSVHVQVLDTRIEAGSTEADAAGAARTTSTRVVVVEEVHESPMRHQAVQDMKIVGSCNGLLCLVLDSQDMMIYNPSTRQLQPVPPPPPPPPPTNNYYSGKDYFYGFGYDSSNDDYKIVRASCSSRNGNFATHLDMYNLNTNSWRAAIKTLPCYFLSNVVGTLLNGALHWVVRLAAAERPFSIVSFDVTEETYRYVPLPGEGDKNFSFYGLGVLGGCLSMLYSPHGSDYEVWLMNEYGVKASWTIFTTIPQKMESEYLGLMSLVRILNNGEIVILLHQRKLVIYNPGHRTLRTILSGDIHSSQLALYLETLVSPSAAPTIKTYSSSITPLLNQAKAKAKASP >ONH98853 pep chromosome:Prunus_persica_NCBIv2:G7:22116528:22119243:-1 gene:PRUPE_7G269000 transcript:ONH98853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLNLLHMDMDQHWISSTLNLQSTQQQQQQQQQQATTISMETSLLDHQFLNNNKEEDEQDLQRQLPCEIVEEILLQLPVKSLLRFRCVCKPWLALISDPKFIKSHLHNHSTKQDEGDDDGKTRSSKALVMLSSSLSILKSVHVQVLDTRIEAGSTEADAAGAARTTSTRVVVVEEVHESPMRHQAVQDMKIVGSCNGLLCLVLDSQDMMIYNPSTRQLQPVPPPPPPPPPTNNYYSGKDYFYGFGYDSSNDDYKIVRASCSSRNGNFATHLDMYNLNTNSWRAAIKTLPCYFLSNVVGTLLNGALHWVVRLAAAERPFSIVSFDVTEETYRYVPLPGEGDKNFSFYGLGVLGGCLSMLYSPHGSDYEVWLMNEYGVKASWTIFTTIPQKMESEYLGLMSLVRILNNGEIVILLHQRKLVIYNPGHRTLRTILSGDIHSSQLALYLETLVSPSAAPTIKTYSSSITPLLNQAKAKAKASP >ONH98848 pep chromosome:Prunus_persica_NCBIv2:G7:22116691:22118133:-1 gene:PRUPE_7G269000 transcript:ONH98848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLNLLHMDMDQHWISSTLNLQSTQQQQQQQQQQATTISMETSLLDHQFLNNNKEEDEQDLQRQLPCEIVEEILLQLPVKSLLRFRCVCKPWLALISDPKFIKSHLHNHSTKQDEGDDDGKTRSSKALVMLSSSLSILKSVHVQVLDTRIEAGSTEADAAGAARTTSTRVVVVEEVHESPMRHQAVQDMKIVGSCNGLLCLVLDSQDMMIYNPSTRQLQPVPPPPPPPPPTNNYYSGKDYFYGFGYDSSNDDYKIVRASCSSRNGNFATHLDMYNLNTNSWRAAIKTLPCYFLSNVVGTLLNGALHWVVRLAAAERPFSIVSFDVTEETYRYVPLPGEGDKNFSFYGLGVLGGCLSMLYSPHGSDYEVWLMNEYGVKASWTIFTTIPQKMESEYLGLMSLVRILNNGEIVILLHQRKLVIYNPGHRTLRTILSGDIHSSQLALYLETLVSPSAAPTIKTYSSSITPLLNQAKAKAKASP >ONH98849 pep chromosome:Prunus_persica_NCBIv2:G7:22116498:22119243:-1 gene:PRUPE_7G269000 transcript:ONH98849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLNLLHMDMDQHWISSTLNLQSTQQQQQQQQQQATTISMETSLLDHQFLNNNKEEDEQDLQRQLPCEIVEEILLQLPVKSLLRFRCVCKPWLALISDPKFIKSHLHNHSTKQDEGDDDGKTRSSKALVMLSSSLSILKSVHVQVLDTRIEAGSTEADAAGAARTTSTRVVVVEEVHESPMRHQAVQDMKIVGSCNGLLCLVLDSQDMMIYNPSTRQLQPVPPPPPPPPPTNNYYSGKDYFYGFGYDSSNDDYKIVRASCSSRNGNFATHLDMYNLNTNSWRAAIKTLPCYFLSNVVGTLLNGALHWVVRLAAAERPFSIVSFDVTEETYRYVPLPGEGDKNFSFYGLGVLGGCLSMLYSPHGSDYEVWLMNEYGVKASWTIFTTIPQKMESEYLGLMSLVRILNNGEIVILLHQRKLVIYNPGHRTLRTILSGDIHSSQLALYLETLVSPSAAPTIKTYSSSITPLLNQAKAKAKASP >ONH98852 pep chromosome:Prunus_persica_NCBIv2:G7:22116531:22119243:-1 gene:PRUPE_7G269000 transcript:ONH98852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLNLLHMDMDQHWISSTLNLQSTQQQQQQQQQQATTISMETSLLDHQFLNNNKEEDEQDLQRQLPCEIVEEILLQLPVKSLLRFRCVCKPWLALISDPKFIKSHLHNHSTKQDEGDDDGKTRSSKALVMLSSSLSILKSVHVQVLDTRIEAGSTEADAAGAARTTSTRVVVVEEVHESPMRHQAVQDMKIVGSCNGLLCLVLDSQDMMIYNPSTRQLQPVPPPPPPPPPTNNYYSGKDYFYGFGYDSSNDDYKIVRASCSSRNGNFATHLDMYNLNTNSWRAAIKTLPCYFLSNVVGTLLNGALHWVVRLAAAERPFSIVSFDVTEETYRYVPLPGEGDKNFSFYGLGVLGGCLSMLYSPHGSDYEVWLMNEYGVKASWTIFTTIPQKMESEYLGLMSLVRILNNGEIVILLHQRKLVIYNPGHRTLRTILSGDIHSSQLALYLETLVSPSAAPTIKTYSSSITPLLNQAKAKAKASP >ONH98850 pep chromosome:Prunus_persica_NCBIv2:G7:22116497:22119267:-1 gene:PRUPE_7G269000 transcript:ONH98850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLNLLHMDMDQHWISSTLNLQSTQQQQQQQQQQATTISMETSLLDHQFLNNNKEEDEQDLQRQLPCEIVEEILLQLPVKSLLRFRCVCKPWLALISDPKFIKSHLHNHSTKQDEGDDDGKTRSSKALVMLSSSLSILKSVHVQVLDTRIEAGSTEADAAGAARTTSTRVVVVEEVHESPMRHQAVQDMKIVGSCNGLLCLVLDSQDMMIYNPSTRQLQPVPPPPPPPPPTNNYYSGKDYFYGFGYDSSNDDYKIVRASCSSRNGNFATHLDMYNLNTNSWRAAIKTLPCYFLSNVVGTLLNGALHWVVRLAAAERPFSIVSFDVTEETYRYVPLPGEGDKNFSFYGLGVLGGCLSMLYSPHGSDYEVWLMNEYGVKASWTIFTTIPQKMESEYLGLMSLVRILNNGEIVILLHQRKLVIYNPGHRTLRTILSGDIHSSQLALYLETLVSPSAAPTIKTYSSSITPLLNQAKAKAKASP >ONH94196 pep chromosome:Prunus_persica_NCBIv2:G7:428015:430814:1 gene:PRUPE_7G003900 transcript:ONH94196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMSLPFAAYLIFFFTLNLMPMPCFSCPQEHKEALLEFKTSLTENLLAANSTDEAMVTELLESWNSSSDCCQWNLVTCHSHAASASKRITGLDISYLVLNGSVPSDVLAPLFRITTLMLLNIASNSMQGEIPGAGFANLTKLVYLDMRENRFNGYIPPQLFQFRYLEYLDLSGNFIQGEIPAEVGNLTELRKLSLRQNKLSGRIPLSVASQLRELQVLELQDNFLSMEIPAEIGNLVNISTLALSNNNLSGGIPQSIQKMSKLETLALDKNILNGDIPAWLFELRELKNLHLGGNKLRWNNSNVTIAPKCMLSQLSLRSCDVGGPIPIWLSNQTRLDLLDLSENRLEGAFPLWLAKLQVGILFLSDNELTGSLPPPLFQTRSLQVLELSRNNFSGKLPEDMGENCAVMILMLSENNFSGPVPKSIANIYRLLLLDLSRNNFSGELPIFKPDALLAFVDISSNKLSGKVPATFGLNTIILSLGQNEFSGELPKNLSNLSQLEHLDLRDNNITGDLPTFLTQISSLQVLNLRNNSIRGSISGDLSNLSSLRILDLSNNLLSGNIPPRVGNLIAMIETPDIPTSLPGAFFSFSVEYDLIVNWKKSKQGLSSHNLDIYSLLDLSNNQFSGNIPSSLGRLKGLKLLNISSNELSGSIPLAFGDLESLETLDLSHNNLSGQIPETFAKLQELNTLDLSNNKLVGHIPESPQMDTLIDPNIYANNSGLCGVQIQLHCPEEHPPSAETDESDEKNDTWWFSWATAGIGFPFGFFSAVASMYVVGYFGTVATRTRRGSQCVWQR >ONH94219 pep chromosome:Prunus_persica_NCBIv2:G7:541991:553428:1 gene:PRUPE_7G004800 transcript:ONH94219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPTQQQQQQQLAIILGPDPTHFETLISHLMSSSNDQRSQAEALFNLCKQAHPDALLLKLLHVLQSSTRPESRTMAVILLRRQLTHDDSFLWPRLTPNTQSTLKSLLLSSLQSESSKSMSKKLCDTISELASSVLPENQWPELLPFMFQCVTSDNPKLQESALLIFAQLAHYIGETLVPHLTTLHEVFFRCLSTSAKSADVRIAALGASVNFIQCLTSAAERDRFQDLLPLMMQTLTEALNCGQEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFIQRLFAILMNMLLDIEDEPEWHAADTENEDAGETSNYGFGQECLDRLSISLGGNTIVPVASEVFPAFLAAPEWKKHHAAHIALAQIAEGCSKVMIKNLEQVVSMVLNSFQDPHPRVRWAAINAVGQLSTDLGPELQVQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKAILINANDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQIMEVLMSLQGSQMEADDPTTSYMLQAWARLCKCLGQDFLPYMNVVMPSLLQSAQLKPDVTITSADSDADIDEDDDSIEMITVGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGLAQGHNESYIKQLSDYIIPALVEALHKEPEVEICASILDALKECVQISGALLDENQVRCIVDEIKQVVTASSSRKQERAERAKAEDFDAEEGELLKEENEQEEELFDLVGDCLGSLIKTFKASFIPFFDELSTYVTLMLGKDKTAEERRIAICIFDDMAEHCREAALKYYDTYVPFLLEACNDESSDVRQAAVYGVGLCAEYGGSVFKPLVGEALSRLDMVIRHPNAQHSDNVMAYDNAVSAFGKICQFHRDSIDATQLVPAWLSCLPIKGDLIEAKVVHDQLCSMVERSDRELLGPNNQYLPKIVAVFAEVLCAGKDLATEQTASRMVNLLRQLQQTLPPSTLESTWSSLQPQQQLALQFILSS >ONH94220 pep chromosome:Prunus_persica_NCBIv2:G7:541991:553428:1 gene:PRUPE_7G004800 transcript:ONH94220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPTQQQQQQQLAIILGPDPTHFETLISHLMSSSNDQRSQAEALFNLCKQAHPDALLLKLLHVLQSSTRPESRTMAVILLRRQLTHDDSFLWPRLTPNTQSTLKSLLLSSLQSESSKSMSKKLCDTISELASSVLPENQWPELLPFMFQCVTSDNPKLQESALLIFAQLAHYIGETLVPHLTTLHEVFFRCLSTSAKSADVRIAALGASVNFIQCLTSAAERDRFQDLLPLMMQTLTEALNCGQEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFIQRLFAILMNMLLDIEDEPEWHAADTENEDAGETSNYGFGQECLDRLSISLGGNTIVPVASEVFPAFLAAPEWKKHHAAHIALAQIAEGCSKVMIKNLEQVVSMVLNSFQDPHPRVRWAAINAVGQLSTDLGPELQVQYHQRVLPALAGAMDDFQNPRVQEQFQKYYDAVMPYLKAILINANDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQIMEVLMSLQGSQMEADDPTTSYMLQAWARLCKCLGQDFLPYMNVVMPSLLQSAQLKPDVTITSADSDADIDEDDDSIEMITVGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGLAQGHNESYIKQLSDYIIPALVEALHKEPEVEICASILDALKECVQISGALLDENQVRCIVDEIKQVVTASSSRKQERAERAKAEDFDAEEGELLKEENEQEEELFDLVGDCLGSLIKTFKASFIPFFDELSTYVTLMLGKDKTAEERRIAICIFDDMAEHCREAALKYYDTYVPFLLEACNDESSDVRQAAVYGVGLCAEYGGSVFKPLVGEALSRLDMVIRHPNAQHSDNVMAYDNAVSAFGKICQFHRDSIDATQLVPAWLSCLPIKGDLIEAKVVHDQLCSMVERSDRELLGPNNQYLPKIVAVFAEVLCAGKDLATEQTASRMVNLLRQLQQTLPPSTLESTWSSLQPQQQLALQFILSS >ONH94222 pep chromosome:Prunus_persica_NCBIv2:G7:541991:553325:1 gene:PRUPE_7G004800 transcript:ONH94222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNLEQVVSMVLNSFQDPHPRVRWAAINAVGQLSTDLGPELQVQYHQRVLPALAGAMDDFQNPRVQEQFQKYYDAVMPYLKAILINANDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQIMEVLMSLQGSQMEADDPTTSYMLQAWARLCKCLGQDFLPYMNVVMPSLLQSAQLKPDVTITSADSDADIDEDDDSIEMITVGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGLAQGHNESYIKQLSDYIIPALVEALHKEPEVEICASILDALKECVQISGALLDENQVRCIVDEIKQVVTASSSRKQERAERAKAEDFDAEEGELLKEENEQEEELFDLVGDCLGSLIKTFKASFIPFFDELSTYVTLMLGKDKTAEERRIAICIFDDMAEHCREAALKYYDTYVPFLLEACNDESSDVRQAAVYGVGLCAEYGGSVFKPLVGEALSRLDMVIRHPNAQHSDNVMAYDNAVSAFGKICQFHRDSIDATQLVPAWLSCLPIKGDLIEAKVVHDQLCSMVERSDRELLGPNNQYLPKIVAVFAEVLCAGKDLATEQTASRMVNLLRQLQQTLPPSTLESTWSSLQPQQQLALQFILSS >ONH94221 pep chromosome:Prunus_persica_NCBIv2:G7:541991:549185:1 gene:PRUPE_7G004800 transcript:ONH94221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPTQQQQQQQLAIILGPDPTHFETLISHLMSSSNDQRSQAEALFNLCKQAHPDALLLKLLHVLQSSTRPESRTMAVILLRRQLTHDDSFLWPRLTPNTQSTLKSLLLSSLQSESSKSMSKKLCDTISELASSVLPENQWPELLPFMFQCVTSDNPKLQESALLIFAQLAHYIGETLVPHLTTLHEVFFRCLSTSAKSADVRIAALGASVNFIQCLTSAAERDRFQDLLPLMMQTLTEALNCGQEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFIQRLFAILMNMLLDIEDEPEWHAADTENEDAGETSNYGFGQECLDRLSISLGGNTIVPVASEVFPAFLAAPEWKKHHAAHIALAQIAEGCSKVMIKNLEQVVSMVLNSFQDPHPRVRWAAINAVGQLSTDLGPELQVQYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKAILINANDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQIMEVLMSLQGSQMEADDPTTSYMLQAWARLCKCLGQDFLPYMNVVMPSLLQSAQLKPDVTITSADSDADIDEDDDSIEMITVGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGLAQGHNESYIKQLSDYIIPALVEALHKEPEVEICASILDALKECVQISGALLDENQVRCIVDEIKQVVTASSSRKQERAERAKAEDFDAEEGELLKEENEQEEELFDLVGDCLGSLIKTFKASFIPFFDELSTYVTLMLVNLPSSRFVCLV >ONH95086 pep chromosome:Prunus_persica_NCBIv2:G7:8812101:8813486:1 gene:PRUPE_7G050900 transcript:ONH95086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTLVAVLSLSLTLLSFGGAHAATMSFKNNCPYTVWPASFGNPQLSTTGFELASQASFQLDTPVPWSGRFWARTRCSTDASGKFVCETADCDSGQLMCNGKTGIPPATLAEFTIAAGGGQDFYDVSLVDGFNLPMSVTPQGGTGTCKMGSCAANVNLVCPSELQKIGSDGSVVACLSACVKFGEPQYCCTPPQETKEKCPPTNYSQIFHEQCPDAYSYAFDDNKGLFTCSGGPNYLITFCP >ONH95244 pep chromosome:Prunus_persica_NCBIv2:G7:9820487:9822498:1 gene:PRUPE_7G058900 transcript:ONH95244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIAKAKSNNSSSSSSSSSENWGMDLLLVFFPEDNGTAIVDKSNKLSSSSSASSPSPSSSSSSSSSSKTCTIFKRTNSNNLLLSKAQSTISICALLVFITLLLFTLSTFEPTTKPHPLITTASRRFLSQKSPPSTNTDPKLLNKPNAATNPNHSFLLSSSWFGKMWKQKQTNPNLASRRHQFALQKMGTLYRRGTRAMADLVVGHVQEDVTEDDLRLFLRLLHRSGLTSKADVALIFASSSSRFGSVIAEEDDSFFKLINHYRELNRTSRKPSSGFDVAQFLKPGKKQIEEPLWGKKSANSEAEAGLTQLSYGSVVGFEAGELDPENSLSGFLDHGVPMSLRRWACYPMLLGRVRRNFKHIMLVDVQNSVVLGDPLCRVKHHSLESVLLFTMPPGKHGKKNSESTPSHGPVNSVIITGGARGIRRLSNTVLTEIVRATMQHKRKNSVTESGILSQLVVNAFVAKNINLITSTESIPDPSSLGAATELSGHTIIQRGNSNAFDLNSNIMKQICLSEIDSSVYRDC >ONH94669 pep chromosome:Prunus_persica_NCBIv2:G7:4133611:4138523:1 gene:PRUPE_7G025700 transcript:ONH94669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVLEIGVQIRKFAIISKRTCYRLVGNHPFLVGVLLFLIFLYRSFPFAFSLLVSTSPVLVCTAVLLGTLLSFGQPNIPEIEKEEKLTHDIASLKTGVSRDDTFVVDRDGSFSTERFSGKTRDVVSSEVEDHDPQRDSKVSSEVEDHDGSSVYVPLINENLKHTQNEKRVIEGVERELESPELDNQRDPHDERLGIEGVEWDVEAVEQQYTLVQTVEDDISPRESYRADHLDSSLGVGGSAGDDEADEDVNDEASDSESDRAESSSPDASMADILPILDELHPLLDSEAPEPAHMSHDESESDRSNAGSVESDKETENLGRGVQGDDGVDYNDGDEEEAQGGKEDESESAIIWTEDDQKNLMDLGNLELERNQRLENLIARRRARKSFKITAEKNLIDFDSADLPFNIAPISTTRHNPFDLPYDSFDNLGLPPIPGSAPSILLPRRNPFDLPYESNEEKPDLKGDHFEQEFMAFHSKDAFFRRHESFSLGPSSLGHAKQERQDFKWRPVFVPERLASEGTSSSSFQRQSSEVSDSKLSSLPDTESVSSAADLDERKFSEQDFTKEAEVISNIYHAPYLVEHESHSSEDVDSLDMEQAGKRDVQHDEPAIKLGELQNPDPSLSGTGGVATLVEHISNEIHLKPEPVEEDKTSRSSLSSLSEVDENISDVMKGGSTSLEAEGDIIKEFVISLQPSIEESEVQFMSRAVDDNEHKEPVYDSSPLPTEKILSFNSISSDMQADISEMVTPQASTEMYVVDQDSEAPGESTEKGTSGYVEINGSTSEVHASDEIERSLGTCNQLNLACPHLEEEIYLPKNLNVKTASSDSSYQSVLSEKMPSEQEKVLSWSDKSMVEPCFDDHAEALVVNEEVDDVKEIDAGLLSELDAGLLSELDAVGDFSVKEVVGEPLHTDEPIQEEANVSRTEFGDSNLSESNLELLVPEARSIEDIDMAFKQIQKGLDVEEVILPSIVDNQLAVEAAENTVQTSSEFPIVEARSLEDIVTTLNQVSESSVNVLPQLMDSEDQSTELPLEYIHTILKQVSEGNSIGELPNPSYSNDGSEEVGTTAVGSLEEIASRNIVSSVQENITACDALEQVSESHVDELPKPTSNSEGLEEVGINAMGSMEEIASSNIVSSALENISTQTALKQVSESHVDELPKQTSDLKEGSAEVGTTAMGSTNEIASSNIVSSEQENFTTHTALKQVSESHVDELPKPTANSKEGLEEVGDAMASSTKEIASTTTEPGVQEAITTPTALKQVSGSNVDELPKSSNSQSGFAEVGPNATDLPIENIRHEN >ONH94668 pep chromosome:Prunus_persica_NCBIv2:G7:4133611:4138523:1 gene:PRUPE_7G025700 transcript:ONH94668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVLEIGVQIRKFAIISKRTCYRLVGNHPFLVGVLLFLIFLYRSFPFAFSLLVSTSPVLVCTAVLLGTLLSFGQPNIPEIEKEEKLTHDIASLKTGVSRDDTFVVDRDGSFSTERFSGKTRDVVSSEVEDHDPQRDSKVSSEVEDHDGSSVYVPLINENLKHTQNEKRVIEGVERELESPELDNQRDPHDERLGIEGVEWDVEAVEQQYTLVQTVEDDISPRESYRADHLDSSLGVGGSAGDDEADEDVNDEASDSESDRAESSSPDASMADILPILDELHPLLDSEAPEPAHMSHDESESDRSNAGSVESDKETENLGRGVQGDDGVDYNDGDEEEAQGGKEDESESAIIWTEDDQKNLMDLGNLELERNQRLENLIARRRARKSFKITAEKNLIDFDSADLPFNIAPISTTRHNPFDLPYDSFDNLGLPPIPGSAPSILLPRRNPFDLPYESNEEKPDLKGDHFEQEFMAFHSKDAFFRRHESFSLGPSSLGHAKQERQDFKWRPVFVPERLASEGTSSSSFQRQSSEVSDSKLSSLPDTESVSSAADLDERKFSEQDFTKEAEVISNIYHAPYLVEHESHSSEDVDSLDMEQAGKRDVQHDEPAIKLGELQNPDPSLSGTGGVATLVEHISNEIHLKPEPVEEDKTSRSSLSSLSEVDENISDVMKGGSTSLEAEGDIIKEFVISLQPSIEESEVQFMSRAVDDNEHKEPVYDSSPLPTEKILSFNSISSDMQADISEMVTPQASTEMYVVDQDSEAPGESTEKGTSGYVEINGSTSEVHASDEIERSLGTCNQLNLACPHLEEEIYLPKNLNVKTASSDSSYQSVLSEKMPSEQEKVLSWSDKSMVEPCFDDHAEALVVNEEVDDVKEIDAGLLSELDAGLLSELDAVGDFSVKEVVGEPLHTDEPIQEEANVSRTEFGDSNLSESNLELLVPEARSIEDIDMAFKQIQKGLDVEEVILPSIVDNQLAVEAAENTVQTSSEFPIVEARSLEDIVTTLNQVSESSVNVLPQLMDSEDQSTELPLEYIHTILKQVSEGNSIGELPNPSYSNDGSEEVGTTAVGSLEEIASRNIVSSVQENITACDALEQVSESHVDELPKPTSNSEGLEEVGINAMGSMEEIASSNIVSSALENISTQTALKQVSESHVDELPKQTSDLKEGSAEVGTTAMGSTNEIASSNIVSSEQENFTTHTALKQVSESHVDELPKPTANSKEGLEEVGDAMASSTKEIASTTTEPGVQEAITTPTALKQVSGSNVDELPKSSNSQSGFAEVGPNATDLPIENIRHEN >ONH94667 pep chromosome:Prunus_persica_NCBIv2:G7:4133611:4138523:1 gene:PRUPE_7G025700 transcript:ONH94667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVLEIGVQIRKFAIISKRTCYRLVGNHPFLVGVLLFLIFLYRSFPFAFSLLVSTSPVLVCTAVLLGTLLSFGQPNIPEIEKEEKLTHDIASLKTGVSRDDTFVVDRDGSFSTERFSGKTRDVVSSEVEDHDPQRDSKVSSEVEDHDGSSVYVPLINENLKHTQNEKRVIEGVERELESPELDNQRDPHDERLGIEGVEWDVEAVEQQYTLVQTVEDDISPRESYRADHLDSSLGVGGSAGDDEADEDVNDEASDSESDRAESSSPDASMADILPILDELHPLLDSEAPEPAHMSHDESESDRSNAGSVESDKETENLGRGVQGDDGVDYNDGDEEEAQGGKEDESESAIIWTEDDQKNLMDLGNLELERNQRLENLIARRRARKSFKITAEKNLIDFDSADLPFNIAPISTTRHNPFDLPYDSFDNLGLPPIPGSAPSILLPRRNPFDLPYESNEEKPDLKGDHFEQEFMAFHSKDAFFRRHESFSLGPSSLGHAKQERQDFKWRPVFVPERLASEGTSSSSFQRQSSEVSDSKLSSLPDTESVSSAADLDERKFSEQDFTKEAEVISNIYHAPYLVEHESHSSEDVDSLDMEQAGKRDVQHDEPAIKLGELQNPDPSLSGTGGVATLVEHISNEIHLKPEPVEEDKTSRSSLSSLSEVDENISDVMKGGSTSLEAEGDIIKEFVISLQPSIEESEVQFMSRAVDDNEHKEPVYDSSPLPTEKILSFNSISSDMQADISEMVTPQASTEMYVVDQDSEAPGESTEKGTSGYVEINGSTSEVHASDEIERSLGTCNQLNLACPHLEEEIYLPKNLNVKTASSDSSYQSVLSEKMPSEQEKVLSWSDKSMVEPCFDDHAEALVVNEEVDDVKEIDAGLLSELDAGLLSELDAVGDFSVKEVVGEPLHTDEPIQEEANVSRTEFGDSNLSESNLELLVPEARSIEDIDMAFKQIQKGLDVEEVILPSIVDNQLAVEAAENTVQTSSEFPIVEARSLEDIVTTLNQVSESSVNVLPQLMDSEDQSTELPLEYIHTILKQVSEGNSIGELPNPSYSNDGSEEVGTTAVGSLEEIASRNIVSSVQENITACDALEQVSESHVDELPKPTSNSEGLEEVGINAMGSMEEIASSNIVSSALENISTQTALKQVSESHVDELPKQTSDLKEGSAEVGTTAMGSTNEIASSNIVSSEQENFTTHTALKQVSESHVDELPKPTANSKEGLEEVGDAMASSTKEIASTTTEPGVQEAITTPTALKQVSGSNVDELPKSSNSQSGFAEVGPNATDLPIENIRHEN >ONH95927 pep chromosome:Prunus_persica_NCBIv2:G7:12841140:12841688:1 gene:PRUPE_7G096700 transcript:ONH95927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSQVHPQAPNVQLNSLFMSKFNISNTTLGANWDMTLTIDNPNLLTWVRFNHIKGSMSYKDNILAISSIEPFMLGLKERRTVRVKISTMGLGEEDRDHQLVVKKRVLDEMMSKRREDGAVHFSMQMFVWATYRTGLWGTQQDVVMSPQCLDLKVGFSRGIGFGSGISGGPMMCSVPILIN >ONH98038 pep chromosome:Prunus_persica_NCBIv2:G7:19955369:19958069:1 gene:PRUPE_7G224600 transcript:ONH98038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIIPMASSIRPSLSTLRFAGASRLGLSLASFTPRRLACFHLASAVPQSQSFGLKASKLLRGDGKTIGVAAGGNAAQASTTATPENVLEWVKQDKRRLLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGTAFGHFGIAVDDVAKTVELVKAKGGKVTREPGPVKGGNTVIAFVEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSITFYEKAFGMELLRKRDNPEYKYTIAMLGYGPEDKNAVLELTYNYGVTEYNKGNAYAQIAVGTDDVYKTAEAIKLCGGKITREPGPLPGINTKITACLDPDGWKSVLKVHIK >ONH98037 pep chromosome:Prunus_persica_NCBIv2:G7:19955195:19958578:1 gene:PRUPE_7G224600 transcript:ONH98037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIIPMASSIRPSLSTLRFAGASRLGLSLASFTPRRLACFHLASAVPQSQSFGLKASKLLRGDGKTIGVAAGGNAAQASTTATPENVLEWVKQDKRRLLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGTAFGHFGIAVDDVAKTVELVKAKGGKVTREPGPVKGGNTVIAFVEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSITFYEKAFGMELLRKRDNPEYKYTIAMLGYGPEDKNAVLELTYNYGVTEYNKGNAYAQIAVGTDDVYKTAEAIKLCGGKITREPGPLPGINTKITACLDPDGWKSVFVDNVDFLKELE >ONH96101 pep chromosome:Prunus_persica_NCBIv2:G7:13601334:13603815:1 gene:PRUPE_7G107700 transcript:ONH96101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFWIGDKKGTEDLGTADLKSLLQLYLLQDFQPRKLIMTEDNHAIGIDLGTTYSCVAAWQADHVEILVNDHGNRTTRSYVTFTDSKRLVGDEAFNQVGRFPANSIFDAKRLIGRRFNEETVQKDVKCWPFKVIEGPADKPLIVVNHKGEEKKFAAEDISSMVLAKMREIAESYLCSKISAKNAVITVPSYFNDSQRQATIEAGKLAGLNVLRIINEPTAAAIAYGIDKKAGWFNKRNVMIFDWGGGTLDVSLLTIGNGVFDVKATAGDTHLGGEDLDNRMVNYCVEEFKTKQNVDIGGNAKALRKAKTACEKAKKALSFSFDTDIEIDSWYKGEDFHTTFTRDKFEELSMDIFNKCMEPVNKCLEDAKMDISEVDDVVLVGGSSRIPKVQELLQEVFKGKELCKSINPDEAVAYGAAVQAASLSGNVTGKLQDFTLLDVTPLSLGLELVKHDSSELYMNVVIPRNSRIPVSKTTHVTTVDDYQESVTFSIYEGESKVAKNNNFLGEFTLHGIPPAPKGVPKFTVYFDMDANGVLSVSAEDMSTRQKKGIKIKRDRTK >ONH96102 pep chromosome:Prunus_persica_NCBIv2:G7:13601757:13603815:1 gene:PRUPE_7G107700 transcript:ONH96102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDNHAIGIDLGTTYSCVAAWQADHVEILVNDHGNRTTRSYVTFTDSKRLVGDEAFNQVGRFPANSIFDAKRLIGRRFNEETVQKDVKCWPFKVIEGPADKPLIVVNHKGEEKKFAAEDISSMVLAKMREIAESYLCSKISAKNAVITVPSYFNDSQRQATIEAGKLAGLNVLRIINEPTAAAIAYGIDKKAGWFNKRNVMIFDWGGGTLDVSLLTIGNGVFDVKATAGDTHLGGEDLDNRMVNYCVEEFKTKQNVDIGGNAKALRKAKTACEKAKKALSFSFDTDIEIDSWYKGEDFHTTFTRDKFEELSMDIFNKCMEPVNKCLEDAKMDISEVDDVVLVGGSSRIPKVQELLQEVFKGKELCKSINPDEAVAYGAAVQAASLSGNVTGKLQDFTLLDVTPLSLGLELVKHDSSELYMNVVIPRNSRIPVSKTTHVTTVDDYQESVTFSIYEGESKVAKNNNFLGEFTLHGIPPAPKGVPKFTVYFDMDANGVLSVSAEDMSTRQKKGIKIKRDRTK >ONH95572 pep chromosome:Prunus_persica_NCBIv2:G7:11414162:11417016:1 gene:PRUPE_7G078100 transcript:ONH95572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQIEKLRRDLVYIRQAFTGLKNFEESASALFKILLQPLHSLHQLLDQPTQFHIHDSREKQFQSKLRVHSEIIIKLKLLIPSQHQMVLNKANPLSLTGVVFDPNKVLDQLSDLHFSKVFGESPTFKDFRVVYNSLCVTTKLCLLCFAVFPANEVIKKGLLVHWWIGEGFLNPPVDGKETVVEIADGVFEELTKKGCIEPLYKKRRSVVRSFKMHPLIRSAVIVIAKDVRFFDFDSKGNPTANFSWSHWACLMNGSSHLTLANRPDLDPEKLQTIFSVNEAYPDFSEVDWSRLRNVKVLYLGRWHSRANHHIEVDDVEFFKGLRYMRHLIFFSLRGISRIMELPDSLCKLSSLRILDLSACHNLEIFPETIGLLKMLTHLDMSECYLLEHMPKGIALLSELQVLNGFIICALHDLSALKKLRKLTINTSREDFPREEELIVFHQFGSLRKLTIAWGGLYLPAIQLDKRIKLKNIAAQRTTSNTLKSSGGNQTQDNAAPEPATPTGIRNHFRKIPSSLPAFKRITGTTTRNQEHFKELEKLDLQCYPQMTAPSWLMPGKLKSLKKLYSRGGQLQNLGQVHENDKWTIRILRLKFLSELKLDWNELQVSFPDLIYLEKYRCPKLTFFPCDASGPWLKP >ONH95569 pep chromosome:Prunus_persica_NCBIv2:G7:11414162:11416795:1 gene:PRUPE_7G078100 transcript:ONH95569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQIEKLRRDLVYIRQAFTGLKNFEESASALFKILLQPLHSLHQLLDQPTQFHIHDSREKQFQSKLRVHSEIIIKLKLLIPSQHQMVLNKANPLSLTGVVFDPNKVLDQLSDLHFSKVFGESPTFKDFRVVYNSLCVTTKLCLLCFAVFPANEVIKKGLLVHWWIGEGFLNPPVDGKETVVEIADGVFEELTKKGCIEPLYKKRRSVVRSFKMHPLIRSAVIVIAKDVRFFDFDSKGNPTANFSWSHWACLMNGSSHLTLANRPDLDPEKLQTIFSVNEAYPDFSEVDWSRLRNVKVLYLGRWHSRANHHIEVDDVEFFKGLRYMRHLIFFSLRGISRIMELPDSLCKLSSLRILDLSACHNLEIFPETIGLLKMLTHLDMSECYLLEHMPKGIALLSELQVLNGFIICALHDLSALKKLRKLTINTSREDFPREEELIVFHQFGSLRKLTIAWGGLYLPAIQLDKRIKLKNIAAQRTTSNTLKSSGGNQTQDNAAPEPATPTGIRNHFRKIPSSLPAFKRITGTTTRNQEHFKELEKLDLQCYPQMTAPSWLMPGKLKSLKKLYSRGGQLQNLGQVHENDKWTIRILRLKFLSELKLDWNELQVSFPDLIYLEKYRCPKLTFFPCDASGPWLKP >ONH95570 pep chromosome:Prunus_persica_NCBIv2:G7:11414542:11417016:1 gene:PRUPE_7G078100 transcript:ONH95570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQIEKLRRDLVYIRQAFTGLKNFEESASALFKILLQPLHSLHQLLDQPTQFHIHDSREKQFQSKLRVHSEIIIKLKLLIPSQHQMVLNKANPLSLTGVVFDPNKVLDQLSDLHFSKVFGESPTFKDFRVVYNSLCVTTKLCLLCFAVFPANEVIKKGLLVHWWIGEGFLNPPVDGKETVVEIADGVFEELTKKGCIEPLYKKRRSVVRSFKMHPLIRSAVIVIAKDVRFFDFDSKGNPTANFSWSHWACLMNGSSHLTLANRPDLDPEKLQTIFSVNEAYPDFSEVDWSRLRNVKVLYLGRWHSRANHHIEVDDVEFFKGLRYMRHLIFFSLRGISRIMELPDSLCKLSSLRILDLSACHNLEIFPETIGLLKMLTHLDMSECYLLEHMPKGIALLSELQVLNGFIICALHDLSALKKLRKLTINTSREDFPREEELIVFHQFGSLRKLTIAWGGLYLPAIQLDKRIKLKNIAAQRTTSNTLKSSGGNQTQDNAAPEPATPTGIRNHFRKIPSSLPAFKRITGTTTRNQEHFKELEKLDLQCYPQMTAPSWLMPGKLKSLKKLYSRGGQLQNLGQVHENDKWTIRILRLKFLSELKLDWNELQVSFPDLIYLEKYRCPKLTFFPCDASGPWLKP >ONH95571 pep chromosome:Prunus_persica_NCBIv2:G7:11414052:11416795:1 gene:PRUPE_7G078100 transcript:ONH95571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQIEKLRRDLVYIRQAFTGLKNFEESASALFKILLQPLHSLHQLLDQPTQFHIHDSREKQFQSKLRVHSEIIIKLKLLIPSQHQMVLNKANPLSLTGVVFDPNKVLDQLSDLHFSKVFGESPTFKDFRVVYNSLCVTTKLCLLCFAVFPANEVIKKGLLVHWWIGEGFLNPPVDGKETVVEIADGVFEELTKKGCIEPLYKKRRSVVRSFKMHPLIRSAVIVIAKDVRFFDFDSKGNPTANFSWSHWACLMNGSSHLTLANRPDLDPEKLQTIFSVNEAYPDFSEVDWSRLRNVKVLYLGRWHSRANHHIEVDDVEFFKGLRYMRHLIFFSLRGISRIMELPDSLCKLSSLRILDLSACHNLEIFPETIGLLKMLTHLDMSECYLLEHMPKGIALLSELQVLNGFIICALHDLSALKKLRKLTINTSREDFPREEELIVFHQFGSLRKLTIAWGGLYLPAIQLDKRIKLKNIAAQRTTSNTLKSSGGNQTQDNAAPEPATPTGIRNHFRKIPSSLPAFKRITGTTTRNQEHFKELEKLDLQCYPQMTAPSWLMPGKLKSLKKLYSRGGQLQNLGQVHENDKWTIRILRLKFLSELKLDWNELQVSFPDLIYLEKYRCPKLTFFPCDASGPWLKP >ONH94649 pep chromosome:Prunus_persica_NCBIv2:G7:4075794:4080172:1 gene:PRUPE_7G025100 transcript:ONH94649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHYKVLGLPRSASKEEIKEAFRKLAVKLHPDKHSHSPKAVRESTTLRFKQVSEAYQVLIDDRKRADYNFRSSRSHNYNANTSNYGHGYGYGYSATNYGGKYRRASSGGSGASSAFENVLRYVTTRAFLLNLSVAGAFLGGVVVVNRGWDALWKMHNSEKSFEETMRSLERSKVRKEKP >ONH95286 pep chromosome:Prunus_persica_NCBIv2:G7:10036055:10039271:1 gene:PRUPE_7G061200 transcript:ONH95286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKDLENEFDDKAYWPKPIEDHFIHLLYEEAKKGLQTNNLDKTEWAGIEKQLFDKFGKRYSRDKLRQKYNRLRKIHREFTKLISHTGMGWDPNMNTVQASEEVWASYLKKNKFASRFRSKGCPHYDLLGLIFNYTTASGQMQCASTHSPPDSDAERELENDFLTNGAHVGLNPESGSRGFSEGDEGTSNKNKRAALFPQSDLPSRLKSSKSTKIDETIEAWAKSLNSKIEVSLAKLTRKSEKEVAFPYKELGSIEDCMEILEAMEGVNDDAYVKALDKFTSSDWRRMFVKMSDSRRRVWLKSLK >ONH95287 pep chromosome:Prunus_persica_NCBIv2:G7:10035878:10039271:1 gene:PRUPE_7G061200 transcript:ONH95287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKDLENEFDDKAYWPKPIEDHFIHLLYEEAKKGLQTNNLDKTEWAGIEKQLFDKFGKRYSRDKLRQKYNRLRKIHREFTKLISHTGMGWDPNMNTVQASEEVWASYLKKNKFASRFRSKGCPHYDLLGLIFNYTTASGQMQCASTHSPPDSDAERELENDFLTNGAHVGLNPESGSRGFSEGDEGTSNKNKRAALFPQSDLPSRLKSSKSTKIDETIEAWAKSLNSKIEVSLAKLTRKSEKEVAFPYKELGSIEDCMEILEAMEGVNDDAYVKALDKFTSSDWRRMFVKMSDSRRRVWLKSLK >ONH95285 pep chromosome:Prunus_persica_NCBIv2:G7:10036339:10039271:1 gene:PRUPE_7G061200 transcript:ONH95285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKDLENEFDDKAYWPKPIEDHFIHLLYEEAKKGLQTNNLDKTEWAGIEKQLFDKFGKRYSRDKLRQKYNRLRKIHREFTKLISHTGMGWDPNMNTVQASEEVWASYLKKNKFASRFRSKGCPHYDLLGLIFNYTTASGQMQCASTHSPPDSDAERELENDFLTNGAHVGLNPESGSRGFSEGDEGTSNKNKRAALFPQSDLPSRLKSSKSTKIDETIEAWAKSLNSKIEVSLAKLTRKSEKEVAFPYKELGSIEDCMEILEAMEGVNDDAYVKALDKFTSSDWRRMFVKMSDSRRRVWLKSLK >ONH95450 pep chromosome:Prunus_persica_NCBIv2:G7:10900313:10900922:1 gene:PRUPE_7G071400 transcript:ONH95450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRRMFSTVFILVLLLVAIGTGPMVAEGKVETKETSRTCESLSTKFKGPCFRSSNCANICEKEGFKGGKCVGFRLRCTCTKNC >ONH95844 pep chromosome:Prunus_persica_NCBIv2:G7:12481065:12484527:1 gene:PRUPE_7G091900 transcript:ONH95844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCFNYPFLKGLNQGPKNKAPVVGTASVNLAEFVSEAEQKELQLNIPLISSGGAAEPCPSLCISLSLLELRTAQEITEPVQRSLVPVPSPPQSAETISTEKDELSALKAGLRKVKIFTEYVSARKAKKPCREEDGSEGRCSARSEDGEYNYPFDSDSLDDFEEGESEEVKEDSTVRKSFSYGTLAHANYAGGSIYSNMRINGEGEDWVYYSNRKSDVGCSQAEDSTASVSESSTSSKRGLLSWRKRKLSFIRSPKAKGEPLLKKAYGEEGGDDIDFDRRQLSSDESLSLGWNKTEEDSSANRSSVSEFGDDNFAIGSWENKEVTNRDGHMKLQTEIFFASIDQRSERAAGESACTALVAVIANWFQNNRELMPIKSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLETVLQAKIRPLSVVSGKSFIGFFHPEVVEEGRFDFLHGAMSFDNIWDEISRAGSECASNGEPQVYIVSWNDHFFILKVEAEAYYIIDTLGERLYEGCNQAYILKFDSSTIIYKMQNIAESSDDKTTSDQPIVAGAGEYKNQQAQQAEQVNEKEEGSTVEAEITKPEEQKEEEEVVCRGKESCKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTQFLKLLPTTPVAEVTANASQSPELSTTEVAA >ONH95843 pep chromosome:Prunus_persica_NCBIv2:G7:12479986:12484527:1 gene:PRUPE_7G091900 transcript:ONH95843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMRWRPWPPLTTKKYEVGLVVRRLEGWDLVREAAGGAEPLEKEDKWTAEIMWKGSKVKVGALSSLRRAIVKRNFTREVEASSENGVIQWDEEFHSVCSFSAYKDNVFHPWEIVFTVFNGLNQGPKNKAPVVGTASVNLAEFVSEAEQKELQLNIPLISSGGAAEPCPSLCISLSLLELRTAQEITEPVQRSLVPVPSPPQSAETISTEKDELSALKAGLRKVKIFTEYVSARKAKKPCREEDGSEGRCSARSEDGEYNYPFDSDSLDDFEEGESEEVKEDSTVRKSFSYGTLAHANYAGGSIYSNMRINGEGEDWVYYSNRKSDVGCSQAEDSTASVSESSTSSKRGLLSWRKRKLSFIRSPKAKGEPLLKKAYGEEGGDDIDFDRRQLSSDESLSLGWNKTEEDSSANRSSVSEFGDDNFAIGSWENKEVTNRDGHMKLQTEIFFASIDQRSERAAGESACTALVAVIANWFQNNRELMPIKSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLETVLQAKIRPLSVVSGKSFIGFFHPEVVEEGRFDFLHGAMSFDNIWDEISRAGSECASNGEPQVYIVSWNDHFFILKVEAEAYYIIDTLGERLYEGCNQAYILKFDSSTIIYKMQNIAESSDDKTTSDQPIVAGAGEYKNQQAQQAEQVNEKEEGSTVEAEITKPEEQKEEEEVVCRGKESCKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTQFLKLLPTTPVAEVTANASQSPELSTTEVAA >ONH95434 pep chromosome:Prunus_persica_NCBIv2:G7:10827026:10829434:-1 gene:PRUPE_7G070300 transcript:ONH95434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWDLIYWLICFCIDLALFASSLYQYVMLTDLEADYINPYELSSRINQLVVPEFVVHIVFCALFLVTQHWFMFLITVPITCYIVMLFVKQQHLIDVTEVFRVLNTEKKCRLVKLGFYFSLLAIIIVRLAISGILFPRSGSEEFDLRASFLEF >ONH94795 pep chromosome:Prunus_persica_NCBIv2:G7:5719398:5720484:1 gene:PRUPE_7G031000 transcript:ONH94795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLVKRRKQMSLKTELACLSLLVLILLQLETPSLAAGNEKSTTVKAAPTKASEFNPAKPQVGGFKRNAEKDGNDEIFGADKRTIYTGPNPLHNR >ONH96364 pep chromosome:Prunus_persica_NCBIv2:G7:14597470:14604503:1 gene:PRUPE_7G123700 transcript:ONH96364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLHKNRPAKSGERVDFKFSHFKALQVPRGWDKLFVSIVSVETGKPIAKSSKAVVRNGNCQWTETLSESIWISQDDSSKEMEDYFFKLVLSMGSARSGILGETTVNMSDYISSTSSVPVSLPLKKCTYGTVLQVKINCLTPRKRLSDEESKETSCQFEEPNANGLDVDSKSNGSNSTFGRSVGSSSMKDFGLTSNPGEPGSRGSSFSASGSHNSYDSAEGSIRRDNASPGSNLSGEGNHLIGRQDSTDSPISTTHGNYPADAPSPSNHSSFNSRINHSRKDFTESPLTTTDSSKNLLEAAEFTIEELHAEAKMWERNARKVMLDLEILRTEFSDQSKKQANLNVELSAAYAERDGLKKEVEHLQLLFENSVVKQTGTENVTSLEEGTSQNEKALQDELKFQKESVANLALQLERSQESNIELVSVLQELEETIEKQEMELENLSELQEKFGDMENSIKKTTEENRYLKLQLQQLQESENKLQVMVQQLEQALEEKTHEIEDGSSLNKQTLLDIETEYKSKLFFKEQEIVKLKAKLSESLQERHSAEMDSITMNGGEADLIREIEVLKEKVEELERDCNELTDENLELLFKLKVAKKNSTGGHAPVDLPASEVSVTENKSRIQNAEEKFNKKVLGEITNNNDLSVPVLESLKMELEIKVTELGKELTENRSEIAKLEANLLTKEEEIGVLRQVQNELEAKVSDLQTEKIELEEQMEIVLRESDISSKCLNDLRNELTVISSSVNSHVSSNKVLERKSSELEADKCELDLHVSELEQENVQLSAHISALEAQQRYLTDEKEANQLELDKSKSYCLSLQDEISRLKIEMESDKVELKQKLKHLESQWSEAREEGEYLKRANPKLQATAESLIEECNSLQKSNEELKKQKLELQEQCSLLEAKLNQSHKSFTDCSKRVEVLEKDLSLMLENIASKEESLNSELDALLDENMTYREKLTLEESLFNEMYLEKATEVESLQQEVEQLTKKISATKKEREQLASDAIHEASRLRAEKAMLESALQEVQSKAIQTENELNVMRTETEPKLQGLSAELAASKQNQESTMADHERLLKLFESYKSSEAKLKTTVNDLELKLTVSDYERQQLVEESTNLKVQLQKLTDCQNEVLAFKNELDATTFEKEKLEALLHSISEECEDLKAEKSSFHEKISTLEKALFELEDCKRNKVLLEEKILQMEGNLIAKEALCAQDAELKNELNQIKRANEQYQQRIKLLEEERSEYLRRSQALEQELKLTREERQKQRDSSSPKISSPAKNSTKVIPVGEDMKLPKNEMAKNSSHRRDNRRKPFLKTGQVQGLAKDQNYPYRNQYQREDDNGNEIHDGSPRDAGVDYGLKIKFLEDELVKALEANNTYKVQLDRMLSEARHNDSETRRNSKAEAEKAAKERYERSRSSLETELKDIRERYLHMSLRYAEVEAQREELVMKLKAAKGGKRWFS >ONH96363 pep chromosome:Prunus_persica_NCBIv2:G7:14597422:14604503:1 gene:PRUPE_7G123700 transcript:ONH96363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLHKNRPAKSGERVDFKFSHFKALQVPRGWDKLFVSIVSVETGKPIAKSSKAVVRNGNCQWTETLSESIWISQDDSSKEMEDYFFKLVLSMGSARSGILGETTVNMSDYISSTSSVPVSLPLKKCTYGTVLQVKINCLTPRKRLSDEESKETSCQFEEPNANGLDVDSKSNGSNSTFGRSVGSSSMKDFGLTSNPGEPGSRGSSFSASGSHNSYDSAEGSIRRDNASPGSNLSGEGNHLIGRQDSTDSPISTTHGNYPADAPSPSNHSSFNSRINHSRKDFTESPLTTTDSSKNLLEAAEFTIEELHAEAKMWERNARKVMLDLEILRTEFSDQSKKQANLNVELSAAYAERDGLKKEVEHLQLLFENSVVKQTGTENVTSLEEGTSQNEKALQDELKFQKESVANLALQLERSQESNIELVSVLQELEETIEKQEMELENLSELQEKFGDMENSIKKTTEENRYLKLQLQQLQESENKLQVMVQQLEQALEEKTHEIEDGSSLNKQTLLDIETEYKSKLFFKEQEIVKLKAKLSESLQERHSAEMDSITMNGGEADLIREIEVLKEKVEELERDCNELTDENLELLFKLKVAKKNSTGGHAPVDLPASEVSVTENKSRIQNAEEKFNKKVLGEITNNNDLSVPVLESLKMELEIKVTELGKELTENRSEIAKLEANLLTKEEEIGVLRQVQNELEAKVSDLQTEKIELEEQMEIVLRESDISSKCLNDLRNELTVISSSVNSHVSSNKVLERKSSELEADKCELDLHVSELEQENVQLSAHISALEAQQRYLTDEKEANQLELDKSKSYCLSLQDEISRLKIEMESDKVELKQKLKHLESQWSEAREEGEYLKRANPKLQATAESLIEECNSLQKSNEELKKQKLELQEQCSLLEAKLNQSHKSFTDCSKRVEVLEKDLSLMLENIASKEESLNSELDALLDENMTYREKLTLEESLFNEMYLEKATEVESLQQEVEQLTKKISATKKEREQLASDAIHEASRLRAEKAMLESALQEVQSKAIQTENELNVMRTETEPKLQGLSAELAASKQNQESTMADHERLLKLFESYKSSEAKLKTTVNDLELKLTVSDYERQQLVEESTNLKVQLQKLTDCQNEVLAFKNELDATTFEKEKLEALLHSISEECEDLKAEKSSFHEKISTLEKALFELEDCKRNKVLLEEKILQMEGNLIAKEALCAQDAELKNELNQIKRANEQYQQRIKLLEEERSEYLRRSQALEQELKLTREERQKQRDSSSPKISSPAKNSTKVIPVGEDMKLPKNEMAKNSSHRRDNRRKPFLKTGQVQGLAKDQNYPYRNQYQREDDNGNEIHDGSPRDAGVDYGLKIKFLEDELVKALEANNTYKVQLDRMLSEARHNDSETRRNSKAEAEKAAKERYERSRSSLETELKDIRERYLHMSLRYAEVEAQREELVMKLKAAKGGKRWFS >ONH96039 pep chromosome:Prunus_persica_NCBIv2:G7:13331346:13335757:1 gene:PRUPE_7G103700 transcript:ONH96039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKGRPVGGTETSWCRAVPGGTGITVLALHASKPPDVLNLQTALLKVQNSHPILNSRLHTNPKTNTLSFVPSPTPYVQIKSFSLSSTLEILETLYSPISNSSVSPFHLILEHELNQNPWQLKNTTCTTDQDMFFASIYALPSAMWVVALRLHVSACDRTSAVSLLREVNMEIRNEGEVNLGIEDLIPSGKGKKAMWVRGMDMLSYSVNSLRLTNLKFTDNKSARVSQVVRLQMNEDDTQRVLNGCKTRGIKLCAALVAAGLMAVDKYRLENQKKYGVVTLTDCRSNLHPALSHKHFGFYHSAILNTHTTKGGETLWELANKTYKAFVSSKRNNKHFSDMADLNFLMCKAIDNPTLTSSSSLRASFMSVFEDPVIDDSNDKQRELGLGLEEYMGCASVHGIGPSIAIFDTIRDGRLDCVCVYPAPLHSREQMQGLVDDMKAMLVGCDGNVERKI >ONH96038 pep chromosome:Prunus_persica_NCBIv2:G7:13331346:13335757:1 gene:PRUPE_7G103700 transcript:ONH96038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKGRPVGGTETSWCRAVPGGTGITVLALHASKPPDVLNLQTALLKVQNSHPILNSRLHTNPKTNTLSFVPSPTPYVQIKSFSLSSTLEILETLYSPISNSSVSPFHLILEHELNQNPWQLKNTTCTTDQDMFFASIYALPSAMWVVALRLHVSACDRTSAVSLLREVVGLMGGGDEGGEVNMEIRNEGEVNLGIEDLIPSGKGKKAMWVRGMDMLSYSVNSLRLTNLKFTDNKSARVSQVVRLQMNEDDTQRVLNGCKTRGIKLCAALVAAGLMAVDKYRLENQKKYGVVTLTDCRSNLHPALSHKHFGFYHSAILNTHTTKGGETLWELANKTYKAFVSSKRNNKHFSDMADLNFLMCKAIDNPTLTSSSSLRASFMSVFEDPVIDDSNDKQRELGLGLEEYMGCASVHGIGPSIAIFDTIRDGRLDCVCVYPAPLHSREQMQGLVDDMKAMLVGCDGNVERKI >ONH95150 pep chromosome:Prunus_persica_NCBIv2:G7:9258060:9264255:-1 gene:PRUPE_7G054200 transcript:ONH95150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTFRKIVLEKIRVALYVQKAALQFIDAGAGDRSNEKPRQDEYKLSEDARTSGFSIHPDELASITRGHDIKALKMHGGIHGILRKVSVSLDEGVKDSNIPIRQNVYGLNRYTEKPPRTFFVFVWEALQDLTLIILMVCAVVSIGVGIATEGWPKGMYDGVGILISIVLVVMVTAISDYRQSLQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGIFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLSFAVLTFLVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICEKPLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVIKEDGKTSILGTPTESALLEFGLLLGGDFDAVRREVNILKVEPFNSVRKKMSVLVAHPHGGKRAFCKGASEIVLGMCNKFIDFNGESVILSREQVKNITDVINSFASEALRTLCLAFKNIDDSSIENDIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNINTAKAIAKECGILTEDGLAIEGQEFRNMSLEQKKAVIPRIQVMARSLPLDKHILVKTLRDEFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVVALIINFVSACVSGSAPLTAVQLLWVNMIMDTLGALALATEPPNDGLMKRPPVGRGTSFITKAMWRNIIGQSIYQLIVLGVLNFYGKHLLGLSGSDATEVLDTVIFNAFVFCQVFNEINSRDIEKINIFVGMFDSWVFLGVMVCTVAFQVIIVEFLGDFASTVPLSWQLWLLCILLGSVSMLVAVVLKFIPVESTIKHHDGYEPLPSGPPDSIV >ONH95149 pep chromosome:Prunus_persica_NCBIv2:G7:9258060:9265159:-1 gene:PRUPE_7G054200 transcript:ONH95149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYLKDFEVESKNPSEETIRRWRKAVALVKNRRRRFRFVADLAKRSEAERKKRQIQEKIRVALYVQKAALQFIDAGAGDRSNEKPRQDEYKLSEDARTSGFSIHPDELASITRGHDIKALKMHGGIHGILRKVSVSLDEGVKDSNIPIRQNVYGLNRYTEKPPRTFFVFVWEALQDLTLIILMVCAVVSIGVGIATEGWPKGMYDGVGILISIVLVVMVTAISDYRQSLQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGIFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLSFAVLTFLVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICEKPLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVIKEDGKTSILGTPTESALLEFGLLLGGDFDAVRREVNILKVEPFNSVRKKMSVLVAHPHGGKRAFCKGASEIVLGMCNKFIDFNGESVILSREQVKNITDVINSFASEALRTLCLAFKNIDDSSIENDIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNINTAKAIAKECGILTEDGLAIEGQEFRNMSLEQKKAVIPRIQVMARSLPLDKHILVKTLRDEFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVVALIINFVSACVSGSAPLTAVQLLWVNMIMDTLGALALATEPPNDGLMKRPPVGRGTSFITKAMWRNIIGQSIYQLIVLGVLNFYGKHLLGLSGSDATEVLDTVIFNAFVFCQVFNEINSRDIEKINIFVGMFDSWVFLGVMVCTVAFQVIIVEFLGDFASTVPLSWQLWLLCILLGSVSMLVAVVLKFIPVESTIKHHDGYEPLPSGPPDSIV >ONH95443 pep chromosome:Prunus_persica_NCBIv2:G7:10865997:10866733:-1 gene:PRUPE_7G070800 transcript:ONH95443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRRMFSTVFILVLLLGAIGTGPMVAEGKVATKEESRTCESLSTKFKGFCLRSSRCAEACQKEGFMGGKCPGFRLRCTCTKKC >ONH95444 pep chromosome:Prunus_persica_NCBIv2:G7:10865986:10866578:-1 gene:PRUPE_7G070800 transcript:ONH95444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEGKVATKEESRTCESLSTKFKGFCLRSSRCAEACQKEGFMGGKCPGFRLRCTCTKKC >ONH96214 pep chromosome:Prunus_persica_NCBIv2:G7:13941436:13944421:1 gene:PRUPE_7G113700 transcript:ONH96214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQTPELEVLGLTTIFGNVTTEDATRNALLLCEIAGHPSLPVAEGSPEPLKGGRPRVADFIHGSDGLGNIFLPPPERKQIEKSASEFLVDMVSEYPGEVSILALGPLTNLALIYGDPEAADVVFTSGANITVVGINITTQVQFTDDDLLQLRQSKGKHAQLISDTCKFYRDWHVKSDGVHGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGICVGHTLMDQGLKNWNSSNPWTGYSPVEVAWTVKVDEVLDYIRSRLIKS >ONH96212 pep chromosome:Prunus_persica_NCBIv2:G7:13940579:13944422:1 gene:PRUPE_7G113700 transcript:ONH96212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTHGVVLDGERDGVLDCQLKREKLIIDTDPGIDDTIAILMAFQTPELEVLGLTTIFGNVTTEDATRNALLLCEIAGHPSLPVAEGSPEPLKGGRPRVADFIHGSDGLGNIFLPPPERKQIEKSASEFLVDMVSEYPGEVSILALGPLTNLALIYGDPEAADVVFTSGANITVVGINITTQVQFTDDDLLQLRQSKGKHAQLISDTCKFYRDWHVKSDGVHGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGICVGHTLMDQGLKNWNSSNPWTGYSPVEVAWTVKVDEVLDYIRSRLIKS >ONH96211 pep chromosome:Prunus_persica_NCBIv2:G7:13940579:13944421:1 gene:PRUPE_7G113700 transcript:ONH96211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTHGVVLDGERDGVLDCQLKREKLIIDTDPGIDDTIAILMAFQTPELEVLGLTTIFGNVTTEDATRNALLLCEIAGHPSLPVAEGSPEPLKGGRPRVADFIHGSDGLGNIFLPPPERKQIEKSASEFLVDMVSEYPGEVSILALGPLTNLALAIKRDSSFAKKVKRVVVLGGAFFALGNVNPAAEANIYGDPEAADVVFTSGANITVVGINITTQVQFTDDDLLQLRQSKGKHAQLISDTCKFYRDWHVKSDGVHGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGICVGHTLMDQGLKNWNSSNPWTGYSPVEVAWTVKVDEVLDYIRSRLIKS >ONH96213 pep chromosome:Prunus_persica_NCBIv2:G7:13941436:13944421:1 gene:PRUPE_7G113700 transcript:ONH96213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQTPELEVLGLTTIFGNVTTEDATRNALLLCEIAGHPSLPVAEGSPEPLKGGRPRVADFIHGSDGLGNIFLPPPERKQIEKSASEFLVDMVSEYPGEVSILALGPLTNLALAIKRDSSFAKKVKRVVVLGGAFFALGNVNPAAEANIYGDPEAADVVFTSGANITVVGINITTQVQFTDDDLLQLRQSKGKHAQLISDTCKFYRDWHVKSDGVHGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGICVGHTLMDQGLKNWNSSNPWTGYSPVEVAWTVKVDEVLDYIRSRLIKS >ONH97072 pep chromosome:Prunus_persica_NCBIv2:G7:17141432:17146043:1 gene:PRUPE_7G168400 transcript:ONH97072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSTVKVSGSNSNNTTAPTANHNRKQSTASSQSTTPPVKQEQRRTNRPNEMPRKQLANKPKGKPNSRRQTGVIPCGKRTDFGYDKNFDKRYTIGKLLGHGQFGYTYVATDKANGDRVAVKRIDKNKMILPIAVEDVKREVKILKELAGHENVVQFYNAFEDDSYVFIVMELCEGGELLDRILEKKDSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSKALDSPLKATDFGLSDFIKPGKKFQDIVGSAYYVAPEVLRRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISTSAKDFVKKILVKDPRARLTAAQALSHPWVREGGNASEIPIDISVLNNMRQFVRYSRLKQFALRALASTLNAEELSDLKDQFDAIDVDKNGSISLEEMRQALAKDVPWKLKDSRVLEILEAIDSNTDGLVDFTEFVAATLHVHQLEEHDSEKWQQRSRAAFEKFDIDKDGFITPEELKMHTGLRGSIDPLLEEADIDKDGKISLSEFRRLLRTASISSKTVTSPPGQRNARKV >ONH95874 pep chromosome:Prunus_persica_NCBIv2:G7:12579323:12581503:-1 gene:PRUPE_7G093400 transcript:ONH95874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLSSSFSGASPEHHVIEMTPSKGDDLGKFFQDVDAVQSELDELQKLNKSLRSSHEQSKTLHNAKAVKELRTRMDADVHLALKKAKILKVRLDALDRSNAANRSLPGCGPGSSSDRTRTSVVNGLRKKLKDSMDSFNDLRQKISSEHRETVQRRYFTVTGDNPDEKTVDLLISTGESETFLQKAIQEQGRGRVLDTISEIQERHDGVKAMERNLNELHQVFMDMAVLVQAQGEQLDDIQSHVERANSYVHAGNQQLQKARFLQKNTRKWTCYGIIILLIIVGIILASTLPN >ONH98108 pep chromosome:Prunus_persica_NCBIv2:G7:20208131:20209109:-1 gene:PRUPE_7G229500 transcript:ONH98108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMRSCALPIIGFVLMALLSLSYGQAPAPSPTSDGMAIDQGIAYTLLLVALAITYLLH >ONH97214 pep chromosome:Prunus_persica_NCBIv2:G7:17514765:17517183:-1 gene:PRUPE_7G176700 transcript:ONH97214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIPGELLESIGKCLNTRTDVSRFRAVCKSLRSSIPRFEKKLQFPIKVLIRNTNGSNTTFTLTESIVFHLAPPRDDPHKRGWLVKVEEGKYGETRMLHPLSQFVVGRLPHSFPKVFNLLEFRVFEYARVYSIHDDGWWDRNNKVALSMNLGFPVVMIIADGVLYPGELDVSVNNCIEVSQLDHDQHYDYEDVIFHEGKFYAVCQDGQAVVVDSCLNVKVVAPPILACSGGDHKKSLVESSGELLLVDRYPSTMGEDSYLDKWLDIELKFKVFKLNTAENQWIEVDERDALSDRILLVGDDSCFSVSARDFPGCKGNSIYFSNFFYMKNNMEGEFGGMFSEMGVFRLDFGSHLPLTYYPSCDNIFYPPPTWTWLSSKEKKRKKKIWRRISLHVEN >ONH95948 pep chromosome:Prunus_persica_NCBIv2:G7:12934589:12936033:1 gene:PRUPE_7G098000 transcript:ONH95948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETHFLTTTKQPFFSSYSHPNPKPQSLSSSHHDSLHPKNPKSPHFPILSTKTTLSLPLQSLKPPSSPTPHSDFQEKVLYLDSIGLDFFSLINHHPPILSSPLPHIKSTVHFLLTSIGFNSPELRRLIGMCPEILSSRVAEIVPVLTFLLREARVNGSDLRRVLNRRPRLLACCVKTRLRPTLYFLQSIGISQVNKHTSLLSCSVEDKLQPRIDYLEKIGFSRRDALSMFRRFPQLFCYSIEQNFEPKFNYFVVEMGRDLKELKEFPHYFSFSLENRIRPRHQRCVEKGVCFPLPVLLKTSEARFRDRLEIFCNSSIPFRNSPLWCTNSISDDLEK >ONH94629 pep chromosome:Prunus_persica_NCBIv2:G7:3797749:3801102:-1 gene:PRUPE_7G024000 transcript:ONH94629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSSSSTATEQNGTRWAVLVAGSYGYQNYRHQADVCHAYQILKKGGLKDENIIVFMYDDIAFNVQNPRPGVIINKPDGPDVYEGVPKDYTGTNVTAANLYAVILGNKTALTGGSGKVLDSGPNDNIFIYYTDHGAAGIIGMPEGDYVYANDLVDVLKQKHEAKSYKDMVFYLESCESGSMFEGLLPNNISIYATTAANATENSWGTYCPGFNPGPPAGYTTCLGDLYSISWMEDCDIKDLRRETFEQQYEAVRKRTRNTTEGLGSHVTQYGNMNQANDLLFSYMGSNDNSTSYTQSESSPSLLGSIFNQRDADLLHFLVKSSKAPIGSDERHEAREELRAEVDRRKRIDDNVDQIRWRLFGRESDLKNIRPQSQSLVDDWSCFKMLVRTYEKYCGSLSDYGMQYTRVFANMCNAGVTKERMIAASTQTCS >ONH94665 pep chromosome:Prunus_persica_NCBIv2:G7:4106697:4108778:-1 gene:PRUPE_7G025500 transcript:ONH94665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLLSFLKTLPPVEFCCVYGSFLHPNNPGSAKSTMVDCILGVSNPRQWHSENLTLNNDHYSSWMVLLGGARLITDVADELGVGVHFNPFVSWNDKMFKYGVVRMQDLVQDILNWERFYLSGRLQKPVHVLLDNLDIANVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRLMYKPFIEEYETKELLRRCLSGNTRPIISQCTVQCFEA >ONH94658 pep chromosome:Prunus_persica_NCBIv2:G7:4106098:4108778:-1 gene:PRUPE_7G025500 transcript:ONH94658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLLSFLKTLPPVEFCCVYGSFLHPNNPGSAKSTMVDCILGVSNPRQWHSENLTLNNDHYSSWMVLLGGARLITDVADELGVGVHFNPFVSWNDKMFKYGVVRMQDLVQDILNWERFYLSGRLQKPVHVLLDNLDIANVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRLMYKPFIEEYETKELLRRCLSGNTRPIISQDGGLLAARSLVSSLPPMVRSQMGMKLGEKKVLCDSGRVIQEIVIGSKDEAAKCMQTILRRKVMVSSARQALSGLLAAGGINGMRYLGAKMSKAWKSWR >ONH94657 pep chromosome:Prunus_persica_NCBIv2:G7:4105661:4109344:-1 gene:PRUPE_7G025500 transcript:ONH94657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLLSFLKTLPPVEFCCVYGSFLHPNNPGSAKSTMVDCILGVSNPRQWHSENLTLNNDHYSSWMVLLGGARLITDVADELGVGVHFNPFVSWNDKMFKYGVVRMQDLVQDILNWERFYLSGRLQKPVHVLLDNLDIANVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKDGGLLAARSLVSSLPPMVRSQMGMKLGEKKVLCDSGRVIQEIVIGSKDEAAKCMQTILRRKVMVSSARQALSGLLAAGGINGMRYLGAKMSKAWKSWR >ONH94656 pep chromosome:Prunus_persica_NCBIv2:G7:4105661:4109266:-1 gene:PRUPE_7G025500 transcript:ONH94656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLLSFLKTLPPVEFCCVYGSFLHPNNPGSAKSTMVDCILGVSNPRQWHSENLTLNNDHYSSWMVLLGGARLITDVADELGVGVHFNPFVSWNDKDLVQDILNWERFYLSGRLQKPVHVLLDNLDIANVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRLMYKPFIEEYETKELLRRCLSGNTRPIISQDGGLLAARSLVSSLPPMVRSQMGMKLGEKKVLCDSGRVIQEIVIGSKDEAAKCMQTILRRKVMVSSARQALSGLLAAGGINGMRYLGAKMSKAWKSWR >ONH94663 pep chromosome:Prunus_persica_NCBIv2:G7:4106697:4108778:-1 gene:PRUPE_7G025500 transcript:ONH94663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLLSFLKTLPPVEFCCVYGSFLHPNNPGSAKSTMVDCILGVSNPRQWHSENLTLNNDHYSSWMVLLGGARLITDVADELGVGVHFNPFVSWNDKDLVQDILNWERFYLSGRLQKPVHVLLDNLDIANVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRLMYKPFIEEYETKELLRRCLSGNTRPIISQCTVQCFEA >ONH94659 pep chromosome:Prunus_persica_NCBIv2:G7:4105661:4109369:-1 gene:PRUPE_7G025500 transcript:ONH94659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLLSFLKTLPPVEFCCVYGSFLHPNNPGSAKSTMVDCILGVSNPRQWHSENLTLNNDHYSSWMVLLGGARLITDVADELGVGVHFNPFVSWNDKMFKYGVVRMQDLVQDILNWERFYLSGRLQKPVHVLLDNLDIANVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRLMYKPFIEEYETKELLRRCLSGNTRPIISQDGGLLAARSLVSSLPPMVRSQMGMKLGEKKVLCDSGRVIQEIVIGSKDEAAKCMQTILRRKVMVSSARQALSGLLAAGGINGMRYLGAKMSKAWKSWR >ONH94660 pep chromosome:Prunus_persica_NCBIv2:G7:4105661:4109368:-1 gene:PRUPE_7G025500 transcript:ONH94660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLLSFLKTLPPVEFCCVYGSFLHPNNPGSAKSTMVDCILGVSNPRQWHSENLTLNNDHYSSWMVLLGGARLITDVADELGVGVHFNPFVSWNDKDLVQDILNWERFYLSGRLQKPVHVLLDNLDIANVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRLMYKPFIEEYETKELLRRCLSGNTRPIISQVELFRKL >ONH94661 pep chromosome:Prunus_persica_NCBIv2:G7:4105661:4109370:-1 gene:PRUPE_7G025500 transcript:ONH94661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLLSFLKTLPPVEFCCVYGSFLHPNNPGSAKSTMVDCILGVSNPRQWHSENLTLNNDHYSSWMVLLGGARLITDVADELGVGVHFNPFVSWNDKMFKYGVVRMQDLVQDILNWERFYLSGRLQKPVHVLLDNLDIANVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRLMYKPFIEEYETKELLRRCLSGNTRPIISQVELFRKL >ONH94664 pep chromosome:Prunus_persica_NCBIv2:G7:4106697:4108778:-1 gene:PRUPE_7G025500 transcript:ONH94664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLLSFLKTLPPVEFCCVYGSFLHPNNPGSAKSTMVDCILGVSNPRQWHSENLTLNNDHYSSWMVLLGGARLITDVADELGVGVHFNPFVSWNDKMFKYGVVRMQDLVQDILNWERFYLSGRLQKPVHVLLDNLDIANVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRLMYKPFIEEYETKELLRRCLSGNTRPIISQCTVQCFEA >ONH94662 pep chromosome:Prunus_persica_NCBIv2:G7:4105661:4109219:-1 gene:PRUPE_7G025500 transcript:ONH94662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLLSFLKTLPPVEFCCVYGSFLHPNNPGSAKSTMVDCILGVSNPRQWHSENLTLNNDHYSSWMVLLGGARLITDVADELGVGVHFNPFVSWNDKMFKYGVVRMQDLVQDILNWERFYLSGRLQKPVHVLLDNLDIANVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRLMYKPFIEEYETKELLRRCLSGNTRPIISQVELFRKL >ONH97984 pep chromosome:Prunus_persica_NCBIv2:G7:19812923:19814803:-1 gene:PRUPE_7G221900 transcript:ONH97984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQMNKRLISLSFSLRSSVQLHRPPLYHSISLLFYSSSDPKRSKSKSKPKVAVAEYLINQHQFSPEAALKASSTIAFLRSTPESDSVLSFLKESGFSKIHLEEVVKRVPGILRANLDTAIKPKIKLLQDSGFSDSDIADLISSDPWILRRSVDKGLGPAILVLKNILGSNADVLKVLKLSAWYLKYDLEKTLMPNIEVLKSLGITSSQIVKYIFLFPRFFLHKQESIMGFVKRVDEMGFDRKSKMFLYAIRIMSSMTLETWELKVKLFQSLGFSENDILVVFRRAPQVFATSEKKIKEAIEMLLSSGKEEFIFSWEAHGRKGYRKAMIVFEVFSFGHSCFLLLIFVRKL >ONH95713 pep chromosome:Prunus_persica_NCBIv2:G7:12138073:12139680:-1 gene:PRUPE_7G086800 transcript:ONH95713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTVKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >ONH96298 pep chromosome:Prunus_persica_NCBIv2:G7:14326708:14327333:1 gene:PRUPE_7G119200 transcript:ONH96298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVGFRIWAPSKESRQNGHRRGNTSGNHGNSKKQKVGPSYFLEIFSNKHRRTDSFFMAALFLESNQNKVYQIKSLN >ONH94838 pep chromosome:Prunus_persica_NCBIv2:G7:6352632:6357050:-1 gene:PRUPE_7G034000 transcript:ONH94838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGCLSSKRLYYTTQVSWNFSVDRRSFSSQAGAESSGEKDDLEDGFSELETLPSAEASQHEDELISEPELSEDEEEVEPSQHELELSENGADSIEKRSPRKRNVSELFKAILAFPAFSVHGALDKWVKAGNDLNRAEISLAMFNFRKRQMFGRALQLSEWLEEHKQIEFVERDYASRVDLIAKVRGLYKAEKYIETIPASFRGEVIYRTLLAYAVSTHNLKKSEEIFNKMKDLEFPVTPFTCNQLLLLYKRLDKKKMADVLLLMEKENVKPTAFTYKLLIDTKGQSNDMTGMDQIYETMKAEGCEPDITVKAILAKHYAAGGLKEKAEAVLKDMEGGNLKENRWACQALLPLYAELGQVDEVERVWKVCESNPRLQEFMAAIKAWGMLNKIEEAEAVFEKMSNTFKKLSSRHYYVLLKVYANGKKLTKGKDLVKRMADNKCDIGPLTWDALVKLYVEAGELEKADSMLQKAAQTNRKKPLFNSYMTIMEQYSKKGDTHNAEKMFYRMRQAGYMARLRQFQTLIQAYINAKTSPYGIWERMKADNIFPNKSLLAQLAQVDPFKKTAASDLLD >ONH94837 pep chromosome:Prunus_persica_NCBIv2:G7:6352201:6358090:-1 gene:PRUPE_7G034000 transcript:ONH94837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRRASLPLRSQAFNIRSSRACCANLELPITYGQGDACFHESCKSMPDGCLSSKRLYYTTQVSWNFSVDRRSFSSQAGAESSGEKDDLEDGFSELETLPSAEASQHEDELISEPELSEDEEEVEPSQHELELSENGADSIEKRSPRKRNVSELFKAILAFPAFSVHGALDKWVKAGNDLNRAEISLAMFNFRKRQMFGRALQLSEWLEEHKQIEFVERDYASRVDLIAKVRGLYKAEKYIETIPASFRGEVIYRTLLAYAVSTHNLKKSEEIFNKMKDLEFPVTPFTCNQLLLLYKRLDKKKMADVLLLMEKENVKPTAFTYKLLIDTKGQSNDMTGMDQIYETMKAEGCEPDITVKAILAKHYAAGGLKEKAEAVLKDMEGGNLKENRWACQALLPLYAELGQVDEVERVWKVCESNPRLQEFMAAIKAWGMLNKIEEAEAVFEKMSNTFKKLSSRHYYVLLKVYANGKKLTKGKDLVKRMADNKCDIGPLTWDALVKLYVEAGELEKADSMLQKAAQTNRKKPLFNSYMTIMEQYSKKGDTHNAEKMFYRMRQAGYMARLRQFQTLIQAYINAKTSPYGIWERMKADNIFPNKSLLAQLAQVDPFKKTAASDLLD >ONH98072 pep chromosome:Prunus_persica_NCBIv2:G7:20088319:20091957:-1 gene:PRUPE_7G227300 transcript:ONH98072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLFGATQTLSKSSAFFFLNSNSKLSLCKASKTPLLLRTFAIMASADEFVKGSVHPNGVAVITLDRPRALNAMNIDMDVKYKSYLDEWESDPNVKCVLVDSSSARAFCAGMDIKGVVAEIQKDKNTPLVPKVFTAEYSLICKISEYKKPYVSFMDGITMGFGIGLSGHGRYRIITERTLLAMPENGIGLFPDVGFSHIAAKSPGGGSVGVYLGLTGKRISTPSDALYVGLGTHFVPSQNLGLLKEALLSTNFSQDPHQDIETTLGKFSSNPDSEAQLRLLLPQITSAFGANKSVLETIDELKKHQQSSDATVVEWANEALQGLGKGAPFSLYLTQKYFSRVASALGKNDNQLSTLTGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQNPKWNPSKLEEVNESEVESLFEPLSPNVGELSV >ONH97364 pep chromosome:Prunus_persica_NCBIv2:G7:17986393:17989161:-1 gene:PRUPE_7G185600 transcript:ONH97364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQVKEGDSLEKRPGIFFLGSPNVGKRTMLSRLLALDFDDASDSSSSQLVVNGWNISTKYYTADVSVWMAHLHDEFSIETLPMYDQLQALILVFDTTELSSLAALQKWVSRTDLQKFDILLCIGNKVDLVPGHPVHAEYRRRLLKLGDPFADSGPGFTEYGISETEGSSLLGDDEPSWEARQSCLEWCTEHNIEYIEACASNVDFDKCLSVDGDSQGVERLFGALSAHMWPGMILKSGDKIAEPSLPEREEDLSEEESDYEFEYEILSAGSAEPHEDTYTGWVSANDFAGPSSMGGLAAQNNIFPECNQENGTLCDKEEPQTSTSTAALHDDINKGVVSNVEEPDQGTELDQAQELDEDTPLEVEDLEQLMSEIGNMRDSLRLMPDFQRREMAAKLALKMASMFRGSSDDEVESIS >ONH98386 pep chromosome:Prunus_persica_NCBIv2:G7:21018469:21022305:-1 gene:PRUPE_7G247400 transcript:ONH98386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLCRLHGWSLRAEPRRVFDGIIFSNELDMLDIRWHELYPYVTKLVILEANTTFTGIPKPLFFASNRSRFAFAEEKVVHDIFPGIVVPRGSRTDPFELEKKQRIAMNALLRHAGISYGDVLIMSDTDEIPSPHTVKLLQWCDGIPSTMHLELKHYMYSFEFPVDYSSWRATSHIYGPRTFYQHSRQTNYLFSDAGWHCSFCFQHIEDFVFKMTAYSHADRVKRREFLNYARIQKLICRGDDLFDMLPEEYSFKELIKKMGPIPRSTSAVHLPAYLIKNVDKFRFLLPGGCIRPQD >ONH98384 pep chromosome:Prunus_persica_NCBIv2:G7:21018445:21022359:-1 gene:PRUPE_7G247400 transcript:ONH98384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSLRPTHRRTPPKFFCLILLIVVPTCIFGIYTNYPKISYFFRPLWDKPPTPFIRLPHYYAENVTMDHLCRLHGWSLRAEPRRVFDGIIFSNELDMLDIRWHELYPYVTKLVILEANTTFTGIPKPLFFASNRSRFAFAEEKVVHDIFPGIVVPRGSRTDPFELEKKQRIAMNALLRHAGISYGDVLIMSDTDEIPSPHTVKLLQWCDGIPSTMHLELKHYMYSFEFPVDYSSWRATSHIYGPRTFYQHSRQTNYLFSDAGWHCSFCFQHIEDFVFKMTAYSHADRVKRREFLNYARIQKLICRGDDLFDMLPEEYSFKELIKKMGPIPRSTSAVHLPAYLIKNVDKFRFLLPGGCIRPQD >ONH98385 pep chromosome:Prunus_persica_NCBIv2:G7:21018867:21019958:-1 gene:PRUPE_7G247400 transcript:ONH98385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSLRPTHRRTPPKFFCLILLIVVPTCIFGIYTNYPKISYFFRPLWDKPPTPFIRLPHYYAENVTMDHLCRLHGWSLRAEPRRVFDGIIFSNELDMLDIRWHELYPYVTKLVILEANTTFTGIPKPLFFASNRSRFAFAEEKVVHDIFPGIVVPRGSRTDPFELEKKQRIAMNALLRHAGISYGDVLIMSDTDEIPSPHTVKLLQWCDGIPSTMHLELKHYMYSFEFPVDYSSWRATSHIYGPRTFYQHSRQTNYLFSDAGWHCSFCFQHIEDFVFKMTAYSHADRVKRREFLNYARIQKLICRGDDLFDMLPEEYSFKELIKKMGPIPRSTSAVHLPAYLIKNVDKFRFLLPGGCIRPQD >ONH98387 pep chromosome:Prunus_persica_NCBIv2:G7:21018469:21022305:-1 gene:PRUPE_7G247400 transcript:ONH98387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLCRLHGWSLRAEPRRVFDGIIFSNELDMLDIRWHELYPYVTKLVILEANTTFTGIPKPLFFASNRSRFAFAEEKVVHDIFPGIVVPRGSRTDPFELEKKQRIAMNALLRHAGISYGDVLIMSDTDEIPSPHTVKLLQWCDGIPSTMHLELKHYMYSFEFPVDYSSWRATSHIYGPRTFYQHSRQTNYLFSDAGWHCSFCFQHIEDFVFKMTAYSHADRVKRREFLNYARIQKLICRGDDLFDMLPEEYSFKELIKKMGPIPRSTSAVHLPAYLIKNVDKFRFLLPGGCIRPQD >ONH94504 pep chromosome:Prunus_persica_NCBIv2:G7:2905014:2906177:1 gene:PRUPE_7G019600 transcript:ONH94504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYTRCIPKSHFFQNLPITCFTVATPPIDFTFSASRPSGSGGSFESAGVKRSRILEPDGTDAGAMEVEPFPTVVPKPIINFREKLMNPGGIGVHKEDLVGMEDDKLTIEDDDFEVLEGTRGLCIRFCTKVKERLYRPWRNAIIIKLMGRTHTYNFLLARLRQKWSLLKGAMSLIDLENNFYVVRFVLEEDMRYVFSGGPWVIAGQSLVMQRWKLGFDPNEATITRMAVRVSITSLHVEWFNPEAIKRIGDLIGVTYRIDTHTVAQARGKYARICIELDLTKPLIANVQVENNWYAIEYEGLHLVCFGCGIYGHNRNQCPYEIRVHDGTQKPMEGESTSDKEPFGVDTDIGTSSGTKDAYAATSADSSQFGPWSLVKPFRKKKGAYTC >ONH94750 pep chromosome:Prunus_persica_NCBIv2:G7:5160896:5161412:-1 gene:PRUPE_7G027400 transcript:ONH94750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCKGCGKLGRMMPRGGSVSAYQFSLMLSPVVFVWDCIVRKMRYSYRPEWCNKLQPVVRKVKKQVMDELEKALASSAAIISKLEKKKQKQKEEQQRLDEEGCAACSTW >ONH97138 pep chromosome:Prunus_persica_NCBIv2:G7:17304598:17306648:1 gene:PRUPE_7G171700 transcript:ONH97138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKLIGAPAYIECSSKTQQNVKGVFDAAIRVVLQPPKQKKKKGKGQKACSIL >ONH97137 pep chromosome:Prunus_persica_NCBIv2:G7:17303971:17307256:1 gene:PRUPE_7G171700 transcript:ONH97137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTAQEANWSTSIYRMQFKNTAECERGLRCSNKGCPSTSEAEEKERQRAEGMLHIVIGNMCKKIMTSQSACIPSLPPLSPLIFSLLS >ONH97139 pep chromosome:Prunus_persica_NCBIv2:G7:17304141:17306995:1 gene:PRUPE_7G171700 transcript:ONH97139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSMEALLTWGYGIQLEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKLIGAPAYIECSSKTQQNVKGVFDAAIRVVLQPPKQKKKKGKGQKACSIL >ONH98347 pep chromosome:Prunus_persica_NCBIv2:G7:20906057:20910745:1 gene:PRUPE_7G245100 transcript:ONH98347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGETTPSAGLYRDRGVGGKLRKPPSRTPSTTPYARPPLSEAERGRRRWLSSVVDPAYRLIAGGATRLFPSFFSKSNSLSALPPPNVQNHDEWHTETEQNATGDEDHCDLNNGISRTSEIAGPSKADGLKSGSDFDGDKKGARSDEIGLSEIEQLLKGKKFSRDEVNHLMEIIQSRAVEHPTVDRENRNQTTSTAEKGKGVVITDDFPKTSSEEKQEDLNKAIWGTSTPLPQSAIRDEVGASPIEIARAYMGGRTSEIDFSSKNTVSKDERAILHGNEFSSNPFIPTPSSKPSTCWPGSMVKDQRDYLTPQTERGRFGLQSFPRTPYSRTIYSKSKLKLTQLQSGNDKAPRTLSTPWKQSQTPMYGQPRGDALDGGYGSVGPIRKSRHKIVAQTPTRGSPYVHSSPIGSSHVENSNVTKGFLPAGKKNFESVGLSGNSQIPAFDRKASSFGVPTVHPQSSLIARTILEHIDRNPPTPKDKSEELKLAFAWKKRLSSGVASVNQNGHDSLPLVGGSSSRKLINQDFPKNSAHENADRGNSLFKIPPLENTVKATDVVNNSPSGDGRDGRSLVRSTHEDFPKTGLNAVGSEIPNQQKKPPSQSSATKRVFPSIAIDKPDSKWALSSGNSSGFTFPVSTSSSVFSEPPTPSLMPSFLGSSQQHQPKEVDAVPTYEFGSKKSAPLAFSFPSTSAEIQNDDASDIKFSFGSDKPTLPLGSIGKDAICY >ONH98348 pep chromosome:Prunus_persica_NCBIv2:G7:20907419:20910745:1 gene:PRUPE_7G245100 transcript:ONH98348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIQSRAVEHPTVDRENRNQTTSTAEKGKGVVITDDFPKTSSEEKQEDLNKAIWGTSTPLPQSAIRDEVGASPIEIARAYMGGRTSEIDFSSKNTVSKDERAILHGNEFSSNPFIPTPSSKPSTCWPGSMVKDQRDYLTPQTERGRFGLQSFPRTPYSRTIYSKSKLKLTQLQSGNDKAPRTLSTPWKQSQTPMYGQPRGDALDGGYGSVGPIRKSRHKIVAQTPTRGSPYVHSSPIGSSHVENSNVTKGFLPAGKKNFESVGLSGNSQIPAFDRKASSFGVPTVHPQSSLIARTILEHIDRNPPTPKDKSEELKLAFAWKKRLSSGVASVNQNGHDSLPLVGGSSSRKLINQDFPKNSAHENADRGNSLFKIPPLENTVKATDVVNNSPSGDGRDGRSLVRSTHEDFPKTGLNAVGSEIPNQQKKPPSQSSATKRVFPSIAIDKPDSKWALSSGNSSGFTFPVSTSSSVFSEPPTPSLMPSFLGSSQQHQPKEVDAVPTYEFGSKKSAPLAFSFPSTSAEIQNDDASDIKFSFGSDKPTLPLGSIGKDAICY >ONH95384 pep chromosome:Prunus_persica_NCBIv2:G7:10513982:10522063:-1 gene:PRUPE_7G067200 transcript:ONH95384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIQKWKCSWSQIATIASIVALASIILGSIVHLFWFPLVPSFNYFSQAQNSCVPINGSAEAVIDNVKGNFKPPIDLDRQFPSDLHKAVVFRGAPWKAEIGRWLSGCDPISDEVNIVEVIGGSGCKNDCSGQGVCNRELGQCRCYHGYSGEGCSERLQLECNYPGSPDQPYGRWVVSICSAHCDTTRAFCFCGEGTKYPNRPVAEACGFQVQLPSEPGAPKLTDWAKADLDNVFTKNGSKPGWCNVDPAEVYAHKVQFKEECDCKYDCFWGRFCEVPVLCTCINQCSGHGHCRGGFCQCDNGWYGIDCSIPSVTSSVREWPQWLRPAQVDVPDSSHLPGKVVNLNAVVKKKRPLIYVYDLPPDFNSLLLEGRHFRLECVNRIYDGKNSTLWTDQLYGAQVALYESILASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLSMQEHKGLRSSLTLEYYRKAYDHIVEQYPFWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNLKHKHSTTAYWADNWDTIPSDKRGNHPCFDPDKDLVLPSWKSPDVNSLSSKLWARSHDTRKTLFYFNGNLGPAYPNGRPEAS >ONH95383 pep chromosome:Prunus_persica_NCBIv2:G7:10514695:10521200:-1 gene:PRUPE_7G067200 transcript:ONH95383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIQKWKCSWSQIATIASIVALASIILGSIVHLFWFPLVPSFNYFSQAQNSCVPINGSAEAVIDNVKGNFKPPIDLDRQFPSDLHKAVVFRGAPWKAEIGRWLSGCDPISDEVNIVEVIGGSGCKNDCSGQGVCNRELGQCRCYHGYSGEGCSERLQLECNYPGSPDQPYGRWVVSICSAHCDTTRAFCFCGEGTKYPNRPVAEACGFQVQLPSEPGAPKLTDWAKADLDNVFTKNGSKPGWCNVDPAEVYAHKVQFKEECDCKYDCFWGRFCEVPVLCTCINQCSGHGHCRGGFCQCDNGWYGIDCSIPSVTSSVREWPQWLRPAQVDVPDSSHLPGKVVNLNAVVKKKRPLIYVYDLPPDFNSLLLEGRHFRLECVNRIYDGKNSTLWTDQLYGAQVALYESILASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLSMQEHKGLRSSLTLEYYRKAYDHIVEQYPFWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNLKHKHSTTAYWADNWDTIPSDKRGNHPCFDPDKDLVLPSWKSPDVNSLSSKLWARSHDTRKTLFYFNGNLGPAYPNGRPEASYSMGIRQKLAEEFGSSPNKEGKLGKQHAEDVIVTPLRSENYHGDLASSIFCGVFPGDGWSGRMEDSILQGCIPVVIQDGIFLPYENVLNYDSYAVRIREDEIPDLINILRAFNETEIKFRLENVQKIWQRFLYRDSIMLEAERQKTDFGHMEDWAAQFSQLIEDDVVATFVQVLHYKLHNDPWRQHVHVKKEFGLPQECLYKGN >ONH95380 pep chromosome:Prunus_persica_NCBIv2:G7:10513982:10522063:-1 gene:PRUPE_7G067200 transcript:ONH95380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIQKWKCSWSQIATIASIVALASIILGSIVHLFWFPLVPSFNYFSQAQNSCVPINGSAEAVIDNVKGNFKPPIDLDRQFPSDLHKAVVFRGAPWKAEIGRWLSGCDPISDEVNIVEVIGGSGCKNDCSGQGVCNRELGQCRCYHGYSGEGCSERLQLECNYPGSPDQPYGRWVVSICSAHCDTTRAFCFCGEGTKYPNRPVAEACGFQVQLPSEPGAPKLTDWAKADLDNVFTKNGSKPGWCNVDPAEVYAHKVQFKEECDCKYDCFWGRFCEVPVLCTCINQCSGHGHCRGGFCQCDNGWYGIDCSIPSVTSSVREWPQWLRPAQVDVPDSSHLPGKVVNLNAVVKKKRPLIYVYDLPPDFNSLLLEGRHFRLECVNRIYDGKNSTLWTDQLYGAQVALYESILASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLSMQEHKGLRSSLTLEYYRKAYDHIVEQYPFWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNLKHKHSTTAYWADNWDTIPSDKRGNHPCFDPDKDLVLPSWKSPDVNSLSSKLWARSHDTRKTLFYFNGNLGPAYPNGRPEASYSMGIRQKLAEEFGSSPNKEGKLGKQHAEDVIVTPLRSENYHGDLASSIFCGVFPGDGWSGRMEDSILQGCIPVVIQAFNETEIKFRLENVQKIWQRFLYRDSIMLEAERQKTDFGHMEDWAAQFSQLIEDDVVATFVQVLHYKLHNDPWRQHVHVKKEFGLPQECLYKGN >ONH95381 pep chromosome:Prunus_persica_NCBIv2:G7:10514695:10521200:-1 gene:PRUPE_7G067200 transcript:ONH95381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIQKWKCSWSQIATIASIVALASIILGSIVHLFWFPLVPSFNYFSQAQNSCVPINGSAEAVIDNVKGNFKPPIDLDRQFPSDLHKAVVFRGAPWKAEIGRWLSGCDPISDEVNIVEVIGGSGCKNDCSGQGVCNRELGQCRCYHGYSGEGCSERLQLECNYPGSPDQPYGRWVVSICSAHCDTTRAFCFCGEGTKYPNRPVAEACGFQVQLPSEPGAPKLTDWAKADLDNVFTKNGSKPGWCNVDPAEVYAHKVQFKEECDCKYDCFWGRFCEVPVLCTCINQCSGHGHCRGGFCQCDNGWYGIDCSIPSVTSSVREWPQWLRPAQVDVPDSSHLPGKVVNLNAVVKKKRPLIYVYDLPPDFNSLLLEGRHFRLECVNRIYDGKNSTLWTDQLYGAQVALYESILASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLSMQEHKGLRSSLTLEYYRKAYDHIVEQYPFWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNLKHKHSTTAYWADNWDTIPSDKRGNHPCFDPDKDLVLPSWKSPDVNSLSSKLWARSHDTRKTLFYFNGNLGPAYPNGRPEASYSMGIRQKLAEEFGSSPNKEGKLGKQHAEDVIVTPLRSENYHGDLASSIFCGVFPGDGWSGRMEDSILQGCIPVVIQDGIFLPYENVLNYDSYAVRIREDEIPDLINILRAFNETEIKFRLENVQKIWQRFLYRDSIMLEAERQKTDFGHMEDWAAQFSQLIEDDVVATFVQVLHYKLHNDPWRQHVHVKKEFGLPQECLYKGN >ONH95382 pep chromosome:Prunus_persica_NCBIv2:G7:10513982:10521608:-1 gene:PRUPE_7G067200 transcript:ONH95382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIQKWKCSWSQIATIASIVALASIILGSIVHLFWFPLVPSFNYFSQAQNSCVPINGSAEAVIDNVKGNFKPPIDLDRQFPSDLHKAVVFRGAPWKAEIGRWLSGCDPISDEVNIVEVIGGSGCKNDCSGQGVCNRELGQCRCYHGYSGEGCSERLQLECNYPGSPDQPYGRWVVSICSAHCDTTRAFCFCGEGTKYPNRPVAEACGFQVQLPSEPGAPKLTDWAKADLDNVFTKNGSKPGWCNVDPAEVYAHKVQFKEECDCKYDCFWGRFCEVPVLCTCINQCSGHGHCRGGFCQCDNGWYGIDCSIPSVTSSVREWPQWLRPAQVDVPDSSHLPGKVVNLNAVVKKKRPLIYVYDLPPDFNSLLLEGRHFRLECVNRIYDGKNSTLWTDQLYGAQVALYESILASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLSMQEHKGLRSSLTLEYYRKAYDHIVEQYPFWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNLKHKHSTTAYWADNWDTIPSDKRGNHPCFDPDKDLVLPSWKSPDVNSLSSKLWARSHDTRKTLFYFNGNLGPAYPNGRPEASYSMGIRQKLAEEFGSSPNKEGKLGKQHAEDVIVTPLRSENYHGDLASSIFCGVFPGDGWSGRMEDSILQGCIPVVIQDGIFLPYENVLNYDSYAVRIREDEIPDLINILRAFNETEIKFRLENVQKIWQRFLYRDSIMLEAERQKTDFGHMEDWAAQFSQLIEDDVVATFVQVLHYKLHNDPWRQHVHVKKEFGLPQECLYKGN >ONH95379 pep chromosome:Prunus_persica_NCBIv2:G7:10513982:10522063:-1 gene:PRUPE_7G067200 transcript:ONH95379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIQKWKCSWSQIATIASIVALASIILGSIVHLFWFPLVPSFNYFSQAQNSCVPINGSAEAVIDNVKGNFKPPIDLDRQFPSDLHKAVVFRGAPWKAEIGRWLSGCDPISDEVNIVEVIGGSGCKNDCSGQGVCNRELGQCRCYHGYSGEGCSERLQLECNYPGSPDQPYGRWVVSICSAHCDTTRAFCFCGEGTKYPNRPVAEACGFQVQLPSEPGAPKLTDWAKADLDNVFTKNGSKPGWCNVDPAEVYAHKVQFKEECDCKYDCFWGRFCEVPVLCTCINQCSGHGHCRGGFCQCDNGWYGIDCSIPSVTSSVREWPQWLRPAQVDVPDSSHLPGKVVNLNAVVKKKRPLIYVYDLPPDFNSLLLEGRHFRLECVNRIYDGKNSTLWTDQLYGAQVALYESILASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLSMQEHKGLRSSLTLEYYRKAYDHIVEQYPFWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNLKHKHSTTAYWADNWDTIPSDKRGNHPCFDPDKDLVLPSWKSPDVNSLSSKLWASYSMGIRQKLAEEFGSSPNKEGKLGKQHAEDVIVTPLRSENYHGDLASSIFCGVFPGDGWSGRMEDSILQGCIPVVIQDGIFLPYENVLNYDSYAVRIREDEIPDLINILRAFNETEIKFRLENVQKIWQRFLYRDSIMLEAERQKTDFGHMEDWAAQFSQLIEDDVVATFVQVLHYKLHNDPWRQHVHVKKEFGLPQECLYKGN >ONH94800 pep chromosome:Prunus_persica_NCBIv2:G7:5723088:5730742:-1 gene:PRUPE_7G031100 transcript:ONH94800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALHEMSRQMRTVCKNGAVSGFGGFLRAAGNDVEGLEASYSSIRRLSSNWNQSSTKEPISNLLKNIPEFVKIVEVGPRDGLQNEKHIVPTAVKVKLIEMLVASGLDVVEATSFVSPKWVPQLADAKDVMKAIRNVEGVRFPVLTPNLKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEDSLIRYHDVATAATKLSIPVRGYISCVVGCPVEGTVLPSQVAYVAKKLYNMGCSDISLGDTIGVGTPGTIIPMLEAVTDVVPTDKLAVHYHDTYGQALSNILASLQMGISTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLKKLMLAGDFICKHLGRSSGSKTAVAMSKVTAHASKL >ONH94799 pep chromosome:Prunus_persica_NCBIv2:G7:5723088:5730764:-1 gene:PRUPE_7G031100 transcript:ONH94799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALHEMSRQMRTVCKNGAVSGFGGFLRAAGNDVEGLEASYSSIRRLSSNWNQSSTKEPISNLLKNIPEFVKIVEVGPRDGLQNEKHIVPTAVKVKLIEMLVASGLDVVEATSFVSPKWVPQLADAKDVMKAIRNVEGVRFPVLTPNLKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEDSLIRYHDVATAATKLSIPVRGYISCVVGCPVEGTVLPSQVAYVAKKLYNMGCSDISLGDTIGVGTPGTIIPMLEAVTDVVPTDKLAVHYHDTYGQALSNILASLQMGISTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLKKLMLAGDFICKHLGRSSGSKTAVAMSKVTAHASKL >ONH94802 pep chromosome:Prunus_persica_NCBIv2:G7:5723247:5730742:-1 gene:PRUPE_7G031100 transcript:ONH94802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALHEMSRQMRTVCKNGAVSGFGGFLRAAGNDVEGLEASYSSIRRLSSNWNQSSTKEPISNLLKNIPEFVKIVEVGPRDGLQNEKHIVPTAVKVKLIEMLVASGLDVVEATSFVSPKWVPQLADAKDVMKAIRNVEGVRFPVLTPNLKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEDSLIRYHDVATAATKLSIPVRTYHVLWGVQWKEQYFHHK >ONH94796 pep chromosome:Prunus_persica_NCBIv2:G7:5721926:5730498:-1 gene:PRUPE_7G031100 transcript:ONH94796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALHEMSRQMRTVCKNGAVSGFGGFLRAAGNDVEGLEASYSSIRRLSSNWNQSSTKEPISNLLKNIPEFVKIVEVGPRDGLQNEKHIVPTAVKVKLIEMLVASGLDVVEATSFVSPKWVPQLADAKDVMKAIRNVEGVRFPVLTPNLKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEDSLIRYHDVATAATKLSIPVRGYISCVVGCPVEGTVLPSQVAYVAKKLYNMGCSDISLGDTIGVGTPGTIIPMLEAVTDVVPTDKLAVHYHDTYGQALSNILASLQCWSERSNA >ONH94797 pep chromosome:Prunus_persica_NCBIv2:G7:5721904:5730742:-1 gene:PRUPE_7G031100 transcript:ONH94797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALHEMSRQMRTVCKNGAVSGFGGFLRAAGNDVEGLEASYSSIRRLSSNWNQSSTKEPISNLLKNIPEFVKIVEVGPRDGLQNEKHIVPTAVKVKLIEMLVASGLDVVEATSFVSPKWVPQLADAKDVMKAIRNVEGVRFPVLTPNLKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEDSLIRYHDVATAATKLSIPVRGYISCVVGCPVEGTVLPSQVAYVAKKLYNMGCSDISLGDTIGVGTPGTIIPMLEAVTDVVPTDKLAVHYHDTYGQALSNILASLQCWSERSNA >ONH94798 pep chromosome:Prunus_persica_NCBIv2:G7:5723088:5730597:-1 gene:PRUPE_7G031100 transcript:ONH94798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALHEMSRQMRTVCKNGAVSGFGGFLRAAGNDVEGLEASYSSIRRLSSNWNQSSTKEPISNLLKNIPEFVKIVEVGPRDGLQNEKHIVPTAVKVKLIEMLVASGLDVVEATSFVSPKWVPQLADAKDVMKAIRNVEGVRFPVLTPNLKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEDSLIRYHDVATAATKLSIPVRGYISCVVGCPVEGTVLPSQVAYVAKKLYNMGCSDISLGDTIGVGTPGTIIPMLEAVTDVVPTDKLAVHYHDTYGQALSNILASLQMGISTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLKKLMLAGDFICKHLGRSSGSKTAVAMSKVTAHASKL >ONH94801 pep chromosome:Prunus_persica_NCBIv2:G7:5725275:5730742:-1 gene:PRUPE_7G031100 transcript:ONH94801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALHEMSRQMRTVCKNGAVSGFGGFLRAAGNDVEGLEASYSSIRRLSSNWNQSSTKEPISNLLKNIPEFVKIVEVGPRDGLQNEKHIVPTAVKVKLIEMLVASGLDVVEATSFVSPKWVPQLADAKDVMKAIRNVEGVRFPVLTPNLKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEDSLIRYHDVATAATKLSIPVRGYISCVVGCPVEGTVLPSQVAYVAKKLYNMGCSDISLGDTIGVGTPGTIIPMLEAVTDVVPTDKLAVHYHDTYGQALSNILASLQNPLRAKMWSSRCP >ONH95541 pep chromosome:Prunus_persica_NCBIv2:G7:11312333:11314507:-1 gene:PRUPE_7G076400 transcript:ONH95541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGKNVKGSVKETAANVAASAKSGMDKTKATVQEKVEKMTAHDPVEKDMATQKREERMVEAELNKCAAKAHNATARHEASVGGPTGYTTSGTGTHTYSPTGATGQPTGAHQMSALPGHGTGQPTGQVTEGVVESHPIGINTGTGWTAAHNTRVGGNPPGYGTGGTFS >ONH95542 pep chromosome:Prunus_persica_NCBIv2:G7:11312333:11313794:-1 gene:PRUPE_7G076400 transcript:ONH95542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGKNVKGSVKETAANVAASAKSGMDKTKATVQEKVEKMTAHDPVEKDMATQKREERMVEAELNKCAAKAHNATARHEASVGGPTGYTTSGTGTHTYSPTGATGQPTGAHQMSALPGHGTGQPTGQVTEGVVESHPIGINTGTGWTAAHNTRVGGNPPGYGTGGTFS >ONH94431 pep chromosome:Prunus_persica_NCBIv2:G7:2297406:2300680:1 gene:PRUPE_7G016000 transcript:ONH94431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKAFALSFMLISIIFSFVYIPARLTIPIHNVRPIINYFNLPKSSNTTTKPYPVTFSYLISASKGDALKLKRLLYALYHPGNYYLIHMDYAAPEAEHRDIADFVAGDPVFGQVGNVWVLGKSNLVTYRGPTMLATTLHALALLLRTCKWDWFINLSASDYPLITQDDLMHSFSDLPRDLNFIQHSSRLGWKLNKRGKPVIIDPGLYSLNKSELWWAIKQRELPTAFKLYTGSAWTILSRSFAEYCIVGWDNLPRTLLLYYTNFVSSPEGYFQTVICNSQDYKNTTVNHDLHYITWDTPPKQHPRSLGLRDFPRMILSNRPFARKFKKNVPVLNKIDREVLKRHRGQFSYGGWCSGSRGQTQKMCSGLQGENYGGLRPGPGAKRLKSLLKKLLSTRKFQKQQCK >ONH95909 pep chromosome:Prunus_persica_NCBIv2:G7:12768914:12770330:1 gene:PRUPE_7G095200 transcript:ONH95909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVPRARGVSFPIVFSDGETETDIGNVVVNDALEFKLFLSLLSNKIGISPHQFTVFLSSPDTRRRIPITGKVNFGAISREKNCFFLVELKRSRREKRRSKNSQIQHHHPQDFQENEYDNTASFGAHNPVNKSLSLENVMLLRRGMEIENVTGLGFPFAGRVEYENRIRELQMEKERYLMNMGLGRSDGFGLGPGRGGGVAVVCEECSRAKAMGREVGFHWCAYDAVTFGFRSPAGPISRPAKG >ONH96452 pep chromosome:Prunus_persica_NCBIv2:G7:15001715:15003188:1 gene:PRUPE_7G130300 transcript:ONH96452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIRHISTSTIQPTIENDHLARRIQLTPCDLQLIQIYYNQKGLLFHKPADQEQSNNLVQHLKASLSRTLDIFYPLAGRLAPIENEDNNTTCFFINCNGAGAQFVHATADCVKVADILGPLCIPDEIVYHLFPLNAVRNYEGISKPLLAVQVTELVDGIFIGCSINHAVVDGTSFWHFFNTWSEISSAGCNNISQPPPFFGRQFLDSVIDLPVQLPFSYGEITRKLVRRSSESLQRVLFHFSKQKVAELKSKANAEIGINNISSLQALMAHLWRAATRSRNLNPDQEIIYRVMVGLRQRLKPPLPEEYLGNAVKAVLVKSTAGELLHHGLGWAALQIKEQIASLTADEARKSLEEWVKTPIFVSNISNISTSSALLTGSSPRFNVFGNDFGWGRPLAVRSGAADKTNGRLTVFPGAEEGSIDVEACLFPEALQAMKDDKEFMEVMLHQGRSIDFEGPRQK >ONH96935 pep chromosome:Prunus_persica_NCBIv2:G7:16687391:16687872:1 gene:PRUPE_7G160500 transcript:ONH96935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVLSEILLSGFMVNSTLRRRTHLVQSFSVCFLYWFYVFS >ONH97010 pep chromosome:Prunus_persica_NCBIv2:G7:16897772:16900120:1 gene:PRUPE_7G164300 transcript:ONH97010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSNLSPSSSPLAVLLITLTTLQLLGAESKTFWGDIEALKELKNALDPTSVSPGSCISSWDFKLDPCDNLFSDRFTCGFRCDLVDSATSRLTELSLDQAGYSGSLSSISWNLPYLQTLDLSNNFFSGSIPDSLSNLTRLTRLGLSGNSFSGSIPASIGSLSNLEELYLDSNSLHGAIPPSLNRLASLKRLELQGNQLSGELPELGSLQNLFYLDASNNVISGQIPLTLPSSLLQISMRNNSLQGTVPENIKHLGFLQVLDLSHNQLGGSVPSYLFEHPSLQQLTLSFNQFSSVQPPISLGTQSEMIALDLSNNQLKGLLPSFLPMMPKLSALTLENNKFMGMIPTQYAFKVAVPGSGVSAFERLLLGGNYLFGPIPGPLLGLKPGSANVGLADNCLYRCPRVFFFCQGGDQKSLSECRSFGPIIP >ONH96034 pep chromosome:Prunus_persica_NCBIv2:G7:13299771:13302741:-1 gene:PRUPE_7G103400 transcript:ONH96034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSTTDPNGPMYFGGLYHLFYQYNPKGAVWGNIVWAHSVSKDLINWEALEPAIYPSKPFDINGCWSGSATILPGNKPIILYTGIDPQNRQVQNYAIPANASDPYLRQWIKPDNNPLVVPDAGMNATAFRDPTTAWWIDGHWKMLVGGRRKHRGVAHLYRSKDFMHWVKAHHPFHSAPHTGMWECPDFYPVPLVGKFGLDTSNFGVDVKHVMKVSLDETRYEYYTIGKYFLEKDRYVPDKALVDGWSGLRYDYGNFYASKSFFDPAKSRRILWGWANESDTSQEDVAKGWAGIQTIPRVVWLSPDRKQLLQWPIEELETLRGQKVELNIQKLKLGDYVEVKGITAAQADVDVLFSIPSLDKAEDFDPSWTSLDAQRLCGLKGSKVQGGLGPFGLLTLASQNLEEFTPVFFRIFKAQGSRHLVLMCSDATSSSLQNNLYKPSFAGFVDIDLTSYKKLSLRSLIDHSVVESFGPGAKTCITSRVYPTLAVNKEAHLFVFNNGTETITVESLTAWSMNAPKQMNA >ONH96033 pep chromosome:Prunus_persica_NCBIv2:G7:13299771:13304593:-1 gene:PRUPE_7G103400 transcript:ONH96033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLKKFLQVLLLACCCVFVIKNDAVEASHNVYPEFQSLAAVKVNRVHRTAFHFQPPSNWINDPNGPMYFGGLYHLFYQYNPKGAVWGNIVWAHSVSKDLINWEALEPAIYPSKPFDINGCWSGSATILPGNKPIILYTGIDPQNRQVQNYAIPANASDPYLRQWIKPDNNPLVVPDAGMNATAFRDPTTAWWIDGHWKMLVGGRRKHRGVAHLYRSKDFMHWVKAHHPFHSAPHTGMWECPDFYPVPLVGKFGLDTSNFGVDVKHVMKVSLDETRYEYYTIGKYFLEKDRYVPDKALVDGWSGLRYDYGNFYASKSFFDPAKSRRILWGWANESDTSQEDVAKGWAGIQTIPRVVWLSPDRKQLLQWPIEELETLRGQKVELNIQKLKLGDYVEVKGITAAQADVDVLFSIPSLDKAEDFDPSWTSLDAQRLCGLKGSKVQGGLGPFGLLTLASQNLEEFTPVFFRIFKAQGSRHLVLMCSDATSSSLQNNLYKPSFAGFVDIDLTSYKKLSLRSLIDHSVVESFGPGAKTCITSRVYPTLAVNKEAHLFVFNNGTETITVESLTAWSMNAPKQMNA >ONH97461 pep chromosome:Prunus_persica_NCBIv2:G7:18284948:18287198:-1 gene:PRUPE_7G191100 transcript:ONH97461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYLNQFFSSSSWSDVDVKERSSWGCCESGQPNEMLLNSAGVDEDDNNSSPTYLTNSNHSMESLAAQDASSIVHDLESDAQDDGKNFKPNLSNGKVDGSFKVENPGLQYDVDIVTPCSLASLEQLPLNGNMVRTSLSTIEPENVVCNNREPSIFERFNGDFETLPSISQLWPLQSYEGVPSSLSPGMGQHRMCSFGLKGEYGDNGTYAMANDPNNLAVLVTTEGKQDLQNYPLPSFASGPQITMTMSGLQSLQQATSTTPSAECTGTGKPRMRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSNRTDKASMLDEIIEYVKFLQLQIKVLSMSRVGAAGAVVPLITDTQQAKGANGSSLLPSVGQVTDISFNEIALEQVVRLMESDVTKAMQHLQSKGLCLMPIALADAISTEKKSSSSPAPASDDWKKTGFNDSGLVQNNSSSSSNSSLPEVKQEAKNHSFAAR >ONH97459 pep chromosome:Prunus_persica_NCBIv2:G7:18284589:18287921:-1 gene:PRUPE_7G191100 transcript:ONH97459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYLNQFFSSSSWSDVDVKERSSWGCCESGQPNEMLLNSAGVDEDDNNSSPTYLTNSNHSMESLAAQDASSIVHDLESDAQDDGKNFKPNLSNGKVDGSFKVENPGLQYDVDIVTPCSLASLEQLPLNGNMVRTSLSTIEPENVVCNNREPSIFERFNGDFETLPSISQLWPLQSYEGVPSSLSPGMGQHRMCSFGLKGEYGDNGTYAMANDPNNLAVLVTTEGKQDLQNYPLPSFASGPQITMTMSGLQSLQQATSTTPSAECTGTGKPRMRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSNRTDKASMLDEIIEYVKFLQLQIKVLSMSRVGAAGAVVPLITDTQQAKGANGSSLLPSVGQVTDISFNEIALEQVVRLMESDVTKAMQHLQSKGLCLMPIALADAISTEKKSSSSPAPASDDWKKTGFNDSGLVQNNSSSSSNSSLPEKSNSVGLSVIFQHTHMANTDHLNQKGMHQRRFILHSWLGFDL >ONH97460 pep chromosome:Prunus_persica_NCBIv2:G7:18284948:18287198:-1 gene:PRUPE_7G191100 transcript:ONH97460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYLNQFFSSSSWSDVDVKERSSWGCCESGQPNEMLLNSAGVDEDDNNSSPTYLTNSNHSMESLAAQDASSIVHDLESDAQDDGKNFKPNLSNGKVDGSFKVENPGLQYDVDIVTPCSLASLEQLPLNGNMVRTSLSTIEPENVVCNNREPSIFERFNGDFETLPSISQLWPLQSYEGVPSSLSPGMGQHRMCSFGLKGEYGDNGTYAMANDPNNLAVLVTTEGKQDLQNYPLPSFASGPQITMTMSGLQSLQQATSTTPSAECTGTGKPRMRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSNRTDKASMLDEIIEYVKFLQLQIKVLSMSRVGAAGAVVPLITDTQQAKGANGSSLLPSVGQVTDISFNEIALEQVVRLMESDVTKAMQHLQSKGLCLMPIALADAISTEKKSSSSPAPASDDWKKTGFNDSGLVQNNSSSSSNSSLPEVKQEAKNHSFAAR >ONH97462 pep chromosome:Prunus_persica_NCBIv2:G7:18284589:18287491:-1 gene:PRUPE_7G191100 transcript:ONH97462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYLNQFFSSSSWSDVDVKERSSWGCCESGQPNEMLLNSAGVDEDDNNSSPTYLTNSNHSMESLAAQDASSIVHDLESDAQDDGKNFKPNLSNGKVDGSFKVENPGLQYDVDIVTPCSLASLEQLPLNGNMVRTSLSTIEPENVVCNNREPSIFERFNGDFETLPSISQLWPLQSYEGVPSSLSPGMGQHRMCSFGLKGEYGDNGTYAMANDPNNLAVLVTTEGKQDLQNYPLPSFASGPQITMTMSGLQSLQQATSTTPSAECTGTGKPRMRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSNRTDKASMLDEIIEYVKFLQLQIKVLSMSRVGAAGAVVPLITDTQQAKGANGSSLLPSVGQVTDISFNEIALEQVVRLMESDVTKAMQHLQSKGLCLMPIALADAISTEKKSSSSPAPASDDWKKTGFNDSGLVQNNSSSSSNSSLPEVKQEAKNHSFAAR >ONH94176 pep chromosome:Prunus_persica_NCBIv2:G7:305019:312152:-1 gene:PRUPE_7G002400 transcript:ONH94176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFAAQGRVKLLFNGEGVALEQKGDPFNNKMFTSIQPHTYNRRLGRPHLDSTRLYSSSSMNKAKRLNAEARLFVGGDEAGPGHDDAAEFERDDELACFRGLVLDISYRYCSSRENLTIDHVLPIVRGGEWKWENLVTACARCNSKKGQKTLEEANMKLTKVPKAPKDYDILAIPLTSAAIKMLKMRKGTPEEWLQYLSKPSSEP >ONH94177 pep chromosome:Prunus_persica_NCBIv2:G7:306545:311005:-1 gene:PRUPE_7G002400 transcript:ONH94177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFAAQGRVKLLFNGEGVALEQKGDPFNNKMFTSIQPHTYNRRLGRPHLDSTRLYSSSSMNKAKRLNAEARLFVGGDEAGPGHDDAAEFERDDELACFRGLVLDISYRPVNVVCWKRAICLEYMEKADVLEYYDQTVNSPNGSFYIPAVLRVPHLLQIVKRRRIKNNLSRKNILCRDSFTCQYCSSRENLTIDHVLPIVRGGEWKWENLVTACARCNSKKGQKTLEEANMKLTKVPKAPKDYDILAIPLTSAAIKMLKMRKGTPEEWLQYLSKPSSEP >ONH94178 pep chromosome:Prunus_persica_NCBIv2:G7:306545:310803:-1 gene:PRUPE_7G002400 transcript:ONH94178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPVCLLVAMRLVLVMTMLLSLRGMMSWLVSEASSWISPTVNVVCWKRAICLEYMEKADVLEYYDQTVNSPNGSFYIPAVLRVPHLLQIVKRRRIKNNLSRKNILCRDSFTCQYCSSRENLTIDHVLPIVRGGEWKWENLVTACARCNSKKGQKTLEEANMKLTKVPKAPKDYDILAIPLTSAAIKMLKMRKGTPEEWLQYLSKPSSEP >ONH94179 pep chromosome:Prunus_persica_NCBIv2:G7:306545:310803:-1 gene:PRUPE_7G002400 transcript:ONH94179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPVCLLVAMRLVLVMTMLLSLRGMMSWLVSEASSWISPTGQLMLSAGSVLFAWNTWRRLDCCGSLIHADVLEYYDQTVNSPNGSFYIPAVLRVPHLLQIVKRRRIKNNLSRKNILCRDSFTCQYCSSRENLTIDHVLPIVRGGEWKWENLVTACARCNSKKGQKTLEEANMKLTKVPKAPKDYDILAIPLTSAAIKMLKMRKGTPEEWLQYLSKPSSEP >ONH97993 pep chromosome:Prunus_persica_NCBIv2:G7:19830996:19837114:1 gene:PRUPE_7G222300 transcript:ONH97993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASETSNGTSLRHAFGNVLSFFILILIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWLLNSLNIPLSVETICVFTAPIFSAFTSWATYLLTREVKGAGAGLTAAALIAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNAIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVAFVYYIKGILSPKMFKVAVTLVLSIGLIICIAVIAVLVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASSFVILYIVTSVYFSGVMVRLMLVLAPAACIMSGIALSEAFDVFTRSIKFQLPGLPGFSEVDAGDTSSESTVPQTEVPKTDKSGETLKERPSRKNRKKEKEPVEKPSIKSQIEKRLLVLPFEGSVLAIFLLVLLGAFYVVHCVWAAAEAYSAPSIVLTSYSNDGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNSLMYKLSYYRFVDTDGKGFDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPPRNRIRGKTKKSKSKSSSSSSSTKRSSTRKNPWH >ONH97994 pep chromosome:Prunus_persica_NCBIv2:G7:19830959:19837348:1 gene:PRUPE_7G222300 transcript:ONH97994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASETSNGTSLRHAFGNVLSFFILILIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWLLNSLNIPLSVETICVFTAPIFSAFTSWATYLLTREVKGAGAGLTAAALIAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNAIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVAFVYYIKGILSPKMFKVAVTLVLSIGLIICIAVIAVLVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASSFVILYIVTSVYFSGVMVRLMLVLAPAACIMSGIALSEAFDVFTRSIKFQLPGLPGFSEVDAGDTSSESTVPQTEVPKTDKSGETLKERPSRKNRKKEKEPVEKPSIKSQIEKRLLVLPFEGSVLAIFLLVLLGAFYVVHCVWAAAEAYSAPSIVLTSYSNDGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNSLMYKLSYYRFVDTDGKGFDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPPRNRIRGKTKKSKSKSSSSSSSTKRSSTRKNPWH >ONH96771 pep chromosome:Prunus_persica_NCBIv2:G7:16220557:16223605:1 gene:PRUPE_7G151000 transcript:ONH96771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNKSSNQQPFPFTFFILLFIGLSLPAAKAILSNEEQYGSGASAAAAGSLVKRDQRRALVVTEYGEISSIDISDGHRGPYHIQFITLEPNSLFLPVLLHADIVFYVHTGSGRLSWGDEDDIKRVAIKRGDLFRLRPGSIFFVQSDLQAERQKLRIYAIFATNTDDDLYDPAIGAYSSVRDLVRAFDPKVLRSAFKVSDEVIESIINGTDQSGIVHAVPTKKETFWDLEARFLKTFLTGKDGSAFNKNKKKTKTYNIFDEGPDFKNCNGWSLTVNKKNSQLLKGSNIGLFMVNLTKGSMMGPHWNPRATEIAIVLHGQGMVRVVCSSTAAKKSECKSMRLRVHEGDVFAVPRFHPMAQMSFNNDSLVFMGFSTTTRRNYPQFLAGKYSVLQSLDKQVLAASFNVSNTTVDQLLAAQADSVIIDCTSCAEEEERVMLEEIEKEREEEEARKRAEEKEQRKREEEEAAARKREEEEAAARKREEEEAARKREEEAAAARQREEEEAAARQREKEQEAERQREEEQAAKQREEEEAKKREGEGRQREEERRQEEETERERQQQKEWERREQEAQTEQEEARRQEEEREGKERPEESAREEEGRQREEERRQEEETEGERQQQKEWERRKQEAQTEQEEARRQQEEREGKERPEESAGRQPEEREGGGRGIDVEEGRRYLRVLKV >ONH96772 pep chromosome:Prunus_persica_NCBIv2:G7:16220557:16223606:1 gene:PRUPE_7G151000 transcript:ONH96772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNKSSNQQPFPFTFFILLFIGLSLPAAKAILSNEEQYGSGASAAAAGSLVKRDQRRALVVTEYGEISSIDISDGHRGPYHIQFITLEPNSLFLPVLLHADIVFYVHTGSGRLSWGDEDDIKRVAIKRGDLFRLRPGSIFFVQSDLQAERQKLRIYAIFATNTDDDLYDPAIGAYSSVRDLVRAFDPKVLRSAFKVSDEVIESIINGTDQSGIVHAVPTKKETFWDLEARFLKTFLTGKDGSAFNKNKKKTKTYNIFDEGPDFKNCNGWSLTVNKKNSQLLKGSNIGLFMVNLTKGSMMGPHWNPRATEIAIVLHGQGMVRVVCSSTAAKKSECKSMRLRVHEGDVFAVPRFHPMAQMSFNNDSLVFMGFSTTTRRNYPQFLAGKYSVLQSLDKQVLAASFNVSNTTVDQLLAAQADSVIIDCTSCAEEEERVMLEEIEKEREEEEARKRAEEKEQRKREEEEAAARKREEEEAAARKREEEEAARKREEEAAAARQREEEEAAARQREKEQEAERQREEEQAAKQREEEEAKKREGEGRQREEERRQEEETERERQQQKEWERREQEAQTEQEEARRQEEEREGKEKKKEDKRKKQRGRGSNKRNGKEESRKHRRSKKRLGDNRKKGKAKKDQKSQQEDSQRNEREVAEGLMLKREGDI >ONH95340 pep chromosome:Prunus_persica_NCBIv2:G7:10375757:10379123:-1 gene:PRUPE_7G065300 transcript:ONH95340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPMTTQTSLALPPFSTPPPKYEVFLSFRGFDTRKGFTDNLYKALIHYGIHTFMDAEQLESGEPVSTELFKATEESEISVIILSTNYATSTWCLNELVTMVELAENNESRLILPVFCGVTPSEARKQIGVHFEEGFAQHKKDFEGEPGKLARWKKSLTEIANLSGYDIRNYRNETMVIEKIVERIFGVLINTFSNDLKDFVGMDRVNEIKSNMSRIGTDEVRVIGICGMPGIGKSTIAKALSQRIRNQFDAFSFISKVGEISRKKSLFHIKEQLCDHLLNKQVTTKNVDDVIRKRLCNKRVLIVLDNVEEFEQIDAVAGNDGAELSSRFGKGSKIIITTAYERLLINYNPKIYTIEKLTQDESLLLFCRKAFKKDHPMDGYEKLCYEFLDYADGLPLALEVFGNSLLNRSVEDWSSRLASLKDDNYSGKNKIVNYLKASFDGLENQEQREIFLDIACFFKGEDACRVEKIFESCGYYPGININILCEKYLVSIVGGKLWMHNLLQQMGREVVRGESKKEGERSRLWLHTDAINVLKGNKGTDDVQGIFLSLPHPDKVHLKKDPFSNMDNLRLLKIYNVEFSGCLEYLSDELSFLEWHKYPLKSLPSSFEPDKLVELNLSESEIEQLWEEMERPLEKLLILNLSDCQKLIKTPDFDKVPNLEQLILKGCTSLFEVPDIINLRSLTNFILSGCSKLEKLPEIGEDMKQLRKLHLDGTAIEELPTSIKHLTGLTLLNLRDCKNLLSLPDVLCASLRSLQVLNLSGCSNLDKLPENLGSLESLPTSIKHLTSLTLSKLKDCKNLLGLPDVICTVLTSLQILNVSGCSNLNELPENLGSLECLQVLDASGTATSQT >ONH96849 pep chromosome:Prunus_persica_NCBIv2:G7:16484550:16488184:-1 gene:PRUPE_7G155900 transcript:ONH96849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSAALLLVLWLAMSVGTWGEDQNAENNDSWTGWARDKLTEGFGLKGGEAGSKPAERANKAAFVQKFLEGAIYSLEKHQTAKAEELMKGAMEYLYHDNTVKDKAAKLEEFMKEAIKYLEQDMIEKAENVVKGAVLYLKGDDEDNDEENGKRAKYLEKDEKEKVAAGVNKATLVDSFLEGALAYLEQHQVAKAIDIMQGIVLYVGQSDEIKDKAAAAEEMIQGALTYLQEDKLEKGTEMIREAAKYLENDEDYKAAIGKGKGAKYLEKGNAEIGLQKAQFVEEVLKGAMSYLQANHLVKAKDVIQGVQQFIAQDNTLKDKRATAEQLLSGAFGYLEQEKSGKAQKIIQEAIEYLENDEDVKEANKVFKEAEAKEAKLRGVKYLEKDEREKVAAGVNKATLVDSFLEGALAYLEQHQVAKAIDIMQGIVLYVGQSDEIKDKAAAAEEMIQGALTYLQEDKLEKGTEMIREAAKYLENDEDYKAAIGKGKGAKYLEKGNAEIGLQKAQFVEEVLKGAMSYLQANHLVKAKDVIQGVQQFIAQDNTLKDKRATAEQLLSGAFGYLEQEKSGKAQKIIQEAIDYLQNDEDVKAANKVFKEAEAKAAKVTGRKHPKTNNGAKHDGSEL >ONH96850 pep chromosome:Prunus_persica_NCBIv2:G7:16484831:16487803:-1 gene:PRUPE_7G155900 transcript:ONH96850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSAALLLVLWLAMSVGTWGEDQNAENNDSWTGWARDKLTEGFGLKGGEAGSKPAERANKAAFVQKFLEGAIYSLEKHQTAKAEELMKGAMEYLYHDNTVKDKAAKLEEFMKEAIKYLEQDMIEKAENVVKGAVLYLKGDDEDNDEENGKRAKYLEKDEKEKVAAGVNKATLVDSFLEGALAYLEQHQVAKAIDIMQGIVLYVGQSDEIKDKAAAAEEMIQGALTYLQEDKLEKGTEMIREAAKYLENDEDYKAAIGKGKGAKYLEKGNAEIGLQKAQFVEEVLKGAMSYLQANHLVKAKDVIQGVQQFIAQDNTLKDKRATAEQLLSGAFGYLEQEKSGKAQKIIQEAIEYLENDEDVKEANKVFKEAEAKEAKLRGVKYLEKDEREKVAAGVNKATLVDSFLEGALAYLEQHQVAKAIDIMQGIVLYVGQSDEIKDKAAAAEEMIQGALTYLQEDKLEKGTEMIREAAKYLENDEDYKAAIGKGKGAKYLEKGNAEIGLQKAQFVEEVLKGAMSYLQANHLVKAKDVIQGVQQFIAQDNTLKDKRATAEQLLSGAFGYLEQEKSGKAQKIIQEAIEYLENDEDVKEANKVFKEAEAKEAKLRGVKYLEKDEKEKVAAGVNKATLVDSFLEGALAYLEQHQVAKAIDIMQGIVLYVGQSDEIKDKAAAAEEMIQGALTYLQEDKLEKGTEMIREAAKYLENDEDYKAAIGKGKGAKYLEKGNAEIGLQKAQFVEEVLKGAMSYLQANHLVKAKDVIQGVQQFIAQDNTLKDKRATAEQLLSGAFGYLEQEKSGKAQKIIQEAIDYLQNDEDVKAANKVFKEAEAKAAKVTGRKHPKTNNGAKHDGSEL >ONH98585 pep chromosome:Prunus_persica_NCBIv2:G7:21435202:21436125:1 gene:PRUPE_7G255400 transcript:ONH98585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAMDLQAAVQEAKVAVLEAKEAVQEAKEAGVQEAKAEAVQEAKAEAVQEAKAEEVQEAKGVAVQEDMAEEVQEDMAEAVQEAKPEAVQEAKAEAVQEAKVEAVQGGTVAADKLTMTGNKTCGVLIASSTQSIYDDIMKLMMRVIS >ONH97552 pep chromosome:Prunus_persica_NCBIv2:G7:18555564:18562332:1 gene:PRUPE_7G196400 transcript:ONH97552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSPLPLQNACGGSKNQSLGKEALVKLLRWHFGHPEFRGKQLDAIEAVLSGRDCFCLMPTGGGKSMCYQIPALAKKTGIVLVVCPLIALMENQVMALKEKGIAAEYLSSTQAAQTKNKIHEDLDSGKPSLRLLYVTPELIATPGFMTKLRKIHTRGLLNLVAIDEAHCISSWGHDFRPSYRKLSTLRSHLPGVPILALTATAVPKVQKDVIESLCLQHPLILKSSFNRPNIHYEVRYKDLLDDVYADLCDVLKSSGDVCAIVYCLERTMCDDLSAHLSKNGISCAAYHAGLNDKLRSSVLDNWISSKIQVVVATVAFGMGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLLSRSLLYYGIDDCKRMKFILSNADNKKSKASNSQEELSNKTLTDFQQMVEYCEGSGCRRRKILETFGEKVSASLCGKTCDACKHPNLVAKYLEELANTCIVRQKFGSSRIFMSSSSDMINEDGTSEFWNRDDEESCSEDDISDSDDGLAVKNLARSKLPKKVGISEKIECLQRLEERYYPDKSSDKQINKPEKNAISDTLREASKQRLQNALKQAQQRLGNLKIEVEKSASFLENECYNKYGKTGKSFYYSQVASTVRWLSSADYTGLTNRLGTVNSSLSDDALPETEPPAARSPLVEGPTEITSSDFHDSVRSETSVAVSPTEIASPSIRLPPIPSFSQFINTRKTKDNRSSASEKKSPNGVQKNLEKRMRLQ >ONH97554 pep chromosome:Prunus_persica_NCBIv2:G7:18555564:18562332:1 gene:PRUPE_7G196400 transcript:ONH97554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKEKGIAAEYLSSTQAAQTKNKIHEDLDSGKPSLRLLYVTPELIATPGFMTKLRKIHTRGLLNLVAIDEAHCISSWGHDFRPSYRKLSTLRSHLPGVPILALTATAVPKVQKDVIESLCLQHPLILKSSFNRPNIHYEVRYKDLLDDVYADLCDVLKSSGDVCAIVYCLERTMCDDLSAHLSKNGISCAAYHAGLNDKLRSSVLDNWISSKIQVVVATVAFGYDCIYNLNIFSSCLLRKIFILLPPAHDWDCDVLASFLSIFVLGACSGACTVIELLVSLQDDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLLSRSLLYYGIDDCKRMKFILSNADNKKSKASNSQEELSNKTLTDFQQMVEYCEGSGCRRRKILETFGEKVSASLCGKTCDACKHPNLVAKYLEELANTCIVRQKFGSSRIFMSSSSDMINEDGTSEFWNRDDEESCSEDDISDSDDGLAVKNLARSKLPKKVGISEKIECLQRLEERYYPDKSSDKQINKPEKNAISDTLREASKQRLQNALKQAQQRLGNLKIEVEKSASFLENECYNKYGKTGKSFYYSQVASTVRWLSSADYTGLTNRLGTVNSSLSDDALPETEPPAARSPLVEGPTEITSSDFHDSVRSETSVAVSPTEIASPSIRLPPIPSFSQFINTRKTKDNRSSASEKKSPNGVQKNLEKRMRLQ >ONH97553 pep chromosome:Prunus_persica_NCBIv2:G7:18555564:18562332:1 gene:PRUPE_7G196400 transcript:ONH97553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSPLPLQNACGGSKNQSLGKEALVKLLRWHFGHPEFRGKQLDAIEAVLSALMENQVMALKEKGIAAEYLSSTQAAQTKNKIHEDLDSGKPSLRLLYVTPELIATPGFMTKLRKIHTRGLLNLVAIDEAHCISSWGHDFRPSYRKLSTLRSHLPGVPILALTATAVPKVQKDVIESLCLQHPLILKSSFNRPNIHYEVRYKDLLDDVYADLCDVLKSSGDVCAIVYCLERTMCDDLSAHLSKNGISCAAYHAGLNDKLRSSVLDNWISSKIQVVVATVAFGMGIDRKDVRIVCHFNIPKSMEAFYQESGRAGRDQLLSRSLLYYGIDDCKRMKFILSNADNKKSKASNSQEELSNKTLTDFQQMVEYCEGSGCRRRKILETFGEKVSASLCGKTCDACKHPNLVAKYLEELANTCIVRQKFGSSRIFMSSSSDMINEDGTSEFWNRDDEESCSEDDISDSDDGLAVKNLARSKLPKKVGISEKIECLQRLEERYYPDKSSDKQINKPEKNAISDTLREASKQRLQNALKQAQQRLGNLKIEVEKSASFLENECYNKYGKTGKSFYYSQVASTVRWLSSADYTGLTNRLGTVNSSLSDDALPETEPPAARSPLVEGPTEITSSDFHDSVRSETSVAVSPTEIASPSIRLPPIPSFSQFINTRKTKDNRSSASEKKSPNGVQKNLEKRMRLQ >ONH94621 pep chromosome:Prunus_persica_NCBIv2:G7:3722715:3725335:1 gene:PRUPE_7G023500 transcript:ONH94621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRRNSQSSENQFHSQSIQFSSSLVHFLKKPHAFPFLLSILLLLTWVSLRLQHSSYLSSAPSHISKDKDKPLTHKKWSQLSDSSANVIRFSSGFPSRIAKDKRGWLLDPISLALDSGISGGAVGCVSIHLGEIQPGGLRGNHRHHTCNETFVIWGAETKFRL >ONH94620 pep chromosome:Prunus_persica_NCBIv2:G7:3722651:3726011:1 gene:PRUPE_7G023500 transcript:ONH94620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRRNSQSSENQFHSQSIQFSSSLVHFLKKPHAFPFLLSILLLLTWVSLRLQHSSYLSSAPSHISKDKDKPLTHKKWSQLSDSSANVIRFSSGFPSRIAKDKRGWLLDPISLALDSGISGGAVGCVSIHLGEIQPGGLRGNHRHHTCNETFVIWGAETKFRLENHQVDGKGYAEVTIGADEVAVAGSPSGTAHALINIDPVRSSYFIGCQDSIINYNSSSTDFNVWKNL >ONH95462 pep chromosome:Prunus_persica_NCBIv2:G7:11008436:11011403:1 gene:PRUPE_7G072500 transcript:ONH95462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVNLGMLHYVLDHVYGAFMHRTKISPQFFSRGWGGTKLELLERMIKQLFPEVAGQNWPPTVIQPNWKTVWESQTATLREGVFRTPCDEQLLSALPPESHSARVAFLAPKSVPPQKMACVVHLAGTGDHTFERRLRLGGPLLKENIATMVLERRPVMQHGAKLLCVSDLLLLGKATIEEARSLLHWLDCEAGFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILKHATAWEALREDLAVQKAAMTLEEVRERMRNVLSLTDVTRFPIPKSPNAVIFVSATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNDEFRRAIVDGLDRLQWKESPL >ONH95461 pep chromosome:Prunus_persica_NCBIv2:G7:11008436:11011460:1 gene:PRUPE_7G072500 transcript:ONH95461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVNLGMLHYVLDHVYGAFMHRTKISPQFFSRGWGGTKLELLERMIKQLFPEVAGQNWPPTVIQPNWKTVWESQTATLREGVFRTPCDEQLLSALPPESHSARVAFLAPKSVPPQKMACVVHLAGTGDHTFERRLRLGGPLLKENIATMVLESPFYGQRRPVMQHGAKLLCVSDLLLLGKATIEEARSLLHWLDCEAGFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILKHATAWEALREDLAVQKAAMTLEEVRERMRNVLSLTDVTRFPIPKSPNAVIFVSATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNDEFRRAIVDGLDRLQWKESPL >ONH97925 pep chromosome:Prunus_persica_NCBIv2:G7:19673983:19675427:1 gene:PRUPE_7G218700 transcript:ONH97925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPPRRRKAAEPLSGSGVNVAAPVEKKIRVGATSVTTVQEAQTISSGVNLRVQQAKNAAVAQAQQDGATGNFRIFDSPFGNYLVPVVPTSKELSD >ONH95044 pep chromosome:Prunus_persica_NCBIv2:G7:8527952:8531134:-1 gene:PRUPE_7G048200 transcript:ONH95044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFITLKAEEKKKPKERRPYLASECRDLAEASKWRQQIMGEIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKVHWERRIVELGGPNYAKHAPKMTDLDGNIIDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDDEDGVLEKVEALAEEKMRAEAVAEWKRMEEIRKEARRAVKSGEVVSVAKAKEVLFEEEEEVVEEERQRERELQERSEREKEFVVHVPLPDDKEIEKMVLEKKKKELLSKYTSDGLLEEQTEAKQMLNIQR >ONH95043 pep chromosome:Prunus_persica_NCBIv2:G7:8529361:8531168:-1 gene:PRUPE_7G048200 transcript:ONH95043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFITLKAEEKKKPKERRPYLASECRDLAEASKWRQQIMGEIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKVHWERRIVELGGPNYAKHAPKMTDLDGNIIDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDDEDGVLEKVEALAEEKMRAEAVAEWKRMEEIRKEARRAVKSGEVVSVAKAKEVLFEEEEEVVEEERQRERELQERSEREKEFVVHVPLPDDKEIEKMVLEKKKKELLSKYTSDGLLEEQTEAKQMLNIQR >ONH96094 pep chromosome:Prunus_persica_NCBIv2:G7:13585967:13588393:1 gene:PRUPE_7G107400 transcript:ONH96094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDNHAIGIDLGTTYSCVAAWQADHVEILVNDHGNRTTRSYVTFTDSKRLVGDEAFNQVGRFPANSIFDAKRLIGRRFNEETVQKDVKCWPFKVIEGPADKPLIVVNHKGEEKKFAAEDISSMVLAKMREIAESYLCSKISAKNAVITVPSYFNDSQRQATIEAGKLAGLNVLRIINEPTAAAIAYGIDKKAGWFKKRNVMIFDWGGGTLDVSLLSIGHGVFDVKATSGDTHLGGEDLDNRMVNYCVEEFKTKQNVDIGGDAKALRKAKTACEKAKKALSFSFDTDIEIDSWYKGEDFHTTFTRDKFEGLNMDIFNKCMEPVNKCLEDAKMDISEVDDVVLVGGSSRIPKVQELLQEVFKGKELCKSINPDEAVAYGAAVQAAALSGNVTGKLQDFTLLDVTPLSLGLESMEHDSSKLYMNVVIPRNSRIPVRRTTSVTTVYDYQESVTFPIYEGENRIAKDNNFLGKFTLHGIPRAPKHVPKFTVYFDMDANGVLSVSAEDMSTGQKKGIKINRDRTKN >ONH96095 pep chromosome:Prunus_persica_NCBIv2:G7:13586068:13588436:1 gene:PRUPE_7G107400 transcript:ONH96095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDNHAIGIDLGTTYSCVAAWQADHVEILVNDHGNRTTRSYVTFTDSKRLVGDEAFNQVGRFPANSIFDAKRLIGRRFNEETVQKDVKCWPFKVIEGPADKPLIVVNHKGEEKKFAAEDISSMVLAKMREIAESYLCSKISAKNAVITVPSYFNDSQRQATIEAGKLAGLNVLRIINEPTAAAIAYGIDKKAGWFKKRNVMIFDWGGGTLDVSLLSIGHGVFDVKATSGDTHLGGEDLDNRMVNYCVEEFKTKQNVDIGGDAKALRKAKTACEKAKKALSFSFDTDIEIDSWYKGEDFHTTFTRDKFEGLNMDIFNKCMEPVNKCLEDAKMDISEVDDVVLVGGSSRIPKVQELLQEVFKGKELCKSINPDEAVAYGAAVQAAALSGNVTGKLQDFTLLDVTPLSLGLESMEHDSSKLYMNVVIPRNSRIPVRRTTSVTTVYDYQESVTFPIYEGENRIAKDNNFLGKFTLHGIPRAPKHVPKFTVYFDMDANGVLSVSAEDMSTGQKKGIKINRDRTKN >ONH96093 pep chromosome:Prunus_persica_NCBIv2:G7:13586206:13588393:1 gene:PRUPE_7G107400 transcript:ONH96093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDNHAIGIDLGTTYSCVAAWQADHVEILVNDHGNRTTRSYVTFTDSKRLVGDEAFNQVGRFPANSIFDAKRLIGRRFNEETVQKDVKCWPFKVIEGPADKPLIVVNHKGEEKKFAAEDISSMVLAKMREIAESYLCSKISAKNAVITVPSYFNDSQRQATIEAGKLAGLNVLRIINEPTAAAIAYGIDKKAGWFKKRNVMIFDWGGGTLDVSLLSIGHGVFDVKATSGDTHLGGEDLDNRMVNYCVEEFKTKQNVDIGGDAKALRKAKTACEKAKKALSFSFDTDIEIDSWYKGEDFHTTFTRDKFEGLNMDIFNKCMEPVNKCLEDAKMDISEVDDVVLVGGSSRIPKVQELLQEVFKGKELCKSINPDEAVAYGAAVQAAALSGNVTGKLQDFTLLDVTPLSLGLESMEHDSSKLYMNVVIPRNSRIPVRRTTSVTTVYDYQESVTFPIYEGENRIAKDNNFLGKFTLHGIPRAPKHVPKFTVYFDMDANGVLSVSAEDMSTGQKKGIKINRDRTKN >ONH98402 pep chromosome:Prunus_persica_NCBIv2:G7:21048758:21052616:1 gene:PRUPE_7G247900 transcript:ONH98402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTWEPELTNVSACTLCVPASISPGRLLSPSVGKNHAYQKLPQQPLKLFVIKLDGSSFEVEVPNTATVAELKEAVKGVFNQSPIDEMISWSHVWGHFCLCYQGQKLLDYKAYIRLFGIKDGDQICFVRHVSIKYEGRVKRRIRLWRKRIYDSPAYEQLVMNNHYT >ONH98404 pep chromosome:Prunus_persica_NCBIv2:G7:21049745:21052616:1 gene:PRUPE_7G247900 transcript:ONH98404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTWEPELTNVSACTLCVPASISPGRLLSPSVGKNHAYQKLPQQPLKLFVIKLDGSSFEVEVPNTATVAELKEAVKGVFNQSPIDEMISWSHVWGHFCLCYQGQKLLDYKAYIRLFGIKDGDQICFVRHVSIKYEGRVKRRIRLWRKRIYDSPAYEQLVMNNHYT >ONH98403 pep chromosome:Prunus_persica_NCBIv2:G7:21048275:21052616:1 gene:PRUPE_7G247900 transcript:ONH98403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTWEPELTNVSACTLCVPASISPGRLLSPSVGKNHAYQKLPQQPLKLFVIKLDGSSFEVEVPNTATVAELKEAVKGVFNQSPIDEMISWSHVWGHFCLCYQGQKLLDYKAYIRLFGIKDGDQICFVRHVSIKYEGRVKRRIRLWRKRIYDSPAYEQLVMNNHYT >ONH98401 pep chromosome:Prunus_persica_NCBIv2:G7:21048275:21052616:1 gene:PRUPE_7G247900 transcript:ONH98401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTWEPELTNVSACTLCVPASISPGRLLSPSVGKNHAYQKLPQQPLKLFVIKLDGSSFEVEVPNTATVAELKEAVKGVFNQSPIDEMISWSHVWGHFCLCYQGQKLLDYKAYIRLFGIKDGDQICFVRHVSIKYEGRVKRRIRLWRKRIYDSPAYEQLVMNNHYT >ONH98650 pep chromosome:Prunus_persica_NCBIv2:G7:21658020:21661305:1 gene:PRUPE_7G260200 transcript:ONH98650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVGKIKQYSNVLDKPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVRGVLDGAGFPAVVTAHFVPVEGQQRPRTTILIKFAEEVLRREARLG >ONH98651 pep chromosome:Prunus_persica_NCBIv2:G7:21658083:21661222:1 gene:PRUPE_7G260200 transcript:ONH98651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVGKIKQYSNVLDKPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVRVSSMVLSCLLVDLYGAYKV >ONH97432 pep chromosome:Prunus_persica_NCBIv2:G7:18183617:18192150:-1 gene:PRUPE_7G189800 transcript:ONH97432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNGVVGILSESVNKWERRAPLTPSHCARLLHSGRDRTGVARIIVQPSTKRIHHDAMYEDIGCEISEDLSQCGLILGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILAERVSLYDYELIVGDQGKRVLAFGKYAGRAGFIDFLRGLGQRYLSLGYSTPFLSLGASYMYTSLAAAKAAVISVGEEIATLGLPSGICPLVFVFTGSGNVSSGAQEIFKLLPHTFVDPSRLPELSGTDKDAAQPTRSSKRVFHIYGCVVTSKDMVQHKDSTRAFDKADYYAHPEHYNPVFHERIAPYASVIVNCMYWEKRFPRLLSTKQFQDLMRKGCKLIGISDITCDIGGSIEFVNQTTSIDSPFFRYDPVNDSYHHDMDGAGLICQAVDILPTEFAKEASQHFGDILSQFVGNLASTRDITKIPAHLTRACITHGGVLTSLYEYITRMRKSGSEEILKSPSKHQSNKKYNILVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCDVGQCSNSMSFSELEVGADDRAVLDQIIDSLTSLANPNENYDLKQEKNKISLRIGKVQESPMKENGTKRKVGVLIIGAGRVCQPAAEMLASISEMSSQKWCKTCLEDDFEEKNDVQVTVASLYLKDAEEITEGIPNTRAVQLDVTDTGSLHKYISEAELVISLLPAFCHITVANACIELKRHLVTASYVDDSMSKLDEKAKSAGITILGEMGLDPGIDHMMAMKMINQAHVRKGKVRSFTSYCGGLPSPAAANNPLAYKFSWSPAGAIRAGRNPATYKSRGEIVQVDGMNLYDSAVKQRIPNLPAFALECLPNRNSLVYGELYGIGHEASTVFRGTLRYEGFGEIMGTLSRIGLFESDPHPLLKDGKRPTFRKFLSELLKIESEDLDGPLIGEKVIHERIIKLGYCKDQETALRAAKTITFLGLHDQKEIPASCRSAFDVSCLLMEDRLAYSSTEQDMVLLHHEVEVEFPDGLREKHSGTLLEFGQTKNGKMITAMAFTVGIPAAIGALLILGNKVKTRGVLRPIEPEVYVPAMDIIQAYGIKVMEKIE >ONH97431 pep chromosome:Prunus_persica_NCBIv2:G7:18184078:18191297:-1 gene:PRUPE_7G189800 transcript:ONH97431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNGVVGILSESVNKWERRAPLTPSHCARLLHSGRDRTGVARIIVQPSTKRIHHDAMYEDIGCEISEDLSQCGLILGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILAERVSLYDYELIVGDQGKRVLAFGKYAGRAGFIDFLRGLGQRYLSLGYSTPFLSLGASYMYTSLAAAKAAVISVGEEIATLGLPSGICPLVFVFTGSGNVSSGAQEIFKLLPHTFVDPSRLPELSGTDAAQPTRSSKRVFHIYGCVVTSKDMVQHKDSTRAFDKADYYAHPEHYNPVFHERIAPYASVIVNCMYWEKRFPRLLSTKQFQDLMRKGCKLIGISDITCDIGGSIEFVNQTTSIDSPFFRYDPVNDSYHHDMDGAGLICQAVDILPTEFAKEASQHFGDILSQFVGNLASTRDITKIPAHLTRACITHGGVLTSLYEYITRMRKSGSEEILKSPSKHQSNKKYNILVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCDVGQCSNSMSFSELEVGADDRAVLDQIIDSLTSLANPNENYDLKQEKNKISLRIGKVQESPMKENGTKRKVGVLIIGAGRVCQPAAEMLASISEMSSQKWCKTCLEDDFEEKNDVQVTVASLYLKDAEEITEGIPNTRAVQLDVTDTGSLHKYISEAELVISLLPAFCHITVANACIELKRHLVTASYVDDSMSKLDEKAKSAGITILGEMGLDPGIDHMMAMKMINQAHVRKGKVRSFTSYCGGLPSPAAANNPLAYKFSWSPAGAIRAGRNPATYKSRGEIVQVDGMNLYDSAVKQRIPNLPAFALECLPNRNSLVYGELYGIGHEASTVFRGTLRYEGFGEIMGTLSRIGLFESDPHPLLKDGKRPTFRKFLSELLKIESEDLDGPLIGEKVIHERIIKLGYCKDQETALRAAKTITFLGLHDQKEIPASCRSAFDVSCLLMEDRLAYSSTEQDMVLLHHEVEVEFPDGLREKHSGTLLEFGQTKNGKMITAMAFTVGIPAAIGALLILGNKVKTRGVLRPIEPEVYVPAMDIIQAYGIKVMEKIE >ONH97433 pep chromosome:Prunus_persica_NCBIv2:G7:18183720:18191538:-1 gene:PRUPE_7G189800 transcript:ONH97433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNGVVGILSESVNKWERRAPLTPSHCARLLHSGRDRTGVARIIVQPSTKRIHHDAMYEDIGCEISEDLSQCGLILGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILAERVSLYDYELIVGDQGKRVLAFGKYAGRAGFIDFLRGLGQRYLSLGYSTPFLSLGASYMYTSLAAAKAAVISVGEEIATLGLPSGICPLVFVFTGSGNVSSGAQEIFKLLPHTFVDPSRLPELSGTDKDAAQPTRSSKRVFHIYGCVVTSKDMVQHKDSTRAFDKADYYAHPEHYNPVFHERIAPYASVIVNCMYWEKRFPRLLSTKQFQDLMRKGCKLIGISDITCDIGGSIEFVNQTTSIDSPFFRYDPVNDSYHHDMDGAGLICQAVDILPTEFAKEASQHFGDILSQFVGNLASTRDITKIPAHLTRACITHGGVLTSLYEYITRMRKSGSEEILKSPSKHQSNKKYNILVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCDVGQCSNSMSFSELEVGADDRAVLDQIIDSLTSLANPNENYDLKQEKNKISLRIGKVQESPMKENGTKRKVGVLIIGAGRVCQPAAEMLASISEMSSQKWCKTCLEDDFEEKNDVQVTVASLYLKDAEEITEGIPNTRAVQLDVTDTGSLHKYISEAELVISLLPAFCHITVANACIELKRHLVTASYVDDSMSKLDEKAKSAGITILGEMGLDPGIDHMMAMKMINQAHVRKGKVRSFTSYCGGLPSPAAANNPLAYKFSWSPAGAIRAGRNPATYKSRGEIVQVDGMNLYDSAVKQRIPNLPAFALECLPNRNSLVYGELYGIGHEASTVFRGTLRYEGFGEIMGTLSRIGLFESDPHPLLKDGKRPTFRKFLSELLKIESEDLDGPLIGEKVIHERIIKLGYCKDQETALRAAKTITFLGLHDQKEIPASCRSAFDVSCLLMEDRLAYSSTEQDMVLLHHEVEVEFPDGLREKHSGTLLEFGQTKNGKMITAMAFTVGIPAAIGALLILGNKVKTRGVLRPIEPEVYVPAMDIIQAYGIKVMEKIE >ONH97246 pep chromosome:Prunus_persica_NCBIv2:G7:17628304:17632148:-1 gene:PRUPE_7G179400 transcript:ONH97246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSVPILRHLLTKPTTPTLAPANPTRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTNAVEQVMDAMHAPVYFETFEVHGDMPKVPNEVIESIKKNKVCLKGGLATPMGGGVSSLNLQLRKELDLYASLVNCVNLPGLVTKHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLGDGLFLESCREVATKYPGIDYKEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAIFEQGASAGNVGNQKILDKKKANPVALLLSSAMMLRHLQFPSFADRLETAVARVILEGKCRTKDLGGQSTTQEVVDGVIAALE >ONH97244 pep chromosome:Prunus_persica_NCBIv2:G7:17629056:17631750:-1 gene:PRUPE_7G179400 transcript:ONH97244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSVPILRHLLTKPTTPTLAPANPTRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTNAVEQVMDAMHAPVYFETFEVHGDMPKVPNEVIESIKKNKVCLKGGLATPMGGGVSSLNLQLRKELDLYASLVNCVNLPGLVTKHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLGDGLFLESCREVATKYPGIDYKEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAIFEQGASAGNVGNQKILDKKKANPVALLLSSAMMLRHLQFPSFADRLETAVARVILEGKCRTKDLGGQSTTQEVVDGVIAALE >ONH97243 pep chromosome:Prunus_persica_NCBIv2:G7:17628431:17631989:-1 gene:PRUPE_7G179400 transcript:ONH97243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSVPILRHLLTKPTTPTLAPANPTRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTNAVEQVMDAMHAPVYFETFEVHGDMPKVPNEVIESIKKNKVCLKGGLATPMGGGVSSLNLQLRKELDLYASLVNCVNLPGLVTKHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLGDGLFLESCREVATKYPGIDYKEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAIFEQGASAGNVGNQKILDKKKANPVALLLSSAMMLRHLQFPSFADRLETAVARVILEGKCRTKDLGGQSTTQEVVDGVIAALE >ONH97245 pep chromosome:Prunus_persica_NCBIv2:G7:17628432:17631989:-1 gene:PRUPE_7G179400 transcript:ONH97245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSVPILRHLLTKPTTPTLAPANPTRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTNAVEQVMDAMHAPVYFETFEVHGDMPKVPNEVIESIKKNKVCLKGGLATPMGGGVSSLNLQLRKELDLYASLVNCVNLPGLVTKHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLGDGLFLESCREVATKYPGIDYKEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAIFEQGASAGNVGNQKILDKKKANPVALLLSSAMMLRHLQFPSFADRLETAVARVILEGKCRTKDLGGQSTTQEVVDGVIAALE >ONH94336 pep chromosome:Prunus_persica_NCBIv2:G7:1507408:1509831:-1 gene:PRUPE_7G011400 transcript:ONH94336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLFLRRMQQNQSPPSKRNHSPSLLLQCSELVLSWLTPQELATISLTCSTLHTISKSITLRRASDASRAFESHPIPFHNSVDEHPYAYFIYTPSQIPSSSSQFLGRQSWGSSSSAHKSNSVAGLGVQTLRFVDESGECACGCECEACGEEGDGGDGCPCFGGFNDVVAECGPSCECGLDCGNRLTQRGIEIKLKILRDGRKGWSLYADQFIPKGRFVCEYAGELLTTKEARSRQQIYDELASGGHFSPALLVVREHMPSRKACLRYNIDATRAGNVSRFINHSCDGGNLSTALVRSSGALLPRLCFFASKDIKEDEELTFSYGEIRERSKGLQCFCGSSCCLGILPSEQT >ONH94335 pep chromosome:Prunus_persica_NCBIv2:G7:1506395:1510090:-1 gene:PRUPE_7G011400 transcript:ONH94335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLFLRRMQQNQSPPSKRNHSPSLLLQCSELVLSWLTPQELATISLTCSTLHTISKSITLRRASDASRAFESHPIPFHNSVDEHPYAYFIYTPSQIPSSSSQFLGRQSWGSSSSAHKSNSVAGLGVQTLRFVDESGECACGCECEACGEEGDGGDGCPCFGGFNDVVAECGPSCECGLDCGNRLTQRGIEIKLKILRDGRKGWSLYADQFIPKGRFVCEYAGELLTTKEARSRQQIYDELASGGHFSPALLVVREHMPSRKACLRYNIDATRAGNVSRFINHSCDGGNLSTALNNFVILPSLKKKKEKRLVAPKASSGPSSPIQELKVKWPKPGGMLSQRLQLPQLLSKT >ONH96161 pep chromosome:Prunus_persica_NCBIv2:G7:13796726:13798702:-1 gene:PRUPE_7G110400 transcript:ONH96161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAARRSGGGLFEGIYRVIMRRNSVYVTFVIAGAFLGERAVDYGVHKLWEYNNVGKRYEDISVLGQRPSEE >ONH97037 pep chromosome:Prunus_persica_NCBIv2:G7:16999083:17003433:1 gene:PRUPE_7G166100 transcript:ONH97037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAALLFFLVVLCAPPFLSWAQRSAETVAEIEALTSFKLNLHDPLGALNGWDSTTPSAPCDWRGVDCTDNRVSELRLPRLELGGRLSDRLGNLRMLRKVSLRSNSFNGTVPSSLSQCTLLRSVFLQNNSLSGKLPAEIGNLSNLQIFNVASNHLSGEISGELPPRLKYLDLSSNSFSGEIPKSIVNLTGLQLINLSYNQFSGQVPASFGELQELEFLWLDYNLLSGPLPSALANCLALVHLSVEGNALSGVIPAAIGALPKLQVLALSQNTLSGTVPYSMFCNVSVFTPSLRIVQLGFNAFTDIVKPETASCFSALQVLDLQHNQIGGDFPWWLTQVSNLTILDVSSNSFSGVVPPEIGNLTRLEELKMANNSFSGPIPAEIKQCSLLRVLDLQGNRFSGEIPLFLGDLRGLKVLSLGENQFLGSIPANFPNLLGLETLSLRGNQLTGTLPEEVLMGLGNLTTLDLSRNKFSGEVGITIGNLSQLMVLNLSGNGFSGRVPSSLASLFRLTTIDLSKQNFSGELPFELSGLPNLQVIALQENSLSGDVPEGFSSLMGLHYLNLSSNAFSGHIPENYGFLRSLVVLSLADNHISGVIPPELGNCSDLQVVELQSNSLTGSIPADLSHLSLLKELDLGNNNLTGEIPEEISKCSSLTALLLESNHLSGGIPVSLSVLSNLTTLDLSNNNLSGEIPCNLTLIPGLVNFNVSMNNLDGKIPELLGSRINNPSAFGGNKNLCGRPLDRKCEDVGTKSDNKKRLILMIVIIASGACLLALCCCFYIFSLFRWRKKLKQKASGEKNRSPARASSGASGGRGSSDSGGPKLVMFNNKITLAETIEATRQFDEENVLSRTRYGLVFKACYADGMVLSVRRFPDGALNENLFRKEAEALGRVKHRNLTVLRGYYAGPPDMRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHSSAVVHGDVKPQSVLFDADFEAHLSDFGLDKLTLATPAEASSSTTVGTLGYVSPEAVLTGAVTKESDVYSYGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQKGQITELLEPGLLELDPESTEWEEFLLGVKVGLLCTAPDPLDRPTMSDIVFMLEGCRVGPDIPSSADPTSQPSPA >ONH98217 pep chromosome:Prunus_persica_NCBIv2:G7:20518944:20520963:-1 gene:PRUPE_7G236300 transcript:ONH98217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRVDSTKPYFATSSLVVGYALCSSLLAVINKFAITKFNYPGLLTALQYLTSALGVWALGKFGFLHHDPFSLQTAKKFLPAAFVFYLAIFTNTNLLRHANVDTFIVFRSCTPLLVALADTAFRKQPIPSKLTFVSLLIILGGAVGYVATDSGFTLTAYSWAFAYLVTITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLMMAPPFWIITGEYAEVFGALGSNAANFFEPGALFAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVLIWDKHASPFGLLCLLLTIVGGVLYQQSVTGAGSAPSQRETAVSKQTPVENDGDDFPEENQGKAVSGKLASV >ONH97210 pep chromosome:Prunus_persica_NCBIv2:G7:17505789:17508699:1 gene:PRUPE_7G176300 transcript:ONH97210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAFASLTSPPFWSARRSLPIHGRFAFLHKTLGWGRRSLLLPITCSSSESEPNQNADSDAKTAPLSSSSLTDKLYVGLGGVGFLETTYLTYLKLTNSDAFCPIGGGSCGDILSSDYAVVFGVPLPLFGMVAYGLVATLGVQLLTAKKLPFGIGESNARLVLLGTTTSMAAASACFLYILSTKFSGASCSYCLLSALLSFTLFFITLKDLGLEKVQKEVGLLLCIASLVFVTLNRSYSAYPPVSSSPSEIDLPYFTTEITTPSSPFAIALAKHLKAIGAKMYGAFWCSHCVEQKQMFGREAAKLLNYVECFPGGFRKGTIMEKACIDVGIEGFPTWVINGQVLSGEKDFTELAQESGFELNESSPPP >ONH97487 pep chromosome:Prunus_persica_NCBIv2:G7:18357081:18359221:1 gene:PRUPE_7G192400 transcript:ONH97487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRNCIKMNMLMFFPSLTSLLLMASFFFFHLGFSFSHQIPHPTIKNACTTLLHPSLCFTALASAKTHDHFTAFHHLLEANINQTVASVESTRQSIKGLLKLQDLYLQERNALKDCLEMLDQTLYELGQAVDDLHGFRVSYGNLKTLLSAAMTNENTCIDGFFELEEFDSENQIGLNGHLQGLLAPISELMSDCLAMIRYQEQALNEQKRLVTKKPKKRFPVWMLPGDRNLMQREPTMKADIVVAADGNGDYETIGEALKMAPNMSRTRFVIKIKAGVYNETVEISREKANIMLVGDGMNTTIITGSKSFADGFSTFATATLTVIGDRFLARDLTITNTAGSKKFQAVAARVTSNSAFYHCNFSSYQDTLYVHSLRQFYRDCIIEGTIDFIFGNAAAVFQNCTVIVRKPIPGQKLMITAQGRTDPNQNTGISLQNCTIVAAPDFNKTERQNFTTFLGRPWRNYSRTIVMKSYLGDMINPQGWSKWDDYSTVETVEYIEYLNFGPGSDTRHRVKWGGYKKNCSEEIARQFTVGLFLHGAGHWLKTTGIPLSYGS >ONH98546 pep chromosome:Prunus_persica_NCBIv2:G7:21354476:21357899:-1 gene:PRUPE_7G253400 transcript:ONH98546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSFAPAGVAKERAEQYQGKLTAYVIVACVVAAVGGSLFGYDIGISGGVTSMDGFLHKFFKTVYKQKMLAHENNYCKYDNQGLAAFTSSLYLAGLVASLVASPVTRNYGRRGSIICGGISFLVGATLNAAAANLAMLLLGRIMLGIGIGFGNQAIPLYLSEMAPTHLRGGLNMMFQLATTLGIFIANMVNYGTQKLEPWGWRLSLGLAIVPAIVMTVGGIFLPETPNSLIEQGSKEEGRKLLERIRGTENVSAEFQDMLDASEFASAIKHPFRNILDRRNRPQLVMAIFMPTFQILTGINSILFYAPVLFQSMGFGGNASLYSSALTGAVLVSSTLISIAIVDKLGRRVLLISGGIMMIICQVIVAIILGVKLGENQELSKGFSVLVVAVICLFVVAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFIIAQSFLALLCALKFGIFLFFAGWITVMTVFVYVFLPETKGIPIEEMILMWRKHWFWKKIVPEYPEVDSSVSRRPNNSTV >ONH98545 pep chromosome:Prunus_persica_NCBIv2:G7:21354481:21357824:-1 gene:PRUPE_7G253400 transcript:ONH98545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSFAPAGVAKERAEQYQGKLTAYVIVACVVAAVGGSLFGYDIGISGGVTSMDGFLHKFFKTVYKQKMLAHENNYCKYDNQGLAAFTSSLYLAGLVASLVASPVTRNYGRRGSIICGGISFLVGATLNAAAANLAMLLLGRIMLGIGIGFGNQAIPLYLSEMAPTHLRGGLNMMFQLATTLGIFIANMVNYGTQKLEPWGWRLSLGLAIVPAIVMTVGGIFLPETPNSLIEQGSKEEGRKLLERIRGTENVSAEFQDMLDASEFASAIKHPFRNILDRRNRPQLVMAIFMPTFQILTGINSILFYAPVLFQSMGFGGNASLYSSALTGAVLVSSTLISIAIVDKLGRRVLLISGGIMMIICQVIVAIILGVKLGENQELSKGFSVLVVAVICLFVVAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFIIAQSFLALLCALKFGIFLFFAGWITVMTVFVYVFLPETKGIPIEEMILMWRKHWFWKKIVPEYPEVDSSVSRRPNNSTV >ONH94949 pep chromosome:Prunus_persica_NCBIv2:G7:7760224:7762413:1 gene:PRUPE_7G041600 transcript:ONH94949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAAADSQFHVLAVDDSLIDRKLIERLLKTSSYQVTTVDSGSKALEFLGLYEDDQSSPDSPSVSLSNQQEVGVNLVITDYCMPGMTGYDLLKKIKESSSLRNIPVVIMSSENVPSRINRCLEEGAEEFFLKPVRLSDLSRLRPHIMKTKSKDQNQGKEENEEKQEESSESSEVSHEQDQQVVDQQPPPPPPPPVPANSNKRKAMEEGLSPDRTRPRFNGITTVV >ONH94529 pep chromosome:Prunus_persica_NCBIv2:G7:3146891:3158797:1 gene:PRUPE_7G021200 transcript:ONH94529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKSPHVVLLLYVLVCFVYQSFAIGVDTNQTAKLLVDASEASGRPISETLFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNVPSNIDPWSIIGNESSLIVSTDRSSCFDRNKVALRIEVLCDSQGASSCPDGGVGIYNPGFWGMNIEKGKTYSVVLYVRSSGSINVSVSLTGSDGLQKLAAANIIASDSEVSNWTKVEVMLEAQGTNPNSRLQLTTTRKGFIWFDQVSVMPLDTYKGHGFRKDLVEMLEDLKPQFIRFPGGCFVEGEWLRNAFRWKETIGPWEERPGHFGDVWMYWTDDGIGYFEFLQLAEDLGTLPIWVFNNGISHTDQVDTSSVLPFVQEALDGLEFARGSPNSTWGSLRAAMGHPEPFDLRYVAIGNEDCGKKNYLGNYLKFYSAIKRAYPDIKIISNCDGSSRKLDHPADLYDFHVYTDAKNMFSMAHQFDHTSRSGPKAFVSEYAVTGKDAGTGSLLAALGEAGFLIGLEKNSDVVEMASYAPLFVNANNRRWNPDAIVFNSSHLYGTPSYWVQCLFNESSGATIFNATLQTNLSTSLLASAISWKNSENENSYLRIKIVNFGTNIVNLKIVVDGLEPNSINLSESTKTVLTSTNLMDENSFNEPKKVIPNRILLEKAGEDGEDMEVAISPHSFTSIDFLIESSFIRTTGADSVSVSSI >ONH94531 pep chromosome:Prunus_persica_NCBIv2:G7:3147364:3158672:1 gene:PRUPE_7G021200 transcript:ONH94531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKSPHVVLLLYVLVCFVYQSFAIGVDTNQTAKLLVDASEASGRPISETLFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNVPSNIDPWSIIGNESSLIVSTDRSSCFDRNKVALRIEVLCDSQGASSCPDGGVGIYNPGFWGMNIEKGKTYSVVLYVRSSGSINVSVSLTGSDGLQKLAAANIIASDSEVSNWTKVEVMLEAQGTNPNSRLQLTTTRKGFIWFDQVSVMPLDTYKGHGFRKDLVEMLEDLKPQFIRFPGGCFVEGEWLRNAFRWKETIGPWEERPGHFGDVWMYWTDDGIGYFEFLQLAEDLGTLPIWVFNNGISHTDQVDTSSVLPFVQEALDGLEFARGSPNSTWGSLRAAMGHPEPFDLRYVAIGNEDCGKKNYLGNYLKFYSAIKRAYPDIKIISNCDGSSRKLDHPADLYDFHVYTDAKNMFSMAHQFDHTSRSGPKAFVSEYAVTGKDAGTGSLLAALGEAGFLIGLEKNSDVVEMASYAPLFVNANNRRWNPDAIVFNSSHLYGTPSYWVQCLFNESSGATIFNATLQTNLSTSLLASAISWKNSENENSYLRIKIVNFGTNIVNLKIVVDGLEPNSINLSESTKTVLTSTNLMDENSFNEPKKVSESTCW >ONH94530 pep chromosome:Prunus_persica_NCBIv2:G7:3146506:3158798:1 gene:PRUPE_7G021200 transcript:ONH94530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRKSPHVVLLLYVLVCFVYQSFAIGVDTNQTAKLLVDASEASGRPISETLFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNVPSNIDPWSIIGNESSLIVSTDRSSCFDRNKVALRIEVLCDSQGASSCPDGGVGIYNPGFWGMNIEKGKTYSVVLYVRSSGSINVSVSLTGSDGLQKLAAANIIASDSEVSNWTKVEVMLEAQGTNPNSRLQLTTTRKGFIWFDQVSVMPLDTYKGHGFRKDLVEMLEDLKPQFIRFPGGCFVEGEWLRNAFRWKETIGPWEERPGHFGDVWMYWTDDGIGYFEFLQLAEDLGTLPIWVFNNGISHTDQVDTSSVLPFVQEALDGLEFARGSPNSTWGSLRAAMGHPEPFDLRYVAIGNEDCGKKNYLGNYLKFYSAIKRAYPDIKIISNCDGSSRKLDHPADLYDFHVYTDAKNMFSMAHQFDHTSRSGPKAFVSEYAVTGKDAGTGSLLAALGEAGFLIGLEKNSDVVEMASYAPLFVNANNRRWNPDAIVFNSSHLYGTPSYWVQCLFNESSGATIFNATLQTNLSTSLLASAISWKNSENENSYLRIKIVNFGTNIVNLKIVVDGLEPNSINLSESTKTVLTSTNLMDENSFNEPKKVIPNRILLEKAGEDGEDMEVAISPHSFTSIDFLIESSFIRTTGADSVSVSSI >ONH94161 pep chromosome:Prunus_persica_NCBIv2:G7:231642:234446:1 gene:PRUPE_7G001700 transcript:ONH94161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYHHQHHHQNQHQHQHQHQGKNIHSSSSASSRMSIPPERHLYLQGDQNGPGESGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGHATSGTSKIGTVPVAAERLSEANGTHMSNMSAGPQANKGLHISETLQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGRQNLGTVGLEAAKVQLSELVSKVSTQCLNSAFTELKELQGLCPQQTQTTQPTDCSMESCLTSCEGSKKDQEIHNSAMGLRANYNGRELLDEKEPMLQKTELKWCEELKENNMLLSSISNDAAKRMFPVERSSSDLSMSIGCQGERWNINGNSEERLKGRSTDVSFLDRTNNRADSAKAETEKVSRGCRSVPYFAAKLDLNTHDDNDAPSSCKQFDLNGFSWS >ONH98470 pep chromosome:Prunus_persica_NCBIv2:G7:21175859:21179643:1 gene:PRUPE_7G250200 transcript:ONH98470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDAIEDSIAWPVRKVVPILPGDYSDLELKPVGMLEVKLVQAKDLTNKDLIGKSDPYAVVYIRPLQDRMKKSKTINNDLNPIWNEHFEFIVEDASTQHLVVKVYDDEGVQSSELIGCAHVLLSELQPGKVKDVWLKLVKSLEVQRDNKNRGQVHLELLYCPFGMENGFVNPFTPNFSMTSLEKVLKSGMNGTEATETPKEATQKRKEVIIRGVLSVTIISAEDLPPVDLIGKADPYVVLTLKKSETKNKTRVVNDSLNPVWNQTFDFVVEDGLHDMLIIEVWDHDTFGKDYIGRCIMTLTRVILEGEYKDSLPLDGAKSGKLNVQLKWMPQPIYRDS >ONH98469 pep chromosome:Prunus_persica_NCBIv2:G7:21173982:21179643:1 gene:PRUPE_7G250200 transcript:ONH98469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSSLKFSKFTLGTVAPQFTGVSIIEDEGDGVTMELEMQWDGNPSIILAIKTLLGVSLPVQVKDIGFTGVFRLIFKPLVDEFPCFGAVCYSLREKKNLEFRLKVVGGDISTIPGIYDAIEGMIRDAIEDSIAWPVRKVVPILPGDYSDLELKPVGMLEVKLVQAKDLTNKDLIGKSDPYAVVYIRPLQDRMKKSKTINNDLNPIWNEHFEFIVEDASTQHLVVKVYDDEGVQSSELIGCAHVLLSELQPGKVKDVWLKLVKSLEVQRDNKNRGQVHLELLYCPFGMENGFVNPFTPNFSMTSLEKVLKSGMNGTEATETPKEATQKRKEVIIRGVLSVTIISAEDLPPVDLIGKADPYVVLTLKKSETKNKTRVVNDSLNPVWNQTFDFVVEDGLHDMLIIEVWDHDTFGKDYIGRCIMTLTRVILEGEYKDSLPLDGAKSGKLNVQLKWMPQPIYRDS >ONH98471 pep chromosome:Prunus_persica_NCBIv2:G7:21176344:21179643:1 gene:PRUPE_7G250200 transcript:ONH98471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDAIEDSIAWPVRKVVPILPGDYSDLELKPVGMLEVKLVQAKDLTNKDLIGKSDPYAVVYIRPLQDRMKKSKTINNDLNPIWNEHFEFIVEDASTQHLVVKVYDDEGVQSSELIGCAHVLLSELQPGKVKDVWLKLVKSLEVQRDNKNRGQVHLELLYCPFGMENGFVNPFTPNFSMTSLEKVLKSGMNGTEATETPKEATQKRKEVIIRGVLSVTIISAEDLPPVDLIGKADPYVVLTLKKSETKNKTRVVNDSLNPVWNQTFDFVVEDGLHDMLIIEVWDHDTFGKDYIGRCIMTLTRVILEGEYKDSLPLDGAKSGKLNVQLKWMPQPIYRDS >ONH98468 pep chromosome:Prunus_persica_NCBIv2:G7:21174368:21178941:1 gene:PRUPE_7G250200 transcript:ONH98468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVFGLVLGILVGLAIIVVFVRLENHRSKLRSELATTVAAFARMTVEDSRKLLPSEYYPSWVVFSHRQKLSSQLYLFFLYFLSLSSALKWLNLHLQKIWPYVNEAASELIKTSVEPILEQYRPMILSSLKFSKFTLGTVAPQFTGVSIIEDEGDGVTMELEMQWDGNPSIILAIKTLLGVSLPVQVKDIGFTGVFRLIFKPLVDEFPCFGAVCYSLREKKNLEFRLKVVGGDISTIPGIYDAIEGMIRDAIEDSIAWPVRKVVPILPGDYSDLELKPVGMLEVKLVQAKDLTNKDLIGKSDPYAVVYIRPLQDRMKKSKTINNDLNPIWNEHFEFIVEDASTQHLVVKVYDDEGVQSSELIGCAHVLLSELQPGKVKDVWLKLVKSLEVQRDNKNRGQVHLELLYCPFGMENGFVNPFTPNFSMTSLEKVLKSGMNGTEATETPKEATQKRKEVIIRGVLSVTIISAEDLPPVDLIGKADPYVVLTLKKSETKNKTRVVNDSLNPVWNQTFDFVVEDGLHDMLIIEVWDHDTFGKDYIGRCIMTLTRVILEGEYKDSLPLDGAKSGKLNVQLKWMPQPIYRDS >ONH97996 pep chromosome:Prunus_persica_NCBIv2:G7:19837526:19841367:-1 gene:PRUPE_7G222400 transcript:ONH97996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLELLQQFKTSDFPSQQEYEAWQRRNLKVLEAGLLLYPSLPLDKKDTAPQQLQKIIRGALEKPIETGKHNESMQVLRSVVMSLACRSFDGSVSDTCHWVDGFPLNLRLYQMLLESCFDPNEETSVIEELDEVLDLIKKTWPVLGINQILHNLCFSWVLFHRYVTTGQVDNDLLSASSNLLEEVEQDANGTKDPSYLKILSSTLSSILGWAEKRLLAYRDIFHSGNIESMQNILSLGLLSAKILIEDISHEYRRKRKGVNVARDRVDAYIRSSMRSAFAQKLEKVGSSKRLSKSQNNLIPGLSALAQDVSELAFSEKGIFGPVLKRWHPFATGVAMATLHSCYGNELKQFVTGISELTPDTIQVLRAADKLEKDLVQIAVEDSVDSEDGGKSIIREMPPYEAEAVIANLVKAWIRTRVDRLKEWVDRNLQQEVWNPRATKERFAPSAIEVLRIIDETLEAFFMLPIPIHAALVPELMTGLDRCLQHYISKAKSGCGTRSTFIPALPALTRCSAGSKFHGVFKKKERSHISQRRKSQVGTTNGDSSFGIPQLCVRINTLQLIRMELGVFEKRIMAHLGNSESTQGDNIANGTGKMFELSASASVEGIQQLCEATAYKVIFHDLSHVLWDGLYVVDVSSSRIEPFLQELEQYLEIISSTVHDRVRTRVITDVMKASFDGFLLVLLAGGPSRTFTEKDSDIIEEDFKFLTDLFWSNGDGLPTDLINKLSTTVKGILPLYRTDTNSLIEQFKRVTLDGSPAKSRMPMPPTSGQWNSNEPNTLLRVLCCRNDETAAKFLKKTYNLPKKL >ONH97995 pep chromosome:Prunus_persica_NCBIv2:G7:19837526:19842882:-1 gene:PRUPE_7G222400 transcript:ONH97995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILRDRVFGNSKRHSQRHNPIQTTMPVYPVEDLPNPFGELGPNLSDSELRETVYEILVGACRSSGAKPLTYIPQSEKTDRSDRTTLTSLPSSLQRSTSSAASRVKKALGLKQTASSRRRLGDGDSVSQGKTKRSGTVWELMRVQMRVSEQTDTRVRRALLRVAAGQLGKRIECMVLPLELLQQFKTSDFPSQQEYEAWQRRNLKVLEAGLLLYPSLPLDKKDTAPQQLQKIIRGALEKPIETGKHNESMQVLRSVVMSLACRSFDGSVSDTCHWVDGFPLNLRLYQMLLESCFDPNEETSVIEELDEVLDLIKKTWPVLGINQILHNLCFSWVLFHRYVTTGQVDNDLLSASSNLLEEVEQDANGTKDPSYLKILSSTLSSILGWAEKRLLAYRDIFHSGNIESMQNILSLGLLSAKILIEDISHEYRRKRKGVNVARDRVDAYIRSSMRSAFAQKLEKVGSSKRLSKSQNNLIPGLSALAQDVSELAFSEKGIFGPVLKRWHPFATGVAMATLHSCYGNELKQFVTGISELTPDTIQVLRAADKLEKDLVQIAVEDSVDSEDGGKSIIREMPPYEAEAVIANLVKAWIRTRVDRLKEWVDRNLQQEVWNPRATKERFAPSAIEVLRIIDETLEAFFMLPIPIHAALVPELMTGLDRCLQHYISKAKSGCGTRSTFIPALPALTRCSAGSKFHGVFKKKERSHISQRRKSQVGTTNGDSSFGIPQLCVRINTLQLIRMELGVFEKRIMAHLGNSESTQGDNIANGTGKMFELSASASVEGIQQLCEATAYKVIFHDLSHVLWDGLYVVDVSSSRIEPFLQELEQYLEIISSTVHDRVRTRVITDVMKASFDGFLLVLLAGGPSRTFTEKDSDIIEEDFKFLTDLFWSNGDGLPTDLINKLSTTVKGILPLYRTDTNSLIEQFKRVTLDGSPAKSRMPMPPTSGQWNSNEPNTLLRVLCCRNDETAAKFLKKTYNLPKKL >ONH97155 pep chromosome:Prunus_persica_NCBIv2:G7:17351950:17357315:1 gene:PRUPE_7G172600 transcript:ONH97155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWDHFGEIANVAQLTGLDAVRLIGMIVKAASTARMHKKNCRQFALHLKLIGNLLEQLKISELKRYPETREPLEQLEEALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLKLVPLITLVDNARVRERLEDIEKDQREYTLDEEDRKVQDVILKPDPSKNDTVVLKKTLSCSYPNLGFNEALQKENEKLQLELQRSQSIYDVSQCEVIQRLLEVTETVASKSSPEKNNKKIERNYSDANSEKEPSSNESYQKSESRKMSRNTSSVSSGHDLLSTRGSHRHEEWNTDLLGCCSEPSLCIKTFFYPCGTFSKIASVATNRHMSSAEACNELMAYSLVLSCCCYTCCIRRKLRKTLNISGGFIDDFLSHFMCCCCALVQEWREVELRGIPYGAEKTKISPPPSQYMET >ONH97152 pep chromosome:Prunus_persica_NCBIv2:G7:17351991:17357271:1 gene:PRUPE_7G172600 transcript:ONH97152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWDHFGEIANVAQLTGLDAVRLIGMIVKAASTARMHKKNCRQFALHLKLIGNLLEQLKISELKRYPETREPLEQLEEALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLKLVPLITLVDNARVRERLEDIEKDQREYTLDEEDRKVQDVILKPDPSKNDTVVLKKTLSCSYPNLGFNEALQKENEKLQLELQRSQSIYDVSQCEVIQRLLEVTETVASKSSPEKNNKKIERNYSDANSEKEPSSNESYQKSESRKMSRNTSSVSSGHDLLSTRGSHRHEEWNTDLLGCCSEPSLCIKTFFYPCGTFSKIASVATNRHMSSAEACNELMAYSLVLSCCCYTCCIRRKLRKTLNISGGFIDDFLSHFMCCCCALVQEWREVELRGIPYGAEKTKISPPPSQYMET >ONH97151 pep chromosome:Prunus_persica_NCBIv2:G7:17351974:17357271:1 gene:PRUPE_7G172600 transcript:ONH97151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWDHFGEIANVAQLTGLDAVRLIGMIVKAASTARMHKKNCRQFALHLKLIGNLLEQLKISELKRYPETREPLEQLEEALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLKLVPLITLVDNARVRERLEDIEKDQREYTLDEEDRKVQDVILKPDPSKNDTVVLKKTLSCSYPNLGFNEALQKENEKLQLELQRSQSIYDVSQCEVIQRLLEVTETVASKSSPEKNNKKIERNYSDANSEKEPSSNESYQKSESRKMSRNTSSVSSGHDLLSTRGSHRHEEWNTDLLGCCSEPSLCIKTFFYPCGTFSKIASVATNRHMSSAEACNELMAYSLVLSCCCYTCCIRRKLRKTLNISGGFIDDFLSHFMCCCCALVQEWREVELRGIPYGAEKTKISPPPSQYMET >ONH97156 pep chromosome:Prunus_persica_NCBIv2:G7:17351958:17357302:1 gene:PRUPE_7G172600 transcript:ONH97156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWDHFGEIANVAQLTGLDAVRLIGMIVKAASTARMHKKNCRQFALHLKLIGNLLEQLKISELKRYPETREPLEQLEEALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLKLVPLITLVDNARVRERLEDIEKDQREYTLDEEDRKVQDVILKPDPSKNDTVVLKKTLSCSYPNLGFNEALQKENEKLQLELQRSQSIYDVSQCEVIQRLLEVTETVASKSSPEKNNKKIERNYSDANSEKEPSSNESYQKSESRKMSRNTSSVSSGHDLLSTRGSHRHEEWNTDLLGCCSEPSLCIKTFFYPCGTFSKIASVATNRHMSSAEACNELMAYSLVLSCCCYTCCIRRKLRKTLNISGGFIDDFLSHFMCCCCALVQEWREVELRGIPYGAEKTKISPPPSQYMET >ONH97153 pep chromosome:Prunus_persica_NCBIv2:G7:17351950:17357271:1 gene:PRUPE_7G172600 transcript:ONH97153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWDHFGEIANVAQLTGLDAVRLIGMIVKAASTARMHKKNCRQFALHLKLIGNLLEQLKISELKRYPETREPLEQLEEALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLKLVPLITLVDNARVRERLEDIEKDQREYTLDEEDRKVQDVILKPDPSKNDTVVLKKTLSCSYPNLGFNEALQKENEKLQLELQRSQSIYDVSQCEVIQRLLEVTETVASKSSPEKNNKKIERNYSDANSEKEPSSNESYQKSESRKMSRNTSSVSSGHDLLSTRGSHRHEEWNTDLLGCCSEPSLCIKTFFYPCGTFSKIASVATNRHMSSAEACNELMAYSLVLSCCCYTCCIRRKLRKTLNISGGFIDDFLSHFMCCCCALVQEWREVELRGIPYGAEKTKISPPPSQYMET >ONH97154 pep chromosome:Prunus_persica_NCBIv2:G7:17351950:17357315:1 gene:PRUPE_7G172600 transcript:ONH97154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWDHFGEIANVAQLTGLDAVRLIGMIVKAASTARMHKKNCRQFALHLKLIGNLLEQLKISELKRYPETREPLEQLEEALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLKLVPLITLVDNARVRERLEDIEKDQREYTLDEEDRKVQDVILKPDPSKNDTVVLKKTLSCSYPNLGFNEALQKENEKLQLELQRSQSIYDVSQCEVIQRLLEVTETVASKSSPEKNNKKIERNYSDANSEKEPSSNESYQKSESRKMSRNTSSVSSGHDLLSTRGSHRHEEWNTDLLGCCSEPSLCIKTFFYPCGTFSKIASVATNRHMSSAEACNELMAYSLVLSCCCYTCCIRRKLRKTLNISGGFIDDFLSHFMCCCCALVQEWREVELRGIPYGAEKTKISPPPSQYMET >ONH97359 pep chromosome:Prunus_persica_NCBIv2:G7:17966348:17967978:-1 gene:PRUPE_7G185300 transcript:ONH97359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQCLLNVSPSNLNSTSYRRPLVVRAGGDRPSSASIFVGGFVLGGIVVGALGCVYAPQISKALAGADRKDLMRKLPKFIYDEEKALEKTRKILAEKIAQLNSAIDDVSAQLHADDTPNGAAVASDEVEASI >ONH97356 pep chromosome:Prunus_persica_NCBIv2:G7:17966043:17968923:-1 gene:PRUPE_7G185300 transcript:ONH97356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPSSFVSVQNPKTHFLAGSSSKPMYQCLLNVSPSNLNSTSYRRPLVVRAGGDRPSSASIFVGGFVLGGIVVGALGCVYAPQKTRKILAEKIAQLNSAIDDVSAQLHADDTPNGAAVASDEVEASI >ONH97358 pep chromosome:Prunus_persica_NCBIv2:G7:17966348:17967978:-1 gene:PRUPE_7G185300 transcript:ONH97358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQCLLNVSPSNLNSTSYRRPLVVRAGGDRPSSASIFVGGFVLGGIVVGALGCVYAPQISKALAGADRKDLMRKLPKFIYDEEKALEKTRKILAEKIAQLNSAIDDVSAQLHADDTPNGAAVASDEVEASI >ONH97357 pep chromosome:Prunus_persica_NCBIv2:G7:17966042:17968923:-1 gene:PRUPE_7G185300 transcript:ONH97357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPSSFVSVQNPKTHFLAGSSSKPMYQCLLNVSPSNLNSTSYRRPLVVRAGGDRPSSASIFVGGFVLGGIVVGALGCVYAPQISKALAGADRKDLMRKLPKFIYDEEKALEKTRKILAEKIAQLNSAIDDVSAQLHADDTPNGAAVASDEVEASI >ONH97360 pep chromosome:Prunus_persica_NCBIv2:G7:17967200:17968923:-1 gene:PRUPE_7G185300 transcript:ONH97360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPSSFVSVQNPKTHFLAGSSSKPMYQCLLNVSPSNLNSTSYRRPLVVRAGGDRPSSASIFVGGFVLGGIVVGALGCVYAPQVWLKYSPFVSIIV >ONH97796 pep chromosome:Prunus_persica_NCBIv2:G7:19310053:19312706:1 gene:PRUPE_7G211000 transcript:ONH97796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDSDSDEDSDDEEAGGSGAPVLQLRKVAHEGCVNRIRAMTQNPHICASWADTGHVQVWDFSSHLNALAESEADVSQGASSVFNQAPLVKFGHKDEGFALDWSPLVPGRLLSGDCKNYIHLWEPTSGSTWNVDTTPYIGHTSSVEDLQWSPTEPDVFASCSVDGNIAIWDIRLGKSPATSFKAHDADVNVISWNRLASCMLASGSDDGTFSIRDLRLLKEGDSVVAHFEYHKHPITSIEWSPHEASTLAVSSSDNQLTIWDLSLENDEEEEAEFKAKTKEQVNAPKDLPPQLLFVHQGQKDLKELHWHAQIPGMLVSTAADGYNILMPSNIQTTLPSEL >ONH97794 pep chromosome:Prunus_persica_NCBIv2:G7:19309405:19312706:1 gene:PRUPE_7G211000 transcript:ONH97794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSIKNPKKAKRKNKGSKKGDGSSSSAIPSMPAKVWQPGVDKLEEGEELQCDPSAYNSLHAFHIGWPCLSFDIVRDTLGLVRTEFPHTVYFVAGTQAEKAAWNSLGIFKVSNISGKKREPVPAKPADEDSNMDSEDSDSDEDSDDEEAGGSGAPVLQLRKVAHEGCVNRIRAMTQNPHICASWADTGHVQVWDFSSHLNALAESEADVSQGASSVFNQAPLVKFGHKDEGFALDWSPLVPGRLLSGDCKNYIHLWEPTSGSTWNVDTTPYIGHTSSVEDLQWSPTEPDVFASCSVDGNIAIWDIRLGKSPATSFKAHDADVNVISWNRLASCMLASGSDDGTFSIRDLRLLKEGDSVVAHFEYHKHPITSIEWSPHEASTLAVSSSDNQLTIWDLSLENDEEEEAEFKAKTKEQVNAPKDLPPQLLFVHQGQKDLKELHWHAQIPGMLVSTAADGYNILMPSNIQTTLPSEL >ONH97795 pep chromosome:Prunus_persica_NCBIv2:G7:19309405:19312706:1 gene:PRUPE_7G211000 transcript:ONH97795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSIKNPKKAKRKNKGSKKGDGSSSSAIPSMPAKVWQPGVDKLEEGEELQCDPSAYNSLHAFHIGWPCLSFDIVRDTLGLVRTEFPHTVYFVAGTQAEKAAWNSLGIFKVSNISGKKREPVPAKPADEDSNMDSEDSDSDEDSDDEEAGGSGAPVLQVWDFSSHLNALAESEADVSQGASSVFNQAPLVKFGHKDEGFALDWSPLVPGRLLSGDCKNYIHLWEPTSGSTWNVDTTPYIGHTSSVEDLQWSPTEPDVFASCSVDGNIAIWDIRLGKSPATSFKAHDADVNVISWNRLASCMLASGSDDGTFSIRDLRLLKEGDSVVAHFEYHKHPITSIEWSPHEASTLAVSSSDNQLTIWDLSLENDEEEEAEFKAKTKEQVNAPKDLPPQLLFVHQGQKDLKELHWHAQIPGMLVSTAADGYNILMPSNIQTTLPSEL >ONH96965 pep chromosome:Prunus_persica_NCBIv2:G7:16784435:16786078:-1 gene:PRUPE_7G162500 transcript:ONH96965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFANSFDLLGETEDIDVKELISAVDERAEFLEVKKKAIESAKKRAMEEEKRKKEAEKRKEGEKRERLRLSIQKHLLLGGQKNNNGFYRRGQNSQRDFNSQNFRAAPKSADDTRSVSSAASIVLSEISFGSFCKADFEELMKKKKKETEVVVEDGKKKSNINGSLRRKLKKKRNEEKSHSENAAAAGDTTGAAVIAPVQNKPTLSYTLKEYEKMNMKKGENKETAVEQPPQPAQRHPEQPQDHHPAQWQTKQSAQQKAKQSAQRQTKQPQYRHPPQPAQQQLQQPQYRHPPQPAQQQPQQHQYRHPAQRQTKQSAQRQPKQPQNRHRPQPAQQQPNQSAQQLPNQPAQQQPNQPAQQQPNQPAQQPPNQPAQQPPNQPAQQQPNQSVQQPPNQPAQQQQLNNRNDGSWNPVKDRRRRRDWVNYNSDGRFEENCSRQARVANKEFLINPAEFPTLSSK >ONH95577 pep chromosome:Prunus_persica_NCBIv2:G7:11447680:11451206:1 gene:PRUPE_7G078600 transcript:ONH95577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTLKSNKNCFGNIRGDIIPTLINHLNKARDSLSDDEKDMATQIKMLCKDLIYIAHALTGLENFEERASDLFEILLKPQHSLHAPTQTPIHESEAKKFLEEKLRVHSKVIMKLKLLIPSPHKLLLNKDNPLVLPDIGKEPDGLPDLHLSKVFKDSPALKEFRVVYNSLSVITKLCLLCFAAIPANEAIKKRVLVHWWVGEGFVNPPVDGEKTVEEIADGIFQELTKKGCIEPVYKKRRSVVHSFKMDHLIRSAVIVIAKEVRFFNFDDKGNPTANFSSHSYRACLVYRVKGSRHLMEKMANKPHDLDQNMANRPHDLDQNMENRPHDLDPKMLQTVFNVNESYPDFSNVDWSKLRNLKVLHLGRWHSRAKHHIEVEDIEFLRELIHLRILSLQGISTIMKLPDSVCKLLSLRILDLGACPNLELLPETIGSLKNLTHLDMSECYLLERMPKGIAFLSELQVLKGFVVGVHDKNVTSCTFHDLSALKKLRKLSIHTSRADFPLEDELIVLQQFGRLRKLTIEWGRLSPQATTQDRGQPDNVAAQPTTTSTQHNAAPQPATPPTITKNHSFSKLPAFRGALRSTATLAPRSAATLNQELNLEKLDLQCYPQKTAPSWLRPGNLKSLKKLYIRGGELRNLGEVQENDKWAVETLRLKFLSELEMDWKEIPVSFPDLIYLEKFRCPRLTFFPCDESGVWLKP >ONH94300 pep chromosome:Prunus_persica_NCBIv2:G7:1248107:1254644:1 gene:PRUPE_7G009300 transcript:ONH94300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGELGGPSLHHRFEPRISGGLCARDGGLTRKEITEVDDNSEFSKVILIIVSEAHCRLQYFSSVAIFVVVIVSYFIDFRIRIPPLQFRMHKHTNTQTQRTAFFLALTSELAMQFCEAFGTYFINSFYDICPKQKAFFFHES >ONH96058 pep chromosome:Prunus_persica_NCBIv2:G7:13431792:13439934:1 gene:PRUPE_7G105300 transcript:ONH96058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKQACRGRGRPRKKPNVVSERVVSERMVNEKLINEKEVAFLNFVSQNVEEGNDIGWNQSDNEDHNDEEFDPEMEIDSGNSSSSSMGSDWSCRDQNVSSMDRTVQRLLRKYGEVNLQMENSSKKIDGAQTKPLQVFGSWSRFQNEQEAPSHDPCCNKKELSASLAVIKRVMKMDAAVPFNIPVDPIALRLPDYFDVIDTPMDFGTICNHLENGTKYTNSEDVFKDVQYIWKNCYNYYNEGNFVLDLMKRVEAKFMMYWTAAGLSSKEPGTSSRGDGAALQLHQALIKEDFLRSSNVGKRRTRGPTRNLKLAHIPIGERFEISWRNRRAVGDSSTIFKSECTALVRQTRELPLQVKSWKKIPFEIKKKAFEHMLKRFKVEDHMTWVLDQIHRSYHNYRHYLKKIWYETCETTEEARKKIPPNVAEDDWQYLINMWSSPEWELISKKNVENRSKNNIIHTSGSKSFSQIREEERKKTGNDPGRTFLWELTHVRPDGLAANPASQEALSKLKKLYTQMSVENSEMTEDEIFVKVFGPERSSRVRGYGNGVTPKELWGPSSSSSSTVSELRRQLEESKQRQEESERRSAAEVQGLKEQLGRVEGLLSVQMNRFEGLLVQLTSHMHSPAQIERCTENPPSRNGRPRMTRPRR >ONH96062 pep chromosome:Prunus_persica_NCBIv2:G7:13431792:13439934:1 gene:PRUPE_7G105300 transcript:ONH96062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKEMILGGINLITKTIMMRNLTLKWRLIQRLLRKYGEVNLQMENSSKKIDGAQTKPLQVFGSWSRFQNEQEAPSHDPCCNKKELSASLAVIKRVMKMDAAVPFNIPVDPIALRLPDYFDVIDTPMDFGTICNHLENGTKYTNSEDVFKDVQYIWKNCYNYYNEGNFVLDLMKRVEAKFMMYWTAAGLSSKEPGTSSILRSSNVGKRRTRGPTRNLKLAHIPIGERFEISWRNRRAVGDSSTIFKSECTALVRQTRELPLQVKSWKKIPFEIKKKAFEHMLKRFKVEDHMTWVLDQIHRSYHNYRHYLKKIWYETCETTEEARKKIPPNVAEDDWQYLINMWSSPEWELISKKNVENRSKNNIIHTSGSKSFSQIREEERKKTGNDPGRTFLWELTHVRPDGLAANPASQEALSKLKKLYTQMSVENSEMTEDEIFVKVFGPERSSRVRGYGNGVTPKELWGPSSSSSSTVSELRRQLEESKQRQEESERRSAAEVQGLKEQLGRVEGLLSVQMNRFEGLLVQLTSHMHSPAQIERCTENPPSRNGRPRMTRPRR >ONH96059 pep chromosome:Prunus_persica_NCBIv2:G7:13431792:13439934:1 gene:PRUPE_7G105300 transcript:ONH96059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKQACRGRGRPRKKPNVVSERVVSERMVNEKLINEKEVAFLNFVSQNVEEGNDIGWNQSDNEDHNDEEFDPEMEIDSGNSSSSSMGSDWSCRDQNVSSMDRTVQRLLRKYGEVNLQMENSSKKIDGAQTKPLQVFGSWSRFQNEQEAPSHDPCCNKKELSASLAVIKRVMKMDAAVPFNIPVDPIALRLPDYFDVIDTPMDFGTICNHLENGTKYTNSEDVFKDVQYIWKNCYNYYNEGNFVLDLMKRVEAKFMMYWTAAGLSSKEPGTSSRGDGAALQLHQALIKEDFLRSSNVGKRRTRGPTRNLKLAHIPIGERFEISWRNRRAVGDSSTIFKSECTALVRQTRELPLQVKSWKKIPFEIKKKAFEHMLKRFKVEDHMTWVLDQIHRSYHNYRHYLKKIWYETCETTEEARKKIPPNVAEDDWQYLINMWSSPEWELISKKNVENRSKNNIIHTSGSKSFSQIREEERKKTGNDPGRTFLWELTHVRPDGLAANPASQEALSKLKKLYTQMSVENSEMTEDEIFVKVFGPERSSRVRGYGNGVTPKELWGPSSSSSSTVSELRRQLEESKQRQEESERRSAAEVQGLKEQLGRVEGLLSVQMNRFEGLLVQLTSHMHSPAQIERCTENPPSRNGRPRMTRPRR >ONH96064 pep chromosome:Prunus_persica_NCBIv2:G7:13436942:13439877:1 gene:PRUPE_7G105300 transcript:ONH96064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRFKVEDHMTWVLDQIHRSYHNYRHYLKKIWYETCETTEEARKKIPPNVAEDDWQYLINMWSSPEWELISKKNVENRSKNNIIHTSGSKSFSQIREEERKKTGNDPGRTFLWELTHVRPDGLAANPASQEALSKLKKLYTQMSVENSEMTEDEIFVKVFGPERSSRVRGYGNGVTPKELWGPSSSSSSTVSELRRQLEESKQRQEESERRSAAEVQGLKEQLGRVEGLLSVQMNRFEGLLVQLTSHMHSPAQIERCTENPPSRNGRPRMTRPRR >ONH96061 pep chromosome:Prunus_persica_NCBIv2:G7:13431792:13440029:1 gene:PRUPE_7G105300 transcript:ONH96061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKQACRGRGRPRKKPNVVSERVVSERMVNEKLINEKEVAFLNFVSQNVEEGNDIGWNQSDNEDHNDEEFDPEMEIDSGNSSSSSMGSDWSCRDQNVSSMDRTVQRLLRKYGEVNLQMENSSKKIDGAQTKPLQVFGSWSRFQNEQEAPSHDPCCNKKELSASLAVIKRVMKMDAAVPFNIPVDPIALRLPDYFDVIDTPMDFGTICNHLENGTKYTNSEDVFKDVQYIWKNCYNYYNEGNFVLDLMKRVEAKFMMYWTAAGLSSKEPGTSSILRSSNVGKRRTRGPTRNLKLAHIPIGERFEISWRNRRAVGDSSTIFKSECTALVRQTRELPLQVKSWKKIPFEIKKKAFEHMLKRFKVEDHMTWVLDQIHRSYHNYRHYLKKIWYETCETTEEARKKIPPNVAEDDWQYLINMWSSPEWELISKKNVENRSKNNIIHTSGSKSFSQIREEERKKTGNDPGRTFLWELTHVRPDGLAANPASQEALSKLKKLYTQMSVENSEMTEDEIFVKVFGPERSSRVRGYGNGVTPKELWGPSSSSSSTVSELRRQLEESKQRQEESERRSAAEVQGLKEQLGRVEGLLSVQMNRFEGLLVQLTSHMHSPAQIERCTENPPSRNGRPRMTRPRR >ONH96063 pep chromosome:Prunus_persica_NCBIv2:G7:13431792:13439934:1 gene:PRUPE_7G105300 transcript:ONH96063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGTICNHLENGTKYTNSEDVFKDVQYIWKNCYNYYNEGNFVLDLMKRVEAKFMMYWTAAGLSSKEPGTSSILRSSNVGKRRTRGPTRNLKLAHIPIGERFEISWRNRRAVGDSSTIFKSECTALVRQTRELPLQVKSWKKIPFEIKKKAFEHMLKRFKVEDHMTWVLDQIHRSYHNYRHYLKKIWYETCETTEEARKKIPPNVAEDDWQYLINMWSSPEWELISKKNVENRSKNNIIHTSGSKSFSQIREEERKKTGNDPGRTFLWELTHVRPDGLAANPASQEALSKLKKLYTQMSVENSEMTEDEIFVKVFGPERSSRVRGYGNGVTPKELWGPSSSSSSTVSELRRQLEESKQRQEESERRSAAEVQGLKEQLGRVEGLLSVQMNRFEGLLVQLTSHMHSPAQIERCTENPPSRNGRPRMTRPRR >ONH96060 pep chromosome:Prunus_persica_NCBIv2:G7:13431792:13440159:1 gene:PRUPE_7G105300 transcript:ONH96060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKQACRGRGRPRKKPNVVSERVVSERMVNEKLINEKEVAFLNFVSQNVEEGNDIGWNQSDNEDHNDEEFDPEMEIDSGNSSSSSMGSDWSCRDQNVSSMDRTVQRLLRKYGEVNLQMENSSKKIDGAQTKPLQVFGSWSRFQNEQEAPSHDPCCNKKELSASLAVIKRVMKMDAAVPFNIPVDPIALRLPDYFDVIDTPMDFGTICNHLENGTKYTNSEDVFKDVQYIWKNCYNYYNEGNFVLDLMKRVEAKFMMYWTAAGLSSKEPGTSSILRSSNVGKRRTRGPTRNLKLAHIPIGERFEISWRNRRAVGDSSTIFKSECTALVRQTRELPLQVKSWKKIPFEIKKKAFEHMLKRFKVEDHMTWVLDQIHRSYHNYRHYLKKIWYETCETTEEARKKIPPNVAEDDWQYLINMWSSPEWELISKKNVENRSKNNIIHTSGSKSFSQIREEERKKTGNDPGRTFLWELTHVRPDGLAANPASQEALSKLKKLYTQMSVENSEMTEDEIFVKVFGPERSSRVRGYGNGVTPKELWGPSSSSSSTVSELRRQLEESKQRQEESERRSAAEVQGLKEQLGRVEGLLSVQMNRFEGLLVQLTSHMHSPAQIERCTENPPSRNGRPRMTRPRR >ONH94828 pep chromosome:Prunus_persica_NCBIv2:G7:6242551:6245024:-1 gene:PRUPE_7G033400 transcript:ONH94828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGVSPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIKVGDYSNMEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVIRFMRDIIMDPSFGFEYKYAKGNQEASYPQEAIDEVRNEWAEFM >ONH94468 pep chromosome:Prunus_persica_NCBIv2:G7:2643407:2649154:-1 gene:PRUPE_7G018200 transcript:ONH94468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFSPVPLLKEYVDDIQKHSWKKYMKRKSLDEKDNIADNQIVDVLDVIQCIKDYNLESEHPSRDIEVEITKLQKLKENYKRCHAPKVEQQQKKGKKRSFDAFAPKFQPQHPKGKCKLTTVAAATPYALPTPTFGYPQPSSSSLPYENNGRPGQFGMGASYRDISKGNCFHCGELGHWSCNCQKYSSGKKKLDMTKSSASSPLWIKGFKERKKLRDGRFTSLCSSGGGNS >ONH98917 pep chromosome:Prunus_persica_NCBIv2:G7:22283522:22299898:-1 gene:PRUPE_7G271900 transcript:ONH98917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSLVGNMPQIRPRISRDDSYSNRRVNSVVCIRSARLPLTPVHINRLSLFSPTRRLLEVGAGRSGLHRKKTNTWEQCISMLREPVTEALFPGKYNYISSSFSQNRSRHRLRTSTPSVFNDKTSICLSKPKLDNAAVKHDRLPYATVGPDEPHAASTAWQDGILEKQDLDLLYPGVGNTELEGFLSSEIPSHPKLYRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPISSKDSDGDLLPYVLDALNEIAFHPKFLSSRVEKERSAILSELQMMNTIDYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDVDKIRKFHERWYFPANATLYIVGDIDNISKTIYQIEAVFGQTGLENEAVSAPAPSAFGAMASFLVPKFSVGLTGSLPNERSSNSVDQAKILKKERHTVRPPVKHNWSLPGSSTDLKPPQIFQHELIQNFSFNMFCKIPVSRVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSIELDHSDSGREGCTVTTLTVTAEPKNWQSAIRVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLAAMIDNVSSVDNLDFIMESDALGHTVMDQRQGHESLVAVAGTVTLEETNSIGAKVLEFVSNFGNPTAPLPAAIVACVPKKVHVEGKSETEFAISPSEIVAAIKAGLEEPIEPEPELEVPKELISSLQLQELRQERMPSFIPFSPETNVTEVYDKETGITQCRLSNGISVNYKISESEARGGVMRLIVGGGRAAESYESRGSVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMRAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMDGDERFVEPTPKSLQDLSLQSVKDAVMNQFVGNNMEVSIVGDFSEEDVESCVLDYLGTVRSTGNYETENKYNLVVFRPSPSDLQSQQVFLKDTDERACAYIAGPAPNRWGFTVDGKDLFRSIIHISTNDELKSEELLMVDKDIQKDLRRKLRGHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELNLFDRLNLGWYVISVTSTPDKVHKAVDACKNVLRGLHGNKISQRELDRAKRTLLMRHDAEIKSNAYWLGLLAHLQASSVPRKDISCIKDLTNLYEVAAIEDVYLAYDQLKVDDDSIYSCIGVAGAQAGDEMTEVEESDEGFSGVFPVGRGLSTMTRPTT >ONH98918 pep chromosome:Prunus_persica_NCBIv2:G7:22282320:22300067:-1 gene:PRUPE_7G271900 transcript:ONH98918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSLVGNMPQIRPRISRDDSYSNRRVNSVVCIRSARLPLTPVHINRLSLFSPTRRLLEVGAGRSGLHRKKTNTWEQCISMLREPVTEALFPGKYNYISSSFSQNRSRHRLRTSTPSVFNDKTSICLSKPKLDNAAVKHDRLPYATVGPDEPHAASTAWQDGILEKQDLDLLYPGVGNTELEGFLSSEIPSHPKLYRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPISSKDSDGDLLPYVLDALNEIAFHPKFLSSRVEKERSAILSELQMMNTIDYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDVDKIRKFHERWYFPANATLYIVGDIDNISKTIYQIEAVFGQTGLENEAVSAPAPSAFGAMASFLVPKFSVGLTGSLPNERSSNSVDQAKILKKERHTVRPPVKHNWSLPGSSTDLKPPQIFQHELIQNFSFNMFCKIPVSRVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSIELDHSDSGREGCTVTTLTVTAEPKNWQSAIRVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLAAMIDNVSSVDNLDFIMESDALGHTVMDQRQGHESLVAVAGTVTLEETNSIGAKVLEFVSNFGNPTAPLPAAIVACVPKKVHVEGKSETEFAISPSEIVAAIKAGLEEPIEPEPELEVPKELISSLQLQELRQERMPSFIPFSPETNVTEVYDKETGITQCRLSNGISVNYKISESEARGGVMRLIVGGGRAAESYESRGSVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMRAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMDGDERFVEPTPKSLQDLSLQSVKDAVMNQFVGNNMEVSIVGDFSEEDVESCVLDYLGTVRSTGNYETENKYNLVVFRPSPSDLQSQQVFLKDTDERACAYIAGPAPNRWGFTVDGKDLFRSIIHISTNDELKSEELLMVDKDIQKDLRRKLRGHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELNLFDRLNLGWYVISVTSTPDKVHKAVDACKNVLRGLHGNKISQRELDRAKRTLLMRHDAEIKSNAYWLGLLAHLQASSVPRKDISCIKDLTNLYEVAAIEDVYLAYDQLKVDDDSIYSCIGVAGAQAGDEMTEVEESDEGFSGVFPVGRGLSTMTRPTT >ONH98915 pep chromosome:Prunus_persica_NCBIv2:G7:22283522:22299898:-1 gene:PRUPE_7G271900 transcript:ONH98915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSLVGNMPQIRPRISRDDSYSNRRVNSVVCIRSARLPLTPVHINRLSLFSPTRSRHRLRTSTPSVFNDKTSICLSKPKLDNAAVKHDRLPYATVGPDEPHAASTAWQDGILEKQDLDLLYPGVGNTELEGFLSSEIPSHPKLYRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPISSKDSDGDLLPYVLDALNEIAFHPKFLSSRVEKERSAILSELQMMNTIDYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDVDKIRKFHERWYFPANATLYIVGDIDNISKTIYQIEAVFGQTGLENEAVSAPAPSAFGAMASFLVPKFSVGLTGSLPNERSSNSVDQAKILKKERHTVRPPVKHNWSLPGSSTDLKPPQIFQHELIQNFSFNMFCKIPVSRVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSIELDHSDSGREGCTVTTLTVTAEPKNWQSAIRVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLAAMIDNVSSVDNLDFIMESDALGHTVMDQRQGHESLVAVAGTVTLEETNSIGAKVLEFVSNFGNPTAPLPAAIVACVPKKVHVEGKSETEFAISPSEIVAAIKAGLEEPIEPEPELEVPKELISSLQLQELRQERMPSFIPFSPETNVTEVYDKETGITQCRLSNGISVNYKISESEARGGVMRLIVGGGRAAESYESRGSVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMRAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMDGDERFVEPTPKSLQDLSLQSVKDAVMNQFVGNNMEVSIVGDFSEEDVESCVLDYLGTVRSTGNYETENKYNLVVFRPSPSDLQSQQVFLKDTDERACAYIAGPAPNRWGFTVDGKDLFRSIIHISTNDELKSEELLMVDKDIQKDLRRKLRGHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELNLFDRLNLGWYVISVTSTPDKVHKAVDACKNVLRGLHGNKISQRELDRAKRTLLMRHDAEIKSNAYWLGLLAHLQASSVPRKDISCIKDLTNLYEVAAIEDVYLAYDQLKVDDDSIYSCIGVAGAQAGDEMTEVEESDEGFSGVFPVGRGLSTMTRPTT >ONH98914 pep chromosome:Prunus_persica_NCBIv2:G7:22282320:22300067:-1 gene:PRUPE_7G271900 transcript:ONH98914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSLVGNMPQIRPRISRDDSYSNRRVNSVVCIRSARLPLTPVHINRLSLFSPTRSRHRLRTSTPSVFNDKTSICLSKPKLDNAAVKHDRLPYATVGPDEPHAASTAWQDGILEKQDLDLLYPGVGNTELEGFLSSEIPSHPKLYRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPISSKDSDGDLLPYVLDALNEIAFHPKFLSSRVEKERSAILSELQMMNTIDYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDVDKIRKFHERWYFPANATLYIVGDIDNISKTIYQIEAVFGQTGLENEAVSAPAPSAFGAMASFLVPKFSVGLTGSLPNERSSNSVDQAKILKKERHTVRPPVKHNWSLPGSSTDLKPPQIFQHELIQNFSFNMFCKIPVSRVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSIELDHSDSGREGCTVTTLTVTAEPKNWQSAIRVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLAAMIDNVSSVDNLDFIMESDALGHTVMDQRQGHESLVAVAGTVTLEETNSIGAKVLEFVSNFGNPTAPLPAAIVACVPKKVHVEGKSETEFAISPSEIVAAIKAGLEEPIEPEPELEVPKELISSLQLQELRQERMPSFIPFSPETNVTEVYDKETGITQCRLSNGISVNYKISESEARGGVMRLIVGGGRAAESYESRGSVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMRAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMDGDERFVEPTPKSLQDLSLQSVKDAVMNQFVGNNMEVSIVGDFSEEDVESCVLDYLGTVRSTGNYETENKYNLVVFRPSPSDLQSQQVFLKDTDERACAYIAGPAPNRWGFTVDGKDLFRSIIHISTNDELKSEELLMVDKDIQKDLRRKLRGHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELNLFDRLNLGWYVISVTSTPDKVHKAVDACKNVLRGLHGNKISQRELDRAKRTLLMRHDAEIKSNAYWLGLLAHLQASSVPRKDISCIKDLTNLYEVAAIEDVYLAYDQLKVDDDSIYSCIGVAGAQAGDEMTEVEESDEGFSGVFPVGRGLSTMTRPTT >ONH98916 pep chromosome:Prunus_persica_NCBIv2:G7:22282320:22300067:-1 gene:PRUPE_7G271900 transcript:ONH98916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSLVGNMPQIRPRISRDDSYSNRRVNSVVCIRSARLPLTPVHINRLSLFSPTRRLLEVGAGRSGLHRKKTNTWEQCISMLREPVTEALFPGKYNYISSSFSQNRSRHRLRTSTPSVFNDKTSICLSKPKLDNAAVKHDRLPYATVGPDEPHAASTAWQDGILEKQDLDLLYPGVGNTELEGFLSSEIPSHPKLYRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPISSKDSDGDLLPYVLDALNEIAFHPKFLSSRVEKERSAILSELQMMNTIDYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDVDKIRKFHERWYFPANATLYIVGDIDNISKTIYQIEAVFGQTGLENEAVSAPAPSAFGAMASFLVPKFSVGLTGSLPNERSSNSVDQAKILKKERHTVRPPVKHNWSLPGSSTDLKPPQIFQHELIQNFSFNMFCKIPVSRVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSIELDHSDSGREGCTVTTLTVTAEPKNWQSAIRVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLAAMIDNVSSVDNLDFIMESDALGHTVMDQRQGHESLVAVAGTVTLEETNSIGAKVLEFVSNFGNPTAPLPAAIVACVPKKVHVEGKSETEFAISPSEIVAAIKAGLEEPIEPEPELEVPKELISSLQLQELRQERMPSFIPFSPETNVTEVYDKETGITQCRLSNGISVNYKISESEARGGVMRLIVGGGRAAESYESRGSVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMRAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMDGDERFVEPTPKSLQDLSLQSVKDAVMNQFVGNNMEVSIVGDFSEEDVESCVLDYLGTVRSTGNYETENKYNLVVFRPSPSDLQSQQVFLKDTDERACAYIAGPAPNRWGFTVDGKDLFRSIIHISTNDELKSEELLMVDKDIQKDLRRKLRGHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELNLFDRLNLGWYVISVTSTPDKVHKAVDACKNVLRGLHGNKISQRELDRAKRTLLMRHDAEIKSNAYWLGLLAHLQASSVPRKDISCIKDLTNLYEVAAIEDVYLAYDQLKVDDDSIYSCIGVAGAQAGDEMTVEESDEGFSGVFPVGRGLSTMTRPTT >ONH94865 pep chromosome:Prunus_persica_NCBIv2:G7:6652753:6659116:-1 gene:PRUPE_7G036400 transcript:ONH94865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVTKEFLMLNHIIKRRPLCFCVASAIRRTALISNYDRESVLSRKFEKPVVSSVRYEQHQISSTRDFCASVQPERLCWEGSSHAIMLKRLKKALKEHQVNEAWESFIDFKRLHGFPEDFVIRELITELCYSSDPHWLLKACDIVLLILKERSDLLQSDILAKLSLSLARSQMPKPATMILRILLEKQNLPPMNVLCLVVLHMVKTRVGTDLASNFLVQICHCFQRSSVNKSIHAKLVKPNTMIFNLVLDACVRFKLSFKGQQIMELMPQTGVVADAHSIIIIAQIHELSGQRDEIQKYKSHVDQVSAPFMQHYRHFYDSLLSLHFKFNDIEAATELVLQMCDYHESLPIQRDRKISQRSYLVPIGSHNLKSGLNMQILPELLLCDSVLKIEGKQELVLCWNGKLVLSNRALAKLINGYKKGGDTCKLSEILLKIQKELCSLRGSRLCSDVIDACINLGWLETAHDLLDDMDAAGAPMGLTAFMSLLEAYYRGKMFREAKALIKQMRKAGFLSSLSDEMVVSKCQPILDTSSTCTNVSSSTSKSDLANALVQEMRDEKDASVVYQFNSSINFFCKAKMMDDALKTYRRMQEMKIQPTEQTFTYLLYGYSSLGMIRTITILWGDIKRNMESGNLVVNRDLYEYLLLNFLRGGYFERVMEVTDLMKEHGMYTDKWLYRSEFVKLHKNLYRNLKASEARTETQRKRIKYVERFRKWAGVD >ONH97251 pep chromosome:Prunus_persica_NCBIv2:G7:17651225:17654856:1 gene:PRUPE_7G179800 transcript:ONH97251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIRVDLPPSYSIYFMVGIINKKLDVDQFQKCPFLPQWAIGFLSRIVETGHPVVVTSDVLKKWLQYAVV >ONH95464 pep chromosome:Prunus_persica_NCBIv2:G7:11031882:11033142:-1 gene:PRUPE_7G072700 transcript:ONH95464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKLSTLLCLTLLFSTILNPALSELCNPKDKKVLLQIKKAFNKPYILSSWKPKRDCCDWYSVTCDSTTNRVNSLTLFSGGLSGQIPPQVGDLPYLELLQFHKQPNLTGPIQPSIAKLKSLKLLRLSWTNISGSVPDFLSQLKNLTFLELSFNNLTGSIPSSLSQLPNLEVLHLDRNKLTGPIPKSFGEFQGSFSALYLSHNQLSGKIPTSLAKLDVSTIDFSRNKLEGDASMLFGLNKTTQIVDLSRNLLEFDLSKVKFSKSLTSLDLNHNKIAGSIPVGLTQGDLQYLNVCYNRLCGQIPVGGKLQSFDSSTYFHNRCLCGAPLPSCK >ONH95465 pep chromosome:Prunus_persica_NCBIv2:G7:11031882:11033142:-1 gene:PRUPE_7G072700 transcript:ONH95465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKLSTLLCLTLLFSTILNPALSELCNPKDKKVLLQIKKAFNKPYILSSWKPKRDCCDWYSVTCDSTTNRVNSLTLFSGGLSGQIPPQVGDLPYLELLQFHKQPNLTGPIQPSIAKLKSLKLLRLSWTNISGSVPDFLSQLKNLTFLELSFNNLTGSIPSSLSQLPNLEVLHLDRNKLTGLFQIFGSFSALYLSHNQLSGKIPTSLAKLDVSTIDFSRNKLEGDASMLFGLNKTTQIVDLSRNLLEFDLSKVKFSKSLTSLDLNHNKIAGSIPVGLTQGDLQYLNVCYNRLCGQIPVGGKLQSFDSSTYFHNRCLCGAPLPSCK >ONH98541 pep chromosome:Prunus_persica_NCBIv2:G7:21349959:21351587:-1 gene:PRUPE_7G253200 transcript:ONH98541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRKILKRQLSCTKGKEKEMPLYDCMLLVKPHVRKEALMDLVARVSKHVYRRNGVLTDMKSFGTVQLGYGIKKLDGRYYQGQLMQMTMMATPNINKELHYLNKEDRLLRWLLVKHRDTKYGLEFLSEEDANSEVNRLHRSSIYDDDEDEDEDEDDDDDEYDVDQGGNPTEG >ONH98543 pep chromosome:Prunus_persica_NCBIv2:G7:21349924:21352956:-1 gene:PRUPE_7G253200 transcript:ONH98543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDCMLLVKPHVRKEALMDLVARVSKHVYRRNGVLTDMKSFGTVQLGYGIKKLDGRYYQGQLMQMTMMATPNINKELHYLNKEDRLLRWLLVKHRDTKYGLEFLSEEDANSEVNRLHRSSIYDDDEDEDEDEDDDDDEYDVDQGGNPTEG >ONH98542 pep chromosome:Prunus_persica_NCBIv2:G7:21349959:21352571:-1 gene:PRUPE_7G253200 transcript:ONH98542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDCMLLVKPHVRKEALMDLVARVSKHVYRRNGVLTDMKSFGTVQLGYGIKKLDGRYYQGQLMQMTMMATPNINKELHYLNKEDRLLRWLLVKHRDTKYGLEFLSEEDANSEVNRLHRSSIYDDDEDEDEDEDDDDDEYDVDQGGNPTEG >ONH95143 pep chromosome:Prunus_persica_NCBIv2:G7:9232965:9236648:1 gene:PRUPE_7G053900 transcript:ONH95143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMDGSSQATQSNSVTGLDSNNVERRMANDDQRFLLYFIMGTYFGPGLKGESPPKSVLQRIAERLPPYTFKQLGGSHLKTAEVEQVYYYVLRKAHKSAIVKLPVLYQFFHGNLLSHREDTTANYPQFPDLFPLLLHSHSQFSNRYNIFENIVFINNPETHYIKTEDIERFKRLTGLEEFLLDRDAARLHTYPDGSVSYNVSVQEPESNGESPPTSSCQSSRGTKHLDNLVESNDPLKHVHVVAPISSVPYNGTPVLYSYMAPLSTKDDSDPVEKVDPAMIFLPSLPTKREWSNIVAATRDGFALTGSAAKRQVGPTIGLIDIGECEDSYLFRVSLPGVRRDERDFSCEVENEGRVLIRGVTITAAWSS >ONH95140 pep chromosome:Prunus_persica_NCBIv2:G7:9233439:9236648:1 gene:PRUPE_7G053900 transcript:ONH95140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMDGSSQATQSNSVTGLDSNNVERRMANDDQRFLLYFIMGTYFGPGLKGESPPKSVLQRIAERLPPYTFKQLGGSHLKTAEVEQVYYYVLRKAHKSAIVKLPVLYQFFHGNLLSHREDTTANYPQFPDLFPLLLHSHSQFSNRYNIFENIVFINNPETHYIKTEDIERFKRLTGLEEFLLDRDAARLHTYPDGSVSYNVSVQEPESNGESPPTSSCQSSRGTKHLDNLVESNDPLKHVHVVAPISSVPYNGTPVLYSYMAPLSTKDDSDPVEKVDPAMIFLPSLPTKREWSNIVAATRDGFALTGSAAKRQVGPTIGLIDIGECEDSYLFRVSLPGVRRDERDFSCEVENEGRVLIRGVTITGEKTVYRYSQMFEMRTQNLCPSGHFSISFQLPGPVDPQEFSGNFGTDGILEGVVMKRKDVKYA >ONH95145 pep chromosome:Prunus_persica_NCBIv2:G7:9232996:9235920:1 gene:PRUPE_7G053900 transcript:ONH95145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMDGSSQATQSNSVTGLDSNNVERRMANDDQRFLLYFIMGTYFGPGLKGESPPKSVLQRIAERLPPYTFKQLGGSHLKTAEVEQVYYYVLRKAHKSAIVKLPVLYQFFHGNLLSHREDTTANYPQFPDLFPLLLHSHSQFSNRYNIFENIVFINNPETHYIKTEDIERFKRLTGLEEFLLDRDAARLHTYPDGSVSYNVSVQEPESNGESPPTSSCQSSRGTKHLDNLVESNDPLKHVHVVAPISSVPYNGTPVLYSYMAPLSTKDDSDPVEKVDPAMIFLPSLPTKREWSNIVAATRDGFALTGSAAKRQVGPTIGLIDIGECEDSYLFRVSLPGVRRDETTCMASSMLALPLVYFIN >ONH95142 pep chromosome:Prunus_persica_NCBIv2:G7:9232965:9236648:1 gene:PRUPE_7G053900 transcript:ONH95142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMDGSSQATQSNSVTGLDSNNVERRMANDDQRFLLYFIMGTYFGPGLKGESPPKSVLQRIAERLPPYTFKQLGGSHLKTAEVEQVYYYVLRKAHKSAIVKLPVLYQFFHGNLLSHREDTTANYPQFPDLFPLLLHSHSQFSNRYNIFENIVFINNPETHYIKTEDIERFKRLTGLEEFLLDRDAARLHTYPDGSVSYNVSVQEPESNGESPPTSSCQSSRGTKHLDNLVESNDPLKHVHVVAPISSVPYNGTPVLYSYMAPLSTKDDSDPVEKVDPAMIFLPSLPTKREWSNIVAATRDGFALTGSAAKRQVGPTIGLIDIGECEDSYLFRVSLPGVRRDERDFSCEVENEGRVLIRGVTITAAWSS >ONH95144 pep chromosome:Prunus_persica_NCBIv2:G7:9232996:9235920:1 gene:PRUPE_7G053900 transcript:ONH95144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMDGSSQATQSNSVTGLDSNNVERRMANDDQRFLLYFIMGTYFGPGLKGESPPKSVLQRIAERLPPYTFKQLGGSHLKTAEVEQVYYYVLRKAHKSAIVKLPVLYQFFHGNLLSHREDTTANYPQFPDLFPLLLHSHSQFSNRYNIFENIVFINNPETHYIKTEDIERFKRLTGLEEFLLDRDAARLHTYPDGSVSYNVSVQEPESNGESPPTSSCQSSRGTKHLDNLVESNDPLKHVHVVAPISSVPYNGTPVLYSYMAPLSTKDDSDPVEKVDPAMIFLPSLPTKREWSNIVAATRDGFALTGSAAKRQVGPTIGLIDIGECEDSYLFRVSLPGVRRDETTCMASSMLALPLVYFIN >ONH95138 pep chromosome:Prunus_persica_NCBIv2:G7:9232996:9236648:1 gene:PRUPE_7G053900 transcript:ONH95138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMDGSSQATQSNSVTGLDSNNVERRMANDDQRFLLYFIMGTYFGPGLKGESPPKSVLQRIAERLPPYTFKQLGGSHLKTAEVEQVYYYVLRKAHKSAIVKLPVLYQFFHGNLLSHREDTTANYPQFPDLFPLLLHSHSQFSNRYNIFENIVFINNPETHYIKTEDIERFKRLTGLEEFLLDRDAARLHTYPDGSVSYNVSVQEPESNGESPPTSSCQSSRGTKHLDNLVESNDPLKHVHVVAPISSVPYNGTPVLYSYMAPLSTKDDSDPVEKVDPAMIFLPSLPTKREWSNIVAATRDGFALTGSAAKRQVGPTIGLIDIGECEDSYLFRVSLPGVRRDERDFSCEVENEGRVLIRGVTITGEKTVYRYSQMFEMRTQNLCPSGHFSISFQLPGPVDPQEFSGNFGTDGILEGVVMKRKDVKYA >ONH95139 pep chromosome:Prunus_persica_NCBIv2:G7:9232996:9236648:1 gene:PRUPE_7G053900 transcript:ONH95139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMDGSSQATQSNSVTGLDSNNVERRMANDDQRFLLYFIMGTYFGPGLKGESPPKSVLQRIAERLPPYTFKQLGGSHLKTAEVEQVYYYVLRKAHKSAIVKLPVLYQFFHGNLLSHREDTTANYPQFPDLFPLLLHSHSQFSNRYNIFENIVFINNPETHYIKTEDIERFKRLTGLEEFLLDRDAARLHTYPDGSVSYNVSVQEPESNGESPPTSSCQSSRGTKHLDNLVESNDPLKHVHVVAPISSVPYNGTPVLYSYMAPLSTKDDSDPVEKVDPAMIFLPSLPTKREWSNIVAATRDGFALTGSAAKRQVGPTIGLIDIGECEDSYLFRVSLPGVRRDERDFSCEVENEGRVLIRGVTITGEKTVYRYSQMFEMRTQNLCPSGHFSISFQLPGPVDPQEFSGNFGTDGILEGVVMKRKDVKYA >ONH95137 pep chromosome:Prunus_persica_NCBIv2:G7:9232996:9236649:1 gene:PRUPE_7G053900 transcript:ONH95137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMDGSSQATQSNSVTGLDSNNVERRMANDDQRFLLYFIMGTYFGPGLKGESPPKSVLQRIAERLPPYTFKQLGGSHLKTAEVEQVYYYVLRKAHKSAIVKLPVLYQFFHGNLLSHREDTTANYPQFPDLFPLLLHSHSQFSNRYNIFENIVFINNPETHYIKTEDIERFKRLTGLEEFLLDRDAARLHTYPDGSVSYNVSVQEPESNGESPPTSSCQSSRGTKHLDNLVESNDPLKHVHVVAPISSVPYNGTPVLYSYMAPLSTKDDSDPVEKVDPAMIFLPSLPTKREWSNIVAATRDGFALTGSAAKRQVGPTIGLIDIGECEDSYLFRVSLPGVRRDERDFSCEVENEGRVLIRGVTITGEKTVYRYSQMFEMRTQNLCPSGHFSISFQLPGPVDPQEFSGNFGTDGILEGVVMKRKDVKYA >ONH95141 pep chromosome:Prunus_persica_NCBIv2:G7:9234355:9236648:1 gene:PRUPE_7G053900 transcript:ONH95141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMDGSSQATQSNSVTGLDSNNVERRMANDDQRFLLYFIMGTYFGPGLKGESPPKSVLQRIAERLPPYTFKQLGGSHLKTAEVEQVYYYVLRKAHKSAIVKLPVLYQFFHGNLLSHREDTTANYPQFPDLFPLLLHSHSQFSNRYNIFENIVFINNPETHYIKTEDIERFKRLTGLEEFLLDRDAARLHTYPDGSVSYNVSVQEPESNGESPPTSSCQSSRGTKHLDNLVESNDPLKHVHVVAPISSVPYNGTPVLYSYMAPLSTKDDSDPVEKVDPAMIFLPSLPTKREWSNIVAATRDGFALTGSAAKRQVGPTIGLIDIGECEDSYLFRVSLPGVRRDERDFSCEVENEGRVLIRGVTITAAWSS >ONH95910 pep chromosome:Prunus_persica_NCBIv2:G7:12771654:12774465:1 gene:PRUPE_7G095300 transcript:ONH95910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWVKCYFIHVGSNAAIDRDYKFSNRQIHKTRYIFMHAHTVSRVHNYMARFSPISSKTESLVVDWSFVKVEDIADEYCLNESGNPIYRDEQPRNVEYLQSGFPEAIQIQVKGICLHMGCRVLQEPFPITNTSKQHNNCLMKLSMSRKH >ONH98845 pep chromosome:Prunus_persica_NCBIv2:G7:22106402:22111386:-1 gene:PRUPE_7G268800 transcript:ONH98845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTGGGVGAEDVVRNKQVILRDYVSGFPKESDMQVTTGTTKLKLPEGSTAGVLVKNLYLSCDPYMRSRMTKRDPGASYVDSFNAGSPITGYGVAKVLESANPKFKQGDLVWGMTGWEEYSIITATDSLFKIHNTDVPLSYYTGILGMPGMTAYAGFYEVCSPKKGETVYVSAASGAVGQLVGQFAKLSGCYVVGSAGTKEKVDLLKKKFGFDGAFNYKEEPDLDAALKRFFPEGIDIYFENVGGKMLDAVLPNMRVHGRIAVCGMISQYNLEQPEGVHNLMYLVIKQVRMEGFLVFNYYHLYGKFLEMVLPAIKEGKITYVEDVVEGLESAPASLIGLFAGRNVGKQVVLVSRE >ONH98846 pep chromosome:Prunus_persica_NCBIv2:G7:22106387:22109719:-1 gene:PRUPE_7G268800 transcript:ONH98846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTGGGVGAEDVVRNKQVILRDYVSGFPKESDMQVTTGTTKLKLPEGSTAGVLVKNLYLSCDPYMRSRMTKRDPGASYVDSFNAGSPITGYGVAKVLESANPKFKQGDLVWGMTGWEEYSIITATDSLFKIHNTDVPLSYYTGILGMPGMTAYAGFYEVCSPKKGETVYVSAASGAVGQLVGQFAKLSGCYVVGSAGTKEKVDLLKKKFGFDGAFNYKEEPDLDAALKRFFPEGIDIYFENVGGKMLDAVLPNMRVHGRIAVCGMISQYNLEQPEGVHNLMYLVIKQVRMEGFLVFNYYHLYGKFLEMVLPAIKEGKITYVEDVVEGLESAPASLIGLFAGRNVGKQVVLVSRE >ONH95852 pep chromosome:Prunus_persica_NCBIv2:G7:12494077:12495868:1 gene:PRUPE_7G092200 transcript:ONH95852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKFFRFLKIVGVGYKARAEAEGRLLLLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGIDKDRVHQFAASVRSCKPPEVYKGKGIMYVDEVIKKKQGKKSK >ONH95847 pep chromosome:Prunus_persica_NCBIv2:G7:12494077:12495785:1 gene:PRUPE_7G092200 transcript:ONH95847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKIHFRFCFSPRLLSLSLSHWLWFSIGAFTQMEAKFFRFLKIVGVGYKARAEAEGRLLLLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGIDKDRVHQFAASVRSCKPPEVYKGKGIMYVDEVIKKKQGKKSK >ONH95850 pep chromosome:Prunus_persica_NCBIv2:G7:12494063:12495864:1 gene:PRUPE_7G092200 transcript:ONH95850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKFFRFLKIVGVGYKARAEAEGRLLLLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGIDKDRVHQFAASVRSCKPPEVYKGKGIMYVDEVIKKKQGKKSK >ONH95849 pep chromosome:Prunus_persica_NCBIv2:G7:12494077:12495868:1 gene:PRUPE_7G092200 transcript:ONH95849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKFFRFLKIVGVGYKARAEAEGRLLLLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGIDKDRVHQFAASVRSCKPPEVYKGKGIMYVDEVIKKKQGKKSK >ONH95848 pep chromosome:Prunus_persica_NCBIv2:G7:12494077:12495785:1 gene:PRUPE_7G092200 transcript:ONH95848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKIHFRFCFSPRLLSLSLSHWLWFSIGAFTQMEAKFFRFLKIVGVGYKARAEAEGRLLLLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGIDKDRVHQFAASVRSCKPPEVYKGKGIMYVDEVIKKKQGKKSK >ONH95851 pep chromosome:Prunus_persica_NCBIv2:G7:12494063:12495864:1 gene:PRUPE_7G092200 transcript:ONH95851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKFFRFLKIVGVGYKARAEAEGRLLLLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGIDKDRVHQFAASVRSCKPPEVYKGKGIMYVDEVIKKKQGKKSK >ONH94928 pep chromosome:Prunus_persica_NCBIv2:G7:7545792:7546879:1 gene:PRUPE_7G040500 transcript:ONH94928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMINEGNESAESFLHCLSPHQQVDQFEFTLSSPMCGGGDSKVVKKLNHNASERERRKKINTLYSTLRSLFPAPDQMHVKGLIQKKEELLSRLRRLQQQGDPIYNEKQSRSAAFSSLSASAFAVSWLNDREVVLQISSYVVQKSPLSQILVDLEEQWRTCAGARSLAKTTSFGSRFSLFNWVLGIP >ONH94806 pep chromosome:Prunus_persica_NCBIv2:G7:5840807:5844754:1 gene:PRUPE_7G031400 transcript:ONH94806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFTDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNYRFIAADTLQKVIVLVALAVWSRTSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDASGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIGEQFPDTAGSIISFRVDSDIISLDGKEPLQTEAEVGEDGKLHVTVRKSTSSRSEIYSRRSHGPNSGVSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKNMSNASPRQSNYGNVGFDEEAGGVGMRGGTNGVYPPGNSGYPAPPTAGIFSPVTGPNAKKKPNGADGGKDLHMFVWSSSASPVSEGGIHVFRGGEYGNDIGGVAHQKDYDEFGRDEFSFDNRPNSNGVDREGPVLSKLGSSSTTELHPKTAHGEPKATAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSYKWHVVMPAIVARSIAILSDAGLGMAMFSLGLFMALQPKMIACGNSVASFAMAVRFLSGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >ONH95429 pep chromosome:Prunus_persica_NCBIv2:G7:10785425:10787390:-1 gene:PRUPE_7G069900 transcript:ONH95429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQGLEMKFEGYYTLLNECVNRRAFREGQRVHAHMTKTCYLPPMHLRTRLIVFYNNCECLNDAQRVLDEMPVRNVVSWTAMISAYSKRGCASEALNLFLQMLRSGTEPNEFTFATVLTSCAGGAWGFDLGRQIHSLIIKTKFESHIFVGSSLLDMYAKAGRIYEARGVFECLPERDVVSCTAIISGYAQLGLDEEALELFRQLQREGMTSNYVTYASVLTALSGLAALDLGRQVHNHVLRRELPSYVVLQNSLIDMYSKCGNLSYSRRIFDSMPERTVISWNAMLVGYSKHGMGKEVVKLFKLMKEENKVRPDSVTFLAVLSGCSHGGMEDRGLEYFYEMAEGENGTEPELEHYGCVVDLLGRAGRVEEAFEFIKKMRFEPTAAIWGSLLGACRVHSNVEIGEFVGFRLLQIEPENAGNYVILSNLYASVGRWEGVRNVRELMVEKAVIKEPGRSWIELDQILHTFHAKLSVKLQVAGYVPDLGCVLYDVDEEQKEKVLLGHSEKMALAFGLIATPEGVPVRVIKNLRICVDCHNFAKLVSKIYGREVSLRDKNRFHHIVGGTCSCGDYW >ONH97632 pep chromosome:Prunus_persica_NCBIv2:G7:18824868:18827666:1 gene:PRUPE_7G202300 transcript:ONH97632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVGHYGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHQPKEDEYFRPAVAAAAVVAPPVLGATDIDVPLVVA >ONH97633 pep chromosome:Prunus_persica_NCBIv2:G7:18824868:18827666:1 gene:PRUPE_7G202300 transcript:ONH97633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHQPKEDEYFRPAVAAAAVVAPPVLGATDIDVPLVVA >ONH94693 pep chromosome:Prunus_persica_NCBIv2:G7:4336688:4342155:-1 gene:PRUPE_7G026500 transcript:ONH94693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVLRTSPLGATFAQLPSTLSPNSPKPSYVSFRQSQSGSIPYLTLSSLRFPHLPSLRFAKFVPLASQGETETTETVEEVRQPEEIEDSSDGAVSVEDSTSDGEESGTSNDDEGDAEEKPVSAIIASLQLYKEALASNDESKVAEIESFLKYIEDEKISLEKKVASLSEELSAEKVRILRISADFENFRKRTDRERISLVTNAQGEVVESLLPVVDNFERAKTQIKVETEGEEKINNSYQSIYKQFGEILSSLGVVPVETVGKPFDPLVRYQVGSKFNQLLDEYYQVLSQFCSGLMKTWIRF >ONH94690 pep chromosome:Prunus_persica_NCBIv2:G7:4335542:4342274:-1 gene:PRUPE_7G026500 transcript:ONH94690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVLRTSPLGATFAQLPSTLSPNSPKPSYVSFRQSQSGSIPYLTLSSLRFPHLPSLRFAKFVPLASQGETETTETVEEVRQPEEIEDSSDGAVSVEDSTSDGEESGTSNDDEGDAEEKPVSAIIASLQLYKEALASNDESKVAEIESFLKYIEDEKISLEKKVASLSEELSAEKVRILRISADFENFRKRTDRERISLVTNAQGEVVESLLPVVDNFERAKTQIKVETEGEEKINNSYQSIYKQFGEILSSLGVVPVETVGKPFDPLLHEAIMREDSTEFEEGVIIDEFRKGFKLGDRLLRPSMVKVSAGPGPAKPDQQVPPSEEQDASETTKEGSTETESA >ONH94691 pep chromosome:Prunus_persica_NCBIv2:G7:4335477:4342274:-1 gene:PRUPE_7G026500 transcript:ONH94691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVLRTSPLGATFAQLPSTLSPNSPKPSYVSFRQSQSGSIPYLTLSSLRFPHLPSLRFAKFVPLASQGETETTETVEEVRQPEEIEDSSDGAVSVEDSTSDGEESGTSNDDEGDAEEKPVSAIIASLQLYKEALASNDESKVAEIESFLKYIEDEKISLEKKVASLSEELSAEKVRILRISADFENFRKRTDRERISLVTNAQGEVVESLLPVVDNFERAKTQIKVETEGEEKINNSYQSIYKQFGEILSSLGVVPVETVGKPFDPLLHEAIMREDSTEFEEGVIIDEFRKGFKLGDRLLRPSMVKVSAGPGPAKPDQQVPPSEEQDASETTKEGSTETESA >ONH94692 pep chromosome:Prunus_persica_NCBIv2:G7:4336688:4342155:-1 gene:PRUPE_7G026500 transcript:ONH94692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVLRTSPLGATFAQLPSTLSPNSPKPSYVSFRQSQSGSIPYLTLSSLRFPHLPSLRFAKFVPLASQGETETTETVEEVRQPEEIEDSSDGAVSVEDSTSDGEESGTSNDDEGDAEEKPVSAIIASLQLYKEALASNDESKVAEIESFLKYIEDEKISLEKKVASLSEELSAEKVRILRISADFENFRKRTDRERISLVTNAQGEVVESLLPVVDNFERAKTQIKVETEGEEKINNSYQSIYKQFGEILSSLGVVPVETVGKPFDPLVRYQVGSKFNQLLDEYYQVLSQFCSGLMKTWIRF >ONH94852 pep chromosome:Prunus_persica_NCBIv2:G7:6537383:6541840:1 gene:PRUPE_7G035200 transcript:ONH94852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIAFNIRSVEDHPMTNVELRRSIFSHPTDFPEKRTFLRQRVEARLASLLMESKEYSEALSVLSGLIKEVRRLVDKLLLVDIDLMRSTVRVSHRLPLVFFVVSELKTLSSSCFHCTQKKTKTMVQKNQICNQTFTEKERRVLDPYIDDVLSVKMVSQDQSKDSGSKKNGGKELGMITPQEKSSKKMKFASSSAETANQHDNNL >ONH97677 pep chromosome:Prunus_persica_NCBIv2:G7:18951908:18962636:-1 gene:PRUPE_7G204900 transcript:ONH97677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDVRMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELETGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97672 pep chromosome:Prunus_persica_NCBIv2:G7:18951908:18962636:-1 gene:PRUPE_7G204900 transcript:ONH97672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLVGSDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELEPDMTQTGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97681 pep chromosome:Prunus_persica_NCBIv2:G7:18952318:18960381:-1 gene:PRUPE_7G204900 transcript:ONH97681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDVRMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLVGSDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELETGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97673 pep chromosome:Prunus_persica_NCBIv2:G7:18951908:18962636:-1 gene:PRUPE_7G204900 transcript:ONH97673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELETGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97683 pep chromosome:Prunus_persica_NCBIv2:G7:18952318:18960381:-1 gene:PRUPE_7G204900 transcript:ONH97683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDVRMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLVGSDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELEPDMTQTGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97680 pep chromosome:Prunus_persica_NCBIv2:G7:18952318:18960381:-1 gene:PRUPE_7G204900 transcript:ONH97680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDVRMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELEPDMTQTGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97679 pep chromosome:Prunus_persica_NCBIv2:G7:18952318:18960381:-1 gene:PRUPE_7G204900 transcript:ONH97679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDVRMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELEPDMTQTGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97684 pep chromosome:Prunus_persica_NCBIv2:G7:18952318:18960381:-1 gene:PRUPE_7G204900 transcript:ONH97684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDVRMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLVGSDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELEPDMTQTGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97674 pep chromosome:Prunus_persica_NCBIv2:G7:18952318:18960381:-1 gene:PRUPE_7G204900 transcript:ONH97674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELEPDMTQTGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97675 pep chromosome:Prunus_persica_NCBIv2:G7:18951908:18962636:-1 gene:PRUPE_7G204900 transcript:ONH97675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLVGSDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELETGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97678 pep chromosome:Prunus_persica_NCBIv2:G7:18951908:18962636:-1 gene:PRUPE_7G204900 transcript:ONH97678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDVRMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELETGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97682 pep chromosome:Prunus_persica_NCBIv2:G7:18951908:18962636:-1 gene:PRUPE_7G204900 transcript:ONH97682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDVRMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLVGSDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELETGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH97676 pep chromosome:Prunus_persica_NCBIv2:G7:18952318:18960381:-1 gene:PRUPE_7G204900 transcript:ONH97676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKFDLSSGSPDRPLYNSGQRGSHIAAPLDRSGSFRESMENPILSSLPNMSRSTSLITHGDVTNFFHCLRFDPKLVASEYKSNRQGDLRRLVSVALSISPDESPSGSVKGKPSPIPEDIKRVKAGLRDSSVKARERVKTFTEALSVFNKVFPSVPSKKRSRTEVFSNERSSVVLSSDRSSILGPKMGKIGIQSHAVTGGFELEQQKSEERTKNSVPNKRTRTSLVDMDVRSNALVRPSGAVDRDREVLRLASSGAVQGEDRNLSIGVDGWEKSKMKKKRSGIKPDASPSMVSGKPIDGFRETKQGMQQRPVSDARSRLNSDSHGFRPGVTNGAVGGGKSDGISQFRSSIPKTEPDNTSLINDKRDHPIGTDKERVNHRAVNKASVRDDFNSASPTSSTKINASVRAPRSGSGVVPKLSPVVHRATVANDWDISHCTSKPPAAVGANNRKRMASARSSSPPVAQWAGQRPQKISRTARRSNFVPIVSSNEETPTMDSASDITGSDIGMGFAKRLPGSSPQQVKLKAEPLSSAALSESEESGVAEIKSRDKGKKTDEIDEKAGQNVQKVSPLVLPSRKNKLVTGEDLGDGVRRQGRTGRGFTSTRSLMPMTVEKIGNVGTAKQLRSSRLGFDKSESKAGRPPTRRLSDRKAYTRQKHTAINAAADFLVGSDDGHEELLAAANAVVNSARSFSSSFWRQMEPFFGFLSDADTAYLKQQGNIESNVMTQAQVPSSIDCSATVTNGLRLIGCEPKSGEFRPEHLVPGAGDRVAIPLCQRLLAAVILEEDFSSGNDDLTFDADGVEFDIDAEVESNGLSYQSQDNFQFAGHAAFNGFRITGRPEYDEPEGTHKAISSNFSHSQNGFLSDQVSISGLACSESQYANMHINEKLLLEVNSIGIFPELEPDMTQTGDEGINEEIRKLEEKYHEQVSNKKGFLDRLLRSASVTEEFREKELEQRALDKLVGMAYEKYMSCWGPNATGGKSTSNKMAKQAALAFVKRTLERCRKFEDTEKSCFSEPSYRDILLSGFSNINGMRQSEAIAEGESTKPYASKVPASVGSQQSHSQFSQNADNHNVISSDVLPPLNHLSEQAIGREETWSNRVKKRELSLDDVGSNIGTSNVPSGIGSSLSSSAKGKRSERDRDGKGHNREVLPRNGTPKIGRPALSNVKGERKTKTKPKQKTTQLSISVNGLLGKMSEQPKPALPSVSKSGEMTTSGNTKEKDEYALDAIDDPESIDLSHLQLPGMDVLGVPDDIDGQGQDLGSWLNIDDDSLQDQDFMGLEIPMDDLSDLNMMV >ONH94995 pep chromosome:Prunus_persica_NCBIv2:G7:8119047:8120748:-1 gene:PRUPE_7G045000 transcript:ONH94995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLFIKQSKQYAKARPDYPEELFDYVASKTNCHDLAWDVGTGNGQAAGSLAGIYKNVIATDTSQKQLEFAIKLPNIRYEHTPAVMSIAEVEQKLAPKSSIDLVIVAQALHWFDLPTFYQGVNWVLKKPNGVIAAWCYTVPRVNNAVDTVFDRFYTVDVDPYWDPQRKLVDNKYRSIDFPFAPVDGEENTGPFEFVTERLMDLDGFFTYIRSWSAYQTAKEKGVELLSDDVIAAFREAWNDGGDGNKAVKFPVNLRIGRVGN >ONH94916 pep chromosome:Prunus_persica_NCBIv2:G7:7349442:7351748:1 gene:PRUPE_7G039700 transcript:ONH94916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLKPHIPKPSSFACAPSDTLLAEKAITYLKRHPHNLNSLSSCFTPEAASYLLQKSQFDQPLTLKFLSWARNHGFFTFQCKCVALHILTRFKLYKSAQALAEDVALNSIDDGGNLVFQCLSDSLHICNSSSAVFDLVVKSYSHLNFIDKALNIVHLAKVHGFMPGVLSYNAILDAIIRSKGSVQFAEEVFSQMIRNGVSPNVYTYNILIRGFSGAGNLKMGLYFFGEMERNGCLPTVVTYNTLIDAYCKLKKIDQAFELLRSMALKGLEPNLISYNVVINGLCREGRMNETSQVLEEMKRKGFVPDEVTCNTLISGYCKEDNFHQALVLQEEMRRNGLSPNVITYTALINAMCKAKNLNRAMEFFDQMRVRGLHPNQRTYTTLIDGFSQQGFLTEAYDVLKEMIGNGFSPSVVTYNALINGYCLLGRMEDAIGILQDMTGKGLPPDVVSYSTIITGFCRHQELESAFRMKLEMVEKGVSPDAVTYSSLIQGVCQQRRLVEACALFKEMLSMGMPPDEYTYTTLINAYCVEGDLNKALQLNDEMIQKGFLPDVVTYSVLINGLNKQARTREAKRLLLKLFYEESVPDGVTYNTLIGNCTNGEFKSVVALVKGFCMKGLMNEADQVFETMVERRHKPNEAVYDVIIHGHCKGGNVQKAYHLYKEMLHSGFVPHAVTVIALVKALFTEEMNNELSQVIGNTLRSCQLSDAELAKLHVDINHKEGNMDEVFNVLSDMAKDGLLPNSGVRASAGG >ONH95173 pep chromosome:Prunus_persica_NCBIv2:G7:9348164:9356768:1 gene:PRUPE_7G054800 transcript:ONH95173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPNMSSWTDLLHSSTKLLEQAAPSAQFPPLQRNLDQLETLSKKLKAKTLRTETPQQSIAATRLLAREGLNAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQVHQMTMVSALQEAQKDNLRSFNDYMLKVLEEDWQKEKRDFLQSLSQISTLPRTNTTYTSSAGSRSGQIASITSSPQVSSTPSSMELVPLASKPIPEKKTSVYAEVVKNLNNARQRGLPFKPASAFKGAYESLGLDASGGKSVNMQKIWHLLQTLMGEDITRQRGASKRMSLVIGARRHLEWGHEKYVMDTIQSHPAQAALGGVVGNLQRIRAFLRIRLRDYGVLDFDAGDARRQPPVDTTWQQLTEWINTGGMVPAEIAAAASEECEKMLRTGDRVGRAAYDKKKLLLYALISGSRRQIDRLLRDLPTLFNTIEDFLWFKLSAVRDCPGGAAPIVMNESLVPYTLDDLQIYLNKFDPSYYTKNGKDPLVYPYVLLLSIQLIPGVVYLSKETGDEGYNIDAAHISIVLADHGVLSEGAGAGQKMGVMDAYAEASSIIRQYGSVYLRLGNLQMALEYYAQAAAAVGGGQLSWSGRGNVDQQRQRNLMLKQLLTELLLRDGGIYLLLGSRGAGEEGELGRFLTDVKERQQFLLEAAHQCQEAGLYEKSIEIQKRIGAFSMALDTINKCLSEAICALSRGRLDGESRTAGLIHSGNEILEMHKYYPEISPQERESVSEQHIVLRQLEAVLSIHKLARGGHYADALREVARLPFLPLDPRTPDATTDVFQNLSPHVQACVPDLLKVALTCLDNMVDSDGSLRALRAKIASFIANNSSRNWPRDLYEKVARSF >ONH95174 pep chromosome:Prunus_persica_NCBIv2:G7:9348163:9356768:1 gene:PRUPE_7G054800 transcript:ONH95174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPNMSSWTDLLHSSTKLLEQAAPSAQFPPLQRNLDQLETLSKKLKAKTLRTETPQQSIAATRLLAREGLNAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQVHQMTMVSALQEAQKDNLRSFNDYMLKVLEEDWQKEKRDFLQSLSQISTLPRTNTTYTSSAGSRSGQIASITSSPQVSSTPSSMELVPLASKPIPEKKTSVYAEVVKNLNNARQRGLPFKPASAFKGAYESLGLDASGGKSVNMQKIWHLLQTLMGEDITRQRGASKRMSLVIGARRHLEWGHEKYVMDTIQSHPAQAALGGVVGNLQRIRAFLRIRLRDYGVLDFDAGDARRQPPVDTTWQQIYFCLRTGCYDEARAVALSSRTSNQFAPLLTEWINTGGMVPAEIAAAASEECEKMLRTGDRVGRAAYDKKKLLLYALISGSRRQIDRLLRDLPTLFNTIEDFLWFKLSAVRDCPGGAAPIVMNESLVPYTLDDLQIYLNKFDPSYYTKNGKDPLVYPYVLLLSIQLIPGVVYLSKETGDEGYNIDAAHISIVLADHGVLSEGAGAGQKMGVMDAYAEASSIIRQYGSVYLRLGNLQMALEYYAQAAAAVGGGQLSWSGRGNVDQQRQRNLMLKQLLTELLLRDGGIYLLLGSRGAGEEGELGRFLTDVKERQQFLLEAAHQCQEAGLYEKSSREGKCFRAAYCVKTT >ONH95172 pep chromosome:Prunus_persica_NCBIv2:G7:9348164:9356768:1 gene:PRUPE_7G054800 transcript:ONH95172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPNMSSWTDLLHSSTKLLEQAAPSAQFPPLQRNLDQLETLSKKLKAKTLRTETPQQSIAATRLLAREGLNAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQVHQMTMVSALQEAQKDNLRSFNDYMLKVLEEDWQKEKRDFLQSLSQISTLPRTNTTYTSSAGSRSGQIASITSSPQVSSTPSSMELVPLASKPIPEKKTSVYAEVVKNLNNARQRGLPFKPASAFKGAYESLGLDASGGKSVNMQKIWHLLQTLMGEDITRQRGASKRMSLVIGARRHLEWGHEKYVMDTIQSHPAQAALGGVVGNLQRIRAFLRIRLRDYGVLDFDAGDARRQPPVDTTWQQIYFCLRTGCYDEARAVALSSRTSNQFAPLLTEWINTGGMVPAEIAAAASEECEKMLRTGDRVGRAAYDKKKLLLYALISGSRRQIDRLLRDLPTLFNTIEDFLWFKLSAVRDCPGGAAPIVMNESLVPYTLDDLQIYLNKFDPSYYTKNGKDPLVYPYVLLLSIQLIPGVVYLSKETGDEGYNIDAAHISIVLADHGVLSEGAGAGQKMGVMDAYAEASSIIRQYGSVYLRLGNLQMALEYYAQAAAAVGGGQLSWSGRGNVDQQRQRNLMLKQLLTELLLRDGGIYLLLGSRGAGEEGELGRFLTDVKERQQFLLEAAHQCQEAGLYEKSIEIQKRIGAFSMALDTINKCLSEAICALSRGRLDGESRTAGLIHSGNEILEMHKYYPEISPQERESVSEQHIVLRQLEAVLSIHKLARGGHYADALREVARLPFLPLDPRTPDATTDVFQNLSPHVQACVPDLLKVALTCLDNMVDSDGSLRALRAKIASFIANNSSRNWPRDLYEKVARSF >ONH95976 pep chromosome:Prunus_persica_NCBIv2:G7:13018651:13019988:-1 gene:PRUPE_7G099300 transcript:ONH95976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKSSRQVIERYYSRMTLDFHINKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDYVPEKSAIKTDEIEVDKETIDMLSALGMGDMPGLKRVDPASLQPQQLGFGRGGPRRY >ONH98638 pep chromosome:Prunus_persica_NCBIv2:G7:21621158:21624340:-1 gene:PRUPE_7G259400 transcript:ONH98638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGSMRVEKRGYEEGVELDDDAEEGHSPEPKKQRLPALARFLFPTLIFGFYDLFLLIKGVIVESLKVDSLQRLCSSLEPLLRRIVSEEVERALTKLDHAELAGRSPPPRIQGPEGRTLQLQFKTRMPPHLFTGAKVEGEQGAAIHVVLLDHSTGTVLQTGPESAAKLNVVVLEGDFNEEADDIWTKEHFENHEVKEREGKRPLLTGDLQITLKEGVGTLGDLTFTDNSSWTRSRKFRLGVKISPGYCEGIRICEAKTDAFSVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLIKAEIVTVEDFLRLLVKDPQKLRSMLGSGMSNRMWENTVEHAKTCVLGGKLYVYYTDQTHSTGVVFNHIYELRGLIADGQFLPLESLTQSQKVSVDSLVKRAYDNWHQVIEYDGKVLHSLVSNKKVTKASAAPVTLADHNNYAIDNHSAATQNMQQYTSQFGEQFQTENNHPSVPQYIEFPFVRSDQTALIKFNNPEPAALSGSMGYMPVGGSHFSGDWSRPNNVSGLEDFVADEIRFRSSEMLESDDMQRLLKTFSMGVGVGAGAGFGASDEACYNYSVQYEPQIGQSFRKEQAKSSGKAVVGWLKLKAALRWGIFIRKRAAERRAQLTELD >ONH98637 pep chromosome:Prunus_persica_NCBIv2:G7:21621158:21624340:-1 gene:PRUPE_7G259400 transcript:ONH98637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGSMRVEKRGYEEGVELDDDAEEGHSPEPKKQRLPALASVIVESLKVDSLQRLCSSLEPLLRRIVSEEVERALTKLDHAELAGRSPPPRIQGPEGRTLQLQFKTRMPPHLFTGAKVEGEQGAAIHVVLLDHSTGTVLQTGPESAAKLNVVVLEGDFNEEADDIWTKEHFENHEVKEREGKRPLLTGDLQITLKEGVGTLGDLTFTDNSSWTRSRKFRLGVKISPGYCEGIRICEAKTDAFSVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLIKAEIVTVEDFLRLLVKDPQKLRSMLGSGMSNRMWENTVEHAKTCVLGGKLYVYYTDQTHSTGVVFNHIYELRGLIADGQFLPLESLTQSQKVSVDSLVKRAYDNWHQVIEYDGKVLHSLVSNKKVTKASAAPVTLADHNNYAIDNHSAATQNMQQYTSQFGEQFQTENNHPSVPQYIEFPFVRSDQTALIKFNNPEPAALSGSMGYMPVGGSHFSGDWSRPNNVSGLEDFVADEIRFRSSEMLESDDMQRLLKTFSMGVGVGAGAGFGASDEACYNYSVQYEPQIGQSFRKEQAKSSGKAVVGWLKLKAALRWGIFIRKRAAERRAQLTELD >ONH98639 pep chromosome:Prunus_persica_NCBIv2:G7:21620870:21624689:-1 gene:PRUPE_7G259400 transcript:ONH98639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHLFTGAKVEGEQGAAIHVVLLDHSTGTVLQTGPESAAKLNVVVLEGDFNEEADDIWTKEHFENHEVKEREGKRPLLTGDLQITLKEGVGTLGDLTFTDNSSWTRSRKFRLGVKISPGYCEGIRICEAKTDAFSVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLIKAEIVTVEDFLRLLVKDPQKLRSMLGSGMSNRMWENTVEHAKTCVLGGKLYVYYTDQTHSTGVVFNHIYELRGLIADGQFLPLESLTQSQKVSVDSLVKRAYDNWHQVIEYDGKVLHSLVSNKKVTKASAAPVTLADHNNYAIDNHSAATQNMQQYTSQFGEQFQTENNHPSVPQYIEFPFVRSDQTALIKFNNPEPAALSGSMGYMPVGGSHFSGDWSRPNNVSGLEDFVADEIRFRSSEMLESDDMQRLLKTFSMGVGVGAGAGFGASDEACYNYSVQYEPQIGQSFRKEQAKSSGKAVVGWLKLKAALRWGIFIRKRAAERRAQLTELD >ONH97145 pep chromosome:Prunus_persica_NCBIv2:G7:17322959:17325211:-1 gene:PRUPE_7G172100 transcript:ONH97145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTNTDQMQSALEETEENSSKLKKSRSVSSILSSSSSRKSLLRSSTRSSSTITHLNGGLFDDNGAMGSKCHSLTLGMLYVLEQKLYEEVKAGEETRRLFDRKCSQYSRNQGHGLKTEDKIRVELHSRISVAKGSAESTFEKIRKLRDEELQPQLIELLQGLMKNWKIMSETHETQHRIMSEVKYVSGSSYEKLCNDNSHQLAATHEFEAELQNWRARFASYVSSQKEYIEALDGWLHKLVAPESEADSDMWSSLRSCRVGMLPSTQICENWLASINKLPHKAVASAMENFGKDVQALMVRQAKDHQQKRKIDGLAKELHWQASVFYWERRIMGLKRYEEKEWHERLKKMENKVIEFKTRLNSKKEKLHTSVEETQNITVNGFQTGFSSVFKSLTEFSKSVVEMYDGLIRFNENGMKIDDQSSHLASIHVEADDQSSRAPSIRVEADDQSSRAPSIRVEADDQSSQAPRIRVEADDQSSQPPRVDVEADEIKNIHKGKGHKKMHRGHKK >ONH95661 pep chromosome:Prunus_persica_NCBIv2:G7:11834064:11838587:-1 gene:PRUPE_7G083500 transcript:ONH95661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIADTYSLLVLNITFRTSADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVERLDGKVVDGREITVQFAKYGPNAERIQKGRITEALPKSRYRSRSRSPRRRYRDDYRDRDYRRRSRSRSWDRSDRDRYHGRDRDYRRRSRSRSASPDYYRSRRRVRYDDERRSRSRSPIRSRSIDSVSPARRSPSPHKSPSPLIRSRSATPDRRSHDGHSPTSRIVSPRHRLADSRTPSPRNSDVDD >ONH95660 pep chromosome:Prunus_persica_NCBIv2:G7:11834064:11838592:-1 gene:PRUPE_7G083500 transcript:ONH95660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIADTYSLLVLNITFRTSADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVERLDGKVVDGREITVQFAKYGPNAERIQKGRITEALPKSRYRSRSRSPRRRYRDDYRDRDYRRRSRSRSWDRSDRDRYHGRDRDYRRRSRSRSASPDYYRSRRRVRYDDERRSRSRSPIRSRSIDSVSPARRSPSPHKSPSPLIRSRSATPDRRSHDGHSPTSRIVSPRHRLADSRTPSPRNSDVDD >ONH95662 pep chromosome:Prunus_persica_NCBIv2:G7:11834329:11838591:-1 gene:PRUPE_7G083500 transcript:ONH95662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIADTYSLLVLNITFRTSADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVERLDGKVVDGREITVQFAKYGPNAERIQKGRITEALPKSRYRSRSRSPRRRYRDDYRDRDYRRRSRSRSWDRSDRDRYHGRDRDYRRRSRSRSASPDYYRSRRRVRYDDERRSRSRSPIRSRSIDSVSPARRSPSPHKSPSPLIRSRSATPDRRSHDGHSPTSRIVSPRHRLADSRTPSPRNSDVDD >ONH95656 pep chromosome:Prunus_persica_NCBIv2:G7:11834329:11838584:-1 gene:PRUPE_7G083500 transcript:ONH95656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIADTYSLLVLNITFRTSADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVERLDGKVVDGREITVQFAKYGPNAERIQKGRITEALPKSRYRSRSRSPRRRYRDDYRDRDYRRRSRSRSWDRSDRDRYHGRDRDYRRRSRSRSASPDYYRSRRRVRYDDERRSRSRSPIRSRSIDSVSPARRSPSPHKSPSPLIRSRSATPDRRSHDGHSPTSRIVSPRHRLADSRTPSPRNSDVDD >ONH95658 pep chromosome:Prunus_persica_NCBIv2:G7:11834064:11838578:-1 gene:PRUPE_7G083500 transcript:ONH95658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIADTYSLLVLNITFRTSADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVERLDGKVVDGREITVQFAKYGPNAERIQKGRITEALPKSRYRSRSRSPRRRYRDDYRDRDYRRRSRSRSWDRSDRDRYHGRDRDYRRRSRSRSASPDYYRSRRRVRYDDERRSRSRSPIRSRSIDSVSPARRSPSPHKSPSPLIRSRSATPDRRSHDGHSPTSRIVSPRHRLADSRTPSPRNSDVDD >ONH95655 pep chromosome:Prunus_persica_NCBIv2:G7:11834064:11838587:-1 gene:PRUPE_7G083500 transcript:ONH95655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIADTYSLLVLNITFRTSADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVERLDGKVVDGREITVQFAKYGPNAERIQKGRITEALPKSRYRSRSRSPRRRYRDDYRDRDYRRRSRSRSWDRSDRDRYHGRDRDYRRRSRSRSASPDYYRSRRRVRYDDERRSRSRSPIRSRSIDSVSPARRSPSPHKSPSPLIRSRSATPDRRSHDGHSPTSRIVSPRHRLADSRTPSPRNSDVDD >ONH95659 pep chromosome:Prunus_persica_NCBIv2:G7:11834938:11838207:-1 gene:PRUPE_7G083500 transcript:ONH95659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIADTYSLLVLNITFRTSADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVERLDGKVVDGREITVQFAKYGPNAERIQKGRITEALPKSRYRSRSRSPRRRYRDDYRDRDYRRRSRSRSWDRSDRDRYHGRDRDYRRRSRSRSASPDYYRSRRRVRYDDERRSRSRSPIRSRSIDSVSPARRSPSPHKSPSPLIRSRSATPDRRSHDGHSPTSRIVSPRHRLADSRTPSPRNSDVDD >ONH95663 pep chromosome:Prunus_persica_NCBIv2:G7:11834064:11838593:-1 gene:PRUPE_7G083500 transcript:ONH95663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIADTYSLLVLNITFRTSADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVERLDGKVVDGREITVQFAKYGPNAERIQKGRITEALPKSRYRSRSRSPRRRYRDDYRDRDYRRRSRSRSWDRSDRDRYHGRDRDYRRRSRSRSASPDYYRSRRRVRYDDERRSRSRSPIRSRSIDSVSPARRSPSPHKSPSPLIRSRSATPDRRSHDGHSPTSRIVSPRHRLADSRTPSPRNSDVDD >ONH95657 pep chromosome:Prunus_persica_NCBIv2:G7:11834938:11838207:-1 gene:PRUPE_7G083500 transcript:ONH95657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIADTYSLLVLNITFRTSADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVERLDGKVVDGREITVQFAKYGPNAERIQKGRITEALPKSRYRSRSRSPRRRYRDDYRDRDYRRRSRSRSWDRSDRDRYHGRDRDYRRRSRSRSASPDYYRSRRRVRYDDERRSRSRSPIRSRSIDSVSPARRSPSPHKSPSPLIRSRSATPDRRSHDGHSPTSRIVSPRHRLADSRTPSPRNSDVDD >ONH94543 pep chromosome:Prunus_persica_NCBIv2:G7:3378207:3383548:-1 gene:PRUPE_7G021900 transcript:ONH94543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSISSSSSSAVGFCNSHKHTPPNVSSSLICPSFLSPLPLSYPTNHRHKKKRAIGVMATRLTVLGFRVRTQRLFFDGLFKEGTSIAKGFQAKSRDPLCFRAQSILSTTSRSTSTGTTTVLDVEKLRLPSLEVNSDSLAANRPWTYTGATGPPTEANFGATLATENLLTSEEAVIAAAAAEAVTLAKAALKVAKDVALLHMETKQPSRLGASMGSETALVENHFIQVAIKESEDVESTNQEFELLREQLSEGITARSSRQIERKARRAKAAEKAAASVVSVKSGSTSRKKRASLQDVDHSDPLRYLRATTHTSRLLTANEEIELSEGIQELLKLEKLQEELVQRCGGQPTIAQWAAAAGVDQKTLRKRINYGILCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQQGCRGLVRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVREAKKQLYSVNGRHPNDEEVAEATGLSMKRLAAVLLTPKAPRSLEQKIGINQNLKPSEVIADPEAETAEDMLMKKFMKQDLEKVLDSLNAREKQVVRWRFGLEDGRMKTLQEIGELMGVSRERIRQIESCAFRKLKNKKRTKHLQQYVVS >ONH94544 pep chromosome:Prunus_persica_NCBIv2:G7:3378683:3383548:-1 gene:PRUPE_7G021900 transcript:ONH94544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSISSSSSSAVGFCNSHKHTPPNVSSSLICPSFLSPLPLSYPTNHRHKKKRAIGVMATRLTVLGFRVRTQRLFFDGLFKEGTSIAKGFQAKSRDPLCFRAQSILSTTSRSTSTGTTTVLDVEKLRLPSLEVNSDSLAANRPWTYTGATGPPTEANFGATLATENLLTSEEAVIAAAAAEAVTLAKAALKVAKDVALLHMETKQPSRLGASMGSETALVENHFIQVAIKESEDVESTNQEFELLREQLSEGITARSSRQIERKARRAKAAEKAAASVVSVKSGSTSRKKRASLQDVDHSDPLRYLRATTHTSRLLTANEEIELSEGIQELLKLEKLQEELVQRCGGQPTIAQWAAAAGVDQKTLRKRINYGILCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQQGCRGLVRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVREAKKQLYSVNGRHPNDEEVAEATGLSMKRLAAVLLTPKAPRSLEQKIGINQNLKPSEVIADPEAETAEDMLMKKFMKQDLEKVLDSLNAREKQVVRWRFGLEDGRMKTLQEIGELMGVSRERIRQIESCAFRKLKNKKRTKHLQQYVVS >ONH94545 pep chromosome:Prunus_persica_NCBIv2:G7:3378953:3383293:-1 gene:PRUPE_7G021900 transcript:ONH94545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSISSSSSSAVGFCNSHKHTPPNVSSSLICPSFLSPLPLSYPTNHRHKKKRAIGVMATRLTVLGFRVRTQRLFFDGLFKEGTSIAKGFQAKSRDPLCFRAQSILSTTSRSTSTGTTTVLDVEKLRLPSLEVNSDSLAANRPWTYTGATGPPTEANFGATLATENLLTSEEAVIAAAAAEAVTLAKAALKVAKDVALLVSTNHSAKAESSSPVSPETNPLHFNWAKHMETKQPSRLGASMGSETALVENHFIQVAIKESEDVESTNQEFELLREQLSEGITARSSRQIERKARRAKAAEKAAASVVSVKSGSTSRKKRASLQDVDHSDPLRYLRATTHTSRLLTANEEIELSEGIQELLKLEKLQEELVQRCGGQPTIAQWAAAAGVDQKTLRKRINYGILCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQQGCRGLVRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVREAKKQLYSVNGRHPNDEEVAEATGLSMKRLAAVLLTPKAPRSLEQKIGINQNLKPSEVIADPEAETAEDMLMKKFMKQDLEKVLDSLNAREKQVVRWRFGLEDGRMKTLQEIGELMGVSRERIRQIESCAFRKLKNKKRTKHLQQYVVS >ONH94546 pep chromosome:Prunus_persica_NCBIv2:G7:3378206:3383548:-1 gene:PRUPE_7G021900 transcript:ONH94546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILSISSSSSSAVGFCNSHKHTPPNVSSSLICPSFLSPLPLSYPTNHRHKKKRAIGVMATRLTVLGFRVRTQRLFFDGLFKEGTSIAKGFQAKSRDPLCFRAQSILSTTSRSTSTGTTTVLDVEKLRLPSLEVNSDSLAANRPWTYTGATGPPTEANFGATLATENLLTSEEAVIAAAAAEAVTLAKAALKVAKDVALLVSTNHSAKAESSSPVSPETNPLHFNWAKHMETKQPSRLGASMGSETALVENHFIQVAIKESEDVESTNQEFELLREQLSEGITARSSRQIERKARRAKAAEKAAASVVSVKSGSTSRKKRASLQDVDHSDPLRYLRATTHTSRLLTANEEIELSEGIQELLKLEKLQEELVQRCGGQPTIAQWAAAAGVDQKTLRKRINYGILCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQQGCRGLVRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVREAKKQLYSVNGRHPNDEEVAEATGLSMKRLAAVLLTPKAPRSLEQKIGINQNLKPSEVIADPEAETAEDMLMKKFMKQDLEKVLDSLNAREKQVVRWRFGLEDGRMKTLQEIGELMGVSRERIRQIESCAFRKLKNKKRTKHLQQYVVS >ONH98732 pep chromosome:Prunus_persica_NCBIv2:G7:21861604:21864901:-1 gene:PRUPE_7G264300 transcript:ONH98732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFMFPNNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEKLSLLITEAYKDAHQKSVQAMKERMSNLAQSLGMPQGLTPQ >ONH98744 pep chromosome:Prunus_persica_NCBIv2:G7:21861605:21865860:-1 gene:PRUPE_7G264300 transcript:ONH98744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISLIGQISSPHGLGLDVSQRPSTPFSLSRYNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVLLCLGTSNPFARRLLRLQWN >ONH98736 pep chromosome:Prunus_persica_NCBIv2:G7:21862911:21864873:-1 gene:PRUPE_7G264300 transcript:ONH98736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFMFPNNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEISGVI >ONH98742 pep chromosome:Prunus_persica_NCBIv2:G7:21863139:21864873:-1 gene:PRUPE_7G264300 transcript:ONH98742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFMFPNNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEVSNT >ONH98745 pep chromosome:Prunus_persica_NCBIv2:G7:21861605:21865860:-1 gene:PRUPE_7G264300 transcript:ONH98745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFMFPNNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVLLCLGTSNPFARRLLRLQWN >ONH98733 pep chromosome:Prunus_persica_NCBIv2:G7:21861605:21864959:-1 gene:PRUPE_7G264300 transcript:ONH98733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEKLSLLITEAYKDAHQKSVQAMKERMSNLAQSLGMPQGLTPQ >ONH98746 pep chromosome:Prunus_persica_NCBIv2:G7:21863162:21864755:-1 gene:PRUPE_7G264300 transcript:ONH98746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVLLCLGTSNPFARRLLRLQWN >ONH98738 pep chromosome:Prunus_persica_NCBIv2:G7:21862916:21865066:-1 gene:PRUPE_7G264300 transcript:ONH98738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISLIGQISSPHGLGLDVSQRPSTPFSLSRYNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEV >ONH98740 pep chromosome:Prunus_persica_NCBIv2:G7:21862916:21864755:-1 gene:PRUPE_7G264300 transcript:ONH98740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEV >ONH98739 pep chromosome:Prunus_persica_NCBIv2:G7:21861605:21864959:-1 gene:PRUPE_7G264300 transcript:ONH98739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFMFPNNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEV >ONH98734 pep chromosome:Prunus_persica_NCBIv2:G7:21862341:21864755:-1 gene:PRUPE_7G264300 transcript:ONH98734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEKLSLLITEAYKDAHQKSVQAMKERMSNLAQSLGMPQGLTPQ >ONH98737 pep chromosome:Prunus_persica_NCBIv2:G7:21862911:21864755:-1 gene:PRUPE_7G264300 transcript:ONH98737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEISGVI >ONH98743 pep chromosome:Prunus_persica_NCBIv2:G7:21863139:21864755:-1 gene:PRUPE_7G264300 transcript:ONH98743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEVSNT >ONH98730 pep chromosome:Prunus_persica_NCBIv2:G7:21861604:21865860:-1 gene:PRUPE_7G264300 transcript:ONH98730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISLIGQISSPHGLGLDVSQRPSTPFSLSRYNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEKLSLLITEAYKDAHQKSVQAMKERMSNLAQSLGMPQGLTPQ >ONH98731 pep chromosome:Prunus_persica_NCBIv2:G7:21862341:21865066:-1 gene:PRUPE_7G264300 transcript:ONH98731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISLIGQISSPHGLGLDVSQRPSTPFSLSRYNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEKLSLLITEAYKDAHQKSVQAMKERMSNLAQSLGMPQGLTPQ >ONH98747 pep chromosome:Prunus_persica_NCBIv2:G7:21863507:21865860:-1 gene:PRUPE_7G264300 transcript:ONH98747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISLIGQISSPHGLGLDVSQRPSTPFSLSRYNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVSLLLFPLGI >ONH98735 pep chromosome:Prunus_persica_NCBIv2:G7:21862911:21865066:-1 gene:PRUPE_7G264300 transcript:ONH98735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISLIGQISSPHGLGLDVSQRPSTPFSLSRYNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEISGVI >ONH98741 pep chromosome:Prunus_persica_NCBIv2:G7:21863139:21865066:-1 gene:PRUPE_7G264300 transcript:ONH98741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISLIGQISSPHGLGLDVSQRPSTPFSLSRYNLSSKTNLQSLSHSGYRHFGHIQRSVLVNGLFGGKKENNENSDDAPSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAVEEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEVSNT >ONH94941 pep chromosome:Prunus_persica_NCBIv2:G7:7707785:7710765:-1 gene:PRUPE_7G041200 transcript:ONH94941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMATRTKLFRLLTTLTTKAPILGSGSCSNGVKGPVRVDRGGGLGLTAYSTSAVAQQEPGVVGSRHMGLEILGVKDYEDYRRSLYGEITHKALLVDAVGTLVVPSQPMAQIYRKIGEKYGVEYSEAEILNRYRRAYEQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDSQYFEELYNYYTTNKAWHLCDPDAEKVFQALRKAGVKVAVVSNFDTRLRPLLQALNCEHWFDAVAVSAEVEAEKPNPTIFLKACELLGVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEFNTLPHLVARHEFDWSLVQAGPYEFHPYR >ONH94940 pep chromosome:Prunus_persica_NCBIv2:G7:7707785:7710765:-1 gene:PRUPE_7G041200 transcript:ONH94940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMATRTKLFRLLTTLTTKAPILGSGSCSNGVKGPVRVDRGGGLGLTAYSTSAVAQQEPGVVGSRHMGLEILGVKDYEDYRRSLYGEITHKALLVDAVGTLVVPSQPMAQIYRKIGEKYGVEYSEAEILNRYRRAYEQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDSQYFEELYNYYTTNKAWHLCDPDAEKVFQALRKAGVKVAVVSNFDTRLRPLLQALNCEHWFDAVAVSAEVEAEKPNPTIFLKACELLGVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEFNTLPHLVARHEFDWSLVQAGPYEFHPYR >ONH94938 pep chromosome:Prunus_persica_NCBIv2:G7:7707366:7710884:-1 gene:PRUPE_7G041200 transcript:ONH94938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMATRTKLFRLLTTLTTKAPILGSGSCSNGVKGPVRVDRGGGLGLTAYSTSAVAQQEPGVVGSRHMGLEILGVKDYEDYRRSLYGEITHKALLVDAVGTLVVPSQPMAQIYRKIGEKYGVEYSEAEILNRYRRAYEQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDSQYFEELYNYYTTNKAWHLCDPDAEKVFQALRKAGVKVAVVSNFDTRLRPLLQALNCEHWFDAVAVSAEVEAEKPNPTIFLKACELLGVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKESKPVLMSSTPIDKTCGSEGRGPSLK >ONH94939 pep chromosome:Prunus_persica_NCBIv2:G7:7707394:7710884:-1 gene:PRUPE_7G041200 transcript:ONH94939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMATRTKLFRLLTTLTTKAPILGSGSCSNGVKGPVRVDRGGGLGLTAYSTSAVAQQEPGVVGSRHMGLEILGVKDYEDYRRSLYGEITHKALLVDAVGTLVVPSQPMAQIYRKIGEKYGVEYSEAEILNRYRRAYEQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDSQYFEELYNYYTTNKAWHLCDPDAEKVFQALRKAGVKVAVVSNFDTRLRPLLQALNCEHWFDAVAVSAEVEAEKPNPTIFLKACELLGVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAQRVGVQV >ONH95421 pep chromosome:Prunus_persica_NCBIv2:G7:10745779:10748984:-1 gene:PRUPE_7G069400 transcript:ONH95421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYNTNSIALLLIRDTLVRLPKRFKPTILQPRRPFCSKAPNTNTNTNYNQSSGNAEETSLSRYQDSLKQLDKLDFMKAAKILFTGPPSKKKFGLDFHLVQLFFVCMPSLAVYLVAQYARYEIRRMEAELEQKKKKEEEAKAKEKEIELKAAEEKEASNPELLEVRVRLDKLEETLKEFVVESKKQMNSGQTKEHEGGNEKKDIVTEASSRSSSESSKPVEKDPPSKQDSVEPGPALSKEWVVGQHPVPNAHLQDQEGKTPASEDAKR >ONH96687 pep chromosome:Prunus_persica_NCBIv2:G7:15910978:15912737:1 gene:PRUPE_7G145900 transcript:ONH96687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQAAATLMQPTKVGAPARTSLQLRPSQNVSKAFGLEPSASARLTCSLQSDLKDFAHKCVDASKIAGFALATSALVVSGAGAEGVPKRLTFDEIQSKTYLEVKGTGTANQCPTIDGGLEAFAFKPGKYTAKKFCLEPTSFTVKAESVNKNAPPEFQNTKLMTRLTYTLDEIEGPFEVSPDGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPENFGGEFLVPSYRGSSFLDPKGRGGSTGYDNAIALPAGGRGDEEELAKENIKNTASSKGKITLSVTKSKPESGELIGVFESIQPSDTDLGAKTPKDVKIQGVWYAQLD >ONH96075 pep chromosome:Prunus_persica_NCBIv2:G7:13464513:13468724:1 gene:PRUPE_7G105800 transcript:ONH96075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKIRTQKHVRTLSIKTHAIWQEEPEHQKTLLQLIMAMMRLGTFLFFVVLLFDSAFTDTDLQLHPSCDTLQDRRNFDVLEPEFIFGTASATYQCAGAVQTDGRGPSIWDNYTHSHPERIKDGSNGDIAVDQYHQYKGDVAIMKNISVDAYRMSIAWSRVLPNGTLSGGVNMDGINYYNSFINELISSGLKPFVTIFHWDLPQALNDEYGGFLSPKIVDHFRAFAKLCFENFGDRVKHWVTLNEPFTVSNHGYAVGCHAPGRCSAWQNLNCTGGDSGIEPYLVTHHQLLAHAAAVKLYRDEYQANHDGSIGITLVSHWFKPASNLRKDKLAAHRSLDFMFGWFMDPVTYGDYPLSMRSIVGKRLPKFTVEESKLLEGSYDFIGLNYYSARYASHQRRVSYAHKSYLTDPQVNVTTERNGVPIGRQAASDWLYVYPKGLYDLVLYTQKKYNDPIIYITENGVDEFNDPKLPLEQALNDTDRIDYYYSHLCYLHAAMRKGAKVKGYFAWSLIDNFEWNDGYTVRFGLIYVDYSDNLKRHLKRSAEWFKNFLKKYL >ONH98309 pep chromosome:Prunus_persica_NCBIv2:G7:20772808:20775089:1 gene:PRUPE_7G242000 transcript:ONH98309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGLVLSTIGMGAAALCERKRLAVAKANGGPNPLHISVFFLLPSKFILVGSANAFVYTGQLDFFITGSPKGMKTMSTGLFASTLSFGFFFSSLLVSIVNKVTGGKDG >ONH96076 pep chromosome:Prunus_persica_NCBIv2:G7:13476046:13476719:-1 gene:PRUPE_7G105900 transcript:ONH96076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLNNVCSLDLRIGNVVDELVPAMVSLFRGMPNLCNMLINTKPPLDDPKSNTSGFNTGYWKMQNLAFINQLKDVTIKLFDGSNGVELVRYMLECAQNLEKMLIICLPQNLDDNMRRLDESKKISRATVLFHESRDFLL >ONH96894 pep chromosome:Prunus_persica_NCBIv2:G7:16580348:16584070:1 gene:PRUPE_7G157900 transcript:ONH96894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALHMSASFCRENSLTHYCHSKFLTTQDYQKTLFPHFTRKSQLQQPKNRIKRTSKLVVSATKQRLWISEQQQGQEEEEEEAEEEEEDEEEEEYAYSEDESSLLSLSEKPDRSMAMLDEYEIEELDYAIDPNHRSGYVAVLGKPNVGKSTLSNQMVGQKLSIVTDKPQTTRHRILGICSGTDYQMILYDTPGVIEKKMHKLDSMMMKNVRSAVINADCVLVLVDACKVPEKIDEVLEEGVGNQADRLPPTLLVMNKKDLIKPGEIAKKLEWYEKFTNVDEVIPVSAKHGQGVEDVKHWILSKLPFGPAYYPKVNVVSYKTRPTAKDFIQVEIVVEKNSQKIIVIGKEGRALKLLATAARLDIENFLQKKVFLEVEVKVKENWRQDEVLLKNYGYGGQIQAL >ONH96893 pep chromosome:Prunus_persica_NCBIv2:G7:16580348:16584070:1 gene:PRUPE_7G157900 transcript:ONH96893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALHMSASFCRENSLTHYCHSKFLTTQDYQKTLFPHFTRKSQLQQPKNRIKRTSKLVVSATKQRLWISEQQQGQEEEEEEAEEEEEDEEEEEYAYSEDESSLLSLSEKPDRSMAMLDEYEIEELDYAIDPNHRSGYVAVLGKPNVGKSTLSNQMVGQKLSIVTDKPQTTRHRILGICSGTDYQMILYDTPGVIEKKMHKLDSMMMKNVRSAVINADCVLVLVDACKVPEKIDEVLEEGVGNQADRLPPTLLVMNKKDLIKPGEIAKKLEWYEKFTNVDEVIPVSAKHGQGVEDVKHWILSKLPFGPAYYPKDIVSEHPERFFVSEIVREKIFMQYRKEIPYVCQVNVVSYKTRPTAKDFIQVEIVVEKNSQKIIVIGKEGRALKLLATAARLDIENFLQKKVFLEVEVKVKENWRQDEVLLKNYGYGGQIQAL >ONH98151 pep chromosome:Prunus_persica_NCBIv2:G7:20331627:20334868:1 gene:PRUPE_7G232700 transcript:ONH98151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRVSPPLSPLQNPNFNHTHFSTPIKIPNFRVLNLHPLSRTHFTPPIKTANNKTHFKKLACNALKDSGEETKAVSDRGGGGDDGGGGGGGDGGRDDEQVEKKSGPFPEWLNITTDDAKTVFAAIAVSLAFRSFIAEPRYIPSLSMYPTLDVGDRIVAEKVTYYFRKPCANDVVIFKSPPVLQQVGYTDYDVFIKRVVAKEGDIVEVRNGKLIVNGVERNEKFILEPPSYNMTPIRVPENSVFVMGDNRNNSYDSHVWGPLPAKNILGRSLFRYWPPKRIGATVLETGCAADKQESVPVSLRKEGADKQDSIPVSQQKEGADKKDSVPASQQKKSVSLN >ONH98152 pep chromosome:Prunus_persica_NCBIv2:G7:20331843:20334124:1 gene:PRUPE_7G232700 transcript:ONH98152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRVSPPLSPLQNPNFNHTHFSTPIKIPNFRVLNLHPLSRTHFTPPIKTANNKTHFKKLACNALKDSGEETKAVSDRGGGGDDGGGGGGGDGGRDDEQVEKKSGPFPEWLNITTDDAKTVFAAIAVSLAFRSFIAEPRYIPSLSMYPTLDVGDRIVAEKVTYYFRKPCANDVVIFKSPPVLQQVGYTDYDVFIKRVVAKEGDIVEVRNGKLIVNGVERNEKFILEPPSYNMTPIRVPENSVFVMGDNRNNSYDSHVW >ONH95623 pep chromosome:Prunus_persica_NCBIv2:G7:11625267:11633254:1 gene:PRUPE_7G081000 transcript:ONH95623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLPQRNDQFSNGSSPIYSLSSPNGFWSKHRDDVSYNQLQKFWSELLPQARQKLLIIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLKQEGTDGQISCNRSRASKNQKDGGSSITNGCHDEIPDPSVHPWGGLTITREGSLTLIDCYLYCKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRLRREPRCTNWFCVADSAFQYEVSDGTVQADWRHTFADTVGTYHHFEWAVGTGEGKSDILEFENVGMNGSVKVNGLDLGGLSACFITLRAWKLDGRCTELSVKAHALKGQQCVHCRLIVGDGYVTITRGETIRRFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKDIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKEKDKDKKCSEANQTLDLHDVSKEESSSLIADEEPNSSISCKDSVSEAGDDILSRPGSPDTPDEQFQNDYIISKIEDPCYDSFDAEIINGKSGTGSFIAEQSKFSRRRLKFRREVQLDASLKWSDRRRYAAVSDSASVVNRSESRCNGDNLETPSRGINGSNRQLRVNGPKSNGRHCGPKFTEKFLSPGNRMSDRYDFHSCNCNKNTEYRAKVEPHVSAARVGWETKTASKSESALDISKQFYRGNRYNQVEHMRDSCARPKSKVNSGDNPGTDLPQPRKIWEPVEPTKKYPRSNSDSDVTLRSSAFKSEDKNMKSSGDICTGDIVVNSGEVDEDNNLKELRKSSIGMDVSCQNGFHAGAQDSIDTALNGISDSMVGSSSNSDNCSSCLSEGDSNTTSSNHGNQESSSTSDSEDASQKSGGKETSLSIQNGFPECHGMENNQDAKRGESMESRALSGPSLNGAGSNILGNPSTNIAQRFDNGLSAISVGSQHHGMLTPMHNQNVHFPLFQAPSMGYYHQSSVSWPAAPTSGMMSFPHPNHYLYAGPLGYGMNGNSGFCMPYSPVQHVPTPLFTPGPVPIYPAINTEEQTQISNPGVQESLYEANTESVDPSGPYSMQAPASGERAEDDNSGRLHTSNDSFSLFHYGGPLADPPGCNSNLMPLEEQTVGDFPQKCSDHVENDHHACNKKEATIEEYNLFAASNGIRFSFF >ONH95626 pep chromosome:Prunus_persica_NCBIv2:G7:11625355:11633254:1 gene:PRUPE_7G081000 transcript:ONH95626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSVKVNGLDLGGLSACFITLRAWKLDGRCTELSVKAHALKGQQCVHCRLIVGDGYVTITRGETIRRFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKDIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKEKDKDKKCSEANQTLDLHDVSKEESSSLIADEEPNSSISCKDSVSEAGDDILSRPGSPDTPDEQFQNDYIISKIEDPCYDSFDAEIINGKSGTGSFIAEQSKFSRRRLKFRREVQLDASLKWSDRRRYAAVSDSASVVNRSESRCNGDNLETPSRGINGSNRQLRVNGPKSNGRHCGPKFTEKFLSPGNRMSDRYDFHSCNCNKNTEYRAKVEPHVSAARVGWETKTASKSESALDISKQFYRGNRYNQVEHMRDSCARPKSKVNSGDNPGTDLPQPRKIWEPVEPTKKYPRSNSDSDVTLRSSAFKSEDKNMKSSGDICTGDIVVNSGEVDEDNNLKELRKSSIGMDVSCQNGFHAGAQDSIDTALNGISDSMVGSSSNSDNCSSCLSEGDSNTTSSNHGNQESSSTSDSEDASQKSGGKETSLSIQNGFPECHGMENNQDAKRGESMESRALSGPSLNGAGSNILGNPSTNIAQRFDNGLSAISVGSQHHGMLTPMHNQNVHFPLFQAPSMGYYHQSSVSWPAAPTSGMMSFPHPNHYLYAGPLGYGMNGNSGFCMPYSPVQHVPTPLFTPGPVPIYPAINTEEQTQISNPGVQESLYEANTESVDPSGPYSMQAPASGERAEDDNSGRLHTSNDSFSLFHYGGPLADPPGCNSNLMPLEEQTVGDFPQKCSDHVENDHHACNKKEATIEEYNLFAASNGIRFSFF >ONH95625 pep chromosome:Prunus_persica_NCBIv2:G7:11625267:11633254:1 gene:PRUPE_7G081000 transcript:ONH95625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCSRCNGLLLEGFLQIVMYGKSLKQEGTDGQISCNRSRASKNQKDGGSSITNGCHDEIPDPSVHPWGGLTITREGSLTLIDCYLYCKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRLRREPRCTNWFCVADSAFQYEVSDGTVQADWRHTFADTVGTYHHFEWAVGTGEGKSDILEFENVGMNGSVKVNGLDLGGLSACFITLRAWKLDGRCTELSVKAHALKGQQCVHCRLIVGDGYVTITRGETIRRFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKDIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKEKDKDKKCSEANQTLDLHDVSKEESSSLIADEEPNSSISCKDSVSEAGDDILSRPGSPDTPDEQFQNDYIISKIEDPCYDSFDAEIINGKSGTGSFIAEQSKFSRRRLKFRREVQLDASLKWSDRRRYAAVSDSASVVNRSESRCNGDNLETPSRGINGSNRQLRVNGPKSNGRHCGPKFTEKFLSPGNRMSDRYDFHSCNCNKNTEYRAKVEPHVSAARVGWETKTASKSESALDISKQFYRGNRYNQVEHMRDSCARPKSKVNSGDNPGTDLPQPRKIWEPVEPTKKYPRSNSDSDVTLRSSAFKSEDKNMKSSGDICTGDIVVNSGEVDEDNNLKELRKSSIGMDVSCQNGFHAGAQDSIDTALNGISDSMVGSSSNSDNCSSCLSEGDSNTTSSNHGNQESSSTSDSEDASQKSGGKETSLSIQNGFPECHGMENNQDAKRGESMESRALSGPSLNGAGSNILGNPSTNIAQRFDNGLSAISVGSQHHGMLTPMHNQNVHFPLFQAPSMGYYHQSSVSWPAAPTSGMMSFPHPNHYLYAGPLGYGMNGNSGFCMPYSPVQHVPTPLFTPGPVPIYPAINTEEQTQISNPGVQESLYEANTESVDPSGPYSMQAPASGERAEDDNSGRLHTSNDSFSLFHYGGPLADPPGCNSNLMPLEEQTVGDFPQKCSDHVENDHHACNKKEATIEEYNLFAASNGIRFSFF >ONH95624 pep chromosome:Prunus_persica_NCBIv2:G7:11625983:11632793:1 gene:PRUPE_7G081000 transcript:ONH95624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFFVSCFINDFNGHFELELDLALPSYLMEVLLRLYLCDCLLEFWSELLPQARQKLLIIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLKQEGTDGQISCNRSRASKNQKDGGSSITNGCHDEIPDPSVHPWGGLTITREGSLTLIDCYLYCKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRLRREPRCTNWFCVADSAFQYEVSDGTVQADWRHTFADTVGTYHHFEWAVGTGEGKSDILEFENVGMNGSVKVNGLDLGGLSACFITLRAWKLDGRCTELSVKAHALKGQQCVHCRLIVGDGYVTITRGETIRRFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKDIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKEKDKDKKCSEANQTLDLHDVSKEESSSLIADEEPNSSISCKDSVSEAGDDILSRPGSPDTPDEQFQNDYIISKIEDPCYDSFDAEIINGKSGTGSFIAEQSKFSRRRLKFRREVQLDASLKWSDRRRYAAVSDSASVVNRSESRCNGDNLETPSRGINGSNRQLRVNGPKSNGRHCGPKFTEKFLSPGNRMSDRYDFHSCNCNKNTEYRAKVEPHVSAARVGWETKTASKSESALDISKQFYRGNRYNQVEHMRDSCARPKSKVNSGDNPGTDLPQPRKIWEPVEPTKKYPRSNSDSDVTLRSSAFKSEDKNMKSSGDICTGDIVVNSGEVDEDNNLKELRKSSIGMDVSCQNGFHAGAQDSIDTALNGISDSMVGSSSNSDNCSSCLSEGDSNTTSSNHGNQESSSTSDSEDASQKSGGKETSLSIQNGFPECHGMENNQDAKRGESMESRALSGPSLNGAGSNILGNPSTNIAQRFDNGLSAISVGSQHHGMLTPMHNQNVHFPLFQAPSMGYYHQSSVSWPAAPTSGMMSFPHPNHYLYAGPLGYGMNGNSGFCMPYSPVQHVPTPLFTPGPVPIYPAINTEEQTQISNPGVQESLYEANTESVDPSGPYSMQAPASGERAEDDNSGRLHTSNDSFSLFHYGGPLADPPGCNSNLMPLEEQTVGDFPQKCSDHVENDHHACNKKEATIEEYNLFAASNGIRFSFF >ONH97065 pep chromosome:Prunus_persica_NCBIv2:G7:17090158:17090478:-1 gene:PRUPE_7G167900 transcript:ONH97065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHLCIPRMRSNVNSCSNKTKRLSPMTLLERFREAVFRLIMLSAVSSNKSTSHAGRSSPDAQRYYCPHDDPHHSEAVADCIEFIKKKASPEDGNRGSSASSSKLH >ONH97158 pep chromosome:Prunus_persica_NCBIv2:G7:17359569:17361011:1 gene:PRUPE_7G172700 transcript:ONH97158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKKITLKSSDGESFEVEEAVALESQTIKHMIEDDCADNGIPLPNVTSKILAKVIEYCKKHVDAAKPDEKISEDDLKAWDQDFVKAANYLNIKLLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >ONH97157 pep chromosome:Prunus_persica_NCBIv2:G7:17359450:17361011:1 gene:PRUPE_7G172700 transcript:ONH97157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKKITLKSSDGESFEVEEAVALESQTIKHMIEDDCADNGIPLPNVTSKILAKVIEYCKKHVDAAKPDEKISEDDLKAWDQDFVKVDQATLFDLILAANYLNIKLLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >ONH96657 pep chromosome:Prunus_persica_NCBIv2:G7:15794761:15798395:1 gene:PRUPE_7G143900 transcript:ONH96657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLRREIGNPTKRFSSSTPHFLMMIPKGTSGDMKLKFLRKYGDDLSNPVLLKLPNGSKWNIELRRWEGEAWFDKGWPEFSEFYSLEHCHSLVFRYEGNSKFHICIFDKSFVEIEYPLTMPDRKETDADDDSSDESDDHSDDASLEILDKFPPCPRKSKEKSSLPCPRPHKKKRTSSSGNVDYPAKRHDRGTSSTPRFLKQTQVVRGRMHPLTTSGKALALQRAKAYKSDKPSFIVPMHHSYIGRHPMWLTSDFSIFMGHLSKNSANVILWDLGGRAWVVEFIAKPRAKFQSGWHEFVCGNNLNINDVCVFVLIDDTRLVFEVVIFRAVEAANCTLLPDVDGEETDEDDDSVDSLDELPPCSKTRKISPIAPQPHKKNRTCSTSKAKNIEHVCGSSKTRKFVIRRPEVPRMMHPVAASGKDRALLRANAYKSKYPSFTAAIHPTNICGCYLALPAKFVKEHLNQAHDKVILRVSDERTWHVNLCKYGRAFRLQRWMRFVRENHLEVDDVCVFELINNIKPLFNVALFRAKQEKLKEQPDG >ONH96656 pep chromosome:Prunus_persica_NCBIv2:G7:15794933:15798395:1 gene:PRUPE_7G143900 transcript:ONH96656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLRREIGNPTKRFSSSTPHFLMMIPKGTSGDMKLSIPKKFLRKYGDDLSNPVLLKLPNGSKWNIELRRWEGEAWFDKGWPEFSEFYSLEHCHSLVFRYEGNSKFHICIFDKSFVEIEYPLTMPDRKETDADDDSSDESDDHSDDASLEILDKFPPCPRKSKEKSSLPCPRPHKKKRTSSSGNVDYPAKRHDRGTSSTPRFLKQTQVVRGRMHPLTTSGKALALQRAKAYKSDKPSFIVPMHHSYIGRHPMWLTSDFSIFMGHLSKNSANVILWDLGGRAWVVEFIAKPRAKFQSGWHEFVCGNNLNINDVCVFVLIDDTRLVFEVVIFRAVEAANCTLLPDVDGEETDEDDDSVDSLDELPPCSKTRKISPIAPQPHKKNRTCSTSKAKNIEHVCGSSKTRKFVIRRPEVPRMMHPVAASGKDRALLRANAYKSKYPSFTAAIHPTNICGCYLALPAKFVKEHLNQAHDKVILRVSDERTWHVNLCKYGRAFRLQRWMRFVRENHLEVDDVCVFELINNIKPLFNVALFRAKQEKLKEQPDG >ONH96654 pep chromosome:Prunus_persica_NCBIv2:G7:15794933:15798395:1 gene:PRUPE_7G143900 transcript:ONH96654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLRREIGNPTKRFSSSTPHFLMMIPKGTSGDMKLSDDTFCAGQSIPKKFLRKYGDDLSNPVLLKLPNGSKWNIELRRWEGEAWFDKGWPEFSEFYSLEHCHSLVFRYEGNSKFHICIFDKSFVEIEYPLTMPDRKETDADDDSSDESDDHSDDASLEILDKFPPCPRKSKEKSSLPCPRPHKKKRTSSSGNVDYPAKRHDRGTSSTPRFLKQTQVVRGRMHPLTTSGKALALQRAKAYKSDKPSFIVPMHHSYIGRHPMWLTSDFSIFMGHLSKNSANVILWDLGGRAWVVEFIAKPRAKFQSGWHEFVCGNNLNINDVCVFVLIDDTRLVFEVVIFRAVEAANCTLLPDVDGEETDEDDDSVDSLDELPPCSKTRKISPIAPQPHKKNRTCSTSKAKNIEHVCGSSKTRKFVIRRPEVPRMMHPVAASGKDRALLRANAYKSKYPSFTAAIHPTNICGCYLALPAKFVKEHLNQAHDKVILRVSDERTWHVNLCKYGRAFRLQRWMRFVRENHLEVDDVCVFELINNIKPLFNVALFRAKQEKLKEQPDG >ONH96655 pep chromosome:Prunus_persica_NCBIv2:G7:15794933:15798395:1 gene:PRUPE_7G143900 transcript:ONH96655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLRREIGNPTKRFSSSTPHFLMMIPKGTSGDMKLSDDTFCAGQSIPKKFLRKYGDDLSNPVLLKLPNGSKWNIELRRWEGEAWFDKGWPEFSEFYSLEHCHSLVFRYEGNSKFHICIFDKSFVEIEYPLTMPDRKETDADDDSSDESDDHSDDASLEILDKFPPCPRKSKEKSSLPCPRPHKKKRTSSSGNVDYPAKRHDRGTSSTPRFLKQTQVVRGRMHPLTTSGKALALQRAKAYKSDKPSFIVPMHHSYIGRHPMWLTSDFSIFMGHLSKNSANVILWDLGGRAWVVEFIAKPRAKFQSGWHEFVCGNNLNINDVCVFVLIDDTRLVFEVVIFRAVEAANCTLLPDSLDELPPCSKTRKISPIAPQPHKKNRTCSTSKAKNIEHVCGSSKTRKFVIRRPEVPRMMHPVAASGKDRALLRANAYKSKYPSFTAAIHPTNICGCYLALPAKFVKEHLNQAHDKVILRVSDERTWHVNLCKYGRAFRLQRWMRFVRENHLEVDDVCVFELINNIKPLFNVALFRAKQEKLKEQPDG >ONH97175 pep chromosome:Prunus_persica_NCBIv2:G7:17404081:17407805:-1 gene:PRUPE_7G174000 transcript:ONH97175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDLCKFVVLLFFLSILGLQSCHGRIFSFKMHHRFSDPVKEWSAVSGKLSPADNLPAKGSFEYYSELARRDRFLRGRKLAQSDQSDTTTPLAFSDGNSTFRISSLGFLHYTTVQLGTPGMKFMVALDTGSDLFWVPCEGTAYAPDFEVSKYDPEGSSTSKRVSCNNSLCAHRNRCMGSFNNCPYMVSYVSAETSTSGILVEDVLHLKTEDSHRELVEAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKISVPSILSREGFTADSFSMCFGHDGVGRINFGDKGSPDQEETPFNVNPSHPTYNISVTQIRVGTDLMDIDFTALFDSGTSFTYLGDPTYTRLSESFNSLARDKRRPPDPRIPFEYCYDMSSDANASFIPSLSLTMKGGSQFAVYDPIIVISTQSELVYCLAVVKSTQLNIIGQNYMTGYNVVFDREKFVLGWKKFDCYDVENHTSLPFKPNSTNVPPAVAVGLGHHSTPESTKKTRNSQTSAASLPYHSHFPLTWFRPTYTSWLGESCNTSVTQLMGRPAFCSLPWINLTFSM >ONH97177 pep chromosome:Prunus_persica_NCBIv2:G7:17404160:17407800:-1 gene:PRUPE_7G174000 transcript:ONH97177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDLCKFVVLLFFLSILGLQSCHGRIFSFKMHHRFSDPVKEWSAVSGKLSPADNLPAKGSFEYYSELARRDRFLRGRKLAQSDQSDTTTPLAFSDGNSTFRISSLGFLHYTTVQLGTPGMKFMVALDTGSDLFWVPCEGTAYAPDFEVSKYDPEGSSTSKRVSCNNSLCAHRNRCMGSFNNCPYMVSYVSAETSTSGILVEDVLHLKTEDSHRELVEAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKISVPSILSREGFTADSFSMCFGHDGVGRINFGDKGSPDQEETPFNVNPSHPTYNISVTQIRVGTDLMDIDFTALFDSGTSFTYLGDPTYTRLSESFNSLARDKRRPPDPRIPFEYCYDMSSDANASFIPSLSLTMKGGSQFAVYDPIIVISTQSELVYCLAVVKSTQLNIIGQNYMTGYNVVFDREKFVLGWKKFDCRL >ONH97176 pep chromosome:Prunus_persica_NCBIv2:G7:17404145:17407756:-1 gene:PRUPE_7G174000 transcript:ONH97176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDLCKFVVLLFFLSILGLQSCHGRIFSFKMHHRFSDPVKEWSAVSGKLSPADNLPAKGSFEYYSELARRDRFLRGRKLAQSDQSDTTTPLAFSDGNSTFRISSLGFLHYTTVQLGTPGMKFMVALDTGSDLFWVPCEGTAYAPDFEVSKYDPEGSSTSKRVSCNNSLCAHRNRCMGSFNNCPYMVSYVSAETSTSGILVEDVLHLKTEDSHRELVEAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKISVPSILSREGFTADSFSMCFGHDGVGRINFGDKGSPDQEETPFNVNPSHPTYNISVTQIRVGTDLMDIDFTALFDSGTSFTYLGDPTYTRLSESFNSLARDKRRPPDPRIPFEYCYDMSSDANASFIPSLSLTMKGGSQFAVYDPIIVISTQSELVYCLAVVKSTQLNIIGQNYMTGYNVVFDREKFVLGWKKFDCYDVENHTSLPFKPNSTNVPPAVAVGLGHHSTPESTKKTRNSQTSAASLPYHSHFPLTWFRFVILLFVLL >ONH97174 pep chromosome:Prunus_persica_NCBIv2:G7:17403440:17407800:-1 gene:PRUPE_7G174000 transcript:ONH97174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDLCKFVVLLFFLSILGLQSCHGRIFSFKMHHRFSDPVKEWSAVSGKLSPADNLPAKGSFEYYSELARRDRFLRGRKLAQSDQSDTTTPLAFSDGNSTFRISSLGFLHYTTVQLGTPGMKFMVALDTGSDLFWVPCEGTAYAPDFEVSKYDPEGSSTSKRVSCNNSLCAHRNRCMGSFNNCPYMVSYVSAETSTSGILVEDVLHLKTEDSHRELVEAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKISVPSILSREGFTADSFSMCFGHDGVGRINFGDKGSPDQEETPFNVNPSHPTYNISVTQIRVGTDLMDIDFTALFDSGTSFTYLGDPTYTRLSESFNSLARDKRRPPDPRIPFEYCYDMSSDANASFIPSLSLTMKGGSQFAVYDPIIVISTQSELVYCLAVVKSTQLNIIGQNYMTGYNVVFDREKFVLGWKKFDCYDVENHTSLPFKPNSTNVPPAVAVGLGHHSTPESTKKTRNSQTSAASLPYHSHFPLTWFRWT >ONH97178 pep chromosome:Prunus_persica_NCBIv2:G7:17404734:17407342:-1 gene:PRUPE_7G174000 transcript:ONH97178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLFGSAPWDCFCSLHYTTVQLGTPGMKFMVALDTGSDLFWVPCEGTAYAPDFEVSKYDPEGSSTSKRVSCNNSLCAHRNRCMGSFNNCPYMVSYVSAETSTSGILVEDVLHLKTEDSHRELVEAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKISVPSILSREGFTADSFSMCFGHDGVGRINFGDKGSPDQEETPFNVNPSHPTYNISVTQIRVGTDLMDIDFTALFDSGTSFTYLGDPTYTRLSESFNSLARDKRRPPDPRIPFEYCYDMSSDANASFIPSLSLTMKGGSQFAVYDPIIVISTQSELVYCLAVVKSTQLNIIGQNYMTGYNVVFDREKFVLGWKKFDCRL >ONH98832 pep chromosome:Prunus_persica_NCBIv2:G7:22059090:22060876:1 gene:PRUPE_7G267700 transcript:ONH98832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGEKGTGTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTTRRNLADGA >ONH97133 pep chromosome:Prunus_persica_NCBIv2:G7:17290417:17292855:-1 gene:PRUPE_7G171400 transcript:ONH97133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPTRTRGLTLQHQQQQSRARWTTHLTEILVNLMVDQVHKGNRKNHNFGKKAWKYMCDEFHKRTGLKWDKEQLKNRGAVLRRIYVTVTSLLDRSDFSWDESTGAIVASDEVWAEYVKEHPDSETLKVSGCPIYKELCTIFSEPPTNGKHDHPAEHEGGDPNSRPPEQEVSSSDSEEANDAINDQETIQPSTPSTTGIRKRGRKGIDDAIAGAILEMAAASKLRTAATQQHNARYTIANCIAELDKMQGVDEQVYFAALDLFNKPIAREVFLSLKGEKRLIWLLRKCTSDPVH >ONH97127 pep chromosome:Prunus_persica_NCBIv2:G7:17290417:17292742:-1 gene:PRUPE_7G171400 transcript:ONH97127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANRPTRTRGLTLQHQQQQSRARWTTHLTEILVNLMVDQVHKGNRKNHNFGKKAWKYMCDEFHKRTGLKWDKEQLKNRGAVLRRIYVTVTSLLDRSDFSWDESTGAIVASDEVWAEYVKEHPDSETLKVSGCPIYKELCTIFSEPPTNGKHDHPAEHEGGDPNSRPPEQEVSSSDSEEANDAINDQETIQPSTPSTTGIRKRGRKGIDDAIAGAILEMAAASKLRTAATQQHNARYTIANCIAELDKMQGVDEQVYFAALDLFNKPIAREVFLSLKGEKRLIWLLRKCTSDPVH >ONH97126 pep chromosome:Prunus_persica_NCBIv2:G7:17290417:17292725:-1 gene:PRUPE_7G171400 transcript:ONH97126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANRPTRTRGLTLQHQQQQSRARWTTHLTEILVNLMVDQVHKGNRKNHNFGKKAWKYMCDEFHKRTGLKWDKEQLKNRGAVLRRIYVTVTSLLDRSDFSWDESTGAIVASDEVWAEYVKEHPDSETLKVSGCPIYKELCTIFSEPPTNGKHDHPAEHEGGDPNSRPPEQEVSSSDSEEANDAINDQETIQPSTPSTTGIRKRGRKGIDDAIAGAILEMAAASKLRTAATQQHNARYTIANCIAELDKMQGVDEQVYFAALDLFNKPIAREVFLSLKGEKRLIWLLRKCTSDPVH >ONH97134 pep chromosome:Prunus_persica_NCBIv2:G7:17290417:17292725:-1 gene:PRUPE_7G171400 transcript:ONH97134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRPTRTRGLTLQHQQQQSRARWTTHLTEILVNLMVDQVHKGNRKNHNFGKKAWKYMCDEFHKRTGLKWDKEQLKNRGAVLRRIYVTVTSLLDRSDFSWDESTGAIVASDEVWAEYVKQEHPDSETLKVSGCPIYKELCTIFSEPPTNGKHDHPAEHEGGDPNSRPPEQEVSSSDSEEANDAINDQETIQPSTPSTTGIRKRGRKGIDDAIAGAILEMAAASKLRTAATQQHNARYTIANCIAELDKMQGVDEQVYFAALDLFNKPIAREVFLSLKGEKRLIWLLRKCTSDPVH >ONH97129 pep chromosome:Prunus_persica_NCBIv2:G7:17290417:17292725:-1 gene:PRUPE_7G171400 transcript:ONH97129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANRPTRTRGLTLQHQQQQSRARWTTHLTEILVNLMVDQVHKGNRKNHNFGKKAWKYMCDEFHKRTGLKWDKEQLKNRGAVLRRIYVTVTSLLDRSDFSWDESTGAIVASDEVWAEYVKEHPDSETLKVSGCPIYKELCTIFSEPPTNGKHDHPAEHEGGDPNSRPPEQEVSSSDSEEANDAINDQETIQPSTPSTTGIRKRGRKGIDDAIAGAILEMAAASKLRTAATQQHNARYTIANCIAELDKMQGVDEQVYFAALDLFNKPIAREVFLSLKGEKRLIWLLRKCTSDPVH >ONH97131 pep chromosome:Prunus_persica_NCBIv2:G7:17290915:17292041:-1 gene:PRUPE_7G171400 transcript:ONH97131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANRPTRTRGLTLQHQQQQSRARWTTHLTEILVNLMVDQVHKGNRKNHNFGKKAWKYMCDEFHKRTGLKWDKEQLKNRGAVLRRIYVTVTSLLDRSDFSWDESTGAIVASDEVWAEYVKQEHPDSETLKVSGCPIYKELCTIFSEPPTNGKHDHPAEHEGGDPNSRPPEQEVSSSDSEEANDAINDQETIQPSTPSTTGIRKRGRKGIDDAIAGAILEMAAASKLRTAATQQHNARYTIANCIAELDKMQGVDEQVYFAALDLFNKPIAREVFLSLKGEKRLIWLLRKCTSDPVH >ONH97128 pep chromosome:Prunus_persica_NCBIv2:G7:17290417:17292799:-1 gene:PRUPE_7G171400 transcript:ONH97128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANRPTRTRGLTLQHQQQQSRARWTTHLTEILVNLMVDQVHKGNRKNHNFGKKAWKYMCDEFHKRTGLKWDKEQLKNRGAVLRRIYVTVTSLLDRSDFSWDESTGAIVASDEVWAEYVKEHPDSETLKVSGCPIYKELCTIFSEPPTNGKHDHPAEHEGGDPNSRPPEQEVSSSDSEEANDAINDQETIQPSTPSTTGIRKRGRKGIDDAIAGAILEMAAASKLRTAATQQHNARYTIANCIAELDKMQGVDEQVYFAALDLFNKPIAREVFLSLKGEKRLIWLLRKCTSDPVH >ONH97132 pep chromosome:Prunus_persica_NCBIv2:G7:17290915:17292041:-1 gene:PRUPE_7G171400 transcript:ONH97132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANRPTRTRGLTLQHQQQQSRARWTTHLTEILVNLMVDQVHKGNRKNHNFGKKAWKYMCDEFHKRTGLKWDKEQLKNRGAVLRRIYVTVTSLLDRSDFSWDESTGAIVASDEVWAEYVKQEHPDSETLKVSGCPIYKELCTIFSEPPTNGKHDHPAEHEGGDPNSRPPEQEVSSSDSEEANDAINDQETIQPSTPSTTGIRKRGRKGIDDAIAGAILEMAAASKLRTAATQQHNARYTIANCIAELDKMQGVDEQVYFAALDLFNKPIAREVFLSLKGEKRLIWLLRKCTSDPVH >ONH97130 pep chromosome:Prunus_persica_NCBIv2:G7:17290915:17292041:-1 gene:PRUPE_7G171400 transcript:ONH97130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANRPTRTRGLTLQHQQQQSRARWTTHLTEILVNLMVDQVHKGNRKNHNFGKKAWKYMCDEFHKRTGLKWDKEQLKNRGAVLRRIYVTVTSLLDRSDFSWDESTGAIVASDEVWAEYVKEHPDSETLKVSGCPIYKELCTIFSEPPTNGKHDHPAEHEGGDPNSRPPEQEVSSSDSEEANDAINDQETIQPSTPSTTGIRKRGRKGIDDAIAGAILEMAAASKLRTAATQQHNARYTIANCIAELDKMQGVDEQVYFAALDLFNKPIAREVFLSLKGEKRLIWLLRKCTSDPVH >ONH96887 pep chromosome:Prunus_persica_NCBIv2:G7:16554836:16558422:1 gene:PRUPE_7G157400 transcript:ONH96887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGGEGSGKNSGFPHVVLNERILSSMSRRSVAAHPWHDLEIGPGAPAVFNAVVEISKGSKVKYELDKTTGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHTKDIKDLPPHRLAEIRRFFEDYKKNENKEVAVEDFLPAEAAIDAIKYSMDLYASYIVESLRQ >ONH94685 pep chromosome:Prunus_persica_NCBIv2:G7:4328247:4331329:-1 gene:PRUPE_7G026400 transcript:ONH94685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNINQTLLTKEKSVQENGVEDKEEDENLPLKNRVWRETKTMWVVAGPAIFTRVSTFGINVISQAFIGHIGSTQLAAFSLVFTVLVRFANGILLGMASALETLCGQSYGAKQYHMLGIHLQRSWVVLFFSTLLLIPLCIFTTPILEALGQKDNISEEAGYISLWVIPVLFAFVVSFTCQMYLQAQSKNMIIAYVSAISIAIHILLSWLFTVKFKWGVAGVMVSTIIAYWLPNIGQLLFVLCGGCPGTWTGFSTLAFTDLWDVLKLSLSSGVMLCLELWYNTILVLLTGNMKNAEVSIDALSICLNIVGWEMMISLGFLAAASVRVSNELGRGSAKAAKFSVKVIVSISFAIGFVLFLLFLFLRERLAYIFTNDEEVATMVSNLSPLLAFSILLNSVQPVLSGVALGAGWQSIVAYVNLASYYLIGIPVGVVLGYPLKLQLEGVWIGMLFGTLVQTVVLLILTYKTDWDKQVTIARSRVSKWEVRDIGESSPET >ONH94683 pep chromosome:Prunus_persica_NCBIv2:G7:4327884:4332191:-1 gene:PRUPE_7G026400 transcript:ONH94683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNINQTLLTKEKSVQENGVEDKEEDENLPLKNRVWRETKTMWVVAGPAIFTRVSTFGINVISQAFIGHIGSTQLAAFSLVFTVLVRFANGILLGMASALETLCGQSYGAKQYHMLGIHLQRSWVVLFFSTLLLIPLCIFTTPILEALGQKDNISEEAGYISLWVIPVLFAFVVSFTCQMYLQAQSKNMIIAYVSAISIAIHILLSWLFTVKFKWGVAGVMVSTIIAYWLPNIGQLLFVLCGGCPGTWTGFSTLAFTDLWDVLKLSLSSGVMLCLNIVGWEMMISLGFLAAASVRVSNELGRGSAKAAKFSVKVIVSISFAIGFVLFLLFLFLRERLAYIFTNDEEVATMVSNLSPLLAFSILLNSVQPVLSGVALGAGWQSIVAYVNLASYYLIGIPVGVVLGYPLKLQLEGVWIGMLFGTLVQTVVLLILTYKTDWDKQVTIARSRVSKWEVRDIGESSPET >ONH94687 pep chromosome:Prunus_persica_NCBIv2:G7:4327856:4332235:-1 gene:PRUPE_7G026400 transcript:ONH94687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNQDDVGGGWPCYLHQSFHIWNKCHQSSLHWSHWLYTTSCIFACIHRTCQLGMASALETLCGQSYGAKQYHMLGIHLQRSWVVLFFSTLLLIPLCIFTTPILEALGQKDNISEEAGYISLWVIPVLFAFVVSFTCQMYLQAQSKNMIIAYVSAISIAIHILLSWLFTVKFKWGVAGVMVSTIIAYWLPNIGQLLFVLCGGCPGTWTGFSTLAFTDLWDVLKLSLSSGVMLCLELWYNTILVLLTGNMKNAEVSIDALSICLNIVGWEMMISLGFLAAASVRVSNELGRGSAKAAKFSVKVIVSISFAIGFVLFLLFLFLRERLAYIFTNDEEVATMVSNLSPLLAFSILLNSVQPVLSGVALGAGWQSIVAYVNLASYYLIGIPVGVVLGYPLKLQLEGVWIGMLFGTLVQTVVLLILTYKTDWDKQVTIARSRVSKWEVRDIGESSPET >ONH94688 pep chromosome:Prunus_persica_NCBIv2:G7:4327858:4332372:-1 gene:PRUPE_7G026400 transcript:ONH94688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNINQTLLTKEKSVQENGVEDKEEDENLPLKNRVWRETKTMWVVAGPAIFTRVSTFGINVISQAFIGHIGSTQLAAFSLVFTVLVRFANGILLGMASALETLCGQSYGAKQYHMLGIHLQRSWVVLFFSTLLLIPLCIFTTPILEALGQKDNISEEAGYISLWVIPVLFAFVVSFTCQMYLQAQSKNMIIAYVSAISIAIHILLSWLFTVKFKWGVAGVMVSTIIAYWLPNIGQLLFVLCGGCPGTWTGFSTLAFTDLWDVLKLSLSSGVMLCLNIVGWEMMISLGFLAAASVRVSNELGRGSAKAAKFSVKVIVSISFAIGFVLFLLFLFLRERLAYIFTNDEEVATMVSNLSPLLAFSILLNSVQPVLSEYCSICELSVLLPYRDSCWGGAWLSSKATTRRCLDWNVVWNISPNCCFTHTDL >ONH94684 pep chromosome:Prunus_persica_NCBIv2:G7:4327857:4332372:-1 gene:PRUPE_7G026400 transcript:ONH94684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNINQTLLTKEKSVQENGVEDKEEDENLPLKNRVWRETKTMWVVAGPAIFTRVSTFGINVISQAFIGHIGSTQLAAFSLVFTVLVRFANGILLGMASALETLCGQSYGAKQYHMLGIHLQRSWVVLFFSTLLLIPLCIFTTPILEALGQKDNISEEAGYISLWVIPVLFAFVVSFTCQMYLQAQSKNMIIAYVSAISIAIHILLSWLFTVKFKWGVAGVMVSTIIAYWLPNIGQLLFVLCGGCPGTWTGFSTLAFTDLWDVLKLSLSSGVMLCLNIVGWEMMISLGFLAAASVRVSNELGRGSAKAAKFSVKVIVSISFAIGFVLFLLFLFLRERLAYIFTNDEEVATMVSNLSPLLAFSILLNSVQPVLSGVALGAGWQSIVAYVNLASYYLIGIPVGVVLGYPLKLQLEGVWIGMLFGTLVQTVVLLILTYKTDWDKQVTIARSRVSKWEVRDIGESSPET >ONH94689 pep chromosome:Prunus_persica_NCBIv2:G7:4328577:4331329:-1 gene:PRUPE_7G026400 transcript:ONH94689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNINQTLLTKEKSVQENGVEDKEEDENLPLKNRVWRETKTMWVVAGPAIFTRVSTFGINVISQAFIGHIGSTQLAAFSLVFTVLVRFANGILLGMASALETLCGQSYGAKQYHMLGIHLQRSWVVLFFSTLLLIPLCIFTTPILEALGQKDNISEEAGYISLWVIPVLFAFVVSFTCQMYLQAQSKNMIIAYVSAISIAIHILLSWLFTVKFKWGVAGVMVSTIIAYWLPNIGQLLFVLCGGCPGTWTGFSTLAFTDLWDVLKLSLSSGVMLCLELWYNTILVLLTGNMKNAEVSIDALSICLNIVGWEMMISLGFLAAASVRVSNELGRGSAKAAKFSVKVIVSISFAIGFVLFLLFLFLRERLAYIFTNDEEVATMVSNLSPLLAFSILLNSVQPVLSEYCSICELSVLLPYRDSCWGGAWLSSKATTRRCLDWNVVWNISPNCCFTHTDL >ONH94686 pep chromosome:Prunus_persica_NCBIv2:G7:4327853:4332384:-1 gene:PRUPE_7G026400 transcript:ONH94686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNINQTLLTKEKSVQENGVEDKEEDENLPLKNRVWRETKTMWVVAGPAIFTRVSTFGINVISQAFIGHIGSTQLAAFSLVFTVLVRFANGILLGMASALETLCGQSYGAKQYHMLGIHLQRSWVVLFFSTLLLIPLCIFTTPILEALGQKDNISEEAGYISLWVIPVLFAFVVSFTCQMYLQAQSKNMIIAYVSAISIAIHILLSWLFTVKFKWGVAGVMVSTIIAYWLPNIGQLLFVLCGGCPGTWTGFSTLAFTDLWDVLKLSLSSGVMLCLELWYNTILVLLTGNMKNAEVSIDALSICLNIVGWEMMISLGFLAAASVRVSNELGRGSAKAAKFSVKVIVSISFAIGFVLFLLFLFLRERLAYIFTNDEEVATMVSNLSPLLAFSILLNSVQPVLSGVALGAGWQSIVAYVNLASYYLIGIPVGVVLGYPLKLQLEGVWIGMLFGTLVQTVVLLILTYKTDWDKQVTIARSRVSKWEVRDIGESSPET >ONH95714 pep chromosome:Prunus_persica_NCBIv2:G7:12139684:12141989:1 gene:PRUPE_7G086900 transcript:ONH95714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSRFSSLFNLYSRFGFRNVPGVSLYSTATDPTYHHRKCWNGALNNLYGRISRVGDRNACILPILDEWIEEGGNAEKDDLVTIIKELRQFKYYKHALEVSMWMTDKRYFSLMKADVAIRLDLISKVHGIEQAENYFENIPKQLKALEVYCTLLNCYAHVKLVEKAEATMQKMRDLGFARTPLVYNALLNLYYKTGNTDKFDVLLNVMEESGISCDRCTYGIRLSAYAAASDHEGIDKVVAEWESNPGFQVDWINYSVAANGYVKVGNVEKALEMLEKSEKLISSSERPRAVYEHLMTQYAVIGKKDDVVRLWKLYSKHMKIYNKGYIAIITSLLKFGDVESAEKIYEEWESRELTFDIRIPNHLIGAYARRGLFDKAEAFLNRIILKGGKLDTRSWFTLARLYLDRNQIEKAVELMKRALLTEGPQWMHDKGVLAVCLRHMKKRADLEGAEEFIRQLGDNCVIPVSVQERLLDYINKDDSVSRTR >ONH95905 pep chromosome:Prunus_persica_NCBIv2:G7:12746890:12759883:1 gene:PRUPE_7G095000 transcript:ONH95905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPKGKKQQKRGIDFKKIKRKIGKKLPPAKNATNTEIKSKAIILPEQSVASEKAGLAVNKRGLTLKELLQQTSHYSSKVRKEALLGIKDLFKKYPEELRLHKYAVIEKLRERIGDDDDRVARETLHELFKSVIHAGCKEDNQELFVSLMMPYIFNAMTHLAIDVRLMAFTFLELVIQYHPPSFFLYAEKILQNFEDILRRNQFYLEDKKKLKTALAGLGQCLLLLPCNKREVSSLEKNDAGQRMLHAFEPDLPAKSAGCSVIIPKLKDLVPVLVNCFQDFIPEVQRGSLLDQQSFDCMLSILHSINLAVKFFFYMTDEGKLESRPSQEGLDVTMLTISMTLLKKLLVLFPLNMTNQLSERDDVEYFGLNAELTEIFLYLSKWICPPAILLEKVLEFLENSLRRKICPDTRIGKALEKREKWKKREKKEKQERQEKQLKHLISLLPFVPKLVSQVPDDWKYRLLQAFTEAFKDCNHVSPLKLACLSIMEEMLVPRQDVLYLDPSVPEILDFQIAWIRELPMLLILMGDKNPSCSQVVLHLLLRLGQRSLMNSSFAWEYDNMQFSLQPFFSNQNDGDSPFVKLPRDSQELSLCCLFYFSHLDSLLLKSIADCCLCPHLEHHVLFRIIEVLHSSYKSGHIQIADHISFLITLLSSFSVFPGTSDKKEFIESDVNISKESDVTISKESDVIILNRKALKSLTRIVQSCLSEMGDNSLVFQMLEKVILEQMSQIPPLDNLCAMLRMLITLDSKATIISQQAFISLGNILPWYLIDIVHCTAEEDKKVPGSICSSACCYYLTPCFVLFDKSHELLNVVLKTLGSWITKSSLACLTPDQIRSTTEISSRVDAVVSVLQLMHKDDKIWQIISSFKAEIDCILESIIVLQSSKEISMTIEERHMVQCALGRLRTLHSGMQSV >ONH95907 pep chromosome:Prunus_persica_NCBIv2:G7:12750338:12759884:1 gene:PRUPE_7G095000 transcript:ONH95907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAFEPDLPAKSAGCSVIIPKLKDLVPVLVNCFQDFIPEVQRGSLLDQQSFDCMLSILHSINLAVKFFFYMTDEGKLESRPSQEGLDVTMLTISMTLLKKLLVLFPLNMTNQLSERDDVEYFGLNAELTEIFLYLSKWICPPAILLEKVLEFLENSLRRKICPDTRIGKALEKREKWKKREKKEKQERQEKQLKHLISLLPFVPKLVSQVPDDWKYRLLQAFTEAFKDCNHVSPLKLACLSIMEEMLVPRQDVLYLDPSVPEILDFQIAWIRELPMLLILMGDKNPSCSQVVLHLLLRLGQRSLMNSSFAWEYDNMQFSLQPFFSNQNDGDSPFVKLPRDSQELSLCCLFYFSHLDSLLLKSIADCCLCPHLEHHVLFRIIEVLHSSYKSGHIQIADHISFLITLLSSFSVFPGTSDKKEFIESDVNISKESDVTISKESDVIILNRKALKSLTRIVQSCLSEMGDNSLVFQMLEKVILEQMSQIPPLDNLCAMLRMLITLDSKATIISQQAFISLGNILPWYLIDIVHCTAEEDKKVPGSICSSACCYYLTPCFVLFDKSHELLNVVLKTLGSWITKSSLACLTPDQIRSTTEISSRVDAVVSVLQLMHKDDKIWQIISSFKAEIDCILESIIVLQSSKEISMTIEERHMVQCALGRLRTLHSGMQSV >ONH95904 pep chromosome:Prunus_persica_NCBIv2:G7:12746799:12759870:1 gene:PRUPE_7G095000 transcript:ONH95904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPKGKKQQKRGIDFKKIKRKIGKKLPPAKNATNTEIKSKAIILPEQSVASEKAGLAVNKRGLTLKELLQQTSHYSSKVRKEALLGIKDLFKKYPEELRLHKYAVIEKLRERIGDDDDRVARETLHELFKSVIHAGCKEDNQELFVSLMMPYIFNAMTHLAIDVRLMAFTFLELVIQYHPPSFFLYAEKILQNFEDILRRNQFYLEDKKKLKTALAGLGQCLLLLPCNKREVSSLEKNDAGQRMLHAFEPDLPAKSAGCSVIIPKLKDLVPVLVNCFQDFIPEVQRGSLLDQQSFDCMLSILHSINLAVKFFFYMTDEGKLESRPSQEGLDVTMLTISMTLLKKLLVLFPLNMTNQLSERDDVEYFGLNAELTEIFLYLSKWICPPAILLEKVLEFLENSLRRKICPDTRIGKALEKREKWKKREKKEKQERQEKQLKHLISLLPFVPKLVSQVPDDWKYRLLQAFTEAFKDCNHVSPLKLACLSIMEEMLVPRQDVLYLDPSVPEILDFQIAWIRELPMLLILMGDKNPSCSQVVLHLLLRLGQRSLMNSSFAWEYDNMQFSLQPFFSNQNDGDSPFVKLPRDSQELSLCCLFYFSHLDSLLLKSIADCCLCPHLEHHVLFRIIEVLHSSYKSGHIQIADHISFLITLLSSFSVFPGTSDKKEFIESDVNISKESDVTISKESDVIILNRKALKSLTRIVQSCLSEMGDNSLVFQMLEKVILEQMSQIPPLDNLCAMLRMLITLDSKATIISQQAFISLGNILPWYLIDIVHCTAEEDKKVPGSICSSACCYYLTPCFVLFDKSHELLNVVLKTLGSWITKSSLACLTPDQIRSTTEISSRVDAVVSVLQLMHKDDKIWQIISSFKAEIDCILESIIVLQSSKEISMTIEERHMVQCALGRLRTLHSGMQSV >ONH95903 pep chromosome:Prunus_persica_NCBIv2:G7:12746907:12759818:1 gene:PRUPE_7G095000 transcript:ONH95903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPKGKKQQKRGIDFKKIKRKIGKKLPPAKNATNTEIKSKAIILPEQSVASEKAGLAVNKRGLTLKELLQQTSHYSSKVRKEALLGIKDLFKKYPEELRLHKYAVIEKLRERIGDDDDRVARETLHELFKSVIHAGCKEDNQELFVSLMMPYIFNAMTHLAIDVRLMAFTFLELVIQYHPPSFFLYAEKILQNFEDILRRNQFYLEDKKKLKTALAGLGQCLLLLPCNKREVSSLEKNDAGQRMLHAFEPDLPAKSAGCSVIIPKLKDLVPVLVNCFQDFIPEVQRGSLLDQQSFDCMLSILHSINLAVKFFFYMTDEGKLESRPSQEGLDVTMLTISMTLLKKLLVLFPLNMTNQLSERDDVEYFGLNAELTEIFLYLSKWICPPAILLEKVLEFLENSLRRKICPDTRIGKALEKREKWKKREKKEKQERQEKQLKHLISLLPFVPKLVSQVPDDWKYRLLQAFTEAFKDCNHVSPLKLACLSIMEEMLVPRQDVLYLDPSVPEILDFQIAWIRELPMLLILMGDKNPSCSQVVLHLLLRLGQRSLMNSSFAWEYDNMQFSLQPFFSNQNDGDSPFVKLPRDSQELSLCCLFYFSHLDSLLLKSIADCCLCPHLEHHVLFRIIEVLHSSYKSGHIQIADHISFLITLLSSFSVFPGTSDKKEFIESDVNISKESDVTISKESDVIILNRKALKSLTRIVQSCLSEMGDNSLVFQMLEKVILEQMSQIPPLDNLCAMLRMLITLDSKATIISQQAFISLGNILPWYLIDIVHCTAEEDKKVPGSICSSACCYYLTPCFVLFDKSHELLNVVLKTLGSWITKSSLACLTPDQIRSTTEISSRVDAVVSVLQLMHKDDKIWQIISSFKAEIDCILESIIVLQSSKEISMTIEERHMVQCALGRLRTLHSGMQSV >ONH95906 pep chromosome:Prunus_persica_NCBIv2:G7:12749820:12759818:1 gene:PRUPE_7G095000 transcript:ONH95906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPTNKILQNFEDILRRNQFYLEDKKKLKTALAGLGQCLLLLPCNKREVSSLEKNDAGQRMLHAFEPDLPAKSAGCSVIIPKLKDLVPVLVNCFQDFIPEVQRGSLLDQQSFDCMLSILHSINLAVKFFFYMTDEGKLESRPSQEGLDVTMLTISMTLLKKLLVLFPLNMTNQLSERDDVEYFGLNAELTEIFLYLSKWICPPAILLEKVLEFLENSLRRKICPDTRIGKALEKREKWKKREKKEKQERQEKQLKHLISLLPFVPKLVSQVPDDWKYRLLQAFTEAFKDCNHVSPLKLACLSIMEEMLVPRQDVLYLDPSVPEILDFQIAWIRELPMLLILMGDKNPSCSQVVLHLLLRLGQRSLMNSSFAWEYDNMQFSLQPFFSNQNDGDSPFVKLPRDSQELSLCCLFYFSHLDSLLLKSIADCCLCPHLEHHVLFRIIEVLHSSYKSGHIQIADHISFLITLLSSFSVFPGTSDKKEFIESDVNISKESDVTISKESDVIILNRKALKSLTRIVQSCLSEMGDNSLVFQMLEKVILEQMSQIPPLDNLCAMLRMLITLDSKATIISQQAFISLGNILPWYLIDIVHCTAEEDKKVPGSICSSACCYYLTPCFVLFDKSHELLNVVLKTLGSWITKSSLACLTPDQIRSTTEISSRVDAVVSVLQLMHKDDKIWQIISSFKAEIDCILESIIVLQSSKEISMTIEERHMVQCALGRLRTLHSGMQSV >ONH98282 pep chromosome:Prunus_persica_NCBIv2:G7:20699138:20699622:-1 gene:PRUPE_7G240200 transcript:ONH98282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKESMLHLKSLNHISLVCRSVEKSLDFYQSVLGFFPIRSSGSFDFNGAWLFNYGIGIHLLQSEDPDTQEDHPD >ONH95911 pep chromosome:Prunus_persica_NCBIv2:G7:12781381:12781611:-1 gene:PRUPE_7G095400 transcript:ONH95911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILGTRDDNRLVSDVVALSVQSGASIASVGHRLIGKSHEVQVLRAQLMAEQNLIDEYQCDIKRLKKNRAKTAEKN >ONH95076 pep chromosome:Prunus_persica_NCBIv2:G7:8719573:8725976:1 gene:PRUPE_7G050100 transcript:ONH95076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKRASKDSSAQTKPNDTTTRPKPQEPPLTDPPIAPPKLGVILKLTLFFSVPYFYLIFYHYKIEHELRKSILINAALSLAGFFVTVKMIPVASRYVLKRNLFGYDINKKGTPQGTVKVPESLGIVVGIVFLVLGILFQYFNFTADSNWLVEYNAALASICFMVLLGFVDDVLDIPWRVKLLLPSFAALPLLMAYAGHTTIIIPKPLIPYVGLEVLDLGWMYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIASAILIHNIMQIGASADSEYKMAHAFSLYLVQPLLATSLALFSYNWYPSSAFVGDTYTYFAGMTMAVAGILGHFSETLLIFFVPQVLNFLLSLPQLAGIVPCPRHRLPKFDPGTGLLTGTNDGTLVNFFLRRFGRMTEKSLCIYLLVFQAIACCFCFVLRFFLAGWYK >ONH98438 pep chromosome:Prunus_persica_NCBIv2:G7:21113368:21115748:1 gene:PRUPE_7G249000 transcript:ONH98438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKCKDHISKLPDDVLHTIVSLLSMRDAVRTSVLSHRWKNMYAYMSNLEFNWHDIISTPADSTPGAVCNSKVRYFLARLERFLACHLGTKVVSFKVSCCFGNMYGLHINDWISFAIRKGVENLDLAFTCDKPAERIDWRIIDYYHFPTQLLLHGEESKLRHLSLRSCTLQSDFFDRFSTLSTLVLCDVNLAGHVEPHMFSSCSNLECLTLQWCFGLERLCIGDSLHRLKVLVVSLCEGLKGIELSATNLTSFHYKGDDIELSFERVPNLGELYVMMKDTDVVSTFAELEKELPHVKSMTVAKSRARYNR >ONH98437 pep chromosome:Prunus_persica_NCBIv2:G7:21113303:21116434:1 gene:PRUPE_7G249000 transcript:ONH98437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKCKDHISKLPDDVLHTIVSLLSMRDAVRTSVLSHRWKNMYAYMSNLEFNWHDIISTPADSTPGAVCNSKVRYFLARLERFLACHLGTKVVSFKVSCCFGNMYGLHINDWISFAIRKGVENLDLAFTCDKPAERIDWRIIDYYHFPTQLLLHGEESKLRHLSLRSCTLQSDFFDRFSTLSTLVLCDVNLAGHVEPHMFSSCSNLECLTLQWCFGLERLCIGDSLHRLKVLVVSLCEGLKGIELSATNLTSFHYKGDDIELSFERVPNLGELYVMMKDTDVVSTFAELEKELPHVKSMTVAKSRARYNR >ONH98439 pep chromosome:Prunus_persica_NCBIv2:G7:21113900:21115748:1 gene:PRUPE_7G249000 transcript:ONH98439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAVRTSVLSHRWKNMYAYMSNLEFNWHDIISTPADSTPGAVCNSKVRYFLARLERFLACHLGTKVVSFKVSCCFGNMYGLHINDWISFAIRKGVENLDLAFTCDKPAERIDWRIIDYYHFPTQLLLHGEESKLRHLSLRSCTLQSDFFDRFSTLSTLVLCDVNLAGHVEPHMFSSCSNLECLTLQWCFGLERLCIGDSLHRLKVLVVSLCEGLKGIELSATNLTSFHYKGDDIELSFERVPNLGELYVMMKDTDVVSTFAELEKELPHVKSMTVAKSRARYNR >ONH98784 pep chromosome:Prunus_persica_NCBIv2:G7:21949442:21959018:1 gene:PRUPE_7G266200 transcript:ONH98784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASFSPSPQAQTYTCSSRWSKAPKLNHKFLFSSTSNSLFFKPSHSSSTHFPLNLKPPPEPVHIPPLRDSIQDNIVENSMNFQIPMSEFHLITPQESAPRIFIQDPPWIASLFLKGIYKRANQELKLESKEIERRNYNVLRRRQIKAETEAWERMVDEYKDLEKVMREKKLAPNLPYVKALFLGWFEPLREAIAREQKTQQTKKHKAAFAPHIDLLPADKMALIVMHKMMGLVMVGNQDGCVQVVQAAVHIGMAIEQEVRIHSFLEKTKNLQKKKTGVADEDGLSKEKEILRKRVNGLIRRKRLNEVQKLLIKEEMKPWGRDKQAKLGSRLIELLTETAYVQPPLSQLADSPPDVRPAFRHRFKAVAKSPGQKIVKNYGVIECDPLVLTGLDKTAKHMLIPYVPMLVPPKRWKGYDKGGHLFLPSYVMRTHGSRKQVDAMRNISRNQMQKVFEALDMLGSTKWRVNKKVLSVVESIWARGGNIAGLVNREDVPVPDKSPSEDLKEIQEWKWSVRKAKKINQERHSQRCDTELKLSVARKMKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVEKLSYEGRLAFVDNHIDDIFDSATNPVNGNRWWLTAEDPLQCLAACINLSEALNSPSPHTVISHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVDGDKPADVYSEIAARVHEIMKRDSNKDPTTSPNALLARILVNQIDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGLITDDRLLFTAACYAAKVTLAALGEIFQAARGIMSWLGDCAKVIASENQPVRWTTPLGLPVVQPYCKSERHLIRTSLQVLALQRESNSVDIRKQRTAFPPNFVHSLDGSHMMMTALACRDAGLRFAGVHDSFWTHPCDVDQMNEILREKFVELYSMPILESLLESFQASYPALTFPPLPERGDFDLLQVLESPYFFN >ONH98785 pep chromosome:Prunus_persica_NCBIv2:G7:21949442:21959019:1 gene:PRUPE_7G266200 transcript:ONH98785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASFSPSPQAQTYTCSSRWSKAPKLNHKFLFSSTSNSLFFKPSHSSSTHFPLNLKPPPEPVHIPPLRDSIQDNIVENSMNFQIPMSEFHLITPQESAPRIFIQDPPWIASLFLKGIYKRANQELKLESKEIERRNYNVLRRRQIKAETEAWERMVDEYKDLEKVMREKKLAPNLPYVKALFLGWFEPLREAIAREQKTQQTKKHKAAFAPHIDLLPADKMALIVMHKMMGLVMVGNQDGCVQVVQAAVHIGMAIEQEVRIHSFLEKTKNLQKKKTGVADEDGLSKEKEILRKRVNGLIRRKRLNEVQKLLIKEEMKPWGRDKQAKLGSRLIELLTETAYVQPPLSQLADSPPDVRPAFRHRFKAVAKSPGQKIVKNYGVIECDPLVLTGLDKTAKHMLIPYVPMLVPPKRWKGYDKGGHLFLPSYVMRTHGSRKQVDAMRNISRNQMQKVFEALDMLGSTKWRVNKKVLSVVESIWARGGNIAGLVNREDVPVPDKSPSEDLKEIQEWKWSVRKAKKINQERHSQRCDTELKLSVARKMKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVEKLSYEGRLAFVDNHIDDIFDSATNPVNGNRWWLTAEDPLQCLAACINLSEALNSPSPHTVISHLPIHQDGSCNGLQHYAALGRDSLEAAAVNLVDGDKPADVYSEIAARVHEIMKRDSNKDPTTSPNALLARILVNQIDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGLITDDRLLFTAACYAAKVTLAALGEIFQAARGIMSWLGDCAKIRTSLQVLALQRESNSVDIRKQRTAFPPNFVHSLDGSHMMMTALACRDAGLRFAGVHDSFWTHPCDVDQMNEILREKFVELYSMPILESLLESFQASYPALTFPPLPERGDFDLLQVLESPYFFN >ONH95109 pep chromosome:Prunus_persica_NCBIv2:G7:9094212:9099344:-1 gene:PRUPE_7G052700 transcript:ONH95109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYDLTPRIAPNLDRHLVFPLLEFLQERQMYPDEQILKSKIELLNKTNMVDYAMDIHKSLYHTEDVPQDMVERRVEVVARLKALEESAAPLVNFLQNAAAVQELRADKQYNLQMLHERYQIGPQQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSDRSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPMNQVQNRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLVRYLATAFIVNKRRRPQFKDFIKVIQQEQNSYNDPITEFLTCVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEEGNFSTVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEEAERWIVNLIRSSKLDAKIDSQSGTVIMEPNHPNVYEQLIDHTKALSGRTYKLVGQLLEHAQSQVAR >ONH95108 pep chromosome:Prunus_persica_NCBIv2:G7:9094167:9097959:-1 gene:PRUPE_7G052700 transcript:ONH95108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYDLTPRIAPNLDRHLVFPLLEFLQERQMYPDEQILKSKIELLNKTNMVDYAMDIHKSLYHTEDVPQDMVERRVEVVARLKALEESAAPLVNFLQNAAAVQELRADKQYNLQMLHERYQIGPQQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSDRSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPMNQVQNRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLVRYLATAFIVNKRRRPQFKDFIKVIQQEQNSYNDPITEFLTCVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEEGNFSTVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEEAERWIVNLIRSSKLDAKIDSQSGTVIMEPNHPNVYEQLIDHTKALSGRTYKLVGQLLEHAQSQVAR >ONH95110 pep chromosome:Prunus_persica_NCBIv2:G7:9094187:9097962:-1 gene:PRUPE_7G052700 transcript:ONH95110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYDLTPRIAPNLDRHLVFPLLEFLQERQMYPDEQILKSKIELLNKTNMVDYAMDIHKSLYHTEDVPQDMVERRVEVVARLKALEESAAPLVNFLQNAAAVQELRADKQYNLQMLHERYQIGPQQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSDRSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPMNQVQNRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLVRYLATAFIVNKRRRPQFKDFIKVIQQEQNSYNDPITEFLTCVYVNYDFDGAQKKMRECEESACRKVKLEL >ONH97373 pep chromosome:Prunus_persica_NCBIv2:G7:18016984:18028191:1 gene:PRUPE_7G186400 transcript:ONH97373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEFRDDESGFREIGDHDLIYRARKTLCSVASGDTEDYRELLSSRNREKLGIEEVAMLVTNLKALAGAVAYIDNVLHNELLSAIFKMSLWNYKPDVMDALIEFIESLATSSGKYVDDCLAMLTCQFFPPGSLPLPKILARKDGVLSRVHSSLKYIADLVPLSPVRLVPIVLDGKPQYRFNNTSTLSLVIYVENMLKLESGVLGEVVRIPMLAGVVDLLLDLDVEIGWEDIKNDSSKGIFEMELEDVDESRDDDLNDDSELPRQLSRKTLGGNSFAEKLDSLMVLTFEHLESCQVADRLIEIFDTLLESFKKTVLTAYKSKFAQFVIFYACSLDPKNCGVTFALMLEHTFFFSTNPPLLRMSAVAYLASYLSRAKFLSVFVVAGTLERLVDWCVKYVKMQDDEINPEAHRIFYSGCQAIMYLLCFRMRSMMDDPQLKPWLVRLPLESILNNKLSPLKVCLPSIVLEFLRQAKAARLFMTSEKFNFDDYLESELSREFGGMERLDMFFPFDPCLLKKSDSYIRPNFIFWSMVQPTYDDEDSSDEDVGDAFAGDNDGGMDYGIMQSLEEQHFDFAEVGSALNKMSITPKDSLLSRFGGAINQPMRMPSRIRPSTSPESL >ONH97374 pep chromosome:Prunus_persica_NCBIv2:G7:18022711:18028211:1 gene:PRUPE_7G186400 transcript:ONH97374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVELRDDEAAFRTMGDHDLIDSYLVYRARDTLCSVSSGDTEDYRQLLSAMNPEELGANEVAMLVTNLKALAGAVAYIDNVLHNELLSAIFKMSLWNYKPDVMDALIEFIESLATSSGKYVDDCLAMLTCQFFPPGSLPLPKILARKDGVLSRVHSSLKYIADLVPLSPVRLVPIVLDGKPQYRFNNTSTLSLVIYVENMLKLESGVLGEVVRIPMLAGVVDLLLDLDVEIGWEDIKNDSSKGIFEMELEDVDESRDDDLNDDSELPRQLSRKTLGGNSFAEKLDSLMVLTFEHLESCQVADRLIEIFDTLLESFKKTVLTAYKSKFAQFVIFYACSLDPKNCGVTFALMLEHTFFFSTNPPLLRMSAVAYLASYLSRAKFLSVFVVAGTLERLVDWCVKYVKMQDDEINPEAHRIFYSGCQAIMYLLCFRMRSMMDDPQLKPWLVRLPLESILNNKLSPLKVCLPSIVLEFLRQAKAARLFMTSEKFNFDDYLESELSREFGGMERLDMFFPFDPCLLKKSDSYIRPNFIFWSMVQPTYDDEDSSDEDVGDAFAGDNDGGMDYGIMQSLEEQHFDFAEVGSALNKMSITPKDSLLSRFGGAINQPMRMPSRIRPSTSPESL >ONH95431 pep chromosome:Prunus_persica_NCBIv2:G7:10793040:10796528:1 gene:PRUPE_7G070100 transcript:ONH95431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKNNDVHSKGKNPKTTKDESKMVIPRKTGYFNFLKIKGHEMKKQKIVDKVDTKTKKEIANMWQKLDATQKAEYDSKTPSTNASNKGCLKISTRCSPKDIKDTINVLSDEKKAAIEEMGFVSLLEMKCGKLSHSLCHFLVDKLDPAESSIVLHGKTFKISVDDFVRIMGVKDGGEEVHFTGSMDDQDIVNMRNSFLGGKKLLKNNELKQIMVGTEEASDFFKVGFVMFALCTLLCPTTSVYVNLKYLLPLRDSKAIARKNWASYSLRFLLDSVRSFKENNQVYIGGCLLFLQLFYLDAIAHGSVLVDRSVLPLTVWGKVETEKMKKWLQKKGGFESDKVVVMKTEYGGLGDDAKTMTHFAEELSSIKKDVATLVATVARMDKSLSKIMSELSSRNEEKERTLRTPCPH >ONH95432 pep chromosome:Prunus_persica_NCBIv2:G7:10793040:10796528:1 gene:PRUPE_7G070100 transcript:ONH95432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQKLDATQKAEYDSKTPSTNASNKGCLKISTRCSPKDIKDTINVLSDEKKAAIEEMGFVSLLEMKCGKLSHSLCHFLVDKLDPAESSIVLHGKTFKISVDDFVRIMGVKDGGEEVHFTGSMDDQDIVNMRNSFLGGKKLLKNNELKQIMVGTEEASDFFKVGFVMFALCTLLCPTTSVYVNLKYLLPLRDSKAIARKNWASYSLRFLLDSVRSFKENNQVYIGGCLLFLQLFYLDAIAHGSVLVDRSVLPLTVWGKVETEKMKKWLQKKGGFESDKVVVMKTEYGGLGDDAKTMTHFAEELSSIKKDVATLVATVARMDKSLSKIMSELSSRNEEKERTLRTPCPH >ONH98366 pep chromosome:Prunus_persica_NCBIv2:G7:20972076:20981687:1 gene:PRUPE_7G246700 transcript:ONH98366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQCLGQGNPSSSSRCARLQRWWEAISWANELGILHLQLPLIKLFKKGKNKWIIETERPWNFHYIDLNFSYQSQLRKKKKTSNRTFFSLCLKCLCTRNSRRKSDKKHTCNAMSSPRASTASTVQDCWDCMLPGPPSRNNFGSADLSPSGLLAFPSGSSISVLDARSMQLIVTLPMPPPAQASSSTSSLSPFVTSVRWTPLPLRRDLLSTEPSSSHLLLAAGDRQGRIALLDLRLKSPVLWFDSDSSSSKLAIQDLAWVQARPDSYLLASISGLSSLSLYNSSTGRCFWRYDAAPEILSCIRRDPFDSRHFCVIGLKGFLLSVTVLGETEDDVVIKELQIRTDCSELLKLERDLAGGVAGNSSSASAAFPLYAARLAFSPQWRHILFVTFPRELVVFDLQYEAPLFSATLPRGCGKFLDVLPDPNHEYLYCAHLDGKLSTWRRKEREQVHIMCSMEELIPSIGTSVPSPLLLALVISQSDSTLQNVSKLYSDVPHSPFPDVDFDNPFDFCDEPLLVSKTHLISISDDGKIWDWLLTAEGAEDNPKDDTNLDISEVPVPGTNTNILVSATGGLDMEASKQTGRSRPSNSTVSHTDTSLKISLVGQLQLLSSAVTMLAVPSPSSTATLGRGGNYPVVAVPLVALGTQSGTIDVVDVSANAVAASFSVHNGTVRGLRWLGNSRLVSFSYSQVSEKSGGFINRLIVTCVRSGLNRPFRVLQKPERAPIRALRASSSGRYLLILLRDAPVEVWAMTKTPIMLRSLALPFTVLEWTLPTVPRPVQNGPAKQSSSSSNDQTSVASDGTSSPTKLSSDSKSSDGSQDDTSESFAFALANGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRSGNIRWWDVTTGHSSSFNTHREGIRRIKFSPVVPGDRSRGRVAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPLRTDKNDPLLLCIAGADSSFRLVEINIIDKKLGYTHQPRSIKERFRPMPLCSPILLPIPHALALRVILQLGVKPSWFNTSSTTLDKRPHLIPGTPKSNEDLRSYMIDLPPVGDPVVPELLLKVLEPYRKEGCILDDERAKLYAKVVTNGCSVRFAFAAAIFGEPSEALFWLQLPRALNHLMNKLVNKSPQKAPVSASVPELDDASMLSRITSKGKSVSGTEKKDAMNQGQLRLMAFEQEDLWANASERIPWHEKLEGEEAIQNRVHELVSVGNLESAVSLLLSTPPESNYFSANALRAVALSSAVSKSLLELAVKVVAANMVRTDRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAAAHLKGSDYARVLLRWASHVLRAEHNIWRALILYVAAGALQEALAALREAQQPDTAAMFILACREIHANFISDLVNSDDESSSSIKDKLLHLPGLGPENEDVVAVSEYYGQYQRKLVHLCMDSQPFSE >ONH94295 pep chromosome:Prunus_persica_NCBIv2:G7:1208218:1208727:-1 gene:PRUPE_7G009000 transcript:ONH94295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDETETTAVFEKLFKFTGNNLKNIVENPSLHKNKVYYVSESLVKRATNISRTQLVSIGTCIGRFTHGGSFHLTVQCLSSLASNAKHKVWLKPTSEMSFLYGNHVLKGGLGRITENIVPSDGVVVFPMSDVPLGSGIATTTKSEKDDQKTTTV >ONH96335 pep chromosome:Prunus_persica_NCBIv2:G7:14446430:14449363:-1 gene:PRUPE_7G121400 transcript:ONH96335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGLVFYLLSSFSVAILSVFFVTQYQNGYDSPLQSRLSLSLNSNLSSHLSSTDKTWPELKFSWRLVLATVIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAALSKCMIMGASASSVWYNLRVPHPTKEVPIIDYDLALLFQPMLMLGITLGVALSVVFPYWLITVLIIILFLGTSSRSCFKGFEMWKEETIMKKEFARQQATVVDSRGERAVLIDTQYEPLLPREEKSILQILKFNLRWKRVVVLVVVWVLFLVLQVLKNDVNACSAWYWVFFCLQFPIALGVFGYESVKLCKEHKKRMSTGNTESICEASIAWTPIHIAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMTFSASLSVVEFYLLKRFPIPYALYLTSVSVLAGFWGQCLVRKVVTILKRASIIVFILSGVIFASAITMGVIGIETSIQMIQNQEFMGFLDFCSSQ >ONH96334 pep chromosome:Prunus_persica_NCBIv2:G7:14445922:14449576:-1 gene:PRUPE_7G121400 transcript:ONH96334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGLVFYLLSSFSVAILSVFFVTQYQNGYDSPLQSRLSLSLNSNLSSHLSSTDKTWPELKFSWRLVLATVIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAALSKCMIMGASASSVWYNLRVPHPTKEVPIIDYDLALLFQPMLMLGITLGVALSVVFPYWLITVLIIILFLGTSSRSCFKGFEMWKEETIMKKEFARQQATVVDSRGELLIDTQYEPLLPREEKSILQILKFNLRWKRVVVLVVVWVLFLVLQVLKNDVNACSAWYWVFFCLQFPIALGVFGYESVKLCKEHKKRMSTGNTESICEASIAWTPIHIAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMTFSASLSVVEFYLLKRFPIPYALYLTSVSVLAGFWGQCLVRKVVTILKRASIIVFILSGVIFASAITMGVIGIETSIQMIQNQEFMGFLDFCSSQ >ONH95178 pep chromosome:Prunus_persica_NCBIv2:G7:9391528:9392970:-1 gene:PRUPE_7G055200 transcript:ONH95178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHQNGQNNTPNGFNQSKVVVVMVPLPAQGHLNQLLHFSRLVSAQDIPVHFVGSPTHNRQAKLRVHGWDRASVSNNVQFHDFQIPPFPSPPPNPNATNKFPSHLQPSFEATTHLRQPVADLLRQLSPKARRVIVIHDSMMASVIQDVASIPNGESYTFHTVSAFALFLYLCEAIGRPAMLEALHVEIPDDTPSLEDCFTNEFLDFIAAQYKCQKLNNAGNIYNTSRTIEGPYMDFLNMIGDHQKHWALGPFNPSTILKSGNSNGGRRHRCLDWLDKQAPNSVIYVSFGTTTAMKDEQIAELAIGLEQSNQKFIWVLRDADKGDLFSNEEERRAELPQGYEERVKGRGLVVRDWAPQLEILAHKSTGMFVSHCGWNSCMESITMGVPIAAWPMHSDQPRNTVLITRLLRVGVVMRDWAHRDEILTSLSVKNGVEKFMGSKEGNEIRKRAADLGAAVRRSMVAGGASHMEFSSFIDHITRY >ONH95186 pep chromosome:Prunus_persica_NCBIv2:G7:9476019:9477232:1 gene:PRUPE_7G055900 transcript:ONH95186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKQEPNFVQKRKVEPNRDVNGPQNEIATLRLACMRMMGKELHGLSYKELQQLEEQLREGVLFVKQKKEEILLETLKSSRLLEQRAVEENENLRKQLEEMRQTHKPNWQIVPASDHPLESSKAVSSYEPDTYLHLGLSSSSDAHHQKRKAAKIERNSNDSGSQVVSH >ONH95185 pep chromosome:Prunus_persica_NCBIv2:G7:9474815:9477483:1 gene:PRUPE_7G055900 transcript:ONH95185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRIEIKKIENVNSRQVTFTKRRNGLLKKAKELSVLCDAEVAVIVFASTGRLYEFSSTSMPHTLLRYKKGGEPNFVQKRKVEPNRDVNGPQNEIATLRLACMRMMGKELHGLSYKELQQLEEQLREGVLFVKQKKEEILLETLKSSRLLEQRAVEENENLRKQLEEMRQTHKPNWQIVPASDHPLESSKAVSSYEPDTYLHLGLSSSSDAHHQKRKAAKIERNSNDSGSQVVSH >ONH95187 pep chromosome:Prunus_persica_NCBIv2:G7:9474815:9477483:1 gene:PRUPE_7G055900 transcript:ONH95187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMMGKELHGLSYKELQQLEEQLREGVLFVKQKKEEILLETLKSSRLLEQRAVEENENLRKQLEEMRQTHKPNWQIVPASDHPLESSKAVSSYEPDTYLHLGLSSSSDAHHQKRKAAKIERNSNDSGSQVVSH >ONH98875 pep chromosome:Prunus_persica_NCBIv2:G7:22168993:22173598:-1 gene:PRUPE_7G270100 transcript:ONH98875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEPKKIIIDTDPGIDDAMAIFVALQSPEVEVIGLTTIYGNVYTTLATRNALHLLEVAGRTDIPVAEGSHVTITKGTKLRIADFVHGADGLGNQNFPPPKGKPIEQSAAAFLVEQANLYPGKVTVVALGPLTNIALATQLEPAFAKNIGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADIVFTSGADILGVGINVTHQVVLTDADREKLARSNGKFAQYLCKVLDVYFSYHRDAYSTKGVYLHDPTTLLAAVNPSLITYTEGVVRVQTNGITRGLTILYNKQKR >ONH98874 pep chromosome:Prunus_persica_NCBIv2:G7:22168986:22173675:-1 gene:PRUPE_7G270100 transcript:ONH98874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEPKKIIIDTDPGIDDAMAIFVALQSPEVEVIGLTTIYGNVYTTLATRNALHLLEVAGRTDIPVAEGSHVTITKGTKLRIADFVHGADGLGNQNFPPPKGKPIEQSAAAFLVEQANLYPGKVTVVALGPLTNIALATQLEPAFAKNIGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADIVFTSGADILGVGINVTHQVVLTDADREKLARSNGKFAQYLCKVLDVYFSYHRDAYSTKGVYLHDPTTLLAAVNPSLITYTEGVVRVQTNGITRGLTILYNKQKRFGEVTEWSDKPTVKVAVTVDAPAVVNLVMERLMDS >ONH96354 pep chromosome:Prunus_persica_NCBIv2:G7:14550304:14551320:1 gene:PRUPE_7G122900 transcript:ONH96354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLARRTIASSSLSSLVLRSTVYDSSPAVISVVHGGSQPRRQSSSSSSSSSKSDKAEKKKPVLDRLSSVIDAVNDSKLPPELRGQRNNIRSETDIINVVEQRIWHSMEEGQFENLPGKGKPLNLTRNPHADPAEDTLYRILSKNGCAPRWVELNKEIRSQVSEWRLALKKAWANKNDHSKWVETSEALKLQVKHINNKVFQYNLMVPFGRQMLGLKWEKELDRLELEE >ONH98171 pep chromosome:Prunus_persica_NCBIv2:G7:20369829:20375130:1 gene:PRUPE_7G233700 transcript:ONH98171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVKVTLEVGNDGVAVITIFNPPVNALAIPLIAGLKEKFDEVARRNDVRAIVLTGNGGRFSGGFDINVFEKVHKSGDVSLMPDVSVEFLVNKLEDSKKPVVAAVEGLALGGGLELALGCHARIAAPRTQLGLPELTLGVIPGFGGTQRLPRLVGLRKAVEMMLLSKSIMSEEGKKLGLIDAIVPSQELLKVSRLWALDIAERRKPWLRSLHRTDKLGSLSEAREILKVARQQARKTAPNMPQHQACLDVIEDGIVHGGYSGVLKEAKIFKELVLSDTSKGLVHVFFAQRATSKVPNVTDVGLKPRHVKKVAVIGGGLMGSGIATALLLSNIYVVLKEVNSEYLQKGIKTIEANVKGLVTKGKLTQDKAQQTLSLLKGSLDYSDFKDVDMVIEAVIENVPLKQKIFGELEKVCPSHCILATNTSTIDLNVVGEKTSSHDRIVGAHFFSPAHVMPLLEIVRTEKTSAQVILDLLTVGKIIKKVPVVVGNCTGFAVNRAFFPYSQGAHILVNSGVDVFRIDRVISNFGFPIGPFQLQDLAGYGVALAVGKEFATAFPDRTFRSPLVEILIKHGRNGKNNGKGYYIYEKGSKPKPDLSVLPIIEESRRVTNIMPGGKPLSVTDQEILEMILFPVVNEACRILDEGIVVRASDLDIASVLGMSFPSYRGGIIFWADLVGPKHIYDSLKKWSGVYGNFYRPSRFLEERAMKGVPLSAPASTSPSSRSRM >ONH98173 pep chromosome:Prunus_persica_NCBIv2:G7:20370075:20374373:1 gene:PRUPE_7G233700 transcript:ONH98173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVKVTLEVGNDGVAVITIFNPPVNALAIPLIAGLKEKFDEVARRNDVRAIVLTGNGGRFSGGFDINVFEKVHKSGDVSLMPDVSVEFLVNKLEDSKKPVVAAVEGLALGGGLELALGCHARIAAPRTQLGLPELTLGVIPGFGGTQRLPRLVGLRKAVEMMLLSKSIMSEEGKKLGLIDAIVPSQELLKVSRLWALDIAERRKPWLRSLHRTDKLGSLSEAREILKVARQQARKTAPNMPQHQACLDVIEDGIVHGGYSGVLKEAKIFKELVLSDTSKGLVHVFFAQRATSKVPNVTDVGLKPRHVKKVAVIGGGLMGSGIATALLLSNIYVVLKEVNSEYLQKGIKTIEANVKGLVTKGKLTQDKAQQTLSLLKGSLDYSDFKDVDMVIEAVIENVPLKQKIFGELEKVCPSHCILATNTSTIDLNVVGEKTSSHDRIVGAHFFSPAHVMPLLEIVRTEKTSAQVILDLLTVGKIIKKVPVVVGNCTGFAVNRAFFPYSQGAHILVNSGVDVFRIDRVISNFGFPIGPFQLQDLAGYGVALAVGKEFATAFPDRTFRSPLVEILIKHGRNGKNNGKGYYIYEKGSKPKPDLSVLPIIEESRRVTNIMPGGKVLLPRNS >ONH98172 pep chromosome:Prunus_persica_NCBIv2:G7:20370049:20375123:1 gene:PRUPE_7G233700 transcript:ONH98172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVKVTLEVGNDGVAVITIFNPPVNALAIPLIAGLKEKFDEVARRNDVRAIVLTGNGGRFSGGFDINVFEKVHKSGDVSLMPDVSVEFLVNKLEDSKKPVVAAVEGLALGGGLELALGCHARIAAPRTQLGLPELTLGVIPGFGGTQRLPRLVGLRKAVEMMLLSKSIMSEEGKKLGLIDAIVPSQELLKVSRLWALDIAERRKPWLRSLHRTDKLGSLSEAREILKVARQQARKTAPNMPQHQACLDVIEDGIVHGGYSGVLKEAKIFKELVLSDTSKGLVHVFFAQRATSKVPNVTDVGLKPRHVKKVAVIGGGLMGSGIATALLLSNIYVVLKEVNSEYLQKGIKTIEANVKGLVTKGKLTQDKAQQTLSLLKGSLDYSDFKDVDMVIEAVIENVPLKQKIFGELEKVCPSHCILATNTSTIDLNVVGEKTSSHDRIVGAHFFSPAHVMPLLEIVRTEKTSAQVILDLLTVGKIIKKVPVVVGNCTGFAVNRAFFPYSQGAHILVNSGVDVFRIDRVISNFGFPIGPFQLQDLAGYGVALAVGKEFATAFPDRTFRSPLVEILIKHGRNGKNNGKGYYIYEKGSKPKPDLSVLPIIEESRRVTNIMPGGKGWYYILGRPGWA >ONH96626 pep chromosome:Prunus_persica_NCBIv2:G7:15715877:15718237:-1 gene:PRUPE_7G142100 transcript:ONH96626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNEAQKAHAHFVLVHGAGHGAWCWYKIKPKLESSGHKVTVLNLTGSGINMKAIHDVHSLAEYSEPLLELIASLGPKEKVILVGHSLGGMNLSLAMERFPQKISAAVFLTAFLPDTTHQPSYVMDEFMQKIPADSWLDTQFEQFGSAKEPLTSIFFGPKYLQANLYQLSPIEDLELAKSLVRKSSFFREEVAKMKKFSNEGYGSVTRVYVVCDKDLIITEEFQRWMIANSGVKNVVEIKGADHMPMFSKPQELSNAFLEIAQKYA >ONH95489 pep chromosome:Prunus_persica_NCBIv2:G7:11138395:11144171:-1 gene:PRUPE_7G074000 transcript:ONH95489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSLCVCFAVIVISAILVSEAGAFTEPRDVTALQDLYRALNHPPQLKGWRSDGGDPCGESWGGVSCSGSSVIYLKLHGLSLSGYLTGELYNFFNLKQLDVSSNNIVGEIPYVLPPNATHINMACNFMTQNIPHSLPTMKSLRHLNLSHNLLSGPIGNVFTSLQNLRELDLSYNNFTGDLPSSFGSLTNLTGLYLQNNKFTGSVAYLAELPLTDMNIQDNYFSGIIPNHFQSIPNLWFGGNNFHVGDNSPPWDFPLQTQATAIEQNIPAPPATQSSAIAKNPSKKLGGHKKKRIGPGGIAFLVGGGTLVASCVALYIAVRINQSRAQRLITLGSSNSSMHSLSVRNTAREESPQILAFSSPILPPRRLPLAYRMQKNPRRKSFSDKYRFPMRAKQYTVAELQLATNSFCQENFLGEGSLGSVYKAVFPNGQILAVKNINMAGLSFNEEEQFLYVIWTASRLTHPNIVPLVGYCVEHGQHLVVYEYVRNLSLHDALHSDEYKPLSWGLRLQIALGVAQALDYLHSTFTPPVAHSNLKSANILLDEELAPRICDCGLAILRPLTSNRLKLKASENAIGDTGYIAPEHGQPGIDSTKCDIYAYGVLLLELLTGRKPFDNSRPREEQSLVKWASFRLHDSESLAEMIDPGIKRTFSSKAISQFADIVSLCIQPVKEFRPPMSEVVGSLSRLIQKLNCMGKGKGVDGYGVQVDLFERSFRSTNSQFMGSPSMSYLSI >ONH95490 pep chromosome:Prunus_persica_NCBIv2:G7:11138395:11142092:-1 gene:PRUPE_7G074000 transcript:ONH95490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQDNYFSGIIPNHFQSIPNLWFGGNNFHVGDNSPPWDFPLQTQATAIEQNIPAPPATQSSAIAKNPSKKLGGHKKKRIGPGGIAFLVGGGTLVASCVALYIAVRINQSRAQRLITLGSSNSSMHSLSVRNTAREESPQILAFSSPILPPRRLPLAYRMQKNPRRKSFSDKYRFPMRAKQYTVAELQLATNSFCQENFLGEGSLGSVYKAVFPNGQILAVKNINMAGLSFNEEEQFLYVIWTASRLTHPNIVPLVGYCVEHGQHLVVYEYVRNLSLHDALHSDEYKPLSWGLRLQIALGVAQALDYLHSTFTPPVAHSNLKSANILLDEELAPRICDCGLAILRPLTSNRLKLKASENAIGDTGYIAPEHGQPGIDSTKCDIYAYGVLLLELLTGRKPFDNSRPREEQSLVKWASFRLHDSESLAEMIDPGIKRTFSSKAISQFADIVSLCIQPVKEFRPPMSEVVGSLSRLIQKLNCMGKGKGVDGYGVQVDLFERSFRSTNSQFMGSPSMSYLSI >ONH96777 pep chromosome:Prunus_persica_NCBIv2:G7:16236885:16240145:-1 gene:PRUPE_7G151400 transcript:ONH96777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIYCPVTQVIIQDPKLFQNKQSRLLTDYDEIKALGSGAFGVVVSCKSKIDETSYAVKKIAIGDEEEFKFDRVRREVLIMSQLQHPYIVRYHTVWLEPFLAGLNNIADDDDEGNSSVSNINLPHLYIQMELCDSTLKDIFESDKIMTEPLCWRIFGDVMNGLTYMHAQGIMHGDLSPANIFRCGDVWKIGDFGLARNVNDENDDKVIKSLNMKGTYKAPDENVDCAVDIYSAGILLFVMILKMKLITDMEMVVAIADLKNRGELPEEWNNYPRWQLVLKLVQRRPDLRPSARDLSTIVIAYSQ >ONH96778 pep chromosome:Prunus_persica_NCBIv2:G7:16236899:16240145:-1 gene:PRUPE_7G151400 transcript:ONH96778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIYCPVTQVIIQDPKLFQNKQSRLLTDYDEIKALGSGAFGVVVSCKSKIDETSYAVKKIAIGDEEEFKFDRVRREVLIMSQLQHPYIVRYHTVWLEPFLAGLNNIADDDDEGNSSVSNINLPHLYIQMELCDSTLKDIFESDKIMTEPLCWRIFGDVMNGLTYMHAQGIMHGDLSPANIFRCGDVWKIGDFGLVFIFFFAARNVNDENDDKVIKSLNMKGTYKAPDENVDCAVDIYSAGILLFVMILKMKLITDMEMVVAIADLKNRGELPEEWNNYPRWQLVLKLVQRRPDLRPSARDLSTIVIAYSQ >ONH96352 pep chromosome:Prunus_persica_NCBIv2:G7:14546739:14547251:-1 gene:PRUPE_7G122700 transcript:ONH96352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDFRRFLIGEEQRLKLKSIENNKSRIVVVNTSKGDNNNCSSSLHEVLHDKAVMNLIKDTKAAMEIRAYKEFSDMVSSNSDQACYGAKSVEMAHELMAIETLLIMDDLFERAEIGTRHKYVGLVKSVKEAGGKAIVFSAKHVARVELATTGIATTLRFPMPDLDDGFVT >ONH95902 pep chromosome:Prunus_persica_NCBIv2:G7:12745297:12746435:1 gene:PRUPE_7G094900 transcript:ONH95902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKGQDVHASDNRSKKFLSQVSCLYKSRLPSHTSESSFTVNRLKRDVIVMQPCQQNWMNTKQQINGKRIQKAPIEVPSVSSHVKKSEASQRNQSSTKKLKTRIRTTTK >ONH96067 pep chromosome:Prunus_persica_NCBIv2:G7:13442115:13445900:1 gene:PRUPE_7G105400 transcript:ONH96067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHLGSLVLSLVLLVGFAFTNNKAANTDDLPIHCDSLNRSSFDALQPGFIFGTTSAAYQYEGAVKEGGRGPSIWDTYTHNHSERIVDGSNGDVTVDQYHRYKEDVAIMKNTGLDAYRFSISWSNLLPNGKLSGGVNEDGIKYYNNLINELLLKGLKPFVTIFHWDLPQALEDEYGGFLSPNIVDHFRDYAELCFKEFGDRVKHWITMNEPYTVSHHGYAIGCHAPGRCSAWQNLNCTGGNSATEPYLVTHHQLLAHAVVVRLYKDEYQASQNGSIGITLASHWFEPASEATKDINAAFRSLDFMFGWCMDPLSTGDYPHTMRSIVGERLPKFTEEQSKLLNGSFDFIGINYYSARYASDASDIIYVHASYLTDPHVNATTELNGVPIGPQTALDWLYVYPKGIQDLMLYIKEKYNDPIIYITENGN >ONH96068 pep chromosome:Prunus_persica_NCBIv2:G7:13442115:13445900:1 gene:PRUPE_7G105400 transcript:ONH96068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHLGSLVLSLVLLVGFAFTNNKAANTDDLPIHCDSLNRSSFDALQPGFIFGTTSAAYQYEGAVKEGGRGPSIWDTYTHNHSERIVDGSNGDVTVDQYHRYKEDVAIMKNTGLDAYRFSISWSNLLPNGKLSGGVNEDGIKYYNNLINELLLKGLKPFVTIFHWDLPQALEDEYGGFLSPNIVDHFRDYAELCFKEFGDRVKHWITMNEPYTVSHHGYAIGCHAPGRCSAWQNLNCTGGNSATEPYLVTHHQLLAHAVVVRLYKDEYQASQNGSIGITLASHWFEPASEATKDINAAFRSLDFMFGWCMDPLSTGDYPHTMRSIVGERLPKFTEEQSKLLNGSFDFIGINYYSARYASDASDIIYVHASYLTDPHVNATTELNGVPIGPQTALDWLYVYPKGIQDLMLYIKEKYNDPIIYITENGN >ONH96065 pep chromosome:Prunus_persica_NCBIv2:G7:13441940:13445900:1 gene:PRUPE_7G105400 transcript:ONH96065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHLGSLVLSLVLLVGFAFTNNKAANTDDLPIHCDSLNRSSFDALQPGFIFGTTSAAYQYEGAVKEGGRGPSIWDTYTHNHSERIVDGSNGDVTVDQYHRYKEDVAIMKNTGLDAYRFSISWSNLLPNGKLSGGVNEDGIKYYNNLINELLLKGLKPFVTIFHWDLPQALEDEYGGFLSPNIVDHFRDYAELCFKEFGDRVKHWITMNEPYTVSHHGYAIGCHAPGRCSAWQNLNCTGGNSATEPYLVTHHQLLAHAVVVRLYKDEYQASQNGSIGITLASHWFEPASEATKDINAAFRSLDFMFGWCMDPLSTGDYPHTMRSIVGERLPKFTEEQSKLLNGSFDFIGINYYSARYASDASDIIYVHASYLTDPHVNATTELNGVPIGPQTALDWLYVYPKGIQDLMLYIKEKYNDPIIYITENGVDESNDPRVSLQEALNDTNRIDFYYRHLCYLQASIKNGAKVKGYFAWSLLDNFEWNYGYTVRFGIIYVDFKNNLKRYSKLSTYWFKRFLKKQEKSMKEIQIFVDDNGGTSNV >ONH96069 pep chromosome:Prunus_persica_NCBIv2:G7:13442071:13445900:1 gene:PRUPE_7G105400 transcript:ONH96069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTGLDAYRFSISWSNLLPNGKLSGGVNEDGIKYYNNLINELLLKGLKPFVTIFHWDLPQALEDEYGGFLSPNIVDHFRDYAELCFKEFGDRVKHWITMNEPYTVSHHGYAIGCHAPGRCSAWQNLNCTGGNSATEPYLVTHHQLLAHAVVVRLYKDEYQASQNGSIGITLASHWFEPASEATKDINAAFRSLDFMFGWCMDPLSTGDYPHTMRSIVGERLPKFTEEQSKLLNGSFDFIGINYYSARYASDASDIIYVHASYLTDPHVNATTELNGVPIGPQTALDWLYVYPKGIQDLMLYIKEKYNDPIIYITENGVDESNDPRVSLQEALNDTNRIDFYYRHLCYLQASIKNGAKVKGYFAWSLLDNFEWNYGYTVRFGIIYVDFKNNLKRYSKLSTYWFKRFLKKQEKSMKEIQIFVDDNGGTSNV >ONH96066 pep chromosome:Prunus_persica_NCBIv2:G7:13442071:13445900:1 gene:PRUPE_7G105400 transcript:ONH96066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHLGSLVLSLVLLVGFAFTNNKAANTDDLPIHCDSLNRSSFDALQPGFIFGTTSAAYQYEGAVKEGGRGPSIWDTYTHNHSERIVDGSNGDVTVDQYHRYKEDVAIMKNTGLDAYRFSISWSNLLPNGKLSGGVNEDGIKYYNNLINELLLKGLKPFVTIFHWDLPQALEDEYGGFLSPNIVDHFRDYAELCFKEFGDRVKHWITMNEPYTVSHHGYAIGCHAPGRCSAWQNLNCTGGNSATEPYLVTHHQLLAHAVVVRLYKDEYQASQNGSIGITLASHWFEPASEATKDINAAFRSLDFMFGWCMDPLSTGDYPHTMRSIVGERLPKFTEEQSKLLNGSFDFIGINYYSARYASDASDIIYVHASYLTDPHVNATTELNGVPIGPQELMSPMIPEYHFKKLLMIPIELTSIIVTFVTYKHPLKMVLK >ONH96070 pep chromosome:Prunus_persica_NCBIv2:G7:13442071:13445900:1 gene:PRUPE_7G105400 transcript:ONH96070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTGLDAYRFSISWSNLLPNGKLSGGVNEDGIKYYNNLINELLLKGLKPFVTIFHWDLPQALEDEYGGFLSPNIVDHFRDYAELCFKEFGDRVKHWITMNEPYTVSHHGYAIGCHAPGRCSAWQNLNCTGGNSATEPYLVTHHQLLAHAVVVRLYKDEYQASQNGSIGITLASHWFEPASEATKDINAAFRSLDFMFGWCMDPLSTGDYPHTMRSIVGERLPKFTEEQSKLLNGSFDFIGINYYSARYASDASDIIYVHASYLTDPHVNATTELNGVPIGPQELMSPMIPEYHFKKLLMIPIELTSIIVTFVTYKHPLKMVLK >ONH96322 pep chromosome:Prunus_persica_NCBIv2:G7:14400488:14403141:-1 gene:PRUPE_7G120600 transcript:ONH96322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFSILGDESNTTHHSHLPHAPSSHQLHQPYAPPHRFATRTTPVHAPPAQPILSDKVNAVEDQAEAGDDYDDASAAAFCSNPFESGTDQNDVVAGASEKRKEHSDELSDGGGGGSGSAPYSYKRSKPSSTAAIGEYRKDREEWSDSAISCLLDAYTDKFTQLNRGNLRGRDWEEVAAIVSERCDRQRKSVDQCKNKVDNLKKRYKLERQRMNNGGVSASHWPWFKKMENIVGNSLPGKAISDEDKGVSSPGTTPRQSKSRNAMAIISPVSQMNKVKSAPSIKWRRVVFKISGAALAGAAPNNVDSKLAMLIAREVALACHNGVEVAIVVGGRNFFCGDAWVTATGLDRSTAYQIGMMATVMNSVLLQSALEKMGVQTRVQTAFSMQEVAEPYSRQRAIRHLEKGRVVIFAGIGAGTGNPLFSTDTAAALRALEIHAEAVLKGTNVDGVYDCNSQDNNFTFEHISFRDVVTRGATSMDSMALTFCEENRIPGISNSFG >ONH96324 pep chromosome:Prunus_persica_NCBIv2:G7:14400524:14403141:-1 gene:PRUPE_7G120600 transcript:ONH96324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFSILGDESNTTHHSHLPHAPSSHQLHQPYAPPHRFATRTTPVHAPPAQPILSDKVNAVEDQAEAGDDYDDASAAAFCSNPFESGTDQNDVVAGASEKRKEHSDELSDGGGGGSGSAPYSYKRSKPSSTAAIGEYRKDREEWSDSAISCLLDAYTDKFTQLNRGNLRGRDWEEVAAIVSERCDRQRKSVDQCKNKVDNLKKRYKLERQRMNNGGVSASHWPWFKKMENIVGNSLPGKAISDEDKGVSSPGTTPRQSKSRNAMAIISPVSQMNKVKSAPSIKWRRVVFKISGAALAGAAPNNVDSKLAMLIAREVALACHNGVEVAIVVGGRNFFCGDAWVTATGLDRSTAYQIGMMATVMNSVLLQSALEKMGVQTRVQTAFSMQEVAEPYSRQRAIRHLEKGRVVIFAGIGAGTGNPLFSTDTAAALRALEIHAEAVLKGTNVDGVYDCNSQDNNFTFEHISFRDVVTRGATSMDSMALTFCEENRIPGKCILFYLYLVQIAPLS >ONH96323 pep chromosome:Prunus_persica_NCBIv2:G7:14400477:14403382:-1 gene:PRUPE_7G120600 transcript:ONH96323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFSILGDESNTTHHSHLPHAPSSHQLHQPYAPPHRFATRTTPVHAPPAQPILSDKVNAVEDQAEAGDDYDDASAAAFCSNPFESGTDQNDVVAGASEKRKEHSDELSDGGGGGSGSAPYSYKRSKPSSTAAIGEYRKDREEWSDSAISCLLDAYTDKFTQLNRGNLRGRDWEEVAAIVSERCDRQRKSVDQCKNKVDNLKKRYKLERQRMNNGGVSASHWPWFKKMENIVGNSLPGKAISDEDKGVSSPGTTPRQSKRNAMAIISPVSQMNKVKSAPSIKWRRVVFKISGAALAGAAPNNVDSKLAMLIAREVALACHNGVEVAIVVGGRNFFCGDAWVTATGLDRSTAYQIGMMATVMNSVLLQSALEKMGVQTRVQTAFSMQEVAEPYSRQRAIRHLEKGRVVIFAGIGAGTGNPLFSTDTAAALRALEIHAEAVLKGTNVDGVYDCNSQDNNFTFEHISFRDVVTRGATSMDSMALTFCEENRIPGKCILFYLYLVQIAPLS >ONH96320 pep chromosome:Prunus_persica_NCBIv2:G7:14400190:14403141:-1 gene:PRUPE_7G120600 transcript:ONH96320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFSILGDESNTTHHSHLPHAPSSHQLHQPYAPPHRFATRTTPVHAPPAQPILSDKVNAVEDQAEAGDDYDDASAAAFCSNPFESGTDQNDVVAGASEKRKEHSDELSDGGGGGSGSAPYSYKRSKPSSTAAIGEYRKDREEWSDSAISCLLDAYTDKFTQLNRGNLRGRDWEEVAAIVSERCDRQRKSVDQCKNKVDNLKKRYKLERQRMNNGGVSASHWPWFKKMENIVGNSLPGKAISDEDKGVSSPGTTPRQSKSRNAMAIISPVSQMNKVKSAPSIKWRRVVFKISGAALAGAAPNNVDSKLAMLIAREVALACHNGVEVAIVVGGRNFFCGDAWVTATGLDRSTAYQIGMMATVMNSVLLQSALEKMGVQTRVQTAFSMQEVAEPYSRQRAIRHLEKGRVVIFAGIGAGTGNPLFSTDTAAALRALEIHAEAVLKGTNVDGVYDCNSQDNNFTFEHISFRDVVTRGATSMDSMALTFCEENRIPVVVFNLLEPGNISKALCGEQVGTLIDQAGRIS >ONH96321 pep chromosome:Prunus_persica_NCBIv2:G7:14400366:14403381:-1 gene:PRUPE_7G120600 transcript:ONH96321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFSILGDESNTTHHSHLPHAPSSHQLHQPYAPPHRFATRTTPVHAPPAQPILSDKVNAVEDQAEAGDDYDDASAAAFCSNPFESGTDQNDVVAGASEKRKEHSDELSDGGGGGSGSAPYSYKRSKPSSTAAIGEYRKDREEWSDSAISCLLDAYTDKFTQLNRGNLRGRDWEEVAAIVSERCDRQRKSVDQCKNKVDNLKKRYKLERQRMNNGGVSASHWPWFKKMENIVGNSLPGKAISDEDKGVSSPGTTPRQSKRNAMAIISPVSQMNKVKSAPSIKWRRVVFKISGAALAGAAPNNVDSKLAMLIAREVALACHNGVEVAIVVGGRNFFCGDAWVTATGLDRSTAYQIGMMATVMNSVLLQSALEKMGVQTRVQTAFSMQEVAEPYSRQRAIRHLEKGRVVIFAGIGAGTGNPLFSTDTAAALRALEIHAEAVLKGTNVDGVYDCNSQDNNFTFEHISFRDVVTRGATSMDSMALTFCEENRIPGISNSFG >ONH96319 pep chromosome:Prunus_persica_NCBIv2:G7:14399685:14403381:-1 gene:PRUPE_7G120600 transcript:ONH96319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFSILGDESNTTHHSHLPHAPSSHQLHQPYAPPHRFATRTTPVHAPPAQPILSDKVNAVEDQAEAGDDYDDASAAAFCSNPFESGTDQNDVVAGASEKRKEHSDELSDGGGGGSGSAPYSYKRSKPSSTAAIGEYRKDREEWSDSAISCLLDAYTDKFTQLNRGNLRGRDWEEVAAIVSERCDRQRKSVDQCKNKVDNLKKRYKLERQRMNNGGVSASHWPWFKKMENIVGNSLPGKAISDEDKGVSSPGTTPRQSKRNAMAIISPVSQMNKVKSAPSIKWRRVVFKISGAALAGAAPNNVDSKLAMLIAREVALACHNGVEVAIVVGGRNFFCGDAWVTATGLDRSTAYQIGMMATVMNSVLLQSALEKMGVQTRVQTAFSMQEVAEPYSRQRAIRHLEKGRVVIFAGIGAGTGNPLFSTDTAAALRALEIHAEAVLKGTNVDGVYDCNSQDNNFTFEHISFRDVVTRGATSMDSMALTFCEENRIPVVVFNLLEPGNISKALCGEQVGTLIDQAGRIS >ONH95639 pep chromosome:Prunus_persica_NCBIv2:G7:11725549:11726325:-1 gene:PRUPE_7G082100 transcript:ONH95639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRNIAALVLFVMMVLCGACSGAVYRVGDSDGWISRGLVDYNKWASTKDFHVGDTLTFAYNNQFHNVMQVSDQDFQSCNATSAIAAYTSGSDTFTLKRPGHFYFLCGAPGHCQAGQKVDIEVTLPVPESMIPSPSPSPYGSSSPSVSHPEEMSPSSTLSIAPTLNSSKLGFAATAFVLGLLRFVF >ONH96448 pep chromosome:Prunus_persica_NCBIv2:G7:14983102:14984537:1 gene:PRUPE_7G129900 transcript:ONH96448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIRIISTNTVKAASHNTRAIELTPWDLQLLLVDPIQKGLLFHKPKNFSQNIQHLKASLSRTLDFFPPLAGRLATIEHDEDNALSFFINCNNAGVLFVHAKADGVTLSDVKDSLYVPRDLVNHFFPLNGLKNFQGTSKPLLAVQVTELADGIFIGCTINHTVVDGTSFWHFFNSWSEISRGSSDHVSKSPVLQRWFPDEINRPIRIPFSKLEDQVSGKFIDNIPTPNNLKERVFCFSKEKVAQLKAKASAEIEIENTNTKISSLQAVLAHLWRSIVRGSKYSSDEETSYRLLIGARPRMKPPLPQQYFGNAVQAGTVTMKAGEVLERGLGFVAWEMNKMVALHTEEKLRSFLECWVQEPKLLTEDNMAANALVTSSSPRFNVYGNDFGWGRPVGVRSGAGNKSHGKITVFAGVEEGSIDIEVCLLAETLEAMGNDSEFMDVVTLTV >ONH98080 pep chromosome:Prunus_persica_NCBIv2:G7:20128990:20131550:-1 gene:PRUPE_7G228000 transcript:ONH98080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSAKLQFKSWLSQFRNGSNPWMARYVYGFMFLIANLLAWAVRDYGGNVLTEMERLKGCHGVKDCLGAQGVLRVSLACFMFYFTMFLSTAGASKLKEPRDSWQSGWWSAKIVLWVTFIIIPFLLPAAIIQLYGEIAHFGAGVFLLIQLISIISFITWLNDCCQSSKSERCQIHIMLLATTAYVLCLTGIILMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYIVFICWFAIRSEPSGESCNKKAEASNKTDWLTIISFVIAVLAMVIATFSTGIDSKCFQFRKDETESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHQSMKKFTIDVGWASTWVRIVNEWIAVCVYCEYKILHLSFGCHVEIVVSERKFLFCSMDAGCSNHMEEQTNGGICIS >ONH98082 pep chromosome:Prunus_persica_NCBIv2:G7:20128541:20132073:-1 gene:PRUPE_7G228000 transcript:ONH98082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSAKLQFKSWLSQFRNGSNPWMARYVYGFMFLIANLLAWAVRDYGGNVLTEMERLKGCHGVKDCLGAQGVLRVSLACFMFYFTMFLSTAGASKLKEPRDSWQSGWWSAKIVLWVTFIIIPFLLPAAIIQLYGEIAHFGAGVFLLIQLISIISFITWLNDCCQSSKSERCQIHIMLLATTAYVLCLTGIILMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYIVFICWFAIRSEPSGESCNKKAEASNKTDWLTIISFVIAVLAMVIATFSTGIDSKCFQFRKDETESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHQSMKKFTIDVGWASTWVRIVNEWIAVCVYLWMLVAPIIWKSRQTAESA >ONH98086 pep chromosome:Prunus_persica_NCBIv2:G7:20128556:20133480:-1 gene:PRUPE_7G228000 transcript:ONH98086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSAKLQFKSWLSQFRNGSNPWMARYVYGFMFLIANLLAWAVRDYGGNVLTEMERLKGCHGVKDCLGAQGVLRVSLACFMFYFTMFLSTAGASKLKEPRDSWQSGWWSAKIVLWVTFIIIPFLLPAAIIQLYGEIAHFGAGYHSILLLIPVFIMVFLLIQLISIISFITWLNDCCQSSKSERCQIHIMLLATTAYVLCLTGIILMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYIVFICWFAIRSEPSGESCNKKAEASNKTDWLTIISFVIAVLAMVIATFSTGIDSKCFQFRKDETESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHQSMKKFTIDVGWASTWVRIVNEWIAVCVYLWMLVAPIIWKSRQTAESA >ONH98090 pep chromosome:Prunus_persica_NCBIv2:G7:20128540:20132291:-1 gene:PRUPE_7G228000 transcript:ONH98090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSAKLQFKSWLSQFRNGSNPWMARYVYGFMFLIANLLAWAVRDYGGNVLTEMERLKGCHGVKDCLGAQGVLRVSLACFMFYFTMFLSTAGASKLKEPRDSWQSGWWSAKIVLWVTFIIIPFLLPAAIIQLYGEIAHFGAGVFLLIQLISIISFITWLNDCCQSSKSERCQIHIMLLATTAYVLCLTGIILMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYIVFICWFAIRSEPSGESCNKKAEASNKTDWLTIISFVIAVLAMVIATFSTGIDSKCFQERRDRIRG >ONH98088 pep chromosome:Prunus_persica_NCBIv2:G7:20128541:20133384:-1 gene:PRUPE_7G228000 transcript:ONH98088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSAKLQFKSWLSQFRNGSNPWMARYVYGFMFLIANLLAWAVRDYGGNVLTEMERLKGCHGVKDCLGAQGVLRVSLACFMFYFTMFLSTAGASKLKEPRDSWQSGWWSAKIVLWVTFIIIPFLLPAAIIQLYGEIAHFGAGVFLLIQLISIISFITWLNDCCQSSKSERCQIHIMLLATTAYVLCLTGIILMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYIVFICWFAIRSEPSGESCNKKAEASNKTDWLTIISFVIAVLAMVIATFSTGIDSKCFQERRDRIRG >ONH98089 pep chromosome:Prunus_persica_NCBIv2:G7:20128540:20132090:-1 gene:PRUPE_7G228000 transcript:ONH98089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSAKLQFKSWLSQFRNGSNPWMARYVYGFMFLIANLLAWAVRDYGGNVLTEMERLKGCHGVKDCLGAQGVLRVSLACFMFYFTMFLSTAGASKLKEPRDSWQSGWWSAKIVLWVTFIIIPFLLPAAIIQLYGEIAHFGAGVFLLIQLISIISFITWLNDCCQSSKSERCQIHIMLLATTAYVLCLTGIILMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYIVFICWFAIRSEPSGESCNKKAEASNKTDWLTIISFVIAVLAMVIATFSTGIDSKCFQERRDRIRG >ONH98087 pep chromosome:Prunus_persica_NCBIv2:G7:20128540:20132385:-1 gene:PRUPE_7G228000 transcript:ONH98087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLNGVEMESGSAKLQFKSWLSQFRNGSNPWMARYVYGFMFLIANLLAWAVRDYGGNVLTEMERLKGCHGVKDCLGAQGVLRVSLACFMFYFTMFLSTAGASKLKEPRDSWQSGWWSAKIVLWVTFIIIPFLLPAAIIQLYGEIAHFGAGVFLLIQLISIISFITWLNDCCQSSKSERCQIHIMLLATTAYVLCLTGIILMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYIVFICWFAIRSEPSGESCNKKAEASNKTDWLTIISFVIAVLAMVIATFSTGIDSKCFQERRDRIRG >ONH98081 pep chromosome:Prunus_persica_NCBIv2:G7:20128541:20132384:-1 gene:PRUPE_7G228000 transcript:ONH98081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLNGVEMESGSAKLQFKSWLSQFRNGSNPWMARYVYGFMFLIANLLAWAVRDYGGNVLTEMERLKGCHGVKDCLGAQGVLRVSLACFMFYFTMFLSTAGASKLKEPRDSWQSGWWSAKIVLWVTFIIIPFLLPAAIIQLYGEIAHFGAGVFLLIQLISIISFITWLNDCCQSSKSERCQIHIMLLATTAYVLCLTGIILMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYIVFICWFAIRSEPSGESCNKKAEASNKTDWLTIISFVIAVLAMVIATFSTGIDSKCFQFRKDETESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHQSMKKFTIDVGWASTWVRIVNEWIAVCVYLWMLVAPIIWKSRQTAESA >ONH98085 pep chromosome:Prunus_persica_NCBIv2:G7:20128621:20133380:-1 gene:PRUPE_7G228000 transcript:ONH98085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSAKLQFKSWLSQFRNGSNPWMARYVYGFMFLIANLLAWAVRDYGGNVLTEMERLKGCHGVKDCLGAQGVLRVSLACFMFYFTMFLSTAGASKLKEPRDSWQSGWWSAKIVLWVTFIIIPFLLPAAIIQLYGEIAHFGAGVFLLIQLISIISFITWLNDCCQSSKSERCQIHIMLLATTAYVLCLTGIILMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYIVFICWFAIRSEPSGESCNKKAEASNKTDWLTIISFVIAVLAMVIATFSTGIDSKCFQFRKDETESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHQSMKKFTIDVGWASTWVRIVNEWIAVCVYLWMLVAPIIWKSRQTAESA >ONH98084 pep chromosome:Prunus_persica_NCBIv2:G7:20128541:20134720:-1 gene:PRUPE_7G228000 transcript:ONH98084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSAKLQFKSWLSQFRNGSNPWMARYVYGFMFLIANLLAWAVRDYGGNVLTEMERLKGCHGVKDCLGAQGVLRVSLACFMFYFTMFLSTAGASKLKEPRDSWQSGWWSAKIVLWVTFIIIPFLLPAAIIQLYGEIAHFGAGVFLLIQLISIISFITWLNDCCQSSKSERCQIHIMLLATTAYVLCLTGIILMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYIVFICWFAIRSEPSGESCNKKAEASNKTDWLTIISFVIAVLAMVIATFSTGIDSKCFQFRKDETESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHQSMKKFTIDVGWASTWVRIVNEWIAVCVYLWMLVAPIIWKSRQTAESA >ONH98083 pep chromosome:Prunus_persica_NCBIv2:G7:20128995:20131550:-1 gene:PRUPE_7G228000 transcript:ONH98083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSAKLQFKSWLSQFRNGSNPWMARYVYGFMFLIANLLAWAVRDYGGNVLTEMERLKGCHGVKDCLGAQGVLRVSLACFMFYFTMFLSTAGASKLKEPRDSWQSGWWSAKIVLWVTFIIIPFLLPAAIIQLYGEIAHFGAGVFLLIQLISIISFITWLNDCCQSSKSERCQIHIMLLATTAYVLCLTGIILMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGILTPGLMGLYIVFICWFAIRSEPSGESCNKKAEASNKTDWLTIISFVIAVLAMVIATFSTGIDSKCFQFRKDETESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHQSMKKFTIDVGWASTWVRIVNEWIAVCVYLWMLVAPIIWKSRQTAESA >ONH96124 pep chromosome:Prunus_persica_NCBIv2:G7:13705105:13707388:1 gene:PRUPE_7G108800 transcript:ONH96124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNPAVFVNAELLRLYVGRRVRALIQVVRTEGGTVIGKSTDENQLVVKGSPPFPLTKFVEVIGIADGEKSIHADIWNNFGETIDTTTYNQLCQLANGDFKHLFI >ONH98869 pep chromosome:Prunus_persica_NCBIv2:G7:22159874:22166872:-1 gene:PRUPE_7G270000 transcript:ONH98869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGGVVGGVILDESVLLASQQLQHPDSSSSSHSSSNCAFFQPDAHFLLRKLRHSNIPTGISYGPGLEAHKVSILKEVATQYSIHCFILDASSIDDTTREVELAWRNIGGCILYLVSNKKRDIYPKLSKCGWLITILNVEGSSACENSSMVYINKLQELPLTICHINRKRGAFPMYPTQDGLMFVPLTFELPLSPQLQEVDVVLHKATDEIISIDLNSSLQSSNTITYSRGMQELQRYMEHHLDLCVIDPLNYIYPVLDRLKIQQILLGLEDLKTRGCRAIRGPNFLKVDDFNQAGLIQSLSETKLALPSIVKPQVACGVADSHSMAIVFRVEDFKELTVPLPAIIQEYVDHSSTLYKFYVLGEKVYHAVKNSTPNADTLMKLSGSNELKPLVFDSLKSLPTAKGNPNSGDGNSSKDTDHCIDLELVTSAANWLMRKLELTIFGFDVVIQEGTGDHVIVDVNYLPSFKEVPNEVAIPAFWDAIKKKFELKRTT >ONH98872 pep chromosome:Prunus_persica_NCBIv2:G7:22160523:22166694:-1 gene:PRUPE_7G270000 transcript:ONH98872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGGVVGGVILDESVLLASQQLQHPDSSSSSHSSSNCAFFQPDAHFLLRKLRHSNIPTGISYGPGLEAHKVSILKEVATQYSIHCFILDASSIDDTTREVELAWRNIGGCILYLVSNKKRDIYPKLSKCGWLITILNVEGSSACENSSMVYINKLQELPLTICHINRKAIGNSVVTVGYIMKPSREEDFAKRGAFPMYPTQDGLMFVPLTFELPLSPQLQEVDVVLHKATDEIISIDLNSSLQSSNTITYSRGMQELQRYMEHHLDLCVIDPLNYIYPVLDRLKIQQILLGLEDLKTRGCRAIRGPNFLKVDDFNQAGLIQSLSETKLALPSIVKPQVACGVADSHSMAIVFRVEDFKELTVPLPAIIQEYVDHSSTLYKFYVLGEKVYHAVKNSTPNADTLMKLSGSNELKPLVFDSLKSLPTAKGNPNSGDGNSSKDTDHCIDLELVTSAANWLMRKLELTIFGFDVVVSNQVQFAQLYIIATHNFTKLSCKPMLMR >ONH98870 pep chromosome:Prunus_persica_NCBIv2:G7:22160093:22166694:-1 gene:PRUPE_7G270000 transcript:ONH98870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGGVVGGVILDESVLLASQQLQHPDSSSSSHSSSNCAFFQPDAHFLLRKLRHSNIPTGISYGPGLEAHKVSILKEVATQYSIHCFILDASSIDDTTREVELAWRNIGGCILYLVSNKKRDIYPKLSKCGWLITILNVEGSSACENSSMVYINKLQELPLTICHINRKAIGNSVVTVGYIMKPSREEDFAKRGAFPMYPTQDGLMFVPLTFELPLSPQLQEVDVVLHKATDEIISIDLNSSLQSSNTITYSRGMQELQRYMEHHLDLCVIDPLNYIYPVLDRLKIQQILLGLEDLKTRGCRAIRGPNFLKVDDFNQAGLIQSLSETKLALPSIVKPQVACGVADSHSMAIVFRVEDFKELTVPLPAIIQEYVDHSSTLYKFYVLGEKVYHAVKNSTPNADTLMKLSGSNELKPLVFDSLKSLPTAKGNPNSGDGNSSKDTDHCIDLELVTSAANWLMRKLELTIFGFDVVIQEGTGDHVIVDVNYLPSFKEVPNEVAIPAFWDAIKKKFELKRTT >ONH98871 pep chromosome:Prunus_persica_NCBIv2:G7:22160431:22166694:-1 gene:PRUPE_7G270000 transcript:ONH98871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGGVVGGVILDESVLLASQQLQHPDSSSSSHSSSNCAFFQPDAHFLLRKLRHSNIPTGISYGPGLEAHKVSILKEVATQYSIHCFILDASSIDDTTREVELAWRNIGGCILYLVSNKKRDIYPKLSKCGWLITILNVEGSSACENSSMVYINKLQELPLTICHINRKAIGNSVVTVGYIMKPSREEDFAKRGAFPMYPTQDGLMFVPLTFELPLSPQLQEVDVVLHKATDEIISIDLNSSLQSSNTITYSRGMQELQRYMEHHLDLCVIDPLNYIYPVLDRLKIQQILLGLEDLKTRGCRAIRGPNFLKVDDFNQAGLIQSLSETKLALPSIVKPQVACGVADSHSMAIVFRVEDFKELTVPLPAIIQEYVDHSSTLYKFYVLGEKVYHAVKNSTPNADTLMKLSGSNELKPLVFDSLKSLPTAKGNPNSGDGNSSKDTDHCIDLELVTSAANWLMRKLELTIFGFDVVDYAWEKEKQNRTMRTTVWFVTTRTSLSI >ONH98873 pep chromosome:Prunus_persica_NCBIv2:G7:22160002:22166694:-1 gene:PRUPE_7G270000 transcript:ONH98873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGGVVGGVILDESVLLASQQLQHPDSSSSSHSSSNCAFFQPDAHFLLRKLRHSNIPTGISYGPGLEAHKVSILKEVATQYSIHCFILDASSIDDTTREVELAWRNIGGCILYLVSNKKRDIYPKLSKCGWLITILNVEGSSACENSSMVYINKLQELPLTICHINRKAIGNSVVTVGYIMKPSREEDFAKRGAFPMYPTQDGLMFVPLTFELPLSPQLQEVDVVLHKATDEIISIDLNSSLQSSNTITYSRGMQELQRYMEHHLDLCVIDPLNYIYPVLDRLKIQQILLGLEDLKTRGCRAIRGPNFLKVDDFNQAGLIQSLSETKLALPSIVKPQVACGVADSHSMAIVFRVEDFKELTVPLPAIIQEYVDHSSTLYKFYVLGEKVYHAVKNSTPNADTLMKLSGT >ONH95987 pep chromosome:Prunus_persica_NCBIv2:G7:13066388:13069859:-1 gene:PRUPE_7G100300 transcript:ONH95987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQNNGLGMDLLIPGKIRKRGCSSSASSSSSIIQNYRFKRAILVGKRGRSSTPVPTWKLMSSRSPTASALRAMDSPNYAASSQNGAGRSKQQAPVSARKLAATLWEMNDMPSPRVREGSSDERRLRKMEIMNSKARERERIARSAHSGSLPPHLSDPSHSPVSERTERSGTGSFHRRTPSISQRLRLTDHHAGMLDSHRNGSLMEVETRSRAQTPTASTVGVKTHLKDVSNALTTSKELLKIINRIWGNEDRPSSSMALISALHAELERARLQVNQLIQEQRADQNEINYLMKCFAEEKAAWKSKEHKVVEAAIEAVAGELEVERKLRRRSESLNKKLGKELAETKASLVKAVKELESEKRTREIMEQVCDELARDIDEDKSEAVELKRESSKVREDVEKEREMMQFADVLREERAQVKLSEAKHQLEEKNAAVDILRSQLEAFMGSKRTKEKGRGSSHLNDEEISAYLSRAHLGSHQDDEKDEDGGEVEDGIECEEDSAESDLHSIELSMGNNNRSYNLIHASGAARDPRWAAFDVEEVKGRKSTSGKPPRRSTSLQRSVSDGVEWGMQAEKLQNSGDGIDWERFPELERQRQEQGKGRGDEMQGYKSSMGLRDQMLSGSRLGPARVHASPTRQWGQPWPSRDPTGTFQDRPPSAQGNGSKSRLGEARGEGQNGRRSKR >ONH95147 pep chromosome:Prunus_persica_NCBIv2:G7:9253252:9257412:1 gene:PRUPE_7G054100 transcript:ONH95147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKQAIFISSLVILWYSSNIGVILLNKFLLSNYGFRFPIFLTMCHMAACAILSYISIVFLKVVPLQTLKSKSQFVKIATLSVVFCGSVVGGNISLRYLAVSFNQAVGATTPFFTALFAYLATLKREAWVTYAALVPVVTGVVIASGGEPSFHFFGFVMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAILVLLPAALIMEPNVVDDTLSLGREHKFMWLLLLVNSVMAYSANLSNFLVTKHTSALTLQVLGNAKGAVAVVISILLFKNPVTVIGIGGYMITVAGVVAYGEAKRRFR >ONH95148 pep chromosome:Prunus_persica_NCBIv2:G7:9253432:9255936:1 gene:PRUPE_7G054100 transcript:ONH95148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKQAIFISSLVILWYSSNIGVILLNKFLLSNYGFRFPIFLTMCHMAACAILSYISIVFLKVVPLQTLKSKSQFVKIATLSVVFCGSVVGGNISLRYLAVSFNQAVGATTPFFTALFAYLATLKREAWVTYAALVPVVTGVVIASGGEPSFHFFGFVMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAILVLLPAALIMEPNVVDDTLSLGREHKFMWLLLLVNSVMAYSANLSNFLVTKHTSALTLQVLTSLTLFFLVLCVLHNYFPNY >ONH96337 pep chromosome:Prunus_persica_NCBIv2:G7:14467931:14469921:-1 gene:PRUPE_7G121500 transcript:ONH96337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQAKEKRAEAAARLAADELRDVNKGQVYEEKTKIETTYTPNDELGRPAEQVHEEKPGVIGSVLKTVTGKLEQAKDAVVGKSHDESHVEEKAKETTGAAGEKINEYTGTAAEKAKQSKDTAAQKAKDAKDTAAQKAKDAKDTTMGKTSEYTGYAAQKAKETKDAAAQEAKEAKDTTMGKTSDYTGYAADKTKETKDYAAEKAKEAAEKAKETEDSAMGKAGEYTNYATEKAKEAKDATMQKAKDAKDTTVGKASEYTNYAAEKAKEAKDTTAEKANETKDYTDEKAKEGKDTTVSKLGELKDTAAGAARKAMDYLSGKKEETKQKAAETAEQTKDKAADTTEKTKEKTYETAEKTKEKLSETEEEARRKMEELKVEGKEYKDEAGGRSREARDIEAEKGKAAKGNILSSFGGVTGAIKSKLTQPTDVVEREEVDVAETRPGEVAGKLYSSDQMHGQNFNDVGRLDGGKARVEVHIDDDGKVRVESPGKM >ONH96336 pep chromosome:Prunus_persica_NCBIv2:G7:14468021:14469911:-1 gene:PRUPE_7G121500 transcript:ONH96336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQAKEKRAEAAARLAADELRDVNKGQVYEEKTKIETTYTPNDELGRPAEQVHEEKPGVIGSVLKTVTGKLEQAKDAVVGKSHDESHVEEKAKETTGAAGEKINEYTGTAAEKAKQSKDTAAQKAKDAKDTAAQKAKDAKDTTMGKTSEYTGYAAQKAKETKDAAAQEAKEAKDTTMGKTSDYTGYAADKTKETKDYAAEKAKEAAEKAKETEDSAMGKAGEYTNYATEKAKEAKDATMQKAKDAKDTTVGKASEYTNYAAEKAKEAKDTTAEKANETKDYTDEKAKEGKDTTVSKLGELKDTAAGAARKAMDYLSGKKEETKQKAADTTEKTKEKTYETAEKTKEKLSETEEEARRKMEELKVEGKEYKDEAGGRSREARDIEAEKGKAAKGNILSSFGGVTGAIKSKLTQPTDVVEREEVDVAETRPGEVAGKLYSSDQMHGQNFNDVGRLDGGKARVEVHIDDDGKVRVESPGKM >ONH96653 pep chromosome:Prunus_persica_NCBIv2:G7:15792262:15794112:1 gene:PRUPE_7G143800 transcript:ONH96653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETYEDDGFIETVDDYPSCPKTRKKSPIEPQPHKKSRTCSIRKAESKIKDVCGASRTRKFLVRGTEVPRRIDPVEATGKDIALQRAKAFKSEYPFCWVLMHHSYIHRSYLRLPAKFSKEHINWTHDNIVLHVPNGRTWPVKLGQDARGRVIYLSGWTTFVRDNNLEVGDVCVFELINDVKPLFDVVLFCATKAANCTLSPADDDSGYDSGDDSGDESDDNSIEILDDFPPVPRKTREKPPHISLERAVERANSFASKYPFFKIAMHATYIHGHHMNLSSSFVKENLNQTSTYAILRVSDGRTWSVKLDQYGDARTRFQGGWMDFVRENSLVIGDVCVFVLVNNIKPLFDVAFYRTKSKAAHCTLNN >ONH97035 pep chromosome:Prunus_persica_NCBIv2:G7:16990643:16996789:1 gene:PRUPE_7G166000 transcript:ONH97035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIGGEEPTQEPGSHGDRIFVSVRLRPLSEKETARNDVSDWECINDSTVIYRNNLSVSERSMYPTAYTFDRVFGTDCSTMRVYEEGAKKVALSVVSGINSSIFAYGQTSSGKTYTMSGITEYTVTDIYDYIEKHKEREFHLKFSAMEIYNESVRDLLSADTTPLRLLDDPERGTIVEKLTEETLRDWDHFKELLSVCEDQRQIGETALNEASSRSHQILRLVIESSAREFLGYDKSSSLTAVVNFVDLAGSERASQTLSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPYRDSKLTRILQSSLGGNARTAIICTMSPAHSHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKALVKHLQRELTRLETELRGSGPKTVPADSSTLLREKDHQIEKLKKEVSELTQQRDLAQSQVKDLVRVLGDDKPSAEDLDRYYPKLRVRNAWDIEIQTSGAPVQPVSHGRANSVRSFGTSQYSDGDSRSSSNETLFQLPDLEESFLHTDSSRQLSVGIPNFVDGNLHQEESKERSDGNSEDLCKEVRCIEMEESSTNRYVVSNISDSSASRYQNSNMSSPMANTATSGLTMVENGDGTNQELESPLLNQKGFLIPSSEQTSQWLSEKDMFSPSFLKLRRTRSCRARLTNSWSSCWFEMMVEKNESTPPPIDFEKSFTGRPEGVQKKLPSLNYGGEIERLSRNGSQASARSDTVEECKAQNTTFTTDDKSTECSTLAEGTEEMTDTQCNTQLADRTVPETDLKPVPSARDVKDVGLDPIHSDEESPSMWPSEFNRLQREIIELWDACNVSLVHRTYFFLLFKGDPSDSIYMEVEHRRLSFLKETFARGNQTLEDGQTITPASSSKALSSERHMLSKQMRRRLSADERNNLYLKWGIGLHSKNRRLQLANLLWSDTKNMDHIMDSATIVAKLVSTVEPEQAFKEMFGLRFAPRDARPKSHFWTESFKALV >ONH97036 pep chromosome:Prunus_persica_NCBIv2:G7:16989880:16996797:1 gene:PRUPE_7G166000 transcript:ONH97036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIGGEEPTQEPGSHGDRIFVSVRLRPLSEKETARNDVSDWECINDSTVIYRNNLSVSERSMYPTAYTFDRVFGTDCSTMRVYEEGAKKVALSVVSGINSSIFAYGQTSSGKTYTMSGITEYTVTDIYDYIEKHKEREFHLKFSAMEIYNESVRDLLSADTTPLRLLDDPERGTIVEKLTEETLRDWDHFKELLSVCEDQRQIGETALNEASSRSHQILRLVIESSAREFLGYDKSSSLTAVVNFVDLAGSERASQTLSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPYRDSKLTRILQSSLGGNARTAIICTMSPAHSHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKALVKHLQRELTRLETELRGSGPKTVPADSSTLLREKDHQIEKLKKEVSELTQQRDLAQSQVKDLVRVLGDDKPSAEDLDRYYPKLRVRNAWDIEIQTSGAPVQPVSHGRANSVRSFGTSQYSDGDSRSSSNETLFQLPDLEESFLHTDSSRQLSVGIPNFVDGNLHQEESKERSDGNSEDLCKEVRCIEMEESSTNRYVVSNISDSSASRYQNSNMSSPMANTATSGLTMVENGDGTNQELESPLLNQKGFLIPSSEQTSQWLSEKDMFSPSFLKLRRTRSCRARLTNSWSSCWFEMMVEKNESTPPPIDFEKSFTGRPEGVQKKLPSLNYGGEIERLSRNGSQASARSDTVEECKAQNTTFTTDDKSTECSTLAEGTEEMTDTQCNTQLADRTVPETDLKPVPSARDVKDVGLDPIHSDEESPSMWPSEFNRLQREIIELWDACNVSLVHRTYFFLLFKGDPSDSIYMEVEHRRLSFLKETFARGNQTLEDGQTITPASSSKALSSERHMLSKQMRRRLSADERNNLYLKWGIGLHSKNRRLQLANLLWSDTKNMDHIMDSATIVAKLVSTVEPEQAFKEMFGLRFAPRDARPKSHFWTESFKALV >ONH95075 pep chromosome:Prunus_persica_NCBIv2:G7:8707026:8713766:1 gene:PRUPE_7G050000 transcript:ONH95075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEENKKDKTFKESMGTNPSPHKPIVKDDGAESDVVEIESFKNDPARIEAMTVQQLRTTLRNAGVSAKGRKFELVAALKCFLEKKKDGEGSLVIEEQVASTCVENASEKTEAETSSDGDQVQNVPVSEVGELQRYKRRLNHSSVKSETVIGYSKVATKKEKLSIESEDLSVHKLSRTRKKTSSETINFEVKTDDKVAEPWTVLAHKKPQKDWVPYNPRTMKLPPLAKDTKFLKLMSWNVNGLRALLKLEGFSALQLAQREDFDVLCLQETKLQEKDVEDMKRSLIDGYGNSFWTCSVSKLGYSGTAIISRIKPLSVRYGIGISDHDSEGRIITAEFDSFYLITGYVPNSGDGLRRLSYRINEWDPSLSNYMKELEKSKPVILTGDLNCAHQEIDIYNPAGNKRSAGFTDEERQSFGSNFLSRGFVDTFRRQHPGVVGYTYWGYRHGGRKFNRGWRLDYFLVSESISDKVHDSYILPDITGSDHCPIGLVLKL >ONH95074 pep chromosome:Prunus_persica_NCBIv2:G7:8707014:8713766:1 gene:PRUPE_7G050000 transcript:ONH95074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEENKKDKTFKESMGTNPSPHKPIVKDDGAESDVVEIESFKNDPARIEAMTVQQLRTTLRNAGVSAKGRKFELVAALKCFLEKKKDGEGSLVIEEQVASTCVENASEKTEAETSSDGDQVQNVPVSEVGELQRYKRRLNHSSVKSETVIGYSKVATKKEKLSIESEDLSVHKLSRTRKKTSSETINFEVKTDDKVAEPWTVLAHKKPQKDWVPYNPRTMKLPPLAKDTKFLKLMSWNVNGLRALLKLEGFSALQLAQREDFDVLCLQETKLQEKDVEDMKRSLIDGYGNSFWTCSVSKLGYSGTAIISRIKPLSVRYGIGISDHDSEGRIITAEFDSFYLITGYVPNSGDGLRRLSYRINEWDPSLSNYMKELEKSKPVILTGDLNCAHQEIDIYNPAGNKRSAGFTDEERQSFGSNFLSRGFVDTFRRQHPGVVGYTYWGYRHGGRKFNRGWRLDYFLVSESISDKVHDSYILPDITGSDHCPIGLVLKL >ONH95073 pep chromosome:Prunus_persica_NCBIv2:G7:8706939:8713766:1 gene:PRUPE_7G050000 transcript:ONH95073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEENKKDKTFKESMGTNPSPHKPIVKDDGAESDVVEIESFKNDPARIEAMTVQQLRTTLRNAGVSAKGRKFELVAALKCFLEKKKDGEGSLVIEEQVASTCVENASEKTEAETSSDGDQVQNVPVSEVGELQRYKRRLNHSSVKSETVIGYSKVATKKEKLSIESEDLSVHKLSRTRKKTSSETINFEVKTDDKVAEPWTVLAHKKPQKDWVPYNPRTMKLPPLAKDTKFLKLMSWNVNGLRALLKLEGFSALQLAQREDFDVLCLQETKLQEKDVEDMKRSLIDGYGNSFWTCSVSKLGYSGTAIISRIKPLSVRYGIGISDHDSEGRIITAEFDSFYLITGYVPNSGDGLRRLSYRINEWDPSLSNYMKELEKSKPVILTGDLNCAHQEIDIYNPAGNKRSAGFTDEERQSFGSNFLSRGFVDTFRRQHPGVVGYTYWGYRHGGRKFNRGWRLDYFLVSESISDKVHDSYILPDITGSDHCPIGLVLKL >ONH95072 pep chromosome:Prunus_persica_NCBIv2:G7:8706996:8713805:1 gene:PRUPE_7G050000 transcript:ONH95072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIQPLQLGFAVAPRNLRTGALASLTRLRATQGVPRRPVSAKTTKPMFEENKKDKTFKESMGTNPSPHKPIVKDDGAESDVVEIESFKNDPARIEAMTVQQLRTTLRNAGVSAKGRKFELVAALKCFLEKKKDGEGSLVIEEQVASTCVENASEKTEAETSSDGDQVQNVPVSEVGELQRYKRRLNHSSVKSETVIGYSKVATKKEKLSIESEDLSVHKLSRTRKKTSSETINFEVKTDDKVAEPWTVLAHKKPQKDWVPYNPRTMKLPPLAKDTKFLKLMSWNVNGLRALLKLEGFSALQLAQREDFDVLCLQETKLQEKDVEDMKRSLIDGYGNSFWTCSVSKLGYSGTAIISRIKPLSVRYGIGISDHDSEGRIITAEFDSFYLITGYVPNSGDGLRRLSYRINEWDPSLSNYMKELEKSKPVILTGDLNCAHQEIDIYNPAGNKRSAGFTDEERQSFGSNFLSRGFVDTFRRQHPGVVGYTYWGYRHGGRKFNRGWRLDYFLVSESISDKVHDSYILPDITGSDHCPIGLVLKL >ONH95071 pep chromosome:Prunus_persica_NCBIv2:G7:8706923:8713767:1 gene:PRUPE_7G050000 transcript:ONH95071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLKFKNQICQRGFLFCFFERSHRGYTSDILTGRTCFHCIGGSYFSSSYPKNEKKQNQKVAPRNLRTGALASLTRLRATQGVPRRPVSAKTTKPMFEENKKDKTFKESMGTNPSPHKPIVKDDGAESDVVEIESFKNDPARIEAMTVQQLRTTLRNAGVSAKGRKFELVAALKCFLEKKKDGEGSLVIEEQVASTCVENASEKTEAETSSDGDQVQNVPVSEVGELQRYKRRLNHSSVKSETVIGYSKVATKKEKLSIESEDLSVHKLSRTRKKTSSETINFEVKTDDKVAEPWTVLAHKKPQKDWVPYNPRTMKLPPLAKDTKFLKLMSWNVNGLRALLKLEGFSALQLAQREDFDVLCLQETKLQEKDVEDMKRSLIDGYGNSFWTCSVSKLGYSGTAIISRIKPLSVRYGIGISDHDSEGRIITAEFDSFYLITGYVPNSGDGLRRLSYRINEWDPSLSNYMKELEKSKPVILTGDLNCAHQEIDIYNPAGNKRSAGFTDEERQSFGSNFLSRGFVDTFRRQHPGVVGYTYWGYRHGGRKFNRGWRLDYFLVSESISDKVHDSYILPDITGSDHCPIGLVLKL >ONH97196 pep chromosome:Prunus_persica_NCBIv2:G7:17458547:17467657:1 gene:PRUPE_7G175200 transcript:ONH97196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRFPFSPAEVAKVRCVQFGILSPDEIRQMSVVQIEHSETMLGGKPKTAGLSDPRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILVDEDDHKFKQALRIKSPKNRLKKILDACKNKTKCDGGDEIDVQGQESEEPVKKSRGGCGAQQPKLSIEGMKMNAEYKAQRKKSDDQEQLPEPVERKQTLTAERVLSVLKRISDEDSQLLGLNPKYARPDWMILQVLPIPPQPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHIATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDQLGVPWSIALNLTYPETVTPYNIERLKELVENGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLQRTSAWHSEAESGNITPGDTFVRIEKGELLAGTLCKKALGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADAATMEKINETISKAKNEVKDLISKAQSKQLEAEPGRTMMDSFENKVNQVLNRARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKSEFDKTFSYELDDENWNPDYMLHEHVEDLKTIREFRNVFDAEVQKLETDRLQLGTEIAVTGDNSWPLPVNLKRLIWNAQKTFKIDFRRTSDMHPMEIVEAIDKLQERLKVVPGDDLLSVEAQKNATLFFSILLRSTFASKRVLDEYRLTREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVYLKPEANKTKERAKNVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDVDFVNSYYEMPDEEVNPDKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNAEAPKGELVDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHGKVNKFDPNEGFKAEQEWMLDTEGVNLLAVLCHDDVDARRTTSNHLIEILEILGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVFAETDYLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMDGLDFGMTPSRSPVSGTPYHEGMMSPNYLLSPNLRLSPISDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSSAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPGSPFTSGVSPDYSPSSPQYSPSAGYSPSQPGYSPSSTSQYTPQTSEKDTKDDRSTR >ONH95352 pep chromosome:Prunus_persica_NCBIv2:G7:10446745:10448654:1 gene:PRUPE_7G066000 transcript:ONH95352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIMTRASSFVSQMMLQIIRSPSLPLFTSLVLLVVLAIYWKRFRSHTCPIDLRLPPEPWKLHLIGSLHQLAGSLQHHCLTDLAKKYGPIMHLKLPLSPNCRPALIFVPDNDHWREMRKVCMLELLSAKQVWSFSSIRNEEASSLVQSISSSEGHPVNLSDMIFNMQNCITARAALGKKCKHQQEFISLVEEMGKFVLLRYVTGLKPASEKIHRKMDRILEEVINDLRKKRKAAFALLTNKNNKESCHQEEEEDLVDVLLQLQESGELQIDLTNTIIKAVTLDLYFAGSETAAATTEWAMSELVRNPRAMESTGGDDDEQSGLLLHKIGMYDIPSKSRVLINAWGMWENPDCFMPDRFQGCRVDFRGNDFKFIPFGEVRECVQAYYLELHPLSLLAQLLYHFNWKLPPPSPVVLKNAFNATQEEEEQEQQQQLQLDMTEPIKLAAIKKNDLYVIAIPFIP >ONH98274 pep chromosome:Prunus_persica_NCBIv2:G7:20670865:20673536:1 gene:PRUPE_7G239500 transcript:ONH98274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSDQTQFMPSQTPYAGGSDAVNCWPPFPMSMNNEDQHSQFEQQPSPFKRPRNSEENQSNPMPYPPMNARMQPQNAPVNKGASNIFYKTRLCVNFKTGMCRNGENCNYAHGIEDIRHPPPNWQELVSVREEDRPPLSGNWDDDQKIIHRMKLCKKFYNGEECPYGDRCNFLHEDPAKFRDDISRFRENTAISIGTTGPSVVHGSGSNHSEENRLVSTGMDTFRVNMKPVYWKTKLCNKWETTGKCPFGEKCHFAHGQAELQVPGGRVEAEVLSSTPISTKPQAGPVNDSSTTAMAILPASNEEGGQGKKRVLKWKGSKKINRIYADWLDDVPLVHQQNLSSTVES >ONH94526 pep chromosome:Prunus_persica_NCBIv2:G7:3136294:3137238:1 gene:PRUPE_7G021000 transcript:ONH94526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLLFWDEHRSSSSSSSSVSEEAKVVVFFSSLSLIMFSLLDLFNINKLALKVRIFTPPRLVPIAVAPPLSS >ONH95807 pep chromosome:Prunus_persica_NCBIv2:G7:12413367:12417628:-1 gene:PRUPE_7G090700 transcript:ONH95807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESANGITSEHESIAIEEKHVDMPVPDLNKDGKNDSNVEVHAANGISEPGTKDEGINSSGVAVEASATVPPGKNSKTMKDPHAPNNGFSKSKLAKDKPNLKGITQIPRNQRAILSQSLSFPSRGSRGDPMKKSIDANPVKAVAKHARGNATKAEAHFSVSRLNPNRRASTGVYSKEENTTGGASLKRTSLAAIPSIRCSSSGKPGSVNTSANSPSNVIRAVDQSLNPVKTTLPIKEDDDAHSIASSTTPSGRRSSGSFAFRLDERAEKRKEFFDKLEEKIQAKEAEKNNSQAKSKESQEAEIKKLRKSLTFKAAPMPSFYKEPLPKVELKKIPTTRAKSPKLGRNKSSISSLNNSSEGGGACLSPRLNQELNNSKKALKTRSEKDVIDSKKPIRKSHSRLHSQENVATKDEAKSVKSPPKAIGEERKYQKTCTTETEEGQDQSGLLSECKEKIESEVNVAEIEEPVLSAPTPDIMPHEVSIGA >ONH96450 pep chromosome:Prunus_persica_NCBIv2:G7:14991193:14992555:-1 gene:PRUPE_7G130100 transcript:ONH96450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIRFISTTIVQPTFHNELAQRIELTPWDLQVILLDHIQKGLLFHKPESSTYEQDPITSLTEHLKRSLSLTLDIFYPLAGRLAITENEDDNTTSFSVDCNGAGAEFVHAVADGVTVADILDSVLVPDDIVHSFFLMNGFLNYEGSGSKPLLAVQITELVDGIFIGCTMNHSVVDGSSFWHFFNTWSEISRRVSTNCGKILQPPPAFGRDFLNGMIDLPVRLPIFQNKIPKIRFSAPLLQQRVFHFSKQKIAQLKAKANAEMGTTRISSLQALLAHLWVSVTRNQHLETDQETQYKVLVGMRQRLQPPLPDEYLGNAVLFGIVTSTVGELLERGLGWAAWEMNKTISSQTEQDVRKLLEGWIKDPKVPKLDGLASNSLLTGSSPRFNVFGNDFGWGRPLAVRSGVGNKFDGKLTVFPGAEDGSIDFEACLLPQTLQALAHDAEFTASLAA >ONH95030 pep chromosome:Prunus_persica_NCBIv2:G7:8435446:8440437:1 gene:PRUPE_7G047600 transcript:ONH95030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDKELEVVDDLGDSQISEKFSMAIDSSILQNQNGRSQGAQELQKRLKNLRSIKLSKSPSLKSSRRRARQRSNNHHVCSDAASSAAQSISSDLSTCSETSTENLQNSTRTITRRSSFKPVRNLTRISSLKFKNPSMRKSSGGTQMNNLKKSRMAGNPANSESSRPSARQKKSGHVGLSAISSSDTDSASSTFSKETCSSNGRKKQFQASPHTSESSFCSSIETRKRSVFSKPNSTSAGQKSPLNASTKLARAKSKKCSMRKHSEQISQLPDLSVQRATCSSALKGSKSPDIRGLQAEGTESEGISGTKVCPFTYCSLHGHRHASVPPLKRLISIRRRMLKTQRSVTPATQPLVRVKRSGKVKEDQTNQMICNGHGAVHETTSPVVEKLSREMSLEIYAEPEPEAKPSGIGTYSENGENNDDFSNISEKLLGETSIPHIALEESLHTVEQHALVSLSAPDGLSPECCCTGTAFEATNTDRKEEKIAASNHNEGAQSTCTNSLSNIDPKSIEKSMAFDDCAAVKPPHQLERAIPDEVVESTTDNEHNEISSSDCQALEEKIAANENKNGSVQPESNPKKATNVAVAHSVQSKDHKYIRMWQLMYKHAVKGPSASVENQLSLGGLDKEEQVEGTNTVFETNNLSFTETDEHTALINHSGGDQNIELCHHDAIKLVQDAFDNILLPEVQDRAYDDQSFTNGISSDQEALGQSQDECGEQSTSRSSHSSEDSKVQNPEETWAKAETISSRKEEKAVSKGDKTDKKTPKSWSSLKKFILLKRFVKAVEKVRNLNYQKPQYLPLDPDSEAEKVNLRQQKTEERKNAEEWMLDYALQQVISKLPPAQQRRVALLVEAFETVIPFPEIKTSHRSSAIESTEADLQVCNGFSVLSADHRGKECDSGISAEILGGNMSGSEKSFNEYPAQARDVQLEHQQSPANFSKLKEPSTDHCFIKTERIIAAPKATNEDQKGNQIVFLNTDDGDDKAIVGNDIIDFTNVSLSETKDPRSCDEAFLKQDEHGSTIYEGLVNDTIEEASKEVTSITSLELSNLNSKVENIKLETSSIKPTEEPMAAREEVRGGATPESGLVEGFPPLEESHLECDTSAPHEIQLEKQKYTNLWFLVYKHMVSSIDAKDGDEFLDRAEEEQADDANRLPGIDNKKIELRHIEAIKQQVEKAIDDIILPENQDESDDNKSITRGFPDHEPPENQVDIQGKSFISTFSSAKSDNATIQEEEKAVAKVEEKPNKKMSKNWSNLKKMILLNRFIKALENVKRFNPRGPRYLPLEPDLEADRVHLKHQNMDGRKNSEEWMLDYALQQAVSRLTPARKRKVSLLVEAFETVIPSNGIPNPFKPQATH >ONH95029 pep chromosome:Prunus_persica_NCBIv2:G7:8435217:8440476:1 gene:PRUPE_7G047600 transcript:ONH95029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDKELEVVDDLGDSQISEKFSMAIDSSILQNQNGRSQGAQELQKRLKNLRSIKLSKSPSLKSSRRRARQRSNNHHVCSDAASSAAQSISSDLSTCSETSTENLQNSTRTITRRSSFKPVRNLTRISSLKFKNPSMRKSSGGTQMNNLKKSRMAGNPANSESSRPSARQKKSGHVGLSAISSSDTDSASSTFSKETCSSNGRKKQFQASPHTSESSFCSSIETRKRSVFSKPNSTSAGQKSPLNASTKLARAKSKKCSMRKHSEQISQLPDLSVQRATCSSALKGSKSPDIRGLQAEGTESEGISGTKVCPFTYCSLHGHRHASVPPLKRLISIRRRMLKTQRSVTPATQPLVRVKRSGKVKEDQTNQMICNGHGAVHETTSPVVEKLSREMSLEIYAEPEPEAKPSGIGTYSENGENNDDFSNISEKLLGETSIPHIALEESLHTVEQHALVSLSAPDGLSPECCCTGTAFEATNTDRKEEKIAASNHNEGAQSTCTNSLSNIDPKSIEKSMAFDDCAAVKPPHQLERAIPDEVVESTTDNEHNEISSSDCQALEEKIAANENKNGSVQPESNPKKATNVAVAHSVQSKDHKYIRMWQLMYKHAVKGPSASVENQLSLGGLDKEEQVEGTNTVFETNNLSFTETDEHTALINHSGGDQNIELCHHDAIKLVQDAFDNILLPEVQDRAYDDQSFTNGISSDQEALGQSQDECGEQSTSRSSHSSEDSKVQNPEETWAKAETISSRKEEKAVSKGDKTDKKTPKSWSSLKKFILLKRFVKAVEKVRNLNYQKPQYLPLDPDSEAEKVNLRQQKTEERKNAEEWMLDYALQQVISKLPPAQQRRVALLVEAFETVIPFPEIKTSHRSSAIESTEADLQVCNGFSVLSADHRGKECDSGISAEILGGNMSGSEKSFNEYPAQARDVQLEHQQSPANFSKLKEPSTDHCFIKTERIIAAPKATNEDQKGNQIVFLNTDDGDDKAIVGNDIIDFTNVSLSETKDPRSCDEAFLKQDEHGSTIYEGLVNDTIEEASKEVTSITSLELSNLNSKVENIKLETSKLFIETDEKFDSSEEQITENHVDSTANNMVVSLGSIKPTEEPMAAREEVRGGATPESGLVEGFPPLEESHLECDTSAPHEIQLEKQKYTNLWFLVYKHMVSSIDAKDGDEFLDRAEEEQADDANRLPGIDNKKIELRHIEAIKQQVEKAIDDIILPENQDESDDNKSITRGFPDHEPPENQVDIQGKSFISTFSSAKSDNATIQEEEKAVAKVEEKPNKKMSKNWSNLKKMILLNRFIKALENVKRFNPRGPRYLPLEPDLEADRVHLKHQNMDGRKNSEEWMLDYALQQAVSRLTPARKRKVSLLVEAFETVIPSNGIPNPFKPQATH >ONH94918 pep chromosome:Prunus_persica_NCBIv2:G7:7370010:7371471:-1 gene:PRUPE_7G039900 transcript:ONH94918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSPPVFSTVGWPSEDPIGYDQNYFYRDSSTDQTAESFLHILPSQLPQFELDLSTTISGDNSSGSTMAKKLNHNASERDRRKKINSLYSSMRSLLPADQAKKLSIPDTISRVLKYIPELQKQVEGLIRKREELLSRASNQEDVMREEKDMKSTARSSSLPAVSTYRLSDRELAIQISTLKTHNNLLSEILLNLEEEGLQVLNASFLESSGERVFYNLHLQVERSYRLECENLSEKLMSFYA >ONH96758 pep chromosome:Prunus_persica_NCBIv2:G7:16176957:16179749:1 gene:PRUPE_7G150300 transcript:ONH96758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFPNPPHEPNAAEVLRNMLSFGFSLLSPNDQQDKIEQISEKLAAGVADVERLEGETEEDLRRKQFAELLNKAPVHTQVDLFREFRRKFNDEKRLCEAILLFRQLDSNEQQETIEKLLDQFMRQRREEVKDVILERLSEECEEDFRLRRFVKLCNKSDHLLDDFILNEPKKWDLDALLMQASGNEEMEEIKESRYAEFYLGLSSIWFKARWASDPECLINLILSKVGEGDHIIEKLVNETEEDFLPRRFTESFKQLQFSTQEDVVKRIQNILFYNRYSVCRKEGRWITEEPRFPLEFIKVKTPNLRVSGGAAATQGGGQGAITQGGQGTSQQ >ONH96270 pep chromosome:Prunus_persica_NCBIv2:G7:14210972:14221767:-1 gene:PRUPE_7G117400 transcript:ONH96270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEELEEQEPVSPNGQYFSSNVISLSVLAVWEFEIPFDPESQTISLLIKNVFLPISPRFSSIMVENNGKKQWKRVEVKLEDHVYTPPFPSGLSLESYDKYFDDYISKLLTERFPQGKPLWEIHIINYPTSNAAANVIFKLHHALGDGYSLMGALISSMQRADNPSLPLTLPSRKRSESKRENFVTKTFSGFCNTISDLWLGTLKTMNGDVVTPIRSGNDAIEFRPATVSTMTFSLDQIKSIKDKLGVTVNDVLTGMIFFGTRLYVQEMNQSSSKADCTAMVLLNTRIMGDYVPIEEMIKPNSKAPWGNRFTFMHVPIPKLTELSNALDFIWNAHTK >ONH94430 pep chromosome:Prunus_persica_NCBIv2:G7:2280338:2281721:-1 gene:PRUPE_7G015900 transcript:ONH94430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQEPSIIPTETATAGKFLRRLFTILFYLHLILIAIFVVFLTLYGLISTSRNHHFQPLKFYPPLLTSTACSAIVAFIWQWVTGSSPSKAIKAAFWLSPLLTCAVGVLLVSVGSAQSLAAGVVAVICALIQSLYACWVSPRFEYAIRILSVSTAFPPAKTTMLVLQSISISILYSCFLVSGIGRATATRSSLNVLFHSVILLSMAWTMQVIKNILLVTVSRIKYMHFAWGTDIDTPKAFRDTLKHLMGSICIGSILVPVLGVIRGSARGMKLVAGDTDEFLFSCANCYAGMASTLVMYGNRWGFVHVGAYDKGFVQASSDTWEMFRSAGLKELIDLDLTGSFCFLSGVAAGAICSLASGTWALAIHKSYAAEVSIYAFFIGYFMCRIAMAWPQACVSSYYVAYAENPESVRFDSTIPVRLDELQRFQA >ONH94429 pep chromosome:Prunus_persica_NCBIv2:G7:2280042:2282477:-1 gene:PRUPE_7G015900 transcript:ONH94429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVYIIFLIRTWEISIHLILLNLGGKGTCRNSRYIYIKQQGQMMELHSTSATSKNIQREVQEEEDRPSFTNHIKAQEPSIIPTETATAGKFLRRLFTILFYLHLILIAIFVVFLTLYGLISTSRNHHFQPLKFYPPLLTSTACSAIVAFIWQWVTGSSPSKAIKAAFWLSPLLTCAVGVLLVSVGSAQSLAAGVVAVICALIQSLYACWVSPRFEYAIRILSVSTAFPPAKTTMLVLQSISISILYSCFLVSGIGRATATRSSLNVLFHSVILLSMAWTMQVIKNILLVTVSRIKYMHFAWGTDIDTPKAFRDTLKHLMGSICIGSILVPVLGVIRGSARGMKLVAGDTDEFLFSCANCYAGMASTLVMYGNRWGFVHVGAYDKGFVQASSDTWEMFRSAGLKELIDLDLTGSFCFLSGVAAGAICSLASGTWALAIHKSYAAEVSIYAFFIGYFMCRIAMAWPQACVSSYYVAYAENPESVRFDSTIPVRLDELQRFQA >ONH95543 pep chromosome:Prunus_persica_NCBIv2:G7:11317929:11322425:1 gene:PRUPE_7G076500 transcript:ONH95543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSAPPTRKNAETDNPKRVPYSKPPFSLGQIKKAIPPHCFQRSVIRSFSYVFYDLTIAFLLYYIACNYIQPLSQPFSFLAWPVYWYVQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSIERDEVFVPKQKSAIGWYSKYLNNPPGRFLTLTIQLTLGWPLYLAFNVSGRHYEGFACHYHPYGPIFSDRERLQIFLSDAGVLAVVYGLYRLAVAKGLAWVVCYYGGPLMVVNGFLVLITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGDYYQLDRTPVFKAMFREAKECIYVERDEGDKKGVFWYNNKL >ONH95544 pep chromosome:Prunus_persica_NCBIv2:G7:11319448:11322425:1 gene:PRUPE_7G076500 transcript:ONH95544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMSAPPTRKNAETDNPKRVPYSKPPFSLGQIKKAIPPHCFQRSVIRSFSYVFYDLTIAFLLYYIACNYIQPLSQPFSFLAWPVYWYVQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSIERDEVFVPKQKSAIGWYSKYLNNPPGRFLTLTIQLTLGWPLYLAFNVSGRHYEGFACHYHPYGPIFSDRERLQIFLSDAGVLAVVYGLYRLAVAKGLAWVVCYYGGPLMVVNGFLVLITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGDYYQLDRTPVFKAMFREAKECIYVERDEGDKKGVFWYNNKL >ONH96229 pep chromosome:Prunus_persica_NCBIv2:G7:14008721:14011244:1 gene:PRUPE_7G114600 transcript:ONH96229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMENHGITVLGSSSSEEDQDDLKNYEESVAAMRKRLNEQREEIQTRRSGRKSPVCIYRVPPSLNGISPTPETIEPEMVSIGPYHRGKDELLEFESYKLQFLNLLLSRETNGRRHDLLSEYYKAMKKLEESTRSCYSESIPMSSPDFVEMMVLDGCFIIELFRRMSADEDDHPILTRLWLIPILTRDLLKLQNQLPFFVLEKLFEISNFRTEHSLTLLALEFFNHTLPRPSEVLKRASKLRGAKHLLDLFHLSFLPPIPHEPPRKKSIFQRTSDVLSEATFKTLIWFCMLFISSTPHDDHDADQNYRPSSESIQCTTQLRPSGIKFRPLKAAESFLDINFRNGVLQIPPITINDLTIAVFINCMAFERCHQYTSQLFTTYIAFMSCLINSTRDVTLLCADGIITSFSQNDQNVAELFTKLGEKVVFNIRKCYLSTQFRDVEAYYSSHWATFMRTYFSKPWSFISVFSAFILLVLTGAQTAMAILSYINRRS >ONH94906 pep chromosome:Prunus_persica_NCBIv2:G7:7175329:7182521:1 gene:PRUPE_7G038700 transcript:ONH94906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFSREATDLTRDGETQLPLQADMSIEEDWEAIADREPDELLSSQVLPEVSKLSLEDTKGQTPKRRGRGTFSYKKDELYSDQIPDKLIIDMREDEDVCHNLEGDPKIRNSLEARNCIRCAMKVRVLDENDTLLSSISPKDLEPPRQRPQTSVRTAQRLIAHGMGLKLPSTAFGSVDLKKQEDDRKSRIVMRQKLKDDAWGTDEN >ONH94905 pep chromosome:Prunus_persica_NCBIv2:G7:7175329:7182515:1 gene:PRUPE_7G038700 transcript:ONH94905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFSREATDLTRDGETQLPLQADMSIEEDWEAIADREPDELLSSQVLPEVSKLSLEDTKGQTPKRRGRGTFSYKKDELYSDQIPDKLIIDMREDEDVCHNLEGDPKIRNSKFGTQHVLVLADFPPSTTTIELEKLFQDFGDRGVVIRWVNDTVALAVFQTPSIALEARNCIRCAMKVRVLDENDTLLSSISPKDLEPPRQRPQTSVRTAQRLIAHGMGLKLPSTAFGSVDLKKQEDDRKSRIVMRQKLKDDAWGTDEN >ONH95003 pep chromosome:Prunus_persica_NCBIv2:G7:8164741:8166738:1 gene:PRUPE_7G045800 transcript:ONH95003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTNENVVSMEKELSVKQVTRRGGGQVSRGDQDQPAEIEQSSRVSIPREERLKKFMEEAAEEERSSTQNAKPKIQRVPFMLQDHKNFQKYYEPRVAAIGPFHHGKPKYEQAEKVKRHLAANFVKDSGQNEADLLKKVEENIKRLKECYDEEATKKYDDDSLAWMLFVDGCSTLEFIYKYEKLESFKIKRDQVAFAEQDMFLLENQLPYQLLKLLMSSSSKHEELKESIERFVQMHGVAPPDENQKSQQDARKPEQKPPQPGAGLARPGQHPAVTNINREENQHSQSIAITIKPKPTEPEPTHLLELLLTRMLGYAPRKSEPSVNLGAQSFRNVQELQAAGIHFRPRKEGSLLGDIDFKSYICCGFLYLPKIKVDDSMGPKFMNLIAYEMCPDFQNDFGVTSYISFLDSLIDHPDDVKHLRKKHILRNLLGSDEEVAQLFNEIGTDLVPNNAIYRIVKSKIEDHYQTMWKKWVAQFFHEHFSSPWTILAFIGVLLGLGMTAAQTWYAANSSTPPCEALLEYLKAHKY >ONH97513 pep chromosome:Prunus_persica_NCBIv2:G7:18428930:18434491:-1 gene:PRUPE_7G193900 transcript:ONH97513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSVASPLCTWLVAACMSVTCDGDCSTRPSMLSSSKRRPKCAARRRLPSKCSSFSADFQKGLNSAFSGSSIQGLMSSCLAFEPCDEYYSSKGLSSLGSNGLSSLFGSKTGTTNRRQRRFHGAAHSGETMAVAVQPTEERTKQKKPTTRQRRVVVTGMSVVSPLGHDSDVFYNNLLEGVSGISEIEAFDCTQFPTRIGGEIKSFSTDGWVAPKFSRRMDKFMLYMLTAGKKALADGGITEDVMDQIDKAKCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNSANHIIRGEADIMLCGGSDSVMIPIGLGGFVACRALSQRNSDPTKASRPWDINRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPHPDGAGVILCIEKALAQSGVSREDVNYINAHATSTPAGDLKEYNALIHCFGQNPELRVNSTKSMIGHLLGAAGAVEAVAAVQAIRTGWIHPNINLENPDEGVDTKLLVGPKKERLDIKVALSNSFGFGGHNSSVLFAPYK >ONH97514 pep chromosome:Prunus_persica_NCBIv2:G7:18429704:18434340:-1 gene:PRUPE_7G193900 transcript:ONH97514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSVASPLCTWLVAACMSVTCDGDCSTRPSMLSSSKRRPKCAARRRLPSKCSSFSADFQKGLNSAFSGSSIQGLMSSCLAFEPCDEYYSSKGLSSLGSNGLSSLFGSKTGTTNRRQRRFHGAAHSGETMAVAVQPTEERTKQKKPTTRQRRVVVTGMSVVSPLGHDSDVFYNNLLEGVSGISEIEAFDCTQFPTRIGGEIKSFSTDGWVAPKFSRRMDKFMLYMLTAGKKALADGGITEDVMDQIDKAKCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNSANHIIRGEADIMLCGGSDSVMIPIGLGGFVACRALSQRNSDPTKASRPWDINRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPHPDGAGVILCIEKALAQSGVSREDVNYINAHATSTPAGDLKEYNALIHCFGQNPELRVNSTKSMIGHLLGAAGAVEAVAAVQAIRTGWIHPNINLENPDEGVV >ONH97512 pep chromosome:Prunus_persica_NCBIv2:G7:18428923:18434965:-1 gene:PRUPE_7G193900 transcript:ONH97512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSVASPLCTWLVAACMSVTCDGDCSTRPSMLSSSKRRPKCAARRRLPSKCSSFSADFQKGLNSAFSGSSIQGLMSSCLAFEPCDEYYSSKGLSSLGSNGLSSLFGSKTGTTNRRQRRFHGAAHSGETMAVAVQPTEERTKQKKPTTRQRRVVVTGMSVVSPLGHDSDVFYNNLLEGVSGISEIEAFDCTQFPTRIGGEIKSFSTDGWVAPKFSRRMDKFMLYMLTAGKKALADGGITEDVMDQIDKAKCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNSANHIIRGEADIMLCGGSDSVMIPIGLGGFVACRALSQRNSDPTKASRPWDINRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPHPDGAGVILCIEKALAQSGVSREDVNYINAHATSTPAGDLKEYNALIHCFGQNPELRVNSTKSMIGHLLGAAGAVEAVAAVQAIRTGWIHPNINLENPDEGVDTKLLVGPKKERLDIKVALSNSFGFGGHNSSVLFAPYK >ONH98797 pep chromosome:Prunus_persica_NCBIv2:G7:21974464:21980210:-1 gene:PRUPE_7G266600 transcript:ONH98797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLPVCSATSSCSYHSQISLHGGLHASSPFQKDFEARYIAECRGSLGLSFAMHFQRDSFKAHATKSLYSNFVKSTEQSVSMDFVNRSSCSNESDYLNCKYSDMWSSSTGTLYKQHPLGMVELKYVESSSLLAPDEGLMDFTNQSTENASILSGPVEPESILAADLTPENVTSASDPLDIDGDQLSSVKTSIEDFIDGVSKSFSASVDKGGSSVKSSLDAITSSVSSVVKSANEAVDNAVGRMFSTIDQTGQLGGSKMANFSSDFKEATSKGTVIAIDILRRTFVVVEDSLSNGASFVVSSYQSAKDFLPPDVSDALNLSEKRAAEFLGPAKSAFQQVYIAIEGLEENLGLDPNDPIVPFVIFLGTSATLWVIYLVRTFSGYAGDLSPQSTLELLTGKENAVLIDVRPEVMREKEGIPDLRRTARFRYASVTLPEVDGSLWKLLKSGKDLDDTLTAAVIRNLKIVQDRSKVIIMDGDGTRSKGIARSLRKLGLKKPYLVQGGFQSWVKHGLRIKELKPETTLTILNEEAEAILENINPSSAQVLGYGVGLIAASYALLEWEKTLQLIGVVGLGQTIYRRVATYENAEDFKQDVRLHLSQ >ONH98795 pep chromosome:Prunus_persica_NCBIv2:G7:21973766:21981008:-1 gene:PRUPE_7G266600 transcript:ONH98795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLPVCSATSSCSYHSQISLHGGLHASSPFQKDFEARYIAECRGSLGLSFAMHFQRDSFKAHATKSLYSNFVKSTEQSVSMDFVNRSSCSNESDYLNCKYSDMWSSSTGTLYKQHPLGMVELKYVESSSLLAPDEGLMDFTNQSTENASILSGPVEPESILAADLTPENVTSASDPLDIDGDQLSSVKTSIEDFIDGVSKSFSASVDKGGSSVKSSLDAITSSVSSVVKSANEAVDNAVGRMFSTIDQTGQLGGSKMANFSSDFKEATSKGTVIAIDILRRTFVVVEDSLSNGASFVVSSYQSAKDFLPPDVSDALNLSEKRAAEFLGPAKSAFQQVYIAIEGLEENLGLDPNDPIVPFVIFLGTSATLWVIYLVRTFSGYAGDLSPQSTLELLTGKENAVLIDVRPEVMREKEGIPDLRRTARFRYASVTLPEVDGSLWKLLKSGKDLDDTLTAAVIRNLKIVQDRSKVIIMDGDGTRSKGIARSLRKLGLKKPYLVQGGFQSWVKHGLRIKELKPETTLTILNEEAEAILENINPSSAQVLGYGVGLIAASYALLEWEKTLQLIGVVGLGQTIYRRVATYENAEDFKQDAAACAC >ONH98796 pep chromosome:Prunus_persica_NCBIv2:G7:21974386:21981008:-1 gene:PRUPE_7G266600 transcript:ONH98796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLPVCSATSSCSYHSQISLHGGLHASSPFQKDFEARYIAECRGSLGLSFAMHFQRDSFKAHATKSLYSNFVKSTEQSVSMDFVNRSSCSNESDYLNCKYSDMWSSSTGTLYKQHPLGMVELKYVESSSLLAPDEGLMDFTNQSTENASILSGPVEPESILAADLTPENVTSASDPLDIDGDQLSSVKTSIEDFIDGVSKSFSASVDKGGSSVKSSLDAITSSVSSVVKSANEAVDNAVGRMFSTIDQTGQLGGSKMANFSSDFKEATSKGTVIAIDILRRTFVVVEDSLSNGASFVVSSYQSAKDFLPPDVSDALNLSEKRAAEFLGPAKSAFQQVYIAIEGLEENLGLDPNDPIVPFVIFLGTSATLWVIYLVRTFSGYAGDLSPQSTLELLTGKENAVLIDVRPEVMREKEGIPDLRRTARFRYASVTLPEVDGSLWKLLKSGKDLDDTLTAAVIRNLKIVQDRSKVIIMDGDGTRSKGIARSLRKLGLKKPYLVQGGFQSWVKHGLRIKELKPETTLTILNEEAEAILENINPSSAQVLGYGVGLIAASYALLEWEKTLQLIGVVGLGQTIYRRVATYENAEDFKQDVSDVGVLSTAKCCLI >ONH98794 pep chromosome:Prunus_persica_NCBIv2:G7:21973672:21981008:-1 gene:PRUPE_7G266600 transcript:ONH98794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLPVCSATSSCSYHSQISLHGGLHASSPFQKDFEARYIAECRGSLGLSFAMHFQRDSFKAHATKSLYSNFVKSTEQSVSMDFVNRSSCSNESDYLNCKYSDMWSSSTGTLYKQHPLGMVELKYVESSSLLAPDEGLMDFTNQSTENASILSGPVEPESILAADLTPENVTSASDPLDIDGDQLSSVKTSIEDFIDGVSKSFSASVDKGGSSVKSSLDAITSSVSSVVKSANEAVDNAVGRMFSTIDQTGQLGGSKMANFSSDFKEATSKGTVIAIDILRRTFVVVEDSLSNGASFVVSSYQSAKDFLPPDVSDALNLSEKRAAEFLGPAKSAFQQVYIAIEGLEENLGLDPNDPIVPFVIFLGTSATLWVIYLVRTFSGYAGDLSPQSTLELLTGKENAVLIDVRPEVMREKEGIPDLRRTARFRYASVTLPEVDGSLWKLLKSGKDLDDTLTAAVIRNLKIVQDRSKVIIMDGDGTRSKGIARSLRKLGLKKPYLVQGGFQSWVKHGLRIKELKPETTLTILNEEAEAILENINPSSAQVLGYGVGLIAASYALLEWEKTLQLIGVVGLGQTIYRRVATYENAEDFKQDVRLLLVPVRVGAQAFSWAAGKLESNGIGLPTSPSSSDVKNRVLQAAAKHESQPSDTEGIQESSLESTIPVNDSVDLSEA >ONH96169 pep chromosome:Prunus_persica_NCBIv2:G7:13813202:13818474:-1 gene:PRUPE_7G110900 transcript:ONH96169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYASTYFTISDTRNPVGLLTGRGGRLLMKNQLGNLKMAEDKYGFMGAIHKSTLTQVKCSSNSQSVSSYQNKDPFLNLHPEVSLLRGEGNNTVNNPRKDISSGSVTESLSDKSSPSNYSEAKIKVIGVGGGGSNAVNRMIESAMKGVEFWIVNTDVQAMRMSPVFPENRLQIGQELTRGLGAGGNPDVGMNAAKESKESIEEALYGSDMVFVTAGMGGGTGTGGAPVVAGVAKSMGILTVGVVTTPFSFEGRKRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLYEVNAAAEVIYDLVDPTANLIFGAVTDPSLSGQVSITLIATGFKRQEENEGRQLQAQGDVTLGINRRPSSFMEGSPVEIPDFLKKKGRSRYPRV >ONH96170 pep chromosome:Prunus_persica_NCBIv2:G7:13813205:13818473:-1 gene:PRUPE_7G110900 transcript:ONH96170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYASTYFTISDTRNPVGLLTGRGGRLLMKNQLGNLKMAEDKYGFMGAIHKSTLTQVKCSSNSQSVSSYQNKDPFLNLHPEVSLLRGEGNNTVNNPRKDISSGSVTESLSDKSSPSNYSEAKIKVIGVGGGGSNAVNRMIESAMKGVEFWIVNTDVQAMRMSPVFPENRLQIGQELTRGLGAGGNPDVGMNAAKESKESIEEALYGSDMVFVTAGMGGGTGTGGAPVVAGVAKSMGILTVGVVTTPFSFEGRKRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLYEVNAAAEVIYDLVDPTANLIFGAVTDPSLSGQVSITLIATGFKRQEENEGRQLQAQGDVTLGINRRPSSFMEGSPVEIPDFLKKKGRSRYPRV >ONH98412 pep chromosome:Prunus_persica_NCBIv2:G7:21075884:21076072:-1 gene:PRUPE_7G248400 transcript:ONH98412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98409 pep chromosome:Prunus_persica_NCBIv2:G7:21075884:21076072:-1 gene:PRUPE_7G248400 transcript:ONH98409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98411 pep chromosome:Prunus_persica_NCBIv2:G7:21075628:21078115:-1 gene:PRUPE_7G248400 transcript:ONH98411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98420 pep chromosome:Prunus_persica_NCBIv2:G7:21075628:21078114:-1 gene:PRUPE_7G248400 transcript:ONH98420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98416 pep chromosome:Prunus_persica_NCBIv2:G7:21075884:21076072:-1 gene:PRUPE_7G248400 transcript:ONH98416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98421 pep chromosome:Prunus_persica_NCBIv2:G7:21075884:21076072:-1 gene:PRUPE_7G248400 transcript:ONH98421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98419 pep chromosome:Prunus_persica_NCBIv2:G7:21075628:21077989:-1 gene:PRUPE_7G248400 transcript:ONH98419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98422 pep chromosome:Prunus_persica_NCBIv2:G7:21075628:21078068:-1 gene:PRUPE_7G248400 transcript:ONH98422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98417 pep chromosome:Prunus_persica_NCBIv2:G7:21075884:21076072:-1 gene:PRUPE_7G248400 transcript:ONH98417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98423 pep chromosome:Prunus_persica_NCBIv2:G7:21075628:21078067:-1 gene:PRUPE_7G248400 transcript:ONH98423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98410 pep chromosome:Prunus_persica_NCBIv2:G7:21075628:21077988:-1 gene:PRUPE_7G248400 transcript:ONH98410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98418 pep chromosome:Prunus_persica_NCBIv2:G7:21075628:21078115:-1 gene:PRUPE_7G248400 transcript:ONH98418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98413 pep chromosome:Prunus_persica_NCBIv2:G7:21075884:21076072:-1 gene:PRUPE_7G248400 transcript:ONH98413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98415 pep chromosome:Prunus_persica_NCBIv2:G7:21075628:21078112:-1 gene:PRUPE_7G248400 transcript:ONH98415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH98414 pep chromosome:Prunus_persica_NCBIv2:G7:21075884:21076072:-1 gene:PRUPE_7G248400 transcript:ONH98414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLHRSYDPTCIQVLMSPTNLKCIIVAHLSFAVCNPYSQYDRSYKFGFSLRLCVWLNYYYL >ONH97516 pep chromosome:Prunus_persica_NCBIv2:G7:18439385:18443200:1 gene:PRUPE_7G194100 transcript:ONH97516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAKRKNTETEEGEIFSSCSFSSLGLHSNLCEQLEERLGFKAPTLVQAQAIPVILSGRHVLVNAATGTGKTVAYLAPVIHHLHLYQPRIQRSDGTFALVLVPTRELCLQVHEILHKLLHRFHWIVPGYVMGGENRNKEKARLRKGITILVATPGRLLDHLKNTSSFLHTNLRWIIFDEADRILELGFGKEIEEILDRLGSMQHGSANEDGVVSTKYEFQRQNLLLSATLNEKVNHLAKMSLEKPVMIGMPEKKTQSIALHEHLGSDTDDEPQPSAKTTGSFSEDYKLPAQLTQRYVKVPCNARLAVLLSILKHLFERETSEKIVLFFSTCDAVDFHYSLISEFQFRPHSGLEAELNQLFVRCKTFRLHGNMKQEDRRTAFETFKKEKSALLLSTDVCARGLDFPKVKCIIQYDSPGEATEYVHRVGRTARLGERGDSLLFLQPIEMDYLKELAKHGVSLQEYPLLKVLDSFPLFGHKHVRKFLSIDSHPWVMSLQKALEAFTEKPDTKTLAKNAFCSWVRAYTAHRGELKRIFMVKKLHLGHVAKSFALKEQPSLVGKSFQNQVKKRKRDEKQKGLSRKRKVSRKT >ONH97722 pep chromosome:Prunus_persica_NCBIv2:G7:19050949:19054051:1 gene:PRUPE_7G206800 transcript:ONH97722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKACERLVGRCVEIIVKSDADVVTLEKALPQHTVKLITDSRLALGLDRPQCNTSVSHFPDKHTKRIHRALDSDDVELVRMLLKEAHANLDDAYALHYAVAYCDAKTTTELLDLGLADVNHRNPRGYTVLHVAAMRKEPKIIVSLLTKGARPSDLTLDGRKAVQITKRLTRAADFFKSNDEGKSSSNDRLCIEILEQAERRDPLLGEASLSLAMAGDDLRMKLLYLENRVGLAKYLFPMEAKVVMDISQIDGASEFPIGGISSKDLASSQRTTVDLNEAPFKIKEEHLLRLRALSRTVELGKRFFPRCSEVLNKIMDGDDISQLAYTGDDSPELRLLKRRRYMELQEVLSKAFNEDKEEFDRSAVSSSSSSTSIGVVRSNGMLTLNQ >ONH97721 pep chromosome:Prunus_persica_NCBIv2:G7:19050949:19054051:1 gene:PRUPE_7G206800 transcript:ONH97721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKAGVSDSNDVVSNSNCYSSTISCIALSHYPSPPDISALTRLSDNLESILDSADFDFFADAKIVVNTGREVPVHRCILSARSSFFKNVFSAKDIGSGSAVRFELKELAKEYDVGLDSLLAVLGHLYSGKLRSLPKGVCVCVDDACAHVSCRPVVDFIVEVLYASASFQISELVSLYQRHLLDILEKVCIDDILVVLYVANMCGKACERLVGRCVEIIVKSDADVVTLEKALPQHTVKLITDSRLALGLDRPQCNTSVSHFPDKHTKRIHRALDSDDVELVRMLLKEAHANLDDAYALHYAVAYCDAKTTTELLDLGLADVNHRNPRGYTVLHVAAMRKEPKIIVSLLTKGARPSDLTLDGRKAVQITKRLTRAADFFKSNDEGKSSSNDRLCIEILEQAERRDPLLGEASLSLAMAGDDLRMKLLYLENRVGLAKYLFPMEAKVVMDISQIDGASEFPIGGISSKDLASSQRTTVDLNEAPFKIKEEHLLRLRALSRTACIHRGRFSRVTALEATKVHGAPRSAKQGIQRGQRGV >ONH97720 pep chromosome:Prunus_persica_NCBIv2:G7:19050949:19054051:1 gene:PRUPE_7G206800 transcript:ONH97720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKAGVSDSNDVVSNSNCYSSTISCIALSHYPSPPDISALTRLSDNLESILDSADFDFFADAKIVVNTGREVPVHRCILSARSSFFKNVFSAKDIGSGSAVRFELKELAKEYDVGLDSLLAVLGHLYSGKLRSLPKGVCVCVDDACAHVSCRPVVDFIVEVLYASASFQISELVSLYQRHLLDILEKVCIDDILVVLYVANMCGKACERLVGRCVEIIVKSDADVVTLEKALPQHTVKLITDSRLALGLDRPQCNTSVSHFPDKHTKRIHRALDSDDVELVRMLLKEAHANLDDAYALHYAVAYCDAKTTTELLDLGLADVNHRNPRGYTVLHVAAMRKEPKIIVSLLTKGARPSDLTLDGRKAVQITKRLTRAADFFKSNDEGKSSSNDRLCIEILEQAERRDPLLGEASLSLAMAGDDLRMKLLYLENRVGLAKYLFPMEAKVVMDISQIDGASEFPIGGISSKDLASSQRTTVDLNEAPFKIKEEHLLRLRALSRTVELGKRFFPRCSEVLNKIMDGDDISQLAYTGDDSPELRLLKRRRYMELQEVLSKAFNEDKEEFDRSAVSSSSSSTSIGVVRSNGMLTLNQ >ONH98353 pep chromosome:Prunus_persica_NCBIv2:G7:20929631:20930416:1 gene:PRUPE_7G245500 transcript:ONH98353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMKIYDADHDAIKAFRGTGLELVVVALLNGYLQAMSANEDQAMNWIKENVQAFLPDTLIRGICAGNEVLGVAEFELWGALLGAVKNKSTRQLFFFRSCTFKKTVKQKYMKPFLELFSEIGSLFCLNASPFLVYMADPANIDINYAPLEDGNETAATVNNARIYNYNLPKTYVFAVFNEDLKPGPTSGRNYGLFKVDGTICI >ONH97864 pep chromosome:Prunus_persica_NCBIv2:G7:19473096:19480567:1 gene:PRUPE_7G214900 transcript:ONH97864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLPAETSKIVKKKHGFRSLKLVNVDMDQVLGEQPVGVDYGRLDNGLCYYVRCNSKPRMRAALALAVKVGSVLEEENERGVAHIVEHLAFSATEKYTNHDIIRFLESIGAEFGACQNAVTSADDTVYELFVPVDKHELLSQAISVLAEFSSEVRVSKDDLERERGAVMEEYRGNRNATGRMQDAHWILMMEGSQYADRLPIGLEKVIRTVSSETVKQFYSKWYHLSNMAVIAVGDFSDTQSVVELIKNHFGHKISSPELPLIPRYTVPSHEEPRFSCFVESEATGSAVIISYKMAAGELNTVRDYRDLLAESMFLYALNQRFFKIARRKDPPYFSCSASADVLVNPLKAYIMTSSCKEKGTIEALESMLTEVARVQLHGFSEREVSIVRALLMSEIESAYLERDQMQSTSLRDEYLQHFLRNEPVIGIEYEAQLQKTLLPQITTAEISKYAVKLQTSCSCVIKTIEPRASATIGDLKNVVSMINDLEEKRIISPWDDEQIPEEIVNSKPNPGNIVQELEYSKIGVTELVLSNGMRVCYKCTNFLDDQVIFTGFSYGGLSELPESEYFSCSMGPTIAGEIGVYGYRPSVLMDMLAGKRAEVSTKLGAYMRTFSGDCSPSDLETALQLVYQLFTTNVTPGEEDVKIVMQMAEEVVRAQDRDPYTAFANRVKELNYGNSYFFRPIRISDLRKVDPLKACEYFNKCFKDPSTFSIVIVGNIDPSIALPLILQYLGGIPNPPEPVLQYNRDDLKGLPFTFPKTRIREVVHSPMVEEQCSVQLCFPVELNNGTMVEDIHVIGFLSKLLETKIMQVLRFKHGQIYTVGVSVFLGGNKPSRTANVRGDISINFSCDPEISSKLVDLTLDEISRLQEEGPSDEDVSTILEIEQRAHENGLQENYYWLDRILHSYQSRVYSGDVGTCFEIQEEGRSKVRQSLTPVTAQLALQKILPFPCKKQYTVVILMPRTSHFKSLRSFFQSTETSYGRHAKILAGIAGLTVLALSLWRYSRRP >ONH96340 pep chromosome:Prunus_persica_NCBIv2:G7:14483790:14494599:1 gene:PRUPE_7G121800 transcript:ONH96340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERRKHQVSLRGASAKEITRDALLERVSQERELRQYARRASSAAVFIQRVWRRYRVTKMVASELREEWENVMNQYAELAITATWLSSNIVRPFLFFITCLSTRHRNIQPREIYSTMNFFQIMLETVTSTDSMKNYCSLAIGTVEERRVWSYQSRRMISLCMFILSECDNSRAGGQDIVALTSLAMRFVVVLTDLKGWKSVTEHDCQSADTAVKDLVWFMGSSESGLYLSIRRYISTLDAPCSSRISSSSVQRDDRFLITASTITLALRPFHVAKFDLDGPGLLDIHYVTENYFVFLLTVPCLTQRLPALLLSAMRHKSILSPCFQTLLILKEKILKEMLDVDQSKMDFLPKVIPPAGWALANIICLATGAENDSVDPGGFHQDLDSVSYVRAVNILAENLLSRLENVDCVKENQNLQGEVETHEKPTHAALCEGEMGSFKMSYLDMFRPISQQWHLTDLLAIMDKVGHIQGSETQQNLEHSRKLELLDIVHLYSYMLRIFSLLNPTVGSLPVLNMLSFTPGFLVNLWRALETNLFPRDCHTDPDNYDCISKISVNDKKVGAFEKKQKHANNDGVNKWVTVLHKITGKSQGNDYTNLSDNQPKPRPVDEDSSDVWDIEPVKHGPQGISRDISCMLHLFCASYSHLLLILDDIEFYEKQVPFTLEQQRKITSVLNTLVYNGFSQSIGQQDRPLMESAIRCLHLMYERDCRHQFCPSVLWLSPARKNRPPIAVAARTHEVLSANVRSDDAAPVPSVGSVITTTPHVFPFEERVEMFREFIKMDKASRKMAGEVAGPGSRSVEIVVHRGHIVEDGFRQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKAAFAPEYGLFSQTSTSDRLLIPNSSARYLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFIQKLLGRYSFLDELSTLDPELYRNLMYVKHYEGDVEELCLDFTVTEESFGKRQVIELKPDGKDVTVINKNKMQYIHAIADYKLNRQIFPFSNAFYRGLTDLISPSWLKLFNAGEFNQLLSGGNHDIDVDDLRKNTRYTGGYSDGNRTIKIFWEVLKGFEPSERCMLLKFVTSCSRAPLLGFKHLQPMFTIHKVACDIPLWAAMKGEDVERLPSASTCYNTLKLPTYKRPSTLRAKLLYAISSNAGFELS >ONH97862 pep chromosome:Prunus_persica_NCBIv2:G7:19467125:19470567:1 gene:PRUPE_7G214700 transcript:ONH97862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPNSPPFYNSVIFPASPPLISCSRNPNQKMVQKQGPTADPTATEPKKRRRVGFSHPDAGVDAKDCIKIYLVSSKEEVGASNNFCLDDVDLDRFFDEGKIYGYKGLKITIWVSSISFHAFADIAFESTTDGGKGITDLKSTLQEIFGLTLVESEEEFLQSFSTQRNFIRSIVSNGEVLQYKTSNGQINDSNAATSDVEVVRLVVGKTAAGHLYSHLIPLVLLLIDGSSPIDVVDPSWELYVLIQRKTDQQGEIYNMLLGFTAVYRFYHYPDSSRLRIGQILVLPPYQHKGYGRYLLEVLNDVAISENVYDLTVEEPLDYFQHVRTCVDVLRLLKFDPIKHAVSSAVSQLKQGKLSKKTHTPRLMPDTRVIEDVRKSLKINKKQFLQCWEVFLYLGLDHVDRYMDDFVGIISNRMKEDLIGKDSGIGGKQVIEVPSNYEPEMSFVMFRSQAGEAASTVQIDENQPNQEEQLQQLVDERVKEIKSIAEKVSLQLSIIAEAS >ONH94142 pep chromosome:Prunus_persica_NCBIv2:G7:112885:113699:1 gene:PRUPE_7G000500 transcript:ONH94142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLKGFQEKLLTFENLQYVISYHESYGVFVNGSCIKVIMKGRKLFGKRSKIKYTDIWNMLSNLSITNIIFVLMSLHFEKTPEKITCFIRHSKVSLGTSLREIYLLWVFKIRLVSLIFSDKLTKKDCQRLYACFGRE >ONH97590 pep chromosome:Prunus_persica_NCBIv2:G7:18679353:18682446:1 gene:PRUPE_7G199200 transcript:ONH97590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGSNGEEPTSWEELYSINLIPSELFLKFRKEVQGLRVGLNLEFYNAPCNEFQGKLVLKPLAPERMWKFIYEPIHQDVRILSKKIPLTGYLNLQVGVGHNFQMNATGWKWKLTTCFGGDGISRIRNKTSLGVCPGVDMRFGWRADYVLPEVTGALGTGEPWFNMNSGKLQASLDRVETILTFTDIYNFYKPKDQDQQRPETDVTDVTTYSSG >ONH97591 pep chromosome:Prunus_persica_NCBIv2:G7:18679513:18680967:1 gene:PRUPE_7G199200 transcript:ONH97591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGSNGEEPTSWEELYSINLIPSELFLKFRKEVQGLRVGLNLEFYNAPCNEFQGKLVLKPLAPERMWKFIYEPIHQDVRILSKKIPLTGYLNLQVGVGHNFQMNATGWKWKLTTCFGGDGISRIRNKTSLGVCPGVDMRFGWRADYVLPEVTGQVP >ONH97589 pep chromosome:Prunus_persica_NCBIv2:G7:18679353:18682436:1 gene:PRUPE_7G199200 transcript:ONH97589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWGSNGEEPTSWEELYSINLIPSELFLKFRKEVQGLRVGLNLEFYNAPCNEFQGKLVLKPLAPERMWKFIYEPIHQDVRILSKKIPLTGYLNLQVGVGHNFQMNATGWKWKLTTCFGGDGISRIRNKTSLGVCPGVDMRFGWRADYVLPEVTGALGTGEPWFNMNSGKLQASLDRVETILTFTDIYNFYKPKDQQDQQRPETDVTDVTTYSSG >ONH98724 pep chromosome:Prunus_persica_NCBIv2:G7:21845221:21846434:1 gene:PRUPE_7G263900 transcript:ONH98724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLRISILVLSLVFLSFAFSEAKEVIVGGKDISWNVDSSKTLNKWVQNKRFRVGDQLKWKSDKDSDSVLQVTKEDYESCETSKPVKANVTEITLDQAGPFYFISGVKEHCDKGLKLVAIAKPPVHVSPSPSPATPIGPVAGPAAPPSTNAAAAPGFSLSNGILGFAIGLVGIALI >ONH94439 pep chromosome:Prunus_persica_NCBIv2:G7:2411864:2414669:-1 gene:PRUPE_7G016700 transcript:ONH94439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQHLKSDCVGFTRYEDLNFLPPPPVSHQLSLSNTFVAMVHPHFYSGGQDHSGTSSEPFSPSSSQRSTKPWGFKPLENGGTRTTDHGDQYPVLLGIDQKKGMPLSLAGEEADEGRSLAAAGRTGAGGHTKSCARGHWRPAEDSKLKELVAQYGPQNWNLIAEHLDGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLLAAHKLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKHREQSNVYKRRKPSTPQATQLPKEFHHPPVTNFQKNTSSTESTVSISTIDDTQSASTCTELSLTPSTRLPLSFFSKLHPFQQHQNFGSQMGSSSDGRSGKIIGNGNGLCKTGPLEGAMMGVDQSGHQSDSNSEISGDGSVTTTRTNVSLSGENQNQNLNNNEKISMSFIDFLGVGMG >ONH97439 pep chromosome:Prunus_persica_NCBIv2:G7:18247144:18249472:1 gene:PRUPE_7G190400 transcript:ONH97439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIVKVLQLLCIIFFSTTCSALHNSSSSSSSTNATNFNPNLSSIRSFCKSTPYPDVCFDSLKLSISINISPNIITYLLQSLQVAISEAGKLSDLFYKAGRYSNIVEKQKGAIQDCKELHQITLSSLQRSVSRVRAGNTKKLNDARAYLSAALTNKNTCLEGLDSASGPMKPALVNSLTSTYKYVSNSLSVISKPGAPKGGTNRHLLAVPTWMSRKDRRILESSGDKYDPSEVLTVAADGTGNFTTITDAVNFAPNNSYDRTIIYVKEGVYVENVEIPSYKTNIVLLGDGRDITVITGNRSVVDGWTTFRSATLAVSGEGFLARDITFENTAGPEKHQAVALRVNADFAAIYKCIINGYQDTLYVHSFRQFYRECDIFGTIDYIFGNAAVIFQGCDIVSKMPMPGQFTVITAQSRDTADEDTGISIQNCSIVATDDLYSNSSIVKSYLGRPWRVFSRTVYLESYIGDFIDPTGWRQWSGDLGLDTLYYGEYENYGPGSGTENRVKWTGYHIMEYYDAANFTVSEFIIGDEWLQATSFPYDDGI >ONH96873 pep chromosome:Prunus_persica_NCBIv2:G7:16536080:16539136:-1 gene:PRUPE_7G156900 transcript:ONH96873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEDSLVNSKLSPPNTYGAVVLGGTFDRLHDGHRLFLKAAAELARDRIVVGLCDGPMLTKKQFADLIQSIEERRHNVENYIKGLAVQVEPITDPYGPSIVDENLEAIVVSKETLPGGLSVNKKRADRGLSQLKIEVVDLVSEASSGDKLSSTTLRSLEVEKVKNQQPV >ONH96872 pep chromosome:Prunus_persica_NCBIv2:G7:16536080:16539136:-1 gene:PRUPE_7G156900 transcript:ONH96872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEDSLVNSKLSPPNTYGAVVLGGTFDRLHDGHRLFLKAAAELARDRIVVGLCDGPMLTKKQFADLIQSIEERRHNVENYIKGLAVQVEPITDPYGPSIVDENLEAIVVSKETLPGGLSVNKKRADRGLSQLKIEVVDLVSEASSGDKLSSTTLRSLEVEKVKNQQPV >ONH96878 pep chromosome:Prunus_persica_NCBIv2:G7:16536080:16539136:-1 gene:PRUPE_7G156900 transcript:ONH96878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEDSLVNSKLSPPNTYGAVVLGGTFDRLHDGHRLFLKAAAELARDRIVVGLCDGPMLTKKQFADLIQSIEERRHNVENYIKSIKQGLAVQVEPITDPYGPSIVDENLEAIVVSKETLPGGLSVNKKRADRGLSQLKIEVVDLVSEASSGDKLSSTTLRSLEVEKVKNQQPV >ONH96879 pep chromosome:Prunus_persica_NCBIv2:G7:16536883:16538123:-1 gene:PRUPE_7G156900 transcript:ONH96879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEDSLVNSKLSPPNTYGAVVLGGTFDRLHDGHRLFLKAAAELARDRIVVGLCDGPMLTKKQFADLIQSIEERRHNVENYIKGLAVQVEPITDPYGPSIVDENLEAIVVRFVSDLHLFFESS >ONH96876 pep chromosome:Prunus_persica_NCBIv2:G7:16536300:16538123:-1 gene:PRUPE_7G156900 transcript:ONH96876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEDSLVNSKLSPPNTYGAVVLGGTFDRLHDGHRLFLKAAAELARDRIVVGLCDGPMLTKKQFADLIQSIEERRHNVENYIKSIKQGLAVQVEPITDPYGPSIVDENLEAIVVSKETLPGGLSVNKKRADRGLSQLKIEVVDLVSEASSGDKLSSTTLRSLEVEKVKNQQPV >ONH96874 pep chromosome:Prunus_persica_NCBIv2:G7:16536080:16539029:-1 gene:PRUPE_7G156900 transcript:ONH96874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEDSLVNSKLSPPNTYGAVVLGGTFDRLHDGHRLFLKAAAELARDRIVVGLCDGPMLTKKQFADLIQSIEERRHNVENYIKGLAVQVEPITDPYGPSIVDENLEAIVVSKETLPGGLSVNKKRADRGLSQLKIEVVDLVSEASSGDKLSSTTLRSLEVEKVKNQQPV >ONH96877 pep chromosome:Prunus_persica_NCBIv2:G7:16536080:16539224:-1 gene:PRUPE_7G156900 transcript:ONH96877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEDSLVNSKLSPPNTYGAVVLGGTFDRLHDGHRLFLKAAAELARDRIVVGLCDGPMLTKKQFADLIQSIEERRHNVENYIKSIKQGLAVQVEPITDPYGPSIVDENLEAIVVSKETLPGGLSVNKKRADRGLSQLKIEVVDLVSEASSGDKLSSTTLRSLEVEKVKNQQPV >ONH96875 pep chromosome:Prunus_persica_NCBIv2:G7:16536080:16538584:-1 gene:PRUPE_7G156900 transcript:ONH96875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEDSLVNSKLSPPNTYGAVVLGGTFDRLHDGHRLFLKAAAELARDRIVVGLCDGPMLTKKQFADLIQSIEERRHNVENYIKSIKQGLAVQVEPITDPYGPSIVDENLEAIVVSKETLPGGLSVNKKRADRGLSQLKIEVVDLVSEASSGDKLSSTTLRSLEVEKVKNQQPV >ONH94493 pep chromosome:Prunus_persica_NCBIv2:G7:2807404:2813850:1 gene:PRUPE_7G018800 transcript:ONH94493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNSNQGNGLSWVLDSEMEMTERKDIQPAEKQKLDHEEMDRRPEEQAVQSPEELASRIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMSGEIPPERLCSMTAEELASKELSEWRMAKAEELAQMVVLPDSEVDMRRLVKKTHKGEVEVEQYDSASVEVPVDTTSHAQSLPRSKEMEVSTPLKPDKPKEEGNASGEKSTIEDKTTQCTFTIPSTEATDFMQGLMVDDGLKDLPPIVSLDEFMESLDTEPPFEILPEKVTPISDKDDSETGSESKHSVLSPKNTVDAPPQKLDEIDTTDSKSDADLKTSGSHAVIKTSDHADTKSRNVCADVKSSGSPEKSVSRPLGTPKGERVWNGSLQLNLSPMASVIGIYKSGEKTSAKEWPGFLDIKGRVRLDAFEKFLQELPQSRSRAVMVVHFVPKEGSSEAECASLREVGESYIVDERVGFSEPCFGVEIYFCPPHNKTFDMLSKIIQKEHIEALNTIDNGLVGVIVWRKLTSPKSSSHHKHISKKQHYSSSTTTSSRRHDTNLNTNYTSKPAQARTVTPTNTRSAHDDDDDVPPGFGPGAPRDEDDLPEFNFSGGANPSLPQYSAQRPSRGPGVAAPVYPKSHTPSRPVDQMRELIQKYGQNNSSTYQASSVGVTVQPWNDDDDDIPEWQPNAPTESLTQYQPPQQRPVNNYQQQPMLRPHLPNQQHMGLVQQQQPLQSLQPTMNVAPNLQNPNLSWQQSPSWAPPAQGGGRYASNLSCQPEAGQFYGEPDRGAAAQSGLAWRPNAPKSRGF >ONH94489 pep chromosome:Prunus_persica_NCBIv2:G7:2807404:2813850:1 gene:PRUPE_7G018800 transcript:ONH94489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINLLSPQLLISSMEMGQLEPMMKDVDSIVPEIQMGGMNSVSSNSESQQLSISNKQMGLMLEPVPDHPGLHGLSMTYSQIGQIANSNGTHGPQKLLSPSNHLGEIGSFPKNLESHQLLGSVKRKAPSELMSDNPATHQLSMLNKRVAHMEHRPWLQQAPAANRRSVQMESVHNAPLSPHLPAPNKRMVKIESGGSVHNAPGSPHLLAPNKKMVKMESFSGRSVSQRSSSQKTQMLQSQPSPKLQKESFESVRSKMRESLAAALALVNQQQDKCVDSGSKSQGEAGGIQGSTQENPQPAADAVYTDSKEPKENFTSSETCSIRKSDDGEGAGQIILADATTSASALIPTCDGKEFQSSNILRYEDVSFNDNLFVKDELLQGNGLSWVLDSEMEMTERKDIQPAEKQKLDHEEMDRRPEEQAVQSPEELASRIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMSGEIPPERLCSMTAEELASKELSEWRMAKAEELAQMVVLPDSEVDMRRLVKKTHKGEVEVEQYDSASVEVPVDTTSHAQSLPRSKEMEVSTPLKPDKPKEEGNASGEKSTIEDKTTQCTFTIPSTEATDFMQGLMVDDGLKDLPPIVSLDEFMESLDTEPPFEILPEKVTPISDKDDSETGSESKHSVLSPKNTVDAPPQKLDEIDTTDSKSDADLKTSGSHAVIKTSDHADTKSRNVCADVKSSGSPEKSVSRPLGTPKGERVWNGSLQLNLSPMASVIGIYKSGEKTSAKEWPGFLDIKGRVRLDAFEKFLQELPQSRSRAVMVVHFVPKEGSSEAECASLREVGESYIVDERVGFSEPCFGVEIYFCPPHNKTFDMLSKIIQKEHIEALNTIDNGLVGVIVWRKLTSPKSSSHHKHISKKQHYSSSTTTSSRRHDTNLNTNYTSKPAQARTVTPTNTRSAHDDDDDVPPGFGPGAPRDEDDLPEFNFSGGANPSLPQYSAQRPSRGPGVAAPVYPKSHTPSRPVDQMRELIQKYGQNNSSTYQASSVGVTVQPWNDDDDDIPEWQPNAPTESLTQYQPPQQRPVNNYQQQPMLRPHLPNQQHMGLVQQQQPLQSLQPTMNVAPNLQNPNLSWQQSPSWAPPAQGGGRYASNLSCQPEAGQFYGEPDRGAAAQSGLAWRPNAPKSRGF >ONH94491 pep chromosome:Prunus_persica_NCBIv2:G7:2807404:2813850:1 gene:PRUPE_7G018800 transcript:ONH94491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGQLEPMMKDVDSIVPEIQMGGMNSVSSNSESQQLSISNKQMGLMLEPVPDHPGLHGLSMTYSQIGQIANSNGTHGPQKLLSPSNHLGEIGSFPKNLESHQLLGSVKRKAPSELMSDNPATHQLSMLNKRVAHMEHRPWLQQAPAANRRSVQMESVHNAPLSPHLPAPNKRMVKIESGGSVHNAPGSPHLLAPNKKMVKMESFSGRSVSQRSSSQKTQMLQSQPSPKLQKESFESVRSKMRESLAAALALVNQQQDKCVDSGSKSQGEAGGIQGSTQENPQPAADAVYTDSKEPKENFTSSETCSIRKSDDGEGAGQIILADATTSASALIPTCDGKEFQSSNILRYEDVSFNDNLFVKDELLQGNGLSWVLDSEMEMTERKDIQPAEKQKLDHEEMDRRPEEQAVQSPEELASRIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMSGEIPPERLCSMTAEELASKELSEWRMAKAEELAQMVVLPDSEVDMRRLVKKTHKGEVEVEQYDSASVEVPVDTTSHAQSLPRSKEMEVSTPLKPDKPKEEGNASGEKSTIEDKTTQCTFTIPSTEATDFMQGLMVDDGLKDLPPIVSLDEFMESLDTEPPFEILPEKVTPISDKDDSETGSESKHSVLSPKNTVDAPPQKLDEIDTTDSKSDADLKTSGSHAVIKTSDHADTKSRNVCADVKSSGSPEKSVSRPLGTPKGERVWNGSLQLNLSPMASVIGIYKSGEKTSAKEWPGFLDIKGRVRLDAFEKFLQELPQSRSRAVMVVHFVPKEGSSEAECASLREVGESYIVDERVGFSEPCFGVEIYFCPPHNKTFDMLSKIIQKEHIEALNTIDNGLVGVIVWRKLTSPKSSSHHKHISKKQHYSSSTTTSSRRHDTNLNTNYTSKPAQARTVTPTNTRSAHDDDDDVPPGFGPGAPRDEDDLPEFNFSGGANPSLPQYSAQRPSRGPGVAAPVYPKSHTPSRPVDQMRELIQKYGQNNSSTYQASSVGVTVQPWNDDDDDIPEWQPNAPTESLTQYQPPQQRPVNNYQQQPMLRPHLPNQQHMGLVQQQQPLQSLQPTMNVAPNLQNPNLSWQQSPSWAPPAQGGGRYASNLSCQPEAGQFYGEPDRGAAAQSGLAWRPNAPKSRGF >ONH94492 pep chromosome:Prunus_persica_NCBIv2:G7:2807404:2813850:1 gene:PRUPE_7G018800 transcript:ONH94492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGQLEPMMKDVDSIVPEIQMGGMNSVSSNSESQQLSISNKQMGLMLEPVPDHPGLHGLSMTYSQIGQIANSNGTHGPQKLLSPSNHLGEIGSFPKNLESHQLLGSVKRKAPSELMSDNPATHQLSMLNKRVAHMEHRPWLQQAPAANRRSVQMESVHNAPLSPHLPAPNKRMVKIESGGSVHNAPGSPHLLAPNKKMVKMESFSGRSVSQRSSSQKTQMLQSQPSPKLQKESFESVRSKMRESLAAALALVNQQQDKCVDSGSKSQGEAGGIQGSTQENPQPAADAVYTDSKEPKENFTSSETCSIRKSDDGEGAGQIILADATTSASALIPTCDGKEFQSSNILRYEDVSFNDNLFVKDELLQGNGLSWVLDSEMEMTERKDIQPAEKQKLDHEEMDRRPEEQAVQSPEELASRIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMSGEIPPERLCSMTAEELASKELSEWRMAKAEELAQMVVLPDSEVDMRRLVKKTHKGEVEVEQYDSASVEVPVDTTSHAQSLPRSKEMEVSTPLKPDKPKEEGNASGLMVDDGLKDLPPIVSLDEFMESLDTEPPFEILPEKVTPISDKDDSETGSESKHSVLSPKNTVDAPPQKLDEIDTTDSKSDADLKTSGSHAVIKTSDHADTKSRNVCADVKSSGSPEKSVSRPLGTPKGERVWNGSLQLNLSPMASVIGIYKSGEKTSAKEWPGFLDIKGRVRLDAFEKFLQELPQSRSRAVMVVHFVPKEGSSEAECASLREVGESYIVDERVGFSEPCFGVEIYFCPPHNKTFDMLSKIIQKEHIEALNTIDNGLVGVIVWRKLTSPKSSSHHKHISKKQHYSSSTTTSSRRHDTNLNTNYTSKPAQARTVTPTNTRSAHDDDDDVPPGFGPGAPRDEDDLPEFNFSGGANPSLPQYSAQRPSRGPGVAAPVYPKSHTPSRPVDQMRELIQKYGQNNSSTYQASSVGVTVQPWNDDDDDIPEWQPNAPTESLTQYQPPQQRPVNNYQQQPMLRPHLPNQQHMGLVQQQQPLQSLQPTMNVAPNLQNPNLSWQQSPSWAPPAQGGGRYASNLSCQPEAGQFYGEPDRGAAAQSGLAWRPNAPKSRGF >ONH94490 pep chromosome:Prunus_persica_NCBIv2:G7:2807404:2813850:1 gene:PRUPE_7G018800 transcript:ONH94490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINLLSPQLLISSMEMGQLEPMMKDVDSIVPEIQMGGMNSVSSNSESQQLSISNKQMGLMLEPVPDHPGLHGLSMTYSQIGQIANSNGTHGPQKLLSPSNHLGEIGSFPKNLESHQLLGSVKRKAPSELMSDNPATHQLSMLNKRVAHMEHRPWLQQAPAANRRSVQMESVHNAPLSPHLPAPNKRMVKIESGGSVHNAPGSPHLLAPNKKMVKMESFSGRSVSQRSSSQKTQMLQSQPSPKLQKESFESVRSKMRESLAAALALVNQQQDKCVDSGSKSQGEAGGIQGSTQENPQPAADAVYTDSKEPKENFTSSETCSIRKSDDGEGAGQIILADATTSASALIPTCDGKEFQSSNILRYEDVSFNDNLFVKDELLQGNGLSWVLDSEMEMTERKDIQPAEKQKLDHEEMDRRPEEQAVQSPEELASRIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVMSGEIPPERLCSMTAEELASKELSEWRMAKAEELAQMVVLPDSEVDMRRLVKKTHKGEVEVEQYDSASVEVPVDTTSHAQSLPRSKEMEVSTPLKPDKPKEEGNASGLMVDDGLKDLPPIVSLDEFMESLDTEPPFEILPEKVTPISDKDDSETGSESKHSVLSPKNTVDAPPQKLDEIDTTDSKSDADLKTSGSHAVIKTSDHADTKSRNVCADVKSSGSPEKSVSRPLGTPKGERVWNGSLQLNLSPMASVIGIYKSGEKTSAKEWPGFLDIKGRVRLDAFEKFLQELPQSRSRAVMVVHFVPKEGSSEAECASLREVGESYIVDERVGFSEPCFGVEIYFCPPHNKTFDMLSKIIQKEHIEALNTIDNGLVGVIVWRKLTSPKSSSHHKHISKKQHYSSSTTTSSRRHDTNLNTNYTSKPAQARTVTPTNTRSAHDDDDDVPPGFGPGAPRDEDDLPEFNFSGGANPSLPQYSAQRPSRGPGVAAPVYPKSHTPSRPVDQMRELIQKYGQNNSSTYQASSVGVTVQPWNDDDDDIPEWQPNAPTESLTQYQPPQQRPVNNYQQQPMLRPHLPNQQHMGLVQQQQPLQSLQPTMNVAPNLQNPNLSWQQSPSWAPPAQGGGRYASNLSCQPEAGQFYGEPDRGAAAQSGLAWRPNAPKSRGF >ONH95686 pep chromosome:Prunus_persica_NCBIv2:G7:12016504:12020383:-1 gene:PRUPE_7G085100 transcript:ONH95686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLAPLSEEPNINEEEEATNRSSKGILRSTQTWRNWIKTHLPSLALFNKRSDFKVLLSVLGCPLFPVSAHPKLPLNEYIIQHFTAATGCRKLEGKVKNIFATGKVIMAMVDEPGPGGASSSSTATLSEKGCFVMWQMVPNKWLIELVLGGHKVAAGSDGNVAWRHTPWLGVHAAKGGVRPLRRALQGLDPMAIAAVFSPAQYMGEKQISGVDCFVLKLSADQTDLTERSDSTAEMIKHVIFGYFSQRNGLLVHLEDSYLTRIQSPGAYPTYWETTMSTKIEDYRTVEGVMIAHAGQTNVIITRFGDNLKMGAAITRLEETWTIDDLAFNVPGLSMDCFIPPKEVQKDYPKKNFDWRSPLH >ONH95688 pep chromosome:Prunus_persica_NCBIv2:G7:12016912:12020061:-1 gene:PRUPE_7G085100 transcript:ONH95688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLAPLSEEPNINEEEEATNRSSKGILRSTQTWRNWIKTHLPSLALFNKRSDFKVLLSVLGCPLFPVSAHPKLPLNEQVSSTAQYIIQHFTAATGCRKLEGKVKNIFATGKVIMAMVDEPGPGGASSSSTATLSEKGCFVMWQMVPNKWLIELVLGGHKVAAGSDGNVAWRHTPWLGVHAAKGGVRPLRRALQGLDPMAIAAVFSPAQYMGEKQISGVDCFVLKLSADQTDLTERSDSTAEMIKHVIFGYFSQRNGLLVHLEDSYLTRIQSPGAYPTYWETTMSTKIEDYRTVEGVMIAHAGQTNVIITRFGDNLKMGAAITRLEETWTIDDLAFNVPGLSMDCFIPPKEVQKDYPKKNFDWRSPLH >ONH95687 pep chromosome:Prunus_persica_NCBIv2:G7:12016912:12020061:-1 gene:PRUPE_7G085100 transcript:ONH95687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLAPLSEEPNINEEEEATNRSSKGILRSTQTWRNWIKTHLPSLALFNKRSDFKVLLSVLGCPLFPVSAHPKLPLNEVSSTAQYIIQHFTAATGCRKLEGKVKNIFATGKVIMAMVDEPGPGGASSSSTATLSEKGCFVMWQMVPNKWLIELVLGGHKVAAGSDGNVAWRHTPWLGVHAAKGGVRPLRRALQGLDPMAIAAVFSPAQYMGEKQISGVDCFVLKLSADQTDLTERSDSTAEMIKHVIFGYFSQRNGLLVHLEDSYLTRIQSPGAYPTYWETTMSTKIEDYRTVEGVMIAHAGQTNVIITRFGDNLKMGAAITRLEETWTIDDLAFNVPGLSMDCFIPPKEVQKDYPKKNFDWRSPLH >ONH94955 pep chromosome:Prunus_persica_NCBIv2:G7:7788089:7789682:1 gene:PRUPE_7G042000 transcript:ONH94955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDFMNLPPSLCSILMNPMLSNLNSLLVLRCCLFPLIHPPPSVFSLYLSFLKVTIHSSLSYHFQQPPCREGSLNFCTILHTIMNLVYKLAAGWYEPHSSGRLGAAVMFVLSIIFGRSCLLLLLFFNFQS >ONH95042 pep chromosome:Prunus_persica_NCBIv2:G7:8497444:8498583:1 gene:PRUPE_7G048100 transcript:ONH95042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSVMEIPISKHANAERSAKVPLLPVSHNPYSKSHVPTRCNDKVSSVPIIAKFTLVIFLSLVALAIVVFLPLIRKELTLGPQAPVVELSALTIHKFNVSETNLTAEWDVKLKIGNPNLVSQIWFDRIEGFVLYEDRTLAIEQVEPFGLPMKTKNQVRLRLRMANWEGDQPALKQGMLKKMKRDRKLGGVRFSVQMAIWATYRSVWGRSAQRVIMNPQCLDLHVAFVPGATAIGFGILIGDVPRRCYVPMLAE >ONH98517 pep chromosome:Prunus_persica_NCBIv2:G7:21300824:21308512:1 gene:PRUPE_7G252400 transcript:ONH98517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITSLTGLTHLDLFGAHISDSGANCLKYFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98518 pep chromosome:Prunus_persica_NCBIv2:G7:21300824:21308512:1 gene:PRUPE_7G252400 transcript:ONH98518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITNFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98521 pep chromosome:Prunus_persica_NCBIv2:G7:21300841:21308512:1 gene:PRUPE_7G252400 transcript:ONH98521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITNFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98516 pep chromosome:Prunus_persica_NCBIv2:G7:21301276:21308512:1 gene:PRUPE_7G252400 transcript:ONH98516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITSLTGLTHLDLFGAHISDSGANCLKYFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98523 pep chromosome:Prunus_persica_NCBIv2:G7:21300841:21308512:1 gene:PRUPE_7G252400 transcript:ONH98523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITNFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98511 pep chromosome:Prunus_persica_NCBIv2:G7:21300824:21308512:1 gene:PRUPE_7G252400 transcript:ONH98511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITSLTGLTHLDLFGAHISDSGANCLKYFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98509 pep chromosome:Prunus_persica_NCBIv2:G7:21301186:21308512:1 gene:PRUPE_7G252400 transcript:ONH98509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITSLTGLTHLDLFGAHISDSGANCLKYFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98520 pep chromosome:Prunus_persica_NCBIv2:G7:21301276:21308512:1 gene:PRUPE_7G252400 transcript:ONH98520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITNFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98513 pep chromosome:Prunus_persica_NCBIv2:G7:21301374:21308512:1 gene:PRUPE_7G252400 transcript:ONH98513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITSLTGLTHLDLFGAHISDSGANCLKYFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98524 pep chromosome:Prunus_persica_NCBIv2:G7:21300839:21308512:1 gene:PRUPE_7G252400 transcript:ONH98524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITNFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98515 pep chromosome:Prunus_persica_NCBIv2:G7:21300841:21308512:1 gene:PRUPE_7G252400 transcript:ONH98515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITSLTGLTHLDLFGAHISDSGANCLKYFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98514 pep chromosome:Prunus_persica_NCBIv2:G7:21301498:21308512:1 gene:PRUPE_7G252400 transcript:ONH98514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITSLTGLTHLDLFGAHISDSGANCLKYFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98512 pep chromosome:Prunus_persica_NCBIv2:G7:21301164:21308512:1 gene:PRUPE_7G252400 transcript:ONH98512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITSLTGLTHLDLFGAHISDSGANCLKYFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98510 pep chromosome:Prunus_persica_NCBIv2:G7:21300841:21308512:1 gene:PRUPE_7G252400 transcript:ONH98510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITSLTGLTHLDLFGAHISDSGANCLKYFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98519 pep chromosome:Prunus_persica_NCBIv2:G7:21301174:21308512:1 gene:PRUPE_7G252400 transcript:ONH98519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITNFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98522 pep chromosome:Prunus_persica_NCBIv2:G7:21301498:21308512:1 gene:PRUPE_7G252400 transcript:ONH98522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGICSRKRNQPVVEDGICRAVSGRSGSSKWLGPSSLRPTVEQSSGGAGICPSLLELCICKICQDIDKYSSFSMLPRDVSQQIFNELVSSHSLTEVSLEAFRDCALEDIGLGEFPDVKDSWMDVISSQGSSLLSVDLSSSEVTDSGLALLKDCSNLQALTYNYCDHVSERGLKHISGLSNLKSLSFKRSNAISAEGMRAFSGLVNLEKLDLERCQEIHGGFVHLKDLMKLKSLNVRCCNCITDSDLKTISGLTDLNELQLSNCNITDTGVSYLKGLHKLSMLNLEGCNVVTASCLESISALVALSYLNLNRCELSDEGCDKLLGLTNLKVLSLGFNNITDACLMHLKGLTNLESLNLDSCKIGDEGLANLAGLTLLKNLELSDTEVGSNGLRHVSGLTNLQNLNLSFTQVTDSGLKKLSGLTSIKSINLDARQITDAGLAVITNFKNLQSLEICGGGLTDAGVKNIKDLVGLTWLNLSQNCKLTDKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIRKLQSAALPNLVSFRPV >ONH98199 pep chromosome:Prunus_persica_NCBIv2:G7:20447104:20448866:-1 gene:PRUPE_7G235100 transcript:ONH98199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAANMDKLLIQPLIALLISSLIAARAYRRKSLDLSGAIAGFAVMTIHIAIGYRYGALLLVFFFTSSKLTKVGEDKKRRVDADFKEGGQRNWVQVLSNSGIASVLVLVLWAKTGLQDKCLDSKESVFITSLIGGVIGHYACCNGDTWSSELGILSDAQPRLITTFKPVRKGTNGGVTKAGLLAAAAAGSVVGLTYVVFGFPTTKCTYDVALKQLLVIPISTLAGLCGSLIDSLLGATLQFSGFCTVRNKVVGKPGPTVKKISGLNYLDNNAVNVVSILLTTILTSVACTYIF >ONH94421 pep chromosome:Prunus_persica_NCBIv2:G7:2223793:2224161:1 gene:PRUPE_7G015200 transcript:ONH94421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDITSLEDNSSEPNEIEDTKNLEGNSNELNEMEDAANLEEYSSAVNEMEDPTNMKDKVKDPEVGAIFDSLEELAEYYKNYGKEKGFEVSKRTSRKGDSGELKYLTLACSRSGKSKCNLLKY >ONH94576 pep chromosome:Prunus_persica_NCBIv2:G7:3653430:3660611:-1 gene:PRUPE_7G023300 transcript:ONH94576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSTPLRNSVDQIVGATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADGSKDEKKGSGDSLSCSDSSPGVQINEALRMQMEVQKRLHEQLEVQRQLQMRIEAQGKYLQKIIEEQEKLGGVLKGSDALPSAEDKQKPSQLETAGDASATPSSPRKKQRVDDGLPDGCTTSNVPPKADQKNEFVGQWDRDLYGSDGGFGFNLQREFKERDGDAAQKAPMELDPLCGSKQ >ONH94575 pep chromosome:Prunus_persica_NCBIv2:G7:3653381:3660651:-1 gene:PRUPE_7G023300 transcript:ONH94575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHAKKFSTASLVPHKPQSSEELANVGVVSGGSSVKSPTPSGGSGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADGSKDEKKGSGDSLSCSDSSPGVQINEALRMQMEVQKRLHEQLEVQRQLQMRIEAQGKYLQKIIEEQEKLGGVLKGSDALPSAEDKQKPSQLETAGDASATPSSPRKKQRVDDGLPDGCTTSNVPPKADQKNEFVGQWDRDLYGSDGGFGFNLQREFKERDGDAAQKAPMELDPLCGSKQ >ONH94577 pep chromosome:Prunus_persica_NCBIv2:G7:3653446:3660549:-1 gene:PRUPE_7G023300 transcript:ONH94577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPGLTIYHVKSHLQKYRLAKYLPESPADGSKDEKKGSGDSLSCSDSSPGVQINEALRMQMEVQKRLHEQLEVQRQLQMRIEAQGKYLQKIIEEQEKLGGVLKGSDALPSAEDKQKPSQLETAGDASATPSSPRKKQRVDDGLPDGCTTSNVPPKADQKNEFVGQWDRDLYGSDGGFGFNLQREFKERDGDAAQKAPMELDPLCGSKQ >ONH94578 pep chromosome:Prunus_persica_NCBIv2:G7:3653604:3655251:-1 gene:PRUPE_7G023300 transcript:ONH94578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPGLTIYHVKSHLQKYRLAKYLPESPADGSKDEKKGSGDSLSCSDSSPGVQINEALRMQMEVQKRLHEQLEVQRQLQMRIEAQGKYLQKIIEEQEKLGGVLKGSDALPSAEDKQKPSQLETAGDASATPSSPRKKQRVDDGLPDGCTTSNVPPKADQKNEFVGQWDRDLYGSDGGFGFNLQREFKERDGDAAQKAPMELDPLCGSKQ >ONH94580 pep chromosome:Prunus_persica_NCBIv2:G7:3653431:3660610:-1 gene:PRUPE_7G023300 transcript:ONH94580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMEVQKRLHEQLEVQRQLQMRIEAQGKYLQKIIEEQEKLGGVLKGSDALPSAEDKQKPSQLETAGDASATPSSPRKKQRVDDGLPDGCTTSNVPPKADQKNEFVGQWDRDLYGSDGGFGFNLQREFKERDGDAAQKAPMELDPLCGSKQ >ONH94573 pep chromosome:Prunus_persica_NCBIv2:G7:3653382:3661538:-1 gene:PRUPE_7G023300 transcript:ONH94573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHAKKFSTASLVPHKPQSSEELANVGVVSGGSSVKSPTPSGGSGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADGSKDEKKGSGDSLSCSDSSPGVQINEALRMQMEVQKRLHEQLEVQRQLQMRIEAQGKYLQKIIEEQEKLGGVLKGSDALPSAEDKQKPSQLETAGDASATPSSPRKKQRVDDGLPDGCTTSNVPPKADQKNEFVGQWDRDLYGSDGGFGFNLQREFKERDGDAAQKAPMELDPLCGSKQ >ONH94574 pep chromosome:Prunus_persica_NCBIv2:G7:3653382:3661068:-1 gene:PRUPE_7G023300 transcript:ONH94574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHAKKFSTASLVPHKPQSSEELANVGVVSGGSSVKSPTPSGGSGKQRLRWTSDLHDRFVDAITQLGGPDRATPKGVLRVMGVPGLTIYHVKSHLQKYRLAKYLPESPADGSKDEKKGSGDSLSCSDSSPGVQINEALRMQMEVQKRLHEQLEVQRQLQMRIEAQGKYLQKIIEEQEKLGGVLKGSDALPSAEDKQKPSQLETAGDASATPSSPRKKQRVDDGLPDGCTTSNVPPKADQKNEFVGQWDRDLYGSDGGFGFNLQREFKERDGDAAQKAPMELDPLCGSKQ >ONH94579 pep chromosome:Prunus_persica_NCBIv2:G7:3653431:3660612:-1 gene:PRUPE_7G023300 transcript:ONH94579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMEVQKRLHEQLEVQRQLQMRIEAQGKYLQKIIEEQEKLGGVLKGSDALPSAEDKQKPSQLETAGDASATPSSPRKKQRVDDGLPDGCTTSNVPPKADQKNEFVGQWDRDLYGSDGGFGFNLQREFKERDGDAAQKAPMELDPLCGSKQ >ONH95861 pep chromosome:Prunus_persica_NCBIv2:G7:12520183:12520818:1 gene:PRUPE_7G092700 transcript:ONH95861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKVKLAWIENDSARKKRFRRRKGSLLKKVSEISTLCDVGAFAIVYAPDSDEPDVWPSPSEVKELIAKFQSIPEAERSKKMTDHETYLKETTAKLEQQLRKIKRQNYEMEMNDIMHDLYKEEKMKEISKIMERLEKDLPPADVSSQVGRDHENVAGMSNNNAAGRKIGGIRLMGFDIGSSIIGDNGGGEE >ONH96244 pep chromosome:Prunus_persica_NCBIv2:G7:14105693:14109316:1 gene:PRUPE_7G115800 transcript:ONH96244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINMAQKHLHELLKEDQEPFLLKNYIADKRCQLKRPSPKTHLQVKKRRPISQVSNFPGNLCKNACLFSFHDSPDLRKSPLFEFPSPAARSPCKSPNAIFLQIPNRTAALLVEAAMRIQKQSSSSKPKTQNKNHSFGLFGSFLKRLTNRNRTQKREIRGDGVKVSVKDILRWDSSVGRRKVSNEEKIESCLAVEEQTASEISTGCEVCFSSSSCTGRPSSAVWSETNEDKSLDLDTASSSSQSEDSEVIEFETQQSVDSACLCNCEKINNGFCDSPFRFVLQTTPSPSGHRTPEFSSPAASPSRHKQEEEGLKKFQVEEEEEEDKEQCSPVSVLDPPFQDDDEGCDGDGDGDDEDGFDLECSYANVQRTKNQLLQKLRRFEKLAGLDPIELEKRMSEEEDDDEYNMDHLEGQSEQYDDDESETSDSRMEESLDELVREILSQSNFHCIRKRIPEDVKRLVSDLIVEEQKEEAAFSDERVVVVRRVCERFESWKEVESNTIDMMVEQDFRKELDGWKKNQDQVGETAMEIEVAIFALLVDELAMELV >ONH97484 pep chromosome:Prunus_persica_NCBIv2:G7:18350215:18356360:1 gene:PRUPE_7G192300 transcript:ONH97484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERALTRVQSLRERLDVTLSAHRNEIVALLSRIVNKGKGFMQPHELVAEFEAIPESNRQKLLDGAFGEVLTHTQEVIVLPPWVALAVRPRPGVWEYIRVNVDALVVEELQVPEYLHFKEELVDGSANGNFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCYKGKNMMLNDRIHNVNELQHVLRKAEDYLSTIAPETPYKQFEDKLQKLGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLEKFLGQIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLKRIKQQGLDITPRIIILTRLLPDAVGTTCGERLEKVYNTEYSHILRVPFRTEKGIVRRWISRFEVWPYLETYAEDAIQELSKELHGKPDLIIGNYSDGNIVASLMAHKLGVTQCTIAHALEKTKYPDSDLYWKKLDDKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSEKEKRLTSFHPEIEELLYSQVENKEHLCVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNAKLRELVNLVVVAGDRRKESKDNEEKAEMKKMYELIDTYKLNGQFRWISSQMNRVRNGELYRVIADTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATCKGGPAEIIVHGKSGYHIDPYHGDQAADILVDFFEKSRADPSHWDKISQGGLQRIYEKYTWQIYSERLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRKLAESVPRAEEE >ONH97482 pep chromosome:Prunus_persica_NCBIv2:G7:18350336:18356360:1 gene:PRUPE_7G192300 transcript:ONH97482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERALTRVQSLRERLDVTLSAHRNEIVALLSRIVNKGKGFMQPHELVAEFEAIPESNRQKLLDGAFGEVLTHTQEVIVLPPWVALAVRPRPGVWEYIRVNVDALVVEELQVPEYLHFKEELVDGSANGNFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCYKGKNMMLNDRIHNVNELQHVLRKAEDYLSTIAPETPYKQFEDKLQKLGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLEKFLGQIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLKRIKQQGLDITPRIIILTRLLPDAVGTTCGERLEKVYNTEYSHILRVPFRTEKGIVRRWISRFEVWPYLETYAEDAIQELSKELHGKPDLIIGNYSDGNIVASLMAHKLGVTQCTIAHALEKTKYPDSDLYWKKLDDKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSEKEKRLTSFHPEIEELLYSQVENKEHLCVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNAKLRELVNLVVVAGDRRKESKDNEEKAEMKKMYELIDTYKLNGQFRWISSQMNRVRNGELYRVIADTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATCKGGPAEIIVHGKSGYHIDPYHGDQAADILVDFFEKSRADPSHWDKISQGGLQRIYEKYTWQIYSERLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRKLAESVPRAEEE >ONH97481 pep chromosome:Prunus_persica_NCBIv2:G7:18350349:18356360:1 gene:PRUPE_7G192300 transcript:ONH97481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERALTRVQSLRERLDVTLSAHRNEIVALLSRIVNKGKGFMQPHELVAEFEAIPESNRQKLLDGAFGEVLTHTQEVIVLPPWVALAVRPRPGVWEYIRVNVDALVVEELQVPEYLHFKEELVDGSANGNFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCYKGKNMMLNDRIHNVNELQHVLRKAEDYLSTIAPETPYKQFEDKLQKLGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLEKFLGQIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLKRIKQQGLDITPRIIILTRLLPDAVGTTCGERLEKVYNTEYSHILRVPFRTEKGIVRRWISRFEVWPYLETYAEDAIQELSKELHGKPDLIIGNYSDGNIVASLMAHKLGVTQCTIAHALEKTKYPDSDLYWKKLDDKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSEKEKRLTSFHPEIEELLYSQVENKEHLCVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNAKLRELVNLVVVAGDRRKESKDNEEKAEMKKMYELIDTYKLNGQFRWISSQMNRVRNGELYRVIADTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATCKGGPAEIIVHGKSGYHIDPYHGDQAADILVDFFEKSRADPSHWDKISQGGLQRIYEKYTWQIYSERLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRKLAESVPRAEEE >ONH97486 pep chromosome:Prunus_persica_NCBIv2:G7:18352103:18356360:1 gene:PRUPE_7G192300 transcript:ONH97486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERALTRVQSLRERLDVTLSAHRNEIVALLSRIVNKGKGFMQPHELVAEFEAIPESNRQKLLDGAFGEVLTHTQEVIVLPPWVALAVRPRPGVWEYIRVNVDALVVEELQVPEYLHFKEELVDGSANGNFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCYKGKNMMLNDRIHNVNELQHVLRKAEDYLSTIAPETPYKQFEDKLQKLGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLEKFLGQIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLKRIKQQGLDITPRIIILTRLLPDAVGTTCGERLEKVYNTEYSHILRVPFRTEKGIVRRWISRFEVWPYLETYAEDAIQELSKELHGKPDLIIGNYSDGNIVASLMAHKLGVTQCTIAHALEKTKYPDSDLYWKKLDDKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSEKEKRLTSFHPEIEELLYSQVENKEHLCVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNAKLRELVNLVVVAGDRRKESKDNEEKAEMKKMYELIDTYKLNGQFRWISSQMNRVRNGELYRVIADTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATCKGGPAEIIVHGKSGYHIDPYHGDQAADILVDFFEKSRADPSHWDKISQGGLQRIYEKYTWQIYSERLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRKLAESVPRAEEE >ONH97485 pep chromosome:Prunus_persica_NCBIv2:G7:18351864:18356360:1 gene:PRUPE_7G192300 transcript:ONH97485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERALTRVQSLRERLDVTLSAHRNEIVALLSRIVNKGKGFMQPHELVAEFEAIPESNRQKLLDGAFGEVLTHTQEVIVLPPWVALAVRPRPGVWEYIRVNVDALVVEELQVPEYLHFKEELVDGSANGNFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCYKGKNMMLNDRIHNVNELQHVLRKAEDYLSTIAPETPYKQFEDKLQKLGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLEKFLGQIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLKRIKQQGLDITPRIIILTRLLPDAVGTTCGERLEKVYNTEYSHILRVPFRTEKGIVRRWISRFEVWPYLETYAEDAIQELSKELHGKPDLIIGNYSDGNIVASLMAHKLGVTQCTIAHALEKTKYPDSDLYWKKLDDKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSEKEKRLTSFHPEIEELLYSQVENKEHLCVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNAKLRELVNLVVVAGDRRKESKDNEEKAEMKKMYELIDTYKLNGQFRWISSQMNRVRNGELYRVIADTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATCKGGPAEIIVHGKSGYHIDPYHGDQAADILVDFFEKSRADPSHWDKISQGGLQRIYEKYTWQIYSERLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRKLAESVPRAEEE >ONH97483 pep chromosome:Prunus_persica_NCBIv2:G7:18351596:18356360:1 gene:PRUPE_7G192300 transcript:ONH97483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERALTRVQSLRERLDVTLSAHRNEIVALLSRIVNKGKGFMQPHELVAEFEAIPESNRQKLLDGAFGEVLTHTQEVIVLPPWVALAVRPRPGVWEYIRVNVDALVVEELQVPEYLHFKEELVDGSANGNFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLRVHCYKGKNMMLNDRIHNVNELQHVLRKAEDYLSTIAPETPYKQFEDKLQKLGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLEKFLGQIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLKRIKQQGLDITPRIIILTRLLPDAVGTTCGERLEKVYNTEYSHILRVPFRTEKGIVRRWISRFEVWPYLETYAEDAIQELSKELHGKPDLIIGNYSDGNIVASLMAHKLGVTQCTIAHALEKTKYPDSDLYWKKLDDKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSEKEKRLTSFHPEIEELLYSQVENKEHLCVLKDRNKPIIFTMARLDRVKNITGLVEWYGKNAKLRELVNLVVVAGDRRKESKDNEEKAEMKKMYELIDTYKLNGQFRWISSQMNRVRNGELYRVIADTKGAFVQPAVYEAFGLTVVEAMTCGLPTFATCKGGPAEIIVHGKSGYHIDPYHGDQAADILVDFFEKSRADPSHWDKISQGGLQRIYEKYTWQIYSERLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRKLAESVPRAEEE >ONH94757 pep chromosome:Prunus_persica_NCBIv2:G7:5224261:5235016:-1 gene:PRUPE_7G027900 transcript:ONH94757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKINPEFEDQIPTEGVLSVSADVSFISDGFPKYKLGPNNQILEEPKENNDGPTLKEVVERETTHLSEQHKRLSVRDLASKFDKNLAAAAKLTEEAKLREVASLEGHVLLKKLRDALEHLRGRLAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLASFLKQASEDAKKLVNQEKSFACAEIESARAVVQRIGEALDEQDTTSEASKKQDVEELVEVVQEARRIKLMHQPSKVMDMEHELRALRTQIREKCIFSVKLQKELAMSKWAEENKSCLYLIDGSETLGAYLRIQPRSENAPQLSKCAIQWYRVSSDGSRNEAISGANKSIYAPEPFDVGRILQADIISNDQRVTVTTACPIDPAAGLGSYVDTLLRKSNTEFNVVISKVNGQDHPSHSVHAFHVGKMRMKLSRGWITKSREIYSSSMQLCGVRGDITNAAKAMFWQARKGLSFVLTFETERERNAAIILARKYALDCNVMLAGPDDRV >ONH94758 pep chromosome:Prunus_persica_NCBIv2:G7:5227570:5233956:-1 gene:PRUPE_7G027900 transcript:ONH94758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKINPEFEDQIPTEGVLSVSADVSFISDGFPKYKLGPNNQILEEPKENNDGPTLKEVVERETTHLSEQHKRLSVRDLASKFDKNLAAAAKLTEEAKLREVASLEGHVLLKKLRDALEHLRGRLAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLASFLKQASEDAKKLVNQEKSFACAEIESARAVVQRIGEALDEQDTTSEASKKQDVEELVEVVQEARRIKLMHQPSKVMDMEHELRALRTQIREKCIFSVKLQKELAMSKWAEENKSCLYLIDGSETLGAYLRIQPRSENAPQLSKCAIQWYRVSSDGSRNEAISGANKSIYAPEPFDVGRILQADIISNDQRVTVTTACPIDPAAGLGSYVDTLLRKSNTEFNLVLFWMFCRWLFRK >ONH94755 pep chromosome:Prunus_persica_NCBIv2:G7:5226116:5235016:-1 gene:PRUPE_7G027900 transcript:ONH94755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKINPEFEDQIPTEGVLSVSADVSFISDGFPKYKLGPNNQILEEPKENNDGPTLKEVVERETTHLSEQHKRLSVRDLASKFDKNLAAAAKLTEEAKLREVASLEGHVLLKKLRDALEHLRGRLAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLASFLKQASEDAKKLVNQEKSFACAEIESARAVVQRIGEALDEQDTTSEASKKQDVEELVEVVQEARRIKLMHQPSKVMDMEHELRALRTQIREKCIFSVKLQKELAMSKWAEENKSCLYLIDGSETLGAYLRIQPRSENAPQLSKCAIQWYRVSSDGSRNEAISGANKSIYAPEPFDVGRILQADIISNDQRVTVTTACPIDPGLGSYVDTLLRKSNTEFNVVISKVNGQDHPSHSVHAFHVGKMRMKLSRGWITKSREIYSSSMQLCGVRGDITNAAKAMFWQARKGLSFVLTFETERERNAAIILARKYALDCNVMLAGPDDRV >ONH94756 pep chromosome:Prunus_persica_NCBIv2:G7:5225990:5235019:-1 gene:PRUPE_7G027900 transcript:ONH94756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKINPEFEDQIPTEGVLSVSADVSFISDGFPKYKLGPNNQILEEPKENNDGPTLKEVVERETTHLSEQHKRLSVRDLASKFDKNLAAAAKLTEEAKLREVASLEGHVLLKKLRDALEHLRGRLAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLASFLKQASEDAKKLVNQEKSFACAEIESARAVVQRIGEALDEQDTTSEASKKQDVEELVEVVQEARRIKLMHQPSKVMDMEHELRALRTQIREKCIFSVKLQKELAMSKWAEENKSCLYLIDGSETLGAYLRIQPRSENAPQLSKCAIQWYRVSSDGSRNEAISGANKSIYAPEPFDVGRILQADIISNDQRVTVTTACPIDPAAGLGSYVDTLLRKSNTEFNVVISKVNGQDHPSHSVHAFHVGKMRMKLSRGWITKSREIYSSSMQLCGVRGDITNAAKAMFWQARKGLSFVLTFETERERNAAIILARKYALDCNVMLAGPDDRV >ONH96353 pep chromosome:Prunus_persica_NCBIv2:G7:14547524:14548367:1 gene:PRUPE_7G122800 transcript:ONH96353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMAMLIFAVLLIVMGCTQTADASNYEESKIKTIHVGGKVLCQDCTQGWNEWVHGAKPIKGGKVSVTCMDERSRVVYYGSDLTDEKGQFDLIINKNINGKELKAKLCSVRLVSSPDATCNIPTNFAGGRTGVKLNRPSLMYRDLVKYTIDPFYYTTPTCEKPDTDDHSDNGHGGHY >ONH98318 pep chromosome:Prunus_persica_NCBIv2:G7:20811034:20813072:-1 gene:PRUPE_7G242600 transcript:ONH98318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYPLINVISLRLQAFLKAWNAACTSETENPTLIVPPKRFLLNPIVFSGPCRAKSINFLIFGRLLAPDSPGAWKELDPSQWLAFNGVSGLNIAGPGRINGRGKAWWDQSCRDHPRLVGCSTLAPTAVKLVSCKNSSISEIHFLNSSQTHVLIRDCDGINIENVLIEAPERSPNTDGIHISASHNVVITNAIIGTGDDCVSIGDHTSNIVISYVKCGPGHGISIGSLGRSGNFVQVENIHVSKVYLQGTTNGARIKTWQVGRGYVRRVTFEHIFFGSVKNPIIIDQNYCNKSGACKEMETGVHITDVSFNQLYGTSSSRVAMNLNCSRSVACTSIYLKSIYLRSALAGQNVTSNCTNAHGVASGVIQPDPCLQI >ONH96386 pep chromosome:Prunus_persica_NCBIv2:G7:14733949:14736351:-1 gene:PRUPE_7G125300 transcript:ONH96386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKEAPAQPQPHKRKVNLRKTVKIGRPGYRVTKQFDGELKQRSLLFQIEYPEIEDSTKPRHRFMSSYEQRVQSVDKRYQYLLFAAEPYEIIAFKVPSTEIDKTPEKFFSHWDPDSKMFTLQLYFKTKPPETNKPQPPPAANGPGAPGVPSRPLPPPPQAPPPPPPQGLPPGAPMGNPPRPPPPIHGSLLPPPPMGNGPRPMPPGGAPPAPPPPPVGNNTMANFTPGTQMGRPQSMPPPQGFPGQQMQGQGLRPPPPPPNMG >ONH96387 pep chromosome:Prunus_persica_NCBIv2:G7:14734093:14736261:-1 gene:PRUPE_7G125300 transcript:ONH96387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKEAPAQPQPHKRKVNLRKTVKIGRPGYRVTKQFDGELKQRSLLFQIEYPEIEDSTKPRHRFMSSYEQVGVQSVDKRYQYLLFAAEPYEIIAFKVPSTEIDKTPEKFFSHWDPDSKMFTLQLYFKTKPPETNKPQPPPAANGPGAPGVPSRPLPPPPQAPPPPPPQGLPPGAPMGNPPRPPPPIHGSLLPPPPMGNGPRPMPPGGAPPAPPPPPVGNNTMANFTPGTQMGRPQSMPPPQGFPGQQMQGQGLRPPPPPPNMG >ONH96921 pep chromosome:Prunus_persica_NCBIv2:G7:16667952:16669705:1 gene:PRUPE_7G160000 transcript:ONH96921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFILELLLLISTALNYLATLISPRHDLHDRHEDLTFIIRQDYRPPNQVYDAAERYLPTINLINPSTRTIQVSKTQRQETVKLAMESGEQVPDTFEDINLNWRYVVETFRDGSVEHRFELTFQKKHKEKVMSSYLPYVVGRAEAIKKEEKILKLSNLTNSSVDLEHPATFETIAMEPDLKIKIMKDLDRFVARREFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDVFDLELASIRSDSHLKSVVLSTTNRSILVIEDIDCSVHSKDNRFTLSGLLNFMDGLWSSCGDERVIVFTTNHKDRLDPVLLRPGRMDVHIHMSYCTPSAFRILASNYLGIQDFDSHRLKGEIVGLLESTKVTPADICEDFLKENDDDVDVDDDDVDAALERVVKFLKLRKLEGANNVDETETQEEEKAGNGPESE >ONH96269 pep chromosome:Prunus_persica_NCBIv2:G7:14210269:14211085:-1 gene:PRUPE_7G117300 transcript:ONH96269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLQTCGKYIHRTLKNSSMIISNLIGPAEQMSLANHPIKGFYFLVGDGPLSLEITIVSYVGKVRAAFNMEKGVIDPQKLKSCMENALQMILNDSHKHMSLNI >ONH96400 pep chromosome:Prunus_persica_NCBIv2:G7:14790706:14792497:-1 gene:PRUPE_7G126600 transcript:ONH96400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRSKPIFIISCFLVFVLLFMHSTISVVEAQEVEDERDFDYVEGSKKGPAYWGEIKKEWAACKHGGMQSPIDLPRKSFQILPSLVELKRIYKPSNATLKNRGHDIKLEWAGNAGSIEISGIQYFLKQSHWHSPSEHSMNGKRYDMELHMVYQSPDPKVESNTAVVGVLYQIGSPDAFLSKLTRDIASMTDKKMERSIGVIDPAEIVELDGKNYYRYTGSLTIPPCTEGVIWIMSQQFGTVSREQIDLLRVSVYDYAEMNARPLQPLNRRMIRLYFQKPKIIKYN >ONH95509 pep chromosome:Prunus_persica_NCBIv2:G7:11197945:11201021:-1 gene:PRUPE_7G074800 transcript:ONH95509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAENMSKNSPHFLDKLLKRVDSEKDILMKKNNKKEIGREISRFLRYHPINEFEPFFESLGLKPSEYFPLLQRSLMFLSDDKLLVHNYTVLCHYGIARNKIGKIYKEATEVFQYDFEVLLSKLKAYEKLGLSQPTLIKFLVASPYLLIGDVNVEFVKALENLKSIGFETNWIEGNLSADSSYNWSQMLEVLRLFSEMGCSNEHLGELIGQHPYILFEGSGGRTISLIGLLLKFGSTKSQLCSMFLQFPQIPVVKFISNLRQCILFLNEIEMKVSEIGKIVHSHPLLLGSISLKKANSLLNILNTGKTRLCRYIQENPQELKNWVLGKRVDPFPSSGENRISKTQRTKFLLDIGFVDNSNKMKKALRGKGGELQERFDCIVKAGLSQEDVCEMIKVSPQILNQTKDVIELKIDFIVNQLGYPLSSLLTFPRYLSHKIERVQHRIFMYNWLKDHGTADPGLSLNTIISCSDTYFIKYYVNRHPSGPQVWQDLENEIYSKSKY >ONH98758 pep chromosome:Prunus_persica_NCBIv2:G7:21885128:21890738:-1 gene:PRUPE_7G265100 transcript:ONH98758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDQTVLSLRPGGGRGRLLGPRFDSSASSGPSSSSSSLAFGSFSSDLPLLRPHGGASSNFSIKAGDSRFEGRERVKYTRDQILQLREAVEVPDEILKVKQEIEAEFLGEDQSWGRAETNLSTPPQSQGRYSEPDSRDWRGRSAPVPASGEERSWETLRENKESGNRFDYRQQEANQPQFARTHNSSNQGGGPAPALTKAEVPWSARRGTLSDKDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILKGVISLIFDKAVLEPTFCPMYALLCSDLNEKLPRFPSDEPDGKEITFKRVLLNNCQEAFDGADKLREEVRQMTSPEQEMERRDKERLAKIRTLGNIRLIGELLKQKMVPEKIVHHIVAELLGADNRTCPAEENVEAICHFFNTIGKQLDESPKSRRINDLYFSRLKELTTNPQLAPRLRFMVRDVIELRANNWVPRREEVKAKTISEIHTEAEKNLGLRPGAAASIRSGRNNVTGAQGNTSPGGFPNNRTGLGGMMPGMPGMPGMSGTRKMPGMPGIDNDDWEVPRNRSMPRGDAAGRGQLPFIGKSTSANTRLLPQGSGGLTSNRPSALLQGSGGPPARPSNYAFGAEPVAQAPVSARPVVAPSISPLTEKPQASAPAPKLKLDELKKKTVSLLEEYFSVELLDEALLCVEELRSPAYHPEVVKEAISFALEKSRPCVESLVKLLEYLFSKKVFTASDIRSGCLLYASILNDVGIDLPKAPSNFGEVIGKLILVGGLDFKVVKEVLKEVDDDYFQRAILEAGLKVVSSSPSGQGVLDSQASDIDACRSLF >ONH95054 pep chromosome:Prunus_persica_NCBIv2:G7:8600369:8602605:1 gene:PRUPE_7G049100 transcript:ONH95054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNKSAMPAGCSSVNSRVCKPSNTGGFVLSCIPRFQTGVASPCVSSWRAHQDAMPLLHHCTVSSPFLSGDQGSLLRTIPLLPSRCRTRMAPRASKDVPYSFRFPPMTKKPKWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKVGMHFWTAVAFAYLFTVLESIRCALAGMYADIPFVCDAAYIQIPYD >ONH95053 pep chromosome:Prunus_persica_NCBIv2:G7:8600931:8604558:1 gene:PRUPE_7G049100 transcript:ONH95053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNKSAMPAGCSSVNSRVCKPSNTGGFVLSCIPRFQTGVASPCVSSWRAHQDAMPLLHHCTVSSPFLSGDQGSLLRTIPLLPSRCRTRMAPRASKDVPYSFRFPPMTKKPKWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKVGMHFWTAVAFAYLFTVLESIRCALAGMYADIPFVCDAAYIQIPYD >ONH97747 pep chromosome:Prunus_persica_NCBIv2:G7:19076521:19080032:1 gene:PRUPE_7G207400 transcript:ONH97747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLRNALSMKRFLFPPQVHRRGILVPSTQLCNFSSKGRKKSKSDGSDSNEENMSKKDLALQQALDQITSSFGKGSIMWLGRSASPRQVPVVSTGSFALDLALGTGGFPKGRVVEIFGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDPSLAQAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFTNQVRSKISTFGGFGGPTEVPCGGNALKFYASVRLNIRRIGLIKKGEETLGSQVQVKIVKNKLAPPFRTVHIELEFGKGICRESEIIELGVKNKFVTKSGSHYNLNGQNFHGKDALKRFLAENNTAMEELTTKLREKLVDVVTDNEAETEPMEEIVSPDSTDEDAVTAVGT >ONH97748 pep chromosome:Prunus_persica_NCBIv2:G7:19076521:19080032:1 gene:PRUPE_7G207400 transcript:ONH97748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLRNALSMKRFLFPPQVHRRGILVPSTQLCNFSSKGRKKSKSDGSDSNEENMSKKDLALQQALDQITSSFGKGSIMWLGRSASPRQVPVVSTGSFALDLALGTGGFPKGRVVEIFGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDPSLAQAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKGELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFTNQTLGSQVQVKIVKNKLAPPFRTVHIELEFGKGICRESEIIELGVKNKFVTKSGSHYNLNGQNFHGKDALKRFLAENNTAMEELTTKLREKLVDVVTDNEAETEPMEEIVSPDSTDEDAVTAVGT >ONH98286 pep chromosome:Prunus_persica_NCBIv2:G7:20713373:20716230:1 gene:PRUPE_7G240600 transcript:ONH98286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGTPTGAGYMRQRHSQGYASSGDDLEDDACSVMRTSSPRSPRVWSRIEIVENVLWLASAAFIVYYGDRKSNLIYLFWHDDRIRRLPLYLGTVGVVFNIIIFCYTSMSAWSVRRFDEKWELASISALPFVTLLGVISFCLFCFALWPIWSFLTLPLLFTLFMACMVICPYIIIGIFRQQHDVLRIDYASSELSEKQY >ONH98280 pep chromosome:Prunus_persica_NCBIv2:G7:20689872:20691094:1 gene:PRUPE_7G240000 transcript:ONH98280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLQRSESTFRRQGSSGLIWDDKFLQRALNQVEAEKQQEAAQQAQAAESGAAVPTMERSRSNGGRGYRTVKVSPQATDPPSPKVSGCGLCGAFGKPKPSSARRPRSNKRRS >ONH98912 pep chromosome:Prunus_persica_NCBIv2:G7:22262482:22266848:1 gene:PRUPE_7G271700 transcript:ONH98912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHNAKLGSGGGLKPNNNNSISEQEQNPNLNQCEEQEQEVTTESSQLVDPPSPPVPQSSRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNEDSDYGSWEAGSYVHEDEDDFNDFHDDTDDNDDEEQYDGTEVDNDEDAFDVHGHDDAGEDDNPRVEYDPAVFSSDEAYARALQDAEDREMAARLMALAGINDREVEHTEEHGGNSQDTWEEVDPDELSYEVRRL >ONH98910 pep chromosome:Prunus_persica_NCBIv2:G7:22262278:22269966:1 gene:PRUPE_7G271700 transcript:ONH98910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHNAKLGSGGGLKPNNNNSISEQEQNPNLNQCEEQEQEVTTESSQLVDPPSPPVPQSSRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNEDSDYGSWEAGSYVHEDEDDFNDFHDDTDDNDDEEQYDGTEVDNDEDAFDVHGHDDAGEDDNPRVEYDPAVFSSDEAYARALQDAEDREMAARLMALAGINDREVEHTEEHGGNSQDTWEEVDPDELSYEELIALGEVVGTESRGLSADTIASLPSVSYKTGSGQNGSNESCVICRLDYEAGENLTILSCKHSYHSECINNWLTINKVCPVCSAEVSTSGNS >ONH98911 pep chromosome:Prunus_persica_NCBIv2:G7:22262410:22269907:1 gene:PRUPE_7G271700 transcript:ONH98911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHNAKLGSGGGLKPNNNNSISEQEQNPNLNQCEEQEQEVTTESSQLVDPPSPPVPQSSRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNEDSDYGSWEAGSYVHEDEDDFNDFHDDTDDNDDEEQYDGTEVDNDEDAFDVHGHDDAGEDDNPRVEYDPAVFSSDEAYARALQDAEDREMAARLMALAGINDLEHTEEHGGNSQDTWEEVDPDELSYEELIALGEVVGTESRGLSADTIASLPSVSYKTGSGQNGSNESCVICRLDYEAGENLTILSCKHSYHSECINNWLTINKVCPVCSAEVSTSGNS >ONH98356 pep chromosome:Prunus_persica_NCBIv2:G7:20941263:20942151:1 gene:PRUPE_7G245800 transcript:ONH98356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKSMNVSNVLVLVIAAAVFTLHGTEAAQYTVGDELGWTIPPGGAATYASWAAKHSLVVSDILTFNFAVGEQDLALVTKEDFDACNTAEPLFVFQEPGDFIFESEGMYYLTCTFAGHCAKGQKIALYFAPSGGSPSPSPAASQSADDAAAVKFVSKKEYGFKKSIPVTMKSL >ONH95496 pep chromosome:Prunus_persica_NCBIv2:G7:11183415:11184560:1 gene:PRUPE_7G074500 transcript:ONH95496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNISNTKLIILYPYIQKQGTSNHLWLLAFMSIFTLAFLLTIIYTNGLYAYSSTTTITISAATITTTTTASTAVPLLPTTVINTLLYYTPKSNDTFKMSYADIKPISDALRKCSTPCNFLIFGLTHETLLWKALNNNGCTVFIDENRYFAAYTWRRNTWKLTRTTCSTQQNRKSSTSWWRWQKSKYGTSAG >ONH97327 pep chromosome:Prunus_persica_NCBIv2:G7:17845383:17848768:-1 gene:PRUPE_7G184000 transcript:ONH97327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTADISPHVTRLDGGCLNDGGIPIMEDQNVDALGNSNNVPTGKPPRNLSVMRNCTSYALLTESESDIGSMGLKSPASETAEFVSVFRSGSCSEKGPKQYMEDEYICVDDLHEHLGQMENLPSPGAFYGVFDGHNGVDAASFIKKNILRFIVEDSHFPSGIRKAVRSAFVKADHAFADASSVDKSSGTTALTSLILGRTMLIANAGDCRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGSKGSNCPLSSEPELEEIVLTEEDEFLIMGCDGLWDVMSSQCAVTMVRKELLQHNDPERCSKALVKEALQRNTCDNLTVVVVCFSEDPPPKTEIPKSQKRRSISAEGLDLLKGVLSNI >ONH98121 pep chromosome:Prunus_persica_NCBIv2:G7:20235160:20239009:1 gene:PRUPE_7G230700 transcript:ONH98121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTSFVLLFFSCFFMRYICSSYAETVIYTLKQGEQLRDQDTDHLVSQHGHFKLGFFSPGASSNLGITSNRFLGIWYSKLPDHPDAVWVANPETPVVDSSGVFTLDSDGKLKIIHGGGQIIISDPNQTVSGNVTAYLMDTGNFILRKVTSDGTPGNILWESFDYPSNTLLPGMKLGMNLKTGHNRTLSSWFSYQSPVSGAFRLGVDPSRTNRLIIWRREVVYWTSGIWENGSFPKAPELTTRTDLFDFNFVSNEEEKYFTYSVKNNSTLSRWELNTWGQLLQSILAPNGTTWETTVTSPCKFNPYYPDAVCIEQKPSECRNGSELLVPTRGYLNDAQFTHQDNNTNLDLSDCHATCWNDCTCIGYQNIHTNGSGCLFFKKGAHFVQNDYFGVNYLIIIANNSRDGTTTEKGSTQKKWWIWCIVGIILAVAVLLLGYFCYTRKRKLAVVQQTDKVETSQEQGLLELGSQIRGLRDIFKLKTGRGRGQEFKMLSFSEILAATDDFSFAKKLGEGGFGPVYKGVLPDGQQVAVKRLARHSGQGIEEFMNEITLIAELQHSNLVRLLGCCIQGEEKILIYEYLTNTSLDAFLFDSTRVNLLDWQRRINIIEGIAQGLLYLHKYSRVRVIHRDLKTSNILLDENMNPKISDFGMARIFGQNESRANTNRVVGTYGYMSPEYAMNGIFSEKSDVYSFGVVLLEIVSGQKNTVFVSSTALSLIELAWGLWKQGDSLELRDPSMDSCPKDEVSKFIHVGLLCVQEYAVDRPTMSEVISMLTSDIMFLPDPKQPAYCISRSEVGSSQPHGRSDMGSSNCVSMTVMEAR >ONH95680 pep chromosome:Prunus_persica_NCBIv2:G7:12002245:12005667:-1 gene:PRUPE_7G084800 transcript:ONH95680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIAVWQGATLCGIVLWIIVASYLDVTRKLRSFLQPWVAQHVTTDTPLILQIQNYQHRFLDAFFAGLSCVVSVPFYTAFLPLLFWSGHGKLARQMTLLMAFCDYMGNCIKDVVSAPRPSCPPVRRITATKDEEDNALEYGLPSSHTLNTVCLSGYLLHYILSYTQNEDSHVKFAGFALVCLLVGLIGLGRIYLGMHSVVDIIGGLAIGLVILRFWLSVHEYVDNFIVLGQNVTYFWAALSFILLFAYPTPEFPTPSFEYHTAFNGVALGIVSGVQQTYHQFHHEAVPRVFTSQLTIPGFMGRMLVGIPTILIVKFCSKALAKWILPVLANTLGISIKSTTYIASLKGSSNGKKSDGLKQSGYVQKLFFFSSQDSFDVDTGIRFLQYAGLAWSVVDLVPSLFTHLSL >ONH97208 pep chromosome:Prunus_persica_NCBIv2:G7:17502341:17503326:-1 gene:PRUPE_7G176100 transcript:ONH97208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDNKYTRMGSYRKWFKTIRRKLGRSSNRDIIVVYTNTSPSTHEESARYQDLNGSASSSSSSTKKFLSHEDIAAIKLQAFFRGHLARQAFRALRSLVKLQALVRGACVRKQARMALHCMHALVRLQVKVRARQLLSESGNGRSVAA >ONH98315 pep chromosome:Prunus_persica_NCBIv2:G7:20788848:20791144:-1 gene:PRUPE_7G242400 transcript:ONH98315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVANRYITIKTQMDGEPKESDFELRTLALDISVDPGSNDIIVKNLYVSIDPYQLNRMKSFSSSQKAIGFAAAITPGETIDAYGVAKVVASGNPEFEKDDLVVGLITWGEYSVLKEGSMFRKLDPLGFPLSYQVGILGFSGLTAYGGFFEVCKPKKGEKVFVSAASGSVGNLVGQYAKLFGCYVVGCAGTKEKVALLKEKLGFDDAFNYKEESDLKSTLKKYFPDGIDIYFDNVGAEMLEAAVSNMNTFGRVAVCGVISEYTDAGKRAAPDMLDVIYKRIKIQGFLAADQMLVYSDFLSTTTDHLRTGKLHAIEDISHGLESVPSAFIGLFCGHNTGKKIVKIADE >ONH98316 pep chromosome:Prunus_persica_NCBIv2:G7:20788848:20791304:-1 gene:PRUPE_7G242400 transcript:ONH98316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVANRYITIKTQMDGEPKESDFELRTLALDISVDPGSNDIIVKNLYVSIDPYQLNRMKSFSSSQKAIGFAAAITPGETIDAYGVAKVVASGNPEFEKDDLVVGLITWGEYSVLKEGSMFRKLDPLGFPLSYQVGILGFSGLTAYGGFFEVCKPKKGEKVFVSAASGSVGNLVGQYAKLFGCYVVGCAGTKEKVALLKEKLGFDDAFNYKEESDLKSTLKKYFPDGIDIYFDNVGAEMLEAAVSNMNTFGRVAVCGVISEYTDAGKRAAPDMLDVIYKRIKIQGFLAADQMLVYSDFLSTTTDHLRTGKLHAIEDISHGLESVPSAFIGLFCGHNTGKKIVKIADE >ONH94519 pep chromosome:Prunus_persica_NCBIv2:G7:3034768:3047559:1 gene:PRUPE_7G020500 transcript:ONH94519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSQNEPLPPGVQSLQPHQGFFYPHMMSSQAQYSNFTTTAPQSFHQTLTHPHDGSSQNADITQEANSSIHNASDIHLVNEKHNLAHSHGALPAPTRSSRLHVENASEIETAAQNVVLHEQEISTQNIIRSQREARGVDESSKDNVDVFSERLDPSALKEHLLKMTMEHRAQMASKRGKSTLTGEGNIEIGNGYGVPGGGAYYGAPGPNVTTPRNLGVGNSEMGQKNFESDNEQKSPAKELPEYLKQKLRNRGILKDDSSKSNLKLKSSSTQQTEYGKLPPGWVEAKDPASGVLYYYNEVSGKSQWEKPAETSSVTPLPSPVSLPKDWVEALDETTGHKYYYNTKTHVSQWKHPNSSEQVALASQHFDSLASRNPANVYWDGQSAEVQAEKDESSKTKRCTGCGGWGLDLVQMWGYCNHCTRVLHLPQSQYLMTSMHNNHQTPDPVDIKRESDRKVPTQRSNWKPPMGKGNKKESKKRSYSEDEELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEVASQTKKSSSHFAPISKRGDGSDGLGDAD >ONH94516 pep chromosome:Prunus_persica_NCBIv2:G7:3034724:3047558:1 gene:PRUPE_7G020500 transcript:ONH94516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSQNEPLPPGVQSLQPHQGFFYPHMMSSQAQYSNFTTTAPQSFHQTLTHPHDGSSQNADITQEANSSIHNASDIHLVNEKHNLAHSHGALPAPTRSSRLHVENASEIETAAQNVVLHEQEISTQNIIRSQREARGVDESSKDNVDVFSERLDPSALKEHLLKMTMEHRAQMASKRGKSTLTGEGNIEIGNGYGVPGGGAYYGAPGPNVTTPRNLGVGNSEMGQKNFESDNEQKSPAKELPEYLKQKLRNRGILKDDSSKSNLKLKSSSTQQTEYGKLPPGWVEAKDPASGVLYYYNEVSGKSQWEKPAETSSVTPLPSPVSLPKDWVEALDETTGHKYYYNTKTHVSQWKHPNSSEQVALASQHFDSLASRNPANVYWDGQSAEVQAEKDESSKTKRCTGCGGWGLDLVQMWGYCNHCTRVLHLPQSQYLMTSMHNNHQTPDPVDIKRESDRKVPTQRSNWKPPMGKGNKKESKKRSYSEDEELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEVASQTKKSSSHFAPISKRGDGSDGLGDAD >ONH94517 pep chromosome:Prunus_persica_NCBIv2:G7:3034768:3047522:1 gene:PRUPE_7G020500 transcript:ONH94517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSQNEPLPPGVQSLQPHQGFFYPHMMSSQAQYSNFTTTAPQSFHQTLTHPHDGSSQNADITQEANSSIHNASDIHLVNEKHNLAHSHGALPAPTRSSRLHVENASEIETAAQNVVLHEQEISTQNIIRSQREARGVDESSKDNVDVFSERLDPSALKEHLLKMTMEHRAQMASKRGKSTLTGEGNIEIGNGYGVPGGGAYYGAPGPNVTTPRNLGVGNSEMGQKNFESDNEQKSPAKELPEYLKQKLRNRGILKDDSSKSNLKLKSSSTQQTEYGKLPPGWVEAKDPASGVLYYYNEVSGKSQWEKPAETSSVTPLPSPVSLPKDWVEALDETTGHKYYYNTKTHVSQWKHPNSSEQVALASQHFDSLASRNPANVYWDGQSAEVQAEKDESSKTKRCTGCGGWGLDLVQMWGYCNHCTRVLHLPQSQYLMTSMHNNHQTPDPVDIKRESDRKVPTQRSNWKPPMGKGNKKESKKRSYSEDEELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEVASQTKKSSSHFAPISKRGDGSDGLGDAD >ONH94518 pep chromosome:Prunus_persica_NCBIv2:G7:3034768:3047522:1 gene:PRUPE_7G020500 transcript:ONH94518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSQNEPLPPGVQSLQPHQGFFYPHMMSSQAQYSNFTTTAPQSFHQTLTHPHDGSSQNADITQEANSSIHNASDIHLVNEKHNLAHSHGALPAPTRSSRLHVENASEIETAAQNVVLHEQEISTQNIIRSQREARGVDESSKDNVDVFSERLDPSALKEHLLKMTMEHRAQMASKRGKSTLTGEGNIEIGNGYGVPGGGAYYGAPGPNVTTPRNLGVGNSEMGQKNFESDNEQKSPAKELPEYLKQKLRNRGILKDDSSKSNLKLKSSSTQQTEYGKLPPGWVEAKDPASGVLYYYNEVSGKSQWEKPAETSSVTPLPSPVSLPKDWVEALDETTGHKYYYNTKTHVSQWKHPNSSEQVALASQHFDSLASRNPANVYWDGQSAEVQAEKDESSKTKRCTGCGGWGLDLVQMWGYCNHCTRVLHLPQSQYLMTSMHNNHQTPDPVDIKRESDRKVPTQRSNWKPPMGKGNKKESKKRSYSEDEELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEVASQTKKSSSHFAPISKRGDGSDGLGDAD >ONH97990 pep chromosome:Prunus_persica_NCBIv2:G7:19824955:19827457:1 gene:PRUPE_7G222100 transcript:ONH97990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQTGAPPPAPNSEGYDDPTMEDDPSSTVLDLTSFQLHDLESIELPPTLTELDVTANRLTSLDTRIATLSSLKKLSLRQNLIEDAAVEPISRWDALSGIEELVLRDNKLTKIPDVTIFIKLLVFDVSFNEITSLHGLSKVSSKLKELYVSKNEVAKIEEIDHLHELLILELGSNRLRVMENLQNMTHLQELWLGRNRIKVVNLCGLKCIKKISLQSNRLTSMTGFEECVALEELYLSHNGISKMEGLSTLVNLHILDVSNNKLTSVNGIENLTMLEDLWLNDNSIDSVEGIAEVVAGSREKLTTIYLENNPCAKSPNYAAALRQIFPNIQQIDCHIFA >ONH97991 pep chromosome:Prunus_persica_NCBIv2:G7:19824948:19827511:1 gene:PRUPE_7G222100 transcript:ONH97991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQTGAPPPAPNSEGYDDPTMEDDPSSTVLDLTSFQLHDLESIELPPTLTELDVTANRLTSLDTRIATLSSLKKLSLRQNLIEDAAVEPISRWDALSGIEELVLRDNKLTKIPDVTIFIKLLVFDVSFNEITSLHGLSKVSSKLKELYVSKNEVAKIEEIDHLHELLILELGSNRLRVMENLQNMTHLQELWLGRNRIKVVNLCGLKCIKKISLQSNRLTSMTGFEECVALEELYLSHNGISKMEGLSTLVNLHILDVSNNKLTSVNGIENLTMLEDLWLNDNSIDSVEGIAEVVAGSREKLTTIYLENNPCAKSPNYAAALRQIFPNIQQIDCHIFA >ONH96832 pep chromosome:Prunus_persica_NCBIv2:G7:16424833:16429483:-1 gene:PRUPE_7G154900 transcript:ONH96832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWRRAFSTTAAKRHLTAKKWDALIIGAGHNGLVASAYLARAGLSVAVLERRHVIGGAAVTEEIIPGFKFTRCSYLYGLFRPSIARELELTRHGLKLLKPLASSFTPCLDGRYLLLGLNQEQDHLEISKFSKRDADAYTSYENQLHRFQKFVDFVLDSRTPETFPWEHSSIYDGLRNKWHKSAFWARCLQQVLSLGQKDMVEFVDLLLSPTSKYLNKRFESDILKGTLAVEAIVGSMVSIHNSGSGYVLLHHVMGEVDGDHNIWSHVEGGMGSVSLAISNAAKEAGVHIVTNAEVSQVMIGDTGIVNGVCLADGTQVHSSVVLSNATPYKTFMELVPQDFLPSDFLHAIKNSDYSSGTTKINVAVDRLPQFQCCKSSNPEVGPQHTASIRIGTESMGEIDTACQEAWNGLPSRRPVMEMTIPSSLDNTISPPGKHVVSLFTQYTPYKPSDGSWEDPKYRESYARRCFNLIDEYAPGFSSSVIGYDMLAPPDLEREIGLTGGNVFHGAMGLDSLFLMRPVKGWSGNRTPVRGLYMCGSGSHPGGGVMGAPGRNAAHIVLEDVQKRSH >ONH96833 pep chromosome:Prunus_persica_NCBIv2:G7:16425975:16429483:-1 gene:PRUPE_7G154900 transcript:ONH96833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWRRAFSTTAAKRHLTAKKWDALIIGAGHNGLVASAYLARAGLSVAVLERRHVIGGAAVTEEIIPGFKFTRCSYLYGLFRPSIARELELTRHGLKLLKPLASSFTPCLDGRYLLLGLNQEQDHLEISKFSKRDADAYTSYENQLHRFQKFVDFVLDSRTPETFPWEHSSIYDGLRNKWHKSAFWARCLQQVLSLGQKDMVEFVDLLLSPTSKYLNKRFESDILKGTLAVEAIVGSMVSIHNSGSGYVLLHHVMGEVDGDHNIWSHVEGGMGSVSLAISNAAKEAGVHIVTNAEVSQVMIGDTGIVNGVCLADGTQVHSSVVLSNATPYKTFMELVPQDFLPSDFLHAIKNSDYSSGTTKINVAVDRLPQFQCCKSSNPEVGPQHTASIRIGTERYDSM >ONH94752 pep chromosome:Prunus_persica_NCBIv2:G7:5169658:5172151:-1 gene:PRUPE_7G027600 transcript:ONH94752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWQRNLQSLISHIGKRVSAANFSSSSSHFQRLWRPSPQTIARPLYHCFQHLGISSSRNLLADSSVESPLQSTVAPVSASDGERNEEHNQKPLSKPSQVQFVLKGIKMSSNKVNLVAKLIRGMRVEDALLQLQVTDKQAVKTVYQALHSARAEATYNHGLDPERLLVAGAFVGKGFLGFHKNRLYYHGKSNNGTKVRPNNYQLMVTLREITPEEEGEIARQRANNFLYLTKRLRLTKKENKFVPRQLIRNHKGKVSSSQRSGMTS >ONH94564 pep chromosome:Prunus_persica_NCBIv2:G7:3537860:3547289:-1 gene:PRUPE_7G022600 transcript:ONH94564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNKPKRLYQVWKGSNNFLCGGRLIFGPDVASLLLSTLLVAGPAVAFCIKVYLKIKHGKNTDYPVLIVGSVLAVLDLTFLFLTSGRDPGIVPRNSRPPESDEAFDLATPSMEWVNERTPHLKLPRTKDVIVNGHTVKVKYCDTCLLYRPPRVSHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFFMFISTSTILCIYVFVFSWINIVQEGGVPKAVSKDILSDFLLVYCFIAIWFVGGLTIFHSYLICTNQTTYENFRYRYDKKENPYNKGVMWNIKEVFFSKIPPSMNRFRSFIEMDEQMIAGSVTPNLDEGIMGSKEKIDIEMGTGIAEDNGFPIPNLLLNFDYDDLEDDLKNAGEQRGPAFDTFFPIQTEAKESVKYSMDGDKITESVQSVTTRDGVRDSVGSSPPRDELRASVQSTISLDGANEEADCGNNDPKTKHEGLATTDLF >ONH94893 pep chromosome:Prunus_persica_NCBIv2:G7:7042285:7049669:-1 gene:PRUPE_7G037900 transcript:ONH94893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTVKTGANDGNKVVTEMPSFVPPVPTSNPTGTEGSTIRSSRISDFGTLEQTLGFRIEDAVDIGRSPVFNQNKLSSQALGSSDAQFGSLNKLLPLQKEAQQNLPSVSRSNHENWGESSMADGSPRTDTSTDDTEDKNQRTELNQMTGLLVSDSSDRSKEKPGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTVIDNVIAHYDDIFRLKGTAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVNQLEPLTEQQLVGIYNLQQSSQQAEDALSQGMEALQQSLAETLASGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >ONH94896 pep chromosome:Prunus_persica_NCBIv2:G7:7042285:7049669:-1 gene:PRUPE_7G037900 transcript:ONH94896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTVKTGANDGNKVVTEMPSFVPPVPTSNPTGTEGSTIRSSRISDFGTLEQTLGFRIEDAVDIGRSPVFNQNKLSSQALGSSDAQFGSLNKLLPLQKEAQQNLPSVSRSNHENWGESSMADGSPRTDTSTDDTEDKNQRTELNQMTGLLVSDSSDRSKEKPGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTVIDNVIAHYDDIFRLKGTAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVNQLEPLTEQQLVGIYNLQQSSQQAEDALSQGMEALQQSLAETLASGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >ONH94895 pep chromosome:Prunus_persica_NCBIv2:G7:7041802:7050377:-1 gene:PRUPE_7G037900 transcript:ONH94895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTVKTGANDGNKVVTEMPSFVPPVPTSNPTGTEGSTIRSSRISDFGTLEQTLGFRIEDAVDIGRSPVFNQNKLSSQALGSSDAQFGSLNKLLPLQKEAQQNLPSVSRSNHENWGESSMADGSPRTDTSTDDTEDKNQRTELNQMTGLLVSDSSDRSKEKPGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTVIDNVIAHYDDIFRLKGTAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVNQLEPLTEQQLVGIYNLQQSSQQAEDALSQGMEALQQSLAETLASGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >ONH94894 pep chromosome:Prunus_persica_NCBIv2:G7:7041802:7050323:-1 gene:PRUPE_7G037900 transcript:ONH94894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTVKTGANDGNKVVTEMPSFVPPVPTSNPTGTEGSTIRSSRISDFGTLEQTLGFRIEDAVDIGRSPVFNQNKLSSQALGSSDAQFGSLNKLLPLQKEAQQNLPSVSRSNHENWGESSMADGSPRTDTSTDDTEDKNQRTELNQMTGLLVSDSSDRSKEKPGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTVIDNVIAHYDDIFRLKGTAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVNQLEPLTEQQLVGIYNLQQSSQQAEDALSQGMEALQQSLAETLASGSPGPSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >ONH95540 pep chromosome:Prunus_persica_NCBIv2:G7:11303720:11307489:1 gene:PRUPE_7G076300 transcript:ONH95540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLAPAPQASRNIAPPPSNSNGSSSSAVKSRLCNKYNSAEGCKFGDKCHFAHGEWELGKHLAQSHDDPRAMGPGPGRMTNWMEPPLAGPASSFGASSTAKISVDASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNLRNIELEGTFEQIQQASAMVRELIVTVSMSGPAKTPGGGAPGAPAPPGSNYKTKLCDNFTKGTCTFGDRCHFAHGAAELRKSGM >ONH95539 pep chromosome:Prunus_persica_NCBIv2:G7:11303720:11307489:1 gene:PRUPE_7G076300 transcript:ONH95539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTRKRGRHEAGAFHANGGFKKNKQELESFSTGVGSKSKPCTKFFSTAGCPFGESCHFLHYVPGGYNAVAQMMNLAPAPQASRNIAPPPSNSNGSSSSAVKSRLCNKYNSAEGCKFGDKCHFAHGEWELGKHLAQSHDDPRAMGPGPGRMTNWMEPPLAGPASSFGASSTAKISVDASLAGAIIGKGGVNSKQICRQTGAKLSIREHESDPNLRNIELEGTFEQIQQASAMVRELIVTVSMSGPAKTPGGGAPGAPAPPGSNYKTKLCDNFTKGTCTFGDRCHFAHGAAELRKSGM >ONH98865 pep chromosome:Prunus_persica_NCBIv2:G7:22150296:22153209:-1 gene:PRUPE_7G269800 transcript:ONH98865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPIMIPSLPGNPNPNYQGLPTASVDLPPPPPPPSPPATGGSRSPVIFSFPKRPSIRLTSEFDSDSSVFFHKVSCKLFDSLAKLKLSFHNNHKGELSPPHLALVSKHLSINYDFEDQSTLLSGSVDVGPRLQLRATHDLKAQQGEASVVAKLAEPGYAFELSSPVPSVGFPKATLKFPLGEVSLEEKEEEETKRMLSINGIVKGQILNGLCTAHYADEDLKLRYSYKDEEMSFIPTFSLPSNALSFAFKRRFGPSDKLSYWYNFDSDYWSAVYKHTYGKDLKLKAGYDSEVRLGWASLWVGDEGGKARTAPMKMKVQFMLQVPQDDIRSSTLMFRVKKRWDI >ONH98866 pep chromosome:Prunus_persica_NCBIv2:G7:22150296:22153209:-1 gene:PRUPE_7G269800 transcript:ONH98866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPIMIPSLPGNPNPNYQGLPTASVDLPPPPPPPSPPATGGSRSPVIFSFPKRPSIRLTSEFDSDSSVFFHKVSCKLFDSLAKLKLSFHNNHKGELSPPHLALVSKHLSINYDFEDQSTLLSGSVDVGPRLQLRATHDLKAQQGEASVVAKLAEPGYAFELSSPVPSVGFVTTLKFPLGEVSLEEKEEEETKRMLSINGIVKGQILNGLCTAHYADEDLKLRYSYKDEEMSFIPTFSLPSNALSFAFKRRFGPSDKLSYWYNFDSDYWSAVYKHTYGKDLKLKAGYDSEVRLGWASLWVGDEGGKARTAPMKMKVQFMLQVPQDDIRSSTLMFRVKKRWDI >ONH94205 pep chromosome:Prunus_persica_NCBIv2:G7:452502:453216:1 gene:PRUPE_7G004200 transcript:ONH94205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRFILVFFSAILAGYCAWRTVRSSPGTDINSQDSTNENIPTKKEEFNLRMMTQNVFWVLVDMASGRYLWRKMKQEQVKTC >ONH94984 pep chromosome:Prunus_persica_NCBIv2:G7:8015192:8016712:-1 gene:PRUPE_7G043900 transcript:ONH94984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVGRVIHDQNLNVQSNGASVVGKADTVKTQGKGGLGGRKALGDLSNSGKPALNQVSKKQHSKNFATGASIVGKGDVLKTQMRGLGGRKPLGDISNSGKPVLSQASKKQSSKNVPVVEEATSLPKIIHDASTGKGVFKASEKVQTHSRNTLSHISNSVKPNLQKNHSMKLKVMAEEPLCPSAIAEEGFLHNHQECIKAQNKAMDLDQLLMNFSELKPESPLRCKNLEEMSEKLDSPPPVFESPKYYTPYENFDELSDKLDYPPIDYTDFPWISEDCDFKLMSPNH >ONH94983 pep chromosome:Prunus_persica_NCBIv2:G7:8015192:8017291:-1 gene:PRUPE_7G043900 transcript:ONH94983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVGRVIHDQNLNVQSNGASVVGKADTVKTQGKGGLGGRKALGDLSNSGKPALNQVSKKQHSKNFATGASIVGKGDVLKTQMRGLGGRKPLGDISNSGKPVLSQASKKQSSKNVPVVEEATSLPKIIHDASTGKGVFKASEKVQTHSRNTLSHISNSVKPNLQKNHSMKLKVMAEEPLCPSAIAEEGFLHNHQECIKAQNKAMDLDQLLMNFSELKPESPLRCKNLEEMSEKLDSPPPVFESPKYYTPYENFDELSDKLDYPPIDYTDFPWISEDCDFKLMSPNH >ONH95677 pep chromosome:Prunus_persica_NCBIv2:G7:11995715:12000348:1 gene:PRUPE_7G084700 transcript:ONH95677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASVLEVDDCRGHTFIQFEPAPRRGEPDVTRRTPDYFL >ONH95678 pep chromosome:Prunus_persica_NCBIv2:G7:11996945:11999932:1 gene:PRUPE_7G084700 transcript:ONH95678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYHIRAPVMIGKTIHPLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASVLEVDDCRGHTFIQFEPAPRRGEPDVTRRTPDYFL >ONH95676 pep chromosome:Prunus_persica_NCBIv2:G7:11993135:12000348:1 gene:PRUPE_7G084700 transcript:ONH95676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNSVSSDTSSDLNEQIEQLMQCKPLSEPQVRGLCEKAKEILMGESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASVLEVDDCRGHTFIQFEPAPRRGEPDVTRRTPDYFL >ONH95679 pep chromosome:Prunus_persica_NCBIv2:G7:11997751:12000348:1 gene:PRUPE_7G084700 transcript:ONH95679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHFCIILLRPVACLSFRYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASVLEVDDCRGHTFIQFEPAPRRGEPDVTRRTPDYFL >ONH97336 pep chromosome:Prunus_persica_NCBIv2:G7:17886870:17891154:1 gene:PRUPE_7G184400 transcript:ONH97336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIVLLLVLMVFYNGSSSSGLGTNVTARPDTVNIGAIFPFDSVIGEIAKLAISIAVEDVNSDPKLLNGTKLILKIQNIKSSDFLGIVEQALQFMENDIVAILGPQFSATVRVVSHIANELHVPLLSFAATDPTLSPVQFPFLVRTAQSDLFQMTAVADLVAFYEWREVIAIYIDDDFGRNAIAALGDKLAEKRCKVSYKVPLNPKATKDDITKALIRTSSMESRILIVHIYTNWGLQVFSEAQNLMMMGSGYVWIATDWLPTILDTDPSLPTKDIQGVLTLRMYTPESELKTKFKSRWSNLTRARRVNGSSFGLNTYGLFAYDSVWLLANAIDSFFARGGNVSFSNDSNLSNLQGGRLNLDALKFFNCGSQLLRSIVEVDTTGVTGPIKFNADRDLINPAFEVINVIGTGTRNIGYWSNSSGLSIDPPEKPQNKLQYNGSSTSIQRLYSVIWPGQTTQKPRGWVFPDNGKKLRIGVPRRVSYCEFLNVKGTDITGYCIEVFQAAFNELQYGVAYKFVPFGDGKKNQEPSELLRRMQNGELDGVVGDITITTSRTKMVDFTQPYFESGLVVVAPIRKLNSSAWAFLRPFTPMMWTVTGLFFLAVGAVVWILERRTNEDFRGPPRKQCVTILWRRNWQLSWSLCAHHMVICSSNNQLKLHCKPDINPHSGAAFFPY >ONH97337 pep chromosome:Prunus_persica_NCBIv2:G7:17886782:17891231:1 gene:PRUPE_7G184400 transcript:ONH97337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIVLLLVLMVFYNGSSSSGLGTNVTARPDTVNIGAIFPFDSVIGEIAKLAISIAVEDVNSDPKLLNGTKLILKIQNIKSSDFLGIVEQALQFMENDIVAILGPQFSATVRVVSHIANELHVPLLSFAATDPTLSPVQFPFLVRTAQSDLFQMTAVADLVAFYEWREVIAIYIDDDFGRNAIAALGDKLAEKRCKVSYKVPLNPKATKDDITKALIRTSSMESRILIVHIYTNWGLQVFSEAQNLMMMGSGYVWIATDWLPTILDTDPSLPTKDIQGVLTLRMYTPESELKTKFKSRWSNLTRARRVNGSSFGLNTYGLFAYDSVWLLANAIDSFFARGGNVSFSNDSNLSNLQGGRLNLDALKFFNCGSQLLRSIVEVDTTGVTGPIKFNADRDLINPAFEVINVIGTGTRNIGYWSNSSGLSIDPPEKPQNKLQYNGSSTSIQRLYSVIWPGQTTQKPRGWVFPDNGKKLRIGVPRRVSYCEFLNVKGTDITGYCIEVFQAAFNELQYGVAYKFVPFGDGKKNQEPSELLRRMQNGELDGVVGDITITTSRTKMVDFTQPYFESGLVVVAPIRKLNSSAWAFLRPFTPMMWTVTGLFFLAVGAVVWILERRTNEDFRGPPRKQCVTILWRRNWQLSWSLCAHHMVICSSNNQLKLHCKPDINPHSGAAFFPY >ONH97338 pep chromosome:Prunus_persica_NCBIv2:G7:17886469:17891231:1 gene:PRUPE_7G184400 transcript:ONH97338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIVLLLVLMVFYNGSSSSGLGTNVTARPDTVNIGAIFPFDSVIGEIAKLAISIAVEDVNSDPKLLNGTKLILKIQNIKSSDFLGIVEQALQFMENDIVAILGPQFSATVRVVSHIANELHVPLLSFAATDPTLSPVQFPFLVRTAQSDLFQMTAVADLVAFYEWREVIAIYIDDDFGRNAIAALGDKLAEKRCKVSYKVPLNPKATKDDITKALIRTSSMESRILIVHIYTNWGLQVFSEAQNLMMMGSGYVWIATDWLPTILDTDPSLPTKDIQGVLTLRMYTPESELKTKFKSRWSNLTRARRVNGSSFGLNTYGLFAYDSVWLLANAIDSFFARGGNVSFSNDSNLSNLQGGRLNLDALKFFNCGSQLLRSIVEVDTTGVTGPIKFNADRDLINPAFEVINVIGTGTRNIGYWSNSSGLSIDPPEKPQNKLQYNGSSTSIQRLYSVIWPGQTTQKPRGWVFPDNGKKLRIGVPRRVSYCEFLNVKGTDITGYCIEVFQAAFNELQYGVAYKFVPFGDGKKNQEPSELLRRMQNGELDGVVGDITITTSRTKMVDFTQPYFESGLVVVAPIRKLNSSAWAFLRPFTPMMWTVTGLFFLAVGAVVWILERRTNEDFRGPPRKQCVTILWRRNWQLSWSLCAHHMVICSSNNQLKLHCKPDINPHSGAAFFPY >ONH97335 pep chromosome:Prunus_persica_NCBIv2:G7:17886775:17891231:1 gene:PRUPE_7G184400 transcript:ONH97335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNIVLLLVLMVFYNGSSSSGLGTNVTARPDTVNIGAIFPFDSVIGEIAKLAISIAVEDVNSDPKLLNGTKLILKIQNIKSSDFLGIVEQALQFMENDIVAILGPQFSATVRVVSHIANELHVPLLSFAATDPTLSPVQFPFLVRTAQSDLFQMTAVADLVAFYEWREVIAIYIDDDFGRNAIAALGDKLAEKRCKVSYKVPLNPKATKDDITKALIRTSSMESRILIVHIYTNWGLQVFSEAQNLMMMGSGYVWIATDWLPTILDTDPSLPTKDIQGVLTLRMYTPESELKTKFKSRWSNLTRARRVNGSSFGLNTYGLFAYDSVWLLANAIDSFFARGGNVSFSNDSNLSNLQGGRLNLDALKFFNCGSQLLRSIVEVDTTGVTGPIKFNADRDLINPAFEVINVIGTGTRNIGYWSNSSGLSIDPPEKPQNKLQYNGSSTSIQRLYSVIWPGQTTQKPRGWVFPDNGKKLRIGVPRRVSYCEFLNVKGTDITGYCIEVFQAAFNELQYGVAYKFVPFGDGKKNQEPSELLRRMQNGELDGVVGDITITTSRTKMVDFTQPYFESGLVVVAPIRKLNSSAWAFLRPFTPMMWTVTGLFFLAVGAVVWILERRTNEDFRGPPRKQCVTILWRRNWQLSWSLCAHHMVICSSNNQLKLHCKPDINPHSGAAFFPY >ONH95390 pep chromosome:Prunus_persica_NCBIv2:G7:10569615:10576837:1 gene:PRUPE_7G067600 transcript:ONH95390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVAAAVVVPLGLLFFISGLVVNLIQAICFILIRPVSKNLYRRINRVVAELLWLELVWLIDWWAGVKIQVYTDHETFNLMGKEHALVISNHRSDIDWLVGWVLAQRSGCLGSSLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDEGTLKSGVQRLKDFPQPFWLALFVEGTRFTQAKLLAAQEYAAATGLPVPRNVLIPRTKGFVTAVSQMRSFAPAIYDVTVAIPKSSPAPTMLRLFEGRPSVVHVHIKRHVMRDLPETDEAVAQWCKDIFVAKDALLDKHTVEQTFGDQQLKVTGRPPKSLLVVTAWACLLILGALKFLYWSSLLSSWKGIAFSALGLGVVTVLMQILIRFSQSERSTPAPVAPTNNNNKGESSGKPEKQQ >ONH96973 pep chromosome:Prunus_persica_NCBIv2:G7:16799714:16803208:1 gene:PRUPE_7G162800 transcript:ONH96973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSLSSILNQPHCALRLKLQRPCCFTLRFLNWRPEKSSFRCYHHHQLTPRPQRRSLGPNFSRSFSQSNPNLSSLPNQSESPSLRYFVAQAALTASEAQPQNVTATEVSEVPPMGRIYHETYGCQMNINDMEIVLSIMKNAGYSEVVDVPENAEVIFINTCAIRDNAEQKVWQRLNYFWFLKRHWKSNVKIGRSQSKRPPKVVVLGCMAERLKDKILDADKMVDVVCGPDAYRDLPRLLEDVDYGQKGINTLLSLEETYADISPVRIAKNSISAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVREVAELWEEGVKEVTLLGQNVNSYNDASEYEKEVETGTNWRYSEGFSSMCKVKKVGSRFADLLDRLSTEFPEMRFRYTSPHPKDFPDELLYIMRDRPNVCKSIHLPAQTGSSTVLERMRRGYSREAYLDLVQKIRRIIPDVGISSDFICGFCGETEEEHADTLSLVKAVGYDMAYMFAYSMREKTHAHRNYVDDVPEKVKQRRLTELIKAFRESTGQCYDSQVGTTQLILVEGPNKRAPDTELIGKSDRGHRVSFANVLLPHRDADSNEERNPVVGDYVEVRILKSTRASLFGEAVAISKLSLFYDNVEEEAVACGSRS >ONH94737 pep chromosome:Prunus_persica_NCBIv2:G7:5049339:5054941:1 gene:PRUPE_7G027000 transcript:ONH94737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHGPRSKIFLAISSSWTEMANRFSRIQGELALAFGELLGKLWAPGRTPVAPRPFKTKLARFAPQFSGHNQHDSQELLAFLLDGLHEDLNRVKHKPYVNFRDADVRPDEDVADEYLANHIARNDSIIVDVCQVADNFYRPNLKKAALARVNVGHRSLKVSKFGVKKRNRQVVKTPDHRIIEKMWHL >ONH94738 pep chromosome:Prunus_persica_NCBIv2:G7:5049339:5054941:1 gene:PRUPE_7G027000 transcript:ONH94738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHGPRSKIFLAISSSWTEMANRFSRIQELLAFLLDGLHEDLNRVKHKPYVNFRDADVRPDEDVADEYLANHIARNDSIIVDVCQVADNFYRPNLKKAALARVNVGHRSLKVSKFGVKKRNRQVVKTPDHRIIEKMWHL >ONH94739 pep chromosome:Prunus_persica_NCBIv2:G7:5049275:5051871:1 gene:PRUPE_7G027000 transcript:ONH94739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHGPRSKIFLAISSSWTEMANRFSRIQGELALAFGELLGKLWAPGRTPVAPRPFKTKLARFAPQFSGHNQHDSQELLAFLLDGLHEDLNRVKHKPYVNFRDADVRPDEDVADEYLANHIARNDSIIVDVCQVSMSLKKLYVKKLLVNETCNFLL >ONH94740 pep chromosome:Prunus_persica_NCBIv2:G7:5049275:5051872:1 gene:PRUPE_7G027000 transcript:ONH94740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHGPRSKIFLAISSSWTEMANRFSRIQELLAFLLDGLHEDLNRVKHKPYVNFRDADVRPDEDVADEYLANHIARNDSIIVDVCQVSMSLKKLYVKKLLVNETCNFLL >ONH98878 pep chromosome:Prunus_persica_NCBIv2:G7:22177915:22178843:1 gene:PRUPE_7G270300 transcript:ONH98878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPMVYKAMKRNRTRRQYSSLSSSLSSSASAAQTNYNIADFYIDPNQTNYVYVPPPPPAASSTPHDHHRRPSLDTIFNVNNNGGFSTVSAPVEHKSSSSTNNIINGQVIQHRRHKSVSVSVSVSVSAPPKQLVRFRSHRRIFSCMTCGVVPS >ONH96652 pep chromosome:Prunus_persica_NCBIv2:G7:15790417:15792275:1 gene:PRUPE_7G143700 transcript:ONH96652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLRREIDNPTKRFSSTTPYFFMMIPKGASRGIKLRIPNKFLMKCGDDLSNPVFLNVPSGSKWKMELRRWDGDAWFDKGWSEFFEFYSLDNCHSLVFRYEGNSKFNVCIFDQSFKQIDYALKMPKMKETNSDDSGDVTEILDKSLPRPRKSREKSPLQCLRPHKKARTSSRAGKVDFYAKRHGGGKSITARFPKRTEPILGSPHPSTTSEKAIALQRAKAFKSDNPFFMVSMGSTNIDGYHMSLPAEFVKEHFNQVHDNAILCVSGERAWPVKLGHWGRGRIRFQSGWGTFLRDNHLEIGDVCVFELISNIKPLFDVVFFHATEAPNCTLSPGKLLTNF >ONH96977 pep chromosome:Prunus_persica_NCBIv2:G7:16823367:16830131:1 gene:PRUPE_7G163100 transcript:ONH96977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSVSKLCLFLGLVCFLGFQLVQCTVTYDRRAIVINGQRRILISGSIHYPRSTPEMWEDLIQKAKDGGLDVVETYVFWNVHEPSPGNYNFKGRYDLVRFLKTIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGLMKSEKLFESQGGPIILSQIENEYGAQSKLFGAAGHNYMTWAANMAVGLGTGVPWVMCKEEDAPDPVINTCNGFYCDSFAPNKPYKPTIWTEAWSGWFSEFGGPIHQRPVQDLAYAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHRAIKMCERALVSADPIITSLGNFQQAYVYTSESGDCSAFLSNHDSKSAARVMFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMEMLPTNIQMLSWESYDEDITSLDDSSTITAPGLLEQINVTRDSTDYLWYKTSVDIGSSESFLRGGELPTLIVQSTGHAVHIFINGQLSGSSFGTRESRRFTYTGKVNLHAGTNRIALLSVAVGLPNVGGHFEAWNTGILGPVALHGLDQGKWDLSWQKWTYQVGLKGEAMNLVSPNSISSVDWMRGSLAAQKQQPLTWHKTLFNAPEGDEPLALDMEGMGKGQIWINGQSIGRYWTAFANGNCNGCSYAGGFRPPKCQVGCGQPTQRVYHVPRSWLKPMQNLLVIFEEFGGDPSRISLVKRSVSSVCAEVAEYHPTIKNWHIESYGKAEDFHSPKVHLRCNPGQAISSIKFASFGTPLGTCGSYQEGTCHAATSYSVLQKKCIGKQRCAVTISNSNFGDPCPKVLKRLSVEAVCAPIVSTTMEPNSRG >ONH96979 pep chromosome:Prunus_persica_NCBIv2:G7:16823367:16830131:1 gene:PRUPE_7G163100 transcript:ONH96979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSVSKLCLFLGLVCFLGFQLVQCTVTYDRRAIVINGQRRILISGSIHYPRSTPEMWEDLIQKAKDGGLDVVETYVFWNVHEPSPGNYNFKGRYDLVRFLKTIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGLMKSEKLFESQGGPIILSQIENEYGAQSKLFGAAGHNYMTWAANMAVGLGTGVPWVMCKEEDAPDPVINTCNGFYCDSFAPNKPYKPTIWTEAWSGWFSEFGGPIHQRPVQDLAYAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHRAIKMCERALVSADPIITSLGNFQQAYVYTSESGDCSAFLSNHDSKSAARVMFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMEMLPTNIQMLSWESYDEDITSLDDSSTITAPGLLEQINVTRDSTDYLWYKTSVDIGSSESFLRGGELPTLIVQSTGHAVHIFINGQLSGSSFGTRESRRFTYTGKVNLHAGTNRIALLSVAVGLPNVGGHFEAWNTGILGPVALHGLDQGKWDLSWQKWTYQVGLKGEAMNLVSPNSISSVDWMRGSLAAQKQQPLTWHKTLFNAPEGDEPLALDMEGMGKGQIWINGQSIGRYWTAFANGNCNGCSYAGGFRPPKCQVGCGQPTQRVYHVPRSWLKPMQNLLVIFEEFGGDPSRISLVKRSVSSVCAEVAEYHPTIKNWHIESYGKAEDFHSPKVHLRCNPGQAISSIKFASFGTPLGTCGSYQEGTCHAATSYSVLQKKCIGKQRCAVTISNSNFGDPCPKVLKRLSVEAVCAPIVSTTMEPNSRG >ONH96981 pep chromosome:Prunus_persica_NCBIv2:G7:16824171:16828916:1 gene:PRUPE_7G163100 transcript:ONH96981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSVSKLCLFLGLVCFLGFQLVQCTVTYDRRAIVINGQRRILISGSIHYPRSTPEMWEDLIQKAKDGGLDVVETYVFWNVHEPSPGNYNFKGRYDLVRFLKTIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGLMKSEKLFESQGGPIILSQIENEYGAQSKLFGAAGHNYMTWAANMAVGLGTGVPWVMCKEEDAPDPVINTCNGFYCDSFAPNKPYKPTIWTEAWSGWFSEFGGPIHQRPVQDLAYAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHRAIKMCERALVSADPIITSLGNFQQAYVYTSESGDCSAFLSNHDSKSAARVMFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMEMLPTNIQMLSWESYDEDITSLDDSSTITAPGLLEQINVTRDSTDYLWYKTSVDIGSSESFLRGGELPTLIVQSTGHAVHIFINGQLSGSSFGTRESRRFTYTGKVNLHAGTNRIALLSVAVGLPNVGGHFEAWNTGILGPVALHGLDQGKWDLSWQKWTYQVGLKGEAMNLVSPNSISSVDWMRGSLAAQKQQPLTWHKTLFNAPEGDEPLALDMEGMGKGQIWINGQSIGRYWTAFANGNCNGCSYAGGFRPPKCQVGCGQPTQRV >ONH96978 pep chromosome:Prunus_persica_NCBIv2:G7:16823202:16830131:1 gene:PRUPE_7G163100 transcript:ONH96978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSVSKLCLFLGLVCFLGFQLVQCTVTYDRRAIVINGQRRILISGSIHYPRSTPEMWEDLIQKAKDGGLDVVETYVFWNVHEPSPGNYNFKGRYDLVRFLKTIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGLMKSEKLFESQGGPIILSQIENEYGAQSKLFGAAGHNYMTWAANMAVGLGTGVPWVMCKEEDAPDPVINTCNGFYCDSFAPNKPYKPTIWTEAWSGWFSEFGGPIHQRPVQDLAYAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHRAIKMCERALVSADPIITSLGNFQQAYVYTSESGDCSAFLSNHDSKSAARVMFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMEMLPTNIQMLSWESYDEDITSLDDSSTITAPGLLEQINVTRDSTDYLWYKTSVDIGSSESFLRGGELPTLIVQSTGHAVHIFINGQLSGSSFGTRESRRFTYTGKVNLHAGTNRIALLSVAVGLPNVGGHFEAWNTGILGPVALHGLDQGKWDLSWQKWTYQVGLKGEAMNLVSPNSISSVDWMRGSLAAQKQQPLTWHKTLFNAPEGDEPLALDMEGMGKGQIWINGQSIGRYWTAFANGNCNGCSYAGGFRPPKCQVGCGQPTQRVYHVPRSWLKPMQNLLVIFEEFGGDPSRISLVKRSVSSVCAEVAEYHPTIKNWHIESYGKAEDFHSPKVHLRCNPGQAISSIKFASFGTPLGTCGSYQEGTCHAATSYSVLQKKCIGKQRCAVTISNSNFGDPCPKVLKRLSVEAVCAPIVSTTMEPNSRG >ONH96976 pep chromosome:Prunus_persica_NCBIv2:G7:16823367:16830131:1 gene:PRUPE_7G163100 transcript:ONH96976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSVSKLCLFLGLVCFLGFQLVQCTVTYDRRAIVINGQRRILISGSIHYPRSTPEMWEDLIQKAKDGGLDVVETYVFWNVHEPSPGNYNFKGRYDLVRFLKTIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGLMKSEKLFESQGGPIILSQIENEYGAQSKLFGAAGHNYMTWAANMAVGLGTGVPWVMCKEEDAPDPVINTCNGFYCDSFAPNKPYKPTIWTEAWSGWFSEFGGPIHQRPVQDLAYAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHRAIKMCERALVSADPIITSLGNFQQAYVYTSESGDCSAFLSNHDSKSAARVMFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMEMLPTNIQMLSWESYDEDITSLDDSSTITAPGLLEQINVTRDSTDYLWYKTSVDIGSSESFLRGGELPTLIVQSTGHAVHIFINGQLSGSSFGTRESRRFTYTGKVNLHAGTNRIALLSVAVGLPNVGGHFEAWNTGILGPVALHGLDQGKWDLSWQKWTYQVGLKGEAMNLVSPNSISSVDWMRGSLAAQKQQPLTWHKTLFNAPEGDEPLALDMEGMGKGQIWINGQSIGRYWTAFANGNCNGCSYAGGFRPPKCQVGCGQPTQRVYHVPRSWLKPMQNLLVIFEEFGGDPSRISLVKRSVSSVCAEVAEYHPTIKNWHIESYGKAEDFHSPKVHLRCNPGQAISSIKFASFGTPLGTCGSYQEGTCHAATSYSVLQKKCIGKQRCAVTISNSNFGDPCPKVLKRLSVEAVCAPIVSTTMEPNSRG >ONH96980 pep chromosome:Prunus_persica_NCBIv2:G7:16824044:16829784:1 gene:PRUPE_7G163100 transcript:ONH96980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNSVSKLCLFLGLVCFLGFQLVQCTVTYDRRAIVINGQRRILISGSIHYPRSTPEMWEDLIQKAKDGGLDVVETYVFWNVHEPSPGNYNFKGRYDLVRFLKTIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGLMKSEKLFESQGGPIILSQIENEYGAQSKLFGAAGHNYMTWAANMAVGLGTGVPWVMCKEEDAPDPVINTCNGFYCDSFAPNKPYKPTIWTEAWSGWFSEFGGPIHQRPVQDLAYAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLIRQPKYGHLKELHRAIKMCERALVSADPIITSLGNFQQAYVYTSESGDCSAFLSNHDSKSAARVMFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMEMLPTNIQMLSWESYDEDITSLDDSSTITAPGLLEQINVTRDSTDYLWYKTSVDIGSSESFLRGGELPTLIVQSTGHAVHIFINGQLSGSSFGTRESRRFTYTGKVNLHAGTNRIALLSVAVGLPNVGGHFEAWNTGILGPVALHGLDQGKWDLSWQKWTYQVGLKGEAMNLVSPNSISSVDWMRGSLAAQKQQPLTWHKTLFNAPEGDEPLALDMEGMGKGQIWINGQSIGRYWTAFANGNCNGCSYAGGFRPPKCQVGCGQPTQRVPCASVLVKTNAKSVGNF >ONH95788 pep chromosome:Prunus_persica_NCBIv2:G7:12387518:12390404:-1 gene:PRUPE_7G090400 transcript:ONH95788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVFFYTAPFCRAYQWITPIFDELREQFPHITMFKVIMEQNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95782 pep chromosome:Prunus_persica_NCBIv2:G7:12387292:12390985:-1 gene:PRUPE_7G090400 transcript:ONH95782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQTYSSLRASASITMHLIKLKNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95792 pep chromosome:Prunus_persica_NCBIv2:G7:12387518:12390404:-1 gene:PRUPE_7G090400 transcript:ONH95792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVFFYTAPFCRGTYQWITPIFDELREQFPHITMFKVIMEQNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95791 pep chromosome:Prunus_persica_NCBIv2:G7:12387518:12390404:-1 gene:PRUPE_7G090400 transcript:ONH95791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVFFYTAPFCRGTYQWITPIFDELREQFPHITMFKVIMEQNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95785 pep chromosome:Prunus_persica_NCBIv2:G7:12387313:12390963:-1 gene:PRUPE_7G090400 transcript:ONH95785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIKLKNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95789 pep chromosome:Prunus_persica_NCBIv2:G7:12387518:12390404:-1 gene:PRUPE_7G090400 transcript:ONH95789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVFFYTAPFCRAYQWITPIFDELREQFPHITMFKVIMEQNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95798 pep chromosome:Prunus_persica_NCBIv2:G7:12387311:12388605:-1 gene:PRUPE_7G090400 transcript:ONH95798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYSADVCILLQPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95796 pep chromosome:Prunus_persica_NCBIv2:G7:12387518:12390189:-1 gene:PRUPE_7G090400 transcript:ONH95796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVIMEQNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95795 pep chromosome:Prunus_persica_NCBIv2:G7:12387518:12390189:-1 gene:PRUPE_7G090400 transcript:ONH95795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVIMEQNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95784 pep chromosome:Prunus_persica_NCBIv2:G7:12387311:12390963:-1 gene:PRUPE_7G090400 transcript:ONH95784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIKLKNGPGPTVDVFGVHRNMPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95781 pep chromosome:Prunus_persica_NCBIv2:G7:12387518:12390851:-1 gene:PRUPE_7G090400 transcript:ONH95781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNSVVLIRQLLGNRNHPCAAGRSNLFVIESLSIYYDALDKVKDKRMSAVFFYTAPFCRGTYQWITPIFDELREQFPHITMFKVIMEQNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95794 pep chromosome:Prunus_persica_NCBIv2:G7:12387313:12390963:-1 gene:PRUPE_7G090400 transcript:ONH95794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVIMEQNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95793 pep chromosome:Prunus_persica_NCBIv2:G7:12387518:12390189:-1 gene:PRUPE_7G090400 transcript:ONH95793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVIMEQNGPGPTVDVFGVHRNMPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95797 pep chromosome:Prunus_persica_NCBIv2:G7:12387518:12390189:-1 gene:PRUPE_7G090400 transcript:ONH95797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVIMEQNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95790 pep chromosome:Prunus_persica_NCBIv2:G7:12387518:12390404:-1 gene:PRUPE_7G090400 transcript:ONH95790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVFFYTAPFCRGTYQWITPIFDELREQFPHITMFKVIMEQNGPGPTVDVFGVHRNMPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95786 pep chromosome:Prunus_persica_NCBIv2:G7:12387303:12390963:-1 gene:PRUPE_7G090400 transcript:ONH95786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIKLKNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95780 pep chromosome:Prunus_persica_NCBIv2:G7:12387286:12390963:-1 gene:PRUPE_7G090400 transcript:ONH95780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNSVVLIRQLLGNRNHPCAAGRSNLFVIESLSIYYDALDKVKDKRMSAVFFYTAPFCRAYQWITPIFDELREQFPHITMFKVIMEQNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95787 pep chromosome:Prunus_persica_NCBIv2:G7:12387518:12390548:-1 gene:PRUPE_7G090400 transcript:ONH95787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLIKLKINVCLLFSSTQHPFAGVNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95779 pep chromosome:Prunus_persica_NCBIv2:G7:12387286:12390985:-1 gene:PRUPE_7G090400 transcript:ONH95779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNSVVLIRQLLGNRNHPCAAGRSNLFVIESLSIYYDALDKVKAYQWITPIFDELREQFPHITMFKVIMEQNGPGPTVDVFGVHRNMNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95783 pep chromosome:Prunus_persica_NCBIv2:G7:12387313:12390963:-1 gene:PRUPE_7G090400 transcript:ONH95783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQTYSSLRASASITMHLIKLKINVCLLFSSTQHPFAGVNTGSTLDKLGIHETPTFHCYLKGERVHEVAGISARHLKKRLENVYNPSGIKKKRRQGKEDDKDGGIVEVLPCRIPETSIQKVILELEQLVFDEDHLKVDLNDLDDLELDQKRHDQDKMWWFAKGLVSCEKKMKIFCKLKDPKAKLKWIKREMAKE >ONH95877 pep chromosome:Prunus_persica_NCBIv2:G7:12598978:12605498:1 gene:PRUPE_7G093700 transcript:ONH95877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRFLLGTSNFSLSRHLLLAAPKIFTFRSGISTLAMSSRPNIRGGRRGGPNSGGGRRGGSGRGRGGGRGGGEQRWWDPVWRAERLRQQAAEMEVLDENEWWGKMEQMKNGPEQEMVIKRNFSRNDQQTLSDMAYQLGLHFHAYNKGKALVVSKVPLPDYRADLDERHGSTQKEIKMSTETADRVGSLLRSSESQGEVSVNVASGSGQGSKQTSASVNSSKPVSQLEPDTVKEKEKLSRQLKERQEQMKVSNSLKAMQLFREKLPAFKMKSEFLRAVSENQVLVVSGETGCGKTTQLPQFILEDEISRLHGADCNIICTQPRRISAVSVAARISSERGENLGETVGYQIRLESKRSAQTRLLFCTTGVLLRQLVQDPMLTGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLILMSATINADLFSKYFGNCPTIHIPGLTFPVAELFLEDILEKTRYIVKSEFDNLEGGNSRRRRRQQDSKKDPLTELFEDVDIDAHYRNYSTSTRKSLEAWSGSQLDLGLVEATIEHICRHERDGAILVFLTGWDDISKLLDKIKGNRFLGDPTKYMVLPLHGSMPTVNQREIFDRPPLNKRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKMIHDAMLQYQLPEILRTPLQELCLHIKSLQLGAVGSFLAKALQPPDPLAVQNAIELLKTIGALDDIEGLTPLGHHLCTLPLDPNIGKMLLMGSIFQCLNPALTIAAALAHRDPFVLPLNRKEDADAAKQSFAGDSFSDHIAVVKAFEGWKEAKHNGTGKTFCWDNFLSPVTLQMMEDMRIQFLDLLSNIGFLDKSRGANAYNQYSHDLEMVCAILCAGLYPNVVQCKRRGKRTAFYTKEVGKIDIHPASVNAGVHLFPLPYMVYSEKVKTTNIFIRDSTNISDYALLLFGGSLIPSKTGEGIEMLGGYLHFSASKSVLELIRKLRGELDKLLNRKIDNPGLDVSSEGKGVVSAVVELLHSQNVRY >ONH97201 pep chromosome:Prunus_persica_NCBIv2:G7:17477563:17480017:1 gene:PRUPE_7G175500 transcript:ONH97201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRKKYSRSPSPWRAQSRSRSRSWSRPRSRSRSWSRPRQSSHSRSRGRSRSRSPGRPAAINPGNTLYVTGLSTRVSEKDVERHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDAERCIKHLNQSVLEGRYITVERVCWQQSQMMSLSMSTLVKQLDQWQA >ONH97202 pep chromosome:Prunus_persica_NCBIv2:G7:17477563:17480017:1 gene:PRUPE_7G175500 transcript:ONH97202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRKKYSRSPSPWRAQSRSRSRSWSRPRSRSRSWSRPRQSSHSRSRGRSRSRSPGRPAAINPGNTLYVTGLSTRVSEKDVERHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDAERCIKHLNQSVLEGRYITVERQSQMMSLSMSTLVKQLDQWQA >ONH97199 pep chromosome:Prunus_persica_NCBIv2:G7:17477430:17481114:1 gene:PRUPE_7G175500 transcript:ONH97199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRKKYSRSPSPWRAQSRSRSRSWSRPRSRSRSWSRPRQSSHSRSRGRSRSRSPGRPAAINPGNTLYVTGLSTRVSEKDVERHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDAERCIKHLNQSVLEGRYITVERSRRKRPRTPTPGHYLGLKNTRDYGYRGDRDGDRDRDRGRYRGGSGRDDYGYRRSPRRSPYRGGRDYSPRGSPYGGRSRRERSYSPYGSPERKYARGSR >ONH97200 pep chromosome:Prunus_persica_NCBIv2:G7:17477430:17481114:1 gene:PRUPE_7G175500 transcript:ONH97200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRKKYSRSPSPWRAQSRSRSRSWSRPRSRSRSWSRPRQSSHSRSRGRPAAINPGNTLYVTGLSTRVSEKDVERHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDAERCIKHLNQSVLEGRYITVERSRRKRPRTPTPGHYLGLKNTRDYGYRGDRDGDRDRDRGRYRGGSGRDDYGYRRSPRRSPYRGGRDYSPRGSPYGGRSRRERSYSPYGSPERKYARGSR >ONH94876 pep chromosome:Prunus_persica_NCBIv2:G7:6684138:6684980:1 gene:PRUPE_7G036800 transcript:ONH94876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLPSPLKPTQTNSTHPTPASHRLHITQPPPQLPKPAASPTDQIALNNQTFWAQSYFSNQKHRLLELEEPKVLGSMTSLSSKS >ONH96147 pep chromosome:Prunus_persica_NCBIv2:G7:13770961:13777894:1 gene:PRUPE_7G109900 transcript:ONH96147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIATCFTLPPKPSSRLVFKASLFPNRPKTFRLIQTPIFAGFGAKFRESRVFVPAVKASLDATTAIRPGGAVESDKLPSDVRKRAMEAVDSCGGRVTIGDVAGRAGLKLNEAQNALQALAADTEGFLEVSDEGDVLYVFPKDYRAKLVGKSFRMKVEPLLEKAKAGAEYVARVSFGTALIASIVLVYTAIVVALSSGRSEDDNRGRRGGRSYDSGFNFYFSPTDLFWYWDPYYYRRRRPQTDDNKMKFVESIFSFVFGDGDPNQGIEEERWKLIGQYIASNGGVVAAEELAPYLDVETSGGTLNDETYILPVLLRYEGQPVIDEEGNILYQFPSLQRTASTPRSGRKEYVGRRWTDFVGGVDKFFKEKKWQFSNTSITERAMVAGLGGLNLFGVIFLGAILKDTSIAPVGFIKFITGIFPLLQIYAGSFFAIPLFRWFIVLKTNADIEKRNQARQQCARALELPDLSLRRKLLSARDMAQRTFIGQDRIVYSTDRDLVEQDYEAQEWDKRFREIEKSD >ONH96149 pep chromosome:Prunus_persica_NCBIv2:G7:13770961:13777894:1 gene:PRUPE_7G109900 transcript:ONH96149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEPLLEKAKAGAEYVARVSFGTALIASIVLVYTAIVVALSSGRSEDDNRGRRGGRSYDSGFNFYFSPTDLFWYWDPYYYRRRRPQTDDNKMKFVESIFSFVFGDGDPNQGIEEERWKLIGQYIASNGGVVAAEELAPYLDVETSGGTLNDETYILPVLLRYEGQPVIDEEGNILYQFPSLQRTASTPRSGRKEYVGRRWTDFVGGVDKFFKEKKWQFSNTSITERAMVAGLGGLNLFGVIFLGAILKDTSIAPVGFIKFITGIFPLLQIYAGSFFAIPLFRWFIVLKTNADIEKRNQARQQCARALELPDLSLRRKLLSARDMAQRTFIGQDRIVYSTDRDLVEQDYEAQEWDKRFREIEKSD >ONH96148 pep chromosome:Prunus_persica_NCBIv2:G7:13770961:13777894:1 gene:PRUPE_7G109900 transcript:ONH96148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRMLCKLSLLTQKASWRWSQQVSDEGDVLYVFPKDYRAKLVGKSFRMKVEPLLEKAKAGAEYVARVSFGTALIASIVLVYTAIVVALSSGRSEDDNRGRRGGRSYDSGFNFYFSPTDLFWYWDPYYYRRRRPQTDDNKMKFVESIFSFVFGDGDPNQGIEEERWKLIGQYIASNGGVVAAEELAPYLDVETSGGTLNDETYILPVLLRYEGQPVIDEEGNILYQFPSLQRTASTPRSGRKEYVGRRWTDFVGGVDKFFKEKKWQFSNTSITERAMVAGLGGLNLFGVIFLGAILKDTSIAPVGFIKFITGIFPLLQIYAGSFFAIPLFRWFIVLKTNADIEKRNQARQQCARALELPDLSLRRKLLSARDMAQRTFIGQDRIVYSTDRDLVEQDYEAQEWDKRFREIEKSD >ONH97953 pep chromosome:Prunus_persica_NCBIv2:G7:19759600:19760195:1 gene:PRUPE_7G220800 transcript:ONH97953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFSNPATSYSLMDMWFDLDEALTLPEEHIGGACGGGNINSNATGTVNTLVANMPTVAAVELEAAICSVCAQGFHHDQCSDDDEEEEEEEEEEMNGIATGVGKQVPCGHVYHETCIAKWLSNSNSCPLCRSPILSN >ONH97915 pep chromosome:Prunus_persica_NCBIv2:G7:19635224:19640410:-1 gene:PRUPE_7G218100 transcript:ONH97915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEENVGNVKRQLAKLFEVSLRTIVPDEPEVEPVVVACAGKFGDYQCNNAMGLWSKIKGKGTDFKGPPSVGQAIMKNLPKSEIIESCSVAGPGFVNVVLSKKWIAKSIQKMLIDGIEKWAPQLQIKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARTLEFSNVEVLRRNHVGDWGTQFGMLIEFLFEKFPNVEEVSETAIGDLQAFYKASKQRFDDDPAFKERAQQAVVRLQGGEPKYRNAWLKICEISRKEFHRVYERLGVHLEEKGESFYNPYIPGVLKELSDLGLIEESQGARVIFLEGFNIPLIVVKSDGGYNYASTDLTALWYRLNEEKADWIIYVTDVGQQQHFDMFFKAAKRAGWLPTDDTLKPKVTHVGFGLVLGEDGKRFRTRSSEVVRLVDLLDEAKDRSKTALLERGKAEEWTEKELDQTAEAVGYSAVKYADLKNNRLTNYTFDFDQMLNDKGNTAVYLLYAHARICSIIRKSGRNIEELKKTGEIVLDHMGERELGLHLLQFSENVEETCTNLLPNVLCEYLYNLSEVFTKKFYSNCQVVGSPEETSRLLLCEATAIVMRKCFNLLGIEPVYKI >ONH97914 pep chromosome:Prunus_persica_NCBIv2:G7:19634706:19640909:-1 gene:PRUPE_7G218100 transcript:ONH97914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSHLNLIAPISPSLSRLSCLHPSSSVPAADLLKAASRKLIFATKTHSVSTKTHSVSTMATEEENVGNVKRQLAKLFEVSLRTIVPDEPEVEPVVVACAGKFGDYQCNNAMGLWSKIKGKGTDFKGPPSVGQAIMKNLPKSEIIESCSVAGPGFVNVVLSKKWIAKSIQKMLIDGIEKWAPQLQIKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARTLEFSNVEVLRRNHVGDWGTQFGMLIEFLFEKFPNVEEVSETAIGDLQAFYKASKQRFDDDPAFKERAQQAVVRLQGGEPKYRNAWLKICEISRKEFHRVYERLGVHLEEKGESFYNPYIPGVLKELSDLGLIEESQGARVIFLEGFNIPLIVVKSDGGYNYASTDLTALWYRLNEEKADWIIYVTDVGQQQHFDMFFKAAKRAGWLPTDDTLKPKVTHVGFGLVLGEDGKRFRTRSSEVVRLVDLLDEAKDRSKTALLERGKAEEWTEKELDQTAEAVGYSAVKYADLKNNRLTNYTFDFDQMLNDKGNTAVYLLYAHARICSIIRKSGRNIEELKKTGEIVLDHMGERELGLHLLQFSENVEETCTNLLPNVLCEYLYNLSEVFTKKFYSNCQVVGSPEETSRLLLCEATAIVMRKCFNLLGIEPVYKI >ONH97913 pep chromosome:Prunus_persica_NCBIv2:G7:19635105:19640841:-1 gene:PRUPE_7G218100 transcript:ONH97913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSHLNLIAPISPSLSRLSCLHPSSSVPAADLLKAASRKLIFATKTHSVSTKTHSVSTMATEEENVGNVKRQLAKLFEVSLRTIVPDEPEVEPVVVACAGKFGDYQCNNAMGLWSKIKGKGTDFKGPPSVGQAIMKNLPKSEIIESCSVAGPGFVNVVLSKKWIAKSIQKMLIDGIEKWAPQLQIKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARTLEFSNVEVLRRNHVGDWGTQFGMLIEFLFEKFPNVEEVSETAIGDLQAFYKASKQRFDDDPAFKERAQQAVVRLQGGEPKYRNAWLKICEISRKEFHRVYERLGVHLEEKGESFYNPYIPGVLKELSDLGLIEESQGARVIFLEGFNIPLIVVKSDGGYNYASTDLTALWYRLNEEKADWIIYVTDVGQQQHFDMFFKAAKRAGWLPTDDTLKPKVTHVGFGLVLGEDGKRFRTRSSEVVRLVDLLDEAKDRSKTALLEREWTEKELDQTAEAVGYSAVKYADLKNNRLTNYTFDFDQMLNDKGNTAVYLLYAHARICSIIRKSGRNIEELKKVREIVLDHMGERELGLHLLQFSENVEETCTNLLPNVLCEYLYNLSEVFTKKFYSNCQVVGSPEETSRLLLCEATAIVMRKCFNLLGIEPVYKI >ONH97916 pep chromosome:Prunus_persica_NCBIv2:G7:19635224:19640410:-1 gene:PRUPE_7G218100 transcript:ONH97916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEENVGNVKRQLAKLFEVSLRTIVPDEPEVEPVVVACAGKFGDYQCNNAMGLWSKIKGKGTDFKGPPSVGQAIMKNLPKSEIIESCSVAGPGFVNVVLSKKWIAKSIQKMLIDGIEKWAPQLQIKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARTLEFSNVEVLRRNHVGDWGTQFGMLIEFLFEKFPNVEEVSETAIGDLQAFYKASKQRFDDDPAFKERAQQAVVRLQGGEPKYRNAWLKICEISRKEFHRVYERLGVHLEEKGESFYNPYIPGVLKELSDLGLIEESQGARVIFLEGFNIPLIVVKSDGGYNYASTDLTALWYRLNEEKADWIIYVTDVGQQQHFDMFFKAAKRAGWLPTDDTLKPKVTHVGFGLVLGEDGKRFRTRSSEVVRLVDLLDEAKDRSKTALLERGKAEEWTEKELDQTAEAVGYSAVKYADLKNNRLTNYTFDFDQMLNDKGNTAVYLLYAHARICSIIRKSGRNIEELKKTGEIVLDHMGERELGLHLLQFSENVEETCTNLLPNVLCEYLYNLSEVFTKKFYSNCQVVGSPEETSRLLLCEATAIVMRKCFNLLGIEPVYKI >ONH98790 pep chromosome:Prunus_persica_NCBIv2:G7:21964967:21966688:-1 gene:PRUPE_7G266400 transcript:ONH98790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTSSNPHNKEIVVRKRIASIFNKREEDFPSLKEYNDYLEEVEDMTFNLIDGIDVPAIEAKIAKYQEENAEQIMINRARKAEELAAALAASKGHPAQNDTDAALSQGSQAGFGTGTQGQYAPTVAGQPRPTGMGPQPLPLGGGHDMHGYAVDDEEMIKLRAERGGRAGGWSVEISRKRALEEAFSSIWVS >ONH98788 pep chromosome:Prunus_persica_NCBIv2:G7:21964731:21967836:-1 gene:PRUPE_7G266400 transcript:ONH98788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTSSNPHNKEIVVRKRIASIFNKREEDFPSLKEYNDYLEEVEDMNVPAIEAKIAKYQEENAEQIMINRARKAEELAAALAASKGHPAQNDTDAALSQGSQAGFGTGTQGQYAPTVAGQPRPTGMGPQPLPLGGGHDMHGYAVDDEEMIKLRAERGGRAGGWSVEISRKRALEEAFSSIWVS >ONH98789 pep chromosome:Prunus_persica_NCBIv2:G7:21964720:21967879:-1 gene:PRUPE_7G266400 transcript:ONH98789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTSSNPHNKEIVVRKRIASIFNKREEDFPSLKEYNDYLEEVEDMTFNLIDGIDVPAIEAKIAKYQEENAEQIMINRARKAEELAAALAASKGHPAQNDTDAALSQGSQAGFGTGTQGQYAPTVAGQPRPTGMGPQPLPLGGGHDMHGYAVDDEEMIKLRAERGGRAGGWSVEISRKRALEEAFSSIWVS >ONH98787 pep chromosome:Prunus_persica_NCBIv2:G7:21964734:21967836:-1 gene:PRUPE_7G266400 transcript:ONH98787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTSSNPHNKEIVVRKRIASIFNKREEDFPSLKEYNDYLEEVEDMNVPAIEAKIAKYQEENAEQIMINRARKAEELAAALAASKGHPAQNDTDAALSQGSQAGFGTGTQGQYAPTVAGQPRPTGMGPQPLPLGGGHDMHGYAVDDEEMIKLRAERGGRAGGWSVEISRKRALEEAFSSIWVS >ONH98755 pep chromosome:Prunus_persica_NCBIv2:G7:21877586:21878509:-1 gene:PRUPE_7G264800 transcript:ONH98755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRELLICSFSILCLLARSTCESAFTPTTGDSGPLKQHDQLKVKDIHEMTTRSSRKSLERPYGRMHQVHHLRTSQKARAIYGGASDLKRPRTNHNAASSVPVKPTLAFFLSALAMLIFSL >ONH97058 pep chromosome:Prunus_persica_NCBIv2:G7:17067433:17070832:1 gene:PRUPE_7G167400 transcript:ONH97058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGREGDWECSGCRNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAIAMPGASLPTYSHYFARAQGGLDQKMNIGIMGNGAPHQSLPLNWSMAGADKYGVQPPSSWSVGGNHNAGLLYSNPTNQLLSVPKGWRSGDWLCNCGFHNYSSRTQCKKCNAFPPALGTKRLASEELVHDWDNKRLNIGSTVGHQQSYPGFEQVVGTSGNPVTGPYASYPPSISSGMAPNLQVPMPLTQQTTTPTLLGKGAKQWRNGDWMCTNCNNHNYASRLNCNRCKTQRDATAQPVNVM >ONH97059 pep chromosome:Prunus_persica_NCBIv2:G7:17067654:17070549:1 gene:PRUPE_7G167400 transcript:ONH97059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWMQEQKLRLQILLQSLQAASPSRRHQNPRRLQMAPSYWRLDLYREKCKKCGQPKEVAAMPAIAMPGASLPTYSHYFARAQGGLDQKMNIGIMGNGAPHQSLPLNWSMAGADKYGVQPPSSWSVGGNHNAGLLYSNPTNQLLSVPKGWRSGDWLCNCGFHNYSSRTQCKKCNAFPPALGTKRLASEELVHDWDNKRLNIGSTVGHQQSYPGFEQVVGTSGNPVTGPYASYPPSISSGMAPNLQVPMPLTQQTTTPTLLGKGAKQWRNGDWMCTNCNNHNYASRLNCNRCKTQRDATAQPVNVM >ONH97060 pep chromosome:Prunus_persica_NCBIv2:G7:17068176:17070832:1 gene:PRUPE_7G167400 transcript:ONH97060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLVWDGLVGVRIHGFLSSSWLDCGLGVYGNGSIGCTNNNYASREKCKKCGQPKEVAAMPAIAMPGASLPTYSHYFARAQGGLDQKMNIGIMGNGAPHQSLPLNWSMAGADKYGVQPPSSWSVGGNHNAGLLYSNPTNQLLSVPKGWRSGDWLCNCGFHNYSSRTQCKKCNAFPPALGTKRLASEELVHDWDNKRLNIGSTVGHQQSYPGFEQVVGTSGNPVTGPYASYPPSISSGMAPNLQVPMPLTQQTTTPTLLGKGAKQWRNGDWMCTNCNNHNYASRLNCNRCKTQRDATAQPVNVM >ONH97577 pep chromosome:Prunus_persica_NCBIv2:G7:18633745:18635717:1 gene:PRUPE_7G198100 transcript:ONH97577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFSWAVLTLACLAALALVSKNFFPQLHKLKYPPGPKPWPIIGNLNLIGPLPHQSFHKLSQTYGPIMQLNFGSFPVVIASSPEMAKLFLKIHDHVFASRPQTAAGKYLTYDHLNVTWAPYGPYWRQGRKIFLSELFSSRRLESFEYIRVEESHAFVSRLYALSGKQIMLKEHLSRLTLSIMSRIVFGKEYFGVSKFESSVMSLKEFQDTLDELFLLSGVFNIGDWIPWLNFLDLQGYVKRMKALTKKLDRFYEFVLDEHKTKKEGVEEFVSEDMVDLLLRLVDDPNDLEVKLTYDSVKAITQDSVAGGTDTSATTLEWAMSELIKQPNLIKKATQELDRVIGRERWVEEKDLENLPYIDAIMKETMRKHPVVAMLPPHLALEDCNVAGYDICKGTLVFVNTWSMGRDPTLWDAPDEFRPERFIGKAIDVKGQSFEFLPFGSGRRMCPGYSLGLKVIRSCLANMLHGFNWKLSENVKKEDLSMEEVYGLLTPRKFPLVAVVEPRLPIYLY >ONH96279 pep chromosome:Prunus_persica_NCBIv2:G7:14262660:14271947:-1 gene:PRUPE_7G118000 transcript:ONH96279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGGQGEDALQDQGSSCNWMPTTPYRPILPKPQPEILMASETLRFGVEETEENRVLINFGTLDREKESRFVYHGAGTGACTSAESHFDHMAPWKGIPCQELLALANVAAGNPLLQEDGNGDGCAVLGEIQHEFSFADQRPPCDLNFPPATTYGQFAPITPDKSTRVDREPMSQTPNPNADDGRGQEIEEQWDANSATINIHELDNNKDLAKASPDSLHATLSIELQETDNSDKGVNNIIDLNKTPQLKQRRRKHRPKVIREGKPKRTPKPPGSKENPRVKRKYVRKNALNENKTPPLSTEFRERTDSNKLKSTKRSCRRALNFEIEEPGDGSSSCRSLNMDLQSHELNSCSNGVELVADNTQVGIAHDLVSSTNQILKDYLSLPEQPPSTAPSTRNSSIQYTDSQKEDTTKGRGQMSTDIGEVNNAQIMLNCDTQSSQQSPREEQAKGTKRRYSNAATQADPRARNLIGANYNNFQAYYNLMSWVHFPYIYKKKRTDKAHNSTIPSTSYRVNMAENVWRPSTSSCLTSGPQFNASNVSPTLREAGKIPQDKLQAFENILPLYHTERSTKRRSRGPTKVRDLASLTRTPEHILHRAYLTKQPPSDCNGQRVNHYDRNQTCIDALVTDVGATLAKKKRTKRHPLSTSQRSLVIYKNQPFFATASGVPPEVTFEQLLSAITEHFKCLDIHRESSRFSYQGFNVISSCKTQNQEPNALVLYRRDGTVVPFDGSFDPTKKRRARPKVDLDQETDRVWKLLMDNINSEGIDGTDEEKARWWEEERRVFHGRADSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAHFPLKSRRNEDACHEEVGSLVVDEPAVCISENSNQPACDCSSITFHDNEHSEKNVNGNENSGSTTEGVISTTESECKLLYSSEPGLVNRSTTKITRTVSHCSLEEDMRTTYDVASSQNSVDSSTSQTVEKAGSCESNSETEDPPNRCEKSSLDHSTSFVELLQKAESTRVHQVYSLKSSYMSSHLTSNCEGYQPTCMQHTDQRHNINRQAASLAECFDLFREITEFSNTLKNKYEDSLSERSAVTAESASQDTVHNEMRVNVQEAPSCSRKPCNNIQVGNNMAQSQIGVVGNSNNVEIFAQEQNNKMHQSCLNTSGETIDVLQKVAESDLNEQGHSINKEVSKTKAATSKTKSTRAGKEKKDQLDWDKLRKQAESNGRKREKTANTMDSLDWEAVRCADVSEIAQTIKERGMNNMLAERIKDFLNRLVREHGSVDLEWLRDVPPDQAKEFLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATEARTTYTNPTEMNNRMPLPLPQATKQLDGYQQLEASQESEAKSEFGRCEPIIEEPATPEPDCTQIVEDIEDFYDDPDEIPTIKLNMEEFTQNLQNYMQENMELQDGEMSKALVSLTPEAASIPTPKLKNVSRLRTEHQVYELPDTHPLLELLQLDKREPDDPCNYLLAIWTPGETPNSIQPPEKRCSSQELGKLCDDKECFSCNSEREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPLDVPRSWLWKLNRRTVYFGTSIPTIFKGLSTPEIQQCFWRGFVCVRGFDQKTRGPRPLMARLHFPASKLSRTKDKRDE >ONH96278 pep chromosome:Prunus_persica_NCBIv2:G7:14262270:14273167:-1 gene:PRUPE_7G118000 transcript:ONH96278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGGQGEDALQDQGSSCNWMPTTPYRPILPKPQPEILMASETLRFGVEETEENRVLINFGTLDREKESRFVYHGAGTGACTSAESHFDHMAPWKGIPCQELLALANVAAGNPLLQEDGNGDGCAVLGEIQHEFSFADQRPPCDLNFPPATTYGQFAPITPDKSTRVDREPMSQTPNPNADDGRGQEIEEQWDANSATINIHELDNNKDLAKASPDSLHATLSIELQETDNSDKGVNNIIDLNKTPQLKQRRRKHRPKVIREGKPKRTPKPPGSKENPRVKRKYVRKNALNENKTPPLSTEFRERTDSNKLKSTKRSCRRALNFEIEEPGDGSSSCRSLNMDLQSHELNSCSNGVELVADNTQVGIAHDLVSSTNQILKDYLSLPEQPPSTAPSTRNSSIQYTDSQKEDTTKGRGQMSTDIGEVNNAQIMLNCDTQSSQQSPREEQAKGTKRRYSNAATQADPRARNLIGANYNNFQAYYNLMSWVHFPYIYKKKRTDKAHNSTIPSTSYRVNMAENVWRPSTSSCLTSGPQFNASNVSPTLREAGKIPQDKLQAFENILPLYHTERSTKRRSRGPTKVRDLASLTRTPEHILHRAYLTKQPPSDCNGQRVNHYDRNQTCIDALVTDVGATLAKKKRTKRHPLSTSQRSLVIYKNQPFFATASGVPPEVTFEQLLSAITEHFKCLDIHRESSRFSYQGFNVISSCKTQNQEPNALVLYRRDGTVVPFDGSFDPTKKRRARPKVDLDQETDRVWKLLMDNINSEGIDGTDEEKARWWEEERRVFHGRADSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAHFPLKSRRNEDACHEEVGSLVVDEPAVCISENSNQPACDCSSITFHDNEHSEKNVNGNENSGSTTEGVISTTESECKLLYSSEPGLVNRSTTKITRTVSHCSLEEDMRTTYDVASSQNSVDSSTSQTVEKAGSCESNSETEDPPNRCEKSSLDHSTSFVELLQKAESTRVHQVYSLKSSYMSSHLTSNCEGYQPTCMQHTDQRHNINRQAASLAECFDLFREITEFSNTLKNKYEDSLSERSAVTAESASQDTVHNEMRVNVQEAPSCSRKPCNNIQEQNNKMHQSCLNTSGETIDVLQKVAESDLNEQGHSINKEVSKTKAATSKTKSTRAGKEKKDQLDWDKLRKQAESNGRKREKTANTMDSLDWEAVRCADVSEIAQTIKERGMNNMLAERIKDFLNRLVREHGSVDLEWLRDVPPDQAKEFLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATEARTTYTNPTEMNNRMPLPLPQATKQLDGYQQLEASQESEAKSEFGRCEPIIEEPATPEPDCTQIVEDIEDFYDDPDEIPTIKLNMEEFTQNLQNYMQENMELQDGEMSKALVSLTPEAASIPTPKLKNVSRLRTEHQVYELPDTHPLLELLQLDKREPDDPCNYLLAIWTPGETPNSIQPPEKRCSSQELGKLCDDKECFSCNSEREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPLDVPRSWLWKLNRRTVYFGTSIPTIFKGLSTPEIQQCFWRGFVCVRGFDQKTRGPRPLMARLHFPASKLSRTKDKRDE >ONH96277 pep chromosome:Prunus_persica_NCBIv2:G7:14262270:14272741:-1 gene:PRUPE_7G118000 transcript:ONH96277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGGQGEDALQDQGSSCNWMPTTPYRPILPKPQPEILMASETLRFGVEETEENRVLINFGTLDREKESRFVYHGAGTGACTSAESHFDHMAPWKGIPCQELLALANVAAGNPLLQEDGNGDGCAVLGEIQHEFSFADQRPPCDLNFPPATTYGQFAPITPDKSTRVDREPMSQTPNPNADDGRGQEIEEQWDANSATINIHELDNNKDLAKASPDSLHATLSIELQETDNSDKGVNNIIDLNKTPQLKQRRRKHRPKVIREGKPKRTPKPPGSKENPRVKRKYVRKNALNENKTPPLSTEFRERTDSNKLKSTKRSCRRALNFEIEEPGDGSSSCRSLNMDLQSHELNSCSNGVELVADNTQVGIAHDLVSSTNQILKDYLSLPEQPPSTAPSTRNSSIQYTDSQKEDTTKGRGQMSTDIGEVNNAQIMLNCDTQSSQQSPREEQAKGTKRRYSNAATQADPRARNLIGANYNNFQAYYNLMSWVHFPYIYKKKRTDKAHNSTIPSTSYRVNMAENVWRPSTSSCLTSGPQFNASNVSPTLREAGKIPQDKLQAFENILPLYHTERSTKRRSRGPTKVRDLASLTRTPEHILHRAYLTKQPPSDCNGQRVNHYDRNQTCIDALVTDVGATLAKKKRTKRHPLSTSQRSLVIYKNQPFFATASGVPPEVTFEQLLSAITEHFKCLDIHRESSRFSYQGFNVISSCKTQNQEPNALVLYRRDGTVVPFDGSFDPTKKRRARPKVDLDQETDRVWKLLMDNINSEGIDGTDEEKARWWEEERRVFHGRADSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAHFPLKSRRNEDACHEEVGSLVVDEPAVCISENSNQPACDCSSITFHDNEHSEKNVNGNENSGSTTEGVISTTESECKLLYSSEPGLVNRSTTKITRTVSHCSLEEDMRTTYDVASSQNSVDSSTSQTVEKAGSCESNSETEDPPNRCEKSSLDHSTSFVELLQKAESTRVHQVYSLKSSYMSSHLTSNCEGYQPTCMQHTDQRHNINRQAASLAECFDLFREITEFSNTLKNKYEDSLSERSAVTAESASQDTVHNEMRVNVQEAPSCSRKPCNNIQEQNNKMHQSCLNTSGETIDVLQKVAESDLNEQGHSINKEVSKTKAATSKTKSTRAGKEKKDQLDWDKLRKQAESNGRKREKTANTMDSLDWEAVRCADVSEIAQTIKERGMNNMLAERIKDFLNRLVREHGSVDLEWLRDVPPDQAKEFLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATEARTTYTNPTEMNNRMPLPLPQATKQLDGYQQLEASQESEAKSEFGRCEPIIEEPATPEPDCTQIVEDIEDFYDDPDEIPTIKLNMEEFTQNLQNYMQENMELQDGEMSKALVSLTPEAASIPTPKLKNVSRLRTEHQVYELPDTHPLLELLQLDKREPDDPCNYLLAIWTPGETPNSIQPPEKRCSSQELGKLCDDKECFSCNSEREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPLDVPRSWLWKLNRRTVYFGTSIPTIFKGLSTPEIQQCFWRGFVCVRGFDQKTRGPRPLMARLHFPASKLSRTKDKRDE >ONH96281 pep chromosome:Prunus_persica_NCBIv2:G7:14262660:14271947:-1 gene:PRUPE_7G118000 transcript:ONH96281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGGQGEDALQDQGSSCNWMPTTPYRPILPKPQPEILMASETLRFGVEETEENRVLINFGTLDREKESRFVYHGAGTGACTSAESHFDHMAPWKGIPCQELLALANVAAGNPLLQEDGNGDGCAVLGEIQHEFSFADQRPPCDLNFPPATTYGQFAPITPDKSTRVDREPMSQTPNPNADDGRGQEIEEQWDANSATINIHELDNNKDLAKASPDSLHATLSIELQETDNSDKGVNNIIDLNKTPQLKQRRRKHRPKVIREGKPKRTPKPPGSKENPRVKRKYVRKNALNENKTPPLSTEFRERTDSNKLKSTKRSCRRALNFEIEEPGDGSSSCRSLNMDLQSHELNSCSNGVELVADNTQVGIAHDLVSSTNQILKDYLSLPEQPPSTAPSTRNSSIQYTDSQKEDTTKGRGQMSTDIGEVNNAQIMLNCDTQSSQQSPREEQAKGTKRRYSNAATQADPRARNLIGANYNNFQAYYNLMSWVHFPYIYKKKRTDKAHNSTIPSTSYRVNMAENVWRPSTSSCLTSGPQFNASNVSPTLREAGKIPQDKLQAFENILPLYHTERSTKRRSRGPTKVRDLASLTRTPEHILHRAYLTKQPPSDCNGQRVNHYDRNQTCIDALVTDVGATLAKKKRTKRHPLSTSQRSLVIYKNQPFFATASGVPPEVTFEQLLSAITEHFKCLDIHRESSRFSYQGFNVISSCKTQNQEPNALVLYRRDGTVVPFDGSFDPTKKRRARPKVDLDQETDRVWKLLMDNINSEGIDGTDEEKARWWEEERRVFHGRADSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAHFPLKSRRNEDACHEEVGSLVVDEPAVCISENSNQPACDCSSITFHDNEHSEKNVNGNENSGSTTEGVISTTESECKLLYSSEPGLVNRSTTKITRTVSHCSLEEDMRTTYDVASSQNSVDSSTSQTVEKAGSCESNSETEDPPNRCEKSSLDHSTSFVELLQKAESTRVHQVYSLKSSYMSSHLTSNCEGYQPTCMQHTDQRHNINRQAASLAECFDLFREITEFSNTLKNKYEDSLSERSAVTAESASQDTVHNEMRVNVQEAPSCSRKPCNNIQVGNNMAQSQIGVVGNSNNVEIFAQEQNNKMHQSCLNTSGETIDVLQKVAESDLNEQGHSINKEVSKTKAATSKTKSTRAGKEKKDQLDWDKLRKQAESNGRKREKTANTMDSLDWEAVRCADVSEIAQTIKERGMNNMLAERIKDFLNRLVREHGSVDLEWLRDVPPDQAKEFLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATEARTTYTNPTEMNNRMPLPLPQATKQLDGYQQLEASQESEAKSEFGRCEPIIEEPATPEPDCTQIVEDIEDFYDDPDEIPTIKLNMEEFTQNLQNYMQENMELQDGEMSKALVSLTPEAASIPTPKLKNVSRLRTEHQVYELPDTHPLLELLQLDKREPDDPCNYLLAIWTPGETPNSIQPPEKRCSSQELGKLCDDKECFSCNSEREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPLDVPRSWLWKLNRRTVYFGTSIPTIFKGLSTPEIQQCFWRGFVCVRGFDQKTRGPRPLMARLHFPASKLSRTKDKRDE >ONH96280 pep chromosome:Prunus_persica_NCBIv2:G7:14262660:14271947:-1 gene:PRUPE_7G118000 transcript:ONH96280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGGQGEDALQDQGSSCNWMPTTPYRPILPKPQPEILMASETLRFGVEETEENRVLINFGTLDREKESRFVYHGAGTGACTSAESHFDHMAPWKGIPCQELLALANVAAGNPLLQEDGNGDGCAVLGEIQHEFSFADQRPPCDLNFPPATTYGQFAPITPDKSTRVDREPMSQTPNPNADDGRGQEIEEQWDANSATINIHELDNNKDLAKASPDSLHATLSIELQETDNSDKGVNNIIDLNKTPQLKQRRRKHRPKVIREGKPKRTPKPPGSKENPRVKRKYVRKNALNENKTPPLSTEFRERTDSNKLKSTKRSCRRALNFEIEEPGDGSSSCRSLNMDLQSHELNSCSNGVELVADNTQVGIAHDLVSSTNQILKDYLSLPEQPPSTAPSTRNSSIQYTDSQKEDTTKGRGQMSTDIGEVNNAQIMLNCDTQSSQQSPREEQAKGTKRRYSNAATQADPRARNLIGANYNNFQAYYNLMSWVHFPYIYKKKRTDKAHNSTIPSTSYRVNMAENVWRPSTSSCLTSGPQFNASNVSPTLREAGKIPQDKLQAFENILPLYHTERSTKRRSRGPTKVRDLASLTRTPEHILHRAYLTKQPPSDCNGQRVNHYDRNQTCIDALVTDVGATLAKKKRTKRHPLSTSQRSLVIYKNQPFFATASGVPPEVTFEQLLSAITEHFKCLDIHRESSRFSYQGFNVISSCKTQNQEPNALVLYRRDGTVVPFDGSFDPTKKRRARPKVDLDQETDRVWKLLMDNINSEGIDGTDEEKARWWEEERRVFHGRADSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAHFPLKSRRNEDACHEEVGSLVVDEPAVCISENSNQPACDCSSITFHDNEHSEKNVNGNENSGSTTEGVISTTESECKLLYSSEPGLVNRSTTKITRTVSHCSLEEDMRTTYDVASSQNSVDSSTSQTVEKAGSCESNSETEDPPNRCEKSSLDHSTSFVELLQKAESTRVHQVYSLKSSYMSSHLTSNCEGYQPTCMQHTDQRHNINRQAASLAECFDLFREITEFSNTLKNKYEDSLSERSAVTAESASQDTVHNEMRVNVQEAPSCSRKPCNNIQVGNNMAQSQIGVVGNSNNVEIFAQEQNNKMHQSCLNTSGETIDVLQKVAESDLNEQGHSINKEVSKTKAATSKTKSTRAGKEKKDQLDWDKLRKQAESNGRKREKTANTMDSLDWEAVRCADVSEIAQTIKERGMNNMLAERIKDFLNRLVREHGSVDLEWLRDVPPDQAKEFLLSFRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATEARTTYTNPTEMNNRMPLPLPQATKQLDGYQQLEASQESEAKSEFGRCEPIIEEPATPEPDCTQIVEDIEDFYDDPDEIPTIKLNMEEFTQNLQNYMQENMELQDGEMSKALVSLTPEAASIPTPKLKNVSRLRTEHQVYELPDTHPLLELLQLDKREPDDPCNYLLAIWTPGETPNSIQPPEKRCSSQELGKLCDDKECFSCNSEREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPLDVPRSWLWKLNRRTVYFGTSIPTIFKGLSTPEIQQCFWRGFVCVRGFDQKTRGPRPLMARLHFPASKLSRTKDKRDE >ONH95622 pep chromosome:Prunus_persica_NCBIv2:G7:11619172:11622688:1 gene:PRUPE_7G080900 transcript:ONH95622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGAEEENYGPPANQYNAAPPRGGSTYGAGGNDRGEPRSSNTVKSGAPQKALPIEIPAMSLDELNRLTGNFGQKALIGEGSYGRVFYAKLSNGKSAAIKKLDTGTSQEPDSDFSAQLSTVSRLKHEHFVELMGYCLDANNRILIYEFAAMGSLHDILHGRKGVQGAEPGPVLTWNQRIKIAYGAAKGLEYLHEKVQPSIVHRDVRSSNVLLFDDHVSKVADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCIDPKLNNDFQQKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGPDSNA >ONH95419 pep chromosome:Prunus_persica_NCBIv2:G7:10740948:10745324:1 gene:PRUPE_7G069300 transcript:ONH95419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDYILRKSNKSKYDYHLADRISELADEILVSILTLLPLNEAATTSILSKRWRYLCQYVLASTMTLKFDAEKTSCSLIDLNREEREQKIRRYVAWVNSVVEQHTWPNIEQFRIAFDLDNSFSSSINKWIQFALKKRVQILELDFSENGIHHRQKSCYNFPHELLGLNRGSTSTAVCCEIPSLNPCVYLGLKSIRVLHFNFVDVAEEVLECFLSNCPVLERLSVFHSPNLVNLRVVGPSIALKYLVIRQCNSLESIEICDANLVSLSYVGNEISLLLRNVPLLVEVSISEDCICNNFIEVAFTQLSCCLSQLEILKLTDQIVPYKRDHIFPILANLKHLEMIFEEDNVCGLLQLTSFFRASPCLHRLVLYLQMDYTKSMRRKIKYKKAKCSHNYLKIVELVGYDGHTSDFELVKYLAKTAVKLEKIVIKGEEEGPWRKMARDVAMHRLKEKVPSTIEFVYR >ONH95418 pep chromosome:Prunus_persica_NCBIv2:G7:10740982:10745303:1 gene:PRUPE_7G069300 transcript:ONH95418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDYILRKSNKSKYDYHLADRISELADEILVSILTLLPLNEAATTSILSKRWRYLCQYVLASTMTLKFDAEKTSCSLIDLNREEREQKIRRYVAWVNSVVEQHTWPNIEQFRIAFDLDNSFSSSINKWIQFALKKRVQILELDFSENGIHHRQKSCYNFPHELLGLNRGSTSTAVCCEIPSLNPCVYLGLKSIRVLHFNFVDVAEEVLECFLSNCPVLERLSVFHSPNLVNLRVVGPSIALKYLVIRQCNSLESIEICDANLVSLSYVGNEISLLLRNVPLLVEVSISEDCICNNFIEVAFTQLSCCLSQLEILKLTDQIVPYKRDHIFPILANLKHLEMIFEEDNVCGLLQLTSFFRASPCLHRLVLYLQKISLNEVDICVRNEYSEMDYTKSMRRKIKYKKAKCSHNYLKIVELVGYDGHTSDFELVKYLAKTAVKLEKIVIKGEEEGPWRKMARDVAMHRLKEKVPSTIEFVYR >ONH95420 pep chromosome:Prunus_persica_NCBIv2:G7:10741420:10743684:1 gene:PRUPE_7G069300 transcript:ONH95420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDYILRKSNKSKYDYHLADRISELADEILVSILTLLPLNEAATTSILSKRWRYLCQYVLASTMTLKFDAEKTSCSLIDLNREEREQKIRRYVAWVNSVVEQHTWPNIEQFRIAFDLDNSFSSSINKWIQFALKKRVQILELDFSENGIHHRQKSCYNFPHELLGLNRGSTSTAVCCEIPSLNPCVYLGLKSIRVLHFNFVDVAEEVLECFLSNCPVLERLSVFHSPNLVNLRVVGPSIALKYLVIRQCNSLESIEICDANLVSLSYVGNEISLLLRNVPLLVEVSISEDCICNNFIEVAFTQLSCCLSQLEILKLTDQIVPYKRDHIFPILANLKHLEMIFEEDNVCGLLQLTSFFRASPCLHRLVLYLQVCIFSLGRLFSQ >ONH97215 pep chromosome:Prunus_persica_NCBIv2:G7:17518173:17519983:-1 gene:PRUPE_7G176800 transcript:ONH97215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIPDDILVRIGKRLNTKTGVSRFRAVCKSWRSSLPPFAKQLPLQFPIQVVAKRTSFTLTESVLYHLAPPAGDPCERGWLIYVREGEWGKTHHMLHPLSQFIIRKLPDAYPKLINLLEYRVFEVAKVYGLRLRDRWFGNRVAMSLNLDFPSVMMVRMRRLWYGKLGVDSKKCIEVSRLGCEHSFYEDVIFYQGKFYAVCRNGTAVVVDSSLDVTVIASRIDGVFGLNPKKYLVESSGELLLVHRYWDTDQSPSSGSSSEDSDSSSEDSDSSSEDSDSSSEDSDFSSDSEDFDSFDEDSSKKLSWAKVDPSTLVAREILRQRAAARNPVHGEDSSDSDYADKPPMTTDLIKFKVFKLDAEGKQWVEAEAEALRDRILVAGHNRCLSVSTGDFPGCRGSCIYFYDHYNRTNALGRGSMLSQTGVFCLDSGTCLPLTDCPNFHNIFCPPPTWTWLPSQQQRKRKQEDLDLDMNLEEGKRKKQKKRT >ONH98103 pep chromosome:Prunus_persica_NCBIv2:G7:20190181:20195540:-1 gene:PRUPE_7G229100 transcript:ONH98103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDCNGSSASESFVKTPTIKFTKLFINGEFVDSISGKTFETIDPRTGEVIARIAEGDKEDVDLAVKAARAAFDNGPWPRFPGAERGRIMMKFADLIDQHVEELAILDTVDGGRLFNFGKAVDIPQVAENLRYYAGAADKIHGDVLKMSRELQAYTLLEPIGVLGHIIPWNFPSTLFFAKVSPSLAAGCTMVIKPAEQTPLSALYYAHLAKLAGVPDGVLNVITGFGQTAGAAISYHMDIDKVSFTGSTEVGRLVMEAAAKSNLKQVSLELGGKSPLVIFDDADINMAADLALLGVLYNKGEICVASSRVFVQEGIYDELVKKLEEKAKAWVVGDPFDPNVRQGPQVDKQQYNKILTYIDHGKNEGATLLTGGKPLGNKGYYIAPTIFTDVKDDMLIAKDEIFGPVMALMKFKTIEEAIQRANNTRYGLAAGIITKDLNVANTVSRSIRAGVIWINCYFAFDRDCPYGGYKMSGFGRDFGLEALHKYLHTKSVVTPIYNSPWL >ONH98104 pep chromosome:Prunus_persica_NCBIv2:G7:20190181:20194378:-1 gene:PRUPE_7G229100 transcript:ONH98104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFADLIDQHVEELAILDTVDGGRLFNFGKAVDIPQVAENLRYYAGAADKIHGDVLKMSRELQAYTLLEPIGVLGHIIPWNFPSTLFFAKVSPSLAAGCTMVIKPAEQTPLSALYYAHLAKLAGVPDGVLNVITGFGQTAGAAISYHMDIDKVSFTGSTEVGRLVMEAAAKSNLKQVSLELGGKSPLVIFDDADINMAADLALLGVLYNKGEICVASSRVFVQEGIYDELVKKLEEKAKAWVVGDPFDPNVRQGPQVDKQQYNKILTYIDHGKNEGATLLTGGKPLGNKGYYIAPTIFTDVKDDMLIAKDEIFGPVMALMKFKTIEEAIQRANNTRYGLAAGIITKDLNVANTVSRSIRAGVIWINCYFAFDRDCPYGGYKMSGFGRDFGLEALHKYLHTKSVVTPIYNSPWL >ONH97365 pep chromosome:Prunus_persica_NCBIv2:G7:17989443:17991978:-1 gene:PRUPE_7G185700 transcript:ONH97365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIISSALFFISGLVMLLSPNVYVLLFARLLDGFGTGLAVTLVPAYISEIAPPDIRGTLNTLPQFTGSGGMFLSYCMVFGMSMMDSPSWRLMLGVVSIPSLVYFSLAVFYLPESPRWLVSKGRILEAKQVLQRLNGREDVSGELTLLVEGLSIGRETTLEEYIISPANLLANNQATIEEKDQMRLYGPEESLSYIAKPVTGQSLTSHHGSAANQSIPLMDPLVTLFGSVHEKLSDRGCKGSMHYPNLGSILNAGEYHNKNDHWDMESQTDGEDHESETPRVYCDDTLRSPLISRQTTSMDKDVSTSRSGGSVLGVRCNTILMQGNIEAAEVGNGSSMDIGGGWQLAYKYSERVDEDGKKEGGYERVYLHQESGLGSQPASVVSIGVRQESELIQDAALVSQPAVSAKDPTSLRAVGPEMVPTSDAAVKGPKWGDLLEPGVKRALIVGIGLQILQQVAGINGVLYYTPQVYEQAGVAVLLSNIGMNSTSASLFLSSITTFLMLPCIATSMWLMDKAGRRPLLLSTIPILILSLALLVFVNIVNLGSILNATISTASVVVYLCCFVMAFGVIPNILCAEIFPTRIRGLCIAICALTFWIGDIVITYSFPVMLSSIGFAGVFGIYVAGCIIAWFFVYLKVPETKGMPLEVISDFFAAGVKQAADASN >ONH97069 pep chromosome:Prunus_persica_NCBIv2:G7:17124971:17125908:-1 gene:PRUPE_7G168200 transcript:ONH97069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPAPSFLINLSINTHSDLSLISQHPNYLLSLLAAIAIAIFYINIINMALSSRLTLMMASLVFSFLVLQYLTEAAHQSHMMNNNGAPSPLPPPTLDCGVACEGRCKLSSRPRLCKRACGSCCDKCSCVPPGTAGNYEACPCYASLTTRNQTRKCP >ONH94272 pep chromosome:Prunus_persica_NCBIv2:G7:923818:925278:-1 gene:PRUPE_7G007100 transcript:ONH94272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPVFLAGLLLLSFCKTWVKLVNDFQKASLSTRLGIPLIYGIDAVHGHNNVYKATIFPHNVGLGATSKMICSRSGDVGTIG >ONH96962 pep chromosome:Prunus_persica_NCBIv2:G7:16778859:16780876:-1 gene:PRUPE_7G162300 transcript:ONH96962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVTHQRIKTNGIWMHIAEQGTGPLVLLLHGFPEIWYSWRHQIGYLAKHGYHVVAPDMRGYGDTDSPLSPTSYSIQHLVGDLVGILDHFGEQKAFIVGHDFGAIAAWHLSLFRPDRVKALIALSAPYFERSSSTRDSESARRIFGDGCYVYQFQQPGRAEKSFARYDYLTVMKKFLLYNKTDYLVAPPGMEIIDYLETPAVLPPWISEEDLQVYAEKFEESGFTGALNYFRTIEQYWELMGPWQGSKITVPAKLIVGDKDIGFEILGTREYVKGSVLKSLVPDLEVVILDGHHFIQQEKAQEVSDEILSFLRKFST >ONH95875 pep chromosome:Prunus_persica_NCBIv2:G7:12582542:12584311:-1 gene:PRUPE_7G093500 transcript:ONH95875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSGSFSRFRSETNTNDDHVIEMSSAGSSTGGVNLDKFFGDAESVKDELKELERLHQNLQSSHEHSKTLHNAKAVKDLRSRMDADVTLALKKAKVLKVRLEALDRSNAANRSLPGCGPGSSSDRTRISVVNGLRKKLKDSMDSFNSLRQKISSEYRETVQRRYFTVSGENPDEKTLDRLISTGESETFLQKAIQEQGRGQVLDTIHEIQERHDAVKDMEKNLQELHQVFLDMAVLVQAQGEQLDDIESHVARANSFVRGGTQQLNKARFYQKNTRKWTCFLIILLLVIALIVILSLKPWNWNNGNNNNNNNPTPSPPPPA >ONH97277 pep chromosome:Prunus_persica_NCBIv2:G7:17707970:17708900:1 gene:PRUPE_7G181100 transcript:ONH97277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQNTTTNNTNRLASSAEGQQQPGNDNVNVNVTGVAATGTPSFPNPPPPSTVQLNVARGSSVSPPGLNRGPSGRGSSNPRRVSPSGRKSPPGGRGSPSSGRGSSSFASSPGAGQITQIGGRNFTPLSVEITIGGGSGTAGGSMIPGSSSGSPPRAKRKAEITAPEGVSPVCSVCKRSNFSSWKALFGHMRCHPERQWRGCFPPPGFEEAQRALQQGERLGALRGFDLNEASDPEEENEGGGGGGFDLNMLPPDEDKDGGGSSGGAAKTG >ONH95548 pep chromosome:Prunus_persica_NCBIv2:G7:11332977:11337962:-1 gene:PRUPE_7G076700 transcript:ONH95548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVQSQLSAIASIHFCCSSSSSLSSSFSSTTTTTTTSLVPVGKWPQYGRRISGDRRLRLRRSLCRAMVQQAVPGAPAAYAKEMERLSAKESLLLAFKDAGGFEALVTGKTTDMERIDVNERITSLERVNPTPRPTTSPFLEGRWNFEWFGSASPGLFAARFIFQRFPSTLASLSKMEVVIKDGNAEITANLKLLNSIESKFTLSIKLSVEGPVRMKEEYVEGILETPTVIEETIPEQLKGAFGQAVSTVQQLPFPIRDAFSSGLKVPLTGAFERLFMISYLDTEILIIRDAAGVPEVLTRLDTASSPLEETVMEYES >ONH95550 pep chromosome:Prunus_persica_NCBIv2:G7:11334960:11338047:-1 gene:PRUPE_7G076700 transcript:ONH95550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVQSQLSAIASIHFCCSSSSSLSSSFSSTTTTTTTSLVPVGKWPQYGRRISGDRRLRLRRSLCRAMVQQAVPGAPAAYAKEMERLSAKESLLLAFKDAGGFEALVTGKTTDMERIDVNERITSLERVNPTPRPTTSPFLEGRWNFEWFGSASPGLFAARFIFQRFPSTLASLSKMEVVIKDGNAEITANLKLLNSIESKFTLSIKLSVEGPVRMKEEYVEGILETPTVIEETIPEQLKGAFGQAVSTVQQLPFPIRDAFSSGLKVPLSVPCYSLLV >ONH95547 pep chromosome:Prunus_persica_NCBIv2:G7:11332427:11338091:-1 gene:PRUPE_7G076700 transcript:ONH95547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVQSQLSAIASIHFCCSSSSSLSSSFSSTTTTTTTSLVPVGKWPQYGRRISGDRRLRLRRSLCRAMVQQAVPGAPAAYAKEMERLSAKESLLLAFKDAGGFEALVTGKTTDMERIDVNERITSLERVNPTPRPTTSPFLEGRWNFEWFGSASPGLFAARFIFQRFPSTLASLSKMEVVIKDGNAEITANLKLLNSIESKFTLSIKLSVEGPVRMKEEYVEGILETPTVIEETIPEQLKGAFGQAVSTVQQLPFPIRDAFSSGLKVPLTGAFERLFMISYLDTEILIIRDAAGVPEVLTRLDTASSPLEETVMEYES >ONH95546 pep chromosome:Prunus_persica_NCBIv2:G7:11332268:11338047:-1 gene:PRUPE_7G076700 transcript:ONH95546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVQSQLSAIASIHFCCSSSSSLSSSFSSTTTTTTTSLVPVGKWPQYGRRISGDRRLRLRRSLCRAMVQQAVPGAPAAYAKEMERLSAKESLLLAFKDAGGFEALVTGKTTDMERIDVNERITSLERVNPTPRPTTSPFLEGRWNFEWFGSASPGLFAARFIFQRFPSTLASLSKMEVVIKDGNAEITANLKLLNSIESKFTLSIKLSVEGPVRMKEEYVEGILETPTVIEETIPEQLKGAFGQAVSTVQQLPFPIRDAFSSGLKVPLTGAFERLFMISYLDTEILIIRDAAGVPEVLTRLDTASSPLEETVMEYES >ONH95549 pep chromosome:Prunus_persica_NCBIv2:G7:11334346:11338070:-1 gene:PRUPE_7G076700 transcript:ONH95549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVQSQLSAIASIHFCCSSSSSLSSSFSSTTTTTTTSLVPVGKWPQYGRRISGDRRLRLRRSLCRAMVQQAVPGAPAAYAKEMERLSAKESLLLAFKDAGGFEALVTGKTTDMERIDVNERITSLERVNPTPRPTTSPFLEGRWNFEWFGSASPGLFAARFIFQRFPSTLASLSKMEVVIKDGNAEITANLKLLNSIESKFTLSIKLSVEGPVRMKEEYVEGILETPTVIEETIPEQLKGAFGQAVSTVQQLPFPIRDAFSSGLKVPLIAYTDPAYAPSNMMKEAICLLNLSQYYLLILLSPLC >ONH97743 pep chromosome:Prunus_persica_NCBIv2:G7:19068088:19069635:1 gene:PRUPE_7G207200 transcript:ONH97743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRIRLARFGCKNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSDPVQRILFRAGLLPPPPMVAMGRKGGPRDTRPVDPLSGRILSPEKPANGDQVKDAESTENGG >ONH97742 pep chromosome:Prunus_persica_NCBIv2:G7:19067997:19070556:1 gene:PRUPE_7G207200 transcript:ONH97742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRIRLARFGCKNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSDPVQRILFRAGLLPPPPMVAMGRKGGPRDTRPVDPLSGRILSPEKPANGDQVKDAESTENGQRGLQETILHIGLQDKQLGVGQF >ONH95220 pep chromosome:Prunus_persica_NCBIv2:G7:9704416:9715408:1 gene:PRUPE_7G057700 transcript:ONH95220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNDDSTVISVRNVEGESGKDDGASSRWPTLEEILSTHEEPAIFLPNWDRVFTISCAFAVFLDPFYPYIFNITEDWTCYYWDEKLMWAFIGLRSAADLFYYADIVWFYIRLRISPEAIGPWIRDLRIRELHKLLPLLPRIFVALPFPQVVLLTGKYTSFDNFVFLIFFAPAQYTLRVYRGYGLFKRAPNTETGIGRWLRAILGFLPFILAAHLYGALWYHLSLQRELDCWFYACLDATVGCDLSQTFYYFYCGTETYFDNVLLNITHIKASCPINPPNATIFDFGIFLYALQCNLNSPNLGKKFVQCFWWGLRNLRYRNNDQINVN >ONH95221 pep chromosome:Prunus_persica_NCBIv2:G7:9709168:9715105:1 gene:PRUPE_7G057700 transcript:ONH95221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAFIGLRSAADLFYYADIVWFYIRLRISPEAIGPWIRDLRIRELHKLLPLLPRIFVALPFPQVVLLTGKYTSFDNFVFLIFFAPAQYTLRVYRGYGLFKRAPNTETGIGRWLRAILGFLPFILAAHLYGALWYHLSLQRELDCWFYACLDATVGCDLSQTFYYFYCGTETYFDNVLLNITHIKASCPINPPNATIFDFGIFLYALQCNLNSPNLGKKFVQCFWWGLRNLSSFGSNLQTSLYMLETFFTVLVSISGIVLFLIYLNSRVQISKQRSDQRKLRHKMHMMNPDIDLWLHKNGLSDQKLKEVIMKNLHQKLEEHKEVDVENILSLLPIIHQRRIMCRLSLNLLRNVAMLENMDEQVLIAICERLKPVIYTEDAYIIREGEPLDKMLFIMQGTAWSYTTSSNCNICGSASGSSNIKCLERGDFYGEELMNWASKLTSLSEFPISTRFVKCQTKVEAFAIRAKDLKGVVTKFWWHFSKGFESSQLEQLESLAISSLRAIRCRRHARARARTG >ONH95217 pep chromosome:Prunus_persica_NCBIv2:G7:9704416:9715486:1 gene:PRUPE_7G057700 transcript:ONH95217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNDDSTVISVRNVEGESGKDDGASSRWPTLEEILSTHEEPAIFLPNWDRVFTISCAFAVFLDPFYPYIFNITEDWTCYYWDEKLMWAFIGLRSAADLFYYADIVWFYIRLRISPEAIGPWIRDLRIRELHKLLPLLPRIFVALPFPQVVLLTGKYTSFDNFVFLIFFAPAQYTLRVYRGYGLFKRAPNTETGIGRWLRAILGFLPFILAAHLYGALWYHLSLQRELDCWFYACLDATVGCDLSQTFYYFYCGTETYFDNVLLNITHIKASCPINPPNATIFDFGIFLYALQCNLNSPNLGKKFVQCFWWGLRNLSSFGSNLQTSLYMLETFFTVLVSISGIVLFLIYLNSRVQISKQRSDQRKLRHKMHMMNPDIDLWLHKNGLSDQKLKEVIMKNLHQKLEEHKEVDVENILSLLPIIHQRRIMCRLSLNLLRNVAMLENMDEQVLIAICERLKPVIYTEDAYIIREGEPLDKMLFIMQGTAWSYTTSSNCNICGSASGSSNIKCLERDLSSARQKLKPLLLGPRT >ONH95219 pep chromosome:Prunus_persica_NCBIv2:G7:9704416:9715408:1 gene:PRUPE_7G057700 transcript:ONH95219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNDDSTVISVRNVEGESGKDDGASSRWPTLEEILSTHEEPAIFLPNWDRVFTISCAFAVFLDPFYPYIFNITEDWTCYYWDEKLMWAFIGLRSAADLFYYADIVWFYIRLRISPEAIGPWIRDLRIRELHKLLPLLPRIFVALPFPQVVLLTGKYTSFDNFVFLIFFAPAQYTLRVYRGYGLFKRAPNTETGIGRWLRAILGFLPFILAAHLYGALWYHLSLQRELDCWFYACLDATVGCDLSQTFYYFYCGTETYFDNVLLNITHIKASCPINPPNATIFDFGIFLYALQCNLNSPNLGKKFVQCFWWGLRNLRYRNNDQINVN >ONH95216 pep chromosome:Prunus_persica_NCBIv2:G7:9704416:9715353:1 gene:PRUPE_7G057700 transcript:ONH95216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNDDSTVISVRNVEGESGKDDGASSRWPTLEEILSTHEEPAIFLPNWDRVFTISCAFAVFLDPFYPYIFNITEDWTCYYWDEKLMWAFIGLRSAADLFYYADIVWFYIRLRISPEAIGPWIRDLRIRELHKLLPLLPRIFVALPFPQLYGALWYHLSLQRELDCWFYACLDATVGCDLSQTFYYFYCGTETYFDNVLLNITHIKASCPINPPNATIFDFGIFLYALQCNLNSPNLGKKFVQCFWWGLRNLSSFGSNLQTSLYMLETFFTVLVSISGIVLFLIYLNSRVQISKQRSDQRKLRHKMHMMNPDIDLWLHKNGLSDQKLKEVIMKNLHQKLEEHKEVDVENILSLLPIIHQRRIMCRLSLNLLRNVAMLENMDEQVLIAICERLKPVIYTEDAYIIREGEPLDKMLFIMQGTAWSYTTSSNCNICGSASGSSNIKCLERGDFYGEELMNWASKLTSLSEFPISTRFVKCQTKVEAFAIRAKDLKGVVTKFWWHFSKGFESSQLEQLESLAISSLRAIRCRRHARARARTG >ONH95215 pep chromosome:Prunus_persica_NCBIv2:G7:9704416:9715486:1 gene:PRUPE_7G057700 transcript:ONH95215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNDDSTVISVRNVEGESGKDDGASSRWPTLEEILSTHEEPAIFLPNWDRVFTISCAFAVFLDPFYPYIFNITEDWTCYYWDEKLMWAFIGLRSAADLFYYADIVWFYIRLRISPEAIGPWIRDLRIRELHKLLPLLPRIFVALPFPQVVLLTGKYTSFDNFVFLIFFAPAQYTLRVYRGYGLFKRAPNTETGIGRWLRAILGFLPFILAAHLYGALWYHLSLQRELDCWFYACLDATVGCDLSQTFYYFYCGTETYFDNVLLNITHIKASCPINPPNATIFDFGIFLYALQCNLNSPNLGKKFVQCFWWGLRNLSSFGSNLQTSLYMLETFFTVLVSISGIVLFLIYLNSRVQISKQRSDQRKLRHKMHMMNPDIDLWLHKNGLSDQKLKEVIMKNLHQKLEEHKEVDVENILSLLPIIHQRRIMCRLSLNLLRNVAMLENMDEQVLIAICERLKPVIYTEDAYIIREGEPLDKMLFIMQGTAWSYTTSSNCNICGSASGSSNIKCLERGDFYGEELMNWASKLTSLSEFPISTRFVKCQTKVEAFAIRAKDLKGVVTKFWWHFSKGFESSQLEQLESLAISSLRAIRCRRHARARARTG >ONH95218 pep chromosome:Prunus_persica_NCBIv2:G7:9704416:9715408:1 gene:PRUPE_7G057700 transcript:ONH95218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNDDSTVISVRNVEGESGKDDGASSRWPTLEEILSTHEEPAIFLPNWDRVFTISCAFAVFLDPFYPYIFNITEDWTCYYWDEKLMWAFIGLRSAADLFYYADIVWFYIRLRISPEAIGPWIRDLRIRELHKLLPLLPRIFVALPFPQLYGALWYHLSLQRELDCWFYACLDATVGCDLSQTFYYFYCGTETYFDNVLLNITHIKASCPINPPNATIFDFGIFLYALQCNLNSPNLGKKFVQCFWWGLRNLSSFGSNLQTSLYMLETFFTVLVSISGIVLFLIYLNSRVQISKQRSDQRKLRHKMHMMNPDIDLWLHKNGLSDQKLKEVIMKNLHQKLEEHKEVDVENILSLLPIIHQRRIMCRLSLNLLRNVAMLENMDEQVLIAICERLKPVIYTEDAYIIREGEPLDKMLFIMQGTAWSYTTSSNCNICGSASGSSNIKCLERDLSSARQKLKPLLLGPRT >ONH95222 pep chromosome:Prunus_persica_NCBIv2:G7:9709168:9714962:1 gene:PRUPE_7G057700 transcript:ONH95222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAFIGLRSAADLFYYADIVWFYIRLRISPEAIGPWIRDLRIRELHKLLPLLPRIFVALPFPQVVLLTGKYTSFDNFVFLIFFAPAQYTLRVYRGYGLFKRAPNTETGIGRWLRAILGFLPFILAAHLYGALWYHLSLQRELDCWFYACLDATVGCDLSQTFYYFYCGTETYFDNVLLNITHIKASCPINPPNATIFDFGIFLYALQCNLNSPNLGKKFVQCFWWGLRNLSSFGSNLQTSLYMLETFFTVLVSISGIVLFLIYLNSRVQISKQRSDQRKLRHKMHMMNPDIDLWLHKNGLSDQKLKEVIMKNLHQKLEEHKEVDVENILSLLPIIHQRRIMCRLSLNLLRNVAMLENMDEQVLIAICERLKPVIYTEDAYIIREGEPLDKMLFIMQGTAWSYTTSSNCNICGSASGSSNIKCLERDLSSARQKLKPLLLGPRT >ONH96090 pep chromosome:Prunus_persica_NCBIv2:G7:13569167:13569487:-1 gene:PRUPE_7G107100 transcript:ONH96090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRGDVYSFGIVVMETFPRRKPTDEMFVGEMNSKQWIANSLLPDSMIDEAVDANLLGIGTEQEDDDQCVSPRLSMKSLDLLDVVGDSFNLGLQIKVTKKEKFRL >ONH94625 pep chromosome:Prunus_persica_NCBIv2:G7:3794000:3797600:1 gene:PRUPE_7G023900 transcript:ONH94625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQDSSLRSASSRGENATENLHGKTTGCMSGIAHLLSKYHSSRKFLTFGRKQEKNGAGSSSRISKSSTAIQASTPPILGQVKNGDKSIYLRRFLCNVPRSPTLPAEIRRSNSVDPPESSPIPPTLVARLMGLEQALAPQVTPKTAVPEPTSTNKRQQLLGALEKCDEDLKALKKIIDAVRAGEGLRSPALMKRMETIGDKKKRFYGANSKCLEFGTEHPSPVSVLDESTRSPFSNHQHYKKQVLNYGRAQPQQQRKKPGEEDFININPSIIDKITTESVEASSSPLIWNSNAMRESVEEVCRDIAWGERREIGRIGLALQDHIYKDLIQEMVTEMGYSYVYSLPFEACKRRLRF >ONH94626 pep chromosome:Prunus_persica_NCBIv2:G7:3794000:3797600:1 gene:PRUPE_7G023900 transcript:ONH94626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQDSSLRSASSRGENATENLHGKTTGCMSGIAHLLSKYHSSRKFLTFGRKQEKNGAGSSSRISKSSTAIQASTPPILGQVKNGDKSIYLRRFLCNVPRSPTLPAEIRRSNSVDPPESSPIPPTLVARLMGLEQALAPQVTPKTAVPEPTSTNKRQQLLGALEKCDEDLKALKKIIDAVRAGEGLRSPALMKRMETIGDKKKRFYGANSKCLEFGTEHPSPVSVLDESTRSPFSNHQHYKKQVLNYGCGFHDHFVWTRICLKILTPPNKKRICLKLRLERRTCTARGDSLVEDIMHYGEHNLNNKGRNQERRTSSTSIQVSLTKSQPNQWKHHHHH >ONH94627 pep chromosome:Prunus_persica_NCBIv2:G7:3794122:3796670:1 gene:PRUPE_7G023900 transcript:ONH94627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQDSSLRSASSRGENATENLHGKTTGCMSGIAHLLSKYHSSRKFLTFGRKQEKNGAGSSSRISKSSTAIQASTPPILGQVKNGDKSIYLRRFLCNVPRSPTLPAEIRRSNSVDPPESSPIPPTLVARLMGLEQALAPQVTPKTAVPEPTSTNKRQQLLGALEKCDEDLKALKKIIDAVRAGEGLRSPALMKRMETIGDKKKRFYGANSKCLEFGTEHPSPVSVLDESTRSPFSNHQHYKKQVLNYGCGFHDHFVWTRICLKILTPPNKKRICLKLRLERRTCTARGDSLVEDIMHYVMMYNLCVHPYAYS >ONH94628 pep chromosome:Prunus_persica_NCBIv2:G7:3794122:3796670:1 gene:PRUPE_7G023900 transcript:ONH94628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQDSSLRSASSRGENATENLHGKTTGCMSGIAHLLSKYHSSRKFLTFGRKQEKNGAGSSSRISKSSTAIQASTPPILGQVKNGDKSIYLRRFLCNVPRSPTLPAEIRRSNSVDPPESSPIPPTLVARLMGLEQALAPQVTPKTAVPEPTSTNKRQQLLGALEKCDEDLKALKKIIDAVRAGEGLRSPALMKRMETIGDKKKRFYGANSKCLEFGTEHPSPVSVLDESTRSPFSNHQHYKKQVLNYGCGFHDHFVWTRICLKILTPPNKKRICLKLRLERRTCTARGDSLVEDIMHYVMMYNLCVHPYAYS >ONH95750 pep chromosome:Prunus_persica_NCBIv2:G7:12248599:12257464:1 gene:PRUPE_7G088900 transcript:ONH95750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDYEERYNHGKPQNSFHKAVNIPDVVVFPRSEEQVSKIVNSCDKHKVPIVPYGGATSLEGHTLSPNGGVCIDMSLMNRVKALHVEDMDVVVEPGVGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDVVKTASRARKSAAGYDLTRLVIGSEGTLGVITEVTLRLQKIPQYSVVAVCNFPTVKDAADVAIATMLSGIQVSRVELLDEVQVRAINIANGKSLPETPTLMFEFIGTEAYSREQTLIVKKIASEHNGSDFVFAEDPETKKELWKMRKEALWACFAMEPNFEAMISDVCVPLSCLAELISRSKQELDASELICTVIAHAGDGNFHTVILFDPNNEEHRQEAQRLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEQELGMEALKTMKRIKAALDPNNTMNPGKLIPSHVCF >ONH95749 pep chromosome:Prunus_persica_NCBIv2:G7:12248599:12257464:1 gene:PRUPE_7G088900 transcript:ONH95749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSWFSRLRCSSKCFFNSLALRTSVSRKLVRTQTTINSESTRNPFLFWSRSLLPIALAVSAGSLALHPQSDPSLCEAPSVNSRAELVVKGSHKEVPRELIDELKAICQDNMTLDYEERYNHGKPQNSFHKAVNIPDVVVFPRSEEQVSKIVNSCDKHKVPIVPYGGATSLEGHTLSPNGGVCIDMSLMNRVKALHVEDMDVVVEPGVGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVAVCNFPTVKDAADVAIATMLSGIQVSRVELLDEVQVRAINIANGKSLPETPTLMFEFIGTEAYSREQTLIVKKIASEHNGSDFVFAEDPETKKELWKMRKEALWACFAMEPNFEAMISDVCVPLSCLAELISRSKQELDASELICTVIAHAGDGNFHTVILFDPNNEEHRQEAQRLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEQELGMEALKTMKRIKAALDPNNTMNPGKLIPSHVCF >ONH95748 pep chromosome:Prunus_persica_NCBIv2:G7:12248599:12257464:1 gene:PRUPE_7G088900 transcript:ONH95748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSWFSRLRCSSKCFFNSLALRTSVSRKLVRTQTTINSESTRNPFLFWSRSLLPIALAVSAGSLALHPQSDPSLCEAPSVNSRAELVVKGSHKEVPRELIDELKAICQDNMTLDYEERYNHGKPQNSFHKAVNIPDVVVFPRSEEQVSKIVNSCDKHKVPIVPYGGATSLEGHTLSPNGGVCIDMSLMNRVKALHVEDMDVVVEPGVGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDVVKTASRARKSAAGYDLTRLVIGSEGTLGVITEVTLRLQKIPQYSVVAVCNFPTVKDAADVAIATMLSGIQVSRVELLDEVQVRAINIANGKSLPETPTLMFEFIGTEAYSREQTLIVKKIASEHNGSDFVFAEDPETKKELWKMRKEALWACFAMEPNFEAMISDVCVPLSCLAELISRSKQELDASELICTVIAHAGDGNFHTVILFDPNNEEHRQEAQRLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEQELGMEALKTMKRIKAALDPNNTMNPGKLIPSHVCF >ONH95751 pep chromosome:Prunus_persica_NCBIv2:G7:12251894:12257464:1 gene:PRUPE_7G088900 transcript:ONH95751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQLGSHVLFCFLMLFIFQVVLANGDVVKTASRARKSAAGYDLTRLVIGSEGTLGVITEVTLRLQKIPQYSVVAVCNFPTVKDAADVAIATMLSGIQVSRVELLDEVQVRAINIANGKSLPETPTLMFEFIGTEAYSREQTLIVKKIASEHNGSDFVFAEDPETKKELWKMRKEALWACFAMEPNFEAMISDVCVPLSCLAELISRSKQELDASELICTVIAHAGDGNFHTVILFDPNNEEHRQEAQRLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEQELGMEALKTMKRIKAALDPNNTMNPGKLIPSHVCF >ONH94190 pep chromosome:Prunus_persica_NCBIv2:G7:382864:385352:1 gene:PRUPE_7G003400 transcript:ONH94190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISPMAPNHTSPQDEPPEEVEASSEEEVDREEEEESESQPESKSEEPEPKGAPTPVDEKKPQPKNPDLATVPQSSSPGSEKWKPRLKKKLTEKKRKNPDPSCTYYKKKPDSAMEETPKTKKPRSNASATTTSAAKAGSKQPSSKNPIKKLASATTTPAARARSKRPSESDPKDSKRPKKKKIPELDEEPDQAREETKKAGGDDLKKLLLLQRIWSDDDEITILEGMIDYYTKQGVHPNADMFAFHDFMKKSLKADVNKTQLQDKIRRLKKKYETDVSKGKKYNPVKPHELWVFDLSKKVWGSSEGLFALGGLSEQYKCNETCAGLRELTLLEGCLELIGEPKRAELKEEWKKLHVAELELVIRRGELAKLILEALK >ONH96689 pep chromosome:Prunus_persica_NCBIv2:G7:15918289:15920517:1 gene:PRUPE_7G146100 transcript:ONH96689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTAKCPTVVSRLKNSLFHHHALHTTVPQNPTNFTQNFKSPTHQTLHHLLEQCSSMRELKQLQAQIILHSLTNENLTLGKFISFCSVNHAGNLHYAQLLFDHVHEPNKFMYNSLIRGYSNSDDPFKAFSLYCQMVRSGLSPNEFTLPFVLKVCAGQSAYWEAVVVHGQAIKIGIGSQVCVQNALINVYGVCGSIRSARNVFDDMSERSLVSWNSMIGGYARMGSCKGAFLLFRGMRDFGVGPDKFTLVNLLSVCSQSCDLELGRYVHLYIEVSGIEVDQILRNALLDMYAKCGHLHLAQTIFYRMTHKNVVSWTSMVSAYAKHGLIEFAQEFFDQMPLKNVVSWNSMISYYVREGQCRAALDLFQRMLNSGALPDEATLVFILSACSQIGDLVIGKKTHSYICNSNVTPSVTLFNSLIDMYAKCGAVGIAMDLFTQIPEKNVVSWNVIIGALALHGCGFEAIRIFKQMQAGGIWPDEITFTGLLSACSHSGLLDMGRYYFERMESIYRISPEIEHYACMVDLLGRGGFLEEAIRLLIGMPMKPDIVIWGALLGACRIHGNVDLGKQILKQLLELEGHGSGLYVLLSNIFGEAHRWEDVKKIRKLIKDGGVIKSRAVSSIEIDGCVYEFMVDDKRHETSSSIYSMLDQLTDHLKSTGYAPSAFLDIEEL >ONH97637 pep chromosome:Prunus_persica_NCBIv2:G7:18835075:18835612:-1 gene:PRUPE_7G202600 transcript:ONH97637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTAVTMAMPLPNASRKRIQSSPETFFKPLPLRPSRALTPAAASRSSGRLEVRASLKEKAVTGLTAAALTASMVIPEVAEAASGVSPSLKNFLLSIAAGGVVLVVLVGAVIGVSNFDPVKRG >ONH98163 pep chromosome:Prunus_persica_NCBIv2:G7:20358118:20358681:1 gene:PRUPE_7G233300 transcript:ONH98163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTHQRNKTVPTRTYPVFLSYLKGSDLQKLVRLVVKVRIFTTKCTLLYDIGNVGVKRGATVGVVAVPKRKAGILSTKRINVEVSLSSSAISGSNLGSELSSGVLTLNSVDRLTGKVELMFIMKKKKATNMSYCTIAFDVAAKTVKSLQCK >ONH95516 pep chromosome:Prunus_persica_NCBIv2:G7:11214759:11218510:-1 gene:PRUPE_7G075100 transcript:ONH95516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTQHALRAPSKLIDGRLAVCNLACEGLSGTSATLDLTQRKLYIGGLSPNVTSEMLLHFFGRHGDIEEGSVAYDKDTNESRGFGFVTYRTVEAAKKAIDDPQKTLGGRNIIVKLADSHKGRTVQAQLPPAMVPMALPLAAGYPQPGKAHAGATPVGYGYPQTVAAYPDSSYPSPPTAPYQAQSQIPYPYYIGKQ >ONH95515 pep chromosome:Prunus_persica_NCBIv2:G7:11214254:11219682:-1 gene:PRUPE_7G075100 transcript:ONH95515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTQHALRAPSKLIDGRLAVCNLACEGLSGTSATLDLTQRKLYIGGLSPNVTSEMLLHFFGRHGDIEEGSVAYDKDTNESRGFGFVTYRTVEAAKKAIDDPQKTLGGRNIIVKLADSHKGRTVQAQLPPAMVPMALPLAAGYPQPGKAHAGATPVGYGYPQTVAAYPDSSYPSPPTAPYQAQSQIPYPYYIGKQ >ONH95512 pep chromosome:Prunus_persica_NCBIv2:G7:11214254:11219682:-1 gene:PRUPE_7G075100 transcript:ONH95512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVKKRKIEEASGNGEEISTYSEDHLRSLLDPLAKPQLVDLLAKLGCQYPSIAEEIKGVASADPVHRKLFVRGLAWNTSSDTLRAAFSEHGEIEEGAVIYDKASGKSRGYGFITYKYMESTQHALRAPSKLIDGRLAVCNLACEGLSGTSATLDLTQRKLYIGGLSPNVTSEMLLHFFGRHGDIEEGSVAYDKDTNESRGFGFVTYRTVEAAKKAIDDPQKTLGGRNIIVKLADSHKGRTVQAQLPPAMVPMALPLAAGYPQPGKAHAGATPVGYGYPQTVAAYPDSSYPSPPTAPYQASNVCWES >ONH95514 pep chromosome:Prunus_persica_NCBIv2:G7:11214759:11219289:-1 gene:PRUPE_7G075100 transcript:ONH95514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVKKRKIEEASGNGEEISTYSEDHLRSLLDPLAKPQLVDLLAKLGCQYPSIAEEIKGVASADPVHRKLFVRGLAWNTSSDTLRAAFSEHGEIEEGAVIYDKASGKSRGYGFITYKYMESTQHALRAPSKLIDGRLAVCNLACEGLSGTSATLDLTQRKLYIGGLSPNVTSEMLLHFFGRHGDIEEGSVAYDKDTNESRGFGFVTYRTVEAAKKAIDDPQKTLGGRNIIVKLADSHKGRTVQAQLPPAMVPMALPLAAGYPQPGKAHAGATPVGYGYPQTVAAYPDSSYPSPPTAPYQAQSQIPYPYYIGKQ >ONH95513 pep chromosome:Prunus_persica_NCBIv2:G7:11214247:11219682:-1 gene:PRUPE_7G075100 transcript:ONH95513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVKKRKIEEASGNGEEISTYSEDHLRSLLDPLAKPQLVDLLAKLEHGEIEEGAVIYDKASGKSRGYGFITYKYMESTQHALRAPSKLIDGRLAVCNLACEGLSGTSATLDLTQRKLYIGGLSPNVTSEMLLHFFGRHGDIEEGSVAYDKDTNESRGFGFVTYRTVEAAKKAIDDPQKTLGGRNIIVKLADSHKGRTVQAQLPPAMVPMALPLAAGYPQPGKAHAGATPVGYGYPQTVAAYPDSSYPSPPTAPYQAQSQIPYPYYIGKQ >ONH95517 pep chromosome:Prunus_persica_NCBIv2:G7:11214254:11218961:-1 gene:PRUPE_7G075100 transcript:ONH95517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTQHALRAPSKLIDGRLAVCNLACEGLSGTSATLDLTQRKLYIGGLSPNVTSEMLLHFFGRHGDIEEGSVAYDKDTNESRGFGFVTYRTVEAAKKAIDDPQKTLGGRNIIVKLADSHKGRTVQAQLPPAMVPMALPLAAGYPQPGKAHAGATPVGYGYPQTVAAYPDSSYPSPPTAPYQAQSQIPYPYYIGKQ >ONH95504 pep chromosome:Prunus_persica_NCBIv2:G7:11193840:11194253:-1 gene:PRUPE_7G074700 transcript:ONH95504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKQSIIAVREDPGSGEEERIPPNRRRGRPHKPLKEDIGEGEEAQKTEDVEEAKIYVSSKDMKNQSAIENGRKRKRSAQVKENIKSVKEDKNVETKSSLDDSTKSVGFRQNGSRRKNKPHRAAEAGVQCK >ONH95505 pep chromosome:Prunus_persica_NCBIv2:G7:11193840:11194253:-1 gene:PRUPE_7G074700 transcript:ONH95505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKQSIIAVREDPGSGEEERIPPNRRRGRPHKPLKEDIGEGEEAQKTEDVEEAKIYVSSKDMKNQSAIENGRKRKRSAQVKENIKSVKEDKNVETKSSLDDSTKSVGFRQNGSRRKNKPHRAAEAGVQCK >ONH95502 pep chromosome:Prunus_persica_NCBIv2:G7:11193183:11195742:-1 gene:PRUPE_7G074700 transcript:ONH95502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKQSIIAVREDPGSGEEERIPPNRRRGRPHKPLKEDIGEGEEAQKTEDVEEAKIYVSSKDMKNQSAIENGRKRKRSAQVKENIKSVKEDKNVETKSSLDDSTKSVGFRQNGSRRKNKPHRAAEAGVQCK >ONH95508 pep chromosome:Prunus_persica_NCBIv2:G7:11191573:11195742:-1 gene:PRUPE_7G074700 transcript:ONH95508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKQSIIAVREDPGSGEEERIPPNRRRGRPHKPLKEDIGEGEEAQKTEDVEEAKIYVSSKDMKNQSAIENGRKRKRSAQVKENIKSVKEDKNVETKSSLDDSTKSVGFRQNGSRRKNKPHRAAEAGVQCK >ONH95503 pep chromosome:Prunus_persica_NCBIv2:G7:11193840:11194253:-1 gene:PRUPE_7G074700 transcript:ONH95503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKQSIIAVREDPGSGEEERIPPNRRRGRPHKPLKEDIGEGEEAQKTEDVEEAKIYVSSKDMKNQSAIENGRKRKRSAQVKENIKSVKEDKNVETKSSLDDSTKSVGFRQNGSRRKNKPHRAAEAGVQCK >ONH95506 pep chromosome:Prunus_persica_NCBIv2:G7:11193840:11194253:-1 gene:PRUPE_7G074700 transcript:ONH95506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKQSIIAVREDPGSGEEERIPPNRRRGRPHKPLKEDIGEGEEAQKTEDVEEAKIYVSSKDMKNQSAIENGRKRKRSAQVKENIKSVKEDKNVETKSSLDDSTKSVGFRQNGSRRKNKPHRAAEAGVQCK >ONH95507 pep chromosome:Prunus_persica_NCBIv2:G7:11193840:11194253:-1 gene:PRUPE_7G074700 transcript:ONH95507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKQSIIAVREDPGSGEEERIPPNRRRGRPHKPLKEDIGEGEEAQKTEDVEEAKIYVSSKDMKNQSAIENGRKRKRSAQVKENIKSVKEDKNVETKSSLDDSTKSVGFRQNGSRRKNKPHRAAEAGVQCK >ONH95501 pep chromosome:Prunus_persica_NCBIv2:G7:11193840:11194253:-1 gene:PRUPE_7G074700 transcript:ONH95501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKQSIIAVREDPGSGEEERIPPNRRRGRPHKPLKEDIGEGEEAQKTEDVEEAKIYVSSKDMKNQSAIENGRKRKRSAQVKENIKSVKEDKNVETKSSLDDSTKSVGFRQNGSRRKNKPHRAAEAGVQCK >ONH95499 pep chromosome:Prunus_persica_NCBIv2:G7:11191573:11195742:-1 gene:PRUPE_7G074700 transcript:ONH95499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKQSIIAVREDPGSGEEERIPPNRRRGRPHKPLKEDIGEGEEAQKTEDVEEAKIYVSSKDMKNQSAIENGRKRKRSAQVKENIKSVKEDKNVETKSSLDDSTKSVGFRQNGSRRKNKPHRAAEAGVQCK >ONH95500 pep chromosome:Prunus_persica_NCBIv2:G7:11193840:11194253:-1 gene:PRUPE_7G074700 transcript:ONH95500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKQSIIAVREDPGSGEEERIPPNRRRGRPHKPLKEDIGEGEEAQKTEDVEEAKIYVSSKDMKNQSAIENGRKRKRSAQVKENIKSVKEDKNVETKSSLDDSTKSVGFRQNGSRRKNKPHRAAEAGVQCK >ONH96206 pep chromosome:Prunus_persica_NCBIv2:G7:13910036:13913543:1 gene:PRUPE_7G113300 transcript:ONH96206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCLPVLSLFLIICLSPQLLKAQDDDFIRQPPRRVIFTKHTRSDSDPQQVHISLVGKDHMRVSWVTDSKHGKSVVEYGKAPGKYNSKATGEDTSYKYFFYSSGKIHHVTIGPLEPATMYYYRCGGSEQEFSFKTPPQKLPLEFAVAGDLGQTEWTNSTLEHIGSIDYDVLLLPGDLSYADTHQPLWDSFGRIVEPYASKRPWMVTQGNHEIESFPIIYPTGFKAYNARWRMPYQESGSTSNLYYSFEVAGTHVIMLGSYVEFDAESDQYQWLQADLAKIDRKKTPWVVVLLHAPWYNTNTAHQGEGESMRQAMEELLYNARVDVVFAGHVHAYERFTRIYNNEADPCGPVYMTIGDGGNREGLALTFEEPASPLSLYREPSFGHGRLRIVNETHAFWGWHRNNDSNSVVKDQFWLESLSSSKTCLKSVNQNEKMASSSVNDEL >ONH96524 pep chromosome:Prunus_persica_NCBIv2:G7:15227873:15230980:1 gene:PRUPE_7G134300 transcript:ONH96524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFGVAGRRPCLPIVVCCSSRDELDAVSSAVANVPYISLASLYTDLAEADRSLILERFREATMRWNPQASAQPADDNEPVKDEQKSHMIVATDACLPLLASGESPISAHVLINYELPTKKETYMRRLTTCLAADGIVINMVVGGEVVTLKSIEESSNLVIAEMPINISEIL >ONH96522 pep chromosome:Prunus_persica_NCBIv2:G7:15227873:15231029:1 gene:PRUPE_7G134300 transcript:ONH96522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAIETPSPPLHPPSSHFSQQRHFYLAVDRLQFKMETLMDLFGVAGRRPCLPIVVCCSSRDELDAVSSAVANVPYISLASLYTDLAEADRSLILERFREATMRWNPQASAQPADDNEPVKDEQKSHMIVATDACLPLLASGESPISAHVLINYELPTKKETYMRRLTTCLAADGIVINMVVGGEVVTLKSIEESSNLVIAEMPINISEIL >ONH96523 pep chromosome:Prunus_persica_NCBIv2:G7:15227873:15230980:1 gene:PRUPE_7G134300 transcript:ONH96523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAIETPSPPLHPPSSHFSQQRHFYLAVDRLQFKMETLMDLFGVAGRRPCLPIVVCCSSRDELDAVSSAVANVPYISLASLYTDLAEADRSLILERFREATMRWNPQASAQPADDNEPVKDEQKSHMIVATDACLPLLASGESPISAHVLINYELPTKKETYMRRLTTCLAADGIVINMVVGGEVVTLKSIEESSNLVIAEMPINISEIL >ONH96521 pep chromosome:Prunus_persica_NCBIv2:G7:15227873:15230980:1 gene:PRUPE_7G134300 transcript:ONH96521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAIETPSPPLHPPSSHFSQQRHFYLAVDRLQFKMETLMDLFGVAGRRPCLPIVVCCSSRDELDAVSSAVANVPYISLASLYTDLAEADRSLILERFREATMRWNPQASAQPADDNEPVKDEQKSHMIVATDACLPLLASGESPISAHVLINYELPTKKETYMRRLTTCLAADGIVINMVVGGEVVTLKSIEESSNLVIAEMPINISEIL >ONH95683 pep chromosome:Prunus_persica_NCBIv2:G7:12013483:12016207:-1 gene:PRUPE_7G085000 transcript:ONH95683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVRYSRWASRLKTLNSQTKPLLSFSSSSSFSSATTADNENPGRPGPPPIRVALTESFGRGVFATRKIETGELIHTAKPVLSHPSLSTIHKVCYCCLRKLKTTDSSQAQRVSFCSDECQRQAKGFHDMEMRADWSAYDDYCRSRGLKYPLLVKRLACMVMSRAAFANLLDILQPASLSPEMIVEMEEGFGLLRSAFENSNITGEQMSFLTKQWYIGVLARIRINAFRIELVGALYDDLLSSLAASIESEAAVGNAVYMLPSFYNHDCDPNAHIIWIENADARLKALRDVDEG >ONH95682 pep chromosome:Prunus_persica_NCBIv2:G7:12012410:12016207:-1 gene:PRUPE_7G085000 transcript:ONH95682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVRYSRWASRLKTLNSQTKPLLSFSSSSSFSSATTADNENPGRPGPPPIRVALTESFGRGVFATRKIETGELIHTAKPVLSHPSLSTIHKVCYCCLRKLKTTDSSQAQRVSFCSDECQRQAKGFHDMEMRADWSAYDDYCRSRGLKYPLLVKRLACMVMSRAAFANLLDILQPASLSPEMIVEMEEGFGLLRSAFENSNITGEQMSFLTKQWYIGVLARIRINAFRIELVGALYDDLLSSLAASIESEAAVGNAVYMLPSFYNHDCDPNAHIIWIENADARLKALRDVDEGEELRICYIDASMDHDARQSFLSHGFGFQCNCHRCLTGD >ONH95684 pep chromosome:Prunus_persica_NCBIv2:G7:12013011:12016207:-1 gene:PRUPE_7G085000 transcript:ONH95684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVRYSRWASRLKTLNSQTKPLLSFSSSSSFSSATTADNENPGRPGPPPIRVALTESFGRGVFATRKIETGELIHTAKPVLSHPSLSTIHKVCYCCLRKLKTTDSSQAQRVSFCSDECQRQAKGFHDMEMRADWSAYDDYCRSRGLKYPLLVKRLACMVMSRAAFANLLDILQPASLSPEMIVEMEEGFGLLRSAFENSNITGEQMSFLTKQWYIGVLARIRINAFRIELVGALYDDLLSSLAASIESEAAVGNAVYMLPSFYNHDCDPNAHIIWIENADARLKALRDVDEG >ONH95685 pep chromosome:Prunus_persica_NCBIv2:G7:12013590:12016079:-1 gene:PRUPE_7G085000 transcript:ONH95685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVRYSRWASRLKTLNSQTKPLLSFSSSSSFSSATTADNENPGRPGPPPIRVALTESFGRGVFATRKIETGELIHTAKPVLSHPSLSTIHKVCYCCLRKLKTTDSSQAQRVSFCSDECQRQAKGFHDMEMRADWSAYDDYCRSRGLKYPLLVKRLACMVMSRAAFANLLDILQPASLSPEMIVEMEEGFGLLRSAFENSNITGEQMSFLTKQWYIGVLARIRINAFRIELVGALYDDLLSSLAASIESEAAVGNAVYMLPSFYNHDCDPNAHIIWIENADARLKALRDVDEGLCNVQ >ONH95096 pep chromosome:Prunus_persica_NCBIv2:G7:8972040:8974301:-1 gene:PRUPE_7G051800 transcript:ONH95096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSNSSVGRGPSMISIVFLLGLLMASFETTGAQIGVCYGMLGDRLPPPSEVIALYKQNNIRRMRLYDPNQAALAALRGSNIELMLGVPNDNLQSLASSQANANTWVQNNVRNYGNVRFKYIAVGNEVKPSDSYAQFLVPAMQNIQNAISSAGLGIKVSTAVDTGVLGNSFPPSKGEFKSEYGALLNPIIRFLVNNRSPLLVNLYPYFSYSSNTHDIRLDYALFTAPSVVVQDGQRGYRNLFDAILDAVYAALEKAGGGSLEIVISESGWPSAGGTATTIDNARTYNANLIQHVKGGTPRKPGRAIETYIFAMFDENRKNPELEKHWGLFSPSKQPKYPINFN >ONH98188 pep chromosome:Prunus_persica_NCBIv2:G7:20422080:20423166:1 gene:PRUPE_7G234500 transcript:ONH98188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKKPIVFCIVVASLAMASMATMEDDENECAEQMATLAACIPFVSGTAKKPTPECCENTKKVKANKPKCLCVLIKQSTDPSMSLPVNTTLALQMPSACNIDGKISDCPSILKLPPNSPDAKIFKEADSNSTTSPTTDGHDHQSTPTSASTSSSSSVSTSPSSSSSGSDSKPSDSKATPSSGATLMKLTETTYLLMMMVSMALMFNR >ONH95027 pep chromosome:Prunus_persica_NCBIv2:G7:8395709:8400560:-1 gene:PRUPE_7G047400 transcript:ONH95027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISNEASVDPFPIGPSTIVGRTIAFRVLFCKSLSQLRQQMFRTLLRLIYRCRDFLAPMFSWLHPRNPQGILAMVTIIAFLLKRYTNVKVKAEMAYRRKFWRNMMRTALTYEEWAHAARMLDKETPKMNESDLYDEEVVRNKLQELHHRREEGSLRDIMFCMRADLVRNLGNMCNPELHKEKLHVPKLIKEYIDEVSTQLRMVCDSDSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIMCAVVATRSWPELQSFFEDSWHSLQFFDQMGGIFTVVKRVMTRGAVHEIRQLQMMLRHLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLGPEAGSMPVRRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEFVRACGGNFAAKLAHLAEMEVKHRCNQILELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKIIQNPTYIELQKAANQGRRCTWEKLSAIKANCGIELALDECVVILNHMRRLKRSAERAAASSHGLATTVKFSASRRIPSWNCIARENSSGSLEDFGDGASSIHQGIGASTSAIPSVKNFQTHRNIHDGSDSESESVDVNSWTRSGGPLMRTTSANKFVDFVQNLDIDAELNRSFLAIPNSATLQMGGNNQYYQSPRGTTPDRSPESTKFDKRDFGSMVSVNGSSIMVTEGDLLQPERIHNGIVFNIVKKEDLTLSSRSTHDMENYGSEVAECVQLDCPEKDMDARSASECGDADITSDICLEETAPNCQSTDQPNVDDCNHQSIVDD >ONH95669 pep chromosome:Prunus_persica_NCBIv2:G7:11951717:11952760:-1 gene:PRUPE_7G084000 transcript:ONH95669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAQAKGLVLVQISVLLLSCFIVLGKEIESLAPNSHAPSHAPVHPPSHAPAHSPSHHHHHHHGHPPSHAPVHPHSHSPVHPPSHSPVHPPSHAPAQSPTHHHHHHGHPPSHAPVHPPSQSPVHPPSHSPVHPPSHAPAHSPSHHHHSHPPSHAPVHPPSHAPVQPPSHPPTHHSPSPSPSHPPVHPPQSPPKSFVAVQGIVYCKSCKYSKVDTLLGASPLQGATVKLQCNDTKKPLVVKAKTDKKGYFFITAPKHITSHGVHKCKPSDFQGGLRGAILKPEKPFVSGKLPFFLYSVGPFAFEPKCHH >ONH96160 pep chromosome:Prunus_persica_NCBIv2:G7:13789373:13791865:-1 gene:PRUPE_7G110300 transcript:ONH96160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLSSDGLREAIAQIKTNSETKKRNFTETVELQIGLKNYDPQKDKRFSGSVRLPHIPRPKMRVCMLGDAQHVEEAEKMGLDYMDVESLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVSHQESLEAKVNETKAMVKFQLKKVLCMGVAVGNLAMEEKQIFQNVQLSVNFLVSLLKKNWQNVRSLNLKSTMGKAIRIY >ONH97783 pep chromosome:Prunus_persica_NCBIv2:G7:19226675:19232168:-1 gene:PRUPE_7G210000 transcript:ONH97783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNVLRGNNTLLSLLLFSWLASAATASVSYDHKAIIINGQKRILISGSIHYPRSTPEMWPDLIQKSKDGGLDVIQTYVFWNGHEPSPGKYYFEDRYDLVKFIKLVHQAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGIVFRTDNEPFKAAMQKFTEKIVSMMKAEQLFQSQGGPIILSQIENEFGPVEWEIGAPGKAYTKWAAQMAVGLNTGVPWIMCKQEDAPDPVIDTCNGFYCENFTPNKNYKPKMWTEVWTGWYTEFGGAVPTRPAEDLAFSIARFIQKGGSFVNYYMYHGGTNFGRTAGGPFMATSYDYDAPLDEYGLPREPKWGHLRDLHKAIKSSESALVSAEPSVTSLGNGQEAHVFKSKSGCAAFLANYDTKSSAKVSFGNGQYELPPWSISILPDCKTAVYNTARLGSQSSQMKMTPVKSALPWQSFVEESASSDESDTTTLDGLWEQINVTRDTTDYLWYMTDITISPDEGFIKRGESPLLTIYSAGHALHVFINGQLSGTVYGALENPKLTFSQNVKLRSGINKLALLSISVGLPNVGLHFETWNAGVLGPVTLKGLNSGTWDMSRWKWTYKIGLKGEALGLHTVSGSSSVEWAEGPSMAQKQPLTWYKATFNAPPGNGPLALDMSSMGKGQIWINGQSIGRHWPAYTARGNCGNCYYAGTYDDKKCRTHCGEPSQRWYHVPRSWLTPSGNLLVVFEEWGGDPTKISLVERRTSSVCADIFEGQPTLTNSQKLASGKLNRPKAHLWCPPGQVISDIKFASYGLPQGTCGSFQEGSCHAHKSYDAPKRNCIGKQSCSVAVAPEAFGGDPCPGSTKKLSVEAVCS >ONH97784 pep chromosome:Prunus_persica_NCBIv2:G7:19226675:19232114:-1 gene:PRUPE_7G210000 transcript:ONH97784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWMLFRPMCFGMGMSLLQENIILRTDMIWSSSSSWYTKQAYMLISGLALMGFPVWLKYVPGIVFRTDNEPFKAAMQKFTEKIVSMMKAEQLFQSQGGPIILSQIENEFGPVEWEIGAPGKAYTKWAAQMAVGLNTGVPWIMCKQEDAPDPVIDTCNGFYCENFTPNKNYKPKMWTEVWTGWYTEFGGAVPTRPAEDLAFSIARFIQKGGSFVNYYMYHGGTNFGRTAGGPFMATSYDYDAPLDEYGLPREPKWGHLRDLHKAIKSSESALVSAEPSVTSLGNGQEAHVFKSKSGCAAFLANYDTKSSAKVSFGNGQYELPPWSISILPDCKTAVYNTARLGSQSSQMKMTPVKSALPWQSFVEESASSDESDTTTLDGLWEQINVTRDTTDYLWYMTDITISPDEGFIKRGESPLLTIYSAGHALHVFINGQLSGTVYGALENPKLTFSQNVKLRSGINKLALLSISVGLPNVGLHFETWNAGVLGPVTLKGLNSGTWDMSRWKWTYKIGLKGEALGLHTVSGSSSVEWAEGPSMAQKQPLTWYKATFNAPPGNGPLALDMSSMGKGQIWINGQSIGRHWPAYTARGNCGNCYYAGTYDDKKCRTHCGEPSQRWYHVPRSWLTPSGNLLVVFEEWGGDPTKISLVERRTSSVCADIFEGQPTLTNSQKLASGKLNRPKAHLWCPPGQVISDIKFASYGLPQGTCGSFQEGSCHAHKSYDAPKRNCIGKQSCSVAVAPEAFGGDPCPGSTKKLSVEAVCS >ONH98924 pep chromosome:Prunus_persica_NCBIv2:G7:22314904:22323736:1 gene:PRUPE_7G272000 transcript:ONH98924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLGPFPAGVILHSYLGSAEMVPEFANLGAYFSFSGFLMSMKVHKAKRMLKMVPSERILLETDAPDALPKSELDSAHLVEGASLPEELQSIEISSAPFAGARDVSTFPKEALNHPENIHKVLIFVASLVEMTKEELAEVTYQNAVRLFSYDTCGGQS >ONH98921 pep chromosome:Prunus_persica_NCBIv2:G7:22312123:22323753:1 gene:PRUPE_7G272000 transcript:ONH98921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFDAHCHLQDPRIFDKAPQLIAAAVDSGVVRFAVNGVSEIGLDKGSQGKKVDFTDQVNVFRQQLEIAKELKRPASIHCVRAFGDLLQIMKSLGPFPAGVILHSYLGSAEMVPEFANLGAYFSFSGFLMSMKVHKAKRMLKMVPSERILLETDAPDALPKSELDSAHLVEGASLPEELQSIEISSAPFAGARDVSTFPKEALNHPENIHKVLIFVASLVEMTKEELAEVTYQNAVRLFSYDTCGGQS >ONH98920 pep chromosome:Prunus_persica_NCBIv2:G7:22312123:22323753:1 gene:PRUPE_7G272000 transcript:ONH98920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFDAHCHLQDPRIFDKAPQLIAAAVDSGVVRFAVNGVSEKDWHLVKQISESYPCVIPCFGLHPWYVVERTPNWLNTLKQFFEATPSAAVGEIGLDKGSQGKKVDFTDQVNVFRQQLEIAKELKRPASIHCVRAFGDLLQIMKSLGPFPAGVILHSYLGSAEMVPEFANLGAYFSFSGFLMSMKVHKAKRMLKMVPSERILLETDAPDALPKSELDSAHLVEGASLPEELQSIEISSAPFAGARDVSTFPKEALNHPENIHKVLIFVASLVEMTKEELAEVTYQNAVRLFSYDTCGGQS >ONH98919 pep chromosome:Prunus_persica_NCBIv2:G7:22312123:22323753:1 gene:PRUPE_7G272000 transcript:ONH98919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFDAHCHLQDPRIFDKAPQLIAAAVDSGVVRFAVNGVSEKDWHLVKQISESYPCVIPCFGLHPCRYVVERTPNWLNTLKQFFEATPSAAVGEIGLDKGSQGKKVDFTDQVNVFRQQLEIAKELKRPASIHCVRAFGDLLQIMKSLGPFPAGVILHSYLGSAEMVPEFANLGAYFSFSGFLMSMKVHKAKRMLKMVPSERILLETDAPDALPKSELDSAHLVEGASLPEELQSIEISSAPFAGARDVSTFPKEALNHPENIHKVLIFVASLVEMTKEELAEVTYQNAVRLFSYDTCGGQS >ONH98923 pep chromosome:Prunus_persica_NCBIv2:G7:22312123:22322221:1 gene:PRUPE_7G272000 transcript:ONH98923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFDAHCHLQDPRIFDKAPQLIAAAVDSGVVRFAVNGVSEIGLDKGSQGKKVDFTDQVNVFRQQLEIAKELKRPASIHCVRAFGDLLQIMKSLGPFPAGVILHSYLGSAEMVPEFANLGAYFSFSGFLMSMKVHKAKRMLKMVPSERILLETDAPDALPKSELDSAHLVEGASLPEELQSIEISSAPFAGARDVSTFPKEALNHPENIHKVL >ONH98922 pep chromosome:Prunus_persica_NCBIv2:G7:22312122:22322221:1 gene:PRUPE_7G272000 transcript:ONH98922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFDAHCHLQDPRIFDKAPQLIAAAVDSGVVRFAVNGVSEKDWHLVKQISESYPCVIPCFGLHPWYVVERTPNWLNTLKQFFEATPSAAVGEIGLDKGSQGKKVDFTDQVNVFRQQLEIAKELKRPASIHCVRAFGDLLQIMKSLGPFPAGVILHSYLGSAEMVPEFANLGAYFSFSGFLMSMKVHKAKRMLKMVPSERILLETDAPDALPKSELDSAHLVEGASLPEELQSIEISSAPFAGARDVSTFPKEALNHPENIHKVL >ONH98679 pep chromosome:Prunus_persica_NCBIv2:G7:21724924:21729468:-1 gene:PRUPE_7G261500 transcript:ONH98679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLLSFPKAERERERSQCFTPHQLPFLLLKAFFLSSHDRCHLHVLFYYSLLKRDYQDIYIYKRAMDVGRRRDGVRSGVVAGSVWESRMKIDEVKGGIKVFNGDQENSEDQSNTSNGVAGSRTRSKRGQAGGALTAAGKRKTWKSDTSEGFEKNPIQTSKAKTESLKNSDQQCKELSVSVDGIKKSPTQLRRLRSEASKEIGAAASDKNERSPVGIRKPRNELLKSASDLGEASVGIEKNSAQLRKVKSECNKGLDASGNAIQLGKAKSESNKALDESDKEIDTPVEVIEKSPVETENSGSDENCKEFGVCQEMVISSGESNVDVLKSDPKASVHDGDDQEGDEEDDGDELEEEVDEEIDVEVEKKSVDIKEVNATEEKLTTKVVNEEKVVKVNEVKKLLHLDRKEVKKLYQVHQNQKPEPISLNLTKPLPVIKRATVHSNIPKQTSSSNEYHNHTSFQETHSRLQSLVDLIMWKDISRSVFVFGMGTFVILSSSYTKDLNISFISVISYLGLLYLAVIFLFKSIICRGAVEVDNANYVVGEEEAMWLLKLVLPYLNELLLKMKALFSGDPATTIKLAVLLFVLARCGSSITIWTMSKVGFFGVFTLPKVCSLYSAQLTVYAKFWIRRFRDAWESCTHKKALTVAIFLLVWNLSSVVARIWAAFILVVAFRYYQQKLVTEEWVEEEEEEADDDGDAGGEEKWEEALGAERLRQRHVQSQVDNNITKPKKGF >ONH98680 pep chromosome:Prunus_persica_NCBIv2:G7:21725144:21729048:-1 gene:PRUPE_7G261500 transcript:ONH98680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLLSFPKAERERERSQCFTPHQLPFLLLKAFFLSSHDRCHLHVLFYYSLLKRDYQDIYIYKRAMDVGRRRDGVRSGVVAGSVWESRMKIDEVKGGIKVFNGDQENSEDQSNTSNGVAGSRTRSKRGQAGGALTAAGKRKTWKSDTSEGFEKNPIQTSKAKTESLKNSDQQCKELSVSVDGIKKSPTQLRRLRSEASKEIGAAASDKNERSPVGIRKPRNELLKSASDLGEASVGIEKNSAQLRKVKSECNKGLDASGNAIQLGKAKSESNKALDESDKEIDTPVEVIEKSPVETENSGSDENCKEFGVCQEMVISSGESNVDVLKSDPKASVHDGDDQEGDEEDDGDELEEEVDEEIDVEVEKKSVDIKEVNATEEKLTTKVVNEEKVVKVNEVKKLLHLDRKEVKKLYQVHQNQKPEPISLNLTKPLPVIKRATVHSNIPKQTSFAASNEYHNHTSFQETHSRLQSLVDLIMWKDISRSVFVFGMGTFVILSSSYTKDLNISFISVISYLGLLYLAVIFLFKSIICRGAVEVDNANYVVGEEEAMWLLKLVLPYLNELLLKMKALFSGDPATTIKLAVLLFVLARCGSSITIWTMSKVGFFGVFTLPKVCSLYSAQLTVYAKFWIRRFRDAWESCTHKKALTVAIFLLVWNLSSVVARIWAAFILVVAFRYYQQKLVTEEWVEEEEEEADDDGDAGGEEKWEEALGAERLRQRHVQSQVDNNITKPKKGF >ONH95609 pep chromosome:Prunus_persica_NCBIv2:G7:11582215:11588009:-1 gene:PRUPE_7G080500 transcript:ONH95609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTNTRYKCTSRLYINSMQEEHQKPSPLVRLGYVNAITLFALMCGASLWLCIGKYQILHWEKPSLRIDCPNLNRTNFDSLVPDFIFGAATSSYQVEGAANEDGRGPSIWDSFTHNHPEKIADQSNGDVAIDEYHRYKEDVEIIKNMSMEVYRFSISWSRVIPNGTGQPNPKGIEYYNNLINEILKKGMKPYVTLFHWDLPQALQEKYGGFLSPLIVDDYRAYVELCYKEFGDRVLYWVTANEPYTVSNHGYAIGVHAPGRCSYWYDQTCLGGNSGIEPYLVTHHQLLAHVAAVKLYRDKYQAFQKGVIGITVNTYWFVPTSKAKHDIDAAFRALDFMFGWQVFMDPLISGDYPHSMRSLVGKRLPKFTDEESKLLKGSYDFIGINYYSARYASAYPADYKIPTPPSYLTDAYVNVTTEFNGVPIGPKAASDWLYIYPKGLYDVILYTKEKYNNPIMYITENGRVLMCRDTLHGHF >ONH95608 pep chromosome:Prunus_persica_NCBIv2:G7:11581755:11588186:-1 gene:PRUPE_7G080500 transcript:ONH95608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTNTRYKCTSRLYINSMQEEHQKPSPLVRLGYVNAITLFALMCGASLWLCIGKYQILHWEKPSLRIDCPNLNRTNFDSLVPDFIFGAATSSYQVEGAANEDGRGPSIWDSFTHNHPEKIADQSNGDVAIDEYHRYKEDVEIIKNMSMEVYRFSISWSRVIPNGTGQPNPKGIEYYNNLINEILKKGMKPYVTLFHWDLPQALQEKYGGFLSPLIVDDYRAYVELCYKEFGDRVLYWVTANEPYTVSNHGYAIGVHAPGRCSYWYDQTCLGGNSGIEPYLVTHHQLLAHVAAVKLYRDKYQAFQKGVIGITVNTYWFVPTSKAKHDIDAAFRALDFMFGWFMDPLISGDYPHSMRSLVGKRLPKFTDEESKLLKGSYDFIGINYYSARYASAYPADYKIPTPPSYLTDAYVNVTTEFNGVPIGPKAASDWLYIYPKGLYDVILYTKEKYNNPIMYITENGRVLMCRDTLHGHF >ONH95606 pep chromosome:Prunus_persica_NCBIv2:G7:11582087:11588009:-1 gene:PRUPE_7G080500 transcript:ONH95606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTNTRYKCTSRLYINSMQEEHQKPSPLVRLGYVNAITLFALMCGASLWLCIGKYQILHWEKPSLRIDCPNLNRTNFDSLVPDFIFGAATSSYQVEGAANEDGRGPSIWDSFTHNHPEKIADQSNGDVAIDEYHRYKEDVEIIKNMSMEVYRFSISWSRVIPNGTGQPNPKGIEYYNNLINEILKKGMKPYVTLFHWDLPQALQEKYGGFLSPLIVDDYRAYVELCYKEFGDRVLYWVTANEPYTVSNHGYAIGVHAPGRCSYWYDQTCLGGNSGIEPYLVTHHQLLAHVAAVKLYRDKYQAFQKGVIGITVNTYWFVPTSKAKHDIDAAFRALDFMFGWQVFMDPLISGDYPHSMRSLVGKRLPKFTDEESKLLKGSYDFIGINYYSARYASAYPADYKIPTPPSYLTDAYVNVTTEFNGVPIGPKAASDWLYIYPKGLYDVILYTKEKYNNPIMYITENGVDEFNNNKVPLQIALKDPDRSYYYYHHLCYLQAAIKEGANVQGYFAWSFLDNFEWNSGYTVRFGINYVDYANGLKRYPKDSMQWFQSFLKRS >ONH95610 pep chromosome:Prunus_persica_NCBIv2:G7:11582664:11588009:-1 gene:PRUPE_7G080500 transcript:ONH95610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTNTRYKCTSRLYINSMQEEHQKPSPLVRLGYVNAITLFALMCGASLWLCIGKYQILHWEKPSLRIDCPNLNRTNFDSLVPDFIFGAATSSYQVEGAANEDGRGPSIWDSFTHNHPEKIADQSNGDVAIDEYHRYKEDVEIIKNMSMEVYRFSISWSRVIPNGTGQPNPKGIEYYNNLINEILKKGMKPYVTLFHWDLPQALQEKYGGFLSPLIVDDYRAYVELCYKEFGDRVLYWVTANEPYTVSNHGYAIGVHAPGRCSYWYDQTCLGGNSGIEPYLVTHHQLLAHVAAVKLYRDKYQAFQKGVIGITVNTYWFVPTSKAKHDIDAAFRALDFMFGWFMDPLISGDYPHSMRSLVGKRLPKFTDEESKLLKGSYDFIGINYYSARYASAYPADYKIPTPPSYLTDAYVNVTSCFRLVIYLPKRTLRCYTLHKGKV >ONH95613 pep chromosome:Prunus_persica_NCBIv2:G7:11581755:11588186:-1 gene:PRUPE_7G080500 transcript:ONH95613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTNTRYKCTSRLYINSMQEEHQKPSPLVRLGYVNAITLFALMCGASLWLCIGKYQILHWEKPSLRIDCPNLNRTNFDSLVPDFIFGAATSSYQVEGAANEDGRGPSIWDSFTHNHPEKIADQSNGDVAIDEYHRYKEDVEIIKNMSMEVYRFSISWSRVIPNGTGQPNPKGIEYYNNLINEILKKGMKPYVTLFHWDLPQALQEKYGGFLSPLIVDDYRAYVELCYKEFGDRVLYWVTANEPYTVSNHGYAIGVHAPGRCSYWYDQTCLGGNSGIEPYLVTHHQLLAHVAAVKLYRDKYQAFQKGVIGITVNTYWFVPTSKAKHDIDAAFRALDFMFGWQVFMDPLISGDYPHSMRSLVGKRLPKFTDEESKLLKGSYDFIGINYYSARYASAYPADYKIPTPPSYLTDAYVNVTSCFRLVIYLPKRTLRCYTLHKGKV >ONH95607 pep chromosome:Prunus_persica_NCBIv2:G7:11581755:11588189:-1 gene:PRUPE_7G080500 transcript:ONH95607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYINSMQEEHQKPSPLVRLGYVNAITLFALMCGASLWLCIGKYQILHWEKPSLRIDCPNLNRTNFDSLVPDFIFGAATSSYQVEGAANEDGRGPSIWDSFTHNHPEKIADQSNGDVAIDEYHRYKEDVEIIKNMSMEVYRFSISWSRVIPNGTGQPNPKGIEYYNNLINEILKKGMKPYVTLFHWDLPQALQEKYGGFLSPLIVDDYRAYVELCYKEFGDRVLYWVTANEPYTVSNHGYAIGVHAPGRCSYWYDQTCLGGNSGIEPYLVTHHQLLAHVAAVKLYRDKYQAFQKGVIGITVNTYWFVPTSKAKHDIDAAFRALDFMFGWFMDPLISGDYPHSMRSLVGKRLPKFTDEESKLLKGSYDFIGINYYSARYASAYPADYKIPTPPSYLTDAYVNVTTEFNGVPIGPKAASDWLYIYPKGLYDVILYTKEKYNNPIMYITENGVDEFNNNKVPLQIALKDPDRSYYYYHHLCYLQAAIKEGANVQGYFAWSFLDNFEWNSGYTVRFGINYVDYANGLKRYPKDSMQWFQSFLKRS >ONH95612 pep chromosome:Prunus_persica_NCBIv2:G7:11582664:11588009:-1 gene:PRUPE_7G080500 transcript:ONH95612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTNTRYKCTSRLYINSMQEEHQKPSPLVRLGYVNAITLFALMCGASLWLCIGKYQILHWEKPSLRIDCPNLNRTNFDSLVPDFIFGAATSSYQVEGAANEDGRGPSIWDSFTHNHPEKIADQSNGDVAIDEYHRYKEDVEIIKNMSMEVYRFSISWSRVIPNGTGQPNPKGIEYYNNLINEILKKGMKPYVTLFHWDLPQALQEKYGGFLSPLIVDDYRAYVELCYKEFGDRVLYWVTANEPYTVSNHGYAIGVHAPGRCSYWYDQTCLGGNSGIEPYLVTHHQLLAHVAAVKLYRDKYQAFQKGVIGITVNTYWFVPTSKAKHDIDAAFRALDFMFGWQVFMDPLISGDYPHSMRSLVGKRLPKFTDEESKLLKGSYDFIGINYYSARYASAYPADYKIPTPPSYLTDAYVNVTSCFRLVIYLPKRTLRCYTLHKGKV >ONH95604 pep chromosome:Prunus_persica_NCBIv2:G7:11581755:11588186:-1 gene:PRUPE_7G080500 transcript:ONH95604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTNTRYKCTSRLYINSMQEEHQKPSPLVRLGYVNAITLFALMCGASLWLCIGKYQILHWEKPSLRIDCPNLNRTNFDSLVPDFIFGAATSSYQVEGAANEDGRGPSIWDSFTHNHPEKIADQSNGDVAIDEYHRYKEDVEIIKNMSMEVYRFSISWSRVIPNGTGQPNPKGIEYYNNLINEILKKGMKPYVTLFHWDLPQALQEKYGGFLSPLIVDDYRAYVELCYKEFGDRVLYWVTANEPYTVSNHGYAIGVHAPGRCSYWYDQTCLGGNSGIEPYLVTHHQLLAHVAAVKLYRDKYQAFQKGVIGITVNTYWFMDPLISGDYPHSMRSLVGKRLPKFTDEESKLLKGSYDFIGINYYSARYASAYPADYKIPTPPSYLTDAYVNVTTEFNGVPIGPKAASDWLYIYPKGLYDVILYTKEKYNNPIMYITENGVDEFNNNKVPLQIALKDPDRSYYYYHHLCYLQAAIKEGANVQGYFAWSFLDNFEWNSGYTVRFGINYVDYANGLKRYPKDSMQWFQSFLKRS >ONH95605 pep chromosome:Prunus_persica_NCBIv2:G7:11581755:11588216:-1 gene:PRUPE_7G080500 transcript:ONH95605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTNTRYKCTSRLYINSMQEEHQKPSPLVRLGYVNAITLFALMCGASLWLCIGKYQILHWEKPSLRIDCPNLNRTNFDSLVPDFIFGAATSSYQVEGAANEDGRGPSIWDSFTHNHPEKIADQSNGDVAIDEYHRYKEDVEIIKNMSMEVYRFSISWSRVIPNGTGQPNPKGIEYYNNLINEILKKGMKPYVTLFHWDLPQALQEKYGGFLSPLIVDDYRAYVELCYKEFGDRVLYWVTANEPYTVSNHGYAIGVHAPGRCSYWYDQTCLGGNSGIEPYLVTHHQLLAHVAAVKLYRDKYQAFQKGVIGITVNTYWFVPTSKAKHDIDAAFRALDFMFGWFMDPLISGDYPHSMRSLVGKRLPKFTDEESKLLKGSYDFIGINYYSARYASAYPADYKIPTPPSYLTDAYVNVTTEFNGVPIGPKAASDWLYIYPKGLYDVILYTKEKYNNPIMYITENGVDEFNNNKVPLQIALKDPDRSYYYYHHLCYLQAAIKEGANVQGYFAWSFLDNFEWNSGYTVRFGINYVDYANGLKRYPKDSMQWFQSFLKRS >ONH95611 pep chromosome:Prunus_persica_NCBIv2:G7:11581755:11588186:-1 gene:PRUPE_7G080500 transcript:ONH95611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTTNTRYKCTSRLYINSMQEEHQKPSPLVRLGYVNAITLFALMCGASLWLCIGKYQILHWEKPSLRIDCPNLNRTNFDSLVPDFIFGAATSSYQVEGAANEDGRGPSIWDSFTHNHPEKIADQSNGDVAIDEYHRYKEDVEIIKNMSMEVYRFSISWSRVIPNGTGQPNPKGIEYYNNLINEILKKGMKPYVTLFHWDLPQALQEKYGGFLSPLIVDDYRAYVELCYKEFGDRVLYWVTANEPYTVSNHGYAIGVHAPGRCSYWYDQTCLGGNSGIEPYLVTHHQLLAHVAAVKLYRDKYQAFQKGVIGITVNTYWFVPTSKAKHDIDAAFRALDFMFGWFMDPLISGDYPHSMRSLVGKRLPKFTDEESKLLKGSYDFIGINYYSARYASAYPADYKIPTPPSYLTDAYVNVTSCFRLVIYLPKRTLRCYTLHKGKV >ONH97256 pep chromosome:Prunus_persica_NCBIv2:G7:17669843:17671259:-1 gene:PRUPE_7G180200 transcript:ONH97256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVYQVGSTKIAQNQIELDRCPAMKRNLEEHSEIESKPSDDRVGSTQIEQNQMELDRYPAMKRIRGIESKPSDRVGPTVARVVGRLGEEYIEIISNPSDDFDCRMLERNPYEWQFGVRGASGTEFEGGIYHGRIQFPKEYPSKPPSFTLLTENGRFQTHKKIRIRRLDDWEPSWRVRDALGALIDEMPTYPDGELGSVEYNKEERRDLAIKSRVAAPKCGTSERQKLSAEIHKYLLSKSPPVPVPQLIPSQASNGTGGGYVVNGGYVVSGNTFHATNSNGSNKICNIKDKKGPPSSACKPADKPADGEMENGWSPTTHKLIHNHGTHHFNGNANESNRVKDKTS >ONH94165 pep chromosome:Prunus_persica_NCBIv2:G7:242807:248763:1 gene:PRUPE_7G001900 transcript:ONH94165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASTSVRAASRSSPSAIKRGSFVWFSCLAQNIFNLSLPTIARFRQSLYCNMCMQRQRYSVLTSFLEVPYVSSSFDSSLVRRSNIFDCQSVLRNCPPARCMSSTSIELKTKNDVVRFSFGKPLDKTGSSTKGKKMAKRAEVSKKAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLMKFEVAKAPADIHDPEILTEEEKHYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKPGQVQEYAQELARLSKGIVIDIKPNNTVIFYRGKNYVQPKVMSPADTLSKNKVK >ONH94163 pep chromosome:Prunus_persica_NCBIv2:G7:242807:248763:1 gene:PRUPE_7G001900 transcript:ONH94163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASTSVRAASRSSPSAIKRGSFVWFSCLAQNIFNLSLPTIARFRQSLYCNMCMQRQRYSVLTSFLEVPYVSSSFDSSLVRRSNIFDCQSVLRNCPPARCMSSTSIELKTKNDVVRFSFGKPLDKTGSSTKGKKMAKRAEVSKKAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLMKFEVAKAPADIHDPEILTEEEKHYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKPGQVQEYAQELARLSKGIVIDIKPNNTVIFYRGKNYVQPKVMSPADTLSKNKALEKYKYEQSLEHTSQFIEKLGKELEEYHKHLARFKKVNENATQVFDQHMNDKLAS >ONH94168 pep chromosome:Prunus_persica_NCBIv2:G7:243360:246183:1 gene:PRUPE_7G001900 transcript:ONH94168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASTSVRAASRSSPSAIKRGSFVWFSCLAQNIFNLSLPTIARFRQSLYCNMCMQRQRYSVLTSFLEVPYVSSSFDSSLVRRSNIFDCQSVLRNCPPARCMSSTSIELKTKNDVVRFSFGKPLDKTGSSTKGKKMAKRAEVSKKAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLMKFEVAKAPADIHDPEILTEEEKHYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKPGQVQEYAQELARLSKGIVIDIKPNNTVIFYRGKNYVQPKVMSPADTLSKNKVK >ONH94166 pep chromosome:Prunus_persica_NCBIv2:G7:242806:247488:1 gene:PRUPE_7G001900 transcript:ONH94166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASTSVRAASRSSPSAIKRGSFVWFSCLAQNIFNLSLPTIARFRQSLYCNMCMQRQRYSVLTSFLEVPYVSSSFDSSLVRRSNIFDCQSVLRNCPPARCMSSTSIELKTKNDVVRFSFGKPLDKTGSSTKGKKMAKRAEVSKKAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLMKFEVAKAPADIHDPEILTEEEKHYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKPGQVQEYAQELARLSKGIVIDIKPNNTVIFYRGKNYVQPKVMSPADTLSKNKVK >ONH94164 pep chromosome:Prunus_persica_NCBIv2:G7:242807:248763:1 gene:PRUPE_7G001900 transcript:ONH94164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASTSVRAASRSSPSAIKRGSFVWFSCLAQNIFNLSLPTIARFRQSLYCNMCMQRQRYSVLTSFLEVPYVSSSFDSSLVRRSNIFDCQSVLRNCPPARCMSSTSIELKTKNDVVRFSFGKPLDKTGSSTKGKKMAKRAEVSKKAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLMKFEVAKAPADIHDPEILTEEEKHYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKPGQVQEYAQELARLSKGIVIDIKPNNTVIFYRGKNYVQPKVMSPADTLSKNKALEKYKYEQSLEHTSQFIEKLGKELEEYHKHLARFKKVNENATQVFDQHMNDKLAS >ONH94167 pep chromosome:Prunus_persica_NCBIv2:G7:242807:246406:1 gene:PRUPE_7G001900 transcript:ONH94167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASTSVRAASRSSPSAIKRGSFVWFSCLAQNIFNLSLPTIARFRQSLYCNMCMQRQRYSVLTSFLEVPYVSSSFDSSLVRRSNIFDCQSVLRNCPPARCMSSTSIELKTKNDVVRFSFGKPLDKTGSSTKGKKMAKRAEVSKKAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLMKFEVAKAPADIHDPEILTEEEKHYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKPGQVQEYAQELARLSKGIVIDIKPNNTVIFYRGKNYVQPKVMSPADTLSKNKVK >ONH95378 pep chromosome:Prunus_persica_NCBIv2:G7:10506362:10508481:-1 gene:PRUPE_7G067100 transcript:ONH95378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSDHLAHERSKAQFDVEKMKIVWAGSLYAFQVSNRIARLVAGDPAFRKENRAVLSRRELFKNTLRKAAHAWKRITELGLSEEEASWLRFYVDELSYAYVHWGMFVPAIKGSGTEDQQNKWLPLATNMQIIGTYAQTELGHGSNVRGLETTASFDPKTDEFIIHSPTLTSSKWWPGGLGKIATHAVVYARLITDGQDHGVHGFIVQLRSLDDHLPLPGITVGDIGMKFGSGAFNSIDNGFVRFDHVHIPRNQMLMRVSQVTREGKYVHSNVPRQLVYGAMVYVRQIIVVDASIALSRAVCIAARYSAVRRQFGSENHEISGTRVETQVIDYKTQQSRLFPLLASAYAFRFIGEWLKWLYTDLTQKLEANDFSTLPEAHACTAGLKSLTTSAAADGIEECRKLCGGHGYLTSSGLPELYAVFVPACTYEGDNIVLLLQVARFLMKTISKLPSGRNYKLSGATAYMGHMEHYLIKYSCNVQKAEDWLKPNTILEAFEARAIRMCFACAQNLTKFADQSEGFSELSTDLVEAAIAHCQLIVVSKFIKKLRQNIEGNGVKQQLETLCYIYALHLIHKNSGDFLSTGCLNPKQASLANDQLRSLYAKVRPNVIALVDAFNYSDHFLGSVLGCYDGNVYPRLYEEACKDPLNDSVVADGYGEYIQPLLNQQLLNARL >ONH95806 pep chromosome:Prunus_persica_NCBIv2:G7:12405377:12409006:1 gene:PRUPE_7G090600 transcript:ONH95806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQIYGRSIFFLIVFAIASLSTSTLAALPNNPQPKSHSPTTSSSSTKAAAATTTAQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGNHNITIFAPKNEALERQLDPEFKRFLLEPGNLKSLQTLLMFHIIPNRIGSTQWPHPGSGRHHQTLCSSHERLHLATHKNNSRKSVNSAQIIRPDDVTRPDGVIHGIERLLIPRSVEDDFNRRRNLRTISAILPEGAPEVDPRTHRLKKPAAPVPAGAPPVLPVYDALAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIVYYHIIPEYQTEESMYNSVRRFGKVRYDTLRLPHKVVAQEADGSVKFGQGDVSAYLFDPDIYTDGRISVQGIDGVLFPFEEEEVKAEKKTAPVVKVAAKPRRGKLMEVACRMLGAFGHDSHFSTCQ >ONH95805 pep chromosome:Prunus_persica_NCBIv2:G7:12405497:12408518:1 gene:PRUPE_7G090600 transcript:ONH95805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQIYGRSIFFLIVFAIASLSTSTLAALPNNPQPKSHSPTTSSSSTKAAAATTTAQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGNHNITIFAPKNEALERQLDPEFKRFLLEPGNLKSLQTLLMFHIIPNRIGSTQWPHPGSGRHHQTLCSSHERLHLATHKNNSRKSVNSAQIIRPDDVTRPDGVIHGIERLLIPRSVEDDFNRRRNLRTISAILPEGAPEVDPRTHRLKKPAAPVPAGAPPVLPVYDALAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIVYYHIIPEYQTEESMYNSVRRFGKVRYDTLRLPHKVVAQEADGSVKFGQGDVSAYLFDPDIYTDGRISVQGIDGVLFPFEEEEVKAEKKTAPVVKVAAKPRRAGKLMEVACRMLGAFGHDSHFSTCQ >ONH98618 pep chromosome:Prunus_persica_NCBIv2:G7:21544859:21548402:1 gene:PRUPE_7G257800 transcript:ONH98618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQKIEGDESVLLRVTHSNLKTFSADIRFSLQSSVEYVKDKLWRKCGTSVDSMSIHLYDDANTQVSVLNDNSKPLGFYSPHDGYRLHVIDLDPSSVTSGGWLEDTSLVEKYTISQEAYEKRDGTFRKFKDKLASQNPSAFENKIPENNMEDLCVNIKVGDRCEVQPGDKRGVVKFVGRAESLAPGFWIGVQYDEPLGKHDGMVKGTRYFNCLPLHGGIVRPDKVKVGDYPERDPFEEDEI >ONH97049 pep chromosome:Prunus_persica_NCBIv2:G7:17035284:17036874:-1 gene:PRUPE_7G166700 transcript:ONH97049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETDTTRSGSTPNGSAPDPPEPRKVALITGITGQDGSYLTEFLLNKGYDVHGLIRRSSNFNTQRINHIYIDPHNAHKARMKLHYADLTDASSLRRWIDTIAPNEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIAATGRTDIKYYQAGSSEMFGATPPPQSETTPFHPRSPYAVSKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIKVGLQSKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLEASFGYVGLNWRDHVLIDKRYLRPSEVDNLKGDATKAKKELGWKPKVGFQQLVKMMVDEDVELAKREKVLVDAGYMDAQQQP >ONH97317 pep chromosome:Prunus_persica_NCBIv2:G7:17812470:17821096:-1 gene:PRUPE_7G183500 transcript:ONH97317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGVKPLPEAARSSMRSGVILYDLTSVVEELVFNSLDAGATKVSVFIGVGTCYVKVVDDGFGITRDGLVLVGERYATSKFDHSPGTDSASGSFGFRGEALASISDLSLLEILTKASGRPNGYRKVMKGCKCLYLGIDDDRKDVGTTVVVRDLFYNQPVRRKYMQSSPKKVLHAVVKCVHRIALVHSKVSFKLIDIESEDELLRTISSPSPVALLKRTVGIDVSTALHELNISDGEIELSGYISSPCNSLAFKAFQYVYINSRFICKGPIHKLLNQLASNSECWDPGKDVDVSQNRKRSRPQSLPAYFLNLSCPRSFYDLTFEPSKTYVEFKDWVPVLTFIDKAIQNFWKEKITDDLLDGDLSELSKFGKKRSRLQNCQASPDLMEMLIKEDNHTSQKRHVRTSYGYLHENTKDFDDFQKQHIEIEFGHHTDYSFQSRDDHLAKVMLTATPKKEKHPSMPDINFFPEEDYIMEYRSAAAEGSSNVDDNIFSSSWQDEPFKLDPSVGNGSTGSGVSCGLNEFGTDAEFTHDLVQPFLRSCSSKGRFPSERDLCTDGGLKFHYDGFRNKRRRGGFYNSVESPEIDGSKSCDFVSRTLWPEEESSVQPFPSVITKFDLYTEFDSPSRDFIKSIPRYGEHFGGENSFMNAENVVSCHKTLINDLCSVTSNSLSQSTYLDFEPFSNINAVEGHYRSVKRDTNKYFVDGEEKDCTFSYDIISKSSSREHCNTHTDRELEFNDYAGSRKFFQPHNLDGEFSPEGPDILADETDWSRQYSHCNDNMGIDMYKRQKDQFEDQDCLKNHVSIGRSKRSHSAPPFYRCKRRYFTLSHPLTTRAGKLDAQNFHNAATYPEASKMKDLHQPPDGCHLNLKLSAVEDISADDRYQESQDFKAGVNKHEVEMFEQSKCSGIQATAPIKEFISTDQDSLNCGTKWRNCCPQIMNASKMQGLHDQNSILDISSGFLHLAADSLVPESITKNCLSDCRVLQQVDKKYIAVMAGRTLAIIDQHAADERIRLEELRQKVLSGEAKTITFLDVEQELVLPEIGYQLLHNYAKPVEEWGWLCNIQAEGSGSFKRNLNLLHRQPTAITLIAVPCILGVNLSDSDLMEFLQQLADTDGSSTMPPSVLRILNSKACRGAIMFGDSLLHSECSLIVEELKQTSLCFQCAHGRPTTAPLVNLEALRKHIAKMASLSDGEDQLWHGLRRHELSLERAEKRLSLSKS >ONH97315 pep chromosome:Prunus_persica_NCBIv2:G7:17812470:17821053:-1 gene:PRUPE_7G183500 transcript:ONH97315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGVKPLPEAARSSMRSGVILYDLTSVVEELVFNSLDAGATKVSVFIGVGTCYVKVVDDGFGITRDGLVLVGERYATSKFDHSPGTDSASGSFGFRGEALASISDLSLLEILTKASGRPNGYRKVMKGCKCLYLGIDDDRKDVGTTVVVRDLFYNQPVRRKYMQSSPKKVLHAVVKCVHRIALVHSKVSFKLIDIESEDELLRTISSPSPVALLKRTVGIDVSTALHELNISDDINSRFICKGPIHKLLNQLASNSECWDPGKDVDVSQNRKRSRPQSLPAYFLNLSCPRSFYDLTFEPSKTYVEFKDWVPVLTFIDKAIQNFWKEKITDDLLDGDLSELSKFGKKRSRLQNCQASPDLMEMLIKEDNHTSQKRHVRTSYGYLHENTKDFDDFQKQHIEIEFGHHTDYSFQSRDDHLAKVMLTATPKKEKHPSMPDINFFPEEDYIMEYRSAAAEGSSNVDDNIFSSSWQDEPFKLDPSVGNGSTGSGVSCGLNEFGTDAEFTHDLVQPFLRSCSSKGRFPSERDLCTDGGLKFHYDGFRNKRRRGGFYNSVESPEIDGSKSCDFVSRTLWPEEESSVQPFPSVITKFDLYTEFDSPSRDFIKSIPRYGEHFGGENSFMNAENVVSCHKTLINDLCSVTSNSLSQSTYLDFEPFSNINAVEGHYRSVKRDTNKYFVDGEEKDCTFSYDIISKSSSREHCNTHTDRELEFNDYAGSRKFFQPHNLDGEFSPEGPDILADETDWSRQYSHCNDNMGIDMYKRQKDQFEDQDCLKNHVSIGRSKRSHSAPPFYRCKRRYFTLSHPLTTRAGKLDAQNFHNAATYPEASKMKDLHQPPDGCHLNLKLSAVEDISADDRYQESQDFKAGVNKHEVEMFEQSKCSGIQATAPIKEFISTDQDSLNCGTKWRNCCPQIMNASKMQGLHDQNSILDISSGFLHLAADSLVPESITKNCLSDCRVLQQVDKKYIAVMAGRTLAIIDQHAADERIRLEELRQKVLSGEAKTITFLDVEQELVLPEIGYQLLHNYAKPVEEWGWLCNIQAEGSGSFKRNLNLLHRQPTAITLIAVPCILGVNLSDSDLMEFLQQLADTDGSSTMPPSVLRILNSKACRGAIMFGDSLLHSECSLIVEELKQTSLCFQCAHGRPTTAPLVNLEALRKHIAKMASLSDGEDQLWHGLRRHELSLERAEKRLSLSKS >ONH97319 pep chromosome:Prunus_persica_NCBIv2:G7:17812950:17820536:-1 gene:PRUPE_7G183500 transcript:ONH97319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGVKPLPEAARSSMRSGVILYDLTSVVEELVFNSLDAGATKVSVFIGVGTCYVKVVDDGFGITRDGLVLVGERYATSKFDHSPGTDSASGSFGFRGEALASISDLSLLEILTKASGRPNGYRKVMKGCKCLYLGIDDDRKDVGTTVVVRDLFYNQPVRRKYMQSSPKKVLHAVVKCVHRIALVHSKVSFKLIDIESEDELLRTISSPSPVALLKRTVGIDVSTALHELNISDGEIELSGYISSPCNSLAFKAFQYVYINSRFICKGPIHKLLNQLASNSECWDPGKDVDVSQNRKRSRPQSLPAYFLNLSCPRSFYDLTFEPSKTYVEFKDWVPVLTFIDKAIQNFWKEKITDGESGCHGADMVGEDQMWKKDLLDGDLSELSKFGKKRSRLQNCQASPDLMEMLIKEDNHTSQKRHVRTSYGYLHENTKDFDDFQKQHIEIEFGHHTDYSFQSRDDHLAKVMLTATPKKEKHPSMPDINFFPEEDYIMEYRSAAAEGSSNVDDNIFSSSWQDEPFKLDPSVGNGSTGSGVSCGLNEFGTDAEFTHDLVQPFLRSCSSKGRFPSERDLCTDGGLKFHYDGFRNKRRRGGFYNSVESPEIDGSKSCDFVSRTLWPEEESSVQPFPSVITKFDLYTEFDSPSRDFIKSIPRYGEHFGGENSFMNAENVVSCHKTLINDLCSVTSNSLSQSTYLDFEPFSNINAVEGHYRSVKRDTNKYFVDGEEKDCTFSYDIISKSSSREHCNTHTDRELEFNDYAGSRKFFQPHNLDGEFSPEGPDILADETDWSRQYSHCNDNMGIDMYKRQKDQFEDQDCLKNHVSIGRSKRSHSAPPFYRCKRRYFTLSHPLTTRAGKLDAQNFHNAATYPEASKMKDLHQPPDGCHLNLKLSAVEDISADDRYQESQDFKAGVNKHEVEMFEQSKCSGIQATAPIKEFISTDQDSLNCGTKWRNCCPQIMNASKMQGLHDQNSILDISSGFLHLAADSLVPESITKNCLSDCRVLQQVDKKYIAVMAGRTLAIIDQHAADERIRLEELRQKVLSGEAKTITFLDVEQELVLPEIGYQLLHNYAKPVEEWGWLCNIQAEGSGSFKRNLNLLHRQPTAITLIAVPCILGVNLSDSDLMEFLQQLADTDGSSTMPPSVLRILNSKACRGAIMFGDSLLHSECSLIVEELKQTSLCFQCAHGRPTTAPLVNLEALRKHIAKMASLSDGEDQLWHGLRRHELSLERAEKRLSLSKS >ONH97316 pep chromosome:Prunus_persica_NCBIv2:G7:17812950:17820536:-1 gene:PRUPE_7G183500 transcript:ONH97316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGVKPLPEAARSSMRSGVILYDLTSVVEELVFNSLDAGATKVSVFIGVGTCYVKVVDDGFGITRDGLVLVGERYATSKFDHSPGTDSASGSFGFRGEALASISDLSLLEILTKASGRPNGYRKVMKGCKCLYLGIDDDRKDVGTTVVVRDLFYNQPVRRKYMQSSPKKVLHAVVKCVHRIALVHSKVSFKLIDIESEDELLRTISSPSPVALLKRTVGIDVSTALHELNISDDINSRFICKGPIHKLLNQLASNSECWDPGKDVDVSQNRKRSRPQSLPAYFLNLSCPRSFYDLTFEPSKTYVEFKDWVPVLTFIDKAIQNFWKEKITDGESGCHGADMVGEDQMWKKDLLDGDLSELSKFGKKRSRLQNCQASPDLMEMLIKEDNHTSQKRHVRTSYGYLHENTKDFDDFQKQHIEIEFGHHTDYSFQSRDDHLAKVMLTATPKKEKHPSMPDINFFPEEDYIMEYRSAAAEGSSNVDDNIFSSSWQDEPFKLDPSVGNGSTGSGVSCGLNEFGTDAEFTHDLVQPFLRSCSSKGRFPSERDLCTDGGLKFHYDGFRNKRRRGGFYNSVESPEIDGSKSCDFVSRTLWPEEESSVQPFPSVITKFDLYTEFDSPSRDFIKSIPRYGEHFGGENSFMNAENVVSCHKTLINDLCSVTSNSLSQSTYLDFEPFSNINAVEGHYRSVKRDTNKYFVDGEEKDCTFSYDIISKSSSREHCNTHTDRELEFNDYAGSRKFFQPHNLDGEFSPEGPDILADETDWSRQYSHCNDNMGIDMYKRQKDQFEDQDCLKNHVSIGRSKRSHSAPPFYRCKRRYFTLSHPLTTRAGKLDAQNFHNAATYPEASKMKDLHQPPDGCHLNLKLSAVEDISADDRYQESQDFKAGVNKHEVEMFEQSKCSGIQATAPIKEFISTDQDSLNCGTKWRNCCPQIMNASKMQGLHDQNSILDISSGFLHLAADSLVPESITKNCLSDCRVLQQVDKKYIAVMAGRTLAIIDQHAADERIRLEELRQKVLSGEAKTITFLDVEQELVLPEIGYQLLHNYAKPVEEWGWLCNIQAEGSGSFKRNLNLLHRQPTAITLIAVPCILGVNLSDSDLMEFLQQLADTDGSSTMPPSVLRILNSKACRGAIMFGDSLLHSECSLIVEELKQTSLCFQCAHGRPTTAPLVNLEALRKHIAKMASLSDGEDQLWHGLRRHELSLERAEKRLSLSKS >ONH97318 pep chromosome:Prunus_persica_NCBIv2:G7:17812470:17821000:-1 gene:PRUPE_7G183500 transcript:ONH97318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGVKPLPEAARSSMRSGVILYDLTSVVEELVFNSLDAGATKVSVFIGVGTCYVKVVDDGFGITRDGLVLVGERYATSKFDHSPGTDSASGSFGFRGEALASISDLSLLEILTKASGRPNGYRKVMKGCKCLYLGIDDDRKDVGTTVVVRDLFYNQPVRRKYMQSSPKKVLHAVVKCVHRIALVHSKVSFKLIDIESEDELLRTISSPSPVALLKRTVGIDVSTALHELNISDGEIELSGYISSPCNSLAFKAFQYVYINSRFICKGPIHKLLNQLASNSECWDPGKDVDVSQNRKRSRPQSLPAYFLNLSCPRSFYDLTFEPSKTYVEFKDWVPVLTFIDKAIQNFWKEKITDDLLDGDLSELSKFGKKRSRLQNCQASPDLMEMLIKEDNHTSQKRHVRTSYGYLHENTKDFDDFQKQHIEIEFGHHTDYSFQSRDDHLAKVMLTATPKKEKHPSMPDINFFPEEDYIMEYRSAAAEGSSNVDDNIFSSSWQDEPFKLDPSVGNGSTGSGVSCGLNEFGTDAEFTHDLVQPFLRSCSSKGRFPSERDLCTDGGLKFHYDGFRNKRRRGGFYNSVESPEIDGSKSCDFVSRTLWPEEESSVQPFPSVITKFDLYTEFDSPSRDFIKSIPRYGEHFGGENSFMNAENVVSCHKTLINDLCSVTSNSLSQSTYLDFEPFSNINAVEGHYRSVKRDTNKYFVDGEEKDCTFSYDIISKSSSREHCNTHTDRELEFNDYAGSRKFFQPHNLDGEFSPEGPDILADETDWSRQYSHCNDNMGIDMYKRQKDQFEDQDCLKNHVSIGRSKRSHSAPPFYRCKRRYFTLSHPLTTRAGKLDAQNFHNAATYPEASKMKDLHQPPDGCHLNLKLSAVEDISADDRYQESQDFKAGVNKHEVEMFEQSKCSGIQATAPIKEFISTDQDSLNCGTKWRNCCPQIMNASKMQGLHDQNSILDISSGFLHLAADSLVPESITKNCLSDCRVLQQVDKKYIAVMAGRTLAIIDQHAADERIRLEELRQKVLSGEAKTITFLDVEQELVLPEIGYQLLHNYAKPVEEWGWLCNIQAEGSGSFKRNLNLLHRQPTAITLIAVPCILGVNLSDSDLMEFLQQLADTDGSSTMPPSVLRILNSKACRGAIMFGDSLLHSECSLIVEELKQTSLCFQCAHGRPTTAPLVNLEALRKHIAKMASLSDGEDQLWHGLRRHELSLERAEKRLSLSKS >ONH97320 pep chromosome:Prunus_persica_NCBIv2:G7:17812950:17820536:-1 gene:PRUPE_7G183500 transcript:ONH97320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGVKPLPEAARSSMRSGVILYDLTSVVEELVFNSLDAGATKVSVFIGVGTCYVKVVDDGFGITRDGLVLVGERYATSKFDHSPGTDSASGSFGFRGEALASISDLSLLEILTKASGRPNGYRKVMKGCKCLYLGIDDDRKDVGTTVVVRDLFYNQPVRRKYMQSSPKKVLHAVVKCVHRIALVHSKVSFKLIDIESEDELLRTISSPSPVALLKRTVGIDVSTALHELNISDGEIELSGYISSPCNSLAFKAFQYVYINSRFICKGPIHKLLNQLASNSECWDPGKDVDVSQNRKRSRPQSLPAYFLNLSCPRSFYDLTFEPSKTYVEFKDWVPVLTFIDKAIQNFWKEKITDGESGCHGADMVGEDQMWKKDLLDGDLSELSKFGKKRSRLQNCQASPDLMEMLIKEDNHTSQKRHVRTSYGYLHENTKDFDDFQKQHIEIEFGHHTDYSFQSRDDHLAKVMLTATPKKEKHPSMPDINFFPEEDYIMEYRSAAAEGSSNVDDNIFSSSWQDEPFKLDPSVGNGSTGSGVSCGLNEFGTDAEFTHDLVQPFLRSCSSKGRFPSERDLCTDGGLKFHYDGFRNKRRRGGFYNSVESPEIDGSKSCDFVSRTLWPEEESSVQPFPSVITKFDLYTEFDSPSRDFIKSIPRYGEHFGGENSFMNAENVVSCHKTLINDLCSVTSNSLSQSTYLDFEPFSNINAVEGHYRSVKRDTNKYFVDGEEKDCTFSYDIISKSSSREHCNTHTDRELEFNDYAGSRKFFQPHNLDGEFSPEGPDILADETDWSRQYSHCNDNMGIDMYKRQKDQFEDQDCLKNHVSIGRSKRSHSAPPFYRCKRRYFTLSHPLTTRAGKLDAQNFHNAATYPEASKMKDLHQPPDGCHLNLKLSAVEDISADDRYQESQDFKAGVNKHEVEMFEQSKCSGIQATAPIKEFISTDQDSLNCGTKWRNCCPQIMNASKMQGLHDQNSILDISSGFLHLAADSLVPESITKNCLSDCRVLQQVDKKYIAVMAGRTLAIIDQHAADERIRLEELRQKVLSGEAKTITFLDVEQELVLPEIGYQLLHNYAKPVEEWGWLCNIQAEGSGSFKRNLNLLHRQPTAITLIAVPCILGVNLSDSDLMEFLQQLADTDGSSTMPPSVLRILNSKACRGAIMFGDSLLHSECSLIVEELKQTSLCFQCAHGRPTTAPLVNLEALRKHIAKMASLSDGEDQLWHGLRRHELSLERAEKRLSLSKS >ONH97254 pep chromosome:Prunus_persica_NCBIv2:G7:17664213:17668152:-1 gene:PRUPE_7G180100 transcript:ONH97254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALKRGRVKRWIFESITEKFTGAVPNNSDDFKSRMLRRNPYIWLFETTGAAAPKSGNSECQKVVDMIPVPPQQASREHQHSQNDGKHGGSMKRGRVKRQIFESIIENFTGAVPNNSDDFKSCMLRWNPYVWLFETTGAAAPEYGNSECQEVVDMIPVPPQQASREHEHSQNDGKHGGSVFNTIISGNIIYANNSTRVGISHSNSMNEVREIRNLVLDFGCQRIKDN >ONH97253 pep chromosome:Prunus_persica_NCBIv2:G7:17664262:17667959:-1 gene:PRUPE_7G180100 transcript:ONH97253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALKRGRVKRWIFESITEKFTGAVPNNSDDFKSRMLRRNPYIWLFETTGAAAPKSGNSECQKVVDMIPVPPQQASREHQHSQNDGKHGGSMKRGRVKRQIFESIIENFTGAVPNNSDDFKSCMLRWNPYASREHEHSQNDGKHGGSVFNTIISGNIIYANNSTRVGISHSNSMNEVREIRNLVLDFGCQRIKDN >ONH96517 pep chromosome:Prunus_persica_NCBIv2:G7:15215588:15225780:-1 gene:PRUPE_7G134200 transcript:ONH96517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPGVGTKFVSVNLNKSYGQPSHHPPHPSSYGSNRGRPGSHGSGGMVVLSRPRSANKAGSKLSVPPPLNLPSLRKEHERFDSLGSGGGAAGGGGSGSGSRPSSSGVGWTKPTAVALQEKEGAGDNVGADGVDQTLHGVDGVSRGIGSGTSLYMPPSARSGSVGPLPTASALSHQPTEKALLLRGEDFPSLQAALPSSSGPSQKQKDGLNQKQRQVVHDELLNEQRDSSHSSLLVDMRPQVQPSRRGIGNGLKESGSESKGLGGNRASEQVRKQDEYFPGPLPLVRLNPRSDWADDERDTSHGFTDRGRDHGFSKTEPYWDRDFDMPRVSVLPHKPVHNPSDRRGLHDNEAGKNSSSEVPKVDPYSRDARTPSREGREGNSWRNTNLPKDGISGQVGNERNGFGARPSSVNRETSKENKYSLTTVQENAQDDFVRRDVGYRHGGRQPWNNYTDSYASRGAEWNKRDRYGSEQHNRYRGDALQNSSVSKPPYSLGGKGLPVNDPLLNFGREKRSFSNSEKPYVEDPFMKDFGGTGFDSRDPFSGGLLGVVKKKKDVIKQTDFHDPVRESFEAELERVQKMQEQERQRIVEEQERALELARREEEERMRLAREQVERQRRLEEEAREAAWRAEQEQLEAMRRAEEQRVAREEERRRLFMEEERRKHAAKQKLLELEERIAKRKAETGKAGGNFLADADEKMSRMEKEKDVSRAADMGDWEDGERMVERITASASSDSSLNRSFEMGSRSHYSRDTSAFVDRGKPVNSWRRDVYENGNSSTLLIQDQDNGRHSPRRDLSVGGRGHLRKEFYGGGGFMSSRTYHKGGITEPHMDDITHLRGQRWNLSGDGDHYSRNMEIESEFQDNLVEKFNDVGWGQGRVHGNPYSPYPDQLYPNSDADGSYSFGRSRYSMRQPRVLPPPSLASIHKTSYRGEIDHPGPSAFPENEMEYNHAARSEPTLQSGYDTNCVENIRQPEIIDVKEENTGNEKKKLDGNTTPRCDSQSSLSVSSPPSSPTHLSHDDLDESRDSSVLSAPGDSKDVPLSGQENESLALPTNSGKENVVNASSSVSTGDDEEWAVENNEHLQEQEEYDEDEDGYEEEDEVHEGDDENIDLTHEFEGMHLEEKGSPDMMDNLVLGFNEGVEVGMPNDEFERSSRNEEGAFMVPQVLSGTVEEHGSFDGIRTDEQTLQHMDGSSLVNVGSSSRIFQETEKAMQNLVIQPNNASHMSATTDRVDHVDAASSSRPSSQHPVASSVSLNSHLLSGQAVMPTVSAVPNQTEGSVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLPLHPQVGPSLAHLHPSQPPLFQFGQLRYTSPISQGLLPMAPQSMSFVQPNLPSSFSLNQTPGGHLPIQTGQGTSQNRKNDVMLLSVDNQPGLTSRQLDVSQENVPEKINSMPAGEKAETSVMVQRGPAVSRIGDSNSRSETVFQADQRHHNSVGKNFSAFFGTRESEGQAQTGAAPSQSVFKEKDFSGPKAHGPASGGRGKKFVFTVKNSGARSFPDTEPNHVECSGFQRRHRRNMQRTEFRVRASADKRQSTGSVSSNHVGLEEKFVSGKGFGLSVRGGPRRVVMSNKPSKQMLDSEGLSPGRNNSHEIESGNRAEKGAGKDATTKSQNIPKSGEGNLKRNIHSEEDVYAPLQSGIVRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREREIKAKSRASKVPRKPRSTSKGSTASANSGKSSAATNGEAGNSIHSDFVASEGRGLANIEVSAGFNTNVVSQPLAPIGTPAVKSDVQADIRSQTIRSLNTSSLPVVSGSVKNIGRGSIIENNNKVLDNVQASLSSWGNQQVMALTQTQLEEAMKPGQFGSHGSVGEINSSVCESSMPSSSIMTKEKPFSSAANPINSLLAGEKIQFGAVTSPTILPPSSRAVSHGIGPPGPSRSDMQLSHNLSASENLLFEKEKHTTESCVHLEDCEAEAEAAASAVAVAAISSDEIVGNGLGACSVSVPDTKSFGGADIDGVAEDKAGDQQLASQSRAKQSLSVSFPADLSVETHSLRPPLPGDQQLASQSRAEESLSVSLPADLSVETPPISLWPPLPSPQNSSSQMLPHFPGGPPSHFPFYEMNPMLGGPVFAFGPHDESASTTQPQSQKSSAPASAPLGTWQQCHSGVDSFYGPPAGFTGPFISPAGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTAYIPSGKQPDWKHNPASSAMAVGEGEMNNINMVSAQRNPTNMPAPIQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSVQARWPHVPASPLQSVPISMPLQQQADGILPSKFSHGPADQSLPANRFPESRTSTAFDNSRNFPVATDATVTRFPDELGLVDRASSSSTGNSTQSAVTKSSSVSTTVDTAKTDVDQKLSTSVSGHSASSNAKSQSSMHKNNTSNQQYGHSSYYQRGGGSQKNSSGGDWSHRRTGLHGRNQSVGAEKGFPPSKMKQVYVAKQTSSGSSTAL >ONH96516 pep chromosome:Prunus_persica_NCBIv2:G7:15215588:15225300:-1 gene:PRUPE_7G134200 transcript:ONH96516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPGVGTKFVSVNLNKSYGQPSHHPPHPSSYGSNRGRPGSHGSGGMVVLSRPRSANKAGSKLSVPPPLNLPSLRKEHERFDSLGSGGGAAGGGGSGSGSRPSSSGVGWTKPTAVALQEKEGAGDNVGADGVDQTLHGVDGVSRGIGSGTSLYMPPSARSGSVGPLPTASALSHQPTEKALLLRGEDFPSLQAALPSSSGPSQKQKDGLNQKQRQVVHDELLNEQRDSSHSSLLVDMRPQVQPSRRGIGNGLKESGSESKGLGGNRASEQVRKQDEYFPGPLPLVRLNPRSDWADDERDTSHGFTDRGRDHGFSKTEPYWDRDFDMPRVSVLPHKPVHNPSDRRGLHDNEAGKNSSSEVPKVDPYSRDARTPSREGREGNSWRNTNLPKDGISGQVGNERNGFGARPSSVNRETSKENKYSLTTVQENAQDDFVRRDVGYRHGGRQPWNNYTDSYASRGAEWNKRDRYGSEQHNRYRGDALQNSSVSKPPYSLGGKGLPVNDPLLNFGREKRSFSNSEKPYVEDPFMKDFGGTGFDSRDPFSGGLLGVVKKKKDVIKQTDFHDPVRESFEAELERVQKMQEQERQRIVEEQERALELARREEEERMRLAREQVERQRRLEEEAREAAWRAEQEQLEAMRRAEEQRVAREEERRRLFMEEERRKHAAKQKLLELEERIAKRKAETGKAGGNFLADADEKMSRMEKEKDVSRAADMGDWEDGERMVERITASASSDSSLNRSFEMGSRSHYSRDTSAFVDRGKPVNSWRRDVYENGNSSTLLIQDQDNGRHSPRRDLSVGGRGHLRKEFYGGGGFMSSRTYHKGGITEPHMDDITHLRGQRWNLSGDGDHYSRNMEIESEFQDNLVEKFNDVGWGQGRVHGNPYSPYPDQLYPNSDADGSYSFGRSRYSMRQPRVLPPPSLASIHKTSYRGEIDHPGPSAFPENEMEYNHAARSEPTLQSGYDTNCVENIRQPEIIDVKEENTGNEKKKLDGNTTPRCDSQSSLSVSSPPSSPTHLSHDDLDESRDSSVLSAPGDSKDVPLSGQENESLALPTNSGKENVVNASSSVSTGDDEEWAVENNEHLQEQEEYDEDEDGYEEEDEVHEGDDENIDLTHEFEGMHLEEKGSPDMMDNLVLGFNEGVEVGMPNDEFERSSRNEEGAFMVPQVLSGTVEEHGSFDGIRTDEQTLQHMDGSSLVNVGSSSRIFQETEKAMQNLVIQPNNASHMSATTDRVDHVDAASSSRPSSQHPVASSVSLNSHLLSGQAVMPTVSAVPNQTEGSVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLPLHPQVGPSLAHLHPSQPPLFQFGQLRYTSPISQGLLPMAPQSMSFVQPNLPSSFSLNQTPGGHLPIQTGQGTSQNRKNDVMLLSVDNQPGLTSRQLDVSQENVPEKINSMPAGEKAETSVMVQRGPAVSRIGDSNSRSETVFQADQRHHNSVGKNFSAFFGTRESEGQAQTGAAPSQSVFKEKDFSGPKAHGPASGGRGKKFVFTVKNSGARSFPDTEPNHVECSGFQRRHRRNMQRTEFRVRASADKRQSTGSVSSNHVGLEEKFVSGKGFGLSVRGGPRRVVMSNKPSKQMLDSEGLSPGRNNSHEIESGNRAEKGAGKDATTKSQNIPKSGEGNLKRNIHSEEDVYAPLQSGIVRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREREIKAKSRASKVPRKPRSTSKGSTASANSGKSSAATNGEAGNSIHSDFVASEGRGLANIEVSAGFNTNVVSQPLAPIGTPAVKSDVQADIRSQTIRSLNTSSLPVVSGSVKNIGRGSIIENNNKVLDNVQASLSSWGNQQVMALTQTQLEEAMKPGQFGSHGSVGEINSSVCESSMPSSSIMTKEKPFSSAANPINSLLAGEKIQFGAVTSPTILPPSSRAVSHGIGPPGPSRSDMQLSHNLSASENLLFEKEKHTTESCVHLEDCEAEAEAAASAVAVAAISSDEIVGNGLGACSVSVPDTKSFGGADIDGVAEDKAGDQQLASQSRAKQSLSVSFPADLSVETHSLRPPLPGDQQLASQSRAEESLSVSLPADLSVETPPISLWPPLPSPQNSSSQMLPHFPGGPPSHFPFYEMNPMLGGPVFAFGPHDESASTTQPQSQKSSAPASAPLGTWQQCHSGVDSFYGPPAGFTGPFISPAGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTAYIPSGKQPDWKHNPASSAMAVGEGEMNNINMVSAQRNPTNMPAPIQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSVQARWPHVPASPLQSVPISMPLQQQADGILPSKFSHGPADQSLPANRFPESRTSTAFDNSRNFPVATDATVTRFPDELGLVDRASSSSTGNSTQSAVTKSSSVSTTVDTAKTDVDQKLSTSVSGHSASSNAKSQSSMHKNNTSNQQYGHSSYYQRGGGSQKNSSGGDWSHRRTGLHGRNQSVGAEKGFPPSKMKQVYVAKQTSSGSSTAL >ONH96520 pep chromosome:Prunus_persica_NCBIv2:G7:15216032:15225045:-1 gene:PRUPE_7G134200 transcript:ONH96520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPGVGTKFVSVNLNKSYGQPSHHPPHPSSYGSNRGRPGSHGSGGMVVLSRPRSANKAGSKLSVPPPLNLPSLRKEHERFDSLGSGGGAAGGGGSGSGSRPSSSGVGWTKPTAVALQEKEGAGDNVGADGVDQTLHGVDGVSRGIGSGTSLYMPPSARSGSVGPLPTASALSHQPTEKALLLRGEDFPSLQAALPSSSGPSQKQKDGLNQKQRQVVHDELLNEQRDSSHSSLLVDMRPQVQPSRRGIGNGLKESGSESKGLGGNRASEQVRKQDEYFPGPLPLVRLNPRSDWADDERDTSHGFTDRGRDHGFSKTEPYWDRDFDMPRVSVLPHKPVHNPSDRRGLHDNEAGKNSSSEVPKVDPYSRDARTPSREGREGNSWRNTNLPKDGISGQVGNERNGFGARPSSVNRETSKENKYSLTTVQENAQDDFVRRDVGYRHGGRQPWNNYTDSYASRGAEWNKRDRYGSEQHNRYRGDALQNSSVSKPPYSLGGKGLPVNDPLLNFGREKRSFSNSEKPYVEDPFMKDFGGTGFDSRDPFSGGLLGVVKKKKDVIKQTDFHDPVRESFEAELERVQKMQEQERQRIVEEQERALELARREEEERMRLAREQVERQRRLEEEAREAAWRAEQEQLEAMRRAEEQRVAREEERRRLFMEEERRKHAAKQKLLELEERIAKRKAETGKAGGNFLADADEKMSRMEKEKDVSRAADMGDWEDGERMVERITASASSDSSLNRSFEMGSRSHYSRDTSAFVDRGKPVNSWRRDVYENGNSSTLLIQDQDNGRHSPRRDLSVGGRGHLRKEFYGGGGFMSSRTYHKGGITEPHMDDITHLRGQRWNLSGDGDHYSRNMEIESEFQDNLVEKFNDVGWGQGRVHGNPYSPYPDQLYPNSDADGSYSFGRSRYSMRQPRVLPPPSLASIHKTSYRGEIDHPGPSAFPENEMEYNHAARSEPTLQSGYDTNCVENIRQPEIIDVKEENTGNEKKKLDGNTTPRCDSQSSLSVSSPPSSPTHLSHDDLDESRDSSVLSAPGDSKDVPLSGQENESLALPTNSGKENVVNASSSVSTGDDEEWAVENNEHLQEQEEYDEDEDGYEEEDEVHEGDDENIDLTHEFEGMHLEEKGSPDMMDNLVLGFNEGVEVGMPNDEFERSSRNEEGAFMVPQVLSGTVEEHGSFDGIRTDEQTLQHMDGSSLVNVGSSSRIFQETEKAMQNLVIQPNNASHMSATTDRVDHVDAASSSRPSSQHPVASSVSLNSHLLSGQAVMPTVSAVPNQTEGSVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLPLHPQVGPSLAHLHPSQPPLFQFGQLRYTSPISQGLLPMAPQSMSFVQPNLPSSFSLNQTPGGHLPIQTGQGTSQNRKNDVMLLSVDNQPGLTSRQLDVSQENVPEKINSMPAGEKAETSVMVQRGPAVSRIGDSNSRSETVFQADQRHHNSVGKNFSAFFGTRESEGQAQTGAAPSQSVFKEKDFSGPKAHGPASGGRGKKFVFTVKNSGARSFPDTEPNHVECSGFQRRHRRNMQRTEFRVRASADKRQSTGSVSSNHVGLEEKFVSGKGFGLSVRGGPRRVVMSNKPSKQMLDSEGLSPGRNNSHEIESGNRAEKGAGKDATTKSQNIPKSGEGNLKRNIHSEEDVYAPLQSGIVRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREREIKAKSRASKVPRKPRSTSKGSTASANSGKSSAATNGEAGNSIHSDFVASEGRGLANIEVSAGFNTNVVSQPLAPIGTPAVKSDVQADIRSQTIRSLNTSSLPVVSGSVKNIGRGSIIENNNKVLDNVQASLSSWGNQQVMALTQTQLEEAMKPGQFGSHGSVGEINSSVCESSMPSSSIMTKEKPFSSAANPINSLLAGEKIQFGAVTSPTILPPSSRAVSHGIGPPGPSRSDMQLSHNLSASENLLFEKEKHTTESCVHLEDCEAEAEAAASAVAVAAISSDEIVGNGLGACSVSVPDTKSFGGADIDGVAEDKAGDQQLASQSRAKQSLSVSFPADLSVETHSLRPPLPGQNNFLITNFIVAALPKSVEGADIDGRTAGDQQLASQSRAEESLSVSLPADLSVETPPISLWPPLPSPQNSSSQMLPHFPGGPPSHFPFYEMNPMLGGPVFAFGPHDESASTTQPQSQKSSAPASAPLGTWQQCHSGVDSFYGPPAGFTGPFISPAGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTAYIPSGKQPDWKHNPASSAMAVGEGEMNNINMVSAQRNPTNMPAPIQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSVQARWPHVPASPLQSVPISMPLQQQADGILPSKFSHGPADQSLPANRFPESRTSTAFDNSRNFPVATDATVTRFPDELGLVDRASSSSTGNSTQSAVTKSSSVSTTVDTAKTDVDQKLSTSVSGHSASSNAKSQSSMHKNNTSNQQYGHSSYYQRGGGSQKNSSGGDWSHRRTGLHGRNQSVGAEKGFPPSKMKQVYVAKQTSSGSSTAL >ONH96518 pep chromosome:Prunus_persica_NCBIv2:G7:15216032:15225045:-1 gene:PRUPE_7G134200 transcript:ONH96518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPGVGTKFVSVNLNKSYGQPSHHPPHPSSYGSNRGRPGSHGSGGMVVLSRPRSANKAGSKLSVPPPLNLPSLRKEHERFDSLGSGGGAAGGGGSGSGSRPSSSGVGWTKPTAVALQEKEGAGDNVGADGVDQTLHGVDGVSRGIGSGTSLYMPPSARSGSVGPLPTASALSHQPTEKALLLRGEDFPSLQAALPSSSGPSQKQKDGLNQKQRQVVHDELLNEQRDSSHSSLLVDMRPQVQPSRRGIGNGLKESGSESKGLGGNRASEQVRKQDEYFPGPLPLVRLNPRSDWADDERDTSHGFTDRGRDHGFSKTEPYWDRDFDMPRVSVLPHKPVHNPSDRRGLHDNEAGKNSSSEVPKVDPYSRDARTPSREGREGNSWRNTNLPKDGISGQVGNERNGFGARPSSVNRETSKENKYSLTTVQENAQDDFVRRDVGYRHGGRQPWNNYTDSYASRGAEWNKRDRYGSEQHNRYRGDALQNSSVSKPPYSLGGKGLPVNDPLLNFGREKRSFSNSEKPYVEDPFMKDFGGTGFDSRDPFSGGLLGVVKKKKDVIKQTDFHDPVRESFEAELERVQKMQEQERQRIVEEQERALELARREEEERMRLAREQVERQRRLEEEAREAAWRAEQEQLEAMRRAEEQRVAREEERRRLFMEEERRKHAAKQKLLELEERIAKRKAETGKAGGNFLADADEKMSRMEKEKDVSRAADMGDWEDGERMVERITASASSDSSLNRSFEMGSRSHYSRDTSAFVDRGKPVNSWRRDVYENGNSSTLLIQDQDNGRHSPRRDLSVGGRGHLRKEFYGGGGFMSSRTYHKGGITEPHMDDITHLRGQRWNLSGDGDHYSRNMEIESEFQDNLVEKFNDVGWGQGRVHGNPYSPYPDQLYPNSDADGSYSFGRSRYSMRQPRVLPPPSLASIHKTSYRGEIDHPGPSAFPENEMEYNHAARSEPTLQSGYDTNCVENIRQPEIIDVKEENTGNEKKKLDGNTTPRCDSQSSLSVSSPPSSPTHLSHDDLDESRDSSVLSAPGDSKDVPLSGQENESLALPTNSGKENVVNASSSVSTGDDEEWAVENNEHLQEQEEYDEDEDGYEEEDEVHEGDDENIDLTHEFEGMHLEEKGSPDMMDNLVLGFNEGVEVGMPNDEFERSSRNEEGAFMVPQVLSGTVEEHGSFDGIRTDEQTLQHMDGSSLVNVGSSSRIFQETEKAMQNLVIQPNNASHMSATTDRVDHVDAASSSRPSSQHPVASSVSLNSHLLSGQAVMPTVSAVPNQTEGSVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLPLHPQVGPSLAHLHPSQPPLFQFGQLRYTSPISQGLLPMAPQSMSFVQPNLPSSFSLNQTPGGHLPIQTGQGTSQNRKNDVMLLSVDNQPGLTSRQLDVSQENVPEKINSMPAGEKAETSVMVQRGPAVSRIGDSNSRSETVFQADQRHHNSVGKNFSAFFGTRESEGQAQTGAAPSQSVFKEKDFSGPKAHGPASGGRGKKFVFTVKNSGARSFPDTEPNHVECSGFQRRHRRNMQRTEFRVRASADKRQSTGSVSSNHVGLEEKFVSGKGFGLSVRGGPRRVVMSNKPSKQMLDSEGLSPGRNNSHEIESGNRAEKGAGKDATTKSQNIPKSGEGNLKRNIHSEEDVYAPLQSGIVRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREREIKAKSRASKVPRKPRSTSKGSTASANSGKSSAATNGEAGNSIHSDFVASEGRGLANIEVSAGFNTNVVSQPLAPIGTPAVKSDVQADIRSQTIRSLNTSSLPVVSGSVKNIGRGSIIENNNKVLDNVQASLSSWGNQQVMALTQTQLEEAMKPGQFGSHGSVGEINSSVCESSMPSSSIMTKEKPFSSAANPINSLLAGEKIQFGAVTSPTILPPSSRAVSHGIGPPGPSRSDMQLSHNLSASENLLFEKEKHTTESCVHLEDCEAEAEAAASAVAVAAISSDEIVGNGLGACSVSVPDTKSFGGADIDGVAEDKAGDQQLASQSRAKQSLSVSFPADLSVETHSLRPPLPGQNNFLITNFIVAALPKSVEGADIDGRTAGDQQLASQSRAEESLSVSLPADLSVETPPISLWPPLPSPQNSSSQMLPHFPGGPPSHFPFYEMNPMLGGPVFAFGPHDESASTTQPQSQKSSAPASAPLGTWQQCHSGVDSFYGPPAGFTGPFISPAGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTAYIPSGKQPDWKHNPASSAMAVGEGEMNNINMVSAQRNPTNMPAPIQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSVQARWPHVPASPLQSVPISMPLQQQADATDATVTRFPDELGLVDRASSSSTGNSTQSAVTKSSSVSTTVDTAKTDVDQKLSTSVSGHSASSNAKSQSSMHKNNTSNQQYGHSSYYQRGGGSQKNSSGGDWSHRRTGLHGRNQSVGAEKGFPPSKMKQVYVAKQTSSGSSTAL >ONH96515 pep chromosome:Prunus_persica_NCBIv2:G7:15215588:15225671:-1 gene:PRUPE_7G134200 transcript:ONH96515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPGVGTKFVSVNLNKSYGQPSHHPPHPSSYGSNRGRPGSHGSGGMVVLSRPRSANKAGSKLSVPPPLNLPSLRKEHERFDSLGSGGGAAGGGGSGSGSRPSSSGVGWTKPTAVALQEKEGAGDNVGADGVDQTLHGVDGVSRGIGSGTSLYMPPSARSGSVGPLPTASALSHQPTEKALLLRGEDFPSLQAALPSSSGPSQKQKDGLNQKQRQVVHDELLNEQRDSSHSSLLVDMRPQVQPSRRGIGNGLKESGSESKGLGGNRASEQVRKQDEYFPGPLPLVRLNPRSDWADDERDTSHGFTDRGRDHGFSKTEPYWDRDFDMPRVSVLPHKPVHNPSDRRGLHDNEAGKNSSSEVPKVDPYSRDARTPSREGREGNSWRNTNLPKDGISGQVGNERNGFGARPSSVNRETSKENKYSLTTVQENAQDDFVRRDVGYRHGGRQPWNNYTDSYASRGAEWNKRDRYGSEQHNRYRGDALQNSSVSKPPYSLGGKGLPVNDPLLNFGREKRSFSNSEKPYVEDPFMKDFGGTGFDSRDPFSGGLLGVVKKKKDVIKQTDFHDPVRESFEAELERVQKMQEQERQRIVEEQERALELARREEEERMRLAREQVERQRRLEEEAREAAWRAEQEQLEAMRRAEEQRVAREEERRRLFMEEERRKHAAKQKLLELEERIAKRKAETGKAGGNFLADADEKMSRMEKEKDVSRAADMGDWEDGERMVERITASASSDSSLNRSFEMGSRSHYSRDTSAFVDRGKPVNSWRRDVYENGNSSTLLIQDQDNGRHSPRRDLSVGGRGHLRKEFYGGGGFMSSRTYHKGGITEPHMDDITHLRGQRWNLSGDGDHYSRNMEIESEFQDNLVEKFNDVGWGQGRVHGNPYSPYPDQLYPNSDADGSYSFGRSRYSMRQPRVLPPPSLASIHKTSYRGEIDHPGPSAFPENEMEYNHAARSEPTLQSGYDTNCVENIRQPEIIDVKEENTGNEKKKLDGNTTPRCDSQSSLSVSSPPSSPTHLSHDDLDESRDSSVLSAPGDSKDVPLSGQENESLALPTNSGKENVVNASSSVSTGDDEEWAVENNEHLQEQEEYDEDEDGYEEEDEVHEGDDENIDLTHEFEGMHLEEKGSPDMMDNLVLGFNEGVEVGMPNDEFERSSRNEEGAFMVPQVLSGTVEEHGSFDGIRTDEQTLQHMDGSSLVNVGSSSRIFQETEKAMQNLVIQPNNASHMSATTDRVDHVDAASSSRPSSQHPVASSVSLNSHLLSGQAVMPTVSAVPNQTEGSVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLPLHPQVGPSLAHLHPSQPPLFQFGQLRYTSPISQGLLPMAPQSMSFVQPNLPSSFSLNQTPGGHLPIQTGQGTSQNRKNDVMLLSVDNQPGLTSRQLDVSQENVPEKINSMPAGEKAETSVMVQRGPAVSRIGDSNSRSETVFQADQRHHNSVGKNFSAFFGTRESEGQAQTGAAPSQSVFKEKDFSGPKAHGPASGGRGKKFVFTVKNSGARSFPDTEPNHVECSGFQRRHRRNMQRTEFRVRASADKRQSTGSVSSNHVGLEEKFVSGKGFGLSVRGGPRRVVMSNKPSKQMLDSEGLSPGRNNSHEIESGNRAEKGAGKDATTKSQNIPKSGEGNLKRNIHSEEDVYAPLQSGIVRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREREIKAKSRASKVPRKPRSTSKGSTASANSGKSSAATNGEAGNSIHSDFVASEGRGLANIEVSAGFNTNVVSQPLAPIGTPAVKSDVQADIRSQTIRSLNTSSLPVVSGSVKNIGRGSIIENNNKVLDNVQASLSSWGNQQVMALTQTQLEEAMKPGQFGSHGSVGEINSSVCESSMPSSSIMTKEKPFSSAANPINSLLAGEKIQFGAVTSPTILPPSSRAVSHGIGPPGPSRSDMQLSHNLSASENLLFEKEKHTTESCVHLEDCEAEAEAAASAVAVAAISSDEIVGNGLGACSVSVPDTKSFGGADIDGVAEDKAGDQQLASQSRAKQSLSVSFPADLSVETHSLRPPLPGDQQLASQSRAEESLSVSLPADLSVETPPISLWPPLPSPQNSSSQMLPHFPGGPPSHFPFYEMNPMLGGPVFAFGPHDESASTTQPQSQKSSAPASAPLGTWQQCHSGVDSFYGPPAGFTGPFISPAGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTAYIPSGKQPDWKHNPASSAMAVGEGEMNNINMVSAQRNPTNMPAPIQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSVQARWPHVPASPLQSVPISMPLQQQADATDATVTRFPDELGLVDRASSSSTGNSTQSAVTKSSSVSTTVDTAKTDVDQKLSTSVSGHSASSNAKSQSSMHKNNTSNQQYGHSSYYQRGGGSQKNSSGGDWSHRRTGLHGRNQSVGAEKGFPPSKMKQVYVAKQTSSGSSTAL >ONH96519 pep chromosome:Prunus_persica_NCBIv2:G7:15216032:15225045:-1 gene:PRUPE_7G134200 transcript:ONH96519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPGVGTKFVSVNLNKSYGQPSHHPPHPSSYGSNRGRPGSHGSGGMVVLSRPRSANKAGSKLSVPPPLNLPSLRKEHERFDSLGSGGGAAGGGGSGSGSRPSSSGVGWTKPTAVALQEKEGAGDNVGADGVDQTLHGVDGVSRGIGSGTSLYMPPSARSGSVGPLPTASALSHQPTEKALLLRGEDFPSLQAALPSSSGPSQKQKDGLNQKQRQVVHDELLNEQRDSSHSSLLVDMRPQVQPSRRGIGNGLKESGSESKGLGGNRASEQVRKQDEYFPGPLPLVRLNPRSDWADDERDTSHGFTDRGRDHGFSKTEPYWDRDFDMPRVSVLPHKPVHNPSDRRGLHDNEAGKNSSSEVPKVDPYSRDARTPSREGREGNSWRNTNLPKDGISGQVGNERNGFGARPSSVNRETSKENKYSLTTVQENAQDDFVRRDVGYRHGGRQPWNNYTDSYASRGAEWNKRDRYGSEQHNRYRGDALQNSSVSKPPYSLGGKGLPVNDPLLNFGREKRSFSNSEKPYVEDPFMKDFGGTGFDSRDPFSGGLLGVVKKKKDVIKQTDFHDPVRESFEAELERVQKMQEQERQRIVEEQERALELARREEEERMRLAREQVERQRRLEEEAREAAWRAEQEQLEAMRRAEEQRVAREEERRRLFMEEERRKHAAKQKLLELEERIAKRKAETGKAGGNFLADADEKMSRMEKEKDVSRAADMGDWEDGERMVERITASASSDSSLNRSFEMGSRSHYSRDTSAFVDRGKPVNSWRRDVYENGNSSTLLIQDQDNGRHSPRRDLSVGGRGHLRKEFYGGGGFMSSRTYHKGGITEPHMDDITHLRGQRWNLSGDGDHYSRNMEIESEFQDNLVEKFNDVGWGQGRVHGNPYSPYPDQLYPNSDADGSYSFGRSRYSMRQPRVLPPPSLASIHKTSYRGEIDHPGPSAFPENEMEYNHAARSEPTLQSGYDTNCVENIRQPEIIDVKEENTGNEKKKLDGNTTPRCDSQSSLSVSSPPSSPTHLSHDDLDESRDSSVLSAPGDSKDVPLSGQENESLALPTNSGKENVVNASSSVSTGDDEEWAVENNEHLQEQEEYDEDEDGYEEEDEVHEGDDENIDLTHEFEGMHLEEKGSPDMMDNLVLGFNEGVEVGMPNDEFERSSRNEEGAFMVPQVLSGTVEEHGSFDGIRTDEQTLQHMDGSSLVNVGSSSRIFQETEKAMQNLVIQPNNASHMSATTDRVDHVDAASSSRPSSQHPVASSVSLNSHLLSGQAVMPTVSAVPNQTEGSVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLPLHPQVGPSLAHLHPSQPPLFQFGQLRYTSPISQGLLPMAPQSMSFVQPNLPSSFSLNQTPGGHLPIQTGQGTSQNRKNDVMLLSVDNQPGLTSRQLDVSQENVPEKINSMPAGEKAETSVMVQRGPAVSRIGDSNSRSETVFQADQRHHNSVGKNFSAFFGTRESEGQAQTGAAPSQSVFKEKDFSGPKAHGPASGGRGKKFVFTVKNSGARSFPDTEPNHVECSGFQRRHRRNMQRTEFRVRASADKRQSTGSVSSNHVGLEEKFVSGKGFGLSVRGGPRRVVMSNKPSKQMLDSEGLSPGRNNSHEIESGNRAEKGAGKDATTKSQNIPKSGEGNLKRNIHSEEDVYAPLQSGIVRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREREIKAKSRASKVPRKPRSTSKGSTASANSGKSSAATNGEAGNSIHSDFVASEGRGLANIEVSAGFNTNVVSQPLAPIGTPAVKSDVQADIRSQTIRSLNTSSLPVVSGSVKNIGRGSIIENNNKVLDNVQASLSSWGNQQVMALTQTQLEEAMKPGQFGSHGSVGEINSSVCESSMPSSSIMTKEKPFSSAANPINSLLAGEKIQFGAVTSPTILPPSSRAVSHGIGPPGPSRSDMQLSHNLSASENLLFEKEKHTTESCVHLEDCEAEAEAAASAVAVAAISSDEIVGNGLGACSVSVPDTKSFGGADIDGVAEDKAGDQQLASQSRAKQSLSVSFPADLSVETHSLRPPLPGQNNFLITNFIVAALPKSVEGADIDGRTAGDQQLASQSRAEESLSVSLPADLSVETPPISLWPPLPSPQNSSSQMLPHFPGGPPSHFPFYEMNPMLGGPVFAFGPHDESASTTQPQSQKSSAPASAPLGTWQQCHSGVDSFYGPPAGFTGPFISPAGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTAYIPSGKQPDWKHNPASSAMAVGEGEMNNINMVSAQRNPTNMPAPIQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSVQARWPHVPASPLQSVPISMPLQQQADGILPSKFSHGPADQSLPANRFPESRTSTAFDNSRNFPVATDATVTRFPDELGLVDRASSSSTGNSTQSAVTKSSSVSTTVDTAKTDVDQKLSTSVSGHSASSNAKSQSSMHKNNTSNQQYGHSSYYQRGGGSQKNSSGGDWSHRRTGLHGRNQSVGAEKGFPPSKMKQVYVAKQTSSGSSTAL >ONH98022 pep chromosome:Prunus_persica_NCBIv2:G7:19912646:19916269:-1 gene:PRUPE_7G223500 transcript:ONH98022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKNLTLDENFPVGTDRNAEDISVQEKTIDFRNDSLQKTEKTDESYKADNGPKTSSGLTVSEGRNEAGIVPVVSQGISTESPETLNADSKGNVKQTTETQIEHQKTELWQPVPVTLNGNSTMTSISILKKWNPRPTSLSQMNALLLRIPVSSPSMSPRRYSTRDRELQSAKLEIENAPIIRNNPGLSASVFRNLSKFIRSYDLMDHMLKVYIYKEGEKPVFHQPLMRGIYASEGWFMKLVEGNKKFVVRDPKKAHLFYLPFDSHMLRLTLSGQNVKNGKKVLEKYLKSYVGLIARKYSFWNRTEGADHFLVACHDWAPKLTKQCMKNCIRSLCNANVGRDFKIGKDTSLPVTYIRSVENPLQDLGGKPASERSILAFFAGGMHGYLRPILLHYWENKEPDMKIFGPMPHDIESKKIYREYMKSSKYCICARGYEVHTPRVVEAIFYECVPVIISDNYMPPFFEVFNWEAFAVFVQEKDIPNLRDILLSIPEEKYLTMMSSVKMVQQHFFWHKKPVKYDLFHMILHSVWYNRVLQIKTR >ONH98018 pep chromosome:Prunus_persica_NCBIv2:G7:19912646:19916284:-1 gene:PRUPE_7G223500 transcript:ONH98018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLMYRMTKGWLHRNTMKQKGHFSKYLLLPLPKSEFSRIIISWHWQSSSSSFSCRTHEPTLDQSLKRSLLASHIQDLAPDDDSNSHKELMLEKNLTLDENFPVGTDRNAEDISVQEKTIDFRNDSLQKTEKTDESYKADNGPKTSSGLTVSEGRNEAGIVPVVSQGISTESPETLNADSKGNVKQTTETQIEHQKTELWQPVPVTLNGNSTMTSISILKKWNPRPTSLSQMNALLLRIPVSSPSMSPRRYSTRDRELQSAKLEIENAPIIRNNPGLSASVFRNLSKFIRSYDLMDHMLKVYIYKEGEKPVFHQPLMRGIYASEGWFMKLVEGNKKFVVRDPKKAHLFYLPFDSHMLRLTLSGQNVKNGKKVLEKYLKSYVGLIARKYSFWNRTEGADHFLVACHDWAPKLTKQCMKNCIRSLCNANVGRDFKIGKDTSLPVTYIRSVENPLQDLGGKPASERSILAFFAGGMHGYLRPILLHYWENKEPDMKIFGPMPHDIESKKIYREYMKSSKYCICARGYEVHTPRVVEAIFYECVPVIISDNYMPPFFEVFNWEAFAVFVQEKDIPNLRDILLSIPEEKYLTMMSSVKMVQQHFFWHKKPVKYDLFHMILHSVWYNRVLQIKTR >ONH98021 pep chromosome:Prunus_persica_NCBIv2:G7:19913139:19915501:-1 gene:PRUPE_7G223500 transcript:ONH98021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKNLTLDENFPVGTDRNAEDISVQEKTIDFRNDSLQKTEKTDESYKADNGPKTSSGLTVSEGRNEAGIVPVVSQGISTESPETLNADSKGNVKQTTETQIEHQKTELWQPVPVTLNGNSTMTSISILKKWNPRPTSLSQMNALLLRIPVSSPSMSPRRYSTRDRELQSAKLEIENAPIIRNNPGLSASVFRNLSKFIRSYDLMDHMLKVYIYKEGEKPVFHQPLMRGIYASEGWFMKLVEGNKKFVVRDPKKAHLFYLPFDSHMLRLTLSGQNVKNGKKVLEKYLKSYVGLIARKYSFWNRTEGADHFLVACHDWAPKLTKQCMKNCIRSLCNANVGRDFKIGKDTSLPVTYIRSVENPLQDLGGKPASERSILAFFAGGMHGYLRPILLHYWENKEPDMKIFGPMPHDIESKKIYREYMKSSKYCICARGYEVHTPRVVEAIFYECVPVIISDNYMPPFFEVFNWEAFAVFVQEKDIPNLRDILLSIPEEKYLTMMSSVKMVQQHFFWHKKPVKYDLFHMILHSVWYNRVLQIKTR >ONH98020 pep chromosome:Prunus_persica_NCBIv2:G7:19913139:19915501:-1 gene:PRUPE_7G223500 transcript:ONH98020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKNLTLDENFPVGTDRNAEDISVQEKTIDFRNDSLQKTEKTDESYKADNGPKTSSGLTVSEGRNEAGIVPVVSQGISTESPETLNADSKGNVKQTTETQIEHQKTELWQPVPVTLNGNSTMTSISILKKWNPRPTSLSQMNALLLRIPVSSPSMSPRRYSTRDRELQSAKLEIENAPIIRNNPGLSASVFRNLSKFIRSYDLMDHMLKVYIYKEGEKPVFHQPLMRGIYASEGWFMKLVEGNKKFVVRDPKKAHLFYLPFDSHMLRLTLSGQNVKNGKKVLEKYLKSYVGLIARKYSFWNRTEGADHFLVACHDWAPKLTKQCMKNCIRSLCNANVGRDFKIGKDTSLPVTYIRSVENPLQDLGGKPASERSILAFFAGGMHGYLRPILLHYWENKEPDMKIFGPMPHDIESKKIYREYMKSSKYCICARGYEVHTPRVVEAIFYECVPVIISDNYMPPFFEVFNWEAFAVFVQEKDIPNLRDILLSIPEEKYLTMMSSVKMVQQHFFWHKKPVKYDLFHMILHSVWYNRVLQIKTR >ONH98019 pep chromosome:Prunus_persica_NCBIv2:G7:19913139:19915792:-1 gene:PRUPE_7G223500 transcript:ONH98019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAGNIEIRRLLLIIGGVVVIVVASECFELPSGKKFYFSTADKGSTSTSTVFANVSSSNNSKPSKSNVGVVVGLVANDTDVSDLAPDDDSNSHKELMLEKNLTLDENFPVGTDRNAEDISVQEKTIDFRNDSLQKTEKTDESYKADNGPKTSSGLTVSEGRNEAGIVPVVSQGISTESPETLNADSKGNVKQTTETQIEHQKTELWQPVPVTLNGNSTMTSISILKKWNPRPTSLSQMNALLLRIPVSSPSMSPRRYSTRDRELQSAKLEIENAPIIRNNPGLSASVFRNLSKFIRSYDLMDHMLKVYIYKEGEKPVFHQPLMRGIYASEGWFMKLVEGNKKFVVRDPKKAHLFYLPFDSHMLRLTLSGQNVKNGKKVLEKYLKSYVGLIARKYSFWNRTEGADHFLVACHDWAPKLTKQCMKNCIRSLCNANVGRDFKIGKDTSLPVTYIRSVENPLQDLGGKPASERSILAFFAGGMHGYLRPILLHYWENKEPDMKIFGPMPHDIESKKIYREYMKSSKYCICARGYEVHTPRVVEAIFYECVPVIISDNYMPPFFEVFNWEAFAVFVQEKDIPNLRDILLSIPEEKYLTMMSSVKMVQQHFFWHKKPVKYDLFHMILHSVWYNRVLQIKTR >ONH94233 pep chromosome:Prunus_persica_NCBIv2:G7:626835:630830:1 gene:PRUPE_7G005300 transcript:ONH94233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVKAVALITGDSKVRGSLHFVQDTSGPTQVKGRITGLSPGLHGFHIHALGDTSNGCNSTGVAEVSLKDWQIPLSGPHSILGRAVVVHADPDDLGKGGHELSKTTGNAGARVGCGIIGLKSSV >ONH94232 pep chromosome:Prunus_persica_NCBIv2:G7:626782:631130:1 gene:PRUPE_7G005300 transcript:ONH94232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVKAVALITGDSKVRGSLHFVQDTSGPTQVKGRITGLSPGLHGFHIHALGDTSNGCNSTGPHFNPLKKDHGAPSDKERHAGDLGNVVAGPDGVAEVSLKDWQIPLSGPHSILGRAVVVHADPDDLGKGGHELSKTTGNAGARVGCGIIGLKSSV >ONH94234 pep chromosome:Prunus_persica_NCBIv2:G7:626787:631131:1 gene:PRUPE_7G005300 transcript:ONH94234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVKAVALITGDSKVRGSLHFVQDTSGPTQVKGRITGLSPGLHGFHIHALGDTSNGCNSTGPHFNPLKKDHGAPSDKERHAGDLGNVVAGPDGVAEVSLKDWQIPLSGPHSILGRAVVVHADPDDLGKGGHELSKTTGNAGARVGCGMYFTSLAFC >ONH96890 pep chromosome:Prunus_persica_NCBIv2:G7:16565185:16571404:1 gene:PRUPE_7G157600 transcript:ONH96890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSHSRHPNRSSSAKMINNGGFLGKTLYDDVYGGPPKFGLSSLSPRMEDYSEIFGSFHASRASSIPVLDVPAVDQNEVFFDVRSSGFDYGEVFGGFNGLDFAVAYDDLVNQSKGGDGDCDSSDEAWTPAESGSLSEGSDDSGKNQCFSNGDPFQSLDGSTEFSISYHTAHQKSNKDSLNGMTHVTRAHVPGYTFVLDENIPSQQSENENPILQVTEDSKLSMNCYLERVNEKHLKKTMSHPPNGSSSGQAFGDNLNPERGYGRNGSHNKKPFVTISDISLRTQPSQLPPPSRPPPIVDGNSEDSGRLSSNSDTVASDGTTGDSSPPFFDVEVDASSSAAVSAAAMKEAMEKAKVQLKSAKELMQRRKEGFQRRMKSGSKKEMKEKERKVGEIVDGSNSMKDDRVQGTSEREDNGMKFSVRKERQKVLKTAREVPESLEDENSLNVAKNFAQEKHGKGSWSSQGSFKIDEASEWQEATQYFELVAIDESRKAFELENKEKILVQNRKSYEHRQKEKATMEALVQQEENDKKVRAAIEEELGKQPREWEECSAKLKAAKEACRRKEPEKKVKVTHKIREEGKNEMSPSMGTLPAESEKQRDIVVEVQDKEIKFKVEQARKQKENDKRIRSDKRLREYCGREDFEKRQEVALEQEENERRLKEALKQAENEKRLKKVLEQEENEKRLKEALEQAENEKRLKKALELQENERKLIEAFELENKKKQKEATQREENEKRQKEALEREEYEKRQKEAFEWANKKKQKEAAQREENEKRQKEALGGEEYEKRQKEAFEWENKKKQKEATQREENEKQLKEALKREEYEKRQKDAHEGEESEQRFEMAHARDQQYDKKGLMEAKDIEGTDVTLKEVFGQVENQNIRKASDSEQTGKTVKVAGDWEEQKVLNKTNAGTERNENGQEPRSVKGLHMEEGDLRVSDETCNEGCNKDSQATQIASKHVENSETTEATQKAPTHEKNGEKRTEHKISDTQPEVVERVSVSNDLKFKASRVSLEDLENGENRFRREYSNVSLPLDDSVKKAREEIRAEPKASKRELGEFEMENVQVDEKFKASGMAQGDIEHGNSQVRVDDAYESIPLVKHTKKAGEAGSGIVQPQVEQFKSTSRMDFDHETKKMEFVQEWKEGEKDLKGVQAGSSREENKTANSTPEPVKEFVENKRKTEAAYPVLVEVNSQKSSRQVNSSQVPERKDKNLKETLKNGEKETERLKRERELENDCLRKIEEEREREREREKDRMAVDRATLEAREWAYGEVRERAERAAVERATAEARQRAMAEARERLEKACTEAREKSIAGKAAMEARVKAERAAVERATAEARERAAEKVMAERAAFEARERVQRSVSDKFFVSSRNNGLRHCSSSSDLQDSQFQSTGGSRYPYSSVYAERYEGVEGESAQRCKARLERHARTAERAARALAEKNMRDLLAQREQAERNRLAENLDADVRRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTDVITAAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNKFNSEER >ONH94840 pep chromosome:Prunus_persica_NCBIv2:G7:6360741:6361400:1 gene:PRUPE_7G034200 transcript:ONH94840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYVIRVLCYAPLAQEQGGASLFKERNRCWMKGTFGVVVFSRFAGLRER >ONH94742 pep chromosome:Prunus_persica_NCBIv2:G7:5056116:5069219:-1 gene:PRUPE_7G027100 transcript:ONH94742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSMRSRSRSMELSPEEERILIRDIALAAEANSKEGDIFYLLTQRWWQHWIDYVNQDQPNNPNDASFVSEHYDSAGSSTLKRPAGIDNSDLIYDAASADTNSGIDIHDTLLEGRDYVLLPQEVWNQLHTWYGGGPTLPRKVISSGLSQTEMAVEVYPLRLQLLVMPKGDRSIIRISKKETIAELHRRACDIFDLSMEQVCIWDYYGRRKHALMNDMDKTLDDANIQMDQDILVEVLNPVNGGLSATKGASRSYSVELIQSQGLIARELDTPYGTIGVSTRGSSCGLTGLQNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEDVADEYWANHIARNDSIIVDVCQGQYKSTLVCPICNKVSVTFDPFMYLSLPLQSTTTRTMTVTVFTCDGSALPSACTVTVPKQGRCRDLIQMLSNASSVKHTEKLLLVEIQHHMVQRFLEDPLISLSTIKDDDHLAAFKVPKLANTKYLQLIHRRREQGNSDSQITSGWKPYGTPLVLPISCDDEIIGGNILMMVHKMLSPMLRTKSLERTKISGVMSATEGSDPSVDLCSGEACTDSVVSNSANKDITSSKPVSSLELPLQLVGENNTCTDLWVGVEKAIRLASSSTSILIYVDWSQKFLEKYDTHYLENLPEVFKYGPVTKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVDFPIHDFDLTNYVASKKNARRQLYELYALTNHIGGMGSGHYTAHIKLLDENRWYSFDDSCVSPINEEEVKSGAAYVLFYRRVATEDADASC >ONH94741 pep chromosome:Prunus_persica_NCBIv2:G7:5056116:5069219:-1 gene:PRUPE_7G027100 transcript:ONH94741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSMRSRSRSMELSPEEERILIRDIALAAEANSKEGDIFYLLTQRWWQHWIDYVNQDQPNNPNDASFVSEHYDSAGSSTLKRPAGIDNSDLIYDAASADTNSGIDIHDTLLEGRDYVLLPQEVWNQLHTWYGGGPTLPRKVISSGLSQTEMAVEVYPLRLQLLVMPKGDRSIIRISKKETIAELHRRACDIFDLSMEQVCIWDYYGRRKHALMNDMDKTLDDANIQMDQDILVEVLNPVNGGLSATKGASRSYSVELIQSQGLIARELDTPYGTIGVSTRGSSCGLTGLQNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEDVADEYWANHIARNDSIIVDVCQGQYKSTLVCPICNKVSVTFDPFMYLSLPLQSTTTRTMTVTVFTCDGSALPSACTVTVPKQGRCRDLIQMLSNASSVKHTEKLLLVEIQHHMVQRFLEDPLISLSTIKDDDHLAAFKVPKLANTKYLQLIHRRREQGNSDSQITSGWKPYGTPLVLPISCDDEIIGGNILMMVHKMLSPMLRTKSLERTKISGVMSATEGSDPSVDLCSGEACTDSVVSNSANKDITSSKPVSSLELPLQLVGENNTCTDLWVGVEKAIRLASSSTSILIYVDWSQKFLEKYDTHYLENLPEVFKYGPVTKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVDFPIHDFDLTNYVASKKNARRQLYELYALTNHIGGMGSGHYTAHIKLLDENRWYSFDDSCVSPINEEEVKSGAAYVLFYRRVATEDADASC >ONH94743 pep chromosome:Prunus_persica_NCBIv2:G7:5056492:5068314:-1 gene:PRUPE_7G027100 transcript:ONH94743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSMRSRSRSMELSPEEERILIRDIALAAEANSKEGDIFYLLTQRWWQHWIDYVNQDQPNNPNDASFVSEHYDSAGSSTLKRPAGIDNSDLIYDAASADTNSGIDIHDTLLEGRDYVLLPQEVWNQLHTWYGGGPTLPRKVISSGLSQTEMAVEVYPLRLQLLVMPKGDRSIIRISKKETIAELHRRACDIFDLSMEQVCIWDYYGRRKHALMNDMDKTLDDANIQMDQDILVEVLNPVNGTTLGRSTRGLSATKGASRSYSVELIQSQGLIARELDTPYGTIGVSTRGSSCGLTGLQNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEDVADEYWANHIARNDSIIVDVCQGQYKSTLVCPICNKVSVTFDPFMYLSLPLQSTTTRTMTVTVFTCDGSALPSACTVTVPKQGRCRDLIQMLSNASSVKHTEKLLLVEIQHHMVQRFLEDPLISLSTIKDDDHLAAFKVPKLANTKYLQLIHRRREQGNSDSQITSGWKPYGTPLVLPISCDDEIIGGNILMMVHKMLSPMLRTKSLERTKISGVMSATEGSDPSVDLCSGEACTDSVVSNSANKDITSSKPVSSLELPLQLVGENNTCTDLWVGVEKAIRLASSSTSILIYVDWSQKFLEKYDTHYLENLPEVFKYGPVTKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVDFPIHDFDLTNYVASKKNARRQLYELYALTNHIGGMGSGHYTAHIKLLDENRWYSFDDSCVSPINEEEVKSGAAYVLFYRRVATEDADASC >ONH94745 pep chromosome:Prunus_persica_NCBIv2:G7:5056492:5068314:-1 gene:PRUPE_7G027100 transcript:ONH94745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSMRSRSRSMELSPEEERILIRDIALAAEANSKEGDIFYLLTQRWWQHWIDYVNQDQPNNPNDASFVSEHYDSAGSSTLKRPAGIDNSDLIYDAASADTNSGIDIHDTLLEGRDYVLLPQEVWNQLHTWYGGGPTLPRKVISSGLSQTEMAVEVYPLRLQLLVMPKGDRSIIRISKKETIAELHRRACDIFDLSMEQVCIWDYYGRRKHALMNDMDKTLDDANIQMDQDILVEVLNPVNGTTLGRSTSSVRYNGSLEKEGASVLVEPSKSSLSIAGGLSATKGASRSYSVELIQSQGLIARELDTPYGTIGVSTRGSSCGLTGLQNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEDVADEYWANHIARNDSIIVDVCQGQYKSTLVCPICNKVSVTFDPFMYLSLPLQSTTTRTMTVTVFTCDGSALPSACTVTVPKQGRCRDLIQMLSNASSVKHTEKLLLVEIQHHMVQRFLEDPLISLSTIKDDDHLAAFKVPKLANTKYLQLIHRRREQGNSDSQITSGWKPYGTPLVLPISCDDEIIGGNILMMVHKMLSPMLRTKSLERTKISGVMSATEGSDPSVDLCSGEACTDSVVSNSANKDITSSKPVSSLELPLQLVGENNTCTDLWVGVEKAIRLASSSTSILIYVDWSQKFLEKYDTHYLENLPEVFKYGPVTKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVDFPIHDFDLTNYVASKKNARRQLYELYALTNHIGGMGSGHYTAHIKLLDENRWYSFDDSCVSPINEEEVKSGAAYVLFYRRVATEDADASC >ONH94744 pep chromosome:Prunus_persica_NCBIv2:G7:5056492:5068314:-1 gene:PRUPE_7G027100 transcript:ONH94744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSMRSRSRSMELSPEEERILIRDIALAAEANSKEGDIFYLLTQRWWQHWIDYVNQDQPNNPNDASFVSEHYDSAGSSTLKRPAGIDNSDLIYDAASADTNSGIDIHDTLLEGRDYVLLPQEVWNQLHTWYGGGPTLPRKVISSGLSQTEMAVEVYPLRLQLLVMPKGDRSIIRISKKETIAELHRRACDIFDLSMEQVCIWDYYGRRKHALMNDMDKTLDDANIQMDQDILVEVLNPVNGTTLGRSTRGLSATKGASRSYSVELIQSQGLIARELDTPYGTIGVSTRGSSCGLTGLQNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEDVADEYWANHIARNDSIIVDVCQGQYKSTLVCPICNKVSVTFDPFMYLSLPLQSTTTRTMTVTVFTCDGSALPSACTVTVPKQGRCRDLIQMLSNASSVKHTEKLLLVEIQHHMVQRFLEDPLISLSTIKDDDHLAAFKVPKLANTKYLQLIHRRREQGNSDSQITSGWKPYGTPLVLPISCDDEIIGGNILMMVHKMLSPMLRTKSLERTKISGVMSATEGSDPSVDLCSGEACTDSVVSNSANKDITSSKPVSSLELPLQLVGENNTCTDLWVGVEKAIRLASSSTSILIYVDWSQKFLEKYDTHYLENLPEVFKYGPVTKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVDFPIHDFDLTNYVASKKNARRQLYELYALTNHIGGMGSGHYTAHIKLLDENRWYSFDDSCVSPINEEEVKSGAAYVLFYRRVATEDADASC >ONH94746 pep chromosome:Prunus_persica_NCBIv2:G7:5056492:5068314:-1 gene:PRUPE_7G027100 transcript:ONH94746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSMRSRSRSMELSPEEERILIRDIALAAEANSKEGDIFYLLTQRWWQHWIDYVNQDQPNNPNDASFVSEHYDSAGSSTLKRPAGIDNSDLIYDAASADTNSGIDIHDTLLEGRDYVLLPQEVWNQLHTWYGGGPTLPRKVISSGLSQTEMAVEVYPLRLQLLVMPKGDRSIIRISKKETIAELHRRACDIFDLSMEQVCIWDYYGRRKHALMNDMDKTLDDANIQMDQDILVEVLNPVNGTTLGRSTSSVRYNGSLEKEGASVLVEPSKSSLSIAGGLSATKGASRSYSVELIQSQGLIARELDTPYGTIGVSTRGSSCGLTGLQNLGNTCFMNSAIQCLVHTPEFARYFREDYHQEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEDVADEYWANHIARNDSIIVDVCQGQYKSTLVCPICNKVSVTFDPFMYLSLPLQSTTTRTMTVTVFTCDGSALPSACTVTVPKQGRCRDLIQMLSNASSVKHTEKLLLVEIQHHMVQRFLEDPLISLSTIKDDDHLAAFKVPKLANTKYLQLIHRRREQGNSDSQITSGWKPYGTPLVLPISCDDEIIGGNILMMVHKMLSPMLRTKSLERTKISGVMSATEGSDPSVDLCSGEACTDSVVSNSANKDITSSKPVSSLELPLQLVGENNTCTDLWVGVEKAIRLASSSTSILIYVDWSQKFLEKYDTHYLENLPEVFKYGPVTKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVDFPIHDFDLTNYVASKKNARRQLYELYALTNHIGGMGSGHYTAHIKLLDENRWYSFDDSCVSPINEEEVKSGAAYVLFYRRVATEDADASC >ONH95364 pep chromosome:Prunus_persica_NCBIv2:G7:10463927:10465690:1 gene:PRUPE_7G066300 transcript:ONH95364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLFAVAFSAVPLTLYVPPIRSINLFVETIEDFLRNTAVYSIRLYPRLRHAFYRLLNSLLHFSR >ONH96203 pep chromosome:Prunus_persica_NCBIv2:G7:13895611:13896913:-1 gene:PRUPE_7G113000 transcript:ONH96203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNSKLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLSKTNSKGNAAANNNIPDLNLSSSSTQNPSSSSN >ONH97106 pep chromosome:Prunus_persica_NCBIv2:G7:17225452:17227092:1 gene:PRUPE_7G170100 transcript:ONH97106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIESSGSEVVTIDVQAAKDLLKSGYGYVDVRTVEEYKKGHVDAEKILNIPYLFNTPEGRVKNPQFLQEVSSACNKEDLLVVGCQSGVRSLSATTDLLTAGFKHANNMGGGYHAWVEHHFPVTKPEDAGKNNQEVDQRKKAEDEL >ONH97107 pep chromosome:Prunus_persica_NCBIv2:G7:17225606:17226955:1 gene:PRUPE_7G170100 transcript:ONH97107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSWFGLSCGFVALFPLLFCSSGSEVVTIDVQAAKDLLKSGYGYVDVRTVEEYKKGHVDAEKILNIPYLFNTPEGRVKNPQFLQEVSSACNKEDLLVVGCQSGVRSLSATTDLLTAGFKHANNMGGGYHAWVEHHFPVTKPEDAGKNNQEVDQRKKAEDEL >ONH95133 pep chromosome:Prunus_persica_NCBIv2:G7:9198161:9202712:-1 gene:PRUPE_7G053600 transcript:ONH95133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEGYDSDDYPSIRGSDSEDEESGSSGNEDVLNTSNNDHGNDDESDSSELHEGVEESDSSEDEVVPRNTVGDVPLEWYRDEKHIGYDITGKKIKKKEKEDKLQSFLASADDSKNWRKIYDEYNDEDVELTKEDIKSISRLLEGKAPHRDFDPYAPYVDWFKWDDSKHPLSNAPEPKRRFIPSKSESKLVNKIKLAIRKGLIKPKKSKEEEEEEESVYPLWGDDSNSTGKNDHLSYIPAPKPKLPGHEESFNPSLEYIPTQEEINSYQLMYEEDRPKFIPKRFTSMRSIPAYENAVKECFERCLDLYLCPRVRKKRLNIDPESLKPKLPNRNDLKPYPVSCYLEYRGHEDAVTSISVEASGQWIASGSLDGTVRIWEVETGRCLKHWKIGEAVKYVSWNPNPEHSILAVSAGQDVLLLNTGCGNEEVQKSTKELLSVEMPMPDDSGKTASSVSWLQDDKLEGIRLRHSKTVTAVEWHRKGDYFSTVMPAGESRAIFIHKLSKKFTQTLSFKLQGPAVTSVFHPTRSFFFISTKKIIRVYDLVKEGKLVKKLETGLREISSIAVHPSGDHIIVGSGEGKLCWFDMDLSSKPYKTLKLHQKDINNVSFHRSYPLFATCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSTNGRGVMDCKFHPRQPWLFTAGADSVVRLYCH >ONH95134 pep chromosome:Prunus_persica_NCBIv2:G7:9197762:9203279:-1 gene:PRUPE_7G053600 transcript:ONH95134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEGYDSDDYPSIRGSDSEDEESGSSGNEDVLNTSNNDHGNDDESDSSELHEGVEESDSSEDEVVPRNTVGDVPLEWYRDEKHIGYDITGKKIKKKEKEDKLQSFLASADDSKNWRKIYDEYNDEDVELTKEDIKSISRLLEGKAPHRDFDPYAPYVDWFKWDDSKHPLSNAPEPKRRFIPSKSESKLVNKIKLAIRKGLIKPKKSKEEEEEEESVYPLWGDDSNSTGKNDHLSYIPAPKPKLPGHEESFNPSLEYIPTQEEINSYQLMYEEDRPKFIPKRFTSMRSIPAYENAVKECFERCLDLYLCPRVRKKRLNIDPESLKPKLPNRNDLKPYPVSCYLEYRGHEDAVTSISVEASGQWIASGSLDGTVRIWEVETGRCLKHWKIGEAVKYVSWNPNPEHSILAVSAGQDVLLLNTGCGNEEVQKSTKELLSVEMPMPDDSGKTASSVSWLQDDKLEGIRLRHSKTVTAVEWHRKGDYFSTVMPAGESRAIFIHKLSKKFTQTLSFKLQGPAVTSVFHPTRSFFFISTKKIIRVYDLVKEGKLVKKLETGLREISSIAVHPSGDHIIVGSGEGKLCWFDMDLSSKPYKTLKLHQKDINNVSFHRSYPLFATCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSTNGRGVMDCKFHPRQPWLFTAGADSVVRLYCH >ONH95132 pep chromosome:Prunus_persica_NCBIv2:G7:9198135:9202712:-1 gene:PRUPE_7G053600 transcript:ONH95132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEGYDSDDYPSIRGSDSEDEESGSSGNEDVLNTSNNDHGNDDESDSSELHEGVEESDSSEDEVVPRNTVGDVPLEWYRDEKHIGYDITGKKIKKKEKEDKLQSFLASADDSKNWRKIYDEYNDEDVELTKEDIKSISRLLEGKAPHRDFDPYAPYVDWFKWDDSKHPLSNAPEPKRRFIPSKSESKLVNKIKLAIRKGLIKPKKSKEEEEEEESVYPLWGDDSNSTGKNDHLSYIPAPKPKLPGHEESFNPSLEYIPTQEEINSYQLMYEEDRPKFIPKRFTSMRSIPAYENAVKECFERCLDLYLCPRVRKKRLNIDPESLKPKLPNRNDLKPYPVSCYLEYRGHEDAVTSISVEASGQWIASGSLDGTVRIWEVETGRCLKHWKIGEAVKYVSWNPNPEHSILAVSAGQDVLLLNTGCGNEEVQKSTKELLSVEMPMPDDSASSVSWLQDDKLEGIRLRHSKTVTAVEWHRKGDYFSTVMPAGESRAIFIHKLSKKFTQTLSFKLQGPAVTSVFHPTRSFFFISTKKIIRVYDLVKEGKLVKKLETGLREISSIAVHPSGDHIIVGSGEGKLCWFDMDLSSKPYKTLKLHQKDINNVSFHRSYPLFATCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSTNGRGVMDCKFHPRQPWLFTAGADSVVRLYCH >ONH96174 pep chromosome:Prunus_persica_NCBIv2:G7:13822243:13824997:1 gene:PRUPE_7G111200 transcript:ONH96174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSRLKAARDVVIASATCKKWREAWRNHLHTLSFNSNDWSVYHDLAPSRLEILITQTIFQTTALQCLSIFMDDVDEFSAAPVIAWLMYTRDTLRQLHYNVRTTPNVNIIEKCSRQKLEVLSLAHNSVTGVEPSYQKFPCLKSLSLSYVSISALDLSLLLTACPKLQNLTLINPDIAMSDAQAIMELNSSSLKDIYVEAVSLDKFMLEADSIENLHLKDCTLEAFELIGKGSLRVLKIDDVSVLHLDIGENTENLQIVDVSSFTIMWPKFYNMISKSSNLKRLRLWGVVFDDEDEVVDLETVSVCFPRLSHLSLSYDLRDVCGLQGTSPLENVVVLELGWTIISDLFSDWVAGLLERCPNLKKLVICGVVSEVKSHEECQVLASFTSSIVRLMRKYMRVEVQFEYE >ONH96173 pep chromosome:Prunus_persica_NCBIv2:G7:13822210:13824746:1 gene:PRUPE_7G111200 transcript:ONH96173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSRLKAARDVVIASATCKKWREAWRNHLHTLSFNSNDWSVYHDLAPSRLEILITQTIFQTTALQCLSIFMDDVDEFSAAPVIAWLMYTRDTLRQLHYNVRTTPNVNIIEKCSRQKLEVLSLAHNSVTGVEPSYQKFPCLKSLSLSYVSISALDLSLLLTACPKLQNLTLINPDIAMSDAQAIMELNSSSLKDIYVEAVSLDKFMLEADSIENLHLKDCTLEAFELIGKGSLRVLKIDDVSVLHLDIGENTENLQIVDVSSFTIMWPKFYNMISKSSNLKRLRLWGVVFDDEDEVVDLETVSVCFPRLSHLSLSYDLRDVCGLQGTSPLENVVVLELGWTIISDLFSDWVAGLLERCPNLKKLVICGVVSEVKSHEECQVLASFTSSIVRLMRKYMRVEVQFEYE >ONH96178 pep chromosome:Prunus_persica_NCBIv2:G7:13822243:13824696:1 gene:PRUPE_7G111200 transcript:ONH96178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWHQVAAPVIAWLMYTRDTLRQLHYNVRTTPNVNIIEKCSRQKLEVLSLAHNSVTGVEPSYQKFPCLKSLSLSYVSISALDLSLLLTACPKLQNLTLINPDIAMSDAQAIMELNSSSLKDIYVEAVSLDKFMLEADSIENLHLKDCTLEAFELIGKGSLRVLKIDDVSVLHLDIGENTENLQIVDVSSFTIMWPKFYNMISKSSNLKRLRLWGVVFDDEDEVVDLETVSVCFPRLSHLSLSYDLRDVCGLQGTSPLENVVVLELGWTIISDLFSDWVAGLLERCPNLKKLVICGVVSEVKSHEECQVLASFTSSIVRLMRKYMRVEVQFEYE >ONH96177 pep chromosome:Prunus_persica_NCBIv2:G7:13822243:13824677:1 gene:PRUPE_7G111200 transcript:ONH96177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSRLKAARDVVIASATCKKWREAWRNHLHTLSFNSNDWSVYHDLAPNEFSAAPVIAWLMYTRDTLRQLHYNVRTTPNVNIIEKCSRQKLEVLSLAHNSVTGVEPSYQKFPCLKSLSLSYVSISALDLSLLLTACPKLQNLTLINPDIAMSDAQAIMELNSSSLKDIYVEAVSLDKFMLEADSIENLHLKDCTLEAFELIGKGSLRVLKIDDVSVLHLDIGENTENLQIVDVSSFTIMWPKFYNMISKSSNLKRLRLWGVVFDDEDEVVDLETVSVCFPRLSHLSLSYDLRDVCGLQGTSPLENVVVLELGWTIISDLFSDWVAGLLERCPNLKKLVICGVVSEVKSHEECQVLASFTSSIVRLMRKYMRVEVQFEYE >ONH96176 pep chromosome:Prunus_persica_NCBIv2:G7:13822243:13824882:1 gene:PRUPE_7G111200 transcript:ONH96176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSRLKAARDVVIASATCKKWREAWRNHLHTLSFNSNDWSVYHDLAPNEFSAAPVIAWLMYTRDTLRQLHYNVRTTPNVNIIEKCSRQKLEVLSLAHNSVTGVEPSYQKFPCLKSLSLSYVSISALDLSLLLTACPKLQNLTLINPDIAMSDAQAIMELNSSSLKDIYVEAVSLDKFMLEADSIENLHLKDCTLEAFELIGKGSLRVLKIDDVSVLHLDIGENTENLQIVDVSSFTIMWPKFYNMISKSSNLKRLRLWGVVFDDEDEVVDLETVSVCFPRLSHLSLSYDLRDVCGLQGTSPLENVVVLELGWTIISDLFSDWVAGLLERCPNLKKLVICGVVSEVKSHEECQVLASFTSSIVRLMRKYMRVEVQFEYE >ONH96175 pep chromosome:Prunus_persica_NCBIv2:G7:13822243:13824997:1 gene:PRUPE_7G111200 transcript:ONH96175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSRLKAARDVVIASATCKKWREAWRNHLHTLSFNSNDWSVYHDLAPSRLEILITQTIFQTTALQCLSIFMDDVDEFSAAPVIAWLMYTRDTLRQLHYNVRTTPNVNIIEKCSRQKLEVLSLAHNSVTGVEPSYQKFPCLKSLSLSYVSISALDLSLLLTACPKLQNLTLINPDIAMSDAQAIMELNSSSLKDIYVEAVSLDKFMLEADSIENLHLKDCTLEAFELIGKGSLRVLKIDDVSVLHLDIGENTENLQIVDVSSFTIMWPKFYNMISKSSNLKRLRLWGVVFDDEDEVVDLETVSVCFPRLSHLSLSYDLRDVCGLQGTSPLENVVVLELGWTIISDLFSDWVAGLLERCPNLKKLVICGVVSEVKSHEECQVLASFTSSIVRLMRKYMRVEVQFEYE >ONH97697 pep chromosome:Prunus_persica_NCBIv2:G7:18984607:18988525:-1 gene:PRUPE_7G205400 transcript:ONH97697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVAVAVKGGSSGSRRAVNWAVENLMPKADRFVLVHVIPKITSIPTPSGDHIPVAELDAKLVATYVKDMKEKFEEVFDPFKNKCKTKKVETLVLEDDDPATGLLRFISESGINCLVLGSCSSNYITRKLKGPGVSQIVLRCAPHTCDVYVISRHRIIKNSDGSSSAIETSSASWMSTRDHKRGSSDISEHISGVRSFRVESTAHEAYGASPMSDLSYLSSEAFTRMGFSENASVDQETNHHNLGDKLATSSFHHQSSSVSSNTGRMEVEQLRLELQNTISMYKRACEELVRAQSKVQLLSSECLDEARRVDAALESEETLRKIAAEEKEKHLKAMKEIEEAKVLLAKEAYERQIAELNAMKESSEKKKLVDALFSRDRRYRRYSRNEIEVATSFFSEANVIGEGGYGKVYKCSLDHTPVAVKVLRPDAVNKREEFLKEVEILSQLHHPNIVLLLGACPEIGCLVYEYLENGNLEDYISQRNGKPSLPWTVRFRIVFEIACGLAFLHSSKVEPIVHRDLKPGNILLDRNYMSKIGDVGLAKLISNVVPDSITEYRESILAGTLFYMDPEYQRTGTVRPKSDLYAFGVIILQVLTARHPNRLIFIAENAMANGSFADILDDSVTDWPLAEAKELARVALRCSQLRCRDRPDLETEVLPVLKRLVGLADSSLKIDRNHVDAPSHYFCPILQEIMEDPHIAADGFTYEFRAIKAWLEKHNVSPVTRLRLKHSALTPNHTLRSAIQEWRTHVTYPST >ONH97696 pep chromosome:Prunus_persica_NCBIv2:G7:18984842:18988430:-1 gene:PRUPE_7G205400 transcript:ONH97696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVAVAVKGGSSGSRRAVNWAVENLMPKADRFVLVHVIPKITSIPTPSGDHIPVAELDAKLVATYVKDMKEKFEEVFDPFKNKCKTKKVETLVLEDDDPATGLLRFISESGINCLVLGSCSSNYITRHRIIKNSDGSSSAIETSSASWMSTRDHKRGSSDISEHISGVRSFRVESTAHEAYGASPMSDLSYLSSEAFTRMGFSENASVDQETNHHNLGDKLATSSFHHQSSSVSSNTGRSYMQMEVEQLRLELQNTISMYKRACEELVRAQSKVQLLSSECLDEARRVDAALESEETLRKIAAEEKEKHLKAMKEIEEAKVLLAKEAYERQIAELNAMKESSEKKKLVDALFSRDRRYRRYSRNEIEVATSFFSEANVIGEGGYGKVYKCSLDHTPVAVKVLRPDAVNKREEFLKEVEILSQLHHPNIVLLLGACPEIGCLVYEYLENGNLEDYISQRNGKPSLPWTVRFRIVFEIACGLAFLHSSKVEPIVHRDLKPGNILLDRNYMSKIGDVGLAKLISNVVPDSITEYRESILAGTLFYMDPEYQRTGTVRPKSDLYAFGVIILQVLTARHPNRLIFIAENAMANGSFADILDDSVTDWPLAEAKELARVALRCSQLRCRDRPDLETEVLPVLKRLVGLADSSLKIDRNHVDAPSHYFCPILQEIMEDPHIAADGFTYEFRAIKAWLEKHNVSPVTRLRLKHSALTPNHTLRSAIQEWRTHVTYPST >ONH97700 pep chromosome:Prunus_persica_NCBIv2:G7:18984842:18987912:-1 gene:PRUPE_7G205400 transcript:ONH97700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKFEEVFDPFKNKCKTKKVETLVLEDDDPATGLLRFISESGINCLVLGSCSSNYITRHRIIKNSDGSSSAIETSSASWMSTRDHKRGSSDISEHISGVRSFRVESTAHEAYGASPMSDLSYLSSEAFTRMGFSENASVDQETNHHNLGDKLATSSFHHQSSSVSSNTGRSYMQMEVEQLRLELQNTISMYKRACEELVRAQSKVQLLSSECLDEARRVDAALESEETLRKIAAEEKEKHLKAMKEIEEAKVLLAKEAYERQIAELNAMKESSEKKKLVDALFSRDRRYRRYSRNEIEVATSFFSEANVIGEGGYGKVYKCSLDHTPVAVKVLRPDAVNKREEFLKEVEILSQLHHPNIVLLLGACPEIGCLVYEYLENGNLEDYISQRNGKPSLPWTVRFRIVFEIACGLAFLHSSKVEPIVHRDLKPGNILLDRNYMSKIGDVGLAKLISNVVPDSITEYRESILAGTLFYMDPEYQRTGTVRPKSDLYAFGVIILQVLTARHPNRLIFIAENAMANGSFADILDDSVTDWPLAEAKELARVALRCSQLRCRDRPDLETEVLPVLKRLVGLADSSLKIDRNHVDAPSHYFCPILQEIMEDPHIAADGFTYEFRAIKAWLEKHNVSPVTRLRLKHSALTPNHTLRSAIQEWRTHVTYPST >ONH97702 pep chromosome:Prunus_persica_NCBIv2:G7:18984842:18987912:-1 gene:PRUPE_7G205400 transcript:ONH97702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKFEEVFDPFKNKCKTKKVETLVLEDDDPATGLLRFISESGINCLVLGSCSSNYITRKLKGPGVSQIVLRCAPHTCDVYVISRHRIIKNSDGSSSAIETSSASWMSTRDHKRGSSDISEHISGVRSFRVESTAHEAYGASPMSDLSYLSSEAFTRMGFSENASVDQETNHHNLGDKLATSSFHHQSSSVSSNTGRSYMQMEVEQLRLELQNTISMYKRACEELVRAQSKVQLLSSECLDEARRVDAALESEETLRKIAAEEKEKHLKAMKEIEEAKVLLAKEAYERQIAELNAMKESSEKKKLVDALFSRDRRYRRYSRNEIEVATSFFSEANVIGEGGYGKVYKCSLDHTPVAVKVLRPDAVNKREEFLKEVEILSQLHHPNIVLLLGACPEIGCLVYEYLENGNLEDYISQRNGKPSLPWTVRFRIVFEIACGLAFLHSSKVEPIVHRDLKPGNILLDRNYMSKIGDVGLAKLISNVVPDSITEYRESILAGTLFYMDPEYQRTGTVRPKSDLYAFGVIILQVLTARHPNRLIFIAENAMANGSFADILDDSVTDWPLAEAKELARVALRCSQLRCRDRPDLETEVLPVLKRLVGLADSSLKIDRNHVDAPSHYFCPILQEIMEDPHIAADGFTYEFRAIKAWLEKHNVSPVTRLRLKHSALTPNHTLRSAIQEWRTHVTYPST >ONH97698 pep chromosome:Prunus_persica_NCBIv2:G7:18984842:18988430:-1 gene:PRUPE_7G205400 transcript:ONH97698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVAVAVKGGSSGSRRAVNWAVENLMPKADRFVLVHVIPKITSIPTPSGDHIPVAELDAKLVATYVKDMKEKFEEVFDPFKNKCKTKKVETLVLEDDDPATGLLRFISESGINCLVLGSCSSNYITRKLKGPGVSQIVLRCAPHTCDVYVISRHRIIKNSDGSSSAIETSSASWMSTRDHKRGSSDISEHISGVRSFRVESTAHEAYGASPMSDLSYLSSEAFTRMGFSENASVDQETNHHNLGDKLATSSFHHQSSSVSSNTGRSYMQMEVEQLRLELQNTISMYKRACEELVRAQSKVQLLSSECLDEARRVDAALESEETLRKIAAEEKEKHLKAMKEIEEAKVLLAKEAYERQIAELNAMKESSEKKKLVDALFSRDRRYRRYSRNEIEVATSFFSEANVIGEGGYGKVYKCSLDHTPVAVKVLRPDAVNKREEFLKEVEILSQLHHPNIVLLLGACPEIGCLVYEYLENGNLEDYISQRNGKPSLPWTVRFRIVFEIACGLAFLHSSKVEPIVHRDLKPGNILLDRNYMSKIGDVGLAKLISNVVPDSITEYRESILAGTLFYMDPEYQRTGTVRPKSDLYAFGVIILQVLTARHPNRLIFIAENAMANGSFADILDDSVTDWPLAEAKELARVALRCSQLRCRDRPDLETEVLPVLKRLVGLADSSLKIDRNHVDAPSHYFCPILQEIMEDPHIAADGFTYEFRAIKAWLEKHNVSPVTRLRLKHSALTPNHTLRSAIQEWRTHVTYPST >ONH97699 pep chromosome:Prunus_persica_NCBIv2:G7:18984607:18988525:-1 gene:PRUPE_7G205400 transcript:ONH97699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKFEEVFDPFKNKCKTKKVETLVLEDDDPATGLLRFISESGINCLVLGSCSSNYITRHRIIKNSDGSSSAIETSSASWMSTRDHKRGSSDISEHISGVRSFRVESTAHEAYGASPMSDLSYLSSEAFTRMGFSENASVDQETNHHNLGDKLATSSFHHQSSSVSSNTGRMEVEQLRLELQNTISMYKRACEELVRAQSKVQLLSSECLDEARRVDAALESEETLRKIAAEEKEKHLKAMKEIEEAKVLLAKEAYERQIAELNAMKESSEKKKLVDALFSRDRRYRRYSRNEIEVATSFFSEANVIGEGGYGKVYKCSLDHTPVAVKVLRPDAVNKREEFLKEVEILSQLHHPNIVLLLGACPEIGCLVYEYLENGNLEDYISQRNGKPSLPWTVRFRIVFEIACGLAFLHSSKVEPIVHRDLKPGNILLDRNYMSKIGDVGLAKLISNVVPDSITEYRESILAGTLFYMDPEYQRTGTVRPKSDLYAFGVIILQVLTARHPNRLIFIAENAMANGSFADILDDSVTDWPLAEAKELARVALRCSQLRCRDRPDLETEVLPVLKRLVGLADSSLKIDRNHVDAPSHYFCPILQEIMEDPHIAADGFTYEFRAIKAWLEKHNVSPVTRLRLKHSALTPNHTLRSAIQEWRTHVTYPST >ONH97701 pep chromosome:Prunus_persica_NCBIv2:G7:18984607:18988525:-1 gene:PRUPE_7G205400 transcript:ONH97701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKFEEVFDPFKNKCKTKKVETLVLEDDDPATGLLRFISESGINCLVLGSCSSNYITRKLKGPGVSQIVLRCAPHTCDVYVISRHRIIKNSDGSSSAIETSSASWMSTRDHKRGSSDISEHISGVRSFRVESTAHEAYGASPMSDLSYLSSEAFTRMGFSENASVDQETNHHNLGDKLATSSFHHQSSSVSSNTGRMEVEQLRLELQNTISMYKRACEELVRAQSKVQLLSSECLDEARRVDAALESEETLRKIAAEEKEKHLKAMKEIEEAKVLLAKEAYERQIAELNAMKESSEKKKLVDALFSRDRRYRRYSRNEIEVATSFFSEANVIGEGGYGKVYKCSLDHTPVAVKVLRPDAVNKREEFLKEVEILSQLHHPNIVLLLGACPEIGCLVYEYLENGNLEDYISQRNGKPSLPWTVRFRIVFEIACGLAFLHSSKVEPIVHRDLKPGNILLDRNYMSKIGDVGLAKLISNVVPDSITEYRESILAGTLFYMDPEYQRTGTVRPKSDLYAFGVIILQVLTARHPNRLIFIAENAMANGSFADILDDSVTDWPLAEAKELARVALRCSQLRCRDRPDLETEVLPVLKRLVGLADSSLKIDRNHVDAPSHYFCPILQEIMEDPHIAADGFTYEFRAIKAWLEKHNVSPVTRLRLKHSALTPNHTLRSAIQEWRTHVTYPST >ONH97695 pep chromosome:Prunus_persica_NCBIv2:G7:18984606:18988525:-1 gene:PRUPE_7G205400 transcript:ONH97695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVAVAVKGGSSGSRRAVNWAVENLMPKADRFVLVHVIPKITSIPTPSGDHIPVAELDAKLVATYVKDMKEKFEEVFDPFKNKCKTKKVETLVLEDDDPATGLLRFISESGINCLVLGSCSSNYITRHRIIKNSDGSSSAIETSSASWMSTRDHKRGSSDISEHISGVRSFRVESTAHEAYGASPMSDLSYLSSEAFTRMGFSENASVDQETNHHNLGDKLATSSFHHQSSSVSSNTGRMEVEQLRLELQNTISMYKRACEELVRAQSKVQLLSSECLDEARRVDAALESEETLRKIAAEEKEKHLKAMKEIEEAKVLLAKEAYERQIAELNAMKESSEKKKLVDALFSRDRRYRRYSRNEIEVATSFFSEANVIGEGGYGKVYKCSLDHTPVAVKVLRPDAVNKREEFLKEVEILSQLHHPNIVLLLGACPEIGCLVYEYLENGNLEDYISQRNGKPSLPWTVRFRIVFEIACGLAFLHSSKVEPIVHRDLKPGNILLDRNYMSKIGDVGLAKLISNVVPDSITEYRESILAGTLFYMDPEYQRTGTVRPKSDLYAFGVIILQVLTARHPNRLIFIAENAMANGSFADILDDSVTDWPLAEAKELARVALRCSQLRCRDRPDLETEVLPVLKRLVGLADSSLKIDRNHVDAPSHYFCPILQEIMEDPHIAADGFTYEFRAIKAWLEKHNVSPVTRLRLKHSALTPNHTLRSAIQEWRTHVTYPST >ONH94155 pep chromosome:Prunus_persica_NCBIv2:G7:195195:200457:1 gene:PRUPE_7G001300 transcript:ONH94155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNERHHHHRHHQRDDDEERQNYPPPGTGFDQPPPPPPPSYYREDQPPPSYYGVDQPPPLYYGEDQPPPNSYYGGADRPPPTQVSHVSHSSFHGHPPPPSQGFLHQPPPPPVHSFNYQSEEPTPPVTVHHVAHQVHHDQQSPAQFETHHTSHTSHLPSFHPHASSSYFSNKPTFRVFTKADPNFPLAITEGKVILARSHETDDFQHWYKDEKYSTRVKDEERFPSFALVNKATGQALKHSIGATHPVQLIPYNPDILDESILWTESADLGDGFRTVRMVNNIRLNLDAFHGDKKSGGVHDGTIIVLWNKNKGDNQRWKIVPY >ONH94156 pep chromosome:Prunus_persica_NCBIv2:G7:195084:197680:1 gene:PRUPE_7G001300 transcript:ONH94156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPNERHHHHRHHQRDDDEERQNYPPPGTGFDQPPPPPPPSYYREDQPPPSYYGVDQPPPLYYGEDQPPPNSYYGGADRPPPTQVSHVSHSSFHGHPPPPSQGFLHQPPPPPVHSFNYQSEEPTPPVTVHHVAHQVHHDQQSPAQFETHHTSHTSHLPSFHPHASSSYFSNKPTFRVFTKADPNFPLAITEGKVILARSHETDDFQHWYKDEKYSTRVKDEERFPSFALVNKATGQALKHSIGATHPASSI >ONH97641 pep chromosome:Prunus_persica_NCBIv2:G7:18849578:18850140:1 gene:PRUPE_7G203000 transcript:ONH97641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKAYTIQSPFSQPPPPPPPPPAPKTNLPMLYYGLIVVGTAAIVLAMYNLVFIKWTSNRHGGQSPPSRSSNTLMDANRTRRSRSFENLDSFKYKKKQGSVTQQDGVENYVECAVCLSAFEDGEEVRKLPTCKHSFHAPCIDMWLYSHSDCPLCRAPVPVISWCHRQLTTTPEDNSREVLLV >ONH96274 pep chromosome:Prunus_persica_NCBIv2:G7:14238625:14245029:-1 gene:PRUPE_7G117700 transcript:ONH96274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENGRIPSIESLRSVDPGIGSSIEVVLIDRRSDPSLKELQNRVFSLSCTCITTKEIVDQLAKLVCNRMGGSASVGEDEFVPIWRESSDDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADRIDLSCRIAKGCKYCTRDDASSCLVRFGLDREYLVDLIANPGYLCEPDSLLNGPSSISISSPLRFPRLKPVEPTIDFRSLAKQYFSDCQSLNLVFDEASAGSAVDEDNKEFSMYPKQLDRKITEGNNLLLVSSLNDNTSMYAKVSQPSFEDRNPQLFNPSQNIVHTPGMVKDPIPLKRIPPIGHRDVSRVDTTKGSRFVEGVQLVPSKPSKELTFDIEDLDIPWNDLVLKDRIGAGSFGTVHRADWHGSDVAVKILMEQDFHAERFKEFLREVTIMKRLRHPNIVLFMGAVTKPPNLSIVTEYLSRGSLYRLLHKPGAMEALDEKRRLNMAYDVAKGMNYLHRRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFKNKRLEIPRDLNPQVASIIEACWANEPWKRPSFASIMESLMPLIKPPATQPSRPGVPLLS >ONH96273 pep chromosome:Prunus_persica_NCBIv2:G7:14238625:14246687:-1 gene:PRUPE_7G117700 transcript:ONH96273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRSNYTLLSQVPDDQTAAFYDSESKNNKGKAERGFEWETGADFRANRVGNPYSSVGLQRQSSGSSFGESSLSGEYYAPTLSNTAANEIDGFGYVPDDVFKVGGGGEFRMKGGDGAVGTTGGGGSSSGKSWAQQTEESYQLQLALALRLSSEATCTDDPNFLDLVPDVSSSRSSGSVDAVSHRFWVNGCLSYFDIVPDGFYLIHGIDPYVWTVCTDMQENGRIPSIESLRSVDPGIGSSIEVVLIDRRSDPSLKELQNRVFSLSCTCITTKEIVDQLAKLVCNRMGGSASVGEDEFVPIWRESSDDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADRIDLSCRIAKGCKYCTRDDASSCLVRFGLDREYLVDLIANPGYLCEPDSLLNGPSSISISSPLRFPRLKPVEPTIDFRSLAKQYFSDCQSLNLVFDEASAGSAVDEDNKEFSMYPKQLDRKITEGNNLLLVSSLNDNTSMYAKVSQPSFEDRNPQLFNPSQNIVHTPGMVKDPIPLKRIPPIGHRDVSRVDTTKGSRFVEGVQLVPSKPSKELTFDIEDLDIPWNDLVLKDRIGAGSFGTVHRADWHGSDVAVKILMEQDFHAERFKEFLREVTIMKRLRHPNIVLFMGAVTKPPNLSIVTEYLSRGSLYRLLHKPGAMEALDEKRRLNMAYDVAKGMNYLHRRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFKNKRLEIPRDLNPQVASIIEACWANEPWKRPSFASIMESLMPLIKPPATQPSRPGVPLLS >ONH98507 pep chromosome:Prunus_persica_NCBIv2:G7:21286307:21288892:-1 gene:PRUPE_7G252200 transcript:ONH98507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYASEKKSMWEGTLLEAMLVNTVLVAHNSLALLLLATGSVINDINMASKLTAVTGRFPFDELLKLKKPCVENKDASDTEDDEDDNEDDDDVNDQDDEEGGDEDFSGEEGEDEGGDPEDDPEANGNEGSDDEDEDDDDEDDNGDDDEDEDGEEEDEDEEEEVPQPPAKRRK >ONH98506 pep chromosome:Prunus_persica_NCBIv2:G7:21286577:21288634:-1 gene:PRUPE_7G252200 transcript:ONH98506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYASEKKSMWEGTLLEAMLVNTVLVAHNSLALLLLATGSVINDINMASKLTAVTGRFPFDELLKLKKPCVENKDASDTEDDEDDNEDDDDVNDQDDEEGGDEDFSGEEGEDEGGDPEDDPEANGNEGSDDEDEDDDDEDDNGDDDEDEDGEEEDEDEEEEVPQPPAKRRK >ONH97471 pep chromosome:Prunus_persica_NCBIv2:G7:18307706:18311832:-1 gene:PRUPE_7G191600 transcript:ONH97471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLNWVLFTPLRLLEMLISAEAQKLSIEKSKYLGGDVEHTHLVKGLDYALLNKIRSEIDKKPDAEDEADAKSRASKEDQKLSFRTATAKSVYQCIVKPQAVIKTNEMFLPGRMSFIFNMEGGYTHDIPTTLHRSKADCPQPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKEKDAKVIGKISIIGNEFVEEDKPSKPDAGTSKNETKREILPPPPPPPPGPPPRKNHIDSKAQQGPTMARADEDDIFVGDGVDYAIPGKDLSQSPLSEDMEESPRNKEKVSYFDEPVYGPVQPYGAPQEWQETNGYDATQTQMAGAYQGEWPAEYQYAEQMAYPEQYLQPNMEGYDVEAGLNIQDPRFMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKITNDLHKINKILARKKMDKDIDGEGGGGGHYDDDVQPGKKLRV >ONH97470 pep chromosome:Prunus_persica_NCBIv2:G7:18307864:18311650:-1 gene:PRUPE_7G191600 transcript:ONH97470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSKKHHKEKVIRRKEEKAEQPELPKYRDRAKERREDQNPDYEQTELGSFHAVAPPGNVDLRAAEAQKLSIEKSKYLGGDVEHTHLVKGLDYALLNKIRSEIDKKPDAEDEADAKSRASKEDQKLSFRTATAKSVYQCIVKPQAVIKTNEMFLPGRMSFIFNMEGGYTHDIPTTLHRSKADCPQPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKEKDAKVIGKISIIGNEFVEEDKPSKPDAGTSKNETKREILPPPPPPPPGPPPRKNHIDSKAQQGPTMARADEDDIFVGDGVDYAIPGKDLSQSPLSEDMEESPRNKEKVSYFDEPVYGPVQPYGAPQEWQETNGYDATQTQMAGAYQGEWPAEYQYAEQMAYPEQYLQPNMEGYDVEAGLNIQDPRFMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKITNDLHKINKILARKKMDKDIDGEGGGGGHYDDDVQPGKKLRV >ONH98343 pep chromosome:Prunus_persica_NCBIv2:G7:20898120:20900110:1 gene:PRUPE_7G244700 transcript:ONH98343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSSSTPVLNSWLPHSKDSSFPEQQPEMVPQIAKTRSLALSASSRSPLTLSPINDSARKMTRAVSEADLRDLSLTPKRKPFKMPLNGFEEREEDEEMEMAFSSEFRRTVSFVEGCEIGLSENKGLLDVLVEGGVGGGGGKICGGNGGGGGGSDGGDDGSSGFWDSNNNGSGNTEIYYQKMIDAYPGNPMILSNYARFLKEVRGDFEKAEEYCGRAILANPNDGNVLSMFADLVWQNHKDAPRAQTYFDQAVQAAPDDSFVLASYAKFLWDSEEDEEEEEDLKEGANNRASTPNLLQGVPPSPPPLAAAA >ONH98317 pep chromosome:Prunus_persica_NCBIv2:G7:20792654:20810726:1 gene:PRUPE_7G242500 transcript:ONH98317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPKQLLSTVESALLGPSPPSPSQRVELMHAIRNSLSSFQSLLSYPPPKPSDRAQVQSREVRLPDGPPISLDDQDVQIALKLSDDLHLNEIDCVCLLIAANQEWGLMGREPVEVLRLAAGLWYTERRDLLTALYTLLRAIVLDQGLEADLVSDIQKYLENLINNGLRRRLISLIKELNREEPAGLGGPHSEHYVLDSRGALVGRRAVVSRERLILGHCLVLSILVVRTSSKDVKDILFVLKDCAAELSETNNTMKRQITFSLLFSLVIAFISDALSAVPDKASVLSHDASFRHEFHEIVMAVGNDPNVQGFVDSTRLAWAVHLMLIQDAITARDTISSASSSDLGYLQSCLEAIFSNNVFQFILDKVLRTAAYQNDDEDMIYMYNAYLHKLITCFLSHPLARDKVSKESKERAMSILSPYRMAGSHDSNLTSPQVSETGPLPFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLNMLSTLASSEEGASKVFELLQGKVFRSVGWSTLFDCLSIYDEKFKQSLQTAGAMLPEFPEGDAKALVAYLNVLQKVVENGNPLERKNWFSDIEPLFKLLGYENVPPYVKGALRNAITTFVHVSPVLKDTVWSYLEQYDLPVVVGSHAGKSAQPMAAQVYDMQFELNEIEARREQYPSTISFLNLLNTLISEERDLSDRGRRFIGIFRFIYDHVFRPFPQRAYANPCEKWQLVVACLQHFHMILSMYDINEEDIDVVADRSQLSTVTQPSPLQMQLPILELLKDFMSGKTVFRNIMGILLPGVNTIITERTNEVYGPLLEKAVQLSLEIIILVLEKDLLLSDFWRPLYQPLDVILSQDHNQIVALLEYVRYDFRPQIQQCSIKIMSILSSRMVGLVQLLLKSNAGSCLIEDYAACLELRSEACQITENTSEDPGVLILQLLVDNISRPAPNITHLLLKFDLDSPIERTVLQPKFHYSCLKVILEILEKLSKPDVNVLLHEFGFKLLYELCLDPLTGGPTMDLLSSKKYRFFVKHLDTIGVAPLPKRNNNQALRISSLHQRAWLLRLLAIELHVGDVNSSTHREACLSILAHLFGQENVETGIDFLVSHSFSLQDGVEHAGTRTVSKSKVLELLEVVQFKSPDTTMNLSPVVSNTKYELLVDDVLNYPTTSGKGGVYYYSERGDRLIDLASFRDKLWQKFKSVYPQLSNIGSDVELNDVKETIQQLLRWGWKHNKNLEEQAAQLHMLTGWSHIVEISASRRISSLGNRSEVLYQVLDAALTASASPDCSLKMAIMLCQVALTCMAKLRDERFLFPGGFNSDSLACLDIIMAKQLPNGACHAILFKLTLAILRHESSEALRRRLYTLLLSYFQYCQHMLDPDVPSTVLQFLLLDEQDGDDMELQKINREQAELARANFSILRKEAQPILDLVIRDATQGSELGKQMALYVLDALICVDHERYFLSQLQSRGFLRSCLMSISNFSHQDGGQRAYTLEAELALLLRISHKYGKSGAQVIFSMGALEHIASCRAVNFLGSLRWVGTKHQRDVPVDIKKQRMVITPILRLVFSLLSLVDTSEFFEVKNKVVREVIDFVKGHRSLFDHVLQEDISEADELVMEQINLVVGILSKVWPYEESDECGFVQGLFGLMHALFSRDWESVSSARSVQSVENKRKSELNSFRLCFSLSSYLYFLVTKKSLRLQISDVPPDYNAAVRLQHPTLSLLGSFLTSVTTALERATEEKSLLLNKIRDINEVSRQEVDEIINMFAQQVCMSSSDNIQKRRYIAMVEMCQVVGIRDQLVTLLLPLVEHVLNVFLIHFQDRSLVSDANGSLKAITCGAKSDPGQDISSLCGNLIPTLERLELLSEDKVGHNLKVFRRLVTSLEEMTIQRLGS >ONH98898 pep chromosome:Prunus_persica_NCBIv2:G7:22228656:22233514:1 gene:PRUPE_7G271300 transcript:ONH98898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGECASLNLVQLWKRFRGDDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSFVYNKGKVHKVPATDMEALKSPLMGLFEKRRARKFFIYVQDYNETDPKTHEGMDLRRVTTRDLIAKYGLDDNTVDFIGHALALHRDDRYLEEPALETVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFNDEGKVIGVTSEGETARCTKVVCDPSYLPNKVRKVGKVARAIAIMSHPIPNTNDSHSVQVILPQKQLGRRSDIGRD >ONH98897 pep chromosome:Prunus_persica_NCBIv2:G7:22228586:22233547:1 gene:PRUPE_7G271300 transcript:ONH98897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGECASLNLVQLWKRFRGDDKPPAHLGSSRDYNVDMVPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSFVYNKGKVHKVPATDMEALKSPLMGLFEKRRARKFFIYVQDYNETDPKTHEGMDLRRVTTRDLIAKYGLDDNTVDFIGHALALHRDDRYLEEPALETVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFNDEGKVIGVTSEGETARCTKVVCDPSYLPNKVRKVGKVARAIAIMSHPIPNTNDSHSVQVILPQKQLGRRSDMYLFCCSYSHNVAPKGKFIAFVSTEAETDHPETELKPGIDLLGPVEEIFFDIYDRYLPVNEPSLDNCFISTSYDATTHFESTVMDVLNMYTLITGKVLDLSVDLSAASAAEE >ONH97775 pep chromosome:Prunus_persica_NCBIv2:G7:19194374:19202257:1 gene:PRUPE_7G209300 transcript:ONH97775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLMSAPVPQKIKGQVPSLSPSIPEVLPPDDAARPPLSVEGHVPSVPPNNAPPPPSVEGHIPPVPPNNAPPPPSVEGHVPSVPANNAPPPPSVQGHIPSVPPNNAPPSPSVEGHVPPVPPNNAPPSPSVEGHVPPVPPNNAPPSPSVEGRVPSVPSNNAPPPLSVEGHVPPVPPNNAPPPRSVEGHVPPMPPNNAPPSPSVEGHVPPVPPNNAPPPRSVEGHVPPMPPNNAPPSPSVEGHVPPGPPNNAPPPPSVEGHVPPAPPNNAPPPQSVEGHVPSAPPNNAPPPLSVEGHVPPVPPNNAPPPLSVEGHVPSVPPNNASPPLSVQGHVPSVPPTPQTKAPVNKSPISVPVAPVPIATPSRTLPQISPAIHSSTPDTSPLSAHQRHAPENKVPIPEPLAPAPVSSLPRTLGPNPPVMHPSTPNIAPPLLPVPVASPTSKSPQNSPSIHPVTPGESPSTFPDPDVSPASSTPPSINWKRNPAVAPPYEAPKPSPPMDHNPAKAPSVHKPVVSSPPFSTNWKRGRIPVVAPSYEAPKPAMPMGHTPAKAPAVHKPVRHYKLAPAPSDSSPEPPFDKGHSSPASSPSTYKNHHARNKVTSPALTPSYLVSPSTSKQPGPVIPPASFQTGRQRHYGSPPLNPGSSVPPSHLPVTPSLSHVSPAPSPSLKDAPHYTKMPPKLSPSGSSAKSPKMPPLPLVRAFPPPPPNEDCSSTICTDPYTNTPPGSPCGCVLPLQVGLRLSVALYTFFPLVSELAQEIAVGVFMQQSQVRIIGANAATQQPEKTVALIDLVPLGEKFDNTTAFLTSQRFWHKQVAIKASYFGDYDVLYVRYPGLPPSPPSSDVDVIYEGPYPVNNNNGRTVKPLGVDVHKRRNKNGLSGGIIAIIALSTFAAVALCSAAAWVFLFKHGDRASEPTATPQALLPSVGKPSGTAGSMMESRHSSVSLSFGSSIATYTGSANTFSASDIERATDNFDPSRILGEGGFGRVYSGVLEDETKIAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEEHSRSLVYELIPNGSVESHLHGIDKDTAPLNWAHRMKIALGAARGLAYLHEDSSPRVIHRDFKASNILLEDDFTPKVSDFGLARTAMDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGEENLVAWARPLLTSKEGLEAMIDPNLGDVPFDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEAKEIGSRCSSRDDLSIDVAAEASTASGQLPDTFQSQYSILTYDSELETEREGSLLKLFSTSMSMGRQDSESFRRHSSSGPLGTGRSRQFWERLRRSSGGSVSEHGFLLKLWQGSH >ONH97774 pep chromosome:Prunus_persica_NCBIv2:G7:19194299:19202257:1 gene:PRUPE_7G209300 transcript:ONH97774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVMPAIFQLVNLCIIGFALAFQGSAGLNLSPSPEPLSVNPPIETAPAPLSHRKSFTSNVPIPALQPNGSDLHLPPAMPPLMSAPVPQKIKGQVPSLSPSIPEVLPPDDAARPPLSVEGHVPSVPPNNAPPPPSVEGHIPPVPPNNAPPPPSVEGHVPSVPANNAPPPPSVQGHIPSVPPNNAPPSPSVEGHVPPVPPNNAPPSPSVEGHVPPVPPNNAPPSPSVEGRVPSVPSNNAPPPLSVEGHVPPVPPNNAPPPRSVEGHVPPMPPNNAPPSPSVEGHVPPVPPNNAPPPRSVEGHVPPMPPNNAPPSPSVEGHVPPGPPNNAPPPPSVEGHVPPAPPNNAPPPQSVEGHVPSAPPNNAPPPLSVEGHVPPVPPNNAPPPLSVEGHVPSVPPNNASPPLSVQGHVPSVPPTPQTKAPVNKSPISVPVAPVPIATPSRTLPQISPAIHSSTPDTSPLSAHQRHAPENKVPIPEPLAPAPVSSLPRTLGPNPPVMHPSTPNIAPPLLPVPVASPTSKSPQNSPSIHPVTPGESPSTFPDPDVSPASSTPPSINWKRNPAVAPPYEAPKPSPPMDHNPAKAPSVHKPVVSSPPFSTNWKRGRIPVVAPSYEAPKPAMPMGHTPAKAPAVHKPVRHYKLAPAPSDSSPEPPFDKGHSSPASSPSTYKNHHARNKVTSPALTPSYLVSPSTSKQPGPVIPPASFQTGRQRHYGSPPLNPGSSVPPSHLPVTPSLSHVSPAPSPSLKDAPHYTKMPPKLSPSGSSAKSPKMPPLPLVRAFPPPPPNEDCSSTICTDPYTNTPPGSPCGCVLPLQVGLRLSVALYTFFPLVSELAQEIAVGVFMQQSQVRIIGANAATQQPEKTVALIDLVPLGEKFDNTTAFLTSQRFWHKQVAIKASYFGDYDVLYVRYPGLPPSPPSSDVDVIYEGPYPVNNNNGRTVKPLGVDVHKRRNKNGLSGGIIAIIALSTFAAVALCSAAAWVFLFKHGDRASEPTATPQALLPSVGKPSGTAGSMMESRHSSVSLSFGSSIATYTGSANTFSASDIERATDNFDPSRILGEGGFGRVYSGVLEDETKIAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEEHSRSLVYELIPNGSVESHLHGIDKDTAPLNWAHRMKIALGAARGLAYLHEDSSPRVIHRDFKASNILLEDDFTPKVSDFGLARTAMDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGEENLVAWARPLLTSKEGLEAMIDPNLGDVPFDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEAKEIGSRCSSRDDLSIDVAAEASTASGQLPDTFQSQYSILTYDSELETEREGSLLKLFSTSMSMGRQDSESFRRHSSSGPLGTGRSRQFWERLRRSSGGSVSEHGFLLKLWQGSH >ONH94639 pep chromosome:Prunus_persica_NCBIv2:G7:3879290:3880149:-1 gene:PRUPE_7G024600 transcript:ONH94639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSPWASSNTKTSLRLIKVEYGEYDLENANIFIGSSIFVEEHAIKVWDAVEKETNRLDAVFFLFALVDSK >ONH97380 pep chromosome:Prunus_persica_NCBIv2:G7:18036286:18042495:-1 gene:PRUPE_7G186700 transcript:ONH97380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEMDDAYTLSLSEDDDYICTSILARFSNATRDEHHHLCAAISAMSQELKDKHIPSTPVAYLGFTCSSLDGLSSQSEPPAHVINSLLTILSIVFQKVSAAILMNKSEFLSELLVRVLRSSSLRVGVAVSGLKCISYLLIIRGRVNWSDVSSLYRLLLSFITDFCPKEHRCSPPASEGVTNLFERFLLLAGGSNPDAGEGPKGAQEVLYVLDALKECLFLMSINYKTAVLKYYKTLLELHQPLVTKRITDSLNILCLNPTTDVSPEVLLDLLCSLALSVSTNETSADGMTFTARLLGTGMAKVYSLNRKICVVKLPTVFNAVRDVLASKHEEAIHAATDTFKSLIHVCIDESLIKQGVDQIVMNANMDTRKSGQTIIAEVCASIESLLRYDYNGVWDLAFHIVSAMFDKLGVYSSYFMRGTLKSLADMENLPDEDFPFRKQVNVWLFPILKQYTIGARLSFFTESILGMARTIKEKSRKLESQGRTFSSRSTDALVHALWSLLPSFCNYASDTAESFKDLEQALCSALKDEPEIRGIICLSLQILIQQNKKIVEEVNDLADSEVGSARHGALANYSPQVTADNLNVLKSPACKLLDVLSDVFLNTTKDDAGCLQSTIGEFVSISDEEAVSKCFKHKRTELLNVKAKDSTDSNSNRAQLFDLAVSFLPGLNAEEVDLLFGRIKLALQDDERLIQKKAYKVLSIILRVLASKLDEFLDLIEVLPSCHFSAKQHRLDCLYLLVVHLSKSDAEQRRHVILSFLAEIILALTEAHNKTRNRAYDILVQIGHAYGDEEKGGKREDLLEFFYMVAGGLAGETPHMISAAMKGLARLAYEFCDLVSTASNLVPSIFLLLQRKNKEIIKATLGFLKVLVAKSQTEGLQLHLEGMVESLLKLQDATKTHFKAKVKFLLEMLVRKCGLDAVKGVMPEEHMKLLANIRKIKERKEWKLGSKYEEARSQVSKATTSRSQSSTVLKTNKCSSTTHTFVHFNVLLYTRVCCPRSFI >ONH97379 pep chromosome:Prunus_persica_NCBIv2:G7:18035135:18042495:-1 gene:PRUPE_7G186700 transcript:ONH97379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEMDDAYTLSLSEDDDYICTSILARFSNATRDEHHHLCAAISAMSQELKDKHIPSTPVAYLGFTCSSLDGLSSQSEPPAHVINSLLTILSIVFQKVSAAILMNKSEFLSELLVRVLRSSSLRVGVAVSGLKCISYLLIIRGRVNWSDVSSLYRLLLSFITDFCPKEHRCSPPASEGVTNLFERFLLLAGGSNPDAGEGPKGAQEVLYVLDALKECLFLMSINYKTAVLKYYKTLLELHQPLVTKRITDSLNILCLNPTTDVSPEVLLDLLCSLALSVSTNETSADGMTFTARLLGTGMAKVYSLNRKICVVKLPTVFNAVRDVLASKHEEAIHAATDTFKSLIHVCIDESLIKQGVDQIVMNANMDTRKSGQTIIAEVCASIESLLRYDYNGVWDLAFHIVSAMFDKLGVYSSYFMRGTLKSLADMENLPDEDFPFRKQVNVWLFPILKQYTIGARLSFFTESILGMARTIKEKSRKLESQGRTFSSRSTDALVHALWSLLPSFCNYASDTAESFKDLEQALCSALKDEPEIRGIICLSLQILIQQNKKIVEEVNDLADSEVGSARHGALANYSPQVTADNLNVLKSPACKLLDVLSDVFLNTTKDDAGCLQSTIGEFVSISDEEAVSKCFKHKRTELLNVKAKDSTDSNSNRAQLFDLAVSFLPGLNAEEVDLLFGRIKLALQDDERLIQKKAYKVLSIILRVLASKLDEFLDLIEVLPSCHFSAKQHRLDCLYLLVVHLSKSDAEQRRHVILSFLAEIILALTEAHNKTRNRAYDILVQIGHAYGDEEKGGKREDLLEFFYMVAGGLAGETPHMISAAMKGLARLAYEFCDLVSTASNLVPSIFLLLQRKNKEIIKATLGFLKVLVAKSQTEGLQLHLEGMVESLLKLQDATKTHFKAKVKFLLEMLVRKCGLDAVKGVMPEEHMKLLANIRKIKERKEWKLGSKYEEARSQVSKATTSRLSRWNHTKIFSDFDDEETEDSDTDYMDAKTVSGKRRKASLQLKSKASSLRRTNKNLLDQLEEEPLDLLDRQRTRSALLSSENLNRKMESDDEPEIDDDGRLIICDEAESYKKKPSKPHSDARSEAGGSYLPVDSKKTHKRRKTSESRWAATGKEYVSKKAGGDLKRKDKLEPYAYWPLDRKLMSRRPEHRATARKGISAVVKMTKKLEGKSVSTIFSTKGVKV >ONH96412 pep chromosome:Prunus_persica_NCBIv2:G7:14846058:14849063:1 gene:PRUPE_7G127600 transcript:ONH96412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNGDRDNENHYDEDEEVEEFEEEQEEVEEEDEEEDFIDADYAAYLSELLPSSFGHHAGGISGGTESRDGAEKGGEKRRRIRGPGTLSLGGIGSAEGSQGNEWNRSGIDGLFCPICLDAWTNDGDHRICCLPCGHIYGMSCITKWLQRRNSRKCPQCNQKCKLKDVRKLFVSQVLSVDEESQKRIRVLEDKCASLEEKVADLSKKEAEWLKREGELQQKVQQCTEPSMDSAKIMQSITAQCNDIL >ONH96411 pep chromosome:Prunus_persica_NCBIv2:G7:14846058:14849063:1 gene:PRUPE_7G127600 transcript:ONH96411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNGDRDNENHYDEDEEVEEFEEEQEEVEEEDEEEDFIDADYAAYLSELLPSSFGHHAGGISGGTESRDGAEKGGEKRRRIRGPGTLSLGGIGSAEGSQGNEWNRSGIDGLFCPICLDAWTNDGDHRICCLPCGHIYGMSCITKWLQRRNSRKCPQCNQKCKLKDVRKLFVSQVLSVDEESQKRIRVLEDKCASLEEKVADLSKKEAEWLKREGELQQKVQQCTEPSMDSAKIMQSITAQCNDIL >ONH96410 pep chromosome:Prunus_persica_NCBIv2:G7:14846058:14849063:1 gene:PRUPE_7G127600 transcript:ONH96410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNGDRDNENHYDEDEEVEEFEEEQEEVEEEDEEEDFIDADYAAYLSELLPSSFGHHAGGISGGTESRDGAEKGGEKRRRIRGPGTLSLGGIGSAEGSQGNEWNRSGIDGLFCPICLDAWTNDGDHRICCLPCGHIYGMSCITKWLQRRNSRKCPQCNQKCKLKDVRKLFVSQVLSVDEESQKRIRVLEDKCASLEEKVADLSKKEAEWLKREGELQQKVQQCTEDW >ONH96413 pep chromosome:Prunus_persica_NCBIv2:G7:14846274:14847037:1 gene:PRUPE_7G127600 transcript:ONH96413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNGDRDNENHYDEDEEVEEFEEEQEEVEEEDEEEDFIDADYAAYLSELLPSSFGHHAGGISGGTESRDGAEKGGEKRRRIRGPGTLSLGGIGSAEGSQGNEWNRSGIDGLFCPICLDAWTNDGDHRICCLPCGHIYGMSCITKWLQRRNSRKCPQCNQKCKLKDVRKLFVSQVLSVDEESQKVLLDLMSVN >ONH97798 pep chromosome:Prunus_persica_NCBIv2:G7:19316856:19319051:1 gene:PRUPE_7G211200 transcript:ONH97798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSLPMKPTPIPTQDEELPNPATALLSFNTDSSTSSPSTSSPSHKPSTTIIFISLLLITCIALSAAIAFAFLFFSYPSKATATPAHNSTSVESKARVLAKLDHPVVLLVSSDGFRFGYQFKTPTPNIGRLIANGTEAEQGLIPVFPTLTFPNHYSIVTGLYPAYHGIVNNHFVDPHTGEFFNMGSHEPKWWLGEPLWETVVNHGLKAATYFWPGSEVNKGSWTCPEKLCMQYNGSVPFEQRVDTVLNYFDLPSSEIPAFMTLYFEDPDHQGHQVGPDDPEITEAVARIDRMIGRLIEGLEKRGVFEDVTIILVGDHGMVGTCDKKLIYLDDLASWIEIPDNWVLSHTPLLAIRPPSGIDPSNVVAKMNEGLKSGKVENGKNLRMYLKEELPSRLHYAASDRIPPIIGLVEEGFKIEQKRTKRRECGGSHGYDNAVFSMRTIFVGHGPQFARGRKVPSFENVHIYNLVTKILNIQGAPNNGSLSFSKSVLLPSA >ONH95488 pep chromosome:Prunus_persica_NCBIv2:G7:11134409:11138308:1 gene:PRUPE_7G073900 transcript:ONH95488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDIGKLPIDITFSRLGEWLVDRKRIPGDWRKRLAAARARISKEFASLPKDINPYFRSLEVDGIGYLDAKQIYDILAKSAPESRNIFGRLSGAAGAWESIVRSFEKDYVYLGEAAQIMVQNVNYEIPYQKKQVQKIQQQLAELEHKEADIKRSAALSAAKYVEACQELGLQRPLVVLTNLKDLREHPPSLNVPIGSEVVDSGNVQSSNDETNNVKVDVDINADSLDWDFSVDSSQIDWDIGTLEETEDTGNGLGPYEMVNASEILQSAPNEAVKSDQIPEISVSEISWDISVETPQVDVIDDVNFPNVVVDNQTSGLDTSTETTEIKEGRSQLLETEYRNKILDDLNEVKAFLNQRLVELKNEETLTLQHQVQAVAPFVLQQYAHDAIEAMLSDVSLAISLLTNRKTRDLIMILNSKRFLDRLVSSLEEKKHHEVKLKDGLKGIAAKRMELQNSLSSSWPKQEAALAKTRELKKLCESTLSSMFDGRPVNIIGEINTLLTSGLGA >ONH95487 pep chromosome:Prunus_persica_NCBIv2:G7:11134409:11138308:1 gene:PRUPE_7G073900 transcript:ONH95487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDIGKLPIDITFSRLGEWLVDRKRIPGDWRKRLAAARARISKEFASLPKDINPYFRSLEVDGIGYLDAKQIYDILAKSAPESRNIFGRLSGAAGAWESIVRSFEKDYVYLGEAAQIMVQNVNYEIPYQKKQVQKIQQQLAELEHKEADIKRSAALSAAKYVEACQELGLQGKNVRLELLETAKSLPSTFSKILEVLNGESVSRALEYYSNFVRDAHTDKDRPLVVLTNLKDLREHPPSLNVPIGSEVVDSGNVQSSNDETNNVKVDVDINADSLDWDFSVDSSQIDWDIGTLEETEDTGNGLGPYEMVNASEILQSAPNEAVKSDQIPEISVSEISWDISVETPQVDVIDDVNFPNVVVDNQTSGLDTSTETTEIKEGRSQLLETEYRNKILDDLNEVKAFLNQRLVELKNEETLTLQHQVQAVAPFVLQQYAHDAIEAMLSDVSLAISLLTNRKTRDLIMILNSKRFLDRLVSSLEEKKHHEVKLKDGLKGIAAKRMELQNSLSSSWPKQEAALAKTRELKKLCESTLSSMFDGRPVNIIGEINTLLTSGLGA >ONH97149 pep chromosome:Prunus_persica_NCBIv2:G7:17339198:17341612:1 gene:PRUPE_7G172400 transcript:ONH97149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEAQTLANVYLALENNLEIIPVLNKIDLPGADPDSVIKEIEEVIGLDCSNAILCSAKEGIGISEILDAIVERVPPPADTADKPLRALIFDSYYDPYRGVIVYFRVIDGKIKKGDRVYFMASGKDYFADEIGVLSPTQFQVGELYAGEVGYLSASIRSVADARVGDTITNYSRKAESSLPGYEEATPMVFCGMFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYKVNCVNGDIVECSNPSVLPEPGKRKSIEEPVVKIEMLTPKDYIGPLMELAQDRRAVFKEMKFIAENRASLTYELPLAEMVGDFFDQLKSRSKGYASMEYTFLGYKESDLIRLDIQINGEHVEPLATIVHKDKAYAVGRALTQKLKELIPRQMFKVPIQACIGSKVIASEALSAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >ONH97148 pep chromosome:Prunus_persica_NCBIv2:G7:17339198:17341584:1 gene:PRUPE_7G172400 transcript:ONH97148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLSAQSQTLLLSTTTTTPQRSHPRTTSFLPFFSLSHSKPLLHLSRTSSRPRQRLQVLCQATGTQPISQLGHDRLSKVPISRIRNFCIIAHIDHGKSTLADKLLETTGTVQKREMKEQFLDNMDLERERGITIKLQIARMRYSFKNGEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGADPDSVIKEIEEVIGLDCSNAILCSAKEGIGISEILDAIVERVPPPADTADKPLRALIFDSYYDPYRGVIVYFRVIDGKIKKGDRVYFMASGKDYFADEIGVLSPTQFQVGELYAGEVGYLSASIRSVADARVGDTITNYSRKAESSLPGYEEATPMVFCGMFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYKVNCVNGDIVECSNPSVLPEPGKRKSIEEPVVKIEMLTPKDYIGPLMELAQDRRAVFKEMKFIAENRASLTYELPLAEMVGDFFDQLKSRSKGYASMEYTFLGYKESDLIRLDIQINGEHVEPLATIVHKDKAYAVGRALTQKLKELIPRQMFKVPIQACIGSKVIASEALSAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >ONH97816 pep chromosome:Prunus_persica_NCBIv2:G7:19356959:19358749:-1 gene:PRUPE_7G212300 transcript:ONH97816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKCSHCGNIGHNSRTCTNFRGTGTSFSVGHVGVRLFGVQLHHHDHHHLMMPSSSNMNHHVAMSMKKSFSMDCLPTSSASSSSPSSSRISVDENSIQDKASIGYLSDGLICRAQERKKGVPWTEEEHRTFLIGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKYFLRQATLTKKKRRSSLFDMFGRSNNINLSTGHPANTNTSHYKQPLSDDHQVLPPQHNNIIHDNSGATLPLLELGTSVAAQNPNLDNLKPDHCSANQQLPVWIYGLIDSQMKYSSNIAASKPGSSASVVPDLELKLAAPASPSLEQKNKSSPSPAGPLLNLGPISVT >ONH97185 pep chromosome:Prunus_persica_NCBIv2:G7:17429468:17432102:-1 gene:PRUPE_7G174600 transcript:ONH97185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQRYLAVASPPSPSSGHSHRTHILGGFVVGILTVLVVALLFYLIKTKLLPALRHRQLPQKLGQKGKLKEEKILLRRFQLEELVKATKNFSKDCLLGCGAFGSVYQGTFDDLQTLAIKRTHADSFQSAEEFRNVRLLSKVKHRNLVGLVGFCEEPSGAGGGKVLIYEYVPNGSLLDYFMGRKWRSLTWRQRVNIAIGAAKGIAHLHEGVSPSIIHRDIKPSNILIGDGFEAKVSDFGLVRSGPTGDQSHVSSQIKGTPGYLDPAYCSSFHLTPFSDVYSFGVILLQLVSSRPAVDLTGHRPNQHIIDWARPSIERGRVEEILDANLLTEPCNTEMMLKMGQLGLRCVVKVPKNRPTMSEVCQELEEALYNADNLFINKQPSRESRRSTGSRQPTEPGHKRSIDYDQSQNSVSIDGIGFQRFHVDIDSLSFQSTSLRCLELDNSSISIDIDNLEDIHEESSREKELNM >ONH97187 pep chromosome:Prunus_persica_NCBIv2:G7:17429638:17431951:-1 gene:PRUPE_7G174600 transcript:ONH97187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQRYLAVASPPSPSSGHSHRTHILGGFVVGILTVLVVALLFYLIKTKLLPALRHRQLPQKLGQKGKLKEEKILLRRFQLEELVKATKNFSKDCLLGCGAFGSVYQGTFDDLQTLAIKRTHADSFQSAEEFRNEVRLLSKVKHRNLVGLVGFCEEPSGAGGGKVLIYEYVPNGSLLDYFMGRKWRSLTWRQRVNIAIGAAKGIAHLHEGVSPSIIHRDIKPSNILIGDGFEAKVSDFGLVRSGPTGDQSHVSSQIKGTPGYLDPAYCSSFHLTPFSDVYSFGVILLQLVSSRPAVDLTGHRPNQHIIDWARPSIERGRVEEILDANLLTEPCNTEMMLKMGQLGLRCVVKVPKNRPTMSEVCQELEEALYNADNLFINKQPSRESRRSTGSRQPTEPGHKRSIDYDQSQNSVSIDGIGFQRFHVDIDSLSFQSTSLRCLELDNSSISIDIDNLEDIHEESSREKELNM >ONH97186 pep chromosome:Prunus_persica_NCBIv2:G7:17429469:17432102:-1 gene:PRUPE_7G174600 transcript:ONH97186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQRYLAVASPPSPSSGHSHRTHILGGFVVGILTVLVVALLFYLIKTKLLPALRHRQLPQKLGQKGKLKEEKILLRRFQLEELVKATKNFSKDCLLGCGAFGSVYQGTFDDLQTLAIKRTHADSFQSAEEFRNEVRLLSKVKHRNLVGLVGFCEEPSGGGKVLIYEYVPNGSLLDYFMGRKWRSLTWRQRVNIAIGAAKGIAHLHEGVSPSIIHRDIKPSNILIGDGFEAKVSDFGLVRSGPTGDQSHVSSQIKGTPGYLDPAYCSSFHLTPFSDVYSFGVILLQLVSSRPAVDLTGHRPNQHIIDWARPSIERGRVEEILDANLLTEPCNTEMMLKMGQLGLRCVVKVPKNRPTMSEVCQELEEALYNADNLFINKQPSRESRRSTGSRQPTEPGHKRSIDYDQSQNSVSIDGIGFQRFHVDIDSLSFQSTSLRCLELDNSSISIDIDNLEDIHEESSREKELNM >ONH95377 pep chromosome:Prunus_persica_NCBIv2:G7:10499342:10504524:-1 gene:PRUPE_7G066900 transcript:ONH95377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVSPTATEAEIKKAYYMKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPGQRQAYDAFGKSGISTDSIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFSEGEQFDPKKLQEKMRVVQREREEKLAETLKNRLNQYVQGNKEDFVSHAEAEVARLSNAAYGVDMLNTIGYIYARQSAKELGKKALYLGVPFIAEWFRNKGHFIKSQVTAATGAIALIQLQEDMKKQLSAEGNYTEEELEEYMSSNKKLMIDSLWKLNVADIEATLSRVCQMVLQDNNAKKEELRARAKGLKTLGKIFQRTKSTSGSEGETAQNSGVHILNGSESRYDACSPITTPKASNPEEPSYTVFASQVSQSPYVEAPQIAGAQFDYHFPRPTAPPGAQRHSSIGRD >ONH95374 pep chromosome:Prunus_persica_NCBIv2:G7:10499221:10504524:-1 gene:PRUPE_7G066900 transcript:ONH95374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVSPTATEAEIKKAYYMKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPGQRQAYDAFGKSGISTDSIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFSEGEQFDPKKLQEKMRVVQREREEKLAETLKNRLNQYVQGNKEDFVSHAEAEVARLSNAAYGVDMLNTIGYIYARQSAKELGKKALYLGVPFIAEWFRNKGHFIKSQVTAATGAIALIQLQEDMKKQLSAEGNYTEEELEEYMSSNKKLMIDSLWKLNVADIEATLSRVCQMVLQDNNAKKEELRARAKGLKTLGKIFQRTKSTSGSEGETAQNSGVHILNGSESRYDACSPITTPKASNPEEPSYTVFASQGKNITCCILLRITKELRILSPLLN >ONH95376 pep chromosome:Prunus_persica_NCBIv2:G7:10499769:10504401:-1 gene:PRUPE_7G066900 transcript:ONH95376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVSPTATEAEIKKAYYMKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPGQRQAYDAFGKSGISTDSIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFSEGEQFDPKKLQEKMRVVQREREEKLAETLKNRLNQYVQGNKEDFVSHAEAEVARLSNAAYGVDMLNTIGYIYARQSAKELGKKALYLGVPFIAEWFRNKGHFIKSQVTAATGAIALIQLQEDMKKQLSAEGNYTEEELEEYMSSNKKLMIDSLWKLNVADIEATLSRVCQMVLQDNNAKKEELRARAKGLKTLGKIFQRTKSTSGSEGETAQNSGVHILNGSESRYDACSPITTPKASNPEEPSYTVFASQSPYVEAPQIAGAQFDYHFPRPTAPPGAQRHSSIGRD >ONH98710 pep chromosome:Prunus_persica_NCBIv2:G7:21808689:21813491:1 gene:PRUPE_7G262900 transcript:ONH98710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIVVSLASTLIAVTSPSYSSSFLTKLRSSPSLRLSLAVTPLCSRRGKLMAHTLARATLGLTFPSGLDAPKISFAVKDIDVTEWKGDLLAVGVTEKDLAKDENSKFQNSILKNLDSRLGGLLAEASSEEDFTGKSGQSTVVRLPGLGSKRIGLFGLGQSASSTAAFRGLGEAAATAAKATQASDLAIVLASSEGLSAKSNTASAIASGTVLGIYEDSRYKSESKKSALKSVDILGLGTGPEVEKKLKYAEDVTSGIIFGKELVNSPANVLTPGKLAEEASKIASTYSDVLSAKILNEEQCKELKMGSYLAVAAASANPPHFIHLIYKPPGGPAKVKLGLVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVLGAAKAIGQIKPPGVEVHFIVAACENMISGTGVRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPSIAGVFTPSDDLAKEVFAASELSGEKFWRLPLEESYWESMKSGIADMVNTGGRPGGSISAALFLKQFVDEKVEWMHIDMAGPVWSDKKRTATGFGVSTLVEWVLKNSA >ONH97361 pep chromosome:Prunus_persica_NCBIv2:G7:17972905:17978500:1 gene:PRUPE_7G185400 transcript:ONH97361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFSLGLGVWLGLVGVAMAASESSSQSRELDRTPTWAVSGVCAVIIIISLVLEKVLHKVGTFLTDRHKRALFEALEKVKAELMILGFISLLLTFGQSYIARICIPLKVADTMLPCTVKDVKDEEDDSTSHRRLLWNDRRSLAAASDYKCKTGYEPLISINGLHQLHILIFFLAVFHVLYSAITMLLGRLKIRGWKQWEAETSSHDYEFSNDPSRFRLTHETSFVRAHTSFWTRIPFFFYVGCFFRQFFRSVSKSDYLTVRNGFITVHLGAGSKFNFQKYIKRSLEDDFKVVVGVSPVLWASFVIFLLLNVKGWQALFWASLIPLIIILLVGTKLQAILTKMALEITERHAVVQGIPLVQGSDKYFWFARPQLVLHLIHFALFQNAFQIIYFFWIWYSFGLKSCFHANFKLAIAKVFLGVGVLCLCSYITLPLYALVTQMGSHMKKSIFDEQTSKALKKWHMAVKKKHGGTHGGKSPTQTLGGGSSTLSTVHSSGGHTLHRFKTTGHSTRSSVFDDHETSDLETDPLSPTSTTNLIVRVDQMEQETEKIEPQDNEQTNNPDDFSFAKPAPDKET >ONH97362 pep chromosome:Prunus_persica_NCBIv2:G7:17972905:17978500:1 gene:PRUPE_7G185400 transcript:ONH97362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFSLGLGVWLGLVGVAMAASESSSQSRELDRTPTWAVSGVCAVIIIISLVLEKVLHKVGTFLTDRHKRALFEALEKVKAELMILGFISLLLTFGQSYIARICIPLKVADTMLPCTVKDVKDEEDDSTSHRRLLWNDRRSLAAASDYKCKTGYEPLISINGLHQLHILIFFLAVFHVLYSAITMLLGRLKIRGWKQWEAETSSHDYEFSNDPSRFRLTHETSFVRAHTSFWTRIPFFFYVGCFFRQFFRSVSKSDYLTVRNGFITVHLGAGSKFNFQKYIKRSLEDDFKVVVGVSPVLWASFVIFLLLNVKGWQALFWASLIPLIIILLVGTKLQAILTKMALEITERHAVVQGIPLVQGSDKYFWFARPQLVLHLIHFALFQNAFQIIYFFWIWYSFGLKSCFHANFKLAIAKVFLGVGVLCLCSYITLPLYALVTQMGSHMKKSIFDEQTSKALKKWHMAVKKKHGGTHGGKSPTQTLGGGSSTLSTVHSSGGHTLHRFKTTGHSTRSSVFDDHETSDLETDPLSPTSTTNLIVRVDQMEQETEKIEPQDNEQTNNPDDFSFAKPAPDKET >ONH94235 pep chromosome:Prunus_persica_NCBIv2:G7:627883:628819:1 gene:PRUPE_7G005400 transcript:ONH94235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFPSLCPVCVNHTTSKNHSFTLLIQKLVSLALHTLEIISLAYLLSLHFDFRSFRRAGEFTCCVLPFSVCLKSCVFIINSMHSFPVCAAIVNTMDTDRILVLVFFRLNDSMRLVLQYHMVLSDCCDVHSF >ONH94273 pep chromosome:Prunus_persica_NCBIv2:G7:926849:931436:-1 gene:PRUPE_7G007200 transcript:ONH94273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPIFLMGLLFLCFNIAIAEAQYINYKDPKQPLNSRIKDLVSRMTLEEKIGQMVQIDRSVASAEVMKKYFIGSILSGGGSVPAQKASAETWINMVNDFQKGSLSTRLGIPLIYGIDAVHGHNNVYKATIFPHNIGLGATRDPELVKRIGAATALEARATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKIVQAMTEIIPGLQGEIPANSRKGVPFVAGNKKVAACAKHFVGDGGTTKGINENNTVINRHGLLSIHMPGYYNSIIKGVATIMVSYSSWNGVKMHANHDLVTAFLKNTLRFRGFVISDWEGIDRITSPPHANYSYSIQAGINAGIDMVMVPYNYMEFIDGLTFLVKNKIIPMSRIDDAVKRILRVKFVMGLFEEPFADMSLVHQLGSQEHRELAREAVRRSLVLLKNGESAEKPLLPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGLSGNNLTEGTTILTAIKNTVDPKAQVVYKENPDADFVKSNNISYAIVVVGEHPYAETFGDSLNLTIPDPGPTTITNVCGTVKCVVIVISGRPVVIQPYVASIDALVTAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKTVDQLPMNVGDAHYDPLFPFGFGLTTTPTHFN >ONH98024 pep chromosome:Prunus_persica_NCBIv2:G7:19920028:19923317:-1 gene:PRUPE_7G223700 transcript:ONH98024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFGGDGVLSERAHQYEYRITGYFIFACIVAALGGSLFGYDLGVSGGVTSMDDFLKEFFPKIYRRKQLHLNETDYCKYDNQILTLFTSSLYFAGLISTFGASHVTRNKGRKASILVGAVSFFIGAVLNAAAKNIAMLIIGRIFLGVGIGFGNQAVPLYLSEMAPAKIRGAVNQLFQLTTCLGILIANLINYGTDKIHPWGWRLSLGLATVPATLMFVGGLFLPETPNSLVEQGRLEEARKVLERVRGTTKVDAEYADLVDASNAARAIKHPFRNLLARKNRPQLVIGALGIPAFQQLTGMNSILFYAPVIFQSLGFGSGAALYSSVITSGALVLAAFISMALVDKFGRRAFFLEAGTEMICCLVAVAITLALKFGQGEVLPKGIGIFLVVVICIFVLAYGRSWGPLGWLVPSELFPLETRSAGQSVVVCVNLLFTALIAQCFLASLCHLQYGIFLLFAGLIMIMSSFIFFLLPETKQVPIEEIYLLFQKHWFWKRIVGDGEQVGPNGKPGAQV >ONH98025 pep chromosome:Prunus_persica_NCBIv2:G7:19920028:19922230:-1 gene:PRUPE_7G223700 transcript:ONH98025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFLKEFFPKIYRRKQLHLNETDYCKYDNQILTLFTSSLYFAGLISTFGASHVTRNKGRKASILVGAVSFFIGAVLNAAAKNIAMLIIGRIFLGVGIGFGNQAVPLYLSEMAPAKIRGAVNQLFQLTTCLGILIANLINYGTDKIHPWGWRLSLGLATVPATLMFVGGLFLPETPNSLVEQGRLEEARKVLERVRGTTKVDAEYADLVDASNAARAIKHPFRNLLARKNRPQLVIGALGIPAFQQLTGMNSILFYAPVIFQSLGFGSGAALYSSVITSGALVLAAFISMALVDKFGRRAFFLEAGTEMICCLVAVAITLALKFGQGEVLPKGIGIFLVVVICIFVLAYGRSWGPLGWLVPSELFPLETRSAGQSVVVCVNLLFTALIAQCFLASLCHLQYGIFLLFAGLIMIMSSFIFFLLPETKQVPIEEIYLLFQKHWFWKRIVGDGEQVGPNGKPGAQV >ONH95957 pep chromosome:Prunus_persica_NCBIv2:G7:12970043:12972496:-1 gene:PRUPE_7G098500 transcript:ONH95957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLPFLSKNTEASRYSSSSSSTWPWPSCSQPRTLSFRAGNDIFKTINSAYFDTSTTEVIETPDSFFTNSSESCPSFSTASDDSGLDPVETVIKGLRSERLFFEPKAGETSSIVMEEEAKGAADGEELNIVPFKESVVLSMDSPDPILDFRKSMEEMVEAHGLKDWDHLEELLCWYLRVNAKSNHGYIVGAFVDLLSLRKNLKALLVCLHCLKLRKIRFMKKMKLRHLHLRLNSCGDCNRVWIAVSISRCIG >ONH95958 pep chromosome:Prunus_persica_NCBIv2:G7:12970758:12972322:-1 gene:PRUPE_7G098500 transcript:ONH95958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLPFLSKNTEASRYSSSSSSTWPWPSCSQPRTLSFRAGNDIFKTINSAYFDTSTTEVIETPDSFFTNSSESCPSFSTASDDSGLDPVETVIKGLRSERLFFEPKAGETSSIVMEEEAKGAADGEELNIVPFKESVVLSMDSPDPILDFRKSMEEMVEAHGLKDWDHLEELLCWYLRVNAKSNHGYIVGAFVDLLIRVEIATVCGLQFPFPDA >ONH95960 pep chromosome:Prunus_persica_NCBIv2:G7:12971464:12972322:-1 gene:PRUPE_7G098500 transcript:ONH95960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLPFLSKNTEASRYSSSSSSTWPWPSCSQPRTLSFRAGNDIFKTINSAYFDTSTTEVIETPDSFFTNSSESCPSFSTASDDSGLDPVETVIKGLRSERLFFEPKAGETSSIVMEEEAKGAADGEELNIVPFKESVVLSMDSPDPILDFRKSMEEMVEAHGLKDWDHLEELLCWYLRVNAKSNHGYIVGAFVDLLLRKIRFMKKMKLRHLHLRLS >ONH95954 pep chromosome:Prunus_persica_NCBIv2:G7:12970043:12972513:-1 gene:PRUPE_7G098500 transcript:ONH95954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLPFLSKNTEASRYSSSSSSTWPWPSCSQPRTLSFRAGNDIFKTINSAYFDTSTTEVIETPDSFFTNSSESCPSFSTASDDSGLDPVETVIKGLRSERLFFEPKAGETSSIVMEEEAKGAADGEELNIVPFKESVVLSMDSPDPILDFRKSMEEMVEAHGLKDWDHLEELLCWYLRVNAKSNHGFVWRLQPCVDCSFHFPMHRMIFCN >ONH95956 pep chromosome:Prunus_persica_NCBIv2:G7:12970753:12972322:-1 gene:PRUPE_7G098500 transcript:ONH95956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLPFLSKNTEASRYSSSSSSTWPWPSCSQPRTLSFRAGNDIFKTINSAYFDTSTTEVIETPDSFFTNSSESCPSFSTASDDSGLDPVETVIKGLRSERLFFEPKAGETSSIVMEEEAKGAADGEELNIVPFKESVVLSMDSPDPILDFRKSMEEMVEAHGLKDWDHLEELLCWYLRVNAKSNHGYIVGAFVDLLLRKIRFMKKMKLRHLHLRLNSCGDCNRVWIAVSISRCIG >ONH95962 pep chromosome:Prunus_persica_NCBIv2:G7:12971464:12972322:-1 gene:PRUPE_7G098500 transcript:ONH95962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLPFLSKNTEASRYSSSSSSTWPWPSCSQPRTLSFRAGNDIFKTINSAYFDTSTTEVIETPDSFFTNSSESCPSFSTASDDSGLDPVETVIKGLRSERLFFEPKAGETSSIVMEEEAKGAADGEELNIVPFKESVVLSMDSPDPILDFRKSMEEMVEAHGLKDWDHLEELLCWYLRVNAKSNHGYIVGAFVDLLSLRKNLKALLVCLHCLKLRKIRFMKKMKLRHLHLRLS >ONH95961 pep chromosome:Prunus_persica_NCBIv2:G7:12971464:12972322:-1 gene:PRUPE_7G098500 transcript:ONH95961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLPFLSKNTEASRYSSSSSSTWPWPSCSQPRTLSFRAGNDIFKTINSAYFDTSTTEVIETPDSFFTNSSESCPSFSTASDDSGLDPVETVIKGLRSERLFFEPKAGETSSIVMEEEAKGAADGEELNIVPFKESVVLSMDSPDPILDFRKSMEEMVEAHGLKDWDHLEELLCWYLRVNAKSNHGYIVGAFVDLLSLRKNLKALLVCLHCLKLRKIRFMKKMKLRHLHLRLS >ONH95959 pep chromosome:Prunus_persica_NCBIv2:G7:12971464:12972322:-1 gene:PRUPE_7G098500 transcript:ONH95959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLPFLSKNTEASRYSSSSSSTWPWPSCSQPRTLSFRAGNDIFKTINSAYFDTSTTEVIETPDSFFTNSSESCPSFSTASDDSGLDPVETVIKGLRSERLFFEPKAGETSSIVMEEEAKGAADGEELNIVPFKESVVLSMDSPDPILDFRKSMEEMVEAHGLKDWDHLEELLCWYLRVNAKSNHGYIVGAFVDLLLRKIRFMKKMKLRHLHLRLS >ONH95963 pep chromosome:Prunus_persica_NCBIv2:G7:12971474:12972322:-1 gene:PRUPE_7G098500 transcript:ONH95963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLPFLSKNTEASRYSSSSSSTWPWPSCSQPRTLSFRAGNDIFKTINSAYFDTSTTEVIETPDSFFTNSSESCPSFSTASDDSGLDPVETVIKGLRSERLFFEPKAGETSSIVMEEEAKGAADGEELNIVPFKESVVLSMDSPDPILDFRKSMEEMVEAHGLKDWDHLEELLCWYLRVNAKSNHGYIVGAFVDLLVGLAFSIGSTNCSCSCNISSPSSPLSNFYNSTASTSSLSSSSIPCVSSIEPEEESESTPCLSSLFEAEEDQIHEEDEASPSSS >ONH95955 pep chromosome:Prunus_persica_NCBIv2:G7:12970043:12972507:-1 gene:PRUPE_7G098500 transcript:ONH95955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKLPFLSKNTEASRYSSSSSSTWPWPSCSQPRTLSFRAGNDIFKTINSAYFDTSTTEVIETPDSFFTNSSESCPSFSTASDDSGLDPVETVIKGLRSERLFFEPKAGETSSIVMEEEAKGAADGEELNIVPFKESVVLSMDSPDPILDFRKSMEEMVEAHGLKDWDHLEELLCWYLRVNAKSNHGYIVGAFVDLLG >ONH97845 pep chromosome:Prunus_persica_NCBIv2:G7:19423813:19425546:1 gene:PRUPE_7G213800 transcript:ONH97845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIEQPSVGLSKIAVSDTHGEDSPYFAGWKAYDENPYNESSNPSGVIQMGLAENQVSFDLLEKYLEEHSESSNLGSKGVSGFRENALFQDYHGLLSFRKAMASFMEQIRGGRAKFDPDRVVLTAGATAANELLTFIIADPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHTDSSNNFQVTPQALEAAYKEAEAKTMRVRGVLITNPSNPLGATIQRQVLEQILDFVTRKNIHLVSDEIYSGSAFSSSEFISVAEILESQQYKNSERVHIVYSLSKDLGLPGFRVGTVYSYNDKVVTTARRMSSFTLISSQTQHLLASMLSDKEFTENYIKTNRERLRKRYDLIIEGLKKAGIECLKGNAGLFCWMNLSPFLDEPTREGELTLWNSIMHEVKLNISPGSSCQCSEPGWFRVCFANMSEQTLEVALTRIHNFMEKKERAS >ONH97222 pep chromosome:Prunus_persica_NCBIv2:G7:17549315:17551542:-1 gene:PRUPE_7G177400 transcript:ONH97222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKKVDWSALPKELWLTIGNCLDSRIDVLRFRSVCTLWRSSVPPFKQTTVPPLPHKFSSDAVAAGAEFQALLFQTTVYRMQPLVDGEPNSSNSKPWLLKLEESNSGESRLLHPITNSPLRYSPKAKNFNLLDFGVVELRKSYSLKYRQNNVIIACVNKVVVMGQTHLDNCGIFKIFDGGKLGYLRIGDEKWTHFDERNSHYDDIIVYKGQCYVVDRLGTIFWVSSELKVVPFSPPLCGFGGQKHLVESCGDLYVVDRCLDRERSERYHLEDNNVIFGLHNPVHPNPFFANAAVPEAIDFKVYKLDQEWARWVDVKNLGDQVFILSNDGSFSVSTRGLARVKGNCILFTDHRHAHLPGVAGSGARKCHSCVFDLEDGSIKNVAAFRACFMLWPPSSWLSPD >ONH97221 pep chromosome:Prunus_persica_NCBIv2:G7:17549369:17551523:-1 gene:PRUPE_7G177400 transcript:ONH97221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKKVDWSALPKELWLTIGNCLDSRIDVLRFRSVCTLWRSSVPPFKQTTVPPLPHKFSSDAVAAGAEFQALLFQTTVYRMQPLVDGEPNSSNSKPWLLKLEESNSGESRLLHPITNSPLRYSPKAKNFNLLDFGVVELRKSYSLKYRQNNVIIACVNKVVVMGQTHLDNCGIFKIFDGGKLGYLRIGDEKWTHFDERNSHYDDIIVYKGQCYVVDRLGTIFWVSSELKVVPFSPPLCGFGGQKHLVESCGDLYVVDRCLDRERSERYHLEDNNVIFGLHNPVHPNPFFANAAVPEAIDFKVYKLDQEWARWVDVKNLGDQVFILSNDGSFSVSTRGLARVKGNCILFTDHRHAHLPGVAGSGARKCHSCVFDLEDGSIKNVAAFRACFMLWPPSSWLSPD >ONH95039 pep chromosome:Prunus_persica_NCBIv2:G7:8472179:8476426:-1 gene:PRUPE_7G048000 transcript:ONH95039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDGTQQQSAAPLLVEEATQEFVAMCAESEPYDDEQPSYVPAELVKPWCSNDASALYHCYLIQMKPNFCYDIPVNDIVLGMRSELDCDIANMTFDLEVGRGTITVNFKKAAEIHLSSEQVLQCRRFQITIFRILLDHELPNLGKVLERLCLGQNLGIESIDYLLLPAARMHQRPSIIDWECVTSVSFRCEENSEYHVDCSPPKNCSGVLHTKNGMVCTCRIQNSLVYTPHTGLLYCITGLLHDLNGNSLLRPRGRRARSYKTHYEEKHGIKLRFDQQLWLKGKHIFKVQNYLKSCRLHAERDSCHTSVELPPELCSIVMSPLSVSNLYSFSFVPSIMHRLESLLLAVNLKRMVLDRCTENVTIPTIKVLEAITTKHCKENLHLESLEALGDSFLKYAASQQLFKTYQNDDEGDLTVKREKIISNDALCKFGCDRKLPGFIRNECFDPKSWIIPGDYSGGSFLNEELLFNKRNIYIRGRRKVKSKRVADVVEALIGAFLSTGGEIAAIYFMNWVGIKVDLVHIPYERHFQVQPEKLIDVRHLESLLNNYSFRHPHLLLEALTHRSYMLPQIPGCYERLEFLGDAVLDYVITVYLYNKYPGMSPGVLTDMRSASVNNNCYALSAVKHRLHEHILAPDNVHSNIANTVNNFERLSMESTFGWESETSFSEVLADIIESLAGAIFVDSEYDKNAVFQSIRPLLEPLVSPETMPLNPVKEFHDYCQKMQYIMKKPVKSIQNGVATRTIEVEANGVVKYTYTSTASNNDTAKRLACKEFLRLSKGN >ONH95038 pep chromosome:Prunus_persica_NCBIv2:G7:8472179:8476426:-1 gene:PRUPE_7G048000 transcript:ONH95038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDGTQQQSAAPLLVEEATQEFESEPYDDEQPSYVPAELVKPWCSNDASALYHCYLIQMKPNFCYDIPVNDIVLGMRSELDCDIANMTFDLEVGRGTITVNFKKAAEIHLSSEQVLQCRRFQITIFRILLDHELPNLGKVLERLCLGQNLGIESIDYLLLPAARMHQRPSIIDWECVTSVSFRCEENSEYHVDCSPPKNCSGVLHTKNGMVCTCRIQNSLVYTPHTGLLYCITGLLHDLNGNSLLRPRGRRARSYKTHYEEKHGIKLRFDQQLWLKGKHIFKVQNYLKSCRLHAERDSCHTSVELPPELCSIVMSPLSVSNLYSFSFVPSIMHRLESLLLAVNLKRMVLDRCTENVTIPTIKVLEAITTKHCKENLHLESLEALGDSFLKYAASQQLFKTYQNDDEGDLTVKREKIISNDALCKFGCDRKLPGFIRNECFDPKSWIIPGDYSGGSFLNEELLFNKRNIYIRGRRKVKSKRVADVVEALIGAFLSTGGEIAAIYFMNWVGIKVDLVHIPYERHFQVQPEKLIDVRHLESLLNNYSFRHPHLLLEALTHRSYMLPQIPGCYERLEFLGDAVLDYVITVYLYNKYPGMSPGVLTDMRSASVNNNCYALSAVKHRLHEHILAPDNVHSNIANTVNNFERLSMESTFGWESETSFSEVLADIIESLAGAIFVDSEYDKNAVFQSIRPLLEPLVSPETMPLNPVKEFHDYCQKMQYIMKKPVKSIQNGVATRTIEVEANGVVKYTYTSTASNNDTAKRLACKEFLRLSKGN >ONH95041 pep chromosome:Prunus_persica_NCBIv2:G7:8471883:8476896:-1 gene:PRUPE_7G048000 transcript:ONH95041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDGTQQQSAAPLLVEEATQEFVAMCAESEPYDDEQPSYVPAELVKPWCSNDASALYHCYLIQMKPNFCYDIPVNDIVLGMRSELDCDIANMTFDLEVGRGTITVNFKKAAEIHLSSEQVLQCRRFQITIFRILLDHELPNLGKVLERLCLGQNLGIESIDYLLLPAARMHQRPSIIDWECVTSVSFRCEENSEYHVDCSPPKNCSGVLHTKNGMVCTCRIQNSLVYTPHTGLLYCITGLLHDLNGNSLLRPRGRRARSYKTHYEEKHGIKLRFDQQLWLKGKHIFKVQNYLKSCRLHAERDSCHTSVELPPELCSIVMSPLSVSNLYSFSFVPSIMHRLESLLLAVNLKRMVLDRCTENVTIPTIKVLEAITTKHCKENLHLESLEALGDSFLKYAASQQLFKTYQNDDEGDLTVKREKIISNDALCKFGCDRKLPGFIRNECFDPKSWIIPGDYSGGSFLNEELLFNKRNIYIRGRRKVKSKRVADVVEALIGAFLSTGGEIAAIYFMNWVGIKVDLVHIPYERHFQVQPEKLIDVRHLESLLNNYSFRHPHLLLEALTHRSYMLPQIPGCYERLEFLGDAVLDYVITVYLYNKYPGMSPGVLTDMRSASVNNNCYALSAVKHRLHEHILAPDNVHSNIANTVNNFERLSMESTFGWESETSFSEILLSL >ONH95040 pep chromosome:Prunus_persica_NCBIv2:G7:8471883:8476896:-1 gene:PRUPE_7G048000 transcript:ONH95040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDGTQQQSAAPLLVEEATQEFESEPYDDEQPSYVPAELVKPWCSNDASALYHCYLIQMKPNFCYDIPVNDIVLGMRSELDCDIANMTFDLEVGRGTITVNFKKAAEIHLSSEQVLQCRRFQITIFRILLDHELPNLGKVLERLCLGQNLGIESIDYLLLPAARMHQRPSIIDWECVTSVSFRCEENSEYHVDCSPPKNCSGVLHTKNGMVCTCRIQNSLVYTPHTGLLYCITGLLHDLNGNSLLRPRGRRARSYKTHYEEKHGIKLRFDQQLWLKGKHIFKVQNYLKSCRLHAERDSCHTSVELPPELCSIVMSPLSVSNLYSFSFVPSIMHRLESLLLAVNLKRMVLDRCTENVTIPTIKVLEAITTKHCKENLHLESLEALGDSFLKYAASQQLFKTYQNDDEGDLTVKREKIISNDALCKFGCDRKLPGFIRNECFDPKSWIIPGDYSGGSFLNEELLFNKRNIYIRGRRKVKSKRVADVVEALIGAFLSTGGEIAAIYFMNWVGIKVDLVHIPYERHFQVQPEKLIDVRHLESLLNNYSFRHPHLLLEALTHRSYMLPQIPGCYERLEFLGDAVLDYVITVYLYNKYPGMSPGVLTDMRSASVNNNCYALSAVKHRLHEHILAPDNVHSNIANTVNNFERLSMESTFGWESETSFSEILLSL >ONH95558 pep chromosome:Prunus_persica_NCBIv2:G7:11370172:11373189:-1 gene:PRUPE_7G077300 transcript:ONH95558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >ONH95559 pep chromosome:Prunus_persica_NCBIv2:G7:11370473:11372550:-1 gene:PRUPE_7G077300 transcript:ONH95559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >ONH97626 pep chromosome:Prunus_persica_NCBIv2:G7:18812086:18813350:-1 gene:PRUPE_7G202000 transcript:ONH97626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTVRASGTLFSPQFSRTFSAPKLSLLRFSKPSPSRFTPKINMSSSASAAAAQPIVEHVVLLKVKDDTDPSKVNAMVNSLNGLASLNLTLHLTAGPLNRTRSSPIAFTHLLHCRYSTKDDLSTYTVHPNHLSVVKDSVLPICDDVMAVDWVAEDVQGPVAPPPGSAIRVTFLKLKENLGEESKSEILGVIKGIKGKFAEINQISTGENFSPARAKGYSIASLAVLPGVSELEGLDSKQELANVEKDKVKEHLESVIVLDYVVASPQSASL >ONH96201 pep chromosome:Prunus_persica_NCBIv2:G7:13890473:13892970:1 gene:PRUPE_7G112900 transcript:ONH96201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQWQAVIFPLTLTALMYGGSLVLKSLLLMSALREHMNFGGGLSFNNIKNLSEEIVACSRSIASDVLVWRTYVVAPLTEELVFRACMLPLLLCGGFQKSTVIFLCPIFFSLAHLNHLKDVYSKQNYNLTKAVLAIGLQLGYTVVFGSYASFLFIQTGHFLAPLVAHAFCNFMGLPVLVSRGKGIVSVASVAGIVGFLWLLFPMTHPELYNDRTDNCVCWQGYCSGN >ONH96200 pep chromosome:Prunus_persica_NCBIv2:G7:13889431:13893316:1 gene:PRUPE_7G112900 transcript:ONH96200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIPSSPLQAVRKSMSMIYNSEWKSLSQLKMDGGGVSKAVAVVACAAMALFYVAILYAPTLILRLPPPPSFKNFMIRRFICAAISSVVSVVVSALLLPMKSREASSLLGVYGIRMDHIWQAVIFPLTLTALMYGGSLVLKSLLLMSALREHMNFGGGLSFNNIKNLSEEIVACSRSIASDVLVWRTYVVAPLTEELVFRACMLPLLLCGGFQKSTVIFLCPIFFSLGLQLGYTVVFGSYASFLFIQTGHFLAPLVAHAFCNFMGLPVLVSRGKGIVSVASVAGIVGFLWLLFPMTHPELYNDRTDNCVCWQGYCSGN >ONH96202 pep chromosome:Prunus_persica_NCBIv2:G7:13890473:13892970:1 gene:PRUPE_7G112900 transcript:ONH96202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQWQAVIFPLTLTALMYGGSLVLKSLLLMSALREHMNFGGGLSFNNIKNLSEEIVACSRSIASDVLVWRTYVVAPLTEELVFRACMLPLLLCGGFQKSTVIFLCPIFFSLGLQLGYTVVFGSYASFLFIQTGHFLAPLVAHAFCNFMGLPVLVSRGKGIVSVASVAGIVGFLWLLFPMTHPELYNDRTDNCVCWQGYCSGN >ONH96199 pep chromosome:Prunus_persica_NCBIv2:G7:13889431:13893316:1 gene:PRUPE_7G112900 transcript:ONH96199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIPSSPLQAVRKSMSMIYNSEWKSLSQLKMDGGGVSKAVAVVACAAMALFYVAILYAPTLILRLPPPPSFKNFMIRRFICAAISSVVSVVVSALLLPMKSREASSLLGVYGIRMDHIWQAVIFPLTLTALMYGGSLVLKSLLLMSALREHMNFGGGLSFNNIKNLSEEIVACSRSIASDVLVWRTYVVAPLTEELVFRACMLPLLLCGGFQKSTVIFLCPIFFSLAHLNHLKDVYSKQNYNLTKAVLAIGLQLGYTVVFGSYASFLFIQTGHFLAPLVAHAFCNFMGLPVLVSRGKGIVSVASVAGIVGFLWLLFPMTHPELYNDRTDNCVCWQGYCSGN >ONH98276 pep chromosome:Prunus_persica_NCBIv2:G7:20676092:20678994:-1 gene:PRUPE_7G239700 transcript:ONH98276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAGMTMSFHTQNRPPLLPGVATKNRSHSRTKSSPSVAVVQVSSVLQTNESGNLPESKRSSMPLTRFQAPNYILSQPNTVGIIGGVSVFSSLLFLEKLVWWSLKDGGECPPFVVCSDPTLYKELPIRSLFHSFTRSRASAQILSNNWPIIESLCRKRAFLEHSGARCIVMPCHLSHAWHDQISEDCSLLFLHVGECVARELREAKLKPLENGSNVRIGVLAADATLMAGFYQEKLQSQGFEVVVPDKETMEHVVIPAVEAMKRRDMEGARNLLRIAVQVLLVRAVNTVIIASDELQGVLPRDDPLLKKCIDPMDALARSTIKWANATGNGG >ONH98277 pep chromosome:Prunus_persica_NCBIv2:G7:20676092:20679082:-1 gene:PRUPE_7G239700 transcript:ONH98277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSFHTQNRPPLLPGVATKNRSHSRTKSSPSVAVVQVSSVLQTNESGNLPESKRSSMPLTRFQAPNYILSQPNTVGIIGGVSVFSSLLFLEKLVWWSLKDGGECPPFVVCSDPTLYKELPIRSLFHSFTRSRASAQILSNNWPIIESLCRKRAFLEHSGARCIVMPCHLSHAWHDQISEDCSLLFLHVGECVARELREAKLKPLENGSNVRIGVLAADATLMAGFYQEKLQSQGFEVVVPDKETMEHVVIPAVEAMKRRDMEGARNLLRIAVQVLLVRAVNTVIIASDELQGVLPRDDPLLKKCIDPMDALARSTIKWANATGNGG >ONH94303 pep chromosome:Prunus_persica_NCBIv2:G7:1301825:1304782:1 gene:PRUPE_7G009600 transcript:ONH94303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAKTLTAESHTLNGDWTVVSSRRGRQRRNPPKITIRVQKQPSHWAPTDLEINFARESKLMQKMQICMKKLEMSQFFLNLLDEIQTPEMMNYFHRVLSSELKMKMVIYGIGSIESYESPRLQLSLAILLKRKFNWIGDIEVFDPILSATESRVLEALECCVLSINEQGRRQAEKPTMFFMPHCEAELYDNLLQANWEARLLNCTVLFGNSFETYEQHLSEYKNSAIVDSTRHILAVRKFTDEFRIKTISDTYFSAFHDSSWHFFRPVLETELQLSYL >ONH94305 pep chromosome:Prunus_persica_NCBIv2:G7:1301823:1304783:1 gene:PRUPE_7G009600 transcript:ONH94305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAKTLTAESHTLNGDWTVVSSRRGRQRRNPPKITIRVQKQPSHWAPTDLEINFARESKLMQKMQICMKKLEMSQFFLNLLDEIQTPEMMNYFHRVLSSELKMKMVIYGIGSIESYESPRLQLSLAILLKRKFNWIGDIEVFDPILSATESRVLEALECCVLSINEQGRRQAEKPTMFFMPHCEAELYDNLLQANWEARLLNCTVLFGNSFETYEQHLSEYKNSAIVDSTRHILAVRKFTDEFRIKTISDTYFSAFHDSSWHFFRPVLETELQLSYL >ONH94306 pep chromosome:Prunus_persica_NCBIv2:G7:1301749:1304810:1 gene:PRUPE_7G009600 transcript:ONH94306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAKTLTAESHTLNGDWTVVSSRRGRQRRNPPKITIRVQKQPSHWAPTDLEINFARESKLMQKMQICMKKLEMSQFFLNLLDEIQTPEMMNYFHRVLSSELKMKMVIYGIGSIESYESPRLQLSLAILLKRKFNWIGDIEVFDPILSATESRVLEALECCVLSINEQGRRQAEKPTMFFMPHCEAELYDNLLQANWEARLLNCTVLFGNSFETYEQHLSEYKNSAIVDSTRHILAVRKFTDEFRIKTISDTYFSAFHDSSWHFFRPVLETELQLSYL >ONH94304 pep chromosome:Prunus_persica_NCBIv2:G7:1301748:1304783:1 gene:PRUPE_7G009600 transcript:ONH94304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAKTLTAESHTLNGDWTVVSSRRGRQRRNPPKITIRVQKQPSHWAPTDLEINFARESKLMQKMQICMKKLEMSQFFLNLLDEIQTPEMMNYFHRVLSSELKMKMVIYGIGSIESYESPRLQLSLAILLKRKFNWIGDIEVFDPILSATESRVLEALECCVLSINEQGRRQAEKPTMFFMPHCEAELYDNLLQANWEARLLNCTVLFGNSFETYEQHLSEYKNSAIVDSTRHILAVRKFTDEFRIKTISDTYFSAFHDSSWHFFRPVLETELQLSYL >ONH98636 pep chromosome:Prunus_persica_NCBIv2:G7:21615396:21620770:1 gene:PRUPE_7G259300 transcript:ONH98636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKAQRGGLIGEDGAMDRFPVGMRVLAVDDNPICLRLLEGLLQNCQYQVTTTTQAVEALLMLRESRNRFDLVITDVSMPDMDGFKLLELLGLEMDLPVIMLSGHSDKELVMKGISHGACDYLLKPVRKEELTNIWQHVIRRKKFDSKDQNKSSSQDKACHETEGGQGVSPAGSSDQNGKFNRKRKDQNEDEEEEYEDDEHENEDPSTQKKPRVVWSKELHGKFVAAVHQLGLERAVPKKILDLMNVQGLTRENVASHLQKYRLCLKRLSSTATQQASMVAAFGGKDSSYIRMGALDGFGDLRSLAGSRRLSNATLSPYAPGGMLGRLNSPAGLSIRGITSSGLVQPGHSQNLSNSISNLVKLQPALPANQSPNLYESIPTSLELNQLQQGKHTAHIGESSPNNDPTSYSVPSSFPDTSVSVSSIHSDSITSSNPLILHRNPQQAFGMGVFGNRSSLSLASLNPESFDIGISSNFLDDNRCSESWQVAVQLSKFPSNDLPMSEPFDHGQLHANNLGLSSTRPQIGNSPNDFSSLSVLPGPAEELRGNVWNQEGLIGDVVPTMDCTPKQRWEEHKRDYKHNLNLTFSATNPTVSANVIVSPLSQSLDQSDAVCSKKMNSSLFDQLNGATPTVMQLSEVEKSAMGTKMKPSEDYLWEQTKSHDGLVQNSYESLNDIMGAIMKGGQNENMLMDGEFWI >ONH97377 pep chromosome:Prunus_persica_NCBIv2:G7:18031541:18034900:1 gene:PRUPE_7G186600 transcript:ONH97377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTQANNTNQLVSTVANKRISCKMRIEPARMACSGGIPQLSHRLIDSLAFRFTAKAFRAFPKALTTSFPVRSTQQAFHKVLTCASDDDVFTWDDVVRISKPETLSDDPSDLRGYWEKIKICNRRLESQSEFLSFVIEDQIVGYIHKGFAENLRSFKDVFTFPPENSNSNGSSITLHSSLSTDEDRTGAVGDVIKSLGEEHIPGIRNELYPVTSSFGASIFFSLERAAAPYFGIKAYGVQMNGYVEKDGKKFLWIGKRSQQKTTYPGMLDQLVAGGLPHGVACGDNVVKECEEEAGIPSSISSVWRGGELQVDPCDGCCKHHTKDTIFQAKLLSCHH >ONH97378 pep chromosome:Prunus_persica_NCBIv2:G7:18031541:18034900:1 gene:PRUPE_7G186600 transcript:ONH97378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTQANNTNQLVSTVANKRISCKMRIEPARMACSGGIPQLSHRLIDSLAFRFTAKAFRAFPKALTTSFPVRSTQQAFHKVLTCASDDDVFTWDDVVRISKPETLSDDPSDLRGYWEKIKICNRRLESQSEFLSFVIEDQIVGYIHKGFAENLRSFKDVFTFPPENSNSNGSSITLHSSLSTDEDRTGAVGDVIKSLGEEHIPGIRNELYPVTSSFGASIFFSLERAAAPYFGIKAYGVQMNGYVEKDGKKFLWIGKRSQQKTTYPGMLDQLVAGGLSYTSWCCFIYGH >ONH97376 pep chromosome:Prunus_persica_NCBIv2:G7:18031541:18034900:1 gene:PRUPE_7G186600 transcript:ONH97376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTQANNTNQLVSTVANKRISCKMRIEPARMACSGGIPQLSHRLIDSLAFRFTAKAFRAFPKALTTSFPVRSTQQAFHKVLTCASDDDVFTWDDVVRISKPETLSDDPSDLRGYWEKIKICNRRLESQSEFLSFVIEDQIVGYIHKGFAENLRSFKDVFTFPPENSNSNGSSITLHSSLSTDEDRTGAVGDVIKSLGEEHIPGIRNELYPVTSSFGASIFFSLERAAAPYFGIKAYGVQMNGYVEKDGKKFLWIGKRSQQKTTYPGMLDQLVAGGLPHGVACGDNVVKECEEEAGIPSSISSVAIPVGAVSYMDIDGYRFKRDVLFCYDLKLPESFTPKNQDGEVESFKLIPVMDVANIIQRTQFFKPNCSLVIIDFLFRHGYIRPECFGYLDLLQSLRSGDCS >ONH97475 pep chromosome:Prunus_persica_NCBIv2:G7:18325895:18334513:1 gene:PRUPE_7G192000 transcript:ONH97475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSENEPVSHLEDEPRPPPPQHFDMQKFRLYETRSNFYMIGRDKTRTYWRVLKIDRLDPCELNIREDSTTYTERECSDLLRRIHEGNKATGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGAICGHMVYAISKSEMIALPNPSVQSSIANSKNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNMCKNQTGHVLYETMFVWNEFLTRGIRNHLQNTIWTVALVYGFFRQATLSISGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGFPMQISSVVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLVKRYGNPIIILNLIKTQEKRPRESILRAEFANAIDFINKDLSEENRLKFLHWDLHKHSRSKATNALLLLGKVATYALTLTGFFYCQVNPALRPEGVIKWPSSGNFENGDLSPQEHSANGNEDADNIEKTPSGSKNIANGNHSVKPSTFQSGVIRTNCIDCLDRTNVAQYAYGLAALGQQLHSLGVVENPKIDLDAPLADHLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQEGKPALWELDSDQHYYSGRDEPKDKDLDGRSIFKRSYSDGNILRESSSPMSSPHVKPEKFTNSCMPDPSQQESKILSESSPDISTSESDIAYSRYAPAMPRRQLFGELQRYRCLESDHIYYSERGDSYNFSNFVDLDWLSSSGNSCEEEPFERSSILTNSPIEGLSSENVVNGIMGDSTPSTSEYGSGLKGKEQTGPNLSFNNGESSNVLEEYPDSFVNWVNYGETLCH >ONH97476 pep chromosome:Prunus_persica_NCBIv2:G7:18325894:18334513:1 gene:PRUPE_7G192000 transcript:ONH97476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSENEPVSHLEDEPRPPPPQHFDMQKFRLYETRSNFYMIGRDKTRTYWRVLKIDRLDPCELNIREDSTTYTERECSDLLRRIHEGNKATGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGAICGHMVYAISKSEMIALPNPSVQSSIANSKNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNMCKNQTGHVLYETMFVWNEFLTRGIRNHLQNTIWTVALVYGFFRQATLSISGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGFPMQISSVVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLVKRYGNPIIILNLIKTQEKRPRESILRAEFANAIDFINKDLSEENRLKFLHWDLHKHSRSKATNALLLLGKVATYALTLTGFFYCQVNPALRPEGVIKWPSSGNFENGDLSPQEHSANGNEDADNIEKTPSGSKNIANGNHSVKPSTFQSGVIRTNCIDCLDRTNVAQYAYGLAALGQQLHSLGVVENPKIDLDAPLADHLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQEGKPALWELDSDQHYYSGRDEPKDKDLDGRSIFKRSYSDGNILRESSSPMSSPHVKPEKFTNSCMPDPSQQESKILSESSPDISTSESDIAYSRYAPAMPRRQLFGELQRYRCLESDHIYYSERGDSYNFSNFVDLDWLSSSGNSCEEEPFERSSILTNSPIEGLSSENVVNGIMGDSTPSTSEYGSGLKGKEQTGPNLSFNNGESSNVLEEYPDSFVNWVNYGETLCH >ONH97477 pep chromosome:Prunus_persica_NCBIv2:G7:18325895:18334513:1 gene:PRUPE_7G192000 transcript:ONH97477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSENEPVSHLEDEPRPPPPQHFDMQKFRLYETRSNFYMIGRDKTRTYWRVLKIDRLDPCELNIREDSTTYTERECSDLLRRIHEGNKATGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGAICGHMVYAISKSEMIALPNPSVQSSIANSKNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNMCKNQTGHVLYETMFVWNEFLTRGIRNHLQNTIWTVALVYGFFRQATLSISGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGFPMQISSVVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLVKRYGNPIIILNLIKTQEKRPRESILRAEFANAIDFINKDLSEENRLKFLHWDLHKHSRSKATNALLLLGKVATYALTLTGFFYCQVNPALRPEGVIKWPSSGNFENGDLSPQEHSANGNEDADNIEKTPSGSKNIANGNHSVKPSTFQSGVIRTNCIDCLDRTNVAQYAYGLAALGQQLHSLGVVENPKIDLDAPLADHLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWRAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQEGKPALWELDSDQHYYSGRDEPKDKDLDGRSIFKRSYSDDPSQQESKILSESSPDISTSESDIAYSRYAPAMPRRQLFGELQRYRCLESDHIYYSERGDSYNFSNFVDLDWLSSSGNSCEEEPFERSSILTNSPIEGLSSENVVNGIMGDSTPSTSEYGSGLKGKEQTGPNLSFNNGESSNVLEEYPDSFVNWVNYGETLCH >ONH94794 pep chromosome:Prunus_persica_NCBIv2:G7:5686742:5687814:-1 gene:PRUPE_7G030900 transcript:ONH94794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPYGGDKTRGIGEVLTRAEFETLFAVLVERLPLLESNDESEEEFQEQPPIAPNQNNHNQYMDDFRIKADIPYFNGHLQIEDFLDWLVEVECFFEIMEVLETKMVKTAFHLNGSAAQLMMGRFLHADYEQYLYRLYHNCHQGNQTVSEYMDEFLHLAKRNNLEETDGQMVSWYITWLRSSLHEKIGLQILWTVHKAQSMALKAELLEKDKRIGLSISGIQKSSYEPSAPSMEKNKDEGSNINWGGDHNKMSNAYARPTNDICYRCSKPGHHSNECPTYNKQVNLV >ONH97086 pep chromosome:Prunus_persica_NCBIv2:G7:17186459:17188261:-1 gene:PRUPE_7G169100 transcript:ONH97086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQTLGRAIQLADQVAKALDGAVVRSTDKSFIPDLKSKAEQLAGLLRQLSSIDLGTYDRPLRAIIDSVEQSLDRCLFRLLKHHCPKWIIIKIIKKRFPTLIPVVGFCKTSRLLDASINDMSWLIHFWRNKTNGRFELSLPLMKSNNRMLCLVWEEIAILHDPAQSYQARSSAAASLGEMARYLDAYRKLIIRECGVEALLKLMEEGPMEAKQSAANALGFVVWSSECAGISVDVCKVFAKILSEGFMKVQAEVAFAVSLIAERNPKWQDAFAEHDVVRLLVGHLAFETVGVQSSKTHSNDNANANEDPDTIALMKAMAARALFRLAEDNSAICRILAESTALYSFAVLLEKGCEDAQLHSVYALMAIKKVAEKDADLRLRCDFSPNSPTWKYVVDQLLLKITEKTEDSHFQKSCIYAIENLARTFGSIETRMIGPLVQLLHGREYYVTEGACIALTKLARTDNYFHIEHSKAIISAGGVKHLIQILYDKKVLHALVLICYIAVHVPDDEELAQAEVLAAHTWASKLSFMTVYKELDRLLCNIPLHVPEWEELYQAGLAPMLVLETRERYLSKEDTLRTLLQEAKSRLNLHQSKGSRGVN >ONH95372 pep chromosome:Prunus_persica_NCBIv2:G7:10489716:10496081:-1 gene:PRUPE_7G066800 transcript:ONH95372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKSITLQQFISITAPLIDLEKEAEISASITTGSSRNLDTAQKKGSTILNLKCVDAQTGLMGKTLLEFQSTKADVLPPHKFSSHDVVVLKPNKADLGSPALGQGVVYRLKDSSITVAFDDVPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKGVQKGPASDLIPVLFGERTPTVSKKDVTFTPFNKNLDHSQKDAISKALSSKNVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLARHRVKLVRLGHPARLLPQVLDSALDAQVLRGDNSALANDIRKEMKALNGKLLRTKDKNTRREIQKELRTLSKEERKRQQLAVTDVIKNADVVLTTLTGASSRKLDNTSFDLVIIDEAAQALEIACWMALLKGSRCILAGDHLQLPPTIQSAEAERKGLGRTLFERLADIYGNEVVSMLTVQYRMHERIMDWSSKELYNSKIKAHSSVAGHMLLDLEDVKGTSSTEPTLLLIDTAGCDMEEKKDEEESTLNEGEADVAIAHAKRLVQSGVQASDIGIITPYAAQVVLLRMLRSNEDKLKDLEISTVDGFQGREKEAIIISMVRSNSRKEVGFLSDNRRMNVAVTRARRQCCLVCDTETVSSNAFLKRLIEYFEEHGEYLSASEYGNE >ONH95373 pep chromosome:Prunus_persica_NCBIv2:G7:10489424:10496324:-1 gene:PRUPE_7G066800 transcript:ONH95373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTLLEFQSTKADVLPPHKFSSHDVVVLKPNKADLGSPALGQGVVYRLKDSSITVAFDDVPEDGLNSPLRLEKLANEVTYRRMKDALIQLSKGVQKGPASDLIPVLFGERTPTVSKKDVTFTPFNKNLDHSQKDAISKALSSKNVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLARHRVKLVRLGHPARLLPQVLDSALDAQVLRGDNSALANDIRKEMKALNGKLLRTKDKNTRREIQKELRTLSKEERKRQQLAVTDVIKNADVVLTTLTGASSRKLDNTSFDLVIIDEAAQALEIACWMALLKGSRCILAGDHLQLPPTIQSAEAERKGLGRTLFERLADIYGNEVVSMLTVQYRMHERIMDWSSKELYNSKIKAHSSVAGHMLLDLEDVKGTSSTEPTLLLIDTAGCDMEEKKDEEESTLNEGEADVAIAHAKRLVQSGVQASDIGIITPYAAQVVLLRMLRSNEDKLKDLEISTVDGFQGREKEAIIISMVRSNSRKEVGFLSDNRRMNVAVTRARRQCCLVCDTETVSSNAFLKRLIEYFEEHGEYLSASEYGNE >ONH95900 pep chromosome:Prunus_persica_NCBIv2:G7:12740464:12743693:-1 gene:PRUPE_7G094800 transcript:ONH95900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQPQATIQNHTSPSSSAGPTTAEAPPKQVALAMERLSDAGRLIADIRLGADRLLEALFVAAQPHQSTKPLHLFLNEDASMRQHLLDLRSVGRQLEESGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDEGSSGQSNESATKKQCVSPVPAAHDQEEALECKTLSEVLARLEKVPNLKIFTYERLDWLKRASSLPENESPSETLKDHNFHSSSKLRAGPLGDVAPDKVAVIELLFPSLFRAVVSLHPAGSTDPDAVAFFSPDEGGSYIHARGFSIYHVYKHITEHAAMALQYFIGVRAETALYTLLHWICSYQTLFTKVCSKCGRLLSMDRQSALLLPPVYRPYRQFSASNISSNLNLARAYHVGCYSEEL >ONH95899 pep chromosome:Prunus_persica_NCBIv2:G7:12740477:12743664:-1 gene:PRUPE_7G094800 transcript:ONH95899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQPQATIQNHTSPSSSAGPTTAEAPPKQVALAMERLSDAGRLIADIRLGADRLLEALFVAAQPHQSTKPLHLFLNEDASMRQHLLDLRSVGRQLEESGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDEGSSGQSNESATKKQCVSPVPAAHDQEEALECKTLSEVLARLEKVPNLKIFTYERLDWLKRASSLPENESPSETLKDHNFHSSSKLRAGPLGDVAPDKVAVIELLFPSLFRAVVSLHPAGSTDPDAVAFFSPDEGGSYIHARGFSIYHVYKHITEHAAMALQYFIGVRAETALYTLLHWICSYQTLFTKVCSKCGRLLSMDRQSALLLPPVYRPYRQFSASNISSNLNLARAYHVGCYSEEL >ONH95901 pep chromosome:Prunus_persica_NCBIv2:G7:12740477:12743664:-1 gene:PRUPE_7G094800 transcript:ONH95901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDEGSSGQSNESATKKQCVSPVPAAHDQEEALECKTLSEVLARLEKVPNLKIFTYERLDWLKRASSLPENESPSETLKDHNFHSSSKLRAGPLGDVAPDKVAVIELLFPSLFRAVVSLHPAGSTDPDAVAFFSPDEGGSYIHARGFSIYHVYKHITEHAAMALQYFIGVRAETALYTLLHWICSYQTLFTKVCSKCGRLLSMDRQSALLLPPVYRPYRQFSASNISSNLNLARAYHVGCYSEEL >ONH98006 pep chromosome:Prunus_persica_NCBIv2:G7:19875549:19882104:-1 gene:PRUPE_7G223100 transcript:ONH98006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWPLVLMVFYKGVASNGGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIICHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAHWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTTGQTSKGKIGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGISGPVKFTPDRNLIHPVFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNQSLYGVIWPGQTTQKPRGWVFPNNGRHLKIGVPKHVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPRSTELVHKIRTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPIMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTDELNVDESRLVPLIMPDDYAKALRDGPQRGGVAAVIDERPYIELFLSSRCDFSIVGQEFTKSGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSGCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIFFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRKMERMSNRSASEDESMYNSKRRHIDQSS >ONH98004 pep chromosome:Prunus_persica_NCBIv2:G7:19875787:19879266:-1 gene:PRUPE_7G223100 transcript:ONH98004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWPLVLMVFYKGVASNGGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIICHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAHWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTTGQTSKGKIGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGISGPVKFTPDRNLIHPVFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNQSLYGVIWPGQTTQKPRGWVFPNNGRHLKIGVPKHVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPRSTELVHKIRTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPIMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTDELNVDESRLVPLIMPDDYAKALRDGPQRGGVAAVIDERPYIELFLSSRCDFSIVGQEFTKSGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSGCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIFFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRKMERMSNRSASEDESMYNSKRRHIDQSS >ONH98011 pep chromosome:Prunus_persica_NCBIv2:G7:19875549:19882104:-1 gene:PRUPE_7G223100 transcript:ONH98011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWPLVLMVFYKGVASNGGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEAVLAAALRFMEKDTVAIIGPQNAVTAHIICHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAHWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTTGQTSKGKIGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGISGPVKFTPDRNLIHPVFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNQSLYGVIWPGQTTQKPRGWVFPNNGRHLKIGVPKHVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPRSTELVHKIRTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPIMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTDELNVDESRLVPLIMPDDYAKALRDGPQRGGVAAVIDERPYIELFLSSRCDFSIVGQEFTKSGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSGCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIFFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRKMERMSNRSASEDESMYNSKRRHIDQSS >ONH98009 pep chromosome:Prunus_persica_NCBIv2:G7:19875549:19880145:-1 gene:PRUPE_7G223100 transcript:ONH98009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWPLVLMVFYKGVASNGGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIICHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAHWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTTGQTSKGKIGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGISGPVKFTPDRNLIHPVFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNQSLYGVIWPGQTTQKPRGWVFPNNGRHLKIGVPKHVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPRSTELVHKIRTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPIMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTDELNVDESRLVPLIMPDDYAKALRDGPQRGGVAAVIDERPYIELFLSSRCDFSIVGQEFTKSGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSGCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIFFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRKMERMSNRSASEDESMYNSKRRHIDQSS >ONH98010 pep chromosome:Prunus_persica_NCBIv2:G7:19875549:19880378:-1 gene:PRUPE_7G223100 transcript:ONH98010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWPLVLMVFYKGVASNGGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIICHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAHWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTTGQTSKGKIGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGISGPVKFTPDRNLIHPVFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNQSLYGVIWPGQTTQKPRGWVFPNNGRHLKIGVPKHVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPRSTELVHKIRTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPIMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTDELNVDESRLVPLIMPDDYAKALRDGPQRGGVAAVIDERPYIELFLSSRCDFSIVGQEFTKSGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSGCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIFFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRKMERMSNRSASEDESMYNSKRRHIDQSS >ONH98008 pep chromosome:Prunus_persica_NCBIv2:G7:19875549:19882107:-1 gene:PRUPE_7G223100 transcript:ONH98008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWPLVLMVFYKGVASNGGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIICHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAHWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTTGQTSKGKIGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGISGPVKFTPDRNLIHPVFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNQSLYGVIWPGQTTQKPRGWVFPNNGRHLKIGVPKHVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPRSTELVHKIRTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPIMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTDELNVDESRLVPLIMPDDYAKALRDGPQRGGVAAVIDERPYIELFLSSRCDFSIVGQEFTKSGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSGCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIFFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRKMERMSNRSASEDESMYNSKRRHIDQSS >ONH98007 pep chromosome:Prunus_persica_NCBIv2:G7:19875549:19882105:-1 gene:PRUPE_7G223100 transcript:ONH98007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWPLVLMVFYKGVASNGGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIICHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAHWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTTGQTSKGKIGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGISGPVKFTPDRNLIHPVFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNQSLYGVIWPGQTTQKPRGWVFPNNGRHLKIGVPKHVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPRSTELVHKIRTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPIMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTDELNVDESRLVPLIMPDDYAKALRDGPQRGGVAAVIDERPYIELFLSSRCDFSIVGQEFTKSGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSGCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIFFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRKMERMSNRSASEDESMYNSKRRHIDQSS >ONH98005 pep chromosome:Prunus_persica_NCBIv2:G7:19875787:19879266:-1 gene:PRUPE_7G223100 transcript:ONH98005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWPLVLMVFYKGVASNGGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIICHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAHWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTTGQTSKGKIGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGISGPVKFTPDRNLIHPVFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNQSLYGVIWPGQTTQKPRGWVFPNNGRHLKIGVPKHVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPRSTELVHKIRTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPIMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTDELNVDESRLVPLIMPDDYAKALRDGPQRGGVAAVIDERPYIELFLSSRCDFSIVGQEFTKSGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSGCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIFFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRKMERMSNRSASEDESMYNSKRRHIDQSS >ONH96492 pep chromosome:Prunus_persica_NCBIv2:G7:15123657:15125950:1 gene:PRUPE_7G132500 transcript:ONH96492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDKGFSCTYMLLEPKDVGFFDLIHILFSNNLGRRRFVDSSEETEDDFERRWLIFLSIVVQKLLQFVAKPMATIGWGIEMCLNLISSNQSLVGLILNFLRGKVIIPDKDSRTFLSFIGNLDKRVELDKSIKHGDGRYNAALSMMASKISYENAFYIRTTVDQHWKMEFLGSYDFWNDYQGKATTQAFMLRDKSDDHDTIVVAFRGTEPFDADAWCSDFDISWYQLKGVGRIHGGFMKSLGLQKNVGWPEPEEVKQDDSRPALAYYAIRDMLEKLLLENDKARFIITGHSLGGALAILFPALLTFHKGRDSDLLLERLEGVYTFGQPRVGDKDFGQYMEKKMIEHKIKYFRFVYGNDMVPRLPYDDKALMFKHFGTCLYYNRKYEVQVVPEEPNKNYFSPKRTIPMMINAFGELIRSFTIPDKLGPNYKEGLLFKMFRLIGLVMPGASAHCPQDYVNSTRLGSSHVFLPPKHYL >ONH95673 pep chromosome:Prunus_persica_NCBIv2:G7:11973905:11978972:-1 gene:PRUPE_7G084400 transcript:ONH95673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEDVEAAEEGTWSRETIPKVLKIVSARLSQRDLISLLLVSPWLNGTLVSYPSLWLLLDLREMNNAGNRLIAALSLPRYRHVKQINLEFAQDIEDKHLELIKNKCLDSLQNLEVLNLNGCQKISDKGIEAITSACPNLKVFSIYWNVRVTDIGIAHLVKNCKHIVDLNFSGCKNLSDKSLQLVAQNYPELELLNLTRCVKLTDSGLQQILHDCPCLHSLNLYALSSFTDEAYKRISLLSHLKFLDLCGGQNLSDEGLSCIARCKSLLSLNLTWCVRITDVGVIAVAQGCTSLEFLSLFGIVGVTDKCLESLSRTCSNTITTLDVNGCIGIKKRSRDELLQLFPKLQCFKVHS >ONH94958 pep chromosome:Prunus_persica_NCBIv2:G7:7807759:7813848:1 gene:PRUPE_7G042200 transcript:ONH94958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSVRQVSRQDIQLVQNLIERCLQLYMNQKEVVDTLLDQAKIEPGFTELVWQKLEEENREFFRAYYLRLMVKHQIIEYNRLLEQQVRLMSQLHSSGVASIPSTNGSHISPMHQNAPCYAPEHVGPALKTENIHHQVGSCVPNAFTNGGSSLHTSMHNAVKMSPHTSRIDVPPNMLSNQSSNVGLMQGINGGIIKSEVGYSGSSYMFSADANILEARPTIGDASVAAFNSVESNSQPLNESLLDADSSSFGFLRQIPRIFSLSDLTADFSQSSDILESYPRSPFLATDNDNFLDSREREHQGDNNRLDTISEGVSYEDFGSE >ONH94959 pep chromosome:Prunus_persica_NCBIv2:G7:7807753:7813888:1 gene:PRUPE_7G042200 transcript:ONH94959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSVRQVSRQDIQLVQNLIERCLQLYMNQKEVVDTLLDQAKIEPGFTELVWQKLEEENREFFRAYYLRLMVKHQIIEYNRLLEQQVRLMSQLHSSGVASIPSTNGSHISPMHQNAPCYAPEHVGPALKTENIHHQVGSCVPNAFTNGGSSLHTSMHNAVKMSPHTSRIDVPPNMLSNQSSNVGLMQGINGGIIKSEVGYSGSSYMFSADANILEARPTIGDASVAAFNSVESNSQPLNESLLDADSSSFGFLRQIPRIFSLSDLTADFSQSSDILESYPRSPFLATDNDNFLDSREREHQGDNNRLDTISEGVSYEDFGSE >ONH95280 pep chromosome:Prunus_persica_NCBIv2:G7:10023072:10028711:1 gene:PRUPE_7G061000 transcript:ONH95280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPDASGDVLSEMDVDAFRRLFPLRFFETHLVKSTRPDGRSLAEARETSSALGAVASADGSALVKIGSTRMLAAIKMEVMTPSKESPDEGCIAIDFHMPPICSPTVRPGRPAEAAPVVSKQLSDTISSCGMINLKELSLISRKAAWMAYLDIYCLDADGALFDAALLSAVAAFSHLQIPVVSMNDDGKVVVLSGDHGGKEPVNKGKRKLTLSSIPFSLTCLLHKNYILADPTAEEESVMETLVTVVLDSSGQLVSLYKPGGPVLAYTSAIQDCVALTRQRARELQTILDEVTSSMEVD >ONH95281 pep chromosome:Prunus_persica_NCBIv2:G7:10024047:10028711:1 gene:PRUPE_7G061000 transcript:ONH95281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPDASGDVLSEMDVDAFRRLFPLRFFETHLVKSTRPDGRSLAEARETSSALGAVASADGSALVKIGSTRMLAAIKMEVMTPSKESPDEGCIAIDFHMPPICSPTVRPGRPAEAAPVVSKQLSDTISSCGMINLKELSLISRKAAWMAYLDIYCLDADGALFDAALLSAVAAFSHLQIPVVSMNDDGKVVVLSGDHGGKEPVNKGKRKLTLSSIPFSLTCLLHKNYILADPTAEEESVMETLVTVVLDSSGQLVSLYKPGGPVLAYTSAIQDCVALTRQRARELQTILDEVTSSMEVD >ONH95279 pep chromosome:Prunus_persica_NCBIv2:G7:10023716:10028711:1 gene:PRUPE_7G061000 transcript:ONH95279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPDASGDVLSEMDVDAFRRLFPLRFFETHLVKSTRPDGRSLAEARETSSALGAVASADGSALVKIGSTRMLAAIKMEVMTPSKESPDEGCIAIDFHMPPICSPTVRPGRPAEAAPVVSKQLSDTISSCGMINLKELSLISRKAAWMAYLDIYCLDADGALFDAALLSAVAAFSHLQIPVVSMNDDGKVVVLSGDHGGKEPVNKGKRKLTLSSIPFSLTCLLHKNYILADPTAEEESVMETLVTVVLDSSGQLVSLYKPGGPVLAYTSAIQDCVALTRQRARELQTILDEVTSSMEVD >ONH95282 pep chromosome:Prunus_persica_NCBIv2:G7:10022978:10028711:1 gene:PRUPE_7G061000 transcript:ONH95282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPDASGDVLSEMDVDAFRRLFPLRFFETHLVKSTRPDGRSLAEARETSSALGAVASADGSALVKIGSTRMLAAIKMEVMTPSKESPDEGCIAIDFHMPPICSPTVRPGRPAEAAPVVSKQLSDTISSCGMINLKELSLISRKAAWMAYLDIYCLDADGALFDAALLSAVAAFSHLQIPVVSMNDDGKVVVLSGDHGGKEPVNKGKRKLTLSSIPFSLTCLLHKNYILADPTAEEESVMETLVTVVLDSSGQLVSLYKPGGPVLAYTSAIQDCVALTRQRARELQTILDEVTSSMEVD >ONH97394 pep chromosome:Prunus_persica_NCBIv2:G7:18081512:18081928:-1 gene:PRUPE_7G187700 transcript:ONH97394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHMNYNRVGNGRGSRGFRLNPRRFSVSRLLRARFVCLFRFLRCSYGQALQSLKKGMSRSSRPSAGSGPSNIKRNNSSSRRILVTETHQNKARSEPADYCRLRSFARSNSFYAEAIADCLEFIKRSSISLDQNPVNQR >ONH96974 pep chromosome:Prunus_persica_NCBIv2:G7:16806841:16812054:1 gene:PRUPE_7G162900 transcript:ONH96974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEWIVGCWVLVGIFVGSLCWVSKEMKKKSKSQNHKGAKVPKGNLGWPLIGETLDFIACGYTSRPVSFMEKRRSLYGKVFKTNILGTPIIVSTDAEVNKVVLKNHGNTFIPAYPKSVKELLGKFSILQINGSIHKRVHGLIGGFLKSPQFKDRITRDIENSVKLNLARWRHMQSPIYVQDETQKITFEILVKVLMSVGPGEDLNFLKREFEEFIKGLICIPIKLPGTRLYKSLKARERLLKMVGKIVEQKKKAMENNSIQETSPVNDAMDVLLRDGGDQSNETQRLPLDFITGNIIEMMIPGEETVPVAMTLAVKFLSDSPVALDKLRDENMELKRQKVGSSENYAWTDYLSLPFTQNVISETLRMANIINAIWRKALKDVEIKGYLIPQGWCVLASLTSVHMDEENYENPYQFDPWRWEKLEAAVNYTSSFTPFGGGQRLCPGLELSRLELSIFLHHLVTNYRWVAEKDEIVHFPTVKMKRKLPISVTSTST >ONH97651 pep chromosome:Prunus_persica_NCBIv2:G7:18886136:18889331:1 gene:PRUPE_7G203700 transcript:ONH97651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGGGAGAPYSPRTVDEVFRDFKGRRAGMIKALSTDVEDFYEQCDPEKENLCLYGFPNEEWEVNLPAEEVPPELPEPALGINFARDGMQEKDFLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFTMMNDLPTIFEVVTGSAKKQSKEKSSVTTNQSIHRPKSSSKGRGSDSSKQSKMIPKDEDEGMDEEDDDDHSDTLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKHYKCPSCSNKRVRP >ONH97652 pep chromosome:Prunus_persica_NCBIv2:G7:18885919:18889352:1 gene:PRUPE_7G203700 transcript:ONH97652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGGGAGAPYSPRTVDEVFRDFKGRRAGMIKALSTDVEDFYEQCDPEKENLCLYGFPNEEWEVNLPAEEVPPELPEPALGINFARDGMQEKDFLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFTMMNDLPTIFEVVTGSAKKQSKEKSSVTTNQSIHRPKSSSKGRGSDSSKQSKMIPKDEDEGMDEEDDDDHSDTLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKHYKCPSCSNKRVRP >ONH97937 pep chromosome:Prunus_persica_NCBIv2:G7:19716827:19719492:1 gene:PRUPE_7G219700 transcript:ONH97937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIMDLLFLCLGLVFLRLWWRYWSVTGGGRRNLPPGPPGWPVVGNLIQVILQRRPFIFVVRDLRAKYGPIFSMQMGQRTLIIVTSSDLIHEGLVQRGPEFASRPADSPIRLLFSVGKCAINSAEYGPVWRTLRRNFVTELISPARIRQCSWIRSWAIQSHMDRLKSEAAADNNGGVVHVISNCRLTICSILICLCFGAKITEEKIKTIETVLKDVMMMTTPKLPDFLPVLTPLFRRQVREAKELRRRQMECLVPLIRNRKTFVQANQSSDGNMVSPKGAAYIDSLFELEVPGRAQRLGEEELVTLCSEVINAGTDTSATTLEWALLHLVMNQEIQQKLYNEIVDCVGKEEVTESDVEKMSYLSAVVKETFRRHPPSHFVLSHAAVKETVLGGYTVPADASVEFYTAWVTEDPNLWEDPGEFRPERFLEGDGVDVDVTGTKGVKMVPFGAGRRICPAWTLGTLHVNLLLARMVQAFKWLPVPDAPPDPTETFAFTVVMKNPLKAIILPRSSSSIIV >ONH97936 pep chromosome:Prunus_persica_NCBIv2:G7:19716827:19719492:1 gene:PRUPE_7G219700 transcript:ONH97936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIMDLLFLCLGLVFLRLWWRYWSVTGGGRRNLPPGPPGWPVVGNLIQVILQRRPFIFVVRDLRAKYGPIFSMQMGQRTLIIVTSSDLIHEGLVQRGPEFASRPADSPIRLLFSVGKCAINSAEYGPVWRTLRRNFVTELISPARIRQCSWIRSWAIQSHMDRLKSEAAADNNGGVVHVISNCRLTICSILICLCFGAKITEEKIKTIETVLKDVMMMTTPKLPDFLPVLTPLFRRQVREAKELRRRQMECLVPLIRNRKTFVQANQSSDGNMVSPKGAAYIDSLFELEVPGRAQRLGEEELVTLCSEVINAGTDTSATTLEWALLHLVMNQEIQQKLYNEIVDCVGKEEVTESDVEKMSYLSAVVKETFRRHPPSHFVLSHAAVKETVLGGYTVPADASVEFYTAWVTEDPNLWEDPGEFRPERFLEGDGVDVDVTGTKGVKMVPFGAGRRICPAWTLGTLHVNLLLARMVQAFKWLPVPDAPPDPTETFAFTVVMKNPLKAIILPRSSSSIIV >ONH96723 pep chromosome:Prunus_persica_NCBIv2:G7:16032026:16033695:-1 gene:PRUPE_7G147700 transcript:ONH96723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAELVAATVAYEAEAEAEAGSSASRARATFQWGGTIFALILLILNRVGRRSAMQSSLLVLFLFTSFPTVLFKILRGQFGCWVSFLAVAANLIFPRTFPVSRFLLFVVPPTLVANGLRDSIVGCIFCLMIGVLLVVTEIRGIGGFSNCRCNFPCFAYCFCIAFLFFFTILYLCSETW >ONH98390 pep chromosome:Prunus_persica_NCBIv2:G7:21034061:21040184:-1 gene:PRUPE_7G247700 transcript:ONH98390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPELHDLSDDADYAASQQHGSASMMRSDSGKHSSSSEHDGAEVVYLKDNVTIHPTQFASERISGRLRLIKQGSSLFMTWIPYKGQNSNARLTERDRNLYTIRAVPLTEVRSIRRHTPALGWQYIIVVLSTGLAFPPLYFYNGGVKEFLATIKQHVLIVRSADDANVFLVNDFQNPLQRTLSSLELPRALSVASGQSTSVSVGETSSDENQERTSGDVYEGSISSSQYNERQRQRVHDPARDLSIQVLEKFSLVTKFARETTSQLFRDNHSNGYGAIERRSNNLSPIDYPQKASNDSNKVPDKSPVPPDSLEFDKVSLVWGKPRQAPLGSDEWKTFLDYEGRIMDSEALRKRIFYGGVEHELRKEVWPFLLGYHAYDSTEAEREYLRAVKKSEFETIKKQWQSISPEQAKRFTKFRERKGLIEKDVGMSDLLSPILFVMEDESDTFWCFVSLMERLGPNFNRDQNGMHSQLFAITKLVELLDIPLHNHFTQRDCLNYFFCFRWVLIQFKREFEFDKTMRLWEVLWAHYPSEHLHLYVCVAILKRHRSKIIGEQMDFDTLLKFINELSGHIDLDAVVRDAEALCICAGENGAACIPPGTPPSLPVDDYGLLLYPQQELDDVL >ONH98393 pep chromosome:Prunus_persica_NCBIv2:G7:21034291:21039801:-1 gene:PRUPE_7G247700 transcript:ONH98393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSDSGKHSSSSEHDGAEVVYLKDNVTIHPTQFASERISGRLRLIKQGSSLFMTWIPYKGQNSNARLTERDRNLYTIRAVPLTEVRSIRRHTPALGWQYIIVVLSTGLAFPPLYFYNGGVKEFLATIKQHVLIVRSADDANVFLVNDFQNPLQRTLSSLELPRALSVASGQSTSVSVGETSSDENQERTSGDVYEGSISSSQYNERQRQRVHDPARDLSIQVLEKFSLVTKFARETTSQLFRDNHSNGYGAIERRSNNLSPIDYPQKASNDSNKVPDKSPVPPDSLEFDKVSLVWGKPRQAPLGSDEWKTFLDYEGRIMDSEALRKRIFYGGVEHELRKEVWPFLLGYHAYDSTEAEREYLRAVKKSEFETIKKQWQSISPEQAKRFTKFRERKGLIEKDVVRTDRSLSFYDGDDNPNVNLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESDTFWCFVSLMERLGPNFNRDQNGMHSQLFAITKLVELLDIPLHNHFTQRDCLNYFFCFRWVLIQFKREFEFDKTMRLWEVLWAHYPSEHLHLYVCVAILKRHRSKIIGEQMDFDTLLKFINELSGHIDLDAVVRDAEALCICAGENGAACIPPGTPPSLPVDDYGLLLYPQQELDDVL >ONH98392 pep chromosome:Prunus_persica_NCBIv2:G7:21034291:21039960:-1 gene:PRUPE_7G247700 transcript:ONH98392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPELHDLSDDADYAASQQHGSASMMRSDSGKHSSSSEHDGAEVVYLKDNVTIHPTQFASERISGRLRLIKQGSSLFMTWIPYKGQNSNARLTERDRNLYTIRAVPLTEVRSIRRHTPALGWQYIIVVLSTGLAFPPLYFYNGGVKEFLATIKQHVLIVRSADDANVFLVNDFQNPLQRTLSSLELPRALSVASGQSTSVSVGETSSDENQERTSGDVYEGSISSSQYNERQRQRVHDPARDLSIQVLEKFSLVTKFARETTSQLFRDNHSNGYGAIERRSNNLSPIDYPQKASNDSNKVPDKSPVPPDSLEQFDKVSLVWGKPRQAPLGSDEWKTFLDYEGRIMDSEALRKRIFYGGVEHELRKEVWPFLLGYHAYDSTEAEREYLRAVKKSEFETIKKQWQSISPEQAKRFTKFRERKGLIEKDVVRTDRSLSFYDGDDNPNVNLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESDTFWCFVSLMERLGPNFNRDQNGMHSQLFAITKLVELLDIPLHNHFTQRDCLNYFFCFRWVLIQFKREFEFDKTMRLWEVLWAHYPSEHLHLYVCVAILKRHRSKIIGEQMDFDTLLKFINELSGHIDLDAVVRDAEALCICAGENGAACIPPGTPPSLPVDDYGLLLYPQQELDDVL >ONH98391 pep chromosome:Prunus_persica_NCBIv2:G7:21034058:21040184:-1 gene:PRUPE_7G247700 transcript:ONH98391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPELHDLSDDADYAASQQHGSASMMRSDSGKHSSSSEHDGAEVVYLKDNVTIHPTQFASERISGRLRLIKQGSSLFMTWIPYKGQNSNARLTERDRNLYTIRAVPLTEVRSIRRHTPALGWQYIIVVLSTGLAFPPLYFYNGGVKEFLATIKQHVLIVRSADDANVFLVNDFQNPLQRTLSSLELPRALSVASGQSTSVSVGETSSDENQERTSGDVYEGSISSSQYNERQRQRVHDPARDLSIQVLEKFSLVTKFARETTSQLFRDNHSNGYGAIERRSNNLSPIDYPQKASNDSNKVPDKSPVPPDSLEFDKVSLVWGKPRQAPLGSDEWKTFLDYEGRIMDSEALRKRIFYGGVEHELRKEVWPFLLGYHAYDSTEAEREYLRAVKKSEFETIKKQWQSISPEQAKRFTKFRERKGLIEKDVVRTDRSLSFYDGDDNPNVNLLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESDTFWCFVSLMERLGPNFNRDQNGMHSQLFAITKLVELLDIPLHNHFTQRDCLNYFFCFRWVLIQFKREFEFDKTMRLWEVLWAHYPSEHLHLYVCVAILKRHRSKIIGEQMDFDTLLKFINELSGHIDLDAVVRDAEALCICAGENGAACIPPGTPPSLPVDDYGLLLYPQQELDDVL >ONH98430 pep chromosome:Prunus_persica_NCBIv2:G7:21091943:21097595:1 gene:PRUPE_7G248700 transcript:ONH98430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFSDQLDEIKYLISSASGTKANKSFAYSTLLHLQQQSSDSHASIQKLARTSQSLIHPIVADIQDDDEEIATQALKCLGFMIYHPSIVAEIAVDDVKLVLESLAKLITTTKMKAVCNLGVWCISVQQLAAPLLAAHFHSLLLAVVHAIDNPIGSLSTTFEAMQAVMKLASLLSESMRELSHVWAPPIYRRLLSFDKRERDMSERCLLKIKSTILPPPLNLSKALVKDLKPKLLTGMHNMLNNGMKVHTIQAWGWFVRLLGPHALKNRHLINEMLKIPQHTFSDHDAQVQIASQVAWEGLIDALVHPPMVLPCETSDAKADNGVQQIGTYKGNCGEIQKNGSLKSIKLIMTPLIGIMSTNCDVSVQLACLNTWCYLLHKLDTSVNDSSMIRLVVQPIFEAVFQMDPDGKNIWTRNLCVDLLDDFILAKCKDIDYDSLNQVSHQLSAKSDANAPPISGNCSWKQYPIKWLPWDLSLLDFHLKVIYVLICQLPRETVSHDNRIPAADASLKLFRSVLKGIQLEFKRSSISYNDIMLCLNAILKFINNVCEEVSSNSSDRNDLHHICLQLVEAVGEEIEPTIVGSPLYKVPLDIKHIEYLQADADIGFAKLDVSSVAYMDMVSPMVYLSVLYFCVVVQSTLRVLKTDFILHRMQKYFKFMLSSFDPLESLVVTSGLLYKHSGPSCLSMWIAIAEGLKFYINDVKDFSLLKMDSDSKCCFAMLYLLSYPLVVCSCTQKDFKSANIRSSPEESPASLQIQVELERVITLWTSLYGSMCTSLSGCFTIGSFFEDLFSILDRCLDKYTSMLVCGDELELKFKGLDLHLIALYGDVLICILENFHSSEISSDGNDEHGSNYQVSSAITCCLKVTIRYMDLLQTKIGTDSPIGLSVASRVYSTLAYFISSLHLKEDILSFFELISGPLLQWLVLMEMQNESTSDQFQLLWAETLGCLRRSRPPIIFDSAFLKLQAPILEKTLDHPNLSISEETITFWNSTYGEQTKLDYPKTLLNVLDKLWRNGRINLHKRSLPLQRCQSRPQVAAVPPRYRVNATHNRVSKRVELVEDTIGGGEHKEMPHPSLKRRRLELTEHQKEVRRAQQGRERDCGGHGPGVQTFTSVDFSQGNNNEDSQENPDIRNAECILELLRNG >ONH98429 pep chromosome:Prunus_persica_NCBIv2:G7:21091943:21097573:1 gene:PRUPE_7G248700 transcript:ONH98429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFSDQLDEIKYLISSASGTKANKSFAYSTLLHLQQQSSDSHASIQKLARTSQSLIHPIVADIQDDDEEIATQALKCLGFMIYHPSIVAEIAVDDVKLVLESLAKLITTTKMKAVCNLGVWCISVQQLAAPLLAAHFHSLLLAVVHAIDNPIGSLSTTFEAMQAVMKLASLLSESMRELSHVWAPPIYRRLLSFDKRERDMSERCLLKIKSTILPPPLNLSKALVKDLKPKLLTGMHNMLNNGMKVHTIQAWGWFVRLLGPHALKNRHLINEMLKIPQHTFSDHDAQVQIASQVAWEGLIDALVHPPMVLPCETSDAKADNGVQQIGTYKGNCGEIQKNGSLKSIKLIMTPLIGIMSTNCDVSVQLACLNTWCYLLHKLDTSVNDSSMIRLVVQPIFEAVFQMDPDGKNIWTRNLCVDLLDDFILAKCKDIDYDSLNQVSHQLSAKSDANAPPISGNCSWKQYPIKWLPWDLSLLDFHLKVIYVLICQLPRETVSHDNRIPAADASLKLFRSVLKGIQLEFKRSSISYNDIMLCLNAILKFINNVCEEVSSNSSDRNDLHHICLQLVEAVGEEIEPTIVGSPLYKVPLDIKHIEYLQADADIGFAKLDVSSVAYMDMVSPMVYLSVLYFCVVVQSTLRVLKTDFILHRMQKYFKFMLSSFDPLESLVVTSGLLYKHSGPSCLSMWIAIAEGLKFYINDVKDFSLLKMDSDSKCCFAMLYLLSYPLVVCSCTQKDFKSANIRSSPEESPASLQIQVELERVITLWTSLYGSMCTSLSGCFTIGSFFEDLFSILDRCLDKYTSMLVCGDELELKFKGLDLHLIALYGDVLICILENFHSSEISSDGNDEHGSNYQVSSAITCCLKVTIRYMDLLQTKIGTDSPIGLSVASSCRVYSTLAYFISSLHLKEDILSFFELISGPLLQWLVLMEMQNESTSDQFQLLWAETLGCLRRSRPPIIFDSAFLKLQAPILEKTLDHPNLSISEETITFWNSTYGEQTKLDYPKTLLNVLDKLWRNGRINLHKRSLPLQRCQSRPQVAAVPPRYRVNATHNRVSKRVELVEDTIGGGEHKEMPHPSLKRRRLELTEHQKEVRRAQQGRERDCGGHGPGVQTFTSVDFSQGNNNEDSQENPDIRNAECILELLRNG >ONH98431 pep chromosome:Prunus_persica_NCBIv2:G7:21091943:21097619:1 gene:PRUPE_7G248700 transcript:ONH98431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFSDQLDEIKYLISSASGTKANKSFAYSTLLHLQQQSSDSHASIQKLARTSQSLIHPIVADIQDDDEEIATQALKCLGFMIYHPSIVAEIAVDDVKLVLESLAKLITTTKMKAVCNLGVWCISVQQLAAPLLAAHFHSLLLAVVHAIDNPIGSLSTTFEAMQAVMKLASLLSESMRELSHVWAPPIYRRLLSFDKRERDMSERCLLKIKSTILPPPLNLSKALVKDLKPKLLTGMHNMLNNGMKVHTIQAWGWFVRLLGPHALKNRHLINEMLKIPQHTFSDHDAQVQIASQVAWEGLIDALVHPPMVLPCETSDAKADNGVQQIGTYKGNCGEIQKNGSLKSIKLIMTPLIGIMSTNCDVSVQLACLNTWCYLLHKLDTSVNDSSMIRLVVQPIFEAVFQMDPDGKNIWTRNLCVDLLDDFILAKCKDIDYDSLNQVSHQLSAKSDANAPPISGNCSWKQYPIKWLPWDLSLLDFHLKLVEAVGEEIEPTIVGSPLYKVPLDIKHIEYLQADADIGFAKLDVSSVAYMDMVSPMVYLSVLYFCVVVQSTLRVLKTDFILHRMQKYFKFMLSSFDPLESLVVTSGLLYKHSGPSCLSMWIAIAEGLKFYINDVKDFSLLKMDSDSKCCFAMLYLLSYPLVVCSCTQKDFKSANIRSSPEESPASLQIQVELERVITLWTSLYGSMCTSLSGCFTIGSFFEDLFSILDRCLDKYTSMLVCGDELELKFKGLDLHLIALYGDVLICILENFHSSEISSDGNDEHGSNYQVSSAITCCLKVTIRYMDLLQTKIGTDSPIGLSVASRVYSTLAYFISSLHLKEDILSFFELISGPLLQWLVLMEMQNESTSDQFQLLWAETLGCLRRSRPPIIFDSAFLKLQAPILEKTLDHPNLSISEETITFWNSTYGEQTKLDYPKTLLNVLDKLWRNGRINLHKRSLPLQRCQSRPQVAAVPPRYRVNATHNRVSKRVELVEDTIGGGEHKEMPHPSLKRRRLELTEHQKEVRRAQQGRERDCGGHGPGVQTFTSVDFSQGNNNEDSQENPDIRNAECILELLRNG >ONH96191 pep chromosome:Prunus_persica_NCBIv2:G7:13868251:13868930:1 gene:PRUPE_7G112300 transcript:ONH96191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRLTLLFVIFCLLCAMTLASDPDPVQDYCIPNPKLGVIKTPLHTFLPCKNSSEATTDDFVFSGMKVAGNFTDTGLAAISVNPTIFPGINTLGMSFVRADLNVGGINPPHFHPRATEISHIVQGSVYSGFVDSTNRVFARVIEQGEVMVFPRGLVHFQMNVGKKPATIFGSFNSQNPGMQKIPSAIFGSGINDELLEKAFGLSSKQIGTMRRRFDPKRVRF >ONH95986 pep chromosome:Prunus_persica_NCBIv2:G7:13062754:13066014:1 gene:PRUPE_7G100200 transcript:ONH95986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINQTLPSKTLAITDPPENPSLQTQPPQQQEQQQLKRRRYIDKHSTVNGRHRRVRIPVTCCPGIFRLTQELGHRSDGDTIQWLLSQVRPELVLPPQSNNRTRRLPPDPVPQPNCRYPGAAEDGLDHKAVARLPSVTVRATVVQASTVFFDTPATLDKAERLVAGAAAYGSQLVVFPEAFVGGYPRGLMFDSATATLSAEEKQAFEKYYASAIDVPGPEVDRLAKIASKYKVHLVMGVVERVGFYLCSTVLFFDSFGQCLGKHPKLRPLASESPVWCSGAKLPLSVYDTEIGRIGGIVCWDNRMPDLRTQLYAKGIEIYCAPTAEAREIWRSSMTHIALEGGCFVLSANQFCRRKDYPLPLECVSGDSNDATSLDIICAGGSVIVSPSGTILAGPNYQGESLISADLDLVEIARAKLEFGGVGLGHNAGPNAVGWRRTSIPNPDLFAATVKTEVSDHANVLYA >ONH96527 pep chromosome:Prunus_persica_NCBIv2:G7:15241359:15242172:1 gene:PRUPE_7G134600 transcript:ONH96527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVSCLQVQPDQEMTVDEFKAWLHRFDSDHNGQISREELKEALHSLRVWFGWWRARQGMKQADCNKSGQIDNPKEFEKLVSYAQQRLGMKILENNCW >ONH94278 pep chromosome:Prunus_persica_NCBIv2:G7:954178:957729:1 gene:PRUPE_7G007600 transcript:ONH94278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPQSRAGHGFYLRDPKGVDDRKVASRWFSLENPDGDEKRMYRGRMHLRLCFDGGYHVMDEAAHVCSDYSPTARQLWKPPLGTVELGVIGCKNLIPVKTVNGKGCSDAYCVAKYGPKWVRTRTVCDSLEPKWNEQYTFKVYDPCTVLSIGVFDNSGSGFEIEGSKDATRPDFRIINVVAGFCRDPRWGRCYESYSEDPKIVQAMTEIIPGLQGEIPANCRKGVPFVAGNKKVAACAKHFVGDGGTTKGINENNTVINRHGLLSIHMPGYYNSIIKGVATIMVSYSSWNGVKMHANHDLVTAFLKNTLRFRGFVISDWEGIDRITSPPHANYSYSIQAGINAGIDMVMVPYNYMEFIDGLTFLVKNKIIPMSRIDDAVKRILRVKFVMGLFEEPFADMSLVHQLGSQEHRELAREVVRRSLVLLKNGESAEKPLIPLPKKTSKILVAGSHADNLGYQCGGWTIEWQGLSGNNLTEGTTILTAIKNTSNNISYAIVVVGEHPYAETFGDSLNLTIPDPGPTTITNVCGAVKCVVIVISGRPVVVQPYVASINALVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKTVDQLPMNVGDARYDPLFPFGFGLTTTPTHFN >ONH98294 pep chromosome:Prunus_persica_NCBIv2:G7:20743076:20746409:1 gene:PRUPE_7G241200 transcript:ONH98294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDDALKCFKIGKEALEAGDRTRALKFLTKARRLDPTLPIDDLLSSIEGNSNPQSGSDAGGSANGPSGSASAKPSDQPSLRQRVPSTDGSSSSKESPAAAYTEEQIAIVRQLKKKKDYYEILGVEKSCTVEDVRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCLSNQESRKKYDVMGSDEPVYERRASSHAHGFNGFYDGDVDAEEIFRNFFFGGMAPATQFRGFSFGHGGMGQRAGGDHGSGGSHIRTLIQLLPVLLILLVNFMPSSEPIYALSRSYPYEYRFTTEKGVNFYVRSTKFEQDFPPGSSERVTLEQRVEREYFNILSQNCRLELQRRQWGFIRETPHCDMLQRFETAA >ONH98295 pep chromosome:Prunus_persica_NCBIv2:G7:20743111:20744521:1 gene:PRUPE_7G241200 transcript:ONH98295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDDALKCFKIGKEALEAGDRTRALKFLTKARRLDPTLPIDDLLSSIEGNSNPQSGSDAGGSANGPSGSASAKPSDQPSLRQRVPSTDGSSSSKESPAAAYTEEQIAIVRQLKKKKDYYEILGVEKSCTVEDVRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCLSNQESRKKYDVMGSDEPVYERRASSHAHGFNGFYDGDVDAEEIFRNFFFGGMAPATQFRGFSFGHGGMGQRAGGDHGSGGSHIRTLIQLLPVLLILLVNFMPSSEPIYALSRSYPYEYRFTTEKGVNFYVRSTKFEQDFPPGSSERVTLEQRVEREYFNILSQNCRLELQRRQWGFIRETPHCDMLQRFETAA >ONH97216 pep chromosome:Prunus_persica_NCBIv2:G7:17521451:17522896:-1 gene:PRUPE_7G176900 transcript:ONH97216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIPDELWESIGKRLNTKTDVSQFRAVCKSWRSSLPLFAKQFPLQFPIQVTKKCSLSFTLNESVIYHLAPPAATDPRERGWLICVEEGETGETHHMLHPLSQSTVLQLPYSYPKLLNLLEYRVSEVAKVYVPRFRDDCWFCGKAALSLNLDFPAVMMVIRGMLWYGKLGVDRKKCIQVSPLTRERSIYEDVIFYQGKFCAVCYNGTAVEVDSSLDVTMIASPIKGRFTLNYLVESSGEVLLELSLAPAPAEVTHEGGFSSGANYRDLRILGELVLALPQVPAPAELAKPVHDEDSSYEGLPQAPAPAEVVHPAHDEDSDSSSGSSVKVYKLNADEKEWVEVEAEALRDRILVVGSDHCFSVSTGDFPGCRGSCIYFYNPDNRTIALGRGSMLSQTAVFCLDSGTWLPLTDYPSFQNIFCPPPTWTWLPTCQANNKGKVNNKTWTWTWT >ONH96899 pep chromosome:Prunus_persica_NCBIv2:G7:16598976:16599980:1 gene:PRUPE_7G158300 transcript:ONH96899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWDFHDVKAEKASAMRRYNRLRSVAKLFRFAELGAGVVFVSWTFSRLPIALTLSRDYFRLLSGVVSSPLFVFFLCHVIIASLVLKSRHVASAHNHDGAVEAKLCEELIENGGGVGGSGSSSKSQFGDDVISGVREEEEVVYQDKQIVSEVNSANQKADTDSSSDSESEFPKIIRRTRSEKFEREPKTAKLRRSETEIGRKSMNAGEDYRQENMEAEDNLSNEEFQRTIEAFIEKQLKFRHQESLAIVLPKKS >ONH97861 pep chromosome:Prunus_persica_NCBIv2:G7:19463853:19465803:1 gene:PRUPE_7G214600 transcript:ONH97861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEVVKKNNSFLVKQFGRGNAGVRFSKEPNNLYNLHSYKHSGLANKKTVTIQPGGKDHSVVLATTKTKKQNKPASLLHKSVIRKEFPRVAKAVINQVADNFYRPDLKKAALARLSVVHRSLKVSKSGVKKRNRQAVKTPGRK >ONH97860 pep chromosome:Prunus_persica_NCBIv2:G7:19463853:19465803:1 gene:PRUPE_7G214600 transcript:ONH97860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGQLIWEVVKKNNSFLVKQFGRGNAGVRFSKEPNNLYNLHSYKHSGLANKKTVTIQPGGKDHSVVLATTKTKKQNKPASLLHKSVIRKEFPRVAKAVINQVADNFYRPDLKKAALARLSVVHRSLKVSKSGVKKRNRQAVKTPGRK >ONH95033 pep chromosome:Prunus_persica_NCBIv2:G7:8464103:8466657:1 gene:PRUPE_7G047800 transcript:ONH95033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILSNTSLKHGAQNSELAKQNTRVRALERLSLSLSRFLQHRLCSSSKLYSVPSPKQWRRTGTRRKINDSASMDITEEPVSDFPQAIDTS >ONH95032 pep chromosome:Prunus_persica_NCBIv2:G7:8464103:8466629:1 gene:PRUPE_7G047800 transcript:ONH95032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERILSNTSLKHGAQNSELAKQNTRVRALERLSLSLSRFLQHRLCSSSKLYSVPSPKQWRRTGTRRKINDSASMDITEEPVSDFPQAIDTS >ONH98751 pep chromosome:Prunus_persica_NCBIv2:G7:21870141:21872940:-1 gene:PRUPE_7G264500 transcript:ONH98751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNFRSLDKRSPPPLPSTLGYFSQHPHALRATQQTQTAAMIERQLEKEKIREEIMAAERRRLLEVEVRRELMLERDIVMRRAAAADGLAFDHHPRLLHHSLDHDRFAASLINNHNLLPLAKPGPILSGVKRKTPPTASASELPPTGLKKKHKEIWSCAMCQVSARSQKVFNQHLNGKKHKANEARLRAQKLGKSSSSAPLSKQTAKFSEPEEVTESLDPSDGLDEKMQDACTSKEKKEELPQKDQCREDLKIKDEVEMVQGPGRKEAVRKKKFKFWCERCKVGAYSPKVMLAHMTGKKHIARRQEVTQSNVPIASSLASSVDASKEAEDADAAKEAHEKIPTETAMSSSGTNANGKAENADLTKEANNTAKIVVADTFHGE >ONH98750 pep chromosome:Prunus_persica_NCBIv2:G7:21870142:21875140:-1 gene:PRUPE_7G264500 transcript:ONH98750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQQTQTAAMIERQLEKEKIREEIMAAERRRLLEVEVRRELMLERDIVMRRAAAADGLAFDHHPRLLHHSLDHDRFAASLINNHNLLPLAKPGPILSGVKRKTPPTASASELPPTGLKKKHKEIWSCAMCQVSARSQKVFNQHLNGKKHKANEARLRAQKLGKSSSSAPLSKQTAKFSEPEEVTESLDPSDGLDEKMQDACTSKEKKEELPQKDQCREDLKIKDEVEMVQGPGRKEAVRKKKFKFWCERCKVGAYSPKVMLAHMTGKKHIARRQEVTQSNVPIASSLASSVDASKEAEDADAAKEAHEKIPTETAMSSSGTNANGKAENADLTKEANNTAKIVVADTFHGE >ONH98752 pep chromosome:Prunus_persica_NCBIv2:G7:21870132:21872833:-1 gene:PRUPE_7G264500 transcript:ONH98752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNFRSLDKRSPPPLPSTLGYFSQHPHALRGSLFSFLLRLRNHKKKKKKTFFILISPLFFSLVLILFTNPIQFHLLLTFLHLSTATQQTQTAAMIERQLEKEKIREEIMAAERRRLLEVEVRRELMLERDIVMRRAAAADGLAFDHHPRLLHHSLDHDRFAASLINNHNLLPLAKPGPILSGVKRKTPPTASASELPPTGLKKKHKEIWSCAMCQVSARSQKVFNQHLNGKKHKANEARLRAQKLGKSSSSAPLSKQTAKFSEPEEVTESLDPSDGLDEKMQDACTSKEKKEELPQKDQCREDLKIKDEVEMVQGPGRKEAVRKKKFKFWCERCKVGAYSPKVMLAHMTGKKHIARRQEVTQSNVPIASSLASSVDASKEAEDADAAKEAHEKIPTETAMSSSGTNANGKAENADLTKEANNTAKIVVADTFHGE >ONH95095 pep chromosome:Prunus_persica_NCBIv2:G7:8969523:8970831:-1 gene:PRUPE_7G051700 transcript:ONH95095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSNSSSVGRCLSLISIVLLLGQLVVASLATKQHTGAPVGVCNGMVGDDLPPQAEVVALYKTNNIPRMRLYDPNPAALEALRGSNIKLLLGVPNENLQYIALSQANANAWVQNNVRNYANVKFKYIAVGNEVKPSDSFAQFLVPAMRNIQEAISLAGLAKKIKVSTAIDTGVLGETFPPSIGSFKSEYNALLYPIIRFLVSHQSPLLVNLYPYFAYSGNTQDIRLDYALFTAPSVVVQDGNFGYRNLFDAMLDGVYAALEKAGGGSLKVVISETGWPSAAGTATTIDNARTFISNLIQHVKEGTPRRPGRPIETYIFAMFDENRKTPELEKHWGLFSPTKQPKYQISFN >ONH97935 pep chromosome:Prunus_persica_NCBIv2:G7:19714306:19715551:-1 gene:PRUPE_7G219600 transcript:ONH97935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKIVKHISLPLLRPVSHFTGLSCLCLRRSMATATSSDSTVSPSNTRVGWIGTGVMGRSMCTHLLKAGYSLTVFNRTLSKAQPLVDLGAHLAHSPNAVASQSDVVFSIVGYPSDVRSVLLDPTTGALSGLRPGGILVDMTTSEPSLAVEISSAAAAKSCFSVDAPVTGGDVGAKNGTLAILAGGDENVVLKLAPLFALMGKVNYMGGSGKGQFAKLANQIVIASTMVGLVEGMVFAHKAGLDVGLFLNAIKVGAAGSKSLDLYGGRILKRDFEPGFYVNHFVKDLGICLKECQNMGLALPGLALAQQLYLSLKAHGEGNLGTQALIVALERLNNVSLESAPAK >ONH95228 pep chromosome:Prunus_persica_NCBIv2:G7:9732185:9760222:-1 gene:PRUPE_7G058100 transcript:ONH95228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRRQLKVMLWKNWLLKVRHPFVTCAEILLPTVVMLLLIAIRMRVDTQIHPSQPYIRKGMFVEVGKGISPNFEEVLELLLNKEEFLAFAPDTEETRSMINIISVKFPLLKNVSRVYKDEQELETYIGSDLYGTCNQIMNCSNPKIKGAVVFHDQGPQSFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGINTVPTMQYSFSGFLTLQQVLDSFIIFAAQQSDTKNIELTSSLPSGEPSSLKVPWTSYGPSNIRIVPFPTREYTDDEFQSIIKSVMGVLYLLGFLYPISRLISYSVFEKEQKIREGLYMMGLEDGIFHLSWFIAYALQFAVSSAIITVCTMDNLFKYSDKTVVFIYFFFFGLSAIMLSFLISTFFTRAKTAVAVGTLTFLAAFFPYYSVNDEGVPLTLKVVASLLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVNFLVCLLMMLLDALLYCLIGLYLDKVLPRENGVRYPWNFIFHKRFWKNPSINKHLNHNSGVEVNSRDSVSKKASFSGKDNVKAAVEAITFDMKQQELDHRCIKIRNLHKVYGSKKGKCCAVNSLQLTMYENQILALLGHNGAGKSTTISMLVGLLRPTSGDALVFGKNIITEMEEIRKELGVCPQNDILFPELTVREHLEIFAILKGVKEDFVNSAVVDMGDQVGLADKMNTSVNALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIRKGRIVLLTTHSMDEAEVLGDRIAIMANGSLKCCGSSLFLKHKYGVGYTLTLVKSAPTASVAAEIVFRHIPLATCVSEVGTEISFKLPLASSSSFESMFREIESCMKRPMSNLETSSGEDYLGIESYGISVTTLEEVFLRVAGCDYVEAACFDQKTDLGLPDSVVCQTTHDPVPKKIFHSKKSFGYYKEILGVLFTIVGRACGLIFAAVLSFLNFVGVQCCCCGIISRSTFWRHSKALFIKRAISARRDRKTIVFQLVIPAVFLFFGLLFLKLKPHPDQLSVTFTTSHFNPLLRGGGGGPIPFDLSWPIAKEVAQYVEGGWIQNFKPSAYKFPNAEKALDDAIEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAIVMDDQNDDGSLGYTVLHNSSCQHAAPTYINLMNAAILRLAAHNKNMTIQTRNHPLPMTKSQHLQHHDLDAFSAAVIVSIAFSFIPASFAVSIVKEREVKAKHQQLISGVSILSYWASTYIWDFISFLFPSSFAIILFYVFGLEQFIGSGCLLSTVIMFLAYGLAIASTTYCLTFFFSDHSMAQNVVLLVHFFTGLILMVISFIMGLIKTTSSANSFLKNFFRLSPGFCFADGLASLALLRQDMKDKTSNEAFDWNVTGGSICYLGIESICYFLLTLGLEHLPYNKLTLATLKEWWKSIKSTRQGSSSYLEPLLKSSSEVITHDLDEDIDVKTERTRVLSGSIDNAIIYLRNLWKVYPGGKLHGPKIAVNSLTFAVQEGECFGFLGTNGAGKTTTLSMLTGEESPTDGTACIFGKDICSNPKAARRHIGFCPQFDALLEFLTVQEHLELYATIKGVPDYQIDDVVTEKLVEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPFEVSSGDLENLCRVIQERLSYVPSHPRSLLDGFEVCIGAIDSIVADNASVAEISLSREMIIIIGRWLGNEERIKSLISSVPLSDGVIGEQLAEQLVRDGGIPLPIFSEWWLSNEKFSAIDSFVFSSFPGAIFQGFNGLSAKYQLPYGQGLSLADVFGHLERNRYKLGIAEYSISQSTLETIFNHFAANS >ONH95227 pep chromosome:Prunus_persica_NCBIv2:G7:9732654:9759794:-1 gene:PRUPE_7G058100 transcript:ONH95227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRRQLKVMLWKNWLLKVRHPFVTCAEILLPTVVMLLLIAIRMRVDTQIHPSQPYIRKGMFVEVGKGISPNFEEVLELLLNKEEFLAFAPDTEETRSMINIISVKFPLLKNVSRVYKDEQELETYIGSDLYGTCNQIMNCSNPKIKGAVVFHDQGPQSFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGINTVPTMQYSFSGFLTLQQVLDSFIIFAAQQSDTKNIELTSSLPSGEPSSLKVPWTSYGPSNIRIVPFPTREYTDDEFQSIIKSVMGVLYLLGFLYPISRLISYSVFEKEQKIREGLYMMGLEDGIFHLSWFIAYALQFAVSSAIITVCTMDNLFKYSDKTVVFIYFFFFGLSAIMLSFLISTFFTRAKTAVAVGTLTFLAAFFPYYSVNDEGVPLTLKVVASLLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVNFLVCLLMMLLDALLYCLIGLYLDKVLPRENGVRYPWNFIFHKRFWKNPSINKHLNHNSGVEVNSRDSVSKKASFSGKDNVKAAVEAITFDMKQQELDHRCIKIRNLHKVYGSKKGKCCAVNSLQLTMYENQILALLGHNGAGKSTTISMLVGLLRPTSGDALVFGKNIITEMEEIRKELGVCPQNDILFPELTVREHLEIFAILKGVKEDFVNSAVVDMGDQVGLADKMNTSVNALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIRKGRIVLLTTHSMDEAEVLGDRIAIMANGSLKCCGSSLFLKHKYGVGYTLTLVKSAPTASVAAEIVFRHIPLATCVGTEISFKLPLASSSSFESMFREIESCMKRPMSNLETSSGEDYLGIESYGISVTTLEEVFLRVAGCDYVEAACFDQKTDLGLPDSVVCQTTHDPVPKKIFHSKKSFGYYKEILGVLFTIVGRACGLIFAAVLSFLNFVGVQCCCCGIISRSTFWRHSKALFIKRAISARRDRKTIVFQLVIPAVFLFFGLLFLKLKPHPDQLSVTFTTSHFNPLLRGGGGGPIPFDLSWPIAKELPVLQVAQYVEGGWIQNFKPSAYKFPNAEKALDDAIEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAIVMDDQNDDGSLGYTVLHNSSCQHAAPTYINLMNAAILRLAAHNKNMTIQTRNHPLPMTKSQHLQHHDLDAFSAAVIVSIAFSFIPASFAVSIVKEREVKAKHQQLISGVSILSYWASTYIWDFISFLFPSSFAIILFYVFGLEQFIGSGCLLSTVIMFLAYGLAIASTTYCLTFFFSDHSMAQNVVLLVHFFTGLILMVISFIMGLIKTTSSANSFLKNFFRLSPGFCFADGLASLALLRQDMKDKTSNEAFDWNVTGGSICYLGIESICYFLLTLGLEHLPYNKLTLATLKEWWKSIKSTRQGSSSYLEPLLKSSSEVITHDLDEDIDVKTERTRVLSGSIDNAIIYLRNLWKVYPGGKLHGPKIAVNSLTFAVQEGECFGFLGTNGAGKTTTLSMLTGEESPTDGTACIFGKDICSNPKAARRHIGFCPQFDALLEFLTVQEHLELYATIKGVPDYQIDDVVTEKLVEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPFEVSSGDLENLCRVIQERLSYVPSHPRSLLDGFEVCIGAIDSIVADNASVAEISLSREMIIIIGRWLGNEERIKSLISSVPLSDGVIGEQLAEQLVRDGGIPLPIFSEWWLSNEKFSAIDSFVFSSFPGAIFQGFNGLSAKYQLPYGQGLSLADVFGHLERNRYKLGIAEYSISQSTLETIFNHFAANS >ONH95232 pep chromosome:Prunus_persica_NCBIv2:G7:9732217:9760200:-1 gene:PRUPE_7G058100 transcript:ONH95232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRRQLKVMLWKNWLLKVRHPFVTCAEILLPTVVMLLLIAIRMRVDTQIHPSQPYIRKGMFVEVGKGISPNFEEVLELLLNKEEFLAFAPDTEETRSMINIISVKFPLLKNVSRVYKDEQELETYIGSDLYGTCNQIMNCSNPKIKGAVVFHDQGPQSFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGINTVPTMQYSFSGFLTLQQVLDSFIIFAAQQSDTKNIELTSSLPSGEPSSLKVPWTSYGPSNIRIVPFPTREYTDDEFQSIIKSVMGVLYLLGFLYPISRLISYSVFEKEQKIREGLYMMGLEDGIFHLSWFIAYALQFAVSSAIITVCTMDNLFKYSDKTVVFIYFFFFGLSAIMLSFLISTFFTRAKTAVAVGTLTFLAAFFPYYSVNDEGVPLTLKVVASLLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVNFLVCLLMMLLDALLYCLIGLYLDKVLPRENGVRYPWNFIFHKRFWKNPSINKHLNHNSGVEVNSRDSVSKKASFSGKDNVKAAVEAITFDMKQQELDHRCIKIRNLHKVYGSKKGKCCAVNSLQLTMYENQILALLGHNGAGKSTTISMLVGLLRPTSGDALVFGKNIITEMEEIRKELGVCPQNDILFPELTVREHLEIFAILKGVKEDFVNSAVVDMGDQVGLADKMNTSVNALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIRKGRIVLLTTHSMDEAEVLGDRIAIMANGSLKCCGRFHLFQPSLCSLFLKHKYGVGYTLTLVKSAPTASVAAEIVFRHIPLATCVSEVGTEISFKLPLASSSSFESMFREIESCMKRPMSNLETSSGEDYLGIESYGISVTTLEEVFLRVAGCDYVEAACFDQKTDLGLPDSVVCQTTHDPVPKKIFHSKKSFGYYKEILGVLFTIVGRACGLIFAAVLSFLNFVGVQCCCCGIISRSTFWRHSKALFIKRAISARRDRKTIVFQLVIPAVFLFFGLLFLKLKPHPDQLSVTFTTSHFNPLLRGGGGGPIPFDLSWPIAKEVAQYVEGGWIQNFKPSAYKFPNAEKALDDAIEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAIVMDDQNDDGSLGYTVLHNSSCQHAAPTYINLMNAAILRLAAHNKNMTIQTRNHPLPMTKSQHLQHHDLDAFSAAVIVSIAFSFIPASFAVSIVKEREVKAKHQQLISGVSILSYWASTYIWDFISFLFPSSFAIILFYVFGLEQFIGSGCLLSTVIMFLAYGLAIASTTYCLTFFFSDHSMAQNVVLLVHFFTGLILMVISFIMGLIKTTSSANSFLKNFFRLSPGFCFADGLASLALLRQDMKDKTSNEAFDWNVTGGSICYLGIESICYFLLTLGLEHLPYNKLTLATLKEWWKSIKSTRQGSSSYLEPLLKSSSEVITHDLDEDIDVKTERTRVLSGSIDNAIIYLRNLWKVYPGGKLHGPKIAVNSLTFAVQEGECFGFLGTNGAGKTTTLSMLTGEESPTDGTACIFGKDICSNPKAARRHIGFCPQFDALLEFLTVQEHLELYATIKGVPDYQIDDVVTEKLVEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPFEVSSGDLENLCRVIQERLSYVPSHPRSLLDGFEVCIGAIDSIVADNASVAEISLSREMIIIIGRWLGNEERIKSLISSVPLSDGVIGEQLAEQLVRDGGIPLPIFSEWWLSNEKFSAIDSFVFSSFPGAIFQGFNGLSAKYQLPYGQGLSLADVFGHLERNRYKLGIAEYSISQSTLETIFNHFAANS >ONH95229 pep chromosome:Prunus_persica_NCBIv2:G7:9732654:9759794:-1 gene:PRUPE_7G058100 transcript:ONH95229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRRQLKVMLWKNWLLKVRHPFVTCAEILLPTVVMLLLIAIRMRVDTQIHPSQPYIRKGMFVEVGKGISPNFEEVLELLLNKEEFLAFAPDTEETRSMINIISVKFPLLKNVSRVYKDEQELETYIGSDLYGTCNQIMNCSNPKIKGAVVFHDQGPQSFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGINTVPTMQYSFSGFLTLQQVLDSFIIFAAQQSDTKNIELTSSLPSGEPSSLKVPWTSYGPSNIRIVPFPTREYTDDEFQSIIKSVMGVLYLLGFLYPISRLISYSVFEKEQKIREGLYMMGLEDGIFHLSWFIAYALQFAVSSAIITVCTMDNLFKYSDKTVVFIYFFFFGLSAIMLSFLISTFFTRAKTAVAVGTLTFLAAFFPYYSVNDEGVPLTLKVVASLLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVNFLVCLLMMLLDALLYCLIGLYLDKVLPRENGVRYPWNFIFHKRFWKNPSINKHLNHNSGVEVNSRDSVSKKASFSGKDNVKAAVEAITFDMKQQELDHRCIKIRNLHKVYGSKKGKCCAVNSLQLTMYENQILALLGHNGAGKSTTISMLVGLLRPTSGDALVFGKNIITEMEEIRKELGVCPQNDILFPELTVREHLEIFAILKGVKEDFVNSAVVDMGDQVGLADKMNTSVNALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIRKGRIVLLTTHSMDEAEVLGDRIAIMANGSLKCCGSSLFLKHKYGVGYTLTLVKSAPTASVAAEIVFRHIPLATCVSEVGTEISFKLPLASSSSFESMFREIESCMKRPMSNLETSSGEDYLGIESYGISVTTLEEVFLRVAGCDYVEAACFDQKTDLGLPDSVVCQTTHDPVPKKIFHSKKSFGYYKEILGVLFTIVGRACGLIFAAVLSFLNFVGVQCCCCGIISRSTFWRHSKALFIKRAISARRDRKTIVFQLVIPAVFLFFGLLFLKLKPHPDQLSVTFTTSHFNPLLRGGGGGPIPFDLSWPIAKELPVLQVAQYVEGGWIQNFKPSAYKFPNAEKALDDAIEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAIVMDDQNDDGSLGYTVLHNSSCQHAAPTYINLMNAAILRLAAHNKNMTIQTRNHPLPMTKSQHLQHHDLDAFSAAVIVSIAFSFIPASFAVSIVKEREVKAKHQQLISGVSILSYWASTYIWDFISFLFPSSFAIILFYVFGLEQFIGSGCLLSTVIMFLAYGLAIASTTYCLTFFFSDHSMAQNVVLLVHFFTGLILMVISFIMGLIKTTSSANSFLKNFFRLSPGFCFADGLASLALLRQDMKDKTSNEAFDWNVTGGSICYLGIESICYFLLTLGLEHLPYNKLTLATLKEWWKSIKSTRQGSSSYLEPLLKSSSEVITHDLDEDIDVKTERTRVLSGSIDNAIIYLRNLWKVYPGGKLHGPKIAVNSLTFAVQEGECFGFLGTNGAGKTTTLSMLTGEESPTDGTACIFGKDICSNPKAARRHIGFCPQFDALLEFLTVQEHLELYATIKGVPDYQIDDVVTEKLVEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPFEVSSGDLENLCRVIQERLSYVPSHPRSLLDGFEVCIGAIDSIVADNASVAEISLSREMIIIIGRWLGNEERIKSLISSVPLSDGVIGEQLAEQLVRDGGIPLPIFSEWWLSNEKFSAIDSFVFSSFPGAIFQGFNGLSAKYQLPYGQGLSLADVFGHLERNRYKLGIAEYSISQSTLETIFNHFAANS >ONH95230 pep chromosome:Prunus_persica_NCBIv2:G7:9732217:9760200:-1 gene:PRUPE_7G058100 transcript:ONH95230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRRQLKVMLWKNWLLKVRHPFVTCAEILLPTVVMLLLIAIRMRVDTQIHPSQPYIRKGMFVEVGKGISPNFEEVLELLLNKEEFLAFAPDTEETRSMINIISVKFPLLKNVSRVYKDEQELETYIGSDLYGTCNQIMNCSNPKIKGAVVFHDQGPQSFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGINTVPTMQYSFSGFLTLQQVLDSFIIFAAQQSDTKNIELTSSLPSGEPSSLKVPWTSYGPSNIRIVPFPTREYTDDEFQSIIKSVMGVLYLLGFLYPISRLISYSVFEKEQKIREGLYMMGLEDGIFHLSWFIAYALQFAVSSAIITVCTMDNLFKYSDKTVVFIYFFFFGLSAIMLSFLISTFFTRAKTAVAVGTLTFLAAFFPYYSVNDEGVPLTLKVVASLLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVNFLVCLLMMLLDALLYCLIGLYLDKVLPRENGVRYPWNFIFHKRFWKNPSINKHLNHNSGVEVNSRDSVSKKASFSGKDNVKAAVEAITFDMKQQELDHRCIKIRNLHKVYGSKKGKCCAVNSLQLTMYENQILALLGHNGAGKSTTISMLVGLLRPTSGDALVFGKNIITEMEEIRKELGVCPQNDILFPELTVREHLEIFAILKGVKEDFVNSAVVDMGDQVGLADKMNTSVNALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIRKGRIVLLTTHSMDEAEVLGDRIAIMANGSLKCCGRFHLFQPSLCSLFLKHKYGVGYTLTLVKSAPTASVAAEIVFRHIPLATCVGTEISFKLPLASSSSFESMFREIESCMKRPMSNLETSSGEDYLGIESYGISVTTLEEVFLRVAGCDYVEAACFDQKTDLGLPDSVVCQTTHDPVPKKIFHSKKSFGYYKEILGVLFTIVGRACGLIFAAVLSFLNFVGVQCCCCGIISRSTFWRHSKALFIKRAISARRDRKTIVFQLVIPAVFLFFGLLFLKLKPHPDQLSVTFTTSHFNPLLRGGGGGPIPFDLSWPIAKEVAQYVEGGWIQNFKPSAYKFPNAEKALDDAIEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAIVMDDQNDDGSLGYTVLHNSSCQHAAPTYINLMNAAILRLAAHNKNMTIQTRNHPLPMTKSQHLQHHDLDAFSAAVIVSIAFSFIPASFAVSIVKEREVKAKHQQLISGVSILSYWASTYIWDFISFLFPSSFAIILFYVFGLEQFIGSGCLLSTVIMFLAYGLAIASTTYCLTFFFSDHSMAQNVVLLVHFFTGLILMVISFIMGLIKTTSSANSFLKNFFRLSPGFCFADGLASLALLRQDMKDKTSNEAFDWNVTGGSICYLGIESICYFLLTLGLEHLPYNKLTLATLKEWWKSIKSTRQGSSSYLEPLLKSSSEVITHDLDEDIDVKTERTRVLSGSIDNAIIYLRNLWKVYPGGKLHGPKIAVNSLTFAVQEGECFGFLGTNGAGKTTTLSMLTGEESPTDGTACIFGKDICSNPKAARRHIGFCPQFDALLEFLTVQEHLELYATIKGVPDYQIDDVVTEKLVEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPFEVSSGDLENLCRVIQERLSYVPSHPRSLLDGFEVCIGAIDSIVADNASVAEISLSREMIIIIGRWLGNEERIKSLISSVPLSDGVIGEQLAEQLVRDGGIPLPIFSEWWLSNEKFSAIDSFVFSSFPGAIFQGFNGLSAKYQLPYGQGLSLADVFGHLERNRYKLGIAEYSISQSTLETIFNHFAANS >ONH95233 pep chromosome:Prunus_persica_NCBIv2:G7:9732654:9759794:-1 gene:PRUPE_7G058100 transcript:ONH95233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRRQLKVMLWKNWLLKVRHPFVTCAEILLPTVVMLLLIAIRMRVDTQIHPSQPYIRKGMFVEVGKGISPNFEEVLELLLNKEEFLAFAPDTEETRSMINIISVKFPLLKNVSRVYKDEQELETYIGSDLYGTCNQIMNCSNPKIKGAVVFHDQGPQSFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGINTVPTMQYSFSGFLTLQQVLDSFIIFAAQQSDTKNIELTSSLPSGEPSSLKVPWTSYGPSNIRIVPFPTREYTDDEFQSIIKSVMGVLYLLGFLYPISRLISYSVFEKEQKIREGLYMMGLEDGIFHLSWFIAYALQFAVSSAIITVCTMDNLFKYSDKTVVFIYFFFFGLSAIMLSFLISTFFTRAKTAVAVGTLTFLAAFFPYYSVNDEGVPLTLKVVASLLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVNFLVCLLMMLLDALLYCLIGLYLDKVLPRENGVRYPWNFIFHKRFWKNPSINKHLNHNSGVEVNSRDSVSKKASFSGKDNVKAAVEAITFDMKQQELDHRCIKIRNLHKVYGSKKGKCCAVNSLQLTMYENQILALLGHNGAGKSTTISMLVGLLRPTSGDALVFGKNIITEMEEIRKELGVCPQNDILFPELTVREHLEIFAILKGVKEDFVNSAVVDMGDQVGLADKMNTSVNALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIRKGRIVLLTTHSMDEAEVLGDRIAIMANGSLKCCGRFHLFQPSLCSLFLKHKYGVGYTLTLVKSAPTASVAAEIVFRHIPLATCVSEVGTEISFKLPLASSSSFESMFREIESCMKRPMSNLETSSGEDYLGIESYGISVTTLEEVFLRVAGCDYVEAACFDQKTDLGLPDSVVCQTTHDPVPKKIFHSKKSFGYYKEILGVLFTIVGRACGLIFAAVLSFLNFVGVQCCCCGIISRSTFWRHSKALFIKRAISARRDRKTIVFQLVIPAVFLFFGLLFLKLKPHPDQLSVTFTTSHFNPLLRGGGGGPIPFDLSWPIAKELPVLQVAQYVEGGWIQNFKPSAYKFPNAEKALDDAIEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAIVMDDQNDDGSLGYTVLHNSSCQHAAPTYINLMNAAILRLAAHNKNMTIQTRNHPLPMTKSQHLQHHDLDAFSAAVIVSIAFSFIPASFAVSIVKEREVKAKHQQLISGVSILSYWASTYIWDFISFLFPSSFAIILFYVFGLEQFIGSGCLLSTVIMFLAYGLAIASTTYCLTFFFSDHSMAQNVVLLVHFFTGLILMVISFIMGLIKTTSSANSFLKNFFRLSPGFCFADGLASLALLRQDMKDKTSNEAFDWNVTGGSICYLGIESICYFLLTLGLEHLPYNKLTLATLKEWWKSIKSTRQGSSSYLEPLLKSSSEVITHDLDEDIDVKTERTRVLSGSIDNAIIYLRNLWKVYPGGKLHGPKIAVNSLTFAVQEGECFGFLGTNGAGKTTTLSMLTGEESPTDGTACIFGKDICSNPKAARRHIGFCPQFDALLEFLTVQEHLELYATIKGVPDYQIDDVVTEKLVEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPFEVSSGDLENLCRVIQERLSYVPSHPRSLLDGFEVCIGAIDSIVADNASVAEISLSREMIIIIGRWLGNEERIKSLISSVPLSDGVIGEQLAEQLVRDGGIPLPIFSEWWLSNEKFSAIDSFVFSSFPGAIFQGFNGLSAKYQLPYGQGLSLADVFGHLERNRYKLGIAEYSISQSTLETIFNHFAANS >ONH95226 pep chromosome:Prunus_persica_NCBIv2:G7:9732212:9760200:-1 gene:PRUPE_7G058100 transcript:ONH95226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRRQLKVMLWKNWLLKVRHPFVTCAEILLPTVVMLLLIAIRMRVDTQIHPSQPYIRKGMFVEVGKGISPNFEEVLELLLNKEEFLAFAPDTEETRSMINIISVKFPLLKNVSRVYKDEQELETYIGSDLYGTCNQIMNCSNPKIKGAVVFHDQGPQSFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGINTVPTMQYSFSGFLTLQQVLDSFIIFAAQQSDTKNIELTSSLPSGEPSSLKVPWTSYGPSNIRIVPFPTREYTDDEFQSIIKSVMGVLYLLGFLYPISRLISYSVFEKEQKIREGLYMMGLEDGIFHLSWFIAYALQFAVSSAIITVCTMDNLFKYSDKTVVFIYFFFFGLSAIMLSFLISTFFTRAKTAVAVGTLTFLAAFFPYYSVNDEGVPLTLKVVASLLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVNFLVCLLMMLLDALLYCLIGLYLDKVLPRENGVRYPWNFIFHKRFWKNPSINKHLNHNSGVEVNSRDSVSKKASFSGKDNVKAAVEAITFDMKQQELDHRCIKIRNLHKVYGSKKGKCCAVNSLQLTMYENQILALLGHNGAGKSTTISMLVGLLRPTSGDALVFGKNIITEMEEIRKELGVCPQNDILFPELTVREHLEIFAILKGVKEDFVNSAVVDMGDQVGLADKMNTSVNALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIRKGRIVLLTTHSMDEAEVLGDRIAIMANGSLKCCGSSLFLKHKYGVGYTLTLVKSAPTASVAAEIVFRHIPLATCVGTEISFKLPLASSSSFESMFREIESCMKRPMSNLETSSGEDYLGIESYGISVTTLEEVFLRVAGCDYVEAACFDQKTDLGLPDSVVCQTTHDPVPKKIFHSKKSFGYYKEILGVLFTIVGRACGLIFAAVLSFLNFVGVQCCCCGIISRSTFWRHSKALFIKRAISARRDRKTIVFQLVIPAVFLFFGLLFLKLKPHPDQLSVTFTTSHFNPLLRGGGGGPIPFDLSWPIAKEVAQYVEGGWIQNFKPSAYKFPNAEKALDDAIEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAIVMDDQNDDGSLGYTVLHNSSCQHAAPTYINLMNAAILRLAAHNKNMTIQTRNHPLPMTKSQHLQHHDLDAFSAAVIVSIAFSFIPASFAVSIVKEREVKAKHQQLISGVSILSYWASTYIWDFISFLFPSSFAIILFYVFGLEQFIGSGCLLSTVIMFLAYGLAIASTTYCLTFFFSDHSMAQNVVLLVHFFTGLILMVISFIMGLIKTTSSANSFLKNFFRLSPGFCFADGLASLALLRQDMKDKTSNEAFDWNVTGGSICYLGIESICYFLLTLGLEHLPYNKLTLATLKEWWKSIKSTRQGSSSYLEPLLKSSSEVITHDLDEDIDVKTERTRVLSGSIDNAIIYLRNLWKVYPGGKLHGPKIAVNSLTFAVQEGECFGFLGTNGAGKTTTLSMLTGEESPTDGTACIFGKDICSNPKAARRHIGFCPQFDALLEFLTVQEHLELYATIKGVPDYQIDDVVTEKLVEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPFEVSSGDLENLCRVIQERLSYVPSHPRSLLDGFEVCIGAIDSIVADNASVAEISLSREMIIIIGRWLGNEERIKSLISSVPLSDGVIGEQLAEQLVRDGGIPLPIFSEWWLSNEKFSAIDSFVFSSFPGAIFQGFNGLSAKYQLPYGQGLSLADVFGHLERNRYKLGIAEYSISQSTLETIFNHFAANS >ONH95234 pep chromosome:Prunus_persica_NCBIv2:G7:9735962:9760200:-1 gene:PRUPE_7G058100 transcript:ONH95234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRRQLKVMLWKNWLLKVRHPFVTCAEILLPTVVMLLLIAIRMRVDTQIHPSQPYIRKGMFVEVGKGISPNFEEVLELLLNKEEFLAFAPDTEETRSMINIISVKFPLLKNVSRVYKDEQELETYIGSDLYGTCNQIMNCSNPKIKGAVVFHDQGPQSFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGINTVPTMQYSFSGFLTLQQVLDSFIIFAAQQSDTKNIELTSSLPSGEPSSLKVPWTSYGPSNIRIVPFPTREYTDDEFQSIIKSVMGVLYLLGFLYPISRLISYSVFEKEQKIREGLYMMGLEDGIFHLSWFIAYALQFAVSSAIITVCTMDNLFKYSDKTVVFIYFFFFGLSAIMLSFLISTFFTRAKTAVAVGTLTFLAAFFPYYSVNDEGVPLTLKVVASLLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVNFLVCLLMMLLDALLYCLIGLYLDKVLPRENGVRYPWNFIFHKRFWKNPSINKHLNHNSGVEVNSRDSVSKKASFSGKDNVKAAVEAITFDMKQQELDHRCIKIRNLHKVYGSKKGKCCAVNSLQLTMYENQILALLGHNGAGKSTTISMLVGLLRPTSGDALVFGKNIITEMEEIRKELGVCPQNDILFPELTVREHLEIFAILKGVKEDFVNSAVVDMGDQVGLADKMNTSVNALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIRKGRIVLLTTHSMDEAEVLGDRIAIMANGSLKCCGSSLFLKHKYGVGYTLTLVKSAPTASVAAEIVFRHIPLATCVSEVGTEISFKLPLASSSSFESMFREIESCMKRPMSNLETSSGEDYLGIESYGISVTTLEEVFLRVAGCDYVEAACFDQKTDLGLPDSVVCQTTHDPVPKKIFHSKKSFGYYKEILGVLFTIVGRACGLIFAAVLSFLNFVGVQCCCCGIISRSTFWRHSKALFIKRAISARRDRKTIVFQLVIPAVFLFFGLLFLKLKPHPDQLSVTFTTSHFNPLLRGGGGGPIPFDLSWPIAKEVAQYVEGGWIQNFKPSAYKFPNAEKALDDAIEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAIVMDDQNDDGSLGYTVLHNSSCQHAAPTYINLMNAAILRLAAHNKNMTIQTRNHPLPMTKSQHLQHHDLDAFSAAVIVSIAFSFIPASFAVSIVKEREVKAKHQQLISGVSILSYWASTYIWDFISFLFPSSFAIILFYVFGLEQFIGSGCLLSTVIMFLAYGLAIASTTYCLTFFFSDHSMAQNVVLLVHFFTGLILMVISFIMGLIKTTSSANSFLKNFFRLSPGFCFADGLASLALLRQDMKDKTSNEAFDWNVTGGSICYLGIESICYFLLTLGLEHLPYNKLTLATLKEWWKSIKSTRQGSSSYLEPLLKSSSEVITHDLDEDIDVKTERTRVLSGSIDNAIIYLRNLWKVYPGGKLHGPKIAVNSLTFAVQEGECFGFLGTNGAGKTTTLSMLTGEESPTDGTACIFGKDICSNPKAARRHIGFCPQFDALLEFLTVQEHLELYATIKGVPDYQIDDVVTEKLVEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPFEVSSGDLENLCRVIQERLSYVPSHPRSLLDGFEVCIGAIDSIVADNASVAEISLSREMIIIIGRWLGNEERIKSLISSVPLSDGVIGEQLAEQLVRDGVECNRIVEKNHVRF >ONH95231 pep chromosome:Prunus_persica_NCBIv2:G7:9732654:9759794:-1 gene:PRUPE_7G058100 transcript:ONH95231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRRQLKVMLWKNWLLKVRHPFVTCAEILLPTVVMLLLIAIRMRVDTQIHPSQPYIRKGMFVEVGKGISPNFEEVLELLLNKEEFLAFAPDTEETRSMINIISVKFPLLKNVSRVYKDEQELETYIGSDLYGTCNQIMNCSNPKIKGAVVFHDQGPQSFDYSIRLNHTWAFSGFPDVKSIMDTNGPYLNDLELGINTVPTMQYSFSGFLTLQQVLDSFIIFAAQQSDTKNIELTSSLPSGEPSSLKVPWTSYGPSNIRIVPFPTREYTDDEFQSIIKSVMGVLYLLGFLYPISRLISYSVFEKEQKIREGLYMMGLEDGIFHLSWFIAYALQFAVSSAIITVCTMDNLFKYSDKTVVFIYFFFFGLSAIMLSFLISTFFTRAKTAVAVGTLTFLAAFFPYYSVNDEGVPLTLKVVASLLSPTAFALGSINFADYERAHVGLRWSNIWRASSGVNFLVCLLMMLLDALLYCLIGLYLDKVLPRENGVRYPWNFIFHKRFWKNPSINKHLNHNSGVEVNSRDSVSKKASFSGKDNVKAAVEAITFDMKQQELDHRCIKIRNLHKVYGSKKGKCCAVNSLQLTMYENQILALLGHNGAGKSTTISMLVGLLRPTSGDALVFGKNIITEMEEIRKELGVCPQNDILFPELTVREHLEIFAILKGVKEDFVNSAVVDMGDQVGLADKMNTSVNALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIRKGRIVLLTTHSMDEAEVLGDRIAIMANGSLKCCGRFHLFQPSLCSLFLKHKYGVGYTLTLVKSAPTASVAAEIVFRHIPLATCVGTEISFKLPLASSSSFESMFREIESCMKRPMSNLETSSGEDYLGIESYGISVTTLEEVFLRVAGCDYVEAACFDQKTDLGLPDSVVCQTTHDPVPKKIFHSKKSFGYYKEILGVLFTIVGRACGLIFAAVLSFLNFVGVQCCCCGIISRSTFWRHSKALFIKRAISARRDRKTIVFQLVIPAVFLFFGLLFLKLKPHPDQLSVTFTTSHFNPLLRGGGGGPIPFDLSWPIAKELPVLQVAQYVEGGWIQNFKPSAYKFPNAEKALDDAIEAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAIVMDDQNDDGSLGYTVLHNSSCQHAAPTYINLMNAAILRLAAHNKNMTIQTRNHPLPMTKSQHLQHHDLDAFSAAVIVSIAFSFIPASFAVSIVKEREVKAKHQQLISGVSILSYWASTYIWDFISFLFPSSFAIILFYVFGLEQFIGSGCLLSTVIMFLAYGLAIASTTYCLTFFFSDHSMAQNVVLLVHFFTGLILMVISFIMGLIKTTSSANSFLKNFFRLSPGFCFADGLASLALLRQDMKDKTSNEAFDWNVTGGSICYLGIESICYFLLTLGLEHLPYNKLTLATLKEWWKSIKSTRQGSSSYLEPLLKSSSEVITHDLDEDIDVKTERTRVLSGSIDNAIIYLRNLWKVYPGGKLHGPKIAVNSLTFAVQEGECFGFLGTNGAGKTTTLSMLTGEESPTDGTACIFGKDICSNPKAARRHIGFCPQFDALLEFLTVQEHLELYATIKGVPDYQIDDVVTEKLVEFDLLKHANKPSFSLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPFEVSSGDLENLCRVIQERLSYVPSHPRSLLDGFEVCIGAIDSIVADNASVAEISLSREMIIIIGRWLGNEERIKSLISSVPLSDGVIGEQLAEQLVRDGGIPLPIFSEWWLSNEKFSAIDSFVFSSFPGAIFQGFNGLSAKYQLPYGQGLSLADVFGHLERNRYKLGIAEYSISQSTLETIFNHFAANS >ONH96789 pep chromosome:Prunus_persica_NCBIv2:G7:16281874:16283873:1 gene:PRUPE_7G152200 transcript:ONH96789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNIDKEDGLETVLEVPIPEEMFTSMGSNVQLRLQNMMAWMKAQTSDKWSAPVIAGRLNELRFLLYLVGSPLIPLQVQLGHSIHRPVRDSSIQASTAKYIVQQYTAATGGQAALNSVNSMCVTGEVKISASDFQQGDVSLEVKRSSAESGGFLLWQKNPDLWCLELVVSGCKVICGSNGKLSWRHSSNQQTPISQGPPRPLRRFLQGLDPRATANLFADAMCIGEKIINDDDCFILKLDTSPAIREAQSGPNYEIIHHTIWGYFSQRSGLLVQFEDSRLLRMTNKADDTDVFWETSAESVIQDYKYVDSVNIAHSGRTRVKVFRYGERSANHKREMEETWKIEEVDFNIWGLTMESFLPPADIKKQG >ONH95630 pep chromosome:Prunus_persica_NCBIv2:G7:11636476:11639254:-1 gene:PRUPE_7G081200 transcript:ONH95630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLNHMIKTPLILSCEDERTSKHGLVGSSNSVAAMKCDFFSKLPDKVRFGFDPEAPFHLNLSKTTGLIEGEREYYEKQFATLRSFEEVDSLESPHVIDEEEDRKEQAQHERAMNISNWANVFLLAFKVYATLQSGSLAIAASTLDSLPDLMAGGILWFTHLSMKNINIYKYPIGKLRVQPVGIVVFAAVMATLGFQVLVQALEQLIKDKPSEKMISENLIWLYAIMLTATGVKFFLRCYCRSSGNTIVHAYAKDHYFDVVTNLVGLVAAVVGDIFCWWIDPVGAIILAFYTISNWSGTVLENAVSLVGQSAPPQVLQKLTYLILRHHSLIRRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDYECDHKPEHSVLSRLPSSHA >ONH98885 pep chromosome:Prunus_persica_NCBIv2:G7:22186540:22190689:-1 gene:PRUPE_7G270600 transcript:ONH98885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREDLKSILEYLPVVVRTWSLFWPSQVVEALKALARGPEHSGVHSGELLFSAISDLRCSLSLSSHPLPPSAPHGYALFFDELMSRAEARKWFGEVVPALANLLLRLPSLLELHYQNADALINGGRDGVKTGLRLLHSQEAGIVFLSQELISALLGCSLFCLFPINNRGAKHLPTINFDHLFTTLYDSYNEKQENKIRCIIHYFERISFHMPKGYVSFERKVLPLEHGPLSISYPKASFWSNSAIPLCRFEVHSSGFIENQSSGALEVDFANKYIGGGVLHRGCVQEEIRFMINPELIASMLFMPSMADNEAIEIIGAERFSNYTGYASSFRFSGDYVDKRDTDSLRRRKIRIIAIDALCSPGMRQYKQKLLLRENNKAFCGFFHQSKYQQYKRLFRESGCSGIQPHSDVKDSNDVFSNNLLSHGASITSVETCGGKSVHKVIRDSEEILSLDNEDDVGIATGNWGCGAFGGDPEVKTIIQWLAASQVALRPFISYYTFGMKALQNLDQ >ONH98883 pep chromosome:Prunus_persica_NCBIv2:G7:22186161:22190849:-1 gene:PRUPE_7G270600 transcript:ONH98883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREDLKSILEYLPVVVRTWSLFWPSQVVEALKALARGPEHSGVHSGELLFSAISDLRCSLSLSSHPLPPSAPHGYALFFDELMSRAEARKWFGEVVPALANLLLRLPSLLELHYQNADALINGGRDGVKTGLRLLHSQEAGIVFLSQELISALLGCSLFCLFPINNRGAKHLPTINFDHLFTTLYDSYNEKQENKIRCIIHYFERISFHMPKGYVSFERKVLPLEHGPLSISYPKASFWSNSAIPLCRFEVHSSGFIENQSSGALEVDFANKYIGGGVLHRGCVQEEIRFMINPELIASMLFMPSMADNEAIEIIGAERFSNYTGYASSFRFSGDYVDKRDTDSLRRRKIRIIAIDALCSPGMRQYKQKLLLRENNKAFCGFFHQSKYQQYKRLFRESGCSGIQPHSDVKDSNDVFSNNLLSHGASITSVETCGGKSVHKVIRDSEEILSLDNEDDVGIATGNWGCGAFGGDPEVKTIIQWLAASQVALRPFISYYTFGMKALQNLDQVTQWILSHEWTVGDLWNLLVEYSLQRLKGETNDGFFTWLLPSLLYP >ONH98886 pep chromosome:Prunus_persica_NCBIv2:G7:22186807:22190849:-1 gene:PRUPE_7G270600 transcript:ONH98886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREDLKSILEYLPVVVRTWSLFWPSQVVEALKALARGPEHSGVHSGELLFSAISDLRCSLSLSSHPLPPSAPHGYALFFDELMSRAEARKWFGEVVPALANLLLRLPSLLELHYQNADALINGGRDGVKTGLRLLHSQEAGIVFLSQELISALLGCSLFCLFPINNRGAKHLPTINFDHLFTTLYDSYNEKQENKIRCIIHYFERISFHMPKGYVSFERKVLPLEHGPLSISYPKASFWSNSAIPLCRFEVHSSGFIENQSSGALEVDFANKYIGGGVLHRGCVQEEIRFMINPELIASMLFMPSMADNEAIEIIGAERFSNYTGYASSFRFSGDYVDKRDTDSLRRRKIRIIAIDALCSPGMRQYKQKLLLRENNKAFCGFFHQSKYQQYKRLFRESGCSGIQPHSDVKDSNDVFSNNLLSHGASITSVETCGGKSVHKVIRDSEEILSLDNEDDVGIATGNWGCGAFGGDPEVKTIIQWLAASQVVLS >ONH98882 pep chromosome:Prunus_persica_NCBIv2:G7:22186161:22190849:-1 gene:PRUPE_7G270600 transcript:ONH98882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREDLKSILEYLPVVVRTWSLFWPSQVVEALKALARGPEHSGVHSGELLFSAISDLRCSLSLSSHPLPPSAPHGYALFFDELMSRAEARKWFGEVVPALANLLLRLPSLLELHYQNADALINGGRDGVKTGLRLLHSQEAGIVFLSQELISALLGCSLFCLFPINNRGAKHLPTINFDHLFTTLYDSYNEKQENKIRCIIHYFERISFHMPKGYVSFERKVLPLEHGPLSISYPKASFWSNSAIPLCRFEVHSSGFIENQSSGALEVDFANKYIGGGVLHRGCVQEEIRFMINPELIASMLFMPSMADNEAIEIIGAERFSNYTGYASSFRFSGDYVDKRDTDSLRRRKIRIIAIDALCSPGMRQYKQKLLLRENNKAFCGFFHQSKYQQYKRLFRESGCSGIQPHSDVKDSNDVFSNNLLSHGASITSVETCGGKSVHKVIRDSEEILSLDNEDDVGIATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGMKALQNLDQVTQWILSHEWTVGDLWNLLVEYSLQRLKGETNDGFFTWLLPSLLYP >ONH98884 pep chromosome:Prunus_persica_NCBIv2:G7:22186540:22190689:-1 gene:PRUPE_7G270600 transcript:ONH98884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREDLKSILEYLPVVVRTWSLFWPSQVVEALKALARGPEHSGVHSGELLFSAISDLRCSLSLSSHPLPPSAPHGYALFFDELMSRAEARKWFGEVVPALANLLLRLPSLLELHYQNADALINGGRDGVKTGLRLLHSQEAGIVFLSQELISALLGCSLFCLFPINNRGAKHLPTINFDHLFTTLYDSYNEKQENKIRCIIHYFERISFHMPKGYVSFERKVLPLEHGPLSISYPKASFWSNSAIPLCRFEVHSSGFIENQSSGALEVDFANKYIGGGVLHRGCVQEEIRFMINPELIASMLFMPSMADNEAIEIIGAERFSNYTGYASSFRFSGDYVDKRDTDSLRRRKIRIIAIDALCSPGMRQYKQKLLLRENNKAFCGFFHQSKYQQYKRLFRESGCSGIQPHSDVKDSNDVFSNNLLSHGASITSVETCGGKSVHKVIRDSEEILSLDNEDDVGIATGNWGCGAFGGDPEVKTIIQWLAASQALRPFISYYTFGMKALQNLDQ >ONH97543 pep chromosome:Prunus_persica_NCBIv2:G7:18527810:18530601:-1 gene:PRUPE_7G195800 transcript:ONH97543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAGAEDTFLSTSLASYLDKKLLVLLRDGRKLLGLLRSFDQFANVVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELELGKEELPPHMTLVPEAEIKRAQKAERDATDLKGSMRKRMEFLDFD >ONH97544 pep chromosome:Prunus_persica_NCBIv2:G7:18528189:18530261:-1 gene:PRUPE_7G195800 transcript:ONH97544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSWDYYGLLITNVVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELELGKEELPPHMTLVPEAEIKRAQKAERDATDLKGSMRKRMEFLDFD >ONH97018 pep chromosome:Prunus_persica_NCBIv2:G7:16930220:16933602:-1 gene:PRUPE_7G164700 transcript:ONH97018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAACKRVGQLGTNSGFGLSGFGGPAASKVAVSPSLEYRHLDCRLISQLVKSNGKRLFLVDTLALVRRLEGQGVPSKHAEAITAAITEVLNDSLENVSNSFVSKGEMQKTEMIQESNLSKFKSEIQSAQGHHFSLLQHETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIREELSNQNAETNNLTNKLDREIHALRAQVEAAKYDVIKYCIGTLVSISAVGLAVLRILL >ONH97014 pep chromosome:Prunus_persica_NCBIv2:G7:16930220:16933603:-1 gene:PRUPE_7G164700 transcript:ONH97014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAACKRVGQLGTNSGFGLSGFGGPAASKVAVSPSLEYRHLDCRLISQLVKSNGKRLFLVDTLALVRRLEGQGVPSKHAEAITAAITEVLNDSLENVSNSFVSKGEMQKTEMIQESNLSKFKSEIQSAQGHHFSLLQHETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIREELSNQNAETNNLTNKLDREIHALRAQVEAAKYDVIKYCIGTLVSISAVGLAVLRILL >ONH97015 pep chromosome:Prunus_persica_NCBIv2:G7:16930453:16932900:-1 gene:PRUPE_7G164700 transcript:ONH97015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAACKRVGQLGTNSGFGLSGFGGPAASKVAVSPSLEYRHLDCRLISQLVKSNGKRLFLVDTLALVRRLEGQGVPSKHAEAITAAITEVLNDSLENVSNSFVSKGEMQKTEMIQESNLSKFKSEIQSAQGHHFSLLQHETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIREELSNQNAETNNLTNKLDREIHALRAQVEAAKYDVIKYCIGTLVSISAVGLAVLRILL >ONH97016 pep chromosome:Prunus_persica_NCBIv2:G7:16930220:16933594:-1 gene:PRUPE_7G164700 transcript:ONH97016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAACKRVGQLGTNSGFGLSGFGGPAASKVAVSPSLEYRHLDCRLISQLVKSNGKRLFLVDTLALVRRLEGQGVPSKHAEAITAAITEVLNDSLENVSNSFVSKGEMQKTEMIQESNLSKFKSEIQSAQGHHFSLLQHETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIREELSNQNAETNNLTNKLDREIHALRAQVEAAKYDVIKYCIGTLVSISAVGLAVLRILL >ONH97017 pep chromosome:Prunus_persica_NCBIv2:G7:16930453:16932900:-1 gene:PRUPE_7G164700 transcript:ONH97017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAACKRVGQLGTNSGFGLSGFGGPAASKVAVSPSLEYRHLDCRLISQLVKSNGKRLFLVDTLALVRRLEGQGVPSKHAEAITAAITEVLNDSLENVSNSFVSKGEMQKTEMIQESNLSKFKSEIQSAQGHHFSLLQHETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIREELSNQNAETNNLTNKLDREIHALRAQVEAAKYDVIKYCIGTLVSISAVGLAVLRILL >ONH95990 pep chromosome:Prunus_persica_NCBIv2:G7:13086578:13090390:1 gene:PRUPE_7G100600 transcript:ONH95990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINHFSSDHPETQWWPNTAHQQQQDPSRPHFLPQFYQDTHHHNYQAWLNSQEQPTPMSPTPPTPTTLNFNLNQNDAESDHANQLQHHLLLQSSPPLDDHDEENEPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLGGGDSGLLLSFEDESGKSWRFRYSYWNSSQSYVLTKGWSRYVKEKRLDAGDVVLFERRRSHTDRLFIGWRRRSAAATPSAHDSGPGATQVNGGAGESSGSGSAGGWTRMFYSAPHHNSSDGGSSYPAHQYHNHSAAPYPPDCLHAAGSGVQNMSQTTSSVGSSKILRLFGVNLECQQQADHESEPSTPDGSSSLSMSSSQGPTPHHHLYPRAAYDYAADQRDFSFSRDGNPMRNRRG >ONH96825 pep chromosome:Prunus_persica_NCBIv2:G7:16408114:16410132:-1 gene:PRUPE_7G154400 transcript:ONH96825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGLINANPVVHAKRERVARTEDLHADDAVDPLDIYDILSISHCLFFFFGIFFFTWILDIRDPEHPYSLEQLSVLSEESITVDDKLGRILITFTPTIQHCSMATVIGLCLRVKLKHCFPPHYKVDIKVSPGSHANEESVNKQLNDKERVAAALENPNLRQLVDECLYSSEL >ONH96826 pep chromosome:Prunus_persica_NCBIv2:G7:16407658:16410237:-1 gene:PRUPE_7G154400 transcript:ONH96826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPWFTLKGKGLLALKISMLTMPDIRDPEHPYSLEQLSVLSEESITVDDKLGRILITFTPTIQHCSMATVIGLCLRVKLKHCFPPHYKVDIKVSPGSHANEESVNKQLNDKERVAAALENPNLRQLVDECLYSSEL >ONH96126 pep chromosome:Prunus_persica_NCBIv2:G7:13707904:13712735:1 gene:PRUPE_7G108900 transcript:ONH96126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTRANASGDASERPIESEERVDLDGDNDPEETIEEEVEYEEVEEEEEVEEVEEEEEEEEEEDPEEGEEEGENKGAATKSDGQKSSDGDEEMTVVDGEEEEKKKHAELLARPPHGSEVYLGGIPHDASEEDLRGFCESIGEVTEVRIMKGKDSGEAKGYAFVTFRNKELASKAIEELNNSELKGKRIKCSTSQAKHRLFIGNVPRNWGEEDMKKAVTDIGPGVISVELLKDPQNSSRNRGFAFIEYYNHACAEYSRQKMSSPKFKLDTNAPTVSWADPKNTESSAASQVKAVYVKNLPKDITQESLKELFERHGKITKVVLPPAKAGHEKSRFGFVHFAERACAMKALKNTEKYEIDGQVLECSLAKPQADQKSSGSSNHQKSPLLPTYPPRLSYGMGGSSPYGGLSAGYGGGAGFAQPLIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQPHTPPPQSRGGRSGGGNSSGGRRSGDNNRGRSRYNPY >ONH96125 pep chromosome:Prunus_persica_NCBIv2:G7:13707889:13712895:1 gene:PRUPE_7G108900 transcript:ONH96125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTRANASGDASERPIESEERVDLDGDNDPEETIEEEVEYEEVEEEEEVEEVEEEEEEEEEEDPEEGEEEGENKGAATKSDGQKSSDGDEEMTVVDGEEEEKKKHAELLARPPHGSEVYLGGIPHDASEEDLRGFCESIGEVTEVRIMKGKDSGEAKGYAFVTFRNKELASKAIEELNNSELKGKRIKCSTSQAKHRLFIGNVPRNWGEEDMKKAVTDIGPGVISVELLKDPQNSSRNRGFAFIEYYNHACAEYSRQKMSSPKFKLDTNAPTVSWADPKNTESSAASQVKAVYVKNLPKDITQESLKELFERHGKITKVVLPPAKAGHEKSRFGFVHFAERACAMKALKNTEKYEIDGQVLECSLAKPQADQKSSGSSNHQKSPLLPTYPPRLSYGMGGSSPYGGLSAGYGGGAGFAQPLIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQPHTPPPQSRGGRSGGGNSSGGRRSGDNNRGRSRYNPY >ONH96127 pep chromosome:Prunus_persica_NCBIv2:G7:13707904:13712735:1 gene:PRUPE_7G108900 transcript:ONH96127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTRANASGDASERPIESEERVDLDGDNDPEETIEEEVEYEEVEEEEEVEEVEEEEEEEEEEDPEEGEEEGENKGAATKSDGQKSSDGDEEMTVVDGEEEEKKKHAELLARPPHGSEVYLGGIPHDASEEDLRGFCESIGEVTEVRIMKGKDSGEAKGYAFVTFRNKELASKAIEELNNSELKGKRIKCSTSQAKHRLFIGNVPRNWGEEDMKKAVTDIGPGVISVELLKDPQNSSRNRGFAFIEYYNHACAEYSRQKMSSPKFKLDTNAPTVSWADPKNTESSAASQVKAVYVKNLPKDITQESLKELFERHGKITKVVLPPAKAGHEKSRFGFVHFAERACAMKALKNTEKYEIDGQVLECSLAKPQADQKSSGSSNHQKSPLLPTYPPRLSYGMGGSSPYGGLSAGYGGGAGFAQPLIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQPHTPPPQSRGGRSGGGNSSGGRRSGDNNRGRSRYNPY >ONH95766 pep chromosome:Prunus_persica_NCBIv2:G7:12322804:12329345:-1 gene:PRUPE_7G089600 transcript:ONH95766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRISSVGLQVESVLGRKTGNIKDVYSLGRKLGQGQFGTTFLCVEKETNKEFACKSIAKRKLTTQEDVEDVRREIQIMHHLAGHPNVIQIISAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAKLARVIVGVLEACHSLGVMHRDLKPENFLFVDQDEEAPLKTIDFGLSVFFRPGETFTDVVGSPYYVAPEVLRKLYGQECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGELDFISEPWPSISESAKDLVRRMLVRDPKKRLTAHEVLCHPWVQVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMAIRVIAESLSEEEIAGLREMFKMIDTDNSGHITLEELKTGLERVGADLKDSEISWLMQAADVDNSGTIDYGEFIAAMLHLNKVQKEDHLYAAFSYFDKDGSGYITRDELQQACEKFGLEDVQLDDIIREVDQDNDGHIDYNEFVAMMQDTGFGKGLQSNMSRKVG >ONH95765 pep chromosome:Prunus_persica_NCBIv2:G7:12322567:12329354:-1 gene:PRUPE_7G089600 transcript:ONH95765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPNLGGGFLQSFTAAVWRNRPPEDRLPPPKSKEENSSKNGANSNHGSSKGSESDAPKAPPDQAPKAIEAPPNPVQSTPPVTVKINDDPPQKAEPEKPNKPEVKEEVSKPPDAEKQKKAHMKRISSVGLQVESVLGRKTGNIKDVYSLGRKLGQGQFGTTFLCVEKETNKEFACKSIAKRKLTTQEDVEDVRREIQIMHHLAGHPNVIQIISAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAKLARVIVGVLEACHSLGVMHRDLKPENFLFVDQDEEAPLKTIDFGLSVFFRPGETFTDVVGSPYYVAPEVLRKLYGQECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGELDFISEPWPSISESAKDLVRRMLVRDPKKRLTAHEVLCHPWVQVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMAIRVIAESLSEEEIAGLREMFKMIDTDNSGHITLEELKTGLERVGADLKDSEISWLMQAADVDNSGTIDYGEFIAAMLHLNKVQKEDHLYAAFSYFDKDGSGYITRDELQQACEKFGLEDVQLDDIIREVDQDNDGHIDYNEFVAMMQDTGFGKGLQSNMSRKVG >ONH97966 pep chromosome:Prunus_persica_NCBIv2:G7:19773819:19783659:-1 gene:PRUPE_7G221200 transcript:ONH97966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNHSNLNVKRSHPQMENASNHLSSSINHFHSSMIEPQTAMPDVCLQPQPQQQPHIALPPSVEDLLNQICNGRKQPQPDQNVRLRLSFLSEEKALQLLREIAEAKTIKTLSGLIIWMIRNKLQYQCASPSPSPSKSAPAASLLQTQLSASPITPPVHKVRMEVTEQPGDHGGLRPPYSYSSASPSKVLRVYPYQGQSSAPPSTITPVRLFKDPLPLPQPFPQGETSTNSSVDQPGDNGQAQLEALGELEFRRQFLILNYAGGNKLEKVLEPETIRSWKDLPMQLFETTVWEALGRNYIGTRHPTFDWDSGKTYVYHCEVSVDGSYKFKGPCLNNTKRTLLQKVLGDDNVLMVKFSDVVTERVPTAIKDNNYANYSKVAREGILVGLRRYQFFVFKDGGNKEKKKNPTSSPVKCYFICVGSNAAIDRSEDYKFSNRKIHETRCIFMHAHTVSSVSNYMARFSLILSKTESLEVDWSLVKVEDIDDEYCLDESGNRIDRDGKPLIHTDGTGFISEDLALLCPKDLLKRDYISKEYIEPLLLQFRLFYKGRAVKGTFLINKTLPPKTIQIRPSMVKVETDPMISDDQTVNSLEIVTVSKSHRNTFFSRHLIALLCHGGVPKEYFRELLMKDLEDTRGVFCSRRAAFKVAYNHGEIDDDYNSVKMILSGIPLEESYLQYRLSILKKEENKSLQKGKICSPQSYMLMGTADPTGILERDEVCIILDSGQMSGQVLVYRHPGLHFGDIHLLKARYVKELEYVVGNAKYAIFFSCKGPRSVADEMGGGDFDGDLYWVSRNPQLLECFKPSEPWIEASSSTPKVASTRPSELLPNHIEDALIKLFLKTRFEPSFAMSEASDSWLAMMDRLLILGDSSNSEKTHVKANMLRLVDLYYEALDAPKKGGKVVVPGELKSNLFPHYMERVNSYKSTSILGLIYDTVNAYQAEDASIKEVKKLPMFDVEVPEECLKKWREHYQHYRSEMSSAMQDDDRDSKNNAADKVLRKYKEILYGGAEDLENSTRPLHEIFDEALAIYRVTYDHAISQGAVGKCCFAWKVAGSALCKYYMNKQGARTIEASFSVLKDLV >ONH97967 pep chromosome:Prunus_persica_NCBIv2:G7:19773938:19782667:-1 gene:PRUPE_7G221200 transcript:ONH97967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLLNLFSVRMEVTEQPGDHGGLRPPYSYSSASPSKVLRVYPYQGQSSAPPSTITPVRLFKDPLPLPQPFPQGETSTNSSVDQPGDNGQAQLEALGELEFRRQFLILNYAGGNKLEKVLEPETIRSWKDLPMQLFETTVWEALGRNYIGTRHPTFDWDSGKTYVYHCEVSVDGSYKFKGPCLNNTKRTLLQKVLGDDNVLMVKFSDVVTERVPTAIKDNNYANYSKVAREGILVGLRRYQFFVFKDGGNKEKKKNPTSSPVKCYFICVGSNAAIDRSEDYKFSNRKIHETRCIFMHAHTVSSVSNYMARFSLILSKTESLEVDWSLVKVEDIDDEYCLDESGNRIDRDGKPLIHTDGTGFISEDLALLCPKDLLKRDYISKEYIEPLLLQFRLFYKGRAVKGTFLINKTLPPKTIQIRPSMVKVETDPMISDDQTVNSLEIVTVSKSHRNTFFSRHLIALLCHGGVPKEYFRELLMKDLEDTRGVFCSRRAAFKVAYNHGEIDDDYNSVKMILSGIPLEESYLQYRLSILKKEENKSLQKGKICSPQSYMLMGTADPTGILERDEVCIILDSGQMSGQVLVYRHPGLHFGDIHLLKARYVKELEYVVGNAKYAIFFSCKGPRSVADEMGGGDFDGDLYWVSRNPQLLECFKPSEPWIEASSSTPKVASTRPSELLPNHIEDALIKLFLKTRFEPSFAMSEASDSWLAMMDRLLILGDSSNSEKTHVKANMLRLVDLYYEALDAPKKGGKVVVPGELKSNLFPHYMERVNSYKSTSILGLIYDTVNAYQAEDASIKEVKKLPMFDVEVPEECLKKWREHYQHYRSEMSSAMQDDDRDSKNNAADKVLRKYKEILYGGAEDLENSTRPLHEIFDEALAIYRVTYDHAISQGAVGKCCFAWKVAGSALCKYYMNKQGARTIEASFSVLKDLV >ONH97964 pep chromosome:Prunus_persica_NCBIv2:G7:19773820:19783659:-1 gene:PRUPE_7G221200 transcript:ONH97964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNHSNLNVKRSHPQMENASNHLSSSINHFHSSMIEPQTAMPDVCLQPQPQQQPHIALPPSVEDLLNQICNGRKQPQPDQNVRLRLSFLSEEKALQLLREIAEAKTIKTLSGLIIWMIRNKLQYQCASPSPSPSKSAPAASLLQTQLSASPITPPVHKGETSTNSSVDQPGDNGQAQLEALGELEFRRQFLILNYAGGNKLEKVLEPETIRSWKDLPMQLFETTVWEALGRNYIGTRHPTFDWDSGKTYVYHCEVSVDGSYKFKGPCLNNTKRTLLQKVLGDDNVLMVKFSDVVTERVPTAIKDNNYANYSKVAREGILVGLRRYQFFVFKDGGNKEKKKNPTSSPVKCYFICVGSNAAIDRSEDYKFSNRKIHETRCIFMHAHTVSSVSNYMARFSLILSKTESLEVDWSLVKVEDIDDEYCLDESGNRIDRDGKPLIHTDGTGFISEDLALLCPKDLLKRDYISKEYIEPLLLQFRLFYKGRAVKGTFLINKTLPPKTIQIRPSMVKVETDPMISDDQTVNSLEIVTVSKSHRNTFFSRHLIALLCHGGVPKEYFRELLMKDLEDTRGVFCSRRAAFKVAYNHGEIDDDYNSVKMILSGIPLEESYLQYRLSILKKEENKSLQKGKICSPQSYMLMGTADPTGILERDEVCIILDSGQMSGQVLVYRHPGLHFGDIHLLKARYVKELEYVVGNAKYAIFFSCKGPRSVADEMGGGDFDGDLYWVSRNPQLLECFKPSEPWIEASSSTPKVASTRPSELLPNHIEDALIKLFLKTRFEPSFAMSEASDSWLAMMDRLLILGDSSNSEKTHVKANMLRLVDLYYEALDAPKKGGKVVVPGELKSNLFPHYMERVNSYKSTSILGLIYDTVNAYQAEDASIKEVKKLPMFDVEVPEECLKKWREHYQHYRSEMSSAMQDDDRDSKNNAADKVLRKYKEILYGGAEDLENSTRPLHEIFDEALAIYRVTYDHAISQGAVGKCCFAWKVAGSALCKYYMNKQGARTIEASFSVLKDLV >ONH97965 pep chromosome:Prunus_persica_NCBIv2:G7:19773820:19783659:-1 gene:PRUPE_7G221200 transcript:ONH97965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNHSNLNVKRSHPQMENASNHLSSSINHFHSSMIEPQTAMPDVCLQPQPQQQPHIALPPSVEDLLNQICNGRKQPQPDQNVRLRLSFLSEEKALQLLREIAEAKTIKTLSGLIIWMIRNKLQYQCASPSPSPSKSAPAASLLQTQLSASPITPPVHKVRMEVTEQPGDHGGLRPPYSYSSASPSKVLRVYPYQGQSSAPPSTITPVRLFKDPLPLPQPFPQGETSTNSSVDQPGDNGQAQLEALGELEFRRQFLILNYAGGNKLEKVLEPETIRSWKDLPMQLFETTVWEALGRNYIGTRHPTFDWDSGKTYVYHCEVSVDGSYKFKGPCLNNTKRTLLQKVLGDDNVLMVKFSDVVTERVPTAIKDNNYANYSKVAREGILVGLRRYQFFVFKDGGNKEKKKNPTSSPVKCYFICVGSNAAIDRSEDYKFSNRKIHETRCIFMHAHTVSSVSNYMARFSLILSKTESLEVDWSLVKVEDIDDEYCLDESGNRIDRDGKPLIHTDGTGFISEDLALLCPKDLLKRDYISKEYIEPLLLQFRLFYKGRAVKGTFLINKTLPPKTIQIRPSMVKVETDPMISDDQTVNSLEIVTVSKSHRNTFFSRHLIALLCHGGVPKEYFRELLMKDLEDTRGVFCSRRAAFKVAYNHGEIDDDYNSVKMILSGIPLEESYLQYRLSILKKEENKSLQKGKICSPQSYMLMGTADPTGILERDEVCIILDSGQMSGQVLVYRHPGPRSVADEMGGGDFDGDLYWVSRNPQLLECFKPSEPWIEASSSTPKVASTRPSELLPNHIEDALIKLFLKTRFEPSFAMSEASDSWLAMMDRLLILGDSSNSEKTHVKANMLRLVDLYYEALDAPKKGGKVVVPGELKSNLFPHYMERVNSYKSTSILGLIYDTVNAYQAEDASIKEVKKLPMFDVEVPEECLKKWREHYQHYRSEMSSAMQDDDRDSKNNAADKVLRKYKEILYGGAEDLENSTRPLHEIFDEALAIYRVTYDHAISQGAVGKCCFAWKVAGSALCKYYMNKQGARTIEASFSVLKDLV >ONH96165 pep chromosome:Prunus_persica_NCBIv2:G7:13805919:13807948:-1 gene:PRUPE_7G110700 transcript:ONH96165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKPSQMTHQVPLEKSINQKTQSLPTLQEPEEISKSNINCMEQGSKSPKRHENDGSSSEEIAVLEGQASAAGDVVVVDHEPSNVADEDSGRERLKKHRVEVAGQVWIPDIWGQEELLKDWMDCSAFDASLFPSGIMSARSALIEEGRRQNSARIRIENRLFAVSKQTPPK >ONH96167 pep chromosome:Prunus_persica_NCBIv2:G7:13807184:13807669:-1 gene:PRUPE_7G110700 transcript:ONH96167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKPSQMTHQVPLEKSINQKTQSLPTLQEPEEISKSNINCMEQGSKSPKRHENDGSSSEEIAVLEGQASAAGDVVVVDHEPSNVADEDSGRERLKKHRVEVAGQVWIPDIWGQEELLKDWMDCSAFDASLFPSGIMSARSALIEEGRRQNSARIRIENRC >ONH96166 pep chromosome:Prunus_persica_NCBIv2:G7:13806932:13807669:-1 gene:PRUPE_7G110700 transcript:ONH96166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKPSQMTHQVPLEKSINQKTQSLPTLQEPEEISKSNINCMEQGSKSPKRHENDGSSSEEIAVLEGQASAAGDVVVVDHEPSNVADEDSGRERLKKHRVEVAGQVWIPDIWGQEELLKDWMDCSAFDASLFPSGIMSARSALIEEGRRQNSARIRIENRRVHFLTMVYVTSFRNWAFHE >ONH95386 pep chromosome:Prunus_persica_NCBIv2:G7:10526917:10528199:-1 gene:PRUPE_7G067400 transcript:ONH95386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHSSTFALVSALCFSALLGFACCENPDSIYVIGLVYCDNCHVGFPTTSSKVIEGAEVHLECSDKKGGAPTYRAWKKSNSEGMYEFEVTKKVNVNSYCEIFAFESNLDDCRNIVHDDASQELKLKFTKPSPNDKRIVAFGNKRFAAPISFQPDQPLQNCAEHHNEEEHDKEEEGDPRHKHEEEHTEEGDAPQHQHEDEHEHEEAH >ONH96047 pep chromosome:Prunus_persica_NCBIv2:G7:13360472:13363240:1 gene:PRUPE_7G104300 transcript:ONH96047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFRRRAFLRMNIHGLHNNFCSAHSPLLPVQLPSRMESHLWYVLPEEVKSESLLNRYSELLSPSEKDNVLGMRGEELKKRALLARALVRTTISRYTNHRVDPRSLKFKKNNHGKPEVEWQIADDWQPPPLHFNISHTSSLIACGVTVDSPIGIDVEDKQRKLKNHILAFARRYFSSHEVEHLTSISDIEIQRQQFIKLWTLKEAYVKALGKGFSSAPFKTFTIRVRDAAKRGLHLSGDIDSEVCQRQKTEILLQHVEAS >ONH96046 pep chromosome:Prunus_persica_NCBIv2:G7:13360459:13363267:1 gene:PRUPE_7G104300 transcript:ONH96046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFRRRAFLRMNIHGLHNNFCSAHSPLLPVQLPSRMESHLWYVLPEEVKSESLLNRYSELLSPSEKDNVLGMRGEELKKRALLARALVRTTISRYTNHRVDPRSLKFKKNNHGKPEVEWQIADDWQPPPLHFNISHTSSLIACGVTVDSPIGIDVEDKQRKLKNHILAFARRYFSSHEVEHLTSISDIEIQRQQFIKLWTLKEAYVKALGKGFSSAPFKTFTIRVRDAAKRGLHLSGDIDSEISEISVESLGPVNLTTNWQFALLELAGSHYAAICMEKHKAVGEKGNAPMKLTVRRTIPFVEDECVTGTDAVLPIGGLNC >ONH96048 pep chromosome:Prunus_persica_NCBIv2:G7:13360472:13363240:1 gene:PRUPE_7G104300 transcript:ONH96048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHGLHNNFCSAHSPLLPVQLPSRMESHLWYVLPEEVKSESLLNRYSELLSPSEKDNVLGMRGEELKKRALLARALVRTTISRYTNHRVDPRSLKFKKNNHGKPEVEWQIADDWQPPPLHFNISHTSSLIACGVTVDSPIGIDVEDKQRKLKNHILAFARRYFSSHEVEHLTSISDIEIQRQQFIKLWTLKEAYVKALGKGFSSAPFKTFTIRVRDAAKRGLHLSGDIDSEISEISVESLGPVNLTTNWQFALLELAGSHYAAICMEKHKAVGEKGNAPMKLTVRRTIPFVEDECVTGTDAVLPIGGLNC >ONH96045 pep chromosome:Prunus_persica_NCBIv2:G7:13360472:13363240:1 gene:PRUPE_7G104300 transcript:ONH96045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFRRRAFLRMNIHGLHNNFCSAHSPLLPVQLPSRINDGFCRESHLWYVLPEEVKSESLLNRYSELLSPSEKDNVLGMRGEELKKRALLARALVRTTISRYTNHRVDPRSLKFKKNNHGKPEVEWQIADDWQPPPLHFNISHTSSLIACGVTVDSPIGIDVEDKQRKLKNHILAFARRYFSSHEVEHLTSISDIEIQRQQFIKLWTLKEAYVKALGKGFSSAPFKTFTIRVRDAAKRGLHLSGDIDSEISEISVESLGPVNLTTNWQFALLELAGSHYAAICMEKHKAVGEKGNAPMKLTVRRTIPFVEDECVTGTDAVLPIGGLNC >ONH97436 pep chromosome:Prunus_persica_NCBIv2:G7:18222442:18224556:1 gene:PRUPE_7G190100 transcript:ONH97436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQASVDGIQKEHKHKLNPDKDLLVKRGRIIIQYEFDYDPSRIYSTGKIYFKNGKEEEARFFKYEKSEEDLAKKIFTLTSKLASKLGDYEPLHVLKPHFLTYYEPQNIWILCYEKFDHLLSEIKMDVEDSVDGPRILSFWWRDSIRDVLRTIKYIHSHNMFHNGLNETYNYAVVSGQIKIINVRSNVKDLEDPVHPSELQALRIKDLIAFRNMLKEKIMLPKVPWADRDYFFAFFDKEEELYPIFVEKLASHPFLLTPAERMECFSNIRKSAFASNNFKRILNGRTFLKYRNWNREDMAREFQKVYKRSNSKYNCECVWDLLEFLRDIYLDLPCNMKAVDAEVKRLYPNFLNEIFAID >ONH94432 pep chromosome:Prunus_persica_NCBIv2:G7:2301461:2304534:-1 gene:PRUPE_7G016100 transcript:ONH94432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSDHRKLIVEVCNAKNLMPKDGQGTASAYAIVDFDGQRRRTKTKQRDLNPEWDEKLEFLVHDNDSMASEILEINLYNDKKTGKRNTFLGKVKIPGNTFAKTGAEALVYFPLEKRSVFSQIKGEIGLKIYYIDEDPPAAAEVKQETALPQAEQEKPLENPKAEDQNPEAAAKAEETKKVEAKTEEKPKEEEAKSEEKPKSPEDSKPKTEEASAPPPEVENPPIAYGSEKSKQVEKEKHVEANGGINEVELLQPLARDRSLSAYDLVDRMPFLYVRVVKAKRGNGGSTAEPVYAKLVIGTHSIKTKTQPSDKEWDQVFAFDKEGLNSTSLEVSVWAEAEEKKENEPPTRTETSLGTVSFDLQEVPKRVPPDSPLAPQWYTLESEKEAGNDVMLAVWIGTQADEAFQEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLASGSEPKLKVRSPELYVKAQLGAQLFKTSRTSVGSTSSSSANPTWNEDLVFVAAEPFEPFLVLTVEDVTNSQSVGHAKLHVPSVERRSDDRAEPKSRWLNLIGDESRPYAGRIHLRVCLEGGYHVLDEAAHVTSDVRAAAKQLAKPPIGLLEVGIRGATNLLPVKTKNGVRGTTDTYVVAKYGPKWVRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYKRDEAGKPEKDIRIGKIRVRLSTLDTNRVYKSSYSLTVLLPGGAKKMGEIEIAVRFSCSSWLNLIQAYTSPILPRMHYVRPLGPAQQDILRHTAMRIVTARLARSEPPLGQEVVQFMLDSDTHVWSMRRSKANWFRVVGCLSRGAMFARWLDGIRTWQHPPTTVLVHVLLVAVVLCPHLVFPTIFMYAFLILLLRCRYRQRAPPNMDPRISYVDAVSPDELDEELDGFPSTRPSDVIRIRYDRLRALGGRAQTLLGDVAAQGERLEALFNWRDPRATGIFVVFCLVASLVFYVVPFKAFVLVSGFYCLRHPRFHDDMPSVPVNFFRRLPSLSDQIM >ONH95933 pep chromosome:Prunus_persica_NCBIv2:G7:12865779:12867908:1 gene:PRUPE_7G097200 transcript:ONH95933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKQSHLNGAYYGPSIPPKSQAYHRHGRGSDPLGCCCGCIFSLIFKLIMTAVVIVGLAFFIFWLIVRPNHIKFHVTEAHLTQFNFSNDSTLHYNLALNLTIRNPNKKIGVYYDRIEARAIYEDQRFSTYTSTTPFYQGHKTTNVVNPVFQGQQVIPDTKVLSEYNEQKNSGVYEIDVKLYLRVRFKFGLIKTGKFKPRIKCGLKVPLTQNGSSSVSTFETTKCDVDYFKSI >ONH98031 pep chromosome:Prunus_persica_NCBIv2:G7:19938872:19940471:1 gene:PRUPE_7G224300 transcript:ONH98031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIQLLFSFTECFPKIIRILGQFQNFNFPMFHSSLIVLLLNCVVFHVEAQISGSNFPSDEQSNFEPSVAVVIGILAIMFALTFVLLVYAKFCHRRAYVGGNNHRRTEVISTSSRFSGIDKTVIEALPYFRFSSLRGSKEGLECSVCLSKFEDIEVLRLLPKCKHAFHISCIDHWLEKHSSCPLCRHRISSEDLTFITYSDSMRVFWNSQSEHRQDSNIELFVQREEDRRGSSRFSIGSSFRKTEKAVDKEEELLILEEGADMSKEDQKILHKHKHKIVVSDLEFKNRWSNQREMRRL >ONH96940 pep chromosome:Prunus_persica_NCBIv2:G7:16714984:16715409:1 gene:PRUPE_7G160800 transcript:ONH96940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQKQSTHLENCTERAQEAADSQNPIVIPSVRVAEKLSRKKSERFTYLVAAVMSSFGITSMAVMAVYYRFYWQMEGGNVPLSEMLGTFALSVGAAVSSLTTSQLEKLN >ONH96939 pep chromosome:Prunus_persica_NCBIv2:G7:16714671:16716200:1 gene:PRUPE_7G160800 transcript:ONH96939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQKQSTHLENCTERAQEAADSQNPIVIPSVRVAEKLSRKKSERFTYLVAAVMSSFGITSMAVMAVYYRFYWQMEGGNVPLSEMLGTFALSVGAAVGMEFWARWAHKALWHAYGICTSLTTQTQRRSIRA >ONH95158 pep chromosome:Prunus_persica_NCBIv2:G7:9283004:9287704:-1 gene:PRUPE_7G054300 transcript:ONH95158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEALLCSVEDSSRVFHNSIYPFWSFYIKEKIRVALYVQQAALHFIEAGAGDRSNEKPGQDEYKLSEDARTAGFSIHPDELASIMCGHDIKASKMHGAGDRSNEKPGQDEYKLSEDARTAGFSIHRDELASITCGHDIKALKMHGGIHGILRKVCVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVEIATEGWPKGMYNGVGILTSIVLVVMVTAISDYRQSLQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGIFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLSFAVLTFLVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLPLAVPLSLAFAMKKLMNDRALVRHLSACETMGYASCICTDKTGTLTTTHMVVNKLWICEKTLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVIKEDGRTSILGTPTESALLEFGLLLGGDFDAVRREVNILKVEPFNSVRKKMSVLVAHPHGGKRAFCKGASEIVLGMCNKFIDFKGESVILSREQVKNITDVINSFASEALRTLCLAFKNIDDSSIENDIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLSAGITVRMVTGDNIYTAKAIAKECGILTEDGLAIEGQEFRNMSLEQKKAVIPRIQVMARSLPLDKHTLVKTLRDEFGEVVAVTGDGADDAPALHKADIRLAMGIAGTEVAKKSADVVILDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVVALIINFVSACVSDLFQDLLPLRLCNCFGSA >ONH95151 pep chromosome:Prunus_persica_NCBIv2:G7:9281092:9290764:-1 gene:PRUPE_7G054300 transcript:ONH95151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYLKDFEVENKNPSEEAIRRWRKAVAVVKNPRRRFRYVADLAKRSEAEKKKLQRQEKIRVALYVQQAALHFIEAGAGDRSNEKPGQDEYKLSEDARTAGFSIHPDELASIMCGHDIKASKMHGAGDRSNEKPGQDEYKLSEDARTAGFSIHRDELASITCGHDIKALKMHGGIHGILRKVCVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVEIATEGWPKGMYNGVGILTSIVLVVMVTAISDYRQSLQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGIFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLSFAVLTFLVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLPLAVPLSLAFAMKKLMNDRALVRHLSACETMGYASCICTDKTGTLTTTHMVVNKLWICEKTLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVIKEDGRTSILGTPTESALLEFGLLLGGDFDAVRREVNILKVEPFNSVRKKMSVLVAHPHGGKRAFCKGASEIVLGMCNKFIDFKGESVILSREQVKNITDVINSFASEALRTLCLAFKNIDDSSIENDIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLSAGITVRMVTGDNIYTAKAIAKECGILTEDGLAIEGQEFRNMSLEQKKAVIPRIQVMARSLPLDKHTLVKTLRDEFGEVVAVTGDGADDAPALHKADIRLAMGIAGTEVAKKSADVVILDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVVALIINFVSACVSGSASLTAVQLLWVSMIMDTLGALALGTEPPSDGLIKRPSVGWGTSFITKAMWRNIIGQSIYQLIVLGVLNFYGKQLLGLSGSDATEVLDTLIFNAFVFCQVFNEINSRDIEKINIFVGMFDSRVFLGVVVCTVAFQVIIVEFLGAFASTVPLSWQLWLLCILLGSVSMLVAVVLKNPCRRFRFVADLVKRSEADKKKCQIQMPLHSVPGSSTTASFAEPELAEFEAMDLDAQLQRIEKLGSTPSKSKSEAVDEAVDRIKIWQSTELDLDENKEIIDKLMKDLDLLHKENMASRPILEISLGLARDVINLHNRYEDLKPSFSAFESCKATREAKLVEYQKQKLELDALVADYKETKSAADKLEKDIENLHKQLVVLRERQKNLRAGLGAKTKSSFLLQSMVATSRHALEIAEASIHQGVLLQFEISVKKAGLQETLKKLGL >ONH95156 pep chromosome:Prunus_persica_NCBIv2:G7:9283004:9290329:-1 gene:PRUPE_7G054300 transcript:ONH95156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYLKDFEVENKNPSEEAIRRWRKAVAVVKNPRRRFRYVADLAKRSEAEKKKLQRQEKIRVALYVQQAALHFIEAGAGDRSNEKPGQDEYKLSEDARTAGFSIHPDELASIMCGHDIKASKMHGAGDRSNEKPGQDEYKLSEDARTAGFSIHRDELASITCGHDIKALKMHGGIHGILRKVCVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVEIATEGWPKGMYNGVGILTSIVLVVMVTAISDYRQSLQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGIFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLSFAVLTFLVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLPLAVPLSLAFAMKKLMNDRALVRHLSACETMGYASCICTDKTGTLTTTHMVVNKLWICEKTLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVIKEDGRTSILGTPTESALLEFGLLLGGDFDAVRREVNILKVEPFNSVRKKMSVLVAHPHGGKRAFCKGASEIVLGMCNKFIDFKGESVILSREQVKNITDVINSFASEALRTLCLAFKNIDDSSIENDIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLSAGITVRMVTGDNIYTAKAIAKECGILTEDGLAIEGQEFRNMSLEQKKAVIPRIQVMARSLPLDKHTLVKTLRDEFGEVVAVTGDGADDAPALHKADIRLAMGIAGTEVAKKSADVVILDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVVALIINFVSACVSDLFQDLLPLRLCNCFGSA >ONH95152 pep chromosome:Prunus_persica_NCBIv2:G7:9281092:9288036:-1 gene:PRUPE_7G054300 transcript:ONH95152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEALLCSVEDSSRVFHNSIYPFWSFYIKEKIRVALYVQQAALHFIEAGAGDRSNEKPGQDEYKLSEDARTAGFSIHPDELASIMCGHDIKASKMHGAGDRSNEKPGQDEYKLSEDARTAGFSIHRDELASITCGHDIKALKMHGGIHGILRKVCVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVEIATEGWPKGMYNGVGILTSIVLVVMVTAISDYRQSLQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGIFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLSFAVLTFLVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLPLAVPLSLAFAMKKLMNDRALVRHLSACETMGYASCICTDKTGTLTTTHMVVNKLWICEKTLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVIKEDGRTSILGTPTESALLEFGLLLGGDFDAVRREVNILKVEPFNSVRKKMSVLVAHPHGGKRAFCKGASEIVLGMCNKFIDFKGESVILSREQVKNITDVINSFASEALRTLCLAFKNIDDSSIENDIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLSAGITVRMVTGDNIYTAKAIAKECGILTEDGLAIEGQEFRNMSLEQKKAVIPRIQVMARSLPLDKHTLVKTLRDEFGEVVAVTGDGADDAPALHKADIRLAMGIAGTEVAKKSADVVILDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVVALIINFVSACVSGSASLTAVQLLWVSMIMDTLGALALGTEPPSDGLIKRPSVGWGTSFITKAMWRNIIGQSIYQLIVLGVLNFYGKQLLGLSGSDATEVLDTLIFNAFVFCQVFNEINSRDIEKINIFVGMFDSRVFLGVVVCTVAFQVIIVEFLGAFASTVPLSWQLWLLCILLGSVSMLVAVVLKNPCRRFRFVADLVKRSEADKKKCQIQMPLHSVPGSSTTASFAEPELAEFEAMDLDAQLQRIEKLGSTPSKSKSEAVDEAVDRIKIWQSTELDLDENKEIIDKLMKDLDLLHKENMASRPILEISLGLARDVINLHNRYEDLKPSFSAFESCKATREAKLVEYQKQKLELDALVADYKETKSAADKLEKDIENLHKQLVVLRERQKNLRAGLGAKTKSSFLLQSMVATSRHALEIAEASIHQGVLLQFEISVKKAGLQETLKKLGL >ONH95154 pep chromosome:Prunus_persica_NCBIv2:G7:9281094:9287894:-1 gene:PRUPE_7G054300 transcript:ONH95154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEALLCSVEDSSRVFHNSIYPFWSFYIKEKIRVALYVQQAALHFIEAGAGDRSNEKPGQDEYKLSEDARTAGFSIHPDELASIMCGHDIKASKMHGAGDRSNEKPGQDEYKLSEDARTAGFSIHRDELASITCGHDIKALKMHGGIHGILRKVCVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVEIATEGWPKGMYNGVGILTSIVLVVMVTAISDYRQSLQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGIFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLSFAVLTFLVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLPLAVPLSLAFAMKKLMNDRALVRHLSACETMGYASCICTDKTGTLTTTHMVVNKLWICEKTLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVIKEDGRTSILGTPTESALLEFGLLLGGDFDAVRREVNILKVEPFNSVRKKMSVLVAHPHGGKRAFCKGASEIVLGMCNKFIDFKGESVILSREQVKNITDVINSFASEALRTLCLAFKNIDDSSIENDIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLSAGITVRMVTGDNIYTAKAIAKECGILTEDGLAIEGQEFRNMSLEQKKAVIPRIQVMARSLPLDKHTLVKTLRDEFGEVVAVTGDGADDAPALHKADIRLAMGIAGTEVAKKSADVVILDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVVALIINFVSACVSGSASLTAVQLLWVSMIMDTLGALALGTEPPSDGLIKRPSVGWGTSFITKAMWRNIIGQSIYQLIVLGVLNFYGKQLLGLSGSDATEVLDTLIFNAFVFCQVFNEINSRDIEKINIFVGMFDSRVFLGVVVCTVAFQVIIVEFLGAFASTVPLSWQLWLLCILLGSVSMLVAVVLKNPCRRFRFVADLVKRSEADKKKCQIQVAN >ONH95153 pep chromosome:Prunus_persica_NCBIv2:G7:9281094:9290764:-1 gene:PRUPE_7G054300 transcript:ONH95153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYLKDFEVENKNPSEEAIRRWRKAVAVVKNPRRRFRYVADLAKRSEAEKKKLQRQEKIRVALYVQQAALHFIEAGAGDRSNEKPGQDEYKLSEDARTAGFSIHPDELASIMCGHDIKASKMHGAGDRSNEKPGQDEYKLSEDARTAGFSIHRDELASITCGHDIKALKMHGGIHGILRKVCVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVEIATEGWPKGMYNGVGILTSIVLVVMVTAISDYRQSLQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGIFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLSFAVLTFLVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLPLAVPLSLAFAMKKLMNDRALVRHLSACETMGYASCICTDKTGTLTTTHMVVNKLWICEKTLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVIKEDGRTSILGTPTESALLEFGLLLGGDFDAVRREVNILKVEPFNSVRKKMSVLVAHPHGGKRAFCKGASEIVLGMCNKFIDFKGESVILSREQVKNITDVINSFASEALRTLCLAFKNIDDSSIENDIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLSAGITVRMVTGDNIYTAKAIAKECGILTEDGLAIEGQEFRNMSLEQKKAVIPRIQVMARSLPLDKHTLVKTLRDEFGEVVAVTGDGADDAPALHKADIRLAMGIAGTEVAKKSADVVILDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVVALIINFVSACVSGSASLTAVQLLWVSMIMDTLGALALGTEPPSDGLIKRPSVGWGTSFITKAMWRNIIGQSIYQLIVLGVLNFYGKQLLGLSGSDATEVLDTLIFNAFVFCQVFNEINSRDIEKINIFVGMFDSRVFLGVVVCTVAFQVIIVEFLGAFASTVPLSWQLWLLCILLGSVSMLVAVVLKNPCRRFRFVADLVKRSEADKKKCQIQVAN >ONH95157 pep chromosome:Prunus_persica_NCBIv2:G7:9283004:9287704:-1 gene:PRUPE_7G054300 transcript:ONH95157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEALLCSVEDSSRVFHNSIYPFWSFYIKEKIRVALYVQQAALHFIEAGAGDRSNEKPGQDEYKLSEDARTAGFSIHPDELASIMCGHDIKASKMHGAGDRSNEKPGQDEYKLSEDARTAGFSIHRDELASITCGHDIKALKMHGGIHGILRKVCVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVEIATEGWPKGMYNGVGILTSIVLVVMVTAISDYRQSLQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGIFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLSFAVLTFLVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLPLAVPLSLAFAMKKLMNDRALVRHLSACETMGYASCICTDKTGTLTTTHMVVNKLWICEKTLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVIKEDGRTSILGTPTESALLEFGLLLGGDFDAVRREVNILKVEPFNSVRKKMSVLVAHPHGGKRAFCKGASEIVLGMCNKFIDFKGESVILSREQVKNITDVINSFASEALRTLCLAFKNIDDSSIENDIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLSAGITVRMVTGDNIYTAKAIAKECGILTEDGLAIEGQEFRNMSLEQKKAVIPRIQVMARSLPLDKHTLVKTLRDEFGEVVAVTGDGADDAPALHKADIRLAMGIAGTEVAKKSADVVILDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVVALIINFVSACVSDLFQDLLPLRLCNCFGSA >ONH95155 pep chromosome:Prunus_persica_NCBIv2:G7:9282141:9290764:-1 gene:PRUPE_7G054300 transcript:ONH95155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYLKDFEVENKNPSEEAIRRWRKAVAVVKNPRRRFRYVADLAKRSEAEKKKLQRQEKIRVALYVQQAALHFIEAGAGDRSNEKPGQDEYKLSEDARTAGFSIHPDELASIMCGHDIKASKMHGAGDRSNEKPGQDEYKLSEDARTAGFSIHRDELASITCGHDIKALKMHGGIHGILRKVCVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVEIATEGWPKGMYNGVGILTSIVLVVMVTAISDYRQSLQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGIFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLSFAVLTFLVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLPLAVPLSLAFAMKKLMNDRALVRHLSACETMGYASCICTDKTGTLTTTHMVVNKLWICEKTLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVIKEDGRTSILGTPTESALLEFGLLLGGDFDAVRREVNILKVEPFNSVRKKMSVLVAHPHGGKRAFCKGASEIVLGMCNKFIDFKGESVILSREQVKNITDVINSFASEALRTLCLAFKNIDDSSIENDIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLSAGITVRMVTGDNIYTAKAIAKECGILTEDGLAIEGQEFRNMSLEQKKAVIPRIQVMARSLPLDKHTLVKTLRDEFGEVVAVTGDGADDAPALHKADIRLAMGIAGTEVAKKSADVVILDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVVALIINFVSACVSGSASLTAVQLLWVSMIMDTLGALALGTEPPSDGLIKRPSVGWGTSFITKAMWRNIIGQSIYQLIVLGVLNFYGKQLLGLSGSDATEVLDTLIFNAFVFCQVFNEINSRDIEKINIFVGMFDSRVFLGVVVCTVAFQVIIVEFLGAFASTVPLSWQLWLLCILLGSVSMLVAVVLKNPCRRFRFVADLVKRSEADKKKCQIQVSFSLSAYAIFTFLFSFR >ONH97124 pep chromosome:Prunus_persica_NCBIv2:G7:17286685:17290416:1 gene:PRUPE_7G171300 transcript:ONH97124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCQPRKSYKKNIAATKTVWFLEQIKRIKRKMGCCYSRIDREEMVSRCKSRKRYMKQLVKARQAWSAAHTMYLRSLRSTGAALLQFSNAETTVHHHNNHYSHHNNHHSPPQPQTPQTPLTPQPPPPPPPPPLSSSSDTWTTSTTASTALPPPPPPPPPPSSTWDFWDPFVASSSRPVTEEEWEDNTTASEAVITVTAASTAAPLSVVSGFSKESGAPTSELAMVVSRNAKDLVEIIKELDEYFLKAADAGGLLSLLLEVPTPGFSSCQNKGGKVYNHGCNLSPSLWTWGGSSPKFGGFGKMGCDEMVLSHLGSGVGEEGVVSSSHCSTVERLYAWEKKLYQEVKDAETIKIEHEKRVATLKKLEMKRADYVKTEKTKNDVEKLESQMMVASQAIDTTSAEIIKLRETELYPQLIELVKGLMCMWRSMYECHQVQKHIVQQLKYLNTIPSTEPTSEIHRQATLQLELQVQQWHQSFCNLVKAQLDYIQSLTGWLRLSLFQFRRHPISKTSQESRIYMLCEEWHHAVDRIPDKVASEGIKSFLTVVHAIVVQQAEEYKQKKKSESTFKELEKKATVLRSLESKYGPYSMPDSASTSTKNPVVEKRAKVEVLRAKAEEEKSKHEKSVSVTRVMTLNNLQMGFPHVFEAMVGFSSVCMQAFESLYNQAKSANQELDVKRLLP >ONH97125 pep chromosome:Prunus_persica_NCBIv2:G7:17287210:17290235:1 gene:PRUPE_7G171300 transcript:ONH97125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCYSRIDREEMVSRCKSRKRYMKQLVKARQAWSAAHTMYLRSLRSTGAALLQFSNAETTVHHHNNHYSHHNNHHSPPQPQTPQTPLTPQPPPPPPPPPLSSSSDTWTTSTTASTALPPPPPPPPPPSSTWDFWDPFVASSSRPVTEEEWEDNTTASEAVITVTAASTAAPLSVVSGFSKESGAPTSELAMVVSRNAKDLVEIIKELDEYFLKAADAGGLLSLLLEVPTPGFSSCQNKGGKVYNHGCNLSPSLWTWGGSSPKFGGFGKMGCDEMVLSHLGSGVGEEGVVSSSHCSTVERLYAWEKKLYQEVKDAETIKIEHEKRVATLKKLEMKRADYVKTEKTKNDVEKLESQMMVASQAIDTTSAEIIKLRETELYPQLIELVKGLMCMWRSMYECHQVQKHIVQQLKYLNTIPSTEPTSEIHRQATLQLELQVQQWHQSFCNLVKAQLDYIQSLTGWLRLSLFQFRRHPISKTSQESRIYMLCEEWHHAVDRIPDKVASEGIKSFLTVVHAIVVQQAEEYKQKKKSESTFKELEKKATVLRSLESKYGPYSMPDSASTSTKNPVVEKRAKVEVLRAKAEEEKSKHEKSVSVTRVMTLNNLQMGFPHVFEAMVGFSSVCMQAFESLYNQAKSANQELDVKRLLP >ONH96133 pep chromosome:Prunus_persica_NCBIv2:G7:13744586:13749714:-1 gene:PRUPE_7G109400 transcript:ONH96133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHNIHIHISKSQIPKTMAKALNLIPTSTFPPFSCPTRPKRKFSIRATTESSETPVSSASVQAKPEPSSPPLTFAPPPNFKPPEPKRFGVRPDKVFDILGASLALLFRLGTGVFVSGYSFSFVSKDDIPADQYALEFNDSKVKETSKVGPRPQKPIEIYEFEGCPFCRKVREIVAVLDLDVLFYPCPKNGPNFRRKVAEMGGKQQFPYMVDPNTGVSMYESDDIIKYLVGKYGDGNVPIALSLGLLTTLTAGLAMIGRSGQGSSYSPSRLPSKPLVVWAYEGSPFCKIVREVLVELELPHIYRSCARGSPKRQILFDKTGRFQAPYLEDPNTGVEMFESAEIAEYLKATYAL >ONH96135 pep chromosome:Prunus_persica_NCBIv2:G7:13744904:13749484:-1 gene:PRUPE_7G109400 transcript:ONH96135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHNIHIHISKSQIPKTMAKALNLIPTSTFPPFSCPTRPKRKFSIRATTESSETPVSSASVQAKPEPSSPPLTFAPPPNFKPPEPKRFGVRPDKVFDILGASLALLFRLGTGVFVSGYSFSFVSKDDIPADQYALEFNDSKVKETSKVGPRPQKPIEIYEFEGCPFCRKVREIVAVLDLDVLFYPCPKNGPNFRRKVAEMGGKQQFPYMVDPNTGVSMYESDDIIKYLVGKYGDGNVPIALSLGLLTTLTAGLAMIGRSGQGSSYSPSRLPSKPLVVWAYEGSPFCKIVREVLVELELPHIYRSCARGSPKRQILFDKTGRFQAPYLEDPNTGVEMFESAEIAEYLKATYAL >ONH96134 pep chromosome:Prunus_persica_NCBIv2:G7:13743846:13749714:-1 gene:PRUPE_7G109400 transcript:ONH96134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHNIHIHISKSQIPKTMAKALNLIPTSTFPPFSCPTRPKRKFSIRATTESSETPVSSASVQAKPEPSSPPLTFAPPPNFKPPEPKRFGVRPDKVFDILGASLALLFRLGTGVFVSGYSFSFVSKDDIPADQYALEFNDSKVKETSKVGPRPQKPIEIYEFEGCPFCRKVREIVAVLDLDVLFYPCPKNGPNFRRKVAEMGGKQQFPYMVDPNTGVSMYESDDIIKYLVGKYGDGNVPIALSLGLLTTLTAGLAMIGRSGQGSSYSPSRLPSKPLVVWAYEGSPFCKIVREVLVELELPHIYRSCARGSPKRQILFDKTGRFQAPYLEDPNTGVEMFESAEIAEYLKATYAL >ONH94989 pep chromosome:Prunus_persica_NCBIv2:G7:8073831:8074825:1 gene:PRUPE_7G044400 transcript:ONH94989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKNSEKLKFRIFLFVGLIYQADFCVEWKLIENLKSLYILISECRVWFFCFFPFHGLIIWPCFYSLQLLGTGMDTQDTSPSVLLFFDKERFIFNAGEVRNSITYIYIWLVL >ONH98206 pep chromosome:Prunus_persica_NCBIv2:G7:20466609:20474335:-1 gene:PRUPE_7G235500 transcript:ONH98206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQTNWEADKMLDVYIYDYLMKRKLHASAKAFQDEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQVMKARELQQQHQQQKPQPQQQMQMQMQQQLLQRHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTQLLNGTANGLVGNDPLLRQNPATANSMATKMYEERLKLPIQRDGLDDAAIKQRLGDNVSQLLDSNHASLLKAATTGGQPPRQMLHGTPGGMLGNLQQAHNRSQQLPGSTQDIKTEMMNPRAAAPEGSLIGAHGSNQGSNNLTLKGWPLTGFDRFRSGILQQQTSMMQSPQPFNQLQLQQQLMLAQQNLASPSANDLDSRRLRMLLNNQNTGLGKDGQLNSVDVSNVGSPVQVGCPVLPRADADMIMKLQQQQMQSNNQQQQQYSQHPLSSQQSQSSSQHLQQQEKIIGSGGIVADGSMANTLQGNDQASKNQIGRKRKQPVSSSGPANSSGTVNTTGPSPSSPSTPSTHTPGDVMSMPTLSHNGGSSKSLLMFGSDGLGSLASAQNKLTDVDRFVDDGSLEDNVESFLSHDDADPRGRVARCSDVSKGFTFTEVHLIPASTSKVECCHFSSDGKSLATGGHDRKAVLWCTESYTVKSTLEEHSQWITDVRFSPSMSRLATSSADKTVRVWDADNPGYSLRTFVGHSTTVMSVDFHPSKEDLLCSCDNNSEIRYWSIKNGSCAGVFKGGATQMRFQPKAGRNLAAAADNFVSILDVETQACVRKLQGHKNLVHSVCWDPSGDFLASVSDDLVRVWSVVSSARGECIHELSCSGNKFNTCVFHPTCPSLLVIGCYETLELWNMTENKTMTLHAHDKLVSSLAVSDATGLVASASHDKFVKLWK >ONH98204 pep chromosome:Prunus_persica_NCBIv2:G7:20466609:20474335:-1 gene:PRUPE_7G235500 transcript:ONH98204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQTNWEADKMLDVYIYDYLMKRKLHASAKAFQDEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQVMKARELQQQHQQQKPQPQQQMQMQMQQQLLQRHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTQLLNGTANGLVGNDPLLRQNPATANSMATKMYEERLKLPIQRDGLDDAAIKQRLGDNVSQLLDSNHASLLKAATTGGQPPRQMLHGTPGGMLGNLQQAHNRSQQLPGSTQDIKTEMMNPRAAAPEGSLIGAHGSNQGSNNLTLKGWPLTGFDRFRSGILQQQTSMMQSPQPFNQLQLQQQLMLAQQNLASPSANDLDSRRLRMLLNNQNTGLGKDGQLNSVDVSNVGSPVQVGCPVLPRADADMIMKQMQSNNQQQQQYSQHPLSSQQSQSSSQHLQQQEKIIGSGGIVADGSMANTLQGNDQASKNQIGRKRKQPVSSSGPANSSGTVNTTGPSPSSPSTPSTHTPGDVMSMPTLSHNGGSSKSLLMFGSDGLGSLASAQNKLTDVDRFVDDGSLEDNVESFLSHDDADPRGRVARCSDVSKGFTFTEVHLIPASTSKVECCHFSSDGKSLATGGHDRKAVLWCTESYTVKSTLEEHSQWITDVRFSPSMSRLATSSADKTVRVWDADNPGYSLRTFVGHSTTVMSVDFHPSKEDLLCSCDNNSEIRYWSIKNGSCAGVFKGGATQMRFQPKAGRNLAAAADNFVSILDVETQACVRKLQGHKNLVHSVCWDPSGDFLASVSDDLVRVWSVVSSARGECIHELSCSGNKFNTCVFHPTCPSLLVIGCYETLELWNMTENKTMTLHAHDKLVSSLAVSDATGLVASASHDKFVKLWK >ONH98203 pep chromosome:Prunus_persica_NCBIv2:G7:20466408:20474650:-1 gene:PRUPE_7G235500 transcript:ONH98203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQTNWEADKMLDVYIYDYLMKRKLHASAKAFQDEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQVMKARELQQQHQQQKPQPQQQMQMQMQQQLLQRHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTQLLNGTANGLVGNDPLLRQNPATANSMATKMYEERLKLPIQRDGLDDAAIKQRLGDNVSQLLDSNHASLLKAATTGGQPPRQMLHGTPGGMLGNLQQAHNRSQQLPGSTQDIKTEMMNPRAAAPEGSLIGAHGSNQGSNNLTLKGWPLTGFDRFRSGILQQQTSMMQSPQPFNQLQLQQQLMLAQQNLASPSANDLDSRRLRMLLNNQNTGLGKDGQLNSVDVSNVGSPVQVGCPVLPRADADMIMKMQSNNQQQQQYSQHPLSSQQSQSSSQHLQQQEKIIGSGGIVADGSMANTLQGNDQASKNQIGRKRKQPVSSSGPANSSGTVNTTGPSPSSPSTPSTHTPGDVMSMPTLSHNGGSSKSLLMFGSDGLGSLASAQNKLTDVDRFVDDGSLEDNVESFLSHDDADPRGRVARCSDVSKGFTFTEVHLIPASTSKVECCHFSSDGKSLATGGHDRKAVLWCTESYTVKSTLEEHSQWITDVRFSPSMSRLATSSADKTVRVWDADNPGYSLRTFVGHSTTVMSVDFHPSKEDLLCSCDNNSEIRYWSIKNGSCAGVFKGGATQMRFQPKAGRNLAAAADNFVSILDVETQACVRKLQGHKNLVHSVCWDPSGDFLASVSDDLVRVWSVVSSARGECIHELSCSGNKFNTCVFHPTCPSLLVIGCYETLELWNMTENKTMTLHAHDKLVSSLAVSDATGLVASASHDKFVKLWK >ONH98205 pep chromosome:Prunus_persica_NCBIv2:G7:20466609:20474335:-1 gene:PRUPE_7G235500 transcript:ONH98205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSQTNWEADKMLDVYIYDYLMKRKLHASAKAFQDEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQVMKARELQQQHQQQKPQPQQQMQMQMQQQLLQRHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTQLLNGTANGLVGNDPLLRQNPATANSMATKMYEERLKLPIQRDGLDDAAIKQRLGDNVSQLLDSNHASLLKAATTGGQPPRQMLHGTPGGMLGNLQQAHNRSQQLPGSTQDIKTEMMNPRAAAPEGSLIGAHGSNQGSNNLTLKGWPLTGFDRFRSGILQQQTSMMQSPQPFNQLQLQQQLMLAQQNLASPSANDLDSRRLRMLLNNQNTGLGKDGQLNSVDVSNVGSPVQVGCPVLPRADADMIMKQQQMQSNNQQQQQYSQHPLSSQQSQSSSQHLQQQEKIIGSGGIVADGSMANTLQGNDQASKNQIGRKRKQPVSSSGPANSSGTVNTTGPSPSSPSTPSTHTPGDVMSMPTLSHNGGSSKSLLMFGSDGLGSLASAQNKLTDVDRFVDDGSLEDNVESFLSHDDADPRGRVARCSDVSKGFTFTEVHLIPASTSKVECCHFSSDGKSLATGGHDRKAVLWCTESYTVKSTLEEHSQWITDVRFSPSMSRLATSSADKTVRVWDADNPGYSLRTFVGHSTTVMSVDFHPSKEDLLCSCDNNSEIRYWSIKNGSCAGVFKGGATQMRFQPKAGRNLAAAADNFVSILDVETQACVRKLQGHKNLVHSVCWDPSGDFLASVSDDLVRVWSVVSSARGECIHELSCSGNKFNTCVFHPTCPSLLVIGCYETLELWNMTENKTMTLHAHDKLVSSLAVSDATGLVASASHDKFVKLWK >ONH98544 pep chromosome:Prunus_persica_NCBIv2:G7:21353285:21354457:1 gene:PRUPE_7G253300 transcript:ONH98544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAILRSQDCLRGRFRHEALTLTPRSGSRRSPNFSNPNPNPSPNYGSNANLHQSRRRKRSPMGFQSNQHDRARDRYSDRAMVAKVPAKNLVMGQVKILRRGEALSPEKNNRGLGVVSGDAGKPRAKSEDVPDLVLGSTDRLGPDPETVQKQIKVAEFKVMDAIYAGSSAFYASPPPSSVPLPAFLGRNGTATSDLRRLLRLDSV >ONH95977 pep chromosome:Prunus_persica_NCBIv2:G7:13020466:13021659:-1 gene:PRUPE_7G099400 transcript:ONH95977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYMKSRASMHLHQLVKLKMSSSSSLAPSPKRLDGKVAIITGGASGIGESTVRLFVQHGAKVIIADVQDELSLSLCKELDPDEESVCYTRCDVKVESDVKNVVDLALSKYGKLDIMYNNAGIPGNLEPTILSAGAENFKQVFDVNVYGAFLGAKHAARAMIPAKKGVILFTSSVASASCGESPHAYTMSKHAVVGLMKSLCVELGQHGIRVNCISPCAMATPLLRNVMGMERDAVEELICAAAVLKGAVPRAEDVAEAAVYLASEESKFVNGLNLLVDGGYSTTNQSFSMVLRNLMSSNQVL >ONH98176 pep chromosome:Prunus_persica_NCBIv2:G7:20378742:20383681:-1 gene:PRUPE_7G233800 transcript:ONH98176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEADGDESAPTKGQSDAATAATTSSSSSMSDVPAKKLARQLDFTGFGGTPASVAVPELPKPQLPTVSVQQSQPKPPVVAVPVPVPPQPPIPSVRPVKPESPKSRPRPNVDAKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCCNNVENEGARRDAVEATLERNPNAFRPKIASSPHGPRDTREEAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCIDCKNFEGSEERQALFHGDHGNNMAYLQQAANAAITGAIGSSGYASSPPISKKRKGQELFGPTAKDPSIHRIGQFQQGNHIRPPAPSSPASVPVNRLGGTAGLGPSKFTYRSLLADIIQPQDLKELCSVLVVVAEEAAKTLAEQRNTAEKPTETSLTSTTQDRLQSQKESEAQKAVADDCSSANEADKISPDDSNSDGADVPKGRPMSPATLALMCDEQDTMFMSAASPNGLMGDRCNTSSQLPNGQGMTEVYAEQERIVLTKFRDCLNRLITFGEIKETKCSSLARSEAETQKEQPSNGSTNARMETGNQQGPVSNGVAKPAVPLTAKTTTPHMVAALAVSKTPSHPENGESRPKD >ONH98177 pep chromosome:Prunus_persica_NCBIv2:G7:20379210:20384210:-1 gene:PRUPE_7G233800 transcript:ONH98177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEADGDESAPTKGQSDAATAATTSSSSSMSDVPAKKLARQLDFTGFGGTPASVAVPELPKPQLPTVSVQQSQPKPPVVAVPVPVPPQPPIPSVRPVKPESPKSRPRPNVDAKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCCNNVENEGARRDAVEATLERNPNAFRPKIASSPHGPRDTREEAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCIDCKNFEGSEERQALFHGDHGNNMAYLQQAANAAITGAIGSSGYASSPPISKKRKGQELFGPTAKDPSIHRIGQFQQGNHIRPPAPSSPASVPVNRLGGTAGLGPSKFTYRSLLADIIQPQDLKELCSVLVVVAEEAAKTLAEQRNTAEKPTETSLTSTTQDRLQSQKESEAQKAVADDCSSANEADKISPDDSNSDGADVPKGRPMSPATLALMCDEQDTMFMSAASPNGLMGDRCNTSSQLPNGQGMTEVYAEQERIVLTKFRDCLNRLITFGEIKGLSRVVVSL >ONH98175 pep chromosome:Prunus_persica_NCBIv2:G7:20378353:20384210:-1 gene:PRUPE_7G233800 transcript:ONH98175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEADGDESAPTKGQSDAATAATTSSSSSMSDVPAKKLARQLDFTGFGGTPASVAVPELPKPQLPTVSVQQSQPKPPVVAVPVPVPPQPPIPSVRPVKPESPKSRPRPNVDAKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCCNNVENEGARRDAVEATLERNPNAFRPKIASSPHGPRDTREAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCIDCKNFEGSEERQALFHGDHGNNMAYLQQAANAAITGAIGSSGYASSPPISKKRKGQELFGPTAKDPSIHRIGQFQQGNHIRPPAPSSPASVPVNRLGGTAGLGPSKFTYRSLLADIIQPQDLKELCSVLVVVAEEAAKTLAEQRNTAEKPTETSLTSTTQDRLQSQKESEAQKAVADDCSSANEADKISPDDSNSDGADVPKGRPMSPATLALMCDEQDTMFMSAASPNGLMGDRCNTSSQLPNGQGMTEVYAEQERIVLTKFRDCLNRLITFGEIKETKCSSLARSEAETQKEQPSNGSTNARMETGNQQGPVSNGVAKPAVPLTAKTTTPHMVAALAVSKTPSHPENGESRPKD >ONH98174 pep chromosome:Prunus_persica_NCBIv2:G7:20378353:20384211:-1 gene:PRUPE_7G233800 transcript:ONH98174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEADGDESAPTKGQSDAATAATTSSSSSMSDVPAKKLARQLDFTGFGGTPASVAVPELPKPQLPTVSVQQSQPKPPVVAVPVPVPPQPPIPSVRPVYCECFASGIYCDGCNCVNCCNNVENEGARRDAVEATLERNPNAFRPKIASSPHGPRDTREEAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCIDCKNFEGSEERQALFHGDHGNNMAYLQQAANAAITGAIGSSGYASSPPISKKRKGQELFGPTAKDPSIHRIGQFQQGNHIRPPAPSSPASVPVNRLGGTAGLGPSKFTYRSLLADIIQPQDLKELCSVLVVVAEEAAKTLAEQRNTAEKPTETSLTSTTQDRLQSQKESEAQKAVADDCSSANEADKISPDDSNSDGADVPKGRPMSPATLALMCDEQDTMFMSAASPNGLMGDRCNTSSQLPNGQGMTEVYAEQERIVLTKFRDCLNRLITFGEIKETKCSSLARSEAETQKEQPSNGSTNARMETGNQQGPVSNGVAKPAVPLTAKTTTPHMVAALAVSKTPSHPENGESRPKD >ONH98178 pep chromosome:Prunus_persica_NCBIv2:G7:20379429:20383681:-1 gene:PRUPE_7G233800 transcript:ONH98178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEADGDESAPTKGQSDAATAATTSSSSSMSDVPAKKLARQLDFTGFGGTPASVAVPELPKPQLPTVSVQQSQPKPPVVAVPVPVPPQPPIPSVRPVKPESPKSRPRPNVDAKDGTPKKQKQCNCKHSRCLKLYCECFASGIYCDGCNCVNCCNNVENEGARRDAVEATLERNPNAFRPKIASSPHGPRDTREEAGEVLILGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCIDCKNFEGSEERQALFHGDHGNNMAYLQQAANAAITGAIGSSGYASSPPISKKRKGQELFGPTAKDPSIHRIGQFQQGNHIRPPAPSSPASVPVNRLGGTAGLGPSKFTYRSLLADIIQPQDLKELCSVLVVVAEEAAKTLAEQRNTAEKPTETSLTSTTQDRLQSQKESEAQKAVADDCSSANEADKISPDDSNSDGADVPKGRPMSPATLALMCDEQDTMFMSAASPNGLMGDRCNTSSQLPNGQGMTEVYAEQERIVLTKFRDCLNRLITFGEIKGLSRVVVSL >ONH95816 pep chromosome:Prunus_persica_NCBIv2:G7:12438572:12443392:-1 gene:PRUPE_7G091200 transcript:ONH95816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTQKITQYRERLDKTLASPNLTNREALKLLVKNQLIRSSKNEIEGCNENVIEEKTAEVSNLLDMLRSACIVDDKGLTTCDTTLHPEWKLKHDNEQFRVMYREGIQGTPFHTLLVEGYVDGSVDDCLCASWESELYKKWWPQSTIPTFKILSAKCLQRVRIGEQISLVRMKVPWPLSPREAVVHYFMFEYFQDDLIVVLLKSVSDSESIDGVCGLMNEETAGAKDLVRIDVVGGFAIQKVTKERSYFRTIATMDIKMDFVPPSLINFFSRQLIGNGFRLYQKAVSSKLNCDGDYSNALEGPLYTQIRESLFPLNEPNRPLEGERLNSDTSNLSEEHLMKNQMSDLKLVDMDQKVEKDHSASEAAPDDAQVHPASELMSDDALVTGRSTFGEIEEVESEDGGQLENQTSNRVAEKSLVNGKRNVVISSEVEQALGTLEKVIYKVRKNGLNAQIRSSSGFTNEVPRKETDGGNPKPLEGGMCVSGEHFVEASKEVIERTLPKSVTNSSGPHNLSYARSNSLSKEVNQNRIVPTSLEQELSISCDNNQSALCSSKDGTAEVPVLDLNHIMNSTTNHMSSKANGMDESGPNRVKKMRQQQKHWYCCFSVN >ONH95817 pep chromosome:Prunus_persica_NCBIv2:G7:12438414:12443392:-1 gene:PRUPE_7G091200 transcript:ONH95817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTQKITQYRERLDKTLASPNLTNREALKLLVKNQLIRSSKNEIEGCNENVIEEKTAEVSNLLDMLRSACIVDDKGLTTCDTTLHPEWKLKHDNEQFRVMYREGIQGTPFHTLLVEGYVDGSVDDCLCASWESELYKKWWPQSTIPTFKILSAKCLQRVRIGEQISLVRMKVPWPLSPREAVVHYFMFEYFQDDLIVVLLKSVSDSESIDGVCGLMNEETAGAKDLVRIDVVGGFAIQKVTKERSYFRTIATMDIKMDFVPPSLINFFSRQLIGNGFRLYQKAVSSKLNCDGDYSNALEGPLYTQIRESLFPLNEPNRPLEGERLNSDTSNLSEEHLMKNQMSDLKLVDMDQKVEKDHSASEAAPDDAQVHPASELMSDDALVTGRSTFGEIEEVESEDGGQLENQTSNRVAEKSLVNGKRNVVISSEVEQALGTLEKVIYKVRKNGLNAQIRSSSGFTNEVPRKETDGGNPKPLEGGMCVSGEHFVEASKEVIERTLPKSVTNSSGPHNLSYARSNSLSKEVNQNRIVPTSLEQELSISCDNNQSALCSSKDGTAEVPVLDLNHIMNSTTNHMSSKANGMDESGPNRVKKMRQQQKHWYCCFSVN >ONH95818 pep chromosome:Prunus_persica_NCBIv2:G7:12438572:12443302:-1 gene:PRUPE_7G091200 transcript:ONH95818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTQKITQYRERLDKTLASPNLTNREALKLLVKNQLIRSSKNEIEGCNENVIEEKTAEVSNLLDMLRSACIVDDKGLTTCDTTLHPEWKLKHDNEQFRVMYREGIQGTPFHTLLVEGYVDGSVDDCLCASWESELYKKWWPQSTIPTFKILSAKCLQRVRIGEQISLVRMKVPWPLSPREAVVHYFMFEYFQDDLIVVLLKSVSDSESIDGVCGLMNEETAGAKDLVRIDVVGGFAIQKVTKERSYFRTIATMDIKMDFVPPSLINFFSRQLIGNGFRLYQKAVSSKLNCDGDYSNALEGPLYTQIRESLFPLNEPNRPLEGERLNSDTSNLSEEHLMKNQMSDLKLVDMDQKVEKDHSASEAAPDDAQVHPASELMSDDALVTGRSTFGEIEEVESEDGGQLENQTSNRVAEKSLVNGKRNVVISSEVEQALGTLEKVIYKVRKNGLNAQIRSSSGFTNEVPRKETDGGNPKPLEGGMCVSGEHFVEASKEVIERTLPKSVTNSSGPHNLSYARSNSLSKEVNQNRIVPTSLEQELSISCDNNQSALCSSKDGTAEVPVLDLNHIMNSTTNHMSSKANGMDESGPNRVKKMRQQQKHWYCCFSVN >ONH95822 pep chromosome:Prunus_persica_NCBIv2:G7:12438528:12443193:-1 gene:PRUPE_7G091200 transcript:ONH95822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSACIVDDKGLTTCDTTLHPEWKLKHDNEQFRVMYREGIQGTPFHTLLVEGYVDGSVDDCLCASWESELYKKWWPQSTIPTFKILSAKCLQRVRIGEQISLVRMKVPWPLSPREAVVHYFMFEYFQDDLIVVLLKSVSDSESIDGVCGLMNEETAGAKDLVRIDVVGGFAIQKVTKERSYFRTIATMDIKMDFVPPSLINFFSRQLIGNGFRLYQKAVSSKLNCDGDYSNALEGPLYTQIRESLFPLNEPNRPLEGERLNSDTSNLSEEHLMKNQMSDLKLVDMDQKVEKDHSASEAAPDDAQVHPASELMSDDALVTGRSTFGEIEEVESEDGGQLENQTSNRVAEKSLVNGKRNVVISSEVEQALGTLEKVIYKVRKNGLNAQIRSSSGFTNEVPRKETDGGNPKPLEGGMCVSGEHFVEASKEVIERTLPKSVTNSSGPHNLSYARSNSLSKEVNQNRIVPTSLEQELSISCDNNQSALCSSKDGTAEVPVLDLNHIMNSTTNHMSSKANGMDESGPNRVKKMRQQQKHWYCCFSVN >ONH95819 pep chromosome:Prunus_persica_NCBIv2:G7:12438452:12443302:-1 gene:PRUPE_7G091200 transcript:ONH95819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTQKITQYRERLDKTLASPNLTNREALKLLVKNQLIRSSKNEIEGCNENVIEEKTAEVSNLLDMLRSACIVDDKGLTTCDTTLHPEWKLKHDNEQFRVMYREGIQGTPFHTLLVEGYVDGSVDDCLCASWESELYKKWWPQSTIPTFKILSAKCLQRVRIGEQISLVRMKVPWPLSPREAVVHYFMFEYFQDDLIVVLLKSVSDSESIDGVCGLMNEETAGAKDLVRIDVVGGFAIQKVTKERSYFRTIATMDIKMDFVPPSLINFFSRQLIGNGFRLYQKAVSSKLNCDGDYSNALEGPLYTQIRESLFPLNEPNRPLEGERLNSDTSNLSEEHLMKNQMSDLKLVDMDQKVEKDHSASEAAPDDAQVHPASELMSDDALVTGRSTFGEIEEVESEDGGQLENQTSNRVAEKSLVNGKRNVVISSEVEQALGTLEKVIYKVRKNGLNAQIRSSSGFTNEVPRKETDGGNPKPLEGGMCVSGEHFVEASKEVIERTLPKSVTNSSGPHNLSYARSNSLSKEVNQNRIVPTSLEQELSISCDNNQSALCSSKDGTAEVPVLDLNHIMNSTTNHMSSKANGMDESGPNRVKKMRQQQKHWYCCFSVN >ONH95815 pep chromosome:Prunus_persica_NCBIv2:G7:12438828:12442331:-1 gene:PRUPE_7G091200 transcript:ONH95815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTQKITQYRERLDKTLASPNLTNREALKLLVKNQLIRSSKNEIEGCNENVIEEKTAEVSNLLDMLRSACIVDDKGLTTCDTTLHPEWKLKHDNEQFRVMYREGIQGTPFHTLLVEGYVDGSVDDCLCASWESELYKKWWPQSTIPTFKILSAKCLQRVRIGEQISLVRMKVPWPLSPREAVVHYFMFEYFQDDLIVVLLKSVSDSESIDGVCGLMNEETAGAKDLVRIDVVGGFAIQKVTKERSYFRTIATMDIKMDFVPPSLINFFSRQLIGNGFRLYQKAVSSKLNCDGDYSNALEGPLYTQIRESLFPLNEPNRPLEGERLNSDTSNLSEEHLMKNQMSDLKLVDMDQKVEKDHSASEAAPDDAQVHPASELMSDDALVTGRSTFGEIEEVESEDGGQLENQTSNRVAEKSLVNGKRNVVISSEVEQALGTLEKVIYKVRKNGLNAQIRSSSGFTNEVPRKETDGGNPKPLEGGMCVSGEHFVEASKEVIERTLPKSVTNSSGPHNLSYARSNSLSKEVNQNRIVPTSLEQELSISCDNNQSALCSSKDGTAEVPVLDLNHIMNSTTNHMSSKANGMDESGPNRVKKMRQQQKHWYCCFSVN >ONH95813 pep chromosome:Prunus_persica_NCBIv2:G7:12438461:12443303:-1 gene:PRUPE_7G091200 transcript:ONH95813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTQKITQYRERLDKTLASPNLTNREALKLLVKNQLIRSSKNEIEGCNENVIEEKTAEVSNLLDMLRSACIVDDKGLTTCDTTLHPEWKLKHDNEQFRVMYREGIQGTPFHTLLVEGYVDGSVDDCLCASWESELYKKWWPQSTIPTFKILSAKCLQRVRIGEQISLVRMKVPWPLSPREAVVHYFMFEYFQDDLIVVLLKSVSDSESIDGVCGLMNEETAGAKDLVRIDVVGGFAIQKVTKERSYFRTIATMDIKMDFVPPSLINFFSRQLIGNGFRLYQKAVSSKLNCDGDYSNALEGPLYTQIRESLFPLNEPNRPLEGERLNSDTSNLSEEHLMKNQMSDLKLVDMDQKVEKDHSASEAAPDDAQVHPASELMSDDALVTGRSTFGEIEEVESEDGGQLENQTSNRVAEKSLVNGKRNVVISSEVEQALGTLEKVIYKVRKNGLNAQIRSSSGFTNEVPRKETDGGNPKPLEGGMCVSGEHFVEASKEVIERTLPKSVTNSSGPHNLSYARSNSLSKEVNQNRIVPTSLEQELSISCDNNQSALCSSKDGTAEVPVLDLNHIMNSTTNHMSSKANGMDESGPNRVKKMRQQQKHWYCCFSVN >ONH95814 pep chromosome:Prunus_persica_NCBIv2:G7:12438528:12443302:-1 gene:PRUPE_7G091200 transcript:ONH95814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTQKITQYRERLDKTLASPNLTNREALKLLVKNQLIRSSKNEIEGCNENVIEEKTAEVSNLLDMLRSACIVDDKGLTTCDTTLHPEWKLKHDNEQFRVMYREGIQGTPFHTLLVEGYVDGSVDDCLCASWESELYKKWWPQSTIPTFKILSAKCLQRVRIGEQISLVRMKVPWPLSPREAVVHYFMFEYFQDDLIVVLLKSVSDSESIDGVCGLMNEETAGAKDLVRIDVVGGFAIQKVTKERSYFRTIATMDIKMDFVPPSLINFFSRQLIGNGFRLYQKAVSSKLNCDGDYSNALEGPLYTQIRESLFPLNEPNRPLEGERLNSDTSNLSEEHLMKNQMSDLKLVDMDQKVEKDHSASEAAPDDAQVHPASELMSDDALVTGRSTFGEIEEVESEDGGQLENQTSNRVAEKSLVNGKRNVVISSEVEQALGTLEKVIYKVRKNGLNAQIRSSSGFTNEVPRKETDGGNPKPLEGGMCVSGEHFVEASKEVIERTLPKSVTNSSGPHNLSYARSNSLSKEVNQNRIVPTSLEQELSISCDNNQSALCSSKDGTAEVPVLDLNHIMNSTTNHMSSKANGMDESGPNRVKKMRQQQKHWYCCFSVN >ONH95821 pep chromosome:Prunus_persica_NCBIv2:G7:12438461:12443302:-1 gene:PRUPE_7G091200 transcript:ONH95821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSACIVDDKGLTTCDTTLHPEWKLKHDNEQFRVMYREGIQGTPFHTLLVEGYVDGSVDDCLCASWESELYKKWWPQSTIPTFKILSAKCLQRVRIGEQISLVRMKVPWPLSPREAVVHYFMFEYFQDDLIVVLLKSVSDSESIDGVCGLMNEETAGAKDLVRIDVVGGFAIQKVTKERSYFRTIATMDIKMDFVPPSLINFFSRQLIGNGFRLYQKAVSSKLNCDGDYSNALEGPLYTQIRESLFPLNEPNRPLEGERLNSDTSNLSEEHLMKNQMSDLKLVDMDQKVEKDHSASEAAPDDAQVHPASELMSDDALVTGRSTFGEIEEVESEDGGQLENQTSNRVAEKSLVNGKRNVVISSEVEQALGTLEKVIYKVRKNGLNAQIRSSSGFTNEVPRKETDGGNPKPLEGGMCVSGEHFVEASKEVIERTLPKSVTNSSGPHNLSYARSNSLSKEVNQNRIVPTSLEQELSISCDNNQSALCSSKDGTAEVPVLDLNHIMNSTTNHMSSKANGMDESGPNRVKKMRQQQKHWYCCFSVN >ONH95820 pep chromosome:Prunus_persica_NCBIv2:G7:12438462:12443338:-1 gene:PRUPE_7G091200 transcript:ONH95820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTQKITQYRERLDKTLASPNLTNREALKLLVKNQLIRSSKNEIEGCNENVIEEKTAEVSNLLDMLRSACIVDDKGLTTCDTTLHPEWKLKHDNEQFRVMYREGIQGTPFHTLLVEGYVDGSVDDCLCASWESELYKKWWPQSTIPTFKILSAKCLQRVRIGEQISLVRMKVPWPLSPREAVVHYFMFEYFQDDLIVVLLKSVSDSESIDGVCGLMNEETAGAKDLVRIDVVGGFAIQKVTKERSYFRTIATMDIKMDFVPPSLINFFSRQLIGNGFRLYQKAVSSKLNCDGDYSNALEGPLYTQIRESLFPLNEPNRPLEGERLNSDTSNLSEEHLMKNQMSDLKLVDMDQKVEKDHSASEAAPDDAQVHPASELMSDDALVTGRSTFGEIEEVESEDGGQLENQTSNRVAEKSLVNGKRNVVISSEVEQALGTLEKVIYKVRKNGLNAQIRSSSGFTNEVPRKETDGGNPKPLEGGMCVSGEHFVEASKEVIERTLPKSVTNSSGPHNLSYARSNSLSKEVNQNRIVPTSLEQELSISCDNNQSALCSSKDGTAEVPVLDLNHIMNSTTNHMSSKANGMDESGPNRVKKMRQQQKHWYCCFSVN >ONH98035 pep chromosome:Prunus_persica_NCBIv2:G7:19944559:19950554:-1 gene:PRUPE_7G224500 transcript:ONH98035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRACIGEICAPREARIKESQNVRNTEIPVFSPTSSNGEVAELRDQFNQSSLAGDAEVGITRLSRVSSQFLPPNGSRTVNIPSGNFELRYSYLSQRGYYPDALDKENQDSFCIHSPFGTNPDDHFFGVFDGHGEFGAQCSQFVKRKLCENLLRNSKFQVDAVEACHAAFLATNSQMHADILDDSMSGTTAITVLVRGRTICIANSGDSRAVIAERRGNDIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTQNHPFFILASDGVFEFLSSQAVVDMVAKFKDPRDACAAIVAESYKLWLQYETRTDDITVIVVHVNGLTDTSVGQSVIPAVALRPPIPQVVEVTGSESPSTIGWNSRNQRTRHDLSRARLRVIESSLENGQIWVPPSPAHRKTWEEEAQIERALHDHFLFRKLTDSQCHVLLDCMERVEVQPGDVVVRQGGEGDCFYVVGSGEFEVLATQEEKNGEVPRVLQHYTADKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNLSYLKLLRSVDLLSRLTILQLSHIADSLSEVSFSEGQTIVSGNEGLVGLYIIQKGKVRITFDANSVSSPVVSSLNSENKKEDDNPQSSKELSVEKTEGSYFGEWVLLGEHIDLFSAVAMGDVVCAVLTKEKFDSVVGPLTKLSQDDQKSSDYPSEVSKESVKNIDISALTKVELSDLEWRTSLYCTDCSEIGLVRLRDSGNFLSLKRFSKQKVRRLGKEAQVLKEKDLIKSMSSSACVPQFLCTCVDQTHAGLLLNTCLACPLASILRTPLDEPSTQFCAASLVAALGDLHKSDVLYRGLSPDVLLLDQTGHLQLVDFRFGKKLSGQRTYTICGMADFLAPEVVQGKGHGFPADWWALGVLIYFMLQGEMPFGSWRESELDTFAKIAKGQLSIPQAFSPEVVDLITKLLDVDEDTRLGSQGYDSVKRHPWFDGIDWKGIRDCSFPVPHEITSRITQHLESHSEDCSSVPLASPSRNAEELDNPELFDDW >ONH98033 pep chromosome:Prunus_persica_NCBIv2:G7:19944559:19950554:-1 gene:PRUPE_7G224500 transcript:ONH98033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRACIGEICAPREARIKESQNVRNTEIPVFSPTSSNGEVAELRDQFNQSSLAGDAEVGITRLSRVSSQFLPPNGSRTVNIPSGNFELRYSYLSQRGYYPDALDKENQDSFCIHSPFGTNPDDHFFGVFDGHGEFGAQCSQFVKRKLCENLLRNSKFQVDAVEACHAAFLATNSQMHADILDDSMSGTTAITVLVRGRTICIANSGDSRAVIAERRGNDIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTQNHPFFILASDGVFEFLSSQAVVDMVAKFKDPRDACAAIVAESYKLWLQYETRTDDITVIVVHVNGLTDTSVGQSVIPAVALRPPIPQVVEVTGSESPSTIGWNSRNQRTRHDLSRARLRVIESSLENGQIWVPPSPAHRKTWEEEAQIERALHDHFLFRKLTDSQCHVLLDCMERVEVQPGDVVVRQGGEGDCFYVVGSGEFEVLATQEEKNGEVPRVLQHYTADKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNLSYLKLLRSVDLLSRLTILQLSHIADSLSEVSFSEGQTIVSGNEGLVGLYIIQKGKVRITFDANSVSSPVVSSLNSENKKEDDNPQSSKELSVEKTEGSYFGEWVLLGEHIDLFSAVAMGDVVCAVLTKEKFDSVVGPLTKLSQDDQKSSDYPSEVSKESVKNIDISALTKVELSDLEWRTSLYCTDCSEIGLVRLRDSGNFLSLKRFSKQKVRRLGKEAQVLKEKDLIKSMSSSACVPQFLCTCVDQTHAGLLLNTCLACPLASILRTPLDEPSTQFCAASLVAALGDLHKSDVLYRGLSPDVLLLDQTGHLQLVDFRFGKKLSGQRTYTICGMADFLAPEVVQGKGHGFPADWWALGVLIYFMLQGEMPFGSWRESELDTFAKIAKGQLSIPQAFSPEVVDLITKLLDVDEDTRLGSQGYDSVKRHPWFDGIDWKGIRDCSFPVPHEITSRITQHLESHSEDCSSVPLASPSRNAEELDNPELFDDW >ONH98036 pep chromosome:Prunus_persica_NCBIv2:G7:19943940:19951328:-1 gene:PRUPE_7G224500 transcript:ONH98036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRACIGEICAPREARIKESQNVRNTEIPVFSPTSSNGEVAELRDQFNQSSLAGDAEVGITRLSRVSSQFLPPNGSRTVNIPSGNFELRYSYLSQRGYYPDALDKENQDSFCIHSPFGTNPDDHFFGVFDGHGEFGAQCSQFVKRKLCENLLRNSKFQVDAVEACHAAFLATNSQMHADILDDSMSGTTAITVLVRGRTICIANSGDSRAVIAERRGNDIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTQNHPFFILASDGVFEFLSSQAVVDMVAKFKDPRDACAAIVAESYKLWLQYETRTDDITVIVVHVNGLTDTSVGQSVIPAVALRPPIPQVVEVTGSESPSTIGWNSRNQRTRHDLSRARLRVIESSLENGQIWVPPSPAHRKTWEEEAQIERALHDHFLFRKLTDSQCHVLLDCMERVEVQPGDVVVRQGGEGDCFYVVGSGEFEVLATQEEKNGEVPRVLQHYTADKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNLSYLKLLRSVDLLSRLTILQLSHIADSLSEVSFSEGQTIVSGNEGLVGLYIIQKGKVRITFDANSVSSPVVSSLNSENKKEDDNPQSSKELSVEKTEGSYFGEWVLLGEHIDLFSAVAMGDVVCAVLTKEKFDSVVGPLTKLSQDDQKSSDYPSEVSKESVKNIDISALTKVELSDLEWRTSLYCTDCSEIGLVRLRDSGNFLSLKRFSKQKVRRLGKEAQVLKEKDLIKSMSSSACVPQFLCTCVDQTHAGLLLNTCLACPLASILRTPLDEPSTQFCAASLVAALGDLHKSDVLYRGLSPDVLLLDQTGHLQLVDFRFGKKLSGQRTYTICGMADFLAPEVVQGKGHGFPADWVKCHSGHGEKVSLIHLQRLQRDSLVSRKLLVLKLLISSLSYLTLMKTQDWEAKVMILSKGIPGLMVLIGKGSETVVFLFLTRSPLV >ONH98034 pep chromosome:Prunus_persica_NCBIv2:G7:19943940:19951365:-1 gene:PRUPE_7G224500 transcript:ONH98034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRACIGEICAPREARIKESQNVRNTEIPVFSPTSSNGEVAELRDQFNQSSLAGDAEVGITRLSRVSSQFLPPNGSRTVNIPSGNFELRYSYLSQRGYYPDALDKENQDSFCIHSPFGTNPDDHFFGVFDGHGEFGAQCSQFVKRKLCENLLRNSKFQVDAVEACHAAFLATNSQMHADILDDSMSGTTAITVLVRGRTICIANSGDSRAVIAERRGNDIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTQNHPFFILASDGVFEFLSSQAVVDMVAKFKDPRDACAAIVAESYKLWLQYETRTDDITVIVVHVNGLTDTSVGQSVIPAVALRPPIPQVVEVTGSESPSTIGWNSRNQRTRHDLSRARLRVIESSLENGQIWVPPSPAHRKTWEEEAQIERALHDHFLFRKLTDSQCHVLLDCMERVEVQPGDVVVRQGGEGDCFYVVGSGEFEVLATQEEKNGEVPRVLQHYTADKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNLSYLKLLRSVDLLSRLTILQLSHIADSLSEVSFSEGQTIVSGNEGLVGLYIIQKGKVRITFDANSVSSPVVSSLNSENKKEDDNPQSSKELSVEKTEGSYFGEWVLLGEHIDLFSAVAMGDVVCAVLTKEKFDSVVGPLTKLSQDDQKSSDYPSEVSKESVKNIDISALTKVELSDLEWRTSLYCTDCSEIGLVRLRDSGNFLSLKRFSKQKVRRLGKEAQVLKEKDLIKSMSSSACVPQFLCTCVDQTHAGLLLNTCLACPLASILRTPLDEPSTQFCAASLVAALGDLHKSDVLYRGLSPDVLLLDQTGHLQLVDFRFGKKLSGQRTYTICGMADFLAPEVVQGKGHGFPADWWALGVLIYFMLQGEMPFGSWRESELDTFAKIAKGQLSIPQAFSPEVVDLITKLLDVDEDTRLGSQGYDSVKRHPWFDGIDWKGIRDCSFPVPHEITSRITQHLESHSEDCSSVPLASPSRNAEELDNPELFDDW >ONH96230 pep chromosome:Prunus_persica_NCBIv2:G7:14011557:14013651:1 gene:PRUPE_7G114700 transcript:ONH96230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAAYLLAVLGGNTTPSAEDLKDILGSVGAETDDDRIQLLLSEVKGKDITELIASGREKLASVPSGGGAVAVAAPGAGAGAAAPAAAEPKKEEKVEEKEDTDDDMGFSLFD >ONH97583 pep chromosome:Prunus_persica_NCBIv2:G7:18658995:18663310:1 gene:PRUPE_7G198700 transcript:ONH97583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERERHASLPSTSPEDNALFLDIMHEAPLFGHRKSRSLFGSVFYLIILVGYASLAVAAPWIFHPIRDLIPQLLCSCNVLLLIVTGIFQQYLVYQVQKIRLQGYYSFSQKLKHIVRLPFAATSYGTAAMLLVMVWRPHISFLTLPAILRTVMVIEAICAGSFMSIYIGYVHQYNSLNSQPDVLKSLYSPLQPSSSLEGLRYHDAGRLSDQQMALLQYQRENLHFLSEEILRLQECLSNYERTNDGSTPQVDLAHLLAARDQELRTVSAEMNQLQSELRLARSLIAERDSEIQKVRTTNNQYVEENERLRAILGEWSTRAAKLERALEAERMSNLELQKKIPTLRSQSQSSSEPTK >ONH97584 pep chromosome:Prunus_persica_NCBIv2:G7:18658995:18663310:1 gene:PRUPE_7G198700 transcript:ONH97584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERERHASLPSTSPEDNALFLDIMHEAPLFGHRKSRSLFGSVFYLIILVGYASLAVAAPWIFHPIRDLIPQLLCSCNVLLLIVTGIFQQYLVYQVQKIRLQGYYSFSQKLKHIVRLPFAATSYGTAAMLLVMVWRPHISFLTLPAILRTVMVIEAICAGSFMSIYIGYVHQYNSLNSQPDVLKSLYSPLQPSSSLEGLRYHDAGRLSDQQMALLQYQRENLHFLSEEVDLAHLLAARDQELRTVSAEMNQLQSELRLARSLIAERDSEIQKVRTTNNQYVEENERLRAILGEWSTRAAKLERALEAERMSNLELQKKIPTLRSQSQSSSEPTK >ONH98908 pep chromosome:Prunus_persica_NCBIv2:G7:22250091:22253424:-1 gene:PRUPE_7G271600 transcript:ONH98908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSCSSVCKCGSSCLNKPFQHRPVKKMKLVQTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDVKKGEHLTYDYQFVQFGADQDCHCGARGCRRKLGVRPTKPKMSSVAALKLVACQVAVSSPKLKAILSAKDVYQNGGLHIGNSQPFHNQRGTRSCNCIGEVIRISRPTNQRSFGIIKRFDRYSKKHSIMFEDGGIEFLDMSKEEWELVTL >ONH98905 pep chromosome:Prunus_persica_NCBIv2:G7:22248900:22255800:-1 gene:PRUPE_7G271600 transcript:ONH98905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMNKNSERSHIGNVFNKLLKQIGNPVDFELPDWFNKWKPMPYTFIKRNIYLTKKIKRRLEDDGIFCSCSPSQGSSSVCGRDCHCGMLLSSCSSVCKCGSSCLNKPFQHRPVKKMKLVQTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDVKKGEHLTYDYQFVQFGADQDCHCGARGCRRKLGVRPTKPKMSSVAALKLVACQVAVSSPKLKAILSAKDVYQNGGLHIGNSQPFHNQRGTRSCNCIGEVIRISRPTNQRSFGIIKRFDRYSKKHSIMFEDGGIEFLDMSKEEWELVTL >ONH98907 pep chromosome:Prunus_persica_NCBIv2:G7:22250091:22255329:-1 gene:PRUPE_7G271600 transcript:ONH98907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMNKNSERSHIGNVFNKLLKQIGNPVDFELPDWFNKWKPMPYTFIKRNIYLTKKIKRRLEDDGIFCSCSPSQGSSSVCGRDCHCGMLLSSCSSVCKCGSSCLNKPFQHRPVKKMKLVQTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDVKKGEHLTYDYQFVQFGADQDCHCGARGCRRKLGVRPTKPKMSSVAALKLVACQVAVSSPKLKAILSAKDVYQNGGLHIGNSQPFHNQRGTRSCNCIGEVIRISRPTNQRSFGIIKRFDRYSKKHSIMFEDGGIEFLDMSKEEWELVTL >ONH98903 pep chromosome:Prunus_persica_NCBIv2:G7:22250091:22255329:-1 gene:PRUPE_7G271600 transcript:ONH98903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMNKNSERSHIGNVFNKLLKQIGNPVDFELPDWFNKWKPMPYTFIKRNIYLTKKIKRRLEDDGIFCSCSPSQGSSSVCGRDCHCGMLLSSCSSVCKCGSSCLNKPFQHRPVKKMKLVQTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDVKKGEHLTYDYQFVQFGADQDCHCGARGCRRKLGVRPTKPKMSSVAALKLVACQVYQNGGLHIGNSQPFHNQRGTRSCNCIGEVIRISRPTNQRSFGIIKRFDRYSKKHSIMFEDGGIEFLDMSKEEWELVTL >ONH98904 pep chromosome:Prunus_persica_NCBIv2:G7:22247879:22255800:-1 gene:PRUPE_7G271600 transcript:ONH98904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMNKNSERSHIGNVFNKLLKQIGNPVDFELPDWFNKWKPMPYTFIKRNIYLTKKIKRRLEDDGIFCSCSPSQGSSSVCGRDCHCGMLLSSCSSVCKCGSSCLNKPFQHRPVKKMKLVQTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDVKKGEHLTYDYQFVQFGADQDCHCGARGCRRKLGVRPTKPKMSSVAALKLVACQVYQNGGLHIGNSQPFHNQRGTRSCNCIGEVIRISRPTNQRSFGIIKRFDRYSKKHSIMFEDGGIEFLDMSKEEWELVTL >ONH98909 pep chromosome:Prunus_persica_NCBIv2:G7:22247879:22253840:-1 gene:PRUPE_7G271600 transcript:ONH98909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSCSSVCKCGSSCLNKPFQHRPVKKMKLVQTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDVKKGEHLTYDYQFVQFGADQDCHCGARGCRRKLGVRPTKPKMSSVAALKLVACQVAVSSPKLKAILSAKDVYQNGGLHIGNSQPFHNQRGTRSCNCIGEVIRISRPTNQRSFGIIKRFDRYSKKHSIMFEDGGIEFLDMSKEEWELVTL >ONH98906 pep chromosome:Prunus_persica_NCBIv2:G7:22247879:22255800:-1 gene:PRUPE_7G271600 transcript:ONH98906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMNKNSERSHIGNVFNKLLKQIGNPVDFELPDWFNKWKPMPYTFIKRNIYLTKKIKRRLEDDGIFCSCSPSQGSSSVCGRDCHCGMLLSSCSSVCKCGSSCLNKPFQHRPVKKMKLVQTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWIIDGETRIGIFATRDVKKGEHLTYDYQFVQFGADQDCHCGARGCRRKLGVRPTKPKMSSVAALKLVACQVAVSSPKLKAILSAKDVYQNGGLHIGNSQPFHNQRGTRSCNCIGEVIRISRPTNQRSFGIIKRFDRYSKKHSIMFEDGGIEFLDMSKEEWELVTL >ONH94339 pep chromosome:Prunus_persica_NCBIv2:G7:1562553:1569137:-1 gene:PRUPE_7G011600 transcript:ONH94339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTTCTLNTLDFNFTVKSRQIPNFRLPKKPKSSCFLCRRSIQSERVWFPRRSFRRLRCYSSSDNGNHEGETSSSGSSNKSTTDSAPTTTTTTASPPEVAEDTRTNDYDSDPPSVSSRPPTISPLGPAYNNFQVDSFKLMELLGPEKVDPADVKLIKEKLFGYSTFWVTKEEPFGDLGEGILFLGNLRGKREEVFAKFQSLLAEVTGNKYNLFMVEEPNAEDLDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIAFLLFLLTIGSSVELGIASQINRLPPEVVKYFTDPNAIDPPDMELLFPFVDSALPLAYGVLGVLLFHEVGHFLAAFPKKVKLSIPFFIPNITLGSFGAITQFKSVLPDRSTKVDISLAGPFAGAALSLSMFAAGLLLSSNPNTTGDLVQVPSMLFQGSLLLGLISRATLGYASMHAATVPIHPLMIAGWCGLTTTAFNMLPVGCLDGGRAVQGAFGKNALVAFGLTTYTLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVTEVGTWRKTIVTVAVFLVVLTLLPVWDELAEELGIGLVTTF >ONH94338 pep chromosome:Prunus_persica_NCBIv2:G7:1562071:1569137:-1 gene:PRUPE_7G011600 transcript:ONH94338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTTCTLNTLDFNFTVKSRQIPNFRLPKKPKSSCFLCRRSIQSERVWFPRRSFRRLRCYSSSDNGNHEGETSSSGSSNKSTTDSAPTTTTTTASPPEVAEDTRTNDYDSDPPSVSSRPPTISPLGPAYNNFQVDSFKLMELLGPEKVDPADVKLIKEKLFGYSTFWVTKEEPFGDLGEGILFLGNLRGKREEVFAKFQSLLAEVTGNKYNLFMVEEPNAEDLDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIAFLLFLLTIGSSVELGIASQINRLPPEVVKYFTDPNAIDPPDMELLFPFVDSALPLAYGVLGVLLFHEVGHFLAAFPKKVKLSIPFFIPNITLGSFGAITQFKSVLPDRSTKVDISLAGPFAGAALSLSMFAAGLLLSSNPNTTGDLVQVPSMLFQGSLLLGLISRATLGYASMHAATVPIHPLMIAGWCGLTTTAFNMLPVGCLDGGRAVQGAFGKNALVAFGLTTYTLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVTEVGTWRKTIVTVAVFLVVLTLLPVWDELAEELVSIHER >ONH97298 pep chromosome:Prunus_persica_NCBIv2:G7:17755244:17757933:1 gene:PRUPE_7G182300 transcript:ONH97298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDVFNAAKEGKIDVLKGRHDLDQTLTSTKNTVLHIYIASASSPNFVEPEEKGLRPPSVVDKILQKCPALLSQKNESGDTALHIAARHGRVDIVELLIDIAKAGRREDLENGPSSSAVEAWQWQMLIRETNKEKDTALHFAVRFNHFRVVKILTEEDPDFSYSANDAGETPLYLAAERRYKALFYEILSTCTDPNYQGPNERTALHAAVIYGDEEMTLEILEKKKDLAIAADEQGWTPLHYAALSGCTSIVKQLLQADRSSAYIVDKADKKTALHIAASRGHIGVVKDLISHCPDCCELVDQRRRNALHYALEKHQSRITTFVLKDSWLSNILLNAKDVDGNTPLHLLDVSLFGTRFIGDVRVDKMAFNKENMNALDVIIADDSRNEIYLEQALKKNGAESGHRILSKSYGGHRKLKENKGREYTDKTNNVREAHLVVAALIATVTFAAGFTIPGGYQSEKGPEQGFAVLSKNAAFKAFVITNTLALCISSFSVLMHLYVSMHTKRKGISTAFDEVLYITMSALILMVVAFISGTYAVLCHSPGLAITACAIGCFFFLILTHAILLEISPPYQRLGQFIGEASLWMTRMWITFLSLWHFSFTDNFCHKTFDSLRLRVNNWRGRLS >ONH96309 pep chromosome:Prunus_persica_NCBIv2:G7:14374657:14378279:-1 gene:PRUPE_7G120100 transcript:ONH96309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLVPIKLTSDIYSYRQWRTFSLSYFHHHHISGIINGTELRPGRVQSALTNWYGREKQAQEWLKATLSESLQQIVMPTGVDSSRQVWLNLEEHFTNLAHARTYQLKSDIHNVKKDPDMRMTAYLETIKQLAGDLAAAGAPVDDLDLLNIHILAGLPEEYNPIRERMKVSAVSSWDELDDLLVKEEIHLDDTINHDQGGQEEDNAIGIDLGTTYSRVAVWQKDHVEVIMNDHGNRKTSSFVAFTETDETILVGDAAFNQVQRNTTNSIFDTKRLIGRRFSDTSIQSDVKHWPFKVVEGTADRPMIVVTHNGQEKQFTAEEISAMVLEKMRKIAETYLGSTVKNAVITVPAYFNDSQRQATKEAGLSAGLNVMRIMNEPSAAAIAYGLSEKSSWNSTRNVMIFDLGGGNLDVPLLTMTSSGDFKVLATAGDTHLGGEDFENRMVKYCVEEFKREKTLDVSEDFRALRRLKNQCKMAKESLSFESEFDIEIDCLRSGIDFIITFTR >ONH96738 pep chromosome:Prunus_persica_NCBIv2:G7:16088417:16092881:-1 gene:PRUPE_7G148700 transcript:ONH96738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTRADQRAKSLRQNPSSTVSTHLCKTLTTTELREQRPTMPSLSVFVTLISLLPLLCHSFSPEAPINRRLLVLLDDLSLQSSHSIFFHSLISRGFDLHFKLADDPTLSLQRYNQYLYDGLVLFSPTAHQFGGALDFQAVLDFVDSGHDLILAAGASASDLVRSIARQCGVDFDEDPSTVVIDHTNYAVLGTQGDHTLIASDDFIQSDVILGKNKIEAPVLFKGIAHSLSASNSLVLKVLSASPSAYSANPNAKLSYPPSLTGSAISLVSVLQARNNARILISGSVDMFSNQLFKSAVQKAGSSKKYEKSGNEQFVTELSKWIFHERGHLKAVNVRHHKVGETDEPAIYRINDDLDYSIEIYEWSGKSWEPYVAGDVQVQFFMMSPYVLKTLATDQKGLYHTSFKVPDVYGVFQFKVEYNRLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFTTMAGFFIFSIVFMYYK >ONH96739 pep chromosome:Prunus_persica_NCBIv2:G7:16088640:16092881:-1 gene:PRUPE_7G148700 transcript:ONH96739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTRADQRAKSLRQNPSSTVSTHLCKTLTTTELREQRPTMPSLSVFVTLISLLPLLCHSFSPEAPINRRLLVLLDDLSLQSSHSIFFHSLISRGFDLHFKLADDPTLSLQRYNQYLYDGLVLFSPTAHQFGGALDFQAVLDFVDSGHDLILAAGASASDLVRSIARQCGVDFDEDPSTVVIDHTNYAVLGTQGDHTLIASDDFIQSDVILGKNKIEAPVLFKGIAHSLSASNSLVLKVLSASPSAYSANPNAKLSYPPSLTGSAISLVSVLQARNNARILISGSVDMFSNQLFKSAVQKAGSSKKYEKSGNEQFVTELSKWIFHERGHLKAVNVRHHKVGETDEPAIYRINDDLDYSIEIYEWSGKSWEPYVAGDVQVQFFMMSPYVLKTLATDQKGLYHTSFKVPDVYGVFQFKVEYNRLGYTSLSLSKQVSFWFRYLSLTKQFDCCYGFINFMICFSTTNLIILYESSSFYY >ONH96736 pep chromosome:Prunus_persica_NCBIv2:G7:16088990:16092881:-1 gene:PRUPE_7G148700 transcript:ONH96736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTRADQRAKSLRQNPSSTVSTHLCKTLTTTELREQRPTMPSLSVFVTLISLLPLLCHSFSPEAPINRRLLVLLDDLSLQSSHSIFFHSLISRGFDLHFKLADDPTLSLQRYNQYLYDGLVLFSPTAHQFGGALDFQAVLDFVDSGHDLILAAGASASDLVRSIARQCGVDFDEDPSTVVIDHTNYAVLGTQGDHTLIASDDFIQSDVILGKNKIEAPVLFKGIAHSLSASNSLARNNARILISGSVDMFSNQLFKSAVQKAGSSKKYEKSGNEQFVTELSKWIFHERGHLKAVNVRHHKVGETDEPAIYRINDDLDYSIEIYEWSGKSWEPYVAGDVQVQFFMMSPYVLKTLATDQKGLYHTSFKVPDVYGVFQFKVEYNRLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFTTMAGFFIFSIVFMYYK >ONH96737 pep chromosome:Prunus_persica_NCBIv2:G7:16089286:16092847:-1 gene:PRUPE_7G148700 transcript:ONH96737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTRADQRAKSLRQNPSSTVSTHLCKTLTTTELREQRPTMPSLSVFVTLISLLPLLCHSFSPEAPINRRLLVLLDDLSLQSSHSIFFHSLISRGFDLHFKLADDPTLSLQRYNQYLYDGLVLFSPTAHQFGGALDFQAVLDFVDSGHDLILAAGASASDLVRSIARQCGVDFDEDPSTVVIDHTNYAVLGTQGDHTLIASDDFIQSDVILGKNKIEAPVLFKGIAHSLSASNSLVLKVLSASPSAYSANPNAKLSYPPSLTGSAISLVSVLQARNNARILISGSVDMFSNQLFKSAVQKAGSSKKYEKSGNEQFVTELSKWIFHERGHLKAVNVRHHKVGETDEPAIYRINDDLDYSIEIYEWSGKSWEPYVAGDVQVQFFMMSPYVLKTLATDQKGLYHTSFKVPDVYGVFQFKVEYNRLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFTTMAGFFIFSIVFMYYK >ONH96735 pep chromosome:Prunus_persica_NCBIv2:G7:16088347:16092914:-1 gene:PRUPE_7G148700 transcript:ONH96735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTRADQRAKSLRQNPSSTVSTHLCKTLTTTELREQRPTMPSLSVFVTLISLLPLLCHSFSPEAPINRRLLVLLDDLSLQSSHSIFFHSLISRGFDLHFKLADDPTLSLQRYNQYLYDGLVLFSPTAHQFGGALDFQAVLDFVDSGHDLILAAGASASDLVRSIARQCGVDFDEDPSTVVIDHTNYAVLGTQGDHTLIASDDFIQSDVILGKNKIEAPVLFKGIAHSLSASNSLVLKVLSASPSAYSANPNAKLSYPPSLTGSAISLVSVLQARNNARILISGSVDMFSNQLFKSAVQKAGSSKKYEKSGNEQFVTELSKWIFHERGHLKAVNVRHHKVGETDEPAIYRINDDLDYSIEIYEWSGKSWEPYVAGDVQVQFFMMSPYVLKTLATDQKGLYHTSFKVPDVYGVFQFKVEYNRLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFTTMAGFFIFSIVFMYYKKEMGK >ONH94369 pep chromosome:Prunus_persica_NCBIv2:G7:1723916:1728432:-1 gene:PRUPE_7G012800 transcript:ONH94369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNKGRNKGRPFTRQKDRKDQNMVTDNQEIATEIQKMDMRKISKQIELLGSSHMTWKQRKELENRKVISLGGKPPKKQRLPLSIARVVMKNQKEREKKMLEQNMVLGRFGGNFSGGSKRSMEKQRPENRVLKASEGRFRNGVLDVKHLLHATPSRDRSSGGHVFRENKKGNGKQNKGKKKISMGP >ONH98857 pep chromosome:Prunus_persica_NCBIv2:G7:22133289:22134974:1 gene:PRUPE_7G269400 transcript:ONH98857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRNICNCNNYCVVVVVLFMGVLSSNAAAAASNSFNVMKFGAKPDGKTDSTQAFVKTWASACSSASGQANAVMYVPKGRFLIKAVVFRGPCKTRRVTVRIDGTLVAPTDYWALGNSGYWILFIKVTGVTVVGGSLDAKGAAFWACRRSGKTCPVGARSITFNWANNIVITGLTSINSQQTHLVINSCNNVVVRNVKLIAPSESPNTDGIHVQSSAGVTITGSILQTGDDCISIGPGTTNLHISNIKCGPGHGVSIGSLGKELKEDGVQNVTLTNAVFSGSDNGVRIKSWARPSTGFVRNILFQNIIMRNVENPIIIDQNYCPNNQACPNQSSGVKISQVTYRNIQGTSATAEAVTFDCSPSHPCTGIRLQNIKLTYMNRDATSSCKNIDGTSNGLLVPESCL >ONH96611 pep chromosome:Prunus_persica_NCBIv2:G7:15633533:15637127:1 gene:PRUPE_7G140800 transcript:ONH96611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCEVCQLKELEVEHFEIREVLRCILHTIVFHRALGLVRPKDVDLELFEITYVQCGDMELEKKIDEKIEQFISWVEKHPNKKSQICLSFFEVKNKQAKWFTPKTERLQWEQWYINLNVAQHPKAHSGKSHNSKVVIDPGESALEERMARRAVLEASLHEVLFQIIKFVNEKKDHVPPIPNLEGVVWFPHEITIPSSSDSAFGMDMIKRMLQTGHPTMLS >ONH96612 pep chromosome:Prunus_persica_NCBIv2:G7:15633533:15637127:1 gene:PRUPE_7G140800 transcript:ONH96612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEKKIDEKIEQFISWVEKHPNKKSQICLSFFEVKNKQAKWFTPKTERLQWEQWYINLNVAQHPKAHSGKSHNSKVVIDPGESALEERMARRAVLEASLHEVLFQIIKFVNEKKDHVPPIPNLEGVVWFPHEITIPSSSDSAFGMDMIKRMLQTGHPTMLS >ONH96796 pep chromosome:Prunus_persica_NCBIv2:G7:16302303:16304702:1 gene:PRUPE_7G152800 transcript:ONH96796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGRRANQVLVNKNSKIYMDLKDIVRDHALPYLPAKSLFRFTGVCRDWKLDILTPFFAHKQSNCFSDISGFFYQSRADPSSFISLDRNAYGVPDPCLKFLPEPVDIRTSSNGLLCCQGRDGYKAYYICNPVTKKWKQLPKANADHGSDPAIVLIFEPSLLNFVAEYKLVCAFPSVDFDNGYEFEIYSSKEGSWRVSGEIYFGNKTLVPRSGVHVNDIVYWQAMGSQILVFDLKMERTQLLYGDFYGTGGCAALGLMDGKLCLTKAQSSGLTVNVLSNAYTNTMEMNSKARTWKTKHQITLSPSPFAPAADRLSSNIMFASGNVVLFRSGGKIYSYNLKTKETQCVGDEFDYDARIVSHVNSLVEI >ONH98048 pep chromosome:Prunus_persica_NCBIv2:G7:19996083:19999225:-1 gene:PRUPE_7G225500 transcript:ONH98048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKQLEGLLGGLQQFSNPKAELEQYPTGPHIASRMLYTAENSFGDVTDKVVADFGCGCGTLGVAAALLDAERVIGIDIDSQSLELASLNAEELELDMDYIQCDIKNLGLRAEVVDTVVMNPPFGTRKKGADMDFLSVALKIASQAVYSLHKTSTRDHVKRAALQHFNASSAEVICEIVHAKTNCIKRRCWGSFWRV >ONH98050 pep chromosome:Prunus_persica_NCBIv2:G7:19996332:19999225:-1 gene:PRUPE_7G225500 transcript:ONH98050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKQLEGLLGGLQQFSNPKAELEQYPTGPHIASRMLYTAENSFGDVTDKVVADFGCGCGTLGVAAALLDAERVIGIDIDSQSLELASLNAEELELDMDYIQCDIKNLGLRAEVVDTVVMNPPFGTRKKGADMDFLSVALKIASQAVYSLHKTSTRDHVKRAALQHFNASSAEVICELRYDVPQLYKFHKKREVDIAVDLWRFVPKSI >ONH98049 pep chromosome:Prunus_persica_NCBIv2:G7:19996315:19999225:-1 gene:PRUPE_7G225500 transcript:ONH98049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKQLEGLLGGLQQFSNPKAELEQYPTGPHIASRMLYTAENSFGDVTDKVVADFGCGCGTLGVAAALLDAERVIGIDIDSQSLELASLNAEELEAYAFKFYLHLMVLIPNSAEVVDTVVMNPPFGTRKKGADMDFLSVALKIASQAVYSLHKTSTRDHVKRAALQHFNASSAEVICELRYDVPQLYKFHKKREVDIAVDLWRFVPKSI >ONH96507 pep chromosome:Prunus_persica_NCBIv2:G7:15195152:15195331:1 gene:PRUPE_7G133500 transcript:ONH96507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRGKARTNTVLSRFFLSGFYCWGWEFLTALLLFSCPNPSSSS >ONH95069 pep chromosome:Prunus_persica_NCBIv2:G7:8686390:8691843:1 gene:PRUPE_7G049900 transcript:ONH95069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLYSSSRPTSASSSSSSSSSSSSASSSSFQTFASRLLLLLTVLPLTLAGFAFVLQWRGGLNDPITRWAPDHHEFPGMVDLGGPPVQASHSSGSDCVDLLGRSHSPSFPYYKDWKFDYMSDLRPKICIQTSTSAGLEQTLPWIFYHKVIGVSTFFLFVEGKAASPNVSKVFESIPGVKVIYRTRELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMAREAGMDWIIHLDTDELIYPAGTQEYSLRQLLSDVPRNVDMVVFPNYESSVEQDDIKDPFSEVSMFKKNYDHLPKDVYFGNYKEATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLDEAAVLHYTYPKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAAFIIASTATEEEMLSWYRERIVWTDKALNLKLLRKGILTRIFAPMVIIQGLRESGVFSSVIASAAQTTLSKDQFLSSVESSNSSRESTSGAITSRKMGKIIDSQATARRVLGFTDDASHPSAIPPLSPPGLDNFPIETQLFSESQ >ONH95070 pep chromosome:Prunus_persica_NCBIv2:G7:8686205:8692074:1 gene:PRUPE_7G049900 transcript:ONH95070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLYSSSRPTSASSSSSSSSSSSSASSSSFQTFASRLLLLLTVLPLTLAGFAFVLQWRGGLNDPITRWAPDHHEFPGMVDLGGPPVQASHSSGSDCVDLLGRSHSPSFPYYKDWKFDYMSDLRPKICIQTSTSAGLEQTLPWIFYHKVIGVSTFFLFVEGKAASPNVSKVFESIPGVKVIYRTRELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMAREAGMDWIIHLDTDELIYPAGTQEYSLRQLLSDVPRNVDMVVFPNYESSVEQDDIKDPFSEVSMFKKNYDHLPKDVYFGNYKEATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLDEAAVLHYTYPKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAAFIIASTATEEEMLSWYRERIVWTDKALNLKLLRKGILTRIFAPMVIIQGLRESGVFSSVIASAAQTTLSKDQFLSSVESSNSSRESTSGAITSRKMGKIIDSQATARRVLGFTDDASHPSAIPPLSPPGLDNFPIETQLFSESQ >ONH94525 pep chromosome:Prunus_persica_NCBIv2:G7:3110246:3118597:-1 gene:PRUPE_7G020900 transcript:ONH94525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFSETGSGSSEPEDLSKNYKRTKGSRRYVPQKNSVAFALLITLYRGIGNGNEFMRKQELIDAAEASGLSRVPIMPEKGKGKAGRFGSSARESYSGWSCMKTLVTKGLVIKSSCPAKYMLSQEGQEAARECLMRSGMENPIEKTANAKVLSVLDVDNISSQELAHPDSSPEMILLSTNVSRKKKSFDIPRLYIKKSHHVPKSRSDGFKASSNVFSLPPLSFGERFEDAYEVILILDDREQFATRGSRPGRIIENVRTQFKIKIEVRRLPVGDGIWIARHKHLESEYVLDFIVERKKVDDLRCSIRDNRYRDQKLRLMRCGLKKLIYLLEGDPNCSEAAESIKTAEPLVWLIH >ONH94523 pep chromosome:Prunus_persica_NCBIv2:G7:3110245:3118598:-1 gene:PRUPE_7G020900 transcript:ONH94523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFSETGSGSSEPEDLSKNYKRTKGSRRYVPQKNSVAFALLITLYRGIGNGNEFMRKQELIDAAEASGLSRVPIMPEKGKGKAGRFGSSARESYSGWSCMKTLVTKGLVIKSSCPAKYMLSQEGQEAARECLMRSGMENPIEKTANAKVLSVLDVDNISSQELAHPDSSPEMILLSTNVSRKKKSFDIPRLYIKKVRRLPVGDGIWIARHKHLESEYVLDFIVERKKVDDLRCSIRDNRYRDQKLRLMRCGLKKLIYLLEGDPNCSEAAESIKTACFTTEILEGFDVQRTIGLADTLKKYVYLTQAITQYYKSEYSEEQHRHAGICPSFDEFIKRCEELDKMTVSDVFAIQLMQVPQVTEEVALAVLDLYPTLLSLARAYSLLEGDVAAQGEMLRTQSNNVVNAGASKNIFHLVWGN >ONH94524 pep chromosome:Prunus_persica_NCBIv2:G7:3110726:3118349:-1 gene:PRUPE_7G020900 transcript:ONH94524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFSETGSGSSEPEDLSKNYKRTKGSRRYVPQKNSVAFALLITLYRGIGNGNEFMRKQELIDAAEASGLSRVPIMPEKGKGKAGRFGSSARESYSGWSCMKTLVTKGLVIKSSCPAKYMLSQEGQEAARECLMRSGMENPIEKTANAKVLSVLDVDNISSQELAHPDSSPEMILLSTNVSRKKKSFDIPRLYIKKSHHVPKSRSDGFKASSNVFSLPPLSFGERFEDAYEVILILDDREQFATRGSRPGRIIENVRTQFKIKIEVRRLPVGDGIWIARHKHLESEYVLDFIVERKKVDDLRCSIRDNRYRDQKLRLMRCGLKKLIYLLEGDPNCSEAAESIKTACFTTEILEGFDVQRTIGLADTLKKYVYLTQAITQYYKSEYSEEQHRHAGICPSFDEFIKRCEELDKMTVSDVFAIQLMQVPQVTEEVALAVLDLYPTLLSLARAYSLLEGDVAAQGEMLRTQSNNVVNAGASKNIFHLVWGN >ONH95744 pep chromosome:Prunus_persica_NCBIv2:G7:12227104:12235425:1 gene:PRUPE_7G088800 transcript:ONH95744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSWFSRLRCSSKCFFNFLALRSSVSRKLVRTQTTTNSESTKNPLLFWSSSLLPIALAVSAGSLALHPQSDPSLCEASSINSRAEFVVKGSHKEVPRELIDELKAICQDNMTLDYEERYNHGKPQNSFHKAVNIPDVVVFPRSEEQVSKIVNSCDKHKVPIVPYGGATSIEGHTLSPNGGVCIDMSLMNHVKALHVEDMDVVVEPGVGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDVVKTASRARKSAAGYDLTRLVIGSEGTLGVITEVTLRLQKIPQYSVVAMCNFPTVKDAADVAIATMLSGIQVSRVELLDEVQVRAINIANGKSLPETPTLMFEFIGTEAYSLEQTLIVQKIASEHNGSDFIFAEDPETKKELWKMRKEALWACFAMEPNFEAMISDVCVPLSCLAELISRSKQELDASELICTVIAHAGDGNFHALILFDPYNEEHRREAQRLNHFMVHTALSMEVSGTRIGDGGFEDNEKNKSSIRSEQYYESRKAYSFSCLFLSAYSLYTHTCMCAPLYIEGVEVKTCLLVID >ONH95747 pep chromosome:Prunus_persica_NCBIv2:G7:12227373:12235093:1 gene:PRUPE_7G088800 transcript:ONH95747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSWFSRLRCSSKCFFNFLALRSSVSRKLVRTQTTTNSESTKNPLLFWSSSLLPIALAVSAGSLALHPQSDPSLCEASSINSRAEFVVKGSHKEVPRELIDELKAICQDNMTLDYEERYNHGKPQNSFHKAVNIPDVVVFPRSEEQVSKIVNSCDKHKVPIVPYGGATSIEGHTLSPNGGVCIDMSLMNHVKALHVEDMDVVVEPGVGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDVVKTASRARKSAAGYDLTRLVIGSEGTLGVITEVTLRLQKIPQYSVVAMCNFPTVKDAADVAIATMLSGIQVSRVELLDEVQVRAINIANGKSLPETPTLMFEFIGTEAYSLEQTLIVQKIASEHNGSDFIFAEDPETKKELWKMRKEALWACFAMEPNFEAMISDVCVPLSCLAELISRSKQELDASELICTVIAHAGDGNFHALILFDPYNEEHRREAQRLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEQELGMEALKTMKRIKAALDPNNTMNPGKLIPSHVCF >ONH95746 pep chromosome:Prunus_persica_NCBIv2:G7:12227159:12235425:1 gene:PRUPE_7G088800 transcript:ONH95746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSWFSRLRCSSKCFFNFLALRSSVSRKLVRTQTTTNSESTKNPLLFWSSSLLPIALAVSAGSLALHPQSDPSLCEASSINSRAEFVVKGSHKEVPRELIDELKAICQDNMTLDYEERYNHGKPQNSFHKAVNIPDVVVFPRSEEQVSKIVNSCDKHKVPIVPYGGATSIEGHTLSPNGGVCIDMSLMNHVKALHVEDMDVVVEPGVGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRKLIITSMRSACRYGTMRDNVISLKVVLANGDVVKTASRARKSAAGYDLTRLVIGSEGTLGVITEVTLRLQKIPQYSVVAMCNFPTVKDAADVAIATMLSGIQVSRVELLDEVQVRAINIANGKSLPETPTLMFEFIGTEAYSLEQTLIVQKIASEHNGSDFIFAEDPETKKELWKMRKEALWACFAMEPNFEAMISDVCVPLSCLAELISRSKQELDASELICTVIAHAGDGNFHALILFDPYNEEHRREAQRLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEQELGMEALKTMKRIKAALDPNNTMNPGKLIPSHVCF >ONH95743 pep chromosome:Prunus_persica_NCBIv2:G7:12227159:12235425:1 gene:PRUPE_7G088800 transcript:ONH95743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSWFSRLRCSSKCFFNFLALRSSVSRKLVRTQTTTNSESTKNPLLFWSSSLLPIALAVSAGSLALHPQSDPSLCEASSINSRAEFVVKGSHKEVPRELIDELKAICQDNMTLDYEERYNHGKPQNSFHKAVNIPDVVVFPRSEEQVSKIVNSCDKHKVPIVPYGGATSIEGHTLSPNGGVCIDMSLMNHVKALHVEDMDVVVEPGVGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDVVKTASRARKSAAGFVLFYILFFKIITKYVVYLLGDSWKLSHVVSFIKFACHRYDLTRLVIGSEGTLGVITEVTLRLQKIPQYSVVAMCNFPTVKDAADVAIATMLSGIQVSRVELLDEVQVRAINIANGKSLPETPTLMFEFIGTEAYSLEQTLIVQKIASEHNGSDFIFAEDPETKKELWKMRKEALWACFAMEPNFEAMISDVCVPLSCLAELISRSKQELDASELICTVIAHAGDGNFHALILFDPYNEEHRREAQRLNHFMVHTALSMEVSGTRIGDGGFEDNEKNKSSIRSEQYYESRKAYSFSCLFLSAYSLYTHTCMCAPLYIEGVEVKTCLLVID >ONH95745 pep chromosome:Prunus_persica_NCBIv2:G7:12227159:12235425:1 gene:PRUPE_7G088800 transcript:ONH95745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSWFSRLRCSSKCFFNFLALRSSVSRKLVRTQTTTNSESTKNPLLFWSSSLLPIALAVSAGSLALHPQSDPSLCEASSINSRAEFVVKGSHKEVPRELIDELKAICQDNMTLDYEERYNHGKPQNSFHKAVNIPDVVVFPRSEEQVSKIVNSCDKHKVPIVPYGGATSIEGHTLSPNGGVCIDMSLMNHVKALHVEDMDVVVEPGVGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDVVKTASRARKSAAGYDLTRLVIGSEGTLGVITEVTLRLQKIPQYSVVAMCNFPTVKDAADVAIATMLSGIQVSRVELLDEVQVRAINIANGKSLPETPTLMFEFIGTVQKIASEHNGSDFIFAEDPETKKELWKMRKEALWACFAMEPNFEAMISDVCVPLSCLAELISRSKQELDASELICTVIAHAGDGNFHALILFDPYNEEHRREAQRLNHFMVHTALSMEVSGTRIGDGGFEDNEKNKSSIRSEQYYESRKAYSFSCLFLSAYSLYTHTCMCAPLYIEGVEVKTCLLVID >ONH97395 pep chromosome:Prunus_persica_NCBIv2:G7:18092363:18096211:1 gene:PRUPE_7G187800 transcript:ONH97395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNSDTERAAAASASQSSSSPLLTSQDHQSAASPAAEVAEPPVFDSSSSVVTNSEDNPSPPSTELEIPIGWPPDGKLSLNWIRNLMSVFDWASRNIEPTQLPDVFPVEVFDSLVLCASKILHKEPNCVAIDNLGSESTVVVVGDLHGQLHDLVFLLHDAGFPSENRFFVFNGDYVDRGAWGLESFLILLAWKVFFPRNVYLLRGNHESKYCTSVYGFEKEVLTKYSDRGKHVYRKCLGCFEGLPLASIVGKHVYTAHGGIFRHIPATPKRSKGKKNRKIAFSPEPSSLSIGSFEELNKARRSVLDPPWEGSNLIPGDVLWSDPSMTPGLSPNKERGIGLLWGPDCTDNFLKKFQLKLIIRSHEGPDAREKRPGLGGMDEGYTIDHIVESGKLITLFSAPDYPQFQGTEERYRNKGAYIVLGPPNFDNPVFHSFEAITPRPKANAFYNFEEVIDSDEELDLASMVTSP >ONH98076 pep chromosome:Prunus_persica_NCBIv2:G7:20111127:20113734:-1 gene:PRUPE_7G227700 transcript:ONH98076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLFFCFSLLLLLPFSTQNAYPKTPDPNPIPSPSPAHTELTNYGFPIGLLPSAVKNYTLDRTSGDFIVDLGGACKVTLPPDNYLATYSKKITGKIVQGKIAEINGISVRAFFQWWSITGIRSSGDNLVFEVGVVTAKYPSKNFDESPACEGRHSSS >ONH98077 pep chromosome:Prunus_persica_NCBIv2:G7:20112975:20113451:-1 gene:PRUPE_7G227700 transcript:ONH98077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLFFCFSLLLLLPFSTQNAYPKTPDPNPIPSPSPAHTELTNYGFPIGLLPSAVKNYTLDRTSGDFIVDLGGACKVTLPPDNYLATYSKKITGKIVQGKIAEINGISVRAFFQWWSITGIRSSGDNLVFEVGVVTAKYPSKNFDESPACEGRHSSS >ONH96216 pep chromosome:Prunus_persica_NCBIv2:G7:13955465:13955788:1 gene:PRUPE_7G113900 transcript:ONH96216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVLCSSTALAEKILWYCALFLALILVLSCCEWSKSEFTAKVVQLQRDHLNKPCDEIYMVGEGETLQTIVEKCGDPYIVEENPHIHDPDDVIPGLLIKITPLIKLR >ONH94830 pep chromosome:Prunus_persica_NCBIv2:G7:6275755:6277507:1 gene:PRUPE_7G033600 transcript:ONH94830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQCSRPVTRVIIILLGRDNLFSRPIPHNIGQVMPNLTYLDISKNSLSGSIPLSFGNLSQLEFMFISNNHLSGEVPHFWNNIPLLFIVDMSDNNLSGTIPGSLGSLTLLMFLILSSNNFSGKVPSFKNCTYLNILDLGDNKEMPVGITSLIKLGTLNLSMNHLIGNIQVNIGNLRSIETLDLSMNKLSGSIPQSMVSLTFLNHLNLSYNNLSGKIPTGNQFQTFVDPSIYEGNAGLSCCPLPTGCQDKEEAPQFVISVVIGFCAGFWGVFGTLAMKRSWRHAYFHFLDKVKDVVLDFVSAICTYLRKRS >ONH98133 pep chromosome:Prunus_persica_NCBIv2:G7:20291470:20294215:-1 gene:PRUPE_7G231900 transcript:ONH98133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKNPELLPKVEHPELLPKVEPTLAKDVNKKKRKKKNPQKPILIYVDADVNPIIDAQKSIVINQALQKSAIKANPEPVPILTAVKSKKRKRKKSKTTVPVDAANQVDVAAQVGAAGQEDAAKKVKVDAELEPILTAVKSKKRKKKKSKTKKRKKKKSKTRAPVDAPEPILKAVKSNKSKTKAVKSKKSKTKAVKSKKSKTTAAKKIDAAKNVEGPKYVEICVICRKKGHLIPTCPELNEKIVPNPNHNTEQKKESGKKGSARPQPPQDVKMRKGQEDRLLVTGCALQVDPMADETYGGKEDESTVKLKEGQKDRLLVTGCALQADPMADETYDGKEDESTVKLKEGQEDRLLATGCMLQVDSMADETYGGKEDESTGESFTRKSCKIR >ONH98134 pep chromosome:Prunus_persica_NCBIv2:G7:20292633:20294215:-1 gene:PRUPE_7G231900 transcript:ONH98134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKNPELLPKVEHPELLPKVEPTLAKDVNKKKRKKKNPQKPILIYVDADVNPIIDAQKSIVINQALQKSAIKANPEPVPILTAVKSKKRKRKKSKTTVPVDAANQVDVAAQVGAAGQEDAAKKVKVDAELEPILTAVKSKKRKKKKSKTKKRKKKKSKTRAPVDAPEPILKAVKSNKSKTKAVKSKKSKTKAVKSKKSKTTAAKKIDAAKNVEGPKYVEICVICRKKGHLIPTCPELNEKIVPNPNHNTEQKKESGKKGSARPQPPQDANN >ONH98186 pep chromosome:Prunus_persica_NCBIv2:G7:20406345:20420834:1 gene:PRUPE_7G234400 transcript:ONH98186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNKSSSRSTPTPVEEPEYLARYLVVKHSWRGRYKRILCLSNVTITTLDPATLSVTNSYEVASDFDSAAPIIGRDENSNEFNLSVRTDGRGKFKGIKFSSRYRASILTELHRIRGNRLGAVAEFPVLHLRRRNAEWVTFKLKVTYVGVELIDLKSGDLRWCLDFRDFDSPAIVFLSDAYGKKGSEHGGFVLCPLYGRKSKAFQAASGSTNSAIIANLTKTAKSMVGVSLTVETSQSLTIAEYIKRRAKEAVGAEETPCGGWSVTRLRSAARGTLNVPGLSLSVGPKGGLGENGDAVSRQLILTKASLVERRPENYEAVTVRPLSAVNALVRFAEEPQMFAIEFNDGCPIHVYASTSRDSLLAAVRDVLQTEGQCAVTVLPRLTMPGHPIDPPCGRVHLQSGLQRPIADVESASMHLKHLAAAAKDAVSEGGSIPGSRAKLWRRIREFNACIPYSGVPPNIEVPEVTLMALITMLPATPNLPPESPPLPPPSPKAAATVMGFIACLRRLLASRTAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVAVLIGGGPGDTNILTDSKGEQHATIMHTKSVLFANQGYAIILANRLKPMSVSPLLSMAVVEVLEAMICEPHGETTQYTVFVELLRQVAGLKRRLFALFGHPAESVRETVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLLHAFFLPPGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGVQSEDANQEGSLTSRRQRRLLQQRKGRTGKGSTSQENSLPNVNNYEIGDPMTQTNAGTFKVSDNYQRSVLDQSSGQASTIQSSGAQTVENSTGELASSGVPQNNHSAFVASADSQSRSIHEAVEANTSMSIDSDSNVTGFQNTGLPAPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELRETLQAEVHKLDVEKERTEDIVPGGATADTMTGQDSVPQISWNYSEFSVRYPSLSKEVCVGQYYLRLLLESGSVGRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDEMGASDDWCDMGRLDGFGGGGGYSVRELCARAMAIVYEQHYKTVGPFEGTAHITVLLDRTDDRALRHRLLVLLKALMKVLSNVEACVLVGGCVLAVDMLTVAHEASERTAIPLQSNLIAATAFMEPLKEWMFVDKEGAQVGPVEKDAIRRFWSKKAIDWTTRCWASGMLDWKRLRDIRELRWALAVRVPVLTPTQIGEAALSILHSMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQALLSGEPSIVEGAAALLKAVVTRNPKAMIRLYSTGTFYFSLAYPGSNLLSIAQLFSVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKILEISLEDVSSDDADTKHSFEMGEEVSSISKQIENIDEEKLKRQYRKLAMRYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGGILEPFKYAGYPMLLNAVTVDKDDNNFLSSDRAPLLVAASELIWLTCASSSLNGEELVRDGGIQLLANLLSRCMCVVQPTTPASEPSAIIVTNVMRTFCVLSQFESAWSEMLEYSGLVDDIVHCTELELVPAAVDAALQTIAHVSVSTELQDALLKAGVVWYLLPVLLQYDSTAEESNATESHGVGASVQIAKNMHAVRASQALSRLSGLCSDESSTPYNQTAADALRALLTPKLASMLKDQAPKDLLSKLNNNLESPEIIWNSSTRAELLKFVDQQRASQGPDGSYEMKDSHVFAYKALSKELYVGNVYLRVYNDQPDFEISEPEAFCVALIDFISYLVHNQCATDSEVKDVPNQNDPSLETSEHPNDTAVGSIDEQQTPVEDSAVSNGQVVDKEEFEMVKNLKFALNSLKNLLTNSPNLASIFSTKDKLLPLFECFSVPVASESNIPQLCLSVLSLLTTYAPCLEAMVADGSSLLLLLQMLHSAPTCREGVLHVLYALASTPELAWAAAKHGGVVYILELLLPLQEEISLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSVIRDGPGEAVVVSLEQTTETPELVWTPAMATSLSAQIATMASDLYREQMKGRVVDWDVPEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLTSIAATHYDTQAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMASGEVNNGSYVDRTYEPDDGSTQPTQTPQERVRLSCLRVLHQLAASTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRNGLCSQMKWNESEASIGRVLAIEVLHAFATEGAHCTKVRDLLNSSDIWSAYKDQKHDLFLPSSAQSAAAGVAGLIESSSSRLTYALTAPSPQPAPSRPPTASPISDPNGKQDELS >ONH98187 pep chromosome:Prunus_persica_NCBIv2:G7:20408701:20420834:1 gene:PRUPE_7G234400 transcript:ONH98187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERCSCSAVTVRPLSAVNALVRFAEEPQMFAIEFNDGCPIHVYASTSRDSLLAAVRDVLQTEGQCAVTVLPRLTMPGHPIDPPCGRVHLQSGLQRPIADVESASMHLKHLAAAAKDAVSEGGSIPGSRAKLWRRIREFNACIPYSGVPPNIEVPEVTLMALITMLPATPNLPPESPPLPPPSPKAAATVMGFIACLRRLLASRTAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVAVLIGGGPGDTNILTDSKGEQHATIMHTKSVLFANQGYAIILANRLKPMSVSPLLSMAVVEVLEAMICEPHGETTQYTVFVELLRQVAGLKRRLFALFGHPAESVRETVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLLHAFFLPPGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGVQSEDANQEGSLTSRRQRRLLQQRKGRTGKGSTSQENSLPNVNNYEIGDPMTQTNAGTFKVSDNYQRSVLDQSSGQASTIQSSGAQTVENSTGELASSGVPQNNHSAFVASADSQSRSIHEAVEANTSMSIDSDSNVTGFQNTGLPAPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELRETLQAEVHKLDVEKERTEDIVPGGATADTMTGQDSVPQISWNYSEFSVRYPSLSKEVCVGQYYLRLLLESGSVGRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDEMGASDDWCDMGRLDGFGGGGGYSVRELCARAMAIVYEQHYKTVGPFEGTAHITVLLDRTDDRALRHRLLVLLKALMKVLSNVEACVLVGGCVLAVDMLTVAHEASERTAIPLQSNLIAATAFMEPLKEWMFVDKEGAQVGPVEKDAIRRFWSKKAIDWTTRCWASGMLDWKRLRDIRELRWALAVRVPVLTPTQIGEAALSILHSMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQALLSGEPSIVEGAAALLKAVVTRNPKAMIRLYSTGTFYFSLAYPGSNLLSIAQLFSVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKILEISLEDVSSDDADTKHSFEMGEEVSSISKQIENIDEEKLKRQYRKLAMRYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGGILEPFKYAGYPMLLNAVTVDKDDNNFLSSDRAPLLVAASELIWLTCASSSLNGEELVRDGGIQLLANLLSRCMCVVQPTTPASEPSAIIVTNVMRTFCVLSQFESAWSEMLEYSGLVDDIVHCTELELVPAAVDAALQTIAHVSVSTELQDALLKAGVVWYLLPVLLQYDSTAEESNATESHGVGASVQIAKNMHAVRASQALSRLSGLCSDESSTPYNQTAADALRALLTPKLASMLKDQAPKDLLSKLNNNLESPEIIWNSSTRAELLKFVDQQRASQGPDGSYEMKDSHVFAYKALSKELYVGNVYLRVYNDQPDFEISEPEAFCVALIDFISYLVHNQCATDSEVKDVPNQNDPSLETSEHPNDTAVGSIDEQQTPVEDSAVSNGQVVDKEEFEMVKNLKFALNSLKNLLTNSPNLASIFSTKDKLLPLFECFSVPVASESNIPQLCLSVLSLLTTYAPCLEAMVADGSSLLLLLQMLHSAPTCREGVLHVLYALASTPELAWAAAKHGGVVYILELLLPLQEEISLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSVIRDGPGEAVVVSLEQTTETPELVWTPAMATSLSAQIATMASDLYREQMKGRVVDWDVPEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLTSIAATHYDTQAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMASGEVNNGSYVDRTYEPDDGSTQPTQTPQERVRLSCLRVLHQLAASTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRNGLCSQMKWNESEASIGRVLAIEVLHAFATEGAHCTKVRDLLNSSDIWSAYKDQKHDLFLPSSAQSAAAGVAGLIESSSSRLTYALTAPSPQPAPSRPPTASPISDPNGKQDELS >ONH95638 pep chromosome:Prunus_persica_NCBIv2:G7:11715083:11718860:-1 gene:PRUPE_7G082000 transcript:ONH95638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKLKPSKTHHGPQTWKRISSPPMFRRARILIYSRHKKGGRLKEKELKQSILEPPVCSSICICKMLQCMREARKLWYDAVKTDRRLLRF >ONH97418 pep chromosome:Prunus_persica_NCBIv2:G7:18148146:18150817:-1 gene:PRUPE_7G189000 transcript:ONH97418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRIMEWAARSDYMGGIPRKMVIMAVGGLAKAAVSLLNNTTVHNADTLLRLVRSRPPGVPLVTVSNHMSTMDDPLLWGFKGFPITDAKLSRWALAAEDICFKSSMLSYFFRLGKCIPIIRGGGIYQEHMDEALERLSEGEWLHTFPEGKVSQEDAPIRRLKWGTASLIARAPVTPIVLPIVHTGFEQLFEVLDNDVNKQRHSCGRTLSIYVMPENFYRGKRPPFPLWNKNIKIIVGEPMELDLPKMRQMAMCLSRNISHPTLGWPSTCPGGLDEAAQRCLYIAISEKIQNVMETLRTFARSFSKSKDQNLC >ONH97417 pep chromosome:Prunus_persica_NCBIv2:G7:18148136:18150882:-1 gene:PRUPE_7G189000 transcript:ONH97417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRIMEWAARSDYMGGIPRKMVIMAVGGLAKAAVSLLNNTTVHNADTLLRLVRSRPPGVPLVTVSNHMSTMDDPLLWGFKGFPITDAKLSRWALAAEDICFKSSMLSYFFRLGKCIPIIRGGGIYQEHMDEALERLSEGEWLHTFPEGKVSQEDAPIRRLKWGTASLIARAPVTPIVLPIVHTGFEQVMPENFYRGKRPPFPLWNKNIKIIVGEPMELDLPKMRQMAMCLSRNISHPTLGWPSTCPGGLDEAAQRCLYIAISEKIQNVMETLRTFARSFSKSKDQNLC >ONH97419 pep chromosome:Prunus_persica_NCBIv2:G7:18148833:18150841:-1 gene:PRUPE_7G189000 transcript:ONH97419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRIMEWAARSDYMGGIPRKMVIMAVGGLAKAAVSLLNNTTVHNADTLLRLVRSRPPGVPLVTVSNHMSTMDDPLLWGFKGFPITDAKLSRWALAAEDICFKSSMLSYFFRLGKCIPIIRGGGIYQEHMDEALERLSEGEWLHTFPEGKVSQEDAPIRRLKWGTASLIARAPVTPIVLPIVHTGFEQLFEVLDNDVNKQRHSCGRTLSIYVKRKNIYLALNLCFIFIRI >ONH94853 pep chromosome:Prunus_persica_NCBIv2:G7:6556075:6556862:1 gene:PRUPE_7G035300 transcript:ONH94853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIYGLVAKVLTPQEVNRDAFIKNFSSLWKCKEDVSIKEIAQNRFWVRFVCDRDRCQVLDTEPWAYRRSLVLLAETPDDGSIHVVPLRYGTFWVQLHGIPGFCMTVAVAQAVGAIFGEVLWVDNRDGDDCVGRFIRIRAYFDVDLPLIYRTLVTLPDIGEKLIEFKYEYLPEYCFACGRLGHSTQVCVRAYEEVHVHNGICMIGSRYQPARKTYQDFGSLVPPRLYHIPAVAPIYHQVMGHSTRSTVAVKS >ONH96553 pep chromosome:Prunus_persica_NCBIv2:G7:15380142:15383051:-1 gene:PRUPE_7G136600 transcript:ONH96553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREGTRAFICISSSSEEEEDDDSDQVEDSGTEEEEDDGDDEDDEGDDYDDEQIEEADDEALSNKVIRSLKEGSDLDSLNLKECKAYLRRNGLRISGTKSVCIQRIEEHQRLKDGNGEALYPKSSFVVNCTGDVCKGDVVLFTQKVYEKFDKVTRHGRILGKRTVAGRVVKESYGAAKQQHTFTVEVLWSRGIKKLCPLFPLLVKGRNLYRLRTFRQRWSNEAERSKVLAEKHRRGEAARRVRAMKKSKKSAANGGVKRQKQSHFTRPNQIRKNNESEKGKHLDRLQKRTSHGSFCQHRAALPSKQLTLQPSAMSKASQCFSRHQNPACFNIDRVPALHSQAVPQIPLQSQLEFQHRSAPFQSFSHAMNSNSTMLRYPVTTNVDTLIAPASQFQRFRNSSYSHNGYVLPGHNLENWDHLPGVNFGRRFHPYSSGADTNGQMRKGQR >ONH96554 pep chromosome:Prunus_persica_NCBIv2:G7:15379510:15383045:-1 gene:PRUPE_7G136600 transcript:ONH96554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREGTRAFICISSSSEEEEDDDSDQVEDSGTEEEEDDGDDEDDEGDDYDDEQIEEADDEALSNKVIRSLKEGSDLDSLNLKECKAYLRRNGLRISGTKSVCIQRIEEHQRLKDGNGEALYPKSSFVVNCTGDVCKGDVVLFTQKVYEKFDKVTRHGRILGKRTVAGRVVKESYGAAKQQHTFTVEVLWSRGIKKLCPLFPLLVKGRNLYRLRTFRQRWSNEAERSKVLAEKHRRGEAARRVRAMKKSKKSAANGGVKRQKQSHFTRPNQIRKNNESEKGKHLDRLQKRTSHGSFCQHRAALPSKQLTLQPSAMSKASQCFSRHQNPACFNIDRVPALHSQAVPQIPLQSQLEFQHRSAPFQSFSHAMNSNSTMLRYPVTTNVDTLIAPASQFQRFRNSSYSHNGYVLPGHNLENWDHLPGVNFGRRFHPYSSGADTNGQMRKGQR >ONH96552 pep chromosome:Prunus_persica_NCBIv2:G7:15378919:15383045:-1 gene:PRUPE_7G136600 transcript:ONH96552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREGTRAFICISSSSEEEEDDDSDQVEDSGTEEEEDDGDDEDDEGDDYDDEQIEEADDEALSNKVIRSLKEGSDLDSLNLKECKAYLRRNGLRISGTKSVCIQRIEEHQRLKDGNGEALYPKSSFVVNCTGDVCKGDVVLFTQKVYEKFDKVTRHGRILGKRTVAGRVVKESYGAAKQQHTFTVEVLWSRGIKKLCPLFPLLVKGRNLYRLRTFRQRWSNEAERSKVLAEKHRRGEAARRVRAMKKSKKSAANGGVKRQKQSHFTRPNQIRKNNESEKGKHLDRLQKRTSHGSFCQHRAALPSKQLTLQPSAMSKASQCFSRHQNPACFNIDRVPALHSQAVPQIPLQSQLEFQHRSAPFQSFSHAMNSNSTMLRYPVTTNVDTLIAPASQFQRFRNSSYSHNGYVLPGHNLENWDHLPGVNFGRRFHPYSSGADTNGQMRMGLTELYVSCSHFIYVISLDVPCPHSLQLLVGQGGNLGCIIQVTLIACGSVE >ONH95473 pep chromosome:Prunus_persica_NCBIv2:G7:11088474:11093196:-1 gene:PRUPE_7G073200 transcript:ONH95473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSCDIETIVLSVQPDWDLIEDPGWDLIEEVRPRPILTHSAPARRRSLKEEILDRLLSIWKKILKISKEFLGPLLPIWNKIFVLSCVFAVLMDPLFFYTPVINEDMKCLELDKNLKKIALALRSVADLFYLVDIIFQIYQLNVTSKISINGATFRLERVKSAKKILLSCIVIDTFAVLPVPQVVFFIFFTKRRGSRSFVRMFMNSLILFQYVPRVLRIYLSGNTPSIQTRVGILVKAVFNFFPFILAGHILGGIWYFFAIQRERTCWEYGCRENGCGSANFDCNDNIFRNVTLLNVLCPTNPPDATLFDFGIFLGAVQSDMLRSTNFSKKLLQCFWWGLRNLSSLGQNLEPSSSNQWENVFAVFTLLSGMMLFLIYLNATLQTLSVQLEKIRSDERIFRRKMQLISPEIDLWLSKNDLPKDLKMVSGRTEKHLRTVIVENVQRHLQENKDVDVENILAVLPLRHRRGIMSLLRLTSLKKVSMLESMDEKVLKAISEHLKLETYNEGSYIVSEGEPLEKMMFITQGTAWSYPPTPSSKGGITITSSDTKWLEKGDFYGEELLIWALKSTPSSELPMSTRILKSQTKVEAFAIRAKDLKTIVAKFWWHFRTELRHLEDFQLEHWRNLAASSVQENWRRYCARANKRKLRNWRSKFIQIN >ONH95472 pep chromosome:Prunus_persica_NCBIv2:G7:11088473:11093453:-1 gene:PRUPE_7G073200 transcript:ONH95472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSCDIETIVLSVQPDWDLIEDPGWDLIEEVRPRPILTHSAPARRRSLKEEILDRLLSIWKKILKISKEFLGPLLPIWNKIFVLSCVFAVLMDPLFFYTPVINEDMKCLELDKNLKKIALALRSVADLFYLVDIIFQIYQLNVTSKISINGATFRLERVKSAKKILLSCIVIDTFAVLPVPQVVFFIFFTKRRGSRSFVRMFMNSLILFQYVPRVLRIYLSGNTPSIQTRVGILVKAVFNFFPFILAGHILGGIWYFFAIQRERTCWEYGCRENGCGSANFDCNDNIFRNVTLLNVLCPTNPPDATLFDFGIFLGAVQSDMLRSTNFSKKLLQCFWWGLRNLSSLGQNLEPSSSNQWENVFAVFTLLSGMMLFLIYLNATLQTLSVQLEKIRSDERIFRRKMQLISPEIDLWLSKNDLPKDLKMVSGRTEKHLRTVIVENVQRHLQENKDVDVENILAVLPLRHRRGIMSLLRLTSLKKVSMLESMDEKVLKAISEHLKLETYNEGSYIVSEGEPLEKMMFITQGTAWSYPPTPSSKGGITITSSDTKWLEKGDFYGEELLIWALKSTPSSELPMSTRILKSQTKVEAFAIRAKDLKTIVAKFWWHFRTELRHLEDFQLEHWRNLAASSVQENWRRYCARANKRKLRNWRSKFIQIN >ONH95476 pep chromosome:Prunus_persica_NCBIv2:G7:11088474:11093376:-1 gene:PRUPE_7G073200 transcript:ONH95476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLFFYTPVINEDMKCLELDKNLKKIALALRSVADLFYLVDIIFQIYQLNVTSKISINGATFRLERVKSAKKILLSCIVIDTFAVLPVPQVVFFIFFTKRRGSRSFVRMFMNSLILFQYVPRVLRIYLSGNTPSIQTRVGILVKAVFNFFPFILAGHILGGIWYFFAIQRERTCWEYGCRENGCGSANFDCNDNIFRNVTLLNVLCPTNPPDATLFDFGIFLGAVQSDMLRSTNFSKKLLQCFWWGLRNLSSLGQNLEPSSSNQWENVFAVFTLLSGMMLFLIYLNATLQTLSVQLEKIRSDERIFRRKMQLISPEIDLWLSKNDLPKDLKMVSGRTEKHLRTVIVENVQRHLQENKDVDVENILAVLPLRHRRGIMSLLRLTSLKKVSMLESMDEKVLKAISEHLKLETYNEGSYIVSEGEPLEKMMFITQGTAWSYPPTPSSKGGITITSSDTKWLEKGDFYGEELLIWALKSTPSSELPMSTRILKSQTKVEAFAIRAKDLKTIVAKFWWHFRTELRHLEDFQLEHWRNLAASSVQENWRRYCARANKRKLRNWRSKFIQIN >ONH95474 pep chromosome:Prunus_persica_NCBIv2:G7:11088474:11093375:-1 gene:PRUPE_7G073200 transcript:ONH95474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSCDIETIVLSVQPDWDLIEDPGWDLIEEVRPRPILTHSAPARRRSLKEEILDRLLSIWKKILKISKEFLGPLLPIWNKIFVLSCVFAVLMDPLFFYTPVINEDMKCLELDKNLKKIALALRSVADLFYLVDIIFQIYQLNVTSKISINGATFRLERVKSAKKILLSCIVIDTFAVLPVPQVVFFIFFTKRRGSRSFVRMFMNSLILFQYVPRVLRIYLSGNTPSIQTRVGILVKAVFNFFPFILAGHILGGIWYFFAIQRERTCWEYGCRENGCGSANFDCNDNIFRNVTLLNVLCPTNPPDATLFDFGIFLGAVQSDMLRSTNFSKKLLQCFWWGLRNLSSLGQNLEPSSSNQWENVFAVFTLLSGMMLFLIYLNATLQTLSVQLEKIRSDERIFRRKMQLISPEIDLWLSKNDLPKDLKMVSGRTEKHLRTVIVENVQRHLQENKDVDVENILAVLPLRHRRGIMSLLRLTSLKKVSMLESMDEKVLKAISEHLKLETYNEGSYIVSEGEPLEKMMFITQGTAWSYPPTPSSKGGITITSSDTKWLEKGDFYGEELLIWALKSTPSSELPMSTRILKSQTKVEAFAIRAKDLKTIVAKFWWHFRTELRHLEDFQLEHWRNLAASSVQENWRRYCARANKRKLRNWRSKFIQIN >ONH95471 pep chromosome:Prunus_persica_NCBIv2:G7:11088473:11093442:-1 gene:PRUPE_7G073200 transcript:ONH95471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSCDIETIVLSVQPDWDLIEDPGWDLIEEVRPRPILTHSAPARRRSLKEEILDRLLSIWKKILKISKEFLGPLLPIWNKIFVLSCVFAVLMDPLFFYTPVINEDMKCLELDKNLKKIALALRSVADLFYLVDIIFQIYQLNVTSKISINGATFRLERVKSAKKILLSCIVIDTFAVLPVPQVVFFIFFTKRRGSRSFVRMFMNSLILFQYVPRVLRIYLSGNTPSIQTRVGILVKAVFNFFPFILAGHILGGIWYFFAIQRERTCWEYGCRENGCGSANFDCNDNIFRNVTLLNVLCPTNPPDATLFDFGIFLGAVQSDMLRSTNFSKKLLQCFWWGLRNLSSLGQNLEPSSSNQWENVFAVFTLLSGMMLFLIYLNATLQTLSVQLEKIRSDERIFRRKMQLISPEIDLWLSKNDLPKDLKMVSGRTEKHLRTVIVENVQRHLQENKDVDVENILAVLPLRHRRGIMSLLRLTSLKKVSMLESMDEKVLKAISEHLKLETYNEGSYIVSEGEPLEKMMFITQGTAWSYPPTPSSKGGITITSSDTKWLEKGDFYGEELLIWALKSTPSSELPMSTRILKSQTKVEAFAIRAKDLKTIVAKFWWHFRTELRHLEDFQLEHWRNLAASSVQENWRRYCARANKRKLRNWRSKFIQIN >ONH95475 pep chromosome:Prunus_persica_NCBIv2:G7:11088472:11093476:-1 gene:PRUPE_7G073200 transcript:ONH95475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLFFYTPVINEDMKCLELDKNLKKIALALRSVADLFYLVDIIFQIYQLNVTSKISINGATFRLERVKSAKKILLSCIVIDTFAVLPVPQVVFFIFFTKRRGSRSFVRMFMNSLILFQYVPRVLRIYLSGNTPSIQTRVGILVKAVFNFFPFILAGHILGGIWYFFAIQRERTCWEYGCRENGCGSANFDCNDNIFRNVTLLNVLCPTNPPDATLFDFGIFLGAVQSDMLRSTNFSKKLLQCFWWGLRNLSSLGQNLEPSSSNQWENVFAVFTLLSGMMLFLIYLNATLQTLSVQLEKIRSDERIFRRKMQLISPEIDLWLSKNDLPKDLKMVSGRTEKHLRTVIVENVQRHLQENKDVDVENILAVLPLRHRRGIMSLLRLTSLKKVSMLESMDEKVLKAISEHLKLETYNEGSYIVSEGEPLEKMMFITQGTAWSYPPTPSSKGGITITSSDTKWLEKGDFYGEELLIWALKSTPSSELPMSTRILKSQTKVEAFAIRAKDLKTIVAKFWWHFRTELRHLEDFQLEHWRNLAASSVQENWRRYCARANKRKLRNWRSKFIQIN >ONH95477 pep chromosome:Prunus_persica_NCBIv2:G7:11088472:11093453:-1 gene:PRUPE_7G073200 transcript:ONH95477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSCDIETIVLSVQPDWDLIEDPGWDLIEEVRPRPILTHSAPARRRSLKEEILDRLLSIWKKILKISKEFLGPLLPIWNKIFVLSCVFAVLMDPLFFYTPVINEDMKCLELDKNLKKIALALRSVADLFYLVDIIFQIYQLNVTSKISINGATFRLERVKSAKKILLSCIVIDTFAVLPVPQVVFFIFFTKRRGSRSFVRMFMNSLILFQYVPRVLRIYLSGNTPSIQTRVGILVKAVFNFFPFILAGHILGGIWYFFAIQRERTCWEYGCRENGCGSANFDCNDNIFRNVTLLNVLCPTNPPDATLFDFGIFLGAVQSDMLRSTNFSKKLLQCFWWGLRNLSSLGQNLEPSSSNQWENVFAVFTLLSGMMLFLIYLNATLQTLSVQLEKIRSDERIFRRKMQLISPEIDLWLSKNDLPKDLKMVSGRTEKHLRTVIVENVQRHLQENKDVDVENILAVLPLRHRRGVDA >ONH95466 pep chromosome:Prunus_persica_NCBIv2:G7:11039583:11042999:1 gene:PRUPE_7G072800 transcript:ONH95466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQAAPNQEDYKLKDTKPHLGERWPHGGIRGGGGWISSERATSTYDLVEQMFYLYVRVVKAKDLPTNPVTGICDPYVGVKLGNYKGKTQHFEKKTNPEWNQVFAFSKEKIQASILEVYVKDKAMVARDDYVGKVVFDMNEVPTRVPPDSPLAPQWYRLEDRQGDTKVRGEVMLAVWMGTQGDEAFPEAWHSDAASVHGEGVFSIRSKVYVSPKLWYLRVNVIEAQDVQPHDRSQPPQAYVKAHVGNQTLKTKICPTRTANPMWNEDLMFVAAEPFEEHLVLTVENKVSAAKDEKVGKISLPLTIFERRLDHRAVHSRWFNLEKFGFGALEGDKRHELKFSTRVHLRVCLEGAYHVLDESTLYISDVRPTARELWKQPIGILEVGILSAQGLLPMKNKDGKTTTDAYCVAKYGQKWVRTRTIIESFNPKWNEQYTWEVYDPCTVITLGVFDNCHLGGNEKPTSGSGGKNDSRIGKVRIRLSTLEMDRIYTNSYPLLVLQPSGLKKMGELQLAVRFTCLSLANIIYLYGHPLLPKMHYLHPFTVNQLDSLRYQAMNIVAVRLGRAEPQLRKEVVEYMLDVDSHMWSMRRSKANFFRIVSLFSGLISMSRWLGEVRHWKNPITTVLVHFLFFLLICYPELILPTIFLYMFLIGLWNFRFRPRHPPHMDTKLSWAEAVHPDEMDEEFDTFPTSKAQDVVRMRYDRLRSVAGRIQTVVGDIATQGERFQAVLSWRDPRASSLFVFLCLIAAVVLYVTPFKLIALVAGMVWLRHPRFRSKLPSVPSNFFRRLPSRADSML >ONH97260 pep chromosome:Prunus_persica_NCBIv2:G7:17679866:17680678:-1 gene:PRUPE_7G180600 transcript:ONH97260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIRGIESKPSDRVGSTRIGQYQTEIGCVTPRLFSEAVRRIVEEYRWIRSNPSDDFDCRMLERNPYEWQFGIRGASGTEFEGGIYHGRIQFPKEYPSKPPSFTLLTENGRFKTHNKIRIRRLNDWQPSWRVRDALDALIDEMPTYPDGELGSVEYNKEERRDLTVKSHAAAPKYGTSERQKLIDEIHEYLLSKSPPVPFPQLPQLIPSQASNGTGGSYVVNGGYVVSGNTFHATNSNGVGILDSMNAFSDEDHKLLPSWLKLFRWRKQN >ONH98306 pep chromosome:Prunus_persica_NCBIv2:G7:20766106:20766985:1 gene:PRUPE_7G241800 transcript:ONH98306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALILPTLTAAFSFLLLFTPTTQAQTAPAPGPAGPLNFTGILDKNGQYTTFIRLLIQTQVASQITNQLNSSSEGLTVFAPTDNAFTSLKAGTLNTLTTQQQVALVLYHVLPKYYTITSLLTVSNPVRTQATGQDGGAYGLNFTGSGNQVNVSSGVVETQINNALRQQFPLAVYQVDKVLLPNDLFGAKAPASAPPPAKTPSSGSSNETATKTASSPDNSEAGSIKSNASFMGLVFGMMGLLFIGIFS >ONH94682 pep chromosome:Prunus_persica_NCBIv2:G7:4316869:4320955:-1 gene:PRUPE_7G026300 transcript:ONH94682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDITNNLLIREASAQGNRVDDKDEDLPLKTRVWNENKKLWVVAGPAIFTRVSTFGTNIVSQAFIGHIGSTELAAFSLVFTVLVRFANGLLLGMASALETVCGQSYGAKQYHMLGVHLQRSWIVLFVSTLFLIPICIFATPILEALGQKDNIAEEAGYISLWVIPVLFAFVVSFTCQMYLQSQSKNMIIAYVSAVSITIHILLSWLLTVKFKFGVAGAMVSTVIAYWLPNVCQLLFVLCGGCPDTWTGFSTLAFKDLWNVVKLSLSSGVMLCLELWYNTILVLLTGNMEDAEVSIDALAICLNINGWEMMIALGFLATASVRVSNELGRGSAKAAKFSVVVIVLTSLSIGFVLFLLFLFLRERLAYIFTTNDEVAKKVAELSPLLAFSILLNSVQPVLSGVAIGAGWQSIVAYVNIACYYLIGIPIGAVLGFLLHLQVKGVWIGMLFGTFVQTIVLVILTCKTDWEKQVTIARNRVRKWNVREDIGEPNPNA >ONH95589 pep chromosome:Prunus_persica_NCBIv2:G7:11530493:11533768:1 gene:PRUPE_7G079600 transcript:ONH95589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFFLVLSMVFTTISRAKGVVQCSCRGLRSYEHFAFEVQIFFALFLPNFECNFFFFLRSPWCLPQSLGLKALFNAVAEA >ONH95102 pep chromosome:Prunus_persica_NCBIv2:G7:9050810:9054412:-1 gene:PRUPE_7G052400 transcript:ONH95102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKQDEVFHDKDEQKTRNKVSASNISKVDGKDVLKPFSSFPDNKVRANVTDWKHGENIAHDASSADIDASAEVNMEASITAEDVMRAGGFGARDDISSFLPVASDSTDFEAAIRDARGYEEPQGDICRPGLGWKEARETK >ONH95945 pep chromosome:Prunus_persica_NCBIv2:G7:12928069:12930276:-1 gene:PRUPE_7G097800 transcript:ONH95945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKPVLHHNHPKITSTTPTPALPITCHHITKTQTLKTLNPNHTQSQRLRICTNPTTTRKRFSTISAATLQPSQPLDLTEDNIRQVLADARIEFGQLFDTSVGMTGQVELAELDGPYVKISLKGRFWHERSVVLARLANYLKQRIPEILEVDIEDEKQLDDSPENF >ONH95946 pep chromosome:Prunus_persica_NCBIv2:G7:12929545:12930067:-1 gene:PRUPE_7G097800 transcript:ONH95946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKPVLHHNHPKITSTTPTPALPITCHHITKTQTLKTLNPNHTQSQRLRICTNPTTTRKRFSTISAATLQPSQPLDLTEDNIRQVLADARIEFGQLFDTSVGMTGQVELAELDGPYVKISLKGRFWHERSVVLARLANYLKQRIPVS >ONH94139 pep chromosome:Prunus_persica_NCBIv2:G7:106219:110493:1 gene:PRUPE_7G000300 transcript:ONH94139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLATTSAAATTSTNLAHYKTNNNTYKHNCLSNVSFRLSPKPKLRFFSKGWQPSRNCSTVVKGQLDEVDGSSNVAATPPRELELPAQESKDRKTSNESCPAVLDTEESISEFIAQVASLVKLVDSRDIVELQLKQLDFEVIIRKKEALPQPPVPAPVPMMQAAPPPVAPAAPASSSAPSSPPATFPAPSSPNAKSAKTSLPPLKCPMAGTFYRSPAPGEPPLVKVGDKIQKGQVLCIIEAMKLMNEIEADQSGTVVEILVEDGKPVSVDTPLFVIQP >ONH94138 pep chromosome:Prunus_persica_NCBIv2:G7:106215:110493:1 gene:PRUPE_7G000300 transcript:ONH94138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLATTSAAATTSTNLAHYKTNNNTYKHNCLSNVSFRLSPKPKLRFFSKGWQPSRNCSTVVKGQLDEVRFFKWFSLEDHFSNFLSFLDMLLGSSVAFKVDGSSNVAATPPRELELPAQESKDRKTSNESCPAVLDTEESISEFIAQVASLVKLVDSRDIVELQLKQLDFEVIIRKKEALPQPPVPAPVPMMQAAPPPVAPAAPASSSAPSSPPATFPAPSSPNAKSAKTSLPPLKCPMAGTFYRSPAPGEPPLVKVGDKIQKGQVLCIIEAMKLMNEIEADQSGTVVEILVEDGKPVSVDTPLFVIQP >ONH97182 pep chromosome:Prunus_persica_NCBIv2:G7:17416873:17419734:1 gene:PRUPE_7G174300 transcript:ONH97182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLMNFLRACWLPSSDRYVQLGSDAAGRQDGLLWYKDTGQHLNGEFSMAVVQANSLLEDQSQVESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQNLKRFTSEQQSMSVDVIRKAYQATEEGFLSVVTKQWPMKPQIAAVGSCCLVGVICGGTLYIANVGDSRAVLGRVMKATGEVLAIQLSSEHNVAIESVRQEMHSLHPDDSRIVVLKHNVWRVKGLIQVSRSIGDIYLKKAEFNREPLYTKFRLREPFTRPILSSEPSISVHELQPHDQFVIFASDGLWEHLSNQEAVDIVQNHPRSGSARRLVKTALQEAAKKREMRYSDLKKIERGVRRHFHDDITVIVVFLDSNLVSRASSARGPSLSVRGRGINIPAKTLAPSMETS >ONH97181 pep chromosome:Prunus_persica_NCBIv2:G7:17416078:17420124:1 gene:PRUPE_7G174300 transcript:ONH97181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLMNFLRACWLPSSDRYVQLGSDAAGRQDGLLWYKDTGQHLNGEFSMAVVQANSLLEDQSQVESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQNLKRFTSEQQSMSVDVIRKAYQATEEGFLSVVTKQWPMKPQIAAVGSCCLVGVICGGTLYIANVGDSRAVLGRVMKATGEVLAIQLSSEHNVAIESVRQEMHSLHPDDSRIVVLKHNVWRVKGLIQVSRSIGDIYLKKAEFNREPLYTKFRLREPFTRPILSSEPSISVHELQPHDQFVIFASDGLWEHLSNQEAVDIVQNHPRSGSARRLVKTALQEAAKKREMRYSDLKKIERGVRRHFHDDITVIVVFLDSNLVSRASSARGPSLSVRGRGINIPAKTLAPSMETS >ONH94554 pep chromosome:Prunus_persica_NCBIv2:G7:3416435:3424046:-1 gene:PRUPE_7G022200 transcript:ONH94554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEKLLVQIFERKKWIVNQAKHQAYLFEQHLTSKCLIDGIAPPPWLLSSSFDPNHVLNKPELILGFPLPRTQPVIHFTGSHCPVFDKPVPTAHNGELPNGLCTERHGFDRGFGAGEEVLILPHCPVSNAGCASNGVPQDQREEDPSVTSPEDQKDARISDIDHNPALSPARGAGDEVSILPQCLISNARCSSDCVPQDQREEDPSVTSPEYHRAARLSDIYPYPALSPARGARDEVTILQQCPISNAGASSKGVPQDKREEDPSAIFPEDQGDAKTSNIYHDPDLSLARVQRSKSRQRALAIRNSANKSSSQVKNNVNGCAGGIIGCAISFLQTDHVDEMNLVKPPDTCDNLELSAANGEKHSSKENSSVDFVSRSTGSQVVRSVERIAKGASSNMSGQRIVAKDASSNISGQRINQSMSAASNKSHDAQRTQISAGTSIPIQRDLDLCTVNSIDSSDKENVADHYAGRNTCTEINCGAEDNSQVLDHRGTQSRSAASNKDLPENLTHGVAGIRHLDISSTMVQEVPCTQTCEFVEVASVGETEIDPDGCIEANPICSGSTLDGNGLRSGGEVLHSRQPADCAFVNPKQLNFDDVEESCLNGICTPALTKGMQGRSSEKSYLSLMHAENIMAEGITVNYLDNCNTPLEMSFLGDQEVSVRGKELQSSLSEAPEEQLHKNGSASNENAASSVKETSNAHKDGVANTLLESGKVQKSFLTDNPMGLQVARESLVESLSNVNAAKPTELVTEESALYSHAVGNPTVSTDSDFTMVSEPGSFRILDAKNLAVENPCAASMDEMKGNLPQQIVPSHISPNYEPWSIGDKGDVGYTKSTECQIAEKSKGRSFSSSMQGSWPQHKRRKIEHTIVDDLSSSRDLIEKVFHTINRDSICGNLGNVEHSPNAVLESQGPSISQEDVVKSVVSRSPVEETHQNEDHHMIERSESSPKAHMKEGEISVGGGDRSGNAPFTFMHEELEASLLSSLMKQAAGQSQYCFMEETGVAHPTSIIVDTGSPRIEGNHVSLPLEDNLTLGNVDNWTCAGRAMQEERFDLGGTRKFSYFSVGSPRGQSLDLIGGDDTKPELEGFVLETDDEPTSIAREDINFDEWNLPSTTFERASILEQLCKSVYMQTPIACFSASNKLPKIPNLYQSVPTGLLEGGVDMRTTLPMNDAVKPLKDGHSCLSEEVGQAFNGRSYSDCLPNRSSQSGWDIKKPYISPVGKLWDRTGSSTSSSGKRGSLNPELPCISEENENMDEVSATSRGGIVSEVLNSLIQRVPLADITEIPNPPASVSKAEPHAGRLSLDSVNAEFSLTGTSKSFKLKHGIQNSIKRRYNNNENLSISRGTNDIKRTTGPLRKPKLSGKTSLRKGGPSLSEWEPKRNNIVSSMTSFIPLVQQKQSAAVVTGKRDIKVKALEAAETAKRLAQKKENERKMKKEALKLERSRKEQANMRQLELQKKQKEEERKKKDADMAAKKRQREEEDRKEKERKRMRVEARRQQREHEDKLPAEKEDKEMKRQAIDGRGHESKKSKDETAHKTMEEEREYDTFRNISETEPRTSRVSTSNARRESVIHEEHSLTLSNFGYNAEVLSNVDKAIDNGKSAANTHQEQSYEISPYKESDDENEEDDDVIPNSKFVPSWSSKNCLALAVSSQNGADPGAIFPPESFCSISEVLLPRKHHLNYNGMR >ONH94505 pep chromosome:Prunus_persica_NCBIv2:G7:2920648:2922291:-1 gene:PRUPE_7G019700 transcript:ONH94505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGSMTPFSVPLLTKDNYHNWSVRMKTLIGCYDAWEVVERGIGEYGDEENLTNSSSKREKKIIIFLWSLSIPYAFFIKMNIPDISTTTSTFKCFMPISSTRITLDL >ONH96770 pep chromosome:Prunus_persica_NCBIv2:G7:16214663:16216336:-1 gene:PRUPE_7G150900 transcript:ONH96770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPVSSPQTNPKLESCNNNNTNTNTNQTNRGLNFPANLNIQNLCYEPTSVLDLRHSPSPVAEQKPAKISAVSDVLSHSNHHDPLEWDEQALHNLDWDSIMRDLGLHDDSVPNLKTSIPQLNSTDSQISHLSDYPHPQPFDPTQLVHSDFNINLSEVYSTQNFTNTTHNFYDHQPGNWNVGFDFIEELIRAADCFDSDELQLAQGILDRLNQRLRSSSPSKPVGKPLQRAAVYFRDAIQSILIGSDSAAHNQLSSWSEIVQTIRAYKFFCGISPVPMFSHFTTNQALLEALSGSAFIHVVDFDIGFGGQYASLMKELAEKADVAGRTSPVPQVLRITAVVPEEYAGETRLVKENLSQFAQDLKIRFQVEFVPVRTFEMMSFKAVKFMDGEKTAVLLSPYILRRLCSQNNISAFLGDMRRLSPSVVVFVDADGMGDSATTSFRRNFVSSLEFFSVMLESLDAAPAASSEVVKKIETFLLRPKIQAAVEAAGRRVPPWREAFQGAGMRAVELSQFADFQAQCLLGKVQVRGFHVAKRQAELVLCWHDRALVATSAWRCN >ONH94857 pep chromosome:Prunus_persica_NCBIv2:G7:6589232:6598375:1 gene:PRUPE_7G035700 transcript:ONH94857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEIVEPNACIRGCCSSNSIPLHLPPSSYTLLKPIARGAESVVYEAILDGRKVAVKKPILSTSEDLDKFHKELQLLCKLDHPGIAKLVAAHAKPPNYMYFFEFFEPPNLSEKLHLEEWSPNINQVLVVAVQLAKALQYLHHLGIVHRDVKPANILLDKDLYPHLADFGLAEYKKDLKGVSIGNWRSSGKPTGGFHKKNMVGTLIYMAPEILKKEIHTEKSDVYSFGISINELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSDGLRPVLAGPESGAPSTLLSLIERCWDANSQNRPPFDDIVAELGTILEQRENIKELDIVFPKSSNSIGDQPVDVATSLQAYQEGINWFTQGENFITRACLPKPGTAIWLASNDPLAYNPILSWGSFATCGRRETMEDTHFLLPQMFHQGDIHAFGIFDGHRGAAAAEFSARAFPGFLQALGSTSSPSGALFEAFIKTDIAFRAELDFSRKSKRVIQKDWHPGCTAAAALIVGNKLFVANAGDCRTILCRAGHPFVLSKDHVASCLEERERVISAGEQVRWQVDNWRVGLAALQVTRSIGDDDLKPAVTAEPEITETILSAEDEYLVMASDGLWDVVSNAEVVSIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >ONH94858 pep chromosome:Prunus_persica_NCBIv2:G7:6592153:6598375:1 gene:PRUPE_7G035700 transcript:ONH94858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSVSALTNSCYSIELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAVVSDGLRPVLAGPESGAPSTLLSLIERCWDANSQNRPPFDDIVAELGTILEQRENIKELDIVFPKSSNSIGDQPVDVATSLQAYQEGINWFTQGENFITRACLPKPGTAIWLASNDPLAYNPILSWGSFATCGRRETMEDTHFLLPQMFHQGDIHAFGIFDGHRGAAAAEFSARAFPGFLQALGSTSSPSGALFEAFIKTDIAFRAELDFSRKSKRVIQKDWHPGCTAAAALIVGNKLFVANAGDCRTILCRAGHPFVLSKDHVASCLEERERVISAGEQVRWQVDNWRVGLAALQVTRSIGDDDLKPAVTAEPEITETILSAEDEYLVMASDGLWDVVSNAEVVSIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >ONH95523 pep chromosome:Prunus_persica_NCBIv2:G7:11256978:11257486:-1 gene:PRUPE_7G075600 transcript:ONH95523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTSLFALILIEILLCSSFCLCHEVKIFQGRERRSLSRRLLSIPVVSVSPSRLSTSSGAMKEPKKAVESSMRRKPPSGSNPIQNK >ONH98355 pep chromosome:Prunus_persica_NCBIv2:G7:20938414:20939423:1 gene:PRUPE_7G245700 transcript:ONH98355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLVSHNVRAAVVVIVIAAAAALIIERAEAETHTVGGASGWTNTLAPEFYTSWAANHTFKVGDILVFEFTTGGHDVARLGKEAFDACNNTDLLSPPENQGPAKYSLNQTGDYYFICAFPAHCSQGQKLSIKVIATGPSAPAPAPHSEAPTTPTAPAPNSEPPKTPSPSPSPSPSSQAGTPPSETTTPSSPPTRAVTTAPPPSSASSLASAISTISTIFMSIAIALFYLF >ONH96049 pep chromosome:Prunus_persica_NCBIv2:G7:13363337:13365812:-1 gene:PRUPE_7G104400 transcript:ONH96049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSTPWNTRLRELSKQCLFFEALTVYRQMLRHGHSPNAFTFPFALKSCAALSLPLAGSLLHCHVVKTGCEPEPFVQTSLISMYFKCCLVDDARRVFDENPHSRKLTVCYNALISGHTSNSKFSDAVSLFRRMRAAGVEVNSVTMLGLVPGCAAPVHLRLGMCLHGCSVKCGFDVDLSVTNCLLTMYVKCGSVDHARKLFDTMPEKGLITWNAMISGYSQNGLATHVLNLYKEMESCGVSPDPVTLVGVLSSCTHIGAHGVGREVERRIESCGFGSNPYLNNALVNMYARCGNLVKAHAIFDAMPEKSLVSWTAIIGGYGLHGHGEIASELFNKMIMTGIRPDKAVFVTILSACSHAGLTDKGLEYFAAMEKRCGLQPGPEHYSCMVDLLGRAGRLQEAKELIESMPVKPDGAVWGALLGACKIHKNVEIAELAFEHVIELEPTNIGYYVLLSNIYSDAKNLEGVLKVRAMMRERKLQKEPGCSYVECKGRVHVFLAGDKTHCQTEEIYKMLEELETSVKEPGRGRNEEQLIGANVHSEKLAVAFALLNTGPGTEIVVIKNLRVCGDCHLFIKLVSKIVDRQFVVRDATRFHHFRNGICSCKDYW >ONH98880 pep chromosome:Prunus_persica_NCBIv2:G7:22182082:22184839:-1 gene:PRUPE_7G270500 transcript:ONH98880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQHTLRLPYLISSPKQNKFIVPLSLASLGPQSQDIRANRIWMKNTSVPTHGGGSSKVTRLCASKTVEKVGSDEEKGEPFKPFNDSDKEDEPCLGDKDDPYLMGSEERREWRSKIRQVLDTNPDVEEELDPIERTKKVQQLLANYPLVVEEDDPEWPDDADGRGFKLDQFFDKITIKNNTARKDDNDNDDSDNEIVWQDDNYIRPIKDVVTAEWEEAVFKDISPLIILVHNRYKRPKENEKIRNELEKAVHIIWNCKLPSPRCIAVDAVTEHDLVSALKVSVFPEIIFTKAGKILYREKAIRSGDELSKVMAFFYYGAARPPCLNGIGDRQEPIPYVSANVQP >ONH98881 pep chromosome:Prunus_persica_NCBIv2:G7:22182082:22184396:-1 gene:PRUPE_7G270500 transcript:ONH98881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQHTLRLPYLISSPKQNKFIVPLSLASLGPQSQDIRANRIWMKNTSVPTHGGGSSKVTRLCASKTVEKVGSDEEKGEPFKPFNDSDKEDEPCLGDKDDPYLMGSEERREWRSKIRQVLDTNPDVEEELDPIERTKKVQQLLANYPLVVEEDDPEWPDDADGRGFKLDQFFDKITIKNNTARKDDNDNDDSDNEIVWQDDNYIRPIKDVVTAEWEEAVFKDISPLIILVHNRYKRPKENEKIRNELEKAVHIIWNCKLPSPRCIAVDAVTEHDLVSALKVSVFPEIIFTKAGKILYREKAIRSGDELSKVMAFFYYGAARPPCLNGIGDRQEPIPYVSANVQP >ONH96722 pep chromosome:Prunus_persica_NCBIv2:G7:16028825:16032025:-1 gene:PRUPE_7G147600 transcript:ONH96722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISCSILETQGKNSDANQSCCSLIVAQQPYEGKAFTDCYNTNTYSKSVLGYSCNGVNKSCQTYLTFRSQPPYNSVSAISAMLASDPSQIAEMNSVSETATFETNKLVIVPITCSCSGDFYQLNTSHVVVHGDTYFVIANNTLQGLSTCQAMMNQNTNLTTKELYSGTRLSVPLRCACPTKNQTDVGTKYLLTYIIAQGDYVSKISATFDSDTGRTLEANGLSEQASTIYPFTTLLVPLQNPPSSSQTIEPPSSSPSPPAPPSTSSNNSTKKWVYAFVGALGGGAFVLVIGIIIFCTFFRRSRGTKGFNSIIVSERFEALEKPLEKKEEEGSQDFLEALSGIAQSLKVYKFEELQRATDDFSSTYLIKGSVYRGNINGDLAAIKKMNGDVSTEINLLNKTSHSNLICLSGVCFHDGHWYLIYEYAVNGPLSDWIYYSNNDGKFLNWTQRIQILLDVASGLNYLHSFTTPPHVHKDIKSSNILLDSDFRGKIANLGLARSTEAPEGEVPLTNHIVGTIGYMAPEYLENGLISTKLDVYAFGALMLEILTGKEVAVLYEENRQLSDVLNSVLNDEDGPESLRHFIDPSMQESYPPELALFVIRLVHSCLNKNPAARPAMEEMVQFLSRTMSNSLSWELSSNISGYQGTEGFLDGRGTGTGSQSTT >ONH94288 pep chromosome:Prunus_persica_NCBIv2:G7:1156965:1158132:1 gene:PRUPE_7G008300 transcript:ONH94288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKLAANDEQCYEVFEPFCQWKKEEGLDILKVHLPGFKRQDVRVQINNKGILTISGKQSMEEETASPPSRFLKEIKISTNCNTSGIRAKFSNGILSISMPKKVTNLSTQLSGSGDKIKAAEIATWSSINYYLLGLRSKVLSKEMVLKMAGVALGMALGGYAVYRYPKSACVQN >ONH98476 pep chromosome:Prunus_persica_NCBIv2:G7:21188477:21192178:-1 gene:PRUPE_7G250500 transcript:ONH98476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKDWISSQLVSMSLVSSRPLSGSDSFFREEPSHEGFDGQGAAHSNTSLTSPIIPDTSPSVGSDQENQSNPSRQHVVVENSDQSRNGSDKKKMDPLVRIDDLQVKFLRLILRLGLSQNNLLVAKVLYRIHLATLIRAEESDLKRVNLRSDRARAVAAEQEASGLPEMDFSLRILVLGKTGVGKSATINSIFDQRKTVTNAFRPGTDHIREVVGTINGVRVTIIDTPGFLPSSTGNFRRNKKIMLSVKRFIRKCPPDIVLFFERLDLINASYNDFSLLKLITEVFGPAIWFNTILVMTHSSSALPEGPDGYPVSYESYVRQSTDMVQHYIHQAVSDSRLENPVLLVENHPQCKKNIIGEKILPNGQVWKSQFLLLCLCTKVLGDVNTLMKFEDSIQLGPSSASHMPSLPHLLSSLLRHRSVVSPSGVDIEVDESLLSDTEEEDEYDQLPPIRILTKSQFERLTKSQKKDYLDELDYRETLYLKKQLKEEYRRRMEIKLSKEKIFASNDNSDRQQASQESAVLLPDMEVPPSFGSDCTAHRYRCLVTGDQWIMRPVLDPHGWDNDVCFDGISLETAMQINSNVFTTVTGQMSKDKQDFSIQSECAAAYSDPSGTTYTVGLDVQSAGKDTIYTFHSNTKLKKVWRNTADCGVSLTSFGNKCYIGAKLEDTISVGKRLKFVMNAGQMVGPEQVAYGGGIEATLRGRDYPVSNDNVSLTMTLLSFNEEMVLGGNLQSESRLGRNLRVSVNANLNSRKMGKICIKTSSTDHLQFSMAAAFTIFWALLQKKAVKSTSHE >ONH98477 pep chromosome:Prunus_persica_NCBIv2:G7:21189003:21191591:-1 gene:PRUPE_7G250500 transcript:ONH98477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKDWISSQLVSMSLVSSRPLSGSDSFFREEPSHEGFDGQGAAHSNTSLTSPIIPDTSPSVGSDQENQSNPSRQHVVVENSDQSRNGSDKKKMDPLVRIDDLQVKFLRLILRLGLSQNNLLVAKVLYRIHLATLIRAEESDLKRVNLRSDRARAVAAEQEASGLPEMDFSLRILVLGKTGVGKSATINSIFDQRKTVTNAFRPGTDHIREVVGTINGVRVTIIDTPGFLPSSTGNFRRNKKIMLSVKRFIRKCPPDIVLFFERLDLINASYNDFSLLKLITEVFGPAIWFNTILVMTHSSSALPEGPDGYPVSYESYVRQSTDMVQHYIHQAVSDSRLENPVLLVENHPQCKKNIIGEKILPNGQVWKSQFLLLCLCTKVLGDVNTLMKFEDSIQLGPSSASHMPSLPHLLSSLLRHRSVVSPSGVDIEVDESLLSDTEEEDEYDQLPPIRILTKSQFERLTKSQKKDYLDELDYRETLYLKKQLKEEYRRRMEIKLSKEKIFASNDNSDRQQASQESAVLLPDMEVPPSFGSDCTAHRYRCLVTGDQWIMRPVLDPHGWDNDVCFDGISLETAMQINSNVFTTVTGQMSKDKQDFSIQSECAAAYSDPSGTTYTVGLDVQSAGKDTIYTFHSNTKLKKVWRNTADCGVSLTSFGNKCYIGAKLEDTISVGKRLKFVMNAGQMVGPEQVAYGGGIEATLRGRDYPVSNDNVSLTMTLLSFNEEMVLGGNLQSESRLGRNLRVSVNANLNSRKMGKICIKTSSTDHLQFSMAAAFTIFWALLQKKAVKSTSHE >ONH94824 pep chromosome:Prunus_persica_NCBIv2:G7:6148621:6151365:1 gene:PRUPE_7G033000 transcript:ONH94824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSASASIHHVSLIILSGILSLETIKLGLCSDDHNVGCIDIERKALLKLKQGLMDPSGRLSSWVGEDCCKWSGVGCNNITGRVNRLDLRNHYWFDYYGPTEHALIGEINPSLLVLKDLVYLDLSMNYFGGVFPSCIASLEKLKYLDLSGSSFVGVIPPNLGNLSRLFYLDLNLGILETDLQWLATLSFLKYLNLGGVNLTKTTSYWLPAINMLPSLVELHLPSCSLSIFPLTLSSINFTSLLVLDLSGNKFTSTVPPWLFNLTKLENLDLSYNSLTGKLPDSLGYLKSLRYLNLSDNSLEGPIPKSIGNLTSLDGIIPESLGELSSLVSLDIFGNKWGGAITEAHFAKLGGLRKVLIGNYPRNISFSLVFNISSDWVPPFKLRYLEIRSCQLGPKFPTWLRNQTELTTVVLLNARISGTIPDWFLQLDLQLDELSLADNQLSGRVPNSLRFSYDSLVDLSSNCYEGPLPLWSSNISRLYLRDNRFSWPILHNIGQVMPNLTQLDISTNSLSGSIPLFLGNLSQLQVILISNNLLSGEIPHFWNNIPSLVSIDLSNNSLSGTIPRSLGSLTSLQFLSLSSNNFSGEFPSLKNCTYLNILDLADNKFSGPILASIEESMPNLQILSLRSNSFTGSIPLNLCGLSAFHILDFSHNNLSGNIPHCIGNLSYLKSEATFIGNYANFGRFELVSKGRVYVYDYGAILSLVTSIDLSDNKLSGEIPMGLTSLIKLGTLNLSMNHLTGNIPANIGNLESIETLDLSLNKLSGSIPQSMVNLTFLNHLNLSYNNLSGTIPTSNQFQTFVDPSIYEGNPGLSWCPLPTGCQDNEEAPQVPSGDGEEDDGSKLEKLQFIISMVIGFCAGFWGVFGTLAMKGSWRHSYFHFIDKVKDAVLDFFPAIGTYLQKRL >ONH96540 pep chromosome:Prunus_persica_NCBIv2:G7:15291930:15294385:-1 gene:PRUPE_7G135600 transcript:ONH96540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENISSSLNVLQPPKYGNLITVLSIDGGGIRGIIPGVLLAYLESQLQELDGEDARLADYFDVISGTSTGGLIATMLAAPNDNNRPLYAAKDIVPFYLDNCPKIFPQSSGLCAAVVDLVKVLTGPKYDGKYLHKLIRNIVGDKRLDQTLTNVVIPTFDVKKLLPVIFSSYQVTSRPVLNAKLSDICIGTSAAPTLLPAHYFENNDQQGEPKEFNLIDGGVAANNPASVAISEVIKQTEKQNPDFMEIKPMELYDRLLLVSFGTGSNRSELRYNAKKVSKWGVIGWLYEDGSSPLLECFDEAGACMVDYHNSVVFQALHSEQNYLRIEEDTLEGNLASADKATKENLENLVEVGNTLLQKPISTMDLDTGLYEPVENGGTNQQALQRFAKLLSDEKKLRESKSPKSDIK >ONH96541 pep chromosome:Prunus_persica_NCBIv2:G7:15292226:15293981:-1 gene:PRUPE_7G135600 transcript:ONH96541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAPNDNNRPLYAAKDIVPFYLDNCPKIFPQSSGLCAAVVDLVKVLTGPKYDGKYLHKLIRNIVGDKRLDQTLTNVVIPTFDVKKLLPVIFSSYQVTSRPVLNAKLSDICIGTSAAPTLLPAHYFENNDQQGEPKEFNLIDGGVAANNPASVAISEVIKQTEKQNPDFMEIKPMELYDRLLLVSFGTGSNRSELRYNAKKVSKWGVIGWLYEDGSSPLLECFDEAGACMVDYHNSVVFQALHSEQNYLRIEEDTLEGNLASADKATKENLENLVEVGNTLLQKPISTMDLDTGLYEPVENGGTNQQALQRFAKLLSDEKKLRESKSPKSDIK >ONH94844 pep chromosome:Prunus_persica_NCBIv2:G7:6401137:6404787:1 gene:PRUPE_7G034600 transcript:ONH94844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLSRHPSVSRLELAALIHVGMTTVAIHHGQRYWLPSHECFPNAMDYRTEPYLLKVQSSSCYGRTYTVRVLKGVPNGKRIDLQIVLFKNRLDCRLVTLGIPCILIVCSEIALVVVSSRRKLPGQSFCFTHKCKVNFSSGATPIYRTRVSNAPQASDPLSLQTTAFERRHTAEKDQAPPTAKLPNVNVHSPTSEFYTTCTPPKVYKRSAIPQNGGNLNFRHIAYRSVSLSLLNPYLLKHQRAFGRYHTGALRSYRAFIILQTTRSTEAQSYLNLEGVNLAMATSYWLPTVNMLPSLVELHLPSCRLTMLPLTLPSINFTSLLVLDLSKNKFTSTIPPWLFNLTELEMLDLTNNNLTGKLLDSLGYLKSLRYLDLSYNSFQGSIPKSIGNLTSLEEFDLAWNQMSGIILESLGEFSSLVSLDIYGNTWEGAITEPHFAKLGGLRRVSIENNSPNISLVFNISSDWIPPFKLRSLYIHANWTELTTLTINNARISDTIPDWFSQLDLQLDYLDVSYNQLSGTLLFLKILRLRSNSFTRSTPLQLCGLFALHILDFSHNNLSGNIPHCIGNLSGLKSEFTNKETEVYGHEGRLEVVSKGRVLEYDSILYLVNSIDLSDNNLSGEMSVGITSLIKLGTLNLSMNHLKYPSKYGKLGSMVSVTFLNHLNLSYNNLSGKIPTGNQFQTFVDPSIYEGNASLSRCPLPIGCQDNKEAPQIPSGDGGEDDDSKLEKLQFIISMVIGFCAGFWGVFRTFAMKRF >ONH98462 pep chromosome:Prunus_persica_NCBIv2:G7:21162626:21167296:1 gene:PRUPE_7G250000 transcript:ONH98462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHHLFIQKMEIEHIIPFRTAITMLSLLLLVKLPAISSSGVVIQSKVCSDHLAYSKSYGHELYYINGNSVEKAVFCTALETYYANGCILEGYLGINHCVSDFSLVGSHLRTGRKLLQKESRDKTTSNDREEKNGVASVSMTKKVGMAVGAMSLLCCVFMCPCFYKKRREAAHKVLAKDPNSMDSASSFEVNSAPEKIPASPLRVPPSPRFSISPKLSRLGSVHLSLSQIAKATRNFSQSQQVGEGGFGTVYRARLDDGQLVSIKRAKKEHFENLETEFSSEVELLAKIDHRNLVKLLGYVDEGNERLIITEYVPNGTLREHLDGQHGKILEFNQRLEIAIDVAHALTYLHLYAEKQIIHRDVKSSNVLLTESMRAKVADFGFARLGPVDSEQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLIEIITGRRPVELKRSVEERVTLRWAFKKMNEGRTVELVDPALEKVVDAEVLVKIFDLAIQCAAPVRADRPDMKSVGEQLWTIRADYLRSVKKG >ONH98461 pep chromosome:Prunus_persica_NCBIv2:G7:21162626:21167230:1 gene:PRUPE_7G250000 transcript:ONH98461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHHLFIQKMEIEHIIPFRTAITMLSLLLLVKLPAISSSGVVIQSKVCSDHLAYSKSYGHELYYINGNSVEKAVFCTALETYYANGCILEGYLGINHCVSDFSLVGSHLRTGRKLLQKESRDKTTSNDREEKNGVASVSMTKKVGMAVGAMSLLCCVFMCPCFYKKRREAAHKVLAKDPNSMDSASSFEVNSAPEKIPASPLRVPPSPRFSISPKLSRLGSVHLSLSQIAKATRNFSQSQQVGEGGFGTVYRARLDDGQLVSIKRAKKEHFENLETEFSSEVELLAKIDHRNLVKLLGYVDEGNERLIITEYVPNGTLREHLDGQHGKILEFNQRLEIAIDVAHALTYLHLYAEKQIIHRDVKSSNVLLTESMRAKVADFGFARLGPVDSEQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLIEIITGRRPVELKRSVEERVTLRWAFKKMNEGRTVELVDPALEKVVDAEVLVKIFDLAIQCAAPVRADRPDMKSVGEQLWTIRADYLRSVKKG >ONH98466 pep chromosome:Prunus_persica_NCBIv2:G7:21162626:21167152:1 gene:PRUPE_7G250000 transcript:ONH98466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHHLFIQKMEIEHIIPFRTAITMLSLLLLVKLPAISSSGVVIQSKVCSDHLAYSKSYGHELYYINGNSVEKAVFCTALETYYANGCILEGYLGINHCVSDFSLVGSHLRTGRKLLQKESRDKTTSNDREEKNGVASVSMTKKVGMAVGAMSLLCCVFMCPCFYKKRREAAHKVLAKDPNSMDSASSFEVNSAPEKIPASPLRVPPSPRFSISPKLSRLGSVHLSLSQIAKATRNFSQSQQVGEGGFGTVYRARLDDGQLVSIKRAKKEHFENLETEFSSEVELLAKIDHRNLVKLLGYVDEGNERLIITEYVPNGTLREHLDGQHGKILEFNQRLEIAIDVAHALTYLHLYAEKQIIHRDVKSSNVLLTESMRAKVADFGFARLGPVDSEQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLIEIITGRRPVELKRSVEERVTLRWAFKKMNEGRTVELVDPALEKVVDAEVLVKIFDLAIQCAAPVRADRPDMKSVGEQLWTIRADYLRSVKKG >ONH98465 pep chromosome:Prunus_persica_NCBIv2:G7:21162870:21167064:1 gene:PRUPE_7G250000 transcript:ONH98465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHHLFIQKMEIEHIIPFRTAITMLSLLLLVKLPAISSSGVVIQSKVCSDHLAYSKSYGHELYYINGNSVEKAVFCTALETYYANGCILEGYLGINHCVSDFSLVGSHLRTGRKLLQKESRDKTTSNDREEKNGVASVSMTKKVGMAVGAMSLLCCVFMCPCFYKKRREAAHKVLAKDPNSMDSASSFEVNSAPEKIPASPLRVPPSPRFSISPKLSRLGSVHLSLSQIAKATRNFSQSQQVGEGGFGTVYRARLDDGQLVSIKRAKKEHFENLETEFSSEVELLAKIDHRNLVKLLGYVDEGNERLIITEYVPNGTLREHLDGQHGKILEFNQRLEIAIDVAHALTYLHLYAEKQIIHRDVKSSNVLLTESMRAKVADFGFARLGPVDSEQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLIEIITGRRPVELKRSVEERVTLRWAFKKMNEGRTVELVDPALEKVVDAEVLVKIFDLAIQCAAPVRADRPDMKSVGEQLWTIRADYLRSVKKG >ONH98464 pep chromosome:Prunus_persica_NCBIv2:G7:21162626:21167286:1 gene:PRUPE_7G250000 transcript:ONH98464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHHLFIQKMEIEHIIPFRTAITMLSLLLLVKLPAISSSGVVIQSKVCSDHLAYSKSYGHELYYINGNSVEKAVFCTALETYYANGCILEGYLGINHCVSDFSLVGSHLRTGRKLLQKESRDKTTSNDREEKNGVASVSMTKKVGMAVGAMSLLCCVFMCPCFYKKRREAAHKVLAKDPNSMDSASSFEVNSAPEKIPASPLRVPPSPRFSISPKLSRLGSVHLSLSQIAKATRNFSQSQQVGEGGFGTVYRARLDDGQLVSIKRAKKEHFENLETEFSSEVELLAKIDHRNLVKLLGYVDEGNERLIITEYVPNGTLREHLDGQHGKILEFNQRLEIAIDVAHALTYLHLYAEKQIIHRDVKSSNVLLTESMRAKVADFGFARLGPVDSEQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLIEIITGRRPVELKRSVEERVTLRWAFKKMNEGRTVELVDPALEKVVDAEVLVKIFDLAIQCAAPVRADRPDMKSVGEQLWTIRADYLRSVKKG >ONH98463 pep chromosome:Prunus_persica_NCBIv2:G7:21162626:21167064:1 gene:PRUPE_7G250000 transcript:ONH98463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHHLFIQKMEIEHIIPFRTAITMLSLLLLVKLPAISSSGVVIQSKVCSDHLAYSKSYGHELYYINGNSVEKAVFCTALETYYANGCILEGYLGINHCVSDFSLVGSHLRTGRKLLQKESRDKTTSNDREEKNGVASVSMTKKVGMAVGAMSLLCCVFMCPCFYKKRREAAHKVLAKDPNSMDSASSFEVNSAPEKIPASPLRVPPSPRFSISPKLSRLGSVHLSLSQIAKATRNFSQSQQVGEGGFGTVYRARLDDGQLVSIKRAKKEHFENLETEFSSEVELLAKIDHRNLVKLLGYVDEGNERLIITEYVPNGTLREHLDGQHGKILEFNQRLEIAIDVAHALTYLHLYAEKQIIHRDVKSSNVLLTESMRAKVADFGFARLGPVDSEQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLIEIITGRRPVELKRSVEERVTLRWAFKKMNEGRTVELVDPALEKVVDAEVLVKIFDLAIQCAAPVRADRPDMKSVGEQLWTIRADYLRSVKKG >ONH98753 pep chromosome:Prunus_persica_NCBIv2:G7:21873037:21875140:1 gene:PRUPE_7G264600 transcript:ONH98753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRCEIFCEILIAVLIPPLGVCLRHGCCTIEFCICLLLTLLGYIPGIIYALYAIVFVNRDEYFDEYRRPLYASPA >ONH96078 pep chromosome:Prunus_persica_NCBIv2:G7:13502534:13506026:1 gene:PRUPE_7G106100 transcript:ONH96078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMRLVSFLFCVVLLFGSAFTNSKAAVNTDPQLRPICERLDNRKSFDVLEPGFIFGTASAAYQCAGAVKTDGRGPSIWDNYTHSHPERIKDGSNGDIAVDQYHRFKGDVAIMKNISVDAYRLSISWSRLLPNGTLSGGVNMDGINYYNSFIDDLINSSITPFVTIFHWDLPQALNDSYGGFLSPKVVDDYLAFAKLCFENFGNRVKHWITLNEPYTVSHHGYAVGCHAPGRCSAWQNLSCIGGDSGTEPYLVTHHQLLAHAAAVKLYKNEYQAYQNGSIGITLVSHWFEPASNSTKDKSAANRSLDFMFGWFMDPLTYGDYPPSMRSIVKERLPKFTDEESKLLKGSYDFIGINYYSARYASKGHEFISAHHDYSYLTDPHVNVTTELNGVPIGPQAASDWLYVYPKGIYDLLSYTKNKYNDPIIYITENGVDEFNDPKIPPEQALNDTNRTDFYYHHLCYLHKAMSEGAKVKGYFAWSLVDNFEWNDGYTVRFGIVYVDYRNGTANYLARHLKSSAVWFQNFLKKRLY >ONH98342 pep chromosome:Prunus_persica_NCBIv2:G7:20890702:20891593:-1 gene:PRUPE_7G244600 transcript:ONH98342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKLSQRGAGVSEPPRIIIFSAPRPFTGSVGARQSLVVRSWLALSPQIAVVLFSKDPYIVSFTGAFDSRVLVEPNIGFT >ONH96650 pep chromosome:Prunus_persica_NCBIv2:G7:15783835:15786650:1 gene:PRUPE_7G143500 transcript:ONH96650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHDTPTSSAPIPHFFKIILDDTSKNIRIKIPMKFVMKYGEHLSSPVHLKLPNGAEWEIELRRCNNGGVWFDKGWPEFSKFCSLDYGNWLVFGYEGNSNFHVLIFDRTSTEVEYAITKPEMEETDYEEEDDNSVEILDGFPPCPRKAREKSPLPCPQPHKKMRTCGKAECNINFRPTKTQTSLRNKASDSSRSEMKKEDESDDDSVETLDAFPSCPKTRDKSPLAPSEVKDRVGRMHASTTCGKSIALQRAIAFESVSPSFTVVMQPSYIPYGPLTLPIRFARSFVKLRKQTATLQVRERSWPVNLIGWTKESSAKLSGGWPAFATENCLRRGDVCTFELIERNDIVLKVHIFRN >ONH95437 pep chromosome:Prunus_persica_NCBIv2:G7:10845819:10850783:1 gene:PRUPE_7G070500 transcript:ONH95437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNLDYQGFVNTIVCPPINHSPKNVVCLSGCTQIQMGSKICSVVSRGASSSCCKGLQTGKTGTKIFSLPLSKNRPTNIGQTSHGNCFRFFFSKDSRSLTVNAGGPNKGSLEISLACRGMNTRLLVPRQGMLPKIKCNVGPVSWPQGCASAGLIFGLLVCNCSGPAHAEAAHREDEEDDNDLSYVKFSRGKKVYTDYSIIGIPGDGRCLFRSVAHGAYLRAGKAAPAESLQRELADDLRARVADEFIKRREETEWFVEGDFDTYVSQIRRPHVWGGEPELFMASHVLKMPITVYMYDEKAGGLITIAEYGQEYGKENPIKVLYHGFGHYDALRIPGKNGGRSRL >ONH95436 pep chromosome:Prunus_persica_NCBIv2:G7:10845819:10850783:1 gene:PRUPE_7G070500 transcript:ONH95436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNLDYQGFVNTIVCPPINHSPKNVVCLSGCTQIQMGSKICSVVSRGASSSCCKGLQTGKTGTKIFSLPLSKNRPTNIGQTSHGNCFRFFFSKDSRSLTVNAGGPNKGSLEISLACRGMNTRLLVPRQGMLPKIKCNVGPVSWPQGCASAGLIFGLLVCNCSGPAHAEAAHREDEEDDNDLSYVKFSRGKKVYTDYSIIGIPGDGRCLFRSVAHGAYLRAGKAAPAESLQRELADDLRARVADEFIKRREETEWFVEGDFDTYVSQIRRPHVWGGEPELFMASHVLKMPITVYMYDEKAGGLITIAEYGQEYGKENPIKVLYHGFGHYDALRIPGKNGGRSRL >ONH95438 pep chromosome:Prunus_persica_NCBIv2:G7:10845819:10850783:1 gene:PRUPE_7G070500 transcript:ONH95438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNLDYQGFVNTIVCPPINHSPKNVVCLSGCTQIQMGSKICSVVSRGASSSCCKGLQTGKTGTKIFSLPLSKNRPTNIGQTSHGNCFRFFFSKDSRSLTVNAGGPNKGSLEISLACRGPAHAEAAHREDEEDDNDLSYVKFSRGKKVYTDYSIIGIPGDGRCLFRSVAHGAYLRAGKAAPAESLQRELADDLRARVADEFIKRREETEWFVEGDFDTYVSQIRRPHVWGGEPELFMASHVLKMPITVYMYDEKAGGLITIAEYGQEYGKENPIKVLYHGFGHYDALRIPGKNGGRSRL >ONH95439 pep chromosome:Prunus_persica_NCBIv2:G7:10847048:10850783:1 gene:PRUPE_7G070500 transcript:ONH95439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNLDYQGFVNTIVCPPINHSPKNVVCLSGCTQIQMGSKICSVVSRGASSSCCKGLQTGKTGTKIFSLPLSKNRPTNIGQTSHGNCFRFFFSKDSRSLTVNAGGPNKGSLEISLACRGPAHAEAAHREDEEDDNDLSYVKFSRGKKVYTDYSIIGIPGDGRCLFRSVAHGAYLRAGKAAPAESLQRELADDLRARVADEFIKRREETEWFVEGDFDTYVSQIRRPHVWGGEPELFMASHVLKMPITVYMYDEKAGGLITIAEYGQEYGKENPIKVLYHGFGHYDALRIPGKNGGRSRL >ONH95440 pep chromosome:Prunus_persica_NCBIv2:G7:10845819:10850783:1 gene:PRUPE_7G070500 transcript:ONH95440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNLDYQGFVNTIVCPPINHSPKNVVCLSGCTQIQMGSKICSVVSRGASSSCCKGLQTGKTGTKIFSLPLSKNRPTNIGQTSHGNCFRFFFSKDSRSLTVNAGGPNKGSLEISLACRGPAHAEAAHREDEEDDNDLSYVKFSRGKKVYTDYSIIGIPGDGRCLFRSVAHGAYLRAGKAAPAESLQRELADDLRARVADEFIKRREETEWFVEGDFDTYVSQIRRPHVWGGEPELFMASHVLKMPITVYMYDEKAGGLITIAEYGQEYGKENPIKVLYHGFGHYDALRIPGKNGGRSRL >ONH94428 pep chromosome:Prunus_persica_NCBIv2:G7:2277584:2280153:1 gene:PRUPE_7G015800 transcript:ONH94428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAAAAAVNMASLITAIPVSSTKLNFNDKFTSAFHFERARAITKITAISPNGSASRSSSHGAECSAGDVDKRRNSLESLFCYDMAVPEERIEKPIGISLAEKVIGNKPRCIDCEAKGAILCTTCSGSGLYVDSILESQGIIVKVRCLGCGGTGNIMCSECGGRGHLG >ONH94786 pep chromosome:Prunus_persica_NCBIv2:G7:5636681:5640334:1 gene:PRUPE_7G030400 transcript:ONH94786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTPGMSHNGNSNMMATSSVDASMNTTRGSTSIAPTTVNTGNLLPAGPLHGGSFNRSDVTKSAFFGSMSNGYQQSPASFSIGTGGNKSSMGVQRIIRQMIPTPGFNSSSNQSYMNLEYSNNGGGFSTVDSSMVTQPQQQKQHIGGQISRILHNVGSQMGSEIRSGKQQTSYGLPNGALNGGLGLIGNNLPLVNESGTSEGYMTSTSCANSSKPSQQHCDQHQRPRMQVSSLILNSDSYGMSNVDSFGPGNYYGAATPVGSLLNVQNLNSVSSTPISKTNSPLISNQSNMHGAQQSVHVKPQQLDQLEKISFQTPLSSRENILHSHQPNQFQQQQQLFHQQRQQKQQNPQPQQLLNNDAFGQSQMTSDLNSAKRDMDHHNEATHQQATEPFRLSEMHNQFHQHSVEDRLRNAPHIPSGQHDISSSLSRTSQQMQQILQPHQLVAGSQNDFRSLSVGAQSEPELQGQWHPQSQDGSHRQANMSHEQHVHEDFRQRISGQDEA >ONH96432 pep chromosome:Prunus_persica_NCBIv2:G7:14893097:14897208:-1 gene:PRUPE_7G128700 transcript:ONH96432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVSHLPAFLSLFSQNLVFSDPKQQPKQLRWAAQQQRLPPPHFTVRASSVKKKTSRKVKSNEELRNELREFLTAVGLPKDHVPSLKDFSQHGRNDLANIVRRRGYKLIRKLLADSTKTDINGNVDNGVAGIQDATNDPKVIITGQDQEVNDAVEDFSLLSEVSTLEISSGGLITDPDPNHNDSGHAPVESSVDSDNLEGHSEQVNNVDGYVYVSTSVPVMENHSNMPLEISSDSSLPATVPVKENDSFGSDVGWNLNSGGHPSMPVESVPGLSSDGKVRGQDAKLDNMAEEYSSLTEVSVAEDHSSCSNIEPTHNSDHHSDAPLESPSNSSLDEKVAKFMQNGDLDTVEDKIYGILIGNEAENINEESKFGNTEDVQIRIRASEHSKSALDGSDATLTSASKQLLPSTTVDASLSRDDSSSAEGISSLSGKDSDVKTSEREDQLDINNLKFMLKEMELCRLKEQIEKEKLALSKLQTNAETAISKAQKLVFEKDAELLAAEESLSGLVEVEIQYRGDGEIVEVTGSFNGWHHQIEMDPQPSSSIIGPTGSRKTRLWSTRLWLYPGIYEIKFIVDGQWKIDPQRESVTRGTICNNILQVDR >ONH96425 pep chromosome:Prunus_persica_NCBIv2:G7:14892292:14897354:-1 gene:PRUPE_7G128700 transcript:ONH96425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVSHLPAFLSLFSQNLVFSDPKQQPKQLRWAAQQQRLPPPHFTVRASSVKKKTSRKVKSNEELRNELREFLTAVGLPKDHVPSLKDFSQHGRRRGYKLIRKLLADSTKTDINGNVDNGVAGIQDATNDPKVIITGQDQEVNDAVEDFSLLSEVSTLEISSGGLITDPDPNHNDSGHAPVESSVDSDNLEGHSEQVNNVDGYVYVSTSVPVMENHSNMPLEISSDSSLPATVPVKENDSFGSDVGWNLNSGGHPSMPVESVPGLSSDGKVRGQDAKLDNMAEEYSSLTEVSVAEDHSSCSNIEPTHNSDHHSDAPLESPSNSSLDEKVAKFMQNGDLDTVEDKIYGILIGNEAENINEESKFGNTEDVQIRIRASEHSKSALDGSDATLTSASKQLLPSTTVDASLRDDSSSAEGISSLSGKDSDVKTSEREDQLDINNLKFMLHQKEMELCRLKEQIEKEKLALSKLQTNAETAISKAQKLVFEKDAELLAAEESLSGLVEVEIQYRGDGEIVEVTGSFNGWHHQIEMDPQPSSSIIGPTGSRKTRLWSTRLWLYPGIYEIKFIVDGQWKIDPQRESVTRGTICNNILQVDR >ONH96429 pep chromosome:Prunus_persica_NCBIv2:G7:14893097:14897208:-1 gene:PRUPE_7G128700 transcript:ONH96429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVSHLPAFLSLFSQNLVFSDPKQQPKQLRWAAQQQRLPPPHFTVRASSVKKKTSRKVKSNEELRNELREFLTAVGLPKDHVPSLKDFSQHGRNDLANIVRRRGYKLIRKLLADSTKTDINGQDQEVNDAVEDFSLLSEVSTLEISSGGLITDPDPNHNDSGHAPVESSVDSDNLEGHSEQVNNVDGYVYVSTSVPVMENHSNMPLEISSDSSLPATVPVKENDSFGSDVGWNLNSGGHPSMPVESVPGLSSDGKVRGQDAKLDNMAEEYSSLTEVSVAEDHSSCSNIEPTHNSDHHSDAPLESPSNSSLDEKVAKFMQNGDLDTVEDKIYGILIGNEAENINEESKFGNTEDVQIRIRASEHSKSALDGSDATLTSASKQLLPSTTVDASLSRDDSSSAEGISSLSGKDSDVKTSEREDQLDINNLKFMLHQKEMELCRLKEQIEKEKLALSKLQTNAETAISKAQKLVFEKDAELLAAEESLSGLVEVEIQYRGDGEIVEVTGSFNGWHHQIEMDPQPSSSIIGPTGSRKTRLWSTRLWLYPGIYEIKFIVDGQWKIDPQRESVTRGTICNNILQVDR >ONH96433 pep chromosome:Prunus_persica_NCBIv2:G7:14893097:14897208:-1 gene:PRUPE_7G128700 transcript:ONH96433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVSHLPAFLSLFSQNLVFSDPKQQPKQLRWAAQQQRLPPPHFTVRASSVKKKTSRKVKSNEELRNELREFLTAVGLPKDHVPSLKDFSQHGRNDLANIVRRRGYKLIRKLLADSTKTDINGNVDNGVAGIQDATNDPKVIITGQDQEVNDAVEDFSLLSEVSTLEISSGGLITDPDPNHNDSGHAPVESSVDSDNLEGHSEQVNNVDGYVYVSTSVPVMENHSNMPLEISSDSSLPATVPVKENDSFGSDVGWNLNSGGHPSMPVESVPGLSSDGKVRGQDAKLDNMAEEYSSLTEVSVAEDHSSCSNIEPTHNSDHHSDAPLESPSNSSLDEKVAKFMQNGDLDTVEDKIYGILIGNEAENINEESKFGNTEDVQIRIRASEHSKSALDGSDATLTSASKQLLPSTTVDASLSRDDSSSAEGISSLSGKDSDVKTSEREDQLDINNLKFMLHQKEMELCRLKEQIEKEKLALSKLQTNAETAISKAQKLVFEKDAELLAAEESLSGLVEVEIQYRGDGEIVEVTGSFNGWHHQIEMDPQPSSSIIGPTGSRKTRLWSTRLWLYPGIYEIKFIVDGQWKIDPQRESVTRGTICNNILQVDR >ONH96431 pep chromosome:Prunus_persica_NCBIv2:G7:14893097:14897208:-1 gene:PRUPE_7G128700 transcript:ONH96431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVSHLPAFLSLFSQNLVFSDPKQQPKQLRWAAQQQRLPPPHFTVRASSVKKKTSRKVKSNEELRNELREFLTAVGLPKDHVPSLKDFSQHGRNDLANIVRRRGYKLIRKLLADSTKTDINGNVDNGVAGIQDATNDPKVIITGQDQEVNDAVEDFSLLSEVSTLEISSGGLITDPDPNHNDSGHAPVESSVDSDNLEGHSEQVNNVDGYVYVSTSVPVMENHSNMPLEISSDSSLPATVPVKENDSFGSDVGWNLNSGGHPSMPVESVPGLSSDGKVRGQDAKLDNMAEEYSSLTEVSVAEDHSSCSNIEPTHNSDHHSDAPLESPSNSSLDEKVAKFMQNGDLDTVEDKIYGILIGNEAENINEESKFGNTEDVQIRIRASEHSKSALDGSDATLTSASKQLLPSTTVDASLRDDSSSAEGISSLSGKDSDVKTSEREDQLDINNLKFMLHQKEMELCRLKEQIEKEKLALSKLQTNAETAISKAQKLVFEKDAELLAAEESLSGLVEVEIQYRGDGEIVEVTGSFNGWHHQIEMDPQPSSSIIGPTGSRKTRLWSTRLWLYPGIYEIKFIVDGQWKIDPQRESVTRGTICNNILQVDR >ONH96427 pep chromosome:Prunus_persica_NCBIv2:G7:14893097:14897208:-1 gene:PRUPE_7G128700 transcript:ONH96427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVSHLPAFLSLFSQNLVFSDPKQQPKQLRWAAQQQRLPPPHFTVRASSVKKKTSRKVKSNEELRNELREFLTAVGLPKDHVPSLKDFSQHGRNDLANIVRRRGYKLIRKLLADSTKTDINGQDQEVNDAVEDFSLLSEVSTLEISSGGLITDPDPNHNDSGHAPVESSVDSDNLEGHSEQVNNVDGYVYVSTSVPVMENHSNMPLEISSDSSLPATVPVKENDSFGSDVGWNLNSGGHPSMPVESVPGLSSDGKVRGQDAKLDNMAEEYSSLTEVSVAEDHSSCSNIEPTHNSDHHSDAPLESPSNSSLDEKVAKFMQNGDLDTVEDKIYGILIGNEAENINEESKFGNTEDVQIRIRASEHSKSALDGSDATLTSASKQLLPSTTVDASLRDDSSSAEGISSLSGKDSDVKTSEREDQLDINNLKFMLHQKEMELCRLKEQIEKEKLALSKLQTNAETAISKAQKLVFEKDAELLAAEESLSGLVEVEIQYRGDGEIVEVTGSFNGWHHQIEMDPQPSSSIIGPTGSRKTRLWSTRLWLYPGIYEIKFIVDGQWKIDPQRESVTRGTICNNILQVDR >ONH96426 pep chromosome:Prunus_persica_NCBIv2:G7:14892292:14897354:-1 gene:PRUPE_7G128700 transcript:ONH96426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVSHLPAFLSLFSQNLVFSDPKQQPKQLRWAAQQQRLPPPHFTVRASSVKKKTSRKVKSNEELRNELREFLTAVGLPKDHVPSLKDFSQHGRNDLANIVRRRGYKLIRKLLADSTKTDINGQDQEVNDAVEDFSLLSEVSTLEISSGGLITDPDPNHNDSGHAPVESSVDSDNLEGHSEQVNNVDGYVYVSTSVPVMENHSNMPLEISSDSSLPATVPVKENDSFGSDVGWNLNSGGHPSMPVESVPGLSSDGKVRGQDAKLDNMAEEYSSLTEVSVAEDHSSCSNIEPTHNSDHHSDAPLESPSNSSLDEKVAKFMQNGDLDTVEDKIYGILIGNEAENINEESKFGNTEDVQIRIRASEHSKSALDGSDATLTSASKQLLPSTTVDASLRDDSSSAEGISSLSGKDSDVKTSEREDQLDINNLKFMLKEMELCRLKEQIEKEKLALSKLQTNAETAISKAQKLVFEKDAELLAAEESLSGLVEVEIQYRGDGEIVEVTGSFNGWHHQIEMDPQPSSSIIGPTGSRKTRLWSTRLWLYPGIYEIKFIVDGQWKIDPQRESVTRGTICNNILQVDR >ONH96428 pep chromosome:Prunus_persica_NCBIv2:G7:14892292:14897354:-1 gene:PRUPE_7G128700 transcript:ONH96428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVSHLPAFLSLFSQNLVFSDPKQQPKQLRWAAQQQRLPPPHFTVRASSVKKKTSRKVKSNEELRNELREFLTAVGLPKDHVPSLKDFSQHGRNDLANIVRRRGYKLIRKLLADSTKTDINGQDQEVNDAVEDFSLLSEVSTLEISSGGLITDPDPNHNDSGHAPVESSVDSDNLEGHSEQVNNVDGYVYVSTSVPVMENHSNMPLEISSDSSLPATVPVKENDSFGSDVGWNLNSGGHPSMPVESVPGLSSDGKVRGQDAKLDNMAEEYSSLTEVSVAEDHSSCSNIEPTHNSDHHSDAPLESPSNSSLDEKVAKFMQNGDLDTVEDKIYGILIGNEAENINEESKFGNTEDVQIRIRASEHSKSALDGSDATLTSASKQLLPSTTVDASLSRDDSSSAEGISSLSGKDSDVKTSEREDQLDINNLKFMLKEMELCRLKEQIEKEKLALSKLQTNAETAISKAQKLVFEKDAELLAAEESLSGLVEVEIQYRGDGEIVEVTGSFNGWHHQIEMDPQPSSSIIGPTGSRKTRLWSTRLWLYPGIYEIKFIVDGQWKIDPQRESVTRGTICNNILQVDR >ONH96430 pep chromosome:Prunus_persica_NCBIv2:G7:14892292:14897354:-1 gene:PRUPE_7G128700 transcript:ONH96430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVSHLPAFLSLFSQNLVFSDPKQQPKQLRWAAQQQRLPPPHFTVRASSVKKKTSRKVKSNEELRNELREFLTAVGLPKDHVPSLKDFSQHGRNDLANIVRRRGYKLIRKLLADSTKTDINGNVDNGVAGIQDATNDPKVIITGQDQEVNDAVEDFSLLSEVSTLEISSGGLITDPDPNHNDSGHAPVESSVDSDNLEGHSEQVNNVDGYVYVSTSVPVMENHSNMPLEISSDSSLPATVPVKENDSFGSDVGWNLNSGGHPSMPVESVPGLSSDGKVRGQDAKLDNMAEEYSSLTEVSVAEDHSSCSNIEPTHNSDHHSDAPLESPSNSSLDEKVAKFMQNGDLDTVEDKIYGILIGNEAENINEESKFGNTEDVQIRIRASEHSKSALDGSDATLTSASKQLLPSTTVDASLRDDSSSAEGISSLSGKDSDVKTSEREDQLDINNLKFMLKEMELCRLKEQIEKEKLALSKLQTNAETAISKAQKLVFEKDAELLAAEESLSGLVEVEIQYRGDGEIVEVTGSFNGWHHQIEMDPQPSSSIIGPTGSRKTRLWSTRLWLYPGIYEIKFIVDGQWKIDPQRESVTRGTICNNILQVDR >ONH95723 pep chromosome:Prunus_persica_NCBIv2:G7:12167680:12178951:1 gene:PRUPE_7G087600 transcript:ONH95723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTPAPLDQQEDEEMLVPHSDLVEGPQPMEAQVEPAASTVESQPVEDPPTMKFTWTIENFARLNTKKHYSDMFIVGGYKWRILIFPKGNNVDYLSMYLDVADSGTLPYGWSRYAHFSLAVVNQIQTKYSIRKDTQHQFNARESDWGFTSFMPLGDLYDPSRGYLVNDTVVVEAEVAVRKVLDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDSDKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRDSDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLAEQIGRDIYFDLVDHDKVRSFRIQKQTPFNLFKEEVAKEFGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEELQSVGHLREVSNKTHNAELKLFLEVEFGPDLRPIPLPDKTKEDILLFFKLYEPQKRELRFVGRLFVKSSSKPVDILAKLNQLAGFGPDEEIELYEEIKFEPCIMCEHLDKRTSFRLSQIEDGDIICFQKSTPLESEEECKYPDVPSFLEYVHNRQIVHFRSLEKPKEEDFSLELSKLHTYDDVVEKVARQIGLEDPTKIRLTAHNCYSQQPKPQPIKYRGVEHLTDMLVHYNQSSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINVLKTKVELSHPNAELRLLEVFYHKIYKIFPHTEKIENINDQYWTLRAEEIPEEEKNLAVHDRLIHVYHFTKDTAQNQMQVQNFGEPFFLVIHEGETLAEVKVRVQKKLQVPDDEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQNRHAYEKPVKIYN >ONH95724 pep chromosome:Prunus_persica_NCBIv2:G7:12167658:12178951:1 gene:PRUPE_7G087600 transcript:ONH95724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTPAPLDQEDEEMLVPHSDLVEGPQPMEVAQVEPAASTVESQPVEDPPTMKFTWTIENFARLNTKKHYSDMFIVGGYKWRILIFPKGNNVDYLSMYLDVADSGTLPYGWSRYAHFSLAVVNQIQTKYSIRKDTQHQFNARESDWGFTSFMPLGDLYDPSRGYLVNDTVVVEAEVAVRKVLDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDSDKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRDSDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLAEQIGRDIYFDLVDHDKVRSFRIQKQTPFNLFKEEVAKEFGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEELQSVGHLREVSNKTHNAELKLFLEVEFGPDLRPIPLPDKTKEDILLFFKLYEPQKRELRFVGRLFVKSSSKPVDILAKLNQLAGFGPDEEIELYEEIKFEPCIMCEHLDKRTSFRLSQIEDGDIICFQKSTPLESEEECKYPDVPSFLEYVHNRQIVHFRSLEKPKEEDFSLELSKLHTYDDVVEKVARQIGLEDPTKIRLTAHNCYSQQPKPQPIKYRGVEHLTDMLVHYNQSSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINVLKTKVELSHPNAELRLLEVFYHKIYKIFPHTEKIENINDQYWTLRAEEIPEEEKNLAVHDRLIHVYHFTKDTAQNQMQVQNFGEPFFLVIHEGETLAEVKVRVQKKLQVPDDEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQNRHAYEKPVKIYN >ONH95726 pep chromosome:Prunus_persica_NCBIv2:G7:12170903:12178951:1 gene:PRUPE_7G087600 transcript:ONH95726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVPIYFLADLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDSDKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRDSDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLAEQIGRDIYFDLVDHDKVRSFRIQKQTPFNLFKEEVAKEFGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEELQSVGHLREVSNKTHNAELKLFLEVEFGPDLRPIPLPDKTKEDILLFFKLYEPQKRELRFVGRLFVKSSSKPVDILAKLNQLAGFGPDEEIELYEEIKFEPCIMCEHLDKRTSFRLSQIEDGDIICFQKSTPLESEEECKYPDVPSFLEYVHNRQIVHFRSLEKPKEEDFSLELSKLHTYDDVVEKVARQIGLEDPTKIRLTAHNCYSQQPKPQPIKYRGVEHLTDMLVHYNQSSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINVLKTKVELSHPNAELRLLEVFYHKIYKIFPHTEKIENINDQYWTLRAEEIPEEEKNLAVHDRLIHVYHFTKDTAQNQMQVQNFGEPFFLVIHEGETLAEVKVRVQKKLQVPDDEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQNRHAYEKPVKIYN >ONH95722 pep chromosome:Prunus_persica_NCBIv2:G7:12167658:12178951:1 gene:PRUPE_7G087600 transcript:ONH95722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTPAPLDQQEDEEMLVPHSDLVEGPQPMEVAQVEPAASTVESQPVEDPPTMKFTWTIENFARLNTKKHYSDMFIVGGYKWRILIFPKGNNVDYLSMYLDVADSGTLPYGWSRYAHFSLAVVNQIQTKYSIRKDTQHQFNARESDWGFTSFMPLGDLYDPSRGYLVNDTVVVEAEVAVRKVLDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDSDKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRDSDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLAEQIGRDIYFDLVDHDKVRSFRIQKQTPFNLFKEEVAKEFGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEELQSVGHLREVSNKTHNAELKLFLEVEFGPDLRPIPLPDKTKEDILLFFKLYEPQKRELRFVGRLFVKSSSKPVDILAKLNQLAGFGPDEEIELYEEIKFEPCIMCEHLDKRTSFRLSQIEDGDIICFQKSTPLESEEECKYPDVPSFLEYVHNRQIVHFRSLEKPKEEDFSLELSKLHTYDDVVEKVARQIGLEDPTKIRLTAHNCYSQQPKPQPIKYRGVEHLTDMLVHYNQSSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINVLKTKVELSHPNAELRLLEVFYHKIYKIFPHTEKIENINDQYWTLRAEEIPEEEKNLAVHDRLIHVYHFTKDTAQNQMQVQNFGEPFFLVIHEGETLAEVKVRVQKKLQVPDDEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQNRHAYEKPVKIYN >ONH95725 pep chromosome:Prunus_persica_NCBIv2:G7:12167680:12178951:1 gene:PRUPE_7G087600 transcript:ONH95725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTPAPLDQEDEEMLVPHSDLVEGPQPMEAQVEPAASTVESQPVEDPPTMKFTWTIENFARLNTKKHYSDMFIVGGYKWRILIFPKGNNVDYLSMYLDVADSGTLPYGWSRYAHFSLAVVNQIQTKYSIRKDTQHQFNARESDWGFTSFMPLGDLYDPSRGYLVNDTVVVEAEVAVRKVLDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDSDKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRDSDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLAEQIGRDIYFDLVDHDKVRSFRIQKQTPFNLFKEEVAKEFGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEELQSVGHLREVSNKTHNAELKLFLEVEFGPDLRPIPLPDKTKEDILLFFKLYEPQKRELRFVGRLFVKSSSKPVDILAKLNQLAGFGPDEEIELYEEIKFEPCIMCEHLDKRTSFRLSQIEDGDIICFQKSTPLESEEECKYPDVPSFLEYVHNRQIVHFRSLEKPKEEDFSLELSKLHTYDDVVEKVARQIGLEDPTKIRLTAHNCYSQQPKPQPIKYRGVEHLTDMLVHYNQSSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINVLKTKVELSHPNAELRLLEVFYHKIYKIFPHTEKIENINDQYWTLRAEEIPEEEKNLAVHDRLIHVYHFTKDTAQNQMQVQNFGEPFFLVIHEGETLAEVKVRVQKKLQVPDDEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQNRHAYEKPVKIYN >ONH96648 pep chromosome:Prunus_persica_NCBIv2:G7:15775384:15777917:1 gene:PRUPE_7G143300 transcript:ONH96648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSRHGKGLAFPVDSRSFFKIVLSKDVLKFPESVVTKYGDCLGDTVFLKVPNSEVWPIELTKRNGQVWLQQGWSNFADFYSLAFGYVLLFSYEGNHSHFQVRIFMRSPLETDYFGSSVKEEDNLNGEMDYSNSPESPASLMNAPSFFKIVLGRTLQDGKLEIPIIAVHTYRDYMADTAYFEVPDGSIWPIEWTRRDREIWLGRGWPEFAKSYSLEDGCFLVFSYAGKCSHFQLRIFRKNTLEMEYNSSSDDTEGNSNTSRDQTEGEKRTRGGDGGKTASHKSSGVDLRTVKLESLKGRAFAKASSFKYDNPFTVISMQPSYVLNHLSISPSFCHETYPFRWRMQCDPTDF >ONH98655 pep chromosome:Prunus_persica_NCBIv2:G7:21666556:21668986:-1 gene:PRUPE_7G260500 transcript:ONH98655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKLHNFQIFLQSPDLQIPTQTITLAPTQTPTLRHLKLSIFSHTLPSLYFTLNGKPLNDSTPLLDSQITPLSTLVLRIRACGGGGDGGATGAESRDCYLKMYAEKKPDKVDPNEQRLSKWLNCSLSNEPLKEPCVVDFLGNVFNKEPLVEALLGKKVPKAFGHIKGLKDMITVHFSPIPGTESNRRSSAAGPRFQCPISGVEFNGKCKFLALKTCGHVLSAKALKEVKSSTCLVCHAGFSEADKIVINGNEEEVAALRDRMEAEKAKGRVKKMKKTKNGDATLNGEEGMGFEASRLSGTKHGIDVKAVEKASANVEVHGKVVNGGDNVKGVSNGAAKRFKAVDIAPPNATKEVYASIFTSSRKSEFKETYSCRSLPLGRN >ONH96632 pep chromosome:Prunus_persica_NCBIv2:G7:15734880:15738674:-1 gene:PRUPE_7G142600 transcript:ONH96632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSCNIVALVGLVLLFMIHLANAAENKGLGASFIFGDSLVDSGNNNYLPSLSKANIVPNGIDFKASGGKPTGRYTNGRTIGDIVGEELGQPNYALPYLAPNTTGKSILYGVNYASGGAGILNSTGRIFVNRVGMDIQVDFFNQTRKQIDKVLGPSKAKEYIMKRSIFSITIGSNDFLNNYLLPVLSIGARISESPDAFIDDLISHFRAQLTRLYQLDARKFVIGNVGPLGCIPYQKTINQLNPDECVELPNKLALQYNGRLKDLLAELNDNLPGSTFVYANVYDLVKELITNYDKYGFTTASKACCGNGGQYAGIVPCGPTSSLCSDRSMHVFWDPYHPSEAANLLLAKKLIDGDTRFISPMNLRQLRDH >ONH96010 pep chromosome:Prunus_persica_NCBIv2:G7:13141988:13154751:-1 gene:PRUPE_7G101600 transcript:ONH96010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTEKDVGNGRDGNSVGENGFLDGSHPSPSTSGSIVAVPPVGKRFEVKDALSYANILRSRNKFADALSLYETVLEKDAGNVEAHIGKGICLQMKNMGRLAFDSFTEAIRLDPQNACALTHCGILYKDEGRLREAAESYQKALKADPSYKPAAECLAIVLTDLGTSLKLAGNTQEGLQKYYEALKTDPHYAPAYYNLGVVYSEMMQFDTALSCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDIDQGISYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAANMIEKAIIANPTYAEAYNNLGVLYRDAGNITLAIDAYEQCLKIDPDSRNAGQNRLLAMNYINEGHDEKLFVAHRDWGRRFMRLYPQYASWDNPKDPERPLVIGYISPDYFTHSVSYFIEAPLAHHEYAKYKVVVYSAVVKADAKTIRFRDKVLKKGGIWRDIYGIDEKKVATMVREDKVDILVELTGHTANNKLGTMACRPSPVQVTWIGYPNTTGLPAIDYRITDSLADPPDSKQKHVEELVRLPDCFLCYTPSPEAGPVLPTPALSNGFITFGSFNNLAKITPKVLQVWARILSAIPNSRLVVKCKPFSCDSVRERFLSTLEQLGLEPLRVDLLPLILLNYDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSILGKVGLGNLIAKNEDEYVQLAVQLASDVTALSNLRMGLRDLMSRSPVCDGPKFTLGLESAYRNMWHRYCKGDVPSQRHIEMLQQEVITEEPAAEISESTSITTPREGPPGSIKTNGFIPLPQPVLNLSTCEENGGV >ONH96009 pep chromosome:Prunus_persica_NCBIv2:G7:13142507:13153618:-1 gene:PRUPE_7G101600 transcript:ONH96009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTEKDVGNGRDGNSVGENGFLDGSHPSPSTSGSIVAVPPVGKRFEVKDALSYANILRSRNKFADALSLYETVLEKDAGNVEAHIGKGICLQMKNMGRLAFDSFTEAIRLDPQNACALTHCGILYKDEGRLREAAESYQKALKADPSYKPAAECLAIVLTDLGTSLKLAGNTQEGLQKYYEALKTDPHYAPAYYNLGVVYSEMMQFDTALSCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDIDQGISYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAANMIEKAIIANPTYAEAYNNLGVLYRDAGNITLAIDAYEQCLKIDPDSRNAGQNRLLAMNYINEGHDEKLFVAHRDWGRRFMRLYPQYASWDNPKDPERPLVIGYISPDYFTHSVSYFIEAPLAHHEYAKYKVVVYSAVVKADAKTIRFRDKVLKKGGIWRDIYGIDEKKVATMVREDKVDILVELTGHTANNKLGTMACRPSPVQVTWIGYPNTTGLPAIDYRITDSLADPPDSKQKHVEELVRLPDCFLCYTPSPEAGPVLPTPALSNGFITFGSFNNLAKITPKVLQVWARILSAIPNSRLVVKCKPFSCDSVRERFLSTLEQLGLEPLRVDLLPLILLNYDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSILGKVGLGNLIAKNEDEYVQLAVQLASDVTALSNLRMGLRDLMSRSPVCDGPKFTLGLESAYRNMWHRYCKGDVPSQRHIEMLQQEVITEEPAAEISESTSITTPREGPPGSIKTNGFIPLPQPVLNLSTCEENGGV >ONH97437 pep chromosome:Prunus_persica_NCBIv2:G7:18226567:18227344:1 gene:PRUPE_7G190200 transcript:ONH97437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIDLSNIAFSLCGVTWPRGKEPYADEAFELLRQTILHTKVEVLLDTVDGDGYFIGTLSASNTHVAIPLLQAGLAKLEENFPKAYSTESNNAQKYAREKKLKIWETCVETS >ONH97550 pep chromosome:Prunus_persica_NCBIv2:G7:18551981:18554889:1 gene:PRUPE_7G196300 transcript:ONH97550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSEFTEGTEAANSLQSICYKRGSLQLLDQRKLPLQTTYLDIRDSTDGWHAIKDMVVRGAPAIAIAAALSLAVEVFNLEDFNGTPDEAFSFIVMKLEYLVSSRPTAVNLSDASAKLRQIASTVAAATSDAKNVFEAFIEASEIMLKDDVASNKAIGSYGASFIQHLLENSKSISMLTHCNTGSLASAGYGTALGVIRSLHTEGVLERAYCTETRPFNQGSRLTAFELVHDNIPATLVVDSAAAALMKDGRVNAVVVGADRVAANGDTANKIGTYSLAVSAKYHNIPFFVAAPLTSVDLALSSGQEIIIEERSPKELLHSQGGLGEQVAASGISVWNPAFDVTPANLIAGIITEKGVITKKGTDAFDIKGFVQKAALQSGA >ONH97551 pep chromosome:Prunus_persica_NCBIv2:G7:18551981:18554893:1 gene:PRUPE_7G196300 transcript:ONH97551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNSEFTEGTEAANSLQSICYKRGSLQLLDQRKLPLQTTYLDIRDSTDGWHAIKDMVVRGAPAIAIAAALSLAVEVFNLEDFNGTPDEAFSFIVMKLEYLVSSRPTAVNLSDASAKLRQIASTVAAATSDAKNVFEAFIEASEIMLKDDVASNKAIGSYGASFIQHLLENSKSISMLTHCNTGSLASAGYGTALGVIRSLHTEGVLERAYCTETRPFNQGSRLTAFELVHDNIPATLVVDSAAAALMKDGRVNAVVVGADRVAANGDTANKIGTYSLAVSAKYHNIPFFVAAPLTSVDLALSSGQEIIIEERSPKELLHSQGGLGEQVAASGISVWNPAFDVTPANLIAGIITEKGVITKKGTDAFDIKGFVQKAALQSGA >ONH97602 pep chromosome:Prunus_persica_NCBIv2:G7:18709748:18712362:-1 gene:PRUPE_7G200000 transcript:ONH97602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGSRNQKTFRPKKSAPSGSKGAQLQKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNILYGTLTEFCTPVNCPTMSAGSKYEYRWADGVNIKKPIEVSAPKYVEYLMDWIENQLDEESIFPQRLGAPFPANFQDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFTWEFRLIDKGELAPLQDLVESILQPK >ONH97170 pep chromosome:Prunus_persica_NCBIv2:G7:17390089:17392018:-1 gene:PRUPE_7G173700 transcript:ONH97170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNLAFLSSVLIILSAFSPVITALSSNYYDKSCPNVDQIVSNAVKKAAANDRTVPAALLRMHFHDCFIRGCDASVLLNSKGSNQAEKDGPPNISLHAFYVIDNAKKQVEASCPGVVSCADILALAARDAVVQSGGPNWDVPKGRKDGRTSKATETRQLPAPTFNISQLQQSFSQRGLSLNDLVALSGGHTLGFSHCSSFQNRIHNFNATHDVDPSLRPSFAASLKNTCPINNRPKNAGATMDPSSTTFDNAYYKLILQGKSLFSSDQALLSFPKTKNLVTKFATSKEAFLDAFVNSMIKMSSITGGQEVRKDCRIVN >ONH97171 pep chromosome:Prunus_persica_NCBIv2:G7:17390665:17391903:-1 gene:PRUPE_7G173700 transcript:ONH97171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNLAFLSSVLIILSAFSPVITALSSNYYDKSCPNVDQIVSNAVKKAAANDRTVPAALLRMHFHDCFIRGCDASVLLNSKGSNQAEKDGPPNISLHAFYVIDNAKKQVEASCPGVVSCADILALAARDAVVQSGGPNWDVPKGRKDGRTSKATETRQLPAPTFNISQLQQSFSQRGLSLNDLVALSGKLTSISQLQLQLLFHIFHLSQEEMESLTIETSAGGHTLGFSHCSSFQNRIHNFNATHDVDPSLRPSFAASLKNTCPINNRPKNAGATMDPSSTTFDNAYYKLILQGKSLFSSDQALLSFPKTKNLVTKFATSKEAFLDAFVNSMIKMSSITGGQEVRKDCRIVN >ONH98700 pep chromosome:Prunus_persica_NCBIv2:G7:21783152:21786957:1 gene:PRUPE_7G262300 transcript:ONH98700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFFVSLLVLSLFLKPLACQQPNTDGFFVSQFLQKMGLSSSQLYNFSAPVCSWKGVFCDAKKEYVFGLEVSRLELSGSIPDTTIGKLTKLQTLDLSNNKITGLPSDLWSLGSLKYLNLSHNQISGSLPNNIGNFGLLESFDLSSNNFSGEIPAAISSLVSLRVLKLSQNLFENSIPSGIVSCQSLFWIDLSSNRLNGSLPDGFVAAFPKLQMLNLAGNEISGRDSDFSEMKSITSLNISGNMFQGSVVGVFKELLEVVDLSRNQFQGHISQVQFNTSYNWSHLVYLDLSENQLGGEILHNWNQAQNLKHLNLADNRFTRQEFPRNMEMLLGLEYLNLSKTSLTGRIPAEVSKLSNLNTLDLSQNHLIGHIPLLSIRNLQVFDVSFNNLSGEIPASLLEKLPWMERFNFSYNNLTLCASEISPETLQTSFFGSSNSCPIAANPVLLRRRATKDNHKGLKLALVLTFSMVCLLAGLLFLAFGCRRKTRMWEVKQPSYKEEQNISGPFSFQTDSTTWVADVKQATSVPVVIFEKPLLNFTFSDLLSATSNFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLVHGSTLTDQETAREFEYLGRIKHPNLVPLTGYCLAGDQRIAIYDYMENGNLQNLLYDLPLGVQTTEDWSTDTWEEDDNNGIQNVGSEGLLTTWRFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDYNLEPRLSDFGLAKIFGNGLDEEISRGSPGYLPPEFSQPEYDTPTPKSDVYCFGVVLFELITGKKPIGDDYPEEKDATLVSWVRGLVKKNRGASAIDPKIRDTGPDDQMEEALKIGYLCTADLPLKRPSMHQIVGLLKDMEQTGNQ >ONH98840 pep chromosome:Prunus_persica_NCBIv2:G7:22080670:22085610:-1 gene:PRUPE_7G268300 transcript:ONH98840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIAAQLKRGISRQFSTGSLRRNLSRQFSRQSSLDPRRNNLRFSFGRQSSLDPIRRSPSHDDEDEADLSVPENLDSTMQLLFLACRGDVNGVEDLLNQGVDVNSIDLDGRTGLHIAACEGHVDVVRLMLTRKANIDARDRWGSTAAADAKYYGNTEVYNILKARGAKVPKVRKTPMTVANPREVPEYELNPLELQVRKSDGISKGTYQVAKWNGTKVSVKILDKESYSDPESINAFKNELELLEKVRHPNVVQFVGAVTQNIPMMIVSEYHPKGDLGSYLQKKGRLSPSKALRFALDIARGMNYLHECKPDPIIHCDLKPKNILLDNGGQLKVAGFGLIRLSKISPDKAKLAQPWADLSSLYVAPEIYKNEIFDRSVDAYSFGLILYEMIEGIQPFHPKPIEEAVNLMCLEGTRPQLRTKSKSYPPVLKELIEECWDPKPVVRPIFSEIIVRLDKIVANCSKQGWWKDTFKLPWK >ONH96840 pep chromosome:Prunus_persica_NCBIv2:G7:16440812:16446454:-1 gene:PRUPE_7G155300 transcript:ONH96840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVADTNSVDLKVTELLKEVQLDYSPAFTKEVDDAVSAIKGAIDKIPENLKVTADEAPGFVRDIGADKVEFEFKKPKSIAVGGSYALQCSVKPEVNVDLLVRLPKECFHEKDYLNYRYHAKRCLYLCVIKKFLMSSSLIQKVEWSTLQNEVRKPVLIVYPGMKLVEVPEFCIRIIPTAPSLFSIPKLHLNRNNVRALNQGGIPQATPKYNSSILEDMFIEDMEEFLKKTFLGWKELQEALMLLKVWARQRTPIYAYDCLNGFLISVILSYLADRDRIKKSMKAMHILRVTLNFIATSELWKHGLYFMPKGQNAIPKEKRLPLKESFPVVICSPSTNFNLAFRMTGVGFLELQDESALTLECIKKGRDCGFEEIFVTRVDYPAKYDHIIRLNLKGNSKVYASGFFLDDECWRLYEQKVHNVLIQGLSDRVKTVRVTWRNMLSECSIKDGLSTLNAEPLLIGISVSSLDKAFRIVNIGPDADNKEEALKFRKFWGEKAELRRFKDGKIAESTVWESDQWKRHIILKRISEYVLLRHLSVSKENIMHIVDQLDFSLLYGTEDPISSSGSLLGAFEILSKQLRLIEDIPLKVSTVQPLDSAFRFSSVFPPEPHPLANEKGTFLRLRSLPPSCIRPLEVMIQLEGSGNWPMDDVAIEKTKSAFLLKIGESLQNNWGMTCTATEDDVDVFVSGYAFRLKIWHERGLTLLRRETGNDQVKQVSNMDRELYFRSQHSSMINGLQGCYAAYGPVVRLAKRWVASHLFSACLVEEAIELLVAYIFLKPLPFNAPSSRITGFLRSI >ONH96838 pep chromosome:Prunus_persica_NCBIv2:G7:16438863:16446338:-1 gene:PRUPE_7G155300 transcript:ONH96838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVADTNSVDLKVTELLKEVQLDYSPAFTKEVDDAVSAIKGAIDKIPENLKVTADEAPGFVRDIGADKVEFEFKKPKSIAVGGSYALQCSVKPEVNVDLLVRLPKECFHEKDYLNYRYHAKRCLYLCVIKKFLMSSSLIQKVEWSTLQNEVRKPVLIVYPGMKLVEVPEFCIRIIPTAPSLFSIPKLHLNRNNVRALNQGGIPQATPKYNSSILEDMFIEDMEEFLKKTFLGWKELQEALMLLKVWARQRTPIYAYDCLNGFLISVILSYLADRDRIKKSMKAMHILRVTLNFIATSELWKHGLYFMPKGQNAIPKEKRLPLKESFPVVICSPSTNFNLAFRMTGVGFLELQDESALTLECIKKGRDCGFEEIFVTRVDYPAKYDHIIRLNLKGNSKVYASGFFLDDECWRLYEQKVHNVLIQGLSDRVKTVRVTWRNMLSECSIKDGLSTLNAEPLLIGISVSSLDKAFRIVNIGPDADNKEEALKFRKFWGEKAELRRFKDGKIAESTVWESDQWKRHIILKRISEYVLLRHLSVSKENIMHIVDQLDFSLLYGTEDPISSSGSLLGAFEILSKQLRLIEDIPLKVSTVQPLDSAFRFSSVFPPEPHPLANEKGTFLRLRSLPPSCIRPLEVMIQLEGSGNWPMDDVAIEKTKSAFLLKIGESLQNNWGMTCTATEDDVDVFVSGYAFRLKIWHERGLTLLRRETGNDQVKQVSNMDRELYFRSQHSSMINGLQGCYAAYGPVVRLAKRWVASHLFSACLVEEAIELLVAYIFLKPLPFNAPSSRITGFLRFLRLLADYDWTFSALVVDINNDLTPNDEKEISDNFMSSRKTYEENVQSVNPAMFLATAYDKASEAWTRFSPNSMELKRLMAYAGSSANLLTKLISEDHNDSYRWECLFKTPLNNYDAVILLHGDKLPYPQRLLFSSELNQGVHVARGNASKVFHPFLLPGDLNGNSEDLRNKLLVNFDPMRCFVGDVEKEYSNTFKLWYDSLGGDAVGITWGRYSSKKRGREEEAEEVKDPTDILKDVGKVGKGFVRGIYLLKAPRLIG >ONH96839 pep chromosome:Prunus_persica_NCBIv2:G7:16439459:16446338:-1 gene:PRUPE_7G155300 transcript:ONH96839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVADTNSVDLKVTELLKEVQLDYSPAFTKEVDDAVSAIKGAIDKIPENLKVTADEAPGFVRDIGADKVEFEFKKPKSIAVGGSYALQCSVKPEVNVDLLVRLPKECFHEKDYLNYRYHAKRCLYLCVIKKFLMSSSLIQKVEWSTLQNEVRKPVLIVYPGMKLVEVPEFCIRIIPTAPSLFSIPKLHLNRNNVRALNQGGIPQATPKYNSSILEDMFIEDMEEFLKKTFLGWKELQEALMLLKVWARQRTPIYAYDCLNGFLISVILSYLADRDRIKKSMKAMHILRVTLNFIATSELWKHGLYFMPKGQNAIPKEKRLPLKESFPVVICSPSTNFNLAFRMTGVGFLELQDESALTLECIKKGRDCGFEEIFVTRVDYPAKYDHIIRLNLKGNSKVYASGFFLDDECWRLYEQKVHNVLIQGLSDRVKTVRVTWRNMLSECSIKDGLSTLNAEPLLIGISVSSLDKAFRIVNIGPDADNKEEALKFRKFWGEKAELRRFKDGKIAESTVWESDQWKRHIILKRISEYVLLRHLSVSKENIMHIVDQLDFSLLYGTEDPISSSGSLLGAFEILSKQLRLIEDIPLKVSTVQPLDSAFRFSSVFPPEPHPLANEKGTFLRLRSLPPSCIRPLEVMIQLEGSGNWPMDDVAIEKTKSAFLLKIGESLQNNWGMTCTATEDDVDVFVSGYAFRLKIWHERGLTLLRRETGNDQVKQVSNMDRELYFRSQHSSMINGLQGCYAAYGPVVRLAKRWVASHLFSACLVEEAIELLVAYIFLKPLPFNAPSSRITGFLRFLRLLADYDWTFSALVVDINNDLTPNDEKEISDNFMSSRKTYEENVQSVNPAMFLATAYDKASEAWTRFSPNSMELKRLMAYAGSSANLLTKLISEDHNDSYRWECLFKTPLNNYDAVILLHGDKLPYPQRLLFSSELNQGSLSYLNVCMATVLLLFSLLLWQCNTQILVCAKFSL >ONH96837 pep chromosome:Prunus_persica_NCBIv2:G7:16438481:16446433:-1 gene:PRUPE_7G155300 transcript:ONH96837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVADTNSVDLKVTELLKEVQLDYSPAFTKEVDDAVSAIKGAIDKIPENLKVTADEAPGFVRDIGADKVEFEFKKPKSIAVGGSYALQCSVKPEVNVDLLVRLPKECFHEKDYLNYRYHAKRCLYLCVIKKFLMSSSLIQKVEWSTLQNEVRKPVLIVYPGMKLVEVPEFCIRIIPTAPSLFSIPKLHLNRNNVRALNQGGIPQATPKYNSSILEDMFIEDMEEFLKKTFLGWKELQEALMLLKVWARQRTPIYAYDCLNGFLISVILSYLADRDRIKKSMKAMHILRVTLNFIATSELWKHGLYFMPKGQNAIPKEKRLPLKESFPVVICSPSTNFNLAFRMTGVGFLELQDESALTLECIKKGRDCGFEEIFVTRVDYPAKYDHIIRLNLKGNSKVYASGFFLDDECWRLYEQKVHNVLIQGLSDRVKTVRVTWRNMLSECSIKDGLSTLNAEPLLIGISVSSLDKAFRIVNIGPDADNKEEALKFRKFWGEKAELRRFKDGKIAESTVWESDQWKRHIILKRISEYVLLRHLSVSKENIMHIVDQLDFSLLYGTEDPISSSGSLLGAFEILSKQLRLIEDIPLKVSTVQPLDSAFRFSSVFPPEPHPLANEKGTFLRLRSLPPSCIRPLEVMIQLEGSGNWPMDDVAIEKTKSAFLLKIGESLQNNWGMTCTATEDDVDVFVSGYAFRLKIWHERGLTLLRRETGNDQVKQVSNMDRELYFRSQHSSMINGLQGCYAAYGPVVRLAKRWVASHLFSACLVEEAIELLVAYIFLKPLPFNAPSSRITGFLRFLRLLADYDWTFSALVVDINNDLTPNDEKEISDNFMSSRKTYEENVQSVNPAMFLATAYDKASEAWTRFSPNSMELKRLMAYAGSSANLLTKLISEDHNDSYRWECLFKTPLNNYDAVILLHGDKLPYPQRLLFSSELNQGVHVARGNASKVFHPFLLPGDLNGNSEDLRNKLLVNFDPMRCFVGDVEKEYSNTFKLWYDSLGGDAVGITWGRYSSKKRGREEEAEEVKDPTDILKDLQLLFDTISNPQLH >ONH97180 pep chromosome:Prunus_persica_NCBIv2:G7:17410127:17412543:-1 gene:PRUPE_7G174200 transcript:ONH97180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTLSPPPSPGDGDDTFEAWYGNIQYLINISAIGSFFCVFIFIFVKLRSDHRRMPGPSALVSKLLAVWHATCREIARHCGADAAQFLLIEGGSCGLLLSMAVLAVLVMLPLNLYAGNAVLGDQFSKTTINHIEKGSALLWVHFVFVVVVVVLVHFGISAIERRLRITRIRDGNGNLSDPTANSTAIFTIMVQGVPKTIGNDRTVLHEYFQHRYPGKVYRVIMPMDLCALDDLASELVKVRDEISWLVARIDSRLLPYESEEEGYLGASSEGVRGRACYMWGKVKDFWYQTMARLGYTDERKLGKLQGLRAELETELAAYKEGRALGAGVAFVVFKDVYTANKAVQDFRHEKKSRIGKFFSLVELRLQRNQWKVEQAPLATDIYWNHLGSSKVSLKLRRVLVNTCLLLILLFFSSPLAVVSAFKNAWRIINAEAMDNAQLWLAWMQSSSWLGSLIFQFLPNVFIFISMYIIIPSALSYLSKFERHLTVSGEQRAALLKMVCFFLVNLILLKGLVESSLESAILKMGRCYLDGEDCKRIEQYMSASFLSRSCLSSLAFLITSTFLGISYDLLAPIPWIKRKIQKFRKNDMLQLVPEQSEEYPLETQETDSLERPLIVDHTYDSPRLNGIDLPGQDLSEYPINRTSTAPKQTFDFAQYYAFNLTIFALTFIYSSFAPLVVPVGAVYFGYRYVVDKYNFLFVYRVRGFPAGNDGKLMDTVLCIMRFCVDLFLLAMLLFFSVHGDSTKLQAIFTLGLLVMYKLLPSQNDSFHPALLEGIQTVDSVVVDGTIDYEVYSQPKFDWDIYYS >ONH96017 pep chromosome:Prunus_persica_NCBIv2:G7:13202287:13207477:1 gene:PRUPE_7G102200 transcript:ONH96017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTQRSVSGSSQSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQGPEKIVIRRYSDFVWLRDRLFEKYKGVFIPPLPEKSAVEKFRFSAEFIEMRRQALDVFVNRIASHHELQQSEDLRTFLQADEETMERLRFHETGIFKKKPADLMQIFKDVQSKVSDVVLGKEKPVEESNPEYEKLKHYIFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAAKLLGACEGDALGKAFTELGAKSEALSIRLQKEAHQLLMNFEEPLKDYVRAVQSIKATIAERANAFRQQCELAETIKLKEINLEKLMLTRSDRVGEAEHEYKGLKAEGEEASRRFETMVRLMNEEIVRFQEQKTTDMGIAFHEFAKGQARLANSIADAWRSLLPKLETCSSA >ONH96018 pep chromosome:Prunus_persica_NCBIv2:G7:13202284:13207477:1 gene:PRUPE_7G102200 transcript:ONH96018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTRSVSGSSQSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNFPEYQGPEKIVIRRYSDFVWLRDRLFEKYKGVFIPPLPEKSAVEKFRFSAEFIEMRRQALDVFVNRIASHHELQQSEDLRTFLQADEETMERLRFHETGIFKKKPADLMQIFKDVQSKVSDVVLGKEKPVEESNPEYEKLKHYIFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAAKLLGACEGDALGKAFTELGAKSEALSIRLQKEAHQLLMNFEEPLKDYVRAVQSIKATIAERANAFRQQCELAETIKLKEINLEKLMLTRSDRVGEAEHEYKGLKAEGEEASRRFETMVRLMNEEIVRFQEQKTTDMGIAFHEFAKGQARLANSIADAWRSLLPKLETCSSA >ONH96019 pep chromosome:Prunus_persica_NCBIv2:G7:13204056:13207477:1 gene:PRUPE_7G102200 transcript:ONH96019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKFRFSAEFIEMRRQALDVFVNRIASHHELQQSEDLRTFLQADEETMERLRFHETGIFKKKPADLMQIFKDVQSKVSDVVLGKEKPVEESNPEYEKLKHYIFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAAKLLGACEGDALGKAFTELGAKSEALSIRLQKEAHQLLMNFEEPLKDYVRAVQSIKATIAERANAFRQQCELAETIKLKEINLEKLMLTRSDRVGEAEHEYKGLKAEGEEASRRFETMVRLMNEEIVRFQEQKTTDMGIAFHEFAKGQARLANSIADAWRSLLPKLETCSSA >ONH95290 pep chromosome:Prunus_persica_NCBIv2:G7:10050415:10051008:1 gene:PRUPE_7G061500 transcript:ONH95290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWVELLDTGVRIAARFHSHCPQTGRLYYHPPSGSEDQHHHHYFDQAQKPTNGSHAQFDGIFTSCGVKAAAMEANTNEAFLYSVL >ONH94500 pep chromosome:Prunus_persica_NCBIv2:G7:2872955:2884413:-1 gene:PRUPE_7G019400 transcript:ONH94500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELGLLSGTKSTKPVHVSFRIPYYTHWGQSLLVCGSEPVLGLWNLKKGLLLSPVHHGDELIWLGTVSVPKGFKCEYSYYVVDDNRNVLRWEMGEKRKVLLPEGIQDGEVVELHDLWQVGSDALPLKSAFKDVIFRRKLSLDIETPLGVIRSTLDQKDSVLVHFKISCPNIEEETSIYIIGNTLKLGQWNVQNGLKLSYSGESIWHADCVLPKGDFPIKYKYCKYGKGGIFSPETGPNRDIALDSSNTQPRYIFLSDGMLREMPWRGAGVAIPMFSVRSEADLGVGEFLDLKLFVDWAAESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSENIPEDIKLEIQKAKEQLDGKDVDYEATLSTKLSIAKKIFAQEKDLILNSSSFQKFFSENQDWLKPYAAFCFLRDFFETSDHSQWGRFSHFSKEKLEKLVSKDSLHYSIICFHYYIQFHLHIQLSEAADYARKKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLVGKFRPSIPLSQEELEKEGIWDFDRLSRPYILQEFLQDKFGASWTFIASNFLNEYQKNRYEFKEDCNTEKKIASKLKSFPERSLLQDEDKIRRELFDLVQNIVLIRDPENPRNFYPRFNLEDTPSFKDLDDHSKNVLKRLYYDYYFHRQENLWQQNALKTLPALLNSSDMLACGEDLGLIPSCVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRQRYFKNVVGSDMSPPARCVPDIAHFIIREHVESPSMWAIFPLQDLLVLKEEYTTRPATEETINDPTNPKHYWRYRVHVTVEALIKDKELVSTIKDLVSGSGRSHPGGQAERQASHKSAVATTEKQQIASSKDKVHLATPLNSVAHETLAVR >ONH94501 pep chromosome:Prunus_persica_NCBIv2:G7:2873391:2883602:-1 gene:PRUPE_7G019400 transcript:ONH94501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELGLLSGTKSTKPVHVSFRIPYYTHWGQSLLVCGSEPVLGLWNLKKGLLLSPVHHGDELIWLGTVSVPKGFKCEYSYYVVDDNRNVLRWEMGEKRKVLLPEGIQDGEVVELHDLWQVGSDALPLKSAFKDVIFRRKLSLDIETPLGVIRSTLDQKDSVLVHFKISCPNIEEETSIYIIGNTLKLGQWNVQNGLKLSYSGESIWHADCVLPKGDFPIKYKYCKYGKGGIFSPETGPNRDIALDSSNTQPRYIFLSDGMLREMPWRGAGVAIPMFSVRSEADLGVGEFLDLKLFVDWAAESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSENIPEDIKLEIQKAKEQLDGKDVDYEATLSTKLSIAKKIFAQEKDLILNSSSFQKFFSENQDWLKPYAAFCFLRDFFETSDHSQWGRFSHFSKEKLEKLVSKDSLHYSIICFHYYIQFHLHIQLSEAADYARKKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLVGKFRPSIPLSQEELEKEGIWDFDRLSRPYILQEFLQDKFGASWTFIASNFLNEYQKNRYEFKEDCNTEKKIASKLKSFPERSLLQDEDKIRRELFDLVQNIVLIRDPENPRNFYPRFNLEDTPSFKDLDDHSKNVLKRLYYDYYFHRQENLWQQNALKTLPALLNSSDMLACGEDLGLIPSCVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRQRYFKNVVGSDMSPPARCVPDIAHFIIREHVESPSMWAIFPLQDLLVLKEEYTTRPATEETINDPTNPKHYWRYRVHVTVEALIKDKELVSTIKDLVSGSGRSHPGGQAERQASHKSAVATTEKQQIASSKDKVHLATPLNSVAHETLAVR >ONH94499 pep chromosome:Prunus_persica_NCBIv2:G7:2872411:2884507:-1 gene:PRUPE_7G019400 transcript:ONH94499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELGLLSGTKSTKPVHVSFRIPYYTHWGQSLLVCGSEPVLGLWNLKKGLLLSPVHHGDELIWLGTVSVPKGFKCEYSYYVVDDNRNVLRWEMGEKRKVLLPEGIQDGEVVELHDLWQVGSDALPLKSAFKDVIFRRKLSLDIETPLGVIRSTLDQKDSVLVHFKISCPNIEEETSIYIIGNTLKLGQWNVQNGLKLSYSGESIWHADCVLPKGDFPIKYKYCKYGKGGIFSPETGPNRDIALDSSNTQPRYIFLSDGMLREMPWRGAGVAIPMFSVRSEADLGVGEFLDLKLFVDWAAESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSENIPEDIKLEIQKAKEQLDGKDVDYEATLSTKLSIAKKIFAQEKDLILNSSSFQKFFSENQDWLKPYAAFCFLRDFFETSDHSQWGRFSHFSKEKLEKLVSKDSLHYSIICFHYYIQFHLHIQLSEAADYARKKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLVGKFRPSIPLSQEELEKEGIWDFDRLSRPYILQEFLQDKFGASWTFIASNFLNEYQKNRYEFKEDCNTEKKIASKLKSFPERSLLQDEDKIRRELFDLVQNIVLIRDPENPRNFYPRFNLEDTPSFKDLDDHSKNVLKRLYYDYYFHRQENLWQQNALKTLPALLNSSDMLACGEDLGLIPSCVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRQRYFKNVVGSDMSPPARCVPDIAHFIIREHVESPSMWAIFPLQDLLVLKEEYTTRPATEETINDPTNPKHYWRYRVHVTVEALIKDKELVSTIKDLVSGSGRSHPGGQAERQASHKSAVATTEKQQIASSKDKVHLATPLNSVAHETLAVR >ONH96665 pep chromosome:Prunus_persica_NCBIv2:G7:15826655:15829030:1 gene:PRUPE_7G144600 transcript:ONH96665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHPYCRRFSNEEIMRIKEMCMAGIPPRQILSSLRQSNPHLRAISRNIYNKKAKILEESLAGRTVIQALVDELGEGGFSYNIEYDQEGYLTHLFFAHPISIELSKSYPHVFLMDCTYKTNKYKMPLLDIIGVSSFNTSFYSCFVFMQKEEEKDYVWALEMFNKILGVHNQPLVIISDRELALMNAIRIVFPSACNLLCMWHIEKNILANCKPHFREEVDWVAFLSTWADLIKSPNESSFDKAWDCFENEYKENAAVLNYIKGTWLPLKEKFVSAWTDEVAHLGNRAISRAEGAHATLKKYLQVSTGGLREVKEKICLAIEHQFQEIKTQLSSEKVRVPHRLRIPFFKEVVTHVSMFALDELYKQHEAAKYGNLSSQCTCHFFKTMGIPCGHMIKDMKIQVLPLNAIHNQWRIDARLFNNDQHASLDDENDQINSLLLDFKEKYEKLPILQKDDTKRQLSQFVGTSFPLILEPKIQPHKGRPLGSKKRNESSSTRREPSKFEIVEKSRKCSVCKGVGHNKSTCPFQVAS >ONH98399 pep chromosome:Prunus_persica_NCBIv2:G7:21041954:21046677:1 gene:PRUPE_7G247800 transcript:ONH98399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKAALSSYNFPSTNVITLFQMLSSCTLLYAMKCWKIISFTTEPQITTNNPVTLIPFKTLVHTLPLAISYLLYMLVTMASVRGINVPMYTTLRRTTVAFTMIVEYILTRQKHSYPVVGSVGIIILGAVVAGARDLSFDAYSYGVVFVANICTAIYLASIAHIGKSSGLSTFGLMWCNGIICGPILLFWTSISGDLGRMINFPHLFSHGFQAVMLFSCVMAFSINYCVFWNTTLNSALTQTICGNLKDLFTVGLGWLLFGGLPFDLLNVAGQSLGFLGSCLYAYCKLQGK >ONH98398 pep chromosome:Prunus_persica_NCBIv2:G7:21042708:21046574:1 gene:PRUPE_7G247800 transcript:ONH98398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKAALSSYNFPSTNVITLFQMLSSCTLLYAMKCWKIISFTTEPQITTNNPVTLIPFKTLVHTLPLAISYLLYMLVTMASVRGINVPMYTTLRRTTVAFTMIVEYILTRQKHSYPVVGSVGIIILGAVVAGARDLSFDAYSYGVVFVANICTAIYLASIAHIGKSSGLSTFGLMWCNGIICGPILLFWTSISGDLGRMINFPHLFSHGFQAVMLFSCVMAFSINYCVFWNTTLNSALTQTICGNLKDLFTVGLGWLLFGGLPFDLLNVAGQSLGFLGSCLYAYCKLQGK >ONH98395 pep chromosome:Prunus_persica_NCBIv2:G7:21041953:21046677:1 gene:PRUPE_7G247800 transcript:ONH98395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESNQKLPVSSSSQSQERLKKGPKMTRKGAYAAISYMASAVLLIMFNKAALSSYNFPSTNVITLFQMLSSCTLLYAMKCWKIISFTTEPQITTNNPVTLIPFKTLVHTLPLAISYLLYMLVTMASVRGINVPMYTTLRRTTVAFTMIVEYILTRQKHSYPVVGSVGIIILGAVVAGARDLSFDAYSYGVVFVANICTAIYLASIAHIGKSSGLSTFGLMWCNGIICGPILLFWTSISGDLGRMINFPHLFSHGFQAVMLFSCVMAFSINYCVFWNTTLNSALTQTICGNLKDLFTVGLGWLLFGGLPFDLLNVAGQSLGFLGSCLYAYCKLQGK >ONH98397 pep chromosome:Prunus_persica_NCBIv2:G7:21042708:21046574:1 gene:PRUPE_7G247800 transcript:ONH98397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKAALSSYNFPSTNVITLFQMLSSCTLLYAMKCWKIISFTTEPQITTNNPVTLIPFKTLVHTLPLAISYLLYMLVTMASVRGINVPMYTTLRRTTVAFTMIVEYILTRQKHSYPVVGSVGIIILGAVVAGARDLSFDAYSYGVVFVANICTAIYLASIAHIGKSSGLSTFGLMWCNGIICGPILLFWTSISGDLGRMINFPHLFSHGFQAVMLFSCVMAFSINYCVFWNTTLNSALTQTICGNLKDLFTVGLGWLLFGGLPFDLLNVAGQSLGFLGSCLYAYCKLQGK >ONH98400 pep chromosome:Prunus_persica_NCBIv2:G7:21042847:21046678:1 gene:PRUPE_7G247800 transcript:ONH98400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKAALSSYNFPSTNVITLFQMLSSCTLLYAMKCWKIISFTTEPQITTNNPVTLIPFKTLVHTLPLAISYLLYMLVTMASVRGINVPMYTTLRRTTVAFTMIVEYILTRQKHSYPVVGSVGIIILGAVVAGARDLSFDAYSYGVVFVANICTAIYLASIAHIGKSSGLSTFGLMWCNGIICGPILLFWTSISGDLGRMINFPHLFSHGFQVLPFHEKNCHSDSTTTNILQILHCLLL >ONH98396 pep chromosome:Prunus_persica_NCBIv2:G7:21042138:21045232:1 gene:PRUPE_7G247800 transcript:ONH98396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESNQKLPVSSSSQSQERLKKGPKMTRKGAYAAISYMASAVLLIMFNKAALSSYNFPSTNVITLFQMLSSCTLLYAMKCWKIISFTTEPQITTNNPVTLIPFKTLVHTLPLAISYLLYMLVTMASVRGINVPMYTTLRRTTVAFTMIVEYILTRQKHSYPVVGSVGIIILGAVVAGARDLSFDAYSYGVVFVANICTAIYLASIAHIGKSSGLSTFGLMWCNGIICGPILLFWTSISGDLGRMINFPHLFSHGFQVLPFHEKNCHSDSTTTNILQILHCLLL >ONH98394 pep chromosome:Prunus_persica_NCBIv2:G7:21041784:21046574:1 gene:PRUPE_7G247800 transcript:ONH98394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESNQKLPVSSSSQSQERLKKGPKMTRKGAYAAISYMASAVLLIMFNKAALSSYNFPSTNVITLFQMLSSCTLLYAMKCWKIISFTTEPQITTNNPVTLIPFKTLVHTLPLAISYLLYMLVTMASVRGINVPMYTTLRRTTVAFTMIVEYILTRQKHSYPVVGSVGIIILGAVVAGARDLSFDAYSYGVVFVANICTAIYLASIAHIGKSSGLSTFGLMWCNGIICGPILLFWTSISGDLGRMINFPHLFSHGFQAVMLFSCVMAFSINYCVFWNTTLNSALTQTICGNLKDLFTVGLGWLLFGGLPFDLLNVAGQSLGFLGSCLYAYCKLQGK >ONH95135 pep chromosome:Prunus_persica_NCBIv2:G7:9212658:9215823:1 gene:PRUPE_7G053700 transcript:ONH95135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRPHCIRLYKPSFWSKFSAEAILKNLRKPIAGLFVLLESLGHQTPKMASENLSVLEETLKPFYQRASQAEDRLARLEAALAAKKGHPADSANDDYSELINEIQSKLKDACAEMVLEQEKNKKLAAENAKLQYRIHHLVQAVRDADDKLEKKS >ONH97580 pep chromosome:Prunus_persica_NCBIv2:G7:18643663:18646031:-1 gene:PRUPE_7G198400 transcript:ONH97580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKKLTWRSIVPYCYKSERPSSKASKKVVAKQTSFQRLSLSDLSNPSSTFSEDLSISLAGSNLHIFTLGELKVITQSFSSSNFLGEGGFGPVHKGFIDDKLRPGLTAQPVAVKLLDLDGSQGHREWLTEVIFLGQLRHPHLVKLIGYCCEDEHRLLVYEYMPRGSLENQLFRRYSVSLPWSTRMKIALGAAKGLAFLHEAEKPVIYRDFKASNILLDSDYTPKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYIMTGHLTAMSDVYSFGVVLLELLTGRRSVDKNRPHREQNLVEWAKPMLNEPRKLSRIMDPRLEGQYSEIGARKAAALAYQCISHRPKQRPKICDVVKILEPLKDFDDIPIAFVYTVPTESDSIKDVKEGDAQKELKKKNNHHQQNHQGQKHHQLRSPKSPHYYSDNMVHQNHRNNGLKSPLHQRGRGI >ONH98144 pep chromosome:Prunus_persica_NCBIv2:G7:20320126:20324105:1 gene:PRUPE_7G232400 transcript:ONH98144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVILPSQTSTQTLLFAIPKIPLTTWKLPFMSRQVSCKCLSTQAPVVAVDMAKYKEAFSRRMAMAGLKPHHRVAIGVSGGPDSMALCVLTAHWKARDFDAKCDSGGFIDGLLAIIVDHGLRAESKEEANTVSNRVSKLGIRSHIACCDWPDGHPKQGHLQEAARDMRYEIFQKICIQNRIGVLLIAHHADDQAELFVLRLSRNSSVLGLAGMPFTSQIFSTHTHSYAEVSGNYGILVVRPLLDLSKEDMYEICEGSNQVWVEDPTNQSPLYARNRIRMSLRDLSSSAFKLELQAVISACRKTRIYIDYICSNLISKAVTVMDLGYAVIDLEILNESKIEDICLSKFIALVLKFISQRHRPIRGSTSKLLLDYMHTLPCKTSLTAAGCYLSPAPGSRGMKALVCSSVDCPLPSKMESSHLHFQAEQENCTSDEIGKIIAGGKSYADSLITDASDVHFLEGTSESVLTGARNLGMLSESTLSNILLLQKEEIQNFKSKSKVAADYKSEHGVKSVSTSRSEPLHPGQICCFMNRFFVTWKLSEEVTENATSEEANSDGVSKGQSRGCCRSCVFGHDMMVEVRNLTEPDWLYLANLSRSRTSENFQECRHSLDSKVEQTEEKTNECPDYARLSAQRALVSLKSIPLAARRGLPVLVNSQGLLLSIPSIGFKHCPCLMVSATFKPKVPLGGGHSSFI >ONH95768 pep chromosome:Prunus_persica_NCBIv2:G7:12339663:12345635:1 gene:PRUPE_7G089700 transcript:ONH95768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAPPGSLPSTSMKIGVGTLKAGATVKRKTPSELRGEQRMNAMEISDESPAPLLGSTSEVENVLKKPELFRNPRYTDIRMDEVYAAKKSRFRTLSGKENAKGSISVEQPSSLKNVSVVSSLVSKRKHQNFSPDNVASDKNTENGVAQAFQTIGKCSQSTFRSVTELSSGGDRLSGFATVDVDKALKGMAAHESSVPTGLPAYSFERCQDLTSTGSGNFCLPGAKVPLDFTLKTSMRVVSSSPVNWIHRSVTCGAYTTMPYLKFQSNSYEDQNRSHSSGLTSTSQVISSKLLHSWVYPQSTLPPSLIQVLTSSTAEGAEMEFLRKRQVAWEDSFQSLYYMLRNGTCNIFYVCTPYFVVMFTGSDVAGGSKRFNNAYISQSTGALRSLLREHDVCFSMPLCRSKVEQVAQEVLIELSEMEKQNFSQTRRSSSLSNIDNTPESLLVFSGNTNVHGLFDILLNYRSFLTVLMGIDVPVLYSPVPFQNAAISSPEVKCMELKRPDHIVAPNKRSIINDDGSIKNSSAGLCSSVEIKDAYLPPWIICSLCAIMGSEGKSFEASFMNEPTSNGLNVAIEAVPEKSDCQDAAAEDLQESTYAYGIPEATVTPYLRMGLLETLKFSNGSYSASLSSALEAD >ONH95767 pep chromosome:Prunus_persica_NCBIv2:G7:12339663:12345635:1 gene:PRUPE_7G089700 transcript:ONH95767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAPPGSLPSTSMKIGVGTLKAGATVKRKTPSELRGEQRMNAMEISDESPAPLLGSTSEVENVLKKPELFRNPRYTDIRMDEVYAAKKSRFRTLSGKENAKGSISVEQPSSLKNVSVVSSLVSKRKHQNFSPDNVASDKNTENGVAQAFQTIGKCSQSTFRSVTELSSGGDRLSGFATVDVDKALKGMAAHESSVPTGLPAYSFERCQDLTSTGSGNFCLPGAKVPLDFTLKTSMRVVSSSPVNWIHRSVTCGAYTTMPYLKFQSNSYEDQNRSHSSGLTSTSQVISSKLLHSWVYPQSTLPPSLIQVLTSSTAEGAEMEFLRKRQVAWEDSFQSLYYMLRNGTCNIFYVCTPYFVVMFTGSDVAGGSKRFNNAYISQSTGALRSLLREHDVCFSMPLCRSKVEQVAQEVLIELSEMEKQNFSQTRRSSSLSNIDNTPESLLVFSGNTNVHGLFDILLNYRSFLTVLMGIDVPVLYSPVPFQNAAISSPEVKCMELKRPDHIVAPNKRSIINDDGSIKNSSAGLCSSVEIKDAYLPPWIICSLCAIMGSEGKSFEASFMNEPTSNGLNVAIEAVPEKSDCQDAAAEDLQESTYAYGIPEATVTPYLRMGLLETLKFSNGSYSASLSSALEAD >ONH96779 pep chromosome:Prunus_persica_NCBIv2:G7:16241543:16245456:-1 gene:PRUPE_7G151500 transcript:ONH96779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDLDNSSTASGEASVSSSGNQTAPPKPTTKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEESARGHTQTPTQTQTEVNLNSESDPKDQSANSPPQPTPQPPVPSQATTSSVPSQSAGLLSSPMPITQNPVTELPENPTQTMEEAPAVTGPKGNCSSSNSSSSNGSTSSSVFASLFASSTASASLQPLQPPAFTDLIRAMGNPDSTTDLAPSSSVEPISLGLSTSHGSSLFGPAGQERRQYAPPPQPAMSATALLQKAAQMGAAATNASLLRGFGIMSSSSSSAQQESLQWSQRQAEPDSASVAAGLGLALPCDGGSGWKELMMGSPSMFGPKQTTLDLLGLGMAAGNNPSGGLSALITSIGGGLDVAAAAASFGGGEYSGKDLARGS >ONH98891 pep chromosome:Prunus_persica_NCBIv2:G7:22198854:22202036:1 gene:PRUPE_7G270900 transcript:ONH98891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILQRSFIHTSLVSTQAKHHITGSDCIHEKGSLKLHSSLPPSPSLLITNSQQSQTPTLLFPSAKQSVAAIVFGDGHESQLYPLTKRRSQGAIPIAANYRLIDSVVSNCISSNINHIYALTQFNSTSLNSHLSRAYSGVGLGNEGFVEVIAAYQSPGNKGWFQGTADAVRRCLWVLEEYPMTEFLVLPGHHLYRMDYQKLLKAHRDNKAHITVAASIARKLHDPGFGFLDVNSENQVVEFRLKLEGKPVIAVSAKSSRESKDTAQNSMTSMGIYLINRDIMKRLLEEDFPKANDFASEVIPGAMSIGMKVQAYGFDGYWEDMRNIEAFYQANMQSTKDADVGYNFYDRESPVYTLRRCLPPTHITDDCVIINSVIGDGCILNGCRIKDTVIGTRTRIGDGAMIEDSVIMGSTIYQLQTEGGEKRKVPIGIGEHTFVRKAIIDKNARIGKNVKIMNKDKAQEADREANGYVIRDGIVVVVRSAVIPDGTIL >ONH94333 pep chromosome:Prunus_persica_NCBIv2:G7:1498879:1501684:-1 gene:PRUPE_7G011200 transcript:ONH94333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKNTCLGVHAALTVTLILLTTIIAGADDETLVVTDHPQVNTWFNNNVNPYKERQGTLDPALVTAEVGQTVVKVMKDRSGEFKTITGAVNSIPVDNTKRVIVYIGGGEYNEKITIPRNKSFVTFYGSPTNIPTLTFAGTAQKYGTVDSATVIAESDYFVAANLIIKNSSPKPDGKRVGEQALALRVSGNKSALFNCRLIGFQDTLCDDKGNHFFQDCFIEGTVDFIFGSGKSLYLLHVLGNNEMTVIIAQARNSASEDTGYSFVHCNITGTGNGTYLGRAWRTSPRVVFAYTSMSEVITPADWNNKNRPKRDRTVFYGEYKCSGPASSMVGRVKYTKQLNEEQIKPFLSLSYIQGSKWLLPPPNPKA >ONH94340 pep chromosome:Prunus_persica_NCBIv2:G7:1571670:1573775:1 gene:PRUPE_7G011700 transcript:ONH94340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRDYIDFGEHYDNNFEHLNLDDMPNNENNLTSNDVEQPMHANMPLESNTQEENIDQTEVKKRKKTSEVWKHFKVCDQKLDDETILPKAVCIYCKHALTCKTTNGTGHLHRHFNACLKKNGHNHARQSQLSANTGISSFKYSQAKMRVELARFIACAELPFRFAENRCFERFVQVALQPEFKKVSRNTNRSDVVKLYDEEKKKLINVFSNLKGSIAVTSDMWDGGNNLPFICITAHYIDENWLLQKRIIAFRLLEFPHTGSSIFHAMMNVFKEYNITHKIFSITFDNASNNSSAIEQFKHVLHPPYGGNFFHMRCVCHIINLMVQDGLKVIQTQLQLIRDAIGYISSSSSRQQDFAHLCMSHGLKPIKLKKDIRIRWNSTYHMLKSCKCYTNVINFYYNNKMNDNLLRDEEWNVCFALVDFFKVFYDATCNCSGVYYPTSPIALHDLFSINATFAKYRFDTTCNFTNICEQMETKYKKYWTEIPYTFCFGAIMDPRIKVSGLEVILTEISRNLSISLPLTISNIQKTFNDTYLLYEKKYSVGTIATQSAPTVHLFGSSSSSSAAIFGMLASKGKQKSVISSRTEVFKYLDTEFVEFMTEEERNNFNILDWWKAHEKNFHVLSIMARDVLTTPVSTVASESAFSAGGRVLDEKRTRLTPQICEALMCLKDWEDADFRTQSFVDEDLSYFEDDSTSSLNEVC >ONH95841 pep chromosome:Prunus_persica_NCBIv2:G7:12457455:12468011:-1 gene:PRUPE_7G091700 transcript:ONH95841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWSLNLHGVALSDEIFLKDVFSLQIRLFVSWETNSLVVKISQKDNTVDSYKRACNIFSSEYVPLHIWDFSGQTTQIFMNDSINLPNDIPGQLNKEILLELRLHGFSDYMKGRDWRSDEVADKHPSMDSSTYGGSMKMNGSTDYVNPYLTPTNSLQSGTIYRGAGSLGLIGLENLGNTCFMNSAIQCLVHTPELVDYFLGDYRKDINYSNPLGMKGELALAFGELLRKLWAPGAKPVAPRMFKLKLASFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKCKPYIEAQDVEGRPDEEVAEEYWQNHLARNDSIIVDVCQGQYRSKLVCPVCSKVSVTFDPFMYLSLPLPSTTMRTMTLTVLSTDGTALPCTFTVTVPKSGRLLDLTNALSAACSLRDDETLMLAEVYKCRIFRLLEYPFDSLDLIRDGDRLIAYQLPKGCETSLLVTFIHQQMDMCHNNGEMRLVPQVFGIPLVATLPDVCNGSDIRNAFLKTGNQGMKQIRILTSSFTYQMQKKL >ONH95838 pep chromosome:Prunus_persica_NCBIv2:G7:12457806:12466808:-1 gene:PRUPE_7G091700 transcript:ONH95838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLSEKLRLSLFLYKPTRFLSLTLLPNLSLSSTLHLCKSLARALASKTLAFFSSSSSSAMDDPYWDLDFRPSFRSQRLRLHDDYDDDGCSNDDVSHNAVDKLYLVPYRWWKEVRTQDDQIGGVLYTASTDDDAGSEIFLNLKKKDVAEEGFSGREYALVPEPTWCRALRRHNDFYTAAKDNVSLFGAEIFLKDVFSLQIRLFVSWETNSLVVKISQKDNTVDSYKRACNIFSSEYVPLHIWDFSGQTTQIFMNDSINLPNDIPGQLNKEILLELRLHGFSDYMKGRDWRSDEVADKHPSMDSSTYGGSMKMNGSTDYVNPYLTPTNSLQSGTIYRGAGSLGLIGLENLGNTCFMNSAIQCLVHTPELVDYFLGDYRKDINYSNPLGMKGELALAFGELLRKLWAPGAKPVAPRMFKLKLASFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKCKPYIEAQDVEGRPDEEVAEEYWQNHLARNDSIIVDVCQGQYRSKLVCPVCSKVSVTFDPFMYLSLPLPSTTMRTMTLTVLSTDGTALPCTFTVTVPKSGRLLDLTNALSAACSLRDDETLMLAEVYKCRIFRLLEYPFDSLDLIRDGDRLIAYQLPKGCETSLLVTFIHQQMDMCHNNGEMRLVPQVFGIPLVATLPDVCNGSDIRNAFLKVLNPYLMSPGDVLNIFYDDFANEDSNAATTTATTIMDNDADSDRESRDEANSDTDFQFYLPDAKEAIKNTLIEMNEPIVVSGLPKRLEVLVLWSDNMTKKYDTCLLNTLPEVFKPQFFVRKPEESVSLYKCLKAFMKEEPLGPEDMWYCPICKKPQQASKKLDLWRLPEILVIHLKRFSYSNLFKNKLETFVDFPIYGLDFSPYISHRNSQLSCQYMLYAISNHYGGMGGGHYTAFVHLGNGLWYEFDDEKVLPVGQERIRTSAAYVLFYRRVPDI >ONH95840 pep chromosome:Prunus_persica_NCBIv2:G7:12457455:12468011:-1 gene:PRUPE_7G091700 transcript:ONH95840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLSEKLRLSLFLYKPTRFLSLTLLPNLSLSSTLHLCKSLARALASKTLAFFSSSSSSAMDDPYWDLDFRPSFRSQRLRLHDDYDDDGCSNDDVSHNAVDKLYLVPYRWWKEVRTQDDQIGGVLYTASTDDDAGSEIFLNLKKKDVAEEGFSGREYALVPEPTWCRALRRHNDFYTAAKDNVSLFGAEIFLKDVFSLQIRLFVSWETNSLVVKISQKDNTVDSYKRACNIFSSEYVPLHIWDFSGQTTQIFMNDSINLPNDIPGQLNKEILLELRLHGFSDYMKGRDWRSDEVADKHPSMDSSTYGGSMKMNGSTDYVNPYLTPTNSLQSGTIYRGAGSLGLIGLENLGNTCFMNSAIQCLVHTPELVDYFLGDYRKDINYSNPLGMKGELALAFGELLRKLWAPGAKPVAPRMFKLKLASFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKCKPYIEAQDVEGRPDEEVAEEYWQNHLARNDSIIVDVCQGQYRSKLVCPVCSKVSVTFDPFMYLSLPLPSTTMRTMTLTVLSTDGTALPCTFTVTVPKSGRLLDLTNALSAACSLRDDETLMLAEVYKCRIFRLLEYPFDSLDLIRDGDRLIAYQLPKGCETSLLVTFIHQQMDMCHNNGEMRLVPQVFGIPLVATLPDVCNGSDIRNAFLKTGNQGMKQIRILTSSFTYQMQKKL >ONH95839 pep chromosome:Prunus_persica_NCBIv2:G7:12457806:12466225:-1 gene:PRUPE_7G091700 transcript:ONH95839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWSLNLHGVALSDEIFLKDVFSLQIRLFVSWETNSLVVKISQKDNTVDSYKRACNIFSSEYVPLHIWDFSGQTTQIFMNDSINLPNDIPGQLNKEILLELRLHGFSDYMKGRDWRSDEVADKHPSMDSSTYGGSMKMNGSTDYVNPYLTPTNSLQSGTIYRGAGSLGLIGLENLGNTCFMNSAIQCLVHTPELVDYFLGDYRKDINYSNPLGMKGELALAFGELLRKLWAPGAKPVAPRMFKLKLASFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKCKPYIEAQDVEGRPDEEVAEEYWQNHLARNDSIIVDVCQGQYRSKLVCPVCSKVSVTFDPFMYLSLPLPSTTMRTMTLTVLSTDGTALPCTFTVTVPKSGRLLDLTNALSAACSLRDDETLMLAEVYKCRIFRLLEYPFDSLDLIRDGDRLIAYQLPKGCETSLLVTFIHQQMDMCHNNGEMRLVPQVFGIPLVATLPDVCNGSDIRNAFLKVLNPYLMSPGDVLNIFYDDFANEDSNAATTTATTIMDNDADSDRESRDEANSDTDFQFYLPDAKEAIKNTLIEMNEPIVVSGLPKRLEVLVLWSDNMTKKYDTCLLNTLPEVFKPQFFVRKPEESVSLYKCLKAFMKEEPLGPEDMWYCPICKKPQQASKKLDLWRLPEILVIHLKRFSYSNLFKNKLETFVDFPIYGLDFSPYISHRNSQLSCQYMLYAISNHYGGMGGGHYTAFVHLGNGLWYEFDDEKVLPVGQERIRTSAAYVLFYRRVPDI >ONH96325 pep chromosome:Prunus_persica_NCBIv2:G7:14410619:14416964:1 gene:PRUPE_7G120700 transcript:ONH96325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSVEVIASCLNAGMSVARFDFSWGNTEYHQETLENLKAAVKSTKKLCAVMLDTVGPELQVANKTGNPISLLGDGLVVLTPDRGQEPSSQLLPINFDGLSKAVKKGDTIFVGQYLFTGSETTSVWLEVSEVKGEDVVCSVKNSATLAGSLFTLHASQIHIDLPTLSAQDKEVISSWGLQNKIDFLSLSYTRHAEDVRQAREFLNKLGDLSQTQIFAKIENMEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVDTISTVGRICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVVPRLKTNQLKWSFTGAFEARQSLLVRGLYPMLADPRHPAESTSATNESVLKVALDHGKASGVVKSHDRVVVCQKVGDASVVKIIELED >ONH96326 pep chromosome:Prunus_persica_NCBIv2:G7:14411225:14416837:1 gene:PRUPE_7G120700 transcript:ONH96326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSNHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSVEVIASCLNAGMSVARFDFSWGNTEYHQETLENLKAAVKSTKKLCAVMLDTVGPELQVANKTGNPISLLGDGLVVLTPDRGQEPSSQLLPINFDGLSKAVKKGDTIFVGQYLFTGSETTSVWLEVSEVKGEDVVCSVKNSATLAGSLFTLHASQIHIDLPTLSAQDKEVISSWGLQNKIDFLSLSYTRHAEDVRQAREFLNKLGDLSQTQIFAKIENMEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVDTISTVGRICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVVPRLKTNQLKWSFTGAFEARQSLLVRGLYPMLADPRHPVIYQCNKRVSSEGCS >ONH98721 pep chromosome:Prunus_persica_NCBIv2:G7:21834025:21836419:-1 gene:PRUPE_7G263600 transcript:ONH98721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSHYFSHGTVALFCGKGMDFRPKVGTLQASFNGKSEFLNLHVYPALTSIDSFRFQEHGCNVLKLSLKSRTSQSQALVVRSDHPQNSDFPKYYSKKEKKPFPVPIVDLRRAARERLKSRKGKPKTPVPPPKNGLLVKTLIPVAYDVFNARITLINNLKKLLKVVPVQACRFCNEIHVGPVGHPFKSCKGANANIRKGVHEWITNATVDDVFLPVEAFHLYDRLGRRIPHEERFSIPRIPAIVELCIQAGVDVAEYPTKRRRKPIIRISKSEFVDADESELPDTDTEGPKRPVLTEIPDSEIVAPSDEEETTLLAEETLRAWDQMRRGAKRLMKMYLVRVCGYCPEVHVGPSGHKAQNCGAFKHQQRNGQHGWQAAVLNDLIPPRYVWHVPDVNGPPMERELRNFYGQAPAVVEMCIQAGAAVPEEYRPTMRLDVGIPSTIKEAEMVV >ONH94855 pep chromosome:Prunus_persica_NCBIv2:G7:6574245:6575815:1 gene:PRUPE_7G035500 transcript:ONH94855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEHLLFCIKNYVAEYYQSQLLLHRCISLPISDQHWSPPQVGFFKLNVDGALDLRDGLQGVGLIVRDSHDVLIGAVAMRAPSHLFVLAIEIYAFKVVESNSLAAVQLLSKEEECLAPKGVLVTEIRRLLPALSSCVRFIPRSANIVAHRCLVHRICYFILTWLPNQKLQHSENCRTSYFEILRSETS >ONH96225 pep chromosome:Prunus_persica_NCBIv2:G7:13994003:13998818:-1 gene:PRUPE_7G114400 transcript:ONH96225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSERRSFSSSTGSTAAASSSSSSSGSATGVSVDRFGGAADRMVKEELEAAEALADLAHLAMRESSGAESAGNWGLKGKRAKKRVKSESPPGHLGLNPVDPVPTCPDLSQDQAVTGLRQCETVCTNVVTELLKTEQVLSNEIVKAEHDAEVTKLSPICTTSYPSFSCSKSRRNLTEEEKEERRIRRILANRESARQTIRRRQALCEELTRKAADLALENENLKKKKELALKEYQSLEKTNKHLKVQMAKVIKAEVEETPSENMSAYVQMQIPPSSPSNSPLFLFNRPPFTPVFWPSIIQSSNSVQLQHVSQNPMAIPSNIPLPANGTADSSHEQENPLTNNGTRTPLYVFPCPWFIPHFDNGNGLQPQSSLCLNNKQEETSFNNQYSASSSSRTVAQLDNHHCSFPIRLKAEASGSMEARLSNDLNETPAQFPLDGADQHTGPYPKENGPKEIFLTPASANHERVASSIKHENGFESDYTATAEKSFHMFSALPEKNSEPIIYPNRKLADAIAAAEARKRRKKLTKLKNLQGRQCRAHC >ONH96226 pep chromosome:Prunus_persica_NCBIv2:G7:13994410:13998434:-1 gene:PRUPE_7G114400 transcript:ONH96226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSERRSFSSSTGSTAAASSSSSSSGSATGVSVDRFGGAADRMVKEELEAAEALADLAHLAMRESSGAESAGNWGLKGKRAKKRVKSESPPGHLGLNPVDPVPTCPDLSQQDQAVTGLRQCETVCTNVVTELLKTEQVLSNEIVKAEHDAEVTKLSPICTTSYPSFSCSKSRRNLTEEEKEERRIRRILANRESARQTIRRRQALCEELTRKAADLALENENLKKKKELALKEYQSLEKTNKHLKVQMAKVIKAEVEETPSENMSAYVQMQIPPSSPSNSPLFLFNRPPFTPVFWPSIIQSSNSVQLQHVSQNPMAIPSNIPLPANGTADSSHEQENPLTNNGTRTPLYVFPCPWFIPHFDNGNGLQPQSSLCLNNKQEETSFNNQYSASSSSRTVAQLDNHHCSFPIRLKAEASGSMEARLSNDLNETPAQFPLDGADQHTGPYPKENGPKEIFLTPASANHERVASSIKHENGFESDYTATAEKSFHMFSALPEKNSEPIIYPNRKLADAIAAAEARKRRKKLTKLKNLQGRQCRAHC >ONH94957 pep chromosome:Prunus_persica_NCBIv2:G7:7807930:7808687:1 gene:PRUPE_7G042300 transcript:ONH94957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYYLFFWSLNRYFRFCDWNPVFISILYKLFYTCMWLLCDFPQHSCERIKQSNPSIWWSFFGIARSYSCVEGCKGRI >ONH97218 pep chromosome:Prunus_persica_NCBIv2:G7:17532022:17533849:-1 gene:PRUPE_7G177100 transcript:ONH97218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSIRVDWTNLPPQLYQLIAQNLKTHIEVRRFRSVCSSWRSSIPPFCASISPNFPFPHGPTGFLSQITVYLTRPDPDPNLNPNPNPSSSSSSPSSMGWLLKLEECADKIRLLNPITNWRVSSVKDDVARNPTDLNLVDLNMVELGKAYALRYTKGSGSIFGINKVIVAPNFKDCSIFMIYNEGKLGFAQIGNEELTLINDQISDYDDLIVYKGQPCVVNKWGQIFRINSSLELVPFSPPIGFGCRKNLIECCGELYVVDRYLGANQQQQTSPKIHVRNASFNFFLRHPLRRGRRIYEADQPKAIDFKVYKLGDEELGGRWVEVKSLGGQAFFLSIDCCFSVLAAELEGCKGNCIYFTDSNDIGFALRELIRSDGSVFCLEDQSINWLSSSPVYSQMFWPLPA >ONH98359 pep chromosome:Prunus_persica_NCBIv2:G7:20949651:20950633:1 gene:PRUPE_7G246100 transcript:ONH98359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIIVNNAMLLVIAAVAASMMMLPTAEAALYTVGDDLGWTIPPGGAATYAAWAAKHSFVVKDILVFNFSAGEDDLAVVTKEDFDTCNTTNPLYEFEEPATLQFLASDTFYFTSTLAGHCSKGQKLAIYFAPSSTDSPSPSPTSSFPLKFVSQKIMQGTRT >ONH97780 pep chromosome:Prunus_persica_NCBIv2:G7:19213171:19214743:1 gene:PRUPE_7G209700 transcript:ONH97780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTDRGTLKRPGDEALEEKAVKRQRDLEVEGDQNDDILTLLFNEPDETLDEVMKLLDAADNGASCTTGAKVRFVNDPYSPSSYFTVNGNEESCGSSFSELESTCMVGVDICGGGLGIGAEVMGLEFDMWLMEEAKEENGGACGVIEEEARGGGWDMEAEWDDAALARFIGEDLF >ONH94996 pep chromosome:Prunus_persica_NCBIv2:G7:8121747:8122421:1 gene:PRUPE_7G045100 transcript:ONH94996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTLRTSIMLGTQSCLLLEQIMLGICWSTCGQIGVQDIFRLESLLVPYFKLFRHGSIIFVYYLNVNQ >ONH97490 pep chromosome:Prunus_persica_NCBIv2:G7:18359735:18365842:-1 gene:PRUPE_7G192500 transcript:ONH97490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRLFSAADSDDISTAIQFITEARPWTTLMGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLEEATRSSPHQMAIDQQLTGGLIDILSSNKELFQGKAKGFDVEQALSASSVRDFEKAISMVSYGFEAIEDFYSKSSTRGVVGNVKIPVLFIQKDDGSAPLFSVPRSLIAENPFTSLLLCSYLPSTSSVIDGGRFALSWCQHVMIEWLTAVELGLLKGRHPLLKDVDLPINPSEELALVEGRGSNKNGKFAKQLDLTQSDFLNGYTAEPINNMPVESDTAASFWLRSKKNSSRKSEVGHKVLPDVENGALDQTESDDPELVNKEEVNPVDGERGQVLQTAQIVMNMLDVTMPDTLTEEKKKKVLTAVDQGDTLMKALQDAVPEDVRGKLTAAVSGVVQTQGTNLKFDELLGITQIPDMSSGLKSKVQDKFTGISSSEGLNQDNHSSDQLKKDDDLVDSSLNNLPDMNKPPEGLQSEYHPSDGSQQNLNPDQSQPFSSNGSDVSGSVSNDVSESGNNDDESSQEKAPEYPGDKGSEPDTKTNSSSQAEIVGGSDEAIVEEPRDQDGIVDQVDTKEEEGNDNQKMDDNKNMKPVMDQSNTFSVSEALDAFTGIDDSTQLAVNNVFGVIENMISQLEESSEHEKEVRKIDSVSGSESAKDQLDDDSSLEDSEASKTDQNEQLDRLSNISVSDHPEIDMDLQSDAPNGWVEKPNQSPMSVNGDCMNISQGSDAVNSGVEDKNGKKDQLVGINLLAGNLDKLNHVKSTPLCITPVPTGAHIDLLSKLPTKPLDLDSTASLLLDYIPEEGQWKLLEPPGHVGSSVGNDATHREVDEKVHAHSPAKVNDKVIEPSYVILDTEKYQEPVKEYETVENMEERIEISEEKVQDFIQFVKNIILNTLKVEVGRRLSAAGMKKMEPYLARDVEQVANAVSFCVGPDAPILEVKYHSIDNISEKFGTLHGENVVRAISSAVEGTSFLRRVLPVGVIVGSSLAALRKHFVVVTEHDRGQTEVLTLSQAKISGEKDLGKASGAEIHHTPVDKSDQNARLDSSVNRKGERTGLKNINNTVMVGAVTAALGASALFVENQDSYKGDENSECSSNSLMEGNGQRKPDKLEQALSEKNQNNIVTSLAEKAMSVAAPVVPTKEDGGVDQERLVAMLADLGQKGGMLKLVGKIALLWGGLRGAMSLTDKLIQFLHIADRPLIQRIFGFVGMVLVLWSPVVVPLLPTFLQSWATNTSSRIAELACIIGLYTAFMILVIIWGKRIRGYENPLQKYGLDLTSLPKLCDFLKGLIGGVMLVLSIQSVNALLGCVNLAWPSTLSSLDAMTRIKVYGQVLRLVGQGILTATGVALVEELLFRSWLPQEIAADLGYHQGIIISGLAFSLFQRSPRSIPGLWLLSLSLSGARQRNQGSLSIPIGFRAGIMASSFILQKGGFLTYQASFPHWIMGTHPFQPFSGLTGFAFSLFLALIVYPRQPLNRTDLRRRIEELKEQYAGI >ONH97489 pep chromosome:Prunus_persica_NCBIv2:G7:18359735:18366843:-1 gene:PRUPE_7G192500 transcript:ONH97489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLSSNCTHHTNLHSTFTPRFFLKHAFQIREFRVYHRRRLKIAPRNQLGIGNGNAFHDFISQFPSPNSIQLIAPLLGFISGATLYLSNSNSNSGSAKQQSGSDIGEWVLFTSPTPFNRFVLLRCPSISFQGSELLEDVNEKLVKEDRHFVRLNSGRIQFDSRNRTESGVEEKLEYQRLCVGTDDGGVISLDWPANLDLKEEHGLDTTLVIVPGSALGSMDWKVRSFVCEALRRGCFPIVMNPRGCAGSPLTTPRLFSAADSDDISTAIQFITEARPWTTLMGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLEEATRSSPHQMAIDQQLTGGLIDILSSNKELFQGKAKGFDVEQALSASSVRDFEKAISMVSYGFEAIEDFYSKSSTRGVVGNVKIPVLFIQKDDGSAPLFSVPRSLIAENPFTSLLLCSYLPSTSSVIDGGRFALSWCQHVMIEWLTAVELGLLKGRHPLLKDVDLPINPSEELALVEGRGSNKNGKFAKQLDLTQSDFLNGYTAEPINNMPVESDTAASFWLRSKKNSSRKSEVGHKVLPDVENGALDQTESDDPELVNKEEVNPVDGERGQVLQTAQIVMNMLDVTMPDTLTEEKKKKVLTAVDQGDTLMKALQDAVPEDVRGKLTAAVSGVVQTQGTNLKFDELLGITQIPDMSSGLKSKVQDKFTGISSSEGLNQDNHSSDQLKKDDDLVDSSLNNLPDMNKPPEGLQSEYHPSDGSQQNLNPDQSQPFSSNGSDVSGSVSNDVSESGNNDDESSQEKAPEYPGDKGSEPDTKTNSSSQAEIVGGSDEAIVEEPRDQDGIVDQVDTKEEEGNDNQKMDDNKNMKPVMDQSNTFSVSEALDAFTGIDDSTQLAVNNVFGVIENMISQLEESSEHEKEVRKIDSVSGSESAKDQLDDDSSLEDSEASKTDQNEQLDRLSNISVSDHPEIDMDLQSDAPNGWVEKPNQSPMSVNGDCMNISQGSDAVNSGVEDKNGKKDQLVGINLLAGNLDKLNHVKSTPLCITPVPTGAHIDLLSKLPTKPLDLDSTASLLLDYIPEEGQWKLLEPPGHVGSSVGNDATHREVDEKVHAHSPAKVNDKVIEPSYVILDTEKYQEPVKEYETVENMEERIEISEEKVQDFIQFVKNIILNTLKVEVGRRLSAAGMKKMEPYLARDVEQVANAVSFCVGPDAPILEVKYHSIDNISEKFGTLHGENVVRAISSAVEGTSFLRRVLPVGVIVGSSLAALRKHFVVVTEHDRGQTEVLTLSQAKISGEKDLGKASGAEIHHTPVDKSDQNARLDSSVNRKGERTGLKNINNTVMVGAVTAALGASALFVENQDSYKGDENSECSSNSLMEGNGQRKPDKLEQALSEKNQNNIVTSLAEKAMSVAAPVVPTKEDGGVDQERLVAMLADLGQKGGMLKLVGKIALLWGGLRGAMSLTDKLIQFLHIADRPLIQRIFGFVGMVLVLWSPVVVPLLPTFLQSWATNTSSRIAELACIIGLYTAFMILVIIWGKRIRGYENPLQKYGLDLTSLPKLCDFLKGLIGGVMLVLSIQSVNALLGCVNLAWPSTLSSLDAMTRIKVYGQVLRLVGQGILTATGVALVEELLFRSWLPQEIAADLGYHQGIIISGLAFSLFQRSPRSIPGLWLLSLSLSGARQRNQGSLSIPIGFRAGIMASSFILQKGGFLTYQASFPHWIMGTHPFQPFSGLTGFAFSLFLALIVYPRQPLNRTDLRRRIEELKEQYAGI >ONH97493 pep chromosome:Prunus_persica_NCBIv2:G7:18360980:18367007:-1 gene:PRUPE_7G192500 transcript:ONH97493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLSSNCTHHTNLHSTFTPRFFLKHAFQIREFRVYHRRRLKIAPRNQLGIGNGNAFHDFISQFPSPNSIQLIAPLLGFISGATLYLSNSNSNSGSAKQQSGSDIGEWVLFTSPTPFNRFVLLRCPSISFQGSELLEDVNEKLVKEDRHFVRLNSGRIQFDSRNRTESGVEEKLEYQRLCVGTDDGGVISLDWPANLDLKEEHGLDTTLVIVPGSALGSMDWKVRSFVCEALRRGCFPIVMNPRGCAGSPLTTPRLFSAADSDDISTAIQFITEARPWTTLMGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLEEATRSSPHQMAIDQQLTGGLIDILSSNKELFQGKAKGFDVEQALSASSVRDFEKAISMVSYGFEAIEDFYSKSSTRGVVGNVKIPVLFIQKDDGSAPLFSVPRSLIAENPFTSLLLCSYLPSTSSVIDGGRFALSWCQHVMIEWLTAVELGLLKGRHPLLKDVDLPINPSEELALVEGRGSNKNGKFAKQLDLTQSDFLNGYTAEPINNMPVESDTAASFWLRSKKNSSRKSEVGHKVLPDVENGALDQTESDDPELVNKEEVNPVDGERGQVLQTAQIVMNMLDVTMPDTLTEEKKKKVLTAVDQGDTLMKALQDAVPEDVRGKLTAAVSGVVQTQGTNLKFDELLGITQIPDMSSGLKSKVQDKFTGISSSEGLNQDNHSSDQLKKDDDLVDSSLNNLPDMNKPPEGLQSEYHPSDGSQQNLNPDQSQPFSSNGSDVSGSVSNDVSESGNNDDESSQEKAPEYPGDKGSEPDTKTNSSSQAEIVGGSDEAIVEEPRDQDGIVDQVDTKEEEGNDNQKMDDNKNMKPVMDQSNTFSVSEALDAFTGIDDSTQLAVNNVFGVIENMISQLEESSEHEKEVRKIDSVSGSESAKDQLDDDSSLEDSEASKTDQNEQLDRLSNISVSDHPEIDMDLQSDAPNGWVEKPNQSPMSVNGDCMNISQGSDAVNSGVEDKNGKKDQLVGINLLAGNLDKLNHVKSTPLCITPVPTGAHIDLLSKLPTKPLDLDSTASLLLDYIPEEGQWKLLEPPGHVGSSVGNDATHREVDEKVHAHSPAKVNDKVIEPSYVILDTEKYQEPVKEYETVENMEERIEISEEKVQDFIQFVKNIILNTLKVEVGRRLSAAGMKKMEPYLARDVEQVANAVSFCVGPDAPILEVKYHSIDNISEKFGTLHGENVVRAISSAVEGTSFLRRVLPVGVIVGSSLAALRKHFVVVTEHDRGQTEVLTLSQAKISGEKDLGKASGAEIHHTPVDKSDQNARLDSSVNRKGERTGLKNINNTVMVGAVTAALGASALFVENQDSYKGDENSECSSNSLMEGNGQRKPDKLEQALSEKNQNNIVTSLAEKAMSVAAPVVPTKEDGGVDQERSKHTIYCTYYYFSLLLIL >ONH97488 pep chromosome:Prunus_persica_NCBIv2:G7:18359211:18367007:-1 gene:PRUPE_7G192500 transcript:ONH97488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLSSNCTHHTNLHSTFTPRFFLKHAFQIREFRVYHRRRLKIAPRNQLGIGNGNAFHDFISQFPSPNSIQLIAPLLGFISGATLYLSNSNSNSGSAKQQSGSDIGEWVLFTSPTPFNRFVLLRCPSISFQGSELLEDVNEKLVKEDRHFVRLNSGRIQFDSRNRTESGVEEKLEYQRLCVGTDDGGVISLDWPANLDLKEEHGLDTTLVIVPGSALGSMDWKVRSFVCEALRRGCFPIVMNPRGCAGSPLTTPRLFSAADSDDISTAIQFITEARPWTTLMGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLEEATRSSPHQMAIDQQLTGGLIDILSSNKELFQGKAKGFDVEQALSASSVRDFEKAISMVSYGFEAIEDFYSKSSTRGVVGNVKIPVLFIQKDDGSAPLFSVPRSLIAENPFTSLLLCSYLPSTSSVIDGGRFALSWCQHVMIEWLTAVELGLLKGRHPLLKDVDLPINPSEELALVEGRGSNKNGKFAKQLDLTQSDFLNGYTAEPINNMPVESDTAASFWLRSKKNSSRKSEVGHKVLPDVENGALDQTESDDPELVNKEEVNPVDGERGQVLQTAQIVMNMLDVTMPDTLTEEKKKKVLTAVDQGDTLMKALQDAVPEDVRGKLTAAVSGVVQTQGTNLKFDELLGITQIPDMSSGLKSKVQDKFTGISSSEGLNQDNHSSDQLKKDDDLVDSSLNNLPDMNKPPEGLQSEYHPSDGSQQNLNPDQSQPFSSNGSDVSGSVSNDVSESGNNDDESSQEKAPEYPGDKGSEPDTKTNSSSQAEIVGGSDEAIVEEPRDQDGIVDQVDTKEEEGNDNQKMDDNKNMKPVMDQSNTFSVSEALDAFTGIDDSTQLAVNNVFGVIENMISQLEESSEHEKEVRKIDSVSGSESAKDQLDDDSSLEDSEASKTDQNEQLDRLSNISVSDHPEIDMDLQSDAPNGWVEKPNQSPMSVNGDCMNISQGSDAVNSGVEDKNGKKDQLVGINLLAGNLDKLNHVKSTPLCITPVPTGAHIDLLSKLPTKPLDLDSTASLLLDYIPEEGQWKLLEPPGHVGSSVGNDATHREVDEKVHAHSPAKVNDKVIEPSYVILDTEKYQEPVKEYETVENMEERIEISEEKVQDFIQFVKNIILNTLKVEVGRRLSAAGMKKMEPYLARDVEQVANAVSFCVGPDAPILEVKYHSIDNISEKFGTLHGENVVRAISSAVEGTSFLRRVLPVGVIVGSSLAALRKHFVVVTEHDRGQTEVLTLSQAKISGEKDLGKASGAEIHHTPVDKSDQNARLDSSVNRKGERTGLKNINNTVMVGAVTAALGASALFVENQDSYKGDENSECSSNSLMEGNGQRKPDKLEQALSEKNQNNIVTSLAEKAMSVAAPVVPTKEDGGVDQERLVAMLADLGQKGGMLKLVGKIALLWGGLRGAMSLTDKLIQFLHIADRPLIQRSPRSIPGLWLLSLSLSGARQRNQGSLSIPIGFRAGIMASSFILQKGGFLTYQASFPHWIMGTHPFQPFSGLTGFAFSLFLALIVYPRQPLNRTDLRRRIEELKEQYAGI >ONH97492 pep chromosome:Prunus_persica_NCBIv2:G7:18359211:18367007:-1 gene:PRUPE_7G192500 transcript:ONH97492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLEEATRSSPHQMAIDQQLTGGLIDILSSNKELFQGKAKGFDVEQALSASSVRDFEKAISMVSYGFEAIEDFYSKSSTRGVVGNVKIPVLFIQKDDGSAPLFSVPRSLIAENPFTSLLLCSYLPSTSSVIDGGRFALSWCQHVMIEWLTAVELGLLKGRHPLLKDVDLPINPSEELALVEGRGSNKNGKFAKQLDLTQSDFLNGYTAEPINNMPVESDTAASFWLRSKKNSSRKSEVGHKVLPDVENGALDQTESDDPELVNKEEVNPVDGERGQVLQTAQIVMNMLDVTMPDTLTEEKKKKVLTAVDQGDTLMKALQDAVPEDVRGKLTAAVSGVVQTQGTNLKFDELLGITQIPDMSSGLKSKVQDKFTGISSSEGLNQDNHSSDQLKKDDDLVDSSLNNLPDMNKPPEGLQSEYHPSDGSQQNLNPDQSQPFSSNGSDVSGSVSNDVSESGNNDDESSQEKAPEYPGDKGSEPDTKTNSSSQAEIVGGSDEAIVEEPRDQDGIVDQVDTKEEEGNDNQKMDDNKNMKPVMDQSNTFSVSEALDAFTGIDDSTQLAVNNVFGVIENMISQLEESSEHEKEVRKIDSVSGSESAKDQLDDDSSLEDSEASKTDQNEQLDRLSNISVSDHPEIDMDLQSDAPNGWVEKPNQSPMSVNGDCMNISQGSDAVNSGVEDKNGKKDQLVGINLLAGNLDKLNHVKSTPLCITPVPTGAHIDLLSKLPTKPLDLDSTASLLLDYIPEEGQWKLLEPPGHVGSSVGNDATHREVDEKVHAHSPAKVNDKVIEPSYVILDTEKYQEPVKEYETVENMEERIEISEEKVQDFIQFVKNIILNTLKVEVGRRLSAAGMKKMEPYLARDVEQVANAVSFCVGPDAPILEVKYHSIDNISEKFGTLHGENVVRAISSAVEGTSFLRRVLPVGVIVGSSLAALRKHFVVVTEHDRGQTEVLTLSQAKISGEKDLGKASGAEIHHTPVDKSDQNARLDSSVNRKGERTGLKNINNTVMVGAVTAALGASALFVENQDSYKGDENSECSSNSLMEGNGQRKPDKLEQALSEKNQNNIVTSLAEKAMSVAAPVVPTKEDGGVDQERLVAMLADLGQKGGMLKLVGKIALLWGGLRGAMSLTDKLIQFLHIADRPLIQRIFGFVGMVLVLWSPVVVPLLPTFLQSWATNTSSRIAELACIIGLYTAFMILVIIWGKRIRGYENPLQKYGLDLTSLPKLCDFLKGLIGGVMLVLSIQSVNALLGCVNLAWPSTLSSLDAMTRIKVYGQVLRLVGQGILTATGVALVEELLFRSWLPQEIAADLGYHQGIIISGLAFSLFQRSPRSIPGLWLLSLSLSGARQRNQGSLSIPIGFRAGIMASSFILQKGGFLTYQASFPHWIMGTHPFQPFSGLTGFAFSLFLALIVYPRQPLNRTDLRRRIEELKEQYAGI >ONH97491 pep chromosome:Prunus_persica_NCBIv2:G7:18359735:18365842:-1 gene:PRUPE_7G192500 transcript:ONH97491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRLFSAADSDDISTAIQFITEARPWTTLMGVGWGYGANMLTKYLAEVGESTPLTAATCIDNPFDLEEATRSSPHQMAIDQQLTGGLIDILSSNKELFQGKAKGFDVEQALSASSVRDFEKAISMVSYGFEAIEDFYSKSSTRGVVGNVKIPVLFIQKDDGSAPLFSVPRSLIAENPFTSLLLCSYLPSTSSVIDGGRFALSWCQHVMIEWLTAVELGLLKGRHPLLKDVDLPINPSEELALVEGRGSNKNGKFAKQLDLTQSDFLNGYTAEPINNMPVESDTAASFWLRSKKNSSRKSEVGHKVLPDVENGALDQTESDDPELVNKEEVNPVDGERGQVLQTAQIVMNMLDVTMPDTLTEEKKKKVLTAVDQGDTLMKALQDAVPEDVRGKLTAAVSGVVQTQGTNLKFDELLGITQIPDMSSGLKSKVQDKFTGISSSEGLNQDNHSSDQLKKDDDLVDSSLNNLPDMNKPPEGLQSEYHPSDGSQQNLNPDQSQPFSSNGSDVSGSVSNDVSESGNNDDESSQEKAPEYPGDKGSEPDTKTNSSSQAEIVGGSDEAIVEEPRDQDGIVDQVDTKEEEGNDNQKMDDNKNMKPVMDQSNTFSVSEALDAFTGIDDSTQLAVNNVFGVIENMISQLEESSEHEKEVRKIDSVSGSESAKDQLDDDSSLEDSEASKTDQNEQLDRLSNISVSDHPEIDMDLQSDAPNGWVEKPNQSPMSVNGDCMNISQGSDAVNSGVEDKNGKKDQLVGINLLAGNLDKLNHVKSTPLCITPVPTGAHIDLLSKLPTKPLDLDSTASLLLDYIPEEGQWKLLEPPGHVGSSVGNDATHREVDEKVHAHSPAKVNDKVIEPSYVILDTEKYQEPVKEYETVENMEERIEISEEKVQDFIQFVKNIILNTLKVEVGRRLSAAGMKKMEPYLARDVEQVANAVSFCVGPDAPILEVKYHSIDNISEKFGTLHGENVVRAISSAVEGTSFLRRVLPVGVIVGSSLAALRKHFVVVTEHDRGQTEVLTLSQAKISGEKDLGKASGAEIHHTPVDKSDQNARLDSSVNRKGERTGLKNINNTVMVGAVTAALGASALFVENQDSYKGDENSECSSNSLMEGNGQRKPDKLEQALSEKNQNNIVTSLAEKAMSVAAPVVPTKEDGGVDQERLVAMLADLGQKGGMLKLVGKIALLWGGLRGAMSLTDKLIQFLHIADRPLIQRIFGFVGMVLVLWSPVVVPLLPTFLQSWATNTSSRIAELACIIGLYTAFMILVIIWGKRIRGYENPLQKYGLDLTSLPKLCDFLKGLIGGVMLVLSIQSVNALLGCVNLAWPSTLSSLDAMTRIKVYGQVLRLVGQGILTATGVALVEELLFRSWLPQEIAADLGYHQGIIISGLAFSLFQRSPRSIPGLWLLSLSLSGARQRNQGSLSIPIGFRAGIMASSFILQKGGFLTYQASFPHWIMGTHPFQPFSGLTGFAFSLFLALIVYPRQPLNRTDLRRRIEELKEQYAGI >ONH96234 pep chromosome:Prunus_persica_NCBIv2:G7:14040250:14042539:1 gene:PRUPE_7G115100 transcript:ONH96234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVKTNRVKYPEGWELIEPTIRELQAKMREAENDTHDGKRKCETLWPIFKIAHQKSRYIFDLYHRRNEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKHLREEKVIECVHCGCKGCASGD >ONH96558 pep chromosome:Prunus_persica_NCBIv2:G7:15392419:15395823:-1 gene:PRUPE_7G136900 transcript:ONH96558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSVPESESDSSCVGSDYAEIIVLRHGETAWNADGRIQGHLDVELNDAGRQQAAVVGDRLSKEPKISVVYSSDLARAYETAQIIAARCGGIKVVTDVDLRERHLGDLQGLVFRDTAKLNPKAHRAFVSRETCQEIPKSTPWRNIMEFPAHDS >ONH97248 pep chromosome:Prunus_persica_NCBIv2:G7:17641896:17649557:1 gene:PRUPE_7G179600 transcript:ONH97248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEVEAQMEEKSKPQKHGGNGNGDLALENGGQGSSDAHDQLVQMVMELKLQNEFLKSQFEGFQNFQQTEPSETEGGKSEEVEQLRQTIESLNVELLEEKQTRAAAEVALKHLQEAHSEADSKAQELSAKLAEAQQKLDQEIKEREEKYSDLDSKFTRLHKRAKQRIQDVQKEKDDLEARFREVNEASERALSQQSALQQELDRTRQQANDALKAIDAERQQLRSANNKLRDNIEELRHQLQPKETAIEALQHTILEKEQMLEDMRGLLQAADEKRQASIAELSAKHQKNLESLEAQLADASSDRNKATETISSLQMLVAEKESKIAEMEAASTGEAARLRAAVETLKGDLTHLKHEHEKEKESWEAASRALNTKLQIAESNRICAEIEVAKMRSQLESEVSAKTRMLDARDAELAIVKEEINRLEREFSSYKSRAHALLQKKDAELAGAKDSEQVKALEEALKEAEKEVSFVCAERDKALQDLQNALANHYKEIAERDAALKDALQQIKSLESMLESANNHHRSAKEAWEVNLKSLEETWQVRCDTLRAQNEASSGEDIKKELEELKLQYKKLKEEHSSFHDLADRMIEEKDKEISRLVDDNKKLHQSLNSRPRADHNDNYNTGMYKHDTSSINNSAAEQQILILARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQESMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVVGMLLQFSPDEIQKCQQAYRASGDVPPSPASDASGSATSLFSRFSFS >ONH97249 pep chromosome:Prunus_persica_NCBIv2:G7:17641896:17648309:1 gene:PRUPE_7G179600 transcript:ONH97249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEVEAQMEEKSKPQKHGGNGNGDLALENGGQGSSDAHDQLVQMVMELKLQNEFLKSQFEGFQNFQQTEPSETEGGKSEEVEQLRQTIESLNVELLEEKQTRAAAEVALKHLQEAHSEADSKAQELSAKLAEAQQKLDQEIKEREEKYSDLDSKFTRLHKRAKQRIQDVQKEKDDLEARFREVNEASERALSQQSALQQELDRTRQQANDALKAIDAERQQLRSANNKLRDNIEELRHQLQPKETAIEALQHTILEKEQMLEDMRGLLQAADEKRQASIAELSAKHQKNLESLEAQLADASSDRNKATETISSLQMLVAEKESKIAEMEAASTGEAARLRAAVETLKGDLTHLKHEHEKEKESWEAASRALNTKLQIAESNRICAEIEVAKMRSQLESEVSAKTRMLDARDAELAIVKEEINRLEREFSSYKSRAHALLQKKDAELAGAKDSEQVKALEEALKEAEKEVSFVCAERDKALQDLQNALANHYKEIAERDAALKDALQQIKSLESMLESANNHHRSAKEAWEVNLKSLEETWQVRCDTLRAQNEASSGEDIKKELEELKLQYKKLKEEHSSFHDLADRMIEEKDKEISRLVDDNKKLHQSLNSRPRADHNDNYNTGMYKHDTSSINNSAAEQQILILARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQESMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVVGMLLQFSPDEIQKCQQAYRASGDVPPSPASDASGSATSLFSRFSFS >ONH96439 pep chromosome:Prunus_persica_NCBIv2:G7:14913630:14915499:-1 gene:PRUPE_7G129100 transcript:ONH96439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPYLFLDSEVTSPIALSLAFIIFMFLVKFVLKTHNKNSVPVVPLPPGPSPWPIVGCLPEMWRNRPAHRWIHSLMKKLNTDIACIRLGNVHVIPVTSPEIAREFLKKNDAVFASRPVTMATKTLSSGYLTTVVGPWGDQWRKMRRVLVADAFNPSRVHWLLGKRNEEADNLVKFLYNQCSANQNGAVVNVRIAAQFYSGSIMRKMIFNRTYFGKGREDGGPGVEEEEHVSALLTLLTYAYAFCLSDYLPWLRIFDIDGHEKKVRKAMNIVKKHQEPIVNERLQEWRDGKRNEPDDLLDVFISLKDANGQPLLSDEEIKAQTTELQLSTVDSPFNVAEWALTEMLNQPEMLKKAEEELDRVVGKKTLVQESHVPHLPYIRACAKEVMRLHPVGPFNLPHVSIADAEVAGYLIPKGSNVILSRLELGRNPRVWEQPLRFNPERHLNRAVNQQVDLEENDLRFVSFSTGRRGCMGVGLGSTIVVMLLARLLQGFSWSLPPDVDKIDFTEDQIYLKKASPLLAQAKPRLPASVYPI >ONH94550 pep chromosome:Prunus_persica_NCBIv2:G7:3411391:3414999:-1 gene:PRUPE_7G022100 transcript:ONH94550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDSWMREFNDASKLADEINGMISGRSSLPPSGPETQRHMSATRRKVTILRTKLETLQSLLSKLPNKQSITGKEINRRKDMLTNLSSKADQMAIALNMSSLANKQNLLGPDKKMDDIMRRTEDLDNHGLVSFQRQIMKEQDEGLGKLEETVISTKHIALAVNEELDLHTMLLDNLDQHVDSTNSNLQRVQKKFAVLNKRTKGGCSSLILLVLAVVILIIVTWALIKYL >ONH94552 pep chromosome:Prunus_persica_NCBIv2:G7:3412116:3414967:-1 gene:PRUPE_7G022100 transcript:ONH94552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDSWMREFNDASKLADEINGMISGRSSLPPSGPETQRHMSATRRKVTILRTKLETLQSLLSKLPNKQSITGKEINRRKDMLTNLSSKADQMAIALNMSSLANKQNLLGPDKKMDDIMRRTEDLDNHGLVSFQRQIMKEQDEGLGKLEETVISTKHIALAVNEELDLHTMLLDNLDQHVDSTNSNLQVIITILLLAFLA >ONH94551 pep chromosome:Prunus_persica_NCBIv2:G7:3411527:3414578:-1 gene:PRUPE_7G022100 transcript:ONH94551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDSWMREFNDASKLADEINGMISGRSSLPPSGPETQRHMSATRRKVTILRTKLETLQSLLSKLPNKQSITGKEINRRKDMLTNLSSKADQMAIALNMSSLANKQNLLGPDKKMDDIMRRTEDLDNHGLVSFQRQIMKEQDEGLGKLEETVISTKHIALAVNEELDLHTMLLDNLDQHVDSTNSNLQRVQKKFAVLNKRTKGGCSSLILLVLAVVILIIVTWALIKYL >ONH94549 pep chromosome:Prunus_persica_NCBIv2:G7:3411391:3415005:-1 gene:PRUPE_7G022100 transcript:ONH94549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDSWMREFNDASKLADEINGMISGRSSLPPSGPETQRHMSATRRKVTILRTKLETLQSLLSKLPNKQSITGKEINRRKDMLTNLSSKADQMAIALNMSSLANKQNLLGPDKKMDDIMRRTEDLDNHGLVSFQRQIMKEQDEGLGKLEETVISTKHIALAVNEELDLHTMLLDNLDQHVDSTNSNLQRVQKKFAVLNKRTKGGCSSLILLVLAVVILIIVTWALIKYL >ONH94553 pep chromosome:Prunus_persica_NCBIv2:G7:3412117:3414889:-1 gene:PRUPE_7G022100 transcript:ONH94553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDSWMREFNDASKLADEINGMISGRSSLPPSGPETQRHMSATRRKVTILRTKLETLQSLLSKLPNKQSITGKEINRRKDMLTNLSSKADQMAIALNMSSLANKQNLLGPDKKMDDIMRRTEDLDNHGLVSFQRQIMKEQDEGLGKLEETVISTKHIALAVNEELDLHTMLLDNLDQHVDSTNSNLQVIITILLLAFLA >ONH94548 pep chromosome:Prunus_persica_NCBIv2:G7:3411527:3414960:-1 gene:PRUPE_7G022100 transcript:ONH94548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDSWMREFNDASKLADEINGMISGRSSLPPSGPETQRHMSATRRKVTILRTKLETLQSLLSKLPNKQSILANKQNLLGPDKKMDDIMRRTEDLDNHGLVSFQRQIMKEQDEGLGKLEETVISTKHIALAVNEELDLHTMLLDNLDQHVDSTNSNLQRVQKKFAVLNKRTKGGCSSLILLVLAVVILIIVTWALIKYL >ONH94934 pep chromosome:Prunus_persica_NCBIv2:G7:7660474:7675406:-1 gene:PRUPE_7G041000 transcript:ONH94934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVTVRARPLSAEDAKTSPWRISGNSIFIPNHSSKFEFDRIFGEDCKNFEVYQSQTKDIVAAAVRGFNGTVFAYGQTNSGKTHTIRGSATEPGVIPLAVREMFNIIQEDVDREFLLRMSYMEIYNEDINDLLAPEHRKLQIHESLERGIYVAGLREEIVASPEQVLDLMEFGESHRHIGETNMNLYSSRSHTIFRMIIESRDRNEDEDIGSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCARVNEILTDAALLKRQKKEIEVLRAKLQESHSEHWAEEILNLRNTLLQTELERERIALELEEEKKAQAEREKMVQQQAKKIENLSSMVLYSNRDETHDRFKKEKRRDTWCPGNLTRETQGEVVSTIQSKASAAKTVRPMRDVGPLLPFQELVHETEVAENESCKEDEECKNITLDDSALPDPCALLHVTNRRKVPPRKKSLPLDNDLVDLQAEYEDLLIKFETQRTLSEIQIECLTQKLAEADMFSGAMYNDYFTSYLDKGTINGDNNISLRESEAILVIKRLQEQIKMLEMEKSSSQQNLDSVVDLATEQNICAREKFGELYEELLIAREEARVAREHPWNESVAKVDDKSSDLVIKLAKEVREIMLEVQSSEIAIESVSSLLDEGSKSFSVLFDTFLDFKTSMCQFSLQQKNIISNHEKLNSYLMEKVSELENEKCLLHNQTVDLQNRIEELKLDAQNSEKSLRDLEKGEYLSYMQVLEKEISGLSSCSLAKEKESLRKDVEKTRMKLKETEFKLKNAIQEKTKLEGEKASAEREIKRLHGQNSLLERDMNKRDSLAGRRRDSVMSDSKRTKNLAFEQTLQEEYKKMEVYAFEMETKMTSLEEELAAVYREKEDAVSISEGLASELENLSEKLSTSNLELEALQEELLALKQRLEESEFEQQKMEGSIKMFTEEKEDLAMQLTDSLLEMEEERAIWSAKEKASIEAIEEKSKVYNMEITSLSREMSEVRNELESCRKECKVLRERLTSCEETAGQKTCSMEKSFEIDQVNNDKNITGALSKRSEEMLSSNSEMCRIHQSEEVNMLRKELSFLSKEREGLLTRITELSELSNDYQSLNNQLCVMSKEKDKLVTQIEEQQKHAIEEESLNKRYNDLLMEAKFQVEELTRRISSMELKIHKDQVENGIEKAKLRMRLQGAQARLDAFRSRYKETRDESDHMNRKFEEASKNLKEQLATKGVEVLSLKKQLAAKGL >ONH94936 pep chromosome:Prunus_persica_NCBIv2:G7:7660311:7675437:-1 gene:PRUPE_7G041000 transcript:ONH94936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVTVRARPLSAEDAKTSPWRISGNSIFIPNHSSKFEFDRIFGEDCKNFEVYQSQTKDIVAAAVRGFNGTVFAYGQTNSGKTHTIRGSATEPGVIPLAVREMFNIIQEDVDREFLLRMSYMEIYNEDINDLLAPEHRKLQIHESLERGIYVAGLREEIVASPEQVLDLMEFGESHRHIGETNMNLYSSRSHTIFRMIIESRDRNEDEDIGSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCARVNEILTDAALLKRQKKEIEVLRAKLQESHSEHWAEEILNLRNTLLQTELERERIALELEEEKKAQAEREKMVQQQAKKIENLSSMVLYSNRDETHDRFKKEKRRDTWCPGNLTRETQGEVVSTIQSKASAAKTVRPMRDVGPLLPFQELVHETEVAENESCKEDEECKNITLDDSALPDPCALLHVTNRRKVPPRKKSLPLDNDLVDLQAEYEDLLIKFETQRTLSEIQIECLTQKLAEADMFSGAMYNDYFTSYLDKGTINGDNNISLRESEAILVIKRLQEQIKMLEMEKSSSQQNLDSVVDLATEQNICAREKFGELYEELLIAREEARVAREHPWNESVAKVDDKSSDLVIKLAKEVREIMLEVQSSEIAIESVSSLLDEGSKSFSVLFDTFLDFKTSMCQFSLQQKNIISNHEKLNSYLMEKVSELENEKCLLHNQTVDLQNRIEELKLDAQNSEKSLRELLEQQDLEKGEYLSYMQVLEKEISGLSSCSLAKEKESLRKDVEKTRMKLKETEFKLKNAIQEKTKLEGEKASAEREIKRLHGQNSLLERDMNKRDSLAGRRRDSVMSDSKRTKNLAFEQTLQEEYKKMEVYAFEMETKMTSLEEELAAVYREKEDAVSISEGLASELENLSEKLSTSNLELEALQEELLALKQRLEESEFEQQKMEGSIKMFTEEKEDLAMQLTDSLLEMEEERAIWSAKEKASIEAIEEKSKVYNMEITSLSREMSEVRNELESCRKECKVLRERLTSCEETAGQKTCSMEKSFEIDQVNNDKNITGALSKRSEEMLSSNSEMCRIHQSEEVNMLRKELSFLSKEREGLLTRITELSELSNDYQSLNNQLCVMSKEKDKLVTQIEEQQKHAIEEESLNKRYNDLLMEAKFQVEELTRRISSMELKIHKDQVENGIEKAKLRMRLQGAQARLDAFRSRYKETRDESDHMNRKFEEASKNLKEQLATKGVEVLSLKKQLAAKGL >ONH94935 pep chromosome:Prunus_persica_NCBIv2:G7:7660348:7675406:-1 gene:PRUPE_7G041000 transcript:ONH94935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVTVRARPLSAEDAKTSPWRISGNSIFIPNHSSKFEFDRIFGEDCKNFEVYQSQTKDIVAAAVRGFNGTVFAYGQTNSGKTHTIRGSATEPGVIPLAVREMFNIIQEDVDREFLLRMSYMEIYNEDINDLLAPEHRKLQIHESLERGIYVAGLREEIVASPEQVLDLMEFGESHRHIGETNMNLYSSRSHTIFRMIIESRDRNEDEDIGSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCARVNEILTDAALLKRQKKEIEVLRAKLQESHSEHWAEEILNLRNTLLQTELERERIALELEEEKKAQAEREKMVQQQAKKIENLSSMVLYSNRDETHDRFKKEKRRDTWCPGNLTRETQGEVVSTIQSKASAAKTVRPMRDVGPLLPFQELVHETEVAENESCKEDEECKNITLDDSALPDPCALLHVTNRRKVPPRKKSLPLDNDLVDLQAEYEDLLIKFETQRTLSEIQIECLTQKLAEADMFSGAMYNDYFTSYLDKGTINGDNNISLRESEAILVIKRLQEQIKMLEMEKSSSQQNLDSVVDLATEQNICAREKFGELYEELLIAREEARVAREHPWNESVAKVDDKSSDLVIKLAKEVREIMLEVQSSEIAIESVSSLLDEGSKSFSVLFDTFLDFKTSMCQFSLQQKNIISNHEKLNSYLMEKVSELENEKCLLHNQTVDLQNRIEELKLDAQNSEKSLRELLEQQDLEKGEYLSYMQVLEKEISGLSSCSLAKEKESLRKDVEKTRMKLKETEFKLKNAIQEKTKLEGEKASAEREIKRLHGQNSLLERDMNKRDSLAGRRRDSVMSDSKRTKNLAFEQTLQEEYKKMEVYAFEMETKMTSLEEELAAVYREKEDAVSISEGLASELENLSEKLSTSNLELEALQEELLALKQRLEESEFEQQKMEGSIKMFTEEKEDLAMQLTDSLLEMEEERAIWSAKEKASIEAIEEKSKVRNELESCRKECKVLRERLTSCEETAGQKTCSMEKSFEIDQVNNDKNITGALSKRSEEMLSSNSEMCRIHQSEEVNMLRKELSFLSKEREGLLTRITELSELSNDYQSLNNQLCVMSKEKDKLVTQIEEQQKHAIEEESLNKRYNDLLMEAKFQVEELTRRISSMELKIHKDQVENGIEKAKLRMRLQGAQARLDAFRSRYKETRDESDHMNRKFEEASKNLKEQLATKGVEVLSLKKQLAAKGL >ONH95314 pep chromosome:Prunus_persica_NCBIv2:G7:10203385:10204295:1 gene:PRUPE_7G063300 transcript:ONH95314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHNPNPVQSQPPNECPKLRLQFRSTKQKQTINNGSAGSSVKNCFHGKQRREPNTSNKTIHFPSDSRRSAPQYHFHTKEFIFITKN >ONH96220 pep chromosome:Prunus_persica_NCBIv2:G7:13967306:13969446:1 gene:PRUPE_7G114100 transcript:ONH96220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEQVLQFLCFLVLFFISFFMLRSKLWYCNCEICHAYVTSCWSLKFDNLCDWYAHLLRDSPTKTIHIHVLRNTITANPENVEYMLKTRFENYPKGKPFSAILGDFLGQGIFNVDGHSWRFQRKMASLELDRHSVRSFAFEIVSHEIEHRLIPLLSSFSSSHDGGGSVLDLQDVFKRFSFDSICKFSFGLDPMCLELSLPLSKFAVAFDMASQLSAKRAMTASPLIWKIKRIFNLGSEKQLKESINMIDLLAKEVIARKRETGFSTQKDLLSRFMRSVEDETYLRDIVISFLLAGRDTVASALTSFFWLMGTHPAAASAVRVEADRVLGPNQGLKNFEQMHELHYLQAAIYESMRLFPPIQFDSKFCAQDDVLPDGTSVRKGTRVTYHPYAMGRIQDIWGSDCMEFKPERWLKDSVFFTEDPFKYPVFQPGLRVCLGKEMALMEMKTVALSLLRRFSIHPVTHELSRVPRFSPGLTATFSDGLPVLVRERKTQPSCS >ONH98062 pep chromosome:Prunus_persica_NCBIv2:G7:20045501:20047882:1 gene:PRUPE_7G226300 transcript:ONH98062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSEQSKPAQLVTSKKGGLRTMPCIISNEALEKVASYGLHANMILYLTSEYHMENATGASILFLWSAMSSFTPIIGAFLSDSYLGRFRVITLGTVVSLLGEILLWLTAVFPQARPVHCDDPSKEKCISANTGQVMLLFASFGLMSIGSGGIKPCSLAFGADQLDKPDSPKNERVLQSFFNWYYASVGVSVMFAVTVIVYVQVQFGWVVGFGVPVGLMLLSGLLFLLGFSLYVKVPPNKNLSAGLVQAIAAAWKNRHLALPPKNFDAWYSPKGSKFVTPTDNLRYLNKACMIRSPEKDIGPDGLAKDPWSLCTVRQVEELKALIRILPIWSSGIMIGATIAQHSFPVLLANTMDRHFLGKIEIPAASFSLFGILTLTVWVAIYDRILVPLVSKYTKRPRGFSFKQRIGAGLVISCLATAAAAEVERQRRKTAIQEGFLSNPKGVVSMSANWLVLQHCLTGLAEALNAIGQLEFYYSQFPKSMSSIAVALLSLGFGFGSLVGSLVVSILDDVTKKYGVSWISSDLNRAHYDYYYWLLTAMSVVNFFYFLLCSWLYGHCEDKKIWDESEGTKEMEDLSKSGEYAVIFSA >ONH97692 pep chromosome:Prunus_persica_NCBIv2:G7:18976509:18978026:-1 gene:PRUPE_7G205200 transcript:ONH97692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITSFPFLSQEDYSHFYTLFPDMDECDINGEGGLKKRRRKEDDSNNNNGPMSDILTTLILLDEEEKQEQEEFFVQTQQEKSILEANHRQKTRAMNEYLSDLQQNHAQSDELDQSRAKRTHQSAFAAAAAVAETANSSAGSESGSAVPAAGPHRRLWVKDRNKDWWDKYNNPNVSDDEFREAFRMSKATFDMICEELDSAVTKKNTMLRDAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCSAIKTVLMPKFLQWPDENRMKAIKEEFEASSGIPNVGGSMYTTHVPIIAPKVNVAAYFNKRHTERNQKTSYSITVQGVVDPRGVFTDVCIGWPGSMPDDQVLEKSALFQRANRGLLKDVWIVGNSGFPLTDWVLVPYTHQNLTWTQHAFNEKIGDVQRVAKDAFAKLKGRWSCLQKRTEVKLQDLPVVLGACCVLHNICAMRNEELDANLSFDIFDDEIVPENSLRSATSVQARDHIAHNLLHHGLAGTAFL >ONH98245 pep chromosome:Prunus_persica_NCBIv2:G7:20600203:20600481:-1 gene:PRUPE_7G238200 transcript:ONH98245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSSSTSRASEEFLVNFAPASLALASSPPLKTAAASENLPVYNANSDHATKKEIALLHHKSSGGENVIHLIPLVLVLCGFILWIFSHPAKL >ONH96619 pep chromosome:Prunus_persica_NCBIv2:G7:15687547:15689911:-1 gene:PRUPE_7G141500 transcript:ONH96619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRSPNPEAQKKHFVLVHGAGHGAWCWYKLSALLISAGHHVTALDLAASGDNPKQINQVHCFADYVEPLIEFMESLPPEDRFILVGHSMGGASISIAMERFPEKISVAVFATAVMPGPTLSYLTTVKEVGSRLEIKDSEYRYDKGPNNPPTSAIFGPQRMTSVLYQLSPPEDLALALSSLRYFPLFDEEIKLTKEKYGLVRRVYIVCDQDLTIGEDVQRWMIKENPPHEVKVINGSDHMPMFSKPQEFFSILQEISEKYS >ONH95629 pep chromosome:Prunus_persica_NCBIv2:G7:11633615:11635643:-1 gene:PRUPE_7G081100 transcript:ONH95629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSSQSLCIPLASFQLLPCLQTQPHYTFPNRVCYRNRCISPLSLSFPFISHQALHARQSFCGATAPPDEGVVSVINFDDFAEKDWSFLDSADFSSGPDYNLNIDRIITAGEIEETSRVMVSIGSEGFVDRVVESSPCNLLLVVHDSLFVLAGIKEKYDKVKCWQGELIYVPDKWAPLDVVFLYFLPAMPFTLDEAFGALARCFLAGARLVISHPQGREVLEQQRQQYPDVVTSDLPEKKTLQEVAAQHSFELTDYVDEPGFYLAVLKFSGARN >ONH95627 pep chromosome:Prunus_persica_NCBIv2:G7:11633812:11634822:-1 gene:PRUPE_7G081100 transcript:ONH95627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSSQSLCIPLASFQLLPCLQTQPHYTFPNRVCYRNRCISPLSLSFPFISHQALHARQSFCGATAPPDEGVVSVINFDDFAEKDWSFLDSADFSSGPDYNLNIDRIITAGEIEETSRVMVSIGSEGFVDRVVESSPCNLLLVVHDSLFVLAGIKEKYDKVKCWQGELIYVPDKWAPLDVVFLYFLPAMPFTLDEAFGALARCFLAGARLVISHPQGREVLEQQRQQYPDVVTSDLPEKKTLQEVAAQHSFELTDYVDEPGFYLAVLKFSGARN >ONH95628 pep chromosome:Prunus_persica_NCBIv2:G7:11633615:11635669:-1 gene:PRUPE_7G081100 transcript:ONH95628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVFSSQSLCIPLASFQLLPCLQTQPHYTFPNRVCYRNRCISPLSLSFPFISHQALHARQSFCGATAPPDEGVVSVINFDDFAEKDWSFLDSADFSSGPDYNLNIDRIITAGEIEETSRVMVSIGSEGFVDRVVESSPCNLLLVVHDSLFVLAGIKEKYDKVKCWQGELIYVPDKWAPLDVVFLYFLPAMPFTLDEAFGALARCFLAGARLVISHPQGREVLEQQRQQYPDVVTSDLPEKKTLQEVAAQHSFELTDYVDEPGFYLAVLKFSGARN >ONH98558 pep chromosome:Prunus_persica_NCBIv2:G7:21393998:21394714:1 gene:PRUPE_7G254300 transcript:ONH98558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAGVSGMMFQSVFEGSLSMQDMEVERRPYHKNCSCALHKSKGGVCSNACPQKRNISFPKKLSWTDDYRSSHVLLFLILHSTLLTFFLHWHSICLYYNISI >ONH95341 pep chromosome:Prunus_persica_NCBIv2:G7:10381090:10389382:-1 gene:PRUPE_7G065400 transcript:ONH95341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPMTTQTSSALPPPPTRPLEYEVFLSFRGFDTRKGFTDHLYKALIRNGIHTFRDDEQLKSGKPISRELFKAIEESKISVIILSTNYATSTWCLDELAKMVELAANNDSRLILPVFYNVTPSEVREQTGDHFKKAFAQHDKDFEGEPGKVAMWKNSLTAIAKLEAEGFDLTNFRYETDMIEKIVERIFGVLIKTFSNDDLKDFVGMDRVNEIKSKMSLCMGSEEVRVIGICGMPGIGKSTVAKALSQRIRSQFDAISFISKVGEISKKEGLFHIKEQLCDHLLDKKVTTKDVDDVICKRLRDKRVLIILDNVDELEQIEAIAGSDSAGLSNRFGKGSRIIVTTTDERLLIDYNPEIYTIEKLTPDQALLLFCRKALKTDHPTDAFKKLSNEFVDYIDGHPLALEVFGHSLWKREEDYWSTKLKSLKDKGYSGEKKIIGVLKASFDGLENQEQQDMFLDTACFFKGEDVCRLEKIFESCGYYPGINITILCEKSLVSIVGGRLWMHDLLQKMGRGLVLGESKKEGERSRLWHHTDALPVLKKNKGTDAVQGIFLSSPQPDKVHLKKDPFSNMDNLRLLKIYNVEFSGCLEYLSDELSLLEWHKCPLKSLPSSFEPNKLVELNLTRSEIEELWEDIEKPLEKLAVLNLSDCQKLIKTPDFEKVPNLEQLILKGCTSLSAVPDDINLRSLTNFILSGCSKLKKLPEIGEDMKQLRKLHLDGTAIEELPTSIKHLTGLTLINLRDCKNLLSLPDVICTSLTSLQILNVSGCSNLNELPENLGSLECLQELYASRTAIQELPTSIKHLTDLTLLNLRECKNLLTLPDIICTNLTSLQILNLSGCSNLNELPENLGSLECLQELYASRTAISQVPESISQLSQLEELVLDGCSMLQSLPRLPFSIRAVSVQNCPLLQGAHSNKITVWPSAAGFSFLSRQGNNDIAQAFWLPDKHLLWPFYQTFFEGAIQRGEMFEYGYRSNEIPAWLSRRSTESTITIPLPHDLDGKNKWIKLALCFVCEAAQKDDSLEDEPEFVEELGFKLNRNHRIELCTTEDPHERLLELDYRDCNCAGPFIHWCFIPQSDLAESSNKRLIQATITPDSPGTKVRGCGASLIYLEDVPKFVQKLNKHYSYCYHGYQIEQEEDGMRSIPSTSRVQTEQEELQEQETTTSTRIAGQLRRNVESLLEKLFEGLQQGLPNLYDYGFIFCLRERLLWFSEQSSTPACTVNLCLPPNLHNDEKWAGLSLYVVYALPPGLPLFRTFYECQLYTPVEAVGHEQLMHRLMLFSPWDDNVGSHRLLIIHIPRVRFPERLNRCHFIQALFGCRTPGVEVEMCGMRLVYNQDLKGLIQTITRCTNDRPAYYGTGDFTDTKKYKGISLGVTSLLTNLLAAAKSSEHSSVSEVCPPCMPIDFRQETYSAEDSQHERKTRPIRPPQDFGSSFDPTNNMSSDQNQLLDFERDLKYNSCFPPNEIVEWFRHQSSGPSVKIPLPSNLCEDTNWIGLALCAYFSVLDHSTTDLDNLNPEISHNLTCLLETDESCLESLHGYCTNSQEFEWLYRMGGFIWLSYIPRCWFSDQLKERGHLEASIGSDRGSLGVHRCGLRLIYLEDEEGLKETIMHCMTSLSDINQGKDKQYQNCEVGSSSITGSNVNFGCHSMYNFCFPSSITLEWFGDQSSGSSIRVPLPPHLYSATNWIGLALCASFSIMENPTADLDNLNPEISHHLICHLESDRGTIEPLHDYCTTNEEFQWLPFGGFIWVSYIPRVWFSDQLNECDVLEASFASDHEAFIVHECGLRLVYQHDEEEIKQTILHYMTSLSDKKVKNKQCPTGEAVSSSRPSSYIVKPHLKRLGRPSWDFDRHSIYNSCFPSSITLEWFGRQSNDSSATILLPHNLNLDSNWIGLAVCAYFSVLEHPTVDIDNLDIPAISHHLICNLESDRDSLESLHDYCTANEEFLWLHFGGFVWVSYIPRAWFSDQLNECGVLEASIASDHEAFSVQKCGLRLVYQHDEEEFKQTISRSQIRKGKISNTITAR >ONH98619 pep chromosome:Prunus_persica_NCBIv2:G7:21548204:21552348:-1 gene:PRUPE_7G257900 transcript:ONH98619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPELTDYERKRLENIRRNDQMMASLKLHSIAAQVSASTKRPRAETKSYKVCPKKQPKTQTPIVIRRSLRTRGLPPDAKGLSDDAIESMVRNSKSPSPSKASPRDLGPLSMRDAYSGASDRALIEALLGIANNPQLSASVKGEIGRFEVSKVENSSGACEGIGGLTSGLIKKEENEIENGLKLEPLTEGIDGITCGLIKKEESEVDSGLKLESLTLNSENIARVVPGRITNIFTSCYDGFIRLMDAEKEVFDLVYSSEETIYSICQQSKDPKCLYFAEGHGGLSVWDERTGNFSNQWPLHEDRINSINFNSENSNVMTTSSTDGTACIWDLRSINANKLKTLRTVGHKRAVHSAFFSPSGRSLVTTSIDNTVGISSGVNFEDISMIYHDNRTGRWISSFRAIWGWDDEYVFIGNMKRGVDVISPVERRTVFTLQSPHMSAIPCRFDVHPFKVGMLAGATSGGQVYIWTLGC >ONH98620 pep chromosome:Prunus_persica_NCBIv2:G7:21549298:21552156:-1 gene:PRUPE_7G257900 transcript:ONH98620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPELTDYERKRLENIRRNDQMMASLKLHSIAAQVSASTKRPRAETKSYKVCPKKQPKTQTPIVIRRSLRTRGLPPDAKGLSDDAIESMVRNSKSPSPSKASPRDLGPLSMRDAYSGASDRALIEALLGIANNPQLSASVKGEIGRFEVSKVENSSGACEGIGGLTSGLIKKEENEIENGLKLEPLTEGIDGITCGLIKKEESEVDSGLKLESLTLNSENIARVVPGRITNVSFFPCTSSSMVVVGNKFGNVGFWHIDSKEEEESGVYLYRPHTGPISGILIQQHCMSKIFTSCYDGFIRLMDAEKEVFDLVYSSEETIYSICQQSKDPKCLYFAEGHGGLSVWDERTGNFSNQWPLHEDRINSINFNSENSNVMTTSSTDGTACIWDLRSINANKLKTLRTVGHKRAVHSAFFSPSGRSLVTTSIDNTVGISSGVNFEDISMIYHDNRTGRWISSFRAIWGWDDEYVFIGNMKRGVDVISPVERRTVFTLQSPHMSAIPCRFDVHPFKVGMLAGATSGGQVYIWTLGC >ONH94538 pep chromosome:Prunus_persica_NCBIv2:G7:3289405:3293640:-1 gene:PRUPE_7G021600 transcript:ONH94538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILFFYSDGTFYLWETNTWTSEPWSSTSGFVKGASWDPDGHMILLAFSKSSTLGSIHFASKPPSLDAHLLPVELPEIMSLTNSQTTEKIAWDASGERLAVSFKDGDELYRGLIAIYDVRRTPLISASLIGFIRGPGDNPKPLAFSFHDKFKQGPLLFVCWSSGFCCTYPLIFRSHVLP >ONH94540 pep chromosome:Prunus_persica_NCBIv2:G7:3290668:3295723:-1 gene:PRUPE_7G021600 transcript:ONH94540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPHPGSVSICEINRDLITAESLSDDVAKDTYGKILGMVFSPVPFQSDQLARPPSPEQDDQQVATTAPTKGLLQTLQGILNRSLTPLFHPNHVNLLPEVDLQGVSWHQHKHIIAFISGPNQVIVRDFEDSEGKEPCILANESQREVKVLEWRPNGGRQLSVACNGGICIWAASFPGSAASVRSGASSFLGTLSRGSGVRYTLVDFLRSHNGEQISALSWSPDGRYLASGSYESSSFTIWDVAQGLGTPIRRGLGGISMLKWSPTGDYFFSAKFDGTFYLWETNTWTSEPWSSTSGFVKGASWDPDGHMILLAFSKSSTLGSIHFASKPPSLDAHLLPVELPEIMSLTNSIHGNLL >ONH94539 pep chromosome:Prunus_persica_NCBIv2:G7:3290112:3295922:-1 gene:PRUPE_7G021600 transcript:ONH94539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPHPGSVSICEINRDLITAESLSDDVAKDTYGKILGMVFSPVPFQSDQLARPPSPEQDDQQVATTAPTKGLLQTLQGILNRSLTPLFHPNHVNLLPEVDLQGVSWHQHKHIIAFISGPNQVIVRDFEDSEGKEPCILANESQREVKVLEWRPNGGRQLSVACNGGICIWAASFPGSAASVRSGASSFLGTLSRGSGVRYTLVDFLRSHNGEQISALSWSPDGRYLASGSYESSSFTIWDVAQGLGTPIRRGLGGISMLKWSPTGDYFFSAKFDGTFYLWETNTWTSEPWSSTSGFVKGASWDPDGHMILLAFSKSSTLGSIHFASKPPSLDAHLLPVELPEIMSLTNSSRTIGLYLCSVPPCRSTQSQSICHSCLLKTEEKMKRKEEKVTKADMMMPSILPDFIHK >ONH94537 pep chromosome:Prunus_persica_NCBIv2:G7:3289106:3295922:-1 gene:PRUPE_7G021600 transcript:ONH94537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPHPGSVSICEINRDLITAESLSDDVAKDTYGKILGMVFSPVPFQSDQLARPPSPEQDDQQVATTAPTKGLLQTLQGILNRSLTPLFHPNHVNLLPEVDLQGVSWHQHKHIIAFISGPNQVIVRDFEDSEGKEPCILANESQREVKVLEWRPNGGRQLSVACNGGICIWAASFPGSAASVRSGASSFLGTLSRGSGVRYTLVDFLRSHNGEQISALSWSPDGRYLASGSYESSSFTIWDVAQGLGTPIRRGLGGISMLKWSPTGDYFFSAKFDGTFYLWETNTWTSEPWSSTSGFVKGASWDPDGHMILLAFSKSSTLGSIHFASKPPSLDAHLLPVELPEIMSLTNSQTTEKIAWDASGERLAVSFKDGDELYRGLIAIYDVRRTPLISASLIGFIRGPGDNPKPLAFSFHDKFKQGPLLFVCWSSGFCCTYPLIFRSHVLP >ONH98120 pep chromosome:Prunus_persica_NCBIv2:G7:20234191:20234966:1 gene:PRUPE_7G230600 transcript:ONH98120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKISDFGMARIFGQNKSIANTRRVRYMSPEYAMKDIFSKKSDVYSFGVFLLEFLSGKKNTAWELWKQGDSVELRDNSMASCPKEEHAADRPTISEVISMLTSDIMFLPDPKQPAYYFSRNEVWPSPPYGRLDMGSVNCVSMTVVEAR >ONH97778 pep chromosome:Prunus_persica_NCBIv2:G7:19206815:19211547:1 gene:PRUPE_7G209600 transcript:ONH97778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQSQQPQVGVVKKKETRGRKPKPKEEKKDDQQQQAKMKKAHQQATVDDKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEINRIRELPQNTKIVATHTDSPDVLIWDVEAQPNRHAVLGATSSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKTVVLWSIQDHISAATTDPAATKSQGSGGSIIKQNSKPGDGNDKAADGPSVAPRGIYYGHEDTVEDVAFCPSSSQEFCSVGDDSCLILWDARVGSSPAIKVEKAHNADLHCVDWNPHDDNLILTGSADNSVRLFDRRNLTSGGVGAPIYKFEGHKAAVLCVQWCPDKSSVFGSSAEDGLLNIWDYEKVCKERTTKGPSSPPGLFFQHAGHRDKVVDFHWNASDPWTIVSVSDDCDTTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKSHVVSCASKP >ONH97779 pep chromosome:Prunus_persica_NCBIv2:G7:19206694:19211937:1 gene:PRUPE_7G209600 transcript:ONH97779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQSQQPQVGVVKKKETRGRKPKPKEEKKDDQQQQAKMKKAHQQATVDDKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEINRIRELPQNTKIVATHTDSPDVLIWDVEAQPNRHAVLGATSSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKTVVLWSIQDHISAATTDPAATKSQGSGGSIIKQNSKPGDGNDKAADGPSVAPRGIYYGHEDTVEDVAFCPSSSQEFCSVGDDSCLILWDARVGSSPAIKVEKAHNADLHCVDWNPHDDNLILTGSADNSVRLFDRRNLTSGGVGAPIYKFEGHKAAVLCVQWCPDKSSVFGSSAEDGLLNIWDYEKVSKERTTKGPSSPPGLFFQHAGHRDKVVDFHWNASDPWTIVSVSDDCDTTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKSHVVSCASKP >ONH94541 pep chromosome:Prunus_persica_NCBIv2:G7:3308251:3309184:-1 gene:PRUPE_7G021700 transcript:ONH94541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQNVEGSSRSGRPKRTNTESLFKPLNSEYGKVTPGWGTTPLMGLAMALFAIFLSIILEIYNSSILLDEISMN >ONH94434 pep chromosome:Prunus_persica_NCBIv2:G7:2333129:2334160:1 gene:PRUPE_7G016300 transcript:ONH94434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQASSCKEFPQTFLLFFINMLQNPCLRFLLLLSLLFSFLLSFTAVPTTRSLRSDDEYLTVQESLGAGDLGLFDVGEGEGFIEGRMDMESTDYPGTGANNHHDPRTPGRA >ONH95061 pep chromosome:Prunus_persica_NCBIv2:G7:8634536:8635279:-1 gene:PRUPE_7G049500 transcript:ONH95061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWEVLDLVCHHLDPKSLAVASCVCKSWLISMSSDHLWKPICTSNFPSLSTLKITNPTVPYRRLYVIGCSAAKRRRQTPPKPRLLLDNLIFTINIFRHNNSSSNSFCTLVKPGNELTLDPNGIFKFDIDVDNHDVFEALEDDEAVRVTWNVVLEGWRGVFGMMENCKGKGGVEGWFSEELPSPGCCCGSSAVTCSGIVADLKLGFCDGRRKVKKVSVGILSVVNWRYVSVDDALRYLQHFLLPCAV >ONH96445 pep chromosome:Prunus_persica_NCBIv2:G7:14973427:14976309:-1 gene:PRUPE_7G129700 transcript:ONH96445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKCPHRSVKKRRYSHKTHRRAKFLVNGDDMVYDSLNKADEQTKPLPPDQDLPGMGQFYCLHCDRYFNNAAVRDDHFKTKRHRRRVKLLMEAPHTQLDADLAAGMGMPDNGPKLMSF >ONH96446 pep chromosome:Prunus_persica_NCBIv2:G7:14973831:14974373:-1 gene:PRUPE_7G129700 transcript:ONH96446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKCPHRSVKKRRYSHKTHRRAKFLVNGDDMVYDSLNKADEQTKPLPPDQDLPGMGQFYCLHCDWVLFLFRKVSNLMLKLQPVLQ >ONH97807 pep chromosome:Prunus_persica_NCBIv2:G7:19337503:19339465:1 gene:PRUPE_7G211900 transcript:ONH97807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWVPLFDIFLTSPTPESEASTWLNQSFSASSSTSTPITTGSFLSLLMKPLDSIVVDESSSSSSAWPPCTNRVMFIQTLPNMVQSRVLSFLGFERQRFSSSDLAKLARTVLSGSQELDFWVHRAARNLLDVVSESSYEWISCLSLDSGEDEFESLPGWLKDSAASSDLVLPWLPISPEELDWRTVNGNYENNYGSLSQVGEGEDEDMNDVLEEMNIDNPESVHLEPEIKKRAVSLKAWVVDFQSTSKTVGLAKEIRELCLEKGGDSFTVLGLIEPWLADDETASILLSHLTSGSEEELTWPSQVLCSIMLPKLLALEEPASRVLVTATVEYCKCHQRASEYALLFPLILRRDGINNPIYDVITRIIKESLHPAHVASFCQKLLCGQKDERKVICLPCHQYLITDKMVWTESFFNLLQSILNHNVHLSQNSVDQIIYQVQQLAERFSNSLKFGNFLLCFVTKCSPFLKHHKLILTRVVEHNKTFLAKSILGKLAGL >ONH97611 pep chromosome:Prunus_persica_NCBIv2:G7:18740147:18749402:1 gene:PRUPE_7G200900 transcript:ONH97611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEEETGSPSWGASFFAQTEDVARAVAAVAAAATATHSPRPSVVYSSNDDGGNSPLKRLQRHVTNVLKGFSHPPEVKRGTYNPEVLTSQKRQWASFQLQYLDHRSLKLPTRLFESMVVLGLHPNCDVQALQRQYIARKHEGLGRLRSSRSSQNFSRVEPNLEPQVLFVYPPEKQVPLQYKDLLSFCFPGGVEVHAVERTPSMSELNEILLGQEHFKRTDLSFVFRLQVADDSTLYGCCVLVEELVQKPSGLLSMIAEKHPSRPSLSRHILTTKRCYCILSRVPSFELHFGVLNSIFTEERLERLTKGIDLLDLETPKDYGNGEILEENTEETSHSVSLSSRTEENMVNRTAEFSQSSLKDSSFGRVADNGIHLENQMLDGDFNLLKGRVIENVVVPIDPETKTASSKRESDVANAEVSEVYVDDFSANKQTVERRLPNAVLPLLRYYQYESSESSSSFQGSPSEDRNFRSDVDDTETEEASFSGQDDSDLIDILEWAKANNHGSLQIISEYYQLRCPARGSTVRFHPLEHLHPLEYHRPETTVLHIAGSTIDLRSCSTSLEFAEAQGALSVEEEATALSVWAIACICGSLRLENVLTLFAGALLEKQIVIISSNLGILSASVLSIIPLIRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVKNKTNEVQSKLANVILVDANKNQVKSPTLPQLPQHKELFSSLSPYHAKLVGESFLARKRPVYECTAEQVEAAKGFLSVLRTYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >ONH97613 pep chromosome:Prunus_persica_NCBIv2:G7:18740147:18749402:1 gene:PRUPE_7G200900 transcript:ONH97613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLGLHPNCDVQALQRQYIARKHEGLGRLRSSRSSQNFSRVEPNLEPQVLFVYPPEKQVPLQYKDLLSFCFPGGVEVHAVERTPSMSELNEILLGQEHFKRTDLSFVFRLQVADDSTLYGCCVLVEELVQKPSGLLSMIAEKHPSRPSLSRHILTTKRCYCILSRVPSFELHFGVLNSIFTEERLERLTKGIDLLDLETPKDYGNGEILEENTEETSHSVSLSSRTEENMVNRTAEFSQSSLKDSSFGRVADNGIHLENQMLDGDFNLLKGRVIENVVVPIDPETKTASSKRESDVANAEVSEVYVDDFSANKQTVERRLPNAVLPLLRYYQYESSESSSSFQGSPSEDRNFRSDVDDTETEEASFSGQDDSDLIDILEWAKANNHGSLQIISEYYQLRCPARGSTVRFHPLEHLHPLEYHRPETTVLHIAGSTIDLRSCSTSLEFAEAQGALSVEEEATALSVWAIACICGSLRLENVLTLFAGALLEKQIVIISSNLGILSASVLSIIPLIRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVKNKTNEVQSKLANVILVDANKNQVKSPTLPQLPQHKELFSSLSPYHAKLVGESFLARKRPVYECTAEQVEAAKGFLSVLRTYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >ONH97612 pep chromosome:Prunus_persica_NCBIv2:G7:18741176:18748862:1 gene:PRUPE_7G200900 transcript:ONH97612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEEETGSPSWGASFFAQTEDVARAVAAVAAAATATHSPRPSVVYSSNDDGGNSPLKRLQRHVTNVLKGFSHPPEVKRGTYNPEVLTSQKRQWASFHVQDHRSLKLPTRLFESMVVLGLHPNCDVQALQRQYIARKHEGLGRLRSSRSSQNFSRVEPNLEPQVLFVYPPEKQVPLQYKDLLSFCFPGGVEVHAVERTPSMSELNEILLGQEHFKRTDLSFVFRLQVADDSTLYGCCVLVEELVQKPSGLLSMIAEKHPSRPSLSRHILTTKRCYCILSRVPSFELHFGVLNSIFTEERLERLTKGIDLLDLETPKDYGNGEILEENTEETSHSVSLSSRTEENMVNRTAEFSQSSLKDSSFGRVADNGIHLENQMLDGDFNLLKGRVIENVVVPIDPETKTASSKRESDVANAEVSEVYVDDFSANKQTVERRLPNAVLPLLRYYQYESSESSSSFQGSPSEDRNFRSDVDDTETEEASFSGQDDSDLIDILEWAKANNHGSLQIISEYYQLRCPARGSTVRFHPLEHLHPLEYHRPETTVLHIAGSTIDLRSCSTSLEFAEAQGALSVEEEATALSVWAIACICGSLRLENVLTLFAGALLEKQIVIISSNLGILSASVLSIIPLIRPYQWQSLLMPVLPNDMLDFLDAPVPYIVGVKNKTNEVQSKLANVILVDANKNQVKSPTLPQLPQHKELFSSLSPYHAKLVGESFLARKRPVYECTAEQVEAAKGFLSVLRTYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIDSFPSRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >ONH96731 pep chromosome:Prunus_persica_NCBIv2:G7:16077003:16080093:-1 gene:PRUPE_7G148400 transcript:ONH96731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFYNLHGFLKRLAAFTAIHDHQCPKSSITIHSLNRIKQNEPSKPITRKTTKKHFKSSKKQEDADPKIYMRETIGNIYKILKYSTWDSAQDQLQRLPIRWDSYTVSQVLKTHPPMEKAWLFFNWVAGIRGFKHDHFTYTTMLDIFGEAGRVSSMTHVFKQMQEKDIRIDAFTYTSLMHWLSSAGDVDGALKVWEEMRAQGCVPTVVSYTAYMKVLFNDNRVKEAADVYKEMLQSGCSPTCHTYTVLMEYLIGSGKCKEALEIFGKMQDAGVQPDKAACNILIENLCKVGETWTMNQVLWFMKEHRLALRYPVFLEAIRTLKIAGVSDSLLRQVHPHFSIESGNQETGELRATAADAPSTMDEWLVLILLKKENLVAIDHLLAGNEGALIRLSSFPKVVEIVVEMIRAGYSPGTYLSALLIYRLGRARRTNCAAKVFNLLPDDHKCTTTYTALMGVYFSAGSADRGLKIFDTMRGEGFLPFLGTYNVLLAGLQKLGRVREAEMYRKEKKSLQSDGPSQNAVPIDQKICDFLFAGDVVS >ONH96732 pep chromosome:Prunus_persica_NCBIv2:G7:16077620:16079904:-1 gene:PRUPE_7G148400 transcript:ONH96732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFYNLHGFLKRLAAFTAIHDHQCPKSSITIHSLNRIKQNEPSKPITRKTTKKHFKSSKKQEDADPKIYMRETIGNIYKILKYSTWDSAQDQLQRLPIRWDSYTVSQVLKTHPPMEKAWLFFNWVAGIRGFKHDHFTYTTMLDIFGEAGRVSSMTHVFKQMQEKDIRIDAFTYTSLMHWLSSAGDVDGALKVWEEMRAQGCVPTVVSYTAYMKVLFNDNRVKEAADVYKEMLQSGCSPTCHTYTVLMEYLIGSGKCKEALEIFGKMQDAGVQPDKAACNILIENLCKVGETWTMNQVLWFMKEHRLALRYPVFLEAIRTLKIAGVSDSLLRQVHPHFSIESGNQETGELRATAADAPSTMDEWLVLILLKKENLVAIDHLLAGNEGKNIKLNSAIISTIIEVNCGLCRPDGALLAFEYSVKMGIIVERNAYLSLIGALIRLSSFPKVVEIVVEMIRAGYSPGTYLSALLIYRLGRARRTNCAAKVFNLLPDDHKCTTTYTALMGVYFSAGSADRGLKIFDTMRGEGFLPFLGTYNVLLAGLQKLGRVREAEMYRKEKKSLQSDGPSQNAVPIDQKICDFLFAGDVVS >ONH95692 pep chromosome:Prunus_persica_NCBIv2:G7:12039404:12039741:-1 gene:PRUPE_7G085500 transcript:ONH95692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIESCYEETNQVSKSVQGGLSVHEDVKKSGLSVHEDVKKVGLNTLRRS >ONH94367 pep chromosome:Prunus_persica_NCBIv2:G7:1718165:1722918:1 gene:PRUPE_7G012700 transcript:ONH94367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSRVCKRALPLLPYFKNPQHITCESRCIPFIGGCSTSSSAYQRHFSHGSPIWRFKLGTFDKCGQLPPFASQSLLRIQRPYSAQAITQQKSRKMLLYLTGLVFAMVASSYAAVPLYRRFCQATGYGGTVQRRESVEQKIARHAKDGTVTTREIVVQFNADVADGMQWKFIPTQREVRVKPGESALAFYTAENRSSTPIVGVSTYNVTPMKIWAGKMTNHEKQL >ONH94366 pep chromosome:Prunus_persica_NCBIv2:G7:1718149:1723612:1 gene:PRUPE_7G012700 transcript:ONH94366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSRVCKRALPLLPYFKNPQHITCESRCIPFIGGCSTSSSAYQRHFSHGSPIWRFKLGTFDKCGQLPPFASQSLLRIQRPYSAQAITQQKSRKMLLYLTGLVFAMVASSYAAVPLYRRFCQATGYGGTVQRRESVEQKIARHAKDGTVTTREIVVQFNADVADGMQWKFIPTQREVRVKPGESALAFYTAENRSSTPIVGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNIILSYTFFKVSEE >ONH94365 pep chromosome:Prunus_persica_NCBIv2:G7:1718151:1723702:1 gene:PRUPE_7G012700 transcript:ONH94365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSRVCKRALPLLPYFKNPQHITCESRCIPFIGGCSTSSSAYQRHFSHGSPIWRFKLGTFDKCGQLPPFASQSLLRIQRPYSAQAITQQKSRKMLLYLTGLVFAMVASSYAAVPLYRRFCQATGYGGTVQRRESVEQKIARHAKDGTVTTREIVVQFNADVADGMQWKFIPTQREVRVKPGESALAFYTAENRSSTPIVGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNIILSYTFFKVSEE >ONH94368 pep chromosome:Prunus_persica_NCBIv2:G7:1719140:1722443:1 gene:PRUPE_7G012700 transcript:ONH94368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSRVCKRALPLLPYFKNPQHITCESRCIPFIGGCSTSSSAYQRHFSHGSPIWRFKLGTFDKCGQLPPFASQSLLRIQRPYSAQAITQQKSRKMLLYLTGLVFAMVASSYAAVPLYRRFCQATGYGGTVQRRESVEQKIARHAKDGTVTTREIVVQFNADVADGMQWKFIPTQREVRVKPGESALAFYTAENRSSTPIVGVSTYNVTPMKIWAGKMTNHEKQL >ONH96443 pep chromosome:Prunus_persica_NCBIv2:G7:14942356:14945042:-1 gene:PRUPE_7G129500 transcript:ONH96443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPIAIFLVSFFIFLVNLIRLKTSNRSKGVSLPPGPTPWPIVGCVPEMWRNRPAYKWVHKVLKELDTDIACIKLGNVHVIPVKSPEIAREFLKKNDAVFASRPVTMATELLSSGFLTTGVVPWGGQWKKMRKVLIADVFNHSMVQWLVGKRNEEADNLVKFLYNQCSSNPNGSVVNVRTAAQFYSGGVMRRMIFNTRYFGKGRKDGGPGFEEEQHVSALLTILLHVYAFCVSDYLPWLRVFDISGHEKKVRGALKIIKQYQDPLIDERLKEWRDGRTKEPEDLLDVFISLKDANGQPLLSGEEIKAQITELQLATVDNPFNAAEWALSIMLNQPELLKKAEEELDRVVGKQRLVQESDVPKLPYVRACAREALRLHPVAPFNLPHMSSTDAVVAGYFIPKGSSVLLSRLGLGRNPEVWENPLIFDPERHLKGDADQQVDLEEHELRFITFSTGRRGCMGSGLGTTITIMLLARLLQGFTWSMPPNVDKIDLTEALSLFKANPLFAHAKPRLPASLYTV >ONH97614 pep chromosome:Prunus_persica_NCBIv2:G7:18750960:18753565:1 gene:PRUPE_7G201000 transcript:ONH97614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRTRFPFTPSQWQELEHQALIYKYMVSGISIPPDLLFSIKRSCLDSPLPSKLFSHHPPHIGWSCFQMGLGRKVDPEPGRCRRTDGKKWRCSKEAFADSKYCERHMHRGKNRSRKPVEVFKTPITTNSNPSSSSTPTTISSITSKNNPPSTSTATFHSLSSSLSSLSSDSHHAQPNHSSYNTNLDHHPFLYHHTSSSRPPGFGLSHQERNTSLLLDSGSYPQASSEYRNRYVYGLKEEVDEHAFFSEPSGSVRDFSGSSSMDDSWQFTPLTMSTCTSSSSKQRSCSALQSEHSHLQLQSNITPKQQNYYALGSDMKMDRNEGTQKTIHRFFDEWPLKDKDSWLDLDDKSSNSGSVSNTRLSISTHDFPIFSSRNHNDD >ONH98657 pep chromosome:Prunus_persica_NCBIv2:G7:21672367:21674025:1 gene:PRUPE_7G260600 transcript:ONH98657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKPLNYSCVPLGTFCFGLWEYVMDEEEEVVVAAEPAAAAAVPALGEPMDLLTALQLVLRKSLAHGGLTRGLHEGAKVIEKHAAQLCVLAEDCDQADYVKLVKALCADHNVKLISIPSAKTLGEWSGLCKIDSEGKARKVVGCSCVVVKDYGEDTEGLHVVQEYVKSH >ONH98658 pep chromosome:Prunus_persica_NCBIv2:G7:21672367:21674025:1 gene:PRUPE_7G260600 transcript:ONH98658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKPLNYSCVPLGTFCFGLWEYVMDEEEEVVVAAEPAAAAAVPALGEPMDLLTALQLVLRKSLAHGGLTRGLHEGAKVIEKHAAQLCVLAEDCDQADYVKLVKALCADHNVKLISIPSAKTLGEWSGLCKIDSEGKARKVVGCSCVVVKDYGEDTEGLHVVQEYVKSH >ONH98656 pep chromosome:Prunus_persica_NCBIv2:G7:21671230:21674113:1 gene:PRUPE_7G260600 transcript:ONH98656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEVVVAAEPAAAAAVPALGEPMDLLTALQLVLRKSLAHGGLTRGLHEGAKVIEKHAAQLCVLAEDCDQADYVKLVKALCADHNVKLISIPSAKTLGEWSGLCKIDSEGKARKVVGCSCVVVKDYGEDTEGLHVVQEYVKSH >ONH97211 pep chromosome:Prunus_persica_NCBIv2:G7:17509400:17510513:1 gene:PRUPE_7G176400 transcript:ONH97211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQISESEQLQLIEKLEIFRIKGRDKRGRSILRIIAKFFPARIVSVDALRKYLEEKIFPELEKKPFAVLYVHTGVQRCENFPGISAVRSIYDAIPINVKRNLEAVYFLHPGLQARLFLATFGRFLFTGGVYGKLKYVSRLDYLWEHVRRSEIEVPEFVYDHDEDLEDRPMMDYGLESDHPRVYDAPAVDSPVSMYSMRCIS >ONH95313 pep chromosome:Prunus_persica_NCBIv2:G7:10203013:10203338:-1 gene:PRUPE_7G063200 transcript:ONH95313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKYIVSAVFGSIAVAWTCDYLMADKKIFGGTTPTTVASKEWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSRVD >ONH95312 pep chromosome:Prunus_persica_NCBIv2:G7:10202741:10204506:-1 gene:PRUPE_7G063200 transcript:ONH95312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKYIVSAVFGSIAVAWTCDYLMADKKIFGGTTPTTVASKEWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSRVD >ONH95495 pep chromosome:Prunus_persica_NCBIv2:G7:11170093:11172644:1 gene:PRUPE_7G074400 transcript:ONH95495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRQYRRILIFQKQHLNHSHLLTEVQRKHDGPPVICDGCGDPVLGPSYTCNICKQHDGSGFNLHKSCAELPSEIHHPIHREHGLTLDIDGEKSCSACHKGCRFSYSCFHCKFNVDLQCASKWRNIRDCDHKFTQLRSPAQLPCEACGKESFSTGTADRYSTALYLCSICQLLVHKDCSWLPNRVKTAQHRHLLKLTWWFEDTFPKIQNFCDLCHEHMGKSRAVYYCLHERCSYVVHNMCATKHGQAVQDSDDDDDDDDDRIINNQIELQINHFSHPHILALINSDRQDNGDDDDRIITCNGCMRPITKIDAFYSCTEQESSCSFFLHTACAQLPKMIRVPFFLDQFELHPRAHSISGVFHCVMCRTFGQGFVYSEARGYYNFDLHCTILWKQKALKHEAHVHTLRLNITTENTYICRGCGTSGNWFWFSCRRCDFHLCFSCVKLPPTARHRYDNHPLKLTYDSVKNELDEYYCEICEGKRDPKLWFYSCSDCDFDCHPHCILGRYPQVKLGDSYKHPAHPHLVTLVDKRRSEIPFHKRERILPCDKCSKPCEGLVFECSECNINLHRECDEDDDDEDDRQILH >ONH96074 pep chromosome:Prunus_persica_NCBIv2:G7:13455646:13456776:1 gene:PRUPE_7G105700 transcript:ONH96074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKLVASASCVAQGACAENAIDRFSNLPDEVAYRILSFLTFKELTRVEAASKRCRQFHLSAPLVSFDSSCKPHRVTNQKRVRLMSSLDRYLFYRGDNRMQVFRIRWSFFTSEPASKLSDDHFRVFTWIHNAVRWNVEELYLYFSHGETNTIALPSCIFLSQSLRSLSVKLYAMILEAPSLSFSSNLHYLQLEHVKVVDERFFRWIARSCKFIKELKLLNIRGIQKITIESSSLEYFLCRIDKFDDCFHLNISGKKLVSMKIVLSFPRL >ONH98605 pep chromosome:Prunus_persica_NCBIv2:G7:21499684:21503097:1 gene:PRUPE_7G256900 transcript:ONH98605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSRRSSCGPVIRSASPAGRFHNTQYSSSSAFASSTSSFSSRSSSFFQRAASPTRLNLASSSPSAQSVRFSLDRPISPSRSISVTPHGNSNHHNAVKSRQISNQKRTCMCSPTNHPGSFRCSLHKNFSSHVTASAPYSQNRLNARRSAMTNSLVRIGGVEGDLVRRALSALIRPSSHSQRRRADFRPRPSRLSTMSKSHE >ONH98604 pep chromosome:Prunus_persica_NCBIv2:G7:21499684:21502005:1 gene:PRUPE_7G256900 transcript:ONH98604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSRRSSCGPVIRSASPAGRFHNTQYSSSSAFASSTSSFSSRSSSFFQRAASPTRLNLASSSPSAQSVRFSLDRPISPSRSISVTPHGNSNHHNAVKSRQISNQKRTCMCSPTNHPGSFRCSLHKNFSSHVTASAPYSQNRLNARRSAMTNSLVRIGGVEGDLVRRALSALIRPSSHSQRRRADFRPRPSRLSTMSKSHE >ONH97283 pep chromosome:Prunus_persica_NCBIv2:G7:17718160:17719248:-1 gene:PRUPE_7G181400 transcript:ONH97283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVIGASQRLGGLGTFLRKCMFSVVSVGPIPEHIAFIMDGNRRYAKRRNLPVAEGHKAGYLALMSILRDAAEKAMKATANNSKAVLLICVAYTSCDEIVHAVQESCNEKGNEIQALNGTKVSNGVTYGVERGEKINGVMECDVHKSCNGSLDGEAALDTSKPCSERYEADEKENTTVNHVEKDKGGEVASRICNGLIEDVQESEKLPHKIPNVKLVDVEKHLYMAVAPDPDIMIRTSGETRLSNFLLWQTSNCPLYSPAALWPELGLWHLVWAVLNFQRNHYYLEKKRKQM >ONH97282 pep chromosome:Prunus_persica_NCBIv2:G7:17717870:17720838:-1 gene:PRUPE_7G181400 transcript:ONH97282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVIGASQRLGGLGTFLRKCMFSVVSVGPIPEHIAFIMDGNRRYAKRRNLPVAEGHKAGYLALMSILRDAAEKAMKATANNSKAVLLICVAYTSCDEIVHAVQESCNEKGNEIQALNGTKVSNGVTYGVERGEKINGVMECDVHKSCNGSLDGEAALDTSKPCSERYEADEKENTTVNHVEKDKGGEVASRICNGLIEDVQESEKLPHKIPNVKLVDVEKHLYMAVAPDPDIMIRTSGETRLSNFLLWQTSNCPLYSPAALWPELGLWHLVWAVLNFQRNHYYLEKKRKQM >ONH97284 pep chromosome:Prunus_persica_NCBIv2:G7:17717870:17720819:-1 gene:PRUPE_7G181400 transcript:ONH97284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVIGASQRLGGLGTFLRKCMFSVVSVGPIPEHIAFIMDGNRRYAKRRNLPVAEGHKAGYLALMSILRYCYELGVKYVTVYAFSIDNFKRRPEEVQTLMDLLQEKIEVLLEKESIVNQYGIRVYFIGNLKLLNEPVRDAAEKAMKATANNSKAVLLICVAYTSCDEIVHAVQESCNEKGNEIQALNGTKVSNGVTYGVERGEKINGVMECDVHKSCNGSLDGEAALDTSKPCSERYEADEKENTTVNHVEKDKGGEVASRICNGLIEDVQESEKLPHKIPNVKLVDVEKHLYMAVAPDPDIMIRTSGETRLSNFLLWQTSNCPLYSPAALWPELGLWHLVWAVLNFQRNHYYLEKKRKQM >ONH97285 pep chromosome:Prunus_persica_NCBIv2:G7:17717870:17720818:-1 gene:PRUPE_7G181400 transcript:ONH97285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVIGASQRLGGLGTFLRKCMFSVVSVGPIPEHIAFIMDGNRRYAKRRNLPVAEGHKAGYLALMSILRYCYELGVKYVTVYAFSIDNFKRRPEEVQTLMDLLQEKIEVLLEKESIVNQYGIRVYFIGNLKLLNEPVRDAAEKAMKATANNSKAVLLICVAYTSCDEIVHAVQESCNEKGNEIQALNGTKVSNGVTYGVERGEKINGVMECDVHKSCNGSLDGEAALDTSKPCSERYEADEKENTTVNHVEKDKGGEVASRICNGLIEDVQESEKLPHKIPNVKLVDVEKHLYMAVAPDPDIMIRTSGETRLSNFLLWQTSNCPLYSPAALWPELGLWHLVWAVLNFQRNHYYLEKKRKQM >ONH94332 pep chromosome:Prunus_persica_NCBIv2:G7:1486192:1492894:1 gene:PRUPE_7G011100 transcript:ONH94332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPAADSAETAMAKVSLCSMPLVLAIILLSATHSEQHQSSQAQTLLRIVRFLNFPTVLNSWNNYKDLCNFEANSSLAVVCYEENITQLHIIGEKDAPLLPRNFSIDSFITTLVKLPSLKVLTLVSLGLWGPLPGKIARLSSLEILNLTSNFLYGAIPLELSSLTTLQTLILDDNMFSGPLPDLLSSLPVLAVLSLKKNLFNSSLPISLSDLENLRVLGLSHNHFYGEVPDFSRLTNLQVLELENNDFGPQFPKLGKKLVTLVLSKNKFRSAIPAEISSYYQLERLDVSSNMFVGPFPASLLSLPSMTYLNFSGNKFTGMLFENMSCNAELKAVDLSSNLLTGSLPKCLLSDSKDRVVLYARNCLDTRNQNQHPFPFCRNEALAVGIIPERSKQKQASKAALALGLIGAICGGVVLVGLIYFIHRRMNTNKTMKKSPPRSITENASSGYTSKLLSDARYVSQTMKMGALGLPGYRTFSFEELEEATQNFDTCTFMGEGSHGQMYRGQLKDGSFVAIRCLKIKGSHSTQNFMHHIELIMKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISG >ONH94329 pep chromosome:Prunus_persica_NCBIv2:G7:1486192:1492893:1 gene:PRUPE_7G011100 transcript:ONH94329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPAADSAETAMAKVSLCSMPLVLAIILLSATHSEQHQSSQAQTLLRIVRFLNFPTVLNSWNNYKDLCNFEANSSLAVVCYEENITQLHIIGEKDAPLLPRNFSIDSFITTLVKLPSLKVLTLVSLGLWGPLPGKIARLSSLEILNLTSNFLYGAIPLELSSLTTLQTLILDDNMFSGPLPDLLSSLPVLAVLSLKKNLFNSSLPISLSDLENLRVLGLSHNHFYGEVPDFSRLTNLQVLELENNDFGPQFPKLGKKLVTLVLSKNKFRSAIPAEISSYYQLERLDVSSNMFVGPFPASLLSLPSMTYLNFSGNKFTGMLFENMSCNAELKAVDLSSNLLTGSLPKCLLSDSKDRVVLYARNCLDTRNQNQHPFPFCRNEALAVGIIPERSKQKQASKAALALGLIGAICGGVVLVGLIYFIHRRMNTNKTMKKSPPRSITENASSGYTSKLLSDARYVSQTMKMGALGLPGYRTFSFEELEEATQNFDTCTFMGEGSHGQDEARRQDRCSQLWSNIAGNDQRQTSEV >ONH94327 pep chromosome:Prunus_persica_NCBIv2:G7:1486192:1492893:1 gene:PRUPE_7G011100 transcript:ONH94327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPAADSAETAMAKVSLCSMPLVLAIILLSATHSEQHQSSQAQTLLRIVRFLNFPTVLNSWNNYKDLCNFEANSSLAVVCYEENITQLHIIGEKDAPLLPRNFSIDSFITTLVKLPSLKVLTLVSLGLWGPLPGKIARLSSLEILNLTSNFLYGAIPLELSSLTTLQTLILDDNMFSGPLPDLLSSLPVLAVLSLKKNLFNSSLPISLSDLENLRVLGLSHNHFYGEVPDFSRLTNLQVLELENNDFGPQFPKLGKKLVTLVLSKNKFRSAIPAEISSYYQLERLDVSSNMFVGPFPASLLSLPSMTYLNFSGNKFTGMLFENMSCNAELKAVDLSSNLLTGSLPKCLLSDSKDRVVLYARNCLDTRNQNQHPFPFCRNEALAVGIIPERSKQKQASKAALALGLIGAICGGVVLVGLIYFIHRRMNTNKTMKKSPPRSITENASSGYTSKLLSDARYVSQTMKMGALGLPGYRTFSFEELEEATQNFDTCTFMGEGSHGQGGQGVSSGGSLTSSGGSRMKHDDRTDVHNFGVILLEMIKGRPVKSETQVEVLEDQLEVALTADEAARRSMVDPLVRQTCLDQSLKTLMEICVRCLCKDPADRPSIEDVLWNLQYAEQVQDAWQGGESQSSEGSPVSPSIPSRLTY >ONH94328 pep chromosome:Prunus_persica_NCBIv2:G7:1486192:1492893:1 gene:PRUPE_7G011100 transcript:ONH94328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPAADSAETAMAKVSLCSMPLVLAIILLSATHSEQHQSSQAQTLLRIVRFLNFPTVLNSWNNYKDLCNFEANSSLAVVCYEENITQLHIIGEKDAPLLPRNFSIDSFITTLVKLPSLKVLTLVSLGLWGPLPGKIARLSSLEILNLTSNFLYGAIPLELSSLTTLQTLILDDNMFSGPLPDLLSSLPVLAVLSLKKNLFNSSLPISLSDLENLRVLGLSHNHFYGEVPDFSRLTNLQVLELENNDFGPQFPKLGKKLVTLVLSKNKFRSAIPAEISSYYQLERLDVSSNMFVGPFPASLLSLPSMTYLNFSGNKFTGMLFENMSCNAELKAVDLSSNLLTGSLPKCLLSDSKDRVVLYARNCLDTRNQNQHPFPFCRNEALAVGIIPERSKQKQASKAALALGLIGAICGGVVLVGLIYFIHRRMNTNKTMKKSPPRSITENASSGYTSKLLSDARYVSQTMKMGALGLPGYRTFSFEELEEATQNFDTCTFMGEGSHGQGGQGVSSGGSLTSSGGSRMKHDDRTDVHNFGVILLEMIKGRPVKSETQVEVLEDQLEVALTADEAARRSMVDPLVRQTCLDQSLKTLMEICVRCLCKDPADRPSIEDVLWNLQYAEQVQDAWQGGESQSSEGSPVSPSIPSRLTY >ONH94326 pep chromosome:Prunus_persica_NCBIv2:G7:1486192:1492893:1 gene:PRUPE_7G011100 transcript:ONH94326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPAADSAETAMAKVSLCSMPLVLAIILLSATHSEQHQSSQAQTLLRIVRFLNFPTVLNSWNNYKDLCNFEANSSLAVVCYEENITQLHIIGEKDAPLLPRNFSIDSFITTLVKLPSLKVLTLVSLGLWGPLPGKIARLSSLEILNLTSNFLYGAIPLELSSLTTLQTLILDDNMFSGPLPDLLSSLPVLAVLSLKKNLFNSSLPISLSDLENLRVLGLSHNHFYGEVPDFSRLTNLQVLELENNDFGPQFPKLGKKLVTLVLSKNKFRSAIPAEISSYYQLERLDVSSNMFVGPFPASLLSLPSMTYLNFSGNKFTGMLFENMSCNAELKAVDLSSNLLTGSLPKCLLSDSKDRVVLYARNCLDTRNQNQHPFPFCRNEALAVGIIPERSKQKQASKAALALGLIGAICGGVVLVGLIYFIHRRMNTNKTMKKSPPRSITENASSGYTSKLLSDARYVSQTMKMGALGLPGYRTFSFEELEEATQNFDTCTFMGEGSHGQMYRGQLKDGSFVAIRCLKIKGSHSTQNFMHHIELIMKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISEGRRRRSLTWTQRIAAAIGIGKGIQFLHTGIIPGIYSNNLKITDILLDQNLVAKISSYNLPILEESMEQGGQGVSSGGSLTSSGGSRMKHDDRTDVHNFGVILLEMIKGRPVKSETQVEVLEDQLEVALTADEAARRSMVDPLVRQTCLDQSLKTLMEICVRCLCKDPADRPSIEDVLWNLQYAEQVQDAWQGGESQSSEGSPVSPSIPSRLTY >ONH94325 pep chromosome:Prunus_persica_NCBIv2:G7:1486192:1492893:1 gene:PRUPE_7G011100 transcript:ONH94325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPAADSAETAMAKVSLCSMPLVLAIILLSATHSEQHQSSQAQTLLRIVRFLNFPTVLNSWNNYKDLCNFEANSSLAVVCYEENITQLHIIGEKDAPLLPRNFSIDSFITTLVKLPSLKVLTLVSLGLWGPLPGKIARLSSLEILNLTSNFLYGAIPLELSSLTTLQTLILDDNMFSGPLPDLLSSLPVLAVLSLKKNLFNSSLPISLSDLENLRVLGLSHNHFYGEVPDFSRLTNLQVLELENNDFGPQFPKLGKKLVTLVLSKNKFRSAIPAEISSYYQLERLDVSSNMFVGPFPASLLSLPSMTYLNFSGNKFTGMLFENMSCNAELKAVDLSSNLLTGSLPKCLLSDSKDRVVLYARNCLDTRNQNQHPFPFCRNEALAVGIIPERSKQKQASKAALALGLIGAICGGVVLVGLIYFIHRRMNTNKTMKKSPPRSITENASSGYTSKLLSDARYVSQTMKMGALGLPGYRTFSFEELEEATQNFDTCTFMGEGSHGQMYRGQLKDGSFVAIRCLKIKGSHSTQNFMHHIELIMKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISEGRRRRSLTWTQRIAAAIGIGKGIQFLHTGIIPGIYSNNLKITDILLDQNLVAKISSYNLPILEESMEQGGQGVSSGGSLTSSGGSRMKHDDRTDVHNFGVILLEMIKGRPVKSETQVEVLEDQLEVALTADEAARRSMVDPLVRQTCLDQSLKTLMEICVRCLCKDPADRPSIEDVLWNLQYAEQVQDAWQGGESQSSEGSPVSPSIPSRLTY >ONH94330 pep chromosome:Prunus_persica_NCBIv2:G7:1486192:1492893:1 gene:PRUPE_7G011100 transcript:ONH94330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPAADSAETAMAKVSLCSMPLVLAIILLSATHSEQHQSSQAQTLLRIVRFLNFPTVLNSWNNYKDLCNFEANSSLAVVCYEENITQLHIIGEKDAPLLPRNFSIDSFITTLVKLPSLKVLTLVSLGLWGPLPGKIARLSSLEILNLTSNFLYGAIPLELSSLTTLQTLILDDNMFSGPLPDLLSSLPVLAVLSLKKNLFNSSLPISLSDLENLRVLGLSHNHFYGEVPDFSRLTNLQVLELENNDFGPQFPKLGKKLVTLVLSKNKFRSAIPAEISSYYQLERLDVSSNMFVGPFPASLLSLPSMTYLNFSGNKFTGMLFENMSCNAELKAVDLSSNLLTGSLPKCLLSDSKDRVVLYARNCLDTRNQNQHPFPFCRNEALAVGIIPERSKQKQASKAALALGLIGAICGGVVLVGLIYFIHRRMNTNKTMKKSPPRSITENASSGYTSKLLSDARYVSQTMKMGALGLPGYRTFSFEELEEATQNFDTCTFMGEGSHGQDEARRQDRCSQLWSNIAGNDQRQTSEV >ONH94324 pep chromosome:Prunus_persica_NCBIv2:G7:1486192:1492893:1 gene:PRUPE_7G011100 transcript:ONH94324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPAADSAETAMAKVSLCSMPLVLAIILLSATHSEQHQSSQAQTLLRIVRFLNFPTVLNSWNNYKDLCNFEANSSLAVVCYEENITQLHIIGEKDAPLLPRNFSIDSFITTLVKLPSLKVLTLVSLGLWGPLPGKIARLSSLEILNLTSNFLYGAIPLELSSLTTLQTLILDDNMFSGPLPDLLSSLPVLAVLSLKKNLFNSSLPISLSDLENLRVLGLSHNHFYGEVPDFSRLTNLQVLELENNDFGPQFPKLGKKLVTLVLSKNKFRSAIPAEISSYYQLERLDVSSNMFVGPFPASLLSLPSMTYLNFSGNKFTGMLFENMSCNAELKAVDLSSNLLTGSLPKCLLSDSKDRVVLYARNCLDTRNQNQHPFPFCRNEALAVGIIPERSKQKQASKAALALGLIGAICGGVVLVGLIYFIHRRMNTNKTMKKSPPRSITENASSGYTSKLLSDARYVSQTMKMGALGLPGYRTFSFEELEEATQNFDTCTFMGEGSHGQMYRGQLKDGSFVAIRCLKIKGSHSTQNFMHHIELIMKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISEGRRRRSLTWTQRIAAAIGIGKGIQFLHTGIIPGIYSNNLKITDILLDQNLVAKISSYNLPILEESMEQGGQGVSSGGSLTSSGGSRMKHDDRTDVHNFGVILLEMIKGRPVKSETQVEVLEDQLEVALTADEAARRSMVDPLVRQTCLDQSLKTLMEICVRCLCKDPADRPSIEDVLWNLQYAEQVQDAWQGGESQSSEGSPVSPSIPSRLTY >ONH94331 pep chromosome:Prunus_persica_NCBIv2:G7:1486192:1492894:1 gene:PRUPE_7G011100 transcript:ONH94331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPAADSAETAMAKVSLCSMPLVLAIILLSATHSEQHQSSQAQTLLRIVRFLNFPTVLNSWNNYKDLCNFEANSSLAVVCYEENITQLHIIGEKDAPLLPRNFSIDSFITTLVKLPSLKVLTLVSLGLWGPLPGKIARLSSLEILNLTSNFLYGAIPLELSSLTTLQTLILDDNMFSGPLPDLLSSLPVLAVLSLKKNLFNSSLPISLSDLENLRVLGLSHNHFYGEVPDFSRLTNLQVLELENNDFGPQFPKLGKKLVTLVLSKNKFRSAIPAEISSYYQLERLDVSSNMFVGPFPASLLSLPSMTYLNFSGNKFTGMLFENMSCNAELKAVDLSSNLLTGSLPKCLLSDSKDRVVLYARNCLDTRNQNQHPFPFCRNEALAVGIIPERSKQKQASKAALALGLIGAICGGVVLVGLIYFIHRRMNTNKTMKKSPPRSITENASSGYTSKLLSDARYVSQTMKMGALGLPGYRTFSFEELEEATQNFDTCTFMGEGSHGQMYRGQLKDGSFVAIRCLKIKGSHSTQNFMHHIELIMKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISG >ONH96040 pep chromosome:Prunus_persica_NCBIv2:G7:13336126:13338302:1 gene:PRUPE_7G103800 transcript:ONH96040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQPRGRAVGAMENSWCRAVPGGTGITVLGFDISCAPDMLKYQTALHKLQNAHPILNSRLHTNTKTNTFSFVTSPTPFVQIKTFDLSSTLEILETLSNPSNNSVSPFHLILEHELNKNTWTKTTTTTSSSSSASTNDQDMFFGTIYTLPNAKWVAVMRLHVSACDHTTAETMLRELLGYLIGGTDDGEGRGIEKEIGSKGEVNSGIEDLVPSRIAKKPFWARGVDMLSYSLNSLRLTNLKFKDTKSARSSQVVRFRMNQEETQRILDGCKAKGIKLCGALVAAGLMAADKCSKHHQKKKYGVLTLIDCRSNFDPALSIHHFGNYHSVIPHIHTIKGGENLWELAKKTYTAFASSKDNDKHFTDLAALSFVMCKAIENPALTPSSSLRSSFMTVFEDTIIDDYNDMQRELGVDDYIGCASVHGIGPSIAIFDTIRNGRLDCVCVYPSPLHTREQMQELVDNMKKILVDLV >ONH97452 pep chromosome:Prunus_persica_NCBIv2:G7:18274644:18284038:-1 gene:PRUPE_7G191000 transcript:ONH97452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPGKGVSICDSSLLETKPDINVNQGGDVGYFSPPGGVQNKTEMGLDLNHSNEADLSTPSFPNVIDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97454 pep chromosome:Prunus_persica_NCBIv2:G7:18274790:18282999:-1 gene:PRUPE_7G191000 transcript:ONH97454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPGKGVSICDSSLLETKPDINVNQGGDVGYFSPPGGVQNKTEMGLDLNHSNEADLSTPSFPNVIDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97458 pep chromosome:Prunus_persica_NCBIv2:G7:18274590:18284038:-1 gene:PRUPE_7G191000 transcript:ONH97458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPGKGVSICDSSLLETKPDINVNQGGDVGYFSPPGGVQNKTEMGLDLNHSNEADLSTPSFPNVIDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97451 pep chromosome:Prunus_persica_NCBIv2:G7:18274644:18284038:-1 gene:PRUPE_7G191000 transcript:ONH97451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPGKGVSICDSSLLETKPDINVNQGGDVGYFSPPGGVQNKTEMGLDLNHSNEADLSTPSFPNVIDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97455 pep chromosome:Prunus_persica_NCBIv2:G7:18274643:18284038:-1 gene:PRUPE_7G191000 transcript:ONH97455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPGKGVSICDSSLLETKPDINVNQGGDVGYFSPPGGVQNKTEMGLDLNHSNEADLSTPSFPNVIDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97453 pep chromosome:Prunus_persica_NCBIv2:G7:18274790:18282999:-1 gene:PRUPE_7G191000 transcript:ONH97453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPGKGVSICDSSLLETKPDINVNQGGDVGYFSPPGGVQNKTEMGLDLNHSNEADLSTPSFPNVIDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97447 pep chromosome:Prunus_persica_NCBIv2:G7:18274790:18282999:-1 gene:PRUPE_7G191000 transcript:ONH97447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97448 pep chromosome:Prunus_persica_NCBIv2:G7:18274644:18284038:-1 gene:PRUPE_7G191000 transcript:ONH97448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97450 pep chromosome:Prunus_persica_NCBIv2:G7:18274790:18282999:-1 gene:PRUPE_7G191000 transcript:ONH97450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPGKGVSICDSSLLETKPDINVNQGGDVGYFSPPGGVQNKTEMGLDLNHSNEADLSTPSFPNVIDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97456 pep chromosome:Prunus_persica_NCBIv2:G7:18274790:18282999:-1 gene:PRUPE_7G191000 transcript:ONH97456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPGKGVSICDSSLLETKPDINVNQGGDVGYFSPPGGVQNKTEMGLDLNHSNEADLSTPSFPNVIDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97457 pep chromosome:Prunus_persica_NCBIv2:G7:18274643:18283723:-1 gene:PRUPE_7G191000 transcript:ONH97457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPGKGVSICDSSLLETKPDINVNQGGDVGYFSPPGGVQNKTEMGLDLNHSNEADLSTPSFPNVIDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97449 pep chromosome:Prunus_persica_NCBIv2:G7:18274790:18282999:-1 gene:PRUPE_7G191000 transcript:ONH97449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPGKGVSICDSSLLETKPDINVNQGGDVGYFSPPGGVQNKTEMGLDLNHSNEADLSTPSFPNVIDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH97446 pep chromosome:Prunus_persica_NCBIv2:G7:18274644:18284038:-1 gene:PRUPE_7G191000 transcript:ONH97446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDNKLSELVDIFKSWIPRRSEPPNVSRDFWMPDQSCRVCYDCDSQFTIFNRRHHCRLCGRVFCAKCTANSVPAPSDEQRAGREDWERIRVCNYCFRQWEQGIATVDNGPPARSPGLSPSPSATSLASTKSSCTCHSSSSTIGSTPYSTGPYQRVPYTSGLSPNQSSPQIDSVTATQDNCTSQRSISSDAAMAESSPNHFGFGMNRSDDEDDDYGVYRLDSEPSHFSHANDYYGAVNIEEFDNVYGPHNVHLDGDNTSSLLPEGFDTQGVEGSQELREESYEHNNCDECETSPYDLQSTNAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDDDGGGVGGATGEWGYLRSSNSFGNGECRTREKSIEEHRNAMKNVVEGHFRALVAQLLQVESLPLGDEDNKESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIACGRRNESTVVKGVVCKKNVAHRRMTSKIEKPRFLILGGALEYQRVSNLLSSFDTLLQQEMDHLKMAVAKIDSHHPNVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLISPKLGYCDIFHVEKFFEVHGSAGQGGKKLTKTLMFFEGCPKPLGVTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSVITVALPDKPSSIDRSISTIPGFSVPAAGKPQGPEASSELQKSNKGSISDSDLCTNIDPILNMEGANSICSSKAACSQAFLGVHSSGSVAPRSPFGSLSHPGEDIRDSFRKKLPGICASENDIDMGCKESFLAKTDKAGEALFNDRLISNSFGASEALEHGGGNSHADNVDLVANLGEAPGFTSIKNHSDNHNEEVESSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGNFDKPLGRFLRDHLFDQSYLCRSCGMPSEAHVHCYTHRQGSLTISVKKLPETLLPGEREGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAANRVATCGHSLHRDCLRFYGFGRMVACFSYASIHVHSVYLPPSKLEFYYDNQEWIQKEADEMGHRAELLFTELRNALNQILGKRPLAGTQDGGKKAPESSHQIAELEEMLQKEREDFEESLRKVMHREVKFGHPAIDILEINKLRRQLLFHSYVWDQRLIHAASLSNKGFQEGLSSSLPKLKEKPLSSMEKLAETNINSKPDKSDPLESGKSVRRALSEGDECPTVANLSDTLDAAWTGESHPTSTIPKDNGYSIPDSTLVNSPTAIRKVASNSDLQNYTIDQVGVQVTHSLSSPLHLKGFDKNISLNAQKLFIGEGNPVYVPLFRELERQSGARLLLPIGVNDTVIPVFDDEPTSIIAYALVSPDYHLQISESERPKDALDSSVSLPLFDSANLLSLTSFDEAVSETYRNLGSSDESLISTSRSRSSQALDSLLSKDLHARVSFTDDGPLGKVKYTVTCYYATRFEALRRTCCPSEIDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPSYFKYLSESISTRSPTCLAKILGIYQVSSKHGKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIDVMDYSLLVGVDEEKDELVLGIIDFVRQYTWDKHLETWVKTSGLLGGPKNTSPTVISPQQYKKRFRKAMTTYFLMVPDQWSPATIIASRSQSELCEENAQGDGEL >ONH96240 pep chromosome:Prunus_persica_NCBIv2:G7:14076370:14079828:-1 gene:PRUPE_7G115500 transcript:ONH96240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHLKQWRNQQHESEEQHSAKIPKLHLEPHPHSEPSGYALPLFVPEPNSKMISTLSAFSESTPASASTRFPKMGSYFSFSQLQELELQALIFRYMLAGAAVPPELLQPIRKSLLHSPPYFLHHPLQQYPHFQPALLQSGYWGRAAMDPEPTRCRRTDGKKWRCSRDVVAGQKYCERHVHRGRNRSRKPVEATTAAAGGGGGGTSDIATNTTTKTSSSGAHFTLSGSSSSPSIDLLHLNQSSSEPKAENRSLFEPHSEVSGSAKSDSHVLRPFFDDWPGKLQELDNARTNAGSMNSATSLSISIRGNSSSDVSLKLSTGNGVETGRLDGHAEREQPQLNWPAGWGTNQMASMGGPLAEALRSSSNSNSSPTSVLHQLPRSSASETSFIST >ONH96241 pep chromosome:Prunus_persica_NCBIv2:G7:14076370:14079084:-1 gene:PRUPE_7G115500 transcript:ONH96241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYFSFSQLQELELQALIFRYMLAGAAVPPELLQPIRKSLLHSPPYFLHHPLQQYPHFQPALLQSGYWGRAAMDPEPTRCRRTDGKKWRCSRDVVAGQKYCERHVHRGRNRSRKPVEATTAAAGGGGGGTSDIATNTTTKTSSSGAHFTLSGSSSSPSIDLLHLNQSSSEPKAENRSLFEPHSEVSGSAKSDSHVLRPFFDDWPGKLQELDNARTNAGSMNSATSLSISIRGNSSSDVSLKLSTGNGVETGRLDGHAEREQPQLNWPAGWGTNQMASMGGPLAEALRSSSNSNSSPTSVLHQLPRSSASETSFIST >ONH98344 pep chromosome:Prunus_persica_NCBIv2:G7:20900227:20900562:1 gene:PRUPE_7G244800 transcript:ONH98344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLSPKPLSGSLKKKAMNASAKLRNSLSRRSRRISIVIADAVEIGDVHDPEELQSVEAFRQALILEELLPSKHDDYHIMLRFLKASKIRYGENQANVVRHAPVEEGIRC >ONH96815 pep chromosome:Prunus_persica_NCBIv2:G7:16382336:16384442:1 gene:PRUPE_7G153700 transcript:ONH96815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADSGNLMAIAQQVIKQKQQQEQQQQQHHHHQQQPVGAFSLTPWPNNTHSPNLGYGLTGSGYTDPFQLSGSSDAGGEPGFPFPNLDHHPTGFRFSDLGGGGAAEFDSDEWMDSIIGGGDSTDSSNLPSACDTWHGSNADFGLYGADPFQPPCPSRLSNPCSPPSDLNRVIFSETQNQLPAWTAPPPPPPAPHVVVKQAKSADPPPQPNEAVGVSSRSPEIESAPPLLKALLDCARLAESDPDRAVKSLIRLRESVSDRGDPTERVGFYFTEALQSRVSSLQSEKSLAATTTYDTACEDFTLSYKALNDACPYSKFAHLTANQAILEATERATKIHIVDFGIVQGVQWAALLQALATRSTGKPSRIRISGIPAPSLGTSPAASLFATGNRLRDFAKLLELNFEFEPILTPVHELDESCFRVEPDEALAVNLMLQLYNLLDETPTAVQSALKLAKSLNPKIVTLGEYEANLSRVGFTSRFKNALKYYTALFESLEPNMTRDSPERLKVEKLLLGRRIGGVVGPEQPGTKRERFEDKEQWKYLMESSGFEPVALSHYAVSQAKILLWNYNNSLYSLIESPPGFLSLAWNEVPLFTVSSWR >ONH96814 pep chromosome:Prunus_persica_NCBIv2:G7:16381917:16385916:1 gene:PRUPE_7G153700 transcript:ONH96814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADSGNLMAIAQQVIKQKQQQEQQQQQHHHHQQQPVGAFSLTPWPNNTHSPNLGYGLTGSGYTDPFQLSGSSDAGGEPGFPFPNLDHHPTGFRFSDLGGGGAAEFDSDEWMDSIIGGGDSTDSSNLPSACDTWHGSNADFGLYGADPFQPPCPSRLSNPCSPPSDLNRVIFSETQNQLPAWTAPPPPPPAPHVVVKQAKSADPPPQPNEAVGVSSRSPEIESAPPLLKALLDCARLAESDPDRAVKSLIRLRESVSDRGDPTERVGFYFTEALQSRVSSLQSEKSLAATTTYDTACEDFTLSYKALNDACPYSKFAHLTANQAILEATERATKIHIVDFGIVQGVQWAALLQALATRSTGKPSRIRISGIPAPSLGTSPAASLFATGNRLRDFAKLLELNFEFEPILTPVHELDESCFRVEPDEALAVNLMLQLYNLLDETPTAVQSALKLAKSLNPKIVTLGEYEANLSRVGFTSRFKNALKYYTALFESLEPNMTRDSPERLKVEKLLLGRRIGGVVGPEQPGTKRERFEDKEQWKYLMESSGFEPVALSHYAVSQAKILLWNYNNSLYSLIESPPGFLSLAWNEVPLFTVSSWR >ONH96813 pep chromosome:Prunus_persica_NCBIv2:G7:16381982:16385916:1 gene:PRUPE_7G153700 transcript:ONH96813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADSGNLMAIAQQVIKQKQQQEQQQQQHHHHQQQPVGAFSLTPWPNNTHSPNLGYGLTGSGYTDPFQLSGSSDAGGEPGFPFPNLDHHPTGFRFSDLGGGGAAEFDSDEWMDSIIGGGDSTDSSNLPSACDTWHGSNADFGLYGADPFQPPCPSRLSNPCSPPSDLNRVIFSETQNQLPAWTAPPPPPPAPHVVVKQAKSADPPPQPNEAVGVSSRSPEIESAPPLLKALLDCARLAESDPDRAVKSLIRLRESVSDRGDPTERVGFYFTEALQSRVSSLQSEKSLAATTTYDTACEDFTLSYKALNDACPYSKFAHLTANQAILEATERATKIHIVDFGIVQGVQWAALLQALATRSTGKPSRIRISGIPAPSLGTSPAASLFATGNRLRDFAKLLELNFEFEPILTPVHELDESCFRVEPDEALAVNLMLQLYNLLDETPTAVQSALKLAKSLNPKIVTLGEYEANLSRVGFTSRFKNALKYYTALFESLEPNMTRDSPERLKVEKLLLGRRIGGVVGPEQPGTKRERFEDKEQWKYLMESSGFEPVALSHYAVSQAKILLWNYNNSLYSLIESPPGFLSLAWNEVPLFTVSSWR >ONH96171 pep chromosome:Prunus_persica_NCBIv2:G7:13819464:13821628:1 gene:PRUPE_7G111000 transcript:ONH96171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQFLAAAAERIGPAARRQAVSLTDAAASRIRQLLEQRRRPYLKLGVKARGCNGLSYTLNYADDKGKFDELVEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFVFINPNSKGQCGCGESFMTTGVSGATKKV >ONH98671 pep chromosome:Prunus_persica_NCBIv2:G7:21706920:21708287:1 gene:PRUPE_7G261200 transcript:ONH98671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALNCQLPIYLSSFGLALMKQMKLLFVGGKQNISLFKVQRVWDQQKGFSLALRIPEMRGSCNIKAL >ONH96950 pep chromosome:Prunus_persica_NCBIv2:G7:16753394:16754446:1 gene:PRUPE_7G161600 transcript:ONH96950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTAIRCIARKPKPQMKPIELKTPPEQTQTITRAIFDIVKEHGPLTITETWDHVKEVGLRGLTSKRHMKIVLRWMRERQKLRLICNHVGPQKQFLYTTWFTKPNLKQQGKPVNDSPQPKSP >ONH96949 pep chromosome:Prunus_persica_NCBIv2:G7:16753394:16754446:1 gene:PRUPE_7G161600 transcript:ONH96949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTAIRCIARKPKPQMKPIELKTPPEQTQTITRAIFDIVKEHGPLTITETWDHVKEVGLRGLTSKRHMKIVLRWMRERQKLRLICNHVGPQKQFLYTTWFTKPNLKQQGKPVNDSPQPKSP >ONH96948 pep chromosome:Prunus_persica_NCBIv2:G7:16753381:16754446:1 gene:PRUPE_7G161600 transcript:ONH96948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWFRVRQGQESGNMFGTAIRCIARKPKPQMKPIELKTPPEQTQTITRAIFDIVKEHGPLTITETWDHVKEVGLRGLTSKRHMKIVLRWMRERQKLRLICNHVGPQKQFLYTTWFTKPNLKQQGKPVNDSPQPKSP >ONH95046 pep chromosome:Prunus_persica_NCBIv2:G7:8547207:8549249:-1 gene:PRUPE_7G048400 transcript:ONH95046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLKPKPPDQGQTVSLSWQKPKPDWIKINCDGVWQQQTLRVRVGWVVNLFISMDKIIISPKRLLKIASKWQKRDALGRNKNSSPRVVANNLMNSMVGEKGTFVIYTTDNTRFVLPLTYLSNYIFLELFKMSEEEFGLSTSSGPIVLPCDSFFMNYVVSLLQRGMTTDLERALQAKALISSRCSSSAVHQGKTSNPTIPLWLLLSS >ONH98765 pep chromosome:Prunus_persica_NCBIv2:G7:21918162:21923110:-1 gene:PRUPE_7G265700 transcript:ONH98765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPSNSKLGSVHLHVQCLHPPNPIPMRALPYHRRQTLRVLSAKRTGKQRYPSEKKELKLKHQEIVGEVKNKFAGIWRLSKLGVPVHKDPGKDFLGVSEGLLEQIAKVLEFPVASMLPTEAFTVVRKSFDARKRLKEPKFVYVVEMDVNKLLSLEPRAWDFISELQPKVGLVEHMPEVNKSGDLISIIHGFENVHQGTVSRESAHNMNNGSQGLYTHPTARKPKIAVVGSGPSGLFASLVLAEFGADVTLIERGQPVEQRGRDIGALVVRRILQTESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLAVMETLVHFGAPEGILVDGKPHLGTDRLIPLLRNFRQHLQNLGVTIKFGMRVDDLLVDNGQVVGVKVSESVDRQSNTQKWEYDAVVLAVGHSARDIYQTLLSHNIDLVLKDFAVGLRIEHPQEVINSLQYSGLATEVRRGRGKVPVADYKVAKYASGKDGDEPLQATSRSCYSFCMCPGGQVVLTGTKPSEICINGMSFSRRASKWANAALVVTVSMKDFDALNLHGPLAGVEFQREFEQRAARMGGGNFVVPVQTVTDFLDNKLSVTSVPPSSYRLGVKAANLHEIFPIHITETLQHSISAFDQELPGFISKEALLHGVETRTSSPIQIPRGIDTYESTSLKGLYPVGEGAGYAGGIVSAAVDGMYAGFAVAKNFGLCNDGIESILGKARTAGYLEY >ONH98766 pep chromosome:Prunus_persica_NCBIv2:G7:21918128:21923110:-1 gene:PRUPE_7G265700 transcript:ONH98766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPSNSKLGSVHLHVQCLHPPNPIPMRALPYHRRQTLRVLSAKRTGKQRYPSEKKELKLKHQEIVGEVKNKFAGIWRLSKLGVPVHKDPGKDFLGVSEGLLEQIAKVLEFPVASMLPTEAFTVVRKSFDARKRLKEPKFVYVVEMDVNKLLSLEPRAWDFISELQPKVGLVEHMPEVNKSGDLISIIHGFENVHQGTVSRESAHNMNNGSQGLYTHPTARKPKIAVVGSGPSGLFASLVLAEFGADVTLIERGQPVEQRGRDIGALVVRRILQTESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLAVMETLVHFGAPEGILVDGKPHLGTDRLIPLLRNFRQHLQNLGVTIKFGMRVDDLLVDNGQVVGVKVSESVDRQSNTQKWEYDAVVLAVGHSARDIYQTLLSHNIDLVLKDFAVGLRIEHPQEVINSLQYSGLATEVRRGRGKVPVADYKVAKYASGKDGDEPLQATSRSCYSFCMCPGGQVVLTGTKPSEICINGMSFSRRASKWANAALVVTVSMKDFDALNLHGPLAGVEFQREFEQRAARMGGGNFVVPVQTVTDFLDNKLSVTSVPPSSYRLGVKAANLHEIFPIHITETLQHSISAFDQELPGFISKEALLHGVETRTSSPIQIPRGIDTYESTSLKGLYPVGEGAGYAGGIVSAAVDGMYAGFAVAKNFGLCNDGIESILGKARTAGYLEY >ONH95770 pep chromosome:Prunus_persica_NCBIv2:G7:12355444:12356040:-1 gene:PRUPE_7G089900 transcript:ONH95770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSKLPLNLCHVHKLSIVINRTHIFFHSIALVFLLYYRAFFFFFQDSTKTKATTIAWLLVFVSEILLFFEWLLGQSSRWRPVSRTAFPERLPANDKLPAVDVFICTADPEKEPTVGVMNTVLSAMAMDYPPEKLHVYLSDDGGAAVTLKEEEDADFGGSKFIQDREDIKEKYEAFKKKK >ONH97504 pep chromosome:Prunus_persica_NCBIv2:G7:18393301:18395454:-1 gene:PRUPE_7G193100 transcript:ONH97504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLQKLIGKVSKDPPKLGNSSSSSSSSFDSHRAGEGLAAALSSSDQSRILFNRPARQVVSLWTCSKLCTLCFVAGIVVGYTLKRRVRSWASRLLKRIKDE >ONH97503 pep chromosome:Prunus_persica_NCBIv2:G7:18394197:18395331:-1 gene:PRUPE_7G193100 transcript:ONH97503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLQKLIGKVSKDPPKLGNSSSSSSSSFDSHRAGEGLAAALSSSDQSRILFNRPARQVVSLWTCSKLCTLCFVAGIVVGYTLKRRVRSWASRLLKRIKDE >ONH98182 pep chromosome:Prunus_persica_NCBIv2:G7:20387476:20391326:-1 gene:PRUPE_7G234000 transcript:ONH98182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGSASPVHRRDADHRYSSEYDHSSGPLRGREFGNARDSGRYRDNSPHYARGRGAGRPFSRGLDGPGPGPGPFRGEGSRNNPNVRQRLGDWICPDPLCGNLNFARREYCNNCNKFRYGPGGSPRRGYPGPPPPGGPPRRFPGPPVDPPLGRSLNGGYRSPPRGWARDGPRDFGAGMPPPRHEGRFPDHQMRRDRLDYSDNHRGRTKFDRPMPMDWGNRDRGRDSFFNERKAFERRLPSPPSPPPPAAHRGRWAHDVRERSRSPLRGGPPPKDYNRPMYIDRGRDDRRGMGRGRIGDAY >ONH98181 pep chromosome:Prunus_persica_NCBIv2:G7:20387887:20389302:-1 gene:PRUPE_7G234000 transcript:ONH98181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGSASPVHRRDADHRYSSEYDHSSGPLRGREFGNARDSGRYRDNSPHYARGRGAGRPFSRGLDGPGPGPGPFRGEGSRNNPNVRQRLGDWICPDPLCGNLNFARREYCNNCNKFRYGPGGSPRRGYPGPPPPGGPPRRFPGPPVDPPLGRSLNGGYRSPPRGWARDGPRDFGAGMPPPRHEGRFPDHQMRRDRLDYSDNHRGRTKFDRPMPMDWGNRDRGRDSFFNERKAFERRLPSPPSPPPPAAHRGRWAHDVRERSRSPLRGGPPPKDYNRPMYIDRGRDDRRGMGRGRIGDAY >ONH98180 pep chromosome:Prunus_persica_NCBIv2:G7:20387476:20392038:-1 gene:PRUPE_7G234000 transcript:ONH98180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDKDQTTSHHQPLLSSLVVRPSTSDGGGDGAGRGSDYELGEVRREPPQYTRSDRYNDDPGYRMRAGSASPVHRRDADHRYSSEYDHSSGPLRGREFGNARDSGRYRDNSPHYARGRGAGRPFSRGLDGPGPGPGPFRGEGSRNNPNVRQRLGDWICPDPLCGNLNFARREYCNNCNKFRYGPGGSPRRGYPGPPPPGGPPRRFPGPPVDPPLGRSLNGGYRSPPRGWARDGPRDFGAGMPPPRHEGRFPDHQMRRDRLDYSDNHRGRTKFDRPMPMDWGNRDRGRDSFFNERKAFERRLPSPPSPPPPAAHRGRWAHDVRERSRSPLRGGPPPKDYNRPMYIDRGRDDRRGMGRGRIGDAY >ONH94140 pep chromosome:Prunus_persica_NCBIv2:G7:112421:120838:-1 gene:PRUPE_7G000400 transcript:ONH94140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGFLGLGIMGKAMSMNLLRHGFKVTVWNRTLSKCDELVEHGASVAETPAAVVKKCKYTIAMLSDPSAAFSVVFGKDGILEQICAGKGYVDMSTVDADTSTKINEAITAKGGYFLEAPVSGSKKPAEDGQLVILAAGEKALYEEVIPAFNVMGKKSFYLGQVGNGAKMKLVVNMIMGSMINAFSEGLVLAGRSGLDPSVLLDVLDLGGIANPMFRLKGPTMIQGSHSPAFPLKHQQKDMRLALALGDETATSMPVAAAANEAFKKARSMGLGDLDFSAVYETVKALEQPSQKT >ONH94141 pep chromosome:Prunus_persica_NCBIv2:G7:112552:120594:-1 gene:PRUPE_7G000400 transcript:ONH94141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSPFGTGPSLSVTSSWSMVLLLQKPLQQWLRSASIQLQCYLILLLPFRLVVFGKDGILEQICAGKGYVDMSTVDADTSTKINEAITAKGGYFLEAPVSGSKKPAEDGQLVILAAGEKALYEEVIPAFNVMGKKSFYLGQVGNGAKMKLVVNMIMGSMINAFSEGLVLAGRSGLDPSVLLDVLDLGGIANPMFRLKGPTMIQGSHSPAFPLKHQQKDMRLALALGDETATSMPVAAAANEAFKKARSMGLGDLDFSAVYETVKALEQPSQKT >ONH95302 pep chromosome:Prunus_persica_NCBIv2:G7:10113137:10116151:1 gene:PRUPE_7G062200 transcript:ONH95302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLAFPLATKLIEKLGSFASDQICLAWGVKADLKKLQRTMSTIKDVLLDAEQKQAHNQQIRSWLRQLKDVFLDAEDLLDEFECDALRREVVETFHGTTGKVRRFFSRSNPIAFRLRVGHEMKEIRERLDELKSNKAIFDSLTSIDHHGGGGEHHERVNVTHSFVRASKVIGRESEKKQIINLLMEQGDDNQSGNGNVSVIPIVGIGGLGKTTLAKLVYDDEGVVGHFEKRMWVSVSVDFEITRLIKMILSSASDTEMSDKLSLDQLQGRLRHALKDKKFLLVLDDVWNEDSIKWSELRDLLIEGAKSGSKILVTTRNTWVAEMMGTIPTSINLEFLSFEDCLSLFVECAFKEGRNKDYPNLFEMGKDIVRKCGGVPLAVKTLGSQLYSKTDEREWKLVRDSEIWELKQEDAGHILPALRLSYTRLPHHLRQCLACCSHLQKDMIEFSSTYLIRYWMAHGILDQSRDHRNMELEDIGELYFKDLWVRSFFQNVIDNGMFYRFDMHDLIHDLVQSVAQGECFTVKSANTKDMSENVRHLTFLEAGQNVSTTLQKLNKVRTITVQETEIDESFVCTCFSRFKYLRVLELSTCSLQVLPSSIGSLKHLRYMNLNSNEAITKLPNAICRLQSLQTLNLCNCENLQELPRDISKLISLTSLWITTKQTSFTENGVGCLKSLRFLSIPSCCNLTSLPREKSYLTSLRTLLIRNCEQLDLGNVNYQGTPLRLQKLGIINLPRMVALPEWFQGAANTLQVLVIGMCENLEALPEWLASFTSLTKLIIDECQKLSSLPEGMRSLTSLRELVIDDCPELERRCQRDIGEDWPKISHVPHVSFSLN >ONH97707 pep chromosome:Prunus_persica_NCBIv2:G7:19005463:19008072:1 gene:PRUPE_7G205700 transcript:ONH97707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSGMNPRDKSRAPKAKANADAPAASLSVSQTSAAAAAKRDAPAAATATARRDAPAATPARRDAPAATPARRDAPAATPVRHDAPAAPVADDSSTGLSDAKTAPAYDAMIFEALSTSTGPNGLDSGAIASFIEQRNEIPPNFRRSLSNRLRRLVHQEKLEKIQNCYRTKAPKQKDIHPIEESAVAAAYKIADAENKSFVAAEAVKEFERLSEMAEEAESTLQVAAEILEKCSEGEVLLMA >ONH97705 pep chromosome:Prunus_persica_NCBIv2:G7:19004341:19008418:1 gene:PRUPE_7G205700 transcript:ONH97705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKQKWTSEEEEALRAGVKKHGTGKWKDIQKDPEFNPFLSSRSNIDLKDKWRNMTVSGMNPRDKSRAPKAKANADAPAASLSVSQTSAAAAAKRDAPAAATATARRDAPAATPARRDAPAATPARRDAPAATPVRHDAPAAPVADDSSTGLSDAKTAPAYDAMIFEALSTSTGPNGLDSGAIASFIEQRNEIPPNFRRSLSNRLRRLVHQEKLEKIQNCYRTKAPKQKDIHPIEESAVAAAYKIADAENKSFVAAEAVKEFERLSEMAEEAESTLQVAAEILEKCSEGEVLLMA >ONH97706 pep chromosome:Prunus_persica_NCBIv2:G7:19004358:19008620:1 gene:PRUPE_7G205700 transcript:ONH97706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKQKWTSEEEEALRAGVKKHGTGKWKDIQKDPEFNPFLSSRSNIDLKDKWRNMTVSGMNPRDKSRAPKAKANADAPAASLSVSQTSAAAAAKRDAPAAATATARRDAPAATPARRDAPAATPARRDAPAATPVRHDAPAAPVADDSSTGLSDAKTAPAYDAMIFEALSTSTGPNGLDSGAIASFIEIQNCYRTKAPKQKDIHPIEESAVAAAYKIADAENKSFVAAEAVKEFERLSEMAEEAESTLQVAAEILEKCSEGEVLLMA >ONH96196 pep chromosome:Prunus_persica_NCBIv2:G7:13879398:13881318:1 gene:PRUPE_7G112600 transcript:ONH96196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISEPLVVGRVIGDVLDCFTPTTKMSVTYNTRLVCNGYELYPSAVTTKPRVEIQGGDMRTFFTLIMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGREVVSYEMPRPNIGIHRFVFVLFKQTRRQSVNPPSSRDHFSARSFAAENDLGPPVAAVYFNCQRETAARRR >ONH94459 pep chromosome:Prunus_persica_NCBIv2:G7:2596581:2598078:1 gene:PRUPE_7G017900 transcript:ONH94459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAALSLSIASLSSISSHPHSHLLPSHQINCSFSSPSSCIYSNHTSHSKPYRRLTPCSQHFCFRVSYRFSAAAEGADDDSEEAEDGSFDEAVVLFNTRDYYKCHDFLESLWNNAEEPTRTLIHGILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMNFKSGPFYQFEQEISAALDFIYQTQIELAACTEDLCVTMDQSERSYQLLGLMTVSHQR >ONH94460 pep chromosome:Prunus_persica_NCBIv2:G7:2596581:2598074:1 gene:PRUPE_7G017900 transcript:ONH94460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAALSLSIASLSSISSHPHSHLLPSHQINCSFSSPSSCIYSNHTSHSKPYRRLTPCSQHFCFRVSYRFSAAAEGADDDSEEAEDGSFDEAVVLFNTRDYYKCHDFLESLWNNAEEPTRTLIHGILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMNFKSGPFYQFEQEISAALDFIYQTQIELAACGLISSPFKSHLFNFICLSPTQRHPKSISEFSLDTRLDLEICK >ONH94458 pep chromosome:Prunus_persica_NCBIv2:G7:2596581:2598074:1 gene:PRUPE_7G017900 transcript:ONH94458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAALSLSIASLSSISSHPHSHLLPSHQINCSFSSPSSCIYSNHTSHSKPYRRLTPCSQHFCFRVSYRFSAAAEGADDDSEEAEDGSFDEAVVLFNTRDYYKCHDFLESLWNNAEEPTRTLIHGILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMNFKSGPFYQFEQEISAALDFIYQTQIELAACTEDLCVTMDQSERSYQLLGGYAAGQLLYTLQSDPNETMYIVFSPHRSYDSEPPKVKLPTLNATAEHLVACQYK >ONH94461 pep chromosome:Prunus_persica_NCBIv2:G7:2596696:2597624:1 gene:PRUPE_7G017900 transcript:ONH94461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAALSLSIASLSSISSHPHSHLLPSHQINCSFSSPSSCIYSNHTSHSKPYRRLTPCSQHFCFRVSYRFSAAAEGADDDSEEAEDGSFDEAVVLFNTRDYYKCHDFLESLWNNAEEPTRTLIHGILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMNFKSGPFYQFEQEISAALDFIYQTQIELAACGLISSPFKSHLFNFICLSPTQRHPKSISEFSLDTRLDLEICK >ONH94836 pep chromosome:Prunus_persica_NCBIv2:G7:6344386:6349662:-1 gene:PRUPE_7G033900 transcript:ONH94836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLKITKKHHKHFNNPFPSTPASLPLLQGNLLFNSQTVPSHQHFSIGKDFQLSWSSNNGGSLSIYHQSQPKRAIWSTIPGQAFVSAALAETEVEESRGSFVVKDRKLHLVCHHQTILDIRVIDQFDHSLEAQDQDSPSGFLDLDQKTDFKGTQFPMVLVTGWVFNMRRKKKHSHKYGTLENAQFEGKGPSTCARYWVLFEQKNRNQIGFQVKLGQPNFEFRTKASPAASGRYKGFRRRLGQFQKRRLRWFWSSARPRGFVFVSSSEEELEELKAEEFKEFNRVCLTYSSEENERFYGFGEQFSHMDFKGKRVPILVQEQGIGRGDQPITFAANLISYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKQDRVQIQIHGNSVEGRILHGTSPSELIECFTETIGRPPKLPDWIISGAVVGMQGGTESVRHIWNELKTYNAPISAFWLQDWVGQRETLVGSQLWWNWEVDSIRYTGWQQLIKDLSVQHIKVMTYCNPCLAPILQVGSSRIFRKWWMMESEDGWLILVKACLWMPPSIQVKILFQPTTNTQSCGLK >ONH94835 pep chromosome:Prunus_persica_NCBIv2:G7:6344386:6349662:-1 gene:PRUPE_7G033900 transcript:ONH94835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLKITKKHHKHFNNPFPSTPASLPLLQGNLLFNSQTVPSHQHFSIGKDFQLSWSSNNGGSLSIYHQSQPKRAIWSTIPGQAFVSAALAETEVEESRGSFVVKDRKLHLVCHHQTILDIRVIDQFDHSLEAQDQDSPSGFLDLDQKTDFKGTQFPMVLVTGWVFNMRRKKKHSHKYGTLENAQFEGKGPSTCARYWVLFEQKNRNQIGFQVKLGQPNFEFRTKASPAASGRYKGFRRRLGQFQKRRLRWFWSSARPRGFVFVSSSEEELEELKAEEFKEFNRVCLTYSSEENERFYGFGEQFSHMDFKGKRVPILVQEQGIGRGDQPITFAANLISYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKQDRVQIQIHGNSVEGRILHGTSPSELIECFTETIGRPPKLPDWIISGAVVGMQGGTESVRHIWNELKTYNAPISAFWLQDWVGQRETLVGSQLWWNWEVDSIRYTGWQQLIKDLSVQHIKVMTYCNPCLAPCHEKPNRRRNLFEEAKKLDILVKDKLGEPYMVPNTAFDVGMLDLTHPDTASWFKQNLQEMVDDGVRGWMADFGEGLPVDATLYSGEDPISAHNKYPELWAQINREFVDEWKANRVGKEVEDPEEALVFFMRAGFRDSPKWGMLFWEGDQMVSWQTHDGIKSAVVGLLSSGISGYAFNHSDIGGYCAVNLPFINYRRSEELLLRWMELNAFTTVFRTHEGNKPSCNSQFYSNDRTLSHFARFAKIYKAWRFYRVQLVQPLKKVFLYAATYFCITQMMNMCTV >ONH94833 pep chromosome:Prunus_persica_NCBIv2:G7:6344386:6349662:-1 gene:PRUPE_7G033900 transcript:ONH94833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLKITKKHHKHFNNPFPSTPASLPLLQGNLLFNSQTVPSHQHFSIGKDFQLSWSSNNGGSLSIYHQSQPKRAIWSTIPGQAFVSAALAETEVEESRGSFVVKDRKLHLVCHHQTILDIRVIDQFDHSLEAQDQDSPSGFLDLDQKTDFKGTQFPMVLVTGWVFNMRRKKKHSHKYGTLENAQFEGKGPSTCARYWVLFEQKNRNQIGFQVKLGQPNFEFRTKASPAASGRYKGFRRRLGQFQKRRLRWFWSSARPRGFVFVSSSEEELEELKAEEFKEFNRVCLTYSSEENERFYGFGEQFSHMDFKGKRVPILVQEQGIGRGDQPITFAANLISYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKQDRVQIQIHGNSVEGRILHGTSPSELIECFTETIGRPPKLPDWIISGAVVGMQGGTESVRHIWNELKTYNAPISAFWLQDWVGQRETLVGSQLWWNWEVDSIRYTGWQQLIKDLSVQHIKVMTYCNPCLAPCHEKPNRRRNLFEEAKKLDILVKDKLGEPYMVPNTAFDVGMLDLTHPDTASWFKQNLQEMVDDGVRGWMADFGEGLPVDATLYSGEDPISAHNKYPELWAQINREFVDEWKANRVGKEVEDPEEALVFFMRAGFRDSPKWGMLFWEGDQMVSWQTHDGIKSAVVGLLSSGISGSEELLLRWMELNAFTTVFRTHEGNKPSCNSQFYSNDRTLSHFARFAKIYKAWRFYRVQLVQEAAQKGLPVCRHLFLHYPDDEHVHSLSYHQFLVGTEILVVPVLDKGKNNVKAYFPTGESCTWQHIWTGKHFGRQGVEATVEAPIGCPAVFVKTGSIVGETFLKNLIDLKVL >ONH94834 pep chromosome:Prunus_persica_NCBIv2:G7:6344579:6349286:-1 gene:PRUPE_7G033900 transcript:ONH94834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLKITKKHHKHFNNPFPSTPASLPLLQGNLLFNSQTVPSHQHFSIGKDFQLSWSSNNGGSLSIYHQSQPKRAIWSTIPGQAFVSAALAETEVEESRGSFVVKDRKLHLVCHHQTILDIRVIDQFDHSLEAQDQDSPSGFLDLDQKTDFKGTQFPMVLVTGWVFNMRRKKKHSHKYGTLENAQFEGKGPSTCARYWVLFEQKNRNQIGFQVKLGQPNFEFRTKASPAASGRYKGFRRRLGQFQKRRLRWFWSSARPRGFVFVSSSEEELEELKAEEFKEFNRVCLTYSSEENERFYGFGEQFSHMDFKGKRVPILVQEQGIGRGDQPITFAANLISYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKQDRVQIQIHGNSVEGRILHGTSPSELIECFTETIGRPPKLPDWIISGAVVGMQGGTESVRHIWNELKTYNAPISAFWLQDWVGQRETLVGSQLWWNWEVDSIRYTGWQQLIKDLSVQHIKVMTYCNPCLAPCHEKPNRRRNLFEEAKKLDILVKDKLGEPYMVPNTAFDVGMLDLTHPDTASWFKQNLQEMVDDGVRGWMADFGEGLPVDATLYSGEDPISAHNKYPELWAQINREFVDEWKANRVGKEVEDPEEALVFFMRAGFRDSPKWGMLFWEGDQMVSWQTHDGIKSAVVGLLSSGISGYAFNHSDIGGYCAVNLPFINYRRSEELLLRWMELNAFTTVFRTHEGNKPSCNSQFYSNDRTLSHFARFAKIYKAWRFYRVQLVQEAAQKGLPVCRHLFLHYPDDEHVHSLSYHQFLVGTEILVVPVLDKGKNNVKAYFPTGESCTWQHIWTGKHFGRQGVEATVEAPIGCPAVFVKTGSIVGETFLKNLIDLKVL >ONH95085 pep chromosome:Prunus_persica_NCBIv2:G7:8810193:8811503:1 gene:PRUPE_7G050800 transcript:ONH95085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERETERQRYREKEHNLQNLPLWNTIGFISTLVLHVGVSEPLYYWMHRRFHGNYFFENYHSLHRSSPLQYHCPLQLEVQHFWSIWF >ONH95334 pep chromosome:Prunus_persica_NCBIv2:G7:10319713:10321470:-1 gene:PRUPE_7G064800 transcript:ONH95334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQGAGTSQGIQDHDDHVEDEQIIRDIHALTPPHAPPQLANRGRRREAWETSSHRSSSLSMASSEGAPSENFSSISREFSALVVAGSAIGTNNSTNENDSAVNHGNNNLGRIGEEDNMPEEELNPLAIVPDNYNPSDPIASPRQAGARNYAVGSSSSIAGTQDHQGGDQVISVHRVKKEEVETKISAWQNNKIAKLNNRFKREDAIINGWESEQVQKASSWMKKVERKLEEKRARALEKMQNDIAKAHRKAEERKASAEAKRGTKVARVLEIANLMRAVGRAPAKKSFF >ONH95581 pep chromosome:Prunus_persica_NCBIv2:G7:11471619:11474421:-1 gene:PRUPE_7G079000 transcript:ONH95581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKRQVPFPLSSLSPVPLSDPLLHNLNYSPPSPPPMVQLHTHHDPAPTQPKPSNPVEKTARVGHPHPQPSDHHLNQGLLPIGRAGKGCGFSDAAGGDKHKEHNKQDCGLELLILKGEEEEDERGSRDGENNDIRKESILGAETLMGFASGSSSFSHRAVGRWCEGEKAFPLKKRRGSFGRGANMEEKDRKSMKTKMNKKCATQQQNDDDQKQEDEEAKQGLDHANIIVRSNSSATKKRARGSGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSMATTTTSTTTTSTASTASIEKELKRLSASRSSEESPWKEDTKAAVPLDEHDVDEAKGDRDENGGEEEGKPLLVKRKRMKLGIVKARSMSSLLGQTNSAIAAVADEDEDNNNK >ONH95582 pep chromosome:Prunus_persica_NCBIv2:G7:11471853:11472949:-1 gene:PRUPE_7G079000 transcript:ONH95582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPCGAPCTLAWDNLVPNVLINLIVALYFLGYFYFIRAVNSEVHVYVVVDRWVWCGWFFRKESILGAETLMGFASGSSSFSHRAVGRWCEGEKAFPLKKRRGSFGRGANMEEKDRKSMKTKMNKKCATQQQNDDDQKQEDEEAKQGLDHANIIVRSNSSATKKRARGSGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSMATTTTSTTTTSTASTASIEKELKRLSASRSSEESPWKEDTKAAVPLDEHDVDEAKGDRDENGGEEEGKPLLVKRKRMKLGIVKARSMSSLLGQTNSAIAAVADEDEDNNNK >ONH94897 pep chromosome:Prunus_persica_NCBIv2:G7:7043510:7045415:1 gene:PRUPE_7G038000 transcript:ONH94897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSFKFKLEHSRIVITCNQGINVLFIPWIRLCGHSQVTHNIENAELNPYTSNISLISIMYNVLWRDSMLLVILDGTRLVPSRRGNRRWKLDHSGLYSCHSFLNTCDTLQRHRPFMCLSPHWCTLCNMDRESADDLFIHCPYSLKVWWSLLQEVNAAWVIPKDCFQLLSYKIDALGRGKKAKVLWGCLVHAVFWNLWASVTPVFKDLAVSPIM >ONH94268 pep chromosome:Prunus_persica_NCBIv2:G7:870890:875260:-1 gene:PRUPE_7G006700 transcript:ONH94268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIELMKPLHLSTKWQPLEKDGLCRLGQWKEASRFFKEMNSNGISPNVQTFSALVDCLCKEGKLKEANQVIDILTARGMEPNTVTYNSLMEGYCLQGDMDKAKRIFDLMLKKGSIVDVFSYSILINGYCNERRMKEAMLSFEEMTRKGMVPDIVTYTTLIGGFCKEGRIDDAQNMFSKMKVGGPLPNIHTYSVLLDGLCRNRQIDMALKLFGELEGSSLDFGIGLYNILINGLCTARRIECARDLFCSFPSKGLQPDVKTYTILIIALSTKGLFSEAEAFLRGMEEKGCSPDTVTYNTIIQGFLRNDELSRAQELIQEMMTKGFCADDSTEKMITDLITEGKLDPAFHPVEKKSEGI >ONH94286 pep chromosome:Prunus_persica_NCBIv2:G7:1149232:1151857:-1 gene:PRUPE_7G008100 transcript:ONH94286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKLQKTHVPDDILVKILSRLPVKSLIRFICVSNRWRFLLYDPQFAKYHFKVASEQQTLSPSLLISTASELRSVHLETPSFAIGGNSLVRNLSFPLKQQGRAVKVLGSCNGLVCVALDFHECFYIWNPSTRFLQKLPDPDFGSEEIRRHYKYGFGYASTIDDYKVVVGAELTYETDRANKYPVVVFSLRANSWKKIQAPLSPHGHSEGALSNEALHWLHTSCRPQPVAIAFDLAKEEFRNVMLPICEQNELGYRRVGVLLEGCLCAWANGFPDFEYLEIWVMREYNVYESWTVLFKVANDVPIFIRESGTVVMRDRNSKNVELIWLDKNQDKFKKDVVSRERYMIQGLDSGNDLTQYVETLLPFVSIVCDQQTPVVAIPVSHLITVQEITVQNISIMVPMKLTGPNFTVWKWLFLQVLRKYRVEGLVEGTEICPPAFLFDTNGKITNQVNPAFEKWMDRDQSVMVWLNSRISEDLLPYTVGASSSHALWMIMKKRFADASDSPFA >ONH97141 pep chromosome:Prunus_persica_NCBIv2:G7:17308266:17314649:-1 gene:PRUPE_7G171800 transcript:ONH97141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGNLRPSTKRLLYASRSGLEQSQRCPQVFSSDAFGTSNLEAFPSQILRTLFWLGDFVVWMPLDMRAFDELRKLEMFFKEEARRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMSRGIQNPVRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVKDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERSELRDLVGKNLHVLSQIEGVDLELYKDTVLPRVLEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLDILLGACPQLQPSVDIKTVLSQLMERLSNYAASSTEVLPEFLQVEAFSKLSNAIGKVIEAQVDMPIIGVVTLYSSLLKFTLHVHPDRLDYADQVLGSFVKKLSGKGKIEDSRATKQVVALLSAPLEKYNDIVTALKLSNYPRVLEFLDSGTNKVMATVIIQSIMKNTTHVLTAEKVEALFELIKGLIEDLDGTPDDEVDEEDFKEEQNSVARLIQMFSNDDSEEMFKIICTVKKHILTGGPKRLPFTVPPLVFSSLKLVRKLQAQDENPFGDEASTTPKKLFQLLTQTIEALLNVPAPELALRLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSKAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQETMKDGERVLICLKRALRIANAAQQMSNATRGSTGPVALFVEILNKYLYFFEKGNPQITVASVQSLIELITTELHSDSTSPEPATDAFFASTLRYIQFQKQKGGAVGERYESIKV >ONH97140 pep chromosome:Prunus_persica_NCBIv2:G7:17307799:17314776:-1 gene:PRUPE_7G171800 transcript:ONH97140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDGVEDEEKWLAAGISGLQQNAFYMHRALDSNNLRDALKYSAQMLSELRTSKLSPHKYYELYMRAFDELRKLEMFFKEEARRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMSRGIQNPVRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVKDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERSELRDLVGKNLHVLSQIEGVDLELYKDTVLPRVLEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLDILLGACPQLQPSVDIKTVLSQLMERLSNYAASSTEVLPEFLQVEAFSKLSNAIGKVIEAQVDMPIIGVVTLYSSLLKFTLHVHPDRLDYADQVLGSFVKKLSGKGKIEDSRATKQVVALLSAPLEKYNDIVTALKLSNYPRVLEFLDSGTNKVMATVIIQSIMKNTTHVLTAEKVEALFELIKGLIEDLDGTPDDEVDEEDFKEEQNSVARLIQMFSNDDSEEMFKIICTVKKHILTGGPKRLPFTVPPLVFSSLKLVRKLQAQDENPFGDEASTTPKKLFQLLTQTIEALLNVPAPELALRLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSKAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQETMKDGERVLICLKRALRIANAAQQMSNATRGSTGPVALFVEILNKYLYFFEKGNPQITVASVQSLIELITTELHSDSTSPEPATDAFFASTLRYIQFQKQKGGAVGERYESIKV >ONH96937 pep chromosome:Prunus_persica_NCBIv2:G7:16689958:16694587:-1 gene:PRUPE_7G160700 transcript:ONH96937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAISWVPRGVSKPVPSVADPPTKEEIDELIKTGALERSGDGESEDGDGEMDVETPKKDDQISQALEVAKALGKASKVTESGTKFDDITDGLRELDMEHYDDEEDGIDPFSSGLGDLYYPSNDMDPYLKDKDDDEESEDSDDMTINPTDSVIVCARNEDDVSQLEIWLYVESEDGESNVYVHHDVIISSFPLCTAWLDCPLKGGDKGNFIAVGLMDEPSIEIWDLDIIDEVQPCVVLGGIAEMKKKKGKKASIKYKEGSHRDSVLGLAWNKFYRNVLASASADKQVKIWDVATGKCTITMEHHTDKVQAVAWNHFAHQVLLSGSFDHSVVLKDGRASSHSGYKWTVTADVESLAWDPHTEHSFVVSLEDGTVKGFDIRAATSSSTSESKPSFTLHAHDKAVCAISYNPSAPNLLATGSTDKMVKLWDVSNNQPSCISSRNPKAGAVFSVSFSEDNPFLLAIGGSKGTLEVWDTSYDAAVAQRFGSYIKKSRTTPGS >ONH96938 pep chromosome:Prunus_persica_NCBIv2:G7:16689961:16694569:-1 gene:PRUPE_7G160700 transcript:ONH96938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYLKDKDDDEESEDSDDMTINPTDSVIVCARNEDDVSQLEIWLYVESEDGESNVYVHHDVIISSFPLCTAWLDCPLKGGDKGNFIAVGLMDEPSIEIWDLDIIDEVQPCVVLGGIAEMKKKKGKKASIKYKEGSHRDSVLGLAWNKFYRNVLASASADKQVKIWDVATGKCTITMEHHTDKVQAVAWNHFAHQVLLSGSFDHSVVLKDGRASSHSGYKWTVTADVESLAWDPHTEHSFVVSLEDGTVKGFDIRAATSSSTSESKPSFTLHAHDKAVCAISYNPSAPNLLATGSTDKMVKLWDVSNNQPSCISSRNPKAGAVFSVSFSEDNPFLLAIGGSKGTLEVWDTSYDAAVAQRFGSYIKKSRTTPGS >ONH95738 pep chromosome:Prunus_persica_NCBIv2:G7:12218513:12221122:1 gene:PRUPE_7G088600 transcript:ONH95738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGDTFTEFLHKEQVRFSEKCSHVEYKRLKKVLKTCQSCKTLQEASTADQQEGAANQNKQLCQCQSCPACDQAFFTELMKEVSDIALCFSLRVRHLLHLHVATGMQRYLLWLRQCFMNDQQAMVEKGRTLIEYVHSSENGKIFKSKMRAEHIELLQSPWLIELGAFSLNLHGSDGEGLNELSGHFSCDLDMTPPIMTLMLPDSLKMEYDLTCAVCLETVFNPYALSCGHLFCKSCACSAASVFIFQGPKSAALDAKCPICREAGVYAKAVHMLELDLLLKIRCKDYWKERYTAERAEILKQSKEFWDLQAKYAVY >ONH95739 pep chromosome:Prunus_persica_NCBIv2:G7:12219501:12221532:1 gene:PRUPE_7G088600 transcript:ONH95739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVSDIALCFSLRVRHLLHLHVATGMQRYLLWLRQCFMNDQQAMVEKGRTLIEYVTMNAIAIRKILKKYDKVHSSENGKIFKSKMRAEHIELLQSPWLIELGAFSLNLHGSDGEGLNELSGHFSCDLDMTPPIMTLMLPDSLKMEYDLTCAVCLETVFNPYALSCGHLFCKSCACSAASVFIFQGPKSAALDAKCPICREAGVYAKAVHMLELDLLLKIRCKDYWKERYTAERAEILKQSKEFWDLQAKYAVY >ONH95737 pep chromosome:Prunus_persica_NCBIv2:G7:12218143:12221532:1 gene:PRUPE_7G088600 transcript:ONH95737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGDTFTEFLHKEQVRFSEKCSHVEYKRLKKVLKTCQSCKTLQEASTADQQEGAANQNKQLCQCQSCPACDQAFFTELMKEVSDIALCFSLRVRHLLHLHVATGMQRYLLWLRQCFMNDQQAMVEKGRTLIEYVTMNAIAIRKILKKYDKVHSSENGKIFKSKMRAEHIELLQSPWLIELGAFSLNLHGSDGEGLNELSGHFSCDLDMTPPIMTLMLPDSLKMEYDLTCAVCLETVFNPYALSCGHLFCKSCACSAASVFIFQGPKSAALDAKCPICREAGVYAKAVHMLELDLLLKIRCKDYWKERYTAERAEILKQSKEFWDLQAKYAVY >ONH95740 pep chromosome:Prunus_persica_NCBIv2:G7:12218996:12221532:1 gene:PRUPE_7G088600 transcript:ONH95740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVSDIALCFSLRVRHLLHLHVATGMQRYLLWLRQCFMNDQQAMVEKGRTLIEYVTMNAIAIRKILKKYDKVHSSENGKIFKSKMRAEHIELLQSPWLIELGAFSLNLHGSDGEGLNELSGHFSCDLDMTPPIMTLMLPDSLKMEYDLTCAVCLETVFNPYALSCGHLFCKSCACSAASVFIFQGPKSAALDAKCPICREAGVYAKAVHMLELDLLLKIRCKDYWKERYTAERAEILKQSKEFWDLQAKYAVY >ONH95591 pep chromosome:Prunus_persica_NCBIv2:G7:11542763:11544087:-1 gene:PRUPE_7G079800 transcript:ONH95591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDSLNTSKGLNNISSLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIIIKGSELQLPFQAYLKIEKFVDKFTDFCMNLVIYCSTITLEYVESYFLHLLLCWLGGVSCLRFFMSVTWYLMCTLIVFSCLLYECSLCTREANSLFMMNNSFFLLLLRWMQAN >ONH94811 pep chromosome:Prunus_persica_NCBIv2:G7:5877065:5878241:1 gene:PRUPE_7G031800 transcript:ONH94811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPRRTCLELIPNEGTRKMTFRKRKKSIYKKADELSKLCGIDVCLIVYAADQKKGRAVQPETWPRDPNQFKRILNKYKDSKDTSTPRLKRNFIMSDFYEDKKDHVDEDDEKFQNLGKKIFEGEYPTKFQNLGKKISEEEYPRWDDRIDDFSQDELTELIASLESKIQVATKRIDSMERYMGFAKKQNQSLVREETNHDEQPYQVPALMSPPSLVHYPMLPSAWVSSSESAKS >ONH94812 pep chromosome:Prunus_persica_NCBIv2:G7:5875905:5878265:1 gene:PRUPE_7G031800 transcript:ONH94812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPRRTCLELIPNEGTRKMTFRKRKKSIYKKADELSKLCGIDVCLIVYAADQKKGRAVQPETWPRDPNQFKRILNKYKDSKDTSTPRLKRNFIMSDFYEDKKDHVDEDDEKFQNLGKKIFEGEYPTKFQNLGKKISEEEYPRWDDRIDDFSQDELTELIASLESKIQVATKRIDSMERYMGFAKKQNQSLVREETNHDEQPYQVPALMSPPSLVHYPMLPSAWVSSSESAKS >ONH97392 pep chromosome:Prunus_persica_NCBIv2:G7:18076529:18080210:-1 gene:PRUPE_7G187600 transcript:ONH97392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYPNPNKVLSLLRRARSAVGVAAAASFSSLPSSSSFPSSSAYSSAPKLASAMDNLQTLKTKLCIIGSGPAAHTAAIYAARAELKPILFEGWMANDIAPGGQLTTTTDVENFPGFPDGIMGGELMDRCRNQSLRFGTEIFTETVNKVDFSSTPFKVFTDSKTVLADSVVVATGAVAKRLVFPGSGEGEGGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEANFLTKYGSEVHIIHRRDAFRASKIMQNRALSNPKIRVVWNSEVSDLKVSGLFFAIGHEPATKFLGGQLELHPDGYVATKPGTTQTSVRGVFAAGDVQDKKYRQAVTAAGTGCMAALEAEHYLQEIGSQEGKRD >ONH97393 pep chromosome:Prunus_persica_NCBIv2:G7:18076811:18080082:-1 gene:PRUPE_7G187600 transcript:ONH97393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFYPNPNKVLSLLRRARSAVGVAAAASFSSLPSSSSFPSSSAYSSAPKLASAMDNLQTLKTKLCIIGSGPAAHTAAIYAARAELKPILFEGWMANDIAPGGQLTTTTDVENFPGFPDGIMGGELMDRCRNQSLRFGTEIFTETVNKVDFSSTPFKVFTDSKTVLADSVVVATGAVAKRLVFPGSGEGEGGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEANFLTKYGSEVHIIHRRDAFRASKIMQNRALSNPKIRVVWNSEVVEAYGGEKGVLGGLKVKNLVTGEVSDLKVSGLFFAIGHEPATKFLGGQLELHPDGYVATKPGTTQTSVRGVFAAGDVQDKKYRQAVTAAGTGCMAALEAEHYLQEIGSQEGKRD >ONH97444 pep chromosome:Prunus_persica_NCBIv2:G7:18262709:18265499:1 gene:PRUPE_7G190800 transcript:ONH97444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQSNQKTAQQQDEHEEMQHGPVPVEQLQTSGIASIDVKKLKDAGLCTVEAVAYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQITSGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIAERFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQVKPIGGNIMAHASTTRLAVRKGRGEERICKVISSPCLAEAEARFQISPEGVTDVKD >ONH97443 pep chromosome:Prunus_persica_NCBIv2:G7:18262708:18265537:1 gene:PRUPE_7G190800 transcript:ONH97443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQSNQKTAQQQDEHEEMQHGPVPVEQLQTSGIASIDVKKLKDAGLCTVEAVAYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQITSGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIAERFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQVKPIGGNIMAHASTTRLAVRKGRGEERICKVISSPCLAEAEARFQISPEGVTDVKD >ONH95067 pep chromosome:Prunus_persica_NCBIv2:G7:8659981:8669441:1 gene:PRUPE_7G049800 transcript:ONH95067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLTSSVDALLMNVYTNTLLKCERFEVSHFHDRSVHLFTNKTWRSNNVPAFRGTSHLNRRGYRSTKISSQVMEQMQSNVFVKEYSEDLPVTSSYESAMEALSSLITRKKRGERSSVGGKYGKLERMSIYLKILGLEEKIGGLKIIHVAGTKGKGSTCTFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDITEDRFLLYFWNCWNQLEEMVTEDLPMPPLFQFLTLLALKIFVCEQIKEPIVCGITSLGMDHVEALGNTLGQIASHKAGIFKPHIPAFVVAQLSEAMDVIQEVARGLAVPLEVVAPLDCEKMDGLKLSLSGDHQFINAGLAVSLSKCWLQRTGNWEKLFGKQDNLESNLPGAFRRGLSTAHLSGRAQIVYDTSLKSCNSSKVSESSCGDLIFYLDGAHSPESMEVCGRWFSSAVKGKQKASSCFTVENMVQVWGNGHLQYGTEKRDKSYKISKQILLFNCMEVRDPQVLLPKLVSTCASSGTHFSKALFVPSMSTYDRVTSAASVIPADNFSRDLSWQFSLQRHWEKMIHGKDTEVDTVIDTEPKMDAAKFLPYEFLYEDASHCSPVENHFACSAVIPSLPLAIDWLRDCVKRNPSVRIQVLVTGSLHLVGDVLKLLKR >ONH95068 pep chromosome:Prunus_persica_NCBIv2:G7:8659981:8669441:1 gene:PRUPE_7G049800 transcript:ONH95068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLTSSVDALLMNVYTNTLLKCERFEVSHFHDRSVHLFTNKTWRSNNVPAFRGTSHLNRRGYRSTKISSQVMEQMQSNVFVKEYSEDLPVTSSYESAMEALSSLITRKKRGERSSVGGKYGKLERMSIYLKILGLEEKIGGLKIIHVAGTKGKGSTCTFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDITEDRFLLYFWNCWNQLEVDVAIIEVGLGGKTDSTNVIKEPIVCGITSLGMDHVEALGNTLGQIASHKAGIFKPHIPAFVVAQLSEAMDVIQEVARGLAVPLEVVAPLDCEKMDGLKLSLSGDHQFINAGLAVSLSKCWLQRTGNWEKLFGKQDNLESNLPGAFRRGLSTAHLSGRAQIVYDTSLKSCNSSKVSESSCGDLIFYLDGAHSPESMEVCGRWFSSAVKGKQKASSCFTVENMVQVWGNGHLQYGTEKRDKSYKISKQILLFNCMEVRDPQVLLPKLVSTCASSGTHFSKALFVPSMSTYDRVTSAASVIPADNFSRDLSWQFSLQRHWEKMIHGKDTEVDTVIDTEPKMDAAKFLPYEFLYEDASHCSPVENHFACSAVIPSLPLAIDWLRDCVKRNPSVRIQVLVTGSLHLVGDVLKLLKR >ONH95066 pep chromosome:Prunus_persica_NCBIv2:G7:8659981:8669441:1 gene:PRUPE_7G049800 transcript:ONH95066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLTSSVDALLMNVYTNTLLKCERFEVSHFHDRSVHLFTNKTWRSNNVPAFRGTSHLNRRGYRSTKISSQVMEQMQSNVFVKEYSEDLPVTSSYESAMEALSSLITRKKRGERSSVGGKYGKLERMSIYLKILGLEEKIGGLKIIHVAGTKGKGSTCTFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDITEDRFLLYFWNCWNQLEEMVTEDLPMPPLFQFLTLLALKIFVCEQVDVAIIEVGLGGKTDSTNVIKEPIVCGITSLGMDHVEALGNTLGQIASHKAGIFKPHIPAFVVAQLSEAMDVIQEVARGLAVPLEVVAPLDCEKMDGLKLSLSGDHQFINAGLAVSLSKCWLQRTGNWEKLFGKQDNLESNLPGAFRRGLSTAHLSGRAQIVYDTSLKSCNSSKVSESSCGDLIFYLDGAHSPESMEVCGRWFSSAVKGKQKASSCFTVENMVQVWGNGHLQYGTEKRDKSYKISKQILLFNCMEVRDPQVLLPKLVSTCASSGTHFSKALFVPSMSTYDRVTSAASVIPADNFSRDLSWQFSLQRHWEKMIHGKDTEVDTVIDTEPKMDAAKFLPYEFLYEDASHCSPVENHFACSAVIPSLPLAIDWLRDCVKRNPSVRIQVLVTGSLHLVGDVLKLLKR >ONH95970 pep chromosome:Prunus_persica_NCBIv2:G7:13010280:13013659:-1 gene:PRUPE_7G099100 transcript:ONH95970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPWVLVCLLLLIVFTSQFEWKQYGNDEPSPSTSKKHQYISEREEAVKEKIILSQEKNIQKLSELVRSLREQLLQCRGENEIVNGTAAPLTELLSELERHPLLED >ONH94534 pep chromosome:Prunus_persica_NCBIv2:G7:3235334:3238215:-1 gene:PRUPE_7G021400 transcript:ONH94534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKNSGPPKPRTLITNLFPRTRAVCKSPQADSLETRSLGPNCHSKAPRLAPLLCLSPRCRNSSRRRNPEAEMLRGVFRCTERTIGPRRRAPRRQG >ONH97027 pep chromosome:Prunus_persica_NCBIv2:G7:16965221:16967781:1 gene:PRUPE_7G165300 transcript:ONH97027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVSGLTGSPSRCCFQIPVRSSGSLCGRVSNFPHSWKPAGKNELLRGERSYWTGFTQRLNARKTHLLKFAMDASYGDIPNEPTAIFPRINVRDPYKRLGISREASEDEIQGARNFLIRQYAGHKPSVDAIESAHDKIIMQQFYDRKNPKIDFKKKVREVNQSRIVQEVRNRFRTPSTKFIIKTSIAFVVLGALTVLFPTEEGPTLQVAISLLATIYFVYDRLKSKLRAVLYSAGAFVFSWLVGTFLMVSVIPPILKGPRSFEVTTSLITYVLLWVSSTYLK >ONH97026 pep chromosome:Prunus_persica_NCBIv2:G7:16965089:16967803:1 gene:PRUPE_7G165300 transcript:ONH97026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVSGLTGSPSRCCFQIPVRSSGSLCGRVSNFPHSWKPAGKNELLRGERSYWTGFTQRLNARKTHLLKFAMDASYGDIPNEPTGKASYGDIPNEPTAIFPRINVRDPYKRLGISREASEDEIQGARNFLIRQYAGHKPSVDAIESAHDKIIMQQFYDRKNPKIDFKKKVREVNQSRIVQEVRNRFRTPSTKFIIKTSIAFVVLGALTVLFPTEEGPTLQVAISLLATIYFVYDRLKSKLRAVLYSAGAFVFSWLVGTFLMVSVIPPILKGPRSFEVTTSLITYVLLWVSSTYLK >ONH95567 pep chromosome:Prunus_persica_NCBIv2:G7:11397761:11398559:-1 gene:PRUPE_7G077900 transcript:ONH95567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFLKFLKLSYGNWCLVMLLAHSQYSYLQATCSKISVRTCAQLPTKRVHLLHLHQLTLFTRAPLNSLFEYDACWTCSWACPIVLAASTLTLM >ONH96449 pep chromosome:Prunus_persica_NCBIv2:G7:14990778:14991158:-1 gene:PRUPE_7G130000 transcript:ONH96449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGEVLERGLGFMAWEMNNMVALQTEEKLRSFLECWVQEPKLLTEDNMAANALVTSSSPRFNVNGNDFGWERPVGVRNGVGNKSHGKITVFAGVEEGSIDIEACLLAETLEAMGNDSEFMDVDTV >ONH98061 pep chromosome:Prunus_persica_NCBIv2:G7:20041484:20043763:1 gene:PRUPE_7G226200 transcript:ONH98061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSEQSTSTQLVTSKRGGLRTMPCIISNEALERVASIGLHANMILYLLYEYNMDAATGASILFLWSAISNFTPIVGAFLSDSHLGRFCTIAWGSVVSLLGTTMLWSTAIIPHARPVHCNNPLKEKCVSANTAQLMLLLASFGLQSIGSGAIKPCSMAFGADQMDKPDNPKNERVLQSFFNWYYASVGVFVMFSVTAIIYVQTQFGWVVGFGIPVGLMFLSALLFFLGSSLYVKVPPNKNLSAGLVQVIAAAWKNRHLALPVSPKNFDAWHCIKSSKFATPTDNLRCLNKACMIRSPEQDIASDGLANDPWSLCTVRQVQELKALIRILPIWSTGIIIAMTTTQHSFAVLQANAMDRHILGKIQIPAASLSTFAVLTLVIWVAIYDRILVPLVAKYTKRPRGFTFKQRIGAGLVISCLATAVAAEVARQRRKIAIEAGFLSNPGGIVSMSAMWLVLQYCLSGLSEALNSIGQLEFYYSQFPKSMSSIAMALLSFGGAFGSLLGSLVVNIVDDVTKKDGVSWVSKNLNKGHYDYYYWLLTVLNVVNVFYFLLCGWLYGPCEDKNNWDEEEEGMKEMEEMEKLSIKSGEYAVVYSSA >ONH98877 pep chromosome:Prunus_persica_NCBIv2:G7:22173901:22176260:1 gene:PRUPE_7G270200 transcript:ONH98877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCSVLNSNGNSRSKFESGSVVEDIVRVVGNAGQPTELKSCGIDENFTPLSKIVTGLGLDSKTVKDEDLQQNSSKSDSRTPPVQASVSPEIQCGSSAVSTATRACYATGHVLSGITDKRKCRPRGILNVEENDSGFSRGKALGSFEDDDDDGDDDETGKGVFGNFDAPMVPLPTEASMHWLLSPCNEEDEDHKEHSEKSFQNSVESVNLYSPFSISGHHGFSLDICNNTNVGSTTNCSRRSTSIFPSYQEVLEPLNTDPVLSSPPCTPGCEALTLKDERKYRYDYGGDNSPFSMVSLGSENVIRTPLSHSSMDNQRKHYFDSELSSVAEAIRMASLSPNSNVLVEDQIDSSFQFDCLTTTCNSISRFQKVLDDRASWLSNSTPENVSQSEMRISWREGLVSRIYDMDEYDCCRCLSDEEEDINGCSSDRLKKTCQSPELNINVGKDQILSYNSRSGELLDDEAGAGKVEGGFPSQSSCVCAESISTDGGGLLASGDSDWTLCYKNELFHV >ONH98876 pep chromosome:Prunus_persica_NCBIv2:G7:22172956:22175970:1 gene:PRUPE_7G270200 transcript:ONH98876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLGLFNTRIDISSIASKFERVRPSYDMNRSLSSKLAKKPSPAPSPSPAPVTANKKKKKKEQSPRNPLQDLNTSSTISNSNNGSEASSSISVEAPRGCLRFFLSHSSSSSNSKTPICRPKTLSKTPKSAPVVKPSRPSKSKDNRSKCNALENPEKLTSRNAHKFKNNSSCLYQWQSGNKPSSRNGQKMKTCSVLNSNGNSRSKFESGSVVEDIVRVVGNAGQPTELKSCGIDENFTPLSKIVTGLGLDSKTVKDEDLQQNSSKSDSRTPPVQASVSPEIQCGSSAVSTATRACYATGHVLSGITDKRKCRPRGILNVEENDSGFSRGKALGSFEDDDDDGDDDETGKGVFGNFDAPMVPLPTEASMHWLLSPCNEEDEDHKEHSEKSFQNSVESVNLYSPFSISGHHGFSLDICNNTNVGSTTNCSRRSTSIFPSYQEVLEPLNTDPVLSSPPCTPGCEALTLKDERKYRYDYGGDNSPFSMVSLGSENVIRTPLSHSSMDNQRKHYFDSELSSVAEAIRMASLSPNSNVLVEDQIDSSFQFDCLTTTCNSISRFQKVLDDRASWLSNSTPENVSQSEMRISWREGLVSRIYDMDEYDCCRCLSDEEEDINGCSSGFPSQSSCVCAESISTDGGGLLASGDSDWTLCYKNELFHV >ONH94479 pep chromosome:Prunus_persica_NCBIv2:G7:2745028:2751078:-1 gene:PRUPE_7G018500 transcript:ONH94479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPEELWRRILEIGVQRRGVSYKDLCCLSISSRRLHRLCDEDFLWSHLLSSDFPPFFSPSSSSITSAHSSSCKYLYKLRYERDRDKKIAAHRRAVLRKESQVLERFMRLRDMETRLAEETNKMRATLAELSNLSNVRQASVALNVWQPEIIRGRQKQIVEQCVVPVESRFHVLHMELKLCKQQILGLEKAHMRNEGLLWWKKSCNQ >ONH94475 pep chromosome:Prunus_persica_NCBIv2:G7:2742558:2751266:-1 gene:PRUPE_7G018500 transcript:ONH94475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPEELWRRILEIGVQRRGVSYKDLCCLSISSRRLHRLCDEDFLWSHLLSSDFPPFFSPSSSSITSAHSSSCKYLYKLRYERDRDKKIAAHRRAVLRKESQVLERFMRLRDMETRLAEETNKMRATLAELSNLSNVRQASVALNVWQPEIIRGRQKQIVEQCVVPVESRFHVLHMELKLCKQQILGLEKAHIDEKRRLALVEEELQSMRYHPLRDQKPINSGEVEFNIKRKKLKKCEGREP >ONH94478 pep chromosome:Prunus_persica_NCBIv2:G7:2743862:2751078:-1 gene:PRUPE_7G018500 transcript:ONH94478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPEELWRRILEIGVQRRGVSYKDLCCLSISSRRLHRLCDEDFLWSHLLSSDFPPFFSPSSSSITSAHSSSCKYLYKLRYERDRDKKIAAHRRAVLRKESQVLERFMRLRDMETRLAEETNKMRATLAELSNLSNVRQASVALNVWQPEIIRGRQKQIVEQCVVPVESRFHVLHMELKLCKQQILGLEKAHIDEKRRLALVEEELQSMRYHPLRDQKPINSGEVEFNIKRKKLKKCEVHCPGREP >ONH94473 pep chromosome:Prunus_persica_NCBIv2:G7:2742546:2751266:-1 gene:PRUPE_7G018500 transcript:ONH94473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPEELWRRILEIGVQRRGVSYKDLCCLSISSRRLHRLCDEDFLWSHLLSSDFPPFFSPSSSSITSAHSSSCKYLYKLRYERDRDKKIAAHRRAVLRKESQVLERFMRLRDMETRLAEETNKMRATLAELSNLSNVRQASVALNVWQPEIIRGRQKQIVEQCVVPVESRFHVLHMELKLCKQQILGLEKAHFIVQGGNLRWEISLIN >ONH94480 pep chromosome:Prunus_persica_NCBIv2:G7:2742558:2751266:-1 gene:PRUPE_7G018500 transcript:ONH94480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPEELWRRILEIGVQRRGVSYKDLCCLSISSRRLHRLCDEDFLWSHLLSSDFPPFFSPSSSSITSAHSSSCKYLYKLRYERDRDKKIAAHRRAVLRKESQVLERFMRLRDMETRLAEETNKMRATLAELSNLSNVRQASVALNVWQPEIIRGRQKQIVEQCVVPVESRFHVLHMELKLCKQQILGLEKAHMRNEGLLWWKKSCNQ >ONH94474 pep chromosome:Prunus_persica_NCBIv2:G7:2742545:2751266:-1 gene:PRUPE_7G018500 transcript:ONH94474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPEELWRRILEIGVQRRGVSYKDLCCLSISSRRLHRLCDEDFLWSHLLSSDFPPFFSPSSSSITSAHSSSCKYLYKLRYERDRDKKIAAHRRAVLRKESQVLERFMRLRDMETRLAEETNKMRATLAELSNLSNVSSLSREGTLDGRFP >ONH94476 pep chromosome:Prunus_persica_NCBIv2:G7:2743862:2751078:-1 gene:PRUPE_7G018500 transcript:ONH94476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPEELWRRILEIGVQRRGVSYKDLCCLSISSRRLHRLCDEDFLWSHLLSSDFPPFFSPSSSSITSAHSSSCKYLYKLRYERDRDKKIAAHRRAVLRKESQVLERFMRLRDMETRLAEETNKMRATLAELSNLSNVRQASVALNVWQPEIIRGRQKQIVEQCVVPVESRFHVLHMELKLCKQQILGLEKAHIDEKRRLALVEEELQSMRYHPLRDQKPINSGEVEFNIKRKKLKKCEGREP >ONH94481 pep chromosome:Prunus_persica_NCBIv2:G7:2745147:2751266:-1 gene:PRUPE_7G018500 transcript:ONH94481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPEELWRRILEIGVQRRGVSYKDLCCLSISSRRLHRLCDEDFLWSHLLSSDFPPFFSPSSSSITSAHSSSCKYLYKLRYERDRDKKIAAHRRAVLRKESQVLERFMRLRDMETRLAEETNKMRATLAELSNLSNVRQASVALNVWQPEIIRGRQKQIVEQCVVPVESRFHVLHMELKLCKQQILGLEKAHVSPIILLFMVIDLSSTRMIGFTLTHVNK >ONH94477 pep chromosome:Prunus_persica_NCBIv2:G7:2742558:2751266:-1 gene:PRUPE_7G018500 transcript:ONH94477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPEELWRRILEIGVQRRGVSYKDLCCLSISSRRLHRLCDEDFLWSHLLSSDFPPFFSPSSSSITSAHSSSCKYLYKLRYERDRDKKIAAHRRAVLRKESQVLERFMRLRDMETRLAEETNKMRATLAELSNLSNVRQASVALNVWQPEIIRGRQKQIVEQCVVPVESRFHVLHMELKLCKQQILGLEKAHIDEKRRLALVEEELQSMRYHPLRDQKPINSGEVEFNIKRKKLKKCEVHCPGREP >ONH96904 pep chromosome:Prunus_persica_NCBIv2:G7:16611867:16614740:1 gene:PRUPE_7G158600 transcript:ONH96904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDHKPLRVFCIGTADTKLDELRFLADSVRSHLNAFSNTSSLKVQVSVVDVSASQSETDNKTTDFAFVSRKEVLFHYSEASGQLRELPEDRGEAVAVMSKALEKFLAKSQNDGVLAGVIGLGGSGGTALISPALRSLPIGMPKLIVSTVASGRTDHYVGESDLVLYPSIVDVCGINSVSRVVLNNAAAAFCGMVIGRAERGGDSCGGPEKSTVGLTMFGVTTQCVNAVKERLVKEGYETLVFHATGVGGRAMESLVSDGFIKGVLDITTTEVADYVVGGVMACDTSRFDAIIEKRIPLVLSVGALDMVNFGAKDTVPSSFQHRKIHEHNKQVSVMRTTVDENKKFASFIADKLNKSSSKVVVCLPQKGISALDAPGQPFYDPEATASLINEMQRLIQTNEDRQVKVYPHHINDHEFANALVDSFLEISTKSSIRSPPSQVSIPESNQQTYESSVSKMNLSSSGAILRNLIDFPDARPETLQRTWAVLQQLKDQINRGIPIIGAGAGTGISAKFEEAGGVDLIVVYNSGRFRMAGRGSLAGLLPFADANAVVLDMANEVLPVSFNGFVF >ONH96903 pep chromosome:Prunus_persica_NCBIv2:G7:16611265:16616020:1 gene:PRUPE_7G158600 transcript:ONH96903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDHKPLRVFCIGTADTKLDELRFLADSVRSHLNAFSNTSSLKVQVSVVDVSASQSETDNKTTDFAFVSRKEVLFHYSEASGQLRELPEDRGEAVAVMSKALEKFLAKSQNDGVLAGVIGLGGSGGTALISPALRSLPIGMPKLIVSTVASGRTDHYVGESDLVLYPSIVDVCGINSVSRVVLNNAAAAFCGMVIGRAERGGDSCGGPEKSTVGLTMFGVTTQCVNAVKERLVKEGYETLVFHATGVGGRAMESLVSDGFIKGVLDITTTEVADYVVGGVMACDTSRFDAIIEKRIPLVLSVGALDMVNFGAKDTVPSSFQHRKIHEHNKQVSVMRTTVDENKKFASFIADKLNKSSSKVVVCLPQKGISALDAPGQPFYDPEATASLINEMQRLIQTNEDRQVKVYPHHINDHEFANALVDSFLEISTKSSIRSPPSQVSIPESNQQTYESSVSKMNLSSSGAILRNLIDFPDARPETLQRTWAVLQQLKDQINRGIPIIGAGAGTGISAKFEEAGGVDLIVVYNSGRFRMAGRGSLAGLLPFADANAVVLDMANEVLPVVKKVPVLAGVCGTDPFRRMDFFLRQLESIGFCGVQNFPTVGLFDGNFRQNLEETGMGYRLEVEMIERAHKMGLLTTPYAFNQDEAVQMASGGADIIVAHMGLTTSGSIGAKTAVSIEESVVRVQNIADAAHRINPNVIVLCHGGPISGPREAEFILKNTKGVHGFYGASSVERLPVEQAITSTVQQYKSISID >ONH96361 pep chromosome:Prunus_persica_NCBIv2:G7:14581219:14586758:1 gene:PRUPE_7G123500 transcript:ONH96361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSLSFSSFSPLPTPSSLKRTTQFHSVSVKASIDKEPTSTSKPTTTSTTTNSKPSSWVSPNWLTSLTRTLTISKNDDSGIPIASAQLEDVSELLGGALFLPLFKWMNQYGPIYRLAAGPRNFVVVSDPAIAKHVLRNYGKYAKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHKKYLSVIVDRVFCKCAERLVEKLQSDALNGTAVNMEDRFSQLTLDVIGLSLFNYNFDSLNAYSPVIDAVYTALKEAELRSTDLLPYWKVSAFRKIIPRQIKAEKAVSVIRSSVEELIAKCKEIVEAEGERIDEEEYINETDPSILRFLLASREEVSSKQLRDDLLSMLVAGHETTGSVLTWTLYLLSKNSHSLVKAQEEVDTVLQGRRPSYDDIKNLKFLTRCIMESLRLFPHPPVLIRRAQVADVLPGNYKVNAGQDIMISVYNIHHSSEVWERAEEFLPERFDLEGSVPNETNTDFRFIPFSGGPRKCVGDQFALLEAIVALVIFIQHLNFELVPDQNISMTTGATIHTTNGLFMKLSQRQAKPAFATSSSSTS >ONH96217 pep chromosome:Prunus_persica_NCBIv2:G7:13958790:13962119:1 gene:PRUPE_7G114000 transcript:ONH96217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITQTLLLLPTLCLFFLLSGQQGLLVSAAHHLHRHHHTHRHLFDWQPTKLFVFGDSYSDTGNNRKSVASSWKYPYGITFPGKPSGRFSDGRVLTDFLAKFIGVKSPLPYRFRKIGVQHLKHGVNFAHGGTGVFKTLVLDPNMTTQIDFFQNLIKNDSVFTPKDLHFSVALVTVSGNDYATYVATNGSVQGWQPFITSVVNQLTVNLKRIYDLGVNKIVVIALEPLGCLPRSTAEFSFQKCNGTANALVGFHNLLLQQAVAKLNNETNSSSFLILDLYTSFTSVFKNKGDLGSIKFENPLRPCCVGVSSGYYCGSLDANGAKKYTICQNPESTFFWDDSHPTQQGWRAVYSTLRSTLEELYTN >ONH96219 pep chromosome:Prunus_persica_NCBIv2:G7:13960230:13962119:1 gene:PRUPE_7G114000 transcript:ONH96219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYPSSKFIGVKSPLPYRFRKIGVQHLKHGVNFAHGGTGVFKTLVLDPNMTTQIDFFQNLIKNDSVFTPKDLHFSVALVTVSGNDYATYVATNGSVQGWQPFITSVVNQLTVNLKRIYDLGVNKIVVIALEPLGCLPRSTAEFSFQKCNGTANALVGFHNLLLQQAVAKLNNETNSSSFLILDLYTSFTSVFKNKGDLGSIKFENPLRPCCVGVSSGYYCGSLDANGAKKYTICQNPESTFFWDDSHPTQQGWRAVYSTLRSTLEELYTN >ONH96218 pep chromosome:Prunus_persica_NCBIv2:G7:13959663:13962119:1 gene:PRUPE_7G114000 transcript:ONH96218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSIVCLSRDKLSAKFIGVKSPLPYRFRKIGVQHLKHGVNFAHGGTGVFKTLVLDPNMTTQIDFFQNLIKNDSVFTPKDLHFSVALVTVSGNDYATYVATNGSVQGWQPFITSVVNQLTVNLKRIYDLGVNKIVVIALEPLGCLPRSTAEFSFQKCNGTANALVGFHNLLLQQAVAKLNNETNSSSFLILDLYTSFTSVFKNKGDLGSIKFENPLRPCCVGVSSGYYCGSLDANGAKKYTICQNPESTFFWDDSHPTQQGWRAVYSTLRSTLEELYTN >ONH95324 pep chromosome:Prunus_persica_NCBIv2:G7:10268222:10269431:1 gene:PRUPE_7G064100 transcript:ONH95324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFMFLDWTRRLLSSSTCVVHRMRAGRCFVLFRYGQSLSHHKLELKLTHVEIGTTI >ONH95643 pep chromosome:Prunus_persica_NCBIv2:G7:11772581:11773037:1 gene:PRUPE_7G082500 transcript:ONH95643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIFLKIYLFKGNNPKKLQDQPSKACMLRYKYIGIKNIIHMWKYILYITKEQNKTQTPSKREPLQQTP >ONH96195 pep chromosome:Prunus_persica_NCBIv2:G7:13870593:13873684:-1 gene:PRUPE_7G112400 transcript:ONH96195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVNRKISAASARAHTRRAKQNSSFQLPPGIFTKALVALFIGFSAWTYQAIQPPPSKIIGSPDGPPVTAPFIKLSDGRRLAYKEHGVPKENAKHKIVFVHGFDSCRHDAVVAETLSPETVEDLGIYIVSFDRPGYGESDPNPKRTVKGMASDIEELADQLGLGHRFYVIGFSMGGQVLWSCLKYIPHRLAGAAILAPVVNYWWAGFPANLSTEAYSQQLQQDQWALRVSHYTPWLTYFWNTQKWFPASSVVAHSRDILSDQDKELMAKLEKRGTYVIEFETLRMWAEVLFGYPYR >ONH96194 pep chromosome:Prunus_persica_NCBIv2:G7:13870523:13873684:-1 gene:PRUPE_7G112400 transcript:ONH96194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVNRKISAASARAHTRRAKQNSSFQLPPGIFTKALVALFIGFSAWTYQAIQPPPSKIIGSPDGPPVTAPFIKLSDGRRLAYKEHGVPKENAKHKIVFVHGFDSCRHDAVVAETLSPETVEDLGIYIVSFDRPGYGESDPNPKRTVKGMASDIEELADQLGLGHRFYVIGFSMGGQVLWSCLKYIPHRLAGAAILAPVVNYWWAGFPANLSTEAYSQQLQQDQWALRVSHYTPWLTYFWNTQKWFPASSVVAHSRDILSDQDKELMAKLEKRGTYVRYYLVTHTGETN >ONH96192 pep chromosome:Prunus_persica_NCBIv2:G7:13869110:13873684:-1 gene:PRUPE_7G112400 transcript:ONH96192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVNRKISAASARAHTRRAKQNSSFQLPPGIFTKALVALFIGFSAWTYQAIQPPPSKIIGSPDGPPVTAPFIKLSDGRRLAYKEHGVPKENAKHKIVFVHGFDSCRHDAVVAETLSPETVEDLGIYIVSFDRPGYGESDPNPKRTVKGMASDIEELADQLGLGHRFYVIGFSMGGQVLWSCLKYIPHRLAGAAILAPVVNYWWAGFPANLSTEAYSQQLQQDQWALRVSHYTPWLTYFWNTQKWFPASSVVAHSRDILSDQDKELMAKLEKRGTYVEQVRQQGEFESLHRDMIVGFGTWEFTPLDLENPFPNNEGSVHLWHGADDHLVPVKPQRYIAQRLPWIHYHELPGAGHLFPHADGMCDNIVKALLTEG >ONH98241 pep chromosome:Prunus_persica_NCBIv2:G7:20572882:20575844:1 gene:PRUPE_7G237800 transcript:ONH98241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDTNLHFVESPALAPPEVHIDLAAQQQHEAELAQAANQPLPDDDDDAFE >ONH98161 pep chromosome:Prunus_persica_NCBIv2:G7:20346779:20351143:-1 gene:PRUPE_7G233100 transcript:ONH98161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDGLRSAVYRSFVSCDDPKGVVDCGMIRKSKSGSQKIEQKMENRRKSKNSSTSLDIKTGKHEMIPEKCTGEFQNPSFQLMEISRGAQKLNHTIDSWSSGKRFDGQPKDVAKDLLKGALDLQESLAMLGKLQEASQYMAHLNKKHTEKSERGRNNGVETHRAYSNHYGDHNYVTEFQKPRLSADGSSRSCTEELKKVIRDSLVKQKLVEDTTFVEKPYTFFPQRYMDSCSDSPSTSSSQSSMFHTTSDSTIASAPPQKAKGGPNLIAKLMGIEEYPLQATLKKQLEEGEKISSQQRTMFDIDRPKVRKPQTLAQNVDHERRTLREVLETMRFKGLLKGNYVQEHKPDFHHSHNSDSEKRFTYDSPPIVLIRPLPDPSLELEKNHAPLAQAEEAFYTRKMPKKMGKEEEFCPKTIHYKEGALKSDKTHRKVEAESKRVNHEERAKNHKVAVEKPEEKEVKTKEKASRKLKASHPVDHKPQKKEAIDKKVDRIQKVTAVRNSPEKDVVKAKNVPKSQEQDKLTSTKVRKHESGSNIIKNQTSRQPNTMTNTISKRSTQTVVSNPTERKRNHLKKEKPVKEPIVAKSVTKNVVSEESDKRIDMDDKSDASPISSNITKEEETDTYGSQTEGHCTNSQSSLYDATPPSPEQELDAKTAEEASEHISQSARDGQTLESGENLGDFLLNNPSFLSLAEELFDLKVNSSTILLTSSIHSFGESGRRLFMDCASELIKCRSVQDSKTVDLLLLTCQGKSRICISLDKLVQEVCNGIENLIKYSKLAGENLLADSLHAMLERDIMCRGVVNGTWDLGWRSGFSRDEAEQVVDDIEELVLDGLIEEVFA >ONH98156 pep chromosome:Prunus_persica_NCBIv2:G7:20346744:20351374:-1 gene:PRUPE_7G233100 transcript:ONH98156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNKSLRIQNMPQDGLRSAVYRSFVSCDDPKGVVDCGMIRKSKSGSQKIEQKMENRRKSKNSSTSLDIKTGKHEMIPEKCTGEFQNPSFQLMEISRGAQKLNHTIDSWSSGKRFDGQPKDVAKDLLKGALDLQESLAMLGKLQEASQYMAHLNKKHTEKSERGRNNGVETHRAYSNHYGDHNYVTEFQKPRLSADGSSRSCTEELKKVIRDSLVKQKLVEDTTFVEKPYTFFPQRYMDSCSDSPSTSSSQSSMFHTTSDSTIASAPPQKAKGGPNLIAKLMGIEEYPLQATLKKQLEEGEKISSQQRTMFDIDRPKVRKPQTLAQNVDHERRTLREVLETMRFKGLLKGNYVQEHKPDFHHSHNSDSEKRFTYDSPPIVLIRPLPDPSLELEKNHAPLAQAEEAFYTRKMPKKMGKEEEFCPKTIHYKEGALKSDKTHRKVEAESKRVNHEERAKNHKVAVEKPEEKEVKTKEKASRKLKASHPVDHKPQKKEAIDKKVDRIQKVTAVRNSPEKDVVKAKNVPKSQEQDKLTSTKVRKHESGSNIIKNQTSRQPNTMTNTISKRSTQTVVSNPTERKRNHLKKEKPVKEPIVAKSVTKNVVSEESDKRIDMDDKSDASPISSNITKEEETDTYGSQTEGHCTNSQSSLYDATPPSPEQELDAKTAEEASEHISQSARDGQTLESGENLGDFLLNNPSFLSLAEELFDLKVNSSTILLTSSIHSFGESGRRLFMDCASELIKCRSVQDSKTVDLLLLTCQGKSRICISLDKLVQEVCNGIENLIKYSKLAGENLLADSLHAMLERDIMCRGVVNGTWDLGWRSGFSRDEAEQVVDDIEELVLDGLIEEVFA >ONH98160 pep chromosome:Prunus_persica_NCBIv2:G7:20346769:20350451:-1 gene:PRUPE_7G233100 transcript:ONH98160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDGLRSAVYRSFVSCDDPKGVVDCGMIRKSKSGSQKIEQKMENRRKSKNSSTSLDIKTGKHEMIPEKCTGEFQNPSFQLMEISRGAQKLNHTIDSWSSGKRFDGQPKDVAKDLLKGALDLQESLAMLGKLQEASQYMAHLNKKHTEKSERGRNNGVETHRAYSNHYGDHNYVTEFQKPRLSADGSSRSCTEELKKVIRDSLVKQKLVEDTTFVEKPYTFFPQRYMDSCSDSPSTSSSQSSMFHTTSDSTIASAPPQKAKGGPNLIAKLMGIEEYPLQATLKKQLEEGEKISSQQRTMFDIDRPKVRKPQTLAQNVDHERRTLREVLETMRFKGLLKGNYVQEHKPDFHHSHNSDSEKRFTYDSPPIVLIRPLPDPSLELEKNHAPLAQAEEAFYTRKMPKKMGKEEEFCPKTIHYKEGALKSDKTHRKVEAESKRVNHEERAKNHKVAVEKPEEKEVKTKEKASRKLKASHPVDHKPQKKEAIDKKVDRIQKVTAVRNSPEKDVVKAKNVPKSQEQDKLTSTKVRKHESGSNIIKNQTSRQPNTMTNTISKRSTQTVVSNPTERKRNHLKKEKPVKEPIVAKSVTKNVVSEESDKRIDMDDKSDASPISSNITKEEETDTYGSQTEGHCTNSQSSLYDATPPSPEQELDAKTAEEASEHISQSARDGQTLESGENLGDFLLNNPSFLSLAEELFDLKVNSSTILLTSSIHSFGESGRRLFMDCASELIKCRSVQDSKTVDLLLLTCQGKSRICISLDKLVQEVCNGIENLIKYSKLAGENLLADSLHAMLERDIMCRGVVNGTWDLGWRSGFSRDEAEQVVDDIEELVLDGLIEEVFA >ONH98158 pep chromosome:Prunus_persica_NCBIv2:G7:20346722:20351360:-1 gene:PRUPE_7G233100 transcript:ONH98158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDGLRSAVYRSFVSCDDPKGVVDCGMIRKSKSGSQKIEQKMENRRKSKNSSTSLDIKTGKHEMIPEKCTGEFQNPSFQLMEISRGAQKLNHTIDSWSSGKRFDGQPKDVAKDLLKGALDLQESLAMLGKLQEASQYMAHLNKKHTEKSERGRNNGVETHRAYSNHYGDHNYVTEFQKPRLSADGSSRSCTEELKKVIRDSLVKQKLVEDTTFVEKPYTFFPQRYMDSCSDSPSTSSSQSSMFHTTSDSTIASAPPQKAKGGPNLIAKLMGIEEYPLQATLKKQLEEGEKISSQQRTMFDIDRPKVRKPQTLAQNVDHERRTLREVLETMRFKGLLKGNYVQEHKPDFHHSHNSDSEKRFTYDSPPIVLIRPLPDPSLELEKNHAPLAQAEEAFYTRKMPKKMGKEEEFCPKTIHYKEGALKSDKTHRKVEAESKRVNHEERAKNHKVAVEKPEEKEVKTKEKASRKLKASHPVDHKPQKKEAIDKKVDRIQKVTAVRNSPEKDVVKAKNVPKSQEQDKLTSTKVRKHESGSNIIKNQTSRQPNTMTNTISKRSTQTVVSNPTERKRNHLKKEKPVKEPIVAKSVTKNVVSEESDKRIDMDDKSDASPISSNITKEEETDTYGSQTEGHCTNSQSSLYDATPPSPEQELDAKTAEEASEHISQSARDGQTLESGENLGDFLLNNPSFLSLAEELFDLKVNSSTILLTSSIHSFGESGRRLFMDCASELIKCRSVQDSKTVDLLLLTCQGKSRICISLDKLVQEVCNGIENLIKYSKLAGENLLADSLHAMLERDIMCRGVVNGTWDLGWRSGFSRDEAEQVVDDIEELVLDGLIEEVFA >ONH98159 pep chromosome:Prunus_persica_NCBIv2:G7:20346725:20351289:-1 gene:PRUPE_7G233100 transcript:ONH98159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDGLRSAVYRSFVSCDDPKGVVDCGMIRKSKSGSQKIEQKMENRRKSKNSSTSLDIKTGKHEMIPEKCTGEFQNPSFQLMEISRGAQKLNHTIDSWSSGKRFDGQPKDVAKDLLKGALDLQESLAMLGKLQEASQYMAHLNKKHTEKSERGRNNGVETHRAYSNHYGDHNYVTEFQKPRLSADGSSRSCTEELKKVIRDSLVKQKLVEDTTFVEKPYTFFPQRYMDSCSDSPSTSSSQSSMFHTTSDSTIASAPPQKAKGGPNLIAKLMGIEEYPLQATLKKQLEEGEKISSQQRTMFDIDRPKVRKPQTLAQNVDHERRTLREVLETMRFKGLLKGNYVQEHKPDFHHSHNSDSEKRFTYDSPPIVLIRPLPDPSLELEKNHAPLAQAEEAFYTRKMPKKMGKEEEFCPKTIHYKEGALKSDKTHRKVEAESKRVNHEERAKNHKVAVEKPEEKEVKTKEKASRKLKASHPVDHKPQKKEAIDKKVDRIQKVTAVRNSPEKDVVKAKNVPKSQEQDKLTSTKVRKHESGSNIIKNQTSRQPNTMTNTISKRSTQTVVSNPTERKRNHLKKEKPVKEPIVAKSVTKNVVSEESDKRIDMDDKSDASPISSNITKEEETDTYGSQTEGHCTNSQSSLYDATPPSPEQELDAKTAEEASEHISQSARDGQTLESGENLGDFLLNNPSFLSLAEELFDLKVNSSTILLTSSIHSFGESGRRLFMDCASELIKCRSVQDSKTVDLLLLTCQGKSRICISLDKLVQEVCNGIENLIKYSKLAGENLLADSLHAMLERDIMCRGVVNGTWDLGWRSGFSRDEAEQVVDDIEELVLDGLIEEVFA >ONH98157 pep chromosome:Prunus_persica_NCBIv2:G7:20346688:20351184:-1 gene:PRUPE_7G233100 transcript:ONH98157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNKSLRIQNMPQDGLRSAVYRSFVSCDDPKGVVDCGMIRKSKSGSQKIEQKMENRRKSKNSSTSLDIKTGKHEMIPEKCTGEFQNPSFQLMEISRGAQKLNHTIDSWSSGKRFDGQPKDVAKDLLKGALDLQESLAMLGKLQEASQYMAHLNKKHTEKSERGRNNGVETHRAYSNHYGDHNYVTEFQKPRLSADGSSRSCTEELKKVIRDSLVKQKLVEDTTFVEKPYTFFPQRYMDSCSDSPSTSSSQSSMFHTTSDSTIASAPPQKAKGGPNLIAKLMGIEEYPLQATLKKQLEEGEKISSQQRTMFDIDRPKVRKPQTLAQNVDHERRTLREVLETMRFKGLLKGNYVQEHKPDFHHSHNSDSEKRFTYDSPPIVLIRPLPDPSLELEKNHAPLAQAEEAFYTRKMPKKMGKEEEFCPKTIHYKEGALKSDKTHRKVEAESKRVNHEERAKNHKVAVEKPEEKEVKTKEKASRKLKASHPVDHKPQKKEAIDKKVDRIQKVTAVRNSPEKDVVKAKNVPKSQEQDKLTSTKVRKHESGSNIIKNQTSRQPNTMTNTISKRSTQTVVSNPTERKRNHLKKEKPVKEPIVAKSVTKNVVSEESDKRIDMDDKSDASPISSNITKEEETDTYGSQTEGHCTNSQSSLYDATPPSPEQELDAKTAEEASEHISQSARDGQTLESGENLGDFLLNNPSFLSLAEELFDLKVNSSTILLTSSIHSFGESGRRLFMDCASELIKCRSVQDSKTVDLLLLTCQGKSRICISLDKLVQEVCNGIENLIKYSKLAGENLLADSLHAMLERDIMCRGVVNGTWDLGWRSGFSRDEAEQVVDDIEELVLDGLIEEVFA >ONH94200 pep chromosome:Prunus_persica_NCBIv2:G7:438122:441395:-1 gene:PRUPE_7G004100 transcript:ONH94200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVATNRNFKLAARLLGLDSKLERSLLIPFREIKVECTIPKDDGSLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCDPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQRFVIQGFGNVGSWAAQLIHESGGKIVAVCDISGAIKNSKGLDIPSLLNHVKEKRGVKGFNGGDPIDPTSILVEDCDILIPAALGGVINRENANDIKAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFLWDEEKVNNELRTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >ONH94204 pep chromosome:Prunus_persica_NCBIv2:G7:438122:443653:-1 gene:PRUPE_7G004100 transcript:ONH94204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVATNRNFKLAARLLGLDSKLERSLLIPFREIKVECTIPKDDGSLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCDPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQRFVIQGFGNVGSWAAQLIHESGGKIVAVCDISGAIKNSKGLDIPSLLNHVKEKRGVKGFNGGDPIDPTSILVEDCDILIPAALGGVINRENANDIKAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFLWDEEKVNNELRTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >ONH94202 pep chromosome:Prunus_persica_NCBIv2:G7:438122:443252:-1 gene:PRUPE_7G004100 transcript:ONH94202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVATNRNFKLAARLLGLDSKLERSLLIPFREIKVECTIPKDDGSLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCDPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQRFVIQGFGNVGSWAAQLIHESGGKIVAVCDISGAIKNSKGLDIPSLLNHVKEKRGVKGFNGGDPIDPTSILVEDCDILIPAALGGVINRENANDIKAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFLWDEEKVNNELRTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >ONH94203 pep chromosome:Prunus_persica_NCBIv2:G7:438122:443673:-1 gene:PRUPE_7G004100 transcript:ONH94203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVATNRNFKLAARLLGLDSKLERSLLIPFREIKVECTIPKDDGSLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCDPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQRFVIQGFGNVGSWAAQLIHESGGKIVAVCDISGAIKNSKGLDIPSLLNHVKEKRGVKGFNGGDPIDPTSILVEDCDILIPAALGGVINRENANDIKAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFLWDEEKVNNELRTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >ONH94201 pep chromosome:Prunus_persica_NCBIv2:G7:438122:443657:-1 gene:PRUPE_7G004100 transcript:ONH94201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALVATNRNFKLAARLLGLDSKLERSLLIPFREIKVECTIPKDDGSLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCDPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQRFVIQGFGNVGSWAAQLIHESGGKIVAVCDISGAIKNSKGLDIPSLLNHVKEKRGVKGFNGGDPIDPTSILVEDCDILIPAALGGVINRENANDIKAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFLWDEEKVNNELRTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >ONH97790 pep chromosome:Prunus_persica_NCBIv2:G7:19268482:19273625:1 gene:PRUPE_7G210600 transcript:ONH97790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNHCNSTAISIEDRNVEGESGNEGGAAKKGRSKWATIESAILFLPKWATIESAILFLPKWETVFAVSCTLAVFLDPVYPYIPVMKEGDNMCYYWDEILMWEFFGLRSALDLFYAMDIVIFWRQRNGNNAKAFRASSEKRETTVKGTILKLLPFLPRAYVALPFPQAIIIAGRYSSFNSSFLLMFFAPIQYILRVYRTYGLLKRCPDIETGIGRWLKAILDFLPFVLAAHLFGALWYNLSLQRELDCWTLACRDKEVGCDISETGYYFYCGTADEMATNLNTTHIIASCPLNPPDPTIFDFGIFLYGIQSNMTRSTDLPPKLFQCFWWGLRNLSSIGSNLPTSIYMVEICFTILVSISGIVLFLIYLNTRVQMSQQRSDQHKLLIRKKQMMDPDINAWLYKIGLYNKNLQMRIMKNVHQKLEENKEVDTENILSLLPIIHQRCIMCLLSWKSLKRVPMLENMNKNVLKEICEHLKIVKYSEDNYIVREGEPLDKMLFITQGTAWSYPTSATGSSAIKCLVEGDFYGEELLNWASKLNSFSEFPYSTRIVKAHTKVEAFAIKANSLNIVVSKFWWHFSKRLDHIEDSQLERWQSLAASSMQAKWRRRMQGRAMGVPGKKNISLKSILCCINVKNTYDLML >ONH98792 pep chromosome:Prunus_persica_NCBIv2:G7:21968207:21973202:-1 gene:PRUPE_7G266500 transcript:ONH98792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPSWAFVLTYFIILLNLHLGSVFATIPTDALAQQKLDKVLELPGQTFNLSFAHYAGYATVNEDSGRALFYWLVEAAEDPGSKPIVLWLNGGPGCSSIAYGEAEEVGPFHIKADGKTLYLNPYSWNQVANILFLDSPVGVGFSYSNTSSDLLSNGDKRTAEDSLAFLLKWFERFPQYKGREFYITGESYGGHYVPQLSQAIVKYNLETKEKAINLKGYMVGNALTDDYHDHLGVFQFMWSAGLISDQTYKSLNLLCDFQSFIHTSNSCDNILDIASAELGNIDPYSIYTLACPANVSQSNRLLKRMHKVGRISEKYDPCTEAHSVVYFNLPEVQKALHVNPNHAPSKWATCSDVVYTTWQDSPRTVLDVYKELIHSGLRIWMFSGDTDSVIPVTSTRYSIDALKLPTVKPWRPWYDDGQVGGWTQEYAGLTFVSVRGAGHEVPLHKPKQALTLIKAFLSGSSMPSSELVSDS >ONH98793 pep chromosome:Prunus_persica_NCBIv2:G7:21968249:21973258:-1 gene:PRUPE_7G266500 transcript:ONH98793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPSWAFVLTYFIILLNLHLGSVFATIPTDALAQQKLDKVLELPGQTFNLSFAHYAGYATVNEDSGRALFYWLVEAAEDPGSKPIVLWLNGGPGCSSIAYGEAEEVGPFHIKADGKTLYLNPYSWNQVANILFLDSPVGVGFSYSNTSSDLLSNGDKRTAEDSLAFLLKWFERFPQYKGREFYITGESYGGHYVPQLSQAIVKYNLETKEKAINLKGYMVGNALTDDYHDHLGVFQFMWSAGLISDQTYKSLNLLCDFQSFIHTSNSCDNILDIASAELGNIDPYSIYTLACPANVSQSNRLLKRMHKVGRISEKYDPCTEAHSVVYFNLPEVQKALHVNPNHAPSKWATCSDVVYTTWQDSPRTVLDVYKELIHSGLRIWMFSGDTDSVIPVTSTRYSIDALKLPTVKPWRPWYDDGQVGGWTQEYAGLTFVSVRGAGHEVPLHKPKQALTLIKAFLSGSSMPSSELVSDS >ONH98791 pep chromosome:Prunus_persica_NCBIv2:G7:21968228:21973258:-1 gene:PRUPE_7G266500 transcript:ONH98791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPSWAFVLTYFIILLNLHLGSVFATIPTDALAQQKLDKVLELPGQTFNLSFAHYAGYATVNEDSGRALFYWLVEAAEDPGSKPIVLWLNGGPGCSSIAYGEAEEVGPFHIKADGKTLYLNPYSWNQVANILFLDSPVGVGFSYSNTSSDLLSNGDKRTAEDSLAFLLKWFERFPQYKGREFYITGESYGGHYVPQLSQAIVKYNLETKEKAINLKGYMVGNALTDDYHDHLGVFQFMWSAGLISDQTYKSLNLLCDFQSFIHTSNSCDNILDIASAELGNIDPYSIYTLACPANVSQSNRLLKRMHKVGRISEKYDPCTEAHSVVYFNLPEVQKALHVNPNHAPSKWATCSDVVYTTWQDSPRTVLDVYKELIHSGLRIWMFSGDTDSVIPVTSTRYSIDALKLPTVKPWRPWYDDGQVGGWTQEYAGLTFVSVRGAGHEVPLHKPKQALTLIKAFLSGSSMPSSELVSDS >ONH96098 pep chromosome:Prunus_persica_NCBIv2:G7:13592446:13595520:1 gene:PRUPE_7G107600 transcript:ONH96098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENMPPFPVAIKLTSDIYNYQQWKSFSLSYFNYHNLSGIIHGTEPRPGLIESTLSNWSGRVQKGLSWFDRDQQALNWMRATLSGILQQMVMDGADSSRKVWQNLEQHFAHLSHASIYQLKSELHKVKKDPTIPMADYLEIIKQLATDLAAAGAPLEFPELVHVHILAGLPEEYNPFRARINHSPVSGWDELYDLLLKEEMRLDPQRTLKLGHASSASAPQEKEEYAIGIDLGTTYSRVAVWQKDHVEVILNDHGNRKTASYVAFTETDETNLVGDAAFNQVVRNTPNSIFDTKRLIGRRFSDASVQSDVKLWPFKVIEGPGDKPVIVVTHNGQEKQCSAEDISSMVLVKMRKIAETYLGSTVKNAVITVPAYFNDSQRRATKDAGMSAGLNVLRIMNEPSAAAIDYGLNKKAGWSSPRNVMIFDLGGGTLDVSLLTISTSGDFEVKATAGDTHLGGQDFDNRLVNYCVEEFKREHKLDVSGNKRALRRLKNECEKAKKRLSFESDIDVEIDCLCENTDFTITFTRAIFEQQNRELFTKCMEPVKKCLRDANMDVSRVDDVVLAGGSTRIPMVQQLLQEFFKGKELCKGVNPDEAVAYGAAVQAAALTGNGKGEFIQDYTLKDITPLALGVADKKFMQLIPRNSLIPVDKKLELCTNIDGQILMNIPIYESDSSIPANLNFLGECSIRDIPPAPKHVHKFDVFFEIDPDGILSVSAVDRSTGQNREIIINRDKPKKSEGMQRTKR >ONH96100 pep chromosome:Prunus_persica_NCBIv2:G7:13592446:13595592:1 gene:PRUPE_7G107600 transcript:ONH96100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENMPPFPVAIKLTSDIYNYQQWKSFSLSYFNYHNLSGIIHGTEPRPGLIESTLSNWSGRVQKGLSWFDRDQQALNWMRATLSGILQQMVMDGADSSRKVWQNLEQHFAHLSHASIYQLKSELHKVKKDPTIPMADYLEIIKQLATDLAAAGAPLEFPELVHVHILAGLPEEYNPFRARINHSPVSGWDELYDLLLKEEMRLDPQRTLKLGHASSASAPQEKEEYAIGIDLGTTYSRVAVWQKDHVEVILNDHGNRKTASYVAFTETDETNLVGDAAFNQVVRNTPNSIFDTKRLIGRRFSDASVQSDVKLWPFKVIEGPGDKPVIVVTHNGQEKQCSAEDISSMVLVKMRKIAETYLGSTVKNAVITVPAYFNDSQRRATKDAGMSAGLNVLRIMNEPSAAAIDYGLNKKAGWSSPRNVMIFDLGGGTLDVSLLTISTSGDFEVKATAGDTHLGGQDFDNRLVNYCVEEFKREHKLDVSGNKRALRRLKNECEKAKKRLSFESDIDVEIDCLCENTDFTITFTRAIFEQQNRELFTKCMEPVKKCLRDANMDVSRVDDVVLAGGSTRIPMVQQLLQEFFKGKELCKGVNPDEAVAYGAAVQAAALTGNGKGEFIQDYTLKDITPLALGVADKKFMQLIPRNSLIPVDKKLELCTNIDGQILMNIPIYESDSSIPANLNFLGECSIRDIPPAPKHVHKFDVFFEIDPDGILSVSAVDRSTGQNREIIINRDKPKKSEGMQRTKR >ONH96099 pep chromosome:Prunus_persica_NCBIv2:G7:13592446:13595652:1 gene:PRUPE_7G107600 transcript:ONH96099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENMPPFPVAIKLTSDIYNYQQWKSFSLSYFNYHNLSGIIHGTEPRPGLIESTLSNWSGRVQKGLSWFDRDQQALNWMRATLSGILQQMVMDGADSSRKVWQNLEQHFAHLSHASIYQLKSELHKVKKDPTIPMADYLEIIKQLATDLAAAGAPLEFPELVHVHILAGLPEEYNPFRARINHSPVSGWDELYDLLLKEEMRLDPQRTLKLGHASSASAPQEKEEYAIGIDLGTTYSRVAVWQKDHVEVILNDHGNRKTASYVAFTETDETNLVGDAAFNQVVRNTPNSIFDTKRLIGRRFSDASVQSDVKLWPFKVIEGPGDKPVIVVTHNGQEKQCSAEDISSMVLVKMRKIAETYLGSTVKNAVITVPAYFNDSQRRATKDAGMSAGLNVLRIMNEPSAAAIDYGLNKKAGWSSPRNVMIFDLGGGTLDVSLLTISTSGDFEVKATAGDTHLGGQDFDNRLVNYCVEEFKREHKLDVSGNKRALRRLKNECEKAKKRLSFESDIDVEIDCLCENTDFTITFTRAIFEQQNRELFTKCMEPVKKCLRDANMDVSRVDDVVLAGGSTRIPMVQQLLQEFFKGKELCKGVNPDEAVAYGAAVQAAALTGNGKGEFIQDYTLKDITPLALGVADKKFMQLIPRNSLIPVDKKLELCTNIDGQILMNIPIYESDSSIPANLNFLGECSIRDIPPAPKHVHKFDVFFEIDPDGILSVSAVDRSTGQNREIIINRDKPKKSEGMQRTKR >ONH96097 pep chromosome:Prunus_persica_NCBIv2:G7:13592446:13595520:1 gene:PRUPE_7G107600 transcript:ONH96097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENMPPFPVAIKLTSDIYNYQQWKSFSLSYFNYHNLSGIIHGTEPRPGLIESTLSNWSGRVQKGLSWFDRDQQALNWMRATLSGILQQMVMDGADSSRKVWQNLEQHFAHLSHASIYQLKSELHKVKKDPTIPMADYLEIIKQLATDLAAAGAPLEFPELVHVHILAGLPEEYNPFRARINHSPVSGWDELYDLLLKEEMRLDPQRTLKLGHASSASAPQEKEEYAIGIDLGTTYSRVAVWQKDHVEVILNDHGNRKTASYVAFTETDETNLVGDAAFNQVVRNTPNSIFDTKRLIGRRFSDASVQSDVKLWPFKVIEGPGDKPVIVVTHNGQEKQCSAEDISSMVLVKMRKIAETYLGSTVKNAVITVPAYFNDSQRRATKDAGMSAGLNVLRIMNEPSAAAIDYGLNKKAGWSSPRNVMIFDLGGGTLDVSLLTISTSGDFEVKATAGDTHLGGQDFDNRLVNYCVEEFKREHKLDVSGNKRALRRLKNECEKAKKRLSFESDIDVEIDCLCENTDFTITFTRAIFEQQNRELFTKCMEPVKKCLRDANMDVSRVDDVVLAGGSTRIPMVQQLLQEFFKGKELCKGVNPDEAVAYGAAVQAAALTGNGKGEFIQDYTLKDITPLALGVADKKFMQLIPRNSLIPVDKKLELCTNIDGQILMNIPIYESDSSIPANLNFLGECSIRDIPPAPKHVHKFDVFFEIDPDGILSVSAVDRSTGQNREIIINRDKPKKSEGMQRTKR >ONH97582 pep chromosome:Prunus_persica_NCBIv2:G7:18656455:18658092:-1 gene:PRUPE_7G198600 transcript:ONH97582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLFFTARPTFWVRASKIAISHFHSLAHGGARPQIEVISNPEAWFVKVVCTLFVRSHALDSYLGYLSKNLTPSIAFEVIRRLNHPKLGLKFFELSRLSLSVNHSVWTYNFLLRSLCQIGLQDSAKLVFDYMRSDGHTPDDSIAELLVSSYAQMGKLNNAEKLLDEVHCGEVRLSPLVYNNLFNVLVKQNKVEEAVCLFRKHMGSHCRPDSWTFNILIRGLCRIGEIDKAFEFFSDMESFGCYPDIVTYNTLISGLCRANEVDRGCHLLKEVQSRIELSPDVITFTSVISGYCKLGKMEEASVLFDEMNNSGVGPTSVTFNALIDGFGKSGNMISARAMYEKMLFHGYRPDVITFTSLIDGYCRAGKLSQGLKLWQEMNAKNVSPSAYTFSVLINALCRENRLQEAREFLRQLKRSNVIPRPFIYNPVIDGFCKAGNVDEANLIVAEMEEKRCSPDKVTFTILILGNCMKGRMSEAISNFKKMLAIGCAPDNITVDSLISCLMKAGMPNEAHHIKKIACEDLNLGMSPSRRADHLRANAKITVAV >ONH97172 pep chromosome:Prunus_persica_NCBIv2:G7:17393781:17397577:1 gene:PRUPE_7G173800 transcript:ONH97172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNKKNKKSKNKNEKSKGSDENLITDSRFSSVHWDPRFQNVPKHKAKVEIDSRFDRMFSDKRFGSSSVPLDKRGKPKKLDQGNSLRHYYKIEEDEDKVNSEVKKKEKKIEEEVEEESEELEELGGEESEDDVASGDSDTTTDTNTDDEYEEEEVVFEDGEPGMEAEDVPEIDKETHRLAIVNLDWRHVKAVDLYAVLRSFLPKDGEMKSVAVYPSEFGLQRMKEEELHGPVGLFDDENEKSDEDEDDDDDEFDAQKLRAYEISKLRYYYAVVECDSSATADYLYKNCDGVEFERSSNKLDLRFIPDSMEFQHPPRDIATEVPANYVGLDFQTQALQQSKIDISWDEDDPGRKTLKRKFTDDQLSELELKEFLASDESESDEDENDDATEDKSDKKSKKRDLYRTLILSGNGKGDASDGDGEGEDDDKDMEITFNTGLEDISKRIQEKRDKESETVWEAYLRKRREKKKASKNRSKDSSEDEGSDTDREATEDADDFFIEEPSVKKSKKGSQGKGKKGDKGNQDMDREAEASRNELELLLADDKGADTGLKGYNLKRKKTKGKKGKEVQEEDNIPAVDYEDPRFSALFTSPRFALDPTDPQFKRSAAYARQLALRQQKGDQEEVVEREVKSDVLRSKIEKSSLIRSIKMKANPFDGKMSKKQENLPSKGRKEKKDKQEAPSLVEPVKKKKKTKA >ONH96168 pep chromosome:Prunus_persica_NCBIv2:G7:13810418:13813159:1 gene:PRUPE_7G110800 transcript:ONH96168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFQAVCRFGGHMLQDATTLAQTIQTYARTKQLNRGKELHAQLLRTEYTPCIFLANHLLNMYSKCGEVDYALKVFDKMPQRNLVSWTAMITGFSQNRRFSETLKTFSQMRDAGENPTQFAFASVIRACVFLGTIEIGRQMHSLALKLGLAFELFVGSNLADMYWKFRLMADACKVFEEMPCKDAVSWTSMIDGYAKNGDSEAALLTYKRMVNDGIVIDQHVLCSALNACSTLKACKFGKCLHSTVLKLGLQVEVSVGNVLTDMYSKAGDMESASNVFWIDSDGRSIVSCTSLINGFVEMDEIDKAFSLFVDLQRQGVEPNEFTFSSLIKSCANQAAPDQGIQLHAQVVKVNFDRDPFVYSVLVDMYGKCGLLDHSIQVFDEIENPTEVAWNSLLSVFALHGLGKAALETFTKMVNRGVKPNAITFVSLLTGCSHAGLVKEGLNYFHSMEKRYGIVPREEHYSCVIDLLGRAGRLNEAEEFINNMPIQPNAFGWCSFLGACRIHGDQERGKLAAEKLMQLEPENIGARVLLSNIYAKEQQWEDVRSVRKKMRDGRMKKLPGYSWVDVGNKTHTFGAEDWSHPLMKEIYEKLDTLLDQIKDAGYVPQTDSIPHEMDESSKEKLLHHHSERIAIAFALISMPAGKPIIVKKNLRVCLDCHSAIKYISKVAGRKIIVRDNNRFHHFADGLCSCGDYW >ONH97104 pep chromosome:Prunus_persica_NCBIv2:G7:17221684:17224912:1 gene:PRUPE_7G170000 transcript:ONH97104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAFLGLCLLVFAHLVQLTSAIVVLKPFAREFSDLPAKFAIAVNSSGICGALLISDPLDACSSLRNGLRPNETDKTRFALIVRGECAFKDKVQNAQNAGFRGAIVYDDRDKGKLVYMMIESANVTIHAVFVSKASGEVLKEHALGEEGECCIFPFQNETAWTVLAISFISFLVILGILIIAIFGPRHWLYSQGRNQLPKSVDTKIVEALPCFTFSSTGLGECHSEETCAICLENYKDEEILKVLPCQHEFHSSCVDSWLTKWGTFCPVCKHDMRTKIVNPEIKRRTWLYS >ONH97105 pep chromosome:Prunus_persica_NCBIv2:G7:17221611:17224912:1 gene:PRUPE_7G170000 transcript:ONH97105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFSDLPAKFAIAVNSSGICGALLISDPLDACSSLRNGLRPNETDKTRFALIVRGECAFKDKVQNAQNAGFRGAIVYDDRDKGKLVYMMIESANVTIHAVFVSKASGEVLKEHALGEEGECCIFPFQNETAWTVLAISFISFLVILGILIIAIFGPRHWLYSQGRNQLPKSVDTKIVEALPCFTFSSTGLGECHSEETCAICLENYKDEEILKVLPCQHEFHSSCVDSWLTKWGTFCPVCKHDMRTKIVNPEIKRRTWLYS >ONH94342 pep chromosome:Prunus_persica_NCBIv2:G7:1586742:1591231:-1 gene:PRUPE_7G011800 transcript:ONH94342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLYQILSSSALVSLGLYHMVATTRNHLKSPQSYAAKPYHPFPLSSSSSSSSNHHRLRYLQLYAVIAFLMVAVAHQTFTSFDADPLLKGRTPVHRFTSLQSAASLFLFLLLTLALLLSEWAPSVLPLPSDLVFGLAATLFYLQCLVSWGAASVQMSDLQAKCDSVSGRISAVASGLCVVLACQPRLFVADVGLGAAMCLQGLWVLQTGLSLYVEAFIPEGCHRLLDVVSGVEGSTKCDLDESRFRAVAILDLVFLVHVMFVLLIVMVTYAVVSKSVGIRRLGSYEALPNAAPSSDHNNHIQMKALSGTQA >ONH94341 pep chromosome:Prunus_persica_NCBIv2:G7:1588324:1591231:-1 gene:PRUPE_7G011800 transcript:ONH94341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLYQILSSSALVSLGLYHMVATTRNHLKSPQSYAAKPYHPFPLSSSSSSSSNHHRLRYLQLYAVIAFLMVAVAHQTFTSFDADPLLKGRTPVHRFTSLQSAASLFLFLLLTLALLLSEWAPSVLPLPSDLVFGLAATLFYLQCLVSWGAASVQMSDLQAKCDSVSGRISAVASGLCVVLACQPRLFVADVGLGAAMCLQGLWVLQTGLSLYVEAFIPEGCHRLLDVVSGVEGSTKCDLDESRFRAVAILDLVFLVHVMFVLLIVMVTYAVVSKSVGIRRLGSYEALPNAAPSSDHNNHIQMKALSGTQA >ONH94343 pep chromosome:Prunus_persica_NCBIv2:G7:1586742:1591236:-1 gene:PRUPE_7G011800 transcript:ONH94343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLYQILSSSALVSLGLYHMVATTRNHLKSPQSYAAKPYHPFPLSSSSSSSSNHHRLRYLQLYAVIAFLMVAVAHQTFTSFDADPLLKGRTPVHRFTSLQSAASLFLFLLLTLALLLSEWAPSVLPLPSDLVFGLAATLFYLQCLVSWGAASVQMSDLQAKCDSVSGRISAVASGLCVVLACQPRLFVADVGLGAAMCLQGLWVLQTGLSLYVEAFIPEGCHRLLDVVSGVEGSTKCDLDESRFRAVAILDLVFLVHVMFVLLIVMVTYAVVSKSVGIRRLGSYEALPNAAPSSDHNNHIQMKALSGTQA >ONH94912 pep chromosome:Prunus_persica_NCBIv2:G7:7235192:7235395:-1 gene:PRUPE_7G039300 transcript:ONH94912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNFSVISIMVLTILTVFLVVLPLLLPPLPPPPLVLLFVPVLIMAVLILLAFSPLSQFPDMVVTPV >ONH95415 pep chromosome:Prunus_persica_NCBIv2:G7:10710693:10715561:1 gene:PRUPE_7G069000 transcript:ONH95415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTCTFPLFLSLISTFLILSSSSSEADILLTFKASIKDSKNSLSSWSNSSLTHFCEWTGITCTTTAPLSVTSLNLQSMNLSGEIPSSICELPNLSQLNLAENLFNQPIPLHLSQCTSLETLNLSNNLIWGTVLNQISQFGSLKVLDLSKNHLEGNIPQGIGSLSNLQVLNLGSNLLSGSVPSIFGNLTELAVLDLSQNSNLVSEIPTDIGKLVKLEKLFLQSSGFHGELPESLVGLQSLTVLDLSQNNLTGRVPQTLCSSLQNLVSFDVSENRLSGPFPNGICTGKGLINLSLHTNVFNGSVPNSISECLKLERFEVQNNLFSGDFPVGLWSLPKIKLLRAENNRFSGEIPESVSMAAQLEQVQIDNNSFSSKIPQGLGLVKSLYRFSASLNGLYGGLPPNFCDSPVMSIVNLSHNSLSGRIPEVKKCRKLVSLSLAGNSLNGNIPSSLGELPVLTYLDLSDNKLTGPIPQALQNLKLALFNVSSNQLSGRVPYSLISGLPASFLQGNPDLCGPGLLNPCSDDQPKHRSFDLTILTCALISIAFAVGTFIVAGGFIAYHRYRKQRTQVGIWRSVFFYPLRVTEHDLVMGMDEKSAAGSAGVFGRVYIVSLPSGELVAVKKLVNFGVQSSKALKAEIKTLGQIRHKNVCESSGFCHSDDSIFLIYEFLQKGSLGDLISRPDFNLQWNVRLRIAIGVAQGLGYLHKDYVPHLLHRNVKSKNILLDADFQPKLTDFALDRIVGEAAFQSTMASESALSCYNAPEYKYSKKATEQMDVYSFGVVLLELVTGRQAEPSESLDIVKWVRRKVNITKGAVQVIDPKITNSSQQEVLGALEIALRCTSVMPEKRPPMSEVVRSLQSLDSRTDSAVIDFSTFEEHSASV >ONH97183 pep chromosome:Prunus_persica_NCBIv2:G7:17420886:17422903:1 gene:PRUPE_7G174400 transcript:ONH97183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKVSKQSKKLCRKRKEQLKLAVDRRYAFAEAQREYNQSLYAVAIAIRSFVASHSSPPPSDSEPTKNTGAGPFLDSETSISSSEADRKKQLQGSSDEENSDHNAGTDGEELGLVLLNDEAVREGRELLEALKEVEVQFLSAYNSSLDVTRMLGTNTDQMQSALEETEENSSKLKKSRSISSILSSSSSRKSLLRSSTRSSSTSTNFNGGLFDDNGAMGSKCHSLTLGTLYALEQKLYKDVKAGEETSRLYDRKCSQYSRNQGHGLKTEDKIRVELQYSRISVAKGSAESTFKKIRKLRDEELQPQLIELLQGLKKNWKVMSEYHEIQHQIMSEVKWLKCSSYGKSQQHATQTLQVDLKKWRACFAQYVCSQKAYIEALDGWMIKFDAPETEASSENWYSLRPCRVSVLPTTEICHNWLDGIDKLPYKTVAGAMESFGEHVQALMVQQGKEHQQKRKVDGLAGELRRQALLLEMNKHVSELKLFYVQDDKPHEQKHTKPLKKMENELEQEKAKHHTAMEETRDMYVNGFQKGFSSVFKSLADFSKTSMKIYDELLE >ONH97983 pep chromosome:Prunus_persica_NCBIv2:G7:19808945:19811617:-1 gene:PRUPE_7G221800 transcript:ONH97983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNPQAIPISILIVLLRDSFWEDCLVGNLYLLRIGQALCKYLTGAFDVIKTRLQVQGSTLRYNGCYMQSSIRMADDAQEVAQR >ONH98179 pep chromosome:Prunus_persica_NCBIv2:G7:20385751:20387475:1 gene:PRUPE_7G233900 transcript:ONH98179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAFSEFRPLDETSLIDYIKSVPSLCSKLHNNLNGLEIKEVGDGNLNFVFIVVGSSGSFVIKQALTYVRSVGEAWPMSKDRAYFEAAALKKHGHLCPGHVPEVYHFDRTMSLIAMRYLKPPHIILRKGLVAGIEYPLLAEDMSDYMSKTLFYTSLIYNSTTEHKQGVAEFCGNVEMCRLTEQVVFSDPYKVSKYNRWTSPYLDSDAAALREDEALKIEVAELKSLFCERAQALIHGDLHTSSVMVTQESTQVIDSEFAFYGPMGFDVGAFLGNLILAFFAQDGHADEKNDREAYKGWILRTIENTWNLFQNKFIALWDQHKDGSGEAYLPAIYNSSEIQQLVQKKFMEDLFHDTLGFGAAKMIRRIVGVAHVEDFEAISDASKRAGCERRALELAKLLLNERRKFQNIAQVVSAIKQGK >ONH98112 pep chromosome:Prunus_persica_NCBIv2:G7:20216013:20217558:1 gene:PRUPE_7G229900 transcript:ONH98112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYQRPYVCLVDDCRSSFRRKDHLNRHLLQHQGKLFKCPIENCNRGFVSQGNMRRHVRELHNEDDPLANVGGQKQHVCQDCGKVFKFASKLQKHENSHVKLDSVEAFCSEPGCMKYFSNQKCLKAHIQSCHQHITCEICGTKKLKRNIERHLCTHEGGRASVERIKCSYKGCLHTFSTKSNLHQHVKAVHLEHKPFVCSFSGCGVRFAYKHVRDKHEKTGRHVYAYVSIILTHNSIPSFVGFWDKHLSRQCHIMSSYVGHYCFELQGDFEEADEQFRSRPRGGRKRKYPTIEMLVRKRVTPPDQLGQESEYHSWLL >ONH98113 pep chromosome:Prunus_persica_NCBIv2:G7:20216013:20217558:1 gene:PRUPE_7G229900 transcript:ONH98113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYQRPYVCLVDDCRSSFRRKDHLNRHLLQHQGKLFKCPIENCNRGFVSQGNMRRHVRELHNEDDPLANVGGQKQHVCQDCGKVFKFASKLQKHENSHVKLDSVEAFCSEPGCMKYFSNQKCLKAHIQSCHQHITCEICGTKKLKRNIERHLCTHEGGRASVERIKCSYKGCLHTFSTKSNLHQHVKAVHLEHKPFVCSFSGCGVRFAYKHVRDKHEKTGRHVYAYGDFEEADEQFRSRPRGGRKRKYPTIEMLVRKRVTPPDQLGQESEYHSWLL >ONH98490 pep chromosome:Prunus_persica_NCBIv2:G7:21250471:21253552:-1 gene:PRUPE_7G251300 transcript:ONH98490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNRELHFLQILYTHLTGSHMMMMIALACRDAGLRFAGVHDSFWTHACDVDQMNEILRQKFGQYLKIYASSPLLESFQASYPALTFPPLPERGDFDLQ >ONH98491 pep chromosome:Prunus_persica_NCBIv2:G7:21250471:21253552:-1 gene:PRUPE_7G251300 transcript:ONH98491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNRELHFLQILYTHLTGSHMMMMIALACRDAGLRFAGVHDSFWTHACDVDQMNEILRQKFGQYLKIYASSPLLESFQASYPALTFPPLPERGDFDLQ >ONH98487 pep chromosome:Prunus_persica_NCBIv2:G7:21251030:21252052:-1 gene:PRUPE_7G251300 transcript:ONH98487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNRELHFLQILYTHLTGSHMMMMIALACRDAGLRFAGVHDSFWTHACDVDQMNEILRQKFGQYLKIYASSPLLESFQASYPALTFPPLPERGDFDLQ >ONH98489 pep chromosome:Prunus_persica_NCBIv2:G7:21250471:21252620:-1 gene:PRUPE_7G251300 transcript:ONH98489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNRELHFLQILYTHLTGSHMMMMIALACRDAGLRFAGVHDSFWTHACDVDQMNEILRQKFGQYLKIYASSPLLESFQASYPALTFPPLPERGDFDLQ >ONH98488 pep chromosome:Prunus_persica_NCBIv2:G7:21251030:21252052:-1 gene:PRUPE_7G251300 transcript:ONH98488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDNRELHFLQILYTHLTGSHMMMMIALACRDAGLRFAGVHDSFWTHACDVDQMNEILRQKFGQYLKIYASSPLLESFQASYPALTFPPLPERGDFDLQ >ONH98600 pep chromosome:Prunus_persica_NCBIv2:G7:21476178:21480285:1 gene:PRUPE_7G256500 transcript:ONH98600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPSSIHLLHQDFLCPKYPRLYHNYQFGTPRLRRRPTGTARPPSRFPSFAIREWKSSTEESESSGVELLACPVCYEPLIRKGPPGLNLQAIYRSAFKCKKCDKSYSSKDIYLDLTVIAGLKEYVEVKPAGTELFRNPLVSFLYERGWRQNFNRSGFPGLDEEFKMAQDYFKSAEGGVLLDVSCGSGLFSRKFAKSGTYSGVVALDFSENMLRQCYDFLKKDPTLLATNLALVRADVSRLPFPSGSVGAVHAGAALHCWPSPSNAIAEISRILRTGGIFVGTTFLRYTSSTPWILRPLRERALQNYSYLTEEEIEDLCTSSGLINYSSKVQQSFIMFSAQKP >ONH97415 pep chromosome:Prunus_persica_NCBIv2:G7:18136962:18146279:-1 gene:PRUPE_7G188900 transcript:ONH97415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDLHPSMAQSVRTGRSSFSSSNGNGDTPLHNAAALSNGDDYDSDSSSLAPATPRTLSMDIPAELAGAIPLIDRFQVEGFLRLMQKQIQSAGKRGFFTKKSVGPQPREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKLFQIILKYMGVDSSDRVTPASLDERVELVGKMYKQTLKRTELRDELFAQISKQTRNNPDKEYLIKAWELMFLCASSMPPSKDIGGYLSEYVHNVAHGVNIDSEVRVLALNTLNALKRSVKAGPRHTIPGREEIEALLTGRKLTTIVFFLDETFEEITYDMATTVADAVEELAGVIKLSAFSSFSLFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLTFKKKLFRESDEAVADPMFVQLSYVQLQHDYMLGNYPVGRDDAAQLSALQILVDIGFVRNPESCTDWNSLLERFLPRQIAITRAKREWEFDILSRYHSMENLTKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSGSTNGDLSNNFKPSDVEMYEKRVQDLSKAVEESQRNADQLLEELREKQKQEAKLQEDLESLKQSLAFEKQNVTEVTSEHDRLRSSCDEKDKALQAALLEKKGLEGRLAKLSNLVAEKNNKTQLGGGKNQNLEDEIKLRSEEVQAKEEIIRRLTDEKLLLEQRIYGIEKTKADEIDFLEKKNEQERKALKLRVLELEKKLEGVNQELAVVTSTLATKNSEIASLQSNLKELEELREMKEDIDRKNEQTAAILRMQGAQLAELEVLYKEEQLLRKRYFNTIEDMKGKIRVFCRLRPLNEKEIADKERGTTTSVDEFTVEHPWKDDKLKQHTYDRVFDGNATQQDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTYTIYGSDANPGLTPRATAELFKIMKRDSNKFSFSLKAYMVEVYQDTLVDLLLPKNSKRLKLDIKKDSKGMVSVENITVLSISTYDELKNIIQRGSERRHVAGTQMNEESSRSHLIVSIVIESTNLQTQSVARGKLSFVDLAGSERIKKSGSSGSQLKEAQSINKSLSALGDVISSLSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNVDETHNSLMYASRVRSIVNDPSKNVSSKEIMRLKKLVAYWKEQAGRRGDEEDLEEIQEERPVKDRADGRHSM >ONH97416 pep chromosome:Prunus_persica_NCBIv2:G7:18136962:18146280:-1 gene:PRUPE_7G188900 transcript:ONH97416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDLHPSMAQSVRTGRSSFSSSNGNGDTPLHNAAALSNGDDYDSDSSSLAPATPRTLSMDIPAELAGAIPLIDRFQVEGFLRLMQKQIQSAGKRGFFTKKSVGPQPREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKLFQIILKYMGVDSSDRVTPASLDERVELVGKMYKQTLKRTELRDELFAQISKQTRNNPDKEYLIKAWELMFLCASSMPPSKDIGGYLSEYVHNVAHGVNIDSEVRVLALNTLNALKRSVKAGPRHTIPGREEIEALLTGRKLTTIVFFLDETFEEITYDMATTVADAVEELAGVIKLSAFSSFSLFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLTFKKKLFRESDEAVADPMFVQLSYVQLQHDYMLGNYPVGRDDAAQLSALQILVDIGFVRNPESCTDWNSLLERFLPRQIAITRAKREWEFDILSRYHSMENLTKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSGSTNGDLSNNFKPSDVEMYEKRVQDLSKAVEESQRNADQLLEELREKQKQEAKLQEDLESLKQSLAFEKQNVTEVTSEHDRLRSSCDEKDKALQAALLEKKGLEGRLAKLSNLVAEKNNKTQLGGGKNQNLEDEIKLRSEEVQAKEEIIRRLTDEKLLLEQRIYGIEKTKADEIDFLEKKNEQERKALKLRVLELEKKLEGVNQELAVVTSTLATKNSEIASLQSNLKELEELREMKEDIDRKNEQTAAILRMQGAQLAELEVLYKEEQLLRKRYFNTIEDMKGKIRVFCRLRPLNEKEIADKERGTTTSVDEFTVEHPWKDDKLKQHTYDRVFDGNATQQDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTYTIYGSDANPGLTPRATAELFKIMKRDSNKFSFSLKAYMVEVYQDTLVDLLLPKNSKRLKLDIKKDSKGMVSVENITVLSISTYDELKNIIQRGSERRHVAGTQMNEESSRSHLIVSIVIESTNLQTQSVARGKLSFVDLAGSERIKKSGSSGSQLKEAQSINKSLSALGDVISSLSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNVDETHNSLMYASRVRSIVNDPSKNVSSKEIMRLKKLVAYWKEQAGRRGDEEDLEEIQEERPVKDRADGRHSM >ONH97414 pep chromosome:Prunus_persica_NCBIv2:G7:18136962:18146048:-1 gene:PRUPE_7G188900 transcript:ONH97414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDLHPSMAQSVRTGRSSFSSSNGNGDTPLHNAAALSNGDDYDSDSSSLAPATPRTLSMDIPAELAGAIPLIDRFQVEGFLRLMQKQIQSAGKRGFFTKKSVGPQPREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKLFQIILKYMGVDSSDRVTPASLDERVELVGKMYKQTLKRTELRDELFAQISKQTRNNPDKEYLIKAWELMFLCASSMPPSKDIGGYLSEYVHNVAHGVNIDSEVRVLALNTLNALKRSVKAGPRHTIPGREEIEALLTGRKLTTIVFFLDETFEEITYDMATTVADAVEELAGVIKLSAFSSFSLFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLTFKKKLFRESDEAVADPMFVQLSYVQLQHDYMLGNYPVGRDDAAQLSALQILVDIGFVRNPESCTDWNSLLERFLPRQIAITRAKREWEFDILSRYHSMENLTKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSGSTNGDLSNNFKPSDVEMYEKRVQDLSKAVEESQRNADQLLEELREKQKQEAKLQEDLESLKQSLAFEKQNVTEVTSEHDRLRSSCDEKDKALQAALLEKKGLEGRLAKLSNLVAEKNNKTQLGGGKNQNLEDEIKLRSEEVQAKEEIIRRLTDEKLLLEQRIYGIEKTKADEIDFLEKKNEQERKALKLRVLELEKKLEGVNQELAVVTSTLATKNSEIASLQSNLKELEELREMKEDIDRKNEQTAAILRMQGAQLAELEVLYKEEQLLRKRYFNTIEDMKGKIRVFCRLRPLNEKEIADKERGTTTSVDEFTVEHPWKDDKLKQHTYDRVFDGNATQQDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTYTIYGSDANPGLTPRATAELFKIMKRDSNKFSFSLKAYMVEVYQDTLVDLLLPKNSKRLKLDIKKDSKGMVSVENITVLSISTYDELKNIIQRGSERRHVAGTQMNEESSRSHLIVSIVIESTNLQTQSVARGKLSFVDLAGSERIKKSGSSGSQLKEAQSINKSLSALGDVISSLSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNVDETHNSLMYASRVRSIVNDPSKNVSSKEIMRLKKLVAYWKEQAGRRGDEEDLEEIQEERPVKDRADGRHSM >ONH96629 pep chromosome:Prunus_persica_NCBIv2:G7:15723393:15728315:-1 gene:PRUPE_7G142300 transcript:ONH96629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFYSTPQPQPQQQQQSLFQTQQPSQLFPQSSPFFSQQQQQQPQQQFQPQPLQFQQQQQQQQQQQQQQQQMFLFTNDKAPASYSTKWADLHPDSQKILLQIEERILGHRDESQRLDQCSRLYDSSVSNDTFEHDASRILQELGGIGTAMDRQKALLHELMAIVKDMLRNSEVSVRTFMILRPRFLHPNTGGTSNAIAPSQASGATVPPGSSSQPTATSIVPVFDFYNGLPRKPSPFLQQTIARFEKYLVECRQWIEELEQLLLASERNSANDGSSLLQSLPKVMSNVHDFFVHVAAKVESIHQYIVSMKSAYLANQRRLGDGNDPFLEADRRETARQEAAAKRVHPTLHLPATSQPSTQVAGLFASSGTQGASPAPQISTATITASSGSGLSLFNTPSAPSSSMSSSMFATPITSGPISSLFGSSSATPQTSLFSSSSASLFGAASTPSLLGNTAAAFGSTTPIGSSLFASGAPATGMGSSFTSSKSTKSRGRGGRR >ONH96664 pep chromosome:Prunus_persica_NCBIv2:G7:15822367:15823776:-1 gene:PRUPE_7G144500 transcript:ONH96664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSSRGNRIFSEENSIRGQKFDKLQNSPEHNDSTFTISSPPDYGPDYLMGAEEAAPVSPLKKSPWSAHMNISDDKNNSNDSSETTNERFSPNVLMGSLVREEGHIYSLASSGDLLYTGSDSKNIRIWKRHHEYSGFKSNSGLVKAIIIAREKIFTGHQDGKIRVWKVSSKNASNHRRIGTLPTLKNYIKCSMKPSNYVEVKRNSKVLWIKHFDSISCLSLSEDQTLLYSASWDKTFKVWRVSDFKCLESITAHDDAVNALVVGFDGLVFTGSADGTVKIWRKELQGKGTKHFFSQTLLKQECAVTALAVNSNATIIYCGSSDGLVNFWEREKNLQHGGVLRGHKLAVLCLATAGCLVFSGSADMGICVWRRVDGDHICLSVLTGHTGPVKCLAVERDNENSTSGEKRWTVYSGSLDKSVKMWRVSEQAQPMVPNQKHQHYTSDGYCVPMLSSAPSFASRGGKMGSRRF >ONH94991 pep chromosome:Prunus_persica_NCBIv2:G7:8082635:8083991:-1 gene:PRUPE_7G044600 transcript:ONH94991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEKGLVRVQLKFKADMASSSLSITTLDFGRNKSTFVLKASNEASNASRALSHGVRMTASLLYLNGAAALRLTCLSKAFCHDFSQGIKERRSSCKCTIYLSLV >ONH95098 pep chromosome:Prunus_persica_NCBIv2:G7:8980604:8981816:-1 gene:PRUPE_7G052000 transcript:ONH95098 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GNS1 description:hypothetical protein MTKSNSSSVGRLLSLISIVLLLGQLVVGSLATKQHTGAPIGVCNGMVGDDLPPQAEVVALYKTNNIPRMRLYDPNPAALEALRGSNIKLLLGVPNENLQYIALSQANANAWVQNNVRNYANVKFKYIAVGNEVKPSDSFAQFLVPAMRNIQEAISLAGLAKKIKVSTAIDTGVLGETFPPSIGSFKSEYNALLYPIIRFLVSHQSPLLVNLYPYFAYSGNTQDIRLDYALFTAPSVVVQDGNFGYRNLFDAMLDGVYAALEKAGGGSLKVVISETGWPSAAGTATTIDNARTFISNLIQHVKEGTPRRPGRPIETYIFAMFDENRKTPELEKHWGLFSPTKQPKYQISFN >ONH95163 pep chromosome:Prunus_persica_NCBIv2:G7:9305329:9309393:-1 gene:PRUPE_7G054400 transcript:ONH95163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGIHGILRKVSVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVGIATEGWPKGMDDGVGILISIVLVVVVTAISDYRQALQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGFFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTKWGKLMTALSEEEEDETPLQVKLNGVAIIIGKIGLTFAVLTFSVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLLLAATLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICGKPLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVVMEDGKTSILGTPTELALLEFGLLLGGDFDAVRREVNIHKVEPFNFVRKKMSVLVDHPHGGKRAFCKGASEIVLRMCNKFIDFNGESVILSLEQVKNITDVINSFASEALRTLCLAFKNIDDSSIENEIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNLNTAKAIAKECGILTEDGLAIEGQEFHNMSPEQKKAVIPRIQVMAQSLPLDKHTLVKTLRDEFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFKTIVNVARWGRSVYVNIQKFVQFQLTVNVVALIINFVSACVSGSAPLTAVQLLWVNMIMDPLGALALATEPPNDGLMKRPPVGRDSSFITKAMWRNIIGQSIYQLIVLGVLNFYGKQLLGLSGSDATEVLDTVIFNAFVFCQVFNEINSRDIEKKNIFVGMFDSRVFLGVVVCTVAFQVIIVEFLGAFASTVPLSWQLWLLCILLGSVSMLVAVVLKNPCRRFRFVANLDKGSEADKKRC >ONH95164 pep chromosome:Prunus_persica_NCBIv2:G7:9303683:9311166:-1 gene:PRUPE_7G054400 transcript:ONH95164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAGDRSNEKPGQDEYKLSEDARIAGFSIYPDELASITCGHDIQALKMHGGIHGILRKVSVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVGIATEGWPKGMDDGVGILISIVLVVVVTAISDYRQALQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGFFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTKWGKLMTALSEEEEDETPLQVKLNGVAIIIGKIGLTFAVLTFSVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLLLAATLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICGKPLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVVMEDGKTSILGTPTELALLEFGLLLGGDFDAVRREVNIHKVEPFNFVRKKMSVLVDHPHGGKRAFCKGASEIVLRMCNKFIDFNGESVILSLEQVKNITDVINSFASEALRTLCLAFKNIDDSSIENEIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNLNTAKAIAKECGILTEDGLAIEGQEFHNMSPEQKKAVIPRIQVMAQSLPLDKHTLVKTLRDEFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFKTIVNVARWGRSVICSPYGCAIALGQHDYGPSWGIGTGNRASK >ONH95162 pep chromosome:Prunus_persica_NCBIv2:G7:9303583:9311166:-1 gene:PRUPE_7G054400 transcript:ONH95162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGIHGILRKVSVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVGIATEGWPKGMDDGVGILISIVLVVVVTAISDYRQALQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGFFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTKWGKLMTALSEEEEDETPLQVKLNGVAIIIGKIGLTFAVLTFSVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLLLAATLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICGKPLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVVMEDGKTSILGTPTELALLEFGLLLGGDFDAVRREVNIHKVEPFNFVRKKMSVLVDHPHGGKRAFCKGASEIVLRMCNKFIDFNGESVILSLEQVKNITDVINSFASEALRTLCLAFKNIDDSSIENEIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNLNTAKAIAKECGILTEDGLAIEGQEFHNMSPEQKKAVIPRIQVMAQSLPLDKHTLVKTLRDEFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFKTIVNVARWGRSVYVNIQKFVQFQLTVNVVALIINFVSACVSGSAPLTAVQLLWVNMIMDPLGALALATEPPNDGLMKRPPVGRDSSFITKAMWRNIIGQSIYQLIVLGVLNFYGKQLLGLSGSDATEVLDTVIFNAFVFCQVFNEINSRDIEKKNIFVGMFDSRVFLGVVVCTVAFQVIIVEFLGAFASTVPLSWQLWLLCILLGSVSMLVAVVLKNPCRRFRFVANLDKGSEADKKRC >ONH95161 pep chromosome:Prunus_persica_NCBIv2:G7:9305329:9309537:-1 gene:PRUPE_7G054400 transcript:ONH95161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAGDRSNEKPGQDEYKLSEDARIAGFSIYPDELASITCGHDIQALKMHGGIHGILRKVSVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVGIATEGWPKGMDDGVGILISIVLVVVVTAISDYRQALQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGFFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTKWGKLMTALSEEEEDETPLQVKLNGVAIIIGKIGLTFAVLTFSVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLLLAATLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICGKPLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVVMEDGKTSILGTPTELALLEFGLLLGGDFDAVRREVNIHKVEPFNFVRKKMSVLVDHPHGGKRAFCKGASEIVLRMCNKFIDFNGESVILSLEQVKNITDVINSFASEALRTLCLAFKNIDDSSIENEIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNLNTAKAIAKECGILTEDGLAIEGQEFHNMSPEQKKAVIPRIQVMAQSLPLDKHTLVKTLRDEFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFKTIVNVARWGRSVYVNIQKFVQFQLTVNVVALIINFVSACVSGSAPLTAVQLLWVNMIMDPLGALALATEPPNDGLMKRPPVGRDSSFITKAMWRNIIGQSIYQLIVLGVLNFYGKQLLGLSGSDATEVLDTVIFNAFVFCQVFNEINSRDIEKKNIFVGMFDSRVFLGVVVCTVAFQVIIVEFLGAFASTVPLSWQLWLLCILLGSVSMLVAVVLKNPCRRFRFVANLDKGSEADKKRC >ONH95160 pep chromosome:Prunus_persica_NCBIv2:G7:9303583:9311374:-1 gene:PRUPE_7G054400 transcript:ONH95160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAGDRSNEKPGQDEYKLSEDARIAGFSIYPDELASITCGHDIQALKMHGGIHGILRKVSVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVGIATEGWPKGMDDGVGILISIVLVVVVTAISDYRQALQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGFFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTKWGKLMTALSEEEEDETPLQVKLNGVAIIIGKIGLTFAVLTFSVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLLLAATLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICGKPLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVVMEDGKTSILGTPTELALLEFGLLLGGDFDAVRREVNIHKVEPFNFVRKKMSVLVDHPHGGKRAFCKGASEIVLRMCNKFIDFNGESVILSLEQVKNITDVINSFASEALRTLCLAFKNIDDSSIENEIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNLNTAKAIAKECGILTEDGLAIEGQEFHNMSPEQKKAVIPRIQVMAQSLPLDKHTLVKTLRDEFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFKTIVNVARWGRSVYVNIQKFVQFQLTVNVVALIINFVSACVSGSAPLTAVQLLWVNMIMDPLGALALATEPPNDGLMKRPPVGRDSSFITKAMWRNIIGQSIYQLIVLGVLNFYGKQLLGLSGSDATEVLDTVIFNAFVFCQVFNEINSRDIEKKNIFVGMFDSRVFLGVVVCTVAFQVIIVEFLGAFASTVPLSWQLWLLCILLGSVSMLVAVVLKNPCRRFRFVANLDKGSEADKKRC >ONH95166 pep chromosome:Prunus_persica_NCBIv2:G7:9303683:9311166:-1 gene:PRUPE_7G054400 transcript:ONH95166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAGDRSNEKPGQDEYKLSEDARIAGFSIYPDELASITCGHDIQALKMHGGIHGILRKVSVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVGIATEGWPKGMDDGVGILISIVLVVVVTAISDYRQALQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGFFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTKWGKLMTALSEEEEDETPLQVKLNGVAIIIGKIGLTFAVLTFSVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLLLAATLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICGKPLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVVMEDGKTSILGTPTELALLEFGLLLGGDFDAVRREVNIHKVEPFNFVRKKMSVLVDHPHGGKRAFCKGASEIVLRMCNKFIDFNGESVILSLEQVKNITDVINSFASEALRTLCLAFKNIDDSSIENEIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNLNTAKAIAKECGILTEDGLAIEGQEFHNMSPEQKKAVIPRIQVMAQSLPLDKHTLVKTLRDEFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEDLLPLRLCNCFGST >ONH95159 pep chromosome:Prunus_persica_NCBIv2:G7:9305329:9309537:-1 gene:PRUPE_7G054400 transcript:ONH95159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAGDRSNEKPGQDEYKLSEDARIAGFSIYPDELASITCGHDIQALKMHGGIHGILRKVSVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVGIATEGWPKGMDDGVGILISIVLVVVVTAISDYRQALQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGFFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTKWGKLMTALSEEEEDETPLQVKLNGVAIIIGKIGLTFAVLTFSVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLLLAATLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICGKPLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVVMEDGKTSILGTPTELALLEFGLLLGGDFDAVRREVNIHKVEPFNFVRKKMSVLVDHPHGGKRAFCKGASEIVLRMCNKFIDFNGESVILSLEQVKNITDVINSFASEALRTLCLAFKNIDDSSIENEIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNLNTAKAIAKECGILTEDGLAIEGQEFHNMSPEQKKAVIPRIQVMAQSLPLDKHTLVKTLRDEFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFKTIVNVARWGRSVYVNIQKFVQFQLTVNVVALIINFVSACVSGSAPLTAVQLLWVNMIMDPLGALALATEPPNDGLMKRPPVGRDSSFITKAMWRNIIGQSIYQLIVLGVLNFYGKQLLGLSGSDATEVLDTVIFNAFVFCQVFNEINSRDIEKKNIFVGMFDSRVFLGVVVCTVAFQVIIVEFLGAFASTVPLSWQLWLLCILLGSVSMLVAVVLKNPCRRFRFVANLDKGSEADKKRC >ONH95165 pep chromosome:Prunus_persica_NCBIv2:G7:9306067:9309537:-1 gene:PRUPE_7G054400 transcript:ONH95165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAGDRSNEKPGQDEYKLSEDARIAGFSIYPDELASITCGHDIQALKMHGGIHGILRKVSVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVGIATEGWPKGMDDGVGILISIVLVVVVTAISDYRQALQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGFFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTKWGKLMTALSEEEEDETPLQVKLNGVAIIIGKIGLTFAVLTFSVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLLLAATLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICGKPLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVVMEDGKTSILGTPTELALLEFGLLLGGDFDAVRREVNIHKVEPFNFVRKKMSVLVDHPHGGKRAFCKGASEIVLRMCNKFIDFNGESVILSLEQVKNITDVINSFASEALRTLCLAFKNIDDSSIENEIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNLNTAKAIAKECGILTEDGLAIEGQEFHNMSPEQKKAVIPRIQVMAQSLPLDKHTLVKTLRDEFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEDLLPLRLCNCFGST >ONH95167 pep chromosome:Prunus_persica_NCBIv2:G7:9307137:9311166:-1 gene:PRUPE_7G054400 transcript:ONH95167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAGDRSNEKPGQDEYKLSEDARIAGFSIYPDELASITCGHDIQALKMHGGIHGILRKVSVSLDEGVKDSNIPIRQNVYGLNRYTEKPPGTFFMFVWEALQDLTLIILMVCAVVSIGVGIATEGWPKGMDDGVGILISIVLVVVVTAISDYRQALQFKDLDREKKKIFVQVTRDKKRQKVSIYDLVVGDIVHLSIGDQVPADGFFISGYSLLIDESSLSGESEPVNVYEEKPFLLSGTKVQDGSGIMLVTTVGMRTKWGKLMTALSEEEEDETPLQVKLNGVAIIIGKIGLTFAVLTFSVLAVRFLVEKILNNEITDWSSTDAVILLNYFAIAVTIIVVAVPEGLLLAATLSLAFAMKKLMNDRALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKIWICGKPLDVKGNESKEILSSEISGASSILLQVIFQNTSSEVVMEDGKTSILGTPTELALLEFGLLLGGDFDAVRREVNIHKVEPFNFVRKKMSVLVDHPHGGKRAFCKGASEIVLRMCNKFIDFNGESVILSLEQVKNITDVINSFASEALRTLCLAFKNIDDSSIENEIPDDGYTLIAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNLNTAKAIAKECGILTEDGLAIEGQEFHNMSPEQKKAVIPRIQVMAQSLPLDKHTLVKTLRDEFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVTFIHQN >ONH95896 pep chromosome:Prunus_persica_NCBIv2:G7:12714820:12719830:-1 gene:PRUPE_7G094500 transcript:ONH95896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGQASTSSNAFSFISKGWREVKDSADADLQLMKHRANEFKNLATSFDRELENLFKFNSASAIRSSPRSEIDFVKKLQPKLSEFRRVYSSPDFSKKVLEKWGPRSRIRIDLSAIKNALVSEGENRDGVMEFDRVRRRRPLKFSEFWGEWKGDGEAEDEERQSNKDWEPIRALKTRLKEFEKREFLGGFKNSEFVEKFKSSLKSMYKEPEESKAVPPLDVTELLACLVRQSEPFLDQLGVRRNVCEKIVESLCSKSKNQLLHPLSSGESSVLDSESINDELDLRIASVLQSTGHSYDGGFWTDHAKNDPSDQKRHVAIVTTASLPWMTGTAVNPLFRAAYLAESAKQNVTLLVPWLCKSDQELVYPSDVTFTSPEEQETYIRNWLEERVGFKADFKISFYPGKFSKERRSIIPAGDTSQFIPSKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFFVKHINNWVTRAYCDKVLRLSAATQDLPRSLICNVHGVNPKFLRIGEKVAADRELGQETFSKGAYFLGKMVWAKGYRELIDLLAKHKNNLDGFKLDVYGNGEDANEVQSTAKSLDLNLNFLKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCANHPSNEFFRSFPNCLTYETPEDFVAKVKEAMESDPKPLTPEQRYNLSWEAATQRFMEYSDLDKVLNKDNNGAKSSIGNGKKISKSASVPSLTGMVDGGLAFAHYCLTGNEFLRLCTGAIPGTRDYDKQHCKDLHLLPPHVENPIYGW >ONH97891 pep chromosome:Prunus_persica_NCBIv2:G7:19574975:19578477:-1 gene:PRUPE_7G216700 transcript:ONH97891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVYASSSATISVKPPRNSDSNSFSGSRNRVTSSSGSGHKVGSFSRSLMENKPHKVVVGDAGYVLEDVPHFSDYIPHLPTYPNPLQDNPAYSVVKQYFVNVDDTVAQKIVVHNNSPRGTHFRRAGPRQKVYFESDDVHACIVTCGGLCPGLNTVIREIVCGLHHMYGVKKVLGIDGGYRGFYSRNTISLTPKSVNDIHKRGGTILGTSRGGHNTSKIVDSIQDRGINQVYIIGGDGTQKGASVIYEEIKRRGLKVVVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVESESVENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLYEYIEKRLKENGHMVIVIAEGAGQELLSESMRSMAKQDASGNKLLQDVGLWISQKIKDHFSKRNKMTINLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGFTVGPVNGRHSYIPFHSIIEQQNKVVITDRMWARLLSSTNQPSFLCAKDVIEDKKEELPSQLSDHQNGLKDNLVKKEISHAL >ONH98641 pep chromosome:Prunus_persica_NCBIv2:G7:21628421:21629788:1 gene:PRUPE_7G259600 transcript:ONH98641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKTMDVVKGLDLQRYMGRWYEIASFPSRFQPKNGENTRATYTLRDDGTVNVLNETWSDGKRSSIEGTAYKADPSSEEAKLKVKFYVPPFLPIIPVVGDYWVLFIDEDYQYALIGQPSRNYLWILSRQPRLDDEIYNQLVQRAKDEEYDVSKLHKTPQSETPPEGEEGPKDTKGIWWFKSLLGK >ONH95982 pep chromosome:Prunus_persica_NCBIv2:G7:13055068:13057555:1 gene:PRUPE_7G099900 transcript:ONH95982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMLLCLLLSTILGQSQALEASESELPSQELEFLTRGLLGSAKEAEFFQWMRGVRRRIHQYPELGFEEHRTSQLVRSELDSLGIEYAWPVAKTGVVASIGSGSKPVFALRADMDALPLQEQVEWEFKSKIDGKMHACGHDSHVAMLLGAAKLLQSKRDILKGTVKLIFQPGEEGYAGAYHMLQHGVLNDVDAFFFIHVTPSLRTGVIASRPGPMLAGAGLFSATIRGKGGHAAAPHKNKDSILAAASAVVALQQIVSRETNPLEARVVTVGFLKGGEAGNVIPESVKFGGTFRSLTNEGLTYLQNRIKEVIELQAAVHRCEGTVDFMEDTPLPYPVMINDEPLYEHVKKVGEALLGEPNVELCPVIMGAEDFSFYSKESAATIFVLGIKNESLKSDQPLHTSHFVIDEEALSVGAALNAAVAISYLDTHVVRTH >ONH97099 pep chromosome:Prunus_persica_NCBIv2:G7:17210848:17213153:-1 gene:PRUPE_7G169700 transcript:ONH97099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWQFLTSPAGKWLGFVTAIWVQAISGNNYTFSNYSHALKSLMALTQLQLNNLSVAKDVGKAFGLLSGLASDRWPTSIILIFGAVEGLIGYGIQWLVVSQRISPLPYWQMCIFMCIGGNSTTWMNTAVLVTCMRNFAKNRGPVSGILKGYVGLSTAIFTDLCTALFSSNPSKFLLMLAIVPAIVCFTAVLFLKETEPASMPSEQKQEAEFFHAFDVIAIAVAVYLLAFDITGTHGHVLSLAFVIGLAVLLAMPLGVPLYTFLYTPSGTEPSCHNDDIEHQIKEPLLAKIGGPIEKPPEIQNSNEGINLRDVVGKRQPLIGEDHTIIEMVQTFDFWILFFSFLCGVGTGMCVMNNMAQMGLALGYHDVSIFVSLTSIWGFFGRIVSGLASEYYIGKCGTPRPLWNAAAEVLMALGFIAMASALPGSFYIGSILVGMGYGVLLTITVPVASELFGLKYYGLLYNILILNLPLGSFLFSGLLAGLLYDAQATSTSGGGTTCVGPHCYMLVFVIMAIACMLGLALDVLLAFRTKNVYAKIYESKNHTATA >ONH96579 pep chromosome:Prunus_persica_NCBIv2:G7:15464403:15469428:1 gene:PRUPE_7G138200 transcript:ONH96579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAAMTVGPAMDMPIMHDSDRYDFVRDIGSGNFGVARLMRDRQTRELVAVKYIERGNKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGEMFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKIADVWSCGVTLYVMLVGSYPFEDPDEPKDFRKTIQRILSVQYSIPDSVQISRECLELISRIFVPDPAARITIPEIKNHSWFLKNLPADLMDEMKIGSHFEEPDQPMQSLDVIMQIIAEATIPAAGTLGLSSYMTDSLDMDDDMDDLDSESELDVDSSGEIVYAI >ONH96578 pep chromosome:Prunus_persica_NCBIv2:G7:15464403:15469428:1 gene:PRUPE_7G138200 transcript:ONH96578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAAMTVGPAMDMPIMHDSDRYDFVRDIGSGNFGVARLMRDRQTRELVAVKYIERGNKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGEMFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGSYPFEDPDEPKDFRKTIQRILSVQYSIPDSVQISRECLELISRIFVPDPAARITIPEIKNHSWFLKNLPADLMDEMKIGSHFEEPDQPMQSLDVIMQIIAEATIPAAGTLGLSSYMTDSLDMDDDMDDLDSESELDVDSSGEIVYAI >ONH94899 pep chromosome:Prunus_persica_NCBIv2:G7:7097581:7098362:1 gene:PRUPE_7G038200 transcript:ONH94899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNSTQLSNGHGRQISKPNKKSKTKKQGIKVVYISNPMKVRTSASEFRALVQELTGQDSELPDPARFLEHSSAEDNNSTAQVGGHHHHDALDLVVADAASPPAQEQPAESSSSNVNTQYPDDELYDDLFVPEMMDSFGGFLPSSVLYGSS >ONH96287 pep chromosome:Prunus_persica_NCBIv2:G7:14294030:14296470:1 gene:PRUPE_7G118300 transcript:ONH96287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSTPKTQSRTCHLRVRANTVVAGASSKKTSGLRRLNAVAETAAMEVAELKKGIAELYDESSGLWEDIWGDHMHHGFYDPNADVSVSAPDHRAAQIRMIDEVLRFAGISEEAPIRGPKNVVDVGCGIGGSSRYLAGRYGANCKGITLSPVQAERANALADAQGLANKASFQVADALDQPFPDGQFDLVWSMESGEHMPDKAKFVNELVRVAAPGATIILVTWCHRDLGASEKALKPEEKRLLDKICNAFYLPAWCSTADYVQLLQSLSLQDIKAEDWSPYVAPFWPAVIRSALTWKGFTSLLRSGTKTIKGALAMPLMIQGFKKDLIKYSVITCRKPE >ONH96288 pep chromosome:Prunus_persica_NCBIv2:G7:14293864:14296470:1 gene:PRUPE_7G118300 transcript:ONH96288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSTPKTQSRTCHLRVRANTVVAGASSKKTSGLRRLNAVAETAAMEVAELKKGIAELYDESSGLWEDIWGDHMHHGFYDPNADVSVSAPDHRAAQIRMIDEVLRFAGISEEAPIRGPKNVVDVGCGIGGSSRYLAGRYGANCKGITLSPVQAERANALADAQGLANKASFQVADALDQPFPDGQFDLVWSMESGEHMPDKAKFVNELVRVAAPGATIILVTWCHRDLGASEKALKPEEKRLLDKICNAFYLPAWCSTADYVQLLQSLSLQDIKAEDWSPYVAPFWPAVIRSALTWKGFTSLLRSGTKTIKGALAMPLMIQGFKKDLIKYSVITCRKPE >ONH96285 pep chromosome:Prunus_persica_NCBIv2:G7:14293864:14296470:1 gene:PRUPE_7G118300 transcript:ONH96285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSYQTCALMSSSSSSTPKTQSRTCHLRVRANTVVAGASSKKTSGLRRLNAVAETAAMEVAELKKGIAELYDESSGLWEDIWGDHMHHGFYDPNADVSVSAPDHRAAQIRMIDEVLRFAGISEEAPIRGPKNVVDVGCGIGGSSRYLAGRYGANCKGITLSPVQAERANALADAQGLANKASFQVADALDQPFPDGQFDLVWSMESGEHMPDKAKFVNELVRVAAPGATIILVTWCHRDLGASEKALKPEEKRLLDKICNAFYLPAWCSTADYVQLLQSLSLQDIKAEDWSPYVAPFWPAVIRSALTWKGFTSLLRSGTKTIKGALAMPLMIQGFKKDLIKYSVITCRKPE >ONH96286 pep chromosome:Prunus_persica_NCBIv2:G7:14293864:14296470:1 gene:PRUPE_7G118300 transcript:ONH96286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSYQTCALMSSSSSSTPKTQSRTCHLRVRANTVVAGASSKKTSGLRRLNAVAETAAMEVAELKKGIAELYDESSGLWEDIWGDHMHHGFYDPNADVSVSAPDHRAAQIRMIDEVLRFAGISEEAPIRGPKNVVDVGCGIGGSSRYLAGRYGANCKGITLSPVQAERANALADAQGLANKASFQVADALDQPFPDGQFDLVWSMESGEHMPDKAKFVNELVRVAAPGATIILVTWCHRDLGASEKALKPEEKRLLDKICNAFYLPAWCSTADYVQLLQSLSLQDIKAEDWSPYVAPFWPAVIRSALTWKGFTSLLRSGTKTIKGALAMPLMIQGFKKDLIKYSVITCRKPE >ONH97179 pep chromosome:Prunus_persica_NCBIv2:G7:17408472:17409371:-1 gene:PRUPE_7G174100 transcript:ONH97179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLGVKKSNLLDLASAETNKLGSTGKHDQPLCPKPRRAGPAIPEFLKPQRCNKHSQQNSDERSGILNMITEKEIFDGREHVCTKCSPACYSGSPPGRTNNPLVHDVQFLHQMELLSPFTRTKLSDKFGFSTSASPL >ONH97830 pep chromosome:Prunus_persica_NCBIv2:G7:19381196:19383901:-1 gene:PRUPE_7G212900 transcript:ONH97830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKREEREMNTYLDGIIEAMPVFAKELIAGGVAGGVAKTVVAPLERIKILFQTRRAEYQSIGLFGSIKKIAKTEGPLGFYRGNGASVARIVPYAALHYMTYEQYRRWIILTFPDVGRGPGLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVGSPKLNVQGVVNTGQIYKGILDCFSKTYREAGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPQEHKKSIMVKLVCGSVAGLLGQTFTYPLDVVRRQMQSRDERDNGSPCHDRSKARMEAIIFRT >ONH97828 pep chromosome:Prunus_persica_NCBIv2:G7:19381542:19383712:-1 gene:PRUPE_7G212900 transcript:ONH97828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKREEREMNTYLDGIIEAMPVFAKELIAGGVAGGVAKTVVAPLERIKILFQTRRAEYQSIGLFGSIKKIAKTEGPLGFYRGNGASVARIVPYAALHYMTYEQYRRWIILTFPDVGRGPGLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVGSPKLNVQGVVNTGQIYKGILDCFSKTYREAGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPQEHKKSIMVKLVCGSVAGLLGQTFTYPLDVVRRQMQVQRIVSSNSPEMKGTMEVLVMIAQKQGWKQLFSGLSINYLKVVPSVAIGFTVYDVMKSYLRVPSRDEAVTKLVTNKRNTHPSSLHS >ONH97829 pep chromosome:Prunus_persica_NCBIv2:G7:19381542:19382645:-1 gene:PRUPE_7G212900 transcript:ONH97829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYEQYRRWIILTFPDVGRGPGLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVGSPKLNVQGVVNTGQIYKGILDCFSKTYREAGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPQEHKKSIMVKLVCGSVAGLLGQTFTYPLDVVRRQMQVQRIVSSNSPEMKGTMEVLVMIAQKQGWKQLFSGLSINYLKVVPSVAIGFTVYDVMKSYLRVPSRDEAVTKLVTNKRNTHPSSLHS >ONH97831 pep chromosome:Prunus_persica_NCBIv2:G7:19381196:19383189:-1 gene:PRUPE_7G212900 transcript:ONH97831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYEQYRRWIILTFPDVGRGPGLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVGSPKLNVQGVVNTGQIYKGILDCFSKTYREAGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPQEHKKSIMVKLVCGSVAGLLGQTFTYPLDVVRRQMQSRDERDNGSPCHDRSKARMEAIIFRT >ONH96360 pep chromosome:Prunus_persica_NCBIv2:G7:14575913:14578701:1 gene:PRUPE_7G123400 transcript:ONH96360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTMAVLTTENGESQYQNGVKRLYESGISKVPSKYVLPILDRPNSSDQKPNVSNQNLKLPVIDFKELQGPNRAQVLKSLANACEQYGFFQLVNHGIPSDVISSMIDVSTRFFELPFEERAKYMSSDMHAPVRYGTSFNQKKDKVFCWRDFLKLMCHPLPDVLPHWPSSPVDMRKLAATYAQETKYLFLMLMEAIFESLRLVGTTENNTTEEDEMLKEFQDGSQLMVVNCYPPCPQPDLTLGMPPHSDYGFLTLLLQDEVEGLQIQKQDQWVTVEPIPNSFVVNVGDHLEIFSNGKYKSVLHRVLVNSTKGRISVASLHTLPFMRSVGPSPKLVNESNPRLYKDTDFASFLEYISSREPKRKNFLESRKLFT >ONH96359 pep chromosome:Prunus_persica_NCBIv2:G7:14576276:14578701:1 gene:PRUPE_7G123400 transcript:ONH96359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTMAVLTTENGESQYQNGVKRLYESGISKVPSKYVLPILDRPNSSDQKPNVSNQNLKLPVIDFKELQGPNRAQVLKSLANACEQYGFFQLVNHGIPSDVISSMIDVSTRFFELPFEERAKYMSSDMHAPVRYGTSFNQKKDKVFCWRDFLKLMCHPLPDVLPHWPSSPVDMRKLAATYAQETKYLFLMLMEAIFESLRLVGTTENNTTEEDEMLKEFQDGSQLMVVNCYPPCPQPDLTLGMPPHSDYGFLTLLLQDEVEGLQIQKQDQWVTVEPIPNSFVVNVGDHLEIFSNGKYKSVLHRVLVNSTKGRISVASLHTLPFMRSVGPSPKLVNESNPRLYKDTDFASFLEYISSREPKRKNFLESRKLFT >ONH98039 pep chromosome:Prunus_persica_NCBIv2:G7:19959240:19963377:1 gene:PRUPE_7G224700 transcript:ONH98039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDSAMCSKQKEKKGAEEREQQHVQNKSKKRKEICPYGNYKHYYGYRIGQELEEDPRLKVFKKEWFQGKDCLDIGCNSGIMTIQIAKKFCCQSILGVDIDANRIQDAYWHIRKFLKMEDARKVPGKSSKLEDANGSESSIKGSLKEEMKEIPRNCCAEEKNLLDMVSFQKEDFVNTRDPPKKHYDTILCLSVTKWIHLNWGDDGLITLFTKVWRLLSPGGIFVLEPQPWKSYENNYKVSETTRTNYKNLKFHPEYFQDLLLDKIGFKTVENVTSSVSGSKTGFNRPILVFRK >ONH95632 pep chromosome:Prunus_persica_NCBIv2:G7:11660144:11661331:1 gene:PRUPE_7G081400 transcript:ONH95632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKREAGSSNGEAVVVGPEGSVDQSNESVASVDSSSAVTESNLSPYAAEWEPFYYKAPEDDRCCFITFSNGFPLTEDQIMDFFNERFGSCVERVYVHCPHPWEDPLFGKVVFNSVLMPAIVLRGQGQVKFKIDRRPLWCKRFDRGKRRNPALIY >ONH94827 pep chromosome:Prunus_persica_NCBIv2:G7:6209087:6211459:1 gene:PRUPE_7G033300 transcript:ONH94827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFEGVFPSFIGSLEKLKYLDLSGSYFVGVIPPNLGNLSRLLYLDLSTLYRDNPIETDLQWLATLSSLKYLNLEGVNLTKTTSYWLPAINMLPSLVELRLPSCSLSMLPLTLPSINFTSLSVLDLSDNKFNSTIPPWLFNLTKLEMLDFEFNRLTGKLPDSLGYLKSLRYLNLSSNLLEGSIPKSIGNLTSLEEFNLETNQMSGIIPESLGELSSLVSLDIYDNTWEGAITKAHFAKLGGLRKVSIGNYVRNIPLVFNISSDWIPPFKLRYLETASCQLGPKFPTWLRNQTQLTMVVLYSARISGTIPDWFLQLDLQLDGLEFVDNQLSGRVPNSFRFSYDSFVDLSSNRFEGPLPLWSSNISRLYLRDNLFSGPIPHNIGQVMPNLTYLDISTNSLSGSIPLFLGNLSQLEAILISNNLFSGEVPHFWNNMPSLFCIELSNNSLSGTIPRSLGSLTSLEFLGLSSNNFSGEVPSLKNCTHLRILDLGDNKFSGPIPASIGESMPNLQILSLRSNSFTGSIPLKLCGLSALHILDFSHNNLSGNIPHCIGNLSYLKSESTDYYSYDYSGRFELVSKGRVFVYDYGSILYLVTSVDLSDNKLSGEIPIELTSLIKLGTLNLSMNHLTGNIPANIGNLESIETLDLSLNKLSGSIPQSMVNLTFLNHLNLSYNNLSGKIPTSNQFQTFVDPSIYEGNPGLSSCPLPIVCQDNEEAPQVPSGDGGEDDDSKLEKLQFVISLVIGFCAGFWGVFGTLAMKRSWRYAYFHFLDKVKDAVLYFVSAIGTYLQKRS >ONH97851 pep chromosome:Prunus_persica_NCBIv2:G7:19456396:19457965:1 gene:PRUPE_7G214300 transcript:ONH97851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTRMPVSTKNPTVLQSQELHEYILKTSVYPREPNALKELRIATANHPMAFMGTAPDAGQLMTFLLKLVNPKKAIEIGVFTGYSLLLTALTIPDDGKITAIDINRKTYEIGLPVIKKAGVEHKIDFVESQALPFLNKLLEDPEKEGSFDFAFVDADKNNYWNYHQRLMKLIKVGGILMYDNTLWGGTVAWPEEDVPEAKRKWRLCAIEFNKLVSADTNVEISQVPLGDGITICRRIC >ONH97853 pep chromosome:Prunus_persica_NCBIv2:G7:19456381:19457966:1 gene:PRUPE_7G214300 transcript:ONH97853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTRMPVSTKNPTVLQSQELHEYILKTSVYPREPNALKELRIATANHPMAFMGTAPDAGQLMTFLLKLVNPKKAIEIGVFTGYSLLLTALTIPDDGKITAIDINRKTYEIGLPVIKKAGVEHKIDFVESQALPFLNKLLEDPEKEGSFDFAFVDADKNNYWNYHQRLMKLIKVGGILMYDNTLWGGTVAWPEEDVPEAKRKWRLCAIEFNKLVSADTNVEISQVPLGDGITICRRIC >ONH97852 pep chromosome:Prunus_persica_NCBIv2:G7:19456447:19457965:1 gene:PRUPE_7G214300 transcript:ONH97852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTRMPVSTKNPTVLQSQELHEYILKTSVYPREPNALKELRIATANHPMAFMGTAPDAGQLMTFLLKLVNPKKAIEIGVFTGYSLLLTALTIPDDGKITAIDINRKTYEIGLPVIKKAGVEHKIDFVESQALPFLNKLLEDPEKEGSFDFAFVDADKNNYWNYHQRLMKLIKVGGILMYDNTLWGGTVAWPEEDVPEAKRKWRLCAIEFNKLVSADTNVEISQVPLGDGITICRRIC >ONH98167 pep chromosome:Prunus_persica_NCBIv2:G7:20362096:20365933:-1 gene:PRUPE_7G233500 transcript:ONH98167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGLDMCSKRGDVMGAIKFYDLAQREEIKLEQYHYTVLLYLCSSAAVGVVRPAKSGSGSRTLDTLDSSASEETRVNSMELGSGNWDGRGLNTSTLDTEQLVDTNGSNGEKMGFDDLDGTSDEKENLAWFSNGFVKRNSRLLDGLNYPTKGGDDSSNLKDGSIKQEDNRIRVSEEVKKYALQRGFEIYEKMCLDNVPMNEAALTSVARMAMSMGDGDMAFDMVKQMKSLGINPRLRSYGPALSAFCHSGDIDKAFAVEKHMLDHGVYPEEPELEALLRVSVGVGKGDKVYYMLHKLRTSVRRVSPSTADLIMNWFHSKEAARVGKIKWDPRLIREAIENGGGGWHGQGWLGKGKWSVLRTTIGADGLCKCCGEKLATIDLDPVETENFAESVASIAIKREKNSSFQKFQKWLDYYGPFEAVVDGANVGLFSQKKFIPSKVNAVVNGIRQKLPSKRWPLIVLHNRRISGGKMDERVNRALIEKWQNADALYATPTGSNDDWYWLYAAIKFKCLLVTNDEMRDHIFQLLGNDFFPRWKERHQVHFSFSDAGPVFHMPPPCSVVIQESEEGHWHIPVVSEHDCEAERTWLCIMRSKSRLERNDSATRPEDAQPLCRDNGNARSATRTGVESQPLKNGKQKYTKHQPREFFENIKDILSGSMISDCHSIVPDIATAEKIGGCVIDFQI >ONH98166 pep chromosome:Prunus_persica_NCBIv2:G7:20362534:20365313:-1 gene:PRUPE_7G233500 transcript:ONH98166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGLDMCSKRGDVMGAIKFYDLAQREEIKLEQYHYTVLLYLCSSAAVGVVRPAKSGSGSRTLDTLDSSASEETRVNSMELGSGNWDGRGLNTSTLDTEQLVDTNGSNGEKMGFDDLDGTSDEKENLAWFSNGFVKRNSRLLDGLNYPTKGGDDSSNLKDGSIKQEDNRIRVSEEVKKYALQRGFEIYEKMCLDNVPMNEAALTSVARMAMSMGDGDMAFDMVKQMKSLGINPRLRSYGPALSAFCHSGDIDKAFAVEKHMLDHGVYPEEPELEALLRVSVGVGKGDKVYYMLHKLRTSVRRVSPSTADLIMNWFHSKEAARVGKIKWDPRLIREAIENGGGGWHGQGWLGKGKWSVLRTTIGADGLCKCCGEKLATIDLDPVETENFAESVASIAIKREKNSSFQKFQKWLDYYGPFEAVVDGANVGLFSQKKFIPSKVNAVVNGIRQKLPSKRWPLIVLHNRRISGGKMDERVNRALIEKWQNADALYATPTGSNDDWYWLYAAIKFKCLLVTNDEMRDHIFQLLGNDFFPRWKERHQVHFSFSDAGPVFHMPPPCSVVIQESEEGHWHIPVVSEHDCEAERTWLCIMRSKSRLERNDSATRPEDAQPLCRDNGNARSATRTGVESQPLKNGKQKYTKHQPREFFENIKDILSGSMISDCHSIVPDIATAEKIGGCVIDFQI >ONH98169 pep chromosome:Prunus_persica_NCBIv2:G7:20362096:20365894:-1 gene:PRUPE_7G233500 transcript:ONH98169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGLDMCSKRGDVMGAIKFYDLAQREEIKLEQYHYTVLLYLCSSAAVGVVRPAKSGSGSRTLDTLDSSASEETRVNSMELGSGNWDGRGLNTSTLDTEQLVDTNGSNGEKMGFDDLDGTSDEKENLAWFSNGFVKRNSRLLDGLNYPTKGGDDSSNLKDGSIKQEDNRIRVSEEVKKYALQRGFEIYEKMCLDNVPMNEAALTSVARMAMSMGDGDMAFDMVKQMKSLGINPRLRSYGPALSAFCHSGDIDKAFAVEKHMLDHGVYPEEPELEALLRVSVGVGKGDKVYYMLHKLRTSVRRVSPSTADLIMNWFHSKEAARVGKIKWDPRLIREAIENGGGGWHGQGWLGKGKWSVLRTTIGADGLCKCCGEKLATIDLDPVETENFAESVASIAIKREKNSSFQKFQKWLDYYGPFEAVVDGANVGLFSQKKFIPSKVNAVVNGIRQKLPSKRWPLIVLHNRRISGGKMDERVNRALIEKWQNADALYATPTGSNDDWYWLYAAIKFKCLLVTNDEMRDHIFQLLGNDFFPRWKERHQFF >ONH98168 pep chromosome:Prunus_persica_NCBIv2:G7:20362096:20365894:-1 gene:PRUPE_7G233500 transcript:ONH98168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSNSLQQKHHLLSINLCKYPSALNSFKFHCPSHFLTFSPPKQTLELKHTLQLYPLPVVRENISHIVAKLSTTYREPYIKTKSPGTGTEFSSLKSTEERVGKKSVKNHVGSVEEKKAEDRFSKDRNTRKNPGFRKRRDDNEHSSRRLKDENKVNFSGKRNDKQAGEEKRGKGSKKYDVDAPEVKMRIGLDMCSKRGDVMGAIKFYDLAQREEIKLEQYHYTVLLYLCSSAAVGVVRPAKSGSGSRTLDTLDSSASEETRVNSMELGSGNWDGRGLNTSTLDTEQLVDTNGSNGEKMGFDDLDGTSDEKENLAWFSNGFVKRNSRLLDGLNYPTKGGDDSSNLKDGSIKQEDNRIRVSEEVKKYALQRGFEIYEKMCLDNVPMNEAALTSVARMAMSMGDGDMAFDMVKQMKSLGINPRLRSYGPALSAFCHSGDIDKAFAVEKHMLDHGVYPEEPELEALLRVSVGVGKGDKVYYMLHKLRTSVRRVSPSTADLIMNWFHSKEAARVGKIKWDPRLIREAIENGGGGWHGQGWLGKGKWSVLRTTIGADGLCKCCGEKLATIDLDPVETENFAESVASIAIKREKNSSFQKFQKWLDYYGPFEAVVDGANVGLFSQKKFIPSKVNAVVNGIRQKLPSKRWPLIVLHNRRISGGKMDERVNRALIEKWQNADALYATPTGSNDDWYWLYAAIKFKCLLVTNDEMRDHIFQLLGNDFFPRWKERHQFF >ONH98165 pep chromosome:Prunus_persica_NCBIv2:G7:20362534:20365874:-1 gene:PRUPE_7G233500 transcript:ONH98165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSNSLQQKHHLLSINLCKYPSALNSFKFHCPSHFLTFSPPKQTLELKHTLQLYPLPVVRENISHIVAKLSTTYREPYIKTKSPGTGTEFSSLKSTEERVGKKSVKNHVGSVEEKKAEDRFSKDRNTRKNPGFRKRRDDNEHSSRRLKDENKVNFSGKRNDKQAGEEKRGKGSKKYDVDAPEVKMRIGLDMCSKRGDVMGAIKFYDLAQREEIKLEQYHYTVLLYLCSSAAVGVVRPAKSGSGSRTLDTLDSSASEETRVNSMELGSGNWDGRGLNTSTLDTEQLVDTNGSNGEKMGFDDLDGTSDEKENLAWFSNGFVKRNSRLLDGLNYPTKGGDDSSNLKDGSIKQEDNRIRVSEEVKKYALQRGFEIYEKMCLDNVPMNEAALTSVARMAMSMGDGDMAFDMVKQMKSLGINPRLRSYGPALSAFCHSGDIDKAFAVEKHMLDHGVYPEEPELEALLRVSVGVGKGDKVYYMLHKLRTSVRRVSPSTADLIMNWFHSKEAARVGKIKWDPRLIREAIENGGGGWHGQGWLGKGKWSVLRTTIGADGLCKCCGEKLATIDLDPVETENFAESVASIAIKREKNSSFQKFQKWLDYYGPFEAVVDGANVGLFSQKKFIPSKVNAVVNGIRQKLPSKRWPLIVLHNRRISGGKMDERVNRALIEKWQNADALYATPTGSNDDWYWLYAAIKFKCLLVTNDEMRDHIFQLLGNDFFPRWKERHQVHFSFSDAGPVFHMPPPCSVVIQESEEGHWHIPVVSEHDCEAERTWLCIMRSKSRLERNDSATRPEDAQPLCRDNGNARSATRTGVESQPLKNGKQKYTKHQPREFFENIKDILSGSMISDCHSIVPDIATAEKIGGCVIDFQI >ONH96811 pep chromosome:Prunus_persica_NCBIv2:G7:16371039:16376228:1 gene:PRUPE_7G153600 transcript:ONH96811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCVSRRLGVPSSQRSVSSDSLRSHFSRLFSTESATGRSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGRIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNSDGSCQGVIALNMEDGTLHRFQAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTKKGDDPDVIIPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHKPGEKQQPLEKDSGEQTIAWLDKIRNSNGSLPTSQIRLNMQRIMQNNAAVFRTQETLEEGSQLIDKAWESFDNVQVKDRSLIWNSDLIETIELENLLINACVTMHSAEARKESRGAHAREDFTKRDDEKWMKHTLGYWENEKVRLDYRPVHLNTLDDEIESFPPKARVY >ONH96812 pep chromosome:Prunus_persica_NCBIv2:G7:16371058:16375907:1 gene:PRUPE_7G153600 transcript:ONH96812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGRIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNSDGSCQGVIALNMEDGTLHRFQAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTKKGDDPDVIIPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHKPGEKQQPLEKDSGEQTIAWLDKIRNSNGSLPTSQIRLNMQRIMQNNAAVFRTQETLEEGSQLIDKAWESFDNVQVKDRSLIWNSDLIETIELENLLINACVTMHSAEARKESRGAHAREDFTKRDDEKWMKHTLGYWENEKVRLDYRPVHLNTLDDEIESFPPKARVY >ONH96810 pep chromosome:Prunus_persica_NCBIv2:G7:16371058:16375907:1 gene:PRUPE_7G153600 transcript:ONH96810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCVSRRLGVPSSQRSVSSDSLRSHFSRLFSTESATGRSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGRIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNSDGNCQGVIALNMEDGTLHRFQAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTKKGDDPDVIIPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHKPGEKQQPLEKDSGEQTIAWLDKIRNSNGSLPTSQIRLNMQRIMQNNAAVFRTQETLEEGSQLIDKAWESFDNVQVKDRSLIWNSDLIETIELENLLINACVTMHSAEARKESRGAHAREDFTKRDDEKWMKHTLGYWENEKVRLDYRPVHLNTLDDEIESFPPKARVY >ONH96905 pep chromosome:Prunus_persica_NCBIv2:G7:16616058:16619445:-1 gene:PRUPE_7G158700 transcript:ONH96905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLSEEGLLFLVRNYYDVFIVAVFSIGVTFLVSKIAWRSLDMTTTSYRGGGIPGRLGLPFVGETLSLLSATSSIKGCYEFVRLRRIWHGKWFKTRIFGQIHVFVPSTEGARAIFSDDFAKFNKGYVKSMADCVGEKSLLCVPHEDHKRIRHLLSEPFSMNSLSTFVQKFDKVLCQELKKLEGGGKSFVVLDFSMKITFDAMCNMLLSVTDDSLLRKINKDCTAVSDAMLTFPYMIPGTRYYKGIKARRRLMETFKDIIGRRRSGKESAEDFLQSMLERDSHPPNEKLQDSEIMDNLLTLIIAGQTTTSAAMMWSVKFLDENREAQERLREEQLSIARARPDGASATLEDFKNMPYCLKVVKETLRISNVLLWFPRVALSDCTIEGFEIKKGWHVNIDATCIHNDPALYAEPMQFNPSRFDEMQKPYSFIPFGSGPRTCLGMNMAKVTMLVFLHRLTSGYRWTVDDLDTSLARNAHIPRLRSGCPITLRAL >ONH96906 pep chromosome:Prunus_persica_NCBIv2:G7:16616359:16619445:-1 gene:PRUPE_7G158700 transcript:ONH96906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLSEEGLLFLVRNYYDVFIVAVFSIGVTFLVSKIAWRSLDMTTTSYRGGGIPGRLGLPFVGETLSLLSATSSIKGCYEFVRLRRIWHGKWFKTRIFGQIHVFVPSTEGARAIFSDDFAKFNKGYVKSMADCVGEKSLLCVPHEDHKRIRHLLSEPFSMNSLSTFVQKFDKVLCQELKKLEGGGKSFVVLDFSMKITFDAMCNMLLSVTDDSLLRKINKDCTAVSDAMLTFPYMIPGTRYYKGIKARRRLMETFKDIIGRRRSGKESAEDFLQSMLERDSHPPNEKLQDSEIMDNLLTLIIAGQTTTSAAMMWSVKFLDENREAQERLREEQLSIARARPDGASATLEDFKNMPYCLKVVKETLRISNVLLWFPRVALSDCTIEGFEIKKGWHVNIDATCIHNDPALYAEPMQFNPSRFDEMQKPYSFIPFGSGPRTCLGMNMAKVTMLVFLHRLTSGYR >ONH97111 pep chromosome:Prunus_persica_NCBIv2:G7:17232882:17238181:1 gene:PRUPE_7G170300 transcript:ONH97111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSTVKHDKKFLEIIGRRLKKNSVALDIVNFGEEDEEKSEKLESLFKTVNNNDTSHIVHVPPGPSALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFDFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEDASKQEKGGEAQSSSQDATMTERASAGTSEADNKSNDFMDDENALLQQALAMSMDEPASSHEMRDADMSEATGEDPELALALQLSVQESAKDSGSQKDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQNQSEPDQKKNEDKAPKEEEK >ONH94189 pep chromosome:Prunus_persica_NCBIv2:G7:366515:370254:1 gene:PRUPE_7G003300 transcript:ONH94189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARTGVYVDDYLEYASTLPAELQRLLNTIRELDERSQSMIHQTRQQTKYCLGLSSQSSKKWNNNHSYNNNEEDEAAIEKIRKEIEANQDNALSLCTEKVLLAQQAYDLIDSHVKRLDEDLNHFAEDLKQEGKIPPDEPAVLPPLPIVPKNEKRKPMYVTPQSKRLDFRDRDWDRERDRDFELMPPPGSHKKDYAVPVDVEQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYACVGLTPETRFKGKWYCPTCRLQPQSQ >ONH96301 pep chromosome:Prunus_persica_NCBIv2:G7:14342959:14345588:1 gene:PRUPE_7G119500 transcript:ONH96301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKLKGKVECSGNSSSEAKECGLPLEKLNLGPRKKLLVLSLGGLLCHRVHRYGRANIPRFRYVDASYGSFLFFFQLVSKLEFCSSLKICSFFFLFLFWLFSCTVYKRPHSEDFMKFCLERFDVGICSSAKEWYLDNALDCVMKGLRSKLVFAWFL >ONH96302 pep chromosome:Prunus_persica_NCBIv2:G7:14342939:14344719:1 gene:PRUPE_7G119500 transcript:ONH96302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKLKGKVECSGNSSSEAKECGLPLEKLNLGPRKKLLVLSLGGLLCHRVHRYGRANIPRFRYVDASYGSFLFFFQLVSKLEFCSSLKICSFFFLFLFWLFSCTVYKRPHSEDFMKFCLERFDVGICSSAKEWYLDNALDCVMKGLRSKLVFAWFL >ONH96186 pep chromosome:Prunus_persica_NCBIv2:G7:13855618:13856316:-1 gene:PRUPE_7G112000 transcript:ONH96186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNGRQSRWCNFSCFFWIFYYSILAFILFIVAIIIFWLIFQPQELKFTVTDASLTQFNLTNTSITNTTNNTLYYNLALNVSIRNPNKKVGVYYNRIQAIGNYGKKRFALVNTTSTPFYQGHKNTTMVRFVLQGQQVVVLGGKELSRFNSETSARVYNIDVKLAQRIKARYGKIKTAYFKPPKVDCQLKLPLSTIYNNGTSVGPKFVSKECGSVKIFTISVGIGIGPFSFETG >ONH94766 pep chromosome:Prunus_persica_NCBIv2:G7:5325889:5330295:-1 gene:PRUPE_7G028700 transcript:ONH94766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTGSLNYWRNYFRTANSDIFDIIDHAIMVAASDCPKEFKLRRDRIAERLFSCRLTRCSGCDKMELAVPGGDGEDDDDDGGCKSGFDRDGGEVEAGASKESKVNSSRDDQGEMNMNQLSNYSYGLAEALTAEIEEESEIVGEVFRIKEIFNNCEEESDTVLFESLRKLQLMALNVETLKATEIGKAVNGLRKHGSKKIRHLARSLIDGWKDMVDEWVNATTALAEAMSDSVDPSFDDEEGLPSPPLDEGAFFATQTTSMELSQFFDGMDDFGNPRNNRDNGRKPSVEKQNIVKQKQQPSNEANVLPKENKNEQVKKQEVVKPNKLSNTDSGPGRPPKVNMEQKVNNETKIQQKTDKVAIQKRQLTGQQDKFKCSDEVAVQVKLEATKRKLQERYQQAENAKRQRTVQVMELQDLPKQGLARRNPHGKPGNHNRHWAHGRR >ONH94767 pep chromosome:Prunus_persica_NCBIv2:G7:5326554:5329535:-1 gene:PRUPE_7G028700 transcript:ONH94767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTGSLNYWRNYFRTANSDIFDIIDHAIMVAASDCPKEFKLRRDRIAERLFSCRLTRCSGCDKMELAVPGGDGEDDDDDGGCKSGFDRDGGEVEAGASKESKVNSSRDDQGEMNMNQLSNYSYGLAEALTAEIEEESEIVGEVFRIKEIFNNCEEESDTVLFESLRKLQLMALNVETLKATEIGKAVNGLRKHGSKKIRHLARSLIDGWKDMVDEWVNATTALAGTEAMSDSVDPSFDDEEGLPSPPLDEGAFFATQTTSMELSQFFDGMDDFGNPRNNRDNGRKPSVEKQNIVKQKQQPSNEANVLPKENKNEQVKKQEVVKPNKLSNTDSGPGRPPKVNMEQKVNNETKIQQKTDKVAIQKRQLTGQQDKFKCSDEVAVQVKLEATKRKLQERYQQAENAKRQRTVQVMELQDLPKQGLARRNPHGKPGNHNRHWAHGRR >ONH94171 pep chromosome:Prunus_persica_NCBIv2:G7:274812:278146:1 gene:PRUPE_7G002200 transcript:ONH94171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVWFPKWVMLLVVFGCLCLSGCVVEGLGVNWGTMATHKLPPETVVQMLKDNGIQKVKLFDADESTMSALAGSGLEVMVAIPNDQLAVMATYKRAKEWVRRNVTRYNFNGGVNIKYVAVGNEPFLTSYNGSFLNVTFPALQNIQNALNEAGVGDSIKATVPLNADVYNSPDSNPVPSAGRFRSDISPLMIAIVQFLAKNKAPFTINIYPFLSLYGNDDFPFNYAFFDGDTNPIVDATTGIQYTNVFDANFDTLVSSLKAEGFGDMTIVVGEVGWPTDGDKNANAGNAYRFYNGLLPKLGTNRGTPLRPGYIEVYLFGLIDEDAKSIAPGSFERHWGIFSYDGQPKFLVDLSGQSQNKLLVPAKNVQYLSKKWCMFNPNAKDVTKLADNINYACTYADCTALEYGSSCNNLDANGNASYAFNMYYQVQNQDDLSCNFEGLATLTTQNISQGNCNFIIQIATSSTFSLRPSLVGLLLMSLSALMLL >ONH95331 pep chromosome:Prunus_persica_NCBIv2:G7:10314664:10319024:1 gene:PRUPE_7G064700 transcript:ONH95331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRFILSRKYCTSIAISSNSQISRYARLGQIEKARRVFDQMPDKTIVSWNSIVAGYFQSNQPGEARKLFDRMLERNTVSWNGLISGYVKNGMIIEARKVFDSMPERNVVSWTSMVRGYVQEGIISEAESLFWQMPERNVVSWTVMLGGLIQEGRIDEARRLYDMMPEKDVVTRTNMIGGYFQVGRLAEAREIFDEMPRRNVVSWTTMVSGYVHNNQVDVARKLFEVMPEKNEVSWTAMLIGYTQCGRIEEASELFHAMPDKSVVACNAIILGYGQNGEVAKAREVFDNMRERDDRTWSAMIKVYERKGFELEALDLFTLMQRESVRPNFPSLISVLSVCGSLASLDYGRQIHAQLVRNQFDHDVYVASVLMTMYVKCGNLVKANQVFNRFAAKDIVMWNSMITGYAQHGLGEKALQIFQEMCSLGISPDEITFIGVLSACSYSGKVEQGLEIFETMKSKYQVEPRTEHYACMVDLLGRAGKVKEAMDLIKKMPVEADAIVWGALLGACRQHMKLDLAEVAAKKLTELEPNKAGPYVLLSNIYASQGRWHDVAELRKNMRTRSVSKSPGCSWIEVEKNVHMFTGGESTGHPDHAMIMRMLEKLGVLLREAGYCPDASFVLHDLEEEEKAHSLGYHSEKLAIAYGLLKVPQGMPIRVMKNLRICGDCHSAIKLISKVMGREVILRDANRFHHFKDGLCSCRDYW >ONH95332 pep chromosome:Prunus_persica_NCBIv2:G7:10314664:10319024:1 gene:PRUPE_7G064700 transcript:ONH95332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRFILSRKYCTSIAISSNSQISRYARLGQIEKARRVFDQMPDKTIVSWNSIVAGYFQSNQPGEARKLFDRMLERNTVSWNGLISGYVKNGMIIEARKVFDSMPERNVVSWTSMVRGYVQEGIISEAESLFWQMPERNVVSWTVMLGGLIQEGRIDEARRLYDMMPEKDVVTRTNMIGGYFQVGRLAEAREIFDEMPRRNVVSWTTMVSGYVHNNQVDVARKLFEVMPEKNEVSWTAMLIGYTQCGRIEEASELFHAMPDKSVVACNAIILGYGQNGEVAKAREVFDNMRERDDRTWSAMIKVYERKGFELEALDLFTLMQRESVRPNFPSLISVLSVCGSLASLDYGRQIHAQLVRNQFDHDVYVASVLMTMYVKCGNLVKANQVFNRFAAKDIVMWNSMITGYAQHGLGEKALQIFQEMCSLGISPDEITFIGVLSACSYSGKVEQGLEIFETMKSKYQVEPRTEHYACMVDLLGRAGKVKEAMDLIKKMPVEADAIVWGALLGACRQHMKLDLAEVAAKKLTELEPNKAGPYVLLSNIYASQGRWHDVAELRKNMRTRSVSKSPGCSWIEVEKNVHMFTGGESTGHPDHAMIMRMLEKLGVLLREAGYCPDASFVLHDLEEEEKAHSLGYHSEKLAIAYGLLKVPQGMPIRVMKNLRICGDCHSAIKLISKVMGREVILRDANRFHHFKDGLCSCRDYW >ONH95333 pep chromosome:Prunus_persica_NCBIv2:G7:10314664:10319024:1 gene:PRUPE_7G064700 transcript:ONH95333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRFILSRKYCTSIAISSNSQISRYARLGQIEKARRVFDQMPDKTIVSWNSIVAGYFQSNQPGEARKLFDRMLERNTVSWNGLISGYVKNGMIIEARKVFDSMPERNVVSWTSMVRGYVQEGIISEAESLFWQMPERNVVSWTVMLGGLIQEGRIDEARRLYDMMPEKDVVTRTNMIGGYFQVGRLAEAREIFDEMPRRNVVSWTTMVSGYVHNNQVDVARKLFEVMPEKNEVSWTAMLIGYTQCGRIEEASELFHAMPDKSVVACNAIILGYGQNGEVAKAREVFDNMRERDDRTWSAMIKVYERKGFELEALDLFTLMQRESVRPNFPSLISVLSVCGSLASLDYGRQIHAQLVRNQFDHDVYVASVLMTMYVKCGNLVKANQVFNRFAAKDIVMWNSMITGYAQHGLGEKALQIFQEMCSLGISPDEITFIGVLSACSYSGKVEQGLEIFETMKSKYQVEPRTEHYACMVDLLGRAGKVKEAMDLIKKMPVEADAIVWGALLGACRQHMKLDLAEVAAKKLTELEPNKAGPYVLLSNIYASQGRWHDVAELRKNMRTRSVSKSPGCSWIEVEKNVHMFTGGESTGHPDHAMIMRMLEKLGVLLREAGYCPDASFVLHDLEEEEKAHSLGYHSEKLAIAYGLLKVPQGMPIRVMKNLRICGDCHSAIKLISKVMGREVILRDANRFHHFKDGLCSCRDYW >ONH98229 pep chromosome:Prunus_persica_NCBIv2:G7:20544635:20548688:1 gene:PRUPE_7G237100 transcript:ONH98229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGVEIKHPEAVPEEACSAKATKQGEGLRQYYLQHIHELQLQVRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDVTKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRWDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >ONH94238 pep chromosome:Prunus_persica_NCBIv2:G7:638277:639710:-1 gene:PRUPE_7G005700 transcript:ONH94238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQGTYDKLIAEAPKYKLITPSILSDRLRINGSLARKAIRDLMARGAIRMVSAHASQQIYTRATNT >ONH97918 pep chromosome:Prunus_persica_NCBIv2:G7:19644833:19648734:-1 gene:PRUPE_7G218300 transcript:ONH97918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKTVAATLLAMATSSIFLLFSPTPKSFYHSLFISSSLSDNASISNHLYTLTRRPHVAGQEANAEAAAYVLSIFTSSSIKTHIAPYPVALTYPVSRSLTLTPSPQEPQSQINFNLQQEIYSGDPYADVAHEVLPTFHAFAKSGTVTAPVVYVNYGSLEDYEVLKQMGVNVSGSIVLARYGKIYRGSIVQTAYEAGAVGVLVYTDRKDYGGGGGEGKWFPDGKWLPPSGVQVGTVYNGLGDPTTPGWASSEEGERLSDDEVEKAGDVPLIPSLPVSAADGETILRAIGGQVANDDWQGSEDAPTYKVGPGPGIVHLSYTGKQVIGTIENVIGVIEGTEEPDRFVILGNHRDAWTFGAVDPNSGTAALLEIAQRLGKLQKRGWKPRRTIVLCNWDAEEYGLIGSTEWVEENRQMLASRAIAYLNVDSAVYGPGFWASATPQLDELLKQATQQIDRLGSGESDFAAFVQHVGIPAADMAFGKGYPVYHSMYDDFIWMQKFGDPMFQRHVAVASLWGLVALWLADAEFLPFNYLSYALELQRYMKDLEDELPDKNINLAPLFKSIEELKKAAMKINSHREEIEQHKGWQFIGKNDHFKVRELNDRLMMAERAFTDQDGLLGRSWYKHLIYGPSKHDDYGSKSFPGIDEAIEKAKSLKTAESRKLVQHEVWRVARAVRHASQIINGELT >ONH97919 pep chromosome:Prunus_persica_NCBIv2:G7:19645013:19648562:-1 gene:PRUPE_7G218300 transcript:ONH97919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKTVAATLLAMATSSIFLLFSPTPKSFYHSLFISSSLSDNASISNHLYTLTRRPHVAGQEANAEAAAYVLSIFTSSSIKTHIAPYPVALTYPVSRSLTLTPSPQEPQSQINFNLQQEIYSGDPYADVAHEVLPTFHAFAKSGTVTAPVVYVNYGSLEDYEVLKQMGVNVSGSIVLARYGKIYRGSIVQTAYEAGAVGVLVYTDRKDYGGGGGEGKWFPDGKWLPPSGVQVGTVYNGLGDPTTPGWASSEEGERLSDDEVEKAGDVPLIPSLPVSAADGETILRAIGGQVANDDWQGSEDAPTYKVGPGPGIVHLSYTGKQVIGTIENVIGVIEGTEEPDRFVILGNHRDAWTFGAVDPNSGTAALLEIAQRLGKLQKRGWKPRRTIVLCNWDAEEYGLIGSTEWVEENRQMLASRAIAYLNVDSAVYGPGFWASATPQLDELLKQATQQVQDPDNSSQTIYQSWVGSSSSPTIDRLGSGESDFAAFVQHVGIPAADMAFGKGYPVYHSMYDDFIWMQKFGDPMFQRHVAVASLWGLVALWLADAEFLPFNYLSYALELQRYMKDLEDELPDKNINLAPLFKSIEELKKAAMKINSHREEIEQHKGWQFIGKNDHFKVRELNDRLMMAERAFTDQDGLLGRSWYKHLIYGPSKHDDYGSKSFPGIDEAIEKAKSLKTAESRKLVQHEVWRVARAVRHASQIINGELT >ONH97920 pep chromosome:Prunus_persica_NCBIv2:G7:19645303:19648562:-1 gene:PRUPE_7G218300 transcript:ONH97920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKTVAATLLAMATSSIFLLFSPTPKSFYHSLFISSSLSDNASISNHLYTLTRRPHVAGQEANAEAAAYVLSIFTSSSIKTHIAPYPVALTYPVSRSLTLTPSPQEPQSQINFNLQQEIYSGDPYADVAHEVLPTFHAFAKSGTVTAPVVYVNYGSLEDYEVLKQMGVNVSGSIVLARYGKIYRGSIVQTAYEAGAVGVLVYTDRKDYGGGGGEGKWFPDGKWLPPSGVQVGTVYNGLGDPTTPGWASSEEGERLSDDEVEKAGDVPLIPSLPVSAADGETILRAIGGQVANDDWQGSEDAPTYKVGPGPGIVHLSYTGKQVIGTIENVIGVIEGTEEPDRFVILGNHRDAWTFGAVDPNSGTAALLEIAQRLGKLQKRGWKPRRTIVLCNWDAEEYGLIGSTEWVEENRQMLASRAIAYLNVDSAVYGPGFWASATPQLDELLKQATQQVQDPDNSSQTIYQSWVGSSSSPTIDRLGSGESDFAAFVQHVGIPAADMAFGKGYPVYHSMYDDFIWMQKFGDPMFQRHVAVASLWGLVALWLADAEFLPFNYLSYALELQRYMKDLEDELPDKNINLAPLFKSIEELKKAAMKINSHREEIEQHKGWQFIGKNDHFKVRELNDRLMMAERAFTDQDGLLGRSWYKHLVCS >ONH95991 pep chromosome:Prunus_persica_NCBIv2:G7:13099813:13102261:1 gene:PRUPE_7G100700 transcript:ONH95991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQPSQQSQKLQSFPFSILIRRELRYAVSFFLLLVSSLFILSLVTPFDSQFLSDHLGFLSQILPTNHNISPGTCDYSYGRWVRDESHPLQPYTENCPFLDPGFRCSQSGRKDEGYRRWRWQPAGCDVLRFNASDFLQRSRNGRIVFAGDSIGRNQWESLLCMLAEAVSNKSRIYEVNGNPITKHKGFLSMRFEDYNLTVEYYRAPFLVVVGHPPHNSPDQVKTTIKLDQFHWYSKHWVGADVLIFSAGHWWNQDKTVRMGCYFEEGGKVNSTMDVMEAFRRSLQTWKFWVLENIEPLKTHVFFRSYSPVHYRNGTWNEGGLCDPYLEPETDYKNLEADPVNNLYISESIKQMEYENWKVQFLNITYLTEFRKDGHPSKYREPGTPADAPQDCSHWCLPGVPDTWNALIYANLLAKGFRTNRN >ONH97371 pep chromosome:Prunus_persica_NCBIv2:G7:18003774:18005050:1 gene:PRUPE_7G186200 transcript:ONH97371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRQIIAVLSFMNLDTKIYQLFCRFSNNLSQPPQPEKPNDDQWTFDENKRFENALTELNLDAPYLFQKLRTRVPGKTVAQVKKHFEALFEDILMIESGHIAVPEYNKISIESKVTTKPFRRKRTPWTKHEHKLFLKGLEQYGKGDWRSISRFCVVTRTPTQVASHAQKYFLRVNQP >ONH96027 pep chromosome:Prunus_persica_NCBIv2:G7:13231560:13233487:1 gene:PRUPE_7G102800 transcript:ONH96027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKVK >ONH96091 pep chromosome:Prunus_persica_NCBIv2:G7:13571978:13573364:-1 gene:PRUPE_7G107200 transcript:ONH96091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRSLDTHLFDPARRPELDWGRRFNIIQCVARGLLYLHHDSYLKYATGGIFSEKSDVYSFGVLILAIISGRNNTSFYYFGKHLGVLAYQSLTSLANILEILSGMVLWNEGRGYDLVDEVLADSYSSSEVMRCVHTGLLCLQDKTMLQIGQPCQMYFFFFLCVDISCVLYSLQNLASV >ONH97495 pep chromosome:Prunus_persica_NCBIv2:G7:18374348:18376829:-1 gene:PRUPE_7G192700 transcript:ONH97495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTAWLFNGTLPCVLSCPLALYRANPTYPLSTKFALGRPDLETKIPCITWRVVRGWSVLRPNPILTTHSHVGWSLAPDSGGGFGQNDINTLVCMISCPVTK >ONH97496 pep chromosome:Prunus_persica_NCBIv2:G7:18374898:18375576:-1 gene:PRUPE_7G192700 transcript:ONH97496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTAWLFNGTLPCVLSCPLALYRANPTYPLSTKFALGRPDLETKIPCITWRVVRGWSVLRPNPILTTHSHVGWSLAPDSGGGFGQNDINTLVCMISCPVTK >ONH97212 pep chromosome:Prunus_persica_NCBIv2:G7:17511233:17513927:-1 gene:PRUPE_7G176500 transcript:ONH97212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVVPWNNLPTDLLEAVGKRLKTKTDVSRFRAICRSWRSSVLPFDQKQPRFPIRIMMKFPFPVNCFFLTITEDTVYHLVPPPNINSDDSASTSSTRGWVVRLREGESGEPSMLHPLSSSFHIKRLPKSVFPKLINLLEFRVFELAKTYTMYHSDHSVSSYRVAISLSPDWPAIMVVAAGKLYHCKLGPDIEMCTRVDITSEVFEDVICFEGKFYAVCHNGTAVVVDPSLEMTLIASPISPDHGSSVHCIKNLVQSLGEIILVERYPSRMKQRRLFPVKFRVYKLNAVERKWVEMEGLDGRILCVENLYSFSVPAGDFPGCQRSCIYFSDPYFPGLFIRKKI >ONH97802 pep chromosome:Prunus_persica_NCBIv2:G7:19328072:19329382:-1 gene:PRUPE_7G211600 transcript:ONH97802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPRFVIEASEAESMAKRSNLTVLQLLPSLVKPAQALARPPISKFHVGAIGYGSSGRIFFGGNLEFPGLPLHYSVHAEQFLVTNLSIHNESKLEYVAVSAAPCGHCRQFLQEIRGAPDIKILITSAESGDDNSGLNRFDPLLHLLPHRFGPEDLLGGDVPLLLEHHHNGLSFLGETEILTNDFKLNAELKVAALEAANKSYAPYSGCPSGVAILDCDGNVYKGSYMESAAYNPSMGPVQSALVAYIVGGGAGYEKIVGAVLVEKDGVLVKQEHTARLLLQAISPKLEFRVFHCASGSNACKKS >ONH97300 pep chromosome:Prunus_persica_NCBIv2:G7:17761276:17763051:1 gene:PRUPE_7G182500 transcript:ONH97300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEILNKKKDLAIVTDEKGWTPLHYAAFYGHESIVIQLLETGKCSAYMGDEADKKTALHIAASKGHVHVMKQLISYCPDCCEVVDQRRRNALHYALEKSQSRIIDIVMMDAWLSNVLLNAKDVDGNTPLHLLNAPIYNRIPFITDARVDKMAFNKEHMNALDVIKANDNFKSKILFKHALKRNGAVSGHRLLKENDHDGQKLKENKCGEDIELDKNIRESHLIVATLVATVTFTAGVTMPGGYYQSDASGGNAMSKVSKQNVMVPAPAPIKGTTPGYAVLTKNAAFKIFFVFNMLALCLSTYSVLVHLVLLILPEGKVRHKVFWHSTIYITVASVLAMMGAFISCTFAVLGDSPELAFSGLVIVAYVLVFCLYVVFFEFRWENFLVFWKRNIYIYI >ONH96987 pep chromosome:Prunus_persica_NCBIv2:G7:16833961:16836059:-1 gene:PRUPE_7G163300 transcript:ONH96987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSASPEHNLMIDGSASPTLQIDPRRARFPCCIVWTPLPVISWLIPFIGHIGICREDGVILDFAGPNFVCVDNFAFGAATRYIQISKEKCHSIPNPSVCQSEDQYRQDEPGRDIMTWDDALRKGTQEFQHRSYNILTCNCHSFVANNLNRLGFCSGGWNVVNLAALIFLKGKWVSTASMVRSFLPFLIAFGLGVAFAGSTFLTYLGFFTAFLVGWFLLGTYCFKSLIHL >ONH96984 pep chromosome:Prunus_persica_NCBIv2:G7:16833976:16835723:-1 gene:PRUPE_7G163300 transcript:ONH96984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLFFEQEELILPILAMETSASPEHNLMIDGSASPTLQIDPRRARFPCCIVWTPLPVISWLIPFIGHIGICREDGVILDFAGPNFVCVDNFAFGAATRYIQISKEKCHSIPNPSVCQSEDQYRQDEPGRDIMTWDDALRKGTQEFQHRSYNILTCNCHSFVANNLNRLGFCSGGWNVVNLAALIFLKGKWVSTASMVRSFLPFLIAFGLGVAFAGSTFLTYLGFFTAFLVGWFLLGTYCFKSLIHL >ONH96985 pep chromosome:Prunus_persica_NCBIv2:G7:16834159:16835507:-1 gene:PRUPE_7G163300 transcript:ONH96985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLFFEQEELILPILAMETSASPEHNLMIDGSASPTLQIDPRRARFPCCIVWTPLPVISWLIPFIGHIGICREDGVILDFAGPNFVCVDNFAFGAATRYIQISKEKQCHSIPNPSVCQSEDQYRQDEPGRDIMTWDDALRKGTQEFQHRSYNILTCNCHSFVANNLNRLGFCSGGWNVVNLAALIFLKGKWVSTASMVRSFLPFLIAFGLGVAFAGSTFLTYLGFFTAFLVGWFLLGTYCFKSLIHL >ONH96988 pep chromosome:Prunus_persica_NCBIv2:G7:16833931:16836048:-1 gene:PRUPE_7G163300 transcript:ONH96988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSASPEHNLMIDGSASPTLQIDPRRARFPCCIVWTPLPVISWLIPFIGHIGICREDGVILDFAGPNFVCVDNFAFGAATRYIQISKEKQCHSIPNPSVCQSEDQYRQDEPGRDIMTWDDALRKGTQEFQHRSYNILTCNCHSFVANNLNRLGFCSGGWNVVNLAALIFLKGKWVSTASMVRSFLPFLIAFGLGVAFAGSTFLTYLGFFTAFLVGWFLLGTYCFKSLIHL >ONH96986 pep chromosome:Prunus_persica_NCBIv2:G7:16833932:16836156:-1 gene:PRUPE_7G163300 transcript:ONH96986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSASPEHNLMIDGSASPTLQIDPRRARFPCCIVWTPLPVISWLIPFIGHIGICREDGVILDFAGPNFVCVDNFAFGAATRYIQISKEKCHSIPNPSVCQSEDQYRQDEPGRDIMTWDDALRKGTQEFQHRSYNILTCNCHSFVANNLNRLGFCSGGWNVVNLAALIFLKGKWVSTASMVRSFLPFLIAFGLGVAFAGSTFLTYLGFFTAFLVGWFLLGTYCFKSLIHL >ONH97508 pep chromosome:Prunus_persica_NCBIv2:G7:18401078:18404874:-1 gene:PRUPE_7G193500 transcript:ONH97508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIVSKLGIIGAGVSGLAAAKQLAHHNPIVLEASDSIGGVWRHCSYSSTKLQSHRRDYEFSDFPWPDRDNADFPSHLEILDYLDSYAQHFDVLKFVRFNSKVVEVRFVGDRETVDFSVKPVEYGGLSPGRGQPVWEVSVQTNDSEAIQWYAFEFIVVCIGKYGDIPKLPQFPQNKGPEVFEGQTLHALDYCKLDKDAASDLLKDKKVVVVGYKKSAIDLAIECAEANQGPEGKPCTMVVRTLHWTVPHYWVWGLPFFLFYSTRSSQFLHQRPNQSFLRALLCSLVMSPMRHAVSKFIESYILWKLPLEKYGLKPDHPFEEDYASCQMAIMPENFFSEADKGKILFKRSESKWWFCKDGIEFDDNTKIKADVVVFATGYDGKKKVKSILPEPFRSLLEYPSGLIPLYRGTIHPLIPNMAFVGYLESVSNLHSSELRSIWLARLLDNKFKLPSVEQMLEQTRKELEVSKKTTRFYKRHCISTFSINHSDEICEEMGWTSWRKNTWLAEAFSPYGSQDYLKKQ >ONH98340 pep chromosome:Prunus_persica_NCBIv2:G7:20883713:20885528:1 gene:PRUPE_7G244400 transcript:ONH98340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRRCTNELKITVIMVFIFSLTFLPSDSAEPVLVRDGDHQGLKEQMEMVLGSSPPKCVKRCMGCIPCTPTLVASAHHGNNHLKTANTNQGDESYYLISWKCKCRNKLFQP >ONH96781 pep chromosome:Prunus_persica_NCBIv2:G7:16252076:16259736:1 gene:PRUPE_7G151600 transcript:ONH96781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDFDKPSQVAARPSRFVPRSSKAKPKPKSEPVVKQEPPKPVTEPEPEKPELKPKPEELDALIAEKEEEEGTDGAPKNEDSNGGLKMETDEEPKEDDPMEEDDVEDTIVREIDVFFNPHIDDNSQLYVLQYPLRPWWRPYELENRCEEVRLKPATSEMEIDLSLNLDPKNYDEDCGNRLKMTKQTLYTTWKPTRTTGTGYAVGVLMGDKLHLNPIDKVVQLRPSLEHLKSGSSRRKNSVTGDAEVKVKLEESRQETSSGPSKKQSWVPLQYHSSESDFSAKYLRRMVGQESSPIQFTMSPYDYVNSLCPRTCKGSSRRFLLSLPLEERIKKLLVEEPLARRFSDLKKYFAPDHTGEELLDVLQKHAQLLLDSGLWVPKTLLLYPSKDMKDRDHVARQTARNYVLNLFRKNTVISNSQLNLPQNHKNHAYESLKILAVSRPSSQDWKLKEQSDKSFKELYPDIFKSQEQNWERMEEKLVNAFKAHTDRGVKNACMTSKPGKSLNSDKGTTKSASDVQIGGRTMSDETRAALPEAIKEVLHEHKVCNFRLICEGLRRLAVRKSSLPKVDPKTKRVIDAAYGVDAPQHELQKVICQVAADIHGLYVLKSSPEHSEHDRLRKVVIDLLCTKGPNAKLKRGEVFEAAKIALERDINTNEYNKVMNDFCVFKGSAWILKSGDGGPN >ONH96780 pep chromosome:Prunus_persica_NCBIv2:G7:16252012:16259809:1 gene:PRUPE_7G151600 transcript:ONH96780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDFDKPSQVAARPSRFVPRSSKAKPKPKSEPVVKQEPPKPVTEPEPEKPELKPKPEELDALIAEKEEEEGTDGAPKNEDSNGGLKMETDEEPKEDDPMEEDDVEDTIVREIDVFFNPHIDDNSQLYVLQYPLRPWWRPYELENRCEEVRLKPATSEMEIDLSLNLDPKNYDEDCGNRLKMTKQTLYTTWKPTRTTGTGYAVGVLMGDKLHLNPIDKVVQLRPSLEHLKSGSSRRKNSVTGDAEVKVKLEESRQETSSGPSKKQTKRMESSTEKKTEDEESWVPLQYHSSESDFSAKYLRRMVGQESSPIQFTMSPYDYVNSLCPRTCKGSSRRFLLSLPLEERIKKLLVEEPLARRFSDLKKYFAPDHTGEELLDVLQKHAQLLLDSGLWVPKTLLLYPSKDMKDRDHVARQTARNYVLNLFRKNTVISNSQLNLPQNHKNHAYESLKILAVSRPSSQDWKLKEQSDKSFKELYPDIFKSQEQNWERMEEKLVNAFKAHTDRGVKNACMTSKPGKSLNSDKGTTKSASDVQIGGRTMSDETRAALPEAIKEVLHEHKVCNFRLICEGLRRLAVRKSSLPKVDPKTKRVIDAAYGVDAPQHELQKVICQVAADIHGLYVLKSSPEHSEHDRLRKVVIDLLCTKGPNAKLKRGEVFEAAKIALERDINTNEYNKVMNDFCVFKGSAWILKSGDGGPN >ONH94920 pep chromosome:Prunus_persica_NCBIv2:G7:7381585:7388451:-1 gene:PRUPE_7G040100 transcript:ONH94920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSPPSFSTTGWPLEDSKSHDQNYFYRDSFTDQIAESFLRILPSQLPQVEPARSTPSTTASGEYSGVSTMVKKLNHNASERDRRQKINRLYSSLRSLLPADQMKKLSIPNTISRVVKYVPELQKQVEGLIRKREELLVRISKQEDQELHEEKKMKSTAGSSLSAVSTYRLNDREVAIQISTLKTHNNLLSEILLNLEEEGLQILNASSFESSGERVFYNLHLQVERSYRLECENLSEKLMSFYA >ONH94921 pep chromosome:Prunus_persica_NCBIv2:G7:7381585:7383241:-1 gene:PRUPE_7G040100 transcript:ONH94921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSPPSFSTIGWPLEDPKSHDQNYFYRDSFTDQIAESFLHTLPSQLPQVEPTRSTPSTTVSGGYAGVSTMVKKLNHNASERDRRQKINSLYSSLRSLLPADQMKKLSIPNTISRVVKYIPELQKQVEGLIRKREELLVRISKQEDQELHEEKKMKSTAGTGSSLSAVSTYRLNDREVAIQISTLKTHNNLLSEILLNLEEEGLQILNASSFESSGERVFYNLHLQVERSYRLECENLSEKLMSFYA >ONH98707 pep chromosome:Prunus_persica_NCBIv2:G7:21800814:21805045:-1 gene:PRUPE_7G262700 transcript:ONH98707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRVRSINVADSESRPVLGPAGNKAGTFSARKPVSKPLRKAEKLAEKVASAEEKKTRQSSMLTTSPQLHSPSVPSVLRRHEQLLHSNFSLNASCSSDASTDSFHSRASTGRLTRSNSAGSRRKQYVSKPRSVVSDGGLDSPPDGSQSKKRCAWVTPNTDPCYAAFHDEEWGLPVHDDKKLFELLVLSGALAELSWPAILSKKHIFREVFADFDPVAISKLNEKKLIAPGSNASSLLSELKLRAIIENARQMTKVIEEFGSFDKYIWSFVNNKPIVSRFRYPRQVPAKTPKADVISKDLMRRGFRSVGPTVIYSFMQVAGITNDHLVSCFRFQECLNAAEGKEEYGIKDEAEKKTENGIESDLSVAMDELSFSSDL >ONH98708 pep chromosome:Prunus_persica_NCBIv2:G7:21801429:21804095:-1 gene:PRUPE_7G262700 transcript:ONH98708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPRVRSINVADSESRPVLGPAGNKAGTFSARKPVSKPLRKAEKLAEKVASAEEKKTRQSSMLTTSPQLHSPSVPSVLRRHEQLLHSNFSLNASCSSDASTDSFHSRASTGRLTRSNSAGSRRKQYVSKPRSVVSDGGLDSPPDGSQSKKRCAWVTPNTDPCYAAFHDEEWGLPVHDDKKLFELLVLSGALAELSWPAILSKKHIFREVFADFDPVAISKLNEKKLIAPGSNASSLLSELKLRAIIENARQMTKVLLMPGHRRVWII >ONH98837 pep chromosome:Prunus_persica_NCBIv2:G7:22070452:22075617:-1 gene:PRUPE_7G268000 transcript:ONH98837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAMLRRKRIVTDYVTASSRTTPGFQWLGHYHGSQKSESKGFSSIIMHMHRGNNDDGDDKVSVAKDEFRRLGFISGTTVGISHRWMSQSIRNASTAATAAAAAKQTEPIDDEENERLAAKKKKQASPEDCDQAVQGLSTAKAAKAKKLQESQKDKSAKPMLQKVWATFLGIGPALKAVASMSREDWAKKLVHWKTEIVSTLQHYWLGFKLLGVDVRICSRLLLKLARGKTLSRREKQQLTRTTADIFRLVPFAVFIVVPFMEFLLPVFLKLFPNMLPSTFQDKLKEEEALKRRLKARIEYAKFLQETAKEMAKEVQNSRSGETKQTAEDLDEFLNKVRTGARVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMNISPYGTDAYLRYMLRKRLRRIKADDKLIQEEGVESLSEEELREDCRERGMLGLRSVEDMRQQLRDWLDLSLNHSMPSSLLILSRAFIVSGRLDPADAVRATLSSLPDEVVDTVGVTSLPSEDSVSERRRKLDFLQMQEELMKEEEKEEEEQARMKEIKASEEDLALKEMTIPTAKEAQEQARARTLEKEEKLCEISRALAVLASASSVSREREEFLRLVNKEIELYNSMVEKEGTDGEKDALKAYKAARDESDSTSVEAEGDEVSSALIDKVDAMLQNLEKEIDDVDAHIGDRWQLLDRDFDGKVTPEEVAAAANYLKNTLGKEGVQELIGNLSKDKDGKILVEDIVRLGSRTEDANQAEEG >ONH97842 pep chromosome:Prunus_persica_NCBIv2:G7:19412271:19415265:-1 gene:PRUPE_7G213600 transcript:ONH97842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPWASLTSLSSPPMIRPAPTITSTPTLTSDVVRTKTYQNVIYQNQFLFKDKIVLDVGAGTGILSLFCAKAGAQHVYAVECSDMADMAKEIVEANGFSNVITVLKGKIEELELPVPKVDIIISEWMGYFLLFENMLNTVLYARDKWLVDDGVLLPDKASLYLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQNQIVTNSQLLKTMDISKMTPGDASFTAPFKLVAERDDFIHALVAYFDVSFTKCHKLMGFSTGPRSRSTHWKQTVLYLEDVLTVCQGESIVGSMTVAQNKKNPRDIDIMLKYSLNGRRCTVSRVQYYKMR >ONH97843 pep chromosome:Prunus_persica_NCBIv2:G7:19412298:19415036:-1 gene:PRUPE_7G213600 transcript:ONH97843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPWASLTSLSSPPMIRPAPTITSTPTLTSDVVRTKTYQNVIYQNQFLFKDKIVLDVGAGTGILSLFCAKAGAQHVYAVECSDMADMAKEIVEANGFSNVITVLKGKIEELELPVPKVDIIISEWMGYFLLFENMLNTVLYARDKWLVSNDGVLLPDKASLYLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQNQIVTNSQLLKTMDISKMTPGDASFTAPFKLVAERDDFIHALVAYFDVSFTKCHKLMGFSTGPRSRSTHWKQTVLYLEDVLTVCQGESIVGSMTVAQNKKNPRDIDIMLKYSLNGRRCTVSRVQYYKMR >ONH97841 pep chromosome:Prunus_persica_NCBIv2:G7:19412271:19415272:-1 gene:PRUPE_7G213600 transcript:ONH97841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKNNNNSNQSSAGFSHLPQGSKIRFADKEDEDEALKDASGSSNHDESMCDPELDKAVDDSMGEPDVSFIAADDKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYQNQFLFKDKIVLDVGAGTGILSLFCAKAGAQHVYAVECSDMADMAKEIVEANGFSNVITVLKGKIEELELPVPKVDIIISEWMGYFLLFENMLNTVLYARDKWLVDDGVLLPDKASLYLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQNQIVTNSQLLKTMDISKMTPGDASFTAPFKLVAERDDFIHALVAYFDVSFTKCHKLMGFSTGPRSRSTHWKQTVLYLEDVLTVCQGESIVGSMTVAQNKKNPRDIDIMLKYSLNGRRCTVSRVQYYKMR >ONH96104 pep chromosome:Prunus_persica_NCBIv2:G7:13610590:13613813:1 gene:PRUPE_7G107900 transcript:ONH96104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALENMPPFPVPIKLTSDIYNYQQWKSFSLSYFDHHNLSGIINGTEPRPGLFESTFGSWSGSDLKGLSWFDRDQQALNWMKATLSGSLQQIVMTGADSSRKVWLNLEQHFACLPQAHIYQLKSYLHKVKKDPTIPMAHYLEKIKQVATDLAAAGAPVEYQDLLHVHILVGLPEEYNPVRKRIEHCPVSGWDALCDLLLKEEMRLDPQSTLRLRHASPASPPQEKEEYAIGIDLGTTYSRVAVWHKDHVEVILNDHGNRKTASYVAFTETDETNLVGDAAFNQVVRNTPNSIFDTKRLIGRRFSNASVQSDVKLWPFKVIEGPGDNPVIVVTHNGQEKQCSAEDISSMVLVKMRKIAETYLGSTVKNAVITVPAYFNDSQRRATKDAGISAGLNVLRIMNEPSAAAIDYGLNKKAGWSSPRNVMIFDLGGGTLDVSLLTMSTSGDFKVKATAGDTHLGGQDFDNRLVNYCVEEFKREHKLDVSGNKRALRRLKNECEKAKKRLSFESDIDVEIDCLCENTDFTITFTRAIFEQLNRELFTKCMEPVKKCLRDANMDVSSVDDVVLAGGSTRIPMVQQLLQEFFKGKELCKGVNPDEAVVYGAAVQAAALTGNGKGEFFQDYTLKDVTPLALGVGVADEKFIKLIPRNSLIPAKKKQELCTAANDQVIMNFPIYESDSSIPANLNCLAECSIRDIPPAPKNVHKFDVFFEIDPNGILSVSAVDKSTGQNKEIIINRDKLKKSGGILRTKG >ONH97012 pep chromosome:Prunus_persica_NCBIv2:G7:16911807:16913631:1 gene:PRUPE_7G164500 transcript:ONH97012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYQTHHSFLFQFHPFTCSIPSSSSTTSTSNSTSTSLLSSLSPHPPLPLTCPPPLPPQQVETEMECVEAALKTSIRKEMAVKASSQAVFDDLLWGGVNGQNGVACDDFSVDDLLDFSNEDGFVETEAEEDDKDKVKGFASVPPQKQPQDPENSDLSEKNELGPEPTSELSVPADDLENLEWLSHFVEDSFTEFTTSLPAGFIPEKPKTEKRPDPAAPLPEKPCFKTPVPAKARSKRTRTGGRVWSLGSPSLTETSSSSSSSSSSSSPSSPWLIYPTTQNREPAEAGGEPVGSVEKPPKKPKRRLVDGSSSQPPRRCSHCGVQKTPQWRTGPNGAKTLCNACGVRYKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRKKKDVTGVPEPGLTRPPVVPSFG >ONH96555 pep chromosome:Prunus_persica_NCBIv2:G7:15383644:15387785:-1 gene:PRUPE_7G136700 transcript:ONH96555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICTSKPSPNSHTSSPKSDSPQTKESSVKQPDAPANGENPRDDKPEAENVKKSPFFPFYSPSPAHYFFSKKSPARSPANVSSNSTPKRFFKRPFPPPSPAKHIRAVLLRRHGSVKPNEAAIPEGSEAEAATGLDKSFGFSKHFGNKYELGEEVGRGHFGYTCKATFKKGELKGQQAAVKVIPKAKMTTAIAIEDVRREVKILKALTGHNNLVKFHDAFEDHDNVYIVMELCEGGELLDRILARGGKYTEDDARTVMVQILNVVAFCHLQGVVHRDLKPENFLFSSKDEDSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYATEADVWSVGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEPPWPSLSAEARDFVKRLLNKDPRKRMTAAQALSHPWLKNSNEVKVPLDILIFKLMKVYMRSSALRKAALRSLSKTLTVDELSYLKEQFALLEPNKNGTISLENIKTALMKNATDAMKEARIADFLASLNALQYRRMDFEEFCTASLSVHQLEALDRWEQHARCAYELFEKDGNRAIVIEELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSSRTVPKAQ >ONH94452 pep chromosome:Prunus_persica_NCBIv2:G7:2558132:2560897:1 gene:PRUPE_7G017400 transcript:ONH94452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYKKKERHEMGKVANAKLDHKVQEEISNKWRKLNTAEKATYGSALEGSSGQVNDSVNEMSWITRCSPDRFHRTVEKLSEEKRLAIKAIGFGNVSSLSCTRLHRQLCHFLIQKFNPDMSSIELHGNVIRISADEFGRVMGLKNTGEDVQLDRLVEDEKVKQLVKSFGGNGKRVLVKGLAEQLEKCENANEDFKVRFVMFALGTILCPTSSPSVTGKYLTFLTIPRKIETKNWADHGFNFLCEGVRSFKAKKVAYVNGSLLFLQLLYFDSIFHGGVYVDKSLDPIMSWDNNSVWKMIRWVIKQGGFDSPTVRVVSQHRRTNEVSGVNVERIVQQVSINLAPVIQAEVKRSIEGLALGQIIQAEVQRSVLELTNKVMSEVRSFMKDARHEDVNQTKEDGPPKIRDEGGENAVKKKLKFGVEKRKFTKLARGDQSRTQTRRTAERRPGLHCREPWVDPSNAKGKAVQKTASKIKIGPFKLKPEDLQDSDFELFSYIFRSNNFSSEEVIIEIENEHHVTRDEFMCLRPEMWINDRVLNAQVYYLQEKGSGNWYFHTYMSIFIPVLDRIGSHWYLLVVLMGDKKVELWDSLPGAKYNASRYQLAERIMKVLDYIYKDEIVKQFDKGWQFAKFNIVRTDKARRQLNGCDCGIFVMNWLEDIECTSHGSNK >ONH97089 pep chromosome:Prunus_persica_NCBIv2:G7:17196734:17198952:1 gene:PRUPE_7G169400 transcript:ONH97089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHKFFSTFLFSLCVLQSISGGNSATFTITNKCSNTIWPGILSNAGTDQLPTTGFALNSGDSNTFSVPTSWSGRLWGRTLCSQDPTTGKFTCLTGDCGSSAVECSGGGAAPPATLAEFTLNGAGGLDFYDVSFVDGYNLPMTVVPEGGTGGNCTTTGCEVDLNAGCPSELKVTASDEGVACKSACEAFGDPQYCCSGAYATPDTCKPSSYSQFFKNACPKAYSYAYDDGTSTFTCASANYLITFCPSPSTSLKSSNGQYPEAVGVSASSRNTTPSFVVFGVIVLAAAWRLRQLF >ONH96889 pep chromosome:Prunus_persica_NCBIv2:G7:16559238:16560309:1 gene:PRUPE_7G157500 transcript:ONH96889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGRAIYTVGFWIRETGQAIDRLGSRLQGNYYFQEQLSRHRTLMNVFDKAPVVDKDAFVAPSASIVGQVQVGRGSSIWYGCVLRGDVNSISIGSGTNIQDNSLVHVAKSNLSGKVLPTVIGDNVTVGHSAVLHGCTVEDEAFVGMAATLLDGVYVEKHAMVAAGALVRQNTRIPCGEVCQSSPFLPGI >ONH96888 pep chromosome:Prunus_persica_NCBIv2:G7:16558983:16561217:1 gene:PRUPE_7G157500 transcript:ONH96888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGRAIYTVGFWIRETGQAIDRLGSRLQGNYYFQEQLSRHRTLMNVFDKAPVVDKDAFVAPSASIVGQVQVGRGSSIWYGCVLRGDVNSISIGSGTNIQDNSLVHVAKSNLSGKVLPTVIGDNVTVGHSAVLHGCTVEDEAFVGMAATLLDGVYVEKHAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTEEEMAFISQSAINYSNLAQAHAAENAKSLDEIEFEKVLRKKFARRDEEYDSMLGIVRETPAEITLPDNVLPGKVPKTA >ONH94194 pep chromosome:Prunus_persica_NCBIv2:G7:400727:403494:-1 gene:PRUPE_7G003700 transcript:ONH94194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSDSSAPQTPQLRKDSVTNRWVIFSPARAKRPSDFKSKSPVNPNQQHQQQCPFCIGHEHECAPEIFRVPPDNPDWKLRVIQNLYPALSRDIPAAEEGNNSDSGRVVVNGFGFHDVVIETPVHSVQLSDLSPREIGEVLVTYTRRIKQLMSYDSIKYVQVFKNHGASAGASMSHSHSQIMALPVVPPAVATRLGSMKEYFDQTGKCSVCESNSKDLLIDVSAHFISIVPFAATFPFEMWIIPLDHSSHFHELDSEKAVELGGILKLVLRKMSLQLNNPPFNFMVQTSPLGDNASQLLYSHWFMQIVPQLSVVAGFEMGTGCYINPVFPEDAAKVLREVKVSPSE >ONH94809 pep chromosome:Prunus_persica_NCBIv2:G7:5865792:5872571:1 gene:PRUPE_7G031700 transcript:ONH94809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHGKPTKNPQAQSQDSTIPSESEPYALNSHSTKSSNFPFYSPSPLPSLFKNSPANSSVSSTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGSECDVALDKNFGFSKQFVAHYELGDEVGRGHFGYTCSAKAKKGSLKGQDVAVKVIPKSKMTTAIAIEDVRREVKILRALTHKNLVQFYEAYEDDDNVYVVMELCKGGELLDSILSRGGKYSEDDAKAVMVQILSVTAYCHLQGVVHRDLKPENFLFTSSDEKSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSSDARDFVKRLLNKDYRKRLTASQALCHPWLANYHEVKIPMDMIVYKLVKAYICSSALRKSALGTLAKTLSVAQLAYLRDQFTLLGPNKSGFISMQNFKTGVIRNSTDAMKDSRVLDYVNMISSIRYRKLDFEEFCAAAISVHQLEGMESWEQHARRAYELFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTFQKA >ONH94810 pep chromosome:Prunus_persica_NCBIv2:G7:5867523:5872571:1 gene:PRUPE_7G031700 transcript:ONH94810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAIAIEDVRREVKILRALTHKNLVQFYEAYEDDDNVYVVMELCKGGELLDSILSRGGKYSEDDAKAVMVQILSVTAYCHLQGVVHRDLKPENFLFTSSDEKSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSSDARDFVKRLLNKDYRKRLTASQALCHPWLANYHEVKIPMDMIVYKLVKAYICSSALRKSALGTLAKTLSVAQLAYLRDQFTLLGPNKSGFISMQNFKTGVIRNSTDAMKDSRVLDYVNMISSIRYRKLDFEEFCAAAISVHQLEGMESWEQHARRAYELFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTFQKA >ONH98337 pep chromosome:Prunus_persica_NCBIv2:G7:20871964:20874107:1 gene:PRUPE_7G244100 transcript:ONH98337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTLNASVTTWIRHLLACMGGCFGCCTKPTPIIAVDEPSKGLRIQGRTVKKPGISDDFWSSSTYDLDNSALQSQRSISSISTSNQTLTHGSVAGSTSSQSDFVNNGLVLWNQTRLEWIGSGKPRNHTPTSRESRLSWNSTYERLVGTKQRQSFTQRIPLSDMIDFLVEVWEQEGLYD >ONH97505 pep chromosome:Prunus_persica_NCBIv2:G7:18395716:18397639:1 gene:PRUPE_7G193200 transcript:ONH97505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLPKHHFPSVLPTQTPRHNPSSKSLPIVSSSSHSQFYGLKLSNSSSLPIPSSSSSGKMFICAAKVDKGKVPPAFTLKDQDGKTVSLSKFKGKPVVLYFYPADETPGCTKQACAFRDSYEKFKKAGAQVVGISGDDPSSHKAFAKKYKLPYTLLSDEGNKVRKEWGVPSDLFGTLPGRQTYVLDKNGVVQLIYNNQFQPEKHIDETLKFLQSL >ONH95105 pep chromosome:Prunus_persica_NCBIv2:G7:9083491:9093357:1 gene:PRUPE_7G052600 transcript:ONH95105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAVDFRSPITSMPTKSSSTPENPNPVPDVASSPTFNLGASNDNGSQCQFGPSVPSRSGRLRPRFVKMRKQHSRSRTGSGESGPGVNPFCSVSDGTSSSNGFNFSNGDCGGVDFVFGARKIGGDENLDNGEEGSGGIVRNLDNGEEGSSEIMRNSSCDDNLDNGEGESCEKVKILSSDERGQVNTGNGRESDKLESVCYECSAKKDGLGSSLCREKREFCENVRAIVSEDKWNEKTETETECQKGDNRGFVFSANSSGLSSDLKLDSNQEMRECGGYVEKPSTYNSGKMKIESEVGYNVGSGLGASQRDSAPKLNAENRESASFVFTIGSDDFGSTSNTGNREHSENEGTPGCDGIGSTEIDNEGEEKKDNDMGFVFVSSWNSLNSGKKSSSGKLEKLAPDVLGGKMKVESETEFEKMEADPFKFHAEERCISNKDHDKGFFVFGSSTKKGSSLTECKVMKCQDEMKLSSENLGDCKTNSESNSCGQCSGGPYVASEKNNGDNDESSDQNHILFGSDRNTEGATIGISGSKKFTSQAGSDESVEAGQFSHYPINNNTHPNVATAPCSSSSIGPGIKSNGCVSEAASVGGVRKKDENSSTSTPDGFGVCFEDFKTSFLDPSCLRANLFPELNKTSEFSVKGRSFRDKRSRKQRGKSKLSKQWPVQDHVPKESSSQGNPDPSGCYSPMDFSPYEETRVADPHSRETSVTSTDSNHLVNDSAPCASNATVPADPKGEDLIAAGSGLDDRGDRICKEPIEENSRYIGEKIFFHDFLWKGSGPGAEPETPCFSSKSEHVSSISGAGLDSEEARVGIGLNIERQESACKTPLFASGFENMKDKYFTFLASSSAQGSSMMGKRQQHRKKNRMKVGHKTFVITPSPNVEFGSSDLFTLHSKEPLSADVVGKSEANEQKEPLSADVVGKSEANEQFKQVNISSSAATHETCEKWRIRGNEAYKNGDLSKAEDFYTQGIISIPSNERSGCCLKPLLLCYSNRAATRMVLGRIREALGDCVMATALDPNFLKVQMRAANCHLLLGEVEIARQYFNKCSESGSGVCLDRRVVIDSADGLQKVQKVVEYTNRSAKLLDQRTTDAALTALEIISEAMSVSLYSETLLEMKAEALCLLRRFEEAVQLCEQSLFFAERNFAPLNSVRLWRWFFISKSYFHLGRLEAALDLLEKLQEVESTKDMYASKKLELAVSLAVTIRELLSHKNAGNEAFRSGRYAEALEHYTVALSSNFGSRPFSAICLCNRGAAHQALGQITDAIADCSLAIALDGNYVKAVSRRATLHEMIRDYGQAASDLQRLISILENQSNDKAKECSSKGRSNGSVKELRHAHRRMPLIEEEAKKGISLDFYVILGIKPSDASPDIKKAYRKAALKHHPDKAGQFLARSESGDEGQLWKEISQEVHKDADRLFKMIGEAYAVLSDPAKRSQYDLEEEMRKVEIESKESGIYRKSSDFQSPGRNSYRRPDFHSSPFERSSNSRTYGRENWRTYGNSYSRW >ONH95107 pep chromosome:Prunus_persica_NCBIv2:G7:9083505:9087525:1 gene:PRUPE_7G052600 transcript:ONH95107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAVDFRSPITSMPTKSSSTPENPNPVPDVASSPTFNLGASNDNGSQCQFGPSVPSRSGRLRPRFVKMRKQHSRSRTGSGESGPGVNPFCSVSDGTSSSNGFNFSNGDCGGVDFVFGARKIGGDENLDNGEEGSGGIVRNLDNGEEGSSEIMRNSSCDDNLDNGEGESCEKVKILSSDERGQVNTGNGRESDKLESVCYECSAKKDGLGSSLCREKREFCENVRAIVSEDKWNEKTETETECQKGDNRGFVFSANSSGLSSDLKLDSNQEMRECGGYVEKPSTYNSGKMKIESEVGYNVGSGLGASQRDSAPKLNAENRESASFVFTIGSDDFGSTSNTGNREHSENEGTPGCDGIGSTEIDNEGEEKKDNDMGFVFVSSWNSLNSGKKSSSGKLEKLAPDVLGGKMKVESETEFEKMEADPFKFHAEERCISNKDHDKGFFVFGSSTKKGSSLTECKVMKCQDEMKLSSENLGDCKTNSESNSCGQCSGGPYVASEKNNGDNDESSDQNHILFGSDRNTEGATIGISGSKKFTSQAGSDESVEAGQFSHYPINNNTHPNVATAPCSSSSIGPGIKSNGCVSEAASVGGVRKKDENSSTSTPDGFGVCFEDFKTSFLDPSCLRANLFPELNKTSEFSVKGRSFRDKRSRKQRGKSKLSKQWPVQDHVPKESSSQGNPDPSGCYSPMDFSPYEETRVADPHSRETSVTSTDSNHLVNDSAPCASNATVPADPKGEDLIAAGSGLDDRGDRICKEPIEENSRYIGEKIFFHDFLWKGSGPGAEPETPCFSSKSEHVSSISGAGLDSEEARVGIGLNIERQESACKTPLFASGFENMKDKYFTFLASSSAQGSSMMGKRQQHRKKNRMKVGHKTFVITPSPNVEFGSSDLFTLHSKEPLSADVVGKSEANEQKEPLSADVVGKSEANEQFKQVNISSSAATHETCEKWRIRGNEAYKNGDLSKAEDFYTQGIISIPSNERSGCCLKPLLLCYSNRAATRMVLGRIREALGDCVMATALDPNFLKVQMRAANLLTD >ONH95104 pep chromosome:Prunus_persica_NCBIv2:G7:9083505:9093357:1 gene:PRUPE_7G052600 transcript:ONH95104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAVDFRSPITSMPTKSSSTPENPNPVPDVASSPTFNLGASNDNGSQCQFGPSVPSRSGRLRPRFVKMRKQHSRSRTGSGESGPGVNPFCSVSDGTSSSNGFNFSNGDCGGVDFVFGARKIGGDENLDNGEEGSGGIVRNLDNGEEGSSEIMRNSSCDDNLDNGEGESCEKVKILSSDERGQVNTGNGRESDKLESVCYECSAKKDGLGSSLCREKREFCENVRAIVSEDKWNEKTETETECQKGDNRGFVFSANSSGLSSDLKLDSNQEMRECGGYVEKPSTYNSGKMKIESEVGYNVGSGLGASQRDSAPKLNAENRESASFVFTIGSDDFGSTSNTGNREHSENEGTPGCDGIGSTEIDNEGEEKKDNDMGFVFVSSWNSLNSGKKSSSGKLEKLAPDVLGGKMKVESETEFEKMEADPFKFHAEERCISNKDHDKGFFVFGSSTKKGSSLTECKVMKCQDEMKLSSENLGDCKTNSESNSCGQCSGGPYVASEKNNGDNDESSDQNHILFGSDRNTEGATIGISGSKKFTSQAGSDESVEAGQFSHYPINNNTHPNVATAPCSSSSIGPGIKSNGCVSEAASVGGVRKKDENSSTSTPDGFGVCFEDFKTSFLDPSCLRANLFPELNKTSEFSVKGRSFRDKRSRKQRGKSKLSKQWPVQDHVPKESSSQGNPDPSGCYSPMDFSPYEETRVADPHSRETSVTSTDSNHLVNDSAPCASNATVPADPKGEDLIAAGSGLDDRGDRICKEPIEENSRYIGEKIFFHDFLWKGSGPGAEPETPCFSSKSEHVSSISGAGLDSEEARVGIGLNIERQESACKTPLFASGFENMKDKYFTFLASSSAQGSSMMGKRQQHRKKNRMKVGHKTFVITPSPNVEFGSSDLFTLHSKEPLSADVVGKSEANEQKEPLSADVVGKSEANEQFKQVNISSSAATHETCEKWRIRGNEAYKNGDLSKAEDFYTQGIISIPSNERSGCCLKPLLLCYSNRAATRMVLGRIREALGDCVMATALDPNFLKVQMRAANCHLLLGEVEIARQYFNKCSESGSGVCLDRRVVIDSADGLQKVQKVVEYTNRSAKLLDQRTTDAALTALEIISEAMSVSLYSETLLEMKAEALCLLRRFEEAVQLCEQSLFFAERNFAPLNSVRLWRWFFISKSYFHLGRLEAALDLLEKLQEVESTKDMYASKKLELAVSLAVTIRELLSHKNAGNEAFRSGRYAEALEHYTVALSSNFGSRPFSAICLCNRGAAHQALGQITDAIADCSLAIALDGNYVKAVSRRATLHEMIRDYGQAASDLQRLISILENQSNDKAKECSSKGRSNGSVKELRHAHRRMPLIEEEAKKGISLDFYVILGIKPSDASPDIKKAYRKAALKHHPDKAGQFLARSESGDEGQLWKEISQEVHKDADRLFKMIGEAYAVLSDPAKRSQYDLEEEMRKVEIESKESGIYRKSSDFQSPGRNSYRRPDFHSSPFERSSNSRTYGRENWRTYGNSYSRW >ONH95106 pep chromosome:Prunus_persica_NCBIv2:G7:9083505:9093357:1 gene:PRUPE_7G052600 transcript:ONH95106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAVDFRSPITSMPTKSSSTPENPNPVPDVASSPTFNLGASNDNGSQCQFGPSVPSRSGRLRPRFVKMRKQHSRSRTGSGESGPGVNPFCSVSDGTSSSNGFNFSNGDCGGVDFVFGARKIGGDENLDNGEEGSGGIVRNLDNGEEGSSEIMRNSSCDDNLDNGEGESCEKVKILSSDERGQVNTGNGRESDKLESVCYECSAKKDGLGSSLCREKREFCENVRAIVSEDKWNEKTETETECQKGDNRGFVFSANSSGLSSDLKLDSNQEMRECGGYVEKPSTYNSGKMKIESEVGYNVGSGLGASQRDSAPKLNAENRESASFVFTIGSDDFGSTSNTGNREHSENEGTPGCDGIGSTEIDNEGEEKKDNDMGFVFVSSWNSLNSGKKSSSGKLEKLAPDVLGGKMKVESETEFEKMEADPFKFHAEERCISNKDHDKGFFVFGSSTKKGSSLTECKVMKCQDEMKLSSENLGDCKTNSESNSCGQCSGGPYVASEKNNGDNDESSDQNHILFGSDRNTEGATIGISGSKKFTSQAGSDESVEAGQFSHYPINNNTHPNVATAPCSSSSIGPGIKSNGCVSEAASVGGVRKKDENSSTSTPDGFGVCFEDFKTSFLDPSCLRANLFPELNKTSEFSVKGRSFRDKRSRKQRGKSKLSKQWPVQDHVPKESSSQGNPDPSGCYSPMDFSPYEETRVADPHSRETSVTSTDSNHLVNDSAPCASNATVPADPKGEDLIAAGSGLDDRGDRICKEPIEENSRYIGEKIFFHDFLWKGSGPGAEPETPCFSSKSEHVSSISGAGLDSEEARVGIGLNIERQESACKTPLFASGFENMKDKYFTFLASSSAQGSSMMGKRQQHRKKNRMKVGHKTFVITPSPNVEFGSSDLFTLHSKEPLSADVVGKSEANEQKEPLSADVVGKSEANEQFKQVNISSSAATHETCEKWRIRGNEAYKNGDLSKAEDFYTQGIISIPSNERSGCCLKPLLLCYSNRAATRMVLGRIREALGDCVMATALDPNFLKVQMRAANCHLLLGEVEIARQYFNKCSESGSGVCLDRRVVIDSADGLQKVQLRRFEEAVQLCEQSLFFAERNFAPLNSVRLWRWFFISKSYFHLGRLEAALDLLEKLQEVESTKDMYASKKLELAVSLAVTIRELLSHKNAGNEAFRSGRYAEALEHYTVALSSNFGSRPFSAICLCNRGAAHQALGQITDAIADCSLAIALDGNYVKAVSRRATLHEMIRDYGQAASDLQRLISILENQSNDKAKECSSKGRSNGSVKELRHAHRRMPLIEEEAKKGISLDFYVILGIKPSDASPDIKKAYRKAALKHHPDKAGQFLARSESGDEGQLWKEISQEVHKDADRLFKMIGEAYAVLSDPAKRSQYDLEEEMRKVEIESKESGIYRKSSDFQSPGRNSYRRPDFHSSPFERSSNSRTYGRENWRTYGNSYSRW >ONH95811 pep chromosome:Prunus_persica_NCBIv2:G7:12430594:12433737:1 gene:PRUPE_7G091000 transcript:ONH95811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFIKLQSLCSSSTSKILHFRFSKYYKADHFATLNKPSKPKKAHSKQEAESSGFGSLFSEITEILGAENVTAEETLSGILISEEAQVRVGEIGEECPPCTLAVRRNAEDSVLQQKEDITVLEDAHLENVAEIDVSPVVHEVTKIVRAENGLISMEELLENMGFQLDSEIVDKVLKRCFKVPHLALRFFNWVKLKEGFRHTTETYNTMLFIAGEAKEFAMVEKLVDEMEKNSCQKDVKTWTILISQYGKAKLIGKALLVYEEMRKCGYEPDAVVYRLMIRALCAAGKSDVAMEFYKEMVKKDIGLDTNLYKLLLNGIARSGETGAVALVSDDMIRVSQIPEHIVYGSVLKCFCISGRIKEALEFIRELKNKEVILGPEYFETLVKGLCRADRIVDALEILDIMKRRNILDGKVYGIIINGYLRINEVSKALDLFHSMKESGYFPMTSTYTELMQHLFKLNEYQKGCELYEEMLESGVEPDSVAITAVVAGHVRQNHISEAWKVFNKMKEKGIEPTVKSYSVFIKELCRISRTDEILKVLYDMQASSIVIRDDIFNLAIHHMEKKGETENLEKVKQMQRNYKLQPQEEEVFSKDLCKGEELNTGLDFNHSEPARMDRNPLLEPLSKAYDEQDLQKICRILSSSTAWCSIQEALENSSVDFTPGLVLEILRSSSMHGLVALQFFAWVGKQTGYNQTTETYNMAIKIAGRGKDFKHMRSLFYEMRRKGFSITADTWTIMIMQYGRTGLTEIALQIFEEMKSNNYSPTGSTYKYLIISLCGRKGRKADEAIRIFQEMIRANHVPDKELVESYLGCLCEVGELSDARRCIDLLSKAGFTIPLGYSLYIRALCRAGRLQEAAALMDDVREDRSKLDQYTYGSLVHGLLRSGQLEAALAKVDSMKQAGINPTVHVYTSLIVHFFKEKQIGKALEIFKEMQQEGCEPTIVTYSALIRGYMNMEMFAEAWEVFHKMKQKGPLPDFRTYSMFISCLCKVGKSEEAIPLIPEMLNTGIVPSVVNFRTVFYGLNREGKQDLARNVMQQKLSLIRKRKFT >ONH96682 pep chromosome:Prunus_persica_NCBIv2:G7:15892828:15896552:1 gene:PRUPE_7G145600 transcript:ONH96682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFHLHKFPLMSKSRKLHNLITEQETNPSQTRQQIEEQDENDDEIEEVQCQIALSDFPGGSETFEIAAKFCYGVKIDLSSSNVAPLRCAGEYLEMTEEYSEDNLISKTERFLSQSVLKSFKESLKALKSCERVMPLAETLGITQTCIDSIASRATSADPTLFGWPVSEAPNANTNAISSSSSTKQQILWNGIDAGGGGGRRRGLSKHADSWVEDLVVLSLPLFKRLISAMKSGDLSLEMVETCLMHYAKKYIPGISRTNRKPPSSISAVTATTTSSSLASESQQRELLETIISNLPLEKSSRPSTATRFLFGLLRTANILNASEACKAALEKKIASQLHQATLDDLLIPSYSYLNETLYDVDCVERILGYFLKGLDQRNTAGIGEDDGSDGAVRSPTLMLVGKLIDEFLSEIASDANLKPDRFYNLAISLPEQARLFDDGLYRAVDLYLKAHPWITEPEREKICGILDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLLATDVAPPDSGRPSVLQRERQGEEEEEEDDAEARAEEDGDNVARANNSGGGTWRAAVRENQVLRLDMDSMRTRVHQLERECSNMKKVIEKIDNPGQPRRDGSVWKDSLSRRFGCKFKTQVCDSHEATAVDTRKGRRHHHQ >ONH96680 pep chromosome:Prunus_persica_NCBIv2:G7:15892828:15896583:1 gene:PRUPE_7G145600 transcript:ONH96680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMAAAAAAAEKPSSKGQAWFCTTGLPSDIVVEVDDMTFHLHKFPLMSKSRKLHNLITEQETNPSQTRQQIEEQDENDDEIEEVQCQIALSDFPGGSETFEIAAKFCYGVKIDLSSSNVAPLRCAGEYLEMTEEYSEDNLISKTERFLSQSVLKSFKESLKALKSCERVMPLAETLGITQTCIDSIASRATSADPTLFGWPVSEAPNANTNAISSSSSTKQQILWNGIDAGGGGGRRRGLSKHADSWVEDLVVLSLPLFKRLISAMKSGDLSLEMVETCLMHYAKKYIPGISRTNRKPPSSISAVTATTTSSSLASESQQRELLETIISNLPLEKSSRPSTATRFLFGLLRTANILNASEACKAALEKKIASQLHQATLDDLLIPSYSYLNETLYDVDCVERILGYFLKGLDQRNTAGIGEDDGSDGAVRSPTLMLVGKLIDEFLSEIASDANLKPDRFYNLAISLPEQARLFDDGLYRAVDLYLKAHPWITEPEREKICGILDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLLATDVAPPDSGRPSVLQRERQGEEEEEEDDAEARAEEDGDNVARANNSGGGTWRAAVRENQVLRLDMDSMRTRVHQLERECSNMKKVIEKIDNPGQPRRDGSVWKDSLSRRFGCKFKTQVCDSHEATAVDTRKGRRHHHQ >ONH96681 pep chromosome:Prunus_persica_NCBIv2:G7:15892828:15896552:1 gene:PRUPE_7G145600 transcript:ONH96681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMAAAAAAAEKPSSKGQAWFCTTGLPSDIVVEVDDMTFHLHKFPLMSKSRKLHNLITEQETNPSQTRQQIEEQDENDDEIEEVQCQIALSDFPGGSETFEIAAKFCYGVKIDLSSSNVAPLRCAGEYLEMTEEYSEDNLISKTERFLSQSVLKSFKESLKALKSCERVMPLAETLGITQTCIDSIASRATSADPTLFGWPVSEAPNANTNAISSSSSTKQQILWNGIDAGGGGGRRRGLSKHADSWVEDLVVLSLPLFKRLISAMKSGDLSLEMVETCLMHYAKKYIPGISRTNRKPPSSISAVTATTTSSSLASESQQRELLETIISNLPLEKSSRPSTATRFLFGLLRTANILNASEACKAALEKKIASQLHQATLDDLLIPSYSYLNETLYDVDCVERILGYFLKGLDQRNTAGIGEDDGSDGAVRSPTLMLVGKLIDEFLSEIASDANLKPDRFYNLAISLPEQARLFDDGLYRAVDLYLKVRTQFIHYKRFHKLSLR >ONH96683 pep chromosome:Prunus_persica_NCBIv2:G7:15892828:15896552:1 gene:PRUPE_7G145600 transcript:ONH96683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSRKLHNLITEQETNPSQTRQQIEEQDENDDEIEEVQCQIALSDFPGGSETFEIAAKFCYGVKIDLSSSNVAPLRCAGEYLEMTEEYSEDNLISKTERFLSQSVLKSFKESLKALKSCERVMPLAETLGITQTCIDSIASRATSADPTLFGWPVSEAPNANTNAISSSSSTKQQILWNGIDAGGGGGRRRGLSKHADSWVEDLVVLSLPLFKRLISAMKSGDLSLEMVETCLMHYAKKYIPGISRTNRKPPSSISAVTATTTSSSLASESQQRELLETIISNLPLEKSSRPSTATRFLFGLLRTANILNASEACKAALEKKIASQLHQATLDDLLIPSYSYLNETLYDVDCVERILGYFLKGLDQRNTAGIGEDDGSDGAVRSPTLMLVGKLIDEFLSEIASDANLKPDRFYNLAISLPEQARLFDDGLYRAVDLYLKAHPWITEPEREKICGILDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLLATDVAPPDSGRPSVLQRERQGEEEEEEDDAEARAEEDGDNVARANNSGGGTWRAAVRENQVLRLDMDSMRTRVHQLERECSNMKKVIEKIDNPGQPRRDGSVWKDSLSRRFGCKFKTQVCDSHEATAVDTRKGRRHHHQ >ONH97223 pep chromosome:Prunus_persica_NCBIv2:G7:17552037:17553625:-1 gene:PRUPE_7G177500 transcript:ONH97223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQICAFFFCFWWFLFCLGHIANADDPVTIFIFGDSTADVARLFGYQRSPPPFLYILNRTSTFKRDILQGVNFASGGSGILKDTGKFRYIEVVPLGDQIQQFATVRGNFTKLMGPEATDKFLAKSLFIISIGSNDLFDHVELPSNSSTSVDPEDEFFYMANLQFTYRNHLEGLYKLGARKFGIISVAPIGCCPHARVSNTSGVCREELNRLAQSFFISLKDLLLEMSSKLKGMKYSLGNAYEMTMSIIEDPLAFGFKDIQSACCGNGQLNGVIPCMHSLNPNLCANRHEYLFWDLYHPTEYASRLAALTLYGAGTRFVAPMNFSQLAAIPV >ONH95370 pep chromosome:Prunus_persica_NCBIv2:G7:10482837:10483899:1 gene:PRUPE_7G066600 transcript:ONH95370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHYQCGYRLGPTYLVHGNTGLHSQTQTLRKNNEEAAHTRTPTLEREAGSFLCQPNQTPSSSAIRYLLCSIDVRKYV >ONH98530 pep chromosome:Prunus_persica_NCBIv2:G7:21319195:21332373:-1 gene:PRUPE_7G252800 transcript:ONH98530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGSTSSPSDQGASSGADDVLVESGAGALKSDKDPRKVARKYQLELCKRALEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPEKNKCIFLAPTVALVQQQARVIEDSLDFKVGIYCGSSNQFKNHQDWEKEMEQYEVLVMTPEILLRNLYHCFIKMESIALLIFDECHHAQVQSNHPYAEIMKLFYKTDDTKLPRIFGMTASPVVGKGASSQANLSKSINSLESLLDAKVYSVEDKEELYHFVSSPVITVYNYGPVIRNTSSHYTSYCTKLEQIKRQCIEELSKKTNDYQSVRSAKKLLNRMHDSILFCLESLGLWGALKASHILLNGDHFERNELMEEEGNNGDDTACVNYLTRADDILATDCLRDAIAADLSCVEILKEPFFSRKLLRLIGILSSFRLQQNMKCIIFVNRVVTASSLSYILQRLKFLASWKCDFLVGVHSRLMSMSRKKMNIILDKFRSGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVNSGNQKELDLIEKFRKDEDKMNMEIAFRTSSDTFIGSEDRIYKVDSSGASISSGYSISLLHQYCSKLPHDEYFDPNPKFFFLDDLGGTICHIILPSNAPIHQIVSTQQSSMEDAKKDACLKAIEELHKLGALSDYLLPQQSNPNVEELMLDSSDSDSTEDEDSRAELHEMLVPAALKEPWSNSEDHVSLSSYYLKFNPVPEDRIYKSFGLFVKAPLPVEAESMELDLHLAHSRSVMTELVPSGFAEFGKDEILLAQNFQEMFLKLVLDRTEFVSEFVPLGKHDFSRSSSSTFYLLLPVTLGNNYKIASIDWRTIKKCLSSPVFRAPGDALGRKSHPSDIRLASGYKSISDVKNSLVYAPYKSTFYFITDVVQERNAYSPYKDSGTLSYVDHLIKKFHIHLKYPEQQLLHAKPLFCLHNLLHNRKQEDSGPQQLDEYFIDLPPELCELKVLAFSKDIGSSISLLPSIMHRLENLLVAIELKHVLSVSFPEGAEVTAERVLEALTTEKCQERFSLERLEILGDAFLKFAVGRHFFLLHDSLDEGGLTRKRSNVVNNSNLFKLATRSNLQVYIRDQSFEPSQFFALGRPCPRICGKETIGAIDSQGLCSVVNHTNSSEVRCSKGHHWLYKKTIADVVESLIGAFVVDSGFKAATAFLRWIGIQVDFEPSQVTEVCIASTRYIPLSACMDIAALENSLGYQFVHKGLLLQAFVHPSYNKHGGGCYQRLEFLGDAVLDYLITSYLYSVYPKLKPGQLTDLRSVSVNNKAFANVAVDRSFHKFLICDSGSLSEAIKVYVDFIDTPASERGLLDGPKCPKSLGDLVESCLGAILLDTGFNLNRVWEIMLSFLKPIMSFSSLQLSPIRELRELCQAHTWDLRFLPSKKGKTYSIQATVEGNNVRATASSTSLNKKDAIRICAKLIFAELKAQGNIPKTKSLEEVLKSSSEMEAKLIGYDETPIDVVLPDVIGFDKLNVQEPCRRNFNSKMHIKEERNGDSSCIKPVLQPPPSFEAVKIQPSETRGNPSCDANSQAKENLPGGSHKATARARLYEICAANYWEPPLFECCNEEGPSHLKLFTFKVVVKIEEAPDMILECFGSPHGNKKAAAEHAAEGALWYLRNGGYISSSD >ONH98531 pep chromosome:Prunus_persica_NCBIv2:G7:21319195:21330726:-1 gene:PRUPE_7G252800 transcript:ONH98531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSFIKKLQQARVIEDSLDFKVGIYCGSSNQFKNHQDWEKEMEQYEVLVMTPEILLRNLYHCFIKMESIALLIFDECHHAQVQSNHPYAEIMKLFYKTDDTKLPRIFGMTASPVVGKGASSQANLSKSINSLESLLDAKVYSVEDKEELYHFVSSPVITVYNYGPVIRNTSSHYTSYCTKLEQIKRQCIEELSKKTNDYQSVRSAKKLLNRMHDSILFCLESLGLWGALKASHILLNGDHFERNELMEEEGNNGDDTACVNYLTRADDILATDCLRDAIAADLSCVEILKEPFFSRKLLRLIGILSSFRLQQNMKCIIFVNRVVTASSLSYILQRLKFLASWKCDFLVGVHSRLMSMSRKKMNIILDKFRSGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVNSGNQKELDLIEKFRKDEDKMNMEIAFRTSSDTFIGSEDRIYKVDSSGASISSGYSISLLHQYCSKLPHDEYFDPNPKFFFLDDLGGTICHIILPSNAPIHQIVSTQQSSMEDAKKDACLKAIEELHKLGALSDYLLPQQSNPNVEELMLDSSDSDSTEDEDSRAELHEMLVPAALKEPWSNSEDHVSLSSYYLKFNPVPEDRIYKSFGLFVKAPLPVEAESMELDLHLAHSRSVMTELVPSGFAEFGKDEILLAQNFQEMFLKLVLDRTEFVSEFVPLGKHDFSRSSSSTFYLLLPVTLGNNYKIASIDWRTIKKCLSSPVFRAPGDALGRKSHPSDIRLASGYKSISDVKNSLVYAPYKSTFYFITDVVQERNAYSPYKDSGTLSYVDHLIKKFHIHLKYPEQQLLHAKPLFCLHNLLHNRKQEDSGPQQLDEYFIDLPPELCELKVLAFSKDIGSSISLLPSIMHRLENLLVAIELKHVLSVSFPEGAEVTAERVLEALTTEKCQERFSLERLEILGDAFLKFAVGRHFFLLHDSLDEGGLTRKRSNVVNNSNLFKLATRSNLQVYIRDQSFEPSQFFALGRPCPRICGKETIGAIDSQGLCSVVNHTNSSEVRCSKGHHWLYKKTIADVVESLIGAFVVDSGFKAATAFLRWIGIQVDFEPSQVTEVCIASTRYIPLSACMDIAALENSLGYQFVHKGLLLQAFVHPSYNKHGGGCYQRLEFLGDAVLDYLITSYLYSVYPKLKPGQLTDLRSVSVNNKAFANVAVDRSFHKFLICDSGSLSEAIKVYVDFIDTPASERGLLDGPKCPKSLGDLVESCLGAILLDTGFNLNRVWEIMLSFLKPIMSFSSLQLSPIRELRELCQAHTWDLRFLPSKKGKTYSIQATVEGNNVRATASSTSLNKKDAIRICAKLIFAELKAQGNIPKTKSLEEVLKSSSEMEAKLIGYDETPIDVVLPDVIGFDKLNVQEPCRRNFNSKMHIKEERNGDSSCIKPVLQPPPSFEAVKIQPSETRGNPSCDANSQAKGGSHKATARARLYEICAANYWEPPLFECCNEEGPSHLKLFTFKVVVKIEEAPDMILECFGSPHGNKKAAAEHAAEGALWYLRNGGYISSSD >ONH98534 pep chromosome:Prunus_persica_NCBIv2:G7:21320694:21332373:-1 gene:PRUPE_7G252800 transcript:ONH98534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGSTSSPSDQGASSGADDVLVESGAGALKSDKDPRKVARKYQLELCKRALEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPEKNKCIFLAPTVALVQQQARVIEDSLDFKVGIYCGSSNQFKNHQDWEKEMEQYEVLVMTPEILLRNLYHCFIKMESIALLIFDECHHAQVQSNHPYAEIMKLFYKTDDTKLPRIFGMTASPVVGKGASSQANLSKSINSLESLLDAKVYSVEDKEELYHFVSSPVITVYNYGPVIRNTSSHYTSYCTKLEQIKRQCIEELSKKTNDYQSVRSAKKLLNRMHDSILFCLESLGLWGALKASHILLNGDHFERNELMEEEGNNGDDTACVNYLTRADDILATDCLRDAIAADLSCVEILKEPFFSRKLLRLIGILSSFRLQQNMKCIIFVNRVVTASSLSYILQRLKFLASWKCDFLVGVHSRLMSMSRKKMNIILDKFRSGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVNSGNQKELDLIEKFRKDEDKMNMEIAFRTSSDTFIGSEDRIYKVDSSGASISSGYSISLLHQYCSKLPHDEYFDPNPKFFFLDDLGGTICHIILPSNAPIHQIVSTQQSSMEDAKKDACLKAIEELHKLGALSDYLLPQQSNPNVEELMLDSSDSDSTEDEDSRAELHEMLVPAALKEPWSNSEDHVSLSSYYLKFNPVPEDRIYKSFGLFVKAPLPVEAESMELDLHLAHSRSVMTELVPSGFAEFGKDEILLAQNFQEMFLKLVLDRTEFVSEFVPLGKHDFSRSSSSTFYLLLPVTLGNNYKIASIDWRTIKKCLSSPVFRAPGDALGRKSHPSDIRLASGYKSISDVKNSLVYAPYKSTFYFITDVVQERNAYSPYKDSGTLSYVDHLIKKFHIHLKYPEQQLLHAKPLFCLHNLLHNRKQEDSGPQQLDEYFIDLPPELCELKVLAFSKDIGSSISLLPSIMHRLENLLVAIELKHVLSVSFPEGAEVTAERVLEALTTEKCQERFSLERLEILGDAFLKFAVGRHFFLLHDSLDEGGLTRKRSNVVNNSNLFKLATRSNLQVYIRDQSFEPSQFFALGRPCPRICGKETIGAIDSQGLCSVVNHTNSSEVRCSKGHHWLYKKTIADVVESLIGAFVVDSGFKAATAFLRWIGIQVDFEPSQVTEVCIASTRYIPLSACMDIAALENSLGYQFVHKGLLLQAFVHPSYNKHGGGCYQRLEFLGDAVLDYLITSYLYSVYPKLKPGQLTDLRSVSVNNKAFANVAVDRSFHKFLICDSGSLSEAIKVYVDFIDTPASERGLLDGPKCPKLAA >ONH98532 pep chromosome:Prunus_persica_NCBIv2:G7:21319671:21330710:-1 gene:PRUPE_7G252800 transcript:ONH98532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSFIKKLQQARVIEDSLDFKVGIYCGSSNQFKNHQDWEKEMEQYEVLVMTPEILLRNLYHCFIKMESIALLIFDECHHAQVQSNHPYAEIMKLFYKTDDTKLPRIFGMTASPVVGKGASSQANLSKSINSLESLLDAKVYSVEDKEELYHFVSSPVITVYNYGPVIRNTSSHYTSYCTKLEQIKRQCIEELSKKTNDYQSVRSAKKLLNRMHDSILFCLESLGLWGALKASHILLNGDHFERNELMEEEGNNGDDTACVNYLTRADDILATDCLRDAIAADLSCVEILKEPFFSRKLLRLIGILSSFRLQQNMKCIIFVNRVVTASSLSYILQRLKFLASWKCDFLVGVHSRLMSMSRKKMNIILDKFRSGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVNSGNQKELDLIEKFRKDEDKMNMEIAFRTSSDTFIGSEDRIYKVDSSGASISSGYSISLLHQYCSKLPHDEYFDPNPKFFFLDDLGGTICHIILPSNAPIHQIVSTQQSSMEDAKKDACLKAIEELHKLGALSDYLLPQQSNPNVEELMLDSSDSDSTEDEDSRAELHEMLVPAALKEPWSNSEDHVSLSSYYLKFNPVPEDRIYKSFGLFVKAPLPVEAESMELDLHLAHSRSVMTELVPSGFAEFGKDEILLAQNFQEMFLKLVLDRTEFVSEFVPLGKHDFSRSSSSTFYLLLPVTLGNNYKIASIDWRTIKKCLSSPVFRAPGDALGRKSHPSDIRLASGYKSISDVKNSLVYAPYKSTFYFITDVVQERNAYSPYKDSGTLSYVDHLIKKFHIHLKYPEQQLLHAKPLFCLHNLLHNRKQEDSGPQQLDEYFIDLPPELCELKVLAFSKDIGSSISLLPSIMHRLENLLVAIELKHVLSVSFPEGAEVTAERVLEALTTEKCQERFSLERLEILGDAFLKFAVGRHFFLLHDSLDEGGLTRKRSNVVNNSNLFKLATRSNLQVYIRDQSFEPSQFFALGRPCPRICGKETIGAIDSQGLCSVVNHTNSSEVRCSKGHHWLYKKTIADVVESLIGAFVVDSGFKAATAFLRWIGIQVDFEPSQVTEVCIASTRYIPLSACMDIAALENSLGYQFVHKGLLLQAFVHPSYNKHGGGCYQRLEFLGDAVLDYLITSYLYSVYPKLKPGQLTDLRSVSVNNKAFANVAVDRSFHKFLICDSGSLSEAIKVYVDFIDTPASERGLLDGPKCPKSLGDLVESCLGAILLDTGFNLNRVWEIMLSFLKPIMSFSSLQLSPIRELRELCQAHTWDLRFLPSKKGKTYSIQATVEGNNVRATASSTSLNKKDAIRICAKLIFAELKAQGNIPKTKSLEEVLKSSSEMEAKLIGYDETPIDVVLPDVIGFDKLNVQEPCRRNFNSKMHIKEERNGDSSCIKPVLQPPPSFEAVKIQPSETRGNPSCDANSQAKENLPGGSHKATARARLYEICAANYWEPPLFECCNEEGPSHLKLFTFKVVVKIEEAPDMILECFGSPHGNKKAAAEHAAEGALWYLRNGGYISSSD >ONH98533 pep chromosome:Prunus_persica_NCBIv2:G7:21320727:21332027:-1 gene:PRUPE_7G252800 transcript:ONH98533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGSTSSPSDQGASSGADDVLVESGAGALKSDKDPRKVARKYQLELCKRALEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPEKNKCIFLAPTVALVQQQARVIEDSLDFKVGIYCGSSNQFKNHQDWEKEMEQYEVLVMTPEILLRNLYHCFIKMESIALLIFDECHHAQVQSNHPYAEIMKLFYKTDDTKLPRIFGMTASPVVGKGASSQANLSKSINSLESLLDAKVYSVEDKEELYHFVSSPVITVYNYGPVIRNTSSHYTSYCTKLEQIKRQCIEELSKKTNDYQSVRSAKKLLNRMHDSILFCLESLGLWGALKASHILLNGDHFERNELMEEEGNNGDDTACVNYLTRADDILATDCLRDAIAADLSCVEILKEPFFSRKLLRLIGILSSFRLQQNMKCIIFVNRVVTASSLSYILQRLKFLASWKCDFLVGVHSRLMSMSRKKMNIILDKFRSGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVNSGNQKELDLIEKFRKDEDKMNMEIAFRTSSDTFIGSEDRIYKVDSSGASISSGYSISLLHQYCSKLPHDEYFDPNPKFFFLDDLGGTICHIILPSNAPIHQIVSTQQSSMEDAKKDACLKAIEELHKLGALSDYLLPQQSNPNVEELMLDSSDSDSTEDEDSRAELHEMLVPAALKEPWSNSEDHVSLSSYYLKFNPVPEDRIYKSFGLFVKAPLPVEAESMELDLHLAHSRSVMTELVPSGFAEFGKDEILLAQNFQEMFLKLVLDRTEFVSEFVPLGKHDFSRSSSSTFYLLLPVTLGNNYKIASIDWRTIKKCLSSPVFRAPGDALGRKSHPSDIRLASGYKSISDVKNSLVYAPYKSTFYFITDVVQERNAYSPYKDSGTLSYVDHLIKKFHIHLKYPEQQLLHAKPLFCLHNLLHNRKQEDSGPQQLDEYFIDLPPELCELKVLAFSKDIGSSISLLPSIMHRLENLLVAIELKHVLSVSFPEGAEVTAERVLEALTTEKCQERFSLERLEILGDAFLKFAVGRHFFLLHDSLDEGGLTRKRSNVVNNSNLFKLATRSNLQVYIRDQSFEPSQFFALGRPCPRICGKETIGAIDSQGLCSVVNHTNSSEVRCSKGHHWLYKKTIADVVESLIGAFVVDSGFKAATAFLRWIGIQVDFEPSQVTEVCIASTRYIPLSACMDIAALENSLGYQFVHKGLLLQAFVHPSYNKHGGGCYQRLEFLGDAVLDYLITSYLYSVYPKLKPGQLTDLRSVSVNNKAFANVAVDRSFHKFLICDSGSLSEAIKVYVDFIDTPASERGLLDGPKCPKSLGDLVESCLGAILLDTGFNLNRVWEIMLSFLKPIMSFSSLQLSPIRELRELCQAHTWDLRFLPSKKGKTYSIQATVEGNNVRATASSTSLNKKDAIRICAKLIFAELKNC >ONH98529 pep chromosome:Prunus_persica_NCBIv2:G7:21319142:21332373:-1 gene:PRUPE_7G252800 transcript:ONH98529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGSTSSPSDQGASSGADDVLVESGAGALKSDKDPRKVARKYQLELCKRALEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPEKNKCIFLAPTVALVQQQARVIEDSLDFKVGIYCGSSNQFKNHQDWEKEMEQYEVLVMTPEILLRNLYHCFIKMESIALLIFDECHHAQVQSNHPYAEIMKLFYKTDDTKLPRIFGMTASPVVGKGASSQANLSKSINSLESLLDAKVYSVEDKEELYHFVSSPVITVYNYGPVIRNTSSHYTSYCTKLEQIKRQCIEELSKKTNDYQSVRSAKKLLNRMHDSILFCLESLGLWGALKASHILLNGDHFERNELMEEEGNNGDDTACVNYLTRADDILATDCLRDAIAADLSCVEILKEPFFSRKLLRLIGILSSFRLQQNMKCIIFVNRVVTASSLSYILQRLKFLASWKCDFLVGVHSRLMSMSRKKMNIILDKFRSGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVNSGNQKELDLIEKFRKDEDKMNMEIAFRTSSDTFIGSEDRIYKVDSSGASISSGYSISLLHQYCSKLPHDEYFDPNPKFFFLDDLGGTICHIILPSNAPIHQIVSTQQSSMEDAKKDACLKAIEELHKLGALSDYLLPQQSNPNVEELMLDSSDSDSTEDEDSRAELHEMLVPAALKEPWSNSEDHVSLSSYYLKFNPVPEDRIYKSFGLFVKAPLPVEAESMELDLHLAHSRSVMTELVPSGFAEFGKDEILLAQNFQEMFLKLVLDRTEFVSEFVPLGKHDFSRSSSSTFYLLLPVTLGNNYKIASIDWRTIKKCLSSPVFRAPGDALGRKSHPSDIRLASGYKSISDVKNSLVYAPYKSTFYFITDVVQERNAYSPYKDSGTLSYVDHLIKKFHIHLKYPEQQLLHAKPLFCLHNLLHNRKQEDSGPQQLDEYFIDLPPELCELKVLAFSKDIGSSISLLPSIMHRLENLLVAIELKHVLSVSFPEGAEVTAERVLEALTTEKCQERFSLERLEILGDAFLKFAVGRHFFLLHDSLDEGGLTRKRSNVVNNSNLFKLATRSNLQVYIRDQSFEPSQFFALGRPCPRICGKETIGAIDSQGLCSVVNHTNSSEVRCSKGHHWLYKKTIADVVESLIGAFVVDSGFKAATAFLRWIGIQVDFEPSQVTEVCIASTRYIPLSACMDIAALENSLGYQFVHKGLLLQAFVHPSYNKHGGGCYQRLEFLGDAVLDYLITSYLYSVYPKLKPGQLTDLRSVSVNNKAFANVAVDRSFHKFLICDSGSLSEAIKVYVDFIDTPASERGLLDGPKCPKSLGDLVESCLGAILLDTGFNLNRVWEIMLSFLKPIMSFSSLQLSPIRELRELCQAHTWDLRFLPSKKGKTYSIQATVEGNNVRATASSTSLNKKDAIRICAKLIFAELKAQGNIPKTKSLEEVLKSSSEMEAKLIGYDETPIDVVLPDVIGFDKLNVQEPCRRNFNSKMHIKEERNGDSSCIKPVLQPPPSFEAVKIQPSETRGNPSCDANSQAKGGSHKATARARLYEICAANYWEPPLFECCNEEGPSHLKLFTFKVVVKIEEAPDMILECFGSPHGNKKAAAEHAAEGALWYLRNGGYISSSD >ONH94923 pep chromosome:Prunus_persica_NCBIv2:G7:7469576:7471338:-1 gene:PRUPE_7G040300 transcript:ONH94923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEGNEFAESFLHCVSPHQRVDQFEFTPSSPMSGGRDLTVVKKLNHNASERDDRKKINTLYSTLRSLLPASYQMKKLSNPAAISRAVKYIPELQQQVKGLIQKKEELLSRLRRLQQQGDPIYNEKQSRSAALSSLSASAFAVSWLNDREVVLQISSYVVQKSPLSQILVDLEEDGLLLLNASSFESFEGRLFCSLHIQVERTCRMECNILNEKNIIPLMCDRRKGCFPC >ONH97188 pep chromosome:Prunus_persica_NCBIv2:G7:17436137:17439232:-1 gene:PRUPE_7G174700 transcript:ONH97188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIAHPSRFSSSSSFSFGNFFERIKEFCNFAVSAILGNIFSAIFTFFFALVGTMLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLILWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVESSFDEIPNIFDTGGSKGLPGDSVEKIPKIIITSNNNVDASGEKVSCSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLRHGSCPLCRRDL >ONH97189 pep chromosome:Prunus_persica_NCBIv2:G7:17436164:17439232:-1 gene:PRUPE_7G174700 transcript:ONH97189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIAHPSRFSSSSSFSFGNFFERIKEFCNFAVSAILGNIFSAIFTFFFALVGTMLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLILWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVESSFDEIPNIFDTGGSKGLPGDSVEKIPKIIITSNNNVDASGEKVSCSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLRHGSCPLCRRDL >ONH96743 pep chromosome:Prunus_persica_NCBIv2:G7:16097075:16099699:-1 gene:PRUPE_7G148900 transcript:ONH96743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKRGFTYQSTSSRSKPGFFKSLPAMRTRKIFGISLSLILINFAAIMERADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGMASPLAGVLVINYDRPTVLAMGTFCWALSTAAVGASRLFAQVAFWRAVNGFGLAIVIPALQSFIADSYKDGVRGAGFGMVSLVGSLGGIGGGVLATLMAGEQYWNVPGWRCAFILMASLSSLIGFLVLLFVVDPRKTVNFTHGTDHSSDREDLIIKGPTSAASVWLESWTAMKAVVKVQTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFDHNSTAALLSLFAVGCAMGSLLGGLIADRMSRIYPHSGRIMCAQFSAFMGIPFSWFLLKVIPQSVSSYYTFAVTLLLMGLTISWNATAANGPMFAEVVPVKHRTMIYAFDRAFEGSFSSFAAPLVGILSEKMFGYDAKSVDPIKGSTREAFALSQGLLTMMAVPFGLCCLFYTPLYLFFRKDRENARMASVKEEEMR >ONH96744 pep chromosome:Prunus_persica_NCBIv2:G7:16096653:16099920:-1 gene:PRUPE_7G148900 transcript:ONH96744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKRGFTYQSTSSRSKPGFFKSLPAMRTRKIFGISLSLILINFAAIMERADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGMASPLAGVLVINYDRPTVLAMGTFCWALSTAAVGASRLFAQVAFWRAVNGFGLAIVIPALQSFIADSYKDGVRGAGFGMVSLVGSLGGIGGGVLATLMAGEQYWNVPGWRCAFILMASLSSLIGFLVLLFVVDPRKTVNFTHGTDHSSDREDLIIKGPTSAASVWLESWTAMKAVVKVQTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFDHNSTAALLSLFAVGCAMGSLLGGLIADRMSRIYPHSGRIMCAQFSAFMGIPFSWFLLKVIPQSVSSYYTFAVTLLLMGLTISWNATAANGPMFAEEGPRKC >ONH98055 pep chromosome:Prunus_persica_NCBIv2:G7:20026733:20028770:1 gene:PRUPE_7G225900 transcript:ONH98055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHLRMELMVFLVLVFLGISSIAYGAVSHTTMRKIDMLNKKGPYLGIVVPNFFELNPLLQSTSFVADQKLPYLDFSGRRFRIGRLEDESVIIVMTGLSMLNAGISTQLLLSLFKVKGVVHYGIAGNADPQLQIGDVTIPQFWAHTGLWNWQRFGDGPGNELSLESFGDYTRKVGHIKFSDFNNETRNGKSVPNLLNNVWYQPEEVFPVHGTPEVRQHAFWVPVNPKFFAVAKDLEDLKLGGCVNTTCLPRAPIVVRVKRGISASVFVDNRAYREFLNSKFNATSIDMESAAVALVCHQQKKPFIVIRALSDLAGGGSSLSNEANTFASLAAQNAVDVVLRFISLLSS >ONH98057 pep chromosome:Prunus_persica_NCBIv2:G7:20027832:20028770:1 gene:PRUPE_7G225900 transcript:ONH98057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFGDGPGNELSLESFGDYTRKVGHIKFSDFNNETRNGKSVPNLLNNVWYQPEEVFPVHGTPEVRQHAFWVPVNPKFFAVAKDLEDLKLGGCVNTTCLPRAPIVVRVKRGISASVFVDNRAYREFLNSKFNATSIDMESAAVALVCHQQKKPFIVIRALSDLAGGGSSLSNEANTFASLAAQNAVDVVLRFISLLSS >ONH98056 pep chromosome:Prunus_persica_NCBIv2:G7:20027188:20028770:1 gene:PRUPE_7G225900 transcript:ONH98056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLSMLNAGISTQLLLSLFKVKGVVHYGIAGNADPQLQIGDVTIPQFWAHTGLWNWQRFGDGPGNELSLESFGDYTRKVGHIKFSDFNNETRNGKSVPNLLNNVWYQPEEVFPVHGTPEVRQHAFWVPVNPKFFAVAKDLEDLKLGGCVNTTCLPRAPIVVRVKRGISASVFVDNRAYREFLNSKFNATSIDMESAAVALVCHQQKKPFIVIRALSDLAGGGSSLSNEANTFASLAAQNAVDVVLRFISLLSS >ONH95759 pep chromosome:Prunus_persica_NCBIv2:G7:12301797:12309152:1 gene:PRUPE_7G089400 transcript:ONH95759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPHSLSMPELGFTSSPASMHISQLTSHHHCSTQLGTLRPRIQENRFQLILSQHGSQGNGHNLCELKFGSQNSQLLGYVYSVQLGTIRPRSQKHRKLSFGHGRTSFLNDYKLSELNLGFYDTREPDTLRPKVPKRKLIQGCHLGGSNSSKCRHGSVQLGLSEVNFRNLNFVVRSSSSLSVDKVVEREGGGGKSYYDAIVIGSGIGGLVAATQLAVKGARVLVLEKYVIPGGSSGYYQRDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGCEMQVIPDPTTVHYHLPNNLSVLVHREYSEFIAELTGKFPHEKEGILKFYGECWKIFNALNSLELKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDIARKYIQDPQLLSFIDAECFIVSTVKALQTPMINASMVMCDRHYGGINYPVGGVGGIAKSLAKGLVDQGSEILYKANVTSIIVDQGRAVGVRLSDRREFFAKTIISNATRWNTFGTLLKGDDVPKEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLEDDWTRLEEPYGSIFLSIPTVLDPSLAPEGRHILHIFTTSSIEDWEGLSRKDYEAKKEIVADEIIGRLEDKLFPGLKSSIVFKEGLRRSPRYWMLPSFDFLDG >ONH95758 pep chromosome:Prunus_persica_NCBIv2:G7:12301901:12310607:1 gene:PRUPE_7G089400 transcript:ONH95758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPHSLSMPELGFTSSPASMHISQLTSHHHCSTQLGTLRPRIQENRFQLILSQHGSQGNGHNLCELKFGSQNSQLLGYVYSVQLGTIRPRSQKHRKLSFGHGRTSFLNDYKLSELNLGFYDTREPDTLRPKVPKRKLIQGCHLGGSNSSKCRHGSVQLGLSEVNFRNLNFVVRSSSSLSVDKVVEREGGGGKSYYDAIVIGSGIGGLVAATQLAVKGARVLVLEKYVIPGGSSGYYQRDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGCEMQVIPDPTTVHYHLPNNLSVLVHREYSEFIAELTGKFPHEKEGILKFYGECWKIFNALNSLELKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDIARKYIQDPQLLSFIDAECFIVSTVKALQTPMINASMVMCDRHYGGINYPVGGVGGIAKSLAKGLVDQGSEILYKANVTSIIVDQGRAVGVRLSDRREFFAKTIISNATRWNTFGTLLKGDDVPKEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLEDDWTRLEEPYGSIFLSIPTVLDPSLAPEGRHILHIFTTSSIEDWEGLSRKDYEAKKEIVADEIIGRLEDKLFPGLKSSIVFKEVGTPKTHRRYLARDKGTYGPIPRRTPKGLLGMPFNTTAIEGLYCVGDSCFPGQGVIAVSFSGVMCAHRVAADIGLEKKSPVLDAALLRLLGWLRTLA >ONH95757 pep chromosome:Prunus_persica_NCBIv2:G7:12301767:12309154:1 gene:PRUPE_7G089400 transcript:ONH95757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPHSLSMPELGFTSSPASMHISQLTSHHHCSTQLGTLRPRIQENRFQLILSQHGSQGNGHNLCELKFGSQNSQLLGYVYSVQLGTIRPRSQKHRKLSFGHGRTSFLNDYKLSELNLGFYDTREPDTLRPKVPKRKLIQGCHLGGSNSSKCRHGSVQLGLSEVNFRNLNFVVRSSSSLSVDKVVEREGGGGKSYYDAIVIGSGIGGLVAATQLAVKGARVLVLEKYVIPGGSSGYYQRDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGCEMQVIPDPTTVHYHLPNNLSVLVHREYSEFIAELTGKFPHEKEGILKFYGECWKIFNALNSLELKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDIARKYIQDPQLLSFIDAECFIVSTVKALQTPMINASMVMCDRHYGGINYPVGGVGGIAKSLAKGLVDQGSEILYKANVTSIIVDQGRAVGVRLSDRREFFAKTIISNATRWNTFGTLLKGDDVPKEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLEDDWTRLEEPYGSIFLSIPTVLDPSLAPEGRHILHIFTTSSIEDWEGLSRKDYEAKKEIVADEIIGRLEDKLFPGLKSSIVFKEVGTPKTHRRYLARDKGTYGPIPRRTPKGLLGMPFNTTAIEGLYCVGDSCFPGQGVIAVSFSGVMCAHRVAADIGLEKKSPVLDAALLRLLGWLRTLA >ONH95274 pep chromosome:Prunus_persica_NCBIv2:G7:10019128:10023816:-1 gene:PRUPE_7G060900 transcript:ONH95274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSASACQSNCYKTQQEEEAVKANNNTNSFAANISSSNNNSNSGLCLKCKANQPISSNSDGGDDARFCIDCFRGNLFGKFRFAVTSNAMIAPTDNVLVAFSGGPSSRVALQFVHEMHSKAQKNFDACRDRSLPVFGVGVAFVDESSVYSAPSNETDKVIGDVRLIVENLAPPSKELYIVPIESVYSSDSGDGRERLKKLLDAVGDATGREDLLLHLRMLALQKVASQNGYNRLLLGSCVSRIACHVISATVKGQGYSLPADVQYVDARWEIPVVLPLRDCLAKELTMLCRLDGLKTVELIRSPCTGINGLVSSFVTLLQEENPSRECTIMRTAGKLIPFHFNKIEEIDDSNVLLATRRRQKRYNLKPNESFSSESFCFICNSPLSRSDLLSLKNLESHKTSSVCCSSCQFQILPQDPSSVNELYTLLPEQLFARAKHDNLDHCSAIREQIQDCLLSEGEDET >ONH95276 pep chromosome:Prunus_persica_NCBIv2:G7:10019128:10023816:-1 gene:PRUPE_7G060900 transcript:ONH95276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSASACQSNCYKTQQEEEAVKANNNTNSFAANISSSNNNSNSGLCLKCKANQPISSNSDGGDDARFCIDCFRGNLFGKFRFAVTSNAMIAPTDNVLVAFSGGPSSRVALQFVHEMHSKAQKNFDACRDRSLPVFGVGVAFVDESSVYSAPSNETDKVIGDVRLIVENLAPPSKELYIVPIESVYSSDSGDGRERLKKLLDAVGDATGREDLLLHLRMLALQKVASQNGYNRLLLGSCVSRIACHVISATVKGQGYSLPADVQYVDARWEIPVVLPLRDCLAKELTMLCRLDGYKVGPVSLIIYD >ONH95278 pep chromosome:Prunus_persica_NCBIv2:G7:10021176:10023369:-1 gene:PRUPE_7G060900 transcript:ONH95278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSASACQSNCYKTQQEEEAVKANNNTNSFAANISSSNNNSNSGLCLKCKANQPISSNSDGGDDARFCIDCFRGNLFGKFRFAVTSNAMIAPTDNVLVAFSGGPSSRVALQFVHEMHSKAQKNFDACRDRSLPVFGVGVAFVDESSVYSAPSNETDKVIGDVRLIVENLAPPSKELYIVPIESVYSSDSGDGRERLKKLLDAVGDATGREDLLLHLRMLALQKVASQNGYNRLLLGSCVSRIACHVISATVKGQGYSLPADVQYVDARWEIPVVLPLRDCLAKELTMLCRLDGLKTVELIRSPCTGINGLVSSFVTLLQVQSGPSFSYHL >ONH95277 pep chromosome:Prunus_persica_NCBIv2:G7:10021176:10023369:-1 gene:PRUPE_7G060900 transcript:ONH95277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSASACQSNCYKTQQEEEAVKANNNTNSFAANISSSNNNSNSGLCLKCKANQPISSNSDGGDDARFCIDCFRGNLFGKFRFAVTSNAMIAPTDNVLVAFSGGPSSRVALQFVHEMHSKAQKNFDACRDRSLPVFGVGVAFVDESSVYSAPSNETDKVIGDVRLIVENLAPPSKELYIVPIESVYSSDSGDGRERLKKLLDAVGDATGREDLLLHLRMLALQKVASQNGYNRLLLGSCVSRIACHVISATVKGQGYSLPADVQYVDARWEIPVVLPLRDCLAKELTMLCRLDGLKTVELIRSPCTGINGLVSSFVTLLQVQSGPSFSYHL >ONH95275 pep chromosome:Prunus_persica_NCBIv2:G7:10019125:10023816:-1 gene:PRUPE_7G060900 transcript:ONH95275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSASACQSNCYKTQQEEEAVKANNNTNSFAANISSSNNNSNSGLCLKCKANQPISSNSDGGDDARFCIDCFRGNLFGKFRFAVTSNAMIAPTDNVLVAFSGGPSSRVALQFVHEMHSKAQKNFDACRDRSLPVFGVGVAFVDESSVYSAPSNETDKVIGDVRLIVENLAPPSKELYIVPIESVYSSDSGDGRERLKKLLDAVGDATGREDLLLHLRMLALQKVASQNGYNRLLLGSCVSRIACHVISATVKGQGYSLPADVQYVDARWEIPVVLPLRDCLAKELTMLCRLDGLKTVELIRSPCTGINGLVSSFVTLLQASEEI >ONH95208 pep chromosome:Prunus_persica_NCBIv2:G7:9640691:9641456:-1 gene:PRUPE_7G057100 transcript:ONH95208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAGSLGVVVCLFLVVVGVLVEVVPMAEGDTMPSQCKQEKDLLVSACKSAVINNIIGRSPSAYCCQIVRVTHVECVCPYVTPKLANLIPLQRTIKQIEGCGRSVPRNFKCGSITTPP >ONH98262 pep chromosome:Prunus_persica_NCBIv2:G7:20615695:20618444:-1 gene:PRUPE_7G238700 transcript:ONH98262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRGRSVSRDRYRASPYPCSSSHTRRPPPKIPSETEENVKEWEDTRCPVCLEHPHNAVLLICSSYEKGCRPYMCDTSYRHSNCLDQYCKSFSAETSPTVPPEGENQILDTQSSPAETLESTITHVQNDRIEEEAPTMNPIACEHEAQPKLLCPLCRGEIKDWIIVEPARCFMNAKSRNCSCETCSFIGTYADLRNHARLEHPQARPSEADPERQRTWRSLERQRDFGDLLSTLQSSIGEDRGDDSSLPVDDGGWLTVVFLVRVIQPGASLRSSTWSGPRRTRAQVRMRGRATTLWGESYDGEAASASREEDNDSSDGSTGARRRSARLRRRTTPDNQP >ONH98265 pep chromosome:Prunus_persica_NCBIv2:G7:20615705:20618405:-1 gene:PRUPE_7G238700 transcript:ONH98265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRGRSVSRDRYRASPYPCSSSHTRRPPPKIPSETEENVKEWEDTRCPVCLEHPHNAVLLICSSYEKGCRPYMCDTSYRHSNCLDQYCKSFSAETSPTVPPEGENQILDTQSSPAETLESTITHVQNDRIEEEAPTMNPIACEHEAQPKLLCPLCRGEIKDWIIVEPARCFMNAKSRNCSCETCSFIGTYADLRNHARLEHPQARPSEADPERQRTWRSLERQRDFGDLLSTLQSSIGEDRGDDSSLPVDDGGWLTVVFLVRVIQPGASLRSSTWSGPRRTRAQVRMRGRATTLWGESYDGEAASASREEDNDSSDGSTGARRRSARLRRRTTPDNQP >ONH98258 pep chromosome:Prunus_persica_NCBIv2:G7:20615705:20618390:-1 gene:PRUPE_7G238700 transcript:ONH98258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRGRSVSRDRYRASPYPCSSSHTRRPPPKIPSETEENVKEWEDTRCPVCLEHPHNAVLLICSSYEKGCRPYMCDTSYRHSNCLDQYCKSFSAETSPTVPPEGENQILDTQSSPAETLESTITHVQNDRIEEEAPTMNPIACEHEAQPKLLCPLCRGEIKDWIIVEPARCFMNAKSRNCSCETCSFIGTYADLRNHARLEHPQARPSEADPERQRTWRSLERQRDFGDLLSTLQSSIGEDRGDDSSLPVDDGGWLTVVFLVRVIQPGASLRSSTWSGPRRTRAQVRMRGRATTLWGESYDGEAASASREEDNDSSDGSTGARRRSARLRRRTTPDNQP >ONH98264 pep chromosome:Prunus_persica_NCBIv2:G7:20615700:20618430:-1 gene:PRUPE_7G238700 transcript:ONH98264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRGRSVSRDRYRASPYPCSSSHTRRPPPKIPSETEENVKEWEDTRCPVCLEHPHNAVLLICSSYEKGCRPYMCDTSYRHSNCLDQYCKSFSAETSPTVPPEGENQILDTQSSPAETLESTITHVQNDRIEEEAPTMNPIACEHEAQPKLLCPLCRGEIKDWIIVEPARCFMNAKSRNCSCETCSFIGTYADLRNHARLEHPQARPSEADPERQRTWRSLERQRDFGDLLSTLQSSIGEDRGDDSSLPVDDGGWLTVVFLVRVIQPGASLRSSTWSGPRRTRAQVRMRGRATTLWGESYDGEAASASREEDNDSSDGSTGARRRSARLRRRTTPDNQP >ONH98263 pep chromosome:Prunus_persica_NCBIv2:G7:20615705:20618407:-1 gene:PRUPE_7G238700 transcript:ONH98263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRGRSVSRDRYRASPYPCSSSHTRRPPPKIPSETEENVKEWEDTRCPVCLEHPHNAVLLICSSYEKGCRPYMCDTSYRHSNCLDQYCKSFSAETSPTVPPEGENQILDTQSSPAETLESTITHVQNDRIEEEAPTMNPIACEHEAQPKLLCPLCRGEIKDWIIVEPARCFMNAKSRNCSCETCSFIGTYADLRNHARLEHPQARPSEADPERQRTWRSLERQRDFGDLLSTLQSSIGEDRGDDSSLPVDDGGWLTVVFLVRVIQPGASLRSSTWSGPRRTRAQVRMRGRATTLWGESYDGEAASASREEDNDSSDGSTGARRRSARLRRRTTPDNQP >ONH98260 pep chromosome:Prunus_persica_NCBIv2:G7:20616044:20617069:-1 gene:PRUPE_7G238700 transcript:ONH98260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRGRSVSRDRYRASPYPCSSSHTRRPPPKIPSETEENVKEWEDTRCPVCLEHPHNAVLLICSSYEKGCRPYMCDTSYRHSNCLDQYCKSFSAETSPTVPPEGENQILDTQSSPAETLESTITHVQNDRIEEEAPTMNPIACEHEAQPKLLCPLCRGEIKDWIIVEPARCFMNAKSRNCSCETCSFIGTYADLRNHARLEHPQARPSEADPERQRTWRSLERQRDFGDLLSTLQSSIGEDRGDDSSLPVDDGGWLTVVFLVRVIQPGASLRSSTWSGPRRTRAQVRMRGRATTLWGESYDGEAASASREEDNDSSDGSTGARRRSARLRRRTTPDNQP >ONH98261 pep chromosome:Prunus_persica_NCBIv2:G7:20615705:20618444:-1 gene:PRUPE_7G238700 transcript:ONH98261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRGRSVSRDRYRASPYPCSSSHTRRPPPKIPSETEENVKEWEDTRCPVCLEHPHNAVLLICSSYEKGCRPYMCDTSYRHSNCLDQYCKSFSAETSPTVPPEGENQILDTQSSPAETLESTITHVQNDRIEEEAPTMNPIACEHEAQPKLLCPLCRGEIKDWIIVEPARCFMNAKSRNCSCETCSFIGTYADLRNHARLEHPQARPSEADPERQRTWRSLERQRDFGDLLSTLQSSIGEDRGDDSSLPVDDGGWLTVVFLVRVIQPGASLRSSTWSGPRRTRAQVRMRGRATTLWGESYDGEAASASREEDNDSSDGSTGARRRSARLRRRTTPDNQP >ONH98259 pep chromosome:Prunus_persica_NCBIv2:G7:20615701:20618445:-1 gene:PRUPE_7G238700 transcript:ONH98259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRRGRSVSRDRYRASPYPCSSSHTRRPPPKIPSETEENVKEWEDTRCPVCLEHPHNAVLLICSSYEKGCRPYMCDTSYRHSNCLDQYCKSFSAETSPTVPPEGENQILDTQSSPAETLESTITHVQNDRIEEEAPTMNPIACEHEAQPKLLCPLCRGEIKDWIIVEPARCFMNAKSRNCSCETCSFIGTYADLRNHARLEHPQARPSEADPERQRTWRSLERQRDFGDLLSTLQSSIGEDRGDDSSLPVDDGGWLTVVFLVRVIQPGASLRSSTWSGPRRTRAQVRMRGRATTLWGESYDGEAASASREEDNDSSDGSTGARRRSARLRRRTTPDNQP >ONH96157 pep chromosome:Prunus_persica_NCBIv2:G7:13782032:13784684:-1 gene:PRUPE_7G110100 transcript:ONH96157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLFKLEDFERAVSFSATAGKPQLQEDVISRHNQFIRAIREQILYVEKNLEGTSIGDPMRNTEWVNLNEQDRDGLALFLSGGNDTDHSGCYDVEDNSILERFLDPITSSAKDSTSGIVEHKSRGIENLNMNGVVPLDRAIDSRKENNWTKVGSYNRFGEGTRLSSELTDYFPETSGDRYGGDGSQDLEANEAKPESFFCKDKLRRFCSITNVLGFLNNIRTVYGSRVTRNYTKRFKDGEEQSHSPSCAADVSHAAQGQRQGTWLAFGYRNFRELCVGVRSKVMYLQSWLGVFRARYQRSPYDIQVQWHSVQLVLIVVLTLIILGKLVSWLA >ONH96154 pep chromosome:Prunus_persica_NCBIv2:G7:13782032:13785374:-1 gene:PRUPE_7G110100 transcript:ONH96154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHQWESDPLFAAAEIVQDSADRMESVFRLLLHELSLIQGDCPDLKLLVSIDYHKRDLATTLETAKWQLEDFERAVSFSATAGKPQLQEDVISRHNQFIRAIREQILYVEKNLEGTSIGDPMRNTEWVNLNEQDRDGLALFLSGGNDTDHSGCYDVEDNSILERFLDPITSSAKDSTSGIVEHKSRGIENLNMNGVVPLDRAIDSRKENNWTKVGSYNRFGEGTRLSSELTDYFPETSGDRYGGDGSQDLEANEAKPESFFCKDKLRRFCSITNVLGFLNNIRTVYGSRVTRNYTKRFKDGEEQSHSPSCAADVSHAAQGQRQGTWARYQRSPYDIQVQWHSVQLVLIVVLTLIILGKLVSWLA >ONH96152 pep chromosome:Prunus_persica_NCBIv2:G7:13780959:13784804:-1 gene:PRUPE_7G110100 transcript:ONH96152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVFRLLLHELSLIQGDCPDLKLLVSIDYHKRDLATTLETAKWQLEDFERAVSFSATAGKPQLQEDVISRHNQFIRAIREQILYVEKNLEGTSIGDPMRNTEWVNLNEQDRDGLALFLSGGNDTDHSGCYDVEDNSILERFLDPITSSAKDSTSGIVEHKSRGIENLNMNGVVPLDRAIDSRKENNWTKVGSYNRFGEGTRLSSELTDYFPETSGDRYGGDGSQDLEANEAKPESFFCKDKLRRFCSITNVLGFLNNIRTVYGSRVTRNYTKRFKDGEEQSHSPSCAADVSHAAQVNWCPGLLRDIHSFGYILQSVCARVFSRLFNRGNNLLCHYLTQLSWLDANTSGHRVII >ONH96155 pep chromosome:Prunus_persica_NCBIv2:G7:13782032:13785374:-1 gene:PRUPE_7G110100 transcript:ONH96155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHQWESDPLFAAAEIVQDSADRMESVFRLLLHELSLIQGDCPDLKLLVSIDYHKRDLATTLETAKWQLEDFERAVSFSATAGKPQLQEDVISRHNQFIRAIREQILYVEKNLEGTSIGDPMRNTEWVNLNEQDRDGLALFLSGGNDTDHSGCYDVEDNSILERFLDPITSSAKDSTSGIVEHKSRGIENLNMNGVVPLDRAIDSRKENNWTKVGSYNRFGEGTRLSSELTDYFPETSGDRYGGDGSQDLEANEAKPESFFCKDKLRRFCSITNVLGFLNNIRTVYGSRVTRNYTKRFKDGEEQSHSPSCAADVSHAAQGQRQGTWLAFGYRNFRELCVGVRSKVMYLQSWLGVFRARYQRSPYDIQVQWHSVQLVLIVVLTLIILGKLVSWLA >ONH96153 pep chromosome:Prunus_persica_NCBIv2:G7:13780959:13785918:-1 gene:PRUPE_7G110100 transcript:ONH96153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLFKLEDFERAVSFSATAGKPQLQEDVISRHNQFIRAIREQILYVEKNLEGTSIGDPMRNTEWVNLNEQDRDGLALFLSGGNDTDHSGCYDVEDNSILERFLDPITSSAKDSTSGIVEHKSRGIENLNMNGVVPLDRAIDSRKENNWTKVGSYNRFGEGTRLSSELTDYFPETSGDRYGGDGSQDLEANEAKPESFFCKDKLRRFCSITNVLGFLNNIRTVYGSRVTRNYTKRFKDGEEQSHSPSCAADVSHAAQVNWCPGLLRDIHSFGYILQSVCARVFSRLFNRGNNLLCHYLTQLSWLDANTSGHRVII >ONH96156 pep chromosome:Prunus_persica_NCBIv2:G7:13782032:13784684:-1 gene:PRUPE_7G110100 transcript:ONH96156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLFKLEDFERAVSFSATAGKPQLQEDVISRHNQFIRAIREQILYVEKNLEGTSIGDPMRNTEWVNLNEQDRDGLALFLSGGNDTDHSGCYDVEDNSILERFLDPITSSAKDSTSGIVEHKSRGIENLNMNGVVPLDRAIDSRKENNWTKVGSYNRFGEGTRLSSELTDYFPETSGDRYGGDGSQDLEANEAKPESFFCKDKLRRFCSITNVLGFLNNIRTVYGSRVTRNYTKRFKDGEEQSHSPSCAADVSHAAQGQRQGTWARYQRSPYDIQVQWHSVQLVLIVVLTLIILGKLVSWLA >ONH96151 pep chromosome:Prunus_persica_NCBIv2:G7:13780959:13785918:-1 gene:PRUPE_7G110100 transcript:ONH96151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLHQWESDPLFAAAEIVQDSADRMESVFRLLLHELSLIQGDCPDLKLLVSIDYHKRDLATTLETAKWQLEDFERAVSFSATAGKPQLQEDVISRHNQFIRAIREQILYVEKNLEGTSIGDPMRNTEWVNLNEQDRDGLALFLSGGNDTDHSGCYDVEDNSILERFLDPITSSAKDSTSGIVEHKSRGIENLNMNGVVPLDRAIDSRKENNWTKVGSYNRFGEGTRLSSELTDYFPETSGDRYGGDGSQDLEANEAKPESFFCKDKLRRFCSITNVLGFLNNIRTVYGSRVTRNYTKRFKDGEEQSHSPSCAADVSHAAQVNWCPGLLRDIHSFGYILQSVCARVFSRLFNRGNNLLCHYLTQLSWLDANTSGHRVII >ONH95311 pep chromosome:Prunus_persica_NCBIv2:G7:10198310:10202520:1 gene:PRUPE_7G063100 transcript:ONH95311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLCLLCLLLVGCLSESQLVGAQLHDLTTLSAINEELGVPGWGANFSDYCSWPGISCGLNHSMVEKLDLSRHNLRGNVTLISELRALKRLDLSYNDFQGSIPSAFGNLSQLEFLDLSLNKFGGSLPPELGNLRNLRSLNLSNNLLVGEIPDEIQALEKLQDFQISSNRLNGTIPNWVGNLTNLRVFTAYENKLEGKIPDNLGSISELESLNLHSNQLEGPIPKSIFASGKLEFLVLTQNRLSGDIPEEMGNCSSLSSIRIGNNDLIGSIPHSIGNISGLTYFEADNNNLSGEIVPEFSKCSNLTLLNLASNGFTGKIPPELGQLMNLQELILSGNSLFGEIPKSILGCKNLNKLDLSNNRINGSIPNDICNMSRLQYLLLGQNSIRGEIPHEIGNCVKLLELQMGRNYLTGSIPPEIGRIKNLQIALNLSFNHLRGPLPPDLGKLDKLVSLDVSNNQLSDIIPTAFKGMLSLIEVNFSNNLFTGPVPTFVPFQKSPNSSFLGNKGLCGEPLSSSCGNSNSGGHANDHHRVSYRIVLAVIGSGLAVFVSVTVVVLLFMIRERQEKAEKSAGTEDEEANNVPAIVAGNVFVENLKQAIDLDYAVKATLKDSNKLSTGTFSTVYKAVMPSGLILSVKRLKSMDRTIIHHQNKMIRELERLSKLCHDNLVRPIGFVIYEDVALLLHHYLPYGTLCQLLHESTKLPEYEPDWPTRLSIAIGVAEGLAFLHHVAIIHLDISSGNVLLDANFKPLVGEIEISKLLDPSRGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTKLPVDEAFDEGVDLVKWVHNAPSRGETPEQILDARLSTVSFGWRKEMLAALKIALLCTDSIPAKRPKMKKVVEMLQEIKQN >ONH97353 pep chromosome:Prunus_persica_NCBIv2:G7:17953249:17956291:-1 gene:PRUPE_7G185200 transcript:ONH97353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLLEVACMPTFQVLIISVLGAFMATEYWNLLPVDARRSMNKVVFVVFTPSLVFASVAKTITFEDIISMWFMIVNVGLTFLFGGILGWILVKIVRPKPYQEGLVMAACSSANLGNLPLILIPAICEEDGNPFGDHSVCKRVGLSYVSFSMALGGFFIWTYSYQLIRTSAMKWKALQAIEAAEEASKNPNSDLDAEGETPLLKGEDEEQGSIVVSSNGSRNQEAIVADESDVPFSRKVVAFVKQILHELLAPPTVAAIVGFFIGSIPFLKKIIIGDSAPLRVIEDSITQLGNGTIPCITLILGGNLIQGLRKSTIKIPIIIGVIIVRYVFTLPPAMNIGTMTQLFDVAEAECSVIFLWTYLVAALALTIWSTVYMWLLS >ONH97352 pep chromosome:Prunus_persica_NCBIv2:G7:17953249:17959156:-1 gene:PRUPE_7G185200 transcript:ONH97352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLLEVACMPTFQVLIISVLGAFMATEYWNLLPVDARRSMNKVVFVVFTPSLVFASVAKTITFEDIISMWFMIVNVGLTFLFGGILGWILVKIVRPKPYQEGLVMAACSSANLGNLPLILIPAICEEDGNPFGDHSVCKRVGLSYVSFSMALGGFFIWTYSYQLIRTSAMKWKALQAIEAAEEASKNPNSDLDAEGETPLLKGEDEEQGSIVVSSNGSRNQEAIVADESDVPFSRKVVAFVKQILHELLAPPTVAAIVGFFIGSIPFLKKIIIGDSAPLRVIEDSITQLGNGTIPCITLILGGNLIQGLRKSTIKIPIIIGVIIVRYVFTLPPAMNIGTMTQLFDVAEAECSVIFLWTYLVAALALTIWSTVYMWLLS >ONH97354 pep chromosome:Prunus_persica_NCBIv2:G7:17953635:17955822:-1 gene:PRUPE_7G185200 transcript:ONH97354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLLEVACMPTFQVLIISVLGAFMATEYWNLLPVDARRSMNKVVFVVFTPSLVFASVAKTITFEDIISMWFMIVNVGLTFLFGGILGWILVKIVRPKPYQEGLVMAACSSANLGNLPLILIPAICEEDGNPFGDHSVCKRVGLSYVSFSMALGGFFIWTYSYQLIRTSAMKWKALQAIEAAEEASKNPNSDLDAEGETPLLKGEDEEQGSIVVSSNGSRNQEAIVADESDVPFSRKVVAFVKQILHELLAPPTVAAIVGFFIGSIPFLKKIIIGDSAPLRVIEDSITQLGNGTIPCITLILGGNLIQGLRKSTIKIPIIIGVIIVRYVFTLPPAMNIGTMTQLFDVAEAECSVIFLWTYLVAALALTIWSTVYMWLLS >ONH97349 pep chromosome:Prunus_persica_NCBIv2:G7:17953249:17958863:-1 gene:PRUPE_7G185200 transcript:ONH97349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLLEVACMPTFQVLIISVLGAFMATEYWNLLPVDARRSMNKVVFVVFTPSLVFASVAKTITFEDIISMWFMIVNVGLTFLFGGILGWILVKIVRPKPYQEGLVMAACSSEDGNPFGDHSVCKRVGLSYVSFSMALGGFFIWTYSYQLIRTSAMKWKALQAIEAAEEASKNPNSDLDAEGETPLLKGEDEEQGSIVVSSNGSRNQEAIVADESDVPFSRKVVAFVKQILHELLAPPTVAAIVGFFIGSIPFLKKIIIGDSAPLRVIEDSITQLGNGTIPCITLILGGNLIQGLRKSTIKIPIIIGVIIVRYVFTLPPAMNIGTMTQLFDVAEAECSVIFLWTYLVAALALTIWSTVYMWLLS >ONH97351 pep chromosome:Prunus_persica_NCBIv2:G7:17953635:17955822:-1 gene:PRUPE_7G185200 transcript:ONH97351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLLEVACMPTFQVLIISVLGAFMATEYWNLLPVDARRSMNKVVFVVFTPSLVFASVAKTITFEDIISMWFMIVNVGLTFLFGGILGWILVKIVRPKPYQEGLVMAACSSANLGNLPLILIPAICEEDGNPFGDHSVCKRVGLSYVSFSMALGGFFIWTYSYQLIRTSAMKWKALQAIEAAEEASKNPNSDLDAEGETPLLKGEDEEQGSIVVSSNGSRNQEAIVADESDVPFSRKVVAFVKQILHELLAPPTVAAIVGFFIGSIPFLKKIIIGDSAPLRVIEDSITQLGNGTIPCITLILGGNLIQGLRKSTIKIPIIIGVIIVRYVFTLPPAMNIGTMTQLFDVAEAECSVIFLWTYLVAALALTIWSTVYMWLLS >ONH97355 pep chromosome:Prunus_persica_NCBIv2:G7:17953635:17955822:-1 gene:PRUPE_7G185200 transcript:ONH97355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLLEVACMPTFQVLIISVLGAFMATEYWNLLPVDARRSMNKVVFVVFTPSLVFASVAKTITFEDIISMWFMIVNVGLTFLFGGILGWILVKIVRPKPYQEGLVMAACSSANLGNLPLILIPAICEEDGNPFGDHSVCKRVGLSYVSFSMALGGFFIWTYSYQLIRTSAMKWKALQAIEAAEEASKNPNSDLDAEGETPLLKGEDEEQGSIVVSSNGSRNQEAIVADESDVPFSRKVVAFVKQILHELLAPPTVAAIVGFFIGSIPFLKKIIIGDSAPLRVIEDSITQLGNGTIPCITLILGGNLIQGLRKSTIKIPIIIGVIIVRYVFTLPPAMNIGTMTQLFDVAEAECSVIFLWTYLVAALALTIWSTVYMWLLS >ONH97350 pep chromosome:Prunus_persica_NCBIv2:G7:17953249:17958863:-1 gene:PRUPE_7G185200 transcript:ONH97350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWTLLEVACMPTFQVLIISVLGAFMATEYWNLLPVDARRSMNKVVFVVFTPSLVFASVAKTITFEDIISMWFMIVNVGLTFLFGGILGWILVKIVRPKPYQEGLVMAACSSANLGNLPLILIPAICEEDGNPFGDHSVCKRVGLSYVSFSMALGGFFIWTYSYQLIRTSAMKWKALQAIEAAEEASKNPNSDLDAEGETPLLKGEDEEQGSIVVSSNGSRNQEAIVADESDVPFSRKVVAFVKQILHELLAPPTVAAIVGFFIGSIPFLKKIIIGDSAPLRVIEDSITQLGNGTIPCITLILGGNLIQGLRKSTIKIPIIIGVIIVRYVFTLPPAMNIGTMTQLFDVAEAECSVIFLWTYLVAALALTIWSTVYMWLLS >ONH98128 pep chromosome:Prunus_persica_NCBIv2:G7:20276766:20277987:-1 gene:PRUPE_7G231400 transcript:ONH98128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRFKLKLSNMIRSSFGSCRSRNLSDVIQKAVFLPENQQDLPTIEPPKQVARPFPSICRPKCSDPNQPIINNHCVISTKDVMLPRRKIFERCSPFVKPFNPDGQTCPPASPFAALNPFYDHHDKSFRQRKNRDKKKKKKNKKKKTSNAKKNKPSSEFFPFSSSSFDSNNYKGWWSSSDDEKREDETDTLFSSKSHSSDSSGSRLRRRPPRNGHSTSRRRASSEVGLLPIQGKVKDSFAVVKKSSDPYNDFRTSMVEMIVEKQIFSAQDLEQLLQCFLSLNSSLHHRVILEVFTEIWEALFSNWGS >ONH94159 pep chromosome:Prunus_persica_NCBIv2:G7:223125:225642:1 gene:PRUPE_7G001600 transcript:ONH94159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLSKTSLFSSWHHHNRQNQSISVFPKSCNYNKSGSFCCSLKLNPEISSLSLSTKSDFHGKGFALQEHRAMPSRGNFQSQAASVVNSLQTGLRFGKALKWWEKGNQPNMKEVTSAQDLVDSLSNAGDKLVVVDFFSPGCGGCKALHPKICQLAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFRDALAKHKPDRCSLGPTKGLEEKELLALAANKDISFNYTPKPVEAVPTPAKEVIVSEAAPSRLNSEALPLPSMTTSKSAQVVTAWR >ONH94160 pep chromosome:Prunus_persica_NCBIv2:G7:223112:225642:1 gene:PRUPE_7G001600 transcript:ONH94160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLSKTSLFSSWHHHNRQNQSISVFPKSCNYNKSGSFCCSLKLNPEISSLSLSTKSDFHGKGFALQEHRAMPSRGNFQSQAASVVNSLTGLRFGKALKWWEKGNQPNMKEVTSAQDLVDSLSNAGDKLVVVDFFSPGCGGCKALHPKICQLAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFRDALAKHKPDRCSLGPTKGLEEKELLALAANKDISFNYTPKPVEAVPTPAKEVIVSEAAPSRLNSEALPLPSMTTSKSAQVVTAWR >ONH97143 pep chromosome:Prunus_persica_NCBIv2:G7:17315797:17319070:-1 gene:PRUPE_7G171900 transcript:ONH97143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPSPRYVKKGINDEALIESIKLQREDGKAEKEKKREKKREKKEKKARENGELENKKHGHKKRHKDERHQEDEKGRDHGKKRKHEIENLDKSTLTEEHEHPVGSQNSSDSTVDSNKRPKQKSYPDGMHNSASIFRIRLPLQRHKDPEVLLREEQPCQLPIQKHKDPQMLPSQEQPSRLSLQRHKDPQVLPSQEQPSRLSLQRHKDPQVLPSQEQPSQLSLQRHKDPQVLPSQEQPYRLSLQRHTGPQMLPSQQQPSRLSLQRHKDPQVLPSQEQPCSASGRTDNAFVQGMHEAAPRQGRDEGQHRCSTFGNSGKEVPVRLGKEKLRATGSASLSITSKYTELIENWDQHPLLQSFPMDVDDQGWLFETKQNRSCMADERIFVSGSLSYGDSASWPCARELPEADIYTLPFTVPF >ONH97142 pep chromosome:Prunus_persica_NCBIv2:G7:17315498:17319338:-1 gene:PRUPE_7G171900 transcript:ONH97142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPSPRYVKKGINDEALIESIKLQREDGKAEKEKKREKKREKKEKKARENGELENKKHGHKKRHKDERHQEDEKGRDHGKKRKHEIENLDKSTLTEEHEHPVGSQNSSDSTVDSNKRPKQKSYPDGMHNSASIFRIRLPLQRHKDPEVLLREEQPCQLPIQKHKDPQMLPSQEQPSRLSLQRHKDPQVLPSQEQPSRLSLQRHTGPQMLPSQQQPSRLSLQRHKDPQVLPSQEQPCSASGRTDNAFVQGMHEAAPRQGRDEGQHRCSTFGNSGKEVPVRLGKEKLRATGSASLSITSKYTELIENWDQHPLLQSFPMDVDDQGWLFETKQNRSCMADERIFVSGSLSYGDSASWPCARELPEADIYTLPFTVPF >ONH97759 pep chromosome:Prunus_persica_NCBIv2:G7:19116464:19118647:1 gene:PRUPE_7G208100 transcript:ONH97759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNVSDHSVVQIKDEDKDDVIAEDRNGVELIVSSIRGKLLQNPPSTARSCIFRIPNVLRRHNEKAFVPNLVSIGPFQHGKKNLKVMQEIKLWYLHCLLDRKPTSETSLEYLVEAIKSMEQDCRDCYGEKIHMSSEKFVEMMVVDGCFIVELFRKSEREVPVDEDDPVFNTAWMTSVLMKDLFLLENQLPWNVVDCLFHHTKEKDKPESKSLLLLALKFFEVSAFDQDPHADRPLETKHLLDGIRNSLLASYPQAETHSYWEPIPSVTELLQAGVEFKLRSDTWDNMLDITFKNGVMEIPPIDIGENAESLFRNLIAYEQSDPSIRDCNITSYAVILDNLINTSTDADFLIQKEIIVTQLSKEDIACLFNRLYSDTVVGYFCYVELTENVNAYYQDRWHRWQTILRRDYFSNPWSIFSLAAALLILGFTFLQTLYSLLTYY >ONH97756 pep chromosome:Prunus_persica_NCBIv2:G7:19115586:19118769:1 gene:PRUPE_7G208100 transcript:ONH97756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNVSDHSVVQIKDEDKDDVIAEDRNGVELIVSSIRGKLLQNPPSTARSCIFRIPNVLRRHNEKAFVPNLVSIGPFQHGKKNLKVMQEIKLWYLHCLLDRKPTSETSLEYLVEAIKSMEQDCRDCYGEKIHMSSEKFVEMMVVDGCFIVELFRKSEREVPVDEDDPVFNTAWMTSVLMKDLFLLENQLPWNVVDCLFHHTKEKDKPESKSLLLLALKFFEVSAFDQDPHADRPLETKHLLDGIRNSLLASYPQAETHSYWEPIPSVTELLQAGVEFKLRSDTWDNMLDITFKNGVMEIPPIDIGENAESLFRNLIAYEQSDPSIRDCNITSYAVILDNLINTSTDADFLIQKEIIVTQLSKEDIACLFNRLYSDTVVGYFCYVELTENVNAYYQDRWHRWQTILRRDYFSNPWSIFSLAAALLILGFTFLQTLYSLLTYY >ONH97757 pep chromosome:Prunus_persica_NCBIv2:G7:19115587:19118724:1 gene:PRUPE_7G208100 transcript:ONH97757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNVSDHSVVQIKDEDKDDVIAEDRNGVELIVSSIRGKLLQNPPSTARSCIFRIPNVLRRHNEKAFVPNLVSIGPFQHGKKNLKVMQEIKLWYLHCLLDRKPTSETSLEYLVEAIKSMEQDCRDCYGEKIHMSSEKFVEMMVVDGCFIVELFRKSEREVPVDEDDPVFNTAWMTSVLMKDLFLLENQLPWNVVDCLFHHTKEKDKPESKSLLLLALKFFEVSAFDQDPHADRPLETKHLLDGIRNSLLASYPQAETHSYWEPIPSVTELLQAGVEFKLRSDTWDNMLDITFKNGVMEIPPIDIGENAESLFRNLIAYEQSDPSIRDCNITSYAVILDNLINTSTDADFLIQKEIIVTQLSKEDIACLFNRLYSDTVVGYFCYVELTENVNAYYQDRWHRWQTILRRDYFSNPWSIFSLAAALLILGFTFLQTLYSLLTYY >ONH97758 pep chromosome:Prunus_persica_NCBIv2:G7:19116597:19118689:1 gene:PRUPE_7G208100 transcript:ONH97758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNVSDHSVVQIKDEDKDDVIAEDRNGVELIVSSIRGKLLQNPPSTARSCIFRIPNVLRRHNEKAFVPNLVSIGPFQHGKKNLKVMQEIKLWYLHCLLDRKPTSETSLEYLVEAIKSMEQDCRDCYGEKIHMSSEKFVEMMVVDGCFIVELFRKSEREVPVDEDDPVFNTAWMTSVLMKDLFLLENQLPWNVVDCLFHHTKEKDKPESKSLLLLALKFFEVSAFDQDPHADRPLETKHLLDGIRNSLLASYPQAETHSYWEPIPSVTELLQAGVEFKLRSDTWDNMLDITFKNGVMEIPPIDIGENAESLFRNLIAYEQSDPSIRDCNITSYAVILDNLINTSTDADFLIQKEIIVTQLSKEDIACLFNRLYSDTVVGYFCYVELTENVNAYYQDRWHRWQTILRRDYFSNPWSIFSLAAALLILGFTFLQTLYSLLTYY >ONH97689 pep chromosome:Prunus_persica_NCBIv2:G7:18973119:18973572:-1 gene:PRUPE_7G205100 transcript:ONH97689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSVFGLPSQTFPKENRVSFRRSQILFAGSNSADSVIPQPHLLVLLHRLLRPMPTAVAASRISTWVFSTKNYTEKLIFVTKLGLWLVRVLLKENCLASRRIEAIDKDAIYRSG >ONH97688 pep chromosome:Prunus_persica_NCBIv2:G7:18971590:18973575:-1 gene:PRUPE_7G205100 transcript:ONH97688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSVFGLPSQTFPKENRVSFRRSQILFAGSNSADSVIPQPHLLVLLHRLLRPMPTAVAASRISTWVFSTKNYTEKLIFVTKLGLWLVRVLLKENCLASRRIEAIDKDAIYSESNVEHNGWRKVHGARCSDVASLGLHGIFHLSWLWNF >ONH97690 pep chromosome:Prunus_persica_NCBIv2:G7:18971590:18973572:-1 gene:PRUPE_7G205100 transcript:ONH97690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSVFGLPSQTFPKENRVSFRRSQILFAGSNSADSVIPQPHLLVLLHRLLRPMPTAVAASRISTWVFSTKNYTEKLIFVTKLGLWLVRVLLKENCLASRRIEAIDKDAIYRSG >ONH97691 pep chromosome:Prunus_persica_NCBIv2:G7:18971590:18973576:-1 gene:PRUPE_7G205100 transcript:ONH97691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSVFGLPSQTFPKENRVSFRRSQILFAGSNSADSVIPQPHLLVLLHRLLRPMPTAVAASRISTWVFSTKNYTEKLIFVTKLGLWLVRVLLKENCLASRRIEAIDKDAIYRSG >ONH96971 pep chromosome:Prunus_persica_NCBIv2:G7:16794612:16798953:-1 gene:PRUPE_7G162700 transcript:ONH96971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGWRLQTQTGCTNTKAQVSQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIVNMSLMNNIISFDKVSGILVCEGGCILENLITFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGLEVVLADGNVIDMLGTLRKDNTGYDLKHLFIGSEGSLGIVSKVSILTPPKLFSVNVAFLACSDYFSCQKLLMEAKRKLGEILSAFEFLDSKSLDLVLNHLDGVRNPLPPTLHKFYILIETTGSDEPYDRQKLEGFLLHAMEAGLISDGALAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKMYDLVEEMRTRLSDSANVVGYGHLGDGNLHLNISTAQYDDGILTQIEPFVYEWTSKHRGSISAEHGLGLMKANEIFYSKPTATVSLMASIKKMLDPKGILNPYKVLPHSLSS >ONH96970 pep chromosome:Prunus_persica_NCBIv2:G7:16793415:16800211:-1 gene:PRUPE_7G162700 transcript:ONH96970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGWRLQTQTGCTNTKAQVSQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIVNMSLMNNIISFDKVSGILVCEGGCILENLITFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGLEVVLADGNVIDMLGTLRKDNTGYDLKHLFIGSEGSLGIVSKKLLMEAKRKLGEILSAFEFLDSKSLDLVLNHLDGVRNPLPPTLHKFYILIETTGSDEPYDRQKLEGFLLHAMEAGLISDGALAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKMYDLVEEMRTRLSDSANVVGYGHLGDGNLHLNISTAQYDDGILTQIEPFVYEWTSKHRGSISAEHGLGLMKANEIFYSKPTATVSLMASIKKMLDPKGILNPYKVLPHSLSS >ONH96972 pep chromosome:Prunus_persica_NCBIv2:G7:16793415:16799699:-1 gene:PRUPE_7G162700 transcript:ONH96972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGWRLQTQTGCTNTKAQVSFCCNLGPLRRCLAVVPQGGNTGLVGGSVPVFDEVIVNMSLMNNIISFDKVSGILVCEGGCILENLITFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGLEVVLADGNVIDMLGTLRKDNTGYDLKHLFIGSEGSLGIVSKVSILTPPKLFSVNVAFLACSDYFSCQKLLMEAKRKLGEILSAFEFLDSKSLDLVLNHLDGVRNPLPPTLHKFYILIETTGSDEPYDRQKLEGFLLHAMEAGLISDGALAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKMYDLVEEMRTRLSDSANVVGYGHLGDGNLHLNISTAQYDDGILTQIEPFVYEWTSKHRGSISAEHGLGLMKANEIFYSKPTATVSLMASIKKMLDPKGILNPYKVLPHSLSS >ONH96968 pep chromosome:Prunus_persica_NCBIv2:G7:16793415:16800211:-1 gene:PRUPE_7G162700 transcript:ONH96968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRLRATGSFFRYCSNRSLFDRRLNINSVNSIHNSTSGYAHGFGKNCRDFHFFSDNHKWNVQTCFGFVRYQGETFRDSTEKGLLEKTRRFQYRGFGSLAAKVQRNPSFSTLNSDDISYFKEILGEKGVIEDEEWLATANTDWMHKYKGSSKLLLQPRSTEEVSQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIVNMSLMNNIISFDKVSGILVCEGGCILENLITFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGLEVVLADGNVIDMLGTLRKDNTGYDLKHLFIGSEGSLGIVSKKLLMEAKRKLGEILSAFEFLDSKSLDLVLNHLDGVRNPLPPTLHKFYILIETTGSDEPYDRQKLEGFLLHAMEAGLISDGALAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKMYDLVEEMRTRLSDSANVVGYGHLGDGNLHLNISTAQYDDGILTQIEPFVYEWTSKHRGSISAEHGLGLMKANEIFYSKPTATVSLMASIKKMLDPKGILNPYKVLPHSLSS >ONH96969 pep chromosome:Prunus_persica_NCBIv2:G7:16794612:16799672:-1 gene:PRUPE_7G162700 transcript:ONH96969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRLRATGSFFRYCSNRSLFDRRLNINSVNSIHNSTSGYAHGFGKNCRDFHFFSDNHKWNVQTCFGFVRYQGETFRDSTEKGLLEKTRRFQYRGFGSLAAKVQRNPSFSTLNSDDISYFKEILGEKGVIEDEEWLATANTDWMHKYKGSSKLLLQPRSTEEVSQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIVNMSLMNNIISFDKVSGILVCEGGCILENLITFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGLEVVLADGNVIDMLGTLRKDNTGYDLKHLFIGSEGSLGIVSKVSILTPPKLFSVNVAFLACSDYFSCQKLLMEAKRKLGEILSAFEFLDSKSLDLVLNHLDGVRNPLPPTLHKFYILIETTGSDEPYDRQKLEGFLLHAMEAGLISDGALAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKMYDLVEEMRTRLSDSANVVGYGHLGDGNLHLNISTAQYDDGILTQIEPFVYEWTSKHRGSISAEHGLGLMKANEIFYSKPTATVSLMASIKKMLDPKGILNPYKVLPHSLSS >ONH96967 pep chromosome:Prunus_persica_NCBIv2:G7:16793415:16799703:-1 gene:PRUPE_7G162700 transcript:ONH96967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRLRATGSFFRYCSNRSLFDRRLNINSVNSIHNSTSGYAHGFGKNCRDFHFFSDNHKWNVQTCFGFVRYQGETFRDSTEKGLLEKTRRFQYRGFGSLAAKVQRNPSFSTLNSDDISYFKEILGEKGVIEDEEWLATANTDWMHKYKGSSKLLLQPRSTEEVSQILKYCNSRCLAVVPQGGNTGLVGGSVPVFDEVIVNMSLMNNIISFDKVSGILVCEGGCILENLITFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGNVLGLEVVLADGNVIDMLGTLRKDNTGYDLKHLFIGSEGSLGIKLLMEAKRKLGEILSAFEFLDSKSLDLVLNHLDGVRNPLPPTLHKFYILIETTGSDEPYDRQKLEGFLLHAMEAGLISDGALAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKMYDLVEEMRTRLSDSANVVGYGHLGDGNLHLNISTAQYDDGILTQIEPFVYEWTSKHRGSISAEHGLGLMKANEIFYSKPTATVSLMASIKKMLDPKGILNPYKVLPHSLSS >ONH98102 pep chromosome:Prunus_persica_NCBIv2:G7:20188687:20189901:1 gene:PRUPE_7G229000 transcript:ONH98102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRNPGVITQDWEPVVIHKSRPKGQDLRDPKAVNQALRSGAPIQTIKKFDAGSNKKAAPVVSVKKLEEGTEPAALDRVSTDVRQAIQKARLAKKLSQADLAKRINERPQVVQEYENGKAVPNQAVLSKMERILEVKLRGKVGK >ONH96182 pep chromosome:Prunus_persica_NCBIv2:G7:13833912:13834538:-1 gene:PRUPE_7G111600 transcript:ONH96182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRHRCCACSFCVFYNIVLCFLLSLLIFWLIFLPKEPKFIVTNASLTQFDFSTTNNTLFYNLALNITIRNPNRRVGIYYNRIEAIANYRKNRFALVTLTSTPFYQGHKNTTTLQQVLVEGQQVVVFGEHDISKFNSETAAGVYSIDVQLAVKVRVRFGKFKTDYYTPSRNINCKLKVPLSLKQTSADGFETTKCGNVNIFSDPDSAG >ONH97817 pep chromosome:Prunus_persica_NCBIv2:G7:19362384:19365345:-1 gene:PRUPE_7G212400 transcript:ONH97817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALVTVGSKRLSSVLSSLNSLSLRRFCSTLAPGSIHPTAIVHPNAVLGQGVSIGPFCTVGSTVKVGNGCQLYPGSHIFGNSEVGERCVLMTGAVVGDDLPGRTLLGCNNIIGHHAVVGVKCQDLKYKSGDECFLEVGDNNDIREHTSIHRSSKSSDTTVIGNNNLIMGSCHIAHDCKIGNSIIFANNTLLAGHVVVEDYAHTAGAVVVHQFCHVGSFSFIGGGSVIAQDVPKYMMVAGERAELRGLNLEGLRRHGFTAQEIRSLRTAYQKIFMPAEISKGFDERLADMEMRDELAHVSVVCSMVQSIRDSFEGKRRGICKFRHWNGS >ONH97818 pep chromosome:Prunus_persica_NCBIv2:G7:19363218:19364818:-1 gene:PRUPE_7G212400 transcript:ONH97818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAVVGDDLPGRTLLGCNNIIGHHAVVGVKCQDLKYKSGDECFLEVGDNNDIREHTSIHRSSKSSDTTVIGNNNLIMGSCHIAHDCKIGNSIIFANNTLLAGHVVVEDYAHTAGAVVVHQFCHVGSFSFIGGGSVIAQDVPKYMMVAGERAELRGLNLEGLRRHGFTAQEIRSLRTAYQKIFMPAEISKGFDERLADMEMRDELAHVSVVCSMVQSIRDSFEGKRRGICKFRHWNGS >ONH97821 pep chromosome:Prunus_persica_NCBIv2:G7:19363457:19365184:-1 gene:PRUPE_7G212400 transcript:ONH97821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALVTVGSKRLSSVLSSLNSLSLRRFCSTLAPGSIHPTAIVHPNAVLGQGVSIGPFCTVGSTVKVGNGCQLYPGSHIFGNSEVGERCVLMTGAVVGDDLPGRTLLGCNNIIGHHAVVGVKCQDLKYKSGDECFLEVGDNNDIREHTSIHRSSKSSDTTVIGNNNLIMGSCHIAHDCKIGNSIIFANNTLLAGHVVVEDYAHTAGAVVVHQFCHVGSFSFIGGGSVIAQDVPKYMMVAGERAELRGLNLEGLRRHGFTAQEDLDQKPANSLPKNIHAC >ONH97822 pep chromosome:Prunus_persica_NCBIv2:G7:19363457:19364818:-1 gene:PRUPE_7G212400 transcript:ONH97822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAVVGDDLPGRTLLGCNNIIGHHAVVGVKCQDLKYKSGDECFLEVGDNNDIREHTSIHRSSKSSDTTVIGNNNLIMGSCHIAHDCKIGNSIIFANNTLLAGHVVVEDYAHTAGAVVVHQFCHVGSFSFIGGGSVIAQDVPKYMMVAGERAELRGLNLEGLRRHGFTAQEDLDQKPANSLPKNIHAC >ONH97819 pep chromosome:Prunus_persica_NCBIv2:G7:19362384:19365249:-1 gene:PRUPE_7G212400 transcript:ONH97819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLEVQGDECFLEVGDNNDIREHTSIHRSSKSSDTTVIGNNNLIMGSCHIAHDCKIGNSIIFANNTLLAGHVVVEDYAHTAGAVVVHQFCHVGSFSFIGGGSVIAQDVPKYMMVAGERAELRGLNLEGLRRHGFTAQEIRSLRTAYQKIFMPAEISKGFDERLADMEMRDELAHVSVVCSMVQSIRDSFEGKRRGICKFRHWNGS >ONH97820 pep chromosome:Prunus_persica_NCBIv2:G7:19362384:19364970:-1 gene:PRUPE_7G212400 transcript:ONH97820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLEVQGDECFLEVGDNNDIREHTSIHRSSKSSDTTVIGNNNLIMGSCHIAHDCKIGNSIIFANNTLLAGHVVVEDYAHTAGAVVVHQFCHVGSFSFIGGGSVIAQDVPKYMMVAGERAELRGLNLEGLRRHGFTAQEIRSLRTAYQKIFMPAEISKGFDERLADMEMRDELAHVSVVCSMVQSIRDSFEGKRRGICKFRHWNGS >ONH94637 pep chromosome:Prunus_persica_NCBIv2:G7:3859570:3862322:1 gene:PRUPE_7G024500 transcript:ONH94637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQQHKEKKMKILCLHGFRTSGSFLQKQISKWDASIFSQFDLDFPDGIFPAGGKSDIEGIFPPPYYEWFQFDKDFTEYTNLEECITYLCDYITSKGPFDGLLGFSQGATLSGLLLGYQAQGKLLKEHPPFKFFISISGAKFRDPKICDIAYKDTIKAKSVHFIGAKDWLKLPSEDLASEFDNPLIIRHPQGHMVPRLDEAATEQLRGWTAAIIQCNGTISDGKHELENGDANEKHNGTDLIQCDNAKLDSKHELDNGEAKQKHNGADLIQCDNAKLDSKHELENGEAKENFGADPVVSAMKNQSQETRTAGEIKTNEREV >ONH94636 pep chromosome:Prunus_persica_NCBIv2:G7:3859239:3862322:1 gene:PRUPE_7G024500 transcript:ONH94636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQQHKEKKMKILCLHGFRTSGSFLQKQISKWDASIFSQFDLDFPDGIFPAGGKSDIEGIFPPPYYEWFQFDKDFTEYTNLEECITYLCDYITSKGPFDGLLGFSQGATLSGLLLGYQAQGKLLKEHPPFKFFISISGAKFRDPKICDIAYKDTIKAKSVHFIGAKDWLKLPSEDLASEFDNPLIIRHPQGHMVPRLDEAATEQLRGWTAAIIQCNGTISDGKHELENGDANEKHNGTDLIQCDNAKLDSKHELDNGEAKQKHNGADLIQCDNAKLDSKHELENGEAKENFGADPVVSAMKNQSQETRTAGEIKTNEREV >ONH94638 pep chromosome:Prunus_persica_NCBIv2:G7:3859661:3862258:1 gene:PRUPE_7G024500 transcript:ONH94638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQQHKEKKMKILCLHGFRTSGSFLQKQISKWDASIFSQFDLDFPDGIFPAGGKSDIEGIFPPPYYEWFQFDKDFTEYTNLEECITYLCDYITSKGPFDGLLGFSQGATLSGLLLGYQAQGKLLKEHPPFKFFISISGAKFRDPKICDIAYKDTIKAKSVHFIGAKDWLKLPSEDLASEFDNPLIIRHPQGHMVPRLDEAATEQLRGWTAAIIQCNGTISDGKHELENGDANEKHNGTDLIQCDNAKLDSKHELDNGERKKTLAQIQ >ONH97764 pep chromosome:Prunus_persica_NCBIv2:G7:19129053:19132405:-1 gene:PRUPE_7G208400 transcript:ONH97764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKFPTPTLLIVIFFILSRLISTIGYSLRDDPESIRLASSDYGHILRENPAAVLYPTSIDEISSLIKFSNLISTPFSIAARGHGHSTMGQAMAPNGVVVDMMSLKNHLPSHASGIIVNTFNSSVNNNYYYADVGGGQLWIDVLHASLEHGLAPVAWTDYLYLTVGGTLSNAGISGSAFRFGPQISNVYEMDVVTGQGDFVTCSPQNNSDLFFGVLGGLGQFGIITRARIALEPAPKRVKWVRMLYNDFSAYARDQERLISVNGRKQSNALDYLEGSLLINQGSPNNWRSSFFPQSTYSRIISLVTKHGIIYSLEVAKYYDQHTETAVDKELELLLKGLSFLPGFVFEKDVAYVEFLNRVKSGEEKLQSQGQWDVPHPWLNLFVPKSRISDFDAGVLKGIVLKRNITTAPVLLYPMNRTKWDDRMSAVVPEEEVFYTVGFLHSSGFDEWEALEEQNKEILKFCASVGIEIKQYLPYYKTQQGWMNHFGSKWKTFQKRKALFDPKKILSPGQRIFNK >ONH97765 pep chromosome:Prunus_persica_NCBIv2:G7:19130016:19132334:-1 gene:PRUPE_7G208400 transcript:ONH97765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKFPTPTLLIVIFFILSRLISTIGYSLRDDPESIRLASSDYGHILRENPAAVLYPTSIDEISSLIKFSNLISTPFSIAARGHGHSTMGQAMAPNGVVVDMMSLKNHLPSHASGIIVNTFNSSVNNNYYYADVGGGQLWIDVLHASLEHGLAPVAWTDYLYLTVGGTLSNAGISGSAFRFGPQISNVYEMDVVTGQGDFVTCSPQNNSDLFFGVLGGLGQFGIITRARIALEPAPKRVKWVRMLYNDFSAYARDQERLISVNGRKQSNALDYLEGSLLINQGSPNNWRSSFFPQSTYSRIISLVTKHGIIYSLEVAKYYDQHTETAVDKELELLLKGLSFLPGFVFEKDVAYVEFLNRVKSGEEKLQSQGQWDVPHPWLNLFVPKSRISDFDAGVLKGIVLKRNITTAPVLLYPMNRTK >ONH96607 pep chromosome:Prunus_persica_NCBIv2:G7:15612075:15615692:1 gene:PRUPE_7G140500 transcript:ONH96607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKAVLLHLVCGVLAVFSVAVVKAEDPYKFYTWTVTYGTRSPLGVPQQVILINGQFPGPRLDVVTNDNIILNLINKLDQPFLLTWNGIKQRKNSWQDGVLGTNCAIPPNSNYTYKFQTKDQIGSYTYFPSTQLHRAAGAFGAINIYERPRIPIPFPNPDGDFTLLVGDWYNTSHKALQQTLDSGKSLPFPAGVLINGQTSNTFTGDQGKTYMFRISNVGLSTSLNFRIQGHSLKLVEVEGSHTIQNTYDSLDVHVGQSVAVLVTLNQPPKDYYIVASTRFTKLVLTATSVLHYTNSHTPVSGPVPAGPTYQIHWSVQQARTFRWNLTANAARPNPQGSYHYGKVTPTKTIILANSAPLINEKQRYAFNRVSYVNPDTPLKLADYFNIPGVYSSDSIQTVPSSGSTFIATPVVPASLHDFLEIVFQNNENTLQSMHLDGYDFWVVGYGFGQWTAAKRRTYNLVDALTRHTAQVYPNSWTTILVSLDNQGMWNLRSAIWERQYLGQQFYLRVWNPVRSLANEYNIPSNTLLCGKALGRHP >ONH98321 pep chromosome:Prunus_persica_NCBIv2:G7:20822102:20828491:1 gene:PRUPE_7G242900 transcript:ONH98321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEPEQQHPPNSKSSPEGEGEGADDQVSNLKMTSWAALERLPTRSRIRRGILVSHDQQEQGGQNREIDVKKLEPIERNKLLERLLKINNAADDQDNNNNNNGATSSFLLKLKDRIHRVGLEFPTTEVRFENLHVEAQAYVGSRASPTMLNFSINMLKGFLNCFHILPSKKNPLPILHDASGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKHYLKLSGRVTYNGQGMGEFVPQRTAAYVSQHDLHIPELTVRETLAFSARCQGVGPRYEMLIELSRREKAANIMPDLDLDLIMKAAALKGPETNVVTDLILKVLGLEACADTVVGDEMTRGISGGQKKRVTTGEMLVGPERVLFMDEISTGLDSSTTFQIVNSLRQYVHILNGTALISLLQPAPETYALFDDIILLSDGYIVYQGPCENVLEFFEYMGFKCPERKGIADFLQEVTSRKDQEQYWVHREKPYGFVTTKEFSEAMKSFHIGRKLGDELAIPFNKSEGHPAALATRKNGVNKKELFKACMDRQILLMKRNKFVYIFKLAQLIVAAFVTTTLFLRTEMHRSTVEDGGIYMGALFFTLLSIMFNGFAELHMTVERLPVFFKQRDHLFYPAWAYSLPQWVIRIPMTFVEVFIWMIITYYTIGYDPSIGRFFKQFLVLLCISQMANGLFRLIGALGRNITVANTFGFVAFLVILGLGGFILSQEDMNKWTLWGYYLSPFTYGLNAMAVNEFLGESWSQVPANSTEALGVLVLKSRGIFPEARWYWIGVAALIGFILLFNILFNLALQYLDPLEEAQAAVSNEALAATDGSKNAANRTKQRGVVLPFESLSVTFDEIRYAVDMPQEMKDQGITDDRHEILKGVSGTFRPGVLTALMGVSGAGKTTLLDVLAGRKTGGYIEGSITLSGYPKKQDTFARVTGYCEQTDIHSPHVTVYESLVYSTWLRLPPEVDLQNRRMFIEEVMELVELTTIRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDEMLLLKQEGEEIYVGPLGRHSSKLIEYFEGIRGVPKIKDGYNPATWMLEVTSAAQEAALGVNFADIYKHSEMYRRNKALIKELSTPTPDSKDLHFPTQYSQSFFTQCLACLWKQHVSYWRNPQYSSVRLFYTAMMALLFGTIFWDLGSKR >ONH98149 pep chromosome:Prunus_persica_NCBIv2:G7:20327471:20331472:1 gene:PRUPE_7G232600 transcript:ONH98149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRQEEPHLQKKDSTLVDSGIQSHCLVCLGKTSCQVVRSRTKLMNSLIARRKPHEAQSIFKSLAEEGHRPTLITYTTLVAALTRQRRFKSIPLLLSEVEENGLKPDSILFNAMINASSESGNIDDAMKIFQKMEESGCKPTTSTFNTLIKGYGIAGKPEESLKLLELMLQDENTKPNDRTYNMIVRAWCNKKKINQAWNMVYKMVASGIQPDVVTYNTLARAYAENGETNIAERLMLEMQNNKVNPNERTCGIIVNGYCKEGSMTEALRFVYRMKDLGVHPNLVIFNSLIKGFLDITDTDGVDEVLTLMEEFGMKPDVITFSTIMDAWSSAGLMEKCQEVFNDMIKADIEPDIHAFSILAKGYVRAGEPGKAESLMISMGKYGVHPNVVIFTTIMSGWCTAGKMEHAWSIHEKMCEMSIAPNLKTFETLIWGYGEARQPWKAEDLLHIMEEKGVIPEKRTIQLVAEAWRVIGLMTEAMRVLNDSEEEREVVQNNRRDKVPEQSLEMINRKQNLSTSHPNVLPIPGVVVSDNGGSAANIRGQMISRGFQFSSDSMQNATKTMCLAHSSAFRVQPLIICRRQFQTQVGMCRQFVNTRPVM >ONH98147 pep chromosome:Prunus_persica_NCBIv2:G7:20327103:20331535:1 gene:PRUPE_7G232600 transcript:ONH98147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRQEEPHLQKKDSTLVDSGIQSHCLVCLGKTSCQVVRSRTKLMNSLIARRKPHEAQSIFKSLAEEGHRPTLITYTTLVAALTRQRRFKSIPLLLSEVEENGLKPDSILFNAMINASSESGNIDDAMKIFQKMEESGCKPTTSTFNTLIKGYGIAGKPEESLKLLELMLQDENTKPNDRTYNMIVRAWCNKKKINQAWNMVYKMVASGIQPDVVTYNTLARAYAENGETNIAERLMLEMQNNKVNPNERTCGIIVNGYCKEGSMTEALRFVYRMKDLGVHPNLVIFNSLIKGFLDITDTDGVDEVLTLMEEFGMKPDVITFSTIMDAWSSAGLMEKCQEVFNDMIKADIEPDIHAFSILAKGYVRAGEPGKAESLMISMGKYGVHPNVVIFTTIMSGWCTAGKMEHAWSIHEKMCEMSIAPNLKTFETLIWGYGEARQPWKAEDLLHIMEEKGVIPEKRTIQLVAEAWRVIGLMTEAMRVLNDSEEEREVVQNNRRDKVPEQSLEMINRKQNLSTSHPNVLPIPGVVVSDNGGSAANIRGQMISRGFQFSSDSMQNATKTMCLAHSSAFRVQPLIICRRQFQTQVGMCRQFVNTRPVM >ONH98150 pep chromosome:Prunus_persica_NCBIv2:G7:20327474:20331467:1 gene:PRUPE_7G232600 transcript:ONH98150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRQEEPHLQKKDSTLVDSGIQSHCLVCLGKTSCQVVRSRTKLMNSLIARRKPHEAQSIFKSLAEEGHRPTLITYTTLVAALTRQRRFKSIPLLLSEVEENGLKPDSILFNAMINASSESGNIDDAMKIFQKMEESGCKPTTSTFNTLIKGYGIAGKPEESLKLLELMLQDENTKPNDRTYNMIVRAWCNKKKINQAWNMVYKMVASGIQPDVVTYNTLARAYAENGETNIAERLMLEMQNNKVNPNERTCGIIVNGYCKEGSMTEALRFVYRMKDLGVHPNLVIFNSLIKGFLDITDTDGVDEVLTLMEEFGMKPDVITFSTIMDAWSSAGLMEKCQEVFNDMIKADIEPDIHAFSILAKGYVRAGEPGKAESLMISMGKYGVHPNVVIFTTIMSGWCTAGKMEHAWSIHEKMCEMSIAPNLKTFETLIWGYGEARQPWKAEDLLHIMEEKGVIPEKRTIQLVAEAWRVIGLMTEAMRVLNDSEEEREVVQNNRRDKVPEQSLEMINRKQNLSTSHPNVLPIPGVVVSDNGGSAANIRGQMISRGFQFSSDSMQNATKTMCLAHSSAFRVQPLIICRRQFQTQVGMCRQFVNTRPVM >ONH98148 pep chromosome:Prunus_persica_NCBIv2:G7:20327471:20331467:1 gene:PRUPE_7G232600 transcript:ONH98148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRQEEPHLQKKDSTLVDSGIQSHCLVCLGKTSCQVVRSRTKLMNSLIARRKPHEAQSIFKSLAEEGHRPTLITYTTLVAALTRQRRFKSIPLLLSEVEENGLKPDSILFNAMINASSESGNIDDAMKIFQKMEESGCKPTTSTFNTLIKGYGIAGKPEESLKLLELMLQDENTKPNDRTYNMIVRAWCNKKKINQAWNMVYKMVASGIQPDVVTYNTLARAYAENGETNIAERLMLEMQNNKVNPNERTCGIIVNGYCKEGSMTEALRFVYRMKDLGVHPNLVIFNSLIKGFLDITDTDGVDEVLTLMEEFGMKPDVITFSTIMDAWSSAGLMEKCQEVFNDMIKADIEPDIHAFSILAKGYVRAGEPGKAESLMISMGKYGVHPNVVIFTTIMSGWCTAGKMEHAWSIHEKMCEMSIAPNLKTFETLIWGYGEARQPWKAEDLLHIMEEKGVIPEKRTIQLVAEAWRVIGLMTEAMRVLNDSEEEREVVQNNRRDKVPEQSLEMINRKQNLSTSHPNVLPIPGVVVSDNGGSAANIRGQMISRGFQFSSDSMQNATKTMCLAHSSAFRVQPLIICRRQFQTQVGMCRQFVNTRPVM >ONH96783 pep chromosome:Prunus_persica_NCBIv2:G7:16260525:16266480:1 gene:PRUPE_7G151700 transcript:ONH96783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEGRYEGNGEDADNYGDGGSSPQPRATNHGGPDDYSDSKSQHGSRDYERESSKSREKEREKGRDKEKDRDRDRERERDRDRDRGRSKDKDRERDRDRDRNRDRDRDRERERSRDRDRDRHHRDRHRDRERSERRRDKDDDDDDHYRVRDSDRRRDYERDREDRHRRKSRSRSRGRSEHKSRSRSRSRSRSKSKRISGFDMAPPASAMLAGAAVAAAGQIPGTSPTIPGMFPNMFPLATGQQFGSLPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAAPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIKELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGANQPKPEQESVLLHAQQQIALQRFMLLQPPSSVATKVVCLTQVVTADELRDDDEYEDILEDMRLEGGKFAFFVVTHSAIKIQA >ONH96784 pep chromosome:Prunus_persica_NCBIv2:G7:16260525:16265725:1 gene:PRUPE_7G151700 transcript:ONH96784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEGRYEGNGEDADNYGDGGSSPQPRATNHGGPDDYSDSKSQHGSRDYERESSKSREKEREKGRDKEKDRDRDRERERDRDRDRGRSKDKDRERDRDRDRNRDRDRDRERERSRDRDRDRHHRDRHRDRERSERRRDKDDDDDDHYRVRDSDRRRDYERDREDRHRRKSRSRSRGRSEHKSRSRSRSRSRSKSKRISGFDMAPPASAMLAGAAVAAAGQIPGTSPTIPGMFPNMFPLATGQQFGSLPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAAPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIKELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGANQPKPEQESVLLHAQQQIALQRFMLLQPPSSVATKVVCLTQVVTADELRDDDEYEDILEDMRLEGGKFGKLPSL >ONH96782 pep chromosome:Prunus_persica_NCBIv2:G7:16260375:16266503:1 gene:PRUPE_7G151700 transcript:ONH96782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEGRYEGNGEDADNYGDGGSSPQPRATNHGGPDDYSDSKSQHGSRDYERESSKSREKEREKGRDKEKDRDRDRERERDRDRDRGRSKDKDRERDRDRDRNRDRDRDRERERSRDRDRDRHHRDRHRDRERSERRRDKDDDDDDHYRVRDSDRRRDYERDREDRHRRKSRSRSRGRSEHKSRSRSRSRSRSKSKRISGFDMAPPASAMLAGAAVAAAGQIPGTSPTIPGMFPNMFPLATGQQFGSLPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAAPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIKELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGANQPKPEQESVLLHAQQQIALQRFMLLQPPSSVATKVVCLTQVVTADELRDDDEYEDILEDMRLEGGKFGPLVNVIIPRPRPDGEPLPGVGKVFLEYADVDGSTKARTGLNGRKFGGNQVVAVFYPEDKFAQGDYEG >ONH96190 pep chromosome:Prunus_persica_NCBIv2:G7:13860174:13864219:-1 gene:PRUPE_7G112200 transcript:ONH96190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSESEIISHDEVESPLQSDQQATNHLFTSLGRQSSIYSLTLDEFQHTLCENGKNFGSMNMDEFLTSIWTAEENQAINSNHTNINNNHNHHNHHNSNMNNIDAHMPLAEASEEKAAAIAKQPSLPRQGSLTLPGPLCRKTVDEVWSEIHKGQQAKQQNSHSSNDGVQNSEFAPRQPTFGEMTLEDFLVKAGVVREPDSMLAAGAVPPPQPQQQQQQYGMYQNSNQAVGPSFANRPVMGMGAAGAAGASTSTAAGMPNYQGIPQNGATVVAESSGYAANGKRNGAYPAVPPPQAVCFGGRVVNGGGGYAAGQPIGMAAPVSPVSSDGMCTSQVENSGGQFGLDMGGLRGRKRILDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLREENAHLKQALAELERKRKQQYFDEMQMRIQSRAQKAKEKLRVLRRCHSCPL >ONH96189 pep chromosome:Prunus_persica_NCBIv2:G7:13860174:13863205:-1 gene:PRUPE_7G112200 transcript:ONH96189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSESEIISHDEVESPLQSDQQATNHLFTSLGRQSSIYSLTLDEFQHTLCENGKNFGSMNMDEFLTSIWTAEENQAINSNHTNINNNHNHHNHHNSNMNNIDAHMPLAEASEEKAAAIAKQPSLPRQGSLTLPGPLCRKTVDEVWSEIHKGQQAKQQNSHSSNDGVQNSEFAPRQPTFGEMTLEDFLVKAGVVREPDSMLAAGAVPPPQPQQQQQQYGMYQNSNQAVGPSFANRPVMGMGAAGAAGASTSTAAGMPNYQGIPQNGATVVAESSGYAANGKRNGAYPAVPPPQAVCFGGRVVNGGGGYAAGQPIGMAAPVSPVSSDGMCTSQVENSGGQFGLDMGGLRGRKRILDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLREENAHLKQALAELERKRKQQYFDEMQMRIQSRAQKAKEKLRVLRRCHSCPL >ONH96188 pep chromosome:Prunus_persica_NCBIv2:G7:13860173:13864219:-1 gene:PRUPE_7G112200 transcript:ONH96188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSESEIISHDEVESPLQSDQQATNHLFTSLGRQSSIYSLTLDEFQHTLCENGKNFGSMNMDEFLTSIWTAEENQAINSNHTNINNNHNHHNHHNSNMNNIDAHMPLAEASEEKAAAIAKQPSLPRQGSLTLPGPLCRKTVDEVWSEIHKGQQAKQQNSHSSNDGVQNSEFAPRQPTFGEMTLEDFLVKAGVVREPDSMLAAGAVPPPQPQQQQQQYGMYQNSNQAVGPSFANRPVMGMGAAGAAGASTSTAAGMPNYQGIPQNGATVVAESSGYAANGKRNGAYPAVPPPQAVCFGGRVVNGGGGYAAGQPIGMAAPVSPVSSDGMCTSQVENSGGQFGLDMGGLRGRKRILDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLREENAHLKQALAELERKRKQQYFDEMQMRIQSRAQKAKEKLRVLRRCHSCPL >ONH95478 pep chromosome:Prunus_persica_NCBIv2:G7:11094581:11095300:1 gene:PRUPE_7G073300 transcript:ONH95478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSGRMFSTVFILVLLFVVIGMGPMVAEGKAATKENSRTCESLSTKFKGLCFRSSNCADICKKEGFLEGKCAGFRLRCTCTKKC >ONH95835 pep chromosome:Prunus_persica_NCBIv2:G7:12454594:12456580:-1 gene:PRUPE_7G091600 transcript:ONH95835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHTSGTIQEDAAIRGGDKLILRGLKFHGFHGVKPEERTLGQKFVIDVDAWMDLREAGKSDRLSDTISYTEIYRIVKEVVEGPPHNLLESVAQLIASTSLKNYPQISAVSVKVGKPHVAVHGPLDYLGVEIFRYRSVDAPN >ONH95837 pep chromosome:Prunus_persica_NCBIv2:G7:12455078:12456064:-1 gene:PRUPE_7G091600 transcript:ONH95837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCGTIQEDAAIRGGDKLILRGLKFHGFHGVKPEERTLGQKFVIDVDAWMDLREAGKSDRLSDTISYTEIYRIVKEVVEGPPHNLLESVAQLIASTSLKNYPQISAVSVKVGKPHVAVHGPLDYLGVEIFRYRSVDAPN >ONH95836 pep chromosome:Prunus_persica_NCBIv2:G7:12455078:12456064:-1 gene:PRUPE_7G091600 transcript:ONH95836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCGTIQEDAAIRGGDKLILRGLKFHGFHGVKPEERTLGQKFVIDVDAWMDLREAGKSDRLSDTISYTEIYRIVKEVVEGPPHNLLESVAQLIASTSLKNYPQISAVSVKVGKPHVAVHGPLDYLGVEIFRYRSVDAPN >ONH96646 pep chromosome:Prunus_persica_NCBIv2:G7:15770934:15774320:1 gene:PRUPE_7G143100 transcript:ONH96646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPTFSPTTPHFFKIILDNSSRDRKLGILEKFVMEYVDGLSNPVYLKLPSGSEWKIELRRWDGEVWLVKGWPGFSKFYSLDLGHWLVFGYEGNSKFRVCIFDGNSDENSVEMFDFPPCQRKTREKSTLSCPWPHKKCRRVQVVKQKSTPTYKMGRHDFLTKKGGGGTSGNRTGKATALDRANALKSQNPSSFIWLPSKFHKLHPINHSCEVILQVLNGRTWSVDLNCGFNGRIWPAKCQVETQARICGGWRAF >ONH96801 pep chromosome:Prunus_persica_NCBIv2:G7:16312802:16316771:1 gene:PRUPE_7G153100 transcript:ONH96801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSAPSPDNTSPPSPDDSSSSPSPKESPKESPPAKPPSSPPPSSPPPSAPPPSSPPPSSPPPSSPPPPKDSTPPPKGSSSSPPPKAPSSSPPPPKEATPASSPPPPKEQAAKSPPAVPTPPAAVTEANDTAAATTPPHARPKTSPTGALSPPSQGSSTPSTDSSDEDSSSSTDNKGKIAGVAIGASLVIIALLVVCVVCIRRKRRKRREMQYYGDPADHHKGGGGYYNNTQQQNWHDMNNPQGDHVVRLNNQAPGGGAWPSGPPPLSGEASTNFSGPRGAPLPPPSPTMSLGFNKSTFTYDELAAATNGFSEANLLGQGGFGYVHKGVLPNGKEVAVKSLKSGSGQGEREFAAEVEIISRVHHRHLVSLVGYCIAGGQRMLVYEFVENKTMEYHLHGKGLPPMDWPTRLRIAVGSAKGLAYLHEDCHPKIIHRDIKSANILLDFNFEAMVADFGLAKLSSDNYTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDPSNAMDDSLVDWARPLLNRSLEEGNNLELVDIRLDNNFNLQEMARMVACAAASIRHSARKRPKMSQIVRALEGDVSLDDLNEGTKPGQSSMFNATAGGGSSDYDTQAYNADMKKFRKLALSSQEFGSSEFGTSSNDSREMSGTPKNPKQQLL >ONH96800 pep chromosome:Prunus_persica_NCBIv2:G7:16312994:16316771:1 gene:PRUPE_7G153100 transcript:ONH96800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLLGSTFYMGSSIIEASSYGRGEVVLGRRLIKRRIMSSDSAPSPDNTSPPSPDDSSSSPSPKESPKESPPAKPPSSPPPSSPPPSAPPPSSPPPSSPPPSSPPPPKDSTPPPKGSSSSPPPKAPSSSPPPPKEATPASSPPPPKEQAAKSPPAVPTPPAAVTEANDTAAATTPPHARPKTSPTGALSPPSQGSSTPSTDSSDEDSSSSTDNKGKIAGVAIGASLVIIALLVVCVVCIRRKRRKRREMQYYGDPADHHKGGGGYYNNTQQQNWHDMNNPQGDHVVRLNNQAPGGGAWPSGPPPLSGEASTNFSGPRGAPLPPPSPTMSLGFNKSTFTYDELAAATNGFSEANLLGQGGFGYVHKGVLPNGKEVAVKSLKSGSGQGEREFAAEVEIISRVHHRHLVSLVGYCIAGGQRMLVYEFVENKTMEYHLHGKGLPPMDWPTRLRIAVGSAKGLAYLHEDCHPKIIHRDIKSANILLDFNFEAMVADFGLAKLSSDNYTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDPSNAMDDSLVDWARPLLNRSLEEGNNLELVDIRLDNNFNLQEMARMVACAAASIRHSARKRPKMSQIVRALEGDVSLDDLNEGTKPGQSSMFNATAGGGSSDYDTQAYNADMKKFRKLALSSQEFGSSEFGTSSNDSREMSGTPKNPKQQLL >ONH97066 pep chromosome:Prunus_persica_NCBIv2:G7:17094992:17118254:1 gene:PRUPE_7G168000 transcript:ONH97066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVQNFEQHARRLVELDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLRCYFHAFSVILQKITKPQFTDNPEHKLRNIVVEILNRLPHSEVLRPFVQELLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKVYQNFKLTVNHFFENGAVGGEDIKTIDTSLDQPLSGSIGSIGGGGIGGGGIGGSGYAGGGQLNPSTRSFKIINESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPEKVPLHLKTHFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVSLLVTCSDSVSTRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGSGRACFETLRPLAYSLLAEIVHHVRADLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDQPSMDEARILLGRILDAFVGKFSTFKRTIPQLLEEAEEGKDRATLRSKLELPVQAVLNLQVTVEHSKEVNDCKHLIKTLVMGMKTIIWSITHAHLPRSQVSASTHGTHPQVLVSPSSNLPAPQAFKGMREDEVRKASGVLKSGVHCLALFKEKDEERDMLQLFSQILAIMEPRDLMDMFSLCMPELFECMICNNQLVHIFSTLLQAPKVYRPFADVLVNYLVNSKLDVLKHPDKPAAKLVLHLFRFIFGAVSKAPSDFERILQPHVPVIMEVCMKNATEVEKPLGYMQLLRATFRALAVCKFDLLMRDLIPMLQPCLNMLLMMLEGPTGEDMSDLLLELCLTLPARLSSLLPHLPRLMKPLVLCLKGSDDLVGLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPHPWGAKALQLLGKLGGRNRRFLKEPLVLECKENPEHGLRVILTFEPETPFLVPLDRCINLAVVAVMHKNGGIDTFYRKQALKFLRVCLSSQLNLPEKFTDNGCTPSQLSTLLVSAVDSSWQRPETSGIKADLGVKTKTQLMAEKSVFKILLMTVIAASVEPDFQDPKDDFVVNVCRHFAMMFHIDSSLTNTAVATATLGGPMLSSNANVGSSSRSKNSSSSNLKELHPLIFLDALVDVLADENRLHAKAALSALNVFSETLLFLARSKHADVPMSRGPGTPMMVSSPSLNPVYSPPPSVRIPVFEQLLPRLLHCCYGTTWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANSEPRRQSFQGVVDFLATELFNPNASVIVRKNVQSCLALLASRTGSEVSELLEPLYQNLLQPLLVRSLRSKTVDQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFKTPNHSELRAKIISMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPVAASKFLDELVTLTIELEGALLPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSEPKYFRRFMYIIRSDAGQPLRDELAKSPQKILASAFPEFLPTASGSSTPTALLGDEGLVKPVPDSSNPPSAHPGATPDAYFRGLALIKTLVKLIPGWLQSNRIVFDTLVLVWKSPARLSRLHNEQELNLVQVKESKWLVKCFLNYLRHDKTEVNVLFDILSIFLFHTRIDFTFLKEFYIIEVAEGYPPNFKKALLLHFLNLFQSKQLGHDHLVVIMQMLILPMLAHSFQNDQSWEVVDQSIIKTIVDRLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQQENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTSAENRRLAIELAGLVVGWERQRQNEMKIVVDGDVTNQNSEGFNPGPAGADPKRSVDGSTFPEDSTKRVKVEPGLQSLCVMSPGGASSIPNIETPGSASQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASTMYKQALELLSQALEVWPTANVKFNYLEKLLSSIQPQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKYKLLDAGKSLCSLLKMVFVAFPPEAATTPQDVKLLYHKVDELIQKHINTVTAPQTSSEESTANSISFVLLVIRTLTEVQKNFVDPYILVRILQRLARDMGSSAGSHLRQGQTKDLDSAVSSSRQGADVGAVISNPKSVIDSAVSSSRQGADVGAVISNLKSVLKLISERVMIVPDCKKSVTNILNTLLAEKGTDATVLLCILEVIKGWIEDDFGKPGTSVSSNAFLTPKEIVSFLQKLSQVDKQNFSNALEEWDSKYLQLLYGLCADSNKYPLSLRQEVFQKVERQFMLGLRARDPEFRMKFFSLYHESLGKTLFARLQYIIHLQDWEALSDVFWLKQGLDLLLAILVEDKAITLAPNSAKVPPLLVSGSPDPSGMQHQVTDIPEGSEDAPLTFDTLVHKHAHFLNEMSKLKVADLIIPLRELAHMDANVAYHLWVLVFPIVWVTLHKEEQVALAKPMITLLSKDYHKKQQGSRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVLLFTNDAKCSESLAELYRLLNEEDMRCGLWKKRPITAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNAIPKPEMCLWEEQWLCCATQLSQWDALVDFGKSVENYEILLDSLWKLPDWAYMKDHVMTKAQVEETPKLRLIQAFFALHERNSSGVGDAENIVGKGVDLALDQWWQLPQMSVHARIPLLQQFQQLVEVQESSRILVDIANGNKLSGNSVVGVHGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNAVIDAFKDFTTTNNNLHHLGYRDKAWNVNKLARVGRKQGLYDVCVIILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELASGLNLINSTNLEYFPVKHKAEIFRLKGDFLLKLNDSEGANLSYSNAISLFKNLPKGWISWGNYCDMAYRETNDEMWLEYAVSCFLQGIKFGISNSRSHLARVLYLLSFDTPNEPVGKAFDKYLDEIPHWVWLSWIPQLLLSLQRAEALHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKTELGSRMAMAQRMQQSASGASAVSIGLVDGNARVQGHSGSNLSSDNQVHQAAQSGGGIGSHDGGNSHGQESERSTGVESGIHTGNEQQSSSTINDGGQSALRRNGALGSVPSAASAFDAAKDIMEALRSKHTNLASELETLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSQDAVNKHVEFVREYKQDFERDLDPGSTTTFPATLSELTERLKHWKNVLQSNVEDRFPAVLKLEEESRVLRDFHVVDVEVPGQYFNDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHISIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDKEADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNDITRNLVTDGIFSQYMYKTLLNGNHMWAFKKQFAIQLALSSFMSLMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQSQHLWHQLAMFFRDELLSWSWRRPLGMPMAPFAGGGSMNPADFKQKVITNVEHVIGRINGIAPQYFSEEEDNAMEPPQSVQRGVTELVEAALTPRNLCMMDPTWHPWF >ONH97067 pep chromosome:Prunus_persica_NCBIv2:G7:17095124:17118254:1 gene:PRUPE_7G168000 transcript:ONH97067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVQNFEQHARRLVELDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLRCYFHAFSVILQKITKPQFTDNPEHKLRNIVVEILNRLPHSEVLRPFVQELLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKVYQNFKLTVNHFFENGAVGGEDIKTIDTSLDQPLSGSIGSIGGGGIGGGGIGGSGYAGGGQLNPSTRSFKIINESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPEKVPLHLKTHFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVSLLVTCSDSVSTRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGSGRACFETLRPLAYSLLAEIVHHVRADLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDQPSMDEARILLGRILDAFVGKFSTFKRTIPQLLEEAEEGKDRATLRSKLELPVQAVLNLQVTVEHSKEVNDCKHLIKTLVMGMKTIIWSITHAHLPRSQVLVSPSSNLPAPQAFKGMREDEVRKASGVLKSGVHCLALFKEKDEERDMLQLFSQILAIMEPRDLMDMFSLCMPELFECMICNNQLVHIFSTLLQAPKVYRPFADVLVNYLVNSKLDVLKHPDKPAAKLVLHLFRFIFGAVSKAPSDFERILQPHVPVIMEVCMKNATEVEKPLGYMQLLRATFRALAVCKFDLLMRDLIPMLQPCLNMLLMMLEGPTGEDMSDLLLELCLTLPARLSSLLPHLPRLMKPLVLCLKGSDDLVGLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPHPWGAKALQLLGKLGGRNRRFLKEPLVLECKENPEHGLRVILTFEPETPFLVPLDRCINLAVVAVMHKNGGIDTFYRKQALKFLRVCLSSQLNLPEKFTDNGCTPSQLSTLLVSAVDSSWQRPETSGIKADLGVKTKTQLMAEKSVFKILLMTVIAASVEPDFQDPKDDFVVNVCRHFAMMFHIDSSLTNTAVATATLGGPMLSSNANVGSSSRSKNSSSSNLKELHPLIFLDALVDVLADENRLHAKAALSALNVFSETLLFLARSKHADVPMSRGPGTPMMVSSPSLNPVYSPPPSVRIPVFEQLLPRLLHCCYGTTWQAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANSEPRRQSFQGVVDFLATELFNPNASVIVRKNVQSCLALLASRTGSEVSELLEPLYQNLLQPLLVRSLRSKTVDQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFKTPNHSELRAKIISMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPVAASKFLDELVTLTIELEGALLPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSEPKYFRRFMYIIRSDAGQPLRDELAKSPQKILASAFPEFLPTASGSSTPTALLGDEGLVKPVPDSSNPPSAHPGATPDAYFRGLALIKTLVKLIPGWLQSNRIVFDTLVLVWKSPARLSRLHNEQELNLVQVKESKWLVKCFLNYLRHDKTEVNVLFDILSIFLFHTRIDFTFLKEFYIIEVAEGYPPNFKKALLLHFLNLFQSKQLGHDHLVVIMQMLILPMLAHSFQNDQSWEVVDQSIIKTIVDRLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQQENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTSAENRRLAIELAGLVVGWERQRQNEMKIVVDGDVTNQNSEGFNPGPAGADPKRSVDGSTFPEDSTKRVKVEPGLQSLCVMSPGGASSIPNIETPGSASQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASTMYKQALELLSQALEVWPTANVKFNYLEKLLSSIQPQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKYKLLDAGKSLCSLLKMVFVAFPPEAATTPQDVKLLYHKVDELIQKHINTVTAPQTSSEESTANSISFVLLVIRTLTEVQKNFVDPYILVRILQRLARDMGSSAGSHLRQGQTKDLDSAVSSSRQGADVGAVISNPKSVIDSAVSSSRQGADVGAVISNLKSVLKLISERVMIVPDCKKSVTNILNTLLAEKGTDATVLLCILEVIKGWIEDDFGKPGTSVSSNAFLTPKEIVSFLQKLSQVDKQNFSNALEEWDSKYLQLLYGLCADSNKYPLSLRQEVFQKVERQFMLGLRARDPEFRMKFFSLYHESLGKTLFARLQYIIHLQDWEALSDVFWLKQGLDLLLAILVEDKAITLAPNSAKVPPLLVSGSPDPSGMQHQVTDIPEGSEDAPLTFDTLVHKHAHFLNEMSKLKVADLIIPLRELAHMDANVAYHLWVLVFPIVWVTLHKEEQVALAKPMITLLSKDYHKKQQGSRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVLLFTNDAKCSESLAELYRLLNEEDMRCGLWKKRPITAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNAIPKPEMCLWEEQWLCCATQLSQWDALVDFGKSVENYEILLDSLWKLPDWAYMKDHVMTKAQVEETPKLRLIQAFFALHERNSSGVGDAENIVGKGVDLALDQWWQLPQMSVHARIPLLQQFQQLVEVQESSRILVDIANGNKLSGNSVVGVHGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNAVIDAFKDFTTTNNNLHHLGYRDKAWNVNKLARVGRKQGLYDVCVIILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELASGLNLINSTNLEYFPVKHKAEIFRLKGDFLLKLNDSEGANLSYSNAISLFKNLPKGWISWGNYCDMAYRETNDEMWLEYAVSCFLQGIKFGISNSRSHLARVLYLLSFDTPNEPVGKAFDKYLDEIPHWVWLSWIPQLLLSLQRAEALHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKTELGSRMAMAQRMQQSASGASAVSIGLVDGNARVQGHSGSNLSSDNQVHQAAQSGGGIGSHDGGNSHGQESERSTGVESGIHTGNEQQSSSTINDGGQSALRRNGALGSVPSAASAFDAAKDIMEALRSKHTNLASELETLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSQDAVNKHVEFVREYKQDFERDLDPGSTTTFPATLSELTERLKHWKNVLQSNVEDRFPAVLKLEEESRVLRDFHVVDVEVPGQYFNDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHISIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDKEADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNDITRNLVTDGIFSQYMYKTLLNGNHMWAFKKQFAIQLALSSFMSLMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQSQHLWHQLAMFFRDELLSWSWRRPLGMPMAPFAGGGSMNPADFKQKVITNVEHVIGRINGIAPQYFSEEEDNAMEPPQSVQRGVTELVEAALTPRNLCMMDPTWHPWF >ONH95194 pep chromosome:Prunus_persica_NCBIv2:G7:9549783:9553083:1 gene:PRUPE_7G056300 transcript:ONH95194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLKNLVKKRMMGLGEMGFNAGGGAIKWFPGHMAAAKRAIRDRLKLADFVIEVRDARIPLSSANQDLQPQLRSKRSLIALNKKDLANPNIMQLLELVEYKLKEAISREPTLLVMVVGVANVGKSSLINNIHRIASSSFPVQGKMKRATVGPLPGVTQDIAGFKIGHQPSIYVLDTPGVLVPSIPDIETGLKLALTGSSKDSVVGEDRIAQYLLAILNTQGTPFHWKNSNNRRMEGIQYEAKEKVDYSLKNLRPSRRKLPTKSHVLYVEDLVTEVQHALYSTLSEFNGNTEDESDLVVLIEQQFESLQKARKIPNEGSEARLMISKKFLTLFRTGKLGPFILDDVPEANPLS >ONH95195 pep chromosome:Prunus_persica_NCBIv2:G7:9550370:9552859:1 gene:PRUPE_7G056300 transcript:ONH95195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTGLSKLLELVEYKLKEAISREPTLLVMVVGVANVGKSSLINNIHRIASSSFPVQGKMKRATVGPLPGVTQDIAGFKIGHQPSIYVLDTPGVLVPSIPDIETGLKLALTGSSKDSVVGEDRIAQYLLAILNTQGTPFHWKNSNNRRMEGIQYEAKEKVDYSLKNLRPSRRKLPTKSHVLYVEDLVTEVQHALYSTLSEFNGNTEDESDLVVLIEQQFESLQKARKIPNEGSEARLMISKKFLTLFRTGKLGPFILDDVPEANPLS >ONH95197 pep chromosome:Prunus_persica_NCBIv2:G7:9549783:9553083:1 gene:PRUPE_7G056300 transcript:ONH95197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRATVGPLPGVTQDIAGFKIGHQPSIYVLDTPGVLVPSIPDIETGLKLALTGSSKDSVVGEDRIAQYLLAILNTQGTPFHWKNSNNRRMEGIQYEAKEKVDYSLKNLRPSRRKLPTKSHVLYVEDLVTEVQHALYSTLSEFNGNTEDESDLVVLIEQQFESLQKARKIPNEGSEARLMISKKFLTLFRTGKLGPFILDDVPEANPLS >ONH95199 pep chromosome:Prunus_persica_NCBIv2:G7:9549783:9553083:1 gene:PRUPE_7G056300 transcript:ONH95199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRATVGPLPGVTQDIAGFKIGHQPSIYVLDTPGVLVPSIPDIETGLKLALTGSSKDSVVGEDRIAQYLLAILNTQGTPFHWKNSNNRRMEGIQYEAKEKVDYSLKNLRPSRRKLPTKSHVLYVEDLVTEVQHALYSTLSEFNGNTEDESDLVVLIEQQFESLQKARKIPNEGSEARLMISKKFLTLFRTGKLGPFILDDVPEANPLS >ONH95198 pep chromosome:Prunus_persica_NCBIv2:G7:9549750:9553168:1 gene:PRUPE_7G056300 transcript:ONH95198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRATVGPLPGVTQDIAGFKIGHQPSIYVLDTPGVLVPSIPDIETGLKLALTGSSKDSVVGEDRIAQYLLAILNTQGTPFHWKNSNNRRMEGIQYEAKEKVDYSLKNLRPSRRKLPTKSHVLYVEDLVTEVQHALYSTLSEFNGNTEDESDLVVLIEQQFESLQKARKIPNEGSEARLMISKKFLTLFRTGKLGPFILDDVPEANPLS >ONH95196 pep chromosome:Prunus_persica_NCBIv2:G7:9549783:9553083:1 gene:PRUPE_7G056300 transcript:ONH95196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRATVGPLPGVTQDIAGFKIGHQPSIYVLDTPGVLVPSIPDIETGLKLALTGSSKDSVVGEDRIAQYLLAILNTQGTPFHWKNSNNRRMEGIQYEAKEKVDYSLKNLRPSRRKLPTKSHVLYVEDLVTEVQHALYSTLSEFNGNTEDESDLVVLIEQQFESLQKARKIPNEGSEARLMISKKFLTLFRTGKLGPFILDDVPEANPLS >ONH97921 pep chromosome:Prunus_persica_NCBIv2:G7:19650092:19653129:1 gene:PRUPE_7G218400 transcript:ONH97921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFQHIFYVIIVLLLFNSTKTQCHSKGLRPGNSAGKVHLTKNMTQAQFSEQQFMKWVRFVGRLKHSVFKTAKNKLFPSYTLHVAKNRAAGDFTTIQDAIDSLPFINLLRVVIKVHAGVYTEKVNIPPLKSYITIEGAGADKTIVQWGDTAQTPSGPKKQPMGTYNSATFAVNSPYFIARNITFKNTTPVPAPGAIGKQAVAFRISADTAAFLGCKFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNGLSLFEGCHVHAIAQNIGALTAQGRSSLLEETGFSFVNCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIMPKGWYNWGDPNREMTVFYGQYKCTGPGARFAGRVSWSRELTDEEAKPFISLTFIDGSEWIKL >ONH97850 pep chromosome:Prunus_persica_NCBIv2:G7:19449357:19455616:1 gene:PRUPE_7G214200 transcript:ONH97850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRSFKPAKCKTSLKLAVSRIKLLKNKKDAQVKQIKRELAQLLETGQERTARIRVEHVLREEKTKAAYELIEIYCELIAARLPMIESQKNCPIDLKEAITSVVFASPRCADIPELMDVRKHFTAKYGKEFISGAVELRPDCGVNRMLVEKLSAKSPDGPTKMKILAAIAEEHNVKWDPESFEEKESKPPEDLLNGPNTFGSASKIHVEPPRGPPPPNHDVKEPSNVQVPPQSYENHDVSMNFNQQSARSSPRFRDSASSNVSSNKATASDTFHPEVRSSGNRTDGTENRHSFHADGNTSSTGRQNWNMNFKDAASAAQAAAESAEMASMAARAAAELSRQHSSESRDKFSQSASLTSSRTSINNDPSLNSHQMADRYPQRKSSEPEKRDSIGEVSTKRQSSNIDVYASEMQTGRESDNVSYFGDMGSEEKSSRRPYQSNSIGEVSTKRQSSNIDVYDSEMQTGRESDHVSYFGDMGSEEKSSWRSSQSNSIGEVSTKRQSSNIDVYLSEMQTAKKPDNISYFGDMRSEEKSSRPASQSNSSFGSDDQEDVLRGNDHISYSGDMRTGEQSARSHSRNSSNDHVNVSTGLGEDSFVGDANIYQSTKQMNSYGNAALVFDDSGSDDDKYKFDVEDFKGQESSFYFPSPDRNSFSSSAHLNDWSSKHQTDEVQFKSTSQLSSSLTQHSPPVFSENLTGSVASSEPNDLLPVAFDASDGPSSDSEEEVDKSKLSQSTVSKFSSAQSRSARHRSFGSSSSEELNLGSNQKSWLLPSSLNLNSVDVQPERSQGVENSTASEEKFDYDELPTGEPSRGLMKSGLDSNVKDDFQTLQLPQTVKDSEVSEGCSCVSDTDNELNYGTLTGGLRNKGYKHPPFTRKPSGNSLFVKQVTEDTKIEQPSHSPKVRTSIVSGASSQEPNNLQGSTKLIKERSRRTQVSYIAPDDDSSGDELSHGIVSSGKDPFNKKLGSEAKASSKSTFGFFDSEDSEGEEDLHTKISTSNARPSAKLSRRTQPSSSNSVRSSSSKTAVVSDVSRTSEYRKVSSRSSYATETLPKSSSHTKSSERSGSREWNRPAEQAAPEPIPESQRSSPVETSKSYRNSSSRSSYATETVPKPLSQTKSSERPGSQEWHRPAEEAAPKPIPESKRSSPVETSNSYGNPSSRSSYATETVPKPLSQTKSSERPGSQEWHIPAEEATPKPIPDSKRSSPVETSKSYRNPSSRSSYATETLPKPSSQTKGSERPGSQEWHRPAEEAAPKPIAESKRSSTIETSKSYGNPSYATETLQRPLSQTKSSMRQGSQERHRPVEQAASKPIPESKRSSVHVETSKSFPREQSSSLSPKIATARSTETPKSSGPTVESAPKEKASHVHPKLPDSDAIIAKFMALRQSRQ >ONH97849 pep chromosome:Prunus_persica_NCBIv2:G7:19449357:19455616:1 gene:PRUPE_7G214200 transcript:ONH97849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRSFKPAKCKTSLKLAVSRIKLLKNKKDAQVKQIKRELAQLLETGQERTARIRVEHVLREEKTKAAYELIEIYCELIAARLPMIESQKNCPIDLKEAITSVVFASPRCADIPELMDVRKHFTAKYGKEFISGAVELRPDCGVNRMLVEKLSAKSPDGPTKMKILAAIAEEHNVKWDPESFEEKESKPPEDLLNGPNTFGSASKIHVEPPRGPPPPNHDVKEPSNVQVPPQSYENHDVSMNFNQQSARSSPRFRDSASSNVSSNKATASDTFHPEVRSSGNRTDGTENRHSFHADGNTSSTGRQNWNMNFKDAASAAQAAAESAEMASMAARAAAELSRQHSSESRDKFSQSASLTSSRTSINNDPSLNSHQMADRYPQRKSSEPEKRDSIGEMSTKRQSSNTDVYASEMQTGRESDNVSYFGDMGSEEKSSRHSSQSNSIGEVSTKRQSSNIDVYASEMQTGRESDNVSYFGDMGSEEKSSRRPYQSNSIGEVSTKRQSSNIDVYDSEMQTGRESDHVSYFGDMGSEEKSSWRSSQSNSIGEVSTKRQSSNIDVYLSEMQTAKKPDNISYFGDMRSEEKSSRPASQSNSSFGSDDQEDVLRGNDHISYSGDMRTGEQSARSHSRNSSNDHVNVSTGLGEDSFVGDANIYQSTKQMNSYGNAALVFDDSGSDDDKYKFDVEDFKGQESSFYFPSPDRNSFSSSAHLNDWSSKHQTDEVQFKSTSQLSSSLTQHSPPVFSENLTGSVASSEPNDLLPVAFDASDGPSSDSEEEVDKSKLSQSTVSKFSSAQSRSARHRSFGSSSSEELNLGSNQKSWLLPSSLNLNSVDVQPERSQGVENSTASEEKFDYDELPTGEPSRGLMKSGLDSNVKDDFQTLQLPQTVKDSEVSEGCSCVSDTDNELNYGTLTGGLRNKGYKHPPFTRKPSGNSLFVKQVTEDTKIEQPSHSPKVRTSIVSGASSQEPNNLQGSTKLIKERSRRTQVSYIAPDDDSSGDELSHGIVSSGKDPFNKKLGSEAKASSKSTFGFFDSEDSEGEEDLHTKISTSNARPSAKLSRRTQPSSSNSVRSSSSKTAVVSDVSRTSEYRKVSSRSSYATETLPKSSSHTKSSERSGSREWNRPAEQAAPEPIPESQRSSPVETSKSYRNSSSRSSYATETVPKPLSQTKSSERPGSQEWHRPAEEAAPKPIPESKRSSPVETSNSYGNPSSRSSYATETVPKPLSQTKSSERPGSQEWHIPAEEATPKPIPDSKRSSPVETSKSYRNPSSRSSYATETLPKPSSQTKGSERPGSQEWHRPAEEAAPKPIAESKRSSTIETSKSYGNPSYATETLQRPLSQTKSSMRQGSQERHRPVEQAASKPIPESKRSSVHVETSKSFPREQSSSLSPKIATARSTETPKSSGPTVESAPKEKASHVHPKLPDSDAIIAKFMALRQSRQ >ONH98183 pep chromosome:Prunus_persica_NCBIv2:G7:20396555:20400978:1 gene:PRUPE_7G234100 transcript:ONH98183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRQREAYSMYKRLSSRDYTNTVDMEAKELIDAIDVEENSALLQKSTVPEPTNPSWRLSFPHVVVATLSSFLFGYHLGVVNEPLESISKDLGFKGNTLAEGLVVSTCLGGAFVGSLFSGWVADGVGRRRAFQLCALPMIIGAVMSASTKTLAGMLLGRLFVGTGMGLGPPVASLYVTEVSPAFVRGTYGSLIQIATCLGLMGALFVGIPVKEIVGWWRVCFWVSTIPAAILALAMVFCAESPHWLHKQGRTYEAEAEFERLLGGSHVKTAMAELAKSDRGDDTDTVRLSELLYGRHFRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSGLANAFIGIANLSGSIVAMVLMDKVGRKLLLLWSFFGMAISMSLQVAAASFYSSGSGSLYLSVGGMLMFVLTFALGAGPVPGLLLPEIFPSRIRAKAMAVCMSVHWVINFFVGLLFLQLLEQLGPRLLYSIFGTFCMLAVVFVKRNVVETKGKSLQEIEIALLPQE >ONH97022 pep chromosome:Prunus_persica_NCBIv2:G7:16944447:16952016:-1 gene:PRUPE_7G165000 transcript:ONH97022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEASCFVTAGAVLRHTIHRASSGTNLSLNQRPNGIVVGIYAAVVRRLHIIGVILVLILCAKAQVDFDDKSSWEYLFKVYWNLLKGKLSLTLDELINAKNPWKGPAVVVCKRDSSGELYNGDKTTDSISLNSFADLEATHSKRSNKKPRISNKDLTVEKSLGGRGMPFSEGTVWASKELLAFVAHMKNGDISVLSQFDVQALLLEYIKKNSLRDPRRKCQIVCDSRLINLFGKECVGHFEMLKLLESHFLIKESSRADNISSAAVVTSVSSQMEFDGIHDNQMMMGNDKRRKTRKRVDEKGPQTNPAAYAAIDVHNINLIYLRRNWMEILIEDIDKFHEKVVGSVVRIRISSGDQKQEIYRLVQVIGTIKVAKPYKIGTRTTDVKLEILNLDKKEVISIDEISNQEFTQDECKRLRQSIRCGLTKRLTVGEIQEKAMALQAVRVNDLLEAEVLRLNHLRDRASEKGHRKELRECVEKLQLLNSPEERQRRLNETQEVHPDPSMDPSYESEDNAGDFNKKQDDKVKPRKSVFSRKGREPFPQPWEGDISNNIGGKAQKNRGRETFGINGCSTIKNQVNPTGLTAFDWNNQSVVESNTSTELASEISSLPLSAVMKTDLSVDNFETDKIWHYHDPTGKIQGPFAMIQLRKWSTTGHFPLDHRIWRINEKPDDSILLADAVNGQYYKEPLLPHDSHLLSQGFTVAMDERNNGQDAGSNKSMNATEIDGKKVEESWNTKQDGQSLHNNGNVEPVRCSTPVDVVNSNEEQTGNHLQGQDPLKGNSSSPNKAQESGSLPSPVVPVKPYETLEGESRGAENNSDQNNGNLDPPKTAQGQIMNGQCTENRSDSEGHSGQSSGQNWRPPPVSSPSNGCDSNSDLIPLSKSCETSEQDQRELSFPDIPSRTPKPSNGDLLGQAAENKQSVSSNFPVQDSGPSWSTASSLGGGGAQLPEVGGEWGGYSPTPAKPTSLEEWESSLVSASSLKPSEMAGDCVATAVSVSGQLTHSSPSHPTSNASGWQDILTGSTEFCTLAGESVSDLLAEVEAMESLSGLATPTSIMNCGGEFTEGSKNESISSVEGFSPPDPGKGDALSSSGDLRVPMVTDEPLGECQGNAVDLQKGCGVHSSTSAEVEGDRKPSDVSVNQWEAGPEIQNTAPPKENWDIASTDNHWKARSESTETSWEAAQGNANMGWGGSEQGGANTGWGGGQGIAQGNTSINPGTPAGAMLESQSRYGGDRFIGPRDRGFQNRDIGFGRGRFQWNRQTYGNGGGSFRPPPKSQRVCKYYESGYCKKGASCGYLHP >ONH97023 pep chromosome:Prunus_persica_NCBIv2:G7:16944701:16951227:-1 gene:PRUPE_7G165000 transcript:ONH97023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEASCFVTAGAVLRHTIHRASSGTNLSLNQRPNGIVVGIYAAVVRRLHIIGVILVLILCAKVVLRMLIINVYEETKDFVEHAQVDFDDKSSWEYLFKVYWNLLKGKLSLTLDELINAKNPWKGPAVVVCKRDSSGELYNGDKTTDSISLNSFADLEATHSKRSNKKPRISNKDLTVEKSLGGRGMPFSEGTVWASKELLAFVAHMKNGDISVLSQFDVQALLLEYIKKNSLRDPRRKCQIVCDSRLINLFGKECVGHFEMLKLLESHFLIKESSRADNISSAAVVTSVSSQMEFDGIHDNQMMMGNDKRRKTRKRVDEKGPQTNPAAYAAIDVHNINLIYLRRNWMEILIEDIDKFHEKVVGSVVRIRISSGDQKQEIYRLVQVIGTIKVAKPYKIGTRTTDVKLEILNLDKKEVISIDEISNQEFTQDECKRLRQSIRCGLTKRLTVGEIQEKAMALQAVRVNDLLEAEVLRLNHLRDRASEKGHRKELRECVEKLQLLNSPEERQRRLNETQEVHPDPSMDPSYESEDNAGDFNKKQDDKVKPRKSVFSRKGREPFPQPWEGDISNNIGGKAQKNRGRETFGINGCSTIKNQVNPTGLTAFDWNNQSVVESNTSTELASEISSLPLSAVMKTDLSVDNFETDKIWHYHDPTGKIQGPFAMIQLRKWSTTGHFPLDHRIWRINEKPDDSILLADAVNGQYYKEPLLPHDSHLLSQGFTVAMDERNNGQDAGSNKSMNATEIDGKKVEESWNTKQDGQSLHNNGNVEPVRCSTPVDVVNSNEEQTGNHLQGQDPLKGNSSSPNKAQESGSLPSPVVPVKPYETLEGESRGAENNSDQNNGNLDPPKTAQGQIMNGQCTENRSDSEGHSGQSSGQNWRPPPVSSPSNGCDSNSDLIPLSKSCETSEQDQRELSFPDIPSRTPKPSNGDLLGQAAENKQSVSSNFPVQDSGPSWSTASSLGGGGAQLPEVGGEWGGYSPTPAKPTSLEEWESSLVSASSLKPSEMAGDCVATAVSVSGQLTHSSPSHPTSNASGWQDILTGSTEFCTLAGESVSDLLAEVEAMESLSGLATPTSIMNCGGEFTEGSKNESISSVEGFSPPDPGKGDALSSSGDLRVPMVTDEPLGECQGNAVDLQKGCGVHSSTSAEVEGDRKPSDVSVNQWEAGPEIQNTAPPKENWDIASTDNHWKARSESTETSWEAAQGNANMGWGGSEQGGANTGWGGGQGIAQGNTSINPGTPAGAMLESQSRYGGDRFIGPRDRGFQNRDIGFGRGRFQWNRQTYGNGGGSFRPPPKSQRVCKYYESGYCKKGASCGYLHP >ONH97021 pep chromosome:Prunus_persica_NCBIv2:G7:16944408:16952016:-1 gene:PRUPE_7G165000 transcript:ONH97021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQKAQLSSTFYRPSLEEDGGGGRGEQAQPFDRSLPTAEDRMSVDQCEAIGDLDDSRLVGAPQTVAGGGMVAGRVGQMMADVAVKVAGEKSLGKRRRGRPPSGHVRATPVRKQNEEEDVCFICFDGGSLVLCDRRGCPKAYHPSCIKRDESFFKSKAKWNCGWHICSSCQKASHYWCYTCTYSLCKGCTKDADYQCVRGNKGFCGTCMRTIMLIENVQGNKEVAQVDFDDKSSWEYLFKVYWNLLKGKLSLTLDELINAKNPWKGPAVVVCKRDSSGELYNGDKTTDSISLNSFADLEATHSKRSNKKPRISNKDLTVEKSLGGRGMPFSEGTVWASKELLAFVAHMKNGDISVLSQFDVQALLLEYIKKNSLRDPRRKCQIVCDSRLINLFGKECVGHFEMLKLLESHFLIKESSRADNISSAAVVTSVSSQMEFDGIHDNQMMMGNDKRRKTRKRVDEKGPQTNPAAYAAIDVHNINLIYLRRNWMEILIEDIDKFHEKVVGSVVRIRISSGDQKQEIYRLVQVIGTIKVAKPYKIGTRTTDVKLEILNLDKKEVISIDEISNQEFTQDECKRLRQSIRCGLTKRLTVGEIQEKAMALQAVRVNDLLEAEVLRLNHLRDRASEKGHRKELRECVEKLQLLNSPEERQRRLNETQEVHPDPSMDPSYESEDNAGDFNKKQDDKVKPRKSVFSRKGREPFPQPWEGDISNNIGGKAQKNRGRETFGINGCSTIKNQVNPTGLTAFDWNNQSVVESNTSTELASEISSLPLSAVMKTDLSVDNFETDKIWHYHDPTGKIQGPFAMIQLRKWSTTGHFPLDHRIWRINEKPDDSILLADAVNGQYYKEPLLPHDSHLLSQGFTVAMDERNNGQDAGSNKSMNATEIDGKKVEESWNTKQDGQSLHNNGNVEPVRCSTPVDVVNSNEEQTGNHLQGQDPLKGNSSSPNKAQESGSLPSPVVPVKPYETLEGESRGAENNSDQNNGNLDPPKTAQGQIMNGQCTENRSDSEGHSGQSSGQNWRPPPVSSPSNGCDSNSDLIPLSKSCETSEQDQRELSFPDIPSRTPKPSNGDLLGQAAENKQSVSSNFPVQDSGPSWSTASSLGGGGAQLPEVGGEWGGYSPTPAKPTSLEEWESSLVSASSLKPSEMAGDCVATAVSVSGQLTHSSPSHPTSNASGWQDILTGSTEFCTLAGESVSDLLAEVEAMESLSGLATPTSIMNCGGEFTEGSKNESISSVEGFSPPDPGKGDALSSSGDLRVPMVTDEPLGECQGNAVDLQKGCGVHSSTSAEVEGDRKPSDVSVNQWEAGPEIQNTAPPKENWDIASTDNHWKARSESTETSWEAAQGNANMGWGGSEQGGANTGWGGGQGIAQGNTSINPGTPAGAMLESQSRYGGDRFIGPRDRGFQNRDIGFGRGRFQWNRQTYGNGGGSFRPPPKSQRVCKYYESGYCKKGASCGYLHP >ONH96918 pep chromosome:Prunus_persica_NCBIv2:G7:16652910:16654771:1 gene:PRUPE_7G159700 transcript:ONH96918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFIVEQLMLFISTALGYLSTLLSPHPRQCHDLTLIIHQTNAPPNQVYEAAQLYLPTIDLINPSTRTIQVSKTPRQETVKLAIDSGEQIVDTFEDINLKWLYVVQTFPTGQVTRRFELTFHKKHKDKVLTSYLRHVVTRAEAIKKEEKILKLRSVNSPSRVDLEHPATFETIAMEPDQKTKIIKDLDRFVRRREFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDVYELELDGICSDSQLKRVLLSTKNRSILVIEDIDCTVRDMQDRKSEQPTFTLSGLLNFMDGLWSSCGDERIIVFTTNHKDRLEPALLRPGRMDVHIHMSYCTPHAFRVLASNYLGIQDLNHHGLYGEITGLLESTEVTPAEVCEQLLKRDDDVDDDDADAALAGLVNFLKLKKLEETNNIDKPETQEGSQC >ONH97703 pep chromosome:Prunus_persica_NCBIv2:G7:18992254:18997466:-1 gene:PRUPE_7G205500 transcript:ONH97703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKDNQKLHIAMFPWLAYGHIMPFLEVSKFLAQKGHRVSFISTPKNIQRLPISSLSPLITFIELPLPAIEGLPHSTESTSELPIHKVPFLKKAYDLLQSPLTHFLQHSDVNWVIHDFICHWLPRVATQLGINSVYFNIFSATTLAFLGPPSELRGGQRQLPEDFTVVPKWVDFPSNVAFKLHEMVSHWDCMDDEVSDFQRFADAIQYCNLVTTRSTPEFESDSVSLLRKLYGKPVVPLGLLPPSSVPRQHGGADDQVDEKWEVLREWLDNKKEKSVIYIALGTEVTLSQELMHELAHGIEKSGLPFIWVVNNRPLDGRHVGCGYYSTRVSNSGG >ONH96565 pep chromosome:Prunus_persica_NCBIv2:G7:15420539:15422527:-1 gene:PRUPE_7G137500 transcript:ONH96565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKFWVVTLFCLLFLSPLVSCQLNYRFYDATCPNLTRIVQSGVWSAIANDSRIAASLLRLHFHDCFVNGCDASLLLDDTGSLIGEKNAPGNKNSVRGFEVIDTIKSNVEEACPSTVSCTDIITLVSRAAVYFSGGPYWPVPLGRRDSTTASENAVREQLPSPFEPLENITAKFTAKGLDIKDLVVLSGAHTIGFAQCFTFKTRLFNFGESGKPDPTLDASLLQNLQSLCPNQADSDTQLAPLDPVTSTKFDNIYFKNLVNNSGLLQSDQVLMGNNRTASMVFGYSKLPFLFYRDFGASMVNMANIGVLTGSNGEIRKNCRAVNKKTTA >ONH97079 pep chromosome:Prunus_persica_NCBIv2:G7:17148132:17152860:1 gene:PRUPE_7G168600 transcript:ONH97079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVTYNVNGLRPRIAQFGSLLKLLNSLDADIICVQETKLRRQELTADLVMAEGYESFFSCTRTSEKGRTGYSGVATFCRVKSAFSSDEVALPVAAEEGFTGLLEIGKGEMAAAAEGLEEFSKDELLKVDGEGRCIITDHGHFVLFNLYGPRAVCDDTERIEFKLKFFKILQKRWESLLFQGRRIFVVGDLNIAPTSLDRCDAEPEFENNQFRRWFRSMLVENKGSFFDVFRAKHPNRREAYTCWPQNTGAEEFNYGSRIDHILCAGSCLHQEQDLQSHNFVTCHVKECDILTQYKRWKPGNSLRWKGGQSIKLEGSDHAPVYTSLLEIPSVFQHSTPSLSARYIPMVRGLQQTLVSVLMKRQTAEQVNSDGDIIKESCSERERSSSDHCSTPGVPSGNSCSSSSQNFEVLSSKTNEHSNRFSMEDACNTLVTLGGQRTKRMCGSEPKKKAKRSSQLSLRSFFQKSSIPSNGVGNGTDTSTNQIDVPDSNHLSNETPIPENQGGSPKQCELNSSASIEDQDEVDVCTLEKEKNNFALMEWQRLQQVMQNSIPLCKGHREPCVARVVRKRGANFGRRFYVCARAEGPASNPEANCNYFKWAASKPRQK >ONH97081 pep chromosome:Prunus_persica_NCBIv2:G7:17148132:17152860:1 gene:PRUPE_7G168600 transcript:ONH97081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRLMARDGVLSQIMVILFSSICMGLELYVMTQKGLSLSSSFSRFYRWESLLFQGRRIFVVGDLNIAPTSLDRCDAEPEFENNQFRRWFRSMLVENKGSFFDVFRAKHPNRREAYTCWPQNTGAEEFNYGSRIDHILCAGSCLHQEQDLQSHNFVTCHVKECDILTQYKRWKPGNSLRWKGGQSIKLEGSDHAPVYTSLLEIPSVFQHSTPSLSARYIPMVRGLQQTLVSVLMKRQTAEQVNSDGDIIKESCSERERSSSDHCSTPGVPSGNSCSSSSQNFEVLSSKTNEHSNRFSMEDACNTLVTLGGQRTKRMCGSEPKKKAKRSSQLSLRSFFQKSSIPSNGVGNGTDTSTNQIDVPDSNHLSNETPIPENQGGSPKQCELNSSASIEDQDEVDVCTLEKEKNNFALMEWQRLQQVMQNSIPLCKGHREPCVARVVRKRGANFGRRFYVCARAEGPASNPEANCNYFKWAASKPRQK >ONH97080 pep chromosome:Prunus_persica_NCBIv2:G7:17148132:17152860:1 gene:PRUPE_7G168600 transcript:ONH97080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVTYNVNGLRPRIAQFGSLLKLLNSLDADIICVQETKLRRQELTADLVMAEGYESFFSCTRTSEKGRTGYSGVATFCRVKSAFSSDEVALPVAAEEGFTGLLEIGKGEMAAAAEGLEEFSKDELLKVDGEGRCIITDHGHFVLFNLYGPRAVCDDTERIEFKLKFFKILQKRWESLLFQGRRIFVVGDLNIAPTSLDRCDAEPEFENNQREAYTCWPQNTGAEEFNYGSRIDHILCAGSCLHQEQDLQSHNFVTCHVKECDILTQYKRWKPGNSLRWKGGQSIKLEGSDHAPVYTSLLEIPSVFQHSTPSLSARYIPMVRGLQQTLVSVLMKRQTAEQVNSDGDIIKESCSERERSSSDHCSTPGVPSGNSCSSSSQNFEVLSSKTNEHSNRFSMEDACNTLVTLGGQRTKRMCGSEPKKKAKRSSQLSLRSFFQKSSIPSNGVGNGTDTSTNQIDVPDSNHLSNETPIPENQGGSPKQCELNSSASIEDQDEVDVCTLEKEKNNFALMEWQRLQQVMQNSIPLCKGHREPCVARVVRKRGANFGRRFYVCARAEGPASNPEANCNYFKWAASKPRQK >ONH96574 pep chromosome:Prunus_persica_NCBIv2:G7:15447822:15451620:-1 gene:PRUPE_7G138000 transcript:ONH96574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELVTLQVGSFANFVGSHFWNFQDELNGLAEDPYADPVFKNQSLNMDVLYRSGETHQGTLTYTPRLVSVDLQGSLGSMSSRGTLYDEGSSASSNILTWGGNVSTHAAEPHKKNLFLQSLYGEEQENSLTFENGVTGGENPRTEIHDRDKVESLENGVQYWTDFSKVEYHPQSLYELSGLWVDPQKFDNYGIGRESLSGGLQGEEISERLRFFVEECDHIQGFQFIVDDSGGFSPLAVDVLESIADEYTNAPVLLYAVRGPGSSVDPRSQKQRVSRKLHDAVSFSRLSSLCKLIVPVGLPSLSRSKASTFLWINDEKPYHCSAAYAATLHSLSLPFRMEPLGPTADSSYASGAVTVNEVVEILSGQTRQNMVAILDAAMPAPSLIGNQVEQTLLRHLQPLTPEIAKDIEDLQSVESISVHGALGPARGQRASVSEVKDMVHAAYEHATTRPMFCHLSVAQCPLPIPLPFPSIFGNRVGQHGELLSTPIIDSPSRGSLDVHSIPMAARLRSSSAVLPFLENRLGSLRSFGIARGAPGAELVRSWGFAKDELEDMGEVLSKMITTLDPRSQMSSDSD >ONH96573 pep chromosome:Prunus_persica_NCBIv2:G7:15447021:15451749:-1 gene:PRUPE_7G138000 transcript:ONH96573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELVTLQVGSFANFVGSHFWNFQDELNGLAEDPYADPVFKNQSLNMDVLYRSGETHQGTLTYTPRLVSVDLQGSLGSMSSRGTLYDEGSSASSNILTWGGNVSTHAAEPHKKNLFLQSLYGEEQENSLTFENGVTGGENPRTEIHDRDKVESLENGVQYWTDFSKVEYHPQSLYELSGLWVDPQKFDNYGIGRESLSGGLQGEEISERLRFFVEECDHIQGFQFIVDDSGGFSPLAVDVLESIADEYTNAPVLLYAVRGPGSSVDPRSQKQRVSRKLHDAVSFSRLSSLCKLIVPVGLPSLSRSKASTFLWINDEKPYHCSAAYAATLHSLSLPFRMEPLGPTADSSYASGAVTVNEVVEILSGQTRQNMVAILDAAMPAPSLIGNQVEQTLLRHLQPLTPEIAKDIEDLQSVESISVHGALGPGGDAWK >ONH96575 pep chromosome:Prunus_persica_NCBIv2:G7:15447089:15451719:-1 gene:PRUPE_7G138000 transcript:ONH96575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELVTLQVGSFANFVGSHFWNFQDELNGLAEDPYADPVFKNQSLNMDVLYRSGETHQGTLTYTPRLVSVDLQGSLGSMSSRGTLYDEGSSASSNILTWGGNVSTHAAEPHKKNLFLQSLYGEEQENSLTFENGVTGGENPRTEIHDRDKVESLENGVQYWTDFSKVEYHPQSLYELSGLWVDPQKFDNYGIGRESLSGGLQGEEISERLRFFVEECDHIQGFQFIVDDSGGFSPLAVDVLESIADEYTNAPVLLYAVRGPGSSVDPRSQKQRVSRKLHDAVSFSRLSSLCKLIVPVGLPSLSRSKASTFLWINDEKPYHCSAAYAATLHSLSLPFRMEPLGPTADSSYASGAVTVNEVVEILSGQTRQNMVAILDAAMPAPSLIGNQVEQTLLRHLQPLTPEIAKDIEDLQSVESISVHGALGPEVSGHQFLK >ONH98146 pep chromosome:Prunus_persica_NCBIv2:G7:20324122:20326603:-1 gene:PRUPE_7G232500 transcript:ONH98146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKLWKWYQNCLSFHPLKTQVISSGVLWGVGDIAAQYITHSNARKRLQLSQDTDADFKVNWKRVAITSTFGFAFVGPVGHFWYEGLDKFIKLKLHLQPKSARFVAAKVAMDGLIFGPLDLLVFFTYMGFSTGKNSVQVKEDLKRDFLPALALEGGVWPIVQIANFRYVPVRYQLLYVNVFCLLDSAFLSWVEQQKDAAWKQWFTSFTSFKEGGGQGRL >ONH98145 pep chromosome:Prunus_persica_NCBIv2:G7:20324165:20326603:-1 gene:PRUPE_7G232500 transcript:ONH98145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKLWKWYQNCLSFHPLKTQVISSGVLWGVGDIAAQYITHSNARKRLQLSDTDADFKVNWKRVAITSTFGFAFVGPVGHFWYEGLDKFIKLKLHLQPKSARFVAAKVAMDGLIFGPLDLLVFFTYMGFSTGKNSVQVKEDLKRDFLPALALEGGVWPIVQIANFRYVPVRYQLLYVNVFCLLDSAFLSWVEQQKDAAWKQWFTSFTSFKEGGGQGRL >ONH98254 pep chromosome:Prunus_persica_NCBIv2:G7:20612765:20614983:-1 gene:PRUPE_7G238600 transcript:ONH98254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDESTSHSVSQLGGIDGNKSCETQVVLNVYDLTPLNNYTVWFGLGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPRSCPGFIYRCSVSLGRINMHPSEFRTFIENVASEYHGDTYHLISKNCNHFTDDMACRLTQKRIPGWVNRLARLGSLCSCLLPESLQVTTVKQIPEYHHESEEDDTETLSITTPRVSEELDDDQEKRLLSPLAAMSPVAGSGDVTFVKEAHK >ONH98255 pep chromosome:Prunus_persica_NCBIv2:G7:20612765:20614983:-1 gene:PRUPE_7G238600 transcript:ONH98255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDESTSHSVSQLGGIDGNKSCETQVVLNVYDLTPLNNYTVWFGLGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPRSCPGFIYRCSVSLGRINMHPSEFRTFIENVASEYHGDTYHLISKNCNHFTDDMACRLTQKRIPGWVNRLARLGSLCSCLLPESLQVTTVKQIPEYHHESEEDDTETLSITTPRVSEELDDDQEKRLLSPLAAMSPVAGSGDVTFVKEAHK >ONH98256 pep chromosome:Prunus_persica_NCBIv2:G7:20613087:20614983:-1 gene:PRUPE_7G238600 transcript:ONH98256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDESTSHSVSQLGGIDGNKSCETQVVLNVYDLTPLNNYTVWFGLGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPRSCPGFIYRCSVSLGRINMHPSEFRTFIENVASEYHGDTYHLISKNCNHFTDDMACRLTQKRIPGWVNRLARLVVFFLKAFK >ONH98257 pep chromosome:Prunus_persica_NCBIv2:G7:20611920:20615410:-1 gene:PRUPE_7G238600 transcript:ONH98257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDESTSHSVSQLGGIDGNKSCETQVVLNVYDLTPLNNYTVWFGLGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPRSCPGFIYRCSVSLGRINMHPSEFRTFIENVASEYHGDTYHLISKNCNHFTDDMACRLTQKRIPGWVNRLARLVVFFLKAFK >ONH98253 pep chromosome:Prunus_persica_NCBIv2:G7:20611919:20615410:-1 gene:PRUPE_7G238600 transcript:ONH98253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDESTSHSVSQLGGIDGNKSCETQVVLNVYDLTPLNNYTVWFGLGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPRSCPGFIYRCSVSLGRINMHPSEFRTFIENVASEYHGDTYHLISKNCNHFTDDMACRLTQKRIPGWVNRLARLGSLCSCLLPESLQVTTVKQIPEYHHESEDDTETLSITTPRVSEELDDDQEKRLLSPLAAMSPVAGSGDVTFVKEAHK >ONH98252 pep chromosome:Prunus_persica_NCBIv2:G7:20611920:20615410:-1 gene:PRUPE_7G238600 transcript:ONH98252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDESTSHSVSQLGGIDGNKSCETQVVLNVYDLTPLNNYTVWFGLGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPRSCPGFIYRCSVSLGRINMHPSEFRTFIENVASEYHGDTYHLISKNCNHFTDDMACRLTQKRIPGWVNRLARLGSLCSCLLPESLQVTTVKQIPEYHHESEDDTETLSITTPRVSEELDDDQEKRLLSPLAAMSPVAGSGDVTFVKEAHK >ONH97117 pep chromosome:Prunus_persica_NCBIv2:G7:17262555:17267599:1 gene:PRUPE_7G170700 transcript:ONH97117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVTWAMFASRKALNAITINYRNGFVQAFHRDHRSNNTYYIYSDLANYSISASGAYDANMMSTHQGWSDQTIHGNISAKWYREPLNPVTGEKIGKASQIQPDDLINIAGLSQVPDGVATWHVAVSKYSDSPLLSAALAVSDPSNKSIVAVVGVTTALYSVGQLMKELVEFHSGHIYLTSQEGYLLATSTNAPLLRNSTEGPKLMMAVDSEDHIIRTGAEWLQRAYGNEFPPSHEVHVENARLGHQQYYIDSFFLKLKRLPLVGVIIIPRKYIMGKVDERAFKTLVILISASFCILVTGCICIFILTNGVSKEMKLRAELISHLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLTNEQYSTVTQIRKCSTALLRLLNNILDISKVESGKLVLEEAEFDLGRELEGLFDMFSVQCINHNVETVLDLSDDMPKLVRGDSARVVQIFANLISNSIKFTTSGHVILRGSCENISGDIRRIPFDQKNFRPSHKAKLKQGNHVKKAPKKDDKMILWFEVDDTGCGIDPGKWESVFESFEQADPSTTRTHGGTGLGLCIVRTLVNKMGGEIKVVKKDGPGTLMQLYLVINTPADGTEQLCQVDLAKHNVVVLLALHGSMGRLITSQWLRKNGVFTVATSEWNELTQILRELFQTRNSGHSNSFETQFSLSDSLRGEIRSVYDIRNPAFVMVVDIGLLDLSTDIWKEQLNFLDKYSGKAKFAWMLNHDTSNAIKTELRRKGYVLMVNKPLYKAKMVQILEDVIKERNLETERRTANALRSTTKEGELHECLEIDSTQFDVASSDDSDICEKNNPNSKNALHIEENQRDRNTKPSSSQYQTVNSCLVELTNVCSKEKNSRKEESYQIRSNLCDMEDEEHKSQCGNSKFQEQHLIANGREEHGNSHRAVNQQKSLEGLRILLAEDTPVLQRVATIMLEKMGATVIAVADGLQAVDALNCLLTAEDSRRELRLKDRDTNAENKSWVPRPFDLVLMDCQMPKMDGYEATKAIRKSEEGTGLHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTKVTA >ONH97116 pep chromosome:Prunus_persica_NCBIv2:G7:17261371:17267599:1 gene:PRUPE_7G170700 transcript:ONH97116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQAEEGLFDSSECSPSRSTPIGTPLRKVFDKISGFATPWRKNGTIPQGRRIFHRDVERDQFQYANAHCLSSYYSVFVARLAIMVMLAILIGLLTILTWHFTRVYTAKSLSNLAYGLRYELLQRPVLRMWNILNSTAEITAAQVKLSEYVIKRYSKPTTQAEQVELYESMRAVTWAMFASRKALNAITINYRNGFVQAFHRDHRSNNTYYIYSDLANYSISASGAYDANMMSTHQGWSDQTIHGNISAKWYREPLNPVTGEKIGKASQIQPDDLINIAGLSQVPDGVATWHVAVSKYSDSPLLSAALAVSDPSNKSIVAVVGVTTALYSVGQLMKELVEFHSGHIYLTSQEGYLLATSTNAPLLRNSTEGPKLMMAVDSEDHIIRTGAEWLQRAYGNEFPPSHEVHVENARLGHQQYYIDSFFLKLKRLPLVGVIIIPRKYIMGKVDERAFKTLVILISASFCILVTGCICIFILTNGVSKEMKLRAELISHLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLTNEQYSTVTQIRKCSTALLRLLNNILDISKVESGKLVLEEAEFDLGRELEGLFDMFSVQCINHNVETVLDLSDDMPKLVRGDSARVVQIFANLISNSIKFTTSGHVILRGSCENISGDIRRIPFDQKNFRPSHKAKLKQGNHVKKAPKKDDKMILWFEVDDTGCGIDPGKWESVFESFEQADPSTTRTHGGTGLGLCIVRTLVNKMGGEIKVVKKDGPGTLMQLYLVINTPADGTEQLCQVDLAKHNVVVLLALHGSMGRLITSQWLRKNGVFTVATSEWNELTQILRELFQTRNSGHSNSFETQFSLSDSLRGEIRSVYDIRNPAFVMVVDIGLLDLSTDIWKEQLNFLDKYSGKAKFAWMLNHDTSNAIKTELRRKGYVLMVNKPLYKAKMVQILEDVIKERNLETERRTANALRSTTKEGELHECLEIDSTQFDVASSDDSDICEKNNPNSKNALHIEENQRDRNTKPSSSQYQTVNSCLVELTNVCSKEKNSRKEESYQIRSNLCDMEDEEHKSQCGNSKFQEQHLIANGREEHGNSHRAVNQQKSLEGLRILLAEDTPVLQRVATIMLEKMGATVIAVADGLQAVDALNCLLTAEDSRRELRLKDRDTNAENKSWVPRPFDLVLMDCQMPKMDGYEATKAIRKSEEGTGLHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTKVTA >ONH98668 pep chromosome:Prunus_persica_NCBIv2:G7:21694533:21698499:1 gene:PRUPE_7G261000 transcript:ONH98668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGMSSTVSNSGMKMNTVGGVSDSSLAANDSTDGACKRVSKKFKGVVEQPNGHWGAQIYANHERVWLGTFNSEIDAAMGYDSAALKLRGRDCHRNFPWTETTFEEQHFQNQYATEVVLIMIKDGSYQTKFSEFRRSRSGIESVGADVQFGSLARVQSSNGKGELLEDFLFQKELTPSDVGKLNRLVIPSKYACTYFPTVSEAIRGKVESCSSQLTFYDRMMRSWTFRFCFWKSSKSFVFTKGWSRFVRTHDLQAKDTIRFLQCQYKQSGDGNYKEQPHSFCMIQVKKDGGRSNDVSCLVENNANSYQYDGVRNDGGMMDSDYKFKVEAVSPNIHEDEDGILLADEKKCFRLFGVEISDVDVLSSHSIIV >ONH98669 pep chromosome:Prunus_persica_NCBIv2:G7:21694727:21698474:1 gene:PRUPE_7G261000 transcript:ONH98669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGMSSTVSNSGMKMNTVGGVSDSSLAANDSTDGACKRVSKKFKGVVEQPNGHWGAQIYANHERVWLGTFNSEIDAAMGYDSAALKLRGRDCHRNFPWTETTFEEQHFQNQYATEVVLIMIKDGSYQTKFSEFRRSRSGIESVGADVQFGSLARVQSSNGKGELLEDFLFQKELTPSDVGKLNRLVIPSKYACTYFPTVSEAIRGKVESCSSQLTFYDRMMRSWTFRFCFWKSSKSFVFTKGWSRFVRTHDLQAKDTIRFLQCQYKQSGDGNYKEQPHSFCMIQVKKDGGRSNDVSCLVENNANSYQYDGVRNDGGMMDSDYKFKVEAVSPNIHEDEDGILLADEKKCFRLFGVEISDVDVLSSHSIIV >ONH98666 pep chromosome:Prunus_persica_NCBIv2:G7:21693939:21698541:1 gene:PRUPE_7G261000 transcript:ONH98666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSKLKKFPYSDYLLLPFICSRLINKKHIMEEGMSSTVSNSGMKMNTVGGVSDSSLAANDSTDGACKRVSKKFKGVVEQPNGHWGAQIYANHERVWLGTFNSEIDAAMGYDSAALKLRGRDCHRNFPWTETTFEEQHFQNQYATEVVLIMIKDGSYQTKFSEFRRSRSGIESVGADVQFGSLARVQSSNGKGELLEDFLFQKELTPSDVGKLNRLVIPSKYACTYFPTVSEAIRGKVESCSSQLTFYDRMMRSWTFRFCFWKSSKSFVFTKGWSRFVRTHDLQAKDTIRFLQCQYKQSGDGNYKEQPHSFCMIQVKKDGGRSNDVSCLVENNANSYQYDGVRNDGGMMDSDYKFKVEAVSPNIHEDEDGILLADEKKCFRLFGVEISDVDVLSSHSIIV >ONH98667 pep chromosome:Prunus_persica_NCBIv2:G7:21694727:21698438:1 gene:PRUPE_7G261000 transcript:ONH98667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGMSSTVSNSGMKMNTVGGVSDSSLAANDSTDGACKRVSKKFKGVVEQPNGHWGAQIYANHERVWLGTFNSEIDAAMGYDSAALKLRGRDCHRNFPWTETTFEEQHFQNQYATEVVLIMIKDGSYQTKFSEFRRSRSGIESVGADVQFGSLARVQSSNGKGELLEDFLFQKELTPSDVGKLNRLVIPSKYACTYFPTVSEAIRGKVESCSSQLTFYDRMMRSWTFRFCFWKSSKSFVFTKGWSRFVRTHDLQAKDTIRFLQCQYKQSGDGNYKEQPHSFCMIQVKKDGGRSNDVSCLVENNANSYQYDGVRNDGGMMDSDYKFKVEAVSPNIHEDEDGILLADEKKCFRLFGVEISDVDVLSSHSIIV >ONH94563 pep chromosome:Prunus_persica_NCBIv2:G7:3535096:3537773:1 gene:PRUPE_7G022500 transcript:ONH94563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEMALVKPKFAPKMAAEMALVKPITNFNNVSPKFGSSRACSYNKLSSTIRMSATAQPTAKKSPKKAIKETLLAPRFYTTDFDEMETLFNTEINKNLNQAEFEALLQEFKTDYNQTHFVRNKEFKEAADKLQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKENPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINQKLIAVGESDDIPLVKNLKRIPMVAALASELLAAYLMPPIESGSVDFAEFETQLVY >ONH98623 pep chromosome:Prunus_persica_NCBIv2:G7:21560853:21561673:-1 gene:PRUPE_7G258200 transcript:ONH98623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFRIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >ONH94427 pep chromosome:Prunus_persica_NCBIv2:G7:2272091:2275513:-1 gene:PRUPE_7G015700 transcript:ONH94427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIMGSSGRPPKYTDPDNFTIEVHHGGNFKKGKYLGGRVEFAGLNVADKGTSFNVVVDTLDGDGDDEFEDNDEDLNENYEYVDEDKKANEEVNVDDRDFDKYVVDEGTESLHKELGEASSGEYHCNSEDLRSLSEKEEHDEVEGQRLWAAVWAAVRATTIPTFDVEMDRMLSESHEAYKWLKNRPASNWNRSHFSCNAKCDILLNNLCECFNAAILEVRDKPIVSLLEIIRIYLIIQLTVVNALPIMRVMRPLDFVHALYKRFAYERAYEGYISPMPSSYHWRKTGHRPIKPSFYHKQLGRPMISRQKEADEIPRGATKLRRYSIVITCTKCGVQGHNAVSCTTQGQASTRGRRGGTSRPRIARGVAPTSEGLNGSGQQQQPQTSIQPRSQSHPKFGASQIQCFIILGGFDGFDLI >ONH97744 pep chromosome:Prunus_persica_NCBIv2:G7:19072367:19075626:1 gene:PRUPE_7G207300 transcript:ONH97744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDKDSMNMSDLAAALNEEDRAGLVNALKNKIQSLAGQHSDILESLSPVVRKRVDVLREIQTQHDELEAKFFEERAALEAKYQKLYQPLYSKRYEIVNGVVEAEGATTEAANQEDNAAEEKGVPDFWLNAMKNNEVLAEEITERDEGALKYLRDIKWFRIDNPKGFKLEFYFDTNPFFKNSVLTKIYHMIDEDEPILEKAIGTEIEWYPAKCLTQKLLKKKPKKGSKNAKPITRTENCESFFNFFSPPQVPEDDEDIEEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDDFGDLEDDEDDDIEDQDDDDEDEDEDEDDDEDDEDEDEGKTKKKTSAAHKKSGRAQLADGQQGERPPECKQQ >ONH97745 pep chromosome:Prunus_persica_NCBIv2:G7:19072367:19075626:1 gene:PRUPE_7G207300 transcript:ONH97745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDKDSMNMSDLAAALNEEDRAGLVNALKNKIQSLAGQHSDILESLSPVVRKRVDVLREIQTQHDELEAKFFEERAALEAKYQKLYQPLYSKRYEIVNGVVEAEGATTEAANQEDNAAEEKGVPDFWLNAMKNNEVLAEEITERDEGALKYLRDIKWFRIDNPKGFKLEFYFDTNPFFKNSVLTKIYHMIDEDEPILEKAIGTEIEWYPAKCLTQKLLKKKPKKGSKNAKPITRTENCESFFNFFSPPQVPEDDEDIEEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDDFGDLEDDEDDDIEDQDDDDEDEDEDEDDDEDDEDEDEGKTKKKKSGRAQLADGQQGERPPECKQQ >ONH97746 pep chromosome:Prunus_persica_NCBIv2:G7:19072402:19074990:1 gene:PRUPE_7G207300 transcript:ONH97746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDKDSMNMSDLAAALNEEDRAGLVNALKNKIQSLAGQHSDILESLSPVVRKRVDVLREIQTQHDELEAKFFEERAALEAKYQKLYQPLYSKRYEIVNGVVEAEGATTEAANQEDNAAEEKGVPDFWLNAMKNNEVLAEEITERDEGALKYLRDIKWFRIDNPKGFKLEFYFDTNPFFKNSVLTKIYHMIDEDEPILEKAIGTEIEWYPAKCLTQKLLKKKPKKGSKNAKPITRTENCESFFNFFSPPQVPEDDEDIEEDAAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDDFGDLEDDEDDDIEDQDDDDEDEDEDEDDDEDDEDEDEGKTKKKV >ONH98757 pep chromosome:Prunus_persica_NCBIv2:G7:21880752:21884824:-1 gene:PRUPE_7G265000 transcript:ONH98757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSEQERTAKSGAEEQSLRVAVAISLLRSKLLQKQQKQPPPHPVDQSDALRWKRKAKERKQELLRLRQDLNEAEDASQCDLFPQSASCKCYFFDNLGKLSPNKRLPDPSQCRFNDVLRRRFLRHVRFKERRRRTSSSSQRRHFADINSEDEMEQLRASVDFLVELCETGSPVEETNVANWSHQAADFILASLKNLLSVAMNAELIEGIISSLITRLVGRMCCPLQGNASKHSEPDAQFFIQHLIRKLGSEPYIGQRALFLVSQRISVLAENFLFTDPFDDAFTNMHQCMFMLIQLTEFLVSDYLSEWSKDEGFDTMVFEEWVASMVHARKALQVLESRNGVYVLYMERVIGELARHVGLNMSLHKLKREILDSLLFHH >ONH95017 pep chromosome:Prunus_persica_NCBIv2:G7:8262264:8267589:1 gene:PRUPE_7G046600 transcript:ONH95017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLALMDKVLEVDKEKKRVRVQTGIRVQELVDGIKEHGLTLQNFASIREQQIGGILQVGAHGTGPKLPPMDEQVISMKLVTPAKGTIEISKEKDPELFYLAQCGLGGLGVVAEVTIQCVERQELVEHTSISNMEEIKKNQKKLLSENKHGKYLYIPYSDTVVVVTCNLYQNGKVPQSSSPNIAATKPYSTSEISTRNASRSSDPVKNDVDINELSFTELRDKLHVDKDHVVKVNQAEAEFWRKSEGYRVGWSDEILGFDCGGQTVGFRNLFSSWNPFQTKLERP >ONH95015 pep chromosome:Prunus_persica_NCBIv2:G7:8262054:8267021:1 gene:PRUPE_7G046600 transcript:ONH95015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPRLPRQHLTSDFDGQCNGWCKLVIFRNVGLSSPSASSSASELRKYLGYTALVLFFAAATYYSFPFPENAKHKKALIFRYAPLPEELHTVSTGAGPTRAGMVNLALMDKVLEVDKEKKRVRVQTGIRVQELVDGIKEHGLTLQNFASIREQQIGGILQVGAHGTGPKLPPMDEQVISMKLVTPAKGTIEISKEKDPELFYLAQCGLGGLGVVAEVTIQCVERQELVEHTSISNMEEIKKNQKKLLSENKHGKYLYIPYSDTVVVVTCNLYQNGKVPQSSSPNIAATKPYSTSEISTRNASRSSDPVKNDVDINELSFTELRDKLHVDKDHVVKVNQAEAEFWRKSEGYRVGWSDEILGFDCGGQTVGFRNFLKDLEYIEDVKQLIEKNEVPAPAPIEQRWTACTKSPMSPASSTKDDDIFSWVGIIMYLPTMNARQRKEITEEFFHYRRLTQVQLWDKYSAYEHWAKIEVPKDKEELASLQTRLRKGFPVDAYNKARKELDPSRILSNNKLEKLLPLSDTI >ONH95016 pep chromosome:Prunus_persica_NCBIv2:G7:8262054:8265750:1 gene:PRUPE_7G046600 transcript:ONH95016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPRLPRQHLTSDFDGQCNGWCKLVIFRNVGLSSPSASSSASELRKYLGYTALVLFFAAATYYSFPFPENAKHKKALIFRYAPLPEELHTVSTGAGPTRAGMVNLALMDKVLEVDKEKKRVRVQTGIRVQELVDGIKEHGLTLQNFASIREQQIGGILQVGAHGTGPKLPPMDEQVISMKLVTPAKGTIEISKEKDPELFYLAQCGLGGLGVVAEVTIQCVERQELVEHTSISNMEEIKKNQKKLLSENKHGKYLYIPYSDTVVVVTCNLYQNGKVPQSSSPNIAATKPYSTSEISTRNASRSSDPVKNDVDINELSFTELRDKLHVDKDHVVKVNQAEAEFWRKSEGYRVGWSDEILGFDCGGQTVGFRNLFSSWNPFQTKLERP >ONH98293 pep chromosome:Prunus_persica_NCBIv2:G7:20740121:20742857:-1 gene:PRUPE_7G241100 transcript:ONH98293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPNQIQIDLGNLMAFDPHHHFPSIPSSREELVKECLTKGTELVQSIADKLFNLPSTEDIDGPLVQLPRPETKLPREKHLPVPKPPTKWEVFAKAKGIKNRKRDKVAYDEPSQSWKRRFGYDRANDENSVPIIEAKMTDVPGEDPFAKRQDEKKKRVEKQDKNRLQNLKQAAKVGALPSHVQLAATRLPITGTQAAPKKVTKDELGNVAGIAATATASGGKFDKKLPGEKPSKHKGKFRKFLPVVEGKGKHSQEKEQTEKVLNKLMSKNSHEILNVDKAVNMYNVKKDKKRKNRPEKSPASSSKLKPKKNLHKKPLKKGSSSKSKGK >ONH98561 pep chromosome:Prunus_persica_NCBIv2:G7:21404056:21405460:1 gene:PRUPE_7G254600 transcript:ONH98561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSVTTPPGPPRILYNHHHPNPKSITHLTRRSLLLSAPLSLTLQPPPSSAAASPPPPDTTITDRVFMDFSLCPTYFRPLSSADSKPTLCPDSVPLGRLVLGLYGHHVPLTVSNFKSMCTSSAYKGTLVHKLFPGQFFLAGHQGDKPGDVRRPTGLARNTETVDSKAFALTHSRPGLLSLNLSENDDEDDIKLDPDYRNVEFLITSGPGPCPDLDYKNIVFGSVLEGLDVVTAIAAIPTYRPSEKIQQFNDFAEFLGDERAMNARALWNKPLKTVYISDCGLASFSGRK >ONH98562 pep chromosome:Prunus_persica_NCBIv2:G7:21404056:21405460:1 gene:PRUPE_7G254600 transcript:ONH98562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSVTTPPGPPRILYNHHHPNPKSITHLTRRSLLLSAPLSLTLQPPPSSAAASPPPPDTTITDRVFMDFSLCPTYFRPLSSADSKPTLCPDSVPLGRLVLGLYGHHVPLTVSNFKSMCTSSAYKGTLVHKLFPGQFFLAGHQGDKPGDVRRPTGLARNTETVDSKAFALTHSRPGLLSLNLSENDDEDDIKLDPDYRNVEFLITSGPGPCPDLDYKNIVFGSVLEGLDVVTAIAAIPTYRPSEKIQQFNDFAEFLGDERAMNARALWNKPLKTVYISDCGELNVAKPSLSPPSLP >ONH96224 pep chromosome:Prunus_persica_NCBIv2:G7:13989861:13993699:1 gene:PRUPE_7G114300 transcript:ONH96224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLHTTRSWDFLDLPIKLKKGNAQIQSNIVVGVLDTGIYLDGPSFNDTGYGPPPSKWKGKCVKGDNFKGCNNKVIGAKYFNLDSNHPWSGKLSPVDDEGHGTHTASTIAGIPVQGASVYGIAKGTARGGAPLSRIAVYKVCWPFTGCSDIDMLAAFDEAIADGVDLISISIGGPSRSFWEDPIAIGSFHAMKKGIFVSCSAGNDGPSEGTVQNVAPWVTTVAANTIDRELKTVVKLGNGKRFSGNALNTYTLKKQMYPLTSGTLASNKSENSYGNASACDSSTLNADKVKGRIVYCLGSSGQDFTIQRLRGAGTLMTQYELEDYAYSPVIPGTGILVKDGIKIDQYINSTKNPMAVIYKTRTVKTPDAPNIASFSARGPQRITPNILKPDLSAPGINILAAYSRLTSISGDPEDKRFSLFNMMSGTSMACPHVTAAAAYVKSFHPDWSPAAIKSALMTTATPINTRVGGSTLGTGAGQVDPKKAAHPGLIYDITVDDYISFLCKQGYNSTNIGILGGKKNVSCSDYKPPRGTDGLNYPSMHLHQQANDTPVKAVFYRRVTNVGYGSSVYKVNLIMPKFFSITVTPSTLKFTRSHQKRSFKVVVSGGTPTAGVPVSAVLEWGDHKHSVRSLITLYKDPS >ONH96223 pep chromosome:Prunus_persica_NCBIv2:G7:13989861:13993699:1 gene:PRUPE_7G114300 transcript:ONH96223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLQNLLVIVLSTYVLATLAQETTQDGFEARKPYIVYMGELPGAETSAMARHHNLLVQAIGDEKIARASRIHSYGRTFNGFAARLLPHEAKALAEEDSVVSVFPNTMRQLHTTRSWDFLDLPIKLKKGNAQIQSNIVVGVLDTGIYLDGPSFNDTGYGPPPSKWKGKCVKGDNFKGCNNKVIGAKYFNLDSNHPWSGKLSPVDDEGHGTHTASTIAGIPVQGASVYGIAKGTARGGAPLSRIAVYKVCWPFTGCSDIDMLAAFDEAIADGVDLISISIGGPSRSFWEDPIAIGSFHAMKKGIFVSCSAGNDGPSEGTVQNVAPWVTTVAANTIDRELKTVVKLGNGKRFSGNALNTYTLKKQMYPLTSGTLASNKSENSYGNASACDSSTLNADKVKGRIVYCLGSSGQDFTIQRLRGAGTLMTQYELEDYAYSPVIPGTGILVKDGIKIDQYINSTKNPMAVIYKTRTVKTPDAPNIASFSARGPQRITPNILKPDLSAPGINILAAYSRLTSISGDPEDKRFSLFNMMSGTSMACPHVTAAAAYVKSFHPDWSPAAIKSALMTTATPINTRVGGSTLGTGAGQVDPKKAAHPGLIYDITVDDYISFLCKQGYNSTNIGILGGKKNVSCSDYKPPRGTDGLNYPSMHLHQQANDTPVKAVFYRRVTNVGYGSSVYKVNLIMPKFFSITVTPSTLKFTRSHQKRSFKVVVSGGTPTAGVPVSAVLEWGDHKHSVRSLITLYKDPS >ONH97545 pep chromosome:Prunus_persica_NCBIv2:G7:18531618:18532830:-1 gene:PRUPE_7G195900 transcript:ONH97545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKLTGKTQIKKPSSKVFDDDKVQKPPKEEVNEWPHGLLTIGTLGNSDLKEDQQSNPPPSPKNHPHGFTPEEVRNIQNELNSYLNEEADHQSNSGTELEKVPNFLPLDKFLNRQSSLKAERNGNNADCDEPKENGSRFQRSGNVVLSRGKDVCLENTNTAIGKKSLSFLLKKVCVCRSGFAPAAAPGLRDPILESRMEKILKAILHKKIYPKSSSASTMSMKKYLENGHNIAKSANDEEINIDKEDEGSKWVKTDSEYIVLEI >ONH94953 pep chromosome:Prunus_persica_NCBIv2:G7:7784050:7786869:1 gene:PRUPE_7G041900 transcript:ONH94953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLYMVATGDGVNLTVLVAYRMCFGAAVMYVLSIIFERDCITKLTWMTLLKGFFCRLFGGSLSTNLYIESLSLTSPTYTVAMLNLVPVITFILAVIFRLEKLSLQTTTGKVKIIGIVMGIGGAMVFNLYRGKEINMWSTHVDLLHTHGEPHTASHKSPQGLLWGCMLSLGGCVSYALWFLVQENQIKNFPYPYSSTALTSLMASIQSVIFAFCVERDWKQWKLVLMASYSGILISGLALILMTWGVKKEGPFFVSVFQPVLLVMVALAGSFLLDEKLHMGSILGGLLIVVGLYAILWASSKDKSDSQPTSTEEMFIHSSIAHTEAHAEIDDGDSPSL >ONH94952 pep chromosome:Prunus_persica_NCBIv2:G7:7784050:7786869:1 gene:PRUPE_7G041900 transcript:ONH94952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILVLIFVQLLFTVMNLLYMVATGDGVNLTVLVAYRMCFGAAVMDCITKLTWMTLLKGFFCRLFGGSLSTNLYIESLSLTSPTYTVAMLNLVPVITFILAVIFRLEKLSLQTTTGKVKIIGIVMGIGGAMVFNLYRGKEINMWSTHVDLLHTHGEPHTASHKSPQGLLWGCMLSLGGCVSYALWFLVQENQIKNFPYPYSSTALTSLMASIQSVIFAFCVERDWKQWKLVLMASYSGILISGLALILMTWGVKKEGPFFVSVFQPVLLVMVALAGSFLLDEKLHMGSILGGLLIVVGLYAILWASSKDKSDSQPTSTEEMFIHSSIAHTEAHAEIDDGDSPSL >ONH94954 pep chromosome:Prunus_persica_NCBIv2:G7:7784050:7786869:1 gene:PRUPE_7G041900 transcript:ONH94954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLYMVATGDGVNLTVLVAYRMCFGAAVMDCITKLTWMTLLKGFFCRLFGGSLSTNLYIESLSLTSPTYTVAMLNLVPVITFILAVIFRLEKLSLQTTTGKVKIIGIVMGIGGAMVFNLYRGKEINMWSTHVDLLHTHGEPHTASHKSPQGLLWGCMLSLGGCVSYALWFLVQENQIKNFPYPYSSTALTSLMASIQSVIFAFCVERDWKQWKLVLMASYSGILISGLALILMTWGVKKEGPFFVSVFQPVLLVMVALAGSFLLDEKLHMGSILGGLLIVVGLYAILWASSKDKSDSQPTSTEEMFIHSSIAHTEAHAEIDDGDSPSL >ONH97348 pep chromosome:Prunus_persica_NCBIv2:G7:17944561:17953865:1 gene:PRUPE_7G185100 transcript:ONH97348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNKSFVKRTRGGKITKVVREHYLRHDIYCGAPICKVCDTSQARLSPTPSTILIFDTNVVLNQIDLLENPAIDDVVVLSIVLEEVKNRNLSVYNRVRALCSNSLRKFFVFSNEHHKDTYVTEMSGESKNDRNDRAIRVAAQWYQSHLAGSARILLITNDKENKRKAIEEGISAETVESYVRSLSRPDLLDLLVQPASEDVNMEEVEDLRPSKRKIIYTEHKPMSEITSGLHRGIYHQGKLRVNRYNPFEAYVGSESIGDEIIIYGRTNMNRAFDGDIVAVELLPQDQWHEEKSLALADEEDEEEDVHLVPGSADDAPWTTAQPPGSAGVTESISSRPSGRVVGIIKRNWHSYCGSLEPMAMPAGSGGVAHALFASKDRRIPKIRIHTRQLENLLDKRIVVAVDSWDRLSRYPSGHYVRTIGQIGDRDTETEVVLIENDINTRPFSSQVLACLPPLPWSVSSEDLANSIRQDLRQLRVFSVDPPGCKDIDDALQCTSLPNGNYEVGVHIADVTNFVHSDTPLDDEASQRGTSVYLVERRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPEAEIISTRYTKSVIKSCAALSYIEAQARMDDSRLMDPLTTDLRNMNALAKIMRERRIQRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAEKILKHFPLCSLLRRHPTPTREMLEPLLRTAAAVGLNLDVSSSKALADSLDSAVADDPYFNKLIRILATRCMTQAVYFSSGDLSPPEYLHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLGIQKLPAIFQDGTRLTSIADNLNYRHRNAQMASRASVELHTLIFFRKRPTDTEARIVRIRSNGFFVFVPKYGIEGPVYLTPRGDKEGGEWFVDEQQQKIRKMDGSMSYSVLQTVFIHLEIVEPQPNRPKLQLTLV >ONH98301 pep chromosome:Prunus_persica_NCBIv2:G7:20746475:20748920:-1 gene:PRUPE_7G241300 transcript:ONH98301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSESPVVVVLSESMEPGFARGDILFLHMSEDPIRAGEIVVFNVDGRDIPIVHRVIKVHERKDTGEVYVLTKGDNNEEDDRALYARGQHWLQRRHIMGRAVGYYFLIFTIFFELLGFCLMLDG >ONH98300 pep chromosome:Prunus_persica_NCBIv2:G7:20746475:20749169:-1 gene:PRUPE_7G241300 transcript:ONH98300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFGETMDSVKSIQIRQALSQALTLGLIVTSALIIWKGLICMTGSESPVVVVLSESMEPGFARGDILFLHMSEDPIRAGEIVVFNVDGRDIPIVHRVIKVHERKDTGEVYVLTKGDNNEEDDRALYARGQHWLQRRHIMGRAVGYYFLIFTIFFELLGFCLMLDG >ONH98296 pep chromosome:Prunus_persica_NCBIv2:G7:20745255:20749483:-1 gene:PRUPE_7G241300 transcript:ONH98296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFGETMDSVKSIQIRQALSQALTLGLIVTSALIIWKGLICMTGSESPVVVVLSESMEPGFARGRDIPIVHRVIKVHERKDTGEVYVLTKGDNNEEDDRALYARGQHWLQRRHIMGRAVGFLPYVGWVTIIMTERPIIKYVLIGALGLLVITSKD >ONH98298 pep chromosome:Prunus_persica_NCBIv2:G7:20745255:20749483:-1 gene:PRUPE_7G241300 transcript:ONH98298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSESPVVVVLSESMEPGFARGRDIPIVHRVIKVHERKDTGEVYVLTKGDNNEEDDRALYARGQHWLQRRHIMGRAVGFLPYVGWVTIIMTERPIIKYVLIGALGLLVITSKD >ONH98297 pep chromosome:Prunus_persica_NCBIv2:G7:20746078:20749169:-1 gene:PRUPE_7G241300 transcript:ONH98297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFGETMDSVKSIQIRQALSQALTLGLIVTSALIIWKGLICMTGSESPVVVVLSESMEPGFARGDILFLHMSEDPIRAGEIVVFNVDGRDIPIVHRVIKVHERKDTGEVYVLTKGDNNEEDDRALYARGQHWLQRRHIMGRAVGFLPYVGWVTIIMTERPIIKYVLIGALGLLVITSKD >ONH98299 pep chromosome:Prunus_persica_NCBIv2:G7:20746078:20748920:-1 gene:PRUPE_7G241300 transcript:ONH98299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSESPVVVVLSESMEPGFARGDILFLHMSEDPIRAGEIVVFNVDGRDIPIVHRVIKVHERKDTGEVYVLTKGDNNEEDDRALYARGQHWLQRRHIMGRAVGFLPYVGWVTIIMTERPIIKYVLIGALGLLVITSKD >ONH96055 pep chromosome:Prunus_persica_NCBIv2:G7:13389936:13392124:-1 gene:PRUPE_7G105000 transcript:ONH96055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNGVFVPGLLKNVCYLSVHIGSFLDILVPEMVSMFGGTCNLRTLEIKSDPQFLDLKTDCSGYNMGYWRLQNLAFIPHLKEVTIELSNGSNGIELAAYMLEYAQNLKKMVIVHSPQQCSVIRKLNKSKRISSATVVFQEDQQRGNKKQRLR >ONH95272 pep chromosome:Prunus_persica_NCBIv2:G7:9997042:9997748:1 gene:PRUPE_7G060700 transcript:ONH95272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSEQVTTMIKLITHENQNSPVNPTHLPPKLVRIILTDADATDSSSDEEDSGVRRVKRHKLGSRSPGSDQTRLNKFRGVRRRPWGRWAAEIRDPTRRKRVWLGTFDTAEEAATVYDRAAVLLKGPDAVTNFPNAAMTEAKVVVGVGVLPTSVSAPRFQRTFSAIQSILNTKLILMIFIAKLNENYKYSQKKKT >ONH97890 pep chromosome:Prunus_persica_NCBIv2:G7:19571889:19574255:-1 gene:PRUPE_7G216600 transcript:ONH97890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFILMVNKQGQTRLAQYYEYLTLEERRALEAEIVRKCLARTEQQCSFVEHRNYKIVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKSSILAPIQLMDKMS >ONH94206 pep chromosome:Prunus_persica_NCBIv2:G7:453217:457465:-1 gene:PRUPE_7G004300 transcript:ONH94206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQLLVGPIPISGGNVSPGAGGFYSNFSHLFSLPCHFQRRRRGRTRTRRWTPQSTSSSWSSSSSSSSWAMINGQQTHYGVLGLSRHATSSEIKRAYRLLARKYHPDVSKDSQAEEVFKSIRQAYEILSNEATRTQYDQELKFQKDTGRKYSGKWSYSPEFENGVRIYKWAEVRQKMQQERHWERYHVNEESSSYGKTDEATEEGEAVQERGSFSEVLRSAFVSLFLLQIFGSRLSLTFSSLMALFDRKLDAGYKIGYVIAWVLGGRGGILLTLCLSFASWVCGKTSSNIVALVVIAMWVGSNLARFAPLPQGALLTLLYMSIKLQVDLN >ONH96026 pep chromosome:Prunus_persica_NCBIv2:G7:13228774:13230820:1 gene:PRUPE_7G102700 transcript:ONH96026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKTREPKEENVTLGPALRDGEHAFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMRIGRIGCDSNSH >ONH96025 pep chromosome:Prunus_persica_NCBIv2:G7:13228675:13231401:1 gene:PRUPE_7G102700 transcript:ONH96025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKTREPKEENVTLGPALRDGEHAFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMRIGRIEDVTPIPTDSTRRKGGRRGRRL >ONH94195 pep chromosome:Prunus_persica_NCBIv2:G7:418823:427061:1 gene:PRUPE_7G003800 transcript:ONH94195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGVRRSKRLDDLQPSSQPPIGQAENCVLPAQNKTRRRAGGGRGRGGNAVAKGPSPAIPTRATAAGRGRGVRLIDLDPEPCEVRPEAAALGAAEPIYNRVEVVADKDIAMEGGASADKIMGGEEEAGGTPVPDRVQVGNSPVYKVERKLGKGGFGQVYVGRRVSGGTDRTGPDAIEVALKFEHRNSKGCSYGAPYEWQVYSHLNGCYGIPWVHYKGRQGDFYIMVMDILGPSLWDLWNSVGQSMSPNTAACIAVEAISILEKLHLKGFVHGDVKPENFLLGQSGTPDEKKLYLIDLGLASKWKEASGQHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPPPFKQFLEAVTNMKFDEEPNYAKLISLFESLIEPCMPLRPIRIDGALKVGQKRARMSINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADTRLSQHVDKGNEDGLFISSVASAANLWALIMDAGTGFSSQVYELSTVFLHKDWIMEQWEKNFYISSIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGIRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWESGYRITSMAATTDQAAFILSIPKRKLMDETQETLRTSAFPSSHVKEKWSKNLYIASICYGRTVC >ONH98333 pep chromosome:Prunus_persica_NCBIv2:G7:20857666:20861289:1 gene:PRUPE_7G243800 transcript:ONH98333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTVLLHGVLHTTIYEVDRLMADGCCIFFCKLLKKSVEFGKGSKFYATIDLENARVGRTRLLENATQNPRWRESFHIYCAHKASNVVFSIKEDNAIGAKVIGRAYMPAAELLNGEEVDRWLKILDNNNKPLHGGSKIHVKLCFSSVNEDPNWSRGIRSPEFPGVPYTFFTQRNGCRVTLYQDAHVPDNFIPKIPLAGAKCYEPHRCWEDVFDAISNAKHLIYIAGWSVCTSITLKRDPKRQKPGEDLTLGELLKKKANEGVQVLMLVWDDRTSVRLLKRDGVMATHDEDTESYFHHTKVHCVLCPRNPDNGQSIIQDLEVSTMFTHHQKIVVVDSELPNGGLEKRRIVSFIGGIDLCDGRYDTPSHPIFRTLGTTHKNDLHQPNFAGASITKGGPREPWHDIHCRLEGPIAWDVLFNFEQRWRKQGGKDLLVELRELDDAFIPPSPVIFPQDHETWNVQLFRSIDGGAAFGFPDSPEDAARAGLVSGKDHVIDRSIQDAYINAIRRAKSSIYIENQYFLGSSFSWHSDHTIKVEEVGALHLIPKELSLKIVSKIEAGERFTVYIVMPMWPEGIPESQSVQAILHWQKMTMEMMYKDIVQALQAKGLEANARDYLTFFCLGNREKKRSGEYEPPEKPEHDTDYSRAQQARRFMIYVHAKVMIVDDEYIIIGSANINQRSMDGARDTEIAMGAYQPYHLSTREAARGQIHGLRLALWYEHLGLLDDTFLEPESVKCIRKVNQIAEKHWDLFSCETLDGDLPGHLLSYPIRVAAANGEITELPGTEFFPDTKARVLGSKSELLPSILTT >ONH95236 pep chromosome:Prunus_persica_NCBIv2:G7:9769463:9773619:-1 gene:PRUPE_7G058300 transcript:ONH95236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSDPGPKTESGGGGGAGAEASEAVTASDQLLLYRGLKKAKKERGCTAKERISKMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNMSREEYLASLRRKSSGFSRGISKYRGLSSRWEPSLGRMGGSEYFNSIHYGTGDDPATESEFLGAFCIERKFDLTSYIKWWGTNKSRQADTSTKSSEETKHGFVGDIGVELKTLEWEIQPTEPYQIPRLGISRVSKKHKGARVSAMSILSRSAAYKNLQENASKKQENNADNDENENKNTIHKMDYGKAVEKSTSHDERLSAALGMSSGLSLQVQRNAFPLTPFLSAPLMTNYNTIDPLVDPILWTSLAPVLPSGISRTAEITKTETSSTFTLFRPEE >ONH97330 pep chromosome:Prunus_persica_NCBIv2:G7:17861662:17865859:1 gene:PRUPE_7G184200 transcript:ONH97330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWLLVLMVFYNGVASNRGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGVVEALRFMEKDTVAIIGPENAVTAHIISHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAGWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTSGQTSKGKLGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGITGPVKFTPDRNLIHPAFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNESLYGVIWPGQTTQKPRGWVFPNNGRNLKIGVPKRVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKFIPFGDGVKNPTSTELVHKIQTGEFDGAIGDIAIITNLTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPMMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTGELNVDESRLVPLIMPDDYAKALKDGPQRGGVAAVIDERTYIELFLSSRCDFSIVGQEFTKTGWGFAFARDSPLSVDMSTAILKLSDNGDLRRIHDKWLISSSCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIYFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRQMERISNRSASEDESMYNSKRRHIDQSSSRMSLDNGNNA >ONH97332 pep chromosome:Prunus_persica_NCBIv2:G7:17860987:17865899:1 gene:PRUPE_7G184200 transcript:ONH97332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWLLVLMVFYNGVASNRGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGVVEALRFMEKDTVAIIGPENAVTAHIISHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAGWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTSGQTSKGKLGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGITGPVKFTPDRNLIHPAFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNESLYGVIWPGQTTQKPRGWVFPNNGRNLKIGVPKRVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKFIPFGDGVKNPTSTELVHKIQTGEFDGAIGDIAIITNLTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPMMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTGELNVDESRLVPLIMPDDYAKALKDGPQRGGVAAVIDERTYIELFLSSRCDFSIVGQEFTKTGWGFAFARDSPLSVDMSTAILKLSDNGDLRRIHDKWLISSSCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIYFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRQMERISNRSASEDESMYNSKRRHIDQSSSRMSLDNGNNA >ONH97331 pep chromosome:Prunus_persica_NCBIv2:G7:17861080:17865815:1 gene:PRUPE_7G184200 transcript:ONH97331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWLLVLMVFYNGVASNRGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGVVEALRFMEKDTVAIIGPENAVTAHIISHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAGWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTSGQTSKGKLGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGITGPVKFTPDRNLIHPAFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNESLYGVIWPGQTTQKPRGWVFPNNGRNLKIGVPKRVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKFIPFGDGVKNPTSTELVHKIQTGEFDGAIGDIAIITNLTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPMMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTGELNVDESRLVPLIMPDDYAKALKDGPQRGGVAAVIDERTYIELFLSSRCDFSIVGQEFTKTGWGFAFARDSPLSVDMSTAILKLSDNGDLRRIHDKWLISSSCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIYFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRQMERISNRSASEDESMYNSKRRHIDQSSSRMSLDNGNNA >ONH97329 pep chromosome:Prunus_persica_NCBIv2:G7:17860880:17865923:1 gene:PRUPE_7G184200 transcript:ONH97329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWLLVLMVFYNGVASNRGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGVVEALRFMEKDTVAIIGPENAVTAHIISHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAGWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTSGQTSKGKLGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGITGPVKFTPDRNLIHPAFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNESLYGVIWPGQTTQKPRGWVFPNNGRNLKIGVPKRVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKFIPFGDGVKNPTSTELVHKIQTGEFDGAIGDIAIITNLTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPMMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTGELNVDESRLVPLIMPDDYAKALKDGPQRGGVAAVIDERTYIELFLSSRCDFSIVGQEFTKTGWGFAFARDSPLSVDMSTAILKLSDNGDLRRIHDKWLISSSCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIYFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRQMERISNRSASEDESMYNSKRRHIDQSSSRMSLDNGNNA >ONH97333 pep chromosome:Prunus_persica_NCBIv2:G7:17861080:17865845:1 gene:PRUPE_7G184200 transcript:ONH97333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWLLVLMVFYNGVASNRGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGVVEALRFMEKDTVAIIGPENAVTAHIISHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAGWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTSGQTSKGKLGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGITGPVKFTPDRNLIHPAFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNESLYGVIWPGQTTQKPRGWVFPNNGRNLKIGVPKRVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKFIPFGDGVKNPTSTELVHKIQTGEFDGAIGDIAIITNLTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPMMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTGELNVDESRLVPLIMPDDYAKALKDGPQRGGVAAVIDERTYIELFLSSRCDFSIVGQEFTKTGWGFAFARDSPLSVDMSTAILKLSDNGDLRRIHDKWLISSSCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIYFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRQMERISNRSASEDESMYNSKRRHIDQSSSRMSLDNGNNA >ONH94572 pep chromosome:Prunus_persica_NCBIv2:G7:3603180:3604255:1 gene:PRUPE_7G023200 transcript:ONH94572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKFKLRNPFSLKPSRCLLLFHPSPLLSPPPFIATLVFSLSLSNTPFSPLFYCYFICSRKSKQRKHMWAEDTTLASRSTREGICFKFSYMYGIPFLL >ONH94173 pep chromosome:Prunus_persica_NCBIv2:G7:282244:306726:1 gene:PRUPE_7G002300 transcript:ONH94173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKYAMANAPLSEFGVLVAQLESIVASASQQPPEALLCFDLLSDLISAIDEEPKESILLWQRRCEDALYSLLILGARRPVRHLTSVAMARVIAKGDSISIYSRASSLQGFLSDGRRNEPQKVAGAAQCLGELYRHFGRRITSGLLETTIIATKLIKFHEEFVRQEALYMLQNALEGSGGNAGSSAYTEAYRIIMRFAVGDKSFLVRIAAARCLKAFAIIGGPGLGVAELDSSASYCVKALEDPVSSVRDAFAEALGSLLALGMNPHAQVQLRGKRPFPPAKKLEGGLHRHLALPFTKVGARSKDVRVGITLSWVFFLQAIRLKYMHPDSELQNYAIQVMDMLRSDSSVDAYALACVLYILRVGVTDQMTEPTQRSFLGFLGNQLMSLDASPSMKIAALRTASYTLKTLGEVPVEFKEVLDNTVVAAVSHSSQLVRIEAALTLRALAEVDPTCVGGLISYGVTMLNALRENVSYEKGSTLQLELDSLHGQATVLAALVSISPKLPLGFPARLPRSILEVSKKMINESSRNPLAATIEKEAGWLLLSSLLASMPKKELEDQVFDILSLWASLFTGNPDDETTQTGDLICRIRMWSAAIDALTAFLKCFLSPNDVNNGILVQPILVYLSRALSYISLIAAKELPNVKPALDIFIVRTLIAYQSLPDPMAYKNDHPLVLQICTSPFIEASGCEESTCLRFLLDKRDAWLGPWIPGRDWFEDELRAFQGGRDGLMPCVWENDVSSFPQPEPVNKTLVNQMLLCFGLMFASQDSGGMLSLLGTIEQCLKAGKKQPWHVASITNICVGLLSGFKALLSLRLQPLSLEILNSAQAIFQSILAEGDICPSQRRASSECLGLLARLGNDIFTARMTRSMLGDLTGATDSTYAGSIAFALGCIHRSAGGMALSTLVPSTVSSISLLSKSSIAGLQIWSLHGLLLTIEAAGLSYVSHVQAVLGLALDILLSEENGWVALQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVVSEISSGQETATILESVRFTQQLVLFAPQAVSVHTHVQTLLPTLSSRQPALRHLAVSTLRHLIEKDPVSIVVEQIEEKLFHMLDEETDSEIGDLVRTTIMRLLYASCPSCPSHWISICRNAILATSMRRNANSSNSLENDPSKGTDGDPSLNFGEDDENMVSGATGMPHGFLNRDKHLRYRTRVFAAECLSYLPSAVGKNPVHFDLCAARSQPTNGQASGDWLVLHIQELIALAYQISTIQFENMQPIGVGLLSTITDKFEKTPDPELPGHLLLEQYQAQLVSAVRTALDSSSGPILLEAGFQLATKILTSGIIKGDRIAVKRIYSLISRPLNDFKDLYYPSFAEWVSCKIKIRLLAAHASLKCYTYAFLRRDHSMVPDEYVALLPLFSKSSSVLGKYWIRVLKDYSYVFLCLHLKTKWNPFLDGIQSPLVSLKLQPCLEESWPVILQAIALDAVPVNLEENEYSKSTTENTSRDSLLSEHSMVELESEEYQFLWGFALLVLFQGQYSTLGEPKNPISLIKASNGGNSATEELYSPGIKLYEIALPVFQFLSTKRFASAGFLTMDICRELLQVFSYSMCMDNSWDSLSVPVISQTQLVSAALAFLLIGYKGIREASTEFCFSKVDEFFKCTGLLLKRFIDDKSGVGEDGILHMRKILRTCLNVITDLTKDCIKCIHLQENKSSDLHILQQTKLAFSLQQIISFAKLGYEMDYLEDNTDGDLVYYTMFKYCTKRVQTVLSDSNKQVQTIGLQVLKGLVQKSTNVEDSTFSMLFVGELAADFFVIIQNTLKKPVTEKSATVAGECLRLLVVLQTLSKSSECQRGFMNLLLEAVVVVFKASEEGSSQEINTLRSTAVRLVSHLAQVPSSAVHFKDVLLSMPVAHRQQLQGFIRASVTQEHNATQMKSTTPSLEIKLPVQTEASKEKPPPPSATTTRSISDDQRIEEEEEDEDDWEAFQSFPATTNAAESESEVESKMEEPDLGETVSVLEVNIGSDYNDGDSILEPLHNVKVVNETGHQEAGEGEVISDTPDGMKFPQGGVIEPCGDQHRERDEEVVCRQEGTVAGPDQMTEHMPSELNPIEHAELSVGVNIVDHQVQGKGKPDDKPVQGKEELNDKREDKEEAAIRSYSLEQHEESSKVEDSLETIDDGAKLKL >ONH94172 pep chromosome:Prunus_persica_NCBIv2:G7:282244:306726:1 gene:PRUPE_7G002300 transcript:ONH94172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKYAMANAPLSEFGVLVAQLESIVASASQQPPEALLCFDLLSDLISAIDEEPKESILLWQRRCEDALYSLLILGARRPVRHLTSVAMARVIAKGDSISIYSRASSLQGFLSDGRRNEPQKVAGAAQCLGELYRHFGRRITSGLLETTIIATKLIKFHEEFVRQEALYMLQNALEGSGGNAGSSAYTEAYRIIMRFAVGDKSFLVRIAAARCLKAFAIIGGPGLGVAELDSSASYCVKALEDPVSSVRDAFAEALGSLLALGMNPHAQVQLRGKRPFPPAKKLEGGLHRHLALPFTKVGARSKDVRVGITLSWVFFLQAIRLKYMHPDSELQNYAIQVMDMLRSDSSVDAYALACVLYILRVGVTDQMTEPTQRSFLGFLGNQLMSLDASPSMKIAALRTASYTLKTLGEVPVEFKEVLDNTVVAAVSHSSQLVRIEAALTLRALAEVDPTCVGGLISYGVTMLNALRENVSYEKGSTLQLELDSLHGQATVLAALVSISPKLPLGFPARLPRSILEVSKKMINESSRNPLAATIEKEAGWLLLSSLLASMPKKELEDQVFDILSLWASLFTGNPDDETTQTGDLICRIRMWSAAIDALTAFLKCFLSPNDVNNGILVQPILVYLSRALSYISLIAAKELPNVKPALDIFIVRTLIAYQSLPDPMAYKNDHPLVLQICTSPFIEASGCEESTCLRFLLDKRDAWLGPWIPGRDWFEDELRAFQGGRDGLMPCVWENDVSSFPQPEPVNKTLVNQMLLCFGLMFASQDSGGMLSLLGTIEQCLKAGKKQPWHVASITNICVGLLSGFKALLSLRLQPLSLEILNSAQAIFQSILAEGDICPSQRRASSECLGLLARLGNDIFTARMTRSMLGDLTGATDSTYAGSIAFALGCIHRSAGGMALSTLVPSTVSSISLLSKSSIAGLQIWSLHGLLLTIEAAGLSYVSHVQAVLGLALDILLSEENGWVALQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVVSEISSGQETATILESVRFTQQLVLFAPQAVSVHTHVQTLLPTLSSRQPALRHLAVSTLRHLIEKDPVSIVVEQIEEKLFHMLDEETDSEIGDLVRTTIMRLLYASCPSCPSHWISICRNAILATSMRRNANSSNSLENDPSKGTDGDPSLNFGEDDENMVSGATGMPHGFLNRDKHLRYRTRVFAAECLSYLPSAVGKNPVHFDLCAARSQPTNGQASGDWLVLHIQELIALAYQISTIQFENMQPIGVGLLSTITDKFEKTPDPELPGHLLLEQYQAQLVSAVRTALDSSSGPILLEAGFQLATKILTSGIIKGDRIAVKRIYSLISRPLNDFKDLYYPSFAEWVSCKIKIRLLAAHASLKCYTYAFLRRDHSMVPDEYVALLPLFSKSSSVLGKYWIRVLKDYSYVFLCLHLKTKWNPFLDGIQSPLVSLKLQPCLEESWPVILQAIALDAVPVNLEENEYSKSTTENTSRDSLLSEHSMVELESEEYQFLWGFALLVLFQGQYSTLGEPKNPISLIKASNGGNSATEELYSPGIKLYEIALPVFQFLSTKRFASAGFLTMDICRELLQVFSYSMCMDNSWDSLSVPVISQIVKNCPESFYEVDNFAYLAMELCLAYLYKLFQSSASSLDKPWEDLISALFITAKTLVNCFQPKTQLVSAALAFLLIGYKGIREASTEFCFSKVDEFFKCTGLLLKRFIDDKSGVGEDGILHMRKILRTCLNVITDLTKDCIKCIHLQENKSSDLHILQQTKLAFSLQQIISFAKLGYEMDYLEDNTDGDLVYYTMFKYCTKRVQTVLSDSNKQVQTIGLQVLKGLVQKSTNVEDSTFSMLFVGELAADFFVIIQNTLKKPVTEKSATVAGECLRLLVVLQTLSKSSECQRGFMNLLLEAVVVVFKASEEGSSQEINTLRSTAVRLVSHLAQVPSSAVHFKDVLLSMPVAHRQQLQGFIRASVTQEHNATQMKSTTPSLEIKLPVQTEASKEKPPPPSATTTRSISDDQRIEEEEEDEDDWEAFQSFPATTNAAESESEVESKMEEPDLGETVSVLEVNIGSDYNDGDSILEPLHNVKVVNETGHQEAGEGEVISDTPDGMKFPQGGVIEPCGDQHRERDEEVVCRQEGTVAGPDQMTEHMPSELNPIEHAELSVGVNIVDHQVQGKGKPDDKPVQGKEELNDKREDKEEAAIRSYSLEQHEESSKVEDSLETIDDGAKLKL >ONH94174 pep chromosome:Prunus_persica_NCBIv2:G7:282244:306726:1 gene:PRUPE_7G002300 transcript:ONH94174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKYAMANAPLSEFGVLVAQLESIVASASQQPPEALLCFDLLSDLISAIDEEPKESILLWQRRCEDALYSLLILGARRPVRHLTSVAMARVIAKGDSISIYSRASSLQGFLSDGRRNEPQKVAGAAQCLGELYRHFGRRITSGLLETTIIATKLIKFHEEFVRQEALYMLQNALEGSGGNAGSSAYTEAYRIIMRFAVGDKSFLVRIAAARCLKAFAIIGGPGLGVAELDSSASYCVKALEDPVSSVRDAFAEALGSLLALGMNPHAQVQLRGKRPFPPAKKLEGGLHRHLALPFTKVGARSKDVRVGITLSWVFFLQAIRLKYMHPDSELQNYAIQVMDMLRSDSSVDAYALACVLYILRVGVTDQMTEPTQRSFLGFLGNQLMSLDASPSMKIAALRTASYTLKTLGEVPVEFKEVLDNTVVAAVSHSSQLVRIEAALTLRALAEVDPTCVGGLISYGVTMLNALRENVSYEKGSTLQLELDSLHGQATVLAALVSISPKLPLGFPARLPRSILEVSKKMINESSRNPLAATIEKEAGWLLLSSLLASMPKKELEDQVFDILSLWASLFTGNPDDETTQTGDLICRIRMWSAAIDALTAFLKCFLSPNDVNNGILVQPILVYLSRALSYISLIAAKELPNVKPALDIFIVRTLIAYQSLPDPMAYKNDHPLVLQICTSPFIEASGCEESTCLRFLLDKRDAWLGPWIPGRDWFEDELRAFQGGRDGLMPCVWENDVSSFPQPEPVNKTLVNQMLLCFGLMFASQDSGGMLSLLGTIEQCLKAGKKQPWHVASITNICVGLLSGFKALLSLRLQPLSLEILNSAQAIFQSILAEGDICPSQRRASSECLGLLARLGNDIFTARMTRSMLGDLTGATDSTYAGSIAFALGCIHRSAGGMALSTLVPSTVSSISLLSKSSIAGLQIWSLHGLLLTIEAAGLSYVSHVQAVLGLALDILLSEENGWVALQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVVSEISSGQETATILESVRFTQQLVLFAPQAVSVHTHVQTLLPTLSSRQPALRHLAVSTLRHLIEKDPVSIVVEQIEEKLFHMLDEETDSEIGDLVRTTIMRLLYASCPSCPSHWISICRNAILATSMRRNANSSNSLENDPSKGTDGDPSLNFGEDDENMVSGATGMPHGFLNRDKHLRYRTRVFAAECLSYLPSAVGKNPVHFDLCAARSQPTNGQASGDWLVLHIQELIALAYQISTIQFENMQPIGVGLLSTITDKFEKTPDPELPGHLLLEQYQILTSGIIKGDRIAVKRIYSLISRPLNDFKDLYYPSFAEWVSCKIKIRLLAAHASLKCYTYAFLRRDHSMVPDEYVALLPLFSKSSSVLGKYWIRVLKDYSYVFLCLHLKTKWNPFLDGIQSPLVSLKLQPCLEESWPVILQAIALDAVPVNLEENEYSKSTTENTSRDSLLSEHSMVELESEEYQFLWGFALLVLFQGQYSTLGEPKNPISLIKASNGGNSATEELYSPGIKLYEIALPVFQFLSTKRFASAGFLTMDICRELLQVFSYSMCMDNSWDSLSVPVISQIVKNCPESFYEVDNFAYLAMELCLAYLYKLFQSSASSLDKPWEDLISALFITAKTLVNCFQPKTQLVSAALAFLLIGYKGIREASTEFCFSKVDEFFKCTGLLLKRFIDDKSGVGEDGILHMRKILRTCLNVITDLTKDCIKCIHLQENKSSDLHILQQTKLAFSLQQIISFAKLGYEMDYLEDNTDGDLVYYTMFKYCTKRVQTVLSDSNKQVQTIGLQVLKGLVQKSTNVEDSTFSMLFVGELAADFFVIIQNTLKKPVTEKSATVAGECLRLLVVLQTLSKSSECQRGFMNLLLEAVVVVFKASEEGSSQEINTLRSTAVRLVSHLAQVPSSAVHFKDVLLSMPVAHRQQLQGFIRASVTQEHNATQMKSTTPSLEIKLPVQTEASKEKPPPPSATTTRSISDDQRIEEEEEDEDDWEAFQSFPATTNAAESESEVESKMEEPDLGETVSVLEVNIGSDYNDGDSILEPLHNVKVVNETGHQEAGEGEVISDTPDGMKFPQGGVIEPCGDQHRERDEEVVCRQEGTVAGPDQMTEHMPSELNPIEHAELSVGVNIVDHQVQGKGKPDDKPVQGKEELNDKREDKEEAAIRSYSLEQHEESSKVEDSLETIDDGAKLKL >ONH94175 pep chromosome:Prunus_persica_NCBIv2:G7:282244:306726:1 gene:PRUPE_7G002300 transcript:ONH94175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKYAMANAPLSEFGVLVAQLESIVASASQQPPEALLCFDLLSDLISAIDEEPKESILLWQRRCEDALYSLLILGARRPVRHLTSVAMARVIAKGDSISIYSRASSLQGFLSDGRRNEPQKVAGAAQCLGELYRHFGRRITSGLLETTIIATKLIKFHEEFVRQEALYMLQNALEGSGGNAGSSAYTEAYRIIMRFAVGDKSFLVRIAAARCLKAFAIIGGPGLGVAELDSSASYCVKALEDPVSSVRDAFAEALGSLLALGMNPHAQVQLRGKRPFPPAKKLEGGLHRHLALPFTKVGARSKDVRVGITLSWVFFLQAIRLKYMHPDSELQNYAIQVMDMLRSDSSVDAYALACVLYILRVGVTDQMTEPTQRSFLGFLGNQLMSLDASPSMKIAALRTASYTLKTLGEVPVEFKEVLDNTVVAAVSHSSQLVRIEAALTLRALAEVDPTCVGGLISYGVTMLNALRENVSYEKGSTLQLELDSLHGQATVLAALVSISPKLPLGFPARLPRSILEVSKKMINESSRNPLAATIEKEAGWLLLSSLLASMPKKELEDQVFDILSLWASLFTGNPDDETTQTGDLICRIRMWSAAIDALTAFLKCFLSPNDVNNGILVQPILVYLSRALSYISLIAAKELPNVKPALDIFIVRTLIAYQSLPDPMAYKNDHPLVLQICTSPFIEASGCEESTCLRFLLDKRDAWLGPWIPGRDWFEDELRAFQGGRDGLMPCVWENDVSSFPQPEPVNKTLVNQMLLCFGLMFASQDSGGMLSLLGTIEQCLKAGKKQPWHVASITNICVGLLSGFKSILAEGDICPSQRRASSECLGLLARLGNDIFTARMTRSMLGDLTGATDSTYAGSIAFALGCIHRSAGGMALSTLVPSTVSSISLLSKSSIAGLQIWSLHGLLLTIEAAGLSYVSHVQAVLGLALDILLSEENGWVALQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVVSEISSGQETATILESVRFTQQLVLFAPQAVSVHTHVQTLLPTLSSRQPALRHLAVSTLRHLIEKDPVSIVVEQIEEKLFHMLDEETDSEIGDLVRTTIMRLLYASCPSCPSHWISICRNAILATSMRRNANSSNSLENDPSKGTDGDPSLNFGEDDENMVSGATGMPHGFLNRDKHLRYRTRVFAAECLSYLPSAVGKNPVHFDLCAARSQPTNGQASGDWLVLHIQELIALAYQISTIQFENMQPIGVGLLSTITDKFEKTPDPELPGHLLLEQYQAQLVSAVRTALDSSSGPILLEAGFQLATKILTSGIIKGDRIAVKRIYSLISRPLNDFKDLYYPSFAEWVSCKIKIRLLAAHASLKCYTYAFLRRDHSMVPDEYVALLPLFSKSSSVLGKYWIRVLKDYSYVFLCLHLKTKWNPFLDGIQSPLVSLKLQPCLEESWPVILQAIALDAVPVNLEENEYSKSTTENTSRDSLLSEHSMVELESEEYQFLWGFALLVLFQGQYSTLGEPKNPISLIKASNGGNSATEELYSPGIKLYEIALPVFQFLSTKRFASAGFLTMDICRELLQVFSYSMCMDNSWDSLSVPVISQIVKNCPESFYEVDNFAYLAMELCLAYLYKLFQSSASSLDKPWEDLISALFITAKTLVNCFQPKTQLVSAALAFLLIGYKGIREASTEFCFSKVDEFFKCTGLLLKRFIDDKSGVGEDGILHMRKILRTCLNVITDLTKDCIKCIHLQENKSSDLHILQQTKLAFSLQQIISFAKLGYEMDYLEDNTDGDLVYYTMFKYCTKRVQTVLSDSNKQVQTIGLQVLKGLVQKSTNVEDSTFSMLFVGELAADFFVIIQNTLKKPVTEKSATVAGECLRLLVVLQTLSKSSECQRGFMNLLLEAVVVVFKASEEGSSQEINTLRSTAVRLVSHLAQVPSSAVHFKDVLLSMPVAHRQQLQGFIRASVTQEHNATQMKSTTPSLEIKLPVQTEASKEKPPPPSATTTRSISDDQRIEEEEEDEDDWEAFQSFPATTNAAESESEVESKMEEPDLGETVSVLEVNIGSDYNDGDSILEPLHNVKVVNETGHQEAGEGEVISDTPDGMKFPQGGVIEPCGDQHRERDEEVVCRQEGTVAGPDQMTEHMPSELNPIEHAELSVGVNIVDHQVQGKGKPDDKPVQGKEELNDKREDKEEAAIRSYSLEQHEESSKVEDSLETIDDGAKLKL >ONH96464 pep chromosome:Prunus_persica_NCBIv2:G7:15040375:15042015:-1 gene:PRUPE_7G131100 transcript:ONH96464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEAEIDRLPIDLLAHIFVLITSFTDLAQASSVCRKWKQGVKQSMGRRESLSFSGWKMDDDSTARLVRYAYCLKELDISRSRWGCQISDSGLYRISLAKCISSLTSISLWGITGITDKGVGQLVCDNLLSEHKPA >ONH96462 pep chromosome:Prunus_persica_NCBIv2:G7:15040191:15042015:-1 gene:PRUPE_7G131100 transcript:ONH96462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEAEIDRLPIDLLAHIFVLITSFTDLAQASSVCRKWKQGVKQSMGRRESLSFSGWKMDDDSTARLVRYAYCLKELDISRSRWGCQISDSGLYRISLAKCISSLTSISLWGITGITDKGVGQLISRANSLQHLNIGGTFITDESLHAIADSCPHLKVSC >ONH96461 pep chromosome:Prunus_persica_NCBIv2:G7:15039157:15042334:-1 gene:PRUPE_7G131100 transcript:ONH96461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEAEIDRLPIDLLAHIFVLITSFTDLAQASSVCRKWKQGVKQSMGRRESLSFSGWKMDDDSTARLVRYAYCLKELDISRSRWGCQISDSGLYRISLAKCISSLTSISLWGITGITDKGVGQLISRANSLQHLNIGGTFITDESLHAIADSCPHLKTIVLWSCRHVTENGLLVLVNSCRKLESINLWGTRVPVDCFIALLTISPALKIKPVGLLLNVDASMLPVV >ONH96463 pep chromosome:Prunus_persica_NCBIv2:G7:15039157:15042334:-1 gene:PRUPE_7G131100 transcript:ONH96463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEAEIDRLPIDLLAHIFVLITSFTDLAQASSVCRKWKQGVKQSMGRRESLSFSGWKMDDDSTARLVRYAYCLKELDISRSRWGCQISDSGLYRISLAKCISSLTSISLWGITGITDKGVGQLIRI >ONH98331 pep chromosome:Prunus_persica_NCBIv2:G7:20853725:20856973:1 gene:PRUPE_7G243700 transcript:ONH98331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGKLKLPDKAKRAFPDRLGSLVAGFEDKEEENRGSDLVFESGEELETIQPNGYGSGGESENDEDDEDYDEKVDRESDLRIRPKPEREGPGSVSVLAAIAADDKRSDLEYELSQPEINLEKLQRIASSGLPDGGGLRATAWKLLLGYLPPSRDLWEKELIENRLKYAKLKEELLVSPSQLTRKKVGALSYCDHPADGVVAGPLKRHEISEEDHPLSLGKASVWHQYFQHTEIAEQIDRDLQRTHPDLKFFSGDSSFSRKHRESMRSILLLFAKLNPVIRYVQGMNEVLAPIYYVFSTDTNEQNAANAEADSFSCFVRLLSDSVDHFCEQLDNSAVGILSTLSRLSELLKANDEELWRHLEFTTKVKPQYYAFRWITLLLTQEFNFQCIMRIWDSLLSSPYGVQDMLLKICCAMLLCVKSRLLSGDFVANLKLLQNYPDINIEHLLQVARDLSSDPSTYRLSLTL >ONH98332 pep chromosome:Prunus_persica_NCBIv2:G7:20853725:20856973:1 gene:PRUPE_7G243700 transcript:ONH98332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGKLKLPDKAKRAFPDRLGSLVAGFEDKEEENRGSDLVFESGEELETIQPNGYGSGGESENDEDDEDYDEKVDRESDLRIRPKPEREGPGSVSVLAAIAADDKRSDLEYELSQPEINLEKLQRIASSGLPDGGGLRATAWKSQLTRKKVGALSYCDHPADGVVAGPLKRHEISEEDHPLSLGKASVWHQYFQHTEIAEQIDRDLQRTHPDLKFFSGDSSFSRKHRESMRSILLLFAKLNPVIRYVQGMNEVLAPIYYVFSTDTNEQNAANAEADSFSCFVRLLSDSVDHFCEQLDNSAVGILSTLSRLSELLKANDEELWRHLEFTTKVKPQYYAFRWITLLLTQEFNFQCIMRIWDSLLSSPYGVQDMLLKICCAMLLCVKSRLLSGDFVANLKLLQNYPDINIEHLLQVARDLSSDPSTYRLSLTL >ONH98249 pep chromosome:Prunus_persica_NCBIv2:G7:20607931:20613027:1 gene:PRUPE_7G238500 transcript:ONH98249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTSNLEEGERVEERQRPQKIEDLCSVDCCSRSLVCAILFGGPNMSFTSSSVGSGGRTVRRVFEFGRTYVVRPKGKHQATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPIKVFGGFPSTAWFDVGELSEDAPDDIEGLDASAAHVANLLSTEPDDIKLGVGGFSMGAATALYSATCFTLRKYGNGNPYPCNLSAVVGLSGWLPCSKTLSKKLEEVDDARRRAASLPLLLCHGKGDDVVPYKFGEKSSQALSSTGYQNVAFKAYNGLGHYTVPEEMDEVCAWLLSKLGLEGISS >ONH98250 pep chromosome:Prunus_persica_NCBIv2:G7:20608668:20612005:1 gene:PRUPE_7G238500 transcript:ONH98250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCICLTFEKTIPLTCRSPYLLLFACACDLCSVDCCSRSLVCAILFGGPNMSFTSSSVGSGGRTVRRVFEFGRTYVVRPKGKHQATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPIKVFGGFPSTAWFDVGELSEDAPDDIEGLDASAAHVANLLSTEPDDIKLGVGGFSMGAATALYSATCFTLRKYGNGNPYPCNLSAVVGLSGWLPCSKTLSKKLEEVDDARRRAASLPLLLCHGKGDDVVPYKFGEKSSQALSSTGYQNVAFKAYNGLGHYTVPEEMDEVCAWLLSKLGLEGISS >ONH98251 pep chromosome:Prunus_persica_NCBIv2:G7:20607931:20613027:1 gene:PRUPE_7G238500 transcript:ONH98251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTSSSVGSGGRTVRRVFEFGRTYVVRPKGKHQATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPIKVFGGFPSTAWFDVGELSEDAPDDIEGLDASAAHVANLLSTEPDDIKLGVGGFSMGAATALYSATCFTLRKYGNGNPYPCNLSAVVGLSGWLPCSKTLSKKLEEVDDARRRAASLPLLLCHGKGDDVVPYKFGEKSSQALSSTGYQNVAFKAYNGLGHYTVPEEMDEVCAWLLSKLGLEGISS >ONH94569 pep chromosome:Prunus_persica_NCBIv2:G7:3584010:3584685:1 gene:PRUPE_7G022900 transcript:ONH94569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDKSWMQSGRSSEDYFAGVESFLNYAYNRVKPDDSKIFLHLRYEVHKHLLYNEIKLTDTTWYLHGEGEDEDSDESDSDNDGHDVASMEQDDDMHGLIEEGYPQDPNGDAHKFYKLLEEVEQPLYAGCGSYSNLSFVVNLMHIKGIGTMSNKAFGMLLTLLKNAFPFCEKLPTTTNGANKIISNLGLHYDKIDACNNDCIIYYKEHANATQCPT >ONH98380 pep chromosome:Prunus_persica_NCBIv2:G7:21002086:21011708:-1 gene:PRUPE_7G247300 transcript:ONH98380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDSVHKLEQESGFFFNMRYFDDMVTNGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLDNFRDNEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNHLMGAVPKTGGFPPLGAHGPFQPAPAALPTSLAGWMANPSPVPHPSASAGPIGLAAANNAAAMLKRPRTPPTNNPTMDYQTADSEHVLKRSRPFGITDEANNLPVNMLPVAFPNQSHGQSSYSSDDLPRSVVMTLSPGSAVKSMDFHPVQQIILLVGTNMGDVMIYELPSHEKIAIKNFKVWDLGECSVALKATLASDYTASINRVMWSPDGTHFGVAYSKHIVHIYSYQGGDDIRNHLEIEAHVGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGTKHYTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDTVGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGDSYLVEWNESEGAVKRTYHGLAKRTVGVVQFDTTKNRFLAAGDEFTVKFWDMDNVNPLISTDADGGLPASPAIRFNKEGILLAASTNDNGIKILANSDGIRLLRTVESRTFDASRAASAAAVKAPPLGTFGSSSIVGTSIGERAAPVVAMVGLNSDSRSLVDVKPRIADESAEKSRIWKLTEINEPSQCRSLRLPDSLTATRVSRLIYTNSGLAVLALSSNAVHKLWKWQRNERNTTKATASTVPQLWQPASGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATYLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQASKFLQIPSGRAAAPLADTRVQFHLDQTLLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRILPTAYLPPNPSFVHPLVVAAHPSEPNQFALGLTDGGVHVLEPLESEGRWGTSPPIENGAGPSTTSGAAGSDQPQR >ONH98376 pep chromosome:Prunus_persica_NCBIv2:G7:21001608:21011826:-1 gene:PRUPE_7G247300 transcript:ONH98376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDSVHKLEQESGFFFNMRYFDDMVTNGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLDNFRDNEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNHLMGAVPKTGGFPPLGAHGPFQPAPAALPTSLAGWMANPSPVPHPSASAGPIGLAAANNAAMLKRPRTPPTNNPTMDYQTADSEHVLKRSRPFGITDEANNLPVNMLPVAFPNQSHGQSSYSSDDLPRSVVMTLSPGSAVKSMDFHPVQQIILLVGTNMGDVMIYELPSHEKIAIKNFKVWDLGECSVALKATLASDYTASINRVMWSPDGTHFGVAYSKHIVHIYSYQGGDDIRNHLEIEAHVGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGTKHYTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDTVGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGDSYLVEWNESEGAVKRTYHGLAKRTVGVVQFDTTKNRFLAAGDEFTVKFWDMDNVNPLISTDADGGLPASPAIRFNKEGILLAASTNDNGIKILANSDGIRLLRTVESRTFDASRAASAAAVKAPPLGTFGSSSIVGTSIGERAAPVVAMVGLNSDSRSLVDVKPRIADESAEKSRIWKLTEINEPSQCRSLRLPDSLTATRVSRLIYTNSGLAVLALSSNAVHKLWKWQRNERNTTKATASTVPQLWQPASGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATYLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQASKFLQIPSGRAAAPLADTRVQFHLDQTLLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRILPTAYLPPNPSFVHPLVVAAHPSEPNQFALGLTDGGVHVLEPLESEGRWGTSPPIENGAGPSTTSGAAGSDQPQR >ONH98375 pep chromosome:Prunus_persica_NCBIv2:G7:21001608:21012150:-1 gene:PRUPE_7G247300 transcript:ONH98375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDSVHKLEQESGFFFNMRYFDDMVTNGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLDNFRDNEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNHLMGAVPKTGGFPPLGAHGPFQPAPAALPTSLAGWMANPSPVPHPSASAGPIGLAAANNAAMLKRPRTPPTNNPTMDYQTADSEHVLKRSRPFGITDEANNLPVNMLPVAFPNQSHGQSSYSSDDLPRSVVMTLSPGSAVKSMDFHPVQQIILLVGTNMGDVMIYELPSHEKIAIKNFKVWDLGECSVALKATLASDYTASINRVMWSPDGTHFGVAYSKHIVHIYSYQGGDDIRNHLEIEAHVGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGTKHYTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDTVGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGDSYLVEWNESEGAVKRTYHGLAKRTVGVVQFDTTKNRFLAAGDEFTVKFWDMDNVNPLISTDADGGLPASPAIRFNKEGILLAASTNDNGIKILANSDGIRLLRTVESRTFDASRAASAAAVKAPPLGTFGSSSIVGTSIGERAAPVVAMVGLNSDSRSLVDVKPRIADESAEKSRIWKLTEINEPSQCRSLRLPDSLTATRVSRLIYTNSGLAVLALSSNAVHKLWKWQRNERNTTKATASTVPQLWQPASGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATYLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQASKFLQIPSGRAAAPLADTRVQFHLDQTLLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRILPTAYLPPNPSFVHPLVVAAHPSEPNQFALGLTDGGVHVLEPLESEGRWGTSPPIENGAGPSTTSGAAGSDQPQR >ONH98383 pep chromosome:Prunus_persica_NCBIv2:G7:21001608:21010009:-1 gene:PRUPE_7G247300 transcript:ONH98383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLVLIAAMLKRPRTPPTNNPTMDYQTADSEHVLKRSRPFGITDEANNLPVNMLPVAFPNQSHGQSSYSSDDLPRSVVMTLSPGSAVKSMDFHPVQQIILLVGTNMGDVMIYELPSHEKIAIKNFKVWDLGECSVALKATLASDYTASINRVMWSPDGTHFGVAYSKHIVHIYSYQGGDDIRNHLEIEAHVGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGTKHYTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDTVGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGDSYLVEWNESEGAVKRTYHGLAKRTVGVVQFDTTKNRFLAAGDEFTVKFWDMDNVNPLISTDADGGLPASPAIRFNKEGILLAASTNDNGIKILANSDGIRLLRTVESRTFDASRAASAAAVKAPPLGTFGSSSIVGTSIGERAAPVVAMVGLNSDSRSLVDVKPRIADESAEKSRIWKLTEINEPSQCRSLRLPDSLTATRVSRLIYTNSGLAVLALSSNAVHKLWKWQRNERNTTKATASTVPQLWQPASGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATYLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQASKFLQIPSGRAAAPLADTRVQFHLDQTLLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRILPTAYLPPNPSFVHPLVVAAHPSEPNQFALGLTDGGVHVLEPLESEGRWGTSPPIENGAGPSTTSGAAGSDQPQR >ONH98381 pep chromosome:Prunus_persica_NCBIv2:G7:21002086:21011708:-1 gene:PRUPE_7G247300 transcript:ONH98381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDSVHKLEQESGFFFNMRYFDDMVTNGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLDNFRDNEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNHLMGAVPKTGGFPPLGAHGPFQPAPAALPTSLAGWMANPSPVPHPSASAGPIGLAAANNAAAMLKRPRTPPTNNPTMDYQTADSEHVLKRSRPFGITDEANNLPVNMLPVAFPNQSHGQSSYSSDDLPRSVVMTLSPGSAVKSMDFHPVQQIILLVGTNMGDVMIYELPSHEKIAIKNFKVWDLGECSVALKATLASDYTASINRVMWSPDGTHFGVAYSKHIVHIYSYQGGDDIRNHLEIEAHVGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGTKHYTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDTVGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGDSYLVEWNESEGAVKRTYHGLAKRTVGVVQFDTTKNRFLAAGDEFTVKFWDMDNVNPLISTDADGGLPASPAIRFNKEGILLAASTNDNGIKILANSDGIRLLRTVESRTFDASRAASAAAVKAPPLGTFGSSSIVGTSIGERAAPVVAMVGLNSDSRSLVDVKPRIADESAEKSRIWKLTEINEPSQCRSLRLPDSLTATRVSRLIYTNSGLAVLALSSNAVHKLWKWQRNERNTTKATASTVPQLWQPASGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATYLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQASKFLQIPSGRAAAPLADTRVQFHLDQTLLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRILPTAYLPPNPSFVHPLVVAAHPSEPNQFALGLTDGGVHVLEPLESEGRWGTSPPIENGAGPSTTSGAAGSDQPQR >ONH98377 pep chromosome:Prunus_persica_NCBIv2:G7:21001608:21012150:-1 gene:PRUPE_7G247300 transcript:ONH98377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDSVHKLEQESGFFFNMRYFDDMVTNGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLDNFRDNEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNHLMGAVPKTGGFPPLGAHGPFQPAPAALPTSLAGWMANPSPVPHPSASAGPIGLAAANNAAMLKRPRTPPTNNPTMDYQTADSEHVLKRSRPFGITDEANNLPVNMLPVAFPNQSHGQSSYSSDDLPRSVVMTLSPGSAVKSMDFHPVQQIILLVGTNMGDVMIYELPSHEKIAIKNFKVWDLGECSVALKATLASDYTASINRVMWSPDGTHFGVAYSKHIVHIYSYQGGDDIRNHLEIEAHVGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGTKHYTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDTVGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGDSYLVEWNESEGAVKRTYHGLAKRTVGVVQFDTTKNRFLAAGDEFTVKFWDMDNVNPLISTDADGGLPASPAIRFNKEGILLAASTNDNGIKILANSDGIRLLRTVESRTFDASRAASAAAVKAPPLGTFGSSSIVGTSIGERAAPVVAMVGLNSDSRSLVDVKPRIADESAEKSRIWKLTEINEPSQCRSLRLPDSLTATRVSRLIYTNSGLAVLALSSNAVHKLWKWQRNERNTTKATASTVPQLWQPASGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATYLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQASKFLQIPSGRAAAPLADTRVQFHLDQTLLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRILPTAYLPPNPSFVHPLVVAAHPSEPNQFALGLTDGGVHVLEPLESEGRWGTSPPIENGAGPSTTSGAAGSDQPQR >ONH98378 pep chromosome:Prunus_persica_NCBIv2:G7:21001608:21012150:-1 gene:PRUPE_7G247300 transcript:ONH98378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDSVHKLEQESGFFFNMRYFDDMVTNGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLDNFRDNEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNHLMGAVPKTGGFPPLGAHGPFQPAPAALPTSLAGWMANPSPVPHPSASAGPIGLAAANNAAMLKRPRTPPTNNPTMDYQTADSEHVLKRSRPFGITDEANNLPVNMLPVAFPNQSHGQSSYSSDDLPRSVVMTLSPGSAVKSMDFHPVQQIILLVGTNMGDVMIYELPSHEKIAIKNFKVWDLGECSVALKATLASDYTASINRVMWSPDGTHFGVAYSKHIVHIYSYQGGDDIRNHLEIEAHVGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGTKHYTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDTVGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGDSYLVEWNESEGAVKRTYHGLAKRTVGVVQFDTTKNRFLAAGDEFTVKFWDMDNVNPLISTDADGGLPASPAIRFNKEGILLAASTNDNGIKILANSDGIRLLRTVESRTFDASRAASAAAVKAPPLGTFGSSSIVGTSIGERAAPVVAMVGLNSDSRSLVDVKPRIADESAEKSRIWKLTEINEPSQCRSLRLPDSLTATRVSRLIYTNSGLAVLALSSNAVHKLWKWQRNERNTTKATASTVPQLWQPASGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATYLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQASKFLQIPSGRAAAPLADTRVQFHLDQTLLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRILPTAYLPPNPSFVHPLVVAAHPSEPNQFALGLTDGGVHVLEPLESEGRWGTSPPIENGAGPSTTSGAAGSDQPQR >ONH98382 pep chromosome:Prunus_persica_NCBIv2:G7:21002086:21011708:-1 gene:PRUPE_7G247300 transcript:ONH98382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDSVHKLEQESGFFFNMRYFDDMVTNGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLDNFRDNEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNHLMGAVPKTGGFPPLGAHGPFQPAPAALPTSLAGWMANPSPVPHPSASAGPIGLAAANNAAAMLKRPRTPPTNNPTMDYQTADSEHVLKRSRPFGITDEANNLPVNMLPVAFPNQSHGQSSYSSDDLPRSVVMTLSPGSAVKSMDFHPVQQIILLVGTNMGDVMIYELPSHEKIAIKNFKVWDLGECSVALKATLASDYTASINRVMWSPDGTHFGVAYSKHIVHIYSYQGGDDIRNHLEIEAHVGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGTKHYTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDTVGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGDSYLVEWNESEGAVKRTYHGLAKRTVGVVQFDTTKNRFLAAGDEFTVKFWDMDNVNPLISTDADGGLPASPAIRFNKEGILLAASTNDNGIKILANSDGIRLLRTVESRTFDASRAASAAAVKAPPLGTFGSSSIVGTSIGERAAPVVAMVGLNSDSRSLVDVKPRIADESAEKSRIWKLTEINEPSQCRSLRLPDSLTATRVSRLIYTNSGLAVLALSSNAVHKLWKWQRNERNTTKATASTVPQLWQPASGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATYLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQASKFLQIPSGRAAAPLADTRVQFHLDQTLLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRILPTAYLPPNPSFVHPLVVAAHPSEPNQFALGLTDGGVHVLEPLESEGRWGTSPPIENGAGPSTTSGAAGSDQPQR >ONH98374 pep chromosome:Prunus_persica_NCBIv2:G7:21001822:21011977:-1 gene:PRUPE_7G247300 transcript:ONH98374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDSVHKLEQESGFFFNMRYFDDMVTNGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLDNFRDNEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNHLMGAVPKTGGFPPLGAHGPFQPAPAALPTSLAGWMANPSPVPHPSASAGPIGLAAANNAAMLKRPRTPPTNNPTMDYQTADSEHVLKRSRPFGITDEANNLPVNMLPVAFPNQSHGQSSYSSDDLPRSVVMTLSPGSAVKSMDFHPVQQIILLVGTNMGDVMIYELPSHEKIAIKNFKVWDLGECSVALKATLASDYTASINRVMWSPDGTHFGVAYSKHIVHIYSYQGGDDIRNHLEIEAHVGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGTKHYTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDTVGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGDSYLVEWNESEGAVKRTYHGLAKRTVGVVQFDTTKNRFLAAGDEFTVKFWDMDNVNPLISTDADGGLPASPAIRFNKEGILLAASTNDNGIKILANSDGIRLLRTVESRTFDASRAASAAAVKAPPLGTFGSSSIVGTSIGERAAPVVAMVGLNSDSRSLVDVKPRIADESAEKSRIWKLTEINEPSQCRSLRLPDSLTATRVSRLIYTNSGLAVLALSSNAVHKLWKWQRNERNTTKATASTVPQLWQPASGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATYLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQASKFLQIPSGRAAAPLADTRVQFHLDQTLLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRILPTAYLPPNPSFVHPLVVAAHPSEPNQFALGLTDGGVHVLEPLESEGRWGTSPPIENGAGPSTTSGAAGSDQPQR >ONH98379 pep chromosome:Prunus_persica_NCBIv2:G7:21002086:21011708:-1 gene:PRUPE_7G247300 transcript:ONH98379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDSVHKLEQESGFFFNMRYFDDMVTNGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLDNFRDNEQLSKYGDTKSARSIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNHLMGAVPKTGGFPPLGAHGPFQPAPAALPTSLAGWMANPSPVPHPSASAGPIGLAAANNAAAMLKRPRTPPTNNPTMDYQTADSEHVLKRSRPFGITDEANNLPVNMLPVAFPNQSHGQSSYSSDDLPRSVVMTLSPGSAVKSMDFHPVQQIILLVGTNMGDVMIYELPSHEKIAIKNFKVWDLGECSVALKATLASDYTASINRVMWSPDGTHFGVAYSKHIVHIYSYQGGDDIRNHLEIEAHVGSVNDLAFSYPNKQLCVVTCGEDRVIKVWDAVTGTKHYTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDTVGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGDSYLVEWNESEGAVKRTYHGLAKRTVGVVQFDTTKNRFLAAGDEFTVKFWDMDNVNPLISTDADGGLPASPAIRFNKEGILLAASTNDNGIKILANSDGIRLLRTVESRTFDASRAASAAAVKAPPLGTFGSSSIVGTSIGERAAPVVAMVGLNSDSRSLVDVKPRIADESAEKSRIWKLTEINEPSQCRSLRLPDSLTATRVSRLIYTNSGLAVLALSSNAVHKLWKWQRNERNTTKATASTVPQLWQPASGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATYLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQASKFLQIPSGRAAAPLADTRVQFHLDQTLLLAVHETQIAIYEAPKLECLKQWVPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRILPTAYLPPNPSFVHPLVVAAHPSEPNQFALGLTDGGVHVLEPLESEGRWGTSPPIENGAGPSTTSGAAGSDQPQR >ONH94293 pep chromosome:Prunus_persica_NCBIv2:G7:1193648:1195143:-1 gene:PRUPE_7G008800 transcript:ONH94293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKIYGLNDAYLFSIFTDVLKQRNNEEPRRYCEAIIQDITSCAANSTQDCRKLDPNGIVVLHQTDIGEYLRMEDEL >ONH94999 pep chromosome:Prunus_persica_NCBIv2:G7:8139650:8144469:1 gene:PRUPE_7G045400 transcript:ONH94999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEGVSPLAQVNPIDKEAFKLGFIGAGNLASSVATGLVKQGILPASRIFTAHRNPESRVDFTSMGIKVFPKNHQVVEECDVIIFAVKPQILKEVILDLRPLLSQNKLLLSLAVGHKLQALQEWVGHGRFVRVIPTTTSIIGEAATVMHLGEAATENDGELIADLFGAVGKVWKMDEKLSDAAAAVGGAGTAFVYIAIEAMSDGGVAAGLPREIALSLAAQAFYGAAAMVMKTGKHPGQLKDQVASPGGTTIAGIHELEKGAFRASMMNAVVATTKRSHEMGQ >ONH98281 pep chromosome:Prunus_persica_NCBIv2:G7:20693154:20694717:-1 gene:PRUPE_7G240100 transcript:ONH98281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQKKKAGMSSTYSGRHLKPESKPPPSAAMAVDKSKTPVLRNWFNLSIRKPKPRPEFDVQDEQRQQQGRRGREGGEVVVVEAAARKSVSHVETNLVSVAEFLQVKVLVSDMPGFMQVHAFRSARRTYDSLEKFSSKHMAYNLKKEFDKTYGPAWHCIVGSGFGSFVTHSTGCFLYFSMEKLCILLFRTKIQKAQD >ONH96755 pep chromosome:Prunus_persica_NCBIv2:G7:16165972:16168820:-1 gene:PRUPE_7G150000 transcript:ONH96755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSSSPTANGGRDHALITIAATTNIQTTPLDQRIQETRWLLHPLAGKESCCIFKVRQCLAEINKKTYQPHIVSCGPFHHGDQHLEMIHQHKWKYLRGLLARTPSNGPTLDHYQQVVAAMEEEIRECYSETISLSSDDLVEMMVLDGLFTIELFCKVGKLSPSDPDDPIFNLAWVFANILQDLFRFENQIPFFLLQKLFDESKPSRKDSDSSLAKLALDFFRYALETPEQVLNQDFTTVEGKHLLDLLRWSFIPKPYDHSPQEEPRNVRPLVQLIKYVKKPLQGFAAGRKGNTSPPIELIQSAKKLRQAGIKFKTREAVSFLDIRFCNGVLEIPHVVIDNLRTDLLMNFVAFEQCYSHCSKHVTSYAAFMSCLIRTPEDVSFLCDKKIVENYLGTDEEVVHFFKNLGKGVTFDIDGSYLWKLFKEVNEYHINMWHVRWEGFRSKYFGTPWSFLSALAAVILLLLTAIQTFFTVYEYASPSGP >ONH94753 pep chromosome:Prunus_persica_NCBIv2:G7:5176863:5178542:1 gene:PRUPE_7G027700 transcript:ONH94753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASLEVFEQSLVIERFLTFFMMIEVIIARNPLTCLLFLFVPAALPKPCSIFFYFFFLYPCTACRLPKLFFSLPQIFSQPYFL >ONH97306 pep chromosome:Prunus_persica_NCBIv2:G7:17779262:17787717:-1 gene:PRUPE_7G183000 transcript:ONH97306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVRKLVEKASKKPGGNSDGLKGSDIDPRLLFHYGIPSGCNMLAYDPVQKILAVSSKDGRIKLFGKGNTQALLESVNAVPSKFLQFVENQGILVNVNSKNHIEIWDIEKNLLADVHAFEEDITSFTVMQHSLYMYVGDSAGNVRVLKLEQEHIVQMKYTIPYSASHGNPTEETGDTSVLHVLPQPAAESKRVLIIFRDGIISLWDIRESKTVFTAGGNALQSLHHEGKKVTSACWACPFGSKVAVGYSNGDIFIWSVSTRTELPSEPSTQSTPIFKLNVGYKLDKIPIASLRWVYADGKASRLYVMGGSDTISSNLLQVILLNEHTEGRTIKLGLQLPEPCIDMEIVSSLSEQSKHKQDCCLLLGNSGNLYAYDDCLIEKYLLQSQSKSSPSLPKEVMVKIPFIDSNITVAKFITDNTQMLSFADEDCLLLAKSIPSLFSFETKPKDGTQLNAARFTGFLKVKNLYITGHNDGALNFWDLSCPLLVPILSLKQQSEDDLSLSGIPVTALFFNANSRLLVSGDQSGMVRIFRLKPEPYANVSSFLSLQGSTKKGNDHIIQSVKLLKVNGSVLSVNINHSTGHLAVGSSQGYVSVLDIEGPTVLYQKHIASEISTGIISLHFQTCSFHGFDKNVLAVATEDSSVLALDSDNGNTLSTSLVHPKKPTRALFMQILDGQDVKRLNLLNGLDLSKGSPAEDGVPKQSLLLLCSEKAAYVYSFTHVMQGVKKVIYKKKFQASCCWASTFYTSSDVGLILLFTSGKVEIRSLPELSLIKETSIRGFTYSTPKPNSFSDSSICSSCEGELVMVNGDQEIFFFSLSLHNKSFRLLDSFNLTYQKDLIIPQEDFIPGRTIQKEKKKGIFSYVIKDIVGSKAKNVPEIETEDTKESFEELSTIFSTANFTVDAENTDEQARDEDELDLDDIDIDMDMDIPGEKPKEQNMLTALNKEKLASKFMAFKGKVLKQMKSKTEKNSTKEEQQDEKVGQVDQIKRRYGFSSSEANIAKMAESKLQENMKKLQGINLRTTEMQDTAKSFSSLANEVLRTEQDRRGS >ONH98621 pep chromosome:Prunus_persica_NCBIv2:G7:21553066:21558091:-1 gene:PRUPE_7G258000 transcript:ONH98621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANISLEDVKNENVDLERIPVEEVFEQLKCTKRGLTSEEGQKRLQIFGPNKLEEKKENKLLKFLGFMWNPLSWVMECAAIMALVLANGGGKPTDWPDFVGIMVLLIINSTISFIEENNAGNAAAALMASLAPKTKVLRDGKWGEQEAEILVPGDVISIKLGDIVPADARLLEGDPLKIDQAALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTSIGNFCICSIAVGMAIEIVVMYPIQHREYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKSLIEVFPKNMDKDTVILLSARASRVENQDAIDASIVGMLGDPKEARAGITEVHFLPFNPVDKRTAITYVDSNGDWHRCSKGAPEQIIDLCDLKGEMKKKAHAVIDNYAERGLRSLGVARQTVSEKTKESAGDAWEFVGLLPLFDPPRHDSAETIRRALELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSTLLGESKDESIASIPVDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVNLIWKFDFSPFMVLVIAVLNDGTIMTISKDRVRPSPLPDSWKLKEIFATGVVLGTYMAIMTVVFFWLAYSTDFFAKTFGVHHIGENPKQLNSAIYLQVSIISQALIFVTRSRSWSFLERPGVMLVGAFLAAQLVATLIAVYCSWGFARIEGIGWRWAGVIWVYSIITYFPLDIFKFIIRYALTGRAWDNIVQNKTAFTSKNDYGKGEREAQWASAQRSLHGLQPPEALFPGNHREQSELAEQAKRRAEVARLRELHTLKGHVESVVQLKGLDIDTIQQHYTV >ONH96028 pep chromosome:Prunus_persica_NCBIv2:G7:13241331:13243478:-1 gene:PRUPE_7G102900 transcript:ONH96028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVDASSSSYDRMKEVKEFDESKMGVKGLSDSGITSIPQIFVHDPQTLSDLKPSSKPTAAVKPTIDLSHITSPAHRPNIVDQVKAAAKTFGFFQVTNHGVPVSVLDETVNAVKAFHEQPHQVKAEYYKRDEGKGVMYASNNDLYRTTAASWHDSLQMWMGPEPPNVEEIPEVCREELAAWDLHATKVADDVMELLSEGLGLERGRFKELTFSDSRVLVGHCYPYCPQPDLTVGIKAHTDPGIVTVLLQNHVPGLQVRHENEWLDVKPVPGGLIINVGDFLQHKGT >ONH94224 pep chromosome:Prunus_persica_NCBIv2:G7:565943:578928:1 gene:PRUPE_7G005000 transcript:ONH94224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGGGFLLPQDEDLRFMDSWVPATPEKPIPLKRHPIPIPVNPLGNHLQGANWQQTGISREHVQMGASYNDMTQTVSPNGQLQRNGGYNGYDGSLAEKNQMINHVACSYSTGSFTQLLRPDNASWNNNPITQLLHDKAAFVASANRNLSRSVDIAVNTPLIPKLHPQLGNQGNNSGCSLLTNQNCSNGSYSSSSVMSRSLVMDFPSQVDASQRDSNSVHWLFSNQNHCSSPNPLSNGDSSSLICQDGFPVQFLPSHDLNSSPRTEADAASCIASQHPFTTDQANNVENNELFAILKSLTDESASVEKDKEVKLVMSIGDEAIQKHGDELLQNIVESSSAAISTPYKKNKDSDWEGDRGIDLNKTPQQKPPKRRKHRPKVIREGKPKRTPKPAIPKNTESKESRPAKRKYVRKNVPKESPSQMSDVTRETADPNSGKAAKSCRRVLDFGLESTVDENQCNTVGQQEELQKGNKRTIDLTFETQGTHMGTGTNQVFKTKPSEPMGLQNELMVENQMPGTMSNPTPFMSHISNNYAFLPERKPSAAPFATTKDMHMKNLNVTRRHVENDISDLCQRRCRDGYIPIQQHSHAEGIDQDVIRAKTNGENLQKTKDYINQGGSQSVLTALSLPSEGRGSKRDYFRTIEHTHLSTNHPPSSLLCHDIFQFNGHQRNSCTLSKEFSESHKKQKFENGCLSIRDMPRKCTPVEECLGKVERKGENNVKSIGKVIERQNNTLLSSYIESSRMIERQNKGINKFTSDGYTHSIASGNNFLNQQTSSKSHSCQGFTLVHSFSTHSTIETCDQLTSSPPRKSFQPGNGQVFQTRKNNMSAKRKTLGSNISRSVSSGTDKVQQEQDASYDYQQPSAKVIGFPGRTRCSIPVDVIINQFNGLNLNGSCSKFLKHERNALVPYKGDGAVVPYERFIKKRKPLPKVELDPETNRIWNLLMGKEGSGGIEGNHKEKEKYWEEERKVFQGRVESFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPPKSSNAVTNILVEEPEVQMKSPDDATKWHEEISSQPIFNQMPMALNESAEIQRDSETIGTERSLVEAHSQCLEEEFVSSQDSFESSVTQGAVGIRSYSVSNSEAEDPITGCQSNKIHMSISTNQQMEKVTKFQDLYHQVNGSSILYDGSKNGYIECGQLKTRSDRIDDLNGISSFTNLLNLYNEKVQVPVAPSKSNQLHMYPDFGELEPWRFANFSEEIRSSWPSTASRFNVKKDEKNKSRNEELSGSVVNSSVQQNILWTSQETPMMDPHASFRQQSTDQQNNSQPRSSNGCNQPSYYSHQCEGNQNFQLEKTSVSEPVKHTEPLLGKKSGSMQHVQNVNELKKNSCSVVDSFSVVNKQIHMENQSVDSNLQEQLYSYGQSHNEANTNISKGRKGRAGSDKKNAVDWDMLRKQAQANGRKKERNKETMDSLDYEALINANVKDISDAIKERGMNNMLAERIQEFLNRLVREHGSIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPMLESIQKYLWPRLCKLDQLTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSSSVSVEAEINPTVAVTPMSLPPPVSIAEINPTIAVTPMSLPPPENNSLQKASTETNKCEPIIEEPATPEQEFTELSQSDIEDLFYEDPDEIPTIKLNMEEFTATLQNYMQENMELQEGDMSKALVSLNREAASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGMDKREPDDPSPYLLAIWTPGETAYSIQPPESRCGSQDQNKMCNEKTCFSCNSIREENAQTVRGTILIPCRTAMRGSFPLNGTYFQVNEMFADHDSSHSPIDVPRGWIWNLPRRTVYFGTSVSTIFKGLSTEGIQYCFWRGYVCVRGFDRKTRAPRPLIARLHFPASRLTKTKNEEKR >ONH94229 pep chromosome:Prunus_persica_NCBIv2:G7:566050:578928:1 gene:PRUPE_7G005000 transcript:ONH94229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGGGFLLPQDEDLRFMDSWVPATPEKPIPLKRHPIPIPVNPLGNHLQGANWQQTGISREHVQMGASYNDMTQTVSPNGQLQRNGGYNGYDGSLAEKNQMINHVACSYSTGSFTQLLRPDNASWNNNPITQLLHDKAAFVASANRNLSRSVDIAVNTPLIPKLHPQLGNQGNNSGCSLLTNQNCSNGSYSSSSVMSRSLVMDFPSQVDASQRDSNSVHWLFSNQNHCSSPNPLSNGDSSSLICQDGFPVQFLPSHDLNSSPRTEADAASCIASQHPFTTDQANNVENNELFAILKSLTDESASVEKDKEVKLVMSIGDEAIQKHGDELLQNIVESSSAAISTPYKKNKDSDWEGDRGIDLNKTPQQKPPKRRKHRPKVIREGKPKRTPKPAIPKNTESKESRPAKRKYVRKNVPKESPSQMSDVTRETADPNSGKAAKSCRRVLDFGLESTVDENQCNTVGQQEELQKGNKRTIDLTFETQGTHMGTGTNQVFKTKPSEPMGLQNELMVENQMPGTMSNPTPFMSHISNNYAFLPERKPSAAPFATTKDMHMKNLNVTRRHVENDISDLCQRRCRDGYIPIQQHSHAEGIDQDVIRAKTNGENLQKTKDYINQGGSQSVLTALSLPSEGRGSKRDYFRTIEHTHLSTNHPPSSLLCHDIFQFNGHQRNSCTLSKEFSESHKKQKFENGCLSIRDMPRKCTPVEECLGKVERKGENNVKSIGKVIERQNNTLLSSYIESSRMIERQNKGINKFTSDGYTHSIASGNNFLNQQTSSKSHSCQGFTLVHSFSTHSTIETCDQLTSSPPRKSFQPGNGQVFQTRKNNMSAKRKTLGSNISRSVSSGTDKVQQEQDASYDYQQPSAKVIGFPGRTRCSIPVDVIINQFNGLNLNGSCSKFLKHERNALVPYKGDGAVVPYERFIKKRKPLPKVELDPETNRIWNLLMGKEGSGGIEGNHKEKEKYWEEERKVFQGRVESFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPPKSSNAVTNILVEEPEVQMKSPDDATKWHEEISSQPIFNQMPMALNESAEIQRDSETIGTERSLVEAHSQCLEEEFVSSQDSFESSVTQGAVGIRSYSVSNSEAEDPITGCQSNKIHMSISTNQQMEKVTKFQDLYHQVNGSSILYDGSKNGYIECGQLKTRSDRIDDLNGISSFTNLLNLYNEKVQVPVAPSKSNQLHMYPDFGELEPWRFANFSEEIRSSWPSTASRFNVKKDEKNKSRNEELSGSVVNSSVQQNILWTSQETPMMDPHASFRQQSTDQQNNSQPRSSNGCNQPSYYSHQCEGNQNFQLEKTSVSEPVKHTEPLLGKKSGSMQHVQNVNELKKNSCSVVDSFSVVNKQIHMENQSVDSNLQEQLYSYGQSHNEANTNISKGRKGRAGSDKKNAVDWDMLRKQAQANGRKKERNKETMDSLDYEALINANVKDISDAIKERGMNNMLAERIQEFLNRLVREHGSIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPMLESIQKYLWPRLCKLDQLTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSSSVSVEAEINPTVAVTPMSLPPPVSIAEINPTIAVTPMSLPPPENNSLQKASTETNKCEPIIEEPATPEQEFTELSQSDIEDLFYEDPDEIPTIKLNMEEFTATLQNYMQENMELQEGDMSKALVSLNREAASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGMDKREPDDPSPYLLAIWTPGETAYSIQPPESRCGSQDQNKMCNEKTCFSCNSIREENAQTVRGTILIPCRTAMRGSFPLNGTYFQVNEMFADHDSSHSPIDVPRGWIWNLPRRTVYFGTSVSTIFKGLSTEGIQYCFWRGYVCVRGFDRKTRAPRPLIARLHFPASRLTKTKNEEKR >ONH94225 pep chromosome:Prunus_persica_NCBIv2:G7:566959:578928:1 gene:PRUPE_7G005000 transcript:ONH94225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGGGFLLPQDEDLRFMDSWVPATPEKPIPLKRHPIPIPVNPLGNHLQGANWQQTGISREHVQMGASYNDMTQTVSPNGQLQRNGGYNGYDGSLAEKNQMINHVACSYSTGSFTQLLRPDNASWNNNPITQLLHDKAAFVASANRNLSRSVDIAVNTPLIPKLHPQLGNQGNNSGCSLLTNQNCSNGSYSSSSVMSRSLVMDFPSQVDASQRDSNSVHWLFSNQNHCSSPNPLSNGDSSSLICQDGFPVQFLPSHDLNSSPRTEADAASCIASQHPFTTDQANNVENNELFAILKSLTDESASVEKDKEVKLVMSIGDEAIQKHGDELLQNIVESSSAAISTPYKKNKDSDWEGDRGIDLNKTPQQKPPKRRKHRPKVIREGKPKRTPKPAIPKNTESKESRPAKRKYVRKNVPKESPSQMSDVTRETADPNSGKAAKSCRRVLDFGLESTVDENQCNTVGQQEELQKGNKRTIDLTFETQGTHMGTGTNQVFKTKPSEPMGLQNELMVENQMPGTMSNPTPFMSHISNNYAFLPERKPSAAPFATTKDMHMKNLNVTRRHVENDISDLCQRRCRDGYIPIQQHSHAEGIDQDVIRAKTNGENLQKTKDYINQGGSQSVLTALSLPSEGRGSKRDYFRTIEHTHLSTNHPPSSLLCHDIFQFNGHQRNSCTLSKEFSESHKKQKFENGCLSIRDMPRKCTPVEECLGKVERKGENNVKSIGKVIERQNNTLLSSYIESSRMIERQNKGINKFTSDGYTHSIASGNNFLNQQTSSKSHSCQGFTLVHSFSTHSTIETCDQLTSSPPRKSFQPGNGQVFQTRKNNMSAKRKTLGSNISRSVSSGTDKVQQEQDASYDYQQPSAKVIGFPGRTRCSIPVDVIINQFNGLNLNGSCSKFLKHERNALVPYKGDGAVVPYERFIKKRKPLPKVELDPETNRIWNLLMGKEGSGGIEGNHKEKEKYWEEERKVFQGRVESFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPPKSSNAVTNILVEEPEVQMKSPDDATKWHEEISSQPIFNQMPMALNESAEIQRDSETIGTERSLVEAHSQCLEEEFVSSQDSFESSVTQGAVGIRSYSVSNSEAEDPITGCQSNKIHMSISTNQQMEKVTKFQDLYHQVNGSSILYDGSKNGYIECGQLKTRSDRIDDLNGISSFTNLLNLYNEKVQVPVAPSKSNQLHMYPDFGELEPWRFANFSEEIRSSWPSTASRFNVKKDEKNKSRNEELSGSVVNSSVQQNILWTSQETPMMDPHASFRQQSTDQQNNSQPRSSNGCNQPSYYSHQCEGNQNFQLEKTSVSEPVKHTEPLLGKKSGSMQHVQNVNELKKNSCSVVDSFSVVNKQIHMENQSVDSNLQEQLYSYGQSHNEANTNISKGRKGRAGSDKKNAVDWDMLRKQAQANGRKKERNKETMDSLDYEALINANVKDISDAIKERGMNNMLAERIQEFLNRLVREHGSIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPMLESIQKYLWPRLCKLDQLTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSSSVSVEAEINPTVAVTPMSLPPPVSIAEINPTIAVTPMSLPPPENNSLQKASTETNKCEPIIEEPATPEQEFTELSQSDIEDLFYEDPDEIPTIKLNMEEFTATLQNYMQENMELQEGDMSKALVSLNREAASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGMDKREPDDPSPYLLAIWTPGETAYSIQPPESRCGSQDQNKMCNEKTCFSCNSIREENAQTVRGTILIPCRTAMRGSFPLNGTYFQVNEMFADHDSSHSPIDVPRGWIWNLPRRTVYFGTSVSTIFKGLSTEGIQYCFWRGYVCVRGFDRKTRAPRPLIARLHFPASRLTKTKNEEKR >ONH94226 pep chromosome:Prunus_persica_NCBIv2:G7:565679:578928:1 gene:PRUPE_7G005000 transcript:ONH94226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGGGFLLPQDEDLRFMDSWVPATPEKPIPLKRHPIPIPVNPLGNHLQGANWQQTGISREHVQMGASYNDMTQTVSPNGQLQRNGGYNGYDGSLAEKNQMINHVACSYSTGSFTQLLRPDNASWNNNPITQLLHDKAAFVASANRNLSRSVDIAVNTPLIPKLHPQLGNQGNNSGCSLLTNQNCSNGSYSSSSVMSRSLVMDFPSQVDASQRDSNSVHWLFSNQNHCSSPNPLSNGDSSSLICQDGFPVQFLPSHDLNSSPRTEADAASCIASQHPFTTDQANNVENNELFAILKSLTDESASVEKDKEVKLVMSIGDEAIQKHGDELLQNIVESSSAAISTPYKKNKDSDWEGDRGIDLNKTPQQKPPKRRKHRPKVIREGKPKRTPKPAIPKNTESKESRPAKRKYVRKNVPKESPSQMSDVTRETADPNSGKAAKSCRRVLDFGLESTVDENQCNTVGQQEELQKGNKRTIDLTFETQGTHMGTGTNQVFKTKPSEPMGLQNELMVENQMPGTMSNPTPFMSHISNNYAFLPERKPSAAPFATTKDMHMKNLNVTRRHVENDISDLCQRRCRDGYIPIQQHSHAEGIDQDVIRAKTNGENLQKTKDYINQGGSQSVLTALSLPSEGRGSKRDYFRTIEHTHLSTNHPPSSLLCHDIFQFNGHQRNSCTLSKEFSESHKKQKFENGCLSIRDMPRKCTPVEECLGKVERKGENNVKSIGKVIERQNNTLLSSYIESSRMIERQNKGINKFTSDGYTHSIASGNNFLNQQTSSKSHSCQGFTLVHSFSTHSTIETCDQLTSSPPRKSFQPGNGQVFQTRKNNMSAKRKTLGSNISRSVSSGTDKVQQEQDASYDYQQPSAKVIGFPGRTRCSIPVDVIINQFNGLNLNGSCSKFLKHERNALVPYKGDGAVVPYERFIKKRKPLPKVELDPETNRIWNLLMGKEGSGGIEGNHKEKEKYWEEERKVFQGRVESFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPPKSSNAVTNILVEEPEVQMKSPDDATKWHEEISSQPIFNQMPMALNESAEIQRDSETIGTERSLVEAHSQCLEEEFVSSQDSFESSVTQGAVGIRSYSVSNSEAEDPITGCQSNKIHMSISTNQQMEKVTKFQDLYHQVNGSSILYDGSKNGYIECGQLKTRSDRIDDLNGISSFTNLLNLYNEKVQVPVAPSKSNQLHMYPDFGELEPWRFANFSEEIRSSWPSTASRFNVKKDEKNKSRNEELSGSVVNSSVQQNILWTSQETPMMDPHASFRQQSTDQQNNSQPRSSNGCNQPSYYSHQCEGNQNFQLEKTSVSEPVKHTEPLLGKKSGSMQHVQNVNELKKNSCSVVDSFSVVNKQIHMENQSVDSNLQEQLYSYGQSHNEANTNISKGRKGRAGSDKKNAVDWDMLRKQAQANGRKKERNKETMDSLDYEALINANVKDISDAIKERGMNNMLAERIQEFLNRLVREHGSIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPMLESIQKYLWPRLCKLDQLTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSSSVSVEAEINPTVAVTPMSLPPPVSIAEINPTIAVTPMSLPPPENNSLQKASTETNKCEPIIEEPATPEQEFTELSQSDIEDLFYEDPDEIPTIKLNMEEFTATLQNYMQENMELQEGDMSKALVSLNREAASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGMDKREPDDPSPYLLAIWTPGETAYSIQPPESRCGSQDQNKMCNEKTCFSCNSIREENAQTVRGTILIPCRTAMRGSFPLNGTYFQVNEMFADHDSSHSPIDVPRGWIWNLPRRTVYFGTSVSTIFKGLSTEGIQYCFWRGYVCVRGFDRKTRAPRPLIARLHFPASRLTKTKNEEKR >ONH94228 pep chromosome:Prunus_persica_NCBIv2:G7:565648:578928:1 gene:PRUPE_7G005000 transcript:ONH94228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGGGFLLPQDEDLRFMDSWVPATPEKPIPLKRHPIPIPVNPLGNHLQGANWQQTGISREHVQMGASYNDMTQTVSPNGQLQRNGGYNGYDGSLAEKNQMINHVACSYSTGSFTQLLRPDNASWNNNPITQLLHDKAAFVASANRNLSRSVDIAVNTPLIPKLHPQLGNQGNNSGCSLLTNQNCSNGSYSSSSVMSRSLVMDFPSQVDASQRDSNSVHWLFSNQNHCSSPNPLSNGDSSSLICQDGFPVQFLPSHDLNSSPRTEADAASCIASQHPFTTDQANNVENNELFAILKSLTDESASVEKDKEVKLVMSIGDEAIQKHGDELLQNIVESSSAAISTPYKKNKDSDWEGDRGIDLNKTPQQKPPKRRKHRPKVIREGKPKRTPKPAIPKNTESKESRPAKRKYVRKNVPKESPSQMSDVTRETADPNSGKAAKSCRRVLDFGLESTVDENQCNTVGQQEELQKGNKRTIDLTFETQGTHMGTGTNQVFKTKPSEPMGLQNELMVENQMPGTMSNPTPFMSHISNNYAFLPERKPSAAPFATTKDMHMKNLNVTRRHVENDISDLCQRRCRDGYIPIQQHSHAEGIDQDVIRAKTNGENLQKTKDYINQGGSQSVLTALSLPSEGRGSKRDYFRTIEHTHLSTNHPPSSLLCHDIFQFNGHQRNSCTLSKEFSESHKKQKFENGCLSIRDMPRKCTPVEECLGKVERKGENNVKSIGKVIERQNNTLLSSYIESSRMIERQNKGINKFTSDGYTHSIASGNNFLNQQTSSKSHSCQGFTLVHSFSTHSTIETCDQLTSSPPRKSFQPGNGQVFQTRKNNMSAKRKTLGSNISRSVSSGTDKVQQEQDASYDYQQPSAKVIGFPGRTRCSIPVDVIINQFNGLNLNGSCSKFLKHERNALVPYKGDGAVVPYERFIKKRKPLPKVELDPETNRIWNLLMGKEGSGGIEGNHKEKEKYWEEERKVFQGRVESFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPPKSSNAVTNILVEEPEVQMKSPDDATKWHEEISSQPIFNQMPMALNESAEIQRDSETIGTERSLVEAHSQCLEEEFVSSQDSFESSVTQGAVGIRSYSVSNSEAEDPITGCQSNKIHMSISTNQQMEKVTKFQDLYHQVNGSSILYDGSKNGYIECGQLKTRSDRIDDLNGISSFTNLLNLYNEKVQVPVAPSKSNQLHMYPDFGELEPWRFANFSEEIRSSWPSTASRFNVKKDEKNKSRNEELSGSVVNSSVQQNILWTSQETPMMDPHASFRQQSTDQQNNSQPRSSNGCNQPSYYSHQCEGNQNFQLEKTSVSEPVKHTEPLLGKKSGSMQHVQNVNELKKNSCSVVDSFSVVNKQIHMENQSVDSNLQEQLYSYGQSHNEANTNISKGRKGRAGSDKKNAVDWDMLRKQAQANGRKKERNKETMDSLDYEALINANVKDISDAIKERGMNNMLAERIQEFLNRLVREHGSIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPMLESIQKYLWPRLCKLDQLTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSSSVSVEAEINPTVAVTPMSLPPPVSIAEINPTIAVTPMSLPPPENNSLQKASTETNKCEPIIEEPATPEQEFTELSQSDIEDLFYEDPDEIPTIKLNMEEFTATLQNYMQENMELQEGDMSKALVSLNREAASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGMDKREPDDPSPYLLAIWTPGETAYSIQPPESRCGSQDQNKMCNEKTCFSCNSIREENAQTVRGTILIPCRTAMRGSFPLNGTYFQVNEMFADHDSSHSPIDVPRGWIWNLPRRTVYFGTSVSTIFKGLSTEGIQYCFWRGYVCVRGFDRKTRAPRPLIARLHFPASRLTKTKNEEKR >ONH94227 pep chromosome:Prunus_persica_NCBIv2:G7:565679:578928:1 gene:PRUPE_7G005000 transcript:ONH94227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGGGFLLPQDEDLRFMDSWVPATPEKPIPLKRHPIPIPVNPLGNHLQGANWQQTGISREHVQMGASYNDMTQTVSPNGQLQRNGGYNGYDGSLAEKNQMINHVACSYSTGSFTQLLRPDNASWNNNPITQLLHDKAAFVASANRNLSRSVDIAVNTPLIPKLHPQLGNQGNNSGCSLLTNQNCSNGSYSSSSVMSRSLVMDFPSQVDASQRDSNSVHWLFSNQNHCSSPNPLSNGDSSSLICQDGFPVQFLPSHDLNSSPRTEADAASCIASQHPFTTDQANNVENNELFAILKSLTDESASVEKDKEVKLVMSIGDEAIQKHGDELLQNIVESSSAAISTPYKKNKDSDWEGDRGIDLNKTPQQKPPKRRKHRPKVIREGKPKRTPKPAIPKNTESKESRPAKRKYVRKNVPKESPSQMSDVTRETADPNSGKAAKSCRRVLDFGLESTVDENQCNTVGQQEELQKGNKRTIDLTFETQGTHMGTGTNQVFKTKPSEPMGLQNELMVENQMPGTMSNPTPFMSHISNNYAFLPERKPSAAPFATTKDMHMKNLNVTRRHVENDISDLCQRRCRDGYIPIQQHSHAEGIDQDVIRAKTNGENLQKTKDYINQGGSQSVLTALSLPSEGRGSKRDYFRTIEHTHLSTNHPPSSLLCHDIFQFNGHQRNSCTLSKEFSESHKKQKFENGCLSIRDMPRKCTPVEECLGKVERKGENNVKSIGKVIERQNNTLLSSYIESSRMIERQNKGINKFTSDGYTHSIASGNNFLNQQTSSKSHSCQGFTLVHSFSTHSTIETCDQLTSSPPRKSFQPGNGQVFQTRKNNMSAKRKTLGSNISRSVSSGTDKVQQEQDASYDYQQPSAKVIGFPGRTRCSIPVDVIINQFNGLNLNGSCSKFLKHERNALVPYKGDGAVVPYERFIKKRKPLPKVELDPETNRIWNLLMGKEGSGGIEGNHKEKEKYWEEERKVFQGRVESFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPPKSSNAVTNILVEEPEVQMKSPDDATKWHEEISSQPIFNQMPMALNESAEIQRDSETIGTERSLVEAHSQCLEEEFVSSQDSFESSVTQGAVGIRSYSVSNSEAEDPITGCQSNKIHMSISTNQQMEKVTKFQDLYHQVNGSSILYDGSKNGYIECGQLKTRSDRIDDLNGISSFTNLLNLYNEKVQVPVAPSKSNQLHMYPDFGELEPWRFANFSEEIRSSWPSTASRFNVKKDEKNKSRNEELSGSVVNSSVQQNILWTSQETPMMDPHASFRQQSTDQQNNSQPRSSNGCNQPSYYSHQCEGNQNFQLEKTSVSEPVKHTEPLLGKKSGSMQHVQNVNELKKNSCSVVDSFSVVNKQIHMENQSVDSNLQEQLYSYGQSHNEANTNISKGRKGRAGSDKKNAVDWDMLRKQAQANGRKKERNKETMDSLDYEALINANVKDISDAIKERGMNNMLAERIQEFLNRLVREHGSIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPMLESIQKYLWPRLCKLDQLTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSSSVSVEAEINPTVAVTPMSLPPPVSIAEINPTIAVTPMSLPPPENNSLQKASTETNKCEPIIEEPATPEQEFTELSQSDIEDLFYEDPDEIPTIKLNMEEFTATLQNYMQENMELQEGDMSKALVSLNREAASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGMDKREPDDPSPYLLAIWTPGETAYSIQPPESRCGSQDQNKMCNEKTCFSCNSIREENAQTVRGTILIPCRTAMRGSFPLNGTYFQVNEMFADHDSSHSPIDVPRGWIWNLPRRTVYFGTSVSTIFKGLSTEGIQYCFWRGYVCVRGFDRKTRAPRPLIARLHFPASRLTKTKNEEKR >ONH97299 pep chromosome:Prunus_persica_NCBIv2:G7:17760461:17761147:1 gene:PRUPE_7G182400 transcript:ONH97299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDVFNAAKEGKIDVLRGHDPQHLNKILTPTRNTVLQVYIAYASTPKLVKPKEEAPIKPTSFVEDILQICPTLLWQQNESGETALHMAAKHGLAEIVELLIQTAKARRCEDLEHGAAAFALSSSSEEEAACWKNFIRTPSKEKDTALHEAVRFKHLGVVEILIREYPDFSYPPNVAGETPLYLAAERKYKALFSEILRTCKHPTYQGPNGRTALHAAVIYGDEGRYI >ONH96703 pep chromosome:Prunus_persica_NCBIv2:G7:15969364:15970641:-1 gene:PRUPE_7G146700 transcript:ONH96703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTKLNILCSLLMASLFGYSASVQLDDSDWYFWFSLYGGACVVNLVNWAISSKAIKHVAEGALWLGIFLFIRVIAESTLVDGVSGFWSLDLSERVIREKIGSGLVIISMILQLTASSLEAPPHKQQNKFPRYVEYGENWNLTGMAILVGFSYGLPFVFFVVQKGELKF >ONH96702 pep chromosome:Prunus_persica_NCBIv2:G7:15969364:15970627:-1 gene:PRUPE_7G146700 transcript:ONH96702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTKLNILCSLLMASLFGYSASVQLDDSDWYFWFSLYGGACVVNLVNWAISSKAIKHVAEGALWLGIFLFIRVIAESTLVDGVSGFWSLDLSERVIREKIGSGLVIISMILQLTASSLEAPPHKQQNKFPRYVEYGMAILVGFSYGLPFVFFVVQKGELKF >ONH94557 pep chromosome:Prunus_persica_NCBIv2:G7:3441804:3446516:-1 gene:PRUPE_7G022300 transcript:ONH94557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPVSLARKTPLLNRFVGFSRRPSLHSGPQSRIAANPVQIRGFRSSSLSHCHSHAPHSHSYCLNSGNGVVFKDLGRSEIGFRQLGFDRFRLSVVSDGGSGGTGGYGGSGDGNYGGRGEGGAGDGGRGENNWSLLSWYLDLLAKYPVSTKALTSAFLTLIGDVICQLVIDKTPDLDLKRTFLFTLLGLVLVGPTLHFWYLYLSKLVTTPGASGAFQRLLLDQFIFSPIFIGVFLSTLMTLEGKPSQVVPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANSISLIWNVILSFKAHKEVLQK >ONH94558 pep chromosome:Prunus_persica_NCBIv2:G7:3439830:3446654:-1 gene:PRUPE_7G022300 transcript:ONH94558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPVSLARKTPLLNRFVGFSRRPSLHSGPQSRIAANPVQIRGFRSSSLSHCHSHAPHSHSYCLNSGNGVVFKDLGRSEIGFRQLGFDRFRLSVVSDGGSGGTGGYGGSGDGNYGGRGEGGAGDGGRGENNWSLLSWYLDLLAKYPVSTKALTSAFLTLIGDVICQLVIDKTPDLDLKRTFLFTLLGLVLVGPTLHFWYLYLSKLVTTPGASGAFQRLLLDQFIFSPIFIGVFLSTLMTLEGKPSQVVPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANSISLIWNVILSFKAHKEVLQK >ONH94559 pep chromosome:Prunus_persica_NCBIv2:G7:3439830:3446653:-1 gene:PRUPE_7G022300 transcript:ONH94559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPVSLARKTPLLNRFVGFSRRPSLHSGPQSRIAANPVQIRGFRSSSLSHCHSHAPHSHSYCLNSGNGVVFKDLGRSEIGFRQLGFDRFRLSVVSDGGSGGTGGYGGSGDGNYGGRGEGGAGDGGRGENNWSLLSWYLDLLAKYPVSTKALTSAFLTLIGDVICQLVIDKTPDLDLKRTFLFTLLGLVLVGPTLHFWYLYLSKLVTTPGASVYFLSYIHWSFLINIDDTGGKTFTSCTQASTGVVFCCSR >ONH94555 pep chromosome:Prunus_persica_NCBIv2:G7:3439830:3446653:-1 gene:PRUPE_7G022300 transcript:ONH94555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPVSLARKTPLLNRFVGFSRRPSLHSGPQSRIAANPVQIRGFRSSSLSHCHSHAPHSHSYCLNSGNGVVFKDLGRSEIGFRQLGFDRFRLSVVSDGGSGGTGGYGGSGDGNYGGRGEGGAGDGGRGENNWSLLSWYLDLLAKYPVSTKALTSAFLTLIGDVICQLVIDKTPDLDLKRTFLFTLLGLVLVGPTLHFWYLYLSKLVTTPGASGAFQRLLLDQFIFSPIFIGVFLSTLMTLEGKPSQVVPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANSISLIWNVILSFKAHKEVLQK >ONH94556 pep chromosome:Prunus_persica_NCBIv2:G7:3439830:3446655:-1 gene:PRUPE_7G022300 transcript:ONH94556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPVSLARKTPLLNRFVGFSRRPSLHSGPQSRIAANPVQIRGFRSSSLSHCHSHAPHSHSYCLNSGNGVVFKDLGRSEIGFRQLGFDRFRLSVVSDGGSGGTGGYGGSGDGNYGGRGEGGAGDGGRGENNWSLLSWYLDLLAKYPVSTKALTSAFLTLIGDVICQLVIDKTPDLDLKRTFLFTLLGLVLVGPTLHFWYLYLSKLVTTPGASGAFQRLLLDQFIFSPIFIGVFLSTLMTLEGKPSQVVPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANSISLIWNVILSFKAHKEVLQK >ONH95585 pep chromosome:Prunus_persica_NCBIv2:G7:11503825:11505660:1 gene:PRUPE_7G079300 transcript:ONH95585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALSLGDASKPFLFLDKAPKMGSKDLGFCMGLGGAFSARSDESRESIHEGDHDQDERRRRRVSSDPPVQLNLLPSAPVPRSHASSQLRFPWLTDNLAVSEPAGSSDGPGRGLDVNRMPGMVAAAEEAEDAAAPLSSPNSTVSSFQMDFGVGNGGRSSKRDLELETDRASSRASDDEDNGSTRKKLRLSKDQSAFLEESFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARYNTFQTEVDCEYLKRCCETLTDENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVVTTAAANTTNNNNNNSLKSALTKPRLHHPFAQAQVHMQHQVQASKGAAA >ONH95586 pep chromosome:Prunus_persica_NCBIv2:G7:11503419:11506087:1 gene:PRUPE_7G079300 transcript:ONH95586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALSLGDASKPFLFLDKAPKMGSKDLGFCMGLGGAFSARSDESRESIHEGDHDQDERRRRRVSSDPPVQLNLLPSAPVPRSHASSQLRFPWLTDNLAVSEPAGSSDGPGRGLDVNRMPGMVAAAEEAEDAAAPLSSPNSTVSSFQMDFGVGNGGRSSKRDLELETDRASSRASDDEDNGSTRKKLRLSKDQSAFLEESFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTDENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVVTTAAANTTNNNNNNSLKSALTKPRLHHPFAQAQVHMQHQVQASKGAAA >ONH94783 pep chromosome:Prunus_persica_NCBIv2:G7:5526720:5527043:-1 gene:PRUPE_7G030100 transcript:ONH94783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKICVVIRSFHHPNLLWGLPPSTRRIALPESRVLYTVLRSPHIDKKSREQFEMEIKKQYLVIKTQPHELQKKFFWLKRQRIFGAQYELLFSCKTRLDKEQLQKLL >ONH94782 pep chromosome:Prunus_persica_NCBIv2:G7:5526162:5529254:-1 gene:PRUPE_7G030100 transcript:ONH94782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKICVVIRSFHHPNLLWGLPPSTRRIALPESRVLYTVLRSPHIDKKSREQFEMEIKKQYLVIKTQPHELQKKFFWLKRQRIFGAQYELLFSCKTRLDKEQLQKLL >ONH94781 pep chromosome:Prunus_persica_NCBIv2:G7:5526159:5529333:-1 gene:PRUPE_7G030100 transcript:ONH94781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKICVVIRSFHHPNLLWGLPPSTRRIALPESRVLYTVLRSPHIDKKSREQFEMEIKKQYLVIKTQPHELQKKFFWLKRQRIFGAQYELLFSCKTRLDKEQLQKLL >ONH95855 pep chromosome:Prunus_persica_NCBIv2:G7:12503352:12507065:1 gene:PRUPE_7G092400 transcript:ONH95855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSPLPPGFRFSPTDVELVQYYLKRKVMGKRLHFNFIAEVDIHKYAPWDLPEKSCWQGDLKWYFFCPTSRKYPTGARVKRANECGYWKATGKDRSVLYNGEVSGWIKTLIFHRGRAPKGERTDWVMHEYRLEAKNLADSDVLQDSYVICMIFQKDGPGPRNGAEYGAPFKEEDWTDDEAEICSEAVPHANMPEPNLVVPSNYNSSIITSTHSPEGIHIGPSSESCISDALPPSCNVLQLVSSNHVTMEKPHVSNDDILSMLNCFTEGSTSLMKENDKNEELGNVIPSGNACATPHVNSDDIYEDLGDLGKLARVSEDGYNFSTVHNSVCAPAQMLLGDNDQFLELDDLGRSVELP >ONH95856 pep chromosome:Prunus_persica_NCBIv2:G7:12504417:12506641:1 gene:PRUPE_7G092400 transcript:ONH95856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSPLPPGFRFSPTDVELVQYYLKRKVMGKRLHFNFIAEVDIHKYAPWDLPEKSCWQGDLKWYFFCPTSRKYPTGARVKRANECGYWKATGKDRSVLYNGEVSGWIKTLIFHRGRAPKGERTDWVMHEYRLEAKNLADSDVLQDSYVICMIFQKDGPGPRNGAEYGAPFKEEDWTDDEAEICSEAVPHANMPEPNLVVPSNYNSSIITSTHSPEGIHIGPSSESCISDALPPSCNVLQLVSSNHVTMEKPHVSNDDILSMLNCFTEGSTSLMKENDKNEVVERDKLAFFFPDEGLGSATSVHLSLVMLFLLEMLVLHLMSIVMIFMKI >ONH95854 pep chromosome:Prunus_persica_NCBIv2:G7:12503373:12507065:1 gene:PRUPE_7G092400 transcript:ONH95854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSPLPPGFRFSPTDVELVQYYLKRKVMGKRLHFNFIAEVDIHKYAPWDLPEKSCWQGDLKWYFFCPTSRKYPTGARVKRANECGYWKATGKDRSVLYNGEVSGWIKTLIFHRGRAPKGERTDWVMHEYRLEAKNLADSDVLQDSYVICMIFQKDGPGPRNGAEYGAPFKEEDWTDDEAEICSEAVPHANMPEPNLVVPSNYNSSIITSTHSPEGIHIGPSSESCISDALPPSCNVLQLVSSNHVTMEKPHVSNDDILSMLNCFTEGSTSLMKENDKNEVVERDKLAFFFPDEGLGSATSELGNVIPSGNACATPHVNSDDIYEDLGDLGKLARVSEDGYNFSTVHNSVCAPAQMLLGDNDQFLELDDLGRSVELP >ONH95857 pep chromosome:Prunus_persica_NCBIv2:G7:12503373:12507065:1 gene:PRUPE_7G092400 transcript:ONH95857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSPLPPGFRFSPTDVELVQYYLKRKVMGKRLHFNFIAEVDIHKYAPWDLPEKSCWQGDLKWYFFCPTSRKYPTGARVKRANECGYWKATGKDRSVLYNGEVSGWIKTLIFHRGRAPKGERTDWVMHEYRLEAKNLADSDVLQDSYVICMIFQKDGPGPRNGAEYGAPFKEEDWTDDEAEICSEAVPHANMPEPNLVVPSNYNSSIITSTHSPEVIMLQWRSLMFPMMIFCQC >ONH95858 pep chromosome:Prunus_persica_NCBIv2:G7:12503373:12507065:1 gene:PRUPE_7G092400 transcript:ONH95858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSPLPPGFRFSPTDVELVQYYLKRKVMGKRLHFNFIAEVDIHKYAPWDLPEKSCWQGDLKWYFFCPTSRKYPTGARVKRANECGYWKATGKDRSVLYNGEVSGWIKTLIFHRGRAPKGERTDWVMHEYRLEAKNLADSDVLQDSYVICMIFQKDGPGPRNGAEYGAPFKEEDWTDDEAEICSEAVPHANMPEPNLVVPSNYNSSIITSTHSPEVIMLQWRSLMFPMMIFCQC >ONH97135 pep chromosome:Prunus_persica_NCBIv2:G7:17293330:17297412:-1 gene:PRUPE_7G171500 transcript:ONH97135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNTVVVLSSDEEDRDRSSSANRRYTPTKLTSTVPRTNPRRSKRARVSSSRASLGTVPSNWDEVKLFCEDFDEVFSGFKVSDGSGRSNVKELWVDKYKPRSFEELAVHKKKVEEVKLWFEERLASSKGSSSNHVLVITGQAGVGKSATIHAIASHLGATLCEWNTPTPVVWQEHLYNSSAGIRYMSKLDEFENFVERIRKYGLIPSSLNEGSKSSIILLIDDLPVTNGRVAFGRLQNCLHLLVQSTRIPTAILITDYGKADSADHSTGYLEELQLSLENVGACKVSFNPITDNSIKKVLSRICKEEQCNVTTEQTCNYISAFFFLKPNPRHLLSSSSPATTSAKEKPYEVNALDDGFSFQFGRDDTLSLFHALGKFLHNKRETENVMADGDAFHVREGLSRLPLKMDAPEKILCQAHGQARPVADFLHENVLDFLSEEAIDDAWAVASYLGDADLLLATFRGMLSRQYEAENILQSAGASVAARGVLFGNFHPLPSRYSLYA >ONH97568 pep chromosome:Prunus_persica_NCBIv2:G7:18591957:18593834:1 gene:PRUPE_7G197200 transcript:ONH97568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMDPATPPPAATTSLHLLPETTQLLQLQPQPQSQPHQNAVALQSLSDRVSELGLDLGLSLRGGGAGKQSKPCAWGERGRILTAKDFPSMVGSAVPYSRGWLSHCCLAMVANRDKNEDEATGKHGLEENRDALKVLRAADEASRQ >ONH94158 pep chromosome:Prunus_persica_NCBIv2:G7:216927:219940:-1 gene:PRUPE_7G001500 transcript:ONH94158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLRLRLPNPSKHNSFPPPPNNPNLITTTINPIFTPSNHNPTHIAQSFRSYKTLAASTTTSANNGVIDKDNPTDFQEGEQGSSQHKNFWGAVSLIIGTAVGPGMLGLPAETIRSGPLPSTIAILVSWVYVISSIILIAELSFAAMEEDGVEEVSFTGLATKALGSHFGAFIAVVYACLSFALLVACVSGIGSIVSQLFPRMNLVMAHALFPLAAGLVIIFFPFNVIDAANRFLCLLMLFSITALVAIGLSVARTNLFASFGHASWSLPSILPAIPVTVLTLGFHVITPFICKIAGNTIAEARKAILIGGSVPLIMVLSWNLSVLGLSGANTTASSRDPISLLLSVNPSALSAVQGFAFSALATSLIGYAVSFPKQLLDTLELIRKINLKKQSYSEIQLGSEGNGFGGVGFAVYSGRHNLGNAGRASFTSSGCSAASEAKLGSSINIIVMPLVLGVPVLIASFFRSTFSRALDFAGLYANCFLFGILPPAMAYIHQSRKKSRSSILPGGNGALLLLFGIAVILGIWH >ONH97425 pep chromosome:Prunus_persica_NCBIv2:G7:18164598:18177069:1 gene:PRUPE_7G189500 transcript:ONH97425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAGGFVTRAFESMLKECSPKKHADLQKAIQAYIDSTKEVNQTQQTISSEKNQATTSAGDGSSLETEGGAAKTDTEPDQSQNTAEEADSVAGPVSTSATISTVLAKAGNTLEGAQAELVLNPLRLAFETKNLKVLEPALDCLHKLIAYDHLEGDPGLDDGKSVPLFADLLNMVCSCVDNSSSDSTVLQVLKVLLTAVASTKFRVHGEPLLGVIRVCYNIALHSKSPINQATSKAMLTQMISIIFRRMETDPGLEDASSGSVGHIETISGQSSNTKAEETSLEDQSEKEMTLGDQLNQAKDTPIASVEELHNLAGGADIKGLEAVLDKAVHLEDGKKITRGIDLESMSIVQRDALLVFRTLCKMGMKEDNNEVTLKTRILSLELLQGLLEGVGHPFTRNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFLVLLLRFRESLKGEIGIFFPLIVLRSLDGLDFPINQKLSVLRMVEKVCKDPQMLVDIFVNYDCDLEAPNLFERMVTTLSRIAQGTLNADPNMVAVSQTTSIKGSSLQCLVNVLKSLVDWEKSRGESENQSKRTQSLEGEASAKEAVDVPSNFEKAKAHKSTLEAAISEFNRQPVKGVEYLRSNKLVENTPHSVAQFLRSTPSLDKAMIGEYLGHHEEFPLAVMHAYVDSMKFSGMKFDTAIRELLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYILAYAVIMLNTDAHNPMVWPKMSKSDFIRMNAMDDAEECAPTELLEEIYDSIVKEEIKMKDDTVGLERSGRNKPEGEERGRLVSILNLALPRRTLSADTKSESEAIIKKTQAIFRNQGAKRGVFYSTQQLDLVRPMVEAVGWPLLATFSVTMEEGENKSRVVLCMEGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLSLCDMETGSLQDTWNAVLECVSRLEFITSTPSIAATVMHGSNQISKDAVLQSLRELAGKPSEQVFVNSVQLPSDSVVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHDEKIAMYAIDSLRQLGVKYLERAELANFTFQNDILKPFVVLMRNSRSETIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNRTSHRISLKAIALLRICEDRLAEGLIPGGALRPIDVNVDTTFDVTEHYWFPMLAGLSDLTSDPRPEVRSCALEVLFDLLNERGSKFSSSFWESIFHRVLFPIFDHVRHAGKESLVSPDEEWFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQAVVSLSLGALVHLIEVGGHQFSENDWDTLLKSIRDALYTTQPLELLNALGFENLKNNRALIGDLEVNSGDSPSIKSDYEGVDSRRFDVSDNGRNPNASVLMDNKQDSGVQMNLDGSEGLPSPSGSAPKSAEGLQRNQTIGQRIMDNLFLRNLTSKPKGIASDASVPSSPIKVPEAVEPDVRDEEESSLLGTCRGKCITQLLLLGAIDSIQKKYWSKLKAPQKIAIMDILLSALEFAASYNSYTNLRTRMHQIPDERPPLNLLRQELAGTCIYLDILQKATSGFSANKEALAETNASQNVDIIEHSNDEEKVEGLAEEKLVSFCEQVLREASDLQSGSGETTNMDIHRVLELRSPIIIKVLKGMCYMNQQIFRRHLRNFYPLLTKLVCCDQMDVRGALGDLFRAQLKALLP >ONH97424 pep chromosome:Prunus_persica_NCBIv2:G7:18164064:18177007:1 gene:PRUPE_7G189500 transcript:ONH97424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAGGFVTRAFESMLKECSPKKHADLQKAIQAYIDSTKEVNQTQQTISSEKNQATTSAGDGSSLETEGGAAKTDTEPDQSQNTAEEADSVAGPVSTSATISTVLAKAGNTLEGAQAELVLNPLRLAFETKNLKVLEPALDCLHKLIAYDHLEGDPGLDDGKSVPLFADLLNMVCSCVDNSSSDSTVLQVLKVLLTAVASTKFRVHGEPLLGVIRVCYNIALHSKSPINQATSKAMLTQMISIIFRRMETDPGLEDASSGSVGHIETISGQSSNTKAEETSLEDQSEKEMTLGDQLNQAKDTPIASVEELHNLAGGADIKGLEAVLDKAVHLEDGKKITRGIDLESMSIVQRDALLVFRTLCKMGMKEDNNEVTLKTRILSLELLQGLLEGVGHPFTRNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFLVLLLRFRESLKGEIGIFFPLIVLRSLDGLDFPINQKLSVLRMVEKVCKDPQMLVDIFVNYDCDLEAPNLFERMVTTLSRIAQGTLNADPNMVAVSQTTSIKGSSLQCLVNVLKSLVDWEKSRGESENQSKRTQSLEGEASAKEAVDVPSNFEKAKAHKSTLEAAISEFNRQPVKGVEYLRSNKLVENTPHSVAQFLRSTPSLDKAMIGEYLGHHEEFPLAVMHAYVDSMKFSGMKFDTAIRELLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYILAYAVIMLNTDAHNPMVWPKMSKSDFIRMNAMDDAEECAPTELLEEIYDSIVKEEIKMKDDTVGLERSGRNKPEGEERGRLVSILNLALPRRTLSADTKSESEAIIKKTQAIFRNQGAKRGVFYSTQQLDLVRPMVEAVGWPLLATFSVTMEEGENKSRVVLCMEGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLSLCDMETGSLQDTWNAVLECVSRLEFITSTPSIAATVMHGSNQISKDAVLQSLRELAGKPSEQVFVNSVQLPSDSVVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHDEKIAMYAIDSLRQLGVKYLERAELANFTFQNDILKPFVVLMRNSRSETIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNRTSHRISLKAIALLRICEDRLAEGLIPGGALRPIDVNVDTTFDVTEHYWFPMLAGLSDLTSDPRPEVRSCALEVLFDLLNERGSKFSSSFWESIFHRVLFPIFDHVRHAGKESLVSPDEEWFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQAVVSLSLGALVHLIEVGGHQFSENDWDTLLKSIRDALYTTQPLELLNALGFENLKNNRALIGDLEVNSGDSPSIKSDYEGVDSRRFDVSDNGRNPNASVLMDNKQDSGVQMNLDGSEGLPSPSGSAPKSAEGLQRNQTIGQRIMDNLFLRNLTSKPKGIASDASVPSSPIKVPEAVEPDVRDEEESSLLGTCRGKCITQLLLLGAIDSIQKKYWSKLKAPQKIAIMDILLSALEFAASYNSYTNLRTRMHQIPDERPPLNLLRQELAGTCIYLDILQKATSGFSANKEALAETNASQNVDIIEHSNDEEKVEGLAEEKLVSFCEQVLREASDLQSGSGETTNMDIHRVLELRSPIIIKVLKGMCYMNQQIFRRHLRNFYPLLTKLVCCDQMDVRGALGDLFRAQLKALLP >ONH97426 pep chromosome:Prunus_persica_NCBIv2:G7:18165072:18176789:1 gene:PRUPE_7G189500 transcript:ONH97426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAGGFVTRAFESMLKECSPKKHADLQKAIQAYIDSTKEVNQTQQTISSEKNQATTSAGDGSSLETEGGAAKTDTEPDQSQNTAEEADSVAGPVSTSATISTVLAKAGNTLEGAQAELVLNPLRLAFETKNLKVLEPALDCLHKLIAYDHLEGDPGLDDGKSVPLFADLLNMVCSCVDNSSSDSTVLQVLKVLLTAVASTKFRVHGEPLLGVIRVCYNIALHSKSPINQATSKAMLTQMISIIFRRMETDPGLEDASSGSVGHIETISGQSSNTKAEETSLEDQSEKEMTLGDQLNQAKDTPIASVEELHNLAGGADIKGLEAVLDKAVHLEDGKKITRGIDLESMSIVQRDALLVFRTLCKMGMKEDNNEVTLKTRILSLELLQGLLEGVGHPFTRNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFLVLLLRFRESLKGEIGIFFPLIVLRSLDGLDFPINQKLSVLRMVEKVCKDPQMLVDIFVNYDCDLEAPNLFERMVTTLSRIAQGTLNADPNMVAVSQTTSIKGSSLQCLVNVLKSLVDWEKSRGESENQSKRTQSLEGEASAKEAVDVPSNFEKAKAHKSTLEAAISEFNRQPVKGVEYLRSNKLVENTPHSVAQFLRSTPSLDKAMIGEYLGHHEEFPLAVMHAYVDSMKFSGMKFDTAIRELLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYILAYAVIMLNTDAHNPMVWPKMSKSDFIRMNAMDDAEECAPTELLEEIYDSIVKEEIKMKDDTVGLERSGRNKPEGEERGRLVSILNLALPRRTLSADTKSESEAIIKKTQAIFRNQGAKRGVFYSTQQLDLVRPMVEAVGWPLLATFSVTMEEGENKSRVVLCMEGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLSLCDMETGSLQDTWNAVLECVSRLEFITSTPSIAATVMHGSNQISKDAVLQSLRELAGKPSEQVFVNSVQLPSDSVVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHDEKIAMYAIDSLRQLGVKYLERAELANFTFQNDILKPFVVLMRNSRSETIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNRTSHRISLKAIALLRICEDRLAEGLIPGGALRPIDVNVDTTFDVTEHYWFPMLAGLSDLTSDPRPEVRSCALEVLFDLLNERGSKFSSSFWESIFHRVLFPIFDHVRHAGKESLVSPDEEWFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQAVVSLSLGALVHLIEVGGHQFSENDWDTLLKSIRDALYTTQPLELLNALGFENLKNNRALIGDLEVNSGDSPSIKSDYEGVDSRRFDVSDNGRNPNASVLMDNKQDSGVQMNLDGSEGLPSPSGSAPKSAEGLQRNQTIGQRIMDNLFLRNLTSKPKGIASDASVPSSPIKVPEAVEPDVRDEEESSLLGTCRGKCITQLLLLGAIDSIQKKYWSKLKAPQKIAIMDILLSALEFAASYNSYTNLRTRMHQIPDERPPLNLLRQELAGTCIYLDILQKATSGFSANKENILMMKRKSKD >ONH97910 pep chromosome:Prunus_persica_NCBIv2:G7:19624188:19627550:-1 gene:PRUPE_7G217800 transcript:ONH97910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFRLIFATSLLLALLDLCRGSTIGICYGRNADDLPTPDKAAQLVQLHNIKYVRIYDSNIQVLKAFGNTGVELMIGVPNSDLLAISQYQSNADTWLKNSILPYYPATKITYITVGAEVTESPSNFSAQVVPAMRNVLTALKKVGLSKKIKVSTTHSLGVLSRSFPPSAGAFNSSHASFLKPLLEFLAENQSPFMVDIYPYYAYRDSPSNVTLDYALFESSSDVIDPNTGLLYTNMLDAQIDAIYYALMALNFKTIKIMVTETGWPSKGSPKETAATPDNAQTYNTNLIRHVINNTGTPAKPGERLDIYIFSLFNENRKPGLDSERNWGLFYPDQTSVYNLDFTGKGSADVITQANVTSSNVTTWCIASSKASELDLQNALDWACGPGNVDCTAIQPSQPCFEPDNTVAHASFAFNNYYQQNGATDVACSFGGTGIKVDKNPKRSSRLSGWQGVFDVYVSGWKASFSFNCPSNLLSGSSYA >ONH97909 pep chromosome:Prunus_persica_NCBIv2:G7:19623178:19627550:-1 gene:PRUPE_7G217800 transcript:ONH97909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFRLIFATSLLLALLDLCRGSTIGICYGRNADDLPTPDKAAQLVQLHNIKYVRIYDSNIQVLKAFGNTGVELMIGVPNSDLLAISQYQSNADTWLKNSILPYYPATKITYITVGAEVTESPSNFSAQVVPAMRNVLTALKKVGLSKKIKVSTTHSLGVLSRSFPPSAGAFNSSHASFLKPLLEFLAENQSPFMVDIYPYYAYRDSPSNVTLDYALFESSSDVIDPNTGLLYTNMLDAQIDAIYYALMALNFKTIKIMVTETGWPSKGSPKETAATPDNAQTYNTNLIRHVINNTGTPAKPGERLDIYIFSLFNENRKPGLDSERNWGLFYPDQTSVYNLDFTGKGSADVITQANVTSSNVTTWCIASSKASELDLQNALDWACGPGNVDCTAIQPSQPCFEPDNTVAHASFAFNNYYQQNGATDVACSFGGTGIKVDKNPSYDNCIYMTAGSNKTVASNTTAISSTSSFATMNRVPTWMFSCLLGTFMLVLSKS >ONH95350 pep chromosome:Prunus_persica_NCBIv2:G7:10431346:10435753:1 gene:PRUPE_7G065800 transcript:ONH95350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHLTVETEDTFASLLELAANNDIESFKRSIERDPSAIDEIGLWYCRQKGSKQMVNEQRTPLMVAAIYGSIDVIKLILSLSDADVNRACGLDRSTALHCAASGGAENAVDVVRLLLAAGADPNLVDANGHRPVEVIVVPPRLQNVRLALEELLMINGSAGEQILTVSTRTLHSSSPPLSASPENGSPSALDFTCSPTKSKFYNLPVSSASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTAEELRPLYVSTGSAVPSPRSSTSGASAMDFAAAMSLLPGSPSSVNVMSPSPFTPPMSPSANGMSHSSLAWPQPNVPALHLPGSNLQSSRLRSSLCARDMPANDYDIMPEFDMQQQQLLNEFSCLSQPSMSSNSLNRSGRLKTLTPSNLDDLFSAESLSPRYSDQSLQSGVFSPTHKSAVLNQFQQQQSMLSPIHTNFSPKSVDHPLLQASYGVPSSGRMSPRNVEPISPMGSRVSMLAQREKQQQFRSLSSRELGSNSASNVGSPVNCWSKWGSSNGKPDWAVTTDELGKLRRSSSFELLHNGEEPDLSWVQSLVKESPTEIKEKLTPISGVTATGSSSEGSNANSQRESVDHAVLGAWIDQMHLDLVAQQN >ONH95349 pep chromosome:Prunus_persica_NCBIv2:G7:10431283:10435753:1 gene:PRUPE_7G065800 transcript:ONH95349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHLTVETEDTFASLLELAANNDIESFKRSIERDPSAIDEIGLWYCRQKGSKQMVNEQRTPLMVAAIYGSIDVIKLILSLSDADVNRACGLDRSTALHCAASGGAENAVDVVRLLLAAGADPNLVDANGHRPVEVIVVPPRLQNVRLALEELLMINGSAGEQILTVSTRTLHSSSPPLSASPENGSPSALDFTCSPTKSKFYNLPVSSASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTAEELRPLYVSTGSAVPSPRSSTSGASAMDFAAAMSLLPGSPSSVNVMSPSPFTPPMSPSANGMSHSSLAWPQPNVPALHLPGSNLQSSRLRSSLCARDMPANDYDIMPEFDMQQQQLLNEFSCLSQPSMSSNSLNRSGRLKTLTPSNLDDLFSAESLSPRYSDQSLQSGVFSPTHKSAVLNQFQQQQSMLSPIHTNFSPKSVDHPLLQASYGVPSSGRMSPRNVEPISPMGSRVSMLAQREKQQQFRSLSSRELGSNSASNVGSPVNCWSKWGSSNGKPDWAVTTDELGKLRRSSSFELLHNGEEPDLSWVQSLVKESPTEIKEKLTPISGVTATGSSSEGSNANSQRESVDHAVLGAWIDQMHLDLVAQQN >ONH95348 pep chromosome:Prunus_persica_NCBIv2:G7:10431283:10435753:1 gene:PRUPE_7G065800 transcript:ONH95348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHLTVETEDTFASLLELAANNDIESFKRSIERDPSAIDEIGLWYCRQKGSKQMVNEQRTPLMVAAIYGSIDVIKLILSLSDADVNRACGLDRSTALHCAASGGAENAVDVVRLLLAAGADPNLVDANGHRPVEVIVVPPRLQNVRLALEELLMINGSAGEQILTVSTRTLHSSSPPLSASPENGSPSALDFTCSPTKSKFYNLPVSSASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTAEELRPLYVSTGSAVPSPRSSTSGASAMDFAAAMSLLPGSPSSVNVMSPSPFTPPMSPSANGMSHSSLAWPQPNVPALHLPGSNLQSSRLRSSLCARDMPANDYDIMPEFDMQQQQLLNEFSCLSQPSMSSNSLNRSGRLKTLTPSNLDDLFSAESLSPRYSDQSLQSGVFSPTHKSAVLNQFQQQQSMLSPIHTNFSPKSVDHPLLQASYGVPSSGRMSPRNVEPISPMGSRVSMLAQREKQQQFRSLSSRELGSNSASNVGSPVNCWSKWGSSNGKPDWAVTTDELGKLRRSSSFELLHNGEEPDLSWVQSLVKESPTEIKEKLTPISGVTATGSSSEGSNANSQRESVDHAVLGAWIDQMHLDLVAQQN >ONH96821 pep chromosome:Prunus_persica_NCBIv2:G7:16396993:16401265:1 gene:PRUPE_7G154100 transcript:ONH96821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLCNGCIRCRDERLRGRVCVLDRSLSSDLCHDRCACMAGHKNTLIKKQKRFFGLEGRLHGVLPRVSPSVVWCVICVVLSLLCFIFRSPAHPSMLDRGQQRRPNLSRPSNGLYSHYRWFVGVWLLEAISSMKPECFYSFINQSRLFSITSCLVAGRFKFC >ONH96822 pep chromosome:Prunus_persica_NCBIv2:G7:16397614:16398039:1 gene:PRUPE_7G154100 transcript:ONH96822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLCNGCIRCRDERLRGRVCVLDRSLSSDLCHDRCACMAGHKNTLIKKQKRFFGLEGRLHGVLPRVSPSVVWCVICVVLSLLCFIFRSPAHPSMLDRGQQRRPNLSRPRYYLPNSISIDMHPSNSSTEICHLHSQLTIII >ONH96820 pep chromosome:Prunus_persica_NCBIv2:G7:16396993:16401265:1 gene:PRUPE_7G154100 transcript:ONH96820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLCNGCIRCRDERLRGRVCVLDRSLSSDLCHDRCACMAGHKNTLIKKQKRFFGLEGRLHGVLPRVSPSVVWCVICVVLSLLCFIFRSPAHPSMLDRGQQRRPNLSRPSNGLYSHYRWFVGVWLLEAISSMKPECFYSFINQSRLFSITSCLVAGRFKFC >ONH95706 pep chromosome:Prunus_persica_NCBIv2:G7:12126133:12130555:-1 gene:PRUPE_7G086500 transcript:ONH95706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSFAPSSSPRFPALTPHCKTSSSFPSTFVKPTSKSPFASLSKCTQNLYSIGLYRSSKSLPNCQKRRNSIWACSQVEAAGSDPVLTRISDFKDACWRFLRPHTIRGTVLGSTALVTRALIENSNLIKWSLLFKAFSGLFALLCGNGYIVGINQIYDIKIDKVNKPYLPIAAGDLSVKSAWLLVVFFVVTGLLIVGMNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIATVRGFLLNFGVYYATRAALGLQFEWSSPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTFATKLGVRKIAFLGSGLLLLNYVGSIFAASFMPQAFRRSLMIPTHTILALSLIFQTWVLEQANYTKEAIAGYYRFIWNLFYAEYIIFPFI >ONH95705 pep chromosome:Prunus_persica_NCBIv2:G7:12125875:12130649:-1 gene:PRUPE_7G086500 transcript:ONH95705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSFAPSSSPRFPALTPHCKTSSSFPSTFVKPTSKSPFASLSKCTQNLYSIGLYRSSKSLPNCQKRRNSIWACSQVEAAGSDPVLTRISDFKDACWRFLRPHTIRGTVLGSTALVTRALIENSNLIKWSLLFKAFSGLFALLCGNGYIVGINQIYDIKIDKVNKPYLPIAAGDLSVKSAWLLVVFFVVTGLLIVGMNFGPFITSLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIATVRGFLLNFGVYYATRAALGLQFEWRFQISTFATKLGVRKIAFLGSGLLLLNYVGSIFAASFMPQAFRRSLMIPTHTILALSLIFQTWVLEQANYTKEAIAGYYRFIWNLFYAEYIIFPFI >ONH98643 pep chromosome:Prunus_persica_NCBIv2:G7:21634788:21638904:1 gene:PRUPE_7G259800 transcript:ONH98643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRCLLFISLLIHATLAAKAPNAAAEAPNAGQKAPAGSPTKKWLTLNGQEPLVIARGGFSGLFPESSTYAIDMAKSQSLPGYAFFCNLQLTKDSVGICLSNILLDNTTTISMFYPKDQKTYNVNGKDIRGWFALDFTADQLLNNVSLTQNIFSRPSYFDGTLPIATVEDALGIKPDKFWLNVPYDTFYSQHKISMASFVEKVIRFPSMNYISSPEIGFLKTVSAKVNKGRTKLIFQFLDPEETEPTTKQKYSALVKNLEMIKSVAAGILVPKEYIWPVNKNNYLEAATTLVTEAHKQGLEVHASGFANDIVLPYNYSFDPTAEYLQFVDQPNFSVDGVLTDFPPTASETIACFAQNNNSTKPKKGLPLIITRNGASGTYPGCTDLAYKQAVDDGADIIDCSVQLSSDGVAFCLDTIDLNSGTNALSQFMTKSETIPELQAEAGVFSFQLSWHEIQSLKPQIQSPYGTKEKVYRNPANKDVGKLVTLSDFLEFSKTKAVTGILINIENAAYLASKAGLDIVKTVTSALSNATFDKQSTQQVLIKSDDTSVLSKFKDVKTYRRVLNIKEKISDATKASVDEIKKFADAVTVTKDSIVKISDYFISGKTNVVKEMKAANISVYVHWLKNEFVSLMFDYFSDPIIEIASYVLDYQVDGIVTDFPATANKYMRCPCNDMDPKANIPYPILPVEPGSLLGLVVPEALSPAQAPSPALQASNVADPPLPEVVKKSDTSPPPSSSSSDSTDSGSKSTPAPGSPEKSSAFANAANLCLSLVAIVVLALLAN >ONH98644 pep chromosome:Prunus_persica_NCBIv2:G7:21636367:21638904:1 gene:PRUPE_7G259800 transcript:ONH98644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVEKVIRFPSMNYISSPEIGFLKTVSAKVNKGRTKLIFQFLDPEETEPTTKQKYSALVKNLEMIKSVAAGILVPKEYIWPVNKNNYLEAATTLVTEAHKQGLEVHASGFANDIVLPYNYSFDPTAEYLQFVDQPNFSVDGVLTDFPPTASETIACFAQNNNSTKPKKGLPLIITRNGASGTYPGCTDLAYKQAVDDGADIIDCSVQLSSDGVAFCLDTIDLNSGTNALSQFMTKSETIPELQAEAGVFSFQLSWHEIQSLKPQIQSPYGTKEKVYRNPANKDVGKLVTLSDFLEFSKTKAVTGILINIENAAYLASKAGLDIVKTVTSALSNATFDKQSTQQVLIKSDDTSVLSKFKDVKTYRRVLNIKEKISDATKASVDEIKKFADAVTVTKDSIVKISDYFISGKTNVVKEMKAANISVYVHWLKNEFVSLMFDYFSDPIIEIASYVLDYQVDGIVTDFPATANKYMRCPCNDMDPKANIPYPILPVEPGSLLGLVVPEALSPAQAPSPALQASNVADPPLPEVVKKSDTSPPPSSSSSDSTDSGSKSTPAPGSPEKSSAFANAANLCLSLVAIVVLALLAN >ONH96052 pep chromosome:Prunus_persica_NCBIv2:G7:13372538:13376965:1 gene:PRUPE_7G104700 transcript:ONH96052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISLNPPPSPPRSLYLKHKPLHPFPTLPKPKHSLKPSLHKSHNSTNVPSLLPATPKPQNDLPFSQTFHSLTSLKPYILSQHKPILLGWLCSLVSVYSLSKTVPKIGKFSTFIENLDPITLKNEGLAVGVLVLARLVAVYWQQAFLWDAALNSVYRVRAAVFEKVLERDLEFFEGYGGVLSGDIAYRITAEASDIADTVFALLNTTVPSALQLLAMAAQMLAISPLLSLISAVVIPCMALIIIRLGGKLRKISNKAHLSIAALSAYLNEVLPAILFVKANNAELCEYARFRKLAHDDLSAHLEKKKMKALIPQIVQIIYFGVLFMLCAGSLVFSSSSFDSGGMASFVTALIFLIEPIQGVGKAYNELKQGEPAIERLFELTRFKPMIKEKLDAVDLDQVTGEVKFCDVSFKYGDNMAYVLNGLNLHIKAGETVAVVGPSGGGKTTLVKLLLRLYDPLSGCILIDNHNIQSLKLTSLRRCVGLVSQDITLFSGTVAENIGYRDLLAKINMEMVEDAARTANADEFIRNLPDGYKTNIGPRGSSLSGGQKQRLAIARALYQNSSILILDEATSALDSRSELLVRQAVQRLMENHTVLVIAHRLETILMAKRVFRLDAGKLEEVTRSSLLGGQHMAWGSSFDCYGKQ >ONH96183 pep chromosome:Prunus_persica_NCBIv2:G7:13838164:13838733:-1 gene:PRUPE_7G111700 transcript:ONH96183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNWKKCCCCCCILIIVFFIAILTATLVIREYSPQHSINYQVTDASLTQFNLTTNKILQFNLATTINVENPNKRSDFHYEKFEAVASYKSQDLKNTKFDPFEVEHKDKHPLNAVFKGEQSVSLLDDEVSKFKATTVFDIVIKLNVKHWAKYTTFKITEEMKMKCNLKVPLNSNGKSTGKFEVAKCDKE >ONH95562 pep chromosome:Prunus_persica_NCBIv2:G7:11382658:11386640:1 gene:PRUPE_7G077500 transcript:ONH95562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTRQILGCSSGLVSHRWKPYSSTGSRQVSFISISTCSKPLLLSSSSSSSSTIIPTTTTLLLPGSSPRRTRFGAFSMSSAHSGPNPGGPSSSVAVHSAGNARKINFCQWCGGPTKHEIPDGEEKIRAICTVCDKIAYENPKMVVGCLIEHDNMVLLCKRKIQPSYGLWTLPAGYMEIGESATEGAIRETWEEACAEVEVLSSFAQLDIPLIGQTYVIFLARLKKPHFSPGPESSECRLFALDDIPFDSLAFSSMVVTLKLYAEDVRAGKLKFHYGIINKKPGTSPSDIHAYTLDYHLQS >ONH95561 pep chromosome:Prunus_persica_NCBIv2:G7:11382857:11386525:1 gene:PRUPE_7G077500 transcript:ONH95561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTRQILGCSSGLVSHRWKPYSSTGSRQVSFISISTCSKPLLLSSSSSSSSTIIPTTTTLLLPGSSPRRTRFGAFSMSSAHSGPNPGGPSSSVAVHSAGNARKINFCQWCGGPTKHEIPDGEEKIRAICTVCDKIAYENPKMVVGCLIEHDNMVLLCKRKIQPSYGLWTLPAGYMEIGESATEGAIRETWEEACAEVEVLSSFAQLDIPLIGQTYVIFLARLKKPHFSPGPESSECRLFALDDIPFDSLAFSSMVVTLKLYAEDVRAGKLKFHYGIINKKPGTSPSDIHAYTLDYHLQS >ONH97942 pep chromosome:Prunus_persica_NCBIv2:G7:19730750:19732088:-1 gene:PRUPE_7G220000 transcript:ONH97942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIAAVALVVVILLVAFILIPRGPKYGHTKKVDSNVTYDKPARMYTKAEVSLHNKRTDCWVIIKDKVYDVTSYVEEHPGGDAILDHAGDDSTEGFFGPQHATRVFDMINDFYIGDLKL >ONH97943 pep chromosome:Prunus_persica_NCBIv2:G7:19730464:19732741:-1 gene:PRUPE_7G220000 transcript:ONH97943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIAAVALVVVILLVAFILIPRGPKYGHTKKVDSNVTYDKPARMYTKAEVSLHNKRTDCWVIIKDKVYDVTSYVEEHPGGDAILDHAGDDSTEGFFGPQHATRVFDMINDFYIGDLKL >ONH94890 pep chromosome:Prunus_persica_NCBIv2:G7:6936921:6943937:1 gene:PRUPE_7G037600 transcript:ONH94890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGSTSTFSPSTTPQRKYDVFLSFRGDDTRKGFTDHLYETLRAQGIVTFRDEPKISKGKAISRELTAAIEGSRFALIVLSQNYASSTWCLDELLHILKFMEAREAVLPIFYYVDPSHVRKQTGCFEKAFTQLEERFSSDDKTKVQEWRDALAKVADFSGWKAKDWYETLLIKDIIDVIWKRLRPTSFTSVENSVGLDSSMNSIDLLLGAGVDDVRFIGIWGMGGIGKTTIARVVRERISPEFELSIFLENVSDNVQKGSTMIRRLFHHKKVLLILDDVTISDHLDYLAGKQEWFGSGSRVLITTRNEHLLVEHGVERRFHVKRLNHDDALKLFTLKVLGSFLRGRHVTAWNSALGKLRDLCNTVLGTLQISYDDLDDKEKKIFLDIACFFNGEKKDRVIEILDSCGFCASIGIDVIIEKSLLTNSYGTLWMHRLLQEMGREIVNRECLDEPGNRSRLWCHEEAKHVLSKNTGTDAVESITMDKTGPVVHGDAKCFSRMKKLRLLNLANANLSNDLEYLSDNLRSLEWAGYPSKYFPSHFNPENLLELNMCHSHIESFWTGVKILYNLKVIKLSHSLNLVNTPDFRGFPNLEYLILEGCIRLYKVDPSLGMLEKITQVNLKDCKSLVHLPRSVYGLKSVKVLNLSGCSKLEKLPNELGNAECLEELDVSGTAIRQLPSSVVQLKSLTVFNFRGSISNKKSRSHSFVAALSIWFGFLLKKLILSDCNLLEVPNDLSCLSSLTDLDLSRNQFVSLPNGISLLSRLQFLNLEYCERLQELPEVPQTVIVVVDNCISLERIARGSTERCKLLLRTQCINCFKFAEMHDFRSLEVPITQDVFQFVVPGNEIPEWFNHKSAQYIYNEEEWFNPQSVEYPLSVQLRPGWFTEKWMGFAVCIAFAIQERSPNCDHALKYTRYDYNYTHIITCKVDINGKEMTARRRPFVFLNAELGQAVSDHLSVLFFPRHFPQLWKGIIDQVKLPSGKEWWQGIFGQITFSIMARVGHGVIVKQCAARLVYEGDLEELDPRFSHRTRSNVSISEVDSEFPSYTNN >ONH98093 pep chromosome:Prunus_persica_NCBIv2:G7:20150532:20157535:-1 gene:PRUPE_7G228200 transcript:ONH98093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLDIKRKFVQRTERVKSLDLHPTEPWILASLYSGTVFIFNYQSQSMAKSFEVSELPVRSAKFVARKQWVVAAADDMFIRAYNYNTMDKVKVFEAHSDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWMCTQIFEGHCHYVMQVTFNPKDTNTFASASLDHTVKIWNLASPDPNFTLDAHVKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKTCVQTLEGHTHNVSAVCFHPELPVIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAFGYMKGSRRIVIGYDEGAIMVKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSVGADFEVADGERLPLAVKELGTCDLYPQSLQHNPNGRFVVVCGDGEYIIYTGLAWRNRSFGSALEFVWSSDGEYAVRESTSRIKIFSKAFQEKKNVRPTFSVEHIYGGVLLAMRSNDFVCFYDWVECRLIRRIDVNVKNVYWADSGDLVAISSDSSFYVLKYNRDIVSSYFDSGRPVDELGVEDAFELLFEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSCLYLIDKEFNVMGYTLLLSLIEYKTLIIRGDLERAKQIFPTIPPEQHNSVARFLESRGMLEDALVVATDADYKFDLAIQLGRLEIAMEIAKEAQSESKWKRLGELAMSTGKLEMAEDCLSHGKDFSGLLLLYSSLGDAQGLLKLTSLAKEQGKNNVAFLCLFMLGKVEECIQLLLESERIPEAALMARSYLPSKVSEIVSIWRNDLNKVNKRAAESLADPQEYPNLFEDWQVSLALESKSAENSGIHPPAEQYPIYAEKSTTSLVERFRSMQIDEEAPFENGDLDQEEVQENGENQDEGETVEEEDDSTNGVVLVNGDQGEELGVNDERTASP >ONH98094 pep chromosome:Prunus_persica_NCBIv2:G7:20148738:20157685:-1 gene:PRUPE_7G228200 transcript:ONH98094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLDIKRKFVQRTERVKSLDLHPTEPWILASLYSGTVFIFNYQSQSMAKSFEVSELPVRSAKFVARKQWVVAAADDMFIRAYNYNTMDKVKVFEAHSDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWMCTQIFEGHCHYVMQVTFNPKDTNTFASASLDHTVKIWNLASPDPNFTLDAHVKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKTCVQTLEGHTHNVSAVCFHPELPVIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAFGYMKGSRRIVIGYDEGAIMVKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSVGADFEVADGERLPLAVKELGTCDLYPQSLQHNPNGRFVVVCGDGEYIIYTGLAWRNRSFGSALEFVWSSDGEYAVRESTSRIKIFSKAFQEKKNVRPTFSVEHIYGGVLLAMRSNDFVCFYDWVECRLIRRIDVNVKNVYWADSGDLVAISSDSSFYVLKYNRDIVSSYFDSGRPVDELGVEDAFELLFEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSCLYLIDKEFNVMGYTLLLSLIEYKTLIIRGDLERAKQIFPTIPPEQHNSVARFLESRGMLEDALVVATDADYKFDLAIQLGRLEIAMEIAKEAQSESKWKRLGELAMSTGKLEMAEDCLSHGKDFSGLLLLYSSLGDAQGLLKLTSLAKEQGKNNVAFLCLFMLGKVEECIQLLLESERIPEAALMARSYLPSKVSEIVSIWRNDLNKVNKRAAESLADPQEYPNLFEDWQVSLALESKSAENSGIHPPAEQYPIYAEKSTTSLVERFRSMQIDEEAPFENGDLDQEEVQENGENQDEGETVEEEDDSTNGVVLVNGDQGEELGVNDERTASP >ONH98092 pep chromosome:Prunus_persica_NCBIv2:G7:20148738:20157739:-1 gene:PRUPE_7G228200 transcript:ONH98092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLDIKRKFVQRTERVKSLDLHPTEPWILASLYSGTVFIFNYQSQSMAKSFEVSELPVRSAKFVARKQWVVAAADDMFIRAYNYNTMDKVKVFEAHSDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWMCTQIFEGHCHYVMQVTFNPKDTNTFASASLDHTVKIWNLASPDPNFTLDAHVKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKTCVQTLEGHTHNVSAVCFHPELPVIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAFGYMKGSRRIVIGYDEGAIMVKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSVGADFEVADGERLPLAVKELGTCDLYPQSLQHNPNGRFVVVCGDGEYIIYTGLAWRNRSFGSALEFVWSSDGEYAVRESTSRIKIFSKAFQEKKNVRPTFSVEHIYGGVLLAMRSNDFVCFYDWVECRLIRRIDVNVKNVYWADSGDLVAISSDSSFYVLKYNRDIVSSYFDSGRPVDELGVEDAFELLFEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSCLYLIDKEFNVMGYTLLLSLIEYKTLIIRGDLERAKQIFPTIPPEQHNSVARFLESRGMLEDALVVATDADYKFDLAIQLGRLEIAMEIAKEAQSESKWKRLGELAMSTGKLEMAEDCLSHGKDFSGLLLLYSSLGDAQGLLKLTSLAKEQGKNNVAFLCLFMLGKVEECIQLLLESERIPEAALMARSYLPSKVSEIVSIWRNDLNKVNKRAAESLADPQEYPNLFEDWQVSLALESKSAENSGIHPPAEQYPIYAEKSTTSLVERFRSMQIDEEAPFENGDLDQEEVQENGENQDEGETVEEEDDSTNGVVLVNGDQGEELGVNDERTASP >ONH94284 pep chromosome:Prunus_persica_NCBIv2:G7:1142641:1147556:-1 gene:PRUPE_7G008000 transcript:ONH94284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLQTRTVQVKQLSDLASDREIHEFFSFSGEIERIQIQREPGQSKTAFVTFKDPKALEIALLLSGATIVDQIVIISPVENYVPKTDVLEVRMVESAVCAAPDGILSPNAEVAIDTTTYLKDRNSPPTNGRVYVNRAQDVVTCIVAKGSAIGQDAVNKAKAFDEKHRLTASASEKVISFDKRVGLTEKLTVGFSVVNEKVKSVDQRLHVSDKTMAAIFAAERKLNDTGSAVKTSRYVTAGAAWLNGAFGKVAKAGQAAGTKTREKFHMAVSNLTAKEPPIAA >ONH94285 pep chromosome:Prunus_persica_NCBIv2:G7:1143070:1147341:-1 gene:PRUPE_7G008000 transcript:ONH94285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLQTRTVQVKQLSDLASDREIHEFFSFSGEIERIQIQREPGQSKTAFVTFKDPKALEIALLLSGATIVDQIVIISPVENYVPKTDVLEVRMVESAVCAAPDGILSPNAEVAIDTTTYLKQDRNSPPTNGRVYVNRAQDVVTCIVAKGSAIGQDAVNKAKAFDEKHRLTASASEKVISFDKRVGLTEKLTVGFSVVNEKVKSVDQRLHVSDKTMAAIFAAERKLNDTGSAVKTSRYVTAGAAWLNGAFGKVAKAGQAAGTKTREKFHMAVSNLTAKEPPIAA >ONH94283 pep chromosome:Prunus_persica_NCBIv2:G7:1142610:1147557:-1 gene:PRUPE_7G008000 transcript:ONH94283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLQTRTVQVKQLSDLASDREIHEFFSFSGEIERIQIQREPGQSKTAFVTFKDPKALEIALLLSGATIVDQIVIISPVENYVPKTDVLEVRMVESAVCAAPDGILSPNAEQDRNSPPTNGRVYVNRAQDVVTCIVAKGSAIGQDAVNKAKAFDEKHRLTASASEKVISFDKRVGLTEKLTVGFSVVNEKVKSVDQRLHVSDKTMAAIFAAERKLNDTGSAVKTSRYVTAGAAWLNGAFGKVAKAGQAAGTKTREKFHMAVSNLTAKEPPIAA >ONH94282 pep chromosome:Prunus_persica_NCBIv2:G7:1142610:1147561:-1 gene:PRUPE_7G008000 transcript:ONH94282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLQTRTVQVKQLSDLASDREIHEFFSFSGEIERIQIQREPGQSKTAFVTFKDPKALEIALLLSGATIVDQIVIISPVENYVPKTDVLEVRMVESAVCAAPDGILSPNAEDRNSPPTNGRVYVNRAQDVVTCIVAKGSAIGQDAVNKAKAFDEKHRLTASASEKVISFDKRVGLTEKLTVGFSVVNEKVKSVDQRLHVSDKTMAAIFAAERKLNDTGSAVKTSRYVTAGAAWLNGAFGKVAKAGQAAGTKTREKFHMAVSNLTAKEPPIAA >ONH98447 pep chromosome:Prunus_persica_NCBIv2:G7:21129249:21136791:-1 gene:PRUPE_7G249300 transcript:ONH98447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSAQEATVDLPLPERTPIPSGDHTVWADVSPLLDAACKDLQDGMLIHGDNFNLFAAMSALEIMDPKMDSGMICKYYSVDEAIENGAAPVPISFDKTIDVQCTIDIMDHLLACEATWHKGHSLAQTVFSCIYLLRPDRTSSHPLLHSYCRVIRATCKTVISVVSDARTHEEEDLFIMTYGLPLNGDGDEKCLSMLKAVEETISRQLRACKAPSSKTRVLEDLMPLQNNVEFEEGYCKALLCRLRFRKHFYHVLTSMRRPQGRGLELARKHIASCISELKCILNSSEFLRSSTLVTHEGSIEDKTTASGRQPIGFDASLNCRLSAPTPPRAIKILSWKKRLLVQDGKLYGRDPVFAVITRAAALRDNTRNHDIQKKESIVQLGQLVINLLKILCTNGAWQRRKLGKILQDWRVVYVQLEMAFRKEFGEKANISNDENVGMKLFQHILVWVEEQTYWIAFRFLILGFELELYSESEYCMVYWYIYVVLIKLAEKTNLKTVVSNGSGKRRGKKKRDSVKDVARDYQIPPSVLFLQCQICLAEGLTMMLAALRNDCMMAQNPSPFNTEHERFIQHFELLQKACIPDHVSFPLFMESTTHARLSNLVMYDYFKDAQRITKEVKSSFSSDPEKLAELRRLEQVAEHNSIALNVISRAGALDPSLKVSFEFNHHPCFATAVVKRC >ONH98448 pep chromosome:Prunus_persica_NCBIv2:G7:21129249:21136791:-1 gene:PRUPE_7G249300 transcript:ONH98448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSAQEATVDLPLPERTPIPSGDHTVWADVSPLLDAACKDLQDGMLIHGDNFNLFAAMSALEIMDPKMDSGMICKYYSVDEAIENGAAPVPISFDKTIDVQCTIDIMDHLLACEATWHKGHSLAQTVFSCIYLLRPDRTSSHPLLHSYCRVIRATCKTVISVVSDARTHEEEDLFIMTYGLPLNGDGDEKCLSMLKAVEETISRQLRACKAPSSKTRVLEDLMPLQNNVEFEEGYCKALLCRLRFRKHFYHVLTSMRRPQGRGLELARKHIASCISELKCILNSSEFLRSSTLVTHEGSIEDKTTASGRQPIGFDASLNCRLSAPTPPRAIKILSWKKAVEYFMKLLQDLDVICSYPLDPSLESVLHFVVEFQKSQPDLVARAHLQRLLVQDGKLYGRDPVFAVITRAAALRDNTRNHDIQKKESIVQLGQLEMAFRKEFGEKANISNDENVGMKLFQHILVWVEEQTYWIAFRFLILGFELELYSESEYCMVYWYIYVVLIKLAEKTNLKTVVSNGSGKRRGKKKRDSVKDVARDYQIPPSVLFLQCQICLAEGLTMMLAALRNDCMMAQNPSPFNTEHERFIQHFELLQKACIPDHVSFPLFMESTTHARLSNLVMYDYFKDAQRITKEVKSSFSSDPEKLAELRRLEQVAEHNSIALNVISRAGALDPSLKVSFEFNHHPCFATAVVKRC >ONH98449 pep chromosome:Prunus_persica_NCBIv2:G7:21128918:21136930:-1 gene:PRUPE_7G249300 transcript:ONH98449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSAQEATVDLPLPERTPIPSGDHTVWADVSPLLDAACKDLQDGMLIHGDNFNLFAAMSALEIMDPKMDSGMICKYYSVDEAIENGAAPVPISFDKTIDVQCTIDIMDHLLACEATWHKGHSLAQTVFSCIYLLRPDRTSSHPLLHSYCRVIRATCKTVISVVSDARTHEEEDLFIMTYGLPLNGDGDEKCLSMLKAVEETISRQLRACKAPSSKTRVLEDLMPLQNNVEFEEGYCKALLCRLRFRKHFYHVLTSMRRPQGRGLELARKHIASCISELKCILNSSEFLRSSTLVTHEGSIEDKTTASGRQPIGFDASLNCRLSAPTPPRAIKILSWKKAVEYFMKLLQDLDVICSYPLDPSLESVLHFVVEFQKSQPDLVARAHLQRLLVQDGKLYGRDPVFAVITRAAALRDNTRNHDIQKKESIVQLGQLVINLLKILCTNGAWQRRKLGKILQDWRVVYVQLEMAFRKEFGEKANISNDENVGMKLFQHILVWVEEQTYWIAFRFLILGFELELYSESEYCMVYWYIYVVLIKLAEKTNLKTVVSNGSGKRRGKKKRDSVKDVARDYQIPPSVLFLQCQICLAEGLTMMLAALRNDCMMAQNPSPFNTEHERFIQHFELLQKACIPDHVSFPLFMESTTHARLSNLVMYDYFKDAQRITKEVKSSFSSDPEKLAELRRLEQVAEHNSIALNVISRAGALDPSLKVSFEFNHHPCFATAVVKRC >ONH98453 pep chromosome:Prunus_persica_NCBIv2:G7:21131641:21136580:-1 gene:PRUPE_7G249300 transcript:ONH98453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSAQEATVDLPLPERTPIPSGDHTVWADVSPLLDAACKDLQDGMLIHGDNFNLFAAMSALEIMDPKMDSGMICKYYSVDEAIENGAAPVPISFDKTIDVQCTIDIMDHLLACEATWHKGHSLAQTVFSCIYLLRPDRTSSHPLLHSYCRVIRATCKTVISVVSDARTHEEEDLFIMTYGLPLNGDGDEKCLSMLKAVEETISRQLRACKAPSSKTRVLEDLMPLQNNVEFEEGYCKALLCRLRFRKHFYHVLTSMRRPQGRGLELARKHIASCISELKCILNSSEFLRSSTLVTHEGSIEDKTTASGRQPIGFDASLNCRLSAPTPPRAIKILSWKKAVEYFMKLLQDLDVICSYPLDPSLESVLHFVVEFQKSQPDLVARAHLQRLLVQDGKLYGRDPVFAVITRAAALRDNTRNHDIQKKESIVQLGQLVINLLKILCTNGAWQRRKLGKILQDWRVVYVQLEMAFRKEFGEKANISNDEVCCSLRGLL >ONH98452 pep chromosome:Prunus_persica_NCBIv2:G7:21129249:21136791:-1 gene:PRUPE_7G249300 transcript:ONH98452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSAQEATVDLPLPERTPIPSGDHTVWADVSPLLDAACKDLQDGMLIHGDNFNLFAAMSALEIMDPKMDSGMICKYYSVDEAIENGAAPVPISFDKTIDVQCTIDIMDHLLACEATWHKGHSLAQTVFSCIYLLRPDRTSSHPLLHSYCRVIRATCKTVISVVSDARTHEEEDLFIMTYGLPLNGDGDEKCLSMLKAVEETISRQLRACKAPSSKTRVLEDLMPLQNNVEFEEGYCKALLCRLRFRKHFYHVLTSMRRPQGRGLELARKHIASCISELKCILNSSEFLRSSTLVTHEGSIEDKTTASGRQPIGFDASLNCRLSAPTPPRAIKILSWKKAVEYFMKLLQDLDVICSYPLDPSLESVLHFVVEFQKSQPDLVARAHLQRLLVQDGKLYGRDPVFAVITRAAALRDNTRNHDIQKKESIVQLGQLVINLLKILCTNGAWQRRKLGKILQDWRVVYVQLEMAFRKEFGEKANISNDENVGMKLFQHILVWVEEQTYWIAFRFLILGFELELYSESEYCMVYWYIYVVLIKLAEKTNLKTVVNGGERRKGILLKMWHEITRFRLQSCFFSAKYALLKGLQ >ONH98450 pep chromosome:Prunus_persica_NCBIv2:G7:21130057:21136580:-1 gene:PRUPE_7G249300 transcript:ONH98450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSAQEATVDLPLPERTPIPSGDHTVWADVSPLLDAACKDLQDGMLIHGDNFNLFAAMSALEIMDPKMDSGMICKYYSVDEAIENGAAPVPISFDKTIDVQCTIDIMDHLLACEATWHKGHSLAQTVFSCIYLLRPDRTSSHPLLHSYCRVIRATCKTVISVVSDARTHEEEDLFIMTYGLPLNGDGDEKCLSMLKAVEETISRQLRACKAPSSKTRVLEDLMPLQNNVEFEEGYCKALLCRLRFRKHFYHVLTSMRRPQGRGLELARKHIASCISELKCILNSSEFLRSSTLVTHEGSIEDKTTASGRQPIGFDASLNCRLSAPTPPRAIKILSWKKAVEYFMKLLQDLDVICSYPLDPSLESVLHFVVEFQKSQPDLVARAHLQRLLVQDGKLYGRDPVFAVITRAAALRDNTRNHDIQKKESIVQLGQLVINLLKILCTNGAWQRRKLGKILQDWRVVYVQLEMAFRKEFGEKANISNDENVGMKLFQHILVWVEEQTYWIAFRFLILGFELELYSESEYCMVYWYIYVVLIKLAEKTNLKTVVSNGSGKRRGKKKRDSVKDVARDYQIPPSVLFLQCQICLAEGLTMMLAALRNDCMMAQNPSPFNTEHEFYPLI >ONH98451 pep chromosome:Prunus_persica_NCBIv2:G7:21130037:21136791:-1 gene:PRUPE_7G249300 transcript:ONH98451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSAQEATVDLPLPERTPIPSGDHTVWADVSPLLDAACKDLQDGMLIHGDNFNLFAAMSALEIMDPKMDSGMICKYYSVDEAIENGAAPVPISFDKTIDVQCTIDIMDHLLACEATWHKGHSLAQTVFSCIYLLRPDRTSSHPLLHSYCRVIRATCKTVISVVSDARTHEEEDLFIMTYGLPLNGDGDEKCLSMLKAVEETISRQLRACKAPSSKTRVLEDLMPLQNNVEFEEGYCKALLCRLRFRKHFYHVLTSMRRPQGRGLELARKHIASCISELKCILNSSEFLRSSTLVTHEGSIEDKTTASGRQPIGFDASLNCRLSAPTPPRAIKILSWKKAVEYFMKLLQDLDVICSYPLDPSLESVLHFVVEFQKSQPDLVARAHLQRLLVQDGKLYGRDPVFAVITRAAALRDNTRNHDIQKKESIVQLGQLVINLLKILCTNGAWQRRKLGKILQDWRVVYVQLEMAFRKEFGEKANISNDENVGMKLFQHILVWVEEQTYWIAFRFLILGFELELYSESEYCMVYWYIYVVLIKLAEKTNLKTVVNGGERRKGILLKMWHEITRFRLQSCFFSAKYALLKGLQ >ONH96475 pep chromosome:Prunus_persica_NCBIv2:G7:15057902:15063364:1 gene:PRUPE_7G131500 transcript:ONH96475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSNGPIGEHSGRVLAGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNERAVFDLYFRKNPFGGEYTIFAGLEECIRFIANFKLSEDEIDFVRESLSPSCEDGFYDYLRGVDCSGVEVYAITEGSVVFPKVPLLRVEGPVAVVQLLETPFLNLINYASLVATNAARHRFVAGESKMLLEFGLRRAQGPDGGIGASKYCYMGGFHATSNVAAGKLFGIPLRGTHSHAFVSSFMSPDEIIDKSLRSSDSQSTCEDFVSLAQTWLSKIQWSNSLNGTFGETNQSELAAFTSYALAFPDNFLALVDTYDVMRSGIPNYCAVALALNDLGYKAAGIRLDSGDLAYLSGEARKIFRTIEKEFGVPGFGKTNITASNDLNEETLDALNKQGHEVDSFGIGTYVVTCYAQAALGCVFKLVEINNQPRIKLSEDVTKVSIPCKKRSYRLYGKEGYPLVDIMTGENEPPPKVGERILCRHPFNESKRAYVVPQRVEELMKCFWSGSPGGIREDLPPLRDIRDRCITQLRKMRPDHMRRLNPTPYKVSVSAKLYEFIHFLWLNEAPVGELQ >ONH96476 pep chromosome:Prunus_persica_NCBIv2:G7:15057902:15063364:1 gene:PRUPE_7G131500 transcript:ONH96476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSNGPIGEHSGRVLAGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNERAVFDLYFRKNPFGGEYTIFAGLEECIRFIANFKLSEDEIDFVRESLSPSCEDGFYDYLRGVDCSGVEVYAITEGSVVFPKVPLLRVEGPVAVVQLLETPFLNLINYASLVATNAARHRFVAGESKMLLEFGLRRAQGPDGGIGASKYCYMGGFHATSNVAAGKLFGIPLRGTHSHAFVSSFMSPDEIIDKSLRSSDSQSTCEDFVSLAQTWLSKIQWSNSLNGTFGETNQSELAAFTSYALAFPDNFLALVDTYDVMRSGIPNYCAVALALNDLGYKAAGIRLDSGDLAYLSGEARKIFRTIEKEFGVPGFGKTNITASNDLNEETLDALNKQGHEVDSFGIGTYVVTCYAQAALGCVFKLVEINNQPRIKLSEDVTKVSIPCKKRSYRLYGKEGYPLVDIMTGENEPPPKVGERILCRHPFNESKRAYVVPQRVEELMKCFWSGSPGGIREDLPPLRDIRDRCITQLRKMRPDHMRRLNPTPYKVSVSAKLYEFIHFLWLNEAPVGELQ >ONH96477 pep chromosome:Prunus_persica_NCBIv2:G7:15060296:15063315:1 gene:PRUPE_7G131500 transcript:ONH96477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYSLSHLYNDLQSPDEIIDKSLRSSDSQSTCEDFVSLAQTWLSKIQWSNSLNGTFGETNQSELAAFTSYALAFPDNFLALVDTYDVMRSGIPNYCAVALALNDLGYKAAGIRLDSGDLAYLSGEARKIFRTIEKEFGVPGFGKTNITASNDLNEETLDALNKQGHEVDSFGIGTYVVTCYAQAALGCVFKLVEINNQPRIKLSEDVTKVSIPCKKRSYRLYGKEGYPLVDIMTGENEPPPKVGERILCRHPFNESKRAYVVPQRVEELMKCFWSGSPGGIREDLPPLRDIRDRCITQLRKMRPDHMRRLNPTPYKVSVSAKLYEFIHFLWLNEAPVGELQ >ONH96637 pep chromosome:Prunus_persica_NCBIv2:G7:15764139:15768398:-1 gene:PRUPE_7G143000 transcript:ONH96637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLKPLRHNCRRLRWAHHRAQKAAASPSPPPRPPRPPPKPESLTFHDHSWEDPYSWMSKLNDKVAMRHLDMYMEQEEKYTEATMSGTDRLQSKLQSEMASRFASDLSTPPLRWGPWLYYRRVEEGKQFPVLCRRLASLNDEFISHKSPSAGFDFTSGKRIEQKLLDYNREAQRFGGYAYEELSEVSPDHRFIAYTMIYCSMIGSTDDDFLILEEPDENVYVNIRHTKDFRFVTVHTFSTASSKVFLINAADPLSGVNLVWECEGISHGILEHHQGYLYLFTDAAKEGQSVDYHYLLRSHLDSSSSPRTWESVFTDDPDWVVEDLDFSHTHLVVTLREGRNFRLCSVTLPLPAGKGPVHLKELHPQFLPLPKYVSQISPGPNYDYHSSKMRFTISSPVMPDAVVDYDLSNGEWNIVQQQNILHERTRVLYGTASSASINEETLNSKTSNPSNEVNSDDDQLWNGLSEFYACEQYNVASYDGVLLPLTVVYSRKNKKEDQNPGLLHVHGTYGELLDKRWRSELKSLLGRGWIIAYADVRGGGGGGKKWHHDGRRTKKINSIKDYISCANFLVDKEIVHENKLAGWGYSAGGLVVASAINQSPHLFKAAILKVPFLDPTNTLLYPILPLTAADYEEFGYPGDIADFHAIRDYSPYDNIQKDVLYPAVMVSSSFNTRFGVWEAAKWVARVRELTIYDQKRPILLNLTTDIVEENRYLQCKESALESAFLIKVMES >ONH96644 pep chromosome:Prunus_persica_NCBIv2:G7:15763704:15768558:-1 gene:PRUPE_7G143000 transcript:ONH96644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLKPLRHNCRRLRWAHHRAQKAAASPSPPPRPPRPPPKPESLTFHDHSWEDPYSWMSKLNDKVAMRHLDMYMEQEEKYTEATMSGTDRLQSKLQSEMASRFASDLSTPPLRWGPWLYYRRVEEGKQFPVLCRRLASLNDEFISHKSPSAGFDFTSGKRIEQKLLDYNREAQRFGGYAYEELSEVSPDHRFIAYTIKPQADRVSGLAWAKDGQALLYVVTDQNMRPCRIYCSMIGSTDDDFLILEEPDENVYVNIRHTKDFRFVTVHTFSTASSKVFLINAADPLSGVNLVWECEGISHGILEHHQGYLYLFTDAAKEGQSVDYHYLLRSHLDSSSSPRTWESVFTDDPDWVVEDLDFSHTHLVVTLREGRNFRLCSVTLPLPAGKGPVHLKELHPQFLPLPKYVSQISPGPNYDYHSSKMRFTISSPVMPDAVVDYDLSNGEWNIVQQQNILHERTRVLYGTASSASINEETLNSKTSNPSNEVNSDDDQLWNGLSEFYACEQYNVASYDGVLLPLTVVYSRKNKKEDQNPGLLHVHGTYEAEEVEVRSGTMMEDVQRRLIPSKIISHVRISLLTKKLCMKTSLLVGVIVLEDSWLLRPSINPRIYLRLQF >ONH96641 pep chromosome:Prunus_persica_NCBIv2:G7:15764139:15767644:-1 gene:PRUPE_7G143000 transcript:ONH96641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDKESDFFKLSVRNLNSGALCSKPQADRVSGLAWAKDGQALLYVVTDQNMRPCRIYCSMIGSTDDDFLILEEPDENVYVNIRHTKDFRFVTVHTFSTASSKVFLINAADPLSGVNLVWECEGISHGILEHHQGYLYLFTDAAKEGQSVDYHYLLRSHLDSSSSPRTWESVFTDDPDWVVEDLDFSHTHLVVTLREGRNFRLCSVTLPLPAGKGPVHLKELHPQFLPLPKYVSQISPGPNYDYHSSKMRFTISSPVMPDAVVDYDLSNGEWNIVQQQNILHERTRVLYGTASSASINEETLNSKTSNPSNEVNSDDDQLWNGLSEFYACEQYNVASYDGVLLPLTVVYSRKNKKEDQNPGLLHVHGTYGELLDKRWRSELKSLLGRGWIIAYADVRGGGGGGKKWHHDGRRTKKINSIKDYISCANFLVDKEIVHENKLAGWGYSAGGLVVASAINQSPHLFKAAILKVPFLDPTNTLLYPILPLTAADYEEFGYPGDIADFHAIRDYSPYDNIQKDVLYPAVMVSSSFNTRFGVWEAAKWVARVRELTIYDQKRPILLNLTTDIVEENRYLQCKESALESAFLIKVMES >ONH96639 pep chromosome:Prunus_persica_NCBIv2:G7:15764139:15768398:-1 gene:PRUPE_7G143000 transcript:ONH96639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLKPLRHNCRRLRWAHHRAQKAAASPSPPPRPPRPPPKPESLTFHDHSWEDPYSWMSKLNDKVAMRHLDMYMEQEEKYTEATMSGTDRLQSKLQSEMASRFASDLSTPPLRWGPWLYYRRVEEGKQFPVLCRRLASLNDEFISHKSPSAGFDFTSGKRIEQKLLDYNREAQRFGGYAYEELSEVSPDHRFIAYTIKPQADRVSGLAWAKDGQALLYVVTDQNMRPCRIYCSMIGSTDDDFLILEEPDENVYVNIRHTKDFRFVTVHTFSTASSKVFLINAADPLSGVNLVWECEGISHGILEHHQGYLYLFTDAAKEGQSVDYHYLLRSHLDSSSSPRTWESVFTDDPDWVVEDLDFSHTHLVVTLREGRNFRLCSVTLPLPAGKGPVHLKELHPQFLPLPKYVSQISPGPNYDYHSSKMRFTISSPVMPDAVVDYDLSNGEWNIVQQQNILHERTRVLYGTASSASINEETLNSKTSNPSNEVNSDDDQLWNGLSEFYACEQYNVASYDGVLLPLTVVYSRKNKKEDQNPGLLHVHGTYGELLDKRWRSELKSLLGRGWIIAYADVRGGGGGGKKWHHDGRRTKKINSIKDYISCANFLVDKEIVHENKLAGWGYSAGGLVVASAINQSPHLFKAAILKVPFLDPTNTLLYPILPLTAADYEEFGYPGDIADFHAIRDYSPYDNIQKDVLYPAVMVSSSFNTRFGVWEAAKWVARVRELTIYDQKRPILLNLTTDIVEENRYLQCKESALESAFLIKVMES >ONH96645 pep chromosome:Prunus_persica_NCBIv2:G7:15763704:15768474:-1 gene:PRUPE_7G143000 transcript:ONH96645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLKPLRHNCRRLRWAHHRAQKAAASPSPPPRPPRPPPKPESLTFHDHSWEDPYSWMSKLNDKVAMRHLDMYMEQEEKYTEATMSGTDRLQSKLQSEMASRFASDLSTPPLRWGPWLYYRRVEEGKQFPVLCRRLASLNDEFISHKSPSAGFDFTSGKRIEQKLLDYNREAQRFGGYAYEELSEVSPDHRFIAYTMYDKESDFFKLSVRNLNSGALCSKPQADRVSGLAWAKDGQALLYVVTDQNMRPCRIYCSMIGSTDDDFLILEEPDENVYVNIRHTKDFRFVTVHTFSTASSKVFLINAADPLSGVNLVWECEGISHGILEHHQGYLYLFTDAAKEGQSVDYHYLLRSHLDSSSSPRTWESVFTDDPDWVVEDLDFSHTHLVVTLREGRNFRLCSVTLPLPAGKGPVHLKELHPQFLPLPKYVSQISPGPNYDYHSSKMRFTISSPVMPDAVVDYDLSNGEWNIVQQQNILHERTRVLYGTASSASINEETLNSKTSNPSNEVNSDDDQLWNGLSEFYACEQYNVASYDGVLLPLTVVYSRKNKKEDQNPGLLHVHGTYEAEEVEVRSGTMMEDVQRRLIPSKIISHVRISLLTKKLCMKTSLLVGVIVLEDSWLLRPSINPRIYLRLQF >ONH96638 pep chromosome:Prunus_persica_NCBIv2:G7:15763704:15768474:-1 gene:PRUPE_7G143000 transcript:ONH96638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLKPLRHNCRRLRWAHHRAQKAAASPSPPPRPPRPPPKPESLTFHDHSWEDPYSWMSKLNDKVAMRHLDMYMEQEEKYTEATMSGTDRLQSKLQSEMASRFASDLSTPPLRWGPWLYYRRVEEGKQFPVLCRRLASLNDEFISHKSPSAGFDFTSGKRIEQKLLDYNREAQRFGGYAYEELSEVSPDHRFIAYTIKPQADRVSGLAWAKDGQALLYVVTDQNMRPCRIYCSMIGSTDDDFLILEEPDENVYVNIRHTKDFRFVTVHTFSTASSKVFLINAADPLSGVNLVWECEGISHGILEHHQGYLYLFTDAAKEGQSVDYHYLLRSHLDSSSSPRTWEGPVHLKELHPQFLPLPKYVSQISPGPNYDYHSSKMRFTISSPVMPDAVVDYDLSNGEWNIVQQQNILHERTRVLYGTASSASINEETLNSKTSNPSNEVNSDDDQLWNGLSEFYACEQYNVASYDGVLLPLTVVYSRKNKKEDQNPGLLHVHGTYGELLDKRWRSELKSLLGRGWIIAYADVRGGGGGGKKWHHDGRRTKKINSIKDYISCANFLVDKEIVHENKLAGWGYSAGGLVVASAINQSPHLFKAAILKVPFLDPTNTLLYPILPLTAADYEEFGYPGDIADFHAIRDYSPYDNIQKDVLYPAVMVSSSFNTRFGVWEAAKWVARVRELTIYDQKRPILLNLTTDIVEENRYLQCKESALESAFLIKVMES >ONH96640 pep chromosome:Prunus_persica_NCBIv2:G7:15764139:15768398:-1 gene:PRUPE_7G143000 transcript:ONH96640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLKPLRHNCRRLRWAHHRAQKAAASPSPPPRPPRPPPKPESLTFHDHSWEDPYSWMSKLNDKVAMRHLDMYMEQEEKYTEATMSGTDRLQSKLQSEMASRFASDLSTPPLRWGPWLYYRRVEEGKQFPVLCRRLASLNDEFISHKSPSAGFDFTSGKRIEQKLLDYNREAQRFGGYAYEELSEVSPDHRFIAYTMYDKESDFFKLSVRNLNSGALCSKPQADRVSGLAWAKDGQALLYVVTDQNMRPCRIYCSMIGSTDDDFLILEEPDENVYVNIRHTKDFRFVTVHTFSTASSKVFLINAADPLSGVNLVWECEGISHGILEHHQGYLYLFTDAAKEGQSVDYHYLLRSHLDSSSSPRTWESVFTDDPDWVVEDLDFSHTHLVVTLREGRNFRLCSVTLPLPAGKGPVHLKELHPQFLPLPKYVSQISPGPNYDYHSSKMRFTISSPVMPDAVVDYDLSNGEWNIVQQQNILHERTRVLYGTASSASINEETLNSKTSNPSNEVNSDDDQLWNGLSEFYACEQYNVASYDGVLLPLTVVYSRKNKKEDQNPGLLHVHGTYGELLDKRWRSELKSLLGRGWIIAYADVRGGGGGGKKWHHDGRRTKKINSIKDYISCANFLVDKEIVHENKLAGWGYSAGGLVVASAINQSPHLFKAAILKVPFLDPTNTLLYPILPLTAADYEEFGYPGDIADFHAIRDYSPYDNIQKDVLYPAVMVSSSFNTRFGVWEAAKWVARVRELTIYDQKRPILLNLTTDIVEENRYLQCKESALESAFLIKVMES >ONH96643 pep chromosome:Prunus_persica_NCBIv2:G7:15763704:15768474:-1 gene:PRUPE_7G143000 transcript:ONH96643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLKPLRHNCRRLRWAHHRAQKAAASPSPPPRPPRPPPKPESLTFHDHSWEDPYSWMSKLNDKVAMRHLDMYMEQEEKYTEATMSGTDRLQSKLQSEMASRFASDLSTPPLRWGPWLYYRRVEEGKQFPVLCRRLASLNDEFISHKSPSAGFDFTSGKRIEQKLLDYNREAQRFGGYAYEELSEVSPDHRFIAYTMIYCSMIGSTDDDFLILEEPDENVYVNIRHTKDFRFVTVHTFSTASSKVFLINAADPLSGVNLVWECEGISHGILEHHQGYLYLFTDAAKEGQSVDYHYLLRSHLDSSSSPRTWESVFTDDPDWVVEDLDFSHTHLVVTLREGRNFRLCSVTLPLPAGKGPVHLKELHPQFLPLPKYVSQISPGPNYDYHSSKMRFTISSPVMPDAVVDYDLSNGEWNIVQQQNILHERTRVLYGTASSASINEETLNSKTSNPSNEVNSDDDQLWNGLSEFYACEQYNVASYDGVLLPLTVVYSRKNKKEDQNPGLLHVHGTYEAEEVEVRSGTMMEDVQRRLIPSKIISHVRISLLTKKLCMKTSLLVGVIVLEDSWLLRPSINPRIYLRLQF >ONH96642 pep chromosome:Prunus_persica_NCBIv2:G7:15764139:15767486:-1 gene:PRUPE_7G143000 transcript:ONH96642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYCSMIGSTDDDFLILEEPDENVYVNIRHTKDFRFVTVHTFSTASSKVFLINAADPLSGVNLVWECEGISHGILEHHQGYLYLFTDAAKEGQSVDYHYLLRSHLDSSSSPRTWESVFTDDPDWVVEDLDFSHTHLVVTLREGRNFRLCSVTLPLPAGKGPVHLKELHPQFLPLPKYVSQISPGPNYDYHSSKMRFTISSPVMPDAVVDYDLSNGEWNIVQQQNILHERTRVLYGTASSASINEETLNSKTSNPSNEVNSDDDQLWNGLSEFYACEQYNVASYDGVLLPLTVVYSRKNKKEDQNPGLLHVHGTYGELLDKRWRSELKSLLGRGWIIAYADVRGGGGGGKKWHHDGRRTKKINSIKDYISCANFLVDKEIVHENKLAGWGYSAGGLVVASAINQSPHLFKAAILKVPFLDPTNTLLYPILPLTAADYEEFGYPGDIADFHAIRDYSPYDNIQKDVLYPAVMVSSSFNTRFGVWEAAKWVARVRELTIYDQKRPILLNLTTDIVEENRYLQCKESALESAFLIKVMES >ONH95375 pep chromosome:Prunus_persica_NCBIv2:G7:10500028:10500641:1 gene:PRUPE_7G067000 transcript:ONH95375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIQLEVRCPSTTIRTCFKQAHPANKTSDSSHKTSHNIDTKDMSQTPQLPPLLTRIGKTAKKCFALCFCRGFTCLQLFSFVWPGWKATWEVWFGLASCWLCFEIVFVFKVVWIVNLLW >ONH95089 pep chromosome:Prunus_persica_NCBIv2:G7:8900272:8901709:-1 gene:PRUPE_7G051200 transcript:ONH95089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTLVVFLSLSLTILSFGGAHAATMSFKNNCPYTVWPGTLTSDQKPQLSTTGFELASQASFSLDTPVPWNGRFWARTGCSTDASGKFVCATADCASGQVTCNGAGAIPPATLAEFNIPAGGGQDFYDVSLVDGFNLPMSVTPQGGTGDCKTATCPANVNAVCPSELQKIGSDGSVVACLSACVKFGEPRYCCTPPQNTPETCPPTNYSQLFSQACPNAYSYAYDDKKGTFTCNGGPNYAITFCP >ONH96550 pep chromosome:Prunus_persica_NCBIv2:G7:15375512:15378535:1 gene:PRUPE_7G136500 transcript:ONH96550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGIANSAPQRGIAAIVGVGPKLGRSIARKFAHEGYTVAILARDLGRLSKFADEIAREEKAQVFAIRIDCSESRSVREAFEGVLSLGFVEVLVYNACQQPSNWHPTHFTDIRLDSFEKSLAVSSVGAFHCAQQVLPGMVERGKGTILFTGCSASLNGIAGYSELCCGKFALRALSQCLSMEFQPLGVHIAHVIIGGVIGQPRGGQSSSSTASLRTTSFGDGSMDPDAVAQTYWQLHVQDRSAWTQEIDLRPSHPPRFC >ONH96551 pep chromosome:Prunus_persica_NCBIv2:G7:15376345:15378535:1 gene:PRUPE_7G136500 transcript:ONH96551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGIANSAPQRGIAAIVGVGPKLGRSIARKFAHEGYTVAILARDLGRLSKFADEIAREEKAQVFAIRIDCSESRSVREAFEGVLSLGFVEVLVYNACQQPSNWHPTHFTDIRLDSFEKSLAVSSVGAFHCAQQVLPGMVERGKGTILFTGCSASLNGIAGYSELCCGKFALRALSQCLSMEFQPLGVHIAHVIIGGVIGQPRGGQSSSSTASLRTTSFGDGSMDPDAVAQTYWQLHVQDRSAWTQEIDLRPSHPPRFC >ONH97661 pep chromosome:Prunus_persica_NCBIv2:G7:18929295:18931733:1 gene:PRUPE_7G204300 transcript:ONH97661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFQCEDCGDNLKKPKLPGHFRSCSARKLSCIDCGEMFGQESVQSHTQCITEAEKYGPKGQGKALNGGGAKPNKDSKQQPDFDITVGLSKRFPWFCSLCNTKATSEQTLLLHAEGKKHRARARAIHAAKQQPEQPEESALDTKPTPENKQIEEPKLQDASRVNVEQNSSEAGNGTLLSEKKRKLDASKNDESRKTTRDNTWKTEANGKESQLEKDKLVESSSAKNESNMKINWKKLITSTLKSNDGVLKMRKLKKLVLKAIEESGRTDDEAKLSDMLDHKINSSSRFKVENKHVHLVAKD >ONH97660 pep chromosome:Prunus_persica_NCBIv2:G7:18929160:18931733:1 gene:PRUPE_7G204300 transcript:ONH97660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFQCEDCGDNLKKPKLPGHFRSCSARKLSCIDCGEMFGQESVQSHTQCITEAEKYGPKGQGKALNGGGAKPNKDSKQQPDFDITVGLSKRFPWFCSLCNTKATSEQTLLLHAEGKKHRARARAIHAAKQQPEQPEESALDTKPTPENKQIEEPKLQDASRVNVEQNSSEAGNGTLLSEKKRKLDASKNDESRKTTRDNTWKTEANGKESQLEKDKLVESSSAKNESNMKINWKKLITSTLKSNDGVLKMRKLKKLVLKAIEESGRTDDEAKLSDMLDHKINSSSRFKVENKHVHLVAKD >ONH98769 pep chromosome:Prunus_persica_NCBIv2:G7:21923460:21926601:-1 gene:PRUPE_7G265800 transcript:ONH98769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCEPTETSLDIKQKLHDLIDQPVSDQRLILVSTGEVLEDSKSLADQKVENDAVVALTLRKDDNEFEEVNIVRADDFYQSRDADAGNW >ONH98771 pep chromosome:Prunus_persica_NCBIv2:G7:21923460:21926879:-1 gene:PRUPE_7G265800 transcript:ONH98771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCEPTETSLDIKQKLHDLIDQPVSDQRLILVSTGEVLEDSKSLADQKVENDAVVALTLRKDDNEFEEVNIVRADDFYQSRDADAGNW >ONH98775 pep chromosome:Prunus_persica_NCBIv2:G7:21924557:21925533:-1 gene:PRUPE_7G265800 transcript:ONH98775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCEPTETSLDIKQKLHDLIDQPVSDQRLILVSTGEVLEDSKSLADQKVQNKKLRMMPLWH >ONH98774 pep chromosome:Prunus_persica_NCBIv2:G7:21924557:21925533:-1 gene:PRUPE_7G265800 transcript:ONH98774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCEPTETSLDIKQKLHDLIDQPVSDQRLILVSTGEVLEDSKSLADQKVQNKKLRMMPLWH >ONH98768 pep chromosome:Prunus_persica_NCBIv2:G7:21923460:21926610:-1 gene:PRUPE_7G265800 transcript:ONH98768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCEPTETSLDIKQKLHDLIDQPVSDQRLILVSTGEVLEDSKSLADQKVENDAVVALTLRKDDNEFEEVNIVRADDFYQSRDADAGNW >ONH98772 pep chromosome:Prunus_persica_NCBIv2:G7:21923872:21926879:-1 gene:PRUPE_7G265800 transcript:ONH98772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCEPTETSLDIKQKLHDLIDQPVSDQRLILVSTGEVLEDSKSLADQKVENDAVVALTLRKVLSLLELGFIHKTQLQRL >ONH98767 pep chromosome:Prunus_persica_NCBIv2:G7:21923460:21926879:-1 gene:PRUPE_7G265800 transcript:ONH98767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCEPTETSLDIKQKLHDLIDQPVSDQRLILVSTGEVLEDSKSLADQKVENDAVVALTLRKDDNEFEEVNIVRADDFYQSRDADAGNW >ONH98773 pep chromosome:Prunus_persica_NCBIv2:G7:21924557:21925533:-1 gene:PRUPE_7G265800 transcript:ONH98773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCEPTETSLDIKQKLHDLIDQPVSDQRLILVSTGEVLEDSKSLADQKVQNKKLRMMPLWH >ONH98770 pep chromosome:Prunus_persica_NCBIv2:G7:21923511:21926731:-1 gene:PRUPE_7G265800 transcript:ONH98770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYIRVKRSKTTYFIQCEPTETSLDIKQKLHDLIDQPVSDQRLILVSTGEVLEDSKSLADQKVENDAVVALTLRKDDNEFEEVNIVRADDFYQSRDADAGNW >ONH94185 pep chromosome:Prunus_persica_NCBIv2:G7:348953:354516:1 gene:PRUPE_7G002900 transcript:ONH94185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVLLCLRPVSKHLTNITTSALFSTKPTTTSILLRQCKSLLQAKLIHQQILVQGLTHTITDLIAAYVACNAPSQALALLQRLVPCPSIVFWWNVLIRSAVRSGLLYDVLCLHGRMQMLGWRPDHYTYPFVLKACAELHMFSRGSSVHAALYANGFDSNVFVCNAVVAMYGRCGALNDARKMFDELLERGIGDVVSWNSIVSAYVQSGDSKNALSMFDRMMGDFSVRPDAFSLVNVLPACASAGAPMWGKQIHSYAIRRGLFEDVFVGNAVVDMYAKCEMMDEANKVFERMEEKDVVSWNAMVTGYSQIGRLDDAIGFFEKMREEKIELNVVTWSAVIAGYAQRGHGYGALDVFRQMQACGSEPNAVTLISLLSGCASAGALIHGKETHCYAIKWILNLDRNDPGNDIMVINGLIDMYTKCKSPKVARMMFDSVAPKKRNVVTWTVMIGGYAQHGEANEALELFYQMLRQDFPLKPNAFTISCALMACARLGALRFGKQIHAFVLRNQYDFVKLFVANCLVDMYSKSGDIDAARVVFDYMQQRNAVSWTSLMTGYGMHGRGEEALQVFDEMRSVGLVPDGVTFVVVLYACSHSGMVDEGMRYFNSMSTDFGVVPGAEHYACMVDILGRAGRLDAALALIKGMPMQPTPITWVALLSACRTHGNVELGEYVTHQLSETETENDSSYTLLSNIYANARRWKDVARIRLLMKHTGIKKKPGCSWVQGKKGNATFFVGDRTHPQSQEIYETLADLIKRIKEIGYVPETSYALHDVDDEEKGDLLFEHSEKLALAYAILTTPPGAPIRITKNLRVCGDCHSAITYISKIVEHEIILRDSSRFHHFKNGSCSCRGYW >ONH97624 pep chromosome:Prunus_persica_NCBIv2:G7:18799473:18800653:-1 gene:PRUPE_7G201800 transcript:ONH97624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPCCDKEGLNRGAWSAWEDKTLTNYIEIHGEGKWRDLPRRAGLKRCGKSCRLRWLNYLRPDIKRGNISAEEEELIFRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNLSKRVEQACSKQDTKKSVSQQVQVIRTKAFKCKNVVVPSHLDNGHDDDQMADRSNNVDPGFLMDFDTNDLFISDQLLNSDFHQAQSSGCDQDLVDGTSKIEDFLMATDDHDHNPIFQPSDLEEPVDLNALSCFLNSEDGGQWIIS >ONH94399 pep chromosome:Prunus_persica_NCBIv2:G7:2040960:2041823:1 gene:PRUPE_7G014200 transcript:ONH94399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFYLTTKKLASICTSDKPYASDNPTPEQTWALQTWIENDFLCKNYIEKYDTKEADAKKFAVSRYLKFQIMDEKSVEAQSHELHKILHEIIIEGMNLDEQFQVAIIIHKLPPSWKDFKNALRHKTKEFSLESLITRLHIEEEARKHDMKEEVLLISNNNKNHNSNRNQTTAALKTNGKNMKIKTGTATTTTRIEMFLCYNYHKPGHLAQNCRKRSRPAPQVNITE >ONH95009 pep chromosome:Prunus_persica_NCBIv2:G7:8229385:8231909:1 gene:PRUPE_7G046200 transcript:ONH95009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSEDFSVVVLASDLGIDARPFLTNQEREVEEQENWYDCPQNLIADEDFSDLELLQFFRVQGHDKSGNRIFRIVGKYFPVVSGDRLKRYIFHKLCSELPEGPYCIVYMHSTVQKEDNSPGITILRWIYEDLPSYLKDRLQIVYFIHPGLRSRLVFATLGRFFLTGGLYWKIKYVSRLQYLWENIKKGEVEIPEFVKGHDDVLEHRPLTDYGIEPDPLHMIEVPSTAYSFGRYEERWASREYTS >ONH95008 pep chromosome:Prunus_persica_NCBIv2:G7:8229302:8232034:1 gene:PRUPE_7G046200 transcript:ONH95008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSEDFSVVVLASDLGIDARPFLTNQEREVEEQENWYDCPQNLIADEDFSDLELLQFFRVQGHDKSGNRIFRIVGKYFPAPVVSGDRLKRYIFHKLCSELPEGPYCIVYMHSTVQKEDNSPGITILRWIYEDLPSYLKDRLQIVYFIHPGLRSRLVFATLGRFFLTGGLYWKIKYVSRLQYLWENIKKGEVEIPEFVKGHDDVLEHRPLTDYGIEPDPLHMIEVPSTAYSFGRYEERWASREYTS >ONH98582 pep chromosome:Prunus_persica_NCBIv2:G7:21428927:21432572:-1 gene:PRUPE_7G255200 transcript:ONH98582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSSSPQGIRNSRSTEVSVENHVAEVMAAHSSNADTEAARVSETPSSFHSLGNDSLREAIPSGLGFLVSNREQGEEDGSILHVDVMSISSNLLSRSNADASNREARRNGRRLFWDAFSRRSSRRFIDSPTILLSTDDTEDLGFHDRWLLGLSGDFFDDGIGADSGYQGNRIPNSNERRRHSRSEVWERLRVGLDDNSQRNTSCPTGIHPRGMCSCGSFLTEESSTRASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSVPAPASVVDSFPLKSHTEVDTLKSGDDVAQCYICLAEYEEGDKIRVLPCHHEYHMSCVDKWLKEIHGVCPLCRGDVREGVITDCSVSNSEISSV >ONH98580 pep chromosome:Prunus_persica_NCBIv2:G7:21428704:21432624:-1 gene:PRUPE_7G255200 transcript:ONH98580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSRLGSRPSRARVNRTTNRSILSSFVCGGSSSRSTYEMEDHPAECLVKYAEQRNLDINQVRNSTEGTSLVSSTGARFTCPIIEIGSSSESTVAASQNTYFEDGLSSVHTSNQGKCLSKSKELVSPHQVSADYSHDESCRDNSTTASTSFKEQQSSDPVSVNCSANMDAVNGIGNSADKNVSQTCPEVMRPSSSSPQGIRNSRSTEVSVENHVAEVMAAHSSNADTEAARVSETPSSFHSLGNDSLREAIPSGLGFLVSNREQGEEDGSILHVDVMSISSNLLSRSNADASNREARRNGRRLFWDAFSRRSSRRFIDSPTILLSTDDTEDLGFHDRWLLGLSGDFFDDGIGADSGYQGNRIPNSNERRRHSRSEVWERLRVGLDDNSQRNTSCPTGIHPRGMCSCGSFLTEESSTRASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSVPAPASVVDSFPLKSHTEVDTLKSGDDVAQCYICLAEYEEGDKIRVLPCHHEYHMSCVDKWLKEIHGVCPLCRGDVREGVITDCSVSNSEISSV >ONH98579 pep chromosome:Prunus_persica_NCBIv2:G7:21428927:21432460:-1 gene:PRUPE_7G255200 transcript:ONH98579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSRLGSRPSRARVNRTTNRSILSSFVCGGSSSRSTYEMEDHPAECLVKYAEQRNLDINQVRNSTEGTSLVSSTGARFTCPIIEIGSSSESTVAANGLSSVHTSNQGKCLSKSKELVSPHQVSADYSHDESCRDNSTTASTSFKEQQSSDPVSVNCSANMDAVNGIGNSADKNVSQTCPEVMRPSSSSPQGIRNSRSTEVSVENHVAEVMAAHSSNADTEAARVSETPSSFHSLGNDSLREAIPSGLGFLVSNREQGEEDGSILHVDVMSISSNLLSRSNADASNREARRNGRRLFWDAFSRRSSRRFIDSPTILLSTDDTEDLGFHDRWLLGLSGDFFDDGIGADSGYQGNRIPNSNERRRHSRSEVWERLRVGLDDNSQRNTSCPTGIHPRGMCSCGSFLTEESSTRASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSVPAPASVVDSFPLKSHTEVDTLKSGDDVAQCYICLAEYEEGDKIRVLPCHHEYHMSCVDKWLKEIHGVCPLCRGDVREGVITDCSVSNSEISSV >ONH98581 pep chromosome:Prunus_persica_NCBIv2:G7:21428927:21432271:-1 gene:PRUPE_7G255200 transcript:ONH98581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHPAECLVKYAEQRNLDINQVRNSTEGTSLVSSTGARFTCPIIEIGSSSESTVAASQNTYFEDGLSSVHTSNQGKCLSKSKELVSPHQVSADYSHDESCRDNSTTASTSFKEQQSSDPVSVNCSANMDAVNGIGNSADKNVSQTCPEVMRPSSSSPQGIRNSRSTEVSVENHVAEVMAAHSSNADTEAARVSETPSSFHSLGNDSLREAIPSGLGFLVSNREQGEEDGSILHVDVMSISSNLLSRSNADASNREARRNGRRLFWDAFSRRSSRRFIDSPTILLSTDDTEDLGFHDRWLLGLSGDFFDDGIGADSGYQGNRIPNSNERRRHSRSEVWERLRVGLDDNSQRNTSCPTGIHPRGMCSCGSFLTEESSTRASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSVPAPASVVDSFPLKSHTEVDTLKSGDDVAQCYICLAEYEEGDKIRVLPCHHEYHMSCVDKWLKEIHGVCPLCRGDVREGVITDCSVSNSEISSV >ONH98583 pep chromosome:Prunus_persica_NCBIv2:G7:21428927:21432541:-1 gene:PRUPE_7G255200 transcript:ONH98583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSSSPQGIRNSRSTEVSVENHVAEVMAAHSSNADTEAARVSETPSSFHSLGNDSLREAIPSGLGFLVSNREQGEEDGSILHVDVMSISSNLLSRSNADASNREARRNGRRLFWDAFSRRSSRRFIDSPTILLSTDDTEDLGFHDRWLLGLSGDFFDDGIGADSGYQGNRIPNSNERRRHSRSEVWERLRVGLDDNSQRNTSCPTGIHPRGMCSCGSFLTEESSTRASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSVPAPASVVDSFPLKSHTEVDTLKSGDDVAQCYICLAEYEEGDKIRVLPCHHEYHMSCVDKWLKEIHGVCPLCRGDVREGVITDCSVSNSEISSV >ONH97598 pep chromosome:Prunus_persica_NCBIv2:G7:18697834:18698388:-1 gene:PRUPE_7G199700 transcript:ONH97598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLSFSIFVKWELRVEKVNVKGKLNLKEPLRFSGNLPWTLVFTASIWHCWKWRCNSIFNPGYEPPTNPHHTILQFSSEWLDANNVVNAKPTREVIQVHWSSPPTLGNFKLNADGSCKTDSWKICAGGLLRDSNGAWICGFSANIGIGNINEAELWRLFRRLHMAWAMGIRSLILNVISCLLSL >ONH97694 pep chromosome:Prunus_persica_NCBIv2:G7:18982732:18984043:1 gene:PRUPE_7G205300 transcript:ONH97694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSSMASLLITPPTATLQLKEDRMRVSPVITNPSSKIDQTGLRNSRRHGWCFVTKSKMTVEGDVLEKQSVSVQDKNDFGVVSVHHVGLLCENLERSLSFYQNLLGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIRDVAKLKAILDKAGKYSLHA >ONH97693 pep chromosome:Prunus_persica_NCBIv2:G7:18982643:18984246:1 gene:PRUPE_7G205300 transcript:ONH97693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSSMASLLITPPTATLQLKEDRMRVSPVITNPSSKIDQTGLRNSRRHGWCFVTKSKMTVEGDVLEKQSVSVQDKNDFGVVSVHHVGLLCENLERSLSFYQNLLGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIRDVAKLKAILDKAGIPYTLSKSGRPAIFTRDPDANALEFTQVDS >ONH96031 pep chromosome:Prunus_persica_NCBIv2:G7:13285991:13289044:-1 gene:PRUPE_7G103200 transcript:ONH96031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMDTVLNKKLGLVFVFAASVFLVINNNGVEASHNIYSRLQNAAAVEVKQLHRTGYHFQPPKHWINDPNAPMYFNGIYHLFYQYNPRGAVWGNIVWAHSVSKDLINWEALPPAIYPSKPFDINGTWSGSATILPGNKPIILYTGLDADKHQIQNYAVPANYSDPFLREWIKPDDNPLVVPSQEMNSSQFRDPTTAWWHNGHWRILVGGKRKHRGMAWLYRSIDFKYWVKAKHPLHSAPETGMWECPDFFPLALNGRSGVDTSKVGEDVKHILKVSLDETRYEYYTLGKYFPEKDRYVPDNTSVDGRAGLRLDYGNFYASKTFFDPSKNMRILWGWANESDAADDDKAKGWAGVQTIPRVVWLSPDAKQVVQWPIKELETLRGQKVDMNNQNVEQGQHVEVKGITAAQADVEVTFSFGSLEKAEEFDPNWANLDAQTVCSLRRSDVPGGVGPFGLLTLASQNLEEFTPVFFRVFKTKENKHKVLMCSDAKSSSLRPFNEKLYRPSFAGFVDVDLSADKKISLRSLIDHSVVESFGAGGKTCITSRVYPTLAVNDAAHLFVFNNGTEPVTVQTLSAWSMNAPQQMNQNLEF >ONH94884 pep chromosome:Prunus_persica_NCBIv2:G7:6851287:6852964:1 gene:PRUPE_7G037300 transcript:ONH94884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLQFYKIHCRLDQKACFELERTLTLVGDADYPLHADVPRPPRKMSERKPYPTPMKEEKEARKAQTCRMLEEPPDNGLLVLFGLSKLLQVVPVQRCR >ONH94885 pep chromosome:Prunus_persica_NCBIv2:G7:6851287:6852964:1 gene:PRUPE_7G037300 transcript:ONH94885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLQFYKIHCRLDQKACFELERTLTLVGDADYPLHADVPRPPRKMSERKPYPTPMKEEKEARKAQTCRMLEEPPDNGLLVLFGLSKLLQVVPVQRCR >ONH94820 pep chromosome:Prunus_persica_NCBIv2:G7:6019397:6020982:1 gene:PRUPE_7G032600 transcript:ONH94820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCNGEVVPPQLSSWRNPICCQRCEGGCVRGIPSKCPVELETAWIVHRVLKSLDCAASTEFVVALEHQGVCK >ONH95881 pep chromosome:Prunus_persica_NCBIv2:G7:12672449:12673660:-1 gene:PRUPE_7G094100 transcript:ONH95881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIEVPQYFVCPISLQIMQDPVTAITGITYDRDSIEHWLFQSKNTTCPVTKQPLPRDSELTPNHTLRRLIQAWCTENASYGIDRIPTPKPPLDKAQVLKLLKDFWNPKLQLKIIRKIEFLATKSEGNRKYLVDAGVAKAMLLFIANRCYKEGLVDGLEEALSILHFVRISSEELSLLFMENDQIIDSLTWVFGCKLQNQISVSTHAVLVLKSIIQKATSSVLETLNPDFFKKLVGVLRNGVTQQGTNAALHIMLDACPWGRNRIKMVDAGAVYQLIELEFEAPEKKITELIFGILFHLCSCADGRAQFVSHKGGIFVVSNRLLKVSPSADDRVVLILSLICKYSGTNMVLQEMLEVGAVYRLCTLLQVDCAPYLKDKARQILRSHYEEWKKCPCLGISLHPR >ONH96372 pep chromosome:Prunus_persica_NCBIv2:G7:14645449:14647072:-1 gene:PRUPE_7G124200 transcript:ONH96372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQQSQLHFLLLPFPASGHLIPMTDIGRLLAQQGILVTLVTTPHNAARVEAVVTRAIESGLQIRLIQLKFPSEEAGLPGGCENPDMLPSQDLILNFFAATALLQQPVEKLFEELIPKPNCIISDMCLPWTASIASKFHIPRISFSGTCCFCLLFIHNLRVSKVLENVTSESEYLVVPDLPDRIEITKAQVSGRLTTRVEGFTEKVAAAEMESYGMIMNTFEELEPEYVKEYRNARKNKVWCIGPASLCNKDDLDKAQRGNKASIDEHHCLKWLDSWAPGSVVYACLGSMCNLISEQLIELGLGLEASNKPFIWVVRGWKQSEELEKWISENGFKERTKERSLLIRGWAPQTLILSHPAIGGFLTHCGWNSTLEAISAGLPLITWPLFSDQFLNEKLVEQILKIAVKVGVEYPVKWGEEEKIGVLVKKENVKEAIEKLMDGEESRGRRERAKNFGEMARRAVEEGGSSHLNIALLIQDIMQQGSNCSQTN >ONH97625 pep chromosome:Prunus_persica_NCBIv2:G7:18807885:18810090:-1 gene:PRUPE_7G201900 transcript:ONH97625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPCYEKDGINKGAWTAQEDEILSNYMNTYGKGKWRDLPQRAGLKRCGRSCRMRWVNYLRPGIKRGNISADEEDLIVRLHKLLGNRIPGRTDTEIKNYWNTRLSKRSSSDQELLEHHKPSVNPKNQHNEKGLIPSDQSDNAKAIRPKPFKCTKVDVGIPSHLQDDQDHQIMVDTTNNNNFVSSSVPAGSSSNDLFILDLLNSTEFQQPQMKEWQLAMVENYWRGSPIIAAVDPFEPNIDGEVAFNALSSFLNSEDSQYWIN >ONH96419 pep chromosome:Prunus_persica_NCBIv2:G7:14867641:14871380:1 gene:PRUPE_7G128200 transcript:ONH96419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGSNSNFQEKQPSDASNIVGREKRTEKEVFINHAEIAWHERRREWVGDESQKLRRAPREPIMSWTTTYEDLLLSTEPFQESIPLAEMVDFLVDIWHEEGLYD >ONH96733 pep chromosome:Prunus_persica_NCBIv2:G7:16080565:16084526:-1 gene:PRUPE_7G148500 transcript:ONH96733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGAKSSKNKGVDGQAQSSSPPPPPPPPQSLEINTNSQDTADLSSYEAACKHDPALQSFDATLRQRTSRVITSLSTGLEVRSLSLDSLKEVTDCLLEMNQEVVKVILECKKDIWNSKEMFSLVEEYFENSLQTMDFCTALEQCLKRALNNQLIIQAAVRQFQEEVEAGAEGKRCERTLQELRAFKAAGDPFTDEFFILFQSVYKQQASMFEKLQLRKRKLDKKLKSVKAWRRVSNVIFVAGFVSVLVFSVVAAAIAAPPLVTALAGALAVPIGSIGKWCNLLWNRYERTLKGQREIISSMQIGTYVTMKDLDNIRVLVDKWEIQIESLLQTAGFALREEDTVKLVIDEIKKKLEMFVETIDNLSQHADKCSRNIRRARTVILQRIIRHPDK >ONH95404 pep chromosome:Prunus_persica_NCBIv2:G7:10668535:10669195:1 gene:PRUPE_7G068500 transcript:ONH95404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKRGRRGREGEGVGEATWGCCGDDGWVCRRRRVGMVVRLRGLCFSLILAPIKNTSLEFKSKWCD >ONH98155 pep chromosome:Prunus_persica_NCBIv2:G7:20345189:20346283:1 gene:PRUPE_7G233000 transcript:ONH98155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKASIKETDMPEKMHMQAMACASQVLDNLHDVFDCISIAAHIKKEFDKMYGSGWQCVVGSNFGCFFTHAPGTFIYFSLETLNFLIFKGASS >ONH96869 pep chromosome:Prunus_persica_NCBIv2:G7:16526146:16528337:-1 gene:PRUPE_7G156600 transcript:ONH96869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVGSLVKGPRAVNVIIQRLQRCMSSTTGAVMSFGDGSHGALGLPTSLMGLGVDAYEPIRVPSLPSDVTSVTAGHYHSLAVTSQGQLWAWGRDLEAQLGRGLPSPRDSWNEPKKVAGLDQVNVCAAFASGVVSAAIGDDGSLWVWGKSKRGQLGLGNQVTESVVPSRVEALAGEKIIKVSFGWGHALALTEDGKLFGWGYSADGRLGSITESLGTSLLESRGDLNNRELSSSTLEAAEKLVLEGMAKENNMPIIWEPSLVRELHSVDVVDIACGFDHSLILCVSGDGTLLSCGSNVYGQLGREKQDLGLFPVDISFRPTYIASGLGHSLAICQVPSSNVIGGAEGIVTWGWNQSSQLGRGGSENIPLVIEGLEGEIPVTVSGGRVHSIALTSKGEVWVWGCGKNGRLGLGSSCDEAEPILLDFVEGCQVLQAVSGFDHNLVLIAE >ONH96868 pep chromosome:Prunus_persica_NCBIv2:G7:16526005:16528514:-1 gene:PRUPE_7G156600 transcript:ONH96868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVGSLVKGPRAVNVIIQRLQRCMSSTTGAVMSFGDGSHGALGLPTSLMGLGVDAYEPIRVPSLPSDVTSVTAGHYHSLAVTSQGQLWAWGRDLEAQLGRGLPSPRDSWNEPKKVAGLDQVNVCAAFASGVVSAAIGDDGSLWVWGKSKRGQLGLGNQVTESVVPSRVEALAGEKIIKVSFGWGHALALTEDGKLFGWGYSADGRLGSITESLGTSLLESRGDLNNRELSSSTLEAAEKLVLEGMAKENNMPIIWEPSLVRELHSVDVVDIACGFDHSLILCGDGTLLSCGSNVYGQLGREKQDLGLFPVDISFRPTYIASGLGHSLAICQVPSSNVIGGAEGIVTWGWNQSSQLGRGGSENIPLVIEGLEGEIPVTVSGGRVHSIALTSKGEVWVWGCGKNGRLGLGSSCDEAEPILLDFVEGCQVLQAVSGFDHNLVLIAE >ONH94322 pep chromosome:Prunus_persica_NCBIv2:G7:1449452:1449903:1 gene:PRUPE_7G010900 transcript:ONH94322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVFALGSHGSDPMEKKKKLRWWQLEQEERERGKRDGADGGQRRKKRRREEEGKRIKTERGKRKKEKEKREGVGRREEGEREKEEKRIKKRGSY >ONH94426 pep chromosome:Prunus_persica_NCBIv2:G7:2247011:2247712:-1 gene:PRUPE_7G015600 transcript:ONH94426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAFQCCPRKAPPTHSQYQFLSLCSQGLKLSTTNAKPWNLPTFSRQSSNKQPFSSSSHKPEVEHRGAPLELQLQPRACRCHVRLHIMATSSKQLELIIVF >ONH97950 pep chromosome:Prunus_persica_NCBIv2:G7:19752438:19753043:1 gene:PRUPE_7G220500 transcript:ONH97950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHDEAFVFQNAEFANFPPPQRIPHFLVRKKTGVSKEKRREQIAKKEIFLAFMLSFLFFILKQIYYRTTCSFLHDLLLFSRPNQLKLRIDLMFCCNKLVFFFFQLLQFEEIDRVSSVHVR >ONH97325 pep chromosome:Prunus_persica_NCBIv2:G7:17830154:17832287:-1 gene:PRUPE_7G183800 transcript:ONH97325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFDKEASSSSQTLPPFPREDTPLLARPRKLSSQGKTFANVFIAIVGSGVLGLPYTFKKTGWVFGSLMLFSVAFFTYYCMMLLIHTRRKLDSVHGLSKIASFGDLGFAVCGPIGRLSVDIMIVLAQAGFCVSYLIFISNTLAFVINYSGPDRILGLAPKSLYLWACFPFQLGLNSIPTLTHLAPLSIFADVIDLGAMGVVMVEDVMIFLQNRPALQAFGGFSVFFYGLGVAVYAFEGIGMILPLESEAKDKDKFGKVLALCMAFIALLYGSFGVLGYFAFGEETKDIITTNFGQGLVSTLVQMGLCINLFFTLPLMMNPVFEVVERRFNDSRYCLWLRWVMVFVVSLVALLVPNFADFLSLVGSSVCVALGFVLPALFHLMVFKEELSWHGMLLDGTIVVLGVVIGVSGTWSSIAAILAPTA >ONH97323 pep chromosome:Prunus_persica_NCBIv2:G7:17830190:17832287:-1 gene:PRUPE_7G183800 transcript:ONH97323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFDKEASSSSQTLPPFPREDTPLLARPRKLSSQGKTFANVFIAIVGSGVLGLPYTFKKTGWVFGSLMLFSVAFFTYYCMMLLIHTRRKLDSVHGLSKIASFGDLGFAVCGPIGRLSVDIMIVLAQAGFCVSYLIFISNTLAFVINYSGPDRILGLAPKSLYLWACFPFQLGLNSIPTLTHLAPLSIFADVIDLGAMGVVMVEDVMIFLQNRPALQAFGGFSVFFYGLGVAVYAFEGIGMILPLESEAKDKDKFGKVLALCMAFIALLYGSFGVLGYFAFGEETKDIITTNFGQGLVSTLVQMGLCINLFFTLPLMMNPVFEVVERRFNDSRYCLWLRWVMVFVVSLVALLVPNFADFLSLVGSSVCVALGFVLPALFHLMVFKEELSWHGMLLDGTIVVLGVVIGVSGTWSSIAAILAPTA >ONH97324 pep chromosome:Prunus_persica_NCBIv2:G7:17829746:17832284:-1 gene:PRUPE_7G183800 transcript:ONH97324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFDKEASSSSQTLPPFPREDTPLLARPRKLSSQGKTFANVFIAIVGSGVLGLPYTFKKTGWVFGSLMLFSVAFFTYYCMMLLIHTRRKLDSVHGLSKIASFGDLGFAVCGPIGRLSVDIMIVLAQAGFCVSYLIFISNTLAFVINYSGPDRILGLAPKSLYLWACFPFQLGLNSIPTLTHLAPLSIFADVIDLGAMGVVMVEDVMIFLQNRPALQAFGGFSVFFYGLGVAVYAFEGIGMILPLESEAKDKDKFGKVLALCMAFIALLYGSFGVLGYFAFGEETKDIITTNFGQGLVSTLVQMGLCINLFFTLPLMMNPVFEVVERRFNDSRYCLWLRWVMVFVVSLVALLVPNFADFLSLVGSSVCVALGFVLPALFHLMVFKEELSWHGMLLDGTIVVLGVVIGVSGTWSSIAAILAPTA >ONH97792 pep chromosome:Prunus_persica_NCBIv2:G7:19283548:19288054:1 gene:PRUPE_7G210800 transcript:ONH97792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPVDEVSIQLSNLDEEGESSKLKEDGGQTRTSSAAEKWSKLEEVVNNAYQIHQQPKKFLPKWDIIFTVASAFAVFVDPLSCYVIIIDDSTCYYWDQTLMWTVFALRSACDLFYATDIFVYIKRRRGNGNAKPFGTYWIKFFGGPHSTVFWRFIGILPRICAALPILQAIILVGKYTYLICMYDQLFYLIPIQYILRAYNLYRWLDQHANIETAVKRFLKAALDFLPFILAAHLFGALWYFFAVNRKIACWQEHVCKFYHICDREILYSFFYCVSTTPGNNTEFSVSVSRLHESCAVQLSANVTSLPFDFGIYLYALQSNMTSSRDLPVKILQCIWWGLQNLSSFGSELKTSFFKDEIIFSIVISISGLALFLVYLNSRVQGSKKVSDQLKLQQKIKTVYLDIIEQMRNMCCLGLASLKKVPLLENTDEKGLKAISEYLKPWTYDEDVYIIREGEPFERCFSSHEALH >ONH97232 pep chromosome:Prunus_persica_NCBIv2:G7:17584432:17585912:-1 gene:PRUPE_7G178300 transcript:ONH97232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSSIVPVRSISLPSRLNPNSQKIESELKKLKTLRFSCAAEAASSSPLGSEALLEGLSGLAELYNCIEELVHSPLTQQALNHHQQCKTLVEEALDGSVGLLDSCGNARDLLLTMKEHVQNLQSALRRRRTGDSSSIESNVHAYICFRKKAKKSIAKSLRDLKKMESNINIGSFCLLDLDHNVQIVLKLLRELSAVTISVFQSLCVFLSMPLTNNTKASKWCLVSKLMAVRFAASENGQKIYNEVGSVDIALCSLHGHMKKSDYAKTDVQGVQWRLDTLDCSISGLEGGLERLFRCLLQHRVSLLNLLTP >ONH95369 pep chromosome:Prunus_persica_NCBIv2:G7:10479450:10482218:1 gene:PRUPE_7G066500 transcript:ONH95369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSSVKKMCEFCKTVKRRGRVYVLCTANPKHKQRQGMSTFAYEGTVSSMYAETSAKLENSSGHSVQAGLASLIPKKHEPLMPSIPSTILGWRVGLASLLFNKAK >ONH95368 pep chromosome:Prunus_persica_NCBIv2:G7:10479450:10482218:1 gene:PRUPE_7G066500 transcript:ONH95368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSSVKKMCEFCKTVKRRGRVYVLCTANPKHKQRQGMSTFAYEGTVSSMYAETSAKLENSSGHSVQAGLASLIPKKHEPLMPSIPSTILGWRVGLASLLFNKAK >ONH94823 pep chromosome:Prunus_persica_NCBIv2:G7:6133085:6136137:1 gene:PRUPE_7G032900 transcript:ONH94823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCNASASIQLVSLIILSRIFSLETIKFGFCSDDHNVGCIGIERKALLKLKQGLTDPFDWLSSWVGEDCCKWSGVGCNNITGRVNMLDLRNRYSDGLDGYGSIKHAFSGEINPSLLVLKDLDYLDLSMNNFEGVQLPSFIGSLEKLKYLNLSRASFVGVIPPNLGNLSRLLYLDLSNFLFPNPIETDLQWLATLSSLKYLNLEGVNLARATSYWLPTVNMLPSLVELHLPLCGLSILPFTLPSINFTSLLVLDLSDNHFKSTIPPWLFNLTKLQILDLAYNSLIGKLPDSLGYLKSLRYLILAANSLSGIIPECLGELSSLVSLDISYNTWEGAITETHFSKLGGLREVSIANDPPNISLVFNISSDWMPPFKLRYLDIRSCQLRPKFPTWLRNQTELTTVVLNNARISDTIPDWFWQLDLQFDILNVAHNQLSGRVPNSLRFSYDSNVDLTSNRFEGPLPLWSSNITWLYLRDNLFSGRIPHNIGQVMPNLTRLDISRNSLSGSIPLSIGNLSQLHFMLISNNLLSGEIPHFQNDIPSLYIVDLSNNNLFGTIPRSLGSLTSLRVLFLSSNNFSGEVPSLKNCTGMKILDLGDNKFFGPIPAFIGESMLSLKILSLRSNSFTGRIPLQLCGLSTLHILDFSHNNLSGNIPHCIGNLGGFKSEVKDIDTESYGYLGRLEVVSKGRMLVYDSILYLVNSVDLSDNNLSGEIPVGITSLIKLGTLNLSMNHLTGNIPANIRNLGSIETLDLSMNRLSGSIPENMVSLTFLNHLNLSYNNLCGKIPKGNQFQTFVDPSIYEGNPGLSGCPLPIGCQDNEEAPQVPSGDGGEDVDSKLEKLQFVISMVTGFCAGFWGVFGTLAMKRSWRHAYFHFVDKVKDAVLDFGSAIGTYLHKRS >ONH97642 pep chromosome:Prunus_persica_NCBIv2:G7:18850615:18853250:-1 gene:PRUPE_7G203100 transcript:ONH97642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFGKRKTPAELLRENKRMLDKSIREIERERQALQGQEKKLIMEIKKSAKQGQMGAVKVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMELVTEVMGDAIDDALEGDEEEEETDELVSQVLDEIGIDVNQELVNAPSAAVAAPSAKNKVPQVEAAATDDTGIDSELQARLDNLRKM >ONH97643 pep chromosome:Prunus_persica_NCBIv2:G7:18850897:18853180:-1 gene:PRUPE_7G203100 transcript:ONH97643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFGKRKTPAELLRENKRMLDKSIREIERERQALQGQEKKLIMEIKKSAKQGQMGAVKVMAKDLVRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMELVTEVMGDAIDDALEGDEEEEETDELVSQVLDEIGIDVNQEVLIST >ONH95636 pep chromosome:Prunus_persica_NCBIv2:G7:11710311:11710829:-1 gene:PRUPE_7G081800 transcript:ONH95636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAFSGACSGAVYRVGDSVGWNSRGLVDYNKWASVKDFNVRDTLIFAYNNQFHNVMQVSDQDFQSCNASAISTYTSGSDTSTLKRPGHYYFLCGAPGHCQAGQKVDIKVTLPVPKSSIPSPSPSPYGFSPPSVSHPEEISPSLTLSSAPTLNFSKLGFAITAFMLSLLCFVF >ONH94391 pep chromosome:Prunus_persica_NCBIv2:G7:1939369:1939846:-1 gene:PRUPE_7G013700 transcript:ONH94391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTVDTVMGAAEKDDAACYKCGIKGHWSRTYRMLKHLVNLYQESIKGKGKEKQTNYTDFDNIFGDFNEPIDITHLEVSNFFIETSGNIDRTINEGNANDDDKANNDGIDDMNVD >ONH97275 pep chromosome:Prunus_persica_NCBIv2:G7:17701578:17706690:1 gene:PRUPE_7G181000 transcript:ONH97275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGEGSVDDGTYSDDGDGVKVNVNVRCSNGSKFTVQINLESTVGSFKEVLSSKCDIPADQQRLIYKGRILKDDQTVQSYGLEADHTVHLVRGFAPPASANPAGATNAGAANSTQARGVGSNDGGTLGGSGFGASPFPGLGLNGMGGTGGLFGSGFPDFEQVQQQLTQSPSVMRDIMNTPAVQNLMNNPDIMRNLIMNNPQMREIIDRNPELAHVLNDPGTLRQTLEAARNPELMREMMRNTDRAMSNIEATPEGFNMLRRMYENVQEPFMNATTMAGNAGSDGSNPFASLFGAQGGNQTRSDSMNGSPAPNTNPLPNPWSSTGTGVAETNTTASNPISDARLQTPTPILNFPEFEALGALPQDYNSMNQFMQNPAVSQIMQSLISNPQYMNQILGSNPQFRSMLDSNSHLREMMQNPEFLRQLASPETMQLLLTVQQSLMSQFGRQQQQSTQETGQTGGTTGSFNNTGLEMLMNMFGGLGTGSLAVPNRPDVPPEELYAAQLSQLQEMGFFDRQENIRALMATAGNVHAAVERLLGNSGL >ONH97274 pep chromosome:Prunus_persica_NCBIv2:G7:17701605:17706565:1 gene:PRUPE_7G181000 transcript:ONH97274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGEGSVDDGTYSDDGDGVKVNVNVRCSNGSKFTVQINLESTVGSFKEVLSSKCDIPADQQRLIYKGRILKDDQTVQSYGLEADHTVHLVRGFAPPASANPAGATNAGAANSTQARGVGSNDGGTLGGSGFGASPFPGLGLNGMGGTGGLFGSGFPDFEQVQQQLTQSPSVMRDIMNTPAVQNLMNNPDIMRNLIMNNPQMREIIDRNPELAHVLNDPGTLRQTLEAARNPELMREMMRNTDRAMSNIEATPEGFNMLRRMYENVQEPFMNATTMAGNAGSDGSNPFASLFGAQGGNQTRSDSMNGSPAPNTNPLPNPWSSTGTAGVAETNTTASNPISDARLQTPTPILNFPEFEALGALPQDYNSMNQFMQNPAVSQIMQSLISNPQYMNQILGSNPQFRSMLDSNSHLREMMQNPEFLRQLASPETMQLLLTVQQSLMSQFGRQQQQSTQETGQTGGTTGSFNNTGLEMLMNMFGGLGTGSLAVPNRPDVPPEELYAAQLSQLQEMGFFDRQENIRALMATAGNVHAAVERLLGNSGL >ONH97276 pep chromosome:Prunus_persica_NCBIv2:G7:17702634:17706569:1 gene:PRUPE_7G181000 transcript:ONH97276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTGGLFGSGFPDFEQVQQQLTQSPSVMRDIMNTPAVQNLMNNPDIMRNLIMNNPQMREIIDRNPELAHVLNDPGTLRQTLEAARNPELMREMMRNTDRAMSNIEATPEGFNMLRRMYENVQEPFMNATTMAGNAGSDGSNPFASLFGAQGGNQTRSDSMNGSPAPNTNPLPNPWSSTGTGVAETNTTASNPISDARLQTPTPILNFPEFEALGALPQDYNSMNQFMQNPAVSQIMQSLISNPQYMNQILGSNPQFRSMLDSNSHLREMMQNPEFLRQLASPETMQLLLTVQQSLMSQFGRQQQQSTQETGQTGGTTGSFNNTGLEMLMNMFGGLGTGSLAVPNRPDVPPEELYAAQLSQLQEMGFFDRQENIRALMATAGNVHAAVERLLGNSGL >ONH97000 pep chromosome:Prunus_persica_NCBIv2:G7:16878220:16884049:-1 gene:PRUPE_7G163900 transcript:ONH97000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTMEAITEVEETLSELRQTFKSGRTRSVAWRKNQVSALLQLIHDQEDEIFKALYEDLGKHPVEVYRDEIGIVKKTINYTLSNLEKWVAPKKSRLPLLLFPTSGEVLPEPLGVVLIFASWNFPIALGLDPVIGAISAGNTVVLKPSEQAPACSSFLANTIPQYMDSKAVRVIEGGAEISELLLQQKWDKIFFTGSPQVGRIVMSAAAKNLTPVTLELGGKCPTILDSFSNPSDLKVAIKRIVGGKWGPCNGQACIGVDYILIEEKLASTLIELLKKTVKRFYSDSPKDSKCIARVINRGHFERLRNLLKDPLVAASIVHGGSLDEENLFIEPTILLDPPLDAAIMTEEIFGPLLPIITLKSIQESIEFINSRPKPLAIYAFTKDEEFRQRILLETSSGSVIFNDVLIQFICDALPFGGVGQSGFGRYHGKYSFDTFSHEKVVMRGNFIVELEPRYPPWNDFKMNFFRLAYNLDYLGLLLLFLGLKSNRLTGVSQKQCNT >ONH96999 pep chromosome:Prunus_persica_NCBIv2:G7:16880651:16883761:-1 gene:PRUPE_7G163900 transcript:ONH96999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTMEAITEVEETLSELRQTFKSGRTRSVAWRKNQVSALLQLIHDQEDEIFKALYEDLGKHPVEVYRDEIGIVKKTINYTLSNLEKWVAPKKSRLPLLLFPTSGEVLPEPLGVVLIFASWNFPIALGLDPVIGAISAGNTVVLKPSEQAPACSSFLANTIPQYMDSKAVRVIEGGAEISELLLQQKWDKIFFTGSPQVGRIVMSAAAKNLTPVTLELGGKCPTILDSFSNPSDLKVAIKRIVGGKWGPCNGQACIGVDYILIEEKLASTLIELLKKTVKRFYSDSPKDSKCIARVINRGHFERLRNLLKDPLVAASIVHGGSLDEENLFIEPTILLDPPLDAAIMTEEIFGPLLPIITLKSIQESIEFINSRPKPLAIYAFTKDEEFRQRILLETSSGSVIFNDVLIQFICDALPFGGVGQSGFGRLAYNLDYLGLLLLFLGLKRSNRLTGVSQKQCNT >ONH97001 pep chromosome:Prunus_persica_NCBIv2:G7:16878220:16884050:-1 gene:PRUPE_7G163900 transcript:ONH97001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTMEAITEVEETLSELRQTFKSGRTRSVAWRKNQVSALLQLIHDQEDEIFKALYEDLGKHPVEVYRDEIGIVKKTINYTLSNLEKWVAPKKSRLPLLLFPTSGEVLPEPLGVVLIFASWNFPIALGLDPVIGAISAGNTVVLKPSEQAPACSSFLANTIPQYMDSKAVRVIEGGAEISELLLQQKWDKIFFTGSPQVGRIVMSAAAKNLTPVTLELGGKCPTILDSFSNPSDLKVAIKRIVGGKWGPCNGQACIGVDYILIEEKLASTLIELLKKTVKRFYSDSPKDSKCIARVINRGHFERLRNLLKDPLVAASIVHGGSLDEENLFIEPTILLDPPLDAAIMTEEIFGPLLPIITLKSIQESIEFINSRPKPLAIYAFTKDEEFRQRILLETSSGSVIFNDVLIQFICDALPFGGVGQSGFGRYHGKYSFDTFSHEKVVMRGNFIVELEPRYPPWNDFKMNFFRLAYNLDYLGLLLLFLGLKRSNRLTGVSQKQCNT >ONH97003 pep chromosome:Prunus_persica_NCBIv2:G7:16880874:16883761:-1 gene:PRUPE_7G163900 transcript:ONH97003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTMEAITEVEETLSELRQTFKSGRTRSVAWRKNQVSALLQLIHDQEDEIFKALYEDLGKHPVEVYRDEIGIVKKTINYTLSNLEKWVAPKKSRLPLLLFPTSGEVLPEPLGVVLIFASWNFPIALGLDPVIGAISAGNTVVLKPSEQAPACSSFLANTIPQYMDSKAVRVIEGGAEISELLLQQKWDKIFFTGSPQVGRIVMSAAAKNLTPVTLELGGKCPTILDSFSNPSDLKVAIKRIVGGKWGPCNGQACIGVDYILIEEKLASTLIELLKKTVKRFYSDSPKDSKCIARVINRGHFERLRNLLKDPLVAASIVHGGSLDEENLFIEPTILLDPPLDAAIMTEEIFGPLLPIITLKSIQESIEFINSRPKPLAIYAFTKDEEFRQRILLETSSGSVIFNDVLIQFICDALPFGGVGQSGFGRYHGKYSFDTFSHEKVVMRGNFIVELEPRYPPWNDFKMNFFRLAYNLDYLGLLLLFLGLKR >ONH97002 pep chromosome:Prunus_persica_NCBIv2:G7:16880874:16883761:-1 gene:PRUPE_7G163900 transcript:ONH97002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTMEAITEVEETLSELRQTFKSGRTRSVAWRKNQVSALLQLIHDQEDEIFKALYEDLGKHPVEVYRDEIGIVKKTINYTLSNLEKWVAPKKSRLPLLLFPTSGEVLPEPLGVVLIFASWNFPIALGLDPVIGAISAGNTVVLKPSEQAPACSSFLANTIPQYMDSKAVRVIEGGAEISELLLQQKWDKIFFTGSPQVGRIVMSAAAKNLTPVTLELGGKCPTILDSFSNPSDLKVAIKRIVGGKWGPCNGQACIGVDYILIEEKLASTLIELLKKTVKRFYSDSPKDSKCIARVINRGHFERLRNLLKDPLVAASIVHGGSLDEENLFIEPTILLDPPLDAAIMTEEIFGPLLPIITLKSIQESIEFINSRPKPLAIYAFTKDEEFRQRILLETSSGSVIFNDVLIQFICDALPFGGVGQSGFGRLAYNLDYLGLLLLFLGLKR >ONH96998 pep chromosome:Prunus_persica_NCBIv2:G7:16878220:16884049:-1 gene:PRUPE_7G163900 transcript:ONH96998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTMEAITEVEETLSELRQTFKSGRTRSVAWRKNQVSALLQLIHDQEDEIFKALYEDLGKHPVEVYRDEIGIVKKTINYTLSNLEKWVAPKKSRLPLLLFPTSGEVLPEPLGVVLIFASWNFPIALGLDPVIGAISAGNTVVLKPSEQAPACSSFLANTIPQYMDSKAVRVIEGGAEISELLLQQKWDKIFFTGSPQVGRIVMSAAAKNLTPVTLELGGKCPTILDSFSNPSDLKVAIKRIVGGKWGPCNGQACIGVDYILIEEKLASTLIELLKKTVKRFYSDSPKDSKCIARVINRGHFERLRNLLKDPLVAASIVHGGSLDEENLFIEPTILLDPPLDAAIMTEEIFGPLLPIITLKSIQESIEFINSRPKPLAIYAFTKDEEFRQRILLETSSGSVIFNDVLIQFICDALPFGGVGQSGFGRLAYNLDYLGLLLLFLGLKSNRLTGVSQKQCNT >ONH94267 pep chromosome:Prunus_persica_NCBIv2:G7:863538:868758:1 gene:PRUPE_7G006600 transcript:ONH94267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLKDSFEIPRGQASRDGDIELGTNGSMNSGEFGLENFFKKVQEIEKQNEKLNKLLKKLQDAHEESKAVTKAPSMKSIKQRMEKDVDEVGKIARWIKSKIEELDKENLANRQKPGCGKGTGVDRSRTATTLALKKKLKDKMAEFQTLRETIHQEYREVVERRVFTVTGTRADEETIERLIETGDSEQIFQKAIQEQGRGQIMDTLAEIQERHDAVRDLERKLLDLQQIFLDMAVLVDAQGDLLDNIETQVSSAVDHVQQGNTALQKAKKLQKSSRKWMCIAILILLIIVIIIVVAVLKPWNSNKGA >ONH96556 pep chromosome:Prunus_persica_NCBIv2:G7:15392404:15400181:-1 gene:PRUPE_7G136800 transcript:ONH96556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSVPESSMAEFDSSVGSDYAEIIVLRHGETAWNADRRIQGQLDVELNDAGRQQAALLGDRLSKEPKISLVYSSDLARAYETAQIIAARCGGLKVVTDVDLRERHLGDLQGLVFGDTAKLNPKAHQAFVSHETCQEIPGGGESRDQLHQRCTSSLQRIGNKHKGERVVLVSHGGFIRTLYKQASPDGRSVEKVLNTSVNIIHVYDDGKWTIKSWGDVSHLNPTGYLQSGFGGDEKSG >ONH96557 pep chromosome:Prunus_persica_NCBIv2:G7:15392405:15400181:-1 gene:PRUPE_7G136800 transcript:ONH96557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSVPESSMAEFDSSSVGSDYAEIIVLRHGETAWNADRRIQGQLDVELNDAGRQQAALLGDRLSKEPKISLVYSSDLARAYETAQIIAARCGGLKVVTDVDLRERHLGDLQGLVFGDTAKLNPKAHQAFVSHETCQEIPGGGESRDQLHQRCTSSLQRIGNKHKGERVVLVSHGGFIRTLYKQASPDGRSVEKVLNTSVNIIHVYDDGKWTIKSWGDVSHLNPTGYLQSGFGGDEKSG >ONH96037 pep chromosome:Prunus_persica_NCBIv2:G7:13311214:13317666:-1 gene:PRUPE_7G103600 transcript:ONH96037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRHDKEKGVNVQVLLRCRPFSDDELRSNAPQVITCNEYMREVAVSQNIAGKHIDRVFTFDKVFGPNAQQRDLYEQAVIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRAKSGPKGELPPEAGVIPRAVQQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEELSRVSLEEKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSSKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIRNKPEVNQKMMKSTLIKDLYGEIERLKAEVYAAREKNGVYIPKERYYQEESERKSMADQIENMGVMLETHQKQFEELQEKYNVQVRQCHDLSGKLDSTEKSLNHTTKLLSTADEELMKCQYALKERDFIISEQKKAESALAHQACMLQSDLEKALHDNASLFLKIGREDKLSADNRLVVNNYQADLAQQIGSLCQMVATSMSRQNEHLQCVENLCHSFLTAHVKAIMDMKHKLTSSRTLYLSHIEAVQNVVRLHKASSNAALEEISSLASSNANSVEEFLASDAGEAASIFEDLQSGLSTQQGEMVAFAKELKQRFHSSIKQTKDISEYSQGFLHKLLEESKRLEDHVAQTSDIKMNSIAEFQKAYEEQSKSDAEKLIADISSLVSIHICRQKEMVDSKLVGFRESAIADKSFMDGHVSSMEGIATDAKRKWMEFSMQAENDAKDGADYSAAKHCRMEVLLQKSVSTVDSALEHWKMTQASVNDMGNKHVSAMVSLIRNASDCNEQHDVEVNSVRAAVEQDVAKNSEDVIQHVDCVHEQERESISEILENIKAHSNTLETFREDHSGQAASIEERARDTFQNQYADYEPSGSTPEKTEPEVPSKGTIESLRAMPMEALVEEFRENHSYESFDVKELKPSLIPRSPLTQLN >ONH96036 pep chromosome:Prunus_persica_NCBIv2:G7:13310949:13317922:-1 gene:PRUPE_7G103600 transcript:ONH96036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRHDKEKGVNVQVLLRCRPFSDDELRSNAPQVITCNEYMREVAVSQNIAGKHIDRVFTFDKVFGPNAQQRDLYEQAVIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRAKSGPKGELPPEAGVIPRAVQQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEELSRVSLEEKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSSKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIRNKPEVNQKMMKSTLIKDLYGEIERLKAEVYAAREKNGVYIPKERYYQEESERKSMADQIENMGVMLETHQKQFEELQEKYNVQVRQCHDLSGKLDSTEKSLNHTTKLLSTADEELMKCQYALKERDFIISEQKKAESALAHQACMLQSDLEKALHDNASLFLKIGREDKLSADNRLVVNNYQADLAQQIGSLCQMVATSMSRQNEHLQCVENLCHSFLTAHVKAIMDMKHKLTSSRTLYLSHIEAVQNVVRLHKASSNAALEEISSLASSNANSVEEFLASDAGEAASIFEDLQSGLSTQQGEMVAFAKELKQRFHSSIKQTKDISEYSQGFLHKLLEESKRLEDHVAQTSDIKMNSIAEFQKAYEEQSKSDAEKLIADISSLVSIHICRQKEMVDSKLVGFRESAIADKSFMDGHVSSMEGIATDAKRKWMEFSMQAENDAKDGADYSAAKHCRMEVLLQKSVSTVDSALEHWKMTQASVNDMGNKHVSAMVSLIRNASDCNEQHDVEVNSVRAAVEQDVAKNSVHEQERESISEILENIKAHSNTLETFREDHSGQAASIEERARDTFQNQYADYEPSGSTPEKTEPEVPSKGTIESLRAMPMEALVEEFRENHSYESFDVKELKPSLIPRSPLTQLN >ONH94774 pep chromosome:Prunus_persica_NCBIv2:G7:5442417:5442986:-1 gene:PRUPE_7G029400 transcript:ONH94774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRRGFNRCPRPYRAFLFVEISRSNEAQDIPKANTSLSWAQKCRTIFEHQQVLYTVLRSPHIDKKSREQFEMEIKKQYLVIKTQPHELQKKCFWLKRQRIFGAQYELLFSCKTRLDKEQLQKSL >ONH96913 pep chromosome:Prunus_persica_NCBIv2:G7:16636726:16637449:1 gene:PRUPE_7G159200 transcript:ONH96913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTKLLLAISCVALVFTLVSANISKEEIDGFLEEHNKARKEVGNKPLKWNTTLAQYAQDYANKRVDDCAMEHSRGRWGENLTSGDGMTGAAGTKYWVTEKEFYDPKSNKCVKDECGHYLAVIWGKTTEVGCGISKCKDGKNYIVCSYDPMYQPEDERPY >ONH96584 pep chromosome:Prunus_persica_NCBIv2:G7:15482391:15486012:-1 gene:PRUPE_7G138500 transcript:ONH96584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAVGGAALGAVFGTLLDGVVAVTSKAIMFKSHLRDLKFTLDSFQQVDEDVGQHPNIVFRPMQGLERFRIQMEKGAQLVDKCSKVRPWKLNAFKKPMYTSKLVALNASLNTLLSVLTVHIISDLRARMINVETTLNHIDANIVAQYQNQYQNQIRGWCAIPEPPPFTVGLDVRVEELKMELLRDEASMLVVTGLGGCGKTTLAQMICKDQKVKEKFKDNIFFITVSKKSNCLVVQELCRKTGSLVPSFQEEAIAFNWLQEFLNTPEQDPLLLVLDDVYPDSDSLLDKLGEVKRPNHNILVTSRVKFPRFGPAYPLGTLEQGDAMTLFRHSASRTDRNSHILDNLVEQIVQLCKGFPLAITTIGRSVCEQPTEIWEKRVAELSKDRSIPAVALIDMWAELYGLDEDFWSIANIHELTNRNLANLVTRNGKMELDSYYNEHFVTQHDMLRNLAIHQTGQDIIGQRKRLIIDICGDNLPNWWKEHKNKPKKTRLLSISTDGSSSAKWHNMHLPKAEVLVLNFQTENYVLPKFVKKMSKLKVLIVTNYGVLQADLSNFKLLGSLPNLKRIRLERISIPSVSKNSMQLKSLQKISLFMCSVGQAFSNSSIQILEAFPNLVELNIDYCNDLVELPAKLCDLIRLKKFSITNCHKLSALPKEIGQLDNVEVLRLRSCVNLEGLPGSIKNLTRLDFLDISNCFSMKELPEDIGEMSGLRKINMGQCSRLKELPLSVLHLGKLREVICDDETKKLWGEPFKPSLTNLHITVVKEDFNLNWLYNL >ONH96585 pep chromosome:Prunus_persica_NCBIv2:G7:15482591:15485742:-1 gene:PRUPE_7G138500 transcript:ONH96585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAVGGAALGAVFGTLLDGVVAVTSKAIMFKSHLRDLKFTLDSFQQVDEDVGQHPNIVFRPMQGLERFRIQMEKGAQLVDKCSKVRPWKLNAFKKPMYTSKLVALNASLNTLLSVLTVHIISDLRARMINVETTLNHIDANIVAQYQNQYQNQIRGWCAIPEPPPFTVGLDVRVEELKMELLRDEASMLVVTGLGGCGKTTLAQMICKDQKVKEKFKDNIFFITVSKKSNCLVVQELCRKTGSLVPSFQEEAIAFNWLQEFLNTPEQDPLLLVLDDVYPDSDSLLDKLGEVKRPNHNILVTSRVKFPRFGPAYPLGTLEQGDAMTLFRHSASRTDRNSHILDNLVEQIVQLCKGFPLAITTIGRSVCEQPTEIWEKRVAELSKGSSILDSEDYLLACLKSSLDALDERMPIIKECFIDLASFPEDRSIPAVALIDMWAELYGLDEDFWSIANIHELTNRNLANLVTRNGKMELDSYYNEHFVTQHDMLRNLAIHQTGQDIIGQRKRLIIDICGDNLPNWWKEHKNKPKKTRLLSISTDGSSSAKWHNMHLPKAEVLVLNFQTENYVLPKFVKKMSKLKVLIVTNYGVLQADLSNFKLLGSLPNLKRIRLERISIPSVSKNSMQLKSLQKISLFMCSVGQAFSNSSIQILEAFPNLVELNIDYCNDLVELPAKLCDLIRLKKFSITNCHKLSALPKEIGQLDNVEVLRLRSCVNLEGLPGSIKNLTRLDFLDISNCFSMKELPEDIGEMSGLRKINMGQCSRLKELPLSVLHLGKLREVICDDETKKLWGEPFKPSLTNLHITVVKEDFNLNWLYNL >ONH95427 pep chromosome:Prunus_persica_NCBIv2:G7:10778817:10780330:1 gene:PRUPE_7G069700 transcript:ONH95427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALALEVAINLLLSIGVVGATTSCEGEEMKILPPNFRLPTSLTRFRFRWHPPADPPAATALYRFTLVKHTCPGSTALFIRIIISQWLVVWLVGCWLSLEPGLIIDLIIDLMPACLDTKDVSESQLYCIDVYKTCTRVL >ONH97019 pep chromosome:Prunus_persica_NCBIv2:G7:16934020:16936430:-1 gene:PRUPE_7G164800 transcript:ONH97019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNKSTEKEKGPEKVSNKGRSCKGTLYYSTALKSKAYNPICLGVPRTLPQVPDSVVAATEMEASKEDRHLADFRYACAGYSMYLDRIKEDPSQKNTSELPVCVGLELLVGKRIHKTSPAAAPLSTHVHHKEDAREVPQTQRHQPPTQSAGTVFLNKFSRNADLVASGVTKNVYKVGNYIKGSLSDFLFPYRGRSK >ONH95090 pep chromosome:Prunus_persica_NCBIv2:G7:8932699:8933607:-1 gene:PRUPE_7G051300 transcript:ONH95090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTLVAVLSLSLTLLSFGGAHAATMSFKNNCPYTVWPASFGNPQLSTTGFELASQASFQLDTPVPWSGRFWARTRCSTDASGKFVCETADCDSGQLMCNGKTGIPPATLAEFTIAAGGGQDFYDVSLVDGFNLPMSVTPQGGTGTCKMGSCAANVNLVCPSELQKIGSDGSVVACLSACVKFGEPQYCCTPPQETKEKCPPTNYSQIFHEQCPDAYSYAFDDNKGLFTCSGGPNYLITFCP >ONH98360 pep chromosome:Prunus_persica_NCBIv2:G7:20953152:20955202:1 gene:PRUPE_7G246200 transcript:ONH98360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQLTIAILAITVVALLHSTAAQQTHVVGDKFGWLVPPGGAYAYEAWAETQTFAVGDILVFNFTNGDQDVARVTKEAFKTCNSTNPLTLKTTSPANFTLDTIGEYYFIGTKDKRCELGQKLAINVTAEHGSPTPSPAPKSSPAPRGAVTYVVGDHFGWIVPPGGELAYATWAYGKTFYVGDTLEFNFTTGEQDVARVTKEAFESCNTTNATVQTAGPAYFKLETVGQYYFIGTKDKRCELGQKLAINVTANPGPNPSPSVPAPAPTPRGPVTYTVGDKLGWIVPGSGYAYATWAYGKTFIVGDTLVFNFANDTQDVAVVTKSAYENCTTNNTIAVYSKSPVSILLNTSGEHFFTSTYDRHCVLGQKLAINVTASSTATSPSGSVAPSSPTTSTGGPGSAPAPFSSAPSTIGSGHFTFVLISMAAFFFY >ONH97656 pep chromosome:Prunus_persica_NCBIv2:G7:18902963:18906097:1 gene:PRUPE_7G204000 transcript:ONH97656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNEEPVEETNGDSFHIVKQGLKDRSKKVAQTKEILSKQAVQTREILSKQAVKIAKQAEEHEKFINKVTHLVGVLGFGGFCFLLGARPQDIRYVYCLFYVIFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLLYPRNEKFFMVCFSFAEGPLAWALIVWRCSLVFSSLDKIVSVLIHLLPGLVFFTIRWWDPATFAAMHPEGTSRRASWPYVEDKSYLWVWLFWVPLFAYTLWQALYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNVWWRLSGLLGDQNRLLMFILLQAVFTVATMALTVPIFLSYELHVVFQILKVSASVWNGGSFLLEVMPRQVILKEKKKLEVQPVQTERDQSTDDDGGTNYSAEASSPN >ONH97655 pep chromosome:Prunus_persica_NCBIv2:G7:18903134:18905885:1 gene:PRUPE_7G204000 transcript:ONH97655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNEEPVEETNGDSFHIVKQGLKDRSKKVAQTKEILSKQAVQTREILSKQAVKIAKQAEEHEKFINKVTHLVGVLGFGGFCFLLGARPQDIRYVYCLFYVIFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLLYPRNEKFFMVCFSFAEQGPLAWALIVWRCSLVFSSLDKIVSVLIHLLPGLVFFTIRWWDPATFAAMHPEGTSRRASWPYVEDKSYLWVWLFWVPLFAYTLWQALYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNVWWRLSGLLGDQNRLLMFILLQAVFTVATMALTVPIFLSYELHVVFQILKVSASVWNGGSFLLEVMPRQVILKEKKKLEVQPVQTERDQSTDDDGGTNYSAEASSPN >ONH95966 pep chromosome:Prunus_persica_NCBIv2:G7:12984952:12990467:-1 gene:PRUPE_7G098800 transcript:ONH95966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGGSGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEPKKFGPLANWQREYTMEDILTQLKKEMASPHNRKLVQPPEGYLD >ONH95967 pep chromosome:Prunus_persica_NCBIv2:G7:12986583:12990263:-1 gene:PRUPE_7G098800 transcript:ONH95967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSGGSGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEPKKFGPLANWQREYTMEDILTQLKKEMASPHNRKLVQPPEGTYF >ONH95989 pep chromosome:Prunus_persica_NCBIv2:G7:13076836:13077751:1 gene:PRUPE_7G100500 transcript:ONH95989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFDLKFFDLVQACTEMIMPTSGSNKDSIFPASDWDYKDQASVCKSRFGIEPRPLWVTTEFGGHDIERVLKRYGSNIIFFNGLRDPWSGGGVLKNISNSIVAIVAKEGAHHVDLMFKSSEDPEWLKDVRKQEIKIIAKWISQYYHDLAQLF >ONH98065 pep chromosome:Prunus_persica_NCBIv2:G7:20059536:20062598:1 gene:PRUPE_7G226600 transcript:ONH98065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPPAKSWSIHSRPEIITKYKILERVGSGAYSDVYRAIRFYDNLTVALKEVHDYQSAFREIEALQALHSCPNVVVLHEYFWREDEDAVLVLEFLTTDLATVIRSAKKREGGIGRGEVKRWMLQILSGVDACHRNMVVHRDLKPSNLLIGDNGVLKLADFGQARILLEPGYVPDDENPEPYAQSTPGQCQAGYRVQEHGTMSKEEYFRVLDEVKAKELDKETSVPDGDTSCLATCTTSDIEDDILKGSYSYEAEEGGDDRNGALTSCVGTRWFRAPELLYGSTDYGLEIDLWSLGCIFAELLTLEPLFPGTADIDQLSRIITVLGNLTEEVWPGCVKLPDYRIISFNKVENPVGIEACLRNRSPDEVSLVKKLICYDPTRRATTMELLQDKYFNEEPLPVPLSELHVPPTKDMSSDSPGGWQDYEDMGTDSDFDDFAHVNKTTTSTGSYIQFS >ONH97982 pep chromosome:Prunus_persica_NCBIv2:G7:19807472:19808290:1 gene:PRUPE_7G221700 transcript:ONH97982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHPCKRKREKTKTFIVSFLSCVVVLETWEFLQNRMANEKQLKWEGKASAELNGPEAEQVWPLLEDFFGLHKWFPTLTTCLGVEGISGQPGCVRYCAGFKTPVDHKREQDQDQEKVNWTKQKLLSIDPAQLIFSYSIIDGNVGFNSYISTVQVVPKEGGCSIVWKYEVEPVEGWKMEDLDMFIGTGLQVMASRMEASLQLQVGPLEP >ONH96502 pep chromosome:Prunus_persica_NCBIv2:G7:15178507:15182385:-1 gene:PRUPE_7G133300 transcript:ONH96502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDMMDAARKRAQRRAVFLAKRRGDPQQSIQVVGSRCRLYRDDGLYQASEDQQGLIPWNGKQDVLIDRFDGRALLDFIRESRHLRAQEKSEEEEELEEFVNFERYRDLIKHRRRGFTDEEGLQHVNEEIETRVTAPFAPERSQMQQPSAVKGSYSQVGFSYEGDGKEEAQFSDPDDNDEDEEEDEDEDDFNSDDSSDEGMDKIAKEFGIKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKMSRKERRKASQIEREREREAARVTGTQVLHHDPYRESRRSPTYEAYPRSRRSRSRSYSPSYSRRYPRGRHSDEAHRSKPATSKIEYITEFGGSGDKDESKRAGFSPPSSPPSQADVLNRPSTGLILEALHVDPASGASLEKDKGTKVVKPPASTSSALAKLTKGSTSGGPLKQQPVEKKETPQERLKRIMSRQLNKQIKKDTAAEIAKKREQERQRLEKLAETSRLSRSRRRSRSRSYSRSPPRYRDSRSRSRSKSSRRHYSRSRSRSRSRSRTRNHSRTYSRSPRVRSRTRH >ONH96501 pep chromosome:Prunus_persica_NCBIv2:G7:15178646:15182385:-1 gene:PRUPE_7G133300 transcript:ONH96501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDMMDAARKRAQRRAVFLAKRRGDPQQSIQVVGSRCRLYRDDGLYQASEDQQGLIPWNGKQDVLIDRFDGRALLDFIRESRHLRAQEKSEEEEELEEFVNFERYRDLIKHRRRGFTDEEGLQHVNEEIETRVTAPFAPERSQMQQPSAVKGSYSQVGFSYEGDGKEEAQFSDPDDNDEDEEEDEDEDDFNSDDSSDEGMDKIAKEFGIKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKMSRKERRKASQIEREREREAARVTGTQVLHHDPYRESRRSPTYEAYPRSRRSRSRSYSPSYSRRYPRGRHSDEAHRSKPATSKIEYITEFGGSGDKDESKRAGFSPPSSPPSQADVLNRPSTGLILEALHVDPASGASLEKDKGTKVVKPPASTSSALAKLTKGSTSGGPLKQQPVEKKETPQERLKRIMSRQLNKQIKKDTAAEIAKKREQERQRLEKLAETSRLSRSRRRSRSRSYSRSPPRRYRDSRSRSRSKSSRRHYSRSRSRSRSRSRTRNHSRTYSRSPRLIQRADVTGYEAEQDIDGY >ONH96503 pep chromosome:Prunus_persica_NCBIv2:G7:15178830:15182240:-1 gene:PRUPE_7G133300 transcript:ONH96503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDMMDAARKRAQRRAVFLAKRRGDPQQSIQVVGSRCRLYRDDGLYQASEDQQGLIPWNGKQDVLIDRFDGRALLDFIRESRHLRAQEKSEEEEELEEFVNFERYRDLIKHRRRGFTDEEGLQHVNEEIETRVTAPFAPERSQMQQPSAVKGSYSQVGFSYEGDGKEEAQFSDPDDNDEDEEEDEDEDDFNSDDSSDEGMDKIAKEFGIKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKMSRKERRKASQIEREREREAARVTGTQVLHHDPYRESRRSPTYEAYPRSRRSRSRSYSPSYSRRYPRGRHSDEAHRSKPATSKIEYITEFGGSGDKDESKRAGFSPPSSPPSQADVLNRPSTGLILEALHVDPASGASLEKDKGTKVVKPPASTSSALAKLTKGSTSGGPLKQQPVEKKETPQERLKRIMSRQLNKQIKKDTAAEIAKKREQERQRLEKLAETSRLSRSRRRSRSRSYSRSPPRRYRDSRSRSRSKSSRRHYSRSRSRSRSRSRTRNHSRTYSRSPRVRSRTRH >ONH96504 pep chromosome:Prunus_persica_NCBIv2:G7:15178583:15182385:-1 gene:PRUPE_7G133300 transcript:ONH96504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDMMDAARKRAQRRAVFLAKRRGDPQQSIQVVGSRCRLYRDDGLYQASEDQQGLIPWNGKQDVLIDRFDGRALLDFIRESRHLRAQEKSEEEEELEEFVNFERYRDLIKHRRRGFTDEEGLQHVNEEIETRVTAPFAPERSQMQQPSAVKGSYSQVGFSYEGDGKEEAQFSDPDDNDEDEEEDEDEDDFNSDDSSDEGMDKIAKEFGIKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKMSRKERRKASQIEREREREAARVTGTQVLHHDPYRESRRSPTYEAYPRSRRSRSRSYSPSYSRRYPRGRHSDEAHRSKPATSKIEYITEFGGSGDKDESKRAGFSPPSSPPSQADVLNRPSTGLILEALHVDPASGASLEKDKGTKVVKPPASTSSALAKLTKGSTSGGPLKQQPVEKKETPQERLKRIMSRQLNKQIKKDTAAEIAKKREQERQRLEKLAETSRLSRSRRRSRSRSYSRSPPRYRDSRSRSRSKSSRRHYSRSRSRSRSRSRTRNHSRTYSRSPR >ONH96505 pep chromosome:Prunus_persica_NCBIv2:G7:15178951:15182240:-1 gene:PRUPE_7G133300 transcript:ONH96505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSERKVHDMMDAARKRAQRRAVFLAKRRGDPQQSIQVVGSRCRLYRDDGLYQASEDQQGLIPWNGKQDVLIDRFDGRALLDFIRESRHLRAQEKSEEEEELEEFVNFERYRDLIKHRRRGFTDEEGLQHVNEEIETRVTAPFAPERSQMQQPSAVKGSYSQVGFSYEGDGKEEAQFSDPDDNDEDEEEDEDEDDFNSDDSSDEGMDKIAKEFGIKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKMSRKERRKASQIEREREREAARVTGTQVLHHDPYRESRRSPTYEAYPRSRRSRSRSYSPSYSRRYPRGRHSDEAHRSKPATSKIEYITEFGGSGDKDESKRAGFSPPSSPPSQADVLNRPSTGLILEALHVDPASGASLEKDKGTKVVKPPASTSSALAKLTKGSTSGGPLKQQPVEKKETPQERLKRIMSRQLNKQIKKDTAAEIAKKREQERQRLEKLAETSRLSRSRRRSRSRSYSRSPPRRYRDSRSRSRSKSSRRHYSRSRSRSRSRSRTRNHSRTYSRSPR >ONH97501 pep chromosome:Prunus_persica_NCBIv2:G7:18391077:18394836:1 gene:PRUPE_7G193000 transcript:ONH97501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASANKLSKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDVYINYSRPLSTASVAWSQNLSSFMPKNLFRRLSRSLQCFMLENWQRGWIILLWVIAMASLFAWKFYQYRKNRAAFQVMRYCLPVAKGAGETLKLNMALILLPVCRNTLTWLRSTRARSFIPFDDNINFHKIIAFAIAVGIIVHAGNHLTCDFPRLVNSSPEKFAPLSSDFHGTKPTYKFLLTGAEGVTGILMVILIAISFTLAARRFRRNMVRLPAPFNNLTGFNAFWYSHHLLGLVYLLLLIHGSCLYLAHKWYEKTTWMYISVPLLLYIAERSVRTCRSQHYSVKILKVLVLPGNVISLIMSKPPGFKYKSGQYIFLQCPTISPFEWHPFSITSAPGDDHLSVHIRTVGDWTKELKRVIAEGDDSTSVDHQARLVHIGNLDQRGQPRLFVDGPYGAPAQEYRNYDVLLLVGLGIGATPFISILRDLLNSTKTAEEQMDSNTETSRSEDSQNSFTSSSLTPGDKKKSQRNTNAHFYWVTREPGSFEWFKGVMDEIAEMDQKGQIELHNYLTSVYEEGDARSTLITMVQALNHAKHGVDILSGTRVRTHFARPNWKEVFTKIASKHPYSTVGVFYCGMPMLAKELKVLSHELSHKTSTRFEFHKEYF >ONH97500 pep chromosome:Prunus_persica_NCBIv2:G7:18390849:18394836:1 gene:PRUPE_7G193000 transcript:ONH97500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASANKLSKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDVYINYSRPLSTASVAWSQNLSSFMPKNLFRRLSRSLQCFMLENWQRGWIILLWVIAMASLFAWKFYQYRKNRAAFQVMRYCLPVAKGAGETLKLNMALILLPVCRNTLTWLRSTRARSFIPFDDNINFHKIIAFAIAVGIIVHAGNHLTCDFPRLVNSSPEKFAPLSSDFHGTKPTYKFLLTGAEGVTGILMVILIAISFTLAARRFRRNMVRLPAPFNNLTGFNAFWYSHHLLGLVYLLLLIHGSCLYLAHKWYEKTTWMYISVPLLLYIAERSVRTCRSQHYSVKILKVLVLPGNVISLIMSKPPGFKYKSGQYIFLQCPTISPFEWHPFSITSAPGDDHLSVHIRTVGDWTKELKRVIAEGDDSTSVDHQARLVHIGNLDQRGQPRLFVDGPYGAPAQEYRNYDVLLLVGLGIGATPFISILRDLLNSTKTAEEQMDSNTETSRSEDSQNSFTSSSLTPGDKKKSQRNTNAHFYWVTREPGSFEWFKGVMDEIAEMDQKGQIELHNYLTSVYEEGDARSTLITMVQALNHAKHGVDILSGTRVRTHFARPNWKEVFTKIASKHPYSTVGVFYCGMPMLAKELKVLSHELSHKTSTRFEFHKEYF >ONH97499 pep chromosome:Prunus_persica_NCBIv2:G7:18389440:18394836:1 gene:PRUPE_7G193000 transcript:ONH97499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEKVTASFSPKRSSNGHRFEQPEETTSSVGGAMLPKFLSDLSQDLVEVTLELNDDNDEIVLCSVAPASEGILQRSMSATSRRIRRTFGWLRSASSRTTASNSESLEFALSARDARRLKAKLQRTRSSARRALDGLRFISRTTVGANGAEELWKQVEARFESLADDGLLARPDFGECIGMVDSEEFAVGIFDALARRRGQNITKITKEELREFWLQISDNSFDARLQIFFDMADSNEDGRITRAGVQELIMLSASANKLSKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDVYINYSRPLSTASVAWSQNLSSFMPKNLFRRLSRSLQCFMLENWQRGWIILLWVIAMASLFAWKFYQYRKNRAAFQVMRYCLPVAKGAGETLKLNMALILLPVCRNTLTWLRSTRARSFIPFDDNINFHKIIAFAIAVGIIVHAGNHLTCDFPRLVNSSPEKFAPLSSDFHGTKPTYKFLLTGAEGVTGILMVILIAISFTLAARRFRRNMVRLPAPFNNLTGFNAFWYSHHLLGLVYLLLLIHGSCLYLAHKWYEKTTWMYISVPLLLYIAERSVRTCRSQHYSVKILKVLVLPGNVISLIMSKPPGFKYKSGQYIFLQCPTISPFEWHPFSITSAPGDDHLSVHIRTVGDWTKELKRVIAEGDDSTSVDHQARLVHIGNLDQRGQPRLFVDGPYGAPAQEYRNYDVLLLVGLGIGATPFISILRDLLNSTKTAEEQMDSNTETSRSEDSQNSFTSSSLTPGDKKKSQRNTNAHFYWVTREPGSFEWFKGVMDEIAEMDQKGQIELHNYLTSVYEEGDARSTLITMVQALNHAKHGVDILSGTRVRTHFARPNWKEVFTKIASKHPYSTVGVFYCGMPMLAKELKVLSHELSHKTSTRFEFHKEYF >ONH97502 pep chromosome:Prunus_persica_NCBIv2:G7:18390819:18394836:1 gene:PRUPE_7G193000 transcript:ONH97502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASANKLSKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDVYINYSRPLSTASVAWSQNLSSFMPKNLFRRLSRSLQCFMLENWQRGWIILLWVIAMASLFAWKFYQYRKNRAAFQVMRYCLPVAKGAGETLKLNMALILLPVCRNTLTWLRSTRARSFIPFDDNINFHKIIAFAIAVGIIVHAGNHLTCDFPRLVNSSPEKFAPLSSDFHGTKPTYKFLLTGAEGVTGILMVILIAISFTLAARRFRRNMVRLPAPFNNLTGFNAFWYSHHLLGLVYLLLLIHGSCLYLAHKWYEKTTWMYISVPLLLYIAERSVRTCRSQHYSVKILKVLVLPGNVISLIMSKPPGFKYKSGQYIFLQCPTISPFEWHPFSITSAPGDDHLSVHIRTVGDWTKELKRVIAEGDDSTSVDHQARLVHIGNLDQRGQPRLFVDGPYGAPAQEYRNYDVLLLVGLGIGATPFISILRDLLNSTKTAEEQMDSNTETSRSEDSQNSFTSSSLTPGDKKKSQRNTNAHFYWVTREPGSFEWFKGVMDEIAEMDQKGQIELHNYLTSVYEEGDARSTLITMVQALNHAKHGVDILSGTRVRTHFARPNWKEVFTKIASKHPYSTVGVFYCGMPMLAKELKVLSHELSHKTSTRFEFHKEYF >ONH96715 pep chromosome:Prunus_persica_NCBIv2:G7:16009522:16014277:1 gene:PRUPE_7G147100 transcript:ONH96715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSYKGHSHRKYGHDRTWDEPRDSVEEDVDIAIALSLSELDQKGKGVIEDESESEDDEQSAKFESDSDEQPTKVQSDDDEKPAKVQSDDDEKPANFQYEEDEQSAKVQLEEDEQLAKALQESLNMGSPPRYDNGSIFQPFPFFMPAGYSRICAGCKLEIGHGRYLSCMGTVWHPECFRCRACNLPITDYEIPTNSNGLIEYRAHPFWLQKYCPSHERDRTPRCCSCERMEPRDARYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQDFYEGLNMKVKQQVPMLLVERQALNEAMEGEKNGHHHMPETRGLCLSEEQTVTTILRRPKIGAGYRMIDMVTEPHRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLNGYPNLSPEVEEGICQVLAHMWLDAEMYSTSGSDVASSSTSSSSSSSSSASSKKGKRSDFEKKLGDFFKHQIESDSSTAYGEGFRIGNKAVLKYGLRRTLDHIRMTGSFPV >ONH96714 pep chromosome:Prunus_persica_NCBIv2:G7:16008698:16014277:1 gene:PRUPE_7G147100 transcript:ONH96714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSYKGHSHRKYGHDRTWDEPRDSVEEDVDIAIALSLSELDQKGKGVIEDESESEDDEQSAKFESDSDEQPTKVQSDDDEKPAKVQSDDDEKPANFQYEEDEQSAKVQLEEDEQLAKALQESLNMGSPPRYDNGSIFQPFPFFMPAGYSRICAGCKLEIGHGRYLSCMGTVWHPECFRCRACNLPITDYEIPTNSNGLIEYRAHPFWLQKYCPSHERDRTPRCCSCERMEPRDARYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQDFYEGLNMKVKQQVPMLLVERQALNEAMEGEKNGHHHMPETRGLCLSEEQTVTTILRRPKIGAGYRMIDMVTEPHRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLNGYPNLSPEVEEGICQVLAHMWLDAEMYSTSGSDVASSSTSSSSSSSSSASSKKGKRSDFEKKLGDFFKHQIESDSSTAYGEGFRIGNKAVLKYGLRRTLDHIRMTGSFPV >ONH96717 pep chromosome:Prunus_persica_NCBIv2:G7:16008791:16014277:1 gene:PRUPE_7G147100 transcript:ONH96717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSYKGHSHRKYGHDRTWDEPRDSVEEDVDIAIALSLSELDQKGKGVIEDESESEDDEQSAKFESDSDEQPTKVQSDDDEKPAKVQSDDDEKPANFQYEEDEQSAKVQLEEDEQLAKALQESLNMGSPPRYDNGSIFQPFPFFMPAGYRICAGCKLEIGHGRYLSCMGTVWHPECFRCRACNLPITDYEYSMSGNHPYHKSCYKEQHHPRCDVCKNFIPTNSNGLIEYRAHPFWLQKYCPSHERDRTPRCCSCERMEPRDARYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQDFYEGLNMKVKQQVPMLLVERQALNEAMEGEKNGHHHMPETRGLCLSEEQTVTTILRRPKIGAGYRMIDMVTEPHRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLNGYPNLSPEVEEGICQVLAHMWLDAEMYSTSGSDVASSSTSSSSSSSSSASSKKGKRSDFEKKLGDFFKHQIESDSSTAYGEGFRIGNKAVLKYGLRRTLDHIRMTGSFPV >ONH96710 pep chromosome:Prunus_persica_NCBIv2:G7:16009354:16014343:1 gene:PRUPE_7G147100 transcript:ONH96710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSYKGHSHRKYGHDRTWDEPRDSVEEDVDIAIALSLSELDQKGKGVIEDESESEDDEQSAKFESDSDEQPTKVQSDDDEKPAKVQSDDDEKPANFQYEEDEQSAKVQLEEDEQLAKALQESLNMGSPPRYDNGSIFQPFPFFMPAGYSRICAGCKLEIGHGRYLSCMGTVWHPECFRCRACNLPITDYEYSMSGNHPYHKSCYKEQHHPRCDVCKNFIPTNSNGLIEYRAHPFWLQKYCPSHERDRTPRCCSCERMEPRDARYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQDFYEGLNMKVKQQVPMLLVERQALNEAMEGEKNGHHHMPETRGLCLSEEQTVTTILRRPKIGAGYRMIDMVTEPHRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLNGYPNLSPEVEEGICQVLAHMWLDAEMYSTSGSDVASSSTSSSSSSSSSASSKKGKRSDFEKKLGDFFKHQIESDSSTAYGEGFRIGNKAVLKYGLRRTLDHIRMTGSFPV >ONH96711 pep chromosome:Prunus_persica_NCBIv2:G7:16008551:16014343:1 gene:PRUPE_7G147100 transcript:ONH96711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSYKGHSHRKYGHDRTWDEPRDSVEEDVDIAIALSLSELDQKGKGVIEDESESEDDEQSAKFESDSDEQPTKVQSDDDEKPAKVQSDDDEKPANFQYEEDEQSAKVQLEEDEQLAKALQESLNMGSPPRYDNGSIFQPFPFFMPAGYSRICAGCKLEIGHGRYLSCMGTVWHPECFRCRACNLPITDYEYSMSGNHPYHKSCYKEQHHPRCDVCKNFIPTNSNGLIEYRAHPFWLQKYCPSHERDRTPRCCSCERMEPRDARYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQDFYEGLNMKVKQQVPMLLVERQALNEAMEGEKNGHHHMPETRGLCLSEEQTVTTILRRPKIGAGYRMIDMVTEPHRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLNGYPNLSPEVEEGICQVLAHMWLDAEMYSTSGSDVASSSTSSSSSSSSSASSKKGKRSDFEKKLGDFFKHQIESDSSTAYGEGFRIGNKAVLKYGLRRTLDHIRMTGSFPV >ONH96713 pep chromosome:Prunus_persica_NCBIv2:G7:16008373:16014343:1 gene:PRUPE_7G147100 transcript:ONH96713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSYKGHSHRKYGHDRTWDEPRDSVEEDVDIAIALSLSELDQKGKGVIEDESESEDDEQSAKFESDSDEQPTKVQSDDDEKPAKVQSDDDEKPANFQYEEDEQSAKVQLEEDEQLAKALQESLNMGSPPRYDNGSIFQPFPFFMPAGYSRICAGCKLEIGHGRYLSCMGTVWHPECFRCRACNLPITDYEYSMSGNHPYHKSCYKEQHHPRCDVCKNFIPTNSNGLIEYRAHPFWLQKYCPSHERDRTPRCCSCERMEPRDARYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQDFYEGLNMKVKQQVPMLLVERQALNEAMEGEKNGHHHMPETRGLCLSEEQTVTTILRRPKIGAGYRMIDMVTEPHRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLNGYPNLSPEVEEGICQVLAHMWLDAEMYSTSGSDVASSSTSSSSSSSSSASSKKGKRSDFEKKLGDFFKHQIESDSSTAYGEGFRIGNKAVLKYGLRRTLDHIRMTGSFPV >ONH96716 pep chromosome:Prunus_persica_NCBIv2:G7:16008952:16014277:1 gene:PRUPE_7G147100 transcript:ONH96716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSYKGHSHRKYGHDRTWDEPRDSVEEDVDIAIALSLSELDQKGKGVIEDESESEDDEQSAKFESDSDEQPTKVQSDDDEKPAKVQSDDDEKPANFQYEEDEQSAKVQLEEDEQLAKALQESLNMGSPPRYDNGSIFQPFPFFMPAGYRICAGCKLEIGHGRYLSCMGTVWHPECFRCRACNLPITDYEYSMSGNHPYHKSCYKEQHHPRCDVCKNFIPTNSNGLIEYRAHPFWLQKYCPSHERDRTPRCCSCERMEPRDARYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQDFYEGLNMKVKQQVPMLLVERQALNEAMEGEKNGHHHMPETRGLCLSEEQTVTTILRRPKIGAGYRMIDMVTEPHRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLNGYPNLSPEVEEGICQVLAHMWLDAEMYSTSGSDVASSSTSSSSSSSSSASSKKGKRSDFEKKLGDFFKHQIESDSSTAYGEGFRIGNKAVLKYGLRRTLDHIRMTGSFPV >ONH96712 pep chromosome:Prunus_persica_NCBIv2:G7:16008462:16014343:1 gene:PRUPE_7G147100 transcript:ONH96712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKILKGSSYKGHSHRKYGHDRTWDEPRDSVEEDVDIAIALSLSELDQKGKGVIEDESESEDDEQSAKFESDSDEQPTKVQSDDDEKPAKVQSDDDEKPANFQYEEDEQSAKVQLEEDEQLAKALQESLNMGSPPRYDNGSIFQPFPFFMPAGYSRICAGCKLEIGHGRYLSCMGTVWHPECFRCRACNLPITDYEYSMSGNHPYHKSCYKEQHHPRCDVCKNFIPTNSNGLIEYRAHPFWLQKYCPSHERDRTPRCCSCERMEPRDARYLLLDDGRKLCLECLDSAIMDTHECQPLYLEIQDFYEGLNMKVKQQVPMLLVERQALNEAMEGEKNGHHHMPETRGLCLSEEQTVTTILRRPKIGAGYRMIDMVTEPHRLIRRCEVTAILVLYGLPRLLTGSILAHEMMHAWLRLNGYPNLSPEVEEGICQVLAHMWLDAEMYSTSGSDVASSSTSSSSSSSSSASSKKGKRSDFEKKLGDFFKHQIESDSSTAYGEGFRIGNKAVLKYGLRRTLDHIRMTGSFPV >ONH96776 pep chromosome:Prunus_persica_NCBIv2:G7:16233970:16236461:-1 gene:PRUPE_7G151300 transcript:ONH96776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTKVTRRAATISARVASMAIAWELFINILMTIEWSIFYGRLEVGSIVGFFGAALGSVGGVGGGGIFVPMLALIIGFDPKSSTALSKTVCHPIGHAGSTVYFNLRLRHPTLDMPLIDYDLALLFQPMLMLGISIGVAFNVMFADWMVTVLPIILFLRAAAKALMKGVETWKKETMMKKEAEKQLESESKPDGSGEDYKPLPGGPASLQDEQVPISHNVYWKELSMLVYVWVAFLIVQIVKVPIAVSVTLFEAICLCKGTRVIASKGKEITNWKLHQIFLYCSCGIVAGMVGGLLGLGGGFILGPLFHELGIPPQVASATSTFAMLFSSSMSVVQYYLPNSEREREREREREREREREIINVDSRQVLEECTAL >ONH96946 pep chromosome:Prunus_persica_NCBIv2:G7:16744399:16749006:1 gene:PRUPE_7G161400 transcript:ONH96946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDFQSKSLEKSDKEALFGESDELRVGMEVSDESEAYQLCNTYAFNKGFSIRKGHLRRDSQNNVRQREFLCSKEGFQVDEDLCEAKKVRRLDTRTGCKAVIRFTVENGMWKLSYINPVHNHEFAKPEERQFLRSGRNIPSVRANILGSKSDGSIRTAKSHSYLGKEFGCDENDRSTNKDLPNCLQRSKDEMIEQNGQSLINYFKHKQMEDPNFFYSVQVDQFSRITNYFWRDGRSKLDYDCFGDVVCFETTFRTNSNLVCSPFLGVNHHWKNISFGCAFLLDESADSFVWLFETFLESMGNKQPKTIFTDEDKAMEKAKAIETVFPETRHRLCNWQISKNATQHLASLCADPEFKKHFNKCFLDCFTEVEFQNSWDDMIKMFNLESNSWLKMLYSLREKWCPAFSLDTFSANIGSSQRGENTNTIFHQVSRKTVDLVSFVQHYELKTKKMRFTELEEDFRFKNAMPHLRVNSGIFKHAATEYTVKMYSFFENELMSIFGVRMVEVSNDGNQYIYEAIEEGLQRVYMIQYNCTTSMISCSCKLFESMGLLCRHALKVLDFKNYTSIPTQYIVKRWTKGAKKGIVVSNEFCKSSYRKAKSAQSLRLSELMHEGNNVFSIGSLCDSGTRIVRQKLAETMKLLESDEETTNMLGSLSKVDDQSVRDVLLDNGSVESPSCDG >ONH94425 pep chromosome:Prunus_persica_NCBIv2:G7:2237041:2238711:1 gene:PRUPE_7G015500 transcript:ONH94425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGEKQSSSASSLSDEGSLPFVQSEQQHTAPLTAPIVSSYNHKIRPLLDAVDKLHNLNVTEENIQLPTVVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLKQSSSPQSELSLSYNGREDHTDEEHISEDIIKATNSIAGSGKGISNTPLTLTLKNNGVPDLTMVYLPGITRVPVHGQPENIYDQIKDMITEYIKPEESIILNMLSTSVAFTTFESIRMSQSVDKNGEGTLAVITKMDKLPEGLLEKVIGDDVHIGLGYVCVRNRIDDKDRHMHCTARLVEMLNQLSNELEILVRPPCHYQVRIWFLIEERPCLSGNEKHLTNDLE >ONH97777 pep chromosome:Prunus_persica_NCBIv2:G7:19204870:19205945:1 gene:PRUPE_7G209500 transcript:ONH97777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNDTIFSNKPKLAISDRLLYEGKDVSTAPYGEHWRRMRSICVLQLLSNKRVQSFRVLEWAMTRLLRHPRVLKKVQNEIMGIANSKPDIAEIDLDQMHYLKAVIKETLRLYPPIPLLAPRESTKDVKIKGYDIAARTMVLVNAWTIGRDPSLWDEPERELCSGRRGCQGQMFAMTTIVLVLANLVHKFDWALPCGARAEDMNMTEWTGLVIHRQVPLLAVPKLRPF >ONH95618 pep chromosome:Prunus_persica_NCBIv2:G7:11613240:11618188:1 gene:PRUPE_7G080800 transcript:ONH95618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKLETGSMLNKTSPGLGGKNGLYSTNGVHELLECPVCTNLMYPPIHQCPNGHTLCSDCKIRVHNCCPTCRYELGDIRCLALEKVAESLELPCRYQSLGCHDIFPYYSKLKHEQHCRFRLYNCPYAGSECSVTGDIPTLVGHLKEDHKVDMHDGCTFNHRYVKANPNEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDIEAKKFSYSLEVGANGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDKQELKLRITGRIWKEE >ONH95620 pep chromosome:Prunus_persica_NCBIv2:G7:11614188:11618188:1 gene:PRUPE_7G080800 transcript:ONH95620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKLETGSMLNKTSPGLGGKNGLYSTNGVHELLECPVCTNLMYPPIHQCPNGHTLCSDCKIRVHNCCPTCRYELGDIRCLALEKVAESLELPCRYQSLGCHDIFPYYSKLKHEQHCRFRLYNCPYAGSECSVTGDIPTLVGHLKEDHKVDMHDGCTFNHRYVKANPNEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDIEAKKFSYSLEVGANGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDKQELKLRITGRIWKEE >ONH95621 pep chromosome:Prunus_persica_NCBIv2:G7:11613009:11618188:1 gene:PRUPE_7G080800 transcript:ONH95621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKLETGSMLNKTSPGLGGKNGLYSTNGVHELLECPVCTNLMYPPIHQCPNGHTLCSDCKIRVHNCCPTCRYELGDIRCLALEKVAESLELPCRYQSLGCHDIFPYYSKLKHEQHCRFRLYNCPYAGSECSVTGDIPTLVGHLKEDHKVDMHDGCTFNHRYVKANPNEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDIEAKKFSYSLEVGANGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDKQELKLRITGRIWKEE >ONH95617 pep chromosome:Prunus_persica_NCBIv2:G7:11613341:11618188:1 gene:PRUPE_7G080800 transcript:ONH95617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKLETGSMLNKTSPGLGGKNGLYSTNGVHELLECPVCTNLMYPPIHQCPNGHTLCSDCKIRVHNCCPTCRYELGDIRCLALEKVAESLELPCRYQSLGCHDIFPYYSKLKHEQHCRFRLYNCPYAGSECSVTGDIPTLVGHLKEDHKVDMHDGCTFNHRYVKANPNEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDIEAKKFSYSLEVGANGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDKQELKLRITGRIWKEE >ONH95619 pep chromosome:Prunus_persica_NCBIv2:G7:11612915:11618188:1 gene:PRUPE_7G080800 transcript:ONH95619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKLETGSMLNKTSPGLGGKNGLYSTNGVHELLECPVCTNLMYPPIHQCPNGHTLCSDCKIRVHNCCPTCRYELGDIRCLALEKVAESLELPCRYQSLGCHDIFPYYSKLKHEQHCRFRLYNCPYAGSECSVTGDIPTLVGHLKEDHKVDMHDGCTFNHRYVKANPNEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDIEAKKFSYSLEVGANGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDKQELKLRITGRIWKEE >ONH96741 pep chromosome:Prunus_persica_NCBIv2:G7:16093455:16096677:1 gene:PRUPE_7G148800 transcript:ONH96741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRELAENANGASHVKKQSAGELRLHKDISELNLPKSCNISFPNGKDELMNFEVTIRPDEGFYSGGTFSFTFQVAPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVRRAMAGGYVGQTLFPRCI >ONH96740 pep chromosome:Prunus_persica_NCBIv2:G7:16093446:16096573:1 gene:PRUPE_7G148800 transcript:ONH96740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDMIRLFKVKEKQRELAENANGASHVKKQSAGELRLHKDISELNLPKSCNISFPNGKDELMNFEVTIRPDEGFYSGGTFSFTFQVAPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVRRAMAGGYVGQTLFPRCI >ONH96742 pep chromosome:Prunus_persica_NCBIv2:G7:16093455:16096677:1 gene:PRUPE_7G148800 transcript:ONH96742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFKVKEKQRELAENANGASHVKKQSAGELRLHKDISELNLPKSCNISFPNGKDELMNFEVTIRPDEGFYSGGTFSFTFQVAPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVRRAMAGGYVGQTLFPRCI >ONH95599 pep chromosome:Prunus_persica_NCBIv2:G7:11572482:11575599:-1 gene:PRUPE_7G080200 transcript:ONH95599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRDEMKRTRRSKQVTAKSSKSPIPANRIRDEDDDEAWPSQPSESLAVTVVNPKKSKSQLQTKPGSIPDNPPEEMTILPFTFFQIDALDLAPRLLGKFLRRDDVVLQITEVEAYRQNDSACHGRFGVTARTAPVFGPGGNAYVYLCYGLHTMLNVVADKEGVGAAVLIRSCAPDWRPFSSVEVRRLISLCYLMDLERSVKHWDFLQNGLTIPYILLVVWRS >ONH95598 pep chromosome:Prunus_persica_NCBIv2:G7:11572686:11575398:-1 gene:PRUPE_7G080200 transcript:ONH95598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRDEMKRTRRSKQVTAKSSKSPIPANRIRDEDDDEAWPSQPSESLAVTVVNPKKSKSQLQTKPGSIPDNPPEEMTILPFTFFQIDALDLAPRLLGKFLRRDDVVLQITEVEAYRQNDSACHGRFGVTARTAPVFGPGGNAYVYLCYGLHTMLNVVADKEGVGAAVLIRSCAPVSGLETIQQRRGQKTDKPVLLNGPGKIGQALGLSTEWSNHPLYTPGGLEILDGPEPEKMLIGPRVGIEYALPEHVNALWRFAVAGTSWISAPKNTLRPP >ONH96509 pep chromosome:Prunus_persica_NCBIv2:G7:15198760:15200548:1 gene:PRUPE_7G133700 transcript:ONH96509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFRHILQTPPPPSSSFPRPASSSPSSTLLSRPSCIRAASSPMDIHNQASKIKFTDFPYVSAPHRNLMVDLVSTLETRLGSELLPCTLPPDVQYYQNETGTNHASLHIRSGLASSPVDFILGSWLHCELPTGGALNITSLSSYLNQTTDAPNFLLELIQSSPTSLVLILDLPPRKDLVLHPDYLQTFYQDTQLDTPRQRLDKLAEAKPYFSSALYIRSVVSPTAILVRIEAEADGQGQSLEEIIENHVGPIAKEVLGIWLDQCACGKREVEEDERAYLKKRDDLIKRKTIEIDLGSNFPRLFGPDAADRVLGAIKEVYRV >ONH95825 pep chromosome:Prunus_persica_NCBIv2:G7:12445450:12448316:1 gene:PRUPE_7G091300 transcript:ONH95825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGFSSTGDHLRANWTPPQDSFFIDLLLEQVRKGNKTGHGFRKQGWADMIVLFNTKFGFKYDTDILKNRYKRLRKQYSEMKSLVDQAIFRWDESQQMITADDSVWNDYIKAHPEMQPYRTKVVSYYNELCIICGHAVADGRYSLSCYDVDFENEAIGIDCQDPINDDPKIEWSQTMDQFFVELMLDEVCKGNKVGRSFKKKSWVSMITSFNEKFGFQHGRAVLKNRYSIFRRHYSSIKILLDQRGFKWDETEQKMVADDRVWNKYIKAHPSFHMYRNKAMPYYSDMCIICGNEARILKKATSSCNLALDKGTLAKKTDGEAMPIVDKHYAQGKPLGSGSVKNLSDQKKRHCPQIPETLQQSKKARRTDGVVAVNALEDMAVAVSSLKKKLKKEESVSIEKVIGVLKSIPDIDDDLLLDACDFLEDEGRARMFLALDAALRKKWLMRKLRPQ >ONH95829 pep chromosome:Prunus_persica_NCBIv2:G7:12446010:12448283:1 gene:PRUPE_7G091300 transcript:ONH95829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFFVELMLDEVCKGNKVGRSFKKKSWVSMITSFNEKFGFQHGRAVLKNRYSIFRRHYSSIKILLDQRGFKWDETEQKMVADDRVWNKYIKAHPSFHMYRNKAMPYYSDMCIICGNEARILKKATSSCNLALDKGTLAKKTDGEAMPIVDKHYAQGKPLGSGSVKNLSDQKKRHCPQIPETLQQSKKARRTDGVVAVNALEDMAVAVSSLKKKLKKEESVSIEKVIGVLKSIPDIDDDLLLDACDFLEDEGRARMFLALDAALRKKWLMRKLRPQ >ONH95827 pep chromosome:Prunus_persica_NCBIv2:G7:12446721:12448316:1 gene:PRUPE_7G091300 transcript:ONH95827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHPEMQPYRTKVVSYYNELCIICGHAVADGRYSLSCYDVDFENEAIGIDCQDPINDDPKIEWSQTMDQFFVELMLDEVCKGNKVGRSFKKKSWVSMITSFNEKFGFQHGRAVLKNRYSIFRRHYSSIKILLDQRGFKWDETEQKMVADDRVWNKYIKAHPSFHMYRNKAMPYYSDMCIICGNEARILKKATSSCNLALDKGTLAKKTDGEAMPIVDKHYAQGKPLGSGSVKNLSDQKKRHCPQIPETLQQSKKARRTDGVVAVNALEDMAVAVSSLKKKLKKEESVSIEKVIGVLKSIPDIDDDLLLDACDFLEDEGRARMFLALDAALRKKWLMRKLRPQ >ONH95828 pep chromosome:Prunus_persica_NCBIv2:G7:12445548:12448316:1 gene:PRUPE_7G091300 transcript:ONH95828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFFVELMLDEVCKGNKVGRSFKKKSWVSMITSFNEKFGFQHGRAVLKNRYSIFRRHYSSIKILLDQRGFKWDETEQKMVADDRVWNKYIKAHPSFHMYRNKAMPYYSDMCIICGNEARILKKATSSCNLALDKGTLAKKTDGEAMPIVDKHYAQGKPLGSGSVKNLSDQKKRHCPQIPETLQQSKKARRTDGVVAVNALEDMAVAVSSLKKKLKKEESVSIEKVIGVLKSIPDIDDDLLLDACDFLEDEGRARMFLALDAALRKKWLMRKLRPQ >ONH95824 pep chromosome:Prunus_persica_NCBIv2:G7:12445448:12448360:1 gene:PRUPE_7G091300 transcript:ONH95824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGFSSTGDHLRANWTPPQDSFFIDLLLEQVRKGNKTGHGFRKQGWADMIVLFNTKFGFKYDTDILKNRYKRLRKQYSEMKSLVDQAIFRWDESQQMITADDSVWNDYIKAHPEMQPYRTKVVSYYNELCIICGHAVADGRYSLSCYDVDFENEAIGIDCQDPINDDPKIEWSQTMDQFFVELMLDEVCKGNKVGRSFKKKSWVSMITSFNEKFGFQHGRAVLKNRYSIFRRHYSSIKILLDQRGFKWDETEQKMVADDRVWNKYIKAHPSFHMYRNKAMPYYSDMCIICGNEARILKKATSSCNLALDKGTLAKKTDGEAMPIVDKHYAQGKPLGSGSVKNLSDQKKRHCPQIPETLQQSKKARRTDGVVAVNALEDMAVAVSSLKKKLKKEESVSIEKVIGVLKSIPDIDDDLLLDACDFLEDEGRARMFLALDAALRKKWLMRKLRPQ >ONH95823 pep chromosome:Prunus_persica_NCBIv2:G7:12445761:12448283:1 gene:PRUPE_7G091300 transcript:ONH95823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGFSSTGDHLRANWTPPQDSFFIDLLLEQVRKGNKTGHGFRKQGWADMIVLFNTKFGFKYDTDILKNRYKRLRKQYSEMKSLVDQAIFRWDESQQMITADDSVWNDYIKAHPEMQPYRTKVVSYYNELCIICGHAVADGRYSLSCYDVDFENEAIGIDCQDPINDDPKIEWSQTMDQFFVELMLDEVCKGNKVGRSFKKKSWVSMITSFNEKFGFQHGRAVLKNRYSIFRRHYSSIKILLDQRGFKWDETEQKMVADDRVWNKYIKAHPSFHMYRNKAMPYYSDMCIICGNEARILKKATSSCNLALDKGTLAKKTDGEAMPIVDKHYAQGKPLGSGSVKNLSDQKKRHCPQIPETLQQSKKARRTDGVVAVNALEDMAVAVSSLKKKLKKEESVSIEKVIGVLKSIPDIDDDLLLDACDFLEDEGRARMFLALDAALRKKWLMRKLRPQ >ONH95826 pep chromosome:Prunus_persica_NCBIv2:G7:12445556:12448289:1 gene:PRUPE_7G091300 transcript:ONH95826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGFSSTGDHLRANWTPPQDSFFIDLLLEQVRKGNKTGHGFRKQGWADMIVLFNTKFGFKYDTDILKNRYKRLRKQYSEMKSLVDQAIFRWDESQQMITADDSVWNDYIKAHPEMQPYRTKVVSYYNELCIICGHAVADGRYSLSCYDVDFENEGIDCQDPINDDPKIEWSQTMDQFFVELMLDEVCKGNKVGRSFKKKSWVSMITSFNEKFGFQHGRAVLKNRYSIFRRHYSSIKILLDQRGFKWDETEQKMVADDRVWNKYIKAHPSFHMYRNKAMPYYSDMCIICGNEARILKKATSSCNLALDKGTLAKKTDGEAMPIVDKHYAQGKPLGSGSVKNLSDQKKRHCPQIPETLQQSKKARRTDGVVAVNALEDMAVAVSSLKKKLKKEESVSIEKVIGVLKSIPDIDDDLLLDACDFLEDEGRARMFLALDAALRKKWLMRKLRPQ >ONH96536 pep chromosome:Prunus_persica_NCBIv2:G7:15274130:15282359:1 gene:PRUPE_7G135300 transcript:ONH96536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVWRAHRAISILSTTNSKAPSPSELGVYLQLCSNSKSLNQGKHVHQKIIQCGLDQNPFIVTKLVQMYADCDDLVSSWKLFDNLLKPNVFAWTAILGFYSRHGMHEECVRAYVEMILNDVLPDGYVFPKVLRACAQLLRLKVGIVVHKDVIICGLNLNLQVCNSLIDMYSKCEDIGSAKRVFDEMVGRDLWSWNSMISGYVCNGLLGLAVELFDCMNLGGCEPDIVTLNTVMDAYCRMGHCNEATRIFEQIKEPNIISWTTLISGYSRIGSHEASLRIFRDMIGSSMVDPDLDSLSTVLVSCRHLGSLLNGKEIHGYGIKRESGIAFYHSAGPALLTMYANCRRIHDATNVFKLMNPAHVVSWNAMILGFIDLGLEDLALDSFRRMQRARINVDQTTISTILPACNLKFGKQIHAFIRKISFDLVVPVWNALIHMYSKCGCIGSAYSVFSNMINRDLVSWNSMIGGFGMHGHGRAALHLLKEMNHSGTCPNSVTFTSVLSACSHAGLVDEGLQVFHSMMKEYGVIPSMEHYACIVDMLARDGQLEDAVSFINRMPLEPDKCIWGALLAACQAHQNVNVAKLAAEQLVQLQPGNAGQYVTLSNIYARAGRWDEAVRVRKKMEAQGSVKPSGNSWFECGNGTNEISNE >ONH94231 pep chromosome:Prunus_persica_NCBIv2:G7:619261:619728:1 gene:PRUPE_7G005200 transcript:ONH94231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSAASKRRSTPCPTTTTTTHRSPPPLPRVVSPSGTFSPPHTPCRSTPRPTGHRSLISNTRPPPSDP >ONH96512 pep chromosome:Prunus_persica_NCBIv2:G7:15206597:15208484:1 gene:PRUPE_7G133900 transcript:ONH96512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYRGVSRKEKPRGRHHGLPTQKKQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQITQMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEELMKAFHLIDQDNNGKISAADIKNIAKDLGENLSDREIQEMIEEADRDRDGEVNAEEFIRMMKRTAYGY >ONH96511 pep chromosome:Prunus_persica_NCBIv2:G7:15206608:15208461:1 gene:PRUPE_7G133900 transcript:ONH96511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYRGVSRKEKPRGRHHGLPTQKKQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQITQMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEELMKAFHLIDQDNNVLISAADIKNIAKDLGENLSDREIQEMIEEADRDRDGEVNAEEFIRMMKRTAYGY >ONH96358 pep chromosome:Prunus_persica_NCBIv2:G7:14567558:14569611:1 gene:PRUPE_7G123300 transcript:ONH96358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSLSFNLHLHPHPSFPSAISRINPRPCNFVTTTPLLSSTTITIRCNANHDQANFHHLSLGRSPIFTTMASTQHNPSPKFDEFESDPTLTNDDLKPTTLDQRTFSRWELASLWVGLVVGVPTYYLAGSLVDLGMAWWQGIATVVAANIILLVPLVLTGHPGTKYGISFPVLARSSFGIYGAHIPTLLRALVGCGWYGIETWIGGEAIFILLPNSIKQSSLSQVLPWLGTSPLEFGCFIVFWLAQLAIVWKGMDGIRELEKYSAPVLIALTSCLLIWAYVKAGGFSHMLSLSSRLTSKQFWSLFFPSLTANISFWATLALNIPDFTRYAKSQTDQIIGQAGLPIFMGAFTFVGLAVTCSTEVIFGRVISNPIQLLGQIGGFTTIILAIFGVSLATLTTNIAANVVAPANALVNLSPSKFTFRRGAILTALLGIAFQPWRLLKSSESFVYTWLVGYSALLGPIGGIILADYYLIHNKNLSMKDLYSLSPFGDYYYSGGYNLAAMAALVVGILPVVPGFLQKIGLLSSISDAYVIIYNNAWFFSFFSAGLLYWLLSSLTRKQNSLPAEEPLLPPAN >ONH98140 pep chromosome:Prunus_persica_NCBIv2:G7:20301103:20304780:1 gene:PRUPE_7G232100 transcript:ONH98140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTGVILAIGFQLSGGDSQLSELVWYSWLGGIIIGTMIGANMVLEEHCRAGPRNVVISGSTRGLGKALAREFLLSGDRVVVASRSPESVQATVRELEENLREGMISAGASSKHLAHAKVVGIACDVCEPGDVQKLANFAVSELGYIDIWINNAGANKGFRPLLQFTDEDIKQIVSTNLVGSILCTREAMRVMINQPKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECKRSKVGVHTASPGMVLTDLLLSGSSLKNKQMFNFICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWLRRGRWFDDQGKALYAAEADRLRNWAENRTRFYFTDAMEMYTENTWVSVFSLSVVCAFIILSSTSSNFPGT >ONH98138 pep chromosome:Prunus_persica_NCBIv2:G7:20300448:20304780:1 gene:PRUPE_7G232100 transcript:ONH98138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVQIGRYIVTMMSTGVILAIGFQLSGGDSQLSELVWYSWLGGIIIGTMIGANMVLEEHCRAGPRNVVISGSTRGLGKALAREFLLSGDRVVVASRSPESVQATVRELEENLREGMISAGASSKHLAHAKVVGIACDVCEPGDVQKLANFAVSELGYIDIWINNAGANKGFRPLLQFTDEDIKQIVSTNLVGSILCTREAMRVMINQPKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECKRSKVGVHTASPGMVLTDLLLSGSSLKNKQMFNFICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWLRRGRWFDDQGKALYAAEADRLRNWAENRTRFYFTDAMEMYTENTWVSVFSLSVVCAFIILSSTSSNFPGT >ONH98137 pep chromosome:Prunus_persica_NCBIv2:G7:20300448:20304780:1 gene:PRUPE_7G232100 transcript:ONH98137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARLHVCPQSLESFNFKDYHRTGPTVIIGSGFRRSGSVLPTGRNGFCLKQCRSFRGEDGRDVVEEKVAESAGKRGNLKGSGIWKSLSSTVLGGFGLRSRNSDEYRKAVAKLEEVCSSAAVQIGRYIVTMMSTGVILAIGFQLSGGDSQLSELVWYSWLGGIIIGTMIGANMVLEEHCRAGPRNVVISGSTRGLGKALAREFLLSGDRVVVASRSPESVQATVRELEENLREGMISAGASSKHLAHAKVVGIACDVCEPGDVQKLANFAVSELGYIDIWINNAGANKGFRPLLQFTDEDIKQIVSTNLVGSILCTREAMRVMINQPKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECKRSKVGVHTASPGMVLTDLLLSGSSLKNKQMFNFICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWLRRGRWFDDQGKALYAAEADRLRNWAENRTRFYFTDAMEMYTENTWVSVFSLSVVCAFIILSSTSSNFPGT >ONH98139 pep chromosome:Prunus_persica_NCBIv2:G7:20300448:20304780:1 gene:PRUPE_7G232100 transcript:ONH98139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTGVILAIGFQLSGGDSQLSELVWYSWLGGIIIGTMIGANMVLEEHCRAGPRNVVISGSTRGLGKALAREFLLSGDRVVVASRSPESVQATVRELEENLREGMISAGASSKHLAHAKVVGIACDVCEPGDVQKLANFAVSELGYIDIWINNAGANKGFRPLLQFTDEDIKQIVSTNLVGSILCTREAMRVMINQPKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECKRSKVGVHTASPGMVLTDLLLSGSSLKNKQMFNFICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWLRRGRWFDDQGKALYAAEADRLRNWAENRTRFYFTDAMEMYTENTWVSVFSLSVVCAFIILSSTSSNFPGT >ONH96531 pep chromosome:Prunus_persica_NCBIv2:G7:15253834:15258148:1 gene:PRUPE_7G134900 transcript:ONH96531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLSLWSFYSTDFTQSFSGCLKVFYVVFAFGSALCLGALKGLLVGPISALILILGNVGIILGLFPAHVAWTIYTLIKIDRFDTPLKVAILFALPGLLAIWLGLSIAGSVLGGVGYGFFTPWVATFEAFRHDNEFKKFKHCIVDGTWGTVKGSCTVVRDFADLCYHSYSIYLKELRESPASQEVQPLRLIHIPACIIAGVLGLMVEIPVYTAIAVVKSPYMLFKGWYRLIHDLISREGPFLELACIPIAGLTILLWPIVVVASIVLAVFSSIFIGLYAPVIVYQERSFRKGVAYVIAMVAEFDEYTNDWLYLREGTIFPKPKYRKRTVSQSSELSVRGNQVAGGKFSPASMEAPAMLMPTIGNSRSVRAVIQEVKMVQIWENMMRSCQLRGKQLLDANVITPTDLEEWMKAKNSNDGSIIGVGLPCYSCLQTLLCSIRSNSSGLLLLDDVEINHFNRPHDKLLDWFFNPIMVLKEQIKVQLAEGEVRFLEKVVLFGGNTQRMEAWDNGSLAPQDALRAAQIEGISRRMIGMMRSVSKFPTYRRRFRLVVKALMTHTVQNEASHICVSVKSNTSSEDVLDEP >ONH96530 pep chromosome:Prunus_persica_NCBIv2:G7:15253834:15257976:1 gene:PRUPE_7G134900 transcript:ONH96530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLSLWSFYSTDFTQSFSGCLKVFYVVFAFGSALCLGALKGLLVGPISALILILGNVGIILGLFPAHVAWTIYTLIKIDRFDTPLKVAILFALPGLLAIWLGLSIAGSVLGGVGYGFFTPWVATFEAFRHDNEFKKFKHCIVDGTWGTVKGSCTVVRDFADLCYHSYSIYLKELRESPASQEVQPLRLIHIPACIIAGVLGLMVEIPVYTAIAVVKSPYMLFKGWYRLIHDLISREGPFLELACIPIAGLTILLWPIVVVASIVLAVFSSIFIGLYAPVIVYQERSFRKGVAYVIAMVAEFDEYTNDWLYLREGTIFPKPKYRKRTVSQSSELSVRGNQVAGGKFSPASMEAPAMLMPTIGNSRSVRAVIQEVKMVQIWENMMRSCQLRGKQLLDANVITPTDLEEWMKAKNSNDGSIIGVGLPCYSCLQTLLCSIRSNSSGLLLLDDVEINHFNRPHDKLLDWFFNPIMVLKEQIKVQLAEGEVRFLEKVVLFGGNTQRMEAWDNGSLAPQDALRAAQIEGISRRMIGMMRSVSKFPTYRRRFRLVVKALMTHTVQNEASHICVSVKSNTSSEDVLDEP >ONH96725 pep chromosome:Prunus_persica_NCBIv2:G7:16044969:16048705:1 gene:PRUPE_7G147900 transcript:ONH96725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSYCVAENKPCDRWVEKYFKDCLCNLNDDISFAFGLVSLVCWGVAEIPQIITNFHTKSSHGVSLAFLLTWVAGDVFNLMGCLLEPATLPTQLYTALLYTTSTIVLVLQSVYYDYIYTWCKCGKVTSTEEVDEENKRPLNPKLADSGIPIPTASPKPTPRKEFYYTSARSLAGSGTPPFRTYMRAAKSGPSTMALYSDSSSEDESAPVTSKTSVTQPRPIPRSVASYGTFLATSLNLPSQTKALTQVYIGITGRKLLQEHSMEHSAFGQWLGWLMAAIYMGGRLPQIWLNIKRGTVEGLNPLMFVFALVANVTYVGSIVVRTTEWDSIKANMPWLLDAVVCVGLDLFIILQYIYYKHLRKRTPRGGENYTFYKEADKAVVS >ONH98528 pep chromosome:Prunus_persica_NCBIv2:G7:21315327:21318854:-1 gene:PRUPE_7G252700 transcript:ONH98528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWDEGAIYYSDQAQSLGGDSGDPGSNTAAATRHSALQKFKEFIRSFETQKNVFSYRESLLHNPKYLLVDIEDLDAFDADLVAKLRNSPADYLPLFENAAGQVLANLKTKVPGNEGQLEERVPEDVQILLTSKEDPASMRRLGAQCISKLVKVAGITIAASRVKAKATYVIVMCKNCKNVQRVPCRPGLGGAIVPRSCNHIPQPGEEPCPLDPWVVVPDKSKYVDQQTLKLQENPEDVPTGELPRNILLSVDRHLVQTIVPGTRLTIMGIYSIYQASNSSTSHKGAVAVRQPYIRVVGIEEANDANSRGPASFTQEEIEEFKKFAAEPDVYTSICSKIAPSIFGHEDVKKAVACLMFGGSRKNLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRSEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYSQDKSKVCVEGSGLMTYNLNASQKHSIIFLFGLQMPMELDGEKGKVLLRRQIFCGDAVCW >ONH98527 pep chromosome:Prunus_persica_NCBIv2:G7:21313224:21318854:-1 gene:PRUPE_7G252700 transcript:ONH98527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWDEGAIYYSDQAQSLGGDSGDPGSNTAAATRHSALQKFKEFIRSFETQKNVFSYRESLLHNPKYLLVDIEDLDAFDADLVAKLRNSPADYLPLFENAAGQVLANLKTKVPGNEGQLEERVPEDVQILLTSKEDPASMRRLGAQCISKLVKVAGITIAASRVKAKATYVIVMCKNCKNVQRVPCRPGLGGAIVPRSCNHIPQPGEEPCPLDPWVVVPDKSKYVDQQTLKLQENPEDVPTGELPRNILLSVDRHLVQTIVPGTRLTIMGIYSIYQASNSSTSHKGAVAVRQPYIRVVGIEEANDANSRGPASFTQEEIEEFKKFAAEPDVYTSICSKIAPSIFGHEDVKKAVACLMFGGSRKNLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRSEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYSQDKIIASHIIKVHASAGAALGDNRVVSKEENWLKRYIQYCRTECHPRLSEPASKKLQDNYVKIRQDMRQQANETGEAAAIPITVRQLEAIVRLSEALAKMKLCHVATEDNVKEAIRLFTVSTMDAAKSGINQQVNLTAEMANEIKQAETEIRRRVGIGNHISERKLIDELTRMGMNESIVRRALIIMHQRDEVEYKRERRLILRKA >ONH95448 pep chromosome:Prunus_persica_NCBIv2:G7:10879360:10880134:1 gene:PRUPE_7G071200 transcript:ONH95448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKRMFSTVFILVLLFVAIGTGPMVAEGKVETKETSRTCESLSTKFKGPCIRSSNCANICEEEGFKGGKCVGFRLRCTCTKNC >ONH94420 pep chromosome:Prunus_persica_NCBIv2:G7:2210985:2214128:-1 gene:PRUPE_7G015100 transcript:ONH94420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKQANPSVTTSNAAVLNGEGSFALVEVEKDAVPINCAPIVSSYNEKIRPLLDAVDKLRNLMVMDEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQHHSSPEPELSFEYNGRTDRTDEDNVTDDIVKATNSIAGGGKGISNAPLTLLVKKKGVPDLTMVDLPGITRVPIHGQPENIYDQIKDMIMEYIKPEESIILNVLSASVDFATCESIRMSQSVDKTGERTLAVVTKVDKAPEGLLEKVTGDDVNIGLGYVCVRNRIGDETYEEARAIAHELFQSHPLLSKIDKSIVGIPVLAQKLVQIQANSIARNLPEIVKKINDKLNYCLSELNKMPKNLSSVAEAMTAFMQIIGLAKESLRKILLRGEFEEYSEDRHMHCTARLVEMLNQYSDALHNCVQSDPKNNFLIEEIKALEEVKGIALPNFLPRNAFLTILQGKVSGISSIPIGFVEQFWTYIEEVVISVLMRHSDNYYQLQLCARRAGHNLMAKMKERSIKWMTEIVDMEKLTDYTCDPEYIAEWNRLMAHQKTFIDGVLDNKKRPSTITIEGIGEVEVEVLRQYPLMLPQAFDLKMRMAAYWKVVMRRFVDFMALHLQLSISNLVNKEMEAEIVNELMGPYGGGIERMLEESPAVAVKRANLNKSIRKLRDSKEVVAKIIDSIVTYGH >ONH94419 pep chromosome:Prunus_persica_NCBIv2:G7:2210981:2213748:-1 gene:PRUPE_7G015100 transcript:ONH94419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKQANPSVTTSNAAVLNGEGSFALVEVEKDAVPINCAPIVSSYNEKIRPLLDAVDKLRNLMVMDEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQHHSSPEPELSFEYNGRTDRTDEDNVTDDIVKATNSIAGGGKGISNAPLTLLVKKKGVPDLTMVDLPGITRVPIHGQPENIYDQIKDMIMEYIKPEESIILNVLSASVDFATCESIRMSQSVDKTGERTLAVVTKVDKAPEGLLEKVTGDDVNIGLGYVCVRNRIGDETYEEARAIAHELFQSHPLLSKIDKSIVGIPVLAQKLVQIQANSIARNLPEIVKKINDKLNYCLSELNKMPKNLSSVAEAMTAFMQIIGLAKESLRKILLRGEFEEYSEDRHMHCTARLVEMLNQYSDALHNCVQSDPKNNFLIEEIKALEEVKGIALPNFLPRNAFLTILQGKVSGISSIPIGFVEQFWTYIEEVVISVLMRHSDNYYQLQLCARRAGHNLMAKMKERSIKWMTEIVDMEKLTDYTCDPEYIAEWNRLMAHQKTFIDGVLDNKKRPSTITIEGIGEVEVEVLRQYPLMLPQAFDLKMRMAAYWKVVMRRFVDFMALHLQLSISNLVNKEMEAEIVNELMGPYGGGIERMLEESPAVAVKRANLNKSIRKLRDSKEVVAKIIDSIVTYGH >ONH96083 pep chromosome:Prunus_persica_NCBIv2:G7:13533514:13536734:1 gene:PRUPE_7G106600 transcript:ONH96083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENMSPLLVPIKLTSDIYSYQHWKTFSLSHFHHHHISGIINGTEPRLGLVQSALTNWYGREQQALKWLKATLSESLQQIVMPAGVDSSRQVWLNLEEHFARLDHARIYQLKSDLHNVKKDPDMPMTTYLETIKQLAADLAAAGAPVDDLDLLHVHILAGLPEEYNPIRARMKVSAVSSWDELDDLLLKEEIHLDEQRDHAIGIDLGTTYSRVAVWQKDHVEVILNDHGNRKTASYVAFAETDETNLVGDAAFNQVVRNTANSIFDTKRLIGRRFNDASIQSDVKDWPFKVVEGPADRPMIVVTHNGQDKQFSAEEISAMVLEKMRKIAETYLGSTVKNAVITVPAYFNDSQRQATKEAGVSAGLNVMRIMNEPSAAAITYGLSKKSGWSSTRNVMIFDLGGGTLDVSLLTMTSSGDFQVKVTAGDTHLGGEDFDNRMVKYCVEEFKREKKFDVSEDFRALRRLKNQCKKAKERLSFESDFDIEIDCLCEGTDFTITFTRHKFEQLNEDLFIKCMEPVTKCLTDANIDTSSVHDIVLSGGSSRIPKVQELLKEVFKGKELCRGINPDEAVACGAAIQAAVLSGGNVNGKFIQDFTLSDVTPLPLVLEITDYDRFNGLGNLIIPRNIRIPMMEKVRFPVQDNQTSINFCIYEGGSSVPESMNFLGEYSLQEIPAAPKNLTEFEVCLAIDADGILSVSTEDKYTGQKKAISFNSDKLKKIEGFENQDEVRNDNL >ONH95010 pep chromosome:Prunus_persica_NCBIv2:G7:8233185:8238629:1 gene:PRUPE_7G046300 transcript:ONH95010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAICLRTNREGGAEEEKKKGKNGKMLRALTLRRSLQSLHHHHHLKTHISTAIPQNPLNPLGPARACSSLSSPSASSSASELRKYLGYTALVLFSAAATYYSFPFPENAKHKKAQIFRYAPLPEELHTVSNWSGTHEVQTRVFHQPETLEELEKVVKDAHEKKTRIRPVGSGLSPNGIGLSRAGMVNLALMDKVLEVDKEKKRVRVQAGIRVQELVDGIKEHGLTLQNFASIREQQIGGILQVGAHGTGAKLPPMDEQVISMKLVTPAKGTIEISKEKDPELFYLARCGLGGLGVVAEVTIQCVERQELVEHTSVSNMEEIKKNHKKLLSENKHVKYLYIPYSDTVVVVTCNPVSKWKGPPKFKPKYSSDEAIQHVRDLYKECLQKCSVVPVKNDVDINELSFTELRDKLLALDPLDKDHVVKVNQAEAEFWRKSEGYRVGWSDEILGFDCGGQQWVSETCFPVGTLSKPSLKDLEYIEDVKQLIEKNEVPAPAPIEQRWTACTKSPMSPASSTKDDDIFSWVGIIMYLPTTDARQRKEITEEFFHYRRLTQVQLWDKYSAYEHWAKIEVPKDKEELASLQARLRKRFPVDAYNKARKELDPSRILSNNKLEKLFPLSDTI >ONH95011 pep chromosome:Prunus_persica_NCBIv2:G7:8233397:8238629:1 gene:PRUPE_7G046300 transcript:ONH95011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRALTLRRSLQSLHHHHHLKTHISTAIPQNPLNPLGPARACSSLSSPSASSSASELRKYLGYTALVLFSAAATYYSFPFPENAKHKKAQIFRYAPLPEELHTVSNWSGTHEVQTRVFHQPETLEELEKVVKDAHEKKTRIRPVGSGLSPNGIGLSRAGMVNLALMDKVLEVDKEKKRVRVQAGIRVQELVDGIKEHGLTLQNFASIREQQIGGILQVGAHGTGAKLPPMDEQVISMKLVTPAKGTIEISKEKDPELFYLARCGLGGLGVVAEVTIQCVERQELVEHTSVSNMEEIKKNHKKLLSENKHVKYLYIPYSDTVVVVTCNPVSKWKGPPKFKPKYSSDEAIQHVRDLYKECLQKCSVVPVKNDVDINELSFTELRDKLLALDPLDKDHVVKVNQAEAEFWRKSEGYRVGWSDEILGFDCGGQQWVSETCFPVGTLSKPSLKDLEYIEDVKQLIEKNEVPAPAPIEQRWTACTKSPMSPASSTKDDDIFSWVGIIMYLPTTDARQRKEITEEFFHYRRLTQVQLWDKYSAYEHWAKIEVPKDKEELASLQARLRKRFPVDAYNKARKELDPSRILSNNKLEKLFPLSDTI >ONH95391 pep chromosome:Prunus_persica_NCBIv2:G7:10579090:10598369:1 gene:PRUPE_7G067700 transcript:ONH95391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEVPTTAVDAIRFGFFTDEEVRKHSFVKVTSPILFDDSTHTPVNGGLYDKAMGPLGDERGQLCQTCGQTAYTCSGHCGHIDLVLPAYNPLLFNILHKLLQRTCFFCYHFRTSRQHVEKHVSQLKLIMKGDVLGAKRLESDSPSEYSDCNGSGNHIDNDSKQQGWTSLQFTEIMSVLDKALPTHGKECKNCKAKNPKISKPTFGWFQMSGNDHARENVLRGCNLEGPLTGQDEEASMLEDNNFNNVATRMQKGQGSKVLSEFFKQKNSLSGDLFPTEVKEIIRLLWENESQLCSFISDIQCQETGTNGGYSMFFLETVLVPPIKFRPPSKGGDSVMEHPQTVLLSKVLEANVSLSQTLTNLEHSRIIRRWRDLQESINVMFNSKTAAGQGQRDAAPGICQLLEKKEGLFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFATRLTYPERVTPWNVDKLRNAIVNGSEIHPGATQYVDKSGPKILRQNRRERISISRKLPSSKGAVMQHGKGSDNDIESKIVHRHLRDGDIVLVNRQPTLHKPSIMAHVVRVLKGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRSEAYNIVNANNQYVKPTSGDPIRALIQDHVISAVLLTKKDTFLRWDQFNQLLYSSCVSARVSDAFSGKPDKKVFMFNSEGTMQPLLPAIWKPEPLWTGKQVITALLNHITRGSPPVTVERDAKIPRGFFNCKNVEGEDKSGNDGQQKKRKPSKEQATDKDSSKEKEEPDENHLLIYRNNLVRGVIDKAQFGDYGLVHTVQEFYGSDTAGKLLSVLSHLFTVYLQMHGFTCGIDDLLLLESKDAKMKDQLESCEDVGENVYRDFIEVKDVKRKDPVELQLNIENFIRSNGESALASLDRRMISQLNNKTSNSDVFKQLLLRGLSKPSVKNCIYLMTTSGAKGSVANLQQITSYLGQQELEGKRVPQMISGKTLPCFPPWDWSSRAGGFIIDRFLTGLHPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCIIKNLECLKVSYDNTVRDADGSIIQFRYGEDGIDVHMTSFIQKFESLIANKEMFHKKGRRQMDKLNPYIKDLPLALKEKAEKFVHNLALKEKDHNFQEDFLKLMEHKYLCSLAQPGEPVGVLAGQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTAANDIKTPVMTCPLQKGRSKEEAQELADKLKKITVADIIESMKVTFVPFFIQDGQTCRIYKLEMTLHVPEHLRTIIEYSSEDWEEILEIVFVRELEDAIQNHILLLSKISGIKNFTPDSQPKASNETDENLPEKRSQREEEDDDNGGADDGEHGEGVEDFGLDAHKRKLQATDEMDYEDGCDDEVREADLSDGDESEIDEEENEVEIGKDGEIGVIDANDEMPGSPLEEAGDLAKPKSKEKKTKSGSQIRKKRKVRAEMVKKETDRAIFVSAKGFHFEVHFRFADNEPHILLSQIAQKTAQKVYIQRSGKVADCKQITCDENQVLCFGKDPENKQSFSSKEKKEMPALQTTGIDFGTFWQLQDVLDVRYIYSNNIHAMLNTYGVEAARETIIREINNVFKSYGISVNIRHLTLIADFMTHAGGYRPLNRFGGIVESISPFNKMTFETASKFIVEAAYHGQVDRLETPSARICLGLPVNVGTGCFDLMHKMEVECTQR >ONH95392 pep chromosome:Prunus_persica_NCBIv2:G7:10579090:10598369:1 gene:PRUPE_7G067700 transcript:ONH95392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEVPTTAVDAIRFGFFTDEEVRKHSFVKVTSPILFDDSTHTPVNGGLYDKAMGPLGDERGQLCQTCGQTAYTCSGHCGHIDLVLPAYNPLLFNILHKLLQRTCFFCYHFRTSRQHVEKHVSQLKLIMKGDVLGAKRLESDSPSEYSDCNGSGNHIDNDSKQQGWTSLQFTEIMSVLDKALPTHGKECKNCKAKNPKISKPTFGWFQMSGNDHARENVLRGCNLEGPLTGQDEEASMLEDNNFNNVATRMQKGQGSKVLSEFFKQKNSLSGDLFPTEVKEIIRLLWENESQLCSFISDIQCQETGTNGGYSMFFLETVLVPPIKFRPPSKGGDSVMEHPQTVLLSKVLEANVSLSQTLTNLEHSRIIRRWRDLQESINVMFNSKTAAGQGQRDAAPGICQLLEKKEGLFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFATRLTYPERVTPWNVDKLRNAIVNGSEIHPGATQYVDKSGPKILRQNRRERISISRKLPSSKGAVMQHGKGSDNDIESKIVHRHLRDGDIVLVNRQPTLHKPSIMAHVVRVLKGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRSEAYNIVNANNQYVKPTSGDPIRALIQDHVISAVLLTKKDTFLRWDQFNQLLYSSCVSARVSDAFSGKPDKKVFMFNSEGTMQPLLPAIWKPEPLWTGKQVITALLNHITRGSPPVTVERDAKIPRGFFNCKNVEGEDKSGNDGQQKKRKPSKEQATDKDSSKEKEEPDENHLLIYRNNLVRGVIDKAQFGDYGLVHTVQEFYGSDTAGKLLSVLSHLFTVYLQMHGFTCGIDDLLLLESKDAKMKDQLESCEDVGENVYRDFIEVKDVKRKDPVELQLNIENFIRSNGESALASLDRRMISQLNNKTSNSDVFKQLLLRGLSKPSVKNCIYLMTTSGAKGSVANLQQITSYLGQQELEGKRVPQMISGKTLPCFPPWDWSSRAGGFIIDRFLTGLHPQEYYFHCMAGREGLNTFHLAGRGEMNVTLGIPRLQEILMTAANDIKTPVMTCPLQKGRSKEEAQELADKLKKITVADIIESMKVTFVPFFIQDGQTCRIYKLEMTLHVPEHLRTIIEYSSEDWEEILEIVFVRELEDAIQNHILLLSKISGIKNFTPDSQPKASNETDENLPEKRSQREEEDDDNGGADDGEHGEGVEDFGLDAHKRKLQATDEMDYEDGCDDEVREADLSDGDESEIDEEENEVEIGKDGEIGVIDANDEMPGSPLEEAGDLAKPKSKEKKTKSGSQIRKKRKVRAEMVKKETDRAIFVSAKGFHFEVHFRFADNEPHILLSQIAQKTAQKVYIQRSGKVADCKQITCDENQVLCFGKDPENKQSFSSKEKKEMPALQTTGIDFGTFWQLQDVLDVRYIYSNNIHAMLNTYGVEAARETIIREINNVFKSYGISVNIRHLTLIADFMTHAGGYRPLNRFGGIVESISPFNKMTFETASKFIVEAAYHGQVDRLETPSARICLGLPVNVGTGCFDLMHKMEVECTQR >ONH95393 pep chromosome:Prunus_persica_NCBIv2:G7:10586010:10598369:1 gene:PRUPE_7G067700 transcript:ONH95393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVPLYGQGQRDAAPGICQLLEKKEGLFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPYFATRLTYPERVTPWNVDKLRNAIVNGSEIHPGATQYVDKSGPKILRQNRRERISISRKLPSSKGAVMQHGKGSDNDIESKIVHRHLRDGDIVLVNRQPTLHKPSIMAHVVRVLKGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRSEAYNIVNANNQYVKPTSGDPIRALIQDHVISAVLLTKKDTFLRWDQFNQLLYSSCVSARVSDAFSGKPDKKVFMFNSEGTMQPLLPAIWKPEPLWTGKQVITALLNHITRGSPPVTVERDAKIPRGFFNCKNVEGEDKSGNDGQQKKRKPSKEQATDKDSSKEKEEPDENHLLIYRNNLVRGVIDKAQFGDYGLVHTVQEFYGSDTAGKLLSVLSHLFTVYLQMHGFTCGIDDLLLLESKDAKMKDQLESCEDVGENVYRDFIEVKDVKRKDPVELQLNIENFIRSNGESALASLDRRMISQLNNKTSNSDVFKQLLLRGLSKPSVKNCIYLMTTSGAKGSVANLQQITSYLGQQELEGKRVPQMISGKTLPCFPPWDWSSRAGGFIIDRFLTGLHPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCIIKNLECLKVSYDNTVRDADGSIIQFRYGEDGIDVHMTSFIQKFESLIANKEMFHKKGRRQMDKLNPYIKDLPLALKEKAEKFVHNLALKEKDHNFQEDFLKLMEHKYLCSLAQPGEPVGVLAGQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTAANDIKTPVMTCPLQKGRSKEEAQELADKLKKITVADIIESMKVTFVPFFIQDGQTCRIYKLEMTLHVPEHLRTIIEYSSEDWEEILEIVFVRELEDAIQNHILLLSKISGIKNFTPDSQPKASNETDENLPEKRSQREEEDDDNGGADDGEHGEGVEDFGLDAHKRKLQATDEMDYEDGCDDEVREADLSDGDESEIDEEENEVEIGKDGEIGVIDANDEMPGSPLEEAGDLAKPKSKEKKTKSGSQIRKKRKVRAEMVKKETDRAIFVSAKGFHFEVHFRFADNEPHILLSQIAQKTAQKVYIQRSGKVADCKQITCDENQVLCFGKDPENKQSFSSKEKKEMPALQTTGIDFGTFWQLQDVLDVRYIYSNNIHAMLNTYGVEAARETIIREINNVFKSYGISVNIRHLTLIADFMTHAGGYRPLNRFGGIVESISPFNKMTFETASKFIVEAAYHGQVDRLETPSARICLGLPVNVGTGCFDLMHKMEVECTQR >ONH96546 pep chromosome:Prunus_persica_NCBIv2:G7:15326315:15327028:1 gene:PRUPE_7G136100 transcript:ONH96546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKISRLMMTTTICTCLLFLICCHEALHVEGRHLKFGPSSRHSENMVMRTTTPSTNDDHRNASDGHGSVQADHDQPRKVEHVTDDFRPTTPGHSPGVGHSIKN >ONH95898 pep chromosome:Prunus_persica_NCBIv2:G7:12733878:12737910:1 gene:PRUPE_7G094700 transcript:ONH95898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHSAKLVLVALLLSLVSVVLCATDPNDLAILNQFRKNMENPELLKWPENGEDPCGDKWEHVFCDDERVSQIQVQNLGLKGPLPQNLNQLTELTNIGLQRNKFSGPLPSLKGLSQLRYAYLDFNDFSSIPVDFFDGLDALEVLALDSNNLNATSGWTFPPQLSNSAQLKNISCMSCNLVGPLPDFLGNLSSLTVLQLSGNGLTGGIPRTFTGLNLQILWLNNPTGPGLTGPIDILTAMLQLNSVWLHGNQFTGTIPESIGNLTSLKDLNLNQNQLVGLVPDSLANLALDSLNLNNNHLMGPIPKFKAQNVTFTSNSFCQSTPGLPCAPEVMALVEFLDGLNYPSTLVSKWSGNDPCGSWLGVSCGNNGKVSVINLPKYNLNGTLSPSVAKLDSLVQIRLQNNNLRGSVPENWTSLKSLTVLDLSGNNISPPLPKFSKTVKVVVDGNPLFHGNPSAAAAAPENSPSSANNSSSSSTGPGSHVNGTSQSTQPKGSKRASLVLIVAPVTSVAVIAALLVIPLSMYYCKKRRDAFQTTSSLVIHPRDPSDSDNMVKVVVASNTHGSTSTITGSGSASRNSSGIGESHVIEAGNLIISVQVLQNVTKNFAPENELGRGGFGVVYKGELDDGTKIAVKRMEAGVICNKALDEFQAEIAVLSKVRHRHLVSLLGYCIEGNERMLVYEYMPQGALSRHLFHWKTFKVEPLSWKRRLNIALDVARGMEYLHNLAHKSFIHRDLKSSNILLADDFRAKVSDFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGMMALDEDRPEESQYLAAWFWHIKSNKEKLMAAIDPALDKKEETFESIATIAELAGHCTAREPSQRPDMGHAVNVLSPLVEKWKPLDDESEEYSGIDYSLPLTQMVKGWQEAEGKDSSYLDLEDSKGSIPARPTGFAESFTSADGR >ONH97474 pep chromosome:Prunus_persica_NCBIv2:G7:18321974:18323549:1 gene:PRUPE_7G191900 transcript:ONH97474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLNVNFGEVKHDDDTYGDDHDLFFTPLSSPTRLSTKRRPSKNWCNPILQHIKALLPFKMRRNPSSDETKKTKKKINMKTKTKKSSFHPPAYGVRTNSDEYNFSTDDAFDRFMLYLMPFETSGGGRLYVDHNYEEETHEFPRSINPQKKATSDDDDLLNEFMLSWEPFKNRRDPNYFDEEEVEFPRSNIDPPNTPEEVDDIVAHDNKFMASSRVSHEVGKPSDSKNIEYVPAVNNNFGCNICFEPKAGHQWFDIKNCSHGYCTECMVNYVVSNLQENVTTIRCPDPDCASGSIEPEDCDWILPQEVFESDCSAMLIIDNDDGSEVVRQSQCPHCRRLFCAHCKVAWHEEMECWEFQELNDDERGREDIQLRNLANMEDWKRCPNCKFYVEKKTGCNVMKCRCRATFCYRCGQSLSVPKNQRHYCSYCTG >ONH96919 pep chromosome:Prunus_persica_NCBIv2:G7:16657799:16659730:1 gene:PRUPE_7G159800 transcript:ONH96919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFIVEELMLFISTALNYLATLFSPGHYHHDLTFIIRQKSTPPNQVYDAAELYLPSIELINPSTRTIGVSKTPRQEAVKLAMESGEQIADTFEDINLNWLYVVQTFPSGQVTRRFELTFHKKHKDKVLTSYLRHVVTRAEAIKKEEKILKLRSVNSPSRVDLEHPATFETIAMGPDQKTKIIKDLDRFVRRREFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDVYELELDGIYSDSQLKSVLLSTANRSILVIEDIDFSAVNARKRKCGITVSGLLNFMDGLWSSCGDERIIVFTTNHKDRLEPALLRPGRMDVHIHMSYCTPHAFKVLASNYLGVQDLDRHPLYGEIAGLLESTEVAPAEVCEELLKRDDDVDDDDVDAALEGVVKFLKLKKLEGDNNNIDEPEFQEGKRQDVDVSVNDDDSESSST >ONH98454 pep chromosome:Prunus_persica_NCBIv2:G7:21137483:21138688:-1 gene:PRUPE_7G249400 transcript:ONH98454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLKVWIYREGEPPLVHDGPLNNIYGIEGQFIDEMESGKSRFMARHPDEANLFLIPISVVNIVNTLYNPLVTYSRDQLQRVVLDYIGIISERYPYWNRSQGADHFLVSCHDWAPDISEANPKLYDKFIRVLCNANTSEGFKPQRDVSIPEINIPYGKLGPTPQRASPDKRSILAFFAGGAHGNIRHILLEHWKDKDKEVQVHEYLDKKKNYFKLMGKSKFCLCPSGYEVASPRVVTAISVGCVPVLISDYYALPFSDVLDWTKFSVYIPSEKIPEIKTILKGISPKRYLKLQKRVTEVHRHFMIHRPAQPYDMIHMLLHSVWLRRLDVLLPS >ONH95665 pep chromosome:Prunus_persica_NCBIv2:G7:11916315:11919537:-1 gene:PRUPE_7G083700 transcript:ONH95665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLRSFLLGILLLIGFAFTNSEADIRAPPTHFDTASLNRSSFPEGFIFGVGSGSYQYEGAAKEGGRGPSIWDTFTHKYPEKISDGSNGDITVDQYHRYKEDVGIIKNMGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKLWSTLNEPYTFSDYGYATGTLAPGRCSTWQQLNCTGGDSSIEPYLVTHHQLLAHAAAVKLYKNKYQASQNGVIGITLVSNWFEPFSEEKENKNAALRALDFMFGWFAEPLTSGDYPQSMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYTGYYASDAPQNNSVYASYTTDVGVNLSSERNGVPIGPKSESGGLNVYPQGIQHLLLYTKKKYHNPIIYITENGVDELNDPKLSLAEALNDTHRIDFYNRHLHYVQSSIDNGVKVKGFFPWTFLDDFEWNSGFSLRYGITYVDHNDGLKRHPKLSAHWFKSFLKKY >ONH95666 pep chromosome:Prunus_persica_NCBIv2:G7:11916315:11918960:-1 gene:PRUPE_7G083700 transcript:ONH95666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKLWSTLNEPYTFSDYGYATGTLAPGRCSTWQQLNCTGGDSSIEPYLVTHHQLLAHAAAVKLYKNKYQASQNGVIGITLVSNWFEPFSEEKENKNAALRALDFMFGWFAEPLTSGDYPQSMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYTGYYASDAPQNNSVYASYTTDVGVNLSSERNGVPIGPKSESGGLNVYPQGIQHLLLYTKKKYHNPIIYITENGVDELNDPKLSLAEALNDTHRIDFYNRHLHYVQSSIDNGVKVKGFFPWTFLDDFEWNSGFSLRYGITYVDHNDGLKRHPKLSAHWFKSFLKKY >ONH98598 pep chromosome:Prunus_persica_NCBIv2:G7:21468285:21470009:-1 gene:PRUPE_7G256300 transcript:ONH98598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFQTLLPICSSRQAISSPAPWTPLRPPISDSMASLAISNLNRRQGQVAVSVAFNPSGNFDISLYDEEEDTSKVEPPMPPSEGRFEIVLDNDVIRGLDLSPFHSATGITNPSSAKPKEFLERTIGFTINYTREDPRDLRELSEFPDIRLWFLRLDASYPWLPVLLDWRAGELARYAAMLVPHQMNMRMGVVFNPEALELFVMQKVFIVYSWLKQHDIPKPRLKTSDMARMLGFGIGDELYDLIDKHPLKRS >ONH98675 pep chromosome:Prunus_persica_NCBIv2:G7:21718102:21722996:-1 gene:PRUPE_7G261400 transcript:ONH98675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAESPVHSSSSDDFTGFLERALGSGSSHSSPDEEADYESDDGSERSTKRRKVENLGSIDETQGSTSQIFVEENSEASPKKDICTHPGSVKDLCIVCGQRVDEKSGVPLGYIHKDFWLNNDEIDRVRSTDIKKSLHLKKLYLVLDLDHTLLNSTHLNHMTAEEEYLHSQTDSLQDVSDGSLFRVDVMHMMTKLRPFVRKFLKEASEMFEMYIYTMGERAYALEMAKLLDPRKEYFGDRVISRDDGTQKHQKGLDVVLGHESAALILDDTENAWTKHKDNLILMERYHFFRSSCHQFGFHCKSLSELKSDESEPEGALATVLEVLKRIHNMFFYESKDNLIDRDVRQVLKTLRKEILKGCKIVFSRVFPSKFQAENHQLWKMAEQLGATCSTELDLSVTHVVSTDAGTEKSRWAVKEKKFLVHPQWIEASNYMWLKQAEDKFPVNQTKT >ONH98678 pep chromosome:Prunus_persica_NCBIv2:G7:21719296:21721347:-1 gene:PRUPE_7G261400 transcript:ONH98678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAESPVHSSSSDDFTGFLERALGSGSSHSSPDEEADYESDDGSERSTKRRKVENLGSIDETQGSTSQIFVEENSEASPKKDICTHPGSVKDLCIVCGQRVDEKSGVPLGYIHKDFWLNNDEIDRVRSTDIKKSLHLKKLYLVLDLDHTLLNSTHLNHMTAEEEYLHSQTDSLQDVSDGSLFRVDVMHMMTKLRPFVRKFLKEASEMFEMYIYTMGERAYALEMAKLLDPRKEYFGDRVISRDDGTQKHQKGLDVVLGHESAALILDDTENGYQCCSPLL >ONH98674 pep chromosome:Prunus_persica_NCBIv2:G7:21718080:21722996:-1 gene:PRUPE_7G261400 transcript:ONH98674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAESPVHSSSSDDFTGFLERALGSGSSHSSPDEEADYESDDGSERSTKRRKVENLGSIDETQGSTSQIFVEENSEASPKKDICTHPGSVKDLCIVCGQRVDEKSGVPLGYIHKDFWLNNDEIDRVRSTDIKKSLHLKKLYLVLDLDHTLLNSTHLNHMTAEEEYLHSQTDSLQDVSDGSLFRVDVMHMMTKLRPFVRKFLKEASEMFEMYIYTMGERAYALEMAKLLDPRKEYFGDRVISRDDGTQKHQKGLDVVLGHESAALILDDTENAWTKHKDNLILMERYHFFRSSCHQFGFHCKSLSELKSDESEPEGALATVLEVLKRIHNMFFYESKDNLIDRDVRQVLKTLRKEILKGCKIVFSRVFPSKFQAENHQLWKMAEQLGATCSTELDLSVTHVVSTDAGTEKSRWAVKEKKFLVHPQWIEASNYMWLKQAEDKFPVNQTKT >ONH98673 pep chromosome:Prunus_persica_NCBIv2:G7:21718120:21722988:-1 gene:PRUPE_7G261400 transcript:ONH98673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAESPVHSSSSDDFTGFLERALGSGSSHSSPDEEADYESDDGSERSTKRRKVENLGSIDETQGSTSQIFVEENSEASPKKDICTHPGSVKDLCIVCGQRVDEKSGVPLGYIHKDFWLNNDEIDRVRSTDIKKSLHLKKLYLVLDLDHTLLNSTHLNHMTAEEEYLHSQTDSLQDGSLFRVDVMHMMTKLRPFVRKFLKEASEMFEMYIYTMGERAYALEMAKLLDPRKEYFGDRVISRDDGTQKHQKGLDVVLGHESAALILDDTENAWTKHKDNLILMERYHFFRSSCHQFGFHCKSLSELKSDESEPEGALATVLEVLKRIHNMFFYESKDNLIDRDVRQVLKTLRKEILKGCKIVFSRVFPSKFQAENHQLWKMAEQLGATCSTELDLSVTHVVSTDAGTEKSRWAVKEKKFLVHPQWIEASNYMWLKQAEDKFPVNQTKT >ONH98676 pep chromosome:Prunus_persica_NCBIv2:G7:21718120:21722988:-1 gene:PRUPE_7G261400 transcript:ONH98676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAESPVHSSSSDDFTGFLERALGSGSSHSSPDEEADYESDDGSERSTKRRKVENLGSIDETQGSTSQIFVEENSEASPKKDICTHPGSVKDLCIVCGQRVDEKSGVPLGYIHKDFWLNNDEIDRVRSTDIKKSLHLKKLYLVLDLDHTLLNSTHLNHMTAEEEYLHSQTDSLQDVSDGSLFRVDVMHMMTKLRPFVRKFLKEASEMFEMYIYTMGERAYALEMAKLLDPRKEYFGDRVISRDDGTQKHQKGLDVVLGHESAALILDDTENAWTKHKDNLILMERYHFFRSSCHQFGFHCKSLSELKSDESEPEGALATVLEVLKRIHNMFFYESKDNLIDRDVRC >ONH98677 pep chromosome:Prunus_persica_NCBIv2:G7:21719296:21721347:-1 gene:PRUPE_7G261400 transcript:ONH98677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAESPVHSSSSDDFTGFLERALGSGSSHSSPDEEADYESDDGSERSTKRRKVENLGSIDETQGSTSQIFVEENSEASPKKDICTHPGSVKDLCIVCGQRVDEKSGVPLGYIHKDFWLNNDEIDRVRSTDIKKSLHLKKLYLVLDLDHTLLNSTHLNHMTAEEEYLHSQTDSLQDVSDGSLFRVDVMHMMTKLRPFVRKFLKEASEMFEMYIYTMGERAYALEMAKLLDPRKEYFGDRVISRDDGTQKHQKGLDVVLGHESAALILDDTENGYQCCSPLL >ONH97934 pep chromosome:Prunus_persica_NCBIv2:G7:19707568:19712137:-1 gene:PRUPE_7G219500 transcript:ONH97934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQTIEAWMDGCTRETGHPISQGQSPHKLKFCASSGTGKLNCLVLKTRVHAAGTNQLLNLHHQMTKPTPTSSLHTHLCVLLFLPLLLISHANSQSLQDQEQAVLLKLKSYLQSPPFLSHWIPSTSNTSHCSWRPEITCTNNSVTGLSLVNMNITLPVPPFICDLKNLTLIDLSYNYFAGEFPKAFNNCSKLQYLNLSQNSFDGKIPDDIDSLPRLQYLDLNANYFSGDIPAAIGRLQELRNLQLYMNHFNGSVPPEIGNLSNLKHLSLSFNTKLVPWNLPSNFTKLKNLKTLHIHGSNLIGELPGTLGEMAALEELDLATNSLNGTIPNGLFLLKNLSIIYLFNNSLSGHVPQVVEALNLSIIDISGNDLTGPIPEDYGNLTKLTELALFLNGFSGAVPASIGRLPNLKQFRVFINNLSGTLPPDLGRYSELEGFEVSGNRLTGKLPDHLCYRGKLSTLVAYENNLTGELPSSLGNCTSLTEVKVSDNGLSGNIPSGLWTAPNLSQVLMSNNSLTGELPEKISQNLTRLEIRDNRFSGNIPTGVSSWNLKVFDAGNNLFNGTIPQELTALRSLITLSLDQNQLTGFLPSEIMSWESLNILNFSRNQLSGPIPARLGLLPVLTALDLSENQLSGQIPAQLGHLKLSNFNLSSNHLSGKIPIEFENPAYDGSFLDNQDLCATSPSAKLSICNSQPRKSSKIWSTYLALILTFGILLSLLALSLSFFMVRAYWKRNRSDFDWKLTAFQRLNFRVSKILSGLTESNMIGSGGSGKVYCVPVNRTGDVVAVKKIWKDKKLEEKLEKEFLAEVKILSSIRHANIVKLMCCISKDNSKLLVYEYSENRSLDRWLHKRNRPSNLSRSVHHVVLDWPKRLHIAVGAAQGLHYMHHDCVPPVVHRDVKSSNILLDSDFNAKIADFGLAKMLVKQGELATMSAVAGSFGYIAPECAHTIRVNEKIDVYSFGVVLLELTTGREANDSDEHTALAEWAWRHVQEDNPLADALDKDIKEPCYLDEMCSVFRLGIYCTEKLPSTRPSMKEVLQILLRCGHPGVHRENTDYVGAPLLKNSKREQILEDGVGSSATNV >ONH94571 pep chromosome:Prunus_persica_NCBIv2:G7:3597371:3599125:1 gene:PRUPE_7G023100 transcript:ONH94571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLRSRSAANHNAAPQPHHDNVKEEKKKLGVRWYSIFPMRRSRRCPCFGKKSVRVVDPETKSSIPNMSSSVSRAGVGVGVGVGESITINNGNMATTNVVTAGDLNSSLIVPPVDNRRHLVWPGPERRRPEWDEHQQITTTSGGFSFPILKVNGNGSTSTSSPVISTLNPKFRNPPNPTFTFPSSTPKPPINILNNNIKNHHHNNIDDDVASDASSDLFEIESFSATTYAQTQNYSRTRRESLDDEDAIGLGRRRLGANINSGSDGHDSITSTDQYCYEPSEASIDWSVTTAEGFDRSSDHHHHHHQDHDRDVADVAQIMKVSSSKGNNIGLLSCRREKAVSVGPNPVRLAIMPPAAAPDQNRHTGGGAGPTKTLSPSLNSRMRHVGSRPGLANSNKPPLAPRMSVPFATSPQPVI >ONH96766 pep chromosome:Prunus_persica_NCBIv2:G7:16206168:16209839:1 gene:PRUPE_7G150700 transcript:ONH96766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEEGTPSKPSKQASTAQEIPTTPSYPDWSSSMQAYYGPGATPPPFFASTVASPTPHPYMWGAQHPMMPPYGTPVPYPAMYPPGGVYAHPSMVATPASAQTNPELEGKGPDGKERVSTKKPKGTAGNTGLVGGKAGESGKATSGSGNDGASQSGESGSEGSSDGSEDNANQQEYGANKRGSFDKMLADGANAQNTGANVQASVPGKPVSMPATNLNIGMDLWNSTPAGAGGAKMRANPSGAPSAAGADHWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVETLSNENHNLRDELHRLSEECEKLTSENTNIKEELTRVCGPDFVANLEQQPGGGEGNS >ONH96763 pep chromosome:Prunus_persica_NCBIv2:G7:16205838:16209839:1 gene:PRUPE_7G150700 transcript:ONH96763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEEGTPSKPSKQASTAQEIPTTPSYPDWSSSMQAYYGPGATPPPFFASTVASPTPHPYMWGAQHPMMPPYGTPVPYPAMYPPGGVYAHPSMVATPASAQTNPELEGKGPDGKERVSTKKPKGTAGNTGLVGGKAGESGKATSGSGNDGASQSGESGSEGSSDGSEDNANQQEYGANKRGSFDKMLADGANAQNTGANVQASVPGKPVSMPATNLNIGMDLWNSTPAGAGGAKMRANPSGAPSAAGADHWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVETLSNENHNLRDELHRLSEECEKLTSENTNIKEELTRVCGPDFVANLEQQPGGGEGNS >ONH96762 pep chromosome:Prunus_persica_NCBIv2:G7:16205666:16209933:1 gene:PRUPE_7G150700 transcript:ONH96762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGVFSGPTKMGTGEEGTPSKPSKQASTAQEIPTTPSYPDWSSSMQAYYGPGATPPPFFASTVASPTPHPYMWGAQHPMMPPYGTPVPYPAMYPPGGVYAHPSMVATPASAQTNPELEGKGPDGKERVSTKKPKGTAGNTGLVGGKAGESGKATSGSGNDGASQSGESGSEGSSDGSEDNANQQEYGANKRGSFDKMLADGANAQNTGANVQASVPGKPVSMPATNLNIGMDLWNSTPAGAGGAKMRANPSGAPSAAGADHWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVETLSNENHNLRDELHRLSEECEKLTSENTNIKEELTRVCGPDFVANLEQQPGGGEGNS >ONH96767 pep chromosome:Prunus_persica_NCBIv2:G7:16205853:16209839:1 gene:PRUPE_7G150700 transcript:ONH96767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAYYGPGATPPPFFASTVASPTPHPYMWGAQHPMMPPYGTPVPYPAMYPPGGVYAHPSMVATPASAQTNPELEGKGPDGKERVSTKKPKGTAGNTGLVGGKAGESGKATSGSGNDGASQSGESGSEGSSDGSEDNANQQEYGANKRGSFDKMLADGANAQNTGANVQASVPGKPVSMPATNLNIGMDLWNSTPAGAGGAKMRANPSGAPSAAGADHWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVETLSNENHNLRDELHRLSEECEKLTSENTNIKEELTRVCGPDFVANLEQQPGGGEGNS >ONH96764 pep chromosome:Prunus_persica_NCBIv2:G7:16205853:16209839:1 gene:PRUPE_7G150700 transcript:ONH96764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEEGTPSKPSKQASTAQEIPTTPSYPDWSSSMQAYYGPGATPPPFFASTVASPTPHPYMWGAQHPMMPPYGTPVPYPAMYPPGGVYAHPSMVATPASAQTNPELEGKGPDGKERVSTKKPKGTAGNTGLVGGKAGESGKATSGSGNDGASQSGESGSEGSSDGSEDNANQQEYGANKRGSFDKMLADGANAQNTGANVQASVPGKPVSMPATNLNIGMDLWNSTPAGAGGAKMRANPSGAPSAAGADHWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVETLSNENHNLRDELHRLSEECEKLTSENTNIKEELTRVCGPDFVANLEQQPGGGEGNS >ONH96765 pep chromosome:Prunus_persica_NCBIv2:G7:16206260:16209839:1 gene:PRUPE_7G150700 transcript:ONH96765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEEGTPSKPSKQASTAQEIPTTPSYPDWSSSMQAYYGPGATPPPFFASTVASPTPHPYMWGAQHPMMPPYGTPVPYPAMYPPGGVYAHPSMVATPASAQTNPELEGKGPDGKERVSTKKPKGTAGNTGLVGGKAGESGKATSGSGNDGASQSGESGSEGSSDGSEDNANQQEYGANKRGSFDKMLADGANAQNTGANVQASVPGKPVSMPATNLNIGMDLWNSTPAGAGGAKMRANPSGAPSAAGADHWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVETLSNENHNLRDELHRLSEECEKLTSENTNIKEELTRVCGPDFVANLEQQPGGGEGNS >ONH97704 pep chromosome:Prunus_persica_NCBIv2:G7:18999215:19003465:-1 gene:PRUPE_7G205600 transcript:ONH97704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVVAMTVSFCPTWRSKRRGLPSKKEAPFFGYGLYKKHSLQVKASAGSGGGVGVAVKQGFADEEDYVKAGGSELLFVQMQQNKAMEEQSKLSDKLPPISVGDNILDLVVIGCGPAGLALAAESAKLGLKVGLIGPDLPFTNNYGVWEDEFKDLGFEGCIEHVWQDTIVYLDDDSDPILIGRAYGRVCRTKLHEELLRMCVESGVSYLNSRVKSIVEASNGHSLVACDRDIIVPCRLATVASGAASGKLLQYEVGGPKVSVQTAYGVEVEVENNPYDPNLMVFMDYRDYTKQKVSSLEAEYPTFLYAMPMSPTRLFFEETCLASKEAMPFDLLKKKLLSRLKTMGIRIIKTYEEEWSWIPVGGSLPNTEQKNLAFGAAACMVHPATGYSVVRSLSEAPKYASVIATILKPGHYKAIPGRQISNENISMQAWNTLWPQERKRQRAFFLFGLALILQLDIEGIRTFFHTFFRLPTWMWQGFLGSTLSSADLMLFAVYMFVIAPNNLRKGLIRHLLSDPTGATMIRTYLTL >ONH97616 pep chromosome:Prunus_persica_NCBIv2:G7:18755431:18764628:-1 gene:PRUPE_7G201100 transcript:ONH97616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVYIPVQNSEEEVRVVLDQLPREATDIIDILKAEQASLDLWLIIAREYFKQGKLEQFRQILEEGSGSEIDEYYADVRYERIAILNALGAYYTYLGKIETKQREKEEHFILATQFYNKASRIDIHEPSTWVGKGQLLLAKGEVDQAFSAFKIVLDGDRDNVPALLGQACVEFNRGHYSDSLELYKRALQVHPDCPAAVRLGIGLCRYKMGQFEKARQAFQRVLQLDPENVEALVALAIMDLHANTAAGIRRGMEKMQRAFEIYPYCAMALNYLANHFFYTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYDKAGVYYMASVKEISKPLEFVFPYYGLGQVQLKMGDLRSALSNFEKVLEVYPDNCDALKVLGHIYFQLGQTEKALEFMRKATKIDPCDSQAFLDLGELLISSDGGAALDCLKTARNLLKKEGEEVPIEVLNNLGVLHFERGEFELAQQTFREALGDGIWLAFIDGKEKPPSIDANASISQYKDVHIFHQLEKEGHLVELPWNKVTTLFNLARLLEQLHNIETASILYRLILFKYPDYVDAYLRLAALAKARNNFQLSIELVNDALKVNNKCPNALLMLGDLELKNDDWVKAKETFRAASEATEGKDSYATLSLGNWNYFAAIRNEKRAPKLEATHLEKAKELYTKVLAQHSANLYAANGAGVVFAEKGHFDVSKDIFTQVQEAASGNIFVQMPDVWINLAHVYFAQGNFALAVKMYQNCLRKFFNNTDSQILLYLARTHYEAEQWQDCKKNLLRAIHLAPSNYTLRFDAGVVMQKFSASTLQKPKKSVDEVRSTVAELENAVRLFRQLSAASSLHFHGFDEKKIDTHVEYCSHLLEAARVHFKVAEHEEQKIRHKQEVARQMALAEEARRKAEEQRKFQLERRLQEDELKRVRQQEEQFERVKEQWKSSTPGSKRRERSEMDDEEGGNGEKRRRKGGKRRKKDKYSRSRYDTLEAEADMMEDQEELEDEDANTNYREPTGQMNEQDDEENVQDPLAAAGLEDSDAEDEVAAPSTTTVRRRRAWSESDDDEQQERQPGSSPVRENSAELRSDGEGREGGDKVNGEAALDDDDD >ONH97615 pep chromosome:Prunus_persica_NCBIv2:G7:18755211:18764919:-1 gene:PRUPE_7G201100 transcript:ONH97615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVYIPVQNSEEEVRVVLDQLPREATDIIDILKAEQASLDLWLIIAREYFKQGKLEQFRQILEEGSGSEIDEYYADVRYERIAILNALGAYYTYLGKIETKQREKEEHFILATQFYNKASRIDIHEPSTWVGKGQLLLAKGEVDQAFSAFKIVLDGDRDNVPALLGQACVEFNRGHYSDSLELYKRALQVHPDCPAAVRLGIGLCRYKMGQFEKARQAFQRVLQLDPENVEALVALAIMDLHANTAAGIRRGMEKMQRAFEIYPYCAMALNYLANHFFYTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYDKAGVYYMASVKEISKPLEFVFPYYGLGQVQLKMGDLRSALSNFEKVLEVYPDNCDALKVLGHIYFQLGQTEKALEFMRKATKIDPCDSQAFLDLGELLISSDGGAALDCLKTARNLLKKEGEEVPIEVLNNLGVLHFERGEFELAQQTFREALGDGIWLAFIDGKEKPPSIDANASISQYKDVHIFHQLEKEGHLVELPWNKVTTLFNLARLLEQLHNIETASILYRLILFKYPDYVDAYLRLAALAKARNNFQLSIELVNDALKVNNKCPNALLMLGDLELKNDDWVKAKETFRAASEATEGKDSYATLSLGNWNYFAAIRNEKRAPKLEATHLEKAKELYTKVLAQHSANLYAANGAGVVFAEKGHFDVSKDIFTQVQEAASGNIFVQMPDVWINLAHVYFAQGNFALAVKMYQNCLRKFFNNTDSQILLYLARTHYEAEQWQDCKKNLLRAIHLAPSNYTLRFDAGVVMQKFSASTLQKPKKSVDEVRSTVAELENAVRLFRQLSAASSLHFHGFDEKKIDTHVEYCSHLLEAARVHFKVAEHEEQKIRHKQEVARQMALAEEARRKAEEQRKFQLERRLQEDELKRVRQQEEQFERVKEQWKSSTPGSKRRERSEMDDEEGGNGEKRRRKGGKRRKKDKYSRSRYDTLEAEADMMEDQEELEDEDANTNYREPTGQMNEQDDEENVQDPLAAAGLEDSDAEDEAAPSTTTVRRRRAWSESDDDEQQERQPGSSPVRENSAELRSDGEGREGGDKVNGEAALDDDDD >ONH95136 pep chromosome:Prunus_persica_NCBIv2:G7:9228301:9229815:-1 gene:PRUPE_7G053800 transcript:ONH95136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDFANRFALTAEEQTEVVVEQGSVHKLRTSNFLLIGKLLTQKAFNPEAFMRTMTALWRPKVRVHIGRLEENLFMFSFLTKEDRLRILGGGSWTFNHFLLVLAEADGMVQPSRIPLIKQEFWVQLHGLPPAFMTRAMGRQIGEVLGDYITSDQSKRGVCAGSFLRVRVALDVTKPLRRCLTVRLGDGIDEVVRVEIRYEKLPHTCYLCGRLDHMEKECSKYAGEGLTDLDKPYGKWFQEDVFGPDYRRPPGRRFGLASKPWSMRAPATVEDEEKDEEEMAAGARGQRGEEARADVDRNQADSQRPQFMAGCAVTDVIMSDAEIPLLEAAEGTQFPLPDLNITLEVNADDESRDSRAIIPYEENSIKGVAGTLKPTRQLHEEGFAMSTTCSNFFGLVTKECGPRMEDVAQDDPFGLGPIIQQISLEAKKEAKKKSRHRIKKQRSMHKWEPRPVGEVNHNGKRGPRGVRVFETLPALKKRCLSSGSFVWQQEMVEADEHQPRRVQ >ONH96757 pep chromosome:Prunus_persica_NCBIv2:G7:16174158:16175188:1 gene:PRUPE_7G150200 transcript:ONH96757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLWHLQEKLYTTTVVPAGIANWYYGPIKFGHLYNLYTSTKPSLASTLTKQSLSDWKKKKATEINYQFTNLKTLTALPKA >ONH98078 pep chromosome:Prunus_persica_NCBIv2:G7:20114217:20118312:-1 gene:PRUPE_7G227800 transcript:ONH98078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSLGRVLDRFCLSSTGSSSCFCMNSMENEDEFERSPLVTGEKDEFLRLKDVVAGKQTLAFQLKPKMVMLRVSMHCNGCARKVEKHISKIEGVTSYKVDLESKMVVVIGDVLPREVLESVSKVKNTEIWNSPC >ONH98748 pep chromosome:Prunus_persica_NCBIv2:G7:21866088:21869863:1 gene:PRUPE_7G264400 transcript:ONH98748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVLAMEPSSYAGYEDPRTRFKHQSLMQDYEELQKDAEAMKKKLQMMKQKKSTLLAEVRFLSRRYKYLMGNQSMNSRQKQAVVRTHNFETQRTKIMKGRSYSKKESALRINHALDLNQKERINHGMEDTLRKSAPKFDLNQKVRALSGKEATLQKPTLNFDLNQKERIQIVKESSTKRKSTPVFDLNQISREEEEEFQANCEPLRIEEPNKSLLRGGNDEQHTDMKLLVCRTIGNGSNRSGKRKITWQDQVALRV >ONH98749 pep chromosome:Prunus_persica_NCBIv2:G7:21867345:21869454:1 gene:PRUPE_7G264400 transcript:ONH98749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDYEELQKDAEAMKKKLQMMKQKKSTLLAEVRFLSRRYKYLMGNQSMNSRQKQAVVRTHNFETQRTKIMKGRSYSKKESALRINHALDLNQKERINHGMEDTLRKSAPKFDLNQKVRALSGKEATLQKPTLNFDLNQKERIQIVKESSTKRKSTPVFDLNQISREEEEEFQANCEPLRIEEPNKSLLRGGNDEQHTDMKLLVCRTIGNGSNRSGKRKITWQDQVALRV >ONH95316 pep chromosome:Prunus_persica_NCBIv2:G7:10222159:10233519:-1 gene:PRUPE_7G063500 transcript:ONH95316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVSGILSRQVLPACGGLCFFCPALRARSRQPVKRYKKLIADIFPRNQEEGPNDRKIGKLCEYAAKNPLRIPKITNFLEQRCYKELRNENFRSTKIVMCIYNKLLISCKEQMRLFASSLLSIMHTLLDQTRQDEMQIIGCQTLFNFVNNQKDGTYMFNLEGFIPKLCQIAQEPGEDERANNLRSAALQALSSMVWFMGEHSHISVEFDNIVAVVLENYGGHKYPSENLESSKSRWVQEVRKNEGHVSPSPDVNINVPSWSSIVDEKGELNVKVEDAKNPCFWSRVCLQNMAKLAKEATTIRRVLESVFRYFDNGNLWSPEHGLAFPVLKEIQLLMDTSGQNTHVLLSILIKHLDHKNVLKQPNMQLDIVEVTTSLSQLAKIEPSVAIIGAVSDAMRHLRKSIHCSLDDDNLGTDVIKWNRSFREEVDKCLVQLSYKVGEPGPILDAMAVMLENISTITVIARTTISAVYRTAQIVASLPNLSYQNKAFPEALFHQLLPAMVHPDHETRVGAHRVFSVVLVPSSVCPGLSSSNTESKKAFDFPRTLSRTVSVFSSSAALFEKLRREKISSRESICEDNDENVVNEGEQRDTNNGILSRLKSSYSRTYSLKISPAPSTPNEISMSNSTKEHEANSLRLSSHQIILLLLSIWAQSLSPGNMPENYEAIAHTHSLVSLFSRAKHSSVEVLVQSFQLAFSLRDISLTEGGPLPPSRRRSLFTLATSMILFLSKAYNILSLVHRAKASLMDKTVDPFLHLVEDRKLQAVKTGSDHPTIAYGSKEDDNLALKSLSEIAITDEQTREFFASQVVKSLDKLSDSELSTIREQLVSEFLPDDVCPLGAQLFMDAPQKLYQVDLSNSEAIKEDAPIFSLDDDSFPGSFDSQKNNSANLPDLLSVNQLMESVLETAHQVGRLSISNAPDVPYKEMAGHCEALLIGKQQKMSSLMNFQQNQGYLMNLSLHNRNDDVKWMTSYFQADAGSHKSGNPFADQTATSYIPPQTPGCVPMMCATEYQQHPYSFRLPASSPYDNFLKAAGC >ONH95317 pep chromosome:Prunus_persica_NCBIv2:G7:10222645:10233000:-1 gene:PRUPE_7G063500 transcript:ONH95317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVSGILSRQVLPACGGLCFFCPALRARSRQPVKRYKKLIADIFPRNQEEGPNDRKIGKLCEYAAKNPLRIPKITNFLEQRCYKELRNENFRSTKIVMCIYNKLLISCKEQMRLFASSLLSIMHTLLDQTRQDEMQIIGCQTLFNFVNNQKDGTYMFNLEGFIPKLCQIAQEPGEDERANNLRSAALQALSSMVWFMGEHSHISVEFDNIVAVVLENYGGHKYPSENLESSKSRWVQEVRKNEGHVSPSPDVNINVPSWSSIVDEKGELNVKVEDAKNPCFWSRVCLQNMAKLAKEATTIRRVLESVFRYFDNGNLWSPEHGLAFPVLKEIQLLMDTSGQNTHVLLSILIKHLDHKNVLKQPNMQLDIVEVTTSLSQLAKIEPSVAIIGAVSDAMRHLRKSIHCSLDDDNLGTDVIKWNRSFREEVDKCLVQLSYKVGEPGPILDAMAVMLENISTITVIARTTISAVYRTAQIVASLPNLSYQNKAFPEALFHQLLPAMVHPDHETRVGAHRVFSVVLVPSSVCPGLSSSNTESKKAFDFPRTLSRTVSVFSSSAALFEKLRREKISSRESICEDNDENVVNEGEQRDTNNGILSRLKSSYSRTYSLKISPAPSTPNEISMSNSTKEHEANSLRLSSHQIILLLLSIWAQSLSPGNMPENYEAIAHTHSLVSLFSRAKHSSVEVLVQSFQLAFSLRDISLTEGGPLPPSRRRSLFTLATSMILFLSKAYNILSLVHRAKASLMDKTVDPFLHLVEDRKLQAVKTGSDHPTIAYGSKEDDNLALKSLSEIAITDEQTREFFASQVVKSLDKLSDGAIMQSELSTIREQLVSEFLPDDVCPLGAQLFMDAPQKLYQVDLSNSEAIKEDAPIFSLDDDSFPGSFDSQKNNSANLPDLLSVNQLMESVLETAHQVGRLSISNAPDVPYKEMAGHCEALLIGKQQKMSSLMNFQQNQGYLMNLSLHNRNDDVKWMTSYFQADAGSHKSGNPFADQTATSYIPPQTPGCVPMMCATEYQQHPYSFRLPASSPYDNFLKAAGC >ONH97073 pep chromosome:Prunus_persica_NCBIv2:G7:17146303:17148932:-1 gene:PRUPE_7G168500 transcript:ONH97073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQWNGDDFSAASAPSSAQPLLLPSSNRSHNSNSESRQRPDVETKDPIVARKVQKADREKLRRDRLNEHFLELGNTLDRPKNDKATILTDTIQMLKDLTADVGKLKAECAALTDESRELTQEKNELREEKASLKSDIENLNVQYQQRLRVMFPWAAMDPSVVMAPQYSYPMPVAVPPGSIPMHPSLQPFPYFQTQTPAAIPNPCSTFVPYQAPANPPVEQPAPQYASVSHLSSKQDSRSKSSDMQRGSNAERCDDSNDVATDLELKMPGSSTQQDSSSGGRKSKQSQRKDRVVTDGSSSSRYSSSQGLQDNSSNSVGDVPKSNK >ONH97076 pep chromosome:Prunus_persica_NCBIv2:G7:17146627:17147644:-1 gene:PRUPE_7G168500 transcript:ONH97076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCYVGNVDPDRPKNDKATILTDTIQMLKDLTADVGKLKAECAALTDESRELTQEKNELREEKASLKSDIENLNVQYQQRLRVMFPWAAMDPSVVMAPQYSYPMPVAVPPGSIPMHPSLQPFPYFQTQTPAAIPNPCSTFVPYQAPANPPVEQPAPQYASVSHLSSKQDSRSKSSDMQRGSNAERCDDSNDVATDLELKMPGSSTQQDSSSGGRKSKQSQRKDRVVTDGSSSSRYSSSQGLQDNSSNSVGDVPKSNK >ONH97078 pep chromosome:Prunus_persica_NCBIv2:G7:17146303:17148001:-1 gene:PRUPE_7G168500 transcript:ONH97078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKDLTADVGKLKAECAALTDESRELTQEKNELREEKASLKSDIENLNVQYQQRLRVMFPWAAMDPSVVMAPQYSYPMPVAVPPGSIPMHPSLQPFPYFQTQTPAAIPNPCSTFVPYQAPANPPVEQPAPQYASVSHLSSKQDSRSKSSDMQRGSNAERCDDSNDVATDLELKMPGSSTQQDSSSGGRKSKQSQRKDRVVTDGSSSSRYSSSQGLQDNSSNSVGDVPKSNK >ONH97075 pep chromosome:Prunus_persica_NCBIv2:G7:17146223:17148932:-1 gene:PRUPE_7G168500 transcript:ONH97075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQWNGDDFSAASAPSSAQPLLLPSSNRSHNSNSESRQRPDVETKDPIVARKVQKADREKLRRDRLNEHFLELGNTLDPDRPKNDKATILTDTIQMLKDLTADVGKLKAECAALTDESRELTQEKNELREEKASLKSDIENLNVQYQQRLRVMFPWAAMDPSVVMAPQYSYPMPVAVPPGSIPMHPSLQPFPYFQTQTPAAIPNPCSTFVPYQAPANPPVEQPAPQYASVSHLSSKQDSRSKSSDMQRGSNAERCDDSNDVATDLELKMPGSSTQQDSSSGGRKSKQSQRKDRVVTDGSSSSRYSSSQGLQDNSSNSVGDVPKSNK >ONH97074 pep chromosome:Prunus_persica_NCBIv2:G7:17146221:17148951:-1 gene:PRUPE_7G168500 transcript:ONH97074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQWNGDDFSAASAPSSAQPLLLPSSNRSHNSNSESRQRPDVETKDPIVARKVQKADREKLRRDRLNEHFLELGNTLDPDRPKNDKATILTDTIQMLKDLTADVGKLKAECAALTDESRELTQEKNELREEKASLKSDIENLNVQYQQRLRVMFPWAAMDPSVVMAPQYSYPMPVAVPPGSIPMHPSLQPFPYFQTQTPAAIPNPCSTFVPYQAPANPPVEQPAPQYASVSHLSSKQDSRSKSSDMQRGSNAERCDDSNDVATDLELKMPGSSTQQDSSSGGRKSKQSQRKDRVVTDGSSSSRYSSSQGLQDNSSNSVGDVPKSNK >ONH97077 pep chromosome:Prunus_persica_NCBIv2:G7:17146627:17147644:-1 gene:PRUPE_7G168500 transcript:ONH97077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCYVGNVDPDRPKNDKATILTDTIQMLKDLTADVGKLKAECAALTDESRELTQEKNELREEKASLKSDIENLNVQYQQRLRVMFPWAAMDPSVVMAPQYSYPMPVAVPPGSIPMHPSLQPFPYFQTQTPAAIPNPCSTFVPYQAPANPPVEQPAPQYASVSHLSSKQDSRSKSSDMQRGSNAERCDDSNDVATDLELKMPGSSTQQDSSSGGRKSKQSQRKDRVVTDGSSSSRYSSSQGLQDNSSNSVGDVPKSNK >ONH94867 pep chromosome:Prunus_persica_NCBIv2:G7:6659210:6662517:1 gene:PRUPE_7G036500 transcript:ONH94867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSETWLSLIRPAMAAFSCPLRILRSYTTGAELEPQLSPKLIKIMEQRLSLIEQRSACLQNLINQPDASAEEYARANKELRKLSASMDLINQLRSNSKEIDGLRSLMDECSQDKDMIDMANNELGQAIDEERRLHASLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMDIFKMYDRFSHKKGWKFEVVDITESDLKGYKEASAAISGADVYGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLRIDTYRSGGSGGQHANTTNSAVRITHIPTGLTVAIQDERSQHMNKAKGLKVLCAKLYEIERSRIQSSRSKLRSQQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSINDVMQGENLDVFIDALLLQEEMDAIASFGSS >ONH94868 pep chromosome:Prunus_persica_NCBIv2:G7:6658545:6662533:1 gene:PRUPE_7G036500 transcript:ONH94868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSETWLSLIRPAMAAFSCPLRILRSYTTELEPQLSPKLIKIMEQRLSLIEQRSACLQNLINQPDASAEEYARANKELRKLSASMDLINQLRSNSKEIDGLRSLMDECSQDKDMIDMANNELGQAIDEERRLHASLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMDIFKMYDRFSHKKGWKFEVVDITESDLKGYKEASAAISGADVYGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLRIDTYRSGGSGGQHANTTNSAVRITHIPTGLTVAIQDERSQHMNKAKGLKVLCAKLYEIERSRIQSSRSKLRSQQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSINDVMQGENLDVFIDALLLQEEMDAIASFGSS >ONH94869 pep chromosome:Prunus_persica_NCBIv2:G7:6658938:6662665:1 gene:PRUPE_7G036500 transcript:ONH94869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSETWLSLIRPAMAAFSCPLRILRSYTTELEPQLSPKLIKIMEQRLSLIEQRSACLQNLINQPDASAEEYARANKELRKLSASMDLINQLRSNSKEIDGLRSLMDECSQDKDMIDMANNELGQAIDEERRLHASLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMDIFKMYDRFSHKKGWKFEVVDITESDLKGYKEASAAISGADVYGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLRIDTYRSGGSGGQHANTTNSAVRITHIPTGLTVAIQDERSQHMNKAKGLKVLCAKLYEIERSRIQSSRSKLRSQQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSINDVMQGENLDVFIDALLLQEEMDAIASFGSS >ONH94870 pep chromosome:Prunus_persica_NCBIv2:G7:6658938:6662465:1 gene:PRUPE_7G036500 transcript:ONH94870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSETWLSLIRPAMAAFSCPLRILRSYTTELEPQLSPKLIKIMEQRLSLIEQRSACLQNLINQPDASAEEYARANKELRKLSASMDLINQLRSNSKEIDGLRSLMDECSQDKDMIDMANNELGQAIDEERRLHASLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMDIFKMYDRFSHKKGWKFEVVDITESDLKGYKEASAAISGADVYGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLRIDTYRSGGSGGQHANTTNSAVRITHIPTGLTVAIQDERSQHMNKAKGLKVLCAKLYEIERSRIQSSRSKLRSQQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSINDVMQGENLDVFIDALLLQEEMDAIASFGSS >ONH94866 pep chromosome:Prunus_persica_NCBIv2:G7:6658938:6662405:1 gene:PRUPE_7G036500 transcript:ONH94866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSETWLSLIRPAMAAFSCPLRILRSYTTGAELEPQLSPKLIKIMEQRLSLIEQRSACLQNLINQPDASAEEYARANKELRKLSASMDLINQLRSNSKEIDGLRSLMDECSQDKDMIDMANNELGQAIDEERRLHASLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMDIFKMYDRFSHKKGWKFEVVDITESDLKGYKEASAAISGADVYGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLRIDTYRSGGSGGQHANTTNSAVRITHIPTGLTVAIQDERSQHMNKAKGLKVLCAKLYEIERSRIQSSRSKLRSQQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSINDVMQGENLDVFIDALLLQEEMDAIASFGSS >ONH94872 pep chromosome:Prunus_persica_NCBIv2:G7:6658938:6662009:1 gene:PRUPE_7G036500 transcript:ONH94872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSETWLSLIRPAMAAFSCPLRILRSYTTELEPQLSPKLIKIMEQRLSLIEQRSACLQNLINQPDASAEEYARANKELRKLSASMDLINQLRSNSKEIDGLRSLMDECSQDKDMIDMANNELGQAIDEERRLHASLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMDIFKMYDRFSHKKGWKFEVVDITESDLKGYKEASAAISGADVYGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLRIDTYRSGGSGGQHANTTNSAVRITHIPTGLTVAIQDERSQHMD >ONH94871 pep chromosome:Prunus_persica_NCBIv2:G7:6659107:6662665:1 gene:PRUPE_7G036500 transcript:ONH94871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSETWLSLIRPAMAAFSCPLRILRSYTTELEPQLSPKLIKIMEQRLSLIEQRSACLQNLINQPDASAEEYARANKELRKLSASMDLINQLRSNSKEIDGLRSLMDECSQDKDMIDMANNELGQAIDEERRLHASLLKSLLPKDDADERDCILEVRAGTGGEEASLFAMDIFKMYDRFSHKKGWKFEVVDITESDLKGYKEASAAISGADVYGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVHLRNEDLRIDTYRSGGSGGQHANTTNSAVRITHIPTGLTVAIQDERSQHMNKAKGLKVLCAKLYEIERSRIQSSRSKLRSQQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSINDVMQGENLDVFIDALLLQEEMDAIASFGSS >ONH97367 pep chromosome:Prunus_persica_NCBIv2:G7:17993351:17994662:-1 gene:PRUPE_7G185800 transcript:ONH97367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYITLLRFLSKQGLQFSYQIWALAPPLPLFSSLLLTTLPVLTGTLLELSFGQLVHMGSVVNATISTISVVVYFCCFVMGFGPIPNILCSEIFPTCVRGLCIPICALTFWIGDVIVTYTLPIILTSIGLAHHFLGVCVLEGAGNKAHAP >ONH97366 pep chromosome:Prunus_persica_NCBIv2:G7:17993352:17994662:-1 gene:PRUPE_7G185800 transcript:ONH97366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILQCYGFSGINGVLYYTPQILEQAGVAILLSNLGLSSTSASILVSALTTLLMMLPSIGIAMRLLDTTGRRSLLLTTLPVLTGTLLELSFGQLVHMGSVVNATISTISVVVYFCCFVMGFGPIPNILCSEIFPTCVRGLCIPICALTFWIGDVIVTYTLPIILTSIGLAHHFLGVCVLEGAGNKAHAP >ONH94149 pep chromosome:Prunus_persica_NCBIv2:G7:137401:144369:1 gene:PRUPE_7G000900 transcript:ONH94149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIKAAVGDTMLTFLWISCASMLGLATSFIANAVGVQDLPWPPLFITTALVFVLVFVFTFLGDALGGASFNPTGTASFYAAGLGADTLLSMALRFPAQAFGAVGGVLAINEVMPHQYKHMLGGPSLKVDVHTGAIVEGVLTFVISFLVLIIILKGPRSPVLKMLLLSVVTVTLVVSGSVYTGPSMNPANAFGWAYLNNWHNTWEQFYVYWICPFIGAILAGWIFRAVFPPLLAKGKKKKAKKA >ONH94446 pep chromosome:Prunus_persica_NCBIv2:G7:2507195:2511631:-1 gene:PRUPE_7G017300 transcript:ONH94446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHHETAGVQTPLLDDVVEGAVDYKGLPVHRSRSGGWRSAWFIIGVEVAERFAYYGISCNLITFLTGPLGQSTATAAENVNIWSGTASLLPLLGAFVADSFLGRYRTIVFASLLYILGLGLLTLSAVLPSLTGSYCQKFNQSTSCSSQFQVLFFFFSLYLVAVAQGGHKPCVQAFGADQFDASDPEECKAKSSFFNWWYFSLCFGTTFTLILLTYIQDNLSWGLGFGIPCIAMVLALFIFLLGTRTYRCSIKGDEESPFVRIGRVFVAALRNWRTTPSAITCEEESRGTLPHKSSEQFKFLNKALLAPDDLKESRKVCTVAEVEEAKSVLRLFPIWVTCLAYAVVFAQYSTFFTKQGATMDRTIAPGLDIPAASLQTFISITIVIFVPIYDRIFVPIARVFTRKPSGITMLQRIGIGMFISIISMVVAALVEMKRLKTAKDYGLLDMPSATVPMSIWWLVPQYLLAGLADVFTMVGLQEFFYDQVPNELKSIGLALYLSIFGVGSFLSSFLISAIDDATTWAGETSWFSTNLNRAHLDYFYWLLGGISVVELAIYLYFAKSYIYNRAGTV >ONH94451 pep chromosome:Prunus_persica_NCBIv2:G7:2506950:2514710:-1 gene:PRUPE_7G017300 transcript:ONH94451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHHETAGVQTPLLDDVVEGAVDYKGLPVHRSRSGGWRSAWFIIGVEVAERFAYYGISCNLITFLTGPLGQSTATAAENVNIWSGTASLLPLLGAFVADSFLGRYRTIVFASLLYILGLGLLTLSAVLPSLTGSYCQKFNQSTSCSSQFQVLFFFFSLYLVAVAQGGHKPCVQAFGADQFDASDPEECKAKSSFFNWWYFSLCFGTTFTLILLTYIQDNLSWGLGFGIPCIAMVLALFIFLLGTRTYRCSIKGDEESPFVRIGRVFVAALRNWRTTPSAITCEEESRGTLPHKSSEQFKFLNKALLAPDDLKESRKVCTVAEVEEAKSVLRLFPIWVTCLAYAVVFAQYSTFFTKQGATMDRTIAPGLDIPAASLQTFISITIVIFVPIYDRIFVPIARVFTRKPSGITMLQRIGIGMFISIISMVVAALVEMKRLKTAKDYGLLDMPSATVPMSIWWLVPQYLLAGLADVFTMVGLQEFFYDQVPNELKSIGLALYLSIFGVGSFLSSFLISAIDDATTWAGETSWFSTNLNRAHLDYFYWLLGGISVVELAIYLYFAKSYIYNRAGTV >ONH94447 pep chromosome:Prunus_persica_NCBIv2:G7:2506902:2512497:-1 gene:PRUPE_7G017300 transcript:ONH94447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHHETAGVQTPLLDDVVEGAVDYKGLPVHRSRSGGWRSAWFIIGVEVAERFAYYGISCNLITFLTGPLGQSTATAAENVNIWSGTASLLPLLGAFVADSFLGRYRTIVFASLLYILGLGLLTLSAVLPSLTGSYCQKFNQSTSCSSQFQVLFFFFSLYLVAVAQGGHKPCVQAFGADQFDASDPEECKAKSSFFNWWYFSLCFGTTFTLILLTYIQDNLSWGLGFGIPCIAMVLALFIFLLGTRTYRCSIKGDEESPFVRIGRVFVAALRNWRTTPSAITCEEESRGTLPHKSSEQFKFLNKALLAPDDLKESRKVCTVAEVEEAKSVLRLFPIWVTCLAYAVVFAQYSTFFTKQGATMDRTIAPGLDIPAASLQTFISITIVIFVPIYDRIFVPIARVFTRKPSGITMLQRIGIGMFISIISMVVAALVEMKRLKTAKDYGLLDMPSATVPMSIWWLVPQYLLAGLADVFTMVGLQEFFYDQVPNELKSIGLALYLSIFGVGSFLSSFLISAIDDATTWAGETSWFSTNLNRAHLDYFYWLLGGISVVELAIYLYFAKSYIYNRAGTV >ONH94449 pep chromosome:Prunus_persica_NCBIv2:G7:2507195:2511631:-1 gene:PRUPE_7G017300 transcript:ONH94449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHHETAGVQTPLLDDVVEGAVDYKGLPVHRSRSGGWRSAWFIIGVEVAERFAYYGISCNLITFLTGPLGQSTATAAENVNIWSGTASLLPLLGAFVADSFLGRYRTIVFASLLYILGLGLLTLSAVLPSLTGSYCQKFNQSTSCSSQFQVLFFFFSLYLVAVAQGGHKPCVQAFGADQFDASDPEECKAKSSFFNWWYFSLCFGTTFTLILLTYIQDNLSWGLGFGIPCIAMVLALFIFLLGTRTYRCSIKGDEESPFVRIGRVFVAALRNWRTTPSAITCEEESRGTLPHKSSEQFKFLNKALLAPDDLKESRKVCTVAEVEEAKSVLRLFPIWVTCLAYAVVFAQYSTFFTKQGATMDRTIAPGLDIPAASLQTFISITIVIFVPIYDRIFVPIARVFTRKPSGITMLQRIGIGMFISIISMVVAALVEMKRLKTAKDYGLLDMPSATVPMSIWWLVPQYLLAGLADVFTMVGLQEFFYDQVPNELKSIGLALYLSIFGVGSFLSSFLISAIDDATTWAGETSWFSTNLNRAHLDYFYWLLGGISVVELAIYLYFAKSYIYNRAGTV >ONH94450 pep chromosome:Prunus_persica_NCBIv2:G7:2506950:2512499:-1 gene:PRUPE_7G017300 transcript:ONH94450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHHETAGVQTPLLDDVVEGAVDYKGLPVHRSRSGGWRSAWFIIGVEVAERFAYYGISCNLITFLTGPLGQSTATAAENVNIWSGTASLLPLLGAFVADSFLGRYRTIVFASLLYILGLGLLTLSAVLPSLTGSYCQKFNQSTSCSSQFQVLFFFFSLYLVAVAQGGHKPCVQAFGADQFDASDPEECKAKSSFFNWWYFSLCFGTTFTLILLTYIQDNLSWGLGFGIPCIAMVLALFIFLLGTRTYRCSIKGDEESPFVRIGRVFVAALRNWRTTPSAITCEEESRGTLPHKSSEQFKFLNKALLAPDDLKESRKVCTVAEVEEAKSVLRLFPIWVTCLAYAVVFAQYSTFFTKQGATMDRTIAPGLDIPAASLQTFISITIVIFVPIYDRIFVPIARVFTRKPSGITMLQRIGIGMFISIISMVVAALVEMKRLKTAKDYGLLDMPSATVPMSIWWLVPQYLLAGLADVFTMVGLQEFFYDQVPNELKSIGLALYLSIFGVGSFLSSFLISAIDDATTWAGETSWFSTNLNRAHLDYFYWLLGGISVVELAIYLYFAKSYIYNRAGTV >ONH94445 pep chromosome:Prunus_persica_NCBIv2:G7:2506901:2511844:-1 gene:PRUPE_7G017300 transcript:ONH94445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHHETAGVQTPLLDDVVEGAVDYKGLPVHRSRSGGWRSAWFIIGVEVAERFAYYGISCNLITFLTGPLGQSTATAAENVNIWSGTASLLPLLGAFVADSFLGRYRTIVFASLLYILGLGLLTLSAVLPSLTGSYCQKFNQSTSCSSQFQVLFFFFSLYLVAVAQGGHKPCVQAFGADQFDASDPEECKAKSSFFNWWYFSLCFGTTFTLILLTYIQDNLSWGLGFGIPCIAMVLALFIFLLGTRTYRCSIKGDEESPFVRIGRVFVAALRNWRTTPSAITCEEESRGTLPHKSSEQFKFLNKALLAPDDLKESRKVCTVAEVEEAKSVLRLFPIWVTCLAYAVVFAQYSTFFTKQGATMDRTIAPGLDIPAASLQTFISITIVIFVPIYDRIFVPIARVFTRKPSGITMLQRIGIGMFISIISMVVAALVEMKRLKTAKDYGLLDMPSATVPMSIWWLVPQYLLAGLADVFTMVGLQEFFYDQVPNELKSIGLALYLSIFGVGSFLSSFLISAIDDATTWAGETSWFSTNLNRAHLDYFYWLLGGISVVELAIYLYFAKSYIYNRAGTV >ONH94448 pep chromosome:Prunus_persica_NCBIv2:G7:2507195:2511631:-1 gene:PRUPE_7G017300 transcript:ONH94448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHHETAGVQTPLLDDVVEGAVDYKGLPVHRSRSGGWRSAWFIIGVEVAERFAYYGISCNLITFLTGPLGQSTATAAENVNIWSGTASLLPLLGAFVADSFLGRYRTIVFASLLYILGLGLLTLSAVLPSLTGSYCQKFNQSTSCSSQFQVLFFFFSLYLVAVAQGGHKPCVQAFGADQFDASDPEECKAKSSFFNWWYFSLCFGTTFTLILLTYIQDNLSWGLGFGIPCIAMVLALFIFLLGTRTYRCSIKGDEESPFVRIGRVFVAALRNWRTTPSAITCEEESRGTLPHKSSEQFKFLNKALLAPDDLKESRKVCTVAEVEEAKSVLRLFPIWVTCLAYAVVFAQYSTFFTKQGATMDRTIAPGLDIPAASLQTFISITIVIFVPIYDRIFVPIARVFTRKPSGITMLQRIGIGMFISIISMVVAALVEMKRLKTAKDYGLLDMPSATVPMSIWWLVPQYLLAGLADVFTMVGLQEFFYDQVPNELKSIGLALYLSIFGVGSFLSSFLISAIDDATTWAGETSWFSTNLNRAHLDYFYWLLGGISVVELAIYLYFAKSYIYNRAGTV >ONH96589 pep chromosome:Prunus_persica_NCBIv2:G7:15503122:15509769:-1 gene:PRUPE_7G138800 transcript:ONH96589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLVGGGTFRVAFQMLFDAVIAVKKETTMFKSMLGDIKSTLDSLQPVIKEREKYDSKEGLENFAMQMEEGVKLVYKCSKVGVWSWCRKYRYTRKLDELDKCLQRLVEVLKVQGIRDVKETLVSLRNIETVLHRIEGNLVMQNQPEKINGWSSVPEPPPVTVGLDVPLKELKIKLLKDDVSMLVLTAAGGCGKTTLAKKFCQDQEVKGSESLLEKFDEFKMTNYKVLVTSRIAFPRFGSPYHLEFLNDEDAMALFHHSAFLEDKSSYAREDLSRKIIKLCKGFPLAITAVGRSLRGQPIEIWRKRVMEWSKGSSILASNNDLLACLKSSIDALDKEKPTLKECFLDLCSFPKDQRISAATLIDMWAELYGLDEPSLSIANLYELTTQSLANLIATRNEREADGYYTERFVTQPDMLRELAIHQASQDPIGQRKRLIIDIRGDNLPNWLTEAKHQPMQARLLSISTDGAFSKKWPNMQLPKAEVLVLNFETNSYDLPEFVTKMDKLKVLIVTNFSFLPAELNNFQLLGSSSNLKRIRLERISIPSISKNIKQLESVQKISLFMCSIGQAFGKGSINILDALPNLGEMDIDCCHELVELPVEVCDLIHLKKLSITNCHNLSALPEKIGKLLSLEVLRLRSCTDLLELPSSIRNLKKLNFLDISFCFSITQLPEHIGEICSLKKLNMRQCSRLQDLPASVLDLEQLKDVICDEETELLWEPFLPFLKNIHIKVVKEDNNLNWLHKLRS >ONH96590 pep chromosome:Prunus_persica_NCBIv2:G7:15505499:15509278:-1 gene:PRUPE_7G138800 transcript:ONH96590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLVGGGTFRVAFQMLFDAVIAVKKETTMFKSMLGDIKSTLDSLQPVIKEREKYDSKEGLENFAMQMEEGVKLVYKCSKVGVWSWCRKYRYTRKLDELDKCLQRLVEVLKVQGIRDVKETLVSLRNIETVLHRIEGNLVMQNQPEKINGWSSVPEPPPVTVGLDVPLKELKIKLLKDDVSMLVLTAAGGCGKTTLAKKFCQDQEVKDKFKNNIFFVTLSKNPNLDLIVHELYQRKGSQVPAFENEVIAVYWLQLFLKETSQNPVLFVLDDVWSGSESLLEKFDEFKMTNYKVLVTSRIAFPRFGSPYHLEFLNDEDAMALFHHSAFLEDKSSYAREDLSRKIIKLCKGFPLAITAVGRSLRGQPIEIWRKRVMEWSKGSSILASNNDLLACLKSSIDALDKEKPTLKECFLDLCSFPKDQRISAATLIDMWAELYGLDEPSLSIANLYELTTQSLANLIATRNEREADGYYTERFVTQPDMLRELAIHQASQDPIGQRKRLIIDIRGDNLPNWLTEAKHQPMQARLLSISTDGAFSKKWPNMQLPKAEVLVLNFETNSYDLPEFVTKMDKLKVLIVTNFSFLPAELNNFQLLGSSSNLKRIRLERISIPSISKNIKQLESVQKISLFMCSIGQAFGKGSINILDALPNLGEMDIDCCHELVELPVEVCDLIHLKKLSITNCHNLSALPEKIGKLLSLEVLRLRSCTDLLELPSSIRNLKKLNFLDISFCFSITQLPEHIGEICSLKKLNMRQCSRLQDLPASVLDLEQLKDVICDEETELLWEPFLPFLKNIHIKVVKEDNNLNWLHKLRS >ONH94966 pep chromosome:Prunus_persica_NCBIv2:G7:7875020:7891963:-1 gene:PRUPE_7G042600 transcript:ONH94966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITADPSTASASASTTTSSSASTQPAQSSGYAASPPKSSSGGLPTALGKPAGEKRSKRAALMQIQNDTISAAKAALHPVRTNINILPQKHKQKQKKPVSYAQLARSIHELAAASDQKSSQKQLVNHVFPKLAVYNSVDPSVAPSLLMLNQQCEDRSVLRYVYYYLARILSDTGAQGVSTGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTTEASNAEAEFHARRLQALKALTYAPSTNSEILSNLYEIVFGILDKVADGPQKRKKGVFGTKGGDKEFILRTNLQYAALSALRRLPLDPGNPAFLYRAVQGISFADPIAVRHALEILSELSTKDPYAVAMALGALQDVLHLHDVLARVALARLCYTISRARALDERADIRSRFNSVLYQLLLDPSERVCFEAILCIWGNPIVQREASEIMLGGRASFHMLESSFRSFSRPVLHAAARVVQEMGKSRAAAFSLGIQDIDETVHVNTFSETLDSHDLDSTETSHSESIRRTSSLSTGVGGKDTIASLLASLMEVVRTTVACECVYVRAMVIKALIWMQSPHDSFDQLESIIASELSDPAWPATLLNDILLTLHARFKATPDMAVTLLQIARIFATKAPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMLGLTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDTDKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAAQALTTMAIRSGEPFRLQIYEFLHTLAQGGVQSQFSEMHLSNGEDQGASGTGLGVLINPMIEVLDEMYRAQDDLIKEIRNHDNANKEWTDEELKKLYGTHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTRHNISASTGLSDPAVATGISDLIYESKPAAEESDMLDDDLVNAWAANLGDDGLLGNNAPAMSRVNEFLAGAGTDAPDVDEENIISRPSVSYDDLWAKTLLETSELEEDDGRSSGTSSPESTGSVETSISSHFGGMNYPSLFSSRPERSGGNRYTNPSTGGPSFSEGLGSPIREEPPPYSSPAAQRFESFDNPLAGRGSQSFESQDDERISSGNPQHGTALYDFTAGGDDELNLTAGEDVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVNQS >ONH94967 pep chromosome:Prunus_persica_NCBIv2:G7:7880585:7892220:-1 gene:PRUPE_7G042600 transcript:ONH94967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGTTLMDLITADPSTASASASTTTSSSASTQPAQSSGYAASPPKSSSGGLPTALGKPAGEKRSKRAALMQIQNDTISAAKAALHPVRTNINILPQKHKQKQKKPVSYAQLARSIHELAAASDQKSSQKQLVNHVFPKLAVYNSVDPSVAPSLLMLNQQCEDRSVLRYVYYYLARILSDTGAQGVSTGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTTEASNAEAEFHARRLQALKALTYAPSTNSEILSNLYEIVFGILDKVADGPQKRKKGVFGTKGGDKEFILRTNLQYAALSALRRLPLDPGNPAFLYRAVQGISFADPIAVRHALEILSELSTKDPYAVAMALGKHAEPGGALQDVLHLHDVLARVALARLCYTISRARALDERADIRSRFNSVLYQLLLDPSERVCFEAILCIWGNPIVQREASEIMLGGRASFHMLESSFRSFSRPVLHAAARVVQEMGKSRAAAFSLGIQDIDETVHVNTFSETLDSHDLDSTETSHSESIRRTSSLSTGVGGKDTIASLLASLMEVVRTTVACECVYVRAMVIKALIWMQSPHDSFDQLESIIASELSDPAWPATLLNDILLTLHARFKATPDMAVTLLQIARIFATKAPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMLGLTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDTDKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAAQALTTMAIRSGEPFRLQIYEFLHTLAQGGVQSQFSEMHLSNGEDQGASGTGLGVLINPMIEVLDEMYRAQDDLIK >ONH96054 pep chromosome:Prunus_persica_NCBIv2:G7:13383073:13384143:-1 gene:PRUPE_7G104900 transcript:ONH96054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKPKLMATVSRQGQGVHADCIMIDRLSNLPDPIAHHIFSFVDLKDIVRVGCASKRCRQFHLSTPTLSFNFNEFPDMKKSPCSKRLEVMNSLDRLLAHRQNDKLKRFHIHWVPHNRYVEDCSCDESFRIMTWVYDAVRCEVEELDLDICVHQETPLALSSFMFLCDSLRSLSVNMKCVLKAPPLNSSSNLKSLNLREVCIVDEEFFTWISCCCKCIKELRLHDIYGVQNITIESSSLESFVFRCPGLSAGCNLNISGEKLESLHIYWGNIFLDRKSSLNLFAPNLKSLIWSGSLFSHQNVGKLTCLEKAELSLKVKSGKEFDIIYEILCSMPEVKVLILHKEITKVIKETLLSSS >ONH97163 pep chromosome:Prunus_persica_NCBIv2:G7:17368821:17369958:1 gene:PRUPE_7G173100 transcript:ONH97163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGLDSARPSLRYSLPAVQTTSKIKDGIPCLVPKDGKTLEADDTLKPEDAVKSSVEHEADQGGIH >ONH97162 pep chromosome:Prunus_persica_NCBIv2:G7:17368821:17370113:1 gene:PRUPE_7G173100 transcript:ONH97162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGLDSARPSLRYSLPAVQTTSKIKDGIPCLVPKDGKTLEADDTLKPEDAVKSSVEHEADQGGIH >ONH97767 pep chromosome:Prunus_persica_NCBIv2:G7:19142635:19145599:-1 gene:PRUPE_7G208600 transcript:ONH97767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKPQPVRVLYCAVCSLPAEYCEFGPDFEKCKPWLIQNAPDLYPDLVKEANAKEADKVANQLQSTGISSGGDGAASSAPKQEEVKRLPGGKIKKKERQEVTIEKMTRNKRKCITTVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKDQIDVQGDIAYDIVEFITDTWPDVPEAAIFFIEDGRKVPAA >ONH95408 pep chromosome:Prunus_persica_NCBIv2:G7:10670002:10672678:1 gene:PRUPE_7G068600 transcript:ONH95408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICVPEPTRWAVARIRDGLSYATKRFFHEHGFCYVNTPNITTSDCEGAGEMFQVTTLISEAEKLEKNLIKNPPPSEIDVESAKLIIKQRGNDVAQLKSVKASKQEIGAAVAELQKAKENVLKMEERSKLQPGIPQKDGKIDYSQDFFARQAFLTVSGQLQLESYACALSTVYTFGPTFRAEKSHTSRHLAEFWMVESEMAFAELEHDMDCAEDYVKFMCQWLLDHCMEDMQFFADKIDKSCIDRITMSAKTPFARITYTEAVELLTEAVKNGKKFENHVEWGIDLASEHERYLTEVKFQKPVIVYNYPKEIKAFYMRLNDDSKTVAAMDVLVPKVGIILSTLNLYR >ONH95405 pep chromosome:Prunus_persica_NCBIv2:G7:10667035:10672678:1 gene:PRUPE_7G068600 transcript:ONH95405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSDRVLIRSIILRADGGSGLAGQQARVGGWVKTGRKADKDAFAFLELNDGSCTSNLQVIVEADKGDLGQLVLTGTCLQVDGVLKLPPDGKKQKVELQVEKVIHVSVVDASKYPLPKTRLPLELLRDYVHLRARTNTRFFHEHGFCYVNTPNITTSDCEGAGEMFQVTTLISEAEKLEKNLIKNPPPSEIDVESAKLIIKQRGNDVAQLKSVKASKQEIGAAVAELQKAKENVLKMEERSKLQPGIPQKDGKIDYSQDFFARQAFLTVSGQLQLESYACALSTVYTFGPTFRAEKSHTSRHLAEFWMVESEMAFAELEHDMDCAEDYVKFMCQWLLDHCMEDMQFFADKIDKSCIDRITMSAKTPFARITYTEAVELLTEAVKNGKKFENHVEWGIDLASEHERYLTEVKFQKPVIVYNYPKEIKAFYMRLNDDSKTVAAMDVLVPKVGELIGGSQREERYDVMLSRMKEMGLPIEPYEWYLDLRRYGTVKHCGFGLGFERMVQFATGLDNIKDCVPFPRSAGRADL >ONH95406 pep chromosome:Prunus_persica_NCBIv2:G7:10667035:10672678:1 gene:PRUPE_7G068600 transcript:ONH95406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICVPEPTRWAVARIRDGLSYATKRFFHEHGFCYVNTPNITTSDCEGAGEMFQVTTLISEAEKLEKNLIKNPPPSEIDVESAKLIIKQRGNDVAQLKSVKASKQEIGAAVAELQKAKENVLKMEERSKLQPGIPQKDGKIDYSQDFFARQAFLTVSGQLQLESYACALSTVYTFGPTFRAEKSHTSRHLAEFWMVESEMAFAELEHDMDCAEDYVKFMCQWLLDHCMEDMQFFADKIDKSCIDRITMSAKTPFARITYTEAVELLTEAVKNGKKFENHVEWGIDLASEHERYLTEVKFQKPVIVYNYPKEIKAFYMRLNDDSKTVAAMDVLVPKVGELIGGSQREERYDVMLSRMKEMGLPIEPYEWYLDLRRYGTVKHCGFGLGFERMVQFATGLDNIKDCVPFPRSAGRADL >ONH95409 pep chromosome:Prunus_persica_NCBIv2:G7:10670164:10672483:1 gene:PRUPE_7G068600 transcript:ONH95409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVTTLISEAEKLEKNLIKNPPPSEIDVESAKLIIKQRGNDVAQLKSVKASKQEIGAAVAELQKAKENVLKMEERSKLQPGIPQKDGKIDYSQDFFARQAFLTVSGQLQLESYACALSTVYTFGPTFRAEKSHTSRHLAEFWMVESEMAFAELEHDMDCAEDYVKFMCQWLLDHCMEDMQFFADKIDKSCIDRITMSAKTPFARITYTEAVELLTEAVKNGKKFENHVEWGIDLASEHERYLTEVKFQKPVIVYNYPKEIKAFYMRLNDDSKTVAAMDVLVPKVGELIGGSQREERYDVMLSRMKEMGLPIEPYEWYLDLRRYGTVKHCGFGLGFERMVQFATGLDNIKDCVPFPRSAGRADL >ONH95407 pep chromosome:Prunus_persica_NCBIv2:G7:10668225:10672678:1 gene:PRUPE_7G068600 transcript:ONH95407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICVPEPTRWAVARIRDGLSYATKRFFHEHGFCYVNTPNITTSDCEGAGEMFQVTTLISEAEKLEKNLIKNPPPSEIDVESAKLIIKQRGNDVAQLKSVKASKQEIGAAVAELQKAKENVLKMEERSKLQPGIPQKDGKIDYSQDFFARQAFLTVSGQLQLESYACALSTVYTFGPTFRAEKSHTSRHLAEFWMVESEMAFAELEHDMDCAEDYVKFMCQWLLDHCMEDMQFFADKIDKSCIDRITMSAKTPFARITYTEAVELLTEAVKNGKKFENHVEWGIDLASEHERYLTEVKFQKPVIVYNYPKEIKAFYMRLNDDSKTVAAMDVLVPKVGELIGGSQREERYDVMLSRMKEMGLPIEPYEWYLDLRRYGTVKHCGFGLGFERMVQFATGLDNIKDCVPFPRSAGRADL >ONH97786 pep chromosome:Prunus_persica_NCBIv2:G7:19245732:19247368:1 gene:PRUPE_7G210200 transcript:ONH97786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPPPPLPPKELPINRYKFIWRVLLISNLALGGRISPLPTLIMRGYCHLDKPTSHSSLP >ONH95126 pep chromosome:Prunus_persica_NCBIv2:G7:9177693:9184382:-1 gene:PRUPE_7G053400 transcript:ONH95126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKPSEISSSKMFGGYNKRYKHFSPTLGCSMTFHIYFPPSTSTSPSHRFPVLYWLSGLTCTDENFIIKSGAQRVASSEGVALIAPDTSPRGLSIEGEAESWDFGVGAGFYLNATQEKWKNWRMYDYVVKELPKLLNENFPQLDTSRASISGHSMGGHGALTIYLKNLDKYKSVSAFAPIVNPTNCPWGQKAFSNYLGGNKTDWEEYDATCLIKKFTDVSATILIDQGGDDKFLHDQLLPHKFEEACRSAKVPLLLRLQPGYDHSYFFISTFIDDHIRHHAQALNLP >ONH95127 pep chromosome:Prunus_persica_NCBIv2:G7:9177712:9183404:-1 gene:PRUPE_7G053400 transcript:ONH95127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKPSEISSSKMFGGYNKRYKHFSPTLGCSMTFHIYFPPSTSTSPSHRFPVLYWLSGLTCTDENFIIKSGAQRVASSEGVALIAPDTSPRGLSIEGEAESWDFGVGAGFYLNATQEKWKNWRMYDYVVKELPKLLNENFPQLDTSRASISGHSMGGHGALTIYLKNLDKYKSVSAFAPIVNPTNCPWGQKAFSNYLGGNKTDWEVN >ONH97541 pep chromosome:Prunus_persica_NCBIv2:G7:18522993:18525737:1 gene:PRUPE_7G195600 transcript:ONH97541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRFLFLLLFFSSSPYLSLSLPQDQEALLKFKKSLTRAGALDKWTPDQNSSPCKAQWTGIVCSKGSNVISGLHISNMGLSGKIDFDALKDIPTLRTINFMNNNFSGSIPDFHTLGALKSLLLSGNGFSGEIPKDYFSHMTSLKKIYLDNNNFTGKIPESLGQLNKLEELHLEKNQFTGPIPKLKQGLNSLDLSNNKLQGPIPDSMSKYNPKAFEGNEGLCGVPLKSSCQSPSPSPSPAPSPSPAPTPAPSLSPSPSTPSPSAPPSSPSSSSSDVDSKRTTTIIIIISVILGIMILLLLYVLFVAKRQKRDDDFDVLGKEQLDDHHQRVVEVHVPSSNNRSLGSSNHSLEVHQTSSKKGDSKKGSNHGKNGMNELLMVNDEKGSFGLSDLMKAAAEVLGNGGLGSAYKAVMSNGMSVVVKRMRDMNRLGRDGFDAEMRRFGRLRHKNILTPLAYHYRREEKLLISEYIPKGSLLYLLHGDRGISHSELNWPIRLKIIQGIACGLGFIHTEFASYDLPHGNLKSSNVLLNDDYEPVLNDYALHPLINPNTAAQTMFAFRTPEITESQQTSPKSDVYCLGILILEILTGKFPSQYLTNGKGGTDVVQWVQSAMAEQREEELLDPEIASDADSVDQMVQLLKIGADCTLSDPEQRLDIREAIRRIEEVQV >ONH98504 pep chromosome:Prunus_persica_NCBIv2:G7:21278970:21281101:-1 gene:PRUPE_7G252000 transcript:ONH98504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHFWQMGLYFWVCFSAISFIHSVNSQGFGGGSIEGTVHVNGKDAIGKIDDDFICATLDWWPPEKCDYGTCSWVRASFLNLNLSSIILLNAVKAFSPLKLRLGGTLQDKVLYATPDNKQRCVPFQKSTTEMFGFTPGCLPMNRWDELNSFFQKSGAKIIFGLNALTGRTIHSNGTATGAWDYKNSESFIRYSVKKNYTVHGWELGNELCGHGIGTTIGASQYVSDTASLQKIIHDVYKGIEPKPLILSPGGFFDAKWFKDYTDKTTTSLDVVTHHIYNLGPGVDQHLIEKILDPSYLDGIASTFSNLRDILKSSATSAAAWVGEAGGAYNSGRHLVSNSFVFSFWYLDQLAMSAAYDTKTYCRQTLIGGNYGLLNTTTYEPNPDYYSALLWHRLMGRNVLATSFSGPKKIRAYAHCAKQSVSLFMPQL >ONH98502 pep chromosome:Prunus_persica_NCBIv2:G7:21277775:21281468:-1 gene:PRUPE_7G252000 transcript:ONH98502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHFWQMGLYFWVCFSAISFIHSVNSQGFGGGSIEGTVHVNGKDAIGKIDDDFICATLDWWPPEKCDYGTCSWVRASFLNLNLSSIILLNAVKAFSPLKLRLGGTLQDKVLYATPDNKQRCVPFQKSTTEMFGFTPGCLPMNRWDELNSFFQKSGAKIIFGLNALTGRTIHSNGTATGAWDYKNSESFIRYSVKKNYTVHGWELGNELCGHGIGTTIGASQYVSDTASLQKIIHDVYKGIEPKPLILSPGGFFDAKWFKDYTDKTTTSLDVVTHHIYNLGPGVDQHLIEKILDPSYLDGIASTFSNLRDILKSSATSAAAWVGEAGGAYNSGRHLVSNSFVFSFWYLDQLAMSAAYDTKTYCRQTLIGGNYGLLNTTTYEPNPDYYSALLWHRLMGRNVLATSFSGPKKIRAYAHCAKQSKGITVLLINLQNTTTVEARVAFNTSWTLRHKHKSHKSHRAQLKFHQGLRSETVREEYHLTAKDGNLQSQTMLLNGNALTLNSSGIIPNLDPVYVNSSEPILVGPSSIVFAHIPYVVLPACR >ONH98503 pep chromosome:Prunus_persica_NCBIv2:G7:21278970:21281101:-1 gene:PRUPE_7G252000 transcript:ONH98503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHFWQMGLYFWVCFSAISFIHSVNSQGFGGGSIEGTVHVNGKDAIGKIDDDFICATLDWWPPEKCDYGTCSWNLSSIILLNAVKAFSPLKLRLGGTLQDKVLYATPDNKQRCVPFQKSTTEMFGFTPGCLPMNRWDELNSFFQKSGAKIIFGLNALTGRTIHSNGTATGAWDYKNSESFIRYSVKKNYTVHGWELGNELCGHGIGTTIGASQYVSDTASLQKIIHDVYKGIEPKPLILSPGGFFDAKWFKDYTDKTTTSLDVVTHHIYNLGPGVDQHLIEKILDPSYLDGIASTFSNLRDILKSSATSAAAWVGEAGGAYNSGRHLVSNSFVFSFWYLDQLAMSAAYDTKTYCRQTLIGGNYGLLNTTTYEPNPDYYSALLWHRLMGRNVLATSFSGPKKIRAYAHCAKQSVSLFMPQL >ONH98501 pep chromosome:Prunus_persica_NCBIv2:G7:21277775:21281468:-1 gene:PRUPE_7G252000 transcript:ONH98501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHFWQMGLYFWVCFSAISFIHSVNSQGFGGGSIEGTVHVNGKDAIGKIDDDFICATLDWWPPEKCDYGTCSWNLSSIILLNAVKAFSPLKLRLGGTLQDKVLYATPDNKQRCVPFQKSTTEMFGFTPGCLPMNRWDELNSFFQKSGAKIIFGLNALTGRTIHSNGTATGAWDYKNSESFIRYSVKKNYTVHGWELGNELCGHGIGTTIGASQYVSDTASLQKIIHDVYKGIEPKPLILSPGGFFDAKWFKDYTDKTTTSLDVVTHHIYNLGPGVDQHLIEKILDPSYLDGIASTFSNLRDILKSSATSAAAWVGEAGGAYNSGRHLVSNSFVFSFWYLDQLAMSAAYDTKTYCRQTLIGGNYGLLNTTTYEPNPDYYSALLWHRLMGRNVLATSFSGPKKIRAYAHCAKQSKGITVLLINLQNTTTVEARVAFNTSWTLRHKHKSHKSHRAQLKFHQGLRSETVREEYHLTAKDGNLQSQTMLLNGNALTLNSSGIIPNLDPVYVNSSEPILVGPSSIVFAHIPYVVLPACR >ONH97605 pep chromosome:Prunus_persica_NCBIv2:G7:18724994:18725720:-1 gene:PRUPE_7G200300 transcript:ONH97605 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ABP19A description:hypothetical protein MIFPIFFTFFLLLSSSHASVQDFCVADYKAPDGPAGYSCKKPAKVTINDFVYSGLGIAGNTTNIIKAAVTPAFAAQFPGVNGLGISLARLDLGPGGVIPFHTHPGASEVLLVVQGTIIAGFVASDNTPYLKTLKKGDIMVFPQGLLHFQVNGGGTPALAFPSFSSPSPGLQILDFALFKNDLPTELIAQTTFLDAAQIKKLKGVLGGTN >ONH96768 pep chromosome:Prunus_persica_NCBIv2:G7:16210126:16213639:-1 gene:PRUPE_7G150800 transcript:ONH96768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGSNIASEVGLRLLLCPLGSNIVLRTACCSVGIGIPVYCTCKAIERKDRNAQEKWLLYWAAYGSFSLVEIFSDKLISWCPMYYHLKFAFLVWLQLPSVDGAKQLYTNHLRPFFLKHQTRVDRFLGLTYGELHKLISAHQAEIEYARAVVMKILGSDPRPNSDVPRQNAIEGQARIAPVSESDHED >ONH96769 pep chromosome:Prunus_persica_NCBIv2:G7:16210896:16213639:-1 gene:PRUPE_7G150800 transcript:ONH96769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGSNIASEVGLRLLLCPLGSNIVLRTACCSVGIGIPVYCTCKAIERKDRNAQEKWLLYWAAYGSFSLVEIFSDKLISWCPMYYHLKFAFLVWLQLPSVDGAKQLYTNHLRPFFLKHQTRVDRFLGLTYGELVKYYDVHS >ONH98283 pep chromosome:Prunus_persica_NCBIv2:G7:20702134:20704857:-1 gene:PRUPE_7G240300 transcript:ONH98283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEDLVSWNAILSGYSQEGNHGLEAIFVFIEMVGEGMGLDNVPFTSAVSACGHEMNLKLGLTIKSGYESHVSVCNVLISTYSKCEVTEDAKLVLQCMNDRNVVSWTTMISIDEEDVISLFNEMRLDDALKTFLVATMESKPNNYTFGSVLSATVQSSKFKYSVLSLSLKLGFFSSISAAALIRFFLCFSAIEVTSDEHPRQFLSMPEEAQQMFGIGLHHAGLNDKDRSLVEEQDSGISVLPHTLAWGVNLPGHHLVIIKGTEYYDGKTTRYVDLSISITDILQMMVLMFNPAYYGLDNTEPEFDIKRIRTA >ONH95637 pep chromosome:Prunus_persica_NCBIv2:G7:11712253:11713934:-1 gene:PRUPE_7G081900 transcript:ONH95637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQHSSSSHPSKLDHFSHSHELMFKEEQKEKNYDDEVVFCNACEEPVFGPRYSCNTCVDPNSTFNLHKSCAEELSREIIEHPIHSHKLTFECKTELSAELFTCNACHQPCRLAYTCFQCHIAFDLKCASNWRHILDNEGYHVHQFSVLRKGIPFDCEVCGKSQDSLPYLSTICHLLVGKECTQSLPHTIKITLHEHPLRLIWFLEEGYPNDQICKICYTSTNKSRAVYQCKDCDYVATLYASGSKATRLTTELQFGRDF >ONH94981 pep chromosome:Prunus_persica_NCBIv2:G7:8011398:8014424:-1 gene:PRUPE_7G043800 transcript:ONH94981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMFDKFFDSIANLFGGGDSIPWCDRDVIHGCEKEVAEANKGDSDELKSESIMRLSWALVHSKQPEDVQRGIAMLEASLANTSSPLHQREKLYLLAVGYYRTAEYSNSRHLVEQCLEIAPDWRQALTLKKTVEDRIAKDGLIGIGITASAVGLIAAGIVAALVRR >ONH94982 pep chromosome:Prunus_persica_NCBIv2:G7:8012247:8014430:-1 gene:PRUPE_7G043800 transcript:ONH94982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMFDKFFDSIANLFGGGDSIPWCDRDVIHGCEKEVAEANKGDSDELKSESIMRLSWALVHSKQPEDVQRGIAMLEASLANTSSPLHQREKLYLLAVGYYRTAEYSNSRHLVEQCLEDLSFKCSTTLDSAI >ONH96774 pep chromosome:Prunus_persica_NCBIv2:G7:16227552:16230259:1 gene:PRUPE_7G151200 transcript:ONH96774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDRLCNLSVVSSPAAVAVADQDSGMGNLSLCDDLLCNILFRLSQKDLVKCKMVAKSWHQIISHVWLRRFWSQSPVLGLFFRTLHAPDDICVKLSHAYLDLNYIYLHKPSELVSRESMLRLYENQTRDTSDRNLDCCNGLILLFNPQTYQFCVSNPITRQHVSIPKAFSHEHDHFCAALVFDPIESNHYRVVRIDYSQQQSCCYSTLTSNSTLTQTLVHIDIFSSQSGEWIRHGLQLDPMFIEGFKSSKFCSHFVYLRGAIYSLAYSGKLLCIDLNTIKARAFELPHVSEDDDNHDVTMACLGVSMELVCYIKRDNSNVFRFWSYDDRCESGDKWTLRYTLFGKGLEWRFPCDGTPVLKPHAISPSSHEFFFGTSEGILSYNFESRMLKFVHESMFKIAPPGSHFPSFTLRACLISLGERNAGSTQLPVDSSKGAKILSAEAPCQCDELNNSPTQMGFVGKDFIVRNQRGFTLPSEMKLCAAMELQEASPSPIFQFARIKSKIVSAEPVKLAPSRG >ONH96775 pep chromosome:Prunus_persica_NCBIv2:G7:16227552:16229445:1 gene:PRUPE_7G151200 transcript:ONH96775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDRLCNLSVVSSPAAVAVADQDSGMGNLSLCDDLLCNILFRLSQKDLVKCKMVAKSWHQIISHVWLRRFWSQSPVLGLFFRTLHAPDDICVKLSHAYLDLNYIYLHKPSELVSRESMLRLYENQTRDTSDRNLDCCNGLILLFNPQTYQFCVSNPITRQHVSIPKAFSHEHDHFCAALVFDPIESNHYRVVRIDYSQQQSCCYSTLTSNSTLTQTLVHIDIFSSQSGEWIRHGLQLDPMFIEGFKSSKFCSHFVYLRGAIYSLAYSGKLLCIDLNTIKARAFELPHVSEDDDNHDVTMACLGVSMELVCYIKRDNSNVFRFWSYDDRCESGDKWTLRYTLFGKGLEWRFPCDGTPVLKPHAISPSSHEFFFGTSEGILSYNFESRMLKFVHESMFKIAPPGSHFPSFTLRACLISLGERNAGSTQLC >ONH94913 pep chromosome:Prunus_persica_NCBIv2:G7:7249751:7250288:-1 gene:PRUPE_7G039400 transcript:ONH94913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTILTVFLVVLPLVLPPLPPPPLVLLFVPVLIMAVLVLVAFSPLPRVPVSKFKVINIFKRGDDHMYFCKFFPYHFSFVRRKEAKR >ONH97593 pep chromosome:Prunus_persica_NCBIv2:G7:18684603:18688983:1 gene:PRUPE_7G199400 transcript:ONH97593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTISIHKPMLLRYSHVRIRSHQKFHKIDPIPFVKMEAKSSQTRKMEELSVKHPTPFIPKLEPSGEPDLQFDRLQTSDQDLVQEKKFEFGRFIAREAILDEELWTAAWLRAESHWEDRANDRFADSYKRKFSDQEFNAIKRRRKGEYGQKCSCIITVRKEPKNVKYTVVKSVVGTLDLSIRYLLDGETFPGEREKAPPFCSISRTQSNRYGYISNLCVSKAARCQGIASSMMHFAIRLALLEGAEQVYVHVHRKNKPAQELYRKMGFEMVEKATSQLEEEQTYLLRFKA >ONH97594 pep chromosome:Prunus_persica_NCBIv2:G7:18685710:18688983:1 gene:PRUPE_7G199400 transcript:ONH97594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTISIHKPMLLRYSHVRIRSHQKFHKIDPIPFVKMEAKSSQTRKMEELSVKHPTPFIPKLEPSGEPDLQFDRLQTSDQDLVQEKKFEFGRFIAREAILDEELWTAAWLRAESHWEDRANDRFADSYKRKFSDQEFNAIKRRRKGEYGQKCSCIITVRKEPKNVKYTVVKSVVGTLDLSIRYLLDGETFPGEREKAPPFCSISRTQSNRYGYISNLCVSKAARCQGIASSMMHFAIRLALLEGAEQVYVHVHRKNKPAQELYRKMGFEMVEKATSQLEEEQTYLLRFKA >ONH97595 pep chromosome:Prunus_persica_NCBIv2:G7:18684591:18688983:1 gene:PRUPE_7G199400 transcript:ONH97595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTISIHKPMLLRYSHVRIRSHQKFHKIDPIPFVKMEAKSSQTRKMEELSVKHPTPFIPKLEPSGEPDLQFDRLQTSDQDLVQEKKFEFGRFIAREAILDEELWTAAWLRAESHWEDRANDRFADSYKRKFSDQEFNAIKRRRKGEYGQKCSCIITVRKEPKNVKYTVVKSVVGTLDLSIRYLLDGETFPGEREKAPPFCSISRTQSNRYGYISNLCVSKAARCQGIASSMMHFAIRLALLEGAEQVYVHVHRKNKPAQELYRKMGFEMVEKATSQLEEEQTYLLRFKA >ONH95188 pep chromosome:Prunus_persica_NCBIv2:G7:9481108:9482412:1 gene:PRUPE_7G056000 transcript:ONH95188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQDLSLHRSNSTTSIARSSSRKIIPSNHFRSSSSTLPLDHHRHQNEDVSNNFLIPKQHSPVSIHHVSKTQTKLTSLLRSFLSFLYFPTIIPTCKWLAIPSGLSITPSLGRKVTGTLFGNRRGHVSFAVQLDPRSEPVLLLELAMSTSSLVKEMSSGLVRIALESERHHGSSRTGVQGRKLFQEPSWTMYCNGRKCGYAASRTCGESDWHVLTTVRSVSVGAGVIPVVDDGKKGSASEGELLYMRARFERVVGSRDSEAFYMLNPEGNGGPELSIFLLRI >ONH98362 pep chromosome:Prunus_persica_NCBIv2:G7:20961299:20962330:1 gene:PRUPE_7G246400 transcript:ONH98362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQMGLHIGCLLLVVAVALLKGAAADNYTVGDDLGWTIPPAGSIAYKTWANKKNFQIGDTIVFNWTGSHTVAEVSEANYGNCTKSNPLALYDSSPVPIPLTSNLTRYFICTVDNHCSGLGQKVTIKIGGDDDRWWEGNSASSPTLNIAALLFCSAIAAFFLSY >ONH95320 pep chromosome:Prunus_persica_NCBIv2:G7:10247954:10250845:-1 gene:PRUPE_7G063800 transcript:ONH95320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVAFELASKLIEKLSSIAYDEICLVWGVKADLQKLGRTMSAIKAVLLDAEEKQAHNKELRSWLRQLKDVFLDAEDLLDEFECQALRKQTYHGTTRKVRRFFSPSNPIAFRLKAGHEIKEIRERLDELKANKAIFDLLSIDHHGRNHDDHRSSVKNMMMRENMTHSFVHPSELIGDNQSGNNKNVSVIPIVGIGGLGKTTLAKLLYNDERVVRNFELRMWVYVSVDFDIIRLTKEILCSALDTEISDKLSIDQLHGKLRKALKDKKFLLVLDDVWIDDRVKWSEFRDVLIDGAKLGSKILVTTRNISVASVMGTVRTYINLKEDDEKKHPNLFEGKDIGRKCGEVPLALGLDLLLDGTWNPIQPRDHENLELEDVGNLYFKELWERSFFQHVELVDYHYNLSYRFPMHDLIHDLVQSLAEGELSIVNSSTENISENVSHLPVLELCQNGSTMLRKLNKVRTLTARGIIIDESFLHTCLSRFQYLRMLGLFDLSLKGLPSSIGTLKHLRYLDLAGNDEIKKLPNTICKLQSLQSLGLLGCENLENLPRDTSNLTCLRLLFLTTKQTSFSENGVRCLKSLRFLCIWNCGNLMSLPSDMSYLAALRTLVIYKCKRLELVNGNYQVIALRLKKLVIDGVPKMLALPKWLQGAAKTLQDCYIIHCDNLEALSGWLTKEVHPLELTIENCPQLERC >ONH95342 pep chromosome:Prunus_persica_NCBIv2:G7:10392103:10399974:-1 gene:PRUPE_7G065500 transcript:ONH95342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLSDCEKLIKTPDFDKVPNLEHLILKGCISLSEVPDSINLRCLTNFILSGCSKLEKLPNIGEDMQQLRELHLDGTAIEELPTSIKHLNGLTLLNLRDCKNLLSLPDIICTSLTALQILNLLGCSNLKELPENLGSLESLQELDASGTAIREVPTSIKHLTGLILFNLKDCTNLLVLPDVLCTSLTSLQILNLSGCSNLNELPENLGSLDFLQELDASGTAISQIPESISELSQLGELFLDDCSKLQSLPRLPLSIRALSAHNCPLLQSNKITVWPSAAVGLGYINCHIDKDKAHAIPDQHLMLPFHQIFFELPLPANRLATDLVSAVADPMTAQTSSALPPPSTPPPKYEVFLSFRGLDTRKGFTDYLYKTLIQNGIHSFRDDEQLDSGEPISTALLKAIEESQISVVILSKNYATSTWCLDELATMVESAANTKSRLILPVFYDVTPSEVREQTGEHFKEAFAQHDKDFEGEPGKVTRWKESLTEIANLSGFDVRGFRYETNVTEEIVKRILGKLNTCHTFSDDLKDFVGINRVHEIKSNMGPCLEEVRVIGICGMPGIGKSTIAKALSQSIRNQFEAFSFISKIGEISTKESLSHIKEQLCDHLLNNKETTKNVDDVICKRFRGKRVLIILDNVDALEQIKAVAGSDDEELSNRFGQGSRIIITTTNDRLLIDYNPEIYRIEKLTQDEALLLFCRKAFKKDHPTDGFEDLSDEFVDYIDGLPLALEVLGSSLWKRSVEEWSSTLASLKDNNYSGEEKIIDILKVSFDGLKNPAQQEIFLDTACFFRGEDACRIKKIFESCGYHPGRNINILCEKYLLSIVGGKLWMHDLLQQMGREIVRRESKKQGERSRLWHHTDALPVLKKNKGTDAVKGIFLTLPKQQKVHLKEDPFSNLDSLRLLKIHNVKFAECLEYLSDELRFLEWHKYPLKYLPSSFEPHKLVELNLCESEIEQLWEEIERPLEKLVILNLSDCEKFIKAPDFNKVPNLEQLILKGCTSLSEVPVSINLRSLTDFILSGCSKLSKLPEIGEDMKQLRELHLDGTAIEELPTSIKHLNGLTLLNLRDCKNLLSLPDIICTSLTALQILNLLGCSNLNELPENLGSLECLQELNASGTAIRELPTSIRHLTGLTLFNLKDCTNLLLLPDVLCTSLTSLQILNLSGCSNLNELPENLGSLDFLQELDASGTAISQVPESISEISQLGELFLDDCSKLQSLPRLPFSIRAVSAHNCPLLQSNKITVWPSAAAGFSYINCHSDKDKAHAIWLPDQHLMLPFYQIFFERTIRHGDGLELGYRSNNIPEWLSRHSIESTITILLPPDLDGKRKWMGLALCFVCVAAQKHDNLEDEPHVDEEFGVTFNRNHRIELCTTEDPHECPLVINYRDCDLAGPFIHWCYIPRRCFAESSNTRFISASIKPDSPGVKVTMCGTSLIYLADVPEFVLKLNKHQEYCYHGNQIKQETTTPIRIVGQLRRNVVSLLGKLFEGLQRGLPYRYDYGFIFPLRERLEWFSEQSSASAPGCEVNLPLPPNLNNDDNWAGLSLYFVCTLPPGVSRTRTFYACHLYAPIEGVGYRLMHRLMLCSPWDDNAGSHRLLIIYIPRVRFAERLNRCRFIHAFVRTPGVEMCGMRLVYNQDLKGLIQTISHCTTGQSAYYGTGDFTDTKKYKGISLGATTLQTNLLQAANSSKHSSVSDVCPPFQPIDFGPKTDSAVGSQHESKTVPVSQDETEQGEDGVGSIFSASGVRTEQEVQEQEYTTSTRIVDQLRRNLVSLLEKLFKGLQGGLPHIYDYGFIFCVRERLQWFSEQSAAPGCTVNLPLPPNLHNDENWAGLSLYVVYTLPSDVELSRIVYECHLCTPIEAVGPEQMINSLELRSHWDDNVGSHRLLIIQLL >ONH94362 pep chromosome:Prunus_persica_NCBIv2:G7:1712180:1715191:-1 gene:PRUPE_7G012600 transcript:ONH94362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAEAPSPPPFLEVKCQSSGMKRRFAKGTEAGFAVSLINKKLGLGDPLAVHIEAFKEGEEPISFGPSSALVDYGNGWRLQTVSQVDSSGIGGGQGVGRPMAMPLPRVMRSDKLRVPKRVSKPEINFMYIGKIILAFILIFVFGAIFTLALENLPRLISFFSLSM >ONH94364 pep chromosome:Prunus_persica_NCBIv2:G7:1712180:1715191:-1 gene:PRUPE_7G012600 transcript:ONH94364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAEAPSPPPFLEVKCQSSGMKRRFAKGTEAGFAVSLINKKLGLGDPLAVHIEAFKEGEEPISFGPSSALVDYGNGWRLQTVSQVDSSV >ONH94363 pep chromosome:Prunus_persica_NCBIv2:G7:1712846:1715040:-1 gene:PRUPE_7G012600 transcript:ONH94363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAEAPSPPPFLEVKCQSSGMKRRFAKGTEAGFAVSLINKKLGLGDPLAVHIEAFKEGEEPISFGPSSALVDYGNGWRLQTVSQVDSSGIGGGQGVGRPMAMPLPRVMVLDIIYWKI >ONH97619 pep chromosome:Prunus_persica_NCBIv2:G7:18770870:18772795:-1 gene:PRUPE_7G201400 transcript:ONH97619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYVVFLCSLALVLLLYGAARVSYSIWWKPKWLERQLKRQGIRGTPYRPLIGDMKEFVKLIKEAWSKPMSQTHQVTPRVDPFTLTNMQKYGKISMYWVGTTPRLIIMDTEMMKEILSNKQGHFNKPPLNPLILILTKGLASLEGEKWAKHRRIINPAFHLERLQEMVPVFAVSCGEMIEQWKRMVPLQGAWEMDIWPEIQKLSADVISRAAFGSTYEEGKRVFELQKELLVLTFEAMTTLYIPGFRFVPTKKNQRRKKLAKDITSMLRNIIQKKMNAIRAGESRVDDLLGMLLQSNNQTDFSETARNTRSNEMLTIEEVIEECKQFYLAGQETTSSWLTWTMIVLAMHPDWQEKARQEVLQVCGKKEPNFEALGHLKIVTMILNEVLRLYPPAIAQYQHAYKETKIGDIIAPAGVDITLPTLLIHHDPELWGADAGEFKPERFSAGVLKASKDQQAFFPFGWGPRTCIGQNFAMIEAKLALAMVLQHFSFELSPSYTHAPYTVTILQPQHGAQIMLHQL >ONH97620 pep chromosome:Prunus_persica_NCBIv2:G7:18770711:18772825:-1 gene:PRUPE_7G201400 transcript:ONH97620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYVVFLCSLALVLLLYGAARVSYSIWWKPKWLERQLKRQGIRGTPYRPLIGDMKEFVKLIKEAWSKPMSQTHQVTPRVDPFTLTNMQKYGKISMYWVGTTPRLIIMDTEMMKEILSNKQGHFNKPPLNPLILILTKGLASLEGEKWAKHRRIINPAFHLERLQEMVPVFAVSCGEMIEQWKRMVPLQGAWEMDIWPEIQKLSADVISRAAFGSTYEEGKRVFELQKELLVLTFEAMTTLYIPGFRFVPTKKNQRRKKLAKDITSMLRNIIQKKMNAIRAGESRVDDLLGMLLQSNNQTDFSETARNTRSNEMLTIEEVIEECKQFYLAGQETTSSWLTWTMIVLAMHPDWQEKARQEVLQVCGKKEPNFEALGHLKIVVSTGDCSVSTCLQGNQNRGHHCSSRS >ONH94302 pep chromosome:Prunus_persica_NCBIv2:G7:1283978:1287827:-1 gene:PRUPE_7G009500 transcript:ONH94302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGQKSGRSIFYIIFEGPTGLQSKLISSDILQLCMTPMLRIPNLRGCTCLSLSNIPISQTYCPDVKRELLMLSLPAILGQAIDPLAQLMETAYIGRLGSVELAAAGISMNIFNYISKLFNIPLFSVATSFVAEDLAKSESIASTSGDITNGKPERTDGVTERKQVSSVSTALLLSVGIGIFEAVALSLGSGLFLNMMAISMDSPMRIPAERFLSLRPFSYNHTCIGNLLAAFLLPILMYYFGLGVTGAALSTVISQYTVTFLMIWFLNKRAILLPPKVGSLQFGGFLLGTILAVLTTLTLGTSMAARQGLVAMAAHQICIQLWLAVSLLTNAMAASGQALIATYLCKGEYKIVKEVANSVLKV >ONH97971 pep chromosome:Prunus_persica_NCBIv2:G7:19788157:19794944:-1 gene:PRUPE_7G221400 transcript:ONH97971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLKAAEDLFEVVDRRAKLVVSELDDQLATQSPASNGQGSQAKRKKSKTKAQKRQSMNESPNTSDSAREQTSILTSQVDATPEIDSDAHLNDNDGTPSVNPSSQPINEKQQNLEKDSTVSIPLTETTAIEVGQSNAHEAEASTTSTDKEAVTSTSNGELVNEIPSDGHEEHPFPLSAKEVEVVDENHQVESVDAGQENKSRDADVHPETDQNRTESTTTTAISNRETQSKVADVNEEPVIEQSKQVEHKAGSTPVKVQEQDQSKQVEHKAGSTPAKVQELDQSKQLEHKAGSTPVKVQEQDQIEEAQGLLKTAVSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVSERELNKSYEARIKQLQKDLSASKSDVTRIESNMVEALAAKNSEIEALVSSMDALKKQAALSEGNLASLQANVESIMRNRELSETRMMQALREELSTVERRAEEERAAHNATKMAAMEREVELEHRALEASTALARIQRTADERTAKASELEQKMALLEVECANLNQELQDMEARARRGQKKSPEEANQVIQMQAWQEEVERARQGQRDAEGKLSSLEAEMQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLVEAERSRVPRRASASWEEDAEMKALEPLPLHHRHMVGASVQLQKAAKLLDSGAVRATRFLWRYPTARLILLFYLVFVHLFLMYLLHRLQAQADNFSAREVAESMGLANTNLP >ONH97972 pep chromosome:Prunus_persica_NCBIv2:G7:19788622:19794715:-1 gene:PRUPE_7G221400 transcript:ONH97972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLKAAEDLFEVVDRRAKLVVSELDDQLATQSPASNGQGSQAKRKKSKTKAQKRQSMNESPNTSDSAREQTSILTSQVDATPEIDSDAHLNDNDGTPSVNPSSQPINEKQQNLEKDSTVSIPLTETTAIEVGQSNAHEAEASTTSTDKEAVTSTSNGELVNEIPSDGHEEHPFPLSAKEVEVVDENHQVESVDAGQENKSRDADVHPETDQNRTESTTTTAISNRETQSKVADVNEEPVIEQSKQVEHKAGSTPVKVQEQDQSKQVEHKAGSTPAKVQELDQSKQLEHKAGSTPVKVQEQDQIEEAQGLLKTAVSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVSERELNKSYEARIKQLQKDLSASKSDVTRIESNMVEALAAKNSEIEALVSSMDALKKQAALSEGNLASLQANVESIMRNRELSETRMMQALREELSTVERRAEEERAAHNATKMAAMEREVELEHRALEASTALARIQRTADERTAKASELEQKMALLEVECANLNQELQDMEARARRGQKKSPEEANQVIQMQAWQEEVERARQGQRDAEGKLSSLEAEMQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMASEKAAAEFHLEKELKRLQEAQVEAERSRVPRRASASWEEDAEMKALEPLPLHHRHMVGASVQLQKAAKLLDSGAVRATRFLWRYPTARLILLFYLVFVHLFLMYLLHRLQAQADNFSAREVAESMGLANTNLP >ONH97969 pep chromosome:Prunus_persica_NCBIv2:G7:19788157:19794944:-1 gene:PRUPE_7G221400 transcript:ONH97969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLKAAEDLFEVVDRRAKLVVSELDDQLATQSPASNGQGSQAKRKKSKTKAQKRQSMNESPNTSDSAREQTSILTSQVDATPEIDSDAHLNDNDGTPSVNPSSQPINEKQQNLEKDSTVSIPLTETTAIEVGQSNAHEAEASTTSTDKEAVTSTSNGELVNEIPSDGHEEHPFPLSAKEVEVVDENHQVESVDAGQENKSRDADVHPETDQNRTESTTTTAISNRETQSKVADVNEEPVIEQSKQVEHKAGSTPVKVQEQDQSKQVEHKAGSTPAKVQELDQSKQLEHKAGSTPVKVQEQDQIEEAQGLLKTAVSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVSERELNKSYEARIKQLQKDLSASKSDVTRIESNMVEALAAKNSEIEALVSSMDALKKQAALSEGNLASLQANVESIMRNRELSETRMMQALREELSTVERRAEEERAAHNATKMAAMEREVELEHRALEASTALARIQRTADERTAKASELEQKMALLEVECANLNQELQDMEARARRGQKKSPEEANQVIQAWQEEVERARQGQRDAEGKLSSLEAEMQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLVEAERSRVPRRASASWEEDAEMKALEPLPLHHRHMVGASVQLQKAAKLLDSGAVRATRFLWRYPTARLILLFYLVFVHLFLMYLLHRLQAQADNFSAREVAESMGLANTNLP >ONH97970 pep chromosome:Prunus_persica_NCBIv2:G7:19788622:19794715:-1 gene:PRUPE_7G221400 transcript:ONH97970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLKAAEDLFEVVDRRAKLVVSELDDQLATQSPASNGQGSQAKRKKSKTKAQKRQSMNESPNTSDSAREQTSILTSQVDATPEIDSDAHLNDNDGTPSVNPSSQPINEKQQNLEKDSTVSIPLTETTAIEVGQSNAHEAEASTTSTDKEAVTSTSNGELVNEIPSDGHEEHPFPLSAKEVEVVDENHQVESVDAGQENKSRDADVHPETDQNRTESTTTTAISNRETQSKVADVNEEPVIEQSKQVEHKAGSTPVKVQEQDQSKQVEHKAGSTPAKVQELDQSKQLEHKAGSTPVKVQEQDQIEEAQGLLKTAVSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVSERELNKSYEARIKQLQKDLSASKSDVTRIESNMVEALAAKNSEIEALVSSMDALKKQAALSEGNLASLQANVESIMRNRELSETRMMQALREELSTVERRAEEERAAHNATKMAAMEREVELEHRALEASTALARIQRTADERTAKASELEQKMALLEVECANLNQELQDMEARARRGQKKSPEEANQVIQAWQEEVERARQGQRDAEGKLSSLEAEMQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMASEKAAAEFHLEKELKRLQEAQVEAERSRVPRRASASWEEDAEMKALEPLPLHHRHMVGASVQLQKAAKLLDSGAVRATRFLWRYPTARLILLFYLVFVHLFLMYLLHRLQAQADNFSAREVAESMGLANTNLP >ONH98445 pep chromosome:Prunus_persica_NCBIv2:G7:21121692:21125703:-1 gene:PRUPE_7G249200 transcript:ONH98445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGTQKSLRKALGALKDTTTVSLAKVNSDYKELDVAIVKATNHVERPAKEKYIRAIFSAVSATRPRADVAYCIHALARRLSKTHNWAVALKTLVVIHRALREVDPTFHEELMNYGRSRSHMLNLAHFKDDSSPNAWDYSNWIRTYALFLEERLECFRVLKYDVEMDRPRTKDLDTVELLEHLPALQQLLFRVLGCQPQGAAVHNFVVQLALQMVASESIKIYQAISDGTVNLVDKFFEMQRHDAVKALDIYRRAGQQAERLSEFYEVCKSLDIGRGDKFIKIEQPPASFLQAMEEYVREAPRVSTVRKDQVVDNKINAPKEVLAIEYKNTQEAEARPPSPPPPEPVKVEAPIAEPPDLLGLNDPVPDTKDLDEKNAMALAIVPVSDQPPSTAPIHANGTTGWELALVTAPSSNESATAASKLAGGLDLLTLDSLYDDAIRRNHQNVSYNPWEPVPVAGAMMQQPVHDPFYASNTMAAPPSVQMAAMANHQQAFMLQQQQQMMMMGPQQQQSLNPFGNPHAATTHPYGPGVPVQAYNPYTGLL >ONH98446 pep chromosome:Prunus_persica_NCBIv2:G7:21121220:21126562:-1 gene:PRUPE_7G249200 transcript:ONH98446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGTQKSLRKALGALKDTTTVSLAKVNSDYKELDVAIVKATNHVERPAKEKYIRAIFSAVSATRPRADVAYCIHALARRLSKTHNWAVALKTLVVIHRALREVDPTFHEELMNYGRSRSHMLNLAHFKDDSSPNAWDYSNWIRTYALFLEERLECFRVLKYDVEMDRPRTKDLDTVELLEHLPALQQLLFRVLGCQPQGAAVHNFVVQLALQMVASESIKIYQAISDGTVNLVDKFFEMQRHDAVKALDIYRRAGQQAERLSEFYEVCKSLDIGRGDKFIKIEQPPASFLQAMEEYVREAPRVSTVRKDQVVDNKINAPKEVLAIEYKNTQEAEARPPSPPPPEPVKVEAPIAEPPDLLGLNDPVPDTKDLDEKNAMALAIVPVSDQPPSTAPIHANGTTGWELALVTAPSSNESATAASKLIAYTMMQSEETIRM >ONH97184 pep chromosome:Prunus_persica_NCBIv2:G7:17426188:17429207:1 gene:PRUPE_7G174500 transcript:ONH97184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVVSKKGEEEDVVLLCKERKRQLKLAVERRYAFADAQCKYNHSLYAVAAAIRLFVARHSSPSSPFLITFPSTNSSETTETIISNNPMFLQQRPSEPNYQTIGCPFSDSANSISPPKVDKEKQLQENPNGREEDEEGGNDDSETDEEEVACEHFYSGMAPTMASPQRDFGWDFFNPFFDGVRTEMGNGLSQNSDEDLRLVREKEGIPELEDDGERVISDEKVGDLSNCGVGQEENGGTEAVTEREDANVSQGEQNGLRVIDTPTNGRELLEALKDVEDHFLRAYDSGLDVSRMLETNMVQMQSALEEIKENSSKLMRSITRSRSTSSTLSWSSSCKSLLTSSSQSSSTWTQFNNDLFDDNGVMGSGSHSLTLGRLYAWEKKLFEEVKRKCSQLRNQDTRGDGLNTENKIVVEVQDLYTRILVAIRSAESISKRIQKLRDEELQPQLVDLLHGLMRNWKMLSESHETQNRIMSEVKSLNLPSYGMFCNDSHQLATLKLEAELQNWRACFASYVSSQKAYIEALAGWLFKFVTPETEFHLKGMSSLQTCRVKGPTLLGICHDWLACLDKLPDEAVTYAMKSFGKDVRALMVQQGEEHRQKRKVDGLARELDRKVLAFERTERRVLGLKFSEQETELHVRNRIEYLSEMKDQLDMFRKRLEAEKVKHHSSMHETQHIAVNGFQTGFSSVFEALAEFSKSAMKMYDGLIAFSENAMGFDEKNTNPS >ONH94207 pep chromosome:Prunus_persica_NCBIv2:G7:491903:496611:1 gene:PRUPE_7G004400 transcript:ONH94207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLNNSTNIFWQECPVGKVERQKLLNQKGCVVWITGLSGSGKSTLACSLSRELHSKGKLSYILDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFSDAGLICIASLISPYRKDRDACRAMLPDASFIEVFMNMPLELCESRDAKGLYKLARAGKIKGFTGIDDPYERPLNCEIEIEQKDGVCPKPAALAGQVVSYLEEKGFLQVE >ONH94211 pep chromosome:Prunus_persica_NCBIv2:G7:494280:496611:1 gene:PRUPE_7G004400 transcript:ONH94211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLNAFFNSFLESGKSTLACSLSRELHSKGKLSYILDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFSDAGLICIASLISPYRKDRDACRAMLPDASFIEVFMNMPLELCESRDAKGLYKLARAGKIKGFTGIDDPYERPLNCEIEIEQKDGVCPKPAALAGQVVSYLEEKGFLQVE >ONH94209 pep chromosome:Prunus_persica_NCBIv2:G7:492502:496218:1 gene:PRUPE_7G004400 transcript:ONH94209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLNNSTNIFWQECPVGKVERQKLLNQKGCVVWITGLSGSGEVAKLFSDAGLICIASLISPYRKDRDACRAMLPDASFIEVFMNMPLELCESRDAKGLYKLARAGKIKGFTGIDDPYERPLNCEIEIEQKDGVCPKPAALAGQVVSYLEEKGFLQVE >ONH94208 pep chromosome:Prunus_persica_NCBIv2:G7:490727:496614:1 gene:PRUPE_7G004400 transcript:ONH94208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLNNSTNIFWQECPVGKVERQKLLNQKGCVVWITGLSGSGKSTLACSLSRELHSKGKLSYILDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFSDAGLICIASLISPYRKDRDACRAMLPDASFIEVFMNMPLELCESRDAKGLYKLARAGKIKGFTGIDDPYERPLNCEIEIEQKDGVCPKPAALAGQVVSYLEEKGFLQVE >ONH94210 pep chromosome:Prunus_persica_NCBIv2:G7:493564:496611:1 gene:PRUPE_7G004400 transcript:ONH94210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKELHASIGCEPPRKSTLACSLSRELHSKGKLSYILDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFSDAGLICIASLISPYRKDRDACRAMLPDASFIEVFMNMPLELCESRDAKGLYKLARAGKIKGFTGIDDPYERPLNCEIEIEQKDGVCPKPAALAGQVVSYLEEKGFLQVE >ONH98052 pep chromosome:Prunus_persica_NCBIv2:G7:20008454:20012777:-1 gene:PRUPE_7G225700 transcript:ONH98052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAIFQARTWFARTFSTVASHPLRVCVVGSGPAGFYTAEKMLKAHQEAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFTRVAQHECCTFFGNVTLGSSVTLPELRELYDVVVLAYGAESDRVLGIPGEDLSGVYAAREFVWWYNGHPNCRYLNPDLKSSDTAVILGQGNVALDVARILLRPTTELATTDIASHALAALEDSAIRKVYLVGRRGPAQAACTAKELREINGIKDLHVHIKEADLLTTPEDEEEMRNNRIRKRVYELLSKAATTRASHPCSDPRELHFVFFRKPDKFLESNERSGHVSGVRLEKTKLIGDRPGERMATGTGQFEDLGCGIVLKSIGYKSVPVDGLPFDHRKGVVPNVRGRVLSDTSGDPMLLEKGLYVCGWLKRGPTGIIATNLYCAEETVASISEDLKQGILASSSSAGREGLLQLLDSRNVRVIPFGEWEKIDSEERRLGSLRNKPREKLATWEELQKVARE >ONH98053 pep chromosome:Prunus_persica_NCBIv2:G7:20008454:20012416:-1 gene:PRUPE_7G225700 transcript:ONH98053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAHQEAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFTRVAQHECCTFFGNVTLGSSVTLPELRELYDVVVLAYGAESDRVLGIPGEDLSGVYAAREFVWWYNGHPNCRYLNPDLKSSDTAVILGQGNVALDVARILLRPTTELATTDIASHALAALEDSAIRKVYLVGRRGPAQAACTAKELREINGIKDLHVHIKEADLLTTPEDEEEMRNNRIRKRVYELLSKAATTRASHPCSDPRELHFVFFRKPDKFLESNERSGHVSGVRLEKTKLIGDRPGERMATGTGQFEDLGCGIVLKSIGYKSVPVDGLPFDHRKGVVPNVRGRVLSDTSGDPMLLEKGLYVCGWLKRGPTGIIATNLYCAEETVASISEDLKQGILASSSSAGREGLLQLLDSRNVRVIPFGEWEKIDSEERRLGSLRNKPREKLATWEELQKVARE >ONH94218 pep chromosome:Prunus_persica_NCBIv2:G7:514929:516999:-1 gene:PRUPE_7G004700 transcript:ONH94218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEERNQRAPKASEPEFFLQWGNRKRLRCVRVKGSEISERLNGGIFRKITSSRIHRFADSASEKENSHLQSNRLTMNSEGTMLRSGAGENRKSSSPEKEDRYYTTRGSVGVDENGNGKVIMNGNNGEDRGLVWPKLYITLSSKEKEEDFLAMKGCKLPQRPKKRAKLIQRSLLLVSPGAWLTDMCQERYEVREKKSSKKRPRGLKAMGSLGSDSE >ONH94217 pep chromosome:Prunus_persica_NCBIv2:G7:515159:516354:-1 gene:PRUPE_7G004700 transcript:ONH94217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEERNQRAPKASEPEFFLQWGNRKRLRCVRVKGSEISERLNGGIFRKITSSRIHRFADSASEKENSHLQSNRLTMNSEGTMLRSGAGENRKSSSPEKEDRYYTTRGSVGVDENGNGKVIMNGNNGEDRGLVWPKLYITLSSKEKEEDFLAMKGCKLPQRPKKRAKLIQRSLLLVSPGAWLTDMCQERYEVREKKSSKKRPRGLKAMGSLGSDSE >ONH94216 pep chromosome:Prunus_persica_NCBIv2:G7:514866:516999:-1 gene:PRUPE_7G004700 transcript:ONH94216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSHYMETEERNQRAPKASEPEFFLQWGNRKRLRCVRVKGSEISERLNGGIFRKITSSRIHRFADSASEKENSHLQSNRLTMNSEGTMLRSGAGENRKSSSPEKEDRYYTTRGSVGVDENGNGKVIMNGNNGEDRGLVWPKLYITLSSKEKEEDFLAMKGCKLPQRPKKRAKLIQRSLLLVSPGAWLTDMCQERYEVREKKSSKKRPRGLKAMGSLGSDSE >ONH95865 pep chromosome:Prunus_persica_NCBIv2:G7:12566432:12572248:1 gene:PRUPE_7G093100 transcript:ONH95865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQTVYFKEHEGITNPMGQLSSALSGPWWSAFGSQPSYGESCGQSKPFTMEHPSSGDQLTATKLTGRGTEQGLDKGNTNQFTIFPGDGQKSQAAISLQSSPQKYRAHFELGFSQPMICAKYPYMDQCYGLFSTYGPQISGRIMLPLNLTADEGPIYVNAKQYHGIIRRRQSRAKAVMENRAAALRKPYMHESRHLHAMRRPRGCGGRFLNTKTINNGKNRTEGTQVGDGQLFRHSGSHSSELLQSESGTLNSSKETNGSSSNISGSEVTSVYSRRDLDRFSINHLRPSVHSLSDVMDSVRGMVIPTKWVAAGDNCCNLKV >ONH95866 pep chromosome:Prunus_persica_NCBIv2:G7:12566137:12572248:1 gene:PRUPE_7G093100 transcript:ONH95866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQTVYFKEHEGITNPMGQLSSALSGPWWSAFGSQPSYGESCGQSKPFTMEHPSSGDQLTATKLTGRGTEQGLDKGNTNQFTIFPGDGQKSQAAISLQSSPQKYRAHFELGFSQPMICAKYPYMDQCYGLFSTYGPQISGRIMLPLNLTADEGPIYVNAKQYHGIIRRRQSRAKAVMENRAAALRKPYMHESRHLHAMRRPRGCGGRFLNTKTINNGKNRTEGTQVGDGQLFRHSGSHSSELLQSESGTLNSSKETNGSSSNISGSEVTSVYSRRDLDRFSINHLRPSVHSLSDVMDSVRGMVIPTKWVAAGDNCCNLKV >ONH97653 pep chromosome:Prunus_persica_NCBIv2:G7:18889848:18892708:1 gene:PRUPE_7G203800 transcript:ONH97653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKLLDAAMEGNITMLKDLIQEDPLVLDRALASCLSETPLHIASMLGHLGFVKELLTHKPELASELDSRGSTPLHLAAAKGHAEIVKELALVDPAACMVRNEDGWTALHVAAVKGRVKVLSELARVRTDSTLALTDRGETVLHLCVGHNRLEGLKALAEAFGKDDEFLNWKDVDGNTILHISVAKKQIEIIKFLLTSTGVNVNALNANSSTALDILMQSLRDLRDMEIEDSLRSVGAKSAKDVQPIMHDWVRVPTKEHQISRRLGSAALSSKEGDSMRLPNRSLAIGSGGGEVR >ONH94908 pep chromosome:Prunus_persica_NCBIv2:G7:7190733:7192187:1 gene:PRUPE_7G038900 transcript:ONH94908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIIAGHYLIHLGQVLGYICLFWLRSCIYGFECCIYGIRFSCKFEARLEKKITGLNRTKSDRMNSFSLK >ONH97405 pep chromosome:Prunus_persica_NCBIv2:G7:18117467:18120294:-1 gene:PRUPE_7G188400 transcript:ONH97405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLFACNSNVNHGCRNDNPSLPKPFVGGGHRILDQTIANRNQSHYNSQKNKSSRRFSVSAVTEGSAKSSKSEEKIPPWARPDSDEPPPWAQGEGKNDGSQEGFEIPFYVYLLASAVTAIAAIGSVFEYANQKPVFGLLNSDSIFYAPVLGFFVFTGIPSAAFLWFKSVKAANKEAEEQDKRDGYR >ONH97406 pep chromosome:Prunus_persica_NCBIv2:G7:18117950:18120180:-1 gene:PRUPE_7G188400 transcript:ONH97406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLFACNSNVNHGCRNDNPSLPKPFVGGGHRILDQTIANRNQSHYNSQKNKSSRRFSVSAVTEGSAKSSKSEEKIPPWARPDSDEPPPWAQGEGKNDGSQEGFEIPFYVYLLASAVTAIAAIGSVFEYANQKPVFGLLNSDSIFYAPVLGFFVFTGIPSAAFLWFKSVKAANKEAEEQDKRDGYR >ONH97404 pep chromosome:Prunus_persica_NCBIv2:G7:18117735:18119936:-1 gene:PRUPE_7G188400 transcript:ONH97404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLFACNSNVNHGCRNDNPSLPKPFVGGGHRILDQTIANRNQSHYNSQKNKSSRRFSVSAVTEGSAKSSKSEEKIPPWARPDSDEPPPWAQGEGKNDGSQEGFEIPFYVYLLASAVTAIAAIGSVFEYANQKPVFGLLNSDSIFYAPVLGFFVFTGIPSAAFLWFKSVKAANKEAEEQDKRDGYR >ONH97407 pep chromosome:Prunus_persica_NCBIv2:G7:18117735:18120180:-1 gene:PRUPE_7G188400 transcript:ONH97407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLFACNSNVNHGCRNDNPSLPKPFVGGGHRILDQTIANRNQSHYNSQKNKSSRRFSVSAVTEGSAKSSKSEEKIPPWARPDSDEPPPWAQGEGKNDGSQEGFEIPFYVYLLASAVTAIAAIGSVFEYANQKPVFGLLNSDSIFYAPVLGFFVFTGIPSAAFLWFKSVKAANKEAEEQDKRDGYR >ONH97441 pep chromosome:Prunus_persica_NCBIv2:G7:18253451:18254055:1 gene:PRUPE_7G190600 transcript:ONH97441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVITYRRLRVQLFKHDDTTHELGQVCRKSSICSVSNFSPAIYSATQLQRLRERMVMTCRLYIQKKGGSSSKRHGLYKQIVVVGLLLSGWVKTT >ONH94180 pep chromosome:Prunus_persica_NCBIv2:G7:319600:321859:-1 gene:PRUPE_7G002500 transcript:ONH94180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLLKPWKSKSDTVLFFKVLQLFKLEYDLFIFVTRGKEKKLDLRQIALEARNAEYNPKRFAAAIVRIREPKTTALIFASGKMMFNLSGTQVCTGTKSEQQSEVAARKDFKIQNIVGSCDVKFPIRLECLAYSPMGPFQV >ONH96933 pep chromosome:Prunus_persica_NCBIv2:G7:16681927:16685137:1 gene:PRUPE_7G160300 transcript:ONH96933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVEPPDGIRQRGKHYYSMWQTLFEVDTKYVPIKPIGRGAYGIVCSSINRVTNEKVAIKKINNVFENRIDALRTLRELKLLRHIRHENVIALKDVMMPIHRTSFKDVYFVYELMDTDLHQIIKSSQPLTSDHCKYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSGGTGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIINVLGSQHEPDLAFIDNPKARKYIKSLPYSRGTHFSRLYPQADPLAIDLLQRMLVFDPTKRISVTEALQHPYMSGLYDPRCNPPAQVPINLDIDENLAEPMIREMMWHEMLHYHPEAAFVNA >ONH95712 pep chromosome:Prunus_persica_NCBIv2:G7:12136209:12137442:-1 gene:PRUPE_7G086700 transcript:ONH95712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVEPTAPTAPTVQTVPIEPHLDVKLFNRWSFDDVQVSDISLADYVGVVPAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIRHAMEIIHLLTDQNPIQIIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNRY >ONH95711 pep chromosome:Prunus_persica_NCBIv2:G7:12135227:12137859:-1 gene:PRUPE_7G086700 transcript:ONH95711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVEPTAPTAPTVQTVPIEPHLDVKLFNRWSFDDVQVSDISLADYVGVVPAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIRHAMEIIHLLTDQNPIQIIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >ONH96901 pep chromosome:Prunus_persica_NCBIv2:G7:16604631:16611435:-1 gene:PRUPE_7G158400 transcript:ONH96901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDITLEAIQELYLTTTPKSLGIADLGCSSGPNTLSIIKDIIEAVQRTSRKIFHPTPEFRVYLNDLPSNDFNSIFKALPDFSKGLSKERNDGSAPIYIGGYPGSFYGRLFPNNSLHFVYSSCSLHWLSRVPPAIYEQGKSINKGSVHISESSPPGVSQAYLKQFQEDFTLFLKSRSEELTVGGRMVLILLGRRGPDHVDRGNSFFWELLSQSVAILVSKGEVEEEKLDSYDVHFYAPSRDEIEDEVRKEGSFELDRLEVLELDQRGDKHNESYGTSCARTVRAIQESMIAKHFGEKLLDSLFETYGRLIDEESAKGDINPISFGIVLRKL >ONH96900 pep chromosome:Prunus_persica_NCBIv2:G7:16604631:16606373:-1 gene:PRUPE_7G158400 transcript:ONH96900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEKVFHMKGGVGHTSYARNSSLQKEVSDMVKDITLEAIQELYLTTTPKSLGIADLGCSSGPNTLSIIKDIIEAVQRTSRKIFHPTPEFRVYLNDLPSNDFNSIFKALPDFSKGLSKERNDGSAPIYIGGYPGSFYGRLFPNNSLHFVYSSCSLHWLSRVPPAIYEQGKSINKGSVHISESSPPGVSQAYLKQFQEDFTLFLKSRSEELTVGGRMVLILLGRRGPDHVDRGNSFFWELLSQSVAILVSKGEVEEEKLDSYDVHFYAPSRDEIEDEVRKEGSFELDRLEVLELDQRGDKHNESYGTSCARTVRAIQESMIAKHFGEKLLDSLFETYGRLIDEESAKGDINPISFGIVLRKL >ONH95078 pep chromosome:Prunus_persica_NCBIv2:G7:8728556:8732449:-1 gene:PRUPE_7G050300 transcript:ONH95078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEEDKPSTTVAEPSVAAVPIAPVRPPVPRPPAPQNGEARASTSDSDSDSAGPPRATGVSGAEYEISEESRVVRERQEKAIQELLMKRRASALAVPTNDMAVRARLRRLGEPITLFGEREMERRDRLRMIMARLDSQGELEKLLKVHEEEEAAASAALEDGDDQMVQYPFYTEGSKALMNARIDIAKYSIKRAASRLERARRKKDDPDEDMDAELDWALRQASSLELDCSEIGDDRPLSGCSFSHDGKLLATCAISGVAKLWSMPEAKKFATLKGHTERLTDVKFSPVHNLVATASADRTAKLWNTEGSQLVTFKGHLDRLARIAFHPSGKYLGTTSFDKTWRLWDVETSEELLLQEGHSRSVYGIAFHPDGSLVASSGLDALARVWDLRTGRSILALEGHVKKVLALSFSANGYYLASGGEDNTCRIWDLRKKKSIYIIPAHSNLISQVKFEPQEGYFLVTASYDLTTKIWSARDFKPVRTLSGHEAKVTSLDIAGDGHQIATVSHDRTIKLWSSSTNEKDQAMDVD >ONH95079 pep chromosome:Prunus_persica_NCBIv2:G7:8729027:8732251:-1 gene:PRUPE_7G050300 transcript:ONH95079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEEDKPSTTVAEPSVAAVPIAPVRPPVPRPPAPQNGEARASTSDSDSDSAGPPRATGVSGAEYEISEESRVVRERQEKAIQELLMKRRASALAVPTNDMAVRARLRRLGEPITLFGEREMERRDRLRMIMARLDSQGELEKLLKVHEEEEAAASAALEDGDDQMVQYPFYTEGSKALMNARIDIAKYSIKRAASRLERARRKKDDPDEDMDAELDWALRQASSLELDCSEIGDDRPLSGCSFSHDGKLLATCAISGVAKLWSMPEAKKFATLKGHTERLTDVKFSPVHNLVATASADRTAKLWNTEGSQLVTFKGHLDRLARIAFHPSGKYLGTTSFDKTWRLWDVETSEELLLQEGHSRSVYGIAFHPDGSLVASSGLDALARVWDLRTGRSILALEGHVKKVLALSFSANGYYLASGGEDNTCRIWDLRKKKSIYIIPAHSNLISQVKFEPQEGYFLVTASYDLTTKIWSARDFKPVRTLSGHEAKVTSLDIAGDGHQIATVSHDRTIKLWSSSTNEKDQAMDVD >ONH97247 pep chromosome:Prunus_persica_NCBIv2:G7:17637752:17640363:1 gene:PRUPE_7G179500 transcript:ONH97247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVSDESWVALPKMLSERLALRQEDRHDDEQPSFFGSESTAHRLEKVFPVYALGIPKPDSDPVKPASVSGDPIWDAVREEAKLEAEKEPILSSFLYASILAHDCLEQALGFVLANRLQNPTLLATQLMDIFYDVMMHDRDIQRSVRLDVQAFKDRDPACLSYCSALLYLKGYHSLQVHRVAHSLWSQGRKVLALALQSRISEVFGVDIHPAAKIGEGILLDHGTGVVIGETAVVGNRVSLMHGVTLGGTGKEIGDRHPKVGDGALIGASATILGNIKIGQGAMIAAGSLVLKNVPPRSMVAGIPAKVIGYVDEKDPSLTMKHDASKEFFEHVAVQCRDTRSAG >ONH95291 pep chromosome:Prunus_persica_NCBIv2:G7:10051555:10069780:-1 gene:PRUPE_7G061600 transcript:ONH95291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKEEVEEIVKARTDKREYRRIVLPNSLEVLLISDPDTDKCAASMDVSVGAFSDPDGLEGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGRTNAYTSSEHTNYHFDINADAFEEALDRFAQFFINPLMSADATMREIKAVDSENQKNLLSDGWRMNQLQKHLSAVDHPYHKFSTGNWDTLEVRPKAKGLDTRSELIKFYAEYYSANVMHLVVYGKENLDKIQGLVEDKFKEIRNIDRNCPRFVGEPCTSEHLQILVRAVPIKEGHALRVAWPITPEIHHYKEGPCRYLSHLIGHEGEGSLYYILKTLGWATGLSAGEGESTFDFSFFRIDIDLTDAGHEHMQDIIGLLFKYISLLQQSGICKWIFDELSAVCETKFHYQDKIQPISYVVSISPNMQKYPPKDWLVRSSLPSNFSTDIIQIVLNKLSPNNVRIFWESKKFEGQTNMVEPWYGTAYSIEKITGSMIQEWIVSSPNENLHLPAPNVFIPTDLSLKNDHEKAKYPVLLRKSPYSTLWHKPDTMFFTPKAYVKIVFTCPHASDSPEAEVLTNIFTQLLMDYLNEFAYYAQVAGLNYGISHTDSGFQVILAGYNHKLRILLETVVEKIASFEVKADRFSVIKEMVTKEYQNYKFRQPYEQAMYYCSLILQDHTWPWMEELDVLPHLEVEDLAKFVPMMLSRAFLECYTAGNLERNEAESMIQHIEDVLFKGSNPICQPLFPSQHLTNRVVKLEKGKSYFYPVEGLNPSDENSALIHYIQVHRDDFMLNVKLHLFALIAKQPAFHQLRSVEQLGYITALLQRNDCGIRGALFVIQSTVKDPAHIDLRAEEFLKAFKSKLYEMTNEEFKSNVNALIDMKLEKHKNLREEAAFYWREISDGTLKFDRIESEIAALRQLTQQELIDFFNEHIKVGAPHKRTLSVRVYGKSHSSEYKIDKSSPGQASSIKIDDIFSFRRSQPLYGSFKGNHVKL >ONH95575 pep chromosome:Prunus_persica_NCBIv2:G7:11437580:11444748:-1 gene:PRUPE_7G078400 transcript:ONH95575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEHLISHKHPLMFKEEQKEKHGGLAFCSGCAEPVIGPSYCCTKYPECDFISSAEATHISSTSYSITKPTTCAELPSEIKHPIHTKHPLVLLTMGDYRCFACFLHYKDGLAYNCSLCNFNLDLKCASNWSNILENDCHDHEFTFLVKQIQLCCDACGQGGHGVSYLCSVCQLLVHKECISLPTYNFPREAIVNYNDQQWPFIPKKKICGVYYCENCNYVAHNACVIKEDVLDETTAMEQENQDPYAATIETIDHENMILIVEPAHKLNLEQNQLELGAEPARIRITTCHCCILPITVTDAFYSCTEQQSFLHKACAQLSTQRLHLFHPHLLKFLSRAPMVCSIGNVFKCDFQGFPYSCERCDFYLDLQCSFLSDSVTYQAHEHPLILKPPSSSKDFCRGCGFSSPLAAYFGCIKCNFHLCIPCIKLPHTARHRYDNHPLKLTYRSVQSSLGDHYCEICEGKRDPSHLFYYFEECDFDCHPHCILGWYSQVKLGCTYNHSAHPHILTLVDKRKSVIPFDKREKKFPCEECGKPCEGLALECSKCNINFHQEEYCSVD >ONH94808 pep chromosome:Prunus_persica_NCBIv2:G7:5856250:5857430:1 gene:PRUPE_7G031600 transcript:ONH94808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLVMEKTISASVLEEIPASENAKEFLASIVQKYKPEDKAQVRKLITALTSAKFDGVGSVREYILGLACIANKLKVLKVPIDETFLVHIAVNSLPSSYGQLVRVYSIMKEMWTVNELIYVCVLEEQRQKNDKGKRA >ONH95113 pep chromosome:Prunus_persica_NCBIv2:G7:9114622:9120773:-1 gene:PRUPE_7G052900 transcript:ONH95113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGTVRCSLDNQVLWLSRESSRKLDWRNIHPLPHIVTTWKLKVGYQRLIDCQVSTGKHSLNHVFWRRHSIHTSLEESSSVQGETYSGNDEYAHKDSQENHLSQPLRSNELKLLLADSERTKLIKKLSEANQQNRFLKRQLHIKEDALVNFKSELAVLELEIQALVKLAEENTKSVIPQGSRKINGKYIQSHLLSRLEAVHEKLKEQIKDVDAVQSKEVPLFWYGMAEC >ONH95112 pep chromosome:Prunus_persica_NCBIv2:G7:9112953:9120773:-1 gene:PRUPE_7G052900 transcript:ONH95112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGTVRCSLDNQVLWLSRESSRKLDWRNIHPLPHIVTTWKLKVGYQRLIDCQVSTGKHSLNHVFWRRHSIHTSLEESSSVQGETYSGNDEYAHKDSQENHLSQPLRSNELKLLLADSERTKLIKKLSEANQQNRFLKRQLHIKEDALVNFKSELAVLELEIQALVKLAEENTKSVIPQGSRKINGKYIQSHLLSRLEAVHEKLKEQIKDVDAVQSKEVPLFWYGMAESVQVMGTFDGWSQGEHLSSEYTGSFTAFSTTLMLRPGRYEIKFLVDGEWKLSPEFPTVGEGLMKNNLLIVE >ONH95944 pep chromosome:Prunus_persica_NCBIv2:G7:12923573:12927369:1 gene:PRUPE_7G097700 transcript:ONH95944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSQAVHDGGRHVLGVIPRTLMPRELTGETVGEVRTVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGFYNSLLSFIDKAVDEGFISTTARQIIVSAPTAKQLVRQLEEYVPEQDEITSKLVWEEVDRINYVSEPRDVLAFD >ONH95943 pep chromosome:Prunus_persica_NCBIv2:G7:12922636:12927368:1 gene:PRUPE_7G097700 transcript:ONH95943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETKSRFNRICVFCGSSSGKKASYQEAAVELGKELVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRTLMPRELTGETVGEVRTVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGFYNSLLSFIDKAVDEGFISTTARQIIVSAPTAKQLVRQLEEYVPEQDEITSKLVWEEVDRINYVSEPRDVLAFD >ONH98189 pep chromosome:Prunus_persica_NCBIv2:G7:20431191:20436241:1 gene:PRUPE_7G234600 transcript:ONH98189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSIFPIPSPNQDSGAKNAKLGPGSAGLGLKSKSASGSLQVKANAQAPSKINGTSVGLATVESGKNGDDMSSPPARTFINQLPDWSVLLAAITTIFLAAEKQWTMLDWKPKRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSVRNLIWVVTKMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWILQDCKTGQILTRATSVWVMMNKLTRRLSKIPDEVRGEIEPFFMNSPPVVEEDSRKLLKLDDKTADFVRTGLTPRWGDLDINQHVNNVKYIGWILESAPLPILESHELSSLTLEYRRECGRDSVLQSLTAVSGADIGNLGSNGGVECQHLLRLEEGAEIVRGRTEWRPKYANNLGIVGQLPAESA >ONH98191 pep chromosome:Prunus_persica_NCBIv2:G7:20431669:20436241:1 gene:PRUPE_7G234600 transcript:ONH98191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSIFPIPSPNQDSGAKNAKLGPGSAGLGLKSKSASGSLQVKANAQAPSKINGTSVGLATVESGKNGDDMSSPPARTFINQLPDWSVLLAAITTIFLAAEKQWTMLDWKPKRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSVRNLIWVVTKMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWILQDCKTGQILTRATSVWVMMNKLTRRLSKIPDEVRGEIEPFFMNSPPVVEEDSRKLLKLDDKTADFVRTGLTPRWGDLDINQHVNNVKYIGWILESAPLPILESHELSSLTLEYRRECGRDSVLQSLTAVSGADIGNLGSNGGVECQHLLRLEEGAEIVRGRTEWRPKYANNLGIVGQLPAESA >ONH98190 pep chromosome:Prunus_persica_NCBIv2:G7:20431191:20436242:1 gene:PRUPE_7G234600 transcript:ONH98190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAATSSIFPIPSPNQDSGAKNAKLGPGSAGLGLKSKSASGSLQVKANAQAPSKINGTSVGLATVESGKNGDDMSSPPARTFINQLPDWSVLLAAITTIFLAAEKQWTMLDWKPKRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSVRNLIWVVTKMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWILQDCKTGQILTRATSVWVMMNKLTRRLSKIPDEVRGEIEPFFMNSPPVVEEDSRKLLKLDDKTADFVRTGLTPRWGDLDINQHVNNVKYIGWILESAPLPILESHELSSLTLEYRRECGRDSVLQSLTAVSGADIGNLGSNGGVECQHLLRLEEGAEIVRGRTEWRPKYANNLGIVGQLPAESA >ONH97687 pep chromosome:Prunus_persica_NCBIv2:G7:18964543:18969344:-1 gene:PRUPE_7G205000 transcript:ONH97687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHTQMEKNEVGPMAIEAEEEAGEVGSSLTLERVAAAKQFIESHYKAQMKHIQDRKQRRSVLEKKLASERVPEQEQIHMLKDLERTETEYMRLKRHKICVDDFDLLAIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDADYLYLIMEYLPGGDMMTLLIREETLTETVARFYIAQSVMAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCSNLSSINENEVLDDENLHETMDIDESFPESKNGRRWKSPLEQLQHWQINRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPVEARLTPEAKDLISRFLCDVENRLGRADQIKAHPWFKDIAWDKLYEVEAAFKPEVNGELDTQNFMKYDEVDPPATGRTGSGPMRKMLLTPKDLSFVGYTYKNFEAVKGLHQSSGMGCHSTEI >ONH97686 pep chromosome:Prunus_persica_NCBIv2:G7:18963449:18969600:-1 gene:PRUPE_7G205000 transcript:ONH97686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLKKSEMLSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDADYLYLIMEYLPGGDMMTLLIREETLTETVARFYIAQSVMAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCSNLSSINENEVLDDENLHETMDIDESFPESKNGRRWKSPLEQLQHWQINRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPVEARLTPEAKDLISRFLCDVENRLGRADQIKAHPWFKDIAWDKLYEVEAAFKPEVNGELDTQNFMKYDEVDPPATGRTGSGPMRKMLLTPKDLSFVGYTYKNFEAVKGLHQSSDLNRSASLNRSSIDSSQSDSALDYSTRYSCSDMEAQVLAASEDVMSE >ONH97685 pep chromosome:Prunus_persica_NCBIv2:G7:18964318:18969344:-1 gene:PRUPE_7G205000 transcript:ONH97685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHTQMEKNEVGPMAIEAEEEAGEVGSSLTLERVAAAKQFIESHYKAQMKHIQDRKQRRSVLEKKLASERVPEQEQIHMLKDLERTETEYMRLKRHKICVDDFDLLAIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLSRGQVEHVRAERNLLAEVASHCIVKLYYSFQDADYLYLIMEYLPGGDMMTLLIREETLTETVARFYIAQSVMAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCSNLSSINENEVLDDENLHETMDIDESFPESKNGRRWKSPLEQLQHWQINRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPVEARLTPEAKDLISRFLCDVENRLGRADQIKAHPWFKDIAWDKLYEVEAAFKPEVNGELDTQNFMKYDEVDPPATGRTGSGPMRKMLLTPKDLSFVGYTYKNFEAVKGLHQSSDLNRSASLNRSSIDSSQSDSALDYSTRYSCSDMEAQVLAASEDVMSE >ONH94963 pep chromosome:Prunus_persica_NCBIv2:G7:7827833:7836933:-1 gene:PRUPE_7G042500 transcript:ONH94963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFVFPQSLRALEEEHEDNRLYARNPLDIASLRPSELEEFVKGISFDLSDKELFCIEEQDVFDRVYSLIRGYNNLPPSCKVNLLESLRSNLSVLLPNVDSLSRVSQGQDDVTPVLDRVASHRNAFKIYTFFLLTVVLTEESNINSNNNVKVTASTRKKHPKNTWNWEPQRGRILNLIANSLEIKLALLFGSSGLEENFISFIAKIAFSLFENAALLKDTDTKDALCRIIGTCATKYQYMAQSCASIMHLVHKYDFVVTHIADAVAGAEKKHADGSLASSLIREIGRTSPKDYIKDTVGAENVGRFLVELSDRLPKLVSTNIGLIVPHFGGESYKIRNALVGVLGKLVAKAFQDVEGEVSSKSVRLRTKHAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNELAEVASGRLEDKSAMVRKSALNLLIMMLQHNPFGPQLRIASFEATLQQYKNKLKELEPDISSESEKNRSPSDDCTTGDSEVDDADADVTKEQQDSLPDSCLPDMEQHIVQKDGSVPDVGNLEQTRALVASLEAGLRFSKCISATIPTLVQLMASSSATDVENTIHLLMRCKQFQIDASEACLRKMLPLVFSQDKSIYEAVENAFITIYIKKSPAETAKNLMNLATESNIGDLAALEFIVGALVSKGDISTGAISALWDFFCFNVSGTTAEQSRGALSVLCMAAKSSSIVLGSHLQDIIDIGFGRWAKMEPLLARTACIALQRLSEEDRKKLLSSNGSRVFSILESLVTGFWLPENIWYAAADKAIAAIYAIHPTPEILASNLVKKSLSSVFECSGGEELQSEITSGSAVILTTVQVAKLSRYLFVISHIAMNHLVYIESCLRKVQKQKIRKEKTDTDQHGNGTPKENGINAELGLAASEDALLDTLSEKAEKEIVCGGSTDKNLIGHCSQFLSKLCRNFSLMQKYPELQVSAMLALCRFMIIDANFCDANLQLLFTVVESAPSEIVRSNCTISLGDLAVRFPNLLEPWTENMYSRLQDPSASVRKNAVLVLSHLILNDMMKVKGYINEMAVRLEDEDERISNLAKLFFNELSKKGSNPIYNLLPDILGKLSNQNLKRESFCNIMQFLIGSIKKDKQMEALVEKLCNRFSGVTDIRQWEYISYCLSQLAFTEKGMKKLIESFKTYEHVLSEDSVMDHFRNIISKGKKFAKPEVKMCIEEFEDKLNKLHLEKKEQEVTARNAQIHQQKISSMKSFVVTSNAGDASSESDISEDGEVVDPSIEGMTKSVDEMSKSRLVESEEYSGTSSELTESEPGDIEVQSPNVNIRGASKSKAKKSNAKDKRGHISASTRSNIRTKQR >ONH94965 pep chromosome:Prunus_persica_NCBIv2:G7:7828269:7836843:-1 gene:PRUPE_7G042500 transcript:ONH94965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFVFPQSLRALEEEHEDNRLYARNPLDIASLRPSELEEFVKGISFDLSDKELFCIEEQDVFDRVYSLIRGYNNLPPSCKVNLLESLRSNLSVLLPNVDSLSRVSQGQDDVTPVLDRVASHRNAFKIYTFFLLTVVLTEESNINSNNNVKVTASTRKKHPKNTWNWEPQRGRILNLIANSLEIKLALLFGSSGLEENFISFIAKIAFSLFENAALLKDTDTKDALCRIIGTCATKYQYMAQSCASIMHLVHKYDFVVTHIADAVAGAEKKHADGSLASSLIREIGRTSPKDYIKDTVGAENVGRFLVELSDRLPKLVSTNIGLIVPHFGGESYKIRNALVGVLGKLVAKAFQDVEGEVSSKSVRLRTKHAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNELAEVASGRLEDKSAMVRKSALNLLIMMLQHNPFGPQLRIASFEATLQQYKNKLKELEPDISSESEKNRSPSDDCTTGDSEVDDADADVTKEQQDSLPDSCLPDMEQHIVQKDGSVPDVGNLEQTRALVASLEAGLRFSKCISATIPTLVQLMASSSATDVENTIHLLMRCKQFQIDASEACLRKMLPLVFSQDKSIYEAVENAFITIYIKKSPAETAKNLMNLATESNIGDLAALEFIVGALVSKGDISTGAISALWDFFCFNVSGTTAEQSRGALSVLCMAAKSSSIVLGSHLQDIIDIGFGRWAKMEPLLARTACIALQRLSEEDRKKLLSSNGSRVFSILESLVTGFWLPENIWYAAADKAIAAIYAIHPTPEILASNLVKKSLSSVFECSGGEELQSEITSGSAVILTTVQVAKLSRYLFVISHIAMNHLVYIESCLRKVQKQKIRKEKTDTDQHGNGTPKENGINAELGLAASEDALLDTLSEKAEKEIVCGGSTDKNLIGHCSQFLSKLCRNFSLMQKYPELQVSAMLALCRFMIIDANFCDANLQLLFTVVESAPSEIVRSNCTISLGDLAVRFPNLLEPWTENMYSRLQDPSASVRKNAVLVLSHLILNDMMKVKGYINEMAVRLEDEDERISNLAKLFFNELSKKGSNPIYNLLPDILGKLSNQNLKRESFCNIMQFLIGSIKKDKQMEALVEKLCNRFSGVTDIRQWEYISYCLSQLAFTEKGMKKLIESFKTYEHVLSEDSVMDHFRNIISKGKKFAKPEVKMCIEEFEDKLNKLHLEKKEQEVTARNAQIHQQKISSMKSFVVTSNAGDASSESDISEETDGEVVDPSIEGMTKSVDEMSKSRLVESEEYSGTSSELTESEPGDIEVQSPNVNIRGSLRASKSKAKKSNAKDKRGHISASTRSNIRTKQR >ONH94964 pep chromosome:Prunus_persica_NCBIv2:G7:7828269:7836843:-1 gene:PRUPE_7G042500 transcript:ONH94964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFVFPQSLRALEEEHEDNRLYARNPLDIASLRPSELEEFVKGISFDLSDKELFCIEEQDVFDRVYSLIRGYNNLPPSCKVNLLESLRSNLSVLLPNVDSLSRVSQGQDDVTPVLDRVASHRNAFKIYTFFLLTVVLTEESNINSNNNVKVTASTRKKHPKNTWNWEPQRGRILNLIANSLEIKLALLFGSSGLEENFISFIAKIAFSLFENAALLKDTDTKDALCRIIGTCATKYQYMAQSCASIMHLVHKYDFVVTHIADAVAGAEKKHADGSLASSLIREIGRTSPKDYIKDTVGAENVGRFLVELSDRLPKLVSTNIGLIVPHFGGESYKIRNALVGVLGKLVAKAFQDVEGEVSSKSVRLRTKHAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNELAEVASGRLEDKSAMVRKSALNLLIMMLQHNPFGPQLRIASFEATLQQYKNKLKELEPDISSESEKNRSPSDDCTTGDSEVDDADADVTKEQQDSLPDSCLPDMEQHIVQKDGSVPDVGNLEQTRALVASLEAGLRFSKCISATIPTLVQLMASSSATDVENTIHLLMRCKQFQIDASEACLRKMLPLVFSQDKSIYEAVENAFITIYIKKSPAETAKNLMNLATESNIGDLAALEFIVGALVSKGDISTGAISALWDFFCFNVSGTTAEQSRGALSVLCMAAKSSSIVLGSHLQDIIDIGFGRWAKMEPLLARTACIALQRLSEEDRKKLLSSNGSRVFSILESLVTGFWLPENIWYAAADKAIAAIYAIHPTPEILASNLVKKSLSSVFECSGGEELQSEITSGSAVILTTVQVAKLSRYLFVISHIAMNHLVYIESCLRKVQKQKIRKEKTDTDQHGNGTPKENGINAELGLAASEDALLDTLSEKAEKEIVCGGSTDKNLIGHCSQFLSKLCRNFSLMQKYPELQVSAMLALCRFMIIDANFCDANLQLLFTVVESAPSEIVRSNCTISLGDLAVRFPNLLEPWTENMYSRLQDPSASVRKNAVLVLSHLILNDMMKVKGYINEMAVRLEDEDERISNLAKLFFNELSKKGSNPIYNLLPDILGKLSNQNLKRESFCNIMQFLIGSIKKDKQMEALVEKLCNRFSGVTDIRQWEYISYCLSQLAFTEKGMKKLIESFKTYEHVLSEDSVMDHFRNIISKGKKFAKPEVKMCIEEFEDKLNKLHLEKKEQEVTARNAQIHQQKISSMKSFVVTSNAGDASSESDISEETDGEVVDPSIEGMTKSVDEMSKSRLVESEEYSGTSSELTESEPGDIEVQSPNVNIRGASKSKAKKSNAKDKRGHISASTRSNIRTKQR >ONH98023 pep chromosome:Prunus_persica_NCBIv2:G7:19916415:19919755:1 gene:PRUPE_7G223600 transcript:ONH98023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLPTSCPIFAPLLNLNPNHNPTPNRPPTEVRFARWNNANAEKFNERRRAQQEIEDDIRRERRFDSATRIATIYDSATDTTTTSETFKSVGTPSFPSSPSIPGKKSKYSKNPNPKESHPAFRRIIRPTKLSKIPKDKGPTVDRKANISVGDDGLSYVIDGAPFEFKYSYTETPKVKPLKLREPAYAPFGPTTMARPWTGRAPLPPSKKKLKEFDSFQLPPPHKKGVKPVQSPGPYLPGSGPKYVKSRDEILGDPLTPEEVKELVKGCIKTRRQLNMGRDGFTHNMLDNIHAHWKRRRVCKIKCKGVCTVDMDNVCEQIEEKTGGKIIYRKGGVIYLFRGRNYNYKTRPQFPLMLWRPITPVYPRLVQRAPEGLTLEEATEMRKKGRNLIPICKLGKNGVYSELAKNAREAFEECELVRINCTGMNGSDYRKIGAKLKDLVPCVLISFELEHILMWRGREWKSSIPYPENDLKEVKGSDVDDSTSIAPPLEGQEESTSCASTVSVKDASLEILNTSTPSIGSEVVGAEESGDLSPSQYVEPCATVDGVSAVGGTHVTETISDVEDDESKAILDPSGIDRILDNTGCAADEASPTTVTGGPRSNENPQCASVSSENLSEPARSSGPCMENVLLLLNEAVGSGSALILDDSALDADIIFQRAVALAQSAPPGPVFKHHRPKKVAVQKRIKIMKQEASVSEVKEITVPVKRGSEKIQMKDTKVKRTRDFGESLDNVVPQGSLRVDELAKLLA >ONH98539 pep chromosome:Prunus_persica_NCBIv2:G7:21341972:21349178:-1 gene:PRUPE_7G253100 transcript:ONH98539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPSPSSSSSPSTLKKVGSERSEVSTMSGLLGLGGGGGGGGGGGEDQRGSSTFEYFGWVYHLGVNSIGHEYCHLRFLFIRGKYVEMYKRDPHENPGIKPIRRGVVGPTLMLEEVGRRKVYYGDVYVLRFFNRLDESKKGEIACVTAGEAQKWLEAFDQAKQQAEFELTRGGSARNKLNMETELNLDVHRPRVRRYASGLKKLIRIGQGPETLLCQSSSLGANGSTDGYFERDINDAIEAYEWKCVRTINGVRIFEDVANSESGKGVIVKAVAVIDASADTAFEVLLNLGRHQRYEWDMLTGDLELLDSYDGHLDVVYGTFDPRWHSKRDFIFSRQWFCGQDGTYTILHFPAVHKKKPPRSGYRRTKINPSTWEIRNLNTSMGSNTPRCLVTQMLEINSAGWCRWKKNHCSKFEKSVPYALLCQVGGLKEYIGANPALKFESSTTVIQSKLSEVSVSNAEFEESEVKDEFYDAMSADSSSSDEELDNKDSKLNLALKRTSAADANKELDSEVAPVMIDPSQFRGSLRNGKDEADTNCWTCPSGTGFMIRGKNYLKDNSKVMGGDPLLKLIAVDWFKVDKSLDRIALHPRSLLQSQAGKKLPFVLVINLQVPAKPNYSLALYYASDRPAKPGSLFAQFVDGSDMFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSIVVDLAILIEAKEEAELPEYILGTVRLNRLKLDSAVRLEV >ONH98537 pep chromosome:Prunus_persica_NCBIv2:G7:21341972:21349178:-1 gene:PRUPE_7G253100 transcript:ONH98537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPSPSSSSSPSTLKKVGSERSEVSTMSGLLGLGGGGGGGGGGGEDQRGSSTFEYFGWVYHLGVNSIGHEYCHLRFLFIRGKYVEMYKRDPHENPGIKPIRRGVVGPTLMLEEVGRRKVYYGDVYVLRFFNRLDESKKGEAEFELTRGGSARNKLNMETELNLDVHRPRVRRYASGLKKLIRIGQGPETLLCQSSSLGANGSTDGYFERDINDAIEAYEWKCVRTINGVRIFEDVANSESGKGVIVKAVAVIDASADTAFEVLLNLGRHQRYEWDMLTGDLELLDSYDGHLDVVYGTFDPRWHSKRDFIFSRQWFCGQDGTYTILHFPAVHKKKPPRSGYRRTKINPSTWEIRNLNTSMGSNTPRCLVTQMLEINSAGWCRWKKNHCSKFEKSVPYALLCQVGGLKEYIGANPALKFESSTTVIQSKLSEVSVSNAEFEESEVKDEFYDAMSADSSSSDEELDNKDSKLNLALKRTSAADANKELDSEVAPVMIDPSQFRGSLRNGKDEADTNCWTCPSGTGFMIRGKNYLKDNSKVMGGDPLLKLIAVDWFKVDKSLDRIALHPRSLLQSQAGKKLPFVLVINLQVPAKPNYSLALYYASDRPAKPGSLFAQFVDGSDMFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSIVVDLAILIEAKEEAELPEYILGTVRLNRLKLDSAVRLEV >ONH98538 pep chromosome:Prunus_persica_NCBIv2:G7:21342522:21348910:-1 gene:PRUPE_7G253100 transcript:ONH98538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPSPSSSSSPSTLKKVGSERSEVSTMSGLLGLGGGGGGGGGGGEDQRGSSTFEYFGWVYHLGVNSIGHEYCHLRFLFIRGKYVEMYKRDPHENPGIKPIRRGVVGPTLMLEEVGRRKVYYGDVYVLRFFNRLDESKKGEAEFELTRGGSARNKLNMETELNLDVHRPRVRRYASGLKKLIRIGQGPETLLCQSSSLGANGSTDGYFERDINDAIEAYEWKCVRTINGVRIFEDVANSESGKGVIVKAVAVIDASADTAFEVLLNLGRHQRYEWDMLTGDLELLDSYDGHLDVVYGTFDPRYLTRWHSKRDFIFSRQWFCGQDGTYTILHFPAVHKKKPPRSGYRRTKINPSTWEIRNLNTSMGSNTPRCLVTQMLEINSAGWCRWKKNHCSKFEKSVPYALLCQVGGLKEYIGANPALKFESSTTVIQSKLSEVSVSNAEFEESEVKDEFYDAMSADSSSSDEELDNKDSKLNLALKRTSAADANKELDSEVAPVMIDPSQFRGSLRNGKDEADTNCWTCPSGTGFMIRGKNYLKDNSKVMGGDPLLKLIAVDWFKVDKSLDRIALHPRSLLQSQAGKKLPFVLVINLQVPAKPNYSLALYYASDRPAKPGSLFAQFVDGSDMFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSIVVDLAILIEAKEEAELPEYILGTVRLNRLKLDSAVRLEV >ONH98540 pep chromosome:Prunus_persica_NCBIv2:G7:21342522:21348910:-1 gene:PRUPE_7G253100 transcript:ONH98540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPSPSSSSSPSTLKKVGSERSEVSTMSGLLGLGGGGGGGGGGGEDQRGSSTFEYFGWVYHLGVNSIGHEYCHLRFLFIRGKYVEMYKRDPHENPGIKPIRRGVVGPTLMLEEVGRRKVYYGDVYVLRFFNRLDESKKGEIACVTAGEAQKWLEAFDQAKQQAEFELTRGGSARNKLNMETELNLDVHRPRVRRYASGLKKLIRIGQGPETLLCQSSSLGANGSTDGYFERDINDAIEAYEWKCVRTINGVRIFEDVANSESGKGVIVKAVAVIDASADTAFEVLLNLGRHQRYEWDMLTGDLELLDSYDGHLDVVYGTFDPRYLTRWHSKRDFIFSRQWFCGQDGTYTILHFPAVHKKKPPRSGYRRTKINPSTWEIRNLNTSMGSNTPRCLVTQMLEINSAGWCRWKKNHCSKFEKSVPYALLCQVGGLKEYIGANPALKFESSTTVIQSKLSEVSVSNAEFEESEVKDEFYDAMSADSSSSDEELDNKDSKLNLALKRTSAADANKELDSEVAPVMIDPSQFRGSLRNGKDEADTNCWTCPSGTGFMIRGKNYLKDNSKVMGGDPLLKLIAVDWFKVDKSLDRIALHPRSLLQSQAGKKLPFVLVINLQVPAKPNYSLALYYASDRPAKPGSLFAQFVDGSDMFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSIVVDLAILIEAKEEAELPEYILGTVRLNRLKLDSAVRLEV >ONH95424 pep chromosome:Prunus_persica_NCBIv2:G7:10750292:10754570:-1 gene:PRUPE_7G069500 transcript:ONH95424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVEKRKTPNTKNTKRSGRTERRDNKLNQGNPGKRLNGKETESKDSYAIPDPSTLVSDSNTGTELSEVNENLVIHYVDYVNRFEEVPQDLKANPMISKGNIDDAHGKEEVSDCETIKDSVSSQGDSLEDENVEQASRVPKIMAKKNLSESSHGSRERSDSESKSKSKALHPTEKKSTNSNEGALGNTRTSSRNSSSNTEVPSKPSSESSEEVDDNLIVEVKGSHILDGASNGAQSVENDNETVHAEENGEQEDVTALERKVEEMEMRIEKLEEELREVAALEISLYSVVPEHGSSAHKVHTPARRISRLYIHACKLWTQDKRATIAKNTVSGLVLIAKSCGNDVPRLTFWLSNTVVLREIISQAFGISHQSSSLTKFADINGTSKRNEVKSPTLKWKGGSGGKQMNGFMQFSDDWQETGTFTAALEKVESWIFSRIVESVWWQALTPNMQSPAEYSSNNNTIVRLLGPALGDQKQGSFSVNLWKNAFQDASQRLCPVRAGGHKCGCLPVLARMVMEHCVARLDVAMFNAILRESAHEIPTDPVSDPIVDPRVLPIPAGDLSFGSGAQLKNSVGNWSRWLSDMFDMDADDSLQEDKPGSQDDDRQSGDGESKSFLLLNALSDLLMLPKDMLIDRSIRKEVCPSISLPLVKRILCNFTPDEFCPDAVPGAVLEALNAESIVERRLSGESARSFPYTAAPVMYSPPSSADVAEKVSEAGGRSQLERNVSAVQRKGYTSDEELEELDSPLTSIIDKLPSSPTIVANGNGKHEHTGHACMNVRYELLREVWSG >ONH95422 pep chromosome:Prunus_persica_NCBIv2:G7:10750298:10755753:-1 gene:PRUPE_7G069500 transcript:ONH95422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVEKRKTPNTKNTKRSGRTERRDNKLNQGNPGKRLNGKETESKDSYAIPDPSTLVSDSNTGTELSEVNENLVIHYVDYVNRFEEVPQDLKANPMISKGNIDDAHGKEEVSDCETIKDSVSSQGDSLEDENVEQASRVPKIMAKKNLSESSHGSRERSDSESKSKSKALHPTEKKSTNSNEGALGNTRTSSRNSSSNTEVPSKPSSESSEEVDDNLIVEVKGSHILDGASNGAQSVENDNETVHAEENGEQEDVTALERKVEEMEMRIEKLEEELREVAALEISLYSVVPEHGSSAHKVHTPARRISRLYIHACKLWTQDKRATIAKNTVSGLVLIAKSCGNDVPRLTFWLSNTVVLREIISQAFGISHQSSSLTKFADINGTSKRNEVKSPTLKWKGGSGGKQMNGFMQFSDDWQETGTFTAALEKVESWIFSRIVESVWWQALTPNMQSPAEYSSNNNTIVRLLGPALGDQKQGSFSVNLWKNAFQDASQRLCPVRAGGHKCGCLPVLARMVMEHCVARLDVAMFNAILRESAHEIPTDPVSDPIVDPRVLPIPAGDLSFGSGAQLKNSVGNWSRWLSDMFDMDADDSLQEDKPGSQDDDRQSGDGESKSFLLLNALSDLLMLPKDMLIDRSIRKEVCPSISLPLVKRILCNFTPDEFCPDAVPGAVLEALNAESIVERRLSGESARSFPYTAAPVMYSPPSSADVAEKVSEAGGRSQLERNVSAVQRKGYTSDEELEELDSPLTSIIDKLPSSPTIVANGNGKHEHTGHACMNVRYELLREVWSG >ONH95425 pep chromosome:Prunus_persica_NCBIv2:G7:10750293:10754567:-1 gene:PRUPE_7G069500 transcript:ONH95425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVEKRKTPNTKNTKRSGRTERRDNKLNQGNPGKRLNGKETESKDSYAIPDPSTLVSDSNTGTELSEVNENLVIHYVDYVNRFEEVPQDLKANPMISKGNIDDAHGKEEVSDCETIKDSVSSQGDSLEDENVEQASRVPKIMAKKNLSESSHGSRERSDSESKSKSKALHPTEKKSTNSNEGALGNTRTSSRNSSSNTEVPSKPSSESSEEVDDNLIVEVKGSHILDGASNGAQSVENDNETVHAEENGEQEDVTALERKVEEMEMRIEKLEEELREVAALEISLYSVVPEHGSSAHKVHTPARRISRLYIHACKLWTQDKRATIAKNTVSGLVLIAKSCGNDVPRLTFWLSNTVVLREIISQAFGISHQSSSLTKFADINGTSKRNEVKSPTLKWKGGSGGKQMNGFMQFSDDWQETGTFTAALEKVESWIFSRIVESVWWQALTPNMQSPAEYSSNNNTIVRLLGPALGDQKQGSFSVNLWKNAFQDASQRLCPVRAGGHKCGCLPVLARMVMEHCVARLDVAMFNAILRESAHEIPTDPVSDPIVDPRVLPIPAGDLSFGSGAQLKNSVGNWSRWLSDMFDMDADDSLQEDKPGSQDDDRQSGDGESKSFLLLNALSDLLMLPKDMLIDRSIRKEVCPSISLPLVKRILCNFTPDEFCPDAVPGAVLEALNAESIVERRLSGESARSFPYTAAPVMYSPPSSADVAEKVSEAGGRSQLERNVSAVQRKGYTSDEELEELDSPLTSIIDKLPSSPTIVANGNGKHEHTGHACMNVRYELLREVWSG >ONH95423 pep chromosome:Prunus_persica_NCBIv2:G7:10750293:10756008:-1 gene:PRUPE_7G069500 transcript:ONH95423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVEKRKTPNTKNTKRSGRTERRDNKLNQGNPGKRLNGKETESKDSYAIPDPSTLVSDSNTGTELSEVNENLVIHYVDYVNRFEEVPQDLKANPMISKGNIDDAHGKEEVSDCETIKDSVSSQGDSLEDENVEQASRVPKIMAKKNLSESSHGSRERSDSESKSKSKALHPTEKKSTNSNEGALGNTRTSSRNSSSNTEVPSKPSSESSEEVDDNLIVEVKGSHILDGASNGAQSVENDNETVHAEENGEQEDVTALERKVEEMEMRIEKLEEELREVAALEISLYSVVPEHGSSAHKVHTPARRISRLYIHACKLWTQDKRATIAKNTVSGLVLIAKSCGNDVPRLTFWLSNTVVLREIISQAFGISHQSSSLTKFADINGTSKRNEVKSPTLKWKGGSGGKQMNGFMQFSDDWQETGTFTAALEKVESWIFSRIVESVWWQALTPNMQSPAEYSSNNNTIVRLLGPALGDQKQGSFSVNLWKNAFQDASQRLCPVRAGGHKCGCLPVLARMVMEHCVARLDVAMFNAILRESAHEIPTDPVSDPIVDPRVLPIPAGDLSFGSGAQLKNSVGNWSRWLSDMFDMDADDSLQEDKPGSQDDDRQSGDGESKSFLLLNALSDLLMLPKDMLIDRSIRKEVCPSISLPLVKRILCNFTPDEFCPDAVPGAVLEALNAESIVERRLSGESARSFPYTAAPVMYSPPSSADVAEKVSEAGGRSQLERNVSAVQRKGYTSDEELEELDSPLTSIIDKLPSSPTIVANGNGKHEHTGHACMNVRYELLREVWSG >ONH95093 pep chromosome:Prunus_persica_NCBIv2:G7:8952081:8954533:1 gene:PRUPE_7G051500 transcript:ONH95093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPVVVLSLSLTILSFGGTYAATSFFKNNCANMVWPGTLTSEQKPQLSTTGFQLASQASFLLDTPVPWNGRFWARTGCSTDVSGKFVCATADCASGQVTCNGNGAIPPATLAEFNIPTGGGQDFYDVSVVDGFTLPMSVTPQGGTGDCKTASCPANVNAVCPSDLQKKGSDGSVVACLSACVKLNQPQYCCTPPQNTPETCPPTNYSQIFSQACPNAYSYAYDDNKGTFTCNGGHNYAITFCP >ONH95092 pep chromosome:Prunus_persica_NCBIv2:G7:8952081:8953203:1 gene:PRUPE_7G051500 transcript:ONH95092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPVVVLSLSLTILSFGGTYAATSFFKNNCANMVWPGTLTSEQKPQLSTTGFQLASQASFLLDTPVPWNGRFWARTGCSTDVSGKFVCATADCASGQVTCNGNGAIPPATLAEFNIPTGGGQDFYDVSVVDGFTLPMSVTPQGGTGDCKTASCPANVNAVCPSDLQKKGSDGSVVACLSACVKLNQPQYCCTPPQNTPETCPPTNYSQIFSQACPNAYSYAYDDNKGTFTCNGGHNYAITFCP >ONH97343 pep chromosome:Prunus_persica_NCBIv2:G7:17896727:17901662:1 gene:PRUPE_7G184600 transcript:ONH97343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWLSVLMVFYNGVASNGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIISHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAGWGPQVLTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTSGQTSKGKLGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGITGPVKFTPDRNLIHPAFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNESLYSVIWPGQTTQKPRGWVFPNNGRHLKIGVPKRVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPTSTELVHKIQTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPMMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVILIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTGELNVDESRLVPLIMPDDYAKALKDGPQRGGVAAVIDERAYIELFLSSRCDFSIVGQEFTKTGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSSCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIYFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRQMERMSNRSASEDESMYYSKRRHIDQSSSRMSLDNGNNA >ONH97340 pep chromosome:Prunus_persica_NCBIv2:G7:17896707:17901662:1 gene:PRUPE_7G184600 transcript:ONH97340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWLSVLMVFYNGVASNGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIISHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAGWGPQVLTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTSGQTSKGKLGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGITGPVKFTPDRNLIHPAFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNESLYSVIWPGQTTQKPRGWVFPNNGRHLKIGVPKRVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPTSTELVHKIQTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPMMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVILIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTGELNVDESRLVPLIMPDDYAKALKDGPQRGGVAAVIDERAYIELFLSSRCDFSIVGQEFTKTGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSSCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIYFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRQMERMSNRSASEDESMYYSKRRHIDQSSSRMSLDNGNNA >ONH97341 pep chromosome:Prunus_persica_NCBIv2:G7:17896809:17901662:1 gene:PRUPE_7G184600 transcript:ONH97341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWLSVLMVFYNGVASNGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIISHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAGWGPQVLTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTSGQTSKGKLGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGITGPVKFTPDRNLIHPAFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNESLYSVIWPGQTTQKPRGWVFPNNGRHLKIGVPKRVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPTSTELVHKIQTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPMMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVILIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTGELNVDESRLVPLIMPDDYAKALKDGPQRGGVAAVIDERAYIELFLSSRCDFSIVGQEFTKTGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSSCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIYFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRQMERMSNRSASEDESMYYSKRRHIDQSSSRMSLDNGNNA >ONH97342 pep chromosome:Prunus_persica_NCBIv2:G7:17896809:17901662:1 gene:PRUPE_7G184600 transcript:ONH97342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWLSVLMVFYNGVASNGGSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIISHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAGWGPQVLTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTSGQTSKGKLGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGITGPVKFTPDRNLIHPAFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNESLYSVIWPGQTTQKPRGWVFPNNGRHLKIGVPKRVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPTSTELVHKIQTGEFDGAIGDIAIITNRTRMADFTQPFIESGLVVVAPVRTTLNSNPWAFLRPFNPMMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVILIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTGELNVDESRLVPLIMPDDYAKALKDGPQRGGVAAVIDERAYIELFLSSRCDFSIVGQEFTKTGWGFAFARDSPLSVDMSTAILKLSENGDLQRIHDKWLISSSCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIYFINMLRQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRQMERMSNRSASEDESMYYSKRRHIDQSSSRMSLDNGNNA >ONH98630 pep chromosome:Prunus_persica_NCBIv2:G7:21582424:21586616:1 gene:PRUPE_7G258700 transcript:ONH98630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPSNRKSFIESSIKTARLYGFQGIDLLWLWPRTTSDMINMGKLLDEWGAAVNSESRNSSDQSRLILTMAVRYLPAFESLSYPIESMKRNLDWAHVVAYDYHLPAKENVTGAHAALYDPSSHVNTNYGIKQWLNNSFPASKLVLGLPYHGYAWTLVNPKDNNGIGAPAAGKAETKDGSMSYKYIKWYIRSYGAPIMYNATYVVNYCIIGSNWIGFDDLEAVRTKIAYVKEKKLLGTNVFRVTNDDNWALSQAVQEEENDQENRRRLLLVVLLPITLIIILIAFVVCYLQRKLLKTKGMIIRGNSRSTSTSDINSDPPTLQAFSFSNIKAATNNFSSENKLGEGGFGPVYMGKLRGGQEIAVKRLSKTSTQGLEEFRNEVTLTARLQHVNLVRVLGFCTEKEEKMLIYEYMPNKSLDFYLFDPTRRYLLDWTKRVHIIEGVTQGLLYLQEYSNFTIIHRDLKGSNILLDHEMNAKISDFGMAKLFRKDGLVANTKRIVGTYGYVPPEYVKKGIYSTKYDVYSFGVLLLQMISGRRSTCYYGPNESLHLLQYAYLSWKEDKGREFIDPSLDDSSSSCKLLRCLQVALLCVQENPEDRPTMLEVYSMLKTDIEPVPTPTKMAFSGHGIVENTSTPQQGSCSVNDAQISELQPR >ONH98629 pep chromosome:Prunus_persica_NCBIv2:G7:21582546:21586626:1 gene:PRUPE_7G258700 transcript:ONH98629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNVTHLFLFICLISSQFYCCFSAQTGIRAGYWYVDSESPIPDINSALFTHLICAYADINSSTYQLSIPSSQEPSFSSFTGIVQRKNPSVITLLSIWNGLAATNQSILGEKANSSVLSSMASNPSNRKSFIESSIKTARLYGFQGIDLLWLWPRTTSDMINMGKLLDEWGAAVNSESRNSSDQSRLILTMAVRYLPAFESLSYPIESMKRNLDWAHVVAYDYHLPAKENVTGAHAALYDPSSHVNTNYGIKQWLNNSFPASKLVLGLPYHGYAWTLVNPKDNNGIGAPAAGKAETKDGSMSYKYIKWYIRSYGAPIMYNATYVVNYCIIGSNWIGFDDLEAVRTKIAYVKEKKLLGTNVFRVTNDDNWALSQAVQEEENDQENRRRLLLVVLLPITLIIILIAFVVCYLQRKLLKTKGMIIRGNSRSTSTSDINSDPPTLQAFSFSNIKAATNNFSSENKLGEGGFGPVYMGKLRGGQEIAVKRLSKTSTQGLEEFRNEVTLTARLQHVNLVRVLGFCTEKEEKMLIYEYMPNKSLDFYLFDPTRRYLLDWTKRVHIIEGVTQGLLYLQEYSNFTIIHRDLKGSNILLDHEMNAKISDFGMAKLFRKDGLVANTKRIVGTYGYVPPEYVKKGIYSTKYDVYSFGVLLLQMISGRRSTCYYGPNESLHLLQYAYLSWKEDKGREFIDPSLDDSSSSCKLLRCLQVALLCVQENPEDRPTMLEVYSMLKTDIEPVPTPTKMAFSGHGIVENTSTPQQGSCSVNDAQISELQPR >ONH96096 pep chromosome:Prunus_persica_NCBIv2:G7:13590037:13591438:-1 gene:PRUPE_7G107500 transcript:ONH96096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLPRQEQAHTRNDASDNYRDTHECLQQIDEYETLEGHNGMKANYNLWTSDMHEYFAGQATQDGIVDTY >ONH95223 pep chromosome:Prunus_persica_NCBIv2:G7:9724524:9729619:-1 gene:PRUPE_7G057800 transcript:ONH95223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKREGEGEGEEEQVVNPWTVSAKGGGKIDYDKLIDQFGCQRIDQSLVDRIHRLTSRAPHVFLRRGVFFAHRDLSEILDAYERGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLTVEESQRLARENAKDIIACGFDVTRTFIFSDFDYVGGAFYKNMVKVGKCVTYNKVVGIFGFTGEDHIGKVSFPPVQAVPSFPSSFPHLFGGQDNLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPALIESSFFPAMQGETGKMSASDPNSAIYVTDSAKEIKNKINRYAFSGGQDSIEKHRELGANLEVDIPFKYLSFFLDDDAKLEEIRKEYGAGRMLTGEVKQLLIQVLTELVERHRRARAAVTDEMVGAFMAVRPLPNMFN >ONH97146 pep chromosome:Prunus_persica_NCBIv2:G7:17326327:17331469:-1 gene:PRUPE_7G172200 transcript:ONH97146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVECIGDLPVQDPPEEEFSAADLTWTKFGTAEHHDDAALIPYDRVDEFIIGEGSNLECPTRFHIERGRKRKMGSLKEYKDDEYLEYRMYWCSFGPENYGEGGSILPSRRYRLNTRNRAARPQSMRGCTCNFVVKRLYARPSLALIIYHERRHVNKSGFVCHGPLDRDAIGPGAKTVPYICNEIQQQTMSMIYLGIPEENILEKHIEGIQRYCGSNAKVNSLASQYVHKLGMIIKRSTHELDLDDQASIRMWVERNKKSIFIYQDTSEKDSFILGIQTEWQLQQMIRFGHCSLIAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWVITRSFAKPDVSKWMKALLDRARSVEPGWKINGFLIDDAAAETDPIRDIFCCPILFSLWRVRRSWLRNIVKKCNNIEVQREIFKRLGNIVYGIWGGNGTLGALEELTQEFVDQTAFMEYFKSSWVPKIEMWLSTMRSLPLASQEASGAIEAYHVKMKVKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADECDSFQNVKEEYIASTSWHRALQIPDSAISLDDKDHLYAKVLSQKDSSTVHLVWNPGSEFSFCDCAWSMQGNLCKHIIKVNMICESRQGYQPSMSFQSFKELLMSLLKKPMDDSVALDQSMAWTMQMFDQIRKLVEVTSSNDIGTVVNNLPLQWVSKKGRTGVGKPSTSLALPSGSIDTNRAAAARKKNRKRKRLSRLR >ONH96163 pep chromosome:Prunus_persica_NCBIv2:G7:13801476:13806376:1 gene:PRUPE_7G110600 transcript:ONH96163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAKGLREIQKLEGHTDKVWSLAWNPVTGVAGIPLSFASCSGDKTVRIWEHNPSSSTSSWDCKAVLDETHTRTVRSCAWSPSGKFLATASFDATTAIWENVGGDYECVSTLEGHENEVKSVSWNPSGSLLATCGRDKTVWIWEVQPGNEFDCVAVLQGHTQDVKMVQWHPSRNLIFSCSYDNTVKIWADEGDDDDWACVQTLGETNNGHSSTVWALSFNDGGDKMVTCSDDLTLKIWGTDNEKMQSTDDFVPWRHLCTLSGYHDRTIFSVHWSRDNIIASGAADDTIRFFVENDDKDGLVDGPSYKLLLKKEKAHDMDINSVQWSPGEDRILASAADDGTIKIWELTSAG >ONH96164 pep chromosome:Prunus_persica_NCBIv2:G7:13801716:13806226:1 gene:PRUPE_7G110600 transcript:ONH96164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAKGLREIQKLEGHTDKVWSLAWNPVTGVAGIPLSFASCSGDKTVRIWEHNPSSSTSSWDCKAVLDETHTRTVRSCAWSPSGKFLATASFDATTAIWENVGGDYECVSTLEIWADEGDDDDWACVQTLGETNNGHSSTVWALSFNDGGDKMVTCSDDLTLKIWGTDNEKMQSTDDFVPWRHLCTLSGYHDRTIFSVHWSRDNIIASGAADDTIRFFVENDDKDGLVDGPSYKLLLKKEKAHDMDINSVQWSPGEDRILASAADDGTIKIWELTSAG >ONH97713 pep chromosome:Prunus_persica_NCBIv2:G7:19020537:19026405:1 gene:PRUPE_7G206100 transcript:ONH97713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDSPETIGITGTSTSPLTDSDLTHTLRRRPAAATTVPRDVADSGSRTDEDDSTLNDLVRDSGSDDSVTAKICEPGDNVRIGGSEASMDSVNAVQDNKGLGENDRGGDPSAVKFAYRPSVPAHRRVKESPLSSDAIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIKTGFWFSSRSLRDWPLLMCCLTLPIFPLAAFVVEKLALQKSISEPAVVSLHMIITTTSILYPVLVILRCDSAVLSGVTLMLFACIVWLKLVSYVHTNYDMRALGKSTEKGDAVPSSSNTDIPHNIGLKSLAYFMVAPTLCYQTSYPRTACVRKGWVFRQLVKLIIFTGLMGFIVEQYINPIVKNSQHPLKGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKTVEEYWRMWNMPVHKWMVRHIYFPCLRHGVPKGVAIVIAFLVSAVFHELCIAVPCHMFKLWAFIGIMLQVPLVLITNYLQDKFRNSMVGNMIFWFIFCILGQPMCLLLYYHDLMNRKGKAE >ONH94751 pep chromosome:Prunus_persica_NCBIv2:G7:5166759:5167730:-1 gene:PRUPE_7G027500 transcript:ONH94751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDESNWFSRWEEELPSPEELMPLSQTLITPDLALAFDIRNNNPNNPSSPQNQQPPQPPQPPHTPPPPPPSSLPPATPTHPNSSAEFADSADLGSGAGGEEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGGGSGGGGGGGGGLAASADPATDHLFASSPVPAHFLHPHPGGRPNSEHFMPFVPVALQQHHHQQQQQQQQMAAAAAAAVAAHPQYHRQVGHFGSPPNGQFEHPFLARQSSQPVHRMGAPLHNPVPGSYVEDLESANATGGRKVLTLFPTGED >ONH97840 pep chromosome:Prunus_persica_NCBIv2:G7:19409462:19412135:1 gene:PRUPE_7G213500 transcript:ONH97840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKRILVAISVLVVLACMPISECAKKPMTVARKEDIPFIKCQVCEKLAAQLHQQVKKKQAEITPKKISEYQIIEISENVCNLKKAEADWILQIDIVEQGDKLELVEQGSEGQCNSECKTIERACQEVLGYSDTDVAEYLYKSKPDIDSLVNYLCKDLTKACSTKPPRVPKNRTPGEIFVAKSAKEAEMEKIMKSMEGMPGAPGMKMYSRDELMNQNFGGEDADDEDDDDEDTQIPSKLGKVLREKESAKSDLKQRITNGILKTKETLKKHANQVSNWLRKTWRGLKKPASKKSTKANKAEL >ONH98622 pep chromosome:Prunus_persica_NCBIv2:G7:21558595:21558822:1 gene:PRUPE_7G258100 transcript:ONH98622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENETNKNSPTWTFGHLQFLSSISPNISSLFQRSTSHSHCLAFLYYTLSINSQRIWMRYIFSFHINLPINMPYKQ >ONH97473 pep chromosome:Prunus_persica_NCBIv2:G7:18318966:18320263:1 gene:PRUPE_7G191800 transcript:ONH97473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPGKSSIPKNPPSKKRYGNPQSRTPYKPPMDLSITEVGESFNSENTKTQQAFVCEICVELKAAASDWFGIKNCSHAYCRDCMVSYVASKLEENITSIRCPDPDCTSGLLDPEHCRSILPREVFERWGVALCEAVVPASQKVYCPYKDCSAMLIIDDDGKKGMIVRQSDCPHCRKLFCAQCKVPWHVGFECAKFQKLNKVERERENMLRNLAKKEQWRRCPNCRFYVERSRGCNIIQCRCKTKFCYECGELYINCKCKIDCFVCLLGCCGLIVVVVSFFVVIVMFWRAVAEP >ONH95327 pep chromosome:Prunus_persica_NCBIv2:G7:10290178:10296967:-1 gene:PRUPE_7G064400 transcript:ONH95327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMATCSFHSSSFTLPNPNSNPRTSLSSTLPLSKQLFGFSLPSSSTVKTTTLKLRTRNPKPFSTHPPIKCSVSEATEAATAERRRKLMRRSDIRNIAIVAHVDHGKTTLVDAMLRQSKVFRDNQFVQERIMDSNDIERERGITILSKNTSITYKDSKINIIDTPGHSDFGGEVERILNMVEGILLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQAIYASGIKGKAGLSADNLADDLGPLFESIMRCIPGPAIDKDGSLQMLATNIEYDEHKGRIAIGRLHAGILKKGMDVKVCTSEDSCRFARVSELFVYEKFSRVPAESVEAGDICAVCGINDIQIGETIADKTNGTPLPAIKVEEPTVKMAFSINTSPFVGREGKYVTSRNLRDRLSRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKRVNDKLLEPYEIATVEVPEEHMGAVVELLGKRRGQMFDMQGVGSEGTTFLKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDMSTRDLGSLVAFEGGTSTSYAISSSQERGQLFIGPGVEVYKGQIVGIHQRPGDLSLNVCKKKAATNIRSNKDVTVILDTPLDYSLDDCIEYIQEDELVEVTPSSIRMCKNPKFSKKSNR >ONH98361 pep chromosome:Prunus_persica_NCBIv2:G7:20956975:20958141:1 gene:PRUPE_7G246300 transcript:ONH98361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSNTAFFVAMAALALVMNSVGAANTYEVGDAFGWVVAPSVTYSTWASNKTFTVGDVLVFKFSTGNHDVAKVTKANYDSCSATSPISLETKGPANLTLSTSGEHYYICTFGGHCAGGQKLSINVTGTSSPAPAPAPSTSSPPPSPSPSPPTAVPAPAPSTSSPPPPPSTVPAPGPSTSTTPGSGASPPSGNPSSPTTPSSPTPEGSASPPPPPPSAATSLRVAGLSATFLSIALALLF >ONH98838 pep chromosome:Prunus_persica_NCBIv2:G7:22076448:22078373:-1 gene:PRUPE_7G268100 transcript:ONH98838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRPNVSKAELKEKLARLYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQLKERKNRSKKIRGVKKTKAGDAAKAGKKK >ONH95670 pep chromosome:Prunus_persica_NCBIv2:G7:11955428:11956147:1 gene:PRUPE_7G084100 transcript:ONH95670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDESDYYCIHVRKTTEEPTLNNLSQNQNQGVPIKFELYSSTDNAWTMAEDDNFEHPVRIIQEPLDLITETTIRVPCASSAELLSNTGRHTVVPMVTEVLNTLNIPEHEHQSVVNKLFSAFVESQDLTIEPDNIFVVGIEHVIFRMHFVNDYQMVYGLGFPFVPATASSIEGLEEVSVDGLDGTCVICMENFGEDDFGGHARRLPCSHLYHEDCIVEWLETSHLCPVCRYPMPHNNAD >ONH95922 pep chromosome:Prunus_persica_NCBIv2:G7:12815498:12818055:1 gene:PRUPE_7G096200 transcript:ONH95922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQFGPEVRPPPDSKRLGATTIIKKGPCQWARLFPWKGRHKASSSPKETSSTTDVRSASTNAGPAVENPNPRDQAALPSEGLASRETPSSGLPKEISMTTRGPPSPRESTNAAGPAAAAAVENPNPRDQVAILIEGKMRGTPPFSPDCAIYQVPERFRNGNEQHYKPRVVPIGPYHSYHGSFPQMQSYKLKYVEAFTSRNGLGFIDQCLGFVRSCEIIARRYYVVPIDLSSDDFAELMLVDAIFVLELILRYQFAQYIDDGDRIYHKPRMIADVFLDVVLLIENQIPFFLLEGLYDLVDSRYKGNLSFFVDLTHEFLKDYVKIDEFPADHAPVPQVNHGVKHFVDFIRYYYLPPSPVEGENHDRPREGENPNSHPRVEEIPPSVTVLDDAGVQFVTRRTTFSLDIQFNNGSLIIPNFRVDDWTETLFRNLIAFEQCHDHQMKYISQFIFLMGGMINTSKDVDLLIEHGIISSMLGSNDDLSALFNRIGQGVAVNAQTYRYLILSQRLNAYCKAPWHIWKAILNRDYFNTPWKLASTIAAIILLVLTLIQTICSILSL >ONH95318 pep chromosome:Prunus_persica_NCBIv2:G7:10237834:10239978:-1 gene:PRUPE_7G063600 transcript:ONH95318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPEFPDLGRHCYLFRRKTDDLIIAVTAVLIALQKIDFLPFTCNSCHQVFCLEHRSYIKHNCPKANKQNVTVVVCPLCAKGVHLIPDEDANITWERHVNTECDPSNYEKATKKKKCPVPGCKELLTFSNIIKCRDCTVNHCLKHQFGPDHKCPGPKKPTTSFPFSGLLSGTSSFRASAEASVAKLSSELSQKWQIAKDGAGQSSSSGSRNGQLEECPQCGAKFSFVTTLVDHVEKVHEKGGDRAEVKKATIDACPKCSKGFRDPAALVEHVERDHGGISRT >ONH97529 pep chromosome:Prunus_persica_NCBIv2:G7:18505703:18507848:1 gene:PRUPE_7G195100 transcript:ONH97529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTEVKRILGSSWLGRNSFVTKYGGKFSTGCIDVLLILIPHLLIFSLKGLQKSRAKRIEKSTSESTSVDIPNDIIFDVLTRLPTNSLCHMRCVSKTTLRMVDNPYFATLHTPRLLNSSGTNYAAAVEVPQLMLLAQSWSSRAKRSTALQSLKYSGEHGLTKSKHWHAKILSEFGNYQVDFVFCNLVCFKHEIRTFGLLLNPLRGQVLELPKNHLLPTYGKKWYGMGFDSITSTHKIVCISQIDRYRNLIRKHPDILVAHVYTLGARSSSWQEIHQVPQCEFTSKNVSAYGDMHWLIDRDVIGGSPNYIMSFDFKKDEFVWNPYPNSSQGFVCGSHDMHLLNLGGCLAIVSSGHFSVEIWVLKNYEKKESQRLTTIKFAVAQQTYSLHVHPLVWTKPIFVQ >ONH98223 pep chromosome:Prunus_persica_NCBIv2:G7:20529930:20532933:1 gene:PRUPE_7G236600 transcript:ONH98223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTHQIFRPLIPAACVSFRRLSTSKLLPSPRPKALKHRHLSLQTTQNSKWAVRLSLLDQSPPKSKPKVDVDRLVDFLYEDLVHLFDDQGIDRTAYDERVKFRDPITKHDTITGYLLNISFLKIVFTPKFQLHWVKQTGPYEITTRWTMVMKFIPLPWKPELVFTGTSVMGINPETGKFCSHVDFWDSIKTNDYFSVEGLLDVFKQLRFYKTPDLETPKYEILKRTANYEVRKYSPFIVVEGSVDKLSGSAGFNDVTGYIFGKNSKMEKIPMTTPVFTEASDAEFSKVSIKICLPLEKDISS >ONH98222 pep chromosome:Prunus_persica_NCBIv2:G7:20530045:20532180:1 gene:PRUPE_7G236600 transcript:ONH98222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTHQIFRPLIPAACVSFRRLSTSKLLPSPRPKALKHRHLSLQTTQNSKWAVRLSLLDQSPPKSKPKVDVDRLVDFLYEDLVHLFDDQGIDRTAYDERVKFRDPITKHDTITGYLLNISFLKIVFTPKFQLHWVKQTGPYEITTRWTMVMKFIPLPWKPELVFTGTSVMGINPETGKFCSHVDFWDSIKTNDYFSVEGLLDVFKQLRFYKTPDLETPKYEILKRTANYEVRKYSPFIVVEGSVDKLSGSAGFNDVTGYIFGKNSKMEKIPMTTPVFTEASDAEFSKVSIKICLPLEKDISSLPDPNQETIRLKKVEGGTAAVLKFSGKPTEEIVREKEKELRSSLIRDGLKLKNGCLLARYNDPGRTKSFVMVWLL >ONH98221 pep chromosome:Prunus_persica_NCBIv2:G7:20529930:20532957:1 gene:PRUPE_7G236600 transcript:ONH98221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTHQIFRPLIPAACVSFRRLSTSKLLPSPRPKALKHRHLSLQTTQNSKWAVRLSLLDQSPPKSKPKVDVDRLVDFLYEDLVHLFDDQGIDRTAYDERVKFRDPITKHDTITGYLLNISFLKIVFTPKFQLHWVKQTGPYEITTRWTMVMKFIPLPWKPELVFTGTSVMGINPETGKFCSHVDFWDSIKTNDYFSVEGLLDVFKQLRFYKTPDLETPKYEILKRTANYEVRKYSPFIVVEGSVDKLSGSAGFNDVTGYIFGKNSKMEKIPMTTPVFTEASDAEFSKVSIKICLPLEKDISSLPDPNQETIRLKKVEGGTAAVLKFSGKPTEEIVREKEKELRSSLIRDGLKLKNGCLLARYNDPGRTKSFVMRNEVLIWLEEFTLD >ONH98220 pep chromosome:Prunus_persica_NCBIv2:G7:20529930:20533578:1 gene:PRUPE_7G236600 transcript:ONH98220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTHQIFRPLIPAACVSFRRLSTSKLLPSPRPKALKHRHLSLQTTQNSKWAVRLSLLDQSPPKSKPKVDVDRLVDFLYEDLVHLFDDQGIDRTAYDERVKFRDPITKHDTITGYLLNISFLKIVFTPKFQLHWVKQTGPYEITTRWTMVMKFIPLPWKPELVFTGTSVMGINPETGKFCSHVDFWDSIKTNDYFSVEGLLDVFKQLRFYKTPDLETPKYEILKRTANYEVRKYSPFIVVEGSVDKLSGSAGFNDVTGYIFGKNSKMEKIPMTTPVFTEASDAEFSKVSIKICLPLEKDISSLPDPNQETIRLKKVEGGTAAVLKFSGKPTEEIVREKEKELRSSLIRDGLKLKNGCLLARYNDPGRTKSFVMRNEVLIWLEEFTLD >ONH98868 pep chromosome:Prunus_persica_NCBIv2:G7:22154622:22158918:-1 gene:PRUPE_7G269900 transcript:ONH98868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGESPPSDSTSDPSLSRNVSFSRLNAQAPEFVPTNRSGSTPTSGPTPAPGPAAPPVSVGQVHVYSPPSVPFHVPIQVVPPPPPHVVPVPVHHHHLPVQYHHHHQYHYSGFGDQEATVQHKQQQQQLGEQAQADHASSSSSKTHKLSDEATSKILNQVEYYFSDLNLATTDHLMRFINKDPEGFVPISVVASFKKIKALVNSQSQLATVLRNSSKLVVHEDGKKVRRQHPLTQSDMEELQSRIVVAENLPEDHCHQNLMKVFSAAGSVKTIRTCQPQTSNSSASPASRSTKADSTLFSNKLHAFVEYESPELAEKAVAELNDEANWRSGLKVRLMLRRVVYAQNYGSLLVKSCSSAGEKGARWGSAIRRRGCFFTGTAGQ >ONH98867 pep chromosome:Prunus_persica_NCBIv2:G7:22153579:22159098:-1 gene:PRUPE_7G269900 transcript:ONH98867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGESPPSDSTSDPSLSRNVSFSRLNAQAPEFVPTNRSGSTPTSGPTPAPGPAAPPVSVGQVHVYSPPSVPFHVPIQVVPPPPPHVVPVPVHHHHLPVQYHHHHQYHYSGFGDQEATVQHKQQQQQLGEQAQADHASSSSSKTHKLSDEATSKILNQVEYYFSDLNLATTDHLMRFINKDPEGFVPISVVASFKKIKALVNSQSQLATVLRNSSKLVVHEDGKKVRRQHPLTQSDMEELQSRIVVAENLPEDHCHQNLMKVFSAAGSVKTIRTCQPQTSNSSASPASRSTKADSTLFSNKLHAFVEYESPELAEKAVAELNDEANWRSGLKVRLMLRRVSKAAQVRGKKGQDGEVQLDEEDASSPEQQANEKQSVDSSQQSDAHSHEHGEEHGNDKEVGQRKGRNRGRGKGRGRGQYHHNTNRGNHVGPPPHNLVGSIEQPGVAKQPPGPRMPDGTRGFAMGRGKPVAVNIA >ONH97952 pep chromosome:Prunus_persica_NCBIv2:G7:19758666:19758917:-1 gene:PRUPE_7G220700 transcript:ONH97952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGNFIIKHESNAVKGSLAQKKKKKKTVMDGKDQSLKKRMLISGLLGLTMFLFISFLSQKFCGWVPQPLKFVLRPTTCLYFS >ONH95206 pep chromosome:Prunus_persica_NCBIv2:G7:9627742:9628353:-1 gene:PRUPE_7G056900 transcript:ONH95206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVHTEKEKERVFMSSPLVPMKHDKKKPFAGLYVHTGVQRCEKFPGISAVRSIYDAIPINVKRNLEAVYFLHPGLQARLFLATFGRFLFTGGSQLHDKKKPFAGLYVHTGVQRCEKFPGISAVRSIYDAIPINVKRNLEAVYFLHPGLQARLFLATFGRFLFTGGCMGS >ONH96029 pep chromosome:Prunus_persica_NCBIv2:G7:13269490:13272893:-1 gene:PRUPE_7G103000 transcript:ONH96029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVDASSSSYDRMKEVKEFDESKMGVKGLSDSGITSIPQIFVHDPQTLSDLKPSSKPTAAVKPTIDLSHITSPAHRPNIVDQVKAAAKTFGFFQVTNHGVPVSVLDETVNAVKAFHEQPHQVKAEYYKRDEGKGVMYASNNDLYRTTAASWHDSLQMWMGPEPPNVEEIPEVCREELAAWDLHATKVADDVMELLSEGLGLERGRFKELTFSDSRVLVGHCYPYCPQPDLTVGIKAHTDPGIVTVLLQNHVPGLQVRHENEWLDVKPVPGGLIINVGDFLQGGAGLSSTP >ONH95763 pep chromosome:Prunus_persica_NCBIv2:G7:12308407:12316262:-1 gene:PRUPE_7G089500 transcript:ONH95763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPSSSVLPRTKSDQLAETVAAAFKSPPLGEAIVGSADGSSTLSRKSSRRMMGASPGRGSGSVGKNTHIRKSRSAQMKLDLDEVSSGAALSRASSASLGFSFSFTGFTVPPDNIADSKPFSDDDDIPEDLEAGTTRKPKFQTEPTLPIYLKFTDVTYKIILKGMRTSEEKDILNGITGSVHPGEVLALMGPSGSGKTSLLNLLGGRAVQANVTGSITYNDQTYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLSKTLTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMVYFSSIGCSPLIAMNPAEFLLDLANGNINDVSIPSELEDKVQMGNSEAADTRNGKPSPAVVHDYLVEAYETRVADEEKKKIMVPLPLDDELKLKVSISKREWGGSWWEQFSILFCRGIKERRHDYFSWLRITQVLSTAVILGLLWWQSDSNNPKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLTKERAADMYRLSAYFVARTTSDLPLDLLLPVLFLVIVYFMAGLRLSADTFFLSMLIVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVKKVPVFISWIRYMSFNYHTYRLLLKVQYEAITPAINGLSTDCGLTGVGALVAMVFGYRLLAYLSLRRMKLQGGA >ONH95764 pep chromosome:Prunus_persica_NCBIv2:G7:12309526:12312448:-1 gene:PRUPE_7G089500 transcript:ONH95764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSEEKDILNGITGSVHPGEVLALMGPSGSGKTSLLNLLGGRAVQANVTGSITYNDQTYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLSKTLTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMVYFSSIGCSPLIAMNPAEFLLDLANGNINDVSIPSELEDKVQMGNSEAADTRNGKPSPAVVHDYLVEAYETRVADEEKKKIMVPLPLDDELKLKVSISKREWGGSWWEQFSILFCRGIKERRHDYFSWLRITQVLSTAVILGLLWWQSDSNNPKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLTKERAADMYRLSAYFVARTTSDLPLDLLLPVLFLVIVYFMAGLRLSADTFFLSMLIVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVKKVPVFISWIRYMSFNYHTYRLLLKVQYEAITPAINGLSTDCGLTGVGALVAMVFGYRLLAYLSLRRMKLQGGA >ONH95761 pep chromosome:Prunus_persica_NCBIv2:G7:12308407:12315460:-1 gene:PRUPE_7G089500 transcript:ONH95761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPSSSVLPRTKSDQLAETVAAAFKSPPLGEAIVGSADGSSTLSRKSSRRMMGASPGRGSGSVGKNTHIRKSRSAQMKLDLDEVSSGAALSRASSASLGFSFSFTGFTVPPDNIADSKPFSDDDDIPEDLEAGTTRKPKFQTEPTLPIYLKFTDVTYKIILKGMRTSEEKDILNGITGSVHPGEVLALMGPSGSGKTSLLNLLGGRAVQANVTGSITYNDQTYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLSKTLTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMVYFSSIGCSPLIAMNPAEFLLDLANGNINDVSIPSELEDKVQMGNSEAADTRNGKPSPAVVHDYLVEAYETRVADEEKKKIMVPLPLDDELKLKVSISKREWGGSWWEQFSILFCRGIKERRHDYFSWLRITQVLSTAVILGLLWWQSDSNNPKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLTKERAADMYRLSAYFVARTTSDLPLDLLLPVLFLVIVYFMAGLRLSADTFFLSMLIVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVKKVPVFISWIRYMSFNYHTYRLLLKVQYEAITPAINGLSTDCGLTGVGALVAMVFGYRLLAYLSLRRMKLQGGA >ONH95762 pep chromosome:Prunus_persica_NCBIv2:G7:12308407:12316171:-1 gene:PRUPE_7G089500 transcript:ONH95762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPSSSVLPRTKSDQLAETVAAAFKSPPLGEAIVGSADGSSTLSRKSSRRMMGASPGRGSGSVGKNTHIRKSRSAQMKLDLDEVSSGAALSRASSASLGFSFSFTGFTVPPDNIADSKPFSDDDDIPEDLEAGTTRKPKFQTEPTLPIYLKFTDVTYKIILKGMRTSEEKDILNGITGSVHPGEVLALMGPSGSGKTSLLNLLGGRAVQANVTGSITYNDQTYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLSKTLTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMVYFSSIGCSPLIAMNPAEFLLDLANGNINDVSIPSELEDKVQMGNSEAADTRNGKPSPAVVHDYLVEAYETRVADEEKKKIMVPLPLDDELKLKVSISKREWGGSWWEQFSILFCRGIKERRHDYFSWLRITQVLSTAVILGLLWWQSDSNNPKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLTKERAADMYRLSAYFVARTTSDLPLDLLLPVLFLVIVYFMAGLRLSADTFFLSMLIVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVKKVPVFISWIRYMSFNYHTYRLLLKVQYEAITPAINGLSTDCGLTGVGALVAMVFGYRLLAYLSLRRMKLQGGA >ONH95760 pep chromosome:Prunus_persica_NCBIv2:G7:12308407:12316247:-1 gene:PRUPE_7G089500 transcript:ONH95760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLPSSSVLPRTKSDQLAETVAAAFKSPPLGEAIVGSADGSSTLSRKSSRRMMGASPGRGSGSVGKNTHIRKSRSAQMKLDLDEVSSGAALSRASSASLGFSFSFTGFTVPPDNIADSKPFSDDDDIQDLEAGTTRKPKFQTEPTLPIYLKFTDVTYKIILKGMRTSEEKDILNGITGSVHPGEVLALMGPSGSGKTSLLNLLGGRAVQANVTGSITYNDQTYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLSKTLTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMVYFSSIGCSPLIAMNPAEFLLDLANGNINDVSIPSELEDKVQMGNSEAADTRNGKPSPAVVHDYLVEAYETRVADEEKKKIMVPLPLDDELKLKVSISKREWGGSWWEQFSILFCRGIKERRHDYFSWLRITQVLSTAVILGLLWWQSDSNNPKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLTKERAADMYRLSAYFVARTTSDLPLDLLLPVLFLVIVYFMAGLRLSADTFFLSMLIVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGFFVKKVPVFISWIRYMSFNYHTYRLLLKVQYEAITPAINGLSTDCGLTGVGALVAMVFGYRLLAYLSLRRMKLQGGA >ONH96293 pep chromosome:Prunus_persica_NCBIv2:G7:14308901:14312763:-1 gene:PRUPE_7G118700 transcript:ONH96293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQTVKARQIFDSRGNPTVEVDIVLSDGTLARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSIIGPALIGKDPSEQTAIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVAKAGASVKKIPLYKHIANLAGNKNLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYGSDQTYDLNFKEEKNDGSQKISGNALKDLYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAECGEQVQIVGDDLLVTNPKRVEKAIKEKSCNALLLKVNQIGSVTESIEAVRMSKKAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGAKFRVPVEPY >ONH97307 pep chromosome:Prunus_persica_NCBIv2:G7:17788128:17793847:-1 gene:PRUPE_7G183100 transcript:ONH97307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAAAEAAEAAALLEAKGANGTKPPSSSSSGMTKKKKGKQDSQKAAPKAKKRNLPQSSEEEPSRSRKMPKRAAACKDFKDRSVHISEKSSLIESKEDQIVEEEILAVRLTCGPDQDAVRPNRRLTDFVLHDATGSAQPLEMLEVSDMFISGAILPLNESSDKDKGRGVRCEGFGRIESWDISGYEDGSPVIWLSTEVADYDCRKPASSYKKYFDQFFEKARACIEVYKKLSKSNSDPTLDELLAGIARSMSGSKFFSGSASVKDFVLSQGEFIYAQVIGLEETSKKNDRPFAELPVLAALRDESIKRGNFVQSKPGISSGTLKIGGENGVDSAGSSVVEAEENEDAKLAKLLQEEEYWKSMKQRKRQGPASVSSKYYIKINEDEIANDYPLPAYYKNSIEETDEFIVFDNEFDICNADDLPQSMLHNWCLYNSDSRLISLELLPMKPCADIDVTIFGSGVMSEDDGSGFCLDSDGTSSGPGAQDADGMPIYLSAIKEWMIELGASMVSISIRTDMAWYRLGKPSKQYALWYEPILRTAKIGRSIITMLKDQSRVARLSFADVIKRLSGFQKDHCAYISSDPAFVERYVVVHGQIILQLFSEFPDAQIKKCPFVIGLTKKMEERHHTKWLVKKKKLVEKSESNLNPRASMAPVVSKRKTMQATTTRLINRIWGEYYSNYSPEDSKEGDIGEKKEEEEVEEEDVEEDDVEENPTVMEQAQKPSSISRQTKSCLNNREILWEGEPVGQTCSGEALYKRAILWGEEISVGGAVLVELDESNELPAIYFVEYMYETLNGSKMFHGRVMERGSQTVLGNTANEREVFLTNECTNLALKEVKQAAAVDIKVMPWGHQYRKDNADANRTDRARAEERKRKGLPTEYYCKSLYCPERGAFLSLSRDTMGLGSGACHSCKMNEAEEAKEVFKVNSSKTGFVYRGVEYSVHDYVYVSPHYFGVERMETEIFKAGRNLGLKAYVVCQVLEIVVMKESKRPEIESTQVKVRRFFRPEDISVEKAYSSDIREVYYSEQTHIVPVDNIERKCEVRKKSDLPVCNAPVIFQHIFFCEHLYDPSKGSIKQLPAHIKLRYSTGGGDADSRKRKGKCKEGENVSEVENQRVDSEQKRLATLDIFAGCGGLSDGLRQSGASITKWAIEYEEPAGDAFKLNHPESLVFINNCNVILRAVMEKCGDTDDCIATSEAAELAASLDEKVKNDLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYFRPKYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAAAPGEILPEWPEPMHVFGVPELKITLSGNSQYAAVRSTASGAPFRSITVRDTIGDLPAVGNGASKVNLEYESDPISWFQKKIRGEMAVLTDHISKEMNELNLIRCQRIPKRPGADWQCLPDEKVKLSTGQIVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFADSYQFSGTILHKHRQIGNAVPPTLAYALGTKLKEAIDSKRLSSQE >ONH97308 pep chromosome:Prunus_persica_NCBIv2:G7:17788128:17794244:-1 gene:PRUPE_7G183100 transcript:ONH97308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAAAEAAEAAALLEAKGANGTKPPSSSSSGMTKKKKGKQDSQKAAPKAKKRNLPQSSEEEPSRSRKMPKRAAACKDFKDRSVHISEKSSLIESKEDQIVEEEILAVRLTCGPDQDAVRPNRRLTDFVLHDATGSAQPLEMLEVSDMFISGAILPLNESSDKDKGRGVRCEGFGRIESWDISGYEDGSPVIWLSTEVADYDCRKPASSYKKYFDQFFEKARACIEVYKKLSKSNSDPTLDELLAGIARSMSGSKFFSGSASVKDFVLSQGEFIYAQVIGLEETSKKNDRPFAELPVLAALRDESIKRGNFVQSKPGISSGTLKIGGENGVDSAGSSVVEAEENEDAKLAKLLQEEEYWKSMKQRKRQGPASVSSKYYIKINEDEIANDYPLPAYYKNSIEETDEFIVFDNEFDICNADDLPQSMLHNWCLYNSDSRLISLELLPMKPCADIDVTIFGSGVMSEDDGSGFCLDSDGTSSGPGAQDADGMPIYLSAIKEWMIELGASMVSISIRTDMAWYRLGKPSKQYALWYEPILRTAKIGRSIITMLKDQSRVARLSFADVIKRLSGFQKDHCAYISSDPAFVERYVVVHGQIILQLFSEFPDAQIKKCPFVIGLTKKMEERHHTKWLVKKKKLVEKSESNLNPRASMAPVVSKRKTMQATTTRLINRIWGEYYSNYSPEDSKEGDIGEKKEEEEVEEEDVEEDDVEENPTVMEQAQKPSSISRQTKSCLNNREILWEGEPVGQTCSGEALYKRAILWGEEISVGGAVLVELDESNELPAIYFVEYMYETLNGSKMFHGRVMERGSQTVLGNTANEREVFLTNECTNLALKEVKQAAAVDIKVMPWGHQYRKDNADANRTDRARAEERKRKGLPTEYYCKSLYCPERGAFLSLSRDTMGLGSGACHSCKMNEAEEAKEVFKVNSSKTGFVYRGVEYSVHDYVYVSPHYFGVERMETEIFKAGRNLGLKAYVVCQVLEIVVMKESKRPEIESTQVKVRRFFRPEDISVEKAYSSDIREVYYSEQTHIVPVDNIERKCEVRKKSDLPVCNAPVIFQHIFFCEHLYDPSKGSIKQLPAHIKLRYSTGGGDADSRKRKGKCKEGENVSEVENQRVDSEQKRLATLDIFAGCGGLSDGLRQSGASITKWAIEYEEPAGDAFKLNHPESLVFINNCNVILRAVMEKCGDTDDCIATSEAAELAASLDEKVKNDLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYFRPKYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAAAPGEILPEWPEPMHVFGVPELKITLSGNSQYAAVRSTASGAPFRSITVRDTIGDLPAVGNGASKVNLEYESDPISWFQKKIRGEMAVLTDHISKEMNELNLIRCQRIPKRPGADWQCLPDEKVKLSTGQIVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFADSYQFSGTILHKHRQIGNAVPPTLAYALGTKLKEAIDSKRLSSQE >ONH98350 pep chromosome:Prunus_persica_NCBIv2:G7:20913286:20915630:-1 gene:PRUPE_7G245200 transcript:ONH98350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFQSLWPDVQAQNYNYNAQNYRIESGSSSKCNKKIATRDPTADHVTEERGVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLETNNQPKLDNDSEKHLISRAALLNK >ONH98349 pep chromosome:Prunus_persica_NCBIv2:G7:20913286:20916738:-1 gene:PRUPE_7G245200 transcript:ONH98349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCIDVATEQLCYIPCNFCNIVLAVSVPCSSLLDIVTVRCGHCTNLWSVNMAAAFQSLWPDVQAQNYNYNAQNYRIESGSSSKCNKKIATRDPTADHVTEERGVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLETNNQPKLDNDSEKHLISRAALLNK >ONH97986 pep chromosome:Prunus_persica_NCBIv2:G7:19816326:19824115:-1 gene:PRUPE_7G222000 transcript:ONH97986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILRDCVQHSLRDFMYRNAIFLCERLCAEYPSETNLQLLAGCYLQSNQAYAAYHILKGTQVAQSRYLFAISCFQMDLLSEAEAALCPVNEPSAEVPNGAAGHYLLGLIYRYTDRRKSAIHHFKQALTIDPSMWAAYEELCVLGSAEEAAVVFGEAASLSIQKQYLHHGLASQSLLTLNEDCNLVSGRNFSSEDVSQRQFKHMQGNNIRDVSGNSHVILGGASGQPMNGSSSLSFYNTPSPMPMQLSGVAPPALCRMVQPNGPNMSTGTDSSPRSTVNSTIQAPRRKFVDEGKLRKISSRLSFDSGNRRSNRLAAEAGANTNASASMTAGNGTTNSSKYLGSSKLSSVARSLANRKGQPWANENIDEAVASGFTPSSDNRYLEQEGTTLSGSGGIMNVSRVVTGASEILSLLRTLGEGYRLSCLYRCQDALDVYLKLPYKHYNTGWVLSQVGKAYCELLEYAEADRAFSLARQASPYSLEGMDIYSTVLYHVKEDMKLSYLAQELIATDRVAPQSWVAMGNCYSLQKDHETALKNFQRAVQLNSRFTYAHTLCGHEYVALEDFENGIKSYQSALRVDARHYNSWYGLGMVYHRQEKFEFAEHHFRTAFQINPRSSIIMTYLGQALHALKRSEEALVIMDKAIIADKKNPLPMYQKANILMSLERFDEALAVLEEVKEYSPRESSVYALMGKIYKRRNMHEKAMLHFGFALDLKPSATDVATIKAAIEKLHVPDEDDDV >ONH97987 pep chromosome:Prunus_persica_NCBIv2:G7:19816759:19824003:-1 gene:PRUPE_7G222000 transcript:ONH97987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILRDCVQHSLRDFMYRNAIFLCERLCAEYPSETNLQLLAGCYLQSNQAYAAYHILKGTQVAQSRYLFAISCFQMDLLSEAEAALCPVNEPSAEVPNGAAGHYLLGLIYRYTDRRKSAIHHFKQALTIDPSMWAAYEELCVLGSAEEAAVVFGEAASLSIQKQYLHHGLASQSLLTLNEDCNLVSGRNFSSEDVSQRQFKHMQGNNIRDVSGNSHVILGGASGQPMNGSSSLSFYNTPSPMPMQLSGVAPPALCRMVQPNGPNMSTGTDSSPRSTVNSTIQAPRRKFVDEGKLRKISSRLSFDSGNRRSNRLAAEAGANTNASASMTAGNGTTNSSKYLGSSKLSSVARSLANRKGQPWANENIDEGMRNETFDDSRSNTAAVASGFTPSSDNRYLEQEGTTLSGSGGIMNVSRVVTGASEILSLLRTLGEGYRLSCLYRCQDALDVYLKLPYKHYNTGWVLSQVGKAYCELLEYAEADRAFSLARQASPYSLEGMDIYSTVLYHVKEDMKLSYLAQELIATDRVAPQSWVAMGNCYSLQKDHETALKNFQRAVQLNSRFTYAHTLCGHEYVALEDFENGIKSYQSALRVDARHYNSWYGLGMVYHRQEKFEFAEHHFRTAFQINPRSSIIMTYLGQALHALKRSEEALVIMDKAIIADKKNPLPMYQKANILMSLERFDEALAVLEEVKEYSPRESSVYALMGKIYKRRNMHEKAMLHFGFALDLKPSATDVATIKAAIEKLHVPDEDDDV >ONH97989 pep chromosome:Prunus_persica_NCBIv2:G7:19816327:19822423:-1 gene:PRUPE_7G222000 transcript:ONH97989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAAYEELCVLGSAEEAAVVFGEAASLSIQKQYLHHGLASQSLLTLNEDCNLVSGRNFSSEDVSQRQFKHMQGNNIRDVSGNSHVILGGASGQPMNGSSSLSFYNTPSPMPMQLSGVAPPALCRMVQPNGPNMSTGTDSSPRSTVNSTIQAPRRKFVDEGKLRKISSRLSFDSGNRRSNRLAAEAGANTNASASMTAGNGTTNSSKYLGSSKLSSVARSLANRKGQPWANENIDEGMRNETFDDSRSNTAAVASGFTPSSDNRYLEQEGTTLSGSGGIMNVSRVVTGASEILSLLRTLGEGYRLSCLYRCQDALDVYLKLPYKHYNTGWVLSQVGKAYCELLEYAEADRAFSLARQASPYSLEGMDIYSTVLYHVKEDMKLSYLAQELIATDRVAPQSWVAMGNCYSLQKDHETALKNFQRAVQLNSRFTYAHTLCGHEYVALEDFENGIKSYQSALRVDARHYNSWYGLGMVYHRQEKFEFAEHHFRTAFQINPRSSIIMTYLGQALHALKRSEEALVIMDKAIIADKKNPLPMYQKANILMSLERFDEALAVLEEVKEYSPRESSVYALMGKIYKRRNMHEKAMLHFGFALDLKPSATDVATIKAAIEKLHVPDEDDDV >ONH97988 pep chromosome:Prunus_persica_NCBIv2:G7:19816327:19824115:-1 gene:PRUPE_7G222000 transcript:ONH97988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSEAEAALCPVNEPSAEVPNGAAGHYLLGLIYRYTDRRKSAIHHFKQALTIDPSMWAAYEELCVLGSAEEAAVVFGEAASLSIQKQYLHHGLASQSLLTLNEDCNLVSGRNFSSEDVSQRQFKHMQGNNIRDVSGNSHVILGGASGQPMNGSSSLSFYNTPSPMPMQLSGVAPPALCRMVQPNGPNMSTGTDSSPRSTVNSTIQAPRRKFVDEGKLRKISSRLSFDSGNRRSNRLAAEAGANTNASASMTAGNGTTNSSKYLGSSKLSSVARSLANRKGQPWANENIDEGMRNETFDDSRSNTAAVASGFTPSSDNRYLEQEGTTLSGSGGIMNVSRVVTGASEILSLLRTLGEGYRLSCLYRCQDALDVYLKLPYKHYNTGWVLSQVGKAYCELLEYAEADRAFSLARQASPYSLEGMDIYSTVLYHVKEDMKLSYLAQELIATDRVAPQSWVAMGNCYSLQKDHETALKNFQRAVQLNSRFTYAHTLCGHEYVALEDFENGIKSYQSALRVDARHYNSWYGLGMVYHRQEKFEFAEHHFRTAFQINPRSSIIMTYLGQALHALKRSEEALVIMDKAIIADKKNPLPMYQKANILMSLERFDEALAVLEEVKEYSPRESSVYALMGKIYKRRNMHEKAMLHFGFALDLKPSATDVATIKAAIEKLHVPDEDDDV >ONH97985 pep chromosome:Prunus_persica_NCBIv2:G7:19816327:19824115:-1 gene:PRUPE_7G222000 transcript:ONH97985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILRDCVQHSLRDFMYRNAIFLCERLCAEYPSETNLQLLAGCYLQSNQAYAAYHILKGTQVAQSRYLFAISCFQMDLLSEAEAALCPVNEPSAEVPNGAAGHYLLGLIYRYTDRRKSAIHHFKQALTIDPSMWAAYEELCVLGSAEEAAVVFGEAASLSIQKQYLHHGLASQSLLTLNEDCNLVSGRNFSSEDVSQRQFKHMQGNNIRDVSGNSHVILGGASGQPMNGSSSLSFYNTPSPMPMQLSGVAPPALCRMVQPNGPNMSTGTDSSPRSTVNSTIQAPRRKFVDEGKLRKISSRLSFDSGNRRSNRLAAEAGANTNASASMTAGNGTTNSSKYLGSSKLSSVARSLANRKGQPWANENIDEVASGFTPSSDNRYLEQEGTTLSGSGGIMNVSRVVTGASEILSLLRTLGEGYRLSCLYRCQDALDVYLKLPYKHYNTGWVLSQVGKAYCELLEYAEADRAFSLARQASPYSLEGMDIYSTVLYHVKEDMKLSYLAQELIATDRVAPQSWVAMGNCYSLQKDHETALKNFQRAVQLNSRFTYAHTLCGHEYVALEDFENGIKSYQSALRVDARHYNSWYGLGMVYHRQEKFEFAEHHFRTAFQINPRSSIIMTYLGQALHALKRSEEALVIMDKAIIADKKNPLPMYQKANILMSLERFDEALAVLEEVKEYSPRESSVYALMGKIYKRRNMHEKAMLHFGFALDLKPSATDVATIKAAIEKLHVPDEDDDV >ONH95671 pep chromosome:Prunus_persica_NCBIv2:G7:11963515:11964163:1 gene:PRUPE_7G084200 transcript:ONH95671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDANELLHLLVDASNTGLHDANGSLSKQNVERVIFPRLNAKIRFPKTYNHYLSRMKWFKKQYNKMSTLMLNNSGFGWDPIAKTLTASDKVWKDYLKSHPSHSKLREKSVVDYEDLKIVVGGGTATGNSSIAWTLMIPMQQLMGKKIEISG >ONH95984 pep chromosome:Prunus_persica_NCBIv2:G7:13059022:13061677:1 gene:PRUPE_7G100000 transcript:ONH95984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNFTFSLCFLLSLKAALFHQTWAEYGSELEFLTRELLEAARDPEFFEWMRGLRRRIHQHPELGFEEHRTSELVRSELDSLGIEYKWPVAKTGVVASIGSGSKPVFALRADMDALPLQELVDWEYKSKIDGKMHACGHDSHVAMLLGAAKLLQDKRDMLKGTVKLVFQPVPTGAVASRRGPILAGVGLFSATIQGQGGHGASPHQTRDPILAAALTTLALQQIVSRETDPLESRVVTVGYLQGGQALNVIPDSVKLGGTFRSFTSEGLSYLKERIKEIIEQQAAVHRCTAVVDFMEDRPLPHPPMTNNDALYEHVKKVGEVLLGKPNVQLLPLTMGSEDFSFFSEKTAAAIFVVGIKNETLKSDRDLHSPYFFIDEEALPIGAALHTAAAISYLDGHDDVNTQ >ONH95983 pep chromosome:Prunus_persica_NCBIv2:G7:13059022:13061677:1 gene:PRUPE_7G100000 transcript:ONH95983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNFTFSLCFLLSLKAALFHQTWAEYGSELEFLTRELLEAARDPEFFEWMRGLRRRIHQHPELGFEEHRTSELVRSELDSLGIEYKWPVAKTGVVASIGSGSKPVFALRADMDALPLQELVDWEYKSKIDGKMHACGHDSHVAMLLGAAKLLQDKRDMLKGTVKLVFQPGEEGYAGAYHMLQDGVLNDIDTILSLHVLPSVPTGAVASRRGPILAGVGLFSATIQGQGGHGASPHQTRDPILAAALTTLALQQIVSRETDPLESRVVTVGYLQGGQALNVIPDSVKLGGTFRSFTSEGLSYLKERIKEIIEQQAAVHRCTAVVDFMEDRPLPHPPMTNNDALYEHVKKVGEVLLGKPNVQLLPLTMGSEDFSFFSEKTAAAIFVVGIKNETLKSDRDLHSPYFFIDEEALPIGAALHTAAAISYLDGHDDVNTQ >ONH94641 pep chromosome:Prunus_persica_NCBIv2:G7:3906632:3910746:1 gene:PRUPE_7G024700 transcript:ONH94641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRCGVVAIFWVIRGKEVGGTFDSFRGEEGGSPDQGSVGSGTKRSSASSGSRPRNRKEFLYRFVDSGMLTAKLEDWFESISNKSEPKKPAFDVPFELIELQKFDYALEGISFQQVIRMPNAVYASTSDAVEATAYLAIEDFLHAGVKGLWEAFWSQDEPMPFSVACLYDENLKFYQAEKAVADGKLGGLCATGILLTNPRHPHGKWDQILELALLRPDIRNFAMDSDRQLPLSVLGEALFYALRVLLSRSLSRLNYSQSSNSVFILLVDSQYGGVVKVEGDVNKLVFDVNNVYECAAEWIIKHSRIAVSSVDRIWNKLGNANWGDIGALQVLFATFHCIMQFAGFPKHSVEDLAADHGPRLQARRAERQLGDARVNGNGLFRFQQRSVSPEIVEVQDDSVKIETEQLMKLEVGSVLWLEDSNWQKGYQINQVLNNSEHPYYIASPVEDPQKRLFLYVGSHPSQLEPAWEDMNLWYQVQRQTKILTIMKQKGLSSKYLPQLSASGRIIHPGQCQRPSSGGNCDHPWCGTPILVTSPVGETVSQLISEARFGMEEAVRCCHDCLSALSTAASAGIRHGDIRPENVVCVRSAVRQPYFVLIGWGRAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYISCGGVLPDLDSVEGALQWRETSWSRRLIQQKLGDASTVLKAFADYVDSLCGTPYPMDYDIWLRRLRRNINEDDHGKEIDTSG >ONH94642 pep chromosome:Prunus_persica_NCBIv2:G7:3906632:3910746:1 gene:PRUPE_7G024700 transcript:ONH94642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRCGVVAIFWVIRGKEVGGTFDSFRGGSPDQGSVGSGTKRSSASSGSRPRNRKEFLYRFVDSGMLTAKLEDWFESISNKSEPKKPAFDVPFELIELQKFDYALEGISFQQVIRMPNAVYASTSDAVEATAYLAIEDFLHAGVKGLWEAFWSQDEPMPFSVACLYDENLKFYQAEKAVADGKLGGLCATGILLTNPRHPHGKWDQILELALLRPDIRNFAMDSDRQLPLSVLGEALFYALRVLLSRSLSRLNYSQSSNSVFILLVDSQYGGVVKVEGDVNKLVFDVNNVYECAAEWIIKHSRIAVSSVDRIWNKLGNANWGDIGALQVLFATFHCIMQFAGFPKHSVEDLAADHGPRLQARRAERQLGDARVNGNGLFRFQQRSVSPEIVEVQDDSVKIETEQLMKLEVGSVLWLEDSNWQKGYQINQVLNNSEHPYYIASPVEDPQKRLFLYVGSHPSQLEPAWEDMNLWYQVQRQTKILTIMKQKGLSSKYLPQLSASGRIIHPGQCQRPSSGGNCDHPWCGTPILVTSPVGETVSQLISEARFGMEEAVRCCHDCLSALSTAASAGIRHGDIRPENVVCVRSAVRQPYFVLIGWGRAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYISCGGVLPDLDSVEGALQWRETSWSRRLIQQKLGDASTVLKAFADYVDSLCGTPYPMDYDIWLRRLRRNINEDDHGKEIDTSG >ONH94640 pep chromosome:Prunus_persica_NCBIv2:G7:3905026:3910748:1 gene:PRUPE_7G024700 transcript:ONH94640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSPDQGSVGSGTKRSSASSGSRPRNRKEFLYRFVDSGMLTAKLEDWFESISNKSEPKKPAFDVPFELIELQKFDYALEGISFQQVIRMPNAVYASTSDAVEATAYLAIEDFLHAGVKGLWEAFWSQDEPMPFSVACLYDENLKFYQAEKAVADGKLGGLCATGILLTNPRHPHGKWDQILELALLRPDIRNFAMDSDRQLPLSVLGEALFYALRVLLSRSLSRLNYSQSSNSVFILLVDSQYGGVVKVEGDVNKLVFDVNNVYECAAEWIIKHSRIAVSSVDRIWNKLGNANWGDIGALQVLFATFHCIMQFAGFPKHSVEDLAADHGPRLQARRAERQLGDARVNGNGLFRFQQRSVSPEIVEVQDDSVKIETEQLMKLEVGSVLWLEDSNWQKGYQINQVLNNSEHPYYIASPVEDPQKRLFLYVGSHPSQLEPAWEDMNLWYQVQRQTKILTIMKQKGLSSKYLPQLSASGRIIHPGQCQRPSSGGNCDHPWCGTPILVTSPVGETVSQLISEARFGMEEAVRCCHDCLSALSTAASAGIRHGDIRPENVVCVRSAVRQPYFVLIGWGRAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYISCGGVLPDLDSVEGALQWRETSWSRRLIQQKLGDASTVLKAFADYVDSLCGTPYPMDYDIWLRRLRRNINEDDHGKEIDTSG >ONH96494 pep chromosome:Prunus_persica_NCBIv2:G7:15137840:15140412:1 gene:PRUPE_7G132700 transcript:ONH96494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDKPFCNHMLLKPKDVGFSDLLHILFSKHLQRRRFCHSSEQTEHDLDEFCHSSEQTEHDLDEGRWLIFLSILVQKLLRFVAAPMAAIGWVIEMCLNIFSSKQNMVGLLQNFLRDKGMPGKSSRTFVSFIGHLDKRVELDRNIKFTDGNYNAALCMMASKISYENKFYIETTVQDHWKMEFLGSYEFWNDYQEKATTQAFVLRDRSDDHDTIVVAFRGTEPFDADAWCSDFDISWYQLEGVGRIHGGFMKALGLQKNVGWPEPEEFKQDNSHPALAYYGIRDILKKHLLENDKARYIITGHSLGGALAILFPAVLTFHNGKDSDLLLKRLEGVYTFGQPRVGDKDFGRYMEEKMIEHKIKYFRFVYGNDIVPRLPYDDKALMFKHFGTCLYYNRQYEVQVLPEEPNKNYFSPMEAIPMMMNAFGELIRSFTIPYRLGLDYKEGPLLKMFRLMGLVMPGAAAHCPQDYVNATRLGSSHVFLSHPTIIYENQMSKFKFPLN >ONH98325 pep chromosome:Prunus_persica_NCBIv2:G7:20834114:20834859:-1 gene:PRUPE_7G243200 transcript:ONH98325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLVVFSVLFAFFLMGFLRLHAASSTSFKPETSLQAKRSCPYTVIIKTSCSSTTFTRDQISLAYGDAFGNEVYAKRLDNPASRTFERCSSDKLEINGPCTRNICYLNLLRNGSDGWKPEFVKIYGPHANTVSFNFNTFLPNRVWFGHNLCHGVSAP >ONH96300 pep chromosome:Prunus_persica_NCBIv2:G7:14339277:14341227:1 gene:PRUPE_7G119400 transcript:ONH96300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRMSEKLKSKVECSGNNSSEAKECGLPLEKLNLGPRKKLLILGVGGLLCHRVYRCEKSGIPKFRCPDAAYGNFYVYKRPYCEDFMKFCLERFEVGIWSSAREWYMDSALDCVMKGLRRKLVFAWDQAECTDSGFMALEKRDKPILLKELKKIWENKGSKATLPSWIVQYSSTNTLLITTPYKALLNPPHTSICPAEYKVDQVDDMALGPKGELRLYLEGLADADDVTSYVEKHPIGQPAITSAHADWDFYSNIISHFQKD >ONH95241 pep chromosome:Prunus_persica_NCBIv2:G7:9787446:9800656:-1 gene:PRUPE_7G058600 transcript:ONH95241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEHHHDLDAEAIEPFNDGADICQQLMDRYAKSSAPQHRHLLATAAAMRSILAAESLPLTPPAYFAATISAIDDMSSSASQNLDPTGVAALLSFLAMVLPLMPPRSVSSGKAGEAVSMLIELLEREEGLAMSSVRALIKCFGVLVGFCDLEDWGSIKLGLETLLKFSVDRRPKVRKCSQDCLESVFKLLHSRAVIKEVSKLVLSKLKGYMPLAVELSSRTKNGPKNLEVLHMLNVVKLTVPFLSAKVSSKLLSEMNKLVGSRFSALTRHVLQIIEALFKTSRVNAIVSETEEAVASLASFVSKGDKNPLDTVMSAATLLKSSVFILNTGESTLWINNLPLVCGSVAGLLTSEASTAAHASGILQELISQFVDQRSLLVGESQCSEDGGHETMKASALISICTIFEDSLSTCKGLPNEHLLDVISALFLKLGGISYIYMKSILLNLANLMTLASGDKSNTDHLQKCIGTAVIAMGPERILELLPISLNASDFTCLNIWLIPILKNYVVGASLGYYLEHIMPLAKSFCRASTKVKKSITSQDLQAHARDLLGLLPAFCNLPTDICQKFGSLAEVLVTFLKDSLMHENIAVALQVLVNQNKSVLDQKDGGGETNSYDVNKMLPKFEHIPTYSKKTATRNIRALTSCSAELLQALTDLFLDSPPGKRSYLKDAIGCLASVTDSSISKKIFESLLEKFQFKDGGDEFGKVESHTDASSSEEQRNLSTREKDAQRCVIMELASSLVKGAKEDLINLIYMFAKDTLQNDDEVANREAYNALSRILEEHTWFCSSQFAELIDLLLGLRSPVDIHSLKSRFACFQTLMIHTLKVDSEVENSKSFLILNEIIVTLKDAKDEAVREAAYDILHKISVSLRDTSCVSSDGPYQKLINMIMGYLSGGSPHIKSGAVSVLSVLMYKDTDICLSMPDLVPSLLSLLQGKALEVIKAVLGFVKVLVSCLQARRLQNLLPDIVNAVLPWSPVSRHHFKSKVTIIMEILLRKCGTAAVQLVTPDKYKNFVKGILESRHNKKSSNEIATTDIGTSHEDSSTKRMEDRKCKGFGMRPEKNGSMENRARKRVKKHMPSNPRTNELHVSSGGGLKRAGRGRQSDGVKSVKDQPERSGKTNKENYNKGPKSGRKRKIDETNMSKDSAGRQRPGTNSNKSTKLFKHKKVGRKQ >ONH97944 pep chromosome:Prunus_persica_NCBIv2:G7:19733046:19735163:1 gene:PRUPE_7G220100 transcript:ONH97944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSSRSSTSKTSSPIEPPPYQIQNQHQNAAQQYQEPQNLQQQVLLQIPGCRVHLMDEGESIELANGEFILENILDENISLATIIKVGDELQWPLTKDEPVVKLDALHYLFSLPMHGGDPLSYGVTFPEQYESNLGFLDSFLREHSCFSGLSTSTKTNKGVDWKEYAPRMDDYNNVLAKAIAGGTGQIVKGIFMCSNGYTNQLQKGGEVTLARPVEEKSYVKEQGSNSSKNSGAKKKSEINKSLKRVRKLSKMTSKLSKSMLDGVGIATGSVMRPVVKSQAGKAFFAMVPGQVLLASLDAVNKILDAAEVAEKQALSATSGAATRMVSNRFGESAGEATEDVFATAGHCANTAWNIFKIRKAINPASSVSTGVLKNAAKSSSRSS >ONH96963 pep chromosome:Prunus_persica_NCBIv2:G7:16781537:16783615:-1 gene:PRUPE_7G162400 transcript:ONH96963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYMIEVNHQRIKTNGIWLHVAEKGTGPLVLLLHGFPELWYAWRHQINHLAENGYHVVAPDLRGYGDSDSPLNPNSYTIFHIVGDLVGLLDHFGQQKAYVVGHDWGAVAGWHLSLFRPDRVIGLVNLSVPYFPRSPTTKTTEAIRELYGDGTHVIQFQEPGRAERAFARYDYTTVMKKFLLITDNMIAPPDMEFIDFLDTKSALPAWLTEEDIRVFAEKFEESGFTGPFNYYRAMDLSWELLAPWQGSKITVPVKFMIGDKDFGFKSYGTSEYVLGDDFRSLVPNLEVVVIGGHHFIEQENPQEVSSQILSFLREHPVDQ >ONH96964 pep chromosome:Prunus_persica_NCBIv2:G7:16781816:16783442:-1 gene:PRUPE_7G162400 transcript:ONH96964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYMIEVNHQRIKTNGIWLHVAEKGTGPLVLLLHGFPELWYAWRHQINHLAENGYHVVAPDLRGYGDSDSPLNPNSYTIFHIVGDLVGLLDHFGQQKAYVVGHDWGAVAGWHLSLFRPDRVIGLVNLSVPYFPRSPTTKTTEAIRELYGDGTHVIQFQEPGRAERAFARYDYTTVMKKFLLITDNMIAPPDMEFIDFLDTKSALPAWLTEEDIRVFAEKFEESGFTGPFNYYRAMDL >ONH98609 pep chromosome:Prunus_persica_NCBIv2:G7:21509659:21510519:-1 gene:PRUPE_7G257100 transcript:ONH98609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFSWLSKTGLDPSLIYEYGLAFSRNELQFEDVSYFDHEFLQSMGISVAKHRLEILKLAKKERSGLGGHPRSLSTLSWALIRTKKCFSKYFNKWVFHEDLSLKDAGEGAARYQDHWRGALLRKHKATVNGEVKEEKPVMFKTRSMALSGPLDGRVQERLMANNNNNNNNHRSLKLSGPLDGKVHERLMYTNRSPRLTGPSDVNGRAPERLLVETTRSPKLSGPLQGRTHERLMVSNKSPRLSGPLDGRLVVSPNFCTPYEKERVDDVDYDDHSLWTALFQDMKPT >ONH94980 pep chromosome:Prunus_persica_NCBIv2:G7:8007572:8010457:1 gene:PRUPE_7G043700 transcript:ONH94980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPTVNETTSKSGGGGGSDASRIGEVKQWLTQEFSQAGKEVPDFEYTPRSVAYLHNLATLSQAQTQASKILATDFRLKASEYRAQAARIGEILESVGLAQEGLASNVVSSAQVLANVANLLNIRDTELSSFLVAMGDISLRKTGVEEKRAKAQKESNILLDYTRKAISRLTYLKRTLAQLEDDAGQCEAHIEHWKNNLALMLGKEKQYNQETVRFKDTLNRVGYTPDISHGVLVGLAEERKELEKKTKPVLDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYFEDVLHTALSTSK >ONH96250 pep chromosome:Prunus_persica_NCBIv2:G7:14152985:14156061:1 gene:PRUPE_7G116400 transcript:ONH96250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDQYNMSDLRQLMNGGGSRAHFPSIPLQAATELFPSHRVPPLTAPPAHHHQQHHHYEQLMMMGRPQPHDHHIINPRGLHHHEFRSPDSAAGGGAAANSATVTASLSGGGGLMEAEAGGDIGGSGRWPRQETLTLLEIRSRLDFKFKEANQKGPLWDEVSRIMCEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKNYRFFRQLEALYGETTNSVVSTSLPEAHFIGNNSNNNNLRYQTTINAQANQEITTPYHHSTDQKHCDSLSLSNSSEFDTSSSEDQDNNDVSAAAMDDDSPDIKTMRKRRGGRGWKVKIKEFIDAQMRKLMEKQEEWLERLMRTLEQKERERMVREEEWRKQEVERVEKEHKFWAKERAWIEARDKALMEALHKLTGGSSSTDQAKANYSSPSPDHDHDQHHHHHHHHHQTSSDHDQIVHNTSSARELLNKKRKENVNSKSSACYFQNNESCSSLYSSQGGYCAEMNDHEQGGNNDGSNNSPCGNANVGNAVQDNCFPFLMSEGENLWENYGLKLSKGSQNQ >ONH97573 pep chromosome:Prunus_persica_NCBIv2:G7:18608031:18610038:1 gene:PRUPE_7G197700 transcript:ONH97573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSLVVLTLACLATLAFLSKIIFSQSHKPKSPPGPKPWPILGNLNLMGPLPHQCLHKLSQTYGPLMQLKFGSYPVVIASSAEMAKQFLKTHDHVFASRPQTAAGKYLTYNYRNITWAPFGPYWRQGRKIFMSELFSSKRLESFEYIRVEEIRAFTSRFYALSGKPVMLKEHISRLTLSTVSRIVLGKEYFSESQFVTSTMTLREFQDMLDELVCLSGVLNIGDWIPWLKFFDLQGYVKQMKALKKKFDLFHDYILDEHKARKKEETNFVPKDMVDLLLQLVDDPDLEVKLNRDSVKGFTQDLIAGGTDTSATNLEWAMSELIRQPHLIGKATEELDRVIGRERWVEEKDLENLPYIDAIMKETMRKHPVVAMLAPHLALEDCKVEGYDICKGTRVFINTWSIGRDPSLWDAPEEFNPERFLGKAIDVKGQSFELLPFGSGRRMCPGYGLGLKMIRCSLANMLHGFNWKLPENMKPEDLCMEEVAGLSTPRKFPLVAVMEPRLPIHLY >ONH96617 pep chromosome:Prunus_persica_NCBIv2:G7:15667360:15670481:-1 gene:PRUPE_7G141300 transcript:ONH96617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYSTGQEKRARFVQNMENMRVKHLVSFLLFLSLTRICTPSPNPDANQKHFVLVHGAGHGAWCWYKVSTLLTSIGHNVTALDLAASGVNPKQVQQLHSLSDYVEPLMRFMKSLPPKERVILVGHSMGGAAISIAMEKFPEKIYIAVFATALMPGPALNYSNLSSQILNSVDFMDSQFRYDRGPNNPPTATLIGPKLLSSSMYQLSPPEDLTLALSLVRFSPLFSDDIKLTKEKYGSVRRVFIGCDQDHVITEKLQMLMINKNPPNEVIWINGSDHMVMFSRPLELFSYLKEVAQS >ONH98322 pep chromosome:Prunus_persica_NCBIv2:G7:20828146:20831112:-1 gene:PRUPE_7G243000 transcript:ONH98322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLAAPCFLSLQNREVGGFLRSFRSPNYDKVKYNQGLLRTRNSGTNGGGSLVVASFGRKVKSTQTVIPEPDYRIPIVLLGLSGGLAYTNNILPAAPIGLLGLLLLFQTTRVRFVFDDEALEVKVGEQLQNSGENVFVGGKNRWKYSTFVNWELWWPNFPILVYFKERQTKPEGQVHFFPVIFNGKQLYDVMLERAGPSQTSGPKDS >ONH98323 pep chromosome:Prunus_persica_NCBIv2:G7:20828864:20830923:-1 gene:PRUPE_7G243000 transcript:ONH98323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLAAPCFLSLQNREVGGFLRSFRSPNYDKVKYNQGLLRTRNSGTNGGGSLVVASVFGRKVKSTQTVIPEPDYRIPIVLLGLSGGLAYTNNILPAAPIGLLGLLLLFQTTRVRFVFDDEALEVKVGEQLQNSGENVFVGGKNRWKYSTFVNWELWWPNFPILVYFKERQTKPEGQVHFFPVIFNGKQLYDVMLERAGPSQTSGPKDS >ONH96997 pep chromosome:Prunus_persica_NCBIv2:G7:16873033:16877244:-1 gene:PRUPE_7G163800 transcript:ONH96997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAAHRRCLRALIPYTPAPANHKPPEIENARTHTCLAMRGGAISIRISRLNPARPRRPFCVRATTTAQNSMDDREGDAAKKTQATQPIEAAKSKEEELKPVKEAVPPPPPPEKPEPGDCCGSGCVRCVWDVYYDELDEYNKLYKKRSSCRRGKMRRFELLGCAAIAAVAAALVLLGNVVVAEASTSPSAFVQNVIYSNKIAIFSKSYCPYCLRAKRIFSELHEQPFVVELDLRDDGAQIQSVLLDIVGRTTVPQIFVNGKHIGGSDDLKAAVLSGQLQKLLSIS >ONH96996 pep chromosome:Prunus_persica_NCBIv2:G7:16873033:16877256:-1 gene:PRUPE_7G163800 transcript:ONH96996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAAHRRCLRALIPYTPAPANHKPPEIENARTHTCLAMRGGAISIRISRLNPARPRRPFCVRATTTAQNSMDDREGDAAKKTQATQPIEAAKSKEEELKPVKEAVPPPPPPEKPEPGDCCGSGCVRCVWDVYYDELDEYNKLYKKRSSCRRGKMRRFELLGCAAIAAVAAALVLLGNVVVAEASTSPSAFVQNVIYSNKIAIFSKSYCPYCLRAKRIFSELHEQPFVVELDLRDDGAQIQSVLLDIVGRTTVPQIFVNGKHIGGSDDLKAAVLSGQLQKLLSIS >ONH96636 pep chromosome:Prunus_persica_NCBIv2:G7:15759308:15763157:1 gene:PRUPE_7G142900 transcript:ONH96636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSLWKSISQCAALLKDKKSSKKKDGSDSNLDIKKTTSILRKLQENKLREALEEASEDGSLLKSQDMESESISNQDEGLGRSRSLARLHAQREFLCATALAAERIFESEDTIPDLHEAFSKFLTMYPKYQSSERIDQLRLDEYSHLSPKVCLDYCGFGLFSYLQTLHYWESSTFSLSEITANLSNHVLYGGAEKGTVEHDIKARIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDYESQSVNWMAQSAREKGAKVYSAWFKWPTLKLCSTDLRKQMSIKKRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIVTSFYKVFGYDPTGFGCLLIKKSVIGCLQNQSGSTGSGMVKITPEFPLYLSDSADGLDKLAGIEDDEVVGNGELTSEPRQGKQMPAFSGAYTSSQVRDVFETEMDQDSSDRDATSTLFEEAESVSIGEVMKSPVFSEDESSDNSFWIDLGQSPLGSDQAGQISKQKIASPLPPFWFGRKNHKQISPKPTSRIYGSPIFDDKKVNSRLHDDSHVVSFDAAVQSISQELDCVKEVNEEQFSEAAPTLRNGQMGSDHHNGEIQEECGAIKHLSNGSMLNSATNGICLKNSTSTSQHQNLENGSTAENCREIRGCAIRRETEGEFRLLGRREGSKYGNGRFFGLEENEEPNRGRRVSFSTDDNGKDNVSHNVETGEISVTSIEDEDYFSDGEYGDGQDWDRREPEIICRHLDHVNTLGLNKTTSRLRFLINWLVTSLLQLRLPGSDGDSRTNLVHIYGPKIKYERGAAVAFNVRDRNRGLINPEVVQKLAEKEGISLGIGFLNHIRILDTPRQQHGALNLQDTTLCSPMENGRNDRKGGFVRVEVVTASLGFLTNFEDVYKLWAFMANFLDPTFIREGGLSTVDEESETKSVTSVNEYVQN >ONH95338 pep chromosome:Prunus_persica_NCBIv2:G7:10349135:10354098:1 gene:PRUPE_7G065100 transcript:ONH95338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFDPYLDRLSMMTVGGLLLQTHALHIPLGESRIAGTDFSMGEAPAFIVDELQKGYGNGFDIKSKGGETAILMGNRTYVIGGACEESPLSIGVQIFDQSTGEWIYPTVLGTKPKTCKGLSAVLLSEDRILITKNGSTPDDFAWFLEVDTQYVRQQKKILGTDVVAWSKGVRGYAEKPIVISGPSGVGKGTLISMLMKEYPSMFGFSVSHTTRAPRAMEKDGVHYHFIERSAMEKEIEDGKFLEFASVHGNLYGTSVEAVEVVADDGKRCILDIDVQGARSVRASSLEAIFIFVCPPSMAELEKRLRARGTETEEQVLKRLKNAKAEIEQGQSSGIFDHMLYNDNLEECYKSLKKLLGLDGTVTAPPKSCKKCG >ONH95337 pep chromosome:Prunus_persica_NCBIv2:G7:10348684:10355424:1 gene:PRUPE_7G065100 transcript:ONH95337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFDPYLDRLSMMTVGGLLLQTHALHIPLGESRIAGTDFSMGEAPAFIVDELQKGYGNGFDIKSKGGETAILMGNRTYVIGGACEESPLSIGVQIFDQSTGEWIYPTVLGTKPKTCKGLSAVLLSEDRILITKNGSTPDDFAWFLEVDTQYVRQQKKILGTDVVAWSKGVRGYAEKPIVISGPSGVGKGTLISMLMKEYPSMFGFSVSHTTRAPRAMEKDGVHYHFIERSAMEKEIEDGKFLEFASVHGNLYGTSVEAVEVVADDGKRCILDIDVQGARSVRASSLEAIFIFVCPPSMAELEKRLRARGTETEEQVLKRLKNAKAEIEQGQSSGIFDHMLYNDNLEECYKSLKKLLGLDGTVTAPPKSSPKVVDLPMDHSVAKIDHRIIINSKTPEMGKASTNMIVLDVSSLKGGAPGRTRGLDIYTMDSFLDGLACINH >ONH97859 pep chromosome:Prunus_persica_NCBIv2:G7:19461280:19463146:-1 gene:PRUPE_7G214500 transcript:ONH97859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNLIASAHSSAFRESEDLEGQSSEIEGYDFNQGIDYPRLLSSMLSTGFQASNLGDAIQVVNQMLDWSLADEGVVEDCGEDERDLKYRKSVKCKVFLGFTSNLVSSGIRDTIRYLVEHRMVDVVVTTAGGIEEDLIKCLAPTYRGDFSLAGAHLRSKGLNRIGNLLVPNGNYCKFEDWIIPIFDQMLKEQTDQHILWTPSKLIARLGKEINDRRSYLYWAYKNDIPVFCPGLTDGSLGDMLYFHSFRSPGLIVDVVQDIRAMNGEAVHANPRKTGMIILGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVLPNLCHIFTLPIPF >ONH97857 pep chromosome:Prunus_persica_NCBIv2:G7:19460714:19463146:-1 gene:PRUPE_7G214500 transcript:ONH97857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNLIASAHSSAFRESEDLEGQSSEIEGYDFNQGIDYPRLLSSMLSTGFQASNLGDAIQVVNQMLDWSLADEGVVEDCGEDERDLKYRKSVKCKVFLGFTSNLVSSGIRDTIRYLVEHRMVDVVVTTAGGIEEDLIKCLAPTYRGDFSLAGAHLRSKGLNRIGNLLVPNGNYCKFEDWIIPIFDQMLKEQTDQHILWTPSKLIARLGKEINDRRSYLYWAYKNDIPVFCPGLTDGSLGDMLYFHSFRSPGLIVDVVQDIRAMNGEAVHANPRKTGMIILGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFAKKAVETMT >ONH97858 pep chromosome:Prunus_persica_NCBIv2:G7:19460822:19463146:-1 gene:PRUPE_7G214500 transcript:ONH97858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNLIASAHSSAFRESEDLEGQSSEIEGYDFNQGIDYPRLLSSMLSTGFQASNLGDAIQVVNQMLDWSLADEGVVEDCGEDERDLKYRKSVKCKVFLGFTSNLVSSGIRDTIRYLVEHRMVDVVVTTAGGIEEDLIKCLAPTYRGDFSLAGAHLRSKGLNRIGNLLVPNGNYCKFEDWIIPIFDQMLKEQTDQQHILWTPSKLIARLGKEINDRRSYLYWAYKNDIPVFCPGLTDGSLGDMLYFHSFRSPGLIVDVVQDIRAMNGEAVHANPRKTGMIILGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFAKKAVETMT >ONH97423 pep chromosome:Prunus_persica_NCBIv2:G7:18162425:18163850:1 gene:PRUPE_7G189400 transcript:ONH97423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLLAYAPMGLFEEDSSSSGLIVSHVLYKAAFVIALMRWVLYWALRLRQRLLSSSSSSSSFVSDHGYNFLEVEHGELQNYPPVPSSCASSSTQLIRDCLVQTTFEEITNRQGSYRASSSGCDTCAVCLSQLEMEDQVRELRNCSHVFHTECIDRWLEYHDHHHDDNHRTCPLCRTPLLTSSQIQSLSWDHRSSQPSWAVERLLYLFGDDLLL >ONH96791 pep chromosome:Prunus_persica_NCBIv2:G7:16289486:16292789:1 gene:PRUPE_7G152400 transcript:ONH96791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEDGLPTSTTASAKKESSDSSVVLGKGRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNRLSDDLDSPIRDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREEAITEIAKMSVRSVDLDPPPVQSASAREFSKTLKQAEKGRELATSTGSGR >ONH96792 pep chromosome:Prunus_persica_NCBIv2:G7:16289486:16291200:1 gene:PRUPE_7G152400 transcript:ONH96792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEDGLPTSTTASAKKESSDSSVVLGKGRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNRLSDDLDSPIRDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREEAITEIAKMSVRSVDLDPPPVQSAKLRP >ONH98549 pep chromosome:Prunus_persica_NCBIv2:G7:21360052:21365728:-1 gene:PRUPE_7G253500 transcript:ONH98549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTSLSPVHSFSLYSPSLFPFSPFPSSSSSSSFSSSSVSLEPSSHRLCSLRLYNNAHLRITYKSNGLKLNCSLKEPLKVMISGAPASGKGTQCELIVRKFGLVHISTGDLLRAEVSSGTEIGNKAKEFMNAGRLVPDEVVTAMVTARLSREDAKENGWLLDGYPRSFNQAQSLQSLKIIPDVYIVLDVPDEILIDRCIGRRLDPVTGRIYHLKSFPPETEEIKARLITRPDDTEEKVKSRLEIYKQNADAISTTYSHIMKKVSIDGNHPKEVVFEVIDSILSQVQKDKETKMKLGKSNQSTSVQDNWRGIPTKLNNIPHSRDIRKYFYEDVLQATQRAINDGRTRLKVEINIPELNPEMDVYRIGTLMELVRSLALSFADDGKHVKVCVQGSMGEGALAGMPLQLAGTRKILEFMDWGEYEAMGTFINIGSIGGKEVDEQDDLFILVAPQNAVGNCIIDDLRAMTDAAGDRPVILINPRLKDLPASSGIMQTMGRDKRLEYASSFENCYFFRLLYYAGTQYPIMGALRMSYPYRYELYKRVDDPSGKEKYVILSTFPEKPSTDEVNDAFEGKPRNESKKALGFWGFLSGIF >ONH98548 pep chromosome:Prunus_persica_NCBIv2:G7:21360052:21365728:-1 gene:PRUPE_7G253500 transcript:ONH98548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTSLSPVHSFSLYSPSLFPFSPFPSSSSSSSFSSSSVSLEPSSHRLCSLRLYNNAHLRITYKSNGLKLNCSLKEPLKVMISGAPASGKGTQCELIVRKFGLVHISTGDLLRAEVSSGTEIGNKAKEFMNAGRLVPDEVVTAMVTARLSREDAKENGWLLDGYPRSFNQAQSLQSLKIIPDVYIVLDVPDEILIDRCIGRRLDPVTGRIYHLKSFPPETEEIKARLITRPDDTEEKVKSRLEIYKQNADAISTTYSHIMKKLLKPQIDGNHPKEVVFEVIDSILSQVQKDKETKMKLGKSNQSTSVQDNWRGIPTKLNNIPHSRDIRKYFYEDVLQATQRAINDGRTRLKVEINIPELNPEMDVYRIGTLMELVRSLALSFADDGKHVKVCVQGSMGEGALAGMPLQLAGTRKILEFMDWGEYEAMGTFINIGSIGGKEVDEQDDLFILVAPQNAVGNCIIDDLRAMTDAAGDRPVILINPRLKDLPASSGIMQTMGRDKRLEYASSFENCYFFRLLYYAGTQYPIMGALRMSYPYRYELYKRVDDPSGKEKYVILSTFPEKPSTDEVNDAFEGKPRNESKKALGFWGFLSGIF >ONH98547 pep chromosome:Prunus_persica_NCBIv2:G7:21359744:21365873:-1 gene:PRUPE_7G253500 transcript:ONH98547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTSLSPVHSFSLYSPSLFPFSPFPSSSSSSSFSSSSVSLEPSSHRLCSLRLYNNAHLRITYKSNGLKLNCSLKEPLKVMISGAPASGKGTQCELIVRKFGLVHISTGDLLRAEVSSGTEIGNKAKEFMNAGRLVPDEVVTAMVTARLSREDAKENGWLLDGYPRSFNQAQSLQSLKIIPDVYIVLDVPDEILIDRCIGRRLDPVTGRIYHLKSFPPETEEIKARLITRPDDTEEKVKSRLEIYKQNADAISTTYSHIMKKIDGNHPKEVVFEVIDSILSQVQKDKETKMKLGKSNQSTSVQDNWRGIPTKLNNIPHSRDIRKYFYEDVLQATQRAINDGRTRLKVEINIPELNPEMDVYRIGTLMELVRSLALSFADDGKHVKVCVQGSMGEGALAGMPLQLAGTRKILEFMDWGEYEAMGTFINIGSIGGKEVDEQDDLFILVAPQNAVGNCIIDDLRAMTDAAGDRPVILINPRLKDLPASSGIMQTMGRDKRLEYASSFENCYFFRLLYYAGTQYPIMGALRMSYPYRYELYKRVDDPSGKEKYVILSTFPEKPSTDEVNDAFEGKPRNESKKALGFWGFLSGIF >ONH96582 pep chromosome:Prunus_persica_NCBIv2:G7:15476096:15480831:-1 gene:PRUPE_7G138400 transcript:ONH96582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNFGHWVLLLIMALEFIGGTLFTLLYDGVKQAMSKSGTFKSLLGDLKSTLDSLVARDIQQIGEHNVELGLPNEEIEGLKMLMEEGVKLVEKLSNFRMWNYCCLNDYSEQIVELDRALKRLLQKLKMQEARDVKEVLVLARQNRDKLDEVNRRLLDIQKLLQERAGDVTESSVSGGNAETVREQSQGNGEQQVMSFDGGTSLQAVFVVLFDVVIEVKDKTTVFKPLLGDLKSTLDSLKPLIEEIAKHNKVLDRPKEELENFRNQMEKGVELIRKCSKVRLWSSCKQYKYRDKLMGLDESLQKLLNVLKVQVARDVKETLVSVKNIEAVIQKIEGSGLVQDQIQTKGWGALPEPLSPRVRLDLVNMQGTRDVKETLVSARNTKAVVDKIEGSGVVQNQRASENHSRNIDSNASRSRGSEFSEAVTRRMDKSQSDGKILETSNLRIFSFSELKAATRNFSQDTMVGEGEYGRVFKGWVYEKTLAPSKAGSWNFGPVRMGGEGAPLPVFGGWMDELAPSKVGIGSPVAIKKLNPGSAKGFQDWQSVVNFLGKLSHPSLVKLLGYCWEENDLLLVYEFMPRGSLENYIFRRRSSTEPLSWDSRLKIAIGAARGLAFLHTSEVQIIYRDFKTSNILLDENYNARISDFGVAELGPIFVESHVSTRIVGTYAYAAPEYFGTGHVTTKSNVYCFGIVLLEILTGFRALDRCRPIEQQNLVEWAKPLLSHETKLKTIMDPGIERQCSLKAALQTAQIILKCLKLDPKSRPSMKEVVEALEHVQEIKE >ONH96583 pep chromosome:Prunus_persica_NCBIv2:G7:15476096:15480831:-1 gene:PRUPE_7G138400 transcript:ONH96583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNFGHWVLLLIMALEFIGGTLFTLLYDGVKQAMSKSGTFKSLLGDLKSTLDSLVARDIQQIGEHNVELGLPNEEIEGLKMLMEEGVKLVEKLSNFRMWNYCCLNDYSEQIVELDRALKRLLQKLKMQEARDVKEVLVLARQNRDKLDEVNRRLLDIQKLLQERAGDVTESSVSGGNAETVREQSQGNGEQQVMSFDGGTSLQAVFVVLFDVVIEVKDKTTVFKPLLGDLKSTLDSLKPLIEEIAKHNKVLDRPKEELENFRNQMEKGVELIRKCSKVRLWSSCKQYKYRDKLMGLDESLQKLLNVLKVQVARDVKETLVSVKNIEAVIQKIEGSGLVQDQIQTKGWGALPEPLSPRVRLDLVNMQGTRDVKETLVSARNTKAVVDKIEGSGVVQNQRASENHSRNIDSNASRSRGSEFSEAVTRRMDKSQSDGKILETSNLRIFSFSELKAATRNFSQDTMVGEGEYGRVFKGWVYEKTLAPSKAGSWNFGPVRMGGEGAPLPVFGGWMDELAPSKVGIGSPVAIKKLNPGSAKGFQDWQSVVNFLGKLSHPSLVKLLGYCWEENDLLLVYEFMPRGSLENYIFRKFSFRVGRSSTEPLSWDSRLKIAIGAARGLAFLHTSEVQIIYRDFKTSNILLDENYNARISDFGVAELGPIFVESHVSTRIVGTYAYAAPEYFGTGHVTTKSNVYCFGIVLLEILTGFRALDRCRPIEQQNLVEWAKPLLSHETKLKTIMDPGIERQCSLKAALQTAQIILKCLKLDPKSRPSMKEVVEALEHVQEIKE >ONH96581 pep chromosome:Prunus_persica_NCBIv2:G7:15475793:15481366:-1 gene:PRUPE_7G138400 transcript:ONH96581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNFGHWVLLLIMALEFIGGTLFTLLYDGVKQAMSKSGTFKSLLGDLKSTLDSLVARDIQQIGEHNVELGLPNEEIEGLKMLMEEGVKLVEKLSNFRMWNYCCLNDYSEQIVELDRALKRLLQKLKMQEARDVKEVLVLARQNRDKLDEVNRRLLDIQKLLQERAGDVTESSVSGGNAETVREQSQGNVMSFDGGTSLQAVFVVLFDVVIEVKDKTTVFKPLLGDLKSTLDSLKPLIEEIAKHNKVLDRPKEELENFRNQMEKGVELIRKCSKVRLWSSCKQYKYRDKLMGLDESLQKLLNVLKVQVARDVKETLVSVKNIEAVIQKIEGSGLVQDQIQTKGWGALPEPLSPRVRLDLVNMQGTRDVKETLVSARNTKAVVDKIEGSGVVQNQRASENHSRNIDSNASRSRGSEFSEAVTRRMDKSQSDGKILETSNLRIFSFSELKAATRNFSQDTMVGEGEYGRVFKGWVYEKTLAPSKAGSWNFGPVRMGGEGAPLPVFGGWMDELAPSKVGIGSPVAIKKLNPGSAKGFQDWQSVVNFLGKLSHPSLVKLLGYCWEENDLLLVYEFMPRGSLENYIFRRRSSTEPLSWDSRLKIAIGAARGLAFLHTSEVQIIYRDFKTSNILLDENYNARISDFGVAELGPIFVESHVSTRIVGTYAYAAPEYFGTGHVTTKSNVYCFGIVLLEILTGFRALDRCRPIEQQNLVEWAKPLLSHETKLKTIMDPGIERQCSLKAALQTAQIILKCLKLDPKSRPSMKEVVEALEHVQEIKE >ONH96692 pep chromosome:Prunus_persica_NCBIv2:G7:15943235:15948677:-1 gene:PRUPE_7G146400 transcript:ONH96692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGMSGDGKMLGLRVNPQHKRSKSFPEKRRVEEDDLDSLYEASDRVKLDMGQFKGRATTKKKQSPTVEVHSSLKQEILQLEKRLQDQFDVRHALEKALGYRSSILHNTNEIAMPKPATELIKEIAVLELEVVHLEQYLLSLYRKAFDGQLSSVSPSKKDEQLKPTLTTPRSRILEVSEPEMPSKRENLAVQSGGQSLENSWKGTNGIGGEENLFDSGVHRCHSSLSQRSAFLSRTSPPEECLSKALRSCHSQPLSMMEYAQNTSSNVISLAEHLGTRIADHIPETPNRLSEDMIKCMSTIYCKLAEPPLTNNGLSSPNSSLSSTSAFSPRDQSEMWSPTFRNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVPWIYRDSKKLGDIEHLLQHFRSLICRLEEVDPRKLKNDEKLAFWINVHNTLVMHAYLAYGIPQNNVKRVFLLLKAAYNIGGHTISADTMQSSILECRMSRPGQWLRLLLTPRNKFKTGDERQAYAIDHPEPLLHFALCSGSHSDPAVRVYTPKRVFQELEAAKDEYIRATFGVRKDHKILLPKIVEAFAKSSGLCPVGVLEMIQQSVPESLRKSVKKCQLTKSRKSIEWIPHNFTFRYLISKELVK >ONH97195 pep chromosome:Prunus_persica_NCBIv2:G7:17454710:17455605:-1 gene:PRUPE_7G175100 transcript:ONH97195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVFFSKPKFNSTMASDSIYTCTECGANLNLSASYLYPPDFFFEAGNKGTLSFSWVDGTKFRFEKEDKIRPFFETRNYWGIQRTRTKIKCNGCGRLVGHIYDDGTPMTDSPGQLHMGPSQVIPRAARYRFKTKALRVSSSGT >ONH95051 pep chromosome:Prunus_persica_NCBIv2:G7:8592384:8592887:1 gene:PRUPE_7G048900 transcript:ONH95051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLHYNFFPTDFFYPRTKPMAFNSTSPSPKDQLLLPLQTSNRDRHGVENLQQPLKPTSHGVGQINNTLHHYKVLKEGGSYNRRAFTDY >ONH95554 pep chromosome:Prunus_persica_NCBIv2:G7:11355826:11359876:1 gene:PRUPE_7G076900 transcript:ONH95554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMEIDSEKNLQRKHSTYNLLDERFEIQKEMYRGQQYSQIYFARLHMMRTLLYSLVPNWKPHLPVCTVLGLEKDKECIVVGTLYKHMKLKPCILDEYSKTRSTTPLVKPHNFVHPDDHLVLEDESGRVKLAGMLSPSVYVTGSIVGLHGKETDAGDFLVLDVLEAGLPPQIELPLKSREDKYVVFVSGLRVGSSSSNPLQFQLLVDHITGHLGDEKEQGIAAQIVHVVIAGNSIEVPRGLLNGQNLASKDQSRLSEPIKELDILLTQIAAGLPLDIMPGLDDPANFALPQQPLNRCLFPGSSAYNTFRSCTNPHCFDLDDIRFLGTSGQNIDDLEKYSEAKDKLEFVERTLRWRHLAPTAPNTLGCYPFTDRDPFLIESCPHVYFIGNQDKYDSRLVKVE >ONH95552 pep chromosome:Prunus_persica_NCBIv2:G7:11355826:11361247:1 gene:PRUPE_7G076900 transcript:ONH95552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMEIDSEKNLQRKHSTYNLLDERFEIQKEMYRGQQYSQIYFARLHMMRTLLYSLVPNWKPHLPVCTVLGLEKDKECIVVGTLYKHMKLKPCILDEYSKTRSTTPLVKPHNFVHPDDHLVLEDESGRVKLAGMLSPSVYVTGSIVGLHGKETDAGDFLVLDVLEAGLPPQIELPLKSREDKYVVFVSGLRVGSSSSNPLQFQLLVDHITGHLGDEKEQGIAAQIVHVVIAGNSIEVPRGLLNGQNLASKDQSRLSEPIKELDILLTQIAAGLPLDIMPGLDDPANFALPQQPLNRCLFPGSSAYNTFRSCTNPHCFDLDDIRFLGTSGQNIDDLEKYSEAKDKLEFVERTLRWRHLAPTAPNTLGCYPFTDRDPFLIESCPHVYFIGNQDKYDSRLVKGSEGQMVRLICIPKFCETGVAVVLNLGTLECHTLSFGTHFSS >ONH95553 pep chromosome:Prunus_persica_NCBIv2:G7:11355826:11361247:1 gene:PRUPE_7G076900 transcript:ONH95553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMEIDSEKNLQRKHSTYNLLDERFEIQKEMYRGQQYSQIYFARLHMMRTLLYSLVPNWKPHLPVCTVLGLEKDKECIVVGTLYKHMKLKPCILDEYSKTRSTTPLVKPHNFVHPDDHLVLEDESGRVKLAGMLSPSVYVTGSIVGLHGKETDAGDFLVLDVLEAGLPPQIELPLKSREDKYVVFVSGLRVGSSSSNPLQFQLLVDHITGHLGDEKEQGIAAQIVHVVIAGNSIEVPRGLLNGQNLASKDQSRLSEPIKELDILLTQIAAGLPLDIMPGLDDPANFALPQQPLNRCLFPGSSAYNTFRSCTNPHCFDLDDIRFLGTSGQNIDDLEKYSEAKDKLEFVERTLRWRHLAPTAPNTLGSEGQMVRLICIPKFCETGVAVVLNLGTLECHTLSFGTHFSS >ONH97535 pep chromosome:Prunus_persica_NCBIv2:G7:18511943:18514270:-1 gene:PRUPE_7G195300 transcript:ONH97535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVKFPRDCLLNCHSRHCPRTQPLEAKAPNSVFLVAERPENPKLSNLNQLFLLSLNTLPFNCTQASPFHNPNQTHPLISNNINVSPQFPCYQKSNAPTSPTTAPFDFLHQIGPTHLFICEKDHCFTLLYSQMTLFLAEDAEIRGIQV >ONH97536 pep chromosome:Prunus_persica_NCBIv2:G7:18511943:18514270:-1 gene:PRUPE_7G195300 transcript:ONH97536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVKFPRDCLLNCHSRHCPRTQPLEAKAPNSVFLVAERPENPKLSNLNQLFLLSLNTLPFNCTQASPFHNPNQTHPLISNNINVSPQFPCYQKSNAPTSPTTAPFDFLHQIGPTHLFICEKDHCFTLLYSQMTLFLAEDAEIRGIQV >ONH97538 pep chromosome:Prunus_persica_NCBIv2:G7:18510106:18514270:-1 gene:PRUPE_7G195300 transcript:ONH97538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVKFPRDCLLNCHSRHCPRTQPLEAKAPNSVFLVAERPENPKLSNLNQLFLLSLNTLPFNCTQASPFHNPNQTHPLISNNINVSPQFPCYQKSNAPTSPTTAPFDFLHQIGPTHLFICEKDHCFTLLYSQMTLFLAEDAEIRGIQV >ONH97537 pep chromosome:Prunus_persica_NCBIv2:G7:18510106:18514270:-1 gene:PRUPE_7G195300 transcript:ONH97537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVKFPRDCLLNCHSRHCPRTQPLEAKAPNSVFLVAERPENPKLSNLNQLFLLSLNTLPFNCTQASPFHNPNQTHPLISNNINVSPQFPCYQKSNAPTSPTTAPFDFLHQIGPTHLFICEKDHCFTLLYSQMTLFLAEDAEIRGIQV >ONH97531 pep chromosome:Prunus_persica_NCBIv2:G7:18511943:18514270:-1 gene:PRUPE_7G195300 transcript:ONH97531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVKFPRDCLLNCHSRHCPRTQPLEAKAPNSVFLVAERPENPKLSNLNQLFLLSLNTLPFNCTQASPFHNPNQTHPLISNNINVSPQFPCYQKSNAPTSPTTAPFDFLHQIGPTHLFICEKDHCFTLLYSQMTLFLAEDAEIRGIQV >ONH97534 pep chromosome:Prunus_persica_NCBIv2:G7:18511943:18514270:-1 gene:PRUPE_7G195300 transcript:ONH97534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVKFPRDCLLNCHSRHCPRTQPLEAKAPNSVFLVAERPENPKLSNLNQLFLLSLNTLPFNCTQASPFHNPNQTHPLISNNINVSPQFPCYQKSNAPTSPTTAPFDFLHQIGPTHLFICEKDHCFTLLYSQMTLFLAEDAEIRGIQV >ONH97532 pep chromosome:Prunus_persica_NCBIv2:G7:18511943:18514270:-1 gene:PRUPE_7G195300 transcript:ONH97532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVKFPRDCLLNCHSRHCPRTQPLEAKAPNSVFLVAERPENPKLSNLNQLFLLSLNTLPFNCTQASPFHNPNQTHPLISNNINVSPQFPCYQKSNAPTSPTTAPFDFLHQIGPTHLFICEKDHCFTLLYSQMTLFLAEDAEIRGIQV >ONH97533 pep chromosome:Prunus_persica_NCBIv2:G7:18511943:18514270:-1 gene:PRUPE_7G195300 transcript:ONH97533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVKFPRDCLLNCHSRHCPRTQPLEAKAPNSVFLVAERPENPKLSNLNQLFLLSLNTLPFNCTQASPFHNPNQTHPLISNNINVSPQFPCYQKSNAPTSPTTAPFDFLHQIGPTHLFICEKDHCFTLLYSQMTLFLAEDAEIRGIQV >ONH97878 pep chromosome:Prunus_persica_NCBIv2:G7:19521773:19522749:-1 gene:PRUPE_7G215700 transcript:ONH97878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLKVQFFVMAICSLVISLLLPSINAQTLAPTPAPTSDGVAVDQGIAYVLMVLALLLTYIIH >ONH95511 pep chromosome:Prunus_persica_NCBIv2:G7:11211521:11213743:-1 gene:PRUPE_7G075000 transcript:ONH95511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLHKLRTASVLKWVSSNFDENHLRSARTPIQPIGSFPNGQALRFYKTKRSPVTESCENVDRTSTGNGKISGRISRAVKKEAQAALLDYLYCTRSLQFLDAENMSKNSPHFLKKLIESADNEKKIGQTIARFLRYHPINEFEPFFESLGLKPPEYVPLLPQKLIFLIDDNLLLHNYGVLCHYGIARNKIGKIYTEATEVFQYNVGVLLSKLQAYEELGLSQSALIKFVVASPYLLIGDANASFLNLLGKLKSLGFEISWIQRNLSEKNSYNWSRMLEVLSFLSKMGCSDRRLGELIGQHPDILFEASGERTFSIIGFLLKFGFRMSQVSSLFLQFPRIEVMKFGLNLRKCFFFLNEIGMEVAGIGKIIRSHPLLLGSCALKKTNTLLLHLNIGKKSLCRYIQENPQELKHWVLGRRLRPLDWGNNLRSKTEKAKFLLDIGVVENSNKMKEALNEFQGNGFELQERFDCIVEAGFDPEEVCQMVKVSPRILSQSKDVIEMKINFLVNHLCYPLSTLLIFPKYLTYGTKRVQHRVLMYNWLKDHGTDPTYALSTVVSCSDIYFLRKFVNHHPSGPQVWEDLKRKIYSKQ >ONH97497 pep chromosome:Prunus_persica_NCBIv2:G7:18378783:18381157:1 gene:PRUPE_7G192800 transcript:ONH97497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFLAPNLITIIMITIITTSPQLVLTHPNLIPPHLSQLDTWIVHNMRDYANRKATQVTLRFDSKLLSAEYAFKIITVKKDGTGDFKTVTDAVNSIPSWNKRRVVVFIDGGEYREKILVDVSRPFVTFYGDKNDVPSITFDGTALKYGTWDSATVAIEADYFVAVNIAFVNSAPMPDGKRPGAQAVAMRISGDKAAFHSCRFIGFQDTLCDDRGRHFFKDCYIQGTVDFIFGNGKSLYLNNRIHSVANGMGVITAHAREDAADDSGFAFVHCNITGTGDTFLGRAWRDRARVVFAYTYMGSLIDSQGWSDAKHSERDKTVYYGEYKCMGPGSSSTGRVKYAKMLSDEEAKPFLSMTFIRGTKWVLPPPKL >ONH95491 pep chromosome:Prunus_persica_NCBIv2:G7:11144369:11146939:1 gene:PRUPE_7G074100 transcript:ONH95491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDDYMGDLSQFLTPEASGPPRLLSKKISNSKNQSIQPSKKKSKALNWQEQRKLDRERKQQEEDEKTLAKIEAAPIPQSNIGFKLLKQMGYTPGSALGKEGSGQAEPVKIDIRRSRAGIGREDPHKEKRKREEIRTWAERRNEQVMMEEFGSRKKSQWRSRRVVVNFKKAQAALDQLENKEVVPPEKNEDEEEEGGGEEEEEEEEEITEEDLLEILMKLRDEHRYCLFCGCQYESMEALLTHCPGADEDDH >ONH95492 pep chromosome:Prunus_persica_NCBIv2:G7:11144438:11146891:1 gene:PRUPE_7G074100 transcript:ONH95492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDDYMGDLSQFLTPEASGPPRLLSKKISNSKNQSIQPSKKKSKALNWQEQRKLDRERKQQEEDEKTLAKIEAAPIPQSNIGFKLLKQMGYTPGSALGKEGSGQAEPVKIDIRRSRAGIGREDPHKEKRKREEIRTWAERRNEQVMMEEFGSRKKSQWRSRRVVVNFKKALLTHCPGADEDDH >ONH96673 pep chromosome:Prunus_persica_NCBIv2:G7:15870339:15876469:1 gene:PRUPE_7G145100 transcript:ONH96673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHQKSLTFIIIITILVTTTSIATIARAQNSSSCNPTCGSGKSAKSVQYPFGFSKGCVIPLNCSDKNEIKLGEFTVQDVTRSSIFVHLPAKCNRKYQSIAPLFGLNFGPSWNNSLLFQNCTSPLPGCQIPAEFVQKRFNLSSCDNITCMSQPPNGSDIMTFEDLNRTRCKYLFGSFSVQSGRDSLLSLEFETLQLGWWVNTSLGECHSNAMSTTVKPGGGKPPGCRCSCNAGFDGDGFKLGSGCQPVSQPVSHCNASKYMSGRCGGTTRVAVLIGGFVAGAFLMAGLFLLCYFVRRRSTCLRNQLCARRLLSEAAGNSSVPLYPYKEIERATNCFAEKQRLGTGAFGIVYAGKLHNDEWVAIKKINRRDTNSIDQVMNEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGQGLPWTIRLTIAAETANAIAYLHSAMNPPIYHRDIKSSNILLDYNYKSKVADFGLSRLGMTESSYISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKVVDFARPQSEVNLAALAIDRIGKGCVDEIVDPFLEPNRDAWTLYSVNKVAELAFRCLAFHSDMRPSMIEVAEELEYVRRSGWATMEENICMESSAASSCSSPYNGSEKSLGGVMTKKAGIRSQRSIASLRVDSSLATMEEDNDKDSSPVSVHDPWLSEQSSPSTNSLLGNVVH >ONH96674 pep chromosome:Prunus_persica_NCBIv2:G7:15870155:15876469:1 gene:PRUPE_7G145100 transcript:ONH96674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHQKSLTFIIIITILVTTTSIATIARAQNSSSCNPTCGSGKSAKSVQYPFGFSKGCVIPLNCSDKNEIKLGEFTVQDVTRSSIFVHLPAKCNRKYQSIAPLFGLNFGPSWNNSLLFQNCTSPLPGCQIPAEFVQKRFNLSSCDNITCMSQPPNGSDIMTFEDLNRTRCKYLFGSFSVQSGRDSLLSLEFETLQLGWWVNTSLGECHSNAMSTTVKPGGGKPPGCRCSCNAGFDGDGFKLGSGCQPVSQPVSHCNASKYMSGRCGGTTRVAVLIGGFVAGAFLMAGLFLLCYFVRRRSTCLRNQLCARRLLSEAAGNSSVPLYPYKEIERATNCFAEKQRLGTGAFGIVYAGKLHNDEWVAIKKINRRDTNSIDQVMNEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGQGLPWTIRLTIAAETANAIAYLHSAMNPPIYHRDIKSSNILLDYNYKSKVADFGLSRLGMTESSYISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKVVDFARPQSEVNLAALAIDRIGKGCVDEIVDPFLEPNRDAWTLYSVNKVAELAFRCLAFHSDMRPSMIEVAEELEYVRRSGWATMEENICMESSAASSCSSPYNGSEKSLGGVMTKKAGIRSQRSIASLRVDSSLATMEEDNDKDSSPVSVHDPWLSEQSSPSTNSLLGNVVH >ONH96675 pep chromosome:Prunus_persica_NCBIv2:G7:15870155:15876479:1 gene:PRUPE_7G145100 transcript:ONH96675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHQKSLTFIIIITILVTTTSIATIARAQNSSSCNPTCGSGKSAKSVQYPFGFSKGCVIPLNCSDKNEIKLGEFTVQDVTRSSIFVHLPAKCNRKYQSIAPLFGLNFGPSWNNSLLFQNCTSPLPGCQIPAEFVQKRFNLSSCDNITCMSQPPNGSDIMTFEDLNRTRCKYLFGSFSVQSGRDSLLSLEFETLQLGWWVNTSLGECHSNAMSTTVKPGGGKPPGCRCSCNAGFDGDGFKLGSGCQPVSQPVSHCNASKYMSGRCGGTTRVAVLIGGFVAGAFLMAGLFLLCYFVRRRSTCLRNQLCARRLLSEAAGNSSVPLYPYKEIERATNCFAEKQRLGTGAFGIVYAGKLHNDEWVAIKKINRRDTNSIDQVMNEIKLLSSVSHPNLVRLLGCCIEGGEQILVYEYMPNGTLSEHLQRERGQGLPWTIRLTIAAETANAIAYLHSAMNPPIYHRDIKSSNILLDYNYKSKVADFGLSRLGMTESSYISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKVVDFARPQSEVNLAALAIDRIGKGCVDEIVDPFLEPNRDAWTLYSVNKVAELAFRCLAFHSDMRPSMIEVAEELEYVRRSGWATMEENICMESSAASSCSSPYNGSEKSLGGVMTKKAGIRSQRSIASLRVDSSLATMEEDNDKDSSPVSVHDPWLSEQSSPSTNSLLGNVVH >ONH95319 pep chromosome:Prunus_persica_NCBIv2:G7:10245820:10246131:-1 gene:PRUPE_7G063700 transcript:ONH95319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLPQSQVGAPPVVVVDSKTSSRYTHRSIETLVVVLAVITLVGVIAGIVARLCGGRHLGGSGEHDIEGWVESKCRSCIDGGVPPEPSPPQQAKPAATEEAKK >ONH98776 pep chromosome:Prunus_persica_NCBIv2:G7:21927627:21931618:1 gene:PRUPE_7G265900 transcript:ONH98776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSSMLTQYDIEEVQDHCNHTFSQQEIVSLYQRFCQLDRSGGGFISADEFLSVPEFAVNPLSQRLLKMLYGLNFKEFVAFLSAFSSRATLQQKIEFIFKVYDSDCNGKVAFSDMLDVLRDLTGQFISEQQREQVLTHVLEESGYTKDSLLSISDFMKTLWNSDLKMDVEVPVD >ONH98778 pep chromosome:Prunus_persica_NCBIv2:G7:21927933:21928794:1 gene:PRUPE_7G265900 transcript:ONH98778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSSMLTQYDIEEVQDHCNHTFSQQEIVSLYQRFCQLDRSGGGFISADEFLSVPEFAVNPLSQRLLKMLYGLNFKEFVAFLSAFSSRATLQQKIEFIFKVYDSDCNGKVAFSDMLDVLRDLTGQFISEQQREVGFYHKFVSIFYFSYA >ONH98777 pep chromosome:Prunus_persica_NCBIv2:G7:21927616:21931618:1 gene:PRUPE_7G265900 transcript:ONH98777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTSSMLTQYDIEEVQDHCNHTFSQQEIVSLYQRFCQLDRSGGGFISADEFLSVPEFAVNPLSQRLLKMLYGLNFKEFVAFLSAFSSRATLQQKIEFIFKVYDSDCNGKVAFSDMLDVLRDLTGQFISEQQREDSGFISGMM >ONH98716 pep chromosome:Prunus_persica_NCBIv2:G7:21821849:21827698:-1 gene:PRUPE_7G263200 transcript:ONH98716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGSEGLKSIGRSLGFGISRAVFPEDLKGSDKKIFDPQDKFLLLWNRLFVISCILAVSVDPLFFYLPVINNSSSCLGIDRRLAIIATTLRMIVDAFYLIHMALQFRTAYIAPSSRVFGRGELVIDPARIAKRYLRRYFIIDFLAVLPLPQIIVWRFLQRSKGSDVLATKQALLFIVLLQYIPRLVRVLPLTSELKRTAGVFAETAWAGAACYLLLYMLASHIVGAFWYLLALERNDTCWQRACTDIGKPCDKNFLYCGNQNILDAGTWSNVTGNIQSKCSTDDQNAYFDFGIYKSALSSGVVSSKKFLPKYCYCLWWGLQNLSTLGQGLATSTYPGEVIFSISLAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEQSLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPSLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGSNLPSSTRTVRALTEVEAFALVAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRSSKRKNMELRRKEEEGETEVFGGVRSNAGGSYSIGATFLASRFAANALRGVHRNRNAKSARELVKLQKPPEPDFSAESAD >ONH98713 pep chromosome:Prunus_persica_NCBIv2:G7:21821848:21826905:-1 gene:PRUPE_7G263200 transcript:ONH98713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCSYKSQYLGGQREKFVRLDDLDSRLSSPSDSGARRCGFNIEGLSRTGPARDTSFKRGMRKGSEGLKSIGRSLGFGISRAVFPEDLKGSDKKIFDPQDKFLLLWNRLFVISCILAVSVDPLFFYLPVINNSSSCLGIDRRLAIIATTLRMIVDAFYLIHMALQFRTAYIAPSSRVFGRGELVIDPARIAKRYLRRYFIIDFLAVLPLPQIIVWRFLQRSKGSDVLATKQALLFIVLLQYIPRLVRVLPLTSELKRTAGVFAETAWAGAACYLLLYMLASHIVGAFWYLLALERNDTCWQRACTDIGKPCDKNFLYCGNQNILDAGTWSNVTGNIQSKCSTDDQNAYFDFGIYKSALSSGVVSSKKFLPKYCYCLWWGLQNLSTLGQGLATSTYPGEVIFSISLAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEQSLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPSLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGSNLPSSTRTVRALTEVEAFALVAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRSSKRKNMELRRKEEEGETEVFGGVRSNAGGSYSIGATFLASRFAANALRGVHRNRNAKSARELVKLQKPPEPDFSAESAD >ONH98715 pep chromosome:Prunus_persica_NCBIv2:G7:21822072:21826227:-1 gene:PRUPE_7G263200 transcript:ONH98715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCSYKSQYLGGQREKFVRLDDLDSRLSSPSDSGARRCGFNIEGLSRTGPARDTSFKRGMRKGSEGLKSIGRSLGFGISRAVFPEDLKGSDKKIFDPQDKFLLLWNRLFVISCILAVSVDPLFFYLPVINNSSSCLGIDRRLAIIATTLRMIVDAFYLIHMALQFRTAYIAPSSRVFGRGELVIDPARIAKRYLRRYFIIDFLAVLPLPQIIVWRFLQRSKGSDVLATKQALLFIVLLQYIPRLVRVLPLTSELKRTAGVFAETAWAGAACYLLLYMLASHIVGAFWYLLALERNDTCWQRACTDIGKPCDKNFLYCGNQNILDAGTWSNVTGNIQSKCSTDDQNAYFDFGIYKSALSSGVVSSKKFLPKYCYCLWWGLQNLSTLGQGLATSTYPGEVIFSISLAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEQSLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPSLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGSNLPSSTRTVRALTEVEAFALVAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRSSKRKNMELRRKEEEGETEVFGGVRSNAGGSYSIGATFLASRFAANALRGVHRNRNAKSARELVKLQKPPEPDFSAESAD >ONH98714 pep chromosome:Prunus_persica_NCBIv2:G7:21821849:21827698:-1 gene:PRUPE_7G263200 transcript:ONH98714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDCSYKSQYLGGQREKFVRLDDLDSRLSSPSDSGARRCGFNIEGLSRTGPARDTSFKRGMRKGSEGLKSIGRSLGFGISRAVFPEDLKGSDKKIFDPQDKFLLLWNRLFVISCILAVSVDPLFFYLPVINNSSSCLGIDRRLAIIATTLRMIVDAFYLIHMALQFRTAYIAPSSRVFGRGELVIDPARIAKRYLRRYFIIDFLAVLPLPQIIVWRFLQRSKGSDVLATKQALLFIVLLQYIPRLVRVLPLTSELKRTAGVFAETAWAGAACYLLLYMLASHIVGAFWYLLALERNDTCWQRACTDIGKPCDKNFLYCGNQNILDAGTWSNVTGNIQSKCSTDDQNAYFDFGIYKSALSSGVVSSKKFLPKYCYCLWWGLQNLSTLGQGLATSTYPGEVIFSISLAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEQSLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPSLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGSNLPSSTRTVRALTEVEAFALVAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRSSKRKNMELRRKEEEGETEVFGGVRSNAGGSYSIGATFLASRFAANALRGVHRNRNAKSARELVKLQKPPEPDFSAESAD >ONH98722 pep chromosome:Prunus_persica_NCBIv2:G7:21836945:21839578:1 gene:PRUPE_7G263700 transcript:ONH98722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLFSSSPTPLKLHVKPSPFLPPLARIASAKLNFPASQLPNLRRVSLVSCKHQNPSPIFSSSPSSSAPSNILPALSPNRPELPNPQIIKKIANGASTQRKGLITRAFVVLSALVLILIQPACAPAAYASFQTATKTGSPAAAIGGRLIQTELLSSAWTGFLAGCLHTLSGPDHLAALAPLSIGRTRIESALVGALWGCGHDAGQVIFGLIFLLLKDRLHIEIIRTWGTRVVGITLLVIGAMGIKEASEVSSPCVALENGECDASVYESLENPAVGKKKIGFATFATGIVHGLQPDALMMVLPTLALPSRVAGAAFLVMFLVGTVVAMGSYTVFLGSCSQALKDRVPRITEKLTWASSLVAIALGSAIIISQLFGYSLY >ONH95058 pep chromosome:Prunus_persica_NCBIv2:G7:8610343:8620109:-1 gene:PRUPE_7G049400 transcript:ONH95058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLLSIVTLPSTAKLSLKLLNNGNIHENPIPKAHLSAGFNLDKNKHGNKVSFINFAVNNQQNIETAQKVHGKITRRKGGGIGNPFLSEGRDEDERNGPFCPGCGVFMQDKDPNLPGYYQKRKLTVSELSDGEEGVEDEFEEFDEEEEEEEEEEFFDGIEGKYEESDEEESELEMGDEFDWDSDDLEGKLLGKEENDLDLDGFSPAGVGYGNINEETIEKMKKKKIPKAEKKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQAAENLMPDFDFDRLIATRLIKPAASANPVVVMVVDCVDFDGSFPKRAAKSLFAALRGTENDPRLKKRLPKLVLVATKVDLLPSQVSPARLDKWVRHRAKAGGGPKLSGVYMVSSHKDLGVRNLLSFLKELAGPRGNVWVIGAQNAGKSTLINAFAKKEGAKVTKLTEAPVPGTTLGILRVGGILSAKAKLFDTPGLLHPYLVSMRLNRDEQKMVEIRKELRPRTYRIKAGQTIHVGGLVRLDLIQASVETIYLTVWASPNISLHMGKIENADETWRKHGGVRLQPPIGADRAFEMGTWEEREIKVSGASWDVNSVDIAIAGLGWFSIGLKGEATLALWAYDGIEITLREPLVLDRARSLERPGFWLPKAISEAIGNQSKLEAQRKKLEMESTDFLSEASI >ONH95060 pep chromosome:Prunus_persica_NCBIv2:G7:8618138:8620109:-1 gene:PRUPE_7G049400 transcript:ONH95060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLLSIVTLPSTAKLSLKLLNNGNIHENPIPKAHLSAGFNLDKNKHGNKVSFINFAVNNQQNIETAQKVHGKITRRKGGGIGNPFLSEGRDEDERNGPFCPGCGVFMQDKDPNLPGYYQKRKLTVSELSDGEEGVEDEFEEFDEEEEEEEEEEFFDGIEGKYEESDEEESELEMGDEFDWDSDDLEGKLLGKEENDLDLDGFSPAGVGYGNINEETIEKMKKKKIPKAEKKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQAAENLMPDFDFDRLIATRLIKPAASANPVVVMVVDCVDFDGSFPKRAAKSLFAALRGTENDPRLKKRLPKLVLVATKVDLLPSQVSPARLDKWVRHRAKAGGGPKLSGVYMVSSHKDLGVRNLLSFLKELAGPRGNVWVIGAQNAGKSTLINAFAKKEGAKVTKLTEAPVPGTTLGILRVGGILSAKAKLFDTPGLLHPYLVSMRLNRDEQKMVEIRKELRPRTYRIKVPTVPP >ONH95059 pep chromosome:Prunus_persica_NCBIv2:G7:8615985:8620109:-1 gene:PRUPE_7G049400 transcript:ONH95059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLLSIVTLPSTAKLSLKLLNNGNIHENPIPKAHLSAGFNLDKNKHGNKVSFINFAVNNQQNIETAQKVHGKITRRKGGGIGNPFLSEGRDEDERNGPFCPGCGVFMQDKDPNLPGYYQKRKLTVSELSDGEEGVEDEFEEFDEEEEEEEEEEFFDGIEGKYEESDEEESELEMGDEFDWDSDDLEGKLLGKEENDLDLDGFSPAGVGYGNINEETIEKMKKKKIPKAEKKRMAREAQKEKEEVTVCARCHSLRNYGQVKNQAAENLMPDFDFDRLIATRLIKPAASANPVVVMVVDCVDFDGSFPKRAAKSLFAALRGTENDPRLKKRLPKLVLVATKVDLLPSQVSPARLDKWVRHRAKAGGGPKLSGVYMVSSHKDLGVRNLLSFLKELAGPRGNVWVIGAQNAGKSTLINAFAKKEGAKVTKLTEAPVPGTTLGILRVGGILSAKAKLFDTPGLLHPYLVSMRLNRDEQKMVEIRKELRPRTYRIKAGQTIHVGGLVRLDLIQASVETIYLTVWASPNISLHMGKIENADETWRKHGGVRLQSQVGSIKNLGVFQTCEIKLNRCSLKPVTID >ONH95181 pep chromosome:Prunus_persica_NCBIv2:G7:9439286:9439651:-1 gene:PRUPE_7G055500 transcript:ONH95181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PICHCGNQCRLTTSWTDNNPGRRFWGCANYGVRRGCAFFEWYDPQVCERSKIVICGLLKRLRKEEEENRKLKKKVGAAQAQRFLRASVMGSRIFLTVLLGMLILEWRDSGSSKNVKLALTF >ONH94495 pep chromosome:Prunus_persica_NCBIv2:G7:2843536:2844147:-1 gene:PRUPE_7G019000 transcript:ONH94495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIVDYELPHDTHNVYDVSFHGDRIHTLVTHSSSVVDSWLAQTNLQSRIVGLDVEWRPNFNRSIENPVATLQLCVGPDCLIYQLLHTDHIPQALHAFLANRSYTFVGVGIGSDVEKLLLDYGLHVANAVDLAVFAAGRFDSTELRNAGLKGLARRMLGKEVQKPNRITMSRWDNQWLTCDQVQYACVDAFLSFEIARHLNV >ONH94888 pep chromosome:Prunus_persica_NCBIv2:G7:6895044:6900912:1 gene:PRUPE_7G037500 transcript:ONH94888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVISIASKIAESLVRPIGKEFGYLICYDSKMKDLKYQLQKLFEMKNGVQELVNTAKRNGEVINSDVQSWLTSVNELIQKVSHFEEEVNMKRQCLYRWNISRKATKITQDVRHLQKEGTFNNVAHPAPPPMISLAFKEGFKDFKSRMTCVNRVIEVLKNEEVRGIGICGMGGVGKTTMVKEIIKRLEGLKVFDNIVMAVVSQCPSIQKIQSEIAEELGFKYDENTESGRARRLYRRLMEINSILIVLDDVWTELDFEAIGLPSGLSHKGCKILLTSRNLEVCNAMGSQEIFTLPVLTAEESWELFSEMVGKPLDYPDLAKQVTNECGGLPIAIITVAKALENKRKHEWVDALKQLQSSAPGSISSMNDRVYSNIQWSYDRLESDEAKSCLLLCCLFPEDYDIPIEYLVRYGWGRGYFSNTDLVEEARNRVHSLVDKLQRRFLLLDSKLKDHTKMHDIVRDVAIQIASRDPHRFLIRCDAEKKGWPKIYDHYTTISLIPINIDEIPVGLECPKLELLHLEGKCYSENSMDIMCKGMKELKVLGMGGISALPSSQGLLKSLRTLSLNGCRYLTDISDVIGRLENLEILSFRECINILELPREIGLLKHLKLLDITDCIRLQKIPHGLLSSLSSLEELYMENSFRKWERSAAESEDKRMASLVEVMSLSNHLKVLVMYIPNFNFFPKDFYLTIQTTIRFHISNRLCPTGFRIESNGCYAFENKLDIVDSDATEFMEIQLLFKKCEDLILGRIKNLKCVLNELDQEGLQHLKVLTIWDCREIEYLVNGASWTQQTAFPLIQSIQLMWIPKLKAICHDQLPQSSFINLRSLELYDCPVLKYVFSLSVASNLVQLQSLNVDRCRQMKEIVSKEWREHETASDIIAFPKLTNLTLQGLHNFIGFYEVNNLYSNYEVTTPKDENVVFPSKCILWLQNLEEVKLKFDDGIVDVLFDLKGHMVTDGPALSHLRKLEILLAFACQHLWKNIPRGFQGFQNLRHFKINYGTDLQYVFPHSIARLLVNLEELNIAECWKMETIVRFADENEKEDQTGMTLFPKLNSFDLDGLPKLKTLASVIPQIKKLEKDSTAHHEDEDEDISSGSCGCTPYSCGPMTKPTSRTNIVQILPRPVNQEVAPTNLDQDSNDYDNLERLSILSCKSLEVVFQLKGSKAVESHNVQAFNKLCYLSLYKLPSLMHVWETGGSQHITGFGNLTFLSVSHCGSLRYLFLSTVAKLLVSLKDLKVGNCKKIKQVIAKADTECADQEITFPQLNSMTLEDLPNLICFSTEAYTLKLPSLMKLKVIRCPYLRTFASKVVNTHSRIQVHTELGQSEWMGELNSTIGNIHKKRETQRSTEHIHEEV >ONH94887 pep chromosome:Prunus_persica_NCBIv2:G7:6888829:6900893:1 gene:PRUPE_7G037500 transcript:ONH94887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVISIASKIAESLVRPIGKEFGYLICYDSKMKDLKYQLQKLFEMKNGVQELVNTAKRNGEVINSDVQSWLTSVNELIQKVSHFEEEVNMKRQCLYRWNISRKATKITQDVRHLQKEGTFNNVAHPAPPPMISLAFKEGFKDFKSRMTCVNRVIEVLKNEEVRGIGICGMGGVGKTTMVKEIIKRLEGLKVFDNIVMAVVSQCPSIQKIQSEIAEELGFKYDENTESGRARRLYRRLMEINSILIVLDDVWTELDFEAIGLPSGLSHKGCKILLTSRNLEVCNAMGSQEIFTLPVLTAEESWELFSEMVGKPLDYPDLAKQVTNECGGLPIAIITVAKALENKRKHEWVDALKQLQSSAPGSISSMNDRVYSNIQWSYDRLESDEAKSCLLLCCLFPEDYDIPIEYLVRYGWGRGYFSNTDLVEEARNRVHSLVDKLQRRFLLLDSKLKDHTKMHDIVRDVAIQIASRDPHRFLIRCDAEKKGWPKIYDHYTTISLIPINIDEIPVGLECPKLELLHLEGKCYSENSMDIMCKGMKELKVLGMGGISALPSSQGLLKSLRTLSLNGCRYLTDISDVIGRLENLEILSFRECINILELPREIGLLKHLKLLDITDCIRLQKIPHGLLSSLSSLEELYMENSFRKWERSAAESEDKRMASLVEVMSLSNHLKVLVMYIPNFNFFPKDFYLTIQTTIRFHISNRLCPTGFRIESNGCYAFENKLDIVDSDATEFMEIQLLFKKCEDLILGRIKNLKCVLNELDQEGLQHLKVLTIWDCREIEYLVNGASWTQQTAFPLIQSIQLMWIPKLKAICHDQLPQSSFINLRSLELYDCPVLKYVFSLSVASNLVQLQSLNVDRCRQMKEIVSKEWREHETASDIIAFPKLTNLTLQGLHNFIGFYEVNNLYSNYEVTTPKDENVVFPSKCILWLQNLEEVKLKFDDGIVDVLFDLKGHMVTDGPALSHLRKLEILLAFACQHLWKNIPRGFQGFQNLRHFKINYGTDLQYVFPHSIARLLVNLEELNIAECWKMETIVRFADENEKEDQTGMTLFPKLNSFDLDGLPKLKTLASVIPQIKKLEKDSTAHHEDEDEDISSGSCGCTPYSCGPMTKPTSRTNIVQILPRPVNQEVAPTNLDQDSNDYDNLERLSILSCKSLEVVFQLKGSKAVESHNVQAFNKLCYLSLYKLPSLMHVWETGGSQHITGFGNLTFLSVSHCGSLRYLFLSTVAKLLVSLKDLKVGNCKKIKQVIAKADTECADQEITFPQLNSMTLEDLPNLICFSTEAYTLKLPSLMKLKVIRCPYLRTFASKVVNTHSRIQVHTELGQSEWMGELNSTIGNIHKKRETQRSTEHIHEEV >ONH94889 pep chromosome:Prunus_persica_NCBIv2:G7:6888829:6900893:1 gene:PRUPE_7G037500 transcript:ONH94889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVISIASKIAESLVRPIGKEFGYLICYDSKMKDLKYQLQKLFEMKNGVQELVNTAKRNGEVINSDVQSWLTSVNELIQKVSHFEEEVNMKRQCLYRWNISRKATKITQDVRHLQKEGTFNNVAHPAPPPMISLAFKEGFKDFKSRMTCVNRVIEVLKNEEVRGIGICGMGGVGKTTMVKEIIKRLEGLKVFDNIVMAVVSQCPSIQKIQSEIAEELGFKYDENTESGRARRLYRRLMEINSILIVLDDVWTELDFEAIGLPSGLSHKGCKILLTSRNLEVCNAMGSQEIFTLPVLTAEESWELFSEMVGKPLDYPDLAKQVTNECGGLPIAIITVAKALENKRKHEWVDALKQLQSSAPGSISSMNDRVYSNIQWSYDRLESDEAKSCLLLCCLFPEDYDIPIEYLVRYGWGRGYFSNTDLVEEARNRVHSLVDKLQRRFLLLDSKLKDHTKMHDIVRDVAIQIASRDPHRFLIRCDAEKKGWPKIYDHYTTISLIPINIDEIPVGLECPKLELLHLEGKCYSENSMDIMCKGMKELKVLGMGGISALPSSQGLLKSLRTLSLNGCRYLTDISDVIGRLENLEILSFRECINILELPREIGLLKHLKLLDITDCIRLQKIPHGLLSSLSSLEELYMENSFRKWERSAAESEDKRMASLVEVMSLSNHLKVLVMYIPNFNFFPKDFYLTIQTTIRFHISNRLCPTGFRIESNGCYAFENKLDIVDSDATEFMEIQLLFKKCEDLILGRIKNLKCVLNELDQEGLQHLKVLTIWDCREIEYLVNGASWTQQTAFPLIQSIQLMWIPKLKAICHDQLPQSSFINLRSLELYDCPVLKYVFSLSVASNLVQLQSLNVDRCRQMKEIVSKEWREHETASDIIAFPKLTNLTLQGLHNFIGFYEVNNLYSNYEVTTPKDENVVFPSKCILWLQNLEEVKLKFDDGIVDVLFDLKGHMVTDGPALSHLRKLEILLAFACQHLWKNIPRGFQGFQNLRHFKINYGTDLQYVFPHSIARLLVNLEELNIAECWKMETIVRFADENEKEDQTGMTLFPKLNSFDLDGLPKLKTLASVIPQIKKLEKDSTAHHEDEDEDISSGSCGCTPYSCGPMTKPTSRTNIVQILPRPVNQEVAPTNLDQDSNDYDNLERLSILSCKSLEVVFQLKGSKAVESHNVQAFNKLCYLSLYKLPSLMHVWETGGSQHITGFGNLTFLSVSHCGSLRYLFLSTVAKLLVSLKDLKVGNCKKIKQVIAKADTECADQEITFPQLNSMTLEDLPNLICFSTEAYTLKLPSLMKLKVIRCPYLRTFASKVVNTHSRIQVHTELGQSEWMGELNSTIGNIHKKRETQRSTEVSISHS >ONH97229 pep chromosome:Prunus_persica_NCBIv2:G7:17572060:17575237:-1 gene:PRUPE_7G178000 transcript:ONH97229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVATVATTHTKKLVKLGRSLFRWGFNSSKCKMQAKMAVARIKLLRNKRQAVVKQMRRDIALLLQSGQDATARIRVEHVIREQNVLAANEFIELFCELVVSRLSIIAKQRECPADLKEGIASLIFASPRCSEIPELVELRNIFEKKYGRDFVSAAVDLRPSCGVNRMLIDKLSVRTPTGEVKLKLLKEIAKEYQVEWDTSESEQELLKPPEELIQGPSNFVSATSMPLQAQSVEPNKPDTSSRRTSGGGERGSVQPNKPGTSSSRRTSGSGERGHMHFADSASAAEAAAKSANDAMAAAQVAAYLANKDFSQTPQVPLSVNNGFGTPSGHSTGHFMPDGPPVDSQNMDHQSQACGIDTSHSSRNEETVLSHGDEKKFVYRRYSYNAPSEHSDIRFDESDCDEEVELEAPPSGFHQAPERPPPPPPSAATISRVHPKLPDYDALAARFDALKFRKPT >ONH94775 pep chromosome:Prunus_persica_NCBIv2:G7:5476279:5477596:1 gene:PRUPE_7G029500 transcript:ONH94775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEYPEAHLQKPRIKIYKETIQLIKMHNEDRTHTILHFSTNIICFVILSGYSIIGNEELVILNSWVQEFLYNLSDTIKAFSILLLTDLCIGFHSPHGWELMIGSVYKDFGFAHNDQIISGLVSTFPVILDTIFKYWIFRYLNRVSPSLVVIYHSMKD >ONH98012 pep chromosome:Prunus_persica_NCBIv2:G7:19893134:19894205:1 gene:PRUPE_7G223200 transcript:ONH98012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYAQYIMILMHVKSADQLQMPKERLMANIVCLEHGWEKQASKFLQIPSGRDAAPLADTLSLWVPREASGPITHATHSCDSQSIYVSFEDGSVGVLTASTLRLRCRILPTAYLPPNPSLRPLESEGRWGTSPPTENGAGPSTTSGAAGSDQPQR >ONH97634 pep chromosome:Prunus_persica_NCBIv2:G7:18828272:18831294:1 gene:PRUPE_7G202400 transcript:ONH97634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQDQAQVKQKWDPHAHLRKNKKEEDHFSEFELIPEEDNYQNPPTGNDQQQHAEWFEEQLEADLKWSFALNGVLHAATSEFQDIVLLDTKRFGKALVIDGKLQSAERDEFIYHECLVHPALLLHDNPKTIFIMGGGEGSTARESLKHKDVEKVIMCDIDRMVVDFCREHLTENQEAFRDDKLHIVFNDAKAELEKTEEKFDVIVGDLPDPIEGGPCNDLYTKPFYEQVIKPHLKDNGIFVTQAGLAGILSHKDIFTSIYNTIKHVFKYVIAYTAHVPSYADSCGWVLASDEPLKLDVEQLNSRIQERIRGDLLYLDGASIVSSTVVNKMICTSLLKETQVLTGENVRFVYGHGLTKNAEE >ONH97635 pep chromosome:Prunus_persica_NCBIv2:G7:18828596:18831294:1 gene:PRUPE_7G202400 transcript:ONH97635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFLCAALMVLYVPLPPEDHFSEFELIPEEDNYQNPPTGNDQQQHAEWFEEQLEADLKWSFALNGVLHAATSEFQDIVLLDTKRFGKALVIDGKLQSAERDEFIYHECLVHPALLLHDNPKTIFIMGGGEGSTARESLKHKDVEKVIMCDIDRMVVDFCREHLTENQEAFRDDKLHIVFNDAKAELEKTEEKFDVIVGDLPDPIEGGPCNDLYTKPFYEQVIKPHLKDNGIFVTQAGLAGILSHKDIFTSIYNTIKHVFKYVIAYTAHVPSYADSCGWVLASDEPLKLDVEQLNSRIQERIRGDLLYLDGASIVSSTVVNKMICTSLLKETQVLTGENVRFVYGHGLTKNAEE >ONH98497 pep chromosome:Prunus_persica_NCBIv2:G7:21268332:21270224:-1 gene:PRUPE_7G251800 transcript:ONH98497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMSVQEMSINGDQPPPEYIVKESSFGCIESSPPLADQIPIIDISLFSPSSLEYSEQAENHELQKLRTALSSAGCFQAIGHGISDSFLDKVREAAKQFFALPVEEKQKYSRALDGGSEGYGNDVIVSEKQVLDWSYRLTLRVFPQDQRRLHLWPQNPNDFGEMLHEYATKIKLMMGVVFKAMAKSLDLEEDSFAKQLFGDQSLLQARFNFYPPCSRSDLVLGVKPHTDRSGMTVLLQDKDVEGLQVLIDGKWVRVPIVPHALVLNLGDQMQIMSNGIYKSPMHRVVTNTEKMRLSVALFNEPDPETEIGPVEHLIDDETRPRLYKSVKNYGRINYECYQRGEIALETVKI >ONH98498 pep chromosome:Prunus_persica_NCBIv2:G7:21269121:21270173:-1 gene:PRUPE_7G251800 transcript:ONH98498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMSVQEMSINGDQPPPEYIVKESSFGCIESSPPLADQIPIIDISLFSPSSLEYSEQAENHELQKLRTALSSAGCFQAIGHGISDSFLDKVREAAKQFFALPVEEKQKYSRALDGGSEGYGNDVIVSEKQVLDWSYRLTLRVFPQDQRRLHLWPQNPNDFGEMLHEYATKIKLMMGVVFKAMAKSLDLEEDSFAKQLFGDQSLLQARFNFYPPCSRSDLVLGVKPHTDRSGMTVLLQDKDVEGLQVLIDGKWVRVPIVPHALVLNLGDQMQVQV >ONH96284 pep chromosome:Prunus_persica_NCBIv2:G7:14281599:14286208:-1 gene:PRUPE_7G118200 transcript:ONH96284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGHVLHLLIPSWNSVAILALFFAYLSIAGSILPGKVVPGATLPDGSRLYYRCNGLLSLLLLVGLLGFGAKMDFVSLTAISDRGFELLSTTFLFSVLVTLVLYAAGCKSSNRGSSLKPHVTGNLLHDWWFGIQLNPQFMGIDLKFFFVRAGMMGWLFINLSVLARSIQDGTLSQSMILFQLFCALYILDYFFHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLSNKVELGTAAVVANCLTFLIGYMVFRGANKQKHVFKKNPKAPIWGRPARVIGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGISSPVPYFYPIYLLILLVWRERRDEARCAEKYKEIWAEYRKLVPWRILPYVY >ONH96283 pep chromosome:Prunus_persica_NCBIv2:G7:14281336:14286412:-1 gene:PRUPE_7G118200 transcript:ONH96283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGHVLHLLIPSWNSAISDRGFELLSTTFLFSVLVTLVLYAAGCKSSNRGSSLKPHVTGNLLHDWWFGIQLNPQFMGIDLKFFFVRAGMMGWLFINLSVLARSIQDGTLSQSMILFQLFCALYILDYFFHEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLSNKVELGTAAVVANCLTFLIGYMVFRGANKQKHVFKKNPKAPIWGRPARVIGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGISSPVPYFYPIYLLILLVWRERRDEARCAEKYKEIWAEYRKLVPWRILPYVY >ONH98289 pep chromosome:Prunus_persica_NCBIv2:G7:20721464:20725447:-1 gene:PRUPE_7G240800 transcript:ONH98289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVKNIWNAMEVAKQTSDCSTSQIHSSSLSVDPSLPLSHITPLIIELCKDLFKKWSNLENSRFSVGTVSGGITNLLLKVTVKEENGNDVSVTVRLYGPNTEYVINRERELQSIKYLSAAGFGANLLGVFGNGMVQSFINARTLIPSDMRNPKLAAEIAKQLCRFHQVEIPGSKEPQLWNDLLKFFEKASALEFDDNEKQKLYKTISFSEVHNEIIELKELTGLLNSPVVFAHNDLLSGNIMVNDEEGYECDFTLCPNKDEQYHFLRHYLQPENPHEVSEKDLEALYVEENTYTLASHLYWALWGLIQAKFSPINFDYLGYFFLRYNEFKRQKEKCFSLARSFLSRSQTLNMHAQT >ONH98290 pep chromosome:Prunus_persica_NCBIv2:G7:20721720:20725334:-1 gene:PRUPE_7G240800 transcript:ONH98290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVKNIWNAMEVAKQTSDCSTSQIHSSSLSVDPSLPLSHITPLIIELCKDLFKKWSNLENSRFSVGTVSGGITNLLLKVTVKEENGNDVSVTVRLYGPNTEYVINRERELQSIKYLSAAGFGANLLGVFGNGMVQSFINARTLIPSDMRNPKLAAEIAKQLCRFHQVEIPGSKEPQLWNDLLKFFEKASALEFDDNEKQKLYKTISFSEVHNEIIELKELTGLLNSPVVFAHNDLLSGNIMVNDEEDKLYLIDFEYGSYNYRGFDIGNHFNEYAGYECDFTLCPNKDEQYHFLRHYLQPENPHEVSEKDLEALYVEENTYTLASHLYWALWGLIQAKFSPINFDYLGYFFLRYNEFKRQKEKCFSLARSFLSRSQTLNMHAQT >ONH98535 pep chromosome:Prunus_persica_NCBIv2:G7:21333355:21334626:1 gene:PRUPE_7G252900 transcript:ONH98535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPTAAPTVAFTTPPNYAARLAHLLALKGFNPISSPTLIVQPTPSTISALKPYLSPPPSLDLFSAIAFPSRTAITSLSAAAADISHPLLSPHGDAFIIAALGKDAELMDDNFVHKLCSNTNRVRILVPPTATPSGLVEALGDGRNRRVLCPVPVVVGLVEPPVVPDFLRDLEAKRWVPVRVNAYETRWAGPGCAKQVVERIEEGALDAMVFTSTAEVEGLLKSFKEFGLDWEIAKKRCPKMLVAAHGPITAAGAHMLGVRVDLVSSQFDSFQGVVDALHTEISRLS >ONH94313 pep chromosome:Prunus_persica_NCBIv2:G7:1331687:1332811:-1 gene:PRUPE_7G010100 transcript:ONH94313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQQENNKGKGKEKENYESWTMDDTNELLHLLVDAINSGLRDANGSLSKQNVERVILPRLNAKIRFPKTYNHYLSRMKWFKKQYNKMSTLMRNNSGFGHSKLKEKSVVDYEDLKIVVGSGTATGNGSTALGADDTDATTYGEENRDFGMEDFSYDPNNDAFIAPNHYEPSYQPPLPRQCSPPSHSPLGSEVPTKKSSRNKRNRSEYEGSSRSVGTNNQAKVLENLSIGIETTSTNFEKISNLMEKRERDRELNEIEKRERERKSNIWDAIKEIPNLDDNTHYMAGDLLNTKAKKDLFLKMSLEEHSSWINFKLG >ONH94237 pep chromosome:Prunus_persica_NCBIv2:G7:634631:635023:1 gene:PRUPE_7G005600 transcript:ONH94237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQPQWLLLALIGLLLPLMLVAAVTSPRPLAGGWKPIAKENISDPRLKLMADFAVSMYNLRTHKKLFVVRVVRGDTQIVAGQNYRLVVLAKDGLSLPNSTADHAHYELRVYEKLWEDLWKLVSFRQLEN >ONH94671 pep chromosome:Prunus_persica_NCBIv2:G7:4140062:4144216:-1 gene:PRUPE_7G025800 transcript:ONH94671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLFFYSEQQPQEPNRTNEKRMEVMEDTLHLQLHKLSALKSEEALDQLLCTLWKTRKTGLHSPHQKSHFQSLLNLPSLSQLHPVLACLRSLIRKWVHENFTGDDLLKLFPPDLPLDLQSSLVVLFHKYQSQWKDEVAREQLRSLPRTSVSYQVKTSVPPSFTTLGSSCSFSDFGASSTPIVTGTNASRLTPMSPPILQVGILPRLKSMTWTMENCNSGPANRVAVINLKLQDYTKSPLDEIEVKFQLTRDTLEAMLRSLTYIKEQLSSMVGTISGPSQKKQKQSDHLV >ONH94672 pep chromosome:Prunus_persica_NCBIv2:G7:4142749:4144060:-1 gene:PRUPE_7G025800 transcript:ONH94672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLFFYSEQQPQEPNRTNEKRMEVMEDTLHLQLHKLSALKSEEALDQLLCTLWKTRKTGLHSPHQKSHFQSLLNLPSLSQLHPVLACLRSLIRKWVHENFTGDDLLKLFPPDLPLDLQSSLVVLFHKYQSQWKDEVAREQLRSLPRTSVSYQVKTSVPPSFTTLGSSCSFSDFGASSTPIVTGTNASRLTPMSPPILQVVREFYLA >ONH94670 pep chromosome:Prunus_persica_NCBIv2:G7:4140062:4144130:-1 gene:PRUPE_7G025800 transcript:ONH94670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLFFYSEQQPQEPNRTNEKRMEVMEDTLHLQLHKLSALKSEEALDQLLCTLWKTRKTGLHSPHQKSHFQSLLNLPSLSQLHPVLACLRSLIRKWVHENFTGDDLLKLFPPDLPLDLQSSLVVLFHKYQSQWKDEVAREQLRSLPRTSVSYQVKTSVPPSFTTLGSSCSFSDFGASSTPIVTGTNASRLTPMSPPILQGILPRLKSMTWTMENCNSGPANRVAVINLKLQDYTKSPLDEIEVKFQLTRDTLEAMLRSLTYIKEQLSSMVGTISGPSQKKQKQSDHLV >ONH97761 pep chromosome:Prunus_persica_NCBIv2:G7:19119953:19122734:1 gene:PRUPE_7G208200 transcript:ONH97761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSAHNPVGRQQKIIVPNKHDEKLVGLLHETGSEEIVILCHGFRSTKETTTITNLAIALENEGISAFRFDFAGNGESEGTFQYGNYWREADDLHAVIQHFSGENRAASTILGHSKGGDVVLLYASKYHGIRTVVNVSGRYDLQRGIEERLGKDFVETIKKEGYLDVKNKTGDVSYRVTEESLMDRLSTDMHEACLQIDKECRVLTVHGSADETIPVEDALEFSKIIPNHKLHVIEGADHCYTSHQTELVSVVLDFIKAALQQDKATSN >ONH97760 pep chromosome:Prunus_persica_NCBIv2:G7:19119980:19122734:1 gene:PRUPE_7G208200 transcript:ONH97760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSSFTPISFPSPKFTLYQNRSPKRILKMAQSAHNPVGRQQKIIVPNKHDEKLVGLLHETGSEEIVILCHGFRSTKETTTITNLAIALENEGISAFRFDFAGNGESEGTFQYGNYWREADDLHAVIQHFSGENRAASTILGHSKGGDVVLLYASKYHGIRTVVNVSGRYDLQRGIEERLGKDFVETIKKEGYLDVKNKTGDVSYRVTEESLMDRLSTDMHEACLQIDKECRVLTVHGSADETIPVEDALEFSKIIPNHKLHVIEGADHCYTSHQTELVSVVLDFIKAALQQDKATSN >ONH94311 pep chromosome:Prunus_persica_NCBIv2:G7:1315865:1323637:-1 gene:PRUPE_7G009900 transcript:ONH94311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVFLDRDGKHFRHILNWLRDGVVPTLKDSKYTEVLREAEYYQLLGLIDGIHAILNKKKEDEELDTELTRTDIIKCIQSDRVRFRGVNLSGLDLSKLDLSFVDFSYACLRNVFFSRANLHCAKFKDVDAEGANFHNATLRECEFTGANLRGALLAGANLQSANLQDACLINSSFCQADLRSAHLQNADLTNANLEGAILEGANLKGAKLSNTNLKDANLQRAYLRQVNLRDTHLEGAKLDGANLLGAMR >ONH94309 pep chromosome:Prunus_persica_NCBIv2:G7:1315524:1324759:-1 gene:PRUPE_7G009900 transcript:ONH94309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDSDSSSLIRLNIGGTKFCTTIDTLTQREPDSMLAAMFSGRHTLCQDPEKGYVFLDRDGKHFRHILNWLRDGVVPTLKDSKYTEVLREAEYYQLLDLSFVDFSYACLRNVFFSRANLHCAKFKDVDAEGANFHNATLRECEFTGANLRGALLAGANLQSANLQDACLINSSFCQADLRSAHLQNADLTNANLEGAILEGANLKGAKLSNTNLKDANLQRAYLRQVNLRDTHLEGAKLDGANLLGAMR >ONH94310 pep chromosome:Prunus_persica_NCBIv2:G7:1315865:1324482:-1 gene:PRUPE_7G009900 transcript:ONH94310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDSDSSSLIRLNIGGTKFCTTIDTLTQREPDSMLAAMFSGRHTLCQDPEKGYVFLDRDGKHFRHILNWLRDGVVPTLKDSKYTEVLREAEYYQLLGLIDGIHAILNKKKEDEELDTELTRTDIIKCIQSDRVRFRGVNLSGLDLSKLDLSFVDFSYACLRNVFFSRANLHCAKFKDVDAEGANFHNATLRECEFTGANLRGALLAGANLQSANLQDACLINSSFCQADLRSAHLQNADLTNANLEGAILEGANLKGAKLSNTNLKDANLQRAYLRQVNLRDTHLEGAKLDGANLLGAMR >ONH98612 pep chromosome:Prunus_persica_NCBIv2:G7:21527910:21529223:-1 gene:PRUPE_7G257400 transcript:ONH98612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGGGRAAKSTTKGKAKNKKRHNKKPLSQHHLVSLVATATSLAHSFLSQNDLLLLPSQTLTLETLLSSTSTSLSTLLCFPNPPPQPPLRPPPPPLECWFSRFLSATSASRNFDSRWSYTFRMSEHSFSILLSLLSPFLNSTIPSIPPNFVLAAAIYRLAHGASYKAVGRRFGLDSVEACRAFFAVCKAVSDKLGNLFEFRSDIARIVGGFGWISLPNCCGVLGFGRFGVGGEVLGPNGSLLVQALVDSEGRFLDVSAGWPSAMKLESIFRQTKLYLGVEESRDLLNGPVYELGNGKAIPQYILGDSCFPLLPWLLTPYIRSDEADSFGSLEKAFNSVHSRAMGLVDTAFGRVRARWQLLSRQWKEECVEFLPFVIVTGCLLHNFLIKCSEPMPDDNVKSSREEELPVFHGQVDESGERMRDVLAAHLSRVSLRR >ONH94151 pep chromosome:Prunus_persica_NCBIv2:G7:150043:152580:1 gene:PRUPE_7G001100 transcript:ONH94151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNMARIKSLYTILLHKPTPSHASVFMSIAAAFALKQVPALSSAPTHSFTPVVFLWSSSTSSPHSKLQSSDDGSSTANSKFVSRHDDVDDDDQDLDDGDLRLSGSHRGDEGLAGDVKSIVNILSLRKSLGSEMTRKLDECCIAASSELVVEVLSRVRNDWESAFAFFLWAGKQPGYAHSLREYHCMISIMGKMRKFDTAWGLIDEMRGLSLVTPHTLLIMIRKYSCVHDVGKAINTFYAYKRFNLVAGMDDFHGLLSALCRYKNVQEAEQLLFCNRNVFPFNTKSFNIILNGWCNIIVSPREAERVWTEMSKRGVVHDAVSYSCLISCYSKASNINRVLKLFDRMKAMKIEADRKVYNALIYALGKRRLLKEAINLVKTMQDKGIAPNVVTYNSLIKPLCKAGKIDEARHILDDMLQRGHLPTIQTYHAFFRILRTGEKVFELLEMMKYTGCHPNTDTYIMLIRKFCRWRQLDHVFKLWSEMSENGVNPDRSSYIVLIHGLFLNGKLEEAYKYYMEMKEKQYLPEPSTEEMLQSWLSGKQISTFQTTDKEGVQLDCSQPDMKTSVISNKSHQEKDFLRQPETRKVVRERGFSFWEQ >ONH94152 pep chromosome:Prunus_persica_NCBIv2:G7:150043:153411:1 gene:PRUPE_7G001100 transcript:ONH94152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNMARIKSLYTILLHKPTPSHASVFMSIAAAFALKQVPALSSAPTHSFTPVVFLWSSSTSSPHSKLQSSDDGSSTANSKFVSRHDDVDDDDQDLDDGDLRLSGSHRGDEGLAGDVKSIVNILSLRKSLGSEMTRKLDECCIAASSELVVEVLSRVRNDWESAFAFFLWAGKQPGYAHSLREYHCMISIMGKMRKFDTAWGLIDEMRGLSLVTPHTLLIMIRKYSCVHDVGKAINTFYAYKRFNLVAGMDDFHGLLSALCRYKNVQEAEQLLFCNRNVFPFNTKSFNIILNGWCNIIVSPREAERVWTEMSKRGVVHDAVSYSCLISCYSKASNINRVLKLFDRMKAMKIEADRKVYNALIYALGKRRLLKEAINLVKTMQDKGIAPNVVTYNSLIKPLCKAGKIDEARHILDDMLQRGHLPTIQTYHAFFRILRTGEKVFELLEMMKYTGCHPNTDTYIMLIRKFCRWRQLDHVFKLWSEMSENGVNPDRSSYIVLIHGLFLNGKLEEAYKYYMEMKEKQYLPEPSTEEMLQSWLSGKQISTFQTTDKEGVQLDCSQPDMKTSVISNKSHQEKDFLRQPETRKVVRERGFSFWEQ >ONH94153 pep chromosome:Prunus_persica_NCBIv2:G7:150043:159081:1 gene:PRUPE_7G001100 transcript:ONH94153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRNMARIKSLYTILLHKPTPSHASVFMSIAAAFALKQVPALSSAPTHSFTPVVFLWSSSTSSPHSKLQSSDDGSSTANSKFVSRHDDVDDDDQDLDDGDLRLSGSHRGDEGLAGDVKSIVNILSLRKSLGSEMTRKLDECCIAASSELVVEVLSRVRNDWESAFAFFLWAGKQPGYAHSLREYHCMISIMGKMRKFDTAWGLIDEMRGLSLVTPHTLLIMIRKYSCVHDVGKAINTFYAYKRFNLVAGMDDFHGLLSALCRYKNVQEAEQLLFCNRNVFPFNTKSFNIILNGWCNIIVSPREAERVWTEMSKRGVVHDAVSYSCLISCYSKASNINRVLKLFDRMKAMKIEADRKVYNALIYALGKRRLLKEAINLVKTMQDKGIAPNVVTYNSLIKPLCKAGKIDEARHILDDMLQRGHLPTIQTYHAFFRILRTGEKVFELLEMMKYTGCHPNTDTYIMLIRKFCRWRQLDHVFKLWSEMSENGVNPDRSSYIVLIHGLFLNGKLEEAYKYYMEMKEKQYLPEPSTEEMLQSWLSGKQISTFQTTDKEGVQLDCSQPDMKTSVISNKSHQEKDFLRQPETRKVVRERGFSFWEQ >ONH96526 pep chromosome:Prunus_persica_NCBIv2:G7:15239842:15240732:1 gene:PRUPE_7G134500 transcript:ONH96526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSFSFLRFQYGSPRKPPNKPSPELSFSKERQRSSLSRPSSQPKAEEIRYVFDKFDTNKDGRISREEYKSALKTLDKEIAEAEIAKTFKALDSDGDGFIGFKEFAEMFNMGADQAREADIESAFRLFDLDGNGKISAEELSLVLKKLGENCSLGACRNMVKGVDTNGDGLIDMNEFSKMMGNFKKPTA >ONH95996 pep chromosome:Prunus_persica_NCBIv2:G7:13124675:13129660:-1 gene:PRUPE_7G101100 transcript:ONH95996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTGKLMPNLDQQSTKMLNLTVLQRIDPFIEEILITAAHVTFYEFNIESNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNPRECEEVANLFTRILNAYSKVPPKSKVASSKSEFEELEAVPSMLVMEGPLEPSLNASTATDAPEDSSFVNYLAAMNIGGNTTNPTNSRQAYHSAGTVPASSYAPSAVSPPAPTPQPPPLSLSVPSTPTPQHVPSDLTTSNNRVTNLIKPSSFFPLPASSSSLIIPPVASAVPTAAALHPPLNLQRPYGTPMLQPFPPPTPPPSLTPNSAPLAPNDGPLINREKVREALLMLVQDDQFIDMLYQALLKVHHT >ONH96132 pep chromosome:Prunus_persica_NCBIv2:G7:13738264:13740965:-1 gene:PRUPE_7G109300 transcript:ONH96132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRICFLFLVITLLLATSTSTSVQNDLSSVSFSYSGATGPEKWGSLSPFFSACSNGKIQSPVDIVKGILSPSKRLKPLTRVYGASNATLFNNGFNIGVHFEGHVGTLDVDGKNYDLKQMHWHSPSEHRLNGVQFPAELHLLHQASDQSMSVVAVLFQFGKDDIIISKIKDKLTELAKETCKKEEDAQIPLGTVDLNEFQKKSRKYFRYVGSLTVPPCTENVVWNILGKVLTRVRSHTYIYTYIYTYLLIRIPNLTYSFM >ONH96131 pep chromosome:Prunus_persica_NCBIv2:G7:13737548:13741502:-1 gene:PRUPE_7G109300 transcript:ONH96131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRICFLFLVITLLLATSTSTSVQNDLSSVSFSYSGATGPEKWGSLSPFFSACSNGKIQSPVDIVKGILSPSKRLKPLTRVYGASNATLFNNGFNIGVHFEGHVGTLDVDGKNYDLKQMHWHSPSEHRLNGVQFPAELHLLHQASDQSMSVVAVLFQFGKDDIIISKIKDKLTELAKETCKKEEDAQIPLGTVDLNEFQKKSRKYFRYVGSLTVPPCTENVVWNILGKVRTISKDQLNALKAPLDSACKNNSRPLQPPNGRKVEFYDELRDQ >ONH96130 pep chromosome:Prunus_persica_NCBIv2:G7:13732967:13734600:1 gene:PRUPE_7G109200 transcript:ONH96130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVDLETLVSACAGGSMDRKIACETQADAITGVQDPPEEDDADEPAVPPDFPPESFWLSKDAEYDWFDRNAFFERKDSTKGNSNSNNLNPNPNHSNSNSQRFSLNLKSKAAIIGLPKPQKHNYVDTKNRRNCKAGNTRLFPKRSGSVGKSDAPMIEPSSPKVSCMGRVRSKRDRKRRFRNRQRSSAESSMEKAIKPVERRKTGFFASFRAMFRHGHRDKSAKSPYAAADSPPRHSSVMSGRARDRSAAYDFDTLSIDSLPRQSVESEPPGLGGMKRFVSGRRSGSWVGEAGIDVA >ONH98196 pep chromosome:Prunus_persica_NCBIv2:G7:20441531:20445251:1 gene:PRUPE_7G234900 transcript:ONH98196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILSKLPRKSPKSDSLDSAGSDSGSNTPNLGNGFQCTIGGSSLTSKLNVVKRVSSAVFPSSIAAGAEAVEPHLSFKEVSNPQKHNLFISKLNLCCEFIDSSDPVKQDLKRETLIELVDFVSSGSAKFSEPAISALCKMCAMNLFRVFPPKYRSNFTGGETDDEEPLFDPAWSHLQIVYDLLVRFISYSSLDIKVAKKHVDHSFIVKLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIIYRFVFETERHNGISELLEIFGSVISGFALPLKEEHKIFLWRALIPLHKPKSVGIYHQQLTYCVVQFIDKDPKLASTVIKGLLKYWPVTNSQKELMFLSELEEVLEMTSMDEFQKVMVPLFRRIRCCLNSSHYQVAERSHLLWNNEHILNLIAHNRQVILPLVFPAIERNTQNHWNQAVLNLTLNVKKMFSDMDEELVLACQCKLEEEDIRSSAAAEKRRLTWERLETAAGVQPVACNFLAPIKTAACPVVC >ONH98195 pep chromosome:Prunus_persica_NCBIv2:G7:20441531:20445251:1 gene:PRUPE_7G234900 transcript:ONH98195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQILSKLPRKSPKSDSLDSAGSDSGSNTPNLGNGFQCTIGGSSLTSKLNVVKRVSSAVFPSSIAAGAEAVEPHLSFKEVSNPQKHNLFISKLNLCCEFIDSSDPVKQDLKRETLIELVDFVSSGSAKFSEPAISALCKMCAMNLFRVFPPKYRSNFTGGETDDEEPLFDPAWSHLQIVYDLLVRFISYSSLDIKVAKKHVDHSFIVKLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIIYRFVFETERHNGISELLEIFGSVISGFALPLKEEHKIFLWRALIPLHKPKSVGIYHQQLTYCVVQFIDKDPKLASTVIKGLLKYWPVTNSQKELMFLSELEEVLEMTSMDEFQKVMVPLFRRIRCCLNSSHYQVAERSHLLWNNEHILNLIAHNRQVILPLVFPAIERNTQNHWNQAVLNLTLNVKKMFSDMDEELVLACQCKLEEEDIRSSAAAEKRRLTWERLETAAGVQPVACNFLAPIKTAACPVVC >ONH95416 pep chromosome:Prunus_persica_NCBIv2:G7:10727827:10731251:1 gene:PRUPE_7G069100 transcript:ONH95416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVESDQDFIGEKNRNDNGINIFEDPFMSYNCINEEDNGRCGEKPTERQRKRHYKNSKNKIVPRGSAFYGVSRYTGGRYEAFLWDNTEPKSKGKTGGFETEEEAARAHDLAALKLWGDFATLNFTLNEYKKELGEMQGMSKQDYFLHIRRNSYHKKWQARLGKGGGLKGVYLGTFATEEEAARAYDVAAIRMKGTKAATNFDLSQYDLNSIFNSAKLPIGKGASKKLRWSSVDDVLENRRSSGANEKIPILQRDEDYSTGISRLSSTTQPSSSFEPTIHQNQPTPNSSNLNQYISPFSHHALQNTDIQYNQLPQDFSVNPSSQVHDVSGCENLNFQRSPSLNQWVGTYGGGDNSEGSGFSVGGSQIYGSLDGDPTMRQSVDRNSSGFSFGRDVENHEFDQLHQYNSDSYSQYLNQNPSFSSLLQDSMQVPNYPQTFYPTFLPGLTNLDPGATYVGESSRSVSQVPEVSLEAMLAETNQSCGNGIINEVFSLDHEVPVNIMEGNSTVQPGYAENGNFVEDFGVEKSAGLDLDDDFPSSWVEMFLMTLEGSDCHV >ONH97654 pep chromosome:Prunus_persica_NCBIv2:G7:18892836:18897378:-1 gene:PRUPE_7G203900 transcript:ONH97654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNLDGGRKRGGDSGNGKLLNEIETISKALYVDKNPSRSSIPAGSNPSGSIGKSRVPDPKSKPKSVGENLLAKEKRSFWNWKPLKAFSHIRNRRFNCCFSLQVHSIEGLPSALNEISLCVHWKRRDGIFVTNPVKVVQGTAKFEEKLTHTCSVYGSRSGPHHSAKYEAKHFLLYASVFGAPELDLGKHRIDLTRLLPLTLEELEEEKSSGNWTTSFRLSGKAKGGSLNVSFGYTVLGDNPSATENSQNVPEVLTSRQNNSSMATTAGMKYGQVDSRSSIRRAGTLPKQRSRASSQSVEDIKDLHEVLPISRSELSSSVNTLYQKFDEEEKSDTPVDYKPELDVCTEHLEAVKTNPFPSPDCGQKVENGCENDFSVVEQGIELPANELKESEVITQATDASPAETLFSETTSSVQVAVEGETKLESQVEEKGSYTDDLVVCEFTSREDDLCTKESLMKELESALDIVSDLERAALESPEDKRSCVEGNRMKMMGRSHSLDEVTESVANEFLSMLGMEHSPFSLSSESDPESPRERLLRQFEQEALAGGFSLFNFEDIGNGDQAECGYAGSTESGWENLSDSFELSSVIQAAEEEHQIATQEVRSKEKAKMLEDLETESLMLEWGLNEMAFQHSPPKSSASFGSPIDLPAEEPLDLPPLGEGLGPFLQTKNGGFLRSMNPSLFSNAKSGGNLIMQVSSPVVVPAEMGSGVIEILQHLASVGIEKLSMQANKLMPLEDITGKTMEQVAWEAVPALEGPRSQRECLMQHESVGQDTSDGVTRAKGILSGPKSNKFNSSAAGNEMGLEYVSLEDLAPLAMDKIEALSIEGLRIQSGMSDADAPSNINAQSVAEIAALQGKGVNVGESLGLEGAAGLQLLDIKDSGNDVDGLMGLSLTLDEWLKLDSGEIDDEDHISERTSKILAAHHANSLDMIRGGSKGERRRGKGASRKCGLLGNNFTVALMVQLRDPLRNYEPVGAPMLSLVQVERVFLPPKPKIYSTVSELRCSNEEDDDSESVGKEKIKEERKDEKSSEVEAVPQFRITEVHVAGLKTEPDKKKPWGTASQKQSGSRWLLANGMGKNNKHPFLKSKAVPKSSAPATTKVQPGDTLWSISSRVHGTGEKWKELAALNPHIRNPNVIFPNETIRLC >ONH96365 pep chromosome:Prunus_persica_NCBIv2:G7:14617838:14626346:1 gene:PRUPE_7G123800 transcript:ONH96365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRELACVLEGQSQLTDSLAGTQTESQTSPCVRVYENLNFKRFKGAVVNGVIVYTRERRSRINGRNAFSDDAEINGLKSSDELRVDESPSPQGSERGESVEFVVKDEPNCKLEMRRCSGYGPYSELSGPSVEEHDMEADLVEVEVKDEPSCNEGETDLQGRPLRRFTRSALRPTFEPTVESASGAVPVEVISNIEEDDTFGVSTLASPLRNKLELKMSKKIVLDRKPTTVKELFETGMVDGVQVIYMGSKKAFGLRGIIKDGGILCSCILCNNCRVIPPSQFEIHACKTYRRAAQYICFENGRSLLDLLKACRNASLHTLETTVQNFINSSPAEKYFTCKNCSVSFPPYCALDDGSLCYSCMESKKPECSPTHEPGDSLRSLMPVSISKSLKSAIPKSPKTGITKSPRIGITKSPRIGITKSPRIGISKSPRIAISKSPKSAIIKPLKSAISKTLKNAISKSPKSAPVCLSLQKKRKSSPPVLISDPSGSASPMCSPYKSRWKITPKSSKSILISRSPSPASMYFSSQKKSQWRITTKDQRLHKLVFEEDGLPDGTEVAYYARGQKLLVGYKMGFGIFCRCCNSEVSPSQFEAHAGWASRRKPYAYIYTSNGVSLHELAISLSRGRKYSSKDNDDLCIICADGGNLVLCDGCPRAFHRDCASLPNVPRGDWYCKFCQNMFQREKFVEHNENAVAAGRISGIDPIEQITQRCIRIVKDIEAELTGCVLCRGYDFSKSGFGPRTIILCDQCEKEYHVGCLKKHKMANLKELPKGKWFCCVECSKIHSILQKLLTRGAEKLPDSHLDVIKKKQEGNGLETVSGLDVRWRLISGKIASQESRLLLAQAVAIFHDCFDPIIDSESGRDLIPAMVYGRNVRSQEFGGMYCAILMVNSTVVSAGIIRVFGHEVAELPLVATSNGNHGKGYFQLLFSCIEKLLAFLSVKSLVLPAAEEAESIWTEKFGFTKIMPDQLTNYRRTCYQMVTFKGTSMLHKKVPECRVVNTL >ONH97494 pep chromosome:Prunus_persica_NCBIv2:G7:18371150:18371953:1 gene:PRUPE_7G192600 transcript:ONH97494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGKLTKLKSVLKKWNSFSKQNSRPSLNSVVSPDDDFSSSPAVISADLRPVYVGKSRRRYLVNSDVVDHPLFKELADRSGDSDDHTINVACEVVLFEHLLWMLENADPQPESMDELVEFYACC >ONH94244 pep chromosome:Prunus_persica_NCBIv2:G7:714852:717217:1 gene:PRUPE_7G006100 transcript:ONH94244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDEWEYLPDDGFLDFHEEKEEKRIFSSKAYSDSKSVFNMNYFIIPSPNSRKLIETPPPIPPQGFINSRVPNQLVPVPIQLELPPSFVKAEEEEEQVPAARVLVDEKTNYKAKALEADDQDTQLSQVYFKKTWENEFADMKMDSPKSPTCRSPRFMQHQTTDAAAAVASIESKITSPRMKIGEKNNNLFDSEDTKKEEEEEEEDLVKNGRDHNSNNIWKLSLTGIGAICSFGFAAATICVLFFGTHQRNKQYQQNQNRYQIYTDDNKAVEHATKFNEAFSAVRGVPITRAHVTFGGHYNGL >ONH94243 pep chromosome:Prunus_persica_NCBIv2:G7:714852:717217:1 gene:PRUPE_7G006100 transcript:ONH94243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDEWEYLPDDGFLDFHEEKEEKRIFSSKAYSDSKSVFNMNYFIIPSPNSRKLIETPPPIPPQGFINSRVPNQLVPVPIQLELPPSFVKAEEEEEQVPAARVLVDEKTNYKAKALEADDQDTQLSQVYFKKTWENEFADMKMDSPKSPTCRSPRFMQHQTTDAAAAVASIESKITSPRMKIGEKNNNLFDSEDTKKEEEEEEEDLVKNGRDHNSNNIWKLSLTGIGAICSFGFAAATICVLFFGTHQRNKQYQQNQNRYQIYTDDNKRIKQAVEHATKFNEAFSAVRGVPITRAHVTFGGHYNGL >ONH97057 pep chromosome:Prunus_persica_NCBIv2:G7:17063642:17066760:-1 gene:PRUPE_7G167300 transcript:ONH97057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERAEKAAQKGSKTERWCWRLILLVTVSLVATMAALTLLKYFKHSNSSRVSGRPGPVVQKYSEALQLSMQFFDIQKSGKLVDNPIPWRGDSGLQDGSEEDLDLSKGLYDAGDLIKFGFPMAFTATVLSWGILEYGDQMKAVKQLDHAHDSLKWITDYLINAHPSPNELYIQVGDPDLDHKCWQRPEVMTEERPLIQINTSFPGTDVAAETAAAMASASLVFRKINSSYSKLLLMHAQQLFAFADTYRGSYSVSIPQVQIYYNSTGYGDELLWAATWLYHATGDLTYFKYATEQNGPAFANWGSPSWFSWDDKHAATQVLLSRISFFGTKDISFAENMDLQKYRETAEVFMCGLLPDSPTATSSRTASGLIWVIKWNCLQHAVASAFLAVLYSDYMLTSQTEVLYCDGKFYKPTDLRNFAISQVDYVLGENPMAMSYLVGYGSKYPQYVHHRGSSIPADAHTGCQDGFKWLNSSNPNPNVAVGALVGGPFLNETYIDNRNNSMQGEPSTYNSALIVALLSGLVTSSSVPKTFS >ONH96339 pep chromosome:Prunus_persica_NCBIv2:G7:14480000:14482717:-1 gene:PRUPE_7G121700 transcript:ONH96339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIIQPTIAALPPLPPPPSSSFPQNPFSLSNPKPSLRPSLSLSLSPPSSSNPKLKPQLLLNFTALPPSQSLPTQKPLLPLTPPNGSDQTHFLFHHLLNLLRLSARHGDHELARAVHASILKFEEDNHLGNALISAYLKLGLVPDAYRVFQSLSCPNVVSFTTLVSGFSKAGREDEAVELFFGMRNSGIDPNEFSFVAVLTACIRILELDLGLQVHALAVKMGYLDCVFVSNALMSLYGKCSCLDYVLKLFDHLPERDIASWNTVMSSLVKEFRYAEAFELFRELWRTEGFGIDRFTVSTLLTACTGSSAFRAGKLVHAYAIKIGLEANLSVTNALIRFYAACGSVNGVKSLFERMPVRDVITWTEMITAYMEVGLVDLAIEMFDNMPERNPVSYNALLAGFCRNGEGLRALDLFTKMLEEGMEMTDFTLTSVVNACGLVMDCKTSEQIHGFLIKFGFGSNACIEAALLDMCTRCGRMADAKKMFLRWPAEQDRSVILTSIIGGYARNGQLDEAISLFNLNQSEGRMDMDEVSSTSLLGLCGTIGFHELGKQIHCHAFKRGFLTDVGVGNATISMYTKCWNMEDGVKLFNMMPTHDVVSWNGLLAGYLLHRQGDEALAFWSKMERTGIKPDKITFVLIISAYRHTNSNLVDNCRSLFLSLKTVYGIEPTSEHFASFIAVLGYWGLLDEAEEIICKMPFEPEVSVWRALLDSCRLRMNTTVGKRVVKRILAMEPKDPSSYILVSNLYSASGRWHCSEMVRDKMRKKGFRKHPGQSWIIHNKKIHPFYARDKSHPQAKDIYSGLEILILECLKAGYVPDTSFVLQEVEEHQKKDFLYYHSAKLAATYGLLTSKPGKPVRIVKNILLCGDCHTFLKYMSIVTRRTIYVRDASGVHYFSSGQCSCKDYW >ONH95387 pep chromosome:Prunus_persica_NCBIv2:G7:10532439:10540698:-1 gene:PRUPE_7G067500 transcript:ONH95387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQPTVNPKSSANGFGRRRGEREGGARVENKSQSGKANHSRSTNTGTKSGNYESPSRDRLVYLTTCLIGHHVEVQVKNGSIYSGIFHATNAEKDFGIILKMARMIKDGSLRGQKSVVESVSKPPSKTFIIPAKDLVQVIAKDVSISRDGLLNEVQPEKHHEIMIDSFISQSRRGEMERELEPWVPDEDDPRCPELENTFDGHWNRNWDQFETNETLFGVKSTFDEDLYTTKLEKGPQMRELEREALRIAREIEGEETHDLHSAEERGIHLHENFDIDEETRFSSVYRGEVDDSGYDEDEDILLDARNTDTFGDSSGSSRKGSLEWTGGKINNGAQVPSSSSSDYTQCTESNVAPDLCRSGTYDHARQLASEPPFKSFPSTAGESSEHGERDSATESVEKRMLAEDNQESKPDDSQPLLNEKKDAFDKGVLSPNATSYAPAPASSKGHEKSSSEMLEGPVTGKAHVQTHTVNSHGRPGSSASSNSERATAAPTSGGPGLSPSSSLSSLSSEKSTLNPHAKEFKLNPNAKSFVPSQAPVRPPSPVSDGSFYYPSNAPAVPHMPGMPVGVGVGPSFTHQPVMFSQQQMQAPQAYFHPNGPQYGQQMLLGHPRQVLYMPSYQPEMPYKGRDY >ONH95389 pep chromosome:Prunus_persica_NCBIv2:G7:10532965:10538794:-1 gene:PRUPE_7G067500 transcript:ONH95389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQPTVNPKSSANGFGRRRGEREGGARVENKSQSGKANHSRSTNTGTKSGNYESPSRDRLVYLTTCLIGHHVEVQVKNGSIYSGIFHATNAEKDFGIILKMARMIKDGSLRGQKSVVESVSKPPSKTFIIPAKDLVQVIAKDVSISRDGLLNEVQPEKHHEIMIDSFISQSRRGEMERELEPWVPDEDDPRCPELENTFDGHWNRNWDQFETNETLFGVKSTFDEDLYTTKLEKGPQMRELEREALRIAREIEGEETHDLHSAEERGIHLHENFDIDEETRFSSVYRGEVDDSGYDEDEDILLDARNTDTFGDSSGSSRKGSLEWTGGKINNGAQVPSSSSSVDYTQCTESNVAPDLCRSGTYDHARQLASEPPFKSFPSTAGESSEHGERDSATESVEKRMLAEDNQESKPDDSQPLLNEKKDAFDKGVLSPNATSYAPAPASSKGHEKSSSEMLEGPVTGKAHVQTHTVNSHGRPGSSASSNSERATAAPTSGGPGLSPSSSLSSLSSEKSTLNPHAKEFKLNPNAKSFVPSQAPVRPPSPVSDGSFYYPSNAPAVPHMPGMPVGVGVGPSFTHQPVMFSQQQMQAPQAYFHPNGPQYGQQMLLGHPRQVLYMPSYQPEMPYKGRDY >ONH95388 pep chromosome:Prunus_persica_NCBIv2:G7:10532430:10540707:-1 gene:PRUPE_7G067500 transcript:ONH95388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQPTVNPKSSANGFGRRRGEREGGARVENKSQSGKANHSRSTNTGTKSGNYESPSRDRLVYLTTCLIGHHVEVQVKNGSIYSGIFHATNAEKDFGIILKMARMIKDGSLRGQKSVVESVSKPPSKTFIIPAKDLVQVIAKDVSISRDGLLNEVQPEKHHEIMIDSFISQSRRGEMERELEPWVPDEDDPRCPELENTFDGHWNRNWDQFETNETLFGVKSTFDEDLYTTKLEKGPQMRELEREALRIAREIEGEETHDLHSAEERGIHLHENFDIDEETRFSSVYRGEVDDSGYDEDEDILLDARNTDTFGDSSGSSRKGSLEWTGGKINNGAQVPSSSSSVDYTQCTESNVAPDLCRSGTYDHARQLASEPPFKSFPSTAGESSEHGERDSATESVEKRMLAEDNQESKPDDSQPLLNEKKDAFDKGVLSPNATSYAPAPASSKGHEKSSSEMLEGPVTGKAHVQTHTVNSHGRPGSSASSNSERATAAPTSGGPGLSPSSSLSSLSSEKSTLNPHAKEFKLNPNAKSFVPSQAPVRPPSPVSDGSFYYPSNAPAVPHMPGMPVGVGVGPSFTHQPVMFSQQQMQAPQAYFHPNGPQYGQQMLLGHPRQVLYMPSYQPEMPYKGRDY >ONH97912 pep chromosome:Prunus_persica_NCBIv2:G7:19633250:19634978:1 gene:PRUPE_7G218000 transcript:ONH97912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHEEEKRIFEDQNIEEKHAYQVGQDYWVIKEDHHHHHEESSRSIESSLENSMNSIESSSSSDLVEDASSASTSCSSSPSNGPLYELSDLMIHLPMRRGLSKYYQGKAQSFTSLASVKSIEDLAKKVAPYRRKIKPCKSYGGGLDGGHKSYTTTPKAIISKKASSRGGSFLYSISKRGKLLG >ONH98823 pep chromosome:Prunus_persica_NCBIv2:G7:22030537:22033800:1 gene:PRUPE_7G267200 transcript:ONH98823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIISNSSRRSSTTMEKLRSLGFDPSLFVGAITSGELTHQNLQRRDDAWFAALGKSCIHMTWSARGAISLEGLGLQVVENVQDAEFILVHGTEALGLPSGDALPMKLEELESILEQCAAKHIPMVVANPDFVTVEARALRVMPGTLAARYEKLGGEVKWMGKPDKVIYKSAMALAGVDPVDSIAVGDSLHHDIKGANAAIIQSVFITAGIHATELGLSSFGDIADISSVQALASKYDAYPSYVLPAFTW >ONH98822 pep chromosome:Prunus_persica_NCBIv2:G7:22030537:22033800:1 gene:PRUPE_7G267200 transcript:ONH98822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRCSVQSNDVQLFQSLTGLQQLAETHRFKAWFLDQFGVLHDGKQPYPGAITTLKNLASTGAKMVIISNSSRRSSTTMEKLRSLGFDPSLFVGAITSGELTHQNLQRRDDAWFAALGKSCIHMTWSARGAISLEGLGLQVVENVQDAEFILVHGTEALGLPSGDALPMKLEELESILEQCAAKHIPMVVANPDFVTVEARALRVMPGTLAARYEKLGGEVKWMGKPDKVIYKSAMALAGVDPVDSIAVGDSLHHDIKGANAAIIQSVFITAGIHATELGLSSFGDIADISSVQALASKYDAYPSYVLPAFTW >ONH98824 pep chromosome:Prunus_persica_NCBIv2:G7:22030537:22033800:1 gene:PRUPE_7G267200 transcript:ONH98824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWSARGAISLEGLGLQVVENVQDAEFILVHGTEALGLPSGDALPMKLEELESILEQCAAKHIPMVVANPDFVTVEARALRVMPGTLAARYEKLGGEVKWMGKPDKVIYKSAMALAGVDPVDSIAVGDSLHHDIKGANAAIIQSVFITAGIHATELGLSSFGDIADISSVQALASKYDAYPSYVLPAFTW >ONH95578 pep chromosome:Prunus_persica_NCBIv2:G7:11451919:11453595:1 gene:PRUPE_7G078700 transcript:ONH95578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEIEHYLMEQFENGLDGNDKIISKIPRYSQFQAIKTVLKEIFRSSSSLVDQPLRDKLYNLNNALTECQILSRKRGFYSPEELLTINRIRMELKQIKKELKTILGNSYRGSNQNIDEQIVSISSKDMEPFRWSTRSVDASKVYGFDDDVLSMEKLLVQQESRDRFKAIGFVGREGIGKTTLCQLVFNKPEVKNNFLPRIWVCMARDPDGHEGEDLKVAIVKRMLVYLGVGKETVKSIFDEKHGLEGLLCALYQQLVGKRYLIVLDDARETDSWYGQLDSCLSRDKKWDDGFAFGFPKGHGGRVIATSRNEEVAKMMVGEKNIHHLLPLSDPDICWAIFKDTVEDDRALLNASNLDDLKLEIKLKCGGLPLAAKMMGQAMRTD >ONH95224 pep chromosome:Prunus_persica_NCBIv2:G7:9730049:9730832:1 gene:PRUPE_7G057900 transcript:ONH95224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLNILSGAGDEAVAQSTRACGKMEYMRNCQEKPCNDLCWQAKIWQDCWCWSSSWPLRWEYACAFSPVFNLLHNRTTMFASPITIFIHQGCTVSTGDCHSLLMIVLIRIRILKHL >ONH97206 pep chromosome:Prunus_persica_NCBIv2:G7:17491082:17491288:-1 gene:PRUPE_7G175900 transcript:ONH97206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCTSKQVRRKGLGGSSSSSSSGGGGGGGGCAVRQGCWSMIREKRSRFYIARRCVVMLLCWHKYGKY >ONH95315 pep chromosome:Prunus_persica_NCBIv2:G7:10213645:10217523:-1 gene:PRUPE_7G063400 transcript:ONH95315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEFPDLGRHCYIADCQQIDFLPFTCDSCHQVFCLEHRSYIKHNCPKADKQNVTVVICPLCAKGVHLIPDEDANITWERHVNTECDPSNYEKATKKKKCPVPGCKELLTFSNTIKCRDCMVNHCLKHRFGPDHKCPGPKKPTTGFPFSGLLSRSRKEVSKPNHAPAASSSNWSNSFLTAASSFRASAEASVAKLSSELSQKWQIAKDGVGQSSNSGSRNGQLEECPQCGAKFSSVTALVDHVEKVHEKGGNRAGVKKATIDACPKCSKGFRDPVALVEHVERDHGGTSRT >ONH97227 pep chromosome:Prunus_persica_NCBIv2:G7:17564902:17568121:-1 gene:PRUPE_7G177800 transcript:ONH97227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREANEISSAPITPRPATVAPNPSVAPQAQVSCPPSQYNSPSLSRSPLLDGASDQQPGAEGAVVAKAPKTPLAIRTPRMSLTPRFITPLGSPVRKALKMTRLDPQDAWLPITESRNGNKYYAAFHTLCSGIGIQALVLPVAFTVLGWAWGIILLTLGFIWQLYTLWLLVHLHENVETGMRYSRYMQLFSLTYGDKIANWLGLFPIMYLSGGTCVALIVIGGSTSKLFYEIVCPTCTSKPLTTVEWYLVFTCAAVVLSLLPNLNSIAGVSLIGAITAIGYCTLIWVTSVAEGRLPGVSYDPIRADTQIARVFGVLNAIGIIAFAFRGHNLILEIQATMPSSEKHPSHVPMWRGVKVSYTLIAVCLFPLAIGGYWAYGHLIPADGGMLPALYEYHSKDVSQSILGLTSLFVVINAACSFQIYGMPMFDDTESKYTSRMKKPVPWWLRCISRAMFGFGVFFFAVAIPFLGSVAGLIGGISLPVTFAYPCFMWIKIHKPKKYSPMWCLNYSLGVLGMILSGFMIAAGLYVVIDTGIKVQFFKPH >ONH94903 pep chromosome:Prunus_persica_NCBIv2:G7:7157805:7160194:1 gene:PRUPE_7G038600 transcript:ONH94903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTLKKLAPGTEENKIKEIGPIIENCYKTHFAGTVEKPTFTNFYRAICETVEEINKQLGNTQFCLPTESKLKQKYEENFPVRMSNVSQMGLYFRMGMKDHHDQGKGRSLTREEFQRIFQDVIFGTGFTGVGGAKDTLIYIFGVPLTALFVKQRLMPRVIPNEIFIPCITSATVFVLAKLNKI >ONH94904 pep chromosome:Prunus_persica_NCBIv2:G7:7157805:7160194:1 gene:PRUPE_7G038600 transcript:ONH94904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTLKKLAPGTEENKIKEIGPIIENCYKTHFAGTVEKPTFTNFYRAICETVEEINKQLGNTQFCLPTESKLKQKYEDHHDQGKGRSLTREEFQRIFQDVIFGTGFTGVGGAKDTLIYIFGVPLTALFVKQRLMPRVIPNEIFIPCITSATVFVLAKLNKI >ONH95083 pep chromosome:Prunus_persica_NCBIv2:G7:8774084:8777335:1 gene:PRUPE_7G050600 transcript:ONH95083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKGWFGWVKRFFTSEAKTRTQKKSNNWRWIFRRLEVLHQYPAQAALTAPERTLREATEEQKKHAFNVAMATAAAAEAAVAAAHAAAEVVRLTSQPYHYFTKFDKNLAAIKIQSAYRAHLARKALRALKGLVRLQAIVRGRAVRRQAATTLKSLPSDRRGQAEIWKRSIPAANEGCNDGEKKQFSSPQRELEEKEATLECSSQRGWDCSIISKEDMEAVRLRKQEAMIKRERMKKYSFSLRESRNVQMLDDSMIENATETLTVHSNLSGCTDEKFGGSQLKLRRVRNEDSMEGLSPQFQLLPRRSFCNAEENNSAQHQHDRLMASSAVLPTYMAVTASAKAKTRSLSTPKQRLEFQDRKYSNHSFSHNNGLSLCSSYDAESIGKYSKNGWGSLADIFKQYHH >ONH97846 pep chromosome:Prunus_persica_NCBIv2:G7:19431444:19433260:1 gene:PRUPE_7G213900 transcript:ONH97846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIEQPSVGLSKIAVSDTHGEDSPYFAGWKAYDQDPYNQSTNPSGVIQMGLAENQVSFDLLEKYLEEHSEASKWGSKGVPCFRENALFQDYHGLVSFRKAMASFMGQIRGGRAKFDPDRIVLTAGATAANELLTFIIADPGDALLVPTPYYPGFDRDLRWRTGVNIVPIHCDSSNNFQITPQALEAAYKDAEAKNMRLRGVLITNPSNPLGATIQRVVLEEILDFVTSKNIHLVSDEIYSGSTFSSSAFVSIAEILEARQYKNSERVHIVYSLSKDLGLPGFRIGTVYSYNDKVVTTARRMSSFTLISSQTQHLLASMLSDKEFTENYIKTNRERLRKRYDMIIEGLKKAGIECLKGNAGLFCWMNLSPLLEKPTRGGELALWDSMLHEVKLNISPGSSCHCSEPGWFRVCFANMSEQTLEIALKRIHNFMERRQRSWNLFSSL >ONH98408 pep chromosome:Prunus_persica_NCBIv2:G7:21072425:21075627:1 gene:PRUPE_7G248300 transcript:ONH98408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDPPLRDTRPCLASEESCYLSSDDPNIKPQVKSSKITCIVNFSIGSMNYSGNLLVICSQAIDELTINKDPLRGKELSEFMDPFPLTNNAKT >ONH94280 pep chromosome:Prunus_persica_NCBIv2:G7:1137275:1137734:-1 gene:PRUPE_7G007900 transcript:ONH94280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASAPIAPQLFLRLFNKSNGEEDVSSYRRVIHKTDPAGDIKCANILVHANGSVKLADFGLAKTIQMNNIKSSQGTAYWMAPEVVNRKHQGYGLLADIWSLGCTVLEMLTRRFPYPNLEWIQALFNRQKKSDFDRQRQL >ONH98864 pep chromosome:Prunus_persica_NCBIv2:G7:22144641:22148001:-1 gene:PRUPE_7G269700 transcript:ONH98864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDQDIDSPSNEDNKGASMFGSDEEVGTQIPTQAQSVVEGSGAVLVSEFRPVADVDYLQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVKNVIEKPYNDHLPLLEASRLCNMDIISHVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >ONH98863 pep chromosome:Prunus_persica_NCBIv2:G7:22144641:22149002:-1 gene:PRUPE_7G269700 transcript:ONH98863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPLRLFLPLTTITAYSSSSDFPSKSLKSFHSASPNFKLSYSSSLSSHQHTCTGNKTPIRSKRKWLCGHMRRDQDIDSPSNEDNKGASMFGSDEEVGTQIPTQAQSVVEGSGAVLVSEFRPVADVDYLQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVKNVIEKPYNDHLPLLEASRLCNMDIISHVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >ONH96564 pep chromosome:Prunus_persica_NCBIv2:G7:15415669:15419112:-1 gene:PRUPE_7G137400 transcript:ONH96564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPRCYLDITIGGELEGRIVVELYNDIVPRTAENFRALCTGEMGIGPNTNVPLHYKGGCFHRIIKGFMIQGGDISAGNGKGGESIYGLKFEDENFDVKHERKGMLSMANLGPDTNGSQFFITTTRTCHLDGKHVVFGRVIKGMGVVRSIEHVTTKDDHFPTQQVVIADCGQLPEGADDGLCNIFKDGDVYPDWPADLDAKPEAISWWVMAVDSIKALGNEQFKKQDFKMALRKYRKALRYLDVCWELEDIDEETSSSLRKTKSQIFTNSSACRLKLGDLDGALLDSDFALRDWEANVKALFRQGQAYMALNDIDSGVESFKKALELEPNDGGIKKELLVAKRKVADRSEQEKKSYSRMFH >ONH98200 pep chromosome:Prunus_persica_NCBIv2:G7:20449158:20452339:-1 gene:PRUPE_7G235200 transcript:ONH98200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSGASDAAIETLRSRGWCFGDLDQVKAMIMIHSALADDTRTVVNSVESELTNMDLKSISAKSLPDPNTLRKSSHLLGPQVLQIAWVRDITRSSVVDFARNSSSRRLLKLGLTDGHSEVAAVEYFHIPSIPDDVVPGTKVRLEGRATIRNGIVCLNPKVVTLLGGVVQSLYEEWQMNKKYSGFSRSSLRVSQESDGNGPPPFEKLQVGIPKSRFPQQDKSSYYSDVNSKSSGPIARNVEVRPIGRQQDLHLKSNDVDNSDKKNDTDNKLTTDSLDEQTQDKPSSSAARPKEVIEAVPVQNQAAAQKLLQKMSHQNRDDRHSRGRQYRGKGRQEEEPVVFTLDEWEKRKAGAKPSIRNPFAQSSRDEELARQLQNQFDLEDYHVQKGPPRDVNAENIKMSMFNFQRDDDGGRGMGHEGRGRGRGRGRGRSRGGGRGRGGFR >ONH98590 pep chromosome:Prunus_persica_NCBIv2:G7:21442199:21445867:-1 gene:PRUPE_7G255800 transcript:ONH98590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLIDAEQLGQEMGNMENNNINTNNNNKHWPLQCEILHNQTDNLDKETPFISSSLQKTMLNSFQLESICEDTVVVDRKQSLLNLFPSLRSGEWSDIGGRTHMEDTHICIGDLAKKFDYNVLSEGAISFYGVFDGHGGKDAAQFVRDNLPRVVVEDADFPLELEKVITRSFMETDAAFAKTCSLESSHASGTTALTAMIFGRSLLVANAGDCRAVLSRCGAAVEMSKDHRPCCTKERMRIESLGGYIDDGYLNGQLGVTRALGDWHLEGMKGGGERGGPLSAEPELKLMTLTKDDEFLIIGSDGIWEMFTSQNAVDFARRRLQEHNDVKLCCKEIVEEAIKRGASDNLTLVMVSFHLEPPPHVVVERSRVRRSISAEGLQNLKFFLEE >ONH98592 pep chromosome:Prunus_persica_NCBIv2:G7:21442659:21443312:-1 gene:PRUPE_7G255800 transcript:ONH98592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSWDCLLCFYQTFCVTTSLLQLIITLLDRSLLVANAGDCRAVLSRCGAAVEMSKDHRPCCTKERMRIESLGGYIDDGYLNGQLGVTRALGDWHLEGMKGGGERGGPLSAEPELKLMTLTKDDEFLIIGSDGIWEMFTSQNAVDFARRRLQEHNDVKLCCKEIVEEAIKRGASDNLTLVMVSFHLEPPPHVVVERSRVRRSISAEGLQNLKFFLEE >ONH98591 pep chromosome:Prunus_persica_NCBIv2:G7:21442231:21444974:-1 gene:PRUPE_7G255800 transcript:ONH98591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTHICIGDLAKKFDYNVLSEGAISFYGVFDGHGGKDAAQFVRDNLPRVVVEDADFPLELEKVITRSFMETDAAFAKTCSLESSHASGTTALTAMIFGRSLLVANAGDCRAVLSRCGAAVEMSKDHRPCCTKERMRIESLGGYIDDGYLNGQLGVTRALGDWHLEGMKGGGERGGPLSAEPELKLMTLTKDDEFLIIGSDGIWEMFTSQNAVDFARRRLQEHNDVKLCCKEIVEEAIKRGASDNLTLVMVSFHLEPPPHVVVERSRVRRSISAEGLQNLKFFLEE >ONH95367 pep chromosome:Prunus_persica_NCBIv2:G7:10471572:10477943:1 gene:PRUPE_7G066400 transcript:ONH95367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMNEQERAIAWLWAIEALASFREVDVSLLHDLIEMAPALPDDMGKNAKERVALRCLEGLLGPCDVMSSDVPSAQHSKCSFDLSESCENVLKRIVDETPESDLRVGGPGLLKWDIRPFIIHKRASLPKCALKQLKDSIIDGTHPHADFLRVKSGLTRSYGDRVPVGGSNTRLNESCSSAQNMGVKGNSDPLIHQNENKPSEEDPDNANLLPSKGDRIASDAENMEDENRSSINDSDDWHINSKKMKQDGSYVLRTTEQNQLPLHGKELLEYSTERERCNLAGNHMGMMEEGTVLEDGHDDTASKRSGQSSSGAIHKSQSKNHLNATSMHEETFWDEAHQDSCVGQAEDDGGLHPEPRTSGVAPPDGTQLNVSAKVFNCDSEHDFHIKALHPASADGSQEKSIAKDGKDLARENLADENRNRVIHSDDCYVMAKRMKWDASYVLQSIEQNQIPLHGRELLEDSSERDVPLSGRERRDLAENQIGMMEGGKVIEDDHDYHTAAKRCGQSTDNAVHKSQSENPCNETSMTQGTFQDGAPQYTYVDEAKDDGGLHPELRASCVAVPDETQHKVSAKVFNCNSEQDFHIGVPHPASADEPRQKSIVYEDKDDREHCPETRISDGSQQKSIPDEAEELLDWLRHYESETLTDNDGFHDEKIDVAMKKHAFLSSQCTSSHRSSVTADWTERNLGKKSNESGQLLICKTSDCSVVLHENWLRSSAIHYEKGNFYCPFCVYSLDLTEYLEAKKETSLLKKDLDAFIHTLEHQPKECLGRPHNKENFCTRNFDEDLNEKSHQNGHLGEREENLGKQCEEHPKEVNDFQFQNITGNEQHVAPFASCVHVNSECGDESATAVCRKLDASTGEKEEEEKVARECIPVGVPLSSKNTENLHIDQGYEVNFDSEQVDNQKSSTSKYSIRPRRSKNTYSYPATPQLRRIKVPWKVEEEEMLKKGVSTVSRNDEGNIPWKQILEFGGSVFLHSRTSIDLKDKWRNICRRRSKSK >ONH95366 pep chromosome:Prunus_persica_NCBIv2:G7:10471572:10477943:1 gene:PRUPE_7G066400 transcript:ONH95366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMNEQERAIAWLWAIEALASFREVDVSLLHDLIEMAPALPDDMGKNAKERVALRCLEGLLGPCDVMSSDVPSAQHSKCSFDLSESCENVLKRIVDETPESDLRVGGPGLLKWDIRPFIIHKRASLPKCALKQLKDSIIDGTHPHADFLRVKSGLTRSYGDRVPVGGSNTRLNESCSSAQNMGVKGNSDPLIHQNENKPSEEDPDNANLLPSKGDRIASDAENMEDENRSSINDSDDWHINSKKMKQDGSYVLRTTEQNQLPLHGKELLEYSTERERCNLAGNHMGMMEEGTVLEDGHDDTASKRSGQSSSGAIHKSQSKNHLNATSMHEETFWDEAHQDSCVGQAEDDGGLHPEPRTSGVAPPDGTQLNVSAKVFNCDSEHDFHIKALHPASADGSQEKSIAKDGKDLARENLADENRNRVIHSDDCYVMAKRMKWDASYVLQSIEQNQIPLHGRELLEDSSERDVPLSGRERRDLAENQIGMMEGGKVIEDDHDYHTAAKRCGQSTDNAVHKSQSENPCNETSMTQGTFQDGAPQYTYVDEAKDDGGLHPELRASCVAVPDETQHKVSAKVFNCNSEQDFHIGVPHPASADEPRQKSIVYEDKDDREHCPETRISACPASADGSQQKSIPDEAEELLDWLRHYESETLTDNDGFHDEKIDVAMKKHAFLSSQCTSSHRSSVTADWTERNLGKKSNESGQLLICKTSDCSVVLHENWLRSSAIHYEKGNFYCPFCVYSLDLTEYLEAKKETSLLKKDLDAFIHTLEHQPKECLGRPHNKENFCTRNFDEDLNEKSHQNGHLGEREENLGKQCEEHPKEVNDFQFQNITGNEQHVAPFASCVHVNSECGDESATAVCRKLDASTGEKEEEEKVARECIPVGVPLSSKNTENLHIDQGYEVNFDSEQVDNQKSSTSKYSIRPRRSKNTYSYPATPQLRRIKVPWKVEEEEMLKKGVSTVSRNDEGNIPWKQILEFGGSVFLHSRTSIDLKDKWRNICRRRSKSK >ONH95365 pep chromosome:Prunus_persica_NCBIv2:G7:10471572:10477943:1 gene:PRUPE_7G066400 transcript:ONH95365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMNEQERAIAWLWAIEALASFREVDVSLLHDLIEMAPALPDDMGKNAKERVALRCLEGLLGPCDVMSSDVPSAQHSKCSFDLSESCENVLKRIVDETPESDLRVGGPGLLKWDIRPFIIHKRASLPKCALKQLKDSIIDGTHPHADFLRVKSGLTRSYGDRVPVGGSNTRLNESCSSAQNMGVKGNSDPLIHQNENKPSEEDPDNANLLPSKGDRIASDAENMEDENRSSINDSDDWHINSKKMKQDGSYVLRTTEQNQLPLHGKELLEYSTERERCNLAGNHMGMMEEGTVLEDGHDDTASKRSGQSSSGAIHKSQSKNHLNATSMHEETFWDEAHQDSCVGQAEDDGGLHPEPRTSGVAPPDGTQLNVSAKVFNCDSEHDFHIKALHPASADGSQEKSIAKDGKDLARENLADENRNRVIHSDDCYVMAKRMKWDASYVLQSIEQNQIPLHGRELLEDSSERDVPLSGRERRDLAENQIGMMEGGKVIEDDHDYHTAAKRCGQSTDNAVHKSQSENPCNETSMTQGTFQDGAPQYTYVDEAKDDGGLHPELRASCVAVPDETQHKVSAKVFNCNSEQDFHIGVPHPASADEPRQKSIVYEDKDDREHCPETRISGTAPQDETQHKDSAKESDSNSEHVSRIEIACPASADGSQQKSIPDEAEELLDWLRHYESETLTDNDGFHDEKIDVAMKKHAFLSSQCTSSHRSSVTADWTERNLGKKSNESGQLLICKTSDCSVVLHENWLRSSAIHYEKGNFYCPFCVYSLDLTEYLEAKKETSLLKKDLDAFIHTLEHQPKECLGRPHNKENFCTRNFDEDLNEKSHQNGHLGEREENLGKQCEEHPKEVNDFQFQNITGNEQHVAPFASCVHVNSECGDESATAVCRKLDASTGEKEEEEKVARECIPVGVPLSSKNTENLHIDQGYEVNFDSEQVDNQKSSTSKYSIRPRRSKNTYSYPATPQLRRIKVPWKVEEEEMLKKGVSTVSRNDEGNIPWKQILEFGGSVFLHSRTSIDLKDKWRNICRRRSKSK >ONH95862 pep chromosome:Prunus_persica_NCBIv2:G7:12527629:12528300:1 gene:PRUPE_7G092800 transcript:ONH95862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKLKTAWIEDDKSRKACYRKRKICLLKKLNELTILCDVSAFLIIYGPEKDELVVWPSRPVVQELLEKFLRLPLADQCRKMLDQKAYLKERAAKIEEQIRKAKKKNHEMKMKDILHKIQEGKPLSEFETSDLVDFILFLEEKMLEIQERVELLEQHVPQGAGEKEKGKEIENNAVNIPRNENITGGICSSNNMGENEKSGFRLMGVDIKVGHVLHEGNNGGTQ >ONH98597 pep chromosome:Prunus_persica_NCBIv2:G7:21465973:21468221:1 gene:PRUPE_7G256200 transcript:ONH98597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRATCSNNPPPQQIPSTRRCQCTPHGQKCGCSERINQLSDELSPGSQLKPDVGLGANFESERENGHFLSLLTSSSSFRRPHEASKPTSHVQNKEHSNLPYQSDHSSSPGLIVRRSLHDPLSGATIRSITSCIANTSNLNLPHTISQIGRANFPGSQNDELNSGTYTTLILGSTPLRHISFFQSLFGLPNNLLRSLCPSIWPPHVSADSTFRFGQASAFSSDDNGVQNVTQIDLWRQLLMESTLYMHTPADSLLEGSTSFFVVTANRGVNFRLVTENAGVIYQRPLHVSIEMRNRSRAMWLDVDSMSYEELLALEDHIGNVSTGLSEEAAVASLTRSNYFLFAEENAQKDFCSICQEDFVEEDELGTLDCGHGFHIACIKQWLGYKNVCPICKSPGLCTTRPSSSLI >ONH94760 pep chromosome:Prunus_persica_NCBIv2:G7:5256811:5258059:-1 gene:PRUPE_7G028100 transcript:ONH94760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLMGTKGVIVDPVEEITVAVSDMIKPTTAEDRGDKNLLEGDKKMQMQIAKDPSGKEINALEQHIKNLLNPSTPLFFNTLNDPYREDVDLFRGYPFSFRGGVPTAVSLGLGLNIPDYDALPSLPIL >ONH94881 pep chromosome:Prunus_persica_NCBIv2:G7:6847980:6850540:1 gene:PRUPE_7G037200 transcript:ONH94881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLPRRDHFEAPNWIPIFHSTNVRLDLLTRKPKSFKFHLGVLILIGRTGVFSISSTWLWGLHLHLCRKPPRI >ONH94882 pep chromosome:Prunus_persica_NCBIv2:G7:6847980:6850540:1 gene:PRUPE_7G037200 transcript:ONH94882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLPRRDHFEAPNWIPIFHSTNVRLDLLTRKPKSFKFHLGVLILIGRTGVFSISSTWLWGLHLHLCRKPPRI >ONH94883 pep chromosome:Prunus_persica_NCBIv2:G7:6847980:6850540:1 gene:PRUPE_7G037200 transcript:ONH94883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLPRRDHFEAPNWIPIFHSTNVRLDLLTRKPKSFKFHLGVLILIGRTGVFSISSTWLWGLHLHLCRKPPRI >ONH97607 pep chromosome:Prunus_persica_NCBIv2:G7:18730607:18731629:1 gene:PRUPE_7G200500 transcript:ONH97607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPWVALFLSFAATIHNHIGLVEASVDIGVCYGMLGDDLPAATEVVNLYKINGIGKMRLFDPNPAALEALRGKEIDVSLGIRNEDLPQLTGSADAVNSWFATNVEPYLNDIVFNYISVGNEVIPGSLGIYVLPVMQSLQKILDDRNLAGIKVSTVVPGSALGVSFPPSSGEFALEASSVMSGIVAFLAQRGSPLLINVYPYFSYASDPVNIRLDYAQFTATSPVVKDGELSYYNLFDAAVDSFLAAMEKVGGANVDVVVSESGWPSDGNGNFTTPELAGTYNRNFLKHITSNAGTPKRPGAYIEGYIFAMFNENQKPEGVEQHFGLFHPNMQPVYSIY >ONH94287 pep chromosome:Prunus_persica_NCBIv2:G7:1155121:1156964:1 gene:PRUPE_7G008200 transcript:ONH94287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKMLNMSAPNLESECKEIHDSWGQLNQLALALAGRTRLERQQIRETYKAIYGEDLANRLQKADQMADNRKQAAAGVGVSPKLCAALSMWMIEQHERDAIVVREALDDQQGDTNYGALVEIFVGRKSSQILLIKQAYQRRFRRQLDQDIIHIEPPHPYQKILVALVASHKAHQTDISQHIAKSDARRLYETGEGNSGPIEAVVLEILSKRSIPQLKLTFSCYKHIYGHEYTEYLKTRNSGEFENAMKTVVQCIYRPATYFATILYASIKGSMTDKGALARVMVNRAEVDMDEIQREFKRQHGIELRDALCDSDKIPPGDYRDFLVALTASK >ONH97719 pep chromosome:Prunus_persica_NCBIv2:G7:19048411:19050256:1 gene:PRUPE_7G206700 transcript:ONH97719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKPSPTQASPDKEVKAPNLIERAKEEIGAIMHTEKSHNDHKETHGMRTDIDEKTPLDNVKAPNMFERAKEEFEAIVEAIHPKTESPTHGKREEKKESKKQEKTDSQSENNAKTTNFIGKAKEKIGTIMHHEKSPKLHDKETHDKSPKHHTEETHGTSDDIDANIPIDHVKAPNVFERAKEEIEAIVQSIHPKKKEKNPVSSPKKEGGL >ONH95566 pep chromosome:Prunus_persica_NCBIv2:G7:11394550:11396538:-1 gene:PRUPE_7G077800 transcript:ONH95566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSSLQRSALLLALLCGALTPAEGLSFSYPTFRDNIDEKDFIKSEYSRIYYSAIQITPDLSNPASIVNQSGRFLYKKPFKLWGKGKGGARSRALFNSTFVLNITPQTDPGGEGLAFIVTGSPTLPEKSQGEWLGIVNASSNGSAQANIVAVEFDTRKSYLEDVDDNHVGLDVNSVYSIQQVPLLSYGVNLSSQTNYTVTIRYDSQNIAIFVSQTNETREEMKNIPVLSRTLDLSEYLSQKVYVGFSASTSNSTQLNCIRSWDFHSSHIDENSNLLWVWITVPAVFVLVTGVSAYLFWTRQGEKEDAHLQIDEEIQTNSMAPKKFKLKELQRATGRFNPKNKLGKGGFGTVYKGLLGNKEVAVKRVSKDSRQGKQEFIAEVTTIGRLRHKNLVKLIGWCYERHELLIVYEFMPNGSLDRFVFRDDTLGMEKEEPTLSWERRHSIILGVAQALDYLHNGCEKRVLHRDIKASNIMLDSDFIARLGDFGLARTIQQSGLTHHSTKEIAGTPGYMAPETFLTGRATVETDVYAFGVLVLEVVCGRRPSNQNEQNNYNNSIVYWLWDLYSRGRILNAVDSRLDGDIDEDDMACVLVLGLSCCHPNPHLRPSTRTVLKVLTREADPPALPTERPAFVWPAMPPSFKEDTEFDLAGGQIPPFTELTGR >ONH97328 pep chromosome:Prunus_persica_NCBIv2:G7:17849678:17853851:1 gene:PRUPE_7G184100 transcript:ONH97328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKALLLMLFMVFYNGASSNGIGVGATATTARPEVVNIGAIFTFDSVIGKIAKLAIALAVEDVNSDPENLNGTKLTLKMQNTKSSDFLGIIEALQFLENDTVAIIGPQFSATAHVISHIADELKVPLLSFAATDPTLSPTQFPFLVRTTRSDLFQMTAVADLVFYYEWRDVIAIYVNDDFGRNAIAALGDKLAEKRRKISYKVPLNPKATKDEITNALISVSSMESRILILHIYTSWGLQVLTEARNLMMMASGYVWIATDWFSTIIDTDPSLPFISTDDIQGVLTLKMYTPESEHKKKFKLRWSNLTSSRRVNGSSFGLNTYGLYAYDSVRHLAVALDSFFARGENISFSNDSNLNELGGRKLNLDALNMFNGGSQLLQSILEVNTTGLTRSIKFNPDGNLINPAFEVINVIGTGTRTIGYWSNSSGFSLDPPEKPQRKLQSNGSSTGIQRLYSVIWPGQTTQKPRGWVFPDNGRKLRLGVPNRVSYREFVRHRIHWLLH >ONH98661 pep chromosome:Prunus_persica_NCBIv2:G7:21676711:21680694:1 gene:PRUPE_7G260700 transcript:ONH98661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGLFSEILDGEAYKYYADGEWKKSSSGKLVPIINPTTRKVHYKVQACTQEEVNKVMETAKIAQKSWAKTPLWKRAELLHKAASILKEYKTPIAESLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNDRTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSCLHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVSCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVNKRVAKLTVGPPEENSDITPVVSESSANFIEGLVVDAKQKGATFCQEYKRDGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRITSVEEGIHHCNASNFGLQGCVFTKDINKAILIGDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKIKTTVINLPTPSYSMG >ONH98660 pep chromosome:Prunus_persica_NCBIv2:G7:21676469:21681020:1 gene:PRUPE_7G260700 transcript:ONH98660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGLFSEILDGEAYKYYADGEWKKSSSGKLVPIINPTTRKVHYKVQACTQEEVNKVMETAKIAQKSWAKTPLWKRAELLHKAASILKEYKTPIAESLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNDRTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSCLHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVSCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVNKRVAKLTVGPPEENSDITPVVSESSANFIEGLVVDAKQKGATFCQEYKRDGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRITSVEEGIHHCNASNFGLQGCVFTKDINKAILIGDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKIKTTVINLPTPSYSMGCRL >ONH98659 pep chromosome:Prunus_persica_NCBIv2:G7:21675321:21681020:1 gene:PRUPE_7G260700 transcript:ONH98659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLFCSFHALESKGLLHFSLNQQQVGGNRGVPTSYMANHSVLRISSCKANSEILDGEAYKYYADGEWKKSSSGKLVPIINPTTRKVHYKVQACTQEEVNKVMETAKIAQKSWAKTPLWKRAELLHKAASILKEYKTPIAESLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNDRTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSCLHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVSCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVNKRVAKLTVGPPEENSDITPVVSESSANFIEGLVVDAKQKGATFCQEYKRDGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRITSVEEGIHHCNASNFGLQGCVFTKDINKAILIGDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKIKTTVINLPTPSYSMG >ONH94875 pep chromosome:Prunus_persica_NCBIv2:G7:6683367:6683888:1 gene:PRUPE_7G036700 transcript:ONH94875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSRKYPNGLMITVIVIVFSLTLFSFVSEANSMAVRDGDHQQLEKQMKMVLGSKPPGCVNRCVGCIPCTPTQVVSPPDKNNNPKATNTNQGDEGYYLLSWKCKCRNKLFEP >ONH95246 pep chromosome:Prunus_persica_NCBIv2:G7:9831706:9835992:1 gene:PRUPE_7G059000 transcript:ONH95246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMQEVLERQERETRERMRRRAASKRAQRELDDQLAIAVALLDEESQSRRGSQEGRGPNVGRHRHSRGIVYGVPSSGRSSPRERKRKSPASMMAVVIATPGGPEVLQLQEVEDPELKDNEVLIKVEATSLNRADILQRKSMYPPPPGSSPYLGLECSGTIEAVGKQVSRWRVGDKVCALLSGGGYAEKVAVPAGQVLLAPPGVSLQDAASFPEVSCTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQMAKYWGAKVFVTAGSKEKLAFCKNLGADVCINYKTEDFVARVKEETGGKGVDVILDIIGAEYFRRNLDSLSFDGRLFVIGTMGGAVTEIDLRVVLSKRLTIQAAGLRNSSPENKAAIVREVENNVWPAIVAGKVKPVVYKYFPLSEAAEAHLLMESSKHIGKILLLP >ONH95245 pep chromosome:Prunus_persica_NCBIv2:G7:9831706:9835992:1 gene:PRUPE_7G059000 transcript:ONH95245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMQEVLERQERETRERMRRRAASKRAQRELDDQLAIAVALLDEESQSRRGSQEGRGPNVGRHRHSRGIVYGVPSSGRSSPRERKRKSPASMMAVVIATPGGPEVLQLQEVEDPELKDNEVLIKVEATSLNRADILQRKSMYPPPPGSSPYLGLECSGTIEAVGKQVSRWRVGDKVCALLSGGGYAEKVAVPAGQVLLAPPGVSLQDAASFPEVSCTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQMAKYWGAKVFVTAGSKEKLAFCKNLGADVCINYKTEDFVARVKEETGGKGVDVILDIIGAEYFRRNLDSLSFDGRLFVIGTMGGAVTEIDLRVVLSKRLTIQAAGLRNSSPENKAAIVREVENNVWPAIVAGKVKPVVYKYFPLSEAAEAHLLMESSKHIGKILLLP >ONH95249 pep chromosome:Prunus_persica_NCBIv2:G7:9831679:9835992:1 gene:PRUPE_7G059000 transcript:ONH95249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVVIATPGGPEVLQLQEVEDPELKDNEVLIKVEATSLNRADILQRKSMYPPPPGSSPYLGLECSGTIEAVGKQVSRWRVGDKVCALLSGGGYAEKVAVPAGQVLLAPPGVSLQDAASFPEVSCTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQMAKYWGAKVFVTAGSKEKLAFCKNLGADVCINYKTEDFVARVKEETGGKGVDVILDIIGAEYFRRNLDSLSFDGRLFVIGTMGGAVTEIDLRVVLSKRLTIQAAGLRNSSPENKAAIVREVENNVWPAIVAGKVKPVVYKYFPLSEAAEAHLLMESSKHIGKILLLP >ONH95248 pep chromosome:Prunus_persica_NCBIv2:G7:9831706:9836025:1 gene:PRUPE_7G059000 transcript:ONH95248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVLERQERETRERMRRRAASKRAQRELDDQLAIAVALLDEESQSRRGSQEGRGPNVGRHRHSRGIVYGVPSSGRSSPRERKRKSPASMMAVVIATPGGPEVLQLQEVEDPELKDNEVLIKVEATSLNRADILQRKSMYPPPPGSSPYLGLECSGTIEAVGKQVSRWRVGDKVCALLSGGGYAEKVAVPAGQVLLAPPGVSLQDAASFPEVSCTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQMAKYWGAKVFVTAGSKEKLAFCKNLGADVCINYKTEDFVARVKEETGGKGVDVILDIIGAEYFRRNLDSLSFDGRLFVIGTMGGAVTEIDLRVVLSKRLTIQAAGLRNSSPENKAAIVREVENNVWPAIVAGKVKPVVYKYFPLSEAAEAHLLMESSKHIGKILLLP >ONH95250 pep chromosome:Prunus_persica_NCBIv2:G7:9831706:9835992:1 gene:PRUPE_7G059000 transcript:ONH95250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVVIATPGGPEVLQLQEVEDPELKDNEVLIKVEATSLNRADILQRKSMYPPPPGSSPYLGLECSGTIEAVGKQVSRWRVGDKVCALLSGGGYAEKVAVPAGQVLLAPPGVSLQDAASFPEVSCTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQMAKYWGAKVFVTAGSKEKLAFCKNLGADVCINYKTEDFVARVKEETGGKGVDVILDIIGAEYFRRNLDSLSFDGRLFVIGTMGGAVTEIDLRVVLSKRLTIQAAGLRNSSPENKAAIVREVENNVWPAIVAGKVKPVVYKYFPLSEAAEAHLLMESSKHIGKILLLP >ONH95247 pep chromosome:Prunus_persica_NCBIv2:G7:9831706:9835981:1 gene:PRUPE_7G059000 transcript:ONH95247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVLERQERETRERMRRRAASKRAQRELDDQLAIAVALLDEESQSRRGSQEGRGPNVGRHRHSRGIVYGVPSSGRSSPRERKRKSPASMMAVVIATPGGPEVLQLQEVEDPELKDNEVLIKVEATSLNRADILQRKSMYPPPPGSSPYLGLECSGTIEAVGKQVSRWRVGDKVCALLSGGGYAEKVAVPAGQVLLAPPGVSLQDAASFPEVSCTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQMAKYWGAKVFVTAGSKEKLAFCKNLGADVCINYKTEDFVARVKEETGGKGVDVILDIIGAEYFRRNLDSLSFDGRLFVIGTMGGAVTEIDLRVVLSKRLTIQAAGLRNSSPENKAAIVREVENNVWPAIVAGKVKPVVYKYFPLSEAAEAHLLMESSKHIGKILLLP >ONH98135 pep chromosome:Prunus_persica_NCBIv2:G7:20295277:20298596:-1 gene:PRUPE_7G232000 transcript:ONH98135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYPDQRSRSAKPVTIHGYAQSGDLLGFQKLLRENPSLLNERNAIMAQTPLHVSAGYNKAEIVKFLLDWQGPDKVELEARNMYGETPLHMAAKNGCNDAARLLLVHGAFVEAKANNGMTPLHLAVWYSLRAEEFSTVKTLLEYNADCSAKDDEGMTPLNHLSKGRENGKLQALLLSHFEEQRRRRAIEACSETKAKMDELENELSNIVGLHELKVQLQKWAKGMLLDERRKALGLKVGTRRPPHMAFLGNPGTGKTMVARILGRLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIKDAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFNFSDFSSEDLAKILHIKMNNQTEESLLYGFQLHSSCSVEAVAELIRRETTEKQCKEMNGGLVDTMLVNARESLDLRLNFDCIDTDELRTITLEDLQAGLRILSQ >ONH98136 pep chromosome:Prunus_persica_NCBIv2:G7:20295577:20297210:-1 gene:PRUPE_7G232000 transcript:ONH98136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNGCNDAARLLLVHGAFVEAKANNGMTPLHLAVWYSLRAEEFSTVKTLLEYNADCSAKDDEGMTPLNHLSKGRENGKLQALLLSHFEEQRRRRAIEACSETKAKMDELENELSNIVGLHELKVQLQKWAKGMLLDERRKALGLKVGTRRPPHMAFLGNPGTGKTMVARILGRLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIKDAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFNFSDFSSEDLAKILHIKMNNQTEESLLYGFQLHSSCSVEAVAELIRRETTEKQCKEMNGGLVDTMLVNARESLDLRLNFDCIDTDELRTITLEDLQAGLRILSQ >ONH96258 pep chromosome:Prunus_persica_NCBIv2:G7:14192380:14199071:-1 gene:PRUPE_7G117000 transcript:ONH96258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESSRRPFTRSTEPVKKPRLADDRGLNPNPNPNGRAFVQRPGGANPVLSRFRVTDRDSESNDASRGGGYVPQPLQHQELVSQYKTALAELTFNSKPIITNLTIIAGESVHAAKAIAATVCGNIIEVSSEQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVEPNVHQSMRHLFGTWKGVFPAQTLQMIEKELGFASTANGSSSGAATSRLDSQSQRPAHSIHVNPKYLERQRLQQPTRTKGMASDFSGAMANSIDDAERPDRVASLSAGRPWVDPTVKMHNMQRSNTDALSERVHEKNIGAEYGEYEYGSDLPRSSNLGIGRIGGKITEQGNDKPWYGGGSSVAETISSQRNGFNIKHGLTNYSAPKSANADPRLKTAPAIASRSSGVLSNSWKNSEEEEFKWDDMNSRLTDHGPPDISSNSRKDCWTSDDSEKLGFGGHFRKPKGANDFATTVDLDTSADPTEHNDLSALGHRMSSPWPLSDSHGMDGLTPTGTPVISSVHSERYASSLSGLSTSGDSSVARLGSRAQVASSRIGASSFGFGATSGPAVAVGKQKQLQSVRAASPSGQSLVHQHSPAPTSTVHHPHHHLQSLPEQDYLESPSLPPPDSKVSQLLGKSDLGLHNHYTEDSAPISTPNVRLGSIAKSRPQDLHSSSSSIKNPSLPQLSTYVTPSTAGISLPDHSNLRAAETSGQSSTSSLLAAVMKTGILSDKSITGSLPSLNLRDMGQNQSQSGVQPPLPSGPPPTQVALPGSKVASAPSSSHLSHENSPASSDISLKKVGHPPLPPSQPLSSSLEGTASANASTVVNNASDPISNLLSSLVAKGLISASKSESPTPVSSQMPNELQNQSVSTPVTSSVSVSPVSASPSLPVSSRTDDVSLAEPLAKTSAALPQSSKIETKNPIGIEFKPDKIREFHPSVIEELFDDLPHKCSICGLRLKLKERLERHLEWHALKTPEFNGSVKASRRWYADSTNWVAGKAGPPLGPEDNMSIDKPSETMDNGEPMVPADESQCVCVICGYIFEDLYCQERDEWMFKGASYLSIPYGVGDLGTTEESVVKGPIVHANCIAENSLSDLGLASRIKLEKDV >ONH96257 pep chromosome:Prunus_persica_NCBIv2:G7:14191657:14199426:-1 gene:PRUPE_7G117000 transcript:ONH96257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESSRRPFTRSTEPVKKPRLADDRGLNPNPNPNGRAFVQRPGGANPVLSRFRVTDRDSESNDASRGGGYVPQPLQHQELVSQYKTALAELTFNSKPIITNLTIIAGESVHAAKAIAATVCGNIIEVSSEQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVEPNVHQSMRHLFGTWKGVFPAQTLQMIEKELGFASTANGSSSGAATSRLDSQSQRPAHSIHVNPKYLERQRLQQPTRTKGMASDFSGAMANSIDDAERPDRVASLSAGRPWVDPTVKMHRSNTDALSERVHEKNIGAEYGEYEYGSDLPRSSNLGIGRIGGKITEQGNDKPWYGGGSSVAETISSQRNGFNIKHGLTNYSAPKSANADPRLKTAPAIASRSSGVLSNSWKNSEEEEFKWDDMNSRLTDHGPPDISSNSRKDCWTSDDSEKLGFGGHFRKPKGANDFATTVDLDTSADPTEHNDLSALGHRMSSPWPLSDSHGMDGLTPTGTPVISSVHSERYASSLSGLSTSGDSSVARLGSRAQVASSRIGASSFGFGATSGPAVAVGKQKQLQSVRAASPSGQSLVHQHSPAPTSTVHHPHHHLQSLPEQDYLESPSLPPPDSKVSQLLGKSDLGLHNHYTEDSAPISTPNVRLGSIAKSRPQDLHSSSSSIKNPSLPQLSTYVTPSTAGISLPDHSNLRAAETSGQSSTSSLLAAVMKTGILSDKSITGSLPSLNLRDMGQNQSQSGVQPPLPSGPPPTQVALPGSKVASAPSSSHLSHENSPASSDISLKKVGHPPLPPSQPLSSSLEGTASANASTVVNNASDPISNLLSSLVAKGLISASKSESPTPVSSQMPNELQNQSVSTPVTSSVSVSPVSASPSLPVSSRTDDVSLAEPLAKTSAALPQSSKIETKNPIGIEFKPDKIREFHPSVIEELFDDLPHKCSICGLRLKLKERLERHLEWHALKTPEFNGSVKASRRWYADSTNWVAGKAGPPLGPEDNMSIDKPSETMDNGEPMVPADESQCVCVICGYIFEDLYCQERDEWMFKGASYLSIPYGVGDLGTTEESVVKGPIVHANCIAENSLSDLGLASRIKLEKDV >ONH96261 pep chromosome:Prunus_persica_NCBIv2:G7:14192380:14196971:-1 gene:PRUPE_7G117000 transcript:ONH96261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSLYWNIFSFPFPFSFGKHFGVWPLVYQSLICSNNLAVTSVSYLIVESNLQVSSEQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVEPNVHQSMRHLFGTWKGVFPAQTLQMIEKELGFASTANGSSSGAATSRLDSQSQRPAHSIHVNPKYLERQRLQQPTRTKGMASDFSGAMANSIDDAERPDRVASLSAGRPWVDPTVKMHNMQRSNTDALSERVHEKNIGAEYGEYEYGSDLPRSSNLGIGRIGGKITEQGNDKPWYGGGSSVAETISSQRNGFNIKHGLTNYSAPKSANADPRLKTAPAIASRSSGVLSNSWKNSEEEEFKWDDMNSRLTDHGPPDISSNSRKDCWTSDDSEKLGFGGHFRKPKGANDFATTVDLDTSADPTEHNDLSALGHRMSSPWPLSDSHGMDGLTPTGTPVISSVHSERYASSLSGLSTSGDSSVARLGSRAQVASSRIGASSFGFGATSGPAVAVGKQKQLQSVRAASPSGQSLVHQHSPAPTSTVHHPHHHLQSLPEQDYLESPSLPPPDSKVSQLLGKSDLGLHNHYTEDSAPISTPNVRLGSIAKSRPQDLHSSSSSIKNPSLPQLSTYVTPSTAGISLPDHSNLRAAETSGQSSTSSLLAAVMKTGILSDKSITGSLPSLNLRDMGQNQSQSGVQPPLPSGPPPTQVALPGSKVASAPSSSHLSHENSPASSDISLKKVGHPPLPPSQPLSSSLEGTASANASTVVNNASDPISNLLSSLVAKGLISASKSESPTPVSSQMPNELQNQSVSTPVTSSVSVSPVSASPSLPVSSRTDDVSLAEPLAKTSAALPQSSKIETKNPIGIEFKPDKIREFHPSVIEELFDDLPHKCSICGLRLKLKERLERHLEWHALKTPEFNGSVKASRRWYADSTNWVAGKAGPPLGPEDNMSIDKPSETMDNGEPMVPADESQCVCVICGYIFEDLYCQERDEWMFKGASYLSIPYGVGDLGTTEESVVKGPIVHANCIAENSLSDLGLASRIKLEKDV >ONH96259 pep chromosome:Prunus_persica_NCBIv2:G7:14191657:14199447:-1 gene:PRUPE_7G117000 transcript:ONH96259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESSRRPFTRSTEPVKKPRLADDRGLNPNPNPNGRAFVQRPGGANPVLSRFRVTDRDSESNDASRGGGYVPQPLQHQELVSQYKTALAELTFNSKPIITNLTIIAGESVHAAKAIAATVCGNIIEVSSEQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVEPNVHQSMRHLFGTWKGVFPAQTLQMIEKELGFASTANGSSSGAATSRLDSQSQRPAHSIHVNPKYLERQRLQQPTRTKGMASDFSGAMANSIDDAERPDRVASLSAGRPWVDPTVKMHNMQRSNTDALSERVHEKNIGAEYGEYEYGSDLPRSSNLGIGRIGGKITEQGNDKPWYGGGSSVAETISSQRNGFNIKHGLTNYSAPKSANADPRLKTAPAIASRSSGVLSNSWKNSEEEEFKWDDMNSRLTDHGPPDISSNSRKDCWTSDDSEKLGFGGHFRKPKGANDFATTVDLDTSADPTEHNDLSALGHRMSSPWPLSDSHGMDGLTPTGTPVISSVHSERYASSLSGLSTSGDSSVARLGSRAQVASSRIGASSFGFGATSGPAVAVGKQKQLQSVRAASPSGQSLVHQHSPAPTSTVHHPHHHLQSLPEQDYLESPSLPPPDSKVSQLLGKSDLGLHNHYTEDSAPISTPNVRLGSIAKSRPQDLHSSSSSIKNPSLPQLSTYVTPSTAGISLPDHSNLRAAETSGQSSTSSLLAAVMKTGILSDKSITGSLPSLNLRDMGQNQSQSGVQPPLPSGPPPTQVALPGSKVASAPSSSHLSHENSPASSDISLKKVGHPPLPPSQPLSSSLEGTASANASTVVNNASDPISNLLSSLVAKGLISASKSESPTPVSSQMPNELQNQSVSTPVTSSVSVSPVSASPSLPVSSRTDDVSLAEPLAKTSAALPQSSKIETKNPIGIEFKPDKIREFHPSVIEELFDDLPHKCSICGLRLKLKERLERHLEWHALKTPEFNGSVKASRRWYADSTNWVAGKAGPPLGPEDNMSIDKPSETMDNGEPMVPADESQCVCVICGYIFEDLYCQERDEWMFKGASYLSIPYGVGDLGTTEESVVKGPIVHANCIAENSLSDLGLASRIKLEKDV >ONH96260 pep chromosome:Prunus_persica_NCBIv2:G7:14192149:14199438:-1 gene:PRUPE_7G117000 transcript:ONH96260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSLYWNIFSFPFPFSFGKHFGVWPLVYQSLICSNNLAVTSVSYLIVESNLQVSSEQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVEPNVHQSMRHLFGTWKGVFPAQTLQMIEKELGFASTANGSSSGAATSRLDSQSQRPAHSIHVNPKYLERQRLQQPTRTKGMASDFSGAMANSIDDAERPDRVASLSAGRPWVDPTVKMHRSNTDALSERVHEKNIGAEYGEYEYGSDLPRSSNLGIGRIGGKITEQGNDKPWYGGGSSVAETISSQRNGFNIKHGLTNYSAPKSANADPRLKTAPAIASRSSGVLSNSWKNSEEEEFKWDDMNSRLTDHGPPDISSNSRKDCWTSDDSEKLGFGGHFRKPKGANDFATTVDLDTSADPTEHNDLSALGHRMSSPWPLSDSHGMDGLTPTGTPVISSVHSERYASSLSGLSTSGDSSVARLGSRAQVASSRIGASSFGFGATSGPAVAVGKQKQLQSVRAASPSGQSLVHQHSPAPTSTVHHPHHHLQSLPEQDYLESPSLPPPDSKVSQLLGKSDLGLHNHYTEDSAPISTPNVRLGSIAKSRPQDLHSSSSSIKNPSLPQLSTYVTPSTAGISLPDHSNLRAAETSGQSSTSSLLAAVMKTGILSDKSITGSLPSLNLRDMGQNQSQSGVQPPLPSGPPPTQVALPGSKVASAPSSSHLSHENSPASSDISLKKVGHPPLPPSQPLSSSLEGTASANASTVVNNASDPISNLLSSLVAKGLISASKSESPTPVSSQMPNELQNQSVSTPVTSSVSVSPVSASPSLPVSSRTDDVSLAEPLAKTSAALPQSSKIETKNPIGIEFKPDKIREFHPSVIEELFDDLPHKCSICGLRLKLKERLERHLEWHALKTPEFNGSVKASRRWYADSTNWVAGKAGPPLGPEDNMSIDKPSETMDNGEPMVPADESQCVCVICGYIFEDLYCQERDEWMFKGASYLSIPYGVGDLGTTEESVVKGPIVHANCIAENSLSDLGLASRIKLEKDV >ONH96256 pep chromosome:Prunus_persica_NCBIv2:G7:14191819:14199374:-1 gene:PRUPE_7G117000 transcript:ONH96256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESSRRPFTRSTEPVKKPRLADDRGLNPNPNPNGRAFVQRPGGANPVLSRFRVTDRDSESNDASRGGGYVPQPLQHQELVSQYKTALAELTFNSKPIITNLTIIAGESVHAAKAIAATVCGNIIEVSSEQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVEPNVHQSMRHLFGTWKGVFPAQTLQMIEKELGFASTANGSSSGAATSRLDSQSQRPAHSIHVNPKYLERQRLQQPTRTKGMASDFSGAMANSIDDAERPDRVASLSAGRPWVDPTVKMHRSNTDALSERVHEKNIGAEYGEYEYGSDLPRSSNLGIGRIGGKITEQGNDKPWYGGGSSVAETISSQRNGFNIKHGLTNYSAPKSANADPRLKTAPAIASRSSGVLSNSWKNSEEEEFKWDDMNSRLTDHGPPDISSNSRKDCWTSDDSEKLGFGGHFRKPKGANDFATTVDLDTSADPTEHNDLSALGHRMSSPWPLSDSHGMDGLTPTGTPVISSVHSERYASSLSGLSTSGDSSVARLGSRAQVASSRIGASSFGFGATSGPAVAVGKQKQLQSVRAASPSGQSLVHQHSPAPTSTVHHPHHHLQSLPEQDYLESPSLPPPDSKVSQLLGKSDLGLHNHYTEDSAPISTPNVRLGSIAKSRPQDLHSSSSSIKNPSLPQLSTYVTPSTAGISLPDHSNLRAAETSGQSSTSSLLAAVMKTGILSDKSITGSLPSLNLRDMGQNQSQSGVQPPLPSGPPPTQVALPGSKVASAPSSSHLSHENSPASSDISLKKVGHPPLPPSQPLSSSLEGTASANASTVVNNASDPISNLLSSLVAKGLISASKSESPTPVSSQMPNELQNQSVSTPVTSSVSVSPVSASPSLPVSSRTDDVSLAEPLAKTSAALPQSSKIETKNPIGIEFKPDKIREFHPSVIEELFDDLPHKCSICGLRLKLKERLERHLEWHALKTPEFNGSVKASRRWYADSTNWVAGKAGPPLGPEDNMSIDKPSETMDNGEPMVPADESQCVCVICGYIFEDLYCQERDEWMFKGASYLSIPYGVGDLGTTEESVVKGPIVHANCIAENSLSDLGLASRIKLEKDV >ONH94454 pep chromosome:Prunus_persica_NCBIv2:G7:2569622:2570495:-1 gene:PRUPE_7G017600 transcript:ONH94454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKWVVLVGYIIVEKYVWSKIKILGLKSSSRCANTTEPPTPLAHNLHGLQSYQQYNLPSAIHKKDIGEQIQLLPTVLPPLG >ONH97510 pep chromosome:Prunus_persica_NCBIv2:G7:18416980:18418060:1 gene:PRUPE_7G193700 transcript:ONH97510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLLSLLPLLLLLHSLPYSAAARKHNPHNNPTNSSHLVRSSCSHASYPNLCIHSLSTYVGPANTPRDLAQAAVTVSLSRARRVSSYLAQLSNSDQGTKRELSALSACIDLMSESVDELTRTLDELKHLHAETFLFQMSNAQTWVSAALTDDDTCLDGFEELDVKVKADMKRKVTNAARVTSNALYLINRLDESRGRPRSKP >ONH98887 pep chromosome:Prunus_persica_NCBIv2:G7:22191271:22194381:-1 gene:PRUPE_7G270700 transcript:ONH98887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIISKKRRKQSDALCLTLSLVSKTLTSKKLWHSDSVRTMALMLKVPANCIVVQQPKRAFLGGAGTSRLGAISTNRKQRVSSSSSSAISMIPKMSISAIPNQRDDKSMSKNKIDIPIMVNGCSGKMGKAIIQAADSAGLGVVPVSFGSAEESGQTVQVGATEIVVHGPSERESTLASLLEKYPNLIVVDFTVPSAVNELYGKVGVPFVMGTTGGDRDQLYKTVEDSKVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVMESHQSSKVDTSGTAKAVISCFQKLGVCFDMEQIQLIRDTQQQIEMVGVPEEHLSGHAFHMYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAVIFLAKKVHSGADKRIYNMMDVLREGNMR >ONH98888 pep chromosome:Prunus_persica_NCBIv2:G7:22191615:22194381:-1 gene:PRUPE_7G270700 transcript:ONH98888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIISKKRRKQSDALCLTLSLVSKTLTSKKLWHSDSVRTMALMLKVPANCIVVQQPKRAFLGGAGTSRLGAISTNRKQRVSSSSSSAISMIPKMSISAIPNQRDDKSMSKNKIDIPIMVNGCSGKMGKAIIQAADSAGLGVVPVSFGSAEESGQTVQVGATEIVVHGPSERESTLASLLEKYPNLIVVDFTVPSAVNDNAELYGKVGVPFVMGTTGGDRDQLYKTVEDSKVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVMESHQSSKVDTSGTAKAVISCFQKLGVCFDMEQIQLIRDTQQQIEMVGVPEEHLSGHAFHMYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAVIFLAKKVHSGADKRIYNMMDVLREGNMR >ONH94470 pep chromosome:Prunus_persica_NCBIv2:G7:2701793:2709231:1 gene:PRUPE_7G018300 transcript:ONH94470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSAPLLLSLPHPFSFPSKRHRFGTFKVSSSILSTNGALLRRQFTQRYPLVFAKASSVYATREDLDTVNIAEDVTQLIGSTPMVYLNKVTGSCVANIAAKLESMEPCRSVKDRIGCSMIANAEKSGLISPGKSVLVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEVVLTDPEKGLKGALDKAGEIVLRTPNSYMLQQFDNAANAKIHFDTTGPEIWEDTMGSVDIFVAAIGTGGTVTGTGRYLKAMNNEIKVVGVEPAERSVISGENPGYVPSILDVNLLDEVIKVTNDEAVEMARRLALEEGLLVGISSGAAAAAAISLARRPENTGKLITVIFPSFGERYIPTVLFQSIHEEVQKMRGR >ONH94469 pep chromosome:Prunus_persica_NCBIv2:G7:2701834:2709827:1 gene:PRUPE_7G018300 transcript:ONH94469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSAPLLLSLPHPFSFPSKRHRFGTFKVSSSILSTNGALLRRQFTQRYPLVFAKASSVYATREDLDTVNIAEDVTQLIGSTPMVYLNKVTGSCVANIAAKLESMEPCRSVKDRIGCSMIANAEKSGLISPGKSVLVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEVVLTDPEKGLKGALDKAGEIVLRTPNSYMLQQFDNAANAKIHFDTTGPEIWEDTMGSVDIFVAAIGTGGTVTGTGRYLKAMNNEIKVVGVEPAERSVISGENPGYVPSILDVNLLDEVIKVTNDEAVEMARRLALEEGLLVGISSGAAAAAAISLARRPENTGKLITVIFPSFGERYIPTVLFQSIHEEVQKMRGR >ONH94471 pep chromosome:Prunus_persica_NCBIv2:G7:2701834:2709835:1 gene:PRUPE_7G018300 transcript:ONH94471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSAPLLLSLPHPFSFPSKRHRFGTFKVSSSILSTNGALLRRQFTQRYPLVFAKASSVYATREDLDTVNIAEDVTQLIGSTPMVYLNKVTGSCVANIAAKLESMEPCRSVKDRIGCSMIANAEKSGLISPGKSVLVEPTSGNTGLGIAFVAATKGYKLIVTMPASINLERRILLRAFGAEVVLTDPEKGLKGALDKAGEIVLRTPNSYMLQQFDNAANAKIHFDTTGPEIWEDTMGSVDIFVAAIGTGGTVTGTGRYLKAMNNEIKVVGVEPAERSVISGENPGYVPSILDVNLLDEVIKVTNDEAVEMARRLALEEGLLVGISSGAAAAAAISLARRPENTGKLITVIFPSFGERYIPTVLFQSIHEEVQKMRGR >ONH95867 pep chromosome:Prunus_persica_NCBIv2:G7:12572748:12574920:1 gene:PRUPE_7G093200 transcript:ONH95867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSLSMASTSTSCSSSLCNKISNFSIPHSPTPIPSHFPPHIKPPKPLKLKAHFPTFSRLSSLTQFRRPLATFDGFEVEEDSESEEQQKPEAEPIEKEEEQEQREEEPKVASYNDAGRLYVGNLPYSLTSTQLAEVFGEAGTVVFSEIIYDRVTDRSRGFGFVTMSTLEEAQHAIRMFDGSQVGGRNVKVNFPEVPKGGEREILGPKSIRSGFKVYIDSPHKIYAGNLGWGLTSQGLKDAFEGQPGLLGAKVIYERGSGRSRGFGFVTFETNEAAVAAVAAMNEVEVDGRPLRLNMAAERARTVSVSSSPASESTAQDTDSSEVVSPPASETTTAENTDSSELVSPPASETKTEDAGSSELISSSVSV >ONH94635 pep chromosome:Prunus_persica_NCBIv2:G7:3855085:3858951:1 gene:PRUPE_7G024400 transcript:ONH94635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLLSRDFPHSPPKGYFLTKIFHPNIAMNGEICVNTLKKDWNPSLGLRHILIVVRCLLIEPFPESALNEQAGKMLLENYEEYAKHARLYTGIHAKPKPKFKTGAISESTTALNVDQTNSSALNADQKITAPGAALPVISVSPSPLAPITVMRGNGQDQPAVVAPVETGVGGCAASAAATTTTLRKEGGLAKAQADKKKIDARKKSLKRL >ONH94633 pep chromosome:Prunus_persica_NCBIv2:G7:3854945:3859165:1 gene:PRUPE_7G024400 transcript:ONH94633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNENLPPNVIKQLAKELKNLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSRDFPHSPPKGYFLTKIFHPNIAMNGEICVNTLKKDWNPSLGLRHILIVVRCLLIEPFPESALNEQAGKMLLENYEEYAKHARLYTGIHAKPKPKFKTGAISESTTALNVDQTNSSALNADQKITAPGAALPVISVSPSPLAPITVMRGNGQDQPAVVAPVETGVGGCAASAAATTTTLRKEGGLAKAQADKKKIDARKKSLKRL >ONH94634 pep chromosome:Prunus_persica_NCBIv2:G7:3856645:3858945:1 gene:PRUPE_7G024400 transcript:ONH94634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNENLPPNVIKQLAKELKNLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSRDFPHSPPKGYFLTKIFHPNIAMNGEICVNTLKKDWNPSLGLRHILIVVRCLLIEPFPESALNEQAGKMLLENYEEYAKHARLYTGIHAKPKPKFKTGAISESTTALNVDQTNSSALNADQKITAPGAALPVISVSPSPLAPITVMRGNGQDQPAVVAPVETGVAATTTTLRKEGGLAKAQADKKKIDARKKSLKRL >ONH94392 pep chromosome:Prunus_persica_NCBIv2:G7:1941531:1942213:1 gene:PRUPE_7G013800 transcript:ONH94392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMNIVPIGLLLKGNQMVSIGAVWIEPKFILELNFHGSMMILLMRVGELFHGESQVFCNSKAVVVVVVGF >ONH95176 pep chromosome:Prunus_persica_NCBIv2:G7:9358091:9361089:1 gene:PRUPE_7G055000 transcript:ONH95176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDWGNSLGESVSEAPAQHANSTDEENHYASGSASKFQPRKITSKAHWNDQMGMAEVVVEKGRYRISTGIVRHSKLYYSIEEVLFLVEIGDLLLLDDSGTLSLEDIYMKISDRKNGCCWEEFQAYRQLKSLGYIVGRHGIPWSIKSLKSNYETVSSQGCPESDEVVDLGSEETRSVIGLLNGMQINEARLIFDVYLPNSKFRKSSPGDPSFVLCFTRGPPPSKADLEALERQCQNIPLKVCHEEQGWVSFFSFDKVELPVLP >ONH95698 pep chromosome:Prunus_persica_NCBIv2:G7:12080310:12091765:-1 gene:PRUPE_7G085900 transcript:ONH95698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEEECSSANSRSSSSASASSSHYLAKCVLRGSVVLQVLYGHIRFPTSYDVVFGKETSIELVIIGEDGIVQSICEQPVFGTIKDIAILPSNDKFRTQNPQMLGKDLLVVISDSGNLSFLSFCNEMHRFFPVTQVQLSNPGNSRNQLGRMLAIDSSGCFIAASAYENQLAMFSVSVSGGSDIIDKKIVFPQEKEADASAARVQKNSICGTIWSMSFISKDPSQSSKGHNPVLAILLNRRGAVLNELLLLGWNISEQEIYVISTYTEDGPLAHSIVEVPHSYGFAFMFREGDALLMDLRDAQIPYCVHRTSPNFLSNVVDEANFVQESSRGCDLSRVLQVDDEGGLFNVAACALLELSDLDPMCIDGDKYNVNVTYKHVCSWSWEPGNAKSPRMIICADTGEYFMIEIIFGPDGLKVQESECLYKGLPSKAVLWVEGGFLAAIIEMGDGMVLKMENGALLYASPIQNIAPVLDMSVVDYHDEKHDQMFACCGVAPEGSLRIIRNGISVEKLLRTAPIYQGITGTWTLRMKVIDSYHSFLVLSFVEETRVLSVGLSFTDVTDSVGFQPDVSTLACGVVNDGLLVQIHKNAVRLCLPTKTAHSEGIPLPSPVCTSWFPENMSISLGAVGHNLIVVSSSNPCFLFILGVRLLSAHHYEIYEMQYLRLQNELSCVSIPQKRFEGTSLVDNSCDATLPFGVDISNIFVIGTHKPSVEVLSLVPNEGLRVLASGTISLTNTLGTAISGCIPQDVRLVLVDRLYVLSGLRNGMLLRFEWPASPTMPVGSLSVNTNTVFPSVSAANSFGPKIYDVKFSEKTKDKFPIELQLIATRRIGITPVFLVPLSDSLDGDIVVLSDRPWLLHTARHSLSYTSISFQSSTHVTPVCYVECPKGILFVAENCLHLVEMVHSKRLNVQKFHLGGTPREVLYHSESRLLLVMRTDLSNDTSSSDICCVDPLSGSVLSSFKLEPGETGKSMELVRVGNEQVLVVGTSLSSGPAIMPSGEAESTKGRLIVLCLEHVQNSDSGSMTLCSKAGSSSQRASPFHEIVGYATEQLSSSSLCSSPDDTSCDGIKLEETEAWQFRLAYVTKWPGMVLAICPYLDRYFLASSGNAFYVCGFPNDNSQRVRKFAWARTRFMITSLTAHFTTIAVGDCRDGVLFYAYHEDSKKLQQLYFDPCQRLVADCILMDVNTAVVSDRKGSIAVLSCADYLEDTASPECNLTVSCAYYMGEIAMSIRKGSFSYKLPADDVLKGCDGNIDFSQNAIIVSTLLGSIITFVPISREEYELLEAVQDRLVVHPLTAPILGNDHNEYRSRENPVGVPKILDGDMLSQFLELTGMQQEAVLSSPLGAQGTVKPSLKSRYALIPVNQVVQLLERVHYALN >ONH96276 pep chromosome:Prunus_persica_NCBIv2:G7:14251610:14252658:-1 gene:PRUPE_7G117900 transcript:ONH96276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSEVGSCGESKPIQVNDATRKPQILLAATGSVATLRFSNLCHSFSPWAENNMGDSVLHIELRCWADIMVIAPLLVNTLSKIDEGLCDNILTCVVHAWDYSKTFFVTPAMKTLLWSSPFMERHMMSIDEQGISRPTNQWCNG >ONH95568 pep chromosome:Prunus_persica_NCBIv2:G7:11398939:11402905:-1 gene:PRUPE_7G078000 transcript:ONH95568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGTKERIEMILGLLKNNDVTTVVLDGKRGVGKTWTAKEISMKKNFHDSLWLYLNNKYDSKSLHENLARQMSLFSIHEECEDDDVEEEEKEILENLKLKISKKLQDVISAARTNEKPFLLILDDVPHERDAEEIMTELKKLLNPNDLSSLKVLITRVGSDDKLTAEGRNEEIRTSNTRNIYVDQLSTEESKILLKEKVKKEISDSPSFEMLSNFIVERRKSMRNEIIAIAEALNYIGYIDSGVWSLDSVLEAAANDAEVAINMLFRFWYDTLLSDVTINCFWHSVQLFSKHVGVHYNELITHWIMEGYVGCIDHIEKAYEKGHDELMKLIDRGMLRKQEDNMVIMGGMVSSITDQRRREFNGTANLGLANVFEDDKWEGLGRLTHADGMIKSPCSPKSREKVLTLLMDGRSLGREVPGRYFQPMQKLQVFTIFNPRFKSLPLSLTSIKSLSILVLRCSDLLEKIDHIGKLENLNVLEISGATCLKVIPDDLFANMPHLRSLNLSESKVRSLPSSLFNKSELRWLILRGCAQLETLPSLKSFKNLKVLDLSGALSFRKFRDKTFDPLVKLQTIDLSNSQINHLPFLHNLGELTRLLLVGCAHLTRLPTLNTLPRLQILDLSGATGLKEMQDEPLDGLNVLDLSSTQISSIPSSTSNLSDLHLRDCSKLVKLPVIKAMKKLELLNLSGSSNLAEIEDKSLEDMRFLRVLNFSKVKVKALPSLSNLVNLRQLLLMDCSCLEKLPEMAGLKRLQELNLSGCVALVGLPDLKAFDKLEILDASGCRDLKEIQDKSFENMSHIQTLNLSDTRIEFLPSVPKASNLLHLVLRNCQNLKDLPPLDHLLKLEELNLCGAINLNGIKAEFLEQMVHLRILDLSGAPLTKLPSMSRLTNLRQLSLKGCSSLETVPNLEAITSLEILDLSGTSIGSLPPLKSFSNLCQLLLKDCSGIKELQNLSSLGRLEVLNLSGTRIEKFPYEISELTDLKHLDLPDLKSMHDIDLGKIKRIPQEVNCDKGGIFECADIGGDKPSISVSGSTIFQFLDENPQLWETKFKRFHFSVIEKQGEDGDINGCKDELFFRDLYSYTRHFPKEHDRSLEIHGSYSLPKGFESVLQHADYISLVDNDRISCLSEIGADNVKVMKGCWIERCSEIKSILRGEEADVRLGSSLEILWISNLPKLSSLYNGKEDLECFKNLKHLYLDCCPMIVSAFPSSQLLENLEIFHVQFCERLTTLFESDSPSGSTLKKLRTLYLLELPELTRIGIKLQAPVTLEVMECPKLSKDHLDVDNRFSK >ONH98642 pep chromosome:Prunus_persica_NCBIv2:G7:21629829:21632982:-1 gene:PRUPE_7G259700 transcript:ONH98642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITALLVLKCNPDESDPIILANASDTSHFGYFQRSSVKEFIVFVGRTVAKRTPSGQRQSVKHEEYKVHAYNSNGLCALGFMDDHYPVRSAFSLLNQVLDEYQKKFGDSWRTAQQDSTQTWPYLGEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGERLDSLVEKSSDLSAASQMFYKQAKQANQCCTIL >ONH98002 pep chromosome:Prunus_persica_NCBIv2:G7:19869473:19869769:-1 gene:PRUPE_7G222900 transcript:ONH98002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSLRVRTCDYIRQFCSAIFRGFGLGPFSVILAERDIPNLKEILLSIPEEKYLQMQVGVRKVQKHFLWHAKPLKYDLFHMTLHSICYNRVFQIKIR >ONH98428 pep chromosome:Prunus_persica_NCBIv2:G7:21087635:21090472:-1 gene:PRUPE_7G248600 transcript:ONH98428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKPAVRISERKLIVKDRTILTGVPDNVVATSGSSSGPVEGVFLGAAFEGDNSRHVIPLGTFHDVRFLACFRFKLWWMAQKMGDQGRDIPLETQFLLVETKDGSHLESDDGDEENQIVYTVFLPLIQGSFRACLQGNAQDELELCLESGDADTKASSFSHSLFIHAGTDPFATITEAIRAVKVHLQTFRQRHEKKLPGIVDYFGWCTWDAFYQEVTQEGVEAGLESLAAGGTPPKFVIIDDGWQSVGGDEQQGLLRLTGIKENSKFQKKDDPTVGIKNIVNIAKQKHGLKYVYVWHAITGYWGGVLPGIKEMEEYGSLMKYPNVSKGIVENEPTWKTDVMAVQGLGLVDPKSVYKFYNELHSYLSSAGVDGVKVDVQCILETLGAGVGGRVELTRQYHQALDASVARNFPDNGIIACMSHNTDALYCSKQTAVVRASDDFYPHDPVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAAEYHASARAISGGPIYVSDAPGKHNFELLRKLVLPDGSVLRARLPGRPTRDCLFSDPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWSTTERKNAFHETKSEAITGFIRGRDVHLIAEAAVEADWRGDCAVYSHRTGDLVTLPYNASLPISLRVLEHEIFTVTPIRVLGSGINFAPLGLVDMYNAGGAIEGLRYEENGTNGLVRLEVKGCGRFGAYSSAKPRRCCVGCNVVNFDYNSSSGLVRLSLDHLPEEEEGQKVHVVEIEL >ONH98427 pep chromosome:Prunus_persica_NCBIv2:G7:21087635:21090856:-1 gene:PRUPE_7G248600 transcript:ONH98427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKPAVRISERKLIVKDRTILTGVPDNVVATSGSSSGPVEGVFLGAAFEGDNSRHVIPLGTFHDVRFLACFRFKLWWMAQKMGDQGRDIPLETQFLLVETKDGSHLESDDGDEENQIVYTVFLPLIQGSFRACLQGNAQDELELCLESGDADTKASSFSHSLFIHAGTDPFATITEAIRAVKVHLQTFRQRHEKKLPGIVDYFGWCTWDAFYQEVTQEGVEAGLESLAAGGTPPKFVIIDDGWQSVGGDEQQGLLRLTGIKENSKFQKKDDPTVGIKNIVNIAKQKHGLKYVYVWHAITGYWGGVLPGIKEMEEYGSLMKYPNVSKGIVENEPTWKTDVMAVQGLGLVDPKSVYKFYNELHSYLSSAGVDGVKVDVQCILETLGAGVGGRVELTRQYHQALDASVARNFPDNGIIACMSHNTDALYCSKQTAVVRASDDFYPHDPVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAAEYHASARAISGGPIYVSDAPGKHNFELLRKLVLPDGSVLRARLPGRPTRDCLFSDPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWSTTERKNAFHETKSEAITGFIRGRDVHLIAEAAVEADWRGDCAVYSHRTGDLVTLPYNASLPISLRVLEHEIFTVTPIRVLGSGINFAPLGLVDMYNAGGAIEGLRYEENGTNGLVRLEVKGCGRFGAYSSAKPRRCCVGCNVVNFDYNSSSGLVRLSLDHLPEEEEGQKVHVVEIEL >ONH98273 pep chromosome:Prunus_persica_NCBIv2:G7:20658599:20660090:1 gene:PRUPE_7G239400 transcript:ONH98273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAGGDWMCGACQHLNFKKRDTCQRCEYPKYGGPDPSTYICNRTEVLAGDWYCNCGTHNYASRPNCFRCSAMKSDYGGAYSMMPSAAYGSDGSSPPGWKSGDWMCNRVGCGVHNYASRTECFKCKTPRDYGL >ONH98240 pep chromosome:Prunus_persica_NCBIv2:G7:20571548:20572768:-1 gene:PRUPE_7G237700 transcript:ONH98240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWPSSSDPSNQQAAIRKRSPGSQFKFLVPLIYAPVLPLIRLSLRKNPVVRDRLFTAVLVGAFAHGFYLVIYTIMMEQKGMVKHISFFA >ONH96535 pep chromosome:Prunus_persica_NCBIv2:G7:15274001:15281783:1 gene:PRUPE_7G135200 transcript:ONH96535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVQPTILSTFVGNRLLQSAQPLHQLFSYNPGSKHVSMQLSKRFSGLTNLLFNGRNADKFLNTKRKRLRPGKISPHRPVPDHIPMPPYVKSKKPPGIASGPEVHDEKGIECMRASGKLAAHVLEFAGTLVKPGIKTDEIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALEDGDIINIDVTVYLNGYHGDTSATFFCGDVDDEGRKLVQVTKECLDKAISICAPGVELKKIGKTIQEHADKYRYGVVRQFVGHGVGRVFHADPVVLHFRNNESGRMVVNQTFTIEPMLTLGSCNPVMWDDNWTVVTEDGSLSAQFEHTILITEDGAEILTRC >ONH96221 pep chromosome:Prunus_persica_NCBIv2:G7:13979009:13988464:1 gene:PRUPE_7G114200 transcript:ONH96221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAVEEGVEPPLAALLDGKSGKKTKAKRKSKATSSKDHDTELEPVQAAPEPVQIEPEPEPIQIEPEPVQIEPEPVRIEPEPVQNDEESSRDGPRVSVSMFDDSVENHFRVMDTIAKLCGEAEEDHRIEDSEIQSLSSSITFLREWADFKYEPRDVRFACGGGSPDGKDVFTGITLRQFSSATVPKKEALSGDTSSLELSQDFVMYVGGPVWALDWCPRVHQSPDYHPKCEFVAIAAHPPGSSYHKLGQPLTGRGAIQIWCLLNVGVNEENSHPIGEKPKRDPKKSGAREENSAEPKRPIGRPRKKPLEEKSTEPKRPRGRPRKNPIEESVDKEATEEKSTRPKRPRGRPLKKPIEESVDNLDGSSNYVEALSIQHPEGSPELHSTGCVPANTQEHGKKRKNYNHAASECNPTLKSYARRRKLNDMESAGTNNNHTCPPLLNQNEEKGPLVSDYHIQQSSGQDPQTSNNVQDNDYPKIGSTRCSVPEDVALPRIVSCLAHHGKVAWDVKWRPPSEHDSKCKHRMGYLAVLSGNGSLEVWDVPLPHAIEVIYSSSCREGTDPRFIKLAPVFRCSMLKCGSEKSIPLTVEWSASPAHDYLLAGCHDGTVALWKFSASNASQDTRPLLCFSADTNPIRALAWAPVDSSSEGANVIATAGHGGLKFWDLRDPFRPLWDLDHLPKFIYSLDWLPDPRCVILSFDDGTMKVISLVKAASDDPVTGMAGTKQPGLHNLSCLPFAIWSVHVSRLTGMAAYCGADGTVLRFQLTSKSVEKDPRRHRAPHFLCVSLTMEESAVTINTTVSNTPFPLKVVRNNPESNKVKSANDKRAKDSASEDQTLALCYGVDPDIQSESGEKVASLRRKKTKKSGSSETTPEDDQALVCIDEEPTSTQEQEIGEKVASVRSRKTQKSRFSKKKPEDDLALVCIDEEPTNTQDEETGVASLKSKKTQKSRSSKKNPNDDRGLACIGEEEPTNTLEEEIGVASPESKKKQKTRSSKKKPNDDQDLACIDEVPINTQEEEDGKELEIFPDKIVAMHRVRWNMNKGSERWLCYGGAAGLVRCQEIVLSDTEKAWAMKR >ONH96222 pep chromosome:Prunus_persica_NCBIv2:G7:13979076:13988464:1 gene:PRUPE_7G114200 transcript:ONH96222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKAVEEGVEPPLAALLDGKSGKKTKAKRKSKATSSKDHDTELEPVQAAPEPVQIEPEPEPIQIEPEPVQIEPEPVRIEPEPVQNDEESSRDGPRVSVSMFDDSVENHFRVMDTIAKLCGEAEEDHRIEDSEIQSLSSSITFLREWADFKYEPRDVRFACGGGSPDGKDVFTGITLRQFSSATVPKKEALSGDTSSLELSQDFVMYVGGPVWALDWCPRVHQSPDYHPKCEFVAIAAHPPGSSYHKLGQPLTGRGAIQIWCLLNVGVNEENSHPIGEKPKRDPKKSGAREENSAEPKRPIGRPRKKPLEEKSTEPKRPRGRPRKNPIEESVDKEATEEKSTRPKRPRGRPLKKPIEESVDNLDGSSNYVEALSIQHPEGSPELHSTGCVPANTQEHGKKRKNYNHAASECNPTLKSYARRRKLNDMESAGTNNNHTCPPLLNQNEEKGPLVSDYHIQQSSGQDPQTSNNVQDNDYPKIGSTRCSVPEDVALPRIVSCLAHHGKVAWDVKWRPPSEHDSKCKHRMGYLAVLSGNGSLEVWDVPLPHAIEVIYSSSCREGTDPRFIKLAPVFRCSMLKCGSEKSIPLTVEWSASPAHDYLLAGCHDGTVALWKFSASNASQDTRPLLCFSADTNPIRALAWAPVDSSSEGANVIATAGHGGLKFWDLRDPFRPLWDLDHLPKFIYSLDWLPDPRCVILSFDDGTMKVISLVKAASDDPVTGMAGTKQPGLHNLSCLPFAIWSVHVSRLTGMAAYCGADGTVLRFQLTSKSVEKDPRRHRAPHFLCVSLTMEESAVTINTTVSNTPFPLKVVRNNPESNKVKSANDKRAKDSASEDQTLALCYGVDPDIQSESGEKVASLRRKKTKKSGSSETTPEDDQALVCIDEEPTSTQEQEIGEKVASVRSRKTQKSRFSKKKPEDDLALVCIDEEPTNTQDEETGVASLKSKKTQKSRSSKKNPNDDRGLACIGEEEPTNTLEEEIGVASPESKKKQKTRSSKKKPNDDQDLACIDEVPINTQEEEDGKELEIFPDKIVAMHRVRWNMNKGSERWLCYGGAAGLVRCQEIVLSDTEKAWAMKR >ONH96895 pep chromosome:Prunus_persica_NCBIv2:G7:16585327:16587963:1 gene:PRUPE_7G158000 transcript:ONH96895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLALALFLAILIRQQGFPIHVEAGDGFIRTRGVHFLLNGSPYYANGFNGYWLMYVASDPSQRYKVSSVFREATSHGLTVARTWAFSDGGYRPLQYSPGSYNEQMFKGLDFVIAEARRYGIKLILSLVNNYESFGGRKQYVNWARSQGQYLTSDDDFYRNPVVKGYYKNHVTTVLNRYNSYTRVHYKDDPTIMAWELINEPRCTSDPSGRTVQAWIMEMASHVKSIDRNHLLEAGLEGFYGQAIPQRMRLNPGFNIGTDFIANNRIPGIDFATVHSYPDQWLSSSNDQNQLSFLNNWLDTHIQDAQYILRKPVFITEFGKSWKDPGFNTYQRDLLFNTVYSKIYSSARRGGAAAGGLFWQLLTEGMDSFGDGYDIVLSQSPSTANVIAQQSHKLYQIRKIFARIRNAQMWKRARAIRRAEWLARNKGKRIGN >ONH96896 pep chromosome:Prunus_persica_NCBIv2:G7:16585327:16587963:1 gene:PRUPE_7G158000 transcript:ONH96896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLALALFLAILIRQQGFPIHVEAGDGFIRTRGVHFLLNGSPYYANGFNGYWLMYVASDPSQRYKVSSVFREATSHGLTVARTWAFSDGGYRPLQYSPGSYNEQMFKGLDFVIAEARRYGIKLILSLVNNYESFGGRKQYVNWARSQGQYLTSDDDFYRNPVVKGYYKNHVTAWIMEMASHVKSIDRNHLLEAGLEGFYGQAIPQRMRLNPGFNIGTDFIANNRIPGIDFATVHSYPDQWLSSSNDQNQLSFLNNWLDTHIQDAQYILRKPVFITEFGKSWKDPGFNTYQRDLLFNTVYSKIYSSARRGGAAAGGLFWQLLTEGMDSFGDGYDIVLSQSPSTANVIAQQSHKLYQIRKIFARIRNAQMWKRARAIRRAEWLARNKGKRIGN >ONH97009 pep chromosome:Prunus_persica_NCBIv2:G7:16891717:16897473:1 gene:PRUPE_7G164200 transcript:ONH97009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASAPPWIPEDDLRLKKAMEAGASLEALAKGAVRFSRKFSVRELRERWSSLLYDADISAEASSRMLEVEGCNSSAAFKSSRVGSSRDSKRKGESIRKHYYAMQKKLRTSNSVDPYSYHKKFFDPNFLFAPHLDNGKALEENFGVGDHNQPLFMDCNGNESNAEDAFRAGECVSIGNHGVEGVVREGCPNVFVEQVSLLPNGLGDDSFFHDPACEDLPTQGDDLIDFANVEDIGPSHASTDEPLWKTIEDVPAPEMPIDVSLGVNGEDAKKTLVVPDDADGGSSQYEVVHSEAMLNDREVCDELNRSVTISGGDYADIYLTNEDELTFMDVNGKESMDKSSYESLKPIPLSSPKDVHEYVVPDPCQPQKLISDSFQDVSHNVHTAKMPDSCLPQNLISETCQDVSDNVHAAEMDVSAKPSHSLHDEQRDISSAEANNPSSTSVPNPLTPELREKEMICTLNTEDPEIPCNDDIFPPTGTVHAVVQPTLKEASGLASSTGKRKCDQQTITLTKEEDPAQPFKVPRMVGHDTITENSPNHALVSFGIKAAYGDSNGLASVSKHDKNVPANPSQCRSAHQPPKSIPNRVLKEEGIVAPSTVAELAPVITEPGSTKMTFLEPEANPSALDCEESEEESEDDVDDDDADIPYFSDIEQMILEMDLCPDDQDSYFSKIASAYQDEDSKRHIMRLEQCARSSMQRDLASKGALAVLYGRHVKEYIKKTEVILGRATEDNEVDIDLGKEGLHNKISRRQAVIKMEGDGSFSLKNLGKGSIFLNGKEVTIGQLVSLSSSNLIEIREMAFVFEINHKYTKFEWSPGRGP >ONH97008 pep chromosome:Prunus_persica_NCBIv2:G7:16891624:16897473:1 gene:PRUPE_7G164200 transcript:ONH97008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASAPPWIPEDDLRLKKAMEAGASLEALAKGAVRFSRKFSVRELRERWSSLLYDADISAEASSRMLEVEGCNSSAAFKSSRVGSSRDSKRKGESIRKHYYAMQKKLRTSNSVDPYSYHKKFFDPNFLFAPHLDNGKALEENFGVGDHNQPLFMDCNGNESNAEDAFRAGECVSIGNHGVEGVVREGCPNVFVEQVSLLPNGLGDDSFFHDPACEDLPTQGDDLIDFANVEDIGPSHASTDEPLWKTIEDVPAPEMPIDVSLGVNGEDAKKTLVVPDDADGGSSQYEVVHSEAMLNDREVCDELNRSVTISGGDYADIYLTNEDELTFMDVNGKESMDKSSYESLKPIPLSSPKDVHEYVVPDPCQPQKLISDSFQDVSHNVHTAKMPDSCLPQNLISETCQDVSDNVHAAEMDVSAKPSHSLHDEQRDISSAEANNPSSTSVPNPLTPELREKEMICTLNTEDPEIPCNDDIFPPTGTVHAVVQPTLKEASGLASSTGKRKCDQQTITLTKEEDPAQPFKVPRMVGHDTITENSPNHALVSFGIKAAYGDSNGLASVSKHDKNVPANPSQCRSAHQPPKSIPNRVLKEEGIVAPSTVAELAPVITEPGSTKMTFLEPEANPSALDCEESEEESEDDVDDDDADIPYFSDIEQMILEMDLCPDDQDSYFSKIASAYQDEDSKRHIMRLEQCARSSMQRDLASKGALAVLYGRHVKEYIKKTEVILGRATEDNEVDIDLGKEGLHNKISRRQAVIKMEGDGSFSLKNLGKGSIFLNGKEVTIGQLVSLSSSNLIEIREMAFVFEINHKYTKFEWSPGRGP >ONH97007 pep chromosome:Prunus_persica_NCBIv2:G7:16891750:16896767:1 gene:PRUPE_7G164200 transcript:ONH97007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASAPPWIPEDDLRLKKAMEAGASLEALAKGAVRFSRKFSVRELRERWSSLLYDADISAEASSRMLEVEGCNSSAAFKSSRVGSSRDSKRKGESIRKHYYAMQKKLRTSNSVDPYSYHKKFFDPNFLFAPHLDNGKALEENFGVGDHNQPLFMDCNGNESNAEDAFRAGECVSIGNHGVEGVVREGCPNVFVEQVSLLPNGLGDDSFFHDPACEDLPTQGDDLIDFANVEDIGPSHASTDEPLWKTIEDVPAPEMPIDVSLGVNGEDAKKTLVVPDDADGGSSQYEVVHSEAMLNDREVCDELNRSVTISGGDYADIYLTNEDELTFMDVNGKESMDKSSYESLKPIPLSSPKDVHEYVVPDPCQPQKLISDSFQDVSHNVHTAKMPDSCLPQNLISETCQDVSDNVHAAEMDVSAKPSHSLHDEQRDISSAEANNPSSTSVPNPLTPELREKEMICTLNTEDPEIPCNDDIFPPTGTVHAVVQPTLKEASGLASSTGKRKCDQQTITLTKEEDPAQPFKVPRMVGHDTITENSPNHALVSFGIKAAYGDSNGLASVSKHDKNVPANPSQCRSAHQPPKSIPNRVLKEEGIVAPSTVAELAPVITEPGSTKMTFLEPEANPSALDCEESEEESEDDVDDDDADIPYFSDIEQMILEMDLCPDDQDSYFSKIASAYQDEDSKRHIMRLEQCARSSMQRDLASKGALAVLYGRHVKEYIKKTEVILGRATEDNEVDIDLGKEGLHNKISRRQAVIKMEGDGSFSLKNLGKGSIFLNGKEVTIGQLVSLSSSNLIEIREMAFVFEINHKYTKFEWSPGRGP >ONH95645 pep chromosome:Prunus_persica_NCBIv2:G7:11785747:11793560:1 gene:PRUPE_7G082700 transcript:ONH95645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKASSSQSSIGLSGSGALSHVYIPYLPLRCSVAGSRGLFYDDGNKLLLSPTSDQVFCWKTVPFDPVVTPTSDSISEGPILSIRYSLDAKFIAVQRSDHEIQFWDRGSGETFSQRCKSESESILGFFWTDCPMCDIVFVKTSGLDLFAYNSESRSLQLVETRKLHVSWYVYTHESRLVLLASGMQCKIFNGFQLSSAGIIRLPKFEMAMAKSEANNKPVLAAEDIFIATIYGRIYCLQVDRIAMLLHSYRFYRDVVVQQGSLPIYSSKVAVSVVDNVLLVHQVDAKVVILYDIFADSRAPISAPLPLLFRGFPRSNSSSLRPNREDTESSEVNVMSDHEAIVYGDDWSFLVPDLICDVVNQLLWKIHLDLEAISASSSEVPSVLEFLQRRKLEANKAKQLCLSIARTVILERRPVSTVSRAIDVLVSSYSHSVKTGTYIKGIKSGKTSPSIVPQTSAPRSSADVSASRVDAVGKSIKHESAAGVDSESPNRFLNFSDSDSEDIASFEPPRTTSNNVQLFDGKLARGKLTGAETSGGEVRSSSLRSGNSPLDANVLEQQESQPTSPVISSDEMYSFVFAPVEEEMIGEPSYLVAIIVEFLRSANLEKVEVHPNLYVLTIQLLSRSERYAELGQFVLNKILEPSREVAMQLLESGRQHSLTRKLGLDMLRQLSLHHDYVLLLVQDGYYLEALRYARKYKVSTVRSSLFLEAAFTSNDLQHLAAVLRFFSDFIPGFRDTSDHDTYYRILNERNSSIAA >ONH98341 pep chromosome:Prunus_persica_NCBIv2:G7:20886040:20890002:-1 gene:PRUPE_7G244500 transcript:ONH98341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVSRKVGKYEVGRTIGEGTFAKVKFARNIETGESVAMKILAKSTILKHRMVDQIKREISIMKIVRHPNIVRLHEVLAGRTKIFIILEFVTGGELFDKIVHQGKLPEYESRKYFQQLIDAVAHCHSKGVFHRDLKPENLLLDSYGNLKVSDFGLSALPEQGVGLLHTTCGTPNYVAPEVLGDQGYDGAAADVWSCGVILYVLMAGYLPFDETNLHTLYRKINAAEFSCPYWFSPEANSLIHKILDPNPKTRIQIGGIRKDPWFRRNYVPFKNGEDEEVSLDDVHAVFEDIEDQYVAERSESKDGGPLLMNAFEMITLSQGLNLSALFDRRQDYINRQTRFVSRKPAKVIISNVEAVAESMSLKVHTRNYKTRLEGISSDKAGQFAVVLEVYEVAPSLFMVDVRKATGDTLEYHKFYKNLCAKLEDIIWKPKEGMASSNLLRTMTC >ONH95733 pep chromosome:Prunus_persica_NCBIv2:G7:12199568:12202841:-1 gene:PRUPE_7G088200 transcript:ONH95733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGRYITESVILVAQCGGSVAYLVFVGQNLSSILNGYGFSVASCIFLLVPIEIGLSWIGSLSALAPFSVFASVCNLLAMLFVVKEDIQQAFEGEFSFSDRTAVTSSIGGLPFAGGVAVFCFEGFGMTLALEGSMRDKTSFPRLLGLALTGITLVYVLFGFAGYMAYGDQTRDSVTLNLPRNWSAVVIQIGLCLGLIFTFPIMLHPINEIIEGKLAKVKWFQKAHDNNDEYSTTRIGKFAIYMTRAMVVIQLAVLASCVPGFAVFASLVGSTVCALISFVLPATFHLALLDSSLKLWQRTLDFSILLCGMLFAAYGTYNAIVGV >ONH95732 pep chromosome:Prunus_persica_NCBIv2:G7:12199569:12202841:-1 gene:PRUPE_7G088200 transcript:ONH95732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGGGQSKAVPLLDKPAGASAAQTLGNIIVSVVGTGVLGLPFALRIAGWLAGSLGVIIAGLGTYYCMLLLVECREKLASVEDSANRKTYGDLGYGCMGRTGRYITESVILVAQCGGSVAYLVFVGQNLSSILNGYGFSVASCIFLLVPIEIGLSWIGSLSALAPFSVFASVCNLLAMLFVVKEDIQQAFEGEFSFSDRTAVTSSIGGLPFAGGVAVFCFEGFGMTLALEGSMRDKTSFPRLLGLALTGITLVYVLFGFAGYMAYGDQTRDSVTLNLPRNWSAVVIQIGLCLGLIFTFPIMLHPINEIIEGKLAKVKWFQKAHDNNDEYSTTRIGKFAIYMTRAMVVIQLAVLASCVPGFAVFASLVGSTVCALISFVLPATFHLALLDSSLKLWQRTLDFSILLCGMLFAAYGTYNAIVGV >ONH98803 pep chromosome:Prunus_persica_NCBIv2:G7:22004173:22007024:1 gene:PRUPE_7G266900 transcript:ONH98803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVKAQKSKAYYKRFQVKYKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDLVLAAAYAHELPSYGLEVGLTNYAAAYCTGLLLARRVLKKLEMDDEYEGNVEATGEDYSVEPAESRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFSKDSKQLDPEVHRKYIYGGHVAAYMRVRDEPEKYQTHFSDYIKKGIEADNIEAVYKKVQAAIRADPTAKKIEKQPPKEHKRYNLKKLTYEERKNKLIERLNAFNSAAQDHDDDE >ONH98804 pep chromosome:Prunus_persica_NCBIv2:G7:22003960:22007240:1 gene:PRUPE_7G266900 transcript:ONH98804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVKAQKSKAYYKRFQVKYKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDLVLAAAYAHELPSYGLEVGLTNYAAAYCTGLLLARRVLKKLEMDDEYEGNVEATGEDYSVEPAESRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFSKDSKQLDPEVHRKYIYGGHVAAYMRTLMEDEPEKYQTHFSDYIKKGIEADNIEAVYKKVQAAIRADPTAKKIEKQPPKEHKRYNLKKLTYEERKNKLIERLNAFNSAAQDHDDDE >ONH98805 pep chromosome:Prunus_persica_NCBIv2:G7:22004173:22007024:1 gene:PRUPE_7G266900 transcript:ONH98805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVKAQKSKAYYKRFQVKYKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFDIVAQIVSASIAGDLVLAAAYAHELPSYGLEVGLTNYAAAYCTGLLLARRVLKKLEMDDEYEGNVEATGEDYSVEPAESRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFSKDSKQLDPEVHRKYIYGGHVAAYMRTLMEDEPEKYQTHFSDYIKKGIEADNIEAVYKKVQAAIRADPTAKKIEKQPPKEHKRYNLKKLTYEERKNKLIERLNAFNSAAQDHDDDE >ONH94416 pep chromosome:Prunus_persica_NCBIv2:G7:2198227:2200165:1 gene:PRUPE_7G014900 transcript:ONH94416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQISMNLQIMKPSACMSKHKQVAGLKESLSKILKLSPTIAGVTLLSLGNGASDVFSSIVSFTRSGDGDVGLNSVLGGAFFVSSIVVGVISILITPQQIAVDEASFVRDVIFFLFSLSSLLAIIVIGRINLWGAISFLAIYFVYVGTVSATQLYKRKNRELLGDRFSTSLAASKNLPVLQSFDDLGDSTSTPLLGYVDEDKPIFDTNSKASLDVHQEQNSGFSYLGTLIYILELPLYLPRRLTIPVVSEERWSKPYAVISVTLAPVLLAALCNTQRENLSSRGKLVTYMTAGLIGLVLGNLAYVSTKTWGPPKKCLFPWLVGGFFMSVAWTYIIAEELVCLLESYGNILGISPSILGLTVLAWGNSLNDLIANVAMAVNGGPDGAQIAISGCYAGPMFNTLVGVGISLVFSSWSEYPSSYVIPIDHSLYETIAFLMGGLLWALVILPKKDMKLDRYMGIGLVAIYLCFLFLRMAMLLVF >ONH94415 pep chromosome:Prunus_persica_NCBIv2:G7:2198271:2200162:1 gene:PRUPE_7G014900 transcript:ONH94415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSILQPKKLSLLILNISFLFLLCLSLFTTHLHSLSHHSSGLLGQSNNTNLSEIKLVDGCTNLHELTDYETKCLYVKTQTGCRPKGYINYLQIFYCNLESLSKILKLSPTIAGVTLLSLGNGASDVFSSIVSFTRSGDGDVGLNSVLGGAFFVSSIVVGVISILITPQQIAVDEASFVRDVIFFLFSLSSLLAIIVIGRINLWGAISFLAIYFVYVGTVSATQLYKRKNRELLGDRFSTSLAASKNLPVLQSFDDLGDSTSTPLLGYVDEDKPIFDTNSKASLDVHQEQNSGFSYLGTLIYILELPLYLPRRLTIPVVSEERWSKPYAVISVTLAPVLLAALCNTQRENLSSRGKLVTYMTAGLIGLVLGNLAYVSTKTWGPPKKCLFPWLVGGFFMSVAWTYIIAEELVCLLESYGNILGISPSILGLTVLAWGNSLNDLIANVAMAVNGGPDGAQIAISGCYAGPMFNTLVGVGISLVFSSWSEYPSSYVIPIDHSLYETIAFLMGGLLWALVILPKKDMKLDRYMGIGLVAIYLCFLFLRMAMLLVF >ONH97647 pep chromosome:Prunus_persica_NCBIv2:G7:18867984:18873636:1 gene:PRUPE_7G203400 transcript:ONH97647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRRRKGKASDSQPAPIEDESEKEQENQKHKQKQKLPSKDGGKPQKWSCVDSCCWFIGFICSIWWFLLFLYNAMPASFPQFVTEAITGPLSDPPGVKLRKEGLMAKHPVVFVPGIVTGGLELWEGHQCADGLFRKRLWGGTFGELYKRPLCWVEHMSLDNETGLDPSGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRIKSNIQLMVATNGGNKVVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGSDWCAKHIKAVVNIGGPFLGVPKAVAGLFSIEARDIAVARAFAPGVLDKDVFGLQTLQHMMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEGYCNCSAKKVKNNDTNTAAENRVNYGRIISFGKDVAETHSSKIEMIDFRDAVKGNNLANATHCDVWTEYHEMGVGGVKAVADYKVYTTESVLDLFRFVAPKLMTRGDAHFSYGIADNLDDPEYEHYKYWSNPLETKLPNAPDMEIYSLYGVGIPTERAYVYKLTPTAECYIPFQIDASAEGGSENPCLKGGVFSSDGDETVPVLSAGFMCAKGWRGKTRFNPSGIPTYIREYDHAPPANLLEGRGTQSGAHVDIMGNFALIEDVLRVAAGAKGEDLGGDKVHSDIFKWSENIDLDL >ONH98435 pep chromosome:Prunus_persica_NCBIv2:G7:21107091:21108696:-1 gene:PRUPE_7G248900 transcript:ONH98435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSKLHALFFICLLFISSATPILGCGTCGKPPPKHKPKPKTPKGPIPPIHVKPPVVKPPVTIPPIVKPPVTIPPIVKPPVTIPPIVKPPVTIPPIVKPPVTIPPIVKPPVTIPPIIPPVVKPPVTIPPIVKPPVTIPPIVKPPVTIPPIIPPVVKPPVTIPPIIPPVVKPPVTIPPIIPPVTIPPIIPPVTIPPIIPPVVKPPVTIPPITVPPILKPPVTLPPIVPPGIIPPVIGGGPPGKKPPSPGTPCPPPAESPGAKDTCPIDTMKLGACVDLLGGLVHIGQGDPVVNECCPVLQGLVELEAAMCLCTTLKMKLLNLNIFVPIALQLLVTCGKSPPPGYTCSL >ONH98436 pep chromosome:Prunus_persica_NCBIv2:G7:21106835:21108818:-1 gene:PRUPE_7G248900 transcript:ONH98436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSKLHALFFICLLFISSATPILGCGTCGKPPPKHKPKPKTPKGPIPPIHVKPPVVKPPVTIPPIVKPPVTIPPIVKPPVTIPPIVKPPVTIPPIVKPPVTIPPIVKPPVTIPPIIPPVVKPPVTIPPIVKPPVTIPPIVKPPVTIPPIIPPVVKPPVTIPPIIPPVVKPPVTIPPIIPPVTIPPIIPPVTIPPIIPPVVKPPVTIPPITVPPILKPPVTLPPIVPPGIIPPVIGGGPPGKKPPSPGTPCPPPAESPGAKDTCPIDTMKLGACVDLLGGLVHIGQGDPVVNECCPVLQGLVELEAAMCLCTTLKMKLLNLNIFVPIALQLLVTCGKSPPPGYTCSL >ONH97463 pep chromosome:Prunus_persica_NCBIv2:G7:18288474:18291491:-1 gene:PRUPE_7G191200 transcript:ONH97463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRKPEMEKTVAHSQSQPQSQIEIVNIEDDDAFCFTPISLKRSAEHLEEERGLQSIKAGNFIDLSDETLDFYDDDDELRILRFKPSNTPFGKRTKPFTGPSVTEAGQSSNSNENPSFVCEICVETKPGNQLFGVENCSHGYCTDCTVNYVASKLQENITNIRCPVPDCKGLLEAEYCRPILPPEVFDRWGSVLCESVILGSEKFYCPYKDCSAMLIDDGKEVIRQSQCLNCWRMFCAQCKVPWHEEIECEEFQKLNKDEREKEAVMLKNLAQQKQWRRCPNCKFYVEKSEGCMFMMCRCKTAFCYRCGKILKANHGHNCPNCRGR >ONH94535 pep chromosome:Prunus_persica_NCBIv2:G7:3271130:3279580:-1 gene:PRUPE_7G021500 transcript:ONH94535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCKSPYVVLLLYVLVFFVYQSFAFGVDTNQTAKLLVDASEASGRPISETMFGIFFEEFNNAGAGGLWAELVSNRGFEAGGPNVPSNIDPWSIIGNESSLIVSTDRSSCFDRNKVALRMEVLCDSQGANSCPDDGVGIYNPGFWGMNIEKGKTYSVVLYVRSSGSINVSVSLTGSDGLQKLAAANIIASGSEVSNWKKFKVMLEAQGTNPNSRLQLTTTRKGFIWFDQVSVMPLDTYKGHGFRKDLVQLLEDLKPQFMRFPGGCFVEGAWLRNAFRWKETIGPWEERPGHFGDVWMYWTDDGLGYFEFLQLAEDLGTLPIWVFNNGISHNDQVDTASVLPFVQEALDGLEFARGNPNSTWGSLRAAMGHPEPFDLRYVGIGNEDCGKKNYLGNYLKFYSAIKRAYPDIKIISNCDGSSQKLDHPADLFDFHFYTDDAKIMSSMAHQFDHTSRSGPKAFVSEYAVTTGSLLAALGEAGFLIGLEKNSDVVEMASYAPLFSNDRRANFAAIVFNSSHLYGTPSYWVQCLFNESSGATIFNATLKTNSSTDQVEQLLASAISWKNSENENSYLRIKIVNLGTNIVNLKIVVDGLEPNSINLSESTKTVLTSTNVMDENSLNEPKKVIPNRSLLEKAGEDGEDVEVVISPNSFTSIDFLIESS >ONH94536 pep chromosome:Prunus_persica_NCBIv2:G7:3270765:3280285:-1 gene:PRUPE_7G021500 transcript:ONH94536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCKSPYVVLLLYVLVFFVYQSFAFGVDTNQTAKLLVDASEASGRPISETMFGIFFEEFNNAGAGGLWAELVSNRGFEAGGPNVPSNIDPWSIIGNESSLIVSTDRSSCFDRNKVALRMEVLCDSQGANSCPDDGVGIYNPGFWGMNIEKGKTYSVVLYVRSSGSINVSVSLTGSDGLQKLAAANIIASGSEVSNWKKFKVMLEAQGTNPNSRLQLTTTRKGFIWFDQVSVMPLDTYKGHGFRKDLVQLLEDLKPQFMRFPGGCFVEGAWLRNAFRWKETIGPWEERPGHFGDVWMYWTDDGLGYFEFLQLAEDLGTLPIWVFNNGISHNDQVDTASVLPFVQEALDGLEFARGNPNSTWGSLRAAMGHPEPFDLRYVGIGNEDCGKKNYLGNYLKFYSAIKRAYPDIKIISNCDGSSQKLDHPADLFDFHFYTDDAKIMSSMAHQFDHTSRSGPKAFVSEYAVTTGSLLAALGEAGFLIGLEKNSDVVEMASYAPLFSNDRRL >ONH97314 pep chromosome:Prunus_persica_NCBIv2:G7:17809266:17812107:1 gene:PRUPE_7G183400 transcript:ONH97314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSTNSRYKYLHDCKWPREAVDVHHIIVRKSGAKGFFVYFFALIILATAFYLFLVKEKSVIIVLWSLLLDAFLVKLLRKSVEKESVVVMPAFGVQLETHYVSGKIIRRFVPMDKILKPVLLECVTPVTCYWSLSFIVHGEAELVLVFKELRPPMKMLVPIWKALCAATGIKGSSNTTMEDG >ONH97812 pep chromosome:Prunus_persica_NCBIv2:G7:19346283:19353155:1 gene:PRUPE_7G212100 transcript:ONH97812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSEKARSFLLTYAGITLRTLHNHASAMRLRSSTTLALLLLLLCSFVAVPTGSAESNESNSVVEAANAESINSSRGRSTEEDSFADMIDRALEREFPENERNQATDDGGFNNSVNEQQAVLETVARVKSKKNDSKEEKSFQFQDVFHLDNENGEEDMPTLIDRKDNVFIMSNRKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSVIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSATKLRVVRIVAVLGGLLQIFLFMCLCGITASLCGGRPSEGVFVGAFLSMSSTAVVLKFLMERNSIGALHGQVVVGTLILQDCAVGLLFALLPVLGGSSGILQGVISMTKSLVVLLIFLATLSILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIIIKTIVVASVVKGFGYNNKTCLLVGMSLAQIGEFSFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSPDNPTVIGFKGESYRSDSAKRIAMMVQGSHDS >ONH97814 pep chromosome:Prunus_persica_NCBIv2:G7:19346283:19353155:1 gene:PRUPE_7G212100 transcript:ONH97814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSEKARSFLLTYAGITLRTLHNHASAMRLRSSTTLALLLLLLCSFVAVPTGSAESNESNSVVEAANAESINSSRGRSTEEDSFADMIDRALEREFPENERNQATDDGGFNNSVNEQQAVLETVARVKSKKNDSKEEKSFQFQDVFHLDNENGEEDMPTLIDRKDNVFIMSNRKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSVIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSATKLRVVRIVAVLGGLLQIFLFMCLCGITASLCGGRPSEGVFVGAFLSMSSTAVDCAVGLLFALLPVLGGSSGILQGVISMTKSLVVLLIFLATLSILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVILVIIIKTIVVASVVKGFGYNNKTCLLVGMSLAQIGEFSFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSPDNPTVIGFKGESYRSDSAKRIAMMVQGSHDS >ONH97813 pep chromosome:Prunus_persica_NCBIv2:G7:19346282:19353155:1 gene:PRUPE_7G212100 transcript:ONH97813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSEKARSFLLTYAGITLRTLHNHASAMRLRSSTTLALLLLLLCSFVAVPTGSAESNESNSVVEAANAESINSSRGRSTEEDSFADMIDRALEREFPENERNQATDDGGFNNSVNEQQAVLETVARVKSKKNDSKEEKSFQFQDVFHLDNENGEEDMPTLIDRKDNVFIMSNRKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSVIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSATKLRVVRIVAVLGGLLQIFLFMCLCGITASLCGGRPSEGVFVGAFLSMSSTAVVLKFLMERNSIGALHGQVVVGTLILQDCAVGLLFALLPVLGGSSGILQGVISMTKSLVVLLIFLATLSILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVGMSLAQIGEFSFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSPDNPTVIGFKGESYRSDSAKRIAMMVQGSHDS >ONH94387 pep chromosome:Prunus_persica_NCBIv2:G7:1887668:1894527:-1 gene:PRUPE_7G013300 transcript:ONH94387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLDQAMVHQDIAQNLKKLVSEQDCPHLLFYGPSGSGKKTLIIALLRQIFGPSADKVKVENRAWKVDAGSRTIDIELTTLSSTNHIELNPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKVLVLNEVDKLSTEAQHSLRRTMEKYSAYCRLILCCNSSSKVTEAIRSRCLNVRINAPTEERIMKVLEFIGKKEGLQLPSGFAARIAEKSNRSLRRAILSLETCHVQQYPFTSNQAIPPMDWEEYVSEIASDVMKEQSPKRLYQVRQKLYELLLNCIPPEIILKRLLYELLKKLDAELKHEVCHWAAYYGTGAMSCWG >ONH94385 pep chromosome:Prunus_persica_NCBIv2:G7:1887556:1894563:-1 gene:PRUPE_7G013300 transcript:ONH94385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLDQAMVHQDIAQNLKKLVSEQDCPHLLFYGPSGSGKKTLIIALLRQIFGPSADKVKVENRAWKVDAGSRTIDIELTTLSSTNHIELNPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKVLVLNEVDKLSTEAQHSLRRTMEKYSAYCRLILCCNSSSKVTEAIRSRCLNVRINAPTEERIMKVLEFIGKKEGLQLPSGFAARIAEKSNRSLRRAILSLETCHVQQYPFTSNQAIPPMDWEEYVSEIASDVMKEQSPKRLYQVRQKLYELLLNCIPPEIILKRLLYELLKKLDAELKHEVCHWAAYYEHRMRLGQKAIFHLEAFVAKFMSIYKGFLISAFG >ONH94386 pep chromosome:Prunus_persica_NCBIv2:G7:1887668:1894521:-1 gene:PRUPE_7G013300 transcript:ONH94386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLDQAMVHQDIAQNLKKLVSEQDCPHLLFYGPSGSGKKTLIIALLRQIFGPSADKVKVENRAWKVDAGSRTIDIELTTLSSTNHIELNPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKVLVLNEVDKLSTEAQHSLRRTMEKYSAYCRLILCCNSSSKVTEAIRSRCLNVRINAPTEERIMKVLEFIGKKEGLQLPSGFAARIAEKSNRSLRRAILSLETCHVQQYPFTSNQAIPPMDWEEYVSEIASDVMKEQSPKRLYQVRQKLYELLLNCIPPEIILKRLLYELLKKLDAELKHEVCHWAAYYVSILFILDENAYYEHRMRLGQKAIFHLEAFVAKFMSIYKGFLISAFG >ONH98305 pep chromosome:Prunus_persica_NCBIv2:G7:20761684:20764059:-1 gene:PRUPE_7G241700 transcript:ONH98305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRQLNFKTLQRIPKQRYASCSYFHSFRHEHQPFDQNPQPNAASNNRSMLNYLHRNLPLRALDMFCNQLQLHLSHNIDEVTVTLAVKACQGDPKPGCQIHGLAVSSGFSSYTTVSNSLMSMYTKAGQLDGALLIFETMCYTDIVSWNTILSGFRTSEGALNFALRMNLNGVVFDPVTYTTVLAFCADHEDFLFGLQLHSLIFRSGLDGEVFVGNALISMYSRWRWLIEARSVFDEMANKDLVSWNAILSGYSQEGNHGLEAIFVFIEMVREGVGLDHVSFTSAVSACGHEMNLELGKQIHGLTIKSGYGSHVSVCNVLISTYSKCEVTEDAKLVFHCMNGRNVVSWTTMISIDEEDAISLFNEMRLDGVYPNDVTFVGLIHAISIRKLVEEGKMIHGFCIKTRFLSKHNVCNSLITMYAKFESMHDSIKVFEELNSREIISWNALISGFAQNRLCQDALKTFLVATVESKPNNYTFGSVLSAIGDAQDISLKFGQRCHSSLIKLGLVTDPIIAGALLDMYAKRGSICESKRVFSETPHKSQFAWTAIISAYAGHGDYDSVIELFKEMEKEGVRPDSVTFLSILTACSRKGMVEMGRHFFHSMVKDYHIEPSPQHYSSIVDMLGRAGKLEEAEELMSQIPGQPGFSLLQSLLGACRIHGNVEMAERVADTLMRLEPMESGSFVLMSNLYAEKGDWEMVAKVRKGMRDKGVRKEVGYSWVDTGDADVSLYLHGFSSGDTSHPQSGEICRMAKCLGLEMKILRENMWETKSLKMDSSSRPSL >ONH96458 pep chromosome:Prunus_persica_NCBIv2:G7:15023238:15024598:1 gene:PRUPE_7G130800 transcript:ONH96458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPQMSTAIVRPTSHDHLKQRLIDHSSQTLNIFYPLAGRLAAVESQDKAGTCFSINCNGDGALFVHAAADDDIVSNLFSMNGVLNYEGVPKPLLAVQVTELVDGIFIGCTMNHCVVDGSSFWHFFNTWEKTAQIKSKANAEMGTHNISSLQALMAHLWRAVTRASHLNLDQEIIYKIEVGLRQKLKPPLPKEYLGNALQGVHVKSTAGELLQHELGWAALHINKTIASLTAEQVMKVLEDWAKTPTVSSKLRENIPTSTTSSIFSLLTGSSPRFNVYGNDFGWGRPVAVQSGSENKMNEGSIDFEVCLLPETLHAMAEDAEFMEAVLT >ONH94162 pep chromosome:Prunus_persica_NCBIv2:G7:237546:242298:1 gene:PRUPE_7G001800 transcript:ONH94162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEEKPRGACWVWGLKKIEVRGGAFVWEWRVVVMGNGRRRKTRGQAGLGGEKHERGSVGWEWGMVVMGAWGYGRRRKMYGVLGLCNDDYVAMICDHRSKIKRSICNGILKLLDSQLINLNFLFSSKDEDSQLKAIDFGLLDFVKLGWSSKLQVEVRWAVEETTKMSLMTKGYFHNLLDMLLDTTHMCHFNCVYELVIIIDWPR >ONH97886 pep chromosome:Prunus_persica_NCBIv2:G7:19553809:19556347:1 gene:PRUPE_7G216300 transcript:ONH97886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILIEQPDHQLGSQMEEKKLCSETNETCELVLDGGFVVPKDISKNDGCVTQEIAASNDAFIAPEINSFGQSFRDYNAESERQKSVEEFYKSNHINQTFDFVKKMREEYSKLNRVEMSIWECCELLNEVVDDSDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTALIHDLGKVLLLPSFGELPQWAVVGDTHPLGCAFDESIVHHKYFKENPDYNNASFNTKYGIYSEGCGLDNVMISWGHDDYMYLVAKENGTTLPQAGLFIVRYHSFYPLHRAGAYRHLMNKEDEENLKWLQVFNKYDLYSKSKVRVDVEKVKPYYLSLIEKYFPAKLRW >ONH97755 pep chromosome:Prunus_persica_NCBIv2:G7:19113515:19114276:-1 gene:PRUPE_7G208000 transcript:ONH97755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSSLTHSHTAASLLSIASLFRLSYHFPSTTEETKPTELFKIAN >ONH96349 pep chromosome:Prunus_persica_NCBIv2:G7:14533206:14539582:-1 gene:PRUPE_7G122500 transcript:ONH96349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFKAPILASVAVLLSLSVVYCGLPATFLSLERAFPPSHRVQLDHLRARDRVRHARLLQNVAGGVVDFSVEGTSDPYVVGLYFTKVKLGSPPKEFNVQIDTGSDILWITCNSCSDCPQSSGLGIELNLYDSASSSTAGLIPCSDPMCTSSFQTSSTECSRQSNQCSYTFQYGDGSGTTGYYVSDALYFDMILGQSYIANSSAFVVFGCSTYQSGDLTKTDKAVDGIFGFGQGALSVISQLSSRGVTPKVFSHCLKGDGNGGGILVLGEILEPNIVYSPLVPSQQHYNLNLQSIAVNGQILPIDQAAFTTSNSRGTIVDSGTTLTYLVEEAYDPFVSAITSAVSQSVTPIISKGNQCYLVSTSLAEVFPPVSLNFAAGASMVLKPEEYLMRTGSSEGAAVWCIGFQKVQGGVTILGDLVLKDKIFVYDLAHQRIGWANYDCSLSVNVSVTSSKDEYINAGQLSESSSSGDMLFKLLTTGIVVFLMHILVFVEFHFL >ONH96350 pep chromosome:Prunus_persica_NCBIv2:G7:14533192:14538284:-1 gene:PRUPE_7G122500 transcript:ONH96350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGFLELYFTKVKLGSPPKEFNVQIDTGSDILWITCNSCSDCPQSSGLGIELNLYDSASSSTAGLIPCSDPMCTSSFQTSSTECSRQSNQCSYTFQYGDGSGTTGYYVSDALYFDMILGQSYIANSSAFVVFGCSTYQSGDLTKTDKAVDGIFGFGQGALSVISQLSSRGVTPKVFSHCLKGDGNGGGILVLGEILEPNIVYSPLVPSQQHYNLNLQSIAVNGQILPIDQAAFTTSNSRGTIVDSGTTLTYLVEEAYDPFVSAITSAVSQSVTPIISKGNQCYLVSTSLAEVFPPVSLNFAAGASMVLKPEEYLMRTGSSEGAAVWCIGFQKVQGGVTILGDLVLKDKIFVYDLAHQRIGWANYDCSLSVNVSVTSSKDEYINAGQLSESSSSGDMLFKLLTTGIVVFLMHILVFVEFHFL >ONH95293 pep chromosome:Prunus_persica_NCBIv2:G7:10088267:10090925:-1 gene:PRUPE_7G061800 transcript:ONH95293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAVLQRWRVPEEEHADTLSLVKAVGYDMAYMFAYSMREKTHAHRNYVDDVPEKVKQRRLTELIEAFRESTGQCYGN >ONH94382 pep chromosome:Prunus_persica_NCBIv2:G7:1823589:1825028:-1 gene:PRUPE_7G013100 transcript:ONH94382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPAHLVFIPSPAIGHIVSTVEIAKQLVARDDHLFITVLLITKLPAVEDDQHKYFITDNTRDSSVSSQQRISFVNLPEEAGPTTASSFSVVEDRKPLIRDAIAKLADSKATRLAGLVIDMLCTAIVDVANELGLPSYIFYTSNAASLGFMLDLQRLRDESGGKHVAELMGPAAELVVPSFACPVPARVLPGILLDKEGAESFLSHARRFRETKGILVNTFLDLEPHALRSLSDSQSQTPPVFPVGPLLNLKSNDLPQGRGGDHANQKSDIFDWLDDQPPSSVVFLCFGSMGSFVEAQVREIACALERTGFPFLWSLRQPPQEGNWIAMPKDHADPKSVLPQGFLDRTAGIGKVIGWAPQVAILAHRAIGGFVSHCGWNSTLESLWYDVPVGAWPLYAEQPLNAFELVKELGLAVDINIDAKDNQLVVNAEEIERGIRHVMKHDSDIRERVKEMSQQSKKALMDGGSSYSSLGRFIDQI >ONH96802 pep chromosome:Prunus_persica_NCBIv2:G7:16318321:16323912:1 gene:PRUPE_7G153200 transcript:ONH96802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYNNNNNNNDAFMRSQNAAVQGRTKAQNRANVLQLKLIGQSHPTGLTTNLLKLFEPRPPLDFKPPPEKRKCPPLTGIAQFVSKFAEPGDPEYTAPVQKGETPTQRRARIHQLRLDKGAAKAAEELEKYDPNNDPNISGDPYKTLFIARLNYESTESRIKREFDVYGPIKRVRLVSDKETNKPRGYGFIEYMHTRDMKAAYKQADGRKIEGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRYSGREQVQSGGQPRSEEPRVREERHVDLDREKSRERGKEKDKEREREKSRERSRERSHDKPRDRDHREDRHHRDRDRTRDRDRDRDRERDRGRDRDRTRERGRDRGRDYERDRETRDRDRDRPRERDRDYEVGDPEHDRGRSRDKESDYDHRTESKHERDRHGERERDYDHSAQEDGQGWFEQPEHGHRRSDPDQDAEPYEHHRSQYDQLDVQHDHDRYKQYPDRGHDRYDRMEEDDYHYEQAPVESRERDRDGDVERDYRRSSRSLSRDYNEY >ONH96803 pep chromosome:Prunus_persica_NCBIv2:G7:16318521:16323789:1 gene:PRUPE_7G153200 transcript:ONH96803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYNNNNNNNDAFMRSQNAAVQGRTKAQNRANVLQLKLIGQSHPTGLTTNLLKLFEPRPPLDFKPPPEKRKCPPLTGIAQFVSKFAEPGDPEYTAPVQKGETPTQRRARIHQLRLDKGAAKAAEELEKYDPNNDPNISGDPYKTLFIARLNYESTESRIKREFDVYGPIKRVRLVSDKETNKPRGYGFIEYMHTRDMKAAYKQADGRKIEGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRYSGREQVQSGGQPRSEEPRVREERHVDLDREKSRERGKEKDKEREREKSRERSRERSHDKPRDRDHREDRHHRDRDRTRDRDRDRDRERDRGRDRDRTRERGRDRGRDYERDRETRDRDRDRPRERDRDYEVGDPEHDRGHYDHRTESKHERDRHGERERDYDHSAQEDGQGWFEQPEHGHRRSDPDQDAEPYEHHRSQYDQLDVQHDHDRYKQYPDRGHDRYDRMEEDDYHYEQAPVESRERDRDGDVERDYRRSSRSLSRDYNEY >ONH97322 pep chromosome:Prunus_persica_NCBIv2:G7:17826240:17829716:-1 gene:PRUPE_7G183700 transcript:ONH97322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSEDDDEGIDSYRKGGYHAVRVGDQFAGGRYVAQRKLGWGQFSTVWLAYDTTTSTYVALKIQKSAAQFAQAALHEIEVLSNIADGDPTNSKCVVRLIDHFKHAGPNGQHQCMVLEFLGDSLLRLIKYNRYKGLKLNQVREICKCILIGLDYLHRELGIIHTDLKPENILLFTTIDPAKDPVRSSLTPILERPEGNLNGGATMNLIEKKLKRRARRAVAKISMRRESMGGVEALKSERNMDGIDVRCKVVDFGNACWANKQLTEEIQTRQYRAPEVVLRSGYSYSVDMWSFACTAFELATGDMLFAPKMGQGFSEDEDHLALMMELLGKMPRKVAIAGARSKDFFDRHGDLKRIRRLKFWPLDKILIDRYKFSETDAREFAEFLCPIFDFSPEKRPTAQQCLQHPWLNRSTSSQSEMKNKSKMEKVNVGMSNLQIKVGK >ONH95493 pep chromosome:Prunus_persica_NCBIv2:G7:11146101:11151079:-1 gene:PRUPE_7G074200 transcript:ONH95493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSSSSMTESGSSSSSSPPNSSTESLNGLKFGQKIYFEDASLGASYKSGSAGSSSSSGATPPKKQRAGHLAHPGQPPRCQVEGCQVDLSDAKAYYSRHKVCGLHSKTPKVIVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPQPGSVSTRFGRLSSSLFENSNKVGSFLMDFTAYPRPADRDARATTRTERVPVNQNANGTGKFLQHAWQSNSVTTSGRYLQGSAGGTSYPDPGIPPRECITGIADSSCALSLLSNQPCGSRNRVSGVGMNAYLNTWMNTQGVTVAQPMAHAGTSNHFPTTSWGFKGNEAGSSSHEMLPNLGLGQISQPLSSQYSGMLELSQQNRRQQQHMELGHTRAYDSSTNQQMHWSL >ONH94845 pep chromosome:Prunus_persica_NCBIv2:G7:6417056:6422102:-1 gene:PRUPE_7G034700 transcript:ONH94845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLVRENLFLGNIRDATEVIRNGSKEITHILCAIPTKEIKVYDAGSVGGSASVGDGSISFRAGKDLKLERLGVPLRDRHDENLLDYLDACVDFIDKGRKKGSVLVHCFAGVSRSASIITAYLMRTEHLSQEDALGSLRQSCEFVCPNDGFLHQLKMYEEMGFKVDRASPIYKSFRLKVLGESYHHGDKIDSSKFGADPGLPGEVASGVKTAQNGGKTGAPAFRCKKCRRIVALQDNVVDHIPGEGEKSFEWRKRKSSNLSEDSECSSIFVEPQRWMTAVDEGALEGKGKLSCAHCEARLGYFNWSGSQCSCGSWITPAFQLHRSRVDVSTV >ONH94198 pep chromosome:Prunus_persica_NCBIv2:G7:435658:438823:1 gene:PRUPE_7G004000 transcript:ONH94198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEVVHAELVLPTHLSFKRIQMYEKYPKGQSRGRHWKHLKQIIQAENYQNYPPNEPNYVNIESPPSMHPCKRICDITGYEAPYYDPRTGLRYANADIFKLIRSLPNEYVQRYLALRNAAVVLK >ONH94197 pep chromosome:Prunus_persica_NCBIv2:G7:435661:439367:1 gene:PRUPE_7G004000 transcript:ONH94197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEVVHAELVLPTHLSFKRIQMYEKYPKGQSRGRHWKHLKQIIQAENYQNYPPNEPNYVNIESPPSMHPCKRICDITGYEAPYYDPRTGLRYANADIFKLIRSLPNEYVQRYLALRNAAVVLK >ONH94199 pep chromosome:Prunus_persica_NCBIv2:G7:435661:439367:1 gene:PRUPE_7G004000 transcript:ONH94199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEVVHAELVLPTHLSFKRIQMYEKYPKGQSRGRHWKHLKQIIQAENYQNYPPNEPNYVNIESPPSMHPCKRICDITGYEAPYYDPRTGLRYANADIFKLIRSLPNEYVQRYLALRNAAVVLK >ONH96749 pep chromosome:Prunus_persica_NCBIv2:G7:16126448:16128466:-1 gene:PRUPE_7G149400 transcript:ONH96749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGGCVPSKKKVPSSAANPDASHPNASQNAPPIPTDDNPNSMTPSRNDVVSAESHPNLHPAPKLRIFIVFYSMYGHVEDLARRMKKGVDGVDGVEGLLYRVPETLPSEVLEAMKAPPKDTEIPEILAAELAAADGVLFGFPTRYGSMAAQMKAFFDSTGQLWQEQSLAGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGAGMFKMDSVRGGSPYGAGVFAGDGTRGPSETELALAEHQGKYMAAVVKKLAQA >ONH97572 pep chromosome:Prunus_persica_NCBIv2:G7:18605659:18606231:1 gene:PRUPE_7G197600 transcript:ONH97572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELLKQPHLIEKATEELDRVIGRNRWVEERDLEQLPYVDAIMKETMRKHPVAVMLAPHLALEDCNAGGYDICKGTRVFINTWSMGRDPSLWDAPDEFRPERFLGKAIDVKGQSFELLPFGSGRRMCPEDLNMEEVFGLTTPRKFPLVAVMEPRLPTHLY >ONH94466 pep chromosome:Prunus_persica_NCBIv2:G7:2598972:2600487:-1 gene:PRUPE_7G018000 transcript:ONH94466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPYVPVSAFLVGQSLWLISTPMAQSRDIIKTNVVYETGELFELGIQLSYLLLLLAFLGIGTFFVIRQVLVRRELDLSAKELQEQVRSGDADATELFELGAVMLRRKIYPAAIKYLVQAIEKWDGDDQDLAQVYNALGVSYIREGKLAKGITQFETAVKLQPGYVTAWNNLGDAYEKRKDFKAALNAFEEVLLFDPNNKVAIPRRDTLKEQVKMYRDVPVKTKER >ONH94464 pep chromosome:Prunus_persica_NCBIv2:G7:2599023:2601345:-1 gene:PRUPE_7G018000 transcript:ONH94464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTSKNLQKFFENLLVTGLIKVMKRPYVPVSAFLVGQSLWLISTPMAQSRDIIKTNVVYETGELFELGIQLSYLLLLLAFLGIGTFFVIRQVLVRRELDLSAKELQEQVRSGDADATELFELGAVMLRRKIYPAAIKYLVQAIEKWDGDDQDLAQVYNALGVSYIREGKLAKGITQFETAVKLQPGYVTAWNNLGDAYEKRKDFKAALNAFEEVLLFDPNNKVAIPRRDTLKEQVKMYRDVPVKTKER >ONH94463 pep chromosome:Prunus_persica_NCBIv2:G7:2598694:2601561:-1 gene:PRUPE_7G018000 transcript:ONH94463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLTSKNLQKFFENLLVTGLIKVMKRPYVPVSAFLVGQSLWLISTPMAQSRDIIKTNVVYETGELFELGIQLSYLLLLLAFLGIGTFFVIRQVLVRRELDLSAKELQEQVRSGDADATELFELGAVMLRRKIYPAAIKYLVQAIEKWDGDDQDLAQVYNALGVSYIREGKLAKGITQFETAVKLQPGYVTAWNNLGDAYEKRKDFKAALNAFEEVLLFDPNNKVAIPRRDTLKEQVKMYRDVPVKTKER >ONH94462 pep chromosome:Prunus_persica_NCBIv2:G7:2598694:2602823:-1 gene:PRUPE_7G018000 transcript:ONH94462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLRTPPLRAPFVPLPTTVSPNLPKPSYVSCRQGQSSTAPYLTLSSLRFYHLPSLRSVKFVPMASHSQTQTSEIEEGVRELKEVEILREELSMEGLTSKNLQKFFENLLVTGLIKVMKRPYVPVSAFLVGQSLWLISTPMAQSRDIIKTNVVYETGELFELGIQLSYLLLLLAFLGIGTFFVIRQVLVRRELDLSAKELQEQVRSGDADATELFELGAVMLRRKIYPAAIKYLVQAIEKWDGDDQDLAQVYNALGVSYIREGKLAKGITQFETAVKLQPGYVTAWNNLGDAYEKRKDFKAALNAFEEVLLFDPNNKVAIPRRDTLKEQVKMYRDVPVKTKER >ONH94465 pep chromosome:Prunus_persica_NCBIv2:G7:2598694:2602817:-1 gene:PRUPE_7G018000 transcript:ONH94465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPYVPVSAFLVGQSLWLISTPMAQSRDIIKTNVVYETGELFELGIQLSYLLLLLAFLGIGTFFVIRQVLVRRELDLSAKELQEQVRSGDADATELFELGAVMLRRKIYPAAIKYLVQAIEKWDGDDQDLAQVYNALGVSYIREGKLAKGITQFETAVKLQPGYVTAWNNLGDAYEKRKDFKAALNAFEEVLLFDPNNKVAIPRRDTLKEQVKMYRDVPVKTKER >ONH96945 pep chromosome:Prunus_persica_NCBIv2:G7:16743007:16745301:-1 gene:PRUPE_7G161300 transcript:ONH96945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLSRRFSTVPIHKLLPQQLHQHQPQPTRFFIPFSEFQQKRKLLEHKLISDLDGCDNLSQVKEVHAHLLRHGLSQCCYVLTKLVRTLTKLGVPVDAYPRLVFVQVKYPNPFLWTAMIRGYTVQGPISEALNFYTCMRSAGTGPVSFTFSALFKACGDVLDVNLGRQIHAQTILVGGFAADLYVGNTMIDMYVKCGFLDCGRKVFDEMPDRDVVSWTELIVAYTKIGDMGSARELFEGLPVKDMVAWTAMVTGYAQNARPRDALDCFERMQGAGVGTDEITLVGLISACAQLGASKYANWVRDIAEKSGFGPTENVLVGSALIDMYSKCGSLDEAYKVFQGMKERNVFSYSSMILGFAMHGRANAAIELFHEMLTTEIRPNRVTFIGVLTACSHAGMVDQGRQLFATMEKYYNVVPSADHYTCMVDLLGRAGRLEEALELVETMPIAAHGGVWGALLGACHIHGNPDIAQIAANHLFELEPDSIGNHVMLSNIYASAGRWADVSRVRKMMKEKGLKKNPAYSWVETKKGVIHEFCAGETNHPEYAEIKKALDDLLNRLQAHGYQPNLNSAAYDLGIEERKRILMSHSEKLALAYALVAGREIVVRDNMRFHHFSNGKCSCGNFW >ONH95574 pep chromosome:Prunus_persica_NCBIv2:G7:11422076:11427084:-1 gene:PRUPE_7G078300 transcript:ONH95574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQHFSHKHPLTYKEEQKKEDGRLVFCNACGDPVLGPSYTCNKYPPGFTLHKSCAELPREIEHPLHRKHPLVLLTPTKYTCAACDQRYKASLAYNCSLCHFNLDLKCASNWQNIIENDRHEHTFTIFRKRIKFNCDACGSYGNGIPYFCSICQLLVHKRCTPSLPRDIKITGHQHSLMLTWSLEEIRRSNPFCKVCYTSMKKHRAVYSCQCCGYVAHTKCATHESFRDVTTTDIVEDQSKNMILGASQQINMVEYQRELAEHQIKHFSHQHLLALNDEVKDEDNKSRTCDGCIGPITNAYYSCTENERCHFFLHKTCAQLPTKLLHPLHPLPLTLLPWAPSTDRVFWCHLCRNLCQGFAYYCSKFDLYFDLECISLSESLTHEFYEDRLFFNRSWEKYYNYCHGCDTSIGETFARFSSLTNDGYHDIFNFCIQCVKVPLTAKHRYDDHPLKLIFKRDGYCEICEGKQYEREWLYSCEDCDFDCHTYCILGRYPKVKLGSTFKISAHPHLVTLIDKRKSVIPFDKRSSILPCQLCGGPCEGLVFECSKCHVNIHRSELYCIGRENELNKLQRPCIFTELQPNQYGRLVFCNMCGDPVVGPGYNGNKDPPNLTLHKSCAKLPREIQHPMHRKHPLILRKPRYYSMTKCDVCSQRCRDNHTYTCSQCDFNLDLKCASNWRNIGNYDCHDHQFTVLRKRMKFTCDACNKHGNGVAYLCSICQLLVHEECTSLPRQIRITAHQHPLVLKWSFGVVQPRNQFCRVCHKPMKKERAVYSCQHCSCIAHNRCVMKEDVRNEIIALEKERHGHTTTKIVDDESKATMLGEAQQGDDRIELAAQIKHFSHQHFLVLRDEVQKDDRITCDGCIEPITDAFYSCTKQEEDDCHFFLHKTCAQLPTERLHPFHPHLLKLLPKAPSTDGMFECHACSSFSHGFLYSCERCQFYLDLQCNTLSNSLTHPAHRHPLTFNTKDDKGQSYISSIRGILRRSNPSCRGCGDYSQPAVRFSCVNCNFHLCIQCIQLPLTASHRYDNHPLKLTHRRVKHELGECYCQICEGERDSTHWFYYCKDCDFDCHPHCVVGRYRHVKLGSTYKHAHQHLVTLVEKKKSVIPFDKRDNILPCEACGKPCEGLVFECSQCNINIHRTGYC >ONH95756 pep chromosome:Prunus_persica_NCBIv2:G7:12296588:12300677:-1 gene:PRUPE_7G089300 transcript:ONH95756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLKAPARLMIVSDLDHTMVDHHDTENLSLLRFNSLWEANYRHDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGNSMVPDNGWVEVLNKKWDRNVVKEEASKFSELKLQAETEQRPHKVSFYVEKDKAQAVTKALSEVYEKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLLKKFKTEGSPPVNTLVCGDSGNDAELFSIPEVYGVMVSNAQEELLHWHAENAKGNTRIIHATERCAAGIIQAIGHFKLGPNLPPRDIADFSDYKLENPNPGHEVVKFFLFYEKWRRAEVENSAVYLASLKADCCPSGTFVHPSGVEQSLPECINGLRSSYGDKQGKQFRVWVDGVLATQVGSDTWLVKFDKWELSGEERHATKTTAVISSKGSDVSDGFTWIRVHQTWYKGYEGKDDTTWHF >ONH95755 pep chromosome:Prunus_persica_NCBIv2:G7:12296612:12300568:-1 gene:PRUPE_7G089300 transcript:ONH95755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTFQSLTSSLSLVPPIPQKPNLNLHFPITSNPSFALKFPVQVCVGIRIIMDRLKAPARLMIVSDLDHTMVDHHDTENLSLLRFNSLWEANYRHDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGNSMVPDNGWVEVLNKKWDRNVVKEEASKFSELKLQAETEQRPHKVSFYVEKDKAQAVTKALSEVYEKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLLKKFKTEGSPPVNTLVCGDSGNDAELFSIPEVYGVMVSNAQEELLHWHAENAKGNTRIIHATERCAAGIIQAIGHFKLGPNLPPRDIADFSDYKLENPNPGHEVVKFFLFYEKWRRAEVENSAVYLASLKADCCPSGTFVHPSGVEQSLPECINGLRSSYGDKQGKQFRVWVDGVLATQVGSDTWLVKFDKWELSGEERHATKTTAVISSKGSDVSDGFTWIRVHQTWYKGYEGKDDTTWHF >ONH96106 pep chromosome:Prunus_persica_NCBIv2:G7:13668068:13670121:1 gene:PRUPE_7G108100 transcript:ONH96106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDNHAIGIDLGTTYSCVATWQADHVEILVNDHGNRTTRSYVTFTDSKMLVGDEAFNQAGRFPTNSIFDAKRLIGRRFSDETVQSDIKRWPFKVIEGKADKPMIVIKHKGQEKLFAAEDISSMVLAKMREIAEAFHCSKISEKKAVITVPSYFNDSQRQATLKAGKLAGLNVLRIINEPTAAAIAYGIDKKAGWFKKRNVMIFDWGGGTLDVSLLTIGHGVFDVKATAGDTHLGGEDLDNRMVNYCIEEFKTKQNVDIGGDAKALRKAKIACEKAKKALSFSFDTGIEIDSWYKGEDFHTTFTRDKFEELNMDIFNKCMEPVNKCLEDAKMDISEVDDVVLVGGSSRIPKVQELLQEVFKGKELCKSINPDEAVAYGAAVQAAALSGNVTGKLQDFTLLDVTPLSLGIESGKDGSSELFMNVVIPRNSRIPVRMTKTVTTRYDYQESVHFPIYEGENRIAKDNNFLGEFTLHGIPPAPRHVPKFNVYFDMDANGVLSVSAEDMSTGQKKGIKINGDRTKN >ONH94299 pep chromosome:Prunus_persica_NCBIv2:G7:1230203:1235196:-1 gene:PRUPE_7G009200 transcript:ONH94299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKTSAEDLQKVAADLRSSIWKQMADAGIKHIPSNTFSYYDHVLDTTALLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVEEYKEAKALGVDTIPVLVGPVSYLLLSKPAKGVEKSFSLLSLLGKIIPIYKEVISELKAAGASWIQFDEPTLVMDLDSHKLQAFTDAYSHLESTLSGLNVLIETYFADVPAEAFKTLTSLKGVTAYGFDLVRGTKTLDLIKGEFPKGKYLFAGLVDGRNIWANDLSASLSTLQTLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDQEIKSWLAFAAQKIVEVNALAKALAGHKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTIELRRVRREYKAKKISEEEYVKAIKEEISNVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSSAAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETTYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQVFFHFSVFFNCKHLFSRFFLITSIF >ONH94298 pep chromosome:Prunus_persica_NCBIv2:G7:1230158:1234950:-1 gene:PRUPE_7G009200 transcript:ONH94298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKTSAEDLQKVAADLRSSIWKQMADAGIKHIPSNTFSYYDHVLDTTALLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVEEYKEAKALGVDTIPVLVGPVSYLLLSKPAKGVEKSFSLLSLLGKIIPIYKEVISELKAAGASWIQFDEPTLVMDLDSHKLQAFTDAYSHLESTLSGLNVLIETYFADVPAEAFKTLTSLKGVTAYGFDLVRGTKTLDLIKGEFPKGKYLFAGLVDGRNIWANDLSASLSTLQTLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDQEIKSWLAFAAQKIVEVNALAKALAGHKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTIELRRVRREYKAKKISEEEYVKAIKEEISNVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSSAAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETTYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALSNLVAAAKLLRTQLASAK >ONH94297 pep chromosome:Prunus_persica_NCBIv2:G7:1230158:1235267:-1 gene:PRUPE_7G009200 transcript:ONH94297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKTSAEDLQKVAADLRSSIWKQMADAGIKHIPSNTFSYYDHVLDTTALLGAVPPRYGWNGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVEEYKEAKALGVDTIPVLVGPVSYLLLSKPAKGVEKSFSLLSLLGKIIPIYKEVISELKAAGASWIQFDEPTLVMDLDSHKLQAFTDAYSHLESTLSGLNVLIETYFADVPAEAFKTLTSLKGVTAYGFDLVRGTKTLDLIKGEFPKGKYLFAGLVDGRNIWANDLSASLSTLQTLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDQEIKSWLAFAAQKIVEVNALAKALAGHKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTIELRRVRREYKAKKISEEEYVKAIKEEISNVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSSAAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETTYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALSNLVAAAKLLRTQLASAK >ONH97793 pep chromosome:Prunus_persica_NCBIv2:G7:19292414:19300725:1 gene:PRUPE_7G210900 transcript:ONH97793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNDDSTAISVDHDEAGAHRSSGQWPTTEEILGPHDEQPATTFLRKWDIVFTISCVFAVFLDPFYPYITVLDEDRTCYHLDPYLLWPFFGLRSALDLFYFWDTISGQEPFEKSFKARNIQFLRQFVSARGVRVLPIPQFLLPAQYILRIHHTYKRLKWCANIETKIGRSLNAILDFLPFILAAHLYGALWYRLSLQREVDCWRHACHDKRVGCDLAQTAYGFYCGVNITSYKSRLNITHIKASCPINPPDPTIFDFGIYLYALQSNTIRSTSIPRNILQCFWWGLRNLSSLGSNLQTSLYWGEIVFTIFVSISGMVLFLLYLNKRIQVPMLENMNENVLKAICEHLKLVRYSEDKYIFREGEPLDKMLFISQGTAWSYPTNASGSSAIKCLVKGDFYGEELLNWASKLSSFSEFPKSTRVVKAHTKVEAFAIRANNLNIVVSTFWWHFSKKLDHIEDSQLEKWQSLAASSMQAKWRRRMQGRAMGVPGKKNISLKSIL >ONH96661 pep chromosome:Prunus_persica_NCBIv2:G7:15807921:15810343:1 gene:PRUPE_7G144200 transcript:ONH96661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRRKPSFFKALVHDFSSQLEIPPAFYVHFAGEVPRRSLLRTPAGTWNVNVEKVNDGFFFQKGWKEFVHDHGLKLCEFLIFRYAGNSKFDVDVYGRNGCAKEFVMAVSKNDRSLEEEHDNTIHGTQNVDGQGFGSADGTTTSQATCAIKNEVIDVDSDTSMDVDEPDTQEDQLNEDSEIGLPRELGSTEHFYSRTQMENPSEALEAAKKFSSKHPFFRAIMRQAYVDKGRLVIPKSFQTSCIGKKKYVRLQDSMRRWIVKCISRRNSSRLSAGWYQFVRDRALKVGDVCVFELIDRNDAVMKVSIFRCNS >ONH95880 pep chromosome:Prunus_persica_NCBIv2:G7:12663842:12665137:-1 gene:PRUPE_7G094000 transcript:ONH95880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEIDVPSFFICPISLEIMKDPVTITTGITYDRESIEKWLFLSKNNTCPVTKQVVSDSDITPNHTLRRLLQAWCTLNASHGIERIPTPKPPVNKTQIAKLFREAKKSPQCLSRCLGEIRSMASHSEANKRSIKAAGASEFLSSMIINNVRNNNNFESEEALSILYNLNLSEDALKTLVGKEGEFIDALIKLMQRGSYESRAYAVMLFRSMFEVADDPMKMTNLRPEFFIEVVQVLNDQISQQASKATLQLLIKLCPWGRNRIKAVEAGAVNVLIELLLDTSADRRTLEMTLMVLDMICACAEGRADLLNHGAGLAVISKKIMRVSKVGSERAVRILFSICKFSATPSVLQEMMQLGVVAKLCLVLQVDSGSKTKEKAREILKLHARTWKNSTCIPSSLVSSYPS >ONH94381 pep chromosome:Prunus_persica_NCBIv2:G7:1804166:1805332:-1 gene:PRUPE_7G013000 transcript:ONH94381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTATSSGKVRGGRGQNKRYWTTKEDNTLIECLMELHQNTNWRGDSGFKNGYLNKLETMLEVKLPNSGLKASPHIESRVKTLKGKYGALADALSQSGFGWNEEEMMLVCEKSVFDAWAKNNKDASGLYGKSFPHYYALGEIYGKDRAIGTNAGNADDDEEDVRRDDASVHQNRSVGDDFIEEMFSHPDGGSQYGGSEYDGSQFDDVEDLEDDETTFTQPNPQPSSAQQRAQQKRPAQDVASGPSNPRRKVNALNEMSNKFGLMAEAVAGMAPQLAGLVNVLSTEKDLANMQAKLGGELRKIEFLTPLQVFRITNILAKEHDLLRVFFTMTDEEKKDYVFNLMEHGL >ONH95914 pep chromosome:Prunus_persica_NCBIv2:G7:12793119:12795745:1 gene:PRUPE_7G095700 transcript:ONH95914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDLFSRAFRKKGYIPLSTYLKTYRIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEEFCLRKIKNDQLKAEAKAKGEVISTKRQPKGPKPGFRVEGAVMETVTPIPYDVVNDLKGGY >ONH96172 pep chromosome:Prunus_persica_NCBIv2:G7:13819988:13820263:-1 gene:PRUPE_7G111100 transcript:ONH96172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVDCRKILAHFKVLYSHSPKLNTKFETQFSSEMLWVMAKMTIERDINYTAILNCLHQIKTKGPHQNQNQANNFLQAKHADIFTPFHQNKS >ONH98118 pep chromosome:Prunus_persica_NCBIv2:G7:20227009:20231248:-1 gene:PRUPE_7G230400 transcript:ONH98118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGLLGRGFAAKCKSLIKLTKTRIDVIRRKRNATQKFLRKDIADLLANGLDINAFGRADGLTAEVILSSCYDFVERCCDLVLNHLSVMQKQSECPEECREPVSSLMSAAARFSDLPELRDLRQLFQERYENSLEYFVNQKFVENLVSKPPTLEKKVQLMKDIALEFSIKWDSKAFEQRMSKPPAFIQEKPKTYGSSHVPDNKGKLSNGASAVPKGDKHNFLPTERRLDAHKLHSDKDGTVLKTDEHNHQTRHRLVGKEYKSLNGREDTVVEKNGHGILFQERQEVVSHKYEAWNGKEDAPPKSVGLGSSSQAKGQERHHGRENNVPKRDCHEALPHVKPNVAGSHVKSNGKGSFADDNYGGQHNDARLASKEEEKPKVKNYGIPPPYMKPNVKAKGRKHETTLGSSHRSSGNDGITKDPVAYNIAFVDNILGGAQPGSDDEGERRAAAKVNNHDLEKDHAHRDDASSNHIPKPRSARRRHSRSRSSHNDAGNNEDAEVAMKKSRSRRRDETRRGLQLLFDDEHGKKDEEERRIDELLIHYSKKPSNFEPEMSRRKSKSRHPHHGGTGVGESPRHESRDESEMATPARSVSLPNKHSGPSEAAKVYARAVSFQPDRSNPARHVHPKLPDYEDLAAQFAALRGR >ONH96794 pep chromosome:Prunus_persica_NCBIv2:G7:16296740:16300206:1 gene:PRUPE_7G152600 transcript:ONH96794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIWERAVETALEGQSDHAAARALTLDGAVKCVQGRLPPPSLLEKFQNLQHLSIANVGVSSLEQFPRLRNLQKLILSDNRIAGGLEFLVEAGLDSLRDLDLSNNRIQHVEDLAPLAQLKLVSLDLYECPVTHVKDYRSRVFGLIKSLKYLDKMDAEENERPESDDEEDDEDDEEDDPGSGEIDGEDRPFRMTNGHSEAVEGVVDVDEDDESDADEEETVTATRVNGQNHQTANGFRVAAVAGEEGDEDEEGDDDEENDSGEEIDEEDVDDDDVVEVQEIEDSDDEEDGVEYDEEDDDDDDEDDEEEEVDNDEGDLAEPESTGRLTSTEGEIDGHEQGEDDADEDDNGETGEEEQLVEEDGEFEDEDGEEEEEDYGAGYLVQPVAQAEEEDAGGSDMDAGNEEGDGEEEEVEDDEDDEVQVLPPPSSSSSQMKRKRDEAADSDDNGEDDEEDDDVVEYSKSSKKHR >ONH94910 pep chromosome:Prunus_persica_NCBIv2:G7:7200351:7224057:1 gene:PRUPE_7G039100 transcript:ONH94910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSLAPIPQLVHCSNNGRSPAKPLRNGLFVVDFVGLYCKSKRTRRKFGTSEHRSFPQFVSRSYPVKAVLDLGRSDAALDQSAASPSSDLKPKVADLHDIIAERGACGVGFIANLENKASHGIIEDALTALGCMEHRGGCGADNDSGDGSGLMSSIPWDLFDNWANKQGISSFDKLHTGVGMVFLPKDDDLMKEAKKVVVNIFRQEGLEVLGWRPVPVNASVVGYYAKETMPNIQQVFVKVVKEENVEDIERELYICRKLIEKAASSESWGNELYFCSLSNQTIVYKGMLRSEILGLFYSDLQSDLYKSPFAIYHRRYSTNTTPRWPLAQPMRLLGHNGEINTIQGNLNWMQSREASLKSPVWNGRENEIRPYGNPKASDSANLDSAAEFLLRSGRSAEEALMILVPEGYKNHPTLSIKYPEVVDFYDYYKGQMEPWDGPALLLFSDGKTVGACLDRNGLRPARYWRTSDNVVYVASEVGVLPVDDSKITMKGRLGPGMMIAADLISGQVYENTEVKKRVALSHPYGKWVQENMRSLKAVNFLSGTVAENDAILRRQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAILSQRPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRQNILEVGPENASQVILSSPVLNEGELDLLLKDAQLKPQVLPTFFDIHKGVDGSLEKTLYRLCEAADEAVQNGCQLLVLSDRSDELEATRPAIPILLAVGAVHQHLIQNGLRMSASIIVDTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSTKTVNLMRNGKMPTVTIEQAQKNFCKAVKAGLLKILSKMGISLLSSYCGAQIFEIYGLGKEVVDLAFCGSISSVGGLTFDELARETLSFWVKAFSEDTAKRLENFGFIQFRPGGEYHGNNPEMSKLLHKAIRQKNENAFSVYQQHLANRPVNVLRDLVEFKSDRAPIPVGKVEPAVSIVQRFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWKPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADVIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLLSNGLRERVILRVDGGFKSGVDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNFFLYVAEEVRGMLAQLGYEKLDDIIGRTDLLRPRDISLVKTQHLDLSYLLSNVGLPKWSSTMIRNQDVHTNGPVLDDILLADPEISDAIENEKVVYKTIKIYNVDRAVCGRIAGVVAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLVGEANDYVGKSISGGELVVTPVENTGFCPEDATIVGNTCLYGATGGQIFIRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVILGKVGRNVAAGMTGGLAYILDEDDTFIPKVNREIVKIQRVNAPVGQMQLKSLIEAHVEKTGSSKGSSILKEWDKYLPLFYQLVPPSEEDTPEACADYEQTAAVDVTLQSTA >ONH96139 pep chromosome:Prunus_persica_NCBIv2:G7:13758984:13760714:-1 gene:PRUPE_7G109600 transcript:ONH96139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLFTQTVPSKTLTCTSLSGRNLNSNRLISISMATGRATTAVPSPPQRRRTMSALEARISLVAALASQASSVSQRLLLELATETAKYVFPKNFEARTLEEALMSVPDLETVKFKVLSRRDQYEIREVEPYFIAETTMSGKTGFDFNGASQSFNVLAEYLFGKNTTKEKMEMTTPVFTRKAQSDGVKMEMTTPVITTRVIFCMHLMLMLSVIFCMIKFGMILSSFKCTFDGFF >ONH96137 pep chromosome:Prunus_persica_NCBIv2:G7:13757940:13760714:-1 gene:PRUPE_7G109600 transcript:ONH96137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLFTQTVPSKTLTCTSLSGRNLNSNRLISISMATGRATTAVPSPPQRRRTMSALEARISLVAALASQASSVSQRLLLELATETAKYVFPKNFEARTLEEALMSVPDLETVKFKVLSRRDQYEIREVEPYFIAETTMSGKTGFDFNGASQSFNVLAEYLFGKNTTKEKMEMTTPVFTRKAQSDGVKMEMTTPVITTRLEDQDKWQMSFVIPSKYGANSPLPKDPSVRITEVPRKIVAAVAFSGFVTDEEVKRRESKLREALKKDGQFQVEEGTSVEVAQYNPPFTLPFQRRNEISLEVESKEQ >ONH96138 pep chromosome:Prunus_persica_NCBIv2:G7:13757930:13760714:-1 gene:PRUPE_7G109600 transcript:ONH96138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLFTQTVPSKTLTCTSLSGRNLNSNRLISISMATGRATTAVPSPPQRRRTMSALEARISLVAALASQASSVSQRLLLELATETAKYVFPKNFEARTLEEALMSVPDLETVKFKVLSRRDQYEIREVEPYFIAETTMSGKTGFDFNGASQSFNVLAEYLFGKNTTKEKMEMTTPVFTRKAQSDGVKMEMTTPVITTRIKISGRCPLSFPQSTVPIHHCLKIHL >ONH95007 pep chromosome:Prunus_persica_NCBIv2:G7:8201398:8203791:-1 gene:PRUPE_7G046100 transcript:ONH95007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLKTHNKLEFLHPLHGFSEKVSNLNSSKLQNQELRCGLRKSHMKLSRTALIKASSSALLELVPETKKESLDFELPMYDPSKGLVVDLAVVGGGPAGLAVAQQVSAAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVFIDEQTKKDLDRPYGRVNRKQLKSKMLQKCISNGVKFHQAKVNKVIHEEDKSLLICNDGVTIQAAVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPFDVDKMVFMDWRDSHLNNNIELKERNARIPTFLYAMPFSSNRIFLEETSLVARPGVPMKDIQDRMVARLRHLGIKIKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANAIVQYLGSDRILSGNELSAEVWKDLWPIERRRQREFFCFGMAILLKLDLKSTRRFFNAFFDLEPRYWHGFLSSRLFLPDLVFFGLSLFSHASNASRIEIMAKGTLPLVKMINNLIQDRD >ONH94987 pep chromosome:Prunus_persica_NCBIv2:G7:8039788:8045976:1 gene:PRUPE_7G044200 transcript:ONH94987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQVSVRGDVYSYGILLARNIQRKKTNRTDDMLKDDFKKRITQDPLHIMSSWNDSIDLCSWVGVTCNPATKRVMVLNLEAKKLVGSLSPSLGNLTYLTGINLMNNSFHGEIPQQIGRLLSMQHLNLSFNSFGGKIPSNISHCVQLSILDLRSNKLFGSIPNQLSSLLNLVGLGLAINNLTGTIPHWIGNFSSLEIFVLAINNFQGSIPHELTNLRRFVLWDNNLSANCTSLEVLGLYGNHFGGELPGSIANLSTQLKILTLGANLIHGSIPNGVGNLINLTLLGLEGNYLGGSVPDEIGKLQKLQGVELFANQFSGPIPSSLGNLTSLTRLLMQENKFGESIPPSFGNCKSLQVIGLSSLSISLSISNNFLTGSLPSEWQFLHPPLGDLKNIGELDISENKLSGEIPGTLGRCISLERLHLQGNKLEGSIPQTLKSLRGLEEIDISRNNLSGKIPEFLGNLGSLKHLNLSHNNFEGELPREGIFSNASGVSILGNNRLCGGIPEFLLPACSSKKRHSPRGFLAPKVFIPITCALAFLIALSCSFAACSYVKKSRDRPVTSHSYTDWKSGVSYSELVQATDGFSVDKLIGSGSFGSVYKGVLPNDGTVVAVKVLNLQQEGASKSFIGECKALRSIRHRNLLKIVTVCSSIDNQGNAFKSLVLEFMENGSLDQWLHPGDDEQSQPRRLSLNERLNIAIDVASALDYLHHHCEMAMVHCDLKPSNVLLDEDMVAHVGDFGLARFLLQASNDPTKIQTMSVGLKGSIGYIPPEYGMGSQVSIMGDIYSYGILLLEMFTGKRPTDDMFKDGLSIHQFTAMALPDHAMDIVEPSLLLETDDEEDEEHDEEYKNDIQERPIRKYKDPGTDKVKRLEECVASVMQIGISCSAISPTERMLMNVVVNKMNAVRGSYLNYLTRRR >ONH94986 pep chromosome:Prunus_persica_NCBIv2:G7:8019855:8020395:1 gene:PRUPE_7G044100 transcript:ONH94986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKLPREQLDQGVICSSAGNHAQGVALAAKKLNCSAVIAMPVTTPEIKWKSVERLGVTVVLIEDSYDEAQTYAKKRAQEEGRSFIPPFDHQDFFFFFTFFSFFLQTQNDTFTPHFDGQIDRVGWYDPIATICPVKGCHCLKN >ONH98781 pep chromosome:Prunus_persica_NCBIv2:G7:21937925:21941491:1 gene:PRUPE_7G266000 transcript:ONH98781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLYKEAKPSAKLLLANTNIHFCDFSQLGFVLRIKFRPSSAQPSESSLISPLLTEMAEGSMPGMPETDITALTEALYAQQQLLQKLYSELDQEREASSTAADEALSMILRLQGEKSAMKMEASQYKRLAEEKICHAEEALAIFEDLIYQKEMEIASLEFQLQAYRYKLLSMGCSTELGAGENVYPENLLFQRSDLGNAETGVSGTIRRINSLPPLELKEFLNKKSTTDKDRDRDRDRDRDRDRERERERERDRERERDRPMIPKPECVKKKAEKKVDQEVNFQSLDVEKKSINYAGGNMNTIWEQIKKLDARVKEISDCKDFGREKSLLLKGLSRTCSLPSVPKVSVNTSRNPTSEEIIASLDKLKQSEILQEREAIVSPSCSSSVHDVFEVPQSYENARACEGEKKELSKLTVEVENRLGKPDLVLDETSEIYAKDETDRVRKILHCKKQENKIFKPRDGISTTDSNLRERLAGVTESQQAKFQQLWRRIEQLEGERNSIRQEISHAGEEELKLFQEIHEHLNLIQSEMRSWKPKKPPPQDDEPLHSVMEAMLHFWL >ONH98782 pep chromosome:Prunus_persica_NCBIv2:G7:21938862:21941491:1 gene:PRUPE_7G266000 transcript:ONH98782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSMPGMPETDITALTEALYAQQQLLQKLYSELDQEREASSTAADEALSMILRLQGEKSAMKMEASQYKRLAEEKICHAEEALAIFEDLIYQKEMEIASLEFQLQAYRYKLLSMGCSTELGAGENVYPENLLFQRSDLGNAETGVSGTIRRINSLPPLELKEFLNKKSTTDKDRDRDRDRDRDRDRERERERERDRERERDRPMIPKPECVKKKAEKKVDQEVNFQSLDVEKKSINYAGGNMNTIWEQIKKLDARVKEISDCKDFGREKSLLLKGLSRTCSLPSVPKVSVNTSRNPTSEEIIASLDKLKQSEILQEREAIVSPSCSSSVHDVFEVPQSYENARACEGEKKELSKLTVEVENRLGKPDLVLDETSEIYAKDETDRVRKILHCKKQENKIFKPRDGISTTDSNLRERLAGVTESQQAKFQQLWRRIEQLEGERNSIRQEISHAGEEELKLFQEIHEHLNLIQSEMRSWKPKKPPPQDDEPLHSVMEAMLHFWL >ONH98779 pep chromosome:Prunus_persica_NCBIv2:G7:21937925:21943951:1 gene:PRUPE_7G266000 transcript:ONH98779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLYKEAKPSAKLLLANTNIHFCDFSQLGFVLRIKFRPSSAQPSESSLISPLLTEMAEGSMPGMPETDITALTEALYAQQQLLQKLYSELDQEREASSTAADEALSMILRLQGEKSAMKMEASQYKRLAEEKICHAEEALAIFEDLIYQKEMEIASLEFQLQAYRYKLLSMGCSTELGAGENVYPENLLFQRSDLGNAETGVSGTIRRINSLPPLELKEFLNKKSTTDKDRDRDRDRDRDRDRERERERERDRERERDRPMIPKPECVKKKAEKKVDQEVNFQSLDVEKKSINYAGGNMNTIWEQIKKLDARVKEISDCKDFGREKSLLLKGLSRTCSLPSVPKVSVNTSRNPTSEEIIASLDKLKQSEILQEREAIVSPSCSSSVHDVFEVPQSYENARACEGEKKELSKLTVEVENRLGKPDLVLDETSEIYAKDETDRVRKILHCKKQENKIFKPRDGISTTDSNLRERLAGVTESQQAKFQQLWRRIEQLEGERNSIRQEISHAGEEELKLFQEIHEHLNLIQSEMRSWKPKKPPPQDDEPLHSVMEAMLHFWL >ONH98780 pep chromosome:Prunus_persica_NCBIv2:G7:21938493:21941491:1 gene:PRUPE_7G266000 transcript:ONH98780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLYKEAKPSAKLLLANTNIHFCDFSQLGFVLRIKFRPSSAQPSESSLISPLLTEMAEGSMPGMPETDITALTEALYAQQQLLQKLYSELDQEREASSTAADEALSMILRLQGEKSAMKMEASQYKRLAEEKICHAEEALAIFEDLIYQKEMEIASLEFQLQAYRYKLLSMGCSTELGAGENVYPENLLFQRSDLGNAETGVSGTIRRINSLPPLELKEFLNKKSTTDKDRDRDRDRDRDRDRERERERERDRERERDRPMIPKPECVKKKAEKKVDQEVNFQSLDVEKKSINYAGGNMNTIWEQIKKLDARVKEISDCKDFGREKSLLLKGLSRTCSLPSVPKVSVNTSRNPTSEEIIASLDKLKQSEILQEREAIVSPSCSSSVHDVFEVPQSYENARACEGEKKELSKLTVEVENRLGKPDLVLDETSEIYAKDETDRVRKILHCKKQENKIFKPRDGISTTDSNLRERLAGVTESQQAKFQQLWRRIEQLEGERNSIRQEISHAGEEELKLFQEIHEHLNLIQSEMRSWKPKKPPPQDDEPLHSVMEAMLHFWL >ONH94154 pep chromosome:Prunus_persica_NCBIv2:G7:160287:162425:1 gene:PRUPE_7G001200 transcript:ONH94154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNPESSLPPGFRFHPTDEELILHYLTKKVASTPLPVSIIAEVDIYKFDPWELPAKAAFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKTIVASLGGRQNVGVKKALVFYKGRPPKGIKTNWIMHEYRLPENPNNYTTTTKTMKLKDLSMRLDDWVLCRIYKKSNVSSSAAVPPIDHEEEVEEEEDFLHDVLLPSLKSPLPGLGHNMSTNNTGLKPQKSSSFSNLLDVMDYSLLTSFLADGQYSNPTGTGLQSTPNRFPCSGTTGLDQKPLFINDYSNISSSSSSNSHLLQKLPQFNGLNLPGIPSTENRLKRHDHPIADDHGLLYPSKKYVNSNCSFNNATIQSDNISQGHLLNHSLLNHQQLVLSSPQFQFQE >ONH94498 pep chromosome:Prunus_persica_NCBIv2:G7:2866490:2868465:-1 gene:PRUPE_7G019300 transcript:ONH94498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHKTDDNLHYVGGLTRVLAADASISFADVDDDDFSIAKGAAASSSSLRPSLKKLFFEERNTPNSAMSF >ONH98492 pep chromosome:Prunus_persica_NCBIv2:G7:21254983:21258208:1 gene:PRUPE_7G251400 transcript:ONH98492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSVASPRKSITEKVFEKVGGYSYLSYLKNNSSSHSLRCDDESDDDYYEDGTMELVQIGAERTKNVLILMSDTGGGHRASAEAIRDTFRMEFGDEYRIFVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPRWIHSVYLAAIAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLQKKVIFVTVITDLNTCHPTWFHPGVNRCYCPSQEVAKRALLDGLEDSQIRVFGLPIRPTFARAVLSKDQLREELEMDPDLPAVLLMGGGEGMGPVKETGRALGETLFDKELGKPIGQLIIICGRNKNLTSTLQTDEWKIPVKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVDNGAGVFTRSSKETARIVAEWFSTKTDELKMMSENALKLAQPEAVFDIVKDIHDLACQRGPLANIPYMLTSSFTSLI >ONH95873 pep chromosome:Prunus_persica_NCBIv2:G7:12575649:12578834:-1 gene:PRUPE_7G093300 transcript:ONH95873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRMIDIAVNFTDGMFKGIYNGKQCHVSDIATVLSRAWTAGVDRIIVTGGSLEESKEALTIAETDARLFCTVGVHPTRCKEFEESGDPDKHFQALLSLAKEGIEKGKVVAIGECGLDYDRLQFCPAEIQKKYFEKQFELAHAMKLPMFLHMRAAAPDFCEIVERNRSRFSAGVAHSFTGSAEDRDKLLSISNMYIGVNGCSLKTAENLDVVRSIPIERMMIETDSPYCGIKNTSAGIKFVKSTWPSKKKEKYDQECIVKDRNEPCLVRQVLEVVAGCKGISEIGQLSRTLYHNTCR >ONH95868 pep chromosome:Prunus_persica_NCBIv2:G7:12575078:12579128:-1 gene:PRUPE_7G093300 transcript:ONH95868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRMIDIAVNFTDGMFKGIYNGKQCHVSDIATVLSRAWTAGVDRIIVTGGSLEESKEALTIAETDARLFCTVGVHPTRCKEFEESGDPDKHFQALLSLAKEGIEKGKVVAIGECGLDYDRLQFCPAEIQKKYFEKQFELAHAMKLPMFLHMRAAAPDFCEIVERNRSRFSAGVAHSFTGSAEDRDKLLSISNMYIGVNGCSLKTAENLDVVRSIPIERMMIETDSPYCGIKNTSAGIKFVKSTWPSKKKEKYDQECIVKDRNEPCLVRQVLEVVAGCKGISEIGQLSRTLYHNTCRTWILQQILYLLVMTLSDKTKFLPAAGYSVPLS >ONH95871 pep chromosome:Prunus_persica_NCBIv2:G7:12575123:12578890:-1 gene:PRUPE_7G093300 transcript:ONH95871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRMIDIAVNFTDGMFKGIYNGKQCHVSDIATVLSRAWTAGVDRIIVTGGSLEESKEALTIAETDARLFCTVGVHPTRCKEFEESGDPDKHFQALLSLAKEGIEKGKVVAIGECGLDYDRLQFCPAEIQKKYFEKQFELAHAMKLPMFLHMRAAAPDFCEIVERNRSRFSAGVAHSFTGSAEDRDKLLSISNMYIGVNGCSLKTAENLDVVRSIPIERMMIETDSPYCGIKNTSAGIKFVKSTWPSKKKEKYDQECIVKDRNEPCLVRQVLEVVAGCKGISEIGQLSRTLYHNTCRFYEFCQCNLSMIRPVVPSHICQ >ONH95869 pep chromosome:Prunus_persica_NCBIv2:G7:12575100:12579086:-1 gene:PRUPE_7G093300 transcript:ONH95869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRMIDIAVNFTDGMFKGIYNGKQCHVSDIATVLSRAWTAGVDRIIVTGGSLEESKEALTIAETDARLFCTVGVHPTRCKEFEESGDPDKHFQALLSLAKEGIEKGKVVAIGECGLDYDRLQFCPAEIQKKYFEKQFELAHAMKLPMFLHMRAAAPDFCEIVERNRSRFSAGVAHSFTGSAEDRDKLLSISNMYIGVNGCSLKTAENLDVVRSIPIERMMIETDSPYCGIKNTSAGIKFVKSTWPSKKKEKYDQECIVKDRNEPCLVRQVLEVVAGCKGISEIGQLSRTLYHNTCRVFFPQDLDSAADSLLAGHDPQ >ONH95872 pep chromosome:Prunus_persica_NCBIv2:G7:12575123:12578808:-1 gene:PRUPE_7G093300 transcript:ONH95872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGIYNGKQCHVSDIATVLSRAWTAGVDRIIVTGGSLEESKEALTIAETDARLFCTVGVHPTRCKEFEESGDPDKHFQALLSLAKEGIEKGKVVAIGECGLDYDRLQFCPAEIQKKYFEKQFELAHAMKLPMFLHMRAAAPDFCEIVERNRSRFSAGVAHSFTGSAEDRDKLLSISNMYIGVNGCSLKTAENLDVVRSIPIERMMIETDSPYCGIKNTSAGIKFVKSTWPSKKKEKYDQECIVKDRNEPCLVRQVLEVVAGCKGISEIGQLSRTLYHNTCRFYEFCQCNLSMIRPVVPSHICQ >ONH95870 pep chromosome:Prunus_persica_NCBIv2:G7:12575099:12578808:-1 gene:PRUPE_7G093300 transcript:ONH95870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGIYNGKQCHVSDIATVLSRAWTAGVDRIIVTGGSLEESKEALTIAETDARLFCTVGVHPTRCKEFEESGDPDKHFQALLSLAKEGIEKGKVVAIGECGLDYDRLQFCPAEIQKKYFEKQFELAHAMKLPMFLHMRAAAPDFCEIVERNRSRFSAGVAHSFTGSAEDRDKLLSISNMYIGVNGCSLKTAENLDVVRSIPIERMMIETDSPYCGIKNTSAGIKFVKSTWPSKKKEKYDQECIVKDRNEPCLVRQVLEVVAGCKGISEIGQLSRTLYHNTCRVFFPQDLDSAADSLLAGHDPQ >ONH97363 pep chromosome:Prunus_persica_NCBIv2:G7:17983590:17985328:1 gene:PRUPE_7G185500 transcript:ONH97363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSQRFLGSSAATNSSTFPAAPPPEAVVLESDFVVILAALLCAVICVIGLLAVARCAWLRRGSGAGGASLSSAQASANKGVKKKVLQSLPKFTYGIGGAEPPPKLASECAICLGEFAEGDEIRVLPQCGHVFHVGCVDMWLGSHSSCPSCRQILVVARCQKCGQFPAPISEAELKARQDHSSSNSPAPPPPPPPPAANAISSSSNTTTTTAVNSNSYLP >ONH97627 pep chromosome:Prunus_persica_NCBIv2:G7:18813573:18814148:-1 gene:PRUPE_7G202100 transcript:ONH97627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEEEFKEYAEKAKSLPPATKDADKLILYGLYKQATVGSVNTNRPGFFSPTERAKWDAWKAAEGKSKEEAMTEYIAKVKQLQQVEGAASTT >ONH94308 pep chromosome:Prunus_persica_NCBIv2:G7:1312199:1313480:-1 gene:PRUPE_7G009800 transcript:ONH94308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVHKIGDALHIGGGGNEHKKDEEHKKEGEHHKDKKDEHHKDKKDEHHKKDGDHKEKDKDDKKKKKKKDKKKDGHDSSSSDSD >ONH94993 pep chromosome:Prunus_persica_NCBIv2:G7:8107197:8113043:-1 gene:PRUPE_7G044800 transcript:ONH94993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQDSPASMPHFLRIILDKTSKKTRIKIPMKFLMRYGENLSSPVHLKLPSGVEMEIELRRSNDGWVWFDKGWPEFSKLCSLDYGNSLVFGYEGNSNFSVFIFDKTATEIEYPKPEMEETDSDFEEEEDDDDESGHARGEIKRENDMPTKKDFGGSSSTRIFLGRTHPLTKSEKALALQRANAFESEYPYFLVAIQPVYIHRGYLHLPSKFARRHLVKKRARNIILKILDGRTWLVEFKYETSIARFQRGWLAFARDNNLKVGDVCVFVLIDCNERLFEVFFYRTNDAEDCPLSPGHGGVAIDRVEKRRNPMIKVEIDCTTNYENGKYKRLNTGGQVTQRPLNSSSRALEAANRFTPKDPSFRVTLGSTRLILHVPVTFARSFVKKRKQTVTLQVRERSWPVNLIGHTKESGAKLSGGWCEFVTENCLMEGDVCIFELIERNDIVLKVHIFRC >ONH97876 pep chromosome:Prunus_persica_NCBIv2:G7:19517721:19520745:1 gene:PRUPE_7G215600 transcript:ONH97876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRLFGKPKQEGNALTTLDKLNETLEMLEKKEKVLQKKAAAEVERAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQAPAGRQPTRPIPQKRTAEEDELAALQAEMAL >ONH97877 pep chromosome:Prunus_persica_NCBIv2:G7:19517707:19520988:1 gene:PRUPE_7G215600 transcript:ONH97877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRLFGKPKQEGNALTTLDKLNETLEMLEKKEKVLQKKAAAEVERAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQAPAGRQPTRPIPQKRTAEEDELAALQAEMAL >ONH97875 pep chromosome:Prunus_persica_NCBIv2:G7:19517735:19520745:1 gene:PRUPE_7G215600 transcript:ONH97875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRLFGKPKQEGNALTTLDKLNETLEMLEKKEKVLQKKAAAEVERAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSAPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQAPAGRQPTRPIPQKRTAEEDELAALQAEMAL >ONH94829 pep chromosome:Prunus_persica_NCBIv2:G7:6263934:6265592:1 gene:PRUPE_7G033500 transcript:ONH94829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHLIHKQAQVEALFSEKATLLFRIEAVSRWLDESKSMIEISGSSSRDKESGRPLFEDRFRSSRRQAPKGVEFEQKKIKKQIILYYTLLNLSPTFSPPG >ONH97063 pep chromosome:Prunus_persica_NCBIv2:G7:17082135:17084145:1 gene:PRUPE_7G167700 transcript:ONH97063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQQTQQQPNEDGSNKGTSSGTNFLCRQSSTRWTPTSDQIRILKDLYYNNGVRSPSAEQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRFIDVPAPPIMQRSGLGINNNNAPTAYEPINHSNKYPNSSASAGVSPSSAGVIAVGQMGSHGYGSMTMEKSFRDCSISAAGGTSSGHVGGSNNNIGHNFGSWVGVDAYSSPYTLFDKRSSSRQVFGDQENTMDEEDHEYQENLQGSPEIETLPLFPMHGEDIHGFGNIKSTSDGYYSGWYRSDDGNNGRTSLELSLNSYGHMTRDYFRSY >ONH98844 pep chromosome:Prunus_persica_NCBIv2:G7:22098762:22101372:-1 gene:PRUPE_7G268700 transcript:ONH98844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKQVILRDTVSGFPKESDMLVTTGSTELKLPEGSSSGAVLVKNLYLSCDPYMRGRMTKRHPGDSYVNSFNPGSPLTGYGVAKVLESGDPKFKQGDFVWGITGWEEYSVITATEALFKIHNTDVPLSYYTGILGMPGITAYAGFYEVCSPKKGETVYVSAASGAVGQLVGQFAKLLGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEPNLVAALKRYFPEGIDIYFENVGGKMLDAVLLNMRIHGRIAVCGMISQYNLEQPEGVHNLTSVISKQLRMEGFVAPSYYHLYGKFLEMVVPAINEGKITYVEDVVEGLESAPAALVGLFAGRNVGKQVVVVSRE >ONH98042 pep chromosome:Prunus_persica_NCBIv2:G7:19970879:19971411:1 gene:PRUPE_7G224900 transcript:ONH98042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDVEKMIAVGLVWGATNALMRRGALLWDQALKSSSSAQAQAQPGRIHHKLLTSIKSWLKLLSIWQYTVPFFVNLSASATFFAILSHTPISLAVPVTNATTFAATAVFGWLLGEQTHLGLALFGTALIVLGIWLCIT >ONH95263 pep chromosome:Prunus_persica_NCBIv2:G7:9899902:9901514:-1 gene:PRUPE_7G060000 transcript:ONH95263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKSPASETAEFVSVFRSGSCSEKGPKQYMEDEYICVDDLHEHLGQMENLPSPGAFYGVFDGHNGVDAASFIKKNILRFIVEDSHFPSGIRKAVRSAFVKADHAFADASSVDKSSGTTALTALILGR >ONH97928 pep chromosome:Prunus_persica_NCBIv2:G7:19688371:19691726:1 gene:PRUPE_7G219000 transcript:ONH97928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRFFAGIVLQISSGTKCYFTKICRCCDINGNTVYFCTISAYFLIGLNLVYKWQYMYKF >ONH94854 pep chromosome:Prunus_persica_NCBIv2:G7:6557422:6559216:1 gene:PRUPE_7G035400 transcript:ONH94854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLIFLAETRMTTIQMGSFVTKLGSGGAVCVPRDGLSGGLCMLWKQGLSVQLISSSVEHIDVLVTYPTSQVIRVTGFYSHPKPSQRRHSWELLRRLSYITTAPWLCCGDLNEVLSFDEKSSNRPHSESQIEDFKLVVLDCKLLSFDYVGHPFTWTNNRKNEHNVQAHLDRGFGNHVVSFNYTLIALIPKIASPTRVTEYRLISLCNILYKIISMTIANRLKKALPHVILEFQSAFITDLIILDNVRRGKARSCKLVLKLDMAKAYDQVEWPFLDRMMRTMEFPTRFVNLIMGCITIASYSVLL >ONH95675 pep chromosome:Prunus_persica_NCBIv2:G7:11987409:11992415:-1 gene:PRUPE_7G084600 transcript:ONH95675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADSVANSQVENPTTGASDNNSSFRPARSTYVPPHLRNRPPSSEPPAPSQSAPPPANDRVGNSGPAAGPAWGAGSSRHDAGRPGYVSSGGRNGGGWNNRSGGWDRGRDREVNPFADEDITEPAFSDQENTVINFDAYEDIPVETSGDNVPPPVNTFAEIDLGEALNLNIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMQGQYVQRPRGARTVYPLALILSPTRELSCQIHEETRKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGLRQTMLFSATFPKEIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRENGVHGKQSLTLVFVETKKGADALEHWLCMNGFPATTIHGDRTQQEREQALRSFKSGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNLSMAKPLADLMQEANQEVPAWLTRYASRVSYGGGGRNRRSGGGRFGGRDFRRESSFTRGADFYGGGNSSGGYGVPGGYGGAPGGYGPSNGMTSAWD >ONH96146 pep chromosome:Prunus_persica_NCBIv2:G7:13765273:13767677:1 gene:PRUPE_7G109800 transcript:ONH96146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTVLQRHKRGLRVTAIVGSLSFKRLVMNKMRRPITIPLLEQTPKNPKPALSPIPNPTLPSAPKTLSTPHPTLTLSPTSNHTHLLNFLKTHIKNPPLTSLNLLHFLKSKLHHHPTFAHFDFHVFNWASSIDSFRHDHSTFEWMARTLAITDRFVELGSLLSFMVSNPCPCSDGIFSCPRTEPIFQFAINAYCRVGRLDDAVNAFDSMRKLIDGRPSVVVYNILIHGFVKCGQHDKALGLYDKMMKDRVKADVFTFNILISSYCRNSQFGLALELFKDMREKGCSPNVVSFNTLIKGFFRERKFEDGIGMAYEMIEFGCKFSSVTCEILVDGLCREGQVSEACELLIDFSRKEVLPKWYDYLGLIEVLCKKGNSGRALEVVDELWRKGNVPSLIACTTLIEGLRRSARIDEAFGLMKRMLEEGIVPDIVTFNCLLQDLCNLRRTVEADSLKLLSSSKGLDLDSTAYSILVSGYSREGKRKEQKLLVDEMLDKGFIPDLGTYNRLAD >ONH97771 pep chromosome:Prunus_persica_NCBIv2:G7:19170795:19174752:1 gene:PRUPE_7G209000 transcript:ONH97771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRDQGAAQNHACASCKQQRRKCDETCEMAPYFLASRYSEFQNAHKIFGVSNIQKIMAMAAPDQRQAAAESILTEGNARKNDPLNGCLGIIRGLNAQIQGLERELHIMKQHLELCKEKEELDGKKNQSKEEDLQHDQSDGLNSLILPDLRLGRYLEHCSKPVTGLLCALDVRSDEFKFDSPEYFFKNQV >ONH94631 pep chromosome:Prunus_persica_NCBIv2:G7:3815464:3817595:1 gene:PRUPE_7G024200 transcript:ONH94631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMETDDILPSLEDQGVHQLYPKGPNIDFKKELRSLNRELQLHILELADILVERPSQYARRLEDISLVFKNLHHLLNSLRPHQARATLIHILELQIQRRKQVVEDIKRRREEARRLLKESIGTLEDTDASFVLK >ONH94314 pep chromosome:Prunus_persica_NCBIv2:G7:1341451:1343282:-1 gene:PRUPE_7G010200 transcript:ONH94314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFRRNLLGLTLSDTNGNNEGTWKFNFSRFDENSNPHIPVSISLLKRNSLDFQKMGIYVDNFVVKFLHVLKMNMGLHHLKWVCFHGLYELAYLLKFLTENSMSGEIILAKVAKILDVERSNEAHQAGSNSLLTVDVFSKMNTILRFVAGMSQGCLYGITLTIRTPYFSYTGRLHPNYHCFYFMRIH >ONH94512 pep chromosome:Prunus_persica_NCBIv2:G7:3002488:3005939:-1 gene:PRUPE_7G020100 transcript:ONH94512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKTKTENYWDWELANETKRMDAESKGS >ONH94274 pep chromosome:Prunus_persica_NCBIv2:G7:932103:938470:-1 gene:PRUPE_7G007300 transcript:ONH94274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHKLIVEVVDARNLSPKDGRGASSPYVVVDYRGQRRRTQTKLSDLNPVWNELLDFDLSRPSDVFGDVLELDVYHDKNYGPTTRNNFLGRIRLSSSQFVKKGEEALIYFPLQKKSWFTWIRGDIGLKIYYVDEVVPPPSPPPPPPKAEEPKPETAQPPQSEAVPPPESASPQPTDQPPAEAAPPKEAEQTQPPEPKKPAEEPPTEAAPPPKTEAPEVAESGPSQPPPPPPATDDANQDQANPPPPPVAPEAAPMPMQRDHEIEVMAHSVSKSMADVKFHRTNGPPPIPRPPVVPGGALNYTSQLEPTESMSMDRPSFDLVEKMHYLFVRVVKARFLPANGSPIVKISTANYHITSKPARKTHCFEWDQTFAFARQSPDQSDASILEVSVWDPPVFDPSAASEVASGHQFLGGICFDVMEIPLRDPPDSPLAPQWYRLEGGGARINGDLMLATWMGTQADDSFPDAWKTDTAKNPNARAKVYQSPKLWYLRATVLEAQDVLPVTASLNLKEATFQVKAQLGFQFLKTEPTVTRNGVPSWNQDLMFVAAEPFSDHLIFTIEHRLPKGPVTLGVLRIPLSAVERRVDDRKVASRWFSFENPDGDEKRMYSGRMHLRLCFDGGYHVMDEAAHVCSDYRPTARQLWKPPLGTVELGVIGCKNLIPVKTVNGKGCSDAYCVAKYGPKWVRTRTVCDSLEPKWNEQYTFKVYDPCTVLSIGVFDNSGSGFEIEGSKDATRPDFRIGKLRVRISTLATGKVYKNTYPLLVLSPTGLKKMGEVEIAVRFVPVSPTLDLVHVYSQPSLPLMHHIKPLGPVQQDVLRRAAVKIVAAHLSRSEPPLGRDVVLYMLDADSQGFSMRKVRANYFRIINVVAGVMDVVGWINDTRSWKNPMATILVHALLALLVWYPDLIVPTLAFYVFVIGAWNYRFRSQAPLQHYDPKLSLAENVDRDELDEEFDSVPSTRSFEVVRARYDKLRMLGARVQTVLGDFATQGERVQALVTWRDPRATGIFVLLCFVVAMILYMVPSKMVAMVFGFYYLRHPIFRDRMPPAALNFIRRLPSLSDRLL >ONH96235 pep chromosome:Prunus_persica_NCBIv2:G7:14050984:14059474:1 gene:PRUPE_7G115200 transcript:ONH96235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVQKRKFQRRLLAFRVGIEIPKIEVRFEKLSIEGDVYVGSRALPTLLNATLNTIESVLGLIKLAPSKKRNIQILKDVSGIVRPSRMTLLLGPPGAGKTTLLLALAGKLDDDLRVSGKITYCGHELNEFVPKRTCAYISQHDLHYGEMTVRETLDFSGRCLGVGSRYQMLAELSRREKEAGIKPDPEIDAFMKATSVSGQKTSLVTDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKVLLMDEISTGLDSSTTFQICRYMRQLVHIMDVTMVISLLQPAPETFELFDDLILLSEGQIVYQGPRESVLEFFEYTGFKCPERKGVADFLQEVTSKKDQEQYWFKKDQPYRYIAVPEFVESFSSFRTGQQLAADLGVPYDKSRAHPAALVTEKYGISNWELFKACFSREWLLMKRNSFVYVFKTTQITIMSLIALTVFLRTEMPVGTVQDGGKFFGALFFSLINVMFNGMAELAMTVFRLPVFYKQRDFLFYPAWAFGLPIWVLRIPLSFMESWIWIILTYYTIGFAPAASRFFKQFLAFFGIHQMALSLFRFIAALGRTQVVANTLGTFTLLMVFVLGGFIVAKNDLEPWMLWGYYVSPMMYGQNAIVMNEFLDKRWSAPNPDPRINETTVGRVLLKSRGFFTDEYWYWICVGALFGFSFLFNIFFIAALTFLNPLGDTKAVIADDESEGKRKKTSSEDIDMAVKSYSEIVGGSDHAPKKGMVLPFQPLSLAFNHVNYYVDMPAEMKSQGVEEDRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLTSDVKTQTRKMFVEEVMELVELNPIRDALVGLPGIDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRQSHKLVEYFEAVPGVTKIKDGYNPATWMLEVTAPAVEAQLDVDFADIYANSSLYQRNQELIKDLSTAAPGSKDLYFPTKYSQPFSVQCKASFWKMHWSYWRNPQYNAIRFFMTIVIGCLFGLIFWQKGQQTTQQQDLMNLLGAMYAAVLFLGATNASAVQSVVAIERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTFIYTLLLYSMIGFEWKVGKFLWFYYYILMCFVYFTMYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRPQIPIWWRWYYWASPVAWTLYGLVTSQVGDKNADLVLPGYGTMPLKKFLKDDLGFEHDFLPAVAAAHVGWVLLFFFVFAYGIKFLNFQRR >ONH96236 pep chromosome:Prunus_persica_NCBIv2:G7:14051699:14059474:1 gene:PRUPE_7G115200 transcript:ONH96236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAGDDLARSTSSRRSWASGSKRSWASTSFREVWQAPPDVFSRSGRQDEEEELRWAAIERLPTYDRLRRGMLRQVLDNGKVVTDDVDVTKLGMQDKKQLMESILKVVEDDNERFLRRLRDRTDRVGIEIPKIEVRFEKLSIEGDVYVGSRALPTLLNATLNTIESVLGLIKLAPSKKRNIQILKDVSGIVRPSRMTLLLGPPGAGKTTLLLALAGKLDDDLRVSGKITYCGHELNEFVPKRTCAYISQHDLHYGEMTVRETLDFSGRCLGVGSRYQMLAELSRREKEAGIKPDPEIDAFMKATSVSGQKTSLVTDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKVLLMDEISTGLDSSTTFQICRYMRQLVHIMDVTMVISLLQPAPETFELFDDLILLSEGQIVYQGPRESVLEFFEYTGFKCPERKGVADFLQEVTSKKDQEQYWFKKDQPYRYIAVPEFVESFSSFRTGQQLAADLGVPYDKSRAHPAALVTEKYGISNWELFKACFSREWLLMKRNSFVYVFKTTQITIMSLIALTVFLRTEMPVGTVQDGGKFFGALFFSLINVMFNGMAELAMTVFRLPVFYKQRDFLFYPAWAFGLPIWVLRIPLSFMESWIWIILTYYTIGFAPAASRFFKQFLAFFGIHQMALSLFRFIAALGRTQVVANTLGTFTLLMVFVLGGFIVAKNDLEPWMLWGYYVSPMMYGQNAIVMNEFLDKRWSAPNPDPRINETTVGRVLLKSRGFFTDEYWYWICVGALFGFSFLFNIFFIAALTFLNPLGDTKAVIADDESEGKRKKTSSEDIDMAVKSYSEIVGGSDHAPKKGMVLPFQPLSLAFNHVNYYVDMPAEMKSQGVEEDRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLTSDVKTQTRKMFVEEVMELVELNPIRDALVGLPGIDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRQSHKLVEYFEAVPGVTKIKDGYNPATWMLEVTAPAVEAQLDVDFADIYANSSLYQRNQELIKDLSTAAPGSKDLYFPTKYSQPFSVQCKASFWKMHWSYWRNPQYNAIRFFMTIVIGCLFGLIFWQKGQQTTQQQDLMNLLGAMYAAVLFLGATNASAVQSVVAIERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTFIYTLLLYSMIGFEWKVGKFLWFYYYILMCFVYFTMYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRPQIPIWWRWYYWASPVAWTLYGLVTSQVGDKNADLVLPGYGTMPLKKFLKDDLGFEHDFLPAVAAAHVGWVLLFFFVFAYGIKFLNFQRR >ONH94472 pep chromosome:Prunus_persica_NCBIv2:G7:2713734:2715596:-1 gene:PRUPE_7G018400 transcript:ONH94472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITLFEIKCEVILDWKRRQKRERERERERERERDMGRPPCCEKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKFQSALDPHMASDSTTSTNHQLNIVSRRSLSEGSLDNMATHASSVTLKNNQSSTYASSTANISRLLEGWMRSSPTPKMLSNTNHLKLNASHDLQDQETPAGNLLSRHEELESILSYENLNNVASWDRSTCDSTQSDNKLNPRCGRVANDDEIIHEDDLVPDRDQKNKQIIRCEGSTSAPPLSFLEKWLLDESAGQVDDDQMLELSPMSSV >ONH96331 pep chromosome:Prunus_persica_NCBIv2:G7:14438623:14440853:-1 gene:PRUPE_7G121200 transcript:ONH96331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGKGIRFIRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRTTKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQGKGSVTRGGAQPKGPKLGGGGGKR >ONH96332 pep chromosome:Prunus_persica_NCBIv2:G7:14439016:14440698:-1 gene:PRUPE_7G121200 transcript:ONH96332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGKGIRFIRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRTTKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALRFVFLSIPLTLIHSIMSTFYVNVISALNFMIASTIMIWNR >ONH97519 pep chromosome:Prunus_persica_NCBIv2:G7:18459343:18461659:-1 gene:PRUPE_7G194300 transcript:ONH97519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSQLTASSISARNLASFEGLRPSNLKFGSPVGVSLVSHRSFRGLVVKAATTVAPKYTSIKPLGDRVLVKIKTVEEKTGGGILLPTSAQTKPQGGEVVAVGEGKTIGKTKVGISVQTGAEVVYSKYAGTEVEFNGSKHLILKDDDIVGILETEDVKDLKPLNDRVLIKVAEVEEMTPGGLLLTEASKEKPSIGTVIAVGPGTLDEEGNKKPLSISEGSTVLYSKYAGNDFKGKDGSEYIALRASDVIAILS >ONH97992 pep chromosome:Prunus_persica_NCBIv2:G7:19827771:19829357:-1 gene:PRUPE_7G222200 transcript:ONH97992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDKLSKPGTNILPVTVNARGNVRYDAIVKQNENSKKLVYSQHKDLVPKILKNEDEEMDDANEKEEKLQKEIEETTAETKAALEKIVNVRLSTAQPKNIAKQSTGSKIIKYMSSQKAAAFNSGAKARIIRMAEMPVDPLEPPKFKHKRVPRPSRSPPVPVMHSPPRPVTVKDLKDWKIPPCISNWKNQKGYTIPLDKRLAAEGKILQDLGGPFLDNLAKLNEALYVAEQKAREGIAMRKKVQEEMLTKEKEKKEQDLRILAQRARSERMGAAAPPSMPSNRTAMDNDAGGDYERPTSEKETDYPKETREEREGRLKREKIREERRRERERERRLEPKDAPMGKKSKITRDRDRDISEKVALGMASDGASRGGEVMYDQRLFNQEKGMESGFATDDQYNVYDKGLSTAPPTLSTLYRPKKDVDNEMYGGADEQLDKIKKTDRFKPDKGFGGAAERAGPRGRPVEFEKDAAEEADPFGLDRFLTEVKQSTKAKEKVGTGGAMRASAGPSMRDGYQGGSSRTRIGFERGR >ONH98014 pep chromosome:Prunus_persica_NCBIv2:G7:19908481:19912084:-1 gene:PRUPE_7G223400 transcript:ONH98014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHFLGNQTRIAFLVANASRMTWQVLGPGMKYSFQFPKICHVETGRWLFLLGVLAVTYVSFQSLLLPYGNALRSLLPQNEVQEQFKGSGVFSIHSSAKSVMVRNPLTVHSSSDFIDVSMFSGVEKAAGNSGLGGEIGHDRGRKGKDVHKEIDLILEEKGIDNTFANTIHRNVDHNFPSENVVDTNGSLALVSIENQENGSVQDKANVAKYGFPLERIVLPNYETSTENTLKENSNLTAKKSDGVKTGFPSSPLILPAAASLANATNASVGSTSFKSDVVTSKNGSVVMTNPGRKKMKSELPPKSITSIYEMNHILVRHRASSRSLRPRWSSVRDQDILAVKSQIEHPPVAINDRELYAPLFRNVSMFKRSYELMERTLKIYIYKDGNKPIFHQPILKGLYASEGWFMKLMQGYKRFVVKDPRKAHLFYMPFSSRMLEYSLYVRNSHNRTNLRQFLKEYSEKIAAKYPYWNRTGGADHFLVACHDWAPYETRHHMERCMKALCNADVTGGFKIGRDVSLPETYVRSARNPLRDLGGKPPSQRQILAFYAGNMHGYLRPILLEYWKDRDPDMKIFGPMPPGVASKMNYIQHMKSSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWGAFSVILAERDIPNLKEILLSIPEEKYLQMQRGVRKVQKHFLWHARPLKYDLFHMTLHSIWYNRVFQIKIR >ONH98017 pep chromosome:Prunus_persica_NCBIv2:G7:19908481:19912222:-1 gene:PRUPE_7G223400 transcript:ONH98017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSFQFPKICHVETGRWLFLLGVLAVTYVSFQSLLLPYGNALRSLLPQNEVQEQFKGSGVFSIHSSAKSVMVRNPLTVHSSSDFIDVSMFSGVEKAAGNSGLGGEIGHDRGRKGKDVHKEIDLILEEKGIDNTFANTIHRNVDHNFPSENVVDTNGSLALVSIENQENGSVQDKANVAKYGFPLERIVLPNYETSTENTLKENSNLTAKKSDGVKTGFPSSPLILPAAASLANATNASVGSTSFKSDVVTSKNGSVVMTNPGRKKMKSELPPKSITSIYEMNHILVRHRASSRSLRPRWSSVRDQDILAVKSQIEHPPVAINDRELYAPLFRNVSMFKRSYELMERTLKIYIYKDGNKPIFHQPILKGLYASEGWFMKLMQGYKRFVVKDPRKAHLFYMPFSSRMLEYSLYVRNSHNRTNLRQFLKEYSEKIAAKYPYWNRTGGADHFLVACHDWAPYETRHHMERCMKALCNADVTGGFKIGRDVSLPETYVRSARNPLRDLGGKPPSQRQILAFYAGNMHGYLRPILLEYWKDRDPDMKIFGPMPPGVASKMNYIQHMKSSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWGAFSVILAERDIPNLKEILLSIPEEKYLQMQRGVRKVQKHFLWHARPLKYDLFHMTLHSIWYNRVFQIKIR >ONH98015 pep chromosome:Prunus_persica_NCBIv2:G7:19908796:19911447:-1 gene:PRUPE_7G223400 transcript:ONH98015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNFIIVGTLCRTWQVLGPGMKYSFQFPKICHVETGRWLFLLGVLAVTYVSFQSLLLPYGNALRSLLPQNEVQEQFKGSGVFSIHSSAKSVMVRNPLTVHSSSDFIDVSMFSGVEKAAGNSGLGGEIGHDRGRKGKDVHKEIDLILEEKGIDNTFANTIHRNVDHNFPSENVVDTNGSLALVSIENQENGSVQDKANVAKYGFPLERIVLPNYETSTENTLKENSNLTAKKSDGVKTGFPSSPLILPAAASLANATNASVGSTSFKSDVVTSKNGSVVMTNPGRKKMKSELPPKSITSIYEMNHILVRHRASSRSLRPRWSSVRDQDILAVKSQIEHPPVAINDRELYAPLFRNVSMFKRSYELMERTLKIYIYKDGNKPIFHQPILKGLYASEGWFMKLMQGYKRFVVKDPRKAHLFYMPFSSRMLEYSLYVRNSHNRTNLRQFLKEYSEKIAAKYPYWNRTGGADHFLVACHDWAPYETRHHMERCMKALCNADVTGGFKIGRDVSLPETYVRSARNPLRDLGGKPPSQRQILAFYAGNMHGYLRPILLEYWKDRDPDMKIFGPMPPGVASKMNYIQHMKSSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWGAFSVILAERDIPNLKEILLSIPEEKYLQMQRGVRKVQKHFLWHARPLKYDLFHMTLHSIWYNRVFQIKIR >ONH98016 pep chromosome:Prunus_persica_NCBIv2:G7:19908481:19911980:-1 gene:PRUPE_7G223400 transcript:ONH98016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSFQFPKICHVETGRWLFLLGVLAVTYVSFQSLLLPYGNALRSLLPQNEVQEQFKGSGVFSIHSSAKSVMVRNPLTVHSSSDFIDVSMFSGVEKAAGNSGLGGEIGHDRGRKGKDVHKEIDLILEEKGIDNTFANTIHRNVDHNFPSENVVDTNGSLALVSIENQENGSVQDKANVAKYGFPLERIVLPNYETSTENTLKENSNLTAKKSDGVKTGFPSSPLILPAAASLANATNASVGSTSFKSDVVTSKNGSVVMTNPGRKKMKSELPPKSITSIYEMNHILVRHRASSRSLRPRWSSVRDQDILAVKSQIEHPPVAINDRELYAPLFRNVSMFKRSYELMERTLKIYIYKDGNKPIFHQPILKGLYASEGWFMKLMQGYKRFVVKDPRKAHLFYMPFSSRMLEYSLYVRNSHNRTNLRQFLKEYSEKIAAKYPYWNRTGGADHFLVACHDWAPYETRHHMERCMKALCNADVTGGFKIGRDVSLPETYVRSARNPLRDLGGKPPSQRQILAFYAGNMHGYLRPILLEYWKDRDPDMKIFGPMPPGVASKMNYIQHMKSSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWGAFSVILAERDIPNLKEILLSIPEEKYLQMQRGVRKVQKHFLWHARPLKYDLFHMTLHSIWYNRVFQIKIR >ONH97294 pep chromosome:Prunus_persica_NCBIv2:G7:17740075:17741304:-1 gene:PRUPE_7G181900 transcript:ONH97294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNILFLNRNSQEGHDYSLQAQPGGYLDQSHMLFNNGGGAVNNTINQRKRGRETSAATEISPSILPFSLQQQSQPPPPQLIDLSQLYSNNHDHNNPNVVSTGLRLSFGWLRVGTCTRVWLREGWVTGEGWLKGGVE >ONH96910 pep chromosome:Prunus_persica_NCBIv2:G7:16626604:16629739:1 gene:PRUPE_7G159000 transcript:ONH96910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFSNKEMPSPSSLFSAYASMAASMMLFRSMANELIPHPVRGYLVSSLRYLFKTHSPKLTLVIEESNGISRNQVYEAAEIYLCTKISSNTERIRVSKSPKGKSLTIRLEKGEKLVDFYEGIELKWRFICAESQQKDPNDPFSPPRSEKRFFELTFHKKHKDRVLDCYVPYVLERANAMKDEERVLKMYTLNSCHPYNGVKWESINLEHPATFETVAMDQDLKNAVIEDLNRFVKRKEFYKKVGRAWKRGYLLYGPPGTGKSSLVAAMANYLKFDVYDLQLANIFRDSDLRKLLLGTANRSILVIEDIDCSVELPDRRHGDGRKQPDVQLTLSGLLNFIDGLWSSCGDERIIIFTTNHKERLDPALLRPGRMDMHIHMSYCTYHGFKLLASNYLGIHNHHHLFGEIEDLMKETDVTPAHVAEELMKSEDVDVALEGLVKLLKRKKLEGDEFEDEAEKKNAAQAAKRQKTGKQQRKPVRNNRRNSTKRTSNRLSAKKTILY >ONH97295 pep chromosome:Prunus_persica_NCBIv2:G7:17741528:17742854:1 gene:PRUPE_7G182000 transcript:ONH97295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEAWNLAEAKLQADRRNHEAGMDLDVHNAADEVNVDALWQHREHVDQVLTPTKDTVLSHCPGCCEQLLVYNKENLNALHIVIADDDSLLPTLKERSSAKAEMEEVEEKKGGSSCRGFSVFKCGKDADVILKEVKEAHLVMATLIATVTFVAGFAMADYESEKGGGQASPARNAALIVFVVTDTLALCMSACSVLMHFYLPGPNAIVLSLSSTMSALIFMAIAFISGAYAASGHSPGLAVAASVLGCWYFWAAFFMLGRPSAKAFLRHFNHAATAA >ONH97292 pep chromosome:Prunus_persica_NCBIv2:G7:17731643:17736555:-1 gene:PRUPE_7G181700 transcript:ONH97292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELPSYLPIELETTTFDLIVVGTGIPESVIAAAASATGKTVLHLDPNDFYGSHSASIHLNDLTSFLNYHANLPSTAAANTNSASSSHDFTALNLSLRSLYSNVETSNYAPETVAQHSTKFSVDLGGPRVFLCADKATDLLIKSGVNSYLDFKGIEASFIWDGSGRLCDVPDSRSAIFKDKSLSLLEKNQLMRFFKLVQRHLGASDGNDEGRESSKISEEDLESPFVEFLKKMRLPPKIKLIILYAICMVDYDQDNLEVCKSVLKTRDGMERLALYQNSIGRYGASGALLYPTYGLGELSQAFCRRAAVKGCIYVLRMPVTALLMDQNSGQYKGVRLASGEDMFSHQLVLDPTFVVPLPPASSPPDLRESFQVLSLKDDKRKVARGICIINSSLKPDISQFLLIYPPRSLFPEQDTSIRALQIGGGLDKLAVCPSGMFVLYFSGLCDDAGQGKRLLHAAMNALLTLPVSESGSAAGSEDAEVKPTLLWSMLYIQELTTVPIGQYENIISTPTLDGNINFSDLIESTVVLFQKMYPDEVFFPETPSSPEVPENSEDDTELSLEN >ONH96928 pep chromosome:Prunus_persica_NCBIv2:G7:16676126:16681144:1 gene:PRUPE_7G160200 transcript:ONH96928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLSPRPVSDVEISEEEGKTRVASLRKKAMNASARFRKSLSKRGRRSSKVLSVEIEDVHDSVELQAVDSLRQALILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLEWRKEFGADTIMEDFEFKEHSEVLQHYPQGHHGVDKDGQPVYIERIGQVDVTKLMQATTMDRYVKYHVREFERTFAVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARDLIARLQKIDGDNYPETLNRMFIINAGPGFRMLWNTVKSFIDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKKPEIMQMVQNGDHKCSTKSGIQERDTAPTLADKRPFSPRFTMEHIEYPGLSRFQEEASIAKNIQESYKCKDHVSMVGKTVDSSWQMAGSSNFALSKGSSSNNIAGDCFSRDDACKVPDGFGSQILAAVMAFVMGIVTMVRLTRNMPKKLTDSTFYSSTVCDGDTMIKPQGPSYAAISGTDLMSVMKRMAELEERMSMLSVKPATVPAEKDRMLKTALGRVDALEQELMATKQALEESLTRQDELLGSLDKKKKKKKNKINKKMFIW >ONH96924 pep chromosome:Prunus_persica_NCBIv2:G7:16676432:16681044:1 gene:PRUPE_7G160200 transcript:ONH96924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESSENNFPGKQAKQTLVVEIFREGNRFLTCETMSVSLSPRPVSDVEISEEEGKTRVASLRKKAMNASARFRKSLSKRGRRSSKVLSVEIEDVHDSVELQAVDSLRQALILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLEWRKEFGADTIMEDFEFKEHSEVLQHYPQGHHGVDKDGQPVYIERIGQVDVTKLMQATTMDRYVKYHVREFERTFAVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARDLIARLQKIDGDNYPETLNRMFIINAGPGFRMLWNTVKSFIDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKKPEIMQMVQNGDHKCSTKSGIQERDTAPTLADKRPFSPRFTMEHIEYPGLSRFQEEASIAKNIQESYKCKDHVSMVGKTVDSSWQMAGSSNFALSKGSSSNNIAGDCFSRDDACKVPDGFGSQILAAVMAFVMGIVTMVRLTRNMPKKLTDSTFYSSTVCDGDTMIKPQGPSYAAISGTDLMSVMKRMAELEERMSMLSVKPATVPAEKDRMLKTALGRVDALEQELMATKQALEESLTRQDELLGSLDKKKKKKKNKINKKMVCH >ONH96930 pep chromosome:Prunus_persica_NCBIv2:G7:16676234:16681107:1 gene:PRUPE_7G160200 transcript:ONH96930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLSPRPVSDVEISEEEGKTRVASLRKKAMNASARFRKSLSKRGRRSSKVLSVEIEDVHDSVELQAVDSLRQALILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLEWRKEFGADTIMEDFEFKEHSEVLQHYPQGHHGVDKDGQPVYIERIGQVDVTKLMQATTMDRYVKYHVREFERTFAVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARDLIARLQKIDGDNYPETLNRMFIINAGPGFRMLWNTVKSFIDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKKPEIMQMVQNGDHKCSTKSGIQERDTAPTLADKRPFSPRFTMEHIEYPGLSRFQEEASIAKNIQESYKCKDHVSMVGKTVDSSWQMAGSSNFALSKGSSSNNIGDCFSRDDACKVPDGFGSQILAAVMAFVMGIVTMVRLTRNMPKKLTDSTFYSSTVCDGDTMIKPQGPSYAAISGTDLMSVMKRMAELEERMSMLSVKPATVPAEKDRMLKTALGRVDALEQELMATKQALEESLTRQDELLGSLDKKKKKKKNKINKKMFIW >ONH96925 pep chromosome:Prunus_persica_NCBIv2:G7:16676432:16681044:1 gene:PRUPE_7G160200 transcript:ONH96925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESSENNFPGKQAKQTLVVEIFREGNRFLTCETMSVSLSPRPVSDVEISEEEGKTRVASLRKKAMNASARFRKSLSKRGRRSSKVLSVEIEDVHDSVELQAVDSLRQALILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLEWRKEFGADTIMEDFEFKEHSEVLQHYPQGHHGVDKDGQPVYIERIGQVDVTKLMQATTMDRYVKYHVREFERTFAVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARDLIARLQKIDGDNYPETLNRMFIINAGPGFRMLWNTVKSFIDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKKPEIMQMVQNGDHKCSTKSGIQERDTAPTLADKRPFSPRFTMEHIEYPGLSRFQEEASIAKNIQESYKCKDHVSMVGKTVDSSWQMAGSSNFALSKGSSSNNIGDLISVFSFFLCVLQ >ONH96926 pep chromosome:Prunus_persica_NCBIv2:G7:16676223:16681045:1 gene:PRUPE_7G160200 transcript:ONH96926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLSPRPVSDVEISEEEGKTRVASLRKKAMNASARFRKSLSKRGRRSSKVLSVEIEDVHDSVELQAVDSLRQALILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLEWRKEFGADTIMEDFEFKEHSEVLQHYPQGHHGVDKDGQPVYIERIGQVDVTKLMQATTMDRYVKYHVREFERTFAVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARDLIARLQKIDGDNYPETLNRMFIINAGPGFRMLWNTVKSFIDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKKPEIMQMVQNGDHKCSTKSGIQERDTAPTLADKRPFSPRFTMEHIEYPGLSRFQEEASIAKNIQESYKCKDHVSMVGKTVDSSWQMAGSSNFALSKGSSSNNIAGDCFSRDDACKVPDGFGSQILAAVMAFVMGIVTMVRLTRNMPKKLTDSTFYSSTVCDGDTMIKPQGPSYAAISGTDLMSVMKRMAELEERMSMLSVKPATVPAEKDRMLKTALGRVDALEQELMATKQALEESLTRQDELLGSLDKKKKKKKNKINKKMFIW >ONH96932 pep chromosome:Prunus_persica_NCBIv2:G7:16676117:16681107:1 gene:PRUPE_7G160200 transcript:ONH96932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLSPRPVSDVEISEEEGKTRVASLRKKAMNASARFRKSLSKRGRRSSKVLSVEIEDVHDSVELQAVDSLRQALILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLEWRKEFGADTIMEDFEFKEHSEVLQHYPQGHHGVDKDGQPVYIERIGQVDVTKLMQATTMDRYVKYHVREFERTFAVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARDLIARLQKIDGDNYPETLNRMFIINAGPGFRMLWNTVKSFIDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKKPEIMQMVQNGDHKCSTKSGIQERDTAPTLADKRPFSPRFTMEHIEYPGLSRFQEEASIAKNIQESYKCKDHVSMVGKTVDSSWQMAGSSNFALSKGSSSNNIGDCFSRDDACKVPDGFGSQILAAVMAFVMGIVTMVRLTRNMPKKLTDSTFYSSTVCDGDTMIKPQGPSYAAISGTDLMSVMKRMAELEERMSMLSVKPATVPAEKDRMLKTALGRVDALEQELMATKQALEESLTRQDELLGSLDKKKKKKKNKINKKMFIW >ONH96931 pep chromosome:Prunus_persica_NCBIv2:G7:16676275:16681107:1 gene:PRUPE_7G160200 transcript:ONH96931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLSPRPVSDVEISEEEGKTRVASLRKKAMNASARFRKSLSKRGRRSSKVLSVEIEDVHDSVELQAVDSLRQALILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLEWRKEFGADTIMEDFEFKEHSEVLQHYPQGHHGVDKDGQPVYIERIGQVDVTKLMQATTMDRYVKYHVREFERTFAVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARDLIARLQKIDGDNYPETLNRMFIINAGPGFRMLWNTVKSFIDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKKPEIMQMVQNGDHKCSTKSGIQERDTAPTLADKRPFSPRFTMEHIEYPGLSRFQEEASIAKNIQESYKCKDHVSMVGKTVDSSWQMAGSSNFALSKGSSSNNIGDCFSRDDACKVPDGFGSQILAAVMAFVMGIVTMVRLTRNMPKKLTDSTFYSSTVCDGDTMIKPQGPSYAAISGTDLMSVMKRMAELEERMSMLSVKPATVPAEKDRMLKTALGRVDALEQELMATKQALEESLTRQDELLGSLDKKKKKKKNKINKKMFIW >ONH96927 pep chromosome:Prunus_persica_NCBIv2:G7:16676126:16681144:1 gene:PRUPE_7G160200 transcript:ONH96927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLSPRPVSDVEISEEEGKTRVASLRKKAMNASARFRKSLSKRGRRSSKVLSVEIEDVHDSVELQAVDSLRQALILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLEWRKEFGADTIMEDFEFKEHSEVLQHYPQGHHGVDKDGQPVYIERIGQVDVTKLMQATTMDRYVKYHVREFERTFAVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARDLIARLQKIDGDNYPETLNRMFIINAGPGFRMLWNTVKSFIDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKKPEIMQMVQNGDHKCSTKSGIQERDTAPTLADKRPFSPRFTMEHIEYPGLSRFQEEASIAKNIQESYKCKDHVSMVGKTVDSSWQMAGSSNFALSKGSSSNNIAGDCFSRDDACKVPDGFGSQILAAVMAFVMGIVTMVRLTRNMPKKLTDSTFYSSTVCDGDTMIKPQGPSYAAISGTDLMSVMKRMAELEERMSMLSVKPATVPAEKDRMLKTALGRVDALEQELMATKQALEESLTRQDELLGSLDKKKKKKKNKINKKMFIW >ONH96929 pep chromosome:Prunus_persica_NCBIv2:G7:16676294:16681052:1 gene:PRUPE_7G160200 transcript:ONH96929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLSPRPVSDVEISEEEGKTRVASLRKKAMNASARFRKSLSKRGRRSSKVLSVEIEDVHDSVELQAVDSLRQALILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLEWRKEFGADTIMEDFEFKEHSEVLQHYPQGHHGVDKDGQPVYIERIGQVDVTKLMQATTMDRYVKYHVREFERTFAVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARDLIARLQKIDGDNYPETLNRMFIINAGPGFRMLWNTVKSFIDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKKPEIMQMVQNGDHKCSTKSGIQERDTAPTLADKRPFSPRFTMEHIEYPGLSRFQEEASIAKNIQESYKCKDHVSMVGKTVDSSWQMAGSSNFALSKGSSSNNIAGDCFSRDDACKVPDGFGSQILAAVMAFVMGIVTMVRLTRNMPKKLTDSTFYSSTVCDGDTMIKPQGPSYAAISGTDLMSVMKRMAELEERMSMLSVKPATVPAEKDRMLKTALGRVDALEQELMATKQALEESLTRQDELLGSLDKKKKKKKNKINKKMFIW >ONH94675 pep chromosome:Prunus_persica_NCBIv2:G7:4230772:4236229:1 gene:PRUPE_7G026000 transcript:ONH94675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVMASRTLRKRLHHGDVDGKKNEHLETSGSDDLNEPLLGNYYSDTHAEGSSLEETCDDERRKEHLHWTLLFSQLIAQWARWLANIVLGSGSLIGRLLTFPSTTQNGQRTKLRAPPLSPLQEARLRKLRQRLEVPFDGSRVEHQDALLQLWRLAYPDKELPPLKSELWKQMGWQGSDPSTDFRGGGFISLENLIFFAQQYPESFQRLLHKQDGTRAEWEYPFAVAGINISFVLAQMLDLQSAEPASLAGTRFLELLQEDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRSQLERELALEDVSSVKDLPAYNMLRR >ONH94677 pep chromosome:Prunus_persica_NCBIv2:G7:4231086:4236229:1 gene:PRUPE_7G026000 transcript:ONH94677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVMASRTLRKRLHHGDVDGKKNEHLETSGSDDLNEPLLGNYYSDTHAEGSSLEETCDDERRKEHLHWTLLFSQLIAQWARWLANIVLGSGSLIGRLLTFPSTTQNGQRTKLRAPPLSPLQEARLRKLRQRLEVPFDGSRVEHQDALLQLWRLAYPDKELPPLKSELWKQMGWQGSDPSTDFRGGGFISLENLIFFAQQYPESFQRLLHKQDGTRAEWEYPFAVAGINISFVLAQMLDLQSAEPASLAGTRFLELLQEDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRSQLERELALEDVSSVKDLPAYNMLRR >ONH94679 pep chromosome:Prunus_persica_NCBIv2:G7:4230672:4236296:1 gene:PRUPE_7G026000 transcript:ONH94679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVMASRTLRKRLHHGDVDGKKNEHLETSGSDDLNEPLLGNYYSDTHAEGSSLEETCDDERRKEHLHWTLLFSQLIAQWARWLANIVLGSGSLIGRLLTFPSTTQNGQRTKLRAPPLSPLQEARLRKLRQRLEVPFDGSRVEHQDALLQLWRLAYPDKELPPLKSELWKQMGWQGSDPSTDFRGGGFISLENLIFFAQQYPESFQRLLHKQDGTRAEWEYPFAVAGINISFVLAQMLDLQSEPASLAGTRFLELLQEDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRSQLERELALEDVSSVKDLPAYNMLRR >ONH94678 pep chromosome:Prunus_persica_NCBIv2:G7:4231086:4236229:1 gene:PRUPE_7G026000 transcript:ONH94678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVMASRTLRKRLHHGDVDGKKNEHLETSGSDDLNEPLLGNYYSDTHAEGSSLEETCDDERRKEHLHWTLLFSQLIAQWARWLANIVLGSGSLIGRLLTFPSTTQNGQRTKLRAPPLSPLQEARLRKLRQRLEVPFDGSRVEHQDALLQLWRLAYPDKELPPLKSELWKQMGWQGSDPSTDFRGGGFISLENLIFFAQQYPESFQRLLHKQDGTRAEWEYPFAVAGINISFVLAQMLDLQSEPASLAGTRFLELLQEDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRSQLERELALEDVSSVKDLPAYNMLRR >ONH94676 pep chromosome:Prunus_persica_NCBIv2:G7:4230672:4236296:1 gene:PRUPE_7G026000 transcript:ONH94676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVMASRTLRKRLHHGDVDGKKNEHLETSGSDDLNEPLLGNYYSDTHAEGSSLEETCDDERRKEHLHWTLLFSQLIAQWARWLANIVLGSGSLIGRLLTFPSTTQNGQRTKLRAPPLSPLQEARLRKLRQRLEVPFDGSRVEHQDALLQLWRLAYPDKELPPLKSELWKQMGWQGSDPSTDFRGGGFISLENLIFFAQQYPESFQRLLHKQDGTRAEWEYPFAVAGINISFVLAQMLDLQSAEPASLAGTRFLELLQEDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRSQLERELALEDVSSVKDLPAYNMLRR >ONH96129 pep chromosome:Prunus_persica_NCBIv2:G7:13717896:13719086:-1 gene:PRUPE_7G109100 transcript:ONH96129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKLFKKDLIKEDVIINNGGDYVINHVVSLTSSTYGVLNLENERPIKECVAESKRAMKSSPSREDPEVINAWELMEGLEEAIPISNSAKKSPKSRALLRGFADFDARTPFKLLNQIGSPMKLKRFGGKENRGRVIHGLGYSPKQVLKPNNGGENSCKKALNLSPEVKGSPISAIRRSFGSDSSRRKSFSPLFDPELVASYEKEMSEEEEQIKRMVPPSPKARKLRNSSRDSESMLRLFEKKCPPGGENAVVVYTTTLRGIRKTFEDCNNVRSIIESHLVHVLERDISMDSGFKEEIRGLMGTKDVKVPLVFVKGRLIGGADEIVKLEEEGKLGVLFHGIPTALVGCQGCAGMRFVMCMECNGSCKVLDEGQKKMVKCGECNENGLIHCPICC >ONH96563 pep chromosome:Prunus_persica_NCBIv2:G7:15414058:15415059:1 gene:PRUPE_7G137300 transcript:ONH96563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHNEQQAQSYSYKSQEWDESRHKALGSKMAELLKTQEELKKAKERTMQAWINSRPLIDELEMLKSGLASAENQCTTPNIISDLESRLETTNISIRSKREEEHKATKMINEINQASDQMRQKIEKFKRDTDEERQAKPRLKQALHLRRQTLQTLQLGLRAVQIEKEALVASAAEALQYINCSEMEKTTIHLTLEDYHALKKRAEDENSLLEWRVSVALEQKLATETSRNKPLAKLNRLYSQKRSRGREIKEEIISGDGHNGDPRIRNGDQVDTGQNMLPQARNKAIAKLNQRKPQKQRSRSNNSKKSSAKRKPSVLQQIRHFFVRKIKRLFG >ONH95980 pep chromosome:Prunus_persica_NCBIv2:G7:13036352:13039392:1 gene:PRUPE_7G099700 transcript:ONH95980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEQEPWKDAHVTMLLGAAKLLQSKSKEIKGTIKLVFQPGEEEHAGAYHMIKEGALDKIQGIFGLHIQPLMPVGTIGSRPGPILAGSGRFSVTIHGKGGHAAFPHLATDPILAACSAILALQQLISRETDPLDARVVTVGMIEGGQAANIIPETVRLKGTFRSMTSEGLNYLQQRIQEVIEIQASVHGCTATVDFMLEKMKPYPATVNDEAMYKHAKNVGETLLGEPNVKLFPMSMGAEDFSFYTEKMAAAFFMIGTKNETLDPNTIWHSPNLVIDEEVLPIGAALHAAVAISYL >ONH95912 pep chromosome:Prunus_persica_NCBIv2:G7:12783591:12786862:1 gene:PRUPE_7G095500 transcript:ONH95912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQNLVDEYQCDIKRLKKNRAKTAEKNQRQLQILQEENEKLSKMVDSYSKGMQKQLEALENPKKRKRDHETSFAQAKKVIFGSSSDEPQEVLNRCNSTSLPSQRKASKLDGKLYFSSGLSKSSTPDSNPDICKDYKDTGYCGYGDSCKFMHDRGDYKSGWQMEREQRKMMMMMMMRMARCHLHVSSAGNHYPVVTKCNHYFCEHCTLKHHSKNKKCFVCNKPTLGIFSTVYEIRRRMAA >ONH98889 pep chromosome:Prunus_persica_NCBIv2:G7:22194026:22197651:1 gene:PRUPE_7G270800 transcript:ONH98889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASASASTQCFNSRLPLTDNCHSHPRHGGSSILRLQLSQIPKSRSLSSSSSSIGGAIERKDHHPQRSSFARTAAVRHLVGSVTTTQGLRFAVVVARFNEIITKQLLEGALATFKNYSIQEEDIDVVWVPGSFEIGVAAQRLGSLGRYHAILCIGAVIRGDTTHYDAVANSAASGVLSAGLKSGVPCVFGVLTCDNMEQALNRAGGKSGNKGAETALTAIEMASLFEHHLK >ONH98890 pep chromosome:Prunus_persica_NCBIv2:G7:22194652:22197444:1 gene:PRUPE_7G270800 transcript:ONH98890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASASASTQCFNSRLPLTDNCHSHPRHGGSSILRLQLSQIPKSRSLSSSSSSIGGAIERKDHHPQRSSFARTAAVRHLVGSVTTTQGLRFAVVVARFNEIITKQLLEGALATFKNYSIQEEDIDVVWVPGSFEIGVAAQRLGSLGRYHAILCIGAVIRGDTTHYDAVANSAASGVLSAGLKSGCSMRFWCPNL >ONH97381 pep chromosome:Prunus_persica_NCBIv2:G7:18043682:18049701:-1 gene:PRUPE_7G186800 transcript:ONH97381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYPEEMRSPPVSLVSVVGCSELHTSISTYLHSLDPPINTLALPDLSKASLLLTPKPTTTPTSDSTAPPPAGILKREWLLKHRTKVPSVVAALFSSDRVSGDPAQWLQLCSDLDNLKALLRGRNIKLVVVVVCSNPNDEISEDQMVAVRKRADVDAKYLLTFYQNPDGDGDGSQLKESLYRLGSVFVELASKYYRDEGRRIKARIERKSSNPPELNIRYSFKLIAGTSNRVSIQRLVEIKTVAEQLHFKISTLLLHGGKIIEAVAWFRQHNASYRKLVGAPEAIFLHWEWMSRQFLVFAELVETSSAAIQSISPLPMDTADRPLTEWEFQPAHYYQLAAHYLKEKRSSLEFAVSMSEGEIDCSAESVVPSSYLGQFARLIEQGDAFVMQPLNDEEYMRYAISEGKRFQDSFEIIALLKKSCESYNNRKVRRMGSFCGFQMAREYYALGDFSNAKQSFDDIASLYRQEGWVTLLWEVLGYLRECSRKQSRVKDFIEYSFEMAALPISADASIQSFRFEESRPAGPATILQRETINKEVFGLVSGELRLASIENGNDLKVCDGNPLHLEIDLVSPLRLVLLASVAFHEQIIKPGSSTLVTLSLLSQLPLNFEIDQLEVQFNQSDCNFIIMNGQRPHVAAMIDSQPGRRIETAPSLALSTNKWLRLTYNIKSDKSGKLECISVIAKIGPHFTICCRAESPASMDDLPLWKFEDRVVTYPTKDPALAFSGQKATQVEEPDPEVDLNLGAFGPALIGESFIVPVTVTSKGHDVNSGELKINLVDVRGGGLFSPRDTELSMDSHHVELLGISGPDGEDESQLNTDEIKKIQQSFGLVSVPFLKSGDSWSCKLEIKWHRPKPIMLYVSLGYSPDTNESNTQKVNVHKSLQIEGKNAIIISHRFMLPFRRYPLLLSRTRPVPDTDQSASMPSNETSVLLVSAKNCSDVPLQLLSLSLEVDGNDGTERSFSVQHGGKDLLDPALLVPGEEFKKVYTVTPEMNSSKLKLGNVCLTWRRDSGSEVQSGSKASVLTTHRLPDVNLELSPLVVSLECPPYAILGDPFTYFVRIQNQTELLQEAKISLADAQSFVLAGSHNDAIFILPKSEHIIRYKLVPLASGAQQLPRFTLASVRYSTGFQPSVASSTIFVFPSKPHFKMVAVGDDRLESLVAE >ONH97382 pep chromosome:Prunus_persica_NCBIv2:G7:18044698:18049532:-1 gene:PRUPE_7G186800 transcript:ONH97382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYPEEMRSPPVSLVSVVGCSELHTSISTYLHSLDPPINTLALPDLSKASLLLTPKPTTTPTSDSTAPPPAGILKREWLLKHRTKVPSVVAALFSSDRVSGDPAQWLQLCSDLDNLKALLRGRNIKLVVVVVCSNPNDEISEDQMVAVRKRADVDAKYLLTFYQNPDGDGDGSQLKESLYRLGSVFVELASKYYRDEGRRIKARIERKSSNPPELNIRYSFKVAVYAEFRRDWAEALRFYEDAYHTLRELIAGTSNRVSIQRLVEIKTVAEQLHFKISTLLLHGGKIIEAVAWFRQHNASYRKLVGAPEAIFLHWEWMSRQFLVFAELVETSSAAIQSISPLPMDTADRPLTEWEFQPAHYYQLAAHYLKEKRSSLEFAVSMSEGEIDCSAESVVPSSYLGQFARLIEQGDAFVMQPLNDEEYMRYAISEGKRFQDSFEIIALLKKSCESYNNRKVRRMGSFCGFQMAREYYALGDFSNAKQSFDDIASLYRQEGWVTLLWEVLGYLRECSRKQSRVKDFIEYSFEMAALPISADASIQSFRFEESRPAGPATILQRETINKEVFGLVSGELRLASIENGNDLKVCDGNPLHLEIDLVSPLRLVLLASVAFHEQIIKPGSSTLVTLSLLSQLPLNFEIDQLEVQFNQSDCNFIIMNGQRPHVAAMIDSQPGRRIETAPSLALSTNKWLRLTYNIKSDKSGKLECISVIAKIGPHFTICCRAESPASMDDLPLWKFEDRVVTYPTKDPALAFSGQKATQVEEPDPEVDLNLGAFGPALIGESFIVPVTVTSKGHDVNSGELKINLVDVRGGGLFSPRDTELSMDSHHVELLGISGPDGEDESQLNTDEIKKIQQSFGLVSVPFLKSGDSWSCKLEIKWHRPKPIMLYVSLGYSPDTNESNTQKVNVHKSLQIEGKNAIIISHRFMLPFRRYPLLLSRTRPVPDTDQSASMPSNETSVLLVSAKNCSDVPLQLLSLSLEVDGNDGTERSFSVQHGGKDLLDPALLVPGEEFKKVYTVTPEMNSSKLKLGNVCLTWRRDSGSEVQSGSKASVLTTHRLPDVNLELSPLVVSLECPPYAILGDPFTYFVRIQNQTELLQEAKISLADAQSFVLAGSHNDAIFILPKSEHIIRYKLVPLASGAQQLPRFTLASVRYSTGFQPSVASSTIFVFPSKPHFKMVAVGDDRLESLVAE >ONH96959 pep chromosome:Prunus_persica_NCBIv2:G7:16774865:16779633:1 gene:PRUPE_7G162200 transcript:ONH96959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASQWQWETATAGAIAGFATVAAMHPLDVVRTRFQVHDGRVSNLPTYKNTAHAIFTIARLEGLGGLYAGFSPAVLGSTVSWGLYFFFYGRAKQRYSKNRDEQLSPGLHLASAAEAGALVSLCTNPIWLVKTRLQLQTPLHQTQPYSGFYDALRTIVREEGWAALYKGLLPSLFLVSHGAIQFTAYEELRKVVVDFKSKKRRRHSGSADSVLNSVDYAALGASSKVAAILLSYPFQVMRSRLQQRPSSEGIPRYMDSWHVIRETVRFEGVRGFYKGITPNLLKNVPAASITFIVYENVLKFLKFARTNE >ONH96961 pep chromosome:Prunus_persica_NCBIv2:G7:16774865:16779630:1 gene:PRUPE_7G162200 transcript:ONH96961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASQWQWETATAGAIAGFATVAAMHPLDVVRTRFQVHDGRVSNLPTYKNTAHAIFTIARLEGLGGLYAGFSPAVLGSTVSWGLYFFFYGRAKQRYSKNRDEQLSPGLHLASAAEAGALQVSHGAIQFTAYEELRKVVVDFKSKKRRRHSGSADSVLNSVDYAALGASSKVAAILLSYPFQVMRSRLQQRPSSEGIPRYMDSWHVIRETVRFEGVRGFYKGITPNLLKNVPAASITFIVYENVLKFLKFARTNE >ONH96957 pep chromosome:Prunus_persica_NCBIv2:G7:16774865:16779630:1 gene:PRUPE_7G162200 transcript:ONH96957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASQWQWETATAGAIAGFATVAAMHPLDVVRTRFQVHDGRVSNLPTYKNTAHAIFTIARLEGLGGLYAGFSPAVLGSTVSWGLYFFFYGRAKQRYSKNRDEQLSPGLHLASAAEAGALVSLCTNPIWLVKTRLQLQTPLHQTQPYSGFYDALRTIVREEGWAALYKGLLPSLFLQVSHGAIQFTAYEELRKVVVDFKSKKRRRHSGSADSVLNSVDYAALGASSKVAAILLSYPFQVMRSRLQQRPSSEGIPRYMDSWHVIRETVRFEGVRGFYKGITPNLLKNVPAASITFIVYENVLKFLKFARTNE >ONH96958 pep chromosome:Prunus_persica_NCBIv2:G7:16774865:16779630:1 gene:PRUPE_7G162200 transcript:ONH96958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASQWQWETATAGAIAGFATVAAMHPLDVVRTRFQVHDGRVSNLPTYKNTAHAIFTIARLEGLGGLYAGFSPAVLGSTVSWGLYFFFYGRAKQRYSKNRDEQLSPGLHLASAAEAGALVSLCTNPIWLVKTRLQLQTPLHQTQPYSGFYDALRTIVREEGWAALYKGLLPSLFLQVSHGAIQFTAYEELRKVVVDFKSKKRRRHSGSADSVLVMRSRLQQRPSSEGIPRYMDSWHVIRETVRFEGVRGFYKGITPNLLKNVPAASITFIVYENVLKFLKFARTNE >ONH96960 pep chromosome:Prunus_persica_NCBIv2:G7:16774865:16779630:1 gene:PRUPE_7G162200 transcript:ONH96960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASQWQWETATAGAIAGFATVAAMHPLDVVRTRFQVHDGRVSNLPTYKNTAHAIFTIARLEGLGGLYAGFSPAVLGSTVSWGLYFFFYGRAKQRYSKNRDEQLSPGLHLASAAEAGALVSLCTNPIWLVKTRLQLQTPLHQTQPYSGFYDALRTIVREEGWAALYKGLLPSLFLVSHGAIQFTAYEELRKVVVDFKSKKRRRHSGSADSVLVMRSRLQQRPSSEGIPRYMDSWHVIRETVRFEGVRGFYKGITPNLLKNVPAASITFIVYENVLKFLKFARTNE >ONH97520 pep chromosome:Prunus_persica_NCBIv2:G7:18466162:18467172:1 gene:PRUPE_7G194400 transcript:ONH97520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQQQTIGKPSERNDFKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDSAEKAARAFDAALFCLRGRTAKFNFPDNPPDISGGRTLSRAEIQAEAARFANSEPQTTQHHSDHSMSELQTESQSQSPSPSVSEGSGTVPMDSDFQVTQNDSFSDLFRSFGSGNYATEYGLFPGFDELNGQFFSPATTEPTTGVDYYAEENLDGVTPGQGSFLWNF >ONH94969 pep chromosome:Prunus_persica_NCBIv2:G7:7918791:7920654:1 gene:PRUPE_7G042800 transcript:ONH94969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYTVTPRITSPDRDFSASFNVAQSNWLITRLSKDGKFREARQVFDGMPDKDVVTWTTVITGYIRCGMMEEARSLFDRVDAKKDVITWTALVSGYIRLKQMKEAERLFYQMPVKNVVSWNTMIDGYARNCQVDMALELFERMPEKNVVSWNTVLTALAHSGRIEEARTRFNLMPERDVISWTAMVAGFSRNGMIDEAREFFDRMPKRNVVSWNAMITGYTQNMRLDEALELFERMPGRDMPSWNTMITGFIQNGDLKRAQELFIRMPQKNVISWTTMITGYVQDGQNEKALMFFSKMLVDNGVKPNQGTFVSVLSACSNLAGFSEGQQIHQMISKTVHHECAFLVSALINMYSKCGELVTARKMFDDGLTIHRDMVSWNGMIAAYAHHGCGIEAINLFNEMRKLGCKPDDVTYVGLLSACSHAGLVEEGLKYFNELLRDGSIQVREDHYTCLVDLCGRAGRLKEAFNVLEKLGTKISASVWGALLAGCNVHGNMDIGKLAAEKLLEGEPEKAGTYLLLCNIYASSGKWREAAKIRMKMKEKGLKKQPGCSWIEVGNKVHVFVVGDKSHYQSELIYSLIYNLHERMKKIGYIPYDDLTVDDNFS >ONH97498 pep chromosome:Prunus_persica_NCBIv2:G7:18381958:18384145:-1 gene:PRUPE_7G192900 transcript:ONH97498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNGSGKLTGIRQIVKFKEILQKWQVVTLGSRANSPRASTRSDRGEGTPRRGEGTPRREEGASHIEEGTPRSDRNRGGISPAISKRLTNIICDSDEDNGHSPEPPADVPEGYLAVYVGPELRRFIIPTSYLSHSLFKVLLEKAEEEFGFDHSGGLTIPCETETFKYLLKCMENHQKAHPDESPAGNWKLIQ >ONH98266 pep chromosome:Prunus_persica_NCBIv2:G7:20620129:20625493:-1 gene:PRUPE_7G238800 transcript:ONH98266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSGNLEISTTSQGKDLNPGTLPYNTSINSHTLFLNTPQESLTLSMSTTSMKEPPLSRRTRPTSQPKKLKLVCSFNGAFQPRPPSGKLRYVGGEARIVSVDRNIGFSKLRSKILDLCPNINPSFSLKYQLPGSGSDSATSPLVLIVSDDDVRCMIDEYDKLELDGKSARLWVFVCSNGNDNLNVHVNCVKGLKFSNGFESESPNIETHHLGGAPIDDKVAVKNPGAVRYGDESLRKMVLKQQLLAKQSALGRGFGVNESDMEMGCFGESQKCASYERPPIDLGPEQPVSINRDLLANRVGSNWGNNQVQFSVSRSNLLNPRYGNLRVETDSSGQCCSARSGQVSCNGVGMYSQSVPATQCSKPMNCSSGMKPTCNCCNAKKDLGNSGVVGISYLNRENVKPWEANCYSVKDQLSCHYSGESLGGTVYPVKSSYAGDKVCGGFNSSIRNHRFGVNDSRIQRCYQYHVRNHHRNNIAEMGNNRTKQGISVRKCYPGLRPNSNIAKQGQPMRAHNLNSWRHCYGFSEQTMEERVRMMDSNSVKDSYLMDVLCGNEKPSKWGGPALPQHRNLNPEESLLGCYGALTGVVDQSLLNSDATESSGPSVRRMDILEDLLTGSDFGNCEGPYRTSYENFHAVPVNCDLEKEVRLMDSQKVADTSGLPSEVGCGIGITLEGDNKLPNGEAVINSVINCENGTNGIQGSYSGVTSSVSISLHNLSLSSSKEAEAPQLSSHASSVVSSDVLLKPQSKPIDLMDEGQVTSGHLVDGSNGVASNPFSQNSAKKEKDSVQYEEVQQDPSSSIRIDGKANNGESLECFKVNGGTSSDPAAFYTHLATRELQTIKNSDLEFIKELGSGTYGTVYYGKWKGSDVAIKKIKPGCFTEGTVKEDRLLADFWKEARILGQLHHPNIVAFYGVVSDGPVTNLATVTEYMVNGSLKQVLQKKDRTIDRRKRLIIAMDAAFGMEYLHEKSIVHFDLKSHNFLVNMRDTQRPVCKVGDLGLSKIKQRTLVSGGVRGTIPWMAPELLNSQNNLVTEKVDVYSFGIVMWELLTGEEPYANLRSKELIAGIIKGSLRPEIPSWCDPMWRSLMERCWSSDPDSRPPFSEIAKELRAMSAAMNIK >ONH96760 pep chromosome:Prunus_persica_NCBIv2:G7:16191597:16193073:-1 gene:PRUPE_7G150500 transcript:ONH96760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQSSSPTANGGRDDAVITIAATTIIQTTPLDQRIQETRWLLHPSAGNESCCIFKVRQCLVEINNKTYQPHIVSCGPYHYGDQHLEMIQQHKRRFVRDLLVPNGPTLNHYQQVVAAMEEEIRGLSSHDLVEVMVLDGLFTVELFCKAGKLSPSDPDDPIFNLAWVFANILQDLFRFENQIPFFLLQKLFDESKPSRKDSDSSLAKLALDFFRYAEEPRNVRPLVQLIKYVKKPLEGTRNAMQKFVAGRKGNTSPSIEFIQSAKKLRQAGIKFKTREAVSFLEIRFCNGVLEIPHIVIDNLRADLLMNFVAFEQCYSHCSKDVTSYAAFMSCLIRTPEDVLFLCDKNIIENYLGTDEEVVHFFQNLGKDVPLDIGEGYLWKLFKDVNEYHRSMWHVRWEGFRFKYFGTPWTFLSALAAIILLLLTAIQAFFAVYGYARPPKPGKKH >ONH98560 pep chromosome:Prunus_persica_NCBIv2:G7:21400921:21401691:1 gene:PRUPE_7G254500 transcript:ONH98560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGIAAMMLRSVIEVSISIHDLEIEHRPYHRNCSCALHKLKSICSNACPPQQRNISFPKKKPPSSKRLITIQARHGVRGSTPTQTKTKEDLGDGGEVYVSPSTYSTHTNRHVDDAGFIDPS >ONH94532 pep chromosome:Prunus_persica_NCBIv2:G7:3219680:3230326:1 gene:PRUPE_7G021300 transcript:ONH94532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCKSPHVVLLLYVMVCIVYQSFAFGVDTNQTAKLLVDASEASGRPISETMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNVPSNIDPWSIIGNESSLIVSTDRSSCFDRNKVALRMEVLCDSQGANSCPDDGVGIYNPGFWGMNIEKGKTYSVVLYVRSSGSINVSVSLMGSDGLQKLAAASIIASGSEVSNWKKFKVMLEAQGTNPNSRLQLTTTRKGFIWFDQVSVMPLDTYKGHGFRKDLAVILEDLKPQFMRFPGGSFVEGEWLRNAFRWKETIGPWEERPGHFADVWMYWTDDGLGYFEFLQLAEDLGTLPIWVFNNGISHNDEVDTSSVLPFVQEALDGLEFARGNPNSTWGSLRAAMGHPEPFDLRYVGIGNEDCGRKNYLGNYLKFYSAIKRAYPDIKIISNCDGSSQKLDHPADLFDFHFYADDAKNMFSMAHHFDQTSRSGPKAFVSEYAVTTGSLLAALGEAGFLIGLEKNSDVVEMACYAPLFANDNGRNSKFSAIVFNSSHLYGTPSYWMQSLFNESSGATIFNATLQTNSSTNQVEQLLASAISWKNSENENSYLRIKIVNFGTDIVNLKIVVDGLEPNSINLSKSTKTVLTSNNPMDENSLNEPKKVIPNRIPLEKAGEEGEDVEVAISPYSLTSIDFLIESS >ONH94533 pep chromosome:Prunus_persica_NCBIv2:G7:3219680:3230326:1 gene:PRUPE_7G021300 transcript:ONH94533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCKSPHVVLLLYVMVCIVYQSFAFGVDTNQTAKLLVDASEASGRPISETMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNVPSNIDPWSIIGNESSLIVSTDRSSCFDRNKVALRMEVLCDSQGANSCPDDGVGIYNPGFWGMNIEKGKTYSVVLYVRSSGSINVSVSLMGSDGLQKLAAASIIASGSEVSNWKKFKVMLEAQGTNPNSRLQLTTTRKGFIWFDQVSVMPLDTYKGHGFRKDLAVILEDLKPQFMRFPGGSFVEGEWLRNAFRWKETIGPWEERPGHFADVWMYWTDDGLGYFEFLQLAEDLGTLPIWVFNNGISHNDEVDTSSVLPFVQEALDGLEFARGNPNSTWGSLRAAMGHPEPFDLRYVGIGNEDCGRKNYLGNYLKFYSAIKRAYPDIKIISNCDGSSQKLDHPADLFDFHFYADDAKNMFSMAHHFDQTSRSGPKAFVSEYAVTTGSLLAALGEAGFLIGLEKNSDVVEMACYAPLFANDNGRKL >ONH96493 pep chromosome:Prunus_persica_NCBIv2:G7:15134628:15136201:-1 gene:PRUPE_7G132600 transcript:ONH96493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIQVPSQVSTTVWPPFLISFNKLPIKAPTFCSLLQITQGLQSCNGRSLRSDSPPNSFSDYSTKVMGKKRKHSEAEAATREKEEEVAPERPKRTLSGWKENKDDQVNQTESATFFRDKEKMPTGSF >ONH97235 pep chromosome:Prunus_persica_NCBIv2:G7:17594427:17599681:1 gene:PRUPE_7G178600 transcript:ONH97235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGCLVQCSANYMPLSPISFLERAAVVYGDKVSIVYGGQRFSWKETHQRCFKVASAFVLLGISRHDVVAAFAPNIPALYELHFSVPMAGAILCALNIRLDSPTLSLILQQLEAKAILVDHQYIEVVLQALDILSQTKYNPPLLILIPEFDQSSSSSSPIDLDLPPGTLNYNDLLQAKAEPNFQILRPSNECDPISVSYTSGSTGNPKGVLYSHRAVYLNSLAAIFQSDMRKMPVFLWTVEMFRCNGWCFPWAVAALGGTNICLRNVSAKLIFEAIRLHKVTHMCGAPSILNILADASENDQAREIKSRVEIIVAGALPAPQILTKVAELGFNVSHGYGMTEALGPAIVTPCKPGQKQSQYNLMMEGVDVKDPNTMESVEFDGKTIGEIMFRGNTLMLNYLENSKPVHEVFKGGWYRTGDLAVRHPDGYIQMKDRARDIIICGGEVISTLEVEAVLLTHPQVLQAAVVGRYDEVLGETPCAFVKLKEGFGGGGEASSREVIEFCEGKLPGFMVPKVVVFGDLPSPTGKIQKFVLREKANLVGGQE >ONH97119 pep chromosome:Prunus_persica_NCBIv2:G7:17273790:17275717:1 gene:PRUPE_7G170900 transcript:ONH97119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFGGGSSYFSSLLFPDIGKKKHRRRVSAMKEDYEIDEKKQAAADVLFNYSKFVMACIGNQVRPCDLRLHLMKEISGIPTSLKKDSAQRAASPDAMGESSSSGTARLDKTDSFRDRAL >ONH98339 pep chromosome:Prunus_persica_NCBIv2:G7:20880002:20883360:1 gene:PRUPE_7G244300 transcript:ONH98339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALVNYSGDDDFYPAGSFYSNPMDLGCLLSIGSVYYPPSKRARKDSQFAFEGSDFEQVRKPSIEVLPDECLFEIFRRLDGGKERSSCACVSKKWLMLLSSIRKVDLCKSPAADKVIQPLKLDNSDDTEMTTGEEDQELENDGYLTRCLEGKKATDIRLAAIAVGTSSRGGLGKLSIRGSNSFRGVTNLGLSAVAQGCPSLKSLSLWNVSSVGDEGLIEIAKGCPLLEKLDLCQCPSISNRGLIAIAERCPNLTALNVEACSRIGNEGLQAIGRFCSKLQSISIRDCTLVGDHGVSSLLSSASSVLTKVKLQALNITDFSLAVIGHYGKAVTNLVLSGLQNVSEKGFWVMGNAQALHNLVSLTITSCRGTTDVSLEAIGKGCTNLKQMCLRKCCFVSDNGLVGFAKAAGSLESLQLEECNRVTQAGIISALSNCGAKLRSLTLVKCMGIKDIGSAVPMLSSCISLRSLSVRNCPGFGSASLALVGRLCPQLQNVDLSGLYGMTDAGILSLLESLEEGLVKVNLNGCLNLTDEVVVALARLHGETLEVLSLDGCRKITDASLAAIADNCLFLRELDVSKCAITDSGLAFLACADRINLQVLSISGCSEISHKSLSSLKKLGKTLVGLNLQNCTAISNRSVELLVESLWRCDILA >ONH95534 pep chromosome:Prunus_persica_NCBIv2:G7:11283774:11284386:1 gene:PRUPE_7G075900 transcript:ONH95534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTANYHQADFIPIEQPNLMPLLTLMRETTKNEIPATRKWWGQPLLYGQIAPTRVGIALLAKDRMQTQKVARERKSIPFK >ONH98647 pep chromosome:Prunus_persica_NCBIv2:G7:21647377:21656220:-1 gene:PRUPE_7G260100 transcript:ONH98647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDEFGDLYTDVLRPFESSQSSSAPQPHQPSTAPQPHRPIDLNLRNEEDEILYAAPHSNPSLPHPPNTQTLAPADSVPANSTKDADSAVGSRGLEDKGVELPKVDSVDSNIGGKTVDLMDKDVNFDIEEDNNETDDMGLDPVIPGLSETLPVNDSAVNIGNPEVSRKEGERGEDDWDSDDSEDDLQIVLNDNDHGPMAMERGGIGGNAEGGDDDDDEDGLVIVADGELNQPMEEQEWGEDGAQAAEGERKEMGEAGKAVGGGSVVAPPKVGYSNHGYHPFHSQFKYVRPGAVPMTGPATSSPGGVPGQVRPLVNVGPVAGRGRGDWRPTGLKNATPLQKNFHSGFGMPGWGNNMGGRGFGGGLEFTLPSHKTIFDVDIDGFEEKPWKYPGVDTSDFFNFGLNEESWKDYCKQLEQLRLESTMQSKIRVYESGRTEQEYDPDLPPELAAATGIHDFPAENANPGKSDVGQSDLVKGSARLRPPIPTGRAIQVEGGYGERLPSIDTRPPRIRDSDAIIEIVLQDSLDDDSSAGNGIPEKTENDRPREDFGRSAVGEGDLAQVDSVYFDGFPASYNDRKREPVGRKIPFHDSIPEEEGILPFPPEAPVPYTGSGGETPSYPGGSFGSTFEERGTQGRARDRSPRVTPSRNTRDKKFLDNQKEESVESMDGKRSPQISSPVTNRGAHESSVECRDSDQDEPVLADGSSGMEKEEMATVTVNDELQDGPPKHKKLSSRVEQSADEELDDGEDSKAARSSDNSKARSGSSKDYQKWRDGVEEEVIQGRSTHMGGIKRHLNENEQGFQRKNRDGRQEPDRSHTVVKGREGSYPYRDWDTSSAHPLQLKNDGLHRRKERDNLDGPWQRRDDEPYGRRIRTEETRKRERGDEMGSRHRSKARESERSDKDEHLQSRKQLDNGSYRVYHDKDVGSRPREREGSLKGIDDYHGKRRKDEEYMRRDHIDKEDFVHGHRESASRRKRERDEILDQRKRDDQQRVRDNLDDPHSVRHKDESWLQRERGDRQREREEWHRVKQSHEENIPKRERDEGRASIRGGRGAEDKAWVGHTRAKDESKGSDKEHQYKDTVRHSEPSKRRDRVEEESSNHRGREDVYGRGNQLNNDEKRSGKERSSTRNERADNQKLHDRRPKDNTRKNKESEIADNSTTVTSKRHQEDQSGHSKEMGLKGTRVQGTGEGIPQHRHSSKRHKEDASSDDEQQDLRRGRSKLERWTSHKERDFSINSKSSLKLKELDRSHNRGSSDASKLPEESSKPVEAVDNQHSLVEEKDAGDQDIKDADTKQVDADTKPLEDRHLDTVEKLKKRSERFRLPMPSEKEAATIKKLESEVLPTTNSETPVESEIKPERPARKRRWISN >ONH98648 pep chromosome:Prunus_persica_NCBIv2:G7:21648226:21656032:-1 gene:PRUPE_7G260100 transcript:ONH98648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDEFGDLYTDVLRPFESSQSSSAPQPHQPSTAPQPHRPIDLNLRNEEDEILYAAPHSNPSLPHPPNTQTLAPADSVPANSTKDADSAVGSRGLEDKGVELPKVDSVDSNIGGKTVDLMDKDVNFDIEEDNNETDDMGLDPVIPGLSETLPVNDSAVNIGNPEVSRKEGERGEDDWDSDDSEDDLQIVLNDNDHGPMAMERGGIGGNAEGGDDDDDEDGLVIVADGELNQPMEEQEWGEDGAQAAEGERKEMGEAGKAVGGGSVVAPPKVGYSNHGYHPFHSQFKYVRPGAVPMTGPATSSPGGVPGQVRPLVNVGPVAGRGRGDWRPTGLKNATPLQKNFHSGFGMPGWGNNMGGRGFGGGLEFTLPSHKTIFDVDIDGFEEKPWKYPGVDTSDFFNFGLNEESWKDYCKQLEQLRLESTMQSKIRVYESGRTEQEYDPDLPPELAAATGIHDFPAENANPGKSDVGQSDLVKGSARLRPPIPTGRAIQVEGGYGERLPSIDTRPPRIRDSDAIIEIVLQDSLDDDSSAGNGIPEKTENDRPREDFGRSAVGEGDLAQVDSVYFDGFPASYNDRKREPVGRKIPFHDSIPEEEGILPFPPEAPVPYTGSGGETPSYPGGSFGSTFEERGTQGRARDRSPRVTPSRNTRDKKFLDNQKEESVESMDGKRSPQISSPVTNRGAHESSVECRDSDQDEPVLADGSSGMEKEEMATVTVNDELQDGPPKHKKLSSRVEQSADEELDDGEDSKAARSSDNSKARSGSSKDYQKWRDGVEEEVIQGRSTHMGGIKRHLNENEQGFQRKNRDGRQEPDRSHTVVKGREGSYPYRDWDTSSAHPLQLKNDGLHRRKERDNLDGPWQRRDDEPYGRRIRTEETRKRERGDEMGSRHRSKARESERSDKDEHLQSRKQLDNGSYRVYHDKDVGSRPREREGSLKGIDDYHGKRRKDEEYMRRDHIDKEDFVHGHRESASRRKRERDEILDQRKRDDQQRVRDNLDDPHSVRHKDESWLQRERGDRQREREEWHRVKQSHEENIPKRERDEGRASIRGGRGAEDKAWVGHTRAKDESKGSDKEHQYKDTVRHSEPSKRRDRVEEESSNHRGREDVYGRGNQLNNDEKRSGKERSSTRNERADNQKLHDRRPKDNTRKNKESEIADNSTTVTSKRHQEDQSGHSKEMGLKGTRVQGTGEGIPQHRHSSKRHKEDASSDDEQQDLRRGRSKLERWTSHKERDFSINSKSSLKLKELDRSHNRGSSDASKLPEESSKPVEAVDNQHSLVEEKDAGDQDIKDADTKQVDADTKPLEDRHLDTVEKLKKRSERFRLPMPSEKEAATIKKLESEVLPTTNSETPVESEIKPERPARKRRWISN >ONH98649 pep chromosome:Prunus_persica_NCBIv2:G7:21647377:21656214:-1 gene:PRUPE_7G260100 transcript:ONH98649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDEFGDLYTDVLRPFESSQSSSAPQPHQPSTAPQPHRPIDLNLRNEEDEILYAAPHSNPSLPHPPNTQTLAPADSVPANSTKDADSAVGSRGLEDKGVELPKVDSVDSNIGGKTVDLMDKDVNFDIEEDNNETDDMGLDPVIPGLSETLPVNDSAVNIGNPEVSRKEGERGEDDWDSDDSEDDLQIVLNDNDHGPMAMERGGIGGNAEGGDDDDDEDGLVIVADGELNQPMEEQEWGEDGAQAAEGERKEMGEAGKAVGGGSVVAPPKVGYSNHGYHPFHSQFKYVRPGAVPMTGPATSSPGGVPGQVRPLVNVGPVAGRGRGDWRPTGLKNATPLQKNFHSGFGMPGWGNNMGGRGFGGGLEFTLPSHKTIFDVDIDGFEEKPWKYPGVDTSDFFNFGLNEESWKDYCKQLEQLRLESTMQSKIRVYESGRTEQEYDPDLPPELAAATGIHDFPAENANPGKSDVGQSDLVKGSARLRPPIPTGRAIQVEGGYGERLPSIDTRPPRIRDSDAIIEIVLQDSLDDDSSAGNGIPEKTENDRPREDFGRSAVGEGDLAQVDSVYFDGFPASYNDRKREPVGRKIPFHDSIPEEEGILPFPPEAPVPYTGSGGETPSYPGGSFGSTFEERGTQGRARDRSPRVTPSRNTRDKKFLDNQKEESVESMDGKRSPQISSPVTNRGAHESSVECRDSDQDEPVLADGSSGMEKEEMATVTVNDELQDGPPKHKKLSSRVEQSADEELDDGEDSKAARSSDNSKARSGSSKDYQKWRDGVEEEVIQGRSTHMGGIKRHLNENEQGFQRKNRDGRQEPDRSHTVVKGREGSYPYRDWDTSSAHPLQLKNDGLHRRKERDNLDGPWQRRDDEPYGRRIRTEETRKRERGDEMGSRHRSKARESERSDKDEHLQSRKQLDNGSYRVYHDKDVGSRPREREGSLKGIDDYHGKRRKDEEYMRRDHIDKEDFVHGHRESASRRKRERDEILDQRKRDDQQRVRDNLDDPHSVRHKDESWLQRERGDRQREREEWHRVKQSHEENIPKRERDEGRASIRGGRGAEDKAWVGHTRAKDESKGSDKEHQYKDTVRHSEPSKRRDRVEEESSNHRGREDVYGRGNQLNNDEKRSGKERSSTRNERADNQKLHDRRPKDNTRKNKESEIADNSTTVTSKRHQEDQSGHSKEMGLKGTRVQGTGEGIPQHRHSSKRHKEDASSDDEQQDLRRGRSKLERWTSHKERDFSINSKSSLKLKELDRSHNRGSSDASKLPEESSKPVEAVDNQHSLVEEKDAGDQDIKDADTKQVDADTKPLEDRHLDTVEKLKKRSERFRLPMPSEKEAATIKKLESEVLPTTNSETPVESEIKPERPARKRRWISN >ONH95180 pep chromosome:Prunus_persica_NCBIv2:G7:9420831:9425518:-1 gene:PRUPE_7G055400 transcript:ONH95180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAYCSDCKRQTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGASKKEIGRAKEYIVKQLGLEKGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKDISVATGVAEGTIRNSYKDLYPHVSKIIPNWYAKEEDLKNLCSP >ONH94816 pep chromosome:Prunus_persica_NCBIv2:G7:5967318:5967545:1 gene:PRUPE_7G032200 transcript:ONH94816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGNLLHEFFYCMRTCVAFWNKFLSEQASLRLCPSPSEGASFTMSSEFKACSLYLMLQRLNCLNNWGHQFWDHK >ONH97370 pep chromosome:Prunus_persica_NCBIv2:G7:18002254:18002793:1 gene:PRUPE_7G186100 transcript:ONH97370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFLVGVIKHGLGSWSTIAKEFVSTKTPHQVAIYAESFFMRLLKPFLYNLGFKRTKQLPTIIPPREMDMNLPLPDNYSSSSKPPVPAAMATPAASGSNSMSLQKLDKYTSLFFPLEASSDERSAKTTPPVEPEMPVSLRALAEEPEPIKISLALPEFERDNEMEEEELNLELTLGRGGTS >ONH95100 pep chromosome:Prunus_persica_NCBIv2:G7:9000221:9008612:-1 gene:PRUPE_7G052200 transcript:ONH95100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFRPLLAQRLGLAFFKQPRLSSLLCSVHQRGRRYSTTCVAECDGLLDGDDNDDAKAREKDAALRLALSRLSGDFGRESMLSLQRFFRSRRPPIISTGSLKLDLALGIGGLPKGRIVEIYGQEASGKTTLALHIIKEAQKLGGYCAYLDIENAMDPSLAESMGVNTENLLFSHPSSAENVLNVVDTLTKSGSLDVIVVDSVAALVPQCELDVVIDGAYRDAQSRIITQALRKIHSSLSRSRTLIVFVNQVRSSPSTGQDFGPMDEVTCGGNALRFYAAVRLRIKRTRLLKTEDKVTGLGISVQVVKNKLAPAMKKADLGIQFGRGLCLESEVFQLACEHGLIAKEGSSYLIGRKVFSTEHAAEQYLAKNDALFDKAVTILRKMMFER >ONH98901 pep chromosome:Prunus_persica_NCBIv2:G7:22234896:22240120:-1 gene:PRUPE_7G271400 transcript:ONH98901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGGTTLEHTPTWVVALVCSVIVLISLALERILHYTGKYLKKQNQKPLFEALQKIKEELMLLGFISLLLTVCQDRIAKICITEKQASQWLPCKKEKQDTTTSTTAHFQTLFTTSFLPWTTSTTTTTARRLLADDSDSAGHHCPEGKVPLLSTTALHHLHIFIFVLAVVHVTFCVLTILFGGAKIRQWKHWEDSIAKKEYNPEEVLTKKFTHVQDHDFIRGRFLGIGKNSAFLGWLHAFFKQFYGSVTKTDYMTMRLGFISTHCRGNPNFNFHKYMIRALEADFKRVVGISWYLWIFVVIFLLLNVSGWHAYFWIAFIPFILLLAVGTKLEHVITQLAHEVAEKHIAIEGDLVVQPSDDHFWFHRPRLVLLLIQIILFQNSFELAFFFWIWSIHSVRLQLQYFAIVRNCHTDGKFIQEGYI >ONH98900 pep chromosome:Prunus_persica_NCBIv2:G7:22235079:22239331:-1 gene:PRUPE_7G271400 transcript:ONH98900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGGTTLEHTPTWVVALVCSVIVLISLALERILHYTGKYLKKQNQKPLFEALQKIKEELMLLGFISLLLTVCQDRIAKICITEKQASQWLPCKKEKQDTTTSTTAHFQTLFTTSFLPWTTSTTTTTARRLLADDSDSAGHHCPEGKVPLLSTTALHHLHIFIFVLAVVHVTFCVLTILFGGAKIRQWKHWEDSIAKKEYNPEEVLTKKFTHVQDHDFIRGRFLGIGKNSAFLGWLHAFFKQFYGSVTKTDYMTMRLGFISTHCRGNPNFNFHKYMIRALEADFKRVVGISWYLWIFVVIFLLLNVSGWHAYFWIAFIPFILLLAVGTKLEHVITQLAHEVAEKHIAIEGDLVVQPSDDHFWFHRPRLVLLLIQIILFQNSFELAFFFWIWVQYSFDSCIMGQVGYIIPRLVIGAFIQFVCSYSTLPLYAIVTQMGSSFKKAIFEEHIQEGLVGWARSAKKNKALRKAANGSSSSQGGPKEASQTVQLAQVAGNKESSREDDHNTGEIEPELPLKNQNEKPPGLTQTRLTSKLQ >ONH98899 pep chromosome:Prunus_persica_NCBIv2:G7:22235079:22239331:-1 gene:PRUPE_7G271400 transcript:ONH98899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGGTTLEHTPTWVVALVCSVIVLISLALERILHYTGKYLKKQNQKPLFEALQKIKEELMLLGFISLLLTVCQDRIAKICITEKQASQWLPCKKEKQDTTTSTTAHFQTLFTTSFLPWTTSTTTTTARRLLADDSDSAGHHCPEGKVPLLSTTALHHLHIFIFVLAVVHVTFCVLTILFGGAKIRQWKHWEDSIAKKEYNPEEVLTKKFTHVQDHDFIRGRFLGIGKNSAFLGWLHAFFKQFYGSVTKTDYMTMRLGFISTHCRGNPNFNFHKYMIRALEADFKRVVGISWYLWIFVVIFLLLNVSGWHAYFWIAFIPFILLLAVGTKLEHVITQLAHEVAEKHIAIEGDLVVQPSDDHFWFHRPRLVLLLIQIILFQNSFELAFFFWIWVQYSFDSCIMGQVGYIIPRLVIGAFIQFVCSYSTLPLYAIVTQMGSSFKKAIFEEHIQEGLVGWARSAKKNKALRKAANGSSSSQGGPKEASQTVQLAQVAGNKESSREDDHNTGEIEPELPLKNQNEKPPGLTQTRLTSKLQ >ONH96275 pep chromosome:Prunus_persica_NCBIv2:G7:14250758:14251425:-1 gene:PRUPE_7G117800 transcript:ONH96275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAGPGISHWEPTQAEAALSKPQILLVASGSIAATKFAHLCRSFFGWSEVRVVATKASFHFIDIASLSRDVILYTDEDERSRWNTTGDSLLPIELRVFQKKLSFSYVSVYAWDFSKPLFVAPAMNTLMWNNLFTQRHIRMIKDELRISLILPLTINGAMTETNEIYGAVQCRLQSRSPRGHDASI >ONH96954 pep chromosome:Prunus_persica_NCBIv2:G7:16765500:16767295:1 gene:PRUPE_7G161900 transcript:ONH96954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLSFLLALSLLALVPLCFCDKTLGGYLYPQFYDHSCPRATEIVKSVVAKAVAREARMAASLLRLHFHDCFVQGCDASILLDSSKNIITEKRSVPNQNSARGFEVIDEIKSALEKECPNRVSCADILALAARDSTVLSGGPNWEVPLGRRDSKGASLSGSNNNIPAPNNTFQTVLTKFKRQKLNIVDLVALSGSHTIGNARCTSFRQRLYNQSGNGLADFTLDQSYAAQLRTRCPRSGGDQTLFFLDFVSPTKFDNSYFKNLLASKGLLNSDEILITKSEVTKQLVQQYAENTELFFEQFAKSMVKMGNISPLTGSRGEIRKRCRKINSINQNA >ONH98131 pep chromosome:Prunus_persica_NCBIv2:G7:20287576:20288381:1 gene:PRUPE_7G231700 transcript:ONH98131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGTASFGKRRNKTHTLCVRCGRRSFHLQKSRCASCGFPSSRIRKYNWSVKAIRRKTTGTGRMRYLRHVAVRFKFNFREGTEAGPRKKRASSSSQASSL >ONH98126 pep chromosome:Prunus_persica_NCBIv2:G7:20262969:20266244:1 gene:PRUPE_7G231200 transcript:ONH98126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKELEGKRLHDHFEAKMAAKTTRRRIFVPGPVIVGAGPSGLAAAACLKEKGIPSLILERANCIASLWQLKTYDRLRLHLPKHFCELILMPFPSDFPTYPTKQQFLAYLNAYAEHFDLKPVFNMTVVSARFDSRCGLWFVKTLGLKNEETEYVCQWLIVATGENAEEVVPEFEGVDEFGGPILHTSSYKSGELFRGKKVLVVGCGNSGMEVCLDLCNYNASPSLVVKDSVHILPQEILGISTFGLSIWLLKWFPMRLVDHFLLLVSRLMFGDTAQFGINRPERGPLELKSMTGKTPVLDIGTLAKIKTGHIRVCKAIKQLKHQAVEFIDGKVEHFDAIIFATGYKSNVTSWLKETNMFSEKNGLPRKPFPNGWKGECGLYSVGLTQRGLLGASLDARRIAEDIEHSWKAEATHFMAFTACALPPTPPPQP >ONH96508 pep chromosome:Prunus_persica_NCBIv2:G7:15195413:15197591:1 gene:PRUPE_7G133600 transcript:ONH96508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRSVPAPFLTKTYQLVDDPSWDDVISWNESGTTFVVWKTVDFARDMLPKYFKHNNFSSFVRQLNTYGFRKTVPDQWEFANDNFRRGQKELLSEIRRRKSVTAGPGKATASEKSGGPSTPSNSGEEMASTSTSSPDSKNPGSVETAAMGQASDLSGENEKLKKENENLSSELAQTKKQCDELVGFLMDYLKVGPDQINRIMRQGSYVPTRDEDEDEDDDLDFDRDENDAADDDDDDGKQKEGLKLFGVWVKGNEKKKSKRTERDEKFGVGIGVGGTYAKKMKSAEFGAPMVKSGKVCN >ONH97948 pep chromosome:Prunus_persica_NCBIv2:G7:19744344:19750339:1 gene:PRUPE_7G220300 transcript:ONH97948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMESPEKNEILAVKEKGSDERSSHGSKGRNSVVKIFRYADWVDVVLMVLGTVGAVGDGMSTNCLLVFVSRLMNNLGYGQSQQNNNHGIHWMHEVEKCSLDFVYLGLAVMLVAFLEGYCWSKTSERQVLKIRYKYLKAVLRQEVGFFDSQEATTSEVINTISKDTSLIQEVLSEKVPTFVMHSSVFVSGLAFSTYLSWRLALVAFPTLLLLIIPGMIYGKYLMYLSKKSYKEYGKANSIVEQALSSIKTVYAFTAERRIVERYSAILERTSRLGMKQGIAKGLAVGSTGLSFAIWGFLAWYGSHLVMYKGESGGRIYAAGISFVLSGLSLGMALPDLRYFTEAAVAATRIFDRIDRRPLIDGEDTQGLVLDNIRGELEFIGVKFTYPSRPDSMVLGDFNLKVEAGKTIALVGASGSGKSTAIALVQRFYDADDGVVRIDGVDIRTLQLKWIRSKMGLVSQEHALFGTSIKENIMFGKLDASMDEVTAAAMAANAHNFIRQLPQGYETKIGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESETLVQNALDQASMGRTTLVVAHKLSTVRNADLIAVVSGGCIIEIGSHNQLINCQNGHYAKLAKLQRQFSCDNVDQERISVSSVTRSSAGRLSTARSSPASTFAKSPLPLETSQPLSHPPTSFYRLLSLNSPEWKQGLIGSLSAIAFGSVQPVYALTIGGMISAFFVQSHEEMRARIRTYSLIFSALSVISITLNLLQHYNFAYMGEQLTKRIRLQMLQKILTFETAWFDEEQNSSGALCSRLSNEASMVKSLVADRVSLLVQTTSAVTIAMIMGLVVAWKLALVMIAVQPLAILCFYTKKVLLSSLSANFIKAQNHSTQIAVEAVYNHRIVTSFGSVGKVLELFDEAQEAPRKEARKKSWLAGLGMGSAQCLTFMSWALDFWYGGTLVEKGQISAGDVFKTFFILVSTGKVIAEAGSMTSDLAKGSTAVASVFEILDRHSLIPGSRNVGDEDGSSGNGIKLEKVTGSIELKKVDFAYPSRPETLVLRQFSLEVKPGTSIGLVGKSGCGKSTVVGLIQRFYDAESGSVKVDGVDIRELDVQWYRRHTALVSQEPVIYSGTIRDNIMFGKLDAPEDEVVKAARAANAHEFISSLKDGYNTECGERGVQLSGGQKQRIAIARAILRNPTILLLDEATSALDVQSEHLVQEALDRIMVGRTTVVIAHRLNTIKNLEMIAFVADGKVVEKGTYAQLKHKRGAFFNLATCQT >ONH97198 pep chromosome:Prunus_persica_NCBIv2:G7:17470198:17476693:1 gene:PRUPE_7G175400 transcript:ONH97198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMTLELVTYLHGDLDLHIIEARRLPNMDLVSERFRRCFTACDTITIPHSSHSRHTESDGGEHKPQHSRPKIITSDSYVTVMVPETTVARTRVIKNSQNPQWNEHFFIPLAHPVINLEFQVKDNDLFGAELIGTAKISAEKIATGELISGWFPIIGSSGTPPKPDSAIRVELKFTPFEKNPLYKHGIAGDPEHRGVRHTYFPLRKGSSLRLYQDAHVPHGLLPEIELDGRKVYRPENCWEDICYAISEAHHLIYIVGWSVFHKVRLIREPSRPLPRGGELTLGDLLKYKSEEGVRVLLLVWDDKTSHDKFFFKTAGMMGTHDEETRKFFKHSSVNCVLATRYASSKLSIIKQQVVGTLFTHHQKCVLVDTQAHGNNRKITAFIGGLDLCDGRYDTPEHRLFRDVNTVFKDDFHQPTFPAGTKAPRQPWHDLHCRIDGPAAYDVLINFEQRWRKATQWREFGLKKRASHWHDDALIKIDRISWILSPPLSVSKDRTTIPEDDPALWVQREDDPEDWHVQIFRSIDSGSLKGFPKAGPSAEAQNLICSKNLLIDKSIQTAYIQAIRSAQHFIYIENQYFLGSSYAWPDYRNAGADNLIPVELALKIASKIKAKERFAVYVVLPMWPEGDPKSAAMQEILFWQSQTMQAMYDIVAKALKSVQLQDSHHSHPQDYLNFYCLGNREKLSEETSNDNGASVSDAYKYQRFMIYVHAKGMVVDDEYVILGSANINQRSMAGTKDTEIAMGAYQPHYTWAERRKHPFGQIYGYRMSLWAEHLGKDPCFGEPESLECVRTVNGIAEENWKRFTSPDFTQLQGHLLKYPLQVDADGKVGPLPGHENFPDVGGKVIGGHSAALPDTLTT >ONH98235 pep chromosome:Prunus_persica_NCBIv2:G7:20553575:20560807:-1 gene:PRUPE_7G237400 transcript:ONH98235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKIRSVFSVPMCGEEQLELSRDGSQYSLSTGILPSLGARSSNRRVKLSRFIVSPYDRRYRGWETYLVVLVIYTAWVSPFEFGFLKGPGGPLSIVDNVVNGFFAVDIVLTFFVAYLDKSTYLLVDDHKRIGWKYARSWFLFDVISTIPSELATKIFPKSVQSYGVFNMLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLICVTLFAIHCAGCFYYLLAARYRDPQKTWMGIEILEQDMWIRYVTSVYWSITTLTTVGYGDLHPVNTREMIFDIVYMLFNLGLTSYLIGNMTNLVVHGTSRTRKFRDTIQAASSFAQRNQLPGRLQDQMLAHLCLKFRTDSEGLQQQETLDALPKAIRSSISHYLFYSLVDKVYLFHGVSNDLLFQLVSEMKAEYFPPKEDIILQNEAPTDFYVLVTGVADLVVLKNGVEQVIGEVKAGDLIGEIGVLCYRPQLFTVRTKRLSQLLRLNRTAFLNIVQANVGDGTVIMNNLLQHLKDQKDPIMEGVLLETENMLARGRMDLPLSLCFAASRGDDLLLHQLLRRGLDPNESDNNGRTALHIASAKGSENCVLLLLDFGADPNSKDTDGNSPLWEAILNGHEPIAKLLQDNGADFNSGDVGQFACTAAEQSRVDLLKEIVGHGGDVTRPKANGTTALHVAVSEDNVEIVKFLLDQGADIDKPDLHGWTPRALADQQGHEDIKNLFHSRKEISKFLSVTTPEHQQNGTRFIGRFTSEPNIHPPSQDCSFAGADGGAWGRNRPRRRTNNFHNSLFGMMSAAHTGEKDLFFAVKGTRSPKSKNYGSNPARVTISCPEKGEVKGKLVLLPVSYEELLELGAKKFGFSSAKVVIKEGAEIDDIDVVRDGDHLIFVSVGESQEEPETQDSPIQGD >ONH98236 pep chromosome:Prunus_persica_NCBIv2:G7:20553575:20559679:-1 gene:PRUPE_7G237400 transcript:ONH98236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLICVTLFAIHCAGCFYYLLAARYRDPQKTWMGIEILEQDMWIRYVTSVYWSITTLTTVGYGDLHPVNTREMIFDIVYMLFNLGLTSYLIGNMTNLVVHGTSRTRKFRDTIQAASSFAQRNQLPGRLQDQMLAHLCLKFRTDSEGLQQQETLDALPKAIRSSISHYLFYSLVDKVYLFHGVSNDLLFQLVSEMKAEYFPPKEDIILQNEAPTDFYVLVTGVADLVVLKNGVEQVIGEVKAGDLIGEIGVLCYRPQLFTVRTKRLSQLLRLNRTAFLNIVQANVGDGTVIMNNLLQHLKDQKDPIMEGVLLETENMLARGRMDLPLSLCFAASRGDDLLLHQLLRRGLDPNESDNNGRTALHIASAKGSENCVLLLLDFGADPNSKDTDGNSPLWEAILNGHEPIAKLLQDNGADFNSGDVGQFACTAAEQSRVDLLKEIVGHGGDVTRPKANGTTALHVAVSEDNVEIVKFLLDQGADIDKPDLHGWTPRALADQQGHEDIKNLFHSRKEISKFLSVTTPEHQQNGTRFIGRFTSEPNIHPPSQDCSFAGADGGAWGRNRPRRRTNNFHNSLFGMMSAAHTGEKDLFFAVKGTRSPKSKNYGSNPARVTISCPEKGEVKGKLVLLPVSYEELLELGAKKFGFSSAKVVIKEGAEIDDIDVVRDGDHLIFVSVGESQEEPETQDSPIQGD >ONH98237 pep chromosome:Prunus_persica_NCBIv2:G7:20553575:20559753:-1 gene:PRUPE_7G237400 transcript:ONH98237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLICVTLFAIHCAGCFYYLLAARYRDPQKTWMGIEILEQDMWIRYVTSVYWSITTLTTVGYGDLHPVNTREMIFDIVYMLFNLGLTSYLIGNMTNLVVHGTSRTRKFRDTIQAASSFAQRNQLPGRLQDQMLAHLCLKFRTDSEGLQQQETLDALPKAIRSSISHYLFYSLVDKVYLFHGVSNDLLFQLVSEMKAEYFPPKEDIILQNEAPTDFYVLVTGVADLVVLKNGVEQVIGEVKAGDLIGEIGVLCYRPQLFTVRTKRLSQLLRLNRTAFLNIVQANVGDGTVIMNNLLQHLKDQKDPIMEGVLLETENMLARGRMDLPLSLCFAASRGDDLLLHQLLRRGLDPNESDNNGRTALHIASAKGSENCVLLLLDFGADPNSKDTDGNSPLWEAILNGHEPIAKLLQDNGADFNSGDVGQFACTAAEQSRVDLLKEIVGHGGDVTRPKANGTTALHVAVSEDNVEIVKFLLDQGADIDKPDLHGWTPRALADQQGHEDIKNLFHSRKEISKFLSVTTPEHQQNGTRFIGRFTSEPNIHPPSQDCSFAGADGGAWGRNRPRRRTNNFHNSLFGMMSAAHTGEKDLFFAVKGTRSPKSKNYGSNPARVTISCPEKGEVKGKLVLLPVSYEELLELGAKKFGFSSAKVVIKEGAEIDDIDVVRDGDHLIFVSVGESQEEPETQDSPIQGD >ONH96204 pep chromosome:Prunus_persica_NCBIv2:G7:13903508:13906719:-1 gene:PRUPE_7G113100 transcript:ONH96204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVEGRLGGFLIVLTIMGLAFPVFFNALVQGYNRPPPRKNVYVPSDDPGSDSPQQVHISTVGADKMRVSWITESPSSATVEYGTSPGAYEQSATGSTSSYKYLMYESGEIHDVVIGPLKPNTVYYYRCGSNSGPEFSFKTPPASFPITFAVVGDLGQTEWTKSTLDHISKVNYDMFMLPGDLSYADVDQPLWDSFGRLVQPLASQRPWMVTQGNHEIEKIPVLHTHAFTAYNARWHMPYEESGSDSNLYYSFDVAGVHVIMLGSYTDFDPSSAQYQWLQVDLGKVDRGRTPWIVVLIHAPWYNSNKAHQGESESIDMKDAMEDLLYQARVDVVLAGHVHAYERFTRVYKDEANNCGPVHITIGDGGNREGLASKYKNPQPKISVFREASFGHGQLVVANATHAQWTWHRNEDDEAVASDSIWLTSLSSDPACKN >ONH98288 pep chromosome:Prunus_persica_NCBIv2:G7:20718503:20721463:1 gene:PRUPE_7G240700 transcript:ONH98288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRIQPPLVDTTACLCRVDAGLKTVSGAKKYVPGAKLCLQPDIKPSIHPTRSKPSRGDGSRIQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLVGNFFYSLRKSLGIAEEWIYLIKRDRDGKISWHAFDPVYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGMHRSLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYEGKWFLKGLGSHRQVLSEVYQPENDSWYSVYDGMVAGWRNPSAALNGHLYALDCKDGCKLRVYDEATDSWGKHIDSKMHLGNSRALEAASLVPLNGKLCIIRNNMSISLVDVSKSSDVGGASAEHLWETIAGRGQFRTLVTNLWSSLAGRNRLKSHIVHCQVLQA >ONH98287 pep chromosome:Prunus_persica_NCBIv2:G7:20718565:20721463:1 gene:PRUPE_7G240700 transcript:ONH98287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRIQPPLVDTTACLCRVDAGLKTVSGAKKYVPGAKLCLQPDIKPSIHPTRSKPSRGDGSRIQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLVGNFFYSLRKSLGIAEEWIYLIKRDRDGKISWHAFDPVYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGMHRSLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYEGKWFLKGLGSHRQVLSEVYQPENDSWYSVYDGMVAGWRNPSAALNGHLYALDCKDGCKLRVYDEATDSWGKHIDSKMHLGNSRALEAASLVPLNGKLCIIRNNMSISLVDVSKSSDVGGASAEHLWETIAGRGQFRTLVTNLWSSLAGRNRLKSHIVHCQVLQA >ONH95694 pep chromosome:Prunus_persica_NCBIv2:G7:12054261:12074923:1 gene:PRUPE_7G085700 transcript:ONH95694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSQKPQGKKKARDPPKFNKSSKKPFKPNKDRNDTARSEAVTLQLEDDVPDFPRGGGSALNRQERDEIRAEVDAEFEAEEREMKKRKKIGMQKKSLSSEDDFGSLFGDGITGKLPKYANKITMKNISAGMKVWGVVAEVNEKDLVISLPGGLRGLVRASEALDPILDNETKAVADNLLASIFHVGQLVSCIVLQLDEDKKEKGKRKIWLSLRLSLLHKGFTLDSVQEGMVLTAYVKSIEDHGYILHFGLSSFTGFLPKNSPADSKEIQVNTGQLLQGAVRSIDKVRKVVYLSSDLETVSKCVTKDLKGISIDLLVPGMLVNARVLSTLENGVMLSFLTYFTGTVDIFHLQNSYPTLNWKEDYNQHKKVNARILFIDPSTRAVGLTLNPHLVRNKAPPSPVKIGDICDGSKVVRVDRGLGLLLEIPSTPVSTPAYVSICDVAEEEVRKLEKKFKQGSHVRVRVLGFRHLEGLATGILKASAFEGTVFTHSDVKPGMVVKGKIIAVDSFGAIVQFPGGVKALCPLNHMSEFEIAKPRKKFKIGAELLFRVLGCKSKRITVTHKKTLVKSNLGIVSSYADAADGLITHGWIRKIEEHGCFIHFYNGVQGFAPRSELGLEPGSDPSSMYHVGQVVKCRVINSNPTSRRIKLSFIIRPPRVSEDDMAKLGCLVSGVVDRVTPNAVYVNGKGYSMGTIFTEHLADHHGLAALMKSVLKPGYEFDRLLVLDIEGNNLILSAKYSLINSAQQLPSELSQIHPNSVVHGYICNLIETGCFVRFLGRLTGFSPRHKAMDDHKADLSEAYYIGQSVRSNILDVSSETSRITLSLKQSSCTSTDASFIQEYFILEEKIAKLQLLDSKEPKSNWSEGFTIGSVVEGKVQEVKDSGVVVGFEKYNDVFGFITHYQLGGTNVETGSIIQAVVLDIANAEHLVDLSLKQEFNNKLKESSNSQTHKKKRKREASDGLEEHQTVNAIVEIVKENYLVLSIPKYNYAIGYASISDYNTQKFPQRQYLNGQSVNATVMALPSPTTAGRLLMLLNSLSESAETSSSKRAKKKSSYKVGSVVQAEITEIKPLELRLKFGIGFHGRVHITEVNDELLEEPFNNFRIGQTVTARIVAKTNYSNSNKKSYQWDLSLKPTMLIGSCEIGEKIMTEDLDFSTGQCVTGYVYKVDGEWVWLTISRNVRAQLFILDSACEPSELQEFQKRFHLGNAVSGYVLSVNKEKKLLRLVLHPLFPISGKIVDHEVSKMEDPHNNILNENVTAHIREGSVVGGRIIKELPGVGGLTVQIGPHMYGRVHYSELSDSWVTNPLSGYHEGQFVKCKVLELIRSVRGTYHIDLSLRSSLVGMLGPDCKGSHDDTHAHTKRVEKIEDLNPNMMVQGYVKNITPKGCFIFLSRKIDAKILVSNLSDGYVQDLEKEFPVGKLVIGRVSSVEPLSKRVEVTLKSLGATSATQSGSNNLDSLHVGDIISGRVKRVERYGLFITIDNTNVVGLCHVSELSEDKVENIETKYRTGERVTAKVLKVDKDRHRISLGMKDVYIMENNDLQTSSEQDPDEDIIENGITDGSLSAMFPGSSSFCTQNMDVEYENAEPQFLAQAESRASVPPLEVTLDDIEQFNGDNIVSQDQEHPDVDTVNEKKKQLTKKKAKEEREREIRAAEERLLEKDIPRTDEEYEKLVRSSPNSSYVWIKYMEFVLSTANVEKARSIAERALRTINFREENEKLNIWVAYFNLENKYGSPPEEAVMKVFQRAVQYNDPKKVHLALLGVYERTEQHRLADELFDKMIKKFKKSCKVWLRRVQMLLTQQRDGIQDVVSQAEKVLPKHKHIKFISQTAILEFKCGNPERGRSMFENILRNNPKRTDLWSVYLDQEIRLGDGDLIHALFERATSLSLPAKKMKFLFNKYLQYEKSHGDEEKIEYVKQKAMDYVNSVA >ONH95695 pep chromosome:Prunus_persica_NCBIv2:G7:12057948:12074923:1 gene:PRUPE_7G085700 transcript:ONH95695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNARVLSTLENGVMLSFLTYFTGTVDIFHLQNSYPTLNWKEDYNQHKKVNARILFIDPSTRAVGLTLNPHLVRNKAPPSPVKIGDICDGSKVVRVDRGLGLLLEIPSTPVSTPAYVSICDVAEEEVRKLEKKFKQGSHVRVRVLGFRHLEGLATGILKASAFEGTVFTHSDVKPGMVVKGKIIAVDSFGAIVQFPGGVKALCPLNHMSEFEIAKPRKKFKIGAELLFRVLGCKSKRITVTHKKTLVKSNLGIVSSYADAADGLITHGWIRKIEEHGCFIHFYNGVQGFAPRSELGLEPGSDPSSMYHVGQVVKCRVINSNPTSRRIKLSFIIRPPRVSEDDMAKLGCLVSGVVDRVTPNAVYVNGKGYSMGTIFTEHLADHHGLAALMKSVLKPGYEFDRLLVLDIEGNNLILSAKYSLINSAQQLPSELSQIHPNSVVHGYICNLIETGCFVRFLGRLTGFSPRHKAMDDHKADLSEAYYIGQSVRSNILDVSSETSRITLSLKQSSCTSTDASFIQEYFILEEKIAKLQLLDSKEPKSNWSEGFTIGSVVEGKVQEVKDSGVVVGFEKYNDVFGFITHYQLGGTNVETGSIIQAVVLDIANAEHLVDLSLKQEFNNKLKESSNSQTHKKKRKREASDGLEEHQTVNAIVEIVKENYLVLSIPKYNYAIGYASISDYNTQKFPQRQYLNGQSVNATVMALPSPTTAGRLLMLLNSLSESAETSSSKRAKKKSSYKVGSVVQAEITEIKPLELRLKFGIGFHGRVHITEVNDELLEEPFNNFRIGQTVTARIVAKTNYSNSNKKSYQWDLSLKPTMLIGSCEIGEKIMTEDLDFSTGQCVTGYVYKVDGEWVWLTISRNVRAQLFILDSACEPSELQEFQKRFHLGNAVSGYVLSVNKEKKLLRLVLHPLFPISGKIVDHEVSKMEDPHNNILNENVTAHIREGSVVGGRIIKELPGVGGLTVQIGPHMYGRVHYSELSDSWVTNPLSGYHEGQFVKCKVLELIRSVRGTYHIDLSLRSSLVGMLGPDCKGSHDDTHAHTKRVEKIEDLNPNMMVQGYVKNITPKGCFIFLSRKIDAKILVSNLSDGYVQDLEKEFPVGKLVIGRVSSVEPLSKRVEVTLKSLGATSATQSGSNNLDSLHVGDIISGRVKRVERYGLFITIDNTNVVGLCHVSELSEDKVENIETKYRTGERVTAKVLKVDKDRHRISLGMKDVYIMENNDLQTSSEQDPDEDIIENGITDGSLSAMFPGSSSFCTQNMDVEYENAEPQFLAQAESRASVPPLEVTLDDIEQFNGDNIVSQDQEHPDVDTVNEKKKQLTKKKAKEEREREIRAAEERLLEKDIPRTDEEYEKLVRSSPNSSYVWIKYMEFVLSTANVEKARSIAERALRTINFREENEKLNIWVAYFNLENKYGSPPEEAVMKVFQRAVQYNDPKKVHLALLGVYERTEQHRLADELFDKMIKKFKKSCKVWLRRVQMLLTQQRDGIQDVVSQAEKVLPKHKHIKFISQTAILEFKCGNPERGRSMFENILRNNPKRTDLWSVYLDQEIRLGDGDLIHALFERATSLSLPAKKMKFLFNKYLQYEKSHGDEEKIEYVKQKAMDYVNSVA >ONH95696 pep chromosome:Prunus_persica_NCBIv2:G7:12066498:12074923:1 gene:PRUPE_7G085700 transcript:ONH95696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHKADLSEAYYIGQSVRSNILDVSSETSRITLSLKQSSCTSTDASFIQEYFILEEKIAKLQLLDSKEPKSNWSEGFTIGSVVEGKVQEVKDSGVVVGFEKYNDVFGFITHYQLGGTNVETGSIIQAVVLDIANAEHLVDLSLKQEFNNKLKESSNSQTHKKKRKREASDGLEEHQTVNAIVEIVKENYLVLSIPKYNYAIGYASISDYNTQKFPQRQYLNGQSVNATVMALPSPTTAGRLLMLLNSLSESAETSSSKRAKKKSSYKVGSVVQAEITEIKPLELRLKFGIGFHGRVHITEVNDELLEEPFNNFRIGQTVTARIVAKTNYSNSNKKSYQWDLSLKPTMLIGSCEIGEKIMTEDLDFSTGQCVTGYVYKVDGEWVWLTISRNVRAQLFILDSACEPSELQEFQKRFHLGNAVSGYVLSVNKEKKLLRLVLHPLFPISGKIVDHEVSKMEDPHNNILNENVTAHIREGSVVGGRIIKELPGVGGLTVQIGPHMYGRVHYSELSDSWVTNPLSGYHEGQFVKCKVLELIRSVRGTYHIDLSLRSSLVGMLGPDCKGSHDDTHAHTKRVEKIEDLNPNMMVQGYVKNITPKGCFIFLSRKIDAKILVSNLSDGYVQDLEKEFPVGKLVIGRVSSVEPLSKRVEVTLKSLGATSATQSGSNNLDSLHVGDIISGRVKRVERYGLFITIDNTNVVGLCHVSELSEDKVENIETKYRTGERVTAKVLKVDKDRHRISLGMKDVYIMENNDLQTSSEQDPDEDIIENGITDGSLSAMFPGSSSFCTQNMDVEYENAEPQFLAQAESRASVPPLEVTLDDIEQFNGDNIVSQDQEHPDVDTVNEKKKQLTKKKAKEEREREIRAAEERLLEKDIPRTDEEYEKLVRSSPNSSYVWIKYMEFVLSTANVEKARSIAERALRTINFREENEKLNIWVAYFNLENKYGSPPEEAVMKVFQRAVQYNDPKKVHLALLGVYERTEQHRLADELFDKMIKKFKKSCKVWLRRVQMLLTQQRDGIQDVVSQAEKVLPKHKHIKFISQTAILEFKCGNPERGRSMFENILRNNPKRTDLWSVYLDQEIRLGDGDLIHALFERATSLSLPAKKMKFLFNKYLQYEKSHGDEEKIEYVKQKAMDYVNSVA >ONH98197 pep chromosome:Prunus_persica_NCBIv2:G7:20445738:20446550:1 gene:PRUPE_7G235000 transcript:ONH98197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIVGFYHPSEFVSLNLLLHSNLTAFSVFLCCFWWEKETHEKMDAPPGYQEMSSVEHIKRRHEEKGCLYACLFTLCCCFCCYETCECCLDILCCSCS >ONH98198 pep chromosome:Prunus_persica_NCBIv2:G7:20445806:20446030:1 gene:PRUPE_7G235000 transcript:ONH98198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIVGFYHPSEFVSLNLLLHSNLTAFSVFLCCFWWEKETHEKMDAPPGYQEMSSVEHIKRRHEEKGCLYAWLV >ONH94681 pep chromosome:Prunus_persica_NCBIv2:G7:4270850:4271977:-1 gene:PRUPE_7G026200 transcript:ONH94681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPTPFSLICAVLLCLPLTIIFTITSPTTTITTTIPTQISQSLKLTKTYQKINLISLPKSLPLDDDSLFHLAARVKSRPPRSDHPKIAFLFLTTTPLPFSPLWECFFNQTPKTHFSIYVHADPRFPYDPPFSGIFAHRVIPSQPAQRFTSTLISATRRLLAHALLDDPKNAMFALLSPSCIPIRSFNFTYQTLARSKKSFIEILDNEIGAYDRWAARGEDAMLPQVKLEEFRIGSQFWILKRKHARVVVGDHRLWSKFKLPCQRWDTCYPEENYFPTLLNMREPGGCVPATLTHVDWRGRFDGHPRTYKASDLGPHLITTLRNDRPKYGDEKESGNGSAWSLTERRDPFLFARKFPPDAIGPLMSMANDVIFKD >ONH95878 pep chromosome:Prunus_persica_NCBIv2:G7:12640329:12657497:1 gene:PRUPE_7G093800 transcript:ONH95878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPEPCVLFAQTFVHPHLDEYVDEVLFAEPIVITACEFLEQNVSFASQAVTLLGATSPPSFALEVFVQCEGETKFRRLCQPFLYSPSSSNVLEVEAVVTNHLVVRGSYRSLSLVIYGNTAEDLGQFNIEFDDSSITNLVSSAVGKLEDLPLALHSTNLTIEDSISALSTLCLPVAASDISVEANQLLQLMLKVCELPNLGDALHNIVSTVVSAATSYVTCSWGRSSDCEELNNVLSEARTELIELYKVYKQESRNALGESLADSGLFESQADLVNSKQLVDVLSQYFCFNRDFVSDGHHQLPQNTNVMLGLSVALLLCSGRESCFHFVSGGGMEQLVHVFCRDEQISTATTLLLLGVVEKATQHSFGCEGFLGWWPREDENKLSGVSDGYSKLLNLLLQKQRHDVASCATYVLHRLRFYEVASRFECAVLSVLGGLSTVGRVTSDTLDMLICAKSQLKKLLKLINSRGPIEDPSPVARATKSLILGQTEGVLSYKASNNLITASNCCFSNWDIDLHLLDLLKERGFLPLSVAILSSSILRSEVGRAMDVFVDIASSIGAILLSLLFCRSGLIFLLHHPELSATIIHALRGANNVNKDACLPLRYASVSISKGFFCAPQEVGMIVGTHLRVVNAIDRLLTASPNSEEFLWVLWELCALARSDCGRQALLALGYFPEAVKILIEALHSAKEQEPVAKNSGASPLNIAIFHSAAEIFEVIVSDSTASSLGSWIGHVVELHRALHSSSPGSNRKDAPTRLLEWIDAGVIYHKNGATGLIRYAAVLASGGDAHLTSTIPLVSDLADVENVIGDSSGGSDANVMENLGKFISDKSFDGVILRDSSVAQLTTAFRILAFISENSTVAATLYDEGVIAIIYAVLVNCRFMLERSSNSYDYLVDEGTECNSTSDLLSERNREQSLVDLVVPTLVLLINLLQKLQEVQEQHRNTKLLNVLLRLHREVSPKLAACAADLSSPHPDSALGFGAICHLLVSALACWPVYGWTPGLFDSLLANVQVTSLLALGPKETCSLLCLLNDLFPEEGVWLWKNGMPLLSALRKLSVGTVLGPQKEREVNWYLHPVHLEKLLSQLMPHLDKVAQIIQHYAISALVVIQDMLRVFIIRIACQKAESCSILLRPIFSWILDHAYDFSSPSDVDAYKVYRYLDFLASLLEHPRAKALLLKEGVIQMLTRVLDRCLAATDTDRVEILDGRSSAKFEFGLLNWSLPVFKSFSLIFTSQASLHHAGENDLHKFENLSTEDCTIILKYLLRFFQVLPVGKELLACLTAFKELGYCSEGRRALAATFDCVSSVVDDREKDGNGNYSLPNEYEWRKSPPLLCCCKNLLRSVDSKDGLSSYTIEAVNALSMGSFSFCLDGERLNPDRVVAVKFLFGIPDDIGEEDSVPHANISYIRELTSMLKTIAADHVADSDTQTPLCQVLESVKSLILLLQKPSSSLKLDDVFSSDFVPLPLNIIVSSKIHIMSDGGAEMADDYLYQGALGDKFQWECPETLPDRLSQSNLSVKRKMPSLDGPNRRARGENSPAETPNQNVFSRGLGSTTASSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNDGVSNSNVIAVQRVGSTGGRPPSIHVDEFMARQRERQNPVSPVVGDAAVQVKSATPVNDTATEKFNRPKQLKADLDDDLHGIDIVFDGEESEPDDKLPFPQPDDNLQQPAPVVVEQSSPHSIVAETESDIHDLATPSASNMDENTQSEFSSRMSVSRPEIPLTREPSVTSDKKYYEHSDDPKNATLLRTSSGFDSATAANSPRFPVFAYNNSSASSVQLPVDSRMTPQNFFPKSSPQHAGNAPVASGSPGFYDQRFLPNQPPLPPMPPPSTAVISQTSESVPSQSSPFVNSLTDVQQQLPTAFQIRSDYLSAFNNGSTSSRNSVSSPNGAARPPPPLPPTPPPFSSSPYNLTSNRTISQSSVYNQTSVGATELPQSSTAPSNDARLGGLSASGARVNTYSPPSLVPHMVFRPGSNSMSLYGSLPTQLQGDNASILQNLSIPQAIHSLAQLQPLQPPQLPRPPQPPQHLRPPLQASQQLEQGVSLQSQVQMHHPLQILQQPQVSPMHAYYQSQQQEFVHVQQQQQVDHSQLQAMHQSGDASSQQQQDPGMSLHEYFKSPEAIQSLLSDRDKLCQLLEQHPKLMQMLQEKLGQL >ONH94784 pep chromosome:Prunus_persica_NCBIv2:G7:5529528:5532668:1 gene:PRUPE_7G030200 transcript:ONH94784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRARRSVELIPNEIARKMTFRKRKKSIYKKADELSKLCDIDVCLIIYEADPKKGRAIQSETWPQDSAEFNGIFNKYKASKDIHVPGLKQNFNLSDFYNAAKKEDVDRKFKKLYPTWDDRIDEFS >ONH94765 pep chromosome:Prunus_persica_NCBIv2:G7:5308921:5311753:-1 gene:PRUPE_7G028600 transcript:ONH94765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDFDQRFCLPSVTIFGETGPKSFISTSSTLRRPFPTSKTQGLATRTTGSVGSFGAFFSDSGHHFSRSRF >ONH97055 pep chromosome:Prunus_persica_NCBIv2:G7:17059532:17062050:-1 gene:PRUPE_7G167200 transcript:ONH97055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLILKWSLYSIFGTFHFHFDNFEQGMAVEEDVELSTLKSQLSQTQEIWKQEMEERQSQVDVLQKKLMEVKVCIEGSEEDSKKELEVLWRRVKTTATLLTYLKSKARLMAVPHLAHTSCGIKQLEGVGFVDKDGTPLSGWSRNVDLSSFDSPDEDTWIGISKQHGPLDEQDATYIGELLKSVQMVTDVMEALVKRVVLAESETAIEKEKVTVGAEELKKKSIQIENMSLKLEEMERFALGTNGILTEMKQRVEDLVEETYRQRQRAAENEQELCRVKRDFESLKSYVSSLITVRETLLSSEKQFQTIEKLFERLVAKTTQLEGEKIQKETEVQKLMEENVKLSALLDKKEAQLLAMNEQCKVMALSASNI >ONH97056 pep chromosome:Prunus_persica_NCBIv2:G7:17059532:17063026:-1 gene:PRUPE_7G167200 transcript:ONH97056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEDVELSTLKSQLSQTQEIWKQEMEERQSQVDVLQKKLMEVKVCIEGSEEDSKKELEVLWRRVKTTATLLTYLKSKARLMAVPHLAHTSCGIKQLEGVGFVDKDGTPLSGWSRNVDLSSFDSPDEDTWIGISKQHGPLDEQDATYIGELLKSVQMVTDVMEALVKRVVLAESETAIEKEKVTVGAEELKKKSIQIENMSLKLEEMERFALGTNGILTEMKQRVEDLVEETYRQRQRAAENEQELCRVKRDFESLKSYVSSLITVRETLLSSEKQFQTIEKLFERLVAKTTQLEGEKIQKETEVQKLMEENVKLSALLDKKEAQLLAMNEQCKVMALSASNI >ONH98124 pep chromosome:Prunus_persica_NCBIv2:G7:20244768:20249450:1 gene:PRUPE_7G231000 transcript:ONH98124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGRQMARSNSAMHHQRQYSDNFMDASSKWLQSSSLSQDFELYGSKTTRNNQRSVRERSPDASIASRSSTTRRNGDDRVSPSELSPGLLDLHSFDTELLPVMPVSGQSNGHPLHHPGRGKSLDDSEPFLSTNKLTSRSRGLPENNLLKSFSSDKERANSVAKIKVVVRKRPLNKREISKKEEDIITIEPGSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEDVSNDEVYFETVEPIVPLIFQRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMLDTYRNQGFQLFVSFFEIYGGKLFDLLNDRKKLCMREDGKQQVCIVGLQEYRVSNVETVKEFIEKGNATRSTGTTGANEESSRSHAILQLCIKRSADGTESKPARLIGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDSDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSGSRRHQSSSLSYLRDSTTMPLSSSTSTDTTFEDDMNYVPSEKSSFGWSKQIEREPSPQFNVDRVPSGRVEGSLAASVYSDYYKDQTGGQNLIGTDDLDYPEQAYEQDKPSRGNSKKVETYQRSSLEERRKIGNQVKWRDMPDFGAINSNSEDDLNAVLQEEEDLVTAHRRQVEQTIEIVREEMNLLAEADQPGNRLDDYICKLNAVLSEKAAGILQLQTQLAEFQRHLNEHSVLVSTSSN >ONH94877 pep chromosome:Prunus_persica_NCBIv2:G7:6712819:6719304:1 gene:PRUPE_7G036900 transcript:ONH94877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKNWSMLPKRNGEVINSDVQSWLTSVNELIQKLSHFEEEVNMKRRCLYRWNMSRKATKITQDVLLLQKKGTFNNNVAHPAPPPMIWSAFKEGFKDFKSRMTCVNRVIEVLKNEEVRMIGICGMGGVGKTTIVKEIIKRLAGLKVFDNVVMAVVSQSPNIQKIQSEIAEELGFTYNETTDSGRARRLNGRLKEIKKILIVLDDVWTELDFEAIGLPYGPHKGCKVLLTSRNLEVCNKMGSQETFTIPVLTPEESWELFREIIGKPLEYPDLAKQVTNECAGLPIAILTVAKALENKRKYEWDDALKQLQSSAPGSISSMNDKVYSNIQWSYDRLESDEAKSCLLLCCLFPEDYDIPIEYLVRYGWGRWYFSNTDSVEEARNRVHSLVDKLQRRFLLLDSKLKDHTKMHDIKGWPKVAAYDHYTTISLIPINIDEIPVGLECPKLELIRLAGECYSKNSMDIMCKGMKELKVLALVDVRGISALPSSLGLLKSLRTLSLNGCLLTNISDVIGRLENLEILSFRKCSRILELLREIGLLKHLRLLDTTDCNCLEKIPHGLLSSLSNLEELYMENNKRMASLVEVMSLSNHLKVLVIDIPDFNFFPEDFYLTIQTTIRFHISNRTFHLWFIGGRLSSTRLCATKFIVSSRKGTLSDDVLLSRKCLSRKVTLRDELFFVAQNLIQNVRVLFKKCEDLILKEIKNLKYVLNELDQEGLQHLKVLRIWECPEIEYLVNGASWTQQIAFPLMQSIVLRRMAKLKAICHDQLPQSSFINLRSLELYDCPVLKYVFSLSVASNLVQLQSLLVALCPQMKEIVSKEWREHETASDIIAFPKLTNLRLQDLSNEFVV >ONH97020 pep chromosome:Prunus_persica_NCBIv2:G7:16937697:16942575:-1 gene:PRUPE_7G164900 transcript:ONH97020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSFLTPTQRYAAGALFAFALHQAQIHQTHPLRLSSGEEESTEERTSSVSSADSVSDGPELWVHENSGLLPPVFRFLEIDSAAWSGLQESAATSPASHHVGAFLRLLAEEGDDGDKDGSQSADQELALSRTVDAMALSMEKTSESSQSKKEKHREYENECREKFSTAEVKKNIEAADEHLESPQEKRSTAEVKQKIEEEDVQLENLMQKCSTADVTQNSEGVGALLENQQDTGGNLVSAKDALAASSEIREKTFGELTMLSYQRKVTVLYELLSACLADKREDNKKCTRRRKGYDARHRLALRLLATWLDVKWMKMEAIETMVACSAMASVKKEEEKEETQSPKNKWAKWKRGGIIGAAAITGGTLLTITGGLAAPAIAAGLGALAPTLGTLIPIIGASGFAAAASAAGTVAGSVVVAASFGAAGAGLTGSKMARRTGSVDEFEFSAIGENHNQGRLAVEILVSGFVFDKEDFVRPWEGQDDNLERYALVWESKNLIAVSTAIQDWLTSRIAMGLMKQGAMMTVLGTLVTALAWPATLLAAADFIDSKWAIAVDRSDKAGRLLAEVLLKGIHGNRPVTLVGFSLGARVIFKCLQCLAETEKNAELVERVVLLGAPISIADEKWEAARKMVAGRFVNAYSTNDWMLGIAFRASLLSRGLAGIQPIKVEGIENVDVTDVIDAHSSYLWGAQQILDLLELEAYYPVFRSTICIQ >ONH96384 pep chromosome:Prunus_persica_NCBIv2:G7:14726807:14733920:1 gene:PRUPE_7G125200 transcript:ONH96384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPAAIDDSAGEARSYAERTKSLDAISKEDRESILNNGEVAHTSEIAAFRVRELLLPNKESYSGPVLGNIPEGRGRYAWSDGCIYEGEWRRGMRHGSGKIIWPSGAIYEGEFSGGYMHGTGTYIGSDKMTYKGRWRLNLKHGLGYQVYPNGDAFEGSWIQGMPEGPGKYTWVNGNVYLGNMKGGKMSGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWSDGGFYVGTWTRGLKDGKGSFYPKGSRLPAAEELYLNALRKRGLLPDLRKQNNAHIHHATSVDMGNSKIGESQGSHRVSADKISNRNLLNLEPSHRNVSLERRWSLEVSFEKVIGHDSLEGGEKDAGTGIPILEREYMQGVLISELVLNNSFTSSSRRAKRRQKKLAKVVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASFWMNFPKDGSQLTPPHQSVDFRWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPSYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADKIEIDENTTLKDLDLNYSFYLEPSWREALLNQIEIDSKFLEAQHIMDYSLLMGVHYRAPQHLRSFMTYNRSTIADGLEILAEEETLEDEISNYPQGLVLIPRGTDDDSVVVGPHVRGSRLRASSAGYEEVDLLLPGTARLQIQLGVNMPARAEQVPGEKQIFPEVYDVVLYLGIIDILQDYSMGKKIEHAYKSLQFDSLSISAVDPTFYSHRFLEFIRKVFPPNALTR >ONH96385 pep chromosome:Prunus_persica_NCBIv2:G7:14726812:14733920:1 gene:PRUPE_7G125200 transcript:ONH96385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPAAIDDSAGEARSYAERTKSLDAISKEDRESILNNGEVAHTSEIAAFRVRELLLPNKESYSGPVLGNIPEGRGRYAWSDGCIYEGEWRRGMRHGSGKIIWPSGAIYEGEFSGGYMHGTGTYIGSDKMTYKGRWRLNLKHGLGYQVYPNGDAFEGSWIQGMPEGPGKYTWVNGNVYLGNMKGGKMSGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWSDGGFYVGTWTRGLKDGKGSFYPKGSRLPAAEELYLNALRKRGLLPDLRKQNNAHIHHATSVDMGNSKIGESQGSHRVSADKISNRNLLNLEPSHRNVSLERRWSLEVSFEKVIGHDSLEGGEKDAGTGIPILEREYMQGVLISELVLNNSFTSSSRRAKRRQKKLAKVVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRREVRASDFGPRASFWMNFPKDGSQLTPPHQSVDFRWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPSYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADKIEIDENTTLKDLDLNYSFYLEPSWREALLNQIEIDSKFLEAQHIMDYSLLMGVHYRAPQHLRSFMTYNRSTIADGLEILAEEETLEDEISNYPQGLVLIPRGTDDDSVVVGPHVRGSRLRASSAGYEEVDLLLPGTARLQIQLGVNMPARAEQVPGEKQIFPEVYDVVLYLGIIDILQDYSMGKKIEHAYKSLQFDSLSISAVDPTFYSHRFLEFIRKVFPPNALTR >ONH96843 pep chromosome:Prunus_persica_NCBIv2:G7:16460482:16461889:1 gene:PRUPE_7G155600 transcript:ONH96843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSKAQIQTEISQNPSISPKIPFRPRKILKLSNTPTTKPLTSSSSALPKTLNPLTSQSEVNLALQHLRSSDPNLTALIDSYSPPSSFDSGRPFLSLARSILYQQLATKAAQSIYTRFISLCGGEESVVADVVASLSAQQLRNIGVSGRKASYLHDLAEKYSDGTLSDSSILEMDDEALLTMLTVVKGIGAWSVHMFMIFSLRRPDVLPIGDLGVRKGVQLLYGLKELPKPLEMEQLCEKWRPYRSVGSWYMWRYMEAKGVL >ONH95876 pep chromosome:Prunus_persica_NCBIv2:G7:12585228:12596766:-1 gene:PRUPE_7G093600 transcript:ONH95876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPANTPLGRMLLDEISPVVMVLRTPLVEEACLKNGLTFIQMLKPFCVFNNIDVPVRTASDQPYRLQKFGLRLFYESDIRQPNLEVAKERLKQVITQAAEKDLSELCSDLPQINNAVSRSENEVLPSWFQFFNKELVHTVSFSDHEAFDHPVACLVVVSSKDDQPINRFVDLFNTNTLPSLLTSGAMDPKILKHYLLVHDNQDGPLEKATKILTEMRSTFGSDCQLLCINSSQDGVVEHQDYPWVLYKSDDLPSQPLRCFLNVDDFNEIKDLMQDLSTKHIIPYMEQKIRVLNQQVSATRKGFRNQIKNLWWRKGKEDVVDSPSGPTYTFNSNESQIRVLGDYAFMLRDYELALSNYRLISTDYKLDKAWKRYAGVQEMMGLAYFMSDQSRKEAEYCMENAFNTYLKVAPSSQQNATRCGLWWVEMLKARYQYKEAATVYFRVCTEEPLHSAVMLEQASYCYLLSKPPMLHKYGFHLVLSGDRYKKCDQIKHAIRTYRSAMSVYKGTTWSHIKDHVHFHIGQWYALLGLYDLAANHVLEVLACSHQSKTTQELFLRDFLQIVQKTGKAFEVLKLQLPEINISSLRVIFEDHRTYASSAAASVKEKIWVSLEEEMIPNLSTARTNWLELQSKLIPKKYKESNVCVAGEAVKVDIEFKNPLQIPLLLSSVSLICELSENSDEMQSDANSSMTGVQNDGESTTLNHRDVNFESSLFSVSDVGFSLRGGETTVVQLTVTPRVEGILQIVGVKWKLSGFVVGFHKFETNPVKMIRKRIQKAKHHSDNLKFVVVKSVPKLEGVIHPLPKRAYVGDLRNLVLELRNKSEFAIKNLKMKINHPRFLNIGKRESLNIEFPACLEKTNSDHSGVPANPTDVSHSMFLFPEDTIIQGETPLLWPLWFRAAVPGNISLCITIYYEMGDISSTMRYRTLRMHYNLQVLPSLDVSFQISPCPSRLQEFLVRMDVVNKTSSESFQVHQLSSVGHQWEISLLQPVDAIFPSQSLMAHQALSCFFMLKNHGKPSTSEDEISTHFRLQGTDVRLGTQGSSGPHFDIASSPLADFHHCERLHQEILHKGDTSTVDFILISRPLKNDNNPVGSDPSHLFSHHACHCSTASTSSISWLVDGPRTIYHDFSAPFCEINLSMTLFNSSDVVASVHINTLDSSTSDNLNDATPVQPATSSDNQEGWHDLSLVTDIKVTSDVLKVRTSKSTPVESVSPFIWSGSSSTRVQLEPMSRTEIPLQVCVFSPGTYDLSNYVLHWNLLLSNDQGNRDRRSSGKCQGYPYYLTVLQSD >ONH96233 pep chromosome:Prunus_persica_NCBIv2:G7:14031448:14040028:1 gene:PRUPE_7G115000 transcript:ONH96233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSDDDFITVVCSNPNRIQANTTSTDVEILISVTNIPSWDLPSILCHQTVKVQAHRNRLIQHSSYFNGLLSGSFSESGLDCIAIEWNLEAFLHILNCIYDCPLDVTSNNFLPLYEGALYFGVEMLLMRCKTWFSEVVSAEVPPQVQLDDLISIWSFGLEHARDFLPELCGSYLARNFMWAISMNCFVDIPYDLLLSCVKHMNLTVDSEMHLSNALLVWIDANTECMEGLSRNEDVCTGILKQIRLSLLPLWFAAEKRSSCHFSKFADESIDSIFRLLRIPSTGSVDALGASHLHDLRIRLTKFSKRVNLSSCSQITSVVLLLSLLPSANSIDYILRGIGQSPFNLERLDRDQCSEVLNLLPTLSFEAVQEVDISKCPRLHLQSAIECFRKSFPSLRILKAAFLLKFKISTLRKLVRKCPMVCEVDLTTDTSPIISSQVSVVSSSPAITPQISNLSLNVRDMTSFYNSGLSIAKLTLEGRNDLYDSDLQYISRFCVSLQYVNLKGCTSLTDVGIASLLRRCIKLHSVLVCDTSFGINSVLALCSSSSNHIAVEQIENELLDSLALNLQILHMGSCKCVDETSLLKLMSQMQKLKSLCLSDTRLSDGALYSFRGSSLEMLDISNTMVSNAAVAYLVGGNPGLKCLKARGCRNLSQQESDPQKREFSFSYSCRELHNEIGRACMLEEIALGWGFSYSSLEALKPAITSLRKITVGLGGLLGEDGLRKLPTICPMLELIILYFQVISDRTIMNIMANLKKLVVLAFCHCLGDISILSFKFPMPNLRKLKLQRVTPWMTNNDLFILTQSCANLVELSLLGCTLLDSESQQIISQGWPGLVSIHLEECGRVTTMGVSSLLDCKALEDLLLRHNGPGLQRSFIFDAASKLPMLRKVSLDFCDAAEGDFDIPNYGDRHFLSTLKIAKCKLQKGLKVSFVKAPRRRQVHKETLVLVWNSSTVTRTVVKERL >ONH97558 pep chromosome:Prunus_persica_NCBIv2:G7:18568210:18572908:-1 gene:PRUPE_7G196700 transcript:ONH97558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAKKEYEFLSEIGLGPENPGGFINGKWKASGPVISTVNPSNNQQIAKVTEVSIEDYEEGLRACNEAAKTWKSLPAPKRGEIVRQIGDALREKLQHLGRLVSLEMGKILAEGIGEVQEVIDMCDYAVGLSRQLNGSIVPSERPNHMMFEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLVTIAVTKLVAEVLEKNNLPGAIFTSFCGGAEIGEAIAKDTRIPLVSFTGSSKVGSKVQKIVNERFGKCLLELSGNNALIVMDDANIGLAVRSILFAAVGTAGQRCTTCRRLYLHESIYQSVLDQLVGLYKQVKIGDPLEKGTLVGPVHTQASRENFEKGISTIKSQGGKILTGGSVIESDGNFVQPTIVEISSDASVVKEELFGPVLYVMKIKTLEEAIELNNSVPQGLSSSVFTSKPETIFKWIGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >ONH97560 pep chromosome:Prunus_persica_NCBIv2:G7:18568385:18572892:-1 gene:PRUPE_7G196700 transcript:ONH97560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAKKEYEFLSEIGLGPENPGGFINGKWKASGPVISTVNPSNNQQIAKVTEVSIEDYEEGLRACNEAAKTWKSLPAPKRGEIVRQIGDALREKLQHLGRLVSLEMGKILAEGIGEVQEVIDMCDYAVGLSRQLNGSIVPSERPNHMMFEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLVTIAVTKLVAEVLEKNNLPGAIFTSFCGGAEIGEAIAKDTRIPLVSFTGSSKVGSKVQKIVNERFGKCLLELSGNNALIVMDDANIGLAVRSILFAAVGTAGQRCTTCRRLYLHESIYQSVLDQLVGLYKQVKIGDPLEKGTLVGPVHTQASRENFEKGISTIKSQGGKILTGGSVIESDGNFVQPTIVEISSDASVVKEELFGPVLYVMKIKTLEEAIELNNSVPQGLSSSVFTSKPETIFKWIGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >ONH97557 pep chromosome:Prunus_persica_NCBIv2:G7:18568323:18572892:-1 gene:PRUPE_7G196700 transcript:ONH97557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAKKEYEFLSEIGLGPENPGGFINGKWKASGPVISTVNPSNNQQIAKVTEVSIEDYEEGLRACNEAAKTWKSLPAPKRGEIVRQIGDALREKLQHLGRLVSLEMGKILAEGIGEVQEVIDMCDYAVGLSRQLNGSIVPSERPNHMMFEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLVTIAVTKLVAEVLEKNNLPGAIFTSFCGGAEIGEAIAKDTRIPLVSFTGSSKVGSKVQKIVNERFGKCLLELSGNNALIVMDDANIGLAVRSILFAAVGTAGQRCTTCRRLYLHESIYQSVLDQLVGLYKQVKIGDPLEKGTLVGPVHTQASRENFEKGISTIKSQGGKILTGGSVIESDGNFVQPTIVEISSDASVVKEELFGPVLYVMKIKTLEEAIELNNSVPQGLSSSVFTSKPETIFKWIGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >ONH97559 pep chromosome:Prunus_persica_NCBIv2:G7:18568621:18572336:-1 gene:PRUPE_7G196700 transcript:ONH97559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAKKEYEFLSEIGLGPENPGGFINGKWKASGPVISTVNPSNNQQIAKVTEVSIEDYEEGLRACNEAAKTWKSLPAPKRGEIVRQIGDALREKLQHLGRLVSLEMGKILAEGIGEVQEVIDMCDYAVGLSRQLNGSIVPSERPNHMMFEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLVTIAVTKLVAEVLEKNNLPGAIFTSFCGGAEIGEAIAKDTRIPLVSFTGSSKVGSKVQKIVNERFGKCLLELSGNNALIVMDDANIGLAVRSILFAAVGTAGQRCTTCRRLYLHESIYQSVLDQLVGLYKQVKIGDPLEKGTLVGPVHTQASRENFEKGISTIKSQGGKILTGGSVIESDGNFVQPTIVEISSDASVVKEELFGPVLYVMKIKTLEEAIELNNSVPQGLSSSVFTSKPETIFKWIGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >ONH95202 pep chromosome:Prunus_persica_NCBIv2:G7:9563771:9570787:-1 gene:PRUPE_7G056500 transcript:ONH95202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGVKIGTGFETVPTHRVTTMSISSLLPPPPHHTLFFLRTRPRPLSLPLLSPPRSRPHSLPLRASSESPSTSQANAIENVVIIGSGPAGFTAAIYAARANLKPLVFEGYQSGPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELYQEDVESIDVKTRPFTVESSERKVKCHSLIFATGATAKRLRIPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRRDQLRASRAMQDRVYNNPNVTLHFNTETVDVVSNTKGQVSGILIRKLDSGEESVLEAKGLFYGIGHSPNSQLLEGQVELDSSGYILVEEGTAKTSVEGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVGKDLIIEFHQPKTEEAKKELTSRDVQEGFDITLTKHKGQYALRKLYHESPRLICVLYTAPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDPEVAEAAGIMGTPCVQFFKNKEMIRTVSGVKMKSEYRQFIQANK >ONH96123 pep chromosome:Prunus_persica_NCBIv2:G7:13700478:13701572:-1 gene:PRUPE_7G108700 transcript:ONH96123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYKNKLLATSTSQAEGVCAESLIDRFSNLPEGVAHRILSFLAQIDLARVSCVSKSCRKFNLSFPSLNFDAMPYANDMWERLKLLNYLDRFLIQRGDNKIQHFRIFWIFLGPASSFSNEYFRVISWIHVAARCNVEVLDLELYMCEATTTLVLPSCLFLCRSLRLLTVDLKFKLFQTPSLTSSTTLQCLKLKNVTIDEGLGQWISCSCKCIKELWLELVHGAKQITISSSSLETLHFVSCYAYYSFQNPSGNFHLNISGERLEDIYIDWKVIPTGHSISIFAPNLKHLKWIGTLASRQYLEKLTCLEKAEIFLHPRSEDFSKLFSVLSSIRSVKLLVLNEETTKAILSFFLSSFFVCFVYTFC >ONH95975 pep chromosome:Prunus_persica_NCBIv2:G7:13014904:13018024:1 gene:PRUPE_7G099200 transcript:ONH95975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQNYFGLHGPLGDRMFDLVTQQRKDQKLTFEDLVIAKGIYEKGTKDEIEEFIYQLLDVSGNGIVGRSDLECVLVAMFDNIFNMDNSEHGSNFRTWCTLLPSVRKFLGSLLIPPNQGRPGSQVPRLLHLENIDPNMILLKKIYAWHIGGILPQHDLEEWKLLYHSSVNGLSFSTFLGNVSKDKGPTVLIIKDKEGYVYGGYASQPWERHGDFYGDLKSFLFQLYPTASIFRPTGANTNLQWCAVNFSSASIPNGIGFGGKVNHFGLFLLATLDQGHTFSCTTFGSPCLSKTNRIYPEVIECWGVVTKVADEEKHDGGKGTVLERFKEDRHMLNMVGLANASE >ONH95972 pep chromosome:Prunus_persica_NCBIv2:G7:13014306:13018024:1 gene:PRUPE_7G099200 transcript:ONH95972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQSPSSHKDPRFASSSRAFSHTELEALKSLHASLAAQSQSNGPHVSPSVFKNYFGLHGPLGDRMFDLVTQQRKDQKLTFEDLVIAKGIYEKGTKDEIEEFIYQLLDVSGNGIVGRSDLECVLVAMFDNIFNMDNSEHGSNFRTWCTLLPSVRKFLGSLLIPPNQGRPGSQVPRLLHLENIDPNMILLKKIYAWHIGGILPQHDLEEWKLLYHSSVNGLSFSTFLGNVSKDKGPTVLIIKDKEGYVYGGYASQPWERHGDFYGDLKSFLFQLYPTASIFRPTGANTNLQWCAVNFSSASIPNGIGFGGKVNHFGLFLLATLDQGHTFSCTTFGSPCLSKTNRIYPEVIECWGVVTKVADEEKHDGGKGTVLERFKEDRHMLNMVGLANASE >ONH95971 pep chromosome:Prunus_persica_NCBIv2:G7:13014543:13018024:1 gene:PRUPE_7G099200 transcript:ONH95971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQSPSSHKDPRFASSSRAFSHTELEALKSLHASLAAQSQSNGPHVSPSVFKNYFGLHGPLGDRMFDLVTQQRKDQKLTFEDLVIAKGIYEKGTKDEIEEFIYQLLDVSGNGIVGRSDLECVLVAMFDNIFNMDNSEHGSSAHHDTVNIFLNAAKFAKHDERHAEESLSFEDFRTWCTLLPSVRKFLGSLLIPPNQGRPGSQVPRLLHLENIDPNMILLKKIYAWHIGGILPQHDLEEWKLLYHSSVNGLSFSTFLGNVSKDKGPTVLIIKDKEGYVYGGYASQPWERHGDFYGDLKSFLFQLYPTASIFRPTGANTNLQWCAVNFSSASIPNGIGFGGKVNHFGLFLLATLDQGHTFSCTTFGSPCLSKTNRIYPEVIECWGVVTKVADEEKHDGGKGTVLERFKEDRHMLNMVGLANASE >ONH95974 pep chromosome:Prunus_persica_NCBIv2:G7:13014655:13016864:1 gene:PRUPE_7G099200 transcript:ONH95974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQSPSSHKDPRFASSSRAFSHTELEALKSLHASLAAQSQSNGPHVSPSVFKNYFGLHGPLGDRMFDLVTQQRKDQKLTFEDLVIAKGIYEKGTKDEIEEFIYQLLDVSGNGIVGRSDLECVLVAMFDNIFNMDNSEHGSSAHHDTVNIFLNAAKFAKHDERHAEESLSFEDFRTWCTLLPSVRKFLGSLLIPPNQGRPGSQVPRLLHLENIDPNMILLKKIYAWHIGGILPQHDLEEWKLLYHSSVNGLSFSTFLGNVSLVGCCN >ONH95973 pep chromosome:Prunus_persica_NCBIv2:G7:13014543:13018024:1 gene:PRUPE_7G099200 transcript:ONH95973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQSPSSHKDPRFASSSRAFSHTELEALKSLHASLAAQSQSNGPHVSPSVFKGIYEKGTKDEIEEFIYQLLDVSGNGIVGRSDLECVLVAMFDNIFNMDNSEHGSSAHHDTVNIFLNAAKFAKHDERHAEESLSFEDFRTWCTLLPSVRKFLGSLLIPPNQGRPGSQVPRLLHLENIDPNMILLKKIYAWHIGGILPQHDLEEWKLLYHSSVNGLSFSTFLGNVSKDKGPTVLIIKDKEGYVYGGYASQPWERHGDFYGDLKSFLFQLYPTASIFRPTGANTNLQWCAVNFSSASIPNGIGFGGKVNHFGLFLLATLDQGHTFSCTTFGSPCLSKTNRIYPEVIECWGVVTKVADEEKHDGGKGTVLERFKEDRHMLNMVGLANASE >ONH95650 pep chromosome:Prunus_persica_NCBIv2:G7:11824693:11825268:-1 gene:PRUPE_7G083000 transcript:ONH95650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSKSLLISLTILFFITMVTSKSPNFSQNPSLQALGLNKQEKLSHLHFYFHDIVSGPNPTAIWAAQTPTSKKSPTLFGSIAMFDDPLTVGPERSSKLVGRAQGIYGSASQSEDALLMTMNLAFVEGKFNGSSLSVFGRNAVVEAVREMPIVGGSGVFRFARGYVQARTLKFNATSGDALVEYDVFVFHY >ONH97938 pep chromosome:Prunus_persica_NCBIv2:G7:19720532:19723187:1 gene:PRUPE_7G219800 transcript:ONH97938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLTKGQAFPLALRICSRPRCSKPFHTSKPQSLQIGSLPKPPLNLSLGRLTKPSCGSGQKMYGMSRLVHFSTDSCFKMLESDVLAKPRSVSSGFRLRNATQNWLLIRGRAFSSWSGQKVNGGSSVARAGKRLPWLPANKAKGAKGLEKATTAKTSISSWEESAKRLEEVNDNASWGESARSLDGDGSKSSWDKSAKRLEEEPKSKTGRSSWEVSAETYVRRSGPSERGRKESRTGMVSMAHDRQSDYRGREKRLEEAETEGDEKEEEMEAVDDPRWDRIQNKFRGMEDVKSGRERPEFRRWNRQEDWGKKTWKEATESSVPRMIGQGVYGVGPVLAALSAGRREFYALYIQEGLDLSKNNRKKKDKKGFERILIMADKLGLSVKDVSKHDLNMATDNRPHQGLVLDASPLEMVKIKELDPVSVEEDKCSLWVALDEVTDPQNLGAIIRSSYFFGASGVVLCAKNSAPLSGVVSKASAGSLELMELRYCKNMMQFLTSSAENGWRVLGGSVSSRAIPLNEVVPSVPTVLVLGSEGTGLRPLVEKSCTDLIRIPGNIPVDVSAGGADDIETGEVSHGCSAEEFRSFLAVESLNVSVAAGVLLHHLTGNNNEKDGHVVNQQTGELE >ONH97939 pep chromosome:Prunus_persica_NCBIv2:G7:19720657:19723187:1 gene:PRUPE_7G219800 transcript:ONH97939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLTKGQAFPLALRICSRPRCSKPFHTSKPQSLQIGSLPKPPLNLSLGRLTKPSCGSGQKMYGMSRLVHFSTDSCFKMLESDVLAKPRSVSSGFRLRNATQNWLLIRGRAFSSWSGQKVNGGSSVARAGKRLPWLPANKAKGAKGLEKATTAKTSISSWEESAKRLEEVNDNASWGESARSLDGDGSKSSWDKSAKRLEEEPKSKTGRSSWEVSAETYVRRSGPSERGRKESRTGMVSMAHDRQSDYRGREKRLEEAETEGDEKEEEMEAVDDPRWDRIQNKFRGMEDVKSGRERPEFRRWNRQEDWGKKTWKEATESSVPRMIGQGVYGVGPVLAALSAGRREFYALYIQEGLDLSKNNRKKKDKKGFERILIMADKLGLSVKDVSKHDLNMATDNRPHQGLVLDASPLEMVKIKELDPVSVEEDKCSLWVALDEVTDPQNLGAIIRSSYFFGASGVVLCAKNSAPLSGVVSKASAGSLELMELRYCKNMMQFLTSSAENGWRVLGGSVSSRAIPLNEVVPSVPTVLVLGSEGTGLRPLVEKSCTDLIRIPGNIPVDVSAGGADDIETGEVSHGCSAEEFRSFLAVESLNVSVAAGVLLHHLTGNNNEKDGHVVNQQTGELE >ONH96395 pep chromosome:Prunus_persica_NCBIv2:G7:14776924:14780375:1 gene:PRUPE_7G126100 transcript:ONH96395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSETQMDLNNNTSHQNDHSIMEMGSGNFGCAHYRRRCKIRAPCCDEIFDCRHCHNESKNTLEVDPLDRHDVPRHDVKRVICSLCNTEQDVQQHCIQCGVCMGNYFCSKCKFFDDDVSKNQYHCDECGICRTGGEQNFFHCNKCECCYSKVLKDSHNCVEKAMHHNCPVCFEFLFDTRADITVLLCGHTIHLECVKEMERHCQYSCPVCSKSYRDMSRVWEKLDQEIAATPMPQMYQNKMVWILCNDCGQTSEVNFHIVARKCLKCNSYNTRQTQGGPASCSSRITDMVR >ONH95131 pep chromosome:Prunus_persica_NCBIv2:G7:9192192:9196954:1 gene:PRUPE_7G053500 transcript:ONH95131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDTPLCPLKVVTSLQEAVWDADIVVNGLPSTETQEVFEEISNYWKERITDPIIISLAKGIEAALEPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELNRDMGENISGKGMIQGVSAVKAFYELLSQSSLNVLHPEENKPVAPVELCPILKTLYKILITREQSSQAILQALRDENLNDPRERIEIAQSHVFYRPSLLGQPLTSFPLQDKVAI >ONH95129 pep chromosome:Prunus_persica_NCBIv2:G7:9192192:9196954:1 gene:PRUPE_7G053500 transcript:ONH95129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSIETLNRNLYSNGSIQNCNSLEEKLDELRSRLGKGNGDPLRIVGVGAGAWGSVFAAVLQDSYGQFRDKVQIRIWRRPGRAVDKATAELLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTSLQEAVWDADIVVNGLPSTETQEVFEEISNYWKERITDPIIISLAKGIEAALEPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELNRDMGENISGKGMIQGVSAVKAFYELLSQSSLNVLHPEENKPVAPVELCPILKTLYKILITREQSSQAILQALRDENLNDPRERIEIAQSHVFYRPSLLGQPLTSFPLQDKVAI >ONH95128 pep chromosome:Prunus_persica_NCBIv2:G7:9192192:9196954:1 gene:PRUPE_7G053500 transcript:ONH95128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSIETLNRNLYSNGSIQNCNSLEEKLDELRSRLGKGNGDPLRIVGVGAGAWGSVFAAVLQDSYGQFRDKVQIRIWRRPGRAVDKATAELLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTSLQEAVWDADIVVNGLPSTETQEVFEEISNYWKERITDPIIISLAKGIEAALEPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELNRDMGENISGKGMIQGVSAVKAFYELLSQSSLNVLHPEENKPVAPVELCPILKTLYKILITREQSSQAILQALRDENLNDPRERIEIAQSHVFYRPSLLGQPLTSFPLQDKVAI >ONH95130 pep chromosome:Prunus_persica_NCBIv2:G7:9192192:9196954:1 gene:PRUPE_7G053500 transcript:ONH95130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSIETLNRNLYSNGSIQNCNSLEEKLDELRSRLGKGNGDPLRIVGVGAGAWGSVFAAVLQDSYGQFRDKVQIRIWRRPGRAVDKATAELLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTSLQEAVWDADIVVNGLPSTETQEVFEEISNYWKERITDPIIISLAKGIEAALEPLPHIITPTQMINRATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELNRDMGENISGKGMIQGVSAVKAFYELLSQSSLNVLHPEENKPVAPVELCPILKTLYKILITREQSSQAILQALRDENLNDPRERIEIAQSHVFYRPSLLGQPLTSFPLQDKVAI >ONH96248 pep chromosome:Prunus_persica_NCBIv2:G7:14131458:14132109:1 gene:PRUPE_7G116200 transcript:ONH96248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSSEPLQFLMLHMSAGTSGVHVNEEEGQALDGLLGFISELWEKAPAKIADQLLNVDGTKARTAAQKVTSTHGLQGSVEELLHKLERFKIRTYDLGGVVKEEDKR >ONH98665 pep chromosome:Prunus_persica_NCBIv2:G7:21690726:21691899:-1 gene:PRUPE_7G260900 transcript:ONH98665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAYAARSPDEIHTDVLSSSRQACYKARDAFYACLEKESDKKQTEIASKGLLYPAECKASREEFVNHCRASWVKHFDALYCKNKSLKRLLDDKDSRRGPLSLPQPYTFKPTTSS >ONH98664 pep chromosome:Prunus_persica_NCBIv2:G7:21689622:21691899:-1 gene:PRUPE_7G260900 transcript:ONH98664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAYAARSPDEIHTDVLSSSRQACYKARDAFYACLEKESDKKQTEIASKGLLYPAECKASREEFVNHCRASWVKHFDALYCKNKSLKRLLDDKDSRRGV >ONH94279 pep chromosome:Prunus_persica_NCBIv2:G7:959504:960575:1 gene:PRUPE_7G007700 transcript:ONH94279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPVFLAGLLLLCFCSIAIAEAEYIKYKDPKQPLNTRIKDHFGGKDWPNGSILSGVVNVRVQKGSAETWVKLVNDFQKASLSTRLGIPLIYGIDAVHGHNSVYKATIFPHNVGLGATRQVCRDPRWGRCYESNSEDPKLVQAMTEIIPGLQGEIPANSTKGVPFVAGK >ONH97609 pep chromosome:Prunus_persica_NCBIv2:G7:18733115:18734137:1 gene:PRUPE_7G200700 transcript:ONH97609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHWVAIILSFVAASHNRIGLVEASIDIGVCYGMLGNDLPSPTQVVNLYKTYGIGKMRLFDPNPEALQALRGSQIDVSIGIRNEDLPSLAVSQDAVNSWFATHVEPYLNDIVFNHITVGNEIIPGSSGNYVLPVMQYLQKILDDRSLAGIKVTTVVSGAALGVSYPPSSGAFTLEASSTMSGILPLLAQRGSPLMINVYPYFAYASDPVNVHLDYAQFTATSPVVQDGTLSYYNLFDATVDAFIAAMEKVGGANVDVVVSESGWPSDGNGDFTTPELAGTYNRNLLKQVTSRAGTPKRPGAYIEAYIFAMFNENQKPDGVEQHFGLFHPNMKPVYPVF >ONH98227 pep chromosome:Prunus_persica_NCBIv2:G7:20539862:20543170:-1 gene:PRUPE_7G237000 transcript:ONH98227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKKVAVPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAATASADFSAKVWDALTGDVLHSFEHKHIVRACAFSEDTHLLLTGGVEKVIRIYDLNRPDAPPREVDKSPGSVRTAAWLHSDQTILSSCTDMGGVRLWDVRTGKIVQTLETKSSVTSSEVSQDGRYITTADGSTVKFWDANYFGLVKSYNMPCTVESASLEPKFGNKFIAGGEDMWVHVFDFHTGDEIACNKGHHGPVHCLRFSPGGESYASGSEDGTIRIWQTGPLTQDETEALAANGKVKVPAEEVSRKIEGFHIADEGKSKEKEEAGNE >ONH98228 pep chromosome:Prunus_persica_NCBIv2:G7:20539784:20543274:-1 gene:PRUPE_7G237000 transcript:ONH98228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKKVAVPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAATASADFSAKVWDALTGDVLHSFEHKHIVRACAFSEDTHLLLTGGVEKVIRIYDLNRPDAPPREVDKSPGSVRTAAWLHSDQTILSSCTDMGGVRLWDVRTGKIVQTLETKSSVTSSEVSQDGRYITTADGSTVKFWDANYFGLVKSYNMPCTVESASLEPKFGNKFIAGGEDMWVHVFDFHTGDEIACNKGHHGPVHCLRFSPGGESYASGSEDGTIRIWQTGPLTQDETEALAANGKVKVPAEEVSRKIEGFHIADEGKSKEKEEAGNE >ONH96750 pep chromosome:Prunus_persica_NCBIv2:G7:16127201:16127491:1 gene:PRUPE_7G149500 transcript:ONH96750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIHTSYMYHFTLICKMNLTTSSTLTQKHKDMPFLLHHIGNNVTLKLGSSMSFLLIHIGNTATLKTHAL >ONH98345 pep chromosome:Prunus_persica_NCBIv2:G7:20900631:20902052:1 gene:PRUPE_7G244900 transcript:ONH98345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTTMDRYVKYHVREFERTFDVKFPACSIAAKKHIDQSTTILDVQGVGLKNFNKAARELITRLQKIDGDNYPETLNRMFIINAGAGFRMLWSTVKSFLDPKTTAKIHVLGNKYQNDLLDIIDASELPEFLGGTCTCADQGGCMRSDKGPWKDQEILKMVQNGGHKCSRKLGTQVIEEKGGDQAPVHQIKHTQPSPIISVTKSCLESYKYEDSASMADKTVGSTRRMVVGNGEFDKFAFSEPADCVAKSDASESPDGFGFWIFSGVMAFIIRISNMPKKLTDSTFYSSAVHCDDDDTMCKRQAPLQSDNIFDADFKSFMKRMAEVEEKVSALSMKQANMRPEKEKMLNDTLGRVDVLEQELMATQKALEESLSRQEELLAHLDKKKKKKCFHW >ONH94193 pep chromosome:Prunus_persica_NCBIv2:G7:397747:400108:-1 gene:PRUPE_7G003600 transcript:ONH94193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAFSPFLLPNFSPLSTASAKHIDSSSYPSSLPSFSFLQLYKTNSNKTEFPVPKVASIPYQPINVDYLEEEFSGHGVIFKGIGDSCVAKMELENGSKAIMMLPSGLITSYKASMWHGGTVELLQASVSESEEKNEGAAAAAGVAIQGGVSLAFDCVSEDEQVSWSPTNWALHDIRGNPQQEIQVQLISTDSEDKVEIKYVITLKEDVLVSELVVSNSKSSSLQLTGSILSHLTVSSPDATYAVGLERSDFFSRLPILSSFGIIPPDFGLKNESQISKLWQQMAQKTFMPVWGPKTQNDDGNEAERNQRENEEEMEGEEDDNYKHLRDQMSRIYTSAPTDFTIIDRGRRNSVIVGRDGFDELYMFSPGSSHEDYGKYSYICVGQSAVLKPVIVGPNESWRGGQRLYNPNL >ONH96754 pep chromosome:Prunus_persica_NCBIv2:G7:16164330:16164548:-1 gene:PRUPE_7G149900 transcript:ONH96754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYYILNTLHNILLGTEFKSFKRLCKSPEPEILLSLIDKFLNYIITASSNFTNKYLNEVSWITGTSCFEPNN >ONH97787 pep chromosome:Prunus_persica_NCBIv2:G7:19248181:19251625:1 gene:PRUPE_7G210300 transcript:ONH97787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIKPPLGARPVVRHPRTKIKQTARLIEKKRKKKEENFAYWPWSCTVNLPLRIFISTMPGTILVSVLEFMDLPSSSSSSSISIKVSMGKRECQTWDKGDFTFPLTTLRDNLVVLLQDAEGNEILDAGVETKSIVEKGLWDAFFSLKGGGLVHMKLQFVLNEEERIRIRLMRESALKRKLGEFIYSNPSSVQITPGAGTNLASSLCLPNEISDRNEETSSGITLHQRVDLPLTESCQGKLVEETEAQPLPANVPAKAKYASESSKLLRSPQSMVRVISLPNLQEDKPHNLEKQGPIQKTPSNVKKMISTFESGSAEDMRPCIKPPPKEVQSNSIKAGAPLKFHNLKEDKKVDTETAKSILEGVLKSFSSGDLLLDPTSGDKSGEQINLLGASDGTKSSHPTGIKNKVKQLHVHQEVGIKKNNFHEDFITTSTFETVQVSEKILSKHRHQPSNTRHGRRNSGSNPVIEESRLEISSTNISQIIDIQEASTSADVCTSVVNCEDRHIPYESSGAWIFPDEAIRFCITTSGKKFMDLLGGCREKPNIQQGRMNVSLPENSEENVKNHRPRKSKVDHPEEAETSGGKVGQAIKVAIMIGFGTLVLLTRQRKNR >ONH94859 pep chromosome:Prunus_persica_NCBIv2:G7:6599841:6603303:-1 gene:PRUPE_7G035800 transcript:ONH94859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHICNCPKYCGLNCKYAKPELTNSPLKRGLSPNGKAHSCIHFPLSHTLRRRASEKNRKKMMTATKGWAFALMVIFSVLLSWSIPRGESERAFISFSSHEPLVKAVSLASADGGQDFFMEMTDSVAMNIEYDFYRDTCPEAETIVSSTMAQIYSQHKNVSAQLLRLFFHDCFIQGCDASVLLDDSNGNKNHSIEKQAVPNKTLKGFDKIYQIKEVLENVCPGVVSCADILALATRDGVVLAGGPFYPLFTGRRDSARSYYDEATAEIPKPDDNITQTLHLFSLRGFTDRETVSLLGGHNIGKIGCEFIQSRLHNFKGTGKTDPTVSPSFLNEMRVFCEDNGNEKSSQGSPMAATMASPMAFPTAGPMASSPMASRGMSEKPAPRGMPYFQQLSSSVSSGAGFDTHYYQSLLRGRGLLFADQQLMANERTARLVRAYASDDGSTFRMDFARAMMKMSNLNPLTGSQGQVRLECTLAS >ONH95237 pep chromosome:Prunus_persica_NCBIv2:G7:9774898:9780987:-1 gene:PRUPE_7G058400 transcript:ONH95237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSQPPFLNPTRSTTRIVCKKPANNSSSAFEEKKQVSVDYDRGTHHISTRIPGLTKQHIPKHHRLRVETERFQKDWAVSDVVDRVYKLRHWDDIEGLLNRWVGRFARKNFPVLIKEMTERGSVEHCVRVFGWMKNQKNYCARTDIYNMMIRLHARHNLVDKARGLFFEMQEWRCKPDAESFNALINAHGRAGQWRWAMNLMDDMLRAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTDNGVGPDLVTHNIVLSAYKTGAQYSKALSYFELMKGTNIRPDTTTLNIVIYCLVKLGQHEKAIDLFNSMRDKRAECRPDIVTFTSIIHLYSVCGQIEACAAVFSTMLAEGLKPNIVSYNALLGAYASHGMSEEALSVFNEIKKSSFRPDVVSYTSLLNAYGRSRHPEKAREVFHMMKKNNLKPNLVSYNALINAYGSNGLLAEAVEVLREMERDGIHPNIVSICTLLSACGQCGQKVKIDAVLSAAKLRGIELNTIAYNSAIGSYMNLGEHEKAINLYQSMRKKKVKPDSVTYTVLISGCCKMSKYSEAITFYDEMMDLKIPLSNEVYSSVICAYSKKGQIMEAESIFNLMKMAGCPPDVVSYTAMLHAYSAAENWEKACAIFQEMETTGIQPDGIACSALMRAFNKGGDPSRVLILAELMREKEIPFNDAIFFEMVSACSLLQDWRTTMDLVKLIEPSLPKVSVGLVNQLLHVLGRSGKIETMMKVLQWMVDAGIRPSSQMYLDISSFAQKSGGAEYATLIKERIELLKRKSDPQDSISKLCYTHSASSLTSRTKVENYKLLESTMAVTYPVSDC >ONH95239 pep chromosome:Prunus_persica_NCBIv2:G7:9774898:9780987:-1 gene:PRUPE_7G058400 transcript:ONH95239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPPSRSTYNNLINACGSSGNWREALKVCKKMTDNGVGPDLVTHNIVLSAYKTGAQYSKALSYFELMKGTNIRPDTTTLNIVIYCLVKLGQHEKAIDLFNSMRDKRAECRPDIVTFTSIIHLYSVCGQIEACAAVFSTMLAEGLKPNIVSYNALLGAYASHGMSEEALSVFNEIKKSSFRPDVVSYTSLLNAYGRSRHPEKAREVFHMMKKNNLKPNLVSYNALINAYGSNGLLAEAVEVLREMERDGIHPNIVSICTLLSACGQCGQKVKIDAVLSAAKLRGIELNTIAYNSAIGSYMNLGEHEKAINLYQSMRKKKVKPDSVTYTVLISGCCKMSKYSEAITFYDEMMDLKIPLSNEVYSSVICAYSKKGQIMEAESIFNLMKMAGCPPDVVSYTAMLHAYSAAENWEKACAIFQEMETTGIQPDGIACSALMRAFNKGGDPSRVLILAELMREKEIPFNDAIFFEMVSACSLLQDWRTTMDLVKLIEPSLPKVSVGLVNQLLHVLGRSGKIETMMKLFFKIVASSGDINFDTYAILLKNLLSVGSWRKYIEVLQWMVDAGIRPSSQMYLDISSFAQKSGGAEYATLIKERIELLKRKSDPQDSISKLCYTHSASSLTSRTKVENYKLLESTMAVTYPVSDC >ONH95238 pep chromosome:Prunus_persica_NCBIv2:G7:9775186:9780640:-1 gene:PRUPE_7G058400 transcript:ONH95238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSQPPFLNPTRSTTRIVCKKPANNSSSAFEEKKQVSVDYDRGTHHISTRIPGLTKQHIPKHHRLRVETERFQKDWAVSDVVDRVYKLRHWDDIEGLLNRWVGRFARKNFPVLIKEMTERGSVEHCVRVFGWMKNQKNYCARTDIYNMMIRLHARHNLVDKARGLFFEMQEWRCKPDAESFNALINAHGRAGQWRWAMNLMDDMLRAAIPPSRSTYNNLINACGSSGNWREALKVCKKMTDNGVGPDLVTHNIVLSAYKTGAQYSKALSYFELMKGTNIRPDTTTLNIVIYCLVKLGQHEKAIDLFNSMRDKRAECRPDIVTFTSIIHLYSVCGQIEACAAVFSTMLAEGLKPNIVSYNALLGAYASHGMSEEALSVFNEIKKSSFRPDVVSYTSLLNAYGRSRHPEKAREVFHMMKKNNLKPNLVSYNALINAYGSNGLLAEAVEVLREMERDGIHPNIVSICTLLSACGQCGQKVKIDAVLSAAKLRGIELNTIAYNSAIGSYMNLGEHEKAINLYQSMRKKKVKPDSVTYTVLISGCCKMSKYSEAITFYDEMMDLKIPLSNEVYSSVICAYSKKGQIMEAESIFNLMKMAGCPPDVVSYTAMLHAYSAAENWEKACAIFQEMETTGIQPDGIACSALMRAFNKGGDPSRVLILAELMREKEIPFNDAIFFEMVSACSLLQDWRTTMDLVKLIEPSLPKVSVGLVNQLLHVLGRSGKIETMMKLFFKIVASSGDINFDTYAILLKNLLSVGSWRKYIEVLQWMVDAGIRPSSQMYLDISSFAQKSGGAEYATLIKERIELLKRKSDPQDSISKLCYTHSASSLTSRTKVENYKLLESTMAVTYPVSDC >ONH94851 pep chromosome:Prunus_persica_NCBIv2:G7:6521976:6526176:-1 gene:PRUPE_7G035100 transcript:ONH94851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIYWIIWMCVWKKGSVLVHCFADVSRSASIITAYLMRTEHLSQEDALGSLRQSYEFVCPNDGFLDQVDRASPICESYHRGDKIDNSKFGADPGLPSEVASGVKTGQNGGKTGTPAFRCKKCRRIVALQDNVVDHIPGEGGKSFEWRKRKSSNLSEDSECSSIFVEPLRWMTAVEEGALEGKLSCAHFEACLGYFNWSGSQCSCGSWITTAFQLHRSRVDVSTV >ONH94850 pep chromosome:Prunus_persica_NCBIv2:G7:6521957:6526176:-1 gene:PRUPE_7G035100 transcript:ONH94850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIYWIIWMCVWKKGSVLVHCFADVSRSASIITAYLMRTEHLSQEDALGSLRQSYEFVCPNDGFLDQVDRASPICESYHRGDKIDNSKFGADPGLPSEVASGVKTGQNGGKTGTPAFRCKKCRRIVALQDNVVDHIPGEGGKSFEWRKRKSSNLSEDSECSSIFVEPLRWMTAVEEGALEGKLSCAHFEACLGYFNWSGSQCSCGSWITTAFQLHRSRVDVSTV >ONH94849 pep chromosome:Prunus_persica_NCBIv2:G7:6522315:6527732:-1 gene:PRUPE_7G035100 transcript:ONH94849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDVPEIKKVYAGGSVGGSTSVGDGSKTCLFSDKLSYSLERAGKDLKLERMGVPLRDMDDENLLDYLDVASIITAYLMRTEHLSQEDALGSLRQSYEFVCPNDGFLDQVILLSAKILLFSLIVLQLKMYDEMGFKVDRASPICESYHRGDKIDNSKFGADPGLPSEVASGVKTGQNGGKTGTPAFRCKKCRRIVALQDNVVDHIPGEGGKSFEWRKRKSSNLSEDSECSSIFVEPLRWMTAVEEGALEGKLSCAHFEACLGYFNWSGSQCSCGSWITTAFQLHRSRVDVSTV >ONH96623 pep chromosome:Prunus_persica_NCBIv2:G7:15708752:15713422:-1 gene:PRUPE_7G141900 transcript:ONH96623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSDSKLSRLCRLVDDCLRPYTESGVVLVTKEREILVTLSQLLRQVKLLTQALDSDSENGPVIGLDCESDCMCLTKIVTHLGSNWGAFIHLLCVCVDLAITVAIQCTSSHLTTEADDHHSGSSSLVLVLKRKLENADWSVAAGVVRVLHDIRKYLKTEDDDVLVELFFDNVNSVLSTVPWNLLQGIHVGPNLVAQKSSGADVLFQRSLFLGNLVQFLCSLAEQSGSMEAASGSVDRHHPIISTIINLVPKLLCSCLDEQADCVNFNKCISQYFRHKLLVLMIRLIFQTCQEFSIPVTWLQLIHKYFEDVLRNPITLKNDQDDCLEGSPFLSSVSDAEVNSLSSRHLQRQAVFLFLRCSFSLISTKGGTNKKCACTTWNLRLTCDSNAELLCCGRKKGLLELYNWLQGHLPANMTVDHEMYFVECVDFAKSFIQLYIKEDDVLFKVLLHLLCVPCCAEQDEKEKVALEDSNGNLLFRVSDLFNPVLLFHLFLLELSYDHQVLLDYLISKDTGISCAEYLLRCLRKVCDSWSLFVEFLVGGQATNQSFCKKRKVSLGGSSSWGEDSVAPTKNHLTFLDDEPDEENENGCKHTQNGGQYFKEAKECLLSLKISIEGLHQKNLFPYNPNVLLNRLTRFQELCFEEEK >ONH96624 pep chromosome:Prunus_persica_NCBIv2:G7:15709265:15713243:-1 gene:PRUPE_7G141900 transcript:ONH96624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSDSKLSRLCRLVDDCLRPYTESGVVLVTKEREILVTLSQLLRQVKLLTQALDSDSENGPVIGLDCESDCMCLTKIVTHLIVLLTVESQYVKHLTCNVLVAVSEFLAALGSNWGAFIHLLCVCVDLAITVAIQCTSSHLTTEADDHHSGSSSLVLVLKRKLENADWSVAAGVVRVLHDIRKYLKTEDDDVLVELFFDNVNSVLSTVPWNLLQGIHVGPNLVAQKSSGADVLFQRSLFLGNLVQFLCSLAEQSGSMEAASGSVDRHHPIISTIINLVPKLLCSCLDEQADCVNFNKCISQYFRHKLLVLMIRLIFQTCQEFSIPVTWLQLIHKYFEDVLRNPITLKNDQDDCLEGSPFLSSVSDAEVNSLSSRHLQRQAVFLFLRCSFSLISTKGGTNKKCACTTWNLRLTCDSNAELLCCGRKKGLLELYNWLQGHLPANMTVDHEMYFVECVDFAKSFIQLYIKEDDVLFKVLLHLLCVPCCAEQDEKEKVALEDSNGNLLFRVSDLFNPVLLFHLFLLELSYDHQVLLDYLISKDTGISCAEYLLRCLRKVCDSWSLFVEFLVGGQATNQSFCKKRKVSLGGSSSWGEDSVAPTKNHLTFLDDEPDEENENGCKHTQNGGQYFKEAKECLLSLKISIEGLHQKNLFPYNPNVLLNRLTRFQELCFEEEK >ONH95265 pep chromosome:Prunus_persica_NCBIv2:G7:9909626:9911135:1 gene:PRUPE_7G060200 transcript:ONH95265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAFPLATKLIEKLGSITSEHICLACCVKADLKKLQRTMSIIKDVLLDAEQKQEHNQQIRNDGRILPALRLSYTRLPPHLRQCLASCSYLQKDWNGYTSYALIRYWMAHGILDQFRVHGNMELEDIGELYFKDLWARSFFQKVIDDHTCYFFDMHGLIHDLVQSVAQGECFIVKSANTKDIFENVRHLTFLEAGQNVSTTLQKLNKVRTIAAERIDIDESFLHTCFSRFKYLRVLKLLEVSLQVLPSSIGSLKHLKYLDLSLNEAMTKVPNSICRLQSLQTLNFGYCENLQELPRDISKLISLTSLFLTTKQTSFTENGVGCLKSLRFLSITECSNLTFLPRETSYLAALRTLWIVECKLLDLVDVNYQGTPRRLQKLIIKDVPRMVALPEWFQGAANILQLLVIARCENLEALPEWLRSFTSLRKLVLDSYPKLSSLPGRMCSLTSLKELVIDDCPELERRCQRDIGEDWSKISHEPHVSFHLFD >ONH95634 pep chromosome:Prunus_persica_NCBIv2:G7:11667869:11670056:1 gene:PRUPE_7G081600 transcript:ONH95634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFDIPEMREYKREYPPEHFIVEIKPYSLLSNTKAEKYESGVFDAGGYRWRLSFYPNYETTSKKGYISLFLVLIGSVSGKQSPAEVYATFRLFLYKNTPETQEYLTIEDGSGKVNHFHEEMRPELGFAKFLLRSTFEKRSEGYVWDDCCRIGAEVFVHKGQTKTLDEYLPPRELKNPTSSVRKFRLGSISSKRDPKCLSSDKFHLQGRKWKLSVCQNGEPENKSLSFMLESKEDWKSFPSFYAKFRVRVLDRVHGKHTTEKEVQHWFCSSNRKIVFPDFMPWKTLRDSSKGFIKNDVLTVEVEICSLSVVEAPRILKKPLSSD >ONH97390 pep chromosome:Prunus_persica_NCBIv2:G7:18069953:18070418:1 gene:PRUPE_7G187400 transcript:ONH97390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMGRHLFHSMVKDYHIEPSPQHYSSMVDMLGRAGKLEEAEELMSQIPGQPGFLLLQSLLGACRIHGNVEMEKGMRDKGVRKEVGYSWVDTGDADGSLYLHGFSSGDTSHPESGKICRMAKCLGLEMKILRENMWETKSLKMDSFSRPSL >ONH98841 pep chromosome:Prunus_persica_NCBIv2:G7:22089234:22090701:-1 gene:PRUPE_7G268400 transcript:ONH98841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWRARAASSTLFNRLLGGHFNAISTTTACRTLSTDVGGTSNQFFHYDINSQFGRCMPLADMHIHAKIHSIEVHPGQGSKLVRAPGTCAKILKEPTSRCLVRLPSGVEKWIDSKCQATIGTVPSEGNKPKKLYKAGQSRWLGRRPTVRGVAMNPVDHPHGGGEGKSKSSGSRGKGSRTPWGKPTKGGYKTGPNKRRK >ONH97926 pep chromosome:Prunus_persica_NCBIv2:G7:19677704:19682250:1 gene:PRUPE_7G218800 transcript:ONH97926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAVGAAVVCAAAVCAAAALVVRHRMKCSGRWARAMAILREFEDKCGTPIGKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPTGDEQGLFYALDLGGTNFRVIRVQLGGKEKRVVKQEFDEVSIPPNLMTGTSEALFDFIAEALAKFVATEGEGFHPAPGRQRELGFTFSFPVWQTSIASGTLIKWTKGFNIEDAVEQDVVGELTKSVEKIGLDMRVTALVNDTIGTLAGGRYHNQDVIAAVILGTGTNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLTEYDQALDAESLNPGDQIFEKIISGMYLGDIVRRVLCKMAEEASFFGDVVPPKLKVPFILRTPDMSAMHHDTSPDLRVVGSKLKDILEISNTSLKMRKVVVALCDIVATRGARLSAAGIMGVLKKLGRDTVKEGEKQKSVVALDGGLYEHYTEFRTSMESTLKELLGDEVAEHISVEHSNDGSGIGAALLAASHSQYLEVEES >ONH94914 pep chromosome:Prunus_persica_NCBIv2:G7:7312686:7313738:1 gene:PRUPE_7G039500 transcript:ONH94914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVVRHLHRTDELLDFQRSGMIWPEQWSSNNFCNIFNQHMVGTNSWVSAEIILVDNRGLVEIILALLSGRNILSQSIHEE >ONH97808 pep chromosome:Prunus_persica_NCBIv2:G7:19340225:19341370:-1 gene:PRUPE_7G212000 transcript:ONH97808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSGPIYTPLYNLSSTSGLEKMKEMGIPVIDFDELNGEERSKTMDLLHQACEKWGFFQVENHGIDKQLMEKVKQLINKYYEENLKESFYKSEMAKSLEQDITSEKDWESSFFIWHRPTSNIEDIPNLSDDLRITMNEYIPQLIKMAEKLSELMCENLGLNKGYIKDAFCAGKGPSVGTKVAKYPQCPQPELVRGLREHTDAGGIILLLQDDQVPGLEFFKDGEWVAIPPSKNNRIFVNIGDQVEVLSNGRYKSVLHRVMADKNGSRLSIATFYNPAGDAIISPAPRLLYPNHVRFQDYLKFYATTKFSDKGRRFESMKQIA >ONH97809 pep chromosome:Prunus_persica_NCBIv2:G7:19339762:19345253:-1 gene:PRUPE_7G212000 transcript:ONH97809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMGIPVIDFDELNGEERSKTMDLLHQACEKWGFFQVENHGIDKQLMEKVKQLINKYYEENLKESFYKSEMAKSLEQDITSEKDWESSFFIWHRPTSNIEDIPNLSDDLRITMNEYIPQLIKMAEKLSELMCENLGLNKGYIKDAFCAGKGPSVGTKVAKYPQCPQPELVRGLREHTDAGGIILLLQDDQVPGLEFFKDGEWVAIPPSKNNRIFVNIGDQVEVLSNGRYKSVLHRVMADKNGSRLSIATFYNPAGDAIISPAPRLLYPNHVRFQDYLKFYATTKFSDKGRRFESMKQIA >ONH97811 pep chromosome:Prunus_persica_NCBIv2:G7:19339924:19341809:-1 gene:PRUPE_7G212000 transcript:ONH97811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMGIPVIDFDELNGEERSKTMDLLHQACEKWGFFQVENHGIDKQLMEKVKQLINKYYEENLKESFYKSEMAKSLEQDITSEKDWESSFFIWHRPTSNIEDIPNLSDDLRITMNEYIPQLIKMAEKLSELMCENLGLNKGYIKDAFCAGKGPSVGTKVAKYPQCPQPELVRGLREHTDAGGIILLLQDDQVPGLEFFKDGEWVAIPPSKNNRIFVNIGDQVEVLSNGRYKSVLHRVMADKNGSRLSIATFYNPAGDAIISPAPRLLYPNHVRFQDYLKFYATTKFSDKGRRFESMKQIA >ONH97810 pep chromosome:Prunus_persica_NCBIv2:G7:19339924:19341652:-1 gene:PRUPE_7G212000 transcript:ONH97810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMGIPVIDFDELNGEERSKTMDLLHQACEKWGFFQVENHGIDKQLMEKVKQLINKYYEENLKESFYKSEMAKSLEQDITSEKDWESSFFIWHRPTSNIEDIPNLSDDLRITMNEYIPQLIKMAEKLSELMCENLGLNKGYIKDAFCAGKGPSVGTKVAKYPQCPQPELVRGLREHTDAGGIILLLQDDQVPGLEFFKDGEWVAIPPSKNNRIFVNIGDQVEVLSNGRYKSVLHRVMADKNGSRLSIATFYNPAGDAIISPAPRLLYPNHVRFQDYLKFYATTKFSDKGRRFESMKQIA >ONH97413 pep chromosome:Prunus_persica_NCBIv2:G7:18134982:18136738:-1 gene:PRUPE_7G188800 transcript:ONH97413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEDCMTIPVIDMQKFPDAEEYKKLREASEIWGCFRLINHKIPLALMKEMKAVVRSLLDLPMEIKQQNKDVIAGSGYMAPSKVNPLYEALGLYDLGSPEAVHTFCSKLDASSHQREIISKYAQAVYDQIVEIGHKLAESLGLVNVDFLKGWPCQFRINKYNFKPESVGSSGVQIHTDSGFLTILQDDENVGGLEVMDKAGAFVPVDPCPGTLLVNLGDVAQAWSNGRLCNVRHRVQCREATIRVSIATFLLGPKDQEAVEAPPEFVDSEHPRLYVPFTYEDYRKLRLSSKLQAGEALAVLRTTPS >ONH97957 pep chromosome:Prunus_persica_NCBIv2:G7:19767790:19772973:-1 gene:PRUPE_7G221100 transcript:ONH97957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFKRTHSPKSIISFISTTSTCILNKKVSHFSSVVAAQKQITASSASSLTTGFDLQRSLCFLCCNCNRKSVADPQLLIGSLRRMESTFNELGDKASVLDFDPKSADVGGGVEDIYGEDTATEDQLVTPWTYSVASGYSLLRDPQYNKGLAFTEKERDTHYLRGLLPPATCSQQLQEKKLMNNIRQYQVPLQKYMALTELQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKFGSIFRRPQGLYISLKEKGKVLEVLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNKQLLEDEFYIGLRQKRTTGKEYADLLDEFMSAVKQNYGEKVLIQFEDFANHNAFQLLEKYRRTHLVFNDDIQGTAAVVLAGVVAALRLVGGSLSEHKFLFLGAGEAGTGIAELIALEISKKTKTPVEETRKKIWLVDSKGLIVSSRKDSLQQFKKPWAHEHEPIDNLLDAVKAIKPTVLIGSSGVGRTFTKEVIEAVSSFNEKPLILALSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYNGKVYVPGQSNNAYIFPGFGLGLVISGAIRVHDDMLLAASESLAGEVTEEYFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLATRLPRPENLVKYAESCMYSPVYRNYR >ONH97960 pep chromosome:Prunus_persica_NCBIv2:G7:19767808:19772602:-1 gene:PRUPE_7G221100 transcript:ONH97960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIRQYQVPLQKYMALTELQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKFGSIFRRPQGLYISLKEKGKVLEVLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNKQLLEDEFYIGLRQKRTTGKEYADLLDEFMSAVKQNYGEKVLIQFEDFANHNAFQLLEKYRRTHLVFNDDIQGTAAVVLAGVVAALRLVGGSLSEHKFLFLGAGEAGTGIAELIALEISKKTKTPVEETRKKIWLVDSKGLIVSSRKDSLQQFKKPWAHEHEPIDNLLDAVKAIKPTVLIGSSGVGRTFTKEVIEAVSSFNEKPLILALSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYNGKVYVPGQSNNAYIFPGFGLGLVISGAIRVHDDMLLAASESLAGEVTEEYFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLATRLPRPENLVKYAESCMYSPVYRNYR >ONH97961 pep chromosome:Prunus_persica_NCBIv2:G7:19768640:19772312:-1 gene:PRUPE_7G221100 transcript:ONH97961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTFNELGDKASVLDFDPKSADVGGGVEDIYGEDTATEDQLVTPWTYSVASGYSLLRDPQYNKGLAFTEKERDTHYLRGLLPPATCSQQLQEKKLMNNIRQYQVPLQKYMALTELQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKFGSIFRRPQGLYISLKEKGKVLEVLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNKQLLEDEFYIGLRQKRTTGKEYADLLDEFMSAVKQNYGEKVLIQFEDFANHNAFQLLEKYRRTHLVFNDDIQGTAAVVLAGVVAALRLVGGSLSEHKFLFLGAGEAGTGIAELIALEISKKTKTPVEETRKKIWLVDSKGLIVSSRKDSLQQFKKPWAHEHEPIDNLLDAVKAIKPTVLIGSSGVGRTFTKEVIEAVSSFNEKPLILALSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYNGKVYVPGQSNNAYIFPGFGLGLVISGAIRVHDDMLLAACK >ONH97959 pep chromosome:Prunus_persica_NCBIv2:G7:19767808:19772602:-1 gene:PRUPE_7G221100 transcript:ONH97959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTFNELGDKASVLDFDPKSADVGGGVEDIYGEDTATEDQLVTPWTYSVASGYSLLRDPQYNKGLAFTEKERDTHYLRGLLPPATCSQQLQEKKLMNNIRQYQVPLQKYMALTELQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKFGSIFRRPQGLYISLKEKGKVLEVLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNKQLLEDEFYIGLRQKRTTGKEYADLLDEFMSAVKQNYGEKVLIQFEDFANHNAFQLLEKYRRTHLVFNDDIQGTAAVVLAGVVAALRLVGGSLSEHKFLFLGAGEAGTGIAELIALEISKKVLTFYNQTKTPVEETRKKIWLVDSKGLIVSSRKDSLQQFKKPWAHEHEPIDNLLDAVKAIKPTVLIGSSGVGRTFTKEVIEAVSSFNEKPLILALSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYNGKVYVPGQSNNAYIFPGFGLGLVISGAIRVHDDMLLAASESLAGEVTEEYFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLATRLPRPENLVKYAESCMYSPVYRNYR >ONH97962 pep chromosome:Prunus_persica_NCBIv2:G7:19767808:19772509:-1 gene:PRUPE_7G221100 transcript:ONH97962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTFNELGDKASVLDFDPKSADVGGGVEDIYGEDTATEDQLVTPWTYSVASGYSLLRDPQYNKGLAFTEKERDTHYLRGLLPPATCSQQLQEKKLMNNIRQYQVPLQKYMALTELQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKFGSIFRRPQGLYISLKEKGKVLEVLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNKQLLEDEFYIGLRQKRTTGKEYADLLDEFMSAVKQNYGEKVLIQFEDFANHNAFQLLEKYRRTHLVFNDDIQGTAAVVLAGVVAALRLVGGSLSEHKFLFLGAGEAGTGIAELIALEISKKTKTPVEETRKKIWLVDSKGLIVSSRKDSLQQFKKPWAHEHEPIDNLLDAVKAIKPTVLIGSSGVGRTFTKEVIEAVSSFNEKPLILALSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYNGKVYVPGQSNNAYIFPGFGLGLVISGAIRVHDDMLLAACK >ONH97963 pep chromosome:Prunus_persica_NCBIv2:G7:19768819:19772312:-1 gene:PRUPE_7G221100 transcript:ONH97963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTFNELGDKASVLDFDPKSADVGGGVEDIYGEDTATEDQLVTPWTYSVASGYSLLRDPQYNKGLAFTEKERDTHYLRGLLPPATCSQQLQEKKLMNNIRQYQVPLQKYMALTELQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKFGSIFRRPQGLYISLKEKGKVLEVLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNKQLLEDEFYIGLRQKRTTGKEYADLLDEFMSAVKQNYGEKVLIQFEDFANHNAFQLLEKYRRTHLVFNDDIQGTAAVVLAGVVAALRLVGGSLSEHKFLFLGAGEAGTGIAELIALEISKKTKTPVEETRKKIWLVDSKGLIVSSRKDSLQQFKKPWAHEHEPIDNLLDAVKAIKPTVLIGSSGVGRTFTKEVIEAVSSFNEKPLILALSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYNGKVYVPGQVIQI >ONH97958 pep chromosome:Prunus_persica_NCBIv2:G7:19767808:19772602:-1 gene:PRUPE_7G221100 transcript:ONH97958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTFNELGDKASVLDFDPKSADVGGGVEDIYGEDTATEDQLVTPWTYSVASGYSLLRDPQYNKGLAFTEKERDTHYLRGLLPPATCSQQLQEKKLMNNIRQYQVPLQKYMALTELQERNERLFYKLLIDNVEELLPIVYTPTVGEACQKFGSIFRRPQGLYISLKEKGKVLEVLKNWPERTVQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNKQLLEDEFYIGLRQKRTTGKEYADLLDEFMSAVKQNYGEKVLIQFEDFANHNAFQLLEKYRRTHLVFNDDIQGTAAVVLAGVVAALRLVGGSLSEHKFLFLGAGEAGTGIAELIALEISKKTKTPVEETRKKIWLVDSKGLIVSSRKDSLQQFKKPWAHEHEPIDNLLDAVKAIKPTVLIGSSGVGRTFTKEVIEAVSSFNEKPLILALSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYNGKVYVPGQSNNAYIFPGFGLGLVISGAIRVHDDMLLAASESLAGEVTEEYFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLATRLPRPENLVKYAESCMYSPVYRNYR >ONH94880 pep chromosome:Prunus_persica_NCBIv2:G7:6756306:6768269:1 gene:PRUPE_7G037000 transcript:ONH94880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTRRTSSSSPSTTPQWKYDVFLSFRGDDTRKGFTDHLYETLRAQGIITFRDEPKISKGKSISGELIAAIEGSKFALIVLSQNYASSTWCLDELLHILKFMEAREAVLPIFYYVDPSHVRKQTGCFEKAFTQLEERFSSDDKTKVQEWRDALAKVADFSGWKAKDWYETLLIKDIIDVIWKRLRPTSFTFVENSVGLDSSMNSIDLLLGAGVDEVRFIGIWGMGGIGKTTIARVVRERISPEFEFSIFLENVSDNVQKGGLISQQREILARISMKTIDIFDVHEGSTMIRRLLRHKKVLLILDDVTDSDHLDYLAGKQEWFGSGSRVLITTRNEHLLIEHEVERRFQVKRLNHDDALKLFSWKAFGKDHPEKNYIDLSSCVVSYADGLPLALKVLGSFLRGRHVSAWNSALGKLRDLCNTVLGTLQISYDNLDDREKKIFLDIACFFNGEKEDRVIEILDSCGFCACIGIDVLIEKSLLTNSYGTLWMHQLLQEMGRELVNRECLDEPGNRSRLWRHEEGKHVLSKNTGTDAVESITMDKTGPVVHADAKCFSRMKKLRLLNLANVNLSNDLEYLSDNLRSLEWDGYPSKYFPLHFNPENLLELNMCHSHIESFWTGVKLLYNLKIFKLSHSLNLVNTPDFRGFPNLEYLILEGCRRLYKVDPSLGMLERITQINLKDCKSLVHLPRSVYGLKSVKVLNLSGCSKLDKLPNELGNAECLEELDVSGTSVRELPSSIVRLKSLTVLNFRGCKGPSPKFWNLLSLFQLFLSLFQRFLIRSRVPTPLLLPSLSGLVSLKKLTLSDCNLLVVPNDLSCLSSLTDLDLSRNQFVSLPNGVSLLSRLQFLNLECCERLQELPEVPQRVIAVVNNCISLERIARGSTEKFQWLRSTQCINCFKFAETHDFRSLVFTLLKQYDGEVPITQFVVPGNEIPEWFNHKSAEYIYQKEWFNPQSVEYPLSVELRPGWFTEKWMGFAVCLAFAIQERSPNCDPPLEYGSFDYNYTHIITCKVDINGKEMTAARRRTFVVLNAESGQAVSDHLWVVFFPRHFPQLWKGISDQIELPSGTEWWQGIFGQITFSITARVGHGVIVKQSAARLVYEGDLEELDPRFRRGTRSHVSISEVDSEIPSYTNK >ONH94879 pep chromosome:Prunus_persica_NCBIv2:G7:6756306:6768269:1 gene:PRUPE_7G037000 transcript:ONH94879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTRRTSSSSPSTTPQWKYDVFLSFRGDDTRKGFTDHLYETLRAQGIITFRDEPKISKGKSISGELIAAIEGSKFALIVLSQNYASSTWCLDELLHILKFMEAREAVLPIFYYVDPSHVRKQTGCFEKAFTQLEERFSSDDKTKVQEWRDALAKVADFSGWKAKDWYETLLIKDIIDVIWKRLRPTSFTFVENSVGLDSSMNSIDLLLGAGVDEVRFIGIWGMGGIGKTTIARVVRERISPEFEFSIFLENVSDNVQKGGLISQQREILARISMKTIDIFDVHEGSTMIRRLLRHKKVLLILDDVTDSDHLDYLAGKQEWFGSGSRVLITTRNEHLLIEHEVERRFQVKRLNHDDALKLFSWKAFGKDHPEKNYIDLSSCVVSYADGLPLALKVLGSFLRGRHVSAWNSALGKLRDLCNTVLGTLQISYDNLDDREKKIFLDIACFFNGEKEDRVIEILDSCGFCACIGIDVLIEKSLLTNSYGTLWMHQLLQEMGRELVNRECLDEPGNRSRLWRHEEGKHVLSKNTGTDAVESITMDKTGPVVHADAKCFSRMKKLRLLNLANVNLSNDLEYLSDNLRSLEWDGYPSKYFPLHFNPENLLELNMCHSHIESFWTGVKLLYNLKIFKLSHSLNLVNTPDFRGFPNLEYLILEGCRRLYKVDPSLGMLERITQINLKDCKSLVHLPRSVYGLKSVKVLNLSGCSKLDKLPNELGNAECLEELDVSGTSVRELPSSIVRLKSLTVLNFRGCKGPSPKFWNLLSLFQLFLSLFQRFLIRSRVPTPLLLPSLSGLVSLKKLTLSDCNLLVVPNDLSCLSSLTDLDLSRNQFVSLPNGVSLLSRLQFLNLECCERLQELPEVPQRVIAVVNNCISLERIARGSTEKFQWLRSTQCINCFKFAETHDFRSLVFTLLKQYDGEVPITQFVVPGNEIPEWFNHKSAEYIYQKEWFNPQSVEYPLSVELRPGWFTEKWMGFAVCLAFAIQERSPNCDPPLEYGSFDYNYTHIITCKVDINGKEMTAARRRTFVVLNAESGQAVSDHLWVVFFPRHFPQLWKGISDQIELPSGTEWWQGIFGQITFSITARVGHGVIVKQSAARLVYEGDLEELDPRFRRGTRSHVSISEVDSEIPSYTNK >ONH98505 pep chromosome:Prunus_persica_NCBIv2:G7:21284019:21284650:1 gene:PRUPE_7G252100 transcript:ONH98505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHILKPIMEVEVKLRLPDVAAHCKVTTLLAPFHVSTHRQENLFFDGPKAELSARRAALRLRFSDRAPLCAVTLKARAVLVDGVSRVEEDEEELDHSIGRAGADQPDKLMSAESRVLSRVREEFGVLGFVGLGGFRNVRDVYDWKGLKLEVDETKYEFGTCYEIECESADPEGVKEVLEGFLKENGVQYSYSETSKFAIFRAGKLPLSE >ONH96128 pep chromosome:Prunus_persica_NCBIv2:G7:13713010:13715551:-1 gene:PRUPE_7G109000 transcript:ONH96128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKRKLKSLAETITNSKRRVSKEKREKLELQTWSDLPTELLELIVSRLALKDNIRVSVVCKRWHSAAISVRVVNQSPLLMYFPKFGDLYEFYDPSQRKIYSLELPELTGSRICYTKDGWLLLYRPRSHRVFFFNPFTRKMIKVPRFELTYQIVAFSCAPTSASCILFTVKHISPTIVAISTCRPGEREWTTVNVQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVFNPQERTWSVLAVPPPKCPENFFAKNWWKGKFMAEHNGDILVIYTCSSENPIIFKLDQTRMVWEEMKTLDGVTLFASFLSSHSRTDLPGIMRNSVYFSKVRFYGRCCISYSLDDCRYHPRKQCHDWGEQDPFKNIWIEAPQDFLTLNW >ONH96382 pep chromosome:Prunus_persica_NCBIv2:G7:14700446:14702517:1 gene:PRUPE_7G125000 transcript:ONH96382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPMSSLSAKAMTMKTLQALLGSLLLFLLLLPDHVQVFAANRVLLGAKEEHDEDGPRFKPSPWKRAHATFYEGGSGTFGGACGYHDVVKEGYGLETVALSDALFNKGQSCGSCFEIKCVDSPQWCKPGQPVLAVTATNHCPPNWNQPSDNGGWCNPPREHFDIAKPVFLNIVAQHKAGIVPVEYRRVPCKKKGGIRFTITGNPYFHEVLVWNAAGSGDVTSVEVKGGKLQWTPLERMWGQRWVTGAKLSGEALTFRVGESDGRTITSVNVAPKNWQFGQTYEGKNFD >ONH98500 pep chromosome:Prunus_persica_NCBIv2:G7:21272003:21278823:1 gene:PRUPE_7G251900 transcript:ONH98500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQLGWSSSEAGLVQSSFFWGYALSQLPGGWLAKIFGGRKVLEIGVLTWSLATALVPLVAGFTPGLVVSRILVGIGEGVSPSAATDLIARSIPLEERSRAVAFVFGGLSVGSVAGLLLAPPLIQNLGWESVFYIFSLLGIGWYVGFQFLEGQASWVGESIPRSQSTDMKKTWSTNELGDSLKDVPWKEFFRNQAVWAMIYAHFCGSWGHYTCLAWLPTYFSEELNLNLTEAAWVSILPPLASIFVTSIASQFADNLISSGVQTTTVRKICQTIAFLSPAACMTLSSLDLGLPHWEVVGILTGGLALSSFALSGLYCTHQDMSPEYASILLGITNTVGAVPGIIGVALTGYLLDSTHSWSISLFIPSIFFYLTGTVIWLVFASSKPQTFSKTD >ONH98499 pep chromosome:Prunus_persica_NCBIv2:G7:21271254:21278823:1 gene:PRUPE_7G251900 transcript:ONH98499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTLRAESSCLFSPTTSSQSQPSSFVSSFRRRRLLCFPPKNKLEFRVFCSIKEKESIKETKRLNGVPVDKVQRAGSGSEGDSGLESGSGEVGFDWNWPPWKNIPRRYKLIGTTSLAFVICNMDKVNLSIAIIPMSHQLGWSSSEAGLVQSSFFWGYALSQLPGGWLAKIFGGRKVLEIGVLTWSLATALVPLVAGFTPGLVVSRILVGIGEGVSPSAATDLIARSIPLEERSRAVAFVFGGLSVGSVAGLLLAPPLIQNLGWESVFYIFSLLGIGWYVGFQFLEGQASWVGESIPRSQSTDMKKTWSTNELGDSLKDVPWKEFFRNQAVWAMIYAHFCGSWGHYTCLAWLPTYFSEELNLNLTEAAWVSILPPLASIFVTSIASQFADNLISSGVQTTTVRKICQTIAFLSPAACMTLSSLDLGLPHWEVVGILTGGLALSSFALSGLYCTHQDMSPEYASILLGITNTVGAVPGIIGVALTGYLLDSTHSWSISLFIPSIFFYLTGTVIWLVFASSKPQTFSKTD >ONH96255 pep chromosome:Prunus_persica_NCBIv2:G7:14189550:14190256:1 gene:PRUPE_7G116900 transcript:ONH96255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNYQGFLMFSVFAFLIFSTSSHARLLQQHQASLSATNDPIDLMGSEECDDRDEECLNRRLIAEAHLDYIYTQNHKP >ONH98599 pep chromosome:Prunus_persica_NCBIv2:G7:21471951:21475627:1 gene:PRUPE_7G256400 transcript:ONH98599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFRSNPPKKFLLFLSLALFIALTSGSSHISHTFRTTGGESEYEYGGAVKWDTRRSLAEGTVQNSSLILAEKRTYRKDPLDGFKKYTGGWNISNDHYWASVGFTAAPFFVIAGVWFVLFGLSLSFICLCYCCCPREPYGYSRTAYALSLIFLILFTLAAIVGCIVLYTGQGKFHSSTTNTLKYVVSQADTTVENLRNLSGYLGAAKRIGVDAVFLPADVQSNIDNVLTKINSASNTLSDKTEKNSKRIQDGLDSMRLALIIVAAVMLFLAFLGFLFSILGMQVLVYFLVIVGWVLVAGTFILCGVFLLLHNVVADACVSMDEWVTNPTAHTALDDVLPCVDNATAQETSSRTKETTYRLATVVNRVITNVSNKNYPPIAGPLYFNQSGPLLPVLCNPFNSDLTDRQCSPGEAKLQNATEVWKKYVCEVSAAGICTTPGRLTPTFYSQMEAAVNVSYGLYRYGPFLVDLQDCTFVRDAFTDVSNRNCPGLRKYSGWIYIGLVMVSTAVMLSLIFWVIYARERRHRVYTKQFTARTAGDFQDKGA >ONH94968 pep chromosome:Prunus_persica_NCBIv2:G7:7888546:7888887:1 gene:PRUPE_7G042700 transcript:ONH94968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNSGSPCLRPLAGPNLSLGLFTPTSNIHFLPTSPKPFIFRNKIQKAPIHMIIGLHKVYLKHQPIFLLLPHFPHHSICN >ONH98069 pep chromosome:Prunus_persica_NCBIv2:G7:20073576:20080226:-1 gene:PRUPE_7G227000 transcript:ONH98069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDESKFDVHLKLWALRIPRELCKVATRILNGYLLDKPRIKPITEDPTCEKNRYMILSERVQDSDLSDVPEKNIDELKGLCKIEVVPYSLTLGYSYWGADHILKQILPPGVEVPSSFETIVNFTIPSYCAKLDNLCHVAHLNIHDELLSYKNVIAKVIYDKNYPRIKTIVNKVGTIENEFRVPKFEVLAGEDDMVTEVKQYGATFKLDYSLVYWNSRLEHEHIRLVSQFRAGEIICDMFAGIGPFAIPAAQKGCIVYANDLNPDSIRYLKINAETNKVDNRVRAYNIDARKFISQLMTVPNSDEKSDSDISMLKTGEKCNVQGNQEPKSENGRLAVEVKEVPDTVISNRDALQASSRNADSSVPPVKRPSDSCQSENESVDGTSAFVAGRRKGSKTKRMRGPEISNVKTWEHVDHVIMNLPASALQFLDAFRGLIQRKYWTGSLPWIHCYCFIRAIETQEYIISVAESGLNAHIKDPIFHRVRDVAPNKKMRMAQERVYWEIVLILVLMNMAQKGTSFGVNWGTMATHQLPPEKVVKMLKDNGFNKLKLFEAEEKILAALMGTQIEVMLAVPNVMLQEMSQDPVAAATWVDANVTSYCSNGGVNIKYVSVGNEPFLKTYNGTYLKTTLPALKNIQEALNNAGLGSQVKATVPFNADIYFSPDSDPVPSTGDFRPEIRDSLIEIIQYLHTNDAPFTVNIYPFLSLYGNTYFPFGFAFFDGTTKPMKDGDLLYTNVFDANFDTLVWSLTKAGFPEMKIMVGEVGWPTDGDINANIRNARRFNQGMIQHSLSGNGTPARKGKIDVYLFSLIDEDAKSIAPGNFERHWGLFEYDGKPKYNLDLTGSLENKGLAAVEDVDYMLKRWCVLDKDAKDLEVLVKSIDYACTLSDCTALGYGCSCNNLSLRGNASYAFNMYYQVNSQKSWTCDFSGLAVVTDENPSVGDCQFPVMISYGGPSVLPSSGLAHMVMKIVGGYLLYLVLL >ONH94186 pep chromosome:Prunus_persica_NCBIv2:G7:354925:356009:1 gene:PRUPE_7G003000 transcript:ONH94186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSVVVQNFLEAGTRKIASLMPLAAGFLTFVGIECFVDQLVAPIKPIIGQYLGKYLLACSGPVVTKYLDIYVAYILSYKTNIESLLKQVKKLKATRDLVLQAVEDAKKRGEVVVRDEVEKWLSSVDRLTSEATKFFEYKGDANSGWFRWLYGLLMSRYQLSKKAKKMIEHLVQLQADGIFAKVSN >ONH98371 pep chromosome:Prunus_persica_NCBIv2:G7:20991638:20994888:1 gene:PRUPE_7G247000 transcript:ONH98371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLRVHSVDTNHPLSLEDAEFTTITTSKITSETESNPNIIPKFSERRGIAHLFRSIGHSSLPNSPTSRSTRLFVIAVPNYLSFDDFIRFCGSRIGHVLQLVFIRNDGMEDRYSVLVELKNQMTADGFYHTLNGKKYSPGEAELCHILFLLSVDYTDSDEIAVTPPEGCIELPTCPVCLERLDADTSGIASTLCDHSFQCPCISKWTYLSCQVCRFCQQQDEKPACSVCGISVNPWVCLICGFVGCGRYTEGHAVKHWKDTQHCYSLELNRQQIWDYVGDAYVHRLNQSKIDGKLITDLDSQCMSVEGDCDRCECSDDSGISGALYSSKVETIVDEYNRLLASQLETQRQYYETLLMEAKTKKESSMLEAVEKAVNSKMQDFQAKLEKCLEERNVVEDINRNLIKGQETRRGKLKEIEERLEILQSILKPKKHLRT >ONH98370 pep chromosome:Prunus_persica_NCBIv2:G7:20991517:20995358:1 gene:PRUPE_7G247000 transcript:ONH98370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLRVHSVDTNHPLSLEDAEFTTITTSKITSETESNPNIIPKFSERRGIAHLFRSIGHSSLPNSPTSRSTRLFVIAVPNYLSFDDFIRFCGSRIGHVLQLVFIRNDGMEDRYSVLVELKNQMTADGFYHTLNGKKYSPGEAELCHILFLLSVDYTDSDEIAVTPPEGCIELPTCPVCLERLDADTSGIASTLCDHSFQCPCISKWTYLSCQVCRFCQQQDEKPACSVCGISVNPWVCLICGFVGCGRYTEGHAVKHWKDTQHCYSLELNRQQIWDYVGDAYVHRLNQSKIDGKLITDLDSQCMSVEGDCDRCECSDDSGISGALYSSKVETIVDEYNRLLASQLETQRQYYETLLMEAKTKKESSMLEAVEKAVNSKMQDFQAKLEKCLEERNVVEDINRNLIKGQETRRGKLKEIEEREAASLRLREERILDLEEQIRDLTVYIEAQKTLKDMTDSDCIKGGTLLPVPSSKQSSPANSRRQTKFGRRRN >ONH98369 pep chromosome:Prunus_persica_NCBIv2:G7:20991517:20995358:1 gene:PRUPE_7G247000 transcript:ONH98369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLRVHSVDTNHPLSLEDAEFTTITTSKITSETESNPNIIPKFSERRGIAHLFRSIGHSSLPNSPTSRSTRLFVIAVPNYLSFDDFIRFCGSRIGHVLQLVFIRNDGMEDRYSVLVELKNQMTADGFYHTLNGKKYSPGEAELCHILFLLSVDYTDSDEIAVTPPEGCIELPTCPVCLERLDADTSGIASTLCDHSFQCPCISKWTYLSCQVCRFCQQQDEKPACSVCGISVNPWVCLICGFVGCGRYTEGHAVKHWKDTQHCYSLELNRQQIWDYVGDAYVHRLNQSKIDGKLITDLDSQCMSVEGDCDRCECSDDSGISGALYSSKVETIVDEYNRLLASQLETQRQYYETLLMEAKTKKESSMLEAVEKAVNSKMQDFQAKLEKCLEERNVVEDINRNLIKGQETRRGKLKEIEERNFPLDAHLRVRRFPNHDHANDFPEEGD >ONH95753 pep chromosome:Prunus_persica_NCBIv2:G7:12267611:12269411:-1 gene:PRUPE_7G089100 transcript:ONH95753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKPYISDASMLLTETFNMNRARIFTFKNKPPTPVEAIPRRFLSPPLHKAKSTKPCRRIPKDPERTLDAPGIVDNFYLNLLDWSSSNIIAIALGNSVYLRNPSDRSIVELVTIDDEDGPITSTSWALDGQRIAIGLNNSWVQLWDSVRLKGTLRGGHHGRVGGMDGRIINNDVRVRSHIVGTYKGHGAEDVCGLKWSPSDQHLASGGNDHVLFIWDRLTASSTSPREWLQRLEDHTAAVKSISREFVGLWCVVFCGTIMSVNCLVVMVLARMSLSFGSTVNGEDDRAHWPYLQSSLHAQTPDGCTVATAAADETVQAWNVFGIPGVSEPVRKENLLPSAHSYSIR >ONH94654 pep chromosome:Prunus_persica_NCBIv2:G7:4082124:4087231:1 gene:PRUPE_7G025300 transcript:ONH94654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLGALQLLMPLIVCLLFGGCASHRVYNESIHRQLVAPGTEENKAPCPSGWILGPNKRKCFGYMSSRQPWNESETRCKSYNGNLAAFKTSQELAFAQNLCAETISGCWVGGRGVNSTIGLGWNWSDNTSYWNESLFPGEPLQSICSNISCHTNSSIDVCILVTNGSTSLLVERCNMSHGFICMVDLGNRCYHMHCHREYLIILGVVSGLILFTTLAVVIWLLAYKRSKKRRRSRRLSNPAATALVPPSWKVFMKEELRSITKNFSEGNRLLGDAKTGGTYSGLLPDGSRVAVKRLKRSSFQRKKEFYSEIGRVAKLHHPNLVAVKGCCYDHGDRYIVYEFIINGPLDKWLHHIPRGGRSLDWGMRMKIATTLARGIAFLHDKVKPHVVHRDIRASNVLLDEDFGAHLMGVGLSKFVPYEVMHERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPAQAVDPVGWQSIFEWATPLVQAHRYLDLLDPHITPSSPDIPEAGVIQKVVDLVYACTQHVPSMRPRMSHVVHQLQQLAQVPILK >ONH94653 pep chromosome:Prunus_persica_NCBIv2:G7:4082124:4087231:1 gene:PRUPE_7G025300 transcript:ONH94653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLGALQLLMPLIVCLLFGGCASHRVYNESIHRQLVAPGTEENKAPCPSGWILGPNKRKCFGYMSSRQPWNESETRCKSYNGNLAAFKTSQELAFAQNLCAETISGCWVGGRGVNSTIGLGWNWSDNTSYWNESLFPGEPLQSICSNISCHTNSSIDVCILVTNGSTSLLVERCNMSHGFICMVDLGNRCYHMHCHREYLIILGVVSGLILFTTLAVVIWLLAYKRSKKRRRSRRLSNPAATALVPPSWKVFMKEELRSITKNFSEGNRLLGDAKTGGTYSGLLPDGSRVAVKRLKRSSFQRKKEFYSEIGRVAKLHHPNLVAVKGCCYDHGDRYIVYEFIINGPLDKWLHHIPRGGRSLDWGMRMKIATTLARGIAFLHDKVKPHVVHRDIRASNVLLDEDFGAHLMGVGLSKFVPYEVMHERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPAQAVDPVGWQSIFEWATPLVQAHRYLDLLDPHITPSSPDIPEAGVIQKVVDLVYACTQHVPSMRPRMSHVVHQLQQLAQVPILK >ONH97827 pep chromosome:Prunus_persica_NCBIv2:G7:19379048:19380871:1 gene:PRUPE_7G212800 transcript:ONH97827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTSSLQPTGNSITSFRFRARITPTPPDMLYLNTTLPSVLKTTPSSSFNPIPSKPLCTNAVPSANNPRVHRRNTGSSQPQPTTSRSNSQYKTQPLRKGNKYQSQQPNEPKANGDELNNQNLSAPLRINTKDVDLMRLCKEGKVKEALQYMAQDVSADYGVFCVLLDSCDSSKSLEVGKKIHDFMKQWPFRGDIELNAKLIQMYGRCGSMRDARKVFDRMPKRSMSLWHSMIHGYAVNGQGDEGLLLFEQMRNLGLKPNKETFVVVLVACASAEAVEEGLTYFESMKNEYEIVPEIEHYLGLIDVLGKSGHLNEAEEFIEKMPFEPTAEVWEALRNFARIHGDIELEDRAEDLLVSLDPSKANAEKIPLPLRKQHSEINMLGEKNRVSEYRITNEAYEKLKGLKGQMREAGYVPDTRYVLHDIDQEAKEQALQYHSERLAIAYGLISTPARQTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >ONH95225 pep chromosome:Prunus_persica_NCBIv2:G7:9731313:9732087:1 gene:PRUPE_7G058000 transcript:ONH95225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNNSRKRRGDRGNADPSACGKIEYMPNCQEKPCNTLCWQKYGKTAGAARGYCGNMHVPFPLYLICYSSRRKKTTKLVFKC >ONH95545 pep chromosome:Prunus_persica_NCBIv2:G7:11329895:11330935:1 gene:PRUPE_7G076600 transcript:ONH95545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETLTKKQTCCSNLPAALAIHRDSQTISKAKPKIRIIHIFAPEIIKTDVANFRELVQRLTGKPSENGCSKKKNKRPRNLIPSSREEPKKNASSMADKLELMRTGYMGLDQSRERVKEEGMMWNAENSGGFLERFADLEGFIQEFGEFPLLPNLDASNSHLHGFEGTQLV >ONH98003 pep chromosome:Prunus_persica_NCBIv2:G7:19874028:19875400:1 gene:PRUPE_7G223000 transcript:ONH98003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPDLIINPHAFPSRMTIGMLLESIAAKMLPCFLSQGGSLHGEYVDATPFANSKKAHEKTQPKSETLVDELGEIGVYGTKLTCEIFIGPVYYQRLQHMVSDKSGRKQGGGIRFGEMERDSLLAHGAAYLLHDRLHTCSDYHIAAVCSTCGSILIPSVIKPEKRVARAVKGLPPVRAPKVFCHACDTNKGVETVAMPYVFKYLAAELAAMSIKMTLQLSI >ONH94522 pep chromosome:Prunus_persica_NCBIv2:G7:3087616:3088046:-1 gene:PRUPE_7G020800 transcript:ONH94522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKITLKSDDGETFEVEEAVAINTLGKMIEYYKKHHEEAIDGNWDADFVKVDQSTLFDLILTGNYRNITSLLDLTCQTVADMIKGKTLEKIRETFNIKNDFMTEKEEEVHKENQWAFE >ONH96000 pep chromosome:Prunus_persica_NCBIv2:G7:13135584:13138954:-1 gene:PRUPE_7G101400 transcript:ONH96000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMFQGGVHRASRIRRAVVIGNGFAGAENQSIGLVRALGLWGRQSVYRVTRPRGGINEWLHWLPLSLHRKVMPLPAERTAQASHPDVLEADAKQIAEMARQTFDTDGPLLVVASGRDTIPVSSAIKHLAPENVFVVQIQHPRSHLNRFDLVITPLHDYYPLTPEGQGQIPWFLRRWITPREPPGSNVVLTVGALHQADFTALRNAASAWHAELAPLPRPLLVVNIGGPARNCSYGVDLGKRLAAMLQNVLWSCGCVRISFSRRTPEKLSRILMKEFGTNPKVYIWDGEGCNPHMGHLACADAFVITADSVSMLSEACSTGKPVYVIGAEHCTWKFADFQNSLQERGVVRPFTGKEDMFKSWSYTPLNDTAEAASRVKMALAERGWSI >ONH96007 pep chromosome:Prunus_persica_NCBIv2:G7:13135973:13138954:-1 gene:PRUPE_7G101400 transcript:ONH96007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMFQGGVHRASRIRRAVVIGNGFAGAENQSIGLVRALGLWGRQSVYRVTRPRGGINEWLHWLPLSLHRKVMPLPAERTAQASHPDVLEADAKQIAEMARQTFDTDGPLLVVASGRDTIPVSSAIKHLAPENVFVVQIQHPRSHLNRFDLVITPLHDYYPLTPEGQGQIPWFLRRWITPREPPGSNVVLTVGALHQADFTALRNAASAWHAELAPLPRPLLVVNIGGPARNCSYGVDLGKRLAAMLQNVLWSCGCVRISFSRRTPEKLSRILMKEFGTNPKVYIWDGEGCNPHMGHLACADAFVITADSVSMLSEACSTGYVPSMMIMKQTCLCDRC >ONH96005 pep chromosome:Prunus_persica_NCBIv2:G7:13135898:13138954:-1 gene:PRUPE_7G101400 transcript:ONH96005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMFQGGVHRASRIRRAVVIGNGFAGAENQSIGLVRALGLWGRQSVYRVTRPRGGINEWLHWLPLSLHRKVMPLPAERTAQASHPDVLEADAKQIAEMARQTFDTDGPLLVVASGRDTIPVSSAIKHLAPENVFVVQIQHPRSHLNRFDLVITPLHDYYPLTPEGQGQIPWFLRRWITPREPPGSNVVLTVGALHQADFTALRNAASAWHAELAPLPRPLLVVNIGGPARNCSYGVDLGKRLAAMLQNVLWSCGCVRISFSRRTPEKLSRILMKEFGTNPKVYIWDGEGCNPHMGHLACADAFVITADSVSMLSEACSTGLPELPAGTRSGSTIHW >ONH96004 pep chromosome:Prunus_persica_NCBIv2:G7:13135195:13139082:-1 gene:PRUPE_7G101400 transcript:ONH96004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVQRIRALVWFVLWASGVVNPSTVLLGQEEELMSGFTGFHFLSIEKSCLFLLKEQASHPDVLEADAKQIAEMARQTFDTDGPLLVVASGRDTIPVSSAIKHLAPENVFVVQIQHPRSHLNRFDLVITPLHDYYPLTPEGQGQIPWFLRRWITPREPPGSNVVLTVGALHQADFTALRNAASAWHAELAPLPRPLLVVNIGGPARNCSYGVDLGKRLAAMLQNVLWSCGCVRISFSRRTPEKLSRILMKEFGTNPKVYIWDGEGCNPHMGHLACADAFVITADSVSMLSEACSTGKPVYVIGAEHCTWKFADFQNSLQERGVVRPFTDVQVLELYTTQ >ONH96006 pep chromosome:Prunus_persica_NCBIv2:G7:13135225:13139019:-1 gene:PRUPE_7G101400 transcript:ONH96006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVQRIRALVWFVLWASGVVNPSTVLLGQEEELMSGFTGFHFLSIEKSCLFLLKEQASHPDVLEADAKQIAEMARQTFDTDGPLLVVASGRDTIPVSSAIKHLAPENVFVVQIQHPRSHLNRFDLVITPLHDYYPLTPEGQGQIPWFLRRWITPREPPGSNVVLTVGALHQADFTALRNAASAWHAELAPLPRPLLVVNIGGPARNCSYGVDLGKRLAAMLQNVLWSCGCVRISFSRRTPEKLSRILMKEFGTNPKVYIWDGEGCNPHMGHLACADAFVITADSVSMLSEACSTGLPELPAGTRSGSTIHW >ONH96001 pep chromosome:Prunus_persica_NCBIv2:G7:13135584:13138887:-1 gene:PRUPE_7G101400 transcript:ONH96001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVQRIRALVWFVLWASGVVNPSTVLLGQEEELMSGFTGFHFLSIEKSCLFLLKEQASHPDVLEADAKQIAEMARQTFDTDGPLLVVASGRDTIPVSSAIKHLAPENVFVVQIQHPRSHLNRFDLVITPLHDYYPLTPEGQGQIPWFLRRWITPREPPGSNVVLTVGALHQADFTALRNAASAWHAELAPLPRPLLVVNIGGPARNCSYGVDLGKRLAAMLQNVLWSCGCVRISFSRRTPEKLSRILMKEFGTNPKVYIWDGEGCNPHMGHLACADAFVITADSVSMLSEACSTGKPVYVIGAEHCTWKFADFQNSLQERGVVRPFTGKEDMFKSWSYTPLNDTAEAASRVKMALAERGWSI >ONH95999 pep chromosome:Prunus_persica_NCBIv2:G7:13135280:13139013:-1 gene:PRUPE_7G101400 transcript:ONH95999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMFQGGVHRASRIRRAVVIGNGFAGAENQSIGLVRALGLWGRQSVYRVTRPRGGINEWLHWLPLSLHRKVMPLPAERTAQASHPDVLEADAKQIAEMARQTFDTDGPLLVVASGRDTIPVSSAIKHLAPENVFVVQIQHPRSHLNRFDLVITPLHDYYPLTPEGQGQIPWFLRRWITPREPPGSNVVLTVGALHQADFTALRNAASAWHAELAPLPRPLLVVNIGGPARNCSYGVDLGKRLAAMLQNVLWSCGCVRISFSRRTPEKLSRILMKEFGTNPKVYIWDGEGCNPHMGHLACADAFVITADSVSMLSEACSTGKPVYVIGAEHCTWKFADFQNSLQERGVVRPFTGKEDMFKSWSYTPLNDTAEAASRVKMALAERGWSI >ONH96002 pep chromosome:Prunus_persica_NCBIv2:G7:13135290:13139013:-1 gene:PRUPE_7G101400 transcript:ONH96002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMFQGGVHRASRIRRAVVIGNGFAGAENQSIGLVRALGLWGRQSVYRVTRPRGGINEWLHWLPLSLHRKVMPLPAERTAQASHPDVLEADAKQIAEMARQTFDTDGPLLVVASGRDTIPVSSAIKHLAPENVFVVQIQHPRSHLNRFDLVITPLHDYYPLTPEGQGQIPWFLRRWITPREPPGSNVVLTVGALHQADFTALRNAASAWHAELAPLPRPLLVVNIGGPARNCSYGVDLGKRLAAMLQNVLWSCGCVRISFSRRTPEKLSRILMKEFGTNPKVYIWDGEGCNPHMGHLACADAFVITADSVSMLSEACSTGKPVYVIGAEHCTWKFADFQNSLQERGVVRPFTDVQVLELYTTQ >ONH96003 pep chromosome:Prunus_persica_NCBIv2:G7:13135145:13139082:-1 gene:PRUPE_7G101400 transcript:ONH96003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMFQGGVHRASRIRRAVVIGNGFAGAENQSIGLVRALGLWGRQSVYRVTRPRGGINEWLHWLPLSLHRKVMPLPAERTAQASHPDVLEADAKQIAEMARQTFDTDGPLLVVASGRDTIPVSSAIKHLAPENVFVVQIQHPRSHLNRFDLVITPLHDYYPLTPEGQGQIPWFLRRWITPREPPGSNVVLTVGALHQADFTALRNAASAWHAELAPLPRPLLVVNIGGPARNCSYGVDLGKRLAAMLQNVLWSCGCVRISFSRRTPEKLSRILMKEFGTNPKVYIWDGEGCNPHMGHLACADAFVITADSVSMLSEACSTGKPVYVIGAEHCTWKFADFQNSLQERGVVRPFTDVQVLELYTTQ >ONH95326 pep chromosome:Prunus_persica_NCBIv2:G7:10277008:10282004:-1 gene:PRUPE_7G064300 transcript:ONH95326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVTPQTGSPLKDKKNRKNPFAIDYPGNQGNGGIKLSVLKDPTGVEIEQRYELGRELGRGEFGITYLCIDKATNDKFACKSISKNKLRTAVDIEDVRREVEIMKHLPKHPNIVSLKDTYEDDHAVHLVMELCEGGELFDRIVSRGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGEKFNEIVGSPYYMAPEVLRRDYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLVKKMLNPDPKRRLTAQEVLDHPWLLNAKKAPNVSLGETVRARLKQFTVMNKLKKRALKVIAEHLSMEEVAGIQEGFKLMDTTNKGKINIDELRVGLHKLGHQIPDGDLQIMMEAGDVDNDGCLDYGEFVAISVHLRKMGNDEVHLRKAFQFFDRNKSEYIEIEELREALATEVDDNFEDVINAIIHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLNLIKDGSFDEKKVIR >ONH98119 pep chromosome:Prunus_persica_NCBIv2:G7:20232685:20233616:-1 gene:PRUPE_7G230500 transcript:ONH98119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGDWQLEILTKSPVTPTPISIAHLCFISEFHFNNFKSFLLFLPCSPKPWSLMRLLTSLIGEPAASVSTLLYYSELLPQNIILERLVRHELLDRENYLFHFLINFLRCFW >ONH96752 pep chromosome:Prunus_persica_NCBIv2:G7:16139248:16141220:1 gene:PRUPE_7G149700 transcript:ONH96752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKTNHQVVEEDDHMLLISHLYPSDVYTQIVPQQGASKPKRRRKKSKSGEAGGAGLKKRKLTAEQVNLLELNFGNEHKLESEKKDRLASELGLDPRQVAVWFQNRRARWKNKKLEEEYSNLKKEHDSTVSENRKLESEMSKLKEQISEAEKEIRRLSERVDHGGSSNSPSSSLSMDAIDPPFLGEFGVEEYDDVFYMPQNNYIHGMEWMNLYM >ONH94779 pep chromosome:Prunus_persica_NCBIv2:G7:5512405:5516282:-1 gene:PRUPE_7G029900 transcript:ONH94779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGQKSGRSIFHIIFEGPTGLQSKLISSDILQLCMTPMLRIPNLRGCTCLSLSNIPISQTYSPDVKRELLMLSLPAILGQAIDPLAQLMEAAYIGRLGSVELASAGISMNIFNYISKLFNIPLLSVATSFVAEDLAKSESIASTSVGIGIFEAVALCLGSGLFLNMMAISMDSPMRIPAERFLLLRPFSLRPFSYNHTCIGNLPAAFLLPILMYYFGLGVTGAALSTVISQYTVTFLMIWFLNKRAILLPPKVGSLKFGGYIKSAHGFLLGTTLAVLTTLTLGTSMAARQGPVAMAAHQICIQVWLAVSLLTDAMAASGQALIATYLCKGEYKIVKEVGDSVLKV >ONH96242 pep chromosome:Prunus_persica_NCBIv2:G7:14085513:14086715:1 gene:PRUPE_7G115600 transcript:ONH96242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYKYQSEIWRKKQSDLMRFVQRVRCWEYRQHPSIVRVTRPTRPDKARRLGYKAKQVAPC >ONH98302 pep chromosome:Prunus_persica_NCBIv2:G7:20751162:20753216:1 gene:PRUPE_7G241400 transcript:ONH98302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEAVNAKAYPLADAQLTITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMACDTEPIEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >ONH96376 pep chromosome:Prunus_persica_NCBIv2:G7:14660537:14662015:-1 gene:PRUPE_7G124600 transcript:ONH96376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDEERQLHFVLFPFMAQGHMIPMMDFARLLAQRGITITIVTTPHNAARFRTVVTRAIQSALQIRLVQLRFPSEEAGLPDGCENLDMLPAIGSADKFFFATALLQQPAEKLFEELTPKPNAIFSDVCLPWTISIAQKFHIPRISFSGFCCFCLLCVHSLHTSKVLENVTSDSEYFVVPDFPNRFEVTKAQLPGPLTPNMTDFYDQLEAAEKATYGIIMNTFEELEPAYVEAYKKAAKVWCIGPASLCNKDDLDKAHRGNEASIDEHHCLKWLDSWEPSSVVYACLGSLCNLITDQLIELALGLEASEKPFIWVVRGCSQSEELENWISETGFEERTKARSLLIRGWAPQTLILSHPAVGGFLTHCGWNSTLEGICAGLPLVTWPLFADQFLNEKLVVQVLKIAVSVGVEYPVKWGEEEKIGVMVRKENVQEAIEKVMDGEERQGRTERAREFGEMAKKAVAEGGSSHLNMTQLIQDIMQQGSNCSENFRK >ONH95455 pep chromosome:Prunus_persica_NCBIv2:G7:10922823:10925017:1 gene:PRUPE_7G071900 transcript:ONH95455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMASFLEYLVFLLIWLVSFILFRTIFTKRRSLPPSPRALPIIGHLHLLGRIPHQGLHKLSNRYGPLIHLFFGSIPCVVASSPEMAKEFLKTNETSFLNRPKMANVDYLTYGSTDFAMAPYGPYWKFMKKLCMTELLGGRILEQNLPIRHDEIKRFLHVVLSKADKGEELDVGSELVRLSNNIISRMALNRRCSEQDEEAHMVRELVGEMNELAGKFNFTDMFWFCKNFDLQGYGKRLKEVRDRYDDMMGKIIKEHEDARRKRIKEREGDDGLDLLDILLDIYEDEGAEIKLSRENIKSFIMNMFGAGTDTSSSTMSWAIAELINHPNVVEKAREEIDSVVGKSRLVGESDTANLPYLQAIVKETLRLHPAGPMVVRESTEECTINGYTIPAKTRLFVNVWAINRDPNHWENPLEFQPERFLAEEGSGKSPLDVRGQHFHFLPFGSGRRICPGTTLSLQVVQATVAAIIQCFDWKVRGGGSVNMEEAPGITVPRAHPLVCVPVARLSPFPAT >ONH97345 pep chromosome:Prunus_persica_NCBIv2:G7:17910167:17912092:-1 gene:PRUPE_7G184800 transcript:ONH97345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVKEDEKLLIYEFMPNRSLDTHLFDPARRPELDWGRRFNIIQCVARGLLYLHHDLYLKSMPQEGYFLKNLMSIASGS >ONH96109 pep chromosome:Prunus_persica_NCBIv2:G7:13681131:13683195:1 gene:PRUPE_7G108400 transcript:ONH96109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVTHNGQEKQCYAEDITAMVLDKMRKIAENYLGSTVKSAVITVPAYFSDSQRRATKAAGLSAGLNVMRIMNEPSAAAISFGLYKKAGWSSPRNVMIFDLGGGTLDVSLLTMSTSGDFQVKATAGDTHLGGQDFDNRLVNYCAEKFKREHKLDVSGNKRALRRLKNECEKAKKRLSFESDIDVEIDCLCENTDFTITFTRAIFEQVNMDLFIKCMDPVKKCLAYAKMNINGVDDVLLAGGSSRIPMVQQLLQKFFKGKELCKGVNPDEAVAYGAAIQAAALSGNGKGKFIQDFTLKDVTPLPLVMEGTDVKGMKKLMNLIPRNTIIPVRKCREFCTVKDNQVLIDFRIYEGESSIPANLNFLAECSFHGIPPAPKHVHIFHVFFEIDADGILSVSAVNKSTGQKYEMIINRDRLKNLEGDET >ONH95055 pep chromosome:Prunus_persica_NCBIv2:G7:8606838:8609422:1 gene:PRUPE_7G049200 transcript:ONH95055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSGGSTLNPNAPLFIPAALKQVEDFSPEWWQLVTTSTWYHDYWLSQQGEDGFYDNAQGDVDNVADLLPETFDLDAGEDFSSLEAQFEEFLQLSQTESKNGLETSTAVLKNLKSLEDKRSPVEPRRYAEKPAKHVSPKCSPRFIQQPR >ONH95056 pep chromosome:Prunus_persica_NCBIv2:G7:8607927:8608262:1 gene:PRUPE_7G049200 transcript:ONH95056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSGGSTLNPNAPLFIPAALKQVEDFSPEWWQLVTTSTWYHDYWLSQQGEDGFYDNAQGDVDNVADLLPETFDLDAGEDFSSLEAQFEEFLQLSQTESKNGMNNYSKRM >ONH96398 pep chromosome:Prunus_persica_NCBIv2:G7:14785351:14786715:-1 gene:PRUPE_7G126400 transcript:ONH96398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSKQIHLGLLVSTFFLLCWNPKPVRAENSENAIEFEYKTGSKKGPEHWGELKKEWKTCKDGKSQSPIDLMDGIATKVNSSLEHFKVSYKPAEAMIENEGPVIAIVWEGDAGSISINGTDYILRQCHWHSPSEHSINGKRYDLELHMVHRAKNNNVAVVGFLYEIGKPNPFLSEVTKEIASMTDVKKNVQLGVIDPMKMKEAQTGFQDAKRRKMREDSSKFYRYMGSFTTPPCTEGVTWTINKQVLSVSKSQVNLLQQVVFDYAMMNARPLQPLYGRDIKLHGSSF >ONH98479 pep chromosome:Prunus_persica_NCBIv2:G7:21194568:21197786:-1 gene:PRUPE_7G250700 transcript:ONH98479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLCPLHPSPPKPSLPPSLSLNPQSFNLFSNPSLVRTRSLRPKSLKIQSLDAAQLYDYESKVAAQFHDAHMLKIAIIGFGNYGQFLAKTLVTQGHTVLAHSRSDYSKTAQDLGVSFFSDPHDLCEQHPQVILLCTSILSTEPVLKSLPLQRLRRNTLVVDVLSVKEFSKALLLKLLPGYFDVVCTHPMFGPQSAKHGWNGLFFVYEKVRIGSEESRISRCDKLLNIFEKEGCRMVEMSCAEHDKYAAGSQFMTHTVGRVLGMLKLESTPINTKGYETLLDLVENTAGDSFDLYYGLFMYNKNALETLERLDLAFEALKKQLFGHLHDVVRKQLFGNAEKARTLQEDYAKQAQNGAALVSSSKALRSPKIVRSDVQKAQISDDNSRLKIAIVGFGNFGQFLAKTIIRQGHTVLAFSRTDYSDVAQKLGVSYFSDADDLCEEHPEVILLCTSILSTEKVLRSLPLQRLKRNTLFVDVLSVKEFPRNMFLQTLPLDFDILCTHPMFGPESGKNGWNGLSFVYDKVRVGSDESRVSRCDQFLDIFAREGCRMVEMSCAEHDRHAAGSQFITHTMGRILEKLGLESTPINTKGYETLLNLVENTAGDSFDLYYGLFMYNINAMDQLKRLDMAFESLKKQLFGRLHGVLRKQLFENADKSQVMQEQALLPKPSQNESALTPSWESLNIQKN >ONH95200 pep chromosome:Prunus_persica_NCBIv2:G7:9559717:9564592:1 gene:PRUPE_7G056400 transcript:ONH95200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSSGYIEGMIEEDKRSASAGKYLVWEDITVVAPQNLRNDATSRKQLLNGISGFAEPHRIMALMGPSGSGKSTLLDALAGRLPANVKMSGDVILNGNKRKLNCRDISYVTQEDLFLGSLTVRETLEYSAHLRLPSTMTKDDKNEVVDETLTKMGLQDCAENNIGNWHLRGISNGEKRRLSICTEILTQPHVLLLDEPTSGLDSASSFFVIWALRNIAHDGRIVICSIHQPSSDVFNLFNDLLLLAGGETVYFGEAKMAVKFFADAGFPCPTRKNPPDHFLRCVSSDFDQVIAALMISQRINYGSSGSLNSEMNLTTDEIKGKLINEYKSSTFSSNARKRMREILTLQEKPATKSNKENSSSWWRKLCTLISRSSLNMSRDIGYYWLRSVFYILVAVSAGSFFFKIGTNYQAIVARGKCDGFIYGLMICLSIGGIPFVIEELQVFRRERLGGHYGDAMFVLSNFLSSLPFVVAMAFSSGTILYYMVKFHSGFSHYLYFCLNLFCCIAVTEGTALIVSAVVPNLLMGIGAAAGVTVFMMMPSLLFRRLVDLPKIFWRYPMSYLSYAAWSIQGQFKNDMIGLEFDPQVPGEPKLKGEDVLLHMYGINPKISKWWDLAALAALLVCVRVIFYMVLKYKERASFFTHRLYAKANFQHKAKRAPLSKEPLISSRRHKTLNPLASQEGLGSPLP >ONH95201 pep chromosome:Prunus_persica_NCBIv2:G7:9559717:9564592:1 gene:PRUPE_7G056400 transcript:ONH95201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSSGYIEGMIEEDKRSASAGKYLVWEDITVVAPQNLRNDATSRKQLLNGISGFAEPHRIMALMGPSGSGKSTLLDALAGRLPANVKMSGDVILNGNKRKLNCRDISYVTQEDLFLGSLTVRETLEYSAHLRLPSTMTKDDKNEVVDETLTKMGLQDCAENNIGNWHLRDEPTSGLDSASSFFVIWALRNIAHDGRIVICSIHQPSSDVFNLFNDLLLLAGGETVYFGEAKMAVKFFADAGFPCPTRKNPPDHFLRCVSSDFDQVIAALMISQRINYGSSGSLNSEMNLTTDEIKGKLINEYKSSTFSSNARKRMREILTLQEKPATKSNKENSSSWWRKLCTLISRSSLNMSRDIGYYWLRSVFYILVAVSAGSFFFKIGTNYQAIVARGKCDGFIYGLMICLSIGGIPFVIEELQVFRRERLGGHYGDAMFVLSNFLSSLPFVVAMAFSSGTILYYMVKFHSGFSHYLYFCLNLFCCIAVTEGTALIVSAVVPNLLMGIGAAAGVTVFMMMPSLLFRRLVDLPKIFWRYPMSYLSYAAWSIQGQFKNDMIGLEFDPQVPGEPKLKGEDVLLHMYGINPKISKWWDLAALAALLVCVRVIFYMVLKYKERASFFTHRLYAKANFQHKAKRAPLSKEPLISSRRHKTLNPLASQEGLGSPLP >ONH98596 pep chromosome:Prunus_persica_NCBIv2:G7:21459369:21461207:-1 gene:PRUPE_7G256100 transcript:ONH98596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPSLAVSLLLLSLLLSGAQGIRLEKGFISVAQQKNNNNLQEQETASMKRSAGPGEFNSLCKDGGECSSSGKTRKLVSKTASSAATTTTNTPSKNDKDDGGNRGDLDPKGEKVNNNTQPGGEDGDAKAKSSSVISKHQEQEAAVAHQVQHYPDLTDMTEMDYSPARRKPPIHN >ONH94791 pep chromosome:Prunus_persica_NCBIv2:G7:5660271:5663210:-1 gene:PRUPE_7G030800 transcript:ONH94791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSSILAPKEVFLAPWLCTLGKGMFQLCTSLFFAKDMVAPQRRSLELIPNEGTRKMTFRKRKKSIYKKADELSKLCDIDVCLIVYEADQKKGRAVQPETWPRDPTQFKRILNKYKDSKDMPAPGLKRNFDMSDFYEDKKDHMDNDDEKFQNLGKNPTKFQNLGKKISEEEYPTWNDRIDDFSQDELTKLIASLESKIQVATKKIDSMERYMGFAKTQNQSLVREETNHDEQPAYQVPALMSPPSLVHYPMLPSAWVSRSE >ONH94792 pep chromosome:Prunus_persica_NCBIv2:G7:5660559:5663023:-1 gene:PRUPE_7G030800 transcript:ONH94792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFSNIYNELSLASIRPVYLNHVDMHIWKRIGVEVFLAPWLCTLGKGMFQLCTSLFFAKDMVAPQRRSLELIPNEGTRKMTFRKRKKSIYKKADELSKLCDIDVCLIVYEADQKKGRAVQPETWPRDPTQFKRILNKYKDSKDMPAPGLKRNFDMSDFYEDKKDHMDNDDEKFQNLGKNPTKFQNLGKKISEEEYPTWNDRIDDFSQDELTKLIASLESKIQVATKKIDSMERYMGFAKTQNQSLVREETNHDEQPAYQVPALMSPPSLVHYPMLPSAWVSRSE >ONH94793 pep chromosome:Prunus_persica_NCBIv2:G7:5660223:5661577:-1 gene:PRUPE_7G030800 transcript:ONH94793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPQRRSLELIPNEGTRKMTFRKRKKSIYKKADELSKLCDIDVCLIVYEADQKKGRAVQPETWPRDPTQFKRILNKYKDSKDMPAPGLKRNFDMSDFYEDKKDHMDNDDEKFQNLGKNPTKFQNLGKKISEEEYPTWNDRIDDFSQDELTKLIASLESKIQVATKKIDSMERYMGFAKTQNQSLVREETNHDEQPAYQVPALMSPPSLVHYPMLPSAWVSRSE >ONH94942 pep chromosome:Prunus_persica_NCBIv2:G7:7718834:7722604:-1 gene:PRUPE_7G041300 transcript:ONH94942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKLFLAASIGVVLSILTTLNVIKFFSIKNNAATANVEKLSNHEENKFEVVPIVEDAVGPESFVFDPLGGGPYTGISDGRIVKWDQDKHHWINFAVTSPNRDGCEGSHEHDKTEHICGRPLGLCFNETSGDLYVADAYMGLLIVGPQGGLATEVVTRAHGIPFGFTNALDIDQEPGAVYFTDSSSQYQRRNYISVILSGDKTGRLMKYDPESKQVQVLLGNLSFPNGVALSKNGDFILLAETTHCRIMRYWLKTPKAGTFQVFAQLPGFPDNIKRSPRGGFWVGIHSRRLWILKLVLSYPSLGNALLKLPLDITKAYSYVAKWTGNGLIIRLSEQGVILEMLEDTSGNRWKSISEVVEKDETLWIGSVTMPFAGKYKI >ONH96588 pep chromosome:Prunus_persica_NCBIv2:G7:15499038:15502863:-1 gene:PRUPE_7G138700 transcript:ONH96588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTHLRNLKFTMDSFQQVDEDVRQHPNILFRPIPGLERFRIQMKKGVQLVDKCSKVRPWKLNAFKKPIYTSKLVALNASLNTLLSVLTVHIISDTRARVIDVATTLNQIDANIVAQYQNQNQGWCAIPEPPPFTVGLDVHVEELKMELLKDEASMLVVTGLGGCGKTTLAQMICKDQKVKEKFKGNLFFVIVSKKSNCLVVQELCRKTGSLVPSFQEEAIAFNWLQEFLNTIGQDPLLLVLDDVSPGSDSLLDKLDEFKRPNHNILVTSRVQFPRFGPAYLLGTLEPGDAMTLFRHSASRSDRSSYIPDNLAEQIVQHCKGFPLAITTIGRSVCEQPTEIWEKRVTELSKGSSILDSEDYLLACLQSSLDALDERMPIIKECFIDLALFPEHRSIPAVALIDMWAELYGLDKDSWSIANIHELTNRNLANLVTRNGKTEIDGYYSEHFVTQHDMLRNLAIHQIGQDEIGKEKRLIIDICSDNLPKWWTEQKNKPKKTRLLSISTDGLSSEKWHDMHLPKAEVLVLNFQTENYGLPKFVKKMSKLKVLIVTNYGVLQADLSNFKLLGSLANLKRIRLERISIPSIRKNSMQLKSLQKISLFMCSIGQAFSNSSIQILEAFPNLVELNIDYCDDLVELPVKICDLIRLKKLSITNCHKLSSLPEEIGKLEGLEVLRLRSCTDLVKLPGSIKDLTKLHLLDIYKCFSIKELPEDIGEMSGLEKINIGQCSRLQELPVSVLNLGELREVICDDYTENLWGEPFKSNLTKIKISVVKEDFNLNWLHNL >ONH96704 pep chromosome:Prunus_persica_NCBIv2:G7:15975545:15979069:1 gene:PRUPE_7G146800 transcript:ONH96704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRLTRVEQGQTKIRNVPIAVTPEGFWCCPSPVVFQKTLKAQNSLHKPKPSSPPPPPKTTAQRKQTPLSEKKLAFTPSRSGSINDGQRAFGPEAPDAPTVSASLVPERVPRPKVENLPRKVAIEFGEPGSSDMKVVLLGKQGFCVKLSVHKNVLVEHSNFFADKLSEQQSGSSCLEIEECDDVEIYVETVGLMYCKDMRQRLMKQSVSRVLRILKIAELLAFNSCMQSCLEYLEAVPWVGDEEEEKVVSSVLRLQSEGIGVTPVLKRVSSDISKAPKDTLSHIIELVLASNEERGRREMKSVVLKLLKENNSASSSTGSADVCHETLYNSCRNCLNLLLSLFKQATEPECADKPVDNKEPVVKQIALASDNLSWLLEILADKQAADEFGVMWASQQELASLHTKLPIVSRYHVSCITARLFVGIGRGELLPSKDTRQLLLQTWLQPLINDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQSILLSWLGSFLKAGDSCPNLQRAFEVWWRRTFVRPYVEGQGSSLQSDSSMTS >ONH96706 pep chromosome:Prunus_persica_NCBIv2:G7:15974851:15979069:1 gene:PRUPE_7G146800 transcript:ONH96706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRLTRVEQGQTKIRNVPIAVTPEGFWCCPSPVVFQKTLKAQNSLHKPKPSSPPPPPKTTAQRKQTPLSEKKLAFTPSRSGSINDGQRAFGPEAPDAPTVSASLVPERVPRPKVENLPRKVAIEFGEPGSSDMKVVLLGKQGFCVKLSVHKNVLVEHSNFFADKLSEQQSGSSCLEIEECDDVEIYVETVGLMYCKDMRQRLMKQSVSRVLRILKIAELLAFNSCMQSCLEYLEAVPWVGDEEEEKVVSSVLRLQSEGIGVTPVLKRVSSDISKAPKDTLSHIIELVLASNEERGRREMKSVVLKLLKENNSASSSTGSADVCHETLYNSCRNCLNLLLSLFKQATEPECADKPVDNKEPVVKQIALASDNLSWLLEILADKQAADEFGVMWASQQELASLHTKLPIVSRYHVSCITARLFVGIGRGELLPSKDTRQLLLQTWLQPLINDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQSILLSWLGSFLKAGDSCPNLQRAFEVWWRRTFVRPYVEGQGSSLQSDSSMTS >ONH96705 pep chromosome:Prunus_persica_NCBIv2:G7:15974851:15979069:1 gene:PRUPE_7G146800 transcript:ONH96705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRLTRVEQGQTKIRNVPIAVTPEGFWCCPSPVVFQKTLKAQNSLHKPKPSSPPPPPKTTAQRKQTPLSEKKLAFTPSRSGSINDGQRAFGPEAPDAPTVSASLVPERVPRPKVENLPRKVAIEFGEPGSSDMKVVLLGKQGFCVKLSVHKNVLVEHSNFFADKLSEQQSGSSCLEIEECDDVEIYVETVGLMYCKDMRQRLMKQSVSRVLRILKIAELLAFNSCMQSCLEYLEAVPWVGDEEEEKVVSSVLRLQSEGIGVTPVLKRVSSDISKAPKDTLSHIIELVLASNEERGRREMKSVVLKLLKENNSASSSTGSADVCHETLYNSCRNCLNLLLSLFKQATEPECADKPVDNKEPVVKQIALASDNLSWLLEILADKQAADEFGVMWASQQELASLHTKLPIVSRYHVSCITARLFVGIGRGELLPSKDTRQLLLQTWLQPLINDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQSILLSWLGSFLKAGDSCPNLQRAFEVWWRRTFVRPYVEGQGSSLQSDSSMTS >ONH98645 pep chromosome:Prunus_persica_NCBIv2:G7:21640139:21640911:-1 gene:PRUPE_7G259900 transcript:ONH98645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKPPLPRLLLDNVSCMRNAQQILRHVNASIHDGGALVLMGTNGSGKTTFLRMLAGFSRPSAGQVLWNGHDITDSGVFHQYKLQLNWLSLKDAVKEKFTVLDNVQWFEVLEGKQGNSMPALELMGLGRLAKEKARMLSMGQRKRLQLARLLAMDRPIWLLDEPSVALDTEGLKLLEYIIAEHRKKGGIVIVATHQPIEIEDAMYLRLPPRFPRRMTLVDMLDRSDF >ONH97346 pep chromosome:Prunus_persica_NCBIv2:G7:17936644:17937557:-1 gene:PRUPE_7G184900 transcript:ONH97346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLETDAGCVVKDPRKAHLFYMPFSSRMLEYTLYVRNSHNRTNLRQFLKEYSEKIAAKYPYWNRIGGADHFLVACHDWAPYETRHHMERCIKALCNDDVTGGFKIGRDVSLWETYVHSARNPLRDLGGKPPSQRQILAFYAGNVHVYLHPILIEHWKDKDPDMKIFGPMPRGVAIKMNYIQHMKRSK >ONH98653 pep chromosome:Prunus_persica_NCBIv2:G7:21661923:21663829:1 gene:PRUPE_7G260300 transcript:ONH98653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGGGAASGGGLGGGGSGPTAAAAAAAAQKQKALLQRVETDIANIVDNFSSLVNVSRVNDPPVRNSQEAFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRIVEFNQQAEKTDQMLARIGEEAAASLKELESHYYSSALRTSENLQP >ONH98652 pep chromosome:Prunus_persica_NCBIv2:G7:21661923:21663843:1 gene:PRUPE_7G260300 transcript:ONH98652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGGGAASGGGLGGGGSGPTAAAAAAAAQKQKALLQRVETDIANIVDNFSSLVNVSRVNDPPVRNSQEAFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRIVEFNQQAEKTDQMLARIGEEAAASLKELESHYYSSALRTSENLQP >ONH94433 pep chromosome:Prunus_persica_NCBIv2:G7:2326724:2327464:-1 gene:PRUPE_7G016200 transcript:ONH94433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYKSSMIKLVLFLSLTHVLFSSSAAAASTTRSLKINKEALLGQSLVEEGVVGLGEGFIEGRMDLETADYSGVGANPEHDPKPPGRV >ONH94919 pep chromosome:Prunus_persica_NCBIv2:G7:7378287:7380612:1 gene:PRUPE_7G040000 transcript:ONH94919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPLTATILVLIIWLIASPNRSCSARIVAPDDFDLFDYGAVHHNTQTDDEGFSKAFLEAWEAKTNTFNVVDYGAVGNGLVDDTKAFLKAWGAMCASSTQGTLTLVVPKGKRFLLNAVAFEGPCRASKVNFQIQGSIVAPNNIGAWTNKEMWIQFSKVQGLSVNGGGRIDGNGAVWWKACGGEGCQRPTALHFNKCHGFQLSRLAIFNSPKNHISICSCNGPRVFGLFIWAPKDSPNTDGIDISQSTRVTIQSSHIATGDDCIAINSGSSYIKIRDIKCGPGHGISIGSLGQHGEYSQVEEVQVSNCTFKGTTNGVRIKTWEGGSGYARKITFEGITFEDTKNPIIIDQHYFAKPTSAMDISKSVQVSDVTYRNINGTCADEKAISLACAGGGCTNIVMDNVTIKSDLPNKRSYAYCDNAHGTSSFSVPSVPCLSH >ONH97896 pep chromosome:Prunus_persica_NCBIv2:G7:19589237:19594669:1 gene:PRUPE_7G217000 transcript:ONH97896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLGSIRSSDLIDAKLEEHQMCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEGKDTKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRASATTGEGSSDIVPANSRRDSGSGSCSSKEIIPPHSHSHSHSHREHDQMSAVASGVAAAAPISSYSAMDIHQLKSDHFSFAPFRKSFDEVGIGGEASTAREGPASGTCEEMRGEHHHQRSVPQPHHHHHHHHMAHEVVPDHHQHDQHQQQQQQLHHQQIATAFHISRPSHPISTIISPPPLHHTSIILDQDSYSRIMLQNENFQAQQQHQQQQQQQQQHHKIGARSASGLEELIMGCTSSSNVKEESSMPNPQEAEWMKYSSFWPDPDNPDHHG >ONH97895 pep chromosome:Prunus_persica_NCBIv2:G7:19589394:19594698:1 gene:PRUPE_7G217000 transcript:ONH97895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLGSIRSSDLIDAKLEEHQMCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEGKDTKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRASATTGEGSSDIVPANSRRDSGSGSCSSKEIIPPHSHSHSHSHREHDQMSAVASGVAAAAPISSYSAMDIHQLKSDHFSFAPFRKSFDEVGIGGEASTAREGPASGTCEEMRGEHHHQRSVPQPHHHHHHHHMAHEVVPDHHQHDQHQQQQQQLHHQQIATAFHISRPSHPISTIISPPPLHHTSIILDQDSYSRIMLQNENFQAQQQHQQQQQQQQQHHKIGARSASGLEELIMGCTSSSNVKEESSMPNPQEAEWMKYSSFWPDPDNPDHHG >ONH97894 pep chromosome:Prunus_persica_NCBIv2:G7:19589218:19594669:1 gene:PRUPE_7G217000 transcript:ONH97894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSNLGSIRSSDLIDAKLEEHQMCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEGKDTKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRASATTGEGSSDIVPANSRRDSGSGSCSSKEIIPPHSHSHSHSHREHDQMSAVASGVAAAAPISSYSAMDIHQLKSDHFSFAPFRKSFDEVGIGGEASTAREGPASGTCEEMRGEHHHQRSVPQPHHHHHHHHMAHEVVPDHHQHDQHQQQQQQLHHQQIATAFHISRPSHPISTIISPPPLHHTSIILDQDSYSRIMLQNENFQAQQQHQQQQQQQQQHHKIGARSASGLEELIMGCTSSSNVKEESSMPNPQEAEWMKYSSFWPDPDNPDHHG >ONH96436 pep chromosome:Prunus_persica_NCBIv2:G7:14909783:14912656:-1 gene:PRUPE_7G128900 transcript:ONH96436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPSFLLRFSLCNFLFSMAETSAALCFSPFSSFPSFSRAKDSSFSSLSAAFPPKSPKSHPCPSRLLRFRAKSSSDSSNSLPDDIFGFFPWSNDSEIEWVAEERVTLFTTDGLVQIGGSMVPRRVTSSNKQGRSKANQRFQRFQESDYMDTEQGLCLGALFDIAATNGLDTGRKLCIFGFCRSIEMLSDVVEDTVLEHGGEVVAAEKAMKGGLHEKLRMTVAVPLLWGVPPASETLHLAVKSGGGIVEKVYWQWDFL >ONH96437 pep chromosome:Prunus_persica_NCBIv2:G7:14909260:14912671:-1 gene:PRUPE_7G128900 transcript:ONH96437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPSFLLRFSLCNFLFSMAETSAALCFSPFSSFPSFSRAKDSSFSSLSAAFPPKSPKSHPCPSRLLRFRAKSSSDSSNSLPDDIFGFFPWSNDSEIEWVAEERVTLFTTDGLVQIGGSMVPRRVTSSNKKQGRSKANQRFQRFQESDYMDTEQGLCLGALFDIAATNGLDTGRKLCIFGFCRSIEMLSDVVEDTVLEHGGEVVAAEKAMKGGLHEKLRMTVAVPLLWGVPPASETLHLAVKSGGGIVEKVYWQWDFL >ONH95498 pep chromosome:Prunus_persica_NCBIv2:G7:11187622:11189663:1 gene:PRUPE_7G074600 transcript:ONH95498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPINPGRRIDQNQHLNHEHPMMEVQRKNDGQLIFCDGCGDPVLGPSYTCNICYEQRRSSFDLHKSCAELPGEIHHPIHRKHPLTLDTSDREKSCSACHQDCISRFSYSCFQCEFNIDLQCASNWRNILDFHDHKFTLHRKPAKLTCEACGEESVSTNIYSTRFIYSKYGTAMYLCSICQLLVHGCCSRLPTHIKTAQHQHRLKLTWSFEDTFPKIQNFCDLCYRNMDKSRAVYYCEHEYCSYVAHNDCATRRYEAVEDSAAADDDDRIISNQIELQINHFSHPHVLALINSDHQDNGGDDDDDDRIITCNGCMRPITKVDAFYSCTKQESSCSFFLHTACAQSPKKMHLATIIGQDLELHPRTHSIGGVFKCLLCYTFGQGFVYLYEINSIFGIDLQCAILWKQKSLKHEAHFHTLQFNKNNESTYKCRGCGTSKILHWFSCRRCDFHLCFSCVKLPPTARHKYDNHPLKLTYNSVENELDEYYCEICEGKRDPGLWFYSCSDCDFDCHPHCILGRYPQVKLGDSYKHPTHPHLVTLVDKRKSEIPSDKRERILPCKKCSQPCERLVFECSKCKINFHIKCYIM >ONH95497 pep chromosome:Prunus_persica_NCBIv2:G7:11186665:11189663:1 gene:PRUPE_7G074600 transcript:ONH95497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPINPGRRIDQNQHLNHEHPMMEVQRKNDGQLIFCDGCGDPVLGPSYTCNICYEQRRSSFDLHKSCAELPGEIHHPIHRKHPLTLDTSDREKSCSACHQDCISRFSYSCFQCEFNIDLQCASNWRNILDFHDHKFTLHRKPAKLTCEACGEESVSTNIYSTRFIYSKYGTAMYLCSICQLLVHGCCSRLPTHIKTAQHQHRLKLTWSFEDTFPKIQNFCDLCYRNMDKSRAVYYCEHEYCSYVAHNDCATRRYEAVEDSAAADDDDRIISNQIELQINHFSHPHVLALINSDHQDNGGDDDDDDRIITCNGCMRPITKVDAFYSCTKQESSCSFFLHTACAQSPKKMHLATIIGQDLELHPRTHSIGGVFKCLLCYTFGQGFVYLYEINSIFGIDLQCAILWKQKSLKHEAHFHTLQFNKNNESTYKCRGCGTSKILHWFSCRRCDFHLCFSCVKLPPTARHKYDNHPLKLTYNSVENELDEYYCEICEGKRDPGLWFYSCSDCDFDCHPHCILGRYPQVKLGDSYKHPTHPHLVTLVDKRKSEIPSDKRERILPCKKCSQPCERLVFECSKCKINFHIKCYIM >ONH97839 pep chromosome:Prunus_persica_NCBIv2:G7:19406379:19409219:-1 gene:PRUPE_7G213400 transcript:ONH97839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALIDSKSSSIPANLSPLIGENYHLKLTQSIQNLLVEIHKENPKFSHFIQVFYDLMQAQVDPPLESIWVYAALTFRSRNHPKEDPLNRISAAKDLFQLVSACSASVSSLKSIALLAPVVYEVYNVVVDLFKRDLSLKAEKKGMKAVQNLVGVVLGYANVCSCKDLGENDGSTGSSLITPFADLIRVWMNSNEGSEFFLPLVSSDVFRGLSEREGDVGYLAGVVIAEVFLLKLCLNFKLGTSTKELEMELRTWAIASITGFQNFYLFEVLVRMLLEKTLPVTSLLSSEDEVLLRQVLYDAIILVEYSFLNPERVIHLPAERMKSIAMKRLIVTHEAVEYFREHGDQRRAISYVTAFSSSQLSSQIIKWVKNQIPEDDGAIRSKGTSPKALIRWLLNLEDHGLRVFDDSMENFRTKLVHEMSKSDSEQPASKLDSKKVDDDLLFYIDNNGDEEHADEENEKVNESMSAAFVAAAHTMTSSEKRGRKRKEGKTPEKKEKIKFVKYDLRCNSDSASGRSSFINNDSLNSGSEVENPLSDEDTE >ONH98606 pep chromosome:Prunus_persica_NCBIv2:G7:21505241:21509206:1 gene:PRUPE_7G257000 transcript:ONH98606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKAGTRPPWVGLGAAVWVQIAAGNAYTFPLYSHSLKSVLGFNQRQLTMLGVANDIGENVGLIPGIASNKLPPWVILLIGALACFSGYGVLWLVVSRTLHPLPYWLLWIALCVATNSNAWFTTAVLVTNMRNFPLSRGMVAGILKGYGGLSAAVFTEIYSVLLRNSSSKLLLFLVLGIPILCFSMMYFVRPCTPASSQDPAERGHFLFIQVASVVLGLYVLTTTVLDDVLTLSATVTNTFVVIMVLLLMAPLAIPVKMTLYPTRASKSGTLGQTVGSSDSLNHAEGLDNGEGNADKTEPLLKPSPSTSSLASEGDDSSDVAMLLAEGEGAVKRKRRPKRGEDFKFSEAVVKADFWLLFVVYFVGVGSGVTVLNNLAQIGIAQGFRDTTILLSLFSFGNFVGRLGGGVVSEHFVRSKTIPRTVWMTCTQIIMIGTYLLFASAISGTLYAATALLGICYGVQFSIMIPTVSELFGLRHFGIFYNFMSLGNPLGAFLFSGLLAGCLWRGYHLEHCSVYKDKACLPNALCWGFLQGTAKYKPLRTMCGEWKFNCPRSHPIYSLKVLRILVELLEISKLPSMESMFGHQ >ONH98608 pep chromosome:Prunus_persica_NCBIv2:G7:21505327:21509206:1 gene:PRUPE_7G257000 transcript:ONH98608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKAGTRPPWVGLGAAVWVQIAAGNAYTFPLYSHSLKSVLGFNQRQLTMLGVANDIGENVGLIPGIASNKLPPWVILLIGALACFSGYGVLWLVVSRTLHPLPYWLLWIALCVATNSNAWFTTAVLVTNMRNFPLSRGMVAGILKGYGGLSAAVFTEIYSVLLRNSSSKLLLFLVLGIPILCFSMMYFVRPCTPASSQDPAERGHFLFIQVASVVLGLYVLTTTVLDDVLTLSATVTNTFVVIMVLLLMAPLAIPVKMTLYPTRASKSGTLGQTVGSSDSLNHAEGLDNGEGNADKTEPLLKPSPSTSSLASEGDDSSDVAMLLAEGEGAVKRKRRPKRGEDFKFSEAVVKADFWLLFVVYFVGVGSGVTVLNNLAQIGIAQGFRDTTILLSLFSFGNFVGRLGGGVVSEHFVRSKTIPRTVWMTCTQIIMIGTYLLFASAISGTLYAATALLGICYGVQFSIMIPTVSELFGLRHFGIFYNFMSLGNPLGAFLFSGLLAGYVYDNEAAKQHGSNNIYGTNVSCLGPNCFRLTFLVLAAVCGVGTILSIVLSIRIKPVYQMLYAGGSFRVPQSTNH >ONH98607 pep chromosome:Prunus_persica_NCBIv2:G7:21505768:21508617:1 gene:PRUPE_7G257000 transcript:ONH98607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVKAGTRPPWVGLGAAVWVQIAAGNAYTFPLYSHSLKSVLGFNQRQLTMLGVANDIGENVGLIPGIASNKLPPWVILLIGALACFSGYGVLWLVVSRTLHPLPYWLLWIALCVATNSNAWFTTAVLVTNMRNFPLSRGMVAGILKGYGGLSAAVFTEIYSVLLRNSSSKLLLFLVLGIPILCFSMMYFVRPCTPASSQDPAERGHFLFIQVASVVLGLYVLTTTVLDDVLTLSATVTNTFVVIMVLLLMAPLAIPVKMTLYPTRASKSGTLGQTVGSSDSLNHAEGLDNGEGNADKTEPLLKPSPSTSSLASEGDDSSDVAMLLAEGEGAVKRKRRPKRGEDFKFSEAVVKADFWLLFVVYFVGVGSGVTVLNNLAQIGIAQGFRDTTILLSLFSFGNFVGRLGGGVVSEHFVRSKTIPRTVWMTCTQIIMIGTYLLFASAISGTLYAATALLGICYGVQFSIMIPTVSELFGLRHFGIFYNFMSLGNPLGAFLFSGLLAGYVYDNEAAKQHGSNNIYGTNVSCLGPNCFRLTFLVLAAVCGVGTILSIVLSIRIKPVYQMLYAGGSFRVPQSTNH >ONH98051 pep chromosome:Prunus_persica_NCBIv2:G7:19999625:20002918:-1 gene:PRUPE_7G225600 transcript:ONH98051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLEHDYIGLSESASSMESSDKSAERDRGTALNLKATELRLGLPGSESPDRDCGGGLVEDKTGYSVCVVKGLVSGAKRGFSDAIDGASGKWIFSGSGGSEVDMGKGGNLLSPRGVNGGKALAGSESNNQPTSLAVSAVKDGGQQSPKPLHEKKPQVSASASAAKAQVVGWPPIRSFRKNSMASVPPRNDDDAEGKMGPGCLYVKVSMDGAPYLRKVDLKTYGSYVELSLALEKMFSCFTIGQCGSHGVSRDGLSESRLMDLLNGAEYVLTYEDKDGDWMLVGDVPWEMFTDTCKRMRIMKSSEAIGLAPRAMQKCKKSN >ONH94841 pep chromosome:Prunus_persica_NCBIv2:G7:6369040:6372740:1 gene:PRUPE_7G034300 transcript:ONH94841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLLISCVSFILISKSFTHKPIQAWEGKLKLSSFWFWGEFSSFLVSWFQKSRLAIELFQPIICKLKKMMTFSSKVENVEERDSLSLLDLPDLTLECILERLSPSGLCTMGGVCTSLRERCRDDRLWERHMMEKWGRLIGAAAYREWQLHVASRSRVRNISDQTKTKGLFESLVSKIWPFSWIRPKLPSSDCKVRTSLPVESVMSLYLSLESGKFWFPAQVYNRENGNVGFMLSCYDAQVSYDSRTNTFQARYSPYGRRATEDNIPWDRLRAAPVDTPSHKLHVSDCLHDLKPGDHIEIQWRRNKEFPYGWWYGVIGHLELCDGHENRCRCHYSDTVTLEFSQYTPGSRWRQVTINRKDHREEGDEADGFYGGIRKLYNEEEIARWKRLWPTQVLE >ONH98481 pep chromosome:Prunus_persica_NCBIv2:G7:21204437:21207101:1 gene:PRUPE_7G250900 transcript:ONH98481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKLPSDHSQTHPPPFSPHPSDLADIMPCSSGMELLSQEKPLHSVDSGEQLKSLSSVLDSTDSSLKLLNAHPSVAQQHHPSLGRSTFFKRSRHHYAHQYSRRNSGNLANTSTSRGKGVPLRDDKLSYKLATQSNSESRRHSEIRENPFLRQPRIRSSYLTTDAASPDPGKMVCEICEKLLRRKPFLLGSTLSSTEVSVVAVLGCGHAYHADCLEQKTSFEDRRDPHCPLCLGLLPKVEDSREQV >ONH97304 pep chromosome:Prunus_persica_NCBIv2:G7:17777558:17779955:1 gene:PRUPE_7G182900 transcript:ONH97304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGKCLLVTGPPGVGKSTLIMRVFETLKASNPNLKIQGFYTSEVRQGSERIGFQVVTLDGRTAPLASTISSPESFRWPNVGKYKVNVASFESLALPELQVKEDIDLFIIDEVGKMELYSSSFFPAVLKVLESNIPLLASVPIPKFGRDIPGVARLKNHPGATIFTLSPSNRDAVKEEIYLQLVDLLSKQ >ONH97305 pep chromosome:Prunus_persica_NCBIv2:G7:17778088:17779955:1 gene:PRUPE_7G182900 transcript:ONH97305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFETLKASNPNLKIQGFYTSEVRQGSERIGFQVVTLDGRTAPLASTISSPESFRWPNVGKYKVNVASFESLALPELQVKEDIDLFIIDEVGKMELYSSSFFPAVLKVLESNIPLLASVPIPKFGRDIPGVARLKNHPGATIFTLSPSNRDAVKEEIYLQLVDLLSKQ >ONH96947 pep chromosome:Prunus_persica_NCBIv2:G7:16749454:16752589:1 gene:PRUPE_7G161500 transcript:ONH96947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTCQGKILEISDKEEIFDAIDELKVGMEVSNEAEAYNLCNTYAFRKGFSIRKGNIRRDAQNKVRQRDYFCSKEGFQSDEDLCEVKKVRRLDTRTGCKALIRFTADNGIWKISHINLDHNHEFAKPEERQFLRSGRHIPRVRAGMLGPKVDESTRPTKSYSYLGKKFGNAENVGSTNKDCLNYLQRNKEEMLEGGDGQSLINYFKHKQMEDPSFFYSVQVDQFNRITNYFWRDGRSKLDYDCFGDVVCFDTTFRTKKYNLICAPFVGVNHHCKNVLFGCAFVSDESTDSFIWLFETFLESMGYKQPKTIFTDVGNAMANAIVVMLRETRHRLCIWHISKNATQYIGSHYSNHEFKEHFNKCFHDCLTEAEFEATWNDLIRKFNLESNSWLQELYSLREKWCPAFSLDTFTANIRSSNRGESITSTFHQISTKTMDLIGLVQHYEKKTKVVRSAELEEDFRCKNGMPHLRANSGIFKHAASEYTIKMYSFFENELMSIFGVRMIEVGNDGNQYIYEAIEEGHPRVYIIEYNSATSMVSCSCKLFESIGLLCRHALKVLDLKNFTSIPTRYIEKRWTKGAKKRIVESSHLYESSYKMGKSAQSLRLSELMHEGNNVFSIGSLCDSGTRIVKQKLVEAMKLLESDEETTSLQGNLNKVDDQFVRDVLDN >ONH98508 pep chromosome:Prunus_persica_NCBIv2:G7:21293317:21299654:1 gene:PRUPE_7G252300 transcript:ONH98508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIEVVEDEVQTRDHESAGAASANSTNNGDANGIGEESLRNDVYTAAAYGDLEKLQRLVECEGCSVSETDGLGYYALQWAALNNRTAAAQYIIEHGGDVNATDHTGQTALHWSAVRGAIQVAELLLHEGARVNAADMYGYQTTHVAAQYGQTAFLYHIVSKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYKGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLAADKNHRQVAFFLGNARRLLDKHCDGNSRLGQVSKLGLAPVLWCIIFVLLVTYTHSVIMAPNLPKLTAGSVFVAWLGVFFATSGLVMFYRCSSKDPGYIRMNIHDSQNMKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFAFLVLEVLAMVFTGGVTLTRVLSDPVSPSTFGAWMNYVTTSHVGALSFFIMDFFLFFGVAVLTVVQASQISRNITTNEMANVMRYNYLRGPGGRFRNPYDHGIRKNCSDFLIKGYNEDVEYIEESARDEEEGIGMRHMLKNSNVQNGDAYSHHTNGNSQVAINVNSNSTSHHGHAHSAQCSHNNHGKTKSDNVPLGLGLGLGRNTARSVVAS >ONH98354 pep chromosome:Prunus_persica_NCBIv2:G7:20934207:20937158:1 gene:PRUPE_7G245600 transcript:ONH98354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSFWFFAQFLHVFLVFSTPIASVAVQAFTGAYGINYGRIADNIPSPDKVATLLRAAKIKNVRIYDADHSVLKAFSGTGLDLVVGLPNGYLKDMSANEDHAMDWVKENVQAFLPETRIRGIAVGNEVLGGTDYELWGALLGAVKNIYNAIKELGLTDVVQITTAHSQAVFANSFPPSSCIFRDNVKQQYMKPLLEFFSEIGSPFCLNAYPFLAYMSDPENIDINYALFQKTQGISDPKTDLHYDNMLDAQIDAAYAALEDTGFKKMEVIITETGWASRGDDSEAAATVNNARTYNYNLRKRLAKKKGTPLRPKSVVKAYIFAIFNENLKPGPTSERNFGLYKPDGTIAYDIGFHGLVSSSADSLRLSSKDIGARGWSRSQYLILPISMAAAFLLFLR >ONH94169 pep chromosome:Prunus_persica_NCBIv2:G7:266424:271139:1 gene:PRUPE_7G002000 transcript:ONH94169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKFPILQKRRNGKAPNPQGDKVPNLQNHQPPGWGDGWASTEGQAELDIQKIDRDLRNERDTSISLNQEKERLTTEQAKDLEPMLRFLESWEKLLPFSVLHAILDTLVFPKLKDAVVLWEPHPDTVPIHVWVLQWLPFLGYKLEELYHTIRFKFNNELLTNEIIQYQLNCGLDMMNLPVEGMEVKAATAQANLSGTAHIDGIDNEMSLKDVIEAYGQQHGLLFRPKLGQMHNGHQIYSFGNVSIIVDSLNQKVYVQTKEIWSLVSLERLLDMQNSSLTRRR >ONH98098 pep chromosome:Prunus_persica_NCBIv2:G7:20171540:20172883:1 gene:PRUPE_7G228600 transcript:ONH98098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDMDDDTRYHSKAYSLNHHNSSGRRKINIRNTQYSRPIANRYAEEDDDELDEFDEGNGRQDHDEEEEEEHPRGLHRNFDADDGFERHTKKRKVKNLESNYEFAPRVRVPYRDPSSRGEEDWTEHAVFVLLEVWGDRFLQLGRKSLRSEDWREVAEKVSEASKIERTDTQCRSMLDMLKRKYKKEKEKVEEMGLNSSKWAYFKKMDMLMASSLRQECGLACGVDSGEYVFMNTRVYLERSNGFDEMRDSPGESETDEDEDGNDDQDAFPPRMGMRGVDRGEEGSSYRVLADSIHKFGEIYEKIESSKRQQMRELEKMRKDFHKELEFQKKQILERAQVEIAKIQEADDDDETDVSAEDLSE >ONH96394 pep chromosome:Prunus_persica_NCBIv2:G7:14773018:14774037:1 gene:PRUPE_7G126000 transcript:ONH96394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYFHFIEIPPRRHHHLVLIHEKRKKEDEEHMIIFWTILLYLVLFNLHLLATFVARCYRFQTRKLPRSLSLSLVNVLVLTVQTLAKTWFQADRTTCNATFQFHRIF >ONH96158 pep chromosome:Prunus_persica_NCBIv2:G7:13785993:13787112:-1 gene:PRUPE_7G110200 transcript:ONH96158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEEQKLQVRKLELSDKSKGFIELLQQLTVCDSVSDKDFEDRFRELNARAEDHRVLVIEEDRSGKIVATGSVFIERKFIRNCGKVGHIEDVVVDANARGMQLGKKIINALTDYAHSLGCYKVILDCNVENKAFYEKCGYKQKEIQMFERSWGTHMIKPFICLNKNWRSSSKSKHLAGGQVWTGKPIILENEYPRGLGSDLDLIAHPLLCFQSLQHSLLCLLVSGSTSNWFFYFIL >ONH96159 pep chromosome:Prunus_persica_NCBIv2:G7:13785966:13788694:-1 gene:PRUPE_7G110200 transcript:ONH96159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEEQKLQVRKLELSDKSKGFIELLQQLTVCDSVSDKDFEDRFRELNARAEDHRVLVIEEDRSGKIVATGSVFIERKFIRNCGKVGHIEDVVVDANARGMQLGKKIINALTDYAHSLGCYKVILDCNVENKAFYEKCGYKQKEIQMFERSWGTHMIKPFICLNKNWRSSSKSKHLAGGQVWTGKPIILENEYPRGLGSDLDLIAHPLLCFQSLQHSLLCLLVSGSTSNWFFYFIL >ONH98718 pep chromosome:Prunus_persica_NCBIv2:G7:21828852:21832457:-1 gene:PRUPE_7G263300 transcript:ONH98718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTFQPSHAVLYHRQSRFASTSSLLPPFTYKGLSIRCSPCRWPIWANLSKSACRSVLCIQRKHGGISRCNIDAKEDFGRPNYDIRLHTHHRLSRYAVGRSGLTEAGTTSADYPLPEVNTVSKFRGIGFYAITAFNAIYLFVLMLVAHPFVLLLDQYKRKAHMFIAKVWATVTVTPFVKIKFEGLENLPPPDAPAVYVSNHQSFLDIYVLLTIGRPFKFISKTSIFLYPIVGWAMFLMGVIPLKRMDSKSQLECLKRCIDLIKKGASVFFFPEGTRSKDGKLGSFKKGAFSIAAKTKVPVVPITLIGTGKIMPPGREAILNTGSVKVVIHKPIQGNDTEVLCSESRNIIADALNRQG >ONH98717 pep chromosome:Prunus_persica_NCBIv2:G7:21828842:21832520:-1 gene:PRUPE_7G263300 transcript:ONH98717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTFQPSHAVLYHRQSRFASTSSLLPPFTYKGLSIRCSPCRWPIWANLSKSACRSVLCIQRKHGGISRCNIDAKEDFGRPNYDIRLHTHHRLSRYAVGRSGLTEAGTTSADYPLPEVNTVSKFRGIGFYAITAFNAIYLFVLMLVAHPFVLLLDQYKRKAHMFIAKVWATVTVTPFVKIKFEGLENLPPPDAPAVYVSNHQSFLDIYVLLTIGRPFKFISKTSIFLYPIVGWAMFLMGVIPLKRMDSKSQLECLKRCIDLIKKGASVFFFPEGTRSKDGKLGSFKKGAFSIAAKTKVPVVPITLIGTGKIMPPGREAILNTGSVKVVIHKPIQGNDTEVLCSESRNIIADALNRQG >ONH96891 pep chromosome:Prunus_persica_NCBIv2:G7:16571671:16573831:1 gene:PRUPE_7G157700 transcript:ONH96891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLTRPIRFLCLPAKCNFKGLHTHHLFDETSQRDIYSLNALLASYNRNGQFSTTWALFSCIHRAKPDLNAYTFTRVLGACRALPRPERGRQVHGLMIKTGAESGTVAKTAVIDMYSKYGYLEDSVRAFEEMEFKDVVTWNALLSSFLRHGLAREALGAFEAMREERVEISEFTLCSLLKACASLRSSPQGKQVHGMVVVMGRDMLILGTALIDFYSAVGCISEAMKVFSGLNGRKDDAMFNSLVAGCVRNKKYKEALSIMSTMKPNVIALTSALAACSENSNLWIGKQIHCVAMRHGFTSDTQMCNVLLDMYAKCGKISNARSLFNGISNKNVVSWTSMIDAYGSHGNGLEALDLFKRMGEERSEVLPNSVTFLAVLSACGHSGLVEQGRECFNSAPEKYGLDLGPEHYGCFIDMLGRAGQIDEVWCVFQDMVEHGIRPTAAVWSALLNACSHNLDVTRGEVAAKHLLELEPNKPGNFVLVSNFYATIGRWDSVDQLRSIMEMKGLVKEVGSSWVTDSKCRESDFT >ONH98125 pep chromosome:Prunus_persica_NCBIv2:G7:20253061:20254779:1 gene:PRUPE_7G231100 transcript:ONH98125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEENNNVIAPFVMKTYQMVNDPTTDKLITWGRANNSFIVVDPLDFSQRLLPAYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANECFLRGQTHLLRNVVRRKHMGKNSYSNSNSNSTTCLLQGKHEELDDEEIVMEIARLKQEQKALEEEMENMNKRLEATERRPQQMMAFLHKVAEDPEILPRIMLEKDRTFRAQLGEKKRRVMMITSTSSSSSGMGATNSVKTEDEDDGTVGVISSSPEPGFEMESFYSTSPETSTAREWGTQRRVVDRVRAVQDPYNMNPTVSGHVIGNSSNSGYGYGNRNVGAGVGYLTEEPIPAPPPYPFSLLEGGF >ONH95012 pep chromosome:Prunus_persica_NCBIv2:G7:8238740:8240046:-1 gene:PRUPE_7G046400 transcript:ONH95012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQAQENQPPAGYPTASENPPTGKKFRPRTKKKGDRGFIEGCLFALCCCWLCEECF >ONH95013 pep chromosome:Prunus_persica_NCBIv2:G7:8239301:8240046:-1 gene:PRUPE_7G046400 transcript:ONH95013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQAQENQPPAGYPTASENPPTGKKFRPRTKKKGDRGFIEGCGRPATKLISHQRRLAQWERALTCRPMVSSSNIMAH >ONH97310 pep chromosome:Prunus_persica_NCBIv2:G7:17797504:17802600:1 gene:PRUPE_7G183200 transcript:ONH97310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGLLHLFDFNQGSMARKLFTHKKHDGGLEAPRNSLELQVEPQSYCDVGDLPIEENWSKKNYPLESSMKKLINEEISKHSSTRQNAPNIVARLMGMDMFPLDTKSAVQPIEEKSENRRMKSSKKETNGRSSAAHDPSNLKSSRQIDLDSYYHNNDRDATRWGDDQKIENPRRKEHPQEEELKKFKKEFEAWQAARFRECSRIVEVDRTPGRLLGREDLNKEKVALSGRTAIEKTVEPKDYALKTISHEGRVLQCRGDKTELFPAEHEGPFSSRSRRTMSLDFEQSSMTSKKRLDASSAPTRIVILKPGPDRLCNQEETWIGSSNTLEQRGGIEDFLEEVKERLKCELQGKMHKRGSVVRGSGVETPYSEQPSAPKKIARHIANQVRESVTRDLGMNLLRSESTKSYRSEIQFNGPGSPEFIHRDTRRIFLERLRSASKRETDLGVPVLVSGSSSLSAFDNDRARLKQVGDTLEAQKDMSCWERGIVKDEHEKTRSFRHGPHDKEVLDRELSPRNLIRSLSAPVPGTSFGKLLLEDRHVLTGAHIQRKHEGIDHMSMEMKHQKKERFNFKEKVSNFRYSFTLRGRLFGKKIQSIAESHCNHYPMKDIMSGPTVVMNSGERHENFTEVPPSPASVCSSAREDFWRPTDYLSPISTPATPREDNIVPRAFRDISDNLNELRRQLNQLESDEPEDIKDEQKVVETEMVGLEDPAEAYIRDLLVACGLYDGSFEKSLARWDTFSKPISNSVFEEVEESHKKLAKKDDSSANDHNEKVDHKVLRDLLNEALSTVLGPPRSMSKFRRKIIGSSVLPPLRGKKLLNCVWQIIHERLHPPTDGPYYSLDDMVSRDLGSSPWSGLIDDDVNALGGEMESLITEDLVQEILDDMQL >ONH97309 pep chromosome:Prunus_persica_NCBIv2:G7:17796775:17802522:1 gene:PRUPE_7G183200 transcript:ONH97309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGLLHLFDFNQGSMARKLFTHKKHDGGLEAPRNSLELQVEPQSYCDVGDLPIEENWSKKNYPLESSMKKLINEEISKHSSTRQNAPNIVARLMGMDMFPLDTKSAVQPIEEKSENRRMKSSKKETNGRSSAAHDPSNLKSSRQIDLDSYYHNNDRDATRWGDDQKIENPRRKEHPQEEELKKFKKEFEAWQAARFRECSRIVEVDRTPGRLLGREDLNKEKVALSGRTAIEKTVEPKDYALKTISHEGRVLQCRGDKTELFPAEHEGPFSSRSRRTMSLDFEQSSMTSKKRLDASSAPTRIVILKPGPDRLCNQEETWIGSSNTLEQRGGIEDFLEEVKERLKCELQGKMHKRGSVVRGSGVETPYSEQPSAPKKIARHIANQVRESVTRDLGMNLLRSESTKSYRSEIQFNGPGSPEFIHRDTRRIFLERLRSASKRETDLGVPVLVSGSSSLSAFDNDRARLKQVGDTLEAQKDMSCWERGIVKDEHEKTRSFRHGPHDKEVLDRELSPRNLIRSLSAPVPGTSFGKLLLEDRHVLTGAHIQRKHEGIDHMSMEMKHQKKERFNFKEKVSNFRYSFTLRGRLFGKKIQSIAESHCNHYPMKDIMSGPTVVMNSGERHENFTEVPPSPASVCSSAREDFWRPTDYLSPISTPATPREDNIVPRAFRDISDNLNELRRQLNQLESDEPEDIKDEQKVVETEMVGLEDPAEAYIRDLLVACGLYDGSFEKSLARWDTFSKPISNSVFEEVEESHKKLAKKDDSSANDHNEKVDHKVLRDLLNEALSTVLGPPRSMSKFRRKIIGSSVLPPLRGKKLLNCVWQIIHERLHPPTDGPYYSLDDMVSRDLGSSPWSGLIDDDVNALGGEMESLITEDLVQEILDDMQL >ONH97464 pep chromosome:Prunus_persica_NCBIv2:G7:18293773:18296611:-1 gene:PRUPE_7G191300 transcript:ONH97464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKLRHQRKVVGLAILLINDQQDDINPSTFTCDFCVESKHLQESFKVKGCSHFYCDQCIVKFVVSKLQDNVTSIMCPVPGCKGMLDPEHCRPILPNQIFDRWGSALCEAVVMGSETDKYLYCPFKDCSALLVYENGTKRAVCASVCPHCKRELCPSCKVPWHTEFDCAQFQKLNDDDMVKVLARKLKWPRCPSCKYYIQKSSGCNRMICRCGRGFLYNEPSYSFYDRIRIFLEETPIFLNEIHISFLKETRIFWNKIRISFLKEIQEARISFLKETRIFLKEAQEARISFLKDTRIFLKETQEARISFLKETRIFLKETSISLKEILIFLKERRILFLKEIHIFLKEICIFLKGE >ONH96237 pep chromosome:Prunus_persica_NCBIv2:G7:14060154:14062916:1 gene:PRUPE_7G115300 transcript:ONH96237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPKASTTKPRVVCCIGDTHGFYTKLQNLWSNLQTTIDPSDFNTALIIFLGDYCDRGPDTKKVLDFLISLPSKYPNQRHVFLSGNHDLAFAAFVGVLPKPLDGSEFSVAWKEYEANEEREGWFKGDGYERMHLQGRRWAGSMKDKFNAAKNTQYKGSIYDAEPTFASYGVPHGSADLVKAVPDEHKKFLADMVWVHEEDDVCVETEDGIKHCKLIAVHAGLEKDKDVKQQLEFLRARDTRVPRIEALSDRQNVWNIPKELTENPTVVVSGHHGKLHIEGLRLIIDEGGGKEERPVAAVVLPTMKIVRNTDVLAN >ONH98859 pep chromosome:Prunus_persica_NCBIv2:G7:22136096:22140979:1 gene:PRUPE_7G269500 transcript:ONH98859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSSFRAAKMEKQPSFNGAIEQQPTFRGAMEKQKSFRGFMEKQKSFRIVMEKQLSFIGGERRKSKESPGKRGDSQLHLAARAGNLVRVKEVLQNCNNSNESIGLLSKTNQEGETPLYASADNGHAVIVGEMLTHMDLQTASITARNGYDPFHIAVRQGHLEVLKELLHVFPNLAMTTDLSNSTALHTAATQGHIDIVNLLLETDSNLAKIARNNGKTVLHSAARMGHLEVVKSLQNKDPSAAFRTDLKGQTALHMAVKGHNEEIVLELLKPDPSVLTVEDNKGNTALHIATRKGRIENVRCLLSINNDGSNINASNKAGETSLDIAEKFGTPELVSVLKEAGATNSKDQGKPANPAKQLKQTVSDIKHDVQSQLKQTRQTGARVQKIAKKLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEEKTSGLTLGQAHIANNAAFIIFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISIAFISLTYVVVGSHSRWLAVYATVIGSTIMLTTIGSMCYCVILHRMEESKMRNIRRAESRSRSFSMSMASEHEILNSEYKRMYAL >ONH98858 pep chromosome:Prunus_persica_NCBIv2:G7:22136234:22140979:1 gene:PRUPE_7G269500 transcript:ONH98858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSSFRAAKMEKQPSFNGAIEQQPTFRGAMEKQKSFRGFMEKQKSFRIVMEKQLSFIGGERRKSKESPGKRGDSQLHLAARAGNLVRVKEVLQNCNNSNESIGLLSKTNQEGETPLYASADNGHAVIVGEMLTHMDLQTASITARNGYDPFHIAVRQGHLEVLKELLHVFPNLAMTTDLSNSTALHTAATQGHIDIVNLLLETDSNLAKIARNNGKTVLHSAARMGHLEVVKSLQNKDPSAAFRTDLKGQTALHMAVKGHNEEIVLELLKPDPSVLTVEDNKGNTALHIATRKGRIENVRCLLSINNDGSNINASNKAGETSLDIAEKFGTPELVSVLKEAGATNSKDQGKPANPAKQLKQTVSDIKHDVQSQLKQTRQTGARVQKIAKKLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEEKTSGLTLGQAHIANNAAFIIFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISIAFISLTYVVVGSHSRWLAVYATVIGSTIMLTTIGSMCYCVILHRMEESKMRNIRRAESRSRSFSMSMASEHEILNSEYKRMYAL >ONH95573 pep chromosome:Prunus_persica_NCBIv2:G7:11417274:11418390:1 gene:PRUPE_7G078200 transcript:ONH95573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKIFGFRKTAGFTLEESIQSPRFLDENPQLWETKFKRFHFSVIEKQGEDGDINGCKDELFFRDLYSYTRHFPKEHDRSLEIHGSYSLPKGFESVLQHADYISLVDNDRISCLSEIGADNVKVMKGCWIERCSEIKSILRGEEADVRLGSSLEILWISNLPKLSSLYNGKEDLECFKNLKHLYLDCCPMIVSAFPSSQLLENLEIFHVQFCERLTTLFESDSPSGSTLKKLRTLYLLELPELTRIGIKLQAPVTLEVMECPKLSKDHLDVDNRFSK >ONH96595 pep chromosome:Prunus_persica_NCBIv2:G7:15538313:15539449:1 gene:PRUPE_7G139300 transcript:ONH96595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLESLGLRSIVLCFSLLVLCALTHSGYKTNPANTRSRQRKLQKRLFLLFQ >ONH94403 pep chromosome:Prunus_persica_NCBIv2:G7:2127977:2147600:-1 gene:PRUPE_7G014600 transcript:ONH94403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANPNNGRLHCPKPSQFLHPQRPKCFLFPNCPRSPCFTSKSKFCNLSLLLPGKSGKGFGIRALAEPGVSTNGPQGYPPPYSVKIPVGDRHILVETGHIGRQASASVTVTDGETIVYTSVCLADVPSEPSDFFPLSVHYQERFSAAGRTSGGFFKREGRAKDHEVLICRLIDRPLRPTMLNGFYHETQILSWVLSYDGLHSPDSLAVTAAGIAVALSEVPNSKTIAGVRVGLIGDKFIVNPTTKEMEDSELDLLLAGTDNAILMIEGYCDFLPEERLLQAVEVGQVEALVKKCGKAKMLDAIKLPPPELYNHVEEIAGDELVRTLQIRDKIPRRKALLSLEGEVLTILTAKGYVSKDVALGGSETIPDIFEDEDEDEEVVVDGEVDEGDVHIKPSLRKSTPLFFSEVDVKLVFKEVTSKFLRRRIVEGGRRSDGRSPDEIRPINSKCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQRIDNLVGVDEWKRFYLQYTFPPSCVGEVGRNGAPSRREIGHGMLAERALEPILPSEDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPIKCSIVGIAMGMVLETEEFGGDGAPLILSDITGSEDASGDMDFKVAGNEDGVTAFQMDIKVSGITLPVMREALLQAKNGRKHIIAEMLKCSPPPSRTLSKYAPLIHIMKVKPEKVNLIIGSGGKKVKSIIEETGVDAIDTQEDGIVKITARDLASIEKSKAIITNLTMVPTIGDIYRNCEIKSIAPYGVFVEIAPGREGLCHISELSSNWLAKAEDAFKVGDHIDVKLIEVNEKGQLRLSHRALLPDTDPEKASAKQPTGDAKDVVGSQETSDKGLPKKMISIRKGDLAENISSPKNNTAENTLLPQKKFIRRLVTPAKDRPNINKDKTKKSSSEVVNSVSSNGENTLVNGEANIG >ONH94404 pep chromosome:Prunus_persica_NCBIv2:G7:2126965:2147619:-1 gene:PRUPE_7G014600 transcript:ONH94404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANPNNGRLHCPKPSQFLHPQRPKCFLFPNCPRSPCFTSKSKFCNLSLLLPGKSGKGFGIRALAEPGVSTNGPQGYPPPYSVKIPVGDRHILVETGHIGRQASASVTVTDGETIVYTSVCLADVPSEPSDFFPLSVHYQERFSAAGRTSGGFFKREGRAKDHEVLICRLIDRPLRPTMLNGFYHETQILSWVLSYDGLHSPDSLAVTAAGIAVALSEVPNSKTIAGVRVGLIGDKFIVNPTTKEMEDSELDLLLAGTDNAILMIEGYCDFLPEERLLQAVEVGQDAVRAICNEVEALVKKCGKAKMLDAIKLPPPELYNHVEEIAGDELVRTLQIRDKIPRRKALLSLEGEVLTILTAKGYVSKDVALGGSETIPDIFEDEDEDEEVVVDGEVDEGDVHIKPSLRKSTPLFFSEVDVKLVFKEVTSKFLRRRIVEGGRRSDGRSPDEIRPINSKCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQRIDNLVGVDEWKRFYLQYTFPPSCVGEVGRNGAPSRREIGHGMLAERALEPILPSEDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPIKCSIVGIAMGMVLETEEFGGDGAPLILSDITGSEDASGDMDFKVAGNEDGVTAFQMDIKVSGITLPVMREALLQAKNGRKHIIAEMLKCSPPPSRTLSKYAPLIHIMKVKPEKVNLIIGSGGKKVKSIIEETGVDAIDTQEDGIVKITARDLASIEKSKAIITNLTMVPTIGDIYRNCEIKSIAPYGVFVEIAPGREGLCHISELSSNWLAKAEDAFKVGDHIDVKLIEVNEKGQLRLSHRALLPDTDPEKASAKQPTGDAKDVVGSQETSDKGLPKKMISIRKGDLAENISSPKNNTAENTLLPQKKFIRRLVTPAKDRPNINKDKTKKSSSEVVNSVSSNGENTLVNGEANIG >ONH96103 pep chromosome:Prunus_persica_NCBIv2:G7:13607529:13609563:-1 gene:PRUPE_7G107800 transcript:ONH96103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSHAIGIDLGTTYSCVAAWQADHVEILVNDHGNRTTRSYVTFTDSKRLVGDEAFNQVGRFPTNSIFDAKRLIGRRFSDETVQNDIKCWPFKVIEGRADKPMIVVNHKGEEKQFAAEDISSMVLAKMREIAESYLCSKISERNAVITVPSYFNDSQRQATLDAGKLAGLKVLRIINEPTAAAIAYGIDKKAGWFSKRNVMIFDWGGGTLDVSLLTIGHGAFDVKATAGDTHLGGEDLDNRMVNYCVEEFKTKQNVDIGGDAKALRKAKTACEKAKKALSFSFDTDIEIDSWYKGEDFHTTFTRDKFEELNMDIFNKCMEPVNKCLEDAKMDISEVDDVVLVGGSSRIPKVQELLQEVFKGKELCKSINPDEAVAYGAAVQAASLSGNVTGKLQDFTLLDVTPLSLGLECEERDSSRLYMNVVIPRNSRIPVRKTTSVTTSYDYQESVNFSIYEGESSIAKNNNFLGEFTLHGIPPAPKHVPKFNVYFETDANGVLSVSAEDMSTGQKKGIKIKRERTK >ONH94655 pep chromosome:Prunus_persica_NCBIv2:G7:4099321:4104179:1 gene:PRUPE_7G025400 transcript:ONH94655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSVLPSVQMKKSFAWRPQLMKDIMIMSKSRPRPPLKRPTWIIALVSFVCVFFTAAYFYPPRSSATCYLFSSSGCTVFGKDPPGPARELTDDETASDVVVKEILKTPPLQSKNPKIAFMFLTPGTLPFEELWENFFQGHEDKFTVYVHASKQKPVHVSRYFVDRDVHSEQVVWGKFSMVEAEKRLLANALLDPDNQHFVLLSDSCIPLHNFDYVYNYLMYTNVSFIDCFVDPGPHGTGRYSEHMLPEVEKKDFRKGSQWFSMKRQHAIVMMADSLYYTKFKLYCRPNMDGRNCYSDEHYLPTFFQMKDPSGLANWSVTYVDWSEGKWHPRAYRTQDVTYDLLRNITSIAESTHITSEDKKQLLTTPCLWNGMKRPCFLFARKFYPETLGKLLQLFSNYTKV >ONH95026 pep chromosome:Prunus_persica_NCBIv2:G7:8360632:8361519:-1 gene:PRUPE_7G047300 transcript:ONH95026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIKISSKSLSPKRLFRSKKDRSAVSRRSSDPLSFESGTASSSSSSSSSSDSLHKPGTGAEAGGIGTPTSVLLERSGDWSDFSTDLQLDMAQAFKLIDRDNDGVVSRKELEALLSRLGADPPSQEEVMLMLSEVDREGNGSISLEALLSRVGPVCGPAADSELRDAFEVFDSDHDGKISAEELLNVFTAIGDDRCTLEDCRRMIAGVDKNGDGFVCFEDFARMMELQR >ONH96788 pep chromosome:Prunus_persica_NCBIv2:G7:16277401:16279741:1 gene:PRUPE_7G152100 transcript:ONH96788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEKHTDMVEKKASMEVEGSGEAPEKINKIALLCAVVGSIISIIFGYDTGVMSGAMIFIKEDLKINDVEVEVLAGILNICALVGSLAAGRTSDYIGRRYTIVVASIIFLIGSILMGYGPNYPVLMTGRCIAGLGVGFALMIAPVYSAEISSPATRGRLTALPELCISLGILLGYVSNYVFGKLSLTLGWRLMLGIAGVPSIVLAFGIVKMPESPRWLVMQGRLAEAKKILYLISNTKEEAEARFCDILVAAGIDENCKEDVIEVPKSSKGEGVWKELLLRPTPPVRRILIAAIGIHFFEHATGIEAVVLYSPRIFKKAGVRSKDKLLLATVGVGVTKTLFILVATFLLDKAGRRRLLLTSTGGMIVALTGLGFCLTMVEHAKEQLTWALSLSIVSVYVFVVFFSIGLGPITWVYSSEIFPLKLRAQGTSIGVAVNRLTNATISMSFLSIYKAITIGGAFFMFAGMAILAWIFFYFCLPETKGRSLEEMEMVFSKSKDTNKSRNGIV >ONH96491 pep chromosome:Prunus_persica_NCBIv2:G7:15115601:15118544:1 gene:PRUPE_7G132400 transcript:ONH96491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDKSFSTNYLLLEPKDVGFFDLIHILFSNNLERRRFVDSFEQTEDEFARRWLIFLSVVVQKLLQLVAKPMAIIGWGIETCLNLFSSNRNLVGLLLDLFRGEDIIPKKDSRTFLSFVGNLDKRVELDKRIKRGDGNYNAALSMMASKISYENKFYIQTAVQDHWKMEFLGSYDFWNDYQGKATTQAFVLRDRSADHDTIVVAFRGTEAFDADAWCTDVDISWYELQGVGKIHGGFMKALGLQKSVGWPEPEEIKQDDSHPAFAYYAIRDMLKKLVLENDKARFIVTGHSLGGALAILFPAVLTFHKGEDSDLLLERLEGVYTFGQPRVGDERFGQYMENKLKEHRVKYFRFVYANDMVPRLPYDDRSLMFKHFGTCLYYNREYEVQVVREEPNKNYFSLGSAIPMMMNAFGELIRSFIIPIKEGPDYIEGPFLKLVRLIGLVIPGAAAHCPQDYVNSTRLGSSKVFLPPNHYI >ONH95576 pep chromosome:Prunus_persica_NCBIv2:G7:11445271:11447659:1 gene:PRUPE_7G078500 transcript:ONH95576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEIEHYLMEKFKKVLDGKDKSISKIPRYNQFQEIKSLLVDIFSSFSPVDSSIRDKLYYLNNVVTECQMLTRKHGFNSPEELLTINRIRRELNKIKRELKATKDKLQPNNGVSSSQDTETSSRDTGISRWTTHVVDDSKVYGFDDNVVSMEKLLLEKESHDRFKAVGIVGREGIGKTTLCQLIFNKPEVKNNFLPRIWVCMARHPDDNEDPKLAIVKRMLMQLGVEKKMVSFIFNEKRGLEGLLCALHLQLVGKRYLIVLDDARETDTWYGKLDSCLTSDKKWDDGFAFGLPKGNGGRVIVTSRNEELAKMMVGEENIHRLLPLSDPESCWKIFEDAVENDPILFYPSDLEDLKLEINQKCGGLPLAAKMMGQAMHEQVPK >ONH95648 pep chromosome:Prunus_persica_NCBIv2:G7:11817005:11822022:-1 gene:PRUPE_7G082900 transcript:ONH95648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEQKLRSGNLHHDPTMNPGLGTPRCPRCLCLLDHDSDKGEWTITSVLHDATAVAGSGIGGMLSAVHGVNTGIPYLQNRLKGPKWLPFLVGLPPLLLFSGASAAFGGYALPRFTQLTVTSYYATSSASHYGISLLTRHIEEAHSSRSRQERPR >ONH95649 pep chromosome:Prunus_persica_NCBIv2:G7:11816291:11822022:-1 gene:PRUPE_7G082900 transcript:ONH95649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEQKLRSGNLHHDPTMNPGLGTPRCPRCLCLLDHDSDKGEWTITSVLHDATAVAGSGIGGMLSAVHGVNTGIPYLQNRLKGPKWLPFLVGLPPLLLFSGASAAFGGYALPRFTQLTVTSYYATSSASHYGISLLTRHIEEAHSSRSRQERPR >ONH97209 pep chromosome:Prunus_persica_NCBIv2:G7:17503332:17505017:-1 gene:PRUPE_7G176200 transcript:ONH97209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISLVSSSSCFTGSALQPPPLFSPQNLNQRSLFSLNVNLQRLGTGIQNKNCNFCPRAAQKGNLEAVGVPTSVPVRVAHELLQAGHKYLDVRTPDEFSKGHAPGAVNIPYLYKLGSGMSKNPEFLKEVASHFRKHDEIIVGCHLGKRSMMAATDLLAAGFSGITDIAGGYAAWTQTGLPTEK >ONH98115 pep chromosome:Prunus_persica_NCBIv2:G7:20220857:20221557:1 gene:PRUPE_7G230100 transcript:ONH98115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKIKPCNIVRLRWYLTPSSSRNPIPQVSFSLNDGDQYFSFLKIVDEFNKASRLAPSDSVYLISSLRKKKKKVKGQITCFGTRRACCNSNFWFDKVQKS >ONH95484 pep chromosome:Prunus_persica_NCBIv2:G7:11129863:11130766:1 gene:PRUPE_7G073700 transcript:ONH95484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSSICCCLEREKERERMGFLSSICCCLHGALVFNQGSAAATAPERQPLTLSPNFVQTEAPVPILVPHFPVNSKLYHM >ONH97399 pep chromosome:Prunus_persica_NCBIv2:G7:18106815:18110248:-1 gene:PRUPE_7G188100 transcript:ONH97399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMKEGRMAIFKDREKFGSNVLHTVLALGLWLGTIHFNVILVLFAIFFLPLSKALLVFGLLLLFMIIPVDDKSKIGQSVSGYIFKHACAYFPVNVYAEDIHAFDPNRSYVFGYEPHSVLPLGVVAFAELTGFLPLTKVKVLASSAVFYTPFLRHIWTWMGLTPATKSNFISHLSAGYSCIIVPGGVQETFYMEHGIEIAFLKSRRGFVRIAMEMGHPLVPVFCFGQSDVFKWWKPSGEFFLKFSRAIKFIPLFFWGIFGSPVPYRQPMHVVVGRPIDLKKTPQPTMEEVNEVHGQFVAALQDLFERHKKWRLKYLDV >ONH97401 pep chromosome:Prunus_persica_NCBIv2:G7:18106815:18109962:-1 gene:PRUPE_7G188100 transcript:ONH97401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMKEGRMAIFKDREKFGSNVLHTVLALGLWLGTIHFNVILVLFAIFFLPLSKALLYIFKHACAYFPVNVYAEDIHAFDPNRSYVFGYEPHSVLPLGVVAFAELTGFLPLTKVKVLASSAVFYTPFLRHIWTWMGLTPATKSNFISHLSAGYSCIIVPGGVQETFYMEHGIEIAFLKSRRGFVRIAMEMGHPLVPVFCFGQSDVFKWWKPSGEFFLKFSRAIKFIPLFFWGIFGSPVPYRQPMHVVVGRPIDLKKTPQPTMEEVNEVHGQFVAALQDLFERHKVRVGHADLQLKIL >ONH97400 pep chromosome:Prunus_persica_NCBIv2:G7:18106815:18113676:-1 gene:PRUPE_7G188100 transcript:ONH97400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMKEERMAIFKGREKFGSNALHTVVALGIWLGTIHFNVILVFFAILFLPVSKALLVIGLLLLFMIIPVDDKSKIGRSLSRYICKHACAYFPVTLYAEDIHAFDPNRSYVFGYEPHSVLPIGVVALADLTGFLPLTKIKVLASSAVFYTPFLRHIWTWLGLTPATKSNFISHLSAGYSCIIVPGGVQETFYMEHGTEIAFLKSRRGFVRIAMAMGHPLVPVFCFGQSDVYKWWKPSGELFSKFARAIKFTPIFFWGIFGSPVPYRHPMHVVVGRPIELKKTPQPTMEEVNEVHGQFVAALQDLFERHKVRVGHADLQLKIL >ONH97421 pep chromosome:Prunus_persica_NCBIv2:G7:18154179:18156419:-1 gene:PRUPE_7G189200 transcript:ONH97421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSQAGDVKNTPEMEEKVVGQSQPMKTEEEAAKEKDPSSSHDLPNNSNTTRKIMPAQLTIFYAGSVSVFDAVTAEKVRELMLIAAADAANKTADVKNGGTSGPPSPLVCTGSSSLQNSAPGSPVVQPYPDQKSSICKLQAEFPIARRHSLQRFLEKRRDRLVSKNPYPTSPATQLDDDAKINLSNNASPGLGCFKQSAMVKEEMQPSSATAYPA >ONH95646 pep chromosome:Prunus_persica_NCBIv2:G7:11808872:11811298:1 gene:PRUPE_7G082800 transcript:ONH95646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPQLSIIIQTLTPLFYRTHTIPPHPHIHHPLPSSSLSFLLSQFLHFLLFFHHTQNYTSFSLQIFMDKVEKETQDFMNVESFSQLPFIRPAPPVNKEKGIRLFGIEFGADTPTEDSESLDYTNACEDAAAKEYNINTTTATNSNNKSNESGGESSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKREHLQSAMVHAGHHGLSHDAHHHVYGLMNYTTSRLGASPHHHHTAYPSWNSSHSSSIAGAHQHTRFYGNSGGTYGTQSAPINGSPLALWRIPAVQGTTNPSFNRDRSMNLFAGGEEMKAAGVGGTGGQGRYVYDSSMASVQDHARKLLFL >ONH95647 pep chromosome:Prunus_persica_NCBIv2:G7:11808872:11810750:1 gene:PRUPE_7G082800 transcript:ONH95647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPQLSIIIQTLTPLFYRTHTIPPHPHIHHPLPSSSLSFLLSQFLHFLLFFHHTQNYTSFSLQIFMDKVEKETQDFMNVESFSQLPFIRPAPPVNKEKGIRLFGIEFGADTPTEDSESLDYTNACEDAAAKEYNINTTTATNSNNKSNESGGESSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKREHLQSAMVHAGHHGLSHDAHHHVYGLMNYTTSRLGASPHHHHTAYPSWNSSHSSSIAGAHQHTRFYGNSGGTYGTQSAPINGSPLALWRIPAVQGTTNPSFNRDRSMNLFAGGEEMKAAGVGGTGGQGRYVYDSSMASVQDHVSLDLHL >ONH98304 pep chromosome:Prunus_persica_NCBIv2:G7:20754433:20755194:-1 gene:PRUPE_7G241600 transcript:ONH98304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKESMLHLKSLNHISLVCRSVEKSLDFYQSVLGFFPIRSSGSFDFNGAWLFNYGIGIHLLQSEDPDTQEDHPD >ONH98559 pep chromosome:Prunus_persica_NCBIv2:G7:21397935:21400081:1 gene:PRUPE_7G254400 transcript:ONH98559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGVAGMMFQCVFEGSLSMRDSEIELRPYHKNCSCALHRSEGGVCSNACQRNISFPKKQPWSDGFLCMQAAASSKFSSPLLLPMSFLVWTWY >ONH97389 pep chromosome:Prunus_persica_NCBIv2:G7:18068910:18069475:-1 gene:PRUPE_7G187300 transcript:ONH97389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIYCLITKIIAGFLESLWRDIDMNRLRHDPCHDLNIIIISHRLTSRVFLMKWFKWTVEQFELLNNLGNCEFRVMQLGKGGEYSLAIHHSEEELVEWGLPPGMSVAPASLECWK >ONH96396 pep chromosome:Prunus_persica_NCBIv2:G7:14779529:14781727:-1 gene:PRUPE_7G126200 transcript:ONH96396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSKQIHLVFIFFTFFLLCLDPTPTGAEVSGAGHKIEFEYKAGSKKGPERWGDLKKEWMTCKNGKGQSPIDLRDGIATKVNSSLEHFKISYKPTKAIMKNEGHAIAVIWDGDAGSISINGKEYNLRQCHWHSPSEHSINGKRYDVELHMVHRAKNNSDVAVVGFLYKIGQPNPFISKVKKAIASMMDVEKEVQLGVIDPRKMKKAKLGFQDPKKRKMKKASSKFYRYSGSFTTPPCSEGVTWTINKQVHTVSIAQVKLLQQAVFDFAEMNARPVQPLNGREVKLHGSTFVNFKN >ONH96669 pep chromosome:Prunus_persica_NCBIv2:G7:15852264:15853986:1 gene:PRUPE_7G144800 transcript:ONH96669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIISKAPPQQKQPSFSEAEKKDGHEELSEAQSSSITRHVYLKSSAAAAMDRDVVLRRIRHHKNLSKVKSAFQALMGSSQEAASMASVDQTWLHQEDIFSSP >ONH94930 pep chromosome:Prunus_persica_NCBIv2:G7:7583941:7588440:-1 gene:PRUPE_7G040700 transcript:ONH94930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPYAVKGKKRRKREDKYERVEEAEEASEPEETEASQPEDVNKGAMVEKQSNGNEGEEKVGNSIELEGIPITPVELSTKNGPGAIFILERASLEVAKVGKTYQLLNSDDHSNFLRKNNRDPALYRPDIVHQALLMVLDSPINKAGKLRNVYVRTAAGVLIEIKPHVRIPRTFKRFCGVMLQLLQKLSISAVGKREKLMRVIKNPVTQYLPVNSRKIGFSYSSEKLVSIHNYVAAAENNRDFVFVVGAMAHGKVETDYTDDFISISGYPLSAAFCISMICQALAGKWDIL >ONH94931 pep chromosome:Prunus_persica_NCBIv2:G7:7583941:7588608:-1 gene:PRUPE_7G040700 transcript:ONH94931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPYAVKGKKRRKREDKYERVEEAEEASEPEETEASQPEDVNKGAMVEKQSNGNEGEEKVGNSIELEGIPITPVELSTKNGPGAIFILERASLEVAKVGKTYQLLNSDDHSNFLRKNNRDPALYRPDIVHQALLMVLDSPINKAGKLRNVYVRTAAGVLIEIKPHVRIPRTFKRFCGVMLQLLQKLSISAVGKREKLMRVIKNPVTQYLPVNSRKIGFSYSSEKLVSIHNYVAAAENNRDFVFVVGAMAHGKVETDYTDDFISISGYPLSAAFCISMICQALAGKWDIL >ONH98827 pep chromosome:Prunus_persica_NCBIv2:G7:22042188:22044965:-1 gene:PRUPE_7G267400 transcript:ONH98827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNQAYKAMQRARLGSSSAGPDEVEDGMGRFQGNFVDGSFHSPEWHAARLASLKTSHTITWEEYKKKQKEDEIRKGELEKDTDRMMREYRAQLDAERARKLANGRNHSSSKSDRKKDRKDKDLKKRSSRKRKHSRRRSSESSSSSSSSDSYSSEEEERDSKRSKSRSKRTRKEKKHRSRTKHSSSDDDEADGPVPLSRFFGSVKS >ONH98826 pep chromosome:Prunus_persica_NCBIv2:G7:22041716:22045260:-1 gene:PRUPE_7G267400 transcript:ONH98826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNQAYKAMQRARLGSSSAGPDEVEDGMVDGSFHSPEWHAARLASLKTSHTITWEEYKKKQKEDEIRKGELEKDTDRMMREYRAQLDAERARKLANGRNHSSSKSDRKKDRKDKDLKKRSSRKRKHSRRRSSESSSSSSSSDSYSSEEEERDSKRSKSRSKRTRKEKKHRSRTKHSSSDDDEADGPVPLSRFFGSVKS >ONH96347 pep chromosome:Prunus_persica_NCBIv2:G7:14527185:14531656:-1 gene:PRUPE_7G122400 transcript:ONH96347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNPLAVGVPTASLYVGDLNPYITDGQLYDTFSQFKSITSVRVCRDSTDGHSLCYGYINFINPQEAIRAIEVKNNSEVHGKVIRVMWSHRDPDARRSGIGNVFVKNLSQSIDNVGLQDMFKKFGNILSCKVATFDDGKSKGYGFVQFESEESAIAAIEKLNGSTVGDKPLYVAKFIRKSDRVLANPDMKYTNLYVKNLDTNVREEIVEEKFSEFGKIVSFTITKDDNGNSRGFGFVNFENPDDARRAMEAMNGSQLGSKVLYVARAQKKAEREQMLRRQFEEKRKEQMVKFKGSNVYVKNIDDDVTDEELVEHFSQCGTITSAKIMRDEKGISKGFGFVCFSTPEEGNKAVNTFHGYMFHRKPLYVAIAQRKEERQAQLQLQYAQRMAGLAGPSTAVIPGGYPPIYYQAPSGVVPQVPPRPGLMYQPLGLRPGWRANGFAPTSGPPYQPPPVSVMPRQHRQNRGRMNGHMLPQGSAYMPHLQQSTQLSHSAKDSNNQQRTGQAKYVPNGRQRDMNKGSGVSTIASNSVGGGSQGPEMLSSMLAAASPEQQKQILGEHLYPLVHKHKPDLASKITGMLLEMDNSELLLLLESPESLAAKVGEAVQVLKISKTKVSNKDAIHPSFLSAEVAVN >ONH96348 pep chromosome:Prunus_persica_NCBIv2:G7:14527557:14531310:-1 gene:PRUPE_7G122400 transcript:ONH96348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNPLAVGVPTASLYVGDLNPYITDGQLYDTFSQFKSITSVRVCRDSTDGHSLCYGYINFINPQEAIRAIEVKNNSEVHGKVIRVMWSHRDPDARRSGIGNVFVKNLSQSIDNVGLQDMFKKFGNILSCKVATFDDGKSKGYGFVQFESEESAIAAIEKLNGSTVGDKPLYVAKFIRKSDRVLANPDMKYTNLYVKNLDTNVREEIVEEKFSEFGKIVSFTITKDDNGNSRGFGFVNFENPDDARRAMEAMNGSQLGSKVLYVARAQKKAEREQMLRRQFEEKRKEQMVKFKGSNVYVKNIDDDVTDEELVEHFSQCGTITSAKIMRDEKGISKGFGFVCFSTPEEGNKAVNTFHGYMFHRKPLYVAIAQRKEERQAQLQLQYAQRMAGLAGPSTAVIPGGYPPIYYQAPSGVVPQVPPRPGLMYQPLGLRPGWRANGFAPTSGPPYQPPPVSVMPRQHRQNRGRMNGHMLPQGSAYMPHLQQSTQLSHSAKDSNNQQRTGQAKYVPNGRQRDMNKGSGVSTIASNSVGGGSQGPEMLSSMLAAASPEQQKQILGEHLYPLVHKHKHFHLQPDLASKITGMLLEMDNSELLLLLESPESLAAKVGEAVQVLKISKTKVSNKDAIHPSFLSAEVAVN >ONH94323 pep chromosome:Prunus_persica_NCBIv2:G7:1461475:1464885:1 gene:PRUPE_7G011000 transcript:ONH94323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMETARLVEQGRDHKVVSRVGHASKVGYHVSKAITKRKCGKKIKHSVPPTVLQQLFVSCRQVFKGPGTVPSPHDVHNLCSILDKMRPEDVGLSRDLQFFKPKTVVQGTPRVTYTTIYECSNFSLCCLFIPATGVIPLHNHPEMTVFSKLLLGKMHIKSYDWVDPVNSDGSTPAPQLRLAKLKADSVFTSPCNTSVLYPTEGGNIHAFTAITPCAVLDVLGPPYSKEDDRDCSYYKDHPYAAYSNGEASVTEGNGDCYGWLEEIEMPENSEMDKIPYLGPQVTETSC >ONH96934 pep chromosome:Prunus_persica_NCBIv2:G7:16685190:16686646:1 gene:PRUPE_7G160400 transcript:ONH96934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLLKHIVYVETFADLVYLKGSYTNLSKYFHFMIWAICLIVQCPSEVRRPILRPAQMVQESFYGEGAKQSC >ONH95964 pep chromosome:Prunus_persica_NCBIv2:G7:12974787:12977518:1 gene:PRUPE_7G098600 transcript:ONH95964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSSMSTDIAKLQASVTLLVDHITASIPEPSSTFVVLSGSFTPSPQASLLPIHLLISSSQATQAFHHLLWGRLWFSPNQFFLRDPHPNHIIPNIRWVLLWWTPLSLPHRSDHTNITSYT >ONH96647 pep chromosome:Prunus_persica_NCBIv2:G7:15771710:15773354:1 gene:PRUPE_7G143200 transcript:ONH96647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVRDNHLKIGDVCVFVSISNSGIPLFDVVIFRSGEGSNAPMMGNKQYLRWKKLIMKTVIRVTIQVMILVMIPVMIPVTILLKYWTSFHPQEKQGL >ONH97567 pep chromosome:Prunus_persica_NCBIv2:G7:18584893:18587656:-1 gene:PRUPE_7G197100 transcript:ONH97567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEARFWFAALLLLVTCLSAMAKQTYIVQMNHHSKPSSYATHHDWYSAHLQSLSSTEDSLLYTYTTAYHGFAASLDSEQAELLRQSDSVLGVYEDTLYTLHTTRTPEFLGLEIESGLWAGHSTQDLNQASNDVIVGVLDTGVWPESKSFDDAGMPEIPTRWRGQCESGSDFTPSFCNKKLIGARSFSKGFHMASGGSFMRKSKEAESPRDRDGHGTHTSSTAAGSHVANASLLGYATGTARGMAPHARVAAYKVCWSTGCFGSDILAGMDRAIVDGVDVLSLSLGGGASPYYRDTIAIGAFTAMERGIFVSCSAGNSGPSKASLANTAPWIMTVGAGTLDRDFPAYALLGNKKRFTGVSLYSGTGMGNKPVQLVYNKGSNSSSNLCLPASLQPEHVRGKVVVCDRGINARVEKGGVVRAAGGIGMILANTAASGEELVADSHLLPAVAVGMRVGDLIREYAQHDSNPTALISFGGTVLNVRPSPVVAAFSSRGPNLVTPQILKPDVIGPGVNILAGWSESIGPTGLQEDTRKSQFNIMSGTSMSCPHISGLAALLKAAHPDWSPSAIKSALMTTAYTQDNTKSPLRDAADGSFSNPWAHGSGHVEPQKALSPGLVYDISTDDYVAFLCSLDYTLEHVQAIVKKPNVTCSRKYSDPGQLNYPSFSVVFGNKRVVRYSRELTNVGAAGSIYRVAVTGPQMVRIAVKPTRLVFKNVGEKQKYTVTFVANKGADKTARSEFGSIVWANPQHQVKSPIAFAWTQLID >ONH96549 pep chromosome:Prunus_persica_NCBIv2:G7:15359215:15373497:-1 gene:PRUPE_7G136400 transcript:ONH96549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTVQHLDLRHVGRSSKPLQPHAAAFHPHQALVAVAIGNYIIEMDALTGCKISSIDIGTPVVRMSYSPTSGHSVVAILEDCTIRSCDFDAEQTCVLHSPEKKTEQISADTEVHLALTPLQPVVFFGFHKRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRHPVLYVAYADGLIRAYNIHSYAVHYTLQIDNTIKLMGAGAFGFHPTLEWIFVGDRRGTLLAWDVSTERPNMIGITQVGSQPIASVSWLPMLRLLVTVSKDGTLQVWKTRVIINPNRPPMQANFFESAAIESLDIPRILSQQGGEAAYPLPRIKTLEVHSKLNLAALLFANMTGGDNVKNRAAYTREGRKQLFAVLQGARGSSASVLKEKLSALGSSGILAEHQLQAQLQEHHLKGHGHLTISDIARKAFLQSHFMEGHAKSAPISRLPLITVVDAKHHLKDAPVCQPFHLELNFFNKENRVLHYPVRAFIVDGIHLMAYNICSGADSIYKKLYTTVPGNVEYHPKYLSYSKKQNLFLVVYEFSGATNEVVFYFENTDSQAANSKCSTVKGRDAAFIGPNENQFAVLDDDKTGLVLYILPKKASPEANEKILLSEESQPVDTDVGPKGPMQFMFESEVDRIFSTPIESTLMFASHGSQIGLAKLVQGYRLSNADGHYIATKSEGKKSIKLKLNEIVLQVHWQETLRGYVAGILTTQRVLIVSADLDILAGSSAKFDKGLPSFRSLLWVGPALLFSTTTAISVLGWDGKVRTILSISMPYAVLVGALNDRLLLANPTEINPRQKKAVEIKSCLVGLLEPLLIGFATMQERFEQKLDLPEILYQITSRFDSLRITPRSLDILARGSPVCGDLSVSLSQAGPQFTQVLRGAYAIKALRFSTALSVLKDEFLRSRDYPRCPPTSHLFHRFRQLGYACIKFGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQKLEEDGTDSELRRYCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNMKAIPQWELAAEVMPYMKTDDGTIPSIIADHIGVYLGSIKGRGNIVEVREDSLVKAFTPAGGSNKPNGPQLSSVKSTSNMSKGVPGGDSLMGLETLNKQFASSTAADEQAKAEEEFKKTMYGAADGSSSDEEGTSKAKKLHIRIRDKPIASTAVDVNKIKEATKQLKLGEGLGPPMTRTKSLTIGSQDLSQMLSQPPPPANSGSMAPRVGSAPGDLFGMDSFTQPATVSQQAPNTTGKGVATGPIPEDFFQNTIPSLQVAAALPPPGTYLSKLDQASQGVESNKETLNQVNASNANVGLPDGGIPPQASQQAAVPLESYGLPDGGVPPSSSQVAVQQQSQVQSTQFPVSTQPLDLSALGVPNTADSGKPAVQPPSPPSSVRPGQVPRGAAASVCFKTGVAHLEQNQLSDALSCFDEAFLALAKDHSRGADIKAQGTICAQYKIAVTLLGEIGRLQRVQGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNYAYSKQMLELLLSKAPPSKQDELRSLVDMCVQRGLSNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALATPGCIICGMGSIKRSDALTGPGPVPSPFG >ONH95047 pep chromosome:Prunus_persica_NCBIv2:G7:8552726:8553184:-1 gene:PRUPE_7G048500 transcript:ONH95047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIISPKRLIKIASKWQKRDAVGRNKNSSPRVANNNMMNNMVSEKGTFVIYTIDESRFVLPLSYLSNCIFQELFKMSEEEFGLSTSSGPIVLPCDSFFMNYVVSRLQQGMTTDLERALQAKSLISCSCSSFTLHQGQTSNPTIPLWLLLSS >ONH95932 pep chromosome:Prunus_persica_NCBIv2:G7:12861154:12862255:1 gene:PRUPE_7G097100 transcript:ONH95932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYKDCGQHGYNKRRRRFKRCCAGLLIFNFILLVTILIVWAILQPSKPRFILQDVTVFNFNNSVPNVFSSSFQVTVSSRNPNDKIGIYYDRLNIYATYRNQQITFSTLIPPVYQGHKDVNVWSPFIYGTEVPIAPYNSLALDQDKNAGSVFLLLKMDGRVRWKVGTFISGRYHFYVRCPAFIPLGPKNNGVVVGNNAIKYQLLQHCKVSV >ONH95997 pep chromosome:Prunus_persica_NCBIv2:G7:13130999:13132873:1 gene:PRUPE_7G101200 transcript:ONH95997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKPVQVYITSVLKACRRRNYLDAVGNTSTSPAPATRTTLIMAPLSDSSMANKLYRSRGNPCLDLFYNAKRHDAYPVHSLQYLNQLLPAAWAHNPTTTIKLICNLLDHRPPRCKNYKKGFDSAAFWLHHNHPKTLACNLPSITGSFGSLETALDILSLILQRHDRRLHLSVHSEDRTTTGVPRSGQKFLAYLDRYQSDPDYRFLHDRVSDLFADCLKFDIQNFNKHHQQQQQSINDGDEGETSAECLEITSAAKLCPDFDSPFNRATLLCESIANKVFPRESYPEYQGIEEADYAYRVRQRLREEVLVPLGKALMPPNYSTLVNRWGYNPKPEPEPEPEPEPEPEPEPETYAVEKYLEKVKAAAAAGESKIKACALLPHHIARYVKKEDFGEVAELQWKAMVEHMKKQGKFKNCLAVYDNWLSLKADCGDKKVARGAALAVMISELSEEPWKGKLMNCSVNTRLHSLKGLDNLKAKLELAGRMEMEYEERGVDFEKVFDLVLEVAVKNDLKPEQMIRRVVVFSSENDFDGCWKTEYEEIQRKFKDKGYGDVVPQTVFWNLRRCSTDADVAHAQLEGLEMLSGFNDNLVRSFLDNDGKIKFGPYHVIMETAISYPRYKNLVVVD >ONH97465 pep chromosome:Prunus_persica_NCBIv2:G7:18300409:18302190:-1 gene:PRUPE_7G191400 transcript:ONH97465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIICRGKNLDKQVLGGKQLGNKIGTLMTKHSKHMKEKKQVGDIDPNFVCRFCHEQVHQEHSFNIKGCAHFYCQECIVKFIVSNLQNNVTCIMCPTPGCSGLLDPEYCRPILPNDVFDLWGTALCESLLETEHAVDSTFTCDFCVEQIELKDSFNIKGCAHFYCQECIVRFIVSKLQDNVTSVTCPNQGCPGVLDLEYCRRILPYDVFHRWGKALCESVVMEPQKKYLFCPFNACSTLLIDEEPDDTKQAQCPHCKRVFCVKCKVPWHTEFDCDMFQKLRDRGEDQQLEELAKNKNWRRCPSCKYYVERRDGCSYIKCRCGNAFCYNCGVQASLTSHTRHCPSCHK >ONH95080 pep chromosome:Prunus_persica_NCBIv2:G7:8733660:8736982:-1 gene:PRUPE_7G050400 transcript:ONH95080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWRGQQGYIYSQQHIPWTKPQNRKPPQDSWQYSVPHWEKKFCSIVGSVPWGKLIETKKYMYLYENIVRWNDSAGEEAFNNAKSRFWAEINGLHCSILLPDPDIYIDDIDWNSTIDPELVLDLEREPKPSDYKAQEEAVILGNPPLLNQSFSCTGWGDEEEEFKNKENPDNWNRGWNEDNKENPWEPVSAQSKAGVGGWDNNWENNASEWKNNTGGPNNMHYRRTDGAFWGKSDANSRKKEGGSWYNSRYKTSRFNGDYYQKDRGWRNGGRRNNRVHVGYENLT >ONH95081 pep chromosome:Prunus_persica_NCBIv2:G7:8733660:8736838:-1 gene:PRUPE_7G050400 transcript:ONH95081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWRGQQGYIYSQQHIPWTKPQNRKPPQDSWQYSVPHWEKKFCSIVGSVPWGKLIETKKYMYLYENIVRWNDSAGEEAFNNAKSRFWAEINGLHCSILLPDPDIYIDDIDWNSTIDPELVLDLEREPKPSDYKAQEEAVILGNPPLLNQSFSCTGWGDEEEEFKNKENPDNWNRGWNEDNKENPWEPVSAQSKAGVGGWDNNWENNASEWKNNTGGPNNMHYRRTDGAFWGKSDANSRKKEGGSWYNSRYKTSRFNGDYYQKDRGWRNGGRRNNRVHVGYENLT >ONH97717 pep chromosome:Prunus_persica_NCBIv2:G7:19035273:19036799:-1 gene:PRUPE_7G206500 transcript:ONH97717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFHCNLSPTIKVHLHHHHHHHHHCHLDSNKMKKRACLILVASLLSWVCVTSRPFTSSDFAHQQADQDQNPHPQEATFDPRQGVEGIEGLPGDDENEGKYRGLSRLGSRPPNCEHKCQGCVPCFPVQIPTTTDQIGLQYANYEPEGWKCKCGSTFFNP >ONH95929 pep chromosome:Prunus_persica_NCBIv2:G7:12849282:12850753:1 gene:PRUPE_7G096900 transcript:ONH95929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTETNQPRSSDQPATQSSEAHQPRAPIDPYPPPAGGTYPPSNMSYAHPQGYPTVAPPYHGYHPSAYNPYYGDPYYTTTSYNQADEAASSCFRCFCIIMFLLVIFTLLASIVMFVVVRPETPAFKVESFSVSNFNLVPGSKVLSGKWEASIYVDNPSYRMKLEIDRWETSLYHKDNYLAMGSVMHSMNLESRSKGTMHMKMETYNTTASSAVEDMEKEQKEGGNVSFNLRLTLSYDMKASGFLYNHYSNYRSGTLRVKCSDLKVPLPAGSNTGSMPSGSSKACDISDGF >ONH96756 pep chromosome:Prunus_persica_NCBIv2:G7:16171627:16173775:1 gene:PRUPE_7G150100 transcript:ONH96756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFPNPPHEPNAAEVLRNMLSFGFSLLSPNDQQDKIEQISEKLAAGVADVERLEGETEEDLRRKQFAELLNKAPVHTQVDLFREFTYKFNDEKRLCEAILLFRQLDSNEQQETIEEMLRQLVQEEPFLCCFIYILIQNKKKERKHLKLKRLSEESEEDFRLRRFIKLCSTYNGLVLNFIINEPKKCDLDALLIQASGNEEIKETKQSRYAEYYLGLSIIWFKARWASDPEGLIHLIISKVGEGDHIIEKLVNETEEDFRLRRFTESFKQLQFSSQEDVVKHIQDIVINDLGLPIPQYLSAHVTKELALELIKLKIPNLRGSGGAAATQGGGQGAITQGGQGTSQQ >ONH94848 pep chromosome:Prunus_persica_NCBIv2:G7:6506016:6520487:-1 gene:PRUPE_7G035000 transcript:ONH94848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVISIASKIAESLVTPIGREFGYLIYYDTNMKDLKDELKQLFEMKDGVQELVNAAKRNGEVINSDVQSWLTNVNKLIQKVSHFEEEVNMKRRFLYRWNISRKATKITQDVRHLQKERTFNNNVAHPAPPPMIWSTFKEGFKDFKSRMTCVNSVIEVLKNEEVRMIGICGMGGVGKTTMVKEIIKRLAGLKVFDNIVMAVVSQSPNIQKIQSEIAEELGFKYDENTESGRARRLYGTLMEINRILIVLDDVWTELDFEAIGLPSGLTHKGCKVMLTSRNLEVCNAMGSQEIFTIPVLTPEESWELFREIIGKPLDYPDLAKRVMNECAGLPIAILTVAKALENKRKYEWDDALKQLQSSAPGSISSMNDRVYSSIQWSYDRLESDEAKSCLLLCCLFPEDYDIPIEYLVRYGCGRGYFSNTDSVEEARNRVHSLVDKLQRRFLLLDSKLKDHTKMHDIVRDVAISIASRDPHRFLIRCDAEKKGWPKIYDHYTTISLIPINIDEIPVGLDCPKLELLHLEGERYSENSMDIMCKGMKKLKVLALVDVRGISALPSSLGLLKSLRTLSLNGCRYLTNISDVIGRLENLEILSFRQCSRILKLPKEIGLLKHLRLLDITDCNCLEKIPHGLLSSLSSLEELYMENSFCKWEQSAAESEDKRMASLVEVMSLSNHLKVLVIVIPDFNFFPKDCYLTIQTTIRFHISNRTFLPWITGIPSTRTGCYAFENKLDIVSSDATEFMEIQTVRLLFKKCEDLYLQEIKNLKYVLNELDQEGLQHLKVLTISGCPEIEYLVNGASWTQQTAFPLIQSIQLESMPELRAICPDQLPQSSFINLRSLELHNCPVLKYVFSLSVASNLVQLQSLLVVLCPQMKEIVSKEWREHETASDIIAFPKLIYLILQGLSNEFVGFYEANKLYSNHEVTTPENQNVVGTSYDVHQSSRSFERAVFPSKCILWLQNLEEVKLEYSDVDVFFNLKGHMVRDGQAVPAFSHLQNLFIRDSRCQHLWKNIPRGFQGFQNLRYLKIQDGGDLQYVFPHSIARLLVNLEELNIAQCWEMETIVRSADENEKEDQTSMTLFPKLNSFDLHWLRSLESLCPDASTSLCSTAKVMSVKRCDKLKTLASVIPQIKKLEKDSTAHHEDEDEDISSRSCGCTPYSCGPMTKPTSRRNIVQILPRPVNQEVAPTNLDQDSNDYDNLERLSVQSCKSLEVVFQLKGPKAVESHNVQAFNKLCYLLLNKLPSLMHVWETGGSPHITGFGNLTFLSVSHCGSLRYLFLSTVAKLLISLKDLKVGNCQKIEQVIAEADTECADQEITFRQLNSITLEDLPNLICFSIEAYTLKFPCLRELKVIRCPDLRTFASKVVNAHSVIKVQTEFGKSEWMGDLNSTIGNIHEKRETQKCRAH >ONH95335 pep chromosome:Prunus_persica_NCBIv2:G7:10332541:10335805:-1 gene:PRUPE_7G064900 transcript:ONH95335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNIQVSHEIFDEAWLTDKYINDLPSGYRFVPTNEELVEEYLKKKIKNELLPINRFREVDVYKYHPEELTGMHNLLRENEWYFFSSRERKYPNGSRPNRAADNHGYWKATGTDDKIKVNNEVIGQKRILDFYAGKHGEGVKTEWKMHEYVLSGNIAPSNGHKANGDMKLNDCVLCKIYKNKRVKKNKNKGIADSVQSAHQHDHQEYPSPLIANSNSSSSSINHHYTAAGMSSTTVNTEFGEPPYYNESFQNGAWSNYPWPITSFNNHRTLDSAYTSHGLPMNTFSSPFSTDLEPANDTALADPSTSSQIPHDHAEEDDNNSFDI >ONH94623 pep chromosome:Prunus_persica_NCBIv2:G7:3755771:3756732:1 gene:PRUPE_7G023700 transcript:ONH94623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYEENPSQIDSSHVMNPTRHRPSDGWKSNLVNGTSKSVDTKLVVTDEFQRVFKSFDANGDGKISVSELGNMLKALGSSVSADELQRVMGDLDTDRDDFTCLDEFNAFWVSGSRDGNAAELRDAFDLYDQDRNGLISANELHLVLNQLQMTCSLEDCNRMIKSGDV >ONH98460 pep chromosome:Prunus_persica_NCBIv2:G7:21151882:21157785:-1 gene:PRUPE_7G249900 transcript:ONH98460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNDWINSYLEAILDVGPGLVDDAKSSLLLRERGHFSPTRYFVEEVITGFDETDLHRSWVRAAATRSPQERNTRLENLCWRIWNLARQKKQLEGEEAQRMAKRRIERERGRREATADMSEDLSEGEKGDVVSDISAHGDNSRARLPRINSVDTMEMWISQQKGKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGTTPGVYRVDLLTRQVSSPDVDWSYGEPTEMLTPINADGFAEEMGESSGSYIIRIPFGPKDKYIPKEELWPHIPEFVDGALNHIIQMSKVLGEQIGGGKPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINTTYKIMRRIEAEELALDSSEIVITSTRQEIEEQWRLYDGFDPILERKLRARIRRNVSCYGRFMPRMVIIPPGMEFHHIVPQDGDMDGETEANEDHPTAPDPPIWSEIMRFFTNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSGTSSSVLLSVLKLIDKHDLYGQVAYPKHHKQSDVPEIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHQVLDNGLLVDPHDQQSIADALLKLVADKQLWARCRQNGLKNIHLFSWPEHCKTYLSRIASCKPRHPQWQRSEDGAETSESDSPSDSLRDIQDLSLNLKFSLDGEKSGTSVNDSSSEYEGNTADRRNKIENAVLAWSKGISRDTRKAGFSEKADHNSAGKFPVLRRRKHLIVIAVDCDTITDLIETTRKIFEATGKERSEGSVGFILSTSLTISEISSFLVSGGLSPNDFDAFICNSGSDLYYPSIHSEERPFVVDFYYHSHIEYRWGGEGLRKTLVRWAGSVNDKKTGSVEQIVTAADQLSTDYCYAFKVQKPGKVPPVKELRKLLRIQALRCHVIYCQNGTRVNVIPVLASRSQALRYLYLRWGVDLSKVVVLAGECGDTDYEGLLGGLHKSVVLKGVASNAISQLHTNRNYPLSDVLALDSPNIVQTSEGCGSDDIRVSLEKLGVVKT >ONH96490 pep chromosome:Prunus_persica_NCBIv2:G7:15110479:15111711:1 gene:PRUPE_7G132300 transcript:ONH96490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDMLKSSSSSSSAGDDHHHHMDMMTMMMQMQMHKQQSSDHFCDSYHNSSNPTFPEIDFTWGSNSNITNNIETISSLPIFHNPNAVSSQHSQPTLINPALPSSVSFMGNQIQEPLTPRLIKTSPPPTSYEKRNSMAAMREMIFRIAAMQPIQIDPESVKPPKRRNVKISKDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQTLERAGADRPIGVGFQGAGQLGNVNYSALLRPSHLVGSMQMLR >ONH95087 pep chromosome:Prunus_persica_NCBIv2:G7:8870829:8873228:-1 gene:PRUPE_7G051000 transcript:ONH95087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTYRPHKKLYLYNQYIQRTLPEKQHVTVTPLSAAALLLASQSLHCRSDSLSISLLFFFFPQFNFLTLSGMAVKARFRSSKGGAGVLERPKFDQSQFDPATQLEQGGDIGRLKDKRGIGSRDSYRVLMVDDVRHTEKLVQSRIMIRGFPKR >ONH98210 pep chromosome:Prunus_persica_NCBIv2:G7:20484249:20488007:1 gene:PRUPE_7G235700 transcript:ONH98210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTSSASVGFRCVVGFLVTFLVLSGGRVNSEPTQDKQALLAFLSQTPHENRVQWNSSVSACTWVGITCDANQSYVSALRLPGVGLVGPVPPNTLGRLSQLRVLSLRSNRLNGPIPSDFSNLTLLRSLYLQGNQFSGEFPPGLTRLVRLTRLDLSSNNFTGPIPFTVTNLTHLTGLFLENNEFSGSLPSISAGNLRSFNVSNNKLNGSIPASLSKFPDSAFTGNLNLCGKPLTACNPFFPAPAPSPSTPPVIPVHKKSKKLSTAAIVAIAVGSALALFLLLLVLLLCIRKRRRQQQAKPPKPPVATRSVAVAEAGTSSSKDDITGGSTEAERNKLVFFDGGVYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVTKREFEMQMEVLGKIKHDNVVPLRAFYFSKDEKLLVYDYMAAGSLSALLHGSRGSGRTPLDWDNRMKIALSAARGIAHLHVSGKVVHGNIKSSNILLRPEHDASVSDFGLNPLFGTSTPPNRVAGYRAPEVVETRKVTFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHNIEEEMVQLLQIAMACVSTVPDQRPAMQEVVRMIEDMNRAETDDGLRQSSDDPSKESSGHTPPAESRTPPSVTPTP >ONH94768 pep chromosome:Prunus_persica_NCBIv2:G7:5332835:5334794:1 gene:PRUPE_7G028800 transcript:ONH94768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTFPSNSKFLHFSGGDITIGRNDFSPFTSTFEAILNNTLHKLMGLIWSYLWGFHFGTYHHMCKINFSN >ONH96842 pep chromosome:Prunus_persica_NCBIv2:G7:16457678:16460176:1 gene:PRUPE_7G155500 transcript:ONH96842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLVALQRPLLHGLMKMAGVRPYTVELEPGTAMNFWVPNETLDKPKKGQKPTVKASPTKPVVVLVHGFAAEGIVTWQFQIGALSKKYSVYVPDLLFFGGSATDKADRSPTLQAECLAAGLRKLGVERCTVVGFSYGGMVGFKLAELYPDMVRALVVSGSILAMTCSVSDETMERLGCGNSSELLLPSSVKGLKNLLSIAAHKKLYFPDRLHKDFLEVMFTNRKEREELLEGLVIDTKDPTIPKFPQRIHLLWGENDQIFQRELAHNMKEQLGENATFEGIKKAGHLVHLERPCVYNRCLKRFLASLPHEDGAQK >ONH98192 pep chromosome:Prunus_persica_NCBIv2:G7:20433704:20434503:1 gene:PRUPE_7G234700 transcript:ONH98192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRHSTGNLRSCLEEQWGHLKSYRLNLMFISNTGTWLMYGFRKVIQGVICRYAKRIAHFLIKILLFPSLCIEILKCYLLSFVTVYNDFGMKVYCIMNLIGLLL >ONH96542 pep chromosome:Prunus_persica_NCBIv2:G7:15300365:15303765:1 gene:PRUPE_7G135700 transcript:ONH96542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDICFFNKETLIIKPPKKSPLLLRMTVLVFAMVFGVYICSICLKQLSIQTMTRFQSIKVIARPCHDTKLLEIPYKHYPMPETFSRAECARNPVRFFAILSMQRSGSGWFESLLNSHKNVSSNGEIFSVRSRRENISSIVQTLDKVYNLDWFSSASKNECSAATGFKWMLNQGLMEHHKEVVQYFNRRGVSAIFLFRRNLLRRMVSVLANSYDRYAKLLNGTHKSHVHSEEEADTLKKYKPTINSTKLITDLKEMELTTSKALEYFNSTRHIVLFYEDINRTKLKEVQEFLSLPQMELTSRQVKIHNGPLSDLIKNWDDVKKTLIGTPYEDFLRSDY >ONH95587 pep chromosome:Prunus_persica_NCBIv2:G7:11510952:11514008:-1 gene:PRUPE_7G079400 transcript:ONH95587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATKHHLPTINGGTLLSDLKSLFSILKTRRTVAFVYGFMFAFVAFTVFLAFSPSPNSGAPWFSNIFTSSSTSSTSSSFFSFLFPNVTSSPQQQNQTVAFAPQTEPSRSISTAAAQAPSVNKQPPLVKNQTQPTILNPNQTSTALPKQPLVTPKNTTSKPEPTTLVKNQTESTQNSDKAAVFKANQTSIAAPKTPVAAAGNKSSNSAPQSGSPEKSVAQKGVESNYTASVLKKQSNETNSGVSVKKGKQGNDDLVKSLMNCDILHGEWVKDDSYPLYKPGSCPLIDEQFNCILNGRPDKDFQKFKWKPKDCTLPRLDGSHMLELLRGKRFVFVGDSLNRNMWESLVCILRNSAKDKSKVFEANGRTHFRGEASYSFIFKDYNCTVEFFVSPFLVREWEMPEKDGSKRETLRLDLVGRSSDLYKDADIVIFNTGHWWTHEKTSKGKDYYQEGSHVYGELNVLEAFRKALTTWARWVDAKINPRKSIVFFRGYSASHFSGGQWNSGGQCDSETLPISNETYLRPYPPKMLVLEKVLRNMKTHVTYLNITRMTDFRKDGHPSIYRKQHLSDAERRSPKSFQDCSHWCLPGVPDAWNEVLYAELLVKLYQNQQQQLQLQQQKRA >ONH94453 pep chromosome:Prunus_persica_NCBIv2:G7:2567715:2569621:1 gene:PRUPE_7G017500 transcript:ONH94453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPIFSENWRKPITILISALSFVVFLILDFLDNFLCILYRYIDELFEGQASSCYCASKEEQVRNVGGHGEGELSETLYQRKNAFREMGFLGFAKKSRKIGGGAVVNRWSDCGCESCLSWMNDCDQKLHFVVREPSQAITDQDCRGKPVENVIFLHGFLSSSSFWTETVFPNLSERVNRNYRLFAIDLLGFGRSPKPRDCMYTLKDHLEMIEKSVICPFQLDSFHLVAHSMGCLIAIALAAKNSKLIKSITLVAPPYIPSKDGDSLRVLEKLAGRKLWPPFLFGTSFMSWYEHLGRCVCFLVCRNHRMWERIVKLLTRRRDLHFMIMDLTRHTHHSAWHTMHNVICGGAKLMDGYLQVLSKAGVKVVVIHGDKDNVVPLECSNNIQMVVPNAEVTIIKNADHSSVLLGRERDFTRRLERIWESIAC >ONH98311 pep chromosome:Prunus_persica_NCBIv2:G7:20778960:20781922:1 gene:PRUPE_7G242200 transcript:ONH98311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNKPQKKKNWFLPLVLSLLLSTLLIFITVFTSSRSSSSRLYKTRVKNELPHFVESKLKVSATSKDPVPRLAYLISGSMGDGESLKRTLKALYHPRNQYAVHLDLEASAEERMDLAKFVKNEPLFTKLGNVRAVVRANLVTYRGPTMVTNTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLHVLSSIPRNLNFIEHTSDIGWKENQRAKPVIIDPGLYSLQKSDVFWVSEKRSVPTAYKLFTGSAWMMLSRPFIEYILWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAEEFRNTTVNHDLHFISWDTPPKQHPHFLVSDDFQRMVDSNAPFARKFGRNESVLDKIDSELLGCNADGFVPGGWFGTQGNANVTVPDYNLKNITTFRPGPGAERLKRLITGLISAEDFHAKQCT >ONH98312 pep chromosome:Prunus_persica_NCBIv2:G7:20778960:20786302:1 gene:PRUPE_7G242200 transcript:ONH98312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNKPQKKKNWFLPLVLSLLLSTLLIFITVFTSSRSSSSRLYKTRVKNELPHFVESKLKVSATSKDPVPRLAYLISGSMGDGESLKRTLKALYHPRNQYAVHLDLEASAEERMDLAKFVKNEPLFTKLGNVRAVVRANLVTYRGPTMVTNTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLHVLSSIPRNLNFIEHTSDIGWKENQRAKPVIIDPGLYSLQKSDVFWVSEKRSVPTAYKLFTGSAWMMLSRPFIEYILWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAEEFRNTTVNHDLHFISWDTPPKQHPHFLVSDDFQRMVDSNAPFARKFGRNESVLDKIDSELLGCNADGFVPGGWFGTQGNANVTVPDYNLKNITTFRPGPGAERLKRLITGLISAEDFHAKQCT >ONH98313 pep chromosome:Prunus_persica_NCBIv2:G7:20778960:20781922:1 gene:PRUPE_7G242200 transcript:ONH98313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNKPQKKKNWFLPLVLSLLLSTLLIFITVFTSSRSSSSRLYKTRVKNELPHFVESKLKVSATSKDPVPRLAYLISGSMGDGESLKRTLKALYHPRNQYAVHLDLEASAEERMDLAKFVKNEPLFTKLGNVRAVVRANLVTYRGPTMVTNTLHAAAILLKEGGDWDWFINLSASDYPLVTQDGSAWMMLSRPFIEYILWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAEEFRNTTVNHDLHFISWDTPPKQHPHFLVSDDFQRMVDSNAPFARKFGRNESVLDKIDSELLGCNADGFVPGGWFGTQGNANVTVPDYNLKNITTFRPGPGAERLKRLITGLISAEDFHAKQCT >ONH95111 pep chromosome:Prunus_persica_NCBIv2:G7:9106721:9110580:1 gene:PRUPE_7G052800 transcript:ONH95111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCSNFFLLFLAASLIFLPHQSFFTICRAVLDQPDDEVGDEIKFTYIEGTGKGPKKWGQIDPHWKVCDNGKLQSPIDLLDQRVQVFPNLGKLKRDYKPAPATVKNRGHDVTVRWKGDAGKININGTDFGLLQCHWHSPSEHTFNGSRYALELHVIHLSSTHKIAVIGIVYKYGRPDPFLSKLLHHIKSVGTKEVDIGMINSGDIKFGSRKYYRYIGSLTVPPCTEGVIWTIVKKVRTVSREQVRALREAVHDGFEANARPTQQLDGRPVLLYTPRDNGGSA >ONH97648 pep chromosome:Prunus_persica_NCBIv2:G7:18875767:18879196:1 gene:PRUPE_7G203500 transcript:ONH97648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVIEWLHTRRLHDSLIELSMPTQNLDKKFFSQSSVQSMPLYTTNCMSWNPIEREIPQSSSKDASFKVEFTPQVHHDAKHLGLQLLPDQGSSSTTQTISQSQDRCNSSESGEDEICGKGVEGQMKPVYLLNNLDLMSNPSQVGYGNLMGRVPYPYADPYFSGFLAAYGPQAMPQMMAPTRVPLPLDLAEDGPIYVNAKQYHGILRRRQSRAKLEAQNKLLKARKPYLHESRHLHALNRVRGSGGRFLSTKRLQQSDQNPSSSTHDVPDSINLHQKDTQDTESHHLGSSEFVTAVATHSDIASVSHTNDIFRQQDRRFSGIPSHMGGAMQFRGGLMRAGTQHCASVVR >ONH97121 pep chromosome:Prunus_persica_NCBIv2:G7:17279293:17281509:-1 gene:PRUPE_7G171100 transcript:ONH97121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVVNKEYLNEIEKARRDLRALIYSKNCAPLMLRLAWHDAATYDAKPKNGVAGGPNGSIRNEEELNRSANFGLNIAVNLCEEVKAKHPKITYADLYQLAGVVAVEITGGPSINFVPGRKDSTQSPADGRVPDAKLGASHLREVFYRMGLSDKDIVVLSGGHTLGRAHQNRSGFDGPWTKEPEKFDNSYFVELLKGESEGLLKLPTDKVLVEDPVFRRYVELYAKDNEAFFRDYAVSHKKLSELGFTSPSLGPKLVVTLSIAAAIVAALVYDKCQGFIQDMKTLN >ONH97122 pep chromosome:Prunus_persica_NCBIv2:G7:17279293:17281491:-1 gene:PRUPE_7G171100 transcript:ONH97122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVVNKEYLNEIEKARRDLRALIYSKNCAPLMLRLAWHDAATYDAKPKNGVAGGPNGSIRNEEELNRSANFGLNIAVNLCEEVKAKHPKITYADLYQLAGVVAVEITGGPSINFVPGRKDSTQSPADGRVPDAKLGASHLREVFYRMGLSDKDIVVLSGGHTLGRAHQNRSGFDGPWTKEPEKFDNSYFVELLKGESEGLLKLPTDKVLVEDPVFRRYVELYAKCSMLTRRKKIGITSQAVVVDNFTIFTSGN >ONH94978 pep chromosome:Prunus_persica_NCBIv2:G7:7995770:8002446:1 gene:PRUPE_7G043600 transcript:ONH94978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDAEANGDANGEILGPCLTGYVDDGSPESHRYYLSRRTVLEMIRDRGYSVPSSEIDLSLQDFRALHGQNPDVERLRFSATHRTDPSDRIANKDTLNGLILITQNQITSQALKTMELYPFKTEIFQITDLLVNITKHVLKPKHHVLTEQEKQKLLKKYNIEENQLPRLSRKDAIAQYYGLEKGQVVKVTYTGDITESHVTYRCIW >ONH94977 pep chromosome:Prunus_persica_NCBIv2:G7:7995690:8002573:1 gene:PRUPE_7G043600 transcript:ONH94977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDAEANGDANGEILGPCLTGYVDDGSPESHRYYLSRRTVLEMIRDRGYSVPSSEIDLSLQDFRALHGQNPDVERLRFSATHRTDPSDRIMVIYCGPGIVKVNVIRGLHSQIANKDTLNGLILITQNQITSQALKTMELYPFKTEIFQITDLLVNITKHVLKPKHHVLTEQEKQKLLKKYNIEENQLPRLSRKDAIAQYYGLEKGQVVKVTYTGDITESHVTYRCIW >ONH94979 pep chromosome:Prunus_persica_NCBIv2:G7:7995689:8002573:1 gene:PRUPE_7G043600 transcript:ONH94979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDAEANGDANGEILGPCLTGYVDDGSPESHRYYLSRRTVLEMIRDRGYSVPSSEIDLSLQDFRALHGQNPDVERLRFSATHRTDPSDRITDLLVNITKHVLKPKHHVLTEQEKQKLLKKYNIEENQLPRLSRKDAIAQYYGLEKGQVVKVTYTGDITESHVTYRCIW >ONH97051 pep chromosome:Prunus_persica_NCBIv2:G7:17045107:17046223:1 gene:PRUPE_7G166900 transcript:ONH97051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEIPMSDSEHFDYAQGRRKRLVPEKLHKLTNIFHANSAFFTHVCWDFSSSYSSSLKCFVARKHTEGCAGL >ONH98213 pep chromosome:Prunus_persica_NCBIv2:G7:20503878:20506977:-1 gene:PRUPE_7G236000 transcript:ONH98213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDDESSVYVGGLPYDATEDRVRRVFELYGSVIAVKIINDASTRGKCYGFVTFRNPRSAIHAINEMDGRTVDGRVIRVNEVRTRGGRLGFGRDREGFGRNVERGRNWDRDRDHEREYDHDRDRYKDRYSDRSREHSDRSRERDRGRSLGVDQERDRGYDRERDYDFLDRDRHQDRDLEDAEQGQSRNHDQGWERDRALDSDRDREMEKNKNHTTIAAKDRDQHSRRRNSSNSVDRQSRDLLSHPSDDYDDQVKEQLERSMRRLEEIKKETIQMEESLKEKGKLVLDLQKKSKKLEDALINAKKNSSHNKMKLTKLHKSFMQVKDYTEKLKSCEHELQSLVDTEMLEDEVDLRDGILTIGNT >ONH98214 pep chromosome:Prunus_persica_NCBIv2:G7:20504355:20506918:-1 gene:PRUPE_7G236000 transcript:ONH98214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDDESSVYVGGLPYDATEDRVRRVFELYGSVIAVKIINDASTRGKCYGFVTFRNPRSAIHAINEMDGRTVDGRVIRVNEVRTRGGRLGFGRDREGFGRNVERGRNWDRDRDHEREYDHDRDRYKDRYSDRSREHSDRSRERDRGRSLGVDQERDRGYDRERDYDFLDRDRHQDRDLEDAEQGQSRNHDQGWERDRALDSDRDREMEKNKNHTTIAAKDRDQHSRRRNSSNSVDRQSRDLLSHPSDDYDDQVKEQLERSMRRLEEIKKETIQMEESLKEKGKLVLDLQKKSKKLEDALINAKKNSSHNKMKLTKLHKSFMQVKDYTEKLKSCEHELQLGQFMLLSNGKPKVWSSKISNPLLMLCI >ONH95667 pep chromosome:Prunus_persica_NCBIv2:G7:11933283:11933552:1 gene:PRUPE_7G083800 transcript:ONH95667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYKQIATKAFADIQIGHSDFLIKDNRNLIIRSNGETSTKDKDCEGNHPISNTTSLVGSTGLMPTHCLVSFNTKTSKLIQDIHSYNTKT >ONH94402 pep chromosome:Prunus_persica_NCBIv2:G7:2123314:2123750:1 gene:PRUPE_7G014500 transcript:ONH94402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPKLHPSPLPNQARNKREESSAKQLKTEVHSSERMVGVSLFFFFSNFTFRGLNDKAWSLKNLSFKVYSILSNIDRMLLITH >ONH95309 pep chromosome:Prunus_persica_NCBIv2:G7:10175917:10178295:1 gene:PRUPE_7G062900 transcript:ONH95309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVFPFATTLVEKLGSIVSDEISSAWGVQADLQKLERTVSIIKDVLLDAEQKQARNKQIRSWLKQLKDVFLDAENLLDEFECEALRREVVETFHGTPGKVRRFLSPSNPIAFRVRVGNEIKDISERLDELKSNCAIFDSLTILPHGYGGDDHERPFVPASKVIGRQYEKKQIVNLLMQQVGIGGLGKTTFAKWVYDDKTVVGHFDLRMWASVPVDFELTRLTRLILGSALDTKISDKLAMDQLQGRLREALKDKKFLLVLDDVWNGDALKWSQLRDLVIEGAKQEDSLSLFVECAFKEGHDKQYPSLFKMGEDIVRKCGGVPLAVKTLGSQLYSKTDEREWKLVRDSEIWKLKQEDDGHILPALRLSYTRMPPHLRQCLFYCSHLQKVQWHGIGFSSNTLIRYWMAHGILDQSHVHGNMELEDIGELYFKDLWARSFFSKLAQGECFMVKSTNTKDIPENVSHLTFLEAGQNVSTTLPKLNKVRTVEVEETEIDESRILHMHFLFKIQSLQTLNLCFCENLQELPRNIRKLISLTSLWLTTKQTSFTENGVGCLKSLRFLTIINCSNLTSLPREMSYFASLRTLQIAKCEQLNLGDVNYQGTPLRLQKLLISEVPRMVALPEWFQEAANTLQVLVIRMCENLEELPEWLTSFTSLRNYRLCQRGCVLFPP >ONH94291 pep chromosome:Prunus_persica_NCBIv2:G7:1182371:1187678:-1 gene:PRUPE_7G008600 transcript:ONH94291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVCKPSGNRHIKLFKFGAHWIKEEESYQIVNRCWQSQVGGSWIKRWHKKLRMCKGQLQGWSRSKFKNNRHEVEVKEAVFQMGGMKAPGPNGFQGMFYQTYWDTILAEVQGTMKDFFEIQDNIILAHEVFHYLKLRKSKKVFEMGVKLDMNKAYDCVEWDFLEAMMIRMGFTVRWVELIMSCIRTGDPLSPYLFLLVSEVLSLLVLKACESGFLQGIKLRRSGPTLSHLMFTDDTLIFLRATEQNYTNMVQLLNAYCRASGQQISLAKSTIFFSPNTPSNLGHQICHILAMPRREALLYIKEKIMSKIQGWKQQLLLQACGEVLLKAMVQAVLAYPMHIFVFPSKICKEIDLLMNSLSLSKQSGGMGFRDLHEFNLALIAKQYWRLITEPDSLWAKTLKDLYFPNENFLQARKGSRASWAWASLLQGGDILLQGAHWQVLDGSQVRLWVDNWIPGFEGGRLQPATNGMVDLEQTINSIINFDTCNWDLNPIRHLIDDRAAEAIAKIKFASPRNKDRLVWLFEKNGCYSVKSGYHWLHSSSTSRQQNRPSSSRDVDVACWKFVWRITAPPKICNFIWRAVRNGIATKENLFRRKMSTSLICSICGEFVESVEHLLLLCPWVEPVWFGGQLNIKIDKGAITSACRRTSSLNAIQHMSYSVEVFTHMSNWNS >ONH97205 pep chromosome:Prunus_persica_NCBIv2:G7:17487979:17490015:-1 gene:PRUPE_7G175800 transcript:ONH97205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYSNYSGVLPVNLLPDEASPRWNNKGDNGWQLIATTMVGMQSVPGLVILYGSMVKKKWAVNSAFMALYAFAAVLICWVLWAHNMSFGTRFCPILGKPAFALTRRFLLHEYGGFYVPMADYVYYEFVFAAITVILLGGSLLGRMNFYAWMLFVPMWLTLSYTVGAFSIWGKGFLENYIIDYAGGYVIHLSSGVAGFTAAYWVGPRQSHDRQHFPPNNIIHMLGGAGFLWLGWTGFNGGSPFAANLIASLAIINTHICTATSLLVWVSLDMVVYKKSSVIGAVQGMITGLVCITPGAGIVEPWAAIIMGAMSGSIPWYTMMVLHRRSALFQSVDDTLGAFHTHAVAGLLGGLLSGFFARANLLRMMYPTSHYGPGLMYSILDRKPKDGLIQMGHQIIGAVFIIVWNVVVTSLICILISRIVDLRMKEEDLDIGDDAAHGEEAYALWGDGEKMPIRWQMPPRIPSMCRRLN >ONH94901 pep chromosome:Prunus_persica_NCBIv2:G7:7148721:7149860:-1 gene:PRUPE_7G038400 transcript:ONH94901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKVSTLILASGPWDVPKVYSLFTFMEAETILSISLVCDNVDCRIWHFTTHGRYTNFALSHTGIGTSSNPSKKLWKHLWKMKVPAKIQHFFWRFAQNGILTKVVLFYRKIAMDETCFRCSTGCETSVYAVGYFKLNVNGALDLQDGFRGVRLIVRDSHGVLIGAVVMRAPSLLFVFATELYALKVGLSFALDVSLLPLVVESDSLAAVQLLSKEEEYLAPEGVLVTEIRLPFLALSSCVRFVPHTANTVAYRIAHYSL >ONH94992 pep chromosome:Prunus_persica_NCBIv2:G7:8103732:8104899:1 gene:PRUPE_7G044700 transcript:ONH94992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNSLIGSLPYEVGDLVNLAELDVSGNKLSGDTPMTRSKCTSLLPEFLGKFRVRKLLNLSRNDFEGEMPREGIFTNASGVSIFGNVKPHSSQRPFSQRVVIVIACAFAFIIAMSCSIAIYSKVKMSRGSFGSLYKGVIPRDGTIVSVKVLNLQQQGSFKSFIDERKALRSIRHRNLLKILTACSGIDNLGNEFRSLVFKYMENGSLDSWLYPRDEEQWKIKTLGVVQRMNIAIDVASALDYLHHHCEGAIVHCDLKPSNVLLDEDMVAHVGDFGVARFLLETSNDPSQSQTICQLG >ONH96943 pep chromosome:Prunus_persica_NCBIv2:G7:16738946:16740696:1 gene:PRUPE_7G161100 transcript:ONH96943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFGSTPEPTKTDEYGNPVHHTTTGTGRTDEFGNPVQHGVADTGYGTGAGYGTHTKPGVVEHHGVPAVLHSKDDQYSRDTQTTTGGYGGDGYTGGEHQEKKGLLGQLQDKLPGGNKDGQYSHDTQTTTGAYGGAGYTGGEHHEKKGIIGQVKDKLPGGQKDDQYCRDTHPTTGAYGGAGYTGGEDHEKKGIIGQVKDKLPGGQKDDHYSHDTHPTTGAYGGAGYTGDDTREKKGIIDQVKDKLPGGQKDDHYSHETHPTTGAYGGAGYTGDDTREKKGVVEKVKEKLPGGQNVHPTTGPYGGGGAAGIGETRERKGVGEKVKEKLPGGHKDDQYLHDTHPTKPTSAAHGGVGHTGGEPQLHEKKGLIEKIKDKLPGHNN >ONH95716 pep chromosome:Prunus_persica_NCBIv2:G7:12145202:12150292:1 gene:PRUPE_7G087100 transcript:ONH95716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNIVSEAGLQTRLGQWWESIPFLTSAVVGVCGTVYLICLLVGYDSFYEICFLPSAVLSRFQVYRIFTSIIFHGSVLHVLFNMMALVPLGSELERIMGSVRMLYIIFLLAISNALFHLTIALLVAYNPIHSDPYLMNECAIGFSGILFSMIVIETSLSGHQSRSVFGLFNVPAKWYVWILLVVFQVVMTNVSLLGHLCGILSGFAYTYGLFNFLIPGPSFYSTIEATSLLSTCVRRPKFILCTGGNPSAFIPTYSSQGTASSGFSAGSVWRNLSSWIPQRETSAQSAQDGHMFPGRGRTLGSGRNTASDANSESNLQVRLLDNSNSEHPSDMAVIGTGQQLSDGRRSTLNNTSVAAAEVPVHHQDYVISEEEIQKLVSMGFERTQVEVALAAADGDLNVAVEILSQQG >ONH95413 pep chromosome:Prunus_persica_NCBIv2:G7:10702967:10706929:1 gene:PRUPE_7G068900 transcript:ONH95413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQTPDRPILCINNCGFFGRAATMNMCSKCYKDTLLKQEQANFAASSIDSIVNGSSSSIGIGPVVAGVVDVQAGQVETRVVSTEPSIDSSSSMVFEVKEKEGPSRCTTCRKRVGLTGFNCKCGNTFCASHRYSDKHDCPFDYRTAGQDAIAKANPVVKAEKLDKI >ONH95414 pep chromosome:Prunus_persica_NCBIv2:G7:10702967:10706929:1 gene:PRUPE_7G068900 transcript:ONH95414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHDETGCQTPDRPILCINNCGFFGRAATMNMCSKCYKDTLLKQEQANFAASSIDSIVNGSSSSIGIGPVVAGVVDVQAGQVETRVVSTEPSIDSSSSMVFEVKEKEGPSRCTTCRKRVGLTGFNCKCGNTFCASHRYSDKHDCPFDYRTAGQDAIAKANPVVKAEKLDKI >ONH94763 pep chromosome:Prunus_persica_NCBIv2:G7:5277134:5277445:-1 gene:PRUPE_7G028400 transcript:ONH94763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONH96510 pep chromosome:Prunus_persica_NCBIv2:G7:15202053:15205291:1 gene:PRUPE_7G133800 transcript:ONH96510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFLLYYPFLFALLSFIFLLAKQLRSRKPTPILPPGSMGWPYLGETLQLYSQNPNVFFATRQNRYGEVFKTHILGSPCVMLSSPEAVRFVLVTQAHLFKPTYPQSKEKLIGPSALFFHQNDYHAQIRRLVQASLSLDVTRNLVPDIEAIAISLLDSWSGKVVNTFYELKKARRRLSLIVSEIIKEREEKSLLQRDLLGSLLNFKDEKGQTLTHNQIVDNIIGVMFAAQDTTASLLTWMIKYIHDDSNTREAIQIEQKAIFESNEGGNHMLSWAQTRNMPLTSRAIKESLRMASIISFTFREAVEDVKYKGYLIPKGWKVLPLFRNIHHNPDFFVDPHKFNPSRFELGIKPNTYMPFGNGLHTCPGNEVAKLQTLIFIHHLVNKFRWEVLGSGGVHYDPFPIPQRGLPAKFWKETCTQGRTSKLASREESKLK >ONH96081 pep chromosome:Prunus_persica_NCBIv2:G7:13523651:13524993:-1 gene:PRUPE_7G106400 transcript:ONH96081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNITIESASLESFKLWSSNLFHLNIFGEKLKDIHIVWRKASSSSNTKSFNMFAPNLRSLKWEGNFMSSQHLGKLTSLEKAGIFMDSELNDCDNIFKVICSMCRGKVSLNQWTVKALVRGGSMPAAPLVDICNLSLHIRNLNDDLIPAVVFLLGGMPNLNTLHIKCTFSSGVANYLCSGIDMEYWKLQGLAFIYQLKEATLELSNGFNGIEFARCILEHAPDLENMVIFHLQKYSDDVWELKDNVISNATVVSQVRKPEKKSWSDFV >ONH98328 pep chromosome:Prunus_persica_NCBIv2:G7:20838889:20840255:-1 gene:PRUPE_7G243400 transcript:ONH98328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWKESGSSKSIYKQSSGHVLVKSEEAITTDMKATGSFFLVILVMILPVQGQLKTLFYSSSCPKAEATIRSTVESYFNKDPTIAAGLLRLHFHDCFVQGCDGSVLIKGSSAERNALPNLGLRGFEVIDDAKAQLEALCPGVVSCADILALAARDAVDLSDGPSWSVPTGRRDGRVSLSSQASNLPSPLDSVAAQRQKFAAKGLDDHDLVTLVGAHTIGQTDCTFVRYRLYNFTATGNSDPTINQAFLAQLQALCPKDGDGSKRVALDIGSQTNFDVSFFKNVRDGNAVLESDQRLWGDASTRNIMQNYAGNIRGLLGFRFDFEFPKAMIKMSGIEVKTGAQGEIRKVCSKFN >ONH98327 pep chromosome:Prunus_persica_NCBIv2:G7:20838561:20840318:-1 gene:PRUPE_7G243400 transcript:ONH98327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWKESGSSKSIYKQSSGHVLVKSEEAITTDMKATGSFFLVILVMILPVQGQLKTLFYSSSCPKAEATIRSTVESYFNKDPTIAAGLLRLHFHDCFVQGSSAERNALPNLGLRGFEVIDDAKAQLEALCPGVVSCADILALAARDAVDLSDGPSWSVPTGRRDGRVSLSSQASNLPSPLDSVAAQRQKFAAKGLDDHDLVTLVGAHTIGQTDCTFVRYRLYNFTATGNSDPTINQAFLAQLQALCPKDGDGSKRVALDIGSQTNFDVSFFKNVRDGNAVLESDQRLWGDASTRNIMQNYAGNIRGLLGFRFDFEFPKAMIKMSGIEVKTGAQGEIRKVCSKFN >ONH95125 pep chromosome:Prunus_persica_NCBIv2:G7:9161804:9173316:1 gene:PRUPE_7G053300 transcript:ONH95125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRELNSVKKRRRSQNSDYEDEDSYYRTHITEERYRSMLGEHIQKYKRRFKDSSSSPAPTQMGIPVPKGNKGLKSRKLANEQRGGFYDMETTSEWLNDSNTQKPGNHHDADFAPQSGTNRITYEPPYLDIGDGITYKIPPIYDKLVTSLHLPSFSDFRVEEVYLKGTLDLGSLAEMMASDKRLGPKNRAGMGEPQPQYESLQDRLKASSTSNSAQKFSLKVSDIGLNSSIPEGAAGNIKRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKQKLKKDPSVIEREEMEKIGKVWVNIVRRDMPKHHRIFTTFHRKQLIDAKRVSENCQREVKMKVSRSLKLMRGAAIRTRKLARDMLLFWKRIDKEMAEVRKKEEKEAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKPSSQPSEDLAVGDEKQNDKEASLSSSDDEAIEEEDPEDAELKKEAFKAAQDAVLKQKNLTSKFDNEYMKLCEDAEPEAAQEVAGASSIDLHNPSTMPVTSTVQTPELFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGLQERTVLRKKITAKKLYRRDAGFHILITSYQLLVADEKYFRRVKWQYMVLDEAQAIKSSNSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHSILKPFMLRRVKTDVISELTQKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGHLNEKKILNLMNIVIQLRKVCNHPELFERSEGSTYLYFGEIPNSLLAPPFGELEDVHYSGGQNPITYPIPKLFYQEILQSSEIFCSAVRHGVYRESFEKYFNIFSPENVHRSIFLQENSSDELSINSGTFGFTHLIELSPAEVAFLGTGSFMERLMFSIMRWDRQFLDGTVDSLVETMKDDFECSYLDSGKVGAVTRMLLMPSRSVTNVLQNKLATGPGDAPFEALVVLHRDRLLSNTRLLHSTYTFIPRARAPPVNAHCSDRNFTYKMVEEQQYPWVKRLFTGFARTSDFNGPRKPESPHHLIQEIDSELPVSCPALQLTYRIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRADNHRVLLFAQMTKMLNILEDYMNYRKYKYLRLDGSSTIMDRRDMVRDFQQRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTRDVTVYRLICKETVEEKILQRASQKNTVQQLVMMGGHVQGDLLAPEDVVSLLLDDAQLEQKLREIPLQTKDKQKKKQTKGIRVDAEGDASLEDLTNPASAPQGTGHEDSPDVEKSKSNNKKRKAASDKQTLRPKNPKSMGGSDSYELDDPLQTTDPQAVKAKRPKRSKKSVNENLEPAFTATLPPVPEQTQYPPPH >ONH95124 pep chromosome:Prunus_persica_NCBIv2:G7:9161804:9173316:1 gene:PRUPE_7G053300 transcript:ONH95124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRRQSKDSLSYSNLFNLESLMNFQVPQPDDDFDYYGNSSQDESRGSQGGATGNGLMPDRELNSVKKRRRSQNSDYEDEDSYYRTHITEERYRSMLGEHIQKYKRRFKDSSSSPAPTQMGIPVPKGNKGLKSRKLANEQRGGFYDMETTSEWLNDSNTQKPGNHHDADFAPQSGTNRITYEPPYLDIGDGITYKIPPIYDKLVTSLHLPSFSDFRVEEVYLKGTLDLGSLAEMMASDKRLGPKNRAGMGEPQPQYESLQDRLKASSTSNSAQKFSLKVSDIGLNSSIPEGAAGNIKRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKQKLKKDPSVIEREEMEKIGKVWVNIVRRDMPKHHRIFTTFHRKQLIDAKRVSENCQREVKMKVSRSLKLMRGAAIRTRKLARDMLLFWKRIDKEMAEVRKKEEKEAAEALRREQELREAKRQQQRLNFLIQQTELYSHFMQNKPSSQPSEDLAVGDEKQNDKEASLSSSDDEAIEEEDPEDAELKKEAFKAAQDAVLKQKNLTSKFDNEYMKLCEDAEPEAAQEVAGASSIDLHNPSTMPVTSTVQTPELFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGLQERTVLRKKITAKKLYRRDAGFHILITSYQLLVADEKYFRRVKWQYMVLDEAQAIKSSNSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHSILKPFMLRRVKTDVISELTQKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGHLNEKKILNLMNIVIQLRKVCNHPELFERSEGSTYLYFGEIPNSLLAPPFGELEDVHYSGGQNPITYPIPKLFYQEILQSSEIFCSAVRHGVYRESFEKYFNIFSPENVHRSIFLQENSSDELSINSGTFGFTHLIELSPAEVAFLGTGSFMERLMFSIMRWDRQFLDGTVDSLVETMKDDFECSYLDSGKVGAVTRMLLMPSRSVTNVLQNKLATGPGDAPFEALVVLHRDRLLSNTRLLHSTYTFIPRARAPPVNAHCSDRNFTYKMVEEQQYPWVKRLFTGFARTSDFNGPRKPESPHHLIQEIDSELPVSCPALQLTYRIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRADNHRVLLFAQMTKMLNILEDYMNYRKYKYLRLDGSSTIMDRRDMVRDFQQRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTRDVTVYRLICKETVEEKILQRASQKNTVQQLVMMGGHVQGDLLAPEDVVSLLLDDAQLEQKLREIPLQTKDKQKKKQTKGIRVDAEGDASLEDLTNPASAPQGTGHEDSPDVEKSKSNNKKRKAASDKQTLRPKNPKSMGGSDSYELDDPLQTTDPQAVKAKRPKRSKKSVNENLEPAFTATLPPVPEQTQYPPPH >ONH96367 pep chromosome:Prunus_persica_NCBIv2:G7:14627231:14629511:1 gene:PRUPE_7G123900 transcript:ONH96367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKTTLFFWFSAFVIALVSTIISLHHIPSSLSSTSTIAKSAQNSFKLAILDGVFKKSGESLLSTLRSIVSRHHHHHHHRRRRSPKCANTKWKFRLVSEYNVSLVLTVGLKGCTNFSSVQKAVDAAPELSNYRTLIIIDSGTYREKVTVAANKTNLIFQGQGYLNTIIAWNDTANSTGGTARSSSVAIFAPYFTAYNISFQNTAPPPGPGRIGAQAVALRIAGDQAAFYGCGFYGAQDTLNDDRGRHYFSECFIQGSIDFIFGKAKSLYEGCTINSIANAVKRGISGAVTAQGRQSAQEQSGFSFVNCSIGGSGKVWLGRAWGAYATVVFSKTYMSDVVASDGWNDWRDPSRDQSVDSVVWGVRLYGIGLKLYA >ONH96369 pep chromosome:Prunus_persica_NCBIv2:G7:14627231:14629511:1 gene:PRUPE_7G123900 transcript:ONH96369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKTTLFFWFSAFVIALVSTIISLHHIPSSLSSTSTIAKSAQNSFKLAILDGVFKKSGESLLSTLRSIVSRHHHHHHHRRRRSPKCANTKWKFRLVSEYNVSLVLTVGLKGCTNFSSVQKAVDAAPELSNYRTLIIIDSGTYREKVTVAANKTNLIFQGQGYLNTIIAWNDTANSTGGTARSSSVAIFAPYFTAYNISFQNTAPPPGPGRIGAQAVALRIAGDQAAFYGCGFYGAQDTLNDDRGRHYFSECFIQGSIDFIFGKAKSLYEGRLRYEQIKHHDNEIWCL >ONH96368 pep chromosome:Prunus_persica_NCBIv2:G7:14627397:14628562:1 gene:PRUPE_7G123900 transcript:ONH96368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKTTLFFWFSAFVIALVSTIISLHHIPSSLSSTSTIAKSAQNSFKLAILDGVFKKSGESLLSTLRSIVSRHHHHHHHRRRRSPKCANTKWKFRLVSEYNVSLVLTVGLKGCTNFSSVQKAVDAAPELSNYRTLIIIDSGTYREKVTVAANKTNLIFQGQGYLNTIIAWNDTANSTGGTARSSSVAIFAPYFTAYNISFQNTAPPPGPGRIGAQAVALRIAGDQAAFYGCGFYGAQDTLNDDRGRHYFSECFIQGSIDFIFGKAKSLYEGRLRYEQIKHHDNEIWCL >ONH96366 pep chromosome:Prunus_persica_NCBIv2:G7:14627231:14629511:1 gene:PRUPE_7G123900 transcript:ONH96366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKTTLFFWFSAFVIALVSTIISLHHIPSSLSSTSTIAKSAQNSFKLAILDGVFKKSGESLLSTLRSIVSRHHHHHHHRRRRSPKCANTKWKFRLVSEYNVSLVLTVGLKGCTNFSSVQKAVDAAPELSNYRTLIIIDSGTYREKVTVAANKTNLIFQGQGYLNTIIAWNDTANSTGGTARSSSVAIFAPYFTAYNISFQNTAPPPGPGRIGAQAVALRIAGDQAAFYGCGFYGAQDTLNDDRGRHYFSECFIQGSIDFIFGKAKSLYEGCTINSIANAVKRGISGAVTAQGRQSAQEQSGFSFVNCSIGGSGKVWLGRAWGAYATVVFSKTYMSDVVASDGWNDWRDPSRDQTVLFGEYDCMGSGSNYTHRTSYARQLNESEVAPFLDISYVDGNEWLLHPPPFNLHDDHYKHNDQLTQTF >ONH98563 pep chromosome:Prunus_persica_NCBIv2:G7:21408695:21411189:1 gene:PRUPE_7G254700 transcript:ONH98563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHHHHHQQQQTPPEMAFHSFASDQPPLSGAPTWLNNAAFRQQNSFLHDARNDDVVISPSGKSSNCSGRNRREISGYDGEEEEEDELECESARFKADLVGHPLYEQLVSAHVSCLRIATPVDQLPRIDEQLVQSQRVVDKYSALRANGDVQVMDEKELDLFMTNYVLLLCSFKEQLQQHVRVHAMEAVMACWELDQSLQSLTGVSTGEGTGATMSDDDDQVDSDINSYDGSLDGPDTMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKSWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNISSTSVLKSKRKSNAGDISSQRLK >ONH98564 pep chromosome:Prunus_persica_NCBIv2:G7:21408695:21411189:1 gene:PRUPE_7G254700 transcript:ONH98564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHHHHHQQQQTPPEMAFHSFASDQPPLSGAPTWLNNAAFRQQNSFLHDARNDDVVISPSGKSSNCSGRNRREISGYDGEEEEEDELECESARFKADLVGHPLYEQLVSAHVSCLRIATPVDQLPRIDEQLVQSQRVVDKYSALRANGDVQVMDEKELDLFMTNYVLLLCSFKEQLQQHVRVHAMEAVMACWELDQSLQSLTGVSTGEGTGATMSDDDDQVDSDINSYDGSLDGPDTMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKSWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNISSTSVLKSKRKR >ONH95538 pep chromosome:Prunus_persica_NCBIv2:G7:11297967:11302121:-1 gene:PRUPE_7G076200 transcript:ONH95538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTPGSHMAAVNPSISSSRRTYTSGTANFGTNSEVGVWRRLKSSSHISATQPFFQSFKSGPTKSVKAVTRAMSGAAENSPLPGLPIDLRGKRAFIAGVADDHGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRKLPDGSLMEITKVYPLDAVYDNPEDVPEDVKSNKRYLGSSNWTVKEVVESVKQDFGSIDILVHSLANGPEVTKPLLETSRYGYLAAISASSYSYVSLLKHFAPIINPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHNVRVNTISAGTTVIFC >ONH95537 pep chromosome:Prunus_persica_NCBIv2:G7:11296473:11302121:-1 gene:PRUPE_7G076200 transcript:ONH95537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTPGSHMAAVNPSISSSRRTYTSGTANFGTNSEVGVWRRLKSSSHISATQPFFQSFKSGPTKSVKAVTRAMSGAAENSPLPGLPIDLRGKRAFIAGVADDHGYGWAIAKSLAAAGAEILVGTWVPALNIFESSLRRGKFDESRKLPDGSLMEITKVYPLDAVYDNPEDVPEDVKSNKRYLGSSNWTVKEVVESVKQDFGSIDILVHSLANGPEVTKPLLETSRYGYLAAISASSYSYVSLLKHFAPIINPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHNVRVNTISAGPLRSRAAKAIGFIDMMIDYSSENAPLQKELSAEEVGNAAAFLSSPLASAITGTVLYVDNGLNAMGVGVDSPVFENLDIPKAAK >ONH96671 pep chromosome:Prunus_persica_NCBIv2:G7:15863522:15865572:-1 gene:PRUPE_7G145000 transcript:ONH96671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDREDYDSDAPEELTAEQGIQQDEELSKVQKENKARVVREGKERRRQWAQRKTPKKTPQPSAGVESVQDAPETETHQESLGSAGMLPSNIVELLAAREKQVFHSDSEDENTDSKSTKKKKRLKSSGVDTVILKETPAPKCLQNSLAFLEKRKMQVSRSHAVLNNSNQALRFLSSSGLLSKNVTS >ONH96672 pep chromosome:Prunus_persica_NCBIv2:G7:15863522:15864772:-1 gene:PRUPE_7G145000 transcript:ONH96672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCIIRVVREGKERRRQWAQRKTPKKTPQPSAGVESVQDAPETETHQESLGSAGMLPSNIVELLAAREKQVFHSDSEDENTDSKSTKKKKRLKSSGVDTVILKETPAPKCLQNSLAFLEKRKMQVSRSHAVLNNSNQALRFLSSSGLLSKNVTS >ONH98303 pep chromosome:Prunus_persica_NCBIv2:G7:20753440:20754208:-1 gene:PRUPE_7G241500 transcript:ONH98303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEDLVSWNAILSGYSQEGNHGLEAIFVFIEMVGEGMGLDHVPFTSAVSACGHEMNLELGLTIKSGYGSHVSVCNVLISTYSKCEVTEDAKLVLQCMNDRNTGFLSMHNVCNSLITMYAKFDSIHDSIKVFEELNCREIISWNALISGYARNGLCQDALKTFLEATMKSKPNNCTFGSVLSAIGDAQDISLKYGQ >ONH94971 pep chromosome:Prunus_persica_NCBIv2:G7:7935235:7938849:1 gene:PRUPE_7G043000 transcript:ONH94971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPWAMTFWMAKMVWLAVTGWVSSCLTVADEVAGSIRTGDIGAFHVG >ONH94847 pep chromosome:Prunus_persica_NCBIv2:G7:6451794:6452352:1 gene:PRUPE_7G034900 transcript:ONH94847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLHPQEPGSDTKLMGGGLADLLGFPSLAWDAPASHWHSLENPCGCGIADRESWEEGAGEDVDCLPLFFCFFCFLFFFCFFPVL >ONH95240 pep chromosome:Prunus_persica_NCBIv2:G7:9780267:9785840:1 gene:PRUPE_7G058500 transcript:ONH95240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKSISALSSSNLAFHGPKRESYPDHGKVCCPGKLSSFQELAARKSELQGNTFAVTSSNGIVTRAHNKQVIRAVLPTYSETELSGSTKERGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCDVVCFTADVGQGLKELEGLEKKAKASGASQLVVKDLKEEFVNDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFFALNPKLNVVAPWREWDIRGREDAIEYAKKHNVPVPVTKKSIYSRDGNLWHLSHEGDILEDPENEPKKDMFMLTVDPEDAPNEPEYVDIGIVSGIPVSVNGKKLSPASLLDHLNQIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFAAARELESLTLDRETMQVKDSLALKYAELVYAGRWFDPLRESLDSFMGEISKTTTGSVTLKLYKGSITVTSRKSPYSLYRQDISSFESGDVYDQADAAGFIRLYGLPIRVRAMLDS >ONH94956 pep chromosome:Prunus_persica_NCBIv2:G7:7797535:7798912:-1 gene:PRUPE_7G042100 transcript:ONH94956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSYYLYIRYLFFRKTSSIVLGKVKIIGIVMGIGGAMVFSFYKGKEINMWSTHVDLLRTHGEPYKAAHKRPQSLLWGCMLSLGGCVAVSYALWFIVQASIQYVVLAYCVERDGKQWKLVLTASYLGIMISGLAVTLMTWACLLVTLALAGSFLLDEKLHTGNILGLLIVAGLHAILWANSKDKSDSQPTSIEEMPIHTIIAQA >ONH95190 pep chromosome:Prunus_persica_NCBIv2:G7:9503667:9506118:1 gene:PRUPE_7G056100 transcript:ONH95190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTGLSKLLELVEYKLKEAISREPTLLVMVVGVPNVGKSALINNIHRIASSRFPVQGKMKRATVGPLPGVTQDIAGFKIAHQPSIYVLDTPGVLVPSIPDIETGLKLALAGSIKDSVVGEDRISQYLLAVLNTRGTPFHWKNSNNRRMEGIQYEAEEKVDYSLKNLRPSRRKLPTKSHVLYVEDLVTEVQCALYSTLSEFNGNMEDESDLEVLIEQQFEALQKALKIPNKGCEARLMVSKKFLTLFRTGKLGPFILDDVPEANPLS >ONH95189 pep chromosome:Prunus_persica_NCBIv2:G7:9503079:9506344:1 gene:PRUPE_7G056100 transcript:ONH95189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLKNLVKKGMMGLGEMGFNAGGGAINWFPGHMAAATRAIRERLKLADFVIEVRDARIPLSSANQDLQPQLRSKRSLIALNKKDLANPNIMQKWTRYFESSNQDCLPMNAHSKSSVSKLLELVEYKLKEAISREPTLLVMVVGVPNVGKSALINNIHRIASSRFPVQGKMKRATVGPLPGVTQDIAGFKIAHQPSIYVLDTPGVLVPSIPDIETGLKLALAGSIKDSVVGEDRISQYLLAVLNTRGTPFHWKNSNNRRMEGIQYEAEEKVDYSLKNLRPSRRKLPTKSHVLYVEDLVTEVQCALYSTLSEFNGNMEDESDLEVLIEQQFEALQKALKIPNKGCEARLMVSKKFLTLFRTGKLGPFILDDVPEANPLS >ONH95191 pep chromosome:Prunus_persica_NCBIv2:G7:9503079:9506344:1 gene:PRUPE_7G056100 transcript:ONH95191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRATVGPLPGVTQDIAGFKIAHQPSIYVLDTPGVLVPSIPDIETGLKLALAGSIKDSVVGEDRISQYLLAVLNTRGTPFHWKNSNNRRMEGIQYEAEEKVDYSLKNLRPSRRKLPTKSHVLYVEDLVTEVQCALYSTLSEFNGNMEDESDLEVLIEQQFEALQKALKIPNKGCEARLMVSKKFLTLFRTGKLGPFILDDVPEANPLS >ONH97258 pep chromosome:Prunus_persica_NCBIv2:G7:17673399:17675443:1 gene:PRUPE_7G180400 transcript:ONH97258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPVQVYISSVLKACRNHVGNTRSPPQLNIKRVRAPAATLTAPTTTLVGPPDLTRLTNKVFPSYGNPCLDLFYQVVPDAADAGTDSHKYLKQLLPVAWSHNPSTTLKLICNILNVRGKNDEDGFYTAAFWLHQNHPKTLACNVPSIAGSLGNLTTLLGILYRILQDQDRPLHLCLYGDISKVSSAGSEKILALARMAVERYQRDPDYRFLHDRISDLFADCLKSDIQNLNKHQQQSINDDEDDESAKCLEITSAAEWCPSIDSSFDHATLLCESIAKKFFPRESYPEYEGVEEADYAYRVRHRLRKEVLVPLRKVLECSNYHTRMNKWGYDPGFKREPCAVKKYLEDVKAAGNSKIEPGALLPHEIIGYVNDGDVGQVAEIQWKAMVEHIYLKQGKFKNCLPVCHYFGETALQILMSELSEEPWKGKLINFSKNPQLYSLQGLDDLKSKCELVKRMDCQDWEVDMHKVFDLILEVAVKGHLNPEQMIRRVFVFASYKGFDDALGYDRCWKTDYEAIQRKFKDKGYGDVVPQIVFWNVCNVIPTSVRSTQQGVAMLSGFHTNLIKSFLDNDGEIGPEHVMEAAISYTEYQNLVVVD >ONH95099 pep chromosome:Prunus_persica_NCBIv2:G7:8996096:8997828:-1 gene:PRUPE_7G052100 transcript:ONH95099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSHVVGKSPSMVSIMLLCGLLMATLATTEAIGVCYGTLGDNLPPPGEVIALYKQYNIQRMRLYAPNFDALQALQGSPIELILGVENERLEDISSSQASADDWVQKNVQSYSNVNFKYIAVGNEVKASEAPFVGPAMDKIQNAISKAGLANKIKVSTAVHPVILEKSFPPSEGSFGQDYRPFLDPIISFLVQNKSPLLFNMYPYFSYIGDRNNIKLEYALFTSPSVVVNDGQLGYQNLFDALLDAAYSALEKAGGGSLEIVVSETGWPSAGGDGTVTTMENARIYNSNLIQHVKGGTPKRPGGPIETYIFAMFNENQKPGEETERNFGLFFPNKQPVYPIDFN >ONH95271 pep chromosome:Prunus_persica_NCBIv2:G7:9995052:9995904:1 gene:PRUPE_7G060600 transcript:ONH95271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPTINKSTFADISNFIHSYKPLSIFGSAFRCPASSKMAKREQMQAQLLSSFTLLLTPELNPTLNLYLTLLLYIDIIFLHILLTS >ONH98091 pep chromosome:Prunus_persica_NCBIv2:G7:20138622:20141424:-1 gene:PRUPE_7G228100 transcript:ONH98091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTTLLDLSLLLITFVLASSSLSVVCGAQEYHHHNGIISHGALSHAEALYIKQRQLLYYRDEFGDRGELVTVDPSLVFENQRIRNAYIALQAWKQAILSDPLNLTGNWVGSNVCNYTGVFCTKALDNSSIRTVAGIDLNHGDIAGYLPEELGLLADLALFHINSNRFCGTVPHKFKQLKLLFELDISNNRFAGRFPRVVLQLPKLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFRFDLPDNFGNSPVSVIVLANNKFHGCVPASLGNMSNLNEIILMNNGFRSCLPEEIGMLKNLTVFDVSFNQFLGSLPETIGGMVSLEQLNVAHNLLSGTIPASICSLPRLQNFTYSYNFFTGEPPVCLGLASFDDKRNCLPNRPAQRPAAKCKSFLSRPVDCKSFRCKPFVPSLPSPPPPPPPPVVVPSPPPPVALPQSPPPPPPPVFSPPPPPPPVYSPPPPPPSPLPPPPPPPPPPPPPSPSPPPPPPPPPPSPPPPSPSPPPPPTPSPPPPPPPPPTPSPPPPPPPPPTPSPPPPPTPSPPYCVRPPPPPPPNSPPPPAPLSSPPPPSPYLYNSPPPPSPHSPPPPPHSPPPPIYPYPSPPPPVHSPPPPVYQSPPPPPPLCIEPPLPPSPPPPCIEYSPHNHHHSHCVLAPNFTSQPLLSILPTSTSLPISLSPPPVQYSSPPPLFSTVPHPHHHHPHHPQFHVKRHHLIHHHHHRRHRSPTPPIVYESPPPPTPVYEGPLPPIFGVSYASPPPPPFY >ONH94215 pep chromosome:Prunus_persica_NCBIv2:G7:501896:510465:1 gene:PRUPE_7G004600 transcript:ONH94215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTVVTPWQTALFSRLNGRNSPPSPLILHFRHSSTSFSSPKTPRLSMAAAAQSTTQSVSAGDDANVFQLIQSHQEKAARLPPVEEIRTLLDLSVRGTLSTFSQKHEGYPSGSMVDFACDADGSPILAVSSLAVHTKDLTLNPKCSLLVARDPEDRTDLVVTLHGDAIPVPEKDQAAIRTAYLARHPKAFWVDFGDFQFIRIEPKVVRYVSGVATALLGSGEFSNEEYKAAKVDPIAQFSKPVASHMNKDHAEDTKAIVQHSTSIPVDFAYILDLDSLGFNVKAGYQRENFKLRIPFTRRAEDRKDVKTLIVDMLHAAKPQDS >ONH94213 pep chromosome:Prunus_persica_NCBIv2:G7:501841:513493:1 gene:PRUPE_7G004600 transcript:ONH94213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTVVTPWQTALFSRLNGRNSPPSPLILHFRHSSTSFSSPKTPRLSMAAAAQSTTQSVSAGDDANVFQLIQSHQEKAARLPPVEEIRTLLDLSVRGTLSTFSQKHEGYPSGSMVDFACDADGSPILAVSSLAVHTKDLTLNPKCSLLVARDPEDRTDLVVTLHGDAIPVPEKDQAAIRTAYLARHPKAFWVDFGDFQFIRIEPKVVRYVSGVATALLGSGEFSNEEYKAAKVDPIAQFSKPVASHMNKDHAEDTKAIVQHSTSIPVDFAYILDLDSLGFNVKAGYQRENFKLRIPFTRRAEDRKMFQHLRTAFSEDGEIWTWKR >ONH94214 pep chromosome:Prunus_persica_NCBIv2:G7:501870:513333:1 gene:PRUPE_7G004600 transcript:ONH94214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTVVTPWQTALFSRLNGRNSPPSPLILHFRHSSTSFSSPKTPRLSMAAAAQSTTQSVSAGDDANVFQLIQSHQEKAARLPPVEEIRTLLDLSVRGTLSTFSQKHEGYPSGSMVDFACDADGSPILAVSSLAVHTKDLTLNPKCSLLVARDPEDRTDLVVTLHGDAIPVPEKDQAAIRTAYLARHPKAFWVDFGDFQFIRIEPKVVRYVSGVATALLGSGEFSNEEYKAAKVDPIAQFSKPVADHAEDTKAIVQHSTSIPVDFAYILDLDSLGFNVKAGYQRENFKLRIPFTRRAEDRKMFQHLRTAFSEDGEIWTWKR >ONH98267 pep chromosome:Prunus_persica_NCBIv2:G7:20627255:20628482:-1 gene:PRUPE_7G238900 transcript:ONH98267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKPLRTLCTVAAALVGGFFTLNLASTATIGALRLATESKRRKIALPCGVCRGKGFYICKLCKGNNTIEWSPLYDPIAINPCLCPTCDGNGIQRCLNCVGKGYN >ONH98268 pep chromosome:Prunus_persica_NCBIv2:G7:20627835:20628249:-1 gene:PRUPE_7G238900 transcript:ONH98268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKPLRTLCTVAAALVGGFFTLNLASTATIGALRLATESKRRKIALPCGVCRGKGFYICKLCKGNNTIEWSPLYDPIAINPCLCPTCDGNGLVNTDTACL >ONH95644 pep chromosome:Prunus_persica_NCBIv2:G7:11784478:11785382:1 gene:PRUPE_7G082600 transcript:ONH95644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERNSTSTLKRILVTCAAQAKEYGGCVAAKVPQVERDMCLKEFLALKSCMQNVVRFHN >ONH95410 pep chromosome:Prunus_persica_NCBIv2:G7:10675344:10680189:-1 gene:PRUPE_7G068700 transcript:ONH95410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDDGEMKSKMEDYEVIEQIGRGAFGAAFLVLHKTQKKKYVLKKIRLAKQTDKFKRTAHQEMNLIAKLNNPYIVDYKDAWVDKGDCVCIVTGYCEGGDIAEMVKKARGQFFPEEKLCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLTSSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSTISPLPIVYSSTLKQIIKSMLRKSPEHRPTAAELLRHPHLQPYLLQCRNASSVFLPVKPVNNLKDKTTRKSPPSKPSSGKDNRDKEAGTLNHLEKVHPFESSADVTHRYITNIDNPVFTVSAEELEMKRVGCSMELSNATDASKDGPTDSEASVCNGDKQADTISIHQKENTESDIEITSESTPNSQNEEREEPAATNSKQLPEVDVKTSNNEDGKSCRDQQVPEGARTEGEGAKEENCRELVVPSVGCANKVGSPDDKCSSSAKSEVEPGSCLPKESSNGYPVGGHLDYLSSESKNDCSIQKEKDEVGAKADNNNCSTQTEKDNAHVVNQAPIDISLSTLTAIGGDDSKSDWENPSQQRADALESLLEVCARLLKQDKLEELAGVLRPFGEDAVSSRETAIWLTKSLMSAQKSNGGS >ONH94289 pep chromosome:Prunus_persica_NCBIv2:G7:1174584:1175958:1 gene:PRUPE_7G008400 transcript:ONH94289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNGEVVSIIRSYEDFMPYCKFQREERYDTYQIPLHGFKIAQFNVCLNEEKETINIEGQRPVDGTRWIRFRQEFKVPCDVYNTKDIHARFGYGVLSIRLPKKKPSNDASDSVVNKSLLSRLKTSKMAILRIAIAVVVGVAVGGYVIFKCFPSKHAIEKH >ONH96355 pep chromosome:Prunus_persica_NCBIv2:G7:14551321:14553982:-1 gene:PRUPE_7G123000 transcript:ONH96355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFKFWGSQEEQTQPRPEVVTPSQSWYPPSVVNSPTSSRPGTPSSTSSSSLSYQRLTEKAPSPSHVSPAEAAGVIAVLKDKSVDELRKLLADKDAYQQFFLSLDQVKIQNNLREELRRETLQLSRENLEKEPRMVELRNQCRIIRTTELAAAQERLNELERQKEETLKQYSPSSLLHRLQESMNKTEEESENLHQQLIDREVDLGGFVEKYKKLRTTYHRRALVHLAAKTSSIG >ONH97799 pep chromosome:Prunus_persica_NCBIv2:G7:19319870:19322686:1 gene:PRUPE_7G211300 transcript:ONH97799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVFRLPFHSLRFSSSLLTSSFNSLQPGLPPNQNPYKRVYPLSYSSVTRVAFRPRKQLVHLKVQATVAEKDRDQPKWWERSVPNMVDIHSTQEFLSALGQAGDRLVIVEFYGTWCASCRALFPKLCRTAEDHPEILFLKVNFDENKPMCKSMNVKVLPYFHFYRGAEGQLESFSCSLAKFQKLKDAIALHNTARCSIGPPKGVGDLILEPSSVPKDKPSESASS >ONH96375 pep chromosome:Prunus_persica_NCBIv2:G7:14653939:14655348:-1 gene:PRUPE_7G124500 transcript:ONH96375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGHMIPLIDIARLFAQQGIIVTIFTTPHNATRFQAVLTRDLESSLQIRIIQVKFPAEEVGSPEGCENYDMLPSPNLVVNLSAATTLLHKPVEKLFEELTPKPNCIISDMCLTWTTSIARKYHIPRISFSGRGCFSDLCVHNLRVSNVLESVTSDSEYLVLPNLPDRIEMTKAQLPEGTVTARLKDFLDKIIATETETYAMIMNTFEELEPAYVQAYKVTKDKLWCIGPASLCNKDDLDKAQRGNKVSIDELHSFKWLDSRAPASVIYVCLGSMCNLSSEQLIEIGLALEVSNKPFIWVVRGCNETQELEKWIAESGFEERNEARSIVIRGWAPQTLILSHPAVGGFLTHCGWNSTLEGICAGVPLLTWPMFGDQFLNEKLVEQILKIAVRVGVEFPVKWGEEEKIRVVVRKEKVKEAIEKLMDGEESQGRRERARELAEMAKRAVAEGGSSDLNIKQLIQEIKELTA >ONH95485 pep chromosome:Prunus_persica_NCBIv2:G7:11130924:11133514:-1 gene:PRUPE_7G073800 transcript:ONH95485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLDPAERRYLEDEDSPTMKTIKGATNGFVTGTIFGTIVATWYDVPRVERSVALPGLIRTLKMMGNYGITFAAIGGVYIGVEQIVQNYRMKRDFINGAVGGFVAGSTILGYKGKSISTAISAGAALAVTSSLIDAGGQTTRIDNGKEYYPYTTKKRSTADS >ONH95486 pep chromosome:Prunus_persica_NCBIv2:G7:11130808:11134407:-1 gene:PRUPE_7G073800 transcript:ONH95486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLDPAERRYLEDEDSPTMKTIKGATNGFVTGTIFGTIVATWYDVPRVERSVALPGLIRTLKMMGNYGITFAAIGGVYIGVEQIVQNYRMKRDFINGAVGGFVAGSTILGYKGKSISTAISAGAALAVTSSLIDAGGQTTRIDNGKEYYPYTTKKRSTADS >ONH98485 pep chromosome:Prunus_persica_NCBIv2:G7:21212500:21214696:-1 gene:PRUPE_7G251100 transcript:ONH98485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTKSSLHGRANGSLTTVLSIDGGGIRGIIPGVMLAFLESKLQKIDGDHVRLADYLDWVVGTSTGGLMASMLTTPDKNNRPLYAAKDIVSFYRQHCPKIFPQPSSRIGKIIQYLKCLVRPKYNGKYLRKLLKKILGDKHLHDMLTNVAITATDIKQGHPVIFSSHMLKKDPSLDALMSDICVATSAAPAYLPPHQFTTTTSTGESREFNLVDGGIAANNPAMVGITESETDMQEGNTGIHERVLLISLGTGMTSEKKYDAKKAACWGALDWLIGKDRSAPLVDLLTRVNSDMVAFYSGSFFEALQSKGNYLRIQDDTLSGTLASVDIATEENLNDLVKVGEALLKKPVLRLNFGTGKLELVHPEVTNEVALERMAKILSEERARRKRVVVHENAALLSHKSL >ONH97941 pep chromosome:Prunus_persica_NCBIv2:G7:19724908:19731300:1 gene:PRUPE_7G219900 transcript:ONH97941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSMQRGNSFAFLCHDITELEHSNQLKRPSVSVVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFIVESTDDPAYRAVSMLLSELKDEVDAKVVVAGLSTTCSQKIHNQLVGVEKMHKDSKYVLFLDDDVRLHPGSIGALTAEMEKNPDIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGRTFFLWGGCMMMHANDFRLDRYGVVTGLKNGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYTTKVNWIMNRALFTTHCYLSWGLVTPYLMAIVHITAALRMYIKGYSIEETTFTFGGLKLVSCLAACTLIELLSMWNLTRIEVHLCNMLSPEAPKLSLASYNWGLVFIAILVDNFLYPISAFRSHFTQSINWSGIRYHLKDGKIFKIERSKDMGPVYTDLGGKHLGKKGAPPKLSFLSSLARSLAQWRQPKKYDS >ONH97940 pep chromosome:Prunus_persica_NCBIv2:G7:19724927:19731300:1 gene:PRUPE_7G219900 transcript:ONH97940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALDPVDSFLFSLSRAFCSPLAVFVQIQGCLICLILAFGWVCAAYVRNREIKRMKDSMQRGNSFAFLCHDITELEHSNQLKRPSVSVVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFIVESTDDPAYRAVSMLLSELKDEVDAKVVVAGLSTTCSQKIHNQLVGVEKMHKDSKYVLFLDDDVRLHPGSIGALTAEMEKNPDIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGRTFFLWGGCMMMHANDFRLDRYGVVTGLKNGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYTTKVNWIMNRALFTTHCYLSWGLVTPYLMAIVHITAALRMYIKGYSIEETTFTFGGLKLVSCLAACTLIELLSMWNLTRIEVHLCNMLSPEAPKLSLASYNWGLVFIAILVDNFLYPISAFRSHFTQSINWSGIRYHLKDGKIFKIERSKDMGPVYTDLGGKHLGKKGAPPKLSFLSSLARSLAQWRQPKKYDS >ONH97671 pep chromosome:Prunus_persica_NCBIv2:G7:18946559:18948347:-1 gene:PRUPE_7G204800 transcript:ONH97671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIAQAVAVPYRLGNLIHEESAVKIQMEMTGLKLIANSPCESFSCGNESYRSNGPHDENRIEATVSHMLAGYVGDRISNENIDWGSKEYNKFRPSCEVGNGSSTTSDASTSLISATRDKKICRTSCSQKVFDLDRVPLWGYTSICGRRPEMEDDLAAVPRFLQIPIQMLMDDGGFNGMNQNPSHLTAHFFGVYDGHGGCQVANYCRERVHSALAEEIETAKASLHDRSTGEGWQEQWKEAFSNCFLKVDAEIGGAPKGTNVSNTCTSGDYDLQPIAPETVGSTAVVTVICPTHIIVANCGDSRAVLCRGKVAVPLSVDHNPNREDECARIEAAGGKVIQWNGSRVFGVLAMSRSIGMNT >ONH97670 pep chromosome:Prunus_persica_NCBIv2:G7:18946559:18948347:-1 gene:PRUPE_7G204800 transcript:ONH97670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIAQAVAVPYRLGNLIHEESAVKIQMEMTGLKLIANSPCESFSCGNESYRSNGPHDENRIEATVSHMLAGYVGDRISNENIDWGSKEYNKFRPSCEVGNGSSTTSDASTSLISATRDKKICRTSCSQKVFDLDRVPLWGYTSICGRRPEMEDDLAAVPRFLQIPIQMLMDDGGFNGMNQNPSHLTAHFFGVYDGHGGCQVANYCRERVHSALAEEIETAKASLHDRSTGEGWQEQWKEAFSNCFLKVDAEIGGAPKGTNVSNTCTSGDYDLQPIAPETVGSTAVVTVICPTHIIVANCGDSRAVLCRGKVAVPLSVDHNPNREDECARIEAAGGKVIQWNGSRVFGVLAMSRSIGDRYLKPWIIPDPEVVFVSREKEDECLILASDGLWDFMTNQEACDIARRRILLWHKKYGDTTMSMERGEGVDPAAQAAADYLSRLALQKGSKDNITVVVVDLKATRKFKRKT >ONH94223 pep chromosome:Prunus_persica_NCBIv2:G7:555512:556845:1 gene:PRUPE_7G004900 transcript:ONH94223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQFQQHNQICFPAAANKAVGIPMAKVGKLKGRTRSNNANKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFITHVSLDSPLASRIRNLLNTKKGGSSKQGIEQTSSSIAYHDDMNASHPPISSTTSSDKYMTSNIRTASTSTCNSTSSGSDTLSSEVFQDSQLFDDVYRPDLRHCNEEFELSSSASQSNLSWDGFQTGVDGFSFAQQVFDLPKHQAATAASSSTTTTTTITTAAEADSEFLEFERMKVERQISASLYAMNGVQEYMDTVYDPNEPSWDLPPLCPLFC >ONH95395 pep chromosome:Prunus_persica_NCBIv2:G7:10602084:10606422:-1 gene:PRUPE_7G067800 transcript:ONH95395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGTQTMTNFEPLHKLQAHKGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWSMSTGEDIRVYQGHHKATICCALHDGAEPSSS >ONH95397 pep chromosome:Prunus_persica_NCBIv2:G7:10602309:10606658:-1 gene:PRUPE_7G067800 transcript:ONH95397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGTQTMTNFEPLHKLQAHKGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLVGNYLGGYGTVFSQ >ONH95396 pep chromosome:Prunus_persica_NCBIv2:G7:10602504:10606344:-1 gene:PRUPE_7G067800 transcript:ONH95396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGTQTMTNFEPLHKLQAHKGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWSMSTGEDIRVYQGHHKATICCALHDGAEPSSS >ONH95394 pep chromosome:Prunus_persica_NCBIv2:G7:10602084:10606840:-1 gene:PRUPE_7G067800 transcript:ONH95394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQEEIKMSQQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGTQTMTNFEPLHKLQAHKGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWSMSTGEDIRVYQGHHKATICCALHDGAEPSSS >ONH97636 pep chromosome:Prunus_persica_NCBIv2:G7:18831915:18834980:1 gene:PRUPE_7G202500 transcript:ONH97636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQLTPNAIAAISAGDLNSKPLVQVIDIKLLGTQERYRFIVSDAVSCQHAMVATQLNDRIKTSQVKKGSIIQLTDYISNYFQNHKIIVVLNMETIILDSEIIGDPKPYVESGSTAQKALPNNNFEHSVRDSNNHMISQESVRNVQSLRPTSHSGTSLNRGPNLRPTFHSENSVHNVPNFRPTVQPPYQPPPQYKNHGSIVKNEAPARIIPINALNPYQGRWAIKARVTAKGDPRRYNNARGDGKVFSFDLLDSEGGEIRVTCFNAVLDRFYDTIEVGRVYLISKGSLKPAQKNFNHLKNDWEITLDASSTVELCPDEDGSIPEQKFSFRHISEIENVDSNSIVDIIGIVISVNPSVPIMRKNGMETQRRIVNLRDWSGKSVELTLWGDVCNREGQRLEDMLASGLFPVLAVKAGKINDFSGKSVGTIHSTQLFINPDIPDSHSLREWFDQGGKDTASVSISKDIVPGGSKNEIRKTVSQIKDEGLGRSDKPDWVTVKATISFIKTDSFCYTACPLMIGDRQCNKKVSRSGNRGWQCDRCDQEFEECDYRYLLQAQIQDNTGLTWATAFQESGEEILGCSAKDLYLLKEQDDARFGDIVRSSIFNQFLFKLKIKEETYGDEQKVKITVVKADKVNYSSESKYMLDMILKFSR >ONH97752 pep chromosome:Prunus_persica_NCBIv2:G7:19100333:19101604:1 gene:PRUPE_7G207800 transcript:ONH97752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSENRNAVELIVSSIRGRIQQQPPLPACSCIFRIPNVLRRHNEKAFVPDLISIGPFHHGKKDLQVMEEIKLWYLHCLLDRNPTSETSLEYLVEAIKSIEQHCRDCYQERIDMSSEKFVEMMVVDAKDVPKNEDDPVFYTAWMRLALTKDLFLVENQLPWKVVDCLFHHTKEKNEPESEALLLPALKFFEPFAFDQDPHADRPLETKHLLDGIRNSLLGSYPSQADYRYWEPIPSVTELLQAGVEFKVRSNTWDNMLDITFKNGVMEIPPIEIRDNAESLFRNLIAYEQCDSSIISCNITSYAVILDNLINTSKDADFLIQKEIIVTKLSKEDIACLFNRLYSDTTVAYFCYVELTKNVNAYYQDRWHRWQTILRRDYFSNPWSIFSLAAALLILGFTFLQTLYSLLTYY >ONH98478 pep chromosome:Prunus_persica_NCBIv2:G7:21193390:21193998:1 gene:PRUPE_7G250600 transcript:ONH98478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKNNQASPSAAATNGQHPTVDEESAILPSQELKRKKRIKLAIYISAFVVVQIIVITTFALTVMRVQSPKLRLGAISVQTLNASSSTPSFDMTFTTQVRIKNTNFGRYKFDATNVRFMYEDRAVGQVRIPKSKAGMRSTKKIDVTVSLNSKELPSRSRYNLGNELKTGVLSLSSEARLAGKVELMFVMKKKVCQNGMHFGV >ONH94990 pep chromosome:Prunus_persica_NCBIv2:G7:8077957:8079269:-1 gene:PRUPE_7G044500 transcript:ONH94990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIELRRSKDGWVWFDKGWPEFSKLCSLDYGENDMPTKKDFGGSSSNQIFVKRTPDVLGRTHPLTKSEKALALQRANAFKSEYPYFLVAIPPVYIHRGYLHLPSKFARRHLVKQPARNIILKILDGRTWLVEFKYETSIARFQRGWLAFARDNNLKVGDMCVFVLIDCNERLFEVFFF >ONH95833 pep chromosome:Prunus_persica_NCBIv2:G7:12451286:12453910:1 gene:PRUPE_7G091500 transcript:ONH95833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWQRHLQSAIRQIGKRVECSYNPSANFSSSSSSSSSSRLEGVLPYFQTLCKPSSPTISRPFHQYFQHLGISSSRTLLAEETPSPSPLTPLLTSNTGKDEEHKQKSLTKPDKVQAILKGIKQSPKKVNLVAALVRGMRVEDALLQLQVTVKRASKTVYQVIHSARANATHNHGLDPDRLLVAEAFVGKGYFKKRLSYHAKGKCGVKVRPECRLTVVVREITPDEEAEIAKLKVKNFVKLTKRERRLVPHKLIETTPIWNRKGKARERERNGAAA >ONH95834 pep chromosome:Prunus_persica_NCBIv2:G7:12451247:12453910:1 gene:PRUPE_7G091500 transcript:ONH95834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWQRHLQSAIRQIGKRVECSYNPSANFSSSSSSSSSSRLEGVLPYFQTLCKPSSPTISRPFHQYFQHLGISSSRTLLAEETPSPSPLTPLLTSNTGKDEEHKQKSLTKPDKVQAILKGIKQVIHSARANATHNHGLDPDRLLVAEAFVGKGYFKKRLSYHAKGKCGVKVRPECRLTVVVREITPDEEAEIAKLKVKNFVKLTKRERRLVPHKLIETTPIWNRKGKARERERNGAAA >ONH95832 pep chromosome:Prunus_persica_NCBIv2:G7:12451286:12453910:1 gene:PRUPE_7G091500 transcript:ONH95832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWQRHLQSAIRQIGKRVECSYNPSANFSSSSSSSSSSRLEGVLPYFQTLCKPSSPTISRPFHQYFQHLGISSSRTLLAEETPSPSPLTPLLTSNTGKDEEHKQKSLTKPDKVQAILKGIKQSPKKVNLVAALVRGMRVEDALLQLQVTVKRASKTVYQVIHSARANATHNHGLDPDRLLVAEAFVGKGYFKKRLSYHAKGKCGVKVRPECRLTVVVREITPDEEAEIAKLKVKNFVKLTKRERRLVPHKLIETTPIWNRKGKARERERNGAAA >ONH95831 pep chromosome:Prunus_persica_NCBIv2:G7:12451225:12453928:1 gene:PRUPE_7G091500 transcript:ONH95831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWQRHLQSAIRQIGKRVECSYNPSANFSSSSSSSSSSRLEGVLPYFQTLCKPSSPTISRPFHQYFQHLGISSSRTLLAEETPSPSPLTPLLTSNTGKDEEHKQKSLTKPDKVQAILKGIKQSPKKVNLVAALVRGMRVEDALLQLQVTVKRASKTVYQVIHSARANATHNHGLDPDRLLVAEAFVGKGYFKKRLSYHAKGKCGVKVRPECRLTVVVREITPDEEAEIAKLKVKNFVKLTKRERRLVPHKLIETTPIWNRKGKARERERNGAAA >ONH97782 pep chromosome:Prunus_persica_NCBIv2:G7:19223664:19226674:1 gene:PRUPE_7G209900 transcript:ONH97782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFGANPHDRRFWPQSRSPSPQFIMTTLPEITDLFARLASRLKSLNVTSFSPHTAKEELDEAALDLSISKLNQSLNPNGNSRVRVLDTVLSLMCFKAPQVFDSGIEYLVKTIVTVLLSSIRCKVLRSPKDEILLIGSSISHRDCGHLIEAAADILEKLVGHGKLSDSLLCAIVRVVSSASLYRQLSRPVFDVKSVDVKKTLTSKLLCYFPREKSLDNNKIPFRLLLWYLDPLILKRDVSNILQETIDRPFLCLSKEFRESNDWLSVITSLVLSPIMFVEARALLHRWFLVTGLASVLELLIQLVAVVLDVVSRPMFWDISLEVGAKLQFSNAYFPYNQRLLRILTGPLSYEGLLQLVHETNEPVPCAQKQLCPTFKPPAVKVSTIDDKSLWSLAINFPDWFYFASALLFSEKTSHDSCHPECILGASKVGKAHHEELPSISAARYIAWILSPVSKSHQDSLADCLIKTSESWAFKQFGSGSHEKETYGYKKILKKQKFCEEDYSSPTEYDYQAVAVWLSRFNTMYTRNCNETVNSSTSRETKRPCGHSLQQNVLFRRIPLGILLGCPHYTTEDGCELLMHYATTSRIFQLRETNATGLKHVKWNSKGHRNLVTWSDECNEREATAGACLVFSLTDIIESMSASLFETEEAGVDFLCRVKMRISKYLIKCIKRLIQLKIDDRNWVVMDFCHKLEKWRHQGQEVLELHKDLDDVIHVLSQRTCSVSSM >ONH97847 pep chromosome:Prunus_persica_NCBIv2:G7:19436269:19438552:1 gene:PRUPE_7G214000 transcript:ONH97847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLRQLQSKACQATQFVSKHGSTYYKNLLEQNKQYIQHPPTVEKCNELSKQLFYTRLASIPGRTESFWKEVDYIKHLWKNRQDLKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYP >ONH96327 pep chromosome:Prunus_persica_NCBIv2:G7:14417865:14420155:-1 gene:PRUPE_7G120800 transcript:ONH96327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGLFSGILGLEMPLHQTQNPQNPQNTHHMHQPQMVAYAHHDPDHHQHPHQSVKQGYPFATKSKQIALSDDDEPGFGADDNSNGDNKRKISPWQRMKWTDTMVRLLIMAVFYIGDEGGSEGTDPTGKKKSVGGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQGLLEKMDLSPKMKEEVRKLLNSKHLFFREMCAYHNSCGHGTVGGVSNGAHNSPPEGATEPSEIPAHQQQPQQQRCFHSSENAHVVANSGRSETEASKMVKGGSGGEDEDEDYEDDDSEDEDEEEEEVVEVGPGSRGLMGHGHHEDDDDNDDRASLKRPRKEGCFSGPSSSQLTHQLGCEVRGVLQDVSKSPWEKKQWLKTRLIQLEEQQVNYQYQAFELEKQRLKWIKFSGKKERDMERAKLENERRRLENERMLLLVRKKELELLELHHQQQQQQQNSSNKRSDPSSLTG >ONH98170 pep chromosome:Prunus_persica_NCBIv2:G7:20366337:20367929:-1 gene:PRUPE_7G233600 transcript:ONH98170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYKVVSRLSSRLQPFTCNLNKTSLASELSQLKSSLHSQTSAPARRISRLSRLPSELGSVGSMMPLHSAVASARLISSLSIESQSWGLVPQGISMPL >ONH95213 pep chromosome:Prunus_persica_NCBIv2:G7:9693669:9697372:1 gene:PRUPE_7G057600 transcript:ONH95213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLFPTCFFYCLSSGFNLKYLLKRLYSVKRCALIKLEQ >ONH95214 pep chromosome:Prunus_persica_NCBIv2:G7:9693693:9697372:1 gene:PRUPE_7G057600 transcript:ONH95214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLFPTCFFYCLSSGFNLKYLLKRLYSVKRTCSLKFLI >ONH97334 pep chromosome:Prunus_persica_NCBIv2:G7:17867982:17871141:1 gene:PRUPE_7G184300 transcript:ONH97334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKALLLMLFMVLYNGASSNGIGVGATATTARPEVVNIGAIFTFDSVIGKIAKLAIALAVEDVNSDPENLNGTKLTLKMQNTKSSDFLGIIEALQFMENDTVAIIGPQFSATAHVISHIADELKVPLLSFAATDPTLSPTQFPFLVRTTRSDLFQMTAVADLVDYYEWRDVIAIYVNDDFGRNAIAALGDKLAEKRCKISYKVPLNPKATKDEITNALISVSSMESRILILHIYTSWGLQVLTEARNLMMMAKSELKKKFKSQWSNLTSARRVNGSSFGLNTYGLYAYDSVRHLAVALDSFFARGGNISFSTDSNLNELRGGKLNLDALKMFNGGSQLLQSILEVNTTGLIGPIKFNPDGNLINPAFEVINVIGTGTRTIGYWSNSSGLSLDPPEKPQRKLQSNGSSIGIQRLYSVIWPGQTTQKPRGWVFPDNGRKLRIGVPNRVSYREFVGIKGTEFTGYCIEVFLAALDELPYAVPFKFVPFGDGKKNPENHELLHMIQIGEFDGVVGDITITTGRTKMVDFTQPYIESGLVVVAPIRKLNSSAWAFLRPFTPMMWGVTGIFFLVVGTVVWILERRTNEDFRGPPRKQFVTIIWFSFSTLFFSQILIINSSYTASLTSILTVEQLSSPIKGIESLATGNDPIGFPKGSFVGKYLTDELNIHSSGLVPLNSPEEFEKALKDGPRAGGVAAVVQERAYMELFLSVRCGYSIVGQEFTKMGWGFAFPKDSPLATDMSTAVLKLSEKGDLQKIRDKWLMRSACSAQGAKQSVDRLPLKSFWGLFVLSGIACFLALLLHVITTTKKQKDDGKSPSCIRFFNGRGIHRHLFPHIPRR >ONH95453 pep chromosome:Prunus_persica_NCBIv2:G7:10912265:10914822:1 gene:PRUPE_7G071700 transcript:ONH95453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARDRLSRPSVDLADTYAQWLSRNRSIYIDPPEQVLQVVPDLSRTPARQRAPTALGFGATGVVGGGGLLRSSLRTPRTGNGRGRIPFGFTTPARENTPPAGSSRRRRGRSSNSVLPSWYPRTPLQDISAVVRAIERRRARLAENDGQHTEGQVPQGRNILDQYLLVPGAQLEHGVPVTPYSAVRTKQCPPPSIGRVQKIIREATNTQTAEGEFLTPQKKLLNSIDTVEKVVMEELQRLKRTPSAKKAEREKRVRTLMSMR >ONH96730 pep chromosome:Prunus_persica_NCBIv2:G7:16070629:16073532:-1 gene:PRUPE_7G148300 transcript:ONH96730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKPVQSFQALSLSPNQTESLGDSRSLIYMMAFKKTLAQRLFNISKISPQTLRNCRISSSAVHTRPAPKPRETSIAPDPGDNVIFRRFLHKRSSPATSERPEMWSLPTGENLMQKLRVMAIGSDRIRLDCLAPPEPEQEKSPAVGSGWTAEETRKLLRVAQLEAVKSKLREVQKSWVSYPEFVRICKEGCSDPDLGLGFAKSLDENGSVIVLGNAVCLRPEQVAKVIQELIPIPGAAAANPNDPRIRELEDMERQKFEIDRKAESLVRRELWCGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTSKEPSFEGFFQSRFHAKQKRLMKLQNFDEGRYNELRKACYPYSSSSSSELPASTAFDGPKSVQFGSIHS >ONH98047 pep chromosome:Prunus_persica_NCBIv2:G7:19993867:19994654:1 gene:PRUPE_7G225400 transcript:ONH98047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVACPQVQFVSIEDIPESIVTKEKELERQREDLLSKPENIRERIVEGRISKRLGELALLEQPFIKDDSLLVKDLVKQTVAALGENIKVRRFVRFTLGETVEDAKAEAAAEA >ONH96834 pep chromosome:Prunus_persica_NCBIv2:G7:16433186:16434936:1 gene:PRUPE_7G155000 transcript:ONH96834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLSSPQTSLTWTAKQNKLFENALATYDEETPDRWHKIARIVGGTTEEEVKRQYEILLSDIKRIESGKVPLPKYRKVGTSNISNEEKRLKILKL >ONH96243 pep chromosome:Prunus_persica_NCBIv2:G7:14091484:14093947:1 gene:PRUPE_7G115700 transcript:ONH96243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTEQAQPQQQQQQLVVQNSAGSLSFNSNLSKEDEEMSRSALSTFRAKEEEIERKKMEVREKVQAQLGRVEEETKRLATIREELEGLADPMRKEVSQVRKKIDSINKELKPLGHTCQKKEKEYRDALEAFNEKNREKVQLITKLMELVSESEKLRMKKLEELSKNVDSLQ >ONH96308 pep chromosome:Prunus_persica_NCBIv2:G7:14371913:14374108:-1 gene:PRUPE_7G120000 transcript:ONH96308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEWPWNFLVYFIPFLLPALLFLIRRRSSNSSHHRLPPGPPGLPVFGNMFDLGTMPHKTLTDLTHKFGPVIWLRLGARNTMVIQSAKAAADLFKHHDMSFAGRTTTEASRVLDYHKGSLALAPYGAHWRMLRRLMTVEMLVNKRINETTFIRRKCLDNLQLWIEEEASKLEEGHGVHVARFVFLMSFNLLGNLMLSRDLVDPNSREGLEFFSAMAGLMEWNGHANMADFFPWLRWLDPQGLKRKMKRDLGKALEIASKFVEERMEEKQVGGVRARDFLDVLLEFEGNGIDEPAKISHRDVNIFILEIFLAGSETTSSTIEWALTELLCNPETLTKAKAELTQVIGPNRKVEETDIEKLPYLQGIIKETLRLHPPIPFLIPRKAMEYTKFMGYFIPKNTQVFVNAYAIGRDPDVWVDEPNAFKPERFIGSKTDYKGQHYEFIPFGAGRRVCAGLPLAHRMLHLTLGLLLHQFDWSLDGSVTRGTMDWKEKLGITMRKSQPLLVVPRKCAV >ONH95965 pep chromosome:Prunus_persica_NCBIv2:G7:12982458:12983530:-1 gene:PRUPE_7G098700 transcript:ONH95965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDPAQRPLPIGILTRSVWSDNLDSEFELIRSVIDDYPLISMDTEFPGVVVRPDPNDPTLRHREPDAHYLMLKANVDRLNLIQVGLTLSDGNGNLPNLGTENMYIWEFNFRDFDVARDPHAHDSVELLRRQGIDFDRNRDFGVDSVRFAELMMSSGLVCNNDVNWVTFHSAYDFGYLVKVLTQRVLPEKLPEFLNYVRVFFGSGVYDVKHLTKFCAGLYGGLDRICQTLNVSRVIGKTHQAGSDSLLTLHAFQRIRKDYFSKDGKDFIEKFAGVLYGLEVS >ONH95101 pep chromosome:Prunus_persica_NCBIv2:G7:9048052:9050809:1 gene:PRUPE_7G052300 transcript:ONH95101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPMYGFHNQNNILYLKLFFILLFLGCIPDRTTNLPSNQLLATPTSSANSLNLTSALETLSLDGYFTFKNNHHAAKDFGNTFQSLPLAVLHPKSVSDISSTIKLIFELGSASELTVAARGHGHSLQGQAQTHGGLVINMESLKAQQMQVHIGEQPYVDVSGGELWINILHETLKYGLSPKSWTDYLHLTVGGTLSYAGISGQAFRHGPQINNVYQLEVVTGQGEVITCSENKSPDLFYGVLGGLGQFGIITQARISLEPAPKMVKWIRVLYSDFSSFTKDQEFLISSENSFDYIEGFVIINRTGLLNNWRSSFNPKDPMQASKFNSDGRTLYCLEMAKYFNPNETDVMDQRTESLLLLLHYIPSTLFLSEVSYVEFLDRVHLSEIKLRTKGLWEVPHPWMNLLIPKSKIHDFADEIFGNILTDNINGPILMYPVNKTKWNNNTSLVTPDEDVFYLVAFLSSAVPSSTGTDGLDQILTQNTRILEYCDRAQLGIKQYLPYYRTQQEWKAHFGPRWQAFIQRKSSYDPLAILAPGQRIFQKAIPIL >ONH97194 pep chromosome:Prunus_persica_NCBIv2:G7:17452955:17454437:-1 gene:PRUPE_7G175000 transcript:ONH97194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKQIEEAPASPPPPPPPLPRFWAKKAAPESVTKREIARFWFQKRIEEEEHLLAAIKAAARIRALKLSEEDYRRFEESLDNDDDKANGKDSSAAATNDSNKDGKNDEVRVGIKDWWTKSKYAYLNQPAIESMEPPKRRTSAYVPNCFAYKPTPLYPTSLGVF >ONH96847 pep chromosome:Prunus_persica_NCBIv2:G7:16471472:16475238:-1 gene:PRUPE_7G155800 transcript:ONH96847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGVFLFLLLALWLAMSAGTWGQDAKETLNLAAGTAQHKTHETFKAAKENTNSWVDRTFGKIAEGLGFGQENAKEKAKNMGDKAGDAASVATERLRTSTFGSSDTDSVHDNFDPSIRMPTDTVDNAKETVTRAMGSGMDRAANAYDEAKNTMNRATGAASDKAYDAMEYGKDRTANAYDGAKEGVNMASNKAYDAKETVRGAMGSGMDRAANAYDGAKNAMNRAMGSASDKAHDAMEYGKERSTNAYDGAKEGVNMASDKAYDAMEYGKERTANTYDGAKEGVNMASDKAYDAKEAARGAIGSGKDRAANTYDEAKNTMNRATGSASDKAYDAMEYGKETTANAYDGAKEGVNMASNKAYDAKETVRGAMGSGMDRAANAYDGAKNTMNRAMGSASDNTHHAMEYGKERTANAYDGAKERMNMASDKAYDAKEAARGAIGSASDKASDAMEYGKERTANAYDGAKERMNMASNKAYDAKKMVAGGIDSGTERAANSYDEAKQNVGESYKSAKDCMTGHAKHNYEAAKESASQAAGDLGATMRNAGSEI >ONH96848 pep chromosome:Prunus_persica_NCBIv2:G7:16471472:16473501:-1 gene:PRUPE_7G155800 transcript:ONH96848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFRGLGFGQENAKEKAKNMGDKAGDAASVATERLRTSTFGSSDTDSVHDNFDPSIRMPTDTVDNAKETVTRAMGSGMDRAANAYDEAKNTMNRATGAASDKAYDAMEYGKDRTANAYDGAKEGVNMASNKAYDAKETVRGAMGSGMDRAANAYDGAKNAMNRAMGSASDKAHDAMEYGKERSTNAYDGAKEGVNMASDKAYDAMEYGKERTANTYDGAKEGVNMASDKAYDAKEAARGAIGSGKDRAANTYDEAKNTMNRATGSASDKAYDAMEYGKETTANAYDGAKEGVNMASNKAYDAKETVRGAMGSGMDRAANAYDGAKNTMNRAMGSASDNTHHAMEYGKERTANAYDGAKERMNMASDKAYDAKEAARGAIGSASDKASDAMEYGKERTANAYDGAKERMNMASNKAYDAKKMVAGGIDSGTERAANSYDEAKQNVGESYKSAKDCMTGHAKHNYEAAKESASQAAGDLGATMRNAGSEI >ONH95830 pep chromosome:Prunus_persica_NCBIv2:G7:12449057:12449704:-1 gene:PRUPE_7G091400 transcript:ONH95830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDSSSTVTKQKSTMGRKKIDIKKIQKTSSLQVTFSKRRNGLFRKASQLGALCGAEVAILVFSPHGRPFVFGHPSVDSVISRLASTSTNAECMHTSGDDEDTHSSIVQSNPSHSGTTHQTSPDKESLSLRLRIGGGGSMGLVKEETQEVAEAWSGGCGFWWDKPTEKMKLRELKQFKTSLEDLRAKVGMQLDDMSRRKLAARDFLGVNRNGSCG >ONH96399 pep chromosome:Prunus_persica_NCBIv2:G7:14787538:14789788:-1 gene:PRUPE_7G126500 transcript:ONH96399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVESKQAHLQLLVFTFFLLYWHPTLIRAKEAEHDEFDYKEGSKKGPEHWGELKKEWARCKDGKFQSPIGLSDDHVTNVTSSLEDLKMSYKPSNATVKNEGPYLAIEWEGDAGSIKINGTDYFFKQCHWHTPSEHSINGIRYDLELHMVHQSTDPKIRNSIAVLAFLYHIGNPNLFLSKVNKDIMSEVATKEVHLGVIDPREIKWTSFSFYRYVGSLTSPPCAEGVIWTINEKVKHVSREQVELLQEAVDDYAEMNTRPLQPLNDREIKHYGLSLSDAPSPHEGNHGPKQYRSVSAQSPKESGSINAPKPSHPEGNQSPKQSLRLFTHITVCIFAYLL >ONH97224 pep chromosome:Prunus_persica_NCBIv2:G7:17555420:17557245:-1 gene:PRUPE_7G177600 transcript:ONH97224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGLRSVFSVWLIVLYLGMLGLHECYQPRVPGVYIFGDSTVDVGTNHYLTESECRSRADFLYNGIDFPHSIPTGRFSNGFNIVDYIAIFLGFKMSPPPFLSLLDKNKQLPKRMIRLLSRGVNFASGGSGILDDTGKQWGKVIPFGEQVEQFAIVRNKISELLGEEGAAANISESLFLISVGSNDILEHFAYNQSGQAYITTLMSTYESRLRNLFDIGAKKFGIISVAPIGCIPLIHSVTQTRDCFGPMNEHAQLFYKALHDMLLKLSSECKGMMYALADAYNMTTPILENPQKFGFNEVTKACCGKGNFNAEEPCTPNAKVCKYHNEYLFWDQYHPTQAASYLAALAVFGNHEFVVPITFGQLVSP >ONH95306 pep chromosome:Prunus_persica_NCBIv2:G7:10148466:10151369:1 gene:PRUPE_7G062600 transcript:ONH95306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAFPLAAKLIEKLGSIASEQISLAWSVKADLKKLQRTMSTIKDVLLDAEQKQAHNQQIRSWLRQLKDVFLDADDLLDEFECEALRREVVETFHGTTGKVRRFFSRSNPIAFRLRVGHQIKEIRERLDELKSNKAIFDSLTSIDHHGSGGDHHERVNVTHSFVRASKVIGRESEKKQIINLLMEQGDDNQSGNGNVSVIPIVGIGGLGKTTLAKLVYDDERVCFEKKMWVSVSVDFEITRLIKMILSSASDTEMSDKLTLDQLQGRLRHALKDKKFLLVLDDVWNEDRIKWSELRDLLIEGAKSGSKILVTTRNTWVAEMMGTIPTNINLQFLSFEDCLSLFVECAFKEGRDKDYPNLFEMGKDIVRKCGGVPLAVKTLGSQLHSKTDEREWKLVRDSEIWKLEREGASHILPALRLSYTQLPPHLRLCLAYCSHLPKDQFQFSSFHLIRYWMAHGILDQSRFHGNMELEDIGELYFKDLCARSFFQNVNDRNIYCRFDMHDLIHDLVQSIAQGECFTVKSANTKDISENVRHLTVLEAGHNVSTTLQKLNKVRTLISAQTKIDESFMRTCFSGFKYLRVLQLPTCSLQVLPSSIGSLKHLRYLGISFNEAITKLPSAICKLQSLQTLRFLGCDNLEELPRDISKLLSLTSLELTTKQTSFPKNGVGCLKSLRFLGIVKCSNLTSLPRETSYLASLQTLWIVECKQLDLGKVNYQGPQLRLQKLFIRDVSRMVALPEWFQKAANTLQVLVIRMCENLEALPDWLENFTSLTKLVISSCQKLSSLPEGIRSLTSLRLRELVIEDCPKLERRC >ONH97863 pep chromosome:Prunus_persica_NCBIv2:G7:19470636:19472915:-1 gene:PRUPE_7G214800 transcript:ONH97863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILHTCAPVLQSPNTSLSLFPSSTSRFPPFCTVKLPFRSFYAQPGQPRKSVFVAKAGRGLKLKTEKVSEGEDDKEHDFIDIEAEVEAEAEDRFSGRGGFTGREEEKDFDRDPEFAEIMGACLDDPQKARSKMEDRLRKKRNKILHTKSGSGVPMNVTFNNFGFSNSYIWFEFYNTPLEQDVSLISDTFRSWHIIGRMGGCNSMNMQLSQSPLDKRPSYDAIQGANVTPTTFYNIGDLEIQDNLARVWVDIGTTEPLLLDVLINALTQISSDFVGIKQVVFGGSEFENWKENLTSEDAGYSVHNI >ONH96414 pep chromosome:Prunus_persica_NCBIv2:G7:14850309:14852227:1 gene:PRUPE_7G127700 transcript:ONH96414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGRKNHRNRNAAIQLAVGGDGAVIGAAGGNAVLDGNVVNQHRPITQIIGSLIMHILGFGFILCSKGRSIKQIILVEN >ONH94562 pep chromosome:Prunus_persica_NCBIv2:G7:3515060:3518570:1 gene:PRUPE_7G022400 transcript:ONH94562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLWRSVGAKSQRDLWKRKVEQIAEEAQSLKESLDKYNLRNQKRAQEARERSELLGRANGEGAHVLRIFDDEAQARASVHSSSRMLEEASATGESILRKYAEQRDWLKSAQRKGLDVLNTMGLSNSVLKLIERRNRVDQSIKYAGMVLTVVIVVYLIWRWR >ONH94560 pep chromosome:Prunus_persica_NCBIv2:G7:3515060:3518570:1 gene:PRUPE_7G022400 transcript:ONH94560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLETALFLLWSWCGCFLLWRCVVVAFIALWYESFGALGCFAFGEKTKDIITANFGQSLVNEILKQRLLIGITIAMAMSVEIGGGTLSEIYQSAKKLLLRTRDGLEKLERLENAGGIDSPDLSTSVKRDISQIQSLCSEMDRLWRSVGAKSQRDLWKRKVEQIAEEAQSLKESLDKYNLRNQKRAQEARERSELLGRANGEGAHVLRIFDDEAQARASVHSSSRMLEEASATGESILRKYAEQRDWLKSAQRKGLDVLNTMGLSNSVLKLIERRNRVDQSIKYAGMVLTVVIVVYLIWRWR >ONH94561 pep chromosome:Prunus_persica_NCBIv2:G7:3515060:3518466:1 gene:PRUPE_7G022400 transcript:ONH94561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSVEIGGGTLSEIYQSAKKLLLRTRDGLEKLERLENAGGIDSPDLSTSVKRDISQIQSLCSEMDRLWRSVGAKSQRDLWKRKVEQIAEEAQSLKESLDKYNLRNQKRAQEARERSELLGRANGEGAHVLRIFDDEAQARASVHSSSRMLEEASATGESILRKYAEQRDWLKSAQRKGLDVLNTMGLSNSVLKLIERRNRVDQSIKYAGMVLTVVIVVYLIWRWR >ONH96709 pep chromosome:Prunus_persica_NCBIv2:G7:16004287:16004881:-1 gene:PRUPE_7G147000 transcript:ONH96709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELKEENMEVAAARKSFKKSFSRSKSTRCIDAAQINPTNISFGKIYPCPEADKALFRSLSVVDINRERKIAHSASLPSHLSTPRHDFDYRSSRRIFIGSYPFNKKVEKTLSFSKIRKWLPLLFSREKRKKRVV >ONH95583 pep chromosome:Prunus_persica_NCBIv2:G7:11479907:11482243:1 gene:PRUPE_7G079100 transcript:ONH95583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSTTYSLPLAITFVLLMLYVSNAQLNTTFYSSTCPNVTTIVRSVVQQALQSDSRIGASLIRLHFHDCFVNGCDASILLDKGGSIQLSEKDAAPNTNSTRGFDVVDNIKTAVENSCPAVVSCADILALAAEASVSLSGGISWNVLLGRRDSLTANQAGANTSIPSPFEGLANITSKFSAVGLNTNDLVALSGAHTFGRAQCQRFSNRLYNFNGTGNPDPTLNSSYLTTLQQTCPQNGSGTALANLDPTTPDSFDNSYFSNLQNNQGLLQSDQELFSTTGAATVSIVNSFSSNQSAFFQSFAQSMINMGNISPLVGSNGEIRLDCKKVNGG >ONH96606 pep chromosome:Prunus_persica_NCBIv2:G7:15599690:15601064:-1 gene:PRUPE_7G140400 transcript:ONH96606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLIGGAAVGLIFSELYKGVENLIEKTKKFSPHLKAITATVDSLKPVIDQIEKQNKELNLSNEETEKLKKIISDGIKLVGDCSKNPKWYRKASYTDKITDLDEALKRQLEILKAQGLRDGKETLIRVTEIKKLINQLQASLLQASCLLLVLIIFLILVSRVMFSVPGGVREQQLATSSASVPGGGIILSRPARLQLGYFVVWLFGKLLVYAVYGVFVCMAIGIVCGCVEAIQDQYRLGFSGLKLPEWDELRELPMMIVGLCMCLYLLKGLLGLTNWINKQL >ONH98028 pep chromosome:Prunus_persica_NCBIv2:G7:19928533:19929405:1 gene:PRUPE_7G224000 transcript:ONH98028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKNWQQQPYKALKLKPLLHKNLQLQASKAQLGFKNLKSWQHMTCLINRKTEDPGYEDKEMKDSIKGAHDLLYLKHTILDYKTARKLEHMKSKILSGIELNSPSGSKQKALRIQESEATNGAAQGRRELQFQQEGGGGLFYGHYAPPDLPPITSLRKLIQDCCKPFEKQLTPSDVSKTPRLCLNKEYVENHIKPVLRDGENPNEGIDVTTYDMEGKEYPMKFTTWGTDLYVLSKGWKTFCHDVGLVETQDFLTLCVFRHAKNGGLCFAIHSRRLPLFKSIKKRRQRKQN >ONH96016 pep chromosome:Prunus_persica_NCBIv2:G7:13200274:13201140:-1 gene:PRUPE_7G102100 transcript:ONH96016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSFCHQRSPSSDRFLGFFSFSPASSATAGEELNEAEVFWTTDFTEPSDAQNPRLSLTRRVNSGILAVLPEPGLPAPVLYRKPALSSSSSSKPIPSIPRFSQSQSQSQSQSQSVPTSRKFQSSAPMKVPMLSRAVEKHRRNDDLADVVDDDDDGDDEMLPPHELVARGSGLSARTTFSVLEGVGRTLKGRDLRQVRNAIWRKTGFLD >ONH96435 pep chromosome:Prunus_persica_NCBIv2:G7:14904293:14907624:1 gene:PRUPE_7G128800 transcript:ONH96435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKEYIEKYGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICNLFTNIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGLNILPSQIKSHSGTFSSLLHLQASLSSSSPSSYRGSNNSTAYYAQTRSFSSALEPISFSPSLLSRSSTNAASVLHQVHQERFVGRAMQHNYQVKDNILMFGGEASCSSSDGSCSNQISQCRDREYEYNYNFFNGGEGHQKIMLPDGLNGWRSEKQNGNGIWEDQAPLDYGLEEIKQLISSTSSCNNFLFDENKTEEKAMMYY >ONH96434 pep chromosome:Prunus_persica_NCBIv2:G7:14905368:14907624:1 gene:PRUPE_7G128800 transcript:ONH96434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKEYIEKYGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICNLFTNIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGLNILPSQIKSHSGTFSSLLHLQASLSSSSPSSYRGSNNSTAYYAQTRSFSSALEPISFSPSLLSRSSTNAASVLHQVHQERFVGRAMQHNYQVKDNILMFGGEASCSSSDGSCSNQISQCRDREYEYNYNFFNGGEGHQKIMLPDGLNGWRSEKQNGNGIWEDQAPLDYGLEEIKQLISSTSSCNNFLFDENKTEEKAMMYY >ONH98247 pep chromosome:Prunus_persica_NCBIv2:G7:20605566:20607480:1 gene:PRUPE_7G238400 transcript:ONH98247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKKANIVAASSVITLIIFIIVARVSLKLSPTFFLICGAGIAVILAVFAWLIIRHHYNCRRKLMESNYASEGRELRIEYSFLRRVAGVPTKFRYKELEDATDHFQALLGKGASASVYKGILSDGTPVAVKKLDAEERREKEFRSEVAAIASLQHVNLVRLLGYCCVPAGPRFLVYDFISNGSLDSWIFSRKERPNRRGGCLSWDLRYRVAVDVAKALSYLHHDCRSRILHLDVKPENILLDESYRAVVADFGLSKLMGKDESKIMITIRGTRGYLAPEWLLEHGISEKSDVYSYGMVLLEMIGGRRNISFVEKGNDRSQRKRQYFPKTVSEKMREGKLMEVVDQRLIESGGIDEREVKKLVLIALWCIQERARLRPSMSHVVDMLEGRVTVEEPPDTHMVVVDFLAIDEDPANGHERPKIAAALAAKQFDCKDASTSTCSYANTMSSISPR >ONH98248 pep chromosome:Prunus_persica_NCBIv2:G7:20605566:20607480:1 gene:PRUPE_7G238400 transcript:ONH98248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNYASEGRELRIEYSFLRRVAGVPTKFRYKELEDATDHFQALLGKGASASVYKGILSDGTPVAVKKLDAEERREKEFRSEVAAIASLQHVNLVRLLGYCCVPAGPRFLVYDFISNGSLDSWIFSRKERPNRRGGCLSWDLRYRVAVDVAKALSYLHHDCRSRILHLDVKPENILLDESYRAVVADFGLSKLMGKDESKIMITIRGTRGYLAPEWLLEHGISEKSDVYSYGMVLLEMIGGRRNISFVEKGNDRSQRKRQYFPKTVSEKMREGKLMEVVDQRLIESGGIDEREVKKLVLIALWCIQERARLRPSMSHVVDMLEGRVTVEEPPDTHMVVVDFLAIDEDPANGHERPKIAAALAAKQFDCKDASTSTCSYANTMSSISPR >ONH94240 pep chromosome:Prunus_persica_NCBIv2:G7:668493:672967:-1 gene:PRUPE_7G005900 transcript:ONH94240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVAEKESDQQNNNNNSEKNNNTSYAQALVDSHESDEFRERQARDLKAGLHPLKHKFVFWYTRRTLGMRSQTPYEDNIKKIVDFTTVEAFWVCYCHLARPATLPSPTDLHLFKDGIRPLWEDSANCHGGKWIIRFKKAVSGRFWEDLVLALVGDQLDYGENICGAVLSIRFNEDILSVWNRNASDHQAVMALRDAIKRNLKLPHSYLMEYKPHDASLRDNSSYRNTWLRG >ONH95001 pep chromosome:Prunus_persica_NCBIv2:G7:8150420:8152173:1 gene:PRUPE_7G045600 transcript:ONH95001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSNKGFGIRVDKMRLFQCAKAARSLIPKIQSVPRILRSHRNSEKYFEPRVVAMTPIYTIVNRAYPAPKRLAPRQPRLLPCRRKTHFRAPTAPINQCKYKEADELKYILAADFIHDSGQKDEDLYKKIKDCIKLIKDCYDMETTKCYDDDEILFIDGCSTLQFIYKRHCLEEYGMKRDQEAFAEQDLFLPENQLPYQELSAMERFALWQITAAEEPPDEYKRGFQQREGRPINMGEEPQPAHLPDLLRKRLLGPALTHMEKWISTEDRFPLYHNAQELKAARTHFGRGKGFLSLPPINEDAMSLFLNLIAYEMCPDFLNDFVVTFFFGFPSSVVAHPDDAKQLQSARIFCNLRGSDEALADLFHEIGPDLASTHSIHNIVSAN >ONH95915 pep chromosome:Prunus_persica_NCBIv2:G7:12796225:12797096:1 gene:PRUPE_7G095800 transcript:ONH95915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYRNRLCPAVDFGTCSVVRGDAEHWCHKLIDQSSRCELHSCINECSKEPSGVGVCQNTVCFCTYYCKDPVK >ONH95664 pep chromosome:Prunus_persica_NCBIv2:G7:11851351:11853879:-1 gene:PRUPE_7G083600 transcript:ONH95664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWDAYRFSISWSRLLPNGKLSGGVNKEGIKYYNNLINELLRNGLTPFVTLFHWDLPQTLEDEYGGFLSPHIVNHFQDYAELCYKEFGDRVKLWSTLNEPYTFSDYGYATGTLAPGRCSTWQQLNCTGGDSSIEPYLVTHHQLLAHAAAVKLYKNKYQASQNGVIGITLVSNWFEPLSEEKENKNAALRALDFMFGWFAEPLTSGDYPQSMRSLVGSRLPKFTKEQSKLLIGSFDFLGLNYYTGYYASDAPQNNSVYASYTTDVGVNLSSERNGVPIGPKSESGGLNVYPQGIQHLLLYTKKKYHNPIIYITENGVDELNDPKLSLAEALNDTHRIDFYNRHLHYVQSSIDNGVKVKGFFPWTFLDDFEWNSGFSLRYGITYVDHNDGLKRHPKLSAHWFKSFLKKY >ONH96952 pep chromosome:Prunus_persica_NCBIv2:G7:16755044:16758221:-1 gene:PRUPE_7G161700 transcript:ONH96952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHSVGWVASQKRWLLAFLVMLSVSTLIAFFIRAAFDSCDRRMDVVDKRVPLGSPIGTSPSPLSFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGTEVCWVTTMKPSDADVVIYSLEHKMLDRGVQVLSENGQEAVYTALKADLVVLNTAVSGKWLDVVLKENVPRVLPKVLWWIHEMRGHYFKLDYVKHLPLVAGSMIDSHVTAEYWENRTRERLGIKMPETFVVHLGNSKELMEVAEDSVAKRVLREHVRESLGVRREDLLFAIINSVSRGKGQDLFLRSFYESLRLIQEKKLQLPRMHAVIVGSDMTAHTKFEHELRNFVSMKKIQDHVHFVDKTLTVAPYLAAIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGTTGLLHPVGKEGITPLAKNIVKLATHVERRLTMGKRGYKRVKERFLEHHMANRIAAVLKEVLHKSKSHSDS >ONH96951 pep chromosome:Prunus_persica_NCBIv2:G7:16754628:16758546:-1 gene:PRUPE_7G161700 transcript:ONH96951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHSVGWVASQKRWLLAFLVMLSVSTLIAFFIRAAFDSCDRRMDVVDKRVPLGSPIGTSPSPLSFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGTEVCWVTTMKPSDADVVIYSLEHKMLDRGVQVLSENGQEAVYTALKADLVVLNTAVSGKWLDVVLKENVPRVLPKVLWWIHEMRGHYFKLDYVKHLPLVAGSMIDSHVTAEYWENRTRERLGIKMPETFVVHLGNSKELMEVAEDSVAKRVLREHVRESLGVRREDLLFAIINSVSRGKGQDLFLRSFYESLRLIQEKKLQLPRMHAVIVGSDMTAHTKFEHELRNFVSMKKIQDHVHFVDKTLTVAPYLAAIDVLVQNSQGTAAGGTTEIVVNGTTGLLHPVGKEGITPLAKNIVKLATHVERRLTMGKRGYKRVKERFLEHHMANRIAAVLKEVLHKSKSHSDS >ONH97570 pep chromosome:Prunus_persica_NCBIv2:G7:18598343:18600884:1 gene:PRUPE_7G197400 transcript:ONH97570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHPPTMPIDIEISAVDKQNICLLTNLINRLIRCIYPLHGVSASITHLPRDELLLLLIAKNQLPNVKKKQQQEDKKEVIKKANHKTVAAVAVAAAAATITTFLSLVSPPLTFLWLHDYVYAFVPRHSFSPPQNFKI >ONH95993 pep chromosome:Prunus_persica_NCBIv2:G7:13101213:13111889:-1 gene:PRUPE_7G100800 transcript:ONH95993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIPFCHVTLAFSVHKQPRQPTPSLKPKPSPKKFFAFRSIFPVLFSPTKQIFRPVLSPSLYMATKLCHNGFLSPSSSNPWHSRTPARKYYSGSKVVDLDHLLSNWGYSRKRCFIRLALLEHNNGYSLNLRAVGHRKCYLNFRKARRMGNLVPLASADDGVTVNGSPQASTSRDVEAIKVKLNQSLNGEDSSDGLVQFLHEAARVFELAIKEQGSFSKLSWFSTAWLSVDKNAWVKALCYQASVYSLLQAASEIASRGDGRDRDINVFVQRSLLRQSASLESLIRDQLSAKQPEAYEWFFSEQVPFVVTSFVNYFEGDSRFTAATIASRKGTLLGSSNTSDISLLMLALTCNAAITKLGQAKVSCPQFFSTIPDITGRLMDMLVDFIPIRQAYLSVKDIGLRREFLVHFGPRAATCRVKNDRGSEEVVFWVDLVQMQLQRAIDRERIWSRLTTSESIEVLERDLAIFGFFIALGRSSQSFLSANGFDVLDEPLGGFVRFLIGGSILYYPQLSSISSYQLYVEVVCEELDWLSFYPGNSGTPKQSHGHKSKWEGPPNAEAIPQVLEVCLHWMQSFIKYSKWLESPSNVKAARFLSRGHNKLVECMEERGLLKNEKMKSYSDNTVERTRSGTRPPSEKELDSFDKALESVEEAVIRLEKLLQDLHVSSSNSGKEHIKAACSDLEKIRKLKKEAEFLEASFRTKAASLKEEGNRSRSSINKQQQFLIGKNRKNGNMMIDGGNRASSNSRGLWSSFMRPPTRKSNPELIVEEPDNEFVEQTASNIDFEDPESTKIQRFELLRNELIELEKRVQRSADQSENEDIKPADDSSTYEDDIGATQLVQVQKKENIIEKSFDKLKEASTDVWQGTQLLAIDTAAATGLLRRVLIGDELTEKEKKILRRTLTDLASVFPIGVLMLLPVTAVGHAAMLAAIQRYVPALIPSTYGPERLDLLRQVEKLKEMESSEDSSNESMEELA >ONH95992 pep chromosome:Prunus_persica_NCBIv2:G7:13101435:13111880:-1 gene:PRUPE_7G100800 transcript:ONH95992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIPFCHVTLAFSVHKQPRQPTPSLKPKPSPKKFFAFRSIFPVLFSPTKQIFRPVLSPSLYMATKLCHNGFLSPSSSNPWHSRTPARKYYSGSKVVDLDHLLSNWGYSRKRCFIRLALLEHNNGYSLNLRAVGHRKCYLNFRKARRMGNLVPLASADDGVTVNGSPQASTSRDVEAIKVKLNQSLNGEDSSDGLVQFLHEAARVFELAIKEQGSFSKLSWFSTAWLSVDKNAWVKALCYQASVYSLLQAASEIASRGDGRDRDINVFVQRSLLRQSASLESLIRDQLSAKQPEAYEWFFSEQVPFVVTSFVNYFEGDSRFTAATIASRKGTLLGSSNTSDISLLMLALTCNAAITKLGQAKVSCPQFFSTIPDITGRLMDMLVDFIPIRQAYLSVKDIGLRREFLVHFGPRAATCRVKNDRGSEEVVFWVDLVQMQLQRAIDRERIWSRLTTSESIEVLERDLAIFGFFIALGRSSQSFLSANGFDVLDEPLGGFVRFLIGGSILYYPQLSSISSYQLYVEVVCEELDWLSFYPGNSGTPKQSHGHKSKWEGPPNAEAIPQVLEVCLHWMQSFIKYSKWLESPSNVKAARFLSRGHNKLVECMEERGLLKNEKMKSYSDNTVERTRSGTRPPSEKELDSFDKALESVEEAVIRLEKLLQDLHVSSSNSGKEHIKAACSDLEKIRKLKKEAEFLEASFRTKAASLKEEGNRSRSSINKQQQFLIGKNRKNGNMMIDGGNSNSRGLWSSFMRPPTRKSNPELIVEEPDNEFVEQTASNIDFEDPESTKIQRFELLRNELIELEKRVQRSADQSENEDIKPADDSSTYEDDIGATQLVQVQKKENIIEKSFDKLKEASTDVWQGTQLLAIDTAAATGLLRRVLIGDELTEKEKKILRRTLTDLASVFPIGVLMLLPVTAVGHAAMLAAIQRYVPALIPSTYGPERLDLLRQVEKLKEMESSEDSSNESMEELA >ONH97226 pep chromosome:Prunus_persica_NCBIv2:G7:17558955:17563971:1 gene:PRUPE_7G177700 transcript:ONH97226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVNVCRSLPGSSCRPLDRCYRFLPCLSDPARRSALGLKVALVMLHLIYVGMLFLFDGGLIEKTKREPWYTAIYLTLFVATLVQYFVTSASSPGFVLDAMRAVNESNTIFRKALVASKQPASSKNGNVVVTVEGSQMARSLLGSNATSWTKLVMDLYPPGTSVRSLTCSYCNVEQPPRSKHCHDCDKCVLQFDHHCVWLGTCIGLGNHCKFWWYICEETSLCLWTGIMYITYLKENISSAWWKDAIVILLLVTLSISIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRGVPERVYPFSKGACRNLYEFCCLRSSKYRMERLPTAQELEEKSRPYSICDVVTCRCCC >ONH97225 pep chromosome:Prunus_persica_NCBIv2:G7:17558775:17563979:1 gene:PRUPE_7G177700 transcript:ONH97225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVNVCRSLPGSSCRPLDRCYRFLPCLSDPARRSALGLKVALVMLHLIYVGMLFLFDGGLIEKTKREPWYTAIYLTLFVATLVQYFVTSASSPGFVLDAMRAVNESNTIFRKALVASKQPASSKNGNVVVTVEGSQMARSLLGSNATSWTKLVMDLYPPGTSVRSLTCSYCNVEQPPRSKHCHDCDKCVLQFDHHCVWLGTCIGLGNHCKFWWYICEETSLCLWTGIMYITYLKENISSAWWKDAIVILLLVTLSISIIFLLLLLLFHSYLVLTNQTTYELVRRRRIPYLRGVPERVYPFSKGACRNLYEFCCLRSSKYRMERLPTAQELEEKSRPYSICDVVTCRCCC >ONH97518 pep chromosome:Prunus_persica_NCBIv2:G7:18452283:18459366:1 gene:PRUPE_7G194200 transcript:ONH97518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPSNGFLATSGEGEQKRINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPNYPNLPSKLICMLHNVTLHADTETDEVYAQMTLQPVNKYEKEAILASDMGLKQSRQPSEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELMAKDLHDSAWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVMSSDSMHIGILAAAAHAAANNSPFTIFFNPRASPSEFVVPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDTVRWKNSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYICPPPFFRPKFPKQPGMPDDESDIENAFKRAMPWLGDDFGMKSAPNSIFPGLSLVQWMNMQQNNQFSAAQSGYFPSMVPPTGLQNNLSTDDPSKLLSFQAPVLSAPGVQLNKSAPQNQVSQVQQPTVTWPQQQQQQQQQLQQLLHSPMNQQQQSHPQQQQLQQLLHSPMNQQQQNHAQQQQLQQLLQTPTSQQLQNYSHQQREQQQPQESQQLQQQQQLHQQQPQHQPQQQQQRQQQQQQQLQPTVVNNGVVAPNQIPGQNSHQPVMFSQLQQQQLQTGNTQSQQTVHSSSKNSFQFTTGSQDSQLQQQQLEPQPSLLQRQQQSAQLQQSSLQLLHSSMSQKVQQQPQVQQSSQQGISEQQLQLQLLQKLQQQQQQQQQQLLSPSSPLLQPQLLQQQLAHQQNQQLQQLPVSQHHQQQLSGNSFSADKLLNNNFSAPSLMQSQHISSVQPQSQHKPLTAIRSHSGLTEGDGPSCSTSPSTNNCQMSPSNFLNRNQQGTAMLLGDSVAEPASNLVQELQSKSDIRIKHEFPSSKGPDQIKYKGTITDQLEASSSGTSYCLDASTIQQNYALPTFCLDSDVQSHPRNSLPFSANIEGLAPDTLLSRGYDSQKDLQNLLSNYGGTPRDIETELSTAAISSQSFGAANLPFKPGCSSDVAINEAGVLSNGLWANQAQRMRTYTKVQKRGSVGRCIDVTRYKGYDELRHDLARMFGIEGQLEDPHRTDWKLVYVDHENDILLVGDDPWEEFVGCVQSIKILSSVEVQQMSLDGDLGNVPVPNQACSGSDSGNAWRAPYDDNSAASFNR >ONH97517 pep chromosome:Prunus_persica_NCBIv2:G7:18452282:18459747:1 gene:PRUPE_7G194200 transcript:ONH97517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPSNGFLATSGEGEQKRINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPNYPNLPSKLICMLHNVTLHADTETDEVYAQMTLQPVNKYEKEAILASDMGLKQSRQPSEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELMAKDLHDSAWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVMSSDSMHIGILAAAAHAAANNSPFTIFFNPRASPSEFVVPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDTVRWKNSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYICPPPFFRPKFPKQPGMPDDESDIENAFKRAMPWLGDDFGMKSAPNSIFPGLSLVQWMNMQQNNQFSAAQSGYFPSMVPPTGLQNNLSTDDPSKLLSFQAPVLSAPGVQLNKSAPQNQVSQVQQPTVTWPQQQQQQQQQLQQLLHSPMNQQQQSHPQQQQLQQLLHSPMNQQQQNHAQQQQLQQLLQTPTSQQLQNYSHQQREQQQPQESQQLQQQQQLHQQQPQHQPQQQQQRQQQQQQQLQPTVVNNGVVAPNQIPGQNSHQPVMFSQLQQQQLQTGNTQSQQTVHSSSKNSFQFTTGSQDSQLQQQQLEPQPSLLQRQQQSAQLQQSSLQLLHSSMSQKVQQQPQVQQSSQQGISEQQLQLQLLQKLQQQQQQQQQQLLSPSSPLLQPQLLQQQLAHQQNQQLQQLPVSQHHQQQLSGNSFSADKLLNNNFSAPSLMQSQHISSVQPQSQHKPLTAIRSHSGLTEGDGPSCSTSPSTNNCQMSPSNFLNRNQQGTAMLLGDSVAEPASNLVQELQSKSDIRIKHEFPSSKGPDQIKYKGTITDQLEASSSGTSYCLDASTIQQNYALPTFCLDSDVQSHPRNSLPFSANIEGLAPDTLLSRGYDSQKDLQNLLSNYGGTPRDIETELSTAAISSQSFGAANLPFKPGCSSDVAINEAGVLSNGLWANQAQRMRTYTKVQKRGSVGRCIDVTRYKGYDELRHDLARMFGIEGQLEDPHRTDWKLVYVDHENDILLVGDDPWEEFVGCVQSIKILSSVEVQQMSLDGDLGNVPVPNQACSGSDSGNAWRAPYDDNSAASFNRNQK >ONH97621 pep chromosome:Prunus_persica_NCBIv2:G7:18773535:18774330:-1 gene:PRUPE_7G201500 transcript:ONH97621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSSSVKYLDGEADLEGYGVVMVDEANERTLSTDILLGKLKDIALSRQCDFKLLISCSTETDAEKLSGFFDSAPVFKIPRRRLRNLISHMKKMEEGLVNQAVARTLETHVTQSRLTTHTITWQLTKACGHGQLIICPIIHAQALEPTPQGARKVVLATSVAETSLLMLTGIHYVIDCGFCVIKSYHPTAVVGSALLSPISKAMAVQRAEQAGSMCAMLYICGYLNDLQDQTAPEEVQRRDK >ONH98672 pep chromosome:Prunus_persica_NCBIv2:G7:21709634:21711533:-1 gene:PRUPE_7G261300 transcript:ONH98672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKGRDGSSLLHGKYELGRLLGHGTFAKVYHARNLKSGKSVAMKVVGKEKVIKVGMMEQIKREISVMRMVKHPNIVELHEVMASKSKIYFAMDLVRGGELFAKIAKGRLKEDVARVYFQQLISAIDFCHSRGVYHRDLKPENLLLDEDGNLKVTDFGLSAFSEHLKQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADLWSCGVVLYVLLAGFLPFQDDNLVAMYRKIYRGDFKCPPWFSSEARRLVTKLLDPNPSTRITISKVMDSSWFKKSVPKIVRTKQEQEFDETSEKITWKQTETLNAFHIISLSEGFDLSPLFEEKKREEKEELRFATTLPASSVISTLEEVAKAGKFRIKKSDSMVRLQGEESGRKGKLAIAAEIFAMTPSFVVVEVKKDNGDTLEYNQFCSKELRPALKDIVWTSPEDSSTAA >ONH95995 pep chromosome:Prunus_persica_NCBIv2:G7:13122576:13124471:-1 gene:PRUPE_7G101000 transcript:ONH95995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLRRLVRSSPSLSYSSLIPPAFLISCRGLTTKLFVGGLSFYTNDKGLSEAFSQYGQVIEAQIVTDRVSDRSKGFGFVTFASEDEAHKALEEMNGKALNGRVIFVDYAKPKANYGGGMPIARGPPDTIKDS >ONH98893 pep chromosome:Prunus_persica_NCBIv2:G7:22213381:22215343:1 gene:PRUPE_7G271100 transcript:ONH98893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKTRKVSGTPVDEGHKLEYHHHHQRHIKTTEYRRTLSHGRGSGRRLLPASYFSLESLLLLICLTATLLILPLILPPLPPPPFMLLLLPIGILAVLMILAFMPSNVRDLTYTYV >ONH95969 pep chromosome:Prunus_persica_NCBIv2:G7:12994579:12995951:-1 gene:PRUPE_7G099000 transcript:ONH95969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNGSGPKLDLKLNLSPPRASNNNPLLQSPRRSATVSPTSPPSSCVSSELNQEDNFRYSNSPEAEATSMVLVGCPRCLMYVMLSEDDPKCPKCKSTVLLDFVHDNNYNNNSTTTTKTRRS >ONH95727 pep chromosome:Prunus_persica_NCBIv2:G7:12180436:12181857:1 gene:PRUPE_7G087700 transcript:ONH95727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRAILDTFYLTDEQLHNSPSRKDGIDEATETMLRIYGCELIQGSGILLKLPQAVMATGQVLFHRFYCKKSFAGFNVKKVAASCLWLASKLEEECPKKARQVIIVFHRVECRRENKLAIEPLDLNSKKYSDMKTELSRAERHILKEMGFVCHVEHPHKFISNYLATLETSTPRELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFRVPLPENPPWWKAFDAEKSGIDQVCRVLAHLYSLPKANYIPVCKDGGDSSFTNKSWNSISQEPLPKENSPSAIDDKSKEREKERDIDRYRSMRIEQQKIRGHLEKSRHHRDRDYHNSSSSSRDEDLHRHHSYV >ONH95452 pep chromosome:Prunus_persica_NCBIv2:G7:10909129:10911641:1 gene:PRUPE_7G071600 transcript:ONH95452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLYGDYNQKIDYVFKVVLIGDSAVGKTQLLARFARNEFSVDSKATIGVEFQTKTLVLDHKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADKNIVIMLIGNKCDLGSLRAVPTEDAQEFAQRENLFFMETSALEATNVETAFLMILMEIYRIMSKKTLASNDQDGGDSGLLKGTAIIVPSPESDASRKGGCCFSS >ONH97527 pep chromosome:Prunus_persica_NCBIv2:G7:18494452:18495315:1 gene:PRUPE_7G194900 transcript:ONH97527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRMKGILSSLWLERNCLHTDCGSNILTGCIERLLIFSIKGAPNKYLVA >ONH98526 pep chromosome:Prunus_persica_NCBIv2:G7:21310264:21313136:-1 gene:PRUPE_7G252600 transcript:ONH98526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGVHSTRGTTLPFCCYSCSARSSSSVSLTHGQRPPSLGPSCVRYAVLGAGFAGLSVAWHLLKQSPKDSCIRIDIYDEVGIGGGASGVSGGLLHPYSPKAKLLWRAADCWNESLNLLSVAEAAAASAAQNPNEDDPLPIVRRRGILRPALSMKNLMMLKDNAQNCLASCRIETIDNDAAQNLLPSICVPFNTSFYMPEAVNIYPQRYLQALFLACQNLVKELYSDGFGVKELHLHKSSVHKLLDLEGEYQAVIVCLGAKADLLPELCGRLPLRTCRGVVAHLQLPDHLGEEYPSLGPSILSDAWLAVQGPRNLYLGSTWKWKSRNSSPNVCADEATEALNELLPKACAIFPALKDWSFAGARAGLRAMPPLTPHGSLPLLGCVNDIVGENRTSNYWLFGGLGSRGLLYHGWLGKLMAEAVLSCREELIPDELTSWKKRRQ >ONH96454 pep chromosome:Prunus_persica_NCBIv2:G7:15013066:15014702:1 gene:PRUPE_7G130500 transcript:ONH96454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIWHISTNTIRPTNHNDQLIHRIELTPWDLRLIQLDYIQKGLLFQKPAELEQSNSLIQHLQATLSQTLNIFYPLAGRLAVIENEDNTTCFSINCNSDGALFVHAAADGVKMADILDSVYVPDDIVNNLFSMKEAWNYEGISKPLLAAQVTELVDGIFIGCSINHTAADGTSFWHFFNTWSEISRLGGYDKIYKPHPVLGRQFYEGVIDLPIHLPSSYVNEIKSKQLIIGHGSSSDSLQRVVFHFPKEKVAWLKSKANAEMGTIDSNISISSLQALMAHLWRAITRGRHLNPDQEVAYRVAVGLRQRLNPRLPKEYLGNALLGVIVKCTAGELLEHGLGWAASQINKTIASLTAEEARKYMEDWVKAPTFLSNMLGEPTNVELVTGSSPRFNVYGNDFGWGSPVAVRSGIPERKLGKLTVFPGPEDGSIDFEACLLTETLRAMADDAEFMKAVLT >ONH96455 pep chromosome:Prunus_persica_NCBIv2:G7:15012640:15014510:1 gene:PRUPE_7G130500 transcript:ONH96455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAWNYEGISKPLLAAQVTELVDGIFIGCSINHTAADGTSFWHFFNTWSEISRLGGYDKIYKPHPVLGRQFYEGVIDLPIHLPSSYVNEIKSKQLIIGHGSSSDSLQRVVFHFPKEKVAWLKSKANAEMGTIDSNISISSLQALMAHLWRAITRGRHLNPDQEVAYRVAVGLRQRLNPRLPKEYLGNALLGVIVKCTAGELLEHGLGWAASQINKTIASLTAEEARKYMEDWVKAPTFLSNMLGEPTNVELVTGSSPRFNVYGNDFGWGSPVAVRSGIPERKLGKLTVFPGPEDGSIDFEACLLTETLRAMADDAEFMKAVLT >ONH96371 pep chromosome:Prunus_persica_NCBIv2:G7:14643595:14645070:-1 gene:PRUPE_7G124100 transcript:ONH96371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESHDDQLHFVLIPLMSPGHLIPMADNAKLLAERGVVVTIVTTPLNAIRIKPIIDRSIDSGLPIQLVQFSLPLQEFGLPEGCENMDSVPSRKLFWNFFAAVEKLQEPVEKFLETMKPNPSCIIADKYMAWTANIARKFRIPRLLFDGTSCFALLCSHNIQEHKVLESVSGSEPFLVPGLPDEIELTKFQLPGNMNPGSKDFSSLHDKVKESEEGAYGIVVNSFEELEFEYVKEFKKVNQGRVWSIGPVSLSNKTALDKAQRGNMASIDENKCLNWLGSWPQSSVVYACLGSLSQVTTLQLVELGLGLEASNRPFVWVISRNKIDEWEKWLLEDGFEERINGRGLLIHGWAPQVLILSHPAVGGFLTHCGWNSTLEGICAGIPMITWPLFAEQFYNEKFIVQVLKIGESVGAKVAIPLGEQEISKVLVKRVEFKEAIDKVMIKEGKEGEDRRKRARELAVLAKKATEGRGSSYLNMTLLIEDIRSCKANN >ONH96495 pep chromosome:Prunus_persica_NCBIv2:G7:15140559:15143462:1 gene:PRUPE_7G132800 transcript:ONH96495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNKSFSSNYFLLTPEEVGFFDLIHILFSTTKFKEGNKFLDMSKVEEINFRRRWFIFLSILGQKFMQFVSKPLSELGSGIEVALNLIASNRNVFVLMLNFLRGRAVKPDKTSATFLSFIGIIDKRVELDSSIKHDDANYHAALSAMASKASYENSACIETIVKNHWKMEFLGFYDFWNDYQGKATTQAFLLRDKTCDQDTIVVAFRGTEPFDADAWCSDFDFSWYELYGVGKIHGGFMKALGLHKNDGWPKEEVKQDGNPPRPRAYYAIRDMLNKLLKQNDESRYIVTGHSLGGALAILFPAILAMHDEAWLLERLKGVYTFGQPRVGDEKFGEFMEKQLKERRVPYFRIVYGNDMVPRLPFDDNALMYKHFGTCLYYNRHYEVKIVEEEPYRNYFSPLGAIPKMINAFWELFRSFTISYTKGSGYKEGWLLIIFRIIGLVLPGAPAHSTQDYVNATRLGSSDVFVPPK >ONH96745 pep chromosome:Prunus_persica_NCBIv2:G7:16103928:16106834:1 gene:PRUPE_7G149000 transcript:ONH96745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGSSGRLPTWKERENNKRRERRRRAIAAKIYSGLRAQGSYKLPKHCDNNEVLKALCAEAGWVVEEDGTTYRKGCKPSPMEIAGTPTNMSACSSIQQSPQSSAFPSPVPSYHASPSSSSFPSPTRFDGNPSSYLLPFLRNIASIPTNLPPLRISNSAPVTPPLSSPTSRGSKRKPDWDSLTNGCLNSLRHPLFAASAPSSPTRRHHLTPATIPECDESDASTVDSGRWVSFQTGAPSVAPPSPTFNLMKPVAEQSVLQNTINGHGGMTWGNTTERGRGSEFEFESGTLKAWEGERIHEVGVDDLELTLGNGKNHV >ONH94822 pep chromosome:Prunus_persica_NCBIv2:G7:6112128:6113471:1 gene:PRUPE_7G032800 transcript:ONH94822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFLPCHNYLLNNSLSGTIPRSLGSLTSLQFLGLSSNKFSGEVPSLKNCTNLNIFDLGDNKFSGLILVFIGESMPNLQILSLRSNSFTGSIPLKLCGLPALHILDFSHNNLSGNIPHCIGNLSYLKSKFTDEYNNGYLGRFELVSKGRVFVYDYLSILYLVTSIDLSDNKLKYSSKYRKLELIETLDLSMNKIPGSITQSMVYLTFLNHLNLSYNNLSGKIPTGNQFQTFVDPSIYEGNPGLSSCPLPIVCQDNEGAPQVPHGDGGEDDDSKLEKLQFIISLVIGLCTGFWGVFGTLAMKRSWRYAYFHFLDKVKDVVLYFVSAIGTYLQKRSYSTEQAANVNAYMYASK >ONH96418 pep chromosome:Prunus_persica_NCBIv2:G7:14864151:14864559:1 gene:PRUPE_7G128100 transcript:ONH96418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKTLHISFFVLHNKTITLILLSQNQTFDSVDHLRKYNPNTNQPCILIFYINIYTCNLKSRWPRKMVQTTQNLSRQPQNLKLQRHAYGAERHTSIKTT >ONH97834 pep chromosome:Prunus_persica_NCBIv2:G7:19389129:19392634:1 gene:PRUPE_7G213100 transcript:ONH97834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFIRTGCGSVSVIVYGDQEKPALITYPDLALNHMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAASIFPDDPVPSVDDLADQILEVLNFFGLGAVMCMGVTAGAYILSLFAMKYRERVLGLILVSPLCKSPSWTEWFYNKVMSNMLYFYGMCGLLKECLLQRYFSKEVRGSAEVPESDIVQACRKLLEERQSSNVFRFLQAINRRPDITEGLKSLRCRTLIFVGDSSPFHSEALHMTAKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFFMGYGLYRPCHFSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLGR >ONH97835 pep chromosome:Prunus_persica_NCBIv2:G7:19388428:19392644:1 gene:PRUPE_7G213100 transcript:ONH97835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAASIFPDDPVPSVDDLADQILEVLNFFGLGAVMCMGVTAGAYILSLFAMKYRERVLGLILVSPLCKSPSWTEWFYNKVMSNMLYFYGMCGLLKECLLQRYFSKEVRGSAEVPESDIVQACRKLLEERQSSNVFRFLQAINRRPDITEGLKSLRCRTLIFVGDSSPFHSEALHMTAKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFFMGYGLYRPCHFSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLGR >ONH97833 pep chromosome:Prunus_persica_NCBIv2:G7:19388426:19392627:1 gene:PRUPE_7G213100 transcript:ONH97833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNDAVSLDMEKIYLGGKEHFIRTGCGSVSVIVYGDQEKPALITYPDLALNHMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAASIFPDDPVPSVDDLADQILEVLNFFGLGAVMCMGVTAGAYILSLFAMKYRERVLGLILVSPLCKSPSWTEWFYNKVMSNMLYFYGMCGLLKECLLQRYFSKEVRGSAEVPESDIVQACRKLLEERQSSNVFRFLQAINRRPDITEGLKSLRCRTLIFVGDSSPFHSEALHMTAKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFFMGYGLYRPCHFSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLGR >ONH97836 pep chromosome:Prunus_persica_NCBIv2:G7:19389803:19392627:1 gene:PRUPE_7G213100 transcript:ONH97836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAASIFPDDPVPSVDDLADQILEVLNFFGLGAVMCMGVTAGAYILSLFAMKYRERVLGLILVSPLCKSPSWTEWFYNKVMSNMLYFYGMCGLLKECLLQRYFSKEVRGSAEVPESDIVQACRKLLEERQSSNVFRFLQAINRRPDITEGLKSLRCRTLIFVGDSSPFHSEALHMTAKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFFMGYGLYRPCHFSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLGR >ONH98219 pep chromosome:Prunus_persica_NCBIv2:G7:20529164:20529391:-1 gene:PRUPE_7G236500 transcript:ONH98219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLSKLIISASWCEVHGDGVDDFNGDDIFALAACIEGDGDDDDSDYDYAPAAAAAMEGDDDDDDDGGYDYAPAA >ONH98719 pep chromosome:Prunus_persica_NCBIv2:G7:21831548:21831887:1 gene:PRUPE_7G263400 transcript:ONH98719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQLHQQWREEGSGFGASSTNDGLLPHKYTKAFTKVVMMLSVCSLLNWCSLPIIQNALYYIDIKLQIPQLD >ONH98536 pep chromosome:Prunus_persica_NCBIv2:G7:21334810:21338836:-1 gene:PRUPE_7G253000 transcript:ONH98536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFHCFFYLNFLLIPLMFFVAVSAADLYSDTLLSLKSELVDDHGSLEDWFVPSGYNPSGKIYACSWSGVKCNKNSTVTGLDLSMKMLGGAISGKQFNVFTELVDLNLSYNSFSGQLPVGIFNLTSLRSLDISRNNFSGHFPGGVSGLGNLVVLDAFSNSFSGSLPTEVSQLPHLKVLNLAGSYFKGPIPSEYGSFKSLEFLHLAGNMISGSIPPELGKLKTVTHVEIGYNFYQGSIPWQLGNMSELQYLDIAYANLSGSIPRELGNLTKLESLFLFRNQLSGLLPGEFSKIRSLASLDLSDNLLSGPIPESLLELKSLRLLSLFYNDMSGTVPEGIAELPSLETLLIWNNFFSGNLPQSLGRNSNLKWVDVSTNNFNGSIPADICLQGVLFKLMLFSNNFTGGLSTSLSNCSSLVRLRLEDNSFSGEIPLKFSRLPDITYVDLSGNKLTGGIPIDISQAPKLEYLNVSNNPELGGTIPAQTWSSPLLQNFSASSCGILGYLPPFQNCKSISVVELSMNSLEGTVPESVSNCQALERFALANNNLSGHIPEELAGVPTLGVLDLSHNSFSGPIPAKFGSSSSLLLLNVSFNDISGTIPSAKLLRAMGSSAFIGNPKLCGKPLRPCPSSVAIFGSRGAGKLIWVLLLCAGVIMFITLSILGIIYIQRGSKSQWKMISFAGLPQFTANDVLMSFSSIESMDALPPLSASACKAVLPTGITVSVKKIEWEAKRMGVMLEFITQIGNARHKNLARLHGFCYNKHLAYLLYDYTPNGNLAEKIRVKREWAAKYKIVIGIAKGLCFLHHDCYPAIAHGDLRSSNIVFDENMEPQLSEFGFKHLLELNKGSLAAATSKRDTGDTNSATKEELYRDVYSFGEIMLEILSNGRLTNSGASIQSKSREVALREIYNENEVGTNVPVREEIKLVLEVATLCTRSRPSDRPSMENTLKLLSEWKSNQKNNPTIEAAAAAAAGL >ONH98861 pep chromosome:Prunus_persica_NCBIv2:G7:22141690:22143969:-1 gene:PRUPE_7G269600 transcript:ONH98861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKEDPRPPKIIEAQSISIRSHHNPPAVDKLQQLLSTGGGGSGWDKCWEQGLTPWDLGQPTPVIAHLHRQGALPKGRALVPGCGTGYDVVAIASPERHVTGLDISENAIKKAAELFSSLPEARYYSFLKVDFFTWHPTELFDLIFDYTFFCAVEPEMRSVWARKIRDILKPDGELITLMFPISDHIGGPPYKVSISDYEEVLHPMGFKATSIVDNELAVPPRKGREKLGRWKRSLSESSL >ONH98860 pep chromosome:Prunus_persica_NCBIv2:G7:22141140:22144410:-1 gene:PRUPE_7G269600 transcript:ONH98860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKEDPRPPKIIEAQSISIRSHHNPPAVDKLQQLLSTGGGGSGWDKCWEQGLTPWDLGQPTPVIAHLHRQGALPKGRALVPGCGTGYDVVAIASPERHVTGLDISENAIKKAAEISDHIGGPPYKVSISDYEEVLHPMGFKATSIVDNELAVPPRKGREKLGRWKRSLSESSL >ONH98862 pep chromosome:Prunus_persica_NCBIv2:G7:22141140:22144410:-1 gene:PRUPE_7G269600 transcript:ONH98862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKEDPRPPKIIEAQSISIRSHHNPPAVDKLQQLLSTGGGGSGWDKCWEQGLTPWDLGQPTPVIAHLHRQGALPKGRALVPGCGTGYDVVAIASPERHVTGLDISENAIKKAAELFSSLPEARYYSFLKVDFFTWHPTELFDLIFDYTFFCAVEPEMRSVWARKIRDILKPDGELITLMFP >ONH96444 pep chromosome:Prunus_persica_NCBIv2:G7:14961711:14968427:1 gene:PRUPE_7G129600 transcript:ONH96444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDSQGIKTIEQWRWSEMQGLELVSDAEPSSDPFKTNPSKPTTPSTTTAAALDRDPRVEDQEAQEVVNKAERREAMESSEPKKDSSGGGDGGNSSGGEKPEAFPSVGFGELFRFADGLDYVLMAIGSVGAIVHGCSLPIFLRFFADLVNSFGANANDMDKMMQEVLKYALYFLVVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGAIHTTTLGKLSGKSQEALSQAGHTVEQTVVQIRVVLSFVGESRALQTYSSALKVAQRLGYKSGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHFTNGGLAIATMFAVMIGGLALGQSAPSMGAFAKAKVAAGKIFKIIDHKPGMDRNSEAGLELESVTGLVELKNVDFAYPSRQDVRILNNFSLNVPAGKTIALVGSSGSGKSTVVSLIERFYDPSSGQVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPDADQVEIEEAARVANAHSFIVKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGTVSEIGAHDELISKGENGVYAKLIRMQEMAHETALNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDASYPNYRLEKLPFKEQASSFWRLAKMNSPEWVYALVGSIGSVVCGSLSAFFAYVLSAVLSVYYNPDHDFMIKQINKYCYLLIGLSSAALLFNTLQHFFWDIVGENLTKRVREKMLTAVLKNEMAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPVVVAATVLQKMFMTGFSGDLEGAHAKATQLAGEAIANVRTVAAFNSEGKIVGLFSSNLQIPLRRCFWKGQIAGSGFGIAQFALYGSYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRKTEIEPDDPDATVVPDRLRGEVELKHVDFSYPTRPDVPVFRDLSLRARAGKTLALVGPSGCGKSSVIALIQRFYDPTSGRVMVDGKDIRKYNLKSLRRHIAVVPQEPCLFATTIYENIAYGHESATEAEIIEAANMANAHKFISALPEGYKTFVGERGVQLSGGQKQRVAIARALLRKAELMLLDEATSALDAESERSIQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYPDGCYARMIQLQRFTHTQAIGMASGSSSSVKPRDDEDREGK >ONH94622 pep chromosome:Prunus_persica_NCBIv2:G7:3724727:3725250:1 gene:PRUPE_7G023600 transcript:ONH94622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFCCQNVINLVLCTISVRSSGNALDIFTDIKYISTNFQFLLSFSPIWRISLKCCRKKPSAPNVLAT >ONH94567 pep chromosome:Prunus_persica_NCBIv2:G7:3554961:3561606:-1 gene:PRUPE_7G022800 transcript:ONH94567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGVVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARTKSEANNYESVYGEQIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGRQAAKTEIEKLKLSELTCRQGVIEVAKIIYGIHDEAKDKDFELEMSWVCDESNRQHQKVPDELLEEAKAAARAALEEMDAD >ONH94568 pep chromosome:Prunus_persica_NCBIv2:G7:3558533:3561544:-1 gene:PRUPE_7G022800 transcript:ONH94568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGVVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARTKSEANNYESVYGEQIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGRQAAKT >ONH97038 pep chromosome:Prunus_persica_NCBIv2:G7:17004767:17006403:-1 gene:PRUPE_7G166200 transcript:ONH97038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRSGQSRAD >ONH95449 pep chromosome:Prunus_persica_NCBIv2:G7:10897018:10897773:1 gene:PRUPE_7G071300 transcript:ONH95449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKRMFSTVFILVLLFVAIGTGPMVAEGKVETKETSRTCESLSTKFKGPCIRSSNCANICEEEGFKGGKCVGFRLRCTCTKNC >ONH97062 pep chromosome:Prunus_persica_NCBIv2:G7:17074493:17078260:1 gene:PRUPE_7G167600 transcript:ONH97062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNNNTNTNPDKPVDPFLVGYQPSDLQIASEFLTNWLSFLSRDLCNHCSTKLADRVRSLGSELDGDSKPVNPDENSSDLTPKTPELQGASDNGEDNYNDEDSNSLGSWKNGVHGISESDPEASSSGLAPKSPSVETQSSRMSWADMAQEDELEEEEERELSKRVVNVNATTGELRITKPTLSREQREYIRFMNVKRQKDFICLERVNGKFANILEGLELHTGIFSAAEQKRIVDFVYSLQEMGKKGELKARTYTAPQKWMRGKGRVTIQFGCCYNYAVDKNGNPPGILQNDVVDPIPPLFKVIIRRLVKWHVLPPTCVPDSCIVNIYEEGDCIPPHVDNHDFLRPFCTVSFLSECDILFGTNLKVIGAGEFDGSFAIPLPVGSVLVLNGKGADVAKHCVPAVPTKRISITFRRMDEFKRPKGYAPEPDLQDIQPLSYEEDKIIRLNTPRGERQRQSTRRVGNSEMRGSTERSHVHPEPHDSTWSRRGSGMRWNRGRGVNVNFGG >ONH95917 pep chromosome:Prunus_persica_NCBIv2:G7:12797621:12800143:1 gene:PRUPE_7G095900 transcript:ONH95917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPKPEKGTSAFHGEIGHGNMLDGAGFSPTEEEEEEETSSTNAAPAVIVEKPRDEVAILIEGKVRDTPSFWPDCCIYKVPQRFRRGNEEFYEPRVVSIGPYHFHQGSFPQMQIFKLKYLEAFLSRNELSLDQCLGRVRTWEAKARRFYVDLIDLSSDEFAELMLVDAIFVLELMIRHQFFEYVDNCDRIYRKPRMIEDVFHDVLLIENQIPFFVLEGLYDLVDNSSKGTLKFFVELTHEFFKASVKINEFGADRAPVPGVNHGVKHFVDFIRCYYLPTEDEKHEYGHRFYEIPPSVTALEDAGVKFATTSTRSLLDIQFNRGCLKIPNFRVDDWTETVFRNLIALEQCHDDHQVKYISELMFLMGCMIKTSKDVDLLIEHEIISSMLGSNVDVSTLFNHIGQGVGLGQPYYYFSLCKKLNAYCKTPWHRWKPILQCDYFNTPWKVVSTIAAIVLLVLTLVQTICSILSL >ONH95918 pep chromosome:Prunus_persica_NCBIv2:G7:12797621:12800005:1 gene:PRUPE_7G095900 transcript:ONH95918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFKLKYLEAFLSRNELSLDQCLGRVRTWEAKARRFYVDLIDLSSDEFAELMLVDAIFVLELMIRHQFFEYVDNCDRIYRKPRMIEDVFHDVLLIENQIPFFVLEGLYDLVDNSSKGTLKFFVELTHEFFKASVKINEFGADRAPVPGVNHGVKHFVDFIRCYYLPTEDEKHEYGHRFYEIPPSVTALEDAGVKFATTSTRSLLDIQFNRGCLKIPNFRVDDWTETVFRNLIALEQCHDDHQVKYISELMFLMGCMIKTSKDVDLLIEHEIISSMLGSNVDVSTLFNHIGQGVGLGQPYYYFSLCKKLNAYCKTPWHRWKPILQCDYFNTPWKVVSTIAAIVLLVLTLVQTICSILSL >ONH95916 pep chromosome:Prunus_persica_NCBIv2:G7:12797621:12800082:1 gene:PRUPE_7G095900 transcript:ONH95916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPKPEKGTSAFHGEIGHGNMLDGAGFSPTEEEEEEETSSTNAAPAVIVEKPRDEVAILIEGKVRDTPSFWPDCCIYKVPQRFRRGNEEFYEPRVVSIGPYHFHQGSFPQMQIFKLKYLEAFLSRNELSLDQCLGRVRTWEAKARRFYVDLIDLSSDEFAELMLVDAIFVLELMIRHQFFEYVDNCDRIYRKPRMIEDVFHDVLLIENQIPFFVLEGLYDLVDNSSKGTLKFFVELTHEFFKASVKINEFGADRAPVPGVNHGVKHFVDFIRCYYLPTEDEKHEYGHRFYEIPPSVTALEDAGVKFATTSTRSLLDIQFNRGCLKIPNFRVDDWTETVFRNLIALEQCHDDHQVKYISELMFLMGCMIKTSKDVDLLIEHEIISSMLGSNVDVSTLFNHIGQGVGLGQPYYYFSLCKKLNAYCKTPWHRWKPILQCDYFNTPWKVVSTIAAIVLLVLTLVQTICSILSL >ONH95177 pep chromosome:Prunus_persica_NCBIv2:G7:9367460:9370585:1 gene:PRUPE_7G055100 transcript:ONH95177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQQQTKDSGSKKLGMVAPQDKSSKEMKSSKKMKFASSSAETKQTSQTTISDDSKTGRGMSTMPRVVKRKLQKLRPIVEYNKRGKGVGQAHIEMQSYIGVLARSRIPLVDKKWSQIPKDIKEQIWEAVDMDFVVGQGGKTSVLASASKKWKDFKSTLTRHYILPYTNDRDKLSQPPETYKFIEKAQWDAFVASRLSKDFESVHSQHAQIREKLEARQDKHGNIPDPKVTEKAKLIDEFQKQVSEGKVRVDGSNDVLTMALGPEHPGRLRGVGAGVSPRQYFNLPKPQRVSFDDRLKDSLKVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSNMEAPSSLKTLCRFVETTLLPEDKTLQFTIDNEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONH95672 pep chromosome:Prunus_persica_NCBIv2:G7:11967072:11971722:1 gene:PRUPE_7G084300 transcript:ONH95672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVLRVHLPSDIPIVGCELTPYVLLRRPDKTVTTDDVPESAPLDGHFLRYKCSRAMNAETAVTLRNVKVSIPVWKSRLHYYHFLLLHIRSGVHTPPMVCIKNMFREFIVCVKAKIPVTKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEVFGRFNSSGSGVLNTSLSGSVSSTSLTNGSAPLYPAAVTQRSGGETWFEVGRSKTYTPSADDIGHVLKFECVVVDAESKVPVGHVNTILTSRVIPAPSPSPRRLVPVNGVDVMGHLDSDGRISSSGTFTVLSYNILSDVYATSESYSYCPSWALSWPYRKQNLLREIVGYRADIVCLQEVQSDHFEEFFAPELDKHGYQALYKRKTNEVFTGGAQAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAMIPSNQKKSALNRLVKDNVALIVVLEAKFSNQGADNPGKRQLLCVANTHVNVHQDLKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFSSIPGSAPHTLLAMGKVDPSHPDLQVDPLNILRPLSKLIHQLPLVSAYSSFARLGVGLGMEQQRRRMDPTTNEPLFTNCTRDFIGTLDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >ONH96460 pep chromosome:Prunus_persica_NCBIv2:G7:15035727:15040196:1 gene:PRUPE_7G131000 transcript:ONH96460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCSADHRGLCRASSAGYLSLLLFSVLVTISAACSNGACQVLEACAAATDCGPGLYCGNCPASGKNQPVCTRGQAIAPTSIINGLPFNKYTWLVTHNSFSIVDAPPLPGVQRLTFYNQEDTVTNQLRNGVRGLMLDVYDFENDIWLCHSFQRQCFNFTAFQPAINTLTEVEAFLSQNPTEIVTIILEDYVKAPKGLTTLFTKAGLDKYWFPVSKMPRKGEDWPTVTEMVQENHRLLVFTSDGSKEALEGIAYQWKYMVENESGDPGVKPGSCPKRKESKPLNSKSASLFFQNYFPTYPVEAEACKEHSTSLEEMVGTCYKAAGAMPNFLAVNFYMRSDGVGVFDAVDRMNGRTLCGCSTVSACQAGAPFGSCKNVSIPTRSPVTNNAGSFTGSVQFAKSASTVYSPNRLVVFMFYIPLMAFWL >ONH95897 pep chromosome:Prunus_persica_NCBIv2:G7:12723411:12725524:1 gene:PRUPE_7G094600 transcript:ONH95897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSNRVDKGSLKPGDHIYSWRTAYIYAHHGIYVGDDTVVHFTRRGQEVGTGTMLDALLVSSGPARPQQPCQVCTPREEGSGVLSSCLNCFLAGGVLYRFEYAVNPALFIAKARGGTCTLAVSDPDDVVVHRAKYLLDNGFGCYNVFKKNCEDFAIYCKTGLLVLDQGTIGQSGQAVSIIGGPLAAVLSTPLRLVTTNVYGMAATAITMYCASRYAADIGMRRDVVKVSVEDMTSKLATGLLRVAEAQIVLAPIIGSSNLVTQ >ONH97033 pep chromosome:Prunus_persica_NCBIv2:G7:16980700:16983430:1 gene:PRUPE_7G165800 transcript:ONH97033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKYSCPLRIWQLKSLPIISELIAKQHWSELKTHLKDSNFIAVLHQLFDAGADPVLILRYFSWSQKNFNVTHPLELTCRLLHSLANAKKYSKIRAFLDGFVRNNEKHSNSSIFHMLSMCGNQFCANSVIIDMLVLAYVKNMKTRLGFEAFQRAGDYGFKLSVLSCNPLLSALVKENEIGYVEYVYKEMVRRRIEADLFTFSIVINGLCKVGKLNKARDVTNDMKAWGISPNVVTYNTLIDGYCKKGGLGKMHKADAILKEMVANNVHPNEITFNILIDGFCKDENVASAVKVFEEMKQGLKPNVITYNSLINGLCCNGKLDEACGLQDEMLGLGLKPNIVTYNALINGFCKKKMMKEAKELFDDIMNGGLVPNAITYNTLIDAYCKHGMMEEAYALHNSMLERRVSPNTSTFNCWIACFCRQGNMELARKFLHEMEVRGLKADPITCNLLIDALCKEGESRKAERLLNEMFKKGLSPSHVTYNTLMDGYCREGNLKAALNVRLQMEKEGKRANIVTYNVLIKGHCMKGKLKVANELLNEMLEKGLVPNRTTYEIVKEEMMEKGFLPDIEGHLYNISSF >ONH96084 pep chromosome:Prunus_persica_NCBIv2:G7:13538794:13541199:1 gene:PRUPE_7G106700 transcript:ONH96084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESPAIGIDLGTTYSCVAVWQKDHAEIIANDQGKRTTRSYVTFTESNRLVGDEAFNQILRNPANSIFDAKRLIGRKFSDASVQSDVKLWPFKVIEDPSGKPKIVVMHKGEEKKFAAEQISSLVLRKMKEIAETYLCSNVKNAVITVPSYFNDSQRQATMNAGALAGLNVLGIINEPTAAAIAYGIDKKVGWYKKKHVMIFDWGGGTLDVSLLIIGQHGVFEVMATAGDTHLGGEDLDNRMVSYCVQEFKRKHQLDISGNSRALRRAKTECEKAKKALSYSFETDIEIDCWYQGEDFYANFSRKKFEQMNMDIFNKCMEPVKKCLKDAKMDISNVDDVVLVGGSSRIPKVQELLKEVFKGKELCRNINPDEAVAYGAAVQAAVLTGNVTGKLQDFSLLDVIPMSLGVQVTIVSTGDKNAMQFVIRRNTRVPVVKETTLVTVYDNQRSIRFAIYEGESTSTVNNNYLGEFSLDDIPPAPKGVPEFNVCFDIDSNGILSVSAEDMLTGQKKGITINRDTPKNA >ONH97165 pep chromosome:Prunus_persica_NCBIv2:G7:17373758:17375071:-1 gene:PRUPE_7G173300 transcript:ONH97165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTSTGRGSSDQTNYNTTSNNSTNTPKRVASSSTNSSWSSSSPSPFSHQPFSLQTPQRTMEEVWKDINLASLSETTPNRRSSLLHHINLPHGTHDPNFRNLQDFLARPFSHNEPPVSLVSTPTEQATLNSPASPPLPPPGPPPVLSLTNSGSDHFQLFYVSDPLIRPPSSEFHPHHRQSNPNNISSSVSNSFSASPFESLAASSSGLPSFGKRAFPDSDHSNSGGDRRHNRMIKNRESAARSRARKQEFLLFLCFGLSFAFYVFMISGWYQQAYTNELELEVAHLMEENTRLKRQQEQLCFAAASQQPKKHNLHRSSTAPF >ONH98634 pep chromosome:Prunus_persica_NCBIv2:G7:21603814:21607690:1 gene:PRUPE_7G259100 transcript:ONH98634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTLVHAPTVCSPSGSPPNPLSKHIATRASMATASARSSARIAIVGDVHDQWNLEEDTKALELLQPDLVLFTGDFGEENVELVQSVAKLGFAKAVILGNHDAWHTKQFSGKKKDGVQLQLECLGEEHVAYRRLDFPLVKLSVVGGRPFSCGGQQLFRAKLLSARYGVQDMDGSAKRICKAALGAPEDHLVILLAHNGPTGLGSNSDDICGKDWVYGGGDHGDPDLAQALSLLKETGKICGPLVVFGHMHKQLADGNGLRKMIVVGADNTIYLNGAIVPRVKRLNDEFVNKEPHLSTPESCGTIRAFTIVEIVDGAVDKIVESWVSVVGDRITLEEEHMLFNSAH >ONH98493 pep chromosome:Prunus_persica_NCBIv2:G7:21258592:21261444:1 gene:PRUPE_7G251500 transcript:ONH98493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVDEEGITKACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDVKSSADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLEKVPVPGEPSFPFPGLFPLPQSPQEAELLRNYLKQIREEASGRLLSVAYRPNGTPNKWWLAFAKRKFMNIILPS >ONH98494 pep chromosome:Prunus_persica_NCBIv2:G7:21258592:21260587:1 gene:PRUPE_7G251500 transcript:ONH98494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVDEEGITKACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDVKSSADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLEKVPVPGEPSFPFPGLFPLPQSPQEAVPLHGYC >ONH98067 pep chromosome:Prunus_persica_NCBIv2:G7:20067240:20069725:-1 gene:PRUPE_7G226800 transcript:ONH98067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGILLKLLQSMNSATKVTGDHRSALLQVIGIVPALAGSELWPNQGFYVQLSDSLNSTYVSLSDRDTDLILTNRLQLGQFAYVDRFDFDSPVPRVVGIRPIAGRHHFVGTPEPLVARISASKREFVIQPVSDSDQSTDFMAIYLSNKKQEQVVRNDNKDAKIEKTRSSRQPLAPRDNVNLGGNSNSNSNSDEPKKISDRPASRFSSPAGAKRSVSVGKKNVAPAERDPSPAGKGKRSGSPAPSKCVVPSLVVAKEENRKVSKEPAIIVPSRYRQPSPTGRRQPSPNPRRASLSPGRRLSGGVKDSATRKKMATIVAGISKVSEALVGSGKSHRKGWDESPAVEQREKSVSKNKPDFQAILRTQAALSRRLSDAHGRSPSGGDDSSSDEKTKSGSPEDCLAQEKPSCTALGITVHERKWTDGSVSLDAVSSDLARLGKEALQRKVIASAAAAEALEEAIATESLVRKLSMFAELSSTSKVGNPLPAIDRFFSIYDEVVKSTTLVQSIASNHNADTPYNDNFPTEQSKSVSLWVEAALATDLGVVSLLTTQDNEPPSTLQKSLSKRQSLNAPAKTHMKISSSSSSPESSAHVGTWTKGRGMKDTVELAMSLQSEMQMWFLEFVEKALDAGFRVFGECAADGVKLPLDSGSIAAVLSQLKRVNEWLDRVVSKRDEQINEKIDRLKRKIYGFVIQHVGTTFDNAPLASS >ONH94236 pep chromosome:Prunus_persica_NCBIv2:G7:631263:632986:-1 gene:PRUPE_7G005500 transcript:ONH94236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVTNCLYRVVVLMILQSLVVESAFIYNVLSFGAKPNGATDSTQAFVDAWSAACASNDSTTISVPKGRYLLPSAIKFRGDKCKTLDITFQIDGTLIASSDYRILGQANNWLSFERVTGVSIVGGTLDAKGTALWACKLAASTGCPNGATSLRFTNSKNISINGLTSLNSQMFHIVVNGCQDVYIRGVKVNAAGNSPNTDGIHVQLSRNVAIFNTSIKTGDDCVSIGPGMKDLWVEQISCGPGHGISIGSLAKDLEEEGVQNVTVRNAIFKGTQNGLRIKSWPRPSSGFVQGVQFIDAVMLNVQNPIVIDQKYCPRNINCPAQASGVKISDVLYRNIQGTSGRAVAIKFDCSATNPCSGIRLENVSLTYRNQVVQSHCTNVNGNTSGIVQPNSCV >ONH95346 pep chromosome:Prunus_persica_NCBIv2:G7:10408597:10412887:-1 gene:PRUPE_7G065700 transcript:ONH95346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQDRYLLKLCFLLCIFAGFLLHSLGASEIPLDSKLSIVDKDMWVSPNGDFAFGFFNSLDEPNYSVGIRSNSKSIPLDKQIVVWIAGADLILGNNSYAQLTQDGELILFDSLKGVIWSSKTRQLSVVSAALNDNGNLVLLNKEKHIVWQSFDTPSDTLLPGQNFSMFQTLRAASKNSVSSYYTLFMNASGQLQLRWESHVIYWTSGSPSSSNLSAFLTSDGALQLRDQNLKPVWSLFGEDHNDSVSYRFLRLDVDGNLRLYSWVEPSKSWRPVWQAVENQCNVFATCGQHGICVFTESGSPDCECPFKHTNESISRCLIPNHPCDSGSDMLKYMHTFLYGMYPPTDDLVAKVSLQECKNLCLNDPSCTAATFSNDGTARCLMKRTQYVTGYSDPSLSSVSFVKMCAYPLAVNPNHVTTSSSPLEQSHKFCFPCVIGVASGMFVVFVLVQLALGFWFFRRRNLDRKKAAFAYTSPNSNGLIVLSFSEIEELTENFKHQIGPKMFKGVLPNKKPVAIKDLNITIEERKYRSAVSKIGSIHHKNLVKLQGYCCELDHRFLVYEYAKNGSVEKYIEDLKLCKKLTWGKRFDICLSVARAICYLHTSCREFMSHGNLKCENVVLEENLEAKVTEFGLGKVVSEASCSSAERDVEDFGKMVLVLVSGCRGVGDLCEWAYKEWMEGRPENVVDKRISGGFNLQELERSLRIAFWCLQIDERRRPSMREVVKVLEGTLSVDPPPPPFGCNGPLEEEEEP >ONH95347 pep chromosome:Prunus_persica_NCBIv2:G7:10408597:10412801:-1 gene:PRUPE_7G065700 transcript:ONH95347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQDRYLLKLCFLLCIFAGFLLHSLGASEIPLDSKLSIVDKDMWVSPNGDFAFGFFNSLDEPNYSVGIRSNSKSIPLDKQIVVWIAGADLILGNNSYAQLTQDGELILFDSLKGVIWSSKTRQLSVVSAALNDNGNLVLLNKEKHIVWQSFDTPSDTLLPGQNFSMFQTLRAASKNSVSSYYTLFMNASGQLQLRWESHVIYWTSGSPSSSNLSAFLTSDGALQLRDQNLKPVWSLFGEDHNDSVSYRFLRLDVDGNLRLYSWVEPSKSWRPVWQAVENQCNVFATCGQHGICVFTESGSPDCECPFKHTNESISRCLIPNHPCDSGSDMLKYMHTFLYGMYPPTDDLVAKVSLQECKNLCLNDPSCTAATFSNDGTARCLMKRTQYVTGYSDPSLSSVSFVKMCAYPLAVNPNHVTTSSSPLEQSHKFCFPCVIGVASGMFVVFVLVQLALGFWFFRRRNLDRKKAAFAYTSPNSNGLIVLSFSEIEELTENFKHQIGPKMFKGVLPNKKPVAIKDLNITIEERKYRSAVSKIGSIHHKNLVKLQGYCCELDHRFLVYEYAKNGSVEKYIEDLKLCKKLTWGKRFDICLSVARAICYLHTSCREFMSHGNLKCENVVLEENLEAKVTEFGLGKVVSEASCSSAERDVEDFGKMVLVLVSGCRGVGDLCEWAYKEWMEGRPENVVDKRISGGFNLQELERSLRIAFWCLQIDERRRPSMREVVKVLEGTLSVDPPPPPFGCNGPLEEEEEP >ONH95344 pep chromosome:Prunus_persica_NCBIv2:G7:10408630:10412801:-1 gene:PRUPE_7G065700 transcript:ONH95344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQDRYLLKLCFLLCIFAGFLLHSLGASEIPLDSKLSIVDKDMWVSPNGDFAFGFFNSLDEPNYSVGIRSNSKSIPLDKQIVVWIAGADLILGNNSYAQLTQDGELILFDSLKGVIWSSKTRQLSVVSAALNDNGNLVLLNKEKHIVWQSFDTPSDTLLPGQNFSMFQTLRAASKNSVSSYYTLFMNASGQLQLRWESHVIYWTSGSPSSSNLSAFLTSDGALQLRDQNLKPVWSLFGEDHNDSVSYRFLRLDVDGNLRLYSWVEPSKSWRPVWQAVENQCNVFATCGQHGICVFTESGSPDCECPFKHTNESISRCLIPNHPCDSGSDMLKYMHTFLYGMYPPTDDLVAKVSLQECKNLCLNDPSCTAATFSNDGTARCLMKRTQYVTGYSDPSLSSVSFVKMCAYPLAVNPNHVTTSSSPLEQSHKFCFPCVIGVASGMFVVFVLVQLALGFWFFRRRNLDRKKAAFAYTSPNSNGLIVLSFSEIEELTENFKHQIGPKMFKGVLPNKKPVAIKDLNITIEERKYRSAVSKIGSIHHKNLVKLQGYCCELDHRFLVYEYAKNGSVEKYIEDLKLCKKLTWGKRFDICLSVARAICYLHTSCREFMSHGNLKCENVVLEENLEAKVTEFGLGKVVSEASCSSAERDVEDFGKMVLVLVSGCRGVGDLCEWAYKEWMEGRPENVVDKRISGGFNLQELERSLRIAFWCLQIDERRRPSMREVVKVLEGTLSVDPPPPPFGCNGPLEEEEEP >ONH95345 pep chromosome:Prunus_persica_NCBIv2:G7:10408597:10413116:-1 gene:PRUPE_7G065700 transcript:ONH95345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQDRYLLKLCFLLCIFAGFLLHSLGASEIPLDSKLSIVDKDMWVSPNGDFAFGFFNSLDEPNYSVGIRSNSKSIPLDKQIVVWIAGADLILGNNSYAQLTQDGELILFDSLKGVIWSSKTRQLSVVSAALNDNGNLVLLNKEKHIVWQSFDTPSDTLLPGQNFSMFQTLRAASKNSVSSYYTLFMNASGQLQLRWESHVIYWTSGSPSSSNLSAFLTSDGALQLRDQNLKPVWSLFGEDHNDSVSYRFLRLDVDGNLRLYSWVEPSKSWRPVWQAVENQCNVFATCGQHGICVFTESGSPDCECPFKHTNESISRCLIPNHPCDSGSDMLKYMHTFLYGMYPPTDDLVAKVSLQECKNLCLNDPSCTAATFSNDGTARCLMKRTQYVTGYSDPSLSSVSFVKMCAYPLAVNPNHVTTSSSPLEQSHKFCFPCVIGVASGMFVVFVLVQLALGFWFFRRRNLDRKKAAFAYTSPNSNGLIVLSFSEIEELTENFKHQIGPKMFKGVLPNKKPVAIKDLNITIEERKYRSAVSKIGSIHHKNLVKLQGYCCELDHRFLVYEYAKNGSVEKYIEDLKLCKKLTWGKRFDICLSVARAICYLHTSCREFMSHGNLKCENVVLEENLEAKVTEFGLGKVVSEASCSSAERDVEDFGKMVLVLVSGCRGVGDLCEWAYKEWMEGRPENVVDKRISGGFNLQELERSLRIAFWCLQIDERRRPSMREVVKVLEGTLSVDPPPPPFGCNGPLEEEEEP >ONH98143 pep chromosome:Prunus_persica_NCBIv2:G7:20314176:20317723:-1 gene:PRUPE_7G232300 transcript:ONH98143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVSVLLLIQRSMLFVCLQTHSLLQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEMPHGYGRVKPDVVAYGRDIMGSRISTGCKSLSGTSVASPVVAGMVCLLVSVIPESSRKDTLNPASMKQALVEGAAKLSGPNMYEQGAGRVDLLESYEILKSYKPRASIFPSTLDYTDCPYSWPFCRQPLYAGAMPVIFNATILNGMGVIGYIESPPTWHPLDEVGNLLSIHFTYSEVIWPWTGYLALHMQIKEEGAQFSGEIGGNVTLRVYSPPAQGEKDIRISTCVLQLKLKVVPTPPRSKRVLWDQFHNIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPFTCFDALRYGTLLLVDLEEEYFQEEIDKLRDDVLNSGLGLAVFSEWYNVDTMVKMRFFDDNTRSWWTPVTGGANVPALNDLLAPFGIAFGDKILNGDFSINGEHSRYASGTDIVRFPRGGYVHKFPFLDSSESGATQNVLRTPEMTMADSPILGLLEVGEGRVVVYGDSNCLDSSHMVTNCYWLLRKILDFTGGNIKDPVLFSNSVKQKSPLYVEDNQLPSRRTDVNFSTYSAVVGKDVICGSDSLFEIWGTKGYSSQIRGTNRRLPGYSVVDLDRGLNSTVDTSNLKHPKLLGENRSDSLGNRYFGLFYGDELDMPVVVPSHWLVPAIIAVTGILLVLSFWRIRQKRRRRRKGSGSGRFSNL >ONH98142 pep chromosome:Prunus_persica_NCBIv2:G7:20313853:20319292:-1 gene:PRUPE_7G232300 transcript:ONH98142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSPRTSTPSLSLVFIFISIFVSISISLLHFKTQISPTLDLNRGTLNSSHPQTNRQNYVVRFAEYKRAEEHREYLKLSVRSDGWDWIERNNPAKKYPTDFGLVWIEDSAKEIVVSEFGKLGLVKDVNADMRYGRGLLAEEKRDKVGAFVDGKKRPGKILTAMSFSEAGGDTHFTATSNYSIRWRRQLMAQKSQVTSLFGADFLWEKGYTGTKVKMAIFDTGIRANHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGVDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEMPHGYGRVKPDVVAYGRDIMGSRISTGCKSLSGTSVASPVVAGMVCLLVSVIPESSRKDTLNPASMKQALVEGAAKLSGPNMYEQGAGRVDLLESYEILKSYKPRASIFPSTLDYTDCPYSWPFCRQPLYAGAMPVIFNATILNGMGVIGYIESPPTWHPLDEVGNLLSIHFTYSEVIWPWTGYLALHMQIKEEGAQFSGEIGGNVTLRVYSPPAQGEKDIRISTCVLQLKLKVVPTPPRSKRVLWDQFHNIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPFTCFDALRYGTLLLVDLEEEYFQEEIDKLRDDVLNSGLGLAVFSEWYNVDTMVKMRFFDDNTRSWWTPVTGGANVPALNDLLAPFGIAFGDKILNGDFSINGEHSRYASGTDIVRFPRGGYVHKFPFLDSSESGATQNVLRTPEMTMADSPILGLLEVGEGRVVVYGDSNCLDSSHMVTNCYWLLRKILDFTGGNIKDPVLFSNSVKQKSPLYVEDNQLPSRRTDVNFSTYSAVVGKDVICGSDSLFEIWGTKGYSSQIRGTNRRLPGYSVVDLDRGLNSTVDTSNLKHPKLLGENRSDSLGNRYFGLFYGDELDMPVVVPSHWLVPAIIAVTGILLVLSFWRIRQKRRRRRKGSGSGRFSNL >ONH94394 pep chromosome:Prunus_persica_NCBIv2:G7:1984273:1986210:1 gene:PRUPE_7G014000 transcript:ONH94394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTTSSVGSSKILRLFGVILECQQQADHESQPSIHSRWLVVFVHVIEPGSNPTPDSGCSAYTRFVNLDRWLALQLLPTVKIGLLQLLEEILLHYFAYPSFLVSGDCNKLYQFVKEQTEMLT >ONH97030 pep chromosome:Prunus_persica_NCBIv2:G7:16972710:16976735:-1 gene:PRUPE_7G165600 transcript:ONH97030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLPMTTSFSFTLLLTATFWTLLLTTTFWTLSYGFVRPTLLQLQSLSGSGNYLTKEELWFDQTLDHFSPYDHRRFPLRYYEFLDYFRPSDGPIFLKICGESACPGIANDYLSVLAKKFGAALVSPEHRYYGNSSPFKSHTTENLKYLSSKQALFDLAVFRQFYQESLNVKLNKTKENPWFIFGVSYSGAMSAWFRLKFPHLTCGSLASSAVVQAVYNFPEFDQQIGESAGPECKAALQETTRLVEGRLATNGKAVKALFGATQLDIEGDFLYFLADAAVVAFQYGNPDKLCSPLVQAKNNGEDLVDAYAKYVKEYYVGSFGADVETYNQKHLKNTSVSGGSSDRLWWFQVCTEVAYFQVAPANDSIRSSKVDTRYHLDLCKNVFGEGVYPDVVATNIYYGGKKIAGSKIVFTNGSQDPWRHASKQTSSPDMPSYIINCHNCGHGTDLRGCPQFPLTLEGNSQNCSNPDAVNKVRQQLVEHIDLWLSECHESDV >ONH97031 pep chromosome:Prunus_persica_NCBIv2:G7:16972736:16976729:-1 gene:PRUPE_7G165600 transcript:ONH97031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLPMTTSFSFTLLLTATFWTLLLTTTFWTLSYGFVRPTLLQLQSLSGSGNYLTKEELWFDQTLDHFSPYDHRRFPLRYYEFLDYFRPSDGPIFLKICGESACPGIANDYLSVLAKKFGAALVSPEHRYYGNSSPFKSHTTENLKYLSSKQALFDLAVFRQFYQESLNVKLNKTKENPWFIFGVSYSGAMSAWFRLKFPHLTCGSLASSAVVQAVYNFPEFDQQIGESAGPECKAALQETTRLVEGRLATNGKAVKALFGATQLDIEGDFLYFLADAAVVAFQYGNPDKLCSPLVQAKNNGEDLVDAYAKYVKEYYVGSFGADVETYNQKHLKNTSVSGGSSDRLWWFQVCTEVAYFQVAPANDSIRSSKVDTRYHLDLCKNVFGEGVYPDVVATNIYYGGKKIAGSKIVFTNGSQDPWRHASKQTSSPDMPSYIINCHNCGHGTDLRGCPQFPLTLEGNSQNCSNPDAVNKVRQQLVEHIDLWLSECHESGRSYM >ONH94148 pep chromosome:Prunus_persica_NCBIv2:G7:134970:137131:1 gene:PRUPE_7G000800 transcript:ONH94148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAFCCNFCKFRSRSSMAEFNKLFDWGRFTYVCC >ONH94873 pep chromosome:Prunus_persica_NCBIv2:G7:6673502:6682621:1 gene:PRUPE_7G036600 transcript:ONH94873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSAISCPSTPRWNSERPFLTGRFHQETKTTSRFFDTKGYSVDSLGLGSEKAIGCYNAAVQELVVIDDLLSAMVGIQGRYISIKRAHEKEDNFTFQVDASMDLALQELAKRVFPLCESFMLINQFVESRSQFKNGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSLQGLWFYCQPMMGSMQALSNVIQRASANNFAGSAVLNLLQSQAKAMAGDNGVRSLLEKMAECASNAYLGILERWVYEGVIDDPYGEFFIAENKSLQKESLTHDYDAKYWTQRYSLKDGIPSFLANISGTILTTGKYLNVMRECGHHVQVPQSENSKLMSFGSNHRYLECIKSAYDFASSELLNLIKEKYDLMGKLRSIKHYLLLDQGDFLVHFMDIARDELTKKLDEISVEKLQSLLDLALRTTAAATDPCHEDLTCCVETSSLLKKLGTLTDGERSRNVPDDNDLEEPVSITGLETFSLNYKIRWPLSIVISKHSLTKYQLIFRFLFHCKHVDRQLCGAWQAHQGVRALNMRGTAISRSSLLCRSMLKFINSLLHYLTFEVIEPNWHVMHNRLQTAKSIDEVIQHHEFFLDKCLRGCLLLLPEFLKKVERLKSLCLQYAAATQWLISSSIDVPSLVESDGSLVSEKPRQSKSRRPYQPLKLSSSNKTVADSILKFEREFNAELQSLGPILNSSSKAEPYLTHLSKCILGIGNEL >ONH94874 pep chromosome:Prunus_persica_NCBIv2:G7:6673502:6682621:1 gene:PRUPE_7G036600 transcript:ONH94874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSAISCPSTPRWNSERPFLTGRFHQETKTTSRFFDTKGYSVDSLGLGSEKAIGCYNAAVQELVVIDDLLSAMVGIQGRYISIKRAHEKEDNFTFQVDASMDLALQELAKRVFPLCESFMLINQFVESRSQFKNGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSLQGLWFYCQPMMGSMQALSNVIQRASANNFAGSAVLNLLQSQAKAMAGDNGVRSLLEKMAECASNAYLGILERWVYEGVIDDPYGEFFIAENKSLQKESLTHDYDAKYWTQRYSLKDGIPSFLANISGTILTTGKYLNVMRECGHHVQVPQSENSKLMSFGSNHRYLECIKSAYDFASSELLNLIKEKGDFLVHFMDIARDELTKKLDEISVEKLQSLLDLALRTTAAATDPCHEDLTCCVETSSLLKKLGTLTDGERSRNVPDDNDLEEPVSITGLETFSLNYKIRWPLSIVISKHSLTKYQLIFRFLFHCKHVDRQLCGAWQAHQGVRALNMRGTAISRSSLLCRSMLKFINSLLHYLTFEVIEPNWHVMHNRLQTAKSIDEVIQHHEFFLDKCLRGCLLLLPEFLKKVERLKSLCLQYAAATQWLISSSIDVPSLVESDGSLVSEKPRQSKSRRPYQPLKLSSSNKTVADSILKFEREFNAELQSLGPILNSSSKAEPYLTHLSKCILGIGNEL >ONH95908 pep chromosome:Prunus_persica_NCBIv2:G7:12763899:12766812:1 gene:PRUPE_7G095100 transcript:ONH95908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKISAFFKPSPSFSIKLADAPPTSSDGDDDELAIWEKTQHQYCNTYKRRDRNLQRGESDRGSVNQLADRPILDNNSGKPKSFTLGRTVVKNKKRSYAQFYLEFGQSDFNLHTCSTCGVKYTAGDEEDEKAHKAFHKDYTRGIQFKGWYNERVVHTPSAEGGRIVLVLDCDPAAQRNKVGEVVKMMESELGSGWILHKLCKVYLFILSQRIVGCLVAEPIKEAHKVLSCAVNGSSDGTTTNETKLTTLQFGDIRFQREVMRKAPSVPEALNENLNGAIFCEEEAVPAVCGIRAIWVTQANRRKHIATQLLDALRKSFCMGFVLEHSQLAFSQPTSAGKALASNYIGGGYFLVYKTNNLKGPDSI >ONH97237 pep chromosome:Prunus_persica_NCBIv2:G7:17603026:17608980:1 gene:PRUPE_7G178800 transcript:ONH97237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESKNTADSPESATQTQPSNSWPSATTSLFLILILPVITATLIYQLDSFDPAPLPYHELTRRLTRRAPAHNPRMLRGSERVGFGVLRAPEDVQGRTQKFCVDVAYHSGSGLIYTGCEDGWLKRVKLNDSENPEFVVESWVNTGGRPLGLAFGLHNEVVVADADKQDIRLQKPPPIVYSFTRYNRKGKRKYFYKKK >ONH98856 pep chromosome:Prunus_persica_NCBIv2:G7:22130088:22131642:1 gene:PRUPE_7G269300 transcript:ONH98856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNIAVTLVLLIGLFERCSSATAAYNVVTFGARGDGNTDSTKAFLRAWSAACSTSVRGAATVYVPRGSFLIKPVVFSGPCRSSRVTFQMDATGTSLVAPSNYWELGNSGTWILFIKVTGLSVYGGTVDARGHAYWQCRRYGKSCTAGARSVSLFWCNNVVVSGLRSMNSQTMHMAIDNCNNVVVKNVKIRAPSSSPNTDGIHIEASTGVTVSGSTIMTGDDCISIGSGSKNLWLERIACGPGHGISIGSLGDNANEDGVQNVTVTNCVFTKTQNGVRIKTWARASNGYATNIVFRNLIMKNVNNPIIIDQKYCPGNQGCPRQSSGVKISRVTYTNIRGTSASKVAMNFYCSSSNPCWGLKLQDIRLTYLNKAATSYCANAGGSSSGVVIPRSCF >ONH94891 pep chromosome:Prunus_persica_NCBIv2:G7:6976910:6977959:1 gene:PRUPE_7G037700 transcript:ONH94891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCVNSVIEVLKNEEVKIIGICGMGGVDKTTVVKEIIIRLAGLKVSDNVVMAVVSQSQSIRKIQSEIADELGFTYDREDSETRRALRLYLRLKQINKILILLDDVWTELDFKAIGLPYGPHKECKVLLTSRNLEVCNAMESQQIFTIPVLTPKESWELFREIIGKPLDYPDLAKRVTKKCAGLPIAILTVAKALENKRNISSMNDKVYSSIQWSYDRLESDDAKNCLLLCPLFLEDYDIPIEYLGRGYFGNTDSVEEARNRVHYLVDKLQRRFLLLDSKLKDHTKMHDIVRDVAIQIASRDPHRFLIRCDAEKKGWPKMGLLDPRLN >ONH96729 pep chromosome:Prunus_persica_NCBIv2:G7:16065055:16067415:1 gene:PRUPE_7G148200 transcript:ONH96729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQPVIQTLTFWLSEHPSIVGFRWSHAHSWGSTWSFLFTAIAVYLTLSLLLHFSLLVVNRSGRPVPLGPIPAVHSLAMALISFTIFAGITLSSAAEIRETRWFWRRSKTPFQWLLCFPLGTRPSGRVFFWSYMYYLSRFPHMLRTFFTILRRRKLVPFQLFNHSILTVISFLWLEFSQSIQVLAILLTTLVYSVVYGYRFWTAVGLPSACFPVVVNCQIALLGCNVACHVGVLMLHFMRGGCNGIGVWVFNSVLNGVILLAFLNFYVRIHLGFDKKGRTGGGGGGEVKSVAGDRSCSSGLGGNDKKGKEL >ONH96587 pep chromosome:Prunus_persica_NCBIv2:G7:15487967:15491850:-1 gene:PRUPE_7G138600 transcript:ONH96587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSQYLCLLLLGDAGKPLWQQCFVMIRKLKFKENIFFATVSSKVSYLIVQELCEHAGLEVPALQNEENPFKWLQKFMEERGKNPLLLVLDDVQSRSESLLDRFNEFKMSSCKVLVTSRYRFPKFGRTYPLETLEDKYAMALFRDSAFLPNTSSNIPDDLQEEIVRLCKRFPLSLKAIGDSLRNQRIEIWKKRLMELSKGSILEADEKLLAYLKSCLDDLGKGMAAVKNCFIDLGLFPEDRIIPVTALLDMWAEYKGTKDILSIANLYDLTNRNLARLVVVTGNEDVDGYYGEHFVIQHDMLRLLSIHESCEDPEQQRLIIEIRGDELPTWWKERKHKTKKARLVSISTDGLSATWHNMDLPKAEVLVLNFQTGNCVLPKFVKQMSKLKVLIVTSYGVLQADLSNFKLLGSLANLKRIRLEGISIPSISEKSMQLKGLQKISLFMCSIGQAFSNSSIQILEAFPNLVELNIDYCNDLVELPAKLCDLIHLKKLSITNCVQLSALPDEIGKLDGLEVLRLRSCTDLERLPDSIKNLSKLNLLDMYYCFNIKELPEEIGEMSGLRKIKMVQCLRLLALPVSVLNLEQLREVICDKDTENLWGEPFKSSLTNINIRVVEEDFKRNWLHDL >ONH96586 pep chromosome:Prunus_persica_NCBIv2:G7:15488412:15491510:-1 gene:PRUPE_7G138600 transcript:ONH96586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGILVAGSALGAAFEILFSAVLKAKSTAKMFQTHLGNLNTTLDSLKPVIIQLASANHLVPLEKSLENFTTKMEEGKKLVDECCEVWRFNLIKQREYTDEIEALNDSLRMLLSVLTVQLGSHAVMMLHRNVVAQSKNQIGVRCAVPPLPSVPVGLDAPLDDLKMTLLGDGPESILVLTAPGGCGKTTLATMFCHDPKVKDKFKENIFFATVSSKVSYLIVQELCEHAGLEVPALQNEENPFKWLQKFMEERGKNPLLLVLDDVQSRSESLLDRFNEFKMSSCKVLVTSRYRFPKFGRTYPLETLEDKYAMALFRDSAFLPNTSSNIPDDLQEEIVRLCKRFPLSLKAIGDSLRNQRIEIWKKRLMELSKGSILEADEKLLAYLKSCLDDLGKGMAAVKNCFIDLGLFPEDRIIPVTALLDMWAEYKGTKDILSIANLYDLTNRNLARLVVVTGNEDVDGYYGEHFVIQHDMLRLLSIHESCEDPEQQRLIIEIRGDELPTWWKERKHKTKKARLVSISTDGLSATWHNMDLPKAEVLVLNFQTGNCVLPKFVKQMSKLKVLIVTSYGVLQADLSNFKLLGSLANLKRIRLEGISIPSISEKSMQLKGLQKISLFMCSIGQAFSNSSIQILEAFPNLVELNIDYCNDLVELPAKLCDLIHLKKLSITNCVQLSALPDEIGKLDGLEVLRLRSCTDLERLPDSIKNLSKLNLLDMYYCFNIKELPEEIGEMSGLRKIKMVQCLRLLALPVSVLNLEQLREVICDKDTENLWGEPFKSSLTNINIRVVEEDFKRNWLHDL >ONH96210 pep chromosome:Prunus_persica_NCBIv2:G7:13934011:13939184:1 gene:PRUPE_7G113600 transcript:ONH96210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQNSTSSSTTPTPTPTPSTSQQPPSEPPTQSQPQPPPQSQLPPPSSTASSTPTPIPPSPNPNPNPKPSTPVTPQPQQPQPQPQSRPPSTLARPWPQSHFAHFPSPSSSASSGPPPPPSSSAPPPPRGSIAIGVPAHHPSPSPPQPAPFSSSYGQHFGGLGRGGAAVPEPVSNSSASQVRPSVQGMQGMAMMGSLGSSSQMRPAGVSAQHPQRPVQSSFRPPSTASSQSPSSQNFQGHSLLRVSSVGTPSTSPNTSQGLQPHTQPWLSSGSQGKPPIPSPSYRQHMSSPSMQQRSHVQQQQHHPLPTASQQQHHPLPTAPQQQHHPLPSASQQTAPSPCLQLHRNSTIPCLQRHSNSTIPCLQLHSNSTILCLQLHSNSIHHRRSSNNLLRRIRDPSILGNTFNHQGSHKQPPVKLQGSRTWQTRSLPLLHQYSLTQSNQGPKIKVLVQKRMNLVIEFLAKEASMS >ONH97832 pep chromosome:Prunus_persica_NCBIv2:G7:19384361:19387265:-1 gene:PRUPE_7G213000 transcript:ONH97832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVVVFDFDRTLIDGDSDSWVVAEMGLTQLFNELRSTLPWNKLMDRMMEELHLQGKSSVDIKECLKRIPMHLGVIAAIKSAHASGCDLRIISDANQFFIETILECHGLLGSFSQIVTNPSIVDGDGRLRIFPYHDVGSPSHGCNLCPSNLCKGVVIDQIRASVSANGRKIYIYLGDGRNDFCPTLRLVEGDHVMPRKDYALSKRIYSNRMLIKADIHEWSDGEELGKILLHLIHRISTEEINCSDLSQLNSSDHI >ONH95653 pep chromosome:Prunus_persica_NCBIv2:G7:11830710:11831013:1 gene:PRUPE_7G083300 transcript:ONH95653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSWVIHLVREWLTVCQMFITHCKEDREKLSIMFSFYWEIIAIESFVQIIYSLCMSAGCVMTCLNLFFLYGSGN >ONH97287 pep chromosome:Prunus_persica_NCBIv2:G7:17727285:17730088:-1 gene:PRUPE_7G181600 transcript:ONH97287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDCEPHLLRSLLVFKNTINQELIRSLHVYRLDEGKENEVEREFVLSASEPYVELPADPLLRLMKFPVSQVHEGHVNGCWVCIFAFQANFPPNFTCIPSILSVSRNRKLKSIPALANDLQMIFELSNKKPLQRSGEETCQGSNDNNHQLIRSSPILDLDLNSLPCPVPMSESSEDQEIGRSLPGIMEKKKKRAPSEHVANIALSDLAKYFDLPIVEASRNLNVGLTVLKKKCREFGIPRWPHRKIKSLDGLIRDLQEETEIQQQENQAAALAVAKRQRMLENEKESIERKPFLEMKTETKKFRQDVFKRRHRARLLRSQGLSNSDN >ONH97288 pep chromosome:Prunus_persica_NCBIv2:G7:17727158:17730268:-1 gene:PRUPE_7G181600 transcript:ONH97288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDCEPHLLRSLLVFKNTINQAFFFFFFLVGFLIELIRSLHVYRLDEGKENEVEREFVLSASEPYVELPADPLLRLMKFPVSQVHEGHVNGCWVCIFAFQANFPPNFTCIPSILSVSRNRKLKSIPALANDLQMIFELSNKKPLQRSGEETCQGSNDNNHQLIRSSPILDLDLNSLPCPVPMSESSEDQEIGRSLPGIMEKKKKRAPSEHVANIALSDLAKYFDLPIVEASRNLNVGLTVLKKKCREFGIPRWPHRKIKSLDGLIRDLQEETEIQQQENQAAALAVAKRQRMLENEKESIERKPFLEMKTETKKFRQDVFKRRHRARLLRSQGLSNSDN >ONH97289 pep chromosome:Prunus_persica_NCBIv2:G7:17727158:17730358:-1 gene:PRUPE_7G181600 transcript:ONH97289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPVSQVHEGHVNGCWVCIFAFQANFPPNFTCIPSILSVSRNRKLKSIPALANDLQMIFELSNKKPLQRSGEETCQGSNDNNHQLIRSSPILDLDLNSLPCPVPMSESSEDQEIGRSLPGIMEKKKKRAPSEHVANIALSDLAKYFDLPIVEASRNLNVGLTVLKKKCREFGIPRWPHRKIKSLDGLIRDLQEETEIQQQENQAAALAVAKRQRMLENEKESIERKPFLEMKTETKKFRQDVFKRRHRARLLRSQGLSNSDN >ONH97290 pep chromosome:Prunus_persica_NCBIv2:G7:17727285:17729741:-1 gene:PRUPE_7G181600 transcript:ONH97290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPVSQVHEGHVNGCWVCIFAFQANFPPNFTCIPSILSVSRNRKLKSIPALANDLQMIFELSNKKPLQRSGEETCQGSNDNNHQLIRSSPILDLDLNSLPCPVPMSESSEDQEIGRSLPGIMEKKKKRAPSEHVANIALSDLAKYFDLPIVEASRNLNVGLTVLKKKCREFGIPRWPHRKIKSLDGLIRDLQEETEIQQQENQAAALAVAKRQRMLENEKESIERKPFLEMKTETKKFRQDVFKRRHRARLLRSQGLSNSDN >ONH97291 pep chromosome:Prunus_persica_NCBIv2:G7:17726939:17730364:-1 gene:PRUPE_7G181600 transcript:ONH97291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFELSNKKPLQRSGEETCQGSNDNNHQLIRSSPILDLDLNSLPCPVPMSESSEDQEIGRSLPGIMEKKKKRAPSEHVANIALSDLAKYFDLPIVEASRNLNVGLTVLKKKCREFGIPRWPHRKIKSLDGLIRDLQEETEIQQQENQAAALAVAKRQRMLENEKESIERKPFLEMKTETKKFRQDVFKRRHRARLLRSQGLSNSDN >ONH95035 pep chromosome:Prunus_persica_NCBIv2:G7:8465187:8471404:-1 gene:PRUPE_7G047900 transcript:ONH95035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPINIEIDGTQQQSAAALLVEEATQELASEPYDDQQPSYVPPELVKPWCSNDASVLYHCYLIQMKPNFCSDIPVNDIVLGMRSELDCDVANMNFDLGVGKSTITVNFKKAAEIHLSSEQILQCRRFQITIFRILMDHNLQKLEEVLERLSVGQNLEVESIDYLLLPAARMHQRPFIIDWECVMSVPFRSEENSEYHVDSSPPNNCSRVLHTKNGMVCTCRIQNSLVYTPHTGLLYCITGLLNDLNGNSRMIPRRRRARTYKTHYEEKHGIKLRFDQQLLLKGKHIFKVQNYLQSCRQQTEKDSCHTSVELPPELCSIVMSPLSVSNLYSFSLVPSIMHRLESLLLAFNLKRMVLDRCTENVTISTIKGFIRNECFDPKKWIIPGDYSGSSFLNEKLPFNEKNIYIRGTRKIKGKRVADVVEALIGAFLSTGGEIAAIYFMNWVGIKVDLFHIPYERHFQVQPEKLIDVRHLESLLNNYSFRDPSLLLEALTHGSYMLPQIPGCYERLEFLGDAVLDYVITAHLYHKYPDMSPGLLTDMRSASVNNICYARTAVRAGLHKHILASDCVLHTDIANTVNNFGSLSAESTFGWESETYFSEVLADIIESLAGAIFVDSEYDKNAVFKSIRPLLEPLVSPETMPLNPAKEFHDYCQKMQYIMKKPVKSIQNGVATRTIEVEANGVVKYTHTSTASNNDTAKRLACREFLRLSKGN >ONH95037 pep chromosome:Prunus_persica_NCBIv2:G7:8466156:8470922:-1 gene:PRUPE_7G047900 transcript:ONH95037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPINIEIDGTQQQSAAALLVEEATQELASEPYDDQQPSYVPPELVKPWCSNDASVLYHCYLIQMKPNFCSDIPVNDIVLGMRSELDCDVANMNFDLGVGKSTITVNFKKAAEIHLSSEQILQCRRFQITIFRILMDHNLQKLEEVLERLSVGQNLEVESIDYLLLPAARMHQRPFIIDWECVMSVPFRSEENSEYHVDSSPPNNCSRVLHTKNGMVCTCRIQNSLVYTPHTGLLYCITGLLNDLNGNSRMIPRRRRARTYKTHYEEKHGIKLRFDQQLLLKGKHIFKVQNYLQSCRQQTEKDSCHTSVELPPELCSIVMSPLSVSNLYSFSLVPSIMHRLESLLLAFNLKRMVLDRCTENVTISTIKVLEAITTKHCQENLHLESLEALGDSFLKYAASQQLFKTYQNDDEGDLTEKKEKIISNAALCKLGCDCKLPGFIRNECFDPKKWIIPGDYSGSSFLNEKLPFNEKNIYIRGTRKIKGKRVADVVEALIGAFLSTGGEIAAIYFMNWVGIKVDLFHIPYERHFQVQPEKLIDVRHLESLLNNYSFRDPSLLLEALTHGSYMLPQIPGCYERLEFLGDAVLDYVITAHLYHKYPDMSPGLLTDMRSASVNNICYARTAVRAGLHKHILASDCVLHTDIANTVNNFGSLSAESTFGWESETYFSEVLADIIESLAGAIFVDSEYDKNAVFKSIRPLLEPLVSPETMPLNPAKEFHDYCQKMQYIMKKPVKSIQNGVATRTIEVEANGVVKYTHTSTASNNDTAKRLACREFLRLSKGN >ONH95034 pep chromosome:Prunus_persica_NCBIv2:G7:8465187:8471404:-1 gene:PRUPE_7G047900 transcript:ONH95034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPINIEIDGTQQQSAAALLVEEATQELASEPYDDQQPSYVPPELVKPWCSNDASVLYHCYLIQMKPNFCSDIPVNDIVLGMRSELDCDVANMNFDLGVGKSTITVNFKKAAEIHLSSEQILQCRRFQITIFRILMDHNLQKLEEVLERLSVGQNLEVESIDYLLLPAARMHQRPFIIDWECVMSVPFRSEENSEYHVDSSPPNNCSRVLHTKNGMVCTCRIQNSLVYTPHTGLLYCITGLLNDLNGNSRMIPRRRRARTYKTHYEEKHGIKLRFDQQLLLKGKHIFKVQNYLQSCRQQTEKDSCHTSVELPPELCSIVMSPLSVSNLYSFSLVPSIMHRLESLLLAFNLKRMVLDRCTENVTISTIKGFIRNECFDPKKWIIPGDYSGSSFLNEKLPFNEKNIYIRGTRKIKGKRVADVVEALIGAFLSTGGEIAAIYFMNWVGIKVDLFHIPYERHFQVQPEKLIDVRHLESLLNNYSFRDPSLLLEALTHGSYMLPQIPGCYERLEFLGDAVLDYVITAHLYHKYPDMSPGLLTDMRSASVNNICYARTAVRAGLHKHILASDCVLHTDIANTVNNFGSLSAESTFGWESETYFSEVLADIIESLAGAIFVDSEYDKNAVFKSIRPLLEPLVSPETMPLNPAKEFHDYCQKMQYIMKKPVKSIQNGVATRTIEVEANGVVKYTHTSTASNNDTAKRLACREFLRLSKGN >ONH95036 pep chromosome:Prunus_persica_NCBIv2:G7:8466156:8470922:-1 gene:PRUPE_7G047900 transcript:ONH95036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPINIEIDGTQQQSAAALLVEEATQELASEPYDDQQPSYVPPELVKPWCSNDASVLYHCYLIQMKPNFCSDIPVNDIVLGMRSELDCDVANMNFDLGVGKSTITVNFKKAAEIHLSSEQILQCRRFQITIFRILMDHNLQKLEEVLERLSVGQNLEVESIDYLLLPAARMHQRPFIIDWECVMSVPFRSEENSEYHVDSSPPNNCSRVLHTKNGMVCTCRIQNSLVYTPHTGLLYCITGLLNDLNGNSRMIPRRRRARTYKTHYEEKHGIKLRFDQQLLLKGKHIFKVQNYLQSCRQQTEKDSCHTSVELPPELCSIVMSPLSVSNLYSFSLVPSIMHRLESLLLAFNLKRMVLDRCTENVTISTIKVLEAITTKHCQENLHLESLEALGDSFLKYAASQQLFKTYQNDDEGDLTEKKEKIISNAALCKLGCDCKLPGFIRNECFDPKKWIIPGDYSGSSFLNEKLPFNEKNIYIRGTRKIKGKRVADVVEALIGAFLSTGGEIAAIYFMNWVGIKVDLFHIPYERHFQVQPEKLIDVRHLESLLNNYSFRDPSLLLEALTHGSYMLPQIPGCYERLEFLGDAVLDYVITAHLYHKYPDMSPGLLTDMRSASVNNICYARTAVRAGLHKHILASDCVLHTDIANTVNNFGSLSAESTFGWESETYFSEVLADIIESLAGAIFVDSEYDKNAVFKSIRPLLEPLVSPETMPLNPAKEFHDYCQKMQYIMKKPVKSIQNGVATRTIEVEANGVVKYTHTSTASNNDTAKRLACREFLRLSKGN >ONH94947 pep chromosome:Prunus_persica_NCBIv2:G7:7742320:7746283:-1 gene:PRUPE_7G041500 transcript:ONH94947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKLTLIAATLAVVSVVLALNPLYLLKLFGNGVAPISGSHDLLHTAQLIQVPGALGPESLAFDPNGGGPYTGVADGRILKWDQNDRRWTDFAVTSSQRKECIRPFAPELEHVCGRPLGLKFDTETGDLYIADAYLGLQVVGPAGGLASQVVREVEGQPLRFTNDMDIDEHKDVIYFTDSSTVFHRRQFMSSILNGDRTGRLLKYNKSNKEVTVLLRGLAFANGVALSKDHSFVLVAETTTCRILRLWLHGPNAGNTDTFAELPGFPDNIRRNSKGEFWVALHAKRGFFAKWFVSNRLVGKSLLKLPLDFKQLHSLLVGGKAHATAIKLSETGEILEVLEDCEGKNMRFISEVEEKDGKLWIGSVLMPFLGIYEL >ONH94948 pep chromosome:Prunus_persica_NCBIv2:G7:7742475:7744503:-1 gene:PRUPE_7G041500 transcript:ONH94948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKECIRPFAPELEHVCGRPLGLKFDTETGDLYIADAYLGLQVVGPAGGLASQVVREVEGQPLRFTNDMDIDEHKDVIYFTDSSTVFHRRQFMSSILNGDRTGRLLKYNKSNKEVTVLLRGLAFANGVALSKDHSFVLVAETTTCRILRLWLHGPNAGNTDTFAELPGFPDNIRRNSKGEFWVALHAKRGFFAKWFVSNRLVGKSLLKLPLDFKQLHSLLVGGKAHATAIKLSETGEILEVLEDCEGKNMRFISEVEEKDGKLWIGSVLMPFLGIYEL >ONH96615 pep chromosome:Prunus_persica_NCBIv2:G7:15646042:15648166:-1 gene:PRUPE_7G141100 transcript:ONH96615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLKNKSFLFCLLLICLAKICTSLPSNYPQNQTQTSPSGKKHFVLIHGAGLGAWSWYKVATLLKDSGHNVTALDLGASGINPIQIQQLRSISQYVEPLTKLMVSLPPKDRIILVGHSMGGAVISTFMERFPEKIAAAVYVTAFMSGPTLNYSTILTEVNKRLDYLDTQYRYDNGTNNPPTSFLIGPKALALKFYQLSPAQDKTLVSSLIRFSPLFNYDVIKLTKEKYGSVRRVFIVSGQDQAIVLDVQNYIIRNNPPDEVKVISDSDHLVMISRPLKLFFHLQNIAEKYS >ONH98854 pep chromosome:Prunus_persica_NCBIv2:G7:22119874:22123304:-1 gene:PRUPE_7G269100 transcript:ONH98854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKNKQVIFKNYVEGVPKESDMYISDTATIKLKVPHEEEAPLSVLVKNLYLSCDPVMRIRMRKPGDETSSYFTPFAPGSPLSGYGVAKVLDSRHPDFKEGELVWGTTKWEDFSLITTPESFHKIQHADVPLSYYTGILGMPGMTAYAGFNEVCSPKKGEYVFISAASGAVGQLVGQFAKLLGCYVVGSAGSKEKVELLKNKLKFDEAFNYKEEHDLNAALKRYFPEGIDIYFENVGGNLLDAVLLNMRVHGRIAVCGMISQYNLDRPEGVTNLMCLIYKRIHMQGFTVRDYYHLYPKYLEYILPCIREGRVVYLEDIAEGLENGPAALVGLFSGRNVGKQVVSVSH >ONH98603 pep chromosome:Prunus_persica_NCBIv2:G7:21493176:21494053:1 gene:PRUPE_7G256800 transcript:ONH98603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTATCISKSHNSNRTCLCSPTSHPGSFRCSLHRNSNKQQPIISNPAFVVKPNSIKGCLLLQLIKPSSHDLQRRRKFQPRPTRFCLMNNTRNQLAVS >ONH95385 pep chromosome:Prunus_persica_NCBIv2:G7:10524477:10526213:-1 gene:PRUPE_7G067300 transcript:ONH95385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPENIDWGSIESVFVEDETYENFEAPKWVDLSSPDELIGDEAWFCGPDCNHPKATEDFLKSARNLKVKLLRSLSISEILPFRERNRRDVKVKRVEIKPPLAAAEFPETKTENLNSLCNVYEESENKNPNFPPPVPLSKTKTTKAPMNPSNVKKKAVDESSHGSSNFGRKPQLKSTFSASNLLGGRAMLNQITEFCAELKKLARRGSRKGGSSEKLGLKERVRERIPLIVREGKV >ONH96787 pep chromosome:Prunus_persica_NCBIv2:G7:16272567:16273616:-1 gene:PRUPE_7G152000 transcript:ONH96787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRFKFRISRVIPSFQSCRSKDPSTLPSNPVPSFLSRPPPLVNHVNFPATTPLPPPLPSKIHHHSSIKRHVLFCGIRSRPTLSDDDRTRSPPDHHHKFEWEREDKWHVVAKVYDINPRNKIYTSSASASSDVDDTVSPPPSSVSEQNKKTNQKRRVKNKKKKTTTSRIRISTSSFETGIFSSEGGGGLDEEETETLVSTRSSRSFSTDSSPPLPRRKKKKKRGSSAAKRSVSRRSSAEMETAAPARLSVFQRLIPCTVEGKVRESFAVVKKSEDPYEDFKRSMMEMILEKQMFDERELEQLLHCFLSLNSREHHGVIVEAFAEIWEALFCCASGSARSSSGARVSRAL >ONH97710 pep chromosome:Prunus_persica_NCBIv2:G7:19012196:19015825:1 gene:PRUPE_7G205900 transcript:ONH97710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRDARNWDEESYRETILKEREIQTRTVFRTVWAPSLNPNPDAIVVASSDGSVSSYLIPSLVSKPPLGFSNVKAPHSLMAKPACFLQAHEGPAYDVKFYGHGEDAVLLSCGDDGRIRGWKWKDCVEAEAPIHLQGTHVKPLLDLVNPQHKGPWGALSPIPENNALAVNDQGGSIFSAAGDSCVYCWDVESGQVKMTFKGHSDYLHSIVARNSTNQIITGSEDGTARIWDCRSGKCVQVYEPAKGTKLKGFFSCVSCMALDASESWLACGSGRSLSVWNLPASECISRTSTRASVQDVVFDENQVLAVGAEPLLCRYDINGAILSQMQCAPQSAFSVSLHASGVTAVGGYGGLVDIISQFGSHLCTFSCRCV >ONH97711 pep chromosome:Prunus_persica_NCBIv2:G7:19012196:19015826:1 gene:PRUPE_7G205900 transcript:ONH97711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFLHTSSPPSYPSPSLMAKPACFLQAHEGPAYDVKFYGHGEDAVLLSCGDDGRIRGWKWKDCVEAEAPIHLQGTHVKPLLDLVNPQHKGPWGALSPIPENNALAVNDQGGSIFSAAGDSCVYCWDVESGQVKMTFKGHSDYLHSIVARNSTNQIITGSEDGTARIWDCRSGKCVQVYEPAKGTKLKGFFSCVSCMALDASESWLACGSGRSLSVWNLPASECISRTSTRASVQDVVFDENQVLAVGAEPLLCRYDINGAILSQMQCAPQSAFSVSLHASGVTAVGGYGGLVDIISQFGSHLCTFSCRCV >ONH95588 pep chromosome:Prunus_persica_NCBIv2:G7:11523038:11524697:1 gene:PRUPE_7G079500 transcript:ONH95588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLCTLKLKRDEASYVLFFGRIGGQSPKRPHIYFSSSLSHPNEIIKRCRQNICLNHHWPHLIYNKNTDCDFLLKMKQNLKRGKEKMPQTQRVRK >ONH94856 pep chromosome:Prunus_persica_NCBIv2:G7:6575509:6581192:-1 gene:PRUPE_7G035600 transcript:ONH94856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVISIASKITESLVTPIGREFGYLIYYDTNMKDLKDELKQLFEMKDGLQELVNAAKRNGEVINSDVQSWLTSVNKLIQKVLHFEEEVNMKRRFLYRWNISRKATKITQDVRHLQKERTFNNNVAHPAPPPMIWSTFKEGFKDFKSRMTCVNSVIEVLKNEEVRMIGICGMGGVGKTTMVKEIIKRLAGLKVFDNVVIAVVSQSPSIRKIQSEIAEELGFTYDKEDTESGRARRLYRRLMEISRILIVLDDVWTELDFEAIGLPSGLTHKGCKVLLTSRNLEVCNAMGSQEIFTIPVLTPEESWELFREIIGQPLDYPDLAKRVTNECAGLPIAILTVAKALENKRKYEWDDALKQLQSSALGSISLMNDRVYSSIQWSYDRLESDEAKSCLLLCCLFPEDYDIPIEYLVRYGWGRGYFSNTDSVEEARNRVHSLVDKLQRRFLLLDSKLKDHTKMHDIVRDVAISIASRDPHRFLIRCDAEKKGWPKIYDHYTTISLIPINIDEIPVGLECPKLELLHLEGDCYSKNSMDIMCKGMKELKVLALVDVGGISTLPSSLGLLKSLRTLSLNGCLLTDISDVIGSLENLEILSFRECVNILELPKEIGLLKHLWLLDITDCNRLQKIPHGLLSSLSSLEELYIFRKWEQSAAKSEDKRTASLVEVMSLSNHLKVLVIDIPDFSFFPKDFYLTIQRTIRFDISIKGVHGDSSTSTGCYAFENKLDIVKSDATEFMEIQIVRLLFKKCEDLNLEKIKNLKYVLNELDQEGLQRLKVLRIWKCPEIEYLVNGASWTQQTAFPLIQSIQLMQMPKLKAMCHDQLPQSSFINLRSLELHNCPVLKYVFSLSVASNLVQLQSLIVANCPHMKEIVSKEGREHETASDIIAFPKLTNLTLLYLSDEFVGFYEANHEVKMPKDENVVRTSNDEHQSSRSFERVVFPSKCILWLQNLEEVKLKFDDGIVDVLFDLKGHMVRDGQAVPAFSHLRKLKISLTTIPHFWKNIPRGFQGFQNLRYLKIKDGRDLQYVFPHSIARLLENLEELNIAQCWEMETIVRSADENEKEDQTGFRLLRVFVLMLLLLYATKVMSVKRCDKLKKLASVIPQIKKLQKDSTAHRKDEDEGISSGSCGCTPYSCGPMTKPTSRRNIVQILPRPVNQEVAPTNLDQDSNDYDNLERLSVQSCKSLEVVFQLKGPKAVESHNVQAFNKLCYLLLNKLPSLMHVWEAGGSPHITGFGNLTFLSVSHCGSLRYLFLSTVAKLLISLKDLKVGNCEKIEQVIAEADTECVDQEITFRQLNSITLEDLPNLICFSIEAYTLKLPCLRELKVIRCPDLRTFASKVVNAHSVIKVQTEFGKSEWMGDLNSTIGNIHEKRETQRSAELSSVLKVEFCSEEFYEDVHTE >ONH98029 pep chromosome:Prunus_persica_NCBIv2:G7:19931715:19932281:1 gene:PRUPE_7G224100 transcript:ONH98029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQSQQLEQSSSYRGYVSFGLPPVPSLQHSTQFQEHAAQEAIAALQVEQGSFYGSYTPPVPRSLQNLIGRCSEPFEKQLSGTDVALGSTSLTISKEDVKRHILPLLKANEVPEEGIQVTVYDIAGEEYPMLFKRRRYRYFLVGPKWRRLYQRHGLEGDQHSLTFWAFRKVRPESLCFVITWRRLPAR >ONH97657 pep chromosome:Prunus_persica_NCBIv2:G7:18906307:18920728:-1 gene:PRUPE_7G204100 transcript:ONH97657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPVNIIVGSHVWVEDPAEAWIGGEVLRISGEEVHVHTQNGKTVVTNISKVFPEDTEAPPGGVDDMTKLSYLHEPGVLHNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGAAFGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCASPPEEREKFKLGNPKQFHYLNQSSCYELDGIDDGQEYLATRRAMDVVGISEEEQDAIFMVVAAILHLGNVEFAKGEDVDSSVIKDEKSRFHLSTTAELLKCDPKSLEEALIKRVMVTPEEIITRTLDPVSALASRDALAKTIYSRLFDWLVEKINISIGQDPNSKSLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKSTHETFAQKLYQTFTKNKRFIKPKLSRTSFTISHYAGEVTYLADQFLDKNKDYVVAEHQDLLTASKCPFVAGLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNSVLKPAIFENFNIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGVLAPEALEGNCEDKVACQMILDKMGLTGYQIGKTKVFLRAGQMAELDARRAEVLGHAARTIQRQIRTHMARKEFIALRKAAIQLQSYLRGISAREVFEQLRQEAAAVKIQKYFRRYIARKSYLTERLSAIKIQTGLRAMTARNEFRFRKQTKAAVIVQAHLRCHIAYSYYRSLQKAAIVTQCGWRSRVARRELRNLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEEKAQETAKLQEALHAMQIQVEEANSRANREREAAQKAIQEAPPVIKETPVIIQDTEKIDSLSAEVESLKTLLLSERQAVEEAKKASIDAEARNAELVKQFEDASRKVDQLQESVQRLEEKLSNTESENQVLRQQALTMSPTGKSLSSRPKTMIIQRTPENGNVLNGESKVTSDMILAVSNAREPESEEKPQKSLNEKQLENQDLLVKCISQDLGFFGGRPIAACVIYKCLLHWRSFEVERTGIFDRVIQTIASAIEVPDNNDVLAYWLSNTSTLLLLLQHTLKASGAASLTPQRRRTSSASLFGRMSQGLRASPQSAGLSFLNGRGLGRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMMRDNLKKEISPLLGLCIQAPRTSRASLVKGRAQANAVAQQALIAHWQSIVKSLDSYLKTMKANYVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYGASEEYAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISSMRVLMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMQQVDITDIEPPPLIREHSGFGFLLPRSE >ONH97658 pep chromosome:Prunus_persica_NCBIv2:G7:18906795:18920288:-1 gene:PRUPE_7G204100 transcript:ONH97658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPVNIIVGSHVWVEDPAEAWIGGEVLRISGEEVHVHTQNGKTVVTNISKVFPEDTEAPPGGVDDMTKLSYLHEPGVLHNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGAAFGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCASPPEEREKFKLGNPKQFHYLNQSSCYELDGIDDGQEYLATRRAMDVVGISEEEQDAIFMVVAAILHLGNVEFAKGEDVDSSVIKDEKSRFHLSTTAELLKCDPKSLEEALIKRVMVTPEEIITRTLDPVSALASRDALAKTIYSRLFDWLVEKINISIGQDPNSKSLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIERKPGGIIALLDEACMFPKSTHETFAQKLYQTFTKNKRFIKPKLSRTSFTISHYAGEVTYLADQFLDKNKDYVVAEHQDLLTASKCPFVAGLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNSVLKPAIFENFNIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGVLAPEALEGNCEDKVACQMILDKMGLTGYQIGKTKVFLRAGQMAELDARRAEVLGHAARTIQRQIRTHMARKEFIALRKAAIQLQSYLRGISAREVFEQLRQEAAAVKIQKYFRRYIARKSYLTERLSAIKIQTGLRAMTARNEFRFRKQTKAAVIVQAHLRCHIAYSYYRSLQKAAIVTQCGWRSRVARRELRNLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEEKAQETAKLQEALHAMQIQVEEANSRANREREAAQKAIQEAPPVIKETPVIIQDTEKIDSLSAEVESLKTLLLSERQAVEEAKKASIDAEARNAELVKQFEDASRKVDQLQESVQRLEEKLSNTESENQVLRQQALTMSPTGKSLSSRPKTMIIQRTPENGNVLNGESKVTSDMILAVSNAREPESEEKPQKSLNEKQLENQDLLVKCISQDLGFFGGRPIAACVIYKCLLHWRSFEVERTGIFDRVIQTIASAIEVPDNNDVLAYWLSNTSTLLLLLQHTLKASGAASLTPQRRRTSSASLFGRMSQGLRASPQSAGLSFLNGRGLGRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMMRDNLKKEISPLLGLCIQAPRTSRASLVKGRAQANAVAQQALIAHWQSIVKSLDSYLKTMKANYVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYGASEEYAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISSMRVLMTEDSNNAVSSSFLLDDDSRLSFLVMFYECSRSIPFSVDDISKSMQQVDITDIEPPPLIREHSGFGFLLPRSE >ONH96179 pep chromosome:Prunus_persica_NCBIv2:G7:13825559:13826167:-1 gene:PRUPE_7G111300 transcript:ONH96179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGCACCFCLLCNTFLCFTLAFFMFCYILTSDEPKFRVTDASLTQFNFTNTDNSTLHYNLALNITIRNSNKKVGIYYRRIQVIANYRKKTFAMVNLTSAPFYQGHKNTTTLHAVLEGQQSVLFGKSDISQFNSDTAASIYSIDLQLALQVRARYFSFKTAEYPSSKIDCKLRVPLSLNEKSPSGFNVTTCWNVHILTDRGD >ONH95323 pep chromosome:Prunus_persica_NCBIv2:G7:10256842:10262910:-1 gene:PRUPE_7G064000 transcript:ONH95323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIPQISINDGNLVVQGKTILTGVPDNIVLTPGTGVGLVAGAFIGATAAQSQSLHTFPIGVLEGLRFMCCFRFKLWWMTQRMGTCGKDVPLETQFMLVESKGDGEGGDEDDSSSSTIYTVFLPLLEGLFRSVLQGNERNEVEVCLESGDSAVQTNQGQCLVYIHAGTNPFEVITQAVKAVEKHMKTFVHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVEQGLKSLSNGGTPPRFLIVDDGWQQIENKDKDTDAVVQEGAQFASRLTGIKENEKFQKNGHHSEQVSGLKHVVDEAKQHQNVKFVYVWHALAGYWGGVKPAATGMEHYDTALAYPVSSPGVTGNQPDIVMDSLSVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGSGHGGRVSLTRSYHQALEASVARNFPDNGCISCMCHNTDGLYSSKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHSAAEYHGAARAVGGCAIYVSDKPGNHNFDLLRKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKIWNVNKCSGVVGVFNCQGAGWCKIIKKTRIHDESPSTLTASVRATDVDVIAQVAGADWNGETVVYAHKSGEVIRLPKGGSVPVSLNVLEYELFHFCPLKEITSNISFAPIGLLDMFNVSAAVEQVEIHLASDKKPELSNGEDTTSLCENGSPTATIGLKTRGCGRFGAYLSQRPLKCTVDNAETDFEYDSATGLMTITIPVPDEEMYRWSVEIKV >ONH95322 pep chromosome:Prunus_persica_NCBIv2:G7:10257195:10262039:-1 gene:PRUPE_7G064000 transcript:ONH95322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIPQISINDGNLVVQGKTILTGVPDNIVLTPGTGVGLVAGAFIGATAAQSQSLHTFPIGVLEGLRFMCCFRFKLWWMTQRMGTCGKDVPLETQFMLVESKGDGEGGDEDDSSSSTIYTVFLPLLEGLFRSVLQGNERNEVEVCLESGDSAVQTNQGQCLVYIHAGTNPFEVITQAVKAVEKHMKTFVHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVEQGLKSLSNGGTPPRFLIVDDGWQQIENKDKDTDAVVQEGAQFASRLTGIKENEKFQKNGHHSEQVSGLKHVVDEAKQHQNVKFVYVWHALAGYWGGVKPAATGMEHYDTALAYPVSSPGVTGNQPDIVMDSLSVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGSGHGGRVSLTRSYHQALEASVARNFPDNGCISCMCHNTDGLYSSKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHSAAEYHGAARAVGGCAIYVSDKPGNHNFDLLRKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKIWNVNKCSGVVGVFNCQGAGWCKIIKKTRIHDESPSTLTASVRATDVDVIAQVAGADWNGETVVYAHKSGEVIRLPKGGSVPVSLNVLEYELFHFCPLKEITSNISFAPIGLLDMFNVSAAVEQVEIHLASDKKPELSNGEDTTSLCENGSPTATIGLKTRGCGRFGAYLSQRPLKCTVDNAETDFEYDSATGLMTITIPVPDEEMYRWSVEIKV >ONH97103 pep chromosome:Prunus_persica_NCBIv2:G7:17218582:17221607:-1 gene:PRUPE_7G169900 transcript:ONH97103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEASNAALPDGFLDFLKANGLDPSIYAESNSTPRYIRLKPGCEAQIEEIEGEIKCKLEKVGWLPGFYALPPDVQIANSNAYREGKMYGIDAASGAAVSALNISAGDHVLDLCAAPGAKLCLMLDILGDSGSVTGVDVSSHRLAACRTMLQKYALGNRCRLFVADGTTFSLMPMRIHSDPKSCESALEENVTFKEWTSRRPWKERKKAARVRKSGAVHSGNQLPELIYYGRHSGVVGRNKSELYQTFRDSELLSCGYDKVLVDAECTHDGSIKHVQKFEHWGWETLQRRVLDAERSDSLTVLQLKLLTNGFRLLKAGGLLVYSTCSLTVAQNEDIVKQFLEENPFAELQAIDGVENWPSKSGQLPNTLRFDPLTSKTSGLFVAKFSKLAI >ONH94985 pep chromosome:Prunus_persica_NCBIv2:G7:8019215:8019731:1 gene:PRUPE_7G044000 transcript:ONH94985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLLLPELFTMLEKSRTRRTATDGNDNGKIVDAMQYLMNILSSEVYDVAIESSLELATKVSERLGVRVWMKREDL >ONH97028 pep chromosome:Prunus_persica_NCBIv2:G7:16968676:16970735:1 gene:PRUPE_7G165400 transcript:ONH97028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLLKSAPVLGKPEWLKGQALPQPSASVVRCNPVASSALTVRATSSYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTVPGLGQYISGAILFEETLYQSTTDGRKIVDVLVEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLASRSAAYYQQGARFAKWRTVISIPNGPSELAVKEAAWGLARYAAVSQDNGLVPIVEPEILLDGDHGIDRTFEVAKKVWAEVFFYLSQNNVLFEGILLKPSMVTPGAEAKDRATPEQVADYTLRLLRQRIPPAVPGIMFLSGGQSEVEATLNLNAMNQAPNPWHISFSYARALQNTCLKKWGGRPENVEEAQEALLVRAKANSLAQLGKYTGEGESEESKQGMFVKGYVY >ONH94345 pep chromosome:Prunus_persica_NCBIv2:G7:1636561:1639404:1 gene:PRUPE_7G012000 transcript:ONH94345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAQTGWMFDVFVSFRTEDTGKNFTDHLYNALDQKGIVTFRHDALERGKPISTEILKTIRESRFSIVVFSRSYACSNRCLDELVGILECMKSKGQTVFPVFYDVDPSDVRKQKGCFEKALCEHVQFMDKVESWRAALKEAANLSGWDLKNKFESKVIQEIAERIFNQLSQEVSSALKDLVGIDSLVKEMNMYLDTGEDDVRITGICGEKGIGKTTIAQAVFDSLSVRFDGSSFLANIKEVSEKQGLVPLQEQLISEILMARNMKIRNVHRGVNTIRNSLCRKKILLVLDGVDRLEQLENLAGNRNWFGAGSRIIITTRDENLLIRYGVDRKYRAAELNHDDALRLFCWKAFKQGRPPEDYAGLCNLVLDHAKGVPSALEALGSFLFGRSLPEWQGAVDRLAQVPCTWDYLK >ONH96562 pep chromosome:Prunus_persica_NCBIv2:G7:15411420:15413717:1 gene:PRUPE_7G137200 transcript:ONH96562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDLVKRKMVTVLSIDGGGVRGIIPSTILAFLESKLQQLDGPDARIADYFDIIAGTSTGGLVTTMLTAPNKDNRPMYEAKDINKFYLDQSPKIFPQSSRNNLLGSIKSMVDEVKGPKYDGKYLRTLTHGLLGDLTLKQTLTNVVIPAFDIKYLQPVVFSTIDAKESSLKNAKLSDVCVSTSAAPTFLPAHYFEVKDDAGRTRTFNLVDGGVAANNPTMMAISHINREMLKHNSDPIDATRLQVLSLGTGAAKLEEKYSAAIASKWGLINWLFHNGSTPLVDFFADASSDMVDFHVSTLFQCLQAKDNYLRIQDDSLTGDEASLDIATEKNLRRLVEIGNALLKKPVSRVQLDTGKYEECEGEGTYEDALIKFAKTISEGRKLR >ONH97578 pep chromosome:Prunus_persica_NCBIv2:G7:18635944:18637507:-1 gene:PRUPE_7G198200 transcript:ONH97578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEEAASFSLAVLSFSTLALLVALALLSKILFPLPKKLKLPPGPKPWPIIGNLNLVGPLPHQSAHKLSQTYGPIMQVKLGSCPVVVASSPEMAKQFLKTHDRIFASRPQTAAGKYLNYGYLSVTHAPYGPYWRQGRKIFISELFSPKSLESFRYIRVEENRAFISRLYAMSGKAVVLKQHLSSLTLSVLCRIVLGKDHFSLTTSKSSIISLKESQDMTDELYLLAGVANIGDWIPWLDVFDLQGYVKRMKALQKKLDRFYEFVLNEHKARKEGVEEFVAKDMVDLLLQLLTYDTVKAFTQDLVIGGSDTSATTLEWAMSELIKQPNLIKKATEELDRVIGRERWVEEEDLENLPYMDAIMKEAMRKHPVIVLLPHLALEDCNVAGYDVCKGTLVLVNIWSMGRDPTLLWDAPDEFRPERFLGNNAIDVKGQSFELLPFGSGRRMCPGYGHALKMVRSCLANMLHGFTWKLSGHVKTEDLGMEESYGLLTHRKFPLVVVTEPRLPIRLY >ONH94442 pep chromosome:Prunus_persica_NCBIv2:G7:2481889:2482317:1 gene:PRUPE_7G017000 transcript:ONH94442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTQRISASSSSTTPQWKYDVFLSFRGDDTRKDFTDHLYETLRAQGIITRRDEPKISKGKAISRELIAAIEGSRFALIILSQNYASSTWCLDELLHILKFMQAREAMLPIYISFYILLMEYVLHLLKIVLEKFASHRSSLMF >ONH98153 pep chromosome:Prunus_persica_NCBIv2:G7:20333857:20336946:-1 gene:PRUPE_7G232800 transcript:ONH98153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHAKSDSDVTSLAPSSPRSPKRPVYYVQSPSRDSNDGDKSSTMQATPAFNSPMESPSHPSYGRHSRASSASRVSGTFRSSSGQKGTRKRNDKGWPECNVIEEEGDYGGFYKDKGISRRCQIWIALFGFVVIFSIFCLILWGASRPYKARIAVKSFAVHNFYFGEGSDTTGVPSKMLTMNCSVKMSVYNPATFFGIHVSSTPINLMYSEIAVATGQLKKYYQPRKSYRNVTVNLQGIKVPLYGAGASLAVSDNSGGVPMMLMFEVRSRGNVVGKLVRSKHQRHISCSMEINSHNSKPIKLKTSSCTYK >ONH96513 pep chromosome:Prunus_persica_NCBIv2:G7:15209018:15213055:1 gene:PRUPE_7G134000 transcript:ONH96513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFFRVLNTLSSSSPSIFSFVRHKHYSITAKTTHFLRLLNLCKTSKDLKPLKSFLIVHGLVNDVFPIEQFVRSCFHLGASHLALSLFQQIRRPSLGLQNLIIRCLCNDGLYQDLLYVYLNSRALGCPSDDFTFPFVIKACAALGAVKIGKQVHGVVFRAGFEQNLFIQTALTDFYARTGCMEMARALIDRIPQPDLVPWNALIAGYSSNGFNWEAFDVFREIIFMDLKPNLSTLASIIPVCTRLGCIHTGKSLHCFAVKSGLVFNDFLVPALISMYAGDEDLCGARNLFDFVLEKNVVVWNAMISAYTQRQKAMSAFKMFRCMLRVGTQPNLITFVSIIPSCENSSSLAFGESLHAGVIKHGSENQLPILTALVSMYAKLGNINSSRYLFEQTPSKNLLMWNSMISGYVYNGLWDLSLDLFRKMQFSGFDSDAVSVVSILPACSKLEADLLGRSAHAFSIRKGSHSNLNLSNALLAFYSGCHHLSYAVTLFHKMPIRNAITWNTLISSCVHRGEMEKAVPIYHQMQKEGFKLDLVTLISILPSFSEKENLGQGMAIHGYAIKDGFSSDISMVNSLISMYCNCGDLDAGRLLFEVMPKRSSVSWNALMTGFRYHNLQKKVLVLLGEMMKSGERPNFVTLLNLLAACYTQLQDLTTQIHVSYSSKREIWGIFLCGMPLFLCTYEQKIAKLQLPRSLIYFRRDSNPTM >ONH96720 pep chromosome:Prunus_persica_NCBIv2:G7:16024074:16025970:1 gene:PRUPE_7G147400 transcript:ONH96720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGNGNGFGFLQGSVLKKRKKSFKVRNYDPHQATQDKLLSGISQYVGKAIMYDKEMIMEATNDLDELCKIGDSMYKATMYGEVLAIKRTNIDVKEKLSILQKVNHVNLVNLMGISYDADGDRFLVYENAENGSLENWLFPDSEASSDSLAFLSWSQRIHIALDFANGLHYMHDHTQQSIVHWDVRERNILVDSDFKAKFANFSTARPVTNSSMPKVDVFAFGVLLLELLSGKRAMETKENGEVVMLYKDLREVLKVEEKSVERLQKWIDPKLENCYPLDGALSLAALATTCTQENAQARPSLAEVVLNLSVLAQSSPKTMHKFQSKLADLGWEKVL >ONH97311 pep chromosome:Prunus_persica_NCBIv2:G7:17805446:17808091:1 gene:PRUPE_7G183300 transcript:ONH97311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEWEKLQQKEHEMDGQANGNGGVLYVKVMTDEQLETLRKQIAVYASICEQLVEMHKNLTAQQDLAGVRMGNLYCDPLMTSAGHKITARQRWTPTPVQLQILERLFEQGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQQNAACNHAESEVETEVDSPKDKKTRPEELFQSQQNSAQRTEDLCFQSPEISSDLHFLDPQTTKTDKMFPSNSGLRTSRHLSEMSFYDEVLSNSRNDLMTGKIEAGNYNLFQQAEDYGMTG >ONH97313 pep chromosome:Prunus_persica_NCBIv2:G7:17805850:17808091:1 gene:PRUPE_7G183300 transcript:ONH97313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNLSLELEAIVFFLLSSIISGFLLHDYGCLFVSRPGVRMGNLYCDPLMTSAGHKITARQRWTPTPVQLQILERLFEQGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQQNAACNHAESEVETEVDSPKDKKTRPEELFQSQQNSAQRTEDLCFQSPEISSDLHFLDPQTTKTDKMFPSNSGLRTSRHLSEMSFYDEVLSNSRNDLMTGKIEAGNYNLFQQAEDYGMTG >ONH97312 pep chromosome:Prunus_persica_NCBIv2:G7:17805613:17807490:1 gene:PRUPE_7G183300 transcript:ONH97312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEWEKLQQKEHEMDGQANGNGGVLYVKVMTDEQLETLRKQIAVYASICEQLVEMHKNLTAQQDLAGVRMGNLYCDPLMTSAGHKITARQRWTPTPVQLQILERLFEQGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQQNAACNHAESEVETEVDSPKDKKTRPEELFQSQQNSAQRTEDLCFQSPEISSDLHFLDPQTTKTDKMFPSNSGLRTSRHLSEMSFYDEVLSNSTEPQKFKLRE >ONH97855 pep chromosome:Prunus_persica_NCBIv2:G7:19458449:19460610:1 gene:PRUPE_7G214400 transcript:ONH97855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLKKESDPSQKGLLQSDELYQYILETSVYPRELEPLKELRAATASHPRSQMATAPDAGQLMTMLLKLVDAKKTIEVGVFTGYSLLLTALTIPEDGKIVAVDVNRDAYDQIGLPIIEKAGVQHKIDFIESEALPVLDELLEHHENEGSFDFAFVDADKVNYWKYHERLMKLLKVGGIVVYDNTLWGGTVVMLEESTPEYMKQGRQATIELNKLLAADARVQISHASLGDGITICRRIN >ONH97854 pep chromosome:Prunus_persica_NCBIv2:G7:19458449:19460610:1 gene:PRUPE_7G214400 transcript:ONH97854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLKKESDPSQKGLLQSDELYQYILETSVYPRELEPLKELRAATASHPRSQMATAPDAGQLMTMLLKLVDAKKTIEVGVFTGYSLLLTALTIPEDGKIVAVDVNRDAYDQIGLPIIEKAGVQHKIDFIESEALPVLDELLEHHENEGSFDFAFVDADKVNYWKYHERLMKLLKVGGIVVYDNTLWGGTVVMLEESTPEYMKQGRQATIELNKLLAADARVQISHASLGDGITICRRIN >ONH97856 pep chromosome:Prunus_persica_NCBIv2:G7:19459152:19460477:1 gene:PRUPE_7G214400 transcript:ONH97856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNLKKESDPSQKGLLQSDELYQYILETSVYPRELEPLKELRAATASHPRSQMATAPDAGQLMTMLLKLVDAKKTIEVGVFTGYSLLLTALTIPEDGKIVAVDVNRDAYDQIGLPIIEKAGVQHKIDFIESEALPVLDELLEHHENEGSFDFAFVDADKVNYWKYHERLMKLLKVGGIVVYDNTLWGGTVVMLEESTPEYMKQGRQATIELNKLLAADARVQISHASLGDGITICRRIN >ONH94296 pep chromosome:Prunus_persica_NCBIv2:G7:1221176:1222278:-1 gene:PRUPE_7G009100 transcript:ONH94296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIKIVALITMVVILLPTIAMATEFIVGDANGWKPNFDYQAWAKDKVFHVGDTLVFNYPSPPHNVFKVNGTGFKECVKPTTNDQPPLTSGNDKIELKTTGNKWYICSTSNHCALGQKLNITVMEGPPAPAPSSAVRGFIFSGYQVFMAAIVGVFIAVAA >ONH97571 pep chromosome:Prunus_persica_NCBIv2:G7:18602346:18604081:1 gene:PRUPE_7G197500 transcript:ONH97571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAISYAILTLALVATLALVSKIFFPQSQKLKFPPGPKPWPIIGNLNHIGPLPHQSLHKLSQIYGPIMQLKFGSYPVVIASSAEMARQFLRTHDHIFASRPQTAAGKYTTYNYSNLTWAPHGPYWRQGRKIYLSHLFSSKILDSVEYIRVEENRAFLSRLCALSGKPTMLKEHLSRLTLTIISRIVLGKKYFSVSESESSIVTLEEFQEMLDELFLLNGVLNIGDWIPWVQFFDLQGFVKRMKALKKKFDRFHDHVFDEHRAKKEGVKDFVPKDMVDLLLQLAEDPDIEVKLNYDSVKGFTQDLIAGGTDTSATTVEWAMSELMKQPLLIEKATEELDRVIGRGRWVEEKDIPQLPYIEAIMKETMRKHPVAVMLAPHLALEDCNVGGYDICKGTRVFINTWSMGREPSQWDAPDEFNPDRFLGRSIDVKGQNFELLPFGSGRRMCPGYSLGLKMIRSSLANLLHGFNWKLPGNMKPEDLSMEEVFGLATPRKFPLVAVVEPRLPAHLY >ONH98275 pep chromosome:Prunus_persica_NCBIv2:G7:20674229:20676493:1 gene:PRUPE_7G239600 transcript:ONH98275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLSLLSSSTHLLSFCRKLTVQTLTRRSLCSSSTTTMSIYLQSHAFAGNPLIPKTPKSSDPFSPTQAYETLKTQLLDNTHLPSSLSFKVLPFRKGRPLASSTGGTSDSTPNWHLGWISLSECKGLLANSGVELSGESLVYLGSRSEDDVVYWAIDVSGESSLVPELGSKQLCFVELRTLMVATDWADARAMGELAIAGHARALLEWHNISLFCGHCGGKTVSKEAGRRKQCSNELCRKRVYPRVDPVVIMLVIDRENDRVLLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGEVVYHSSQPWPVGPNSMPCQLMVGFYAYAKSLELNVDKEELEDAEWHSREDVKKALAYAEYRKAQQTAASKVEQMCKGVEKGQNFSADFNVESGELAPMFIPGPFAIAHHLISSWVYQDAGDSVAARLKQPSGSTSNL >ONH97797 pep chromosome:Prunus_persica_NCBIv2:G7:19312963:19316696:-1 gene:PRUPE_7G211100 transcript:ONH97797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSMGEAHRRINDYLNRFSDAVSAQDGASLKQLLSLSSNNPAILSLADALNVFQDANRLIKQSDNDTQFGEILVHLFRAFQSYRVGSFIDSYQAFEKSANAFIQEFRNWDTAWALQALYVIAYEIRVLAERADKELASNGKSPEKLKGAGSFLMKVFGVLAGKGPKRVGALYVTCQLFKVYFKLGTVHLCRSVIRSIETARIFDFEEFPKRDKVTYMYYTGRLEVFNENFPAADHKLSYALRHCNPQSEANIRMILKYLIPVKLSIGILPNDWLLEKYNLVEYKNVVQALKRGDLRLLRHALEEHEDRFLRSGVYLVLEKLELQVYQRLIKKIYIIQKQKDPIKAHQLKLEVIVKALKWLEISMDLDEVECIVAILIYKNLVKGYFAHKSKVVVLSKQDPFPKLNGKPVNS >ONH96804 pep chromosome:Prunus_persica_NCBIv2:G7:16325420:16328801:1 gene:PRUPE_7G153300 transcript:ONH96804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTKVTPRAVTISARAAAIAIAWALFINLLMTSEVASAERPLKDEKPTKFIEQDIHEAFFVKVVNFLWQAGGSSYQPVWPEMKFGWKIVVGSIVGFFGAALGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMIMGAAGSTVYFNLRLRHPTLDMPLIDYDLALLFQPMLMLGISIGVAFNVMFADWMVTVLLIILFLGTAAKALMKGVDTWKKETMMKKEAEKQLESESKPGDGSGEDYKPLPGGPASLQDEQVPISHNVYWKELSMLVYVWVAFLIVQIVKTYTETCSTMFWVLNSLQVPIAVSVTLFEAICLCKGTRVIASKGKEITNWKLHQIFLYCSCGIVAGMVGGLLGLGGGFILGPLFLELGIPPQVASATSTFAMLFSSSMSVVQYYLLNRFPVPYAAYFVLVATIAAFTGQHVVRKIIAVLGRASIVIFILALTIFVSAISLGGVGIANMVEKMENQEYMGFENFCHQS >ONH98425 pep chromosome:Prunus_persica_NCBIv2:G7:21083442:21087627:1 gene:PRUPE_7G248500 transcript:ONH98425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVEETMATPIEDKMATPVEEEMATPIQDKMATPDEDKMETPDEEKTLALTQYEDKTATPYENNEVAIPEAQPNKRRKKKSIVWEHFTIETVSAGCRRACCNQCKQSFAYSTGAKVAGTSHLKRHIAKGTCPALLRNQNNSQSSPYTPSPRGGSSSNPPKRRYRTPSTPQIMFDPDRCRHEIARMIIMHDYPLHMVEHPGFVAFVQNLQPRFNMVSFNTVQGDCVATYLMEKQSLTKFIEGIPGRVCLTLDMWTSSQSVGYVFITGHFIDADWKLHRRLLNVVMEPYPDSDTVLSHAVAVCLHDWSLESKLFSITYDRPLSEAALANLRPLIPIKNPHILNGQLLVGNCIARTLSSIATEVLAAGGDTVKKIRDSVKYVKTSESHEEKFLELKNHLQVPSERTLSLDDQTQWNTTYEMLVAASELKEVFSCLDTSDSDYKHSPSIEDWKQVDTLCTYLKLIFDAANILTTTSNPTAVTFFHEVWRIQTELVRTITSEDPFICSLTKVMQERIEKYWKNCSLALATAVVMDPRFKMKLVEFSFNKIYGEEAPTFIKIVDDGIHELFHEYLTLPLPLTPTYADDGTGGANVKTEDSQGGTLLTDNGLTDFDMYIMETTSQQMKSELDQYLDESLLPRVHEFDVLGWWKLNKMKYPTLSKMARDILSIPVSTVPSESVFDTIAKEMDQYRSSLRPETVEALICAKDWMQHGSAEAPNNALVRMEY >ONH98426 pep chromosome:Prunus_persica_NCBIv2:G7:21084642:21087574:1 gene:PRUPE_7G248500 transcript:ONH98426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVEETMATPIEDKMATPVEEEMATPIQDKMATPDEDKMETPDEEKTLALTQYEDKTATPYENNEVAIPEAQPNKRRKKKSIVWEHFTIETVSAGCRRACCNQCKQSFAYSTGAKVAGTSHLKRHIAKGTCPALLRNQNNSQSSPYTPSPRGGSSSNPPKRRYRTPSTPQIMFDPDRCRHEIARMIIMHDYPLHMVEHPGFVAFVQNLQPRFNMVSFNTVQGDCVATYLMEKQSLTKFIEGIPGRVCLTLDMWTSSQSVGYVFITGHFIDADWKLHRRLLNVVMEPYPDSDTVLSHAVAVCLHDWSLESKLFSITYDRPLSEAALANLRPLIPIKNPHILNGQLLVGNCIARTLSSIATEVLAAGGDTVKKIRDSVKYVKTSESHEEKFLELKNHLQVPSERTLSLDDQTQWNTTYEMLVAASELKEVFSCLDTSDSDYKHSPSIEDWKQVDTLCTYLKLIFDAANILTTTSNPTAVTFFHEVWRIQTELVRTITSEDPFICSLTKVMQERIEKYWKNCSLALATAVVMDPRFKMKLVEFSFNKIYGEEAPTFIKIVDDGIHELFHEYLTLPLPLTPTYADDGTGGANVKTEDSQGGTLLTDNGLTDFDMYIMETTSQQMKSELDQYLDESLLPRVHEFDVLGWWKLNKMKYPTLSKMARDILSIPVSTVPSESVFDTIAKEMDQYRSSLRPETVEALICAKDWMQHGSAEAPNNALVRMEY >ONH98424 pep chromosome:Prunus_persica_NCBIv2:G7:21083592:21087574:1 gene:PRUPE_7G248500 transcript:ONH98424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDFTPQDSMATPVEETMATPIEDKMATPVEEEMATPIQDKMATPDEDKMETPDEEKTLALTQYEDKTATPYENNEVAIPEAQPNKRRKKKSIVWEHFTIETVSAGCRRACCNQCKQSFAYSTGAKVAGTSHLKRHIAKGTCPALLRNQNNSQSSPYTPSPRGGSSSNPPKRRYRTPSTPQIMFDPDRCRHEIARMIIMHDYPLHMVEHPGFVAFVQNLQPRFNMVSFNTVQGDCVATYLMEKQSLTKFIEGIPGRVCLTLDMWTSSQSVGYVFITGHFIDADWKLHRRLLNVVMEPYPDSDTVLSHAVAVCLHDWSLESKLFSITYDRPLSEAALANLRPLIPIKNPHILNGQLLVGNCIARTLSSIATEVLAAGGDTVKKIRDSVKYVKTSESHEEKFLELKNHLQVPSERTLSLDDQTQWNTTYEMLVAASELKEVFSCLDTSDSDYKHSPSIEDWKQVDTLCTYLKLIFDAANILTTTSNPTAVTFFHEVWRIQTELVRTITSEDPFICSLTKVMQERIEKYWKNCSLALATAVVMDPRFKMKLVEFSFNKIYGEEAPTFIKIVDDGIHELFHEYLTLPLPLTPTYADDGTGGANVKTEDSQGGTLLTDNGLTDFDMYIMETTSQQMKSELDQYLDESLLPRVHEFDVLGWWKLNKMKYPTLSKMARDILSIPVSTVPSESVFDTIAKEMDQYRSSLRPETVEALICAKDWMQHGSAEAPNNALVRMEY >ONH95769 pep chromosome:Prunus_persica_NCBIv2:G7:12346319:12349004:-1 gene:PRUPE_7G089800 transcript:ONH95769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCKTLLSRRTKIIRKNKKKKSKNNKRSISFSTKQNPISEKYQQEEDIEEEEEEDGDDVEEGFNLKASAPSQSHGVQPLGNLYLSPGSVNSRNTGLGNLQTLTDELVLEVLGFLGGAHLGFLASVSKSFYVFANHEPLWRNLVLDNLEGRLLYNGSWKSTYIAAHYPSFDSSNICVSGLRVRDFYSDYLFQSWLCANLEMKPEWLQRDNIIRRRGISVEEFISDFEEPNKPVLLEGCMDNWVALEKWDRDYLVQVCGDVQFSVGPVEMKLGEYFRYADQAREERPLYLFDPKFGEKVAILGSEYEVPVYFREDLFGVLGSERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIKGSKKWVLFPPDVVPPGVHPSPDGAEVACPVSIIEWFMNFYGATKTWKRKPIECICKAGEVIFVPQGWWHLVINLEESVAITQNYVSRRNLLNVMDFLKRPNASTLVSGTRDRVNLYDKFKSAIEASFPGTIDHLTQKAEEKKAQQKKPSFWDSVTDSKAGAFKFSF >ONH96817 pep chromosome:Prunus_persica_NCBIv2:G7:16390019:16391228:1 gene:PRUPE_7G153900 transcript:ONH96817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHAIRSLRTQSPSNLVQKASGVRFRQNATISTDPDTKHNMDKTQNPNQQKTGDAMSHSFGEGYATRSDEEGFGGVFSGNQSLPKTQQDQLIHENHPAYDKTQGSEVKEKEKGRHQTQANASTN >ONH97430 pep chromosome:Prunus_persica_NCBIv2:G7:18180025:18183621:1 gene:PRUPE_7G189700 transcript:ONH97430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLKKQGGLRFFTNLKHQTLLHRHQFTEAMAAHLYNHGSYKGFQGRAQWPQSHFSRDHSCKYATFPGKIRNTRNTRLGRNFFVKGCFKSQHHHHQSHSSSIKRHSNAFENPNAQLVRDLELDLRSSDAIGLASSNGCQERGSHTGIPPHSLPDDKVVVAVDVDEVLGNFVSALNRFIADRYSSHHSVSEYHVYEFFKIWNCSRDEADVRVHEFFKTSYFKTGIHPLPGAQETIHKLSGFCDLSVVTSRQNAIKDHTIQWIEKHFPGLFQEIHFGNHFALDGESRPKSEICRSLGAKVLIDDNPRYALECAEAGIRVLLFDYENSYPWCKTESIIQHPLVTKVHNWEAVEKHLMTWIIS >ONH97428 pep chromosome:Prunus_persica_NCBIv2:G7:18179876:18183620:1 gene:PRUPE_7G189700 transcript:ONH97428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLKKQGGLRFFTNLKHQTLLHRHQFTEAMAAHLYNHGSYKGFQGRAQWPQSHFSRDHSCKYATFPGKIRNTRNTRLGRNFFVKGCFKSQHHHHQSHSSSIKRHSNAFENPNAQLVRDLELDLRSSDAIGLASSNGCQERGSHTGIPPHSLPDDKVVVAVDVDEVLGNFVSALNRFIADRYSSHHSVSEYHVYEFFKIWNCSRDEADVRVHEFFKTSYFKTGIHPLPGAQETIHKLSGFCDLSVVTSRQNAIKDHTIQWIEKHFPGLFQEIHFGNHFALDGESRPKSEICRSLGAKVLIDDNPRYALECAEAGIRVLLFDYENSYPWCKTESIIQHPLVTKVHNWEAVEKHLMTWIIS >ONH97429 pep chromosome:Prunus_persica_NCBIv2:G7:18180032:18183588:1 gene:PRUPE_7G189700 transcript:ONH97429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLKKQGGLRFFTNLKHQTLLHRHQFTEAMAAHLYNHGSYKGFQGRAQWPQSHFSRDHSCKYATFPGKIRNTRNTRLGRNFFVKGCFKSQHHHHQSHSSSIKRHSNAFENPNAQLVRDLELDLRSSDAIGLASSNGCQERGSHTGIPPHSLPDDKVVVAVDVDEVLGNFVSALNRFIADRYSSHHSVSEYHVYEFFKIWNCSRDEADVRVHEFFKTSYFKTGIHPLPGAQETIHKLSGFCDLSVVTSRQNAIKDHTIQWIEKHFPGLFQEIHFGNHFALDGESRPKSEICRSLGAKVLIDDNPRYALECAEAGIRVLLFDYENSYPWCKTESIIQHPLVTKVHNWEAVEKHLMTWIIS >ONH94761 pep chromosome:Prunus_persica_NCBIv2:G7:5259679:5260053:1 gene:PRUPE_7G028200 transcript:ONH94761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIFLFLENYGVRKLEAVFAVLIATMALSFAWMFGEAKPSGTELLIGILVPKLSSRTIKHMRKHTTHVNKTCHPPD >ONH97234 pep chromosome:Prunus_persica_NCBIv2:G7:17590074:17591634:-1 gene:PRUPE_7G178500 transcript:ONH97234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQSLIILSLALLLGISAEQCGRQAGNAVCPNGLCCSQHGWCGTTADYCATGCQSQCTSTATPTPNPSGGGGGDVSSVVSSAVFDQMLKYRNDARCKSNGFYKYDAFVAAARTFNGFGTTGDVNVRKKELAAFLAQTSHETTGGWATAPDGPYAWGYCFINENNQDVYCTPSAQYPCAAGKKYYGRGPIQLTHNYNYGPAGNAIGKDLIANPDLVATDPVVSFRTAMWFWMTPQGNKPSSHDVITGRWSPSAADKSAGRVPGYGVITNIINGGLECGRGQDARVADRIGFYKRYSEILGVSPGDNLDCYNQRPFA >ONH98613 pep chromosome:Prunus_persica_NCBIv2:G7:21530539:21535233:1 gene:PRUPE_7G257500 transcript:ONH98613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKIAGTWAGVLEVELEDWTVPMLREEVAKRSNCESNSINLICAGRVLKDGDGNEKLAQLGIKNNAKILASRVCAEEGKSLKEELLAEDERSRRLARVKAAATALAKRHADGSLPIEDFNIVLEDQSGKKVQLGSETDQRAIMMGLMLHTNGKQLIKRQNYKDALEVLAMGEEAFSLSDPKVIELVDNPPILQIDMVWCYFMLRDISWLSVAGVRLEKAREGIERAHGKDSSRVRLLQAGRFPELALYLRLELLEGVVAYHSGQFEKSRKVLASAQGKFTQLQVPDEALSLVMSMGFRERDAKRALRISNQDVSTAVDFLVEQKAKRAQKREEDIRQRDEIMEQKKYGTTPLKKAVDLQKLNQLVSIGFEKELAAEALRRNENDAEKALDDLTNPETNSSIQVYIESRKRKRQSQAVNTSVEALVGMGFERSRVVEAFQAAGGTMEQVLHQLLSENAGNPTNAASNNIPTDAANDIANSLVSMLNNNVDQNGEVGGPSTAGEVGDRDAEMEDELAGELAQGDALSDYDLEVTKEGEAINEYLALLDSAGK >ONH98105 pep chromosome:Prunus_persica_NCBIv2:G7:20196513:20199705:-1 gene:PRUPE_7G229200 transcript:ONH98105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTELVVTTDRVQKFFDDLEAQKAILSTSTKLFTTLSKHFNSLQDSLSQKSQSLESKIQSLESRSRETLESLDLRETSIPERESAAVARIEEQKAAALAEFEKSISGNLDLPETLKSFSRKMDPQGLLKFIVSKRKESISLRTELVQAIAEAVDPPKLVLDALEGFLDSKAKKIGVTDKRWACGLLVQALFPEGRSNSGEKGPEFSRSMVERAARIADTWKAQMVGDSNGGGGTLGAAEAVMFVQMVIGFRLKEKFSEEFFRKLVMEHAARRDMAKLAGALEFGEKMGDVIDELVKNGKEIEAVYFASETGLTERFPPVSLLKSYLRNSKKNATTILRNGNNSLAATEESSTLELNSIKAIIKCVEDHKLESEFSLDSLRKRATHLEKAKAERKKSSAASSRSHNNNNKRAYGGGVGGGGGGGGRGVGSSSFRPAKAAKFSNAYPSFNRRNPNPPPQHSPATRYSGPYNYPSQSVYDGPTAASYGSTYGVPHAQSPAALPPQHYSLSGDNMAAGGFRSSGAYGGQTSYGPYDYANAAPPSYQPPSYPQ >ONH95691 pep chromosome:Prunus_persica_NCBIv2:G7:12038203:12040653:-1 gene:PRUPE_7G085400 transcript:ONH95691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSYSPSPPRDYSRRRRSPSPRGRYGGRGRDLPTSLLVRNLRHNCRPEDLRGPFGQFGPLKDIYLPRDYYTGEPRGFGFVQFVDPADAADAKYHMDGQILLGREVTVVFAEENRKKPSEMRARDRVRGRSYDRRRSSSRYSRSPRYARTYSRSPDYYSPSPRRRRYSRSISPRDRRYREQSRSRSPYGSRSRSRSFSRSRSHSLDYSQ >ONH96423 pep chromosome:Prunus_persica_NCBIv2:G7:14887371:14889735:-1 gene:PRUPE_7G128500 transcript:ONH96423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFLFTSESVNEGHPDKLCDQVSDAVLDACLEQDPESKVACETCTKTNMVMVFGEITTQAKVDYEKIVRDTCRGIGFTSADVGLDADNCKVLVNIEKQSPEIAEGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKIGARLTEVRKNKTVPWLRPDGKTQVTVEYRNDNGAMVPIRVHTILISTQHDETVKNEQIASDLKEHVIKPVVPAQFIDDKTIYHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKEILVLIKENFDFRPGMIANNLDLKRGGNFRYQKTAAYGHFGRDDPDFTWETVKILKPKV >ONH98319 pep chromosome:Prunus_persica_NCBIv2:G7:20815686:20818983:1 gene:PRUPE_7G242700 transcript:ONH98319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAWKKEKPMRPLLLLFSATLVLVFIFFFLASRPSSNPSPPGLNPTIRTDLPIYPLPPFNCEECRQSHPVIANVVEGLSYPFIYSLADLGRLPEKPHKDIVRFLKGKPFRRPDISATIQGLLEKFKGEGRDNGLVVDVGANVGMASFAAAVMGFQVLAFEPVFENLQRICDGIYLNRVGDLVTVFEAAASDRPGNITFHKLVSRMDNSAISAAGAKLAFKNKEEVAVQVRTIPLDDVIPDSEPVLLLKIDVQGWEYHVLKGASRLLSRKASKAPYIIYEEDERLLQASNSSAKEIRKFLRGVGYNHCTQLGTDAHCTKLG >ONH96388 pep chromosome:Prunus_persica_NCBIv2:G7:14738301:14741600:1 gene:PRUPE_7G125400 transcript:ONH96388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGQSSNYDLSFKILLIGDSAVGKSSLLVSFISNSVDDLAPTIGVDFKIKLLTVAEKRIKMTIWDTAGQERFRTLTSSYYRNAQGIILVYDVTRRDTFTNLSDVWAKEVELYSTNKDCAKMLVGNKVDRESERAVSREEGLALAKDLGCLFLECSAKTRENVEQCFEELALKIMEVPSLLEEGSTAAKRNILKQKQENQAPPSGGCCS >ONH97123 pep chromosome:Prunus_persica_NCBIv2:G7:17281695:17284487:-1 gene:PRUPE_7G171200 transcript:ONH97123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPVVDAQYLKEVEKARRDLRALISVRNCAPIMVRLAWHDAGTYNAETKTGGANGSIRNEHELNHGANSGLKIAVNFCEEVKAKYQKITYADLYQLAGVVAVEVTGGPTIEFVPGRKDSLESPEEGRLPDAKQGASHLKDIFYRMGLSDKDIVALSGAHTLGRAHPERSGFDGPWTNEPLKFDNSYFVELLKGESEGLLKLRTDKALLDDPEFRRYVELYAKDEDAFFKDYAVSHKKLSELGFAPSSSVKEAVKTSTLVAQSAVGVAVAAAVVILSYLYEVRKKV >ONH94644 pep chromosome:Prunus_persica_NCBIv2:G7:3953995:3957230:-1 gene:PRUPE_7G024900 transcript:ONH94644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQSSYAPPAYIPLGMSDSESAIVLRNEEPPKQQNSVDGPVQWSSGICACCDDMQSCCVGLFCPCFLFGKNAEFLGSGTFIGSCMMHFILWALVNTVCCLLTEGLVLGVPGCFVACYACGYRRTLRSKYNLQEAPCGDFVTHFFCHLCAICQEYREIREKSADSGFPDLSLAVVTAPPVQTMEPGLEE >ONH94645 pep chromosome:Prunus_persica_NCBIv2:G7:3953986:3957230:-1 gene:PRUPE_7G024900 transcript:ONH94645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQSSYAPPAYIPLGMSDSESAIVLRNEEPPKQQNSVDGPVQWSSGICACCDDMQSCCVGLFCPCFLFGKNAEFLGSGTFIGSCMMHFILWALVNTVCCLLTEGLVLGVPGCFVACYACGYRRTLRSKYNLQEAPCGDFVTHFFCHLCAICQEYREIREKSADSGFPDLSLAVVTAPPVQTMEPGLEE >ONH94647 pep chromosome:Prunus_persica_NCBIv2:G7:3953976:3957440:-1 gene:PRUPE_7G024900 transcript:ONH94647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQSSYAPPAYIPLGMSDSESAIVLRNEEPPKQQNSVDGPVQWSSGICACCDDMQSCCVGLFCPCFLFGKNAEFLGSGTFIGSCMMHFILWALVNTVCCLLTEGLVLGVPGCFVACYACGYRRTLRSKYNLQSTGRSEKNLLILAFLT >ONH94646 pep chromosome:Prunus_persica_NCBIv2:G7:3953982:3957703:-1 gene:PRUPE_7G024900 transcript:ONH94646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQSSYAPPAYIPLGMSDSESAIVLRNEEPPKQQNSVDGPVQWSSGICACCDDMQSCCVGLFCPCFLFGKNAEFLGSGTFIGSCMMHFILWALVNTVCCLLTEGLVLGVPGCFVACYACGYRRTLRSKYNLQEAPCGDFVTHFFCHLCAICQEYREIREKSADSGFPDLSLAVVTAPPVQTMEPGLEE >ONH94150 pep chromosome:Prunus_persica_NCBIv2:G7:145760:149539:1 gene:PRUPE_7G001000 transcript:ONH94150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRPGGRGIGMGNPQYDSFSDKMYRYRSVLLVISVPLLLLTMVLYVMPGGGGGGGELSTTVTTNRNHRKLPPKTSYAVIFDAGSSGSRVHVFCFDHNLQLLPIGKDLELFLHVEPGLSFYAKDPRSAAESLTGLLDKAESAVPKDLRSFTPVRVGATAGLRALEGDASDRILQAVRDLLKERSSLSSKPDSVTVIDGTQEGSFQWVTINYLLGNVGKKYSDTVGVVDLGGGSVQMAYAISEADAAKAPRLSDGDDSYVREMTLKGTKYNLYVHSYLHYGLLAARAEILKVSDDSGNPCILAGYNGSYKYGGQIYKASASSSGSSVEGCMRVAMKALKVNEATCTHMKCTFGGVWNGGGGDGQRNLFVASFFFDRAAEAGFVNPNEPVAKVRPGNFEEAAKRACETKLEDAKSAYPDVKQDNLPFLCMDLVYQFTLLVNGFGLDPWQEITLVKKVKYNNALVEAAWPLGSAIEAVSSL >ONH95295 pep chromosome:Prunus_persica_NCBIv2:G7:10099180:10104358:1 gene:PRUPE_7G062000 transcript:ONH95295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERTEDDDRDALAGLSSAPPPRKSHSLSQQLRASSAQKRHHQMRKHSLDDVHVVPKNIHNNNADYYDSSDDDFFPYSTSSTNTTTSMNMNVGVGPDQDLYAAGSHSQRLDQSLCMEGEGGHGDLDHHDGSRESQPLAEFIGSGGGAGIFKVPTRASVHPGRPPCLELRPHPLRETQVGRFLRTIACTDTQLWAGQEGGVRVWNLKDVFEPGCGLGGRVLRGDEDAAPYYESANSSPTLCLMVDSGTRLIWTGHKDGKIRSWKMDQPLDSSTPFKEGLSWQAHRAPVLAMVFTSYGDMWSGSEGGVIKIWPWESIEKSLSLKPEERHMAALLVERSCIDLRSQVTVNGVCSISSQDVKCLASDNFRAKVWCAGSLSFSLWDARTRELVKVFNIDGQTENRVDMSSVQQDQAVEDEMKVKFVSTSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFVEDTKKTEALVLTADGMIWSGCTNGLLVQWDGNGNRVQDFNHHPCSVQCFCTLGTRIYVGYVSGMMQVLDLEGNLIAGWIAHSSPVIKLAAGTGSVFSLATHGGIRGWNIKSPGPADNLVRSELAAKEHVYTRTDNVRILIGTWNVGQGRASQDSLKSWLGSVVPDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSVGHWWLDNIGKALEEGRTFERMGSRQLAGLLISLWVRKNLRTHVGDIDAGAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFDHIYRNMVFNRSSLINNAAAGVATSVNMTRPTNASGSSSSSSSSSSEEAARPELAEADMVVFLGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREALIRFPPTYKFERHQAGLAGYDSGEKKRIPAWCDRIIYRDNRSSPVSECGLECPIVSSILLYDACMDVTDSDHKPVRCKLSLQIAHVDRSVRRKEFGEVIKSNEKIRSMLGELNYVPETTVNTNTIILQNQDTSILRITNKCVKDMAVFRIICEGQSTVKEDGDEPDYRARGANGLPRWLEVTPAAGMIKPEQSVEVSVHHEEFHTLEEFVDGIPQNWWCEDTRDKEVILIVHVNGSCSAQTFSHRVRVRHCFSSAKTIRIVSKSNSSRKGQASPVHRQSNNSSSEAKQT >ONH96356 pep chromosome:Prunus_persica_NCBIv2:G7:14560935:14562638:1 gene:PRUPE_7G123100 transcript:ONH96356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTMLVPPWLEQLLSTSFFSVCRTHGDAARSECNMFCLDCSGDAFCFYCRSSRHKDHQVIQIRRSSYHDVVRVSEVQKVLDISGVQTYVINSARVMFLNERPQPKAGNKGVPHICEICGRSLLDTFRFCSLGCKLVGIKRNGDANFTLEAKNEEGNGRREGRGAMREEEELREGSQQDIYPPTPPPPPSTTRRRKGIPHRAPFGS >ONH94863 pep chromosome:Prunus_persica_NCBIv2:G7:6633382:6634906:-1 gene:PRUPE_7G036200 transcript:ONH94863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGATVLAVYMRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGKQIFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIARARRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKGSGYHRRRLCNDSG >ONH96417 pep chromosome:Prunus_persica_NCBIv2:G7:14860240:14862286:-1 gene:PRUPE_7G128000 transcript:ONH96417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLFLLILLVPASNANWPPSPGYWPSSKFRSMSFYKGFRTLWGPQHQSLDQHALTIWLDRTSGSGFKSVRPFRSGYFGTSIKLQTGYTAGVITAFYLSNSEAHPGYHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTKAFHHYAILWSPKEIIFLVDDVPIRRYPRKSVATFPLRPMWVYGSIWDASSWATEDGKYKADYRYQPFVAKYTNFKAGGCSAYSPAWCRPVSASPFRSGGLTQQQYRVMRWVQTNHLVYDYCKDYKRDHSLTPECWR >ONH97479 pep chromosome:Prunus_persica_NCBIv2:G7:18338728:18345320:-1 gene:PRUPE_7G192200 transcript:ONH97479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPGTPATPLSKIRRNLYSTPGGSKVHEEKILVTVRLRPLNRREQAMYDLIAWDCLDEHTIVFKNPNHERPANPYTFDKVFGPMCSTQKVYEEGAKDVALSALTGMNATIFAYGQTSSGKTFTMRGITEHAVKDIFEHIKNTPERDFVLKFSALEIYNETVVDLLKRKSTSLRLLDDAEKGTIVDKTQEQIVQDGQHLRQLIETCEAQRQVGETALNDKSSRSHQIIRLTIESSLRESSGSVKSFGASLNLVDLAGSERASQTNADGTRLKEGSHINRSLLTLTTVIRKLSGVKRCGHIPYRDSKLTRILQSSLGGNARTAIICTISPALSHVEQTRNTLSFATSAKEVTNTAKINMKEIARLEAEQRSPGPSYFMSILEEKDLKIKQMEREMEELKRERDLAQSQLESERKVKKVQKESNQFGPSAQVARCLSFPGENETVPIHSSDTPQSQTRAPVQRKAMVRRSVTSTDPSMLVHEIRKLDERQRQLGDEANRALEVLHKEVASHQLGNKETAETIAKLLSEIKDIQAVRSIADESVTGDGANLKDEITRWKSQAKDIQSLERKLENVQKSIDQLVFSFTNSEEISECKTPSKKKKILPFNLSNSANMQHLIRSPCSTLTPSRKVMEYETENKVPENNDAMPSDDTVVGRCKVTPPKSDKSFKEGSPAVQHSNTVNVKKMQRLFKNAAEENIRSIKAYVTELKERVTKLSYQKHLLLCQVIALEEDQEAGVDEPDKIDESLPETWQLTFEEERKEIVMLWHLCHVSIIHRTQFYMLFKGDPTDQIYMEVELRRLQWLERHFSDLGNASPALLGDEPAGSVSASIKALKQEREYLAKRVSSRLTADEREMLYVKWEIPPGGKQRRLQLVNKLWTDPHNMQHVQESAEIVAKLVGFCESGEHVSKEMFELNFAHPSDKKTWMGWNLISNLLNL >ONH97480 pep chromosome:Prunus_persica_NCBIv2:G7:18338741:18345283:-1 gene:PRUPE_7G192200 transcript:ONH97480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPGTPATPLSKIRRNLYSTPGGSKVHEEKILVTVRLRPLNRREQAMYDLIAWDCLDEHTIVFKNPNHERPANPYTFDKVFGPMCSTQKVYEEGAKDVALSALTGMNATIFAYGQTSSGKTFTMRGITEHAVKDIFEHIKNTPERDFVLKFSALEIYNETVVDLLKRKSTSLRLLDDAEKGTIVDKTQEQIVQDGQHLRQLIETCEAQRQVGETALNDKSSRSHQIIRLTIESSLRESSGSVKSFGASLNSGILQNLVDLAGSERASQTNADGTRLKEGSHINRSLLTLTTVIRKLSGVKRCGHIPYRDSKLTRILQSSLGGNARTAIICTISPALSHVEQTRNTLSFATSAKEVTNTAKINMKEIARLEAEQRSPGPSYFMSILEEKDLKIKQMEREMEELKRERDLAQSQLESERKVKKVQKESNQFGPSAQVARCLSFPGENETVPIHSSDTPQSQTRAPVQRKAMVRRSVTSTDPSMLVHEIRKLDERQRQLGDEANRALEVLHKEVASHQLGNKETAETIAKLLSEIKDIQAVRSIADESVTGDGANLKDEITRWKSQAKDIQSLERKLENVQKSIDQLVFSFTNSEEISECKTPSKKKKILPFNLSNSANMQHLIRSPCSTLTPSRKVMEYETENKVPENNDAMPSDDTVVGRCKVTPPKSDKSFKEGSPAVQHSNTVNVKKMQRLFKNAAEENIRSIKAYVTELKERVTKLSYQKHLLLCQVIALEEDQEAGVDEPDKIDESLPETWQLTFEEERKEIVMLWHLCHVSIIHRTQFYMLFKGDPTDQIYMEVELRRLQWLERHFSDLGNASPALLGDEPAGSVSASIKALKQEREYLAKRVSSRLTADEREMLYVKWEIPPGGKQRRLQLVNKLWTDPHNMQHVQESAEIVAKLVGFCESGEHVSKEMFELNFAHPSDKKTWMGWNLISNLLNL >ONH95926 pep chromosome:Prunus_persica_NCBIv2:G7:12836423:12837660:-1 gene:PRUPE_7G096600 transcript:ONH95926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRLAFDNPKQPSNPFSFISSSLSKQPSNPFFLFRSGGFHPDPSIFFGYLLAFDRVCYFSLVFLASFFGSGRSF >ONH96829 pep chromosome:Prunus_persica_NCBIv2:G7:16417663:16419687:1 gene:PRUPE_7G154700 transcript:ONH96829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAVMVINTQGKPRLAKFYEFQPVEKQQEHIRSVYGVLSSRAENVSNFVDAESIFGPDTRLVYKHFATLYFVFVFDSSENELAMLDLIQVFVETLDKCFKNVCELDVVLNYSKMHTVLDEIIFGGQVLETSSTEVMKAVEEISKLETASNAISLVPKSVSAWRNR >ONH95928 pep chromosome:Prunus_persica_NCBIv2:G7:12843505:12845263:1 gene:PRUPE_7G096800 transcript:ONH95928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWCKKSPDCSKIRGYILLFVIVVSLATVIGFSLWFLGNYVDSKSGPGTDPLVLRLDAFSLSNFEVSNSSFSAEWEAKLTFGNQNGGLTVTLNPFESYVFYKEREALSCASVDAMLHVPPRKQKTLQIKFDPTSCGGEQPYVEDRVMKELSEDRKSGHLSFSLKMRIDASYSMRELLGMGTQVTLNPNCSGLKVQFEGAKGEGKINGGRKCTIPLPK >ONH98388 pep chromosome:Prunus_persica_NCBIv2:G7:21022925:21025300:-1 gene:PRUPE_7G247500 transcript:ONH98388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLGLALPSNPIKGFDLNNFGYEAKEVAAVSKHIHYDNKKRSFDQAFDEHNNNTSRSTAAVPRTLPLFLWNNNQPNHHDKDDDPDKHQDNNSRFTIVIKNDGDGLVGWPPIKSWRKEACFHNHNHGHGHRTVGNGSGGGGRGSSNHHHSTFVKVKMEGVGIARKIDLSLHHSFRTLADTLMDMFDKCQMDSNNYKLTYQDREGDWLLARDVPWRTFIRSVQRLKLVKKSD >ONH96983 pep chromosome:Prunus_persica_NCBIv2:G7:16830463:16832131:-1 gene:PRUPE_7G163200 transcript:ONH96983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDFTASWCGPCRFIAPFLAELAKKLPNVIFVKVDVDELKSVAQDWAVEAMPTFMFLKEGKIVDKVVGAKKDELQQTIAKHVAAASASA >ONH96982 pep chromosome:Prunus_persica_NCBIv2:G7:16830463:16832852:-1 gene:PRUPE_7G163200 transcript:ONH96982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGQVVSCHTLDAWNEQLQKGNDSKKLMVIDFTASWCGPCRFIAPFLAELAKKLPNVIFVKVDVDELKSVAQDWAVEAMPTFMFLKEGKIVDKVVGAKKDELQQTIAKHVAAASASA >ONH97899 pep chromosome:Prunus_persica_NCBIv2:G7:19604024:19605688:1 gene:PRUPE_7G217200 transcript:ONH97899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWWLLALLLLSVIPIEAGFGVGGGVGVNVGPVAGGGGAGVWIGGGINGNGPNAPPGSSASGLDRAYTALQAWKSAISEDPSKILDTWVGSDVCSYKGVFCADSQYEVSAASGPVVAGIDLNHANLQGTLVKELSFLTEMSLLHLNSNRFSGTIPDTFKDLTSLQELDLSNNHFSGSFPTVTLYIPNLIYLDLRFNSFSGPIPEDLFNRRLDAIFLNNNQFAGGLPESLGNSQASVINLANNKFSGNFPASFGFMSNKLKEILFLNNQLTGCIPEGVGLFTDIQVFDVSFNSLMGHLPDTISCLQDIEVLNLGHNKLSGVLPEMVCSLKSLLNLTVAYNFFSGFSQECAKLFYRNVGFDFSLNCIPGRNMQRPQPECSLIPGGGLNCLRIPGSKPLVCGSL >ONH98320 pep chromosome:Prunus_persica_NCBIv2:G7:20819685:20820476:-1 gene:PRUPE_7G242800 transcript:ONH98320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINFKNSDEVLGIFVPIFIYWIYAGIVAVIETAFPKYKMQTTEEQDEKNLVSRSTVIKGVLVQQSLQAISAALLFFLTGGANHHDVHAAAHAAAGGGTGAAASACRYIIQFATAMVVMDTWQYFMHRYMHQNKFLYKHIHSHHHRLMAPYAFGAFYNHPLEGLMVDTMSGAISFLVSGMSARASIFFFSFATIKAVDDHSGLWIPWNPLHVFFRNNSGYHALHHQLHGTKYNFSQPFFVFWDIILATYYMPQVDHKNEDKQK >ONH96251 pep chromosome:Prunus_persica_NCBIv2:G7:14159140:14162122:-1 gene:PRUPE_7G116500 transcript:ONH96251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFRGKYADELIANAAYIGTPGKGILAADESTGTIGKRFASINVENVESNRRALRELLFTAPDVLQYLSGVILFEETLYQKTASGKPFVDVLKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLAQRCQKYYEAGARFAKWRAVLKIGPNEPSQLSINENANGLARYAIICQENGLVPIVEPEILVDGPHDIEKCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSDAKKASPEVIAEYTVRALLRTTPAAVPAVVFLSGGQSEEEATLNLNAMNKLKGKKPWSLSFSFGRALQQSTLKAWAGKEENIGKAQAALLTRAKANSEATLGTYKGDAKLGEGAAESLHVKDYKY >ONH96823 pep chromosome:Prunus_persica_NCBIv2:G7:16403821:16407712:1 gene:PRUPE_7G154300 transcript:ONH96823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLRRQAQKPSPEDDSAQKDAKVKELRAALGPLSGRNLKYCTDACLRRYLEARSWNLDKAKKMVEETLRWRATYKPEETRWHEIAHEGETGKVSRANFHDRLGRTVLIMRPAMQNTNSPEGNIRHLVYLIENGILNLPEGQEQMSWLVDFSGFSLNTNVSVKTARDIIYILQNHYPERLAVAFLYNPPRIFQAFWKAVKYFLDPKTFQKVKFVYPKGKESVELMKTFFDVENLPSEFGGQATLKYDHEEFSRMMAEDDVKTAKFWGFDEKPCRIKNGLSGAEVVPEPLPIAPIAS >ONH96824 pep chromosome:Prunus_persica_NCBIv2:G7:16404199:16407524:1 gene:PRUPE_7G154300 transcript:ONH96824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLRRQAQKPSPEDDSAQKDAKVKELRAALGPLSGRNLKYCTDACLRRYLEARSWNLDKAKKMVEETLRWRATYKPEETRWHEIAHEGETGKVSRANFHDRLGRTVLIMRPAMQNTNSPEGNIRHLVYLIENGILNLPEGQEQMSWLVDFSGFSLNTNVSVKTARDIIYILQNHYPERLAVAFLYNPPRIFQAFWKAVKYFLDPKTFQKVKFVYPKGKESVELMKTFFDVENLPSEFGGQATLKYDHEEFSRMMAEDDVKTAKFWGFDEKPCRIKNGLSGAEVVPEPLPIAPIAS >ONH96603 pep chromosome:Prunus_persica_NCBIv2:G7:15577512:15578105:-1 gene:PRUPE_7G140100 transcript:ONH96603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPTVEKILIDATIGRALEALLGAVSQARERAHMYESILAQLEFTIQTITPKISEIDHLSEEDFPDDVIYRIRLQLIQGRELVNKCSNAGCCNLWKSQMYYKKLQELDDSLRRLITIDLQVKVALDVIRISTEMKELCRRWDQKNKMDVHGDGRGWIFNISGSKVLGKFSGLKRLVQTVQSAPKRILMNLVTCGAITE >ONH98001 pep chromosome:Prunus_persica_NCBIv2:G7:19864081:19867886:-1 gene:PRUPE_7G222800 transcript:ONH98001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVYIENEISEMPLAVSTFQLYDCALLQIICTFSAYNDTVWNTSLLFDSLEFYSSMDDIFSRLLTLASYISRRFIQFIEDLAVRDADRYSDDLLVISAGQHLRSLRQGICKSFSEGCSSSSKPCNPETCERRASEPTEDTRSEIVETNFNYTDVPFIFEGLTLPLHGLQFAWRLAISCWRSSVVYVRCAHLRICSLASRVQKTLQGSSHDIGWLPHTPGMPGVEDGTARFLELLAGIRNGEHTLPSSFVYLLIPGLFSNHGPLYFVGTKKFFSKMGLACHIAKIHSEASVEHNALELKQYIEELYWGSGKRVMLLGHSKGGVDAAAALSIYWCDLKDKVAGLALVQSPYGGTPLASDILREGQIADKETRRIMELLICKLIKGDIRALEDLTYEKRKEFIMKHKLPYEQIPLVSFHSEASIAPDVLATMTHIAHAELPWLPLPNFGTQEESDEIVQAGRQLPVVIPLSAAMAVTALHLQLRYGEKSDGLVMCRDAQVPGSVVVAPDRKLDHAWMVYSTRKKNPSDANASEMCEAILTLLVELGKLKVGCFQGNGSY >ONH98357 pep chromosome:Prunus_persica_NCBIv2:G7:20943548:20944386:1 gene:PRUPE_7G245900 transcript:ONH98357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKTMNMILAIAVAVFMLHGTDAAEYTVGDNLGWTIPPGGAATYAAWAAAHSLVVNDILAFNFEVGEQDLALVTKEDFDACNTADPLVVFKEPGEFQFLKEGTFYLTCTFAGHCTKGQKIALYFAPTASPSPAPSPSQSQSADDADEQYAAAVKFVSKKEYGFKKIIPATIKSL >ONH97870 pep chromosome:Prunus_persica_NCBIv2:G7:19490648:19491376:-1 gene:PRUPE_7G215200 transcript:ONH97870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLELKFDSTCGCWVLEPIEGFKPRPSNPTSTNRPSTDTLPSSIPSPTSNSAPPLQPLPRSGLSSPTYFNLRDLQKPSQGRFRKIVIRFWKCIKPRIQSSPAKKAKQGTKPGGASTKTRSSVQSPQAHHAEYYSTMSPDERDENLKAIIIYCRKSNSTDSTEVMAEN >ONH98184 pep chromosome:Prunus_persica_NCBIv2:G7:20401513:20402909:1 gene:PRUPE_7G234200 transcript:ONH98184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPWVQELHFPHLHIPITIDRMVPVMPSGPAPTAPGQSLYLSNLDDVVGARVFTPTVYFYASDNWRPVMKTLRDALASVLVPYYPLSGRLRETNNGKLEVFFGQDQGALMVEAHSEMSLSDLGDLKVPNPAWSPLIFRFPNEEPYKVLDMPLVIAQVTLFSCGGFSLGLRICHCIVDGLGAMQFLSSWAATAKAGTLITNPKPCWDRDFFRPRDPPLVKFPHIEFMRIEDGSSLTMSLWQTKAVQKCYRISREFQAWLKTLAQSEDDMSNITCSTFDAMAAHIWRSWVKALDVKPLNYELRLTFSVNARQKLKIPPLKEGFYGNVVCLACVISTVRKLVYGRLPDTARLVREARLGISEEYLRSTVDYVEVDRPRRLEFGGKLTITQWTRFSIYDSADFGWGRPMYAGPIDLTPTPQVCVFLPEAEADPSGTMLVCICLPESATKSFTDLLSLAENGEQSAS >ONH97903 pep chromosome:Prunus_persica_NCBIv2:G7:19615362:19618586:1 gene:PRUPE_7G217500 transcript:ONH97903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAEFYRSLPPISKAYGTICVLATTAFQLGLYDFRSIALVHKLVFSHFQVWRLITNFFFLGNFSINFGIRLLMIARYGVQLEKGPFERRTADFLWMMIFGALTLLAFYLPWAMLALDVIFGSPIMPDLLGIIAGHLYYFLTVLHPLAGGKNILQTPRWVHKVVARWRIGAPPPSSQTQHAPGTGGTTFRGRSYRLSG >ONH97904 pep chromosome:Prunus_persica_NCBIv2:G7:19616603:19618586:1 gene:PRUPE_7G217500 transcript:ONH97904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARYGVQLEKGPFERRTADFLWMMIFGALTLLVLSAIPIFWSPFLGISLVFMLVYVWSREFPNANVNIYGLVALKAFYLPWAMLALDVIFGSPIMPDLLGIIAGHLYYFLTVLHPLAGGKNILQTPRWVHKVVARWRIGAPPPSSQTQHAPGTGGTTFRGRSYRLSG >ONH97902 pep chromosome:Prunus_persica_NCBIv2:G7:19615293:19618620:1 gene:PRUPE_7G217500 transcript:ONH97902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAEFYRSLPPISKAYGTICVLATTAFQLGLYDFRSIALVHKLVFSHFQVWRLITNFFFLGNFSINFGIRLLMIARYGVQLEKGPFERRTADFLWMMIFGALTLLVLSAIPIFWSPFLGISLVFMLVYVWSREFPNANVNIYGLVALKAFYLPWAMLALDVIFGSPIMPDLLGIIAGHLYYFLTVLHPLAGGKNILQTPRWVHKVVARWRIGAPPPSSQTQHAPGTGGTTFRGRSYRLSG >ONH97800 pep chromosome:Prunus_persica_NCBIv2:G7:19323626:19325231:1 gene:PRUPE_7G211400 transcript:ONH97800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYVWRKYADYIYTKWERMILWTMVEPYSRPKSFTPLVTIYVAAFYTGIVGSAITEQLYKEKYWEDHPGQAVPLMKPKFYGGPWRVQQGEVPASQ >ONH95556 pep chromosome:Prunus_persica_NCBIv2:G7:11365475:11369107:1 gene:PRUPE_7G077100 transcript:ONH95556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWLARIAWTCWKPVRRYARMSKDDLDDSSLEDPLLWCRSLDKHSYGEFSFAVVQANEVLEDQSQVETGRDATFVGVYDGHGGPDASRFISDHLFLHLMRHAREKGALSEDILRSAFSATEDGFLTLVRRTCGIKPLMAAIGSCCLVGVIWRGTLYVANLGDSRAVIGSLGRSNKIVGEQLNKEHNASLEEVRQELRSLHPNDSHIVVMKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPTFPRFHLPEPLGRPVLTAEPSVCTRVVQPNDKFIIFASDGLWEHMTNQEAVEIVHNNPRAGIAKRLLKTALSEAARKREMRYDDLKKVEKGVRRFFHDDITIVVIFIDHDLLEKKQPAPELSVKGFIDTVGPSSFNIFKD >ONH97599 pep chromosome:Prunus_persica_NCBIv2:G7:18698568:18703511:-1 gene:PRUPE_7G199800 transcript:ONH97599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLRSREIIASTKTAPHNPKSRLKLEPSTPAKTRESPNTIQSPPPPPPTASTSTPSSQPQNPNPNPHSSSKQCSVDFGSDSTSVSGVARRRSLRLASKCPDSDQGKNLAPEKSRKRSTAGREKGKCSMAEKEVKEKLREEVDDHVSNGEVGFDLFGKRVTVVGVERGTEVMKKGFGEEGKVEVDQGEWGFSGLGLSLDLGSFGANCSKEEVKKKRKLEIDINFPALEWEGEDGRSKGFLSLRSGKKVSKRGLGGGHNGALVIDLDADENGKGKLGESGFAFNGVDVVELDSDSEEERSSENLVQSSSPRGKRKLSDAIEGVAEDLKDEVMASENGIDNGRRRYSIEEKGKGKLIGEVVLMNGNDEAELGLKSEVLSSVENVAASPIRKRENAALPDESQLINSNTRENAASGNQYMERFRDIARRNASRFAHFASEEEEENQLPPQVEVAQDIEDWPGPFSTAMKIIKDRAAKNAQLPSKDQTKPPFVEWVPKSFQDRPLSKNLIPSLQDLCLSFLAKNADAIVSLEHVADALRHRLCQMLCDSRKMNSHFFELLVQGLPTEVRLRDCSWMTEEQFTKSFQQWDTSNLTVLQLDQCGRCVADYILHSTLARSSNCLPALTTLSLSGACRLSDVGLGALVSSAPALRSLNLSQCSLLTSSSIGTLADSLGSVLRELYLNDCQGIDALLILPALKKLEHLEVLWLGGLENVCDDFIKEFVTARGQSLKELVLTDCGDEAIAAFLETSGECLTELSLNNIKKVGYNTAIALAKRSRKLHTLDLSWCRNLTDEALGLIADSCLSLRILKLFGCTQLTNTFLDGHSNPEVKIIGLKVSPILEHVKVSDPHEGPLRYSSVY >ONH97600 pep chromosome:Prunus_persica_NCBIv2:G7:18698748:18703301:-1 gene:PRUPE_7G199800 transcript:ONH97600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLRSREIIASTKTAPHNPKSRLKLEPSTPAKTRESPNTIQSPPPPPPTASTSTPSSQPQNPNPNPHSSSKQCSVDFGSDSTSVSGVARRRSLRLASKCPDSDQGKNLAPEKSRKRSTAGREKGKCSMAEKEVKEKLREEVDDHVSNGEVGFDLFGKRVTVVGVERGTEVMKKGFGEEGKVEVDQGEWGFSGLGLSLDLGSFGANCSKEEVKKKRKLEIDINFPALEWEGEDGRSKGFLSLRSGKKVSKRGLGGGHNGALVIDLDADENGKGKLGESGFAFNGVDVVELDSDSEEERSSENLVQSSSPRGKRKLSDAIEGVAEDLKDEVMASENGIDNGRRRYSIEEKGKGKLIGEVVLMNGNDEAELGLKSEVLSSVENVAASPIRKRENAALPDESQLINSNTRENAASGNQYMERFRDIARRNASRFAHFASEEEEENQLPPQVEVAQDIEDWPGPFSTAMKIIKDRAAKNAQLPSKDQTKPPFVEWVPKSFQDRPLSKNLIPSLQDLCLSFLAKNADAIVSLEHVADALRHRLCQMLCDSRKMNSHFFELLVQGLPTEVRLRDCSWMTEEQFTKSFQQWDTSNLTVLQLDQCGRCVADYILHSTLARSSNCLPALTTLSLSGACRLSDVGLGALVSSAPALRSLNLSQCSLLTSSSIGTLADSLGSVLRELYLNDCQGIDALLILPALKKLEHLEVLWLGGLENVCDDFIKEFVTARGQSLKELVLTDCGKLTDSSVKVIAETCTGLCALDLVNLYKLTDLTLGYLANGCREIQTLKLCRNAFSDEAIAAFLETSGECLTELSLNNIKKVGYNTAIALAKRSRKLHTLDLSWCRNLTDEALGLIADSCLSLRILKLFGCTQLTNTFLDGHSNPEVKIIGLKVSPILEHVKVSDPHEGPLRYSSVY >ONH96608 pep chromosome:Prunus_persica_NCBIv2:G7:15619096:15621962:1 gene:PRUPE_7G140600 transcript:ONH96608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTIFLHFLVGILVSLSAFCIINAEDPYLYYTWTVTYGLVSPLGIPQKGILINGQFPGPTIEAVTNDNIIVNVINKLDEPFLITWNGIKQRKTTWQDGVLGTNCPIPPNTNWTYKFQAKDQIGTFNYFPSTKLHRAVGGFGGFNIAHRSVIAVPYPIPAGEFTLLVSDWYKNSHKVLQQTLDSGKTLPLPDGLLINGHHKSAIFTGEKGKTYKFRVSNVGIATSINFRIQGHTMTLVEVEGAHTLQEVYESLDVHPGQSVAVLVTLHGSLKDYFIIASSRFTKPILATTGYLRYAGSNTPASGPLPIGPTYHIHWSMKQARTIRLNLTANAARPNPQGSFHYGTIPIVRRLVLANTAAKIGGKLRYAVNKISYVDPATPLKLADWLNIPGVFNLDTIKDTPTPGPAVFGASVVGTTLHDFVEIVFQNTEPTLQSWHLDGNSFYVVGYGSGNWTPDMRRRYNLVDGVSRHTVQVYPTGWSAVLVSLDNKGMWNLRSAIWSRRYLGQQLYVRVWNNEHSLFTENDMPLNALLCGKAKH >ONH96609 pep chromosome:Prunus_persica_NCBIv2:G7:15618772:15621962:1 gene:PRUPE_7G140600 transcript:ONH96609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTIFLHFLVGILVSLSAFCIINAEDPYLYYTWTVTYGLVSPLGIPQKGILINGQFPGPTIEAVTNDNIIVNVINKLDEPFLITWNGIKQRKTTWQDGVLGTNCPIPPNTNWTYKFQAKDQIGTFNYFPSTKLHRAVGGFGGFNIAHRSVIAVPYPIPAGEFTLLVSDWYKNSHKVLQQTLDSGKTLPLPDGLLINGHHKSAIFTGEKGKTYKFRVSNVGIATSINFRIQGHTMTLVEVEGAHTLQEVYESLDVHPGQSVAVLVTLHGSLKDYFIIASSRFTKPILATTGYLRYAGSNTPASGPLPIGPTYHIHWSMKQARTIRLNLTANAARPNPQGSFHYGTIPIVRRLVLANTAAKIGGKLRYAVNKISYVDPATPLKLADWLNIPGVFNLDTIKDTPTPGPAVFGASVVGTTLHDFVEIVFQNTEPTLQSWHLDGNSFYVVGYGSGNWTPDMRRRYNLVDGVSRHTVQVYPTGWSAVLVSLDNKGMWNLRSAIWSRRYLGQQLYVRVWNNEHSLFTENDMPLNALLCGKAKH >ONH95536 pep chromosome:Prunus_persica_NCBIv2:G7:11293214:11297499:1 gene:PRUPE_7G076100 transcript:ONH95536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERELAGSSSRGRDRMEQPQAVADRRALRSRYFTVKTLIHDERDDITKVDSDKFNSIINEVDSLHEMVQNPREQVADAEALLDIANTLMTSVKAQNKEGITATDFVSCILRDFGQQSGLRSNREGVSCSISWKDIGTEVSNVFQRSPQCCTMIGPMNAEVKQRKAHVHTKRVKPTENDTPEELDNVAVEEEPETVKNVAAMFNILRKNRRARLENLVLNGNSFAQTVENLFALSFLVKDGRAEIKINEEGHHLVSPRNAPAAKAIASGEVAYCHFVFRFDFKDWKLMKESVGDGEQLMPHRRQENISSNSQFDPQCVESEATTAKTPIRKLSRNRGLVLQLRPVGGCGTAHSNQSVVEDSPVCDYSEDRTAAIRKGKRKLM >ONH96484 pep chromosome:Prunus_persica_NCBIv2:G7:15082802:15086586:-1 gene:PRUPE_7G131800 transcript:ONH96484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLMKRQLQALFIAVCLFCSSSCLKIGETCSKDSDCDGGLSCQTCAANGNTRPRCTRIQPTSPTSKVKGLPFNRYSWLTTHNSFAQAGVRSATGSLLVTPMNQNDTVASQLKNGVRGLMLDMYDFNNDIWLCHSTGGKCYNLTAFQPAINVLKDIQAFLEANPSEIVTIFIEDYVESPQGLTKVFEAAGLKKYLFPVAQMPKNGEDWPTVDDMVKNNLRLVVFTSKSAKEASEGIAYEWNYVVENQYGNGGMTPGSCPNRAESSPMNTKSRSLVLVNFFRDLPNASASCMDNSASLLSASKGCYEAAGKRWPNFIAVDYYEKSDGGGAPEAVDEANGHLTCGCDRITYCKGNGSNATFGQCDVPTLAPPPPAAATTTSPQSQSPSNFASQDTSVQLLWLCGTILATTLSIWI >ONH96483 pep chromosome:Prunus_persica_NCBIv2:G7:15082706:15086586:-1 gene:PRUPE_7G131800 transcript:ONH96483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLMKRQLQALFIAVCLFCSSSCLKIGETCSKDSDCDGGLSCQTCAANGNTRPRCTRIQPTSPTSKVKGLPFNRYSWLTTHNSFAQAGVRSATGSLLVTPMNQNDTVASQLKNGVRGLMLDMYDFNNDIWLCHSTGGKCYNLTAFQPAINVLKDIQAFLEANPSEIVTIFIEDYVESPQGLTKVFEAAGLKKYLFPVAQMPKNGEDWPTVDDMVKNNLRLVVFTSKSAKEASEGIAYEWNYVVENQYGNGGMTPGSCPNRAESSPMNTKSRSLVLVNFFRDLPNASASCMDNSASLLSASKGCYEAAGKRWPNFIAVDYYEKSDGGGAPEAVDEANGHLTCGCDRITYCKGNGSNATFGQCDVPTLAPPPPAAATTTSPQSQSPSNFASQDTSVQLLWLCGTILATTLSIWI >ONH96482 pep chromosome:Prunus_persica_NCBIv2:G7:15082681:15086633:-1 gene:PRUPE_7G131800 transcript:ONH96482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLMKRQLQALFIAVCLFCSSSCLKIGETCSKDSDCDGGLSCQTCAANGNTRPRCTRIQPTSPTSKVKGLPFNRYSWLTTHNSFAQAGVRSATGSLLVTPMNQNDTVASQLKNGVRGLMLDMYDFNNDIWLCHSTGGKCYNLTAFQPAINVLKDIQAFLEANPSEIVTIFIEDYVESPQGLTKVFEAAGLKKYLFPVAQMPKNGEDWPTVDDMVKNNLRLVVFTSKSAKEASEGIAYEWNYVVENQYGNGGMTPGSCPNRAESSPMNTKSRSLVLVNFFRDLPNASASCMDNSASLLSASKGCYEAAGKRWPNFIAVDYYEKSDGGGAPEAVDEANGHLTCGCDRITYCKGNGSNATFGQCDVPTLAPPPPAAATTTSPQSQSPSNFASQDTSVQLLWLCGTILATTLSIWI >ONH97586 pep chromosome:Prunus_persica_NCBIv2:G7:18669536:18670964:1 gene:PRUPE_7G198900 transcript:ONH97586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAELVSGIIVLEQLNSKPPGANFTASLINLKQEIDNITISIPTQMFHSC >ONH94497 pep chromosome:Prunus_persica_NCBIv2:G7:2859969:2864292:-1 gene:PRUPE_7G019200 transcript:ONH94497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLLFLVGEFGYEDVFKVKYVKFTWIMGAHFVRVAYSPPLSVCTFGSPSYEGVLLAGCGLAMVVWMLCCGSVKGSDIPQSKF >ONH97585 pep chromosome:Prunus_persica_NCBIv2:G7:18662671:18668437:-1 gene:PRUPE_7G198800 transcript:ONH97585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIETYEDFVKVHGLLLAASGLPQSLHRQLFQKLLSESFDGGSHFQIEPTEDGRQRRLVLTSDSMPEHSDVFLIDHAWTFRLSDAYKQLMEVPGLAERMAAIMCVDADLNSESEEDRLSEGNAKRSVLEVLENEITEANGNVRWLELEDLDIDDETLSSLDLSTKSPGLFALSLCGNKLENVGVVVREVTKFKNLRALWLNNNPVVQNCGDELADKVLRELLNLEIYNSKFTSNYGEWALGFCGGVYDKENPGSVDQPDNALKQVTDLDLSNRCIHNLFNKAFTPLELPCLSYLNLRGNPLEETAVGDLLQLLRNFPSLQSLEVDIPGPLGESAVQILESLPNISVLNDVNASKIFETEKDVVDSVLQPRLPEWTADEPLTDRVINAMWLYLMTYRLADEEKIDETSVLYVMDELGSALRHSDEPNFRVAPFLFMPEGTLASAVSFSILWPIQNVQKGYECTRDYLFGIGEDKQRSARLTAWFNTPENYFIREYEKHQRSLKSKNLTSLTLDSCPTRSLHRSETSALRVYTDIPQVEELLTRPEFVITTDPKDAEIIWTCTQVDEDMKKATGITDNQYINQFPFEACIVMKHHLAETIQKAHGSPEWLQPTYNLETHLSQLIGDYCVRKRDGLNNLWILKPWNMARTIDTTVTGNRSAIIRLMETGPKICQKYIEHPALFQGKKFDIRYIVLVRSMNPLEIFLSDTFWVRLANNQYSLDQHSLFEYETHFTVMNYRGTLNHKNTSEFVREFEQEHQVNWLDIHSRVKKMIRSVFEAAAQVHPEMHGTTSRAMYGVDVMLDTSFQPKLLEVTYCPDCGRACKYDMEAIVGDKEVIRGRDFYNYVFGCLFLNETTHVMPL >ONH95654 pep chromosome:Prunus_persica_NCBIv2:G7:11832476:11833331:1 gene:PRUPE_7G083400 transcript:ONH95654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASFTFYPILLFLLVSVEFGTCSVVRGDARAVRIPEHSCHKLIDQSIRCELQNCIKECSKEPSGVGVCRSRVCFCTYYCKDPPK >ONH96021 pep chromosome:Prunus_persica_NCBIv2:G7:13210118:13218977:1 gene:PRUPE_7G102400 transcript:ONH96021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQATTEGFFDDGHEDGYDAVVVGSGYGGSIAACRLSMAGVKVCLIEKGRRWESKDFPTDSSKILSAMRMEKQNLGISFGRKDALFQVYEQNDSLAAVACGLGGGSLVNAGVMVPTPVRARRHPKWPKEWERNWDNCEASAAAMLKAQSIPVKFPIAKVMEEVSNGEIGETFDTSVKLSVNFDPEEPLADAMGTCLACGNCLSGCPYNAKSSTDKNYLRSAIQAGCVVKTECQVQYVVRNLHESLQYEGKVGRKNRRWFVYFNEIDHITSDFVILSAGVFGTTKILFQSQMRGLKLSEALGSGFSCNGNTVAYLAGSPAPLNGYGLDRKKVFKMPFQERPGPSISSSYTSSLGFTIQSAVLPTAYPYLLFKGITTYGWPAGYWFFHGIIDKIKHIMGFKASQAMVLIGLGYDESDGKIMLERGTNKICFIPAHDPLLPRKIKAFQKITKKLGGILFMSKYRSTSVHLLGGCNASSQPSHGVCNPNGQVFDAEDSTTVHPGLYVCDASLIPCSVGINPSLTIATVAEHISKQLVQDVLKNKRRKGLECVLKTANQGPDSFTDKTIITGQRSEVLIKETMRGYVGGMPCTAYLRMKMNTGDHSDEQKSGTGESHPLLRGKVGGHVEFRAFEKDELHVIDGDVNLCEVDCRTPYTHYMHYNLLLGASTGSRYILEGRKIMNPYLFGLYAWREMTTLHVTFVKVAEKNSRDEKVILKGELSISMKELLKSFISLEGNKKGRFICLLSGAILRTFILQIPRGNPKDLNLSYCLHKSYPSGTLHEIKTEDGFNISCRQWKCYHVLSQLKGDEQQTPVLLLNGYSTESYWLPTEPNDLIRTLLEEGHETWLLQSRLHPLNPSNGFTIEDVGRFDIPAAINKIMELHGPCVKVHVVAHCVGGLAIHIAVMGGHVSATRIASLSCTNSSMFFKLSALSTVKMWLPLMPISMFILGNNKILPLLETSSVSSRHSLLKLIACFIPRYEKCTCNECEVFSGIFGNTFWHKNISPTMHQWLNKQSSTRLPMAAFPHLRKICNSGFIVDSSGSNSYLIHPERMALPTLYISGGQSLLVTPHTSFLAHKYMKLHQPGFRHERVVVEGFGHSDLLIGEESYKKVFPHILSHIRSAEQGRIGKGKKCRKEALDWEADDQYEGLGEFGTWFSPFVIVLLLFMLLSLLVSFFI >ONH97791 pep chromosome:Prunus_persica_NCBIv2:G7:19278012:19280911:1 gene:PRUPE_7G210700 transcript:ONH97791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPVKDVSIELSELEQIAKWPELELEQSTSTCAKSTEPAVDRSISVTKKWIELKEALVLRGRHHRSKWDMTFAISSAFAVFIDPLICYAPVLIDDNTCYYWDHRLMWTFFALRSAADLFYATDIFVFLSRSRAKPFRTSWTRIIGGPHTKICKMLNGKKTLRFLRILPRICAALPILQAIILSGKYTSVDNNEFFYLIPIQYTLRAIRLYRRLNRSSSIETTVRRLRKAVLDFLPFILAAHLFGALWYILAVDRKIGCWQEHVCKFDGICGREALDYFFYCSSSTPANNMKFNSSLLQKSCAVQLSENVSTLPFDFGIYLYALQSDMTRSRGLPVKMLQCLWWGLRNLSSFGSNLQTSFFMDEIIFSILISISGLALFLVYLNARVQGSQKILDQLNLREKMQSIYPQIITQMRIMCRLRLDSLKEVPMLKSTDEKMLQAICEHLKPVTYGEDIYIIREGEPLRKMLFITQGTALTYTTIKGGTNVCKCLEKSDFYGEELLNWAFKFGSFSELPISPTTVVSQTKVEAFSIRANHLKSVVAQFWWRFQKELPRSQLEHFSASCLQKYWRHHIKLTKNTGSKEKRE >ONH95734 pep chromosome:Prunus_persica_NCBIv2:G7:12205524:12206158:1 gene:PRUPE_7G088300 transcript:ONH95734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALISKQYMVEIDIGKLQIEGAEATCLGHMSLFKSDGEHRGSPQRSKGGQLTPQPRNPPHEERKLTLQWLDGWAIHARYLFEEMPQGDASS >ONH98763 pep chromosome:Prunus_persica_NCBIv2:G7:21905475:21908339:-1 gene:PRUPE_7G265500 transcript:ONH98763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRNISSCYAQRDRNFFLSLLSKATSLSHLTQTHAQIILNGYQNDLATVTKLTHKFSDLKAIRHSRDLFLSIPKPDLFLFNVLIRGFASNASPLSSLSLYTHLRKNTSLNPDKFTYAFAISAASGFKDEKYGLLLHAHSIIDGLGSNLYVGSIIVDFYFKFSRVELAQKVFDGMPEKDTVLWNTMISGLVRNCYYADSMRIFRDMVVGGTAFDSTTLATELPALAELQELKAGMGIHCLALKVGFHSDVHVLTGLVSLYSKCKELETARLLFGHITQPDLICYNAMIAGYTCNNETVSSVSLFRELLASGEKVNSSTIVGLIPVSSPFGHLQLTGSLQTFCVKSGIVSHPSVSTAFVTVYCRLNEIELARQLFDESPEKTLASWNAMIAGYTQNGLTETAISLFREMMSEFSPNPVTVTSILSACAQLGAISLGKWVHGLIKSKNLESNIYVLTALVDMYAKCGSIVEARKLFDLMTEKNVVTWNAMISAYGLHGDGHEALKLFTEMLHSGIQPSGVTFLSVLYACSHAGLVREGEEVFHYMVHNHGFEPLAEHYACMVDILGRAGKLEKALEFIKEMPVEPGPAVWGALLGACMIHKETELACVASERLFELDPENTGYYVLLSNIYSADRNFPKAASVRQVVKNRNLAKTPGCTLVEIGETPHVFTCGDQSHPRATEIYRMLDKLTGKMMEAGFQTETVTVLHDVEEEEKELMVKVHSEKLAIAFALIETAPGTEIRIFKNLRVCLDCHNATKFISKITERVIVVRDANRFHHFKDGVCSCGDYW >ONH97528 pep chromosome:Prunus_persica_NCBIv2:G7:18495258:18500799:-1 gene:PRUPE_7G195000 transcript:ONH97528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETIPLGRFHHQRLDLKRWFPTFLSSHKTLFTVLWIAAFASVFVWQRNIVDGFSIFKRVPVRAMPKLRPVAFNLTDFGAVGDGVTLNTEAFEKAVLAISKLGKKGGGQLNVPSGRWLTAPFNLTSHMTLFLAEDAEILGIQDEKYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLKDIVITGHNGTINGQGQTWWKKYRQKLLNHTRGPLVQIMWSSNILISNITLRDSPFWTLHPYDCKNVTVRNVTILAPVVEAPNTDGIDPDSCEDMVIEDCYISVGDDGIAIKSGWDQYGISYGRPSKNILIRNLVVRSMVSAGVSIGSEMSGGVSNVTVENVLVWSSRRAVRIKTAPGRGGYVRHITYRNLTFDNVRVGIVIKTDYNEHPDEGYDPKALPVLKDISFTSVHGQGVRVPVRIHGSEEIPVRNVTFRDMSVGLTYKKKHIFQCAFVQGRVIGTIFPAPCENLDRYDEQGKLVKHSASQNMTDIDYDF >ONH97088 pep chromosome:Prunus_persica_NCBIv2:G7:17192810:17193894:1 gene:PRUPE_7G169300 transcript:ONH97088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEERSTGKVRWFNDTKGFGFITPDIESEDLFVHQSSIRSDGFRSVAEGESVEFQIAVGEDGKTKAIDVTGPNGAPLQGNRKESFGRSGGRGGGSGFGGGWRGGDRRNGGGAGGASCYNCGEPGHMARDCNRGAGGGGGGGSGGGCFSCGGYGHVARVCPNGTGGGVGGGGGGGGNCYKCGEFGHLARDCSSGAVGGGGGGGAGACYSCGEYGHMARDCSTGGRGGGGGGRGRGYGFSAGSGGNGCYNCGQAGHFAKECPTA >ONH97569 pep chromosome:Prunus_persica_NCBIv2:G7:18593835:18595622:-1 gene:PRUPE_7G197300 transcript:ONH97569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSGDKFFGDMESVKDELKELERLRQNLQSSHEHSKTLDNAKAVKDLRSRMEADVTFALKKAKVLKVRLKALDRSNAANRSLPCCGPGSSSDRTRISVVNGLRKKLKDSMDSFNSLRQKMLLGVLLYRSISLCSTNQYDRSLVRANSHAGAPRRCLQLMCLCLTAHRLCWRQGAPAAKAWWR >ONH94566 pep chromosome:Prunus_persica_NCBIv2:G7:3543059:3543972:1 gene:PRUPE_7G022700 transcript:ONH94566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTMVGKTNMPAMVYERIVHMPETLTKQVADSEERFRLMDHHLAKLEASKHRYVEKFDVINICSKKESQERQSIDDWKQGEIW >ONH94565 pep chromosome:Prunus_persica_NCBIv2:G7:3543059:3544301:1 gene:PRUPE_7G022700 transcript:ONH94565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTMVGKTNMPAMVYERIVHMPETLTKQVADSEERFRLMDHHLAKLEASKHRYVEKFDVINICSKKESQERQSIDDWKQGEIW >ONH95863 pep chromosome:Prunus_persica_NCBIv2:G7:12534855:12535922:1 gene:PRUPE_7G092900 transcript:ONH95863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRKVKLAWIVNDAARKATFRKRRANLLKKLRELTILCDVNGLIIVYGPDSDEPVVWPERPVVQELLARFLSIPEFERWKKMTNQETYLKDSAAKIQEQIRKIQKKNNEMEMNYIMHQILKNGKPLDAFHARELTDLVFFMKDKMKEIEKRIETKEMDPNVPPCAPPHNDEWNATESLFNYLHKEKTKQMENIVGASSSVRSDMGLPEYTYFGSSVNPANGIGFPSVNFEGNYSYGGSDLGLPKQIHNIAGSSSSVRHYGTDLGMPHVNYGGSSTGGSEMRHDILPHYYGDNIVGNTGWGTRETNAGYGDRLGHLPQQLSVEGDKGSGLGLPAGLFGGNNGGSDAGLPYDVTKT >ONH98075 pep chromosome:Prunus_persica_NCBIv2:G7:20097989:20109180:-1 gene:PRUPE_7G227600 transcript:ONH98075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEDKFLAKVSGIQSLSSSVQSTPEKNGHSDDASRSPELLQEFLKSGPKKELLRTCFDKDKKNLNSSKHKMSELLKTSNKTNKKQESKKASSSPNNHLPKKQARKGENPMRLSPASEQSPDFGSSNSWICKNSACRAVLPIDNTFCKRCSCCICHLFDDNKDPSLWLVCTSESGEGDSCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGSWKKQLIVAKDARRVDVLCYRIYLSYRLLDGTSRFKELYEIVKEAKSKLETEVGPVNGVSAKMARGIVSRLSIASDVQKLCSLAIEKADEWLANISNVDPNCRDVAGAPAISKGAAESDVLKALSQIIDPDFGTDIVSCGFVKDLDINEALGEVSFRLELTTPACPIKDMFEQKANEVVNLLPWVKNVSVTMSAQPARPIYAEQLPAGLQTISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRILVMNPEKKTIIPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVVDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMSHFDADGKRYYPFGRGSGSQVVEQFGIPNLFDLPIRPTLSASGDSGTPEVVADPLGEVSKTFQDIGICVVQQCAKIRQQVSTAVTYDKSIKAIRVKVPDSDEAFLLHPATVRRNDRSAQSVDEWTGEQKLQFADVPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLQTIERLVDVPQRVPSQV >ONH96480 pep chromosome:Prunus_persica_NCBIv2:G7:15078213:15081591:1 gene:PRUPE_7G131700 transcript:ONH96480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNQTEHMSDHLSEQTSIFGLRLWIVLGVCVGAAFVLVLFVISLWLASKRSKNKTLQKPTIPIVSKEIQEIRVDHVRTQVQAYPYPEPDPIPRIERQALLTPTEDESPAGYQKVHIEIGKDHRITYPIGSSSSHGSGEARAVDQVAMVGPEVSHLGWGHWYTLRELEVATNGFVDENVIGEGGYGIVYHGVLEDKTQVAVKNLLNNRMLVYEYVNNGNLEQWLHGDVGPSSPLTWEIRMNIILGTAKGLTYLHEGLEPKVIHRDIKSSNILLDKQWNAKVSDFGLAKLIGSEMSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYGRPPEEVNLVEWLKKMVANRNPEGVLDPKLTQKPTSRALKRALLVALRCVDPNAQKRPKLGHIVHMLEAEESPFKDDRKSRRVAECSDGDNVKVGLKEKQVTESGDSIGYESGMHADNTRWRHQEERL >ONH96479 pep chromosome:Prunus_persica_NCBIv2:G7:15078250:15081591:1 gene:PRUPE_7G131700 transcript:ONH96479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNQTEHMSDHLSEQTSIFGLRLWIVLGVCVGAAFVLVLFVISLWLASKRSKNKTLQKPTIPIVSKEIQEIRVDHVRTQVQAYPYPEPDPIPRIERQALLTPTEDESPAGYQKVHIEIGKDHRITYPIGSSSSHGSGEARAVDQVAMVGPEVSHLGWGHWYTLRELEVATNGFVDENVIGEGGYGIVYHGVLEDKTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGFCAEGAHRMLVYEYVNNGNLEQWLHGDVGPSSPLTWEIRMNIILGTAKGLTYLHEGLEPKVIHRDIKSSNILLDKQWNAKVSDFGLAKLIGSEMSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYGRPPEEVNLVEWLKKMVANRNPEGVLDPKLTQKPTSRALKRALLVALRCVDPNAQKRPKLGHIVHMLEAEESPFKDDRKSRRVAECSDGDNVKVGLKEKQVTESGDSIGYESGMHADNTRWRHQEERL >ONH96481 pep chromosome:Prunus_persica_NCBIv2:G7:15078539:15080608:1 gene:PRUPE_7G131700 transcript:ONH96481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNQTEHMSDHLSEQTSIFGLRLWIVLGVCVGAAFVLVLFVISLWLASKRSKNKTLQKPTIPIVSKEIQEIRVDHVRTQVQAYPYPEPDPIPRIERQALLTPTEDESPAGYQKVHIEIGKDHRITYPIGSSSSHGSGEARAVDQVAMVGPEVSHLGWGHWYTLRELEVATNGFVDENVIGEGGYGIVYHGVLEDKTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGFCAEGAHRMLVYEYVNNGNLEQWLHGDVGPSSPLTWEIRMNIILGTAKGLTYLHEGLEPKVIHRDIKSSNILLDKQWNAKVSDFGLAKLIGSEMSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYGRPPEEVNLVEWLKKMVANRNPEGVLDPKLTQKPTSRALKRALLVALRCVDPNAQKRPKLGHIVHMLEAEESPFKDVFASY >ONH98475 pep chromosome:Prunus_persica_NCBIv2:G7:21186234:21188223:1 gene:PRUPE_7G250400 transcript:ONH98475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHQPKQGSPRVLQVLEALKQASHELQTHPSPDSADSNSSAINALLELETESDNILSKDPHLSTLSQHLATLKNLVETLKTSKGHHSIRSFLTRCCSTHCLSRLAGSIESEIQAWIDRESLESLTRALKEPLHNEDELVKLLNQFEDRVLQGFNRELQDLILKSKVFTLLESVLCDSHCSKRVRERSAFAIAALINFNKDVFVGQVLMGRTIKALLTMASPNAIRVLCTLIRLIKSPLVDEIEFNGEIPKIISFLNREDLEMRVMAMVCILEIGYFGRKEAIDAMLEEGVIKKLVELQRSELGGDLTEMGLDEDDKENREVAGGGGGIKEKNTRSENRENRFFENHPFSSCVARFAVQLEVGEGLRQRERRAFKQQILTRVREASISDAEAATIIAEVLWGSSP >ONH97574 pep chromosome:Prunus_persica_NCBIv2:G7:18617136:18618636:-1 gene:PRUPE_7G197800 transcript:ONH97574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTKSSLHGHANGGLTTVLSIDGGGIRGIIPGVMLAFLESLLQKIDGDHVRLVDYLDWVVGMSTGGLMASMLTTPNKNNRPLYAAKDIVPFYRQHCLKIFPQPRYVYSSHVGKIIYYLKCLVGPKYNKKYLRKLLKETLGDKHLQDMLTNIAIPTTDMLADRKRSFGSTGGSSYESKYMELEMNDDTLSGTLASVDIATEESLNDLVKVGEALLKKPVSRLNFGIGKLEPVYPEVTNEEALVRYKFALLFSLLSCVNSINYHTDYFCFVP >ONH95266 pep chromosome:Prunus_persica_NCBIv2:G7:9911786:9912930:1 gene:PRUPE_7G060300 transcript:ONH95266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCENLEALPDWLASFTSLTKLVIDQCQKLLSLPEGMRSLTSLNKLVVDDCPELERRCQCDIGEDWPKISHVPHVSLSSFD >ONH96228 pep chromosome:Prunus_persica_NCBIv2:G7:13999532:14003095:-1 gene:PRUPE_7G114500 transcript:ONH96228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVDPKCDASCNEVVPLTKGGMMASETDAPMGGWSVSTAAVKVQKVYRSYRTRRRLADTAVVAEEYWWQAIDSWRLNRTTISFFDNNTTESVTSKWNRVGKNASKVGKGLSKDAKAQKLAFQHWIEAIDPRHRYGHSLHVYYEEWSKADAGQPFFYWLDVGDGKELDLKECSRSKLRQQCIKYLGPQERVHYEYDVAEGLIVHSQTRELLDTKEGSPGAKWIFVMSTSKKLYAGEKKKGVFHHSSFLAGGATIAAGRLEVEHGVLKSISAYSGHYRPTDDRLDTLLSFFKENGVNLDKVQIRKPSDESDGYDEGKYNGGTTFKLPTNIEAPKPEVPEELETEKSQSPESTELCQTEAKPDYKRTLSGGLHSPRAEVPKNKILQRINSRNSSAKSYQLGHQLSLKWSTGAGPRIGCVADYPVELRMQALEFVNSPRSPPTPSYYRRFPGLPSPTSNSTSDVNNGDKTSGD >ONH96227 pep chromosome:Prunus_persica_NCBIv2:G7:13999927:14002883:-1 gene:PRUPE_7G114500 transcript:ONH96227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVETQTQSLPTFDKPPFSSFSLSDRGSRNFRGSEMPAVDPKCDASCNEVVPLTKGGMMASETDAPMGGWSVSTAAVKVQKVYRSYRTRRRLADTAVVAEEYWWQAIDSWRLNRTTISFFDNNTTESVTSKWNRVGKNASKVGKGLSKDAKAQKLAFQHWIEAIDPRHRYGHSLHVYYEEWSKADAGQPFFYWLDVGDGKELDLKECSRSKLRQQCIKYLGPQERVHYEYDVAEGLIVHSQTRELLDTKEGSPGAKWIFVMSTSKKLYAGEKKKGVFHHSSFLAGGATIAAGRLEVEHGVLKSISAYSGHYRPTDDRLDTLLSFFKENGVNLDKVQIRKPSDESDGYDEGKYNGGTTFKLPTNIEAPKPEVPEELETEKSQSPESTELCQTEAKPDYKRTLSGGLHSPRAEVPKNKILQRINSRNSSAKSYQLGHQLSLKWSTGAGPRIGCVADYPVELRMQALEFVNSPRSPPTPSYYRRFPGLPSPTSNSTSDVNNGDKTSGD >ONH95203 pep chromosome:Prunus_persica_NCBIv2:G7:9569253:9569550:1 gene:PRUPE_7G056600 transcript:ONH95203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSRRIFEDKCKKKVDWSKLCKPIDQPAICPYLKPLRCPYILQKNGRLTKLTTLPVMYYFIAVDTNVKFILHSFPLL >ONH94508 pep chromosome:Prunus_persica_NCBIv2:G7:2961468:2970544:1 gene:PRUPE_7G019900 transcript:ONH94508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAVVSDEEDEVELDEDEREPHHGDAVDDRDGDDEDDEEEGPDEYEKDDFIVDDVDEEDEQEEEEDRADSDEERQRKKKRKKKEEYVLDEDDYELLEDNNVIAPRRKAGKFKRLKKAQRYGEGEPGGLSDEEEFVGSGKSGRTAEEKLKRTLFGDDEGPPLEDIAEEEEPAEAEDDGEVGEEDEMADFIVDEEFDETGAPVRQRKLKKKKSRQAPGVSSSALQEAHEIFGDVDELLQLRKQGLDSSEWRERRLEDEFEPIVLSEKYMTEKDDQIRELDVPERMQIYEESTGSPPLDRISMDDESTWIYNQLASGTVPLFSKTGLGNSISRDDIIRFLDLHHVQKLDIPFIAMYRKEECLSLLKDPEHLELEDESQDKNDRPSVLKWHKVLWTIKELDRKWLLLQKRKNALQSYYNKRFEEESRRIYDETRLNLNQQLFESIMKSLKAAESEREVDDVDTKFNLHFPPGEAGVDEGQYKRPKRKSLYSICSKAGLWEVASRFGYSSEQFGLQLSLEKMRMDELEDAKETPEEMASDFTCAMFENPQAVLKGARHMAAVEISCEPCVRKYVRSNYLDIVELSTSPTPDGNVAIDAFHQFAGVKWLQRKPLNRFEDAQWLLIQKAEEEKLLQVTIKLPEDRLNKLISDFNEYYLSDGVSKSAQLWNEQRKLILQDALFNFLLPSMEKEARSLLTSRAKNWLVMEYGKVLWNKVSVGPYQRKENDGSDDEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTGSLTLRSHNVNDQQRKKNDQERVLKFMTDHQPQVAVLGAVNLSCVRLKDDIYEIIFKMVEENPRDVGHDMDGLSIVYGDESLSRLYENSRNSSDQLPAQSGIVKRAVALGRYLQNPLAMVATLCGPGREILSWKLNPFENFLTPDEKYAMVEQVMVDVTNQVGLDVNLAISHEWLFAPLQFISGLGPRKAASLQRSLVRSGAIFTRKDFVTAHGLGKKVFVNAVGFLRVRRSGLAASSSQFIDLLDDTRIHPESYALAQELAKDVYDVDGGNDEEDALEMAIEHVRDRPNYLKNLDVEEYAKTKKRENKIETFCDIRRELIQGFQDWRKQYEEPSQDEEFYMISGETEDTLAEGRIVQATVRRVQAQRAVCALESGLTGMLMKEDYSDDSRDISELSDRLNEGDILTCKIKSIQKNRYQVFLVCRESELRNNRHQNTQNLDAYYHEDRRSLQSEQEKAHKEKELAKKHFKPRMIVHPRFQNITADEAMKFLSDKDPGESIIRPSSRGPSYLTLTLKVYDGVYAHKDIVEGGKDHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVAHLKSMLNYRKFKRGTKAEVDELLKIEKLEYPMRIVYCFGISHEHPGTFILTYIRSTNPHHEYVGLYPKGFKFRKRMFEDIDRLVAYFQRHIDDPQHESGPSIRSVAAMVPMRSPATGGSTNEGGWRGQSFDRDRSSTPSSRTGRNDYRNGGSRDGHPSGLPRPYGGRGRGRGTYNNRGNSTGNERQDSGYDAPTWGADSKDRDDGLGNFPGAKVQNSPGREAFPGGWGAGGSGSGGSNWGGGSGWGQGSGGAGGWGGAGANDAAADNGTSGWGSDLKIKGSDNGSSGWGSEPKRGGGGGW >ONH94509 pep chromosome:Prunus_persica_NCBIv2:G7:2961468:2970544:1 gene:PRUPE_7G019900 transcript:ONH94509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAVVSDEEDEVELDEDEREPHHGDAVDDRDGDDEDDEEEGPDEYEKDDFIVDDVDEEDEQEEEEDRADSDEERQRKKKRKKKEEYVLDEDDYELLEDNNVIAPRRKAGKFKRLKKAQRYGEGEPGGLSDEEEFVGSGKSGRTAEEKLKRTLFGDDEGPPLEDIAEEEEPAEAEDDGEVGEEDEMADFIVDEEFDETGAPVRQRKLKKKKSRQAPGVSSSALQEAHEIFGDVDELLQLRKQGLDSSEWRERRLEDEFEPIVLSEKYMTEKDDQIRELDVPERMQIYEESTGSPPLDRISMDDESTWIYNQLASGTVPLFSKTGLGNSISRDDIIRFLDLHHVQKLDIPFIAMYRKEECLSLLKDPEHLELEDESQDKNDRPSVLKWHKVLWTIKELDRKWLLLQKRKNALQSYYNKRFEEESRRIYDETRLNLNQQLFESIMKSLKAAESEREVDDVDTKFNLHFPPGEAGVDEGQYKRPKRKSLYSICSKAGLWEVASRFGYSSEQFGLQLSLEKMRMDELEDAKETPEEMASDFTCAMFENPQAVLKGARHMAAVEISCEPCVRKYVRSNYLDIVELSTSPTPDGNVAIDAFHQFAGVKWLQRKPLNRFEDAQWLLIQKAEEEKLLQVTIKLPEDRLNKLISDFNEYYLSDGVSKSAQLWNEQRKLILQDALFNFLLPSMEKEARSLLTSRAKNWLVMEYGKVLWNKVSVGPYQRKENDGSDDEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTGSLTLRSHNVNDQQRKKNDQERVLKFMTDHQPQVAVLGAVNLSCVRLKDDIYEIIFKMVEENPRDVGHDMDGLSIVYGDESLSRLYENSRNSSDQLPAQSGIVKRAVALGRYLQNPLAMVATLCGPGREILSWKLNPFENFLTPDEKYAMVEQVMVDVTNQVGLDVNLAISHEWLFAPLQFISGLGPRKAASLQRSLVRSGAIFTRKDFVTAHGLGKKVFVNAVGFLRVRRSGLAASSSQFIDLLDDTRIHPESYALAQELAKDVYDVDGGNDEEDALEMAIEHVRDRPNYLKNLDVEEYAKTKKRENKIETFCDIRRELIQGFQDWRKQYEEPSQDEEFYMISGETEDTLAEGRIVQATVRRVQAQRAVCALESGLTGMLMKEDYSDDSRDISELSDRLNEGDILTCKIKSIQKNRYQVFLVCRESELRNNRHQNTQNLDAYYHEDRRSLQSEQEKAHKEKELAKKHFKPRMIVHPRFQNITADEAMKFLSDKDPGESIIRPSSRGPSYLTLTLKVYDGVYAHKDIVEGGKDHKDITSLLRIGKTLKIGEDTFEDLDEAEMITEMVAVEMDIQVAYLDHMVAVAVVEEHITTEEIAPAMRGRILVMMLQHGVQIPKTGMMAWATFLVQRSKTHLEGKLSLVVGVLVGVAVEAAIGVAAVAGVKEVVEQEGGVELVQMMLLLIMGLQDGVQT >ONH94510 pep chromosome:Prunus_persica_NCBIv2:G7:2962907:2970544:1 gene:PRUPE_7G019900 transcript:ONH94510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFIVDEEFDETGAPVRQRKLKKKKSRQAPGVSSSALQEAHEIFGDVDELLQLRKQGLDSSEWRERRLEDEFEPIVLSEKYMTEKDDQIRELDVPERMQIYEESTGSPPLDRISMDDESTWIYNQLASGTVPLFSKTGLGNSISRDDIIRFLDLHHVQKLDIPFIAMYRKEECLSLLKDPEHLELEDESQDKNDRPSVLKWHKVLWTIKELDRKWLLLQKRKNALQSYYNKRFEEESRRIYDETRLNLNQQLFESIMKSLKAAESEREVDDVDTKFNLHFPPGEAGVDEGQYKRPKRKSLYSICSKAGLWEVASRFGYSSEQFGLQLSLEKMRMDELEDAKETPEEMASDFTCAMFENPQAVLKGARHMAAVEISCEPCVRKYVRSNYLDIVELSTSPTPDGNVAIDAFHQFAGVKWLQRKPLNRFEDAQWLLIQKAEEEKLLQVTIKLPEDRLNKLISDFNEYYLSDGVSKSAQLWNEQRKLILQDALFNFLLPSMEKEARSLLTSRAKNWLVMEYGKVLWNKVSVGPYQRKENDGSDDEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTGSLTLRSHNVNDQQRKKNDQERVLKFMTDHQPQVAVLGAVNLSCVRLKDDIYEIIFKMVEENPRDVGHDMDGLSIVYGDESLSRLYENSRNSSDQLPAQSGIVKRAVALGRYLQNPLAMVATLCGPGREILSWKLNPFENFLTPDEKYAMVEQVMVDVTNQVGLDVNLAISHEWLFAPLQFISGLGPRKAASLQRSLVRSGAIFTRKDFVTAHGLGKKVFVNAVGFLRVRRSGLAASSSQFIDLLDDTRIHPESYALAQELAKDVYDVDGGNDEEDALEMAIEHVRDRPNYLKNLDVEEYAKTKKRENKIETFCDIRRELIQGFQDWRKQYEEPSQDEEFYMISGETEDTLAEGRIVQATVRRVQAQRAVCALESGLTGMLMKEDYSDDSRDISELSDRLNEGDILTCKIKSIQKNRYQVFLVCRESELRNNRHQNTQNLDAYYHEDRRSLQSEQEKAHKEKELAKKHFKPRMIVHPRFQNITADEAMKFLSDKDPGESIIRPSSRGPSYLTLTLKVYDGVYAHKDIVEGGKDHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVAHLKSMLNYRKFKRGTKAEVDELLKIEKLEYPMRIVYCFGISHEHPGTFILTYIRSTNPHHEYVGLYPKGFKFRKRMFEDIDRLVAYFQRHIDDPQHESGPSIRSVAAMVPMRSPATGGSTNEGGWRGQSFDRDRSSTPSSRTGRNDYRNGGSRDGHPSGLPRPYGGRGRGRGTYNNRGNSTGNERQDSGYDAPTWGADSKDRDDGLGNFPGAKVQNSPGREAFPGGWGAGGSGSGGSNWGGGSGWGQGSGGAGGWGGAGANDAAADNGTSGWGSDLKIKGSDNGSSGWGSEPKRGGGGGW >ONH96601 pep chromosome:Prunus_persica_NCBIv2:G7:15569987:15572097:1 gene:PRUPE_7G139900 transcript:ONH96601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLTLKIPRSFSLICFNRTHSSSSQTQLNKPLIEKTFFKSNTKDGLISRLCKDGKFKEAIDILCEQKHLAEAIQLLNRIDRPSASIYSTLLQLCLQQRALVQGKLVHAHTKVSGFVPGLFICNRLIDLYAKCGSLVDAQKVFDEMSERDLCSWNTMISGYAKVGLLGEARKLFDEMPEKDNFSWTAMISGYVRHERPKEALQLYRMMQRHDNSKSNKFTVSSALAASAAIQSLRLGKEIHGFIMRTGLDSDEVVWSALSDMYGKCGSIEEAKRIFDKMVNRDVVSWTAMIDRYFEDGKREEGFALFSELMKSGIRPNEFTFAGVLNACAHHAAENLGKQVHGYMTRIGFDPLSFASSALVHMYSKCGNTVNANMVFKGMPHPDVVSWTSLIVGYAQNGQPYEALQLFELLLKSGTKPDHITFVGVLSACTHAGLVEKGLEYFHSIKAKHGLAHTADHYACVVDLLARAGRFEEAENFINEMPMKPDKFLWASLIGGCRIHGNLKLAKRAAEALFEIEPENPATYITLANIYATGGMWDEVTKVRKTMDERGVIKKPGLSWIEIKREVHVFLVGDKSHLRYDEIHFFLHELSKRMKEEGYVPDTNFVLHDVEEEQKEQNLSYHSEKLAVAFGIISTPPGTPIKVFKNLRTCVDCHTAIKFISKIANRKIIVRDSNRFHCFEYGNCSCRDYW >ONH94191 pep chromosome:Prunus_persica_NCBIv2:G7:394153:397827:1 gene:PRUPE_7G003500 transcript:ONH94191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLSPILRRELENLDKDADSRRSAMKALKSYVKELDSKAIPMFLAQVSQTKETGSLSGECTISLYEVLARVHGVKIVPLINSIMATIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTTPEDKKRNIIHSLCNPLSDSLLGSQESLTSGAALCLKALIDSDNWRFAADEMVNRVCQNVSGALEEKSTQTNAHMGLVMALAKRNATIVEPYARLLIQAGLRILNAGVVEGNSQKRLSAIQMVNFLMRCLDPWSILSELELIIEEMEKCQSDQMAYVKGAAFEALQTARRIGADKGSKLEKGPGSVCGSNFIRRGHSRRRNLSSAGDQSPASTSPESQTLDSFVEYESLVESPISMSQASQNSIYDCRSVNRKLWSRENGVVDVSLKDGLFSEIARGSAYSNGYPENSGNNEFIKCEGDCTEEFAGFLQRNPRNGASRSTTTSPLRSHTPINVDNIIFNTPRRLFHSLQDPSNVYSKSSEKRARRFRSLSMSEFDWSPNARYDQEGYSHGVNYECRENGSFYAGDEQFQGGPESVSSTDGIPVDADLQASQEVVPENETEVPISGIKSARRKVAVKLLCGLSFALLAVAMPLLWINDQGEGHEGYYLVPT >ONH94192 pep chromosome:Prunus_persica_NCBIv2:G7:394833:397780:1 gene:PRUPE_7G003500 transcript:ONH94192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLSPILRRELENLDKDADSRRSAMKALKSYVKELDSKAIPMFLAQVSQTKETGSLSGECTISLYEVLARVHGVKIVPLINSIMATIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTTPEDKKRNIIHSLCNPLSDSLLGSQESLTSGAALCLKALIDSDNWRFAADEMVNRVCQNVSGALEEKSTQTNAHMGLVMALAKRNATIVEPYARLLIQAGLRILNAGVVEGNSQKRLSAIQMVNFLMRCLDPWSILSELELIIEEMEKCQSDQMAYVKGAAFEALQTARRIGADKGSKLEKGPGSVCGSNFIRRGHSRRRNLSSAGDQSPASTSPESQTLDSFVEYESLVESPISMSQASQNSIYDCRSVNRKLWSRENGVVDVSLKDGLFSEIARGSAYSNGYPENSGNNEFIKCEGDCTEEFAGFLQRNPRNGASRSTTTSPLRSHTPINVDNIIFNTPRRLFHSLQDPSNVYSKSSEKRARRFRSLSMSEFDWSPNARYDQEGYSHGVNYECRENGSFYAGDEQFQGGPESVSSTDGIPVDADLQASQEVVPENETEVPISGIKSARRKVAVKLLCGLSFALLAVAMPLLWINDQGEGHEGYYLVPT >ONH96420 pep chromosome:Prunus_persica_NCBIv2:G7:14879092:14885749:1 gene:PRUPE_7G128300 transcript:ONH96420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAITECDDRRLKTKYSNAIYVIQRTLALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHKGEKSCSNGDVKDFPIRTIYFESPSAFPEINSFTYDTATTYGLQLDIIRSDFKSGLEALLNAKPIKAIFLGVRMGDPTAVGQEQFSPSSLGWPPFMRVNPILDWSYRDVWAFLLTCKVPYCSLYDQGYTSIGSIYDTVPNALLCINNSSGSKEVFRPAYLLSDGRLERAGRVKKLPSSVSGHNPAVINGLDNMDLHNRSLLTASAIAVGDEILFGTVEDQIGPSLCRKLHSFGWLVSQTAVVRNDIDSVAEEVERRQSTDDMVFIYGGVGPLHSDVTTAGVAKAFGVRLAPDEEFEEYLRHLIGDQCTGDRNEMALLPEGITELLHHEKLIVPLIKCKNVIILTATNALELDEEWNCLIELMTSDDVLLMMQSFVSKHLTTNLTDVEIARPLSKLCLEFPDLYIGCHRKSRKEPLVLYFKGKDQDRIESAVEALNKKFCPGAFVEINSS >ONH96421 pep chromosome:Prunus_persica_NCBIv2:G7:14879468:14885749:1 gene:PRUPE_7G128300 transcript:ONH96421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPTAVGQEQFSPSSLGWPPFMRVNPILDWSYRDVWAFLLTCKVPYCSLYDQGYTSIGSIYDTVPNALLCINNSSGSKEVFRPAYLLSDGRLERAGRVKKLPSSVSGHNPAVINGLDNMDLHNRSLLTASAIAVGDEILFGTVEDQIGPSLCRKLHSFGWLVSQTAVVRNDIDSVAEEVERRQSTDDMVFIYGGVGPLHSDVTTAGVAKAFGVRLAPDEEFEEYLRHLIGDQCTGDRNEMALLPEGITELLHHEKLIVPLIKCKNVIILTATNALELDEEWNCLIELMTSDDVLLMMQSFVSKHLTTNLTDVEIARPLSKLCLEFPDLYIGCHRKSRKEPLVLYFKGKDQDRIESAVEALNKKFCPGAFVEINSS >ONH96827 pep chromosome:Prunus_persica_NCBIv2:G7:16411173:16416316:1 gene:PRUPE_7G154500 transcript:ONH96827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAYDSFELISDCPTKIEAIESYGPKLLLGCSDGSLKIYAPDSSSSDRSPPSDYHAHKLHQEPYALERNLSGFSKKPLVSMEVLESRELLLSLSESIAFHGLPNLGTIAVITKAKGANVYSWDDRRGFLCFARQKRVCIFRHDGGRGFVEVKEFGVPDVVKSMSWCGENICIGIRREYMILNSTNGALSEVFPSGRLAPPLVVSLPSGELLLGKDNIGVFVDQNGKLLQEGRVCWSEAPNVVVIQKPYAIALLPRYVEVRSLRAPYPLIQTVVLRNARRILQSNNSVIVALENAVYGLFPVPLGAQIVQLTASGDFEEALALCKLLPPEEASLRAAKEGSIHMRYAHHLFDNGAYEDAMEHFLASQVDITYVLSLYPSIVLPKTTMVLEPEKLMDISGDSSYLSRGSSGISDDMEPSTPFHLLESEESAALESKKMSHNTLMALIKFLQKKRYSIIEKATAEGTEEVVLDAVGNNFASYESNNRFKKLNKGRGSIPVTSGAREMAAILDTALLQALLLTGQASAALELLKGLNYCDVKICEDILQKNNHHAALLELYRCNSMHHEALKLLHQLVEDSKSNQVQTELIQKLKPESIVEYLKPLCGTDPMLVLEYSMLVLESCPTQTIELFLNGNIPADLVNSYLKQHAPNMQATYLELMLAMDENGISGNLQNEMVHIYLSEVLDWHADLSAQQKWDEQTYSSTRKKLLSALESISGYNPEALLRRLPTDALYEERAILLGKMNQHELALSLYVHKLHVPELALSFCDRVYESLVHQQSSRSSGNIYLTLLQIYLNPRRTTKNFEKRITNLVSPQNIGTPKVGSASTVKSKGGRGNKKIAAIEVADEIRVGQSSTESSRSDGDADESSEEGGSTIMLDEVLDLLSRKWDRINGAQALKLLPRETKLQNLLPFMGPLLRKSSEAYRNLSVIKSLRQSENLQVKDELYEQRKGVVKITSDSMCSLCRKKIGTSVFAVYPNGKTIVHFVCFRDSQSMKTVGRGSPSPLRKL >ONH98230 pep chromosome:Prunus_persica_NCBIv2:G7:20549211:20550340:1 gene:PRUPE_7G237200 transcript:ONH98230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAQPQKWVSLLILFLLHLLLTSHADVGSAAQYPPPYLPTACYGSDSSQFPSSNLFAAAGDGIWDNGASCGRQYLVRCISAARTGTCVPDKTIQIRIVDYASSVNSAPSAPATTMVLSQTAFGAIANSTAKSINIEFQQV >ONH94911 pep chromosome:Prunus_persica_NCBIv2:G7:7230087:7231223:-1 gene:PRUPE_7G039200 transcript:ONH94911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFFSAVSGMFMVVFAVTLLVLPLVLPPLPPPPLALLLVPVLILLLLFFMALSPSVVQLPDIDHLPPIV >ONH94860 pep chromosome:Prunus_persica_NCBIv2:G7:6605097:6606838:-1 gene:PRUPE_7G035900 transcript:ONH94860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVKIKRSKDAVKFKVRCSKYLYTLCVFDTEKADKLKQSLPPGLSVQDL >ONH96105 pep chromosome:Prunus_persica_NCBIv2:G7:13628560:13631543:1 gene:PRUPE_7G108000 transcript:ONH96105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENMPPLPVPIKLTSDIYNYQQWKYVSLSYFDYHNLSGIIHGTEPQPPLLQSTFSNWSGRHQKGLSWFNREQKALNWLKATLSESLQQIVMAGADSSRKVWLNLEDHFAHLSHARIYQLKSDLHKVKKDPTIPMVEYLEKIKQLATDLAAAGAPVESQDLLHVHILAGLPEQYNPVGTWIKHNTVSSWGDLCELLLKEEMRLDPQRTLRLRHASPPSPPQEEEYAIGIDLGTTYSRVAVWQKDHVEIIHNDHGNRKTASYVAFTETDETHLVGDAAFNQVVRNTANSIFDTKRLIGRRFSEASVQSDVKLWPFKVIEGPGDKPMIVVTHNGQEKQCSAEDITSMVLEKMRKISETYLGSTVKNAVITVPACFNDSQRRATKDAGISAGLNVLRIMNEPSAAAIDYGLNKKAGWSSPRNVMIFDLGGGTLDVSLLTISTSGDFQVKATAGDTHLGGQDFDSRLVNYCVEEFKRKHKLDVSGNKRALRRLKIECEKAKNRLSFESDFEVEIDCLCENTDFTIIFTRAIFEQLNWDLFIKCMEPVKKCITDANMDIRSVDDVVLAGGSTRIPMVQQLLQEFFKGKELYKGVNLDEAVAYGAAVQAATLTRNGKGEFIQDYTLKDVTPLTIGLEFADNKKFEKLIPRNSLIPVKKKIKCPIKDNQVSVDFRMYEGESSTPANLNFLGECSLRYIPPAPKLVHNFDVFFEIDPDGILSVFTEDKSSGQKNEIIINRDGPKNFEGIEREVMLF >ONH98291 pep chromosome:Prunus_persica_NCBIv2:G7:20729048:20736891:1 gene:PRUPE_7G240900 transcript:ONH98291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQRQLLKKLSFRPPNGVSQFNQRREMHSRNKKAMELIAKGWSALKEVDRVIDYCELNDSRLIPLLRAAKENFELALEADNSNTHARYWLSKLHLKYHVPGACRAIGAALLVEAANMGDSDAQYELGCKLRVENEHVQSDQQAFHYLEKAVDQLHPGALYLLGAVYLTGDCVKKDVASALWCFHRASDKGHAGAAIAFGSLLLQGVEIPESVTKFVSKRGSLARTARKNGESLVTDPIEMARERFHIAAKGGCDLGLKWLERLEEEEKHLLTGTEMHQRAPQFPTNIAGPFRKIFAEMQSPKLISTRMSPARPTRRAPSHAKQGSQNPVAMTMSWSDAVTESTQKPTRQPRPSYVPPHLRHSGSSYGYGSFTESAQYGFRSSYTGPGRQPGRAGTARGGRGRGRGGRLWAQPPPNPSPDLNPSDNVSEKFDQLKVTEEDSNGGINFDAYEDIPVEASGADIPPPVDTFHEIDLGECLNHNIKTRCKYVKPTPIQRHAIPVVMAGRDLMACAQTGSGKTAAFCLPIISGVLNNNSLGRSPTRGGAHTVCPTALILSPTRELAGQIHDEAKKFAYQSGVKIVVAYGGAPISQQFRNLERGVDILVATPGRLVDMIERSRVSLRMIKYLALDEADRMLDMGFEPQIRRIVEQMDMPCPGARQTLLFSATFPNEIQRLAADFLSNYIFLAVGRVGSSTDLIAQKIEFVEDMDKRSHLMDHLHSQQANGSHGKPALTLVFVETKRGADALENWLCMNGFPAIAIHGDKVQMERERALRSFKCGSAPILVATDVASRGLDIPHVAHVINFDLPKGIDDYVHRIGRTGRAGNSGLATAFFNDKNQPLAKGLIELMQESNQEVPSWLNEYAEGSQTYSSGGGGGRSQRSGGSRFGGYDFRRSAQSGRESYYGPSSYGYGDCSVGTSAASYNDATVPYGYGNYETIVADVEQSSLILYNSTQLVFIAAVI >ONH96306 pep chromosome:Prunus_persica_NCBIv2:G7:14361961:14363751:-1 gene:PRUPE_7G119800 transcript:ONH96306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWCSWNFLVYFLIFLLPALLFLLIRRRSKSGNHLLPPGPPGWPIFGNMFDLGTMPHRTLTELTPKFGPVIWLRLGARATMSVQSAKAASEFFKNHDLSFANRTTNEVCRVYDYHKGSLALAPYGSHWRRRLMTVDMVVNKRINETAFVRRKCFDNLQLWIEEEASKLKEGHGVHVARFVFLMTFNLLGNLMLSRDLVDPKSNEGLEFFKAMNGLMEWNGTANMADYFPWLRWLDPQGLKRKMKKDLGKAIQIASKFVKERMEARGMGREKTRDFLDLLLEFEGNGIDEPDKISEHDLNIFILEIFIAGSETTSSTTEWAMTELLCNPETLMKAKAELRAHSSDRKIEESDIDNLPYLQGIIKETLRLHPPIPFLLPRKAMDDTNFMGYFIPKDTQVFVNAYAIGRDPDVWVDEPNSFKPERFIGSKIDYKGQHYELIPFGAGRRMCAGVPLAHRMLHLTLGILLHQFDWSLDGNVTRDTMDWKDRLGISIRKSVPLLAVPKKCLV >ONH98839 pep chromosome:Prunus_persica_NCBIv2:G7:22078606:22079667:-1 gene:PRUPE_7G268200 transcript:ONH98839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLQSLVSPLKKLWDRLHSTHRKRRGIYILYKDVRSCPCEDVHVLWSILVESHTAPPPAAAALPSK >ONH98685 pep chromosome:Prunus_persica_NCBIv2:G7:21754131:21757783:1 gene:PRUPE_7G261900 transcript:ONH98685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRLADSMFLSLGPHQNPIRSQRIGTHYSSGICYLKWDSLPQKGIKRQRFLTRRNWTTVAKAVAIPVAPSSPDNAEYRKQLSESYGFKQIGEPLPDNVTLKHVIDTLPKKVFEIDDVKAWKSVLISATSYAFGLYMISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLIEDTAWQPIAKEEFDSSPLFRQAIMYGYGPFRPWMSIAHWLLVHFDLKTFRPSEVKRVKISLACVFAFMGIGWPLIVFKTGILGWVKFWLMPFLGYHFWMSTFTMVHHTAPHIPFKSADEWNAAQAQLNGTVHCDYPQWIETLCHDINVHIPHHISPRIPSYNLRAAHKSLQENWGKYLNEATWNWRLMKTILTVCHVYDKEQNYVAFDQLAPGESHPIKFLKKVMPDYA >ONH95616 pep chromosome:Prunus_persica_NCBIv2:G7:11600641:11603956:-1 gene:PRUPE_7G080700 transcript:ONH95616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLHSLLLYVVLLFGFALANTNALHCQKPSPPIDCPNNLNRAHFDSLVPHFIFGAASSAYQVEGAANEDGRGPSIWDFFTHNHPEKIDDQSNGDVAIDEYHRYKEDVGIMKNMSMEAYRFSISWSRVLPRGTGQPNPKGIKYYNNLINELLKKGMKPYVTLFHWDVPQALQEKYGGFLSPHIVDDFRAYAELCYKEFGDRVRHWMTVNEPYTVSNHGYTIGLHAPGRCSYKYDQTCLGGDSATEPYLVTHHQLLAHAAAVKLYKDKYQALQKGVIGITLNTHWFEPASKAKHDIDAAFRALDFMFGWYMDPLTNGDYPPSMRFLVGKRLPKFTNEESKLLKGSYDFIGVNYYSARYASAYPADYIIPKPPSYLTDAYVNVTTEFNGVPIGPRAASNWLYIYPKGLYDLVLYTKKMYNDPIMYITENGVDEFDNPKVPLQMALKDSNRIYYYYHHLCYLQAAIKEGANVQGYFAWSLLDNFEWSSGYTMRFGINYVDYANRLKRHPKDSTYWFQSFLKSTQI >ONH95615 pep chromosome:Prunus_persica_NCBIv2:G7:11600281:11603983:-1 gene:PRUPE_7G080700 transcript:ONH95615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQLHSLLLYVVLLFGFALANTNALHCQKPSPPIDCPNNLNRAHFDSLVPHFIFGAASSAYQVEGAANEDGRGPSIWDFFTHNHPEKIDDQSNGDVAIDEYHRYKEDVGIMKNMSMEAYRFSISWSRVLPRGTGQPNPKGIKYYNNLINELLKKGMKPYVTLFHWDVPQALQEKYGGFLSPHIVDDFRAYAELCYKEFGDRVRHWMTVNEPYTVSNHGYTIGLHAPGRCSYKYDQTCLGGDSATEPYLVTHHQLLAHAAAVKLYKDKYQALQKGVIGITLNTHWFEPASKAKHDIDAAFRALDFMFGWYMDPLTNGDYPPSMRFLVGKRLPKFTNEESKLLKGSYDFIGVNYYSARYASAYPADYIIPKPPSYLTDAYVNVTSVDEFDNPKVPLQMALKDSNRIYYYYHHLCYLQAAIKEGANVQGYFAWSLLDNFEWSSGYTMRFGINYVDYANRLKRHPKDSTYWFQSFLKSTQI >ONH97601 pep chromosome:Prunus_persica_NCBIv2:G7:18705358:18709788:1 gene:PRUPE_7G199900 transcript:ONH97601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHLHLHNTSDGVSQRVNSPRFSGPMTRRAHSFKRNPNTSANNGSSHGNSNSNNSSGSVGFGSGEYEIDLPLNSPRSEIGGNSVPGDGFDSVLERKQTHHVSQRVAVRGFLRKPIGSVVVDLGLREKKQLGHWMFFAFCGVCLFLGILKICATGWFGSAIESSRSNQDGSDPITLMNRMDQSSHDYGHRDGGSDVERTLMMASGVNRVVGEENSVEYTGIWSRPNSENFSQCIELPKIHKKLDAKTNGYLLINANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADDSGFKDLFDWQHFIETLKDDIHIVETLPPAYAGIEPFNKTPISWSKASYYKSEVLSLLKQHKVIYFTHTDSRISNNGIPSSIQRLRCRVNYRALKYSAPIEELGKTLVSRMRQNGGPYLALHLRYEKDMLAFTGCSHSLTAEEDDELRRMRYEVSHWKEKEINGTERRLLGGCPLTPRETSLLLRGLGFPSSTRIYLVAGEAYGNGSMQDLEDDFPHIFSHSTLATEEELSPYKNHQNMLAGIDYVVALQSDVFLYTYDGNMAKAVQGHRRFENFKKTINPDRMNFVKLVDEFDEGKISWKKFSSKVKRLHIDRVGAPYLREPGELPKLEESFYANPYPGCICDSRWKK >ONH94270 pep chromosome:Prunus_persica_NCBIv2:G7:903920:904486:-1 gene:PRUPE_7G006900 transcript:ONH94270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPSLFPNIFLGPILSYFILKIQNTQNPLSLIEKNAQNFQKQAKLSQHKLLKTKLYIPYSKNPSIINNRNSIKIFTQKKKKH >ONH96851 pep chromosome:Prunus_persica_NCBIv2:G7:16488703:16493492:-1 gene:PRUPE_7G156000 transcript:ONH96851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKISSCIAMAVAAAASVPALSNRAYADSPFRFNPFSSSSPSAAPTDQSSNAKSVPEAEEPRGSGMDPEALERAAKALRKINSSKYAKQVFDLMRKQEQTRLAESEAEKNHHQAIQAQSDIERQRKMAEEQRNIVQQQAQAKAQMLRYEDELARKRLQTDHEAQRQHNVELARMQEESSMRKERARQATEEQIQAQQRQTEKERAEIERETIRVKAIAEAEGRAHEAKLTEDHNRRMLIERMNGEKEKWLAAINTAFSHIEGGFRTLLTDRNKLVMTVGGATALAAGVYTTREGSRVIWGYINRILGQPSLIRESSIARFPGSEIISKAKNKVLNYSAGAAASGSLQGKNGLSNIVLHPSLQKRIELLARATANTKAHQAPFRNMMFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGPQAVTKIHQIFDWAKKSNKGLLLFIDEADAFLCERNSTHMSESQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAITDRIDEVIEFPIPGEEERFKLLKLYLNKYLCDEGESSKSGIFVKKKPQKIEIRDFSDDLIREAARKTEGFSGREIAKLMASVQAAVYGRPDCVLDSQLFKEIVEYKVAEHHQRIKLAAEGGHPA >ONH96852 pep chromosome:Prunus_persica_NCBIv2:G7:16488977:16492207:-1 gene:PRUPE_7G156000 transcript:ONH96852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQRNIVQQQAQAKAQMLRYEDELARKRLQTDHEAQRQHNVELARMQEESSMRKERARQATEEQIQAQQRQTEKERAEIERETIRVKAIAEAEGRAHEAKLTEDHNRRMLIERMNGEKEKWLAAINTAFSHIEGGFRTLLTDRNKLVMTVGGATALAAGVYTTREGSRVIWGYINRILGQPSLIRESSIARFPGSEIISKAKNKVLNYSAGAAASGSLQGKNGLSNIVLHPSLQKRIELLARATANTKAHQAPFRNMMFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGPQAVTKIHQIFDWAKKSNKGLLLFIDEADAFLCERNSTHMSESQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAITDRIDEVIEFPIPGEEERFKLLKLYLNKYLCDEGESSKSGIFVKKKPQKIEIRDFSDDLIREAARKTEGFSGREIAKLMASVQAAVYGRPDCVLDSQLFKEIVEYKVAEHHQRIKLAAEGGHPA >ONH94157 pep chromosome:Prunus_persica_NCBIv2:G7:206425:208570:1 gene:PRUPE_7G001400 transcript:ONH94157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNMVVNRGAEDQLIELPPGFRFHPTDEEIITSYLTEKVIDSSFVAIAIGEADLNKCEPWDLPKKAKMGEKEWYFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIFNNKGKAGCLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLEGKISQYTNLSKAAKDEWVVCRVFHKNMGLKKINNTPTRMNSFGDHDLLDYSSLPPLMDPTSFNDNLMNNNDNKACGYGDHDVKPGANLASTAPSLSSAKASSSDDHHAINNNNYLSYFSIGGSGGQVQKPNYSFQVQPTNSYYQATSLSNNPNMLYPHHHQFQNPNPNPNPSSFWFQPNPNNSDYFQQGMIRVTNNTTSDHDEDDQAMLRAIAAANNSNMNNETSSSAAAGFGSSGGLARQCKVEQFSSAPESMFSLSQDTGVSTDINTTEISSSLVSSKQELGSAADNCSSYDQRPSVVPISDIEGLWDF >ONH97167 pep chromosome:Prunus_persica_NCBIv2:G7:17386428:17388484:-1 gene:PRUPE_7G173500 transcript:ONH97167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAHYPVTIPVETTKHAKTSILKPPSSSESLSLSLPPPLKPRNLSIFSGFRRLGHNGKYFHSPSAQAEPQEPQVSTAADAITHFKHLLLPIVDGNPYLSEGTRQAIATAAALANKYGADITVVVIDEKEKESLPQHETQLSTIRWHLSEGGFKEYKLLERLGEGNKPTAIIGEVADDLNLDLVVISMEAIHSKHVDANLLAEFIPCPVILLPL >ONH97045 pep chromosome:Prunus_persica_NCBIv2:G7:17025990:17032897:-1 gene:PRUPE_7G166500 transcript:ONH97045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRNADTPKSAASKKTPPARKTAGKSQPAASQLDLEAAAPKTVETKRVSAADRAKQVKKTETTPPTASNSQKSSVEEAEASAGTAKVTPASKVGVVNKLVAKKSPGKANTPASAKSVSSHTRKAGGSVKAKVDALNKEKVADLKDAESTKKTPISENDGKSEKEESHVEFEACTVENVGEPSRKEESAVGGQEPAVEKVEQPTNKQSAKEVEPDPNENEEDPIEEEDPVEEEDPFEEEHPVEEVDPIKEDDPVEAEKSVVGEVGKSSENEPYYDVKEGVAVKGDQEEPMDNGDEEGTRVEAANKVENVKEGLQEEDIEGVKDVYDGDEQMDEYGEKVDLGEHGEEELPEDDAEDPAEETETLEDKQLTAIANERKMKKEREIFLGGLDRDAVEEDVRRVFERIGGIVEVRLHKNTSTNKNKGYAFVEFENKELARRALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQKLKDYGVEGVENINLVPDVQHYGLSRGFAFVEFSCHGDAMLAYKRLQQPDAIFGHLERTAKVAFAEPLREPDPEIMSQVKSVFVDGLPPRWDEDQVREQFKCYGEILRIVLARNMSTAKRKDFGFVDFSTHESAVACVDGINNIELADGNSKIKVKARLSNPLPKTQAVKGGMAGGFRIGGDGGGGSGIFPRFGRGFGRGGHHFNRANFQRDRHFYHGGQGQTGRMGFPNEYDFDYPYDEFHGRGGRRDPFMGGHYPSAGGSSSRPYIDGPWPGAPDRGYGMHIPPRRLPYSPEGHFGRPPMGGHFDRPPMGGHFDEPYFYDDNTQGIKRPFHMRDYDYDYLEPSRRRPRLDYTDPTASFRGNHYSDTYGAGSSLYSPDYYGPEYHSGPYSSYYGRNHSYRGGHYF >ONH97046 pep chromosome:Prunus_persica_NCBIv2:G7:17027797:17032897:-1 gene:PRUPE_7G166500 transcript:ONH97046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRNADTPKSAASKKTPPARKTAGKSQPAASQLDLEAAAPKTVETKRVSAADRAKQVKKTETTPPTASNSQKSSVEEAEASAGTAKVTPASKVGVVNKLVAKKSPGKANTPASAKSVSSHTRKAGGSVKAKVDALNKEKVADLKDAESTKKTPISENDGKSEKEESHVEFEACTVENVGEPSRKEESAVGGQEPAVEKVEQPTNKQSAKEVEPDPNENEEDPIEEEDPVEEEDPFEEEHPVEEVDPIKEDDPVEAEKSVVGEVGKSSENEPYYDVKEGVAVKGDQEEPMDNGDEEGTRVEAANKVENVKEGLQEEDIEGVKDVYDGDEQMDEYGEKVDLGEHGEEELPEDDAEDPAEETETLEDKQLTAIANERKMKKEREIFLGGLDRDAVEEDVRRVFERIGGIVEVRLHKNTSTNKNKGYAFVEFENKELARRALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQKLKDYGVEGVENINLVPDVQHYGLSRGFAFVEFSCHGDAMLAYKRLQQPDAIFGHLERTAKVAFAEPLREPDPEIMSQVKSVFVDGLPPRWDEDQVREQFKCYGEILRIVLARNMSTAKRKDFGFVDFSTHESAVACVDGINNIELADGNSKIKVKARLSNPLPKTQAVKGGMAGGFRIGGDGGGGSGIFPRFGRGFGRGGHHFNRANFQRDRHFYHGGQGQTGRMGFPNEYDFDYPYDEFHGRGGRRDPFMGGHYPSAGGSSSRPYIDGPWPGAPDRGYGMHIPPRRLPYSPEGHFGRPPMGGHFDRPPMGGHFDEPYFYDDNTQGIKRPFHMRDYDYDYLEPSRRRPRLDYTDPTASFRGNHYSDTYGAGSSLYSPDYYGPEYHSGPYSSYYGRNHSYRGGHYF >ONH96806 pep chromosome:Prunus_persica_NCBIv2:G7:16329366:16335875:1 gene:PRUPE_7G153400 transcript:ONH96806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNDASADSPTSVLEDEETCEEKIDVKLDKSEVKSEEEILPDEKNEDSLLIPKALTEVEEKLLEDRVKKEEAEKERAAEQLPNLNNTQITKLDELLTQTQLYSQFLLEKMDNITLIGAEQQTETVEEKKGRGRKRKATATYNNKKAKRAVQAMLTRSKEGEKTEDVDLTEEERVEKEQKELVPLLTGGQLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGNGLDGPYLVIAPLSTLSNWVNEFSRFTPSIKAIVYHGDKKQRDEIRRKHMPRAIGPNFPIIVTSYEVAMADARRFLRHYKWKYLVVDEGHRLKNSKCKLLQQLKFLPIENKILLTGTPLQNNLAELWSLLNFILPDIFSSHEEFESWFDLAGKCNGEAMMEELEEKRKAQMVAKLHAILRPFLLRRMKTDVEQMLPRKKEIILYASMTEHQKHLQEHLINKTLENYLLEKGDRVRGMKGKLNNLMVQLRKNCCHPDLLEAAFDGSYFYPPVEQMVEQCGKFSLLDRLLKRLFARKHKVIIFSQWTKILDIMDYYFGEIGFQVCRIDGSVKLEERKRQIAVFNDVDSNYRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLTTAQSVEGRILKRAFSKLKLEHVVIGKGQFHQDKAKPGCTDVLEEDDLIALLREEESAEDKMIQTMITDEDLERVLDRSDLVVTPDDHKEEKGDGIAGALPLKGPGWEVVLPTAGGGMLATLNS >ONH96805 pep chromosome:Prunus_persica_NCBIv2:G7:16329723:16335847:1 gene:PRUPE_7G153400 transcript:ONH96805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNDASADSPTSVLEDEETCEEKIDVKLDKSEVKSEEEILPDEKNEDSLLIPKALTEVEEKLLEDRVKKEEAEKERAAEQLPNLNNTQITKLDELLTQTQLYSQFLLEKMDNITLIGAEQQTETVEEKKGRGRKRKATATYNNKKAKRAVQAMLTRSKEGEKTEDVDLTEEERVEKEQKELVPLLTGGQLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGNGLDGPYLVIAPLSTLSNWVNEFSRFTPSIKAIVYHGDKKQRDEIRRKHMPRAIGPNFPIIVTSYEVAMADARRFLRHYKWKYLVVDEGHRLKNSKCKLLQQLKFLPIENKILLTGTPLQNNLAELWSLLNFILPDIFSSHEEFESWFDLAGKCNGEAMMEELEEKRKAQMVAKLHAILRPFLLRRMKTDVEQMLPRKKEIILYASMTEHQKHLQEHLINKTLENYLLEKGDRVRGMKGKLNNLMVQLRKNCCHPDLLEAAFDGSYFYPPVEQMVEQCGKFSLLDRLLKRLFARKHKVIIFSQWTKILDIMDYYFGEIGFQVCRIDGSVKLEERKRQIAVFNDVDSNYRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLTTAQSVEGRILKRAFSKLKLEHVVIGKGQFHQDKAKPGCTDVLEEDDLIALLREEESAEDKMIQTMITDEDLERVLDRSDLVVTPDDHKEEKGDGIAGALPLKGPGWEVVLPTAGGGMLATLNS >ONH95924 pep chromosome:Prunus_persica_NCBIv2:G7:12826465:12828528:1 gene:PRUPE_7G096400 transcript:ONH95924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAVEKPRDQVAILIEGKVQEMPSFWPNCCIYKVPQRFRRGNEEFYEPLVVSIGPYHFHQGSFPQMQIFKLKYLEAFLGRNHLSLDQCLGLVRTWEEIARRYYADPIDLSSDEFAEMMLVDAIFVLELLLRARFSEYVDDCDRIYGKLRMIQDVFHDVMLTENQIPFFVLQGLYDLVDASSKGNLKLVELTHVFFNYYATFGADHLHVPGVDHGVQHFVDFIRYYYLPPPPREDVYYEIFESPIPPSVTMLDDAGVKFAHTSKTFLLDIQFINRDLVIPNLTVDDWTETLFRNMLVFEQCHDHHTRYISQFIYFMGCMLRTSKDVDLLTEREIISSGLGSNDKLCALIDRIGQGVGFDAGTFYYRSLCTKLNAYCKVPWHKWKANLKRDYFNTPWKLASTIAAIILLVLTLIQTICSILSV >ONH96415 pep chromosome:Prunus_persica_NCBIv2:G7:14852861:14857673:1 gene:PRUPE_7G127800 transcript:ONH96415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPHPPSPILLLDDEELQEDQNAAPGFNDDGEDEDDEDEEEEEEEEEDEDLIDTDYAVYIASLQHSGVSHNGAGNSRETVASNEAEEWGRKRRRAGEGKTVSCDGIGSAESSQGSHWTRNEIDGLFCPICLEAWTNDGDHHICCLPCGHIYGMSCIRKWLQQRKNSGKCPQCNKKCALKDVRKLFASRIVSVDEESQKMIRSLEAKCDSLEKKGAGWCKKEAQWRKLEAELQHKVHKLTERTTYLERLLGDTHSRTSGQVNAHGAVEGRFASANTILDEKSYGWNFDSKLCGQAYSCNFILEKELQVDGARLFDVDASNQIVLISRRLAGIGGTQALTKLSLIPPYDRDDILLPRSIKVIRDLRICPTNSDLALFACLGKKLSVLSMESNNVILAYDLPAAAWTCSWDLNDLNYVYAGLQNGSLLVFDLRRTAGPVATIKGLTNNPIHTVHSLPNNSALPSGARTVLSASSIGLCLWNVDNAEEGPILVPQTENQGVCISLAYCSSSDNIVASYRPKVEMSDATIFSQPPLTPSRGGIGQGTVGSLVLLKRADSSNCFKRLGSACTHICDIGLPKSAIIDLGNHRTLFASEDKLSSKLVLEELPSFTTFQSLKLHKDPVRDLKYTPALKKGLLSCLSGDILQLFSTRIS >ONH94961 pep chromosome:Prunus_persica_NCBIv2:G7:7815036:7821101:-1 gene:PRUPE_7G042400 transcript:ONH94961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLSFRPLLSSLATDLNDVGLSPVNLVPSFGDSLVSFGLKKATTLRGKRLLLSGNKFKVNCSADREMDVSTSAVVDGVADCLNEIELKEPSISTILMNFENKFDPYNAMNTPLYQTATFKQPSATENGPYDYTRSGNPTRDALESLLAKLDKADRAFCFTSGMAALAAVAHLVGTGEEIVAGDDLYGGSDRLLSQVIPKTGVVVKRVNTSDLDEIASAIGPWTKLVWLESPTNPRQIISDIRKIAEMAHAHGAIVLVDNSIMSPVLSQPLDLGADIVMHSATKFIAGHSDVMAGVLAVKGDSLAKQLYFLQNAEGSGLAPFDCWICLRGIKTMALRVEKQQDNAQKIAEFLASHPRVTQVNYAGLADHPGRALHYSQAKGAGSVLSFLTGSLALSKHIVETTKYFSITVSFGSVKSLISLPCFMSHASIPSAVREARGLSEDLIRISVGIEDVNDLIADLDYALKTGPL >ONH94962 pep chromosome:Prunus_persica_NCBIv2:G7:7814718:7821290:-1 gene:PRUPE_7G042400 transcript:ONH94962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLSFRPLLSSLATDLNDVGLSPVNLVPSFGDSLVSFGLKKATTLRGKRLLLSGNKFKVNCSADREMDVSTSAVVDGVADCLNEIELKEPSISTILMNFENKFDPYNAMNTPLYQTATFKQPSATENGPYDYTRSGNPTRDALESLLAKLDKADRAFCFTSGMAALAAVAHLVGTGEEIVAGDDLYGGSDRLLSQVIPKTGVVVKRVNTSDLDEIASAIGPWTKLVWLESPTNPRQIISDIRKIAEMAHAHGAIVLVDNSIMSPVLSQPLDLGADIVMHSATKFIAGHSDVMAGVLAVKGDSLAKQLYFLQNAEGSGLAPFDCWICLRGIKTMALRVEKQQDNAQKIAEFLASHPRVTQVNYAGLADHPGRALHYSQEV >ONH94960 pep chromosome:Prunus_persica_NCBIv2:G7:7814718:7821290:-1 gene:PRUPE_7G042400 transcript:ONH94960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSLSFRPLLSSLATDLNDVPSATENGPYDYTRSGNPTRDALESLLAKLDKADRAFCFTSGMAALAAVAHLVGTGEEIVAGDDLYGGSDRLLSQVIPKTGVVVKRVNTSDLDEIASAIGPWTKLVWLESPTNPRQIISDIRKIAEMAHAHGAIVLVDNSIMSPVLSQPLDLGADIVMHSATKFIAGHSDVMAGVLAVKGDSLAKQLYFLQNAEGSGLAPFDCWICLRGIKTMALRVEKQQDNAQKIAEFLASHPRVTQVNYAGLADHPGRALHYSQAKGAGSVLSFLTGSLALSKHIVETTKYFSITVSFGSVKSLISLPCFMSHASIPSAVREARGLSEDLIRISVGIEDVNDLIADLDYALKTGPL >ONH96993 pep chromosome:Prunus_persica_NCBIv2:G7:16856556:16859383:-1 gene:PRUPE_7G163600 transcript:ONH96993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFSLGRGGNNNNQDQDHHNSNNPPPPDHQIAPETLFWYKNEDVAAQPYKGFELWQQQEQLLQLQTQPPRLFHHHPHHHQDLYASAAALGVGPSSRGSDDTSSSRSAAALMMMRSSSSGGAGGSGGGSASCQDCGNQAKKDCIHMRCRTCCKSRGFDCPTHVKSTWVPASKRRERQQQLMALQQQQQQQQQEHHQQRQQQQQQLQVIRGENSKRLRENPNSNSSLRILGNTSGLELGNFPAEVSSPAVFRCVRVSSIEDDDDQYAYQTAVNIGGHLFKGILYDQGPETSNYNMNMAAAAETSSGGGGVNIQPLNLIAGATTAANTATIGGGDDEGVAGASTTVIDPSSLYPAPLNTYMAGTQFFLPPRS >ONH96992 pep chromosome:Prunus_persica_NCBIv2:G7:16853454:16859379:-1 gene:PRUPE_7G163600 transcript:ONH96992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFSLGRGGNNNNQDQDHHNSNNPPPPDHQIAPETLFWYKNEDVAAQPYKGFELWQQQEQLLQLQTQPPRLFHHHPHHHQDLYASAAALGVGPSSRGSDDTSSSRSAAALMMMRSSSSGGAGGSGGGSASCQDCGNQAKKDCIHMRCRTCCKSRGFDCPTHVKSTWVPASKRRERQQQLMALQQQQQQQQQEHHQQRQQQQQQLQVIRGENSKRLRENPNSNSSLRILGNTSGLELGNFPAEVSSPAVFRCVRVSSIEDDDDQYAYQTAVNIGGHLFKGILYDQGPETSNYNMNMAAAAETSSGGGGVNIQPLNLIAGATTAANTATIGGGDDEGVAGASTTVIDPSSLYPAPLNTYMAEGLFFRHVYGWEYKAIVLLQWRHVMQLFFCGFFP >ONH96994 pep chromosome:Prunus_persica_NCBIv2:G7:16856496:16859419:-1 gene:PRUPE_7G163600 transcript:ONH96994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFSLGRGGNNNNQDQDHHNSNNPPPPDHQIAPETLFWYKNEDVAAQPYKGFELWQQQEQLLQLQTQPPRLFHHHPHHHQDLYASAAALGVGPSSRGSDDTSSSRSAAALMMMRSSSSGGAGGSGGGSASCQDCGNQAKKDCIHMRCRTCCKSRGFDCPTHVKSTWVPASKRRERQQQLMALQQQQQQQQQEHHQQRQQQQQQLQVIRGENSKRLRENPNSNSSLRILGNTSGLELGNFPAEVSSPAVFRCVRVSSIEDDDDQYAYQTAVNIGGHLFKGILYDQGPETSNYNMNMAAAAETSSGGGGVNIQPLNLIAGATTAANTATIGGGDDEGVAGASTTVIDPSSLYPAPLNTYMAGTQFFLPPRS >ONH96150 pep chromosome:Prunus_persica_NCBIv2:G7:13778401:13780562:1 gene:PRUPE_7G110000 transcript:ONH96150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRVQNRSCSLRYPDHQIERFIIHIQIQIQNRVAAKIGYCGGQGCSLLDGLRFFGLPNSSCGGLEPRDPKQKTGFRYGPYGSKQIYLFETDDDGKRKEKEVYNHGHSHTTSDEGEFGGIIKGDCCYGELHQGKPEPLVVEVSGKLYVLSGGPRCFSKSPVFEEFNSGTWTTLPDPPYIRPCPRAFRYFSYAIVGTKIMVSTPITPAFCFYVAAPNPRQWWVCSAEPFPFIGLALVVDLEEEGSDLKCNKIMFGYERIPGYGHGYDVVAYAMFHDDENGYCYYHRIQPLHLLTEFHALLGRSHDTFRFVHLGGRKVCFVIASFIPGLEADDDHPIPTTEKMNLVVVTFEFSISENNDGSTRSFSTKTLGSCVFPFDWASESGRTFDGKLLGCFVR >ONH96593 pep chromosome:Prunus_persica_NCBIv2:G7:15530637:15533733:-1 gene:PRUPE_7G139100 transcript:ONH96593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVTLVVGSALGAAFEILFSAVLKAKPTAKMFQTHLRNLNITLDSLQPLIRQIAQSANHFVSLECLENFTTKMEEGKKLVEECCDVGMWNCIKQREYKDKILALDGSLQRLLSILTVQLVSHQVMLLNRIDGNVVAQNQNQFGVRCAVPPLPLVTVGLKEPLDDLKMKLLKDGPVSIFVLTAPGGCGKTTLATMFCHDQEVKDKFKENIFFATVSNKLSHLIVQELWEHTGMQAPALPNEEIAFKWLQKFVTERGQNPLLLVLDDVQSGSESLLDKFNEFKMPSYKVLVTSRYQFPKFGRPNPLKTLKDEDAMDLFRRSAFLPNTSSNIPDDIQNQIVELCKRFPLAITAIGDSLCNRPIEIWRKRLLELSKGSSILESDRKLLVYLKSCLDDLDKGMTTVKDCFIDLGVFPQDQIIPVTALLDMWAESYEGAEDFMSIANLYELTTRNLATLVVTRNEDADGYYSEHFAIQHGMLRSLSIHESHQDPIGQRLIIDIRGDKLPTWWKENKHKTKKARLVSISTDGLHSPKWHNMHLPKAEVLVLNFQTANYVLPKFVKRMSKLKVLIVTNYGFLQADLSNFKLLGFLPALKRIRLERISIPSISKSAMQLKCLQNISLFMCSIGQAFSNCPSQILEAFPNLVELNIDYCNDLVELPAKLCELFHLKKLSITNCHKLSALPEEIGKLVNLEVLRLRSSTELERLPGSIKNLKKLSFLDIFNCLSIKKLPEEIGEMSGLRKINMGQCSRLQELPQSVLKLKELREVICDEETENLWGEPFKSSLININITVAKEQHNLNWLYYKP >ONH97933 pep chromosome:Prunus_persica_NCBIv2:G7:19703595:19706634:-1 gene:PRUPE_7G219400 transcript:ONH97933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPTPTSSLHTHLCFLLFLLLLLSSHANSQSVQDQEQAVLLKLKSYLQSPPFLSHWIPPTSNTSHCSWQPEITCTNNSVTGLSLVNANIALPVPPFICDLKNLTLIDLSHNNFAGEFPKAFYNCSKLQYLNLSQNSFGGKIPKDIDSLPGLQYIDLSVNDFSGDIPAAIGRLQELRNLQLSINNFNGSVPPEIGNLSNLKHLSLSYNTELVPWNLPSNFTQLKKLKTLYISESNLMGELPGTLGKMAALEELDLLGNSLSGDILQVVEASNLQVIDLSENHLTGPIPDDYGKLTNLTWLALSYNVLSGEVPASISRLPNLKQFSVFSNNLSGILPPDFGRYSELEGFEVSGNRLTGKLPDHLCYWDKLSTLVAYENNLTGELPSSLGNCTSLTEVRVHDNGLSGNIPSGMWTAPKLSLVLMSNNSLTGELPEKMSRNLTWLEIRENRFSGNIPTGVSSWNLEVFDAGNNLFNGTIPQELTALPSLITLSLDQNQLTGFLPSEIISWKSLDTLNFSRNKLSGPIPAGLGFLPVLTALDLSENQLSGQIPAQLGHLTLSNFNLSSNHLSGKIPIEFENPAYDVSFLDNQGLCATNPSAKLSICNSQPLKSSKISSTYRALILTFGILLSLWALSLSFFMVKAYWKRNRSDSDWKLTAFQRLNFRVSKILRIDRNGDSGKVYCVPVNRTGDVVAVKKIWKDKKLEEKLEKEFLAEVKILSSIRHANIVKLMCCISKDNSKLLVYEYSENRSLDQWLHKRNRPSNLTSSVHHVVLDWPKRLQIAVGAARGLCYMHHDCVPPVVHRDMKSSNILLDSDFNAKIADFGLAKILVKHGELVTLSAVAGSFGYIAPEYAHTTRVNEKIDVYSYGVILLELTTGREANDGDEHTSLAEWARHHFQENYPLVDALDQDIKEPCYLDEMCSVFKLGIYCTETLPSARPSMKEVLHILLRCSTKVTPPLRRFRDASNLIP >ONH96330 pep chromosome:Prunus_persica_NCBIv2:G7:14436305:14437630:1 gene:PRUPE_7G121100 transcript:ONH96330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKHQAMMSESSQGLVPGLDRNHSSYGCSNQDKKKRLSTDQLDSLERSFQEEIKLDPDRKMKLSRELGLQPRQIAVWFQNRRARWKAKQLERLYDALKQEYDVVSKEKQKLQEEVMKLKTILRDEVARKQVSTGYTEISGEETVESTSVAIRSSNKGGGTSQHQVAECNYLFNVEEYNPVSPPFWGALPSYP >ONH95004 pep chromosome:Prunus_persica_NCBIv2:G7:8188995:8191079:1 gene:PRUPE_7G045900 transcript:ONH95004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMYEPVDSAEKRLRKFREVAAAAEEARSSRYQNINAQPKIQRVPVMFREDHKYQRYYEPRVTAIGPFHHDKFQWCQQGEKLKLQLAVNFVRDSKQNEADLLKKVDVNIKELRECYAQEPTGRYVDDDLALMLFVDGCSTLEFIYKYDDLECFEIKSDQVALAEQDIFLLENQLPYQLLKLLMSSSSIHEDLEDSIERFVLMHSTFAPYQPQRVAGAARREDVRISTEPEPTHLLELLRTRILGYPPKKSEPSVNIRPQSFHNVQELQAAGIQFRPVRGPSVLGDIYFKSFLWLGFLYLPKIKVDDSMAHKFMNLIAYEMCPDFQNDFGVTSYIGFLDSLINYADDVKHLRKNHILRNLLGSDEEVARLFNEIGTDLVPNNAIYRNVKRMIEDHYQTRWKKWMAQFFYDHFSKPWILAFIGVLSGLGLSAVQTWYSANSDKSSTPCKALLEYLKARGY >ONH95005 pep chromosome:Prunus_persica_NCBIv2:G7:8188995:8191079:1 gene:PRUPE_7G045900 transcript:ONH95005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMYEPVDSAEKRLRKFREVAAAAEEARSSRYQNINAQPKIQRVPVMFREDHKYQRYYEPRVTAIGPFHHDKFQWCQQGEKLKLQLAVNFVRDSKQNEADLLKKVDVNIKELRECYAQEPTGRYVDDDLALMLFVDGCSTLEFIYKYDDLECFEIKSDQVALAEQDIFLLENQLPYQLLKLLMSSSSIHEDLEDSIERFVLMHSTFAPYQPQRVAGAARREDVRISTEPEPTHLLELLRTRILGYPPKKSEPSVNIRPQSFHNVQELQAAGIQFRPVRGPSVLGDIYFKSFLWLGFLYLPKIKVDDSMAHKFMNLIAYEMCPDFQNDFGVTSYIGFLDSLINYADDVKHLRKNHILRNLLGSDEEVARLFNEIGTDLVPNNAIYRNVKRMIEDHYQTRWKKWMAQFFYDHFSKPWILAFIGVLSGLGLSAVQTWYSANSDKSSTPCKALLEYLKARGY >ONH94441 pep chromosome:Prunus_persica_NCBIv2:G7:2475661:2476685:-1 gene:PRUPE_7G016900 transcript:ONH94441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFILVCFFYFVFLAVGPDLLNLFSPTPLQPFHLSLPLLLSSTHSPSAHFSFPPTNSLTLNPFLFPSIGLVVSCLLLLFISYNPSMSKLLLWRSGLCSLMVNLDQSMDHLSLMHGGLDLKLNGRRPKA >ONH96831 pep chromosome:Prunus_persica_NCBIv2:G7:16419863:16424315:-1 gene:PRUPE_7G154800 transcript:ONH96831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSFSSSSSAGATSSLKDKKWDALVIGGGHNGLTAAAYLARGGLSVAVLERRHVIGGAAVTEELVPGFKFSRCSYLQSLLRPSIIKELELARHGMKLLKRSPSSFTPCLDGKYLLLGPNKDLNHSEISKFSKQDADAYPRYENQLQKFCEFMDPLLDSAPPESLQCESSCSVGDRIKNKMHNSMFWTRCLRQAATLGQKDMVDFMDLLLSPASKVLNNWFESDVLKATLATDAVIGTTRSVHTPGSGYVLLHHVMGETDGERGIWSYVEGGMGSVSLAIGNAAKEAGAHIVTCAEVQQLLINDSGTVNGVLLADGSQVHTSMVLSNATPYKTFKELVPDNVLPDDFINAIKYSDYSSGTTKINLAVDKLPQFKCCKLSHPDASPQHVGTIHIGSESMEEIHSACQDAVNGLPSQRPVIEMTIPSVLDNTISPPGKHVINLFIQYTPYSPSDGHWGDPVYRESFAQKCFTLIDEYAPGFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYRTPLHGLYLCGSGTHPGGGVMGAPGRNAARVALEDVNKPLK >ONH96830 pep chromosome:Prunus_persica_NCBIv2:G7:16419322:16424375:-1 gene:PRUPE_7G154800 transcript:ONH96830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRSFSSSSSAGATSSLKDKKWDALVIGGGHNGLTAAAYLARGGLSVAVLERRHVIGGAAVTEELVPGFKFSRCSYLQSLLRPSIIKELELARHGMKLLKRSPSSFTPCLDGKYLLLGPNKDLNHSEISKFSKQDADAYPSVGDRIKNKMHNSMFWTRCLRQAATLGQKDMVDFMDLLLSPASKVLNNWFESDVLKATLATDAVIGTTRSVHTPGSGYVLLHHVMGETDGERGIWSYVEGGMGSVSLAIGNAAKEAGAHIVTCAEVQQLLINDSGTVNGVLLADGSQVHTSMVLSNATPYKTFKELVPDNVLPDDFINAIKYSDYSSGTTKINLAVDKLPQFKCCKLSHPDASPQHVGTIHIGSESMEEIHSACQDAVNGLPSQRPVIEMTIPSVLDNTISPPGKHVINLFIQYTPYSPSDGHWGDPVYRESFAQKCFTLIDEYAPGFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYRTPLHGLYLCGSGTHPGGGVMGAPGRNAARVALEDVNKPLK >ONH96305 pep chromosome:Prunus_persica_NCBIv2:G7:14356035:14359542:1 gene:PRUPE_7G119700 transcript:ONH96305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEMVSESWFGSLRFSWNRVVEVEKPVVGILAFEVAGLMLKMVNLWNIVGEKEMLRLREEIVNSPGMRRLVADDDGYLMELALNEIIENLGYLAMSVVRLGKRCTDPVYHRFEDFFDDPLENGFQWLGWEYRWKKMERKVKKMERFVEATMQLSQELEVLTELEQTLRRMRANPQLNRVKLLEFQQKVMWQRQVVKNLQEMSPWSRTYDYTVRLLARSLFTILERIKLVFGYDQMGSGEGNNNSEITNSACLSRSHSFSVLMHSSVHPSDGNHCGFYSGPLGRSLTKPRLIASSKNKTNKQRQAHHQSSIQHGNYSQLKAKSFAHVGPFKGCMTGGSESPVFQSCKPEIGGSMRLRSTHMKLCEKYTHMGSQSFSHSIYSKLSLFSSRCTLLAASPSTLGDAALALHYANVIVLIENIASSPHLISLDARYDLYNMLTTTIRTTLRARLKSYARTMGTSVYDPALAGEWSLALEQILEWLAPLAHNMVRWHSERNFVKQQEVSKTNVLLVQTLHFANQAKTEAAIVELLIGLNYMCMIDEHNRKALRDAGGDRPYDDYMLKGDGIA >ONH96304 pep chromosome:Prunus_persica_NCBIv2:G7:14356035:14359505:1 gene:PRUPE_7G119700 transcript:ONH96304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEMVSESWFGSLRFSWNRVVEVEKPVVGILAFEVAGLMLKMVNLWNIVGEKEMLRLREEIVNSPGMRRLVADDDGYLMELALNEIIENLGYLAMSVVRLGKRCTDPVYHRFEDFFDDPLENGFQWLGWEYRWKKMERKVKKMERFVEATMQLSQELEVLTELEQTLRRMRANPQLNRVKLLEFQQKVMWQRQVVKNLQEMSPWSRTYDYTVRLLARSLFTILERIKLVFGYDQMGSGEGNNNSEITNSACLSRSHSFSVLMHSSVHPSDGNHCGFYSGPLGRSLTKPRLIASSKNKTNKQRQAHHQSSIQHGNYSQLKAKSFAHVGPFKGCMTGGSESPVFQSCKPEIGGSMRLRSTHMKLCEKYTHMGSQSFSHSIYSKLSLFSSRCTLLAASPSTLGDAALALHYANVIVLIENIASSPHLISLDARYDLYNMLTTTIRTTLRARLKSYARTMGTSVYDPALAGEWSLALEQILEWLAPLAHNMVRWHSERNFVKQQEVSKTNVLLVQTLHFANQAKTEAAIVELLIGLNYMCMIDEHNRKALRDAGGDRPYDDYMLKGDGIA >ONH96936 pep chromosome:Prunus_persica_NCBIv2:G7:16687928:16688466:1 gene:PRUPE_7G160600 transcript:ONH96936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGVSSGSSMLQNSGSDEDLHQVMDQRKRKRMLSNRESARRSRMRKQEHLTDLTAQIGLLKKENHQILTSMNVTNQLYMNLEAENSVLRAQMDELSNRLQSLNDIIDCINSSKWLFEAEEEDTQIIGGDGFLNPWSTLCLNQPIMASADMFMC >ONH95754 pep chromosome:Prunus_persica_NCBIv2:G7:12275777:12279195:1 gene:PRUPE_7G089200 transcript:ONH95754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIDEASTGEALSHSRKQYELAMNGQWESLKRFYKGREFEVLGQMTTKNDTVLHVAGLAGRKDVLVFLISLIEEDRDALIVENNRGNTPLHEVAASGNFDSAKLLVEYNGALVEIRNHLGETPLYRAAAFGHTDLVQYLATQVDGDIKQHFHRNDKVSILHMAVLGQHFETAHWLVTEYPYLANGKEENGLTSLQLLAQMPSAFKLKFRESIWIKLHRHICLCNDDNIDRDPANQNDDLESGFNHPSQSTFSNDDLESGSTRTIRRTTIRWAPICIMGEEMRNQNVLLELTELLVRKDYSWAKIEPTEVVNSFSLVSKPNSPQKKEDAETKSTYEYIPLFIATRTGISQIVEKILELHPQAVEAHDIKHQQNILHMAIKYRRLAIFNIVKKNKFITSRLADVIDNDGNTILHHAADMSYYTDMSFYSVDAKATYGPAFQLQEELHWMARVQKIIPVNYAMHRNKEGVTANELFTRQHAELLQSAKVWMKETAQSGSVVAALVATVAYAAVYTVPGGTNQNGLPNLRHSPFFKAFTISNTVSLVFSLTSLGTFLNITRSPFEYKNFYHSLPFKLNLGFLLLFCSLLVSMLTFAATIVLLIHHQKIWSISLIYVVVAILPFSMFGLNHNRFYDVFFKGLKDIKKKLRCCKRMYVRIRMQL >ONH97826 pep chromosome:Prunus_persica_NCBIv2:G7:19377067:19378708:1 gene:PRUPE_7G212700 transcript:ONH97826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGELRHTESSAEVEGEAWVWAQIKAEARRDAEAEPALASYLYSTILSHSSLKRSLSFHLGNKLCSSTLLSTLLYDLFLNTFSSDPSLLAAAVADLRAAHERDPACVSFSHCLLNYKGFLACQAHRVAHKLWIQSRRPLALALHSRIADVFAVDIHPAARIGKGVLFDHATGVVVGETAVIGNNVSILHHVTLGGTGKAGGDRHPKIGDGVLIGASATILGNVKIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKERPSKLEDVPGESMDHTSFISEWSDYII >ONH95913 pep chromosome:Prunus_persica_NCBIv2:G7:12790280:12792713:1 gene:PRUPE_7G095600 transcript:ONH95913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMAGFPPNLNDGERWLPSDIFLNEVANSTSKLNPHHFLSMDEVAQHLAALSFLRQRQCLSKSLLHIPMEPFRPPVQYNQLGSLPQRSLNLSLSHGLEEKGASFHGYGAELHYHNQFLKPAQLQVCSFLETRKRVLNRPQNRLWTNQGSGIGSMGGFERVSGGTGVFHPRVLNTTTTTRNPQVKKKQGLRNIQQIKAIQQRKPIESVGMGRGDCYNQLRSDVGLPQEWTY >ONH95689 pep chromosome:Prunus_persica_NCBIv2:G7:12023177:12025520:1 gene:PRUPE_7G085200 transcript:ONH95689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSNPNFNSHISGKQSIQNQKALAAEQFPWWFSPETGIYQSKHLSIDLPSDPFLDVVSFIFSYQHDGVSSALIGSSCGSAISYSQLYSSVKSMASGLQQMGISQGDVILLLLPNSIYYPIAFLGVLYLGAIVTTMNPLSSVAEIKKQIADTKACHAFTGPENADKLQALGIPAILVPENALSGLKEDIFSVFYKLIYSRFDLAPRPVIKQQDTAAIMYSSGTTGVSKGVMLTHRNCIATIAHFVRFEASQYEGSSLDNVYLAVLPLFHIYGLSLFVMGLLSLGSIVVVMKRFDVNEVIRAIDRYKVTHFPVVPPVLTALTTRAKDGLAPHSLKSLKQVSCGAAQLSMKTIEDFVQTLPDIDLIQGYGMTETTAVGTRGFNTEKLRKPSSIGLLAPNMQAKVVDWDTGSPLPPTSTGELWLHGPGIMKGYLNNANATLSTIDEEGWLHTGDIVFFDEDGYLHLQDRLKDAIKYKGFQIAPADLEGVLINHPEILDVAVAGAMDEESGEVPVAFVVRKHISELNHDAVMDFVAAQVAPYKKVRKVVFVDSIPKSPAGKILRRELRKSLNSRL >ONH95729 pep chromosome:Prunus_persica_NCBIv2:G7:12184403:12185442:1 gene:PRUPE_7G087900 transcript:ONH95729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALKEEQCEREVPVDVDVDDDDDFGGLACLPLYDLESETTTFDPSNLFDDVKLDDRNLAILQKPKKPPCYGNFGKGKDLETLRLMLSAPPPPSCQQNPTGPTKSDAHHQRQDWDDEEKLENSKRKLHQGYQEFQNKRKKIQFLDVKNLPLPSKPQRGRVLSENKPLISSRKCPEPSDFSESQQNPKGLTKSTDHDVHHRQDWDDEEKLETSVRKLHQGYQEFQNKRKKIQVLDIKSLPLPSKPGRGRVLTKNKFLTSSRKCRAF >ONH97924 pep chromosome:Prunus_persica_NCBIv2:G7:19664379:19666516:-1 gene:PRUPE_7G218600 transcript:ONH97924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNPNPSAASSTAAKKTEHRQQSQLFLDFLFLPNTAIKHETHPAKHQHQQHQQQHQPPPPQHFTDSITLPDIISETKSLFQLAFPILLTALILYSRSVVSMLFLGRLGDLELAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGAQRPKLLSLTLHRSVIFLVVSSLPISLLWLSMSSILLYLRQDPDITAMAHTYLIFSLPDLLTNSLIHPIRIYLRAQGITHPLTLASLAGALFHLPMNLLLVTRLRLGVAGVAAASAASNSFVLLSLVSYVWAKGIHEPTWTAPSRECLTGWKPLLRLAAPSCVSVCLEWWWYEIMIVLCGLLVDPRATVASMGVLIQTTSLIYVFPSSLSFAVSTRVGNELGAKRPHKAKLSSAVAVMLAFLMGLSATTFASGMRGSWGRIFTSDAEIVRLTSAALPILGLCELGNCPQTVGCGVLRGSARPSTAANVNLSAFYLVGMPVAIGLGFWVGVGFCGLWIGLLSAQVCCAGLMLYVVGTTDWDLQAKRAQSLTCAGCEVVVPDFKGVEEEQQPLIIITVPSSR >ONH97763 pep chromosome:Prunus_persica_NCBIv2:G7:19122744:19127021:-1 gene:PRUPE_7G208300 transcript:ONH97763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNSPRDKFPWQKQNELVQEEKEEVEEEDEEEEVFEPKISKQEEGQKCYGVRVAEPVNQKVSFSLPNRIVYAPWAHGSKRITPQVDSEPETSQHSGAQGKNLDGFAGHSEIDTTSGAVKNEKSFERRFDSNRKLERERVGEIGIISIGVSKKEEKMISKGLNGISLNETLSGDGENDEKVENFVYSGSGSIRLPWKRESELSSEEGDKTRKRRSNTELAERMLPDHELRRLRNVSLRMLERIKVGVTGITQALVNTIHEKWKIDEVVKLKFEEPFSLNMKRTHEILESKTGGLVIWRSGSSVVLYRGMTYNLPCVQTYAKHSQTNSHMLQHSENATSDSMHNVGVKDVSRTTDFPSLESAEYLKDLSQRELMALNDLNHLLDELGPRFKDWIGREPLPVDADLLPSVVRGYKTPFRLLPYGFRPCLRDKDMTKYRRLARTVPPHFALGRNRELQGLANAMMKLWEKSAIAKIAIKRGVQNTCNERMAEELKRLTGGTLLSRNKDFIVFYRGNDYLPSVVTGVLEERRKLRDLQQDEEEQARQMASDYVVSNSEASKGQFVAGTLAETMAATTHWRNQLTIDKVEKMRRDSTFARHASLVRHLEKKLALGKGKLRKAEKALARVQESLEPSDLPDDLETLTDEDRFLFRKIGLSMKPFLLLGRREVYSGTIENMHLHWKHKELVKIIVRGKSFEQVKHIAISLEAESGGVLVSLDKTTKGYAIILYRGKNYQCPLPLRPRNLLTRRQALARSVELQRREALKHHISDLQEKVGLLKSELEEMGNGRMVDDGRTLHSTGDDPLIPSDDSEEDEGEEAYLEVYDSGNEDNNNEHEIVGSV >ONH97762 pep chromosome:Prunus_persica_NCBIv2:G7:19122744:19127022:-1 gene:PRUPE_7G208300 transcript:ONH97762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNSPRDKFPWQKQNELVQEEKEEVEEEDEEEEVFEPKISKQEEGQKCYGVRVAEPVNQKVSFSLPNRIVYAPWAHGSKRITPQVDSEPETSQHSGAQGKNLDGFAGHSEIDTTSGAVKNEKSFERRFDSNRKLERERVGEIGIISIGVSKKEEKMISKGLNGISLNETLSGDGENDEKVENFVYSGSGSIRLPWKRESELSSEEGDKTRKRRSNTELAERMLPDHELRRLRNVSLRMLERIKVGVTGITQALVNTIHEKWKIDEVVKLKFEEPFSLNMKRTHEILESKTGGLVIWRSGSSVVLYRGMTYNLPCVQTYAKHSQTNSHMLQHSENATSDSMHNVGVKDVSRTTDFPSLESAEYLKDLSQRELMALNDLNHLLDELGPRFKDWIGREPLPVDADLLPSVVRGYKTPFRLLPYGFRPCLRDKDMTKYRRLARTVPPHFALGRNRELQGLANAMMKLWEKSAIAKIAIKRGVQNTCNERMAEELKRLTGGTLLSRNKDFIVFYRGNDYLPSVVTGVLEERRKLRDLQQDEEEQARQMASDYVVSNSEASKGQFVAGTLAETMAATTHWRNQLTIDKVEKMRRDSTFARHASLVRHLEKKLALLRKAEKALARVQESLEPSDLPDDLETLTDEDRFLFRKIGLSMKPFLLLGRREVYSGTIENMHLHWKHKELVKIIVRGKSFEQVKHIAISLEAESGGVLVSLDKTTKGYAIILYRGKNYQCPLPLRPRNLLTRRQALARSVELQRREALKHHISDLQEKVGLLKSELEEMGNGRMVDDGRTLHSTGDDPLIPSDDSEEDEGEEAYLEVYDSGNEDNNNEHEIVGSV >ONH97085 pep chromosome:Prunus_persica_NCBIv2:G7:17182495:17184865:1 gene:PRUPE_7G169000 transcript:ONH97085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNSCLPQTPNHTELENPESRANPLPPFTAPHPVDISTVPAPGASSSYAFAAGPSNTEGEDLDETPSMVAADYGGIILEEGQQPNFHMFKEKDL >ONH98556 pep chromosome:Prunus_persica_NCBIv2:G7:21388887:21389225:1 gene:PRUPE_7G254100 transcript:ONH98556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGVAGMMFQCVFEGSLSMRDTEIERRPYHKNCSCALHKSKWGACSNACQRNISFPKKHSWSDGSLCMQATASSKFSSPLIDNISPSTPTSTGNRGSVSGVQNPASSHRQ >ONH95321 pep chromosome:Prunus_persica_NCBIv2:G7:10251448:10254310:-1 gene:PRUPE_7G063900 transcript:ONH95321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQEIDLIPFFFIILSFVSCSLQDIPLNKYVEEASQFGSQAYPSYFDMIDDGGNFSKDLIKQSSDVLSLKSFDKVDGTSASVNVNDFGAEGDGETDDTEAFAKAWKVACSSNDEAVLVVPEKIYHLKPIRFSGPCKSEVTVQILGTLKASDNRPDFSQDGRHWLVFDTVRNLLVEGGGVINGNGEQWWQNSCKINKSKPCKDAPTALIFQKCKNLRVNNLNIQDAQQIHVSFQKCMNVQASNLSIIAPEKSPNTDGIHVTDTQNILITNSVIATGDDCLSIVNGCEKVQATNITCGPGHGISIGSLGSGNSKAYVSEITVTGAILSGTTNGVRIKTWQGGSGSASNITFENIEMHNVSNPIIIDQNYCDNRKKPCKEQRSAVQVENVVYKNISGTSASDVAIKFDCSKSFPCKGIVLQGINLQREGGKAAKASCNHVNVNSIGDVSPLCHYS >ONH97386 pep chromosome:Prunus_persica_NCBIv2:G7:18058843:18062738:1 gene:PRUPE_7G187000 transcript:ONH97386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRRPPNPSSPGEPAKSQKQNLKASDALPLPLYLTNGLFFTLFFTVAYFLLHRWREKIRTSTPLHVLTLSEIAALFSLFASVIYLLGFFGIDFVQSFVSPNNARAPHDPWNDVVAVEQQPKNDVVRVAPLSAAGGGESSEEDEDIIKSVMSGTTPSYSLEASLGDCRRAAAIRREALQRTTCRSLEGLPLEGFDYESILGQCCEMPVGYVQIPVGVAGPLLLDGEEFTVPMATTEGCLVASTNRGCKAIHVSGGAQSVVLKDGMTRAPVVRFSSAARAAELKFFVEDPLNFDSLAVVFNRSSRFARLQRIQCAIAGKNLYMRFTCSTGDAMGMNMVSKGVQNVLDFLQSDFPDMDIVGISGNFCSDKKPAAVNWIEGRGKSVVCEAVIREEVVRKVLKTNVAALVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYLATGQDPAQNVESSHCITMMEAVNNGKDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKDSPGSNSRKLATIVAGSVLAGELSLMSALAAGQLVKSHMKYNRSSRDVSKLACPS >ONH95371 pep chromosome:Prunus_persica_NCBIv2:G7:10488091:10489358:1 gene:PRUPE_7G066700 transcript:ONH95371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCRKSPLKPWKKGPTRGKGGPQNASCEYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDDAARRLYGPDAFLNLPHLQANSNPALKSQKFKWFPSQNFISMFPSCGLLNINAQPSVHVIHQRLQELKQNGVLGQTTPSSSSSSCDSKPEVRTISDKTQMGNVAEKEKDVEISSEKIIEDNREKPQIDLNEFLQQLGVLKKERQSEAIDTTGNFGVPESSVTELNDEFGPFADKNFNWDALIEMHGISDQGADAGSFQVYDVNEELPFPTSIWDF >ONH97549 pep chromosome:Prunus_persica_NCBIv2:G7:18544079:18548423:-1 gene:PRUPE_7G196200 transcript:ONH97549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFYGLKGKHLSLITIVLMCTTILIWGWEKTPLLTNLVPPQTHLELDPGTYDVSLDNSVEFVPSEPEIHADKGVATFAQRDTVKGESHFAAQLGSEQSSKKSNEISTDLVTTHVKESGIFLNGAKDKQVQEAEKDGSAECSTCSTKADGKDVEIVEEKGSNQEEQTAVDTRHKEKAEEKNSDLQNQNVDPVTPIGSTYTNTSVDKLTTPTQTPAPVCNYAKGKWVVDNKKPLYSGHGCKQWLSGMWACRLTQRTDFAYEKLSWQPKDCQMEEFEGSKFLKRMLDKTLAFVGDSLGRQQFQSLMCMITGGKERHDVMDVGMEYGIAQARGDARPSGWAYRFPSTNTTVLYYWSSTLCDVEPINITNPATDYAMHLDRPPAFLRHYIHKFDVLVLNTGHHWNRGKLKANRWVMHVGGVPNTDKKIAVIWRAKNVTVHSIVNWVNSQLPKYPGLKAFYRTLSPRHFVGGDWNTGGSCDNTTPMSIGKEVLQDVSNDLDAAGAVQGTGVKLLDITAVSQVRDEGHISRFSITAKSGVQDCLHWCLPGVPDTWNEILFAQI >ONH97240 pep chromosome:Prunus_persica_NCBIv2:G7:17618920:17621709:1 gene:PRUPE_7G179100 transcript:ONH97240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSDSPSQTQPSHHTHPIPTTKPSSSSSSSWPSAFLIFSILVPLAIALLIYQLDSLDPAPLPLHELTKRVAAVPTRNAHMLKGSEFVGAGALVAPEDVAYDSKSGLIYTGCADGWVKRVTLNEYAADSVVENWVFTGGRPLGLAHGHKNEVFVADTEKGLLKISEDGTVELLTDEAEGVKFKLTDCVDVAQNGMLYFTDASHKYSLKDFISDILGGRPHGRLMSYNPTTKETKVLVHNLYFANGVAVSPDQNFVVFCETVMRRCRKYYLQGSKKGSVENFIDHLPGMPDNIRYDGEGQYWIALATEVTPYWDLALRYPFIRKVLAIVKRYAAGRPHMEKNAGVLAVNLNGEPTAHYSDPELFLISSGIKIGNYLYCGSIVNPYIIRLDVHQHPAHPTT >ONH98626 pep chromosome:Prunus_persica_NCBIv2:G7:21566516:21568189:-1 gene:PRUPE_7G258400 transcript:ONH98626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNDLVHILLLSCSLMIFNTGCMADSLSDPPPPVKSAYYPSWLSDDFPPSAINTSFFTHIFYAFLVPNDVTFKFDLSNSTALLLSNFTTTLHHKHPPVKTLLSLGGGGDDPELPKIFARMASEPSSRSHFIHSAIEVARKFGFDGLDLDWEFPKSPKEMKDLGHLLKEWRHALKKEAKSTARPPLLLTAAVYFSADFFLANVPRSYPMPHMNKYLDWINAMCFDYNGAWSNTTGPNAALLDPKSKVNSIYGLRSWIKAGIPPKKLVMGLPLYGRTWELKDPNNHSIGATAVGPGPGDGQLLFHQVETLLNQSGATVVYDVDTMTVYSFNGSSWVSFDDAFTTTSKIGYAQALGLRGYFFWALTYDSDWKISAQASNSWILDE >ONH96748 pep chromosome:Prunus_persica_NCBIv2:G7:16118434:16125112:-1 gene:PRUPE_7G149300 transcript:ONH96748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTLSALRSLMASHSPPLDALVVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALVTKNEARLWTDGRYFLQATQELSDQWQLMRMGEDPAVDIWMADNLPRDSAIGIDPWCVSIDTAQKWELAFSKKHQKLVQTSTNLVDEVWKNQPPAEINPVIVHPLQYAGRSVADKLKDLRERLIQENARGIIISALDEVAWLYNIRGSDVSYSPVVHAFAIVTSNSAFFYVDKRKVSSEVNTYLEENGIEVRDYKSVSSDVALLASNQLKPSFKETEITGNGTNKAEDKNDDQIWADPGSCCYALYSKLNPEKVILQQSPLALAKALKNPIELEGLKKAHIRDGAAVVQYLVWLDKQAQEIYGASGFFSEGEGMDKKKHSKTTKLTEVTASDKLEGFRASKENFRGLSFPTISSVGPNAAIIHYSPQAETCAEFDPDSIYLFDSGAQYLDGTTDITRTVHFGKPSAHEKACYTAVLKGHIALGNARFPNGTNGHALDILARVPLWKSGLDYRHGTGHGIGSYLNVHEGPHLISFRPHARNVPLQASMTVTDEPGYYEDGNFGIRLENVLIINQADTKFNFGDKGYLQFEHITWAPYQRKLIDLSLLAPEELEWLNTYHSKCRDILAPYVDESEKAWLKKATEPISA >ONH95942 pep chromosome:Prunus_persica_NCBIv2:G7:12905503:12907319:-1 gene:PRUPE_7G097600 transcript:ONH95942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLFSVPALASFTIKKPTKTQPTESSSISCYPATIHNPQSMANPKSLLTLTSLFSLFLLTLSSKITLPLSPFPNHPSSDPLQALSFHASASISRAHHIKNSRKPNSSLTQVPLFPHSYGDYSVSLNFGTPPQTSSFIMDTGSSLVWFPCTKRYICSRCQFPNINPAKIPTFKPKLSSSSKIVGCQNPKCGWIFGPEVKSKCPNCNNPSHQNCSQACPTYIIQYGSGTTAGILLSETLDFPKKIVPDFLVGCSFVSIRQPAGIAGFGRGPQSLPAQMGLTKFSYCLVSHRFDDTPQSSDLVLYSSSSGSSSSSEEEPTIAESQRNKTKLQSLSSTPFQKNPGPPNSAFREYYYIMLRKVIVGNKNVKIPYKFLVPGADSSGGTIVDSGSTFTFMEKPVFEPVAKEFEAQMANYTRAKDLENKTGLRPCFDISKEKKVDFPELVFQFKGGAKMELPSKNYFSMVSSSGVVCLTIVTDGVVGPGGNGGPAIILGNYQQQDFHVEYDLQHGKFGFRKQSCK >ONH98130 pep chromosome:Prunus_persica_NCBIv2:G7:20283858:20286176:-1 gene:PRUPE_7G231600 transcript:ONH98130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSNKDHHYHLLAAADSESESPFSADTQLKSDSKRQPTWPVLATGASDHPPEKLKATQPSLNKYALAGALLASTNSVLLGYDIGVMSGAALFIREDLNISTTQVEILVGSLNVCSLIGSLASGKTSDWIGRRYTIVLAAATFLIGALLMGLAPSFPFLIAGRVVAGIGVGYSLMIAPVYVAELSPALTRGFLSSLPEVFINGGILLGYISNYALSGMPQNINWRLMLGLAAFPAIAVALAVLAMPESPRWLVMKGRLDEAKQVLMRTSETKEEAELRLKEIAKAASSLLITSTPAAGTSLSSNWHGQGVWRELLLRPTRPIRRILIAAIGVNFFMQASGNDAVVYYSPEVFREAGIHNKKHLVGVTILMGIAKTSFVLVSALFLDRFGRRPLLLLGSIGMAISLACLGFGSKFLEFSGDKPDWAIGLCVVAVCSAASFFSIGLGPITWVYSSEIFPMRLRAQGSSLAIGVNRLVSGLVAMTFLSISREITFGGMFFALAGIMVVGTVFFYFFLPETKGKSLEEIGVLFEDQVHEEEDEENHKMLLC >ONH95482 pep chromosome:Prunus_persica_NCBIv2:G7:11127055:11129300:-1 gene:PRUPE_7G073600 transcript:ONH95482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAGIGSCCSTLSSTSNFRTSWLKFETQTHGGGTILGISYRRRTLAIRAEVNFVTAEEAKELIAVDGYTVVDVRDKSQFERAHIKSCHHVPLFIANEDNDPGTIIKRTLHNNFSGLFYGLPFTKPNPEFVQSVKSQFSPQSKLLLVCQEGLRSTAAANKLEQAGFENIACMTSGLQSVKPVAKCRQSWFSHRSREDFSCTWNSTNLCILIHHFLPRSSREDTPNFPDHLAATRCLHLS >ONH95483 pep chromosome:Prunus_persica_NCBIv2:G7:11127313:11128951:-1 gene:PRUPE_7G073600 transcript:ONH95483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAGIGSCCSTLSSTSNFRTSWLKFETQTHGGGTILGISYRRRTLAIRAEVNFVTAEEAKELIAVDGYTVVDVRDKSQFERAHIKSCHHVPLFIANEDNDPGTIIKRTLHNNFSGLFYGLPFTKPNPEFVQSVKSQFSPQSKLLLVCQEGLRSTAAANKLEQAGFENIACMTSGLQSVKPGAFDSVGSTELQNAGKAGLVTVQGKISAVLGTVLICAYLFITFFPDQAEKILQIFQTT >ONH98913 pep chromosome:Prunus_persica_NCBIv2:G7:22270729:22273688:1 gene:PRUPE_7G271800 transcript:ONH98913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRISKTHLNLWKSTKPIDPNALLSLVLAKNLTASSNTPRPICTRTHTGPSLPSHLTGVINGFISIFTEQPFSPDNPELKNLASRLTTKVVESVLDGLKSWKIAHVFFTWAPTQSGYKHNCYTYNAMASHLSRARQNVPLRAMAMEIVNSNCSLTPGALGFFIRCLGSVELVQEANFLFDQVKVKGLCVPNSYSYNCLLEAISKSKSSSIELLEMRLQEMRDSGWEFSKYTLTPALQVYCNTGKFEKALNVFNEMYEKGWVDAHVMSILVLSFSKWGEVDKAFDLIARMEDRNLGLNEKTFHVLIHGFVRQSRVDKALQLFDKMRKSGFTVDISLYDVLIGGLIKNKELEKALSMYSEMKESGIHSDVGILTKLIPFFSDEGETIRVLEEIQEDLDEEDMCLLYTSVLNGLVDNGSIDKAHRLLQPMMENESDADIEADKLLVVKKRVHPVTTNFQIVIDGLLKFGKLEKALSLFKEMIQIGCKPNVSMYNNLIDALCNSNRLGESYKLLREMEQAGLEPTHFTHNSIFGCLCRRQDVVEALNLMKEMRVCGHEPWIRYSTLLVKQLCGHGNAVEACKFLDNMVQEGFRPDIVAYSTAINGLIKIQEVDRALQLFRDICACGYCPDVVSHNILINGLCKAKRVSEAEYHLNEMVMKGLVPSVVTYNLLINGWCKNSDVDKAMLCFSRMFGEDGEPDREPNVITYTTLIDGLCNAGRVDDALVVWNNMGKKGCAPNRIAYMALITGLCKCGRPDEALVYLRQMEDKEMKPEIFVYSAVITAFLSDRDRDT >ONH95445 pep chromosome:Prunus_persica_NCBIv2:G7:10869937:10870557:1 gene:PRUPE_7G070900 transcript:ONH95445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRRMFSTVFILILLLVAIETGPMVAEGKVATKEESRTCESLSTKFKGFCLRSSRCAEACQKEGFMGGKCPGFRLRCTCTKKC >ONH97173 pep chromosome:Prunus_persica_NCBIv2:G7:17397604:17401446:-1 gene:PRUPE_7G173900 transcript:ONH97173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTCIRASSSSSFTATRLLVSVFILGWASRTCSGFGSYGFDIHHRFSDPVKAILGSDELPEKGSAEYYAAMAHRDRLIRGRHLSTADETTPLTFVYGNETYQIGAFGHLHYANVSVGTPSTSYLVALDTGSDLLWLPCDCSSCVRGLKFSNGVVKKFEIYSPNTSSTSKKVSCNSTYCEQPQHCASAASDCHYKIEYLSNDTSSTGVLVEDVLHLTTDDAKQKDVNAQIGFGCGKEQTGIFLDGAAPNGLLGLGMDDVSIPSILASQGLASNSFSMCFGLDGSGRISFGDNGSLDQAETPFNLKNGRAYPTYNITITQLAIGESVTDLEFYAIFDSGTSFTYLNDPAYTQITENFNSALKNKQRSKDSSIPFEYCYDISPNQTVNLTLKGGKQYPLLDPLVVFANEDGTPMLYCLGIVKSGDVNIIGQNFMTGYRVIFDRERMVLGWKESNCYNVEDTVTLPVTKSKSPAASPSSTINPEATAGSTNTSHIPPSNHSPKLNSFACALTMVLFACFAIV >ONH96497 pep chromosome:Prunus_persica_NCBIv2:G7:15150193:15152552:-1 gene:PRUPE_7G133000 transcript:ONH96497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGSVSHDLGPFPSIGGPDFHGSRIGGQKGWSSERVPLPTSSGQRHAIHGSTSLMGLSNGGRTVPSKWEDAERWICSPFLGYGCESNKNDSHSHGHGQQRRPKSKSGPIVPPGAVYCSNFSPANPAFDGCGSVRNFAIGSPFSTGVLAAESVSLLYGGSGGMGRSTSALGPLELQNEKLDNTKCEEPAISRAVSQRDMGTQITPNGCLHHSPPPPKRRSSFAPSSSTLLSIVEQQREHFAKLEVREVQVDNGATGTKGSRRGGSVMKRHPDVYDFDKIAIKNQPPSFNIAEAAMNSSRKQREEAKITAWENLQKAKAEAALRKLEMKLEKKRSSTMDKIMKKVRNAQVKAHKMRSSIAVKDGHQAPKTPGKLASFGKLVRGGSLSSCFTRNAA >ONH94929 pep chromosome:Prunus_persica_NCBIv2:G7:7559064:7559552:1 gene:PRUPE_7G040600 transcript:ONH94929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEQVCVLAQALIPLYTRHIDRTTFLFQFDYVQTSNHNGPCVRHQNCAHVCKTEGFSSGHCRGLLLLRRCICTK >ONH97472 pep chromosome:Prunus_persica_NCBIv2:G7:18312483:18314531:1 gene:PRUPE_7G191700 transcript:ONH97472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQNSPLDHSILICVSTLLLSTVFGSCASDHTLSSRTVALFTFGDSTVDPGNNNYIKTIPENQAHYKPYGQNGFFQHPTGRFSDGRIIVDYIADYAKLPLIPPFLQPSADYTNGVNFASAGAGVLSETNQGLVIDLQTQLKNFEEVQKSLTEKFGEVKAKEVISEAVYFISIGSNDYMGGYLANPKMQEQYNPEQYVGMVIGNLTQAIQVLYGKGARKFAFLRLCPLGCLPAFRALNHKASGGDCFEAASALALAHNNALKTVLTSLEYMLKQFKYSTSNFYDWLQDRIDNPYKHGYKDGVNACCGTGPYGGIFTCGGTKEVKDYQLCENADDYVWWDSFHPTERIHEQLAKALWNGAPSSVGPYNLEELFSVDEEKLTIGYMVDDPEAQDHFSLLK >ONH94643 pep chromosome:Prunus_persica_NCBIv2:G7:3913059:3923773:-1 gene:PRUPE_7G024800 transcript:ONH94643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYNTVMGGMAVGPSRDEHCWEWGRKYMEYNLCSVKDGVSLTLGLISVVSWGVAEIPQVITNYKNKSTDGLSLAFLMTWILGDLFNLFGCMLEPATLPTQYYMAMLYTITTAVLAVQTIYYGCIYPRLKRNLRQKKGSNSNLTEVRRCNTDFVGKQVNHAGRSIGFDTPSAGNVLSSPIPLPGICPSISPGRESYYISARSLSRSHTPTERSYLAQTTAPTFNHVRNSNEEPLLGALASTQSAPSNNVKTVLCVVSLMTLFGTFNHRSVDNNLDLIVENPSRGVVLRVGRRLLQVSRELLQAKGTKDSSRIGNLLGWGMAAIYIGGRLPQIFLNIRKGNVEGLNPLMFVFAVLGNATYVASIIVNSLDWSEIRPNLPWLVDAGGCMLLDIFILIQFFRYWRHQDPEGKDVHSNAA >ONH96205 pep chromosome:Prunus_persica_NCBIv2:G7:13908911:13909117:1 gene:PRUPE_7G113200 transcript:ONH96205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNHSSSIKMSQLLIELYVTRQSLNSNVKNKSIIILLRNNPCNALLHHSLFHCEKSHLPHVQFRLIY >ONH94482 pep chromosome:Prunus_persica_NCBIv2:G7:2762596:2762808:-1 gene:PRUPE_7G018600 transcript:ONH94482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKLQMSILWSISWIVHIIFLEAVMAILTLKGSQEAEQFVTAMKAINPINLTAKTDAAKNKPAETYSNI >ONH96379 pep chromosome:Prunus_persica_NCBIv2:G7:14685970:14692763:1 gene:PRUPE_7G124800 transcript:ONH96379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTELRKAVKEAELVDAHAHNIVAIDSALPFISGFSEANGDALSYAPHSLSFKRNLKDVAELYGCEKTLHGVEVHRRLAGLQSVSSTCFRAAGISAILIDDGLRLDKKHEIDWHKNFAPVVGRILRIEHLAEEILNEELPVGSSWTLDFFTEIFVGKLKSVGDKIFGLKSIAAYRSGLEINTNVTKKDAEEGLAEVLHAAKPVRISNKSFIDYVFIRSLEVAQLFDLPMQIHTGFGDKDLDMRLSNPLHLRDVLEDKRFSKCRIVLLHASYPFSKEASYLASIYPQVYLDFGLAVPKLSVHGMISSVKELLELAPIKKVMFSTDGYAFPETFYLGAKKAREVVFSVLCDACADGDLSIPEAIEAAKDIFSQNAIQFYKINYSVKSSGSENRVSPNFVKVNGNDSEDDVLFVRVIWSDASGQQRCRVVPKNRFNYVVTKNGIGLTFASMGMTSFTDGPADETNLTGVGEIRLMPDLSTKWRIPWVKQEEMVLADMHLKPGEAWEYCPREALRRVSKILKDEFNLVMNAGFENEFFILKGILRDGKEELVPFDSAPYCSTSSYDAASYLFHEVIPALHSLNITVEQLHAESGKGQFEMALGHTACMHAADNLIYTREVIRAITRKHGLLATFMPKILCEGEFWPMETQCLTWLNVASYLIADELYALDEIGSGAHVHISLWQNGQNVFMGSGGSSRHGMSKVGEEFLAGVLHHLPAILAFTAPIPNSYDRIQPNTWSGAYKCWGKDNREAPLRTACPPGIQHGLVSNFEIKSFDGCANPHLGLAAILAAGIDGLRNHLSLPEPIDTNPSSLDAELQRLPKSLSESLEALKEDNVFTDLIGEKLLVAIKGIRKAEIDYYSNHKDAYKQLIYRY >ONH96380 pep chromosome:Prunus_persica_NCBIv2:G7:14685872:14692774:1 gene:PRUPE_7G124800 transcript:ONH96380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTELRKAVKEAELVDAHAHNIVAIDSALPFISGFSEANGDALSYAPHSLSFKRNLKDVAELYGCEKTLHGVEVHRRLAGLQSVSSTCFRAAGISAILIDDGLRLDKKHEIDWHKNFAPVVGRILRIEHLAEEILNEELPVGSSWTLDFFTEIFVGKLKSVGDKIFGLKSIAAYRSGLEINTNVTKKDAEEGLAEVLHAAKPVRISNKSFIDYVFIRSLEVAQLFDLPMQIHTGFGDKDLDMRLSNPLHLRDVLEDKRFSKCRIVLLHASYPFSKEASYLASIYPQVYLDFGLAVPKLSVHGMISSVKELLELAPIKKVMFSTDGYAFPETFYLGAKKAREVVFSVLCDACADGDLSIPEAIEAAKDIFSQNAIQFYKINYSVKSSGSENRVSPNFVKVNGNDSEDDVLFVRVIWSDASGQQRCRVVPKNRFNYVVTKNGIGLTFASMGMTSFTDGPADETNLTGVGEIRLMPDLSTKWRIPWVKQEEMVLADMHLKPGEAWEYCPREALRRVSKILKDEFNLVMNAGFENEFFILKGILRDGKEELVPFDSAPYCSTSSYDAASYLFHEVIPALHSLNITVEQLHAESGKGQFEMALGHTACMHAADNLIYTREVIRAITRKHGLLATFMPKYALDEIGSGAHVHISLWQNGQNVFMGSGGSSRHGMSKVGEEFLAGVLHHLPAILAFTAPIPNSYDRIQPNTWSGAYKCWGKDNREAPLRTACPPGIQHGLVSNFEIKSFDGCANPHLGLAAILAAGIDGLRNHLSLPEPIDTNPSSLDAELQRLPKSLSESLEALKEDNVFTDLIGEKLLVAIKGIRKAEIDYYSNHKDAYKQLIYRY >ONH98209 pep chromosome:Prunus_persica_NCBIv2:G7:20475745:20480091:-1 gene:PRUPE_7G235600 transcript:ONH98209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSRFSVKDFKLRTCDAFCRPSSSLSARRLQTHLGTYLSVPENGRSCAIQSKPWSPIVLQSKSRNSEVLCKAARNLSGDLPGSTSSGMSQYERIIETLTTLFPVWVILGTILGIYKPSAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYMIKPLLGFVIALTLKLSAPIATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLMPTIVGVLANEFFPKVTSKIASVTPLIGVILTTLLCASPIGQVSEVLKTQGAQLILPVAVLHGAAFAIGYFVSKISFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNTPIPVDDKDDFKE >ONH98207 pep chromosome:Prunus_persica_NCBIv2:G7:20475732:20480129:-1 gene:PRUPE_7G235600 transcript:ONH98207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSRFSVKDFKLRTCDAFCRPSSSLSARRLQTHLDLSVPENGRSCAIQSKPWSPIVLQSKSRNSEVLCKAARNLSGDLPGSTSSGMSQYERIIETLTTLFPVWVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYMIKPLLGFVIALTLKLSAPIATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLMPTIVGVLANEFFPKVTSKIASVTPLIGVILTTLLCASPIGQVSEVLKTQGAQLILPVAVLHGAAFAIGYFVSKISFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNTPIPVDDKDDFKE >ONH98208 pep chromosome:Prunus_persica_NCBIv2:G7:20475690:20480128:-1 gene:PRUPE_7G235600 transcript:ONH98208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSRFSVKDFKLRTCDAFCRPSSSLSARRLQTHLDLSVPENGRSCAIQSKPWSPIVLQSKSRNSEVLCKAARNLSGDLPGSTSSGMSQYERIIETLTTLFPVWVILGTILGIYKPSAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYMIKPLLGFVIALTLKLSAPIATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLMPTIVGVLANEFFPKVTSKIASVTPLIGVILTTLLCASPIGQVSEVLKTQGAQLILPVAVLHGAAFAIGYFVSKISFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNTPIPVDDKDDFKE >ONH95555 pep chromosome:Prunus_persica_NCBIv2:G7:11361514:11363718:1 gene:PRUPE_7G077000 transcript:ONH95555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLPTPTPTPTPAPAATSASVQHVNKKSSDELLRKFADSGDEAEEAPEKKQVIRVSKRRKVRNRASGEGEQCESPSNGKNSLVERRSLLPAAGTKNKALLRQLGVHGRASQLRARDIRNKSFFGAIHKTWRRTIEGASKVFMEKHYNRHKRLISDIA >ONH96401 pep chromosome:Prunus_persica_NCBIv2:G7:14799060:14802016:1 gene:PRUPE_7G126700 transcript:ONH96401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRTCIVLLFLLCSFSSLATAFLNLTLPGQHPNPEEVVQEVHRKVNASLARRQMLQGTIKYQDSSCLTGNPIDDCWKCDPNWPNNRQSLADCAIGFGQYALGGKGGEYYIVTDSTDDDAVNPRPGTLRYAVIQTEPLWIVFPGNMLIKLSQELIFNSYKTLDGRGANVHIVGGGCITLQFISNVIIHNVHIHHCYPSGDANVRSSPTHYGYRTKSDGDGISIFGSKDIWIDHCSLSHCKDGLIDAVMGSTGITISNNYFSHHNEVMLLGHSDDYLPDTGMQVTIAFNHFGEELVQRMPRCRKGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPSNPNAKEVTKRVETAEGKWKDWNWRSEGDILVNGAFFVASGKGVEFNYEKAYSVEPKSAVLIDQLIMHAGALGVGGRDNNLGKWSSGSNGDGNGLGSGPDYTDDMSGSSSRNNPLPLSSTSTLFSFFISLSCLLFSYIIPDMHFTMRK >ONH95094 pep chromosome:Prunus_persica_NCBIv2:G7:8958406:8960437:1 gene:PRUPE_7G051600 transcript:ONH95094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTHLAGKSPSIVSIMPLFGLLMASLTTTGANIGVCYGTLGDNLPSAQEVISMYNQYSIQKMRLYDPNPQALQALRGSNIELMLDVPNEGLKDISSSQASADSWIQSNVITYGNDNFKYIVVGNEIDPTGPLAPFVASAMENIQKAVSAAGLATQIKVSTAVYTAILNESYPPSKAFFNPEYRQFLDAIIAFLVANQSPFLVNVYPYFSYVQNRQTMGMDYALFTSPSVVVQDGQLGYQNLFDAILDAVYEALEKAGGGSLKLVVSESGWPSAGGDGEVTTKENARTYNSNLVKHVKGGTPKRPESPVETYIFAMFNEDQKTGPAIEKHWGLFFPDKTPKYSIDFN >ONH97766 pep chromosome:Prunus_persica_NCBIv2:G7:19138769:19141254:-1 gene:PRUPE_7G208500 transcript:ONH97766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNDTESINSTSTDYGHIVQEFPAAVFNPTSPNDIASLILFSNNNSYAPFGVAARGQGHSVRGQDLAPDGVVINMTALSNGSRIVVSESPSLGSYADVGGEQLWIDVLHATLDHGLTPVSWTDYLYLSVGGTLSNAGISGQTFRFGPQISNVYELDVVTGKGDFITCSSTKKPKLFYAVLGGLGQFGIITRARIALQPAPNRAKWVRLLYSNFSAFSSDQELLISTSGKMERDGFDYVEGLLLMQQGPLDLSFYPVADQPRIASLVNQYGIVYTIEVIKYYDDSTRDTVDKVVEKLTKRLSFVPGFMFEQDVSYEEFLDRVRIEEKILQSLGLWDIAHPWMNLFVPKSRISDFDSGVFKDILLKQKVPAALILIYPMNRNKWDGRMSAVIPDEDVFYVVGLLHSSGFNEWPAFDEVNTQLLQFCHDAGIMVKQYLPHYQTQEDWKNHFGSNWQSFQEMKTKSDPNKILAPGQRIFNSLL >ONH98754 pep chromosome:Prunus_persica_NCBIv2:G7:21875748:21877484:1 gene:PRUPE_7G264700 transcript:ONH98754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTNEEETVPESGSDWAELTQECLINILGRVSLEQRWRGAMLVCKSWLQACKEPCLNSAFDLQPHFESARWWTPEFERKVDSILQSVVDWSAGSLRHIRTTHCSNHSLSFVAQRCPNLEVLSIKCCPNVTDSSMADIAFRCPMILELDISYCHEISHESLVLIGRNCPNLKILKRNLMNWLDPSEHTGVVPDEYLKTCPQDGNSEAAAIGKSMPNLEHLELRFSKVSAKGFALISVGCPNLEYLDLFGCVNLTSRDIENATSNLKNLKEIKKPNFYIPRSVFHTERYGHWRLYDERFQTDVFRI >ONH96660 pep chromosome:Prunus_persica_NCBIv2:G7:15802002:15805466:1 gene:PRUPE_7G144100 transcript:ONH96660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLAATTGKALALRRDIGSESENPSFEVAMWFSYIRFAGFSLSTIISLSSLVRVSDGRTWPVNKALLSPEEEEDRVQTGNRKQEKENGDGKKKPLLLQVLVGDSSNQLSHLWTSAGTWAVNVKKVNDKFFLQKGWKKFVHDHDLKLCEFLVFDYAGNLGFYVDIYGRDGCKKKFVTAIREKGRRPHEEGHGNVIHRRSPRHHGKQNLPSIDPIKYEAIDTESETSMHPLATSTGETIPLQRNNSFNSEKPFFKIAIQNSYIRRGYIYLPRNFVKTHLTEQRATVTLRVLDGKTWPVKLAFEGERGKLGGGFMTFCRDNKLEVGDVCLCLD >ONH96659 pep chromosome:Prunus_persica_NCBIv2:G7:15802002:15805248:1 gene:PRUPE_7G144100 transcript:ONH96659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLAATTGKALALRRDIGSESENPSFEVAMWFSYIRFAGFSLSTIISLSSLVRVSDGRTWPVNKALLSPEEEEDRVQTGNRKQEKENGDGKKKPLLLQVLVGDSSNQLSHLWTSAGTWAVNVKKVNDKFFLQKGWKKFVHDHDLKLCEFLVFDYAGNLGFYVDIYGRDGCKKKFVTAIREKGRRPHEEGHGNVIHRRSPRHHGKQNLPSIDPIKYEAIDTESETSMHPLATSTGETIPLQRNNSFNSEKPFFKIAIQNSYIRRGYIYLPRNFVKTHLTEQRATVTLRVLDGKTWPVKLAFEAVFYCKTEASSCTSSPGK >ONH98068 pep chromosome:Prunus_persica_NCBIv2:G7:20070679:20072750:-1 gene:PRUPE_7G226900 transcript:ONH98068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKSGQSSFRDRTQEFLSITERHRKSSFSTPANDGPASSSNSGAKIDGSRSAASIQSEFNKRASKIGLGIHHTSRMLTKLAQLAKRTSVFDDPALEIQELTSAIKQDITGLNSAVIDLQLACNSQNESGNISSDTTNHSTTVVDNLKNRLMSTTKEFKEVLTMRTENLKVHENRRQLFSSSTSKESTNPFVRQRPVAARSAANASEDPPPWANDSASSSSQLFPRKQTEMESQPLLQQQQQQVEQQDSYMQSRAEALHNVESTIHELGGIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLARYFNSISSNRWLMIKIFFVLIVFLIFFLFFAA >ONH95435 pep chromosome:Prunus_persica_NCBIv2:G7:10838774:10844702:1 gene:PRUPE_7G070400 transcript:ONH95435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQSLNKGYAIRTLSPKPNGSAKLKIPKTIRSCATKQDLRGQSVAIIGLGKSGRAAARLALARGASVLAFDQNPNFGLLEQDPIFGRLGNDNGGLRTILGQFDGELLHNADRVVVSPGVPLQNYGLSSLLQLGKQVMSELDFAAEILPKSIKILAVTGTNGKSTVVTFAGQMLSHFGIETFVGGNLGSPLSEAAFQCLQPHSLKPKFKVAVVEVSSYQMEIPNKYFCPSVAVVLNLTPDHLERHRTMRDYAVTKCRLFLHMTDAKLGLLCFGNQYLNEAIMESMNELNLAWIGATPGVKIDMEAKIASFEVPALGVNSQLQLGAMNTIGIHNYHNAAVAALSVVGLNVGLDIEDIGPSIEKLRAPPHRMQIVCKDIHGVTWVDDSKATNVEATYAGLMGLKRQKSLILLGGLAKVLDGQKSNGFEQLIEPLKYHRCVITFGSSGMLIQKTLSENGLSIPCIRAVNLKGAVSWARRMAEHGDTIVLSPGCASFDEFRNFEHRGMFFQELAFSSC >ONH94444 pep chromosome:Prunus_persica_NCBIv2:G7:2484372:2489629:1 gene:PRUPE_7G017200 transcript:ONH94444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASNRFFTLLSTTTSTTHPFPLPRFPSLLIRLSHRSKPSLFPSSSLSFLSHSHSLSSHSSSSSFPLPLNSSRHFYSHSHSSVSAAYPSSAESSPFPALHPWPELSHLFNSVSASGYDGTNGQDEFTEAARDLPEDFMRAASVFLAFARARAGLLRLLSRRDLEVVVENGTPFLFRNGDDSARRMRLFLSQGDTHALDIDKAQTVDLMRFLLSYASNPLFSSEKNNIYNSEILESSVRTLLGEMSKLCYSAQESNCIGPVQGHSLDNYGEPIRLFGKTIEMKRGDWICQRCNFMNFARNMKCLECEEARPKRQLTGGEWECPQCDFFNYGRNMVCLRCDCKRPGEFSLRSSKTSLSIGYHNVGDKNKADIDSRLAANEEKAQRWFSKISQLDSSSDMNSVVSDEDFPEIMPLRKGVNRFVVSTRKTPLERRLANAQYQKNLDNDSNHEGKDLQTGGGNKSLGTTANRTLNEILARASISESYSTSTNPGQNVGTDSPSSISSSAGSEYGHPRGGDSSYAQFVPLPADTFSKPENSSMEESGNFLRNKNESGKSEDSMQTSEKPPKQAGSRDEESDQADKSERWFKRVAELHDVTDLASAISDEDFPEIMPMRKGENRFVVSKKKDRSLTSPAYKRRTSTEQASNANYVPFVPFPPDYFAKNNNMQTDGTDLTNKTVHETTPTMIQDNPPDKLVDPRPGKLNSSHVQQMENEKSSMASWNPGSSGENLVDNKTSLMTDSVYGPPIGGNSALHFASSSTNNMDRLNSGSSGKANSNQTASLTGNSPQESNKQNVRQSWTGKSLEGSAVKEPDPLDMSEEAKAERWFKRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSPEYRKNLPIVSSDPAKKNDSD >ONH95565 pep chromosome:Prunus_persica_NCBIv2:G7:11388469:11388839:1 gene:PRUPE_7G077700 transcript:ONH95565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKLVSLFLGDDVCVVLVFWDSLILLLLGTGVTLTVSSYFLGIGNSLVLILILMSFKNLNLCQFLMYVFLGDLQSKCH >ONH97115 pep chromosome:Prunus_persica_NCBIv2:G7:17253513:17257500:1 gene:PRUPE_7G170600 transcript:ONH97115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPNPTPKHPLYPEVMQSNPEAPSIPNPTASSRNLYPSLDMKDLVDNLFPENSNINPTSHYQHWAQPSAPPSFQPSAPPSFQPSAPPSSQPSVPPPSQPSAPPSSQPSAPAVATEEVLIKIPGAIVNLIDKHYSVELASGDFTIIRLVQGDDIVAVLARVGDEIQWPLAKEEASVKLDDSHYFFSLYAPPDSESESDSKSKTTTNDPDNFLNYGLTIASKGQGGLVKQLDEILKSYCSFSEQKVSDKAKKKGEALDDTMALETSPTELISDKKKELEERSAAYWTTLAPNVEDYNGRAAKLIAAGSGQLIKGILWCGDVTVERLKWGNEVMKKKMDPLSNKEVSPQTMRRIKRVKSVSKTTQKVAAGVLSGVLKVSGYFTSSVVNSKVGKKFFRLLPGEILLASLDGFCKVCDAVEVAGKNVMSTSSTVTTELVSHRYGEQAGKAANDGLDAAGHAIGTAWTVFKIRKALNPKSVFKSSTLAKTAAKSAAEEARTKKSK >ONH96679 pep chromosome:Prunus_persica_NCBIv2:G7:15890391:15891171:1 gene:PRUPE_7G145500 transcript:ONH96679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFRVDCKQCGKYSWGGCGKHLATLYASIDEGKHCMCRSWPGVAIPKQGTPTPQQSVASASELIVFNILNEYECMGSNVRQNASKSLSLRRRKVATLRCVQCWPAVAALLD >ONH97511 pep chromosome:Prunus_persica_NCBIv2:G7:18420747:18428590:1 gene:PRUPE_7G193800 transcript:ONH97511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEMDDGYTLPLIEDDDICTSILARFSNSTREDHHHLCAAIGAMAQELKDKNLPSTPVAYLGFTCSSLDGLSSQPEPPAHVIDALLTILSIVFQKVSAAILVKKSEFLSELLVRVLRSPSLTVGAAVSGLKCISHVLIIRGRVNWSDVSSLYGFLLSFITDSRPKVRRQSQLCLRDVLQSLQGTPLLAPASEGLTNLFERFLLLAGGSNADAGEGPKGAQEVLYILDALKECLFLMSIKYKTSVLKYYKTLLDLHQPLVTKRITDSLNILCLNPSTDVPPEVLLDLLCSLALSVSTNETSVDGMMFTARLLGSGMAKVYSLNRHICVVKLPIVFNALRDVLASEHEEAIHAAAHTFKSLIHDCIDESLIKQGVDQIVMNANLDARKSGPTIIEKVCATIESLLGYHYAGVWDLAFQVVSAMFDKLGVYASYFMRGALRSLAEMEKLSDEDFPFRKQLHECLGSALVAMGPETFLGLLPLNLEAEDSSQVNVWLFPILKQYTIGARLSFFTESILGMVRTIKEKSRKLESQGRIFSSRSTDAFVHALWSLLPSFCNYASDTAESFNDLEQALCSALQDEPEFRGIICLSLQILVQQNKKIVEEMNDLSDSEVGSARYRAIAHYTPQVTADNLSVLKSSACELLHVLSGVFLNTTKDDAGCLQSTIGEFASIADKEAVSKFFRNRMGMLLKVTEEASKAESPRDFNSKRAQLFDLAVSFLPGLHDNEVNVLFTAIKNALQDDEGLIQKKAYKVLSIILRDCDSFLSSNSSKLKELLDLMVNVLPSCHFSAKRHRLDCLYFLVVHVSKSDTEQWRDDIISFLTEIVLALKEANKKTRNRAYDILVQIGHACGDEEKGGNREHLLEFFNMVAGGLAGETPHMISAAMKGLARLAYEFSDLVSTATNLLPSAFLLLQRKNKEIIKANLGLLKVLVAKSQAEGLQLHLKSMVEGLLKWQDATKTHFKAKVKLLLEMLVKKCGLDAVKAVMPQEHMKLLTNIRKIKERKDRKLGSKSEEARSQVSKATTSRLSRWNHTKIFSDFDDDETEDSDTENMDAKTVLGKRGKAFSQLKSKASSLRRTKKNLLDQLEDEPLDLLDRQRTRSALRSSENLKRKMESDDGPEIDDDGRLIIRDEAESYKRKPSEPHSDARSEAGSYLSVDSKKTQKRRKTSESGWAATGKEYASKKAGGDLKRKDKLEPYAYWPLDRKMMSRRPEHRAAARKGISSVVKMTKKLEGKSVSTILSTKGLKFKNKSKVQKGGSKKKK >ONH95441 pep chromosome:Prunus_persica_NCBIv2:G7:10851218:10855863:1 gene:PRUPE_7G070600 transcript:ONH95441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINLGQLAFDIDFHPSQQLVTTGLINGELHLYRYTSDSIPERVLQVQAHSESCRAARFINNGHAILTGSPDCSILATDVETGAAVARLEDAHGCAVNKLINLTESTVASGDDEGCIKVWDTRQQSCCNSFKVHEEYISDMTFAADSMKLLGTSGDGTLSVCNLRRNKVQAQSEFSEEELLSVVIMKNGRKVVCSSHIGNLLLYSWGCFNDCSDRFVDLSPNSVDVLLKLDEDRLITGSETGLISLVGILPNRVIQPIAEHSEYPVEGLAFSHDKKFLGSIAHDQMLKLWDMDDLLQGSTKTLKHQAAVEDSDSDEMDMDNNPPKSKKGTKRKNASKDHVSSSSNNFFADLQMDG >ONH96011 pep chromosome:Prunus_persica_NCBIv2:G7:13143589:13144306:1 gene:PRUPE_7G101700 transcript:ONH96011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEERRIPILAITHTMTNMEQSYNAIKKYPMTSLKHLSVHNNAIDFRHLTNSLKEHMLTVIRALDARGRKKDQESTMICSTCFP >ONH95879 pep chromosome:Prunus_persica_NCBIv2:G7:12658713:12660144:1 gene:PRUPE_7G093900 transcript:ONH95879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAGWKPNDDHHQITPNCPRCGCSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGSKSLRLSTTATGTEGHVHQSNKSTSNLGSYGGTVHNSFGSNVNNSLGDSTSSSVSQDGSSIDLALVYANFLNQKPDNSNSTGSDHQLPELPSEFDPAALDFSSMALNMNNASSGGNISSIQLTEDHQNGLVGSLGCHTENCSTNELMYFGGLDPLIHHHEKHHHQIQDASDFGLPPILPVGQEEALWSTSQMMAHASHTMQAATPLLGGRPEAHDPNLLIGSCWSPFDLPCNETFSRT >ONH97052 pep chromosome:Prunus_persica_NCBIv2:G7:17051802:17052822:-1 gene:PRUPE_7G167000 transcript:ONH97052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKKSNKLPQTAALKQILKRCSSFGKKNGYNNEGGLPEDVPKGHFAVYVGENRSRYIIPISWLGHPEFQTLLQRAEEEFGFNHDMGLTIPCEEVVFRSLMHQIY >ONH98467 pep chromosome:Prunus_persica_NCBIv2:G7:21167556:21171768:-1 gene:PRUPE_7G250100 transcript:ONH98467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNGNSNAFLNAEDPESITAPLISGQRSAPNSTSQVALVGANVCPIESLDYEILENEFFKQDWRSRGKMQVFQYIFMKWFLCFLVGLIVGLIGFCNNLAVENIAGIKFVITSNMMLKSRFLLAFIVFFFSNLALTLFASMITSFVAPVAAGSGIPEVKAYLNGVDAPGIFSIRTLFVKIVGSVSAVSSSLLIGKAGPMVHTGACVASLLSQGGSKKYGLTWKWFRYFKNDRDRRDLVTCGSAAGIAASFRSPVGGVLFAFEEMASWWRSALLWRAFFTTAVIAIVLRALIDVCLSGKCGLFGTGGLIMFDVYSASVSYHIADVPPVLLLGFVGGILGSLYNSLLTKVLRIYNLINERGVIYKILIACTISIFTSCLLFGLPWLASCRPCPADAAEACPTIGRSGNFKKFQCPPGHYNDLASLIFNTNDDAIRNLFSKNTDSEFHFASIIIFFVTCFFLSIFSYGVVAPAGLFVPVIVTGASYGRFVGMLVGSHSNLNHGLYAVLGAASLLGGTMRMTVSLCVIILELTNNLLLLPLIMVVLLVSKTTADAFNGNIYDLIMKAKGLPYLEAHVEPYMRQLTVADVVTGPLQLFYGIEKVGNIVHVLRTTGHNGFPVIDELPLSESPVLFGIVLRAHLIELLKKKAFVSTPVPTSTDSFKRFSSEDFAKRGSGNGDKIEDIKLNEEELEMFIDLHPFTNASPYTVVETMSLAKALILFREVGLRHLLVIPKISTRSPVVGILTRHDFMPEHILSLNPMLVNSRWKRLRFRLAPPAKLF >ONH98101 pep chromosome:Prunus_persica_NCBIv2:G7:20180848:20187590:-1 gene:PRUPE_7G228900 transcript:ONH98101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQQSILSFFRKPSLGNQSSGGGDALDGRRVSQFPATQQDQKAVIPNQTTAPEVTGTDTPPEKVPRQILQANVGNLKDSSPFSSIMHKFMKVDDRQTASQSQRSQSNGGFSYGSTASGKPNEPQGLCKPAVASQHTLFKNNITNSHKKVDQGSVVHIECDDDVAGPETPGTQRLVPRMKRILEDIPKFGDKLESSLLNSSKRVKLLQEPATLDKSHGEVSDMASKFEWLDRSQIRDANRRRPDDPLYDKTTLYIPPDALKKMSASQRQYWDVKCQYMDVVLFFKVGKFYELYELDAEIGHKELDWKMTLSGVGKCRQVGISESGIDDAVEKLVVRGYKVGRIEQLETSGQAKARGAKSVIPRKLVQVVTPSTTTDGNIGPDAVHLLAIKEGNTVVEKGTVVYGFAFVDCAALKFWIGAITDDASCAALGALLMQVSPKEVIYESRGLSKETQKALKKYSTGSAAMQLTAVQSISDSVDASEVKNLIQLKGYFKASSKSWNHGLDSVINHEITLSALGALIGHLSRVMLDDVLRNGDIFPYQVYRGCLKMDGQTLVNLEIFSNSADGGSSGTLYTYLDNCVTSSGKRLLRKWLCHPLKSVVSINNRLNVVEDLLAHSEMIPLIAQYLRKLPDLERLLGRIRASVQSSASLLLPLFGKKVLKQRVKAFGTLVKGLRFGMDLLKLLQNEGHIIEPLSKVFKVPILSGSDGLDQYLSQFEAAVDSDFPNYQNHDTTDSDAETLSILIELFLEKATEWSDAIHAINCIDVLRSFAVTASFPSGAMSRPVILPQSKNMTLNEESRSPTLNIKGLWHPFALGENGGLPVPNDIVLGEDRDGYHPRTLLLTGPNMGGKSTLLRATCLAVILAQLGCYVPCEICVLSLVDIVFTRLGATDRIMTGESTFFVECTETASVLQHATQDSLVILDELGRGTSTFDGYAIAYAVFRHLVEKINCRLLFATHYHPLTKEFASHPHVTLQHMACAFRSKSECPSRRDQELVFLYRLTSGACPESYGLQVAMMAGIPEQVVEAASKAGQVMKKSIGRSFKTSEQRSEFSTLHEGWLKTLLTASQAGNFDDEDCFDVLFCMQHELKNSYRSGN >ONH98238 pep chromosome:Prunus_persica_NCBIv2:G7:20569603:20570225:1 gene:PRUPE_7G237500 transcript:ONH98238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNLIHFRPTLIGPHATGNRKPDSVTRKGSASKWWTPLFGWSSEPDYIDSHSKTESRDKAESGADSGPDSKPWRSRFAPGCFTEEKARQLRIKTMETESFHDAMYHSSIASRLASDFKNRSDL >ONH98836 pep chromosome:Prunus_persica_NCBIv2:G7:22067175:22068879:1 gene:PRUPE_7G267900 transcript:ONH98836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGHVLAIPYPAQGHINPLLQFSKRLASKGLKVTVANTIFISKSLPLKSSGSVQFDTISDGYDEEGFARAESIAAYLDRMEAEGSRTLIELITKYNNSPHPINCIIYDPFLPWALDVAKQFDLASAAFFTQTCTVNYIYYMQYHGGDVTSIPGLHMLEPQDLPSFFSVPGSYPAYREMVLNQYSNLHKADFIFANSFYKLEQQVVDSMSKVCRMLTIGPTIPYTYLDNRIEDDKEYGVQLYKIDSVCSDWLNSKPPGSVIYVAFGSMANLSHKQMEELALGLKGSGFYFLWVVRGSEEAKLPPNFIEELELERSTSTSEDGGKGKGLIVTWSPQLEVLSNEAVGCFFTHGGWNSTIEALSLGVPMIVMPQWTDQPTNSKLVQDVWKVGVRVKVDHHHDANNITDENGIVAREEIESCIRKVMEGETAIEMKKNAKKWKSLAIEAVSDGGTSDTNIHEFMSKLTTAAA >ONH97011 pep chromosome:Prunus_persica_NCBIv2:G7:16900523:16902527:1 gene:PRUPE_7G164400 transcript:ONH97011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISIHSRTLLLLFLSQLLSFLASKACHFVDKQALLHFKHNIISDPSKLLHSWSVSSDCCSAWEGVACSSSGRVVNVSRSGLVSDNDFIVDTYMSGTLSPYIGNLSSLQLLDLSNLKDLKGPIPPELGKLSQLTHLFLDSNKLIGSIPTTFGNLFRLEKLFLGDNYISGAVPSSVIGSLRSLVELGLSGNTLSGPIPTSVGKLVLLAKLDLHGNNISGSIPTSIGKLKSLINLDLSENQISGRLPQSIGALSQLVLLYLNHNQITGSIPSSISGLISLRFCQLSENKLRGTLPASLGQLPKIERLIFENNKFSGKLPETIGHLATLTDIFFSNNHFTGKIPSSFGNLHNLKTLDLSRNRLLGQIPPQLAKLQRLDTLELSFNPLGLISIPTWFARLKLFRLLLAKTGIQGQLPSWLSSSSISILDLSSNALTGKLPHWIGNMSSLSFLNLSNNGFHASIPVELKNLSLLMDLDLHSNKFSGHMDSIFSKESQNPLGQFNSIDLSHNLFTGPIDEDIGERSTMTSIKSLVLSHNPLRGSIPKSLGKLSELQVLKLVHNGLSGRIQMELADATKLATILLSSNNLSGTIPKEVLNLKDLQEFDVSGNQLSGKIPPHKANFPVSAFADNPGLCGAPLPPCNHS >ONH98215 pep chromosome:Prunus_persica_NCBIv2:G7:20509687:20511389:-1 gene:PRUPE_7G236100 transcript:ONH98215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPYGSTVCSGVSSSASSSSSSSVTKPPAEIDGYLADAGYKVRSSDLRHVAQRLERLETVMVNSPNDLSQLASDAVLYNPSDLATWVDSLLSEFNHQPLISLPSDLDFPDAIINPTDLPNDTWTEPLPPPQQQQHQLTVVTAMEEDSGIRLVHLLVTCAESVQRGDLALAGSLIENMQTLLTRVNTSCGIGKVAGYFIDALSRRIFSHQSVASAHENELLYHYFYEACPYLKFAHFTANQAILEAFQGHDCVHVIDFNLMHGLQWPALIQALALRPGGPPLLRLTGIGPPSPDGRDSLREIGLRLAELARSVNVRFAFRGVAASRLEDVKPWMLQVSPKEAVAVNSIMQLHRLLGSDPNRNSPIEMMLGWIRNLNPKIVTVVEQEADHNKTGFLDRFTEALYYYSTMFDSLEACAMQPEKALAEMYIQREICNVVCCEGAARVERHEPLGKWRARLGQAGFRALHLGSNAFKQASMLLTLFSAEGYRVEENDGCLTLGWHSRPLIAASAWQVMPTAEATTANQRVGVINQNNNANHV >ONH97112 pep chromosome:Prunus_persica_NCBIv2:G7:17238706:17240656:1 gene:PRUPE_7G170400 transcript:ONH97112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQILGRLIQLTDQFRKALDRAVVTSRDHSFIPHQLKSKSKELAGLLRQLSCSNLGTYDRPARAIINQIEETLDRCLFRLLKHPKCIIIKIIKKRFSTFIPVTCFLEISAHLDASIGDVSWLIRFWRPGPPDEDLRRPPMTLNERILWLVWEEIAILHHPAVSVQARCSAAASLACRDHETYMTLIIREGGVEALLKLMEEGPMEGQENAARTLGWLGRSCEIVEHLHADVCKMFAKILREGSLKVQVAVAKAVSMLSYQHPELQDVFAQHDVVRLLIGHLAFEIVEGKNESMAAKALCRLAEGNSAISRSFAESTSLYSFGVLLEKGSENAQLYSVLALMVIMKVAEEDADLRRCAFSPNSPTWKYIADQLLLKITENVENSDFQVFCIKAIGNLAKTFGSRETRMINRLVQLLNGSEYDVTEEACIALTKFSCTDNYFHIDHSKAIISAGGVKH >ONH96622 pep chromosome:Prunus_persica_NCBIv2:G7:15705235:15708166:-1 gene:PRUPE_7G141800 transcript:ONH96622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQGSFLVCQKKPSRWFFNLRHTLQFGIGLHHVGLNDKDRSMVEELFANNKIQVFVCTSTLA >ONH96088 pep chromosome:Prunus_persica_NCBIv2:G7:13551127:13554837:1 gene:PRUPE_7G106900 transcript:ONH96088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVWLLVLMVFYNGVASNGVSTNVSTRPEFVNVGAVFSFNSIVGKVAKVAIEAAIEDVNSDPAVLGGTKMIVQMQDSNYSGFLGIVEALRFMEKDTVAIIGPQNAVTAHIISHIANELQVPLLSFSVTDPTLSSLQFPFFVRTTQNDLHQMAAVAAMIDHYGWKEVIALYVDDDYGRNGIAALGDMLAERRCKISYKAPLVLDPNQSNITDLLVKVALTESRIIVLHAYAGWGPQVFTVAKYLGMMGTGYVWIATHWLTTQIDTNSPLPSSMMDDMQGVLTLRMYTPETELKRKFVSRWSNLTSGQTSKGKLGLNAYGLYAYDTVWLLAHAINAFFDQGGNISFSNDSRLTQLRRGDLNLDAMSIFNGGNLLLRNILQVNMTGITGPVKFTPDRNLIHPAFEIINVIGTGIRKIGYWSNYSGLSVVPPEYTKPPNRSSSNESLYGVIWPGQTTQKPRGWVFPNNGRHLKIGVPKRVSFREFVSYAEGNDMFTGYCIDVFTAALNMLPYAVPYKLIPFGDGVKNPTSTELVHKIQTGPFIESGLVVVAPVRTTLNSNPWAFLRPFNPTMWGVTAAFFLIVGTVVWILEHRLNDDFRGPPKKQIVTILWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSSIKGLDTLLASNDPIGYQQGSFARGYLTGELNVDESGLVPLIMPDDYAKALKDGPQRGGVAAVIDEHAFIELFLSSRCDFSIVGQEFTKTAWGIFVMFISNQAFARDSPLSVDMSTAILKLSENGDMQRIHDKWLISSSCASQGAKLQVDRLQLKSFWGLFVLCGSACFLALIIYFINMLHQFSKHYTEEVISAGSSTSARLQTFISFVDEKEEEVKSRSKRRQMERMSNRSASEDESMYNSKRRHIDQSSSRMSLDNGNNA >ONH98044 pep chromosome:Prunus_persica_NCBIv2:G7:19974137:19977026:-1 gene:PRUPE_7G225100 transcript:ONH98044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHTKLLCLLPLLFIINGINAQLVHFPQFPVPFGNPFHVPDGLLGHHNWLRGHRLGAFWGDPEAVAAGGDPDAAAEVGLRNLAKPGKARDMIPKADKDAGNKPNPGQPKPDEGAGNKPNPAQPNPDEGAGNKPNPGQPNPNEGAGNKPNPGQPNPDEGAGNKPNPGQPNPNEGAGNKPNPGQPNPDEGAGNKPNPVKAVVDAPAQPDFPTQYVGAWEVVKLDAGVSAMHLNLLPNNKLMMYDASAFHISNIKLPRGECLPFKDDKGVQQTDCWSHGVEYDVETNAVRPLKITTDPWCSSGGLTADGSFISTGGWMDGTRSVRYFDLCPNCDYRDLPNALAEPRWYATQVTLPDGRFFLAGGRKSYSFEYVPPEGQVNKQAIFFPLLDETTDLDENNLYPFVHLSTDGNLFILANSRSVLLSTKTNTVIKEFPVLKGGSRNYPASGMSALLPIDLSVPNNAPLIPAEVIVCGGAKPEAYGLAGKGNFLPALQDCGRLRITDPNPQWATEVMPSLRVMGDMLNLPTGDLLIINGAQQGASAWFLAEQPNFTPVVYSPNNNAGTRFRNLAPTQIARMYHSTAALLPNGKILVAGSNTNPGYDYKAKYPTELRVEHFSPPYLDPALAAHRPAVIAQTSDAKLKYGQPFTLQFQLQDNPVGKADIKVTMYSPPFTTHGYSMNQRLLVLGKNELTEAGPGVYKLQVVAPATNVLAPPGYYLVFVVHRGVPSVGHWVQILN >ONH95728 pep chromosome:Prunus_persica_NCBIv2:G7:12182591:12183535:1 gene:PRUPE_7G087800 transcript:ONH95728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSSQSQPTLFVLTKSSMVGMRSINKEGREQGKRVLRVTLKKFVFDRRIALILHLLSHIEPWGLSFRDVLNILHSLQGDIVAADVVEFNPHGMTAMVAAKLVRELTAKISK >ONH97555 pep chromosome:Prunus_persica_NCBIv2:G7:18561152:18565101:-1 gene:PRUPE_7G196500 transcript:ONH97555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRHLLTSFVLACLLAFTLQIYFSSPISPVSLHLPPPSSSSHLPKNNILQKVIKLGDGVLLKPEDVDVDKEGTIYTATRDGWIKRLHKNGNWENWKRVNSDTVLGITITKEGDVVACDTEEGLLKITENGVKLLTSHVNGSKIRFADDVIEASDGSLYFSVASTKFGLHDWYLDVLEAKPHGQLLKYDPSSGETSILLDNLGFANGVAVSKHQDYLVVCETWKFRCQRHWLKGENKGKTEIFIENLPGGPDNINLAPDGSFWIALLQLTTEGLEFVHASKAAKHLIASSRKLTELVSGLRTKAMVVNVAANGKIVKKLEDPDGSVMSFVTNALEFEDHLYLGSLHTNFIGKLPLKDA >ONH97286 pep chromosome:Prunus_persica_NCBIv2:G7:17721518:17724979:-1 gene:PRUPE_7G181500 transcript:ONH97286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWTSPASPPDPHPNTNISSTTGTSGNHSSGRNIFSNTSSSAGRSQFSEASSPRMEEPQQTNGQILDSPNLRFFTFAEMKAATRNFKSDAVLGEGGFGRVFKGWVDEKTLAPSKVGIGMMVAIKKLNPESVQGFQEWQSEVNFLGRLSHPNLVKLLGYCWDDKELLLVYEFMQKGSLENHLFRRSAGIEPLSWDRRLKIAIGAARGLAFLHGSEKQIIYRDFKASNILLDGNFNAKISDFGLAKLGPAGGESHVSTRIMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEILTGLRALDMKRPKEQQQLVEWAKPLLLHKRKLKNILDVRMEGQYAFKAALQAAQITQKCLAPDPKSRPSMKEVVEELEKIQAIKEKQKQSRLASAQPTFSFGSNKQSVHRSPLHVRYHGTE >ONH96538 pep chromosome:Prunus_persica_NCBIv2:G7:15286633:15291744:1 gene:PRUPE_7G135500 transcript:ONH96538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTPINWEALDALIIDFAKSEKLVEDSSFTTSSSPPSSPPSSSSPSSISSSTYHSRLIIRQIRRLLEAGDIDAAIDLLRSHAPFILEDHRLLFRLQKQRFIELLRRGTTEDRDCAINCLRNALAPCALDAYPEAYEEFKHVLLAFIYDKEDQTSPVASEWSERRRFDIAGLISTVLRAHLHAYDPVFSMTLIYLISIHKGFCFRQGISSPISDLTQRLLLEERDPPATPQETLYEAPPFDEVDIQALAHAVELTRQGAVDSLRFAKGDLFQAFQNELCRMRFDVTMLDELVREYCVYRGIVDSGLPSSSAAGIQSPSKSLKVDQPGIGFCLSRGSSHEVDSGAGKHSDGEISISTNDNLGGSPGKNSEVTSMQGVDVELRYAFESTNNHEDCSTSGSHQSDNLRVPQRSKANVTAERSKRKRWRGRQDDICFTTGVSYNETSKELSTTAVVSNMCSSREQQASECLSLDINNVEDKYEIVLGMKELTSKGMAAEVVEEVNAMDPNFFVQNPTLLFQLKQVEFLKLVTSGDHSSALRVACSHLGPLAASDQALLKPLKETLLALLQPNEDALGKGLPLHALATSLQVAIGRRLGIDEPQLMKIMRATLHTHNEWFKLQMCKDHFENLLKIDSLKDVTTPSLAAAAISKSNADSCSNGSSQVTVSSSTRMVEDGSSLTQVSSRDVVCDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >ONH96539 pep chromosome:Prunus_persica_NCBIv2:G7:15286633:15291744:1 gene:PRUPE_7G135500 transcript:ONH96539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTPINWEALDALIIDFAKSEKLVEDSSFTTSSSPPSSPPSSSSPSSISSSTYHSRLIIRQIRRLLEAGDIDAAIDLLRSHAPFILEDHRLLFRLQKQRFIELLRRGTTEDRDCAINCLRNALAPCALDAYPEAYEEFKHVLLAFIYDKEDQTSPVASEWSERRRFDIAGLISTVLRAHLHAYDPVFSMTLIYLISIHKGFCFRQGISSPISDLTQRLLLEERDPPATPQETLYEAPPFDEVDIQALAHAVELTRQGAVDSLRFAKGDLFQAFQNELCRMRFDVTMLDELVREYCVYRGIVDSGLPSSSAGIQSPSKSLKVDQPGIGFCLSRGSSHEVDSGAGKHSDGEISISTNDNLGGSPGKNSEVTSMQGVDVELRYAFESTNNHEDCSTSGSHQSDNLRVPQRSKANVTAERSKRKRWRGRQDDICFTTGVSYNETSKELSTTAVVSNMCSSREQQASECLSLDINNVEDKYEIVLGMKELTSKGMAAEVVEEVNAMDPNFFVQNPTLLFQLKQVEFLKLVTSGDHSSALRVACSHLGPLAASDQALLKPLKETLLALLQPNEDALGKGLPLHALATSLQVAIGRRLGIDEPQLMKIMRATLHTHNEWFKLQMCKDHFENLLKIDSLKDVTTPSLAAAAISKSNADSCSNGSSQVTVSSSTRMVEDGSSLTQVSSRDVVCDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >ONH98762 pep chromosome:Prunus_persica_NCBIv2:G7:21900270:21905474:1 gene:PRUPE_7G265400 transcript:ONH98762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIHGHEEHKPLRNLDGQVCEICGDDVGLTVDGDLFVACNECGFPVCRPCYEYERREGSQNCPQCKTRYKRLKGSPRVEGDDDEEDVDDIEHEFNINSNHHPEDDDQLNNGNTRSSTYNKHNINNEHLAEAMLHGKMSYGRGPDDPDQDSQFPSVIAGGRSRPVSGELTFLSHGDQQMPSSSLHKRVHPYPVSEPGSERWDAEKKEGAGWKERMDDWKMQHQGNLGGPDQPDDLNDADMSMSDEARQPLSRKVPIASSKINPYRMIIVARLFILAFFLRYRLLNPVFDAFGLWLASVICEIWFAISWILDQFPKWYPIDRETYLDRLSLRYEREGEPNMLCPVDVFVSTVDPMKEPPLNTANTVLSILSMDYPVDKISCYISDDGASMLTFEALSETAEFARKWVPFCKKFAIEPRAPEMYFSEKIDYLKDKVQPTFVKERRAMKREYEEFKVRVNALVAKATKVPPEGWIMQDGTPWPGNNTKDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSGVLTNAPFMLNLDCDHYVNNSKAAREAMCFLMDPQIGRKVCYVQFPQRFDGIDRNDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVSCDCCPCFGRRKKLPKYSKHAANGQGANLQGVDDDKELLMSQMNFEKKFGQSAVFVTSTLMEQGGVPPSSSPAAMLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPERPAFKGTAPINLSDRLNQVLRWALGSIEIFFSRHSPLWYGYKGGKLKWLERFAYVNTTVYPFTSLPLLAYCILPAVCLLTDKFIMPSISTFASLFFIALFLSIFATGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVIQGLLKVLAGIDTNFTVTAKSSDDEDFGELYAFKWTTLLIPPTTILVINLVGVVAGISDAINNGYQSWGPLFGKLFFSFWVILHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVLKTKGPDTKQCGINC >ONH97207 pep chromosome:Prunus_persica_NCBIv2:G7:17495486:17502314:1 gene:PRUPE_7G176000 transcript:ONH97207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDEDVESCGSRAALSSHAQPRHQRQKLEVYNEVLRRIQQSNFEEANLPGFDDQLWLHFNRLPPRYALDVNVERAEDVLTHKRLLQFAEDPANQPAFEVRTVQVYHVGNSVDSVHSDSSMIEDAQSSFNYSNRQGIHPPPTFGSSPNLEAFALQANRYHVEDGGSAMSSTPLFSRPMHEITFSTVDKPKLLSQLTSLLAEIGLNIQEAHAFSTVDGFSLDVFVVDGWHYEETEELKSVLQKEISKLKEQSWSKQHPIAAIGEDNQTRNESFPDCIEIPTDGTDVWEIDTRQLKFENKVGSGSFGDLYRGTYCSQEVAIKVLKPERVNAEMLRDFSQEVYILRKIRHKNVVQFIGACTKPPNLCIVTEFMCRGSVYDFLHKHRGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKTANLLMDEHEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGQLPYSSLTPLQAAVGVVQKALRPTIPKTTHPRFAELLERCWQQDPTQRPPFSDIVEILQNLAKEVGTEGEDRRKDKSGGFFSGLRWGHH >ONH97204 pep chromosome:Prunus_persica_NCBIv2:G7:17484518:17487654:1 gene:PRUPE_7G175700 transcript:ONH97204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAQFHVTDRSAAIRSIPSTWPAASSASSSSLHSVFTVRLGFRTTERCRGLKSIAISCNNSSSRSRSRNADHHDYDYLQASLLLSETISHYRMQKRGFKEETKWQSSGKLRPFSAQANRSRNLFDTLGRNFLQRFQNPTIFLKISCDGDFLLPIVVGEFAIENLIDAQWGDENGDSPDQFQFVSNLVGKLGYQVTMVRITERVMNTYFAGLCFSKPGEHEVFNVNARPSDAINVAHRSKVPICVNKQIVLTDAVRISYGMGRVRDTKSVYDVSLDSAADGPDFLIQELELVNNISLAIKEERYKDAAMWRDKLVKLRKSIQGP >ONH95731 pep chromosome:Prunus_persica_NCBIv2:G7:12188756:12194454:-1 gene:PRUPE_7G088100 transcript:ONH95731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRLPNPTTLFTSKPSAACTFLSLLVICILGFYTLHYVHPAPSSSNPQTALRFRRIFLSHATNATVASYLRALTLHSHLAGTPPSLDTAHFVRAHFMGLGLDTRSAHYNSLLSYPLRSSLSAHFSNGSHVDIPLTEPGLSAENGVVGAYHAYSPSGSAHAKVVFANHGTDEDYRALAELGVNVSGCVVLVKRGGDLPRGEAVGKAEQNGALAVLLYTEGDDGFKKGFERGLVRSGAGDPLTPGWAGVDGAERLDPEDLEVLKRFPKIPSMPLSAEAAELLLATLGGSPVPNQWRASLPAGVRGVGPGPTVMNFTYQGEKKVVAIHNVFAVIRGAEEPDRYVLLGNHRDAWTYGAVDPNSGTAALLDIARRYALLMRSGWIPRRTIIICSWDAEEFGMVGSTEWVEQNLANLGSKAVAYINVDCAVQGPGLFVRATPQLDNLIVEVTKKVKDPDSEGATVYETWMAANRSIDIQRLSALDSDFAPFVQHAGVPSIDMYYGRDFPVYHTAFDAYDWMVNFGDPLFQRHVAVAGIWGLLALHLADDSILPFNFLSYVDQLKHYKDVLSSLLDGSVSLHPLTTAIQEFAYAAKEVEHEAQRLRDVQSTSELVLLKKRALNDRLMLAERGFLDSDGLEGRHWFKHLVFAPSSDHESELLFFPGVADAIFQAKRTSGMEGQAKIQHEIWRVARAIQRAASSLRGEIF >ONH96024 pep chromosome:Prunus_persica_NCBIv2:G7:13224656:13227403:1 gene:PRUPE_7G102600 transcript:ONH96024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLLKPERNRTVFSFITMARTFGNKPGFHHLLTGFTISRRPLQTLAYEELRHSADPEPYRATAFVLHGLLGSARNWRSFSRALLSNISNPSGWRLVLVDLRNHGRSAKIEGLNPPHDLVNAAQDLAHLVKSQGWAWPDVVLGHSMGGKVALQFAESCARGHYGGSAKLPKQNSCGYWIPFQEK >ONH96023 pep chromosome:Prunus_persica_NCBIv2:G7:13224654:13227461:1 gene:PRUPE_7G102600 transcript:ONH96023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLLKPERNRTVFSFITMARTFGNKPGFHHLLTGFTISRRPLQTLAYEELRHSADPEPYRATAFVLHGLLGSARNWRSFSRALLSNISNPSGWRLVLVDLRNHGRSAKIEGLNPPHDLVNAAQDLAHLVKSQGWAWPDVVLGHSMGGKVALQFAESCARGHYGGSAKLPKQLWVLDSIPGEVIRENSDGEVEKVLQTLQSLPSSIPSRKWLVDHMIELGFSKSLSEWIGTNLKKQGDHETWAFNLDGVVQMFKSYQEKSYWHLLEQPPKDMEIAVVRAENSDRWDPDVIQRLGSLANREGDGSEGKFSVHVLPKSGHWVHVDNPKGLLEIVAPRISSLRP >ONH97403 pep chromosome:Prunus_persica_NCBIv2:G7:18116375:18117178:-1 gene:PRUPE_7G188300 transcript:ONH97403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQTPETPAEHKLPVTDHENYKTRTEQVQRAQWLRAAILGASDGLLSTTSLMLGVGAVKEDRWSMILSGIAGAIAGACSMAVGEFVSVSTQRDIEKTSLRQQSSLNTELGKEDIKGKVKLDIPERSNLTISIELTPSRTISCGKSTCDHPPEKILPTIEIIENDGGKESLPSPQKAASASALAFLCGSLLPLISAIFIAHSTVRMVVVVVVASIALALFGSMGARFGGSPAMPSAVRVLIGGWIAMAITYSLLMPFEKENRNEKD >ONH96618 pep chromosome:Prunus_persica_NCBIv2:G7:15679185:15681388:-1 gene:PRUPE_7G141400 transcript:ONH96618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMRVKHLVSFLLFLCLTRICTPSPNTDANQKHFVLVHGAGHGAWCWYKVSTLLSSIGHNVTALDLAASGVNPKQVQQLHSLSDYVEPLMRFMKSLPTKERVILVGHSMGGAAISIAMEKFPEKIYTAVFATAVMPGPALDYLNLSSQILNSLDLMDTQFRYDRGPNNPPTAALLGPKLLSSSMYQLSPPEDLTLALSLVRFTPLFSDDIKLTNEKYGSVRRVFIGCDQDHIITEKSRMLMINKNPPNEVKLINGSDHMVMFSRPLELFSYLKEVAES >ONH94182 pep chromosome:Prunus_persica_NCBIv2:G7:326839:331597:1 gene:PRUPE_7G002700 transcript:ONH94182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGSRALIQSQTETTRLQPPPTILMTILIPTTTMAKKVTAKRERKAFSDKEAI >ONH97087 pep chromosome:Prunus_persica_NCBIv2:G7:17189447:17191869:1 gene:PRUPE_7G169200 transcript:ONH97087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVTAAVSFPSSKSSSLPSRTSIISPDRITLKKVPLYYRDASASGRVVSIRAQVTTEAAPPAKVVKESKKQDEGVVVNKYKPKNPYTARVLLNTKITGDDAPGETWHMVFSTEGEIPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSEVTVTGPVGKEMLMPKDPNATIVMLATGTGIAPFRSFLWKMFFEKHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKVPENFRLDFAVSREQTNEKGEKMYIQTRMAQYAEELWELLKKDNTYIYMCGLKGMEKGIDDIMVSLAAKDGIDWIDYKKKLKKAEQWNVEVY >ONH98764 pep chromosome:Prunus_persica_NCBIv2:G7:21908530:21912163:-1 gene:PRUPE_7G265600 transcript:ONH98764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAREPELAALKKPRPPLVPAEKNNAVTTRRPRTREISSRYKSPTPTPSTPSGTRRCPSPNLTRTLRPSAQLVPKRSQSVDRKRPSTPTSPPSPSTPVQDSSVDVQLSSRRTGNGRTPESLWPSTMRSLSVSFQSDTISIPVSKKEKPVSSALSDRTLRSSSNVAHRQAETPAPRKLTPERKRSPLKGKNAADQSENSKPVDGLHSRLIDHHRWPSRIGGKVSSNSLNRSVDLGAKIVRLATPVPGVGLSALRRLPTSDALGNKSLQKSSSDAAALLSLNESGRAGLRANLVDDNSLHVSGPHKLVFSSLSDRLSLTTPAVRSQSLPATASRPSSPSKSSMFSSSVTRGLSPSRTRPSTPPSRGVSPSKTRSSNSCSQSSSSTSVLSFVADFKGKKGAIYIEDAHHLRLLYNRYLQWRFANARAETVLYIQKVTAERTLLNVWNTTLSLWDSVIRKRIILQQLNLELKLNSVLNDQMAYLDDWAVLESDHIAAFSGAMEDLEASTLRLPVTGGARADIDSLKVAICSAVDVMQAMASSICSLLSRVEGVNSLVSELAVVAAQEKVMLDECEALLASAAAMQVEEYSLRTHLIQMKQDLGSVSVQFWQPRQLLDPD >ONH97013 pep chromosome:Prunus_persica_NCBIv2:G7:16926499:16928891:1 gene:PRUPE_7G164600 transcript:ONH97013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLQALQPLQPMTLFFIIPFLFLSGLVFLYRSRRRSPYPPGPTGLPIIGNMLMMDQLTHRGLAKLAKQYGGIFHLRMGFLHMVGISNPDVARQVLQVQDNIFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWDAVRDEVDTAVRTVAVQAGSAVNIGELVFSLTKNIIYRAAFGTSSEEGQDEFIGILQEFSKLFGAFNIADFIPCLGWVDPQGLNNRLARARQSLDRFIDSIIDDHIQKKKKKKSEGSNGGETDMVDELLAFYSDEAKVNESDDNLQNAINLTRDNIKAIIMDVMFGGTETVASAIEWAMAELMRNPEELKRVQQELADVVGLDRRPEEGDFEKLTYLKCALKETLRLHPPIPLLLHETAEDAEVAGYHIPKKSRVMINSWAIGRDKDSWEDAESFKPSRFLKEGVPDFKGSNFEFLPFGSGRRSCPGMQLGLYSLELAVGHLLHCFTWELPDGMKPSELDMNDVFGLTAPRASRLIAVPSKRVVCPL >ONH95953 pep chromosome:Prunus_persica_NCBIv2:G7:12963594:12964432:1 gene:PRUPE_7G098400 transcript:ONH95953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTRRKLLNKVTVDIGCSNCRKPKLFHIFHPKPKPTTTQKQKYHHHNNFSSSSSSCNKVYKANNNATTFSPNTDYAPFLDTEVKPKSARAVQGFGRIGNESVAVEKDSGDPYLDFRHSMLQMILENEIYAKDDLRELLNCFLQLNAPCNHGIIIRVFTEIWNAVFSVRSSGSTMLHFPRKSRDY >ONH98212 pep chromosome:Prunus_persica_NCBIv2:G7:20500379:20503455:1 gene:PRUPE_7G235900 transcript:ONH98212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSELSLDCKPHSYSMLLKSFGDLQASHHHDQSQTQKLEEFLSRLEEERLKIDAFKRELPLSMQLLTTAVEASRQQLQAYRANIQGPNRPVLEEFIPLKHSPTEGSEKTTNTTSDKANWMTSAQLWSQASDHINATKPQSITSPKETDIGFSVSPKLGLDAKQHRSYGGGAFHPFSKDRNSCPSPTLRPLPELALASPENNKAAEMLMEDKIIKSCNNSETTHENGMINSSANNGNCSEQAKLLATGGINNSNISEGQTQTTTTTTTNNQSQSQTHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQAAGGPTPQLVVLGGIWVPPEYANAAHNGPTALYSPHPGSHAPTHYCATPMPQDFYTTQPPQQLHHHTLHHQLHVYRASTTSNTHSSPESDGHGACGRSESIEDGKSDSSSWKGAESGGDQMNGGDHRKGGVASRRSEDAEDSNGSQITLKF >ONH96677 pep chromosome:Prunus_persica_NCBIv2:G7:15884650:15885135:-1 gene:PRUPE_7G145300 transcript:ONH96677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARASVLALHPVRNAPRNSPTAASKPAPPRGLALPGRRQLALSLTWTAALAAVAVEAKAEDIGLFGLKRKLKEAERGAEVIVKEGFEAAEKGIETAEKGLETAEKGLETAERGIETAEKGVEAVVSFGGLAQAGAVAGAEVVGILVATSVVNGILGPES >ONH98646 pep chromosome:Prunus_persica_NCBIv2:G7:21641765:21645671:-1 gene:PRUPE_7G260000 transcript:ONH98646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPSWVPTLVAQACLCFSLYLAFQLGQPQKPMYHGTNGTRPLDLYFISVGGGFRPLNQQTHLLKQMEKVVKKYKAKFVVDISDLGKDDPLMQNGTLLFSSLKLPWYTTRVSERHGGGYFQKKINLPYEKTLDVIVVDTGLLQGTGSLGGFGNNQLHWLKRTLEATSSNWRIVVGFHPLAICEDGEEGMGAKKVFESLQLIFMKFEVNAYLSAQGCASSVRRHSLSYLGNTGQMEDGFLLHRVSTLEIVTYFVSSGGEVVHKSVLHQRGKEIM >ONH98593 pep chromosome:Prunus_persica_NCBIv2:G7:21447015:21449442:-1 gene:PRUPE_7G255900 transcript:ONH98593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFISSKKSGKGKRPGKGGNRFWKSVGLGFKTPREAIEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNKTIIIRRNYLHYIKKYQRYEKRHSNIPAHVSPCFRVKEGDHVTIGQCRPLSKTKRFNVLKVTPAGSSGAGKKAFTGI >ONH98457 pep chromosome:Prunus_persica_NCBIv2:G7:21143637:21146160:-1 gene:PRUPE_7G249600 transcript:ONH98457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRFKVWSYKEGELPLVHIGPMTNIYGIEGHFIDEIEREESPFRATHPDRAHTFFLPFSVANIVEYVYLPITQKQDYYRDRLQRIVVDYIGVVARKYPYWNRSHGADHFMASCHDWGPEISVGQPELFKNFIRVLCNANTSEGFQPRRDVPLPEIYVPSRKLGPPYLGQPPNNRPILAFFAGRVHGSIRPILLDNWKDKDDEVQVHEKLPLDQNYTKLMGQSKYCLCPSGFEVASPRVMEAFYAGCVPVLISDNYTLPFSDVLNWSQFSIQIPVAKIPEIKTILQGIPYEKYLRMQKRVSKVKRHFVLNRPSQPFDVIHMVLHSVWLRRLNSKLDTIHDHNS >ONH98456 pep chromosome:Prunus_persica_NCBIv2:G7:21143637:21146116:-1 gene:PRUPE_7G249600 transcript:ONH98456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTAGAFRFPTVAFLLVTLLFLLFFFLSFSPFSGNHLIIPLISSFTAFFNPKESNYTAPPHVSLDGLLTKSMYRRRSGHKNKTSLERIEEDLAQARAAIREAIQSRNYKSERTETFIPRGSIYKNPYAFHQSHIEMRKRFKVWSYKEGELPLVHIGPMTNIYGIEGHFIDEIEREESPFRATHPDRAHTFFLPFSVANIVEYVYLPITQKQDYYRDRLQRIVVDYIGVVARKYPYWNRSHGADHFMASCHDWGPEISVGQPELFKNFIRVLCNANTSEGFQPRRDVPLPEIYVPSRKLGPPYLGQPPNNRPILAFFAGRVHGSIRPILLDNWKDKDDEVQVHEKLPLDQNYTKLMGQSKYCLCPSGFEVASPRVMEAFYAGCVPVLISDNYTLPFSDVLNWSQFSIQIPVAKIPEIKTILQGIPYEKYLRMQKRVSKVKRHFVLNRPSQPFDVIHMVLHSVWLRRLNSKLDTIHDHNS >ONH97880 pep chromosome:Prunus_persica_NCBIv2:G7:19523124:19525676:-1 gene:PRUPE_7G215800 transcript:ONH97880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRGLSLEEKREKMLQIFYDSQDFFLLKELEKSGPKKGVISQSVKDVIQSLVDDDLVFKDKIGTSVYFWSLPSTAGNQLRNVNSRLESDLQSSKKRHAELVEQCNALKKGREDSEERDEALADLKAIELKYNELKDEMAQYADNDPAAFEAMKKAIEVAHTAANRWTDNIFTVRQWCSNNFPQAKEQLENLYNEIGITEDFDYIEMTPAPLSSVIN >ONH97879 pep chromosome:Prunus_persica_NCBIv2:G7:19523244:19525828:-1 gene:PRUPE_7G215800 transcript:ONH97879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRGLSLEEKREKMLQIFYDSQDFFLLKELEKSGPKKGVISQSVKDVIQSLVDDDLVFKDKIGTSVYFWSLPSTAGNQLRNVNSRLESDLQSSKKRHAELVEQCNALKKGREDSEERDEALADLKAIELKYNELKDEMAQYADNDPAAFEAMKKAIEVAHTAANRWTDNIFTVRQWCSNNFPQAKEQLENLYNEIGITEDFDYIEMTPAPLSSVIN >ONH95169 pep chromosome:Prunus_persica_NCBIv2:G7:9339940:9342078:-1 gene:PRUPE_7G054600 transcript:ONH95169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFRVVSPVNNDVPHCIGDFPLQTISHPQTEVIYENDFGWGRPLAIRNGRANKFDGEAEGKQAKKNGCMLRLERVMLRKKKKGSMCKMPSNFEDCWNLEQLWSCVVMMED >ONH96865 pep chromosome:Prunus_persica_NCBIv2:G7:16513981:16516175:1 gene:PRUPE_7G156300 transcript:ONH96865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESSEGEEEGKLTGGNQVLTVDDDLREMGKKAAWSVSSCKAGNGVSSLRDDNLDTYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPCKISIRAGDGFHNLKDIKTVELDKPTGWVHISLSGNDPRETFVNTFMLQISVLSNHLNGRDTHVRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >ONH98284 pep chromosome:Prunus_persica_NCBIv2:G7:20706308:20707998:-1 gene:PRUPE_7G240400 transcript:ONH98284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDHVPFTSAVSACGHEMNLGLGKQIHGLTIKSGYGSHVSVCNVLISTYSKCEVIEDAKVVFHCMNDRNVVSWTTMIFIDEEDVISLFDEMRLDGVYPNDVTFVGLIHAISIRKLVAEGEMIHGLITMYAKFESIKKIVIKVFEELNSREIITWNALISGFAQNRLCQDALKTFLVATMESRPNNYTFGSVLSAIGDAQDISLKFGQRCHSSLIKLGLVTDPIIAGALLDMYAKRGSICESKRVFSETPHKSQFAWTAIISAYAGHGDYDSVIELFKEMEKEGVRPDSVTFLSFLSILTACSRKGMVEMGRHLFHSMVKDYHIEPSPQHYSSMVDMLGRAGKLEEAEELMSQIPGQPGFSLLQSLLGACRIHGNVEMEERVADRLMRLEPMESGSFVLMSNLYAEKGDWEMVAKVRKGMRDKGVRKEVGYSWVDTGDADGSLYLHGFSSGDKSHPQSGEICRMAKCLGLEMKILRENMWETKSLKMDSSSRPSL >ONH95521 pep chromosome:Prunus_persica_NCBIv2:G7:11243548:11244038:-1 gene:PRUPE_7G075400 transcript:ONH95521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLLTILVVLALALVAPSEEARYGPCVIGANSPCNRRQWGDQDSSTLNNPPAASPNRPIHIVDYTDKYYFDIPELEPPFYF >ONH96599 pep chromosome:Prunus_persica_NCBIv2:G7:15561809:15565073:-1 gene:PRUPE_7G139700 transcript:ONH96599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAGELIGGAVLGTLFSVLYERLEKLIIKNFKYKNILNDFKSNLDSLKPVINQIEEYNTELDHPEQELKDLKEVMKKGIELVGKCFEGGEFQFYEKNKYANKLVQLDKSLQALLQRLHVQGIRDVKESSVSVRNIKKELSRIEPMLSRIESSLVLQNQAKTEAWCAAPELRLLVVGLDFPLKELKRKLLNLKDGVSMLVLTAPGGCGKTTLATTFCQDQEVKDTFGDNIFFVTVSSNPNLERIVQELHLRRGSKVPTLGNEEQGQNPLLLVLDVVWSGSESLLEKFDQVKMPNYKLLVTSRSEFPRFGSSYHLQSLDNDNAMKLFHHYASLGDKSSDIPEDLSRQIVLVERCKGFPLAIKVLGGSLCRQPIEIWTQRLNEWSRGSTVHDSDDQRIPAAALIDIWTEYYDLDEDAECIANLYKLSYRSLANLVVIRKEKVEKVEKVEKEEGNQYYSEHFVTQHDILRELAIYYSKQEPVEQRTRLIIDKCGNKLPSWWREQKHQPMKARLLSISTTGCPICLFSNYTYTCFHISFTSHSHQLACCYFADRLFPKELQNMQVPDVEVLVLNFQTNNYALPKSVENMDKLRALIVTNSVSPAELSNFQLLSSLSNLKRIRLERISILSITKNPIELKSAEDILVHEINIDYCDDLVELPADLSDLIQLKKLSVTNCHKLSALPEEIGKLVNLEVLRLRCCTDLVKLPGSIKYLTRLHFLDIYKCFSIKEFPEKIGELSGLETINMGQCSRLQELPVSVLNLGKLQEVICDEETEILWGELFKSHLTRISVVKEDFNLH >ONH96389 pep chromosome:Prunus_persica_NCBIv2:G7:14745557:14746200:1 gene:PRUPE_7G125500 transcript:ONH96389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILWPSLSSSNTNSFFVGTMTFGEQNTFPESFGLLDQAFLSCINFFSWTLQKCIQWFSEPRLRVRGGVRSVILATKVLSGKMTWIQDGPRFLNDKNITEAIDGRFAKANSANSL >ONH96567 pep chromosome:Prunus_persica_NCBIv2:G7:15426518:15430559:-1 gene:PRUPE_7G137700 transcript:ONH96567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLSNISFSSSSSSSPSSFSSVFRSSSAESPKQKASDDGGWMFRSRRKLTRQRKQLLISGGGGGDQDGGGGAVNKCPSSPLPEIASSLANPQPLPLPELAKERCRKERASGCNSGDFGDSRLPSPKAGDGAALNTPIQNVIASRDTRKNNDHAFETRSRSRWKNRDMKGLEIYKKDFRLDVPIWSAPASPFSSPTFSPHRPSAGDLLPHINPMGNQVWSALEMPTSDMNPGLPPPAFSDYSIVSNDNSPIHSPSNRSPCRNPRSPLGSTSPLHTKLSIEASTARREGNGYVEFHPLPLPPGASLSLPSPQALLTPKPESQPVKGQWQKGKLIGRGTFGSVYVATNRETGALCAMKEVELFPDDPKSAECIKQLQQEIKVLSQLKHPNIVQYYGSEIVDDRFYIYLEYVHPGSINKYVHEHCGAITEAVVRSFTRHILSGLAYLHSTKTIHRDIKGANLLVDSCGVVKLADFGMAKHLSGHVGNLSLKGSPYWMAPELMQAVMHKDNNSDLALAVDIWSLGCTIIEMFTGKPPWSEYEGAAAMFKVMKDLPPIPETLSSEGKDFLRCCFRRNPAERPTAAVLLEHRFLKNSQPQDVQSVTPSVTQASSAMDYMDKLRPVELERKYDQSLMIPGILGPQTSAFPIR >ONH96828 pep chromosome:Prunus_persica_NCBIv2:G7:16416593:16417396:-1 gene:PRUPE_7G154600 transcript:ONH96828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQGDGSEDDATLSPVTAAILLPPTEHCLHSINSTVFIRQLPSQGLSFQLWPAATTFLTLLDDHRRNPSSGPLGPTLTALGSRRPPLKILELGSGTGLVGIAAAVTLGASVTVTDLPHVIPNLSFNVKANAAVLAANGGVVHVAALRWGEAEDVEVIGREFDLIVASDVVYHDHLYEPLLKTLRLLIMGGEEAEEGRVFVMAHLRRWKKDSAFFKKARKLFEVEVLHVDPPCHGSRVGVTVYRFAGKKSSKKLLKANNANAIAIA >ONH98406 pep chromosome:Prunus_persica_NCBIv2:G7:21059535:21062692:1 gene:PRUPE_7G248100 transcript:ONH98406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVCGKRSSIFEENSLPSSSPPVSSSSKRIRFSSSPVRFSPPTPARTSFASHLIDHLRSIFPDMDNQLLERALEECGDDLDSAIRSLNELRLSSAAGKSNVASEANVQLQSQGSAATNGEVAVTDDPSGLNNLPKDGADWVELVVREMMSASNMDDAKARASRVLEALEKSISGRATAEAAQSFHQENMMLKEQLEVLIQENTILKRAVSTQHERQKEYEDRGQELQHLKQLVAQYQEQLRTLEVNNYALTMHLKQAQQSSSIPGRFHPDVF >ONH97259 pep chromosome:Prunus_persica_NCBIv2:G7:17677616:17679457:1 gene:PRUPE_7G180500 transcript:ONH97259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDQRLLFSQYSYFRNLRSEDCREFFPRKLAAPANQLLPSRFKGGDAIIVNLVFFCNVEPLFINNVQKM >ONH95920 pep chromosome:Prunus_persica_NCBIv2:G7:12809280:12812449:1 gene:PRUPE_7G096100 transcript:ONH95920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRAHISGTPHRHGDRGIAHDGASSIPTSDEGSIIGNSSDSVAIAIQQLIRSTPDLRPECCIYNVPERFRKGNEEFYKPRVVAIGPYHFKDASYAMCKPKYVDAFFRRNKLNLDHCLGLVRAWEAKARSYYVKHIELSSDKFTFIMLMDATFVLELMLRHHFSRYRDNCDPIFHKPRMIEDVYHDILLIENQLPFFVLEGLYEQIGTNSLTPGDDHLSFTMLTHEFFKHFVKIDKFPAHVVSANDQVNHFVDFIRRYYLAPPPPQEDQQKHNANVHEIPPSVTALDEAGVKFETIKGSASLLNIEFKNGRLKIPNFIVDDWTETLFRNLIAFEQCHDTEKYISQFMFLMMCMIRTSKDADLLMDSGVISSMLGSSKDLSILFNHINRDVGFGEPFHYFQICANLNAYCNTPRHRWKAILKRDYFNTPWKLASTIAAIILLVLTFIQTICSILSL >ONH95921 pep chromosome:Prunus_persica_NCBIv2:G7:12809677:12812368:1 gene:PRUPE_7G096100 transcript:ONH95921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRAHISGTPHRHGDRGIAHDGASSIPTSDEGSIIGNSSDSVAIAIQQLIRSTPDLRPECCIYNVPERFRKGNEEFYKPRVVAIGPYHFKDASYAMCKPKYVDAFFRRNKLNLDHCLGLVRAWEAKARSYYVKHIELSSDKFTFIMLMDATFVLELMLRHHFSRYRDNCDPIFHKPRMIEDVYHDILLIENQLPFFVLEGLYEQIGTNSLTPGDDHLSFTMLTHEFFKHFVKIDKFPAHVVSANDQVNHFVDFIRRYYLAPPPPQEDQQKHNANVHEIPPSVTALDEAGVKFETIKGSASLLNIEFKNGRLKIPNFIVDDWTETLFRNLIAFEQCHDTEKYISQFMFLMMCMIRTSKDADLLMDSGVISSMLGSSKDLSILFNHINRDVGFGEPFHYFQICANLNAYCNTPRHRWKAILKRDYFNTPWKLASTIAAIILLVLTFIQTICSILSL >ONH96835 pep chromosome:Prunus_persica_NCBIv2:G7:16435004:16435961:-1 gene:PRUPE_7G155100 transcript:ONH96835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAPLPPSRQFLLPPFCFPAQLLRNDDDVLVLQSKSKATMGSCRKKNFGSGSFVSNLRDHIHEFIHASMDEHRTCMKETVRKMFERAKLYREKSGGGPKEGETRLPLQTTTD >ONH94814 pep chromosome:Prunus_persica_NCBIv2:G7:5930616:5931914:1 gene:PRUPE_7G032000 transcript:ONH94814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPRRSSLELIPNEGTRKMTFRKRKKSIYKKADELSKLCGIDVCLIVYEADQKKGRAVQPETWPRDPTQFKRILNKYKDSKDTSTPGLKRNFDMSDFYEDKKDHVDEDDEKFQNLGERIFEGEYPTKFQNLGKKIFEEEYPTWDDRIDDFSKDELTELIASLESKIQVATKKIDCMERYMGFAKKQNQSLVREEINHDEQPIKSLL >ONH97644 pep chromosome:Prunus_persica_NCBIv2:G7:18855431:18857146:-1 gene:PRUPE_7G203200 transcript:ONH97644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYLHSINVSLQLLTFSKQTLQLHTLFPTLKLYLTVLCSLSVFLPINTLFLLGRFCVFCNPKRKMYVREKSLKEDTFPRRRRNPSFSSSLLDSIYRSIDESNGGDGDPGYVRESTVMKKQSSSAKGGSDRTNLRRAIMIENWVEKQTVQSSMLSTSASSSSESSSGAAFSSSETDSSYKPRTKPKPVAASAHSEKYMQFQEKPKHENGGGGGFTKTKLRALKIYGELKKVKQPISPGGRIASFINSIFNSGNVKKAKMCYVGAVEDVTTSEHVSKSVSCSSSSASTFSRSCLSKPSSRAKKLSNGTKRSVRFYPVSVILGEEDSQPSSHHKCVYEEDPSLMPKPSYQKFARATLLKEDLTTVGQNFARGFCDDGDDEESDHDAESYSSSDLFELDHPVGIGRYREELPVYETTNFRTNQAIAQGLIL >ONH97197 pep chromosome:Prunus_persica_NCBIv2:G7:17467869:17469124:1 gene:PRUPE_7G175300 transcript:ONH97197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSGLIGSRILKLLSKAAQPAVTYHSPPPSPRKTSGPMISLIPSEARRKPRNGITFGPKEPTSPKVSCMGQIKGKQKKKKKKNQSKSKSNTSKGACPPPQESTTCNLVSCVPKEVKKKTSKGLPKEAPPKEGDKNDNNHPDGLVGGSSRGPERAPSLGQMRRFASGRGVLADFDLILEVHDDQLGDVKNRVGGDSQGD >ONH95709 pep chromosome:Prunus_persica_NCBIv2:G7:12131285:12133213:-1 gene:PRUPE_7G086600 transcript:ONH95709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHGRNNGKKLMAVRIIRHAMEIIHLLTDQNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >ONH95710 pep chromosome:Prunus_persica_NCBIv2:G7:12131285:12133211:-1 gene:PRUPE_7G086600 transcript:ONH95710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHGRNNGKKLMAVRIIRHAMEIIHLLTDQNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >ONH95707 pep chromosome:Prunus_persica_NCBIv2:G7:12131345:12133834:-1 gene:PRUPE_7G086600 transcript:ONH95707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAVDAPVAAAPVVVASVVEPTEPHFDVKLFNRWSFDDVQVSDISLADYVGVVPAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIRHAMEIIHLLTDQNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >ONH95708 pep chromosome:Prunus_persica_NCBIv2:G7:12131285:12133882:-1 gene:PRUPE_7G086600 transcript:ONH95708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAVDAPVAAAPVVVASVVEPTEPHFDVKLFNRWSFDDVQVSDISLADYVGVVPAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIRHAMEIIHLLTDQNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >ONH97768 pep chromosome:Prunus_persica_NCBIv2:G7:19146787:19148111:1 gene:PRUPE_7G208700 transcript:ONH97768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRDQGAAQNHPCASCKQQRRKCDETCEMAPYFPASRYSEFQNAHKIFGVSNIQKIMAMAAPDQKQAAAESILTEGNARKNDPVNGCLGIIRGLNSQIQGLERELHIMKQHLELCKEKEELDGKKNQSKEEDLQHDQSDDLNSPILPDLPSHVITEMRKYEQGYNYWSTLEDAEEKVFDIRIIEPVLFESNLKGNASSSAPGETRDGKEKEEGEIASAAASKAKEKQPSESLREKTTEDKA >ONH94170 pep chromosome:Prunus_persica_NCBIv2:G7:269306:270032:1 gene:PRUPE_7G002100 transcript:ONH94170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTTKEEGEEWRTLQLQEWRTLQLHHLFVWSDQRSAWIRKYQL >ONH95772 pep chromosome:Prunus_persica_NCBIv2:G7:12361253:12364729:-1 gene:PRUPE_7G090000 transcript:ONH95772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGFPSSRQVVKCSNCECSSSVMSVSSGTWLRSVKRKYSEYEDGNRFFIPGLEIYYNARVQMENECVALRETLSSQQNTIQDLYTELDEERNASSSAANEAMSMILRLQREKAEIQMEARQFKCFVEEKMAHDQQELLALEDLLYKREQAIQALTCEVQAYKHRMMSYGLTESEAEGEKGGFSRIQSMGDFEAQYELPTTPTYEYPPLKCKLNETQGPLEEDDDVTDIEKYAFGETPRGRDHLRNLENRLSQMERCPSSNQLDGDFSGSKNIFEKVVVGYSPRRSRHSGRLSSDSPMYMVREAGSDFGAESPRYNSSLKKVDYVSQSEDYPNTRKLDNASEFGDDMSDRVYTIDSIHNGAPYNGFTDAKAGVGDYATAPRGSLNQPDFEDPDVMKLYMRLQALEADRESMRQAMLSMRTDKAQLVLLKEIAQHLCKDMSAEKQMTVKKPSLVGSTSFMSVFKWIKSFVFWRRRARRSKYMFGLSANVGLLMLLDNGPHARQWRCLTSTQV >ONH95771 pep chromosome:Prunus_persica_NCBIv2:G7:12360246:12364729:-1 gene:PRUPE_7G090000 transcript:ONH95771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGFPSSRQVVKCSNCECSSSVMSVSSGTWLRSVKRKYSEYEDGNRFFIPGLEIYYNARVQMENECVALRETLSSQQNTIQDLYTELDEERNASSSAANEAMSMILRLQREKAEIQMEARQFKCFVEEKMAHDQQELLALEDLLYKREQAIQALTCEVQAYKHRMMSYGLTESEAEGEKGGFSRIQSMGDFEAQYELPTTPTYEYPPLKCKLNETQGPLEEDDDVTDIEKYAFGETPRGRDHLRNLENRLSQMERCPSSNQLDGDFSGSKNIFEKVVVGYSPRRSRHSGRLSSDSPMYMVREAGSDFGAESPRYNSSLKKVDYVSQSEDYPNTRKLDNASEFGDDMSDRVYTIDSIHNGAPYNGFTDAKAGVGDYATAPRGSLNQPDFEDPDVMKLYMRLQALEADRESMRQAMLSMRTDKAQLVLLKEIAQHLCKDMSAEKQMTVKKPSLVGSTSFMSVFKWIKSFVFWRRRARRSKYMFGLSANVGLLMLLDNGPHARQWRCLTSTQV >ONH95773 pep chromosome:Prunus_persica_NCBIv2:G7:12360246:12364736:-1 gene:PRUPE_7G090000 transcript:ONH95773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEGFPSSRQVVKCSNCECSSSVMSVSSGTWLRSVKRKYSEYEDGNRFFIPGLEIYYNARVQMENECVALRETLSSQQNTIQDLYTELDEERNASSSAANEAMSMILRLQREKAEIQMEARQFKCFVEEKMAHDQQELLALEDLLYKREQAIQALTCEVQAYKHRMMSYGLTESEAEGEKGGFSRIQSMGDFEAQYELPTTPTYEYPPLKCKLNETQGPLEEDDDVTDIEKYAFGETPRGRDHLRNLENRLSQMERCPSSNQLDGDFSGSKNIFEKVVVGYSPRRSRHSGRLSSDSPMYMVREAGSDFGAESPRYNSSLKKVDYVSQSEDYPNTRKLDNASEFGDDMSDRVYTIDSIHNGAPYNGFTDAKAGVGDYATAPRGSLNQPDFEDPDVMKLYMRLQALEADRESMRQAMLSMRTDKAQLVLLKEIAQHLCKDMSAEKQMTVKKPSLVGSTSFMSVFKWIKSFVFWRRRARRSKYMFGLSANVGLLMLLDNGPHARQWRCLTSTQV >ONH98555 pep chromosome:Prunus_persica_NCBIv2:G7:21385231:21385560:1 gene:PRUPE_7G254000 transcript:ONH98555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGVAGMMFQCVFEGSLSMRDIEIERRPYHKNCSCALHKSKGGVCSNACQRNISFPKKQSWSDGSLCMQAAAASSKFSSPLVGDMSTSTGNRGSVNGVHNSALSHRQ >ONH94242 pep chromosome:Prunus_persica_NCBIv2:G7:689742:695364:1 gene:PRUPE_7G006000 transcript:ONH94242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSLIFLYITTLASLLASSSALCPHESEFFRYSLQSQSHISISPYPPLKVDGNFLERALATKQRTGYTAVLFYASWCPFSCSMYPTFGMLSFMFPQVEHLAIEQSSALPRYGIHSLPSILIVNQTSRVRYHGLKDLYSLVRFYQTITGLEPVQYFDGNQSVILKSSEKSIIQSMSNMSLREISRSEPYLVFAILFLCLRALLYIFHRVLACLQAFWVLYVPHFNLGIFGETSQIMGRILHMVDVRRIWNKLRLCKTQNFHKGAKNARVWASSLTSVSLGESSARSSSSS >ONH94241 pep chromosome:Prunus_persica_NCBIv2:G7:689742:695364:1 gene:PRUPE_7G006000 transcript:ONH94241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSLIFLYITTLASLLASSSALCPHESEFFRYSLQSQSHISISPYPPLKVDGNFLERALATKQRTGYTAVLFYASWCPFSCSMYPTFGMLSFMFPQVEHLAIEQSSALPSVFSRYGIHSLPSILIVNQTSRVRYHGLKDLYSLVRFYQTITGLEPVQYFDGNQSVILKSSEKSIIQSMSNMSLREISRSEPYLVFAILFLCLRALLYIFHRVLACLQAFWVLYVPHFNLGIFGETSQIMGRILHMVDVRRIWNKLRLCKTQNFHKGAKNARVWASSLTSVSLGESSARSSSSS >ONH98346 pep chromosome:Prunus_persica_NCBIv2:G7:20902161:20904035:-1 gene:PRUPE_7G245000 transcript:ONH98346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVKGLEYQAEPRDAYKIAYIIHFLLGAGNLLPWNAFITAVDYFGHLYPTKHVEKVFSVAYMSSSVVVLVVMMSCGSWWEKMSPRLRLNLGFSLFILSLMVAPVTDWFWYSTTGSSSGRANAGYGVTVASVVVCGLADGLVGGSLMGSAGKLPKKYMQAVFAGTASSGVIISLLRISTKAMLPQTPKGLKTGAHLYFMVSTVFLLCCIIGCNLLYRLTVMQEHCKLVQDESFCSRPKFWAVARKVPGPAFGIFIIYTVTLSIFPGFIAENLESKLLRDWYPILLITVYNIADLVGKSLTAVYLLKSIKKATWACITRLLFYPIFTACLHGPIWLKTEIPMVVLTFLLGLTNGYLTSVIMMIVPKTVPVSEAELSAIVMVVFLGVGLVSGSVLGWFWVL >ONH97410 pep chromosome:Prunus_persica_NCBIv2:G7:18130681:18134606:1 gene:PRUPE_7G188700 transcript:ONH97410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKQPALITSGMVLLLLLLFPAAAFSDGQNLETSQYKWGPFDESYYNTFAVIKPATISNEALQITPDSAGNFTLYNRSGRVFFNQSFVLWTDSDSPGSATTGASGRVASFNTSFLVNVFRVNSSAVPGEGLAFLIAPNLTLPQGSDGEYLGLTNAASDGNPSNHLLAVELDTYKQSFDPDDNHLGLNINSIRSNKTVSLSDLDFQIAPNTTKFYMVWVQYDGVSRQLDVFMAEQLRDPKTSDFFIRPRPTNPILTANLNLKDIVQQKSYFGFSASTGSAIQLNCLLRWNLTVENLSGVGSEDSKSQGLKIGLGVGVPVVVLLLLGLGGLVYYMHKRRRAAASDPNILGALKSLPGTPREFEFKELKKATNNFDEKHKLGQGGFGVVYRGVLPKENLEVAVKKFSRDNLKGKDDFLAELTIINRLRHKHLVRLLGRPMFVGARHVVFSLLPGWCHKTGMLLIVYEYMPNGSLDNHLFGGPENATLGWSQRYKIVSGVASALHYLHNEYDQRVIHRDLKASNIMLDSHFNSRLGDFGLARALDNEKTSYAELEGVPGTMGYIAPECFHTGKATCESDVYGFGAVLLEVACGQRPWTKMGGFQCVVDWVWSLHRNGSVLEAVDERLGSDYVAEEAERLLLLGLACSHPIANERPKTQAIIQIISGSVPVPRVPPFKPAFVWPSSSMPEGVSSVANTVNTTSSWPLGISVSDRSEWTPRYDSQDSYGGAGGYSDSSSLV >ONH97411 pep chromosome:Prunus_persica_NCBIv2:G7:18130681:18134606:1 gene:PRUPE_7G188700 transcript:ONH97411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKQPALITSGMVLLLLLLFPAAAFSDGQNLETSQYKWGPFDESYYNTFAVIKPATISNEALQITPDSAGNFTLYNRSGRVFFNQSFVLWTDSDSPGSATTGASGRVASFNTSFLVNVFRVNSSAVPGEGLAFLIAPNLTLPQGSDGEYLGLTNAASDGNPSNHLLAVELDTYKQSFDPDDNHLGLNINSIRSNKTVSLSDLDFQIAPNTTKFYMVWVQYDGVSRQLDVFMAEQLRDPKTSDFFIRPRPTNPILTANLNLKDIVQQKSYFGFSASTGSAIQLNCLLRWNLTVENLSGVGSEDSKSQGLKIGLGVGVPVVVLLLLGLGGLVYYMHKRRRAAASDPNILGALKSLPGTPREFEFKELKKATNNFDEKHKLGQGGFGVVYRGVLPKENLEVAVKKFSRDNLKGKDDFLAELTIINRLRHKHLVRLLGWCHKTGMLLIVYEYMPNGSLDNHLFGGPENATLGWSQRYKIVSGVASALHYLHNEYDQRVIHRDLKASNIMLDSHFNSRLGDFGLARALDNEKTSYAELEGVPGTMGYIAPECFHTGKATCESDVYGFGAVLLEVACGQRPWTKMGGFQCVVDWVWSLHRNGSVLEAVDERLGSDYVAEEAERLLLLGLACSHPIANERPKTQAIIQIISGSVPVPRVPPFKPAFVWPSSSMPEGVSSVANTVNTTSSWPLGISVSDRSEWTPRYDSQDSYGGAGGYSDSSSLV >ONH97412 pep chromosome:Prunus_persica_NCBIv2:G7:18130681:18134606:1 gene:PRUPE_7G188700 transcript:ONH97412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWVQYDGVSRQLDVFMAEQLRDPKTSDFFIRPRPTNPILTANLNLKDIVQQKSYFGFSASTGSAIQLNCLLRWNLTVENLSGVGSEDSKSQGLKIGLGVGVPVVVLLLLGLGGLVYYMHKRRRAAASDPNILGALKSLPGTPREFEFKELKKATNNFDEKHKLGQGGFGVVYRGVLPKENLEVAVKKFSRDNLKGKDDFLAELTIINRLRHKHLVRLLGWCHKTGMLLIVYEYMPNGSLDNHLFGGPENATLGWSQRYKIVSGVASALHYLHNEYDQRVIHRDLKASNIMLDSHFNSRLGDFGLARALDNEKTSYAELEGVPGTMGYIAPECFHTGKATCESDVYGFGAVLLEVACGQRPWTKMGGFQCVVDWVWSLHRNGSVLEAVDERLGSDYVAEEAERLLLLGLACSHPIANERPKTQAIIQIISGSVPVPRVPPFKPAFVWPSSSMPEGVSSVANTVNTTSSWPLGISVSDRSEWTPRYDSQDSYGGAGGYSDSSSLV >ONH97090 pep chromosome:Prunus_persica_NCBIv2:G7:17204080:17206731:1 gene:PRUPE_7G169500 transcript:ONH97090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQFSYCITLLLLIIAKGVTGATFTFTNKCDFTVWPGILASAGTPKLDSTGFELAKGSTRSFQAPTGWSGRFWGRTGCNFDGSGHGSCSTGDCGSGQLECNGAGAAPPATLAEFTLGSGSQDFYDVSLVDGYNLPMIVEGSGGSGTCASTGCATDLNRRCPAELKVDGGGACKSACDAFGSPEYCCNGDYGSPSTCKPSMYSQLFKSACPKSYSYAYDDASSTFTCTGADYTITFCASLLPSVKSATDSSSQTTDGSGSDSGSDLLASSLLADLAAGDSTRTQPSMALLSMLVLVISLALSFSQL >ONH97054 pep chromosome:Prunus_persica_NCBIv2:G7:17056298:17059206:-1 gene:PRUPE_7G167100 transcript:ONH97054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRKVGETQPQPEEGGSAQTSQSIRISKSAEDSDKKGTNRRLKDVEICVPIVYGTIAFYLGRKASESQSHKWTVYVRGATNEDLGVVVKRVIFQLHPSFNNPMRVVDSPPFELTECGWGEFEISISLFFHSDVCERQLDLFHPLKLYTEDESGPQSTKKPVVMESYNELVFPDPSEAFVARVQNHPAVVVPRLPAGFNLPNPESIDRANEKGRGDTKDHPLSQWFLNFSEPDELLKLAAARQEVQAHIVNVRRKLSMLDGVPQLSKPPSGYEYT >ONH97053 pep chromosome:Prunus_persica_NCBIv2:G7:17056297:17059278:-1 gene:PRUPE_7G167100 transcript:ONH97053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRKVGETQPQPEEGGSAQTSQSIRISKSAEDSDKKGTNRRLKDVEICVPIVYGTIAFYLGRKASESQSHKWTVYVRGATNEDLGVVVKRVIFQLHPSFNNPMRVVDSPPFELTECGWGEFEISISLFFHSDVCERQLDLFHPLKLYTEDESGPQSTKKPVVMESYNELVFPDPSEAFVARVQNHPAVVVPRLPAGFNLPNPESIDRANEKGRGDTKDHPLSQWFLNFSEPDELLKLAAARQEVQAHIVNVRRKLSMLDGVPQLSKPPSGYEYT >ONH95021 pep chromosome:Prunus_persica_NCBIv2:G7:8333547:8338744:-1 gene:PRUPE_7G047000 transcript:ONH95021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNVVCSAFKFQSGQMIVVALLLMVGCFYAGTLFGNNAPIYASQLSTSSSSSPGTSTFTNKVALTYRKTPLLIPETGMDVCPLTYNEYIPCHDVSYVKTLLPNLDTSRKEELERHCPPIEKRLFCLVPPSRDYKIPIRWPTSRDYVWRSNVNHTHLAEVKGGQNWVHEKDQLWWFPGGGTHFKHGAAEYIQRLGDMITNGTGDLCSAGVTQVLDVGCGVASFSAFLLPLGIQTMSFAPKDGHENQIQFALERGIGAMISAIATKQMPYPTGSFQMVHCSRCRVDWHENDGILLKEMNRLLRYNGYFVYSAPPAYKTTKNYPLIWEKLMNLTSAMCWKLIARKVQTAIWIKQDDLSCLQHNSEQKLINLCDAVDDSESSWSTPLKNCIQVRGAQTDSPKLSSRSERLSIYTESLSRIGVTQEEFTSETIFWQDQVRHYWRLLNVSKTEIRNVMDMNAFCGGFAVALNASPTWVMNIVPSSMKNTLSAIYNRGLIGAFHDWCEPFSTYPRTYDLLHANHLFPHYKSRGEGCLLEDILLEMDRIIRPQGFIVIRDDKTITSRIQDLAPKFLWEVESHLLENKEKKTETVLICRKKFWAIV >ONH95693 pep chromosome:Prunus_persica_NCBIv2:G7:12042512:12042874:1 gene:PRUPE_7G085600 transcript:ONH95693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGPRDGAAWTQRLKEEYKALIAYTQMNKSNDNDWFRISADNPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPEHELPELDGKTQKMYRGGEDLLDSAFQAALGQELVVVVVCRRL >ONH95704 pep chromosome:Prunus_persica_NCBIv2:G7:12118889:12123029:-1 gene:PRUPE_7G086400 transcript:ONH95704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPVSCPLSDFDDLDSHCGAVLVSPVSLRKEEERKPLRSLSSNGPDSEPTTTNGSGKMNIEGSLSFKGMELNTKPLTKTCSADMENIASTRAESDAGDPLLTTENQTQEPGKHRYQAALKLQKVYKSFRTRRQLADCAILVEQRWWRALDFAELKRSSISFFDIQKPETAVSRWSRARTRAAKVGKGLSKDKRARKLALQHWLEAIDPRHRYGHNLHFYYAKWLHCESTQPFFYWLDIGDGREVNHEVCPRPKLQTQCIKYLGPIEREAYEVVMQDGKFIYKQSGNLLDTTEVRDAKWIFVLSTSKTLYIGQKSKGTFQHSSFLAGGATLSAGRLVVEQGILKSVWPHSGHYLPTEENFQEFMLFLIDHNVDLTNVKRSAADDEEGGLSKKSSNIGIRNSPKKPNPSKDTGTTNAEGSGQDSTDPSNEDSNPVENANPILLRLPERFCSKIAKLEIPQRNDAFDILKEGIPITCREYFPDSASDDGYETAEESLLTEEDFMIPKLNLFEDDEFEEDEKPVPKEKIMQRIDSHKGMKSYQLAQQLTSRWTTGAGPRIGCMRDYPSELQFRVLEQANLSPRRPGRSPRPLLPSPLSTERTAGKSPLGPRKSKRHNTIG >ONH94337 pep chromosome:Prunus_persica_NCBIv2:G7:1532001:1534014:1 gene:PRUPE_7G011500 transcript:ONH94337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYERGTLYTAEGCNHDTIDVGYMGEVESVYENINVTPIGESDLGGERLKKRTNAGSGDAIPLHLDGVHLYNLREEPVLLKEFKSVEEAEIFFKDYAKSIGFGSGGYVNVKFTMKDFYNKNDSERQAFLADGDAEAALGYTNAKAATDPETFILAMKHKKPISGVTDGDEAMQNAIDTQRCESMNRCMKDLLRRNGLKFFECIPQLDRALLRVRNKCVMDDLKAKNSTPVLTTQFHSLQQHVATVYTQSVFEIVQKQLNYVGTLICKSAIPFGGCCKLPISKYGHGGNCSCKMFEYGGIPCAHLLYVMKENDMKQIPEGLIMKRWTKCARLDIEVPMQVQKNEIVGLQVARYGALSGFCNNLCYYGSLTIEAYTLLKTELVRISTRSQDT >ONH94390 pep chromosome:Prunus_persica_NCBIv2:G7:1925421:1929265:1 gene:PRUPE_7G013600 transcript:ONH94390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGQKSGRSIFHIIFEGPTGLQSTCLSLSNIPISQTYSPDVKRELLMLSLPAIFGQAIDPLAQLMETAYIGRLGFVELASAGISMNIFNYISKLFNIPLLSVATSFVTEDLAKSEQNGWLGDITNGKPERTDGVTERKQLSSMSTALLLSVGIGIFEAVALSLGSGLFLNMMAISMDSPMRIPAERFLSLRPFSYNHTCIGNLLAAFLLPILMYYFGLGVTGAALSTVISQYTVTFLMIWFLNKRAILLPPKVGSLQFGGYIKSAHSFPNCFCFQLWDDTCGFLLGTTLAVLTTLTLGTSMAARQGPVAMAAHQICIQVWLVVSLLTDAMAASGQALIATYLCKGEYKIVKEVADSVLKV >ONH96914 pep chromosome:Prunus_persica_NCBIv2:G7:16639640:16640808:1 gene:PRUPE_7G159300 transcript:ONH96914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDYYMPTAASTSVWFSAYASFAGFMMLVRSMATELMPQPLRSYMYSVFNYPFAPFFSREVTLLFDEHYGMTYNELYEAIEVYLRTKIGPSVRCLRVSKLPRMKAINVSIDRDEEVDDTFDGVKMKWCYVVTKVEKPSNGSTDAKEKEKHRFELTFHKKHKDKVIDYYLPYVLARAKTLKEEQKTLKLYTNLDYWYGDDDEENDSWGSINLDHPCTFDKLAMDPELKRMIIEDLERFVGRREFYSKVGNAWKRDGLRSSCGDERIIIFITNCKEMLDPALLRPGRMDVHIHMSYCTPSGFRVLACNYLGIRDGEDHRLFGEIERLIESTEVTPAQVAEELMKSDDAEVSLGALVNFLKHNQAERERKEGGSRG >ONH98372 pep chromosome:Prunus_persica_NCBIv2:G7:20995581:20997428:1 gene:PRUPE_7G247100 transcript:ONH98372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPVVFIYEDYKYYECYNMDYCGDMQRVKDVKCKIEKDSGIASGRQKLFLVVGEDEHISLETLEKGVFVVLPYPEVATVPDEEIGCHLGSSGDLDNPEAAAVSKEETDIHLDSKGGGGPDNSEAAEVTDEQIAYILNSGDGSQTQTVEVKVMAC >ONH95025 pep chromosome:Prunus_persica_NCBIv2:G7:8346002:8349892:-1 gene:PRUPE_7G047200 transcript:ONH95025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKIEDESLINVIKRLFECGAVRIELGSCFFGRGFPQESGLSSILINIYFNGFDKEIQEMRLKKNQEHPKFDSNELVSKDGVFYKPVKIYAVRYLDEILVIASGSKMLTMDLKNWVVKHLEGILELKVDGIKTAIHSAVSEKIAFLGMELQAVKPSVLNPPMSEKAMRARKKYIRQKEVRAQELKNARERNRKKLGLKIMSHVYKKSKRSDGFKFEYQIKNEVREIFRTWADETVQEYLGSLEERWDWYRKLSAGNFLSLRHIRDQLPQELVDTYDKFQEQVDKHLNPVKARRALEDEERRIKDEEEKKYARGTVEDLTKLCVKADAPIELIRKMVRLIGFTNHMGRPRPITLLTALEDTDIIKWYAGIGRRWLEFYCCCHNFKMVKTVVTYHLRFSCILTLAEKHESTKREALKHFTKDLKVFDINGNEEVHFPTERQVKMMGDKNLSDPKPVDGTFSLALIRLASDEPPYSCVAHFCDKTDTVVYRVRLLQNRLNVNPVDEKKWVPGMGAINESLNLKCFPVCPDHIHDLYTGRITFQDIDCTSFVDGG >ONH95024 pep chromosome:Prunus_persica_NCBIv2:G7:8345814:8349892:-1 gene:PRUPE_7G047200 transcript:ONH95024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKIEDESLINVIKRLFECGAVRIELGSCFFGRGFPQESGLSSILINIYFNGFDKEIQEMRLKKNQEHPKFDSNELVSKDGVFYKPVKIYAVRYLDEILVIASGSKMLTMDLKNWVVKHLEGILELKVDGIKTAIHSAVSEKIAFLGMELQAVKPSVLNPPMSEKAMRARKKYIRQKEVRAQELKNARERNRKKLGLKIMSHVYKKSKRSDGFKFEYQIKNEVREIFRTWADETVQEYLGSLEERWDWYRKLSAGNFLSLRHIRDQLPQELVDTYDKFQEQVDKHLNPVKARRALEDEERRIKDEEEKKYARGTVEDLTKLCVKADAPIELIRKMVRLIGFTNHMGRPRPITLLTALEDTDIIKWYAGIGRRWLEFYCCCHNFKMVKTVVTYHLRFSCILTLAEKHESTKREALKHFTKDLKVFDINGNEEVHFPTERQVKMMGDKNLSDPKPVDGTFSLALIRLASDEPPYSCVAHFCDKTDTVVYRVRLLQNRLNVNPVDEKKWVPGMGAINESLNLKCFPVCPDHIHDLYTGRITFQDIDCTSFVDGG >ONH95023 pep chromosome:Prunus_persica_NCBIv2:G7:8347484:8349781:-1 gene:PRUPE_7G047200 transcript:ONH95023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINLRRTIRIPFHPKPSLCIPLNLFSTSAPNPNANSTEPLLESQLKSLVLSHYKHGKFTNLLQNVVALPTVLLAACQNLTTPQAPNGNGLSPSLLDSVSKRFSIHEMGRELFENRFDIGACSVTMAAQRNRGESLVLPNLKLKVLIEAIRMVLGIVYDERFVTFSYGGRVNMGRHTAIRYLKNSVENPSWWFTVSFNREKFDQQHVNKLCLFMQEKIEDESLINVIKRLFECGAVRIELGSCFFGRGFPQESGLSSILINIYFNGFDKEIQEMRLKKNQEHPKFDSNELVSKDGVFYKPVKIYAVRYLDEILVIASGSKMLTMDLKNWVVKHLEGILELKVDGIKTAIHSAVSEKIAFLGMELQAVKPSVLNPPMSEKAMRARKKYIRQKEVRAQELKNARERNRKKLGLKIMSHVYKKSKRSDGFKFEYQIKNEVREIFRTWADETVQEYLGSLEERWDWYRKLSAGNFLSLRHIRDQLPQELVDTYDKFQEQVDKHLNPVKARRALEDEERRIKDEEEKKYARGTVEDLTKLCVKADAPIELIRKMVRLIGFTNHMGRPRPITLLTALEDTDIIKWYAGIGRRWLEFYCCCHNFKMVKTVVTYHLRFSCILTLAEKHESTKREALKHFTKDLKVFDINGNEEVHFPTERQVKMMGDKNLSDPKPVDGTFSLALIRLASDEPPYSCVAHFCDKTDTVVYRVRLLQNRLNVNPVDEKKWVPGMGAINESLNLKCFPVCPDHIHDLYTGRITFQDIDCTSFVDGG >ONH95535 pep chromosome:Prunus_persica_NCBIv2:G7:11286457:11288287:-1 gene:PRUPE_7G076000 transcript:ONH95535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASVKFREDQKPLLRAKVPLSILGLPFQSGIVAGESKELTLNLGTFFESGPSIRVGYRPNDSSNPFSLVVKTGTGPFGSPISSSMLMSAEFNLLGSGKNPSFMLHFKPQFGDFSIKKSQSSVFQKIVSPADGVVSAETPAVDTAFMGKKITVLPSENQVAGILAGVFSGVEMAARTSMPVWNRGVVNLRWGVRVPAEMKSTGANPIAFHKIPFLVMNKIGIEHVDVADSKGKTTKAAEMEKGLTFPGNAEVAEACFTVKRQLEALQSENGLLRKAVEDLRQEIAGATKSISNSGNIGGRNSGGKVDWRSNSEKGPSEGDVSEELKKALNH >ONH97872 pep chromosome:Prunus_persica_NCBIv2:G7:19499342:19501800:-1 gene:PRUPE_7G215400 transcript:ONH97872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRCISQISVAAAELTSSQGHALTMASHQDDLLGKISEMEISVGKISEMEKTLGQLLDWSKSITQELRLRHPGPPVMTEPLPPSTVIEYCNPNHEADGVYPSLPEDWEHASTTVNQGETRSVYLFVSSYEDDEHANAVYEVKFKHGGEVTHESPVVRHVAKFRNGSCHDAARILNHSKLYCIGHDGGFIVDTESWSKCSSIPPIPASKSLENIVCAYGKVYYLASPSTFLSPVTGPSFGRYDHDQKIWKQMTPFPFYDDYDPRMQVTGYAVCYGAILFSLSGLRRGKFGVVAFHVGRKDWNRVKVDTSAYCAPPFQGRAVVVNETIYALYGESKIIAFSFTMDKDDNNGIHYSLRQLFILQGLEFECPVLPWFNDRSQYLVHLGNHDFFHVQTG >ONH97004 pep chromosome:Prunus_persica_NCBIv2:G7:16884732:16885575:-1 gene:PRUPE_7G164000 transcript:ONH97004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLKLNNNPYFQLFIQIWQFIVFGRFLIVDRNYMTRCKVELEKQIVDYILLTLIPKVDKPTKVIEFRPISLCTMIYKMISKTISKIIVNRLKPIMPLIIFEFQSAFVPTRLIMDNIIAAFESIHAIKRHGGSKLKKMVLKLDMYKAYDIVEWTFIEAMLKSLDFNDRWVKLIMDCISTVTYSVQVRGVASGMIVPSRGLRQGDPLSPYLFLICVEGLSALLSNALRIKRISGIFVAHGAPTISHLFFADDSLLFCNAHLADCAHLL >ONH97592 pep chromosome:Prunus_persica_NCBIv2:G7:18682763:18683880:1 gene:PRUPE_7G199300 transcript:ONH97592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKSNLRSSCSFPNLLLSCLNFTLFILSVTSLVPTILLRMPPTSMGMAFLMISGISILSSFVGFYSQLTHLCFITHVSLLLASLIGQLLGILALFTKERSTISMLKSPRDLKEAKLLVRLECGVLMAMLMMQMVVLVLSCVVQSCWVREYEGLEAEREAMTKKRSRRIAKVQEESIENAAKIAEVKAKDLDEKMKNKYGQWVKTGEFEG >ONH94294 pep chromosome:Prunus_persica_NCBIv2:G7:1201246:1202616:-1 gene:PRUPE_7G008900 transcript:ONH94294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMSRHWILLIVRAKRETVYFLDPLPGNRVVDEEAKNIVNSALKLYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONH96245 pep chromosome:Prunus_persica_NCBIv2:G7:14114844:14115631:1 gene:PRUPE_7G115900 transcript:ONH96245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFTDDASSSSSNRVSAQAHLQSVEFKKRKAGRKKFNETRHPIYKGVRQRCGKWVCELRQPDQKKSRIWLGTFTCPDMAARAYDVAALALKGKSAALNFPHEASTLPRIASTCSVKDLQCAAAEAAEGFFEVEVKSSGLEEKEEEEVVYLDEEELFNMPGLIDSLAEGLILTPPAMQKGFNWNDFDDDMENAVDFSLWSD >ONH96690 pep chromosome:Prunus_persica_NCBIv2:G7:15925856:15926708:1 gene:PRUPE_7G146200 transcript:ONH96690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSSSGSTQVATAKLILQDGKLQEYPYPVKVSYVLQKNPASFICNSDEMDFDDIVQAVNENEELQPGQLYFALPLSWLKHPLQAEEMAALAVKASSALMKSGGEKCGCRRNSVSQVMISGQEVKDRRRVVPAGGASRTGRGSGGNRRCAALLSAIPE >ONH98218 pep chromosome:Prunus_persica_NCBIv2:G7:20523239:20524872:1 gene:PRUPE_7G236400 transcript:ONH98218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLKQIQSQMFLLGLHQDRFTLSKLMAFCTDPSLGNLYCAEKVFHYIQNPCLFVYNRMIKAFAKRGSFRSALELFRLLREEGLWPDSFTYPFVFKAIGCLREPREGAKVHGLVVKTGFEFDAYVCNSLIDINCLTKPERNWLCWNVTISGYVRCRRFEDAFDMFQRMRCESNKKPDEATVVSTLSACTALKNLELGKQIHDYVKSELKLTTIIGNALLNMYAKCGCLNEGRRIFDEIPSKNVICCTSMVSGYDAVLWTAMINGYAQYNRFDEAVALFQEMQIRRVKGDKFTAVTLLTGCAQSGALEQGKWIHRYMEENGIKIDAPALIEMYAKCGCIDKSLEIFNGLREKDAACWTSIVCGLAKNGKASKAVELFSEMIQIGINPDDINFIAVLRACSHGGLVDEGRKFFNSMRKMYEIEPKLEHYACLVDLLGRAGLLDEAEEMIERVPSENKEIMIPLYGALLSACRIHGNVEMGERVAKRLADIESSGSSVHTLLANTYASADRWEDVTK >ONH94521 pep chromosome:Prunus_persica_NCBIv2:G7:3053582:3059760:1 gene:PRUPE_7G020700 transcript:ONH94521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKKSQVWDVPSNGMDDDTAVAPPPKPSPEKERLYLVWQGNNKFLCGGRIVFGHDAASLFLTSFLIGCPALTFCIRMLVTMKGEHGHYDYPVLTGGIILTVLDFTFLYLTSGRDPGIIPRNSRPPDSDEAYDMSGSMEWVNNKASNLRIPRIKDVQVNGHVVKVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYPFFILFISSSTFLCIYVFVLSWINILRQGGNLWRAMGHDIVSVILIVYCFVAVWFVGGLTVFHIYLICTNQTTYENFRYRYDKNENPYTKGVLGNLKEVFCSRIPPSMVNFRAWVSKDDDTVMGSIASESNRGFIGSKEKFDMERGSKFGKDGNMMVPSILQKLDYSGIDDNMKKKNLGSSDFDDNLKRNAADEPALNPFIFPIGQEQRRSQGSSGIGVNSTNDKRPQ >ONH98587 pep chromosome:Prunus_persica_NCBIv2:G7:21438191:21438769:1 gene:PRUPE_7G255600 transcript:ONH98587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIVTKASSITQKAKNGLETHTMIAEPLERGLAEDIDTRYLTFY >ONH95456 pep chromosome:Prunus_persica_NCBIv2:G7:10945069:10946430:1 gene:PRUPE_7G072000 transcript:ONH95456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAYEISDTANLPYLQAIVKETLRLHPAGPMVVWESTEECTVNGYTIPAKTRLFVNVWAINRDPTHWENPLEFQPERFLAEEGSGKSPLDVRGQHFHFLPFCPGTTLSLQVVQATVRGGVSVNMEEAPGITVPRAHPLVCVPVARLSPFPAT >ONH94417 pep chromosome:Prunus_persica_NCBIv2:G7:2207475:2209866:-1 gene:PRUPE_7G015000 transcript:ONH94417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKYGLDPTSEESEGRPECEVNKTRIDVESRHPSEYLVLSSVSNTLDCEGFLSLDDYEAKCLYLKSENPCVSQGYIDYLFIFYCNFESFPLLGYFLMFLWLLVLFYVLGNTASEYFCSSLENLSRLLKLSPTIAGVTLLSLGNGAPDVFSSLVSFMGGGTGEIGLNTILGGASFVSCVVVGIISISMRQRRIRVKKCDFVRDICFYLLVILFLVLILIQSEIDVWAAMAFASMYIVYVIVVYISHAHWKNAAGDISESNCTSTNDTDLSVPILSNMEKEELSAAEEGAVEGSSEVLEVKKCCSNLRSSASCRMVFFVLEMPLYLPRRLTIPVVCEEKWSKPYAVASVTLAPVLLSILWNHQFDRVSFMTSLVIYITGLIFGVTFGLVAFVTTEKSIPPKNCLFPWLVAGFLMSVTWSYFTAQELVGLLVSVGYLLGVSPSILGLTVLAWGNSFGDLITNLTMALNGGPEGTQIAFAACYAGPIFNILFGLGLSLVGSAWSTYPSPLVLRRDPYLLETVCFLAGGLLWALVVLPRRNMRLDGVLGGGLLVVYVSSMSLRLIQTLGSLKLQKEIN >ONH94418 pep chromosome:Prunus_persica_NCBIv2:G7:2207062:2209483:-1 gene:PRUPE_7G015000 transcript:ONH94418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTGEIGLNTILGGASFVSCVVVGIISISMRQRRIRVKKCDFVRDICFYLLVILFLVLILIQSEIDVWAAMAFASMYIVYVIVVYISHAHWKNAAGDISESNCTSTNDTDLSVPILSNMEKEELSAAEEGAVEGSSEVLEVKKCCSNLRSSASCRMVFFVLEMPLYLPRRLTIPVVCEEKWSKPYAVASVTLAPVLLSILWNHQFDRVSFMTSLVIYITGLIFGVTFGLVAFVTTEKSIPPKNCLFPWLVAGFLMSVTWSYFTAQELVGLLVSVGYLLGVSPSILGLTVLAWGNSFGDLITNLTMALNGGPEGTQIAFAACYAGPIFNILFGLGLSLVGSAWSTYPSPLVLRRDPYLLETVCFLAGGLLWALVVLPRRNMRLDGVLGGGLLVVYVSSMSLRLIQTLGSLKLQKEIN >ONH95257 pep chromosome:Prunus_persica_NCBIv2:G7:9864334:9878130:-1 gene:PRUPE_7G059400 transcript:ONH95257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSAMSSSAKALDPAFQGAGQRVGTEIWRIENFQPVPLPKSEHGKFYTGDSYIVLQTTQNKGGAYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGIASGFTKVEEEEFETRLYICKGKRVVRMKQVPFARSSLNHDDVFILDTENKVFQFNGANSNIQERAKALEVIQFLKEKYHDGTCDVAIVDDGKLDTESDSGEFWVLMGGFAPIGKKVTTEDDVVPEATPPVLYSITGGEVKAVEGELSKSLLENNKCYLLDCGSEVFVWVGRVTQVEDRKAVSQTAEEFLASQNRPKSTRITRVIQGYETHSFKSNFDSWPSGSATSGTEEGRGKVAALLKQQGVGLKGIAKSAPVTEEVPPLLEGGGKMEVWFINGGAKTPLPKEDIGKFYSGDCYIILYTYHSGDRKEDYFLCCWFGKDSIEEDQKIASHLANTMSNSLKGRPVQGHLFQGKEPPQLVALFQPMVVLKGGLSSAYKKHVEEKGLTDETYTEDCVALFRLSGTSVHNNKTVQVDAVAASLNSTECFLLQSGSSIFAWNGNQCTIEQQQLLAKLAEFLKPGVTLKHAKEGTESSAFWFALGGKQSYTSNKVSQEIVRDPHLFTFSFNKGKFQVEEIYNFTQDDLLTEDILILDTHAEVFVWVGQCVDLKEKQNAFEIGKKYIAMAASLEGLPHNVPLYKVTEGNEPRFFTIYFAWDHAKATVQGNSFQKKVSILFGIGHAVEDKSSGNQGGPRQRAEALAALSSAFNPSSGKSSHTGQDKSNGSSEGGPRQRAEALAALSSAFSSSSGTKPSLPKPSATGQGSQRAAAVAALSNVLKAEKTKLTPDASPVQSPPSETSASDGSQEVPEVKETGEAPASESNGDDSEPKQETVQDEIDSESSLSTFSYDQLRAKSENPVTGIDFKRREAYLSDEEFQTIFGMTKDAFYRQPKWKQDMQKKKADLF >ONH96956 pep chromosome:Prunus_persica_NCBIv2:G7:16773249:16774422:-1 gene:PRUPE_7G162100 transcript:ONH96956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIDHDDEDMAKALAYIEHMLLDYDDELEEDVHAEENEQLAVKIQEFEAFLLGTDAIAAEEQEQPQPEQGSFFYGNHAVPRVPSLQEPAALHAQEVIAAQQGMPLQQQELGLDWFCGNYVPPRHFIPFHELCSPHHLGSYYGIHNFVPHHHLLPFPSFQPSIQFQEPAASHALQAQEAIAAAQGMPQLPQQLELGSFHGIGTYVPHYFPAIPSLQRSIQFQEQPELDLGSFDGTGNYVPPALPPVPSLRNLIQICSKPFQKHVWPLLNGDEDLIQGIWLKMYDIAGVQKIHMLTGPDWQYFVRRHCLVWYKDVTLWAFRNAQTGKLCFVITSGRLPFFLKPSDILQDQDRVEIWTAA >ONH96391 pep chromosome:Prunus_persica_NCBIv2:G7:14755941:14757987:-1 gene:PRUPE_7G125700 transcript:ONH96391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGRRNGMEEDDNEDENALFEEEGLEDLDSHTPPHLRAIADASQQGDLDALRLALDNLEGGSIDEPVEDGDTALHLACLYGNLSCVELLLERGANLEATDEDGAIPLHDACAGGYTEIVQLLINGANDAARVKRMLETVDAEGDTPLHHAARGEHADIIRLLLASGASPTKANLYGKIPSDLPELATEARTILEAAAGATACQ >ONH96592 pep chromosome:Prunus_persica_NCBIv2:G7:15514943:15516816:-1 gene:PRUPE_7G139000 transcript:ONH96592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNVDTFDELVDLFAVQRRRFVFFDDVVLFNNDGYLASWFMREKMTVDSTTIEKPNTRRSASFVISFALRSLASPTVINLSTLPEKIGKLVSLEVLRLRSCTDLLELPGSIRNLKKLKFLDISYCFSIKELPEHIETEILWESFLSLLENIHIKVVKEDINLN >ONH97083 pep chromosome:Prunus_persica_NCBIv2:G7:17169137:17171888:1 gene:PRUPE_7G168800 transcript:ONH97083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIVKQILAKPIQLADQVTKAAEEASSSKQECFELKSKTEKLALLLRQAARASSDLYERPTRRIIDETEQVLDKALSLVLKCRANGIMKRVFTIIPAAQFRKMSSQLENSIGDLSWLLRVSAPADTREDGYLGLPPIAANEPILCLIWEQIAILHTGSVDDRSDAAASLVSLAKDNDRYGKLIIEEGGVGPLLKLIKEGKMEGQEHAAEALGLLGRDPESVEHMIHAGVCSVFAKILKEGPMRVQAMVARAISELASHYPKCQDLFAQHNIIRLLVSHLAFETVQEHSKYAITFNKATSIHAVVVATNNSNANYIPNRASDEDEKQGYRHIPHPLGNRISSQMHNVVTSTMAMQGGLKPPLQQVVNGVNQANQANPANQAKSNGNSNSNSNAKQNHQYQQSPHHHQHNHSGTSIKGRELEDPATKATMKAMAARALWQLAKGNSPICRSITESRALLCFAVLLEKGSEDVQLNSAMALMEITAVAEKDAELRRSAFKPNSPACRSVVDQLQNITEKADADLDLLIPCIKAVGNLARTFRATETRMIGPLVRLLDEREAEVTREATIALTKFACTENYLHLDHSKAIICAGGAKHLIQLVYFGEQIVQIPALVLMCYIAFHVPDSEELAQAEVLTVLEWASKQSYMTQDEALETLLQEAKSRLDLYQSKGSKYQCH >ONH97302 pep chromosome:Prunus_persica_NCBIv2:G7:17771936:17773709:1 gene:PRUPE_7G182700 transcript:ONH97302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSRAAAPKRTETKLKTKSAGASKRTSKKAGKDPNKPKRPASAFFVFMEDFREKYKKEHPNNKSVAAVGKAGGDKWKSLSDAEKAPYVAKAEKRKTEYNKTMQAYNKSIAEGGNGAEEEESDKSKSEVNNEEEEDDESAEDEDDDE >ONH95681 pep chromosome:Prunus_persica_NCBIv2:G7:12007321:12010894:-1 gene:PRUPE_7G084900 transcript:ONH95681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVFLNSFSSPTIAPDKILRVSDHKRGWCLLRRKKSVILCQGSGGNAIKTIGFSSKAVKDAGNLVLSPNDQKSQKENNIAVKDLVSFGGSPPSTSLVEMHDGIGIVKFLRGKGFFITGATGFLAKVLIEKILRTQPDVGKIFLLIKAKNKEAAMERLKSEIINAELFKCLRQTYGKSYQAFMLSKLVPVVGNVSDSDLGLEHDIAGLIAKEVDVIVNSAANTTFHERYDVAIDINTKGPCHLMAFAKKCKKLKLFLQVSTAYVNGQRQGRIMEKPFYIGESIAGENYISETPPGFYPLDVENEINLALNSKGAYANNEVAQKMKELGLERARKYGWQDTYVFTKAMGEMLIDKMRGDIPVVIIRPSVIESTCKEPFAGWMEGNRMMDPIVLYYGKGQLTGFLVDPNGVLDVVPADMVANATLAAIANHGMAQKKDINIYQITSSVANPLNFQELSTLLYEHYSSSPCVDSKGRPIQVPSMKLFSSMEDFSAHIWRDALQRSQLTALNSSNGKLSRKLEMICRKSVEQAKYLAAIYEPYTFYGGRFDNSNTQRLMERMSEEEKRKFGFDVGSIDWKDYITNVHIPGLKRHVLKGRGVCS >ONH96500 pep chromosome:Prunus_persica_NCBIv2:G7:15169682:15170946:-1 gene:PRUPE_7G133200 transcript:ONH96500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRMTGLNPAHIAGLRRLSARAAAGPPTPSSTATATTLPVRNGLLSFASLAENVTSHLKSSGIQVQPGLSESEFARAEAEFGFAFPPDLKAILSAGLPVGPGFPDWRAAGARLHLKASLDLPIAAISFQIARNTLWSKSWGPRPSDPEKALRVARTALKRAPLLIPIFNHCYIPCNPCLAGNPIFFVDENRIFCCGLDLSDFFERESLFRKSDSDPYILKKQRSVSEKSAGSSTNFSRRSLDTGFGSGGKTPRWVEFWSDAAVDRRRRNSNSSSSSSSSPERFFDMPSRSEIPKWVDEYIDQIGSALRRGGWSDSDISDMVSVSASGFFEGEMVMLDNQAVLDALLLKADRFSDSLRKAGWSSEEVSDALGFNFRPEKEKKPAKKLSPELVERIGKLAESVSR >ONH97881 pep chromosome:Prunus_persica_NCBIv2:G7:19526481:19531178:1 gene:PRUPE_7G215900 transcript:ONH97881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSTGFFLLLLCASLVHAQRRIDFVSIDCGSPSKTYEDTDTNITYSPDGDYTDTGINQNISSEYMYPKYPNLPFPLSDLRSFPLGNKNCYTLRPEAGKGSLNLIRASFLYGNYNGENKLPEFDLYLDVNFWSTVRFGNASEIVTKEIIGFAQSDSMHICLVNKGLGTPFISALEFRPLNSSTYGTEYGTTASLVLFRRLDIGSSNGTGRYGDDVYDRIWSLYVSPSWNTVSTSLPINTYENGYRAPFEVIKTAARPQNGSEPLNLYWNTTGMDDQFYIYMYFAEVEILARNQSRKFNISWNGSPLFGPVVPRYLQADIISNSRALVGKDHQISIYKAENSTLPPILNAIEVFKVMQRVESPTFSEDVEAITNVKTTYQIKKIWAGDPCGPKNFSWEGLKCNYTLSHPRITSLNLNSSNLNGIIAASIAKLSSLESLDLSNNNLTGPVPQFLEELKSLKILNLKGNQLSGSIPNALLERSGAGLLELSVDSQNLCGSDSCKKKKKIVVPIVASLLSALVLLIVVIVVWKLRRKRKADTERENFNKTGRTIASKKCQFTHEEVLEITKNFQTAIGKGGFGIVYHGYMKDGTQVAVKMLSPSSSQGPREFQTEAELLMRIHHRNLASFVGYCDDADNLALIYEYMPNGNLRGCLSDSDRSTRMTWEMRLRIAIDAAQGLEYLHHGCKPPIVHRDVKTANILLSENLEAKIADFGLSKVFPSDNEPDVVTTVMGTAGYLDPEYYNCQRLNEKSDVYSFGVVLLELITGQAAIIKSDEHVHIVEWVNPELQRGDITSVVDPRMQEGYDVNSVWKALEVAMACTTSTSQHRATMDFVLSELKHCLEMELSRHRERTPGSTEELRVRFAPYASTSSTEVFSMYTDSTNAESMTGPFPR >ONH95088 pep chromosome:Prunus_persica_NCBIv2:G7:8880989:8882378:-1 gene:PRUPE_7G051100 transcript:ONH95088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTLVVVLSLSLTILSFGGAHAATMSFKNNCPYTVWPVTLTSDGKPQLSPTGFELASQASFQLDTPVPWSGRFWARTGCSTNASGNFVCATADCASGQVTCNGTDGFPPATLAEFSIPAGGGQDFYDVSLVDGFNLPMSVTPQGGTGTCKTSSCAANVNAVCPSVRCKG >ONH96297 pep chromosome:Prunus_persica_NCBIv2:G7:14323785:14328920:-1 gene:PRUPE_7G119100 transcript:ONH96297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSTTTFIPDTFLGARDDFSMQMGVLWSKIRAPLIVPLLRITIVLCLIMSVMLFIERLYMGIVIVLIKLFGRKPDKRYNWEPMKDDVELGNSAYPMVLVQIPMYNEREVYQLSIRAACGLSWPSDRIIIQVLDDSTDSTVKDMVELECQRWASKGINIKYEIRDNRNGYKAGALKEGMKRSYVKHCDYVAIFDADFQPESDFLCRTIPFLVHNPEIALVQTRWKFVNSNECLMTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGSIKVKNELPSTFKAYRYQQHRWSCGPANLFRKMVMEIIRNKKVSLWKKIHVIYSFFFVRKIIAHINAFVFYCIVLPATVLVPEVVVPKWGGIYIPSIITLLNAVGTPRSLHLLVFWILFENVMSLHRTKATIIGLLEASRVNEWIVTEKLGDALKTLTVAAKLPRKPRIRIGERLHLLELAVGCYLFFCGTYDFAFGKNYVFLFLYIQAMAFYIIGFGYVGTFVPNS >ONH98843 pep chromosome:Prunus_persica_NCBIv2:G7:22096164:22098143:-1 gene:PRUPE_7G268600 transcript:ONH98843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDGVIKIIRNKQVILRDYVSGFPKESDMQVVNSGTIKLKLPEGCEGVLVKNFYLSCDPYMRRRMTKYDPGARYVDSFNPGSPISGYGVGKVLESGDPKFKEGDLVWGTTGWEEYSLITGTATQSLFKIHNTHDVPLSYYTGILGMPGMTAYAGFYEVCSPKKGETVFVSAASGAVGQLVGQFAKLSGCYVVGSAGTKQKVDLLKNKFGFDEAFNYKDEPDLDAALKRYFPEGIDIYFDNVGGKMLDAVLLNMKMNGRIAACGMISQYNLEQPEGVHNLMSLIMKQVHLKGFLVFNYYHLYGKFLETVLPAIKEGKITYVEDVAEGLEGAPAALIGLFAGNNVGKQVVVVSRE >ONH98000 pep chromosome:Prunus_persica_NCBIv2:G7:19859682:19860533:-1 gene:PRUPE_7G222700 transcript:ONH98000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPTNSETESSFNSPSSPSPSSSGFSQSLPNSAQNPLPGSSDSPDPDQKRVKRARETSSKHPVYRGVRMRTWGKWVSEIREPRKKNRIWLGTFSTPEMAARAHDVAALCIKGNSAILNFPGLAGSLPRPESNSPRDIQTAAAKAASMEITLNAPPPPSQSSSPALSHSTTSGDAGTPEELSEIVELPSLGTSYESAESGNEFVFVDSVEGWLYPPPWWHNSYFEEEYGYNSIINNIRDDQMLMPEPPAAAECFADALLWQH >ONH97930 pep chromosome:Prunus_persica_NCBIv2:G7:19697554:19698891:1 gene:PRUPE_7G219200 transcript:ONH97930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEQFYRCYSVSSIGKPHAENGDKIIMPPSALHRLVWGPQVAYPMLFQLNNPNSESGRVTHCGVLEFVADEGFVFLPSWMMHNLLLQEGNLVHVKSQSLGKGTYVKLQPHTKDFLDISNPKAALETALRSYSCLTIGDTIMLPYNSKKYYINIIETKPSSAICIVDTDCEVDFAPPLDYVEPEKKPAPSTLPTKRPQEVEEDEQETPEKIAKFSPFTGSARRLDGEPVLTQSVAPAVPSPPELRQRQLESENGTNKKGSKSSASASLQHSGKLVFGSNVNRPVNAIPKVAPNNRSRQEPPHKAEEPKLQAFTGKKYTLKG >ONH95717 pep chromosome:Prunus_persica_NCBIv2:G7:12150320:12152183:-1 gene:PRUPE_7G087200 transcript:ONH95717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRERESDEYICMYVYIPFKSTNKTTQQEDISAKQVMQTTIVAEQAVVQSGDDEQQRKKEKMRVVVAIDGSEGSFYALKWALDLLLVLPKDNADDVATSTGTATLEAPLAGSHENVSMVTLVYVMQPFQNYVLSAAVSGELRKEQEERAAAILARALEICKDKKIKAETLILGGDPKDMICQATEQMHVDLLVVGSRGLGIIKRTFIGSVSDYCAHHAKCPVVIVKPPKESGKH >ONH97548 pep chromosome:Prunus_persica_NCBIv2:G7:18537885:18543690:-1 gene:PRUPE_7G196100 transcript:ONH97548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAEGGFLKLKPHIFTLTTPQVNGGANLSIKMSWSQKILYANGDFSLNIPFTFPEFVVPAGKKYLKKEKINLNVNSGIGTEVLSKRISHPLKELRGGQVGKLGFVYGADVLTWSNTDFSFSYAVSSSHIHGAVLVQSPPMHDIDQREIFSVYLLPGNQKNRKVFRKDVAFVVDISGSMQGKPLDDTKSVLSAALSKFDPEDSFCIIAFNGQTFVSSTSMKSATKEAVEKAIEWIGINLIAGGDTDILTPLNQAIEMLSNTRDSIPIIFLVTDGAVEDERHICDVMKKRLTEAGSIAPRICTFGIGSFCNHYFLQMLAMIGRGHYDAVYDLDFVESRMQNLFIRASSLILTNITLETLDDLDEVEVFPSHMPDLSSESPLTVSGRFRGSFPNTLKAKGLSPDMSSIVIDLKLQDAKDIPLDRVCAKGQIELLTAQAWLADNKQLEDKVAKLSIQSHVVSEYTRMIILGKEQSGPQEVPKKSRIKMKDSESQRPILLPSLSIGFGNLVATSENIPPGSEEPKQPEAAEIFARAASNCCGSMCTHCCCMGCIKCFSRINPQCANVFAQLCTGLACAGCLNCCSFCCRGCGDGGS >ONH97547 pep chromosome:Prunus_persica_NCBIv2:G7:18538186:18543277:-1 gene:PRUPE_7G196100 transcript:ONH97547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFSKAVDDGLKLSKRLYFGKDRAVSPPKPPPSMDKTTSGAFLPTAPMVYAVIFDPAIVDNPDIPSYQPHVHGRCDPAALIPLPMNRVELEVDCYMDTAFVRVTGSWRVHCVKGNKSCGCRVAIPMGEQGSILGVEVDVNGKSYHTQLSQLEDEKEIEKMAQAEGGFLKLKPHIFTLTTPQVNGGANLSIKMSWSQKILYANGDFSLNIPFTFPEFVVPAGKKYLKKEKINLNVNSGIGTEVLSKRISHPLKELRGGQVGKLGFVYGADVLTWSNTDFSFSYAVSSSHIHGAVLVQSPPMHDIDQREIFSVYLLPGNQKNRKVFRKDVAFVVDISGSMQGKPLDDTKSVLSAALSKFDPEDSFCIIAFNGQTFVSSTSMKSATKEAVEKAIEWIGINLIAGGDTDILTPLNQAIEMLSNTRDSIPIIFLVTDGAVEDERHICDVMKKRLTEAGSIAPRICTFGIGSFCNHYFLQMLAMIGRGHYDAVYDLDFVESRMQNLFIRASSLILTNITLETLDDLDEVEVFPSHMPDLSSESPLTVSGRFRGSFPNTLKAKGLSPDMSSIVIDLKLQDAKDIPLDRVCAKGQIELLTAQAWLADNKQLEDKVAKLSIQSHVVSEYTRMIILGKEQSGPQEVPKKSRIKMKDSESQRPILLPSLSIGFGNLVATSENIPPGSEEPKQPEAAEIFARAASNCCGSMCTHCCCMGCIKCFSRINPQCANVFAQLCTGLACAGCLNCCSFCCRGCGDGGS >ONH95411 pep chromosome:Prunus_persica_NCBIv2:G7:10681846:10684943:-1 gene:PRUPE_7G068800 transcript:ONH95411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKRARVSEQKQARQVEEEEEQQQSDVDHNPTSTNEKTLYEVLGVDRSASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRALYDQTGCIDDDVTEADIEEFEANYRGSDSEKKDLIDLYSKYKGNMNRLFCSMLCSDPKLDSHRFKDILDEAIAAGELKSTKAYTKWAKKVSEAKPPTSPLRRKDKPKKQSEDLYAIISQRRNERKNQFDSMFSSLISKYGGCSAASEPSEEEFEATQKKLERKMSSRKSKHK >ONH95412 pep chromosome:Prunus_persica_NCBIv2:G7:10682090:10684660:-1 gene:PRUPE_7G068800 transcript:ONH95412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKRARVSEQKQARQVEEEEEQQQSDVDHNPTSTNEKTLYEVLGVDRSASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRALYDQTGCIDDDDLAGDVVQNLREYFRVIYKKVTEADIEEFEANYRGSDSEKKDLIDLYSKYKGNMNRLFCSMLCSDPKLDSHRFKDILDEAIAAGELKSTKAYTKWAKKVSEAKPPTSPLRRKDKPKKQSEDLYAIISQRRNERKNQFDSMFSSLISKYGGCSAASEPSEEEFEATQKKLERKMSSRKSKHK >ONH97339 pep chromosome:Prunus_persica_NCBIv2:G7:17892590:17896253:1 gene:PRUPE_7G184500 transcript:ONH97339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKALLVMLFMVFYNGASSNGLGANVTTARPEVVNIGAIFTFDSVIGKIAKLAIALAVEDVNSDPEILNGTKLTLKMQNTKSSDFLGIIEALQFLENDTVAIIGPQFSATAHVISHIADELKVPLLSFAATDPTLSPTQFPFLVRTTRSDLFQMTAVADLVFYYEWRDVIAIYVNDDFGRNAIAALGDKLAEKRCKISYKVPLNPKATKDEITNALISVSSMESRILILHIYTSWGLQVLTEARNLMMMASGYVWIATDWFSTIIDTDPSLPFISTDDIQGVLTLKMYTPESELKKKFKSRWSNLTSSRRVNGSSFGLNTYGLYAYDSVRHLAVALDSFFARGENISFSNDSNLNELGGGKLNLDALNMFNGGSQLLQSILEVNTTGLTGPVKFNPDGNLIKPAFEVINVIGTGTRTIGYWSNSSGFLLDPPEKPQRKLQSNGSSTGTERLYSVIWPGQTTQKPRGWVFPDNGRKLRIGVPNRVSYREFVGIKGTEFTGYCIEVFQAALNELPYGVPYKFVPFGDGKKNPENHELLHMIQIGEFDGVVGDITITTSRTKMVDFTQPYIESGLVVVAPIRKLNSSAWAFLRPFTPMMWGVTGIFFLVVGTVVWILERRTNEDFRGPPRKQFVTIIWFSFSTLFFSQKEKTGSTLGRFVLIIWLFVVLILNSSYIASLTSILTVEQLSSPVKGIESLATGGDPIGFLKGSFAENYLTDELNIHRSRLVPLNSPEEYEKALQDGPSAGGVAAVIDERAYMELFLSSRCGYSIVGQEFTKMGWGFVSIISFNLIVQKFVTFGFCIFFFRHITLISYVVILSI >ONH94807 pep chromosome:Prunus_persica_NCBIv2:G7:5850418:5852252:1 gene:PRUPE_7G031500 transcript:ONH94807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPADHQNQELYRFLAQNGLINMNNNNGSYVGFPGGASCDQFSAMQSFCSSSSYNYYPLEVSGVSTEHETSPQDRALAALKNHKEAEKRRRERINSHLDKLRGLLPCNSKTDKASLLAKVVQRVKELKQQTSELTELESFPSETDEITVLSSDDYSSDGRIIFKASLCCEDRTDLLPDLIEILKSLHLKTLRAEMATLGGRIRNVLIVAADKDHTIESVHFLQNALKSLLERSNASERSKRRRVLDRTLVL >ONH97255 pep chromosome:Prunus_persica_NCBIv2:G7:17664134:17666591:1 gene:PRUPE_7G180000 transcript:ONH97255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEVKVKRKKGYGKQKYSMRISRAHDDYEGLQILLYLLWYHQLCTSLEATFHLQLHFDQYISQTSSIFLSFSFLFLTNTNLKLIFASIFFFSQQL >ONH97239 pep chromosome:Prunus_persica_NCBIv2:G7:17613302:17615051:1 gene:PRUPE_7G179000 transcript:ONH97239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKDCKFSCISCDITNYVLHWKPNFIVGLQLHFDQHISQTSSVFDNIEVANQFFFLSFFFLFILVFNKHQPQNHLCFYFFLFTTLILTRYKVQIAQT >ONH95262 pep chromosome:Prunus_persica_NCBIv2:G7:9897618:9899861:-1 gene:PRUPE_7G059900 transcript:ONH95262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEFPKFNIELNPHCGVHRRRMDQNSVEIMQDRGNELIGPFHFPTHPHLKLGRHGATEQYDPGFGIVQSQQVNYSLR >ONH96393 pep chromosome:Prunus_persica_NCBIv2:G7:14770937:14772336:1 gene:PRUPE_7G125900 transcript:ONH96393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRNIAVGRPEEAYHPDALRAGLAEFISTLIFVFAGEGSGMAFAKLTHGAATTPAGLVAAALAHGFGLFVAVSVSANISGGHVNPAVTFGAFVGGNISLLRGILYWIAQLLGSAVACGLLRFATNYQTTSAFALSEGVGVWNAFVFEIVMTFGLVYTVYATAIDPKRGSVGTIAPIAIGFVVGANILAGGAFDGASMNPAVSFGPALVSWNWHNHWIYWAGPLIGGGLAGLIYEFVFIGNSSHEPLPTADY >ONH96610 pep chromosome:Prunus_persica_NCBIv2:G7:15623832:15625505:-1 gene:PRUPE_7G140700 transcript:ONH96610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQELFGGAGLSNIAGGGERKLISIHGGTTSSPSLSPSQSPSSSTTTTTTATATTTTTTGENLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSATVSTTPIGKAASGKLKMVASEIGRSGFSGGFDNDVQASPILWGSPQNSHLLALLRASHQNQNPNPNPNPICNSVNVKEEGAMNMIGSHMMTTEPAMSTARTLGLDPVCQAPSLGLCSSFWRNNNQNQQPPHHNQQNGFPVGHEVQSNIGIQELFQRLRSSSSSSSGGYYSDHLNNVVMSSSSSTSSILDSTPAVGGGEMGYWNPAFTAWSSDLPTTNGAYH >ONH96892 pep chromosome:Prunus_persica_NCBIv2:G7:16575490:16579833:1 gene:PRUPE_7G157800 transcript:ONH96892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTVVALDRLLEPGTSSKSVDMSSLPNSKPISNSMPVPESKLERRNSTSVVENRLHRPPIKPALYATPEATPLPDSPTSFPPSPYIINHKRRGPRLLKSYSEQDVSIHQKAGNEEKLNGDVNNAEAKLSSSTVDDSATFTFPKPVEVQHSNGFHHFESSNGKLGTSNGKLRSSNVELGSSSEREDSFHESKKRSSNVELSKDSDRDDDLWKQPAMTPERDSDREDFFDPKDSMSVSSYTDGEVNAGAERSAQTSTPMGEFYDAWEELSSESGHGQQLSVSDVEAELHEMRLSLLMEIEKRKQAEESLNNLRNQWQRIRQHLSLVGLTLPADPTAAAGHEEPGSDPAEELCQQVHLARFVSNSIGRGIIRAEMEMEMEAQIESKNFEIARLVDKLRNYEAMNQEMVQRNQDVLELARRDRERRERRQRWVWGSIAAALTLGTAALAYSYIPSGRGSPNYDSDVPESHNAGK >ONH98095 pep chromosome:Prunus_persica_NCBIv2:G7:20158144:20162219:-1 gene:PRUPE_7G228300 transcript:ONH98095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNRTVSFAAPRGFGTTLKSDLKETFFPDDPFKQFENQKPLGKVKKGLQYLVPICEWLPKYSLKTFQYDVLAGITITSLAIPQGISYAKLGQLPPIVGLYSSFVPPIIYAIFGASKYLAVGTVAACSLLIAEIIGEVASPKTEPALYLHLVFTATFVTGIMQTLLGVLRLGILVDFLSHSTITGFMGGTAVIICLQQLKGMLGLKNFTTKTDVVNVLKSVFEHRKEWRWESAVMGIVFLILLQFTRWLRDRKPKLFWVSAMSPLVVVVSGCLIAYFAHAQDHGIPIVGDLKRGINPPSIQFLNFDRKYFPQIVKAGAITGLIALAEGIAIGRSFGIMRNENVDGNKEMIAYGLMNIVGSFTSCYLTTGPFSKTAVNYNAGAKTPMSNAVMAVFMALVLLFLAPLFSYTPLVALSAIIMSAMLGLIKYEEAIELFKVDKFDFVVCMAAFLGVAFISMDMGLGLSVVLGLVRALLYVARPGTCKLGRLPDSVLYRDIEQYPDAARNSGIIVLQIGSPIYFANGNYVRERILRWVRDEQSHLETTGDELQHVVLELSGVVTIDMTGLETLKEINKTLSANDVKLGIINPRLKVMEKMITSHFIDKLGKENVYLSIEEAIENCKFSTSKPKKTTSESGDSSKGAGDASDDPSQQV >ONH96923 pep chromosome:Prunus_persica_NCBIv2:G7:16671181:16675403:1 gene:PRUPE_7G160100 transcript:ONH96923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAVVTVFLEKLLGALSEESRVFSEFRDKFETLQFELQLMRSFLKDAERLKRKNETFRCVMANLRELIYEAEDILADCQLQSRDDGLFSSGWFMCTNPFKLPFQYQTGKSLGEINRKIASIKQNIPSYLGVPLLNQLEPINAQNDQMPRQSSPVYDHTQVVGLEGDASKLKEWLFEADKGILAIGVVGMGGLGKTTIAQKVFNDRKIEERFDRRIWVSVSQNFSEEQIMRSMLRNLGDVSVGDDKGELLKKINEYLLGKRFLIVMDDVWGSDFTWWHTIYEALPKGNGSSIIITTRIEKVAQKMGVKKARSHWPKCLSKDDSWLLFQKIAFAADGGECKHPDLENVGKEIVEKCKGLPLAIKAVGGIMLCKPSHYHEWRRIADHFHDELAEDDNSVIASLQLSYDELPSYLKSCFLCLSLYPEDCVITKDQLVHWWLGEGFIPLRNGRSAIEAGEDCFSGLTNRCLLEVVEKTYHGTISTCKIHDMVRDLVIKMAEHDAFFSTKDNNCRHLGIASSMAQKANHKLRALLSTTKTGEVNKIASNTAKKFCESRNLRAMDLSRSIFDTPLSGLLHQIGVLQHLTYLNVSNTHPLVQLPPSLDKLSNLQVLDVSYCQNLKMLPPCITTFKKLRVLVASHCGSLEYLPKHLGRLSNLEVLLGFKPAKSSQLEGCRIGELRNLIQLRTLGIRLSCCDEIGDTEVNVLVNLQELQHLSISCFDSHGNNDLTAKLDKLFPPQQLHELSLEFYPGKMSPSWLNPISLPILRYLSISSGNLANMNENFWGNDNTVWKIEGLRLKSLSDFEEEWERLQRVMPALRVVDVSWCPELMSFPIENVGFKGGVWKEEVHKS >ONH96922 pep chromosome:Prunus_persica_NCBIv2:G7:16671181:16675405:1 gene:PRUPE_7G160100 transcript:ONH96922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAVVTVFLEKLLGALSEESRVFSEFRDKFETLQFELQLMRSFLKDAERLKRKNETFRCVMANLRELIYEAEDILADCQLQSRDDGLFSSGWFMCTNPFKLPFQYQTGKSLGEINRKIASIKQNIPSYLGVPLLNQLEPINAQNDQMPRQSSPVYDHTQVVGLEGDASKLKEWLFEADKGILAIGVVGMGGLGKTTIAQKVFNDRKIEERFDRRIWVSVSQNFSEEQIMRSMLRNLGDVSVGDDKGELLKKINEYLLGKRFLIVMDDVWGSDFTWWHTIYEALPKGNGSSIIITTRIEKVAQKMGVKKARSHWPKCLSKDDSWLLFQKIAFAADGGECKHPDLENVGKEIVEKCKGLPLAIKAVGGIMLCKPSHYHEWRRIADHFHDELAEDDNSVIASLQLSYDELPSYLKSCFLCLSLYPEDCVITKDQLVHWWLGEGFIPLRNGRSAIEAGEDCFSGLTNRCLLEVVEKTYHGTISTCKIHDMVRDLVIKMAEHDAFFSTKDNNCRHLGIASSMAQKANHKLRALLSTTKTGEVNKIASNTAKKFCESRNLRAMDLSRSIFDTPLSGLLHQIGVLQHLTYLNVSNTHPLVQLPPSLDKLSNLQVLDVSYCQNLKMLPPCITTFKKLRVLVASHCGSLEYLPKHLGRLSNLEVLLGFKPAKSSQLEGCRIGELRNLIQLRTLGIRLSCCDEIGDTEVNVLVNLQELQHLSISCFDSHGNNDLTAKLDKLFPPQQLHELSLEFYPGKMSPSWLNPISLPILRYLSISSGNLANMNENFWGNDNTVWKIEGLRLKSLSDFEEEWERLQRVMPALRVVDVSWCPELMSFPIENVGFKGGVWKEEVHKS >ONH96402 pep chromosome:Prunus_persica_NCBIv2:G7:14802538:14804687:-1 gene:PRUPE_7G126800 transcript:ONH96402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQADMEGEKLRKGPWLEEEDERLTGYVNLNGNWRWDALAKESGLRRSGRSCRMRWLNYLRPNLKHGHITVEEEKIILHLHERWGNKWSKIARMLPGRTDNEIKNYWRTYLKKKEPIQDGNFQCTSKKGQQSLFFQEGDMSAEKQYNFNQHHDSVSNLCGAKDTCFDDLGLSEFALTNSLYETRLSDWISELSSEQSGINYNQDCNSVESDLCHLTWTPDDSNRWECPCFLWDMN >ONH97372 pep chromosome:Prunus_persica_NCBIv2:G7:18007331:18010925:-1 gene:PRUPE_7G186300 transcript:ONH97372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTAFQSVDQVVEEIMRIHRSLPARPGVDEVEAAVALVQNVEKEDQARLDAIARQTKTLQVPEELFMVLQEMQRSLVYFHSKEQKREALKLLDLENLHNLFDDFIQRASTCVASPNSTSSSSAKKTTTMISNSNSVSAVPHKPSPSSASAAHVFHSDNNEIVAKSSSVRVSRDDSFMVNKAKKQFFVDGIGARPAVSSTPQIVDSSLKPGSALNSTGGQESEKLSLIKLASLIEVLAKKGTKDLNLRNKLMDQIEWLPDSIGKLSRLVSLDLSENRILVLPSTIGGLSSLTKLDLHSNRIAQLPDAIGDLLSLVSLDLSANDLTALPATFGRLVRLEELDLSSNSLPALPDSIGSLASLKILNVETNDIEEIPHTIGHCSSLKELRADYNRLKALPEAVGKIESLEVLSVRYNNIKQLPTTVSSLLSLRELDVSFNELESVPENLCFATSLVKMNIGNNFADLRYLPRSIGNLEMLEELDISNNQIRVLPDSFRMLTRLRVLRVEENPLEVPPRHIAEKGAQAVVQYMTELVVKREVKAQPAKQKKTWAQICFFSRSNKRKRSGGDYVKA >ONH94275 pep chromosome:Prunus_persica_NCBIv2:G7:938515:947623:-1 gene:PRUPE_7G007400 transcript:ONH94275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSPQGQKQKLQSPARKFLSTGTQKDLWLVVREGSLADVDSALSLLKKSGGDINSRNIFGLTPLHIATWRNHIPIVRRLLTAGADPDARDGESGWSSLHRALHFGHLAVASILLQFGACISLEDSKSRTPIDLLSGPVLQVLQDGHNSVTTEVYSWGSGTNYQLGTGNAHIQKLPCKVDALHGSLIKLVSAAKFHSVAVTSRGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRLVTSGLGSRRVKAIAAAKHHTVIATEGGEVFTWGSNREGQLGYTSVDTQPTPRRVSSLRSKVVAVAAANKHTAVVSDNGEVFTWGCNREGQLGYGTSNSASNYTPRGVEYLKGKVFAGVAAAKFHTIVLGVDGEVYTWGHRIVTPKRVVVARNLKKSGNTTLKFHRKERLHVVSIAAGMVHSMALTDDGALFYWISSDPDLRCQQLYSLGGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPPVATRLHGTKRATSVSVGETHVLIIGSLYHPVYPSNVVKNPQKQKSNVKDELEELDEDLMFNDMESDTLLPTIQNDDTDKGPIPTLKSLCEKVAGENLVEPRNAIQLLEIADSLVADDLQKYCEDIAIRNLDYIFTVSSQAIASASTDALANLENILDLRSSEPWSYRRLPTPTATFPATIYSEEEGSENEVQRTRDGHTKQSTSKNEIHQRPDSFLQPKDDLNHGLGKQVRALRKKLQQIEMLEAKQSSGQLLDDQQITKLQTRPALESELAELGVPVETPPLKASSSVQPDGKGNKRVELSKKQRRKNKQMATPVDIGSSFPGDEVEPKHTKDFLSIEISQTTKNKEEDAMSEGIMTNQTTKESALCVQKDNLNLTKNKCSSPITSKKKNKKGGLSMFLSGALDDAPKYIVPPPPSPKSEGPAWGGAKIPKGFASLRAIQDEQSKTKDSQSTRNRGHAEDPFNARSDGKILLSSFLPSKPIPVVSIPVVATHTSVASDGERGTPPWTASGTPPLLSRPSLRDIQMQQGKQHHSLSHSPKTKTAGFSVTNGQGSPLDASGVNRWFKPEVDTPSSIRSIQIEEKAMKDLRRFYSSVRIVKNPS >ONH94276 pep chromosome:Prunus_persica_NCBIv2:G7:938515:943854:-1 gene:PRUPE_7G007400 transcript:ONH94276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSGQAAVITPRLVTSGLGSRRVKAIAAAKHHTVIATEGGEVFTWGSNREGQLGYTSVDTQPTPRRVSSLRSKVVAVAAANKHTAVVSDNGEVFTWGCNREGQLGYGTSNSASNYTPRGVEYLKGKVFAGVAAAKFHTIVLGVDGEVYTWGHRIVTPKRVVVARNLKKSGNTTLKFHRKERLHVVSIAAGMVHSMALTDDGALFYWISSDPDLRCQQLYSLGGRNMVNISAGKYWTAAVTATGDVYMWDGKKGKDKPPVATRLHGTKRATSVSVGETHVLIIGSLYHPVYPSNVVKNPQKQKSNVKDELEELDEDLMFNDMESDTLLPTIQNDDTDKGPIPTLKSLCEKVAGENLVEPRNAIQLLEIADSLVADDLQKYCEDIAIRNLDYIFTVSSQAIASASTDALANLENILDLRSSEPWSYRRLPTPTATFPATIYSEEEGSENEVQRTRDGHTKQSTSKNEIHQRPDSFLQPKDDLNHGLGKQVRALRKKLQQIEMLEAKQSSGQLLDDQQITKLQTRPALESELAELGVPVETPPLKASSSVQPDGKGNKRVELSKKQRRKNKQMATPVDIGSSFPGDEVEPKHTKDFLSIEISQTTKNKEEDAMSEGIMTNQTTKESALCVQKDNLNLTKNKCSSPITSKKKNKKGGLSMFLSGALDDAPKYIVPPPPSPKSEGPAWGGAKIPKGFASLRAIQDEQSKTKDSQSTRNRGHAEDPFNARSDGKILLSSFLPSKPIPVVSIPVVATHTSVASDGERGTPPWTASGTPPLLSRPSLRDIQMQQGKQHHSLSHSPKTKTAGFSVTNGQGSPLDASGVNRWFKPEVDTPSSIRSIQIEEKAMKDLRRFYSSVRIVKNPS >ONH96080 pep chromosome:Prunus_persica_NCBIv2:G7:13507517:13508808:-1 gene:PRUPE_7G106300 transcript:ONH96080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKNKLRATASCECGRVHTESLVDRFSNLTLEVAHHILSFLDIEELTRFSCGSKGCRELSLSAPSMNFIFSLVDTSTCELRVKLLNTLDRFLFHRRDNKIQSFYLFWDGHYTEEGNYKACYLCSNENFRIITWIHNAVRCNVLVLCLDILLCDFEEEGLAFPSCVFLSTSLRSLNVVQMKGITIETPPLCLFL >ONH98553 pep chromosome:Prunus_persica_NCBIv2:G7:21377074:21378105:1 gene:PRUPE_7G253800 transcript:ONH98553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGAAADGLFRCVYEGCIAGSDVGVERRPYHRNCGCALHNKSRNKQCTHGGPKCKKVSYPMRRAWSEGSLALVAASSAHSSPSSSPAQNHHVVAVGRQQQQQQQHPQLGTLCDDDQEEEEDENIVFFKV >ONH94839 pep chromosome:Prunus_persica_NCBIv2:G7:6358697:6366146:1 gene:PRUPE_7G034100 transcript:ONH94839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNLDSPPAADSGDAKTAFRKPATDAANRKYRRRSPVGGSSPSDGSPMHEHNCSPKNSREDPGKVSEYQTRRRDDGRELERDSNRRYYGRSSDSYRHSDRQSSRSLHGYYKHDDCIKHDKHADEEDKNYQKLSSRSGRESRGSAYYDHIKSREYSRNLDKYSRDKYDGSGYRNKDKDRESSFPENQKYKDKDSSSQRVGSGRRHGHFEEMERERDRHALDRDVQDEKKDYRRNSGDYISERIFSYEESKGQRSDSISRRDEGKHRMKEGYKSELKELDDDNVSKEQRKKYDDKETSWGNRITRETSERSADKHYIKSENQESTAKRPKLFSSEKGIDGRKDGNGLFMFLYLILILKSASLSLFSNDVTLCLVSKFTTTADGRESSSSKQVQEDEMTTEKTQANDAEAANDINAAKVAALKAAELVNRNLIGAGPVGCMTADQKKKLLWGNKKSTTAEEVGHRWDSTLFSDRERQEKFNKLMSLRLPWCLWPIVGCEGRSKGGTETRERRSEAEGTPNGFREAVHCWTAKKRWPYCWIRSLRILTFLRTVKTPGYSVVLLCKSHIYDSMLIFLLGVVDLRRKMVSHDNLTMCKARKFDCNMLATLYVCVCELDPLTENNVSLWRQAIKAWTESMAGVGCNPPHLGGTIASQPMSSLLGRNVPLDELLVKHEYNQSGK >ONH95735 pep chromosome:Prunus_persica_NCBIv2:G7:12210828:12213491:-1 gene:PRUPE_7G088400 transcript:ONH95735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRQRQLVVALHKNNTPSGLIESSNSDNDLDLQDSWVIVKKQRVKILIPPLPVINKSPPPNPGPIQLQPVATEVAGNGSQLPVETCPKTASIHERKKIESLAPKRGIQLTRKRPPAKHVSTFSKSYGQDLRMELRNQDQIVSSQCHRTLGVSKTSKSILQPRRSHGPSIFLDQGMLLNQRLRALNLERKLQKAGGLSQWLASLGLGQFVRIFQRKGLSKFQLVNLTMKKLKDMGANAVGPRRKLMHAIDCFCQPCFY >ONH95258 pep chromosome:Prunus_persica_NCBIv2:G7:9869183:9869589:1 gene:PRUPE_7G059500 transcript:ONH95258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIRQHWKFKVCDYSTTIEKLHKHTYKIVSIQRITSIMYIPNQFYFDDYLILVQVETMSVLSITLLIKT >ONH95019 pep chromosome:Prunus_persica_NCBIv2:G7:8309247:8312086:-1 gene:PRUPE_7G046800 transcript:ONH95019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEESLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPISGSRMSPGGTSSSLHRGGNSQQQQQVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARSFGVEQLALLTQKQLASMVEKASIDDVMKVLLASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSMMPHHHHHHHHHDLGAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHIASEMVSPDMVAVLLDHHADPNVRTVDGVTPLDVLRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVLSREEGNNNANNAPNSSNSTAIYPPINDDHHSSGSNSGNIGNLNLDSRLVYLNLGATQMGSRNMDGHDHQDHDHHGSHSSHRAQGGCDPATMYHHSHDY >ONH96734 pep chromosome:Prunus_persica_NCBIv2:G7:16085726:16088125:-1 gene:PRUPE_7G148600 transcript:ONH96734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLNLSTWVQIQTCSMFNQAGRSRSQSFSLVHPLKTNMPVSFAPPKRRRSTSPFSVSAILTKQQTLKEEESAKPSFNFKSYMLQTADSVNQALDAAVPLRDPAMIHEAMRYSLLAGGKRVRPVLCLAACELVGGSHSQAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDVAVLAGDALLAFAFEHVAVNTVGVSPERIIRAVEELAKSIGAEGLVAGQVVDLNSEGLADVGLEQLEYIHLHKTAALLECSVVLGSILGGGSDSETEKLRTFARYIGLLFQVVDDILDVTKSSQELGKTAGKDLLADKITYPKLLGIQKSREFAEKLIKDAKEQLSGFDLEKAAPLIALANYIAYREN >ONH98617 pep chromosome:Prunus_persica_NCBIv2:G7:21540748:21544375:1 gene:PRUPE_7G257700 transcript:ONH98617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKQGGKKKKSAGEKSGDAPNQSKTGDNSPKAYDKDTAVFIAMSQELKDEGNKLFQKRDHEGAMLKYEKALKLLPRNHIDVSYLRSNMAACYMQMGLSEYPKAINECNLALEVTPKYSKALLKRAKCYEALNRLDLALRDVGTVLSMEPNNIMATEVAERVKSVLEKKGLRVNDTVIELPPDYVEPTHALLPGKVMKLKNRKKKGNKDEEKKADDNIEEKTEDKIEEKKALDIAEEVKAVQIAEEKKAVEIAEEKKAEVKVVVEEKISSPKEEVPKRSVKLVFGEDIRWAQLPVNCTLLQLREVVRDRFPSSRAVLIKYRDQEGDLVTITSNEELRWAEGSAESEGSVRLYVVEVNPEQDPFFEKLEIEAHKLDVQQNKVAENGSVVKQKDMKGSPCVEDWIIHFAQLFKNYAGIESDAYLDLHELGVKLYSEAMEETVTSEEAQDLFDIAGEKFQEMGALALFNWGNVHMARARKKVYFTEDSSKESIISNIQSAHDWAQKEYIEAGRRYEEALQIKPDFYEGYLALGQQQFEQAKLSWYYAISSNADLETWPSTEVLRLYNYAEDNMEKGMQLWEELEEQRLSELSSPSSVKSQLQKMGLDGIFRDISEHEAADQATSMRCQINLLWGTMLYERSIVEFKLGLPVWHECLEVAVEKFGLAGASPTDIAVMVKNHSSNDNALEGMGFKIDEIVQAWNEMHEAKKWQSGIPSFRLEPLLRRRASRIYYALDHA >ONH96871 pep chromosome:Prunus_persica_NCBIv2:G7:16532377:16534352:-1 gene:PRUPE_7G156800 transcript:ONH96871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFLNYVELKKQAQKDLEADLDVEAGQGQLNPSDQENLSQFFQEVTPIKSDMEEITNLLYDLQSLNEEAKSTHSAKILRGLRDRMESDMVAVLRKARIVKARLEALDKSNVISRKISDAYKEGSTVDRTRMSITCGLRVKLRDMMDNFQSLREKIVADHKEDLKRRYYSAIGELPSDEMIENMISGSLKVELFEGKTELDMGNKVRHEAVMDIQRSLNKLHQVFLDMAVLVEAQGEDIDNIEENVANASYFINGGTNSLYYASQMKKKSKKWVYWVWAVVLIILLVCVISTLAS >ONH98111 pep chromosome:Prunus_persica_NCBIv2:G7:20213271:20214663:-1 gene:PRUPE_7G229800 transcript:ONH98111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGESVYYAPIPSDPTHTQSQQTVVVLAPYRPNPNYRRRRRLRLCFSITASLLLLSTAIFLLFPSDPTLQLVRLKLNHVRVNSSPRPTLDLSFSLTIRVRNRDLFSLNYDSLRVTVGYRARELGFVSSDGGRVRARGSSYVNATLVLDGLEVIHDVFYLLEDLARGVIPFDTDTEVEGTLGLFFFKIPIKAKASCEVYVNTNNQTIVRQDCSPEVRIRFLLSCG >ONH95018 pep chromosome:Prunus_persica_NCBIv2:G7:8308633:8309150:-1 gene:PRUPE_7G046700 transcript:ONH95018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTQMEKVRFLLMHDGSMEIGGRLGRDAGQSFQPLWPVISFVFIDLSNFIAYLLWSLYFLVPHPPLIIPLYIFIFTLFYIIFLNPRYKKMFISDLMIDIIIFNFFLWEFST >ONH98097 pep chromosome:Prunus_persica_NCBIv2:G7:20169293:20170261:1 gene:PRUPE_7G228500 transcript:ONH98097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTPPPVPPPPPPTPPPAPPPPPPTPPPAPPPPPPTPPPAPPPPPPTPPPAPPPPPPTPPPAPPPPPPTPPPLPMPPPAPPPLPMPPPTPPPLPMPPPTPPPLPMPPPTPPPLPMPPWPAPRHHLYVLWWLPPPDPPPLPIQPPAPPPFPIPPPAPPPLPIPPPAPPPFPIPPPAPPPVPIPPPAPPPVPIPPPAPPPVPIPPPAPPPLPIPPPAPPPFPTQSGFPKPIALAGFPEDPFPPKLKPNPFPSPPKPPPPPLTPPGTLGLPAAGPSTNHSASFFPNLLLP >ONH96870 pep chromosome:Prunus_persica_NCBIv2:G7:16528847:16532327:1 gene:PRUPE_7G156700 transcript:ONH96870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSMLISLPTLTTPNSSRVNRYWQAPKRSSAMALASANTEIATTGVRFAEGEEKLPKVVLTSSGGSEADIYLFGGCITSWKVPNGRDLLFVRPDAVFNKKKPISGGVPHCFPQFGPGTIQQHGFARNLDWSVIDSENVQGDPAITLELKDGEYSRSMWDFSFQALYKVTLQTKSLSTELVIKNTDSKPFSFSTALHTYFRASAQGASVKGLKGCKTLNKDPDPKNPLEGKEERDGVTFPGFVDCVYLEAPNELNLDNGLGDIISIKNTNWTDAVLWNPYLTMEACYKDFVCVENAKIGNVQLEPGHTWTATQHLSIN >ONH96344 pep chromosome:Prunus_persica_NCBIv2:G7:14508267:14514566:1 gene:PRUPE_7G122200 transcript:ONH96344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFLLPYHQHNPTLLHRPPFPEPITSKRLLKRNLHVLPCQCSLPFSDDAAKPTYQSLHYEGRRALIGSLLTSAAGLYVCDVAEAVSTSRRALRGAKIPESEFTTLPSGLKYYDLKVGSGAEAVTGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDVGQSERGSVLKGLDLGVKGMKVGGQRLLIVPPELAYGSKGVQEIPPNATIELDVELLAIKQSPFGSPVKIVEDHYCSSQKTCPECGSIKVPYPLSTNPHCGDPDYSLHCDPSSKKLYVDALNGSYYLVLQIMAPNQRMLVQPSPWLPGQCVTQDMVVSEGLWLNQSLPFNITSSNTIFLFNCSPRLLVSPLNCTPSSLCHRYLESSGHVDTNRALQCAGKLKLCCTFVAGGTPSAYKIRLHSSGCKAFRSILHLDINQPANQWEEGLEIQWAPPLEPICRTQLDCSQASKCSPTGSNGLSRCLCNRGYYWDHVLGTCSRKKRNTKAGLRLKVSVGVISFFLVAVVIAIITVKRSCKFSEQEKLTKAREDKLKNNDGKSARMFHLKDVKKATKGFSKDRVLGSGGFGEVYKGELEDGTVVAVKSAKVGNIKSTEQVLNEVGILSQVNHKNLVRLLGYCVEAAQPLMLYEYISNGTLSDHLHGKFSTFLDWKTRLRIALQTAEALTYLHSAAHTPIYHRDVKSTNILLDDDFNAKVADFGLSRLACPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFSRDQDDVNLAIYVSVRANNGAIMEVVDQRLFSKEPAGNILASIKLFLELGLACLREKKGDRPAMKDVVQELHCIIQVLGHEVVQN >ONH96345 pep chromosome:Prunus_persica_NCBIv2:G7:14512456:14514756:1 gene:PRUPE_7G122200 transcript:ONH96345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLENKGRIYSTSFSLLPLCSCKMVTKPNIMPGFLFCHLFFLLCVDHYCSSQKTCPECGSIKVPYPLSTNPHCGDPDYSLHCDPSSKKLYVDALNGSYYLVLQIMAPNQRMLVQPSPWLPGQCVTQDMVVSEGLWLNQSLPFNITSSNTIFLFNCSPRLLVSPLNCTPSSLCHRYLESSGHVDTNRALQCAGKLKLCCTFVAGGTPSAYKIRLHSSGCKAFRSILHLDINQPANQWEEGLEIQWAPPLEPICRTQLDCSQASKCSPTGSNGLSRCLCNRGYYWDHVLGTCSRKKRNTKAGLRLKVSVGVISFFLVAVVIAIITVKRSCKFSEQEKLTKAREDKLKNNDGKSARMFHLKDVKKATKGFSKDRVLGSGGFGEVYKGELEDGTVVAVKSAKVGNIKSTEQVLNEVGILSQVNHKNLVRLLGYCVEAAQPLMLYEYISNGTLSDHLHGKFSTFLDWKTRLRIALQTAEALTYLHSAAHTPIYHRDVKSTNILLDDDFNAKVADFGLSRLACPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFSRDQDDVNLAIYVSVRANNGAIMEVVDQRLFSKEPAGNILASIKLFLELGLACLREKKGDRPAMKDVVQELHCIIQVLGHEVVQN >ONH95463 pep chromosome:Prunus_persica_NCBIv2:G7:11011533:11012734:-1 gene:PRUPE_7G072600 transcript:ONH95463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKLSTLLCLTLLFSTILNPALSELCNPKDKKVLLQIKKAFNNPYVLSSWDPETDCCDWYSVTCDSTTNRVNSLTLFSGGLSGQIPTQVGDLPYLETLEFHKQPNLTGPIQPSIAKLKRLKELRLSWTNISGSVPDFLSQLKNLTFLELSFNNLTGSIPSSLSQLPNLDALHLDRNKLTGHIPKSFGEFHGSVPDLYLSHNQLSGNIPTSLAKLDFNRIDFSRNKLEGDASMIFGLNKTTQIVDLSRNLLEFNLSKVEFSKSLISLDLNHNKITGGIPVGLTQVDLQFLNVSYNRLCGQIPVGGKLQSFDSSTYFHNRCLCGAPLPSCK >ONH96303 pep chromosome:Prunus_persica_NCBIv2:G7:14344867:14348069:-1 gene:PRUPE_7G119600 transcript:ONH96303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKESTFSGSPGNSGTESPPVTQMVNIPMGMDVNMSSEIINNNSNNPVSTTTTTEIAEIAAAAATVGSGDLSGKKKRGRPRKYDADGNLRLGYNSNINKGGGVAPPPPPGFYLSSPASEFSSSSSSKRGRGRPPGSGNWQVLASLGELFANTAGGDFTPHVVTVSTGEDVAGKILSFSQKGPRGICVLSANGAVSNVTIRQPGSSGGILTYEGRFELLSLSGSFTVTEIGGVRNRTGGLSVSLAGPDGRVIGGGIAGLLTAASPIQIVVGSFTPNGYKAHKRKHYRENNVASPISGSLDTVTVATPISQAQPESESRLTTISPLPAQSHGEADKIMIQMQTSNAISTSAVWNGTELKSEHRPSPDINVSVPGVSVTGE >ONH96663 pep chromosome:Prunus_persica_NCBIv2:G7:15813933:15819303:-1 gene:PRUPE_7G144400 transcript:ONH96663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGADDGAQYSFAIEYKGPPVNYDLPRAVPINVDRIPVAAVVGPVSLPEKLTLPVVQPVLAPKTFSKELKKSTVSPTSVFDRSSEDDTKELEGSESTVSPTSVIGFEERVVESGVGCALSGELSSSGALEFSNGLNYRSGEFSDVNNGGKESLDFNSDSNQPEPDPDWASTESVLSLDYPSSRVSSSKALDCDVRRPPLVTFRDIESDDGVDEEEAEVVQAKREPQSKGKKKTCYRCFKGTRFTEKEVCIVCDAKYCSSCVLRAMGSMPEGRKCVTCIGFPIDESKRGSLGKCSRMLKRLLNDLEVRQVMKAEKFCEANQLPADYICVNGQPLCHEELVILQTCPNPPKKLKPGNYWYDKVSGLWGKEGQKPSKIISPHLSVGGPIKTNASNGNTQVYINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGTKLVCAVLSLPVPSKSSNLCGEPLNYVGTRVVPDYIEQRILQKILLVGYNGSGTSTIFKQAKILYKAIPFLEDERENIKFTIQSNVYGYLGILLEGRERFEEECLDEMRKQCSSSQTDANGNNDKTLYSIGPRLKAFSDWLLKTMVSGNLEAIFPAATREYAPLVEELWKDSAIQATYKRRSELEMLPSVATYFLERAVDILRIDYEPSDLDILYAEGVTSSNGLACVDFSFPHSASEDSINTSDQHDSLLRYQLIRVNARGLGENCKWLEMFEDVGMVIFCVSLSDYDQFSADGNGSFTNKMLLSRTFFESIVTHPTFEQMDFLLILNKFDLFEEKVERVPLNQCVWFDDFHPVITRHRSNSNTSSNNINSSPSLGHLASHYIAAKFKRLYSSLTGKKLYASVVKGLQPDSVDTALKYSREILKWDEERANFSFDYSVYSTEASSFSH >ONH97542 pep chromosome:Prunus_persica_NCBIv2:G7:18526150:18527897:1 gene:PRUPE_7G195700 transcript:ONH97542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASQIVEKLKLNPHPEGGFYFETFRDISIALPLCQLPPDYKVERPVSSSIYFLLPSGDVSRLHRIPMAETWHFYLGEPITIVELDDKDGQVKFTCLGPNLIGEDQQPQYTVPPNVWFGSFPTKDYSVSPDGALLKAAPRDAETHYSLVGCTCAPAFQFQDFELAKRSDLVSRFPKFEPLISFLTFPEKA >ONH98032 pep chromosome:Prunus_persica_NCBIv2:G7:19941139:19942686:-1 gene:PRUPE_7G224400 transcript:ONH98032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDVEGKIGFEAVLFLFIVLTLFSNNLFIPISSLIFIMSSFPVVSAVENCSLIKCAEENLLLDIVLSISEFRNMRSEELTLLHLFLNSKSDTTILCLCLCRIF >ONH97893 pep chromosome:Prunus_persica_NCBIv2:G7:19582353:19586581:1 gene:PRUPE_7G216900 transcript:ONH97893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTAASRLRALKGRTSTFNRGVARFSSLNDVATKPPSSSPFGVLFGWLTGGKSRSMPPLDFPLSGIKLPPALPDYVQPVEPKITTLPNGVKIASLKSEKPAASVGLYVNCGSVYETPESSGATHLLEHMAFKSTRNRSHLRIVREVEAIGGNVQASASREQMAYTFNALKTYVPEMVELLIDCVRNPVFLDWEINEELQKVKHNISEASTNPEALVLEAVHSVGYKGGLANPLLAPESSISRLNSNVLEEFLSENYTAPRMVLAAYGVEHEELLKIAEPLLSDLPNVPPAKEPKSVYTGGDYRGLGDSGETHFALAFELPGGWLNEKDAMIVNVLQVLMGGGGSFSAGGPGKGMHSRLYSRVLNQHLELHSISAFSSIYNNTGIFGIQAATGSDFVTKAIDIAANELIAIATPGEVDQVQLDRAKKSTKSSILMNLESRMVVADDLGRQILTYNDWKLSQLLKAVDEVSLGDIASIGQKLLSSPLTMASYGDVTKVPSYDSVSKKFHLK >ONH95981 pep chromosome:Prunus_persica_NCBIv2:G7:13045755:13048447:1 gene:PRUPE_7G099800 transcript:ONH95981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLRLLLLLSALAITNHVSCSWGLEAGPSWELSQLNRGLLDSARQPEFFDWLKRVRRRIHENPELAFEEHETSQLIRSELDSLGIQYTWPVAKTGLVASIGSGSQPWFALRADMDALPIQELVEWEHKSKNPGKMHACGHDAHVTMLLGAAKLLQSKSKEIKGTIKLVFQPAEEGHGGAYHMIKEGALDNIQGIFGLHIQPLMPVGTIGSRPGPILAGSGRFTVTIHGKGGHAAFPHLATDPILAACSAVIALQQLISRETDPLEARVVTVGMVEGGQAGNVIPETVRLGGTFRSMSSEGLYYLQQRIQEVIEMQASVHRCMATVDFMLEKMRPYPATVNDEAMYKHAKNVGETLLGEPNVKLLPMSMGAEDFSFYTEKMAAAFFMIGTKNETLDPKRLWHSPYLVIDEEVLPIGAALHAAVAISYLDNIDAAVTHNLFL >ONH97388 pep chromosome:Prunus_persica_NCBIv2:G7:18064386:18068484:1 gene:PRUPE_7G187200 transcript:ONH97388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFQLSQQKAKSGLVADFWFVNFYLEGTCRRLCYWKILKIRMKCKEYKLKNYYLSIIHPSKALQCQLQTCNCQSTKHIHPLSFQMISFFFLVLARRRQYKGLHILCFKTKIQFLTVQYALRAKEKLLW >ONH95853 pep chromosome:Prunus_persica_NCBIv2:G7:12497755:12501211:1 gene:PRUPE_7G092300 transcript:ONH95853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQIQHFSHKHPLIFKKEQNDSRLVFCSVCEDPVLGSSYTCNQCSPAFILHKSCADKLTREIHNPIHPKHPLCLHPPQNGNFCSDCNQPCSGFIYYCHVCKFGIDLKCASKWENYCHDHKFTVLKNRTIKFTCDVCGKDGNVDLYLCGICQLMVHKKCSWLPRHVKIGDHNHRLKLTWRFEDIYPKKQRFCSFCNRHMDQSRAVYYCHECCSHINQTKSEPAVQINQTKSEPAVQINHFSHQHRLTLITDHHEVDKDKDNDHLDDRINTCNGCMRPITTTDAFYSCARQEESSCHFFLHLICAQFDAKRFLALHRHKLTLLPRAPSIDGVFRCYICNTFSQGFGYHCDNRGCDEYFIKEEGFYLDLHCSILWEYNKSLKHEAHDHDLYFNIKQGSSNQCKGCGASKQIGWFSCTILACNFNLCIPCVKLPLTARHRYDDHHLKLTYRVTDMPAEHYCEICEGKRNPEHYCDFHCHPHCILGRYPQVKLGGAYKHDTHPHPATLVYKERSVIRSDKREHILDCQACGEPCEGLVWECSECEVNVSSLILHYFKKEGCQKRNSLFQPVF >ONH97108 pep chromosome:Prunus_persica_NCBIv2:G7:17227841:17232329:1 gene:PRUPE_7G170200 transcript:ONH97108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEREGRSLAETPTWTVASVITFMVVFGFFFNGSLERFGKWLDKTKRKSLFAALDKIKEELMLFGVMSLLMGHWIFIVAKICVKSSILSSKFFPCALESDLHPVEHVLVSSSNYLNKSVSKMQVKAGSHDFCPEGHESFASKESLEQLHRLIFVLGVTHVSYSFVAIVLAMIKIYSWRVWENEAKALAIHALQDTPLAKPSIQRIRRLSTFIFHHASHPWSQHRALVWLLCFTRQFWSSINRSDYMALRFGFITTHQLPLSYDFHNYMIRSMEEEFRDIVGISVPLWIYTICCTILDFHGSHIYFWLSFLPAILILLIGTKLHRVVVNLAVEITEESPYMENQQFKLRDELFWFKKPWLLLRLIQLISFQNALEMATFIWSLWEIKEPSCFMDNNTFLVIRLTFGVVTQFWCSFITFPLYVIITQMGARFKKSVVSENVRNSLSVWKRRVKAKQSTSSSTAALLRTSTSSDSIVDEMHKIDSFSSNSSERSSFKKQNTSFRHLQGNVMQGEDEKFEFPLCVGPVYDSYSDDKKVDYHSDDHDSSDVKDELCLLPS >ONH97110 pep chromosome:Prunus_persica_NCBIv2:G7:17230152:17232329:1 gene:PRUPE_7G170200 transcript:ONH97110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSMEEEFRDIVGISVPLWIYTICCTILDFHGSHIYFWLSFLPAILILLIGTKLHRVVVNLAVEITEESPYMENQQFKLRDELFWFKKPWLLLRLIQLISFQNALEMATFIWSLWEIKEPSCFMDNNTFLVIRLTFGVVTQFWCSFITFPLYVIITQMGARFKKSVVSENVRNSLSVWKRRVKAKQSTSSSTAALLRTSTSSDSIVDEMHKIDSFSSNSSERSSFKKQNTSFRHLQGNVMQGEDEKFEFPLCVGPVYDSYSDDKKVDYHSDDHDSSDVKDELCLLPS >ONH97109 pep chromosome:Prunus_persica_NCBIv2:G7:17227841:17232329:1 gene:PRUPE_7G170200 transcript:ONH97109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFVLSFVAIVLAMIKIYSWRVWENEAKALAIHALQDTPLAKPSIQRIRRLSTFIFHHASHPWSQHRALVWLLCFTRQFWSSINRSDYMALRFGFITTHQLPLSYDFHNYMIRSMEEEFRDIVGISVPLWIYTICCTILDFHGSHIYFWLSFLPAILILLIGTKLHRVVVNLAVEITEESPYMENQQFKLRDELFWFKKPWLLLRLIQLISFQNALEMATFIWSLWEIKEPSCFMDNNTFLVIRLTFGVVTQFWCSFITFPLYVIITQMGARFKKSVVSENVRNSLSVWKRRVKAKQSTSSSTAALLRTSTSSDSIVDEMHKIDSFSSNSSERSSFKKQNTSFRHLQGNVMQGEDEKFEFPLCVGPVYDSYSDDKKVDYHSDDHDSSDVKDELCLLPS >ONH95470 pep chromosome:Prunus_persica_NCBIv2:G7:11085108:11086105:1 gene:PRUPE_7G073100 transcript:ONH95470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCEQVTGKGKAKKDYSAWSVEESKMLLQLMVDVASRGWRDANGVLSKATVETKILPILNEKLGCQKTYCHYQSRLKYFKREYQKYSQLMRHNSGFGWDSTTKKFTAPEEIWEDYFKSHPTHRHIQTKTCEDYEDLQIVIGNAAVSGKNSLGLGDGTDARTFGVEDRQVGIEDFVYDNDTRAFVPNHNEASHQDPPLGHSSSSLPFQANIWTSPSESSSQRKRTRPEHEGNGSQYETCMDRISFSIAEIATDFKGVHSLLGKREKDRERQSYIWDVIKETPNMDERARYKALSLLNITT >ONH97435 pep chromosome:Prunus_persica_NCBIv2:G7:18216602:18218696:1 gene:PRUPE_7G190000 transcript:ONH97435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVQVSVDGIQKEQKHKLNPDKDLVVNKDGIFIQYEFNYEPSQIYFTGKIYFKNGKEGEARFFKFEKSEEDLAKKTFTLTSKLANYDQRVGVLKPHFLTYYEPQNIWILCYEKFNHLLSEINIDAEDFVNGDSRILSFWWRSSIRDLLRTIKHMHSCKFFHKGLNGSDNYVVVSGRVKIINIQSSFEDLEDPPSQVNVLRMNDLKAFRNMLKEKIMLPEDSWVDRDSFFDFFDKEEYPYHIFIKKLATHPFLLTPAERMKSFYSIHDSANESKFKRRLDGREFYKYRGWNRKDMVREFQKVYKIFNSKYDGDCVWDLVEFLKDVYEDDNRRELTLDAAYAEVRRLYPDFLEKIHAIV >ONH95184 pep chromosome:Prunus_persica_NCBIv2:G7:9456676:9465057:-1 gene:PRUPE_7G055800 transcript:ONH95184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSRTGLHDFTRASRKAEEAAWRRFQAVEWLETLVGPLGIPKQPSEREFISCLRNGLILCNAINKIQPGAVPKVVESQMPLQPLSRESQALPAYQYFENVRNFLVAVEELKLPAFEASDLERDALEAGSAAKVVDCVLALKSYEWKQMSNGNSFNKNVKSPLVMISANIMHSRASAVVPSDSCRQLDMSAACEIQPPVEGDNQKLQVDCIESVIKLLVDSMVDTKENIDDKLLASYHNGDVDTIHLLRRIMTSCSEQHLQKKSPELNSMSKEPLKERSSSPAHSTSMPLEDLSALENSRCCKACLKNGNCNHRLLFQTQEKELVDLKALWLSAKKDFEDLQTQLQRDMKHLGTQVQELSTAALGYHRVVKENQKLYNMVQDLKGNIRVYCRIRPSFSSESKNVIKFIGEDGSVVILDPAKPQKDGRKDFQFNRVFGPTSSQDEVFKDTQPLIRSVMDGYNVCIFAYGQTGSGKTHTMSGPSGGSTRDMGINYLALNDLFQMSNRRKDIIHYDIHVQMVEIYNEQVRDLLAEDSSTIRSCPTDNGLSIPDATMHSVNSTTDVLNLMKFGEMNRMVSSTAINNRSSRSHSVLTIHVHGKDTSGGTLRSCLHLVDLAGSERVDKSEVTGDRLKEAQYINKSLSCLGDVITALAQKNSHIPYRNSKLTLLLQGALGGHAKTLMLAHVSPEEDSFNETISTLKFAQRVSTVELGAARSNKESGEVMELKEQIENLKKALANKEVRGIQFSKTNEKPRTMTERTPQRLRRLSIENCSTVKTEKAINLEDRKGSKTPSLSTHSRRLSLEGPRSVKKDNLRISHDMGKFLASETLPMENCGQLQETEAVTKPFGHFRNENTTLEVWCPKTPRSPTRIPYQKRVVETDSKTQVPSLQPPPTTPEPRPRAPRSPTSCTYQKRVFETESITQCPPLQRPVTPEPQPKAPRSPRMASYQKGGLKTDSKIPPVQIPTTPGPEPPMRSRNEVQIAMQSKLPLPADYLTPNLVSSISGKGSQIRRSLRTIGKLINGSEKRNQQSLVDTHSTVKCSGDINEGKSPVTNNARTLRRQSLTGIPSSGSDRRSSLGGGGNPVDTGVKDNRNAKTPPPVRSSTKNNKRWL >ONH95894 pep chromosome:Prunus_persica_NCBIv2:G7:12683186:12686432:-1 gene:PRUPE_7G094300 transcript:ONH95894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHHGNSSEIQASSDGALQTLVLMNPGYVQYSDTPPPPPHPQPHQPPSAGNLVFLNSPTNSLPHHPSTLSHAPPSHPQQFVGIPLSHDPNNNNSPSMHQAHPDLSSLHAFMPRIQTQYALWNSIDPNTAARDTPRAQQGLSLTLSSQQPGFGSFRGATSDREVPSGEDIRVSSGSNSSASGVTNGVSGMQSVLLSSKYLKAAQELLEEVVNVGNGIRTELPKKGSGQQSKVVAESSMAAAGDSSVGGEGSGKRAAELSTAERQEIQMKKGKLISMLDEVDQRYRQYHRQMQVVISSFEQAAGIGSARTYTALALQTISKQFRCLKDAITNQIRAANKSLGEEDCAAGKIEGSRLKYVDHQLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKEHEQNGSSEKMSKSNEDSASKSTAPQDTENNQTTSTFNSKQENSTNHNNIAAPPSMSISTPSTSPTNMVRNPSGFSLIGSSELDGITQGSPKKPRSTEFMQSPNSSVPCMNMDHKAQEVNNEQLSMKFGDERQGRDGYSFMGGQTNFIGSFGQYPIGEIGRFDADQFTPRFSGNGVSLTLGLPHCENLSLSGAHHHQNFLPNQNIQLGRRVDIGEANDQFGTINTSAPHSSAAFENIDIQNRKRFVAQLLPDFVA >ONH97882 pep chromosome:Prunus_persica_NCBIv2:G7:19533658:19535476:-1 gene:PRUPE_7G216000 transcript:ONH97882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKSKVKRGPWSPEEDTTLKNYLHNHGTGGNWISLPTKAGLNRCGKSCRLRWLNYLRPDIKRGGFTEEEDNLICTLYGTIGSRWSVIASQLPGRTDNDVKNYWNTKLKKKFFAAYNMNLASREFPASVPKFESGTPQDHGTSSSCFDGTLPYLMDVSLGQSFDQQKQISQFSHSNFLEVNDFGTCGSKSFTNISSSQEASSLPTSSILGLENNYTLWSGDESGALTDYGFEPPADALLAGYKLKMPRQSLSIKFTN >ONH97883 pep chromosome:Prunus_persica_NCBIv2:G7:19534236:19535221:-1 gene:PRUPE_7G216000 transcript:ONH97883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKSKVKRGPWSPEEDTTLKNYLHNHGTGGNWISLPTKAGLNRCGKSCRLRWLNYLRPDIKRGGFTEEEDNLICTLYGTIGSRWSVIASQLPGRTDNDVKNYWNTKLKKKFFAAYNMNLASREFPASVPKFESGTPQDHGTSSSCFDGTLPYLMDVSLGQSFDQQKQISQFSHSNFLEVNDFGTCGSKSFTNISSSQEASSLPTSSILGLENNYTLWSGDESGALTDYGFEPPADALLGNFGY >ONH96625 pep chromosome:Prunus_persica_NCBIv2:G7:15713653:15715566:1 gene:PRUPE_7G142000 transcript:ONH96625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRNHVSFNALIAAYSRDSRSALLAFELLNKMGSECLRPNASTFTSLLQASYTLEDWLVGSLVHAKVVKFGFSNDLCVQTALLGMYSNCGDLESARKVFGWIVDKDVVAWNSMIFGNLKNDETKEGLHLFDGMLRSGIVPSQFTYSMVLNMCSRLRYFCLGKLIHARVIVSSTLTDLTLQNSLLDMYCNSGDTRVAFSVFSEMYNPDLVSWNTMISGYSEHGDGELAMNLFAQLKKISLLKPDEYTFAAIISATGTYLASDYGKSLHGQVTKAGFEKSVFVGTTLVSMYFKNGETDDAQKVFYSILDKDVVLWTEMIMGLSRLADGESAIKFFSEMCQAGHKIDSFSLSGALSACSDLAMLKQGEMIHSQAVKTGYDVEMSVCGSLVDMYSKNGSLQAAYSIFSQVSDPDLKCWNSMLGGYSHHGMAEEALKLFFEIEKHGLRPDQVTFLSVLSACNHSGLVEVGKFLWKCMKENGITPGLKHYSSMVSLLGRARLLDEAEELINSSPFKEDNLELWRTLLSSCVINKNLKVGVHAAEQVLSLDAEDSATLVLLSNLYAAAGKWGSVVEMRRKIKVLTLEKDPGLSWIEDKNNVQVFCSGVQSEQEVGEAQAALHWLQGNMVRSQTDESDEQMYTT >ONH94277 pep chromosome:Prunus_persica_NCBIv2:G7:949691:954123:-1 gene:PRUPE_7G007500 transcript:ONH94277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLKARILASVAVLLSLVYCGLTTPSTFLSLERVYPPSHHGQIEQLRAHDRVRHARLLQNLTGSVVDFPLHGTSDPNLAGLYYTKVKLGSPPKEFNVQIDTGSENLWVTCNSCSDCPRTTWLPIQLSSYDSNSSSTARLIPCSMCTSAFQAKCSPQTNQCSYTTQYADGSGIFGHYVSDTLHFDRIQGQSYIDSSASIIFGCTTYEFGGLTSSLSTLDGIFGFSQGPLSVISQLSSRGLTPKVFSHCLKGDEKGGGILALGEILEPSIVYSPLVPPRHHYYLNLQSIAVNGKILPIDPATFTAHDRGTIIDSGTTLAFLVEDAYVPFVHAITSAVSPSLTPFISDENQCYHVTTSLAEVFPAVSLNFVGASMVLKPEEYLIRQIGIGVWCIGFQKAQGGVTILGG >ONH94933 pep chromosome:Prunus_persica_NCBIv2:G7:7656223:7658518:-1 gene:PRUPE_7G040900 transcript:ONH94933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYKYPTELWRKKQSDVMRFVQRVRCWEYRQHPSIVRVTRPTRPDKARLLGYKAKQGYVVYRVRVKRGGRKRPVSKGIVYGKPTNQGVTQLKFQRSLRSVAEERAGRKLAGLRVLNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWLCNPVHKHRELRGLTSAGKKCRGLRGKGHLYHKARPSRRATWKRNTTLSLRRYR >ONH97150 pep chromosome:Prunus_persica_NCBIv2:G7:17341687:17344578:-1 gene:PRUPE_7G172500 transcript:ONH97150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSPKERNSKKAKQKKRRGSGNKYLKPGALAQLKHSKTSSAKSCTDLGRKRVAVLETKKVKGDMVLEDRAIDKSPLMLSPVNLVRQNSLLKTPKTPRTEDCVSESRLESLPMDLLVKVLCHLHHDQLKAVFHVSQRVRKAVLLARQFYFNYTTPDRSRQEMLNTMTPHPTEHWPFLSKGDGKGIFIRSPHTPKAPRHGPRPPSRLKVSEMRQVAAVLFQESNFPTRCMVPSVLPKPLCKSLASNRVLFYEDELCQAVAQNKLL >ONH95633 pep chromosome:Prunus_persica_NCBIv2:G7:11663845:11666578:1 gene:PRUPE_7G081500 transcript:ONH95633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRFDIAVMKPYRSDSPPLHFKLEINSYSSLLTTLAKKYESKVFEAGGHTWRLAVYPSQMINGEKYISLYLAKAKTKNNGIINSVVSALRGQAEVYATFRLSVFDHNQKNYFTVEDNAGALSRFESGTEVGFAKFLPLHTFQRHSEGFISNDCCTFGADVFVSTNKRTLHRESLSLETPAIRNRTFRRDLGQFSTQFDMEYAFPQLIIVGGRKWQLCVYPKGYRKEKNKSLSVYLRSADDLDTLPSVYAEFKVRVIDRTLKTHNTERRGNHWFSSSDCISGWPDFMPLRTLKGFLKGDILSVEVEMLAVSTSL >ONH95243 pep chromosome:Prunus_persica_NCBIv2:G7:9805719:9806515:1 gene:PRUPE_7G058800 transcript:ONH95243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSFHKYVTVRRGTGALEGGALLDMEDQESSGSNSFVSGTSHSQQHHWGLSSSSWEPNSADSTDSWTSRSNTREEGPNHRITPLSFDVDEAATRRLSGRKRDSDDHKQRSGGIGRGRLAATNTKGLHTIPGVAAAASM >ONH96631 pep chromosome:Prunus_persica_NCBIv2:G7:15731361:15732098:-1 gene:PRUPE_7G142500 transcript:ONH96631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDSSDQQNNQNRRPAKAQTPGAPPPEQEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGGTRKNAKRSRTTSSSAMIATTATSSLSDHPIPPTPVFMNPGGVAAFGDLKGNVGNGCGGGAGGASFTSLLNTQGPGFLALGGFGLGLANGFEEMGFGLGRAVWPFPGVGDGGAGVGGNGGGQGMMNTWQFESGEGGGIVNVGAGSVGGEFCSWPELAISTPGNGLK >ONH94346 pep chromosome:Prunus_persica_NCBIv2:G7:1665743:1676677:1 gene:PRUPE_7G012100 transcript:ONH94346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHRHKSPLVSPSSSRFVTETVNGSHNFVIKGYSLAKGIGVGKHIASETFTVGGFQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLVDQGTHGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTMLESSTFLKDDCLKLNCTVGVVVSAIDSSRLHSIDVPESDIGAHFGMLLENEEGSDVTFNVRGVKFHAHKLVLAARSPEFESEFLNGMEEDNREIVVVDMEPKVFKALLHFIYTDNLIEDEEFSVTSSSCMPSLSDKLAAKLLAAADKYGLARLALMCESVLCKDVSVNSVANILALADRFSAMDLKSVCLKFAAENLVAVMETDGFELLKENCPLLQSELLKTVAGTEEELSGGGKSRSVWAQFSDGGDTTDRRRHNWEDVGERGQSLWVQSSDGGDARGMSPGQEG >ONH95690 pep chromosome:Prunus_persica_NCBIv2:G7:12032265:12033951:1 gene:PRUPE_7G085300 transcript:ONH95690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMTELIPGLPEELGHECLTRLHYSTHRVASRVCRRWQDMLQSLDFYNHRKQSGRTHKPACLVQALPVQTRVDETKPGGPPVYGVSVFDPVSGSWDRVDPVPKYPHGLPPFCQVTACEGKLVLMGGWDPASYQPVRDVFVYEFTTQRWTRGKDMPETRSFFAAGEFNGRVYVAGGHDQNKNALKSARVYDVRENEWSELPGMSQERDECEGFVSGSEFWVVSGYGTDSQGGFVGCAEVYEIGSGQWRRVEDAWRAGQCPRSCVGVGKDGKLFCWGDCDSRVRVGTSAVELGPWALVSGSAYQGGAQGSFLVEGQNGKLKNVEAPDEFSGFVQSGCCVEI >ONH97029 pep chromosome:Prunus_persica_NCBIv2:G7:16970796:16973064:1 gene:PRUPE_7G165500 transcript:ONH97029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLKDAPTTASTLFSAYASFAASMMLVRSMADQLIPRQLHSYIYSSLSYLFTPLSPHLTLIIDEHSGMTRNQVYDAAELYLRTKISPLTERLRVSKTPRKKTISISIDQGQQVNDTFDNVKLTWRYVCSSDQRSESQNHSLRSHNNNNNQSSEKRCFELTFHKKHKDKVVDFYLPHVFAQANAIKQKEKVVKLYTRDPCLGDDENGMGSSIWGSVNLEHPATFETMAMEPELKRAIVEDMDRFVKRREFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTSIHSNYDLRRILLSTTNRSILVIEDIDCSLEMHDRQFDEQQHFVHQQQSNNRLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDIHIHMSYCTTSGFRILGSNYLGFHGDNHHHRLWGEIEGLIESAKVTPAEVAEELMKSDDVDVDVALDRLANFLKHNKVENDETEEEANKIENQEAKRQKMDDHNVNKIGGGGFGRGKGRPMQQ >ONH98122 pep chromosome:Prunus_persica_NCBIv2:G7:20239276:20239849:-1 gene:PRUPE_7G230800 transcript:ONH98122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCLSTLGSVPPSWSGWSSWRKQFPLASASSSSSSSASWFDSRRLRRTQVVCMAPDEEKLTRRNPLDFPIEWERPKPGRRPDIFPQFSPMKTPLPPPMPYDPPEEDEDEEEKKEEEEEEQEQEEESPEKIDPDKNNI >ONH95860 pep chromosome:Prunus_persica_NCBIv2:G7:12513067:12514533:1 gene:PRUPE_7G092600 transcript:ONH95860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVGPWTTRSLIIAIMMMTTTVRLIDGSNNNHVYSPCADTKVERSDGFTFGIAFASRDSFFRNGSVQLSPCDSRLSLSNGNSQISVFRPKVDEISLLSVNSSSFTADSYGYMVAFAGRKYAARSIPAFVANATYTVTSFTLVLEFNKGRLQNLYWKRDGCSKCSGSTGLVCLNNQDCAFKTSSCKTHGGSVDCSLGIQLAFSGTDKHLSVLNSWYEVENLRQYSLYGLYSNLKGSLTSQFDKIF >ONH97238 pep chromosome:Prunus_persica_NCBIv2:G7:17610770:17611184:-1 gene:PRUPE_7G178900 transcript:ONH97238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLFNLFILSFVGGLAWATNNDALEKAFLHSIINDRETGRSRGFGFVTFSNENAMRDARRHERPELRRS >ONH96247 pep chromosome:Prunus_persica_NCBIv2:G7:14126507:14127371:1 gene:PRUPE_7G116100 transcript:ONH96247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQNCSGQTSGLVQFPQPTRPINSYKDMTSSMALPQPTKPISLDNITCTNSEPYLPKTEAKLPQQFVDRVNLAPPTEEEHKESLHGLLEIISELREKVPAKLADHLRDMDGTKALPTVLKGSTASRGLEAVDLSKKLERFKIRTFDSEGVVEEEQKR >ONH97281 pep chromosome:Prunus_persica_NCBIv2:G7:17716942:17717514:-1 gene:PRUPE_7G181300 transcript:ONH97281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLRFYGVFVVLLVSLLHHHHHHHQLKSLHFALFQHETINRTGYIVVNGVGGAGISQTTTPFGTVFVFQDPMTVTANRSSKVVGIAQGTSVTSSLDGLQSISVAKITLRLKHHSGSVSIVGGTHNVKPADHPVVGGTGDFLFVQGYVTSSPVNLKGLTVVYKIEFHLYWPPYATQGS >ONH94246 pep chromosome:Prunus_persica_NCBIv2:G7:767556:781382:1 gene:PRUPE_7G006200 transcript:ONH94246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFRRAILQPGPPENFALQTVQQVIKPQKQTKLVQDENQLLENILRTLLQELVSSAVQSGEQIMQYGQSIDDGETTQGHIPRLLDIVLYLCENEHIEGGMIFQLLEDLTEMSTMRNCKDVFGYIESKQDILGKPELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPDGISIDFNFYKTFWSLQEHFCNPPSLTLAPTKWKKFTSGLMVVLNTFEAQPLSDEEGDANSLEEEAANFSIKYLTSSKLMGLELKDPSFRRHILVQCLILFDYLKAPGKSEKDLPSDSMKEEIKSCEERVKKLLEMTPPKGENFLHKIEHILEREKNWVWWKRDGCPPFEKQPAEKKVVQEGAKKRRPRWRMGNKELSLLWKWADQNPNALTDPQRVRTPAITDYWKPLADDMDPAAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSRFTEFGIEGVVPLELLTPEERSKYQAKPNDKSKRAKKEETKGAAHQVEENQIATAANEIDGEGIRAVLEASVTPTDTDATVATGDMSQGGSPIPDEHQKQSSDTDVGQEAGQMEADAEVEAGMIDGGMDTEVDLDPVG >ONH94247 pep chromosome:Prunus_persica_NCBIv2:G7:767449:781360:1 gene:PRUPE_7G006200 transcript:ONH94247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFRRAILQPGPPENFALQTVQQVIKPQKQTKLVQDENQLLENILRTLLQELVSGEQIMQYGQSIDDGETTQGHIPRLLDIVLYLCENEHIEGGMIFQLLEDLTEMSTMRNCKDVFGYIESKQDILGKPELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPDGISIDFNFYKTFWSLQEHFCNPPSLTLAPTKWKKFTSGLMVVLNTFEAQPLSDEEGDANSLEEEAANFSIKYLTSSKLMGLELKDPSFRRHILVQCLILFDYLKAPGKSEKDLPSDSMKEEIKSCEERVKKLLEMTPPKGENFLHKIEHILEREKNWVWWKRDGCPPFEKQPAEKKVVQEGAKKRRPRWRMGNKELSLLWKWADQNPNALTDPQRVRTPAITDYWKPLADDMDPAAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSRFTEFGIEGVVPLELLTPEERSKYQAKPNDKSKRAKKEETKGAAHQVEENQIATAANEIDGEGIRAVLEASVTPTDTDATVATGDMSQGGSPIPDEHQKQSSDTDVGQEAGQMEADAEVEAGMIDGGMDTEVDLDPVG >ONH94245 pep chromosome:Prunus_persica_NCBIv2:G7:767449:781360:1 gene:PRUPE_7G006200 transcript:ONH94245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFRRAILQPGPPENFALQTVQQVIKPQKQTKLVQDENQLLENILRTLLQELVSSAVQSGEQIMQYGQSIDDGETTQGHIPRLLDIVLYLCENEHIEGGMIFQLLEDLTEMSTMRNCKDVFGYIESKQDILGKPELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPDGISIDFNFYKTFWSLQEHFCNPPSLTLAPTKWKKFTSGLMVVLNTFEAQPLSDEEGDANSLEEEAANFSIKYLTSSKLMGLELKDPSFRRHILVQCLILFDYLKAPGKSEKDLPSDSMKEEIKSCEERVKKLLEMTPPKGENFLHKIEHILEREKNWVWWKRDGCPPFEKQPAEKKVVQEGAKKRRPRWRMGNKELSLLWKWADQNPNALTDPQRVRTPAITDYWKPLADDMDPAAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSRFTEFGIEGVVPLELLTPEERSKYQAKPNDKSKRAKKEETKGAAHQVEENQIATAANEIDGEGIRAVLEASVTPTDTDATVATGDMSQGGSPIPDEHQKQSSDTDVGQEAGQMEADAEVEAGMIDGGMDTEVDLDPVG >ONH98164 pep chromosome:Prunus_persica_NCBIv2:G7:20359160:20361709:-1 gene:PRUPE_7G233400 transcript:ONH98164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVLYCFLTASFNGFFVFSQKSLWSQTHRYHLSVSFSCSNKNKPHPTHPPTSSKKQGDNQIRRELVFFVLIPLFIIISSASFTNILQKNMEEIQNSKPCSGQDSEAKSKPMDIVSFDKSLQELKDLRSQLHYAADYCESTFLNAKEKKVVMDNTKEYVCRAVVTVVDHLGCVSANLNGLISETNAFSETELRIDCLKQRLFLCEQYSHKLALPKVRWREILPRHNARFLSALRDAEKTSEDLRDPATPASRKTIDNHEFDKEAAMPLFLYTLSHKPSLPEGETNSALVPVRDGLSILSRGPNPTFHFQESRKNRRLKKSGQGHDILSLIRRAKRTV >ONH94666 pep chromosome:Prunus_persica_NCBIv2:G7:4115152:4118983:1 gene:PRUPE_7G025600 transcript:ONH94666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKKREGTIEKALTQEEQLLKIYEVRHMIGPIADKLPAICSEESIARYLRARNWNTKKAGKMLKETIKWRMEYKPEKIRWENIAPEAETGKIYKANYVDKCGRTVLIMRPGFQNTNAVNGQIMYLVYCMENAMLNLNLDQEQMVWLIDFQRWSSSSISLKVTRETAKVLQNHYPERLGLGILYNPPKIFESFWTMVKPFLEPKTYKKMKFVYSDNPQSQKTMEDLFHMDKLESAFGGKNSFGFDYQAYAQRMREDDKKMSSFIDSGCSPSVISELQQSDALTSDHCSEVSDEGGCSSCDEAASSNLDVVDEKIQSQPLDSKDVANGNTTLPKEMQMSELA >ONH97664 pep chromosome:Prunus_persica_NCBIv2:G7:18936052:18938885:1 gene:PRUPE_7G204500 transcript:ONH97664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVEDHFGLVVSRPQNSTYTQPLLSQATFPLLSLFSFYLFTSFFSVCPLRSSLSFGQLKMGDEGGSLHVSNDSNGLDHDNGDQSRILDIYPLSSYYFSSKEALPIKDESVADRLQRMKSNYAAYGLRTCVEAVILVELFKHPHILLLQVRNCMFKLPGGRLRPGESEIDGLKRKLSRKLCVNPDADGIPWEVGECLGVWWRHDFDTLLCRDLPSKVKNPKECTKLFIVRLPDCRKFVAPKNLKLLAIPLCQINKNQETYGPVISGVPEMLSKFTINIIED >ONH97665 pep chromosome:Prunus_persica_NCBIv2:G7:18936052:18938885:1 gene:PRUPE_7G204500 transcript:ONH97665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVEDHFGLVVSRPQNSTYTQPLLSQATFPLLSLFSFYLFTSFFSVCPLRSSLSFGQLKMGDEGGSLHVSNDSNGLDHDNGDQSRILDIYPLSSYYFSSKEALPIKDESVADRLQRMKSNYAAYGLRTCVEAVILVELFKHPHILLLQVRNCMFKLPGGRLRPGESEIDGLKRKLSRKLCVNPDADGIPWEECTKLFIVRLPDCRKFVAPKNLKLLAIPLCQINKNQETYGPVISGVPEMLSKFTINIIED >ONH94842 pep chromosome:Prunus_persica_NCBIv2:G7:6375968:6385028:1 gene:PRUPE_7G034400 transcript:ONH94842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGPSGFHNAPVTRAFVIASALFTVFFGFQGRSSKLGLSYLDIFGKFRLWKLIVSIFAFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFILFSVTVSLLFEILALAYLKDPAVNLVTSGPYGLIFASFVPFFFDIPVSTRFRVFGVRFSDKSFIYLAGLQLLLSSWKRSILPGVFGILCGSLYHLNVFHIRKAKFPEVIASFFSRISWPSTGSPPAAPTRNIVGSATPFTARQVERNYPSALASATEPTEASIATLVSMGFDRNSARQALVQARNDVNVATNILLEAQAH >ONH95985 pep chromosome:Prunus_persica_NCBIv2:G7:13062247:13062435:1 gene:PRUPE_7G100100 transcript:ONH95985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWSYPPTPKQLAVMACCFVTGVALFAVGAHLSLANVGTQQNRVKARRNFVKDRLRKLLDD >ONH95998 pep chromosome:Prunus_persica_NCBIv2:G7:13133121:13134353:-1 gene:PRUPE_7G101300 transcript:ONH95998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGDSTTIDVPHHEASGGAASKGKGAILTVPARQEKGGMRRGMAIIDLVLRIGAIVAALAAAATMGTSDQNLPFFTQFFQFEASYDDMPSFQFFLIAMSLVAGYLVLSVPFSIVSIVRPHASGIRLLLLILDVVALTLATSAAGAATAIVYLAHNGNSSSNWLAICNQFGDFCQTVSGAVVASFVTVVTFMFLILLSAVALRKH >ONH97587 pep chromosome:Prunus_persica_NCBIv2:G7:18673297:18676269:1 gene:PRUPE_7G199000 transcript:ONH97587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIALDRNGGGGNMIQRPRFIHGMPCLSMHDSSENKGFAQHRRLEQDLDSCSSSSVGRNSDSSDGSSEGDDSGEAEIQSSYKGPLDTMDQLEEVLPVKRGISMFYSGKSKSFTSLEDVSSVSSVKDLEKPKNRFMKKRKNLLAHSNYRNCNNPLKNNGAVKRPTANSSRGSFLLGENLSSSISPPPTSCLPPLHPPLHPDSKRSPGNGSSPPPLRRNSPWRSFSLSDLQCVAAATPNITGLEI >ONH97147 pep chromosome:Prunus_persica_NCBIv2:G7:17333734:17336081:1 gene:PRUPE_7G172300 transcript:ONH97147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLFQKLLPSSNSSVKVFLIIIVLFLYHNAAAVTLPENETIPAVIVFGDSIVDPGNNNNIRTIVKCNFPPYGRDFIGRKPTGRFSNGRVPSDLIAESVGVKNILPAYLDPSLKVQDLLTGVSFASGGTGYDPLTPQVVSVLSLSDQLDLFKKYLSKVNAAVGEARTATILSKSIYIVCLGSDDIANTYYSTSLRRPQYDIPAYTDLMIKSASSFFQELYDLGARRIGIVSLPAIGCVPSQRTLNGGIDKGCSDNANQAASLFNSKLTAQIDAFNKRLPEARLVYIDIYHTLLSLIQNPSQYGLEVVNKGCCGTGNIEVSVLCTRYSPGTCKDPSKYLFWDSYHPTEKAYKVLVPLVFDKQVHKFF >ONH98244 pep chromosome:Prunus_persica_NCBIv2:G7:20594755:20596534:-1 gene:PRUPE_7G238100 transcript:ONH98244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKSGSSVEVLSKKEMPSGSWCCAKIICGNGHNYTVRYDGFEGASDQAAVERVPRNAIRPCPPPLEVSENWVPGDLVEVFDNFSWKMATISKVFGKQYFLVRLLGSSQEFKVGKFEIRVRQFWQDDKWVVIGKGLNNYEYGKRGETLTLKYNQSSCLKAQKNETHVASSHNLKRRSPYLYSQDVAYNGSAEKFRAVEREGKRLRVISANLCTFSEQVDVALPRHMQGGKEIRASLNNRTTVFSDVDMERRKPSGDVGCFFAVNLRLNDADSVTCSVGSCSIGSNDSYKSPPPVSASSTEDFDDQLSDAESICQLGYEEGNSLLPAKEELAAEIHRLELHAYRCTIGALHASGPLSWEQEELVTNLRLSLHISNDEHLMELRNLVSGDNSIHIR >ONH96077 pep chromosome:Prunus_persica_NCBIv2:G7:13489594:13491922:1 gene:PRUPE_7G106000 transcript:ONH96077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMGLDAYRMSISWSRLLPNGTLSGGVNMDGIIYYNNFINELIRNGLTPFVTIFHWDLPQALDDEYGGFLSPKIVDHFKAYAKLCFEYFGDRVKHWITLNEPYTFSINGYAYGSHAPGRGSTWQNLNCTGGNSATEPYLVTHHQLLAHAAAVKLYKDKYQAFQNGSIGITLVSPWFEPASEAITDINATFRALDFILGWFMDPLTNGDYPHNMRSNVRERLPKFTEEESELLKGSFDFIGINYYTARYASNERGIISARASYLTDSHVNITNWLYVYPKGIHDLVLYTKEKYNNPIIYITENGVDEFNDPKLPLEQALNDTERIDYYYHHLCYLHASMRKGAKVKGYFSWSLLDNFEWNEGYTVRFGITYVDYNDDLKRHPKRSAYWFKNLLK >ONH97838 pep chromosome:Prunus_persica_NCBIv2:G7:19400851:19406316:1 gene:PRUPE_7G213300 transcript:ONH97838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECDLKALRMSPAFRSLLLPICQRPTSSVQLHNKLSLSSRSLLLSVKLKPLSCAALEAFDLNPISDLALSNVPNSETQLHEVRVNNGSSTNEEMVGSNVKTRPRVFNSKKRLIRYSGMLRTCVLQGSLNEGKAIHGQVIKNGIDPDLHLWVSLVNVYAKCGDCGYARKVLDEMPEQDVVSWTTLIQGFVVNGFGVDAVKLFCEMKKDGTRANEFALATGLKACSLCFDLGFGKQLHAEAVKLGFFSDVFVGSALVGLYAKCGEMELADTVLFCMPEQNVVSWNALLNGYAQEGDGKQVLKLFCRMTESEMRLSKFTLSTVLKGCANSENLRGGQFLHSLAIKSGCKIDEFLGCSLVDMYSKCGMAIDAVKVFRRIKNPDVVAWSAIITCLDQQGQCQEVAELFREMISTGISPNQFSLSSIISAATDLKDLHFGESVHAFAWKYGCESDISVSNALITMYMKIGRVLDGAQVFEAMTDRDLISWNSLLSGMHNHEICDLGPRIFRQMLVEGFKPNMYSFISVLRSCSSLLDVGLGKQVHAHIVKTSLDDNDFVGTALIDMYAKIRFLEDAVIAFNKLSNRDLFIWTVIITGYAQTDQAEKAVACFSQMQQEGVKPNEFALAGCLSACSRIAMLENGRQLHSMAIKSGHLGDLFVSSALVDMYAKCGCIGDAEDIFGGLDSCDTVSWNIMICGYSQYGRGEKAIEAFSTMLNEGTIPDEVTFIGILSACSHLGLVEEGKKHFDSLSKVFRITPTIEHYACMVDILVRAGKFNEAESFIETMKLTLYPIIWETVLGACKMYGNVEFGETAAKKLFELKPEMDSTYILLSNIFAVKGRWDDVSKVRKLMSSQGVKKKPGCSWVEVDGQVNTFVSQDGSHPRIRDIHLKLEELGEKLNSVGYIPETEDVLHNITEREKNEHLQYHSERLALAFSLISTNPPKTIRIFKNLRICGDCHEVMKLISDVTNREIVVRDIKRFHHFKSGTCSCNDFW >ONH98127 pep chromosome:Prunus_persica_NCBIv2:G7:20270913:20273095:-1 gene:PRUPE_7G231300 transcript:ONH98127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRNPISNTKRFFQKTLGNLKNFFSAGSYQKLPKSPPVYNNPFQYATAVDLNNLHTTSYKDLDKLYTDFTDQWDSEIEKMRRSNKKKVISTPTKLQDHHQEVQSPTSFTKLNAYHASPPPAKINTNYEKYDLKNRTVIKNRDEKTKTVPKESRELREGCLVAQKIKEMEMLDMSNVDHVLDIEEVLHYYSRLTCPAYLEIVDKFFMDVYAEFFGPAAPAAATPARSIKSRLRPRSLMMS >ONH96746 pep chromosome:Prunus_persica_NCBIv2:G7:16109213:16111224:1 gene:PRUPE_7G149100 transcript:ONH96746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMISKPHAAILCSPGMGHLIPVIELAKRLVNHHNVMVTIFAVQSNTSEAESELLKAATSPKFCDIIELPLPDISGLLDPDAGIVTKLRVMMREIRPAFRSAILAEDSPRPSILIVDLFGTESLPIGDELGVPKYVYVACNAWFLALTVYVPILDKEVEGEYVDQTEPLRIPGCSLVQPEEVCDPMLKRADQQYLEYVRMGFEIPRSDGILLNIWKDLQPKTLDAFKDESLLGGVVKVPVYPIGPLMRSAQSAGPTGLRDRDLFNWLDKQPSESVIFVSLGSGGTLTYEQMTEMAWGLELSQQRFIWVVRPPTSKRADAAFFTSGKGDDDPSSYLPEGFLTRTREIGLVVPIWAPQVDILSHPSIGGFFSHCGWNSTLESIINGVPMIVWPLYAEQRMNATLLSDELGVAVRSKVPPWKGVVEREEIKRMVRKIMVEEDGIAIRGKVNELKLSAVKALSQGDSSYNALSQVASTTK >ONH95668 pep chromosome:Prunus_persica_NCBIv2:G7:11946836:11947426:1 gene:PRUPE_7G083900 transcript:ONH95668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHNKDFCYGFASKRCCNSALNAETEAAIEALRCASLRGYSKIEMESDSKVLIDNIKGNVCTKAWTILPLLDEIRRLSARFSDVEWCWIPRGANRAAHVTAAIGLRAVCPQGWANQPPPSLVRVLASDGLPSPP >ONH96727 pep chromosome:Prunus_persica_NCBIv2:G7:16060029:16061499:-1 gene:PRUPE_7G148100 transcript:ONH96727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFGESTSVPPQSPSCSGNNANDVGDFECNICFDLAQDPIITLCGHLFCWPCLYRWLHHHSHSQECPVCKALVQEEKLVPLYGRGKTQTDPRSKSYPGINIPNRPAGQRPQTAPPPETNQFTNYGSGFMGGFVPMATARIGNFTLATAFGGLIPSLLNIHYHGFPDATVYGTTSGFPYGFSSFHGGHAHGFPQPASQRQQVDNVLKNLFLLIGVFVILALICW >ONH96728 pep chromosome:Prunus_persica_NCBIv2:G7:16060029:16061805:-1 gene:PRUPE_7G148100 transcript:ONH96728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFGESTSVPPQSPSCSGNNANDVGDFECNICFDLAQDPIITLCGHLFCWPCLYRWLHHHSHSQECPVCKALVQEEKLVPLYGRGKTQTDPRSKSYPGINIPNRPAGQRPQTAPPPETNQFTNYGSGFMGGFVPMATARIGNFTLATAFGGLIPSLLNIHYHGFPDATVYGTTSGFPYGFSSFHGGHAHGFPQPASQRQQVDNVLKNLFLLIGVFVILALICW >ONH98720 pep chromosome:Prunus_persica_NCBIv2:G7:21832738:21834024:-1 gene:PRUPE_7G263500 transcript:ONH98720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPQQVKAAAGAQGMKGPSPAQHSTEVLHQTSKVSFCPMRMALGGFAVIVTIGYFTLYTKKKPEASALDVAKVATGFSHPENTHPRK >ONH96853 pep chromosome:Prunus_persica_NCBIv2:G7:16494437:16496159:-1 gene:PRUPE_7G156100 transcript:ONH96853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSLSSSRNSNSSWTPKQNKQFEKALALYDKDTPDRWQKVARAVGGKSAEEVKQHYEVLLEDVNHIEAGRVPFPRYRGD >ONH96291 pep chromosome:Prunus_persica_NCBIv2:G7:14299572:14303204:1 gene:PRUPE_7G118500 transcript:ONH96291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGVEVKSGQSVSVEPKNKVVHLSQVCLDDVKKAKGSNPISLFVKTGNHKLVLGILSAEKFPQLPLDFFFGEKFELSHNWKNGSVHFTGYKSLSNTGDNSDSEEDVALTVVDNGKPKVSTEDAKKAIKSEQKEASSDDDESDDEEVGAVQTKVKFGEGSSEDEDESGDDDDDDADSEDETDDSEEEDEETPKKADVSKKRPAESTKSIANNKKAKFVTPEKTDSKKGSVHIATPHPAKQAGKKPATSDQSKQQAPKSGGTFNCQSCNRSFNSDGALQSHTKAKHSAAK >ONH96290 pep chromosome:Prunus_persica_NCBIv2:G7:14299854:14303138:1 gene:PRUPE_7G118500 transcript:ONH96290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGVEVKSGQSVSVEPKNKVVHLSQVCLDDVKKAKGSNPISLFVKTGNHKLVLGILSAEKFPQLPLDFFFGEKFELSHNWKNGSVHFTGYKSLSNTGDNSDSEEDVALTVVDNGKPVISGKPKVSTEDAKKAIKSEQKEASSDDDESDDEEVGAVQTKVKFGEGSSEDEDESGDDDDDDADSEDETDDSEEEDEETPKKADVSKKRPAESTKSIANNKKAKFVTPEKTDSKKGSVHIATPHPAKQAGKKPATSDQSKQQAPKSGGTFNCQSCNRSFNSDGALQSHTKAKHSAAK >ONH96346 pep chromosome:Prunus_persica_NCBIv2:G7:14516329:14523526:-1 gene:PRUPE_7G122300 transcript:ONH96346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHGRSKSKKDASSSSKSRRRRRSDDSESDSESESYSESDASDSRDSSPPRSSGKRKEQSRSRNSSRRSGRRKDSSDDESYDSDETEERDRKKKRSKNVTEDQIAEYLAKKAQKKATRVAKKIKSKTVSGYSNDSNPFGDSNLNEIFVWRKKIDRDVSNGVSLDVFSVKAEKKRQRERMAQIEKVKKRREERALEKAQHEEEMALLARERARAEYQDWEKKEEEFHFDQSKVRSEIRLREGRIKPIDVLSKHLNGSDEFDIEINEPYMVFKGLSVKEMEELRDDIKMHLDLDRATPTHIEFWEALLVVADWELAEARKKDALARAKVRGEEPPAELLAEQRGLHSSIEADVKTLLEGKTYSELEALQSKIESQMRSGTAKVVEYWEAVLTRLHIFKAKACLKEIHTKMLHKHLQRLEQRVDGEENLDTVHDLQPEEEESEPDVKDAQAFSPEPLEEEAIDVAEEEAGSFSPELFHGDENEEVFDPEEDRAMLERKRVAVLEEQQRRIQEALASKPAPPPEDNFEMKAMKAMGIMEEGDAVFGSNSEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPHYTIEKDGNSSETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >ONH97562 pep chromosome:Prunus_persica_NCBIv2:G7:18576858:18579387:-1 gene:PRUPE_7G196900 transcript:ONH97562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPSVIKPIISKMYCSSSQAVLAVRRRPHVINGGGFVVTDCSQNQKVAFRVDGCGILGTKDEMILRDGHGDALLLIRRKGAMVEALSIYRKWKGYTLDYEGSQDQLVFCLKEPNSCLARTHAIRISTKARQNKDWDFEIKGYFPDKDCSIVDSRGNTMAQIGVKKELMASKDLYHVVVTPGMDHAFVIGVITILDYIYGESTRC >ONH95446 pep chromosome:Prunus_persica_NCBIv2:G7:10873092:10873928:1 gene:PRUPE_7G071000 transcript:ONH95446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKRMFSIVFILVLLFVAIGTGPMVAEGKVETKETSRTCESLSTKFKGPCIRSSNCANICEEEGFKGGKCVGFRLRCTCTKNC >ONH97874 pep chromosome:Prunus_persica_NCBIv2:G7:19507170:19509550:-1 gene:PRUPE_7G215500 transcript:ONH97874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMIFTKVYEVKFKHGGEVPVIRHVAKFREGYCPRVARVFNHSNLYYFGDDGGFIVDMETWSKCSSIPPTPASNSRETIVYAYDKVYYLARRSSLEPVTEPSFGRYDPNQKVWEQMTPFPFYDDYDRHMRITGYAVCNGVILFSLCGLINGDFCIAAFNVGRMDWNRVEFDTSACLAPPFQGRAVVVGKTIYALYGEAEIIAFSFKMEKGGDDDIAYSISQLFILQCLEFARPQMPWFDDLTQCLVHLGNHDFFYVQTGWCDSHLKVQYLSITAFQIVVGEGRGYMIKTIHSTVHSVDIKGSEWFDLVLCFTPECEDYEHIEEESIASMNQPRQEITAMKLEIEATQHEEANDAQVGY >ONH97873 pep chromosome:Prunus_persica_NCBIv2:G7:19507170:19509548:-1 gene:PRUPE_7G215500 transcript:ONH97873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRYISQFSTAAAELTSGQGHALTMASRQDDLLGKISEMEKSMDKLPEMEKILGQLFDLMKSITQELCLQSPSPAITTTPLPPSTVMECRNPNHEAHDLYQSFPDDWEHASMTVNQGEARSICLLVSSYGDGIYTNAVYEVKFKHGGEVPVIRHVAKFREGYCPRVARVFNHSNLYYFGDDGGFIVDMETWSKCSSIPPTPASNSRETIVYAYDKVYYLARRSSLEPVTEPSFGRYDPNQKVWEQMTPFPFYDDYDRHMRITGYAVCNGVILFSLCGLINGDFCIAAFNVGRMDWNRVEFDTSACLAPPFQGRAVVVGKTIYALYGEAEIIAFSFKMEKGGDDDIAYSISQLFILQCLEFARPQMPWFDDLTQCLVHLGNHDFFYVQTGWCDSHLKVQYLSITAFQIVVGEGRGYMIKTIHSTVHSVDIKGSEWFDLVLCFTPECEDYEHIEEESIASMNQPRQEITAMKLEIEATQHEEANDAQVGY >ONH98723 pep chromosome:Prunus_persica_NCBIv2:G7:21839904:21844361:-1 gene:PRUPE_7G263800 transcript:ONH98723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSVTQRRLTKLDVYLYIPNIIGYIRVLMNCFAFAQCFSNKQLFSILYFVSFVCDGVDGWCARKFNQVSTFGAVLDMVTDRISTACLLVVLSQVYRPGFVFLSLLALDIASHWLQMYSTFLLGKASHKDVKDSTNWLFKAYYGNRMFMAYCCVACEVLYIILFLLAKNETESLIDVLTGLAKHITPLSVLVGLSLFGWAVKQAVNLIQLKTAADVCVLHDINKKQSP >ONH94843 pep chromosome:Prunus_persica_NCBIv2:G7:6387032:6390758:-1 gene:PRUPE_7G034500 transcript:ONH94843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLVRENLFIGNIGDAAEVLQNGSTEITHILSVLSSASISFFSEWKKGLTIPTKEIKKVYTGGSVGGSASVDDSGDGSKSCLLSDKLLYLLEYAGKDLKLVRMGVPLRDMENENLLDYLDVCLDFIDKSRKEGSVLVHCFAGVSRSAAIITAYLMRTEHLSQEDALGSLRQSCEFVCPNDGFLDQLKMYEEMGFKVDHASPIYKSFHLKVLGESYHRGDKIDSSKFGADPGLPIEVASGVESAQNGGKTGTTAFRCKKCRRVVALQDNVVDHIPGEGEKSFEWRKRKSSNLSEDSECSSIFVEPLRWMTAVEEGALEGKLSCAHCEARLGYFNWSGIQCSCGSWITPAFQLHRSRVDVSTV >ONH98595 pep chromosome:Prunus_persica_NCBIv2:G7:21449859:21457621:-1 gene:PRUPE_7G256000 transcript:ONH98595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSAEHIPMCEQIEGSETTVEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPILPSPEGLPNHPGTDPASSTSRGQHQVAPGVVIETFSMPVQGDGFPPEISRIISAILGSIGLPNISGGSEGIEVREHGPQRPERTPGLSGMFDFSQFQSEQAGPRGPSDRSNGTFGHPTDFSLGTLPPLVIPDSLTTLSQYLSHLRREFEAIARDGGRGQTAATLRTEESSNASSHTGARQEGLPSPASLAEVMRSTRLLLLEQVGESLLQFASQLENQVNVTDPSARFSAQSSASRTGALFHNLGAFLLELGRTTMTLQLGQTPSDAVVNAGPAVFISPTGPNPIMVQPLPFQSGMSFGAIPMGAVQPGSGLVNGLGTGFVPRRIDIQIRRGSSATTPNVTREEHGDTQQPSGQRNPASSGGENPTNQAISRVSDGPAFAGDSTVRIVPLRTMVAAVPAPLSRLPSDSSGNSIGLYYPLLGRLQHVASGQVSGERGTLASGDRPPAGLHADQQSSESAVEQQNVGGPAREGSTPNLRQEPSIARSVSVNILSAGGTQNNQESERQIPSGILQFIRNLFPGGEIHVEDGSAEGLTTGSVSDQARTSSGVVGAQEAEPRVTDEGLFLSNLLHQIMPFISQASGGEPGLVPTAVANSSEHRMAQDSSTRAENSNVGTSRQRSDCEPNPPSSKRQKME >ONH98594 pep chromosome:Prunus_persica_NCBIv2:G7:21450266:21456177:-1 gene:PRUPE_7G256000 transcript:ONH98594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSAEHIPMCEQIEGSETTVEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPILPSPEGLPNHPGTDPASSTSRGQHQVAPGVVIETFSMPVQGDGFPPEISRIISAILGSIGLPNISGGSEGIEVREHGPQRPERTPGLSGMFDFSQFQSEQAGPRGPSDRSNGTFGHPTDFSLGTLPPLVIPDSLTTLSQYLSHLRREFEAIARDGGRGQTAATLRTEESSNASSHTGARQEGLPSPASLAEVMRSTRLLLLEQVGESLLQFASQLENQVNVTDPSARFSAQSSASRTGALFHNLGAFLLELGRTTMTLQLGQTPSDAVVNAGPAVFISPTGPNPIMVQPLPFQSGMSFGAIPMGAVQPGSGLVNGLGTGFVPRRIDIQIRRGSSATTPNVTREEHGDTQQPSGQRNPASSGGENPTNQAISRVSDGPAFAGDSTVRIVPLRTMVAAVPAPLSRLPSDSSGNSIGLYYPLLGRLQHVASGQVSGERGTLASGDRPPAGLHADQQSSESAVEQQNVGGPAREGSTPNLRQEPSIARSVSVNILSAGGTQNNQESERQIPSGILQFIRNLFPGGEIHVEDGSAEGLTTGSVSDQARTSSGVVGAQEAEPRVTDEGLFLSNLLHQIMPFISQASGGEPGLVPTAVANSSEHRMAQDSSTRAENSNVGTSRQRSDCEPNPPSSKRQKME >ONH94423 pep chromosome:Prunus_persica_NCBIv2:G7:2232151:2236311:1 gene:PRUPE_7G015400 transcript:ONH94423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHDCKDLDMGYEDKPQEPESEGRSSPASALEIRKILDVLMHASKCPLAPASQCQYPNCRKIKGLFRHGIQCEDRVSGGCVLCQKMWYALLLHAPFYFMLELAKNLSAMCHVAAI >ONH94424 pep chromosome:Prunus_persica_NCBIv2:G7:2232307:2235839:1 gene:PRUPE_7G015400 transcript:ONH94424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHDCKDLDMGFGSDRSQIFNLWMSSMNTPVMVELEGETHPPEGRSSPASALEIRKILDVLMHASKCPLAPASQCQYPNCRKIKGLFRHGIQCEDRVSGGCVLCQKMWYALLLHAPFYFMLELAKNLSAMCHVAAI >ONH96747 pep chromosome:Prunus_persica_NCBIv2:G7:16115374:16118013:1 gene:PRUPE_7G149200 transcript:ONH96747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMNNSKQHAALLCSPGLGHLIPILELAKQLVAHQNFTVTIFVVSSQTSHAESQLLNSTPTNPQLWHAVQLPSPDISGLVIPDDAVVTILAMMMREVRHAFRSALLGMEVCPTMLIVDLFGTESLPIAEELGISKFVYVPSNAWFLSLMVYCPVLDVEVKGDFVHQKEPIQIPGCRSILPQLDLDDTLSVRAHREYLDFVEIISRGVSKGDAILVNIWEDLEPKTLAALRDEKLLGRYTKVPVYPIGPLIRPTESSGSRGKVFDWLDKQPNESVIYLSLGSGGTLSYEQMTEMAWGLELSKQRFVWVIRKPTRTADGAFFTGGNGSGGDEDSPSKYLPKGFLDRTKDVGFVIPLWAPQVDILAHPSVGGFLSHCGWNSTLESITNGVPMIAWPLYAEQRMNSTMLTEEFGVAIRSKIPPWKKVVEREEIEEMVRKIMEEKEGIAMRERVRKLKTSSAKALEKGGSSYNALSQFAKHGELRCMKFANGGK >ONH95923 pep chromosome:Prunus_persica_NCBIv2:G7:12818657:12819340:1 gene:PRUPE_7G096300 transcript:ONH95923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINAKADHHSTTEPLLLISSNPSNPIVSQSIASQSIARLVQLHRILLLALGTFTLLCVFMLTPTQTPKIQLDSLFVSKLDVSNTSLGASWDVAFTIDNPNMVSWVHFDRIEGSILYKDSPLAIYFVKPFDLGLKEQRMMHVKISMTRLQNQPAMKVSDLEEMNRQREDGAVGLKMEMFAWATYKKGWWGTQDVMMEAHCLGLRIGFLPKVGFGSWISGGPMTCSTV >ONH96092 pep chromosome:Prunus_persica_NCBIv2:G7:13580503:13582120:-1 gene:PRUPE_7G107300 transcript:ONH96092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRQVTHHRCELKMTSAKKEKDIIDRFSNLPDEVSHQILSFFNFKELIRVGSVSKRFRGLYLSSLSLDFWSWYSNKQEQLNMLNSFERFLIHCGDNKIQHFRICLNLCSTLCDEIFRVITWIHIAARCNVEGLDLQLEITKTDMPMMELPSCIFLCGSLRSLLVDLYKVLKVPSFSGSTNLQHLSLSSVRMGLE >ONH98365 pep chromosome:Prunus_persica_NCBIv2:G7:20966930:20968553:-1 gene:PRUPE_7G246600 transcript:ONH98365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVRRAWHSDRHQPMRGSIIQQIFRVVSEVHGSVTKKNKEWQEKLPMVVFKAEEIMYSKANSEAEYMNLETLWDRVNDAVNTIIRRDEGTETGELLPPCVEAALNLGCIPVRASRSQRHSNPRIYLTSRAQEPPSAPTRILDRTTDERRPQFPPHRSGNQLNFAKASTGNSAHSVPESYSRINQNTNLNSRRNDPFSRENLPAGHNQLTTMSTNNSLDLGSVYPLYYGAHYQFEECQVGPQVPENTHSRTIYVGKPIVTSIAEPTKHNLFSSQTAENVSHRITQVEVHDKPLETECDLSLRLGPVLHPCIQRSLASETEDIGSSSSQDGGKLNDLSPSISKEICFFPTKTACDRFESTSSMWNSEGEGRSLEATVRKRKAPFCSNEEDGKFCEQPDVLPNRLTDRTTGPGL >ONH98364 pep chromosome:Prunus_persica_NCBIv2:G7:20966643:20968981:-1 gene:PRUPE_7G246600 transcript:ONH98364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVRRAWHSDRHQPMRGSIIQQIFRVVSEVHGSVTKKNKEWQEKLPMVVFKAEEIMYSKANSEAEYMNLETLWDRVNDAVNTIIRRDEGTETGELLPPCVEVRASRSQRHSNPRIYLTSRAQEPPSAPTRILDRTTDERRPQFPPHRSGNQLNFAKASTGNSAHSVPESYSRINQNTNLNSRRNDPFSRENLPAGHNQLTTMSTNNSLDLGSVYPLYYGAHYQFEECQVGPQVPENTHSRTIYVGKPIVTSIAEPTKHNLFSSQTAENVSHRITQVEVHDKPLETECDLSLRLGPVLHPCIQRSLASETEDIGSSSSQDGGKLNDLSPSISKEICFFPTKTACDRFESTSSMWNSEGEGRSLEATVRKRKAPFCSNEEDGKFCEQPDVLPNRLTDRTTGPGL >ONH95210 pep chromosome:Prunus_persica_NCBIv2:G7:9656981:9657526:-1 gene:PRUPE_7G057300 transcript:ONH95210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSNPHGCIQERNTKRVVVYVGGGAYNEKIKIPQNKPFVTLYGLPKNMPTLMSHGTAQKYGTVYSGTLTVESDYFRAASIIVTLEKCYIILMMVMYVSWSHGVVYYNFAEFGTGAGWDAQAVALQISGDKAAFYNCKFIGFQDTLYDYKGLHFFKDCYIPSRTATFKEP >ONH94815 pep chromosome:Prunus_persica_NCBIv2:G7:5934227:5934496:1 gene:PRUPE_7G032100 transcript:ONH94815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLQVVGEIKKLNNKNYNTWATCMESYLQGEDLWDVVGSNEVTQPKEDTNGTLRNWKIKASKAMFALKTTIEEEMLEHIRNVKTPKET >ONH96357 pep chromosome:Prunus_persica_NCBIv2:G7:14564901:14565872:-1 gene:PRUPE_7G123200 transcript:ONH96357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGAAPSGSYFTPLLISMGGIVSTTLAIVAYHFIVVRFCLRRRQRLMQMQLQASLSQQPPISQSNGVDEKVLSSIPILSYSTKNCELFQVDQSECVICLGELEDGDMVRLLPNCKHVFHNPCIDKWFLGHTNCPVCRAAIAVPIVSVAALPVENDHDQVRDREVEAEVEAEAETGINYSPHPHDPEQGVPSASITTTNSTQLPKPNCLLRHCVSLVVLPIEEHNKQRSFAKGLERSLSLDQSHVLIDIYRESDDKPSSSSSISSSSSSSSSSPSSKPVLVQCGSLKARSMRQLDRMSSVLVRSLSQLRMSQSISIANGQGVLPC >ONH97561 pep chromosome:Prunus_persica_NCBIv2:G7:18573445:18576021:1 gene:PRUPE_7G196800 transcript:ONH97561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPKCFFGAKNSQFRGVFNGICTLVLFFLFYNQDSFLRNQFLGSSHSVLPPRWSSKVNGFGDLGDRVDIIRRRIAEVNVSSSNYSVSVNGSDANFLSANHPQFCTGLLKHEGYQSKCEFLITHPECSSGGYLNYIHFFYCDCEKVSILGYVVLAIWLAALFYLLGNTAADYFCCSLEKLSSLLKLPATVAGVSLLPLGNGAPDVFASIAAFVGKDAGEVGLNSVLGGAVFVTCIVVGIISLCVADRGFQIDKKCFIRDICFFLFTVMSLAFMLMVGEVTVGGAMAFVLIYVVYAFVVAANEILRKHVRKLRLDAVTPLLPVRGSIFSQASDEDESSVYASLLESESDSEVPQLQSKLPQWMWASNVAIYSNQNLKASSDSPRSLWGWNDEETMEEHSSSFKFLSLLEIPLTLPRRLTIPIVEEDRWSKGFAVSSAVLAPILLVFLWNTQDDVGSLSKKIAYFIGFVSSGVFGVLAYLHTRVDQPPRKFLFPWVLGGFFMSIIWFYIVANELVALLVTLGVIFGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLAAWNKRPEPFIIPKDSSLFYTMGFLVLGLLWSLVVLPRNGMRPNKILGLGLIAIYLIFLFLRLGTSMGMLSLVNV >ONH96676 pep chromosome:Prunus_persica_NCBIv2:G7:15881601:15884346:-1 gene:PRUPE_7G145200 transcript:ONH96676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVASNPVGLHRFRPCFQVAQLPQHARTSLHFRNEHRRFPTVSCQQTPDPTETSTTEKSIVEPGSGNDSSSQATSSSRDSGPPEFPNKNTNKQVALVSTLAALALFLSGRLDFGVSLKDLSVAALPYEEALSNGKPTVVEFYADWCEVCKELAPDVYKVEQQYKGRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKKGNEEGNVVGRLPEKYLLENVDALARGEASIPHARVVGQFSSAEARKVHQVADPRSHG >ONH97772 pep chromosome:Prunus_persica_NCBIv2:G7:19181241:19182412:-1 gene:PRUPE_7G209100 transcript:ONH97772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPATSSFLSIMISWFTPTSLFLFVNLVIGTIVLSSRFGTHKNPEQHHQDQLTPHSSHQLVRTPSLLDRVRSFNFSHYNFEQPNPEPEHVAPEHVNQAGLTRTPSLLERLGSMDFSTLLRSEKPDTETRYLDSNESEHKTHDPNPRSENLVHRSKSESSGGAPAHHHEQIRKSVSEKSPLGKVEGNDDVGDDRRPRVEKTKSFGGDEGVDAKADDFINRFKQQLRLQRLDSLLRYKEMLQRK >ONH97715 pep chromosome:Prunus_persica_NCBIv2:G7:19026925:19028157:1 gene:PRUPE_7G206300 transcript:ONH97715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSPKNLSFDQLTGASETVSSDFRKFSVKAAMDDFRSKSCGHGMMQIESYCGNGSGPTSSGGINGMQDLRCYSASYASSVQPPTQTQMGNNGDRFKKGKSTNGSASKSWSFNDPELQRKKRVASYKVYTVEGKLKGSLRKSFRWVKETCNRVVYGR >ONH94344 pep chromosome:Prunus_persica_NCBIv2:G7:1629004:1636527:1 gene:PRUPE_7G011900 transcript:ONH94344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPSTATLVLLFTFCLSLSTLSLATDDGAIKGVDLENPVLDVSPAPLSTPGSKDVLLCERVRVSGISRLRLGSYASSLRITLSPSLGIPEKLYSKIEVCFHRNNSLVLCQCEKDNWKNLQKELWSTVMSPYDEKYIDVRFNDEIPGSVTITVEEDSQKWRLVCLALGFFLLLLAPIISSWVPFYYSSSMAIGVFLVIIILLFQGMKLLPTGRKNVFYLTIYGSLLGAGSFLFHQFSMLVNSILLNFGLSEEMHNPVSVFLLVGIILAGAALGYWIVRRFVVSKDGTVDVGIAQFVKWAMRIIGTTSILQSTLDTPLAVGALVSCWIICKLISSLKRHQLGAWSGSPWLQQGRQVKGRHGRPEFLSRSSPQKKNWKSPSTLSAWSDSPVKGVMSPSFRARDQQEYYSTFHKMQSRKKFTKKEWDDFTQQSTRQAIAEWASSPEVTDWIIEHADRIQLLPSESSDETVGSASDSTDENVVGTDRVGFLKW >ONH98584 pep chromosome:Prunus_persica_NCBIv2:G7:21433037:21434307:1 gene:PRUPE_7G255300 transcript:ONH98584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHPPPPQGHGHGHPGPPPGPPGQPPPPAQGGHGPPPPQPGPPSAPPGPPEPHKPHPHDPHHDHCHHHHHP >ONH97321 pep chromosome:Prunus_persica_NCBIv2:G7:17822186:17825164:1 gene:PRUPE_7G183600 transcript:ONH97321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANICDVNHLDADVLLPPRKRLLAGLKKQSPDGDGSSWLSLVASSASASASASASSSSSPSSSDFNTRLNNILSSHNPNLSPEELVEISNSAAAAAAKAAEDARAAAEEKAGIAAKAVAAAKSALELVASFSEDVGCKEKYLKKNKLKKHVPVQLLYKKYQPIENCKKDEELARKLHRAINSSPRISKNSSSTDSKGHKHKKPKIVHSSEKVRVSNGGIELEQNPEPACNGHAVAGKVNVEGTILELYKNKAEEKAYRHDKTGRLEMDNAEAESSQMKEKSWDDVCTSGKKRGRVKLKKLPLSICTFRDQANPKEEMDARGSPLTVINRGNPTAGKKPLFPVESSADSMLPIEATPVWKYQDFKAPACVKQNKVMQS >ONH94777 pep chromosome:Prunus_persica_NCBIv2:G7:5479224:5479532:-1 gene:PRUPE_7G029700 transcript:ONH94777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGSLSLMQMAKISSALYDYQSNKKLFYVSILTSPTTGGVTASFGMLGDIIIAEPNAYIAFVGKRVIEQTLNKTVPEDSQVTEYLFHKGLFDPIVPRNPLKG >ONH97522 pep chromosome:Prunus_persica_NCBIv2:G7:18475655:18477296:1 gene:PRUPE_7G194600 transcript:ONH97522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFGKRPFPMIGKLSELLVSGNRAGFFFDMGTTSPRSPLDLKMQSSSPRGLKNYDLGGVGLGIVAALEKSSHNGREILAKYAVCGQNLNQSEPIPVSSAQNCDGFSTRGLPEFEEDSLENYTYVTQRGRNKSFTRVYYDGGDCKTSSMHHRVEDDLQTCNDNNLASVFPTSDFLSSCHLCKKNLHGKDIYMYRGESAFCSTECRATQMMMDESKERCRSEALRSVEVSGSSCSRDQIFSTGILVI >ONH98368 pep chromosome:Prunus_persica_NCBIv2:G7:20988412:20990786:-1 gene:PRUPE_7G246900 transcript:ONH98368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTIRKAIGAVKDQTSISLAKVAGNIAPDLEVLVVKATTHDEDPADDKYIREIINLTSYSRGYVTAAVATISKRLNKTHDWIVALKALMLVHRILVDGHPSFEEEIVYATRRGMRVLNLSDFRDEAHSNAWDHAGFLRFYAMYLDEKVEFEVYERKSGGTEGGRLDQERDYREERKEFDYGNDVSDQSLEREQKRETKSSTPIREMRPERVLGRLNDLLRVLDRILACRPAGAAKSSRLVIVALYQVLKESFRLYVAICEALGVLLDRYTEMEYADCVKAFDVYVNAAKMIDELVGFYGWCKDTGIARSSEYPEVQRITDKLLSSLEGFLKEKTNRPKSMEEKGQVDCEPKQDMNYEVMKALPPPENYTPPPPPPEAQPKASHPQPVTEDLVNLRDDGVSADEQGNKLALALFSGPPTTTNTKGSWEAFPSDGEPQVTSAWQTPAAESGKTDWELALVETASNLSKQKADLAGGFDPLLLNGMYDQGAVRQHVSTSQLSSGSASSVAMPGAGKGVTPLLALPAPDGTVQAVGQQDPFAASLAVPPPSYVQIAEMERKQYLLSQEQLLWQQYGREGMQGQVGLAKISSGGSGYYGPPQPMMPQPGEYYYAPY >ONH97025 pep chromosome:Prunus_persica_NCBIv2:G7:16960014:16964744:1 gene:PRUPE_7G165200 transcript:ONH97025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRPRRRCEGTAMGAIVLDLRPGLGIGPFSIGMPICEAFAQIEQQPNIYDVVHVKYYDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLVEIFDIKRLQMRYATSLIGGPSTLATFVAVYALFGPTFPGIYDKDRGVYTLLYPGLSFAFPIPNQYTDCCHDGEAELPLEFPDGTTPVTCRVSIYDSSTDKKVGVGSLMDKASAPPLPIGSLYMEEVHVKLGEELYFNVGGQNIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSGLDSRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYIKCNFVIFASDLGGSFQEVNNCKHRITPSTKWEQVKEILGDCGRAAIQTQGSTSNPFGSTFVYGYQNVAFEVMKNGYIATVTLFQS >ONH94770 pep chromosome:Prunus_persica_NCBIv2:G7:5368609:5374917:-1 gene:PRUPE_7G029000 transcript:ONH94770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDPVKLYMNLDNWQGPPTEPDEFRPSSEDYQSLSSSSDTRLLGFVIADIVGIQWYLGTISGQEMVVLVREPLNFQDSNAIKVFNTTTVQVGHIERRVATALAPLIDSSLIAVEGIVLNTRAEGNGPKITCQVNIFARFEDFTSVKSAISQSGLHLVVKEKKAERAGKSVDEIFKLVDENANKNGVLKALEPPAEVIKTELFVHQKKGLGWLVHRERSDELPPFWEEKGGSFVNALTHFSTHKRPEPLRGGIFADEMGLGKTLTLLSLIAFDKYGSGIVDVSMLDDNEMGEDKGLSSSVGKKGKRGRPSEKSTGLRKQHKTENTIVEGKYVSANDKSSHDISRTTLIVCPSSVLSTWQTQLEEHTRLNWCKYYGLRTKDAEELKKFDIVLTTYGVLSNENPSRTSPVDLIEWWRVILDEAQMIKNENAQQSEAVTKLKAKRRWAVTGTPIQNGSFDLFSLMAFLRFDPFSIKSCWQSLVQRPLADGNPKGLSRLQVLMATISLRRTKDKLRIGLPSKTVDICYVEFSAEERKLYDEMEEEAKSVVQNYTSADSVMRKYSTVLSIILQLRQICTDSALCPSGLRSLHIEDVTKNPELLKKMLEVLQDGEDLDCPICIDSPIDVVITCCAHIFCKACILKSFERTKRRSCPLCRGPVSESELYSAPQTPSESGNMVSSKTTVSSKGSSLLNLLVESRDQNPLTKSVVFSQFPKMLIYLEEHLKAIGFKTLRLDSSMAADRRARVIRDFMVTGQDVPTILLASLRASGMGINLTAASRVYLLDPWWNPAVEEQAMDHVHRMGQKEDVKIVRLIARNSIEERILKLQEKKKKLENESLGRRTAKGRRDINFDDLQVLIPL >ONH94762 pep chromosome:Prunus_persica_NCBIv2:G7:5262514:5263599:1 gene:PRUPE_7G028300 transcript:ONH94762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKGYYDRVEELEQRFGANLRLSDRERHRVRIVEEEVRNCLVGHRFTLVAKVLTGKVVPKERFVGVFSCLWKGTSEVSIKEVAEKRFLVHFANQRGMARLLDVEPWNFYDALVLLVDVRSVKLTTGVFCIQLQGIPPLNMTGAAVKKIRGLLASVLELDQVDREDCVGRFARV >ONH96407 pep chromosome:Prunus_persica_NCBIv2:G7:14826727:14830499:-1 gene:PRUPE_7G127300 transcript:ONH96407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILHDDVVIITQAEKEGDPSVITINCPDKTGLGCDLCRIILCFGLSIVRGDVSTDGKWCYIVFWVIGSPETRWGLLKKRLVGTCPSCSSASGISFYRSELQPPKPPDVFLLKFCCYDRRGLLHDVTGVLCELELTIKKVKVSTTPDEKVMDLFFITDTRELLHTNKRKEEVSDYLKAVTGDAMISCEIEMVGPEITACSQGSSFLPSAITEDMFDLEMPAELPSGSLTSRSVSVTMDNTLSPGHTLVQIICKDHKGLLYDIMRTLKDYNIQISYGRFSIKQRRHCEIDLFIVQTDGKKIVDPSKQNALRSRLQLELLRPLRVAIVNRGPDTELLVANPVELSGKGRPLVFYDITLAFKMLNTGVFSAKIGRHLIGDREWEVYRVLIDEGDGLSVPRNKIEEQVWKMLMGWE >ONH96707 pep chromosome:Prunus_persica_NCBIv2:G7:15985234:15991735:-1 gene:PRUPE_7G146900 transcript:ONH96707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIATVPPLLPTPPSIFSSSSSKGHHHHSIIDSESYSNSPNSMSQDYHHQGIFTFSNGFERSAMTTHQEQQQQQQHHLAQQIRREKLRVQGFETPPPPPLVGLDEEESGGLPVYETAGMLSEMFNYPPGGGPAGAAELLEHPMAQAYRMARQQQEPVSGAAEWYGSRVVGGLGALGDSKNHNSRDSIQHHHQQQHQISTINADSAAAMQLFLMNPSQPRSPSPPAHTTSSTLHMLLPNPSSTTNSLQGFATPSGGGGAFGQFTWVPESHHGHDGGNPTGGAGEIGGVVEGQGLSLSLSTSLQHLEAAKAEEFRMGSDSGGGLLYYNNQGDHQGSTNQYKNLGAHHHHHQHHQSQQQQQQQALHSLQQGGVVGGHVGFGSSSGSASSFGVVNVLRNSKYVKAAQELLEEFCSVGRGQLKKNKFGGTGGRHNSTNPSSNPAGSGGGGGASSSSSKDVPPLSAADRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNAFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAITAQLKHSCELLGEKDGAGTSGITKGETPRLKMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQETNEEVGGAAAAAADHHHQDQRERNNQNQNSSGLNAQTPTPTTTAATTTTTTTPTTTPTTTNSPTGKRSEINASENDPSLITINRQQQQLQHHLQQPMMATTTASAVALTASQCFATTSTTANDRLASEDTCRRGSMVAADYGTTSGNAHIAAHDHQSSSNIGSSTTLISFGTTTAAGDVSLTLGLRHAGGGNNMPEKNPSSFSIRDFGGC >ONH96708 pep chromosome:Prunus_persica_NCBIv2:G7:15985234:15992188:-1 gene:PRUPE_7G146900 transcript:ONH96708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIATVPPLLPTPPSIFSSSSSKGHHHHSIIDSESYSNSPNSMSQDYHHQGIFTFSNGFERSAMTTHQEQQQQQQHHLAQQIRREKLRVQGFETPPPPPLVGLDEEESGGLPVYETAGMLSEMFNYPPGGGPAGAAELLEHPMAQAYRMARQQQEPVSGAAEWYGSRVVGGLGALGDSKNHNSRDSIQHHHQQQHQISTINADSAAAMQLFLMNPSQPRSPSPPAHTTSSTLHMLLPNPSSTTNSLQGFATPSGGGGAFGQFTWVPESHHGHDGGNPTGGAGEIGGVVEGQGLSLSLSTSLQHLEAAKAEEFRMGSDSGGGLLYYNNQGDHQGSTNQYKNLGAHHHHHQHHQSQQQQQQQALHSLQQGGVVGGHVGFGSSSGSASSFGVVNVLRNSKYVKAAQELLEEFCSVGRGQLKKNKFGGTGGRHNSTNPSSNPAGSGGGGGASSSSSKDVPPLSAADRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNAFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAITAQLKHSCELLGEKDGAGTSGITKGETPRLKMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQETNEEVGGAAAAAADHHHQDQRERNNQNQNSSGLNAQTPTPTTTAATTTTTTTPTTTPTTTNSPTGKRSEINASENDPSLITINRQQQQLQHHLQQPMMATTTASAVALTASQCFATTSTTANDRLASEDTCRRGSMVAADYGTTSGNAHIAAHDHQSSSNIGSSTTLISFGTTTAAGDVSLTLGLRHAGGGNNMPEKNPSSFSIRDFGGC >ONH96370 pep chromosome:Prunus_persica_NCBIv2:G7:14638703:14641199:-1 gene:PRUPE_7G124000 transcript:ONH96370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLARQKQPHFVLIPLMAQGHMIPMVDMARLIAERGVMVSLVTTPYNASRFEATISRAVKSGLQIALVVIPFPGQEVGLPIECENLDMLPSRNLLRKFYEALNLLQEPLERYLNENNLPPSCIISDKALSWTLKTSQKFNIPRIVFHGMCCFSLLSSHNVKTSDVLHSVTSDYEPFVVPGLPQRIEITKAQLPGSFVTLPDLEDFREKIQEAESKSYGVVVNSFNELEHGCAEELEKVLGKKVWCIGPVSLCNRNNLDRFSRGNKASIDEMQCLEWLHSMKPRSVIYACLGSLCRLVPSQLIELGLGLEASGQPFIWVIKTSEKYSQLEKWLVEERFEERIKGRGLLIKGWAPQVLILSSPAVAGFLTHCGWNSTIEGACSGVPMITWPLFAEQFFNEKLIIEVLRIGVRVGVEVPVRWGDEEKVGVSVKKDGVKKAIEALMDEGEEGERRRNRAREVGEKGTRAMEEGGSSHSSMSSLIQDVMEQPSTQDKC >ONH95846 pep chromosome:Prunus_persica_NCBIv2:G7:12490255:12492891:-1 gene:PRUPE_7G092100 transcript:ONH95846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFKLIASLLVSLCLVLPLIQAKDVNYCDKKADYDVKVKAVEIIPYPVARGKPASFSISATTGESISGGKLVIEVSYFGWHIHSETHDLCSETSCPVSTGDFVIAHSQDLPGYTPPGSYSLKMRLYDGNKHELTCIAFDFDIGFASSDSSVADS >ONH94917 pep chromosome:Prunus_persica_NCBIv2:G7:7360331:7361719:-1 gene:PRUPE_7G039800 transcript:ONH94917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSPPLFSTIAWPSEDLLSHDQNYFYRDSSIDQSAESFLHILPSHQPQVDLDRSTTISGDYSGVSTMAKKLNHNASERDRRKKMNSLYSSLRSLLPVDQGKKLSIPNTISHVLKYIPELQKLVEGLIRKRGELLSRACKQEAAMHEEKRIKSTARSSSSAVSTYRLSDRAVAIQISTLKTHNNLLSEILLNLEEEGLQVLNASSFQSSGGRVFYNIHLQVERADRLECKNLSEKLMSFYA >ONH95417 pep chromosome:Prunus_persica_NCBIv2:G7:10732620:10735501:-1 gene:PRUPE_7G069200 transcript:ONH95417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRVRRPTSQFAQEQGGYNLRDRKKNKHECNNILGLDRISSLPNEVLVSILSLLSLKEAQATSVLSRRWQHLWRHVLASTRTLDFDAEKTLCRLSNLRAGARDLLICRYVDWVNNVVEHHRGPMVETFRICFDLDPKFSSSLDKWIQFAMEKGVQMLELDLLSYGVFPRKFFPCYIFPHELLGIKKESTLKHLCSDIPSLHRCACIGFKSLTVLNFKSVDVEEEVLEYFLSNCPVLERLLVDDSPNLRNLRVVGPSISLKYLVIEQCSSFISIEICDTNLVSFIYVGCEINMLLRNLPHLVEVCDFSYKSIGDAFTQLSCCLSQLEILKLNGLLFQERNHVFPVLANLKQLELKFQANDCFILLQLASFIKASPYLQRLVLELYPLCERRETRFKKASKCSHEYLRVVEIGGYDGRASDFEIVKYLIENAVQLEKIVIDPADPIEPHYCFPKHRIKKTIEEVEREENARNLARQQLIEKVPSTVEFVCL >ONH97279 pep chromosome:Prunus_persica_NCBIv2:G7:17709991:17716708:1 gene:PRUPE_7G181200 transcript:ONH97279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKADSGQKLYTRMRLWEFPDQYVIEPTDGSHGSSLAVSRVDGSMQLIDKLPDSNSVRVPKIRTIFGVVGILKLLAGSYLMVITDRECVGSYLGHPIFKVSSLKVFPCDHSLKNSPTEQKKMETEFSGLLNIAERTPGLYFSYETNLTLSAQRLHDLGDESKLLPLWRQAEPRFLWNNYMLEGLIDSKLDPYLLPIVQGSFHHFQAAIGKDIIDVTLIARRCTRRNGTRMWRRGADSDGYVANFVETEQIMHLNGFTASFVQVRGSIPLLWEQIVDLTYKPKFELVRLEESHGGEGRLSEKFANAMQHIVSDDLRYWHFDFHHICGHVHFERLSILYEQIVDFLDRNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIARNMLEGQLRRLGIFAAEETISSHPNLDECFKILWANHGDDISIQYSGTAALKGDFVRCGKRTMQGIAKDGINALLRYYFNNFVDGTKQDAIDLLQGHYIVSVSRDMTPSSQKGGLEAVASFPLALSFVLTGFFFATLSLRQVRYDLRHLFFSVIWAGLSVAIAAFVRANGRIFCNRPRLHKPRC >ONH97278 pep chromosome:Prunus_persica_NCBIv2:G7:17709991:17716708:1 gene:PRUPE_7G181200 transcript:ONH97278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKADSGQKLYTRMRLWEFPDQYVIEPTDGSHGSSLAVSRVDGSMQLIDKLPDSNSVRVPKIRTIFGVVGILKLLAGSYLMVITDRECVGSYLGHPIFKVSSLKVFPCDHSLKNSPTEQKKMETEFSGLLNIAERTPGLYFSYETNLTLSAQRLHDLGDESKLLPLWRQAEPRFLWNNYMLEGLIDSKLDPYLLPIVQGSFHHFQAAIGKDIIDVTLIARRCTRRNGTRMWRRGADSDGYVANFVETEQIMHLNGFTASFVQVRGSIPLLWEQIVDLTYKPKFELVRLEESPRVAERHFLDLRKKYGAVLAIDLVNTHGGEGRLSEKFANAMQHIVSDDLRYWHFDFHHICGHVHFERLSILYEQIVDFLDRNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIARNMLEGQLRRLGIFAAEETISSHPNLDECFKILWANHGDDISIQYSGTAALKGDFVRCGKRTMQGIAKDGINALLRYYFNNFVDGTKQDAIDLLQGHYIVSVSRDMTPSSQKGGLEAVASFPLALSFVLTGFFFATLSLRQVRYDLRHLFFSVIWAGLSVAIAAFVRANGRIFCNRPRLHKPRC >ONH97280 pep chromosome:Prunus_persica_NCBIv2:G7:17710454:17716186:1 gene:PRUPE_7G181200 transcript:ONH97280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKADSGQKLYTRMRLWEFPDQYVIEPTDGSHGSSLAVSRVDGSMQLIDKLPDSNSVRVPKIRTIFGVVGILKLLAGSYLMVITDRECVGSYLGHPIFKVSSLKVFPCDHSLKNSPTEQKKMETEFSGLLNIAERTPGLYFSYETNLTLSAQRLHDLGDESKLLPLWRQAEPRFLWNNYMLEGLIDSKLDPYLLPIVQGSFHHFQAAIGKDIIDVTLIARRCTRRNGTRMWRRGADSDGYVANFVETEQIMHLNGFTASFVQVRGSIPLLWEQIVDLTYKPKFELVRLEESPRVAERHFLDLRKKYGAVLAIDLVNTHGGEGRLSEKFANAMQHIVSDDLRYWHFDFHHICGHVHFERLSILYEQIVDFLDRNGYLLLNEKGEKMKEQLGVVRTNCIDCLDRTNVTQSMIARNMLEGQLRRLGIFAAEETISSHPNLDECFKILWANHGDDISIQYSGTAALKGDFVRCGKRTMQGIAKDGINALLRYYFNNFVDGTKQDAIDLLQGHYIVSVSRDMTPSSQKGGLEAVASFPLALSFVLTGFFFATLSLRQGKYQQFIL >ONH95533 pep chromosome:Prunus_persica_NCBIv2:G7:11281763:11286134:-1 gene:PRUPE_7G075800 transcript:ONH95533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKSVVAEGSCDNGLSDYMIFLMDKPNFPEKQTPSWGTWEELLLACAVHRFGTQSWDAVATELRKRSSNLHLLTPHACKRKFQDLRRRFSQNDAASGKDDDTPPIPWLDQLRQRRLDELRRELQRYDHSIVSLQLKVERLKEVREQSLRETEKPVEKSDLEKTEEEEIEHKDAEPVDNSPEKKGISGEVSEHDDRSCNESNTTDPKHEIPETEVADADKGSGQTEPAGEEIEPVEKLDNPVVEDSCNGSSDSVVKETAVVEAEKGNSGELKESVAESKGREEEGTKESPSNSEVQSSASLSRKLGQEPKPGGPGGPDRPEEPSEPDQEDESPAMKGVPVESQPLAEFLGILRSHKFASFFERRLHSQETPIYKNMIRQHVDFELVQTRLEGGRYEPCSRFLFFRDLLLICNNAIVFFGKKSPEYKAACELQLLVSKEMVLQAPKQDPPPKEETPKPPAPPLNQDPETSDSLLAKSKLSLPLNACRKRSSITARASTTSSSGPDRKKEQITTSFRDVKPAISWKQKEESSDEVEKLHVTKKRRKERLRSSSRNNSSKNGRSHGNTNNDRNSEANDGFSSRVVTSNENSESKAETEKKSNTNSSGKKRSAADFLSRMKRSSTSKTGLSAETSKTPDNNSRGGRAEQRKNGNGKGNAQKDQGSRRGSGGRQAAKEQGSPTKRSVGRPSKRAAAPATAGPAKRTRQRG >ONH96253 pep chromosome:Prunus_persica_NCBIv2:G7:14170574:14171963:-1 gene:PRUPE_7G116700 transcript:ONH96253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIATCYSEHAIKVSDSYCSGPSNRAYVTPRLAPSIPNEVTCIYRAKLSTQKHLLITLTWYNKFSGQGLKISIGDKENTSSPISKFNSSSYQLQGQKGTRTFQSCNSKMEVFWDLSTATYDGGPEPSNGFYVMVLADSELSLLLGDKVEEVLDLEKYKTIISQPKFSLVSRSEHFSGNAVYSTKAQFCDRGAAHDILIKCDRDDEGSSKGPVLSVCIDKKKIFQVKRLKWNFRGNQAIFLDGLLVDMMWDIHDWLFNPKSGSAVFMFRTRSGLDSRLWLEEKILEQKGQERAEFSLLICACKSPD >ONH98329 pep chromosome:Prunus_persica_NCBIv2:G7:20840965:20843503:-1 gene:PRUPE_7G243500 transcript:ONH98329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLSSQIEETLPEWRDKFLSYKELKKCLKLIEPKGGDRPTKRPRIDASADCADAHGDKEGMSTAEINFIQLLEDELEKFNSFFVEKEEEYIITLKEIQDRVAKAKHSNEEITKIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALMRLPFIQKVLQQPFFTTDLLYKLVKECEITLDQLFAIAEQPIPNEGSDGDEGCDPSTSATTTTENDGIPRVNKGLAEIEYIESLYMKSTISALRALKEIRSGSSTVSVFSLPPLQISELEDEWKKVPVLEQVAK >ONH97911 pep chromosome:Prunus_persica_NCBIv2:G7:19628644:19631772:1 gene:PRUPE_7G217900 transcript:ONH97911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKTPLNLKQLKLSVPAQEANIKSFLTASGTFHDGDLRLNQKGLRLISEEKEAQTSDSKELNFEISLEDLETIKVIGKGSGGVVQLVRHKWVGNLFALKVIQMNIQEEIRKQIVQELKINQAAQCPHVVVCHHSFYHNGAISLVLEYMDRGSLADVIRQVKTILEPYLAVVCKQVLQGLVYLHNERHVIHRDIKPSNLLVNHEGEVKITDFGVSASLASSMGQRDTFVGTYNYMSPERISGSTYDYSSDIWSLGLVVLECAIGRFPYMQSEDQQSWPSFYELLEAIVESPPPSAPSDQFSPEFCSFVSACIQKNPQDRSSSLDLLGHPFIKKFEDKDIDLGILVGSLEPPVNFPR >ONH98458 pep chromosome:Prunus_persica_NCBIv2:G7:21147239:21149700:-1 gene:PRUPE_7G249700 transcript:ONH98458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPMEGGALAKKRKPTTTMDHQTSSSSASVDNNYFNVSHNHVTDNNKNKKKKKKKRNKAKPKRYSLMSYWELPEYMKDNEFILNYYRANWPLPQALFSVFRWHNETLNVWTHLIGFVLFLGLTMVNLLRVPQVADLLGFFARSTPISAVTNVSRNFISGIMTTNLIELKQMTSQEMEIAAAGHEMGGVAYWPFYVFLGGSMFCLFSSSICHLFSCHSHPLNLLLLRIDYAGITMMIITSFFPPIYYIFQCDPRWQFIYLGGITIMGVFTIITLLSPKLSSGKFRTFRALLFASMGLFGIVPAVHASIVNWGNPRRNATLVYEAAMAFFYLTGTGFYITRIPERWRPGWFDIAGHSHQIFHVLVVLGALAHYAAILVMLDWRSTFGCDNNNTT >ONH94181 pep chromosome:Prunus_persica_NCBIv2:G7:322164:326314:-1 gene:PRUPE_7G002600 transcript:ONH94181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWESYNQKMVSSQMSGSGCLRSLMLTLNQFPEPLFSHRFLRHFRNQLTLTTKPTSFINPHKCFCGNVTRLSSAEYSNAAAKAHIFDGSLVHQNESNPKGIEFLHSVEAHCVRANCQTYVWLLKGCSSSGSFLDSKKLHSTILKLGFDAEQVICDGLTDAYLACGDLDGAVKVFDDMPHRSSFSWNNIIRGFLAKKLTSHVLDLFSRMIADNVHPDETTFAWVLRACGGGNVRFQYVEQIHTRIICHGFGTNLLVCNPLIDLYAKNGYVDYAKKVFDKLYLRDSVSWVAMISGLSQNGREKEAVLLFIQMQTSGILPTPYVFSSVLSACTKIELFEMGAQLHGLIFKGGFSCETYVCNALVTLYSRSGNFISAEEIFKTMIHRDAVSYNSLISGLAQHGFSDRALELFKRMQIDCLEPDCVTIASLLSACADIGALHKGTQLHSLAIKAGMSSDIILEGSLLDLYVKCSDVQTAYEFFLTTETENVVLWNVMLVAYGQLDDLNESFHIFRQMHIEGMIPNQYTYPSILRTCTSVGALNLGEQIHTQVIKTGFHLNVYVCSVLIDMYAKHGELDTALKILSRLTEDDVVSWTAMIAGYAQHDLFSESLILFEEMQKRGIRSDNIGFSSAISACAGIQALNQGRQIHAQSCVSGCSDDLSVGNALVTLYARCGRIWEAYHAFEAIDAKDNISWNGLISGFAQSGYFEEALQVFNRMNKAGVEANLFTFGSAISAAANLANIKQGEQIHAAIIKTGKNSETEVSNALITLYSKCGSINDANKEFSEMPEKNEISWNAMITGYSQHGRGVESIHLFEEMKQLGVVPSHVTFVGVLSACSHVGLVDEGLGYFESMSKEHGLVPKPEHYACVVDLLGRAGSLSSARKFIKEMPMKPDAMIWRTLLSACITHKNTEIGEFAAHHLLELEPEDSATYVLLSNMYAVAGMWGCRDQTRQLMKERGVKKEPGRSWIEVKNSVHAFFVGDKLHPLADKIYEFLGDLNERAAEIGYVEDRYNLWNEIEQQQKDPTEYIHSEKLAISFGLLSLSNTIPIRVIKNLRVCNDCHNWIKYMSKISDRTIIVRDAYRFHHFKDGVCSCRDYW >ONH98071 pep chromosome:Prunus_persica_NCBIv2:G7:20083187:20087722:-1 gene:PRUPE_7G227200 transcript:ONH98071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSSENTVPTVTPVTISYSELKDKNTDLSLKIEEGFGPNGLGILSITDVPEYSSLRRNLLHLSPRLANLPEEVKKELEDPDSRYNFGWSHGKEKLESGKPDTLKGSFYANPMLDRPTTDEYLLQRYPSYCGSNIWPNSELPELEVAFKALGKLILGVGLMVAFHCDRYVSKAIKMSEDEGLEQILFRSRCHKGRLLYYFSTKESNSTEDNKDMSSWCGWHTDHGSLTGLTCAMFTRDAVEIPCPDSGAGLYIRTRTDQIVKVVFGEDAIAYQIGETTEILSRGYLCATPHCVRAPKGEDACSLDRSTFALFMQPDWDEKLNFPEEIHIHKELISPNGALTFGEYTEKLLDKYYHQKT >ONH96020 pep chromosome:Prunus_persica_NCBIv2:G7:13208106:13209916:1 gene:PRUPE_7G102300 transcript:ONH96020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAVTMGARSLPSHSARVNSHVPFSPPLSLAASTTTSSHGFFLKLNLHSGHCHRPNQTTPARASYEERNSLVPSDDAEDGVLLGTMKLPSDTDVPRFQILLFQWANSLAQGANLPLPSPLKVDKIAGGTRLGFITIGDGETEVLVYIDCLVFPADADSGPIFRAVRNGRLKDKTPPGEPRIMRSLMQALQKSVEIARV >ONH96721 pep chromosome:Prunus_persica_NCBIv2:G7:16026219:16028129:-1 gene:PRUPE_7G147500 transcript:ONH96721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSILRFLLLLLITPKLKAQQSYAENSALDCADSDDRGSLPTFLYTCNGEKKSCKAFLIFQSQPPYNSVSAISNLTASDPSELARINNISDHTLVLPQSKEVIVPVVCSCSGEYYEAKASYLIPSIGDTYYTIATDTYQNMSTCNALMHENNYSALSLQPGFELQVPLRCACPTSNQTFNGTKFLLTYLVSWGDSVPVVSERFNVSAKSVAYANGFTEDDPLVYALTPILIPLSVEPSSSQTIIQYPPGPPPRLSLPVTPIHGNRRSKKAYVWIGIGILVLVLCLAIFGVFLYHQKRVSQNNIEGKKKWVLHESFFVTIAEEVDVGLKVFEYEELKGATEDFSNKNCLGGSVYRGFFDGKVLAIKQMSKDATEEVKLLKKINHFNLISLFGACEHCEDFYLVYEFMENGSLRDWLKKESCPEVPSWNHRVQIALDIADGLHYLHNFIYPAYVHRGISSTNVLLNEDLRAKIANFSLARSAEKEANRNSSTRYAQISQGYLAPEYIEHGLVTPAIDVYAFGVVLLELVTGKEAVFLQDGVEVLLSEAVCTTMGGGNAEAIFTLMGGGNAEAHPDRLIDRNLNAEHCMEFAVRMIKLSLACLAEEPESRPRIHQVLSSLIKIQLDTEKAKYFCKTRV >ONH97539 pep chromosome:Prunus_persica_NCBIv2:G7:18515829:18517934:1 gene:PRUPE_7G195400 transcript:ONH97539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCVSKTTLRMVDNPYFATLHTPRLLNSSGTNYAAAVEVPQLMLLAQSWSSRAKRSTALQSLKYSGEHGLTKSKHWHAKILSEFGNYQVDFVFCNLVCFKHEIRTFGLLLNPLRGQVLELPKNHLLPTYGKKWYGMGFDSITSTHKIVCISQIDRYRNLIRKHPDILVAHVYTLGARSSSWQEIHQVPQCEFTSKNVSAYGDMHWLIDRDVIGGSPNYIMSFDFKKDEFVWNPYPNSSQGFVCGSHDMHLLNLGGCLAIVSSGHLSVEIWVLKNYEKKEWVLDYKIDNKMFFGHSLRSIETCGEWEHGIFLTEKYPIIFYFWDMRYKSMRYINHETLLPGIFSYYHLPGTRRSQSKLKLSILSYTKSLISSETYGNLVERRVCSETAKTRTWQIE >ONH94502 pep chromosome:Prunus_persica_NCBIv2:G7:2897944:2903872:1 gene:PRUPE_7G019500 transcript:ONH94502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFMAAANLNSNPNPNKSFEVAQPPNDSISSLSFSPKSNILVATSWDNQVRCWEILQSGTNLASQPKAAISHDHPVLCSTWKDDGTTVFSGGCDKQVKMWPLGGQPVTVAMHDAPIKEIAWIPEMSLLVTGSWDKTMKYWDVRQPNPVHTQQLPERCYALSVRYPLMVVGTADRNLIVFNLQNPQTEFKRILSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDEQQQNKNFTFKCHREGSEIYSVNSLNFHPVHHTFATAGSDGSFNFWDKDSKQRLKAMSRCNQPIPCSTFNNDGSIFAYAVCYDWSKGAENHNPATAKNYIFLHVPQEAEVKSKPRVNTGGKR >ONH94503 pep chromosome:Prunus_persica_NCBIv2:G7:2897944:2903872:1 gene:PRUPE_7G019500 transcript:ONH94503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFMAAANLNSNPNPNKSFEVAQPPNDSISSLSFSPKSNILVATSWDNQVRCWEILQSGTNLASQPKAAISHDHPVLCSTWKDDGTTVFSGGCDKQVKMWPLGGQPVTVAMHDAPIKEIAWIPEMSLLVTGSWDKTMKYWDVRQPNPVHTQQLPERCYALSVRYPLMVVGTADRNLIVFNLQNPQTEFKRILSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDEQQQNKNFTFKCHREGSEIYSVNSLNFHPVHHTFATAGSDGSFNFWDKDSKQRLKAMSRCNQPIPCSTFNNDGSIFAYAVCYDWSKGAENHNPATAKNYIFLHVPQEAEVKSKPRVNTGGKR >ONH97478 pep chromosome:Prunus_persica_NCBIv2:G7:18335148:18337157:-1 gene:PRUPE_7G192100 transcript:ONH97478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREVPQQQQQQVALAVEQLLAVNPYNPDMLSDLENYVNEQVSSQTYNLDANLCLLRIYQFEPDRMSTQIVARILVKALMAMPSPDFGLCLFLIPERVQMEEQFKTLTVLSHYLETGRFSQFWDEASKNRHIVEAVPGFEQAIQAYAIHVLSLTYQRVPRSVLAEAINIEGLSLDKFLEHHVANNGWILEKGHGRGQLIVLPRNEFNHPELKKSTDDGIPLEHVARIFPILG >ONH98106 pep chromosome:Prunus_persica_NCBIv2:G7:20199768:20200670:-1 gene:PRUPE_7G229300 transcript:ONH98106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRNWCSSGSSKTIHLGGRHYDEIDCMRLRSDSGSRSNKLRWKVLWVKLKKEKRKIFESPAPVQVSYDPYTYSQNFDQGCALDELDEYDDLSRSFSMRFADPSNIFLKKESMV >ONH95640 pep chromosome:Prunus_persica_NCBIv2:G7:11726571:11727642:1 gene:PRUPE_7G082200 transcript:ONH95640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSFLSSLKYSDGLTVVGISFCTAIVCEAISWVLIYRTNSYKSLRSSIDKAAKKLETMKTDPAIKIAKKSKTKKIDRVETSLKESSRDLSLFKFKSGAVVALVLFVVFGLLNSLFEGKVVAKLPFKPFGLVMKMSHRGLQGEDSTDCSMAFLYFLCSISIRTNLQKFLGFSPPRGASSGLFPMPDPKTN >ONH98586 pep chromosome:Prunus_persica_NCBIv2:G7:21436830:21437549:1 gene:PRUPE_7G255500 transcript:ONH98586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPGHHDHGHGPGGGPGGPGGGPGGPGGPGSHGPPGGGLGGPGGPGGGPGGPGGGWGGPGGPGGGWGGPGGGGPGGPGGGWGGPGGPGGGGPGGPGGGGPGGPGGGGPGGLGGGGPGGPGGGGPGGPGGGGPGGHGRF >ONH95288 pep chromosome:Prunus_persica_NCBIv2:G7:10039562:10043679:-1 gene:PRUPE_7G061300 transcript:ONH95288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDRPGPKSPKHSHSSQIRASLSFAPNLDFSTWVSENLYKIVTVVLLIATVAVLFVLRNIGDTAALLCFETQAQALEKIRLPQLESNIKPISDTSSPYASFRSEKWIVVSVSNYPTDSLRKLVKLKGWQVLAIGNSKTPSDWSLKGAIFLSLEQQAQLGFRVLDYLPYDSYVRKSVGYLFAIQHGAKKIFDADDRGEVIDNDLGKHFDLELTGEGARQEIILQYSHENPNRTIVNPYIHFGQRSVWPRGLPLENVGELGHEEFYTEIFGGKQFIQQGISNGLPDVDSVFYFTRKSGLEAFDIRFDDHAPKVALPQGTMVPVNSFNTIYHVSAFWGLMLPVSVSTMASDVLRGYWGQRLLWEIGGFVVVYPPTVHRYDRIQTYPFSEEKDLHVNVGRLIKFLVSWRSSKHRLFEKILELSFAMTEEGFWTEKDLKFTAAWLQDLIAVGYQQPRLMSLELDRPRANIGHGDTKEFIPQKFPSVHLGVEETGTVNYEIGNLIRWRKNFGNVVLIMFCSGPVERTALEWRLLYGRIFKTVIILSELKNPDLAVEEGKLDYVYKYLPKIFDRYSGADGFLFLQDNTILNYWNLLQADKTKLWITNEVSKSWTTVSTKDNSDWFSKQAGMVKKVVSMMPVHFQVSYKNSVTSGKSITVCSSEVFYIPRRFVADFADLFNLVGNLEIHHKVAIPMFFLAIDSPQNFDSVFSTMIYEEQPPSTNSSSLYSAKVPAVHPWNVSSEQDFIKLIRTMAEGDPLLMELV >ONH94348 pep chromosome:Prunus_persica_NCBIv2:G7:1678155:1679049:1 gene:PRUPE_7G012300 transcript:ONH94348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATVMDDLDFFNFSEVCGSDRTRNQPFVDLSRNEHAGEIPKEITGMRILNYLNLLRNHLVGSIPSSISTMQSLTSVDFFL >ONH97714 pep chromosome:Prunus_persica_NCBIv2:G7:19024962:19025597:1 gene:PRUPE_7G206200 transcript:ONH97714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILWRIIGLETERMALSTSIKKLIDLRSQARVSYLDICHPGMCTVGNLLLYSS >ONH95457 pep chromosome:Prunus_persica_NCBIv2:G7:10957349:10958839:-1 gene:PRUPE_7G072100 transcript:ONH95457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENDSILRFSNKKTNEDDFVAQNLLRAYRIDDTPEEIIVFPHKETLTSDVGQNSIEHSRLLLAGSIFG >ONH95580 pep chromosome:Prunus_persica_NCBIv2:G7:11458729:11459949:1 gene:PRUPE_7G078900 transcript:ONH95580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMENQGLKFHHFSHEHPLEHTNSPPKQNKTCAGCNLMILPGKDYYSCPTCSFFLHQVCYNMPPKTRHPAHPSHYLNLHPSPPSSTKGPLNCQACGNHVTGFYYDCADCGLYFHGLCSGLPICIAIPSHPHALKLSFSPPFDLCCDLCNEPGYDGWLYRCLICEFDTHISCAISNQTGLQPPQNGTPLLSTSTFSRQTKHSSASSFGISVAENYNIEGNELLQLVRQKVSRGNFKSIGWDERLYSPKQNFNVRVSKLGHGGPDQTDAGMAITSSNPKDPATPISEDMTLTPSYQFSEACFSIDLAKSYSPYGQSIQAKKESDQQGPKGTSDVRGTFSYSDSTTVPDKISSNSKPAKQPSFVSGPGTNYSNKTGPESRLNEALLIEGDTLKRKEFGPKEKRKAGETM >ONH96594 pep chromosome:Prunus_persica_NCBIv2:G7:15533980:15537683:-1 gene:PRUPE_7G139200 transcript:ONH96594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVCVGALRAAFQMLLDAVIAVKAETTVFKPHLGNIKSTLDSLQPMIEEIEKYNSKEGLENYTMQMEAGVNLVRKCSKVGVWRCCRKYRYTKKLDELDRCIQRLVDVLKVQGIRDVRETLVSLRNIETVLHRIEGNFVMQNQSEKINGWPAVPEPPPVTVGLDVPVKELKIKLLQDDVSMLVLTAAGGCGKTTLAKKFCQDQEVKDKFKDNIFFVTLSKKPNLDLIVHELYQRKGSQVPAFQNEVIAVNWLQLFLKETGQSPLLIVLDDVWSGSESLLEKFDQFKMSNYKVLVTSRFAFPRFGSPYHLESLNDEDAMALFRHSASLDDKTSYAREDLTRKIVELCKGFPLAIAEVGRSLRCQPIEIWQKKVLEWSKGSSILASNSDLLACLQSSLDALDTEKSILKECFLDLCSFPRDQRISAANLVDMWAELYGLDEPSLSIANLYELTTQSLANLVATRNEREPDGYYTEYFVTQHDMLRELAIHQASQDPIEQRKRLIIKTCGDNLPMWLAEQKHQPLQARILSISTDGAFSKKWPNMQLPKAEVLVLNFETNSYALPEFVKKMDNLKVLIVTNYGFLPSELNNFQLLGSSSNLKSIRLERISIPSISKNLKQLKSVQKISLFMCSIGQAFGKGSIQILDALPNLAEMHIDYCHDLVELPSELCDLIRLKKLSITNCHNLSALPEKIGKLVSLEVLRLRSCIDLLELPGSIRNLKKLNFLDISYCLSIKELPEHIGEMCSLKKLNMRQCSRLRDLPASVLDLEQLKNVTCDEETELLWQPFLPLLKNVHIKAVKEDINLNWLHKLPS >ONH95978 pep chromosome:Prunus_persica_NCBIv2:G7:13022528:13024763:-1 gene:PRUPE_7G099500 transcript:ONH95978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSVLSAVTRRLEGKVALITGGASGIGECTAKIFAQHGAKIVIADVQDDLGHSVRESMGPTDCTFVHCDVTDEAQIKNAVHKAVATYGKLDIMFNNAGIVDDNKARIIDNEKADFERILSVNVTGVFLGIKHAAQVMIPARAGSIISTASISSYVGGAASHAYCCSKHAVNGLTKNAAVELGQFGIRVNCLSPYALVTPLARKFVGLEDEEMENTMSALANLKGVTLKAVDVANAALYLASDEARYISGHNLLIDGAFSIVNPGFHMFQYPPEP >ONH98246 pep chromosome:Prunus_persica_NCBIv2:G7:20600497:20604285:-1 gene:PRUPE_7G238300 transcript:ONH98246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTNGVVGDQSGTSNGGDGGVAVDKGVDFANYFCTYAFLYHQKEMLSDRVRMDAYYNAVFKNKHHFHGKAVLDVGAGSGILAIWSAQAGARKVYAVEATSMAEHARAVVKANNLQDVVEVIEGSMEDVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPTGVMYPSHASMWVAPIRSGLGDQKSNDYESSMEDWYRFTDQTKNYYGVDMSVLTKPFSQEQKKYYLQTSMWNNLHPHQVIGTAATVKEIDCLTASVSDILEIRSNFLSTVTLQNTRLCGFGGWFDVHFRGRKEDPAEKEIELTTAPSVNDGTHWGQQVFLLDPPIRVSEGDKLNASFSMNRSKENHRLMEVEFSCEIRQYSGELLPPFRNRYFIE >ONH96818 pep chromosome:Prunus_persica_NCBIv2:G7:16393213:16396653:1 gene:PRUPE_7G154000 transcript:ONH96818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCAIIVHVLLVLCFHYSLTSYSCQATKKAQGRQQQQTTGGKVEQGPRQAAEAERQNDTAKSEPSKQQ >ONH94334 pep chromosome:Prunus_persica_NCBIv2:G7:1504646:1506252:1 gene:PRUPE_7G011300 transcript:ONH94334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQPAQGDHCTSESRRKGTSGRAGRPASNTEAAATTNNTSTSSTVVVSGAAPCGACKFLRRKCISGCIFAPHFGSDQGAARFAAVHKVFGASNVSKLLLHIPLNRRQDAVVTISYEAQARLADPVYGCVSTILALQQQVASLQAELAMVQTQLINSRFAFANALQISTQQRQQHQKQQQQQQQHEQQQNMALMLQPAYSNSSSASTNLINMCSFTSNFDLAAETAPSSQSLDPLQLSRPSQDEEDDEEESQVPLMFANDRYT >ONH97230 pep chromosome:Prunus_persica_NCBIv2:G7:17576263:17577333:-1 gene:PRUPE_7G178100 transcript:ONH97230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRATMASFSAKPSKRYNVRSISLPVRSHPSTLRTEEELNKLKAWEATTTTSEAKADSICKGLGGLKDLYICIEDLLQLPMTQQALALHQNERWVEELLDGSVNYLDVCCNTRDTILAMKESVRDLQSALRRRKVGGDSSLEDNLSSYTCIRKKTKKEILQLVASLKQMDHKHEAFPLDLDNHLAAVVRVLREASLITSSVFQSLVSFLSTPVLKPRPSRWSLVSILVQKGVLKCENNQHKSMNELENVDIAIGNVLVNQASEDIEAEKIQSAQRRLKVLDSSMEGLENGLEGLFRLLIRTRVSLLNILSH >ONH98352 pep chromosome:Prunus_persica_NCBIv2:G7:20926006:20928146:-1 gene:PRUPE_7G245400 transcript:ONH98352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAILSTANTFLPSTLSSSPSSVVSSSLTIPTLVCFQNRNGKRPFAVCKALSEPPQSASPILTKRSLSICFVTSFVFSLVGKDCSSSNAAILEADDDEELLEKVKRDRKKRLERQGVISSAKKETGYLQELVYRLSKAGQAIESNDLPTASSVLGASTDTDWVQKANIALNKLSSSPEEKTEVDTFNSSLASLISSVTRNDIDSSKLAFVSSANAIEKWTSLTGLIDQLKGL >ONH97610 pep chromosome:Prunus_persica_NCBIv2:G7:18734192:18736470:-1 gene:PRUPE_7G200800 transcript:ONH97610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASSQGPTLFSPFKMGKFNLSHRVVLAPMTRCRALNGLPQPALAEYYTQRSTNGGFLITEGTLVSDTGAGFPHVPGIYNDEQVEAWKKVVDAVHAKGAIIFCQLWHVGRASHEVYQPGGGSPISSTDVPISRRWRILLPDASHATYPKPRRLETPEILQVVEHYRQAALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLANRCKFLLQVVQAVVGAVGADRVGVRISPAIDHLDAVDSAPLTLGLGVIERLNKLQQDWGSKLTYLHVTQPRYAAYGQTESGKPGSDEEEAVFMRTLRNAYRGTFVASGGYTRELGIHAVASRDADLVSYGRLFISNPDLVLRLKLNAPLTRYNRKTFYTQDPVVGYTDYPFLSNANGKEEPLSRL >ONH95674 pep chromosome:Prunus_persica_NCBIv2:G7:11984575:11987505:1 gene:PRUPE_7G084500 transcript:ONH95674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFLFSLLKPHTTSEPTKLLLHHHHQWRGIAKVRLKWVKNRSLDHIIDTETDLKAACLLKDAIKRSPTGFLTAKSFADWQKLLGLTVPVLRFMRRYPTLFQEFPHARYASLPCFKLTDTALLLDSQEQSIHQTHESDTVERLCRVLMMTKSKTIPLQSLYPLKWDLGLPDGFEKVLVPKYPDCFRIIKASNGIGCLKVIQWPKEYAVSELQRSKEGGDLGDEYRQFKRGQTVLAFPMNFPKGYGGQKKVRVWMEEFQKLPYISPYEDSRHIDPNSDLMEKRVVGVLHELLSLTLHKKTKRNYLRSLREELNLPHKFTRIFTRYPGIFYLSLKCKTTTVALREGYQRGKLVNWHPLTRVREKFYHVMRTGLLYRSKGVHLLSPQEILLNSVESESGPEESEEDEVGTGDECYEDEMSNLDSEGSDED >ONH95564 pep chromosome:Prunus_persica_NCBIv2:G7:11387696:11390510:1 gene:PRUPE_7G077600 transcript:ONH95564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSNSFKGPQNPWSADLGLGTSDRRLAFSRQASFQQYHEPHTPVSINLNDSEATPFLSRSVSSIDVPPGAYLAEENDKLFGERRVSAEKLSVLLVFESVFRILRSGNRYMKRLFLLISLNVAYSTAELCIGLFTGRIGLVSDSFHLSFGCGLLTFSLFAMAASRKKPDAIYTYGYKRLEVLSAFTNALFLLFMSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLIGVWFFRNYARVNLVYRNAEDMNNHSVCLHVLADSIRRYNMLPSRLYPSISISLVN >ONH95563 pep chromosome:Prunus_persica_NCBIv2:G7:11387451:11391526:1 gene:PRUPE_7G077600 transcript:ONH95563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSNSFKGPQNPWSADLGLGTSDRRLAFSRQASFQQYHEPHTPVSINLNDSEATPFLSRSVSSIDVPPGAYLAEENDKLFGERRVSAEKLSVLLVFESVFRILRSGNRYMKRLFLLISLNVAYSTAELCIGLFTGRIGLVSDSFHLSFGCGLLTFSLFAMAASRKKPDAIYTYGYKRLEVLSAFTNALFLLFMSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLIGVWFFRNYARVNLVYRNAEDMNNHSVCLHVLADSIRSAGLILASWFLSLGVQNAEVLCFGLVSVAVFMLVMPLFRASGGILLQMAPPNVPTSALSKCWRQITAREDVSEVSQARFWELVPGHVVGSLSIQVKKGIDDRPILQLVHGLYHDLGIQDLTVQADNV >ONH94136 pep chromosome:Prunus_persica_NCBIv2:G7:37514:39612:1 gene:PRUPE_7G000100 transcript:ONH94136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFMDEDASSGSGDDANMLDGNKHHSGVPSSSGRRKRSRKATGDAIVDAMLEIAAASKMRAAAIMKSEEGFSISKCIKVLDDMPDTTAGMDDFDLELDEMELTAAAAGYYYYNSITKQTCRSLSPSKESGFMTEVLNGHDDVFQEMFRMDKNVFHKLCDILRQRGMLRDTAGVMIEEQLGIFLNIIGHNERNRVIQERFHHSGETISRHFNNVLKAVKSLSREFLQTPTPTTPPNILGNIRFYPYFQDCVGVIGGMHIPAHVPAKDQSRFRNKKGSRVLKAVLDDPHQNFPCIPEGKYYLVDSGYANMKGFIAPFQGVRYHVHGYRGANQLPRNARELFNHRHSSLSNVIQRSFSLLKTRFPILKLAPQYAVHIQRDIVIAACVLHNYIRRMSEKDWLFFDTEKITMDELPDLDENPDMELVASLQEHVAFSLRESIAAEMWNDFINRWDQW >ONH96057 pep chromosome:Prunus_persica_NCBIv2:G7:13419222:13422485:1 gene:PRUPE_7G105200 transcript:ONH96057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQKVDPEPSKLSDLDSETRQTVEKMMFDQRQKQMGLLTSDELQKQHILKKFMSEHPEMDFSRAKIQ >ONH94915 pep chromosome:Prunus_persica_NCBIv2:G7:7318080:7321452:-1 gene:PRUPE_7G039600 transcript:ONH94915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHDPPTFSASIPHFFKIILEDSSKIRIKIPMRFLMKYGENLSSPVHLKLPNGAEMEIELRRCNNGGVWFDKGWPEFSKFCSLDYGSWLVFGYEGNSNFHVLIFDRTATEIEYPKPEMEETDSEEEEEEQDDADDGSVEILDVSEEEEQQDDADDGSVEILDVSEEEEQQDDADDESVENLDVFPPCPRKAREKSPLPCPQPHKKMRTRSSGMMLEESLSNKASYCSKSEMKREKSPLVPSEVKDGVGRMHISTTSGKAIALQRAIAFKSVNPSFRVVMQPWYVKQSFLPMPSGFAKRHLIEHPAGNVFLRVSDGRTWSVKFKYEKSVARFQYGWLGFVRDNNLEADDVCVFSLIEDVKLSFDVVFFRTTEEAANCLLSPGRHDFPTKKDGGGTSSNRTGKATALDRANAFRSQNPSFIVSIRPSYINHWSMWLPSKFYKLSPSIKHSCEVILQVSNGRTWSVGLSKGRPRFRRGWMDVVRDNHLEVGDLCLFVLISSKSTPLFDLVIFRSGEGAKCTNDGEQIVPTKEETDNEDGDSSDDSSNDSSDDSVEIMDKFPPPRKTRVVKRS >ONH94440 pep chromosome:Prunus_persica_NCBIv2:G7:2441966:2442615:-1 gene:PRUPE_7G016800 transcript:ONH94440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPFVGEIFAANVSLEFFDDIYVPIHLLPFPSHSEPDTQKRDRVMWTWKCPDSDAELVIDGMDQIKFQAHSVNYSPILIEQPEDSKPFAPTVVTLSSCFEPYHMSCCI >ONH98681 pep chromosome:Prunus_persica_NCBIv2:G7:21732526:21734386:-1 gene:PRUPE_7G261600 transcript:ONH98681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVVHAKDDAYLDAVIPKGIQLFESIEAQQHAARLPSDPIKITLPDGKVEEGKKWITSPFDIASEISKSLASNALISEVNGVLWDINRPLEGDAELKIFTLDSFDDNVDVRHTFWHSSAHIIGQYGCKLCIGP >ONH96442 pep chromosome:Prunus_persica_NCBIv2:G7:14937391:14939192:1 gene:PRUPE_7G129400 transcript:ONH96442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKVQLVLFCIVFVALLALTECKESNGVENIMASNDIRGRKLTFCIQAGKSGPPGSDWWCCAFHRDTCWKTHEACDQGCHP >ONH98879 pep chromosome:Prunus_persica_NCBIv2:G7:22181257:22182592:1 gene:PRUPE_7G270400 transcript:ONH98879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDRHWHCLSLCLLLVLVIPNAYAANDAPDLKSKRLCSQCSKCDSSKCPASEAYPHMTAFDDTLIAGALQSDYVDLNDKGIYSVPDIKGGQSAKLNAYFGWKSTSGSASGYHRFSNYMDKCSGGQTYLTVDKHGKVSLRLLSLLKNLAEADWKSFNPPKKLNHREFRFWVSHSTGKCLTVFGGNTKKRTVGVAECKFDGSNPYQLFAFRFHYHKAFCCCGVHNE >ONH95242 pep chromosome:Prunus_persica_NCBIv2:G7:9803745:9805718:1 gene:PRUPE_7G058700 transcript:ONH95242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCFSKKKRSSSPLPLPLPPPAATGYGYVVGEDPNDNKNKPKSVSVVAEPGLVEMKAKKPERKQEQIEEEKEDQETQVQVKKEVFIIKHRKSHDGRGDRDCGKSPLPQNQIQQQPSVSDGGADVAAASASPPTPQIANSTTKTGTCNELVDDVDKVVKRVRTQSCNKEELDAILLQCGRLSRSNSSGHNRRYSGSKRSYDFDAVDGHVNVNEICHEDADADGMSAADKIHRHRQSSRSRPSSPSSQGRRRRRTPSRERNQQQQQQRSSSRERRTSRSPSKRSSSQQNPSASSSSNANANANGNNRPGKMVSVPAAAISSVATMEKTTHINNGESAATIKRVSVKRNVGSPRAQSPARANARGAPNEGQQQQQQPSLSRSSSRKAEQSPYRRNPLAEIDPNSLAYQQAHTNNRTKREIQNEEDIPVKEPTNLMNPAPMQKPNLEINNNRTVPHGVNYITSGTSTMDSSRMFQRKRLRDSHKGNPKH >ONH96459 pep chromosome:Prunus_persica_NCBIv2:G7:15025492:15033339:1 gene:PRUPE_7G130900 transcript:ONH96459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKASPSASANRSEPHQEPPMTASQENMDVTRKEISLIIDSLKKQVVSNRGTSIKKRMEENRQRLAGVTNNLYKLSMERGSNRFIDIGKSVDLLTKRQKDAFALQNGIDVSKDGSQEDGHGSTAVLLESNVAVKSSVRPIKLPEVKRLPPYTTWIFLDRNQRMTEDQSVLGRRRIYYDQNGGEALICSDSEEEAVDEEDEKRDFVESEDYILSMAIKEVGFSDPVLESLAQCFSRSPSEVKARYDTLVKEEEAVGGCKTMDNEDISQNGNYFLDKDLDAALDSFDNLFCRRCLVFDCRLHGCSQDLVFPAEKQPPWSSPDAENASCGPNCYRSVLKSERIARVSSGDVEEKNVTSLDGASAQTSTRKKSSVISARKKVKSGQSESASSNAKAISESSDSENGPRQDATHHQTPSKTKLAGKSGIGKRNSKRVAERVLVCMQKRQKKMVVSDSDSIVNAGLCPSDMKLRSNSCKDNEDTSSSSQKNLKSSTSGGFRRMESPTKGRHKVVQVDVLDGSSDEIIADPPGTSSDDNLRKEECVDENIYKQELSDDKTWKTIEKGLFDKGIEIFGRNSCLIARNLLNGMKNCWEVFQYMNYSESKMSCQEGDAANSLVEGHSKGNNEARRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCTCQTACGKQCSCLQNGTCCEKYCGCPKTCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGSLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHAPDPNCYAKVIMVAGDHRVGIFAKERITAGEELFYDYRYEPDRAPAWARKPEASGSKKDEGAPSSGRAKKLA >ONH98335 pep chromosome:Prunus_persica_NCBIv2:G7:20868986:20871142:1 gene:PRUPE_7G244000 transcript:ONH98335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSSVKKMCEFCKTVKRRGRVYVLCTANPKHKQRQGMSTFAYEGTVSSIYAETSAKLENSSGHSVQAGLASLIPKKHEPSMPSIPSTILGWRVGLASLLFNEAK >ONH98336 pep chromosome:Prunus_persica_NCBIv2:G7:20868986:20871142:1 gene:PRUPE_7G244000 transcript:ONH98336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSSVKKMCEFCKTVKRRGRVYVLCTANPKHKQRQGMSTFAYEGTVSSIYAETSAKLENSSGHSVQAGLASLIPKKHEPSMPSIPSTILGWRVGLASLLFNEAK >ONH95720 pep chromosome:Prunus_persica_NCBIv2:G7:12158835:12160150:-1 gene:PRUPE_7G087400 transcript:ONH95720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKVAEQAVEEQQGKKKMRVMVAIDESEWSFYALKWALDHLFVGPNDKAGVAATREAPAVSQENVSMATLVHVMPPFYNYVLPAGPGGAAYYVTPALLESVRKAQEDGAADVLSRALEICKDKMIKAETLILRGDPKDMICEATEQSHVDLLVVGSRGLGMVKRAFLGSVSDYCAHHAKCPVVIVKPPKESGKPPKESGKPPKESGKK >ONH98043 pep chromosome:Prunus_persica_NCBIv2:G7:19971735:19973627:-1 gene:PRUPE_7G225000 transcript:ONH98043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQAFLIALCIIVSHQNLVDAKFSKSMYITWGTQHATIQGNGGEDLNLVLDPFSGSAVQSKRGFLFGSIEMLIKLVPGNSAGTVTAYYLSSTGTKHDEIDFEFLGNISGQPYIVHTNVYTQGNGSKEQQFYLWFDPTADFHNYTIHWNPTEIVWYVDSLPIRVFRNYENQGIAYPNKQGMRVYSSLWNADNWATRGGLVKIDWTSAPFTARFRNFRARACKWNGDISISQCGTTSPANWWTSPIYSQLSSAKRGQLQWVRDSFMIYDYCKDTKRFNGQIPAECFIQQF >ONH96390 pep chromosome:Prunus_persica_NCBIv2:G7:14752559:14755750:-1 gene:PRUPE_7G125600 transcript:ONH96390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPVSLSLQNLPIKEPKPKDWNLIIKHHAKLKNDHAILSTYTQMESLGVAPDNTSLPLVLKACARLSAVERGKGIHSSIRNTGLMKDVRIGTALVDFYCKGGLIDDAVEVFDEMRERDLVLWNALIHGYVRCCCYKEAISLFMQMQNEGLKPNSRTVVALLSACREVSELRSGQEIHGYALRNGLFDLDAHVGTALIGFYLRFDIKTTRLTFDSMVVRNIISWNAIITGYVEIGEYLMALKLFVQMLVDGVKFDYVSMLVVIQACAGIGSIELGKQIHEMAIKNSYSDDLFIVNALLNMYSECGCFELSRKLFEFVSSRDVALWNSMISACTEYGFYEEAFSLFSKMRMEGIREDERTIVIMLSVCEDLADGLRNGKSLHALARKSGMKMDASLANTLLSMYAEFNCVESIQKVFAEMKYSDVISWNTLIRALACNGLQDEAWKIFGVMRESDTKPNSHTIISILATCEDETCINIVRAIHGFVIKHGIEADLSLNTALTDMYMNCGDEAAARTLFEGCPSRDVISWNALIASYIKNNEIGKAQLLFNRMISEVNPNSVTIINILSSCTQLASLPLGQCLHAYANRRQFSFGFDLSLANAFISMYARSGSMQNAEKMFKILPKRNVISWNALITGYSMHGHGHDAIHAFLQMLEDGFRPNGATFVAVLSACRHSGLIEMGLQLFHTMVRDFKISPELVHYGCVVDLLGRAGRLDEGREFIESMPVEADASVWRALLNACRLHSATKLAGTIFEKLVELEPMNAGNYVLISNIYAAAGLWMEVRLIRTRLREKGLEKPPGVSWIVVQSQVHCFVAGDTSHLQSDVIYASLNSLSSLIKESGYIPDLQLVLCDEEG >ONH96032 pep chromosome:Prunus_persica_NCBIv2:G7:13293841:13296921:-1 gene:PRUPE_7G103300 transcript:ONH96032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVRKLVPVFLFASCVLLVTINDNRVEASHRVYSRLQSVPAGEVKQLHRTAYHFQPPQHWINDPNGPMYFNGLYHLFYQYNPKGSVWGNIVWAHSISKDLINWEALPHAVFPSKPFDVNGTWSGSVTILPGNRPVILYTGLDNDKRQIQNYAVPANVSDPYLREWLKPDDNPLVIPSSDMNASQFRDPTTAWWHGGHWRMLVGGKRKHRGMAWLYRSKDFKHWVKAKHALHSASQTGMWECPDFFPVSLHGKNGMDTSKVGEDVKHVFKVSLDETRYEYYTVGKYDPEGDIYLPDNTSVDGRDGLRLDYGNFYASKTFFDPSKNRRILWGWANESDTAEDDTAKGWAGVQAIPREVWLSPDGKQVLQWPVAELETLRGQKVDLKSIQNIIPGKLVEVKGITAAQADVDVTFSLASLDKAEEFDPNWEKLDAESVCALKRSHVQGGVGPFGLATLASQNLEEFTPVFFRVFKTKANKHKVLMCSDAKGSTLRPFDIKQYRPSFAGYVDVDLAAEKKISLRSLIDHSVVESFAAGGKTCILSRVYPTLAVNDAAHLFVFNNGTEPVSVQTLSAWSMSPPKLMNQNLDF >ONH95950 pep chromosome:Prunus_persica_NCBIv2:G7:12951341:12954907:-1 gene:PRUPE_7G098200 transcript:ONH95950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLLTRCLIMFLGYAYPAFECYKIVEKNRVEIEELRFWCQYWIIVAMLTVLERIGDVFVSWLPMYGEAKVALFIYLWYPKTRGTGFVYQTLLRPYVAKHETDIDRKLLELRARAWDLAVFYWQNCAQMGHSAFFQTLQYLASQSSKFTKTPAEERTVDDDQQNPPPSNAPLRKPSGKNKWPPPSSPPGTPNGTTTTANGTITTVNRFMSETPRSPKVQVQAKHQTGGQVDELSEKLRLRRSKPIQ >ONH97889 pep chromosome:Prunus_persica_NCBIv2:G7:19568932:19572698:1 gene:PRUPE_7G216500 transcript:ONH97889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQSLAAPLLDSHGSTTSRAFWLLLAFVAIIFVGFIFGGHTNGRVLIRGANNYNEGNFKINDSDIVESEKGVVAADDARCSEVGASILRQGGHAVDAAVGTALCLGVVNSMASGIGGGGFMLVRSSATSQTQAFDLRETAPIAASQNMYETNPKAKLLGALSMGVPGEIAGLHEAWLQHGRMAWKTLFQPAIKLAKDGYVIAPYLGGYISRFGDKILSDPGLRQVFAPNGKLLQTGDTCYNVELGHSLEAVAELGPQTFYNGSVGEKLVKDVREAGGILTMEDLRNYKVSVVEPVAANVMGYNVFGMPPPSSGTLGLSLVLNIFDSYGTSDAAKGDLGLHRLIEALKHMFAVRMNLGDPAFVDTSKYASDMLSSSFAKKIQQKIFDNTTFPPEYYLQRWSQLRDHGTSHFCIVDADRNAVSMTSTVNYPFGGGVLSPSTGILLNNEMDDFSTPTEISPDRLPPAPANFIEPNKRPLSSMTPLIIMKDNELAGVIGGSGGMNIIPAVTQVFLNYFVLGMEPLAAVQSPRIYHKLIPNVVSYENLTLIDGDHIELSDEKKLFLQERGHQLEAKAGGAITQLVVQTLRKPVNMGRKSGQNSNEQTFHGTLTAVSDPRKDGKPAAV >ONH94994 pep chromosome:Prunus_persica_NCBIv2:G7:8113297:8114772:1 gene:PRUPE_7G044900 transcript:ONH94994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKICNCDRFPSTEGQGGAFRALKLTWLVEFQCKTLERLKSHAENSPLQIAGRLCDNLLTCVVRAWDYYSKPFFVAPAMSTLMWKNRFTEGHIMSTGELGVSLTPPVTRRLACGDYGNGAIAEPSLIYYTVRLFF >ONH97659 pep chromosome:Prunus_persica_NCBIv2:G7:18925233:18927047:-1 gene:PRUPE_7G204200 transcript:ONH97659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRHPSSSPLLSPPVLIILLPIITFLFLFCTIPPFLSLTSQILRPTISVKKSWDSLNVLLVVFAILCGIFAKRNDDGSPAEEDPIQNASDPLNNSIAANNTTNTSEAEVLLPQQWFGFSERPPETRGGRLRRSSSSYPDLRQLGQQSSWESGDHSKSQFRFFDDFEINNTTYHRTPPPISRQSRSREYSDVIKEIPVDTFVLRSSPPPPPQSPAPPPPPPPPLRHQNPRRAYETVRRRDHKEKVPNTNSVIVNEAQQFEQVRSPPPTPPPPPPPRPAASPSPMRIRPEHKRRKTNVKKEIAMVWASVLSNQRKRKKKQKPPSTRDIYDTATHSPPEQQSWSAIFPAAPPTPPPKPPQTPSPRSASASSGRPPLPTKTNSYLSEENVNSGCQSPLIPGAPPLPPFKMPELRFCVRGDFVKIQSAQSSRCGSPELEDVDATPGKEEESESKSQSESHSRVNVMDGRDGGGGGGGGPSVFCPSPDVNTKADNFIARLRDEWRLEKMNSMREKKKMA >ONH98627 pep chromosome:Prunus_persica_NCBIv2:G7:21573463:21575070:-1 gene:PRUPE_7G258500 transcript:ONH98627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKTKTLQIFLLSTLLFLIQFNVSSGQTVVKGAYWYWDSGFPASSIDSSLFTNLFCAFADLDPNTYQVTVTSSNSAQFSSFTQTVQQKNPSVKTLLSIGGGGNDTIADTFASMASQPSRRKSFIDSSINLARSYNFHGIDLDWEYPDTTTHMTNFGTLLTELRAAVANESQTSGNTELLLAAAVFRSADYYTINYPIESISNSLDWINVMAYDFYGSAWNSSRDRTGPPAALYNATASQVNGDAGITSWVEAGLGADKIALGLPFYGYAWRLLNADEHGLFAPADGPADGPAIRTDGSINYKDILDFISQNGTQTVYNATVVTNYCYSGTTWIGYDDTQSISAKVSYAKQKGLLGYFSWQVSGDDNNWALSQTASTTWG >ONH95084 pep chromosome:Prunus_persica_NCBIv2:G7:8792049:8793201:1 gene:PRUPE_7G050700 transcript:ONH95084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPHVDTTTNSPFGEDILKEALGWVKNNTRKVNYNCPYTVWPASFGNPQLSTTGFELASQANFQLDTPVPWSGRFWARTGCSTDASGKFVCETADCDSGQLMCNGKTGIPPATLAEFTIAAGGGQDFYDVSLVDGFNLPMSVTPQGGTGTCKTGSCAANVNAVCPTDLQKIGSDGSVVACLSACVKFGDPQYCCTPPQETKEKCPPTNYSQIFHDQCPDAYSYAYDDNKGLFTCSGGPNYLITFCP >ONH97427 pep chromosome:Prunus_persica_NCBIv2:G7:18177097:18179410:1 gene:PRUPE_7G189600 transcript:ONH97427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSCLWVLLLCIIGEGLVKRVLGVGGLACNWGTRSTHPLPPTIVVKLLKDNGFSKVKLFEADPGALQALGRTGIQVMVGIPNELLAPLASSVTVAENWVSKNVSSYISRNGVDIRYVAVGNEPFLKTYKDTFLQTTFPALQNIQAALIKAGLGRQVKVTIPLNADVYQTDSGLPSGGNFRSDIHTLMMSILKFLSDNDGALTINIYPFLSLQADPDFPKEYAFFNNTSKPVVDGSISYTNVLDANFDTCIAALEKNGFSSLPVIVGEVGWPTDGDPNANIEDARRFNQGLVSRILAGQGSPKRSTPPDIYIFGLIDEDAKSIQPGNFERHWGVFNFDGSIKYSLDIGRGKALVPAKGVHYLARQWCIMAPDASPSDPNLVQSINYACSYADCTSLGYGSSCGMLDARSNASYAFNMYYQTMDQRKGACEFSNLSVTTTTDPSPTQNGQNRSCRFEIMIDLGKHEKPRRSPAAGLREHNSHIVVMVLLVLVLVSTLMI >ONH96975 pep chromosome:Prunus_persica_NCBIv2:G7:16812786:16814302:-1 gene:PRUPE_7G163000 transcript:ONH96975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAKCLYGVIPGHCSLGQLQSTSRYRSYRKINGADDDGRRKREEGQDGHLQLHKSKGQHLLTNQRILDSIVRKSAIKPTDTVLEIGPGTGNLTLKLLEAAKSVVAVEIDKRMVEVLQKRVAECGVQDRLTVICKDALKAEFPPFDIVVANIPYGISSPLVAKLVYGARSFRSATLLLQKEFARRLMAKPGDSEFNRLAVNVKLVVNVEFVMDVSKREFVPCPKVDSSVVIIRPKAEVPNVNLDEWWAFTKACFCNKNKTLGATFKQKKKVMELLRLSKLAGSNGETDNYICSNDDNGDGGESNEEELSSSSCSEMGASSFKEKLMGVLKSADFEDKRPSKLSNEELLHLLALFNQAGIYFHDQSKSRNAENESFSVAYC >ONH94803 pep chromosome:Prunus_persica_NCBIv2:G7:5816770:5818467:1 gene:PRUPE_7G031200 transcript:ONH94803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHSNKLPEGAKKKPVMSVASNPGNFVMGKRCVALVHVHLQPREHLYRPNNNLLQCEDLLCTAFHSPASHPCQYADRGSSLGVLVKDYFPLKFTNGSLLSPHLAFGCGYDQKYFGPVTPATAGVIGLGNGKVSILSQLSRLGLTRNVVGHCLSGQGGGFVFFGDDLVPSLGVVWTSMPPNSMGKRYSCGPAELVFDGKATSVKGLNMIFDSGSSYTYFNSQAYQAIVTLVRNDLKGKPLKDATEDQSLPICWKGRKPFKFVRDAKNYFKPLALSFTNAKNVQPQLSPETYLIVTKHGNVCLGILNGTEVGLGNLNIIGDITLRDKMLIYDNENRQIGWAPVNCNMLPKSRTVAIIAR >ONH96649 pep chromosome:Prunus_persica_NCBIv2:G7:15780107:15781843:1 gene:PRUPE_7G143400 transcript:ONH96649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSRREGKGPAFQLSTPFPSFLKIVVQQALQDGKLKIPKMLVMEYGDLMADKIFLEVPDGAVWPVKLTRPCNGEIWLQKGWPEFAKFYSLKHGCLLFFNYGGEHSHFRVRIFMRNTLEMNYFSSSTDDEAEGNSNPSSETEGQGNKHDREKRTHGADHGGKSTASEAPLRTFAKTELEDLAYSKALSFKSNNPFFCDENAAIILINSPDLK >ONH97233 pep chromosome:Prunus_persica_NCBIv2:G7:17586887:17589680:-1 gene:PRUPE_7G178400 transcript:ONH97233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIPPSLRSSVSTSLIKKPSSLVPNESPSHRPHHFPKKTTKKIPPQLSEKTREPTFRNPFTSANLSDAKKVFNSIVTTTKVPLDLRVHNALLQSYASISTLNDSISLFNHMIKTHPTFSPDRSTYHVLLSQSCKAPDQTLKPVHQVLNFMLTNGFSPNKVTTDIAVRSLCSSNNVGLAVELIKEMSLKNAAPDSYTYNFLVKCLCKSSAVSTVYDFIEEFKTSFGLKPDIITYTILIDNVTNRENLREATRLVDVLAKEGIRPDCYIYNTIMKGCCTLNLGNEALEVLKKMKENGVEPDLVTYNTLIFGLSKCGRVVEAKKYLGVMVEMGHFPDAVTYTSLMNGLCRKGDVLGALGLLEEMQEKGCEPNVCTYNTLLHGLCKAKLLEKGLDLYGVMKECGMKLDTASYATLVRALCREGRVADAYEVFDYAVESKSVTDVAAYTTLEGTLKWLKKAREHGLAT >ONH98628 pep chromosome:Prunus_persica_NCBIv2:G7:21575579:21579696:1 gene:PRUPE_7G258600 transcript:ONH98628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKFSSVLLVLLFFHFLVAFRLQSSEAQSWIKAAYWYSGSEFPIADINSALFTHLIYSFAGLNSSSYELSLSSDSEEQDQVQHYSTFSSTAKQKNPSVTTLISIGGGNADYSVFSTLVSNSSHRKTFIDSSIKIARLYGFQGLDLCWVSANTSADMTNMGNLFQEWRAAVKSEAANSSQAQLILTAAVQYKPDLESFSFPVESIRNNLNWVHVMAYDYYGPQWTNFTGAHAALYDPSSDASTDYGIKAWIGKGLSASKLVLGLPFYGYAWKLVNPRDNGIGAPATGPAITEDGSMSYKDIKGYIQRYGADIIYNATYVVKYCIIGSSWIGFDDAQVVKIKVSYAKEQSLLGYFVWQVSHDDNWVLSLAAAATAQERASSGQKKRRLLIIILTTTASVVLILGSVMCYFRMRMFKSKAKESESRANYIADPAGTFNSNVPNLQVFGIAVIEEATEGFSIENKLGEGGYGPVYKGVLPNGQEIAVKKLSKASTQGFEEFKNEVMLTAKLQHVNLVRVLGFCIEHHEQMLIYEYMPKKSLDLYLFDPIRRYQLDWRKRVSIIEGVTQGLLYLQEYSRLTIIHRDLKASNILLDEEMRPKISDFGMARIFTKDEQEANTSRIVGTYGYVPPEYVKKGLYSTKSDVYSFGVLLVQIISGKKNAAFYGPNEDLNLLEYAYELWKEGKGMEFMDPSLDDTHSLCKLMRCLQIALLCVQEKANDRPSMLEISSMLQSENAVMEKPKMPAFSKRNEDKETNPAPRLEVCSIDDSTISEVVGR >ONH95641 pep chromosome:Prunus_persica_NCBIv2:G7:11732310:11733125:1 gene:PRUPE_7G082300 transcript:ONH95641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPYRSSAQSSSTAPNLPITSNNPITPSTDSIPIRFPVSPVLGACLSFHTVQSGDSCKYSCTSCDKVFSTTQALGGHQNAHRKERNALFAREQQRSAEENDRDRDVVLSPDPVRWAYPAGYYYVDAEIMTTTTTSAVNTNNKMCEYEFFHFMGMAGDCNPKQAGFGFGSSSSGGHGGASGSGTKRPPEGDGDGADQKKKAKYYEEDDDLKVVMPSMNLSLATRCGGDDLIDEIEGSDSDLEWEDGEYIYFNQGGGASTSKTEELDLSLKL >ONH96991 pep chromosome:Prunus_persica_NCBIv2:G7:16844044:16850194:1 gene:PRUPE_7G163500 transcript:ONH96991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRDLAILMLSAFAIFFSLQHEGDFSFREAWMHLSDDYPIKYEADRLPPPIVADLNGDGKKEVLVATHDAKIQVLEPHSRRVDEGFSNARVLAEVSLLPDKIRISSGRRPVAMATGVIDKLRPGQRPRQVLVVVTSGWSVMCFDSNLKKLWEVNLQEDFPHNAHHREIAISISNYTLRHGDTGLVIVGGRMEMQPHASENSGTVDLRHFALFAFAGGSGAIRWTRKNENIEEHSSDASRLIPQHNYKLDVQALNSRQPGEFECREFRESILGVMPHHWDRREDTLLELARFKRHKRKILKRTSGKTINYPFHKPEENHLPGKDSTKKISNFIGKAAQYAGSAKPNKPFPYIPTITNHTQLWWVPNVVVAHQKEGIEAIHLASGRTFCKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVASGSMEVLRPCWAVATSGVPVREQLFNASICHHSLFNLFQHGEYSRNFGRTHDLASLEVATPILIPRSDGHRHRKGSHGDVVFLTNRGEVTSYSPGLHGHGAVWQWQLSTGAIWSNLPSPSGMMESGIVVPTLKSFSLRVHDNQQVILAAGEQEAVVISPGGSILTSVDLPAPPTHTLVTEDFSNDGLTDLIIVTNTGVYGFVQTRQPGALFFSTLVGCLILVMGVVFVTQHLNSIKGKPRASSAQ >ONH96990 pep chromosome:Prunus_persica_NCBIv2:G7:16844044:16850194:1 gene:PRUPE_7G163500 transcript:ONH96990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRDLAILMLSAFAIFFSLQHEGDFSFREAWMHLSDDYPIKYEADRLPPPIVADLNGDGKKEVLVATHDAKIQVLEPHSRRVDEGFSNARVLAEVSLLPDKIRISSGRRPVAMATGVIDKLRPGQRPRQVLVVVTSGWSVMCFDSNLKKLWEVNLQEDFPHNAHHREIAISISNYTLRHGDTGLVIVGGRMEMQPHISLDPFEEIGRTERSADQHRRNLTEKEASENSGTVDLRHFALFAFAGGSGAIRWTRKNENIEEHSSDASRLIPQHNYKLDVQALNSRQPGEFECREFRESILGVMPHHWDRREDTLLELARFKRHKRKILKRTSGKTINYPFHKPEENHLPGKDSTKKISNFIGKAAQYAGSAKPNKPFPYIPTITNHTQLWWVPNVVVAHQKEGIEAIHLASGRTFCKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVASGSMEVLRPCWAVATSGVPVREQLFNASICHHSLFNLFQHGEYSRNFGRTHDLASLEVATPILIPRSDGHRHRKGSHGDVVFLTNRGEVTSYSPGLHGHGAVWQWQLSTGAIWSNLPSPSGMMESGIVVPTLKSFSLRVHDNQQVILAAGEQEAVVISPGGSILTSVDLPAPPTHTLVTEDFSNDGLTDLIIVTNTGVYGFVQTRQPGALFFSTLVGCLILVMGVVFVTQHLNSIKGKPRASSAQ >ONH96299 pep chromosome:Prunus_persica_NCBIv2:G7:14334635:14335102:-1 gene:PRUPE_7G119300 transcript:ONH96299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIDAKKDTVTIRAVSHDEEGRKREEKTELHTHNVDTIKYIEKKLVDKGVQRLERHPRDGTGIGQPQPKSGHGGKYTWEGPDDVAENEMDPVPPAIDEGDPNYVDEVAEERIVKGEDADVAGLVVGKVEVAKAAENREGVARVEVVPPHNHLET >ONH96456 pep chromosome:Prunus_persica_NCBIv2:G7:15015034:15016724:1 gene:PRUPE_7G130600 transcript:ONH96456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIRRISTCTIRPTSHKDQLPAQRIELTPWDLRLIQLDYIQKGLLFHKPVEKEANQSLIQHLKASLSHTLNIFYPLAGRLAVTEDEDNTTCFSINCNGDGALFVHASADGVEVSDILDSVYVPADIVSNLFSLNEVPNFEGISKPLLAVQVTELFDGFFIGCSINHMVVDGTSFWHFFNTWSEISRIGFDKISQPCPVFGRREYHDSLIDLPIHLPMSYDEIRSKHLIIGPSSYSLQTVVFHFPKEKLAELKAKANAEMVNNNISTLQALMAHLWLATTRCRHLNPNQEVTYLIAVGLRQKLKPPLPKEYFGNGVLGVNVKSSASELLHHGLGWAASQINKTIASLTAEEARKYLQGWEKAPIFLPKFSDPKMVGLLTGSSPRFNVYGNDFGWGRPVAVRSGAANNMDGKLTVFPGAEEGSVDFEACLLPETIQAMLDDAEFMEAAALA >ONH95459 pep chromosome:Prunus_persica_NCBIv2:G7:10971482:10973460:1 gene:PRUPE_7G072300 transcript:ONH95459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEFQSYIILFLVSIVLVRTILTKIQNKPRPPPSPMALPVIGHLHLLALIPHQAFHKLSSRYGSLIHIFLGSVPCLVASSPDMAKEFLKTHEGSFSSRPSMAVVDYLAYGSSDFVFAPYGPYWKFMKKLCVSELLGGRTLDQLLPVRHEELTSFVQLIRKKAKAAEAFDVGAALITITNNIITRMTMGQSWSKNERDADVVRKVVKNLDLQGFGKRVKELRDTFDTMMERVIEEHQETRKKRKELGEGCDAVKDLLDILLDISEDETSEFRLSRINIKAFIMNIFAAGTDTSAITTEWALAELINHPEVMKKAIQEIDSIVGKNRLVQESDIANLPYLQAIVKETPAAPLIVRESTEACSIGGYEIPAKTRLFVNVWAINRDPNHWEKPLEFEPERFVTEDGSGKSQLDVRGQHFHLLPFGSGRRGCPGTSLALQVVQTTLAAIIQCFEWKVEGGSNNVDVGT >ONH97159 pep chromosome:Prunus_persica_NCBIv2:G7:17361562:17363268:-1 gene:PRUPE_7G172800 transcript:ONH97159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISRREFRPFRSSIFGHLTSNPSKPNLSVSSSPFCSSSSSFQPSLNRHIFSLLDACKNLIQITQIHAHLITRGLFDSFWARKLLKSYSDFRDFDYVILIFRCIDLPGTFCVNTVIKAYSVSSMPDQALVVYFEWLRNGFAPTSYTFVPLIGSCAKMGSVESGRKCHGQVVKHGLDSLLQVQNSLIHMYCSSEKVELARMMFDEMSERDLVSWNTILDGYARFGDLDVAHNLFDEMPERNVVSWNVMLGGYWKGGKPGCALKLFRKMMGMELKGNSTTIANMLAACGRSARLNEGRSVHGYLIRKLFEFNIVISTALIDMYCKCKRVEVACRVFESMANRNLVCWNAIILGHCIHGNAKDGLNLYREMVGRMKSKDGETIPAKGSSRPDDDGGGIIPDEITFIGVLCACARAGLVREAADYFSQMINVFCVKPKFAHYWCMANAFAGAGLIQEAEEIIKNMPEIAEDLSSESLAWANLLGSCRFQGGITMGEKIARSLIDKEPENIAYYRLLLNVYAVACRWEDVARVKEMMKEKKVGRMPGCNLVELNEIVHNFRVGRHWQENCAL >ONH96197 pep chromosome:Prunus_persica_NCBIv2:G7:13885427:13885891:-1 gene:PRUPE_7G112700 transcript:ONH96197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLGGSASAEHATGLCKGIADTEDEDDLFEIDLDAVDSIPPPQYYWGDYFTATGNALLANCLLPVTDISRAVPMVSKSLSYSPEAAANVVMMPKPMPLGHLLGLPFLEALVLHQKEVKPKCNLIRANDAHLEVGSEPAIEGRYYIVDTVYGG >ONH94467 pep chromosome:Prunus_persica_NCBIv2:G7:2616154:2618208:-1 gene:PRUPE_7G018100 transcript:ONH94467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIGRTFEGSSKLAFTFLCFAPTTPLPPPSGNKRVSDNHLRAFHHLLLFLVISRVGIYFVLNNFLAINLTEIVML >ONH95631 pep chromosome:Prunus_persica_NCBIv2:G7:11639432:11640581:-1 gene:PRUPE_7G081300 transcript:ONH95631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRNIATLVFFVMMAFSGAYSSSVYRVGDSDGWTSRGLVDYNKWASTKDFHVGDTLIFTYNNQFHNVMQVSDQDFESCNATSAISSYTSGSDTITLKRPGHYYFLCGAPGHCQAGQKVDIKVSLPVPENLIPSPSPSSPYGSSSPSTSNPIEMSPSSTLSSAPPIGLAFATVVLFLLGFEF >ONH96478 pep chromosome:Prunus_persica_NCBIv2:G7:15063988:15075018:-1 gene:PRUPE_7G131600 transcript:ONH96478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHEQPIGAVGRRRNRGAEASARAGALERLKALRHGGRRSEAGGFQIKMENPIYDTVGDDEYDALVAKRREEVRGFIVDDDGLGYCDEGEEEDWTRAGPSSDESDGDDRPKRRRSEKKDKDKEKEARPKKPNSSLTAAAAMMGKQRLSSMFTSSVFGKTRDNERGKGLNCDSIVDDVIAEFAPDEEDREKRRRGHSNKSFMPISCVKSERGSDGGVGLTRRAELDVVVANGSDSVLINEGANENEAKKDLGFELDDPPSEQTHNVSENGGVIEEKRVDFVEEKVEAVVKKEEVFTLNAKIKEDNKDPALSAMAGWKAVRTGGDGDICSGTVEVNSGSNGEETSDFDLEEDGSLPFYMLDAYEELYGANIGTLYLFGKVKAGSTYQSCCMVVKNMQRCVYAIPDSSVFHTDEMMKLEKDAEESRISSTDFRKKLHDVASGLKNDIAKKLLDLNVSNFSMAPVKRKYAFERSDIPAGENYVLKINYPFKDPPLPADLKGETFCALLGTHCSALELFLVKRKIKGPSWLSVSKFSVCPAPKRVSWCKFEVIVDSAKDIGVSNCPKKTAESPPVVVTAISLKTIINQKHNVNEIVSASVICCHKAKIDTPVLTSEWTRPGMLSHFTVIRKLDGGIFPMGFTKEATDKNSKAGSNVLSIESSERALLNRLMIELYKLDSDVLVGHNISGFDLDALLHRAQVCRVPSSMWSKIGRLKRSVMPKLAKGSTIFGSGASPGIMACISGRLLCDTYLCSRDLLKEVSYSLTQLAKTQLNKDRKEIMPHDIPRMFQKSEFLMELIEYGETDAWLSMELMFHLSVLPLTRQLTKISGNLWGKTLQGARAQRVEYLLLHAFHAKKYIVPDKYPHPKETKLTKRRIDNGSDERNVDELDVNDVNIDSDAHSGHGKGKKGPAYAGGLVLEPKRGLYDKYILLLDFNSLYPSIIQEYNICFTTVERSQEGLAPRLPSSKTTGLLPELLKDLVERRRNVKKWMKTASGLKIQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQSTVDLVQNNLNLEVIYGDTDSIMIYSGLDDIAKAKAIAWKVIQEVNKKYRCLEIDLDGLYKRMLLLKKKKYAAVKVQFKNETPYEVIERKGLDMVRRDWSLLSKELGDFCLSQILSGGSCEDVVESIHNSLIKVQEDMRKGQVALEKYIITKTLTKPPEAYPDAKNQPHVQVAQRLKQSGYSTGCSVGDTVPYIICCEQGTGSVNSTGIAQRARHPDELKREDGKWMIDIDYYLAQQIHPVVSRLCASIQGTSPERLADCLGLDSSKFKVNSSEAVRDDPTSLSLAVDDEERYQGCEPLILACPSCSGTFDCPSILNSISKSITGKSTRPQAEESTIDFWHKLRCPKCPGEGDVGRLSPAMIANQVKRQADSFVSMYYKGTMTCDDDTCKYNTRSLNLQLVGDSERGTVCPDYPRCNGRLVRKYTEADLYKQLSFFCHVLDTVRCIEKMEASTRLPLEMELAKIRPIVDLAASTVQRIRDRCAYGWIQLQDFCVTV >ONH95259 pep chromosome:Prunus_persica_NCBIv2:G7:9888847:9889653:-1 gene:PRUPE_7G059600 transcript:ONH95259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEEDIHISDSAAADPPPRGPRPICSNCTRPGPVCLCHSLPAQPIKTQTQIIILHHPHEANHKLSTTPILTKCLSNSTAIVARKLKPGLSPLLDQSPPAIYLFPPTKASPAVSLSDLPSSPVPKHSPLVLIAFDATWKHAREMVKASEGFLSTFASRVCLDVDESASGGSIYDSELVLRKEPFGGCVSTMEAVARALGVIEPNGVEIEARLVRVLRDMVASQARYLKTPAKPRPKLLKKSKEKQRLKNESKSESVSESDPILGHGQL >ONH96560 pep chromosome:Prunus_persica_NCBIv2:G7:15402310:15404505:1 gene:PRUPE_7G137000 transcript:ONH96560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGLTKRRMVTVLSIDGGGIRGIIPSTLLAFLESKLQELDGPNARIADYFDIIAGTSTGGLVTTMLTAPNKDNRPMYEAKDINKFYLDNTPKIFPQNSRNNFLTSITSMVGAVMGPKYDGKYLRTLVNGLLGDLTLKQTLTTVIIPTFDIKHLQPVIFSTTDAKESALKNAKLSDICISTSAAPTFLPAHYFEVNSEGRTRTFDLIDGGVAANNPTMMAISHINREILKHDSEPMDASRLLVLSLGTGAAKFEGKYNAAMASKWGLISWMFDNGSTPLVDVFNDASSDMVDIHVSTLFQSTHAKDNYLRIQDDSLSGDEVSVDIATEKNLNRLVEIGNELLKKRVSRVNLDIGRYEEVEGEGTYEEALVDFAKRLSDAKKIGQNK >ONH96559 pep chromosome:Prunus_persica_NCBIv2:G7:15402482:15404317:1 gene:PRUPE_7G137000 transcript:ONH96559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGLTKRRMVTVLSIDGGGIRGIIPSTLLAFLESKLQELDGPNARIADYFDIIAGTSTGGLVTTMLTAPNKDNRPMYEAKDINKFYLDNTPKIFPQNSRNNFLTSITSMVGAVMGPKYDGKYLRTLVNGLLGDLTLKQTLTTVIIPTFDIKHLQPVIFSTTDVKSALKNAKLSDICISTSAAPTFLPAHYFEVNSEGRTRTFDLIDGGVAANNPTMMAISHINREILKHDSEPMDASRLLVLSLGTGAAKFEGKYNAAMASKWGLISWMFDNGSTPLVDVFNDASSDMVDIHVSTLFQSTHAKDNYLRIQDDSLSGDEVSVDIATEKNLNRLVEIGNELLKKRVSRVNLDIGRYEEVEGEGTYEEALVDFAKRLSDAKKIGQNK >ONH98711 pep chromosome:Prunus_persica_NCBIv2:G7:21814165:21817173:1 gene:PRUPE_7G263000 transcript:ONH98711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLTVMTFNLHEDQTEDSPYSWEKRRDLCISVITSYSPMILCTQQGVKSQLDYLRQCLPGYDQFGISRKGPEDTSDEHCTIFYDKEKVELLEGGTFWLSESPSVPGSMSWGSVVPCIATWVTFQLKGVEPPGFSFQIVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTAGRFLLGRSREHGAVGDMRDTWPNARVRKNVSLIRTFHGFKGDKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVLCEVVNDNIDGYYPSSHYPIFAEFLLPRTVRVLDPPAREEI >ONH98338 pep chromosome:Prunus_persica_NCBIv2:G7:20874534:20876104:1 gene:PRUPE_7G244200 transcript:ONH98338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGLAGYLMHEQGRNFAGPSWKCKCMYSWGGRILIWWKQRLFCVCGEVMTSVPYWDLNGLLSLSYGVQISAANPKMSTNLVEIH >ONH96486 pep chromosome:Prunus_persica_NCBIv2:G7:15093713:15095404:1 gene:PRUPE_7G132000 transcript:ONH96486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLAKTDSEVSSLSQSSPARSPRRAAYYVQSPSRDSHDGEKTTNSFNSSPVLSPMGSPPHSHSNSSLGPHSRESASTRFSGSRSKNHHGGGSHRKGWRPWKDQFGSIEEEGLLDDDGTKHGLTRRCYFVAFIVGFLGLFSLFSLILWGASRPQKPIVTMKSIAFDQFVVQAGEDFSGVATNMLSMNSTLKFTFRNTATFFGVHVKPTPLDLSYSQLTVATGTMKGFYQSRKSQRSITITLIGNRIPVYGGGANLGSSNGAPTQAVPLTLRFLVRSRAYVLGQLVKPKFHRRVDCSVIMDPKKMNVAIALKSKCNYQ >ONH96487 pep chromosome:Prunus_persica_NCBIv2:G7:15093713:15095404:1 gene:PRUPE_7G132000 transcript:ONH96487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLAKTDSEVSSLSQSSPARSPRRAAYYVQSPSRDSHDGEKTTNSFNSSPVLSPMGSPPHSHSNSSLGPHSRESASTRFSGSRSKNHHGGGSHRKGWRPWKDQFGSIEEEGLLDDDGTKHGLTRRCYFVAFIVGFLGLFSLFSLILWGASRPQKPIVTMKMKGFYQSRKSQRSITITLIGNRIPVYGGGANLGSSNGAPTQAVPLTLRFLVRSRAYVLGQLVKPKFHRRVDCSVIMDPKKMNVAIALKSKCNYQ >ONH97375 pep chromosome:Prunus_persica_NCBIv2:G7:18029220:18030624:1 gene:PRUPE_7G186500 transcript:ONH97375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRKPIGLVAPCIASGVDYIRNEKTKFNLVAGLFMTFEKIYFDDYLLELVLSWHGLCLGTDLVWLGLSWITFILRLVYILPNFIFWSMVQSTYDDKDSSDENVGEAFAGDDDSGMDYGIMQSLEKQHFDFAEVGFALNKMSITPKDSLHSRFGGAINQPMRMPSRIRLSTSPESL >ONH96043 pep chromosome:Prunus_persica_NCBIv2:G7:13350887:13353016:1 gene:PRUPE_7G104100 transcript:ONH96043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRMVIGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVTVEALEPVAKNMQ >ONH96572 pep chromosome:Prunus_persica_NCBIv2:G7:15440155:15443815:1 gene:PRUPE_7G137900 transcript:ONH96572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFANVHKVFGASNVAKILNELNATQREDAVNSLAYEAEARLRDPVYGCVGLISILQQRLKQVQADLYNAKKELATYMGPQAMLSILQPPPTYMPQQLQGNASSSAVSPYTMSPMMGIPTGPTSGQLMIREPQQQQQQQQMFEAQQLAAAVAAREQQEMFRSFDQSKQSMQQGQEHVRFNSGFDLSPGSVTVSGFTQMAGAVCNAVSPSLALGFDSNSYHHPIQPQQSHHHHQLQLQAQLLLQTQQPQGQHQNQQSQQTQLQQKSDPGEEGGRSVGPSC >ONH96571 pep chromosome:Prunus_persica_NCBIv2:G7:15439876:15443815:1 gene:PRUPE_7G137900 transcript:ONH96571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFANVHKVFGASNVAKILNELNATQREDAVNSLAYEAEARLRDPVYGCVGLISILQQRLKQVQADLYNAKKELATYMGPQAMLSILQPPPTYMPQQLQGNASSSAVSPYTMSPMMGIPTGPTSGQLMIREPQQQQQQQQMFEAQQLAAAVAAREQQEMFRSFDQSKQSMQQGQEHVRFNSGFDLSPGSVTVSGFTQMAGAVCNAVSPSLALGFDSNSYHHPIQPQQSHHHHQLQLQAQLLLQTQQPQGQHQNQQSQQTQLQQKSDPGEEGGRSVGPSC >ONH96180 pep chromosome:Prunus_persica_NCBIv2:G7:13829792:13830210:-1 gene:PRUPE_7G111400 transcript:ONH96180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIYEPQRPKITVTNASLTHFNFTNTSNALCYNLTLNVAIRNPNKWVGIHYCAIQVIANYRKKTFALVNLASPPFYQGHKNTTILQHVFVEGQQLVEFGFNSETAAGVYSIDVKLALRVPHTRRAIMSLTRSIAS >ONH95433 pep chromosome:Prunus_persica_NCBIv2:G7:10802242:10808225:-1 gene:PRUPE_7G070200 transcript:ONH95433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTGATVPGSILPGPEFESLQFREEIHRLMAVPPENASSFTALLELPPTQAMELLHLSPEANSTPTPVAISGEVRVQHPFNSSLTFPTNPALIERAAKFSIFAGEGSPETSSIPSNSGADLEKVKTEPVETDSNPNSSQLTLDATKNNQRSSAKRKEREKKVKVSTKKSKSEIKEDANKVPYVHVRARRGQATDNHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRIDFNLDSILAAESGSLMEANFANMVTPLMWPEVPINGNRQPYHQQWHFNTHHPGWGREEETHTFITPETSLISCDSSANSATLQTSHLKMEM >ONH95988 pep chromosome:Prunus_persica_NCBIv2:G7:13073264:13075456:1 gene:PRUPE_7G100400 transcript:ONH95988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITKSSMSPFLCFSFFFVLQFPLISFSKTTLAGARFPSSLITPEKVSASAQNKLYRTKYFTQILDHFNFYPKSYQTFQQRYLISDTFWGGAKNNAPIFVYTGNEGNIEWFAQNVGFLYETAPHFKALVVFIEHRFYGKSIPYGGKKSVAYSNATTLGHLSSTQALADYASLITDLKKNLSATDSPVVVFGGSYGGMLAAWFRLKYPHVTIGALASSAPLLNFENITSPYSFRNIVTQDFKSVSENCYKVIKGSWDQIENTANQPGGLELLRKSFKLCKNSVEYLEDWLSTAYAYTAMTDYPTPSNFLSPLPAFPVKKVKEKKIIKIYFIFYIFSS >ONH97509 pep chromosome:Prunus_persica_NCBIv2:G7:18411416:18412489:1 gene:PRUPE_7G193600 transcript:ONH97509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVLDSPLEALAFNYVSFGLFTVVNNLWTWVAVLTAAVSFLSLRLRASTRAASTAISSSCLFNSPPSNDDRSSNGSSPVPEITPSETADEPPRSVLSPVAAPCKFEDDRVVVTKGLKFTLYYEDNAEGRVDLTAEEEEEEAEESAGDEAVAEGCGEWLEGVLRLRLGEMGWYRHQGLTELNGNVVRLWDGCRVGERHSSSKSTHRVM >ONH95810 pep chromosome:Prunus_persica_NCBIv2:G7:12427562:12429582:-1 gene:PRUPE_7G090900 transcript:ONH95810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVWRDDEDDNKSTAGDINPRSVGERCSTRKVMKTQCKTEEVEPGKFVRKCEKTEQLLRDCAGRPVEVVQSNKEYTEDDVTEEVLKGSVYLGSSQHGAFDFPGLRSDIEDIERTFMGGLSRFFDAAEEMRNGFFSAFGVPRIFDEGPSCSLPSKRQEVPIEGSQQEAFPKANGGNDSGQVDLSGLARDV >ONH94892 pep chromosome:Prunus_persica_NCBIv2:G7:7011679:7013955:-1 gene:PRUPE_7G037800 transcript:ONH94892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLKHHRKLYEAYVPMKYRHYLKKMKKAGEWGDHLTLQAAADRFGAKICVITSFRDACYIEILPKDRNPTRG >ONH94886 pep chromosome:Prunus_persica_NCBIv2:G7:6863808:6867940:1 gene:PRUPE_7G037400 transcript:ONH94886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIETECSPDRPYIVGEDNLIEALKESMSKKLGPAAKSPLTVRTCIFKVPPVLRRHKPEAYEPHVISIGPIHRDGRKQFQRMETVKLWYLKTLLSRMNLSLEKFIDGFNEFLLHEHEEKGIIEFGKGARDFYEEPLDFNDKEFMEIMILDGCFVIQLVRKFIAHWENDDDPILNEEKEDDPILNMDCMFQYVCHDLLLLENQLPWSVLSCLYRFTLGKSNGGPLFGFSGLLLRFFSPLSSLRKYCDSYMQDHMKPDLKVDENGVLHILDLIRTSIVFSFQPSKSSSRNDQNYLWWFAEKEEKEIGPEIQEIPAATALSEAGVKFERGSDNNLMNIEFKDGVLTIPELAVGELTEPLFRNLIAFEQCYHGRSQQITSYAVFMDKLINSDKDIKLLSEKKILANWLSVEDGSNFFNKLYIDTIVKEFHYDKLCAEVNKYYQVGWHKQLEILTRDHFANPWKIISLIAGIILLVLTLLQTIFTISQ >ONH98225 pep chromosome:Prunus_persica_NCBIv2:G7:20537198:20539510:-1 gene:PRUPE_7G236800 transcript:ONH98225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGAKGLIMGKSASNSNKDKDKKKPISRSSRAGLQFPVGRIHRLLKQRTTANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSTKE >ONH98405 pep chromosome:Prunus_persica_NCBIv2:G7:21053124:21056920:-1 gene:PRUPE_7G248000 transcript:ONH98405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKCGCWAVLKRGVSGTCKSSASKDSVNTIPRTSLVYDAATETRYLNASNRDLLPPNEAQLSSDTPNPPPSDEKPTRQLLQFTFQELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKIALGAAKGLAFLHNGPEPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVAWARPHLADKRKLSLLVDPRLELNYSIKGVQKVSQLAYNCLSRDPKSRPTMDEVVRVLTPLQDLNDLAILSHHSRLSQQGRRKKKPDGAHQLTYSQSKSIRDSPLNTGKQQRR >ONH96295 pep chromosome:Prunus_persica_NCBIv2:G7:14318294:14319107:1 gene:PRUPE_7G118900 transcript:ONH96295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLTISRLLAAPKSLSLSTTAPKSSLFSPKSFSSKLSYTPLPLKHYPHPKPLLRFSTTAKPTISATIAVGDKLPEATLSYLDAAGDVQTVTLSELTKSKKAVLFAVPGAFTPTCSLKHVPGFVEKSAELKAKGVDTIACIAVNDAFVIKAWKESLKIGDEVLLLSDGNGDFTRAIGAELDLSDKPVGLGVRSRRYSLLAEDGVVKVLNLEEGGAFTSSGAEDILKVL >ONH94290 pep chromosome:Prunus_persica_NCBIv2:G7:1178764:1180348:1 gene:PRUPE_7G008500 transcript:ONH94290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKSNYYDYFEPFCKWQSGEISNTLRVHLQGFQRDQINVQVKFGKILIEGEREYVLEGTSATRWKRFSKEIPLERREYKLCNMKTKFEAGVLSIILPKKVHKADQLGLSKLVSTLNVTRTTVEVMLAVSMGLAVGAYGTYKLIFPN >ONH95077 pep chromosome:Prunus_persica_NCBIv2:G7:8726133:8727534:-1 gene:PRUPE_7G050200 transcript:ONH95077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIQSSQRAMGALSTNPLFSMAIALVLSATYLFLFSLIQADRNGGFSVELIHRDSPMSPLYNPSETVTTRSLSLHSFLCYGEGSYSKGILATERVTFGSTTGQPISLPKMVFGCGQDNEDLFNKNGSGMIGLARGSVSLISQMSSSVDGKFSYCLVQAFSGLNSSSKMSSGSEAVVSGDRKSFYYLRLEAMSVGRKRLQYNGSLGSSFAPAEGNIIDDSLSSSFAPAEGNIIVNSGTTLTLLPQDFYNKLELAVSKAVWSRRASDPRRFLSPCYRTKSGAIKAPLKYCTFHRPINRSVAVFGNMAQTNFLIGYDLKKDTVSFKPTDCTKN >ONH96898 pep chromosome:Prunus_persica_NCBIv2:G7:16591014:16593361:-1 gene:PRUPE_7G158200 transcript:ONH96898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAATNFHQPNLELFTCRSCTLKSLKPISSLRFPTSKFVLSGGLLLVPKKGVSGRRRRSRAMGPNSMVELIKMLPFRNPDDDDDDDEEGVGVLQRDDYVDGPSELSPLIVDKGWESTLNRLSKWIISALFAVVILWRHDAEAMWAAMGSVANTIISVALKKILNQERPVPSLRSEPGMPSSHAQSIFYVVLFTIWSVVEWLGINEITLTIGAFALATGIYLSWLRVSQKLHTLGQVVVGATFGTIFSILWLLLWNAFVYRAFISSLWVRIAIALGAAGFCLGFVVYVIRHWLRDER >ONH94825 pep chromosome:Prunus_persica_NCBIv2:G7:6176732:6178792:1 gene:PRUPE_7G033100 transcript:ONH94825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLTLPSINFTSLSVLDLSSNKFTSTIPPWLFNLTKLENLDLSYNSLTGKLPDSLGYLKSLRYLNLSGNSLEGPIPKSIGNLTSLEEFNLGGNQMSGIIPESLGELSSLVSLDIFGNKWEGAITEAHFAKLGGLRKVLIGNYPRNISFSLVFNISSDWVPPFKLRYLEIRSCQLGPKFPTWLRNQTELTTVVLLNARISGTIPDWFLQLDLQLDELSLADNQLSGRVPNSLRFSYDSLVDLSSNCYEGPLPLWSSNISRLYLRDNRFSWPILHNIGQVMPNLTQLDISTNSLSGSIPLFLGNLSQLQVILISNNLLSGEIPHFWNNIPSLVSIDLSNNSLSGTIQRSLSSLTSLEFLGLSSNNFSGEVPSLKNCTYLNILDLGDNKFSGPILASIGESMPNLQILSLRSNSFTGSIPLKLCGLSALHILDFSHNNLFGNIPHCIGRVFVYDYGSILYLVTSVDLSDNKLSGEIPMELTSLIKLGTLNLSMNHLTGNIPTNIGNLESIETLDLSKNKLSGYSIPQSMVSLTFLNHLNLSYNNLSGKIATGNQFHTFVDPSIYEGNPGLSSCPLPIVCQVNVGAPQVPSGDGGEDDDSKLEKLQFVISLVIGFCAGFWGVFGTLAMKRSWRYAYFHFLDKVKDAVFYFVSAIGTYLRKRS >ONH98239 pep chromosome:Prunus_persica_NCBIv2:G7:20571240:20571539:-1 gene:PRUPE_7G237600 transcript:ONH98239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSVLCACETKLCNCILMWFLLRIGIPESDLCSCNCFMISSKPQLFFSLLVVIVFSAFYCLSLMHVFRTDLYDVESK >ONH98631 pep chromosome:Prunus_persica_NCBIv2:G7:21591694:21595664:1 gene:PRUPE_7G258800 transcript:ONH98631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSMGSKNITPLILFIIFLISSQFYSCSSAQTWIRAGYWYAGSESPIPDINSALFTHLICASAALNSSTYQLSIPFSQEPSFSIFTGVVKRKNPSVITLLSIWNGQAATAQSILGQKVNYSVLSSMVNKPSNRKSFIESSIKTARLYGFQGIDLLWLWPNTASDMINMAKLFDEWRAAVNSESRNSSDQSRLILTMAIRYLPAFESLSYPIESMKRNLDWAHVIAYDYHLPSKENVTGAHAALYDPSSHVNTDYGIKQWLNNSFPASKLVLGLPYHGYAWTLVHPKDNNGVGAPAAGTAETKDGSMSYTYIKRYIRSYRAPMVYNATYVVNYCIIGSSWIGFDDVEAIRTKIAYVKEKKLLGTNVFQVTNDDDWALSRAVQEEENDQENKRRLLLIVLLPITLIIILIAFVVCYVQRKLLKTKGMIIFGNSRSTSTSDLNSDPPTLQAFSFSNIKAATNNFSSENKLGEGGFGPVYMGKLRGGQEIAVKRLSKTSTQGLEEFKNEVTLTARLQHVNLVRVLGFCTEKEEKMLIYEYMPNKSLDFYLFDPTRRYLLDWTKHVHIIEGVTQGLLYLQEYSNFTIIHRDLKGSNILLDHEMNAKISDFGMAKLFRKDELEANTSRIVGTYGYVPPEYVRKGIYSTKYDVYSFGVLLLQMISGRRSTCYYGPNESLHLLQYAYLSWKEDKGREFIDPSLDDSSSSCKLSRCLQVALLCVQENPEDRPTMLEVYSMLKTDTEPIPTPTKMAFSGNRSMENISTSQQGSCSVNNAEISELQPR >ONH95303 pep chromosome:Prunus_persica_NCBIv2:G7:10117369:10118758:1 gene:PRUPE_7G062300 transcript:ONH95303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIKDVFLDAEQKQEHNQQIRSCLKIWELEQEDDGRILPALRLSYTRLPPHLRQCLASCSYLQKDWNGYTSYALIRYWMAHGILDQFRVHGNMELEDIGELYFKDLWARSFFQKVIDDHTCYFFDMHGLIHDLVQSVAQGECFIVKSANTKDISENVRHLTFLEAGQNVSTTLQKLNKVRTIAAERIDIDESFLHTCFSRFKYLRVLKLLEVSLQVLPSSIGSLKHLKYLDLSLNEAMTKVPNSICRLQSLQTLNFGYCENLQELPRDISKLISLTSLFLTTKQTSFTENGVGCLKSLRFLSITECSNLTFLSRETSYLAALRTLWIVECKLLDLVDVNYQGTPRRLQKLIIKDVPRMVALPEWFQGAANTLQLLVIARCENLEALPEWLRSFTSLKKLVLDSYPKLSSLPERMCSLTSLKELVIDDCPELERRCQRDIGKDWSKISHEPYVSFHLFD >ONH97712 pep chromosome:Prunus_persica_NCBIv2:G7:19016179:19019741:1 gene:PRUPE_7G206000 transcript:ONH97712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEGSQFDTRQYDSKMTELLATDGQEFFTSYDEVYDSFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLTECQALVLAPTRELAQQIEKVMRALGDYLGVRVHACVGGTSVREDQRILSNGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVLVEELPSNVADLL >ONH94970 pep chromosome:Prunus_persica_NCBIv2:G7:7924259:7926449:1 gene:PRUPE_7G042900 transcript:ONH94970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYGSQVKPNSYSICNVCIMPGSDVPSWNTRITGFIQNGDLKRAKELFIQMPQKNVMSWTTMITGYVQDGQNEKALMIFSKMLVDNGVKPNQGTFVNVPSTCSNRAGFSEGQQIHQMISKTVPKKKKNLYMYFTPKINSHA >ONH98389 pep chromosome:Prunus_persica_NCBIv2:G7:21032236:21033784:1 gene:PRUPE_7G247600 transcript:ONH98389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRSSSSSSSSSVVTWKPAAANGNEQAPKKNLWTPEEDLILKKYLETHGEGNWATVSQRSGLMRSGKSCRLRWKNYLRPNIKRGHMSQEEQDLIIRMHKLLGNRWSLIAGRLPGRTDNEVKNYWNTHLNKMSCPAAPKRKSSHLNKIVTNKKKKNKISSCTTTTTCTDRTDDDHDQIQKKDATDFDHDHDHRQEPNGSNLTSSASCYYYDINDMVESPIFTSHHDCLQYLPTNLNATFLFDHDFIAADAASSSSYLDSFLFFEAFGSSAAATAADMESVLPLACADHHLGW >ONH95592 pep chromosome:Prunus_persica_NCBIv2:G7:11562672:11563905:1 gene:PRUPE_7G079900 transcript:ONH95592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLAANSHILCREMHHREHQQQFWISGGSLLLRKASSGFGLLNRNRDDGKKMLKWDFKVEAFWNDSRPTVVELEAINDCDHFDQILSHAQEQSQPIIIDWMAAWCRKCIYLKPKLEKLAAEYDTKVKFYYVDVNKVPQALVKRGNISKMPTIQIWKDGEFKAEVIGGHQAWLVLDEVREMIQKFV >ONH95207 pep chromosome:Prunus_persica_NCBIv2:G7:9628795:9632757:1 gene:PRUPE_7G057000 transcript:ONH95207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKREGEGEGEEEQVVNPWTVSAKGGGKIDYDKLIDQFGCQRIDQSLVDRIHRLTSRTPHVFLRRGVFFAHRDLNEILDAHERGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFRVPLVIQLTDDEKCMWKDLTVEESQRLARENAKDIIACGFDVTRTFIFSDFDYVGGAFYKNMVKVGKCVTYNKAVGIFGFTGEDHIGKISFPPVQAVPSFPSSFPHLFGGQDNLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPALIESSFFPAMQGETAKMSASDPNSAIYVTDSAKEIKNKINRYAFSGGQDSIEKHRELGANLEVDIPFKYLSFFLDDDAKLEEIRKEYGAGRMLTGEVKQLLIQVLTELVERHRRARAAVTDEMVDAFMAVRPLPNMFN >ONH95091 pep chromosome:Prunus_persica_NCBIv2:G7:8941068:8942675:1 gene:PRUPE_7G051400 transcript:ONH95091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPTLSSLMLLSTLFVISISWQASAYSAPEKFVQCLLNHSQPSYPIGPAIYTPNNASYSSVLKSYLRNLRFNTSSTRKPFLIVTALHESHVKLSVICAQVHNLQMKIRSGGHDYEGVSYVAEVPFFILDMFNLRSINVDIKSETAWVQAGATLGEVYYRISEKSKIHGFSASVEPTVGVGGHFSGGGYGNMMRKYGLTVDNVVDAQIVDVHGRLLDRKAMGEDLFWAITGGGGASFGVVIAYKINLVRVPEIVTVFNVTRTLEQNATEIVYRWQYVADKLDDDLFIRLTMVIVNGSGGDNNKTLSASFMSMFLGDSERLLSVMNKSFPELGLKQSDCREMSWVESTVFWAPFPYGTSPERLLSRTPQFHKHFKRKSDYVKKPIPKAGLKWIFQKMIELVSPALAFNPYGGRMGEISASATPFPHRAGNLWMVQYATDWDEEGSEASEHHIDLTRKLHMFMTPFVSKNPREAYFNYKDLDIGINHNANGKASYLEGRVYGIKYFKDNFDRLVHVKTKVDPSNFFRNEQSIPTLPY >ONH97788 pep chromosome:Prunus_persica_NCBIv2:G7:19255122:19257978:1 gene:PRUPE_7G210400 transcript:ONH97788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKIKYIIFITYIYVLEVHITDIYLIFLLLDEIIYLLICCVLFSNLDEKGESAKLKEDGGHRSISSAAAKRPKLEEVVINAYQIHHQPKIFLPKWEIIFTVASAFAVFVDPLSCYVPVIIDDSTCYYWDQTLMWTFFALRSAGDLFYGMDILVFIKRRRGNVNAKPFGASWTKHFGGHDSTIWKVLNGQKSLRFLGILPRICAAFPILQLFGALWYFFAVDRKIVCWQEHLCKFDDICGRETLAYFFYCSRFTPENNMMFNVSRLHELCAVQLSANVTSLPFDYGIYLYALQSNMTSSRDLPVKMLQCIWWGLRNLSSFGSNLQTSFFKDEIIFSIVISISGLALFLVYLNSRVQGSKKVSNQLKLQQKIETIYPDIIEQMRNMCRLGLASLKKVPLLESTDEKVLKAICEYLKPVTYGEDVYIIREGEPLRKMFFITRGTALTYTPIKGGTNVCKCLEKSDFHGEELLNWAFKLCSFSELPISTTNLMSQTKVEVFSIRANHLKSIVAQFWWYFRRELSRSQLEYFAASSIQVFWRRHRAKAKGPTEWDKLTLN >ONH97769 pep chromosome:Prunus_persica_NCBIv2:G7:19163113:19166182:-1 gene:PRUPE_7G208800 transcript:ONH97769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVKEDEKLLIYEFMPNRSLDTHLFDPARRRELDWGRRFNIIQCVARGLLYLHHDSYLKVSNILLDENMNPKISDFLLALIYATGGIFSEKSDVYSFGVLILAIISGRNNTSFYYFEKHLGVLAYVSRGYDLVDEVLADSYSSSEVMRCVHTGLLCLQDKTMLQIGQPCADV >ONH95845 pep chromosome:Prunus_persica_NCBIv2:G7:12488011:12488856:-1 gene:PRUPE_7G092000 transcript:ONH95845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVRGKFLKKLKSIPTIGTFTNGLLFQLNPTEKFFNHNHQTLSLRVHNDEEELKDEDMEFDFNVGHKENIVIPPSMKSTETVPSNDSSGDMVLSHIDLEHEIFHEAKDEEEEQHPSLSDFEEKCPPGGGNSVILYSTSLTGVRKTFEACKAIRFLLESFKVSVHERDVSMHMGFREELWRIFGGRVIPPRLFIKGRYIGGADEVIGLHEQGKLKKLLKGIPIDLSNSPCTGCANMRFVVCFNCNGSRRVFKDSDLDETDELHIRCPECNENGLVKCSICC >ONH98589 pep chromosome:Prunus_persica_NCBIv2:G7:21439364:21442161:1 gene:PRUPE_7G255700 transcript:ONH98589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCSGILTIFFGLGYWFGVHLLGYFMAVQVLCGLVQSIGWPCVVAVVGNWFEKEKRGLIMGVWSSHTSVGNIIGSVVASGVLEFGWGWSFVVPGVLVILVGILVFLFLPVSPEHLGFESLVKEIQMNVEVKGIENLEGKVESEEAGLLGTENADVNTGADSLAAIGFLEAWRLPGVAPFAFCLFFSKLVSYTFLYWLPFYIRHTAVAGVHLSHKTAGNLSAIFDIGGVFGGILAGLISDMIEARAVTSIAFLLLSVPALVFYRVYGSLSMVANILLMFLSGLLVNGPYSLITTAVAADLGTQTVIRGNSRALATVTAIIDGTGSVGAALGPLLAGYISTTGWNNVFLMLIFAIFCATLFLIRIARTEIKGNLNEGKWSLYSVGTQ >ONH98588 pep chromosome:Prunus_persica_NCBIv2:G7:21439364:21442161:1 gene:PRUPE_7G255700 transcript:ONH98588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKTSSLAPALNYFPTLKPPHKTLAFHQFSVLIITFLAYASFHASRKPPSIVKSVLGPTIQSNTSSADTGWDPFNGPHGAHRLGELDLAFLSAYSIGMYFAGHVGDRIDLRLFLVFGMMCSGILTIFFGLGYWFGVHLLGYFMAVQVLCGLVQSIGWPCVVAVVGNWFEKEKRGLIMGVWSSHTSVGNIIGSVVASGVLEFGWGWSFVVPGVLVILVGILVFLFLPVSPEHLGFESLVKEIQMNVEVKGIENLEGKVESEEAGLLGTENADVNTGADSLAAIGFLEAWRLPGVAPFAFCLFFSKLVSYTFLYWLPFYIRHTAVAGVHLSHKTAGNLSAIFDIGGVFGGILAGLISDMIEARAVTSIAFLLLSVPALVFYRVYGSLSMVANILLMFLSGLLVNGPYSLITTAVAADLGTQTVIRGNSRALATVTAIIDGTGSVGAALGPLLAGYISTTGWNNVFLMLIFAIFCATLFLIRIARTEIKGNLNEGKWSLYSVGTQ >ONH94316 pep chromosome:Prunus_persica_NCBIv2:G7:1383335:1389838:1 gene:PRUPE_7G010400 transcript:ONH94316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQTWQLHQETHLTASFFTLLSQRFSLQITLLLQPHLNVATNSTMANRLKHLSSIANDVVQSCALKLKTPVEKLVEEFETTWKADETAPVGHNITATNNNNNSYGRKFVEFCSAKVLQTSDICKTIEEKIADGSFSRFTFDMMLAWEMPASADEESFTECVAKEKEEKKVPAKFSTEHDEISLFYSDLMPLLVDNEQDVGEDAFVWLGSLVPLVADVVNGRFTFETLTAPTGNRLHFPAYDKFLKEIDRCIKHLQKHAKPKGAELADDEFILHVEGTASTQRVVRHIGGTSWPGRLTLTNYALYFEASGVITYEDALKIDLSKDMEQSVKPAATGPWGAPLFDKAIVYESPDLSEGIVLEFPEVTSSTRRDHWLALTKEIMLMHRFLAKYEIKCPIQAWEMHARTILSITRLHAAREMLRISPPTPTRFLIFSLFDELPKGDYVLEELAESLKKVNSGHPCSASSILRTMNMSESIISRLDVTEAGKESISPTVQDEDSFQLETAINQSREEEKEIAIAKATTKELKEEGISESTTIFLELLRPLRNSVPWFEEVLTWERPSTTLVVIAAALIVTYQEWVGKAIAAFLLWLVAKMFRARLQRLDTKCNEIVVCTASDQSTMESIVSAQHGMQTVHEMVQTANVSLMKLWSIFISKARKHADMAMMAMSAVAIFLAVVPMKLVIMAGILCCFTMTLASRLGMSKGENQSSRRLKEWWDSIPVIPVRVVDTK >ONH97118 pep chromosome:Prunus_persica_NCBIv2:G7:17271120:17273147:1 gene:PRUPE_7G170800 transcript:ONH97118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTIVLGVEKKSTAKLQDSRSVRKIVNLDNHIALACAGLKADARVLINKARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGSPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNFKETSGQETIKLAIRALLEVVESGGKNIEVAVMTKDHGLRQLEEAEIDAIVAEIEAEKAAAEAAKKGPPRET >ONH94950 pep chromosome:Prunus_persica_NCBIv2:G7:7764496:7765704:1 gene:PRUPE_7G041700 transcript:ONH94950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSDISDSWSFDRPLLLFTPYSKYLQSMGALYVQIGLGYIGMVLNLKMMNFGSRLSTCQFKYLQLAGRFSLGYIIG >ONH97622 pep chromosome:Prunus_persica_NCBIv2:G7:18780339:18781959:-1 gene:PRUPE_7G201600 transcript:ONH97622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSACCAKEGLNKGAWTAHEDKVLSEYIKLHGEGRWRNLPKKAGLKRCGKSCRLRWLNYLRPDIKRGNISPDEEELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNLGKKIQDQQRQGSASNLKHHNKNGEPVSKKAKTMEDMSPNMTSPSPSKMSPVVRTKAAKCTKVFINPDPHKLPLGHQHCEEDNRGGLMFDRHAGDDHTNNGSSSLSSFSNIADEENSSSDFLVDFDMNEISLASLLNSDFPAINCDDVDQNRDNSLSHCVDQTAQIFSEEMLQHLNVGSHDCVQVQPNLVLNFHSLTSFLDGDQGGEEWLGE >ONH95062 pep chromosome:Prunus_persica_NCBIv2:G7:8639247:8646333:1 gene:PRUPE_7G049600 transcript:ONH95062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKTATPSSSLSPIPIGNCEVTVEAANFSCQSDPNTLKISVSRSAKISISVRKEMERNNDSIAAYETFLVGNPSFVVVNPKDADSCQKSYLQEVLKMYIRELPAMNFAANTGKQSMFLERCVTNGKYCTLLLKSQSVGDSEEVIAAITYQIVPADTQYAEIPLAAVSSIYQHKGFGSCLFMELRKRLQSVGICTIFCWGDKDSEGFWLKQGFVSIAEVDTKGKCRRIPIKADIRRALCFPGGSTLMVLHLNQDVSTNTAESLKLGILLKPNGKSSTALENQLPGFSKGNYTTLNSENQMSLSSENCQPENLVNGLPREDKGSQCRETMQCIRDPVHLFREDSSKFVSAAVVFTSGVDADVRHCSCSKQVSCAKRKVWEASMSSLKSKKVKGSHQVGCQSESNFSLVSETDGSDSCLRGCSFVSYKDKPLVADPPRDLLGSSYKEKNAEECGPVNITSETLVRKEFQTQREFFKIMLMNIADDTKKANLTKVIEDLGGAITSDGSTSTHVVTGKVRTTLNFCTALCSGAWIVSPSWLKESFRQGRYVDESSYILDDKEYVLKYKTELRGAVLRAKASPQGLLKGYDVCIAAHVQPTARMLSSIVRSAGGNIISELEKVNEASKTIFVACEEDMEEVLLAVKKGIWTFSSDWLMNCVMGQELDLEAPQFAESL >ONH96383 pep chromosome:Prunus_persica_NCBIv2:G7:14702518:14709302:-1 gene:PRUPE_7G125100 transcript:ONH96383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSYLRYEQAAVFGVIVSVDSNITYDSSGKHLLAPALEKVGVWHVRQGVCTKTLIPAVPTKGHSLSVTSIASSHTSLVASGYADGSIRIWDSDKGTCETTLNGHKGAVTALRYNKLGSMLASGGKDNDIILWDVVGETGLYRLRGHRDQVTDLVFLDSGKKLVSSSKDKFLRVWDLDTQHCMQTISGHHSEVWSIDSDPEERYLVTGSADPELRFYTIKHDMEDGQSISNVSGTEIVNGGDPPTQNKWEVLKLFGEVRRQSKDRVATVRFNKSGNLLACQVAGKTVDVFHVLDEAESKRKAKRRLHRKKEKKSAKGAPEVMENGDTNRGAGEDGSSLVVTVPDVFKLLQTIRASKKICSISFCPNTPKSSLATIALSLNNNLLEFYSVESNTTTKTHAVELQGHRSDVRSVTLSSDNSLLMSTSHNAVKIWNPSTGSCLRTIDSGYGLCSFIFPNSKYALVGTKGGTMEIIDIGSGTSVEVVEAHGGSVRSIAAIPNENGFVTGSADHDVKFWEYQFKQKSAQDSKQLMVSNVRTMKMNDDVLVVAVSPDAKYILVALLDCTVKVFFLDSLKLFLSLYGHKLPVLCMDISSDGDLIVTGSADKNMKIWGLDFGDCHKSIFAHADSVMGVQFVRNTHYMFSVGKDRLVKYWDADKFELLLTLEGHHADVWCLAISNRGDFIVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEELFESDLDNAFENRYAPNEEIPEEGAVALAGKKSKETITATDSIIDALDIAEVELKRIAEHEEEKSRGKVAEFQPNIVLLGLSPSDYVLRAVSNVQTNDLEQTLLALSFSDALKLLSYSKDWILHPDKVELICRVVTLLVRTHYNQLHSTPAARPVLGALTEIHPRVKECKDIIGYNLAAMEHIKQVKASKSGALFQDAKSKLQEIRAQHSKRLETKTDLREENGRKRRRKNKNSSDVHVRLFDK >ONH97639 pep chromosome:Prunus_persica_NCBIv2:G7:18842529:18843890:-1 gene:PRUPE_7G202800 transcript:ONH97639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPSLPSEIWFDHILTRVKSLDSLGLCRVVSKDWNHITYESRFWQLFCKRSDAVSGFLIQNFYDYKHSSTFVSVDNKANKTLNLSILNFLPAPVKVEAVSSQGGLVFCVNQNHRRVPEYFVCKPTTLQWETLPNPKTRYYTQSNAIVVLSSKPLRYKIIRLSEPKSPVKYQLHQNLIRCEVFDSNTWAWKQLKHVSLPYSVCLRLPRRPCVTSGGACYWHLTSNQVLAFYYEDDKESWEIFDSPEPMSDSEYCAMYTKLVEYQGRLALIYSEGELMELWVMEDHEKKVWKRHKLISLDGLKQLVGHYPSPGAYYNSDIALMEAWPKIIFYKFQGSSSNKVVKLEHKPDEIFKLQSDFEIVNLRGPWRWRDFSFIFFLFVFSLFCYFVRFVFGL >ONH95048 pep chromosome:Prunus_persica_NCBIv2:G7:8555516:8555977:-1 gene:PRUPE_7G048600 transcript:ONH95048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIIRPKRLIRIASKWQKRDAMGRTKNSSPRAAASNNMLNNTVGEKGTFVIYTIDGSRFVLPLSYLSNCIFQELFKMSEEEFGLSSGRGPIILPCYSVFMNYILSFLQRGTTGDLEKALVANSLFSSSCSSSKLHQGQIRNPTIPLWLLLST >ONH95774 pep chromosome:Prunus_persica_NCBIv2:G7:12369778:12370613:1 gene:PRUPE_7G090100 transcript:ONH95774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKNKTKKIGLASHKIVMGINFQQCFYDVVAIWNVYDGGGWVMKLFFVGLGIAFRSHDEP >ONH98224 pep chromosome:Prunus_persica_NCBIv2:G7:20533989:20536979:1 gene:PRUPE_7G236700 transcript:ONH98224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPKPDTPLIPPSSSSSSSSSSSRNLPDFKNSVKLKYVKLGYHILITHGMYLFLSPLVVVIAAQISTFSLQDLYDLWEHLQYNLISVIICSTLLVFLSTVYFLTRPRPVYLVNFSCYKPEESRKCTKKIFMDQSQMTGTFTEENLQFQRRILERSGLGDSTYLPEAVLNIPPNPSMKEARKEAEAVMFGAIDELFAKTAVKPKDIGILIVNCSLFNPTPSLSAMVINHYQLRGNIVSYNLGGMGCSAGLISIDLAKNLLQVHPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKSSDRRRSKYRLVHTVRTHKGADDKCFSCVTQEEDSNGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLIGRKLFKRKIKPYIPDFRLAFEHFCIHAGGRAVLDELEKNLHLSDWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRMKKGDRTWQIAFGSGFKCNSAVWKALKSINPAKEKNPWMDEIDRFPVDVPKVSTF >ONH97228 pep chromosome:Prunus_persica_NCBIv2:G7:17570612:17571571:1 gene:PRUPE_7G177900 transcript:ONH97228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >ONH98783 pep chromosome:Prunus_persica_NCBIv2:G7:21944296:21947637:-1 gene:PRUPE_7G266100 transcript:ONH98783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMIGVQRVSLFNLLCLIIFSAVVLGQENAQTMRDKASLLSFKTAIVSDPQHALEDWNSLGVHVCNWSGIRCNKGRDQVVELDLSGRSLRGPISPALANLSSLSILDLSRNFFEGHIPGELGSLFNLRQLSLSSNLLEGNIAAELGFLHKLVYIDLGSNRLEGEIPVTLFCNHSSSSLQYIDLSNNSLSGKIPLADGCKLKQLRFLLLWSNHLVGQVPAALANSSRLEWLDLESNMLSGELPLEIIQKMPQLQYLYLSYNGFVSHNGNTNLEPFLTSLVNASNFQELELAGNNLGGEIPPIIGNLSTNLVQIHLDANLLYGSIPPQISNLVNLTLLNLSSNLLNGTIPPKLCQMTKLERVYLSNNSISGEIPPALGDIPHLGLLDLSKNKLSGSIPDSFANLSQLRRLLLYENQLSGTIPPSLGKCINLEILDLSHNQISGVIPSEVAGLRSLKLYLNLSSNHLHGPLPMELSKMDMVLAVDLSSNNLSGTIPSQLGSCIAIEYLNLSVNSLQGPLPVSIGKLPYLQKLDVSSNQLIGEIPESLQDSLTLKKVNFSFNNFSGNVSSKGAFSSLTIDSFLGNVGLCGSIKGLPNCRKKHAHHLAILSILLSLLITPIFCIFGYPLMHRSNLQRHLEIFDHGDLRDDEEEEKKELKYPRISYEQLIEATGGFSASSLIGAGRFGHVYKGVLQDNSVIAVKVLDLKTDGEILGSFKRECQVLKRTRHRNLIRIITACSRPDFKALVLPLMSNGSLERHLYSSHGLSHGLNLIQLVSICNDVAEGVAYLHHHSPVRVVHCDLKPSNILLDDDMTALVTDFGIARLVKGSGENIPTNDSTSFSSADGLLCGSIGYIAPEYGMGKCASIEGDVFSFGVLLLEIVTGRRPTDVLLHEGSSLHEWVKSHYPSRVDSIVQQAIDRCVPDSMPKQYNKIWWDVVLELIELGLMCTQYNPSMRPSMQDVAHEMGRVKEYISNPSSLLIEEVGLKVDAL >ONH96751 pep chromosome:Prunus_persica_NCBIv2:G7:16129597:16131495:-1 gene:PRUPE_7G149600 transcript:ONH96751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMEEEVTKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >ONH95730 pep chromosome:Prunus_persica_NCBIv2:G7:12185667:12188283:-1 gene:PRUPE_7G088000 transcript:ONH95730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESIRNLCKGVASFCNHLQSSCDALKTSVDRRAIPLDTASSTFLQSLNRRVSAASTQLNLLETMSFGTVSFEELLGHCTEVYKDNQTRLLHLQRRLSPSSAYDPEIEIDDHETTPVDPEDGFDPRISDEEDDSLLDESLSLKKLGLSDASLATLAFQANARNEDPDISLHEFKSFKGSYDHSTDTSGASGDGLLSDFGEGEDKPKLAQVPLPLVNVSRDDYESLPSYMKSLAPWEDLLAAVEKINSGLKGKAKGSSFFHQDEISSLGLGPKARSYLLLLVRMNRLVVETVDGLISYRVL >ONH96254 pep chromosome:Prunus_persica_NCBIv2:G7:14180246:14182390:-1 gene:PRUPE_7G116800 transcript:ONH96254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFTHFVTRLSSTQLLLITLTLLLYTPTWPSSAAAPQTELLRDFKATPDPSIQTFQSLLADPNGNFSLGFLRVNKTQLALALLHVPSSDPLWLANPAQLARWSDRTTLVFNGSLVLSDPQGRVFWSTHTQGDRVVLLNTSNVQVQTQSSVLWQSFDFPTNILVENQNFTSTMSLVSSNGLYSMRLGNNFMGLYAKFKSGSNSDQIYWRHRAMEVKAEIVEGRGPIYAQVNSDGFLGMYQTGNSAPVDIQPFNTFHRPVNGLRKVRLEPDGNFNGFYWDGSKWVPDFQAISLPCELPSPCGAYGLCGAGNGSCFCLDNRTEFRSGECFPVQNGDFCRSGLGEISNFWVIRRSGVELPYKELMGYQTTSSYGECERVCERNCSCWGAVYNNASGFCYTMDYPIQTLVGVGDESKMGYFKVREGAGRKKMNVGFGVGIGVVCGALVIFVGVAGVWSFRARRRKRGRGGGGVKRFTGQDGGLSPGPYKDLGSASFGSIEMGNNSR >ONH95204 pep chromosome:Prunus_persica_NCBIv2:G7:9586418:9588814:1 gene:PRUPE_7G056700 transcript:ONH95204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVCDQKGLLEYVRKSSPPPFLLKTYMLVEDPTTDDVISWNDDGSAFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVSTSRWEFCNDKFRKGEKDQLCDIRRRKAWASKQQPINNIALNQAAQAMQNQDEFDEDQRSNSSTSSSSDYSSLVDENKRLKQENGVLSSELTSMKRKCKELLDLVAKYGDSAEKEEQENSERVPKLFGVRLEVEGETERKRKRAEISESASILLSQACK >ONH97347 pep chromosome:Prunus_persica_NCBIv2:G7:17939389:17943139:-1 gene:PRUPE_7G185000 transcript:ONH97347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQSSIWAVLLLILLIAGFVSSNGDVSSSESRELQILGDYNVLNSECKALQLLVLHQKPNGEVLNWESRALQPLGSHQNQLEVFSRSPSRRLHSHTPEPTALVATLDGRIHLVESNSMRVLWSLASGPPLYTSYQAQDSTSGSKNSRYFIDCGDDWNLYLHRGHFGREKLPYTIDEYVGSTPHSEDDGSITVGSKKNTVFEVDLLTGELIRPYALPNSPSNLKSNEKQRVLPNNNIRYNKELVKPSSINRNAAQQRLLISRIDYSLQSFVPYSDQVSWNMTVGEIWAALLCPDNEKPLGGAPLNSKNVLGSETGSDIAPPLSCQSKKLIFPQINHTLLELVGPGRKLKDQETDTMVQKPASSLMVPSKPEVDKKFFDGSTALTLTFLFVMLMGFVVYHCASVVKGKVWLHDQRNNSDSKTAPSKKKKSRKSEKISGIISSQDEEALTHTESDNKTRSFLNKLFDGGTNGRRIGKLLISNKEISKGSNGTIVLEGVYEGRPVAVKRLVLAHHDVAFKEIQNLIASDRHPNIVRWYGVEYDQDFVYIALERCICNLDDLIQICSDSSKNPVVGEEDAKRVLNGNEVHLESVKNIMSDVNLWKTDGFLSPLLLRLLRDVVSGLVHLHELGIIHRDLKPQNVLLIKERSLCAKLSDMGISKRLIGDMSSLGSGSSGWQAPEQLLHGRQTRAVDLFSLGCVIFFCITGGRHPFGDHLERDINIVKNKVDLFLVEYIPEAVDLISRLLNRDPELRPKALEVLHHPLFWSSEMRLSFLRDTSDRVELEDREANSGLLKALESIAPMALGGKWDEKMEPAFLTNIGHYRRYKFDSVRDLLRVIRNKSNHYRELPTQIQKLVGPVPEGFDSYFASRFPRLLIEVYKVVCTHCRGEECFEKYFKSNAEGL >ONH96691 pep chromosome:Prunus_persica_NCBIv2:G7:15937401:15942787:1 gene:PRUPE_7G146300 transcript:ONH96691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACASTPTRTRNGSRGGPAGSDMIRRAPSSIVIHVDGRMQELKLPTQAKHITSQNPNHFLCNSETMSVGTCVPNVPEEEELQPGQIYFLLPLCEAQKPLSLPDLCALAIKAASALGKDATEYLKAHNQARAAVGVEPLKWSEFLANATSRLVRYQRDNKACNFANLTSGSKYGGNQLWASGQSVTPTMVVDTWLKEKDFYNHTGNSCVPNHSCGVYTQVVWKKSLELGCAQATCVKDQSSLSICFYNPPGNVIGESPY >ONH95031 pep chromosome:Prunus_persica_NCBIv2:G7:8457811:8464704:-1 gene:PRUPE_7G047700 transcript:ONH95031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNNLLILLWWKKPHKSLVTSLNSAHALTASCSEPYDDEQPSYVPAELVKPWCSNDASVLYHCYLIEMKQNFCSYIPVNNVVLGLRSELNCDIANMNFDLVVDKGTITVNFKKATEIHLSPEQVLQCRRFQITIFRILVDCNLQNLKEVLERLSLGQNIGVESINYLLLPAARMHQKPLIIDWECVMSVPFRSEENSEYHIDCSPPNNCSGVLHTKNGMVCTCRVQNSLVCTPHTGLLDCITGLLDDLNGNSLLRPRGRRARTYKTHYEEKHGINLRVDQQLLLKGRHIFRVQNYLLSRRQQRKKESKPVELPPELCSIVMSPLSISNLYSFSIVPSIMYRLESLLLALNLKNMILDRCTENVTIPTIKVLEAITTKGCQENFNLESLETLGDSFLKYATSQQLFKTYQNNAEGLLSGQREKIVSNPALCKFGCDRKLPGFIRNECFDPKKWTIPGDYSGTSFLSEDLPFNERNIYIRGRRKVTDETVADVVEALIGAFLSTGGEIAAIYFMNWVGIKVDLVHIPYKRHFQVQPEKLIDVRYLKSLLNYSFHDPSLLLEALTHSSCMLPKIPGCYERLEFLGDAVLDYVITFYLYNKYRDMSSPGFITDMRSASVNNDCYALSAVKAGLHKHILASDNVDNDIANTVNNFGRLSIESTFGWEIETYFSEVLADIIESLAGAIFVDSEYDKDIVFQSIRPLLEPLVTPETMPLNHIREFKDICKKMQYIMKKPAIYTPNGVVTRTIEVEAKGVVIYKHTSTVSNNKTAEKVACKFFWEIAQNATIFIIVTENTTLFKKIWNYHL >ONH94281 pep chromosome:Prunus_persica_NCBIv2:G7:1136031:1138693:1 gene:PRUPE_7G007800 transcript:ONH94281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIPQPSQTPSLLLIHPLYKIGGREEELGEAQGKTAVGAGHARKVLHSDPERVGNGAVCGGYIFNWVNRSMAHVHFLLGRSSIVQNHETIMVVLDFDWRGIRNLSLFFFFFGSPSLPWILNCVIVFGDSTERKNLKVSSGGGYMFTVDLTGRIYVVSGFSRKALLRCG >ONH95294 pep chromosome:Prunus_persica_NCBIv2:G7:10096751:10098559:1 gene:PRUPE_7G061900 transcript:ONH95294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGDPAANKGKAPALYGDDDDDRHRDMVTKMMMMKEERVSMGIPPGWRFCPTDEELIVFYLRNRTWNNSRIIHLDIYDFTPMQLAEYFEIPEDPVMFFYTTRKRKYKNGKRPSRTANIGYWKATGKDTPIKEIDGSFGVKKSLVFYLGQQKEGKKTNWIMHEYTLQKEEFEACVLCKVYETSRGKNSRKNSRNEANTVSNDVGEQGTNPSTTRSQAEEDQALRFLPLPPPLPNIYCGSSSSSSSSNLAGQYDVHMTNHSAHALNNVSFPQCTWASNGLGAHPEVTTLAPMAHAQLENSIPLLHSMNIYDQDGYLLNENIEFYQSTAPYGFEVQSLPMYNNVSQPNNSGYGMAPTDTTEEEEELYLLHEPLPDFSFPIDDNAECVPFGMPVESAKPLNSVTPTDPVMQSTNPGGNSTTTPIDGH >ONH97422 pep chromosome:Prunus_persica_NCBIv2:G7:18157312:18162014:1 gene:PRUPE_7G189300 transcript:ONH97422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPFQEAPRCDVCKCSFNAFRRRHHCRSCGRTLCHEHSSNQLALPQFGIYSTVRVCSDCFNDSSRSTKGDVSSDRVDAVTATVSRLDIGADVDLKAEPTVEYQPVSAISECKCGMPLCICEAPAPSVDAVPQQSKTVSTSVPRSNPRPKKTETTLKTNASTSNSKHSSVFNLGQANSGTSDKSQMDYEVTGEGMREAIKNSDVAAVKKLLSEGVDGNYHDKQGLSVLHLAAVFNQTEIVFALMDSGASLDYKNAQGETPLDCAPATLQYKMRQKMEEDRRA >ONH96613 pep chromosome:Prunus_persica_NCBIv2:G7:15637802:15639226:1 gene:PRUPE_7G140900 transcript:ONH96613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPKHFVLVHGICNGAWCWYKLVTLLRHASHRVTALDLGASGINSKQLHEITSVWDYVQPLMEFMASISLEERVILVGHSYGGLPISLAMESFPQKVLAAVFVTAYMPHYNSSPGVLIQEYFKGTPVESLLDCELKFGQNQENPLTSVVFGPQYMAEKLFQQCKPEDLELAKILVRPSGLFLEDFMTKECQLTEPKFGSVTKVFVVCEGDEVVKEEFQRWMIENGPTAQVILIREAGHMVMLSKPEQLCGCLCEVAEKIL >ONH98610 pep chromosome:Prunus_persica_NCBIv2:G7:21520654:21521413:-1 gene:PRUPE_7G257200 transcript:ONH98610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGDIDIFFPNWNNKNPTDDGLFIVSCLIAEWRRNINLSWMKAIARSKKNPKARHKVPIAPHTLVLESVSRGKNLNCCVCLNSMSPSQTLGPTTASDSFSHRCSICGATAHLSCSSSRDQTDEASFCSYYEEPCSGSFLGGSPIWCCLWCQRLVRVDCHSSMSNETCDICDLGPFRSQTSSAGGFLSSITRGQYQLASLLINTHNRTNI >ONH96328 pep chromosome:Prunus_persica_NCBIv2:G7:14425224:14427458:1 gene:PRUPE_7G120900 transcript:ONH96328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIENALRAQMEFTEIQRVPSDEVAVNNILNVHPASWNAPSGDFVKINVDGGLIGDTLNGGVGVVIRNNDGQFVGGLAGPICCDSTLAAEAHSAIKGLALSANLGFRDVIVKTDSKLLINGINGDFRNKVWSIMPLLEELHRIYGSMHEVR >ONH96719 pep chromosome:Prunus_persica_NCBIv2:G7:16017846:16019930:1 gene:PRUPE_7G147300 transcript:ONH96719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFLGSQPLYILLLFFFTTQILAQPAPSNSSTSFSCSVDAPPSCDTYVSYLAQPQFLSLGNISDLFGVSSLSITKASNLVSEQIRLIAGQLLLVPITCGCTGNSYFSNITYEIKKGDNYYLVSINSFENLTNWHAVLDMNPTLDPTLLQIGVKVTFPLFCKCPSKMYSDNGVKHLITYVWQPNDDTFRVSSKFNVSPLDIVTANDYRNFTAAVGLPVVIPVSKLPALAQPKPPHGRNIFKQRWWLILVITLGGVLAVSSLFAMFVVYSRRQHKRKKALNGTGSSLESPEWFNMKEGKRDEKLELKFIQDKLLPGVSSYLGKPIMYEIKTIMEATMNLNEHCRIGGSVYRAIIDGQVLAVKNTKEDVTEELNILQKVNHANLVKLMGISSEAQGFRFLVYEYAENGSLDKWLYPKSSSTSTSLALLTWNQRLSIALDVANGLQYMHEHTQPSIVHMDIRTSNILLDSKFKAKIANFSMARAAANNVAPNVDVFAFGVALLALLSGKKGMETKENGEVIMLWKDVRWVLEAEEKKVERLRKWMDPNLESFYPIDGALSLTALARACTQEKSSARPSMAEVVFNLSVLTHSPSESTFERSWASALEAEEVLQTINPITAR >ONH97160 pep chromosome:Prunus_persica_NCBIv2:G7:17363822:17364640:1 gene:PRUPE_7G172900 transcript:ONH97160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGSIKLLKDAGSGLSKTLSEILVCPLSKQPLRFCEETNSLVSDSIGVSFPIKDGIPCLVPTDGKALDLEADNTIKPEDAVTSSVEHEADRGGSH >ONH95458 pep chromosome:Prunus_persica_NCBIv2:G7:10967852:10970095:1 gene:PRUPE_7G072200 transcript:ONH95458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDFQGYIILFLVWLVSTILVRIVLTKIRTKPHLPPSPMALPIIGHLHLLAPIPHQALHKLSNRYGSLIHIFLGSVPCVVASSPDIAKEFLKTHEGSFSNRPYIAAVDYLTYGSADFSFAPYGPYWKFMKKLCMSELLGGRTLDQLLPVRREELTSFVQLILKKAKAAEDVDVGAELMTVTNNVISRMTMGQSCSANETEANEIRKLVKATAELSGKFNLSDFIWFCKNLDLQGFGKRLKEARDRFDTMMERIIKEHQEARKKKKELGEGGEAVKGFLDILLDISEDETSEFRLSMVNIKAFIMDIFTAGTDTSAITTEWALAELINHPEVMKKARQEIDSIVGKNRLVEESDIANLRYLQAIVKETLRLHPTGPLILRESTEACSIGGYEIPAKTRLFVNVWAINRDPNHWEKPLEFEPERFVTEDGSGKSQLDVRGQHFHLLPFGSGRRGCPGTSLALQVVQTTLAAMIQCFEWKVEGGSNNVNMEEAAGLTLPRAHPLVCVPVARLNPFPSS >ONH97506 pep chromosome:Prunus_persica_NCBIv2:G7:18397662:18399983:1 gene:PRUPE_7G193300 transcript:ONH97506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSIGSLFLQIVFTAIGAKFFRQPSILPPRCPPHLLTNFITEVDAKSRNAMPLVEPGCFLRDFHFFPCLLKHLLHTWELKSVIQQPSQPYAAQFRRVKVRNTLEITHESHVWDQRMNCAPQNKHSTVLFFTFNISNTR >ONH97927 pep chromosome:Prunus_persica_NCBIv2:G7:19682272:19685737:-1 gene:PRUPE_7G218900 transcript:ONH97927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLTQTSSLHTHLCFLLFLLLLLISHANSQSLQDQEQAVLLKLKSYLQSPPFLSHWIPSTSNTSHCSWGPEINCTNNSVTGLSLVDTNITLSVPPFICDLKNLTLIDLSYNYFPGEFPKALYNCSKLEYLDLSQNYFVGKIPDDIDSLPRLRYLSLAGNNFSGDIPAAIGRLHELRNLQLFMNEFNGSVPPEIGNLSNLKDLNLSSNIKLVPWKMPSNFTQLKNLKTLWIRESNLIGQLPGTLGEMAALEELDLAKNRLNGTIPSGLFLLKNLSIIYLFKNRLSGDIPQVVEALNLKVIDLSDNRLTGPIPEDYGKLTKLTGLALFYNGFFSEIPASIGRLPNLIDFKVYDNNLTGTLPPDFGRYSELGGFEVSGNRLTGKLPDHLCYLGKLVGLVAHENNLTGELPSSLGNCTSLVIVKVYDNGLSGNIPSGMWTATNLSQVLMNKNSFTGELPEKMSWNLSRLEIRDNRFSGKIPTGVSSWTNLKVFDAGNNLFNGTIPQELTALPSLTTLSLDQNQLTGFLPSEIISWKSLNTLNFSRNQLSGPIPEKLGLLPVLTELDLSENQLSGQIPDLLGRLKLNHFNLSSNDLSGKIPFEFENPAYDRSFLDNQGLCATSSSEKLSICNSEPRKSSKISSKYLALIITFGILLSLLALSLSFFMGRGYWKRNGSDSYWQLTSFQRLNFSVSKILSGLTESNMIGSGGSGKVYCVPVNCKGDVVAVKRIWKDKKLEEKLDKEFHAEVKILSSIRHANIVKLMCCIFKDNSKLLVYEYSENRSLDRWLHKRNRPSNPSRSVHHVALDWPKRLHIAVGAAQGLCYMHHDCVPPVVHRDVKSSNILLDSDFNAKIADFGLAKMLVKQGELATMSAFAGSFGYIAPECAHTTRVNEKIDVYSFGVVLLELTTGREANDGDEHTSLAEWAWRLAQEDNPLADALDQDIKEPCYLDEMCSVFKLGIYCTEKLPSARPSMKDVLQILLQCNQPVVPIKKIEYVAAPLLKNSKREQILEDCDGGLVTNV >ONH94321 pep chromosome:Prunus_persica_NCBIv2:G7:1421775:1432501:1 gene:PRUPE_7G010800 transcript:ONH94321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTTTVSEEAMKVDQPQPPRTEALQTLTKGPLSSAISKLSGSSRGIPSNQDFYFYRNFDKFKVPIEQITEQSQLMLGSVGSSAPIWGKKMAFPQDLDDAYDWLVNVNDEVLERFDSSVDEFKRIRKEAEEPKRPMIADFDSENGFQLVCGKKKKGPSGSASANGDSTQVSSVKVATKDKKTVGTKPKVPFHIPTIRRPQEEFNILVNNSNQPFEHVWLQRSEDDQRFLHPLDKLSVLDFVGTDVGDVEPVKPPSLESTPFKLVEEVKDLKELAAKLRGVNEFAVDLEHNQYRSFQGMTCLMQISTRTEDFIVDTLKLRIHVGPYLREVFKDPAKRKVMHGADRDIMWLQRDFGIYICNLFDTGQASRVLKMERNSLEYLLHQLCGVTANKEYQNADWRLRPLPEEMVRYAREDTHYLLHMYDLMRTMLCLMPKESENLDTPLVEVYKRSYDICMHLYEKELLTENSYLHIYGLQGAGFNAQQLAIVSGLCEWRDVVARAEDESTGYILPNKTLLEIAKQMPSTTSKLKRLVKSKHPYVERNLASVVSIIGHSMQNAAFFEPAVEHLKLGHAGMATEENILANEGSEAVLPDESGSNSIKGDISAASPASPPHKMEDTELGCDASELVRGGQESSLEHPGENRKGKIECGSNTSVLPRQNIVPRQSREASSNACVLDSTKVTGVSVQVQKKPSCAFSSLLGSGVPKRKFDADRKNKEDKLEQIRSSMNFPFHSFTGSSEQSKPIIEAPATSSKIPHSEGPLTASPERSNLVDIITLENDSDVGEPINGCSETRNENDSVASALERDGEDEPMSLSDLSSSFQKCFQSRKQNKKPREVEKSQESGGLQVKPFDYEAAKRGVIFGAKPVKEAGEGVRSLNSGGKKKSLGGIVSNDDGSKELAQGRRRQAFPASGNRSATFR >ONH98495 pep chromosome:Prunus_persica_NCBIv2:G7:21262290:21266095:1 gene:PRUPE_7G251600 transcript:ONH98495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMAKIARTSQQINGHILPHPCPHLAEFRAKNGSKPFRALQDCLRVKPPSGRASIRRDPKELPRCGACGESARPRLYACITCAAVHCHVPSGPSHAAAHAYLMPPGHEIAVDVDRAELFCCACRDQVYDRDFDAAVVLAQTAASTLTSSDTSAIQQYAPENLRKRRRIDYKPWIPDLREKYLVGKNSSPLNGDVSDLPWGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDRHNRYFCQKKSNADNTSKKTAANNNESGGNKSAARMCLACDMDAAFSAVFSGDRTPYSPAKFLYSWWQYAANLASYEQQDAHEFFISMLDGIHEKVDKDPRKPESQGNGDCCVAHRVFSGILRSDVMCMACGFTSTTYDPCVDISLDLEPNQGGSAKTMSTKSSYSCNGEADCMNSSQNCGVSTLMGCLDRFTRPERLGSDQKFFCQQCQVRQESLKQMSIRKLPLVSCFHIKRFEHSSVRKMSRKVDRYLQFPFSLDMAPYLSSSILRSRFGNRILPFGGNDPDASNELCSEFELFAVVTHTGKLDAGHYVTYLRLSNQWYKCDDAWITQVNENIVRAAQGYMMFYVQKMLFYKASEKRGPA >ONH94821 pep chromosome:Prunus_persica_NCBIv2:G7:6085783:6090988:1 gene:PRUPE_7G032700 transcript:ONH94821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHVHPQMQESSAMGLQSLGGAENFSRASSNGYLSRTKSNLQSGFNRSNTATAILKQAKSSSRSFDGGSRLLDRYKLVPDATGKDNTNSASDQTQMDETIGRHEERANGSLAEQYRTEHVVD >ONH95252 pep chromosome:Prunus_persica_NCBIv2:G7:9839474:9842177:-1 gene:PRUPE_7G059200 transcript:ONH95252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISQYLLLLLCLLGDTGLASTGIKVKPICIEEERKALLSFKQDLNDTSGRLSSWVGHDCCRWEGISCNNRTSHVAEMDLRNTYDKSTAVEEWDELAYSQSRLGGKINPSLLSLKHLHYLDLSLNNFEGIQIPKFFGELKSLRYLNISFAQFTGEIPSSLGNLSNLNYLDVGFSSSKIYSKNLNWLSHLSSLKYLNLNEVNLSSSTGWLHAVNMLPSLESLDLSGCELEGQLPASFGMLKSLQYLDLSFNHMNGSIPQSLGQLSELVELNLSFNSWEGILTEAHFINLTKLKSLSIGNNLDDIEKPMSLVFNLSHDWVPSFKLHTIVIRNYKVGPGFPVWLQSQTELVQVVLRRTGISDSIPEEWLLKLSSQLEYLDLSHNQFRGRLSSNQLMRFPKLRLLNLAHNQFEGPLPLWSTNASYFDLESNLFYGPISSNFDKLMPKLEELYISENHLNGTIPPSICNMQNLTILSLRSNHFFGEFPHTWSSWSQITIVDAAYNNLSGNIPTSMGILSSLEILKLNNNNFGDKIPDSLHNCSALKIIDLGGNKLSGSIPPWIGGSNVSMLCMLRLQSNFFTGHIPRQLCNLGYLHILDLSHNNFSGTIPTCFNNLTSLIHNVSDIYNNYYLPQTMVILKGQERVYNTTLMLVKSIDLSSNILEGEIPQEIGGLTLLGTLNLSRNHLTGNIPSIVGNMHGLETLDLSNNRLSGQIPRSLESLTFLSHLNLANNNLVGRIPLGSQLQTFTDSSIYMGNPSLCGFPLPTKCPGDDTLTITNAKHNNEDGNDKMWFYVGMGLGFIVGFWGVFGTLLVKKSWRYAYFRFFDDTKDKVTLAIELKVTRLQRKFCHV >ONH96942 pep chromosome:Prunus_persica_NCBIv2:G7:16736378:16737962:1 gene:PRUPE_7G161000 transcript:ONH96942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYQNQYGATAQSTNDYGNPLTNYTGSEPQSTNEYGATDEYGNPVTTYTRSEPHYTDEYGNPVRERGMMDKIKEKLPGTHDPYSSHSQTTYPTARYGETGYTGLEQHHGMMNKMPGTDPYSSHSHTTGTTAPYDGTGYTGTEYRQHKGTMDRIKDKLTGTGGHRVDDPYSSHSHKTATTTPYGGTTTTAYGDSTTTPSPYGGTTTTHSPYGGTTTTAYGDTTTTPSAYGGTTTTPSAYGGTATTTYPREKKGIMDKIKEKLPGGHHY >ONH96658 pep chromosome:Prunus_persica_NCBIv2:G7:15798910:15801700:1 gene:PRUPE_7G144000 transcript:ONH96658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSSAVRRKPSFFKALVGNFSNQLQIPPAFLVHFDGGKVPQKSHLRTSAGTWPVNVKKADDKFFFQKGWKKFVHDNDLKLCEFLVFGCAGDLGFYVDIYGRNGGKREFVTAERDRPHEEGHGNVFHRKSPRHHGKQNLPSIDPIKYEATDTESETSMHPLATTSTGKTIALQRDNSFNSEKPFFKVALWNSYMDRGVMCLPKNFVKTHLTRHRANVTLRVSDGRTWPVNLIFEGERGKLGGGFMAFCRDNNLKVGDMCVFVLINKIEFLFEAGFYRKTEAANCTVPPEHYVMDITGCSDICFYKLKEQIATLQVRDIPGM >ONH94307 pep chromosome:Prunus_persica_NCBIv2:G7:1306100:1309433:-1 gene:PRUPE_7G009700 transcript:ONH94307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRHGLFKQLVGDLSFLDSTPFAKLLDSCIRTKSARDAHRIHARVIKTQFSSEIFIQNRLIDAYGKCGCLDDARKLFDKMPQRNTFTWNSIISTLTKLGFIDDAVQIFRLMPEPDQCSWNSMVSGFAQHDRFEEALEYFVKLHVEDFVLNEYSFGSALSACAGLRKLKMGVQIHAFIAKSCYSSDVYMGSALIDMYSKCGSVASAQRVFDWMSDRNTVSWNSLITCYEQNGPASEALEVFVRMMDGGFKPDELTLASVVSACASLSAIKEGQQIYAHVIKCDKYRDDLVLGNALVDMYAKCNRLKQARWIFDGMPVRNVVSETSMVSGYAKAASVKAARLMFAKMMERNIVSWNALISGYTQNGENEEAVGLFLLLKRESVLPTHYTFGNLLNACASLVDLQLGRQAHVHLLKHGFKFQVGEEPDIFVGNSLIDMYMKCGSIEDGCRVFKSMLERDYVSWNAMIVGYAQNGYGTEALEIFRKMLASGEQPDHVTMIGVLCACSHAGLVDEGKEYFYSMSEEHGLVPLKDHYTCMVDLLGRAGCLDEAKHLIEVMPMQPDAVIWGSLLAACKVHRNITLGKYVAEKILDIEPRNSGPYVLLSNMYAELGRWGDVVTVRKLMRQRGVIKQPGCSWIEIQGRVHVFMVKDKRHPQCKEIHYLLKLLIEQMKQSGYVEDACDHDICEEHGETELTSLYDIDMLEDAALG >ONH95752 pep chromosome:Prunus_persica_NCBIv2:G7:12262744:12265739:1 gene:PRUPE_7G089000 transcript:ONH95752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPHIVEDCMGVLQVFSDGTINRCSLMDMDFNIPVVDDNSVAFKDVTFDKRHNLSLRLYKPKSANRNSKLPVVFYFHGGGFCVGSCVWPNFYNCCLRLSAVLHALVVAPDYRLAPEHKLPAPIDDALSAVEWLQREALMSKSGDCDAWMGGTADFDRVFIVGDSSGGNMAHHLAVRLGAGSAKVAPVRVRGYVLLAPFFGGVERTRSEEGPCEALLSLDILDRFWRLSMPAGETRDHPLVNPFGPNSLNLEKVALDPILVFVGGNEILKDRVESYSRKLKELGKKIEYVELEGQQHGFLTADPYSEVSNEALQFIKRFMIENSN >ONH97082 pep chromosome:Prunus_persica_NCBIv2:G7:17156112:17157077:1 gene:PRUPE_7G168700 transcript:ONH97082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASTSTFFAAPILSATPPPPKTSTRVHFRPISAFAAGSTCTSDETATASYLGPQKMTSLYEILGIPAVATCKEIKSAYRRLARVCHPDVAAIERKDTSADEFMKIHAAYSTLSDPEKRADYDRKFMRRSRPLSTASGYSGYYTCRNWETDQCW >ONH97887 pep chromosome:Prunus_persica_NCBIv2:G7:19557210:19566226:1 gene:PRUPE_7G216400 transcript:ONH97887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPPFLLHLFVFNLLASFTINPILCADLDDEFSVLGFGFDQFQGDYTPPSPPSSPPPPHPPPLSCQGGLNGIGSLDTICELNSSLILEDDVFIEGNGSLYIHPGVNLSCPLLGCSFVVNISGEFSLGSNSMIIAGLVSVNASNANLSIGSVINVTALAGAPPPQTSGTPEGVQGSGGGHGGRGASCVTDNTKLPSDVWGGDPYAWSSLDEPVSYGSKGGTTTKDEKNGGEGGGRIWLEARSSIDVGGSVLADGGDGGIKGGGGSGGSIFIRAYRMTGSGRISAVGGNGFAGGGGGRVSIKVFSRRDDTDIFAHGGRSLGCPENAGAAGTYYDAVPRKLIVSNHNLSTQTDTLLLEFPKQPLWTNVDIKNQAKTLVPLYWSRVQVRGQIRLSCGAVLSFGLAHYASSEFELMAEELLMSDSVVKIFGALRMSVKMHLMLNSKMLIDGGADALVATSLLEASNLVVLRGSSVIHSNANLGVHGQGFLNLSGPGDLIEAQHLVLSLFFSIYVGPGSLLRGPLDSGSSNLTKPQLNCELPNCPMELLHPPEDCNMNSTLTFTLQICRVEDVIVEGIVSGSVIHFHWVRAVAVHSSGVISASGLGCTGGMGRGKFFVNGLGGGGGHGGKGGDGYYDGKFIEGGVSYGDADLPCELGSGSGNDSLAGATAGGGIIVMGSLERSLSSLSLGGSLRADGESFGEDFLEQYSRTFSNIGPGGGSGGTILLFVQTLALGNSSTISTVGGHGSPSGGGGGGGGRIHFHWSDIPVGDAYLPIARVRGSIVTGGGFGRGHGLAGQNGSITGKACPRGLYGIFCEECPVGTFKNVSGSDRALCHACPSLELPHRAIYVTVRGGVSETPCPYKCISDRYHMPKCYTALEELVYTFGGPWLFSLILLGLLILLALVLSVARTKYVTADEVPAPLPARQGSRLDHSFPFLESLNEVLETNRNEESQSHVHRMYFMGPNTFGEPWHLPHSPPEQVTEIVYEDAFNRFVDEINGLAAYQWWEGSIYSILSVFAYPLAWSWLQSRRKKKLQQLREYVRSEYDHSCLRSCRSRALYEGLKVAATSDLMLAYVDFFLGGDEKRAGLPPRLHQRFPMSIIFGGNGSYMAPFSLHSDNILTSLMSQCIPPTIWYRLVAGLNAQLRLVRRGHLKLTFGHVISWLETHANPALSAYGIHVDLAWFQPTASGYCQFGLLVYAIDNESMPPALDGQDASLPPEHQSRMPRNHRENPFEQLRLIDHWMSQKRFSGGILHTKSLRMFKERKAICYPFSFIVCNGKPVGHQDLVGLVISILLLGDFSIVLLTLLQLYSISLLDFFLVLFILPLGLLFPFPAGISALFSHGPRRSAGLARIYALWNITSLINVVVAFTCGLIQYTTHSQKKHSNFQSWNFSMDESGWWVLPSGLALCKIIQSRLIDCHVANQEIQDHSLYSNDPDVFWQT >ONH97888 pep chromosome:Prunus_persica_NCBIv2:G7:19558759:19565634:1 gene:PRUPE_7G216400 transcript:ONH97888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSACVVLPLHTYNYFSVESRTGSGRISAVGGNGFAGGGGGRVSIKVFSRRDDTDIFAHGGRSLGCPENAGAAGTYYDAVPRKLIVSNHNLSTQTDTLLLEFPKQPLWTNVDIKNQAKTLVPLYWSRVQVRGQIRLSCGAVLSFGLAHYASSEFELMAEELLMSDSVVKIFGALRMSVKMHLMLNSKMLIDGGADALVATSLLEASNLVVLRGSSVIHSNANLGVHGQGFLNLSGPGDLIEAQHLVLSLFFSIYVGPGSLLRGPLDSGSSNLTKPQLNCELPNCPMELLHPPEDCNMNSTLTFTLQICRVEDVIVEGIVSGSVIHFHWVRAVAVHSSGVISASGLGCTGGMGRGKFFVNGLGGGGGHGGKGGDGYYDGKFIEGGVSYGDADLPCELGSGSGNDSLAGATAGGGIIVMGSLERSLSSLSLGGSLRADGESFGEDFLEQYSRTFSNIGPGGGSGGTILLFVQTLALGNSSTISTVGGHGSPSGGGGGGGGRIHFHWSDIPVGDAYLPIARVRGSIVTGGGFGRGHGLAGQNGSITGKACPRGLYGIFCEECPVGTFKNVSGSDRALCHACPSLELPHRAIYVTVRGGVSETPCPYKCISDRYHMPKCYTALEELVYTFGGPWLFSLILLGLLILLALVLSVARTKYVTADEVPAPLPARQGSRLDHSFPFLESLNEVLETNRNEESQSHVHRMYFMGPNTFGEPWHLPHSPPEQVTEIVYEDAFNRFVDEINGLAAYQWWEGSIYSILSVFAYPLAWSWLQSRRKKKLQQLREYVRSEYDHSCLRSCRSRALYEGLKVAATSDLMLAYVDFFLGGDEKRAGLPPRLHQRFPMSIIFGGNGSYMAPFSLHSDNILTSLMSQCIPPTIWYRLVAGLNAQLRLVRRGHLKLTFGHVISWLETHANPALSAYGIHVDLAWFQPTASGYCQFGLLVYAIDNESMPPALDGQDASLPPEHQSRMPRNHRENPFEQLRLIDHWMSQKRFSGGILHTKSLRMFKERKAICYPFSFIVCNGKPVGHQDLVGLVISILLLGDFSIVLLTLLQLYSISLLDFFLVLFILPLGLLFPFPAGISALFSHGPRRSAGLARIYALWNITSLINVVVAFTCGLIQYTTHSQKKHSNFQSWNFSMDESGWWVLPSGLALCKIIQSRLIDCHVANQEIQDHSLYSNDPDVFWQT >ONH95451 pep chromosome:Prunus_persica_NCBIv2:G7:10903896:10906922:1 gene:PRUPE_7G071500 transcript:ONH95451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGPPASNSSTSPLIQIPQWQTEQPPFMDSNTHQNLHSQEHQTHQPNSGLLRFRSAPSSLLANFNENSDLGLIGKNPIEGSESERLFSRFANYTHTNDSDSWPSSFQELDDKSTVTATEAAVTESRMSSQQQGYSGLPPHYPRQSSFNGSYGLVGSAAMNHHTQPKAVHSNLVRQSSSPAGLFSNCSVQNGFQFGTWNDSSNFAENLGGMRRDQDNDGKLFSVPQNGELENRIHLLSHHLSLPKTSAEIAMEKFLQLQDSVPCKVRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQKQFKTLSDVRANCKCLNMQKKPVSNQIV >ONH98407 pep chromosome:Prunus_persica_NCBIv2:G7:21063801:21064493:-1 gene:PRUPE_7G248200 transcript:ONH98407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLITILLLAVLFIAGLINIVFYLPTNKFLAWFQSLFQANSSTAATPVAPPTKSKDIISSNRAEDAELKQVFATFDKNSDGFITKQELKESLKNIRIFMSDAEVEEMVKKVDANGDGLIEFDEFRMLCESMHRRDQGVGGEDGNGLSREEEAEEELKEAFDVFDKDKDGLISVEELGVVLCSLGLREGNKVEDCKEMVKKVDRDGDGMVNFDEFKRMMKGGAGGRLLLAH >ONH96795 pep chromosome:Prunus_persica_NCBIv2:G7:16300784:16301378:1 gene:PRUPE_7G152700 transcript:ONH96795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVSPASTSLLSNPLQSFHATYKTTSTRLQAPAKVLAMAKQTSDDSSSSTIAEKAAIAGGLVSTPVIGWSLYTLKTTGCGLPPGPAGSIGALEGVSYLAVLGIVGWSLYTKTKTGSGLPNGPFGLLGAVEGLSYLSLLSILVVFGLQFFQQGSIPGPLPSDQCFG >ONH98552 pep chromosome:Prunus_persica_NCBIv2:G7:21370869:21375234:-1 gene:PRUPE_7G253700 transcript:ONH98552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRGRGRRRPNTNDNNKVTWSSRRASSSSSSKSNARGAPFVEGGVLSDWSSPQTSLRGKNPSSNNKSASKSGAKVASGSKSESRKSNVNAIGYQYPSVERQEGLRPGLHEGNDVGKSTDESCPLVLVDFKNTQIVAHVDQTVASSPHEVEFTYQYGSSFVLGESSHRGLGFYDELEGTASGIEASSKQMEEPEDSCFDSLSSEKDMDVNEGMDCEVGDEMAEELPTNMSPMKNSGFLSIGGMKLYTQDISDEESEEDENGDSPYEGSSGSSEPGGILGSSESEDSEDTSDSDSDIDDEVAEDYLEGIGGSDSILSSKWLVGQELDGPDKHSSLRSGFDETLQKLGGIALQDASREYGRRKVHSQKKYNVTERHAKSLAIDDLMLVKDPRTVFAKKKPVARFPQSWPSEAQRSKFSRHFPGTKKKHRKEMIAVKRRERMLRRGLDLEQINLKIEQIVLDGVDMFSFQPMHSRDCAQVQRLAAIYRLRSSCQGSGKKRFVTVMRTQHTGMPSASDRLRLEKLIGVDMEDADFSVVEPHGDKSRSKKIGKGIYLKPPESKHYTQIKTPKIAAKRGSGRAYEQKLGGKMDSYANQPVSFVSSGLMQSATESTTVDSIDPSSKNKDVVEAAEFHSFEVHTKGFGSKMLAKMGFIEGGGLGKDGQGMAAPIEVIQRPKSLGLGVEFSNTVDLPVNNTPVKSYPVKNKSQSQRVSQPQRVGSFEKHTKGFGSKMMAKMGFVEGMGLGKDSQGIVNPLAAVRLPKSRGLGASG >ONH98551 pep chromosome:Prunus_persica_NCBIv2:G7:21370461:21376350:-1 gene:PRUPE_7G253700 transcript:ONH98551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRGRGRRRPNTNDNNKVTWSSRRASSSSSSKSNARGAPFVEGGVLSDWSSPQTSLRGKNPSSNNKSASKSGAKVASGSKSESRKSNVNAIGYQYPSVERQEGLRPGLHEGNDVGKSESSHRGLGFYDELEGTASGIEASSKQMEEPEDSCFDSLSSEKDMDVNEGMDCEVGDEMAEELPTNMSPMKNSGFLSIGGMKLYTQDISDEESEEDENGDSPYEGSSGSSEPGGILGSSESEDSEDTSDSDSDIDDEVAEDYLEGIGGSDSILSSKWLVGQELDGPDKHSSLRSGFDETLQKLGGIALQDASREYGRRKVHSQKKYNVTERHAKSLAIDDLMLVKDPRTVFAKKKPVARFPQSWPSEAQRSKFSRHFPGTKKKHRKEMIAVKRRERMLRRGLDLEQINLKIEQIVLDGVDMFSFQPMHSRDCAQVQRLAAIYRLRSSCQGSGKKRFVTVMRTQHTGMPSASDRLRLEKLIGVDMEDADFSVVEPHGDKSRSKKIGKGIYLKPPESKHYTQIKTPKIAAKRGSGRAYEQKLGGKMDSYANQPVSFVSSGLMQSATESTTVDSIDPSSKNKDVVEAAEFHSFEVHTKGFGSKMLAKMGFIEGGGLGKDGQGMAAPIEVIQRPKSLGLGVEFSNTVDLPVNNTPVKSYPVKNKSQSQRVSQPQRVGSFEKHTKGFGSKMMAKMGFVEGMGLGKDSQGIVNPLAAVRLPKSRGLGASG >ONH96912 pep chromosome:Prunus_persica_NCBIv2:G7:16628001:16632567:-1 gene:PRUPE_7G159100 transcript:ONH96912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKELRNAENLRKWRFTWEAQSHIPTLRLFLFDSYTKPSIKCEKLSVLIRPSESLVLVSWTEDAQVSLSVPMPRVLVDADSPVSFSALDDHIEVKLVLLLPVDHPIVLSFDSILSLNEEKEIAFEDASKPLPLASEVKRLSSSGGVHFYCRNCSFKLTASPLSHFVEMPSVNWREVADNWFGACCCSFGGISEKLVARYANSYACAKGVCLLNSTNITLCKEDLVGFEFPDWGEHPRYDSESDGSGENGFSESKLNSGSNLACNEIPRFAEVRDTYFAEDFKCEVTKDESNSEGTPHRCSESEYSVKMASTPGCCNYTGSHVQNYDEEGCRLHLSEISLEDPKPAKSIEILKNHKSFLNGFLENIFMVRSSNISVDVEWIEFFCPQCSSLLGAYPCDDGNALVDGGVRLFKCNVSTSLPVGGPTNLFRKYTLEKMFANQLLECAKDELSFRTVVRDLKTKSPILQIVLINTNFWSCTGDCLAKEGKEEPVPKIDLHPVVKVLFSQFSSSTDSQIRRFGNKGCS >ONH96911 pep chromosome:Prunus_persica_NCBIv2:G7:16629581:16632284:-1 gene:PRUPE_7G159100 transcript:ONH96911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKELRNAENLRKWRFTWEAQSHIPTLRLFLFDSYTKPSIKCEKLSVLIRPSESLVLVSWTEDAQVSLSVPMPRVLVDADSPVSFSALDDHIEVKLVLLLPVDHPIVLSFDSILSLNEEKEIAFEDASKPLPLASEVKRLSSSGGVHFYCRNCSFKLTASPLSHFVEMPSVNWREVADNWFGACCCSFGGISEKLVARYANSYACAKGVCLLNSTNITLCKEDLVGFEFPDWGEHPRYDSESDGSGENGFSESKLNSGSNLACNEIPRFAEVRDTYFAEDFKCEVTKDESNSEGTPHRCSESEYSVKMASTPGCCNYTGSHVQNYDEEGCRLHLSEISLEDPKPAKSIEILKNHKSFLNGFLENIFMVRSSNISVDVEWIEFFCPQCSSLLGAYPCDDGNALVDGGVRLFKCNVSTSLPVGGPTNLFRKYTLEKMFANQLLECAKDELSFRTVVRDLKTKSPILQIVLINTNFWSCTGDCLAKEGKEEPVPKIDLHPVVKVLFSQFSSSTDSQIRMLEDSVTKDAADEVFMLTHQIEELIESLSARKDTLPPSCSSLQGLSLSSMLR >ONH97645 pep chromosome:Prunus_persica_NCBIv2:G7:18861580:18866249:-1 gene:PRUPE_7G203300 transcript:ONH97645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARPKKLGDDSLSQPEVSDSTNTPASANNKQQAAIKSLTFQLKDMALKASGAYRHCAPCTGPAAQSRLKGTGESDADSDRFRWSYRRTGSSSSTTPRTWGKEMEARLKGISSGEGTPNSASGRRVDPVVFVEEREPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREIFNKWQAQRWWAENYDRVMELYNVQRFNRQAFPLPTPPRSEDESSKMESAEASPVTPPLTRERLPRNLYRPTGMAMSYSSSDSLDHHPMQSRHYYDSGGINSTPKLSSISGTKTETSSMDASIRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIRALPGGKRELRRVRFSREKFGEMHARLWWEENRARIHEQYL >ONH97646 pep chromosome:Prunus_persica_NCBIv2:G7:18862037:18865579:-1 gene:PRUPE_7G203300 transcript:ONH97646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARPKKLGDDSLSQPEVSDSTNTPASANNKQQAAIKSLTFQLKDMALKASGAYRHCAPCTGPAAQSRLKGTGESDADSDRFRWSYRRTGSSSSTTPRTWGKEMEARLKGISSGEGTPNSASGRRVDPVVFVEEREPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREIFNKWQAQRWWAENYDRVMELYNVQRFNRQAFPLPTPPRSEDEVADLNFSVTDRSDANSNSALSSKMESAEASPVTPPLTRERLPRNLYRPTGMAMSYSSSDSLDHHPMQSRHYYDSGGINSTPKLSSISGTKTETSSMDASIRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIRALPGGKRELRRVRFSREKFGEMHARLWWEENRARIHEQYL >ONH98557 pep chromosome:Prunus_persica_NCBIv2:G7:21391564:21392291:1 gene:PRUPE_7G254200 transcript:ONH98557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAGVAGMMFQCVFEGSLSMRDTEIERRPYHKNCSCALHKSKGGACSNACQRNISFPKKQSWTDGSLCMQAAVSSKFSSPLVVLWTKCRH >ONH96955 pep chromosome:Prunus_persica_NCBIv2:G7:16768565:16771825:1 gene:PRUPE_7G162000 transcript:ONH96955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLRFISPHFSRIHKTLNPQSPPLSSLQSKPQISSHFVCNYTTAAPEQRPPPSETVSAIADEISGLTLLEVSDLTEVLREKLGIKEMPVMAMMMPGMGFGGLKGAGKGGPAAAKGEEKVEKTAFDVKLDSFDAAAKIKVIKEVRTFTSLGLKEAKDLVEKAPTLLKKGVTKEEADSIVAKMKEVGAKVSME >ONH98216 pep chromosome:Prunus_persica_NCBIv2:G7:20516300:20518754:1 gene:PRUPE_7G236200 transcript:ONH98216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLSLHHIRLPFSSDNPILHNKPTTSTAIAAAPITTATKTSRTSSPIEPHPCLALLDKCSTMSELKQIHAQLLRTSLFFDAFTASKVVAFSSLEGSGSLHYARLVLTQIPNPTTYTCNSVIRGYTNKDLPCEAIFFYQEMIIQGWVPDRFTFPSLFKSCGDLWEGKQLHCHSTKLGFASDSYIQNTLMNMYSNCGCLISARNVFDKMLEKSVVSWATMIDAYAQWDQPIEALKLFDKMESGSVDPNEVTLVNVLTACAKARDLKMAKRVHQYIEEYGFGNHLKLNTALMDVYCKCGCVLLARDLFDKMPEKNLFSWNIMINGHVEDSNYDEAFVLFREMQLKGEKGDKVTMVSLLLACSHLGALELGKWLHAYIEKEKIEVDVTLGTTLVDMYAKCGSIDGASEVFRKLLEKDVMTWTALISGFASCGQGKKALEHFHEMQTSGVKPDAITFVGVLAACSHAGLVDEGISHFNSMHEVYGIQPSIEHYGCMVDILGRAGRIAEAEELIRKMQMPPDRFVLGGLLGACRVHGNLEAAERAAQQLLELDPDDDGAYVLLSNLYSSMKKWEEAKRIRELMAERNVKKAPGCSLIEVDGIVHEFVKGDSSHPQSTHIYEMLQDMIERLKKAGYVPEKSEVLLDIDEEEKETALSLHSEKLAIAFGLISTNPGTTIRVVKNLRVCSDCHTATKIISKVYNREIIVRDRNRFHRFQDGSCSCKDFW >ONH94496 pep chromosome:Prunus_persica_NCBIv2:G7:2852023:2856054:-1 gene:PRUPE_7G019100 transcript:ONH94496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVDQHHGFRPFSRSQRCRLQSYTQLDYSILAHSLTHAFGDANFHKSFSTPCLSLTTALEEDFDTNPRIEIVGGSRIPRVHALVVEVAIAMASGADLLPVSSGLGGAYYLCSQNGDSIAVAKPIDEEPLALNNPKGFGGRMLGQPGLKRSVRIGESGVRELAAYLLDHGGFAGVPPTALVKISHVAFQINNNAAGISATPYKIASLQRFVDHDYDAGELGSSGFSVASVHRIGIFDVRLLNLDRHAGNMLVKKYELHNYAVGVAELVPIDHGLCLPEWLDDPYFEWLHWPQASVPFSEFEIEYISNLDPYKDAELLRTHIPYLREAAIRVLVLCTIFLKQAAAAGLCLADIGEMMTRQFCAGEESLSALENLCLQAKAAMPTTVDETKGKIGEVEMFKLENESEEGLKENLDLPQLLGSRSGMSKPPIMSRFSSAGSITGLTDASLSPLFEENDHDNDDNDRKTMIGNNGSDDDGSTSDSRKVGVLMKSMSFSVPTHNCEAGAISFGDMSGDEWELFLDSFEKLLPEVLEGTKSLSLKQKLGTTTSCKF >ONH95308 pep chromosome:Prunus_persica_NCBIv2:G7:10169674:10172087:1 gene:PRUPE_7G062800 transcript:ONH95308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEDIGELYFKDLWARSFFQNVIDCHAFYIFDMHHLIHDLVQSIAQGECFTVKSANTKNISENVRHLTFLEAGQNISTTLQKLNKVRTIEAVQIEIDESFPCTCFSRFKYLRVVELLRCSLQVLPSSIGSLKHLRYLNLCYNEAIMKLPNAICRLQSLQTLNLGYCENLQELPRDISKLINLTSLFLTTKQTSFTKNGVGCLKSLRFLNIDECNHLTSLPCEKSYLTSLRTLLIGNCEQLDLGNVIYQGTPLRLQKLSIINLSRMVALPEWFQGAANTLQVLVIGMCENLEELPDWLASFISLTKLVIDQCQKLLYLPEGMRSLTSLKKLVVDDCPTYEMKLNLYNCTHAKIISEIVNERDDADFDPEPDPESGSSFDDPDSDPDSDDDDLDPDSEDLLRG >ONH94513 pep chromosome:Prunus_persica_NCBIv2:G7:3003900:3006848:-1 gene:PRUPE_7G020200 transcript:ONH94513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKGITPDQITYNTLIKCFCKARDFKKAFQLHNQMLIHNLEPTPVTYNVLINGLCVYGNLMDADRLLVSLCDCNINLTKVAYTTLIKAHCAKGDVYRAVGLFHQMVEKGFEISIRDYSAVINRLCKRCLITAAKYFFCMMLSDGICPDQELCEVMLNTFRRRRDLNSVADLLAEMFKLGFLHD >ONH96489 pep chromosome:Prunus_persica_NCBIv2:G7:15104699:15105451:-1 gene:PRUPE_7G132200 transcript:ONH96489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATQPNLLLCFFLLFLYLGPFVSAQIEETSLKLVAEALEWPSSTMLPLYDELDEEAEEDGGEDMELDIEHGYARRSLFWRRMKYYISYGALSANRIPCPPRSGRSYYTHNCFKARGPVRPYTRGCSRIARCRR >ONH98565 pep chromosome:Prunus_persica_NCBIv2:G7:21411531:21412151:-1 gene:PRUPE_7G254800 transcript:ONH98565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASNNEHKPTLIMNHTSPIYEYERYEGEDLDDYHQDQDASSSSSSSPPCGVGDCFGLFGFTSCTWRQNHDNDYERKYLLQQEGGWHKETTWWWRKKLTKAKESTQVLDGSKWKTFIRKIAGYCKTMKQKQNNRLSKKQKQKNTRFQYDFHSYALNFDGGVAREGNDAALDFAARFAAPLSNEHGSIGRPVHETTLESASIESKR >ONH96307 pep chromosome:Prunus_persica_NCBIv2:G7:14366297:14366707:-1 gene:PRUPE_7G119900 transcript:ONH96307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKVESTTTVTNATTTIISESEANPNMKLCSVLLTGFNYIPWSRAVTLALGGKSKLEYINGKIPAPDDGDPKFEEWLSKDQLVMSWILNSMGPQVAKMFSYSDSSQNLWESLKEMYEQQDNAARIFELKREIAEA >ONH94818 pep chromosome:Prunus_persica_NCBIv2:G7:5975918:5983908:1 gene:PRUPE_7G032400 transcript:ONH94818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATAHISNGDHEQPPTKPAGLTASSSFRARRKTSTSAGISRAGSEVDDIITLMHGSDPVRVELNRLENDLRDKDRELGDAVGEIKSLRNSERLKEKAVEELTDELNRVDEKLKATEALLESKNLEIKKINDEKKAALAAQFAAEATLRRVHAAQKDDEMPPIEAIIAPLEAELKLARQEVAKLQDDNRALDRLTKSKEAALVEAERTVQIAMVKASLVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEEKKLLEREVARAKVSANRVATVVANEWKDSSDKVMPVKQWLDERRFFQGEMQQLRDKLAVAERTAKAEAQLKEKYQLRFKVLEEKFKASNGKFRPSSDARIISNGPSRRQSLGGAENFSRASSNGYLSRTKSNLQSGFNLSNTATAILKQAKSSSRSFDGGSRLLDRDKLLPDATGKDNTNSASDQTQMDETIGRHEERANGSLAEQSRTEHEDYVSGVLYDMLQKEVVSLRKACHEKDQTLKDKDDAIEMLAKKVDTLNKAMEVEAKKMRREVAAMEKEVSAMRVTKEPDQRARRSSAPRGVVNSSQTLSSRNAHKSR >ONH95212 pep chromosome:Prunus_persica_NCBIv2:G7:9681366:9682927:1 gene:PRUPE_7G057500 transcript:ONH95212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLAAWVRCDLYQTDDGFYYYGAVRDDHNAHLNITHPYYHTPLSFAHINPPDPSIFDFGIFLYALQSNTTRSTSIPRKMFHSFRWGLRNLSSFGSNLRLVFMGWKSFLRFWYL >ONH94400 pep chromosome:Prunus_persica_NCBIv2:G7:2055776:2059579:-1 gene:PRUPE_7G014300 transcript:ONH94400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHCLVILLYVSSPNWVAFLSATSTSDTEAKALLKWKASLFQNQALNNLTWYDPPTHNINATNSSSSNPKPRTSPCTWTGVSCNSAGSVRMIDLSTCGIKGTLHEFSFLSFPNLEYLDLHMNKLFDTIPPQISNLSKLSNLDLSNNQFSGRIPPEISLLRNLTYLYLYGNKLSGLIPKEIGNLKSLVHLQFLDNNLTGLIPPNIGNLIKLHTLYLQNNQLSGLIPKEIWNLKYLVILDLSTNQLKDSIPTSFANLSNLETLSLSNNQLFGLIPKEIGNLKSLVFLDLSINQLKDSIPTSFANLSNLETLSLSDNQLSGLIPKEIGNLKSLVLLDLSINQLKDSIPTSFANLRLLDYLSLSANQLSGSIPQELENLKNLTSLQLSRNQFSGYLPQNIFQGGKLTYFAAGKNYLTGPIPKSLKNCTSLVRVRLEQNQFTGNISEEFGIYPNLDFIDVSHNNLYGEISHNWQKCPKLTALRLAGNNLTRSIPPEIGNATQIHELDLSSNHLVGLIPKEFGRLSLLVKLMLNGNQLSGRIPLEFGSLNDLEYLDLSANKLNESIPSILVDLFRLHYLNLSNNKLAQAIPFKLGKLVQLNDLDLSHNSLEGKIPSEMGSMQSLGTLDLSHNNLSGSIPSSFEEMHGLSYVDISYNQLEGPLPNISAFRKALPERLKGNKGLCGKVGVLLPPCNAHAYGSKKDHKLIFSLLAVFVLVFAFFTIIFVIVQRKKKHQDTKQDHMHGEISFSVLNFDGKSMYEEIIRATEDFDSTYCIGKGGHGSVYRVNLSSGDVVAVKKLHLLWDGETEFQKEFLNEIRALSEIRHRNIVKLYGFCTHKRHSFLVYEYLERGSLAAMLSKDKEATELGWSKRVNIVKGLAHALSYMHHDCLPPIVHRDISSKNILLDSEYEACVSDFGTAKFLNPDSTNWTTTAGTYGYMAPELAYTTDVNEKSDVYSFGVVTLEIIMGSHPGDVLSSLSSGASSSSSSASPAPEMPISEVLDQRISPPTKQEAGEVVSLVKIAFASLNPSSQCRPTMKKVSQLLSSTQRLHLSKPLHMTTCGELLALDGFTA >ONH96627 pep chromosome:Prunus_persica_NCBIv2:G7:15719018:15722527:-1 gene:PRUPE_7G142200 transcript:ONH96627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKEEKHFVLVHGACHGSWCWYKIKPRLESAGHRVTALDLAASGINTKAIQDVHSLAEYSEPLLEFIASLGPEEKVILVGHSLGGMSLALAMENFPQKISVAVFLTAFVPDTTHQPSHVLDQYNQNTPAEAWLDTQFTNYGSAEEPLVSMHFGPEFLAKLYQLSPIEDLELAKSLVRVGSLFLQDLSKMKKFSNVGYGRVPRVYVVCSEDKGIPEEFQRWMIENSGVTNVVEIKGADHMPMFSKTQEVCNALVDIANKYTSDSTMLGSG >ONH96628 pep chromosome:Prunus_persica_NCBIv2:G7:15719093:15722416:-1 gene:PRUPE_7G142200 transcript:ONH96628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKEEKHFVLVHGACHGSWCWYKIKPRLESAGHRVTALDLAASGINTKAIQDVHSLAEYSEPLLEFIASLGPEEKVILVGHSLGGMSLALAMENFPQKISVAVFLTAFVPDTTHQPSHVLDQYNQNTPAEAWLDTQFTNYGSAEEPLVSMHFGPEFLAKLYQLSPIEVFKFHERLTCNFHCIAHTGM >ONH96616 pep chromosome:Prunus_persica_NCBIv2:G7:15664621:15666498:-1 gene:PRUPE_7G141200 transcript:ONH96616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRRKMEHMKNKSLLFCLLLICLAKICTSSPSPPPPNYPQNRTQTSPSGKKHFVLIHGAGLGAWSWYKVATLLKDSGHNVTALDLGASGINPIQIQQLRSISQYVEPLTKLMVSLPPKERIILVGHSMNGAVISIFMERFPEKIAAAVYVTAFMSGPTLNYSTILTEVNKRLDYLDTQYGYDNGTNNPPTSFLVGPKALALKFYQLSSAQDKTLVFSLIRFSPLFNYDVIKLTKEKYGSVRRVFVVSGQDRAIVLDVQNYIIRNNPPDEVKVISDSDHVVMISRPLKLFFHLQNIAEKYS >ONH94864 pep chromosome:Prunus_persica_NCBIv2:G7:6651713:6653256:1 gene:PRUPE_7G036300 transcript:ONH94864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTLIFNVLSFGAKPNGVIDSTQAFLDVWTAACASSDSTTIRVPQGRYLLRNAMVFKGDNCKSTDITFRIDGTLIASSNYRVLGQANNWLSFEGVTGVSIIGGALDAKGTSLWACKLAGSTDCPNGGATSLSFTNSKNININGLMSLNSQMFHIVINGCQDVRIQGVKVNAAGNSPNTDGIHVQLSRNVAIFNTSIKTGDDCVSIGPGTKDLWIEQITCGPGHGISIGSLAKDLEEEGVQNVTVKNALFKGTQNGLRIKSWARPSNGFVQGVQFLDVVMFNVQNPIVIDQNYCPHNINCPAQVSGVKVSDVVYRNIQGTSATAIAIKFDCSSTNPCSGIRLENVSLTCRNQEVLSLCANANGKIVGTVQPNNCL >ONH94443 pep chromosome:Prunus_persica_NCBIv2:G7:2482823:2483774:1 gene:PRUPE_7G017100 transcript:ONH94443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSWPSSLMLLLLSCKMVLKRSGWEKLFIPGVEARSYYIFQFLERIKANGSSSAAPTYLERICISYSPNATFELFL >ONH97064 pep chromosome:Prunus_persica_NCBIv2:G7:17086545:17092921:-1 gene:PRUPE_7G167800 transcript:ONH97064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAEIDTRAPFKSVKEAVLLFGERVLVGEIYANKLKEIGAAGHAASAQSTIGVLEAELEETKRNLQKVREENKIMAYCIKSLRDDLDRAKKELHKYLKAREFDQKDPVDPEIEEDDLKFIEDGAAKFETKTMLSQDAEELVQKKRYVKFASPPTLAQVIGGISEREDKVSMELTEEILQSMEVGLAFRDYSGRISSLDFHKATSYVVTASDDESIRLYDVSTATCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLCSRKDCFISGSLDRTVLLWDQRAEKCQGLLRVQGRPATTYDDQGLVFAVAFGGYIRMFDARKYEKGPFDIFSVGGDMSDANVVKFSNDGRLVLLTTTDGHIHVLDSFRGTLLSTYNVKPVSSSSTLEASFSPEGMFVISGSGDGSVYAWSVRSGKEVTSWMSTENEPPVVKWAPGNLMFATGSSELSFWIPDLSKLGAYVGRK >ONH96416 pep chromosome:Prunus_persica_NCBIv2:G7:14858205:14860118:1 gene:PRUPE_7G127900 transcript:ONH96416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKKTNSDLPDSNQRPKDNRWILQLQSSALPTELRSALLGCTHTLAQNPCPPFFGFITQGHESMAFSFFFFVNCQYTPNAPSNKNGKLT >ONH97402 pep chromosome:Prunus_persica_NCBIv2:G7:18114248:18115884:-1 gene:PRUPE_7G188200 transcript:ONH97402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHEEQDISSPMPILRRLDRLDRLLQFLEHKHCLSASHSSSPACKTMEPVLEEDQRKTLSSAIEEAHQKGTLMERVAVLENRVLQLSHETDVENASRSSYSTILVSEDKQDPYTTQECSSKACVRKPQGSKKGRKRRNNLVSIIQLRERFRWARMGC >ONH97369 pep chromosome:Prunus_persica_NCBIv2:G7:17997535:18000948:-1 gene:PRUPE_7G186000 transcript:ONH97369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGSVLVAIAATIGNLLQGWDNATIAGAVLYIKREFKLETQPTIEGLIVAMSLIGATVITTFSGPVSDSLGRRPMLIISSVLYFLSGLVMLWAPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFSMSLMEAPNWRLMLGVLSIPSLLYFALTVFYLPESPRWLVSKGRMAEAKQVLQRLRGREDVSGELALLFEGLGVGGEASLEEYIIGPASEGPDGREMTTEKDQIRLYGPEEGRASMIAKSVTGQANLGLVSRQGSLLNPNVPYVDPLVTLFGSVHEKLYPENTGSMRSLLTLPNMGSILNMAPPESQDKFEHWDLEGDGNAAGNDVEDNVRRPLLKGRQSASMVNDMLPRKSSSFFGMRRNSSLMPGAGGGEAASSMDIGGGWQLAYKYSEKVGKDGKKEGEYQRVYLHQEGALESRRGSMLSVAGGPDVPQDGEYFQASALVSQPSVLSKKNQLSQHLGQEKVDTPETVQGSSWSDLLEPGVKRALFVGVTIQILQQFSGINGVLYYTPQILEQAGVAILFSNLGLSSTSASILISALTTLLMLPSIGIAMRLMDTSGRRSLLLTTLPVLTGTLLVLIFGQLVHMGSVVNATISTISVVVYFCCFVMGFGPIPNILCSEIFPTRVRGLCIAICALTFWIGDIIVTYTLPIMLTSIGLAGVFAIYAVVCTISWVFVFLKVPETKGMPLEVISEFFSVGAKQADIAESLT >ONH94405 pep chromosome:Prunus_persica_NCBIv2:G7:2130128:2130450:1 gene:PRUPE_7G014700 transcript:ONH94405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKRYKISQQGFLNSKATDPTKKRKMHPIPYALHLLTKHIFKYPTHKTQKIAIITHLPRTPPFFFPL >ONH97608 pep chromosome:Prunus_persica_NCBIv2:G7:18731979:18732709:-1 gene:PRUPE_7G200600 transcript:ONH97608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVAGMLLVSYGHLFISNLDWVLRFKLNAPLTKYNRKTFYTQEPVVGYIQYKLPFSEQSNWERGTTLLVLKLFLFFVDIVLPGLKK >ONH94975 pep chromosome:Prunus_persica_NCBIv2:G7:7959082:7967124:-1 gene:PRUPE_7G043400 transcript:ONH94975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEGGPWLTTVNNHVGRQHWEFDPDAGTREEREEVERVRENFKKNRKENHCGPIPAPVKVEETEEITEKAMTTTLRRALSFLSSIQTHDGHWAVESSGPSFFLPSMIIALYVTGSLNAVLGQQHKKEMIRYLYNHQNEDGGWGLHIEGQSTLFGSTQNYITLRLLGEGPDDGEDRAMARARKWILDHGGAEGIPSWGKFFLSVLGVYEYSGCNPTPPELWLLPKTSPIHPGKMLVFARTVCMPMSYLYGKKSVGPITGLVRSLRQELYTMPYHEINWAKARNKVAKEDQCYSIPLVQDILWGFLHHVAEPILSRWPFSSLREKALKAAIEHIHYEDENSRYFCAGNVPKALSMLACWFEDPNSEAYKLHLARIPDFFWSFGSQTWDAALAIQAILSCNLNEEFGPTLRKAHDFIKASQVKDNPSGNFTAMYRHTSKGGWTFSVQDNGWQVSDCTAEGLKAALLLSQMSPDLVGEKMETECFYNAVNVILSLQSTNGGFSAWEPQSAPRWVEKFNPIEFFEDSLIEREYLECTSSAVQALVLFRKLHPKHRSKEIDSCISRGIRYIEDAQNPDGSWLGCWGICYTYGTWFATEALIACGKNHHNSPTIMRKACEFLLSKQLINGGWGESYLSSQTRVYTNREGNRAHLVQTAWALLALINAGQADIDPTPIHRGMRLLINSQMEDGDFPEQEITGLFMRNCVLHYAIYRNIFPVWALGEYRRHVLLQKS >ONH97144 pep chromosome:Prunus_persica_NCBIv2:G7:17321223:17322186:-1 gene:PRUPE_7G172000 transcript:ONH97144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSKVYELCDCSHSHERLKKNKQLQTVEIKVRMDCEGCERIVKKSVQGMKGVTQVEVDRKLSKLTVIGYVDPNKVLHRVRHRTGKKADLWPYVPYDVVPHPYAPEAYDRKAPPGYVRNVLEDPVASAIARASSTEVKYTTAFSDDNPNACVVM >ONH97724 pep chromosome:Prunus_persica_NCBIv2:G7:19058460:19061752:-1 gene:PRUPE_7G207000 transcript:ONH97724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSAKSNFMPASLVSNLQQVLALKNDGVQQQRSSNASELNKVSSSCCSSSEDAIQGKECVKPVVLVTNGEGIESPGLTFLVQALALDDRLDVCVCAPQLDRSVAGHSVTVRETISVSSSQINGATAFEVSGTPADCVSLALSGALFAWSKPALVVSGINRGSSSGNNTFYSGAVAGAREALICGVSSLCISLNWKKDVSCESDMKDAVGVSLPLIYAAVKSIQEGVFPKSCLLNIEIPSSPLTNKGFKVTRQSLWRSSLSWKAVSTNKRPSAPHFMSNQQSLGIQLAQLSRDASAAGAARRLNSQKQNVEIESVGAARKSNSEKTVKCFRLEFLEEEQENVDEDLDIRALEDGFVAITPILISPPTIGSEIQASVSNWIAGALAKNPLAFR >ONH94778 pep chromosome:Prunus_persica_NCBIv2:G7:5481322:5483647:1 gene:PRUPE_7G029800 transcript:ONH94778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRQGMFVIPFMTRLGITNSWGGWSITGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFIDERTGRPSLDLPKIFGIHLFLSGVACFGFGAFHVTGLYGPGIWVSDPYGLTGRVQSVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPTQCLYKGLPFVVPELCGMVQQLPRLNIWSNSLSMESRILPTRNISQNGCWGGGGWGLFRAGSIDNGDGIAVGWLGHPIFRDKKGRKLFVRRMPTVFETFPIVLVGGDGIVRADVPFRRAESKYSVEQEGVIVEFYGGELKGVSYSDPTSVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGAITLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVV >ONH97113 pep chromosome:Prunus_persica_NCBIv2:G7:17245178:17253219:1 gene:PRUPE_7G170500 transcript:ONH97113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVETLASGFQFVLVFVAVCLCKEAVGSGYVRYKTGGGVVEGKLNVHLVAHSHDDVGWLKTIDQYYVGSNNSIQGACVENTLDSVIESLVRDPNRKFVFAEMAFFQRWWSTQRAGIQEIVRKLVDAGQLEFVNGGWCMHDEATVHYIDMIDQTTLGHRAIKQQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKGDKSLEVIWRGSRTFGSSSQIFANAFPVHYSPPPGFHFEVNDDFIPVQDNPLLFDYNVEKRVSDFINASITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDSRVNALYSTPSIYTDAKNAANQSWPLKTDDYFPYADTADAYWTGFFTSRPGLKRYVRSLSGYYLAARELEFLIGKRANGSNTYILGDALGIAQHHDAVTGTAKQHTTNDYAKRLSIGAFEAEAVVSAALSCLTKSKSGDQCKEPTSTFSQCQLLNISYCPPTEKDIPEGKSLVVVAYNPLGWNRTEIVRIPVNDATLSVRDSSGNILEVQYVNLDNVTADLRNFYTKAYLGQSSKQVPKYWLIFQASVPPLGWNTYFISRGATKRRWKNGNRFLSVMDSPQNETIEVGPGDLKMSFSFASGQLKRMYNSKTGVDVPIQQSYLWYGSSKGDINSQQRSGAYIFRPNGSPATIVSRSVPLKVFRGPLVDEIHQQFNSWIYQVTRLYKDKEHAEVEYTIGPIPTEDGVGKEVITRMTANMETNKVFYTDSNGRDFIKRVRDYRPDWPLSVNQPVAGNYYPLNLGIYTLDKKSEFSVLVDRATGGSSIEDGEVELMLHRRTLYDDSRGVGEALDERVCAGETCEGLTVRGNYYMCVNQVGAGAPWRRTTGQEVYSPLLLAFTHEKLEDWTASHLTKASTMDLNYSLPLNVALITLQELDDGSVLLRLAHLYEAAEDPQYSTLAKVELKKMFTGKMIKEVKEVSLSANQEKSEMKKMTWKVEGDKGDKPTPIRGGAVSSSTLVVELGPMEIRTFLLKF >ONH97114 pep chromosome:Prunus_persica_NCBIv2:G7:17245179:17253219:1 gene:PRUPE_7G170500 transcript:ONH97114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDEATVHYIDMIDQTTLGHRAIKQQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKGDKSLEVIWRGSRTFGSSSQIFANAFPVHYSPPPGFHFEVNDDFIPVQDNPLLFDYNVEKRVSDFINASITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDSRVNALYSTPSIYTDAKNAANQSWPLKTDDYFPYADTADAYWTGFFTSRPGLKRYVRSLSGYYLAARELEFLIGKRANGSNTYILGDALGIAQHHDAVTGTAKQHTTNDYAKRLSIGAFEAEAVVSAALSCLTKSKSGDQCKEPTSTFSQCQLLNISYCPPTEKDIPEGKSLVVVAYNPLGWNRTEIVRIPVNDATLSVRDSSGNILEVQYVNLDNVTADLRNFYTKAYLGQSSKQVPKYWLIFQASVPPLGWNTYFISRGATKRRWKNGNRFLSVMDSPQNETIEVGPGDLKMSFSFASGQLKRMYNSKTGVDVPIQQSYLWYGSSKGDINSQQRSGAYIFRPNGSPATIVSRSVPLKVFRGPLVDEIHQQFNSWIYQVTRLYKDKEHAEVEYTIGPIPTEDGVGKEVITRMTANMETNKVFYTDSNGRDFIKRVRDYRPDWPLSVNQPVAGNYYPLNLGIYTLDKKSEFSVLVDRATGGSSIEDGEVELMLHRRTLYDDSRGVGEALDERVCAGETCEGLTVRGNYYMCVNQVGAGAPWRRTTGQEVYSPLLLAFTHEKLEDWTASHLTKASTMDLNYSLPLNVALITLQELDDGSVLLRLAHLYEAAEDPQYSTLAKVELKKMFTGKMIKEVKEVSLSANQEKSEMKKMTWKVEGDKGDKPTPIRGGAVSSSTLVVELGPMEIRTFLLKF >ONH97951 pep chromosome:Prunus_persica_NCBIv2:G7:19753111:19756286:-1 gene:PRUPE_7G220600 transcript:ONH97951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTEDEQLERVNSLPDLELASTSSSPSSILTTEDSRSTTSSGEVSRTTTSSSGEIPALLVPVLVDRTLLTGDELRVAVSRPKCVGRNNKGVTWGFTSVIGRRREMEDAVALIPGFMSRTCDHVGGCTAPGSKTSSEISPVHFFGVYDGHGGNQVANFCAERMHEVIAQEWDRETVDGYEWQRKWETAFSIGFEKADNELLEEAIAPEMVGSTAVVAILSGCQIITSNCGDSRAVLCRGTETIPLTVDQKPDREDELLRIEGGGGKVINWNGARVFGVLAMSRAIGDRYLRPWIIPVPEISFMTRTDEDECLILASDGLWDVMTNEEVGEVARHLLRRRRRSISSLTDDIPPAQAVADHLTEIAYGRNSSDNISIIVVDLKAKRKRQQRQ >ONH96686 pep chromosome:Prunus_persica_NCBIv2:G7:15905681:15908287:1 gene:PRUPE_7G145800 transcript:ONH96686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMEYLALKSSDPDTNELINSDINDLKIAAKKLINDATKLGGLGFGTSFLKWVASFAAIYLLILDRTNWRTNMLTSLLIPYIFFSLPSVLFNPLRGEVGKWIAFIAVVLRLFFPRHFPDWLQMPGSLILLLVVAPNFFAHTLKDSWVGVLICLLIGCYLLQEHIRASGGFRNSFTQSHGISNTLGIILLLVYPVWALVLHFI >ONH96685 pep chromosome:Prunus_persica_NCBIv2:G7:15905523:15908287:1 gene:PRUPE_7G145800 transcript:ONH96685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMEYLALKSSDPDTNELINSDINDLKIAAKKLINDATKLGGLGFGTSFLKWVASFAAIYLLILDRTNWRTNMLTSLLIPYIFFSLPSVLFNPLRGEVGKWIAFIAVVLRLFFPRHFPDWLQMPGSLILLLVVAPNFFAHTLKDSWVGVLICLLIGCYLLQEHIRASGGFRNSFTQSHGISNTLGIILLLVYPVWALVLHFI >ONH96688 pep chromosome:Prunus_persica_NCBIv2:G7:15915416:15917960:1 gene:PRUPE_7G146000 transcript:ONH96688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELPGSLGTSASLALRFGQTIFSTASLLFMCLDIQFYSYTAFCYLVTVMGLVVPWGMTLVIADAYSVFVKCLPRQPRILIIIILGDLALSYLSLAAACSTASTTNLLLDSDESYCPAKLCTRYQLSAAMAFLSWFLSLGSALFNLWLLPSL >ONH97420 pep chromosome:Prunus_persica_NCBIv2:G7:18151423:18153866:1 gene:PRUPE_7G189100 transcript:ONH97420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLCVSQSSLVLRPKIGALHNLNTSISPAQRLQHNNLSYRGFGASSGNALLLRHASIQKLGVVSDTKSSTIFTVDSGIKDIGIEPATGGGGGGGDFGGRGGGGGGGGGDNSDDKGEGEEGPEEKREKKGMSMSQKLTLGYAALVGVGGLMGFLKSGSQKSLLAGGLSAALLFYVSTELPVRPVFASSIGLGLSAALLGVMGSRFKKSGKVFPAGVVSLVSLVMTGGYLHGILRGMH >ONH97884 pep chromosome:Prunus_persica_NCBIv2:G7:19538041:19538536:1 gene:PRUPE_7G216100 transcript:ONH97884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMSRSKLMFRDTKHARGKHANKNMTGFYVMRHIAYDSRGRQRHFCSWRLELNIITLLLYFYFYFYFVLG >ONH96485 pep chromosome:Prunus_persica_NCBIv2:G7:15089457:15092422:1 gene:PRUPE_7G131900 transcript:ONH96485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYSQEYCITQMPLHCSPMAALALQILCTVLSAITHFISSVSAQSNAYIIHMDSKAMPKAFSGHQSWYLATLLSISDSPKAYTFSTTKLIHTYTNSIQGFSAILTLSELESLKNSPGFISVTPDGPLKLHTTHTSQFLGLTSSSGAWPASSYGEDVIIGVLDSGVWPESESFKEYEGITDVPSRWKGKCVSGTQFNSSLCNKKLIGAQFFNKGFIANNPDLKIRMNSPRDTEGHGTHTSSTAAGLDVNGASYFGYATGTARGAAPRARIAIYKVVWFSGAYKSDIFAAVDQAIQDGVDILSISLGSTLNDHFLDDDPVAISTFAAMKKGIFVAASAGNDGSGWGTLLNGAPWAVIVGAGTIDREFRGILTLGNGMQITFTTMYPGNSSRSQLPLVFMDGCRSVKELKKLKNKIVVCKDNLSISDQVENAESATVSGAVFIANISLSDFYIKTSFPAAVIGLQDGQNVIKYIKKSSKPTANLEFKKTVLGTKPAPKVDDYSSRGPSKSCPRVLKPDILAPGSFVLASWSPNSSVFEVQSGSLFSNFNIDSGTSMAAPHVAGVAALIKEVHRDWSPAAIRSALMTTANPLDNTQKPIIDVSTNLPATPLDIGAGHINPNKALEPGLVYDTTAEDYIKLLCAMNYTAKQIQVITGSTHSCVNRSIDLNYPSFIAYFNSKGSKSSAKVVQEFNRTVTNVGEQRSGYTAKLTAMAGLKVKVEPERLVFKNKYEKLSYKLTLEGPKLLKKVVVQGSLSWVDDGGKYVVRSPIVATNLVPNSLL >ONH94974 pep chromosome:Prunus_persica_NCBIv2:G7:7949185:7951659:1 gene:PRUPE_7G043300 transcript:ONH94974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVHQSSSNFSGRTLELFGEMVSLEQKPDGFTFIVVLIACSHARLVKEGLKYFNQMQSLYGIDPKIEHYACVVDMLGRSGQFMYHHAPYGTYATSLLNLLLNVNFVLCKYFLMPLPIWFKIQDLDKPPLM >ONH97084 pep chromosome:Prunus_persica_NCBIv2:G7:17175458:17177266:-1 gene:PRUPE_7G168900 transcript:ONH97084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQTLGRAIQLADQVAKALDRALVRSKDKSFIPDLKSKAEQLAGLLRQLSSIDLGTYDRPVRAIIDSVEQSLDRCLFRLLKHHCPKWIIIKIIKKRFPTLIPIVSFRETFWLLDASINDMSWLIHFWRNKTNGRFELSLPLMKSNNRMLCLVWEEIAIRHDPAQSYQARSSAAASLGEMARYLDAYRKLIIRECGVEALLKLMEEGPMEAKESAAIALGFVGWSSECAGISVDVCKVFAKILSEGFMKVQAEVAFAVSLIAERNPKWQDAFAEHDVVRLLVGHLAFETAGVHSSKTHSNDNANANANEDPDTIAQMKAMAARALFRLAEDNSAICRILAESTALYSFAVLLEKGCEDAQLHSVYALMAIKKVAEKDADLRLRCDFSPNSPTWKYVVDQLLLKITEKTEDSHFQKSCIYAIENLARTFGSIETRMIGPLVQLLHGREYYVTEGACIALTKLARTDNYFHIEHSKAIISAGGVKHLIQILYDKKVLHALVLICYIALHVPDDEELAQAEVLAALTWASKLSFLTAYKELDRLLCNIPLHVPEWEELYQAGLTPLLVLETHERYLSKEDTLPTLLQEAKSRLNLHQSKGSRRVN >ONH98054 pep chromosome:Prunus_persica_NCBIv2:G7:20020495:20024534:1 gene:PRUPE_7G225800 transcript:ONH98054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVNLQSSPVQQMMAGNPNWWSINSMRPPTQPSVTSPFLPPPPPPPSFFIPQFVPNTSSSPSTTSSGLPFPSWHIDDNIQELPESWSQLLLGGLVGEDEKGALMRQFHQAKNKLENWDEQILSSTQAPNAPAADVKQESSGCGSFVYGHQQGVNDHQHHQDFQSAALSQIMQSTASASSSPKSSCVTSFNTSMLDFSSKSDVRHPPPHRSSDQCNSTANGGGALKRARVQPSSTPTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGSGSGNMRQQPQSAVHGERNCMFPEDPGQLLNDHCMKRKGAPEQDADEEAKKDLRSRGLCLVPVSCTLQVGSDNGADYWAPALGGGFQ >ONH95778 pep chromosome:Prunus_persica_NCBIv2:G7:12379315:12381129:1 gene:PRUPE_7G090300 transcript:ONH95778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSITSSRQLFLRQVSCNRRQPLLRTQVSHSTVRLAEVAGGTAAECAAVCCCCPCGLVNLLVLVIYKVPAGICRRVLKKKRRKRHVKQGLLQPRHCNCTCGFDGSELQFHQVGFDCGLEINDMSHKVADDESVDEDVLKLEKEMWDRFYSTGFWRSPSQREPSKVLPGI >ONH97068 pep chromosome:Prunus_persica_NCBIv2:G7:17120010:17121941:-1 gene:PRUPE_7G168100 transcript:ONH97068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSIRRVTRSQALVAQNSNTNNIPLSRKNEDSEKVESKSRPRNGKQQQDRSALIDITNDSPIVGLAMGSLETPSSAIVKQRSFRAKNTPGSGEALLRGQVKTLLQQVEEEAELSKITLESRPLRLLQGITGNSPMGLLAPTPANTPQVSDLSVDASGSNNGGVGFEKPSPLIQEQLISQVVCDIFEGKNQESLESQKSIISRSLLLDFSEKSEVSSVSSECSSVITEIKEKSSPDDDSASIWSIQVNASTHDEDEEEVAQAEEEEEEEEEEEDYYLNLNEGYEIEEHEQDGGYDLDELCDGINNICVDEKKMIPKFAGKHTRFVYDSEDDIEIVEEDFSAESPDSGAVVSPSALRLKGLPTPKGKHMRFLEEEN >ONH94570 pep chromosome:Prunus_persica_NCBIv2:G7:3591694:3596823:1 gene:PRUPE_7G023000 transcript:ONH94570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQVKTNDKSSRLAVISSHLVTPQIPMAAASEKEAALAAVPSDSPTIFDKIINKEIPSKVVFEDDKVLAFRDINPQAPTHILIIPKVKDGLTGLSKAEERHTEILGQLLYTAKLIAKQEGLDDGFRIVINDGPNGCQSVYHLHVHLLGGRQLNWPPG >ONH96082 pep chromosome:Prunus_persica_NCBIv2:G7:13530359:13532286:1 gene:PRUPE_7G106500 transcript:ONH96082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDRNAIGIDLGTTHSCVAVWQADHVEILVNGQGNRTTRSYVTFTDTNRLVGDEAFNQAVRFPANSVFDAKRLIGRRFSEMSVRSDIKCWPFKVIEGPEDKPMIVIRHRGEEKRFAAEDISSMVLAKMREIAENFLCSNISVINAVITVPSYFNDAQRQATIKAGELAGLNVLRILNEPTAAAIAYGIDKKAGRHKRNVMIFDWGGGTLDVSLLTIGHGVFEVKATAGDTHLGGEDLDNRLVNYCVQEFKKKYRVDIGGNSKALRRAKTECENAKKALSHSFESSIVIDCWYQGEDFYTTFTRDEFEQMNMDIFHKCMEPVKKCLEDAKMDISDVDDVVLVGGSSRIPKVQELLQEVFKGKELCRNINPDEAVAYGAAVQAAVLTGNVTGKLEDFTLLEVTPLSLGLETMEHNSSNFYMNVVIPRNSRIPVRLKTNVTTVYDNQDAVEFPIYEGESKIAKNNHFLGKFSLEGIPPAPKGVPSFAVYFDIDANGVLSVSAEDRSTGQKEEITITSDTRKRF >ONH96576 pep chromosome:Prunus_persica_NCBIv2:G7:15453689:15456123:-1 gene:PRUPE_7G138100 transcript:ONH96576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLDTSLVFIPKTISDFLAQLSTMEDSSQRSSELDAYVKRLEDEMRKIEVFKRELPLCMLLLKDAIERLKEKVMQCKKMEDRPVIEEFIPLKGNLDENGGGVLGKENSDKKNWMSSAQLWSTSLNIFEYNKHDSVSGLRMRNEEDDRSVPENPIEASNNRAMGRTFVPFKEQYESGFTGTCLKDDKEVSQVPSLSLMTPLMSEALDASTNTNIKSNNNCRGGSGSGLAGQLKLQNKPQQQSQQQQPFRKQRRCWSPELHRRFVESLQQLGGTQATPKQIRELMQVDGLTNDEVKSHLQKYRLHVRKLPASSAAKGNGIWMPLDHSADHSKANNSQSGSPQGPLLPGEFAKGRSTTGGESDNSREAEEDEKSDGQSWKGGLLHNNQGGDV >ONH96577 pep chromosome:Prunus_persica_NCBIv2:G7:15453688:15456162:-1 gene:PRUPE_7G138100 transcript:ONH96577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLDTSLVFIPKTISDFLAQLSTMEDSSQRSSELDAYVKRLEDEMRKIEVFKRELPLCMLLLKDAIERLKEKVMQCKKMEDRPVIEEFIPLKGNLDENGGGVLGKENSDKKNWMSSAQLWSTSLNIFEYNKHDSVSGLRMRNEEDDRSVPENPIEASNNRAMGRTFVPFKEQYESGFTGTCLKDDKEVSQVPSLSLMTPLMSEALDASTNTNIKSNNNCRGGSGSGLAGQLKLQNKPQQQSQQQQPFRKQRRCWSPELHRRFVESLQQLGGTQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHVRKLPASSAAKGNGIWMPLDHSADHSKANNSQSGSPQGPLLPGEFAKGRSTTGGESDNSREAEEDEKSDGQSWKGGLLHNNQGGDV >ONH95082 pep chromosome:Prunus_persica_NCBIv2:G7:8767209:8772250:1 gene:PRUPE_7G050500 transcript:ONH95082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLLLLLISPYLYSLLISAVALPTKPEGYHKLRPGALFKIALFADLHFGEAESTDWGPLQDVNSTRVMSSVLDDENPDFVIYLGDVITANNIAIRNASLYWDQAVSPTRAKGIPWASVFGNHDDAAFEWPIEWFSAPGIPKIHCPVANSSCSGEEDCSFKGTQRLELMKNEIEQNALSYSQFGPNELWPSVSNYVLQVFSSENPKSPVAFLYFLDSGGGSYPEVISSAQAEWFQKKALEINPDSRVPEIIFWHIPSRAYKKVAPLFGIHKPCVGSINKEKVATQEAEMGIMKLLVERVSAKAVFVGHNHGLDWCCPYEKLWLCFARHTGYGGYGNWDRGARIVEITQQPFSIKSWIRMEDGSVHSEVVLSPFINRDKST >ONH96547 pep chromosome:Prunus_persica_NCBIv2:G7:15337382:15343578:1 gene:PRUPE_7G136200 transcript:ONH96547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMEFKTLAIRAQKQQQLSMLSLRGLSHPIKALHVLMVLACTLFYLATCGQCSGNGMQILSEYDACGSYGDNFDVAFADNFLGDSTLGCGIPRNPFNIDKICTSSRLFCFPSTLPGFLEHKLKVADLEVSGSQSDDLSSIGSTENIKLANNKSWSSDNGMFKLFNGGIVSCSLNSKAATNEFSSIQTDSANPNDLSSCRGPLLYQKSTSFRPNKNTEMTKSNSFSSSSSPHVEISPAVLDWEQKNMYFPSLAFLTVANTCNDSILHVYEPFSTDIQFYPCNFSEVLLGPGETASICFVFLPRWLGLSSAHLILQTSSGGFLIQAKGVAVESPYGIHPLLGLDVSSRGRWSKNLSLFNSFDQNFHVEEVSAWMSVTLGHTSHYAEAICSTEKLQPSNELQFLSVKDRLVVSTGQVGLPLLAMRPLRKWEIDPHSSETIIEIDISMESKGKIFGAICMQLLRSSEDKSDTVMLPFEAELDGTAMDDDRGGPILASLEVLEYSSNETAVAISLKNCAPYLLRVLEITEVADSKTFQIKYSQDLLLFPGSDTYVSVVTCTERNVKLYGHCTLLILTNDSTSPQIEIPCQDVIHLCSRHWKGSTTEFEHQSERSESGDMNRVSFDSGLQWPSQRATETAEADELVLQNWKSQDTRSGMSVLDDHEVFFPMLQVGSHYSKWITVKNPSQEPVVMQLILNSGEIIDQCKTPGGLIQPPSSGSLVRNESTSPSRYGFSIAENALTEAYVQPNGRASLGPVLFHPSSRCKWRSSALIRNNLSGVEWLSLRGFGGSLSLLLLEKSEAVQSVEFNLSLPLPLNISPPDMLFHTEDATHSCLRPLAKQLYAKNTGDLPLVVRRIKVSGKECGMDGFMVQTCKGFALEPGESAKLLISYQTDFSAALVQRDLELAFETGILVIPMKASIPLQMINICKKSVFWMRAKKYSAAVLLLISLMFLVFWYIFPQVLAFFSHDCLWVSGKSSLATSTSSSEKVSHVHNYRDSNFSVSGEINSLLRSVREDRTLMQAVDQAGASEREKFAQHAKQILQGHRQTNYLSDTRKNKAMAFSLMSESVSVENSDDLEASQPGNLTVKTGNEKGRRRKKRKGAGSKLTGLLEVSSSQSGNSTPSSPLSPVTSVTPKHMWPLSPDLGQAVEARNPFTQVAHQRCQKSPVFKSASKANLSSGPEVSLKNFSNHQTFPSQEQPSPPRKAAARPVLLPSATFPCAGRPAPNAVCTSPFPASTSAISPLARAPGSKLYEQKNVREERKSRFGDEYRYDIWGDHFPRLKLTTTNNVTSMISSTSESESDSNSFFVKGPQTLMTRSPPRSVSFFHQDG >ONH97387 pep chromosome:Prunus_persica_NCBIv2:G7:18061511:18061806:1 gene:PRUPE_7G187100 transcript:ONH97387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKGDVRSITRLFCSREFVLCHLKNSRVCGGFPIYIKIHHTNAESADFAFFSGFVFLGKENIEVMILVEIFN >ONH96836 pep chromosome:Prunus_persica_NCBIv2:G7:16435962:16438413:1 gene:PRUPE_7G155200 transcript:ONH96836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQIILGSASMARRKILAEMGYEFTILTADIDEKSIRMDKPEELVMVLAEAKADAIISRLQSTSQPDEDAHATLLITADTVVVYEGIIREKPSSKEEAWNFIKGYSGGQAAVIGSVFVTNLKTGKRKGGCCRAEVYFHVIPEEIIDSLIEEGITLDVAGGLMLEHPLISPFVEAVVGTTDTVMGLPKEFTEKLIHEALQLP >ONH96207 pep chromosome:Prunus_persica_NCBIv2:G7:13923125:13924958:-1 gene:PRUPE_7G113400 transcript:ONH96207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQAPEGLRLCANNCGFFGSPATMNLCSKCYRDFCLKEQQEASIKSTVEASLSASSSSSVSAPSSSSTSSSFSPVIETLCQPPPPALTLPEVAGDVVGHSAGDVRPPEAVAVVSQPNRCTVCRKRVGLTGFKCRCGTTFCGVHRYPEKHACSFDFKTLGREEIARSNPLVIAEKLEKI >ONH96208 pep chromosome:Prunus_persica_NCBIv2:G7:13923125:13925001:-1 gene:PRUPE_7G113400 transcript:ONH96208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHRCQAPEGLRLCANNCGFFGSPATMNLCSKCYRDFCLKEQQEASIKSTVEASLSASSSSSVSAPSSSSTSSSFSPVIETLCQPPPPALTLPEVAGDVVGHSAGDVRPPEAVAVVSQPNRCTVCRKRVGLTGFKCRCGTTFCGVHRYPEKHACSFDFKTLGREEIARSNPLVIAEKLEKI >ONH98577 pep chromosome:Prunus_persica_NCBIv2:G7:21422175:21424842:-1 gene:PRUPE_7G255000 transcript:ONH98577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYSQNGTCVHRAGPIGLKDQKPQEHPKKSGASTSWFPLTSPRSPENPETPVYSSVISIPRGSASQPYTRSLSLPSQQVSCKSSLKLELGKMIEPLNEHEPCSPSSLKQKLRSSLCIIPCFPKTTPHHHSITAPTTPHAHSANVSLVRNSSFSSSSKSRSSHHHNHHHHHHGHHNSHYEFAELKDKCKSLIGRMGRSHTARRHSASADFKYDPLSYALNFDHEEENIEDYPFRSFSARLPPSPPRTLQDSAASPSASKEITACS >ONH95715 pep chromosome:Prunus_persica_NCBIv2:G7:12142333:12144392:1 gene:PRUPE_7G087000 transcript:ONH95715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNLSAQTLPNSLSNHQDDDEAAADDNDPPSLSLQALEALKEFLAEQSQPLPDTAAESRAADSAAPALISEDWRLSQFWYDPHTAETLAQEVLTLCNSLPDSAARVACVACPSLYAYLKNNDSEVPVQLLEYDKRFEQYGSDFTFYDYNRPEDLPLELKHAFDIVVADPPYLSRECLEKVAQTVSFLARSEKSYLLLLTGAVQKERAAELMGLHPCGFRPQHSSKLGNEFRLFTNYDPAMRLEGWDVEK >ONH96845 pep chromosome:Prunus_persica_NCBIv2:G7:16468637:16471419:1 gene:PRUPE_7G155700 transcript:ONH96845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGQDKVIGMPTTLGYNPPNRDSSSSKLSSSPALPSTANNIIFINPLQTLDPHPSPHRHQPHQLNLSPHKSSRRDSEQNPDPISSPIVVTPSATTTTATSIPGGSNFKAPPAQPPPPQKVRYRECLRNHAASSGGHVLDGCGEFMPSGEEDIPEALKCAACECHRNFHRKEIEGDHLPNNYYVVNHQKHTISRRDSETRVFQLPPPPLPPVHHSAAGGPVPQTMMAFGGRGGGGGGADESSSEDLNMNNLFRATYAAGQQAAGSKKRFRTKFSQEQKEKMMEVAEKLGWKIQRHDEQEVQKLCSEVGIKRQVFKVWMHNNKQAMKKKQMVKDVKGRKRKAKEEMRCQLFCRGVM >ONH96844 pep chromosome:Prunus_persica_NCBIv2:G7:16468653:16471495:1 gene:PRUPE_7G155700 transcript:ONH96844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGQDKVIGMPTTLGYNPPNRDSSSSKLSSSPALPSTANNIIFINPLQTLDPHPSPHRHQPHQLNLSPHKSSRRDSEQNPDPISSPIVVTPSATTTTATSIPGGSNFKAPPAQPPPPQKVRYRECLRNHAASSGGHVLDGCGEFMPSGEEDIPEALKCAACECHRNFHRKEIEGDHLPNNYYVVNHQKHTISRRDSETRVFQLPPPPLPPVHHSAAGGPVPQTMMAFGGRGGGGGGADESSSEDLNMNNLFRATYAAGQQAAGSKKRFRTKFSQEQKEKMMEVAEKLGWKIQRHDEQEVQKLCSEVGIKRQVFKVWMHNNKQAMKKKQMLEG >ONH96846 pep chromosome:Prunus_persica_NCBIv2:G7:16469602:16470597:1 gene:PRUPE_7G155700 transcript:ONH96846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGQDKVIGMPTTLGYNPPNRDSSSSKLSSSPALPSTANNIIFINPLQTLDPHPSPHRHQPHQLNLSPHKSSRRDSEQNPDPISSPIVVTPSATTTTATSIPGGSNFKAPPAQPPPPQKVRYRECLRNHAASSGGHVLDGCGEFMPSGEEDIPEALKCAACECHRNFHRKEIEGDHLPNNYYVVNHQKHTISRRDSETRVFQLPPPPLPPVHHSAAGGPVPQTMMAFGGRGGGGGGADESSSEDLNMNNLFRATYAAGQQAAGSKKRFRTKFSQEQKEKMMEVAEKLGWKIQRHDEQEVQKLCSEVGIKRQVFKVWMHNNKQAMKKKQM >ONH96447 pep chromosome:Prunus_persica_NCBIv2:G7:14977759:14980333:-1 gene:PRUPE_7G129800 transcript:ONH96447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSSSHNTCERCMEDLMNPSQHVDKVINRQSQKEIFEKLVAAQDNNRVCLVAYVLDMCFQGHNESLDSKNRGHFIEMVKHIAKFNDEVVGVFLENAPRNAKYTSPIIQKRVLNILANNVRKKIREEVGHAAFCILVDESQDTSNREQMTIVLRFVDNDEIKKVLDFYELCIDKMKGQGYDGPSNMRGTWNGLQALFLSDCPYAYYVHCFAHQVQLALVSASKEVAAIRTIAAKNSLFFSFLNSIVNVITASPKRHSELQVAQSMNIIELLAAGERETVCTVLENTKKDGSTGSLRGEATSTYNAIRQFKFVFILHLLKEIMGLTYILYLFCKKHDIDMPHMNAQYEVETRRSCQQNDNIIVEHHYHFDIFNYFQLAELNSKFSEWEMELLILSSALDPKLHILICELKLYETYVPHHLVLKNVSNLSELCQGLVETKRSQRYYLIDRLIRLVLTLLVSTATTERAFSAMKLVKTALSNKMENEFLADSMIVYI >ONH96409 pep chromosome:Prunus_persica_NCBIv2:G7:14841075:14842766:-1 gene:PRUPE_7G127500 transcript:ONH96409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSISYVTGAQLVSLKRRPNIAIIDVRDDERSYDGHIAGSLHYASGNFYEKISNLVQDCKGKDTLVFHCVLSQVRGPSCARKFANYLEEVKEDTGIKDILILERGFSGWESSGRPVCHCTSVPCKGETGSA >ONH94187 pep chromosome:Prunus_persica_NCBIv2:G7:356205:356882:1 gene:PRUPE_7G003100 transcript:ONH94187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPRGGLIPFYANPLEACSSSKNLQTLKHLHAKSIRLCISRHDFIRTKLIFSYASCAQLSQANLLFSCNRQLTFLFNILIRAHSSQGFFSQSLSIFIRMLAAIKAFDRHTLPVVPKSCAGLLELRLGKQVHRTILVNGFALDLASLNALISMCAKCGDLAGTRKVFDRRLIRNEISWLAILAGYGMHGVFGEVFELFDRMEAGERPDVVTFTTVLQRVVMAD >ONH94788 pep chromosome:Prunus_persica_NCBIv2:G7:5641910:5647867:1 gene:PRUPE_7G030500 transcript:ONH94788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRQWISVTTICAALIQACLTANSLPEADKNTSLPRQPQTNFQQYAGYITVDEKQQRSLFYYFVEAETDPASKPLVLWFNGAFLIANSLPEADKITSLPGQPQVSFQQYAGYVTVDEKQQRALFYYFVEAETDAASKPLVLWLNGGPGCSSVGAGAFIEHGPFKPSGDILLKNDFSWNKEANMLYLESPAGVGFSYSSNQSFYDFVNDAITANDNFVFLERWFDKFPEFKNRDFFITGESYGGHYVPELARLVVQSKLNFNLKGIAIGNPLLDFNTDFNSGAEYYWSHGLISDATFESFTSVCNYSQMLRQIINTGKLSPACAAVYNQVQTEISNFIDLYDVTLNVCLSSDAAQSRKLTQLQETEKIDVCLEDETSKYLNRQDVQEALHARLIGVSNWTICSDVVRYNRQSMEILMLPVLGYLSRFGIRIFVYR >ONH94787 pep chromosome:Prunus_persica_NCBIv2:G7:5641910:5648345:1 gene:PRUPE_7G030500 transcript:ONH94787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRQWISVTTICAALIQACLTANSLPEADKNTSLPRQPQTNFQQYAGYITVDEKQQRSLFYYFVEAETDPASKPLVLWFNGAFLIANSLPEADKITSLPGQPQVSFQQYAGYVTVDEKQQRALFYYFVEAETDAASKPLVLWLNGGPGCSSVGAGAFIEHGPFKPSGDILLKNDFSWNKEANMLYLESPAGVGFSYSSNQSFYDFVNDAITANDNFVFLERWFDKFPEFKNRDFFITGESYGGHYVPELARLVVQSKLNFNLKGIAIGNPLLDFNTDFNSGAEYYWSHGLISDATFESFTSVCNYSQMLRQIINTGKLSPACAAVYNQVQTEISNFIDLYDVTLNVCLSSDAAQSRKLTQLQETEKIDVCLEDETSKYLNRQDVQEALHARLIGVSNWTICSDVVRYNRQSMEILMLPVLGYLSRFGIRIFVYSGDQDSVIPLTGTRTAVDGLAEVLELNTTVPYRVWFEEKQVAGWTQVYGDVLSFATIRGAGHTAPYTQPERSLLLFSSFVGGKPLPEALTH >ONH98663 pep chromosome:Prunus_persica_NCBIv2:G7:21683337:21687481:1 gene:PRUPE_7G260800 transcript:ONH98663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPCSKEMQDMNSLLNSSPSSQLSLQDHHRHLQLQPQQQSHSHSHSHSRPHLHNSHSQMHPQIPSPSPAHFDSASHDDFLEQMLSTLGPSSCSWADDTPPSNSDNVVFTYDDSANLATKFRSQQISAGAGASKSASTSAAAAAAMMLQHQLMMSRSTSADSGFVPMALSLGNGDFDRSNNDVVEGSSSFKSPNPVGGSLQAQNYGGPGAAMNQGPASGSGGGGGAPAQARPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADVSSEGGGDCIQASANGGTRGRSSNGNQTASSSNDNSMTVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHTRNPLIHNNNNNNNNNNNAVMASNGGEGPSSPSMSVLTVQSATMGNGGVDGSVKDATSVSKP >ONH98662 pep chromosome:Prunus_persica_NCBIv2:G7:21683337:21687481:1 gene:PRUPE_7G260800 transcript:ONH98662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPCSKEMQDMNSLLNSSPSSQLSLQDHHRHLQLQPQQQSHSHSHSHSRPHLHNSHSQMHPQIPSPSPAHFDSASHDDFLEQMLSTLGPSSCSWADDTPPSNSDNVVFTYDDSANLATKFRSQQISAGAGASKSASTSAAAAAAMMLQHQLMMSRSTSADSGFVPMALSLGNGDFDRSNNDVVEGSSSFKSPNPVGGEGASSVQALFNGFSGSLHGGATTQSPNQHFHQPQGGSLQAQNYGGPGAAMNQGPASGSGGGGGAPAQARPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADVSSEGGGDCIQASANGGTRGRSSNGNQTASSSNDNSMTVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHTRNPLIHNNNNNNNNNNNAVMASNGGEGPSSPSMSVLTVQSATMGNGGVDGSVKDATSVSKP >ONH95273 pep chromosome:Prunus_persica_NCBIv2:G7:10000745:10004400:1 gene:PRUPE_7G060800 transcript:ONH95273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPRSLKLIRLLKKAWSTLKQSNARRNKNQKNRATASLPSVLSQIQKLDTIYVETAADMEVVQKLINAQEPWKGTHIVVKTKQDATTATIKQKAKELDLEVIEIAASLSPLKSLVKLVGAIREKDSAANMLVYQDLLTVLKVLWNLGAETLVGRTLTQTTTTPEQATVTSNLIISGCYVV >ONH94680 pep chromosome:Prunus_persica_NCBIv2:G7:4246085:4253351:-1 gene:PRUPE_7G026100 transcript:ONH94680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YETLLIKDIIDVIWKRLHPTSFTSVENSVGLDSSMNSIDLLLGAGVDDVRFIGIWGMGGIGKTTIARVVRERISPEFEFSIFLENVKDNVQKRGLISQQREILSRISMKTIDIFDVHEGSTMIRRLLHHKKVLLILDDVTDSDHLDYLAGKQEWFGSGSRVLITTRNEHLLIEHGVKRRFHTTLKKNYIELSSCVVSYADGLPLALKVLGSFLRERHVSAWNSALGKLRGICNIVLGTLQINYDDPDDKEKKIFLDIACFFNREKEDRVIEILDSCGFCAGIGIDVLIEKSLLTNSYVTLWMHQLVQEMGCEIVNRECLDEPGNRSRLWRHEETKHVLSKNTVRGLLGTDAVESITTDKTGPVLHANAKCFSRMKKLRLLNLANVNLSNDLEYLSDNLRSLEWAGYPSKYFPSHFNPENLLELNMCHSHIESFWTGVKLLYNLKIIKLSHSLNLVNTPDFRGFPNLEYLILEGCIRLYKVDPSLGMLERITQINLKDCKKDCGLTNTLKNHSVHMLKRYENAPSIKVLSHNYISKLCIRNYICLVLSHE >ONH97556 pep chromosome:Prunus_persica_NCBIv2:G7:18565590:18567201:1 gene:PRUPE_7G196600 transcript:ONH97556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTSSESHSTSTKPTPTPPPIEVECVKCDSCGFTEDCTPAYISRVRDRYQGRWICGLCVEAVKDEVLRSDRLISTEEALNRHINFCRKFRSSSPLHKETEHPILAMGRVFRRSLDSPRALRSNSSSSLDGVESVRGPALVRSGSCFSSLSR >ONH94320 pep chromosome:Prunus_persica_NCBIv2:G7:1420791:1421603:-1 gene:PRUPE_7G010700 transcript:ONH94320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYWHHNYLVKKKNMAPPPTNWIKINLYGSIINSQTTTGFFIRNNGGHVLLAGANNTVENSINVVESVALWDGLDVVIDRGWGQTLVEGDSKLVIDNVLRTVNSPRSFQQIIQDIWHLSSYAVFIRFQHVFRETNFTADDIANLGHGLSSQVFWELGLPLSVCSPFYFELFGLSCPRGFAL >ONH97521 pep chromosome:Prunus_persica_NCBIv2:G7:18467826:18472003:-1 gene:PRUPE_7G194500 transcript:ONH97521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKFAFCFCLSIAMLSLYANATEVSHDGRAITIDGKRRLLLSGSIHYPRSTSGMWPDLIRKSKEGGLDAIETYVFWNVHEPVRRQYDFTGNLDLVRFLKTVQDEGLYAVLRIGPYVCAEWNYGGLPVWLHNLPGCEIRTANDVYMSEMKNFTTLIVNMMKKEKLFASQGGPIIIAQIENEYGNVQSYYGDAGKAYMNWCSNFAQSLDIGVPWIMCQQSDAPQPMINTCNGWYCENFKPNNDNSPKMWTENWTGWFKSWGGKDPLRTAEDLAFSVARFFQTGGTFQNYYMYHGGTNFGRTAAAYITTTYDYDAPLDEYGNLNQPKWGHLKQLHEILKSMEYTLTHGNITTIDFGNSVSATMYATNDSASCFFGNANSSTDHTITFQGNKYTIPAWSVSILPDCKTEGYNTAKVNTQTSFKVKMMNKAEDEPESLGWLWRPENIDDTRLQGKGEVTANKLMDQKEVAADASDYLWYMTSVYLNKDDPIWSGNMTLKINATGFILHAYVNGEYLGSEWAKYNDYNYVFEKNVKLNPGKNVISLLSATVGFPNYGGGFEVINTGVPGPVVLVGQNGDEMVIKDLSAHKWSYEVGLHGLKNQLFSTDSRYAAKWSAENLPINRMMTWYKTTFKAPLGTDPVVVDLQGLGKGHAWVNGNSLGRYWPSYLAKEDGCSLEACDYRGSYDNNKCVFNCGKPTQRWYHVPRSFMQDGENTLVLFEEFGGNPSLVNFQTVTTGTVCANAYEKHTLELACQGRPISSIKFASFGNPQGRCGSFEKGSCDANNVLSIIQNECVGKEKCSIDVSESKLGSANCGASVKRLAVEAVC >ONH98073 pep chromosome:Prunus_persica_NCBIv2:G7:20090154:20091596:1 gene:PRUPE_7G227400 transcript:ONH98073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVISLLLSIVKRTLNKTSIISGSWFLVVICFTSPPAGKLKSGLSVRQQANLRDALCCTKMQDKKE >ONH96181 pep chromosome:Prunus_persica_NCBIv2:G7:13831859:13832458:-1 gene:PRUPE_7G111500 transcript:ONH96181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRFGARCLFCFCNTILCLILALFIFWLIFLPKEPEFTVSNASLTQFSFYNNTLYYNLALNITIQNPNKRVGIYYRHIQVIANYRKERFSMVNLTSPPFYQGHKNTTFLHDVLVEGQELVEFGEHELSQFNSETAAGVYNIDVKLALWVRAKYGKFKTADYGSSKIDCKLKVPLSFSETPATGFNTTKCGNVYVLTNP >ONH94759 pep chromosome:Prunus_persica_NCBIv2:G7:5247972:5250468:-1 gene:PRUPE_7G028000 transcript:ONH94759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSVEVVVVDIWDKRIEAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTDVKKHVKEADIVFVSVNTPTKTTGLGAGKAADLTYWESAARMIADVSESSKVVVEKSTVPVKTAEAIEKILSHNSKGIKFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQEAIQALKDIYTQWVPEDQIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSQVSHAVGKDTRIGPKFLNSSVGFGGSCFQKDILNLVYICECNGLPEVAEYWRQVLKINDYQKSRFVNRVVASMFNTVSTKKIAILGFAFKKDTGDTRETPAIDVCKGLLVDKAELSIYDPQVTQDQIQRDLTLRKFDWDHPIHLQPVNPTSCFNVDQVKVVRDAYEATKGAHGICILTEWDEFKSLDYNKIYENMQKPAFIFDGRYVIDADKLREIGFIVFSIGKPLDAWLKDMPAVA >ONH97344 pep chromosome:Prunus_persica_NCBIv2:G7:17901790:17904096:-1 gene:PRUPE_7G184700 transcript:ONH97344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSDGSRKYFMESNIERHRSIDSDGLPCVGQTIKPDEEYYSIYNEVNNEQHAVKQKGSEDVIVDYVTIDGKRHLQNHLQKFSSRHGQKGVCSQLWPDIDMPFSEVTGMRPDLNINPHAFPSRMAIGMLLESIAAKVHSTGMVDQITSQPIKGRKGGGGICFGEIERDSLLAHGAAYLLHDRLHTCSDYHIAAVCSTCGSILTPSVIKPEKRVAHAVKGLPPVRAPKVFCHASDTNKGMETVAIPYVFKYLAAELAAMSIKMTLQLSI >ONH96392 pep chromosome:Prunus_persica_NCBIv2:G7:14759738:14760721:-1 gene:PRUPE_7G125800 transcript:ONH96392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIIKGKRTKRQRLASPLAHASTATASSSSSAGHDHQHQVLVGPTETSPATSADQFTEISTEEEEDMANCLILLAQGNSTPKTQHPILVHNNKASASAGLYVYQCKTCDRCFSSFQALGGHRASHKKPNKANMNITQDNKKALSFVEEAEEYDRFNNTSTTLSLQISNRDYRASSSAATPVNNSKANKVHECSVCGAEFTSGQALGGHMRRHRTFMNTTTTATAAAMSSPQPQSGSKRPRSILQLDLNLPAPEEERLETKFPFGPKKEQVIVFSTSPLVGCHY >ONH95284 pep chromosome:Prunus_persica_NCBIv2:G7:10029738:10035621:1 gene:PRUPE_7G061100 transcript:ONH95284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSSPFTLPHTKADQLSSLSRKQYFLHSFLPKKVNQSSLKSSLKVKCAMGNGLFTQTTQEVRRIVPENKQGLPTVKIVYVVLEAQYQSSLTAAVQALNSNSKYASFEVVGYLVEELRDAETYKMFCQDLEDANIFIGSLIFVEELAVKVRDAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKQSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMISGSYVPALKGEKIPYSDPVLFLDSGIWHPLAPCMYDDVKEYLNWYGTRKDANEKLKSPNAPVVGLILQRSHIVTGDESHYVAVIMELEARGAKVIPIFAGGLDFSGPVERFLIDPVTKKPFIHSAISLTGFALVGGPARQDHPRAVEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWGELKRKAKAEKKLAITVFSFPPDKGNVGTAAYLNVFSSIFAVLQELKRDGYNVENLPETSEALIEDVIHDKEAQFSSPNLNVAYKMGVREYQSLTPYATALEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGSQIVSSIISTAKQCNLDKDVELPEEGLEISAKERDLVVGKVYNKIMEIESRLLPCGLHVIGEPPTAMEAVATLVNIAALNRPEEGITSLPDILAETAGRDIEDIYRGSDKGILKDVELLKQITDTSRGAISAFVERTTNEKGQVVDVKDKLSSILGFGINEPWVQYLSNTKFYRADRDKLRTLFMFLGECLKLIVADNEIGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMQSAKIVVERLIERQKIDNGGKYPETIALVLWGTDNIKTYGESLAQVLWMVGVMPVADAFGRVNRVEIVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPVEQNFVRKHALEQAETLGIGVREAATRIFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDSDAPGTGMAENRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIQDEEMLNRLMKTNPNSFRKLVQTFLEANGRGYWDTDEQNIEKLKELYSEVEDKIEGIDR >ONH95283 pep chromosome:Prunus_persica_NCBIv2:G7:10029503:10035854:1 gene:PRUPE_7G061100 transcript:ONH95283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSSPFTLPHTKADQLSSLSRKQYFLHSFLPKKVNQSSLKSSLKVKCAMGNGLFTQTTQEVRRIVPENKQGLPTVKIVYVVLEAQYQSSLTAAVQALNSNSKYASFEVVGYLVEELRDAETYKMFCQDLEDANIFIGSLIFVEELAVKVRDAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKQSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMISGSYVPALKGEKIPYSDPVLFLDSGIWHPLAPCMYDDVKEYLNWYGTRKDANEKLKSPNAPVVGLILQRSHIVTGDESHYVAVIMELEARGAKVIPIFAGGLDFSGPVERFLIDPVTKKPFIHSAISLTGFALVGGPARQDHPRAVEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWGELKRKAKAEKKLAITVFSFPPDKGNVGTAAYLNVFSSIFAVLQELKRDGYNVENLPETSEALIEDVIHDKEAQFSSPNLNVAYKMGVREYQSLTPYATALEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGSQIVSSIISTAKQCNLDKDVELPEEGLEISAKERDLVVGKVYNKIMEIESRLLPCGLHVIGEPPTAMEAVATLVNIAALNRPEEGITSLPDILAETAGRDIEDIYRGSDKGILKDVELLKQITDTSRGAISAFVERTTNEKGQVVDVKDKLSSILGFGINEPWVQYLSNTKFYRADRDKLRTLFMFLGECLKLIVADNEIGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMQSAKIVVERLIERQKIDNGGKYPETIALVLWGTDNIKTYGESLAQVLWMVGVMPVADAFGRVNRVEIVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPVEQNFVRKHALEQAETLGIGVREAATRIFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDSDAPGTGMAENRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIQDEEMLNRLMKTNPNSFRKLVQTFLEANGRGYWDTDEQNIEKLKELYSEVEDKIEGIDR >ONH97618 pep chromosome:Prunus_persica_NCBIv2:G7:18767539:18770591:1 gene:PRUPE_7G201300 transcript:ONH97618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPERAPRKMRFIPKAPRRVPKPEVKTEVDHGAEESDAEKAKELLKRFNEQSSRARLKVEKKVVPTQIVFGYGGASTTMKSYGAPKGGSASSATNAGASGVKEEKEYSSPWDQYSYYPVTLPLRPPYSGNPEIRNEEEFGEGSEESTYDENSTTPANDLGLLEENKATSMFFLQLPPNMPTIKRSATADSQEVTKSSGPPGGARNMQKPCSLSELPAGFMGKMLVYRSGAVKMKIGDSLFDVSPGMNCDFAQDVVVVNKAEKGCGIIGELNKRAIITPDVDSILASIDGL >ONH95590 pep chromosome:Prunus_persica_NCBIv2:G7:11537167:11538638:1 gene:PRUPE_7G079700 transcript:ONH95590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMQSFDRMEKHCIPIIISEVFFKQKQKQMGCMALWLWTNKIARVFGSLFSYPFGLKRGTFNNYILFLYSREYNARLLCAPAMRSCGLQNTIVRPWRVVASL >ONH95401 pep chromosome:Prunus_persica_NCBIv2:G7:10651268:10651487:-1 gene:PRUPE_7G068200 transcript:ONH95401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQISMSRAFFFVQMLVLVVLAATVSAQESAPAPAPAQMDAGAAYSLPVNGAAVGASLMVSVFALFKHYLN >ONH96296 pep chromosome:Prunus_persica_NCBIv2:G7:14319501:14322586:-1 gene:PRUPE_7G119000 transcript:ONH96296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVCLLPKSQFTAPNKSQNLISVPLSFSLCTDSAAGQTHQPQIEYQTENHNPTSLTNLQDQQRHEQVRKLRVLLQQGRSETARRLIKSLILPNSPFSSPTDLYTLFYLSAPSMKPTFSDMLLAACSESKMPKEAVELYGLMRETGTRPCLASLNAMLESLVTSKQFGKTLELFSEIFESGQGIRPDRFTFGKAVQAAVKMGDLERAGQLVNSMKMKRMSLGVFVYNVLLGGLCKENKMRDAQKVFDEMIEGTTAPNLVTYNTLIDGFCKVGELEKAFELRERMKDENVAANIVTYNTMLSGLCRAKRMDDAKRILEEMEAHGFVPDGFTYSILFDGQFKCGDSEGSLALFEEATRKGVKLNRYTWSVLLNGLCKQGNVEKLEEVLKKLMETGFVPDVVIYNTIVNGYCRRCDMNRAILAIEQMEIHGLRPNCITFNTLIDKFCETRDMDTAEEWVKKMAEKGVCPNLETYNILINGYGQMRVFDKCFQILEEMENKGIKPNVVSYGSLINGLCKDGRLLEAEIALRDMISRAISPNAQIYNMLIGGSCTVGNLKDAFRFFDEMASTGITPTLITYNSLIHGLCKKGRVMEAEDYFSQITSSGYSPDVITYNSLISGYSDIRNTQKCLELYETMKNLGIKPTIYTYHPLISGCSREDMALADKLFSEMLQMGLVPDRAVYNALIHGYAEQGDTQKALSLHSEMVNQKINVDKMTYNSLILGHFKQGKIADVKALVDDMKAQGLAPKADTYNLLVKGHCELQDFSGAYFWYREMFENGFLLNVSTCNELTDGLEKEGRLREAGIVCSEMSVKGMNDCSSIEDVVSVAKV >ONH97871 pep chromosome:Prunus_persica_NCBIv2:G7:19492406:19495983:-1 gene:PRUPE_7G215300 transcript:ONH97871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCKWVSLIFIVVVIMPLLISSDHSLSPEEVAQIPVKFLDHAKRQELFDFMVGVRRKIHENPELGFEEFETSKLIRAELDQMGIPYKYPLAETGIVGFIGTGGPPFVAIRADMDALAMQESVEWEHKSKVPGKMHACGHDAHVAMLLGAAKILQEHHHELQGTVVLVFQPAEEGGGGAKKMLDEGALEHVGAIFGLHVAADYPIGSVVTRCGPFFAASGFFEAVISGKGGHAAIPQHTIDPILAASNVIVSLQHLVSREADPLESQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFSKESFIQLKQRIEEVITRQASVQRCNATVLFNERDKPFYPVAVNDKNLHEHFRNVAGDLLGPQNILERQPMMGAEDFSFYQEAIPGYFFFVGMKNESQGKFESGHSPFFRVNEDVLPYGAALHASLATRYLLENQPKSTLPKGSFHDEL >ONH96050 pep chromosome:Prunus_persica_NCBIv2:G7:13365872:13370551:1 gene:PRUPE_7G104500 transcript:ONH96050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVHFSTVASRHFSTTSHSVSTNRGFYDSKYAARSSTAKLGSSWTASRTPAWLTSRNLFTREIWGWVNSKQVTITRKELRGIVRAEMFGQLTSGLESAWNKLKGEEVLTKENIVEPMREIRRALLEADVSLPVVRRFVQAVSDQAVGVGVTRGVKPDQQLVKIVNDELVKLMGGEVSELVFAKSAPTVILLAGLQGVGKTTVCAKLAYYLKKQGKSSMLIAGDVYRPAAIDQLVILGDQVKVPVYTAGTDTKPSEIARQGLAEAKKKNIDVVIMDTAGRLQIDKGMMDELKEVKRVLNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEELQKKIMSAKFDFNDFLKQTRAVARMGSMTRVLGMIPGMGKVTPAQIREAEKSLKIMEAMIEAMTPEEKEQPELLAESVTRRKRIAQESGKTEQQVSQLVAQLFQMRVRMKNLMGVMEGGSIPALNNLEESLKNEQKAPPGTARRKRRTDSKRQFVGSASRPSPRGFGGGN >ONH97168 pep chromosome:Prunus_persica_NCBIv2:G7:17388532:17391061:1 gene:PRUPE_7G173600 transcript:ONH97168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIDSAETETADTLMTTVSRHHFGDEMDEPAFSISIIENMKEDYGLFVWPCSVVLAEYVWQQRLRFSGAGVLELGAGTSLPGLVAAKVGADVTLTDDSSRLEVLDNMKRVLDLNKLECNVMGLTWGVWDASTFSLHPKFVLGADVLYDASAFDDLFATVTFLLQSSPGSVFITTYHNRSGHHLIEFLMVKWGLKCVKLLDAFSFMPSHKASGLSGNLQLAEIVLDSQRAEIPVLH >ONH97169 pep chromosome:Prunus_persica_NCBIv2:G7:17388532:17391061:1 gene:PRUPE_7G173600 transcript:ONH97169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIDSAETETADTLMTTVSRHHFGDEMDEPAFSISIIENMKEDYGLFVWPCSVVLAEYVWQQRLRFSGAGVLELGAGTSLPGLVAAKVGADVTLTDDSSRLEVMGLTWGVWDASTFSLHPKFVLGADVLYDASAFDDLFATVTFLLQSSPGSVFITTYHNRSGHHLIEFLMVKWGLKCVKLLDAFSFMPSHKASGLSGNLQLAEIVLDSQRAEIPVLH >ONH96488 pep chromosome:Prunus_persica_NCBIv2:G7:15097072:15098566:-1 gene:PRUPE_7G132100 transcript:ONH96488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVRGKEIGRGSFATIYTAKRKNPSSQLPPLMAVKSAESYYSASVENEKRILDQIGSCPQIIRCFGDDRTVEDGEEFYNLMLEYATGGTLADELKRNGGRLAEADVRRHTNSVLKGLGFVHAKGFVHCDVKLQNVLVFDNGVAKIADFGLAKKAGEAEQKAEVRGTPLYMAPESVNDNEYESSADVWALGCLVAEMASGKPVWDHSPGANIFKLLMRIGGDELPQIPEDLCEEGKDFLEKCFVKDPRKRWTAEMLLRHPFVSNYDAVLLEETKLSPSPRGPFDFPDWVSMASSEISSDFGDFFGKNDLNSGSDWSSRQSSIPAVDRLRLLATEETPNWSFSGDWVTVL >ONH98114 pep chromosome:Prunus_persica_NCBIv2:G7:20218645:20219432:-1 gene:PRUPE_7G230000 transcript:ONH98114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHGSGMTVSTSPKSGGNAEAAAISPKGGQCLCSPTTHQGSFRCRFHRSQSSAWMKRSKSMPTNNNSVASFSPKSVLEST >ONH97546 pep chromosome:Prunus_persica_NCBIv2:G7:18534664:18538539:1 gene:PRUPE_7G196000 transcript:ONH97546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHYASAHESIYRDLYQPAVIIGACFAAVALVLSIFLIFQHLRLYTKPTEQKWVVAVVFMVPVYATESILSLWNPKLSLACDILRNCYEAFALYSFGSYLVACLGGERRVVELLENQSGKLLNKPLVEGTDENHTEPHWSLRNFFLRPCILGKHLLNIEKFGLVQYMILKTVCAFLALVLEIFGVYGNGKFKWYYGYPYMAVVLNFSQMWALYCLVQFYNVTHERLQPIRPLAKFISFKAIVFATWWQGVGIALLCAFGVLPKEERLQTGLQDFLICIEMAIAAVAHMFVFSTAPYHYIWAYEYGKVTTETTKTKLKLEESDTPTVFERKETQVKAPGTSVTESVQDIVVDGGQRVVKDVVLTINQAIGPVEKGVEKGVTKIHETFHQRTEGSESGDQEIDEQVEMNLTRETHMDP >ONH96600 pep chromosome:Prunus_persica_NCBIv2:G7:15565650:15569496:-1 gene:PRUPE_7G139800 transcript:ONH96600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFAGGAVGTLFTVLYEVVKDVKDKTMMFKSLLGDLKSTLDSLKPLIEDIEKYNNLMDRPKEELENFKEKMKNGAVLVPKCSTVSRWNTYKKYKYAKKLLDLDQCLNRLLTILNVGGVRDGKETLYRVMNIEEKIDKLQIKEEVVLPKKLDIKAWCAVPELPPVTVGLDEPLRDLKKKLLKDNVSMLVLTAHGGSGKTTLATKFCHDKEVKDKFNNNIFFVIVSKKPNLDFVEEVYQSMNSEDARQNPLLLILDDVWLGSISLLQKFDGLKGPNGKILVTSRSEFPGFGTPYFLESLNDKDSMTLFHHSASLGNRSSSIPEDLLRKIVDRCNGFPLAITVTGNSLRGQATEFWRKRLRDWSKSSILDIETELLLRLQSSIDALDEKDAIIKECFMDLGSFPEDQRIPAAAVIDMWAELYELDEDFLSITNLLELTTRSLANLVITRKENMEMVDDYYVEHFVTQHDMLRELAVYNAKLDPIEQRKRLIVDISGDNEPKWLTEQKSQPIKARLLSISSDGVFSTKWQSIQLPEVEVLVLNIQTKNYALPQFVEKIDKMKVLIVTNYSSLPAELSNFELLGSLSNLKRIRLERISIPSITKNPIQFRSLKKISLFMCNIGQALSNCSVKISDAFPNLEEMNIDYCHDLVELPTEVCDLIHLKKLSITNCHNLYALPERIGKLANLLVLRLRSCTDLVKLPGSMKNLKKLVFLDISDCFSIRELPEDIGEISNLRNINMRQCSRLQELPLSVFDLEQLEEVICDEETGSLWEPFLNCLRSTRIMVAKENINLNWLQ >ONH96465 pep chromosome:Prunus_persica_NCBIv2:G7:15043867:15045183:-1 gene:PRUPE_7G131200 transcript:ONH96465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPEWQQEQAGGHKEIGHKSLLESDALYQQNTLGKVAAYHILCTYVLVCFSNTMEIGVYIGYSLLSTLGLPQDGKILAMDINRENYELGLPIIQNAGVSHKIDFREGLKIKYHGTFDFIFVDADKDNYLNYHKRIIELEKDGGVIGHDNTLWNASVVAPPDAPLMNYVRFDRDFVLELKHGSYC >ONH96953 pep chromosome:Prunus_persica_NCBIv2:G7:16759505:16764276:1 gene:PRUPE_7G161800 transcript:ONH96953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTHYLLYENCLAGQKTQNLTAVAGRNPTVGESGLLSSSLGAGTLTDSTCQAMRSLRRRFHFHSSSSSSSHRILFALLTVLVSQALQAASLVAPRSNCYAFDNSSRVVDFSSWIGHPFEYDGKDSDLVLRFCKDVESRSQTGYVDFGRFDKFNYFVSGSGHVDFVQGFYNGDLANCEHSYDKLGRTAQVNIICGSCLNGQCTGGLGCICKVTYESSCRVFLELAIPCEKPGPRVFKGFTVGFHPRSWELVYDGMTQLGYEKSHHEFSFGTEQTHVTLYMTAIASLSTLVQRPVIKVLPENGLEVKVSGSGATGSPPTTLSPTMLNVDWRCEKARDTPYEVNITIPVEGYEPIQFFLAKLCENRQNQNGNSARGWAIFGVLSCIFAVSSTLFCCGGFIYKTQVERKRGIDALPGMTILSACLETVSDGGHSYTRPEDLNTTFASEASWERSSASSQGTSRPNERKYGAI >ONH94422 pep chromosome:Prunus_persica_NCBIv2:G7:2228429:2230471:-1 gene:PRUPE_7G015300 transcript:ONH94422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGKQATASKRKPSTAILNGEGSVALVPVSQDAVPINCAPIVSSYNDKIRPLLDAVDKLRNLMVMDEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQHHSSPQPELSLEYNGIIDPTDEDNISDDIVKATNAIAGGGKGISNTPLTLLVKKNGVPDLTMVDLPGITRVPVHGQPENIYDQIKDMIMDYIKPEESIILNVLSASVDFATCESIRMSQSVDKTGERTLAVVTKVDKAPEGLLEKVTGDDVNIGLGYVCVRNRIGDETYEEALAIAHELFQTHSLLSKIDKSIVGIPVLAQKLVQIQANSIARNLPEIVKKINDKLNYCLSELNTMPKSLSSVAEAMTAFMQIIGMAKESLRKILLRGEFEEYLEDRRMHCTARLGKVRGISSIPIGFVEQVWSYVEEVVISVLMRHSENYYQLQLSARRAGHNLMAKMKERSTKWMKEIVDMEKLADYTCDPEYVSEWNRLMSQQNAFIDGVLNDEKRPSVVAIEGIGEVEVGVLRQFPHVLGQAFDLKMRMTAYWKVVLRRFVDCMALHLQLSVSNLVNKEMEAEIVNELMGPYGGGIERMLEESPTVAVKREKLNKSIKKLRDSKEVVAKIMDSINSYGD >ONH95146 pep chromosome:Prunus_persica_NCBIv2:G7:9236781:9247122:-1 gene:PRUPE_7G054000 transcript:ONH95146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSYLHFRILYTYIYIYIYIPLKFMDWDLWSSPYDSWDLWDSPDHQVAPGSTYGAESGWECDFYFGCGRDLIEEDAINEKCCIQVLKILITKADTEIDELEKDLVALQSELAWAEHEEWSEICGSALREKIVWLDISTRNLRSIDENNNDIQLLMHREPADNIHDIVKALLRTYFPEKDEQRTPEVIALNSSSDSSLHDTGLLDGHKDLNKSDSHSVVKEERGEIGITKGERCTSTTLKFQEKKTNDSKTIKPANTNIMDSSPDSLRLAADYYDKKKTSSKSNSKSTQQWEAKGQGLTCEENKTSTMMFKNEKPANTNIMYSSPDSLRLAAGYSDKKKILDSEHARQWVAEGQDLAPVEKKASTVTFNSQQPANIDVMNLSSDSLRLAAGYCDKKKTTYSESTTHWEPKGQGLAPDEKKIIQDASMFHKERSMQNLEVEHATIKDSSTSISCPDENDILNFVYSESIDEKVDEYSSIPMADITGSLSRPDGFGRDFGKTVEFQIELPEDASVKYFSSDSLKYANGCSSGMKNSCLPLLVENGYEEVRKQPSTSTDKNQMLSLSLNPESTLEKTEKHIAMKNSSTSVICPEEKIKLKISDSVIMNEMVEEHASMPVADNIGPSLRPDGPGTDVKLVELVTKETSSTSVSCPDEKNKLKNSDSKIMSEKVGEHTSIPMVNIVGSSRRDKCGTDVDRTVESADGVVKYFSSDSLKYAKGWSNEMKNSRLIEKGYEEGGKATHQKIEKEPANALVKYMSPNSWRQSAGINKRTESSESRLCASRQGKNEKSDVEQKLIDFVPKTAQKVGIKESKVILANKTVCSNTCLKAAGDVSNNLQIVKFQEGGLTDLGHFALPSKDQMGEDTAKPKLNDVGKPAEESQKANADLHKKPRVNLGLEPQKPKTQRKSPFSMKNAQEPSFPPTDTSFIPHSKKERKSIIDDDNTSLKQFLSLMHLKKSVHTTKVSASQSQKKRKRTSTLSLTAEVKDLPVDLGLQDSHKDATDGGSKKDLQIVVYEKTEDLHIIEPYSVEDGSYTGALVPHTISSLKNKKIVELRKLAQKIKLTGYYKLRKEALVEKIAKKLGC >ONH96374 pep chromosome:Prunus_persica_NCBIv2:G7:14651629:14653089:-1 gene:PRUPE_7G124400 transcript:ONH96374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQDHQLHFVFFPLMASGHMIPLIDIARLFAQQGIIVTIFTTPHNAARFQTVLTRDLESGLQIRVIQVKFPAEEAGLPEGCENFDMLPSPDLVFNCFAATTLLHKPVEKLFEELTPKPNCIISDVSFPWTYDLASKHHIPRISFGGTNCITFLCVHNLSVSNVLESVTSDSEYLVLPNLPDRIEMTKDQLPDGSVTARLKDFFDKMGAVETETYGMIVNTFEELEPAYVQAYKKVKNDKLWCIGPASLCNKDDLDKAQRGNKVSIDELHCFKWLDSWAPASVLYACFGSMCHLSSEQLIEIGLALEASNKPFIWVVRGCIEIQELQKWIAESGFEERNRARSLVIHGWAPQTLILSHPAVGGFLTHCGWNSTLEGICAGVPLLTWPLHGDQFINEKLVEQILKISVRVGVEFSVLWGEEEKIRVVLRKEKVKEAIEKLIDGEDSQGRRERARELAKMAKRAVAEGGSSHLNIKQLIQEIKELTA >ONH95400 pep chromosome:Prunus_persica_NCBIv2:G7:10649465:10649665:-1 gene:PRUPE_7G068100 transcript:ONH95400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSISGAFFFVQMLVLAAMVSAQESAPAPSPMDAGAAYSLPVNSVVVGASLLVSFCALFKRYLN >ONH98123 pep chromosome:Prunus_persica_NCBIv2:G7:20240110:20242903:-1 gene:PRUPE_7G230900 transcript:ONH98123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAARLNLRLQKELKLLLTDPPPGASFPLLSSSSSLTTIDAQIKGPEGTVYAEGLFNIKIQIPERYPFQPPSVTFATPIYHPNIDNGGRICLDILNLPPKVSEFFDVPEKSANGKCGLSEVAKGIINGPFALEGAWQPSLNISTVLTSIGLLLSEPNPDDGLMCEASREFKYNRQAFDQKARSMTQKYATGNVCDTQFHSNANPITVQLEVETTKLEPKHEADEFVVSDKKVIGGSRKLSLEASGRTKKRDANEEGNQVPKDQPFLSDFGNQIEEEGTEKAVKDTLDKCNQSQEKKLCGSRRKLSLESLGQFQKKSDNDMENVVPNNHCSSLKPESLPVPSSGSLVPQSGNSHNQGFHQSQESKLADDNIGMRSKRVPKFGNNLSLGSLNTSKMIDENIVIPQLSPSQSHCNALSEPLLMAPALGSVTKLQPHKDLVDGIGNGSIGTSCKKLCLAGKKLSLGFRGSSESHGKVDKENVAPQVTENSDAVSKNGGINASYIKCGMDQSEEKNSRNMLPQSQKSVSSYPSKSVPKQEQNLRWDEKQQSKQGYNCEITKVIKQKESEGPAISESEAVIVLDSESSDEERRITPRSKSLLARKRMGKWRIRS >ONH96162 pep chromosome:Prunus_persica_NCBIv2:G7:13797162:13797754:1 gene:PRUPE_7G110500 transcript:ONH96162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRSARKSKKCQINIPPKTCIQQIGKDVFLYKRHRLNSLPNTYIRDPINIQTSIYAQPYKHVQNLQPWAKKCL >ONH97781 pep chromosome:Prunus_persica_NCBIv2:G7:19217602:19220353:1 gene:PRUPE_7G209800 transcript:ONH97781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKKSRIVIIGAGMAGVTAANKLFTATGSKDLFELCVVEGGSRIGGRINTSEFGGDRIEMGATWIHGIGGSPVHKIAQEIHALESEQPWECMDGSSDEPKTIAECGFELTPTLVDPISSLFKNLMDYAQGKKVFDESTETESNGDVEYSKLGDKASEVCTSNGGLGKLSVGSFLRQGLDAYWVSKKNQDEELKLNGYGNWSRRLLEEAIFAMHENTQRTYTSAGDLFTLDYSAESEYRMFPGEEITIAKGYLSIVQSLASVLPPGLIQLGRKVTKIEWQQPETHINNGYDDTRPVKLHFSDGSVMLADHVIVTVSLGVLKASIQQDSGMFNPPLPSFKTEAISRLGFGVVNKLFLQLSSTHATKGQDFRKEALELESLKDEEIINGVSATVSSFLSKPQKKERENCHSHELCNGNVNSQENSEVKIAKVLKSQWGNDPLFLGSYSYVAVGSSGEDLDTMAEPLPKLNSCGDEPATSPPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHHVGV >ONH95235 pep chromosome:Prunus_persica_NCBIv2:G7:9766705:9768940:1 gene:PRUPE_7G058200 transcript:ONH95235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIGHLFQDQNLIVHSHGASLGRKGDTFRKQRKGGLGARKPLGDLSNSGKPALTQASKKQLSKEMVHDASNKKAFSKASDKVQTRSRKALSDISNSQAPLVQKKHNMKLSVVAEEALCPGAIAEERFLHNHEECIKAQTQAMDMDHFLMTLGIHKDSCKNLASPWAAPASSSKFEPESPSRYLHLEEMNELEPSWLSEKLDSPPFSPKSPSFHTVSSLFSEDCDFQLM >ONH95292 pep chromosome:Prunus_persica_NCBIv2:G7:10084822:10086834:-1 gene:PRUPE_7G061700 transcript:ONH95292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSLLLCFLTFFSLCAGYASAAAAAAAAPLDGELPNGHFEEAPKPSNLKKTVIIGKYSLPKWEISGLVEYIKGGPQPGGFFFPVPRGVHAVRLGNEASISQDVVVTQGSVYSLTFGATRTCSQDEVLRISIPGQSADLSLQTLYSSDGGDTYAWAFRATSKVVKVTFHNLGVQEDPTCGPLLDAIAIKEILPLKYIRGTLVKNGGFESGPHVFKNFSTGILLPPKAKDQISPLPGWIIESLKPVKYIDKKHFQVPGGLAAIELVAGRESAIAQIIRTIPNKFYNLTFTIGDAKNACHGSMMVEAFAGKETLKVPYMSQGKGGFKTASFKFQATSPRTRITFYSAYYHTKLHDFGHMCGPVLDNVIVLPVSSH >ONH98285 pep chromosome:Prunus_persica_NCBIv2:G7:20709198:20712839:1 gene:PRUPE_7G240500 transcript:ONH98285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKMEISLDKLPIKRLDVIEENGLERFPPDVGYEEKRQSLIRRIDFAWAVEKDENKKQKKSSKESAATQWQWQSMVENLQLAHQELSVIIDLINTVETNDAVTVASMTRPKPFHDEVMSDLAVSAATKLQCFRHLGKYFKQSAKALERQVAREARFYGALIRLQQNWKVKRQRVAASASGNEGFTIDLFDSSLYDPAAIFRPSSLSTVRVDHDSAGMLAINLPPNSYRSLQFGFLGADPGVSPIESSQTKIRLSSEAEKESVSDDECVKETHSLLREVHQAIFDEQVFDLVNREAFNQSLGVNVTGIQENYLQLNIGQGTSVFISLVPSRGEQTVDSPSTQNLENTILPLDTLDGLELAEDKPDTVKKTSLIPDQISCEIYLQQIFHQHVFVKAKDRPTSAGARVSGQLAKEGPGLLGHFCMSLAHRIFSNKVLKELENVVKGVPYLQLLSHPTWHSRTSSWMLFVKIPESILHACQTRTSDMRHMRNITKSQFHTKVVVTDDCINVEGEGAPNVVGLFKGNSEEICLMNRYDCDLADLPVIILQQVASQVIRWLHEEALMVGIKANRDFLCLSFELDLGETLSLVAHVDPEDGEGCISWWLVINGGFVEERKLSMDASDGASESRKFLGHLSLEVLYSTLMDLVSLCTGRGN >ONH98107 pep chromosome:Prunus_persica_NCBIv2:G7:20200989:20206930:-1 gene:PRUPE_7G229400 transcript:ONH98107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLRSGVRRSKRINYVQDNPAVLVPAARPGAGKVLTGKGRGRGSRAMHQGKNSKLFGAGVGGQGHTGLDFPERDLVPVHDELVGQKGAQKLAAIEDEGSTSPLPESVQVGHSPIYKLERKLGKGGFGQVYVGRRMSPGIGCTATGADAYEVALKLESRNGKGCSHGPPYEWQVYSNLNGCYGLPLVHYKGQQGDYYILVMDMLGPSLWDVWNSRNQLLSEEMVACIAVEAISILEQLHIRGFVHGDVKPENFLLGIPGTSNEKKLYLVDLGLASRWRDGSSGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYNGENKGFLVCKKKMATSAETLCGLCPPPFQQFLEMVTNMKFDEEPNYLKLISLFDNSIGAIASLRPIRTDGAAKVGQKRGRVPVELVDGEQPKKKVRLGSPATQWISVYNTRSSMKQRYHYNVMDSRLDQHIDKGKEDGLYVSCVASSANMWAIVMDAGTGFTSQVYERSSVFLHKEWIMEQWDKNYYITSLAGTCNGNALVVMSQGVPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTTAGSRWGIVMSRNAGYSSQVVELDFLYPSEGIHRRWETGYRITSTAATEDQAAFILSVPKKKSPDVAQETLRTSVFPTYQVKDKWLKNLYIASICFGRTVS >ONH94230 pep chromosome:Prunus_persica_NCBIv2:G7:612358:616741:-1 gene:PRUPE_7G005100 transcript:ONH94230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISVHIGQAGIQVGNACWELYCLEHGIQPDGMMPSDTSVGAAHDAFNTFFSETGSGNHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGREIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITSAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNASVATIKTKRTVQFVDWCPTGFKCGINYEPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGEGEGY >ONH97666 pep chromosome:Prunus_persica_NCBIv2:G7:18939063:18940533:-1 gene:PRUPE_7G204600 transcript:ONH97666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKACREAERRVLSLLHGRKTRTHLRQIHGHLLRHGLGQFNQVLAHFVSVCWSLDKMSYANRVFDHTHNPNILLFNSMIKGFSICEPFEQSLYMFSLMKDRGICPDEYTYAPLLKSCSNICDHRLGQCVHGQILRVGFECFSSIRIGVIDLYVTCGKMEDARKVFDALSHRDVIVWNLMVRGFCKTGDVDTGLYLFRQMGERNVISWNSMISCLTQCGRDSETLELFREMLDQRFEPDEATVVAVLPACAHLGDVEVGKWIHSYTDSKGLLKQVVSVGNSLINFYCKCGDLDAACSIFDQTPRKNVVSWNTLISGYAFNGRGELGIDLFEKMMNKGEGPNDATFVGVLTCCAHAGLVEKAKELFASMVVSHQLEPKLGHYGCMVDVLGRSGRLKEAHDLIKSMPMKPNAALWGALLGACSTHGEVELAELAAKELINLEPRNSGNYVLLSNIYAEEGRWDEVEKVRDLMRENCIKKTPGQSAIG >ONH96215 pep chromosome:Prunus_persica_NCBIv2:G7:13947417:13948637:1 gene:PRUPE_7G113800 transcript:ONH96215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVVCSPAAVAERISWYCALFLGVMLVLSCFESLSSENEYRVRTIQLQVDRLNMPCDEIYVVHEGETLQTISEKCGDPYIVEENPHIHDPDDVFPGLVIKITPFKLR >ONH96684 pep chromosome:Prunus_persica_NCBIv2:G7:15900446:15901215:1 gene:PRUPE_7G145700 transcript:ONH96684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRDQVAAQNYACASCKHQRRKCDETCEMAPYFPASRYSEFQNAQKIFGVSNIQKIMAMAAPDQRQAAAESILTEGNARKNDPVHGCLGIIRGLNAQIQGLERELHIMKQHLELCKEKEELDGKKNQSKEEDLQHDQSDGLNFPSPILPDLPSHVTAEMRKYEQGYNYWSILEDAEEEVFDIRLIEPVLFESNLKGNASSSGSGKSRLCKTLYFV >ONH96424 pep chromosome:Prunus_persica_NCBIv2:G7:14890134:14892153:-1 gene:PRUPE_7G128600 transcript:ONH96424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVTASAHLSPNLFSPLPRASVASTKCFPMEMSPAISSANKRRALPCPLVIKNTRISSVDVVDEADQQKELGMEKKPNETLLYSLSPLPLLLVAALPGAGAVTSLFEPFVELVKSFGLPGWLVHWGHPGNMAVVLFAMGGYGTYLGYRIRYSDDVEEKANAKDLHPKLLAGMFFFFALGATGGVTSLLTSDKPIFESSHAVTGLIGLGLLTIQTILPALFEGKPELRTVHGVLGTGIMTLFVVHAALGLQLGLSY >ONH98725 pep chromosome:Prunus_persica_NCBIv2:G7:21846712:21849547:1 gene:PRUPE_7G264000 transcript:ONH98725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVWNPNGKYRVVSTKPMPGTRWINLLIEQDCRVEICTRKKTILSVEDIIALIGDKCDGVIGQLTEDWGETLFSALSRAGGKAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSLSAARRIVEADEFMRAGLYDGWLPNLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQATRLEKFVTAYAEFLKANGEQPVTWKRAASMDEVLREADVISLHPILDKTTYHLVNKERLSIMKKEAILVNCSRGPVIDEVALVEHLKQNPMFRVGLDVFEDEPYMKPGLADLKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWSDPNRIEPFLNENVPPPAASPSIVNSKSLGLPVSRL >ONH96252 pep chromosome:Prunus_persica_NCBIv2:G7:14164351:14167758:-1 gene:PRUPE_7G116600 transcript:ONH96252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFKGKYHDELIANATYIGTPGKGILAADESTGTIGKRLSSINVENSETNRRALRELLFTTPGALQYLSGVILFEETLYQKTAAGKPFVDVLREGGVLPGIKVDKGTVELAGTNGETTTQGLDGLGERCKKYYEAGARFAKWRAVLNIGPSEPSQLSINENANGLARYAIICQENGLVPIVEPEILVDGSHDIHKCAEVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSDSPKVAPEVIAEHTVRSLQRTMPVAVPAVVFLSGGQSEEEATLNLNAMNKLKGKKPWTLSFSFGRALQQSTLKAWAGKEENIKAAQEAFLTRAKANSEATLGTYKGDAKLGEGAAESLHVKDYKY >ONH97897 pep chromosome:Prunus_persica_NCBIv2:G7:19599049:19601034:-1 gene:PRUPE_7G217100 transcript:ONH97897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEELEEAECDWSDGVSGRQIVRVDDAKRALVGAGARILFYPTLLYNVLRNKIEAEFRWWDEVDQFVLLGAVPFPKDVPQLKRLGVGGVITLNEPYETLVPTSLYRAHGIDHLVIPTRDYLFAPSFEDISRAVEFIHLNASCGRTTYVHCKAGRGRSTTIVLCYLVKHKNMTPSAALDYVRSRRPRVLLAPSQWNAVQEYSRCKPATTACSPSGDAVLITKADLEGYHGTCDDNACKQLAIVPKMVKASPMIARLSCLFASLKVSGSCGPVTRRLTVPEPRAC >ONH97898 pep chromosome:Prunus_persica_NCBIv2:G7:19598547:19601520:-1 gene:PRUPE_7G217100 transcript:ONH97898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEELEEAECDWSDGVSGRQIVRVDDAKRALVGAGARILFYPTLLYNVLRNKIEAEFRWWDEVDQFVLLGAVPFPKDVPQLKRLGVGGVITLNEPYETLVPTSLYRAHGIDHLVIPTRDYLFAPSFEDISRAVEFIHLNASCGRTTYVHCKAGRGRSTTIVLCYLVKHKNMTPSAALDYVRSRRPRVLLAPSQWNAVQEYSRCKPATTACSPSGDAVLITKADLEGYHGTCDDNACKQLAIVPKMVKASPMIARLSCLFASLKVSGSCGPVTRRLTVPEPRAC >ONH98326 pep chromosome:Prunus_persica_NCBIv2:G7:20835357:20838086:-1 gene:PRUPE_7G243300 transcript:ONH98326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPISQSQSLFNKTRCPPKSLTSPNLGSDFLLKKSSVSIPRMSTRVPMKAAGVVSATTAEKAKKRYPGESKGFVEEMRFVAMKLHTKDQAKEGEKEVKEPQERPVAKWEPTVDGYLKFLVDSKLVYDTLEGIVEKAPFPSYSEFRNTGLERSAKLAKDLEWFKEQGHVIPEPSAPGVTYAEYLKELSEKDPQAFLCHFYNIYFAHSAGGRMIGKKVAEMILDKKELEFYKWDGELSQLLQNVREKLNKVAQSWTREEKNHCLEETEKSFKHSGEILRLILS >ONH96799 pep chromosome:Prunus_persica_NCBIv2:G7:16309238:16312203:1 gene:PRUPE_7G153000 transcript:ONH96799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVDSKEHSTGNSEQGDLSDDSGQEYPPSPVHEEKVVDGYDVFHGSPNDRKRIALVVDYSEFPGPKRFKQNIEIKDVVTEHVLPFLPAKSLFRLRAVSKEWDELITSPFFIHQQSHHFRDISGLFCQLPGDAPFFVSLNGDAYGVPSPSLSFLPEPVTVRTSCNGLLCCQSCNGENTYYICNPATKDWRALPKSNLYHGPEPALALAFEPSVLNFAAHYQLICAFSLTDHPVICFEIFSSRSSSWRLADTECCELDASKLNGDGLFMKGVAFWETSAGDILAFDLNEEQYGILSLPLGSGPHGALAVMHGELCYILPHRRGYEYNLDIYGDMDMNLKHSISLDGDFFHEFTEKMRALACVNSDVVIVNIGRILIAYDVKAGKAEVLRSGTGTDDGCVRYLPYVNSLVHVH >ONH96798 pep chromosome:Prunus_persica_NCBIv2:G7:16309600:16312202:1 gene:PRUPE_7G153000 transcript:ONH96798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVDSKEHSTGNSEQGDLSDDSGQEYPPSPVHEEKVVDGYDVFHGSPNDRKRIALVVDYSEFPGPKRFKQNIEIKDVVTEHVLPFLPAKSLFRLRAVSKEWDELITSPFFIHQQSHHFRDISGLFCQLPGDAPFFVSLNGDAYGVPSPSLSFLPEPVTVRTSCNGLLCCQSCNGENTYYICNPATKDWRALPKSNLYHGPEPALALAFEPSVLNFAAHYQLICAFSLTDHPVICFEIFSSRSSSWRLADTECCELDASKLNGDGLFMKGVAFWETSAGDILAFDLNEEQYGILSLPLGSGPHGALAVMHGELCYILPHRRGYEYNLDIYGDMDMNLKHSISLDGDFFHEFTEKMRALACVNSDVVIVNIGRILIAYDVKAGKAEVLRSGTGTDDGCVRYLPYVNSLVHVH >ONH96724 pep chromosome:Prunus_persica_NCBIv2:G7:16039028:16039603:-1 gene:PRUPE_7G147800 transcript:ONH96724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTDTRAISKPSKWFSNKSLKLSFPGRRSSKSSPKSPLSPTSAPVGPISPRWTSREDELREVFRYFDGDGDGKISASELRAYFGSIGEYMSHEEAEAVIKDLDADGDSLLDFEDFLQLMSRGGGDDEDLKKAFEMFELEKGVITPRSLQRMLHRLGDTKSYNECVTMIQVFDTDGNGVVDFNEFHRMMA >ONH96604 pep chromosome:Prunus_persica_NCBIv2:G7:15578477:15581085:-1 gene:PRUPE_7G140200 transcript:ONH96604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTLSDIILWRKKRQSLLTLLTATATWVLLQVHQFNFLTLISYLAMFIVASLFLSGNLLRLLGKAPPDLSRVGTSEKSASEMGNTIRAWVEEGIRWMFWVAAEREWFVFVGTVSGLLLVSRVATSIDLLTLLYIGIVTGMTVPAMYVKYQDKIEWGEEKLKAQLKSYYDMVDEKVVKKIQNKVTVREEKEKKVE >ONH94773 pep chromosome:Prunus_persica_NCBIv2:G7:5437341:5439775:-1 gene:PRUPE_7G029300 transcript:ONH94773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSIRSLVEITSRKIIKPLSPTPYHLKALKLSLLDQILPPTLYGTLVFLYTNHWHGAGFVSNASKRLQESLSKTLVLFYPLAGRLKGPAFIECNDEGAHFLEARVNCQLADFLQQPEPKLIHHLIPETDYETAQVALGSVLLLVQINFFNCGGIAIAVSPSHKIADVTSLYTFARTWAAINRDEDQYDDGVGGQLALPEFNGGNLLPSRDLPAIPKTLETPSENLTTRKFVFDVSKMASLKAKIEGVVPNFIPTNVQLVLAIILKCAIAAFHKSKPGTPIRPTVLFQMVNLRRRMLPELPQNAMGNWFWPLPILFKEDETQLHELVSTMRKGLTDFVIEKANRFKGVEGFLAVFECIRERGQLLKSTKDINLYWATSLCKLPLYEMDFGWGKPTWVTSKGGYKNVIALVDTKFCDGIEAWVTLDEQEMAILESDEELLAYLVPSTPAQTMFDIKSSIKLKSNM >ONH98045 pep chromosome:Prunus_persica_NCBIv2:G7:19980371:19982515:-1 gene:PRUPE_7G225200 transcript:ONH98045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSYFGEPNMGNDQRGGGNGGGGSSSSSSSRKGKKSNSDKPKQPQRGLGVAQLEKIRLHGQLGCTYHPSLHSPYSTNFTNDETRVQTAYSSIPSSSFSSSSSSTSSSLSYGFHPNIMMGLGEYERANIIYGGDNSPHSSTARWDVHSNAILDTQHFVQPSMTRHLLNPPPVEDSQHNKSKKHRSSSMGSSSQNSESSDTQELDLELRLSL >ONH95642 pep chromosome:Prunus_persica_NCBIv2:G7:11769518:11780226:-1 gene:PRUPE_7G082400 transcript:ONH95642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKHGPRSKKFGSRAANSPASSTTSSSKQYLETSIEGQSSPASSSARSKPQYLYSESVPQDVDRSKENVTVTVRFRPLSPREIRQGEEIAWYADGDTIVRNEHNPSIAYAYDRVFGPTTTTRHVYDVAAQHVISGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGTKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAVSLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDARATHIPYRDSKLTRLLQSSLSGHGRVSLICNVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVTIPQLKDAGEDDILLLKQKLEDGKFKLQSRLEQEEEAKGALLGRIQRLTKLILVSTKATQSSRFPHRPGHRRRHSFGEEELAYLPYKRRDLILDDESIDLFVPPLEGSTETTEDTLKGEKKTRKHGLLNWLKLRKRDSGAGTLTSTSDRSSGIKSTSTPSTPQAESGNFHPESRLSHSLLTESSPSADLLSEAREDREVGQENFLGQETPLTTTKSIDQIDLLREQQKILSGEVALHSSALKRLSEEAAKNPHKDGINMEMRKLKDEIKAKNGQIALLEKKIAESFIVSPNKLDQLEISQSFAEVMAQLNEKSFELEVKAADNRIIQEQLEQKVCECKGLQETVASLKQQLSEALESRNLSPIVSSQTDSKKLHEELYTEKEHAVVNDTNEIFLLQKQVEELQQKVAELTKSKEHLEVRNQKLVEESSYAKGLASAAAVELKALSEEVAKLMNHNEKLTAEVAASKNSPTQRRSSSTGRNGRRESHAKQDQGAFVSEMKRELAVSKEREHSYEAALMEKDKREAELQRRVEESKQREAYLENELANMWVLVAKLKKSQGTETDSSESTKETRRSDGFGGW >ONH96042 pep chromosome:Prunus_persica_NCBIv2:G7:13346482:13347250:-1 gene:PRUPE_7G104000 transcript:ONH96042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKKMGSFKKLAKKVKVMGGANEPSHHECLLRESAAAEQGGSPSTKPPTGFLALYVGEERQRFLVRTSFLSHPLFKMLLEKAYNEYGFEQRNGLVVPCSVSAFEEVVNAVECSNGKFDFGELVEEFIS >ONH97773 pep chromosome:Prunus_persica_NCBIv2:G7:19187216:19191283:1 gene:PRUPE_7G209200 transcript:ONH97773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKILLCGDVLGHLKQLFKRVASVNKSAGPFDALFCVGQFFPDSADQLDEFNDYIEGRAQIPLPTYFIGDYGVAATKVLLAATKDSGNQGFKLDGLKICNNLYWLKGSGKFKLHGLSVAYLSGLQCSDSQQFGTYSQDDVDVLRAVAEEPGIIDLFLTNKWPSGITNRAAASDVPPGASEPFGSDSTVAELVVEIKPRYHIAGTKGVYYAREPYSNVDAVHVTRFLGLASVGNKDKQKFIHAISPTPASTMSSVEISTKSPTTTLSPYTYGEKTDHATEAGKRSSDSISDSQYWRYDVNQKRQKHGAGDGNRLCFKFVSSGSCPRGENCNFQHDVDAREQSLRGVCFDFMNKGKCERGPDCKFKHSLQAEGESNSHQRRGSGNANSNRSTECWFCLSSPKVESHLIISIGEHYYCALAKGPLVEDHVLLIPIGHSPNTLSLPSECEIELGKFQDTLKQYYKKQGKDVVFFEWASKRTTHANLQAVPVPSAKSAAVQNIFNLAAEKLGFKFETTKSGNNSDGRKMLRTQFDKNLSFFYVQLPDGTILSHSIEENERFLPQFGREVIAGLLNKADRADWRNCTDSKEEEAKMVGDFKSGFEEFDPNK >ONH95593 pep chromosome:Prunus_persica_NCBIv2:G7:11566044:11568200:-1 gene:PRUPE_7G080000 transcript:ONH95593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFCPFSTKFQMFFFALSTVFPTISRAKGVVQFCCRGLRSYEHFAFEVFDGSVTDFLMEGF >ONH95594 pep chromosome:Prunus_persica_NCBIv2:G7:11567777:11568076:-1 gene:PRUPE_7G080000 transcript:ONH95594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFCPFSTKFQMFFFALSTVFPTISRAKGVVQFCCRGLRSYEHFAFEVFDGSVTDFLVWDLVFPI >ONH96496 pep chromosome:Prunus_persica_NCBIv2:G7:15145661:15150195:1 gene:PRUPE_7G132900 transcript:ONH96496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKLVVLGIPWDIDTEGLREYMSKFGELEDCIVMKDRSTGRSRGFGYATFASAEDAKNAASSEHFLGNRMLEVKIATPKEEMRAPARKVTRIFVARIPQVVTEATFRSHFEKYGDITDLYMPKDQGSKMHRGIGFITFENADSVENLMADTHELGGSTVVVDRATPKEDDFRPVGRMAQGGYGAYNAYISAATRYAALGAPTLYDHPGPVPGPAFPRGESARGLGKKIFVGRLPQEATAEDLRQYFGRFGRIADVYVPRDPKRTGHRGFGFVTFGEDGVSERVSRRSHEICGQQVAIDTATPLDEAGTSGNFVMNNVEPFGGGYGGPVRSYGRMYGSLDFDDWGYGIGSARPSRADWRYRPY >ONH95020 pep chromosome:Prunus_persica_NCBIv2:G7:8331215:8333535:-1 gene:PRUPE_7G046900 transcript:ONH95020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSHSLKLQLIALPHSRFFWLLRFACFASFVGIYLWDLFYFLFLLHGRGKKGSNTRTIMGSILALCVYRHIGQIEIYPNFGPHVYSNKYKRVFRLGVC >ONH98525 pep chromosome:Prunus_persica_NCBIv2:G7:21308804:21310263:-1 gene:PRUPE_7G252500 transcript:ONH98525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIAQSVWFILGTWIFVLSRLPLTITTFRIVDEGEGSFIIKTLRSTSTSVSASLHCEDNEPKGGGGGEEGETTCQGLVEKRRNGKRRTQKKAPQVY >ONH95398 pep chromosome:Prunus_persica_NCBIv2:G7:10625301:10628049:1 gene:PRUPE_7G067900 transcript:ONH95398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYPYSSNFFPGLFKFNPLYQRHHEQPPNPPPPPPPHPYAHNFFLNQSHSFYCTTQQTVTSRPPSPPLREALPLLSRLSPTNQQQQHHLLHENQQDHHQYKDQDEEEEDDDDESVTVALHIGLPSPSAAEMASVLSAAAVASSSSEISSHHHEKLDHGGDNDSAGYPMSCRLNKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCTPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHAAYGIDGFEEEDDPASEVEQDNDSMQ >ONH96726 pep chromosome:Prunus_persica_NCBIv2:G7:16052468:16054089:-1 gene:PRUPE_7G148000 transcript:ONH96726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFLINLILLFASSTNAATAASSGRLKEALATSTPQRFKEAPKFYNSATCPALNTHEHSSTVCSDVAVHVAMTLDAAYLRGSMAAILSVLQHSSCPENIIFHFVSSSSSSNSQALTQTIATSFPYLKFRVYPFNDSAVLGLISTSIRSALDCPLNYARNYLANLLPTCVRRVVYLDSDLILVDDISKLASTPLEPTQVLAAPEYCNANFTSYFTPSFWANPTLSLTFENRRACYFNTGVMVIDLDKWRAGGYTDRIVEWMELQKRMRIYELGSLPPFLLVFAGNIAAVDHQWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLQTPFALEA >ONH97391 pep chromosome:Prunus_persica_NCBIv2:G7:18072372:18075763:1 gene:PRUPE_7G187500 transcript:ONH97391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRRRPPPNPKPLNHRRRPNQTAEVADPNSYPKASDALPLPLYLTNGLFVTLFFTVAYFLLTRWREKIRTSTPLHVVTLSEIAAIFSLFASVIYLLGFFGIDFVQSFVAPRTPQDDDWNNVVGLEPTPLPPPGPKKDETLLSKEDEQIVQSVLSGTTASYELEAKLGDCRRAAAIRREALERTTGRSLEGLPLDGLDYESILGQCCENPVGYVQIPVGVAGPLLLDGKEYMVPLATTEGTLVASINRGLKAIYASGGAISVLHKDAMTRAPIVRFGSAVRAAELMLFVEDPLNFDTLAAVFNRSSRFAKLLKIQCQIAGRNLYIRFACSTGDAMGMNMISKGVQYVLEYLQNDFPDMEVIGISGNFCSDKKAAAVNWIQGRGKSVVCEAIIKEEVVRKVLKTNMDSLAGLNVNKNLIGSAMAGTVGGFNAHASNIVSALFIATGQDPAQNVESSHCITQIESVKNGKAIYIAVSMPCIEVGTIGGGTQLASQSACLNLLGVKGANRESPGANARQLARIVAGAVLAGEVSLLAAIDTDQLMTSHMKYNRSSKDVMHLASTE >ONH95930 pep chromosome:Prunus_persica_NCBIv2:G7:12851334:12856666:1 gene:PRUPE_7G097000 transcript:ONH95930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAAGADDGRNKTVTGYPVEQGQFAAGYPAAGAYPYVAPPPPPHANTYRPMGPPPYYAPRPTGPITPNRPTLLCRLLIAAIAVFAIMSLVFFIAWLALRPRLPEFRVESASVFPLNATGSELTATWDLTLLANNPNHKLRIYYDSIQASLFYGDDYRLATTSLPPFVLTKRNQTRVGFKLATVGEYVGNYVAKGISDERDRGSVRFGLGVFASVRFRSGVFQSRPRVLRVFCERVDFGFAQKNGTGTLTGQSSPCVVDV >ONH95931 pep chromosome:Prunus_persica_NCBIv2:G7:12851334:12854313:1 gene:PRUPE_7G097000 transcript:ONH95931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAAGADDGRNKTVTGYPVEQGQFAAGYPAAGAYPYVAPPPPPHANTYRPMGPPPYYAPRPTGPITPNRPTLLCRLLIAAIAVFAIMSLVFFIAWLALRPRLPEFRVESASVFPLNATGSELTATWDLTLLANNPNHKLRIYYDSIQASLFYGDDYRLATTSLPPFVLTKRNQTRVGFKLATVGEYVGNYVAKGISDERDRGSVRFGLGVFASVRFRSGVFQSRPRVLRVFCERVDFGFAQKNGTGTLTGQSSPCVVDV >ONH96614 pep chromosome:Prunus_persica_NCBIv2:G7:15640561:15642878:-1 gene:PRUPE_7G141000 transcript:ONH96614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMIRKIEHIKDKEKNSILFFLLLVFFLARVCSSSPSPPNRSGKKHFVLIHGAGHGAWSWYKVATLLKHSGHNVTALDLGASGINPIQVEQLHSMSQYVEPLTKLMVSLPPTERVILVAHSITGAVISIFMERFPEKIVAGVYATAFMSGLGLSYSTIFAEINKRLDYMDTQYRYDNGPKNPATSFRFGPKVMASNFYQLSPPEDLTLGYSLVRFSPVFDFDQIKLTKENYGSVPRVFIVAEQDHAIVLDVQNFMIKNNPPDEVKVIKGSDHMVMLSRPVELFSDLQDIAEKYS >ONH95701 pep chromosome:Prunus_persica_NCBIv2:G7:12098062:12102710:-1 gene:PRUPE_7G086100 transcript:ONH95701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQVRMKRQVLKLRIQKILRFRLVEMVKKRKKKDKLMDKQFMRDPSEITWDMINKKFKEVVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSLVSAQFDVNPGLSGHMPINVWKKCVQNMLVILDILMQYPNITVDDMIEPDENESQKGPDYNETIRVWGNLVAFVERIDTEFFKSLQCIDPHTREYIERLRDEPMFLTLAQNVQEYLEKAGRFKAAAKVALRRVELVYYKPQEVYAAMKILAEQTEDGETGGEGQEPKSVEESRGPPAFVLTPELVPRKPTFTESIRALMDILVSLIYKYGDDRTKARAMLCDIYHHALLDEFSIARDLMLMSHLQDSISHMDISTQILFNRAMAQLGLCAFRSGLIPEGHSCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINPELLEAAHLICAMLLEVPNTAANIPDTKHRVISKTFRRFLEMNEKQTFTGPPENVRDHVMAATRALSKGDFEKAFKVISSLHVWKLLRKHESVLEMLEAKIKEEALRTYLLTYSPAYKTLSLAQLTQMFKLSEAQAHCIVSKMMINDELRASWDQPTHCIVFHDVEHTRLQDLAFQLTEKLSIIAESNERATELKIGGGAIEPLRRRDSQDYGAGTTAVSGRWQDNLSYTQGRQGGASGRTGYRSMAYGQAAGGYSRDRTGTGQYRGTGHNTRYQDAAYGGSGRTGYQASSSARGSQMDTSTRLVSLNRGIRA >ONH95700 pep chromosome:Prunus_persica_NCBIv2:G7:12098067:12102710:-1 gene:PRUPE_7G086100 transcript:ONH95700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKFWNQTGSDSEDADSEYDEEAEATSVETSKQIDNKYRTNVLPDDESEDEKRVVRSVKDKRFNEMNATVDKIKNAKNINDWVSMQDCFDKMNKQLEKVMRVTESEKVPSLYIKALVLLEDFLAQALANKDAKKKMSSSNAKALNAMKQKLKKNNKHYEDLISKYRENPEQSEEEGGVEDETSENEETGSEIEDPKDIALSVSGDGEEEEEGDGDNEDGWVKKMSKKDKLMDKQFMRDPSEITWDMINKKFKEVVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSLVSAQFDVNPGLSGHMPINVWKKCVQNMLVILDILMQYPNITVDDMIEPDENESQKGPDYNETIRVWGNLVAFVERIDTEFFKSLQCIDPHTREYIERLRDEPMFLTLAQNVQEYLEKAGRFKAAAKVALRRVELVYYKPQEVYAAMKILAEQTEDGETGGEGQEPKSVEESRGPPAFVLTPELVPRKPTFTESIRALMDILVSLIYKYGDDRTKARAMLCDIYHHALLDEFSIARDLMLMSHLQDSISHMDISTQILFNRAMAQLGLCAFRSGLIPEGHSCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINPELLEAAHLICAMLLEVPNTAANIPDTKHRVISKTFRRFLEMNEKQTFTGPPENVRDHVMAATRALSKGDFEKAFKVISSLHVWKLLRKHESVLEMLEAKIKEEALRTYLLTYSPAYKTLSLAQLTQMFKLSEAQAHCIVSKMMINDELRASWDQPTHCIVFHDVEHTRLQDLAFQLTEKLSIIAESNERATELKIGGGAIEPLRRRDSQDYGAGTTAVSGRWQDNLSYTQGRQGGASGRTGYRSMAYGQAAGGYSRDRTGTGQYRGTGHNTRYQDAAYGGSGRTGYQASSSARGSQMDTSTRLVSLNRGIRA >ONH98363 pep chromosome:Prunus_persica_NCBIv2:G7:20962912:20965219:1 gene:PRUPE_7G246500 transcript:ONH98363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFRRANGQPQQGDDEQDEYGDGLLRGYGGGFSFIIEFERCNCRRLCCCFGWAVPPNTSFYSEWAASKTFQIGDGLVFNWTGDHNVGGVASKEEYDNCIDPGIVFGPGVRIAINSTDSLYFICTVGDHCERGQKVTITVGSAANNSAPPPPPPSYASSLTPTTLGSFIISTLVAISFLNHS >ONH96438 pep chromosome:Prunus_persica_NCBIv2:G7:14910234:14910986:1 gene:PRUPE_7G129000 transcript:ONH96438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTCSFSFVVLNPSVYSSLFFPVLALLKCSHLSSPCQAVTSSVHETSFVSSSRSPFAGGSSIVTKLDFEGLTPRRGVS >ONH96883 pep chromosome:Prunus_persica_NCBIv2:G7:16545218:16549326:1 gene:PRUPE_7G157200 transcript:ONH96883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNWSRHGRYSVTPVKQRRSGYEPSDAETEIPDSPWHGGNMRINVGLEYEGTKAELDLARNISPLKQSRRQSSRIENDGPSLRTNSVVSPVRRRNSSKSPYKPRKDDGNARNSPLAGSDLHRNMSPLSKSERRRHVSPFQAERGEHDLNGFDDEIVGSNRKQQHHRRNSREEKKPNYSRRSTTAPRHRSPREVDQQNSYDQTPTKGERSRTPTKVERTPSPLSKNVAQKQRPVNSQMKSPSVGEINEMLANAKLARTSPNPMSNNKAPVFGSSDSISPGNIFFSRECTVMALPRKVLTKNGGFESPYSPKSKMVPQGDFAASQHRSRTSGSYDLNGNGRGTPSPYGLSQITTTTSSSAVSRQSSGTLSVGSSKRSDASGTTTASMRKFTANRRKSESQAWFSCMRKGACKTNKSPERGTFNEAAFIEKAFVVESLRQFWADKHQPASLNGFTCHKQEAQILKQLVIDNISPHILLTGPSGSGKKALTMAYLRETYGDSSWEISHDLRYFQVQEQKPIQVVVPLTSSAHHVELNVQLEANARYALMGLVREINNENAIAPEVSTVNSKANHKVIVLYGVDKAAEHIQHLIKWIMDCYSEACKLILCCENDAAVIESVKNRCKVIKVDAPVTHEIMEVLIQIARKEDFDLPMSFANKIAIKSKQNLRKAIMGLEACKAHNYPFGEDQPIPLGWEEVLLELAAEILADPSPKRLFFIRGKFQKLLVDFVHPKLILLKLVEQFLKGLHGSSKRELYYWHAYYEKRLPAGASALLKLEEFMAKFMSIYRKSSNNHQYA >ONH95560 pep chromosome:Prunus_persica_NCBIv2:G7:11377165:11381039:1 gene:PRUPE_7G077400 transcript:ONH95560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGMKAALTNSKLNCCIYVRAALFHSTPVLDRKRRNYWEPRFNNHSRRSRRNHAKQTLLRNVNAYADFLFQSWQKEYDEDEPSSSKGTSWFKKQYSAKGSKKNGGGNQGAWSSGRRGFQCFEEDIDVETIFRSTFGGTKFYYWSFIDEESSQWRSSSNHSNYGRSWNWRQQNKEEYETESESESERSESDERLALGLSASGPLTLEDVKNAYRISALKWHPDRHQGSSKAAAEEKFKLCSAAYQSLCDKLAVN >ONH97789 pep chromosome:Prunus_persica_NCBIv2:G7:19260608:19261815:1 gene:PRUPE_7G210500 transcript:ONH97789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLLKTFILSLYCPNSLSLSPMASSLLLLVSVSWFSSFLALLVSPAQAATCTSQTFNNNKLYSNCTDLPVLNSYLHWTYDTSDSSLSIAFISTPSQSDGWVAWGINPTSTKMAGAQILLAYNTDGGIPTVKTFNISSYTSVVPGKLSFDIWDISSEFSNGTFKIFAAVKVPKNKKSVNQVWQVGPRVNQTSGFPDKHDIVGPNLESFQTLYLAARPITGNSFNPYKPGTSINPYNPGNCSSPNTGTPSIRQLCTPASGRGGGSAGGALRIGSGGNVVLFSVLLSVLAAFIAF >ONH94998 pep chromosome:Prunus_persica_NCBIv2:G7:8133835:8135601:1 gene:PRUPE_7G045300 transcript:ONH94998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLCSLTRVIQSNPTHQVLCSCQMTRILFKQTSHDKSGTAALLTETTPPPSLLFKQNKTFGTAATIRLSFGVGVGCEKDYPTNFNLTMCKETPCKTKQK >ONH94846 pep chromosome:Prunus_persica_NCBIv2:G7:6450141:6451525:-1 gene:PRUPE_7G034800 transcript:ONH94846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDDFVDWLNTVERIFDYKEVSDEKKVKIVAIKLKRNASAWWEQLQTRRDRTGKSKIKTWEKMKKELKRKFLPENYLQTNFLKLHNLRQGNWAIEEYTEEFDLLTMRCGLIEEEEHTVARYLGGMRREIHDVVVLQQYWSYDDVFKLAIQVEKQIKTRSRSTRIEGSEQKNSWMTKGSSNSEPGKHEAPKTNAPEHFTANKPKGIKCFKCSGIGHIASECPNRKIEMVDKLNLKTEKKPISYKLSWVKKGNEVVVNQRCLVTFSIGQKPWQFDRKATHDGYKNTYTFIKDGTKVILGPSQSEFNVKSTKIGAGSFLTKGKFLKEAEESGDMYMLMVKESNPDVLNFPKELIPLLQEFNDVIPEELPDGLPPMRDIQHCIDLIPGHYYKKEKRRR >ONH94312 pep chromosome:Prunus_persica_NCBIv2:G7:1317375:1317902:1 gene:PRUPE_7G010000 transcript:ONH94312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFLNVPSLVWLYVGNQKQKIITKYKKWVPNLPINCLIEKCRSIDKDPSIKVKQTREWKNHFYISIPFPLLSQSKRSFRKRQKMFW >ONH97293 pep chromosome:Prunus_persica_NCBIv2:G7:17736879:17738897:-1 gene:PRUPE_7G181800 transcript:ONH97293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSRGAMLGSGGVSDGYEVGSKRPRMMDSNPYFAVSSGTSGFQPYNYGGGYQPPAFPVARLRGLPFNCTDIDIFKFFAGLDIVDVLLVNKNGRFSGEAFVVFAGPMQVEFALHRDRQNMGRRYVEVFRCKRQDYYNAVAAEVNYEGIYDNDYQGSPPPSKSKRFSDKDQMEYTEILKMRGLPFSVKKSEILDFFGEFKVVEDRVHIACRPDGKSTGEAYVEFVSAEEAKKAMCKDKMTIGSRYVELFPSTPDEARRAESRSRQ >ONH97749 pep chromosome:Prunus_persica_NCBIv2:G7:19080864:19085040:-1 gene:PRUPE_7G207500 transcript:ONH97749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAFQLDEGSRASFLRFIMQSFGCFYICLWSYMPPPSNCLFFLDGVYDEENQPSSSSGNQARRLFDEYRLSIFNVVENECVPGFAFRNSLPNLELQEIDLQRLASSSVQRQFYQEARIKTAIFMGCKSGEIELGLSNVPQINIKRELMRNWLPEAFSRQLSPHTAVANRPNNPDQQNPLSSSSSSFRSLSTMDSPEYSSILFNIPTTTTTSHINIPEVFTQPAPIPLQPINIVTSLEPMPPLNIISSSITSPHQQALQAFSRIRNTQFPAPEIEDAAMTRAILAVLSASPSSSSSSHQPPPQTNVPPSSSTAHFLTPKTSAFKTYNSSPLAPRTQKSANVRKQIMLKRSISFLRSLNLMRLREGIQATRPTSSQLHHMISERKRREKLNESFHTLKSLLPPGTKKDKASVLTTAREYLTSLRAQVAELSKRNQQLEARLPPAAKEAYNEVAAAAAGSSNERVSVTLTRVVSESTPDQDQQIFDLQVVLRSVECCTEDLVIRILEFLKQVNNVSLMSMEANTWMSGSDSNVNRVILRLRVDQGTEWDEAAFQEAVRRVVADLALNNCRNQ >ONH94817 pep chromosome:Prunus_persica_NCBIv2:G7:5968347:5969878:1 gene:PRUPE_7G032300 transcript:ONH94817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRTRPMTALLVFTGLNIVLVSTITPVYDFVCFLPYWERRRERRRQEREAILSNGPESK >ONH94292 pep chromosome:Prunus_persica_NCBIv2:G7:1191874:1192436:-1 gene:PRUPE_7G008700 transcript:ONH94292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNKFVTLITILVVLLPTIAMAKEFVVGDDSGWKTNFDYEAWAKDKVFRVGDTLVFNYKAPNHNVFKVNGTGFKECIKPTENEAPLSSGNDKIDLNISGNKWYICSVKNHCDLGMKLFITVSDESSAVRGITLSGSYQAFMAVITAIHIIQSVIN >ONH95310 pep chromosome:Prunus_persica_NCBIv2:G7:10188410:10191315:1 gene:PRUPE_7G063000 transcript:ONH95310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELAFPLATRLIEKLGSIVSEEISLAWGVQADLQKLGHTMSIIKDVLLDAEQKQAHNQQLRSWLRQLKHVFLDAEDLLDEFECEALRKEVVETFHPTTGKVRRFFSRSNPIALRSKVAHQIKEIKERLDELKSNRAIFDSLTSIDHHGGGDHHERPFVPASKVIGRQYQKKQIVNLLVEQGDDDGNSNNKVSVIPIVGIGGLGKTTLAKWVYDDKRVVGHFELRMWQSVPVDFELTRLTRLILGSALDTEISDKLTLDQLQGRLREALKDKKFLLVLDDVWNHDALKWSQLRDLLTEGAKSGSKILVTTRSTAVAEIMGTIPANINLEFLSDKDSVSLFVECAFKEGHEKEYPNLFEMGKDIVRKCGGVPLAVKTLGSQLYSKTDEREWKLVRDSEIWELKQEDDGHILPALRLSYTRLPPHLRQCLAYCCHLRKDRTQYTSYALIRYWMAHGILDQSRAHGNMELEDIGELYFKDLWARSFFQNVTDYGMYYDFDMHDLIHDLVQSVAQGECFTVKSANTKDISENVRHLTFLEAGLNVSTTMQKLNKVRTITADIRDIDESFMCTCFSRFKYLRVLQLPTCSLQVLPSSIGSLKHLRYLDLSFNKAITKLPNAICRLQSLQALHVDGCENLEEWPREISKLISLTSLCLTTKQTNFTKNGVGCLKSLRFLSIIACSNLISLPRETSYLASLRNLWISECEQLDLGNIKYQGTPLKLQKLFIGQLPRLVALPEWFQGAANALQVLTIAKCKNLEALPEWLESFTSLTKLVLYSCQKLSSLPEGMRSLTSLRELVIDDCPKLERRYKRDIGEDWPKISHVPHVSFRLVY >ONH96107 pep chromosome:Prunus_persica_NCBIv2:G7:13672249:13675173:1 gene:PRUPE_7G108200 transcript:ONH96107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENMPPFPVPIKLTSDIYNYQQWKYISLSYFDCHNLSGIIHGTEPRPRGLWSTFSNWPGRHQKGSSWFNREQKAMNWLKATLSESLQQIVMAGADSSRNVWLNLEEHFAHLSHARIYQLKSDLHKVKKDPTIPMAEYLEKIKQLATDLAAASAPVESQDLLHVHILAGLPEQYNPVVKWIKHNPVSGWDDLCELLLKEEMRLDPQRTLRLRHTTPPSPPQEEEYAIGIDLGTTYSRVAVWQKDHVEIIHNDHGNRKTASYVAFTETDETHLVGDAAFNQVVRNTANSIFDTKRLIGRRFSDPSVQSDVKLWPFKVIEGPGDKPMIVVAHNGQEKQCSAEDISSMVLEKMRKISETYLGSTVKNAVITVPAYFNYSQRRATKEAGISAGLNVLHITNEPSAAAIAYGLNKKAGWSSPKNVMIFDLGGGTLDVSLLTMSSSGDFQVKATAGDTHLGGQDFDSRLVKYCVEEFKRKYKLDVSGNERALRRLKNECEKAKKRLSFESDFEVEIDCLCENTDFTITFTRAIFEQLNGDLFIKCMEPVKKCLTDANMDISSVDDVVLAGGSTRIPMVQQLLQEFFKGKELCKGVNPDEAVAYGAAVQAATLTRNGKGEFIQDYTLKDVTPLTIGLEFSDNKKFVKLIPRNSLIPVKKKIKCPIKDNPVSVDFRMYEGESSTPANLNFLGECSLRYIPPAPKLVHNFDVFFEIDPDGILSVFTEDKSSGQKNEIIINRDGPKNFEGIEREVMWF >ONH97801 pep chromosome:Prunus_persica_NCBIv2:G7:19325437:19326317:1 gene:PRUPE_7G211500 transcript:ONH97801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYGTIPTEPPPSSNLHFVARAKEQIESGLGKRRPWLEMIELQDLTLPTTFNQSIQRIKSNVVFFRMNYAIIILFILFLSLLWHPISLIVFIITMVAWLFLYFLHDQPLMVLGYRIDERLVTISLLLVTIVALFLTSAKYHIIVGLSIGLGVVLVHGALREPEDVFIVDEEEGPGPGSGGAHALKVPLKNAASSSYSLPQ >ONH95211 pep chromosome:Prunus_persica_NCBIv2:G7:9670413:9671784:-1 gene:PRUPE_7G057400 transcript:ONH95211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSSLKTLCRFVETTLLPEDKTLQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQLFMMPYNPGRHWILLIVRAKKETVYFLDPLPGHRVVDEEAKNIVNSALKIYNTHIGRVGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONH97050 pep chromosome:Prunus_persica_NCBIv2:G7:17040336:17044985:1 gene:PRUPE_7G166800 transcript:ONH97050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMNMKRSRISNSVSWAAGANLCQVKLFSSEDCPVKVGLKCQDHLQAKASSMLNSIPAENDFPPGFEGSFSVGKSKVELPHIPSIQWKRPSKFVISPTWEVAAGEESEDAKAQKSREMRVLEAVYPRFSAIPPNPSVSLDVEGEHYDDSLTPFVPDTPIEEESPDLLDLETPVNTNVGCQPPVLHQGLSASGKSNASKCNSPGLQQLASDQPVLGNLFDLNADVTAAASAALTAIMKSTEKGSLVDTGLLIKILSDPKMIQKLSNESGPQANAGLAPRPKTLVPSIPSSHPKSDMQGTAAGGNYCPMPGTLGIALNTIPLQPNNVQLSGLNRQTPPSPLPSSKSNFFMLPSLPNQNQAQPPQPPLRAMTQPNRPPLPPFSSSAMNDPNRAPLPPFPANEAGHVKDVNYFKNLIMQHGVEIQDPFIAQNGNNHNHLTDLKMVQNFKPGETKPCKNRKPCLYFKSSRGCRNGVNCTFQHDMSCQWPTGSIMEAHSTKRMKLTGFNTGRM >ONH95947 pep chromosome:Prunus_persica_NCBIv2:G7:12930518:12933042:-1 gene:PRUPE_7G097900 transcript:ONH95947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTALISSTPSRAFSSKSTPLVASSSISKPISQTLTFPKSFNGLRLPRVAHSVSLSRGAHSRRSFLVKASVDELPLVGNVAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRHAEFEELNTEILGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLISDVTKSISKSYDVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETKRTLQALQYVQDNPDEVCPAGWKPGEKSMKPDPKLSKEYFSAI >ONH98064 pep chromosome:Prunus_persica_NCBIv2:G7:20054919:20057971:1 gene:PRUPE_7G226500 transcript:ONH98064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVAVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADVFVLAFSLISSASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDDMGYLADHMGSNIITSAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKDMAKKKSHRRSACSIVSVVCGGCAA >ONH96543 pep chromosome:Prunus_persica_NCBIv2:G7:15306958:15307857:1 gene:PRUPE_7G135800 transcript:ONH96543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKLTFISCSLLSLLIILTWDIQPIDARPLNSRSKNELRNFQTHTKTHQNKLENNVDHINDFHGKNPTEASTTVVASPPPPQGGQVGGAAQQPPPAHGVEDFRPTAPGHSPGVGHSIEN >ONH94764 pep chromosome:Prunus_persica_NCBIv2:G7:5283445:5287383:1 gene:PRUPE_7G028500 transcript:ONH94764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTKDVQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTVSAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEVMEDM >ONH96867 pep chromosome:Prunus_persica_NCBIv2:G7:16523138:16525842:1 gene:PRUPE_7G156500 transcript:ONH96867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHCHIASSNKHTTIEVVINREKMISSRWNRFFCGLVFLCFGFLGLITSPAEAAIKKYQFDVQVKNVSRLCHAKSIVTVNGRFPGPTIYVREGDRVVVNVTNHAQYNMSIHWHGLKQYRNGWADGPAYITQCPIQTGSSYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPKQGTPFPFPQPHREAEIILGEWWNVDVEEFVKKANNLGLAPNTSDAHTINGKPGPLFPCSEKHTFVMEVEQGKTYLLRIINAALNDELFFAIAGHNLTVVEVDAVYTKPFTTQAILIAPGQTTNVLVQAAQASGRYFMATRPFMDAPVPIDNKAATGIFQYRGIPNTVLPSLPQLPVSNDTSFALSYGKKLKSLNTPNFPANVPLKVDRKLFYTIGFGKESCPTCLNGTRFVASLNNISFEMPQVGLLQAHYFNLKGVFKTDFPDSPQTPFNYTGAPLTANLGTSTGTRLSKIAFNSTVELVLQDTNLLTVETHPFHLHGYNFFVVGTGIGNFDPAKDPAKYNLVDPVERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVEDGPGSDQSVLPVPKDLPPC >ONH97213 pep chromosome:Prunus_persica_NCBIv2:G7:17513869:17515463:1 gene:PRUPE_7G176600 transcript:ONH97213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEEGLSAHPTLSLTVSSLQIRTQRNIFRLKGMVFLSWIRPSPEEQKACIDRSGSFNYEAKFRGATTKSLSSLQEDKGLSNNGFLFNHARVLVGSGVDTYEKGKRALQDWRHFGLNWAFVDPKTPVQNGVKFCVCVKEFLPWVMMPLQVVYVNENRPSKQSMASFRFGGGTLQGHLLAGEERFSIELDENNQVWYEILSFSKPAHPLSFIGYPYVMLRQKYFAHQSSNAIMKHLNALKS >ONH94819 pep chromosome:Prunus_persica_NCBIv2:G7:5991497:5993970:1 gene:PRUPE_7G032500 transcript:ONH94819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRYREESTSQAQTDPWDWLSSWVGEDCCKWSGVGCNNITGSVNRLDLHNRYSDGLDYSGAKVSAFGFGGEINPSLLVLKDLVYLDLSMNNFECVQLPSFIGSLEKLKYLNLSRTSFVGVIPPNLGNLSRLLYLDLSNFLDNNPKMLDLSGNRLTGKLPDSLGYLKSLRYLNLSTNSFQGSIPKSIGNLTSLEEFDLRWNLMSGIIPESLWELSSLVSLRLSENTWEGAITEAHFAKLGGLREVSIANNSPNISLVFNISYDWIPPFQLRYFIITSCQLGPKFPTWLRYQTELTTVILRNARISDTIPDWFWQLDLQLDYLNVAFNQLSGRMPNSLRFRVDIIVDLTSNRFEGPLPLWSSNIIELFLRDNLFSGPIPHNISQVMPNLTHLDISGNSLSGSIPLSLGNLSHFEFMIISNNNLSGEIPHFWNNIPSLYFVDMSNNSLSGTIPRSLGSLTSLNFLILSSNNFSGEVPSLKNCTDMRILDLGDNKFSGPIPASIGESMLSLLILSVRSNSFTGSIPLQLCGLSTLHILDLSYNNLSENVPHWKHRQRYRNLWSMEKIGGGVERMSASNIGNLRSIETLDLSMNKLSGSIPQNMVSLTFLNHLNLSNNNLSGKIPTGNQFKTFVDPSIYEGNDGLSGCPLPTGCHDNEEAPQVPSGDGGEDDDSKLEKL >ONH95307 pep chromosome:Prunus_persica_NCBIv2:G7:10160264:10161505:1 gene:PRUPE_7G062700 transcript:ONH95307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLAFPLATNLIEKLGSFASEQICLAWGVKADLKKLQRTMSTIKDVLLDAEQKQAHNQQIRSWLRQLKDVFLDAEDLLDEFECEALRREVVETFRGTTGKVRRFFSRSNPIAFRLRVGHEIKEIRERLDELKSNKAIFDSLTSIDHHGGGVNVTHSFVRASKVIGRESEKKQIINLLMEQGDDNQSGNGNVSVIPIVGIEGLGKTTLAKLVYDDERVVGHFEKRMWVSVSVDFEITRLIKMILSSVSDTEMSDKLSLDQLQGRLRRALKDKKFLLVLDDVWNEDPIKWNELRDLLIEGAKSGSKILVTTRNTWVAEMMGTIPTSINLEFLSFEDCLSLFVECAFKKGRDKGKILWCLSCIGTASYPRVSIAS >ONH97540 pep chromosome:Prunus_persica_NCBIv2:G7:18520951:18522728:1 gene:PRUPE_7G195500 transcript:ONH97540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAITSALSTIPSRFRIEYREVPRKFCPGKLKPCMLGRRTTSLNATKGVSSVCEPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPELLKWFAQAELMHGRWAMLAVSGILIPEWLERLGFIENFSWFEAGEREYFADPTTLFVVQLALMGWVEGRRWADIINPGSVDIEPKLPHKKNPKPDVGYPGGLWFDPMMWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFLFQAIYTGKDPIENLMAHIADPGHCNIFSAFTSQY >ONH98496 pep chromosome:Prunus_persica_NCBIv2:G7:21266573:21269051:1 gene:PRUPE_7G251700 transcript:ONH98496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFLLPAEAASSSSVPNEPQQMLIEEPPPSQFIVKERAFGPVESSPPLVQIPIVDVSLVFSSQDELEKLKNALSSWGCFQAIGHGISSSFLDKVREAAKHFFSLPMEEKHKCFRAVKEAEGYGSDLIVSDKQILDWSNRLFLMVFPENQRRLNLWPEMPNDFGEILIEYATKIRSLMDLCFKAMAKSLQLEEDIFLKHFGDQAVVQARYNFYPPCPRSDLVLGLKSHSDKSGVTILLQDQQVEGLQLFKDGTWFRVPIVPQALIVNLGDQMEIMSNGIFKSPLHRVTTNTERMRASVAMLIEPAAEQEIGPVDDLVDEKRPRLYQNVKNYGAFNYHCYQKGLVALDAVKM >ONH95343 pep chromosome:Prunus_persica_NCBIv2:G7:10403063:10407797:-1 gene:PRUPE_7G065600 transcript:ONH95343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQTSSASPPPSTPPPKYEVFLSFRGLDTRKGFTDYLYNALMQKGIHTFRDDEQLDSGEPISTALLKAIEESQISVVILSKNYATSTWCLDELATMVELAANIKSRLILPVFYDVTPSEVREQTGEHFKEVFAQHDKDFKGEPGKVTRWKESLTAIANLSGFDLRGYRYAKEVITKIVERIFAGLNNTILTFSNDLKDFIGMDRVNEIKAKMSSRLGSEEVCVVGICGMTGIGKTTIAKALSQGIRNQFEAFSFISKVGEISRKESLFHIQEQLCDHLLNKKVTTRNADDVICKRLCGKRVLIILDNVDELEQIEAVAGKNDAELSNRFGKGSRIIITTTDDRLLVNYKPMICRIDKLTQQESLLLFCRKAFKKDHPMDGYEKLSYKFVDYIDGLPLALEVLGSSLRDRSVEYWSSKLVSLKDNKYSIEKKIIDVLKASFDGLENQEQQQIFLDTACFFKGENVCRVEKIFESCGYYPGININILREKYLISIKGGKLWMHDLLQQMGREIVRGESKKEGERSRLWLHTDALPVLKKNKCGKRLLQHQPIQTCSLSFMAFKDTPDNPIAIAKSSASETHKNLDMGQPIVTVHNDTSAAPFTIQLNGKNYNTWLKMMLLNVSGHGKRELFLDLPIAKDVWVRTTQMYYDVSDELQIYELRCKETRITQGGHFIASYSAEHKSVWLELDRRRPINMKCPDDVKIRQAEMHKDYIFDFLACLDDKFDKIR >ONH97806 pep chromosome:Prunus_persica_NCBIv2:G7:19334539:19336797:-1 gene:PRUPE_7G211800 transcript:ONH97806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNKITAILNFIALLCAIPIMAAGIWLASKPDNECIHSFRWPVFVLGALMLLVSLAGFIGACFNKQGLLALYLFCMAALIILILVLLIFAFTVTRPDGSYSVPGRAYREYRLDGFSKWLRDYVTDSGTWQKIRTCLADSDTCPKLNQQYISADQFFMAHISPLQSGCCKPPTLCGYNYVNPTLWINPVNPGADQDCLLWNNDQNLLCYNCNSCRAGLLGNLRKEWRRANVILIVAVVVLIWVYLIACSAFKNAQTEELFRRYKQGWA >ONH97219 pep chromosome:Prunus_persica_NCBIv2:G7:17535204:17536805:-1 gene:PRUPE_7G177200 transcript:ONH97219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCKTTHQSQQKKKHKADMDGSSSRVDWTNHPPQLYPLIADHLKTHIEVLRFRSVCSSWRSSIPPFLATISPNFPFPHGPTGFLSQITVYLTRPDQNPNPSSSSSSSSSPSSKGWLLKLEECADKIRLLNPITNWRVSSVKDNVARNPTDLNLVDLNMVELGKAYALRYAKGSGSIFGINKVIVATNFIDRSIFMIYDEGKLGFAQIGNEKLRLINDQISDYDDLIVYKGQPFVINKWGQIFRINSSLELVPFSPPIGFGCRKNLIECCGELYVVDRYLDQQDKKSQVPLHNASYNFFLRHPPLRRRRRICDADRPKAVDFKVYKLGDEELGGRWVEVKSLGDQAFFLSVDCCFSVLATELEGCKGNCIYFTDSNDIGFALKELLRSDGSVFSLEDRSINWLSSSPVYSQMFWPLPA >ONH95403 pep chromosome:Prunus_persica_NCBIv2:G7:10665345:10665843:1 gene:PRUPE_7G068400 transcript:ONH95403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKKISIAIIFIAALMGVVLAAEKKESPKAAAPKAATPAPASGATATTFSVVGSLVGALIFAFFGYYLQ >ONH98324 pep chromosome:Prunus_persica_NCBIv2:G7:20831136:20833523:1 gene:PRUPE_7G243100 transcript:ONH98324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSFTPVCSFKSTNKPRVLIDNSIGGKVLRANEVFQNSKAANFQSWEVKATDGNQTTKTNSLVCSTCEGNGAIMCSQCKGTGVNSVDHFNGQFKAGGLCWLCRGKRDILCGDCNGAGFVGGFMSTQDS >ONH97368 pep chromosome:Prunus_persica_NCBIv2:G7:17994090:17997404:1 gene:PRUPE_7G185900 transcript:ONH97368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSSHNFVPPSAGFDKSCVLDVKPLRSLMPVFPDASQAPPFACMPPFGHTPKGYSSFYPFHVPQGSRTSPNLNSENPSPMRTTGLMPAPIRSYRAPAPSGPLGERGSSMGGAEDEDGHFDAHPGSSSSRKKTVKVSSSRKKNKKSRDGDSLTNNGSGVNFVSVMTPFQLEDGNRELVNYVLMNFDALRRRICQIEDARESKNVLAHLKAGNIVMSKKIRTNMRKRIGVVPGVEIGDIFYFRMEMCAVGLHAPPMAGIDFMSGKGDAEKDPLALSIVSSGGYDDDTEDSDVLIYSGQGGYTHNNKQVTDQKLERGNLALERSLNHGNEVRVIRGVKDEVISTTKVYVYDGLYKVHESWTERGKSGCNIFKYKLVRVPGQPGAFAVWQTIRKWKDGFSSRAGLVLQDLTSGTEPIPVCLVNEVDNEKGLASFTYFPKLKYSKSFTSMPPSFVCNCHNACLPGDMNCSCIQKNGGSFPYTGNGILVSRKQLLHECGPTCPCSPNCKNRVSQTGVKLRLEVFKTKDRGWGLRSWDSIRAGTFICEYAGEVIDEVKLKHKVDEGEDDEYIFDTGRNYDSFKWNYEPGLLEEETSNDINVDYNIPYRLIISAKNVGNVARFINHSCSPNVFWQPVLYEHNSQSCLHIAFFAIRHIPPMTELTYDYGNSCSNEADNDNGSHRKKKCLCGSSKCRGYFG >ONH97892 pep chromosome:Prunus_persica_NCBIv2:G7:19579257:19581242:-1 gene:PRUPE_7G216800 transcript:ONH97892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAMGGLHGPVVAASWTKDCTFQLLSNCFLQKPNFTKTSTAKLSFPCMPFIGVAFKKKETHVVSPNISSPSVTTGLLDAWDDEYGGVIMNSESLPMSANAFASAFQASLSNWKMKGKRGVWLKILQEQADLVPIAIQEGFNYHHAEPGYVMLTYWIPNEPCMLPASPSLQIGIAGFVINDKREVLVVKEKCPCSCSGVWKLPTGYINKSEDIFSGAIREVKEETDIDTTFLKMVAFRHAHLVAFEASDLLFVCMLKPLSYDITIDDKEIQAAKWMPLDEFIAQPYYQDDPLSKKVIEICIAAQEDRYSGFTGHQLNSKIDGKLSYLYYDDLKNI >ONH94315 pep chromosome:Prunus_persica_NCBIv2:G7:1377857:1378956:1 gene:PRUPE_7G010300 transcript:ONH94315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVMLTYILVIHLKDYTTSLSLPQFSVYALYSPMASRYIREFLALEAFKNAGRDVSDILPRLVKFLYCLQVTKTHLFTVTLSYSPNMLLTLGLPGNLCLAECISTQFNKLGVGDIVLVQSPEVPWKFMTKRLIAMEGQSVTYVVDPKNSDKSETIVVC >ONH94494 pep chromosome:Prunus_persica_NCBIv2:G7:2836954:2837595:-1 gene:PRUPE_7G018900 transcript:ONH94494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLLLGVLFAVVTIFFFPFEAHANKLVPKEGRKEKIGHHVLVNVHSGRKLDGENEQTAENGGGDSGDNEDGDSEDGGNEDGASDGSENGDGESDGSENGGNEDGESGSGNGGNEDGENGGSGDSAEGGDNGGGNEDGDSAEGGDNGGGNEDGASGDNGDSAEGGDDGGGNEDGENGDNGDSAEGGDNEGNEDDGGDEVFRKTSKHSPEVPH >ONH97166 pep chromosome:Prunus_persica_NCBIv2:G7:17379073:17385206:-1 gene:PRUPE_7G173400 transcript:ONH97166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMINSANKSSNPETASYPAVQSPRHAGESVSSPTTQSRRAARAVSSPWTQIVRGESEPIAVAPSSPSTAVTEPAVAAAPPPPPPSSSSSSQSQSNSAPQSNSSSPPPAEESVGEGSENGNAGKRPAWNKPSNGAIEVGPVMGAVSWPALSESARASTKLSPEPLKGVSEPPLSVSMSQGTGTTPTSSPKQVNSSSTPNHTGPARQRSMKRNNASASSNGGLPQHQSSAGQGVETVPNNPSPKEHTHRSAIGSQSHSNNDHPQQRNSFRNRNGGSHPRGDGSHHHNYRRDQDRGGQDWNTHRNFNNRDNHMHPQRSVPRMMRPHQTPPPPPPNAAQFIHQPQMRAFGGPIGFEMQPQLVYVTHTPHEPLGVPFVAPMRHPMVFPAPDPQLHTKIINQIEYYFSNDNLIKDTFLRRNMDDQGWVRIKLIAGFNKVMNLTDNIQLILDAMRMSTVVEVQGDKIRRRNDWMRWVMPTAQPPNASGSQALGKSGQDILSAQIQSIALDEKTASNINIENSSQPQPSSGEGAGQFGVQAGADRSISARN >ONH98855 pep chromosome:Prunus_persica_NCBIv2:G7:22123592:22125954:-1 gene:PRUPE_7G269200 transcript:ONH98855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPKTLLLLLLLSQLVLMMGGANAADLTYSVTSLGAIADGKTDSTKVFLSAWSKACASVQPAVIYVPAGRFLVQNAAFSGPCKNNAITFRIDGTLVAPSDYRVIGNAGNWILFEHVNGVTISGGLLDGQGTGLWACKASTKSCPRGATTLGFSSSNNIVVNGLASLNSQMFHIVINGCQNVKMQGVKVSASGNSPNTDGIHVQMSSEVTILNSKIATGDDCVSIGPGTTNLWIENVACGPGHGISIGSLGKDSQEDGVQNVTVKTVTFTGTQNGVRIKSWGRPSTGFARNILFQHIVNVNVQNPIVIDQNYCPGNKGCPGQVSGVKISDVIYQDIHGTSATQVAVKFDCSSKYPCSGIKLEDVKLTYKNQAAEASCKYAGGTAAGTVQPTSCL >ONH97968 pep chromosome:Prunus_persica_NCBIv2:G7:19784393:19787370:-1 gene:PRUPE_7G221300 transcript:ONH97968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQSNLKRSCIEMADAADHLSSPINHFHSSSSNDMVEPQTAMADDACIQPQPQLQQQQQQQHIALPPLVEDLLNQLCNERKQPQPDYNVRRRLGLLGEEKALQLLHEINEAKVIKTLSGFIMWMIRNKPQYQCPSPSPSPSKYCPASLLQTQLSASPFTPSVRKEQPGRDHGGLRPRYSYSSASPSKALRVSPYQGPSYAPPSTITPVQLFKDTLPLPLPFTQDFIFFFTLSISCFSFIVINYPSIAQTRKDIMSLFESACVGETLTNSSVLVMNVFITFTLMKYTQLALLNVYFCFPLFGRSGQRKELLIILLLSVGRATTTTTTTKT >ONH97662 pep chromosome:Prunus_persica_NCBIv2:G7:18931964:18934869:-1 gene:PRUPE_7G204400 transcript:ONH97662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNASAEGLRNDLELVRSSSEKQLLRPSARYYSVFKGQATDAADPEKGRYTLIRDAEDFSSGMYEKQLPCFGCGIGWFSFLLGFVFPVMWYYATVLYFGNYYRKDPRERAGLAASAIAALACSVVLLVIALFRIF >ONH97663 pep chromosome:Prunus_persica_NCBIv2:G7:18931964:18934869:-1 gene:PRUPE_7G204400 transcript:ONH97663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVGQATDAADPEKGRYTLIRDAEDFSSGMYEKQLPCFGCGIGWFSFLLGFVFPVMWYYATVLYFGNYYRKDPRERAGLAASAIAALACSVVLLVIALFRIF >ONH98211 pep chromosome:Prunus_persica_NCBIv2:G7:20491960:20494473:-1 gene:PRUPE_7G235800 transcript:ONH98211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPYTTIPNPQLSLSKQSIPIEFHKQSQAHFTKLQENAKTHQILYQSYFSHMSSLCKQGQIQQAVDLFVEMELKNLQVGPEIYGELLQGCVYERALHTGKQIHARIIKKGGIFAINEYIETKLVIFYAKCDVPEASNRLFRMVRLKNVFSWAAVIGLNCRMGFYQEALLGFREMQENGLLPDNFVLPNVLKACGALEWIGIGKGVHGYVVKLGCSGCVFVATSLVDMYGKCGVVEDARKVFDGMPERNVVTWNSVIVGYVQNGLNEEAIKVFYEMREAGVEPTHVTVSSLLSASANLGALQEGKHGHALAVVCGLELNTNLGSSLINFYSKVGLIEDAEMVFSKMPEKDVVTWNLLISGYVQVGEVDKALNVCRLMRLENLSFDSVTLATLMSAFADTRSLKFGKVGHCYSIRNNLESDVVVVSSIVDMYAKCEKIDCAKQVFNSSFIRDLVLWNTMLAAFAELGHSGEALKMFYQMQLESVPPNVISWNSLILGFLKNGQVNEAKDMFWQMQSLGVQPNLVTWTTLISGLAKSGFGYEAILTFQQMQEAGIKPNVVSIIGVLLACINMASLQNGRALHGYLIRHSLYTSIPIATSLVDMYAKCGNMDQAKRVFDMIEHKELPVYNAMISSYALHGQAVEALALYQGLKEEGVKPDNITFTNALYACSHAMMVNEGLELFFDMVSNHNINPSIEHYGCVVNLLSRCGNLDEAFRLVGTMPYKPDAQMLGSLLAACREHNKIELEEYLSNQLLKLQPDNSGNYIAMSNAYAAAGRWDEVTKVRQLMKERGLRKIPGCSWVQIGEELHVFVAGDVSHPETEKIYMTLALLGMEMSFR >ONH95895 pep chromosome:Prunus_persica_NCBIv2:G7:12707510:12710937:1 gene:PRUPE_7G094400 transcript:ONH95895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINELAAAGEAKAGLFTRWWGWLKALPGKFNTKVVKVVKGTIKLGRDDPRRVTHSLKVALALTLVSLLYYWRALFDGFGVAGIWAVLTVVVVFEFTVGATLSKSLNRGFATLLAGALGVGAQHLASLFGEKGEPIVLGIFVFLLAAASTFSRFFPRIKARYDYGVLIFILTFSMVTISGYRVEELLEMAHERLLTIIIGGGTCMIISVFLCPVWAGEDLHKLIASNIEKLANCLEGFGGEYFQTPKDGNCGMVPKNEDSFLQGYKSVLNSKTTEESLANFAAWEPGHGRFKFRHPWKQYLKIGALARQCAYQIETLNGHINSNVQVPPEFLQIIQDSCRTMSIETGKALKALAIAIKTMKEPKHACEHLEKSKTAVKDLKTALKAASLENADILAIVPAVAVASILVEIVKGAEKISKSVHELSELAHFKEVVEPTVSPEKPQLLHRGSVNPVLLDGESAHVIITVEEILNMAPKGPEPSELV >ONH94393 pep chromosome:Prunus_persica_NCBIv2:G7:1953064:1958262:-1 gene:PRUPE_7G013900 transcript:ONH94393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSMSTTKESVLDLLPKFRFHPSEEEMVNLLKNKVEGQDSQAIPDEIVDKPRDLPDNESIQLFCRFLKRMMQGKASQSIPEIDVYKYEPWDLAELMFPDSPYQPRAWFSFSRPDYKSANSPRCNRATGKGFWKITGKPRQAKSRQLPKSVTCKKRTLTFHEGRVSKSRNTGCVRQEYYLTPTDPGSNPNQLSGFVLSRMKNKSTDNESDNKKHQDVSVCVESADPGISGCMASNSELDQAAGIHLISDAEEHLTYKELEHVLLGSGNQNDGEPGGFVSSDFDDMIQELCAKGGEYLDLPSPPPELPRQRQPPQLGNVPVTGDYIGSNSNNQAAAINHMIPDPEELLAYKELERVLGSCNPDDGEPGGCVSSNMLQKVYSQAEENLESFFRQFEPEDYILPIVPRTGRFLHANNYIGCNELQSAALFPQSS >ONH98633 pep chromosome:Prunus_persica_NCBIv2:G7:21601467:21603066:-1 gene:PRUPE_7G259000 transcript:ONH98633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKLTLPLILLSGLIFLAQLSFSTGQTVVKGAYWFPDSGFPASSINSSLFTHLFCAFADLNSDTYQVTVSSSNSAPFSSFTQTVQKNNPAVKTLLSIGGGNANPATFAAMASQASRRKSFINSSITLARSYNFHGLDLDWEYPSSTTEMTNLGSLLTEWRAAVANESKASGKTALLLAAAVFRSADYYTINYPFQAISNSLDWINVMAYDFYGPGWSPNNTGPPAALYNSQVSGNAGITSWIQAGLAAKKIVLGLPFYGYAWRLLNANNHGLYAPANGSAIGQYGDQGYNQIRNFISQNGAQTVYNATVVTNYCYSGTTWIGYDDTQSISAKVSYAKVTKGLLGYFAWHVGADNSNWALSQTASSTWG >ONH95994 pep chromosome:Prunus_persica_NCBIv2:G7:13119761:13121298:1 gene:PRUPE_7G100900 transcript:ONH95994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEGQSITNSILDFSFVSLPHSYINPKIRNLSSVCAPKYQSHMACSSSSSQLPSPSTTVDETSPASISTVHTDIIQTHILTRLDGPTLASAASTSSQLHALASHQPLWDNICHSTWPSTSTPRVRQVISTFPDGAFSFFSDSFPLLTNLDTAATTLAPNHDQDRPCELISSVDIYHRDKLILSKVVETETVTGWFRCSPFRIDLLDPKDVVPTQIKYPAGRESDTCRDLGDDLTLSWILIDPIGRRAINLTSHRAVSVQRHWLSGEVHVRFASILPGEKGSASEHVQCGILVTCGESEGGELQVREVSLQVEDMDGMHLNGREGLVILQRALEGRKGRKRSRREEEGRKRYEEYLERKKQRKEKKLRTEGTLDTLCVAFGVLGFFVFWLFILCR >ONH95968 pep chromosome:Prunus_persica_NCBIv2:G7:12987201:12987895:1 gene:PRUPE_7G098900 transcript:ONH95968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVQSRSGIFGLSFSSLNFSYGEFVLSLIWISLLCHACFVSSLGCVLFWVGIVDSECRLWLICLV >ONH95430 pep chromosome:Prunus_persica_NCBIv2:G7:10788068:10790827:-1 gene:PRUPE_7G070000 transcript:ONH95430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSPPPPSLIQSITVPLLFFLFLLPPLQSNPATSPIIELPHTLSATTISVVDFGATGDGVHYDTSAIQSAIDSCPALSPCHVTFPPGRTYLTATIRLKSGVILDIQEGATLLGGPRLEDYPKEQNKWYVVLAENASNVGISGGGVVDGQGLKFVTRFDERKNVMVSWNWTGACLGDECRPRLVGFINCRNVNLSKLSLRQPAYWCLHIVQCENVLIQDVSIYGDFNTPNNDGIDIEDSNNTVITRCHIDTGDDAICPKTNTGPLYNLTVTNSWIRTKSSAIKLGSASWFDFKGLVFDNITIVDSHRGLGFQIRDGGNVSDITFSNINISTRYYDPSWWGRAEPIYVTTCPRNSNSKEGSISNLLFVNITSTSENGVFLSGSKGGLLSDLRFINLNLTYRRWTNYAGGLVDYRPGCQGLVNHSTAGIIMEHIDGLVIDNVNMRWSDEPLRQWNDPLDFRSSTVNNISLLNFHSSLFVQPVKEGERERLGRSTE >ONH94384 pep chromosome:Prunus_persica_NCBIv2:G7:1883769:1885234:1 gene:PRUPE_7G013200 transcript:ONH94384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDYNDMDMGYEDEPPEPEIEEGAEEDVDNINNDDLPGDAIEAEDKEEQAQVEGPRKTSKFMTKYERARILGTRALQISMNAPVMVELEGETDPLEEVVCFLVNF >ONH94383 pep chromosome:Prunus_persica_NCBIv2:G7:1883604:1886635:1 gene:PRUPE_7G013200 transcript:ONH94383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDYNDMDMGYEDEPPEPEIEEGAEEDVDNINNDDLPGDAIEAEDKEEQAQVEGPRKTSKFMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >ONH94147 pep chromosome:Prunus_persica_NCBIv2:G7:131058:132603:1 gene:PRUPE_7G000700 transcript:ONH94147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFTNYVDLKKEAMKDLDLEAGHNNVEMSSSTTHMHTDLGLFLEEAEKVKQEMGSVRDILGRLQQANEESKSLHKSEALQSLRSRINADIMTVLKKTRTIRSQLEDMDRANAANRRLSAYKEGTPIYRTRIAVTNGLRKKLKELMMDFQGLRQRMMTEYKETVGRRYFTVTGEHPDEEVIEKIISNGDGNGGEEFLGKAIQEHGRGKVLETVVEIQDRHDAAKEIEKSLLELHQIFLDMAVMVEAQGEQMDDIEHHVMNASHYVKDGTKHLRTAKGYQRSSRKWMCIGVIILLLLILVIVIPIATSFANS >ONH96908 pep chromosome:Prunus_persica_NCBIv2:G7:16622073:16624962:1 gene:PRUPE_7G158900 transcript:ONH96908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSAETKLATAKTVLSTAASVAATAMLVRSIAQDFLPHEIQHYFFSGISSFFSRFSSQLTMVIEEFDGLVNNQIYEAAEIYLGSKVSPSTHRIKVSKPEKENNFTITMESNQEIVDVFNGIKFNWILVSRQVESNFHNPRDLNSTLRSEVRSFELSFHKKQRDLVLNSYLPHIVKQSKSMKQEKKTLKIFTVDYQNMYCNIAEAWIPTNLDHPATFETLALDSDIKSFILHDLERFIKRKEYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYDLELTELNNNSELRRLLVAMANRSILVVEDIDCTIEFQDRMAESRALHPHGSQEKQVTLSGLLNFIDGLWSSCGDERIIVFTTNHKEKLDPALLRPGRMDVHVHMSYCSPSGFRLLAANYLGIKDHLLFGEIEEQIDMTKVTPAEVAEQLIKSDEPGIALQGLIEFLKVKKKENEEADEEAKRKQAEVEAKEAEAEAGIKDKKYDNDEKSSEKK >ONH96909 pep chromosome:Prunus_persica_NCBIv2:G7:16622073:16623993:1 gene:PRUPE_7G158900 transcript:ONH96909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSAETKLATAKTVLSTAASVAATAMLVRSIAQDFLPHEIQHYFFSGISSFFSRFSSQLTMVIEEFDGLVNNQIYEAAEIYLGSKVSPSTHRIKVSKPEKENNFTITMESNQEIVDVFNGIKFNWILVSRQVESNFHNPRDLNSTLRSEVRSFELSFHKKQRDLVLNSYLPHIVKQSKSMKQEKKTLKIFTVDYQNMYCNIAEAWIPTNLDHPATFETLALDSDIKSFILHDLERFIKRKEYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYDLELTELNNNSELRRLLVAMANRSILVVEDIDCTIEFQDRMAESRALHPHGSQEKQIQDKDFQAASGKVPFDA >ONH95557 pep chromosome:Prunus_persica_NCBIv2:G7:11366209:11366974:1 gene:PRUPE_7G077200 transcript:ONH95557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLFWVFFPSLHPRLVLCQLGLSWCCYLKKFNLFILKKKSLMRKLVPLMDSMEVELCCLSILFCVLGVDLDVQLWKMIRFLFIECSLFSFFSGVCIEIFVFLYCIVFLFHSRVRPFVLRSQ >ONH94747 pep chromosome:Prunus_persica_NCBIv2:G7:5061203:5061711:1 gene:PRUPE_7G027200 transcript:ONH94747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKAICFDLPLVKDNIKICLCFWNRLALGFFTAGEGIVTKLDRKEREQIGRIRWTIQSSYCRWYKGGVDRILGQWCKVQE >ONH94997 pep chromosome:Prunus_persica_NCBIv2:G7:8123289:8124827:1 gene:PRUPE_7G045200 transcript:ONH94997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRILFKQTTRDKTTGYQYHPPRKRQVNRLILNHVKSQQTHPQPCEEYASGFGISGMEEPVGTNLIPTQQINHQ >ONH94648 pep chromosome:Prunus_persica_NCBIv2:G7:3994772:3996060:1 gene:PRUPE_7G025000 transcript:ONH94648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKDLSKGRLIKSNNRLCIYLKPSIMFRWRNGKSTQLSNAMSIVELLVHMDCEGCEKRIRRAISKIEGVDSLEIDMDKQKVTVTGYVDQRKVLKVVRRTGRKAEFWPFPYDTEYYPYASQYLDESTYSSSYNYYMHGSNESVHGYFPDPLYSTVADQTVHLFSDDNVHAYCTLM >ONH94317 pep chromosome:Prunus_persica_NCBIv2:G7:1388522:1394269:-1 gene:PRUPE_7G010500 transcript:ONH94317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTKFDPLAVLDSSLNILLGLRVVSKFPFGKFLIALYWVLQYGVHSISAFPQPRSKMSRHPEVLWAQRSDKVYLTVALPDAKDINIKCEPQGSFSFSAIGVQGEPFHFTLDLYGPITPDGCKTKAGLRNILCSIQKDQNGWWKRLLKTEEKPAPYIKVDWNKWCDEDEEDTTSDLASDDDNAAYVGQDGGSSDDDGMLYLPDLEKARK >ONH96338 pep chromosome:Prunus_persica_NCBIv2:G7:14471118:14474276:-1 gene:PRUPE_7G121600 transcript:ONH96338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSKRWGNQYACSSSASSMAIFLFVVPLVVVFGLVSLLGPKTSNWVFISNSYPWLWSSQSTSPSLNLTGASNSSSEFPPLNDDVLGLRSSVVVVDMHSIEEAHSDDSLENRSSSPPLSIEAAVPPTLAQPNGTRQDDYANETHVSIMKAEGQRKNTNLGWLEARLRRARAAIREAKFGNQTQDVDYIPNGPMYWNANAFQRSYLEMEKRFKVFVYGEGEPPLFHNGPCKSIYSMEGNFIHEIEVNKQFRTRDPEKAHVYFLPFSVTMLVRFVYVRDSHDFGPIRQTVRDYVNIVSGKYPYWNRSLGADHFMLACHDWGPETSNSDPHLRKNSIRVLCNANTSEGFNPSKDVSFPEINLQTGDTHGFLGGPSPRLRSILAFFAGGVHGPIRPVLLEHWENKDEDLRVHQYLPKGISYYDMMRHSKFCLCPSGYEVASPRVVEAIYTGCVPVLISDHYVPPFSDVLNWKSFSVEVKVSEIPNLKNILMSISTKQYIRMQRRVVQVRRHFEVNSPPKRFDVFHMILHSIWLRRLNVRVHDDQ >ONH97297 pep chromosome:Prunus_persica_NCBIv2:G7:17745978:17747649:1 gene:PRUPE_7G182200 transcript:ONH97297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGILDSCWFGRNFLTGWMDLLLILMPHLLIFSIKRLEKSRAKENEKPTLMDLPNDFLFDVFARLPVKSLCHIRSVSKTSLSIVDNPFFATLRLLNPATNTAVVELPQLMLLTHSWSFWPSDRGRFALQSLKYRGEHNLTKSKHRYSQILYQRYEFDFVFCNLFCFKAKYGCLLVNPLREEVLDIELPINDLVRFHAKKWYGMGFDSVTRTHKIVCVFKSTSHDMLEAYVYTLGTRSLSRQKIHSVPQCEFSSNNVSAYGDMHWLIHRHVAGGNPNRIISFNFEKDEFVWTPYPDSSRSFNYNLNNMRLLNVQGCLAIMSYQHSSIDIWVMKNYEKKVWELDYKIDNKRFPHYPRSIETCGEWEHGIFFSQRYPNMFYFWDVRDKSIKHIKAETLLPGIFSYDTLQNLLWDDEWRQLRVISIFSYTDSLISLKTFGNLVES >ONH95551 pep chromosome:Prunus_persica_NCBIv2:G7:11353358:11353983:1 gene:PRUPE_7G076800 transcript:ONH95551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHECMAKRDVTLMTFKFISANTAPVHTHSPSSTHWCPPPPGKLKLNVDGACSTSVWKRELGAVVRNVHGDLMGAISVPITRCFSAKIIELLAIREGLQFAWEDGYNSLVVELDAKNAINDIVSGTEAFRVAGGIINNIHMLSRNFDFLSFVFAPRLCNTVVERLAKFALGSVSIDVWLEEGPPWINVFLQADANNLELS >ONH95028 pep chromosome:Prunus_persica_NCBIv2:G7:8415832:8418027:1 gene:PRUPE_7G047500 transcript:ONH95028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVQNFGSTLYDPFIKRTTRTRHNTFIKWVGFGLSILDMFIIPTRHEPDTTRPIASPKIRVATLRHAKNDKLCGLWFQNHLPGPMKDKVKATWLSEFANLLARKRKIDKHLITALAERWWDTTHTFHLDEVGELTMTPKDFSAITGLPVCGKPLKYNMEAHTNTKEVVRLFVNPIANIINIKIKYKYIMDKYKEWKPKTAVQENQLTRVFILALIGITICIHKSDSIYLYYIPSLAKVDEIKE >ONH97303 pep chromosome:Prunus_persica_NCBIv2:G7:17775204:17776818:1 gene:PRUPE_7G182800 transcript:ONH97303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKARAAAPKRTDTKLKSKSAGASKKPAKKAGKDPNKPKRPASAFFVFMEDFREKYKKEHPNNKSVAAVGKAGGDKWKSLSEAEKAPYVAKAEKRKVEYNKNIQAYNKRLAEGPNGADEEESDKSKSEVNDDDEDEDESGEVRGR >ONH95952 pep chromosome:Prunus_persica_NCBIv2:G7:12957838:12963261:1 gene:PRUPE_7G098300 transcript:ONH95952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLFPSIARPFSVSSRSRLYCASREMGQDSSECEVIQVKRRATFRLCNVSSYTTEILEIRADDPTLHVVVIPGNPGIVLFYKEFLESLYELLGGTASVTAVGHISQTKKSWEHGRLFSLQEQINHKMDVIKQELQNEVPILLVGHSIGSYISVEMFKRSPEKVKYCIGIYPFLALNPQSRKQYIIGKIAESRILCVVFSFIVALVGLLPIRLLRLIVTTFLGKFWSATAVDAVCSHLVKYHTMRNVLFMAMTEFRKLSETPDWAFMRENQHKIAFLFGIDDHWGPLQMFEEISKQIPDAALSIEREGLTDHGFCCTEAGSLWVAEHVAKLIKNQKASQ >ONH95951 pep chromosome:Prunus_persica_NCBIv2:G7:12957904:12963143:1 gene:PRUPE_7G098300 transcript:ONH95951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLFPSIARPFSVSSRSRCRLYCASREMGQDSSECEVIQVKRRATFRLCNVSSYTTEILEIRADDPTLHVVVIPGNPGIVLFYKEFLESLYELLGGTASVTAVGHISQTKKSWEHGRLFSLQEQINHKMDVIKQELQNEVPILLVGHSIGSYISVEMFKRSPEKVKYCIGIYPFLALNPQSRKQYIIGKIAESRILCVVFSFIVALVGLLPIRLLRLIVTTFLGKFWSATAVDAVCSHLVKYHTMRNVLFMAMTEFRKLSETPDWAFMRENQHKIAFLFGIDDHWGPLQMFEEISKQIPDAALSIEREGLTDHGFCCTEAGSLWVAEHVAKLIKNQKASQ >ONH96602 pep chromosome:Prunus_persica_NCBIv2:G7:15574312:15576864:1 gene:PRUPE_7G140000 transcript:ONH96602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKLIPNKQIVLNHYVTGYPKESDFGLRSSTTSCTLSHGSTSSAVLLKNLYLSCDPYMRHRMSDHISHSCTIVQSFTSGSVLVGYGVSKVIESTYPSFKEGDFVWGMIGWEEYTLITTPDSLNKINFTDVPLSYYAGILGMPGIAAYAGFHKICYPKEGDCVYVSSASGGVGQLVGQFAKLMGCYVVGSASTKEKVDLLKHKMGFDEAFNYKEEHDLGSALRRYFPQGIDIYFDNVGGHMLDEVILHMKVHGRIALCGMISQYNIEKPEGVHNMFSLIMKRIEMKGFVENDFGHVYPELVELCIKYLQEGKLVYVEDIAQGLENAPSALVGIFYGRNVGKQVVCIAKE >ONH97929 pep chromosome:Prunus_persica_NCBIv2:G7:19691713:19695629:-1 gene:PRUPE_7G219100 transcript:ONH97929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPTLTSSLHTHLCFLLFLPLLLISHANSQSLQDQEQAVLLKIKSYLQSPPFLSHWIPSTSNTSHCSWQPEITCTNNSVTGLSLVHTNITLPVPPFICDLKNLTLIDLSYNNFAGEFPKAFYNCSKLQYLNLSQNSFDGKIPDDIDSLHRLQYLDLSANYFSGDIPAAIGRLQELRNLQLYMNNFNGSVPPEIGNLSNLKHLSLSFNTKLVPWNLPSNFTKLKNLKTLYIRGSNLIGELPGTLGEMAALEELDLATNSLNGTIPSVLFLLKKLSIIYLYNNSLSGYVPQVVEALNLTVIDISTNHLTGPIPQDYGNLTKLTWLALFLNGFSGAVPASIGRLPNLKQFRVFINNLSGTLPPDFGRYSELEGFEVSGNRLTGKLPDHLCYRGKLSTLVAYENNLTGELPSSLGNCTSLTEVKVYDNGLSGNIPSGMWTAPNLDQVMMSNNSLTGELPEKISRSLTRLEIRDNRFSGNIPTGMSSWNLKVFDAGNNLFNGTIPQELTALPSLITLSLDQNQLTGFLPSEIMSWKSLNFLNFSRNKLSGPIPAGLGLLPVLTALDLSENQLSGQIPAQLGHLKLSNFNLSSNHLSGKIPIEFENPAYDGSFLDNQGLCATSPSAKLSICNSQPRKSSKIWSTYLALILTFGILLSLLALSLSFFMVRSYWKRNRSGSGWKLTAFQRLNFSVSKILSGLTESNLIGSGGSGKVYCVPVNRTGDVVAVKKIWKDKKLEEKLEKEFLAEVKILSSIRHANIVKLMCCISKDNSKLLVYEYSENRSLDRWLHKRNRPSNLSRSVHHVALDWPKRLHIAVGAAQGLCYMHHDCVPPVVHRDVKSSNILLDSDFNAKIADFGLAKMLVKQGELATMSAFAGSFGYIAPECAHRIRVNEKIDVYSFGVVLLELTTGKEANNGDEHTALAEWAWRHVQEDNPLADALDKDIKEPSYLDEMCSVFRLGIYCTEKLPSARPSMKDVTQILLRCGHPGVHREKTDYVGAPLLKNSKRDQILEDGDGSLATNV >ONH94785 pep chromosome:Prunus_persica_NCBIv2:G7:5576698:5579060:-1 gene:PRUPE_7G030300 transcript:ONH94785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEGNFHYVGAAQASECLPDWAPAQISVLTLGRFLIPKVFHQDSLIRTMKSIWHTRQGFTVVPLDDPQCMLFSFQNDFNRRKVMRGAPWTFDRSLLILVFTDVSVDPMTVLLEIQHFWVRIRPIPPIFLTSALGENIGIIILADSWRLIKG >ONH97575 pep chromosome:Prunus_persica_NCBIv2:G7:18624843:18626937:1 gene:PRUPE_7G197900 transcript:ONH97575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSWTVITLALVAALALLSKILFPKRPNLPPGPKPWPIIGNLNLIGRLPNQSFHKLSQTYGPIMQLKFGSYPVVIASSPEMAKQFLKTHDHIFASRPQTAVGKYLTYGYLNVTWAPYGPYWRQARKIFLTELFSSKKLESFQYIRVEENRAFISRLYALSGKAVVLKEHLSRLTLSIMSRIVFGKEYFGMSKSESSIMSFKEFQDTSDELFLLSGVFNIGDWIPWLNFLDLQGYVKRMKALTKKVDHFYEFVLDEHKARKEGVEKFVAEDMVDLLLRLADDPNDLEVKLTYDSVKALTQDLITGGSDTSANTLEWTMSELIKQPNLIKKATEELDRVIGKERWVEEKDLENLPYIDAIMKETMRKHPVVAMLPPRLALEDCNVAGYDICKGTIVFVNTWSMGRDPTLWDAPDDFRPERFLGKAIDVKGQSFEFLPFGSGRRMCPGYSLGLKVIRSCLANMLHGFNWKLPENMKTEDLDMEEVYGLITPRKFPILAIVEPRLPIHLY >ONH96289 pep chromosome:Prunus_persica_NCBIv2:G7:14297012:14299290:1 gene:PRUPE_7G118400 transcript:ONH96289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSDGKIHPVSSNGDIKDKPFKIFVGYDPREDLAYEVCRHSILKRSSIPVEITPIKQSDLRKDGLYWRERGKFESTEFSFSRFLTPHLANYEGWAMFVDCDFLYLADIKELRDLIDEKYAIMCVQHDYTPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPEVVNTETGAFLHRFSWLEDGEIGSIPFVWNFLEGHNKVVENDPQTRPKAVHYTRGGPWFEAWKNCEFADLWLNEMEECTQETKKKTEN >ONH97263 pep chromosome:Prunus_persica_NCBIv2:G7:17684428:17687420:-1 gene:PRUPE_7G180800 transcript:ONH97263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISDENRSNLVRPTTMQGGLNVGTGKLGQQQVRHTRRALGVINGSLVAAQGYPCVVNKRAFPEKREFYEKKQADPAHRPITRKFAAQIESTQQHCAKETKLPELPNTSAINSTGFGDCIFIDEECESPEDQADQPEPMFLEQAETVPDEADDTKEIEMEDIMEEPIVDIDGCDLKNPLAVVEYVEDLYAYYRRMEGFSCVPPNYLEQQFDINEKMRAILIDWLIEVHDKFELLKETLFLTVNLIDRFLSKQTVVRKKLQLVGLVAMLLACKYEEVSVPVVGDLILISDKAYTRKEVLEMENLMLNTLQFNMSVPTPYVFMKRFLKAAQSDKKLELLSFFLIELSLVEYEMLKFPPSLLAAAAVYTAQATLYGFKQWSRTCEWHTSYSEDKLFNFLNCGNRECSSLMVGFHQKAPTGRLTGVHRKYCTSKFGFTANSEPAQFLIQTQL >ONH97262 pep chromosome:Prunus_persica_NCBIv2:G7:17684083:17687728:-1 gene:PRUPE_7G180800 transcript:ONH97262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISDENRSNLVRPTTMQGGLNVGTGKLGQQQVRHTRRALGVINGSLVAAQGYPCVVNKRAFPEKREFYEKKQADPAHRPITRKFAAQIESTQQHCAKETKLPELPNTSAINSTGFGDCIFIDEECESPEDQADQPEPMFLEQAETVPDEADDTKEIEMEDIMEEPIVDIDGCDLKNPLAVVEYVEDLYAYYRRMEGFSCVPPNYLEQQFDINEKMRAILIDWLIEVHDKFELLKETLFLTVNLIDRFLSKQTVVRKKLQLVGLVAMLLACKYEEVSVPVVGDLILISDKAYTRKEVLEMENLMLNTLQFNMSVPTPYVFMKRFLKAAQSDKKLELLSFFLIELSLVEYEMLKFPPSLLAAAAVYTAQATLYGFKQWSRTCEWHTSYSEDKLLECSSLMVGFHQKAPTGRLTGVHRKYCTSKFGFTANSEPAQFLIQTQL >ONH96630 pep chromosome:Prunus_persica_NCBIv2:G7:15729152:15730480:-1 gene:PRUPE_7G142400 transcript:ONH96630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSILYSVVAFMLLVTELQSYAGAHGIGANYGRQGDNLPTPDKVIQLCKSRNIQRIRIFDPQQDVLQALQGSGIQVIIGTLNIDVPTLANDPAFATTWLQTNIIPFASSVNFRCISVGNEMVPSQNASSILPAMQNLRTALIAANLNIPISTVVFQNVLASPFPPSAGTWHPDAASIMVPLVQYMQANGYPLLYNAYPYFAYRDNPNDIRLDYALMSTSEVIVTDGALGYTNLLDASLDALYWALEKVGAPNVEIVVAETGWPSEGEGHDPATIDNARIYNSNLIKHGQFGTPKRPGKGLEAYIFALFNEDLKPKGSEAHWGLFYPDMSEVYHVDF >ONH95260 pep chromosome:Prunus_persica_NCBIv2:G7:9889794:9891677:1 gene:PRUPE_7G059700 transcript:ONH95260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSELEVSGSSWDVSQFQHTPFYCEENVYLLCKELGTKGIADAQSSDLFVVFISNEKKQIPLWHQKASSRADGIVLWDYHVICIQRKGRGDSPSPHLVWDLDSSLPFPCPLARYVSETIRPDFQTFSEFQRYFRIVHAPVFLRCFASDRRHMKDSVGNWLHQPPLYQPIVAEDGTLHNLNDYFDIRATDAVTGTGADMTNEVFTKKLGVVITESQLEEFFSQIL >ONH96635 pep chromosome:Prunus_persica_NCBIv2:G7:15750209:15753390:1 gene:PRUPE_7G142800 transcript:ONH96635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSLWKPIYQCAALLIDKKSSRKKDGSDSNLDIKKTTSILRKLQENKLREALEEASEDGSLIKSQDMESESLANQEEGLGRSRSLARLHAQREFLRATALAAERIFESEDAIPDLHEALTKFLTMYPKYQSSEKIDQLRLEEYSHLSPKVCLDYCGFGLFSYLQTLHYWESSTFSLSEITANLNNHALYGGAEKGTVEHDIKTRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFQTNKKLLTMFDYESQSVNWMAQSAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGFDPTGFGCLLIKKSVIGCLQNQSGSTGSGMVKITPEYPLYLSDSVDGLDKLTGFEDDELAENGEKASESRQGSRMPAFSGAYTPAQVRDVFETEMDQDNSSDRDGTSTIFEEAESVSVGDMMKSPVFSEDESSDNSIWIDLGQSPLGSDYAGQINKQKIASPLPPFWLLGRKNHKQLSPKPTSKIYGSPIYDDKEVNSGPRDDSHVLSFDAAVLSVSMELDCVKKVPEEKQVAETGPTSQNGKSGSDHHHREIQEECGTSKPLPTGSVLNSAVNGFCPKNLTSTSQHHSLENGSTTQSCAEIRESAIRRETEGEFRLLGRREGSKFANGRFFGLEENEAPSRGRRVSFSIEDPKDHGSHNLETGEISAASLEDEDYTSDGEYGDGQDWDRREAEIICRHIDHVNMLGLNKTTSRLRFLINWLVTSLLQLRLPGSDGDSRTNLVHIYGPKIKYERGAAVAFNVRDRNRGLINPEVVQKLAEKEGISLGIGFLNHIRILDTPRQQHGALNLQDTTLCRPMENGRNDRKGGFVRVEVVTASLGFLTNFEDVYKLWAFVANFLNPSFIREGGLSTVEEESETKSETSINDIVPN >ONH98117 pep chromosome:Prunus_persica_NCBIv2:G7:20225193:20226933:-1 gene:PRUPE_7G230300 transcript:ONH98117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTSKVIMGATLVMVVSLAIVLGLILVLLAELYCSLLLRRRQLKTNTSNPNLTADAADSAATTTSSQQPSSQPQDHSAGPPLSSFLGVLRAPRSFLFPSVPCKQDNAETKKHHTHLLHQVFDIPVPNQEILPNTTPCHIGVMISSPSPSISFVTSPQPTQEDKIQAGNSSPGAQCNAKAAGGGGGAEHFVYISNPIYDNDEASTRHSGGENNTPFETPETSPSRLEMGGGSSSSCSGEDEVAQPTPSGPSSPTTTPPLTPMKKLPAEACSVPLRDARSLGTSGSDSNTNNGLSSSSSGSPCTSPSW >ONH97061 pep chromosome:Prunus_persica_NCBIv2:G7:17071117:17071689:-1 gene:PRUPE_7G167500 transcript:ONH97061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLLHFLASTPSSPTHLVLSKPTGTGHNHIFSGFSPTRPGCLRISTPIYNSTGSDGGNTSSPETDSNSVPPPLAPDNTGLRFRKRSRRRTKLQREEGSDGDGGRFTKAQAITKPAAAPKKWEDMSLGEKALELYVGEKGLLFWINKFAYASIYIVIGAWLCFRFVGPALNLYQLDAPPLSPTSILKGS >ONH96035 pep chromosome:Prunus_persica_NCBIv2:G7:13308796:13310583:-1 gene:PRUPE_7G103500 transcript:ONH96035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRIARTQIHKEPQPLLSRSRPKFYVTALLSSRNISHIREVHAQVVVNGMLQNLTVANKLLYMYAQRRVLGDAYALFGGMGERDSVTWSVMVGGFVNVGDFTNGFATFRELIRSGVTPDIYTLPFVIRVCRDMKDLKMGRLVHDIVLKHGLLADNFACAALVDMYAKCKVVDDARQLFDKMQNRDLVTWTVMIGAFAECRNADESLVLFDWMIEEGVVPDKVAMVTIVNACAKLGAMHRARLLHYYICRNQFSLDVILGTAMIDMYAKCGCIDSAREIFDRMQVKNVITWSAMIAAYGYHGHGRKAIAIFHLMLNSGVSPNVITFVSLLYACSHSGLIEEGLRIFSLMWDEFAVRADIKHYTCMVDLLGRAGRLDEALELVESMAVEKDERLWGALLGACRIHGNIDLAEKAVNSLLELQPENAGHYVLLSNIYARAGRWKDVAKMRDLMSQRRLKKVPGLTWIEVDNKTYQFSTGDRIHPQSEKIYAMLESLGKKLELAGYVPDTNFVLHDVDEEVKLAMLYSHSEKLAIAFGLIATADGTPIRITKNLRVCGDCHTFTKFVSAVTQRVIIVRDVNRFHHFREGTCSCGDYW >ONH94212 pep chromosome:Prunus_persica_NCBIv2:G7:497820:498370:1 gene:PRUPE_7G004500 transcript:ONH94212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNSNVVVRVANISANICQYIACNPERLSSHQVLHLLFCFPFHQLRRRLVAFICLPYLSSSSSSEADDDDEDSSHDSSDDLSAYASNSHSD >ONH97581 pep chromosome:Prunus_persica_NCBIv2:G7:18652609:18654975:1 gene:PRUPE_7G198500 transcript:ONH97581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVTLVLSAALVLVAISCGAAASSFDESNPIRLVSDGLRELEQQVVQVLGYSPRALHFARFAHRYGKKYESVEEMKLRYEIFSENKKLIRSTNKKGLPYTLAVNRFADWSWEEFRRQRLGAAQNCSATTKGSHKLTDAVLPESKNWREEGIVTPVKDQGHCGSCWTFSTTGALEAAYVQAFGKQISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEAAYPYVGTDGACKFSAENVGAQVLDSVNITLGDEQELKHAVAFVRPVSVAFQVVKSFRFYKSGVYTSDTCGSSPMDVNHAVLAVGYGEEGGVPFWLIKNSWGESWGDNGYFKMEFGKNMCGVATCASYPIVA >ONH95209 pep chromosome:Prunus_persica_NCBIv2:G7:9656770:9658670:1 gene:PRUPE_7G057200 transcript:ONH95209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDVGHVSVGKHPGGAAPLSPAQPLQRAASSTPLHSTNGLTLLSNQLLGNHQQVSVSNRQRRLTARRIVIIINPAAGWPKICTSWTTAGQHPPSTTTTSANHGWSPSPPLLVAIMWHIDKSPSIIKKRKKKRKRYAIRGLESCPSLWTKRRITNQAKLSFLLIMRIYSLYTILFQHQLKFYFFIYTNYHFFSYQMRT >ONH97606 pep chromosome:Prunus_persica_NCBIv2:G7:18728227:18729246:1 gene:PRUPE_7G200400 transcript:ONH97606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLHWIAFILSILATIHNHIGLVEASTDIGVCYGMLGDELPAATEVASRMKKANLAGSQGAVNTWFAANVEPYVNDIVFNHIAVGNEVIPGSLAEHILPVMKYLQNVINDKNLDGIKVTTVLSGTALASSYPPSNGAFKPGASNVMSAILAFLSARGSPLMINVYPYFAYSSDPANIHLNYAQFTATTMEKAGGGGVGVVVSESGWPSDGNGDFTTPELARTYNRNFLKHITSKAGTPKRPGAYIEGYIFAMFNENQKPEGVEQHFGLFHPNMQPVYPVF >ONH96030 pep chromosome:Prunus_persica_NCBIv2:G7:13274130:13276372:-1 gene:PRUPE_7G103100 transcript:ONH96030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFNGIYDLFYQYNPKGAAWGNIVRAHSVSKTAWWHNGHWRILVGGKRKHRGMAWLYRSIDFKYWVKAKHPLHSAPETGMWECPDFFALALNGRSGVDTSKVGEDVKHILKVSLDETRYEYYTLGKYFPEKDRYVPDNTSVDGRAGLRLDYGNFYASKTFFDPSKNMRILWGWANESDAADDDKAKGWAGVQTIPRVVWLSSDAKQVVQWPIKELETLRGQKVDINNQNVEQGQHVEVKGITAAQADVEVTFSFGSLEKAEEFDPNWANLDAQTVCSLRRSDVPGGVGPFGLLTLASQNLEEFTPIFFRVFKTKETKHKVLMCSDAKSSSLRPFNEKLYRPSFAGFVDVDLSADKKISLRSLIDHSVVESFGAGGKTCITSRVYPTLAVNDAAHLFVFNNGTKPVTVQTLSAWSVNAPQQMNQNLNSEHI >ONH98279 pep chromosome:Prunus_persica_NCBIv2:G7:20685681:20688968:1 gene:PRUPE_7G239900 transcript:ONH98279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDLLKRNTDCVYFLASPLTCKKGVDCEFRHSKIARLNPKDCWYWLSGNCLNPTCAFRHPPLDGHGGAPSSESAPSSVSANKTIVPCYFYFNGFCNKGDRCSFLHDIEGGASTEKSPKTASALNDTFALSSENKASAGNDMMPGPRMAHLNPSETASKETGDTRFQPKEDLNYFEQSAQRDVPQQSVSPQISVSGDEEATEIRSDSLPADGSVHSKSHSSTDQSSDEQADDLVQEEWWESSPGFDVLVDGKSENLGCEDDPECFLTLDGERRELNSHFLGYDFENPDDYVPVCPPDAELLYERDVYDSYDFLDNNHMLGNDGKFPGYAKETMSDAIYSRKRKLMPMELAVYDQDFLDVRDRLRRRRMTDCHSITGLSRRHEALRLFGRSRDMPQRHGMGWRVHGRLASEVRNSTYGSLRETGASSSAGIQRVSLKHSQQYRPRKHHKERKLAKQKLPSSGVSKKRVPREKRSAQKSTTFTGPKTLAQIKEEKKKAEENRDHVGIMRHVRRTRVDFQGPKPLNEILKEKGKIADKREGNAGSN >ONH95949 pep chromosome:Prunus_persica_NCBIv2:G7:12938348:12943281:1 gene:PRUPE_7G098100 transcript:ONH95949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCARAPNRQPTPATAATAGGTQILPPLRRHLAFESTKPQPFPPDNYHRFAGGARRAGDHEPEAIHVRSPQLTRKDNNEVEYSDWTSSPGYTNVVQSPLQTPMSTKGGRINNRSKASKGNRSGPQTPVSNAGSPSPLTPAGSCRYDSSLGLLTKKFINLIKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIRWKGFDASRPGELDGDLSILQAEVENLSLKERTLDDQIREMQEKLRDLSEDENNRKWLFVTEEDIKAIPCFHNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIILRSTMGPIDVYLVSQFEEKFEDINGAEPPVSFPIASGSGSNEHPTTEVITVESSGKEIEPWAQQADQTCSDINTSQEFAGGMMKIVPSDVDNDADYWLLSDAEVSITDMWRTDSGVGWNGADILHSDFGVPDVSITRPQTPPSGIAEIPPHAVNFSQR >ONH96995 pep chromosome:Prunus_persica_NCBIv2:G7:16870690:16875422:1 gene:PRUPE_7G163700 transcript:ONH96995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSNKRSSSSSNSYASTVTITVFIAVCVFAVWMLNYSNTGVPPQTTNRSTRIATTASTDDTTNTALNMASSEDDQAQVITKSTSNDNSNNNSENPKAFEDNPGDLPDDAIKSDDLPNNSSKGSSTQDQNSSGGGDNKQDQVSEELQDQKEKEISQSQLSEDQTSSVAEHSQQQADHDEQSVSSQKGSDDQSDQNSRKGSEDQSDQNNQKMFTSNGEGSSSGNDQMINSESKSQDQQETNNNNSESRERSSQDFQNDKKSDTADQQQGTAQQGQQQQESQKQSSDKQQSQNETSESDQQQQAKEQQKQQQKQEQQNSESNMTKIGEVQFSDVTNHAMTTTLLVQNKASDGQNFSQTPSDQNKQSPEKTQQDTDSQQKQAGNAADQSKQGATESNSGDSLLGGDNSGIPKESKESKKSWSTQADQSENQKERRKDETDGQDGIYGYTWQNCNVTAGPDYIPCLDNEKALKQLRTTKHFEHRERHCPQEGPTCLVPLPEGYKRSIEWPESRDKIWYHNVPHTLLAEVKGHQNWVKVSGELLTFPGGGTQFIHGALVYIDFLQNAVPGIAWGKNTRVILDVGCGVASFGGYLFDRDVLTMSIAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPSRVFDVVHCARCRVPWHNEGGMLLLELNRVLRPGGYFVWSATPVYRKEKEDVEIWKEMSALTASMCWEVVAIKNDKVNLVAAAIYRKPTSNQCYEQRKQKQPPMCNNDDDPNAAWYVPLQACMHQAPIDKSERGTQWPEKWPSRLQTPPYWLNSSQMGIYGKPAPQDFARDYEHWKRVINNTYIKSLGINWSNVRNVMDMRAVYGGFAAALKDLKVWVMNVVNIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSRLKKRCKLPPVLAEIDRIVRPGGKLIVRDESSTIGEVENLLKSLHWEVSLTVSKNQEGMLSAQKGKWRPNTYADRNSS >ONH97901 pep chromosome:Prunus_persica_NCBIv2:G7:19608598:19611514:-1 gene:PRUPE_7G217400 transcript:ONH97901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLNVDSLALKLPHATRPAGPGVHSSTTPCFCELKIKNFPSQTALLTLSNSLSDSSPPDSSTSAPGFHVDPTLLRRLYGKPVTLRVSVYTGRMGRTCGVTSGKLLGRVHLSIDLDSARVHPTVIQNGWMKLGKDREKPSAKLHLTVRAEPDPRFVFQFGGEPECSPVVFQIQGRDIRQPVFSCKFSADRNSRFRSLQSDFTSMNNRGWMRTFSGDRERPGRERKGWMITIHDLSGSPVAAASMITPFVPSPGSDRVSRSNPGAWLILRPHGFSVSSWKPWGRLEAWRERGPIDGLGYKFELVTDNGPSSSITIAEGTMSVKKGGQFCIDSSLMRDSALNSRSPVKGFVTGSTVEGEGKVSKPSVQVGVQHVTCMADAALFVALSAAIDLSMDACRLFSHKLRKELCHDEQNCFS >ONH94137 pep chromosome:Prunus_persica_NCBIv2:G7:55631:57235:-1 gene:PRUPE_7G000200 transcript:ONH94137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQNQDLSHKAGELTGQAQVKKDEFLNQASYMSQSVQNKASNASQSVQNKASNASQSTQNKASNASQSAQNKASDASHTAQDIKDQATHLLQQTSEQVKNMAQGAAETVKSTLGMNNPNDPNSNPSNANMNPSINPINPSKPSINPNNPRI >ONH98756 pep chromosome:Prunus_persica_NCBIv2:G7:21879860:21880367:-1 gene:PRUPE_7G264900 transcript:ONH98756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEMKPRCILSLSLLLLLLLIFCQSLPSSAHGDGSGGGTTTTSTITRVMVVGEQQMQKQQQQPPLPAANYHVSTLLHTTRKLKLGVHMKITRSVPKVPRVKKSSAIPTQMTPSLPVACFLSSLSLLSFFML >ONH97955 pep chromosome:Prunus_persica_NCBIv2:G7:19763856:19768213:1 gene:PRUPE_7G221000 transcript:ONH97955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQIANGAVKAVRASALNCIDLSSSDIHQSVSLLKQASLDCGFFYVVNHGVSEKFMDEVFAQSRRLFSLPLSEKMKLLRNKNYRGYTPSLDEHLDHENQVHGDYKEGYYIGVELPEDDPEPENKPFYGPNVWPAPDLLPGWRDSMEEYHRQCLEVAKAVARLVALALDLDIHFFDKPEMIGEAIATLRLLHYDGQISDPSNGIFGAGAHSDFGFITLLATDDVVGLQICKDKDAKPQIWEYVPPIKGAFIVNLGDMLERWSNGIFKSTLHRVVGNGQDRYSMAYFIEPSHNCLVECLPTCKSEKNPPKFPPILCRTYLRQRYYDTHADLNVYDEHKK >ONH97956 pep chromosome:Prunus_persica_NCBIv2:G7:19764008:19766891:1 gene:PRUPE_7G221000 transcript:ONH97956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQIANGAVKAVRASALNCIDLSSSDIHQSVSLLKQASLDCGFFYVVNHGVSEKFMDEVFAQSRRLFSLPLSEKMKLLRNKNYRGYTPSLDEHLDHENQVHGDYKEGYYIGVELPEDDPEPENKPFYGPNVWPAPDLLPGWRDSMEEYHRQCLEVAKAVARLVALALDLDIHFFDKPEMIGEAIATLRLLHYDGQISDPSNGIFGAGAHSDFGFITLLATDDVVGLQICKDKDAKPQIWEYVPPIKGAFIVNLGDMLERWSNGIFKSTLHRVVGNGQDRYSMAYFIEPSHNCLVECLPTCKSEKNPPKKCITYKACGQ >ONH98358 pep chromosome:Prunus_persica_NCBIv2:G7:20947874:20948703:-1 gene:PRUPE_7G246000 transcript:ONH98358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNLCDNSLQICTIKMNLHCCAKCPIKLKEKLQKLNGVEDINIDPEQGLLKVSGNIDPMVLTNIVKNMDKKAELWSFQKEPYKNNVNIGASTKCNIQIGEDCSCDGDEAESSSDDESKRSIVPKKQHGVLTWAKNDKKKKNGKGFSGMGMGMGMPMPPQRRPPMRPSMGIPAHRSFHGIPRPGYQPPNSYYQPMTYYRPSPPPYGYYGQIQPPPYSCFQSRSPPNVNPIIHYTNYADNYRYPM >ONH94789 pep chromosome:Prunus_persica_NCBIv2:G7:5648788:5651780:-1 gene:PRUPE_7G030600 transcript:ONH94789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLVPLLNRVLVEKIVPPSKTNAGILLPEKSTKLNSGKVVAVGHGVRDKEGKFIPVTVKEGDTVLLPDYGGNEVKLGDKEYHLYRDEDILGTLHD >ONH98100 pep chromosome:Prunus_persica_NCBIv2:G7:20176043:20180775:-1 gene:PRUPE_7G228800 transcript:ONH98100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPSDPSNDENNGKSNQDKKLKRKELGLSCMLNTEVGAVLAVIRRPLDPTSHCFAAPDDAFDPSLLQPLKSLRALIFNPQQEWRTIDPSIYLFPFLDVIQSDEVHAAATRVALSATLKILKLGIFDEKTPGAKDAINTVVTAITTCRLERTDPVSEDAVMMNILQVLTGIMNHRASVLLSDQAVCTIVNTCFQVVQQSSSRGDLLQRCARYTMHELIQIIFSRLPEINFRDGDSSASTDTEDADADEGNLDSGYGIRCAVDIFHFLCSLLNVVQVVETDQGSSVQTADEDVQLFALVLINSAIELSGDGIGSHSKLLRMMKDDLFHHLVHYGTRSSPLVFSMICSTVLNMYHFLRRFIRVQLEAFFTFVLFRVAGPGVSIQLQEVALEGIINFCRQLTFVVEVYVNYDCDPLCHNVFEEIGKLLCKQSFPVSNPLTTIQIQAFEGLVIMIHNIAESIDREHDTSPSGPYPIEITEYAPFWEDKPKDDSEAWVQFVRVRKAQKRKILIAGHHFNRDEKKGMEYLKLYNLVSDPPDPKTLAFFFRYTPGLDKTMIGDYLGDPDEFHIKVLEEFTGTFGFAGMNLDSALRTYLETFRLPGESQKIQRILEAFSESFYEQQPADLFVNKDTVFILCYSLIMLNTDQHNPQVKKKMTEEEFIRNNRAINGGKDLPREYLSELFQSISNNAITLFGQSGLPVIMNPSRWIELMNRSKTVQPFILCDFDRRLGRDMFACIAGPSVAAISAFFEQAEEEELLHECIEGLFSVARIAQYGLEDTLDELIATFSRFTTLLNPYASAEETLFAFGKDLKPRMATLAVFTIANNFGESIGGGWRNIVDCLLKLKRLKLLPQSVIDHDAASTSSSDAQATSESGIIFPAHDPKFGGHRASGMISRFSHFLSLESPEDALSLGMSEFEQNLKVIKQCRIGNIFSTSSHFPEDSLLNLGRSLIYAAAGKGQKFSTPIEEEETVGFCWDLIVVIALANVHRFQAFWPNFHDYLLAVAQFPMFSPIPFAEKAIVGLFKVCLKLLGTYRPDRVPEELIFKSINLMWKLEKEILDTCGELITQSVNKILIEYPANLQTQLGWKSVLHLLSVSGRHQDTYEQGVETLIMVMSDGTHVSRTNYAYCIDCAFGFIALKNSPSEKNLKILDLLSDSVNLLIQWCRNQYSDPGNNYSVASNTSNSSLEDSKGFGSNNFAMNLFVKLGEAFRKTSLARREDIRNHAVLSLQKSFKLAEELEFTPTNCINSFNLVIFAMVDDLHEKMLEYSRRESAEKEMRSMEGTLKIALELLADVYLQFLIPISQCSGFRTFWLGVLRRMDTCMKADLGAYGESTLPELIPDLLRKMITEMKEKEILVQKEDDDLWDITHIQIQWIAPSIKEELFPE >ONH98132 pep chromosome:Prunus_persica_NCBIv2:G7:20288164:20291124:-1 gene:PRUPE_7G231800 transcript:ONH98132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTSLSILSSTSSFPSNSNAYTDASSTSTSLKVRPFGLSKRSLKFPKASKLAPFKVFGSSSINPIEDGSAEQFLRNNSIADFMRFKRGVDGGTGELQTAVVSYKKRFPWSLLRPFLQVDLVSTIHIADKEYFETLQKELESYDCVLYEMVTSRESLENRRILAATKRLKVKGSRSKGFNILGCIQRQMARFLTLDFQLDCLDYESENWYHADLDFETFQLLQQEKGESFFTFARDMTVRSTKAMIQTATIPEGLGPWRSKLKWAARVLPMPLVGLLIIGGVCADTGSEDSEFPEFEALSRLDFGAAMKVFLAKRLTSEFTQATADVEESSVIIGERNRAAMDALRKAIDGGNNRIAILYGGGHMPDLGRRLQELELIPTQAQWISAWSIRKQDLNSSSLPFLKKMAEVSGWPLNRYQTLALLIFSSVLALDLWFWELFFGTAVNWSYQLASQVSEYIDNSGMSL >ONH96537 pep chromosome:Prunus_persica_NCBIv2:G7:15283092:15285496:1 gene:PRUPE_7G135400 transcript:ONH96537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLREEINPSSYGDKITVLSIDGGGIRGIIPATILTFLESKLQELDGEEARIADYFDVIAGTSTGGLITAMLTAPDQNKRPLYEAKDIVPFYLNHCPKIFPQSSGPLMRMRALRGPKYDGKYLRKLVRKILGTRRLHETVTRIVVPTFDIKLLQPHVFSTFEAEMEFSEDALLSDICIGTSSAPTYLPAHHFKTKDSEGNEREFHLVDGGVAANNPALLAMKPTGKVFPGSPDDLASPQSLQYEKYLMLSLGTGTSKMEKKYNAKMAAKWGILGWLYKDGHCPLVDAFTFASGDMVDLHMSLIFRSVKCEHNYLRIQDDTLSGNTSSTDKATKENMRELIKTGESLLQKPASRMNLDTGIFEPAHNGATNQEVLTRFAQKLSEERRLRKERLHQWSSI >ONH96022 pep chromosome:Prunus_persica_NCBIv2:G7:13221172:13223823:1 gene:PRUPE_7G102500 transcript:ONH96022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSLHYHPLPSSKTQIPCTSSYGSPRRLSLSKFTSSRPQLSCSVSKEGSSGDNLPSTFCIIEGPETVQDFVQMQLQEIQDNIRSRRNKIFLLMEELRRLRVQHRIKMAKDIDEACEEESNEMPDIPSTIPFLNHVTPKTLKQLYLTSLSMISTVIVFGGLIAPTLELKLGIGGTSYEDFIRSMHLPLQLSQVDPIVASFSGGAVGVISALMLIEANNVEQQEKKRCKYCHGTGYLACARCSASGVCLNINPILESSASDRPLRVPTTERCQNCSGAGKVMCPTCLCTGMMMASEHDPRIDPFD >ONH95808 pep chromosome:Prunus_persica_NCBIv2:G7:12422198:12426199:-1 gene:PRUPE_7G090800 transcript:ONH95808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNCVGSTKDGLFHSVSNSLWWSRSTGFVSHGSREINSTDATPQLSKKNSEAPLCVQNKAPEQVKIATEDYKPVQPSNQKEKVQPDKPKEPAQPQKQKEETILARQVTLQKGETKPAQPKILKEPGQPIPQKAETKPAVAARPKKPHSVKRVASAGLQADSVLQTKTGHLKDFFDLGEKLGHGQFGTTFHCVEKSTGKEYACKSIAKRKLLNTEDVEDVRREIQIMHHLAGNQNVIAIKAAYEDAVAVHVVMELCSGGELFDRIIKRGHFTERKAAQLTRTIVGVIEACHSLGVMHRDLKPENFLFVNEDEDSSLKAIDFGLSIFFKPGEIFGDVVGSPYYVAPEVLRKRYGPEADVWSAGVIIYILLSGVPPFWGETEQEIFEEVLHGDLDFSSDPWPSISRSAKDLVKKMLVRNPKKRITAHQVLCHPWVQVDGVAPDKPLDSAVLSRLKQFSAMHKIKKMALRVIAEHLSEEEIAGLKEMFKMIDTDNSGQITFEELRDGLKRFGANLNESEIYDLMQAADVDNSGTIDYGEFIAATLHLNKVEKEDHLFAAFSYFDKDGSGYITQDELQQACEEFGIVDVHLEELIREVDQDNDGRIDYNEFVSMMKKGNADFEKKGLHTSALSIGFREALSVC >ONH95809 pep chromosome:Prunus_persica_NCBIv2:G7:12422089:12426248:-1 gene:PRUPE_7G090800 transcript:ONH95809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNCVGSTKDGLFHSVSNSLWWSRSTGFVSHGSREINSTDATPQLSKKNSEAPLCVQNKAPEQVKIATEDYKPVQPSNQKEKVQPDKPKEPAQPQKQKEETILARQVTLQKGETKPAQPKILKEPGQPIPQKAETKPAVAARPKKPHSVKRVASAGLQADSVLQTKTGHLKDFFDLGEKLGHGQFGTTFHCVEKSTGKEYACKSIAKRKLLNTEDVEDVRREIQIMHHLAGNQNVIAIKAAYEDAVAVHVVMELCSGGELFDRIIKRGHFTERKAAQLTRTIVGVIEACHSLGVMHRDLKPENFLFVNEDEDSSLKAIDFGLSIFFKPGEIFGDVVGSPYYVAPEVLRKRYGPEADVWSAGVIIYILLSGVPPFWGETEQEIFEEVLHGDLDFSSDPWPSISRSAKDLVKKMLVRNPKKRITAHQVLCHPWVQVDGVAPDKPLDSAVLSRLKQFSAMHKIKKMALRVIAEHLSEEEIAGLKEMFKMIDTDNSGQITFEELRDGLKRFGANLNESEIYDLMQAADVDNSGTIDYGEFIAATLHLNKVEKEDHLFAAFSYFDKDGSGYITQDELQQACEEFGIVDVHLEELIREVDQDNDGRIDYNEFVSMMKKGNADFEKKGLHTSALSIGFREALSVC >ONH95402 pep chromosome:Prunus_persica_NCBIv2:G7:10654436:10654642:-1 gene:PRUPE_7G068300 transcript:ONH95402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFGTSSARILMVMVAALFYVTKTMAQNTATPPAPLPVTGAGFASPVSVALICSSMLVSLTAAFAFC >ONH94988 pep chromosome:Prunus_persica_NCBIv2:G7:8068768:8072168:1 gene:PRUPE_7G044300 transcript:ONH94988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFRFFLFLWWTACLQSATLSTSGNESDRLALLDFKKRITQDPLRIMSSWNDSIHLCSWLGVTCNPATKRVMVLNLEAQKLVGSLSPSLGNLTYLTGINLMNNSFHGEIPQQIGRLLSLQHLNLSFNSFGGKIPSNISHCMQLSVLSLNSNKLFGSIPNQLSSLLNLVGLGLGLNNLTGTIPHWIGNFSSLENFVLAINNFQGSIPHELGRLTNLRRFVLWDNNLSGMIPTSIYNISSIYYFTVTKNQLQGELPPNVGITLPNLEVFAGGVNKFTGTVPLSLSNASRLQVLDFPENGLTGPIPAENLATLQSLVRLNFDQNRLGSGKTGDLNFLSFLANCTSLEVLGLNDNHFGGELPASIANLSTQLKRLTLGTNLIHGSIPNGIGNLINLTLLVVAENYLGGSVPDVIGKLQKLQGVELFANQFSGPIPSSLGNLTSLTRLLMEENKFGESIPPSFGNCKSLQVLNLSSNNLSGTIPKEVIGLSSLSIFLSISNNFLTGSLPSEVGDLKNIGELDISENKLSGEIPGTLGRCISLERLHLQGNKLEGSIPQTLKSLRGLEEIDISRNNLSGKIPEFLGNLGSLKHLNISHNNFEGELPREGIFSNASGVSILGNNRLCGGIPEFLLPACSSKMRHSPRGLLAPKVFIPISCALAFLIALSFSFAACSYVKKSRDRPVTSHSYTDWKSGVSYSQLVQATDGFSVNNLIGSGSFGSVYKGVFPSDGTVVAVKVLNLQQEGASKSFIGECKALRSIRHRNLLKIVTVCSSIDNQGNDFKSLVLEFMENGSLDQWLHPRDDEQSQPKRLSLIQRLNIAIDVASALDYLHHHCETAIVHCDLKPSNVLLDEDMVAHVGDFGLARFLLQASNDPTKTQTMSVGLKGSIGYIPPEYGMGSQVSIMGDIYSYGILLLEMFTGKRPTDDMFKDGLSIHQFTAMALPDHAMDIVEPSLLLETDDEEDDEEHDVEYEIDIQERPIARYMDPSPDKVKRLEECVASVMQIGISCSAVSPTERMLMDVVVNKMNAVRGSYLNYLTTRRRR >ONH96718 pep chromosome:Prunus_persica_NCBIv2:G7:16014621:16017206:1 gene:PRUPE_7G147200 transcript:ONH96718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKQLFRSTNLIKILNQQQTRPYARDPFPNKVSLYLHRAKLIDSIRLRLRANTPDSLAPLAGDRLLDSFVVTQALRSAPSADSALSLVQFIEQNPHFVHTQNTVHALATVLAKSRRADELKFLIQAVHAGKFRNVRVSFMNLMQWQAAIGDLEGVIRVWDEYRVSSEKRVCAESYNIVMRLFAQIGKDYEAVKVFHMMIEEGAIPNSRTYTVMIEHLVSSGKLQSAMEVFNVLPLMRVKRTLNQYSVLVEACVGVKQFDEVKILLNEMRVDGILPGRAMRLSLEQIQEAGFVQETDEFLREMLPNEDIKNISYCVDSSDEDEDHDEDATDVGGGDDVNEVQLKPWLDPRALANALQKWSPDEVSALEKAKFVWTTRLVCKILRNFKSAEKAWNFFCWVACQPGFTHDIYTVQRMMALLARDGLSELVDQLLNKMRMEQLRLPFCTIRLIIDFYGISKKADAALKVFHDDRNLCGPISNFNLMLLYSSLLRTLTKCGRDSDALDVLEEMILCGIVPNIQIFSGLMHHFALNGDIKTVQKLFAMVRQSGVQPDAYMFKVLIQAYCKCGRAALAWRVFEDLRNLNLMPDSATKELLVKSLWKEGKRREAAAVEESCEEVSDVLPLTLHGHIWTVSSADLTKVFSIYSNSFTSAGE >ONH96108 pep chromosome:Prunus_persica_NCBIv2:G7:13677047:13678725:-1 gene:PRUPE_7G108300 transcript:ONH96108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYYDLISIGLLVKSQAWNAFFAIVPRQVLLASLDAIKLKPL >ONH97932 pep chromosome:Prunus_persica_NCBIv2:G7:19698974:19701451:-1 gene:PRUPE_7G219300 transcript:ONH97932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPTLTSSLHIHLCFLLFLPLLLISHANSQSLQDQEQAVLLKLKSYLQSPPFLSHWIPSTSNTSHCSWQPEITCTNNSVTGLSLVNTKITLPVPPFICDLKNLTLIDLSYNYFAGEFPKAFYNCSKLQYLNLSQNSFDGKIPDNIDSLPRLQYLDLSANYFSGDIPAAIGRLQELRNLQLYMNNFNGSVPPEIGNLSNLKHLSLSFNTKLVPWNLPSNFTKLKNLKNLYIRGSNLIGELPGTLGEMAALEELDLAYNSLNGTIPSVLFLLKNLSIIYLYNNSLSGDVPQVVEALNLTVIDISTNYLTGPIPQDYGNLTKLTWLALFLNGFSGAVPASIGRLPNLKQFRVFINNLSGTLPPDFGRYSELEGFEVSGNRLTGKLPDHLCYWGKLSTLVAYENNLTGELPSSLGNCTSLTEVKVYGNGLSGNIPSGMWTAPNLIHVLMSNNSLTGELPEKMSRNLTRLEIRDNRFSGNIPTGVSSWNLKVFDAESAYWLPSIRDYIMEITQRS >ONH97931 pep chromosome:Prunus_persica_NCBIv2:G7:19698974:19701452:-1 gene:PRUPE_7G219300 transcript:ONH97931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPTLTSSLHIHLCFLLFLPLLLISHANSQSLQDQEQAVLLKLKSYLQSPPFLSHWIPSTSNTSHCSWQPEITCTNNSVTGLSLVNTKITLPVPPFICDLKNLTLIDLSYNYFAGEFPKAFYNCSKLQYLNLSQNSFDGKIPDNIDSLPRLQYLDLSANYFSGDIPAAIGRLQELRNLQLYMNNFNGSVPPEIGNLSNLKHLSLSFNTKLVPWNLPSNFTKLKNLKNLYIRGSNLIGELPGTLGEMAALEELDLAYNSLNGTIPSVLFLLKNLSIIYLYNNSLSGDVPQVVEALNLTVIDISTNYLTGPIPQDYGNLTKLTWLALFLNGFSGAVPASIGRLPNLKQFRVFINNLSGTLPPDFGRYSELEGFEVSGNRLTGKLPDHLCYWGKLSTLVAYENNLTGELPSSLGNCTSLTEVKVYGNGLSGNIPSGMWTAPNLIHVLMSNNSLTGELPEKMSRNLTRLEIRDNRFSGNIPTGVSSWNLKVFDAGNNLFNGTIPQKLTTLPSLITLSLDQNQLTGFLPSEIISWKSLNALNLSRNQLSGPIPAGLGLLPVITELDLSENQFSGQIPAQLGNLKLSNFNLSSNHLSGKIPIEFENPAYDRSFLDNQGLCAARPSAKLSICNFQPRNSSKIWSTYLALILTLGILLSLLALSLSFFMVRAYWKRNRSDSDWKLTLFQRLNFRVSKSIMIGSGGSGKVYCVPVNRTGDVVDDSRGI >ONH97776 pep chromosome:Prunus_persica_NCBIv2:G7:19202409:19204035:1 gene:PRUPE_7G209400 transcript:ONH97776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMIYLLKPKPLNDMSFLQINGPDCAKATRLTEREGPLSFSPNSALCFDLNRSKPKLSTTASNSLSTSPPTAMAPKPIASPVAVTWYPTLAVVMLAIGLIFTASFFIYEATISRKSRSLAKELITGTVASVFLGFGSLFLLLASGVYV >ONH95182 pep chromosome:Prunus_persica_NCBIv2:G7:9442774:9446985:1 gene:PRUPE_7G055600 transcript:ONH95182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHQRYQQRKKGEVGTKEDEECQAFITECANSGYYKRTRPKLLSFLLLSLLSCSFILAPHLFYSDATFSLYSLEVENEGLAADKVANAPLGSSISNGTISCDRSSFRSDICIMKGDVRTQSPSSSIFVYRSRDKSSFTNYLWGLVEEHEIREELQQEKIKPYTRKWETSTMDTVTELDLIAKKESPGMKHHCDVQHDVPAVFFSTGGYTGNVYHEFNDGILPLYITSQHLSKKVVFVILDFHKWWLMKYGDIISHLSDYPVIDFSADKRTHCFPEAIVGMRIHNELTVDSSLMDGDKSILDFRNLLDRAYRPRVRSLIREQEANQKLSVSVSATSKSSLRIKRKVHEHSLKRPKLVIISRNGSRAITNENLLAKMAEKIGFRVEVLRPESSTELAKIYWALNSSDVMIGVHGAAMAHFLFMRPGSVFIQVIPLGTAWAAEAYYGEPARKLGLEYIGYQILATESSLYGKYGKDDPVVTNPRSVTKKGWEYTKKIYLEDQTVRLHLRRFRKQLVGAYNYTIDRI >ONH97579 pep chromosome:Prunus_persica_NCBIv2:G7:18639704:18641968:-1 gene:PRUPE_7G198300 transcript:ONH97579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKFGSYPVVVASSPEMTKQFLKTHDHIFASRPQTAAGKYLTYDYLNVTWAPYGPYWRQGRKIFLMELFSPKRLESFRYIRVEENRAFASRLYALSGKAVVLKEHLSRLSLSIMSRIVLGKEYFSLTDFESSIMSLKEFQDMLDELFLLNGVFNIGDWIPWLDFLDLQGYVKRMKVVKKKMDWFYEFVLHEHKARKEGVKEFVAKDMVDLLLQLVDDPNDLEVKLTYDSIKAFTQDVIAGGTDTSASVLEWAMSELIKQPNLIEKATEELDRVIGKERWVEEKDLENLPYMDAIMKETMRKHPAVVMLPPHLALEDCNVAGYDVRKGTLVFVNLWSMGRDPTLWDAPDEFRPERFLGKAIDVKGQSFELLPFGSGRRMCPGYSLGLKMIGSCLANMLHGFNWKLPENVKVEDLGMEEVYGLVTPRKFPLVVVTEPRLPIHLY >ONH94266 pep chromosome:Prunus_persica_NCBIv2:G7:822957:826757:-1 gene:PRUPE_7G006500 transcript:ONH94266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKIEHPGEERVEKEESHVVVKTKDAQPGELKKEKAEVELELKTKSVVKEKPKHKKDEEKHIDKDEEKSKKKEKDITKKEKKKKNKSGKEKDEEEKQSNGDKKKDKEEKKKKKEKNKDIIGSEDKEDDREGEEKDKKKKNKKEKKEKGKDLDEGEEEIEGKDDYKHKEKDMDEKESKKEKKDQVKVKEDEEKRKDTDKKEEDADNVDEEKEENKKKKKKKEKKGKEHENDDDGTEKKNDEEKVDDIKKEGKKKKDVEEKRKKHKGDDDEKEKKMDEEKDDDNKKEGKKQKDVEEKKKEHKGDDDEKEKKKDEEKDNDSKKEGKKNKDKDDKKKKHKGDDDGNEKKKDEKKEEKIDKKERKEKHNNVEDEEKTKVEVTSREIEIEHVKESEDEMEEQSQKDEVKEGKEKKDKDKKDKGEKKRKVDKKDKSKDVGKLKQKLEKINGKIEALRETKLDIMRQIKEAEGESLALAEKPTDAALVATDAA >ONH95699 pep chromosome:Prunus_persica_NCBIv2:G7:12093721:12097022:-1 gene:PRUPE_7G086000 transcript:ONH95699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATYPPPPPYYKLYKDYLQDPKSAPEPPPPIEGTYICYGGNYTTDDILPSLEDQGVRQLYPKGPNIDYKKELRSLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKQAVEDIKRRREEAQRLLKESIGTLEDTGASFVLK >ONH98278 pep chromosome:Prunus_persica_NCBIv2:G7:20681163:20684955:1 gene:PRUPE_7G239800 transcript:ONH98278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFFQRLAKAAPIAFNNSFGGQSKSSFRIPFGALAAVSGGISYLCYYSSPDLVYLDEIKEQAGPKVALNPNKWIEFKLQDTARVSHNTQLFRFTFDPTAKLGLDVASCLITRAPIEQDAEGKPKYVIRPYTPISDPDSKGYFDLLIKVYPEGKMSQHFASLKPGDVVEVKGPIEKFRYTPNMKKHIGMIAGGSGITPMLQVIEAIMKNPDDTTQVSLLYANVSPDDILLKQKLDILAASHPNLKVYYTVDNPTKSWKGGKGYISKDMAVKGLPGPAEDTLILVCGPPGLMKHISGDKAKDWSQGELTGILKELGYTEEMVYKF >ONH98202 pep chromosome:Prunus_persica_NCBIv2:G7:20464205:20466407:1 gene:PRUPE_7G235400 transcript:ONH98202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHLSSHHHLIPLDFKSLVTLPNSHTWAPSSSDHHPFPESVPVIDLSDPNATPLVRLACEKWGVFQVTNHGVPMNLIKQTQLQTHRLFALPRDQKLRVLRSPDGFTGYGSARISDNFPKLMWSEGFSMMGSPLEHARQLWPLHHANFCNVMEEYQMEMKGLAAKLIELMLRSLGLNNEDVKWLRHPRSLLQLNSYPVCPDPTRAMGLAPHTDSSLLTLLYQTTTGGLQVLVDGVSWAPVYPTAGALVVNVGDLMHILSNGCFKSVVHRAIVNKVHHRISIAYFYGPPWDVKISPLMKLIDHNHPPLYQPVTWKEYLGYKATHFNKALELIRNDGGEIQAN >ONH94976 pep chromosome:Prunus_persica_NCBIv2:G7:7970571:7971857:1 gene:PRUPE_7G043500 transcript:ONH94976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKELYKITPEEIATIQNQNKNRKHTVVEDRMHAGNWVKYLEPRLATFSSFHHGKPKYEHAEVFKLKLVANFKAEENIKELRECYDEEATKDYDDKCLEWMLFVDACSMSLEFIYKYDKLEQFKIKREHVAFTQQDMFMVENQLPYKLLKASYGQLLYLPKTKVDDSTGAKFMNLIAYEMSSDFQSNFGDVTSYICFLHLLIHHADDVKHLKEKYILENSLMSDEDVAQLFKEMGIQFVPNNDIYHIVKTKIEDHCTTKWKI >ONH98030 pep chromosome:Prunus_persica_NCBIv2:G7:19933968:19937789:1 gene:PRUPE_7G224200 transcript:ONH98030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDENGLELSLGLSCGGSSAKFKGKSGAPSNTKAEEGDRSSKLADDFKDFLHGGAQKQDSSSSSQRSDSVKPKENFFNDLSKANVDADASINLNGRGVWVANNNKSDELEEEKRSEAGNKRKSLFNEMNHQKKHERETHYTDMHDKTRTSHISITEDGSTAENEDVADSEVEGSSSVLISQHDEGSKRFVGSDDSSEAQKEVRRFAESSVVDLNGQKRFNISAENKLGNMAYGSPFSVQSVNMMNMPYSLPMKESSSVGATSTSGHPMHGMMQVMPTVTSERSGTQPVNPGNLPVLFGYSPVQLPMLDKDNSWGLVPHTQQFHPSYAGRNPPNSAGMQVISHNSSDVAQYDGRMLERGRGDGKQNVTEEGSSSQAEEDMKVNSTNLRIKDAPDRSTAEDFSLDFSAIKPGIAADIKFGGSGSRPNLPWVSTKGPGPNGRTISGVTYRYGANQIRIVCACHSLHMSPEEFVRHASEEPSNPESGTGMATFPNGNPAASAQS >ONH97900 pep chromosome:Prunus_persica_NCBIv2:G7:19605689:19608380:-1 gene:PRUPE_7G217300 transcript:ONH97900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVHHLLFLIINLLIIPTTLLSQSIIQMPPKRHRITDDNLYCDSWRFSVETNDAGTWTSIPSRCVAFVQDYMTGDRYLSDSAAVANYSLSFARGVQIGGDGKDAWVFDIDETLLSNFPYYQAHGFGSETFDEASFDEWVDLAKAPALPASLKLYKELQELGFKIFLLTGRSEHQRNATAKNLLYARYNNWERLLLRGPSDQGTTATVYKSEKRSDLINEGYRIHGSSGDQWSDLLGFAVAQRSFKLPNPMYYIA >ONH94772 pep chromosome:Prunus_persica_NCBIv2:G7:5431310:5434171:-1 gene:PRUPE_7G029200 transcript:ONH94772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNSNPVRVEFDSEVIQMCENVPEVRMINMYMDHQDNIDDVLNSQTDSNVYYQRQRKVATVDEGSVQHETNRDTSKGKENVAEVDEGSDDADDSSETDDESNPDFVDNEYGIDEDDDDEVFLHEVDMDGEWSGANAEQSVPKPSIVEDFVDNEDMYADSDEEQHLRRTPQSDEESTGYRFPEFNPSCDIGTVEFEGGMQFADSPSFRKALKAISIKERWEICWMKSEKYRIRAICVAENCPFKIYASKMQHKNTLQVNRLDPKHTCSRVWENKGIRSSWLAQTFVEEVKTNPTVPVVSMKATMPVFQRMYICLGACKEGFKAQCRPVIGLDGCHLKSPYGGQLLSAVGLDANNMTWVIAYAQVEIETKDSWIWFLQLLVKDIELENQYGFTFIRHLSTNFSLVYKEKILKDAMWRAAFATTVPEFRRVMEVLRTLDGEAYTLLTERPPRHWSRSHFNTTLKCPILLNNLCESFNSWIMSARCKPIISMMKEIRVKPLAKLETSKKQATDCIAIMSGGPKFQVDTATGGQFIVDLDDRTCLCRNWDLSGVPCKHVVSAINHKRGNGPYTYIDKCYMKETFLKAYENIIQPVNGMDL >ONH97530 pep chromosome:Prunus_persica_NCBIv2:G7:18507938:18509568:-1 gene:PRUPE_7G195200 transcript:ONH97530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQQRDLKCYYSNIRRLYEMEKLMKAQVDGMADDENKRMLQSALTGILKMREDLWNDFNDSLKVTLESKSIRAFRVEEALETPQEWDVMAILIQYLCPNTSDSAAADPYSASYAGENRNPLAPEKTLREKLLSWILARGTTVGNFAATVAELWLLTKVSEFFSKTAVPQIDTHIVNPTSSPKTKLPFATVVAQKGLQQILDAVKLDV >ONH98706 pep chromosome:Prunus_persica_NCBIv2:G7:21795775:21800249:1 gene:PRUPE_7G262600 transcript:ONH98706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHESFDALQVEKLKPNEQEEEEDEEGDEDEDEDEEGKRLGEIQLGELQNSAPESRETQLETLAVPSTLESSENDQCAGFQVNSTSQSIDGAQLQEQLGVSHQEILAIVTHQDAQMQTQSPVQLAVYPTPLSELSPTSVTQSIQTQTQSPLQLTVYPTPLSELSPTSVTQSISSAPSPILLEQKLPPEKVNTLCTPEVDKQNSSDHKFISSVPLVKTSASDGYNWRKYGQKQVKSPQGSRSYYRCTYSECYAKKIECCDHSGYVTEIVYKSQHTHEPPRKSNCTKESKLALSAECVRNSVTEHPCRTVNDSEPSTSSKERIQETPSIPERKRQSPSDSDGNGDVKIKEEHGDGDEPEPKRRVKKSNLEYSTSLLKPGKKPKFVVHAAGDVGISGDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIETAIDNTSAVIITYKGIHDHDMPVPKKRHGPPSAPLVAAAAPASMNNLHIKKTDTHQNQISSTQWSVDTGGELTGEALDLGGEKAMESARTLLSIGFEIKPC >ONH97640 pep chromosome:Prunus_persica_NCBIv2:G7:18846098:18848737:-1 gene:PRUPE_7G202900 transcript:ONH97640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLPSLPSEIFFDHILTRTSLESLGRCRLVSKDWNHITYDSRFWKSFCKRSDTITRANKNLSHAILDFLPAPVKIEAVSQGLVFCVNQNVSVVRDYFVCKPTTLQWEKLPNPKTRFLTSMTAMAVLSSKPLRYKIIRFSSHKYPFSKFKSRQYYNMTCEVFDSNTWAWKRLKNVSLPYCVLLGIDQPYVTSCGAFYWLVRLARSNQVFAFYYEDDKESWEIFDLPTPMEESDRFDYKKLVEYQGRLALIICEGEVMDLWVMENHEKKLWSKRKTWTWSTKVFKQVEGYIPPLAALYNSDIALTKGRDEKLIFYNFEDSSANVVSLGNQPHQLSKLQSDSERVSKLFRSESRCNFQFGLIQTQRIGPLEAKEHREP >ONH96544 pep chromosome:Prunus_persica_NCBIv2:G7:15312734:15313702:1 gene:PRUPE_7G135900 transcript:ONH96544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKCAFLLALIACFEIVCIEGRPFWPKNKELISTIEANSKRELGEQGSQPTPHHIASPMAPDHDSISGTHAAYTDDFRPTTPGNSPGVGHKFTHTREQPATARKSVTAGTRPTAPGHSPGVGHVVQLQNAKPSP >ONH95494 pep chromosome:Prunus_persica_NCBIv2:G7:11155352:11159868:1 gene:PRUPE_7G074300 transcript:ONH95494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHRCCNYIAHTICTGDKINKAFDCHFLVDVHVDDIDADFNEDEYYKHLLKEQDLKELLNQMLEHMKSRKISTQGLWSAMAELIKYYKKEVEKLLKHSILDQHLFVLDNEGFGDALSTITCDACMGPITTISSKAFYRCVRQQQCPFFVHKTCAELPTRAGADGDFECHPHCILGRYPQVKLGSNKHDAHEHLGTLVDKRKSVIPFDKRENIHREGNCFVSDRKNLRPAAYLVIQREMAEQQIEHVCHEHPLMFKEEQKEKDCSFGFLLRPQMASSVKPVTNAAAASPTLVPNVTQNHCEFHKFTVLKKHIKSFCNACGQSGKIFYLCSICDLVVHKECTWLPRQVKIPLHQYRLELTWSFEDIYPKNQHFCDLRFKNLDGIKFTVYYCHECCSFVAHNTCALKYAKNLDTTTSNPPTTMSRSTTTTTSKQIQNQMRRSTIFSHQHSLALISYHHEVHKDNDHHDDVINTRNGCKRPITATNAFHSYAGKEESMSSCRFFLHIICAQLPQKRHLLLHWHQLTLIPRASSIDGVFRCYMCSRFSQGFGYHCDNIDGCNIYFIKEEGFYLDLHCSILWEYKSLKHEAHSHDIHFNIKQGGTSRYIYDDHRLKLTYGNVKDKLDEHYCEKFQGPRNPKDWFYSCSDCDFDCHPHCVLNWHSQVKLGEAYKHDTHPHPVTLVEKRKSFIPFDKREHILPFQACGEPCEGLVWECSECNVNMPRNGYCKSTQEDKSDSVIISTDVTTSKDSSTDSSAAKDSST >ONH98307 pep chromosome:Prunus_persica_NCBIv2:G7:20768591:20772148:1 gene:PRUPE_7G241900 transcript:ONH98307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKMSWTVADAVDYKGFPADKSRTGGWVAAALILGIEICERLSTMGIGVNLVTYLVGTMHLPSSTSANIVTDFVGTSFLLCLLGGFLADSFLGRYNTIAIFASIQTVGMVALAISVKLPQLRPPLCHATAASNNCKQANGFQMGIFYLALYTIGLGIGGLKSSVSGFGTDQFDEKDDKEKAQMAYFFNRFFLFISTGTLVAVTILVYIQDEVDRSLAYGICSISMFMAILLFLAGTRRYRYKKSSGSPIVQILQVIVAAIRKRKMITPVDTNSLYENSPEASRIDHTDQFRFLDKAAILAQGDFEERNAAGSLSPNPWKLRSVTRVEEVKMMVRLVPIWATTIMFWTAYAQMITFSVEQASTMQRSIGKFQIPAGSLTVFFVLAIMITLAFYDRLIMPLWKKWNGQPGFTNLQRMAIGLVLSTIGMAVAALSERKRLSVARAVGGTTKTLPISVFLLIPQFFLVGSGEAFIYTGQLDFFITKSPKGMKTMSTGLFLSTLSLGFFVSSFLVSIVKAVTGSKDEQGWLTDNINYGRLDCFYGLLTVLSVINFVVYLVCARWYKTHEPHPPALQMTSTTAKANGSSAEDRC >ONH98308 pep chromosome:Prunus_persica_NCBIv2:G7:20768591:20772148:1 gene:PRUPE_7G241900 transcript:ONH98308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTVADAVDYKGFPADKSRTGGWVAAALILGIEICERLSTMGIGVNLVTYLVGTMHLPSSTSANIVTDFVGTSFLLCLLGGFLADSFLGRYNTIAIFASIQTVGMVALAISVKLPQLRPPLCHATAASNNCKQANGFQMGIFYLALYTIGLGIGGLKSSVSGFGTDQFDEKDDKEKAQMAYFFNRFFLFISTGTLVAVTILVYIQDEVDRSLAYGICSISMFMAILLFLAGTRRYRYKKSSGSPIVQILQVIVAAIRKRKMITPVDTNSLYENSPEASRIDHTDQFRFLDKAAILAQGDFEERNAAGSLSPNPWKLRSVTRVEEVKMMVRLVPIWATTIMFWTAYAQMITFSVEQASTMQRSIGKFQIPAGSLTVFFVLAIMITLAFYDRLIMPLWKKWNGQPGFTNLQRMAIGLVLSTIGMAVAALSERKRLSVARAVGGTTKTLPISVFLLIPQFFLVGSGEAFIYTGQLDFFITKSPKGMKTMSTGLFLSTLSLGFFVSSFLVSIVKAVTGSKDEQGWLTDNINYGRLDCFYGLLTVLSVINFVVYLVCARWYKTHEPHPPALQMTSTTAKANGSSAEDRC >ONH96231 pep chromosome:Prunus_persica_NCBIv2:G7:14014204:14017682:-1 gene:PRUPE_7G114800 transcript:ONH96231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNFKYVILGGGVSAGYAAREFAKQGLKPGELAVISKEAVAPYERPALSKAYLFPESPARLPGFHVCVGSGGERLLPEWYKENGIELILSTEIVKVDLAGKTLVSGNGESFKYQTLVVSTGSTVIRLTDFGVKGAEAKNIFYLREIDDADKLNEAIKSKKNGKAVIVGGGYIGLELGAALRINNLDVKMVYPEPWCMPRLFTSGIAAFYEGYYANKGIKIIKGTVAVGFTANSDGEVKEVHLKDGTVLEADIVVVGVGGRPLTTLFKGQVEEEKGGIKTDAFFKTSVPDVYAVGDVATFPLKLYNEIRRVEHVDHARKSAEQAVKAIKASEEGKTIEEYDYLPFFYSRSFDLSWQFYGDNVGETVLFGDNNPTSPKPKFGTYWIKDGKVVGAFLEGGSPEENQAIAKVAKVQPPVASLDQLAKEGLSFASKI >ONH96184 pep chromosome:Prunus_persica_NCBIv2:G7:13851039:13851602:-1 gene:PRUPE_7G111800 transcript:ONH96184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKICCCCCIIVVVLGALSGTGWFVIRQFSPSKSVIYKVTDASLTRFNLTAANNTIQYNLSLNMTVENPNKWNDFHYENFGAVASYKNQDLSNSSLAPFRVGNKNSFVLTPSFEGQRLVALSNDEVSNFRNSTVFDIVLKLYFKYWTKIGAVKINKELQMACYFEVPLSSGGKSGEKFDSTKCDKA >ONH97252 pep chromosome:Prunus_persica_NCBIv2:G7:17655305:17658921:-1 gene:PRUPE_7G179900 transcript:ONH97252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSGEKRILEEYDKIERNPSDDFKFRKLDWNSYEWQGALRGPSGTEFEGGIYHVRLLFPKEYPWKEPSFIFLTENGSFNIKTKVTLNWKPSMRVRDALLELIGLMPACPDGNLDSVKDKEERRDLAKKSRAAAPKFGSYERQKLIDVNHDYMQRDVPQLQLTRNTSLTQRCEEEVVVDRVGSTQMESDRSVIVEDKCNIKNSLEKRILEEYNEIKSNPSYDFTCLTQGWNKYEWQFAIRGPSGTEFEGGIYHGMVQFSEGYPSKPPSIVFLTENGCFEIKTSISLRLLSNWQPSWSVRKTILAFIEEMANYPNGELVSVENNREKRRDLAIKSCAAAPTYGTSARQEVIDGIHEYMLSKAPLVPVSQLSPVSNGTGGGSVVNNIVGSVVNNIVGNTFNVHGSKQSTWLVNSVGMLPILLIFLFLCLIVDGGFRESEERDES >ONH96051 pep chromosome:Prunus_persica_NCBIv2:G7:13371052:13372211:1 gene:PRUPE_7G104600 transcript:ONH96051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKQTDAGTGDSKFPAANVDLELNPGKNKNFEEDSGPSLVATSKEIKEQIEAEDKKKKDQEKKDAIQTLKKSVIVSAVIVALAGAIFAITKKLREK >ONH95045 pep chromosome:Prunus_persica_NCBIv2:G7:8538580:8542476:1 gene:PRUPE_7G048300 transcript:ONH95045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSSSGLFFLALSLLACFPFCSCHCSSVSNKNAEGGMMKGMFVFGSSLVDNGNNNFLPNSLARADYLPYGIDFPLGPSGRFTNGRNVIDLLVGHLKLPSLIPAFADPKTRGSKIVHGVNFASGASGILDDTGSLAGHVINLNQQIRNFEEITLPELETQLGCRSHQSLPNYLFVVGTGGNDYSFNYFLRSSNKNVSLEIFTANLTASLSRQLKKLHSLGARKFVVMSVNPLGCSPVVRMNRPTHNGCVQNMNRAAHLFNSHLKSLVDVIRAEMPGSSPVFVNSYKIIRDIIKNPISKGFKDSSTTCCEVASISEGGNGILCKRGGEVCANRSSHVFFDGLHPTEAVNVQIATKAYVSSLKTEVYPTNIARMTKSKI >ONH94907 pep chromosome:Prunus_persica_NCBIv2:G7:7184007:7187904:1 gene:PRUPE_7G038800 transcript:ONH94907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKESSSSVYKAWEATVRKTQAARKRANSIFGISVDHADEDDHDHSSDESDCGPEEMIYNADRILPNGDYYTGFWCENFPHGQGKYLWTDGCMYVGEWFKGKTMGKGRFSWPSGATYEGEFKSGYMDGNGTYTGTNGDTYKGQWVMNLKHGHGTKHYSNGDWYEGEWRRGLQEGHGRYQWKDGDNYTGEWKNGVTCGKGSFIWSNGNRYDGNWEDSVPKGNGTFRWPDGSLYVGNWSKDPSEQNGTYYPSQSSPDANLEWNPQDVYNVDLKDCKICPGEKVSILPSQKKLAVWRSAKGESVKPRRMSVDGRVSVGLDRPFDRLQMWDGGDHDPPCNAGDHRPSTVGGELVEDLMGLHVEDGSQRGLAMKVSKTARRQGETISKGHKNYELMLNLQLGIRHSVGRPGPSGSLDLKPSAFDPREKYWTRFPPEGSKYTPPHQSCEFKWKDYCPLVFRTLRKLFKVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLMRMLSAYYNHVRAYENTLVTKFYGLHCVKLTGQPIQKKVRFIIMGNLFCSEYTIHRRFDLKGSSLGRTTDKPLTEIDEMTILKDLDLNFIFRLQKSWFQDFCRQIDRDCEFLEQERIMDYSLLVGLHFRNTSAAGDLIPSGALTPTGENESDGTPRLSRADMDQLLLDPSRWASIKLGLNMPARVEKTERKSDCEFQLVGDPTGEFYEVIMFFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRQYSRRFRDFIYKVFAEETS >ONH96881 pep chromosome:Prunus_persica_NCBIv2:G7:16539688:16541577:-1 gene:PRUPE_7G157000 transcript:ONH96881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPVRILSLNRQLFAKMTPSALTFSPKLLRPPNPLLPFHTAARNGVVKRAPFSLSLAQPQSSFNFSTHTNPFQSLLFSLSSQFPINNFNHSLSHSHDGVFTWNRAFQSGIDGNVGSFGTQKREVTVVLLGWLGAKTKHLKKYVEWYNSRGIHAVTFVVDAREVLWFDLGHRVEKRVSDLAHELVSWVEERVEDGRERCLLFHTFSNTGWFVYGAILEILQGRQDLMEKIKGCIVDSGAAEPFNPKVWAAGFSVAILKKRSSLTSPAVEARELNKSEDAVSLSKMQEEKPLIVETMVLLVLEKLFSVLLKLPDVDKRLSKVVSILSENTPYCPQLYLYSTADGVVPHQSIELFIAEQRRKGRMVRSFNFGSSPHVDHFRTFPNIYSSKLDCFLKDCLATSKTTA >ONH96880 pep chromosome:Prunus_persica_NCBIv2:G7:16539399:16541939:-1 gene:PRUPE_7G157000 transcript:ONH96880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPVRILSLNRQLFAKMTPSALTFSPKLLRPPNPLLPFHTAARNGVVKRAPFSLSLAQPQSSFNFSTHTNPFQSLLFSLSSQFPINNFNHSLSHSHDGVFTWNRAFQSGIDGNVGSFGTQKREVTVVLLGWLGAKTKHLKKYVEWYNSRGIHAVTFVVDAREVLWFDLGHRVEKRVSDLAHELVSWVEERVEDGRERYGAILEILQGRQDLMEKIKGCIVDSGAAEPFNPKVWAAGFSVAILKKRSSLTSPAVEARELNKSEDAVSLSKMQEEKPLIVETMVLLVLEKLFSVLLKLPDVDKRLSKVVSILSENTPYCPQLYLYSTADGVVPHQSIELFIAEQRRKGRMVRSFNFGSSPHVDHFRTFPNIYSSKLDCFLKDCLATSKTTA >ONH95336 pep chromosome:Prunus_persica_NCBIv2:G7:10338166:10339835:-1 gene:PRUPE_7G065000 transcript:ONH95336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENNRFINDPIPEQVDLFINDPTNDAYFNSLPCGYRFAPTDAELVSSYLEGKVLNKEIPKNRFLDLDISLYHPRDLTGRITLIRESEWYFFTSRKRKYPKGQRPDRSAVDGFWKATGKAQDIEDSNGKVIGSKRTLDFYQGNHQDGKRTEWKMHEYTLDAPPNEISNTRVTQLDNCVLCKIYKNNKGGNNDSSTTQSDQRAEPSTNVVASQAFPDQQIQPVHQHDQYLVSQSSGASSSSTANKRPRGRPTPTILNTYVGNPTYYPHQQYPFQNQTSGNPPGPVHNNYQNLTQYNQNDQNLTQYDQNDQNLTQYAQNDQNLTQYDQNDQNLTQYDTQSANIESHVQPRESFSSQPGFLPHMETSTYADQMPLVDSSSMQLPVHVRLYEQLLAARNKDGDFDKRLEHWYHHQQQLFRQNPSSTPNCKLV >ONH98712 pep chromosome:Prunus_persica_NCBIv2:G7:21817502:21821764:1 gene:PRUPE_7G263100 transcript:ONH98712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPLAFQLWISVCLLLFFRARCFYLPGVAPQDFQNGDPLNPKVNKVTSTKTQLPYSYYSLPYCRPEHIVDSAENLGEVLRGDRIENSPYEFKMREPQMCNVVCHVTLNAKTAKEFKEKIDDEYRVNMILDNLPLVVPVPRPDQENSLVYQHGFHVGLRGQYAGNKDEKHFINNHLTFTVKYHKDPMTESARIVGFEVKPFSVKHEYEGEWSKKTRLTTCDPHAKRTVTSSESPQEVEDKKEIIFTYDVEFQESDVKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMILVTMLFAVLGFLSPSNRGGLMTVMLLLWVFMGLFAGYSSARLYKMFKGTEWKKITLKTAFMFPATVFAIFFVLNALIWGEKSSGAVPFGTMFALVFLWFGISVPLIFVGAYVGFRKPAIEDPVKTNKIPRQVPEQAWYMNSAFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFIILIITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYAAFYFFTKLDIKKPVSGALYFGYMLIASYSFFVLTGTIGFYACFWFTRLIYSSVKID >ONH97438 pep chromosome:Prunus_persica_NCBIv2:G7:18243290:18246068:1 gene:PRUPE_7G190300 transcript:ONH97438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHNPLPAGKQLLLLVLLCAFFSSSFIPFASCSITDDLQTQCLKVSATEFAGSLKDTIDAVQQVASILSQFANAFGDFRLANAISDCLDLLDFSADELNWSLSASQNQKGKNNSTGKLSSDLRTWLSAALVNQDTCSNGFEGTNSIVQGLISAGLGQVTSLVQELLTQVHPNSNQQGPNGQIPSWVKTKDRKLLQADGVSVDAIVAQDGTGNFTNVTDAVLAAPDYSMRRYVIYIKRGTYRENVEIKKKKWNLMMIGDGMDATIISGNRSFVDGWTTFRSATFAVSGRGFIARDITFENTAGPEKHQAVALRSDSDLSVFYRCNIRGYQDTLYTHTMRQFYRDCKISGTVDFIFGDATVVFQNCQILAKKGLPNQKNSITAQGRKDPNEPTGISIQFCNITADSDLEAASVNSTPTYLGRPWKLYSRTVIMQSFLSNVIRPEGWLEWNGDFALNSLFYGEYMNYGPGAGLGSRVKWPGYQVFNESTQAKNYTVAQFIEGNLWLPSTGVKYTAEFGV >ONH96187 pep chromosome:Prunus_persica_NCBIv2:G7:13857971:13859597:-1 gene:PRUPE_7G112100 transcript:ONH96187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKCCCCCCLLLLLFFIVGLIVLFVLKEKLGPSLEARYKVTDASLSQFSMGSGNNLEYNLAANMSVENPNKYSDYRYEKFIAVPSYGDEDLNEVSLSSFEVAKKNTSALTPLVFKGQKSVSLKGDAASNLKSGSVFEIVLKLKIKVMSRAGKVEIYNEYKEECKLKVPLLNSKEKASEKFESTDCKKVGSTSSGIKV >ONH95468 pep chromosome:Prunus_persica_NCBIv2:G7:11052861:11060170:-1 gene:PRUPE_7G073000 transcript:ONH95468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSREFNFAEDEEEEKGEQILLETRLLASARTSSAFMASSIQGNNQLEQQQQQQQQHHHHHQQQHHQIQDHHHHQHQQQQQIQYGMMQSSSSNIPAGNFIKDSGAYDLGELDQALFLYLDGQSQDHHNSSSTATTTTTHHLHQDTQRHHQNNSLSGMRPPTLNIFPSQPMHVEPPSTKAAGTGLVSPAAMSGSKRPSEPSMELANPRKDASAPAPSSRPQEPAKAIKREGNRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQELQRARSQGMFFGGGIVGGDQQGLPLGMNSISSDAAVFDIEYARWQEEHHRLMCELRAAVQEHLPENELRLFVDNCLAHYDEVMNLKSMVAKTDVFHIVSGMWKTPAERCFMWMGGFRPSEVIKIILNQIEPLTEQQLLGICGLRQSTQEAEEALSQGLEALNQSLSDTITSDSLSCPPNMANYMGQMAIAMNKLSTLEGFVRQADNLRHQTIHRLQQILTTRQAARCLLAMAEYFHRLRALSSLWMGRPRQDQS >ONH95469 pep chromosome:Prunus_persica_NCBIv2:G7:11052875:11060170:-1 gene:PRUPE_7G073000 transcript:ONH95469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSREFNFAEDEEEEKGEQILLETRLLASARTSSAFMASSIQGNNQLEQQQQQQQQHHHHHQQQHHQIQDHHHHQHQQQQQIQYGMMQSSSSNIPAGNFISKDSGAYDLGELDQALFLYLDGQSQDHHNSSSTATTTTTHHLHQDTQRHHQNNSLSGMRPPTLNIFPSQPMHVEPPSTKAAGTGLVSPAAMSGSKRPSEPSMELANPRKDASAPAPSSRPQEPAKAIKREGNRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLTQLEQELQRARSQGMFFGGGIVGGDQQGLPLGMNSISSDAAVFDIEYARWQEEHHRLMCELRAAVQEHLPENELRLFVDNCLAHYDEVMNLKSMVAKTDVFHIVSGMWKTPAERCFMWMGGFRPSEVIKIILNQIEPLTEQQLLGICGLRQSTQEAEEALSQGLEALNQSLSDTITSDSLSCPPNMANYMGQMAIAMNKLSTLEGFVRQADNLRHQTIHRLQQILTTRQAARCLLAMAEYFHRLRALSSLWMGRPRQDQS >ONH96773 pep chromosome:Prunus_persica_NCBIv2:G7:16223735:16227399:-1 gene:PRUPE_7G151100 transcript:ONH96773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGILPKVNTSICSSIWRVLSGSGLRNSKTLLPCQGNFLSRNSGLSCSLPYSTLLIPDTARYNFSPLHKGKSMAASSSTPVFGDVYVDDLISSCGNSLDFTKPTAVYFNDRRQSSFLKASLSFRKKESYNSHLISVHVGPWLRNFHNSSSVCCAAGAAHNVSFDGSSSDEQLANSTILSDPPILGEKALKLLSGSCYLPHPDKEETGGEDAHFICEDAQAIGVADGVGGWADVGVNAGHFSRELMSHSVRAIQEEPEGCFDPSRVLEKAHSCTKAKGSSTACIIGLTEKGLQAINLGDSGFVVVRDGSTIFQSPVQQHGFNFTYQLESGSGADLPSSGQVFFIPVVPGDVIIAGTDGLFDNLYNNEVTAVLVHAVRAGLEPQVTAQKIAALARQRALDKNRQTPFSTAAQEAGFRYYGGKLDDITVVVSYITGSSNV >ONH94771 pep chromosome:Prunus_persica_NCBIv2:G7:5407283:5410826:1 gene:PRUPE_7G029100 transcript:ONH94771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQQQTKDSGSKKLGMVAPQDKSSKEMKSSKKMKFASSSAETEQTSQTTISDDSKTGRGMSTMPRVVKRKLQKLRPIVEYNKRGKGVGQAHIEMQSYIGVLARSRIPLVDKKWSQIPKDIKEQIWEAVDMAFVVGQGGKTSVLASASKKWKDFKSTLTRHYILPYTNDREKLSQPPETYKFIEKAQWDAFVASRLSKDFESDELQKQVSEGKVRVDGSNDVLTMALGPEHPGRLRGVGAGVSPRQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHLEDDTAKMGKHQPDEEKEEEKRDEEKEEEKEKEDEEKHDDKVIEVGAYSKMEAPSSLKTLCRFVETTLLPEDKTVQFTIDKEVFGGERDTFLLPEDITQFAGMEEIGATVLAVYMRYLHDVLKKANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDKEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONH97949 pep chromosome:Prunus_persica_NCBIv2:G7:19750325:19751320:-1 gene:PRUPE_7G220400 transcript:ONH97949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHMLQEILDTQFMQMEQLEDVDNPNFAEEVMTLYFRDSTKLIATVEQALEKPPYDVNKLDKSLHQLKGSSASVGANKVWIETNQMRESIKAGDLEGTKAQLQLIKLAHETLRGKVEPYFHLVRQVGPSETAQRPK >ONH95183 pep chromosome:Prunus_persica_NCBIv2:G7:9450130:9453081:1 gene:PRUPE_7G055700 transcript:ONH95183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYQRHHQWRKGEKHTEDDEESQTFLMEFANSGYYKRTSPKLLYLLFLSFLSCSFILAPHLFSTNTTFSLLYSTMVENEGLAAEMDVNIPLCSSIANGTICCDRSSIRSDICVMKGDVRTHSASSSIFLYRSKDGNSLKNYVAGVVEETEELEHEKVKPYTRKWETSVMDTIDELQLVAKKDTLGMHHQCDVQHDVPAVFFSTGGYTGNVYHEFNDGIMPLYITSQHFNKKVIFVMLDYHTWWITKYGDILSQLSDYPPIDFSGDKRTHCFPEVTVGLRIHDELTVDSSLMEGNMGIVDFRNLLDRAYWPRIRSLIQDEEREAQEKLSASLTSESPLEIENEVQEDQVRKPKLVIISRNGSRAITNENLLVKMAEEIGFEVNVLRPDPTTELAKIYRALNASDVMIGVHGAAMTHFLFMKPGSVFIQVVPLGTVWAAEEYYGEPARKLGLKYIGYQILTRESSLYDKYDKDDPVLRDPKSVTTMGWEYTKKIYLDGQTVRLDLGRFRKRLVRAYYHRLNKHPHLHSQ >ONH96866 pep chromosome:Prunus_persica_NCBIv2:G7:16516499:16519116:-1 gene:PRUPE_7G156400 transcript:ONH96866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKGIVRELREMKDGIGSMSKRVGDRKHWHSRTMSHIAPDLAPNPPSDLIQQGQWANLPPELLLDIIQRVEESETTWPARAVVVSCASVCKSWRAIVREIVKTPEQCGRLTFPISLKQPGPRQSPIQCFIRRDRETSTFYLHYGLVPSEGEKDKLLLAAKRIRRATSTEFIISLVADDFSRASSTYVGKLRSNFLGTKFTVYDSQPPCDAVPQTNSRSSRRFHSKQVSPRVPACNYSVGTVCYELNILRTRGPRRMHCAMPSIPVSSIQEGGTAPTPTLFSQAYDEHFAPSPCSTVKELVTGYSSINLSEPHVQGAEPLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVDPSHNVSAEEQERVILQFGKIGKDIFTVDYRYPLSSFQAFAICLSSFDTKPACE >ONH95518 pep chromosome:Prunus_persica_NCBIv2:G7:11224849:11225563:-1 gene:PRUPE_7G075200 transcript:ONH95518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFDIQFDILLSFPFDVNFSGSGLHIKEALQLQLDAQRRLHEQLEIQRNLQLRIEEQGKQLKKMFDLQQKTSNDLFKTQNLDITCHEDAPSDSLNAIQVSSPEDSGNSNFPSKIS >ONH96403 pep chromosome:Prunus_persica_NCBIv2:G7:14805321:14808079:-1 gene:PRUPE_7G126900 transcript:ONH96403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKGGGGGSSLPKDVPWRASNTKPIPKIHHSPVLRITQNPTSNYAISVMKHPNPVGSGLAMEAIVEAAGPECIVPGQVTPIKLLGVKVWPIEVNLKFLEPVGRELKQLGKFMDDAVNLMNKSFIDR >ONH97716 pep chromosome:Prunus_persica_NCBIv2:G7:19029499:19033147:-1 gene:PRUPE_7G206400 transcript:ONH97716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFERSISLLLNCGFVLFWSFSTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMISRVEFVHAKSFLHRDIKPDNFLMGLGRRANQVYMIDFGLAKKYRDSTTRQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRSYPTEFASYFHYCRSLRFDDKPDYVYLKKIFRDVFIREGFQFDYVFDWTILKYQQSQLATPPTRALGPGAGTSSGIPHAAANVDRQTGEEDGRPTGLTSLDSSRRRIPGPALNSMSLSKQKNPVANDAPLSRETLMLNNNILGRSAGSSRRVAVSSSRDAFGGSESDPYRARTTDASPGAHRISSGRRSSPVESSDPSRRHTSQSRNYETTLKGIEGLHIDNEERVHY >ONH95328 pep chromosome:Prunus_persica_NCBIv2:G7:10298402:10298791:1 gene:PRUPE_7G064500 transcript:ONH95328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNDLEGREFANFEEAKRSYSNYSLAIGFTIRRSRLRRSKGGVVTNRQWLCSKEGSISKKWTNREDIVRTLRKKTRENHYTAFAVQYCHKRDVYIVNKFVNEHIHRLAHSHKVLFLRSHRCVKESDIA >ONH96012 pep chromosome:Prunus_persica_NCBIv2:G7:13174955:13177941:1 gene:PRUPE_7G101800 transcript:ONH96012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPAEKRAGVYTYISQWPIYSLAWSVRHDKPSRLAIGSFIEDYSNKVELVQFNRDTSDFATDNRLIFDHPYAPTNLMFFPSKDPSNPDLIATSGDYLRLWEIHDDRIELKSLLNGNSSSEFNSAITSFDWAECDTKRVATSSVDTTCTIWDIEREAVDTQLVAHDKEVYDISWGGFNVFASASGDGTVRVFDLRDKERSTIVYENPSQDGSLLRLEWNKQDPRFIATVGMDSNKVVILDIRFPTAPLMELKKHGSSVNAISWSPGMGHQICSVSDDSRAMIWEVVKPGVQSDGGGNVEPEMWYGATAQINQVRWSAVEVDWIAIAFLNKLQVLKV >ONH96318 pep chromosome:Prunus_persica_NCBIv2:G7:14396638:14399393:1 gene:PRUPE_7G120500 transcript:ONH96318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSKALALVATLSLLLLALAHPSLSSSPPHNDTWALTQFRLQTDTHGYLLSNWTGADPCTSSWTGVRCSISKSRVVALSLPSLNLRGPLDFLAFLDQLRFLDLHNNRLNGTVSPLTNCTNLKLLYLAGNDLSGEIPPEFASLRRLLRLDLSDNNLRGPIPRNLTALTRLLTLRLQNNALSGEVPDLSGSLADLKELNFTNNELYGRLPEGLLRKFGDESFSGNEGLCGASPLPACSFTGATSPPSAASAQTVPSNPSQLPQTTSVNEPEKKKSRKGLSPGAIVAIVIANCVAMLVVVSFILAHYCARDRGSNSIGGSESGKRRSGSSYGGDQKKVYANSGGADSDGTNATDRSKLVFFDRRKQFELEDLLRASAEMLGKGSLGTVYKAVLDDGCTMAVKRLKDANPCARKEFEQYMDLIGKVKHPNVVRLSAYYYAKEEKLLVYDYLPNGSLHSLLHGNRGPGRIPLDWTTRISLMLGAARGLARIHEEYSSAKVPHGNVKSSNVLLDKNGVACISDFGLSLLLNPVHAIARLGGYRAPEQAEVKRLSQKADVYSFGVLLLEVLTGRAPSQYPSPARPRVEEEEEAVDLPKWVRSVVKEEWTGEVFDQELLRYKNIEEELVAMLHVGLACVVPQPEKRPTMAEVAKMIEDIRVERSPLGEDYDESRNSLSPSLATTEDGLA >ONH95842 pep chromosome:Prunus_persica_NCBIv2:G7:12470455:12470829:1 gene:PRUPE_7G091800 transcript:ONH95842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPTTSTQSSTSRRSSSTKACSPLSARDGTGIGQPPPKSGHGGKYKWEGPDDVAENEMDPVPPAIDEGDPNYVDEVAEERIVKGEDADVAGLVVGKVEVAKATENREGVARVEVVTPHNHLES >ONH95014 pep chromosome:Prunus_persica_NCBIv2:G7:8241746:8246479:1 gene:PRUPE_7G046500 transcript:ONH95014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRLTALVLFSAAATYYSFPFPENARRKKAQIFRYAPLPEELHTVSNWSGTHGVQTRVFHQLETLEELEKVVKDAREKKTRIRPVGSGLSPNGIGLSRAGMVDKEKKRVRVQAGIRVQELVDGIKEHGLTLQNFASIREQQIGGILQVGAHGTGAKLPPMDEQVISMKLVTPAKGTIEISKEKDPELFYLARCGLGVVAEVTIQCVERQELVEHTSVSNMEEIKKNHKKLLSENKHVKCLYIPYSDTVVVVTCNPVSKWKGPPYVVPVKNDVDINELSFTELRDKLLALDPLDKDHVVKVNQAEAEFWRKSEGYRVGWSDEILGFDCGGQQWVSETCFPVGTLSKPSLKDLEYIEDVKQLIETNEVPAPAPIEQRWTACTKSPMSPASSTKDDDIFSWVGIIMYLPTTDARQVQLWDKYSAYEHWAKIEVPKGKEELASLQARLRKGFPVDAYNKA >ONH95703 pep chromosome:Prunus_persica_NCBIv2:G7:12114683:12117340:-1 gene:PRUPE_7G086300 transcript:ONH95703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPNDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTAKDYNIEGGSVLHLVLALRGGSL >ONH94972 pep chromosome:Prunus_persica_NCBIv2:G7:7938604:7939362:1 gene:PRUPE_7G043100 transcript:ONH94972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLISLRLYSLHALELDKAEHTNKVRKTMTLAAQPTWEQWSWGLACVELVLDL >ONH95426 pep chromosome:Prunus_persica_NCBIv2:G7:10777239:10777751:1 gene:PRUPE_7G069600 transcript:ONH95426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYPTSENCLFYKFFISLRLRALFVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFALFSAKFLCPLKSSMVRWLRL >ONH96753 pep chromosome:Prunus_persica_NCBIv2:G7:16157644:16159143:1 gene:PRUPE_7G149800 transcript:ONH96753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGRDAVITIAATNNIQTTISLEQGIQETKWLLHPAAGNSSCCIFRLPQYLLEINKKAYQPHIVSIGPYHYGDTHLDMMQQHKWRFLRDLLVRTPSPGPNLDDYRQVVASMEEDIRRCYSETINLCGQDLVEVMVLDGLFTIELFCKVGRLSPSDPDDPIFNLAWIFPNLIRDLLRLENQIPFIVLQTLFDKSKSSREDSNSSLAQLALEFFSFAVERPDEVLKQHVSVEAKHLLDLLRLSFIPEPHHRSPQNQNPKVILPLVQFIQMAKKPLAGILKATTRNTLKKFLHLAGIKFKEREANSFLDIRFCNGVLEIPNITLDDLRTDIFLNFVAFEQCYSHCSKHITTYAALMSCLISTPVDAAFLSDKNIIENYLGTDEEVAHFFKNLGKDVPFDIDESYLCKLFKDVNEYHRNIWHVRWAGFRFKYFDNPWSFLSAVAAVVLLLLTAIQTFFTVYDYFNSLASNGGGRH >ONH97440 pep chromosome:Prunus_persica_NCBIv2:G7:18250054:18252735:1 gene:PRUPE_7G190500 transcript:ONH97440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQDFDQISERRKAERARKMRKRIIIAVVVVVLLILIAVGAYLLLNKLNSKKGNAKQDKTANSKPAPAKAQPKNDQAKKKEPTKGEKIMKQMCGATDYEDKCESIIGKAKGASKPKEFIKTAISAASDEARIAYSKSSELTFNSPEEKGAFEDCKVLFEDAMDELGDAISQIGNTTASGKIRTGLLNTWLSAVISYQQTCVDGFPDGKLKSDLEKMLQASKEFTSNSLAMLSLLSQFQLPVTAAVSGAKRRLLAQNKDGFPTWMSHEERRVLKKNDEKPTPNVTVAKDGSGNFKTISEALAAMPAKYEGRYIIYVKGGVYDETVIVTKKMPNVTIYGDGSQKSIITGNKNFADGVRTFQTASFAALGEGFMAKSMGFRNTAGPEKHQAVAARVQADRAIFLNCRFEGYQDTLYAQTHRQFYKSCVISGTVDFIFGDAAAIFQNCLIYVRKPMENQQNIVTAQGRTDKRETTGIVLQNCKIMPDKDLEPVKSQFKTYLGRPWKEFSRTIVMGSTIEDLIHPDGWTPWQGDFALKTLYYAEYNNKGPGAKTDNRVKWSGYKVIDKQEAMKYTVGPFLKGNAWLRVKGVPVRFGLSEN >ONH96249 pep chromosome:Prunus_persica_NCBIv2:G7:14140895:14141503:-1 gene:PRUPE_7G116300 transcript:ONH96249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSNSTSSSSTTNTTTTSTTPGRHPTYRGVRRRSSGKWVSEIREPRKPNRIWLGTFPTPEMAAVAYDVAAIALKGQDAELNFPNSASSLPVPASSSSRDIQAAAASAAAAIGAAAAAMGVGNDDSHSRTEVQAQGHRTIEAADRVALSDHQFVDEDLIFDMPNVLVNMAEGMLLSPPRLDIADDDVVGADQEDDQNLWKFN >ONH97242 pep chromosome:Prunus_persica_NCBIv2:G7:17624850:17627620:-1 gene:PRUPE_7G179300 transcript:ONH97242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLVKNSFTRLASVISPYHFRPGVVHYYRFCSHFSGNNFEPESWKSMEGLVRCPANHVPLSPIVFLERAAKAYTDRTSLVYGCLKYTWAQTHERCLKLASALTQLGISPGDVVATLAPNVPAMYELHFAVPMAGGVLCTLNARLDSAMISVLLSHSEAKIVFVDYQLLEIAQGALDLLTQKTDSKPPILVLIADSDGSSSTSPTICTSHTYEYESLLETGNCGFEIRRPRSEWDPISVNYTSGTTSRPKGVVYSHRGAYLNALSTVLLHGIGSMPVYLWTVPMFHCNGWCLTWGVAAQGGTNICLRKVNPKYIFDNIVQYNVTHMGGAPTVLNMIVNSLVSDQRPLPRKVEIMTGGSPPPPQILFKMEELGFGVNHLYGLTETYGPGTYCSWKPEWDSLPSNERSKLKARQGVQHLGLEEVDIKDPVTMESVTPDGKTMGEIMFRGNTVMSGYLKDLKATKEAFRGGWFRSGDLAVKHPDNYIEVKDRLKDIIISGGENISTVEVETVFFSHPAVLEAAVVARPDNHWGQTPCAFVKLKEGFDHLKAQDLIEFCRDNLPHYMAPRTVILDDIPKTATGKIQKFILREKAKALGSLS >ONH97397 pep chromosome:Prunus_persica_NCBIv2:G7:18096413:18102040:-1 gene:PRUPE_7G187900 transcript:ONH97397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTEDNEETGKNVPVAAVDNRQPENIQEIDHNKQNNSPRSGESEPVQETPNPAENGEALPDKTDIEMTTDGKNNVEPQSNSPQPDQDSPPPSVPRNDDDQQLVKPDTEPLSGDDQQLVKPDTEPLNGNDQQLVKPDTEPLNGDDHQLVKPYTEPTADAKTEAQDLPQSNNHGCKDGDPVSTSHPETAEPTVGVKTEASQVPEGESSSAVGDTVTVSHNEDVTPHAGSSDRKADTGSGEELKHNEKDITTPKNNGNPNSKCLFLLDDSHMSDGNDSGTEEEQSAFMAVVENFFRERSMEFKPPKFYGEGLNCLKLWRAVTRLGGYDKVTSSKLWRQVGETFKPPKTCTTVSWTFRGFYEKALLDYERHKFGTEPSVPISSQPEPMNIDNQASGSGRARRDAAARAMQGWHSQRILGNGEVSDPIIKDKNSASLQKREKQLKSIGLLKRKKPPYVDHVVKAARTKASKSQLDADVVDLGPPADWVKINVQRTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHPDNPWGVTPFKKVVTLPSRIDPHQTSAVVTLHGQLFVRVPFEQSE >ONH97396 pep chromosome:Prunus_persica_NCBIv2:G7:18096413:18102344:-1 gene:PRUPE_7G187900 transcript:ONH97396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTEDNEETGKNVPVAAVDNRQPENIQEIDHNKQNNSPRSGESEPVQETPNPAENGEALPDKTDIEMTTDGKNNVEPQSNSPQPDQDSPPPSVPRNDDDQQLVKPDTEPLSGDDQQLVKPDTEPLNGNDQQLVKPDTEPLNGDDHQLVKPYTEPTADAKTEAQDLPQSNNHGCKDGDPVSTSHPETAEPTVGVKTEASQVPEGESSSAVGDTVTVSHNEDVTPHAGSSDRKADTGSGEELKHNEKDITTPKNNGNPNSKCLFLLDDSHMSDGNDSGTEEEQSAFMAVVENFFRERSMEFKPPKFYGEGLNCLKLWRAVTRLGGYDKVTSSKLWRQVGETFKPPKTCTTVSWTFRGFYEKALLDYERHKFGTEPSVPISSQPEPMNIDNQASGSGRARRDAAARAMQGWHSQRILGNGEVSDPIIKDKNSASLQKREKQLKSIGLLKRKKPPYVDHVVKAARTKASKSQLDADVVDLGPPADWVKINVQRTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHPDNPWGVTPFKKVVTLPSRIDPHQTSAVVTLHGQLFVRVPFEQSE >ONH95721 pep chromosome:Prunus_persica_NCBIv2:G7:12163954:12165392:1 gene:PRUPE_7G087500 transcript:ONH95721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NFLSLSLSLLASVCASLSLYSRRPVLQSQPLDNQRMDSTIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >ONH95428 pep chromosome:Prunus_persica_NCBIv2:G7:10783238:10783875:-1 gene:PRUPE_7G069800 transcript:ONH95428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQRLIQTLRTRGGSATGPSRWTSPGHEEQPKGYLFNRTPLSPGQTRKWEDWELPCYVTSFLTIVILGVGLNAKPDLTIETWAHQKALERLEIEQAGLSVAGSSESD >ONH96545 pep chromosome:Prunus_persica_NCBIv2:G7:15316945:15317529:1 gene:PRUPE_7G136000 transcript:ONH96545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSYAACLFFLLMIFSHELICIEGRNLNVSKDLKCVKCLSPDPKTSAGEVDEEHTLVESPSPGHVEAFRPTTPGHSPGVGHAVHN >ONH97408 pep chromosome:Prunus_persica_NCBIv2:G7:18122360:18126647:1 gene:PRUPE_7G188500 transcript:ONH97408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFRCTSRSISILFLFLCVLVAEINICLSAKVYVVYMGSKNGDDPDEILMQNHQMLASVHSGSIEQAQESHIYSYRHGFRAFAAKLTDLQAFQISKMPGVVSVFPNLKRSLHTTHSWDFMGLLGEETMEITGFSTKNQVNVIVGFIDTGIWPESPSFNDANMPPVPARWKGHCESGEAFNASTCNRKLIGARYYKSGYEAEEDSTNIVSFRSPRDSSGHGSHTTSIAAGRYVSNMTYKGLASGGARGGAPMARIAVYKTCWDSGCYDVDLLAAFDDAIRDGVNILSLSLGPDAPQGDYFSDAISVGSFHAARHGILVVASAGNEGNPGSATNLAPWMITVAASSTDRDFTSDIILENGAKFTGESLSLFEMKASARIISASEAYAGYFTPYQSSYCLESSLNRTKARGKVLVCRHAESSTESKMVKSMLVKNAGGVGMVLIDEADKDIAVPFVIPSAIVGQKMGNHILSHIKRTSKPMSRIFPAKTVLGLKPAPRVTAFSSKGPNSLTPEILKPDVTAPGLNILASWSPAAGDKQFNILSGTSMACPHVTGIAALIKAVHPSWSPATIRSAIMTTATLLDKHRKPIIVDPEGRRGNPFDYGSGFVNPKRVLDPGLVYDAQPADYVAFLCSVGYDEKAVHQITQDNSRCDHAFRTASDLNYPSITVPNLEDNFSVTRTVTNVGKPKSIYKAVVSSPIGINVTIIPDQLIFNSLGEKINFTVNFKVTAPSKGYAFGFFSWISGRSRVTSPLVVRVAHSNSGLLR >ONH98602 pep chromosome:Prunus_persica_NCBIv2:G7:21488620:21492145:1 gene:PRUPE_7G256700 transcript:ONH98602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFRSNPLKIFLLFVSFFFFISLTSGSPDLLHIIRTTAVEVAGEREHVGINGVATWPLDTRRFLEENTTVLLLAQQRTSRRDPLNGFNRYTGGWNISNKHYWASVGFTAAPFFVTAGLWFLLFGICLCLVCICYCCCRKEHYGYSRIAYALSLMILIFFTLMAIVGCIVLYTGQDKFHNSTCSMLSYVVNQADTTAENLRNVSDYLSAAKKIGLDAIVLPADIQKSMDDIMGTIKNVANTLSDTTEKNSKRIQDGLDIIRMALIVLAAIMLCLVFIGFLFSIFGLEFLVYCLVIIGWILVTGTIILCGIFLLVHNVFADACVSMDEWVQKQPKVHTALEDVLPCVNKDLAQEVFLRTKDATLYTVTVLNRVINDVANTNSPTVDGPLHFNQTGPPLPPLCNPLLDDRSDRKCIPGEVELQNAGQVWKKYVCQVSAAGVCIGPGRLTPTYYEQMSAFANVSYALYRYGPFFLNLTDCTFVRDAFSDISKQHCPGLRIHSAWIYIGLFLVSAAVMLSLIFWVIYARERRHRVYTKKILSSRVCGESEMELSHQPRSSRCSSSSSRMSFKTPRTSVGFQDKATF >ONH97326 pep chromosome:Prunus_persica_NCBIv2:G7:17834253:17834734:-1 gene:PRUPE_7G183900 transcript:ONH97326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKEILTRRPVLTTIRVTAPAGAATPQPLSKGLGQYRMNMMAFCKDFNAQTQKFKPGIPMAVTLTVFKDNTYEFTVKSPSVSWYLKNATGVDSGSSRPKHVVASELSVRHLYEIAKVKQSDPYCQYMPLESICKSIIGTANSMGIKIVKDLD >ONH97164 pep chromosome:Prunus_persica_NCBIv2:G7:17371250:17372170:1 gene:PRUPE_7G173200 transcript:ONH97164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNRAASFIYNFFSPLLGQTSFKAAPCCYEVIESEGGKIVEEDVCCVCLSRLVEGEDVRSLPCLHEFHKVCVDKWFDACRTTCPLCRFPVGGNKSQVVELLTEEMMLYFSSFHISGF >ONH94188 pep chromosome:Prunus_persica_NCBIv2:G7:358504:359922:-1 gene:PRUPE_7G003200 transcript:ONH94188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPNNHHFLTHFQTITTNTVYPEKTQKPIDLTVSWTSSISLPPCRNGQLAEAVAHFIQMRRAGVEPNHITSIAACADLGTLGLGLWINRFLVKQDFRDNIRIKDLMQKEGFKADGFSFTGAFTACSHAALVNEGLHYFDNTKRIHKITPIIEHYGCIVDLYSRAGMLEDALNVIKNMPMKPNEVVVGSLLAACRTNGNISLAERLMKYLYELDTGVDSNYVLLSNICAADGRWDGASKVRKNMKALGIQKTPGFSFELKLCGYVPENIVRESYEFD >ONH98684 pep chromosome:Prunus_persica_NCBIv2:G7:21750145:21752820:-1 gene:PRUPE_7G261800 transcript:ONH98684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSKNSQTESKFPYEAQSQCFDLAEGSFTPLVMQSCCSSALQSLYQAMTIKASQSRSIFLEFAEAQNCTNIFQNLHHRTNINNCDLQDFISSSTPNLCSNNVDSVIGLLGVDMYNALRSNCKGLSSSNHSDEACFDCVVSYKQSLQALKERNRSGNRCAEALLVSLASSDAQSPNLVRGTFSCLWNEITHILGSKKLLAIVILAAALVIITPILYKITRKQLQYASKKDIEILSDEVLKATNHFDDSNLIGEATLGKFYVGIMPSGMPTTIKRLNQGIIKVQNFGEEVIRKAKIRHPNVVTTLGYCDTGEHCLVYEYCVNGNLAGWLLGKSHFLAGNGKTLILTWEHRMQISIGIARGLCFLHANALAKMVHGDLKLSNIFLNEKLEAKILDSNFSNCKPNETKGLQTIKNDVFDFGVVLLQVLTGKKSKSVVEEAREAILKGASISGMADPRLNGAYVSSEFRNVLSIAVRCTAPSERERPYMEEIVRKLEETQSLV >ONH96662 pep chromosome:Prunus_persica_NCBIv2:G7:15810351:15813813:1 gene:PRUPE_7G144300 transcript:ONH96662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAFYTASNSHQFPLPWKIHETQLTAQRPFPKRLKTCFKAPTFTNSLRTSIGYEPEESLGKEPGPATPGHLPVVIRRSGRVSRYFWDGSCLQLVGVDGGAASFSFNFEDGFRKLYRICSLAVRDFFIPKQVSGNYMDYVKWKFLHRVFSSALQVLATQAMFRAIGIGYSRSLPAAAALNWLLKEGLGRLSRCIYTASLASAFDTNLKRVRFSTSVLFSLSLGVELLTPAFPQYFLVLASLANMAKQISLACYLATGSAVHRSFAIADNLGEVSAKAQIQTVCFDNLGLLLAALLNMLFKNSQRLQTALPFFVYPIFSVIDLFAIYQGLKHVHLQTLTKDRLEILLNTWIELGYVPAPAEVSKEEGIDFLRSKDKGLWPTRIGYLDPKNQIPELSMMTMRSTSGEDYYLISMEMFYTRIRRSRRQGILICVREGARTTDIVMGLLQACYIRKSLLMNKYRWENLFDAGDELESALKEWSKLIEECKRRAQGDMCLLNKQMLTLGWATKNVLLSSQEQIRYSFVDD >ONH94514 pep chromosome:Prunus_persica_NCBIv2:G7:3006849:3012692:-1 gene:PRUPE_7G020300 transcript:ONH94514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASSLCFSSSVLCHHLSAPLNPTRFSSLRHNLSELRFLSASSSAQKEAPSEGQNGNGSVLVKDTSENSEETMVRVELHKEPTEAILYAMHELGLASRKTFKKCDRVVGEVLGKFHPHGDTAVYDSLVRMAQPQVDLIIENLSIENLESAFSFFNLLSNKYGFRHSRVSEFIVAHVLARRRLFKELCLVVKQMVDDEGPGSAPSLCELLLNRFRDWDSTGVVWDMLAFAYSRSEMIHDALSVLVKMKDLNLNVSTPTYNCLLHNLKHTDIMWIVYNEVKDSGTHQSDHTIAILIDGLCEQSGLQDAVSFFMGVENTESWPSVVSFNTIMSRFCKLGFVDVAKSFFCLMFKCGLLPDSYSYNILIHGLCIAGSLEEALEFTKDMERHGVQPDTVTYNILCKGFHLLGLMSGAHEVIQKMLIKGLNPDHVTYTILICLPHAGNIEEALKLQEEMLSRVFQLSVIVYSVLLSSLCKSGRIEEALRLQYEMEAVGLEPDLITYSILIHGLCKKGDVQRASKIYREMYMKRIIPNYFVHRSILLGLREKGDISEVRKHFDNLLKRDVTEDIVLYNIMMDGYVKIGSIAQATRLYKQIIEKGINPSIVTFNTLIYGFCKTGKLVEAHKMFDTIKLFGLLPSPFTYTTLMDANIERGNIHGMLELL >ONH95614 pep chromosome:Prunus_persica_NCBIv2:G7:11584946:11585167:1 gene:PRUPE_7G080600 transcript:ONH95614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFQVRHIFLDNLIPKSSLWWRNSADALSHCKMYTLHFNFLRTKNNNFHFVVERKYSCSEHSFKVYRFFCMCP >ONH96232 pep chromosome:Prunus_persica_NCBIv2:G7:14024606:14029237:1 gene:PRUPE_7G114900 transcript:ONH96232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFMGTSEIVEATDELTTGQFSHGIGRPNSGFSRGDRDRKPPVLKLGYKDSLEDDINKLFEAINLKASSKGSDNSKQAGTSPLNKNALKKPITVGVSRSPGIGTSEPATLKQALRELSITKASEMAAMKRLSKLTSPSRASEAGRIKTLYNAVVVEASTSGPSTSENKGNMVEISLVPEESISNTCENMPEHPQMAKMKSSSQSADSSPRFAIRKTENNSGSTTLQNESTSMLRTVGVQTIQAELGQKEKIESSSDHISELAENVPAKTMLPTKIKAQLGKKEKVKSTRGNTSVVQNVPAKPKLASKVSAAKPGRKVKLHAVPSSSILVNGNTTSKLSRNTLRSIKPASRNRIIIKKKIRQDSSSVACNSSNYNEVDADFDPSTSQLVCERCQCTLKGAGKQSNQGSLVPQFNSLTAEVNSSNVHTGPSKPGFSLDSSKTGNTGGADIMKAKKNPKSKEKGEFSQSSKSSQEYSSSTSLSDESNMSGSSCSNKPHMSKDVRWEAIRHVRLQHGVLGLRHFNLLKKLGCGDIGTVYLAELISTNCLFAIKVMDNEFLARRKKMPRAQTEREILRMLDHPFLPTLYTQFTSDNLSCLVMEYCPGGDLHVLRQKQSGRCFSEAATRFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCTVSPTLLKSPSCDADRVKLSGPCTQSSCAEPFCMEPSCDVPCFSPRFLPAAAKTKKSKNDSAAQVRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGIFLYELLYGRTPFKGINNEETLANVVLQSLRFPEGPIVSFQARDLIRGLLVKEPENRLGSEKGAGEIKQHPFFEGLNWALIRCAIPPELPEFCDFEVPDMPAAQENTKYLEFKATGDHLEFELF >ONH95052 pep chromosome:Prunus_persica_NCBIv2:G7:8595785:8597045:-1 gene:PRUPE_7G049000 transcript:ONH95052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMERTLRIVMLCLVMLHFLIVENHATTIAEAPAPQPQGSNNHTMSGITEGSLQPQECGPRCSTRCSNTQYKKPCLFFCQKCCAKCLCVPPGTYGNKQFCPCYNNWKTKRGGPKCP >ONH96110 pep chromosome:Prunus_persica_NCBIv2:G7:13686018:13688172:1 gene:PRUPE_7G108500 transcript:ONH96110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSHAIGIDLGTTYSCVATWQADHVEILVNDHGNRTTRSYVTFTDSKRLVGDEAFNQAGRFPTNSIFDAKRLIGRRFSDETVQSDIKRWPFKVIRGEADKPMIVIKHKGQEKLFAAEDISSMVLAKMREIAEAFHCSKISEKKAVITVPSYFNDSQRQATLKAGKLAGLNVLRIINEPTAAAIAYGIDKKAGWFNKRNVMIFDWGGGTLDVSLLTIGHGVFDVKATAGDTHLGGEDLDNRMVDYCVDEFKTKNEVDICGNPKALRKAKTVCEKAKKALSFCFDIDIVIDSWYNGEDFNTNFTRDKFEEMNMDIFNKCMELVKRCLKDAKMDISDVNDVVLVGGSSRIPKVQELLQKFFMGKELCKSINPDEAVAYGAAVQAAVLSGNVTGKLQDFTLLDVIPMSLGTECGHENRMAVMIPRNTKIPTKKHQIFTTLRDNQTSVLCGVFEGENELTRDNNYLGGFTMYGIPPAPKGVAKVDVCFFIDANGILNVSAEVMSTGQKKAITINSDR >ONH98554 pep chromosome:Prunus_persica_NCBIv2:G7:21382579:21382917:1 gene:PRUPE_7G253900 transcript:ONH98554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGVAGMMLQCAFEGSLSMQDTEIERRPYHKNCTCALHKFKGGACSIACQRNVSFPKKHSLSDGSLCMQASSTSKFSSPLVGDMSMSTSTSTGNRESMNWVHYSALSHRR >ONH97217 pep chromosome:Prunus_persica_NCBIv2:G7:17529682:17530878:-1 gene:PRUPE_7G177000 transcript:ONH97217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRAPCSVDGSKLPDELWHRIGQQLKPFIERLRFRSVSYSWRHSIPRFHPSIPTEFPYPFSFHSKASLARTTLYLLRTNPFPYASTSSPSSSSSSPKGWLLKLEECNQNLRLLHPITNRQLRCGEDFFPEHLNFLNYKMVELGQAYALKNSAGSAYVDRVSKVIELSHSEPCSILVIHHKGKLGFAQNGVERLRSIGNRFSSYSDLIVYKGRPYVVDKWGTVFLVDPALGVVQFAPRLVCFGHKKHLVESCGDLYLVDRYMMADPNGRPDPVTSFYRFIRHQGNGWPDAIDECPLNTVNFRVYKLDQERRRWDEVHNLDDQAFFLNVDFSFSVSAEDIVGCSRNCIYFSDHRENPLALRSLSTVGDGSVFNLADHSISVVNAAGAPFFADIYWPSLP >ONH96056 pep chromosome:Prunus_persica_NCBIv2:G7:13418166:13418853:-1 gene:PRUPE_7G105100 transcript:ONH96056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQLMVDAASRGWRDANGMLSKATVETKILPKINEKLRIQKYSQLLRHSSGFRWDPTTKKFTTLEEVWKDYFKSHPKDTSIQTKTCEGYEDLQIVIGNATAIGRNSLRLGDDTDARTFRAEDRHVGIEDFVFDDESQAFIPNHNEPPHQDPPLGHSSSSFPFQATNCKGPLESSSQKK >ONH94239 pep chromosome:Prunus_persica_NCBIv2:G7:655314:658427:-1 gene:PRUPE_7G005800 transcript:ONH94239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAVLEDDFVGQADLVRKKCPTSISKRSSYVESILDVNTINII >ONH96528 pep chromosome:Prunus_persica_NCBIv2:G7:15245655:15246892:1 gene:PRUPE_7G134700 transcript:ONH96528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLDMYNSEHKGHGGHHPFAPMSPRISFSNDFADAQHAIKHERSSREAPVSSDFEFSVTNYSMMSADELFCKGRLLPFKDSCTSSSSSKQMQRSTTTLRDELLHGEDHDDEVSSRPPKGSSSSTRWKGLLGRKRAHIGSKKAHRNDGSVDGRSSGLVVVHEEPQHHVNNQTSQEVLNEGGSSWRDVEIGI >ONH94900 pep chromosome:Prunus_persica_NCBIv2:G7:7119836:7125280:-1 gene:PRUPE_7G038300 transcript:ONH94900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVARTGRHQQRYEGGHRLVSGCIPFKYTNLVENSDGTHEKVVEVLMINSTSGPGLVFPKGGWENDETVEEAAIREAVEEAGVRGDIMDFLGHYFFKSKTLQDELSPEGLCKAAVFPLLVKEELQFWPEQNTRQRSWLTIPEAIGLCRHQWMKEALEAFSRWYADQMLSNPKKENHCLFLSVFRVQAYPEALTATLEKHVGGANTSSTSKCTCPTNMLKKRLETS >ONH96916 pep chromosome:Prunus_persica_NCBIv2:G7:16643580:16645795:1 gene:PRUPE_7G159500 transcript:ONH96916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLNDLKDQMPTTPSALLSAYASFTAFMMLVRSMANEHIPAPLRSYIYKAINYIFAPFFSVEFTLLIDQYFGMTRNQVYDAAEVYLRTKIGPSTVRLRVGKTPWKKTIGVTIDEDEEVADTFDNVKLRWRFLVETKKLGKSDMTSTKRFFELTFCKKHKDKVLKAYLPFVLAQADAIKAEENVVKLYTRHYWSRSDEDDDGCSEWGSVNLEHPSTFETMALDTELKRTIMEDLEMFVRRREFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFNVYDLELASISSDAVLKRVLLSTTNRSILVIEDIDCCRVDVRNRASDSDSDSDSDNQVTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDTALLRPGRMDVHIHLSYCTTSGFRILASNYLGIGDNNRHCRCGEIEGLIESTEVTPAEVAEELMKSEDADVALQGLVNLLKRKKAESEKKKLLIKNKKPRGRKGITKLLGFIFPAIHSDA >ONH97434 pep chromosome:Prunus_persica_NCBIv2:G7:18211297:18212844:1 gene:PRUPE_7G189900 transcript:ONH97434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVKALDRVHVQLEYSRKSRYGSVFLGSALDSGNVSELVVDTVLGRNDIEVTSNYYDACCTVESSAILNKKAMHSGVDPPVIIDLSNATWKEVGKACDNIFVWAFDEYEKVEGFVESVIRTNSDTKDKIILLIQRNKKTWKIAFSLYHIACPRGEEPYADEAFELLRQTLVHKRVEVLLETIDSDGYFMGALLESNTHVEIPLLKAGLAKLEPGPSYHVEFCRAQDSAITKKLKIWENNVEPYRNYN >ONH97973 pep chromosome:Prunus_persica_NCBIv2:G7:19798178:19799007:-1 gene:PRUPE_7G221500 transcript:ONH97973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEPSPPMVAKKLWNLVRIVFFMVRKGLSKSKLLVDLHLMLKRGKLAGKAMAHNLMLHHSSGFSCRSNDAVSFITPREYEFSCSNSPAIHNPFHFNKRNKHHHHYFTKTTRAYQYDDVTTMTAVQKVLEMLNNEMVAEASPLVTLPGFGKSPMVRQLRITDSPFPLKEEGDSQVDKEAEEFINRFYKDLKLQKRSAVLESPSYHAMRGR >ONH97588 pep chromosome:Prunus_persica_NCBIv2:G7:18676872:18678331:1 gene:PRUPE_7G199100 transcript:ONH97588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYTSSQFVSQEGFKLFHSIDRDLYTILAIHLCRDPLESMQVMALWLWLEKVGFKNAVKKILSLPFILINELADEAVTCLNIINGTHFSLPFEANDIPLLQSFMEKEVSLQFFHENQQAAAQGVTKAANIVCLPAFDDIMKQAIEINFSQNSADHSQVILSSSSSSSSSSSSSSSSIQQQQLQALPQILVPPNERTMFVTFSKGYPVHEHEVKQFFTAAFGDCVESLQMQEVQPFEQSLFARVVFHSASTIEAILSGASKAKFTINGKHVWVRRFVPKRARSLLPQMPWQPISPFGP >ONH98272 pep chromosome:Prunus_persica_NCBIv2:G7:20643296:20645380:-1 gene:PRUPE_7G239300 transcript:ONH98272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLKQVHAHIIKTGLHNTHFALSKLVEFCAISPFGDLSYALLVFQSIENPNQIIWNTIIRGFSLSSKSIQAVEFYVLMLLSGVEPNSYTFPFLLKSCAKFAASHEGKQIHGHVLKLGLDSDAFVHTSLINMYAQNGELDNARLVFDKSSFRDVVSFTALITGYVSRGCMDDARYLFDEIPGRDVVSWNAMISGYAQSGRFEEALALFSEMRKANVSPNESTMVVVLSACAQSGSLELGKWVGSWIENRGLGSNLRLVNALIDMYAKCGALDTARSLFDGLQQRDVISWNVMIGGYTHKSHYKEALALFRLMLRSNADPNDVTFLGILPACSHLGALDLGKWIHAYIDKNFQSLTNTSLWTSLIDMYAKCGNIEAAKQVFNGMEAKSLASWNAMISGLAMHGHAHTALELFSKMADEGFKPDEITFVGVLSACNHGGLVDLGRQYFSSMITDYHISAQLQHYGCMIDLLGRAGLFDEAEALMSSMEMKPDGAVWGSLLGACRIHRRVELGELVAKHLFELEPENAGAYVLLSNIYAGAGRWDDVARIRTRLNDLGIKKVPGCTSIEMDSVVHEFLVSDKAHPLSKEIYEMLKEIDRLLDMAGFRPDTSEVLYDMDEEWKEVALSHHSEKLAIAFGLISTKPGTTIRIVKNLRVCANCHSATKLISKIFNREIIARDGNRFHHFRDGSCSCNDNW >ONH95305 pep chromosome:Prunus_persica_NCBIv2:G7:10134665:10137630:1 gene:PRUPE_7G062500 transcript:ONH95305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAFPLATKLIEKLGSIASEQICLAWGVKADLKKLQRTMSTIKDVLLDAEQKQAHNQQIRSWLRQLKDVFLDAEDLLDEFECEALRREVVETFHGTTGKVRRFFSRSNPIAFRFRVGNEIKEIRERLDELKSNKAIFDSLTILPHGYGGEDHERPFVPASKVIGRESEKEEIVNLLMQQGDDDQSGNSNNKVSVIPIVGIGGLGKTTLAKWVYDDKRVVGHFELRMWASVPVDFELTKLTRLILGSALNTEISDKLTLDQLQGKLREALKDKTFLLVLDDVWKDDALKWSQLRDLVIEGAKSGSKILVTTRSTTVAEIMGTIPENINLEFLSFEECLSLFVECAFKEGHEKEYPNLFKMGEEIVRKCGGVPLAVKTLGSQLYSKTDQREWKLVRDSDIWKLKQEDSHILPALRLSYTRLPPHLRQCLAYCFHLRKDRIEFNSSDLISYWMAHGILDQSRVHGNMELEDIGELYFKDLWARSFFQNVIDLDIYYRFDMHDLIHDLVQSVAQGECFTVKSANTEDKSENVRHLTVLEAGQNVSTTLQKLNKVRTITAVETKIDESFMCTCFSRFKYLRVVELLTCSLQVLPSSIGSLKHLRYLDLSYNEAITKLPNAICRLQSLQSLYLIGCVNLEELPRDISKLISIITLELTTKQTSFPKNGVGSLKSLRFLTISRCSNLTSLPHETSYLASLRTLMLYKCEKLDLWNVNYPGTPLRLQELDIENLPRMVALPEWFQGAANTLQFLYIGMCENLEALPEWLASFTSLKKLVIDSCQKLLSLPEGMCSLTSLRELVIDDCPELERRCQRNIGEDWPKISHVPHVSFSYL >ONH94401 pep chromosome:Prunus_persica_NCBIv2:G7:2081544:2081900:-1 gene:PRUPE_7G014400 transcript:ONH94401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATEKCDVDSFGVVTLEIIMGRHPGDVFSSISSDVMDQRFSPPTKQEAEEVVSLVKIASASLNPSPWTVVSSNDAESFLAPHINSEAAFVKAIAYENIW >ONH94547 pep chromosome:Prunus_persica_NCBIv2:G7:3406244:3407206:-1 gene:PRUPE_7G022000 transcript:ONH94547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKSIEAAVTNPSYPYSLHSSNHPGIILASQILERDNYDPWSHSAAISLSAKNNDMVLSWLLNSIHPDIANSVIYVNVVAEVWFDLEIIEYKQGQQSILAYYTKLKALWDELGSYNEPATCTCRGIKKLNVREEKNKILLMDPLPDTRKTYSLVLQQEKQVEVSLNRDNLNRRSMSVTSNKEAVASSHQSYKGKPPFHCSHCDQDHHNVETCYYLHGLPLGHKLHGKSVKPPNRRKSTANHVKAERKSVKNSDTNHKTPTSDGPKFTIEEYNQLMAMLQKNND >ONH97136 pep chromosome:Prunus_persica_NCBIv2:G7:17299001:17301998:1 gene:PRUPE_7G171600 transcript:ONH97136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASPFSISVSSKPTLKASVFTPNNSAELSLRVQSSKAASLRSSFVSQGSVELTRRGNSALRASRVRSTSRIMASSAQVVDQPPSKTGPVAPTIVDVDLGNRSYPIYIGSGLLDQPELLQRHVHGKKVLVVTNNTVGPLYLDKVVDALTRDNPNVSVESVILPDGEKYKNMDTLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLNTLPERELASGFAEVIKYGLIRDAEFFEWQERNIQALMSRDPGALAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGVGYGQWLHGEAVAAGTVMAVDMSYRLGWIDESLVKRANNIIKQFKLPVAPPESVTVEMFRSVMAVDKKVADGLLRLILLKGPLGNCVFTGDYDRKALDETLNAFCKS >ONH95103 pep chromosome:Prunus_persica_NCBIv2:G7:9057494:9058281:-1 gene:PRUPE_7G052500 transcript:ONH95103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQHENSKRKGKGSEIYESRTMDDTNELLHLLVDAVNSGLRDANGTLSKQNVERVILPRLNAKIRFPKTYNHYLSRMKWFKKQSNKMSTLMRNNSGFGWDPIAETLTPSDEAWKDYLKSHLSHSKLQESSLVDYEDLKIVVRGGTATGNGSIALGIDETNARTYGVEENKDLGMKDFLDNLSIGTNSQAKVLDNLSIGIETISANFERISNLTEKKTEIENSMK >ONH98070 pep chromosome:Prunus_persica_NCBIv2:G7:20081562:20082189:-1 gene:PRUPE_7G227100 transcript:ONH98070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPNFPQNQVTRRVRRKIHRKMGFRKRCFMMAKHQKTRFYILGRCVSMLLCWHDHSISD >ONH95719 pep chromosome:Prunus_persica_NCBIv2:G7:12155709:12156711:-1 gene:PRUPE_7G087300 transcript:ONH95719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMVAIDGSDESLYALKWALDLLLVGPKDNADDVATSTGTATLEAPHAGSHENVSMVTLIHVMQPFQNYVLPAAGSAELRKEQEEKAAAILARALEICKDKMIKAETLILRGDPKDRICEATEQRHVDLLVVGSRGLGMVKR >ONH95718 pep chromosome:Prunus_persica_NCBIv2:G7:12155318:12156782:-1 gene:PRUPE_7G087300 transcript:ONH95718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMVAIDGSDESLYALKWALDLLLVGPKDNADDVATSTGTATLEAPHAGSHENVSMVTLIHVMQPFQNYVLPAAGSAELRKEQEEKAAAILARALEICKDKMIKAETLILRGDPKDRICEATEQRHVDLLVVGSRGLGMVKRAFLGSVSSYCAHHAKCPVVIVKPPKESGKQ >ONH97191 pep chromosome:Prunus_persica_NCBIv2:G7:17443199:17449285:1 gene:PRUPE_7G174800 transcript:ONH97191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANPFQKILTALEKPDGGEFGKYYSLPALNDPRIDKLPFSIKILLESAIRNCDEFQVKSKDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLKGDSNKINPLVPVDLVIDHSVQVDVARSVNAVQANMELEFQRNKERFGFLKWGSNAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLLGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSELSLADRATIANMSPEYGATMGFFPVDRVTLQYLKLTGRSDDKVALIESYLRANRMFVDYNEPQVERVYSSYLELNLNEVEPCISGPKRPHDRVTLKEMKVDWHACLDNRVGFKGFAVPKESQNKVVEFTFHGTPAQLRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLQKSGLQQYLNQLGFHIVGYGCTTCIGNSGDIDDAVASAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETEPIGLGKDGKKIFFRDIWPSNEEVAEVVQSNVLPHMFMATYEAITKGNPMWNQLSVPDGTLYAWDPKSTYIHEPPYFKDMTMSPPGAHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRRDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPTGEKLSVFDAAMRYKSEGHATIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKTGEDADTLGLTGEERYTIDLPSSVGEIKPGQDVTVVTDNGKSFVCTLRFDTEVMDCIMLCFFRIFISLVKFRLLQNSVVFSSLQVELAYFDHGGILQYVIRNLIKS >ONH97192 pep chromosome:Prunus_persica_NCBIv2:G7:17442995:17449434:1 gene:PRUPE_7G174800 transcript:ONH97192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANPFQKILTALEKPDGGEFGKYYSLPALNDPRIDKLPFSIKILLESAIRNCDEFQVKSKDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLKGDSNKINPLVPVDLVIDHSVQVDVARSVNAVQANMELEFQRNKERFGFLKWGSNAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLLGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSELSLADRATIANMSPEYGATMGFFPVDRVTLQYLKLTGRSDDKVALIESYLRANRMFVDYNEPQVERVYSSYLELNLNEVEPCISGPKRPHDRVTLKEMKVDWHACLDNRVGFKGFAVPKESQNKVVEFTFHGTPAQLRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLQKSGLQQYLNQLGFHIVGYGCTTCIGNSGDIDDAVASAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETEPIGLGKDGKKIFFRDIWPSNEEVAEVVQSNVLPHMFMATYEAITKGNPMWNQLSVPDGTLYAWDPKSTYIHEPPYFKDMTMSPPGAHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRRDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPTGEKLSVFDAAMRYKSEGHATIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKTGEDADTLGLTGEERYTIDLPSSVGEIKPGQDVTVVTDNGKSFVCTLRFDTEVELAYFDHGGILQYVIRNLIKS >ONH97190 pep chromosome:Prunus_persica_NCBIv2:G7:17443199:17449345:1 gene:PRUPE_7G174800 transcript:ONH97190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANPFQKILTALEKPDGGEFGKYYSLPALNDPRIDKLPFSIKILLESAIRNCDEFQVKSKDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLKGDSNKINPLVPVDLVIDHSVQVDVARSVNAVQANMELEFQRNKERFGFLKWGSNAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLLGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSELSLADRATIANMSPEYGATMGFFPVDRVTLQYLKLTGRSDDKVALIESYLRANRMFVDYNEPQVERVYSSYLELNLNEVEPCISGPKRYNLLPHDRVTLKEMKVDWHACLDNRVGFKGFAVPKESQNKVVEFTFHGTPAQLRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLQKSGLQQYLNQLGFHIVGYGCTTCIGNSGDIDDAVASAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETEPIGLGKDGKKIFFRDIWPSNEEVAEVVQSNVLPHMFMATYEAITKGNPMWNQLSVPDGTLYAWDPKSTYIHEPPYFKDMTMSPPGAHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRRDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPTGEKLSVFDAAMRYKSEGHATIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKTGEDADTLGLTGEERYTIDLPSSVGEIKPGQDVTVVTDNGKSFVCTLRFDTEVELAYFDHGGILQYVIRNLIKS >ONH95050 pep chromosome:Prunus_persica_NCBIv2:G7:8585431:8585975:1 gene:PRUPE_7G048800 transcript:ONH95050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQYNFFPTDFFYPRTAPQAANKPVVLPLQTTKLDHVAASDLEQLKALAIQRRGVQAVQVAKSPPMHG >ONH98099 pep chromosome:Prunus_persica_NCBIv2:G7:20174415:20175290:-1 gene:PRUPE_7G228700 transcript:ONH98099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTAQVLDSLNSMSTTQIFRESIRVILLHPTHFHSISIFLFSPLPASLFISHFLLHHFPQIPSSTIKITDHILAHPLPKLLSKTIVHIVLCFPSSITFSLLGRAATIQAVSDSYNGINLDRRRLLVRSGLNWIKLLNTGLCELIIVLGLLGVLVASLAVVPKILFAWGVCSEVLGFWGVLGFLGVPFCVAFAHVMVVGNLARVLSVLEGECYGFETLIKAKRLMERKRKTALVMALLSNVGFRLVECLFDFRMSKGINLWEGPLLVSMYSLLLVFDTVMNVVFYYACKL >ONH95859 pep chromosome:Prunus_persica_NCBIv2:G7:12510785:12511291:-1 gene:PRUPE_7G092500 transcript:ONH95859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTSDSGGFLGSNNIGGFGYGIGVSVGILLLITTITLASYFCTRTQQPPAPATHRNNNILDPPDLQNFVVDIGLDDSTIESYPKMLYSEAKLQKTDSTASCCSICLADYKGTDTLRLLPDCKHLFHLKCVDPWLRLHPTCPVCRTSPIPTPLSTPLAEVVPLASRRD >ONH94780 pep chromosome:Prunus_persica_NCBIv2:G7:5521911:5523305:-1 gene:PRUPE_7G030000 transcript:ONH94780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSIHSLVEITSRKIIKPSSPTPNHLKTLKLSLLDQMFPPTLYGTLLFFYTNHWHGADFASKASKRLQESLSKTLVLFYPLAGRLKGPAFVECNDEGAHFLEARVNCHLADFLQQPEPKLLNHLIPETDSETAQVALGSVLLLVQINVFNCGGIVIAVSPSHKIADVTSLYTFARTWAAINRDEDQYDDGVGGQLALPEFNGGNLLPSRDLPAIPKTLETPSENLTTRRFVFDVSKIASLKAKIEGVVQNFIPTNVQLVLAIILKCAIAASHNSKPGTPIRPTVLFQMVNLRRRMLPELTQNVMGNWFWPLPVLFNEDETQLHELVSTMRKGLTDFVNEKANKFKGEEGFLAVFECLRERDPLLKSKKGINLYRATSLCKLPLYEMDFGWGKPTWVTGKGGYKNVIALMDTKFCDGIEAWVTLDEQEMAIFESDEELHAYLVPSTSAQTMFDIKSSIKLKSNM >ONH94790 pep chromosome:Prunus_persica_NCBIv2:G7:5658088:5659557:1 gene:PRUPE_7G030700 transcript:ONH94790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STLIFNVLSFGAKPNGVLDSTQAFLDVWTAACASSDSTTIRVPKGRYLLRNAMVFKGDNCKSTDITFRIDGTLIASSDYRVLGQANNWLSFEGVTWVSINGGALDAKGTSLWACKLAGSTDCPNGGATSLSFTNSKNININGLMSLNSQMFHIVITYGCQDVRIQGVKVNAAGNSPNTDGIHVQLSRNVAIFNTSIKTGDDCVLIGPGTKDLWIEQITCGPGHGISIGSLAKDLEEEGVQNVTVKNAIFKGTQNGLRIKSWARLSNGFVQGVQFLDVVMLNVQNPIVIDQNYCPHNINCPAQVSGVRVSDVVYRNIQGTSATSIAIKFDCSATNPCSGIRLENVSLTCRNHEVQSHCANASGKIVGTVQPNSCL >ONH96198 pep chromosome:Prunus_persica_NCBIv2:G7:13886719:13888951:-1 gene:PRUPE_7G112800 transcript:ONH96198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEFAVPPVVFPSGGNPSAVGSNNIQQRRVATAPFQPPRSSSSSIPFMSFDIGSAAAASSSSLFGGPIGSSSIPGGSASFEDEEPLLDELGIHPDQIWRKTKSILNPFRSNPAVHKDSDLSGPILLYMSLCLFQLLAGKIQFGVILGWIVVSSIFLYIVFNMLAGRNGNLDLHRCTSVIGYCMLPVVILSAASLFVPQGGSFRIAVAAVFVLWATRVCTGLMVALADGGDEHRGLIAYACFLIYTLFSLLVIF >ONH96596 pep chromosome:Prunus_persica_NCBIv2:G7:15540280:15541459:1 gene:PRUPE_7G139400 transcript:ONH96596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATVKLCCVWIILFACIIRRTKNFMRNCFDFITEINVFKGMCRCVLELGESKLPQYL >ONH97617 pep chromosome:Prunus_persica_NCBIv2:G7:18764489:18767245:1 gene:PRUPE_7G201200 transcript:ONH97617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKWVLHWQPNAGTTVNSQIINEVTQCVESINGVKEGRWKATITFYKPMIREQALAGDFPRDFLGIALPEQPNKYYLIIRLQRIVLEADSSIQMIMEKLQSYKSRVSLNFEGFQYQLGDFQLRVGKVLPTHSENLRGIVMEVEYLPISSMEKARQVMEDFMDIWQQALSKRSLPGHFMHMEPNFAEYGLADQYTSQHTAVQYATVMAQLIATVQAVQARN >ONH96621 pep chromosome:Prunus_persica_NCBIv2:G7:15698853:15700292:-1 gene:PRUPE_7G141700 transcript:ONH96621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRSPNPEAQKKHFVLVHGAGHGAWCWYKLSALLTSAGHNVTALDLAASGDNPKQINQVHCFADYVEPLIEFMESLPPDERVILVGHSMGGAGISIAMERFPEKISAAVFATALMPGPTISYLTIFEEVSSRLEFMDSQYRFDKGLNNPPTSAIFGPQRMTSVLYQLSPPEDLALALSSLRFFPLFDEEIKLTKEKYGSVPRVYIVCDQDLTIGEDVQRWMIKENPPHEVKMINGSDHMLMFSKPQEFFSTLQEISDQYS >ONH94630 pep chromosome:Prunus_persica_NCBIv2:G7:3806435:3808792:-1 gene:PRUPE_7G024100 transcript:ONH94630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >ONH96451 pep chromosome:Prunus_persica_NCBIv2:G7:14996072:14998143:1 gene:PRUPE_7G130200 transcript:ONH96451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVRFISTTTVQPTTHNDEFTRRIELTPWDLQLLLVDQVQKGLLFHKPFSTYENEDLKNGLVEHLKSSLSLTLDIFYPLAGRLAITEHEDDNSSSFSVDCNGAGAGFVHAVADGVTVADILDPVLVPDDIVYSLFTMTGVLNYESVSNPLLAVQVTELVDGIFIGCTMNHCVVDGSIFWRFFNTWSEISRDHGFGKISQPPLIFGREFFDGIIDLPVRIPFFHNQIPDKFLPPGPLQQRMFHFPKEKIAQLKAKANAEMGTTKISSLQALLAHLWVCITRNRRLSPDQETKYLLLVGLRQRFQPLPEEYLGNAVLFGTVTSTVGDLLKQGLGWAALEMNKMIASKTEQEVRKHLEEWVKSPKLSKMGTLSVNSLATGSSPRFNVFGNDFGWGRPLAVRSGAGNKFDGKLTVFPGAEDGSIDFEACLSSQTLQALAQDAEFMDSVA >ONH95522 pep chromosome:Prunus_persica_NCBIv2:G7:11249194:11250280:1 gene:PRUPE_7G075500 transcript:ONH95522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKALQPTLQVFSTLPPPSSSSSSSSPTLSSIMKIKTLIHTIIFSHVCRAIRYLNKAKSKAASIFIQILRESQPMQLIYPTKKTKIKKKTKKIFFGSFRLHYNWCSSTHVLPVPARVYEGLTASTHLYYDSTWNSVISTDAQQCEENHGPESQLSGYLQWLEEEKLHGKNNSMADEIDVNEIDKLADLFIAQSHEKFILEKQESYRRFQEMNARSM >ONH94878 pep chromosome:Prunus_persica_NCBIv2:G7:6758028:6758492:-1 gene:PRUPE_7G037100 transcript:ONH94878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSELVPINLGRRFILVLHNSSYNSLPLPIFTRENYDFWNIKMKTYFMSQENSTVQQLRQLKKDQQKDAKALLSFQQALHDTIFPRIMGATTAKKAWNTLKEEFQGNVKAHAVKLQTLRRDFENIKMKGSETTQDYYAKLKEIVNQLRAYGAKY >ONH96073 pep chromosome:Prunus_persica_NCBIv2:G7:13452400:13454019:-1 gene:PRUPE_7G105600 transcript:ONH96073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFSNLPDQVAHYILSFLTITDLARFGCASKRCRELYLSAPSLNFDGFSVANQSTCMKRQRTESCIYQIQTRIPTIARIATLLLKIVHFRVMSWVHNAVRCNVEELDLDISPGSEIAPKFPSHVFLCASLTSLSVDVGCTSLTVPSFTFSSNLKYLELTNGLVKDGFFKWISSCCKCIEDLVLQEVAANNITIESSSLKTFSFVNDDSFDVLNISCEKLESLIMEWIIQSPSKYSLNIFAPRLKYFSWKGNLMNHRNLGNLEILQEAEILMKPKGDEFENVFEVLCSLSRAKVLTLNEETIKVK >ONH94389 pep chromosome:Prunus_persica_NCBIv2:G7:1902356:1904590:1 gene:PRUPE_7G013500 transcript:ONH94389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQCKFGATCKFHHPKDILIPSAEQENTFGETGTTIQPEGTGFAVKPPVSFIPALSFNSKGLPVRPGEPDCPFYLIGSCMYGATWA >ONH95339 pep chromosome:Prunus_persica_NCBIv2:G7:10358144:10360983:1 gene:PRUPE_7G065200 transcript:ONH95339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEGKLFIGGLAWDTTEDKLTDYFNQYGDVTQTVIMRDKTTGRPRGFGFVVFSDPSVLDRVLNDKHTINGQGR >ONH98833 pep chromosome:Prunus_persica_NCBIv2:G7:22061520:22063287:-1 gene:PRUPE_7G267800 transcript:ONH98833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTLDSPWPSSPSDSFCGSFNIRIEGEIPDEILPVLEDPTSSVSYNHNIPKPPPPVPSKIYSWNKYFKRLSRSCSVPKETMDTWDKLFKDGYGADVYIFSEDESYIPAHFSVLSIASPVLGNFLQQSKVKNGMRYIKIPGVPYEAVYTFIRFLYSSCYEKEEMKKFVLQLLVLSHSYSVPSLKRVCIYLLEQGWLSKENVVDVLQLARNCDAPRLSLICVRMVVKDFKAISSTEGWKVMKQVNPALEQELLESVVEADSRKEERLKKKEERKVYVQLYEAMEALLHICKDGCRTIGPRDKVFRGSQVACDFPACKGLETLVRHFSSCKTRVPGGCAHCKRMWQLLELHSRICDEPDSCKVPLCRHFKEKMQQQTKKDEAKWKLLVSKVVAAKLGPISGRRASFL >ONH98834 pep chromosome:Prunus_persica_NCBIv2:G7:22061653:22063342:-1 gene:PRUPE_7G267800 transcript:ONH98834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTLDSPWPSSPSDSFCGSFNIRIEGEIPDEILPVLEDPTSSVSYNHNIPKPPPPVPSKIYSWNKYFKRLSRSCSVPKETMDTWDKLFKDGYGADVYIFSEDESYIPAHFSVLSIASPVLGNFLQQSKVKNGMRYIKIPGVPYEAVYTFIRFLYSSCYEKEEMKKFVLQLLVLSHSYSVPSLKRVCIYLLEQGWLSKENVVDVLQLARNCDAPRLSLICVRMVVKDFKAISSTEGWKVMKQVNPALEQELLESVVEADSRKEERLKKKEERKVYVQLYEAMEALLHICKDGCRTIGPRDKVFRGSQVACDFPACKGLETLVRHFSSCKTRVPGGCAHCKRMWQLLELHSRICDEPDSCKVPLCR >ONH98835 pep chromosome:Prunus_persica_NCBIv2:G7:22061150:22063342:-1 gene:PRUPE_7G267800 transcript:ONH98835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTLDSPWPSSPSDSFCGSFNIRIEGEIPDEILPVLEDPTSSVSYNHNIPKPPPPVPSKIYSWNKYFKRLSRSCSVPKETMDTWDKLFKDGYGADVYIFSEDESYIPAHFSVLSIASPVLGNFLQQSKVKNGMRYIKIPGVPYEAVYTFIRFLYSSCYEKEEMKKFVLQLLVLSHSYSVPSLKRVCIYLLEQGWLSKENVVDVLQLARNCDAPRLSLICVRMVVKDFKAISSTEGWKVMKQVNPALEQELLESVVEADSERREVEEKGREKSVRATVRGNGSPSSHMQGWM >ONH98670 pep chromosome:Prunus_persica_NCBIv2:G7:21701385:21704006:1 gene:PRUPE_7G261100 transcript:ONH98670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGYEYDTFLAGFSIIYLLYRLLYGCIFFSSLSHSHSCLSVCDSSLLDGSTWLLEFTTKCYFWLFYLYIIFATRAQLDLHPYIHTPFLFPLPSPCYI >ONH95168 pep chromosome:Prunus_persica_NCBIv2:G7:9336641:9340327:1 gene:PRUPE_7G054500 transcript:ONH95168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKITLKSDDNQTFEVEVAVAMQLQTIKHMVEDDCADDAIPLPNVTSSALAKVIEYCKKHHEEDADVNNKESLKSWMLSPPLFVKVDLSKLFDLILAANYLDIKSLLDLTCQTVADMIKDKTPEEIRVIFNIENDFTPEEEKEIRKENEWAFE >ONH96071 pep chromosome:Prunus_persica_NCBIv2:G7:13451160:13452048:-1 gene:PRUPE_7G105500 transcript:ONH96071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLNNVCSLGLRIGNVVDELVPAMVSLFRGMPNLCNMLINTKPPLDDPKSNASGFNIGYWKMQNIAFINQLKDVTIKLSDGSNGVELVRFMLERAQNLEKMLIICLPQNLGDNMRRLEESKKFSRATVLFKESRDFLP >ONH96072 pep chromosome:Prunus_persica_NCBIv2:G7:13451099:13452082:-1 gene:PRUPE_7G105500 transcript:ONH96072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLNNVCSLGLRIGNVVDELVPAMVSLFRGMPNLCNMLINTKPPLDDPKSNASGFNIGYWKMQNIAFINQLKDVTIKLSDGSNGVELVRFMLERAQNLEKMLIICLPQNLGDNMRRLEESKKFSRATVLFKESRDFLP >ONH98063 pep chromosome:Prunus_persica_NCBIv2:G7:20050949:20052980:1 gene:PRUPE_7G226400 transcript:ONH98063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGDVIPDGTLSHFDEEDKVQAVNIHSLAAGKKVILFGVPGAFTPTCSLKHVPGFIEKADELKSKGVDKILCLSVNDPFVMKAWAKSFPENKHVLFLADGSAKYTHDLGLELDLSEKGLGTRSRRFALLVDDLKVKAANIESGGEFTVSSADDILKAL >ONH95519 pep chromosome:Prunus_persica_NCBIv2:G7:11230338:11233167:-1 gene:PRUPE_7G075300 transcript:ONH95519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAQKIDCQERIIQQSHGLISDFNFELGSQSSQIFDLQQQAWNMGIWVQQPTEDQPNMSQLQSHGLPRSPSSIMSRFESPASAFYATERCMGFSQYHSQVGNLQFPSGQTYNESYSSMDSSEQADPNLDIRNTLQSIVKSQPSSYHQYQKSSEKPNQIPLPSNNLFEHQQNKLQGESTASVRRPSLSLPPKENQDQAGGCNSFSTSPVTQLSFFPQQGKQSPRISSGNVSTTYGDSPSTSPVLSSKTRIRWNQDLHEKFVECVNRLGGADKATPKAILKMMCLDGLTIFHVKSHLQKYRIAKYLPDTAEGKSEKRTTLNVEPQLDMKTGLQIKEALQLQLDVQRRLHEQLEIQRNLQLRIEEQGKQLKKMFDLQQKTSNDLFKTQNLDITCHEDAPSDSLNAIQVSSPEDSGNSNFPSKIS >ONH95520 pep chromosome:Prunus_persica_NCBIv2:G7:11230377:11233056:-1 gene:PRUPE_7G075300 transcript:ONH95520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAQKIDCQERIIQQSHGLISDFNFELGSQSSQIFDLQQQAWNMGIWVQQPTEDQPNMSQLQSHGLPRSPSSIMSRFESPASAFYATERCMGFSQYHSQVGNLQFPSGQTYNESYSSMDSSEQADPNLDIRNTLQSIVKSQPSSYHQYQKSSEKPNQIPLPSNNLFEHQQNKLQGESTASVRRPSLSLPPKENQDQAGGCNSFSTSPVTQLSFFPQQGKQSPRISSGNVSTTYGDSPSTSPVLSSKTRIRWNQDLHEKFVECVNRLGGADKATPKAILKMMCLDGLTIFHVKSHLQKYRIAKYLPDTAEGKSEKRTTLNVEPQLDMKTLLQPNNVNFSGSGLQIKEALQLQLDVQRRLHEQLEIQRNLQLRIEEQGKQLKKMFDLQQKTSNDLFKTQNLDITCHEDAPSDSLNAIQVSSPEDSGNSNFPSKIS >ONH94515 pep chromosome:Prunus_persica_NCBIv2:G7:3018615:3019635:-1 gene:PRUPE_7G020400 transcript:ONH94515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKVGEVKKAKEIFLHCPHFVSHYPAFYSHEILFISLFMFSIISLTEKMKNKKATATEEENEVNGWDIYKEVIGGPSHGRILGLGGGFSTKDVYHSDSVWR >ONH97120 pep chromosome:Prunus_persica_NCBIv2:G7:17277137:17279292:1 gene:PRUPE_7G171000 transcript:ONH97120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDMWNAPPGFRPTKSAPSSPAKPLGVSRTRSESFHVTHKVPVGDTPYVRAKNVQLVDKDPEKAIPLFWAAINSGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRHRCSDQAQESLDNILLDLYKRCGRLDDQIALLRHKLYLIQQGMAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEDAYRRALTIAPDNNKMCNLGICLMKQGRISEAKENLRRVKPAVADGPRGTDSHLKAYERAQQMLKDLESEMMNKGGDRVEQSRLFDAFLGSSSIWQPQPCRDHHHSSLPVTTDSVKTHQDEFPDENINSNINVFTNQMGLPQHKSIKQVPQAPPPPFGNSLNVAAQPYYAKFMPAPTSNQIAETLKRTRSGNAANSMRVTDAAEITKPTVELGVPENKTRRRLSLTSEETGDELTNLLPDNKDFEEAIISAVIDPTNETGKVTGTSNSSGIFPKKIEKRLRVFQDITLSLSPRA >ONH96351 pep chromosome:Prunus_persica_NCBIv2:G7:14542739:14546709:1 gene:PRUPE_7G122600 transcript:ONH96351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDASADTVNATIETAAQPNDNSSSDTATSAPPPPAKPESKRWADEEDDPPEEPEKKNDAPSTSEPEVNVAELKITENKFLDDPEDSNISAVTTGDTPYTSASSFEDLNLSPEVLKGLYVEMGFKKPSKVQAITLPMILTPPHKDLIAQAHNGSGKTTCFVLGMLSRVDPNVKAPQALCICPTRELAIQNMEVLQKMGKYTGIKAECAVPTERTSAPSIQSRAPVSAQIVIGTPGTIKKWMSIKKLGVSRVKILVFDEADYMLAKDGFQDDSLRIKKDIERFSPHCQVLLFSATFNEIVTNFVSRVIKGSGNELFVKKEELSLEGVKQYKVYCPDELTKIEIIKSKIFELGENLGQRIIFVRTKNSARMLHQTLVEDGYAVTTIQGALTVEDRDKIVKEFKDGLTQVLISTDLLARGFDQQQVNCVVNYDLPIKYTSGPRTHDPEPDYEVYLHRIGRAGRFGRKGAVFNLICGDWDELIMSKIEQYFNSPVTEVQNTEEAFEGALKSAGLL >ONH95251 pep chromosome:Prunus_persica_NCBIv2:G7:9837725:9838550:-1 gene:PRUPE_7G059100 transcript:ONH95251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSLNSTECFLLQSGSSIFAWNGNQCTIEQQQLLAKLAEFLKMLALTSDLLLLDNFDYVFVLVNLKLYNFCYTWVLAAEAKSVNAFSETDGSQEVTEVKETGEAPASESNGDDSGPEQETMQDENDSESNYFLL >ONH97515 pep chromosome:Prunus_persica_NCBIv2:G7:18437685:18439298:-1 gene:PRUPE_7G194000 transcript:ONH97515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDDVVDSLEKGLLSETANEAEDEIVLYSASFQEMEENYVKYQTAQWLLYSLLLILAWGIGFFMLLYLPVRRYILRKDIRSRKLYLTPNAIVYKVTKPVPFPIFGVLKKEKHVLLPSVADVVIEQGYLQSLYGVYSLRIEHVGVRRPPSDDVQIHGIANPSAFRKAVLMRLSSMTNEVFSRQVSTLEDIPNLRLQMSPSKPLRYGDLTLLQKLDEVGSSVKRVQTLLEEHPPTSEPIE >ONH96966 pep chromosome:Prunus_persica_NCBIv2:G7:16789953:16792600:-1 gene:PRUPE_7G162600 transcript:ONH96966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSASGRKTLSKIACNRLQKELLEWQVNPPAGFKHKVTDNLQRWVIEVNGAPGTLYSNETYQLQVDFPEHYPMEAPQVIFIPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTMKQRPEDNDRYVKNCRNGRSPKETRWWFHDDKV >ONH94632 pep chromosome:Prunus_persica_NCBIv2:G7:3827658:3828005:-1 gene:PRUPE_7G024300 transcript:ONH94632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFFGIVDTKNKFYLISSEYNDNPQLIKDRVHQLFTPLSSIIVNGALYAQGTRQLLHKTKLFSSVKCTTDLSATNCKKCLDYVITELIDCSYKMKCGRAMYRSCYIRFELYNFY >ONH95122 pep chromosome:Prunus_persica_NCBIv2:G7:9135133:9137539:-1 gene:PRUPE_7G053100 transcript:ONH95122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYPHPPPGYGYGSTPPPGKPYAAPQPGQPGQPGQPHQHQAQPYGAPAAPYGAPSAPYGAPSAPYAAPYAPEKPHQSHGSSGGGYPPSAPGYGSPFAALVPSSFPPGTDPNVVACFQMADQDGSGFIDDKELQRALSSYNQSFSLRTVHLLMYLFTQTNTRKIGPKEFTAVFYSLQSWRGIFERFDRDRSGHIDATELRDALLSLGFSVSPTVLDLLVSKFDKTGGKHRAIEYDNFIECCLTVKGLTEKFKEKDKAYTGTGTFSYEDFMLTVLPFLIA >ONH98632 pep chromosome:Prunus_persica_NCBIv2:G7:21600759:21601284:1 gene:PRUPE_7G258900 transcript:ONH98632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPDGSAGYNLIKAYIAENGAPTVFNSTLVMDYSYTGKTWIGYDDRQSITTKVLYAKRKGLLGSMSMSIGLFQMQLHAHGEHRATTFPTRR >ONH96079 pep chromosome:Prunus_persica_NCBIv2:G7:13506506:13507477:-1 gene:PRUPE_7G106200 transcript:ONH96079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLRGMPNLCTLHTKNDSLIYSRKCDDVQVQASGFDMEYWKLQNLDFIYQLEEVTIKLTKGSDGIEFARYILEHAEALEKMNLIYSPRQSDVIKKLNESKIISDATLNFRCHTDLPMNLFWNFLLKRYSFFNFDLYVNENYEKAIFKIFTLFLYL >ONH98842 pep chromosome:Prunus_persica_NCBIv2:G7:22090762:22095692:1 gene:PRUPE_7G268500 transcript:ONH98842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAATISSAHPSPKLSSFSSEHPPLALGFSDSLAFPSTGSGGTWRSISHSYDRNKQQLAVSARSFDEKIADKAFSSNGSSPLASRSKVRRHAISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTETVLRQVIEQLNKLVNVLKVEDLSNEPQVERELMLIKVNADPSYRAEIKWLVNIFRAKIVDISEHSVTIEVTGDPGKMVALQRNFSKFGIKEVARTGKIALRREKLGASAPFWRYSAASYPDLERTVPVDALVGDENRLANAESDISSGGDVYPVEPSDTFTVNQVLDAHWGVLNDEDTSGLRSHTLSMLVNDVPGVLYIVTGVFARRGYNIQSLAVGHAEVEGLSRITTVVPGTDESISKLVQQLYKLADIHDVQDLTNLPFAERELMLIKIAANAVARRDVLDIGNIFRAKAVDVSDHTITLELTGDLNKMVALQRLLEPYGICEVARTGRIALVRESGVDSKHLRGYSFPL >ONH97596 pep chromosome:Prunus_persica_NCBIv2:G7:18689944:18693796:-1 gene:PRUPE_7G199500 transcript:ONH97596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTGEITLDMEPSGGSLQPTIITHSIARTNHYTRSGGNPSSSTEPIPTQHSNPGQKLEVNLDDYLPLYRAASRGNWEEARKFLDTHPNSERAKISNSSMTALHVAACEGHSEFVEKLVKRVPADVLEMLDGIGYTALHYAAIGGNLRSAKALLGKNPKLTQRLDSAGRTALLLAATFASENKELVWYLLLVTKREKPGLPSTGPLAANLVNVLIASGFPEISLYLVKEYPNLATETDQEVCTPLDVLARNKSNFLSGSRLGSWESCIYPFLPVEVDCGPPHSVRASVARHESVQSDPSEQYRVHWLRRMLFGAIKRIARAGFMRLHDAKLRHHCAVELLRQICLQLSQEQVKSDILEIAATNGIVEFIRTLVEFFPDLMWFILSKDRYLLLPFAIEFRHENLFRIVCGKTARSKLIASTLLKSESGGTILHLAAKLAPLPQLSSVSCPALQMQRELQWFKVVEKLVHPYYKDNLNKKGETARELFTKEHKELAQRGEKWLKDTSNSCMLVATLIATVVFAAAFTVPGGNDNDGSPNLLRKNSSTFMVFVVSDAIALFASLTSLLMFLSILTARYAEEDFLQSLPRRLMIGLASLFFAIASMMVAFGATIVLVLNNRFNWVSITITSLATCLVALFAMLQLPLFIQMVRSTFGQSIFRPENIDNSMDLCKKDA >ONH98611 pep chromosome:Prunus_persica_NCBIv2:G7:21524486:21527044:1 gene:PRUPE_7G257300 transcript:ONH98611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWGKSSRSIHRVVFDVHRLSSRPLFNKSQPSFVSSSPSSSSPSSSSSSSSSSSSSSLSSSVGRFGSDGNYNGRSGSSEDTFNFFLRSVSSGVLILGTSIGFSYLDPNSFVSFADNCPKEATAWAIGDDQNKAQFSLFGDSYRRRVFFMYEKRIRDQSPPEKVFEYFASVKNPAGEAFMTPADLMRAVVPVFPPSESTRVREGSLRGEKVPGQLHCAPSKFFMLFDTDGDGLISFPEFIFFVTLLSIPEASFTVAFKMFDLDNSGDIDREEFNKVMSLMRSQSKQNKDGHRLKVSVDDGGLLEYFFGKDGKKSLKHETFVKFFRDLHDEMLRLEFSHYDYKEQGTISAKDFALSLVASADISDTNKLLDRVDELDNDPRLKDIKITYKEFKDFAELRKNLQSFSLAIFSYGEVNGVLTKKDLQRAASQVCGISVPNNVIDIIFHVFDANGDGDLSANEFVRVLQRRGGEVSETGFRGLLSCWLNCATIFGQQSCTFSF >ONH98351 pep chromosome:Prunus_persica_NCBIv2:G7:20922966:20926002:1 gene:PRUPE_7G245300 transcript:ONH98351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVEKEGEEVVSLELPAPSGWVKKFLPKQSGTPKKNEIIFTAPTGEEITNKRQLEQYLKAHPGGPAVSEFDWSTGETPRRSARISEKAKATPPPESEPPKKRSRKSTSAKKDSKEKQAGPEGAEETKISDVQAAEKAEKVEDTEMEKDDVKDNQDEEKAPDADTKTEVAQPEETKVEQEANIPGDAEECKKTSKAGPEDSKASIDGGSGFGKQTEKENAEGEKVEEKGEQPQVEVGKEEETGDQGKAKIAIVDEDKHEVEGEEKEKHNEVAIETEVEIEEKEAAKGNTEGKNSSGVHEAGKKVEGEVIENGGPGNEA >ONH98709 pep chromosome:Prunus_persica_NCBIv2:G7:21806040:21808449:-1 gene:PRUPE_7G262800 transcript:ONH98709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTNLKAESMGLMEKRSALEAEMNAVIESLTQPGGPGISGNLLDSEGFPRHDIDIPTVRAERRRLAELRNDHKEITEKLNENIQVLHSARLAPKSSSLRDSDAQSASVVDVVASASSTNVHSDSTNAMDVDVIVRVPFVLVDEIADASPAGEDGLQLGDQIVKFGNVEIGDNLLQRLASEAQTNQGHGIPVILVRQGAQVNLTVTPRTWEGRGLLGCHFRIL >ONH96185 pep chromosome:Prunus_persica_NCBIv2:G7:13851988:13852623:-1 gene:PRUPE_7G111900 transcript:ONH96185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRSGLCCFCFCLCYITYFLFFLAFFIFWSIFLPQEPKFTITNASLTQFNLTDTSINNNTLHYNLTLEITIRNPNKKVGLYYRRILVVANYRKKRFALVRLNSTPFYQGHKNTTIVNANLEGQQLMRFKERDISNFNSETANGVYIIDVKIALRIGIRFGKVKTGYFKIPRKSDCKLKVPLSTSFNGTFWSGFQTTECQSFHMFGDPDAA >ONH98828 pep chromosome:Prunus_persica_NCBIv2:G7:22050991:22054704:1 gene:PRUPE_7G267500 transcript:ONH98828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPSLSSSSSSSERGLGSVSANSQNSPSKNSDFLGLKDQPQVKNKSLVPIRLPPPPPPLPPPRFWEVPAGPMPNSGPPALVMPSRPRVFQNSGPVLGGEGSQSNAIVEKNQETPKPKLKPLHWDKVRASSDRAMVWDQLKSSSFQLNEEMIETLFMVNNSSLAPNDNVRRQILPSLNQENRVLDPKKSQNIAILLRALNVTIDEVCEALVEGNSDALGTELLESLLKMAPTKEEERKLREFKDESPFKLGPAEKFLKAVLDIPFAFKRVDAMLYIASFDSEVDYLKRSFETLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDIKGADGKTTLLHFVVQEITRAEGFRLSGMNQNQTAEEIQQSSSSFRDDVEFRKRGLQVVSGLSGELTSVKKAAAMDSEVLSKEVAKIAGGVKKIVEVIKLIEESALKVSSHKFCESMSGFLKKAEEEIVRIQAQEKLAFSLVKELTEYFHGNSVKEEAHPFRIFMVVRDFLSVLDQACKVVGKVNERTIVGSARQFPMPANPTHPPVFPGFSAKQQQHYGSSDEETSPS >ONH94832 pep chromosome:Prunus_persica_NCBIv2:G7:6330571:6343282:1 gene:PRUPE_7G033800 transcript:ONH94832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLRPPPPPYLRFMALRFLSHSSLHPHFPSSSSSFSSSSLARPSLLFNPQPRTHIPLNGVPSCCVVRNAVAPRAFMSSTPATEAFQGSSGSNAYGSDQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFATKIEVVLLADGSVSIADNGRGIPTDMHPATKKSALETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSETLEVTVWRDGMEYQQKYSRGKPVTTLSCHVLPIESQDRQGTRIRFWPDKEVFTTAIHFDYNTIAGRIRELAFLNPNLMITLRQEDTDPEKNHHNEYFYAGGLVEYVRWLNTDKKPLHDVVGFRKEVDGITIDVALQWCSDAYSDTMLGYANSIRTVDGGTHIDGTKASLTRTLNSLGKKSKIIKEKDITLSGEHVREGLTCVISVKVANPEFEGQTKTRLGNPEVRRVVDQSLQEYLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRQKSVLRSSSLPGKLADCSSTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILGLGLGVKGEDFKKEALRYHKIIILTDADIDGAHIRTLLLTFFFRYQRALFDEGYIYVGVPPLYKVERGKQAKYCYDDAELKMLQSSFPPNASYNIQRFKGLGEMMPAQLWETTMNPEQRLLKRLGVEDAAEANIVFSSLMGARVDYRKELIKNSASMVNLDQLDI >ONH95399 pep chromosome:Prunus_persica_NCBIv2:G7:10642588:10648002:1 gene:PRUPE_7G068000 transcript:ONH95399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLCPNLDLKPTPFSPISPRFSKPNSLSVHSHRFSCSDRRVTKKWRVPIVPSWFKAASNVRADEAQVCGQELMRSVGKGLLALAAAAAANYSIFCDSPAMAESLTVAFPVSRTTEVNSVQRTLVETWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLRSADAAYMKISGMLSTLGDPFTRIISPKEYQSFRIGSNGNLQGVGLFISTEPRTGHLVVLSCVEGSPAARAGIHEGDELVEINGENLDGVDTEVAVQKLRGRVGTTVTVKVQSSNDLGSDSSIQEVKLPREYIKLSPISTATIPHRTPDGRLTKTGYVKLSAFSQTAAADMENAINEMKSQGVHSYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTIDREGNLLPINMVNGHAITHDPLVVLVNEGSASASEILAGALHDNRRATLVGHRTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGIAPDVQCTTEMLNSSKESTSKEKSSVSSLEADSCVLVAEHELDIQESKGTAS >ONH96514 pep chromosome:Prunus_persica_NCBIv2:G7:15214344:15215144:1 gene:PRUPE_7G134100 transcript:ONH96514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHANRIQQKQEHCIMVSALKHVISGGINAGGSGPTSQQQQLMGAVHSATSSVPSTRDGTQSATDQVHMLSFSAEGDTCRVCGIEGCLGCNYFPSTTLPDRNKQLNLGSGANAGTRKGKNKYRGVRQRPWGKWAAEIRDPRRAARVWLGTFETAEEAARAYDKAAIAFRGDKAKLNFSLTSSDQHSNITNNNITSSSRRTRTSSQLNRSMEEADQDKVKPITVKQSEKVEIFESSASQEENDQFLWDMLQDGDDDDELKAWMSAN >ONH98432 pep chromosome:Prunus_persica_NCBIv2:G7:21099394:21105554:1 gene:PRUPE_7G248800 transcript:ONH98432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSLTVANRDGSSSASEDEAVMSVTRAYAQDALLQFQSGKFDQCLTALSECLKRKPNDPKIFHNIGLAEFYRDGCSHPKRLLDVLNDVKKRSEELARASAEQVESGSNIGGSRGSSTMGHPFSAVYMDEFDTYVATLNIAVIWFHLHEYAKALSVVEPLFQNRGPIDEKTALNICLLLLDVGLACHDATKSADVLVYLEKAFGVSCMNQGDSGSTALQQPANPVAKSPSLPTNSSAADGPNLDSDANALEAEETGEYDGAVFDMDVAQPTALLSSNDLSRNPVDISVSSVYLKLKMQLYKVRFLLLTRNLKQAKREVKHAMNIARGRDSSMALLLKSQLEYARGNYRKAIKLLMASSNRTDARISSMINNNLGCIYYQLGKYHTASVFFSNALLNCSSLRKDRPLNLLTFSQDNSLLIIYNSGMQYLACGKPLLAARCFQKAGLVFYNRPLLWLRFAECCLMALEKGLLETTLASSEVRVYVIGNGKWRQLVMEDGVSKNGNSGSFERGDLFLGSDQQPKLSMSLARQCLSNALYLLNCSESSYCKNSLPSNFFLEDNELGEVASSKNSNNKNFHSIDSEASAFSVGLGQSGINGDAKEQKAGTTQELVQNSLLYYADIRNKENLLLKQALLANLAFVELELENPIKALSIARSLLELPECSRIYIFLGHVYAAEALCLLNRAKDAADHLMTYLSGGNNVDLPFSEEDSEQLQGVRAVDYEELNGGSMSAKSSSPEDTLGIVFLKPEEALASLYVNFAALYAMQGELDQARQFVARALSLVPNSPEATLTAVYVDLKLGKSQEALAKLKQCSRVTFLPSGLTLNKAS >ONH98433 pep chromosome:Prunus_persica_NCBIv2:G7:21099002:21105971:1 gene:PRUPE_7G248800 transcript:ONH98433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSLTVANRDGSSSASEDEAVMSVTRAYAQDALLQFQSGKFDQCLTALSECLKRKPNDPKIFHNIGLAEFYRDGCSHPKRLLDVLNDVKKRSEELARASAEQVESGSNIGGSRGSSTMGHPFSAVYMDEFDTYVATLNIAVIWFHLHEYAKALSVVEPLFQNRGPIDEKTALNICLLLLDVGLACHDATKSADVLVYLEKAFGVSCMNQGDSGSTALQQPANPVAKSPSLPTNSSAADGPNLDSDANALEAEETGEYDGAVFDMDVAQPTALLSSNDLSRNPVDISVSSVYLKLKMQLYKVRFLLLTRNLKQAKREVKHAMNIARGRDSSMALLLKSQLEYARGNYRKAIKLLMASSNRTDARISSMINNNLGCIYYQLGKYHTASVFFSNALLNCSSLRKDRPLNLLTFSQDNSLLIIYNSGMQYLACGKPLLAARCFQKAGLVFYNRPLLWLRFAECCLMALEKGLLETTLASSEVRVYVIGNGKWRQLVMEDGVSKNGNSGSFERGDLFLGSDQQPKLSMSLARQCLSNALYLLNCSESSYCKNSLPSNFFLEDNELGEVASSKNSNNKNFHSIDSEASAFSVGLGQSGINGDAKEQKAGTTQELVQNSLLYYADIRNKENLLLKQALLANLAFVELELENPIKALSIARSLLELPECSRIYIFLGHVYAAEALCLLNRAKDAADHLMTYLSGGNNVDLPFSEEDSEQLQGVRAVDYEELNGGSMSAKSSSPEDTLGIVFLKPEEALASLYVNFAALYAMQGELDQARQFVARALSLVPNSPEATLTAVYVDLKLGKSQEALAKLKQCSRVTFLPSGLTLNKAS >ONH98434 pep chromosome:Prunus_persica_NCBIv2:G7:21099394:21105185:1 gene:PRUPE_7G248800 transcript:ONH98434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSLTVANRDGSSSASEDEAVMSVTRAYAQDALLQFQSGKFDQCLTALSECLKRKPNDPKIFHNIGLAEFYRDGCSHPKRLLDVLNDVKKRSEELARASAEQVESGSNIGGSRGSSTMGHPFSAVYMDEFDTYVATLNIAVIWFHLHEYAKALSVVEPLFQNRGPIDEDVLVYLEKAFGVSCMNQGDSGSTALQQPANPVAKSPSLPTNSSAADGPNLDSDANALEAEETGEYDGAVFDMDVAQPTALLSSNDLSRNPVDISVSSVYLKLKMQLYKVRFLLLTRNLKQAKREVKHAMNIARGRDSSMALLLKSQLEYARGNYRKAIKLLMASSNRTDARISSMINNNLGCIYYQLGKYHTASVFFSNALLNCSSLRKDRPLNLLTFSQDNSLLIIYNSGMQYLACGKPLLAARCFQKAGLVFYNRPLLWLRFAECCLMALEKGLLETTLASSEVRVYVIGNGKWRQLVMEDGVSKNGNSGSFERGDLFLGSDQQPKLSMSLARQCLSNALYLLNCSESSYCKNSLPSNFFLEDNELGEVASSKNSNNKNFHSIDSEASAFSVGLGQSGINGDAKEQKAGTTQELVQNSLLYYADIRNKENLLLKQALLANLAFVELELENPIKALSIARSLLELPECSRIYIFLGHVYAAEALCLLNRAKDAADHLMTYLSGGNNVDLPFSEEDSEQLQGVRAVDYEELNGGSMSAKSSSPEDTLGIVFLKPEEALASLYVNFAALYAMQGELDQARQFVARALSLVPNSPEATLTAVYVDLKLGKSQEALAKLKQCSRVTFLPSGLTLNKAS >ONH95600 pep chromosome:Prunus_persica_NCBIv2:G7:11573892:11574108:1 gene:PRUPE_7G080300 transcript:ONH95600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALRVLLLPVQNAHLIEALSQLPLFHFLKLYCSTHLEYSPTSPKTTLLFEKQNTTNLFIYQSYLHC >ONH96405 pep chromosome:Prunus_persica_NCBIv2:G7:14817215:14820167:-1 gene:PRUPE_7G127100 transcript:ONH96405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAEFSFGGGGLGHKEMRSFGLQVVHGRWFMVFASMLILSVAGATYMFALYSNDIKKSLGYDQTTINLLSFFKDLGGNVGVIAGLINEFTAPWVVLLIGAVMNFFGYFMIWLAVTGRTSKPRVWQMCLYICIGANSQTFSNTGAVVSCVKNFPESRGSVLGLLKGLVGLSGAIITQLFLAFYGRDSKALILLIGWLPAAVSMVFLPIIRLLKVVRQPNELRVFYNLLYVTLGLAGFIMFLIIIQHKLRFSRIEYIGSASVVLVLLFLPLAVVIFEEFKIWKSKMRALNDQSQLKVVAENPAPPVQVALPPVAAPPSPQTQQKPNSCLQNVFRPPDRGEDYTILQAVFSIDMLILFIAITCGAGGTLTAIDNLGQIGGSLGYPTHSISTFISLVSIWNYLGRVTSGFSSEVLLKKYKFPRPLLLTLVLLLSCVGHLLIAFGVPNSLYVASVIIGFCFGAQWPLIFAIISEIFGLKYYATLINVGGAASPIGAYLLNVKVAGNLYDAEALKQLKALGKVRKAGEDLTCEGVPCYRLAFCIMAAVALFGSLVSFILVIRTRKFYRSDIYKKFREAAEAAESDVAASSGMVPLTEIEAKAAVAATAAAPSSTSSAAPQGSETNLDLKNRAGENQ >ONH95000 pep chromosome:Prunus_persica_NCBIv2:G7:8145257:8149769:1 gene:PRUPE_7G045500 transcript:ONH95000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPIPTDTFKLGFIGAGKMAESIARGIVRSGVLPPNRISTFHANPIRRQAFESFGVELLHKNDDVVEDSDIVIFSVKPQVVKDVVLQLRPLLSKKKLLVSIAAGVKLKDLQEWAGNSRFIRVMPNTPSAVGEAASVMSLGVGATEQDGDLIAKLFGSVGKTWKADEKLFDAVTGVSGSGPAYIYLAIEALADGGVAAGLPRELALGLASQTVLGAASMVTSTGKHPGQLKDDVTSPAGTTIAGIHELEKGGFRGILMNAVLAAARRSREFSQSQ >ONH98640 pep chromosome:Prunus_persica_NCBIv2:G7:21625434:21627606:-1 gene:PRUPE_7G259500 transcript:ONH98640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSATCLRCCLVVFAVVSALGVCGPALYWRFKKSIRLGDSKTSCPTCNCDCPPPLSLLKIAPGLANLSVTDCGSNDPDLKQEMEKQFVDLLTEELKLQEAVAAEHTRHMNITFAEAKRVASQYQKEAEKCNAATETCEEARERAQALFIKERKVTLLWERRARQMGWEGL >ONH98201 pep chromosome:Prunus_persica_NCBIv2:G7:20455608:20463171:1 gene:PRUPE_7G235300 transcript:ONH98201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSAENVFARASSFREEGEDEDALRWAALERLPTYARVRRGIFRDVAGDTKEIDVSELEAQEQKLLLDRLVSSADDDPERFFNRMRRRFDAVDLELPKIEVRFQNLKVEAFVHVGSRALPTIPNFVFNMTEALFRQLRIYRPQRSKLTILDNINGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGTGLQISGSVTYNGHVLKEFVPQRTSAYVSQQDWHAAEMTVRETLEFAGRCQGVGTKYDMLLELARREKISGIKPDGDLDIFMKSLALGGQETSLVVEYIMKILGLDICADTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISTGLDSSTTYQIIKYLKHSTHALDATTVISLLQPAPETYELFDDVILLCEGQIVFQGPREAALDFFAYMGFRCPRRKNVADFLQEVISKKDQEQYWSNPDLPYLYVPPAKFVDAFRLFQAGKNLSEELDVPFDKRYNHPAALATSRFGMKRRELLKTSFNWQVLLMKRNAFIYVFKFVQLLFVALVTMSVFFRTTMRHNTIDDGGLYLGSLYFSTVIILFNGFMEVPMLVAKLPVLYKHRDLHFYPSWVYTIPSWVLSIPISLIESGFWVAITYYVIGYDPAFTRFLGQFLIYFLLHQMSIALFRIMGSLGRNMIVANTFGSFAMLVVMALGGYIISRDRIPKWWIWGFWFSPLMYTQNAASVNEFLGHSWDKRIGSHTSFPLGEALLRARSLFPESYWYWIGAGALLGYTVLFNILFTFFLAYLNPLGKQQAVVSKEELQERERRRKGQNVVIELRQYLQHSESLNGKYFKQRGMVLPFQPLSMSFSNINYYVDVPLELKQQGIQEERLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGFIEGSIHISGYPKRQETFARISGYCEQTDIHSPCLTVLESLLFSVWLRLPSDVDLGTQRAFVEEVMELVELTPLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFLKRGGELIYAGPLGLNSCELIKYFEAVEGVPKIRPGYNPAAWMLDVTSSVEESRRGVDFAEVYRRSNLFQHNKELVESLSKPSTNSKELNFPTKYSQTFFEQFLTCLWKQNLSYWRNPQYTAVRFFYTVIISLMLGTICWRFGAKRGTQQDLLNAMGSMYAAILFSGITNGTAVQPVVSIERFVSYRERAAGMYSALPFAFAQVVIELPYVFAQAIIYCAIFYSTASFEWTTLKFAWYIFFMYFTMLYFTLYGMMTTAVTPNHNVASIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPVAWSLYGLFVSQYGDDDSLLKLADGTHTMQVRQFLKEGFGYRRDFLSVAGIMVVGFCVFFSIIFAFAIKSFNFQRR >ONH98271 pep chromosome:Prunus_persica_NCBIv2:G7:20642031:20643226:1 gene:PRUPE_7G239200 transcript:ONH98271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVAPQSPFEKNSLTSIDQLALAKRCSHEGVKAGAKAAVVATIATAIPTMASVRMLPWARANLNPTAQALIISTVAGMAYFIVADKTVLATARRNSFKQAANREA >ONH98066 pep chromosome:Prunus_persica_NCBIv2:G7:20063088:20066307:-1 gene:PRUPE_7G226700 transcript:ONH98066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGNRKDESVVLNSTNVFAALGSLKKKKKSEKGSSKSSKSGTAQNPEEAEKEVFWAPAPLNVKSWADVDDEDEDDYYATTAPPELGWAGEDSKVAKETEHEAEHVEVGELVSESEEEGLDEVDDVDEEHENELEAPVETEPLKKPLEASPAPKDTERQLSKKELKKKGLEELEAVLAELGYGAKSETGGQDDSSGIAQEKKVENLNGEVDKKENATGESKSAKKKKKKDKSLKEPKESPDQPDGIDVAAADEDAGTDKGENTSAGDVKERLKKVASMKKKKSSKEMDAAARAAASEAAARNARLAAAKKKEKNHYNQQPVR >ONH95363 pep chromosome:Prunus_persica_NCBIv2:G7:10456396:10458225:-1 gene:PRUPE_7G066200 transcript:ONH95363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPLPKTHHHQLIPNFTLPKPPQNPLNQHSFEQNYRHICNLLLSLTQSRALPKGLQLHSHVLKSGFQTIPLISHHLINFYSKNQLPLHSRQIFEEAPEKSSTTWSSVISSFAQNELPVLAIEYFRRMLGTPLRPDDHIYPSVTKSCAILSRRDVGQSVHGFAVKTGFEFDVFVGSSVVDMYAKCGEIRDARKMFDDIPHKNVVSWSGMIYGYAQVGEDEEALRLFKQALVQNLDVNDFTFSSVIRVCGNSTLLELGKQIHGLCFKTNFNLSSFVGSSLVSLYSKCGVIEGAYRVFDEIPVKNLGMWNAMLIACAQHVHTDKALDLFKQMGSAGMKPNFITFLCVLYACSHAGLVEKGQYYFALMKEYGIEPGEQHYASLVDLLGRAGKLEEAVKIIEEMPIEPTESIWGALLTGCRIHGDTELAASVADRVFELGPVSPGLHVLMSNAYAAAGRFEEAAKARKMLRDRGMKKETGLSWVEEGNKIHTFAAGDRRHMRTKEIYLKLEELGEEMEKAGYVADTSFVLREVNREEKDQTIRYHSERLAVAFGLITFPPDRPIRIMKNLRICGDCHTAIKFMSKCSGRVIIVRDNNRFHRFEDGKCTCGDYW >ONH98726 pep chromosome:Prunus_persica_NCBIv2:G7:21849858:21854389:-1 gene:PRUPE_7G264100 transcript:ONH98726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASFDYNAPGAAYTLKWTFHNELGLVFVAVYQKILHLLYVDELLAMVKHEFSGIYDPKRTVYSDFGETFRQLKKEAEARAEELKKSKQVGKPVNNSKKQGQVQKAGLGGDKKKNEGGLASDGGDGDTMKGRRLENGNSNGNHVDIKESNVFGTANGKENTSSNLGAFDVNKLQKLRSKGGKKAEKTVTVDSNGSKAEPKKKITKKNRVWDDSPPESKLDFTDPVDENGDNNTEVVAADHGESMMDKEEDFSSESEAEEEEEGEVGKESKPDKQKKGWFSSMFQSIAGKANLERSDLEPALKALKDRLMTKNVAEEIAEKLCESVATSLEGKKLASFTRISSTVQAAMEEALVRILTPRRSIDILRDVHAAREQRKPYVVVFVGVNGVGKSTNLAKVAYWLQQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATNNGSDVVLVDTAGRMQDNEPLMRALSKLVNLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSSNPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >ONH98373 pep chromosome:Prunus_persica_NCBIv2:G7:20998397:21000802:-1 gene:PRUPE_7G247200 transcript:ONH98373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASQSVQLKDDEYKLDESGKRKKIIKDDDHKVVEDRISELPYEVVVSIVSLLPLREAVATSILSRRWRYVWSSTTTLNLETVNFEDPETVNYFCQLDYDKRDQEEGQKYVNWVNHVLEQHSGQSIERFRVIFFLDNEFSSSIDKWVQFAMEKRVQTLELDLLTTGGGWHDDDYTFPYKLLGMEKEEFASNGIPSLGSGGYYNNIGFKSLKVIHFRHVGVTGEVVEFFLSNCPLLERLSLDVAKNLVNLRVVGPSIALKYLEIKYCQRLRNIEICDSNIVSFIYCGSLIPLHLKNVPLLVDVTYYKWKAYAEFTRIVFSQFSPCLSHIEILKLDIREAVYNQNHVLPILANLRHLQLLVDADYHWSLGRLASFMKASPYMQRLVLGLNLKTSNKKMAKIEKAAECPHYYLKEVEISGYRGYKCCVKHVMYLKKNVVALEKIVINPVRFWCAPSGYEINVLKVDEEQDARDHAIQYLKQKMPSTIEYVCL >ONH95097 pep chromosome:Prunus_persica_NCBIv2:G7:8977050:8977837:-1 gene:PRUPE_7G051900 transcript:ONH95097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDDLPPQAEVVSLYKTNNIPRMRLYDPNPAALEALRGSNIKLLLGPSHRKCMVPKNVRNYANVKFKYIAVGNEVKPSDSFAQFLVPAMQKLQKAISLAGLANKIKVLTAIDTGVLGGTFPPSIGSFKSEYNALLHPIIRFLVNHQSPLLVNLYPYFAYCGNTQDIRLDYALFTAPSVVVQDGNFGYRNLFDAMLDGVYAALEKAGGGSLKVVISETGWPSAAGTATTIDNARTYRRNRKRGLVYLSEK >ONH98654 pep chromosome:Prunus_persica_NCBIv2:G7:21663993:21666049:-1 gene:PRUPE_7G260400 transcript:ONH98654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFALLGLVICINLCCSCENKMSLLTKSDSIHIREVWNDNLEKEFELIRKIVDDYPYIAMDTEFPGIVLRPIGTFKNSFDYNYQTLKANVDLLKLIQLGLTFTDENGNLPTCETDKHCVWQFNFRDFNPNEDVYANDSIELLSQSGMDFKKNNEKGVDAVRFSQLLMTSGVVLNENVVWVTFHSGYDFGYLLKLLKGETLPDTQMGFFDMIKVYFPTIYDIKHLMRFCNSLHGGLNKLAELLDVERIGICHQAGSDSLLTSCTFMKLKETFFSGNPDKYAGVLYGLGVENGYNSH >ONH98116 pep chromosome:Prunus_persica_NCBIv2:G7:20222211:20224692:1 gene:PRUPE_7G230200 transcript:ONH98116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTGNKNIQAKLVLLGDMGTGKTSLVLRFVTGKFLEYQESTIGAAFFTQVLSLNEATIKFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDITSMESFVRAKKWVQELQRQANPTLIMFLAGNKADLEEKRKVGFEEGEQYAKENGLVFLETSAKTAQNVNELFYEIAKKLAKASPSRPTGIKLHSRSQQNSRRMFCCS >ONH97770 pep chromosome:Prunus_persica_NCBIv2:G7:19167315:19170071:-1 gene:PRUPE_7G208900 transcript:ONH97770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTVKQFLFTLHLGLSLLLLVHAQDDQSEFISIDCGLQKFTYTETTTKILYISDASFIDTGESKSVLNEFRDDYQQPYWSLRSFPEGTRNCYNINVTSGIKYLIRASFVYGNYDGQEKASEFELHLGANLWESIRFENASVAAAHKELIHVPLRSYIHVCLVNTSSGVPFISAIELRPLLNASYPTQVGSLALEMRFDTGQVPTDFEGYRYPFDVHDRFWYAYDRDDWTQLSTSQTIDSGFSNDYQPPSIVMHTAATPRRENASLVFFWLPADDKASYYVYMHFAEVEKLRANQSRLQYITRNGLVFYELFAPDYLYTNTIFSPAALSGGQYNFSIRKAENSTLPPIINAIEIYMLKEFLELETNQEDIDAINIIKSTYKIKKNWQGDPCAPQAYLWEGVKCSYPQNESPRIISLDLSNNNLTGPIPDFLSQLPDLNKNKLTGSVPVGLIERRKNGFLSLRSAM >ONH96343 pep chromosome:Prunus_persica_NCBIv2:G7:14506079:14508015:1 gene:PRUPE_7G122100 transcript:ONH96343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTSKVEVIIRPMLLKTGIPLALSVVGFICAKLMAKRSLNPKESLSEADDQVLRDGDSFHSFSSTCVFSMEDHEPIIMDANVMNLAESLEIGYNKHELEEEFSFLRIRLDDLQNRESELEMQFIHYCYLEEKKSVVVELRNMLLLEMAHVEFFNREFSSMEAESQRLEKLVVEYLRILEQLEYWKSENGFLQRKVKKLLRKASRQSRIMQKQDLKLEAWEAEVLRIYDVLETRTKVIKKLEDEVGELRVVLGQVQDEKNVLLAKLELAEKSASSISKIGGEGIKIEVLKKEIEQLQKDRAAELKELVYLRWSNACLRHELMRNQAQEEQQDQEKNNNLATDFEGSGEIADYGLASMVLEHNEPCFGIVSGDQACSERQKLFQRLRRLVEGSDKAKGRRLGEKERNEEVKCFGRHSVSADAEAHHIARSSCASA >ONH95261 pep chromosome:Prunus_persica_NCBIv2:G7:9891678:9895669:-1 gene:PRUPE_7G059800 transcript:ONH95261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKCGCPVVLDLNNTFRFMELGASSSSSRLLNSSNQLSCFTSVRSKSQRPLDPSCRLQCLHHSYPSLALPLSRRDCALRVKATIKDDTNVVTTEEKVNGDCSAEIEHSILTALSPLDGRYWGKVKELAPYMSEYGLIYYRVLVEIKWLLKLSQIAEVTEVPGFSEDAQSYLQGIIDGFCISDALEIKRIEKMTNHDVKAVEYFLKQKCISHPEIAKVLEFFHFACTSEDINNLAHALMLKGAINNVILPVMDDLIEAICGMSKDNASVPMLSRTHGQTASPTTLGKEMAIFAVRLSIQRHRISEVEIMGKFAGAVGNYNAHLAAYPNIDWALIAEEFVISLGVSINHYVTQIETHDYMSKVFNAFNRFNNILIDFDSDIWRYISLGYFKQTTRAGEIGSSTMPHKVNPIDFENSEGNLGIASGNLSYLSEKLPKSRLQRDLTDSTVLRNMGVGLGHSVLAYRSTLQGIAKLQVNEARISEELNQSWEVLAEAIQTVMRRYSVPEPYEKLKELTRGRTVTKERIKEFIKGLELPEEPKTVLSKLTPHSYVGAAVKLARMVDTAVRATRKNTNVSTEKIKMVSGKSSCESELVNLMALSPLDGRYWGKVKDLTPYMSEYGLIYFRVLVEIKWLLWLSQIPEVTEVPTFSENARSYLQEVIDGFSTNDALEIKKIEKVTNHDVKAVEYFLKQRFQSHPEIAKVLEFFHFACTSEDINNLAHALMLKEAMNSVIFPVMDGLVEAVCNMAKDNAHISMLSRTHGQPASPTTLGKEMANFAVRLSRERREISRVEIMGKFAGAVGNYNAHLIAYPDINWPQIAEEFVTSLGLIFNPYVTQIEPHDYMAELFHAISQFNNILIDFDRDIWDYVSLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGVANGNFCHLSMKLPISRWQRDLTDSTVLRNMGLGLGHSLLAYKSTLQGISKLQVNEGCISKDLNLTWEVLAEPIQTIMRRYGVPEPYEKLKELTRGRAVTKESIVDFMQGLELPNEAKSNLLKLTPHSYVGAAVELARTVDSAVKVL >ONH97507 pep chromosome:Prunus_persica_NCBIv2:G7:18399857:18401416:1 gene:PRUPE_7G193400 transcript:ONH97507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGTKIPSFETIGAIVAGSWCVSKLFWFESFKFMWAFAKKYKLPSTLLSEQGNKVRKWGVPSDLFGTLPGRQMQQPVTTSEAYTDETLKLLQSL >ONH96761 pep chromosome:Prunus_persica_NCBIv2:G7:16199256:16204995:1 gene:PRUPE_7G150600 transcript:ONH96761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEAPAMAGHEVLPVSRGEACNSCPTSSLENIEKGYCGSAPSFDPSWLIDPSCVEIGPKITEGPRSTVFQGLYKSKPVAVKIIEPTKESTELKEKFQREVILLAKVKHDNIVKFIGACFEPSLMILTELMRGGTVQKHLRNIRPQTLDLKLSISFALDISRAMEYLHANGIIHRDLKPANLLLTEDMKQIKLADFGHAREEIAGAMTSEAGTYRWMAPELFHTEPLPRGVKKQYDHKADVYSFSIVLWELLMNETPFRGRASIMAAYATAKAVRPSLDDIPEQIIPLLESCWAHDPKTRPEFMEITDFLSNLFKELCSTEAEPPKVVETEVEHPENCNVKKEESAITNHVIDRPEEKKKGKRRTKSRSLSFLRCFVCKCWCG >ONH94898 pep chromosome:Prunus_persica_NCBIv2:G7:7064875:7065063:-1 gene:PRUPE_7G038100 transcript:ONH94898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCSRLRVRTPGTLARSAGCHPILFTRKSILLTIFFSDKYIQVGFFLKANKISSSVQPHLL >ONH98686 pep chromosome:Prunus_persica_NCBIv2:G7:21758784:21761076:-1 gene:PRUPE_7G262000 transcript:ONH98686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQKDRVRFNVGGRIFETTATTLANAGRNSMFGAMFDENWNLQSDNSNEYFIDRNPDCFAVLLDLLRTQELHIRANMSEKLLYREALYYGLLDHVRSAKWGPFDGNRLWLSKTVAGQAPGDGTAIRAGPDGGCCVAHGCMVHVYDWMLDEHPPINLDYQRVNDVGWVDSENIVISVCERLGRGDGGMGLFSSSNGELRYKFQVSHENQVKSFTAGALCFGSDYKIFSSCKGRSNEYGVGVWDQITGKQTDFFYEPSGWSLGDADKLQWLQGSNCLLVATLFPRKDNCYISLLDLREKKMVWSWSDIGAPITVDEKRVRDAIAMEESNSICVVNEYEDLGFIDLRSSGGSVRWSSRSRLMKGKMPDEPCYPKLALHEGQLFSSMNDSISVFCGPDWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDIWETPPPPII >ONH94862 pep chromosome:Prunus_persica_NCBIv2:G7:6624197:6626309:1 gene:PRUPE_7G036100 transcript:ONH94862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLQHVPEGRYLLRNAIVFKGDNCKSTDITFRIDGTLIASSDYRILGQSFNWLSFEGVTGVSIIGGALDAKGTALWACKLAGRTDCPNGGATSLSFTNSKNINFNGLMSLNSQMFHIARIKGVKVNAAGNSPNTDGIHVQLSRNVAIFNTSIKTGDNCVSIGPGRKDLWIEQITCGPGHDISCSGYSKWFEDWARPSNGFVQGVQFLDVVMINVQNPIVIDQNYCPHNINCPAQVSGVKVSDVICRNIQGLSATIVAINFDCSATNPCSGIRLENVSLTCRNQELQSHCANAIGKIVGTVQPNSCL >ONH98802 pep chromosome:Prunus_persica_NCBIv2:G7:22001928:22003318:-1 gene:PRUPE_7G266800 transcript:ONH98802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTGRNTQEAKIPVVDFSKIINNTSSSTTSSSSSWAEARAQVCQALEEYGCFVATLSDADADEYNKIFGSLDELFGFPKEIKLQNTYDKPFRGYHSPNDVHEGLGIDDPTNLPAIHNFANLFWPNGNHPNFSESADGYAKVVVRLDETLTRMIFEHYGVDNKLCESHIGATDYVLRLHKYDGAGAAGKEHMALPEHTDMNLTTIIHQNHVNGLEVKIANGEWVPFDASPNSFIFMTGDGFQVWSNDRIKPCVHRVMMSEKEVRYSVLLSTFHSGTISVPEELIDDQHPLRYKPLNHLQYLASQLGQNYRVCVNNFCGL >ONH97032 pep chromosome:Prunus_persica_NCBIv2:G7:16977559:16979949:-1 gene:PRUPE_7G165700 transcript:ONH97032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLCDSCESAAAIVFCAADEAALCPACDEKVHMCNKLASRHVRVGLATPSEVPRCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHGRYLVLRQRVEFPGDKPGNVDDPASQPIDLGETRRVQHQPPRMTIGENQQNHRASPIRISDANADGHVKMDTKLIDLNMKPHRMHEQASNKED >ONH96759 pep chromosome:Prunus_persica_NCBIv2:G7:16187470:16191011:1 gene:PRUPE_7G150400 transcript:ONH96759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRKKSIKKVVEDVVVEQLSDESKGDFRPRTFTELFKKLPFPDQNHFLTTVIKKFKRQSQIGELISWFTTELDANDQQQLIGKLIHVKADDETNDGFRLRRFTQLCKDYTDYVYMHFVACREEYGTKPKHTQQTSGTHEGGLDWQSRFNEFFLGFSIIWFKGQSSHGQWQLIDQLCDGVKGVVAEKLADESDSDFKLRRFTELCKKVVDFGVQVHFLDKAEEQLLHKRRDLPHRVLVQPQDSASAAELLSQLSSFGFSLLSPNDQQDNIERISNELAAEGVNNNIVERLAGETEEDLRRKTFAKLFNKAPVATRVHLFGAFTEKFFVERRLCDSILLFRQLDSKEQQEKIENMLDQFMGWEGEDVKDVILERLSEECDENFRLRRFIKLGSTYTFLLDHFIWDEAKKWDLKGLDMQASGKEKIEEIKQYRHAEFYLGFSIIWFKAVCASDPRNIIQVFFGKVGGGGDHTIEKLVNETEEDFRLRRFTESFERLQFRFQEDLVKCIQMTLLHDLGVGVKFIMMGTPNLRGSGGVTADQGGVTAANSR >ONH98825 pep chromosome:Prunus_persica_NCBIv2:G7:22037598:22041288:1 gene:PRUPE_7G267300 transcript:ONH98825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARGAAAQSADTVMSEAAPPPAQADSTHPQQQPPPPHPVMPGVESIPATLSHGGRFIQYNIFGNVFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPRRDQFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSETELGFLNENAKRYIRQLPPHRRQSLTEKFPHVHPSAIDLVEKMLTFDPTKRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALTEEQMKELIYREALAFNPEYQPQ >ONH97525 pep chromosome:Prunus_persica_NCBIv2:G7:18485301:18487810:-1 gene:PRUPE_7G194800 transcript:ONH97525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSETLEVSGQRGLRMAEKPSSFTQTCSMLCQYLKEKGSFGDLSLDMACNMQQSNGTGTPEMFHQKAPPVNFFPFMENSRNLPATPGDFKSMDLFPQQAGFGSSVPRGDVPKMADSSVKKSVPGEPQKAQMTIFYGGQVIVFDDFPADKAKEVMLLASKESSHSQAAQASIPAKSNNVFASHLGKNPMNSSSSVPPSANMFPKFGNQVIQEAPKPSPQPIVCDLPIARKASLHRFLEKRKDRINNKAPYQTSSPAAGPAKPAEGKSWLGLAAQPTQ >ONH97526 pep chromosome:Prunus_persica_NCBIv2:G7:18485301:18487553:-1 gene:PRUPE_7G194800 transcript:ONH97526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSETLEVSGQRGLRMAEKPSSFTQTCSMLCQYLKEKGSFGDLSLDMACNMQQSNGTGTPEMFHQKAPPVNFFPFMENSRNLPATPGDFKSMDLFPQQAGFGSSVPRGDVPKMADSSVKKSVPGEPQKAQMTIFYGGQVIVFDDFPADKAKEVMLLASKESSHSQAAQASIPAKSNNVFASHLGKNPMNSSSSVPPSANMFPKFGNQVIQEAPKPSPQPIVCGNFLFL >ONH97203 pep chromosome:Prunus_persica_NCBIv2:G7:17481601:17484278:1 gene:PRUPE_7G175600 transcript:ONH97203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSVNPQSSKLFSLLPAKPLSLTTTPHKLAPPTTIKFQRKLHTLLPSVNSSYSIQNDSFEEDHVIGDCVVFEEGIFDDPYLQNNTKVDTFNPTKAKPRKAIDEIGSENLVPDQWREVQAEINITKKERRKIAQEVQFGTRVEKRKKGLEPIRDLNLEEYLAYREAKLNQLKPLVLDDASGLAQVEYAKAKELKDNEGNDGSLSERVAPKNPRWAVYGKGLEDVTEFFNSEHYEPGAKKSEGPRKLFTKEEKAMLNKRKPDIAAATSIKWLPLHTLAASGEFYLMDALLKHNADINAVDKDGWNSLRKAILGKNQAITNYLLRESANPFIRDKDGATLMHYAVRTASSQAIKILLLYNVEINLQDNDGWTPLHLAVQGRRTDVVRLLLIKGSDKTLKNKDGLTPLDLCLYSGQETRTYELIKLLKLLPKPR >ONH96915 pep chromosome:Prunus_persica_NCBIv2:G7:16641631:16643087:1 gene:PRUPE_7G159400 transcript:ONH96915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLNNVMPETASSMFSAYASFATFMMVFRSMANDFIPDPLRTYISSSLSYLFSPLSGLFSFYLTLAFYEYSDMSRNQVYDAAAVYLDKKIGPATQRLRVSKTPRKKSMGVAIDRDEEVIDTFDNIKLKWRLLSFRKKHKERVMGSYLPHVLAQGTAMKQEEKVLKIYTRHILSWEDVVEDGNTEWGSLNLEHPATFETMALEPDLKRTIVEDLERFVSRREFYKKVGKAWKRGYLLYGPAGPGKSSLIAAMANFLKFDVFDLELASIRSDSHLKRVLLTLTAVTLSGLLNFIDGLWSSCGDERIIVFTTNRKEKLDPALLRPGRMDLHIHLSYCTTSGFRILASNYLGIRDDNRPLLCGEVEGLIKSTEVTPAEVAEELMKSDDADVALQGLVNFIIKKKIETE >ONH94455 pep chromosome:Prunus_persica_NCBIv2:G7:2571427:2576209:1 gene:PRUPE_7G017700 transcript:ONH94455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIESLDPQILLGATVAIVVIVAAAYLFTSKKAKGSLDAENFKDFKLVKRTQLSHNVAKFRFELPTPTSVLGLPIGQHISCRGKDSQGEDVIKPYTPTTLDSDVGYFELVIKMYPQGRMSHHFREMRVGDYLAVKGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVARAILENPKDKTKVHLIYANVTYEDILVKEELDGLTSNYPDRFKIYYVLNQPPEVWDGGVGFVSKEMIQAQLPAPAHDIQILRCGPPPMNKAMAAHLEALGYAPEMQFQF >ONH98310 pep chromosome:Prunus_persica_NCBIv2:G7:20775337:20776916:-1 gene:PRUPE_7G242100 transcript:ONH98310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSEGVVKKVLLSYAYVAIWIFLSFTVIVYNKYILDHKMYNWPFPISLTMIHMGFCSSLAYLLVRVFKLVEPVSMSRDLYLKSVVPIGALYALSLWFSNSAYIFLSVSFIQMLKALMPVAVYSIGVVFKKDPFKSDTMLNMVSISVGVAIAAYGEAKFNSWGVALQLLAVAFEATRLVLIQILLNAKGISLNPITSLYYVAPCCFVFLCVPWVIVELPVLRETSSFHFDFVIFGTNSVCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPVNLIGYLIAFLGVAYYNHAKLQALKALEAQRKSQQDNLEAGRLLEERDGEGTGKKNETQD >ONH96457 pep chromosome:Prunus_persica_NCBIv2:G7:15019087:15021060:1 gene:PRUPE_7G130700 transcript:ONH96457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIRHISTSTIRPTNHNDQLNHRIQLTPWDLRLIQLDYIQKWLLFQKPTEQQLDTTPTSHSFSNLEHLLPTCRPPSPEEEHSNGLVQHLKASLSLALNVFYPLAGRLAVTENEDKTTTCISIDCNGAGSHFDHAAGDSVKVAEVLNPVYIHDHVVCNLFPLNAVRGYEGVSKPLLAVQVTEHVDGIFYRLQHKPCGCGRLTDLPIRLPFPCNEIMSKQHMAAPPSDSSKRAVFHFSKEKVAELKAKANAEMGTNNISSLQSLMAHNWRATTRGRRLHPNQEVSYLTATGLRQRLKQMLPKEYFGNAVLGVTVRYTAAIASLTPEQVSKYLENWVKAPTFLSIQWDPKSVGLLTGSSPRFNVYGNDFGWGRPVAVLCGASNNMNEKFTVFPGAEEGSIDFEACLLPETLQAMLDDAEFTESVIT >ONH96785 pep chromosome:Prunus_persica_NCBIv2:G7:16267012:16268793:1 gene:PRUPE_7G151800 transcript:ONH96785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIPLRHLRQLSTTAKSSISISQAKSKLRTEYDPDKALEIYSSVSEHYSTPTSSRYAQDLTVRRLAKSHRFADIEKLIESHKNDPKITQEPFLCTLIRSYGRSGMFDHAMRTFDQMDQLGTPRSSLSFNALLTACTNSKQFEKVPQLFDEIPNKHGVSPDKVSYGILIKSYCAADKPEKAIETLRLMEEKGIEITAVTFTTIFNALYKKGNGEEAENLWNEMVKKGIEVDAAAYNVKIMYVHGGNPDNVKALIEEMANAGLKPDTISYNYLMTCYCRNEMMEEAVKVYEGLEGNACNPNAATFRTLIFYLCSSEDYDKAYKIFKRSVEVHKIPDFNTMRHLVEGLVKKKKMKEAKGLIRTIKKKFPPNLLVAWKKVEEGLGLASSDRNASSVPDNDEAKEATA >ONH97250 pep chromosome:Prunus_persica_NCBIv2:G7:17649496:17652226:-1 gene:PRUPE_7G179700 transcript:ONH97250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDRYRSLKRIQEEFYQMQSNPSDDFKCCLLERNPYEWQFAIRGPSGTELEGGIYHGWIQFPEEYPSKPPSFTLLTENGCFKTQNKTGIRRLNYWQPSWRVRDGLLALIDEMHTYPDGELDSVEYNREERRDLAIKSRAAAPKYGTAERQKVIDEIHEYLLSKSPPIPVPQVRRTRNVPCQEEVVVDRVGSTQMELDRSVIVEDKSNIQNSGEKRILEEYNEIESNPSNDFKCLKLDWNPYEWQFAIRGPSGTEFEGGIYHGVVQFSEGYPSKPPSIMFLTKNGRFKTQTDISARLLLNWQSPRIVRNALLALIEEMPTYPDGDGELDSVKYNKNIMRVLAINSRIAAPKYGTSERQKVIDAIHEYMLSKAPPVPQLQLSSLSQNGAERKHGRGTGSVFNNIIGNKVHATNGRVRFLRSMNGPPEPMIAKIFGLVLLFSSILYGILSGRRR >ONH95467 pep chromosome:Prunus_persica_NCBIv2:G7:11043000:11045407:-1 gene:PRUPE_7G072900 transcript:ONH95467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKARNPRKTRNPDLVRGVGKFSRSKMYHKRGLWAIKAKNGGVFPRHDAKPAAVTQPQKPPKFYPADDVKKPLVNKRKAKPTKLRASITPGTVLIILAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQAYVIGTSTKVDISGVNVEKFDDKYFAKEVEKKKKKGEGEFFEAEKEEKNVIPQGKKDDQKSVDASLIKSIEAVPDLKTYLAARFSLKSGMKPHELVF >ONH96329 pep chromosome:Prunus_persica_NCBIv2:G7:14428432:14431463:1 gene:PRUPE_7G121000 transcript:ONH96329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSNLTEGTNGLGHGPGLGDIPESCVACVFMYLTPPEICNLARLNRAFRGAASSDSVWESKLPPNYHDLLDLLPPQMYQNLSKKDIFALLSRPIPFDDGNKLVWIDRVTGRVCTSVSAKAMAITGIEDRRYWNWIPTEESRFHVVAYLQQIWWFEVDGVVKFPFPADIYTLSFRLHIGRFSKRLGRRVCNFEHTHGWDIKPVRFELSTSDGQQASYECCLDQIEHDDAYGNHKRGCWVDYKVGEFTVTDSDPVTEVRFSMKQIDCTHSKGGLCVDSVFIVPSDLKGRKRIGVLK >ONH97398 pep chromosome:Prunus_persica_NCBIv2:G7:18103116:18106693:1 gene:PRUPE_7G188000 transcript:ONH97398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEPLSLGNDVIEFDMMGLGDIDHSVDHDDVLHDSPPTAIPTPSVSDVVGSAAIFEPYIPEGDTNLEPYEGMEFESEEASKAFYNSYARRTGFSTRVSMSRRSRRDGAIIQRSFVCAREGFRVDKHGKPGSDREGRVKRPRPETRVGCKAMLVVKIQDSGKWVVSAFVKEHNHELVPPERVHCLRSHRHVSGAAKSLIDTLQGAGIGPRGIMSALVKEYGGIKNIGFTERDCRNYMRSSRQRTLGGDTQLLLDYLKDKQAKDPAFFFAVQGDEDQCMSNVFWADPKARTDYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCALLINESEASFLWLFKTWLIAMSGRPPLSITTEHDRVISLAITQVFPDTRHRFCKWHIFKECQEKLSYVHCEHSNFEAELHKFVNLTESVEEFESCWFSLIDKYDLREHQWLQLIYADRRQWVPVYLRDTFFAEISITQRSDSMNSYFDGYVNASTTLLLFVKQYEKALESRYEKEVKADYDTINTAPILKTPSPMEKQAAGLYTRKMFMKFQEELVETLTFLAMKVEDEEAGSIYQVSKFGESHKAYFVRFNVHEMKAFCSCQRFEFSGLLCAHILTVFRVTNVLTLPPHYILKRWTRNAKSGVILEEHASDLLNKSQESLTVRYNNLRHEALKYVDEGVKTVEIYNVSMDALEEAANRVSLAKKNDGRLAIISRAGRDDGFHLGSRSNTFGDHKWGFETLSADEQDKKIQKLERQLDRAQRKCELYRASLLSVLKDIEEQKLQLSVKVENIKLGMKESSIV >ONH96902 pep chromosome:Prunus_persica_NCBIv2:G7:16609874:16611069:1 gene:PRUPE_7G158500 transcript:ONH96902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRTVLKVDISCLKCKKKLIKAVSGLEGVDKVEADAAKGTLTVTGECDPYEIIVRTRKVGKFVEVVSIGPPPAPPKPPEKKPEPKKEKPDPRPQHICMPCDYAIVRACDEPNPSCSIM >ONH98026 pep chromosome:Prunus_persica_NCBIv2:G7:19924570:19925758:1 gene:PRUPE_7G223800 transcript:ONH98026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQEDREEPGCADGEMTNGTEGAYLLLFLKHTILNPETARDLEHMKRKILSGIELNSPSRSKRKALRIQEPEAPRARESTNGAARGRSSPRELQFQQQERGGLFYGNYAPPDLPPIPSLQNLIQDCSKPFEKQLTRSDVKEDQCRLSMNKEDVEYNIMPLLRNGDNFNEGIDVTTYDMAGTEYPMVFKIWATKIHVLTGGWKTFCNDLGLVENQDFVTLWVFRHVDNGGLCFAIHSRRLPVFKPIKKRRPIRQKYH >ONH94813 pep chromosome:Prunus_persica_NCBIv2:G7:5895457:5896991:-1 gene:PRUPE_7G031900 transcript:ONH94813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTRSCFCRVALLILYILHQSCVAKSTLIFNVLSFGAKPNGVIDSTQAFLDVWTVACASSDSTTIRVPKGRYLLRNAMVFKGDNCKSTDITFRIDGTLIASSDYRVLGQANNWLSFEGVTGVSIIGGALDAKGTSLWACKLAGSTDCPNGGATSLSFTNSKNININGLMSLNSQMFHIVINGCQDVRIKGVKVNAAGNSPNTDGIHVQLSTNVAIFNTSIKTGDDCVSIGPGTKDLWIEQITCGPGHGISIGSLAKDLEEEGVQNVTVKNAIFKGTQNGLRIKSWARPSNGFVQGVQFLDVVMLNVQNPIVIDQNYCPHNINCPAQVSGVRVSDVVYRNIQGTSATVIAIKFDCSATNPCSGIRLENVSLTCRNHEVQSHCANAKIVGTVQPNSCL >ONH94437 pep chromosome:Prunus_persica_NCBIv2:G7:2352963:2354463:1 gene:PRUPE_7G016500 transcript:ONH94437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIKTSLLAFFFLLPLVLADLRVGFYNATCPQAESIIQQVVQKQFATDSSITGGLLRMHFHDCFVRGCDASILIDSTPKKPSEKSAGPNLTVRGFELIDEAKKRLEAACPSTVSCADIITLATRDSVVLAGGQSYAAPTGRRDGLVSNPNDVNLPGPSFSVSQALQAFTAKGLTLNDMVTLLGAHTVGLVHCNFFQDRLSNFQGTGSPDPSMDPALVAKLSKLCASGNNPTTFLDQNTSSTFDNQYYNQLLLKRGILQIDQELASDRSTTGIVSGFASNGVRFSQSFATAIVKLGSLQVLVGNAGEIRKNCRVFNPK >ONH97024 pep chromosome:Prunus_persica_NCBIv2:G7:16958089:16959076:1 gene:PRUPE_7G165100 transcript:ONH97024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHKLGFVEDASFESFIFDEQYNTFHKYGYAVDPSSSAGYNYIGDFEALQKNDAVSVYNIPQHEQKKKRKIEKRNELEEAEGVDDDMDLDGLGFFEFIFLKFMLFYYFMYKKFG >ONH96941 pep chromosome:Prunus_persica_NCBIv2:G7:16717916:16718749:1 gene:PRUPE_7G160900 transcript:ONH96941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGSSLQSNYNMGSLTSLPKDYQHTPTVNRPSRNPGEGDGKGGPNDRLVRIRNIFTLCGNYRELSQHVTTPAPTVASVKSENEQKLTEEINKSRVCDEMALASKENYNPSLMRILKCM >ONH98314 pep chromosome:Prunus_persica_NCBIv2:G7:20786832:20788723:-1 gene:PRUPE_7G242300 transcript:ONH98314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQKTVKSNDLVSLSQKCKTLNQLKQIYAHLLTCRLPENPYSIAPLLSASATSKDASLFCYACSIFKHHHHRNTFMYNTMIRGYVLQSHTPIPAILCYLDMLNYGFVPNNYTFPPLIKACTVLIPNSKLSGRLVHGHVVKFGYRDDPFVLSSLIEFYSVIHDMETAKLVFDKSPKRDVVVWTAMIDGYGKAGDVENARALFDEMPERNAISWSAMMAAYSRVSHFREVLSLFRQMQEVGTKPNESVLVSVLTASAHLGAVTQGLWVHSFAKRYNLESNTILATALVDMYSKCGYVEAALWVFEGISNKDARAWNAMIAGVAMNGDARKSLELFNKMVEYGGVQPTETTFVAVLTACTHAKMVDKGLELFDQMGNLYGVKPGLEHYGCVVDLLARAGLVEEAEKFTEVKMGGLRRGDVNVWGALLAACRVHGNVEVGDRIWKKLAEMGVADCGIHVLSYNMYKAAGMELEANRVRNMISEAGMKKKPGSSVIELNGVAEEFLIGDVCHPQAEEIVNMLDSLCKMVNLEG >ONH97241 pep chromosome:Prunus_persica_NCBIv2:G7:17621871:17624772:-1 gene:PRUPE_7G179200 transcript:ONH97241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEHQLSAEAGSKFNQLCALRSNDIADEACSHVRAFPLLNQAATLAPNVPATHELHFAVPMTGAVLWTLNARLDSAMLSVLLLSHSEARIVFVDYQLLEIAQGTLDLLTQKTDTKPPILVLIAVSEGSSDTSPTICTSNTYEYGSLLETGDGGFEIRRPRSEWDPISVNYTSGTTPRPKGYRGAYLNALSTVLLHGIGSMPVYLWTVSMFHCNGWCLTWGVAAQGGTNICLRKVNPKHIFDNIVQYNVTHMGGAPTVLNMIVNSPVSDWRPLPRKVEIMTGGSPPMPQIVFRMEELGFGVNQLYGLTESYGPGTYCSLKPEWDSLPSIEGSKLKARQGVQHLGLEEVDIKDPVTMESVTPDGNTVGEIMLRGNTVMSGWLGSGDLAVKHPDNYIDRSEGPVERYNHIRGREHKYS >ONH95510 pep chromosome:Prunus_persica_NCBIv2:G7:11202504:11205567:-1 gene:PRUPE_7G074900 transcript:ONH95510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMTRLQKLTTASVLKWVSSSFAENHLRLSRTPLKPIFPNVQTLRLYSSRRGLETENSVNLENTLTSNGENAARISRVIIKEAQAALLDYLHCTRGLQFMDAENMSKNSPHFLEKLLRRVDNENEDEVGWSIARHLRYHPINEFEPFFESLGLKPSEYVPYLPRSLMFLTDDGLLLHNYTVLCRYGIARNKIGKIYKEAIEVFQYDFEVLPSKLQAYEELGISQSALIKFIVASPYLLIGDVNAAFVEVLEILKSSGFETCWIEENLLEEHSYNWSRMLEVLHWFSEKGCSDEQLGVLIGQHPDILFEGSGRTTFSLIGFLLKFGFTMSQIYSMFLQFPKIQVMKFVLNLRNCFLVFNKIEMEVAEIGKIIRSHPLLLGSIAIKKTNTLLTGLNVGKKRLSRYIQENPEELKNLVLGRRVEPLPAAEEDQISKAQKLEFLLDKGFVENSNKMTAALKVFRGKGTELKERFDCIVNAGLDRKDVCKMIEVSPQILNLKKGVIEKKIDFLVNHLGYPISSLASFPSYLSYRTERVKFRVFMYNWLEGQGVVGPRPALSTIVAMSDAKFLKVYVNHHPTGPQVWKDFKSKFYS >ONH95002 pep chromosome:Prunus_persica_NCBIv2:G7:8160002:8161391:1 gene:PRUPE_7G045700 transcript:ONH95002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFTSVNELSIKMLDSVEQGVDKLRDAERRLPTPDGNDNSATSNSSKSKIQSVPRILRSHSNLVKCFEPRVLAMGQIHHGECKEAEELKYTLAADFIKDCGCTYNHLYETIQKNILKIKDCYDSETTKCYDDDEALAWMLFIDGCSTLQFIHKYDCLEDFGMKATQVAFARLDLFLLENQLPYRVLKLLKSTNFKSLMDFVDQKEKEIKNMLRRRRGELKYSTHLLDFLRKEMLGPSEELSYRGGKEDCSPSFRNVQELKAAGVHFRRFLSLPPINEDAMSSFLNLIAYEMCPDFRNDFAVTSYFGFLSSLIAHPEDAKQLRSARILSNLRGSDEALADFFHEIGPDLQHYKTKWKAWFAQFLEDHFSSPWAMLAFIGALIALILSGIQTWYAVLSFYQK >ONH96044 pep chromosome:Prunus_persica_NCBIv2:G7:13355218:13359792:1 gene:PRUPE_7G104200 transcript:ONH96044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVLQCPSPSHFPSPSSSSTPCAPPTPNDGPYPHALINSPTIEDSLQGYLGSEEEEEEEEEEDEEEEEEGEEEEEEERKRKERDREGDQLSLLTLLVTAFRRSLIGGCSNTTSTDTGRGKLSSMEIGWPSNVRHVAHVTFDRFNGFLGLPSELEPEVPRRAPSASANVFGVSTESMQLSFDARGNSVPTILILMQRHLYAQGGLQAEGIFRINAENSQEEYVRDQLNRGVIPEGIDVHCLAGLIKAWFRELPTGVLDSLTPEQVMQSQSEEECAQLVRLLPPTEAALLDWAVNLMADVAQMEHLNKMNARNIAMVFAPNMTQMVDPLTALMYAVQVMNFLKTLIVKTLREREESMVETAPVPRLEPTDEDGHQSTFQPYLKEANKEANKENEEENVFVGEEPDLESPAHSAQDDSTTGTGSQTFLSSIKNIIPGGNWFLADNCPCEVVSQVNSLTNGLQEDGSTGAGREAQPNIWKSKTGQSSGSNLKKGSKKVNEQLMIQTAGPADKSKRTGILSRINSRTELAEGWR >ONH95925 pep chromosome:Prunus_persica_NCBIv2:G7:12833460:12835894:1 gene:PRUPE_7G096500 transcript:ONH95925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTVENPNMVSWGNPLATYSVEPFDLGLKEQRLMRVKISRTGLQDEPEMKVSDLEEMNKQREDGAVSLKMEMFAWATYKKGWWGTEDVMMEAHCLDLRVGFLPKFGFGCCISLRPMTCSTGIVTLLCVAMATSLVPQPPKIKLNSLLVSKINVSNTKLGANFDVAFTIENPNLVSWIYFDHIDGSISYKDNALITYSLDPFVLGLKEHRMMRVKISVNGLQEDQPVVKERVLEEIHRQREDGAVNFSLEMFARATYRTGWWGTKSVLMNPQCLDLRVGFLPKVGFGSWISGGPMTCAVPMLIDD >ONH96209 pep chromosome:Prunus_persica_NCBIv2:G7:13929204:13933785:1 gene:PRUPE_7G113500 transcript:ONH96209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWQATTNWWRIHGTLRTLYLLFLGQVVSFVLALMSVTSSLIATLGVDAPITQTMSTYFGLALIYGSILVYRREKLRVSWYWYLLLGFVDVQGNYLVNEAYQFSSITSVTLLDCFTIAWVIILTWIFLRTRYSLWQLFGAATCVVGLGLVLLSDAGVGGGGGSKPLLGDILVIAGTIFFAMSNVGEEFCVKKKDRVEVICMIGVYGFLVSACQMSILELKTLESVEWSTDIILAFAGYGVSGLLFYTLAPFVLKLSGATLLNLSILTSDMWAVVFRIFFYRQQVDWLYYLAFAVVVIGLIIYSLTEKDPVPVPALENGNPSLEYQVLEDESATTPRNQALTS >ONH96310 pep chromosome:Prunus_persica_NCBIv2:G7:14380450:14382757:-1 gene:PRUPE_7G120200 transcript:ONH96310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGCLDLSRNQRRNYILGLLPEKTCKNRNESSQIEIFPETKQNFRKNTHTKMEHNEVVGFSIGVVLIWVAWSIILLVTLLRRRRLEEVAGHLPPGPRWWPMVGNIFQLGLGPPHESFAMLARNHGPIMTLWLGSMSTVVISSSQVAREMFKNHDVVLAGRKIYEAMKGDYGNEGSLITAQYGPHWRMLRRLCTTEFFVTSRIDAMCRVRAKCIDGMVQFIEDAASEMSTKTDGIDLGRFIFLMAFNLIGNLMFSKDLLDPKSERGAMFFYHAGKVMELAGKPNMADFFPILRWLDPQGIRRNTQFHVEKAFEIAGEFIRERMESMENGGNDGIERTKDFLDVLLEYRGDGVDEPSRFSSSRTVNVIVFEMFTAGTDTTTSTLEWAMAELLNNPKTLKKVQAELRSTISSSDKLQEKDIENLPYLKAVIKETLRLHPPLPFLVPHMAMDSCKMLGHHIPKGTQILVNVWAIGRDPKTWEDPLLFKPERFLEPNMDVDYKGQHFEFIPFGSGRRMCPAVPLVSRVLPLALGSLLHSFDWVLPEGLEPENMDMAERMGITLRKSVPLKVIPIPYQGHVGCSI >ONH98060 pep chromosome:Prunus_persica_NCBIv2:G7:20037836:20040781:1 gene:PRUPE_7G226100 transcript:ONH98060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNDSLNSILIVSQPESLEQYFDLSNGNLSVRGVPLLSEVPSNVTLNPFYSICQPSDDVPLPLLHRVGALSHKGGFLGFNKEEPSDRLINSLGRFSGRDFLSIFRFKTWWSTMWVGNSGSNVQLETQWVLFDVPEIKSYVIIIPIVDGSFRSALQPGTDGHVMICAESGSTQVKASNFDAIAYIHASDNPYNLMKEAFSAIRVHLDTFRLLEEKTVPNLVDKFGWCTWDSFYLTVEPVGIWHGINEFAEGGVSPRFLIVDDGWQSINFDDDQDPSEDAKNLVLCGSQMTARLHRLDECKKFKNYKGGCMLGPNAPSFDPKRPKMLIGKAVELEHAEKARDKAIQSGVTDLSEFERKIQKLNQELNELLGGEESSVSNNGCERSPCGAESYGLKAFTSDLRTKFKGLDDIYVWHALCGAWSGVKPGATHLNAKVTPCIVSPGLDGTMNDLAVDKVVEGGMGLVHPDHANLLYDSMHSYLSGVGITGVKVDVIHTLEYVSEEYGGRVELAKAYYKGLSDSLVKNFNGSGLISSMQQCNDFFFLGTRQISMGRAGDDFWFQDPSGDPMGVYWLQGVHMIHCSYNSMWMGQMIVPDWDMFQSDHLCAKYHAGSRAICGGPVYLSDYVGSHDFDLIKKLVHPDGTIPKCQNFALPTRDCLFKNPLFDNKTALKIWNLNKYGGVIGGFNCQGAGWDPKEHRIKGYPDCYKPIFCSVHVSDIEWDQNIEAAYLGKAEEYLVYLNQADELRLVTPKSAAIQSTLQPSSFELFTFVPVQKLSDSIKFAPIGLTNMFNSGGTVQELEYESEGEFSAKMKVKGGGNFLAYSSGCPKKCYLNGAEVAIEWSEGKLNLSLPWVEEAAGISELGFVF >ONH98699 pep chromosome:Prunus_persica_NCBIv2:G7:21773358:21781160:1 gene:PRUPE_7G262200 transcript:ONH98699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALIQKAELQSPSDGYSDLMPESETGSSVPQRRIEFHLARKPFNGLNNGGGDFRLETLNPGTSSSDSRKLVTSNQGQSGLSAKKTDGSEFLENGLDPELSFGITFRRIGAGLMNMGNTCYLNSVLQCLTYTEPLAAYLQSGKHRNSCHIAGFCALCAIQKHVSLALQSTGRSLVPKDLVINLRCISRNFTKSRQEDAHEYMVNLLESMHKCCLPSGVPSESSSAYEKSLVHKIFGGRLRSQVKCLQCSCCSNKFDPFLDLSLEIFKADSLQKALGNFTAAEQLDGGERQYQCQQCKQKVRALKQMTVHKPPYVLTIHLKRFRAHDPGRKIDRHVKFGRTLDLRPFVSGSYEGDLKYTLYGVLVHCGASTYSGHYYCYVRTSSGMWYSLDDNQVFQVSERIVLEQKAYMLFYVRDRRNIIPRKPVEVARKENFNSAGFKNRSTSNQGSKELVQNVSVEGRSSGLASSVVAIQKDESNIVPPMVPLLKGASVKSQITAEKMVPMKESVSESIPKVSLSKDPLKELSLPNPKLGKDMLQSSSFPSSNGGASDPENATAATTDANKNDLNKRGSSIENSGVSIVIATNVKDPESLEAAKPVPDEASPDNNIIPSAGDSCTGSSGVRSGKKIEGIQTSKSSDQPSSKISQIGSLNNEGAAGHFLGEKTISCGQKVVVDGSVKLSGSSIVTNGLLHVKAPDCRSHRKLKKKQMKSKVACVHLRPSLLSRAVLRVQKKKKHKRSKHPTSDTQTLSKEHLMDSSRFLSDLGPSTSEKTQSISLVSTLSKRKSKRKRTKSGLKKDADGTAENGESRESLHQNGTVLASDKRLENGCGSSPCSMENQREMGGTDSPSNCKTDKMQNGWTGALTRGLHETVVERWDGIELLPSHVVESSHAKSVSIGYIPDDWDEEYDRGKRKKVRQSKLPSGGPNPFQRIATQRSQLKKARIERFDSGNHPVRI >ONH98486 pep chromosome:Prunus_persica_NCBIv2:G7:21222166:21224374:1 gene:PRUPE_7G251200 transcript:ONH98486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAKENLSKRRLLSLLLPKTMPSVKPDPSLPLLLCVSPLFYGAAYLPVVLILARSPTFGPLLYVFLMRFGGRSS >ONH94269 pep chromosome:Prunus_persica_NCBIv2:G7:885624:886625:-1 gene:PRUPE_7G006800 transcript:ONH94269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHDFLLVVCYGSKKVAACAKHYVADGGTNKGINENNTLINKHGLFSIHMPPYYDSIIKGVATIMVSYSSWNGVKMHANRDLVTGFLKNTLQILRDEK >ONH94520 pep chromosome:Prunus_persica_NCBIv2:G7:3047563:3051923:-1 gene:PRUPE_7G020600 transcript:ONH94520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIGLLVSDFTMSFMWVWSGVLIKMYVYNNLGFGHEPTGEIIKSTLSIVNMFFFALLGKITNGGTYNPLTVFAAAITGDFTRFLFTVAARIPAQVIGSIAGVKLIIQLFPEVGLGPRLNVDIHRGALTEGLLTFAIVTISLGLARNIPGSFFMKTWISSVSKLTLHILGSDLTGGCMNPASVMGWAYARGTHVTKEHILVYWLAPIEATLLAIWTFRVLVPQLKEEKVDKKAKSE >ONH97603 pep chromosome:Prunus_persica_NCBIv2:G7:18714706:18715382:-1 gene:PRUPE_7G200100 transcript:ONH97603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPILFTFFLLLSSSNAAVQDFCVADLAAPEGPAGFSCKKPASVKVNDFVFSGLGIAGNTSNIIKAAVTPAFVAQFPGVNGLGISIARLDLAVGGVVPFHTHPGASEVLIVAQGTICAGFVASDNTPYLQTLEKGDIMVFPQGLLHFQVNGGEAPALAFASFGSASPGLQILDFALFKNDLPTEVIAQTTFLDAAQIKKLKGVLGGTN >ONH97718 pep chromosome:Prunus_persica_NCBIv2:G7:19039910:19043910:-1 gene:PRUPE_7G206600 transcript:ONH97718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLSLLASAWEEIVKHSFFDLTYNIRLSPRDGGAHVILRTHSFKKTDSFKKTEPETTIVSNSSNKLETNNSTRLRDYKPENVTFDRNLSFKGLVQDRQEMATEVCKGKNDLKHKPMPALAVPENPVFFSPRPVSELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFNVEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDIWFESESSQPFFYWLDCGDGKEINVEKCPRPVLHRQCIKYLGPIEREAYEVVVENGKLLYRQSGVLVNTIGGSKWIFVLSTTRSLYVGQKKKGQFQHSSFLAGGATTAAGRLVAHDGILEAIWPYSGHYHPTEENFMEFISFLEENHVDLSNVKRCAIDDDYPSMKITDGEPTKLESFKSTESDSGKTTSSDDITKKTTITIVQEETKKVLGANAKPPVFDLGKRLSCKWTSGTGPRIGCVRDYPAELQSRALEQVNLSPRVTPGMLGHYGPIPSPRPSPKVRLSPRLAYMGLPSPRTQIPAAS >ONH97723 pep chromosome:Prunus_persica_NCBIv2:G7:19054695:19057019:-1 gene:PRUPE_7G206900 transcript:ONH97723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPEGGGGCGGGDGGGGGRSRLPLSSATQSPKPSNGLEEAENELNKATEEVAPAKEEQKAVTFKGGNCDRSSSSSSSPALPKPAKELFRIKEENIDVVIVDDDVDVDGGDDGNFNGGDGGTFSSSSSMALPKPMEGLHEAGPPPFLNKTFQVVDDPETNSVVSWSAAGQSFIVWDSYEFSRTLLPKYFKHNNFSSFIRQLNTYGFKKVDPDRWEFANEGFQGGKKHLLKNIKRRIRYNKQPTVGSVDSTKTGLEAEIESLKKDQDFLKLEIMNLRQQQKYSQHQLTAVEQRIRNSECKNQRMLFFLTKTATNPTIVQKLMQKRVIKRELDGSDLRKRRRLPSVQVLESLRDWIDTSLSLDCGSQLEEELVPMQSVLAEQVAEAKVAKQNEAPLPAPMIDKSGNAVQDLKPHVMAGTGTEDMPTAYHGMSENFQVENVVFDEDEFEVDDSNFYQELEDLIGKPHDWSGYVSHCLMEQAGVIGAMP >ONH97257 pep chromosome:Prunus_persica_NCBIv2:G7:17671828:17672790:1 gene:PRUPE_7G180300 transcript:ONH97257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQTSQLGTCKTLCSHQWRCTKDQPSSCSSSQSHPNHPAPASPQTPTHTCKYHSMVVCCTETCPHHES >ONH98459 pep chromosome:Prunus_persica_NCBIv2:G7:21150144:21151386:-1 gene:PRUPE_7G249800 transcript:ONH98459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFTYPPFCAGFWLFPRQAFKILFAEEVELLDLNRYFILGAERSCGMLEVLWPSLAKWMLSETLEFRS >ONH96506 pep chromosome:Prunus_persica_NCBIv2:G7:15185543:15187263:1 gene:PRUPE_7G133400 transcript:ONH96506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPHAPPPPPLYKQHSWSPDAYRDEAWHRRKKINGRSKLQRSKSVTDEDLDELKACIELGFGFNSPESDQRLADTLPALGLYYAVNKSYYDSVSHKSTTTPTSSFSPTPSECDSVPSPVGSPHTIFGPGDTPQTVKARLRQWAQVVACTVRQSS >ONH96525 pep chromosome:Prunus_persica_NCBIv2:G7:15233470:15235667:1 gene:PRUPE_7G134400 transcript:ONH96525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNNANAASWLYIPILSNLFYTTVKDDEEGGQDSQHRQPTAVSVVVQEIKQLYTIALPMILAGLLVYAKAAISMFFLGRLGKGALAGGSLAISVANISGYSVLSGLASGMEGISSQAFGAQQWPLMSHTLQGTVIILILISIPISIMWLNCESFLLFSGQNPAISSTATTYLTFTIPTLFFQSLINPLKIHLRTQQVTLPLMLSAALALAIHAPANYLLASHFHLGIRGVALAGVLTDLIILLYLILYLRGSGIYTNTWQGWSLHFCFKDWKPIICQAIPSCFSVCLEWWWYEIMIILSGLLSNAAEAVSTMGILIQATAFAYQFPFALSQAVSTRVGNELGANRPKQAKKSSFVALSCAVLTGLLSTTFMVTMRNAWGQIFTADRAIITLTASALPIVGLCELGNCPQTTVCGVLRGSARPTLGAAINLGSFYVVGLPVSLFMAFAMDLGLLGLWLGLLAAQLVCFALMVIVLIKTDWTAQAERSKELTSANVEEYVEDETNEGLISAIMLIN >ONH98601 pep chromosome:Prunus_persica_NCBIv2:G7:21481510:21485289:1 gene:PRUPE_7G256600 transcript:ONH98601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQKPAWLEALYTQKFFAGCSYHETAKKNEKNICCLDCCISICPHCLPSHRFHKLLQIRRYVYHDVVRLEDLQKLIDCKNVQAYTINSAKVVFIKKRPQNRQFKGSGNNCTSCDRSLQEPYIHCSLGCKVDYLLKLNKDLSPFLKKCNSLQLSPDFLVPLSDTGDEEMTSDQTNPHSTIVDCDDPMSSSSSGSSGSENMSMSMNMGYRHHEIVRKKRSGLNYASLCGRSAHKVSDEDMASSMISRRKRIPHRSPLC >ONH96342 pep chromosome:Prunus_persica_NCBIv2:G7:14500883:14505039:-1 gene:PRUPE_7G122000 transcript:ONH96342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRVSPAHSPLLRSQRLQSHLPNGPQKLSTRRPIKPFVSATLSRPTADVSAEPSTSSASFETRTTPSPPPHRVSADSLQYPPGFLGAVPDRTATDGNDNGNIVDAMEYLTNILSSKVYDVAIESPLELATKLSERLGVRVWMKREDLQPVFSFKLRGAYNMMAKLPREQLDRGVICSSAGNHAQGVALAAKKLNCSAVIAMPVTTPEIKWKSVERLGATVVLIGDSYDEAQTYAKKRAQEEGRSFIPPFDHPDVIIGQGTVGMEIMRQTKGPLHAIFVPVGGGGLIAGIAAYVKRVSPKVKIIGVEPSDANAMALSLHHGQRIMLDQVGGFADGVAVKEVGEETFRLCKDMVDGVVLVSRDAICGSIKDMFEETRSILEPAGALALAGAEAYCKYYGLKGENVVAITSGANMNFDKLRVVTELANVGRQQEAVLATIMPEEPGSFKHYCELVGPMNISEFKYRYNSDKQAVVLYSVGVHTASELKEMQERLESCQLKTYNLTKSDLVKDHLRYLMGGRSNVQNEVLCHFVFPERPGALMKFLDAFSPRWNISLFHYRGQGETGANVLVGIQVARGDIDEFQARAGSLGYDYAVVTDNDEFNLLMH >ONH98892 pep chromosome:Prunus_persica_NCBIv2:G7:22204590:22207242:1 gene:PRUPE_7G271000 transcript:ONH98892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSSRDDKPEIADRDAKEKKSDKKKKEGENDEDDEGGFIDRVKDFIHDIGEKIEGAIGFGKPTADVSAIHIPSITLEKAEIVVDVLIKNPNPVPIPLIDINYLIESDGRKLVSGLIPDAGTIHAHGEETVKVPVHLVYDDIKNTYDDIKPGSIIPYRFKVDLIVDVPVLGRLTLPLEKTGEIPIPYKPDVDVEKIKFQAFSFEETVAVLHVKLENMNDFDLGLNALDYEIWLSEVNIGGAQLSQSANLAKKGVTFIELPITFRPKDFGSALWDMIRGKGTGYTIKGNINVDTPFGAMKLPIVKEGGTTRLKKNKEDGGNDDDEDED >ONH94624 pep chromosome:Prunus_persica_NCBIv2:G7:3787442:3787945:1 gene:PRUPE_7G023800 transcript:ONH94624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVHSKLNFIVLCFSTLFLLLPFTQATDVKYCGQTDEYVVKVQGVEILPDPVVRGKPATFNISASLRTTSRTESINSAPSV >ONH96561 pep chromosome:Prunus_persica_NCBIv2:G7:15406331:15408760:1 gene:PRUPE_7G137100 transcript:ONH96561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGLTKRSMVTMLSIDGGGIRGIIPSILLAFLESKLQELDGPNARIADYFDIISGTSTGGLVTTMLTAPNKDNRPLYEAKDIITFYLDHSPKIFPQNSANNLLTSITSMVRAVIGPKYDGKYLRKVINGLLSDLTLKQTLTTMIIPTFDIKYLQPVIFSTTDAKESALKNAKLSDICISTSAAPTYLPAHYFEVKDSEGKTRTFDLVDGGVAANNPTMLALSHIYRETLKHNSEPIDATRLLVLSLGTGAAKFEEKYNATTASKWGLINWVFDNGSTPLVDIFGDASSDMVDIHVSTFFQSVHAKDNYLRIQDDSLSGEEATVDIATDKNLKRLLEIGKALLKKPMSRVHLDTGRYKKSEGEVTYEGALIDFAKRLSDAKKHN >ONH96534 pep chromosome:Prunus_persica_NCBIv2:G7:15271520:15272218:1 gene:PRUPE_7G135100 transcript:ONH96534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFPIGFTHKKTFTFGKSQSFLKKDKITSQLSNQRHANVKDFLGNQKINKGNFVHFCLF >ONH96271 pep chromosome:Prunus_persica_NCBIv2:G7:14225062:14226943:-1 gene:PRUPE_7G117500 transcript:ONH96271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVHSLGTIVQFCSNLQFSILYRHHFLHIEVHRKTVLSRHLGKVVSFLFIIFVCKRVRLESGSSFPIMVHNLTLSFNM >ONH95006 pep chromosome:Prunus_persica_NCBIv2:G7:8198775:8201075:1 gene:PRUPE_7G046000 transcript:ONH95006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVRESRKEKRFKKLRDAPKSPIPSSQPKIQKVPFMLRDHKNFDKYYVPRAVAIGPFHHGNPRCKLAQKYKLMLTLKFADFVGQKDEDLYKKIEEKIKELRECYVKEATEHIDDGTLAWMLFLDGCSTLQFIYSLMNEHEEFEIKRDQVAFAQQDLFLLENQIPYQVLELLMSSSDKREVLKHAIESFVQMHIIVPVEKQSKGQEQKHQPGITENREQKQEPDTTSSTEHKVDITENTETKQQDGLTTDTDQKQQPGVTTDANHKQQPGVTTDANQKQQPEEPTHLLDLLRKRILGPPKKDVSKKKVGESPKQTFRNVQELSAAGIHFQPSKTNFLGDISFKSHCFAGFLSLPPISVDDSTGPKFMNLIAYEMCPDFQNDYGVASYIGFLDALIDHADDVKKLRSASVLFNFLGSDKEVAQLFNEIGTDLVPNTAIYLRVKAELEKHYKTKWKTWMSQFCHDHFSSPWTILAFLGVLSALGLSGIQTWYTVPSQPSPCEAVCQYLKTWLHIT >ONH98096 pep chromosome:Prunus_persica_NCBIv2:G7:20166610:20168049:1 gene:PRUPE_7G228400 transcript:ONH98096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVEAYIKHEAIVHAYEGNTKEGSHANAEIKLVNLEEFDGLFIFDEAKRGRYNNGTESNKRGVAKERSQEQERERHKDCHHHV >ONH98270 pep chromosome:Prunus_persica_NCBIv2:G7:20635951:20637885:1 gene:PRUPE_7G239100 transcript:ONH98270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITTRDESDYDSSCSSITVPDSSRSWMSNLSFSSRRRSSVSVCSSATEASLISSAHKPHKANQAAWEAMRRLRSTKGRVGLDHFRLLRRLGSGDIGNVYLCQIRNPVVGLPQCFYAMKVVDREALAIRKKLHRAEMEKEILDMLDHPFLPTLYAEFDASHYSCLVMEFCPGGDLYAARQRLPGKCFSISSARFYAAETLLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSLKCDVVPKLLRRKMDLESNQKNVKSSSMPYCVAAPMQPVLSCFSASNKKKKGTVTTITEQVGADNNHDNNDDDHHQELDPEMVAEPIEARSKSFVGTHEYLAPEVISGQGHGSAVDWWTFGVFLYEMLYGRTPFKGENNEKTLINILKQPLRFPRVGVSNSKEFEEMVKVQDLISKLLVKNPKKRIGSLKGSVEIKRHDFFKGVNWALIRSVRPPHVPAANSDLHNKIRNRAYLPKLSKKERDEPFQIPHHVDYF >ONH96580 pep chromosome:Prunus_persica_NCBIv2:G7:15470016:15473532:-1 gene:PRUPE_7G138300 transcript:ONH96580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPTVEFFGGIGLGALVGPGGNLLWGTVGKGFNFETWRTNLDSMINSLLPLIKEMEDQNKTLVIGDDEIEDLKQKLTEGEDLVEELKKVSSWSLMTPLHTNRLAELDKSIRRLLEVLKVQGIRDVKGISLLAKDHTKQLKACKTDVEGTLVLAKDQTEQLKACRRDVEETLVLARDHSDQLTDCKSHVEDTLGLARKIDAKLDKFERIGLVQGDEA >ONH98141 pep chromosome:Prunus_persica_NCBIv2:G7:20308648:20313342:1 gene:PRUPE_7G232200 transcript:ONH98141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPGTTPAPSSPPATNTTTPPAAPAPSSPPPPSTPSAPPPSTPSAPPPSTPSAPPPSTPSAPPPATPSSPPPPSSTTPTTPSTTPPPASSASPPPPSSSSSRSPPPPTSSGTTPSTRSPPPPKSVSPPSPPSSNLDSGVIIGIAIGAVAIFAVLTIFCIFCTKKKKRRRRDDENYYIPPPPPPGPKDDPYGGPPHRWQQNLPPAEHVVTMMPKPSPPPAPASRPPYSPQSVPPPPPPPFTSSSGGSGSNYSGGETPLPPPPPGYTLGFSKSTFTYEELALATEGFSDSNLLGQGGFGYVHKGVLPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCMTGSERLLVYEFVPNNTMEFHLHGKGRPTMDWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKAANILLDFKFEAKVADFGLAKLSSDVNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDASQTFMEDSLVDWARPVLTRALEERNFDGLVDPKLQNSFDPNEMARMVACAAACVRHSARRRPRMSQVVRALEGDVSLSDLNEGIRPGQSNVYSSYGSSDYDTSQYKEDMKKFRKMALGSQEYGGSSEYSAPTSEYGLYPSGSSSGEGQSRLTTREMEMGRIKNSKGFSGTS >ONH94973 pep chromosome:Prunus_persica_NCBIv2:G7:7942543:7944635:1 gene:PRUPE_7G043200 transcript:ONH94973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSRPLTLLTKNPEQQPSQPSSSTALTSSTPNSSSASNSSNSSPPLPPPASFPRALSISAPLVHHPPLRKGDTHHLVSLTSTTYGSLHIDPTSPKTLNLNGQDSPDQSYPPKHSSSSPDSVINTWELMDGLNDSDFHSHFSEEQPISSIFDHTIGFSNKASSCRYSGFDGSVKKPFDSFKSVRSSVIKAEDSMPTSSSSSSSSKPLWKHLSEESLLSKMDPNVVLTYRRALSSRQLGYNNNGKVARSLTFSTPSYSSVSNACFHLPGTEDKIVVYFTSLRGIRKTYEDCCAVRMIFRGFRVAVDERDISMDSEYRKELQNAFGGKAVSLPQVFVRGKYVGGAEEVKQLNEDGELGKLLRGFRVRDAGFVCEGCGDARFTPCPTCNGSRKLFDEDEGELRKCHDCNENGLIRCPGCCL >ONH94736 pep chromosome:Prunus_persica_NCBIv2:G7:4787970:4790529:-1 gene:PRUPE_7G026900 transcript:ONH94736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVDNTKNDVKCMKFSLSPLSLLPRDLAVRPFAFSATARPPHAELISAVGTIGSASLSPSKPDRPPPLGCSELIEKPCFSTEIRPKPPELAARFSPSIFHQIGRVRHQEPDRIAKETFEGSK >ONH98786 pep chromosome:Prunus_persica_NCBIv2:G7:21961541:21964282:-1 gene:PRUPE_7G266300 transcript:ONH98786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLKFSVSLETFDSSKKFNFSAVNSVCYSHVSRASVVNSSNRVDRIKVSGFKFELSSISELNQMSEETTLSLSSNKNRVDESLAEQNLDFRQASAKESRGPKNEVKREKGLKSSSRKSRWVRELENLFVNDGELDVDYSVIGSDLSLEHCNDILKRLERCSDVKTLRFFEWMRSNGKLERNVSAFNLVLRVMGRREDWDGAEKLVQEVIADLGCELNYQVFNTLIYACCKLGRLELGGKWFRMMLEHEVQPNIATFGMLMVLYQKGWNVEEAEFTFFQMRNFGILCQSAYSSMITIYTRLNLFEKAEEIIGLLKEDRVRLNLDNWLVMINAYCQQGKVDDAELVLVSMQEAGFSPNIIAYNTLITGYGKASKMDAADHLFQGIKNAGLEPDETTYRSMIEGWGRADNYMEAEWYYKELKRLGYKPNSSNLYTLINLQAKHEDEEGAIRTLDDMLTMGCQYSSILGTLLQAYEKAGRVDKVPRLLRGSFYQHILVSQTSCSILVMAYVKHCLVDDTMKVLREKLWKDPPFEDNLYHLLICSCKELGHLENAVKIYKQMPRYDDKPNMHIMCTMIDIYIIMGLFTEAEKIYVELKSSGVALDMIAYSIAVRMYVKAGALEDACSVLDTMDKQEGIVPDIYMFRDMLRIYQRCGRLDKLKDLYYKLLKSGVTWDQEMYNCVINCCSRALPVDEISEIFDEMLQCGFVPNTITFNVMLDVYGKAKLLKKARKLFWMAQKWGLVDMISYNTIIAAYGRNKDLRNMSSTFGEMQFKGFSVSLEAYNTMLDAYGKESQMERFRSVLQRMKETSCASDHYTYNIMINIYGEQGWIDEVADVLTELKECGLGPDLCSYNTLIKAYGIAGMVEDAVHLVKEMRENGIQPDKITYINLINALRKNDEYLEAVKWSLWMKQMGL >ONH95289 pep chromosome:Prunus_persica_NCBIv2:G7:10045537:10047976:1 gene:PRUPE_7G061400 transcript:ONH95289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFPSPLERVVASALLVLATTPPKLCLDDDIELVRERSKIENCRESSVSGDSNKSFSSLITTCEVSTEEIRARKIRIVALAALRHEMKLKGVRRSRSKTQTSWKTVSGSSETASFRSETTTESSCVSTSSCAASSARSQSRSRHPSWSAWNYRGASKLSMVREEPRKRKRLSFSAHMRRKAEEILKLLSGGCCFSEVKIRQTIGDSPDTSKALRMLLKLEKVKRSGIGGRYNPYIYTIA >ONH95325 pep chromosome:Prunus_persica_NCBIv2:G7:10273464:10276234:1 gene:PRUPE_7G064200 transcript:ONH95325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLVCFLIFCNVALSNSIWYGQTTFNVLQFDAVGDGQTDDSEAFLKAWEALCGATEANDTGTPTLVVPAGKTFLLQPIKFSGPCKSNSVHVQILGKIVAPNTLLAWKECKEFWISFSEVTNLTINGTGEIDGNECGKLLQAIHFYKCDYLQLRGLKHLDSPKAHITINNCNNVSVSNLHIIAPEDSPNTDGIDISMSTFVNIRNSTIGTGDDCIALNNGSSHINITSIVCGPGHGISVGSLGEDGAYETAEEVHVRNCTFNGTKNGARIKTWQGGSGYVKGISFENITLIGAKNPIIIDQYYCDKNGHNCKPSASAVNVSNVIYRGFQGTYANEEEAIIFDCSNTPGCQNVTMNQINITSTLDPGKNISAACKNVNGTFDPAVPCSLWAYLHP >ONH98806 pep chromosome:Prunus_persica_NCBIv2:G7:22009000:22010049:1 gene:PRUPE_7G267000 transcript:ONH98806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLASPPPQPPPATATTTKNNSCFQSCVGFQKVRACYHQVSDGANANDNAHAWNNRLVINSRRSLGLGLAGALISFNVSDPSPIANAAARRPPPPPAEEKEKKDPNVSGVLAKVLASKKRKEAMKESVAKLRDKGKLIEQPSQSQP >ONH98027 pep chromosome:Prunus_persica_NCBIv2:G7:19926997:19927773:1 gene:PRUPE_7G223900 transcript:ONH98027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREDREDPGYGDGKMTSSTEEGAYLLLHLKHTILNPETARNLDHMKSKILSGIKLKSPSGSKRKALRIQEPEAPQAREATNGAARRRSLPRELQFQQQERRGLFYGNYTPPDLPPIPSLQNLIQDCSKPFEKQLARSDVKDNQCRLSMNKEDVENNIMPLLRNGENLNEGINVTTYDMTGNEYPMVFKIWATKVHVLTGGWKTFCNDLGLVENQDFVTLWIFRHVDKGGLCFAIHSRRLPVFEPIKKKRPIRQNYH >ONH96989 pep chromosome:Prunus_persica_NCBIv2:G7:16836122:16842835:1 gene:PRUPE_7G163400 transcript:ONH96989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKREKEAIPVVELDSDDDNGAGVGAPNQNNNASSTGAPPQATPQPQQQPLAPNCQTLDSRSFWKAGDYAVGPTARPYISQGQLEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEVQNGATFVRVDKIDVVKDNSPALLFQDDGGGMDPEGMRKCMSLGYSTKKANTTIGQYGNGFKTSTMRLGADVIVFSRAIRAGKATQSVGLLSYTFLRRTGQDDVIVPMIDFDISGNWVEPITYGSQDDWTSNLKTILEWSPFASKEDLMLQFEEIGAHGTRIVIYNLWLNDEGIYELSFDDDDEDIRLRDEAAGGKSTKVQQKIQFHISYRMRYSLRAYASILYLRTFTNFKIVLRGKPIQQYHIKDDLRYLEVKTYKPQITTLNEVIVETTIGFIKEAPDLGVTGFNLYHKNRLIRPFWKVTADGSSKGNGVVGILEANFIEPAHDKQDFERSSLFFRLETRLKQMVNEYWKTNCHFVGYQPIGARNLQKDQTFKPSVGVTPKDMHLDQTMAGLSANVLQNDSRTKSSEVVTSADNREPMSVDEICEENIQLFMRCEAQVQKETELRQTIENLEKELEMAKRKSAQLASYLETKRKQKIMKQPTETV >ONH98226 pep chromosome:Prunus_persica_NCBIv2:G7:20538256:20538581:1 gene:PRUPE_7G236900 transcript:ONH98226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNKLFSVMFSLKVRIVKVRANYWFYISRPLRVELSSLLESPTYGIEHRLTGRSCWYINSDSPHSNTTISFVEFTTIPTN >ONH98367 pep chromosome:Prunus_persica_NCBIv2:G7:20986055:20988167:-1 gene:PRUPE_7G246800 transcript:ONH98367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNVVVLDNGGGLIKAGLGGERDPSAIIPNCVYRPLASKKWVHPSPNEPMDLTSAAVRRPIDRGYLINPDLQREIWANLFSSLLRVNPAQSSLLLTEPLFTLPSIQRATDELVFEDFNFSSFYVAHSPSLAHLYEASQRTFKAQCSLVVDCGFSFTHAVPVFQNFPINYAAKRIDLGGKALTNYLKELVSYRSVNVMDETFLIDDVKEKLCFVSLDVARDLQIAKKQGNAFRCTYVLPDGVTHTKGFVKNPEEAQRYLALGDGDTLEEVQQKMDVDQMEVTNKLEDRKKIDLTKNEFDLTNERFLVPEMIFHPADLGMNQAGLAECIVRAVSSCHPHLQPVLYENIILTGGSTLFPRLSDRLEKELRPLVPDDYQVNITPQQDPILGVWRGGSLLASSPDFEAMRVTKAEYEELGSARCRRRFC >ONH94388 pep chromosome:Prunus_persica_NCBIv2:G7:1901344:1902133:1 gene:PRUPE_7G013400 transcript:ONH94388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQYVLYLNVLLELKEPNYHLESGKSAGFLLKCAPLLLVFNQRKECLHLLMSFQPSSNPLSFQPPLKIKPISLHKSRSKKKDASASMF >ONH97161 pep chromosome:Prunus_persica_NCBIv2:G7:17367098:17368730:1 gene:PRUPE_7G173000 transcript:ONH97161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSKRITLKSSDPALFEVDEAVALESQIIKYMIEDDCADKCIPVPNVKGNILAKVIVYCKKHADAAKPDSKITEYDLKAWDQDFLDDVNPATIFDLILAANFLNIKSLLDLTCQCIADMIKGKTPAEIRKTFNIKDNFTPEEEEEVRRQNQWAFE >ONH96238 pep chromosome:Prunus_persica_NCBIv2:G7:14064824:14077727:1 gene:PRUPE_7G115400 transcript:ONH96238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTLSGIRFPLLPSAYNNNNDSASLHSSFNGYRRTSSLSLFLTNSSFSRKIFAGKSSYDSDSSLTVAASKKVLVPDSQSDGSSSVTEQLEAPGTVSEDPQVLEDVDNVAMEDDKKVEDEVKKSDVPSLDAGNVDGTEAKGEETPHPLDGTVSTAKKNATQKSIPPPGNGKKIYEIDPLLVGFRDHLDYRYGQYKRLREEIDKYEGGLEVFSRGYEKFGFTRSAEGITYREWAPGAKSASLIGDFNNWNTNADVMTRNEFGVWEIFLPNNADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEENYVFQHSQPKRPKSLRIYEAHVGMSSTEPKINTYAEFRDDVLPRIKRLGYNAVQLMAIQEHSYYASFGYHVTNFFAPSSRCGTPDDLKSLIDRAHELGILVLMDIVHSHASNNVLDGLNMFDGTDSHYFHSGSRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLEVAFTGNYNEYFGLATDVDAVTYLMLVNDLIHGLYPEAVTIGEDVSGMPTFCVSVQDGGVGFDYRLHMAIADKWIELLQKIDEEWQMGDIVHTLTNRRWREKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPLVDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGTQQLPNGKIVPGNNNSFDKCRRRFDLGDANYLRYHGLQEFDQAMQHLEETYCFMTSEHQYISRKDEGDRVIVFERGNLVFVFNFHWSKSYTDYRVGCLKPGKYKIVLDSDEKLFGGFNRIDHSAEYFTTDGWFDDRPHSFLLYAPCRTAVVYALIAGPAKD >ONH96239 pep chromosome:Prunus_persica_NCBIv2:G7:14065480:14077727:1 gene:PRUPE_7G115400 transcript:ONH96239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDKKVEDEVKKSDVPSLDAGNVDGTEAKGEETPHPLDGTVSTAKKNATQKSIPPPGNGKKIYEIDPLLVGFRDHLDYRYGQYKRLREEIDKYEGGLEVFSRGYEKFGFTRSAEGITYREWAPGAKSASLIGDFNNWNTNADVMTRNEFGVWEIFLPNNADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEENYVFQHSQPKRPKSLRIYEAHVGMSSTEPKINTYAEFRDDVLPRIKRLGYNAVQLMAIQEHSYYASFGYHVTNFFAPSSRCGTPDDLKSLIDRAHELGILVLMDIVHSHASNNVLDGLNMFDGTDSHYFHSGSRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLEVAFTGNYNEYFGLATDVDAVTYLMLVNDLIHGLYPEAVTIGEDVSGMPTFCVSVQDGGVGFDYRLHMAIADKWIELLQKIDEEWQMGDIVHTLTNRRWREKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPLVDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGTQQLPNGKIVPGNNNSFDKCRRRFDLGDANYLRYHGLQEFDQAMQHLEETYCFMTSEHQYISRKDEGDRVIVFERGNLVFVFNFHWSKSYTDYRVGCLKPGKYKIVLDSDEKLFGGFNRIDHSAEYFTTDGWFDDRPHSFLLYAPCRTAVVYALIAGPAKD >ONH95264 pep chromosome:Prunus_persica_NCBIv2:G7:9901112:9904086:1 gene:PRUPE_7G060100 transcript:ONH95264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSLVEVKYGCHSKKTPRKKKLTRARKKERTAQGFTQTYCKSLYCPERGAFFSLSRDTMGLGSGACHSCKMNEAEEAKEVFKLNSSKTGMETEIFKAGKKLGLTTYVGYQVLEIVVMKESKRPEIESTQVKVRRFFRPEDISVEKAYSSDIREVYYSEETHIMPVNNIEIKCEVRKKSDLPVCNAPVIFQHIFFCEHLYDPSKGSIKQLPAHIKLRYSTAGGDADSRKRKGKCKEGENVSEVENQRADSEQKRLATLDIFAGCGGLSDGLHQSGASITKWAIEYEEPAGDAFKLNHPESLVFIINCNVILRAVMEKCGDTDDCIATSEAAELAASLDEKVKNDLPLPGQVDFINGGPPCQGFSRMNRFNQSTWSKVQCEMILAFLSFADYFRPKYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAAAQGEILPEWPEPMHVFGVPELKITLSGNSQYAAVRSTASGAPFRSITVRDTIGDLPAVGNGASKGNLDGQIVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPKPMGKVGMCFHPDQDRILTVRECARSQGFADSYQFSGTVLHKHRQIGNAVPPTLAYALGLNSRKQLTAR >ONH96591 pep chromosome:Prunus_persica_NCBIv2:G7:15510691:15514256:-1 gene:PRUPE_7G138900 transcript:ONH96591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAAVGGAGLGAVFGALLDGVIAVTSEATMFETHLRDLKCTLDLFQQVDEDVRQHPNILFRPMQGLERFEIQMEKGVQLVEKCSKVCPWKPNVFNKRYTRKLIALDTSLRTLLSVLTAHIASDLRARVINVATVVNHIDANIAAQNQNVNQIKGWSAIPEPPPFTVGLDVHVEELKLELLKDEASMLVLTGLGGCGKTTLAQKVCEDQKVKEKFRSNIFFVVVSKRTNCLVVQELCQKRGSLVPALQEEAIAFNWLQEFLKKTGQDPLLLVLDDVWLGSESLLDKFGQFKRPNYNILVTSRFQFPRFGPAYPLGTLEQGDAMTLFRHAASRPDRSFDIPEDLAKQIVQLCKGFPLAITTIGRSVCERPTEIWKKRVAELSRGSSILDSEDYLFACLKSSLDALDERLAVIKECFIDLASFPEDRCIPAVALIDMWAELYGLDEDFWSIAHIHELTNRNLANLVSRNGKMEMDGYYSEHFVTQHDMLRNLAIHQTGQDEIGQEKRLIIEICGDNLPNGWTEQKDKPKKTRLLSISTDGLSSAKWHDMHLPKAEVLVLNFQTENYVLPKFVKKMSKLKVLIVTNCGVLQADLSNFKLLGSLANLKRIRLERISIPSISKNSMQLKSLQKISLFMCSIGQAFSNSSIQILEAFPNLVEMNIDYCNDLVELPAKLCDLIRLKKLSITNCHKLSALPKEIGKLVNLEVLRLRSSTELERLPGSIKNLNKLSFLDIYNCSSIKKLPEEIGEMSSLRKINMGQCSRLQGLPQSVLNLGELRELICDEETENLWGEPFKSSLININITVAKEQHNLNWLYCKP >ONH97034 pep chromosome:Prunus_persica_NCBIv2:G7:16984206:16985320:-1 gene:PRUPE_7G165900 transcript:ONH97034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEELSNCEALPLLSLNHVSLLCRSVWDSLRFYEDVLGFVVIKRPSSFNFNGAWLYNYGIGIHLIESPAMEDYESRPINPKDNHISFQCTDVGLVKRRLQDMGMRYVTAVVEDDGVKVDQVFFHDPDGYMIELCNCENIPIIPISACAFKPRGSSFKKASITKCGFMETVMMESLSLDMMNFSF >ONH95460 pep chromosome:Prunus_persica_NCBIv2:G7:11003159:11005469:1 gene:PRUPE_7G072400 transcript:ONH95460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADFQGYIILFLVSIVLVRTILTKILNKPRLPPSPMALPVIGHLHLLAPIPHQAFHKLSSRYGSLIHIFLGSVPCLVASSPDMAKEFLKTHEGSFSSRPSMAVVDYLAYGSSDFAFAPYGPYWRFMKKLCVSELLGGRTLDQLLPVRREELISFVQLIRKKAKAAEAVDVGAALMTITNNIITRMTMGQSWSKNERDADVVRKVVKATAELKGKLNLSDLIWFCKNLDLQGFGKRVKELRDTFNTMVERVIEEHQEARKKRKELGEGGDGVKDLLDILLDISEDETSEFRLSRINIKAFIMNIFAAGTDTSAITTEWALAELINHPEVMKKARQEIDSIVGKNRLVQESDISNLPYLQAIMKETLRLHPTSPLIARESTEACNIVGYEIPAKTRLYVNVWAINRDPNHWEKPLEFEPERFMTEEGSLKSQLDMRGQHFHMLPFGSGRRGCPGTSLALAVVQPTLAAMIQCFEWKLDGVNGSGIVDMEEGPGLTLPRAHPLVCVPVARLDPFLSC >ONH96678 pep chromosome:Prunus_persica_NCBIv2:G7:15885266:15889041:1 gene:PRUPE_7G145400 transcript:ONH96678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEASASVGETLPQNALETQRSNEATITEGAQGGAESTCNNDNAEASAVTSDGDREKSLEFADELMEKGSKAIKDSDFGEATECFSRSLEIRVAHYGELAPQCVNAYYKYGCALLYKAQEETDPLGAVPKKEGESHQGSAKVGSVKNVLNGESSTASASSDAEQDESLNHEEGAADEGASGEKDQEEEHDDSDVEDLAEADEDETDLDLAWKMLDVARAIVEKHSGDTMEKVDILSALAEVALEREDIETSLSDYQKALSILERLVEPDSRRIAELNFRICLCLEIGSKPEEAILYCQKAISICKSRVRRLMLESRSFSESTTSSAASVLEQGVTLSSTVTESDSTVTDKQAEIETLTGLSGDLEKKLEDLQQLASNPKSILAEILGLASAKAKGTEKSESSAGQSSSRMGAADNIGGFDSPTVSTAHTNGTSGVTHLGVVGRGVKRVLMHSGTAESSSAKKPALDSSEDKGEGNT >ONH96441 pep chromosome:Prunus_persica_NCBIv2:G7:14931926:14935035:1 gene:PRUPE_7G129300 transcript:ONH96441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSFNPKTQTYTSPRPPVPFPTDPNLSLTSFLFQSSTSFPNNLALAESDTAETLTFLQLKSLVSKLAYALLNLNIKKNDVVLIFAPNSIHFPVCFFSIVAIGAIATTCNPQYTVSELSSQVNDCDPKLVITVPELWPKIKGFNLPTILLGSSIGAPNPRVRYFSDLVKISENPDPFSNLPAISVKQSDVAALLYSSGTTGKSKGVILTHRNFITSSLMVTADQDRYGEPRNVFLCIVPMFHIFGLSVIAYSQLRRGNAVVSMAKFEIDRALKAVEKYRVTHLFVVPPVMIALAKQSVVEKYDLSSLEFIASGAAPLGKDLMEECAKNIPQAVVGQGYGLTETCGIVSLENFREGSRFSGSSGILASGIESQIVSTDTLKSLPPNQLGEILVRGPNMMQGYYNNPEATKLTKDEQGWVHTGDLGYFDEEGQLFVVDRIKELIKCYSFQVAPAELEGLLLSHPEILDAVVIPYPDEKAGEVPVAYVVRAPNSSITQQDIQKFIEKQVAPFKRLRRVTFINCVPKSAAGKILRRELIQKVRSKI >ONH97301 pep chromosome:Prunus_persica_NCBIv2:G7:17764277:17766489:1 gene:PRUPE_7G182600 transcript:ONH97301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDVFNAAKEGKTDVLKRRHHLDQILTPTKNTILHIYISFASTPNYVEPEEEALRPPSVVNEILQMCPALLSQKNESGETALHIAARHGRADIVELLIKTAKAGPREDLENGPSSSVEAWQIFIRRYTLTPLYLAAERRYKALFSEILGTCKHPTYQGPIGRTALHAAVIHGDEEMTTEILNKEKDLAIVADEKGAYLGDEANKKTALQIAASKGHVNVMEQLISHCPDCCKVVDRRRRNAFHYALEKHKPRIIEFVLKGTWLSNVLLNAKDVDGNTPLHLLNAPLNPWIPFIDDARVDKMAFNKENMNALDVIKANDDLQDMIFVANDLKRNGASSGHRILSENDNDGQKLKENKGGEDTETNKNIRDSHLIVAALVATVTFAAGVTMPGGYYQASDQGNGPSNGPTPGYAVLTNDTAFQFFYLFNMLALCLSTFSVLVHLLILTLHEGKEGSKRFWRPIIYTTTASVVAMMIAFTSGTYAVLGDSPQLARSGLIVVGYAFFLLSYVQFLVVQRENNSIFLHKLTRLFVIMFKK >ONH98046 pep chromosome:Prunus_persica_NCBIv2:G7:19989429:19993831:1 gene:PRUPE_7G225300 transcript:ONH98046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSDLTSSFQVNMTPVIPYSISNVSHIPGTAFTARKNDCLTKFSISRKSTRHTLSPKSFLLPFSTSIKLHPLYNSRCPVHHRSRIPVSAAGTDVAVEEADSPVADAASIEALDNSSDGSPSPSQSRRTKPVRKSEMPPVKNEELVPGASFTGKVRSIQPFGAFIDIGAFTDGLVHVSQLSDSYVKDVGSVVSVGQEVKVTLVEANTETGRISLTMREGDDGSKPQQRKDASASSDRAGPGRRSSPKKGDRKNEVRKTTKFVKGQDLVGTVKNLVRAGAFISLPEGEEGFLPTSEEADDGFANALGETSLEVGQEVNVRVLRTTRGQVTLTMKKEEDALKSDSQISQGVVHTATNPFVLAFRENKDIASFLDEREKIEKAAKTIATQKSSEELEGKVNESESNISEVLDEQASSDKGTLGIPSAVNETVENDGADVGTNDNALSISVNNKEDQESPVSGSIETLETTVQTIEKEEVNSDILAPEGSISTTGSIIKEPPSTDGVENDANADPSSEIANHTLPSESPTVEEVVEGQVDDTIVKDELQIQPPASESEIPSTSITKETKESQATKAVDDVPENIREEVQIQTPAAESELPSISQVEDDKVGSTPERNGGVSNSNGETDNPSPKESVTKETISPALVKQLREETGAGMMDCKNALSETGGDIVKAQEFLRKKGLASADKKASRATAEGRIGSYIHDSRIGILLEVNCETDFVSRGDIFKELVDDLAMQVAACPQVHYLATEDVPEEFVNKEREIEMQKEDLLSKPEQIRSKIVDGRIRKRLEELALLEQPYIKNDKVVVKDLVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPAPTGGKEQPAAVEAKETVEKAPTVAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSSAEKKSSRLAAECRIGSYYIMTPALEF >ONH96790 pep chromosome:Prunus_persica_NCBIv2:G7:16284792:16285833:1 gene:PRUPE_7G152300 transcript:ONH96790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDSSIVRIDRKTSIVRIDRKTSIESEPRTLGFDKIQFAREAARYVLKTKNIEEAMRIFTEGLVPVASSINQNGDEMMDSVEDLEYSEDNYIRPQGPRDCVSAPF >ONH98129 pep chromosome:Prunus_persica_NCBIv2:G7:20281792:20283590:-1 gene:PRUPE_7G231500 transcript:ONH98129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAKIQHQNPKANSEKAGTGMNKYTIVCALLASTNSILLGYDIGVMSGAVLYIKENLKITSTQVEVLVGTLNMFSLFGSLASGKTSDYIGRRYTIVLAACTFLIGALLMGLATSYLFLMAGRAVAGIGVGYALMIAPVYIAELSPAMNRGFLTSLPEVFITIGLLVGYIINYALSGLPPNINWRLMLELAAVPAVGIGLGVIAMPESPHWLVMKGKLSEAKQVLIRTSASEEEAQLRLEEISKAALALPPSTGPLTTHEDGRGAWKELLVHPSKPIRRMLIVVIGINFFMQASGNDAVVYYCPEVFKAAGIHSRKQLFGANVIRGLTKTSSVFLSASYLDRFGRRPLLLLGCAGLVISLAGLGLGSKFLEHSSTKPTWAIALCIMAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAISVNRLVSGVVSMTFLTIFNKITFGGMFFVLAGIMVVGTVFFYFFLPETKGKTLEEMGALFEDRDTSIANRVRELREM >ONH96193 pep chromosome:Prunus_persica_NCBIv2:G7:13869760:13870889:1 gene:PRUPE_7G112500 transcript:ONH96193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLYTASTWFVKDINKSCSIREGYGAMWVYKVNVPITNLAYLIKDYTLSQEKLQILEVATEKYKDTDTEPTTTVILKCSSHESFIYDKVKTKLFMK >ONH94511 pep chromosome:Prunus_persica_NCBIv2:G7:2997305:3001866:1 gene:PRUPE_7G020000 transcript:ONH94511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQTQEEQLAAQLEQQKIHDDEPVVEDEDDEDDDDDEDDEKDDDDVEGQGDASGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQTQAAEQFKAPNLNNVTANPEPSTLAQDDEDIDETGVEPKDIELVMTQAGVSRPRAVKALKAAEGDIVSAIMELTN >ONH96440 pep chromosome:Prunus_persica_NCBIv2:G7:14919070:14919407:1 gene:PRUPE_7G129200 transcript:ONH96440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKADASVWKALLNACQLHSATKLAGAIYMLQQVYGWSQVHCFVAGDTSHLQSDIIYASLNSLLTLIKESGYIPDLRLVLRDEEG >ONH96053 pep chromosome:Prunus_persica_NCBIv2:G7:13377176:13378974:-1 gene:PRUPE_7G104800 transcript:ONH96053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASRPGQARMHAKSRRVDIFSHLPDEVALRILCRLSIKDLTRFGCVSKRCRQLYLLSPVLHFDEFPKANTLTCGKRLKLLNCLDRYLVHRGDNKIMKFSVCWARHRLPADEATQCFCDEYYRIMTWIHNAVRCKVQVLDLEISMFEDMAFPSCVFPCESLVHLSLDMKCRIEVPSTFFSNLQYLDLSKVNIVDEGFFKWISCSCKVIKELNLHQVYGPKNVTIESWSLESFSLECHKLIDICHLNISGEKLEEIFIDWKFATPNDKSLSIFAPNLEKFYWFGNFVCNPSLGNPGCLKEVGIFLDPEADDYKYVFDDVYTSSEVNFLNLNEETIKASGFVMGHWSMQNLAFIKQLKEVTIELSHGSNGVEFAMYMLAHAQNLEKMVIIHLPKQYVRRKIKKSKNISKAKIVFKERNRDLV >ONH96598 pep chromosome:Prunus_persica_NCBIv2:G7:15550960:15554619:-1 gene:PRUPE_7G139600 transcript:ONH96598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPDFGGAGLGALFNVLYDGLKELIGKNVIYKTLLKAIISRLDILHPLIKEIAKYNKDLDLPDKELENLKVGMEKGIELVHKCSKIRAWNIYKKYKYANKLLDWNESLLGLLDMLNVQGIRDVKGSSVSVRNIEKVVSRIESSLVLQYQDETEVWGAAPELPALVVGLDFPLKELKRKLLNFKDGVSMLVLTAPGGCGKTTLATAFCQDQEVKDTFGDNIFFVTVSSNPNLERIVQELHLRRGSKVPTLGNEINAVKWLHHFLKEEGQNPLLLVLDDVWSGSESLLEKFDQFKMPNYKLLVTSRSEFRGFGSPYSLQSLDYHNAMKLFHHSASLGDKSSLIPQDLSRQMVERCKGFPLAIKVLGRSLCGQPIEIWKKRVIEWTRGSTVHDSEAELLLCLQSSLEALDKEMAIIKECFLDLGSFPEDQRIPAAALIDIWEELYDLDEDTECIANLYELTKRSLANVVVTRKENMEGDEYYNEHFVTQHDILRELAIYYSKLEPAEQRTRLIIDMRGNKLPKWWREQKHPLMKARLLSISTDGVFSGKWHNMQVPEVEVLVLNFQTENYALPESVENMDKLKALIVTNYGFLPAELSNFQLLSSLSNLKRIRLERISILSITKNPIELKSLQKISLFMCNIGQAFSNCSIPISSAFPNIEEMNIDYCHDLVELPAELCGLSQLKKLSVTNCHKLSALPEEIGKLTKLEVLRLKSCTDLVKLPGSMKSLKKLDFLDISDCFSIRELPEDIGDISNLRKINMRQCLRLEELPLSVLDLEQLKEVICDEETKYLWESFSSHLNNVSINVAKENINLNWLHHTQI >ONH98110 pep chromosome:Prunus_persica_NCBIv2:G7:20211484:20212164:1 gene:PRUPE_7G229700 transcript:ONH98110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQPHPGVPSLVRLRDLALLHHHGRWLLLQGPAVVQIQTSVDLHAAAAAGMRVEEEAASGGGRRGGSGGPTRQCLCSPTRHPGSFRCRQHHAGYVWGTGRIVRKNSSTN >ONH96816 pep chromosome:Prunus_persica_NCBIv2:G7:16389075:16390018:1 gene:PRUPE_7G153800 transcript:ONH96816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINAFKTFRTQSAALTFSTPLPPPPGLGRKASGVRFKQTTTLRSSESDSEHNMDKPEPNPTEKTRDRDSLSHSFGEGYATRCDDDGFGVVYGGIRSVPKTEQDDNHPAVDKSQGSEVQEKEKPRHQTNASS >ONH94769 pep chromosome:Prunus_persica_NCBIv2:G7:5352413:5359764:-1 gene:PRUPE_7G028900 transcript:ONH94769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEDPVRLFMALDQWQGPSTDPDDFPLSSQDSQSLSSSSDTYMLGFVIANIVGIQYYSGTISGREMVGLVREPLNPYDSNAIKVLNTRTFQVGHIERTAAAALAPLIDSNLIAVEGIVPNTRAKGNRFKIPCQVHIFARLEDFLSVESAISESGLQLISDSHASFTLSEAVVVKEKKAEKGCKSVDEIFKLVDENASQNGALEALEPPKEVIKSELFVHQKEGLGWLVHRENSGELPPFWEEKDGSFVNVLTNYHTDKRPEPLRGGIFADDMGLGKTLTLLSLIGFDKYGSALPASVGSGSVDVLSMLDDNEIGEDERLSVSVGKKGKRGRPSKTGSRKKDKTEDTNASSNMKGKCVSASDKSSGDISRKTTLIVCPPSVFSTWVTQLGEHTRPGRLKVYLYYGERTRDAEELKKYDIVLTTYSILAAENAWITSPVKEIEWWRVILDEAHMIKNVNAQQSQVVTNLKAKRRWAVTGTPIQNGSFDLFSLMAFLRFEPFSIKSYWQSLVQRPLAHGNPKGLSRLQVLMATISLRRTKDKGLIGLPPKTIETCYVELSGEERKLYDQMEGEAKSVVRNYFDAGSIMRNYSTVLSIILRLRQICTDLALCPSDLKSLLPSNTIEDVSKNPELLKKMLEVLQDGEDFDCPICISPPTDIVITCCAHIFCQACILKTLQRSKPCCPLCRRPLSQSNLFSAPQAPSDSDNMVSSKTTMSSKVSALLKLLIASRGQNPLTKSVVFSQFRKMLIYLEEPLKAAGFKTLRLDGSMNANKRAQVIKEFGVTGQDVPTILLASLKASGTGINLTAASRVYLLEPWWNPGVEEQAMDRVHRIGQKEDVKIIRLIARNSIEERILELQEKKKKFAKEAFGRRTAKDRRRDIGVDDLLGLMSL >ONH94754 pep chromosome:Prunus_persica_NCBIv2:G7:5191909:5192806:1 gene:PRUPE_7G027800 transcript:ONH94754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSLADILREERQIGHKGDGGWKAIAYNTAAAILSAQFDIEVSDDNIRNRVKTWKRFYDIVSDILSQSGFNWNATKKMLSVDEDNVWDEYVKSHEDARTFRFKVIANWDDIVDLCGKDRATGEDAETCAEAAEIMTPESDPNNIVDLESDTQGFESCQVDDVSPNSCCPKRRNQKPSDIPPPKKRGTTNVLADSVAKMASSLEHFINSTTQKHDPTEVYNEVIAIPDLT >ONH97604 pep chromosome:Prunus_persica_NCBIv2:G7:18717870:18720441:-1 gene:PRUPE_7G200200 transcript:ONH97604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPIFFTFFLLLSTSHASVQDFCVADYKAPDGPAGYSCKKPAIVTVNDFVYSGLGIAGNTTNIFKAAVTPAFAAQFPGVNGLGISLARLDLGPGGVVPFHTHPGASEVLLVVQGTIIAGFVASDNTPYLKTLKKGDIIVFPQGLLHFQVNGGDTPAIAFPSFSSPSPGLQIVDFALFKNDLATELIAQTTLLDAPQIKKLKGVLGGTNKVY >ONH96944 pep chromosome:Prunus_persica_NCBIv2:G7:16741746:16742943:1 gene:PRUPE_7G161200 transcript:ONH96944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYEKQYGATPTDEYGNPIRRTDEYGNPLGHHTTTTGTTAATTGAGGYDPHDFAATTVAGQGQGVHGHDYDRKGHHGVTGAVLHRSGSSSSSSSEDDGLGGRRKKKGLKEKVKEKLPGGTKTDTTYGGTGTTGQHHQEKGTMDKIKEKLPGTGGHRADDPYPSQTHTTATTTPYGGTAYTEEHQEKKGIMDKIKEKLPGQH >ONH96920 pep chromosome:Prunus_persica_NCBIv2:G7:16660092:16661962:1 gene:PRUPE_7G159900 transcript:ONH96920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYHHGLTLIIREEGSPSNQVYDAAHLYLPSIQLINPSTRTIGVTKTPRRETVKLAIESGEQVPDTFDCIDLNWLYVVEKCPDGCVERRFELTFQKEHKDKVMTFYLAYVVRRAEVIKQESKILNLRKVNSYDQVEFEHPATFETIAMEPGLKRKIIKDLERFVSRREFYKKVGKAWKRGYLLYGPPGTGKSSLIAAIANYLKFDVFELELDAIDSDSGLKKALLSTTNRSILVIEDIDCSVNKDKENRFTLSGLLNFMDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHIYMGYCTPSAFKVLASNYLGIPDLDGHGLYGEIVGLLESTKVTPAEICEELLKTNDEDDDEDADAALERLVDFFKLKKLEGDKPEIEEAKKQKMDVDVNNVNIFKRETEEERREEMDVKVNDDIENK >ONH96292 pep chromosome:Prunus_persica_NCBIv2:G7:14304767:14307862:-1 gene:PRUPE_7G118600 transcript:ONH96292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMLTNPGVDPQGQALDPRKIQDNFEDFYEDLFEELSKYGQIESLNICDNLADHMVGNVYVQFREEEHAQAALQNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRRLFGRNRRRHSRSRSRSRSPQRHHRGGYQERPHGGRGFGRRGGGGGDDDRDHRFHDKARRPRSRSPGRRGRSRSPVGTGGKRNRSPPARESSAERRAKIEQWNREREQVDSGSKYDNNNNNNSNDDEWNGYAENSDQYYEDPQQQQQ >ONH96015 pep chromosome:Prunus_persica_NCBIv2:G7:13186995:13188904:-1 gene:PRUPE_7G102000 transcript:ONH96015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPPGFRFYPTEEELVSFYLQKKLEGRREDLNRVMDRVIPVLYIYEYNPSDLPQFSGEACHGDPEQWFFFIPRQESEARGGRPRRLTTTGYWKATGSPSFVYSSNSNRAIGLKRTMVFYNGRAPHGRKTEWKMNEYKAIEAHHADQNQPLIASSSSNTPSAPTLRQEFSLCRVYKKSKCLRAFDRRPLGAEIMSNPSLNLNQTAAAHEGAGHQGQGSASHSRNPLMGSDQRTNSSSPESSSSGDHHGPQPPSQPAGETGTLPMFIDNEALWDLEQLMINNNWL >ONH96272 pep chromosome:Prunus_persica_NCBIv2:G7:14232615:14236491:-1 gene:PRUPE_7G117600 transcript:ONH96272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEELEGQEPVSPNGQYFSSNVISLSVLAVWEFEIPIDPESQTIPLLIKNVFLPVSPRFSSIMVENKGKKQWKRVEVKLEDHVYTPSFPSGLSLESYDKYFDDYISKLLTERFPQGKPLWEIHIINYPTSNAAANVIFKLHHALGDGYSLMGALISSLQRADNPSLPLTFPSRKRSESKRENFVTKTFSGFCNTISDLWSGTLKTMNGDVVTPIRSGNDAIEFRPATVSTMTFSLDQIKSIKDKLGVTVNDVLTGMIFFGTRLYMQEMNQSSSKADCTAMVLLNTRIMGDYLPIEEMIKPNSKAPWGNRFTFMHVPIPKLTELSNALDFIWNACKTIKRKRNSFAAYFNSRLLEIVHKFGGHEACGKYIHRTLKNSSMLISNLIGPVEQMSLANHPIKGLYFVVGGGPLSFEITIVSYMGKVRVAFNMEKGVIDPQKLKSCMENALQMILNDSHKHMSLNI >ONH94347 pep chromosome:Prunus_persica_NCBIv2:G7:1674651:1675050:1 gene:PRUPE_7G012200 transcript:ONH94347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSCLTGLEVMSRTLSERMHACLQAGVLYLGKREKVPIMSFFIVLWMQSHGPICSGKQVFSWELPKWSWVIILTYCLRPTGKRV >ONH98480 pep chromosome:Prunus_persica_NCBIv2:G7:21198148:21201726:-1 gene:PRUPE_7G250800 transcript:ONH98480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATLLPDLGTEILIPLCAVIGIAFSVVQWMFVSQVKLSPGRDANSNAPGKNGYNDYLIEEEEGGNDHNVVLKCAEIQNAISEGATSFLFTEYKYVGGFMVAFAVLIFVFLGSVEGFSTSSRPCTYDQTKICKPALATAIFSTISFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRAGAVMGFLLASSGLLVLYIAINAFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAEASCAALVVASISSFGINHELTAMLYPLIVSSVGILVCLLTTLCATDFFEIKAVNEIEPALKRQLIISTVLMTVGIAIVTWISVPSSFTIFNFGTQKVVKSWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGISTVDVLSPKVVIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKI >ONH94922 pep chromosome:Prunus_persica_NCBIv2:G7:7441810:7444537:1 gene:PRUPE_7G040200 transcript:ONH94922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFGGVQLPSFFGSLEKLKYLNLSGASFGGIIPHNLGNLSRLLYLDLNNDHDDPIETDLQWLATLSSLKYLNLGGVDLAKAASYWLPTVNMLPSLVELHLPFCGLSILPLTLPSINFTSLSVLDLSSNGLNSTIPPWLFNLTELEMLDLTDSSLTGKLPDSLGYLKSLKYLHLSDNSFQGSIPKSIGNLTSLEEFYLGWNQMIGIIPESLWELSSLVSLDIYGNTWEGAITDAHFAKLGGLRKLSIGNNSPNISLVFNISSDWIPPFKLRFLYIRSCQLGPKFPAWLRNQTELTTVVLRNAMLSDTIPDWFWQLDLQLDILDVAYNQLSGRLPNSLRFSYSSTVDLTSNRFEGPLPLWSSNITWLYLRDNIFSGPIPHNIGQVMPNLTYLDISRNSLSGSIPLSCGNLSQLEFMFISNNHLSGEIPHFWNNIPLLFIVDMSDNSLSGTIPRSLGSLTLLMFLILSSNNLSGEVPSFKNCTYLNILDLGDNKFSGPILASIGESMPCLQILSLRSNSFTGSIPLKLCGLPTLHILDLSHNNLSGNIPHCIGNLSGLKSDYTSEDTNAFGYQGGLEVVLKGRVLEYDSILYLVKSIDLSDNNLSGEIPVGITSLIKLGTLNLSMNHLTGNIPPNIGNLGLVETLDLSMNKLSGSIPQSMVSLTFLSHLNLSYNNLSGKIPTGNQLRTFVDPSIYEGNADLSGCPLPAGCQDNEEAPQVPSGDGGEDDDSKLEKLQFVISMVIGFCAGFWGVFGTLAMKRSWRYAYFLFLDKVKDAILDFVSAIGTYLQKRS >ONH98242 pep chromosome:Prunus_persica_NCBIv2:G7:20585494:20588932:1 gene:PRUPE_7G237900 transcript:ONH98242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELLSWLKFVCISLMVLVFSLRMAVLLWWRPRKIEEHFGKQGIRGPPYRFFIGNVKELVGMMIKASSQTMPSTSHNILPRVLPFYHHWKKIYGATFLVWFGPTVRLTVSDPDLIREIFTSKSEFYEKNEAHPLVKQLEGDGLLSLKGEKWAHHRKIITPTFHMENVKLLIPVMATSIVDMMDKWSAMSSSGEVEIEVSECFQNLTEDIITRTAFGSSYEDGKAIFRLQAQQMVLVAEAFQKVFIPGYRFLPTKRNINSWKLDKEIRKSLIKLIDRRRENSNSANNNNNVHEKCPKDLLGLMIQASNSSPSSNITVNDIVEECKSFFFAGKQTTSNLLTWTTVLLAMHPQWQLQARAEVQKMCGARDIPTKDDVVKLKTLNMILNESLRLYPPTIATIRRSRMDVELGGYKVPRGTELLIPILAVHHDQSIWGNDAKEFNPARFAEGVARAAKHPVAFIPFGLGVRTCIGQNLALLQAKLALAIILQRFSFRLAPTYQHAPTVLMLLYPQYGAPIIFQRLPQHDQDQDQGS >ONH96841 pep chromosome:Prunus_persica_NCBIv2:G7:16451084:16452773:1 gene:PRUPE_7G155400 transcript:ONH96841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRCSSSSHGNMAGPCTCGVLHHTQSNSSFSMLFSMPNHHKPYDHHHHHHHETQHDHHNHMYPFASSSSSVDCTLSLGTPSTRLTENDVVLDDKRTRNERRSVSNFCWDLLQPKHHATSATSSHHHKNGSHRSGGNGNGVSNAVHSNNDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATAAAANGGSSSVVGMDHNSHMLSQHHHNNSWMPHSQTQKMPCFSPAMGNEFRFMEDDTAHHENDATGIPFLSWRLNVTDRPSLVHDFTR >ONH95584 pep chromosome:Prunus_persica_NCBIv2:G7:11488207:11490696:1 gene:PRUPE_7G079200 transcript:ONH95584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETSDAMNLDLNLGPGPEPASASNEPVNLDAWIEAPIHRIAEPVGIRARHWRWAHPEGQNISMELNQLMVNSGNSSTLQAGEGSVTAEERTSDVPKMCENNNGVSENETSDSKDDVEKGSGNDGSFFDCNICLDLARDPVVTCCGHLFCWPCLYRWLHVHSDAKECPVCKGEVTIKNVTPIYGHGNTMREPDDDSKIPLRPQARRVESLRQTIQRSALNFPVEEMIRRLGNRFDLTRDIVQPLEPENARETAERTSTLLNRILTSRGLRREQNPVALADDVVDLTQGSMAGLETGENRRLQLLQLRRSQSHRAAFSSFSSALSSAERLVEAYFRSHPTSTGRNQEQQQQQQPAPVDDRDSFSSIAAVINSESQLDTAVEIDSMVSLSTSSSRRRNDASRVSDMDSGDSRAPRRRRLN >ONH96597 pep chromosome:Prunus_persica_NCBIv2:G7:15544201:15547481:-1 gene:PRUPE_7G139500 transcript:ONH96597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVGVGALRAAFQMLLDAVIAVKAETIMFKPLLGNIKSTLDALQPMIEDIEKYNPKEGLENYAMQMEEGVNLVRKCSKVGVWSCCRKYRYTKKLDELDRCLQRLVEVLKVQGIRDVRETLVSLRNIETVLHRIEGNLVMQNQSEKINGWSAVPEPPPVTVGLDVPVKELKIKLLKDHVSMLVLTAAGGCGKTTLAKKFCQDQEVKDKFKDNIFFVTLSKKPNLDLIVHELYQRKGSQVPAFQNEVIAVNWLQQFLKETGQSPLLFVLDDVWSGSESLLEKFDQFKMSNYKVLVTSRFAFPRFGSPYHLESLNDADAMALFRHSASLDDKTSYAREDLSRKIILELCKGFPLAITEVGRSLRGQPIEIWRKKVMEWSKGSSILASNSDLLACLQSSLDALDKEKPILKECFLDFCSFPKDQRISAATLIDMWAELYGLDEPSLSIANLYELTTQSLANLVDIRNEREADGYYTEHFVTQHDMLRELAIHQASQEPIGQRKRLTTDIRGDNLPNWLTEAKHQLMQARLLSISTDGAFSKKWPNMQLPKLEVLVLNFETNSYTLPEFVKKMDNLKVLIVTNYCFLPSELNNFQLLCSSSNLKRIRLERISIPSISKNLKQLKSVQKISLFMCSIGQAFGKGSIQILDALPNLAEMHIDYCHDLVELPAELCDLIRLKKLSITNCHNLSALPEKIGKLVSLEVLRLRSCTNLLELPNSIRNLEKLKFLDISYCLSIKELPEHIGEMCSLKKLNMRQCSRLQDLPASVLDLERLKNVICDEETEILWEPFLPLLKNVHIKVVKEDINLNWLHKHPS >ONH95651 pep chromosome:Prunus_persica_NCBIv2:G7:11827897:11828518:-1 gene:PRUPE_7G083100 transcript:ONH95651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTMQNLNSIYTIFITIFLFFFFFLTLANAEAHRFSKPLSPSKHGLKREKLSHLHFYFHDILSGRNPTAVRVAEAPTTNTSSTVFGAVVVMDDPLTVGPELGSKLVGKAQGIYASASQSELGLLMAFNFVFEEGKYNGSTLSVLGRNSVLSEVREMPIVGGSGLFRFARGYAHASTHQVDFETGDAVVEYNVYVFHY >ONH96404 pep chromosome:Prunus_persica_NCBIv2:G7:14808698:14812581:-1 gene:PRUPE_7G127000 transcript:ONH96404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKIIKGNKKPSKSDSHDPSSYGYGPPGTRNSGAVANVVVNHASRAGPAASGPNSGGAPGITALPPSGTIEPLPFFRDAPVSERQTLFLRKLQVCCFQFDFSDTLKTPREKEIKRQTLLELVDFIQSGSGKITETCQEEMIKMVSVNIFRCLPPASHENTGQENADPEEEELYLEPSWPHLQLVYELLLRYIVSSDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYLKNILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGIAELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKSIAVYHQQLSYCITQFVEKDYKLADTVVRGLLKYWPLTNCQKEVLFLGELEEVLEATQAAEFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNEHIVGLIAQNRNVTLPIIFEALERNIQSHWNQAVHGLTVNVRKMFLEMDADLFEECQRQLAEREARSREVEEQRELTWKKLADAAAQRGEDDMVTA >ONH96670 pep chromosome:Prunus_persica_NCBIv2:G7:15862229:15863228:1 gene:PRUPE_7G144900 transcript:ONH96670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIISRATPQQKQPSFSEAEKKDGHKELSEAQSSSITSHVYLKSSAGAPMDRDVVLRRIRHHKNLSKVKSAFQSLMGSSQEAASMASVDQTWLHQEDIFSSP >ONH95652 pep chromosome:Prunus_persica_NCBIv2:G7:11830176:11831828:1 gene:PRUPE_7G083200 transcript:ONH95652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGHGLRSRTRDLFSRAFRKKGYIPLSTYLKTYRIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEEFRLRKIKNDQLKAEAKAKGEVISTKRQPKGPKPGFRVEGAVMETVTPIPYDVVNDLKGGY >ONH97837 pep chromosome:Prunus_persica_NCBIv2:G7:19393078:19395657:1 gene:PRUPE_7G213200 transcript:ONH97837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILRTAFRGHFFVELPGNLLSFPQSRAAFCPISTALSHAEATSFSNDTEHCTASCFDERFQNVLRKLSKNNPNERTSLCSGPSGLLRSCTSKGPLTEGKAIQDQLIKNGIHPSLEICSSMDSLFVKLRSFAFAGKVVDELPEQDAVLWNKLMSRLEDEGCSYDLIKFYCQMRKDGSMPNGLSLAAGLKACSISLELDFGTQLHAEVIKLGVFLDGIVGSALVDLYAKCGELELANKVFFNMPKKNAVSWNALLDGYGKIGDWKEILTLFCRLKIQGLKFSKFTLLTMLKSCAHMENLGGGQAVHALLIKIGCELDKILGSCLLNMYSKCELADDALKVFGRIKNPKIVAWSTMISCLDQQGRSLEAAEMFCQMRHTNLRPNQFTLASMVTAAKNLGDWHYGESIHACVFKYGFESDNYVSNALVTMYMKVGSVQKGWHAFNQMPVRDTASWNFLLCGIYDSENCDHGPNVFKEMLAQGFKPDTYTYISILRCCSSLLTVFFAKQVHTHIIKSGLNANRFVATVLIGMYSKGRSLDDADVILNELMERDLFTWTVLISGCAQTNQGEKAVKSFNQMQRQGVKPNNFTFSSCLSACSSSAILESGQQLHSLALKSGQSNDTYVSCALVAMYAQCRCIEDAEKIFKGLDSRNRVSWNTIICGYSQHGQGKKALEAFQIMLDEGVPPDEVTFIGVLSACSHMGLIDQGKMHFNSLRKEYGLTLSIEHCACMVNIFSRAGKFNEVERFVGEWKLTESPLIWETVLWACKMHGNVEFGERAAQKLFELEPAMGFNYILLSHIYAANGQWDDVARVRALMRSRKITKVPGCSWLEFNAQAHVFFAQDRTHPMIREIYSQLERLAR >ONH95175 pep chromosome:Prunus_persica_NCBIv2:G7:9357093:9357308:-1 gene:PRUPE_7G054900 transcript:ONH95175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKGSNGNSNSQVANPNASGAKSSDVAAAAASGGGAPAAGSMKAPGRDDTISRESFESNPAAYFKDLRK >ONH98109 pep chromosome:Prunus_persica_NCBIv2:G7:20210985:20211218:-1 gene:PRUPE_7G229600 transcript:ONH98109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSYAPWTNKIKMLKIEWFHFQPGLDAASVLPRPFDSASWTQVHASFGSDGYTCIVLSGGNMYVYLYSLMKGDSNT >ONH97442 pep chromosome:Prunus_persica_NCBIv2:G7:18257407:18260068:1 gene:PRUPE_7G190700 transcript:ONH97442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFVVVFWALGGASVLGAGQANDSYQIYVQKECSFTRYPSICVQTMMGSGLGHVDIMLALANKTISETMLATFEFVNFSSQFKLGGKLGACEPQRVHSVKDYYCEELMNMSLKRLDQSLLALKQSPRKNKHDIQAWLSAALTFQDTCKDYASGQISKRIDNASQLVSNLLALVNRITSNHTTTVTNHRSVDQGLFAKWVSQRDRKLLQATTIKADAVVAKDGSGDYKTVSEAVNAASGGRFVIYVKAGVYEEKIHTKKDGITLIGDGKYSTIITWDDSVAKGASMPGSATFTVTGDGFIARDIGFQNTAGPQGEQALALYISSDRSVLYRCSIVGYQDTLYAYALRQFYRECDIYGTIDFIFGNAAAVFQSCDLVLRRHKGYNAILANGRSDPGQKTGFSVQNCRIIPSSDFSPVKHSYSSYLGRPWKQYSRAIVMESTIDDVIAPQGWVEWPGAGGSSLKTLYFAEYANGGPGAGLGKRVQWPGFHVIGADEAVEFTVSNFIAGTSWLPSTGVTFVSGLH >ONH95934 pep chromosome:Prunus_persica_NCBIv2:G7:12869629:12870102:-1 gene:PRUPE_7G097300 transcript:ONH95934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGLSKLGTALTVVFAAILAALATQLFFLLRRRLKSQSQTRIGPHENPPHSQHHRSVDVDVDDVFKWQQALYGVSSRVLFTIEEEEERKGLDFAETTTHSSCAEKEVKTATTVVLDVAVTVMSVEVDDGTTPFSTPCASPPYYTPSPSPGRETCSM >ONH95123 pep chromosome:Prunus_persica_NCBIv2:G7:9143914:9145005:-1 gene:PRUPE_7G053200 transcript:ONH95123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMLQNFASRFSLTEEEQQALVVEPDKAGTLKTPRFLLVGKVLSRQSINKEAFKRTMHMLWRPKAEVDIADLEADLFVFSFKTNAARATILRGGPWTFNHFLLVLAEADDLVHSTRIPLCQQEFWVQVKGLPLIYMTREMGKMIGQQIGEYVVTDQSKRGQCFGSYLRIRVVLDITKPLRRCLPIQLQEGKVEWVDLRYEKLPHVCYLCGCFDHIESQCHKFQGEQVDDVAKPYGRWFQEDILGPEYRRPLGRRFGISDPPGWSMKAPIDMEEEVEEFVEVAHDGHGGGVGLDKENLTGEVLQPLNAPIMASNSNLHVMENLSRPVLPDLNLSLNLGIDWEPNHECIADSNRQLTLALNEQHD >ONH96620 pep chromosome:Prunus_persica_NCBIv2:G7:15692439:15694064:-1 gene:PRUPE_7G141600 transcript:ONH96620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMKQLVRWVQSIESLMVILAPLTQPKTTHIIFLCLIVLSMAAAAAAGKYSDYDDFEFYSLFITWPNTYCMLMKDLAGSDCYEPVAQKFIIHGLWPIYNDFHVRPKCRSTSNAQKQFNGEPFKKCGIILFFISTCHVVFFYFCRDVAAALEYLHHGCAILIVHCDLKPSNILLDDAKVAHVADFGIAKLLGGGDSMTQTMTLATVGYMAPEYEMEGIVSTRGDVYSFGIVLMETFTKRKPTGEMFVGEMNLKQWVSNSLLADAIVEVVDAYLLGAEEDHFVAKRDCLSSIMRLGLACSAESPEEKMSMQEVVVTLNRIKIKFLKDSGVE >ONH97750 pep chromosome:Prunus_persica_NCBIv2:G7:19087877:19096458:-1 gene:PRUPE_7G207600 transcript:ONH97750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGFRSSSSSTPKPFPLNFNLTLILSTMRLISPRTLYCLSSSSLSPPFLQISHFSTSSPAHHFHSNYSFRRHDEDVRNVRISVWWDFENCHLPAGVNVFKVAHAITAAIRANGIKGPIQITAFGDMLQLSRANQEALSSTGVNLTHVPHGGKNSADRSLLVDLMYWISQNPPPAHLFLISGDRDFASILHRLRMNNYNILLASPENAPGVLCSAASIMWPWHALLTGENLTGKYFNQPPDGPYGSWYGHYKVPLEDPYSVVEPPAQSQTEKLSERGSDSKPRPIPTAVMKQIRQILKSHPKGISITDLRMELGKCNLSIDRDFYGYKKFSRFLSHILNLQSLGDGKFIARGGSEKSSEPCQSNLGMPTESIADNGGQDLSLASRLDCEDKSINVSANRKLSSPASHESNVEDPNRELQQPFSPKSDGKSMLPPSPGNVKSSAKPLLSALDEKSPSTPCTENVKTSVPIDGKVVEVAKEQDNELHFPRAVAQGSSSEVGYFKWIRRQWFGYRGNVSGTRSHDCQEDHYTSGNVTEKEGHDTPVKQCTSVGNSGQRKDKEKLVGSTSQVVDQAPHVSSSSSYNESDKDNETATSYEVYANKSKTSQGFFDQIVNWCKFWRSSPCSDKLSDQSCDRPNLMNTHSQEHMLFSTDSFWRDMGSFMDTPKGSVLASESRTREQMALNLQKEGPLFLRSLRKDDLVHLVDLLISEKKWVEESPSQTSPFKLTYRDGKSSLDHSNDTNRLTSIFLNKPSQQDIQRSREHDGEEKCRNIPHSGVSLPAIKKKPSDRCRIEIIADCQKLVNEILKEYPEGYNMALFRKLFLDRYGYHLDLHMLGYQKLASLLQMMPGVKIESSYIIPACKTPKMFDMDSYVRNIRKQTVSHTVANSDSELSDASTKDDVSDSPWEELGPVANTSEKNEVEVASRRKAIGSTGFDYEPSLSDGLSDSEGETSPLTELEGEGAARVNKEDSSLLQILDSWYSDKDGGSSKERSENFEGLVDFTNVSKLSVSSGVGPMNGTCSGNEARKQRPQKNYSFVADSGDNKDKLIDGIVGSLKKSREPRMKS >ONH97954 pep chromosome:Prunus_persica_NCBIv2:G7:19761567:19762407:1 gene:PRUPE_7G220900 transcript:ONH97954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPKTVSSNYPMSLQFDLDEALTWEDNSNSTLLNSNINIPNPNSNSFMILQNMPTPPPAAAAVDDVCAVCMEGLQSGSDDDEEDDQNQNHKGKLVPCGHVYHATCLASWLFVCNSCPLCRSTIHLK >ONH95479 pep chromosome:Prunus_persica_NCBIv2:G7:11097457:11101512:-1 gene:PRUPE_7G073400 transcript:ONH95479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPPPEDFALKETKPHLGGGRISGDKLTSTYDLVEQMQYLYVRVVKAKDLPAKDVTGSCDPYVEVRLGNYKGATRHFEKKSNPEWNQVFAFSKDRIQASVLEVIVKDKDVVKDDFMGRVSFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVRGELMLAVWMGTQADEAFPEAWHSDAATVSGADSLSNIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGNQALRTRISPSRSINPMWNEDLMFVASEPFEEPLILSVEDRVAPNKDEVLGRCAIPLQYVTRRYDHKPVNTSWHNLEKHVIVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKPLWKSSIGVLEVGILNAQGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPRWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKAGGARDARIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHMAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMNVLGGVIAVGKWFDQICTWKNPITTVLIHILFIILVMYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATSLFVLFCLIAAIVLYVTPFQVVALLAGFYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >ONH96317 pep chromosome:Prunus_persica_NCBIv2:G7:14389996:14390765:-1 gene:PRUPE_7G120400 transcript:ONH96317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETSLLVDHMAPQTEQYSRRGMICNIAKLKKNGLHAKLRLTRGSEKKPPRDVPPGHLAVVVGEARRRFVVRADLLNHPVLRQLLDQAYEEYAHECPGPLAIPCDELLFEDIVHSLRGGTSSNQFSCPFIAF >ONH94702 pep chromosome:Prunus_persica_NCBIv2:G7:4405590:4407455:1 gene:PRUPE_7G026700 transcript:ONH94702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKFICYPSKCRIPMQEAKKNKCDAAKGKLRGTQRIVVENHKDINGLRKFTLKTKWKGIVAIEDDNKNRGKLRGHLRSVAKEIDSEIKFLKF >ONH96466 pep chromosome:Prunus_persica_NCBIv2:G7:15049177:15052164:1 gene:PRUPE_7G131300 transcript:ONH96466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVKRCEGLRLIRLISVINLTTFHCIGDHAELQLRLGRVPNLKDVHLMLKRINIETMKRVCGISADTSNHNDKDHISALPDDVLHTIYNLLSMRDAIRTSKLSRRNVRYFQRSIEAVFASHSGTKIESFKVQCCFGNEHPRYFKGWINFAVARATETLELAFSCDELSERTDWTTIDCYNFPTNLLPISGEGSKLRHLSLRTCKLRADNIFVDRFSTLTNLVLCDVKFVGEAEPRLFSSCSKLESLTLERCFGFESLRASTEDARAEEDSRRMYRLTQSEEDTYLDEERVKDI >ONH98185 pep chromosome:Prunus_persica_NCBIv2:G7:20402827:20405662:1 gene:PRUPE_7G234300 transcript:ONH98185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTMSSLNPHAASYIPLNKKEEEANHETALFNSPSEGHNGTAQFYFEGGDASSDFSIHDIEALVIDNGLEKSGLQQKISNQAEVQYIDEDSEIHLAYLSFMFPNISEHCLAHVHSANDDDLEASINMLYDLECFPVAASKSLPVSSDTTGDDDASELEVTEREK >ONH98578 pep chromosome:Prunus_persica_NCBIv2:G7:21426084:21428882:1 gene:PRUPE_7G255100 transcript:ONH98578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKKTLYYCQGKRTKTDDVSSTAYFIILPPCDFTFLGSPQRLVPSDRFPSSQIQNLKSTSKSNPPSASQCVSLYKQRPQAKTKITALAICRNIRSRLNPFSNSNQDPRISRMVYSYAPTYYSTLHDSVTNLCKTILPFSFKKRRLPAAEHKLSKLQSDNLKWQQDSFHQMLNLMGLHKEGILAETEVSAFRSHLLDTLIASPTEREQSAILRDKLLFLQELLYAKCISEDEYHSSKRPLLQRLAVQGAEIEARDVIVAGLPPKDPKENTDQEQWSVIDLKDEKCLLNKDKDKSNSKNKSKHGSAMKQIKGAASVLGFVSPYKQGKSREERSIFDLPESSKVSSSSSSKHELGYHYKENEARSILMPESLPQEPVKESGSSDRTRRKPFKNLFQREQREGHGVGGGGGNHGHENEQTPSKSAKKQWGFDGFKKWKRSDSEDETTPLPLNERSDSEVHLKLVSSPMGEGPDTKLIKRKLLSDGSPSDFFIDKVLGEKIKKELSRIQTELCTSNPNLKFSNDQIEAISTKLPVDKADLKKFFPKSWCDRYGDVVLDVVKKEFKDHVGEMESLRNAAREKHGMNSARWTTFQDDEENCHPNLFASGDHSHHTSKFHETNPFCDDHTPSDGRKLRSKPAFTQDHQNPFWIPGHGY >ONH98334 pep chromosome:Prunus_persica_NCBIv2:G7:20862750:20864056:1 gene:PRUPE_7G243900 transcript:ONH98334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLGSQGVVLATAMAVSGTVILLALRLQKSLPNSHFPVDQINQSSPQILRSCISSEGKKRRKKNKRVHFAEDVVDPSGDGQEFRRQHQIITSTSSNSSSSCLSASSTSSTVLNFKKTRAQKFKGPMPANRAALYNGILRDRVVHRLAYSY >ONH94861 pep chromosome:Prunus_persica_NCBIv2:G7:6609958:6610485:1 gene:PRUPE_7G036000 transcript:ONH94861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTSCFRRVALLMILYILHNSCVAESTLVFIVLSFGAMPNSVIDSTQAFLDLRLITESLEEEGVQNVTVKNAIFKGTQNGLRIKSWARPSNGFVQGVQFLDVAMFNVQNPIVIDQNYCPLWVESCHCESVKASISQLFR >ONH96566 pep chromosome:Prunus_persica_NCBIv2:G7:15424250:15424898:-1 gene:PRUPE_7G137600 transcript:ONH96566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPAQAIETSSNNFQAGEDEQDKVINECCSCFYDCTETCFDYLFCNLC >ONH98635 pep chromosome:Prunus_persica_NCBIv2:G7:21610263:21614110:1 gene:PRUPE_7G259200 transcript:ONH98635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTSGVAAKFAFFPPDPPTYDVHKDDGGKLVFSGVTADKNMEVHLLDTKAGNKIVATFWKHPFARFTLLYSHGNAADLGQMHELFIELRAHLRVNIMSYDYSGYGASAGKPSEFNTYYDIEAVYDCLKNDYEIRQEDLILYGQSVGSGPTLHLGSRLQKLRAVVLHSAILSGIRVLYPVKLTFWFDIFKNIDKIRHVNCTVLVIHGTDDEIVDWSHGKRLWELSKEKYDPLWVKGGGHCNLETYPEYIKHLRKFINAMEKLSLAKQTKKQLTSNLSITEVKHNKCLRFGKK >ONH98243 pep chromosome:Prunus_persica_NCBIv2:G7:20591659:20592483:-1 gene:PRUPE_7G238000 transcript:ONH98243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVVHLCGSTKSSALVSLASVHDCCSHVILLLSQIETQRERERERDVSVGLQLI >ONH97848 pep chromosome:Prunus_persica_NCBIv2:G7:19439505:19442239:1 gene:PRUPE_7G214100 transcript:ONH97848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSRDAGLRRTTSFAAAFAVVIFSLIAVARCSNNDSEVTCSRICVAENCNSVGIRYGKYCGVGWTGCPGEKPCDDLDACCKIHDDCVGKKGMTDIKCHEKFKTCIKKVQKSGKVGFSQQCPYETAVPTMVQGMDLAIMLSQFSNSKLEL >ONH96605 pep chromosome:Prunus_persica_NCBIv2:G7:15586452:15586922:-1 gene:PRUPE_7G140300 transcript:ONH96605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVETILGAAISELVVLLKKTIQKHKDCPALLKNLDATLVSLHPLIQEMENLNRYLGRPKEEIESPRSQVDAGIKCVLEISKSKKGKYIWSMSHHQLSTLDASLKRLHEKLAAQTARNRMETLLCTRMIFGLVVVIALVALLLLWSNGLIRLHAK >ONH98079 pep chromosome:Prunus_persica_NCBIv2:G7:20119041:20127536:1 gene:PRUPE_7G227900 transcript:ONH98079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVKEDEKNERIIRSLLKLPENRRCINCNSLGPQYVCTNFWTFVCTNCSGIHREFTHRVKSVSMAKFSSQEVNALQEGGNQRAKEIYHKELDPQRNSFPDSSNVERLRDFIKHVYVDRRYTGERNLDKPPRAKMGEKEDSYENRRLDSYQGGSRSPPYDDTYERRYSERSSPGGRSYDGQRSPGYDLEARQYNDYKKSPGRPEIVNDWRREDRFGNGRRNDDRRISDGDSKLDAKSPERPRDLDSSSPPIVRPVRDILGDNTIPLRIIEPPKANSARAADGSVQTQRTASSSSLGSTNGNPVEVKLETSGSLIDFGADPEPAPAAAVPQPQQTTVAQSYPQPANSTNDNNWASFDVAPEVKAPQAPANVNSLESLLSQLSVSAPGPSYVSGATGNMGAPTIAPSSSVSTFSPVGAPVPAPGLAQVFPVNGGSFLVKDPTSGQWPTMQHQQPSLYSANGIQPAAQQYIPSVDGASSNQPWNLTHPPHAQGQPSISAPHAQQDASRSGHDVSTIASQPSAGDAKPSGRRELPADMFAMNFSSFPAPVPGWQTGPPRAMGFAMQYNTAVPMPTFQQSSKSANPFDVNSEPPIQASTFPSAASFQAALPNVQSLSPPGLVRTSSLNTPSSAWMPLQSSSYSSAVPPQAPQYASQVPPQLSSYSSAVPPQAPQYASQMPPRAYMVQQVPSSMPPSGYQGAVGFGSEGSAFASLNTDQQLIGRFSAPATPNPYSSAGGNPFG >ONH96651 pep chromosome:Prunus_persica_NCBIv2:G7:15785797:15789697:1 gene:PRUPE_7G143600 transcript:ONH96651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPQEIDDWPTFSPTTPHFFKIILKGNTSSDAKLRIPKKFVMKYGDDLSNPVSLELPSGSGSAWKVDLRRLDGEVWFDKGWADFSEFYSLDRGHWLVFGYQGNSKFHVCIFDRTCTEVDYPLRKQKMEETDDTDDDFHEESSDDSVEEQPDDSTCPRKTRKKSSLPCPRPQKKNRTSSRGKDDYPAKLGSGSTSSTRRFEKRTPGCLGSIGPLPKSGKGIALQRANAYKSQHPFSVIVAMKPSYITGYILWLPSEFHNLYPIKNSGEVILRVLDRRSWPVNLKYGGGRAQFLSGWMEFVLDNNLKVNDVCVFELIDNKVKPLFDVVIFPNIEAANCPNDREQTVPEIEETNEDDDPSDDSGDESGDADYLSDDSDNDSVEIIENCTPFPRRTKEKSPMPCPQPPKKNKASSSGKVDFPASGFAIALQRDDAFKSEHPFFKVAMRTSYIHHRNMNVPSTFVKEHLK >ONH95049 pep chromosome:Prunus_persica_NCBIv2:G7:8558596:8559796:-1 gene:PRUPE_7G048700 transcript:ONH95049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNSAHVSLKLLIDTNGRKVLFAEASKDVVDFLFRLLSKDGIVGSMGKLYESVENLNDTYLQPNLDKDTLLKPKTTVAGANILPQLTNNINVDSNSKQFYMCSNCYPRHISDVSGTTCPNSYSISSRVTYVSPQASSSTVVATSGSEGGYVKGVVTYMVMDNLEVKPMSTISSIAVLNQFNVKDVGALQEKVVHLGMQEGLKLLKASFETSAVLTKVFLGA >ONH94831 pep chromosome:Prunus_persica_NCBIv2:G7:6293375:6294697:1 gene:PRUPE_7G033700 transcript:ONH94831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLAFPLATKLTEKLGSFASEQICLAWGVKADLKKLQSTMSTIKDVLLDAEQKQAHNQQIRSWLRQLKDVFLDAEDLLDEFECEALRREVVRRFFSRSNPIAFRLRVGHEIKEIRGRLDELKSNKAIFDSLTSIDHHGGGGDHHERVNVTHSFLRASKVIGRESRVHGNMELEDFGELYFKDLWARYFFQNVIDFHVFYIFDMHHLIHDLVQSVAQDECFIVKNANTIDISENVRHLTVLEAGQNVSTTLQKLNQVRTIETCEIDMDESFMCTCFSRFKYLRVGVLPKCSLQVLPSSIGSLKHLRYLAFNLNEAITKLPNAICRLQSLQTLNFVYCENLQELPRDISKLISLTSLFLTTKQTSFTENGVGCLKSLRFLNISRCSNLTSLPRETSYLAALRTLWIADCKQLDLGNVNYQGTPLRLILRNS >ONH95919 pep chromosome:Prunus_persica_NCBIv2:G7:12800803:12806015:1 gene:PRUPE_7G096000 transcript:ONH95919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPQAMAAVEARASSIRDALHKSQTITDSMVSILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKTLKAAEVILGQFDLTRKAEAKILRGPHEDLESYLEAIDQLRSIIQFFSKNKTVKSSDGVLNHANALLSKAISKLEDEFRQLLTNYSKPVEPDCLFDCLPDSLRPSSDPAGQKSDGKSSEHQNKSLQPVIYTPLTLIPPRVLPLLHDLAQQMILAGHQQQLFRIYRDTRAAVMEQSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKLLFAGEKKICDQIFEGADSLKNPCFAEVTANSMAVLLSFGEAIARSKRSPEKLFVLLDMYEIMRELQSEIELLFGSKACMEMRESAVSLTKRLAQTAQETFGDFEEAVEKDATKTTVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFDDGDSESQLTAVTTRIMQALQNNLDGKSKQYKDPALTQLFLMNNIHYIVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRVSWAKILQCLTVQGGNSSGSDSSSLSRAMVKDRFKTFNVQFEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFVKRFGPMIENGKNPQKYIRFRPETIESMLNEFFESKTWTEPKR >ONH96246 pep chromosome:Prunus_persica_NCBIv2:G7:14123423:14125185:1 gene:PRUPE_7G116000 transcript:ONH96246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLEMGTNPIQQETNHVIRIWEVNEDRLASIHKKISEPPRLLSNAAGKPSCCIFRIPQSLLEINGKSYNPQILSIGPYHRGEPRLKMIEEHKWRYLGSLLSRTEAKGLTLNDYFKALEPLQAEARECYSESINLSTDEFIEMMVLDGCFIIELFRKFGRLVKFEEYDPLVSMVWIISFLVRDLLRLENQIPYLVLEVLFDLTTLPEHKDNGKTLSLLALEFFNHHMQRPDHVIQNHQNLTGVHLLDLLRASVIPPGHDEPHRGNIIPTHTIHCVSKLRRAGIKLRPCEGESFLVIKFKRGVIEMPTITIDDFMSSFLLNCVAYEQCHKSCSKHITTYATLLDCLVNTYKDVEYLCDRNIIENCFGNDGDVARFVNNLGKDVAFDMDRCYLAKLFNDVHHYYGNSWHVQWASFKYTYFDTPWSCISAFAALILLIMTLLQTFYTVLGHYQT >ONH96548 pep chromosome:Prunus_persica_NCBIv2:G7:15351630:15358312:1 gene:PRUPE_7G136300 transcript:ONH96548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRVRTNLQSMKAALNNDKREKKMETQKNRIMGTERTLINRRRSNRETKMTLLQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPPHTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQQAVYLSTKRNVETLNDSSEQTPIKSAKHQRSKSLSHNEFISATSASRLQPSLSRSVSSRRLMSTDIVSDRTTGNCSSRQVSGKQISRKPNYLSPLSEDGKGKENRLCSNAARDKQSPDKKTTKAVTPVKKLPSKHESMVKCLDPLKLELDCRLVDQERGHESSSGSSDDKVSEADNTPNKVSEDIVKCLSSIFVRMSSLKDKVEELETSRSTLSAHAANGETGFRDPYGICLEFRNIDVGPYKNLRSIDIGSIDLSRTTSALILMNRLKFLLGKLSSVNLEGLNHQQKLAFWINTYNSCMMKAFLEHGIPETPEMVVALMQKAMIDVGGHSLNAITIEHFILRLPYHLKFTCPKAAKNDEMKARSIFGLEWSEPLVTFALSCGSWSSPAVRVYSAAHVEEELEAAKRDYIQAAVGISRTNKLIIPKLLDWYLLDFAKDLESLVDWICMQLPNELRNEAVKSLERRGREPFSQLVQIMPYNFSFRLLLQR >ONH97296 pep chromosome:Prunus_persica_NCBIv2:G7:17743072:17745671:-1 gene:PRUPE_7G182100 transcript:ONH97296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVETLEGHRRSVAAPLVFIVVVVLQFVSRGLQQLKKRGVKTATANRLRGEIKDLLKEASSLSQPSTFAQAAKLRRMAAVKEKELANYQELHGKERKLSYELYLKVLFISKVLTYLVLIWWFWRVPVASISQQLVQPFGKVLSWRAGGILNENVMVGIIPWLILSTRVSKFVCRLFKFKELK >ONH98759 pep chromosome:Prunus_persica_NCBIv2:G7:21893033:21895488:1 gene:PRUPE_7G265200 transcript:ONH98759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTEGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVRYKGEEKTFSAEEISSMVLTKMREIAEAYLGQTIKNAVVTVPAYFNDSQRQATKDAGAISGLNVMRIINEPTAAAIAYGLDKKASRKGEQNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVAEFRRKHKKDISGNARALRRLRTACERAKRTLSSTSQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKIDKSQVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGDEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIEKMVQDAEKYKAEDEEVKKKVDAKNALENYAYNMRNTVKDDKFAGKLDPADKEKIEKAVEDAINWLEGNQLAEVDELEDKLKELEGLCNPIIAKMYQGGGGAGGMPPMGDDDMSGGASTGSATGPGPKIEEVD >ONH94902 pep chromosome:Prunus_persica_NCBIv2:G7:7150835:7155988:-1 gene:PRUPE_7G038500 transcript:ONH94902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKDKEKETDPFLYESEDEDDDIEENKTESGSSSSSSHGGDHAEVSSPVSFTSQKWPQSYRETIDSYSIAASPNIANLGFVPTSSYASIHNYSKGILDLIAKSSFLSTNESAPQKADLDRISITQSLFSEKSTLHKQQTGELPIGHGCSFTQTIFNGINVMAGVGLLSTPSTVKEAGWAGLVVLLLFAVVCCYTGVLMRYCFENKEGITTYPDLGEAAFGRYGRLFISIVLYAELYSYCVEFIILEGDNLSRLFPGTSLNWAGFQLDSLHLFGIVTALIVLPSVWLRDLRLISFLSAGGVIVTLLIVLCVILLGTAGGIGFHHNAQAVNWNGIPLVIGVYGFCFAGHTVFPNIYQSMADRRQFSKAIIICFILCVLLYGSVAVMGYLMFGQQTLSQITLNMPPHAFLSKVALWTTLLFASLTLSKYALLMNPLARGIEELLPVGLSNSTWCFIVLRTALVISSVGAAFLIPFFGLVMALIGSLLSILVSVIVPSLCFLKIAGRKATTVQVVSSTTVAALGIIAATLGTYSSVSKIVKSY >ONH94932 pep chromosome:Prunus_persica_NCBIv2:G7:7625162:7626415:1 gene:PRUPE_7G040800 transcript:ONH94932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSFDSYPHEPSCSGTRRGLSSSLEPLDQDGCSELIIQAEVQHADSGEQLQTIGGSQVVFPLKVVEQQLLLPSHQGQLRIFVSNTPDLVDNMAILTLAADSHPGRFSGKSYLPTHVLVFFLLYKMIF >ONH96897 pep chromosome:Prunus_persica_NCBIv2:G7:16588667:16590658:1 gene:PRUPE_7G158100 transcript:ONH96897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELNAQLKQLVKVGNVGEARNMFDKMPQRDEISWTNMISGYVGASDASEALALFSNMWVQPGLCMDPFVLSVALKTCGLNLNLSYGELVHGYTIKSGFVNSVFVGSALLDMYMKIGKIEEGCRVFDQMPIRNVVSWTTIITGLVRAGYNVEGLEYFSEMWRSKVQYDAYAFAISLKACADLGALNYGRAVHTQTMKKGFDENSFVANSLATMYNKCGKLDYGLQLFAKMRTQDVVSWTSIITTYVWTGQEDLAIKAFIKMQESGVSPNEYTFAAVISGCANLARVEWGEQLHARALHMGLIASLSVGNSIVTMYSKCGRLDSASNMFNEMGIKDIVSWSTVIAGYSQGGYGEEAFQYLSWMRREGPKPNEFPLASVLSVCGSMAMLEQGKQLHAHVLSVGLECTSMVQSALVNMYSKCGSIKEAAKIFDVTEHDDIISWTAMINGYAEHGYYQEAIDLFEKIPSAGLKPDSVTFIGVLAACCHAGLVDLGFHYFNSMRTNFRINPSKEHYGCMIDLLCRAGQLSEAEHMIKSMPFHQDDVVWSTLLRACRLHGDVDCGKRAAEEILKLDPNCAGTHITLANMFAAKGKWREAADVRKMMRSKGVVKEPGWSWIKVKDRISAFVAGDRSHPQGDDIYSVLELLASKTEGTIQEMRSSLIDVEG >ONH96529 pep chromosome:Prunus_persica_NCBIv2:G7:15248906:15252570:-1 gene:PRUPE_7G134800 transcript:ONH96529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNVGKKETQLHQGREEKPPQTPSSKEEGHPTPAQLSKPRPRPKPNTNPKPSLLNPAKPKKPNKPNEKEVEHSMPMKELSNIQIKNMLGQAGKSPALSNTQYEYPVDGSPSRGQAKSSAVIQAQEVRSKLEPRFPSFVKSLVRSHVASCFWMGLPSLFCKSHLPDKDITMTLEDEGGRQCQLKYIAYKTGLSAGWRQFAASHNLIEGDVLVFQLVEPTKFKVYIIRSNDLTEVDGGLGLLNLDSHTKRKDADKDNAETGTEACNDATRKRAHSPPSEAQKKKKIGLQPVEQSEDDSEEVDSEVLEESKSPDTALQFEDIQSFENFSILVNGLLVESELPEDVRRKYYKLCCSQNAFLHENFVEGMNHKLVVGAISETVNIADAIRACKLTTTRDDFVSWDKYLKAFELLGMNVGFLRDRLCRLANLSNDSGAAVEARMYKEAKIERSQAGIGIRNIEVKLEELRDMCDEFGAAIESLRSKAEVYTRKFHEEVTAPW >ONH95579 pep chromosome:Prunus_persica_NCBIv2:G7:11455772:11458968:-1 gene:PRUPE_7G078800 transcript:ONH95579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQYSCNQCPRSSSFIVHKSCTELPVQMHHHPLHAEHPLTLIRNARNYTCNVCREKFYGPTLATFAYCCSKSGCDFILDLKCASTWRKVTENHSGDHPHQFSLYKKPKLKFECEACGEEGRYSVYICRSSGSIRTALHYRATSKQERTHTFSCLDGPLMALLKSARKCAVMVGIDDLDDDEMIEEASNADHPINPDVQAQQITNEDLHKQIKHFSHQHLLTLISGHHEVIKYDGDDNSTLNCDGCTGPISPTDAFYSCMQQEEEEQRNCHFFLHTNCARLPTKTRHRFHPEHLVTLLSKAPSIDGVFKCDICNTFSQGFIYNYEQCSFYLDLQCNFLPDSLKHEAHDHCLILNKAYLMCCVGCGCRPDFRFSCMYLGSCVFSVYCIKCVKLPLITRHKYDNHPLKLTDASVTDDDEYYCEICEGEGDPEYWFYSCEDCDFNFHPDCVLGRYPQIKLGSTYKLDAHPHPVTLVDKIKSVIPNDKRYKDISPCVECHEPCEGLVYECSECNINIHRNGCCNLQEQD >ONH97844 pep chromosome:Prunus_persica_NCBIv2:G7:19415559:19419759:-1 gene:PRUPE_7G213700 transcript:ONH97844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSLTPPTVPVELYVTNREKLLSSLRHHLSQSSRPIHGIVFLQGGEEKNRYDTDHTELFRQESFFAYLFGVREPGFYGAIDIATGKSVLFAPRLPAEYAVWLGEIKPLSYFKERYMVSSVFYSDEITTVLHDEYQGSGKPLLFLLHGVNTDSDLFSKPAEFQGIDKFDTDLTTLHPVLTECRVTKSDLELSLIQFANGISSEAHVEVMRKVRVGMYEYQLESMFLHHTYMYGGCRHCSYTCICATGENSGVLHYGHAAAPNDRTLEDGDLALLDMGAEYNFYGSDITCSYPVNGKFSSDQALIYNAVLEAHNAVISTMKPGVSWVDMHKLAEKVILESLKRGSILVGNVDDMMVERLGAVFMPHGLGHLLGIDTHDPGGYPKGLERPKEPGLRSLRTARELQEGMVITVEPGCYFIDALLVPAMGSSNTTKFFNHEAVSRFKGFGGVRIESDVLVMDTGCKNMTKVPREISEIEAVMAGAPWPLDKASTGKG >ONH97638 pep chromosome:Prunus_persica_NCBIv2:G7:18836937:18840289:-1 gene:PRUPE_7G202700 transcript:ONH97638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGKGMEEKKGRNEVVLQISVTEGQTGLNNETRDSNLELTELQSLRVSGSASPSPDISGQGLTPGKPPKVPTEPASRRASFSRSAFSKPKSRFVEPVPPGEMKVTDENTQLKSNANSPNVASPSSKATATTPRDTLRSAPVTPRTPLIEPGGEEDDDDEVYKTANLKVREKSGKKKLKKLVLIELIVFVCVVGFLIACLTVTKLEHKKIWSLELWKWCVLVVVVLCGRLVTEWLINVLVFLIEMNFLLKKKVLYFVYGLKRSVQIFIWLGLILLAWALLFDGHGVKRSRKTSRILGYVTRGLASCLIGSAIWLAKNLFVKLVASSFQCSRFFDRIQESIFHQYVLRTLSGPPLMEMAEKVGRTPSTGQLSFKNMKDAANKGKEGAKQEVIDVEKLKKMKQDKVSAWTMKGLINVVRSSGLSTISNTLESVDEEEGEQTNKEITSEWEAKAVAYDIFLNVAKRGSKHIEEDDLLRFMKKEEVDLVLPLFEGAAESGKIKRKALKNWLVNVYLERKSLAHSLNDTKTAIEELNRLASGLLLLVILIVWLLLMGFLTTNILVFISSQLLLVVFVFGNTAKTVFEAIIFVFVMHPFDVGDRCVVDGVQMIVEEMNILTTIFLRYDNEKIYYPNSVLASKPISNFYRSPEMGDSVEFAVDASTTVDTINSLKGRIKSYLDGKTQHWRPTHSVVVKDIEDVNKMKMALYVTHTINFQNYGDKSSRRSELVLELKKIFEDLGIKYHLLPQEVHVRYVGPATSELPPTWR >ONH95736 pep chromosome:Prunus_persica_NCBIv2:G7:12212244:12213133:1 gene:PRUPE_7G088500 transcript:ONH95736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLILIFFRFPKKPNMTQTPKQQDLNLLVICTKLNCLCLLSCLDGRKLKRKKTSVLDYSLKSGSRINIYLNLAILRTQTIPKVTETLNNTCTNRECNKKKSGQFEKERTRKNKEEIHQ >ONH95304 pep chromosome:Prunus_persica_NCBIv2:G7:10118882:10119962:1 gene:PRUPE_7G062400 transcript:ONH95304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLSIQLYHLLVILAHILLTVGQFIPHPQTPVEVCQKLPTLTIIGVAHQYLVKYQTPMLFLPWIFIITVESTIPLLHFLQPTIILVALTRVQFHLLLKDLLGRAQIYQDEDLLCTHSLLVTASKHKPNKTRCVAADELELVQEMLKYLLMRTDRKDKLPAPYLFILFYFIILL >ONH98902 pep chromosome:Prunus_persica_NCBIv2:G7:22241007:22246234:-1 gene:PRUPE_7G271500 transcript:ONH98902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIEKIQEALLEEKKVLFSFEFFPPKTEDGVENLLERMERMVAHNPAFCDITWGAGGSTADLTLDIANKMQNIICVESMMHLTCTNMPVDKIDHALQTIKSNGLQNVLALRGDPPHGQDKFVQIQGGFACALDLVTHIRAKYGDYFGVTVAGYPEAHPDAIGTDGLASPEAYQSDLAYLKRKVDAGADLIITQLFYDTDVFLKFVHDCRQIGITCPIVPGIMPINNYKGFIRMTGFCKTKIPAEVTAALEPIKDNEEAVKSYGIHLGTEMCKKILAHGIRTLHLYTLNMEKSALAILMNLGLIEETKISRPLPWRRPANVFRVKEDVRPIFWANRPKSYISRTIGWDLYPHGRWGDSRNPSYGALTDYQFMRPRARDKKLVEEWVVPLRSVEDIHEKFKKFCLGKLRSSPWSELDGLQPETKIINELLGKINTKGFLTINSQPAVNGERSDSTSVGWGGPGGYVYQKAYLEFFCSKEKLNALVEKCKALPSLTYMAVNKDGRWISNIGQTDVNAVTWGVFPAREIIQPTVVDPASFMVWKDEAFEIWSRGWACLYPEGDSSRKLLEEVQNSYFLASLVDNDYIQGDVFAVFADF >ONH94438 pep chromosome:Prunus_persica_NCBIv2:G7:2402515:2405136:1 gene:PRUPE_7G016600 transcript:ONH94438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKGQDPNSPGNGSERSSEPVRSRWIPKPEQILILESIFNSGMVNPPKEETVRIRKLLEKFGTVGDANVFYWFQNRRSRSRRRQRQLQASLEQRNNNTKSNQVGDHQMGISSGAIQYEISCSPPAPAPAMAFGASPTSFGSCNYLVGSSSSSSSGHHLMADHDQQTSSSVDPFFSVSGQMGFPEIEQGSGVTSVLGGGPSDTSTLHFQSGLITVFINGVPAEVPKGPLDMKSMFGQDVLLVHSSGLPLPLNEFGFLAHGLQPGESYFLVSRTT >ONH97825 pep chromosome:Prunus_persica_NCBIv2:G7:19373691:19376975:1 gene:PRUPE_7G212600 transcript:ONH97825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASDLTVAVTRLKREDCKRTKHDSHFSNWKILVGPSDWEDYSLGIEGAERYRVHNLPEKESPGVYELGIAFLRTGLGREIGKLDPDYIVPVYLGQADNVRTRLQQYGRSGAHLGNGCPTGHPSDRVQKGPGLFAEILSRDYPVVYRWAPMENKSVALKTETQLLNTFDYAWNTNINGARRPDDVLKKLKMVSSSPTRFVNIAQKLVPFSPKKVGTRIESSILLSPEDKFSAYANRESHNPLSRVFKLGRSQPRLVLDRIGITQENTIICGVDVGDGSICRMPPVPGRKRCAKHKGMRINMSTRVGISNSTVDSESECSAISSIEFHGAQIINSDPVESFTSICGFILADGSVCRTPPVPGRKRCAKHKGMRINRSTRVGISNSTVDSESECSAISSGVFHDAQIINRYPVESFTSICGFILADGSSCRRHPIQGRKRCDEHKGKRI >ONH96793 pep chromosome:Prunus_persica_NCBIv2:G7:16292818:16296715:1 gene:PRUPE_7G152500 transcript:ONH96793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCPYCLAGQGRCATTTNSRRSITECTSCGRVVEERQSQFHHLFHLRAQDNPLCLVTSDLPTLHQAQPNNAAADDDDPFEPTGFITAFSTWSLEPNPLFLRSSLSFSGHLAELERTLESTSSSSSSSPSSSTVVVDNLRAYMQIIDVASILGLEYDISDHAFQLFRDCCSTTCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQKLATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPERAFPTTVIASGRSSTSKVDLVEIPVYLEREKLPEIKPNKPNEGLDMNVPAIGKEEFELKGNSRGAQTTVLNQSTTFWQHQVPYGTSGLRTAGKNSHNGTEGMDIDEPQRNQQPNQQFEQKMGMDSNGLTSTRKPTQILSPPASGACSVTRQFRCPPPSSGSSPNVCYVLPPKLAPGYTELRGSGSQNGSENAKQSGDS >ONH96917 pep chromosome:Prunus_persica_NCBIv2:G7:16646810:16648421:1 gene:PRUPE_7G159600 transcript:ONH96917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLKDLKGQMPTTASTLFSAYASFATCMMLLRSMAKELIPEPFRTYLFSAINYLLTPFFSTKFTLIVDEHSSMIRNQVYDAADVYLRTKIGPSTERLRVSKTPRKKDNSVAMTKDEEVADTFDNVKLRWRFLSESKKVGKNNKNVEKQFFELTFSKKHKDKVLNSYLPFVLARAEAIKDEQKVVKLYTRHLWPSSSHNDEEEEGNSYWGSVNLEHPSTFETMAMEPELKRAIVEDMDRFVRRREFYKKVRKAWKRGYLLYGPPGTGKSSLIAAMANYLKFNVYDLELASIYSDSHLRRVLLSTTNRSILVIEDIDCCRVTLPGFLNFIDGLWSSCGDERIIVFTTNHRDRLDPALLRPGRMDVHIHLSYCTASGFRILASNYLGIAVDNRHRLCGEIEGLIASTEVTPAEVAEELMKSDDTDFALQGLVNLL >ONH97885 pep chromosome:Prunus_persica_NCBIv2:G7:19540083:19541295:-1 gene:PRUPE_7G216200 transcript:ONH97885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGEPHRPNPTVHVPPWLQLDDPTAEVYSPFPLSGVSVNSEGNANGADYNSPYYLHEALTTFQRYLPSNETDPDSDSDISVRESDSPVDAYSCDHFRMFEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGHCKKGDACEFAHGVFECWLHPARYRTQPCKDGTSCKRRVCFFAHTPEQLRVLPQQSPRGSNSLSTAESYDGSPLRQAIEAAASCGKTLPFLSSTPEESPEISPPVSPMTRSLSRSLGSNSVNEVVASLRNLQLGKVKSLPSSWNVQVGSSGFGSPGSPGFVSPRGSILRPGFCSLPSTPTRVPGRSGIGYLDFCEEGCEEEPAMERVESGRGLRAKMFERLREENSLGRVESGPSGGAPDVGWVSELVK >ONH94271 pep chromosome:Prunus_persica_NCBIv2:G7:907707:912717:-1 gene:PRUPE_7G007000 transcript:ONH94271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLKARILASIVVLLSLVYCGLTTPSTFLSLERIYPPSHHGQSEQLRAHDRVRHARLLQNLTGSVVDFPLHGTSDPNLAGLYYTKVKLGSPPKEFNVQIDTGSENLWVTCNSCSDCPRTTWLPIQLSSYDSNSSSTARLIPCSMCTSAFQAKCSPQTNQCSYTTQYADGSGIFGHYVSDTLHFDRIQGQSYIDSSASIIFGCTTYEFGGLTSSLSTLDGIFGFSQGPLSVISQLSSRGLTPKVFSHCLKGDEKGGGILALGEILEPSIVYSPLVPPRHHYYLNLQSIAVNGKILPIDPATFTSHDRGTIIDSGTTLAYLVEDAYVPFVRAITSAVSPSLTLFISDENQCYHVTTSLAEVFPAVSLNFVGASMVLKPEEYLIRQIGIGVWCIGFQKAQGGVTILGDLVLKDKIIVYDLALQRIGWANYDCSSPVNVSIPSSYARRRSESRSSLGDMLLSVLTTGFVVMYMLA >ONH89506 pep supercontig:Prunus_persica_NCBIv2:scaffold_21:16675:19243:1 gene:PRUPE_I003100 transcript:ONH89506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSLTIEKEFAQNSERVKSVDQHPTEPWILVSLYSGTLCIWNYQSQTMEKSFKVTESPVRSAKFIARENWIVTAADDKYIRIYNYDTMEKIKEYEAHTDYIRSVAVHPTLPYLLSCSDDKVIKLWDWEKDWSCTQILEGHSHYVMQVAFNPKDTNTFASASLDGTIKIWNIGSPKAEFTLDGHSNGVNCIDYFSCGDKPYLLSGSDDSTAKVWDQVLVISFLFSSICTAYDYGAYMCHTNLNFICKLFWQQVWDIETKSCVQTLEGHEHNVTAVCVHAELPIIITVSEDGNIHIWNATTFRLENKLNYGLERVWAIGQLKGSNKVAFGFDKGTIVVKMNGSHTWDSVDLQA >ONH89507 pep supercontig:Prunus_persica_NCBIv2:scaffold_21:15811:19331:1 gene:PRUPE_I003100 transcript:ONH89507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSLTIEKEFAQNSERVKSVDQHPTEPWILVSLYSGTLCIWNYQSQTMEKSFKVTESPVRSAKFIARENWIVTAADDKYIRIYNYDTMEKIKEYEAHTDYIRSVAVHPTLPYLLSCSDDKVIKLWDWEKDWSCTQILEGHSHYVMQVAFNPKDTNTFASASLDGTIKIWNIGSPKAEFTLDGHSNGVNCIDYFSCGDKPYLLSGSDDSTAKVWDIETKSCVQTLEGHEHNVTAVCVHAELPIIITVSEDGNIHIWNATTFRLENKLNYGLERVWAIGQLKGSNKVAFGFDKGTIVVKMNGSHTWDSVDLQA >ONH89508 pep supercontig:Prunus_persica_NCBIv2:scaffold_21:21992:28659:1 gene:PRUPE_I003200 transcript:ONH89508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSLTIEKEFAQNSERVKSVDQHPTEPWILVSLYSGTLCIWNYQSQTMEKSFKVTESPVRSAKFVARENWVVTAADDKYIRIYNYDTMEKIKEYEAHTDYIRSVAVHPTLPYLLSCSDDKVIKLWDWEKDWSCTQIFVGHSHYVMQVAFNPKDTNTFASASLDGTIKIWNIGSPKAEFTLDGHSKGVNCIDYFSCGDKPYLLSGSDDFTAKVWDIETKSCVQTLEGHEHNVTAVCVHAELPIIITVSEDGNIHIWNATTFRLENKLNYGLERVWAIGQLKGSNKVAFGFDKGTIVVKMNGSHTWDSVDLQA >ONH89494 pep supercontig:Prunus_persica_NCBIv2:scaffold_33:883:2952:-1 gene:PRUPE_I004200 transcript:ONH89494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKYSTKLHFLLLLLLLLTRSATPLNFSFSSFSGSYYPTISTKGDAFFEGGFLRLTKSGEDQLKNGSAGRATYSQPFLLHEKATGKLADFTTSFNFALDSLKESTYGDGLAFFVAPSGSFLLNDTEPAGGNNLGLPVNFASPNDTAFVAVEFDIYAYSTTDPPYDHVGIDVNSLNSTIFRPWKGGIMEGKTNNATISYNSTSKNLSVAFTTFAPDSNVTQEMAHFSDIMDLKQYLPDLVVVGFSASTGNYISLNKIISWNFSSTSLGDRDTNSNRKSGNKSIGLAVGLGIGGCAVLVGGLGWFIMWKKRERAGESSDEDHPMVHELIDDEFEKGAGPRKFSYSELARATSNFEEGEKLGEGGFGGVYKGFIPDLNSYVAVKRISSSSKQGPKEYASEVKIISRLRHRNLVQLIGWCHERKFLLVYEFMPNGSLDSHLFKEQSLLTWEARYKIAQGLASGLFYLHEEWEQCVLHRDIKSSNVMLDSNFNAKLGDFGLARLVDHGKQSQTTILAGTMGYMAPDYLNTGKASKESDVYSFGVVALEIACGRKPIDPKFGGIQSNMVEWVWELYGEDRVNEAADPKLSGDFDKKQMECLMIVGLWCAHPDYNMRPSIQQAIQVLNFEVPLPNLPSKMPVATYFAPPKSVSMLFRDISDSQGGQTELSSGQTNSSQFSAPSSTTNSHPKISLG >ONH89495 pep supercontig:Prunus_persica_NCBIv2:scaffold_33:13657:15726:-1 gene:PRUPE_I004300 transcript:ONH89495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKYSTKLHFLLLLLLLLTRSATPLNFSFSSFSGSYYPTISTKGDAFFEGGFLRLTKSGEDQPKNGSAGRATYSQPFLLHEKATGKLADFTTSFNFAIDSLKESTYGDGLAFFIAPSGSFLLNDTEPAGGNNLGLPVNFASPNDTAFVAVEFDIYAYSTTDPPYDHVGTDVNSLNSTIFRRWKGGIMEGKTNNATISYNSTSKNLSVAFTTFAPDSNVTQEMAHFSDIIDLKQYLPDLVVVGFSASTGNYISLNKIISWNFSSTSLGDRDTNSNRKSGNKSIGLAVGLGIGGCAVLVGGLGWFIMWKKRERAGESSDEDHPMVHELIDDEFEKGAGPRKFSYSELARATSNFEEGEKLGEGGFGGVYKGFIPDLNLYVAVKRISSSSKQGPKEYASEVKIISRLRHRNLVQLIGWCHERKFLLVYEFMPNGSLDSHLFKEQSLLTWEARYKIAQGLASGLFYLHEEWEQCVLHRDIKSSNVMLDSNFNAKLGDFGLARLVDHGKQSQTTILAGTMGYMAPDYLNAGKASKESDVYSFGVVALEIACGRKPIDPKFGSIQSNMVEWVWELYGEDRVNEAADPKLSGDFDKKQMECLMIVGLWCAHPDYNMRPSIQQAIQVLNFEVPLPNLPSKMPVATYFAPPKSVSMLFRDISDSQGGQTELSSGQTNSSQFSAPSSTTNSHPKISLG >ONH89466 pep supercontig:Prunus_persica_NCBIv2:scaffold_139:2929:3874:-1 gene:PRUPE_I000800 transcript:ONH89466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEELAYDFSPMIKVYKDGRVERLRGTDTVPPSTDPKTGVQSKDVVISQEPAISARLYIPKSTTTSAPQTKLPLLIYFHGGGFCIRSSSSRTHHNYLNALVSEANVVAVSVDYRLVPEHPLPAAYDDSWLLSNGAGANITHNMAVNWEPVGDELTTPAAARDYMAGVWRFACPSTSGSDDPLINPAKDQKLGKLGCEKVLVCVAEKDVLKDRGWHYSETLKRSGWNGDVEVIEAEGEGHVFHWINPTCDNAVAMEKKIVAFLN >ONH89476 pep supercontig:Prunus_persica_NCBIv2:scaffold_73:1579:2401:1 gene:PRUPE_I006000 transcript:ONH89476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAQFKNHNMIEFALKFLRLMPCVEIKIQRVKLINTTNGRTKKTQLNQTTLNPKNQHISPPPSPSNSFSKFLEKPLKFISNPPT >ONH89461 pep supercontig:Prunus_persica_NCBIv2:scaffold_161:2049:4450:-1 gene:PRUPE_I001300 transcript:ONH89461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHEEDEFHATYSNDGKESVDCIHLDSDSNSKSLDLLDHNEQIEELTRKFGDIPTLGMSFDNEQEAYQYYNSYARGVGFSVRKLRVNKDKNGVIHKREFYCSCEGFYRKKTTPKKKREQRRFGCKAMLVIKLNRDGKYVVKNFVAEHNHDLVPLSSSHLLRSQRTIEPCQAGFINQMHHAGLKPSKIFSYMTTEAGRPQHLNFIQAYCNNLIMRKRTEFQNRGDSQCLLEYFKQKQAQDKSFFYSIQTNMETESVVVFYILFGCGLLDGESTNACEWLFKVFLQANERKEPKTIFTDHAQSIAAAIIEFNFGRALIYFKPWEAFERAKLRLLQYEGAELGGLKDLISWNYTVKFVEVLKLCLSWLLLNQICNERSLVLNDLFL >ONH89463 pep supercontig:Prunus_persica_NCBIv2:scaffold_151:34:1902:1 gene:PRUPE_I001100 transcript:ONH89463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDTHGQQQNLIKPPHVAIVPTPGIGHLTPLVELAKLLLVHHNFTFTFIIPNDGLHLAPQKKLLEALDPQAISYIFLPPVSFDDLPNDVMVEIKMALTLTRSLSALRDELIVLTESTRLVALVIDLFGTDAFDVANELHVSPYIFFTTAALSLSLIFHFPHLHETTTCEYRDLPEPIQLPGCVPLHGRDLTDPVQDRSNEAYNVVVRMCKKHRSAAGIMVNSFVDLEPGAFKAFKEQGQGLPPVYPVGPVIKMGSVDGFEGNECLKWLDKQPNESVLFVSFGSGGTFSQEQMTELALGLELSGQRFIWVVKSPNETAKNANYFSVQSCEDPSGFLPHGFLERTKEVGLVVPSWAPQVQVLSHQSTGGFLTHCGWNSTLESIVHGVPLIAWPLYAEQRMNRVLLVDGLKVALGVKLNDKGIVESQDIAKYVRGLIEGDEGKLLRNKMKGYKEAAKLALSQEGSSTKSLAEVAQVWKSLNT >ONH89483 pep supercontig:Prunus_persica_NCBIv2:scaffold_60:4643:8725:1 gene:PRUPE_I005400 transcript:ONH89483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEEQAGDLKSLPFADQEHDYQERKGQQLTRDEFFVEVKKQLLLVGPLVSSNFLLFCIQVVSVMYVGHLGELALSGASMATSFASVTGISLMIGMGSALDTFCGQSYGAKQYHMLGIHMQRAMIVLLLVSIPLAVVWANTGFILEFLGQDPEISAAAGEFACYMIPSLFAYAILQCHSRFLQTQNNVVPMIVTTGTATLLHLLICWLLVYKTSLGYKGAAVANCIAYWINALLLFLYVRFSPSCKHTWTGFSKEAFHGILSFLKLSIPSAVMISLEMWCFEMMVLLSGLLPNPKLETSVLSISFNTCALTYMIPLGLGGAASTRVSNELGAGKPRLARLAVCVTLSMVVTEGIVVVAVMILGRKVWGYCYTTDKEVVEYVGEILLWVAISQFFDGIQSVLSGVIRGSGQQKIGAYVNLGAYYLIGIPISVILAFVLHIGGKGLWIGITVALFVQAVSLSIIVTCTNWEKEVKKASDRVHKTMAVTDAAS >ONH89468 pep supercontig:Prunus_persica_NCBIv2:scaffold_116:5489:6247:1 gene:PRUPE_I000300 transcript:ONH89468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSECQGKSRWPELLGAQGTVAEATIERQNSSVDAQIVLEGTPVTRDFRCDRVRVWVDTDGIVIRVPSIG >ONH89467 pep supercontig:Prunus_persica_NCBIv2:scaffold_116:596:1331:1 gene:PRUPE_I000200 transcript:ONH89467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSECQGKDTWPELLGSQGTVAEATIERENSLVDAQIVLEGTIVTADFRCDRVRVWVNTDGIVTRVPRIG >ONH89462 pep supercontig:Prunus_persica_NCBIv2:scaffold_156:1379:3341:1 gene:PRUPE_I001200 transcript:ONH89462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSESDKWGWKHVTVFGRFDKGSGTKKWKCHHCNLRYNGSCSRVRAHLLGSPPIKRIRTLRPSLCVTKEDIDEIAAIFFYIHGLNVNVINSPYIHDRAKTIAPFGPGYEPLSIDELFDPFLIREKGRIEKSLALLRESCPHTGCTILCFGLMFLKAVDIGDTVMEVGPSNVLKIISHLGNAGKLSDSIMLSKFPHIFWSPCTSTLYMQVQSKSEGSFGPLSAKFSPSFCIVQRIFELKKPRQELVVSERWKQWKINIPDEISSVEATILGDDFWSNAHLLFEPFALDAVKSKDVDNGTLSQLEELIENRRDALFSPLHAAGYILNPRYFGRGQTRDKTVMRGWKATLDRYEYESADRRIWQEYEFPCQETASRFGVDIVEHLVFVRNNLRLNSQRNGITNSLSVPKNVSLCSSSGAKTWEGAHKGDCE >ONH89509 pep supercontig:Prunus_persica_NCBIv2:scaffold_20:2828:3811:-1 gene:PRUPE_I002700 transcript:ONH89509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSPRFLFSNGVVSQPANTPPITSFLATHPPREIKRSNCFYKNGGTTPCHVNSNPYYDGFWHGKLSSLIFQTFSYEIGSIKGSMTWICIGTVTQIQKICRSFQALGDKPFAYSYSLKLRAGAFLALGYEYHYY >ONH89511 pep supercontig:Prunus_persica_NCBIv2:scaffold_20:11962:14328:1 gene:PRUPE_I002900 transcript:ONH89511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDFLLFLLFSYIISTNIHACKQIERSSLLSFASTLSAPPLINWTSLDCCNWKGIACDQDGWVTHLLLPSKGLKGGISRSSLGNFTHLTHLNLSHNSLYGSLETQFFLSLNRLEFLDLSYNLLSGELPLSLPSSNIRTVDLSNNYIRGAIPSSFFQQASNLTSFNVSNNIFRGYVPSSICLKHSSPFLRLLDFTSNVFNGNLAPGLGECSELQVFRAGDNNLSGLLPEDIYNATKLEEIALPLNSLRGAVSDKIVNLTNLAILDLYFNQFSGELPFNLGKLSKLKFVTLDFNNLEGALPPSLMNCTNLVELRLGSNNLEGDISMLDFSKLSQLTKFDLRLNNFTGTFPISLYSCTSLKAIRLTGNHLEGQIQVEILSLKSLSFISLGFNQFTNLTGAMKILMSSKSLQALMLSGSFKGEAMPAGDDMVHFDVFQNLRLLSMAYCQLTGQIPVWLSKLKNLEILQLGFNEITGPIPSWLGTLPRLFYVSLQNNQISGEFPKQLCRLPRLLYEPNIASQVDDTSYKFELPFFLGPVISNPSYYLWSTLSSYPATIDLSENNIVGDIPNEIGQLQLLRQLRFGSNNFSGVIPNQISNLINLEALNLSMNHLSGKMPSSLATLNFLKDFDVSYNNLQGLIPTSTQLQSFDASALEGNPKLCGAPLPNKCGQPNKVVDEDNNNNKDDGNGHQLPWFNIFVVLGFMVGFWGVCGSLVINSTWRYTFFDS >ONH89510 pep supercontig:Prunus_persica_NCBIv2:scaffold_20:5491:7755:-1 gene:PRUPE_I002800 transcript:ONH89510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQPYNRMAHAFLLFLLFSCITSTNIHACKHTERSSLLSFASTVSSPPLNWTTSLDCCRWKGITCNQDGWVTHLLLPSKGLKGGLSTSSLGNLTHLTHLNLSHNSLYGSLENQFFLSLNRLELLDLSYNLLSGELPFSPPSSKIRTVDLSSNHFHGAIPSSFFQQASNLTSFNVSNNTFTGLLDFSSNLFNGNLAPGLGKCSKLQVFRAGHNNLSGLLPGDIYNATKLEEIAIPLSSLHGAISDKIVNLTNLAILDLYINQLSGELPLNLGKLSKLKFVALDFNLLEGALPPSLMNCTNLVELRLGTNNLEGNISMLDFSKLNQLAKLDLRVNNFTGTFPVSLYSCRSLKAIRLTGNNLAGQIQAQILSLKSLSFLSLGFNQFTNLTGAMKILMHCKSLHALMLSGSFKGEGMPADDDMVDFDGFQNLRVLCLVDSRLTGQLPVWLSKLQNLKILLLSGNEITGPIPSWLGTLPRLFYIKLSENRFSGEFPKQLCRLPRLVYEPNITSQVDDTSNEFELPFYFHTLARNPNYYLSSKISSYPATIDLSNNNIVGDIPIEVGQLQFLRGLVLHSNNFSGVIPDQISNLKNLEVLNLSMNHLSGIIPSSLASLTFLKEFNVSYNNLQGPIPTSTQLQSFNASAFEGNPKLCGAPLPNKCDRPNKCIDEDNKKGMGNEIHQLPWFYISSIVLGFIVGFWGVCGSLIINKTWRYAYFRFIYNVQDRLYVMITVRINMIKRKP >ONI10345 pep chromosome:Prunus_persica_NCBIv2:G4:1994945:1996232:-1 gene:PRUPE_4G042300 transcript:ONI10345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLRKKERSLISEHEKACTDKIAKLEESLKKKKQDDRTCSEYFRRGHLRKTLGSFLGSTSDEDIPPDDRINILLFELKSSG >ONI12449 pep chromosome:Prunus_persica_NCBIv2:G4:9608670:9611079:1 gene:PRUPE_4G165400 transcript:ONI12449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDHSVIETNNAQEQGEHEVEDPELRRLLVPNPEDLPLTPPSSVEANFVSYFAPDFMKPGHDQYIYRHANGLCVIGLAPTHVAFKDEGGITAIDFNVGKSDRSGIKVTGKRKKNAQHLESNSALCKVCSKDATYIVRCCVKGSLLEVNDRLIKQPELLNSAADREGYIAIIMPKPADWLKVKDSLLGLEEYKKLREV >ONI14575 pep chromosome:Prunus_persica_NCBIv2:G4:25225124:25229170:-1 gene:PRUPE_4G287900 transcript:ONI14575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14584 pep chromosome:Prunus_persica_NCBIv2:G4:25225124:25227928:-1 gene:PRUPE_4G287900 transcript:ONI14584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14579 pep chromosome:Prunus_persica_NCBIv2:G4:25225492:25227553:-1 gene:PRUPE_4G287900 transcript:ONI14579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERNRDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14572 pep chromosome:Prunus_persica_NCBIv2:G4:25225124:25229167:-1 gene:PRUPE_4G287900 transcript:ONI14572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14587 pep chromosome:Prunus_persica_NCBIv2:G4:25225492:25227553:-1 gene:PRUPE_4G287900 transcript:ONI14587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERNRDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14573 pep chromosome:Prunus_persica_NCBIv2:G4:25225131:25229119:-1 gene:PRUPE_4G287900 transcript:ONI14573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14576 pep chromosome:Prunus_persica_NCBIv2:G4:25225492:25227553:-1 gene:PRUPE_4G287900 transcript:ONI14576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERNRDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14577 pep chromosome:Prunus_persica_NCBIv2:G4:25225492:25227553:-1 gene:PRUPE_4G287900 transcript:ONI14577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERNRDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14581 pep chromosome:Prunus_persica_NCBIv2:G4:25225124:25229170:-1 gene:PRUPE_4G287900 transcript:ONI14581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14583 pep chromosome:Prunus_persica_NCBIv2:G4:25225492:25227553:-1 gene:PRUPE_4G287900 transcript:ONI14583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14580 pep chromosome:Prunus_persica_NCBIv2:G4:25225124:25229119:-1 gene:PRUPE_4G287900 transcript:ONI14580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERNRDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14588 pep chromosome:Prunus_persica_NCBIv2:G4:25225492:25227553:-1 gene:PRUPE_4G287900 transcript:ONI14588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERNRDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14586 pep chromosome:Prunus_persica_NCBIv2:G4:25225492:25227553:-1 gene:PRUPE_4G287900 transcript:ONI14586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERNRDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14582 pep chromosome:Prunus_persica_NCBIv2:G4:25225492:25227553:-1 gene:PRUPE_4G287900 transcript:ONI14582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14571 pep chromosome:Prunus_persica_NCBIv2:G4:25225122:25229170:-1 gene:PRUPE_4G287900 transcript:ONI14571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14569 pep chromosome:Prunus_persica_NCBIv2:G4:25225131:25228184:-1 gene:PRUPE_4G287900 transcript:ONI14569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERQKKHSFSLHVLLLLAIQLRDTQMAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14578 pep chromosome:Prunus_persica_NCBIv2:G4:25225124:25229171:-1 gene:PRUPE_4G287900 transcript:ONI14578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERNRDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14585 pep chromosome:Prunus_persica_NCBIv2:G4:25225492:25227553:-1 gene:PRUPE_4G287900 transcript:ONI14585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERNRDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14570 pep chromosome:Prunus_persica_NCBIv2:G4:25225492:25228160:-1 gene:PRUPE_4G287900 transcript:ONI14570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERQKKHSFSLHVLLLLAIQLRDTQMAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI14574 pep chromosome:Prunus_persica_NCBIv2:G4:25225124:25227928:-1 gene:PRUPE_4G287900 transcript:ONI14574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWGTRRRRVKFLGQHEENKPQISSSITEEENSTDEFKVAVKAEPLEIPEIKKRKRLSLGKGRWTTSSSKGRTKKRNNVTERWTAERYKLAEESMMEVLKAEGATFGNPISRLELRSLARKRIGDTGLLDHLLKHIDGKVAPGGTERFRRWFNTNGTMEYWLESADLVNIRQEAGVHDPYWIPPSKLMPCGAPSEDSVSAGELKLLKAEVDKMKSDMQELQLSKKQEKDRANQNMLEDLMKWKAQTEQSLKVILGSWKGMQDKFEELMMWKAKVEQQVAEMTNVMSNMQVPKQYPATNPETSERWEDWLESTNLDNFQGNELVPWFESTNLVNAEEGVIIQDPYLAPPLRSKHGDSSFPDPFCKIKEEITEMERDVHELIPRKQKEYQANVTPDSSATANSKSELDNLFMFQEMLQELFNWKSMTEQKLSEMSNSVNAIKQTSKLMSPPLPPQLPEDGNLSMEYHPCFMF >ONI11731 pep chromosome:Prunus_persica_NCBIv2:G4:6711047:6715336:1 gene:PRUPE_4G123400 transcript:ONI11731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLTCSLDFPPPSAPQSPTLPVSSLPQWFQFLFMNWVMLSLLQGLIESSECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11730 pep chromosome:Prunus_persica_NCBIv2:G4:6711043:6715382:1 gene:PRUPE_4G123400 transcript:ONI11730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRVRMFNMGRARGQHPQTQTHHSLLPIHSHSNPSSPSRLSTTISCCYCDFKFWAFNQPLFHFGRSHSQILRAWFSIGVGFGLTLLFSISLILIWELGRALNLFHGSFFINLFSRFSSSFSTSIADVACIFIATMVSVSVHELGHALAAASECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11738 pep chromosome:Prunus_persica_NCBIv2:G4:6711393:6713922:1 gene:PRUPE_4G123400 transcript:ONI11738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLTCSLDFPPPSAPQSPTLPVSSLPQWFQFLFMNWVMLSLLQGLIESSECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11737 pep chromosome:Prunus_persica_NCBIv2:G4:6711047:6715335:1 gene:PRUPE_4G123400 transcript:ONI11737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLTCSLDFPPPSAPQSPTLPVSSLPQWFQFLFMNWVMLSLLQGLIESSECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11728 pep chromosome:Prunus_persica_NCBIv2:G4:6711037:6715338:1 gene:PRUPE_4G123400 transcript:ONI11728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRVRMFNMGRARGQHPQTQTHHSLLPIHSHSNPSSPSRLSTTISCCYCDFKFWAFNQPLFHFGRSHSQILRAWFSIGVGFGLTLLFSISLILIWELGRALNLFHGSFFINLFSRFSSSFSTSIADVACIFIATMVSVSVHELGHALAAASECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11739 pep chromosome:Prunus_persica_NCBIv2:G4:6711056:6715159:1 gene:PRUPE_4G123400 transcript:ONI11739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLTCSLDFPPPSAPQSPTLPVSSLPQWFQFLFMNWVMLSLLQGLIESSECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11729 pep chromosome:Prunus_persica_NCBIv2:G4:6711013:6715337:1 gene:PRUPE_4G123400 transcript:ONI11729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRVRMFNMGRARGQHPQTQTHHSLLPIHSHSNPSSPSRLSTTISCCYCDFKFWAFNQPLFHFGRSHSQILRAWFSIGVGFGLTLLFSISLILIWELGRALNLFHGSFFINLFSRFSSSFSTSIADVACIFIATMVSVSVHELGHALAAASECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11734 pep chromosome:Prunus_persica_NCBIv2:G4:6711047:6715276:1 gene:PRUPE_4G123400 transcript:ONI11734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLTCSLDFPPPSAPQSPTLPVSSLPQWFQFLFMNWVMLSLLQGLIESSECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11733 pep chromosome:Prunus_persica_NCBIv2:G4:6711056:6715443:1 gene:PRUPE_4G123400 transcript:ONI11733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLTCSLDFPPPSAPQSPTLPVSSLPQWFQFLFMNWVMLSLLQGLIESSECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11736 pep chromosome:Prunus_persica_NCBIv2:G4:6711056:6715335:1 gene:PRUPE_4G123400 transcript:ONI11736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLTCSLDFPPPSAPQSPTLPVSSLPQWFQFLFMNWVMLSLLQGLIESSECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11735 pep chromosome:Prunus_persica_NCBIv2:G4:6711047:6715272:1 gene:PRUPE_4G123400 transcript:ONI11735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLTCSLDFPPPSAPQSPTLPVSSLPQWFQFLFMNWVMLSLLQGLIESSECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11732 pep chromosome:Prunus_persica_NCBIv2:G4:6711056:6715314:1 gene:PRUPE_4G123400 transcript:ONI11732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLTCSLDFPPPSAPQSPTLPVSSLPQWFQFLFMNWVMLSLLQGLIESSECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11727 pep chromosome:Prunus_persica_NCBIv2:G4:6711040:6715337:1 gene:PRUPE_4G123400 transcript:ONI11727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRVRMFNMGRARGQHPQTQTHHSLLPIHSHSNPSSPSRLSTTISCCYCDFKFWAFNQPLFHFGRSHSQILRAWFSIGVGFGLTLLFSISLILIWELGRALNLFHGSFFINLFSRFSSSFSTSIADVACIFIATMVSVSVHELGHALAAASECVQMEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI11740 pep chromosome:Prunus_persica_NCBIv2:G4:6711047:6715271:1 gene:PRUPE_4G123400 transcript:ONI11740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVAVFVAFLFPGALVAFDSESLQPLSCFAALRIYCAGIWHNAVCCAVCGLALLLLPFILFPFYIHGESPMVLGVPSTSPLSGYLSPGDVIVSVDGVPIHNVQEWMEMTALINELALRGRNHSLDVQGFGINRIKGYCVPNSMMEESKQIVIGDNQSSCPDDLTAFTPLPCDHTSILDHNHPSRIEKTHCLNAKNVVKLNKCGEGWAAITNGSNCICSQEESCLSPVQIPGLIWVEITYSRPYYLECLRVRRKSFVGPRISDSMESNCGGTFVFVGDVISMARSVKLTAYQPRWAFPLGTYLPNVLERILICTFQVSLTLALLNSLPVYFLDGESILEATLSHFALLCPRKRRKVLQVCLLWGTLISILAFFRIMLHTL >ONI10270 pep chromosome:Prunus_persica_NCBIv2:G4:1789131:1793435:-1 gene:PRUPE_4G038100 transcript:ONI10270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAMFGDPQESWGTYIKGEICHIGHMASRAGCIYPMYEHKKWVPRDGKAKSPPRVSEEKNSVYRARPETSSQHGHVKETERFFEKQKGAHPPSASNRAPQQVSHDPKQHQLEQKSKPEAALKAEPAASQPPSAATVSLPVKVDYATHLFNLLSVEESRGNDYVTSANKNTLTGLHDETKLTEEKSKKSESSESKIQPKYGYGIEDLFIDSTPVTQPFSMKPQNDVMNLLEKSSTASPFSMHQQQLAVLSQQQSSLMAVAAKSSTPHSIPANLQPGSHAIHLPTQNGQSIGRQVPGNLMPAHPPPQHYTQIGNGSPTHPVGNAVPFPTSSMYATRPVTSVNGVTNLRATGFSTASPISSIPPTKSGRDFDFSSLTQGLFTKR >ONI10272 pep chromosome:Prunus_persica_NCBIv2:G4:1789550:1792900:-1 gene:PRUPE_4G038100 transcript:ONI10272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKANVSKELNARHRKILEGLLKQPGNRECADCKTKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSFWEAELPPNYDRVGIENFIRAKYEHKKWVPRDGKAKSPPRVSEEKNSVYRARPETSSQHGHVKETERFFEKQKGAHPPSASNRAPQQVSHDPKQHQLEQKSKPEAALKAEPAASQPPSAATVSLPVKVDYATHLFNLLSVEESRGNDYVTSANKNTLTGLHDETKLTEEKSKKSESSESKIQPKYGYGIEDLFIDSTPVTQPFSMKPQNDVMNLLEKSSTASPFSMHQQQLAVLSQQQSSLMAVAAKSSTPHSIPANLQPGSHAIHLPTQNGQSIGRQVPGNLMPAHPPPQHYTQIGNGSPTHPVGNAVPFPTSRYTL >ONI10275 pep chromosome:Prunus_persica_NCBIv2:G4:1789131:1793420:-1 gene:PRUPE_4G038100 transcript:ONI10275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKANVSKELNARHRKILEGLLKQPGNRECADCKTKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSFWEAELPPNYDRVGIENFIRAKYEHKKWVPRDGKAKSPPRVSEEKNSVYRARPETSSQHGHVKETERFFEKQKGAHPPSASNRAPQQVSHDPKQHQLEQKSKPEAALKAEPAASQPPSAATVSLPVKVDYATHLFNLLSVEESRGNDYVTSANKNTLTGLHADETKLTEEKSKKSESSESKIQPKYGYGIEDLFIDSTPVTQPFSMKPQNDVMNLLEKYGFSILHASTATCSSISTTVVTHGSCSEI >ONI10269 pep chromosome:Prunus_persica_NCBIv2:G4:1789286:1792900:-1 gene:PRUPE_4G038100 transcript:ONI10269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKANVSKELNARHRKILEGLLKQPGNRECADCKTKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSFWEAELPPNYDRVGIENFIRAKYEHKKWVPRDGKAKSPPRVSEEKNSVYRARPETSSQHGHVKETERFFEKQKGAHPPSASNRAPQQVSHDPKQHQLEQKSKPEAALKAEPAASQPPSAATVSLPVKVDYATHLFNLLSVEESRGNDYVTSANKNTLTGLHADETKLTEEKSKKSESSESKIQPKYGYGIEDLFIDSTPVTQPFSMKPQNDVMNLLEKSSTASPFSMHQQQLAVLSQQQSSLMAVAAKSSTPHSIPANLQPGSHAIHLPTQNGQSIGRQVPGNLMPAHPPPQHYTQIGNGSPTHPVGNAVPFPTSSMYATRPVTSVNGVTNLRATGFSTASPISSIPPTKSGRDFDFSSLTQGLFTKR >ONI10274 pep chromosome:Prunus_persica_NCBIv2:G4:1789131:1793422:-1 gene:PRUPE_4G038100 transcript:ONI10274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKANVSKELNARHRKILEGLLKQPGNRECADCKTKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSFWEAELPPNYDRVGIENFIRAKYEHKKWVPRDGKAKSPPRVSEEKNSVYRARPETSSQHGHVKETERFFEKQKGAHPPSASNRAPQQVSHDPKQHQLEQKSKPEAALKAEPAASQPPSAATVSLPVKVDYATHLFNLLSVEESRGNDYVTSANKNTLTGLHDETKLTEEKSKKSESSESKIQPKYGYGIEDLFIDSTPVTQPFSMKPQNDVMNLLEKYGFSILHASTATCSSISTTVVTHGSCSEI >ONI10268 pep chromosome:Prunus_persica_NCBIv2:G4:1789286:1792900:-1 gene:PRUPE_4G038100 transcript:ONI10268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKANVSKELNARHRKILEGLLKQPGNRECADCKTKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSFWEAELPPNYDRVGIENFIRAKYEHKKWVPRDGKAKSPPRVSEEKNSVYRARPETSSQHGHVKETERFFEKQKGAHPPSASNRAPQQVSHDPKQHQLEQKSKPEAALKAEPAASQPPSAATVSLPVKVDYATHLFNLLSVEESRGNDYVTSANKNTLTGLHADETKLTEEKSKKSESSESKIQPKYGYGIEDLFIDSTPVTQPFSMKPQNDVMNLLEKSSTASPFSMHQQQLAVLSQQQSSLMAVAAKSSTPHSIPANLQPGSHAIHLPTQNGQSIGRQVPGNLMPAHPPPQHYTQIGNGSPTHPVGNAVPFPTSSMYATRPVTSVNGVTNLRATGFSTASPISSIPPTKSGRDFDFSSLTQGLFTKR >ONI10271 pep chromosome:Prunus_persica_NCBIv2:G4:1789286:1791560:-1 gene:PRUPE_4G038100 transcript:ONI10271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAMFGDPQESWGTYIKGEICHIGHMASRAGCIYPMYEHKKWVPRDGKAKSPPRVSEEKNSVYRARPETSSQHGHVKETERFFEKQKGAHPPSASNRAPQQVSHDPKQHQLEQKSKPEAALKAEPAASQPPSAATVSLPVKVDYATHLFNLLSVEESRGNDYVTSANKNTLTGLHADETKLTEEKSKKSESSESKIQPKYGYGIEDLFIDSTPVTQPFSMKPQNDVMNLLEKSSTASPFSMHQQQLAVLSQQQSSLMAVAAKSSTPHSIPANLQPGSHAIHLPTQNGQSIGRQVPGNLMPAHPPPQHYTQIGNGSPTHPVGNAVPFPTSSMYATRPVTSVNGVTNLRATGFSTASPISSIPPTKSGRDFDFSSLTQGLFTKR >ONI10267 pep chromosome:Prunus_persica_NCBIv2:G4:1788918:1793420:-1 gene:PRUPE_4G038100 transcript:ONI10267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKANVSKELNARHRKILEGLLKQPGNRECADCKTKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSFWEAELPPNYDRVGIENFIRAKYEHKKWVPRDGKAKSPPRVSEEKNSVYRARPETSSQHGHVKETERFFEKQKGAHPPSASNRAPQQVSHDPKQHQLEQKSKPEAALKAEPAASQPPSAATVSLPVKVDYATHLFNLLSVEESRGNDYVTSANKNTLTGLHDETKLTEEKSKKSESSESKIQPKYGYGIEDLFIDSTPVTQPFSMKPQNDVMNLLEKSSTASPFSMHQQQLAVLSQQQSSLMAVAAKSSTPHSIPANLQPGSHAIHLPTQNGQSIGRQVPGNLMPAHPPPQHYTQIGNGSPTHPVGNAVPFPTSSMYATRPVTSVNGVTNLRATGFSTASPISSIPPTKSGRDFDFSSLTQGLFTKR >ONI10273 pep chromosome:Prunus_persica_NCBIv2:G4:1789550:1792900:-1 gene:PRUPE_4G038100 transcript:ONI10273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQKANVSKELNARHRKILEGLLKQPGNRECADCKTKAPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSFWEAELPPNYDRVGIENFIRAKYEHKKWVPRDGKAKSPPRVSEEKNSVYRARPETSSQHGHVKETERFFEKQKGAHPPSASNRAPQQVSHDPKQHQLEQKSKPEAALKAEPAASQPPSAATVSLPVKVDYATHLFNLLSVEESRGNDYVTSANKNTLTGLHADETKLTEEKSKKSESSESKIQPKYGYGIEDLFIDSTPVTQPFSMKPQNDVMNLLEKSSTASPFSMHQQQLAVLSQQQSSLMAVAAKSSTPHSIPANLQPGSHAIHLPTQNGQSIGRQVPGNLMPAHPPPQHYTQIGNGSPTHPVGNAVPFPTSRYTL >ONI11903 pep chromosome:Prunus_persica_NCBIv2:G4:7397645:7399111:1 gene:PRUPE_4G133600 transcript:ONI11903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKYSNVTSTLNELKGRGCTVLHEVDVHTMSQHPRLTNRRFDRIVFNFPHAGFILMEHNKIQIKLHQDLVKAYFTSAREMLTESGEVHVTHKTTHPFSKWNIVKLAEEVGLFLVEEAQFTRADYPGYINKKGSGRKCNRTFRVGQCSTYKFAKLPLQPYFVS >ONI11902 pep chromosome:Prunus_persica_NCBIv2:G4:7397645:7399111:1 gene:PRUPE_4G133600 transcript:ONI11902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKKIKNKRSKMGEKTIMHYSSSQKILLVGEGNFSFAACLAKEFGSAVKMVATSFDPEESLMQKYSNVTSTLNELKGRGCTVLHEVDVHTMSQHPRLTNRRFDRIVFNFPHAGFILMEHNKIQIKLHQDLVKAYFTSAREMLTESGEVHVTHKTTHPFSKWNIVKLAEEVGLFLVEEAQFTRADYPGYINKKGSGRKCNRTFRVGQCSTYKFAKLPLQPYFVS >ONI11687 pep chromosome:Prunus_persica_NCBIv2:G4:6550419:6551775:-1 gene:PRUPE_4G120900 transcript:ONI11687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVQASPKNSPKTHHHGGHHHDQNCPTLITINNNPSTQLSNKDKRSMPLLVINYLCLFIGSVSSSLLSKYYFIHKGSSIWVSTWVQCAGFPLLLPFVFTPYYLLNSTQRKPFSHFTPKILTLSIFIGLMLGLNNFLFSWGNSYLPVSTSSLLLSSQLVFNLILSVIIVKQKITFSNLNCVILLTISSVLLALGSSHDKPPGLTRGKYFLGFFSTIGAGLLFALYLPVMEKIYRKVYCYAMVIEMQLVMQVSATALATLGMALNGAFREMKVESMRVFDKGQSIYWVTVLCNVVTWQLCFMGTAGMVFLTSSLTGGICMTALMGMNVLGGVLVYGDEFGGVKVVSTLLCGWGFCSYAYGIYMNTKLGKEDDGDKDKEDTHQGMAMGVRSVNDSSV >ONI11492 pep chromosome:Prunus_persica_NCBIv2:G4:5702791:5706944:-1 gene:PRUPE_4G108900 transcript:ONI11492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSICRAITKKKRLILLRPLQLLQSHYATTTGKTKPKTQNTKTITKPPPSEPPQQPPPKVDWPRPVEVPFQPKVANSVRLIGHVHTPLQSQATPDGNVWAATILSSSSSSSHSLKMPIIFEGDLAHIASLHLKENDFVFVAGSLRSDLLHLNASKGQTPLQVMVHTLYFVEESSQTKKSSKDDRQEEKTIDHTAAGVKEDVEKSWKNLLTWKDLLAKPHEWWDIRLKEDNPKAAAFERKNNGEVLRIDDSTPEWIRNKLDSTTFDQKPISDSCETSLKKDGDSTLGPWRDLLDNPKQWRDYRKPKLNGLVNPNHPDFKSKDGSHALWLNKAPQPVLSELEGMEFDVQIQKSKQAKESRGDESWKDLVENPGKWWDNRLEKRNKKGPDFKHKETGEALWLSSSPAWVLPKLPPLRTKQAVTIGNTPPA >ONI11493 pep chromosome:Prunus_persica_NCBIv2:G4:5703092:5706757:-1 gene:PRUPE_4G108900 transcript:ONI11493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSICRAITKKKRLILLRPLQLLQSHYATTTGKTKPKTQNTKTITKPPPSEPPQQPPPKVDWPRPVEVPFQPKVANSVRLIGHVHTPLQSQATPDGNVWAATILSSSSSSSHSLKMPIIFEGDLAHIASLHLKENDFVFVAGSLRSDLLHLNASKGQTPLQVMVHTLYFVEESSQTKKSSKDDRQEEKTIDHTVAAGVKEDVEKSWKNLLTWKDLLAKPHEWWDIRLKEDNPKAAAFERKNNGEVLRIDDSTPEWIRNKLDSTTFDQKPISDSCETSLKKDGDSTLGPWRDLLDNPKQWRDYRKPKLNGLVNPNHPDFKSKDGSHALWLNKAPQPVLSELEGMEFDVQIQKSKQAKESRGDESWKDLVENPGKWWDNRLEKRNKKGPDFKHKETGEALWLSSSPAWVLPKLPPLRTKQAVTIGNTPPA >ONI11491 pep chromosome:Prunus_persica_NCBIv2:G4:5702769:5706944:-1 gene:PRUPE_4G108900 transcript:ONI11491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSICRAITKKKRLILLRPLQLLQSHYATTTGKTKPKTQNTKTITKPPPSEPPQQPPPKVDWPRPVEVPFQPKVANSVRLIGHVHTPLQSQATPDGNVWAATILSSSSSSSHSLKMPIIFEGDLAHIASLHLKENDFVFVAGSLRSDLLHLNASKGQTPLQVMVHTLYFVEESSQTKKSSKDDRQEEKTIDHTAGVKEDVEKSWKNLLTWKDLLAKPHEWWDIRLKEDNPKAAAFERKNNGEVLRIDDSTPEWIRNKLDSTTFDQKPISDSCETSLKKDGDSTLGPWRDLLDNPKQWRDYRKPKLNGLVNPNHPDFKSKDGSHALWLNKAPQPVLSELEGMEFDVQIQKSKQAKESRGDESWKDLVENPGKWWDNRLEKRNKKGPDFKHKETGEALWLSSSPAWVLPKLPPLRTKQAVTIGNTPPA >ONI12310 pep chromosome:Prunus_persica_NCBIv2:G4:8964245:8966554:-1 gene:PRUPE_4G156500 transcript:ONI12310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWREVEGRAVSTTCGHLLCTEDASKILGNDGACPICDQVLSKSLMKPVDINPNDEWVNMAMAGVSPQILMKSAHRSIMFYIGQKELEMQFKMNRIVAQFRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSALQPATNFYSRNEPDLFSNQANILDNREAVRKDWPVLTPETPGPKEDIWPARQNSSNSGGPFDISVGSPAKQAAIPVDAVNRRAGAHPMFGTGASNPSMTLRNLILSPIKRPQLSRNRPQMFTF >ONI12313 pep chromosome:Prunus_persica_NCBIv2:G4:8964617:8966554:-1 gene:PRUPE_4G156500 transcript:ONI12313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWREVEGRAVSTTCGHLLCTEDASKILGNDGACPICDQVLSKSLMKPVDINPNDEWVNMAMAGVSPQILMKSAHRSIMFYIGQKELEMQFKMNRIVAQFRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSALQPATNFYSRNEPDLFSNQANILDNREAVRKAS >ONI12311 pep chromosome:Prunus_persica_NCBIv2:G4:8964245:8966554:-1 gene:PRUPE_4G156500 transcript:ONI12311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWREVEGRAVSTTCGHLLCTEDASKILGNDGACPICDQVLSKSLMKPVDINPNDEWVNMAMAGVSPQILMKSAHRSIMFYIGQKELEMQFKMNRIVAQFRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSALQPATNFYSRNEPDLFSNQANILDNREAVRKVDLFLADWPVLTPETPGPKEDIWPARQNSSNSGGPFDISVGSPAKQAAIPVDAVNRRAGAHPMFGTGASNPSMTLRNLILSPIKRPQLSRNRPQMFTF >ONI12309 pep chromosome:Prunus_persica_NCBIv2:G4:8964245:8966554:-1 gene:PRUPE_4G156500 transcript:ONI12309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWREVEGRAVSTTCGHLLCTEDASKILGNDGACPICDQVLSKSLMKPVDINPNDEWVNMAMAGVSPQILMKSAHRSIMFYIGQKELEMQFKMNRIVAQFRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSALQPATNFYSRNEPDLFSNQANILDNREAVRKDWPVLTPETPGPKEDIWPARQNSSNSGGPFDISVGSPAKQAAIPVDAVNRRAGAHPMFGTGASNPSMTLRNLILSPIKRPQLSRNRPQMFTF >ONI12304 pep chromosome:Prunus_persica_NCBIv2:G4:8964245:8966554:-1 gene:PRUPE_4G156500 transcript:ONI12304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWREVEGRAVSTTCGHLLLMKSAHRSIMFYIGQKELEMQFKMNRIVAQFRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSALQPATNFYSRNEPDLFSNQANILDNREAVRKDWPVLTPETPGPKEDIWPARQNSSNSGGPFDISVGSPAKQAAIPVDAVNRRAGAHPMFGTGASNPSMTLRNLILSPIKRPQLSRNRPQMFTF >ONI12306 pep chromosome:Prunus_persica_NCBIv2:G4:8963282:8968274:-1 gene:PRUPE_4G156500 transcript:ONI12306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWREVEGRAVSTTCGHLLCTEDASKILGNDGACPICDQVLSKSLMKPVDINPNDEWVNMAMAGVSPQILMKSAHRSIMFYIGQKELEMQFKMNRIVAQFRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSALQPATNFYSRNEPDLFSNQANILDNREAVRKGPKEDIWPARQNSSNSGGPFDISVGSPAKQAAIPVDAVNRRAGAHPMFGTGASNPSMTLRNLILSPIKRPQLSRNRPQMFTF >ONI12305 pep chromosome:Prunus_persica_NCBIv2:G4:8963282:8968292:-1 gene:PRUPE_4G156500 transcript:ONI12305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWREVEGRAVSTTCGHLLCTEDASKILGNDGACPICDQVLSKSLMKPVDINPNDEWVNMAMAGVSPQILMKSAHRSIMFYIGQKELEMQFKMNRIVAQFRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSALQPATNFYSRNEPDLFSNQANILDNREAVRKGPKEDIWPARQNSSNSGGPFDISVGSPAKQAAIPVDAVNRRAGAHPMFGTGASNPSMTLRNLILSPIKRPQLSRNRPQMFTF >ONI12312 pep chromosome:Prunus_persica_NCBIv2:G4:8964617:8966554:-1 gene:PRUPE_4G156500 transcript:ONI12312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWREVEGRAVSTTCGHLLCTEDASKILGNDGACPICDQVLSKSLMKPVDINPNDEWVNMAMAGVSPQILMKSAHRSIMFYIGQKELEMQFKMNRIVAQFRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSALQPATNFYSRNEPDLFSNQANILDNREAVRKAS >ONI12307 pep chromosome:Prunus_persica_NCBIv2:G4:8963282:8968364:-1 gene:PRUPE_4G156500 transcript:ONI12307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWREVEGRAVSTTCGHLLCTEDASKILGNDGACPICDQVLSKSLMKPVDINPNDEWVNMAMAGVSPQILMKSAHRSIMFYIGQKELEMQFKMNRIVAQFRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSALQPATNFYSRNEPDLFSNQANILDNREAVRKGPKEDIWPARQNSSNSGGPFDISVGSPAKQAAIPVDAVNRRAGAHPMFGTGASNPSMTLRNLILSPIKRPQLSRNRPQMFTF >ONI12303 pep chromosome:Prunus_persica_NCBIv2:G4:8963282:8968274:-1 gene:PRUPE_4G156500 transcript:ONI12303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWREVEGRAVSTTCGHLLLMKSAHRSIMFYIGQKELEMQFKMNRIVAQFRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSALQPATNFYSRNEPDLFSNQANILDNREAVRKGPKEDIWPARQNSSNSGGPFDISVGSPAKQAAIPVDAVNRRAGAHPMFGTGASNPSMTLRNLILSPIKRPQLSRNRPQMFTF >ONI12308 pep chromosome:Prunus_persica_NCBIv2:G4:8964245:8966554:-1 gene:PRUPE_4G156500 transcript:ONI12308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNACWREVEGRAVSTTCGHLLCTEDASKILGNDGACPICDQVLSKSLMKPVDINPNDEWVNMAMAGVSPQILMKSAHRSIMFYIGQKELEMQFKMNRIVAQFRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESVKRSALQPATNFYSRNEPDLFSNQANILDNREAVRKDWPVLTPETPGPKEDIWPARQNSSNSGGPFDISVGSPAKQAAIPVDAVNRRAGAHPMFGTGASNPSMTLRNLILSPIKRPQLSRNRPQMFTF >ONI12711 pep chromosome:Prunus_persica_NCBIv2:G4:10668879:10676035:1 gene:PRUPE_4G180000 transcript:ONI12711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQILVTHLQNKSSLVLKLKNLSLSLSRLAMAAVAVNGAKLGTASQAYLESRAVSDTKVLISDLCRQFYNLGWVSGTGGSITIKVHDDSVPKPQQLVIMSPSGVQKERMLPEDMYVLSPNGSILSTPSPKPYPHKPPKCSDCGPLFMKAYEMRNAGAVIHSHGIESCLVTMINPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYEYELTESLAKAIEAYPKTTAVLVRNHGIYIWGDSWISAKTQAECYHYLFDAAIKLNQLGLDPSTPIHGPIQNVKGVLGSGIHRNISVNAGTAASDNKSDPSQRCIVLDIEGTTTPISFVTDVLFPYARNNVGRHLSATYDTAETQDDIKLLRSQVQDDLEKGVVGSVPIPLDDVGKEGVIAALVANVESMIKADRKITALKQLQGHIWRTGYEKKELEGVVFEDVPEALKKWHDSGIKVYIYSSGSRLAQRLIFGNSNYGDLREYLSGFFDTTVGNKRESSSYAEIVQSVGVDKPSQVLFVTDVFQEAVAAKEAGLEVIVSIRPGNGPLPENHGFKTVNSFSEI >ONI12713 pep chromosome:Prunus_persica_NCBIv2:G4:10668794:10676271:1 gene:PRUPE_4G180000 transcript:ONI12713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAVNGAKLGTASQAYLESRAVSDTKVLISDLCRQFYNLGWVSGTGGSITIKVHDDSVPKPQQLVIMSPSGVQKERMLPEDMYVLSPNGSILSTPSPKPYPHKPPKCSDCGPLFMKNIAKRRLISRRKMSRNLNYKAYEMRNAGAVIHSHGIESCLVTMINPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYEYELTESLAKAIEAYPKTTAVLVRNHGIYIWGDSWISAKTQAECYHYLFDAAIKLNQLGLDPSTPIHGPIQNVKGVLGSGIHRNISVNAGTAASDNKSDPSQRCIVLDIEGTTTPISFVTDVLFPYARNNVGRHLSATYDTAETQDDIKLLRSQVQDDLEKGVVGSVPIPLDDVGKEGVIAALVANVESMIKADRKITALKQLQGHIWRTGYEKKELEGVVFEDVPEALKKWHDSGIKVYIYSSGSRLAQRLIFGNSNYGDLREYLSGFFDTTVGNKRESSSYAEIVQSVGVDKPSQVLFVTDVFQEAVAAKEAGLEVIVSIRPGNGPLPENHGFKTVNSFSEI >ONI12715 pep chromosome:Prunus_persica_NCBIv2:G4:10668794:10676271:1 gene:PRUPE_4G180000 transcript:ONI12715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAVNGAKLGTASQAYLESRAVSDTKVLISDLCRQFYNLGWVSGTGGSITIKVHDDSVPKPQQLVIMSPSGVQKERMLPEDMYVLSPNGSILSTPSPKPYPHKPPKCSDCGPLFMKAYEMRNAGAVIHSHGIESCLVTMINPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYEYELTESLAKAIEAYPKTTAVLVRNHGIYIWGDSWISAKTQAECYHYLFDAAIKLNQLGLDPSTPIHGPIQNVKGVLGSGIHRNISVNAGTAASDNKSDPSQRCIVLDIEGTTTPISFVTDVLFPYARNNVGRHLSATYDTAETQDDIKLLRSQVQDDLEKGVVGSVPIPLDDVGKEGVIAALVANVESMIKADRKITALKQLQGHIWRTGYEKKELEGVVFEDVPEALKKWHDSGIKVYIYSSGSRLAQRLIFGNSNYGDLREYLSGFFDTTVGNKRESSSYAEIVQSVGVDKPSQVLFVTDVFQEAVAAKEAGLEVIVSIRPGNGPLPENHGFKTVNSFSEI >ONI12714 pep chromosome:Prunus_persica_NCBIv2:G4:10668794:10676271:1 gene:PRUPE_4G180000 transcript:ONI12714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAVNGAKLGTASQAYLESRAVSDTKVLISDLCRQFYNLGWVSGTGGSITIKVHDDSVPKPQQLVIMSPSGVQKERMLPEDMYVLSPNGSILSTPSPKPYPHKPPKCSDCGPLFMKAYEMRNAGAVIHSHGIESCLVTMINPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYEYELTESLAKAIEAYPKTTAVLVRNHGIYIWGDSWISAKTQAECYHYLFDAAIKLNQLGLDPSTPIHGPIQNVKGVLGSGIHRNISVNAGTAASDNKSDPSQRCIVLDIEGTTTPISFVTDVLFPYARNNVGRHLSATYDTAETQDDIKLLRSQVQDDLEKGVVGSVPIPLDDVGKEGVIAALVANVESMIKADRKITALKQLQGHIWRTGYEKKELEGVVFEDVPEALKKWHDSGIKVYIYSSGSRLAQRLIFGNSNYGDLREYLSGFFDTTVGNKRESSSYAEIVQSVGVDKPSQVLFVTDVFQEAVAAKEAGLEVIVSIRPGNGPLPENHGFKTVNSFSEI >ONI12717 pep chromosome:Prunus_persica_NCBIv2:G4:10671281:10676029:1 gene:PRUPE_4G180000 transcript:ONI12717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIYSLVFQAYEMRNAGAVIHSHGIESCLVTMINPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYEYELTESLAKAIEAYPKTTAVLVRNHGIYIWGDSWISAKTQAECYHYLFDAAIKLNQLGLDPSTPIHGPIQNVKGVLGSGIHRNISVNAGTAASDNKSDPSQRCIVLDIEGTTTPISFVTDVLFPYARNNVGRHLSATYDTAETQDDIKLLRSQVQDDLEKGVVGSVPIPLDDVGKEGVIAALVANVESMIKADRKITALKQLQGHIWRTGYEKKELEGVVFEDVPEALKKWHDSGIKVYIYSSGSRLAQRLIFGNSNYGDLREYLSGFFDTTVGNKRESSSYAEIVQSVGVDKPSQVLFVTDVFQEAVAAKEAGLEVIVSIRPGNGPLPENHGFKTVNSFSEI >ONI12710 pep chromosome:Prunus_persica_NCBIv2:G4:10668879:10676035:1 gene:PRUPE_4G180000 transcript:ONI12710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQILVTHLQNKSSLVLKLKNLSLSLSRLAMAAVAVNGAKLGTASQAYLESRAVSDTKVLISDLCRQFYNLGWVSGTGGSITIKVHDDSVPKPQQLVIMSPSGVQKERMLPEDMYVLSPNGSILSTPSPKPYPHKPPKCSDCGPLFMKNIAKRRLISRRKMSRNLNYKAYEMRNAGAVIHSHGIESCLVTMINPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYEYELTESLAKAIEAYPKTTAVLVRNHGIYIWGDSWISAKTQAECYHYLFDAAIKLNQLGLDPSTPIHGPIQNVKGVLGSGIHRNISVNAGTAASDNKSDPSQRCIVLDIEGTTTPISFVTDVLFPYARNNVGRHLSATYDTAETQDDIKLLRSQVQDDLEKGVVGSVPIPLDDVGKEGVIAALVANVESMIKADRKITALKQLQGHIWRTGYEKKELEGVVFEDVPEALKKWHDSGIKVYIYSSGSRLAQRLIFGNSNYGDLREYLSGFFDTTVGNKRESSSYAEIVQSVGVDKPSQVLFVTDVFQEAVAAKEAGLEVIVSIRPGNGPLPENHGFKTVNSFSEI >ONI12716 pep chromosome:Prunus_persica_NCBIv2:G4:10669056:10676271:1 gene:PRUPE_4G180000 transcript:ONI12716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNLNYKAYEMRNAGAVIHSHGIESCLVTMINPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYEYELTESLAKAIEAYPKTTAVLVRNHGIYIWGDSWISAKTQAECYHYLFDAAIKLNQLGLDPSTPIHGPIQNVKGVLGSGIHRNISVNAGTAASDNKSDPSQRCIVLDIEGTTTPISFVTDVLFPYARNNVGRHLSATYDTAETQDDIKLLRSQVQDDLEKGVVGSVPIPLDDVGKEGVIAALVANVESMIKADRKITALKQLQGHIWRTGYEKKELEGVVFEDVPEALKKWHDSGIKVYIYSSGSRLAQRLIFGNSNYGDLREYLSGFFDTTVGNKRESSSYAEIVQSVGVDKPSQVLFVTDVFQEAVAAKEAGLEVIVSIRPGNGPLPENHGFKTVNSFSEI >ONI12712 pep chromosome:Prunus_persica_NCBIv2:G4:10668794:10676535:1 gene:PRUPE_4G180000 transcript:ONI12712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAVNGAKLGTASQAYLESRAVSDTKVLISDLCRQFYNLGWVSGTGGSITIKVHDDSVPKPQQLVIMSPSGVQKERMLPEDMYVLSPNGSILSTPSPKPYPHKPPKCSDCGPLFMKNIAKRRLISRRKMSRNLNYKAYEMRNAGAVIHSHGIESCLVTMINPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYEYELTESLAKAIEAYPKTTAVLVRNHGIYIWGDSWISAKTQAECYHYLFDAAIKLNQLGLDPSTPIHGPIQNVKGVLGSGIHRNISVNAGTAASDNKSDPSQRCIVLDIEGTTTPISFVTDVLFPYARNNVGRHLSATYDTAETQDDIKLLRSQVQDDLEKGVVGSVPIPLDDVGKEGVIAALVANVESMIKADRKITALKQLQGHIWRTGYEKKELEGVVFEDVPEALKKWHDSGIKVYIYSSGSRLAQRLIFGNSNYGDLREYLSGFFDTTVGNKRESSSYAEIVQSVGVDKPSQVLFVTDVFQEAVAAKEAGLEVIVSIRPGNGPLPENHGFKTVNSFSEI >ONI13872 pep chromosome:Prunus_persica_NCBIv2:G4:16786247:16790763:-1 gene:PRUPE_4G251000 transcript:ONI13872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKQHNALQPFDEAWFDVANSCGKEFELPNISTPINPQWSDNENLVFLEDQQPDILVNNYELVMTSSSCSGNVVDSFPPLKMVPAITNYVPTVDMQKGISFGNHMVHFLEEKQLVSMPTPAINSSLRNQEFTVNSKFR >ONI13976 pep chromosome:Prunus_persica_NCBIv2:G4:17877270:17890658:-1 gene:PRUPE_4G255700 transcript:ONI13976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLLETRAPAHTQQHQNLNNGQISHPFSFDFSGFSLQNQSKQYQSLSHTMNPSNAGDVTSGFSNSNDINSNFGFNTSSLSRQGSGLARPRFVKVRRGLNAQNFKPPESPETGFRPGFNPFRTNLESSNPVPSGIETGTSVSGEFGTPKSGNEGKMFGANRSDSNANSGKWDSNASLGKGVIDEMRNLKIGSGNEFLNTKEGAFSFNARSRASSSSAAGLDKGGFVFGNGYRKNSSIDESIGSKLPEDMMKLNIEGPENAESVEKGKNVKFNVTATDKTKFGLGNNDNVGGSLGQNLESELPNELKKLNIKETVQLDRSTDTPNADCVNKFAFGNSKKDSYSFSGSSENILPDLMKNLNIKDYADMSDRDNPALTSGKTVGDTFDGRKGTLLSRKMEKLSLGSRAGDSTQSHAGTPSHQTSIKHVETGNCDKPIPREFPFQVAMQGRNAGGCEFSSDQPKDGAKSCGTTPSGGIHFEPVGGTSEMPAVDRPEKRDEFYFTSKQDGLGGHSVEFKTPNPKANLFSGINKKLEFGARRESFRDTRKKKTTGKPRRSSSAHLGPGHDFVSREGSSQENVEASASYSPMDVSPYQETLADNQCAKENSVASGESFTILNNYSAADSVPTVSNDPIDEDLAVATGCLDINEVDATSRETRADTFEYGLDGSVDVEGTLEGSVSEVETESFKSAAEEVDFSSDNSLTAKETEASSSSNMERHDIDARIHFGFPSTSEDRTRSNFTFAASSASQSQLSASKRLHKKKNLVKEGQDTNVMVPNVKVPYASSSANFFPYPGASVLMSPGRSQKIDLSIPQQKYGDNYGVCKEKEIKQESGSPSAETAAAQEACEKWRLRGNQAYCNGDLSKAEDCYTRGVNCISRNETSRSCLRALMLCYSNRAATRMTLGRLRDALGDCMMAVGIDPNFLKAQVRAANCYLALGEVEDASQHFRRCLQLANDVCVDRKIAVEASDGLQKAQKVSECLNLSAELLQWKISTNAERALELIAEGLVMSPSSEKLLEMKAEALFMMWRYEEVIELCEQTLGSAEKNNPSMDTNYQALSSDGSELSKYFYFRLWRCRVIFKSYFHLGKLEEGLASLKKQDEKVSTYRNWRKTLESSVPLVLTVRELLSHKAAGNEAFQAGRHTEAVEHYTAALSCNVESRPFTAVCFCNRAAAYKALGQMTDAIADCSLAIALDGNYLKAISRRATLYEMIRDYGQAARDLQRLVSLLTKQVEGKTNHCGTSDRSISCTNDLRQARLRLSEIEEEDRKDIPLDMYLILGVEPSVSAAEIKKAYRKAALRHHPDKAGQFFARSDNGDDGVWREIAEEVHQDADRLFKMIGEAYAVLSDPTKRSRYDAEEEMRNAQKKRSGSSTSRMPADVQNYPFERSSSRRQWSYGNSSARGSEATWSSRYS >ONI13977 pep chromosome:Prunus_persica_NCBIv2:G4:17877983:17890297:-1 gene:PRUPE_4G255700 transcript:ONI13977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLLETRAPAHTQQHQNLNNGQISHPFSFDFSGFSLQNQSKQYQSLSHTMNPSNAGDVTSGFSNSNDINSNFGFNTSSLSRQGSGLARPRFVKVRRGLNAQNFKPPESPETGFRPGFNPFRTNLESSNPVPSGIETGTSVSGEFGTPKSGNEGKMFGANRSDSNANSGKWDSNASLGKGVIDEMRNLKIGSGNEFLNTKEGAFSFNARSRASSSSAAGLDKGGFVFGNGYRKNSSIDESIGSKLPEDMMKLNIEGPENAESVEKGKNVKFNVTATDKTKFGLGNNDNVGGSLGQNLESELPNELKKLNIKETVQLDRSTDTPNADCVNKFAFGNSKKDSYSFSGSSENILPDLMKNLNIKDYADMSDRDNPALTSGKTVGDTFDGRKGTLLSRKMEKLSLGSRAGDSTQSHAGTPSHQTSIKHVETGNCDKPIPREFPFQVAMQGRNAGGCEFSSDQPKDGAKSCGTTPSGGIHFEPVGGTSEMPAVDRPEKRDEFYFTSKQDGLGGHSVEFKTPNPKANLFSGINKKLEFGARRESFRDTRKKKTTGKPRRSSSAHLGPGHDFVSREGSSQENVEASASYSPMDVSPYQETLADNQCAKENSVASGESFTILNNYSAADSVPTVSNDPIDEDLAVATGCLDINEVDATSRETRADTFEYGLDGSVDVEGTLEGSVSEVETESFKSAAEEVDFSSDNSLTAKETEASSSSNMERHDIDARIHFGFPSTSEDRTRSNFTFAASSASQSQLSASKRLHKKKNLVKEGQDTNVMVPNVKVPYASSSANFFPYPGASVLMSPGRSQKIDLSIPQQKYGDNYGVCKEKEIKQESGSPSAETAAAQEACEKWRLRGNQAYCNGDLSKAEDCYTRGVNCISRNETSRSCLRALMLCYSNRAATRMTLGRLRDALGDCMMAVGIDPNFLKAQVRAANCYLALGEVEDASQHFRRCLQLANDVCVDRKIAVEASDGLQKAQKVSECLNLSAELLQWKISTNAERALELIAEGLVMSPSSEKLLEMKAEALFMQMWRYEEVIELCEQTLGSAEKNNPSMDTNYQALSSDGSELSKYFYFRLWRCRVIFKSYFHLGKLEEGLASLKKQDEKVSTYRNWRKTLESSVPLVLTVRELLSHKAAGNEAFQAGRHTEAVEHYTAALSCNVESRPFTAVCFCNRAAAYKALGQMTDAIADCSLAIALDGNYLKAISRRATLYEMIRDYGQAARDLQRLVSLLTKQVEGKTNHCGTSDRSISCTNDLRQARLRLSEIEEEDRKDIPLDMYLILGVEPSVSAAEIKKAYRKAALRHHPDKAGQFFARSDNGDDGVWREIAEEVHQDADRLFKMIGEAYAVLSDPTKRSRYDAEEEMRNAQKKRSGSSTSRMPADVQNYPFERSSSRRQWSYGNSSARGSEATWSSRYS >ONI13974 pep chromosome:Prunus_persica_NCBIv2:G4:17877374:17890643:-1 gene:PRUPE_4G255700 transcript:ONI13974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLLETRAPAHTQQHQNLNNGQISHPFSFDFSGFSLQNQSKQYQSLSHTMNPSNAGDVTSGFSNSNDINSNFGFNTSSLSRQGSGLARPRFVKVRRGLNAQNFKPPESPETGFRPGFNPFRTNLESSNPVPSGIETGTSVSGEFGTPKSGNEGKMFGANRSDSNANSGKWDSNASLGKGVIDEMRNLKIGSGNEFLNTKEGAFSFNARSRASSSSAAGLDKGGFVFGNGYRKNSSIDESIGSKLPEDMMKLNIEGPENAESVEKGKNVKFNVTATDKTKFGLGNNDNVGGSLGQNLESELPNELKKLNIKETVQLDRSTDTPNADCVNKFAFGNSKKDSYSFSGSSENILPDLMKNLNIKDYADMSDRDNPALTSGKTVGDTFDGRKGTLLSRKMEKLSLGSRAGDSTQSHAGTPSHQTSIKHVETGNCDKPIPREFPFQVAMQGRNAGGCEFSSDQPKDGAKSCGTTPSGGIHFEPVGGTSEMPAVDRPEKRDEFYFTSKQDGLGGHSVEFKTPNPKANLFSGINKKLEFGARRESFRDTRKKKTTGKPRRSSSAHLGPGHDFVSREGSSQENVEASASYSPMDVSPYQETLADNQCAKENSVASGCLDINEVDATSRETRADTFEYGLDGSVDVEGTLEGSVSEVETESFKSAAEEVDFSSDNSLTAKETEASSSSNMERHDIDARIHFGFPSTSEDRTRSNFTFAASSASQSQLSASKRLHKKKNLVKEGQDTNVMVPNVKVPYASSSANFFPYPGASVLMSPGRSQKIDLSIPQQKYGDNYGVCKEKEIKQESGSPSAETAAAQEACEKWRLRGNQAYCNGDLSKAEDCYTRGVNCISRNETSRSCLRALMLCYSNRAATRMTLGRLRDALGDCMMAVGIDPNFLKAQVRAANCYLALGEVEDASQHFRRCLQLANDVCVDRKIAVEASDGLQKAQKVSECLNLSAELLQWKISTNAERALELIAEGLVMSPSSEKLLEMKAEALFMMWRYEEVIELCEQTLGSAEKNNPSMDTNYQALSSDGSELSKYFYFRLWRCRVIFKSYFHLGKLEEGLASLKKQDEKVSTYRNWRKTLESSVPLVLTVRELLSHKAAGNEAFQAGRHTEAVEHYTAALSCNVESRPFTAVCFCNRAAAYKALGQMTDAIADCSLAIALDGNYLKAISRRATLYEMIRDYGQAARDLQRLVSLLTKQVEGKTNHCGTSDRSISCTNDLRQARLRLSEIEEEDRKDIPLDMYLILGVEPSVSAAEIKKAYRKAALRHHPDKAGQFFARSDNGDDGVWREIAEEVHQDADRLFKMIGEAYAVLSDPTKRSRYDAEEEMRNAQKKRSGSSTSRMPADVQNYPFERSSSRRQWSYGNSSARGSEATWSSRYS >ONI13975 pep chromosome:Prunus_persica_NCBIv2:G4:17877983:17890297:-1 gene:PRUPE_4G255700 transcript:ONI13975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLLETRAPAHTQQHQNLNNGQISHPFSFDFSGFSLQNQSKQYQSLSHTMNPSNAGDVTSGFSNSNDINSNFGFNTSSLSRQGSGLARPRFVKVRRGLNAQNFKPPESPETGFRPGFNPFRTNLESSNPVPSGIETGTSVSGEFGTPKSGNEGKMFGANRSDSNANSGKWDSNASLGKGVIDEMRNLKIGSGNEFLNTKEGAFSFNARSRASSSSAAGLDKGGFVFGNGYRKNSSIDESIGSKLPEDMMKLNIEGPENAESVEKGKNVKFNVTATDKTKFGLGNNDNVGGSLGQNLESELPNELKKLNIKETVQLDRSTDTPNADCVNKFAFGNSKKDSYSFSGSSENILPDLMKNLNIKDYADMSDRDNPALTSGKTVGDTFDGRKGTLLSRKMEKLSLGSRAGDSTQSHAGTPSHQTSIKHVETGNCDKPIPREFPFQVAMQGRNAGGCEFSSDQPKDGAKSCGTTPSGGIHFEPVGGTSEMPAVDRPEKRDEFYFTSKQDGLGGHSVEFKTPNPKANLFSGINKKLEFGARRESFRDTRKKKTTGKPRRSSSAHLGPGHDFVSREGSSQENVEASASYSPMDVSPYQETLADNQCAKENSVASGCLDINEVDATSRETRADTFEYGLDGSVDVEGTLEGSVSEVETESFKSAAEEVDFSSDNSLTAKETEASSSSNMERHDIDARIHFGFPSTSEDRTRSNFTFAASSASQSQLSASKRLHKKKNLVKEGQDTNVMVPNVKVPYASSSANFFPYPGASVLMSPGRSQKIDLSIPQQKYGDNYGVCKEKEIKQESGSPSAETAAAQEACEKWRLRGNQAYCNGDLSKAEDCYTRGVNCISRNETSRSCLRALMLCYSNRAATRMTLGRLRDALGDCMMAVGIDPNFLKAQVRAANCYLALGEVEDASQHFRRCLQLANDVCVDRKIAVEASDGLQKAQKVSECLNLSAELLQWKISTNAERALELIAEGLVMSPSSEKLLEMKAEALFMQMWRYEEVIELCEQTLGSAEKNNPSMDTNYQALSSDGSELSKYFYFRLWRCRVIFKSYFHLGKLEEGLASLKKQDEKVSTYRNWRKTLESSVPLVLTVRELLSHKAAGNEAFQAGRHTEAVEHYTAALSCNVESRPFTAVCFCNRAAAYKALGQMTDAIADCSLAIALDGNYLKAISRRATLYEMIRDYGQAARDLQRLVSLLTKQVEGKTNHCGTSDRSISCTNDLRQARLRLSEIEEEDRKDIPLDMYLILGVEPSVSAAEIKKAYRKAALRHHPDKAGQFFARSDNGDDGVWREIAEEVHQDADRLFKMIGEAYAVLSDPTKRSRYDAEEEMRNAQKKRSGSSTSRMPADVQNYPFERSSSRRQWSYGNSSARGSEATWSSRYS >ONI09730 pep chromosome:Prunus_persica_NCBIv2:G4:332773:335638:1 gene:PRUPE_4G006000 transcript:ONI09730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPSKNIWIRRQQCPCGDWKCYVTYEGDSEETSSITSQLVKNDSAGSEAMVSPYVGMVFKSDDDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPVKKKPTGEHHRDRKSVRCGCDAKMYLSKEVVDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLTEKRENDTLELLEACKGTKEADEDFVYDFTVDENDKVEHIAWSYGDSVHAYTMYGDAVYFDTSYQSITYGVLFGAWLGIDNHGRTIFFGCVLLQDETPRSFSWALQTFVRFMRGRCPQTILTDLDPGLRDAISSELPGTKHVISIWNILPKVSSWFSVSLGSRCAEFKSEFDVLYRVESTEDFELQWNQMISMFGLTTDKHIALLYSFRASWALSYMKGYFLACTATTTYSKSVDAFLKGVFSAQTCLRSFFEQVGISANFQNQARQEMQYMHIKTCIPIEEHVRSILTPFAFNALQHELVLAMQYAVSEMSNGSYLVRHFKEIDGERLVIWIPEEEQIHCSCKEFESSGLLCRHSLRVFVAKNYFQLPDKYYLNRWRKESSLDFYDDHIARTSDDEWFQDYHCLTETLFTESSITKERADYVRRELTTQLTRILNEVRNMPECEGVAMDLTFSPTG >ONI09734 pep chromosome:Prunus_persica_NCBIv2:G4:332639:335705:1 gene:PRUPE_4G006000 transcript:ONI09734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPSKNIWIRRQQCPCGDWKCYVTYEGDSEETSSITSQLVKNDSAGSEAMVSPYVGMVFKSDDDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPVKKKPTGEHHRDRKSVRCGCDAKMYLSKEVVDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLTEKRENDTLELLEACKGTKEADEDFVYDFTVDENDKVEHIAWSYGDSVHAYTMYGDAVYFDTSYQSITYGVLFGAWLGIDNHGRTIFFGCVLLQDETPRSFSWALQTFVRFMRGRCPQTILTDLDPGLRDAISSELPGTKHVISIWNILPKVSSWFSVSLGSRCAEFKSEFDVLYRVESTEDFELQWNQMISMFGLTTDKHIALLYSFRASWALSYMKGYFLACTATTTYSKSVDAFLKGVFSAQTCLRSFFEQHELVLAMQYAVSEMSNGSYLVRHFKEIDGERLVIWIPEEEQIHCSCKEFESSGLLCRHSLRVFVAKNYFQLPDKYYLNRWRKESSLDFYDDHIARTSDDEWFQDYHCLTETLFTESSITKERADYVRRELTTQLTRILNEVRNMPECEGVAMDLTFSPTG >ONI09733 pep chromosome:Prunus_persica_NCBIv2:G4:332624:335705:1 gene:PRUPE_4G006000 transcript:ONI09733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPSKNIWIRRQQCPCGDWKCYVTYEGDSEETSSITSQLVKNDSAGSEAMVSPYVGMVFKSDDDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPVKKKPTGEHHRDRKSVRCGCDAKMYLSKEVVDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLTEKRENDTLELLEACKGTKEADEDFVYDFTVDENDKVEHIAWSYGDSVHAYTMYGDAVYFDTSYQSITYGVLFGAWLGIDNHGRTIFFGCVLLQDETPRSFSWALQTFVRFMRGRCPQTILTDLDPGLRDAISSELPGTKHVISIWNILPKVSSWFSVSLGSRCAEFKSEFDVLYRVESTEDFELQWNQMISMFGLTTDKHIALLYSFRASWALSYMKGYFLACTATTTYSKSVDAFLKGVFSAQTCLRSFFEQVGISANFQNQHELVLAMQYAVSEMSNGSYLVRHFKEIDGERLVIWIPEEEQIHCSCKEFESSGLLCRHSLRVFVAKNYFQLPDKYYLNRWRKESSLDFYDDHIARTSDDEWFQDYHCLTETLFTESSITKERADYVRRELTTQLTRILNEVRNMPECEGVAMDLTFSPTG >ONI09735 pep chromosome:Prunus_persica_NCBIv2:G4:332652:335689:1 gene:PRUPE_4G006000 transcript:ONI09735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPSKNIWIRRQQCPCGDWKCYVTYEGDSEETSSITSQLVKNDSAGSEAMVSPYVGMVFKSDDDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPVKKKPTGEHHRDRKSVRCGCDAKMYLSKEVVDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLTEKRENDTLELLEACKGTKEADEDFVYDFTVDENDKVEHIAWSYGDSVHAYTMYGDAVYFDTSYQSITYGVLFGAWLGIDNHGRTIFFGCVLLQDETPRSFSWALQTFVRFMRGRCPQTILTDLDPGLRDAISSELPEFKSEFDVLYRVESTEDFELQWNQMISMFGLTTDKHIALLYSFRASWALSYMKGYFLACTATTTYSKSVDAFLKGVFSAQTCLRSFFEQVGISANFQNQARQEMQYMHIKTCIPIEEHVRSILTPFAFNALQHELVLAMQYAVSEMSNGSYLVRHFKEIDGERLVIWIPEEEQIHCSCKEFESSGLLCRHSLRVFVAKNYFQLPDKYYLNRWRKESSLDFYDDHIARTSDDEWFQDYHCLTETLFTESSITKERADYVRRELTTQLTRILNEVRNMPECEGVAMDLTFSPTG >ONI09732 pep chromosome:Prunus_persica_NCBIv2:G4:332606:335638:1 gene:PRUPE_4G006000 transcript:ONI09732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPSKNIWIRRQQCPCGDWKCYVTYEGDSEETSSITSQLVKNDSAGSEAMVSPYVGMVFKSDDDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPVKKKPTGEHHRDRKSVRCGCDAKMYLSKEVVDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLTEKRENDTLELLEACKGTKEADEDFVYDFTVDENDKVEHIAWSYGDSVHAYTMYGDAVYFDTSYQSITYGVLFGAWLGIDNHGRTIFFGCVLLQDETPRSFSWALQTFVRFMRGRCPQTILTDLDPGLRDAISSELPGTKHVISIWNILPKVSSWFSVSLGSRCAEFKSEFDVLYRVESTEDFELQWNQMISMFGLTTDKHIALLYSFRASWALSYMKGYFLACTATTTYSKSVDAFLKGVFSAQTCLRSFFEQVGISANFQNQHELVLAMQYAVSEMSNGSYLVRHFKEIDGERLVIWIPEEEQIHCSCKEFESSGLLCRHSLRVFVAKNYFQLPDKYYLNRWRKESSLDFYDDHIARTSDDEWFQDYHCLTETLFTESSITKERADYVRRELTTQLTRILNEVRNMPECEGVAMDLTFSPTG >ONI09731 pep chromosome:Prunus_persica_NCBIv2:G4:332583:335811:1 gene:PRUPE_4G006000 transcript:ONI09731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPSKNIWIRRQQCPCGDWKCYVTYEGDSEETSSITSQLVKNDSAGSEAMVSPYVGMVFKSDDDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPVKKKPTGEHHRDRKSVRCGCDAKMYLSKEVVDGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKVVQENDALLTEKRENDTLELLEACKGTKEADEDFVYDFTVDENDKVEHIAWSYGDSVHAYTMYGDAVYFDTSYQSITYGVLFGAWLGIDNHGRTIFFGCVLLQDETPRSFSWALQTFVRFMRGRCPQTILTDLDPGLRDAISSELPGTKHVISIWNILPKVSSWFSVSLGSRCAEFKSEFDVLYRVESTEDFELQWNQMISMFGLTTDKHIALLYSFRASWALSYMKGYFLACTATTTYSKSVDAFLKGVFSAQTCLRSFFEQVGISANFQNQARQEMQYMHIKTCIPIEEHVRSILTPFAFNALQHELVLAMQYAVSEMSNGSYLVRHFKEIDGERLVIWIPEEEQIHCSCKEFESSGLLCRHSLRVFVAKNYFQLPDKYYLNRWRKESSLDFYDDHIARTSDDEWFQDYHCLTETLFTESSITKERADYVRRELTTQLTRILNEVRNMPECEGVAMDLTFSPTG >ONI11526 pep chromosome:Prunus_persica_NCBIv2:G4:5903024:5904824:1 gene:PRUPE_4G111300 transcript:ONI11526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVCVCVCVVMPACWFWTMLVESRISICKTDEIKALNETSLNGYVVFCLQFLYSVYIIARFILLPHKIVFIFEDINQKKF >ONI11569 pep chromosome:Prunus_persica_NCBIv2:G4:6055168:6061846:-1 gene:PRUPE_4G113800 transcript:ONI11569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGEFNSSGLDRFVKEVKLAECGLSYAVVAIMGPQSSGKSTLLNHLFHTKFREMDAYSGRSQTTKGIWIAKCVGIEPCTIAMDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQTPFEYLEPVLREDIQKIWDGVPKPQAHKSTPFSDFFSVEVVALSSYEEKEEKFKEEVAQLRQRFFHSISPGGLAGDRRGVVPATGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQKSNQLVYDEDWLALKEAVQIGPVQGFGIRLSSILGTYLSEYDMEAVYFDEGVRNSKRQLLESKALDFVYPAYTTMLGHLRSKALEDFKVRLEQSLNKGGEFASSVRTSSQSSMLEFDKGCADTAIQQADWDGSRVREKLKRDIDAHASSVRSAKLSKLKINYEKQLSASLTGPVEALLETGGKDTWTSMRKLLNHDTEVAVLEFSAAVAGFELDKDTSTKMTQNLRDYARNVVEKKAREEAGNIMIHMKDRFSTVFNYDSDSMPRVWTEKEDIRSITKDARSASLKLLSVMAAIRMESKPDNIEKLLFSSLMDGTVTVSSSQDRRVAASTYPLASSTWEEVSSKDTLITPVQCKSLWRQFKAETEYSVTQAISAQEAHKQSNNWWPPLWAILAMIVLGYNEIKLLITNPLYLMVLFVAYLISRALWVQMDIGRVFQHGIVSIKLSFTGIFLILIRVRILVSFVWC >ONI11568 pep chromosome:Prunus_persica_NCBIv2:G4:6054600:6062096:-1 gene:PRUPE_4G113800 transcript:ONI11568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGEFNSSGLDRFVKEVKLAECGLSYAVVAIMGPQSSGKSTLLNHLFHTKFREMDAYSGRSQTTKGIWIAKCVGIEPCTIAMDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPFEYLEPVLREDIQKIWDGVPKPQAHKSTPFSDFFSVEVVALSSYEEKEEKFKEEVAQLRQRFFHSISPGGLAGDRRGVVPATGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQKSNQLVYDEDWLALKEAVQIGPVQGFGIRLSSILGTYLSEYDMEAVYFDEGVRNSKRQLLESKALDFVYPAYTTMLGHLRSKALEDFKVRLEQSLNKGGEFASSVRTSSQSSMLEFDKGCADTAIQQADWDGSRVREKLKRDIDAHASSVRSAKLSKLKINYEKQLSASLTGPVEALLETGGKDTWTSMRKLLNHDTEVAVLEFSAAVAGFELDKDTSTKMTQNLRDYARNVVEKKAREEAGNIMIHMKDRFSTVFNYDSDSMPRVWTEKEDIRSITKDARSASLKLLSVMAAIRMESKPDNIEKLLFSSLMDGTVTVSSSQDRRVAASTYPLASSTWEEVSSKDTLITPVQCKSLWRQFKAETEYSVTQAISAQEAHKQSNNWWPPLWAILAMIVLGYNEIKLLITNPLYLMVLFVAYLISRALWVQMDIGRVFQHGILSGILTIVSRFLPTVMDLLRKLAEKSQGNPAPEAPRRPISVASQSHRNETPLPNTISSSIPESTVSSNMSSSDGGVEYSSPPLRQRRPTNVQEVDVNVFVPCVSD >ONI11567 pep chromosome:Prunus_persica_NCBIv2:G4:6054600:6061999:-1 gene:PRUPE_4G113800 transcript:ONI11567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGEFNSSGLDRFVKEVKLAECGLSYAVVAIMGPQSSGKSTLLNHLFHTKFREMDAYSGRSQTTKGIWIAKCVGIEPCTIAMDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQTPFEYLEPVLREDIQKIWDGVPKPQAHKSTPFSDFFSVEVVALSSYEEKEEKFKEEVAQLRQRFFHSISPGGLAGDRRGVVPATGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQKSNQLVYDEDWLALKEAVQIGPVQGFGIRLSSILGTYLSEYDMEAVYFDEGVRNSKRQLLESKALDFVYPAYTTMLGHLRSKALEDFKVRLEQSLNKGGEFASSVRTSSQSSMLEFDKGCADTAIQQADWDGSRVREKLKRDIDAHASSVRSAKLSKLKINYEKQLSASLTGPVEALLETGGKDTWTSMRKLLNHDTEVAVLEFSAAVAGFELDKDTSTKMTQNLRDYARNVVEKKAREEAGNIMIHMKDRFSTVFNYDSDSMPRVWTEKEDIRSITKDARSASLKLLSVMAAIRMESKPDNIEKLLFSSLMDGTVTVSSSQDRRVAASTYPLASSTWEEVSSKDTLITPVQCKSLWRQFKAETEYSVTQAISAQEAHKQSNNWWPPLWAILAMIVLGYNEIKLLITNPLYLMVLFVAYLISRALWVQMDIGRVFQHGILSGILTIVSRFLPTVMDLLRKLAEKSQGNPAPEAPRRPISVASQSHRNETPLPNTISSSIPESTVSSNMSSSDGGVEYSSPPLRQRRPTNVQEVDVNVFVPCVSD >ONI11566 pep chromosome:Prunus_persica_NCBIv2:G4:6054367:6062085:-1 gene:PRUPE_4G113800 transcript:ONI11566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGEFNSSGLDRFVKEVKLAECGLSYAVVAIMGPQSSGKSTLLNHLFHTKFREMDAYSGRSQTTKGIWIAKCVGIEPCTIAMDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPFEYLEPVLREDIQKIWDGVPKPQAHKSTPFSDFFSVEVVALSSYEEKEEKFKEEVAQLRQRFFHSISPGGLAGDRRGVVPATGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQKSNQLVYDEDWLALKEAVQIGPVQGFGIRLSSILGTYLSEYDMEAVYFDEGVRNSKRQLLESKALDFVYPAYTTMLGHLRSKALEDFKVRLEQSLNKGGEFASSVRTSSQSSMLEFDKGCADTAIQQADWDGSRVREKLKRDIDAHASSVRSAKLSKLKINYEKQLSASLTGPVEALLETGGKDTWTSMRKLLNHDTEVAVLEFSAAVAGFELDKDTSTKMTQNLRDYARNVVEKKAREEAGNIMIHMKDRFSTVFNYDSDSMPRVWTEKEDIRSITKDARSASLKLLSVMAAIRMESKPDNIEKLLFSSLMDGTVTVSSSQDRRVAASTYPLASSTWEEVSSKDTLITPVQCKSLWRQFKAETEYSVTQAISAQEAHKQSNNWWPPLWAILAMIVLGYNEIKLLITNPLYLMVLFVAYLISRALWVQMDIGRVFQHGILSGILTIVSRFLPTVMDLLRKLAEKSQGNPAPEAPRRPISVASQSHRNETPLPNTISSSIPESTVSSNMSSSDGGVEYSSPPLRQRRPTNVQEVDLMK >ONI11419 pep chromosome:Prunus_persica_NCBIv2:G4:5473697:5476165:-1 gene:PRUPE_4G105800 transcript:ONI11419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILGQNRLEDVSWLCSLSESELDMLISLKSIVLQRARMIGHEELANNFDLKMLRALAFVLMECIRDKVKDLTLAESAAFMESCNLLKYNLGDIMSLEEIRACIGINSRKGPIKSTHHSWNTNPSHSWHKHDVSLLFQKCSFLPKRHAKVFKGQKCVAHMQCIRYYF >ONI11418 pep chromosome:Prunus_persica_NCBIv2:G4:5473313:5476281:-1 gene:PRUPE_4G105800 transcript:ONI11418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILGQNRLEDVSWLCSLSESELDMLISLKSIVLQRARMIGHEELANNFDLKMLRALAFVLMECIRDKVKDLTLAESAAFMESCNLLKYNLGDIMSLEEIRACIGINSRKGPIKRSVLSCQKDMQRFSKVKNVLHICNASGITFSSLPSVD >ONI11417 pep chromosome:Prunus_persica_NCBIv2:G4:5473291:5476431:-1 gene:PRUPE_4G105800 transcript:ONI11417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILGQNRLEDVSWLCSLSESELDMLISLKSIVLQRARMIGHEELANNFDLKMLRALAFVLMECIRDKVKDLTLAESAAFMESCNLLKYNLGDIMSLEEIRACIGINSRKGPIKRSVLSCQKDMQRSPHKETTINPKKQKSETSKS >ONI11420 pep chromosome:Prunus_persica_NCBIv2:G4:5474390:5476165:-1 gene:PRUPE_4G105800 transcript:ONI11420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILGQNRLEDVSWLCSLSESELDMLISLKSIVLQRARMIGHEELANNFDLKMLRALAFVLMECIRDKVKDLTLAESAAFMESCNLLKYNLGDIMSLEEIRACIGINSRKGPIKRLVEATRLNIASFWVTT >ONI11416 pep chromosome:Prunus_persica_NCBIv2:G4:5473266:5476448:-1 gene:PRUPE_4G105800 transcript:ONI11416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILGQNRLEDVSWLCSLSESELDMLISLKSIVLQRARMIGHEELANNFDLKMLRALAFVLMECIRDKVKDLTLAESAAFMESCNLLKYNLGDIMSLEEIRACIGINSRKGPIKRSPHKETTINPKKQKSETSKS >ONI11415 pep chromosome:Prunus_persica_NCBIv2:G4:5473313:5476435:-1 gene:PRUPE_4G105800 transcript:ONI11415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGILGQNRLEDVSWLCSLSESELDMLISLKSIVLQRARMIGHEELANNFDLKMLRALAFVLMECIRDKVKDLTLAESAAFMESCNLLKYNLGDIMSLEEIRACIGINSRKGPIKSPHKETTINPKKQKSETSKS >ONI13115 pep chromosome:Prunus_persica_NCBIv2:G4:12667455:12669794:-1 gene:PRUPE_4G203600 transcript:ONI13115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLYKSAKSGDVCFLKQLLNDDPTLLYQLTPRENTALHIAVQFGHKNVTAEIYSRCMSLVSRPNLDGDTPLHVAARVGCFSIVNYLVRETLSMSQADFENANISMTETLRIRNRGNNTVLHEAVRNGHTKVAEFLLKMDPKLACFQNEAGESPLYLAAREGLLDILNQILQSSPSSAHGGSDGQTALHAAVVERHFDVMESLLRFKQQLIKQADHQASKGYTNVIREIVGHCPDSGELVDPYGRNALHIAIFNGQTEVVRYILETAELEGLINQPDFDGNMPLHLATIERKTWILCYLKWDGRVNPRSKNKYGQTAIEIDSSIKEANITPPRNIMPSIWGHLRTPPSWLDNIKVFSRADQEEAIAMQTYKQMGQTLLMVATLITTVTFAAAFTMPGGYNNDVGPDQGQALLQSNNFFKCFIITDSIAMTCSIIAACLLFWGAVNSNKSSYVYYLTIAAALTYIALLSTGIAFTTGVTAVISHQQFAKVLGHVVGIAFHVSTFLFLSQLVKMFSLAEACRFFIFHLCKLKCKSKNKP >ONI13116 pep chromosome:Prunus_persica_NCBIv2:G4:12667686:12669756:-1 gene:PRUPE_4G203600 transcript:ONI13116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLYKSAKSGDVCFLKQLLNDDPTLLYQLTPRENTALHIAVQFGHKNVTAEIYSRCMSLVSRPNLDGDTPLHVAARVGCFSIVNYLVRETLSMSQADFENANISMTETLRIRNRGNNTVLHEAVRNGHTKVAEFLLKMDPKLACFQNEAGESPLYLAAREGLLDILNQILQSSPSSAHGGSDGQTALHAAVVERHFDVMESLLRFKQQLIKQADHQGKTPLYYAASLGDHKTVQRLLELDISIAYVLNKQGYSPIHVAASKGYTNVIREIVGHCPDSGELVDPYGRNALHIAIFNGQTEVVRYILETAELEGLINQPDFDGNMPLHLATIERKTWILCYLKWDGRVNPRSKNKYGQTAIEIDSSIKEANITPPRNIMPSIWGHLRTPPSWLDNIKVFSRADQEEAIAMQTYKQMGQTLLMVATLITTVTFAAAFTMPGGYNNDVGPDQGQALLQSNNFFKCFIITDSIAMTCSIIAACLLFWGAVNSNKSSYVYYLTIAAALTYIALLSTGIAFTTGVTAVISHQQFAKVLGHVVGIAFHVSTFLFLSQLVKMFSLAEACRFFIFHLCKLKCKSKNKP >ONI10188 pep chromosome:Prunus_persica_NCBIv2:G4:1559883:1560893:1 gene:PRUPE_4G033500 transcript:ONI10188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRNGVVFEDFFPAMVDKLGAEGFMKELCNGFRLLMDREKGVITFESLKRNSGLLGLEGMSDEEIMCMLREGDLDGDGSLNEMEFCTLMFRLSPAMMQTSKELLVEALDLDSEM >ONI12606 pep chromosome:Prunus_persica_NCBIv2:G4:10317613:10322177:-1 gene:PRUPE_4G174400 transcript:ONI12606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAVNQQIPVDTTSATITIKGILSLLLQNVDENDNKRLISLGMGDPTAYSCFHTTQVAQEAVVDAIQSDKFNGYAPTVGLPQTRRAIAEYLSRDLPYKLTSDDVFVTSGCTQAIDVALAMLTRPGANILLPRPGFPIYELCSAFRHLEVRHFDLLQENAWEVDLDAVEALADHNTVAMVIINPGNPCGNVYSYQHLEKIAETAKKLRFLVIADEVYGHLAIGGKPFVPMGVFGSTVPVLTLGSLSKRWIVPGWRLGWFVTSDPCGMFRKPKVVERIKKYFDILGGPATFIQAAVPRIIEQTEEVFFKKTIYLLKQSSDICCERINEIPCITCPNKPEGSMAVMVKLDLSLLEDITDDIEFCFKLAKEESVIFLPGTAVGLKNWLRVTFAADPNSIEEALRRTKCFYQRHARKL >ONI12605 pep chromosome:Prunus_persica_NCBIv2:G4:10317616:10323785:-1 gene:PRUPE_4G174400 transcript:ONI12605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAVNQQIPVDTTSATITIKGILSLLLQNVDENDNKRLISLGMGDPTAYSCFHTTQVAQEAVVDAIQSDKFNGYAPTVGLPQTRRAIAEYLSRDLPYKLTSDDVFVTSGCTQAIDVALAMLTRPGANILLPRPGFPIYELCSAFRHLEVRHFDLLQENAWEVDLDAVEALADHNTVAMVIINPGNPCGNVYSYQHLEKIAETAKKLRFLVIADEVYGHLAIGGKPFVPMGVFGSTVPVLTLGSLSKRWIVPGWRLGWFVTSDPCGMFRKPKVVERIKKYFDILGGPATFIQAAVPRIIEQTEEVFFKKTIYLLKQSSDICCERINEIPCITCPNKPEGSMAVMVKLDLSLLEDITDDIEFCFKLAKEESVIFLPGTAVGLKNWLRVTFAADPNSIEEALRRTKCFYQRHARKL >ONI12610 pep chromosome:Prunus_persica_NCBIv2:G4:10318493:10321311:-1 gene:PRUPE_4G174400 transcript:ONI12610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAVNQQIPVDTTSATITIKGILSLLLQNVDENDNKRLISLGMGDPTAYSCFHTTQVAQEAVVDAIQSDKFNGYAPTVGLPQTRRAIAEYLSRDLPYKLTSDDVFVTSGCTQAIDVALAMLTRPGANILLPRPGFPIYELCSAFRHLEVRHFDLLQENAWEVDLDAVEALADHNTVAMVIINPGNPCGNVYSYQHLEKIAETAKKLRFLVIADEVYGHLAIGGKPFVPMGVFGSTVPVLTLGSLSKRWIVPGWRLGWFVTSDPCGMFRKPKVVERIKKYFDILGGPATFIQL >ONI12608 pep chromosome:Prunus_persica_NCBIv2:G4:10318493:10321311:-1 gene:PRUPE_4G174400 transcript:ONI12608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAVNQQIPVDTTSATITIKGILSLLLQNVDENDNKRLISLGMGDPTAYSCFHTTQVAQEAVVDAIQSDKFNGYAPTVGLPQTRRAIAEYLSRDLPYKLTSDDVFVTSGCTQAIDVALAMLTRPGANILLPRPGFPIYELCSAFRHLEVRHFDLLQENAWEVDLDAVEALADHNTVAMVIINPGNPCGNVYSYQHLEKIAETAKKLRFLVIADEVYGHLAIGGKPFVPMGVFGSTVPVLTLGSLSKRWIVPGWRLGWFVTSDPCGMFRKPKVVERIKKYFDILGGPATFIQL >ONI12609 pep chromosome:Prunus_persica_NCBIv2:G4:10318493:10321311:-1 gene:PRUPE_4G174400 transcript:ONI12609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAVNQQIPVDTTSATITIKGILSLLLQNVDENDNKRLISLGMGDPTAYSCFHTTQVAQEAVVDAIQSDKFNGYAPTVGLPQTRRAIAEYLSRDLPYKLTSDDVFVTSGCTQAIDVALAMLTRPGANILLPRPGFPIYELCSAFRHLEVRHFDLLQENAWEVDLDAVEALADHNTVAMVIINPGNPCGNVYSYQHLEKIAETAKKLRFLVIADEVYGHLAIGGKPFVPMGVFGSTVPVLTLGSLSKRWIVPGWRLGWFVTSDPCGMFRKPKVVERIKKYFDILGGPATFIQL >ONI12607 pep chromosome:Prunus_persica_NCBIv2:G4:10317615:10323785:-1 gene:PRUPE_4G174400 transcript:ONI12607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAVNQQIPVDTTSATITIKGILSLLLQNVDENDNKRLISLGMGDPTAYSCFHTTQVAQEAVVDAIQSDKFNGYAPTVGLPQTRRAIAEYLSRDLPYKLTSDDVFVTSGCTQAIDVALAMLTRPGANILLPRPGFPIYELCSAFRHLEVRHFDLLQENAWEVDLDAVEALADHNTVAMVIINPGNPCGNVYSYQHLEKIAETAKKLRFLVIADEVYGHLAIGGKPFVPMGVFGSTVPVLTLGSLSKRWIVPGWRLGWFVTSDPCGMFRKPKVVERIKKYFDILGGPATFIQAAVPRIIEQTEEVFFKKTIYLLKQSSDICCERINEIPCITCPNKPEGSMAVMVKLDLSLLEDITDDIEFCFKLAKEESVIFLPGTAVGLKNWLRVTFAADPNSIEEALRRTKCFYQRHARKL >ONI11438 pep chromosome:Prunus_persica_NCBIv2:G4:5557008:5570664:-1 gene:PRUPE_4G106600 transcript:ONI11438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALKDFKVRLEQSLNKGRGFATYVCICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDIDAHASSVCSAKLSELNVNYEQQLSASLTGPVKTLLESGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEARKIMIHMKDRFSTVFNYDSDSTLRVWTGKEDITSITKDARSASMKLLSVMAAIRLDEKPDHIEKVLFSSLMDGDVTVSSSQDREIGASVDPLASSNWEEVSSKNTLITPVQCQSLWRQFIAEIENSVTQAISAHVMAATARFEEIAIQKFSQLILDEDWLALEEAVQIGPVQGFGKRLSSILSTYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVHSKALVDFKVRLEQSLNKGEGFTLSVRTCTQSSILEFDKGCADVAIQQANWDASRVREKLQRDIDAHESSICSAKLLELNFNYERQLSASLTGPVEALLETGGKDTWGSIRKLLNRETEVAISKFSIAISDFELDKETIAKMLQHLRDYSRNVVEKVAREEATKIMIHMKDRFFRVFNCDSDSMPRVWTEKEDIRSITKDARSAALNLLSIMAAIRLDEKSDNIEKVLFSSLMDETVTVSSSQDRRIGASAYLLASSTWEEVSSKDILITPVQCKSLWGEFKTETESSVTEAILAQVYFITSFVWI >ONI11444 pep chromosome:Prunus_persica_NCBIv2:G4:5557489:5570564:-1 gene:PRUPE_4G106600 transcript:ONI11444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRYVVEKKTREEATKIMIHMKDRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALKDFKVRLEQSLNKGRGFATYVCICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDIDAHASSVCSAKLSELNVNYEQQLSASLTGPVKTLLESGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEARKIMIHMKDRFSTVFNYDSDSTLRVWTGKEDITSITKDARSASMKLLSVMAAIRLDEKPDHIEKVLFSSLMDGDVTVSSSQDREIGASVDPLASSNWEEVSSKNTLITPVQCQSLWRQFIAEIENSVTQAISAHVMAATARFEEIAIQKFSQLILDEDWLALEEAVQIGPVQGFGKRLSSILSTYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVHSKALVDFKVRLEQSLNKGEGFTLSVRTCTQSSILEFDKGCADVAIQQANWDASRVREKLQRDIDAHESSICSAKLLELNFNYERQLSASLTGPVEALLETGGKDTWGSIRKLLNRETEVAISKFSIAISDFELDKETIAKMLQHLRDYSRNVVEKVAREEATKIMIHMKDRFFRVFNCDSDSMPRVWTEKEDIRSITKDARSAVIIGNFCERILSVFLC >ONI11441 pep chromosome:Prunus_persica_NCBIv2:G4:5557489:5570564:-1 gene:PRUPE_4G106600 transcript:ONI11441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALKDFKVRLEQSLNKGRGFATYVCICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDIDAHASSVCSAKLSELNVNYEQQLSASLTGPVKTLLESGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEARKIMIHMKDRFSTVFNYDSDSTLRVWTGKEDITSITKDARSASMKLLSVMAAIRLDEKPDHIEKVLFSSLMDGDVTVSSSQDREIGASVDPLASSNWEEVSSKNTLITPVQCQSLWRQFIAEIENSVTQAISAHVMAATARFEEIAIQKFSQLILDEDWLALEEAVQIGPVQGFGKRLSSILSTYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVHSKALVDFKVRLEQSLNKGEGFTLSVRTCTQSSILEFDKGCADVAIQQANWDASRVREKLQRDIDAHESSICSAKLLELNFNYERQLSASLTGPVEALLETGGKDTWGSIRKLLNRETEVAISKFSIAISDFELDKETIAKMLQHLRDYSRNVVEKVAREEATKIMIHMKDRFFRVFNCDSDSMPRVWTEKEDIRSITKDARSAVIIGNFCERILSVFLC >ONI11437 pep chromosome:Prunus_persica_NCBIv2:G4:5556592:5570564:-1 gene:PRUPE_4G106600 transcript:ONI11437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRYVVEKKTREEATKIMIHMKDRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALKDFKVRLEQSLNKGRGFATYVCICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDIDAHASSVCSAKLSELNVNYEQQLSASLTGPVKTLLESGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEARKIMIHMKDRFSTVFNYDSDSTLRVWTGKEDITSITKDARSASMKLLSVMAAIRLDEKPDHIEKVLFSSLMDGDVTVSSSQDREIGASVDPLASSNWEEVSSKNTLITPVQCQSLWRQFIAEIENSVTQAISAHVMAATARFEEIAIQKFSQLILDEDWLALEEAVQIGPVQGFGKRLSSILSTYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVHSKALVDFKVRLEQSLNKGEGFTLSVRTCTQSSILEFDKGCADVAIQQANWDASRVREKLQRDIDAHESSICSAKLLELNFNYERQLSASLTGPVEALLETGGKDTWGSIRKLLNRETEVAISKFSIAISDFELDKETIAKMLQHLRDYSRNVVEKVAREEATKIMIHMKDRFFRVFNCDSDSMPRVWTEKEDIRSITKDARSAALNLLSIMAAIRLDEKSDNIEKVLFSSLMDETVTVSSSQDRRIGASAYLLASSTWEEVSSKDILITPVQCKSLWGEFKTETESSVTEAILAQEAYKQSNNWLPPPWAIMVMVVHGFNQFMLLLK >ONI11436 pep chromosome:Prunus_persica_NCBIv2:G4:5556592:5570564:-1 gene:PRUPE_4G106600 transcript:ONI11436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRYVVEKKTREEATKIMIHMKDRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALKDFKVRLEQSLNKGRGFATYVCICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDIDAHASSVCSAKLSELNVNYEQQLSASLTGPVKTLLESGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEARKIMIHMKDRFSTVFNYDSDSTLRVWTGKEDITSITKDARSAALNLLSIMAAIRLDEKSDNIEKVLFSSLMDETVTVSSSQDRRIGASAYLLASSTWEEVSSKDILITPVQCKSLWGEFKTETESSVTEAILAQEAYKQSNNWLPPPWAIMVMVVHGFNQFMLLLK >ONI11443 pep chromosome:Prunus_persica_NCBIv2:G4:5557489:5570564:-1 gene:PRUPE_4G106600 transcript:ONI11443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRYVVEKKTREEATKIMIHMKDRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALKDFKVRLEQSLNKGRGFATYVCICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDIDAHASSVCSAKLSELNVNYEQQLSASLTGPVKTLLESGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEARKIMIHMKDRFFRVFNCDSDSMPRVWTEKEDIRSITKDARSAVIIGNFCERILSVFLC >ONI11439 pep chromosome:Prunus_persica_NCBIv2:G4:5556449:5570664:-1 gene:PRUPE_4G106600 transcript:ONI11439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVHSKALVDFKVRLEQSLNKGEGFTLSVRTCTQSSILEFDKGCADVAIQQANWDASRVREKLQRDIDAHESSICSAKLLELNFNYERQLSASLTGPVEALLETGGKDTWGSIRKLLNRETEVAISKFSIAISDFELDKETIAKMLQHLRDYSRNVVEKVAREEATKIMIHMKDRFFRVFNCDSDSMPRVWTEKEDIRSITKDARSAVIIGNFCERILSVFLC >ONI11433 pep chromosome:Prunus_persica_NCBIv2:G4:5556449:5570664:-1 gene:PRUPE_4G106600 transcript:ONI11433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALKDFKVRLEQSLNKGRGFATYVCICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDIDAHASSVCSAKLSELNVNYEQQLSASLTGPVKTLLESGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEARKIMIHMKDRFSTVFNYDSDSTLRVWTGKEDITSITKDARSAALNLLSIMAAIRLDEKSDNIEKVLFSSLMDETVTVSSSQDRRIGASAYLLASSTWEEVSSKDILITPVQCKSLWGEFKTETESSVTEAILAQEAYKQSNNWLPPPWAIMVMVVHGFNQFMLLLK >ONI11435 pep chromosome:Prunus_persica_NCBIv2:G4:5556454:5570664:-1 gene:PRUPE_4G106600 transcript:ONI11435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRYVVEKKTREEATKIMIHMKDRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALKDFKVRLEQSLNKGRGFATYVCICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDIDAHASSVCSAKLSELNVNYEQQLSASLTGPVKTLLESGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEARKIMIHMKDRFFRVFNCDSDSMPRVWTEKEDIRSITKDARSAALNLLSIMAAIRLDEKSDNIEKVLFSSLMDETVTVSSSQDRRIGASAYLLASSTWEEVSSKDILITPVQCKSLWGEFKTETESSVTEAILAQEAYKQSNNWLPPPWAIMVMVVHGFNQFMLLLK >ONI11434 pep chromosome:Prunus_persica_NCBIv2:G4:5556454:5570664:-1 gene:PRUPE_4G106600 transcript:ONI11434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALKDFKVRLEQSLNKGRGFATYVCICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDIDAHASSVCSAKLSELNVNYEQQLSASLTGPVKTLLESGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEARKIMIHMKDRFSTVFNYDSDSTLRVWTGKEDITSITKDARSASMKLLSVMAAIRLDEKPDHIEKVLFSSLMDGDVTVSSSQDREIGASVDPLASSNWEEVSSKNTLITPVQCQSLWRQFIAEIENSVTQAISAHVMAATARFEEIAIQKFSQLILDEDWLALEEAVQIGPVQGFGKRLSSILSTYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVHSKALVDFKVRLEQSLNKGEGFTLSVRTCTQSSILEFDKGCADVAIQQANWDASRVREKLQRDIDAHESSICSAKLLELNFNYERQLSASLTGPVEALLETGGKDTWGSIRKLLNRETEVAISKFSIAISDFELDKETIAKMLQHLRDYSRNVVEKVAREEATKIMIHMKDRFFRVFNCDSDSMPRVWTEKEDIRSITKDARSAALNLLSIMAAIRLDEKSDNIEKVLFSSLMDETVTVSSSQDRRIGASAYLLASSTWEEVSSKDILITPVQCKSLWGEFKTETESSVTEAILAQEAYKQSNNWLPPPWAIMVMVVHGFNQFMLLLK >ONI11442 pep chromosome:Prunus_persica_NCBIv2:G4:5557489:5570564:-1 gene:PRUPE_4G106600 transcript:ONI11442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRYVVEKKTREEATKIMIHMKDRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVHSKALVDFKVRLEQSLNKGEGFTLSVRTCTQSSILEFDKGCADVAIQQANWDASRVREKLQRDIDAHESSICSAKLLELNFNYERQLSASLTGPVEALLETGGKDTWGSIRKLLNRETEVAISKFSIAISDFELDKETIAKMLQHLRDYSRNVVEKVAREEATKIMIHMKDRFFRVFNCDSDSMPRVWTEKEDIRSITKDARSAVIIGNFCERILSVFLC >ONI11440 pep chromosome:Prunus_persica_NCBIv2:G4:5556449:5570664:-1 gene:PRUPE_4G106600 transcript:ONI11440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTQFANSRRSQTTNGIWIAKCVGIEPCTIAMDLEGADGRERGQDDTFKVQSTLFALAVSDIVLINIKGYSFFADGMLEKKLTWQEAYLKWSISEREIPLLETFFQVVMSFGIPRKTTLLFVIHDNAKPPFQHWHPGLRKFILNLLFSTALEKIWNEVPKPQAHKSTRFSDLFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQIFNQLTYDTGWLALKEAVQTGPVQGFGQRLSSILSTYISKYDTEAIYFDSGAWYSKRQLLESKALDFVYPAHTTMLGHVRSKALEDFKVRLEQSLNEGRGFASYVRICTHSSMLEFDKGCADAALQQANWDASRVREKLQRDVDAHASYICSAKLLELIFNYEKQLSISLTGPVKSLLVTGGKDTWASIRKLLNHETEVAISKFSTVVADFELDKATIAKMLQHLRDYSRFSTVLNYDSDTTLRVWTGKEDITSITKDARSASMKFLSVMAAIRLDEKPDNIEKVLFSSLMDGAVIVSSSQDREIGASVDPLASSNWEEVSAKNTLITPVQCQSLWRQFKVETENSVTQAISSHVMAATGRCEGIANQKFSQLIFDEDWLALEEAVQIGPVQGFGKRLSSILSNYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALKDFKVRLEQSLNKGRGFATYVCICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDIDAHASSVCSAKLSELNVNYEQQLSASLTGPVKTLLESGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEARKIMIHMKDRFFRVFNCDSDSMPRVWTEKEDIRSITKDARSAVIIGNFCERILSVFLC >ONI10824 pep chromosome:Prunus_persica_NCBIv2:G4:3427093:3432540:1 gene:PRUPE_4G070000 transcript:ONI10824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSTRTSSSDALTTTLSNSIQALGRGFDVTSDIRLLYCKGTPGSRLVILDEDQAKDLVLSDGVVVPNVPVDIDCVRGQRTIERNPVCSFHEMAEYFNKKSGISGRTPLGSFNAMFNFTGSWQVDAAATKSLAMVGYFIPLYKVKLEKVNLVLHEEIKRAVPYSWDPAALASFIESFGTHIVTSATIGGRDVVYIRQHQSSPLSTSDIENYVKDIGDHRFVDSKSQSTPGPLKYKDKDVTVIFRRRGGDDLEQSHVKWAETVQLAPDVINMTFTPIVSLLEGVPGIKHLSRAIELYLEYKPPIEDLQYFLDFQIAQVWAPEQNNLQRKEPVCSSLQFSLMGPKLYISPDQVTVGRKPVTGLRLNLEGSKQNRLAIHLQHLVSLPKILQPHWDAHMAIGAPRWQGPEEQDSRWFEPIKWKNFSHVSTAPIEHVETSIGDLSGVHIVTGGQLGVWDFGAKNVLHLKLLFSKVPGCTIRRSVWDHSPSAPSCAQRSDGSSSSHSYLNNRTSDDKKEDSSSHMAKLAKLVDLTEMSKGPQDIPGHWLVTGAKLGVDKGKIVLRVKYSLLNY >ONI10817 pep chromosome:Prunus_persica_NCBIv2:G4:3401169:3408474:1 gene:PRUPE_4G069700 transcript:ONI10817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESPDISKLIFFFSYVVVLTLLMYIGPTKSQAQAGTLADDEVDALREIAEQLNKKDWNFSDPCSNVPTFSTPHTDQYNNTLICNCSFTGNVCHIQSMYLTGQELDGVLPPALVKLTYLKEVILGQNYLSGSIPREWTSTKLEFLVLSVNNLSGPIPGYLGSITTLRALALESNLFSGTVPPELGKLVNLEMLYLRANNLTGELPLALTNLTKLKVLQIGSNNFTGRIPDYFQSWKELRMLEMQASGLEGPLPSSLSALNNMKDLRISDLSGESSDFPNLSNMTGMQKLMLRSCNITGAIPELISNMTSLSVLDLSFNRLEGSIPNLADIMQLATIYLTSNLLTGLPEWIRNRDSRYNIDLSYNKFSGNSVPTNCRETFNVFRSVSRQNNSILSNCLSPCSKDQYSLHLNCGGNQTTVGNIKYDADEASGGAAKFFQGSANWGFSSTGDFADVWSSDKDYIANNISVLRVDNSELYRTARLSPLSLTYYARCLANGNYTVKLHFAEIVLRDNRSYYGVGRRMFDVYIQEKRVLKDFDIKKEAQGVDKEVIKVFKAVVSVKTLEIRFQWAGKGTTNVPKSGVYGSLISAISVQSDFKRPDDSKTKIFIVIGVVSALCLIFATFGILWLKGCFGGKTSREEVLRGFDLQTGFFRFKQIKAATNNFDAANKLGEGGFGAVYKGELLDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMANNSLAHTLFGPEEGLKKLDWHTRQKICVGIARGLAYLHEESALKIVHRDIKTNNILLDEDLNPKISDFGLAKLDEEEKTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALELLSGKNNIKYRPNENFVCLLDWALVLQQKGNLMELVDPKLGSQFNKEEAMRMIKVALLCANPSPALRPTMSAVVSMLEGQTIVHEVKINPSIYGDELGFKAFTEDSDIDHSSYDETRSLIYSSNEKWTASTSSSV >ONI10815 pep chromosome:Prunus_persica_NCBIv2:G4:3400970:3408598:1 gene:PRUPE_4G069700 transcript:ONI10815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESPDISKLIFFFSYVVVLTLLMYIGPTKSQAQAGTLADDEVDALREIAEQLNKKDWNFSDPCSNVPTFSTPHTDQYNNTLICNCSFTGNVCHIQSMYLTGQELDGVLPPALVKLTYLKEVILGQNYLSGSIPREWTSTKLEFLVLSVNNLSGPIPGYLGSITTLRALALESNLFSGTVPPELGKLVNLEMLYLRANNLTGELPLALTNLTKLKVLQIGSNNFTGRIPDYFQSWKELRMLEMQASGLEGPLPSSLSALNNMKDLRISDLSGESSDFPNLSNMTGMQKLMLRSCNITGAIPELISNMTSLSVLDLSFNRLEGSIPNLADIMQLATIYLTSNLLTGLPEWIRNRDSRYNIDLSYNKFSGNSVPTNCRETFNVFRSVSRQNNSILSNCLSPCSKDQYSLHLNCGGNQTTVGNIKYDADEASGGAAKFFQGSANWGFSSTGDFADVWSSDKDYIANNISVLRVDNSELYRTARLSPLSLTYYARCLANGNYTVKLHFAEIVLRDNRSYYGVGRRMFDVYIQEKRVLKDFDIKKEAQGVDKEVIKVFKAVVSVKTLEIRFQWAGKGTTNVPKSGVYGSLISAISVQSDFKRPDDSKTKIFIVIGVVSALCLIFATFGILWLKGCFGGKTSREEVLRGFDLQTGFFRFKQIKAATNNFDAANKLGEGGFGAVYKGELLDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMANNSLAHTLFGPEEGLKKLDWHTRQKICVGIARGLAYLHEESALKIVHRDIKTNNILLDEDLNPKISDFGLAKLDEEEKTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALELLSGKNNIKYRPNENFVCLLDWALVLQQKGNLMELVDPKLGSQFNKEEAMRMIKVALLCANPSPALRPTMSAVVSMLEGQTIVHEVKINPSIYGDELGFKAFTEDSDIDHSSYDETRSLIYSSNEKWTASTSSSV >ONI10816 pep chromosome:Prunus_persica_NCBIv2:G4:3401174:3408474:1 gene:PRUPE_4G069700 transcript:ONI10816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESPDISKLIFFFSYVVVLTLLMYIGPTKSQAQAGTLADDEVDALREIAEQLNKKDWNFSDPCSNVPTFSTPHTDQYNNTLICNCSFTGNVCHIQSMYLTGQELDGVLPPALVKLTYLKEVILGQNYLSGSIPREWTSTKLEFLVLSVNNLSGPIPGYLGSITTLRALALESNLFSGTVPPELGKLVNLEMLYLRANNLTGELPLALTNLTKLKVLQIGSNNFTGRIPDYFQSWKELRMLEMQASGLEGPLPSSLSALNNMKDLRISDLSGESSDFPNLSNMTGMQKLMLRSCNITGAIPELISNMTSLSVLDLSFNRLEGSIPNLADIMQLATIYLTSNLLTGLPEWIRNRDSRYNIDLSYNKFSGNSVPTNCRETFNVFRSVSRQNNSILSNCLSPCSKDQYSLHLNCGGNQTTVGNIKYDADEASGGAAKFFQGSANWGFSSTGDFADVWSSDKDYIANNISVLRVDNSELYRTARLSPLSLTYYARCLANGNYTVKLHFAEIVLRDNRSYYGVGRRMFDVYIQEKRVLKDFDIKKEAQGVDKEVIKVFKAVVSVKTLEIRFQWAGKGTTNVPKSGVYGSLISAISVQSDFKRPDDSKTKIFIVIGVVSALCLIFATFGILWLKGCFGGKTSREEVLRGFDLQTGFFRFKQIKAATNNFDAANKLGEGGFGAVYKGELLDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMANNSLAHTLFGPEEGLKKLDWHTRQKICVGIARGLAYLHEESALKIVHRDIKTNNILLDEDLNPKISDFGLAKLDEEEKTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALELLSGKNNIKYRPNENFVCLLDWALVLQQKGNLMELVDPKLGSQFNKEEAMRMIKVALLCANPSPALRPTMSAVVSMLEGQTIVHEVKINPSIYGDELGFKAFTEDSDIDHSSYDETRSLIYSSNEKWTASTSSSV >ONI10818 pep chromosome:Prunus_persica_NCBIv2:G4:3401174:3408474:1 gene:PRUPE_4G069700 transcript:ONI10818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESPDISKLIFFFSYVVVLTLLMYIGPTKSQAQAGTLADDEVDALREIAEQLNKKDWNFSDPCSNVPTFSTPHTDQYNNTLICNCSFTGNVCHIQSMYLTGQELDGVLPPALVKLTYLKEVILGQNYLSGSIPREWTSTKLEFLVLSVNNLSGPIPGYLGSITTLRALALESNLFSGTVPPELGKLVNLEMLYLRANNLTGELPLALTNLTKLKVLQIGSNNFTGRIPDYFQSWKELRMLEMQASGLEGPLPSSLSALNNMKDLDLSFNRLEGSIPNLADIMQLATIYLTSNLLTGLPEWIRNRDSRYNIDLSYNKFSGNSVPTNCRETFNVFRSVSRQNNSILSNCLSPCSKDQYSLHLNCGGNQTTVGNIKYDADEASGGAAKFFQGSANWGFSSTGDFADVWSSDKDYIANNISVLRVDNSELYRTARLSPLSLTYYARCLANGNYTVKLHFAEIVLRDNRSYYGVGRRMFDVYIQEKRVLKDFDIKKEAQGVDKEVIKVFKAVVSVKTLEIRFQWAGKGTTNVPKSGVYGSLISAISVQSDFKRPDDSKTKIFIVIGVVSALCLIFATFGILWLKGCFGGKTSREEVLRGFDLQTGFFRFKQIKAATNNFDAANKLGEGGFGAVYKGELLDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMANNSLAHTLFGPEEGLKKLDWHTRQKICVGIARGLAYLHEESALKIVHRDIKTNNILLDEDLNPKISDFGLAKLDEEEKTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALELLSGKNNIKYRPNENFVCLLDWALVLQQKGNLMELVDPKLGSQFNKEEAMRMIKVALLCANPSPALRPTMSAVVSMLEGQTIVHEVKINPSIYGDELGFKAFTEDSDIDHSSYDETRSLIYSSNEKWTASTSSSV >ONI10819 pep chromosome:Prunus_persica_NCBIv2:G4:3400970:3408475:1 gene:PRUPE_4G069700 transcript:ONI10819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESPDISKLIFFFSYVVVLTLLMYIGPTKSQAQAGTLADDEVDALREIAEQLNKKDWNFSDPCSNVPTFSTPHTDQYNNTLICNCSFTGNVCHIQSMYLTGQELDGVLPPALVKLTYLKEVILGQNYLSGSIPREWTSTKLEFLVLSVNNLSGPIPGYLGSITTLRALALESNLFSGTVPPELGKLVNLEMLYLRANNLTGELPLALTNLTKLKVLQIGSNNFTGRIPDYFQSWKELRMLEMQASGLEGPLPSSLSALNNMKDLDLSFNRLEGSIPNLADIMQLATIYLTSNLLTGLPEWIRNRDSRYNIDLSYNKFSGNSVPTNCRETFNVFRSVSRQNNSILSNCLSPCSKDQYSLHLNCGGNQTTVGNIKYDADEASGGAAKFFQGSANWGFSSTGDFADVWSSDKDYIANNISVLRVDNSELYRTARLSPLSLTYYARCLANGNYTVKLHFAEIVLRDNRSYYGVGRRMFDVYIQEKRVLKDFDIKKEAQGVDKEVIKVFKAVVSVKTLEIRFQWAGKGTTNVPKSGVYGSLISAISVQSDFKRPDDSKTKIFIVIGVVSALCLIFATFGILWLKGCFGGKTSREEVLRGFDLQTGFFRFKQIKAATNNFDAANKLGEGGFGAVYKGELLDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMANNSLAHTLFGPEEGLKKLDWHTRQKICVGIARGLAYLHEESALKIVHRDIKTNNILLDEDLNPKISDFGLAKLDEEEKTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALELLSGKNNIKYRPNENFVCLLDWALVLQQKGNLMELVDPKLGSQFNKEEAMRMIKVALLCANPSPALRPTMSAVVSMLEGQTIVHEVKINPSIYGDELGFKAFTEDSDIDHSSYDETRSLIYSSNEKWTASTSSSV >ONI10821 pep chromosome:Prunus_persica_NCBIv2:G4:3400901:3408474:1 gene:PRUPE_4G069700 transcript:ONI10821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYEVGISCRVLSVNNLSGPIPGYLGSITTLRALALESNLFSGTVPPELGKLVNLEMLYLRANNLTGELPLALTNLTKLKVLQIGSNNFTGRIPDYFQSWKELRMLEMQASGLEGPLPSSLSALNNMKDLRISDLSGESSDFPNLSNMTGMQKLMLRSCNITGAIPELISNMTSLSVLDLSFNRLEGSIPNLADIMQLATIYLTSNLLTGLPEWIRNRDSRYNIDLSYNKFSGNSVPTNCRETFNVFRSVSRQNNSILSNCLSPCSKDQYSLHLNCGGNQTTVGNIKYDADEASGGAAKFFQGSANWGFSSTGDFADVWSSDKDYIANNISVLRVDNSELYRTARLSPLSLTYYARCLANGNYTVKLHFAEIVLRDNRSYYGVGRRMFDVYIQEKRVLKDFDIKKEAQGVDKEVIKVFKAVVSVKTLEIRFQWAGKGTTNVPKSGVYGSLISAISVQSDFKRPDDSKTKIFIVIGVVSALCLIFATFGILWLKGCFGGKTSREEVLRGFDLQTGFFRFKQIKAATNNFDAANKLGEGGFGAVYKGELLDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMANNSLAHTLFGPEEGLKKLDWHTRQKICVGIARGLAYLHEESALKIVHRDIKTNNILLDEDLNPKISDFGLAKLDEEEKTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALELLSGKNNIKYRPNENFVCLLDWALVLQQKGNLMELVDPKLGSQFNKEEAMRMIKVALLCANPSPALRPTMSAVVSMLEGQTIVHEVKINPSIYGDELGFKAFTEDSDIDHSSYDETRSLIYSSNEKWTASTSSSV >ONI10820 pep chromosome:Prunus_persica_NCBIv2:G4:3401174:3408474:1 gene:PRUPE_4G069700 transcript:ONI10820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESPDISKLIFFFSYVVVLTLLMYIGPTKSQAQAGTLADDEVDALREIAEQLNKKDWNFSDPCSNVPTFSTPHTDQYNNTLICNCSFTGNVCHIQSMYLTGQELDGVLPPALVKLTYLKEVILGQNYLSGSIPREWTSTKLEFLVLSVNNLSGPIPGYLGSITTLRALALESNLFSGTVPPELGKLVNLEMLYLRANNLTGELPLALTNLTKLKVLQIGSNNFTGRIPDYFQSWKELRMLEMQASGLEGPLPSSLSALNNMKDLDLSFNRLEGSIPNLADIMQLATIYLTSNLLTGLPEWIRNRDSRYNIDLSYNKFSGNSVPTNCRETFNVFRSVSRQNNSILSNCLSPCSKDQYSLHLNCGGNQTTVGNIKYDADEASGGAAKFFQGSANWGFSSTGDFADVWSSDKDYIANNISVLRVDNSELYRTARLSPLSLTYYARCLANGNYTVKLHFAEIVLRDNRSYYGVGRRMFDVYIQEKRVLKDFDIKKEAQGVDKEVIKVFKAVVSVKTLEIRFQWAGKGTTNVPKSGVYGSLISAISVQSDFKRPDDSKTKIFIVIGVVSALCLIFATFGILWLKGCFGGKTSREEVLRGFDLQTGFFRFKQIKAATNNFDAANKLGEGGFGAVYKGELLDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLLVYEYMANNSLAHTLFGPEEGLKKLDWHTRQKICVGIARGLAYLHEESALKIVHRDIKTNNILLDEDLNPKISDFGLAKLDEEEKTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALELLSGKNNIKYRPNENFVCLLDWALVLQQKGNLMELVDPKLGSQFNKEEAMRMIKVALLCANPSPALRPTMSAVVSMLEGQTIVHEVKINPSIYGDELGFKAFTEDSDIDHSSYDETRSLIYSSNEKWTASTSSSV >ONI11840 pep chromosome:Prunus_persica_NCBIv2:G4:7098545:7101891:-1 gene:PRUPE_4G128800 transcript:ONI11840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLFCGLACLILYVQLFSSPNIAFASATSSEAEALLKWKASFQNETKNNLTSWAYYPKVNTNPCNVWTGVSCNTAASVNRVNLTNSGIQGTLYEFPFLSLPNLEYVDLSLNQLFGAIPSQISSLSRLIYLDLSHNQLSGKIPPEIGLLNSLQVLHLNENQLNGSIPQEISQLKFLNELCLQKNNLTGPIPPDFGKLKDLTMMYLFKNQLSGSIPSEIGNLKSLVKLGIYKNNLSGSIPTSLGNLTNLTLLYVYENKLSGVIPKEIGNLKSLVDLQLSENHLNGSIPSSLGDLSNLEILFLRDNQLSGSIPQEMENLKKLAVLQLEANNFSGYLPQNICGGGYLENFTAQNNHLIGSIPKSLKTCKSLVRLRLEGNQLTGNISDDFGAYPNLRFIDLSHNNLHGEISHLWEQCPQLETLRIAGNKLTGSIPPEISHATQIHELDLSSNSLVGVIPKNFGRLTSLVNLMLNGNQLWGPIPSEFGSLIDIEYLDLSTNKFNESIPGIFGDLLKLHYLNLSNNKFSQEIPFQLGKLVHMSQLDLSHNSFEGKIPSEMSGLQSLETLNLSHNNLTGLIPTSFDEMHGLNDIDISYNQLQGPIPNNKAFQNARMEGNNGLCGNVGGLKPCNHSVEHKHTSKKAFLIIFPILGTLLLSFLAFVLIGRRRSRRKQEQEIEQSNMHESFFSISNFDGRKMYGEIMEATNGFDVVHCIGKGGQGSVYKAKLPSGSIVAVKKFHQTLDGEEASRKEFLNEIRALTQIRHRNIVKFLGFCSSAHHSFLVYEYLETGSLAAILSNENEAKQLDWSTRVRIVKGVAHALCYMHHDCSPPIVHRDITSSNILLHCDYEPCVSDFGTAKLLNPDSSNWTALAGTYGYVAPELAYTRKVTEKCDVYSFGVLALELILGKQLGDFVSSFSFPSTTYANILLKDVLDQRLPPPTPQVLDELVTIARLSIACRHSHPQSRPTMHMVCQVLSFQTASSCRGPDDTTLEQLIKI >ONI13235 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185649:1 gene:PRUPE_4G211400 transcript:ONI13235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13230 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185649:1 gene:PRUPE_4G211400 transcript:ONI13230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13231 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185834:1 gene:PRUPE_4G211400 transcript:ONI13231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13232 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185649:1 gene:PRUPE_4G211400 transcript:ONI13232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13229 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185733:1 gene:PRUPE_4G211400 transcript:ONI13229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13241 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185757:1 gene:PRUPE_4G211400 transcript:ONI13241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13238 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185703:1 gene:PRUPE_4G211400 transcript:ONI13238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13234 pep chromosome:Prunus_persica_NCBIv2:G4:13181598:13185726:1 gene:PRUPE_4G211400 transcript:ONI13234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13239 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185934:1 gene:PRUPE_4G211400 transcript:ONI13239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13243 pep chromosome:Prunus_persica_NCBIv2:G4:13182076:13183050:1 gene:PRUPE_4G211400 transcript:ONI13243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLECLCRTSLVGRLSTSTLMSSFYTGVETMIRRIDP >ONI13242 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185649:1 gene:PRUPE_4G211400 transcript:ONI13242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLRSGTLHPSFVQG >ONI13233 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185758:1 gene:PRUPE_4G211400 transcript:ONI13233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13237 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185934:1 gene:PRUPE_4G211400 transcript:ONI13237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13236 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185724:1 gene:PRUPE_4G211400 transcript:ONI13236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI13240 pep chromosome:Prunus_persica_NCBIv2:G4:13181606:13185649:1 gene:PRUPE_4G211400 transcript:ONI13240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLPWRPSPHKTLTLDPAKPFSSLSRFLSHSLSEDQYEDDPPFSPVSKPPKPKKNKTQNKDPDTKNEPTRPLKSDLPFDFSYSYSETNPKVEPIAFRESPKFSPFGPGRLDRKWTGTVAPTQQEVDLNRVAEERIRVLGDPLTEEEVAKLVERYRHSDCARQINMGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIVYQHINVLILYRGRNYDPKNRPVIPVMLWKPYTPIYPKLVKNVADGLTFEETKELRNRGLNSPPVMKLTRNGVYVNVVDRIREAFKTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCIPLLFKDEQIVLWRGKRDQEQDSKCRDRSEKFADA >ONI12259 pep chromosome:Prunus_persica_NCBIv2:G4:8842619:8846198:1 gene:PRUPE_4G154400 transcript:ONI12259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMRAVAFSPSNQWYDKIQTHFSNHPNRAINTNPHLQFNNTTQNQSNRRNLFPCFSTDASKTPNPPTPLTITSSPLVVVGSANADIYVEIDRLPKEGETISAKNGQTLAGGKGANQAACGGKLSYPTYFVGQVGEDAHGKLITEALQSGGVHLDHLSAVSAAPTGHAVVMLQSDGQNSIIIVGGANMHCWPEKLSDEDLEVVRNAGIVLLQREIPESVNTQVAKAFCSVFLARHFLQFVDQNAAGRSKAAKSAGVPVILDAGGMEAPISQELLNFVDILSPNETELRRLTGMPTESFEQISHAVVKFHKMGVKQVLVKLGSKGSALFVEGEEPIKQSVISAAKVLDTTGAGDTFTASFAVALVEGKSKRECLRFAAAAASLCVQVKGAIPSMPERKSVLNLLQSH >ONI12260 pep chromosome:Prunus_persica_NCBIv2:G4:8842639:8846198:1 gene:PRUPE_4G154400 transcript:ONI12260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMRAVAFSPSNQWYDKIQTHFSNHPNRAINTNPHLQFNNTTQNQSNRRNLFPCFSTDASKTPNPPTPLTITSSPLVVVGSANADIYVEIDRLPKEGETISAKNGQTLAGGKGANQAACGGKLSYPTYFVGQVGEDAHGKLITEALQSGGVHLDHLSAVSAAPTGHAVVMLQSDGQNSIIIVGGANMHCWPEKLSDEDLEVVRNAGIVLLQREIPESVNTQVAKFVDQNAAGRSKAAKSAGVPVILDAGGMEAPISQELLNFVDILSPNETELRRLTGMPTESFEQISHAVVKFHKMGVKQVLVKLGSKGSALFVEGEEPIKQSVISAAKVLDTTGAGDTFTASFAVALVEGKSKRECLRFAAAAASLCVQVKGAIPSMPERKSVLNLLQSH >ONI12261 pep chromosome:Prunus_persica_NCBIv2:G4:8842704:8847381:1 gene:PRUPE_4G154400 transcript:ONI12261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMRAVAFSPSNQWYDKIQTHFSNHPNRAINTNPHLQFNNTTQNQSNRRNLFPCFSTDASKTPNPPTPLTITSSPLVVVGSANADIYVEIDRLPKEGETISAKNGQTLAGGKGANQAACGGKLSYPTYFVGQVGEDAHGKLITEALQSGGVHLDHLSAVSAAPTGHAVVMLQSDGQNSIIIVGGANMHCWPEKLSDEDLEVVRNAGIVLLQREIPESVNTQVAKAAKSAGVPVILDAGGMEAPISQELLNFVDILSPNETELRRLTGMPTESFEQISHAVVKFHKMGVKQVLVKLGSKGSALFVEGEEPIKQSVISAAKVLDTTGAGDTFTASFAVALVEGKSKRECLRFAAAAASLCVQVKGAIPSMPERKSVLNLLQSH >ONI12262 pep chromosome:Prunus_persica_NCBIv2:G4:8842568:8846434:1 gene:PRUPE_4G154400 transcript:ONI12262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMRAVAFSPSNQWYDKIQTHFSNHPNRAINTNPHLQFNNTTQNQSNRRNLFPCFSTDASKTPNPPTPLTITSSPLVVVGSANADIYVEIDRLPKEGETISAKNGQTLAGGKGANQAACGGKLSYPTYFVGQVGEDAHGKLITEALQSGGVHLDHLSAVSAAPTGHAVVMLQSDGQNSIIIVGGANMHCWPEKLSDEDLEVVRNAGIVLLQREIPESVNTQVAKAAKSAGVPVILDAGGMEAPISQELLNFVDILSPNETELRRLTGMPTESFEQISHAVVKFHKMGVKQVLVKLGSKGSALFVEGEEPIKQSVISAAKVLDTTGAGDTFTASFAVALVEGKSKRECLRFAAAAASLCVQVKGAIPSMPERKSVLNLLQSH >ONI10649 pep chromosome:Prunus_persica_NCBIv2:G4:2850221:2851701:-1 gene:PRUPE_4G059800 transcript:ONI10649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLRKSSSILLTLLVLGVWASQANCRTLLDAHTSMLDRHEQWMARYVPIYKDDEEREKRFQIFKDNVEFIESFNYASNQPYKLNINGFADQSHEEFQASRNRYRTSLNTMSSKTPFRYENVTAIPSSMDWRKKGAVTPIKDQGECGCCWAFSAVAATEGTTKLKSGKLVSLSEQELVDCDTQGENQGCEGGLMDDAFKFVMRNKGLAAEANYPYRGIDGICNKNKVAYHAAKITGYEDVPANELALLKAVANQPVSVAIDAGGYEFQFYSRGILTGDCGTHLNHGVTVVGYGTGADGTKYWLVKNSWGAEWGEGGYVRIQRDVHTRQGLCGIAMKASYPTA >ONI11497 pep chromosome:Prunus_persica_NCBIv2:G4:5725446:5728900:1 gene:PRUPE_4G109300 transcript:ONI11497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMQLIPESLRKLWNEWELRGMVLASLALQIILILIGNWRKHSTSNKLRILLWLSYLSADSVATVALGILSSNQEESQGDSVNPKVVITAFWAPFLLLHLGGPDTITAYSLEDNELWLRHLLGLFVQVVVALYVAFRAWSSKELNFLAIPMFIVGMIKFGERTWVLRSASSEHFRDSMLHDPDPGPNYARYMEEYCSKRAQGFRVNSVELGGDNSLVDAVVDGTQNVAILDKAYDFFETFKLLCADLILSFHDIVHSHSFLENTNSDQAFEVIEFELGFMYDAFYTKSVLVSSGLGCILRGITVSITLSVFIAFLFSKKQAYSGVDVIITYILLIGAIVLESYAVALLLSSDRTRLWLNKQKNMVARLMVLLRSAVSSVPLGYNKRWSNTLAQYNLITFCLKAKPTKCISVQKFLFIYQLLEKYRYKELVDIPTELKELIFEQLQEKSRIGSNVEASKRKQVCARRGDQVLQKAHCLKELGWTINEVEFDQSILLWHIATDLCYYSDVNRNSNSVPSENCEDSKLLSNYMMYLLVMCPFMLPNGIGQIRFRDTCAEAEEFFKEKKIMKSGERKACTKLLDVCTDILPSKVKGDRSKSVLFDACRLAKALQSLESDRGWSNERKWEFVSHVWVEMLSYAANQCRWSGHAQQLRRGGELLTHVWLLMAHLGLTEQFQISEGHARAKLIVE >ONI09915 pep chromosome:Prunus_persica_NCBIv2:G4:847385:848644:-1 gene:PRUPE_4G018200 transcript:ONI09915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFQVSSIFCLMGSPDQMRFPKGGAIKATTNNAPKFQVSPISLPKLPSRSLVDNLDITSNGYKIPSNNSASHSDDPMVTSKLYAIMEAIADRVEMHKNIGAQRDNWNHLLLMSINAITLAAATMAGIAATTCAVTTGARAPFMALKLSSTILYVAATGMLVVMNKIQPSQLAEEQRYAARLFKQLHAQIQTTLSLHSENTMVDVNEAMEKVLALDRAYPLPLLGEMLDKFPKTVEPTIWWPKQNHRRAQGAEGKLIDGNGWSQKLEEEMIEVVGVLKSKDVPEYLKLTEKALKINRVMAISGPTLTGLAALGSAFVGSSGTYGVWGVMAGVICGALASVVNTLEHGGQVGMVVEMYRSNAGFFRLMQETIESNVKEKQVERREHGQVFEMKVALQLGRSLSELKHLAVFSEKFASKLF >ONI13653 pep chromosome:Prunus_persica_NCBIv2:G4:15165969:15174567:-1 gene:PRUPE_4G235000 transcript:ONI13653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESSILTLPRYNSCSKSWSFMCCNRQTKFPMKVLLQNNRYPFQLGANCIGNSGFRGILGPRCEGVDLFVNRARLQALADDNESSGSADAVSGSKFDFVKELVKCGIVLAAMVCGVLIYGCRRAFAVEGVVNAGYGVIGQSILLLRNAWPKTLQVLQLFKEQGLILALLLGLSAFFSMAETSITTLWPWKVRELAEKESEDGVFKLLRNDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGVSAATGVMTVAILLLTEITPKSVAVHNPTEVARFVVRPVAWLSLVLYPVGRVVTYLSMGMLKILGLKGRSEPYVTEEELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASATLVDFHDLWVTHQYSRVPVFEQRVDNIVGIAYAMDLLDYVQKGELLESTTVGDMAQKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGIFDVDANTSIDQLSEDLNVKMPEGHQYETVSGFICEAFGYIPRTGESIKVVLEKEIEEEIDESKSDNQDKKDKKDKKEKHQIFKIECCYCSFGADTSRKCQKGRFCSI >ONI13651 pep chromosome:Prunus_persica_NCBIv2:G4:15165773:15174567:-1 gene:PRUPE_4G235000 transcript:ONI13651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESSILTLPRYNSCSKSWSFMCCNRQTKFPMKVLLQNNRYPFQLGANCIGNSGFRGILGPRCEGVDLFVNRARLQALADDNESSGSADAVSGSKFDFVKELVKCGIVLAAMVCGVLIYGCRRAFAVEGVVNAGYGVIGQSILLLRNAWPKTLQVLQLFKEQGLILALLLGLSAFFSMAETSITTLWPWKVRELAEKESEDGVFKLLRNDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGVSAATGVMTVAILLLTEITPKSVAVHNPTEVARFVVRPVAWLSLVLYPVGRVVTYLSMGMLKILGLKGRSEPYVTEEELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASATLVDFHDLWVTHQYSRVPVFEQRVDNIVGIAYAMDLLDYVQKGELLESTTVGDMAQKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGIFDVDANTSIDQLSEDLNVKMPEGHQYETVSGFICEAFGYIPRTGESIKVVLEKEIEEEIDESKSDNQDKKDKKDKKEKHQIFKIEILAGNARKVGSVRFERIENDAATVETKEVTRLVPKIMKRKWSTDHDSDGTVYDEDSFQKKPQNTISDEHEDNVDNLSIH >ONI13654 pep chromosome:Prunus_persica_NCBIv2:G4:15169089:15175086:-1 gene:PRUPE_4G235000 transcript:ONI13654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESSILTLPRYNSCSKSWSFMCCNRQTKFPMKVLLQNNRYPFQLGANCIGNSGFRGILGPRCEGVDLFVNRARLQALADDNESSGSADAVSGSKFDFVKELVKCGIVLAAMVCGVLIYGCRRAFAVEGVVNAGYGVIGQSILLLRNAWPKTLQVLQLFKEQGLILALLLGLSAFFSMAETSITTLWPWKVRELAEKESEDGVFKLLRNDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGVSAATGVMTVAILLLTEITPKSVAVHNPTEVARFVVRPVAWLSLVLYPVGRVVTYLSMGMLKILGLKGRSEPYVTEEELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASATLVDFHDLWVTHQYSRVPVFEQRVDNIVGIAYAMDLLDYVQKGELLESTTVGDMAQKPAYFVPVIILTCILYKQNHFWK >ONI13652 pep chromosome:Prunus_persica_NCBIv2:G4:15165204:15175086:-1 gene:PRUPE_4G235000 transcript:ONI13652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESSILTLPRYNSCSKSWSFMCCNRQTKFPMKVLLQNNRYPFQLGANCIGNSGFRGILGPRCEGVDLFVNRARLQALADDNESSGSADAVSGSKFDFVKELVKCGIVLAAMVCGVLIYGCRRAFAVEGVVNAGYGVIGQSILLLRNAWPKTLQVLQLFKEQGLILALLLGLSAFFSMAETSITTLWPWKVRELAEKESEDGVFKLLRNDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGVSAATGVMTVAILLLTEITPKSVAVHNPTEVARFVVRPVAWLSLVLYPVGRVVTYLSMGMLKILGLKGRSEPYVTEEELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASATLVDFHDLWVTHQYSRVPVFEQRVDNIVGIAYAMDLLDYVQKGELLESTTVGDMAQKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGIFDVDANTSIDQLSEDLNVKMPEGHQYETVSGFICEAFGYIPRTGESIKVVLEKEIEEEIDESKSDNQDKKDKKDKKEKHQIFKIEILAGNARKVGSVRFERIENDAATVETKEVTRLVPKIMKRKWSTDHDSDGTVYDEDSFQKKPQNTISDEHEDNVDNLSIH >ONI13944 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17455185:-1 gene:PRUPE_4G254100 transcript:ONI13944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRGPAISFWNIKIVGIPLSGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13928 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13907 pep chromosome:Prunus_persica_NCBIv2:G4:17445167:17456929:-1 gene:PRUPE_4G254100 transcript:ONI13907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13942 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17455185:-1 gene:PRUPE_4G254100 transcript:ONI13942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRGPAISFWNIKIVGIPLSGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13909 pep chromosome:Prunus_persica_NCBIv2:G4:17445106:17456793:-1 gene:PRUPE_4G254100 transcript:ONI13909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13910 pep chromosome:Prunus_persica_NCBIv2:G4:17445106:17456793:-1 gene:PRUPE_4G254100 transcript:ONI13910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13916 pep chromosome:Prunus_persica_NCBIv2:G4:17445427:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13908 pep chromosome:Prunus_persica_NCBIv2:G4:17445185:17456983:-1 gene:PRUPE_4G254100 transcript:ONI13908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13924 pep chromosome:Prunus_persica_NCBIv2:G4:17445427:17455185:-1 gene:PRUPE_4G254100 transcript:ONI13924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRGPAISFWNIKIVGIPLSGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13917 pep chromosome:Prunus_persica_NCBIv2:G4:17445106:17456796:-1 gene:PRUPE_4G254100 transcript:ONI13917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13931 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13920 pep chromosome:Prunus_persica_NCBIv2:G4:17445427:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13933 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13922 pep chromosome:Prunus_persica_NCBIv2:G4:17445106:17456715:-1 gene:PRUPE_4G254100 transcript:ONI13922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRGPAISFWNIKIVGIPLSGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13947 pep chromosome:Prunus_persica_NCBIv2:G4:17445106:17454734:-1 gene:PRUPE_4G254100 transcript:ONI13947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13913 pep chromosome:Prunus_persica_NCBIv2:G4:17445106:17456890:-1 gene:PRUPE_4G254100 transcript:ONI13913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13919 pep chromosome:Prunus_persica_NCBIv2:G4:17445427:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13930 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13911 pep chromosome:Prunus_persica_NCBIv2:G4:17445185:17456788:-1 gene:PRUPE_4G254100 transcript:ONI13911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13937 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13918 pep chromosome:Prunus_persica_NCBIv2:G4:17445106:17456796:-1 gene:PRUPE_4G254100 transcript:ONI13918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13905 pep chromosome:Prunus_persica_NCBIv2:G4:17445106:17456893:-1 gene:PRUPE_4G254100 transcript:ONI13905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13940 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13915 pep chromosome:Prunus_persica_NCBIv2:G4:17445167:17456905:-1 gene:PRUPE_4G254100 transcript:ONI13915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13936 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13929 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13934 pep chromosome:Prunus_persica_NCBIv2:G4:17445185:17456983:-1 gene:PRUPE_4G254100 transcript:ONI13934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13945 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17455185:-1 gene:PRUPE_4G254100 transcript:ONI13945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRGPAISFWNIKIVGIPLSGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13912 pep chromosome:Prunus_persica_NCBIv2:G4:17445185:17456786:-1 gene:PRUPE_4G254100 transcript:ONI13912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13946 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17455185:-1 gene:PRUPE_4G254100 transcript:ONI13946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRGPAISFWNIKIVGIPLSGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13932 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13935 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13923 pep chromosome:Prunus_persica_NCBIv2:G4:17445427:17455185:-1 gene:PRUPE_4G254100 transcript:ONI13923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRGPAISFWNIKIVGIPLSGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13941 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17455185:-1 gene:PRUPE_4G254100 transcript:ONI13941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRGPAISFWNIKIVGIPLSGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13921 pep chromosome:Prunus_persica_NCBIv2:G4:17445185:17456842:-1 gene:PRUPE_4G254100 transcript:ONI13921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRGPAISFWNIKIVGIPLSGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13943 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17455185:-1 gene:PRUPE_4G254100 transcript:ONI13943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRGPAISFWNIKIVGIPLSGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13927 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13906 pep chromosome:Prunus_persica_NCBIv2:G4:17445106:17456786:-1 gene:PRUPE_4G254100 transcript:ONI13906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13926 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13938 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13939 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17456532:-1 gene:PRUPE_4G254100 transcript:ONI13939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKGYNFYEKLESWWTQSSLCNAMGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13914 pep chromosome:Prunus_persica_NCBIv2:G4:17445106:17456786:-1 gene:PRUPE_4G254100 transcript:ONI13914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKVNSAGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13949 pep chromosome:Prunus_persica_NCBIv2:G4:17445185:17451806:-1 gene:PRUPE_4G254100 transcript:ONI13949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGQRTWPADQMSNLNSVVGVMGYAPMKFFGMVTVILLPRWSPINVPERRHLNFHGVAKVTKVTSTSMTLSLLKEETLQLQSAAVNKWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13948 pep chromosome:Prunus_persica_NCBIv2:G4:17445506:17451478:-1 gene:PRUPE_4G254100 transcript:ONI13948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMIRFMLPCLFCLENCKERDRKISEEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKGETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI13925 pep chromosome:Prunus_persica_NCBIv2:G4:17445185:17456983:-1 gene:PRUPE_4G254100 transcript:ONI13925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGDASVSCSQPENGFLNSLSLLSSEKAVQELLQQSPVQSTDDHLIEFSDAMRTVAKALRRAAEGKASAQAEAAEWKRKYELERARNLLLEHKEQSHRENNGDDERTENLASRSNEQSEQCCGSNGICSHEVLRDGDRDSASKVVPNKCARKASFKLSWCCKGDQSDQHKHDIVSFERGNITTAERSSKQISLKWESQPQTVIILTKPNSTSVRILCAEMVRWLREQKKLDIYVEPRVRAELLTESSYYNFVHTWKETEIMLLHTKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFHSERYQEYLDSILKGPISITLRHRLQCHVIREAAKNEYETEGPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTLRVQVPFNSRSHAWASFDGKDRKRLAAGDALVCSMAPWPVPTACQVDSTSDFLNSIHDGLHWNLRKTQSFDGPRDA >ONI12706 pep chromosome:Prunus_persica_NCBIv2:G4:10653809:10662179:-1 gene:PRUPE_4G179900 transcript:ONI12706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRDRLLKNLKKFADFQYKIFTARYGQQLIDIFEFPVKLVLSPFTLAIDIAGSAPRGFGVPELVSKLSYMSIFAVATLGTYDIALELGKKVICQRNCGTCNGWQALRCTMCRGSGRVHYQVKNYTLKSGEKATAECIADAIADNRAELVHLPSSINLNVPLPSKDCPTCDGTGVMGCHECKDKLQVRISADDIMEPPWKAYNVLRKMDYPYEHIVHSMKDPSIAAFWLFTLPQVAGGFDFDDDVKKKIWWQYKESMRYDQLRDVVAKRKPGWENLQEALISIDPARAREDPVIVKNIPYYKAKKALEAEVMKLDPPPRPQNWGELDLPLNASSWSKEDLKNPEKFYEMTVLLNAQREIADKILDSQWENRWRQQRLNEMLEEKVRPYMQNIDSGILSQPIILPSQDQNQKTRQRRWWFF >ONI12709 pep chromosome:Prunus_persica_NCBIv2:G4:10654234:10662179:-1 gene:PRUPE_4G179900 transcript:ONI12709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRDRLLKNLKKFADFQYKIFTARYGQQLIDIFEFPVKLVLSPFTLAIDIAGSAPRGFGVPELVSKLSYMSIFAVATLGTYDIALELGKKVICQRNCGTCNGWQALRCTMCRGSGRVHYQVKNYTLKSGEKATAECIADAIADNRAELVHLPSSINLNVPLPSKDCPTCDGTGVMGCHECKDKLQVRISADDIMEPPWKAYNVLRKMDYPYEHIVHSMKDPSIAAFWLFTLPQVAGGFDFDDDVKKKIWWQYKESMRYDQLRDVVAKRKPGWENLQEALISIDPARAREDPVIVKNIPYYKAKKALEAEVMKLDPPPRPQNWGELDLPLNASSWSKEDLKNPEKFYEMTVLLNAQREIADKILDSQWENRWRQQRLNEMLEEKVRPYMQNIDSGILSQPIILPSQDQNQKYLVITVKIFGLCI >ONI12707 pep chromosome:Prunus_persica_NCBIv2:G4:10654169:10662117:-1 gene:PRUPE_4G179900 transcript:ONI12707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRDRLLKNLKKFADFQYKIFTARYGQQLIDIFEFPVKLVLSPFTLAIDIAGSAPRGFGVPELVSKLSYMSIFAVATLGTYDIALELGKKVICQRNCGTCNGWQALRCTMCRGSGRVHYQVKNYTLKSGEKATAECIADAIADNRAELVHLPSSINLNVPLPSKDCPTCDGTGVMGCHECKDKLQVRISADDIMEPPWKAYNVLRKMDYPYEHIVHSMKDPSIAAFWLFTLPQVAGGFDFDDDVKKKIWWQYKESMRYDQLRDVVAKRKPGWENLQEALISIDPARAREDPVIVKNIPYYKAKKALEAEVMKLDPPPRPQNWGELDLPLNASSWSKEDLKNPEKFYEMTVLLNAQREIADKILDSQWENRWRQQRLNEMLEEKVRPYMQNIDSGILSQPIILPSQDQNQKKTRQRRWWFF >ONI12708 pep chromosome:Prunus_persica_NCBIv2:G4:10654736:10662117:-1 gene:PRUPE_4G179900 transcript:ONI12708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRDRLLKNLKKFADFQYKIFTARYGQQLIDIFEFPVKLVLSPFTLAIDIAGSAPRGFGVPELVSKLSYMSIFAVATLGTYDIALELGKKVICQRNCGTCNGWQALRCTMCRGSGRVHYQVKNYTLKSGEKATAECIADAIADNRAELVHLPSSINLNVPLPSKDCPTCDGTGVMGCHECKDKLQVRISADDIMEPPWKAYNVLRKMDYPYEHIVHSMKDPSIAAFWLFTLPQVAGGFDFDDDVKKKIWWQYKESMRYDQLRDVVAKRKPGWENLQEALISIDPARAREDPVIVKNIPYYKAKKALEAEVMKLDPPPRPQNWGELDLPLNASSWSKEDLKNPEKFYEMTVLLNAQREIADKILDSQWENRWRQQRLNEMLEEKVRPYMQNIDSGILSQPIILPSQDQNQKCSTSCYCSIWSSLLRYLVYVYKAAFSCTCVLNSHLTPHTLKSNSENKHQLGL >ONI10353 pep chromosome:Prunus_persica_NCBIv2:G4:2020923:2022326:-1 gene:PRUPE_4G042800 transcript:ONI10353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDESPKLPNPLIVFINQLQYLKRSILSVLLSLPTSLLALLLLLLLAYNGFYVFCIYLPLSKPSPDPAIFSPGNLAGDSVSNWVPAHVSSSSSSSKISSSVMYVVKEENAPMFLKTHLPPLHNPRNSMVPIPKFSLQRPRRIRKHKRKLKSLPPEPKLSLFSTRMRDFFAGNSSSCKVRFFMTWISFKTFGNRELLAVESLFKFHPNACLAIVSNSLDSEKGSQILRPFSEMDFRVMAISPDFDYLFKNTPAEAWYSELRTGKVNPGGVSLGQNLSNLLRLALLYKFGGIYLDTDVIVLKSLSKLRNVIGAQAIDAQTGNWSRLNNAVLVFDKNHPLIFKFIQEFALTFDGNKWGHNGPYLVSRVVSRVRENPKNPGFNFTVLTPSAFYPFNWSRIRSLFRGPKDELHSKWLLAKLRHICSQSFALHLWNSQSRRLNVEKGSIIDHLMSEFSIFPNSSASSSVKIE >ONI14521 pep chromosome:Prunus_persica_NCBIv2:G4:24739497:24742452:1 gene:PRUPE_4G285000 transcript:ONI14521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFIAVELEKGRSGIWIKELDLLVLRHYCSFSFSPLVNVYWPGPRVKKDRERFPPNNVLLVLAGAGLLWMGWAGFNGGDPYVANTDSSMAVLNTNICAATSFLVWTWLDVIFFEKPSVIGAVQGMITGLVCITPAAGLVQGWAAIVMGVMSGSVPWFTMMIVDKRWKLLSAVDDTLGVVHTHAVAGFLGGVLTGLFAEPELCALFLPVTNSRGGVYGGSGLMQILKQIVGALFIIGWNIVVTSIICLVLRCIVPLRMPEEQLLIGDDAVHGEEAYALWGDGEKYDVTRHELYSDDTSHAQKYPSSGATQVV >ONI11966 pep chromosome:Prunus_persica_NCBIv2:G4:7639550:7641630:1 gene:PRUPE_4G137400 transcript:ONI11966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFLYDKLIPAECHSAEVPTSCIKCLKSNRRADKADKVGTATILISCLSNHANALATNMSGTASGTKDQVVTGVFQRKNGKYDDAEKSLTLALNYNTRCLSKIDIYKSRIPSSMSFEMNEELTEAARIED >ONI13393 pep chromosome:Prunus_persica_NCBIv2:G4:13769471:13778642:1 gene:PRUPE_4G219000 transcript:ONI13393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSIVTLIFEIWLQGARYCALVGDFNGWSPTENCAREGHFGHDDYGYWFIILEDKLRDGEKPDELYFQQYNYIDDYDKGDSGVPIEEIFKKANDEYWEPGEDRFIKNRYEIPAKLYEQIFGPNGPQTLEELEEIPDAETRYKAWKEQHKDDLPSNTPCYDVIDNGKEYDIFNVVLDPVSQEKFRAKKPPLAYWLETRKGRQAWLKKYSPCIPHGSKYRVYFNTPSGPLERVPAWATYVQPDAEGEQAFAIHWDPPPEYAYKWKNSRPKVPKSLRIYECHVGISGSEPKISSFSDFIEKVLPHVKEAGYNTIQLIGVIEHKDYFTVGYRATNLYAVSSRYGTPDDFKRLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGTNDCYFHTGKRGHHKYWGTRMFKYGDLDVLHFLLSNLNWWPTEYQIDGFHFHSLSSMMYTHNGFASFTGDLEEYCNQYVDKDALLYLILANEILHALHPDIITIAEDATFYPGLCEPTSQGGLGFDYCVNLSVSEMWSSFLETVPDHDWSMTKIVNTLMGNRKFADKTLVYAENHNQSISGGRSFAEILFGEIRDGSHDTEKLLLRGCSLHKMIRLITLTIGGRAYLNFMGNEFGHPERVEFPMPSNNFSFSLAKRRWDLLAKEGLHRNLFIFDKDLMNLDENERVLTRVLLSIHHVNDDNMVIAYLRGPLLFVFNFHPTDSYEGYRIGVEEAGEYQLVLNTDEIKYGGQGLIKDDQYLRKTISKRGDGLRNCLEVPMPSRTAQVYKLSRILRI >ONI13392 pep chromosome:Prunus_persica_NCBIv2:G4:13769471:13778642:1 gene:PRUPE_4G219000 transcript:ONI13392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSIVTLIFEIWLQGARYCALVGDFNGWSPTENCAREGHFGHDDYGYWFIILEDKLRDGEKPDELYFQQYNYIDDYDKGDSGVPIEEIFKKANDEYWEPGEDRFIKNRYEIPAKLYEQIFGPNGPQTLEELEEIPDAETRYKAWKEQHKDDLPSNTPCYDVIDNGKEYDIFNVVLDPVSQEKFRAKKPPLAYWLETRKGRQAWLKKYSPCIPHGSKYRVYFNTPSGPLERVPAWATYVQPDAEGEQAFAIHWDPPPEYAYKWKNSRPKVPKSLRIYECHVGISGSEPKISSFSDFIEKVLPHVKEAGYNTIQLIGVIEHKDYFTVGYRATNLYAVSSRYGTPDDFKRLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGTNDCYFHTGKRGHHKYWGTRMFKYGDLDVLHFLLSNLNWWPTEYQIDGFHFHSLSSMMYTHNGFASFTGDLEEYCNQYVDKDALLYLILANEILHALHPDIITIAEDATFYPGLCEPTSQGGLGFDYCVNLSVSEMWSSFLETVPDHDWSMTKIVNTLMGNRKFADKTLVYAENHNQSISGGRSFAEILFGEIRDGSHDTEKLLLRGCSLHKMIRLITLTIGGRAYLNFMGNEFGHPERVEFPMPSNNFSFSLAKRRWDLLAKEGLHRNLFIFDKDLMNLDENERVLTRVLLSIHHVNDDNMVIAYLRGPLLFVFNFHPTDSYEGYRIGVEEAGEYQLVLNTDEIKYGGQGLIKDDQYLRKTISKRGDGLRNCLEVPMPSRTAQVYKLSRILRI >ONI13389 pep chromosome:Prunus_persica_NCBIv2:G4:13769471:13778642:1 gene:PRUPE_4G219000 transcript:ONI13389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSLSTKFSLYPNSTFLQFQFPNRTQRISFPKKTQIACSATEQPKGQQKRPKKKKSVTDSEKGIDPVGFLTKFGISHKQFSQFLRERHKSLKDLTDEIFNRHIDLRDMASGFEILGIHRHPEHREDYMEWAPGARYCALVGDFNGWSPTENCAREGHFGHDDYGYWFIILEDKLRDGEKPDELYFQQYNYIDDYDKGDSGVPIEEIFKKANDEYWEPGEDRFIKNRYEIPAKLYEQIFGPNGPQTLEELEEIPDAETRYKAWKEQHKDDLPSNTPCYDVIDNGKEYDIFNVVLDPVSQEKFRAKKPPLAYWLETRKGRQAWLKKYSPCIPHGSKYRVYFNTPSGPLERVPAWATYVQPDAEGEQAFAIHWDPPPEYAYKWKNSRPKVPKSLRIYECHVGISGSEPKISSFSDFIEKVLPHVKEAGYNTIQLIGVIEHKDYFTVGYRATNLYAVSSRYGTPDDFKRLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGTNDCYFHTGKRGHHKYWGTRMFKYGDLDVLHFLLSNLNWWPTEYQIDGFHFHSLSSMMYTHNGFASFTGDLEEYCNQYVDKDALLYLILANEILHALHPDIITIAEDATFYPGLCEPTSQGGLGFDYCVNLSVSEMWSSFLETVPDHDWSMTKIVNTLMGNRKFADKTLVYAENHNQSISGGRSFAEILFGEIRDGSHDTEKLLLRGCSLHKMIRLITLTIGGRAYLNFMGNEFGHPERVEFPMPSNNFSFSLAKRRWDLLAKEGLHRNLFIFDKDLMNLDENERVLTRVLLSIHHVNDDNMVIAYLRGPLLFVFNFHPTDSYEGYRIGVEEAGEYQLVLNTDEIKYGGQGLIKDDQYLRKTISKRGDGLRNCLEVPMPSRTAQVYKLSRILRI >ONI13391 pep chromosome:Prunus_persica_NCBIv2:G4:13769471:13778642:1 gene:PRUPE_4G219000 transcript:ONI13391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSLSTKFSLYPNSTFLQFQFPNRTQRISFPKKTQIACSATEQPKGQQKRPKKKKSVTDSEKGIDPVGFLTKFGISHKQFSQFLRERHKSLKDLTDEIFNRHIDLRDMASGFEILGIHRHPEHREDYMEWAPGARYCALVGDFNGWSPTENCAREGHFGHDDYGYWFIILEDKLRDGEKPDELYFQQYNYIDDYDKGDSGVPIEEIFKKANDEYWEPGEDRFIKNRYEIPAKLYEQIFGPNGPQTLEELEEIPDAETRYKAWKEQHKDDLPSNTPCYDVIDNGKEYDIFNVVLDPVSQEKFRAKKPPLAYWLETRKGRQAWLKKYSPCIPHGSKYRVYFNTPSGPLERVPAWATYVQPDAEGEQAFAIHWDPPPEYAYKWKNSRPKVPKSLRIYECHVGISGSEPKISSFSDFIEKVLPHVKEAGYNTIQLIGVIEHKDYFTVGYRATNLYAVSSRYGTPDDFKRLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGTNDCYFHTGKRGHHKYWGTRMFKYGDLDVLHFLLSNLNWWPTEYQIDGFHFHSLSSMMYTHNGFASFTGDLEEYCNQYVDKDALLYLILANEILHALHPDIITIAEDATFYPGLCEPTSQGGLGFDYCVNLSVSEMWSSFLETVPDHDWSMTKIVNTLMGNRKFADKTLVYAENHNQSISGGRSFAEILFGEIRDGSHDTEKLLLRGCSLHKMIRLITLTIGGRAYLNFMGNEFGHPERVEFPMPSNNFSFSLAKRRWDLLAKEGLHRNLFIFDKDLMNLDENERVLTRVLLSIHHVNDDNMVIAYLRGPLLFVFNFHPTDSYEGYRIGVEEAGEYQLVLNTDEIKYGGQGLIKDDQYLRKTISKRLVLTSLTPRLPLQYFLISVFYLCVHVLEFNSGYFLWFCPLCCSDILVLQRRWPPKLLRSAYAQ >ONI13395 pep chromosome:Prunus_persica_NCBIv2:G4:13770412:13778642:1 gene:PRUPE_4G219000 transcript:ONI13395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWAPGARYCALVGDFNGWSPTENCAREGHFGHDDYGYWFIILEDKLRDGEKPDELYFQQYNYIDDYDKGDSGVPIEEIFKKANDEYWEPGEDRFIKNRYEIPAKLYEQIFGPNGPQTLEELEEIPDAETRYKAWKEQHKDDLPSNTPCYDVIDNGKEYDIFNVVLDPVSQEKFRAKKPPLAYWLETRKGRQAWLKKYSPCIPHGSKYRVYFNTPSGPLERVPAWATYVQPDAEGEQAFAIHWDPPPEYAYKWKNSRPKVPKSLRIYECHVGISGSEPKISSFSDFIEKVLPHVKEAGYNTIQLIGVIEHKDYFTVGYRATNLYAVSSRYGTPDDFKRLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGTNDCYFHTGKRGHHKYWGTRMFKYGDLDVLHFLLSNLNWWPTEYQIDGFHFHSLSSMMYTHNGFASFTGDLEEYCNQYVDKDALLYLILANEILHALHPDIITIAEDATFYPGLCEPTSQGGLGFDYCVNLSVSEMWSSFLETVPDHDWSMTKIVNTLMGNRKFADKTLVYAENHNQSISGGRSFAEILFGEIRDGSHDTEKLLLRGCSLHKMIRLITLTIGGRAYLNFMGNEFGHPERVEFPMPSNNFSFSLAKRRWDLLAKEGLHRNLFIFDKDLMNLDENERVLTRVLLSIHHVNDDNMVIAYLRGPLLFVFNFHPTDSYEGYRIGVEEAGEYQLVLNTDEIKYGGQGLIKDDQYLRKTISKRGDGLRNCLEVPMPSRTAQVYKLSRILRI >ONI13394 pep chromosome:Prunus_persica_NCBIv2:G4:13770412:13778642:1 gene:PRUPE_4G219000 transcript:ONI13394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWAPGARYCALVGDFNGWSPTENCAREGHFGHDDYGYWFIILEDKLRDGEKPDELYFQQYNYIDDYDKGDSGVPIEEIFKKANDEYWEPGEDRFIKNRYEIPAKLYEQIFGPNGPQTLEELEEIPDAETRYKAWKEQHKDDLPSNTPCYDVIDNGKEYDIFNVVLDPVSQEKFRAKKPPLAYWLETRKGRQAWLKKYSPCIPHGSKYRVYFNTPSGPLERVPAWATYVQPDAEGEQAFAIHWDPPPEYAYKWKNSRPKVPKSLRIYECHVGISGSEPKISSFSDFIEKVLPHVKEAGYNTIQLIGVIEHKDYFTVGYRATNLYAVSSRYGTPDDFKRLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGTNDCYFHTGKRGHHKYWGTRMFKYGDLDVLHFLLSNLNWWPTEYQIDGFHFHSLSSMMYTHNGFASFTGDLEEYCNQYVDKDALLYLILANEILHALHPDIITIAEDATFYPGLCEPTSQGGLGFDYCVNLSVSEMWSSFLETVPDHDWSMTKIVNTLMGNRKFADKTLVYAENHNQSISGGRSFAEILFGEIRDGSHDTEKLLLRGCSLHKMIRLITLTIGGRAYLNFMGNEFGHPERVEFPMPSNNFSFSLAKRRWDLLAKEGLHRNLFIFDKDLMNLDENERVLTRVLLSIHHVNDDNMVIAYLRGPLLFVFNFHPTDSYEGYRIGVEEAGEYQLVLNTDEIKYGGQGLIKDDQYLRKTISKRGDGLRNCLEVPMPSRTAQVYKLSRILRI >ONI13390 pep chromosome:Prunus_persica_NCBIv2:G4:13769471:13778642:1 gene:PRUPE_4G219000 transcript:ONI13390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSLSTKFSLYPNSTFLQFQFPNRTQRISFPKKTQIACSATEQPKGQQKRPKKKKSVTDSEKGIDPVGFLTKFGISHKQFSQFLRERHKSLKDLTDEIFNRHIDLRDMASGFEILGIHRHPEHREDYMEWAPGARYCALVGDFNGWSPTENCAREGHFGHDDYGYWFIILEDKLRDGEKPDELYFQQYNYIDDYDKGDSGVPIEEIFKKANDEYWEPGEDRFIKNRYEIPAKLYEQIFGPNGPQTLEELEEIPDAETRYKAWKEQHKDDLPSNTPCYDVIDNGKEYDIFNVVLDPVSQEKFRAKKPPLAYWLETRKGRQAWLKKYSPCIPHGSKYRVYFNTPSGPLERVPAWATYVQPDAEGEQAFAIHWDPPPEYAYKWKNSRPKVPKSLRIYECHVGISGSEPKISSFSDFIEKVLPHVKEAGYNTIQLIGVIEHKDYFTVGYRATNLYAVSSRYGTPDDFKRLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGTNDCYFHTGKRGHHKYWGTRMFKYGDLDVLHFLLSNLNWWPTEYQIDGFHFHSLSSMMYTHNGFASFTGDLEEYCNQYVDKDALLYLILANEILHALHPDIITIAEDATFYPGLCEPTSQGGLGFDYCVNLSVSEMWSSFLETVPDHDWSMTKIVNTLMGNRKFADKTLVYAENHNQSISGGRSFAEILFGEIRDGSHDTEKLLLRGCSLHKMIRLITLTIGGRAYLNFMGNEFGHPERVEFPMPSNNFSFSLAKRRWDLLAKEGLHRNLFIFDKDLMNLDENERVLTRVLLSIHHVNDDNMVIAYLRGPLLFVFNFHPTDSYEGYRIGVEEAGEYQLVLNTDEIKYGGQGLIKDDQYLRKTISKRGDGLRNCLEVPMPSRTAQVYKLSRILRI >ONI09849 pep chromosome:Prunus_persica_NCBIv2:G4:639135:642215:1 gene:PRUPE_4G013700 transcript:ONI09849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGGRKKKGASNQVSIDGNSSPMANGGVDLDSSIFLKRAQELKEEGNKRFQSKDYVGALEQYDNALKLTPKIHPDRAVFHSNRAACLMQMKPIDYETVVAECTMALQVQPRYVRALLRRARAFEAIGKYEMAMQDVQVLLGADPNHRDALEIAQRLRTALGPRQEAQQDLQSRPSPAALGASAVRGAPIAGLGPCLPARPAAKKAAASAGGSGLSQINRPDKPQTVLPAENGPEPKTQMPKLVLKPTNASSKSPNPSKANQKEPSSVSLSIHEQRSEAANRWRPLKLVYDHDIRLSQMPVNCTFRVLREAVTKRFPSSKSVLIKYKDNDGDLVTITSTAELRLAESCADRVIPEDPEIDKADSIGMLRLHIVEVTPEQEPPLLEEEEEKAAENEGIKEDESNSNSSLSESVLEAGDYETDKAEKEAQKEKPEASEDPECKELEMDDWLFEFSQLFRSHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQGLFDKAASKFQEVAALAFFNWGNVYMCAARKRIPLDESAGKEVVESQLQTAYDWVKEKYSLAREKYEEALSIKPDFYEGLLALGQQQFEMAKLHWSFALAKKIDLSSCDSTEMLNLFDSAEEKMKVATEMWEKLEEQRAKELKDPSASKREELLKKRKKQGSGNEGESSGASGQGEISADEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGLDGWKKNLDAAVERFKLAGASEADISLVLKNHFSNGDGVEGDGKKVQNLGSDVPVKANKDNEILSGK >ONI09850 pep chromosome:Prunus_persica_NCBIv2:G4:639139:642215:1 gene:PRUPE_4G013700 transcript:ONI09850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGGRKKKGASNQVSIDGNSSPMANGGVDLDSSIFLKRAQELKEEGNKRFQSKDYVGALEQYDNALKLTPKIHPDRAVFHSNRAACLMQMKPIDYETVVAECTMALQVQPRYVRALLRRARAFEAIGKYEMAMQDVQVLLGADPNHRDALEIAQRLRTALGPRQEAQQDLQSRPSPAALGASAVRGAPIAGLGPCLPARPAAKKAAASAGGSGLSQINRPDKPQTVLPAENGPEPKTQMPKLVLKPTNASSKSPNPSKANQKEPSSVSLSIHEQRSEAANRWRPLKLVYDHDIRLSQMPVNCTFRVLREAVTKRFPSSKSVLIKYKDNDGDLVTITSTAELRLAESCADRVIPEDPEIDKADSIGMLRLHIVEVTPEQEPPLLEEEEEKAAENEGIKEDESNSNSSLSESVLEAGDYETDKAEKEAQKEKPEASEDPECKELEMDDWLFEFSQLFRSHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQGLFDKAASKFQEVAALAFFNWGNVYMCAARKRIPLDESAGKEVVESQLQTAYDWVKEKYSLAREKYEEALSIKPDFYEGLLALGQQQFEMAKLHWSFALAKKIDLSSCDSTEMLNLFDSAEEKMKVATEMWEKLEEQRAKELKDPSASKREELLKKRKKQGSGNEGESSGASGQGEISADEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGLDGWKKNLDAAVERFKLAGASEADISLVLKNHFSNGDGVEGDGKKVQNLGSDVPVKANKDNEILSGK >ONI10734 pep chromosome:Prunus_persica_NCBIv2:G4:3106661:3109968:-1 gene:PRUPE_4G064600 transcript:ONI10734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSATNNYSMISSFQSERKNILNLEGTSLFSVLMERIGFLLSITFLLVLQYSSVGTVGVAQTNITTDQSALLALKSHITSYPHNILFNWSTTTSVCNWVGVTCGARHLRVASLNLSYMGLTGTIPPHLGNLSFLVELLFINNSFQGTLPQELAYLRRLKLISFRYNNFMGSIPLWFGSFPKLQSIDLCGNQFSGSIPAIIFNLSTLQVINLSNNQLSGSVPREIRNLTMLKEINLSYNKFNEIPNEIGSLDELEKLYVQSNALKGHVPLGVFNMSSLTNLNLHGNNLSGSLPDNICQQLPSLQELDLGLNQFDGPLPSKLWQCTQLLFLSLEENNFSGSIPRKIGNLTQLREIYLGVNNLTGIIPNEMGDLQNLELLSIEQNNLNGLIPSSIFNISKLRALSLTLNKLSGSLPANIGLGVPNLQLLYIGATDVSGVIPNLSNASKLTRISMSYNSFTGFIPRTLCALINLQWLGLYMNDLTIDTSTLSCLANLQNLKALDLGNNPLNVRLDDSFRNFSLTSSLQYISLHNCIMRGNIPIGIGNLSSLVALELGDNQFSGSIPTSVGRLGDLQGLNLGGNKLQGYIPYQLCQLDNLAYLYLGSNQLSGSIPSCLGNLAPSLRSLLLESNSLSSTIPSTLWRLTYILHVNLSSNSLIGPLSQDIGNLKVVLEVDLSNNHLSGVIPSTIGTLRDLVNLSLANNNLEGPIPSSFHYLLSLQLLNLSRNNLSGVIPKSLEALSLLKHLDLSFNRLQGEIPTGGPFQNFNAQSFVSNNALCGAPRLHFPPCKNTTLEPNWKKAKYIIPGIISVILLVASISMFVLRRKRNVEVAGEATSLPQLLWRRISHQELLRATNGFNENNLLGSGGFGSVYKGTLSDGIDVAVKVFSLQLEGAFRSFDRECEMLSNIRHRNL >ONI11171 pep chromosome:Prunus_persica_NCBIv2:G4:4546927:4552026:1 gene:PRUPE_4G091200 transcript:ONI11171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLLVLSLLLISAMGQLPSQDILALLQFKKGIKHDPTGYVLNSWNDESIDFDGCPSSWNGVVCNGGNVAGVVLDNLSLSADVDLSVFSNLTKLVKLSMANNTIMGKIPDNIADFKSLEFLDLSNNLFSSSLPPGIGRLGSLRNLSLGGNNFSGSIPDSISGLSSVQSLDLSRNSLSGPLPTSLTKLSNLVSLNLSLNEFTKRIPKGFELISSLDVLDLHGNMLDGHIDVGFFMLSSATHVDFSGNMFSSSSSQQQKFLPRLSETIKYLNLSHNQLTGSLVSGGELQMFENLKVLDLSYNQLSGELPGFNFVYDLQVLKLSNNRFTGDIPNSVLKGDSLVLSELDLSGNNLSGPINMITSTNLRILNLSSNGLTGELPLLTGSCAILDLSDNKFEGNLTRMVKWGNIEFLDLSQNHLTGPIPDVTPQFLRLNYLNLSHNALSSSIASVITQYPKISVLDLSSNQLDGTVLAELLAMPTLQELHLHNNLLTGSINISSPLSSESNLQVLDLSQNQLSGYFPDHFGSLKGLKVLNMARNNFSGSLPTSITDMTTLRTLDISQNHFTGPLPNNLPNSLESFNASYNDLSGDVPDNLKKFPSSSFYPGNTRLHFPNGPPGSTSSPTENSKRKPINTIVKVIIIVSCVVAVFILLLLAIFIHYIRMSRRIPSEHTTTKDIHRRAPPNPSGVRGTDNGGGLVVSAEDLVASQKGSSSEIVSPDKKVVSVTGFSPAKHSHYSWSPESGESFTAENLARLDVRSPDRLVGELHFLDDTIALTPEELSRAPAEVLGRSSHGTSYKATLDNGLFLTVKWLREGVAKQKKEFAKEAKKFANMRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLNWVQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELASSKKPLPSFKSDVYAFGVILLELLTGRCAGDVISGEAGGVDLTDWVRLRVAEGRGSDCFDATLVPEMGMPAAEKGMKEVLGISLRCIRSVSERPGIKTIYEDLSSI >ONI11172 pep chromosome:Prunus_persica_NCBIv2:G4:4546927:4552026:1 gene:PRUPE_4G091200 transcript:ONI11172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLLVLSLLLISAMGQLPSQDILALLQFKKGIKHDPTGYVLNSWNDESIDFDGCPSSWNGVVCNGGNVAGVVLDNLSLSADVDLSVFSNLTKLVKLSMANNTIMGKIPDNIADFKSLEFLDLSNNLFSSSLPPGIGRLGSLRNLSLGGNNFSGSIPDSISGLSSVQSLDLSRNSLSGPLPTSLTKLSNLVSLNLSLNEFTKRIPKGFELISSLDVLDLHGNMLDGHIDVGFFMLSSATHVDFSGNMFSSSSSQQQKFLPRLSETIKYLNLSHNQLTGSLVSGGELQMFENLKVLDLSYNQLSGELPGFNFVYDLQVLKLSNNRFTGDIPNSVLKGDSLVLSELDLSGNNLSGPINMITSTNLRILNLSSNGLTGELPLLTGSCAILDLSDNKFEGNLTRMVKWGNIEFLDLSQNHLTGPIPDVTPQFLRLNYLNLSHNALSSSIASVITQYPKISVLDLSSNQLDGTVLAELLAMPTLQELHLHNNLLTGSINISSPLSSESNLQVLDLSQNQLSGYFPDHFGSLKGLKVLNMARNNFSGSLPTSITDMTTLRTLDISQNHFTGPLPNNLPNSLESFNASYNDLSGDVPDNLKKFPSSSFYPGNTRLHFPNGPPGSTSSPTENSKRKPINTIVKVIIIVSCVVAVFILLLLAIFIHYIRMSRRIPSEHTTTKDIHRRAPPNPSGVRGTDNGGGLVVSAEDLVASQKGSSSEIVSPDKKVVSVTGFSPAKHSHYSWSPESGESFTAENLARLDVRSPDRLVGELHFLDDTIALTPEELSRAPAEVLGRSSHGTSYKATLDNGLFLTVKWLREGVAKQKKEFAKEAKKFANMRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLNWVQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELASSKKPLPSFKSDVYAFGVILLELLTGRCAGDVISGEAGGVDLTDWVRLRVAEGRGSDCFDATLVPEMGMPAAEKGMKEVLGISLRCIRSVSERPGIKTIYEDLSSI >ONI11176 pep chromosome:Prunus_persica_NCBIv2:G4:4547429:4552026:1 gene:PRUPE_4G091200 transcript:ONI11176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLLVLSLLLISAMGQLPSQDILALLQFKKGIKHDPTGYVLNSWNDESIDFDGCPSSWNGVVCNGGNVAGVVLDNLSLSADVDLSVFSNLTKLVKLSMANNTIMGKIPDNIADFKSLEFLDLSNNLFSSSLPPGIGRLGSLRNLSLGGNNFSGSIPDSISGLSSVQSLDLSRNSLSGPLPTSLTKLSNLVSLNLSLNEFTKRIPKGFELISSLDVLDLHGNMLDGHIDVGFFMLSSATHVDFSGNMFSSSSSQQQKFLPRLSETIKYLNLSHNQLTGSLVSGGELQMFENLKVLDLSYNQLSGELPGFNFVYDLQVLKLSNNRFTGDIPNSVLKGDSLVLSELDLSGNNLSGPINMITSTNLRILNLSSNGLTGELPLLTGSCAILDLSDNKFEGNLTRMVKWGNIEFLDLSQNHLTGPIPDVTPQFLRLNYLNLSHNALSSSIASVITQYPKISVLDLSSNQLDGTVLAELLAMPTLQELHLHNNLLTGSINISSPLSSESNLQVLDLSQNQLSGYFPDHFGSLKGLKVLNMARNNFSGSLPTSITDMTTLRTLDISQNHFTGPLPNNLPNSLESFNASYNDLSGDVPDNLKKFPSSSFYPGNTRLHFPNGPPGSTSSPTENSKRKPINTIVKVIIIVSCVVAVFILLLLAIFIHYIRMSRRIPSEHTTTKDIHRRAPPNPSGVRGTDNGGGLVVSAEDLVASQKGSSSEIVSPDKKVVSVTGFSPAKHSHYSWSPESGESFTAENLARLDVRSPDRLVGELHFLDDTIALTPEELSRAPAEVLGRSSHGTSYKATLDNGLFLTVKWLREGVAKQKKEFAKEAKKFANMRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLNWVQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELASSKKPLPSFKSDVYAFGVILLELLTGRCAGDVISGEAGGVDLTDWVRLRVAEGRGSDCFDATLVPEMGMPAAEKGMKEVLGISLRCIRSVSERPGIKTIYEDLSSI >ONI11177 pep chromosome:Prunus_persica_NCBIv2:G4:4547429:4552026:1 gene:PRUPE_4G091200 transcript:ONI11177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSSSQQQKFLPRLSETIKYLNLSHNQLTGSLVSGGELQMFENLKVLDLSYNQLSGELPGFNFVYDLQVLKLSNNRFTGDIPNSVLKGDSLVLSELDLSGNNLSGPINMITSTNLRILNLSSNGLTGELPLLTGSCAILDLSDNKFEGNLTRMVKWGNIEFLDLSQNHLTGPIPDVTPQFLRLNYLNLSHNALSSSIASVITQYPKISVLDLSSNQLDGTVLAELLAMPTLQELHLHNNLLTGSINISSPLSSESNLQVLDLSQNQLSGYFPDHFGSLKGLKVLNMARNNFSGSLPTSITDMTTLRTLDISQNHFTGPLPNNLPNSLESFNASYNDLSGDVPDNLKKFPSSSFYPGNTRLHFPNGPPGSTSSPTENSKRKPINTIVKVIIIVSCVVAVFILLLLAIFIHYIRMSRRIPSEHTTTKDIHRRAPPNPSGVRGTDNGGGLVVSAEDLVASQKGSSSEIVSPDKKVVSVTGFSPAKHSHYSWSPESGESFTAENLARLDVRSPDRLVGELHFLDDTIALTPEELSRAPAEVLGRSSHGTSYKATLDNGLFLTVKWLREGVAKQKKEFAKEAKKFANMRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLNWVQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELASSKKPLPSFKSDVYAFGVILLELLTGRCAGDVISGEAGGVDLTDWVRLRVAEGRGSDCFDATLVPEMGMPAAEKGMKEVLGISLRCIRSVSERPGIKTIYEDLSSI >ONI11175 pep chromosome:Prunus_persica_NCBIv2:G4:4546927:4552026:1 gene:PRUPE_4G091200 transcript:ONI11175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLLVLSLLLISAMGQLPSQDILALLQFKKGIKHDPTGYVLNSWNDESIDFDGCPSSWNGVVCNGGNVAGVVLDNLSLSADVDLSVFSNLTKLVKLSMANNTIMGKIPDNIADFKSLEFLDLSNNLFSSSLPPGIGRLGSLRNLSLGGNNFSGSIPDSISGLSSVQSLDLSRNSLSGPLPTSLTKLSNLVSLNLSLNEFTKRIPKGFELISSLDVLDLHGNMLDGHIDVGFFMLSSATHVDFSGNMFSSSSSQQQKFLPRLSETIKYLNLSHNQLTGSLVSGGELQMFENLKVLDLSYNQLSGELPGFNFVYDLQVLKLSNNRFTGDIPNSVLKGDSLVLSELDLSGNNLSGPINMITSTNLRILNLSSNGLTGELPLLTGSCAILDLSDNKFEGNLTRMVKWGNIEFLDLSQNHLTGPIPDVTPQFLRLNYLNLSHNALSSSIASVITQYPKISVLDLSSNQLDGTVLAELLAMPTLQELHLHNNLLTGSINISSPLSSESNLQVLDLSQNQLSGYFPDHFGSLKGLKVLNMARNNFSGSLPTSITDMTTLRTLDISQNHFTGPLPNNLPNSLESFNASYNDLSGDVPDNLKKFPSSSFYPGNTRLHFPNGPPGSTSSPTENSKRKPINTIVKVIIIVSCVVAVFILLLLAIFIHYIRMSRRIPSEHTTTKDIHRRAPPNPSGVRGTDNGGGLVVSAEDLVASQKGSSSEIVSPDKKVVSVTGFSPAKHSHYSWSPESGESFTAENLARLDVRSPDRLVGELHFLDDTIALTPEELSRAPAEVLGRSSHGTSYKATLDNGLFLTVKWLREGVAKQKKEFAKEAKKFANMRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLNWVQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELASSKKPLPSFKSDVYAFGVILLELLTGRCAGDVISGEAGGVDLTDWVRLRVAEGRGSDCFDATLVPEMGMPAAEKGMKEVLGISLRCIRSVSERPGIKTIYEDLSSI >ONI11173 pep chromosome:Prunus_persica_NCBIv2:G4:4546927:4552026:1 gene:PRUPE_4G091200 transcript:ONI11173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLLVLSLLLISAMGQLPSQDILALLQFKKGIKHDPTGYVLNSWNDESIDFDGCPSSWNGVVCNGGNVAGVVLDNLSLSADVDLSVFSNLTKLVKLSMANNTIMGKIPDNIADFKSLEFLDLSNNLFSSSLPPGIGRLGSLRNLSLGGNNFSGSIPDSISGLSSVQSLDLSRNSLSGPLPTSLTKLSNLVSLNLSLNEFTKRIPKGFELISSLDVLDLHGNMLDGHIDVGFFMLSSATHVDFSGNMFSSSSSQQQKFLPRLSETIKYLNLSHNQLTGSLVSGGELQMFENLKVLDLSYNQLSGELPGFNFVYDLQVLKLSNNRFTGDIPNSVLKGDSLVLSELDLSGNNLSGPINMITSTNLRILNLSSNGLTGELPLLTGSCAILDLSDNKFEGNLTRMVKWGNIEFLDLSQNHLTGPIPDVTPQFLRLNYLNLSHNALSSSIASVITQYPKISVLDLSSNQLDGTVLAELLAMPTLQELHLHNNLLTGSINISSPLSSESNLQVLDLSQNQLSGYFPDHFGSLKGLKVLNMARNNFSGSLPTSITDMTTLRTLDISQNHFTGPLPNNLPNSLESFNASYNDLSGDVPDNLKKFPSSSFYPGNTRLHFPNGPPGSTSSPTENSKRKPINTIVKVIIIVSCVVAVFILLLLAIFIHYIRMSRRIPSEHTTTKDIHRRAPPNPSGVRGTDNGGGLVVSAEDLVASQKGSSSEIVSPDKKVVSVTGFSPAKHSHYSWSPESGESFTAENLARLDVRSPDRLVGELHFLDDTIALTPEELSRAPAEVLGRSSHGTSYKATLDNGLFLTVKWLREGVAKQKKEFAKEAKKFANMRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLNWVQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELASSKKPLPSFKSDVYAFGVILLELLTGRCAGDVISGEAGGVDLTDWVRLRVAEGRGSDCFDATLVPEMGMPAAEKGMKEVLGISLRCIRSVSERPGIKTIYEDLSSI >ONI11170 pep chromosome:Prunus_persica_NCBIv2:G4:4546927:4552026:1 gene:PRUPE_4G091200 transcript:ONI11170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLLVLSLLLISAMGQLPSQDILALLQFKKGIKHDPTGYVLNSWNDESIDFDGCPSSWNGVVCNGGNVAGVVLDNLSLSADVDLSVFSNLTKLVKLSMANNTIMGKIPDNIADFKSLEFLDLSNNLFSSSLPPGIGRLGSLRNLSLGGNNFSGSIPDSISGLSSVQSLDLSRNSLSGPLPTSLTKLSNLVSLNLSLNEFTKRIPKGFELISSLDVLDLHGNMLDGHIDVGFFMLSSATHVDFSGNMFSSSSSQQQKFLPRLSETIKYLNLSHNQLTGSLVSGGELQMFENLKVLDLSYNQLSGELPGFNFVYDLQVLKLSNNRFTGDIPNSVLKGDSLVLSELDLSGNNLSGPINMITSTNLRILNLSSNGLTGELPLLTGSCAILDLSDNKFEGNLTRMVKWGNIEFLDLSQNHLTGPIPDVTPQFLRLNYLNLSHNALSSSIASVITQYPKISVLDLSSNQLDGTVLAELLAMPTLQELHLHNNLLTGSINISSPLSSESNLQVLDLSQNQLSGYFPDHFGSLKGLKVLNMARNNFSGSLPTSITDMTTLRTLDISQNHFTGPLPNNLPNSLESFNASYNDLSGDVPDNLKKFPSSSFYPGNTRLHFPNGPPGSTSSPTENSKRKPINTIVKVIIIVSCVVAVFILLLLAIFIHYIRMSRRIPSEHTTTKDIHRRAPPNPSGVRGTDNGGGLVVSAEDLVASQKGSSSEIVSPDKKVVSVTGFSPAKHSHYSWSPESGESFTAENLARLDVRSPDRLVGELHFLDDTIALTPEELSRAPAEVLGRSSHGTSYKATLDNGLFLTVKWLREGVAKQKKEFAKEAKKFANMRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLNWVQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELASSKKPLPSFKSDVYAFGVILLELLTGRCAGDVISGEAGGVDLTDWVRLRVAEGRGSDCFDATLVPEMGMPAAEKGMKEVLGISLRCIRSVSERPGIKTIYEDLSSI >ONI11174 pep chromosome:Prunus_persica_NCBIv2:G4:4547231:4552026:1 gene:PRUPE_4G091200 transcript:ONI11174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLLVLSLLLISAMGQLPSQDILALLQFKKGIKHDPTGYVLNSWNDESIDFDGCPSSWNGVVCNGGNVAGVVLDNLSLSADVDLSVFSNLTKLVKLSMANNTIMGKIPDNIADFKSLEFLDLSNNLFSSSLPPGIGRLGSLRNLSLGGNNFSGSIPDSISGLSSVQSLDLSRNSLSGPLPTSLTKLSNLVSLNLSLNEFTKRIPKGFELISSLDVLDLHGNMLDGHIDVGFFMLSSATHVDFSGNMFSSSSSQQQKFLPRLSETIKYLNLSHNQLTGSLVSGGELQMFENLKVLDLSYNQLSGELPGFNFVYDLQVLKLSNNRFTGDIPNSVLKGDSLVLSELDLSGNNLSGPINMITSTNLRILNLSSNGLTGELPLLTGSCAILDLSDNKFEGNLTRMVKWGNIEFLDLSQNHLTGPIPDVTPQFLRLNYLNLSHNALSSSIASVITQYPKISVLDLSSNQLDGTVLAELLAMPTLQELHLHNNLLTGSINISSPLSSESNLQVLDLSQNQLSGYFPDHFGSLKGLKVLNMARNNFSGSLPTSITDMTTLRTLDISQNHFTGPLPNNLPNSLESFNASYNDLSGDVPDNLKKFPSSSFYPGNTRLHFPNGPPGSTSSPTENSKRKPINTIVKVIIIVSCVVAVFILLLLAIFIHYIRMSRRIPSEHTTTKDIHRRAPPNPSGVRGTDNGGGLVVSAEDLVASQKGSSSEIVSPDKKVVSVTGFSPAKHSHYSWSPESGESFTAENLARLDVRSPDRLVGELHFLDDTIALTPEELSRAPAEVLGRSSHGTSYKATLDNGLFLTVKWLREGVAKQKKEFAKEAKKFANMRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLNWVQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELASSKKPLPSFKSDVYAFGVILLELLTGRCAGDVISGEAGGVDLTDWVRLRVAEGRGSDCFDATLVPEMGMPAAEKGMKEVLGISLRCIRSVSERPGIKTIYEDLSSI >ONI12955 pep chromosome:Prunus_persica_NCBIv2:G4:11697671:11698758:1 gene:PRUPE_4G194000 transcript:ONI12955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIYDAYGTFEELEIFTEAIGRWDVNCMDELPNYMQIFYQTLLNLFNEIEEEMVKKGNSCRVYYAKGAWKATARAYFDEARWLHEGCIPSMEEYMHVATASAGNSPLSTISLLGMGDIVTKEAFEWLLNNPKILRASNIIFRLMDDVAGYKFDKERGHVASSIDCYMKQHGVSEQETLDVFNKQVVDLWKDINEELLIKPTVVPRPVLMRVLNLTRVVDLLYKRGDGFAHVGKLMKDIVASLFLDPVPL >ONI13463 pep chromosome:Prunus_persica_NCBIv2:G4:14073751:14076166:-1 gene:PRUPE_4G223900 transcript:ONI13463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMAPKGDNVLVSSIKLEKIFSMKGGKGESSYANNSKAQAIHARSMLHLLKETLDRVQLSSPEVPFVVADLGCSSGSNTINTVDVIIKHIAKRYEALGYNPPEFSAFFSDLPSNDFNTLFQLFPPLANHGSSMEETLAADSHRSYFAAGVPGSFYRRLFPSMSIDLFHSAFSLHWLSQVPESVVDKRSAAYNKGRVFIHGAKESTVNAYKKQFQADLASFLRLRAKELKKGGSMFLVCLGRTSVDPTDQSGPGLLFGTHFQDAWNDLVQEGLITSEKRDNFNIPVYASSLQDFKEVVEVDGSFTINKLEIFKGGSPFVVNQPDDAAEVGRALANSCRSVSGVLVDAHIGDHLGNELFSRVEKRGTSQAKELLEQIQFFHVVASLSLA >ONI13464 pep chromosome:Prunus_persica_NCBIv2:G4:14073751:14075796:-1 gene:PRUPE_4G223900 transcript:ONI13464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLLKETLDRVQLSSPEVPFVVADLGCSSGSNTINTVDVIIKHIAKRYEALGYNPPEFSAFFSDLPSNDFNTLFQLFPPLANHGSSMEETLAADSHRSYFAAGVPGSFYRRLFPSMSIDLFHSAFSLHWLSQVPESVVDKRSAAYNKGRVFIHGAKESTVNAYKKQFQADLASFLRLRAKELKKGGSMFLVCLGRTSVDPTDQSGPGLLFGTHFQDAWNDLVQEGLITSEKRDNFNIPVYASSLQDFKEVVEVDGSFTINKLEIFKGGSPFVVNQPDDAAEVGRALANSCRSVSGVLVDAHIGDHLGNELFSRVEKRGTSQAKELLEQIQFFHVVASLSLA >ONI12348 pep chromosome:Prunus_persica_NCBIv2:G4:9091472:9095329:-1 gene:PRUPE_4G158400 transcript:ONI12348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCDEAGWTVEPDGTTYRKGCKPAERMDVVGGSTLASPCSSYHPSTCASYNPSPGSSSFPSPASSSYAANQNADGRSLIPWLKNLSSGSSSASSSKLPNLYIHGGSISAPVTPPLSSPTARTPRMKTDWDDQSARPGWGGQQYSFLPSSTPPSPGRQIVPDPEWFAGLRIPHGPTSPTFSLVALNPFGFKDEALAGGGSRMWTPGQSGTCSPAIAAGSDHTADIPMSEVISDEFAFGCNTAGLVKPWEGERIHEECGSDDLELTLGSSRTR >ONI12349 pep chromosome:Prunus_persica_NCBIv2:G4:9091472:9094384:-1 gene:PRUPE_4G158400 transcript:ONI12349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVGGSTLASPCSSYHPSTCASYNPSPGSSSFPSPASSSYAANQNADGRSLIPWLKNLSSGSSSASSSKLPNLYIHGGSISAPVTPPLSSPTARTPRMKTDWDDQSARPGWGGQQYSFLPSSTPPSPGRQIVPDPEWFAGLRIPHGPTSPTFSLVALNPFGFKDEALAGGGSRMWTPGQSGTCSPAIAAGSDHTADIPMSEVISDEFAFGCNTAGLVKPWEGERIHEECGSDDLELTLGSSRTR >ONI11343 pep chromosome:Prunus_persica_NCBIv2:G4:5248818:5255645:-1 gene:PRUPE_4G102800 transcript:ONI11343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIYGVHLMVLLFLGMFMSSFCQDDDSDDFTAVYIVTLREVPAAHYEAELRRNSNGIRHSGASERLNIHKHRYRNISRTDKRYSSYIARVHDSLLRRVLRGEKYLKLYSYHYLISGFAVLVTPDQVDKLSRRREVANVVLDFSVRTATTHTPQFLGLPQGAWVQGGGYESAGEGMVIGFIDTGIDPTHSSFADHTSEHPYPVPAHFSGICEVTRDFPSGSCNRKLIGARHFAASAITRGVFNSSQDFASPFDGDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKGFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGSASHDRVYSNSIILGNNVTIPGVGLAPGTENDTMYTLISAVHALNNGTTVADDMYVGECQDSSKFNQDLIQGNLLICSYSIRFVLGISTVNHALETAKNLSAVGVVFYMDAFVIGFQLNPTPMKIPGIIIPSPEDSKVLLKYYNYSLERDIMTKKIVKFGALATICGGFKANYSSSAPKIMYYSARGPDPEDNFLDDAEIMKPNLVAPGNSIWAAWSSVGADSVEFQGENFAMMSGTSMAAPHIAGLAALVRQKFPNFSPSAIASALSTTASLYDKNGGPIMAQRAYAFPDQNQSPATPFDMGSGFVNATAALNPGLIFDSSYDNYMSFLCGINGSAPVVLNYTGESCWVYNSTIAGADLNLPSITIAKLNQSRTVLRSVMNVGGNETYSVGWSAPFGVSVKVSPAHFYIASGEKQVLSVFFNSTANSTTASYGRIGLFGNQGHVVNIPLSVIVKITYNTTKT >ONI11345 pep chromosome:Prunus_persica_NCBIv2:G4:5248873:5255630:-1 gene:PRUPE_4G102800 transcript:ONI11345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGFIDTGIDPTHSSFADHTSEHPYPVPAHFSGICEVTRDFPSGSCNRKLIGARHFAASAITRGVFNSSQDFASPFDGDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKGFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGSASHDRVYSNSIILGNNVTIPGVGLAPGTENDTMYTLISAVHALNNGTTVADDMYVGECQDSSKFNQDLIQGNLLICSYSIRFVLGISTVNHALETAKNLSAVGVVFYMDAFVIGFQLNPTPMKIPGIIIPSPEDSKVLLKYYNYSLERDIMTKKIVKFGALATICGGFKANYSSSAPKIMYYSARGPDPEDNFLDDAEIMKPNLVAPGNSIWAAWSSVGADSVEFQGENFAMMSGTSMAAPHIAGLAALVRQKFPNFSPSAIASALSTTASLYDKNGGPIMAQRAYAFPDQNQSPATPFDMGSGFVNATAALNPGLIFDSSYDNYMSFLCGINGSAPVVLNYTGESCWVYNSTIAGADLNLPSITIAKLNQSRTVLRSVMNVGGNETYSVGWSAPFGVSVKVSPAHFYIASGEKQVLSVFFNSTANSTTASYGRIGLFGNQGHVVNIPLSVIVKITYNTTKT >ONI11349 pep chromosome:Prunus_persica_NCBIv2:G4:5249034:5253839:-1 gene:PRUPE_4G102800 transcript:ONI11349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGFIDTGIDPTHSSFADHTSEHPYPVPAHFSGICEVTRDFPSGSCNRKLIGARHFAASAITRGVFNSSQDFASPFDGDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKGFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGSASHDRVYSNSIILGNNVTIPGVGLAPGTENDTMYTLISAVHALNNGTTVADDMYVGECQDSSKFNQDLIQGNLLICSYSIRFVLGISTVNHALETAKNLSAVGVVFYMDAFVIGFQLNPTPMKIPGIIIPSPEDSKVLLKYYNYSLERDIMTKKIVKFGALATICGGFKANYSSSAPKIMYYSARGPDPEDNFLDDAEIMKPNLVAPGNSIWAAWSSVGADSVEFQGRNTLPGENFAMMSGTSMAAPHIAGLAALVRQKFPNFSPSAIASALSTTASLYDKNGGPIMAQRAYAFPDQNQSPATPFDMGSGFVNATAALNPGLIFDSSYDNYMSFLCGINGSAPVVLNYTGESCWVYNSTIAGADLNLPSITIAKLNQSRTVLRSVMNVGGNETYSVGWSAPFGVSVKVSPAHFYIASGEKQVLSVFFNSTANSTTASYGRIGLFGNQGHVVNIPLSVIVKITYNTTKT >ONI11347 pep chromosome:Prunus_persica_NCBIv2:G4:5248873:5254505:-1 gene:PRUPE_4G102800 transcript:ONI11347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGFIDTGIDPTHSSFADHTSEHPYPVPAHFSGICEVTRDFPSGSCNRKLIGARHFAASAITRGVFNSSQDFASPFDGDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKGFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGSASHDRVYSNSIILGNNVTIPGVGLAPGTENDTMYTLISAVHALNNGTTVADDMYVGECQDSSKFNQDLIQGNLLICSYSIRFVLGISTVNHALETAKNLSAVGVVFYMDAFVIGFQLNPTPMKIPGIIIPSPEDSKVLLKYYNYSLERDIMTKKIVKFGALATICGGFKANYSSSAPKIMYYSARGPDPEDNFLDDAEIMKPNLVAPGNSIWAAWSSVGADSVEFQGENFAMMSGTSMAAPHIAGLAALVRQKFPNFSPSAIASALSTTASLYDKNGGPIMAQRAYAFPDQNQSPATPFDMGSGFVNATAALNPGLIFDSSYDNYMSFLCGINGSAPVVLNYTGESCWVYNSTIAGADLNLPSITIAKLNQSRTVLRSVMNVGGNETYSVGWSAPFGVSVKVSPAHFYIASGEKQVLSVFFNSTANSTTASYGRIGLFGNQGHVVNIPLSVIVKITYNTTKT >ONI11346 pep chromosome:Prunus_persica_NCBIv2:G4:5248873:5254512:-1 gene:PRUPE_4G102800 transcript:ONI11346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGFIDTGIDPTHSSFADHTSEHPYPVPAHFSGICEVTRDFPSGSCNRKLIGARHFAASAITRGVFNSSQDFASPFDGDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKGFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGSASHDRVYSNSIILGNNVTIPGVGLAPGTENDTMYTLISAVHALNNGTTVADDMYVGECQDSSKFNQDLIQGNLLICSYSIRFVLGISTVNHALETAKNLSAVGVVFYMDAFVIGFQLNPTPMKIPGIIIPSPEDSKVLLKYYNYSLERDIMTKKIVKFGALATICGGFKANYSSSAPKIMYYSARGPDPEDNFLDDAEIMKPNLVAPGNSIWAAWSSVGADSVEFQGENFAMMSGTSMAAPHIAGLAALVRQKFPNFSPSAIASALSTTASLYDKNGGPIMAQRAYAFPDQNQSPATPFDMGSGFVNATAALNPGLIFDSSYDNYMSFLCGINGSAPVVLNYTGESCWVYNSTIAGADLNLPSITIAKLNQSRTVLRSVMNVGGNETYSVGWSAPFGVSVKVSPAHFYIASGEKQVLSVFFNSTANSTTASYGRIGLFGNQGHVVNIPLSVIVKITYNTTKT >ONI11348 pep chromosome:Prunus_persica_NCBIv2:G4:5248873:5254559:-1 gene:PRUPE_4G102800 transcript:ONI11348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGFIDTGIDPTHSSFADHTSEHPYPVPAHFSGICEVTRDFPSGSCNRKLIGARHFAASAITRGVFNSSQDFASPFDGDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKGFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGSASHDRVYSNSIILGNNVTIPGVGLAPGTENDTMYTLISAVHALNNGTTVADDMYVGECQDSSKFNQDLIQGNLLICSYSIRFVLGISTVNHALETAKNLSAVGVVFYMDAFVIGFQLNPTPMKIPGIIIPSPEDSKVLLKYYNYSLERDIMTKKIVKFGALATICGGFKANYSSSAPKIMYYSARGPDPEDNFLDDAEIMKPNLVAPGNSIWAAWSSVGADSVEFQGENFAMMSGTSMAAPHIAGLAALVRQKFPNFSPSAIASALSTTASLYDKNGGPIMAQRAYAFPDQNQSPATPFDMGSGFVNATAALNPGLIFDSSYDNYMSFLCGINGSAPVVLNYTGESCWVYNSTIAGADLNLPSITIAKLNQSRTVLRSVMNVGGNETYSVGWSAPFGVSVKVSPAHFYIASGEKQVLSVFFNSTANSTTASYGRIGLFGNQGHVVNIPLSVIVKITYNTTKT >ONI11344 pep chromosome:Prunus_persica_NCBIv2:G4:5248873:5255630:-1 gene:PRUPE_4G102800 transcript:ONI11344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIYGVHLMVLLFLGMFMSSFCQDDDSDDFTAVYIVTLREVPAAHYEAELRRNSNGIRHSGASERLNIHKHRYRNISRTDKRYSSYIARVHDSLLRRVLRGEKYLKLYSYHYLISGFAVLVTPDQVDKLSRRREVANVVLDFSVRTATTHTPQFLGLPQGAWVQGGGYESAGEGMVIGFIDTGIDPTHSSFADHTSEHPYPVPAHFSGICEVTRDFPSGSCNRKLIGARHFAASAITRGVFNSSQDFASPFDGDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKGFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGSASHDRVYSNSIILGNNVTIPGVGLAPGTENDTMYTLISAVHALNNGTTVADDMYVGECQDSSKFNQDLIQGNLLICSYSIRFVLGISTVNHALETAKNLSAVGVVFYMDAFVIGFQLNPTPMKIPGIIIPSPEDSKVLLKYYNYSLERDIMTKKIVKFGALATICGGFKANYSSSAPKIMYYSARGPDPEDNFLDDAEIMKPNLVAPGNSIWAAWSSVGADSVEFQGRNTLPGENFAMMSGTSMAAPHIAGLAALVRQKFPNFSPSAIASALSTTASLYDKNGGPIMAQRAYAFPDQNQSPATPFDMGSGFVNATAALNPGLIFDSSYDNYMSFLCGINGSAPVVLNYTGESCWVYNSTIAGADLNLPSITIAKLNQSRTVLRSVMNVGGNETYSVGWSAPFGVSVKVSPAHFYIASGEKQVLSVFFNSTANSTTASYGRIGLFGNQGHVVNIPLSVIVKITYNTTKT >ONI12964 pep chromosome:Prunus_persica_NCBIv2:G4:11836855:11837494:1 gene:PRUPE_4G194700 transcript:ONI12964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWKATARAFFDEARWLHEGCIPSMEEYMHVATTSVGNTLLSTISLLGMGDVVTKEAFEWLFSNPKILRASNIIFRLMNDTAGCKSEKERGLEASSVDCYMKQHGVSEQETLDVFNKQVMDLWKDINEELLIKPTVVPRPVLMRVLNLIRVMYLVYKRGDGFTHVGKLMKDIVTSLFLDPVPL >ONI11614 pep chromosome:Prunus_persica_NCBIv2:G4:6289797:6292237:-1 gene:PRUPE_4G116400 transcript:ONI11614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIPSAALCFFFFFFLVEEAKCSSYRQQMLSAFNHSKLPFSLAQASNVTRNGRVFYPIGYGADPTGAEDSTDALLKAVEEAFQLKSGLELLPGITDLGGVVIDLQGGNYKISQPLRFPSGAGNVVVQGGSLRGSSTFPGDRHLIELWSPSSQKLKQKATIASNAAFFEKAQNNNNVGIYYEDITFRDILFDSSFRGGGIFVVDSARIRITNCFFLHFTTEGILVQKGHETFISNTFLGQHSTVGGDKGERDFTGTAIDLASNDNAITDVVIFSAAIGIMLRGQANVVTGAHCYNKATGFGGIGILVKLAGNSQTRLDNCYLDFNAIVLEDPVQVHVTNGFFLGDANIVLKSVNGKVFGLNIVNNMFTGSSQVPIVQLEGQFGDIDQVVIDQNNVVGMGLKSTVGRLNVEGNGTKWVADFSSVLVFPNRINNFQYSFYTKGEDKFTAHAVTNVSSNVVVVESEKVVNGVVSLVVHQ >ONI11846 pep chromosome:Prunus_persica_NCBIv2:G4:7134568:7136568:-1 gene:PRUPE_4G129300 transcript:ONI11846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNKEHTRICILFLYLALATISFYQCEARRSMRLLKAVPSPAHQRSLFLKAAKNLKLIKQIDFPLEGSKEIQPYGVSSPLTLPPYDSLAPISLPENAPPYCTTAPNTPQTPSTIFTPTPSTLYPPILPFQSPPASPIIIIPGPPESISTPNPPVTFPSPTIIIPGPPESTPNPPETVPSPTVIVPSPPDFIPSPTIYIPSPPDYEPSPPALVPNPPSSVPSPFGFQPSPPVFEPPIIFPPPTTPPTPKRGPKAALWCVAKPSVPDPIIQEAMNYACGSGADCGPIQPSGPCFEPNSLFAHASYAFNSFWQRTKVAGGTCEFGGTGMLVTVDPSYDGCHFVYY >ONI12343 pep chromosome:Prunus_persica_NCBIv2:G4:9084799:9085402:1 gene:PRUPE_4G158200 transcript:ONI12343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFKRGPIRVIETFLRSAPLIAGLQSETQVLDIKMSDHIEGLEPTACLKVILEQRAEFQSVAGIPQIYAGSLVLQSEIPQLRRFVWYWRRTIFVWTSIISFLTELVFILAFFRPITVPRGRPKIGLKSLSPKHHLLAQKQLSVSMEDYEDYNGNASMNKRPLNSV >ONI13370 pep chromosome:Prunus_persica_NCBIv2:G4:13687982:13690768:-1 gene:PRUPE_4G218000 transcript:ONI13370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHHLHAYFRFLVDHQELLEYDTDGKSLDEEKKADGGIDQTGGALSLLGSVYGSGEDEDGIIEDAPELGKLKSVEAVNAVSASVPHGSEQIESSGNIAGKNDIVSKSPCIPLKEKVNVIKHNRTVSTVKGGAISGTKKGSDASGLVSTAANKSHAPAMPSTPKVELPILEPPPDQKKVVEKIVEFILKNGREFEAVLIEQNCKHGRFLFLMPSNQYHSYYLTVLQKAQESKLPGKGLVSEKHESVGHVVDKKTAKEGDTASSGSAGHDLPFDYDRKEKFKMVISKLKKDGHDPPSKASEPQSGVSLDTAAAILQAATRGIKNPGLEIFPKSSSGIGQGHSNEGGRDLSSGSLHTSQLQTSVQKENFSGEPHIPVPVAKAIAETAALAAANEADSSEASLTREQKLKAERLKRAKMFAAMIKSGSAPLKSESLRGLSAEPPESGISSSGNEVVNLSAKEREGSSVPLEADISDKVEEFEKKHSVDDCNERRSKRSYRARSKRHEGEEESDNDLEQEAEEEEDKRGHKHSRKKRRSHHSSEHSRDRHKHRRHSSSKDGDSRRHRKHDSYDDEKHRHTRRRHKRNTSDDEHQPRKRNRHESSSDDEHRISRRRYKHSDSSDDEHQHYRRRHKHDNSSEDEHLHRSRSGKHRKPEPEKEADLEEGEIYTKVDQSKASEGDHANREAFVDFSKSHQIGRAPSQPSQATEVSDDLRAKIRAMLMATL >ONI13371 pep chromosome:Prunus_persica_NCBIv2:G4:13687706:13692966:-1 gene:PRUPE_4G218000 transcript:ONI13371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHHLHAYFRFLVDHQELLEYDTDGKSLDEEKKADGGIDQTGGALSLLGSVYGSGEDEDGIIEDAPELGKLKSVEAVNAVSASVPHGSEQIESSGNIAGKNDIVSKSPCIPLKEKVNVIKHNRTVSTVKGGAISGTKKGSDASGLVSTAANKSHAPAMPSTPKVELPILEPPPDQKKVVEKIVEFILKNGREFEAVLIEQNCKHGRFLFLMPSNQYHSYYLTVLQKAQESKLPGKGLVSEKHESVGHVVDKKTAKEGDTASSGSAGHDLPFDYDRKEKFKMVISKLKKDGHDPPSKASEPQSGVSLDTAAAILQAATRGIKNPGLEIFPKSSSGIGQGHSNEGGRDLSSGSLHTSQLQTSVQKENFSGEPHIPVPVAKAIAETAALAAANEADSSEASLTREQKLKAERLKRAKMFAAMIKSGSAPLKSESLRGLSAEPPESGISSSGNEVVNLSAKEREGSSVPLEADISDKVEEFEKKHSVDDCNERRSKRSYRARSKRHEGEEESDNDLEQEAEEEEDKRGHKHSRKKRRSHHSSEHSRDRHKHRRHSSSKDGDSRRHRKHDSYDDEKHRHTRRRHKRNTSDDEHQPRKRNRHESSSDDEHRISRRRYKHSDSSDDEHQHYRRRHKHDNSSEDEHLHRSRSGKHRKPEPEKEADLEEGEIYTKVDQSKASEGDHANREAFVDFSKSHQIGRAPSQPSQATEVSDDLRAKIRAMLMATL >ONI13369 pep chromosome:Prunus_persica_NCBIv2:G4:13687982:13690768:-1 gene:PRUPE_4G218000 transcript:ONI13369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHHLHAYFRFLVDHQELLEYDTDGKSLDEEKKADGGIDQTGGALSLLGSVYGSGEDEDGIIEDAPELGKLKSVEAVNAVSASVPHGSEQIESSGNIAGKNDIVSKSPCIPLKEKVNVIKHNRTVSTVKGGAISGTKKGSDASGLVSTAANKSHAPAMPSTPKVELPILEPPPDQKKVVEKIVEFILKNGREFEAVLIEQNCKHGRFLFLMPSNQYHSYYLTVLQKAQESKLPGKGLVSEKHESVGHVVDKKTAKEGDTASSGSAGHDLPFDYDRKEKFKMVISKLKKDGHDPPSKASEPQSGVSLDTAAAILQAATRGIKNPGLEIFPKSSSGIGQGHSNEGGRDLSSGSLHTSQLQTSVQKENFSGEPHIPVPVAKAIAETAALAAANEADSSEASLTREQKLKAERLKRAKMFAAMIKSGSAPLKSESLRGLSAEPPESGISSSGNEVVNLSAKEREGSSVPLEADISDKVEEFEKKHSVDDCNERRSKRSYRARSKRHEGEEESDNDLEQEAEEEEDKRGHKHSRKKRRSHHSSEHSRDRHKHRRHSSSKDGDSRRHRKHDSYDDEKHRHTRRRHKRNTSDDEHQPRKRNRHESSSDDEHRISRRRYKHSDSSDDEHQHYRRRHKHDNSSEDEHLHRSRSGKHRKPEPEKEADLEEGEIYTKVDQSKASEGDHANREAFVDFSKSHQIGRAPSQPSQATEVSDDLRAKIRAMLMATL >ONI13367 pep chromosome:Prunus_persica_NCBIv2:G4:13687706:13692966:-1 gene:PRUPE_4G218000 transcript:ONI13367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEVVGRHALFFDDDASASFVNSRDALVEWNSLFIDRYDVRHLLSGPLPPITRRRHLTRSSSSPPPQPDAALEFELDQERYLDLPSPSEEPEQDTGNDPEQADAGGYHTVGFSYGNPDEFTEQKNNDTEPVFQPAFPVPESLIQNLPPTEKLHQIISRTATFVSKHGGQSEIILRVKQGDNPTFGFLMPDHHLHAYFRFLVDHQELLEYDTDGKSLDEEKKADGGIDQTGGALSLLGSVYGSGEDEDGIIEDAPELGKLKSVEAVNAVSASVPHGSEQIESSGNIAGKNDIVSKSPCIPLKEKVNVIKHNRTVSTVKGGAISGTKKGSDASGLVSTAANKSHAPAMPSTPKVELPILEPPPDQKKVVEKIVEFILKNGREFEAVLIEQNCKHGRFLFLMPSNQYHSYYLTVLQKAQESKLPGKGLVSEKHESVGHVVDKKTAKEGDTASSGSAGHDLPFDYDRKEKFKMVISKLKKDGHDPPSKASEPQSGVSLDTAAAILQAATRGIKNPGLEIFPKSSSGIGQGHSNEGGRDLSSGSLHTSQLQTSVQKENFSGEPHIPVPVAKAIAETAALAAANEADSSEASLTREQKLKAERLKRAKMFAAMIKSGSAPLKSESLRGLSAEPPESGISSSGNEVVNLSAKEREGSSVPLEADISDKVEEFEKKHSVDDCNERRSKRSYRARSKRHEGEEESDNDLEQEAEEEEDKRGHKHSRKKRRSHHSSEHSRDRHKHRRHSSSKDGDSRRHRKHDSYDDEKHRHTRRRHKRNTSDDEHQPRKRNRHESSSDDEHRISRRRYKHSDSSDDEHQHYRRRHKHDNSSEDEHLHRSRSGKHRKPEPEKEADLEEGEIYTKVDQSKASEGDHANREAFVDFSKSHQIGRAPSQPSQATEVSDDLRAKIRAMLMATL >ONI13368 pep chromosome:Prunus_persica_NCBIv2:G4:13687706:13691140:-1 gene:PRUPE_4G218000 transcript:ONI13368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHHLHAYFRFLVDHQELLEYDTDGKSLDEEKKADGGIDQTGGALSLLGSVYGSGEDEDGIIEDAPELGKLKSVEAVNAVSASVPHGSEQIESSGNIAGKNDIVSKSPCIPLKEKVNVIKHNRTVSTVKGGAISGTKKGSDASGLVSTAANKSHAPAMPSTPKVELPILEPPPDQKKVVEKIVEFILKNGREFEAVLIEQNCKHGRFLFLMPSNQYHSYYLTVLQKAQESKLPGKGLVSEKHESVGHVVDKKTAKEGDTASSGSAGHDLPFDYDRKEKFKMVISKLKKDGHDPPSKASEPQSGVSLDTAAAILQAATRGIKNPGLEIFPKSSSGIGQGHSNEGGRDLSSGSLHTSQLQTSVQKENFSGEPHIPVPVAKAIAETAALAAANEADSSEASLTREQKLKAERLKRAKMFAAMIKSGSAPLKSESLRGLSAEPPESGISSSGNEVVNLSAKEREGSSVPLEADISDKVEEFEKKHSVDDCNERRSKRSYRARSKRHEGEEESDNDLEQEAEEEEDKRGHKHSRKKRRSHHSSEHSRDRHKHRRHSSSKDGDSRRHRKHDSYDDEKHRHTRRRHKRNTSDDEHQPRKRNRHESSSDDEHRISRRRYKHSDSSDDEHQHYRRRHKHDNSSEDEHLHRSRSGKHRKPEPEKEADLEEGEIYTKVDQSKASEGDHANREAFVDFSKSHQIGRAPSQPSQATEVSDDLRAKIRAMLMATL >ONI11520 pep chromosome:Prunus_persica_NCBIv2:G4:5881741:5882165:1 gene:PRUPE_4G110700 transcript:ONI11520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYHHHHHQAPEVYPPPPTSYPPPPAGTYQGYPPPQPYPAPPPVVHGYNQGGPYVVAPPVAYPMKHDGPGYPPQQQQPTKSHHSRGAGFWTGW >ONI14467 pep chromosome:Prunus_persica_NCBIv2:G4:24024461:24031121:1 gene:PRUPE_4G281900 transcript:ONI14467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSRLWRRKSSEKSPGETESSGSMSSHSERFSDDQANPTHTTLLPEVTSKAPRNEEEDNESVETLTEKLSAALLNSSAKDDLVKQHAKVAEEAVSGWEKAENEVLGLKQQLEAANQKNSALEDRVGHLDGALKECVRQIRQAREEQDQNTREVVAIKTREWESSKSVLQSQLVDLQAQLQTANTEAAASIDFDLSSKLEATEKENSALQLKLLSRVKELEVRTIERDLSAQAAETASKQYLESIKRVSKLEAECRRLKALTCKTLPANDHKLFSTSSVYIESFTDSPSDSGERVLAIDPDPHKVSGLYPIQYDPSQSDSRASAQITEHGQFKNEKDFGKNLMVPSVEINLMDDFLEMERLAALSDTENDSCHLELGIGYQPHTEENPLKTEFETMIQRATELERKLEKMAAEKVELEMTLSECQKQLETSQSQLVEADMKLEDLKRELALANDSVYAADEEVKTYQTMRVVAESQLRAVQTEFNSLLLKVGSLEEEVWKERNLSAENVAKCLKLENELFSMKHEAECQREVELQRLASTNGELKIKQEKELALAANRFAECQKTIASLGQQLKSLTTLEDILVDSESPPELIEEGMQCHVNSAEPHNLHPNLNTARVI >ONI14466 pep chromosome:Prunus_persica_NCBIv2:G4:24024289:24031121:1 gene:PRUPE_4G281900 transcript:ONI14466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSRLWRRKSSEKSPGETESSGSMSSHSERFSDDQANPTHTTLLPEVTSKAPRNEEEDNESVETLTEKLSAALLNSSAKDDLVKQHAKVAEEAVSGWEKAENEVLGLKQQLEAANQKNSALEDRVGHLDGALKECVRQIRQAREEQDQNTREVVAIKTREWESSKSVLQSQLVDLQAQLQTANTEAAASIDFDLSSKLEATEKENSALQLKLLSRVKELEVRTIERDLSAQAAETASKQYLESIKRVSKLEAECRRLKALTCKTLPANDHKLFSTSSVYIESFTDSPSDSGERVLAIDPDPHKVSGLYPIQYDPSQSDSRASAQITEHGQFKNEKDFGKNLMVPSVEINLMDDFLEMERLAALSDTENDSCHLELGIGYQPHTEENPLKTEFETMIQRATELERKLEKMAAEKVELEMTLSECQKQLETSQSQLVEADMKLEDLKRELALANDSVYAADEEVKTYQTMRVVAESQLRAVQTEFNSLLLKVGSLEEEVWKERNLSAENVAKCLKLENELFSMKHEAECQREVELQRLASTNGELKIKQEKELALAANRFAECQKTIASLGQQLKSLTTLEDILVDSESPPELIEEGMQCHVNSAEPHNLHPNLNTARVI >ONI14465 pep chromosome:Prunus_persica_NCBIv2:G4:24024287:24031121:1 gene:PRUPE_4G281900 transcript:ONI14465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSRLWRRKSSEKSPGETESSGSMSSHSERFSDDQANPTHTTLLPEVTSKAPRNEEEDNESVETLTEKLSAALLNSSAKDDLVKQHAKVAEEAVSGWEKAENEVLGLKQQLEAANQKNSALEDRVGHLDGALKECVRQIRQAREEQDQNTREVVAIKTREWESSKSVLQSQLVDLQAQLQTANTEAAASIDFDLSSKLEATEKENSALQLKLLSRVKELEVRTIERDLSAQAAETASKQYLESIKRVSKLEAECRRLKALTCKTLPANDHKLFSTSSVYIESFTDSPSDSGERVLAIDPDPHKVSGLYPIQYDPSQSDSRASAQITEHGQFKNEKDFGKNLMVPSVEINLMDDFLEMERLAALSDTENDSCHLELGIGYQPHTEENPLKTEFETMIQRATELERKLEKMAAEKVELEMTLSECQKQLETSQSQLVEADMKLEDLKRELALANDSVYAADEEVKTYQTMRVVAESQLRAVQTEFNSLLLKVGSLEEEVWKERNLSAENVAKCLKLENELFSMKHEAECQREVELQRLASTNGELKIKQEKELALAANRFAECQKTIASLGQQLKSLTTLEDILVDSESPPELIEEGMQCHVNSAEPHNLHPNLNTARVI >ONI14468 pep chromosome:Prunus_persica_NCBIv2:G4:24024461:24031053:1 gene:PRUPE_4G281900 transcript:ONI14468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSRLWRRKSSEKSPGETESSGSMSSHSERFSDDQANPTHTTLLPEVTSKAPRNEEEDNESVETLTEKLSAALLNSSAKDDLVKQHAKVAEEAVSGWEKAENEVLGLKQQLEAANQKNSALEDRVGHLDGALKECVRQIRQAREEQDQNTREVVAIKTREWESSKSVLQSQLVDLQAQLQTANTEAAASIDFDLSSKLEATEKENSALQLKLLSRVKELEVRTIERDLSAQAAETASKQYLESIKRVSKLEAECRRLKALTCKTLPANDHKLFSTSSVYIESFTDSPSDSGERVLAIDPDPHKVSGLYPIQYDPSQSDSRASAQITEHGQFKNEKDFGKNLMVPSVEINLMDDFLEMERLAALSDTENDSCHLELGIGYQPHTEENPLKTEFETMIQRATELERKLEKMAAEKVELEMTLSECQKQLETSQSQLVEADMKLEDLKRELALANDSVYAADEEVKTYQTMRVVAESQLRAVQTEFNSLLLKVGSLEEEVWKERNLSAENVAKCLKLENELFSMKHEAECQREVELQRLASTNGELKIKQEKELALAANRFAECQKTIASLGQQLKSLTTLEDILVDSESPPELIEEGMQCHVNSAEPHNLHPNLNTARVI >ONI11354 pep chromosome:Prunus_persica_NCBIv2:G4:5280434:5282514:-1 gene:PRUPE_4G103300 transcript:ONI11354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLYLTLSLGAAFLALTIFAVKGKSDDGKNLPPGSMGWPIVGETLEFLFGKPEIFVSKRMRRYSPEIFKTKILGEKTAVICGPNGHKFLFSNEQKYCTAFRPHSMQKMFRSYKAPAAQPAHDEEAKVLRSPGFLKPEALVRYLGIMDSITQEQMKAYWEGKDEVKVYPLAKTLTLGLACRFFLGIDEPGRIARFVSYFDDVTLGMHSLILNFPGTTFYKATKAADALRRELKTVIPEKKAAMASGASMHNVLSHMLEATDQTGKHMPEAEIADKIMGLLTAGYSTVATAMTFFMKHVGERPDIYAKVLAEIAYSKKPGEFLEWEDINKMKYSWNVMYEVMRFTPPLQGTFREALTDFTYAGYTIPKGWKVYWTVSTTNMNPEYFPNPEKFDPSRYDDLSTFPAFTFVPFGGGPRMCPGKEYARIAILTFVHNVVMRFKWEVLFPKEKITGDMMPTPEKGLPVRLIRH >ONI11355 pep chromosome:Prunus_persica_NCBIv2:G4:5280434:5282663:-1 gene:PRUPE_4G103300 transcript:ONI11355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLYLTLSLGAAFLALTIFAVKGKSDDGKNLPPGSMGWPIVGETLEFLFGKPEIFVSKRMRRYSPEIFKTKILGEKTAVICGPNGHKFLFSNEQKYCTAFRPHSMQKMFRSYKAPAAQPAHDEEAKVLRSPGFLKPEALVRYLGIMDSITQEQMKAYWEGKDEVKVYPLAKTLTLGLACRFFLGIDEPGRIARFVSYFDDVTLGMHSLILNFPGTTFYKATKAADALRRELKTVIPEKKAAMASGASMHNVLSHMLEATDQTGKHMPEAEIADKIMGLLTAGYSTVATAMTFFMKHVGERPDIYAKVLAEHKEIAYSKKPGEFLEWEDINKMKYSWNVMYEVMRFTPPLQGTFREALTDFTYAGYTIPKGWKVYWTVSTTNMNPEYFPNPEKFDPSRYDDLSTFPAFTFVPFGGGPRMCPGKEYARIAILTFVHNVVMRFKWEVLFPKEKITGDMMPTPEKGLPVRLIRH >ONI11202 pep chromosome:Prunus_persica_NCBIv2:G4:4596273:4598759:1 gene:PRUPE_4G092100 transcript:ONI11202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSTGNCLYDGWSYFVYSPQIYNKIRKHIYLNIYNNNLYTLEYVYGQPFS >ONI11201 pep chromosome:Prunus_persica_NCBIv2:G4:4596266:4598759:1 gene:PRUPE_4G092100 transcript:ONI11201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSTGNCLYDGWSYFVYSPQIYNKIRKHIYLNIYNNNLYTLEYVYGQPFS >ONI13993 pep chromosome:Prunus_persica_NCBIv2:G4:18096728:18101782:-1 gene:PRUPE_4G257000 transcript:ONI13993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALYSKLYDTYMKLKSKKWSELDNVNKDQEVKFMDYISAAEQVIQQLKSENERLLLRVKDLTSEVASIRSTKDEQCIEYQELLMEENRKNEELSEEVARLRNLQQVGNFSRLKDSKTDNGGVCTPASGQVSEEAGNRSQRRKTHKRRRQSLSETEDIVMPSSSLQDDEILRESEKDLHKGAVPSGSLITVQQPQCCRAIERPGGDVNPTGQANCLFQVLVEYLVDLKFSTVSQTEEICISAVHQSSGYSFNLTWVNRAGGKEPELLYRVLSLGTFERVAPEWMKDAIMFSTTMCPKFFERLSRVVKLHT >ONI13995 pep chromosome:Prunus_persica_NCBIv2:G4:18096728:18101782:-1 gene:PRUPE_4G257000 transcript:ONI13995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALYSKLYDTYMKLKSKKWSELDNVNKDQEVKFMDYISAAEQVIQQLKSENERLLLRVKDLTSEVASIRSTKDEQCIEYQELLMEENRKNEELSEEVARLRNLQQVGNFSRLKDSKTDNGGVCTPASGQVSEEAGNRSQRRKTHKRRRQSLSETEDIVMPSSSLQDDEILRESEKDLHKGAVPSGSLITVQQPQCCRAIERPGGDVNPTGQANCLFQVLVEYLVDLKFSTVSQTEEICISAVHQSSELVERNQNFCTASYPWGHLKGLHQNG >ONI13996 pep chromosome:Prunus_persica_NCBIv2:G4:18096272:18101782:-1 gene:PRUPE_4G257000 transcript:ONI13996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALYSKLYDTYMKLKSKKWSELDNVNKDQEVKFMDYISAAEQVIQQLKSENERLLLRVKDLTSEVASIRSTKDEQCIEYQELLMEENRKNEELSEEVARLRNLQQVGNFSRLKDSKTDNGGVCTPASGQVSEEAGNRSQRRKTHKRRRQSLSETEDIVMPSSSLQDDEILRESEKDLHKGAVPSGSLITVQQPQCCRAIERPGGDVNPTGQANCLFQVLVEYLVDLKFSTVSQTEEICISAVHQSSELVERNQNFCTASYPWGHLKGLHQNG >ONI13992 pep chromosome:Prunus_persica_NCBIv2:G4:18096679:18101782:-1 gene:PRUPE_4G257000 transcript:ONI13992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALYSKLYDTYMKLKSKKWSELDNVNKDQEVKFMDYISAAEQVIQQLKSENERLLLRVKDLTSEVASIRSTKDEQCIEYQELLMEENRKNEELSEEVARLRNLQQVGNFSRLKDSKTDNGGVCTPASGQVSEEAGNRSQRRKTHKRRRQSLSETEDIVMPSSSLQDDEILRESEKDLHKGAVPSGSLITVQQPQCCRAIERPGGDVNPTGQANCLFQVLVEYLVDLKFSTVSQTEEICISAVHQSSGYSFNLTWVNRAGGKEPELLYRVLSLGTFERVAPEWMKDAIMFSTTMCPKFFERLSRVVKLHT >ONI13991 pep chromosome:Prunus_persica_NCBIv2:G4:18096028:18101782:-1 gene:PRUPE_4G257000 transcript:ONI13991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALYSKLYDTYMKLKSKKWSELDNVNKDQEVKFMDYISAAEQVIQQLKSENERLLLRVKDLTSEVASIRSTKDEQCIEYQELLMEENRKNEELSEEVARLRNLQQVGNFSRLKDSKTDNGGVCTPASGQVSEEAGNRSQRRKTHKRRRQSLSETEDIVMPSSSLQDDEILRESEKDLHKGAVPSGSLITVQQPQCCRAIERPGGDVNPTGQANCLFQVLVEYLVDLKFSTVSQTEEICISAVHQSSGYSFNLTWVNRAGGKEPELLYRVLSLGTFERVAPEWMKDAIMFSTTMCPKFFERLSRVVKLHT >ONI13994 pep chromosome:Prunus_persica_NCBIv2:G4:18097015:18101029:-1 gene:PRUPE_4G257000 transcript:ONI13994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYISAAEQVIQQLKSENERLLLRVKDLTSEVASIRSTKDEQCIEYQELLMEENRKNEELSEEVARLRNLQQVGNFSRLKDSKTDNGGVCTPASGQVSEEAGNRSQRRKTHKRRRQSLSETEDIVMPSSSLQDDEILRESEKDLHKGAVPSGSLITVQQPQCCRAIERPGGDVNPTGQANCLFQVLVEYLVDLKFSTVSQTEEICISAVHQSSGYSFNLTWVNRAGGKEPELLYRVLSLGTFERVAPEWMKDAIMFSTTMCPKFFERLSRVVKLHT >ONI10280 pep chromosome:Prunus_persica_NCBIv2:G4:1798477:1800689:-1 gene:PRUPE_4G038400 transcript:ONI10280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKGLLWLPSYRLDSLKKVIKISGCESSVYLFQSGAEYNLPNLHTILVENCQRMQALIESKGHSDNVADFKVQPRSEKLKVIRISDCENLVYLFKTKMLPYTYSRPRCCHTSQNSKRSPSAGEARASKWKKSQTQKSPSLMLLLLFMIQG >ONI11455 pep chromosome:Prunus_persica_NCBIv2:G4:5605109:5607956:-1 gene:PRUPE_4G107300 transcript:ONI11455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTKTVMAIKSYQNQAGVLVKNYLLADPFIPYTSIIGGIILCKMVYDLTQLISSFYIKTYAGLTKIQRIEWNSRGISSIHAIFITALSLHFVFWSDLFSDQPHAGLVTFRSSPLSVFGLGVSVGYFCADLGMLLWLYPSLGGMEYVFHHSLSGIAVAYSMFSGEGQLYTYMILISEITTPEINMRWYLDTAGMKRSSAYLINGIVIFLSWLAARILLFGYMFYHVYLHYDQVIQMHTFGYLLVFVVPSVLAIMNLMWFGKIIKGLIKQLAKMQ >ONI11458 pep chromosome:Prunus_persica_NCBIv2:G4:5604731:5609455:-1 gene:PRUPE_4G107300 transcript:ONI11458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTKTVMAIKSYQNQAGVLVKNYLLADPFIPYTSIIGGIILCKMVYDLTQLISSFYIKTYAGLTKIQRIEWNSRGISSIHAIFITALSLHFVFWSDLFSDQPHAGLVTFRSSPLSVFGLGVSVGYFCADLGMLLWLYPSLGGMEYVFHHSLSGIAVAYSMFSGEGQLYTYMILISEITTPEINMRWYLDTAGMKRSSAYLINGIVIFLSWLAARILLFGYMFYHVYLHYDQVIQMHTFGYLLVFVVPSVLAIMNLMWFGKIIKGLIKQLAKMQ >ONI11457 pep chromosome:Prunus_persica_NCBIv2:G4:5604826:5609370:-1 gene:PRUPE_4G107300 transcript:ONI11457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTKTVMAIKSYQNQAGVLVKNYLLADPFIPYTSIIGGIILCKMVYDLTQLISSFYIKTYAGLTKIQRIEWNSRGISSIHAIFITALSLHFVFWSDLFSDQPHAGLVTFRSSPLSVFGLGVSVGYFCADLGMLLWLYPSLGGMEYVFHHSLSGIAVAYSMFSGEGQLYTYMILISEITTPEINMRWYLDTAGMKRSSAYLINGIVIFLSWLAARILLFGYMFYHVYLHYDQVIQMHTFGYLLVFVVPSVLAIMNLMWFGKIIKGLIKQLAKMQ >ONI11454 pep chromosome:Prunus_persica_NCBIv2:G4:5605109:5607956:-1 gene:PRUPE_4G107300 transcript:ONI11454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTKTVMAIKSYQNQAGVLVKNYLLADPFIPYTSIIGGIILCKMVYDLTQLISSFYIKTYAGLTKIQRIEWNSRGISSIHAIFITALSLHFVFWSDLFSDQPHAGLVTFRSSPLSVFGLGVFHHSLSGIAVAYSMFSGEGQLYTYMILISEITTPEINMRWYLDTAGMKRSSAYLINGIVIFLSWLAARILLFGYMFYHVYLHYDQVIQMHTFGYLLVFVVPSVLAIMNLMWFGKIIKGLIKQLAKMQ >ONI11456 pep chromosome:Prunus_persica_NCBIv2:G4:5604791:5609370:-1 gene:PRUPE_4G107300 transcript:ONI11456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTKTVMAIKSYQNQAGVLVKNYLLADPFIPYTSIIGGIILCKMVYDLTQLISSFYIKTYAGLTKIQRIEWNSRGISSIHAIFITALSLHFVFWSDLFSDQPHAGLVTFRSSPLSVFGLGVSVGYFCADLGMLLWLYPSLGGMEYVFHHSLSGIAVAYSMFSGEGQLYTYMILISEITTPEINMRWYLDTAGMKRSSAYLINGIVIFLSWLAARILLFGYMFYHVYLHYDQVIQMHTFGYLLVFVVPSVLAIMNLMWFGKIIKGLIKQLAKMQ >ONI11453 pep chromosome:Prunus_persica_NCBIv2:G4:5604743:5609411:-1 gene:PRUPE_4G107300 transcript:ONI11453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTKTVMAIKSYQNQAGVLVKNYLLADPFIPYTSIIGGIILCKMVYDLTQLISSFYIKTYAGLTKIQRIEWNSRGISSIHAIFITALSLHFVFWSDLFSDQPHAGLVTFRSSPLSVFGLGVFHHSLSGIAVAYSMFSGEGQLYTYMILISEITTPEINMRWYLDTAGMKRSSAYLINGIVIFLSWLAARILLFGYMFYHVYLHYDQVIQMHTFGYLLVFVVPSVLAIMNLMWFGKIIKGLIKQLAKMQ >ONI13265 pep chromosome:Prunus_persica_NCBIv2:G4:13264631:13266443:1 gene:PRUPE_4G212600 transcript:ONI13265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTRNRKRKQLGDGVAPFEFPFQTQKIKRVSRVFKTQQNLAVEKKPTTSLPLRKGLSPKQIKTIPLASSPRKHRKSEELGFDGLCQTQKIKRQKLGFEFDCSEILGSLMNLRHVSGYFEKPVVDPVYFHDIWRPMDFGTVKSKLERGVYSSPDGFAADVRLTLSNALRYYPPGRIERAAAKHLSGVFESKWKEALEKNPKSVCPSPLPKVKGLAVLPKLKQGKTSSPSSVLQSQGLGVSDSQSVDSTKHDELATLVDNAMDQASENLSQCKAVRIHALKLRFSGTILKANKILNGVPDSPPRRKSMQRMKQRELACRAISNMKKSVQFDEPLQDLKQLEMLCGCGSEDPFLQVRFGLPLKKLGVFLRKMMSCKVRMRRPF >ONI12704 pep chromosome:Prunus_persica_NCBIv2:G4:10646459:10646951:1 gene:PRUPE_4G179700 transcript:ONI12704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKVALYISLAFLLYFLAHVYHFSRVFLYLYTLWGGNYFWVVVLLRSLIGPLIVLLCFYSLNFKFYL >ONI10247 pep chromosome:Prunus_persica_NCBIv2:G4:1727868:1734354:-1 gene:PRUPE_4G036500 transcript:ONI10247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDEAQRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTVSPGKSITSLCWRPDGKAIAVGLEDGTVSLHDVENGKLLRSLKSHGVAVVSLNWEEDGQMNKDEQGSISTYEDRTPHYFPPPPRVPRMPGLVSGDTGFIDDSEDSFRELSNSSQQRFNILCSGDKDGFICFSIFGIFSIGKINIHNFFVPTLLMDTKAECRLQNASVHKVALSKDLCHLIVICSGELSEDRKESENRSMTQHGVRGLHCTVLDTSIFWKRKNELHQVAQQASNIEELVEVIRASLSVMHKQWSDAMHTFHEKFDSLSNLIIDNGNFSMTLYPSFLMQFLLILVFHSYISYHLYIGLDSCPQEEFLSLLGGARTSPAVHQFLVNSLGEVGVKRVSKAVCGAGKELQLIVLNHLQPAAEIIAFRMGELRGLSRWRARYQGIGLDEALINNATEKAGMILIQVERFIRVLSTVVQQFSNFFNWLLKCIKLLMSEPSDHHLLPYNSELVVIFLKFLYDQDPVKQLLEASEADDHIEVGLETMQRVKELVQFGGFSDFEYLQRTLAKEFQQVESSFKEAFRMPFTTISRKILCGDLLPLCPLPPSSASLSSTIPMSVSYYKDASQSVSSHQSQHMLLDYISFQIPDGSFSGVSNCIGIVRGFMHDSISVKRGYTSLEAVLLCVPGGYCCADLSLYKESQIVLLLNETTATSESSGNASMMIVQVNDLPFVSISRSTGLNYWKLHQLEDSVFHLQMENEKVRSIPHSVIAPLAVSSSRGVACVFAARKRALVYILEEDEDEVSDAE >ONI10243 pep chromosome:Prunus_persica_NCBIv2:G4:1727807:1734362:-1 gene:PRUPE_4G036500 transcript:ONI10243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDEAQRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTVSPAIAVGLEDGTVSLHDVENGKLLRSLKSHGVAVVSLNWEEDGQMNKDEQGSISTYEDRTPHYFPPPPRVPRMPGLVSGDTGFIDDSEDSFRELSNSSQQRFNILCSGDKDGFICFSIFGIFSIGKINIHNFFVPTLLMDTKAECRLQNASVHKVALSKDLCHLIVICSGELSEDRKESENRSMTQHGVRGLHCTVLDTSIFWKRKNELHQVAQQASNIEELVEVIRASLSVMHKQWSDAMHTFHEKFDSLSNLIIDNGLDSCPQEEFLSLLGGARTSPAVHQFLVNSLGEVGVKRVSKAVCGAGKELQLIVLNHLQPAAEIIAFRMGELRGLSRWRARYQGIGLDEALINNATEKAGMILIQVERFIRVLSTVVQQFSNFFNWLLKCIKLLMSEPSDHHLLPYNSELVVIFLKFLYDQDPVKQLLEASEADDHIEVGLETMQRVKELVQFGGFSDFEYLQRTLAKEFQQVESSFKEAFRMPFTTISRKILCGDLLPLCPLPPSSASLSSTIPMSVSYYKDASQSVSSHQSQHMLLDYISFQIPDGSFSGVSNCIGIVRGFMHDSISVKRGYTSLEAVLLCVPGGYCCADLSLYKESQIVLLLNETTATSESSGNASMMIVQVNDLPFVSISRSTGLNYWKLHQLEDSVFHLQMENEKVRSIPHSVIAPLAVSSSRGVACVFAARKRALVYILEEDEDEVSDAE >ONI10244 pep chromosome:Prunus_persica_NCBIv2:G4:1727868:1734424:-1 gene:PRUPE_4G036500 transcript:ONI10244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDEAQRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTVSPGKSITSLCWRPDGKAIAVGLEDGTVSLHDVENGKLLRSLKSHGVAVVSLNWEEDGQMNKDEQGSISTYEDRTPHYFPPPPRVPRMPGLVSGDTGFIDDSEDSFRELSNSSQQRFNILCSGDKDGFICFSIFGIFSIGKINIHNFFVPTLLMDTKAECRLQNASVHKVALSKDLCHLIVICSGELSEDRKESENRSMTQHGVRGLHCTVLDTSIFWKRKNELHQVAQQASNIEELVEVIRASLSVMHKQWSDAMHTFHEKFDSLSNLIIDNGLDSCPQEEFLSLLGGARTSPAVHQFLVNSLGEVGVKRVSKAVCGAGKELQLIVLNHLQPAAEIIAFRMGELRGLSRWRARYQGIGLDEALINNATEKAGMILIQVERFIRVLSTVVQQFSNFFNWLLKCIKLLMSEPSDHHLLPYNSELVVIFLKFLYDQDPVKQLLEASEADDHIEVGLETMQRVKELVQFGGFSDFEYLQRTLAKEFQQVESSFKEAFRMPFTTISRKILCGDLLPLCPLPPSSASLSSTIPMSVSYYKSQHMLLDYISFQIPDGSFSGVSNCIGIVRGFMHDSISVKRGYTSLEAVLLCVPGGYCCADLSLYKESQIVLLLNETTATSESSGNASMMIVQVNDLPFVSISRSTGLNYWKLHQLEDSVFHLQMENEKVRSIPHSVIAPLAVSSSRGVACVFAARKRALVYILEEDEDEVSDAE >ONI10245 pep chromosome:Prunus_persica_NCBIv2:G4:1727850:1734366:-1 gene:PRUPE_4G036500 transcript:ONI10245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDEAQRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTVSPGKSITSLCWRPDGKAIAVGLEDGTVSLHDVENGKLLRSLKSHGVAVVSLNWEEDGQMNKDEQGSISTYEDRTPHYFPPPPRVPRMPGLVSGDTGFIDDSEDSFRELSNSSQQRFNILCSGDKDGFICFSIFGIFSIGKINIHNFFVPTLLMDTKAECRLQNASVHKVALSKDLCHLIVICSGELSEDRKESENRSMTQHGVRGLHCTVLDTSIFWKRKNELHQVAQQASNIEELVEVIRASLSVMHKQWSDAMHTFHEKFDSLSNLIIDNGLDSCPQEEFLSLLGGARTSPAVHQFLVNSLGEVGVKRVSKAVCGAGKELQLIVLNHLQPAAEIIAFRMGELRGLSRWRARYQGIGLDEALINNATEKAGMILIQVERFIRVLSTVVQQFSNFFNWLLKCIKLLMSEPSDHHLLPYNSELVVIFLKFLYDQDPVKQLLEASEADDHIEVGLETMQRVKELVQFGGFSDFEYLQRTLAKEFQQVESSFKEAFRMPFTTISRKILCGDLLPLCPLPPSSASLSSTIPMSVSYYKDASQSVSSHQSQHMLLDYISFQIPDGSFSGVSNCIGIVRGFMHDSISVKRGYTSLEAVLLCVPGGYCCADLSLYKESQIVLLLNETTATSESSGNASMMIVQVNDLPFVSISRSTGLNYWKLHQLEDSVFHLQMENEKVRSIPHSVIAPLAVSSSRGVACVFAARKRALVYILEEDEDEVSDAE >ONI10246 pep chromosome:Prunus_persica_NCBIv2:G4:1727807:1734433:-1 gene:PRUPE_4G036500 transcript:ONI10246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDEAQRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTVSPGKSITSLCWRPDGKAIAVGLEDGTVSLHDVENGKLLRSLKSHGVAVVSLNWEEDGQMNKDEQGSISTYEDRTPHYFPPPPRVPRMPGLVSGDTGFIDDSEDSFRELSNSSQQRFNILCSGDKDGFICFSIFGIFSIGKINIHNFFVPTLLMDTKAECRLQNASVHKVALSKDLCHLIVICSGELSEDRKESENRSMTQHGVRGLHCTVLDTSIFWKRKNELHQVAQQASNIEELVEVIRASLSVMHKQWSDAMHTFHEKFDSLSNLIIDNGLDSCPQEEFLSLLGGARTSPAVHQFLVNSLGEVGVKRVSKAVCGAGKELQLIVLNHLQPAAEIIAFRMGELRGLSRWRARYQGIGLDEALINNATEKAGMILIQVERFIRVLSTVVQQFSNFFNWLLKCIKLLMSEPSDHHLLPYNSELVVIFLKFLYDQDPVKQLLEASEADDHIEVGLETMQRVKELVQFGGFSDFEYLQRTLAKEFQQVESSFKEAFRMPFTTISRKILCGDLLPLCPLPPSSASLSSTIPMSVSYYKDASQSVSSHQSQHMLLDYISFQIPDGSFSGVSNCIGIVRGFMHDSISVKRGYTSLEAVLLCVPGGYCCADLSLYKESQIVLLLNETTATSESSGNASMMIVQVNDLPFVSISRSTGLNYWKLHQLEDSVFHLQMENEKVRSIPHSVIAPLAVSSSRGVACVFAARKRALVYILEEDEDEVSDAE >ONI10248 pep chromosome:Prunus_persica_NCBIv2:G4:1728082:1733751:-1 gene:PRUPE_4G036500 transcript:ONI10248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQLLLGLKMEQFHCTMLKQNGKLLRSLKSHGVAVVSLNWEEDGQMNKDEQGSISTYEDRTPHYFPPPPRVPRMPGLVSGDTGFIDDSEDSFRELSNSSQQRFNILCSGDKDGFICFSIFGIFSIGKINIHNFFVPTLLMDTKAECRLQNASVHKVALSKDLCHLIVICSGELSEDRKESENRSMTQHGVRGLHCTVLDTSIFWKRKNELHQVAQQASNIEELVEVIRASLSVMHKQWSDAMHTFHEKFDSLSNLIIDNGLDSCPQEEFLSLLGGARTSPAVHQFLVNSLGEVGVKRVSKAVCGAGKELQLIVLNHLQPAAEIIAFRMGELRGLSRWRARYQGIGLDEALINNATEKAGMILIQVERFIRVLSTVVQQFSNFFNWLLKCIKLLMSEPSDHHLLPYNSELVVIFLKFLYDQDPVKQLLEASEADDHIEVGLETMQRVKELVQFGGFSDFEYLQRTLAKEFQQVESSFKEAFRMPFTTISRKILCGDLLPLCPLPPSSASLSSTIPMSVSYYKDASQSVSSHQSQHMLLDYISFQIPDGSFSGVSNCIGIVRGFMHDSISVKRGYTSLEAVLLCVPGGYCCADLSLYKESQIVLLLNETTATSESSGNASMMIVQVNDLPFVSISRSTGLNYWKLHQLEDSVFHLQMENEKVRSIPHSVIAPLAVSSSRGVACVFAARKRALVYILEEDEDEVSDAE >ONI10075 pep chromosome:Prunus_persica_NCBIv2:G4:1213698:1215937:-1 gene:PRUPE_4G025900 transcript:ONI10075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10079 pep chromosome:Prunus_persica_NCBIv2:G4:1213892:1214581:-1 gene:PRUPE_4G025900 transcript:ONI10079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10073 pep chromosome:Prunus_persica_NCBIv2:G4:1213687:1215937:-1 gene:PRUPE_4G025900 transcript:ONI10073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10076 pep chromosome:Prunus_persica_NCBIv2:G4:1213892:1215265:-1 gene:PRUPE_4G025900 transcript:ONI10076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10068 pep chromosome:Prunus_persica_NCBIv2:G4:1213687:1215875:-1 gene:PRUPE_4G025900 transcript:ONI10068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10069 pep chromosome:Prunus_persica_NCBIv2:G4:1213687:1215937:-1 gene:PRUPE_4G025900 transcript:ONI10069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10066 pep chromosome:Prunus_persica_NCBIv2:G4:1213687:1215937:-1 gene:PRUPE_4G025900 transcript:ONI10066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10065 pep chromosome:Prunus_persica_NCBIv2:G4:1213463:1216176:-1 gene:PRUPE_4G025900 transcript:ONI10065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRLWPTITSRRSLPFTLSSVSVVVSRKWKSLVSPCF >ONI10070 pep chromosome:Prunus_persica_NCBIv2:G4:1213463:1215919:-1 gene:PRUPE_4G025900 transcript:ONI10070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10067 pep chromosome:Prunus_persica_NCBIv2:G4:1213687:1215937:-1 gene:PRUPE_4G025900 transcript:ONI10067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10072 pep chromosome:Prunus_persica_NCBIv2:G4:1213687:1215875:-1 gene:PRUPE_4G025900 transcript:ONI10072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10082 pep chromosome:Prunus_persica_NCBIv2:G4:1214110:1215265:-1 gene:PRUPE_4G025900 transcript:ONI10082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGVVCRSL >ONI10074 pep chromosome:Prunus_persica_NCBIv2:G4:1213892:1215265:-1 gene:PRUPE_4G025900 transcript:ONI10074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10080 pep chromosome:Prunus_persica_NCBIv2:G4:1213892:1214581:-1 gene:PRUPE_4G025900 transcript:ONI10080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10077 pep chromosome:Prunus_persica_NCBIv2:G4:1213892:1214809:-1 gene:PRUPE_4G025900 transcript:ONI10077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10078 pep chromosome:Prunus_persica_NCBIv2:G4:1213687:1215875:-1 gene:PRUPE_4G025900 transcript:ONI10078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10081 pep chromosome:Prunus_persica_NCBIv2:G4:1213892:1214581:-1 gene:PRUPE_4G025900 transcript:ONI10081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI10071 pep chromosome:Prunus_persica_NCBIv2:G4:1213687:1215937:-1 gene:PRUPE_4G025900 transcript:ONI10071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI11293 pep chromosome:Prunus_persica_NCBIv2:G4:5079670:5090883:-1 gene:PRUPE_4G099700 transcript:ONI11293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLRPLRKLSFLSSSAGLLMGTVQLFKSTPCPNPLTPSSQRHSFHSHRLVFSPLSPKPMSKTRPLCLRTNGYSYVSHANGAAPAELENAEEKRVDFNQLSRLKLKLEMLGIDYGICMPGQYNHLICPICKGGDSEEKSLSVYISEDWGSAFWCCFRGKCGWQGRTTAVGDNKLSRETSNQIAKVKKRREITVESLGLEPLCEELVAYFSERSISTETLRRNAVMQKTTGVQICIAFPYWRDGQLVSCKYRDIEKKFWQEKDTEKIFYGLDDIKGTNDIIIVEGEIDKLAMEEAGFHNCVSVPDGAPPKVSSKDLPPEEQDTKYQYLWNCKEYLKKASRIILATDGDDPGQALAEELARRLGRERCWRVRWPMKNDNEHFKDANEVLMYLGPDVLKEVIENAELYPIRGLFNFANYFDELDAYYYRTLGYEYGVSTGWKGLNELYNIVPGELTIVTGVPNSGKSEWIDALLCNLSESVGWKFALCSMENKVREHARKLLEKHIKKPFFDKRYGGSAERMSAEEFEQGKQWLNDTFYLIRCEDDSLPSISWVLELAQAAVLRHGVRGLVIDPYNELDHQRPPNQTETEYVSQMLTKVKRFAQHHCCHVWFVAHPRQLHQWVGGPPNLYDISGSAHFINKCDNGIVIHRNRDPGAGDLDQVQVCVRKVRNKVAGTIGDAYLTYDRATGQFKDIGIKKST >ONI11292 pep chromosome:Prunus_persica_NCBIv2:G4:5079654:5090902:-1 gene:PRUPE_4G099700 transcript:ONI11292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLRPLRKLSFLSSSAGLLMGTVQLFKSTPCPNPLTPSSQRHSFHSHRLVFSPLSPKPMSKTRPLCLRTNGYSYVSHANGAAPAELENAEEKRVDFNQLSRLKLKLEMLGIDYGICMPGQYNHLICPICKGGDSEEKSLSVYISEDWGSAFWCCFRGKCGWQGRTTAVGDNKLSRETSNQIAKVKKRREITVESLGLEPLCEELVAYFSERSISTETLRRNAVMQKTTGVQICIAFPYWRDGQLVSCKYRDIEKKFWQEKDTEKIFYGLDDIKGTNDIIIVEGEIDKLAMEEAGFHNCVSVPDGAPPKVSSKDLPPEEQDTKYQYLWNCKEYLKKASRIILATDGDDPGQALAEELARRLGRERCWRVRWPMKNDNEHFKDANEVLMYLGPDVLKEVIENAELYPIRGLFNFANYFDELDAYYYRTLGYEYGVSTGWKGLNELYNIVPGELTIVTGVPNSGKSEWIDALLCNLSESVGWKFALCSMENKVREHARKLLEKHIKKPFFDKRYGGSAERMSAEEFEQGKQWLNDTFYLIRCEDDSLPSISWVLELAQAAVLRHGVRGLVIDPYNELDHQRPPNQTETEYVSQMLTKVKRFAQHHCCHVWFVAHPRQLHQWVGGPPNLYDISGSAHFINKCDNGIVIHRNRDPGAGDLDQVQVCVRKVRNKVAGTIGDAYLTYDRATGQFKDIGIKKST >ONI11294 pep chromosome:Prunus_persica_NCBIv2:G4:5079670:5090891:-1 gene:PRUPE_4G099700 transcript:ONI11294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYARAVQPLDLSNSGDSEEKSLSVYISEDWGSAFWCCFRGKCGWQGRTTAVGDNKLSRETSNQIAKVKKRREITVESLGLEPLCEELVAYFSERSISTETLRRNAVMQKTTGVQICIAFPYWRDGQLVSCKYRDIEKKFWQEKDTEKIFYGLDDIKGTNDIIIVEGEIDKLAMEEAGFHNCVSVPDGAPPKVSSKDLPPEEQDTKYQYLWNCKEYLKKASRIILATDGDDPGQALAEELARRLGRERCWRVRWPMKNDNEHFKDANEVLMYLGPDVLKEVIENAELYPIRGLFNFANYFDELDAYYYRTLGYEYGVSTGWKGLNELYNIVPGELTIVTGVPNSGKSEWIDALLCNLSESVGWKFALCSMENKVREHARKLLEKHIKKPFFDKRYGGSAERMSAEEFEQGKQWLNDTFYLIRCEDDSLPSISWVLELAQAAVLRHGVRGLVIDPYNELDHQRPPNQTETEYVSQMLTKVKRFAQHHCCHVWFVAHPRQLHQWVGGPPNLYDISGSAHFINKCDNGIVIHRNRDPGAGDLDQVQVCVRKVRNKVAGTIGDAYLTYDRATGQFKDIGIKKST >ONI11295 pep chromosome:Prunus_persica_NCBIv2:G4:5079670:5090899:-1 gene:PRUPE_4G099700 transcript:ONI11295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLRPLRKLSFLSSSAGLLMGTVQLFKSTPCPNPLTPSSQRHSFHSHRLVFSPLSPKPMSKTRPLCLRTNGYSYVSHANGAAPAELENAEEKRVDFNQLSRLKLKLEMLGIDYGICMPGQYNHLICPICKGGDSEEKSLSVYISEDWGSAFWCCFRGKCGWQGRTTAVGDNKLSRETSNQIAKVKKRREITVESLGLEPLCEELVAYFSERSISTETLRRNAVMQKTTGVQICIAFPYWRDGQLVSCKYRDIEKKFWQEKDTEKIFYGLDDIKGTNDIIIVEGEIDKLAMEEAGFHNCVSVPDGAPPKVSSKDLPPEEQDTKYQYLWNCKEYLKKASRIILATDGDDPGQALAEELARRLGRERCWRVRWPMKNDNEHFKDANEVLMYLGPDVLKEVIENAELYPIRGLFNFANYFDELDAYYYRTLGYEYGVSTGWKGLNELYNIVPGELTIVTGVPNSGKSEWIDALLCNLSESVGWKFALCSMENKVREHARKLLEKHIKKPFFDKRYGGSAERMSAEEFEQGKQWLNDTFYLIRCEDDSLPSISWVLELAQAAVLRHGVRGLVIDPYNELDHQRPPNQNRVRKSDAYQGQTVCSASLLPCLVCGTSETVAPVGRGSP >ONI13616 pep chromosome:Prunus_persica_NCBIv2:G4:15037817:15038210:1 gene:PRUPE_4G233400 transcript:ONI13616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVKVELKPFTDKENFMLWQRRMTLLACKKKKLKTMKDVEWEDLEELARVSIEQHLSDKVLCNAMEDAVKHAWEKLEEMFASIYLSKKLFLNEEFHSLKIEEGTNMIKHISAFNWCIADL >ONI14064 pep chromosome:Prunus_persica_NCBIv2:G4:18688608:18690704:-1 gene:PRUPE_4G259900 transcript:ONI14064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVNIVMMPCLEFWGRKWNLLDIATAVVFFILHCLCVMAPFHFTWPAFWVACALYLVTGFGVTLSFHRNLAHKSFRLPKWLEYLFAYFGVLSLQGSPIEWVSSHRYHHQFTDTEKDVHSPRQGFWFSHMGWILDSGSRFGKYGGLKNVEDLKRQAFYMFLHRTYLLHSVVLLGSLLYAAGGVPFLVWGMGVRMVCVFHSTLLVNSAGHMWGEQVYHTGDMSRNNWWLGLFALGEGWHNNHHAFDYSARQGLEWWQIDFTWYVIRFFQLLGLATDVKTPTESQKRRKALNSEMMATSH >ONI11967 pep chromosome:Prunus_persica_NCBIv2:G4:7644357:7646912:1 gene:PRUPE_4G137500 transcript:ONI11967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRVSPNPISQPLNLRRRFGPSSHGRVQLINGRRAVTACLSVDVEVPDTASKLGLAKSREVIETEAKVVVQTYARTPVVLASGKGCKLYDAEGREYLDLTSGIAVNALGHGDEDWLKAVVEQASTLTHVSNVYYSIPQVELAKHLVASSFADRVFFTNSGTEANEAAIKFARKFQRHTNPDAKEPATSFISFTNSFHGRTMGALALTSKEHYRSPFEPVMPGITFVEYGNIQATKELIQPGKTAAVFVEPIQGEGGIYSASKEFLQFLRKACDDAGALLVFDEVQCGLGRTGYLWAHEAYGVFPDIMTLAKPLAGGLPIGAALLTERVASTIAFGDHGSTFAGAPLVCSAALAVLNKISNPNFLNSVSKKGLYFKNILKQKMGGSPHVREVRGFGLIIGIELDVSASPLVDACRNSGLLVLTAGKGNVVRLVPPLIITEQELDVAAEILYRALPVLDATNSN >ONI11255 pep chromosome:Prunus_persica_NCBIv2:G4:4831024:4832616:-1 gene:PRUPE_4G096100 transcript:ONI11255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISLIASLFLVSVSLPISSSICGEIFSQCMTAQSSYYTRISDQTIHTSNSSLYTTILQSSQQNPRWLNSTSKPLLIVTPLKESEIQAAVLCSRKLGLQVRVRSGGHDFEGLSYLCKTPFVLIDLINLRSVVVNVADQTAWVQSGATLGELYYSIAKKSEVLGFPAGFCPIVGIGGHFSGGGLGTLMRKHGLAADNVIDARLIDVNGRILDRRTMGEDLFWAIRGGGGSSFGIILSWKIKLVRVPKIVTVFTVHKTLAEGASKLVHRWQYVADKFHEDLLLRIIIENMGSGKDKKVQVSFSSLFLGGIDRLMPLMDQSFPELGLQAKDCIEMSWIQSVQYFAGFQKDQSPEVLLYPLRKINFKSKSDYVKQPIPEVGLKGIWEWFQQDQTVFMTMDPFGGKMNEISEFEIPFPHRKGNLYNIQYIVKWDVNSVEETNKHIHWIRMLYRFMSPYVSRSPRGAYINYKDLDLGSNKQDNTSYLEASAWGTKYFKGNFKRLAQVKSKVDPDNFFRNEQSIPPLPASFRITDF >ONI13454 pep chromosome:Prunus_persica_NCBIv2:G4:14011242:14014881:-1 gene:PRUPE_4G223200 transcript:ONI13454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSRVLSRASRTLPRSTMLIAAPQNHHLPILCNQSQALIHDFSIKFSPRQVSLLHHSTPSSSISQIFGFSSSASPQPSEREHGSAAENGGASTNGEPAKESGDAKVSDQTKESGYTSDSQSKKSPSVKRRRGGTKRTAFSDSDSEGEGDLGFDDLVKLVAEKEELLKQKHEEIKRMQDKVLRSYAEMENVMDRTRREAENSKKFAVQNFAKSLLDVADNLGRASSVVKDSFSKLDESKESGGAAPLLKTLLEGVEMTEKQLIEVFRKYGVEKFDPTNEAFDPNKHNAVFNLEDASKPPGTIAVVLKPGYMLYDRVVRPAEVGVTTAPENNATEDNAGN >ONI13452 pep chromosome:Prunus_persica_NCBIv2:G4:14011041:14014894:-1 gene:PRUPE_4G223200 transcript:ONI13452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSRVLSRASRTLPRSTMLIAAPQNHHLPILCNQSQALIHDFSIKFSPRQVSLLHHSTPSSSISQIFGFSSSASPQPSEREHGSAAENGGASTNGEPAKESGDAKVSDQTKESDSEGEGDLGFDDLVKLVAEKEELLKQKHEEIKRMQDKVLRSYAEMENVMDRTRREAENSKKFAVQNFAKSLLDVADNLGRASSVVKDSFSKLDESKESGGAAPLLKTLLEGVEMTEKQLIEVFRKYGVEKFDPTNEAFDPNKHNAVFNLEDASKPPGTIAVVLKPGYMLYDRVVRPAEVGVTTAPENNATEDNAGN >ONI13453 pep chromosome:Prunus_persica_NCBIv2:G4:14009836:14014894:-1 gene:PRUPE_4G223200 transcript:ONI13453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSRVLSRASRTLPRSTMLIAAPQNHHLPILCNQSQALIHDFSIKFSPRQVSLLHHSTPSSSISQIFGFSSSASPQPSEREHGSAAENGGASTNGEPAKESGDAKVSDQTKESDSEGEGDLGFDDLVKLVAEKEELLKQKHEEIKRMQDKVLRSYAEMENVMDRTRREAENSKKFAVQNFAKSLLDVADNLGRASSVVKDSFSKLDESKESGGAAPLLKTLLEGVEMTEKQLIEVFRKYGVEKFDPTNEAFDPNKHNAVFNLEDASKPPGTIAVVLKPGYMLYDRVVRPAEVGVTTAPENNATEDNAGN >ONI09667 pep chromosome:Prunus_persica_NCBIv2:G4:189553:195894:-1 gene:PRUPE_4G002600 transcript:ONI09667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVQVMGARDLPAMDLNGLSDPYVKVQLGKQKFRTKVVKKTLNPYWGEEFALRVEDLNEELLISVLDEDKYFNDDFVGCVKLPVSQVFDAGNKSLETAWYPLQPKSKKSKNKDCGEILLTIHFSVNNSFADSASDGGDIGFESPSRSFSGPSESASPVRARQEETATFKEEKLCAQKTLAGRIAQMFNKNPDTVPASSSRVDLTELAETAKSEVYESSSEDQSSSATFEELMRTMQSRDQASETPSNLPGGVLLDQLYVTPPQDINTFLFSPDSGFPKSLAEVHGTTELEVGLWKLDNSSESVKRVVTYIKAATKLIKACKGTEDQVYLKADGKVFAVLSSVSTPDVPYGRTFRTELLYCISPGPELPSGEQSSRLVISWRMNFLQSTMMKGMIENGARQGLKDSFDQFATLLSQNVKPVDSKDLGSNKDQVLASLQAEPQSDWKLAVQYFGNFTVVSTVFIGLYMLVHIWLATPSTIQGLEFVGLDLPDSIGEFIVCGVLVLQGERVLGLISRFMQARAQKGSDHGVKAQGDGWLLTVALIEGSNIAAVDSSGFSDPYVVFTCNGKTRTSSIKFQKCDPTWNEIFEFDAMDEPPSVLDVEIYDFDGPFDEAISLGHAEINFVKTNISDLADLWVPLRGKLAQACQSKLHLRIFLNNTRGGNVANHFLTKMEKEVGKKITVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHANLFGHKTKFFFLWEDIEEIQVVPPSLSSMGSPIVVMTLRPGRGMDARHGAKTQDGEGRLKFHFQSFVSFNVAHRTIMALWKARSLSPEQKVQIVEEESEVKIQSEESGSFLGLDDVSMSETNFFVELFGGGELDRRVMEKAGCLNYSYTPWESEKGDVCVRQIYYRFDKRVSQYRGEVTSTQQKSRLSDRNGWLVQEVSTLHAVPLGDYFNLHIRYQIEDLPSNSKGCQVKVYFGVEWLKGTRHQKRITKNVLKNLQDRLKDTFSVVETEFTR >ONI09666 pep chromosome:Prunus_persica_NCBIv2:G4:189553:195913:-1 gene:PRUPE_4G002600 transcript:ONI09666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVQVMGARDLPAMDLNGLSDPYVKVQLGKQKFRTKVVKKTLNPYWGEEFALRVEDLNEELLISVLDEDKYFNDDFVGCVKLPVSQVFDAGNKSLETAWYPLQPKSKKSKNKDCGEILLTIHFSVNNSFADSASDGGDIGFESPSRSFSGPSESASPVRARQEETATFKEEKLCAQKTLAGRIAQMFNKNPDTVPASSSRVDLTELAETAKSEVYESSSEDQSSSATFEELMRTMQSRDQASETPSNLPGGVLLDQLYVTPPQDINTFLFSPDSGFPKSLAEVHGTTELEVGLWKLDNSSESVKRVVTYIKAATKLIKACKGTEDQVYLKADGKVFAVLSSVSTPDVPYGRTFRTELLYCISPGPELPSGEQSSRLVISWRMNFLQSTMMKGMIENGARQGLKDSFDQFATLLSQNVKPVDSKDLGSNKDQVLASLQAEPQSDWKLAVQYFGNFTVVSTVFIGLYMLVHIWLATPSTIQGLEFVGLDLPDSIGEFIVCGVLVLQGERVLGLISRFMQARAQKGSDHGVKAQGDGWLLTVALIEGSNIAAVDSSGFSDPYVVFTCNGKTRTSSIKFQKCDPTWNEIFEFDAMDEPPSVLDVEIYDFDGPFDEAISLGHAEINFVKTNISDLADLWVPLRGKLAQACQSKLHLRIFLNNTRGGNVANHFLTKMEKEVGKKITVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHANLFGHKTKFFFLWEDIEEIQVVPPSLSSMGSPIVVMTLRPGRGMDARHGAKTQDGEGRLKFHFQSFVSFNVAHRTIMALWKARSLSPEQKVQIVEEESEVKIQSEESGSFLGLDDVSMSETNFFVELFGGGELDRRVMEKAGCLNYSYTPWESEKGDVCVRQIYYRFDKRVSQYRGEVTSTQQKSRLSDRNGWLVQEVSTLHAVPLGDYFNLHIRYQIEDLPSNSKGCQVKVYFGVEWLKGTRHQKRITKNVLKNLQDRLKDTFSVVETEFTR >ONI09669 pep chromosome:Prunus_persica_NCBIv2:G4:189553:195894:-1 gene:PRUPE_4G002600 transcript:ONI09669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVQVMGARDLPAMDLNGLSDPYVKVQLGKQKFRTKVVKKTLNPYWGEEFALRVEDLNEELLISVLDEDKYFNDDFVGCVKLPVSQVFDAGNKSLETAWYPLQPKSKKSKNKDCGEILLTIHFSVNNSFADSASDGGDIGFESPSRSFSGPSESASPVRARQEETATFKEEKLCAQKTLAGRIAQMFNKNPDTVPASSSRVDLTELAETAKSEVYESSSEDQSSSATFEELMRTMQSRDQASETPSNLPGGVLLDQLYVTPPQDINTFLFSPDSGFPKSLAEVHGTTELEVGLWKLDNSSESVKRVVTYIKAATKLIKACKGTEDQVYLKADGKVFAVLSSVSTPDVPYGRTFRTELLYCISPGPELPSGEQSSRLVISWRMNFLQSTMMKGMIENGARQGLKDSFDQFATLLSQNVKPVDSKDLGSNKDQVLASLQAEPQSDWKLAVQYFGNFTVVSTVFIGLYMLVHIWLATPSTIQGLEFVGLDLPDSIGEFIVCGVLVLQGERVLGLISRFMQARAQKGSDHGVKAQGDGWLLTVALIEGSNIAAVDSSGFSDPYVVFTCNGKTRTSSIKFQKCDPTWNEIFEFDAMDEPPSVLDVEIYDFDGPFDEAISLGHAEINFVKTNISDLADLWVPLRGKLAQACQSKLHLRIFLNNTRGGNVANHFLTKMEKEVGKKITVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHANLFGHKTKFFFLWEDIEEIQVVPPSLSSMGSPIVVMTLRPGRGMDARHGAKTQDGEGRLKFHFQSFVSFNVAHRTIMALWKARSLSPEQKVQIVEEESEVKIQSEESGSFLGLDDVSMSEVYSSAHSVPTNFFVELFGGGELDRRVMEKAGCLNYSYTPWESEKGDVCVRQIYYRFDKRVSQYRGEVTSTQQKSRLSDRNGWLVQEVSTLHAVPLGDYFNLHIRYQIEDLPSNSKGCQVKVYFGVEWLKGTRHQKRITKNVLKNLQDRLKDTFSVVETEFTR >ONI09670 pep chromosome:Prunus_persica_NCBIv2:G4:190238:195322:-1 gene:PRUPE_4G002600 transcript:ONI09670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVQVMGARDLPAMDLNGLSDPYVKVQLGKQKFRTKVVKKTLNPYWGEEFALRVEDLNEELLISVLDEDKYFNDDFVGCVKLPVSQVFDAGNKSLETAWYPLQPKSKKSKNKDCGEILLTIHFSVNNSFADSASDGGDIGFESPSRSFSGPSESASPVRARQEETATFKEEKLCAQKTLAGRIAQMFNKNPDTVPASSSRVDLTELAETAKSEVYESSSEDQSSSATFEELMRTMQSRDQASETPSNLPGGVLLDQLYVTPPQDINTFLFSPDSGFPKSLAEVHGTTELEVGLWKLDNSSESVKRVVTYIKAATKLIKACKGTEDQVYLKADGKVFAVLSSVSTPDVPYGRTFRTELLYCISPGPELPSGEQSSRLVISWRMNFLQSTMMKGMIENGARQGLKDSFDQFATLLSQNVKPVDSKDLGSNKDQVLASLQAEPQSDWKLAVQYFGNFTVVSTVFIGLYMLVHIWLATPSTIQGLEFVGLDLPDSIGEFIVCGVLVLQGERVLGLISRFMQARAQKGSDHGVKAQGDGWLLTVALIEGSNIAAVDSSGFSDPYVVFTCNGKTRTSSIKFQKCDPTWNEIFEFDAMDEPPSVLDVEIYDFDGPFDEAISLGHAEINFVKTNISDLADLWVPLRGKLAQACQSKLHLRIFLNNTRGGNVANHFLTKMEKEVGKKITVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHANLFGHKTKFFFLWEDIEEIQVVPPSLSSMGSPIVVMTLRPGRGMDARHGAKTQDGEGRLKFHFQSFVSFNVAHRTIMALWKARSLSPEQKVQIVEEESEVKIQSEESGSFLGLDDVSMSEVYSSAHSVPTNFFVELFGGGELDRRVMEKAGCLNYSYTPWESEKGDVCVRQIYYRFDKRVSQYRGEVTSTQQKSRLSDRNGWLVQEVSTLHAVPLGDYFNLHIRYQIEDLPSNSKGCQVKVYFGVEWLKGTRHQKRITKNVLKNLQDRLKDTFSVVETEFTR >ONI09668 pep chromosome:Prunus_persica_NCBIv2:G4:189553:195936:-1 gene:PRUPE_4G002600 transcript:ONI09668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVQVMGARDLPAMDLNGLSDPYVKVQLGKQKFRTKVVKKTLNPYWGEEFALRVEDLNEELLISVLDEDKYFNDDFVGCVKLPVSQVFDAGNKSLETAWYPLQPKSKKSKNKDCGEILLTIHFSVNNSFADSASDGGDIGFESPSRSFSGPSESASPVRARQEETATFKEEKLCAQKTLAGRIAQMFNKNPDTVPASSSRVDLTELAETAKSEVYESSSEDQSSSATFEELMRTMQSRDQASETPSNLPGGVLLDQLYVTPPQDINTFLFSPDSGFPKSLAEVHGTTELEVGLWKLDNSSESVKRVVTYIKAATKLIKACKGTEDQVYLKADGKVFAVLSSVSTPDVPYGRTFRTELLYCISPGPELPSGEQSSRLVISWRMNFLQSTMMKGMIENGARQGLKDSFDQFATLLSQNVKPVDSKDLGSNKDQVLASLQAEPQSDWKLAVQYFGNFTVVSTVFIGLYMLVHIWLATPSTIQGLEFVGLDLPDSIGEFIVCGVLVLQGERVLGLISRFMQARAQKGSDHGVKAQGDGWLLTVALIEGSNIAAVDSSGFSDPYVVFTCNGKTRTSSIKFQKCDPTWNEIFEFDAMDEPPSVLDVEIYDFDGPFDEAISLGHAEINFVKTNISDLADLWVPLRGKLAQACQSKLHLRIFLNNTRGGNVANHFLTKMEKEVGKKITVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHANLFGHKTKFFFLWEDIEEIQVVPPSLSSMGSPIVVMTLRPGRGMDARHGAKTQDGEGRLKFHFQSFVSFNVAHRTIMALWKARSLSPEQKVQIVEEESEVKIQSEESGSFLGLDDVSMSEVYSSAHSVPTNFFVELFGGGELDRRVMEKAGCLNYSYTPWESEKGDVCVRQIYYRFDKRVSQYRGEVTSTQQKSRLSDRNGWLVQEVSTLHAVPLGDYFNLHIRYQIEDLPSNSKGCQVKVYFGVEWLKGTRHQKRITKNVLKNLQDRLKDTFSVVETEFTR >ONI09671 pep chromosome:Prunus_persica_NCBIv2:G4:189553:195935:-1 gene:PRUPE_4G002600 transcript:ONI09671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVVQVMGARDLPAMDLNGLSDPYVKVQLGKQKFRTKVVKKTLNPYWGEEFALRVEDLNEELLISVLDEDKYFNDDFVGCVKLPVSQVFDAGNKSLETAWYPLQPKSKKSKNKDCGEILLTIHFSVNNSFADSASDGGDIGFESPSRSFSGPSESASPVRARQEETATFKEEKLCAQKTLAGRIAQMFNKNPDTVPASSSRVDLTELAETAKSEVYESSSEDQSSSATFEELMRTMQSRDQASETPSNLPGGVLLDQLYVTPPQDINTFLFSPDSGFPKSLAEVHGTTELEVGLWKLDNSSESVKRVVTYIKAATKLIKACKGTEDQVYLKADGKVFAVLSSVSTPDVPYGRTFRTELLYCISPGPELPSGEQSSRLVISWRMNFLQSTMMKGMIENGARQGLKDSFDQFATLLSQNVKPVDSKDLGSNKDQVLASLQAEPQSDWKLAVQYFGNFTVVSTVFIGLYMLVHIWLATPSTIQGLEFVGLDLPDSIGEFIVCGVLVLQGERVLGLISRFMQARAQKGSDHGVKAQGDGWLLTVALIEGSNIAAVDSSGFSDPYVVFTCNGKTRTSSIKFQKCDPTWNEIFEFDAMDEPPSVLDVEIYDFDGPFDEAISLGHAEINFVKTNISDLADLWVPLRGKLAQACQSKLHLRIFLNNTRGGNVANHFLTKMEKEVGKKITVRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFHANLFGHKTKFFFLWEDIEEIQVVPPSLSSMGSPIVVMTLRPGRGMDARHGAKTQDGEGRLKFHFQSFVSFNVAHRQISSLSYLVEVNWTVELWRKLVVLTILTPHGNQRRVMCV >ONI13185 pep chromosome:Prunus_persica_NCBIv2:G4:12996803:12998845:1 gene:PRUPE_4G208600 transcript:ONI13185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQICLCVLISKATTNCIRGVPGKIMMKCCSEQSISSKPLTRHVLSSFAATLVFVSQINQAVASDASHQRNIYELANAPEKTVTLPLDKGSDEGGEKLMMMRGMTANNFNPIRYSGRWFEVASLKRGFSGQGQEDCHCTQGVYTFDMEKRAIQVDTFCVHGSPDGYITGIRGNVQCLSEEDLEKNETVLEKQEMIKEKCYLRFPTLPFIPKQPYDVIATDYDNFALVSGSKDRSFIQIYSRTPNPGPEFIEKYKFYLANFGYDPSKITDTPQDCQSMTDSRLTAMMSMSEMQQALTNQFPALELKRSVQFDPFTSVFDTLKKLVQLYFK >ONI13186 pep chromosome:Prunus_persica_NCBIv2:G4:12995987:12999311:1 gene:PRUPE_4G208600 transcript:ONI13186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQICLCVLISKATTNCIRGVPGKIMMKCCSEQSISSKPLTRHVLSSFAATLVFVSQINQAVASDASHQRNIYELANAPEKTVTLPLDKGSDEGGEKLMMMRGMTANNFNPIRYSGRWFEVASLKRGFSGQGQEDCHCTQGVYTFDMEKRAIQVDTFCVHGSPDGYITGIRGNVQCLSEEDLEKNETVLEKQEMIKEKCYLRFPTLPFIPKQPYDVIATDYDNFALVSGSKDRSFIQIYSRTPNPGPEFIEKYKFYLANFGYDPSKITDTPQDCQSMTDSRLTAMMSMSEMQQALTNQFPALELKRSVQFDPFTSVFDTLKKLVQLYFK >ONI13184 pep chromosome:Prunus_persica_NCBIv2:G4:12995987:12999311:1 gene:PRUPE_4G208600 transcript:ONI13184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHALVQTAPLLLQGSPSPPPHVSNCRGVPGKIMMKCCSEQSISSKPLTRHVLSSFAATLVFVSQINQAVASDASHQRNIYELANAPEKTVTLPLDKGSDEGGEKLMMMRGMTANNFNPIRYSGRWFEVASLKRGFSGQGQEDCHCTQGVYTFDMEKRAIQVDTFCVHGSPDGYITGIRGNVQCLSEEDLEKNETVLEKQEMIKEKCYLRFPTLPFIPKQPYDVIATDYDNFALVSGSKDRSFIQIYSRTPNPGPEFIEKYKFYLANFGYDPSKITDTPQDCQSMTDSRLTAMMSMSEMQQALTNQFPALELKRSVQFDPFTSVFDTLKKLVQLYFK >ONI13187 pep chromosome:Prunus_persica_NCBIv2:G4:12995987:12999311:1 gene:PRUPE_4G208600 transcript:ONI13187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRGMTANNFNPIRYSGRWFEVASLKRGFSGQGQEDCHCTQGVYTFDMEKRAIQVDTFCVHGSPDGYITGIRGNVQCLSEEDLEKNETVLEKQEMIKEKCYLRFPTLPFIPKQPYDVIATDYDNFALVSGSKDRSFIQIYSRTPNPGPEFIEKYKFYLANFGYDPSKITDTPQDCQSMTDSRLTAMMSMSEMQQALTNQFPALELKRSVQFDPFTSVFDTLKKLVQLYFK >ONI13691 pep chromosome:Prunus_persica_NCBIv2:G4:15645853:15649361:-1 gene:PRUPE_4G238600 transcript:ONI13691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQCPSFQVLLAFLLFMIMVLKIGKIRGKTNYSASNLPPGPWKLPVIGNLHRLAGSLPHHRLRDLAKKYGPLMHLKLGEVSAVVVSSAEFAKEVMKTHDLIFASRPTILAANILSYGSTDIGFAPYGEYWRQLRKICTLELLSTKRVQSFRPIREEEVVNLIQWIASRAGSPINLTQEIYSSTYTITSRTAFGKKTRDQEKFIYIVKEFVKAASGFALADIFPSVSLLHLLSRMRPKLERLHKEADKIMGNIIKEHQRNVVNTKSGEGEADEDLVDVLLKFHDHGNELEFSLTTENIKAVIFDIFAAGSETSSTAVDWAIAEMIKNPRVMNMAQNEVRELFNRKGQVDETCIREMKYLNLVIKETLRLHPPGPLLLPRECGEKCEIDGYEIPVKSKVIVNAWAIGRDPNYWNEPESFNPNRFLDNSIDYKGTNFEYIPFGAGRRMCPGVSFGLASVELPLALLLYHFDWKLPNGMKHEDLDMTEAFGATVKRKHDLHLIPIPYHPPSTEKSQV >ONI11112 pep chromosome:Prunus_persica_NCBIv2:G4:4334046:4344466:1 gene:PRUPE_4G088300 transcript:ONI11112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVWNYVVTAHKPTNVTNSCVGNFTGPQELNLIIAKCTRIEILLLTPLGLQPILDVPIYGRIATLQLFRPHGEAQDFLFIATERYKFCVLQWDAETSELITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIQFLYGCSKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWAQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSANAFKAIPIRPSITRAYGRVDADGSRYLLGDHAGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVYIGSSYGDSQLVKLNLQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRVVRNGIGINEQASVELQGIKGMWSLRSSTDDPHDTFLVVSFISETRILAMNLEDELEETEIEGFCSQVQTLFCHDAVFNQLVQVTSSSVRLVSSTSRELKNEWFAPAGYSINVATANATQVLLATGGKHLVYLEIGDGVLTQKTHAQLDFEISCLDINPIGENPNYSQLAAVGMWTDISVQIYSLPDLSRITKEHLGGEIIPRSVLLCAFEGISYLLCALGDGHLLNFILNTSTGELTDRKKVSLGTQPITLRTFSSKSTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFAISSVKYNQSSAEDSETHFIRLLDDQSFDLITYYQLDAFEYGCSILSCSFSDDSNVYYCVGTAYVLPEENEPTKGRILVFTVEDGKLQLVAEKETKGAVYSLNAFNGKLLAAINQKIQIYKWTLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEESSIEERARDYNANWMSAVEILDDDVYLGAENFFNLFSVRKNSEGATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTVIFGTVNGVIGVIASLPQEQYVFLEKLQSNLRKVIKGVGGLSHEQWRSFNNEKKTVDAKNFLDGDLIESFLDLSRSKMDEISKQMRVSVEELCKRVEELTRLH >ONI11113 pep chromosome:Prunus_persica_NCBIv2:G4:4334046:4344466:1 gene:PRUPE_4G088300 transcript:ONI11113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVWNYVVTAHKPTNVTNSCVGNFTGPQELNLIIAKCTRIEILLLTPLGLQPILDVPIYGRIATLQLFRPHGEAQDFLFIATERYKFCVLQWDAETSELITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIQFLYGCSKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWAQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSANAFKAIPIRPSITRAYGRVDADGSRYLLGDHAGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVYIGSSYGDSQLVKLNLQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRVVRNGIGINEQASVELQGIKGMWSLRSSTDDPHDTFLVVSFISETRILAMNLEDELEETEIEGFCSQVQTLFCHDAVFNQLVQVLLATGGKHLVYLEIGDGVLTQKTHAQLDFEISCLDINPIGENPNYSQLAAVGMWTDISVQIYSLPDLSRITKEHLGGEIIPRSVLLCAFEGISYLLCALGDGHLLNFILNTSTGELTDRKKVSLGTQPITLRTFSSKSTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFAISSVKYNQSSAEDSETHFIRLLDDQSFDLITYYQLDAFEYGCSILSCSFSDDSNVYYCVGTAYVLPEENEPTKGRILVFTVEDGKLQLVAEKETKGAVYSLNAFNGKLLAAINQKIQIYKWTLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEESSIEERARDYNANWMSAVEILDDDVYLGAENFFNLFSVRKNSEGATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTVIFGTVNGVIGVIASLPQEQYVFLEKLQSNLRKVIKGVGGLSHEQWRSFNNEKKTVDAKNFLDGDLIESFLDLSRSKMDEISKQMRVSVEELCKRVEELTRLH >ONI09665 pep chromosome:Prunus_persica_NCBIv2:G4:186078:189336:-1 gene:PRUPE_4G002500 transcript:ONI09665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAGLDRFKKAQSLEPFSLNPNSNSKSTPLPSLSHSHQAQHQPPPPPLAPPEPAPLVGVEQTPQQHLTQLGGGQSTWQPPDWAIDPRPGVYYLEVVKEGQVLDRITLDRRRNIFGRQSQTCDFVLDHQSVSRQHAVVVPHKNGSIYVIDLGSAHGTFVANERVTKDTPVELEVGQSLRFAASTRTYILRKNDAALFPRPSLPAEVYLPPPPDPSDEEAVVAYNTLLNRHGLTRFDIGPMSRESGDSGAKGDTQQPERASKRIRKARVSFRDQVGGELVEVVGVSDGVDVETEPGPIGVKEGSLVGKYESLVQITVIPKGKEQLSAKEDNSSQKGMTEKLQQVLNRVKTAPKGGIYDDLYGDSFSGKVGSSWAYPSAGSSGKQGSPSRETDEKALSVKVGTNSSHADDDEDDLFGD >ONI09664 pep chromosome:Prunus_persica_NCBIv2:G4:186052:189336:-1 gene:PRUPE_4G002500 transcript:ONI09664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAGLDRFKKAQSLEPFSLNPNSNSKSTPLPSLSHSHQAQHQPPPPPLAPPEPAPLVGVEQTPQQHLTQLGGGQSTWQPPDWAIDPRPGVYYLEVVKEGQVLDRITLDRRRNIFGRQSQTCDFVLDHQSVSRQHAVVVPHKNGSIYVIDLGSAHGTFVANERVTKDTPVELEVGQSLRFAASTRTYILRKNDAALFPRPSLPAEVYLPPPPDPSDEEAVVAYNTLLNRHGLTRFDIGPMSRESGDSGAKGDTQQPERASKRIRKARVSFRDQVGGELVEVVGVSDGVDVETEPGPIGVKEGSLVGKYESLVQITVIPKGKEQLSAKEDNSSQKGMTEKLQQVLNRVKTAPKGGIYDDLYGDSFSGKVGSSWAYPSAGSSGKQGSPSRETDEKALSVKVGTNSSHADDDEDDLFGD >ONI09663 pep chromosome:Prunus_persica_NCBIv2:G4:186016:189336:-1 gene:PRUPE_4G002500 transcript:ONI09663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAGLDRFKKAQSLEPFSLNPNSNSKSTPLPSLSHSHQAQHQPPPPPLAPPEPAPLVGVEQTPQQHLTQLGGGQSTWQPPDWAIDPRPGVYYLEVVKEGQVLDRITLDRRRNIFGRQSQTCDFVLDHQSVSRQHAVVVPHKNGSIYVIDLGSAHGTFVANERVTKDTPVELEVGQSLRFAASTRTYILRKNDAALFPRPSLPAEVYLPPPPDPSDEEAVVAYNTLLNRHGLTRFDIGPMSRESGDSGAKGDTQQPERASKRIRKARVSFRDQVGGELVEVVGVSDGVDVETEPGPIGVKEGSLVGKYESLVQITVIPKGKEQLSAKEDNSSQKGMTEKLQQVLNRVKTAPKGGIYDDLYGDSFSGKVGSSWAYPSAGSSGKQGSPSRETDEKALSVKVGTNSSHADDDEDDLFGD >ONI14540 pep chromosome:Prunus_persica_NCBIv2:G4:25030407:25035064:-1 gene:PRUPE_4G286200 transcript:ONI14540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVYVSGTGRGGCRKPALYPLSLEASSLPISQLGNLDFYLDFSVESISQVAEDTLPTPPLGTSSVAADTALRLPAAQPPAHPFLSTSDTTAGTSPQLPAVLPPTPLFLSTSDITSGTSPQLPAVLPRGEIIDDSHKEDDVSISMGHLSEESMSWQDWENSFMAFKAFFDGGAKICEAITLGFHVDFPINLVRNLACAVFGVRAIHSMKLSHGSSEVKADADTLNIKQQELDSQRREVHAFSLAKCVTETTTRSSPRASFVLFGHSS >ONI09812 pep chromosome:Prunus_persica_NCBIv2:G4:522054:524656:-1 gene:PRUPE_4G010500 transcript:ONI09812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEGEIGNFIKVWLSVFLSLFYCYAFGKFVPKGFPRLLLIIPVVALFLDLPLHLSTIHLGGTTAFFISWLANFKLLLFAFGKGPLCSDPSISLPRFVAVACLPIKIQQNPPPKTPQFQTSNHQNGHNSNTNGHATTKQNPAPKSPLNYALKGLLLALLIKVYDYKEHLHPNLIWVMYCFHIYFALEIILLMAAALARALLGLELEPQFNEPYLSSSLQDFWGRRWNIMVTSILRPTVYEPVVALSTRVVGRRWAPLPAVMGTFIVSAIMHELIFYYLGRLPPTWEISWFFLLHGLCLVVEIAVKKAIAKRKKFQVPRLVSGPLTVAFVTGTVFWLFFPPLLRCNADVRALGEYAAVGAFFKNAGRAFASRAMNTSRSNW >ONI09762 pep chromosome:Prunus_persica_NCBIv2:G4:407216:409381:-1 gene:PRUPE_4G007800 transcript:ONI09762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRLHISTPLTIRLQSLLKTHCFAIFSAGYVTDTSDRVLNTNGSESENEWEKMLKPFDLNELRKSLVQITPIQLCKLLELPLDVPTSMEIFELVGAQKGYWHSFDVYYVLIDKLGAAGEFKVIDRLLMQIMEEGIVFRESLFIMIMKHYGRAGLPGQATRLLLDMRGVYSCEPTFRSYNVVLNILVAGNCPKVAPNVFYDMLSRGISPNVYTFGVVLKALCMVNEVDTACSLLRDMTKHGCVPNSVVYQTLIHALSKNNQVNEALRLLEEMFLMGCTPDVQTFNDIIHAFCKVNRTHEAARLVDRMLLRGFTPDDVTYGVLMHGLCRTGQVEEARALLNKVPSPNIVLFNTLINGYVMSGRFDEAKVVLYDGMLGSGCEPDVYAFNILIHGLCKKGRLGSARELVNEMEIKGCEPNVITYTILIDGFCKEGQLEEAGDVLNEMSYKGLSLNIVGYNRLISALCKDGKVHEALKVFSEISSNECKPDIFTFNSLIYGLCKVDKMEEALGLYRDMVLEGVIANTVTYNTLINAFLTRGAIQEALKLVNEMLFRGCPLDKITYNGLIKALCKAGAVEKARGLFEEMIMKGLHPNSISCNILINGLCRSGKVYDALEFLRDMIHRGLMPDIVTYNSLINGLCKLGRISEALNLFDRLQVEGMWPDVITYNTLISWHCKEGMIYDACLLLNRGVNNGLVPNHLTWYILVSNLFKERDEENQSYIV >ONI09764 pep chromosome:Prunus_persica_NCBIv2:G4:405472:409821:-1 gene:PRUPE_4G007800 transcript:ONI09764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPFDLNELRKSLVQITPIQLCKLLELPLDVPTSMEIFELVGAQKGYWHSFDVYYVLIDKLGAAGEFKVIDRLLMQIMEEGIVFRESLFIMIMKHYGRAGLPGQATRLLLDMRGVYSCEPTFRSYNVVLNILVAGNCPKVAPNVFYDMLSRGISPNVYTFGVVLKALCMVNEVDTACSLLRDMTKHGCVPNSVVYQTLIHALSKNNQVNEALRLLEEMFLMGCTPDVQTFNDIIHAFCKVNRTHEAARLVDRMLLRGFTPDDVTYGVLMHGLCRTGQVEEARALLNKVPSPNIVLFNTLINGYVMSGRFDEAKVVLYDGMLGSGCEPDVYAFNILIHGLCKKGRLGSARELVNEMEIKGCEPNVITYTILIDGFCKEGQLEEAGDVLNEMSYKGLSLNIVGYNRLISALCKDGKVHEALKVFSEISSNECKPDIFTFNSLIYGLCKVDKMEEALGLYRDMVLEGVIANTVTYNTLINAFLTRGAIQEALKLVNEMLFRGCPLDKITYNGLIKALCKAGAVEKARGLFEEMIMKGLHPNSISCNILINGLCRSGKVYDALEFLRDMIHRGLMPDIVTYNSLINGLCKLGRISEALNLFDRLQVEGMWPDVITYNTLISWHCKEGMIYDACLLLNRGVNNGLVPNHLTWYILVSNLFKERDEENQSYIV >ONI09759 pep chromosome:Prunus_persica_NCBIv2:G4:407216:409381:-1 gene:PRUPE_4G007800 transcript:ONI09759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRLHISTPLTIRLQSLLKTHCFAIFSAGYVTDTSDRVLNTNGSESENEWEKMLKPFDLNELRKSLVQITPIQLCKLLELPLDVPTSMEIFELVGAQKGYWHSFDVYYVLIDKLGAAGEFKVIDRLLMQIMEEGIVFRESLFIMIMKHYGRAGLPGQATRLLLDMRGVYSCEPTFRSYNVVLNILVAGNCPKVAPNVFYDMLSRGISPNVYTFGVVLKALCMVNEVDTACSLLRDMTKHGCVPNSVVYQTLIHALSKNNQVNEALRLLEEMFLMGCTPDVQTFNDIIHAFCKVNRTHEAARLVDRMLLRGFTPDDVTYGVLMHGLCRTGQVEEARALLNKVPSPNIVLFNTLINGYVMSGRFDEAKVVLYDGMLGSGCEPDVYAFNILIHGLCKKGRLGSARELVNEMEIKGCEPNVITYTILIDGFCKEGQLEEAGDVLNEMSYKGLSLNIVGYNRLISALCKDGKVHEALKVFSEISSNECKPDIFTFNSLIYGLCKVDKMEEALGLYRDMVLEGVIANTVTYNTLINAFLTRGAIQEALKLVNEMLFRGCPLDKITYNGLIKALCKAGAVEKARGLFEEMIMKGLHPNSISCNILINGLCRSGKVYDALEFLRDMIHRGLMPDIVTYNSLINGLCKLGRISEALNLFDRLQVEGMWPDVITYNTLISWHCKEGMIYDACLLLNRGVNNGLVPNHLTWYILVSNLFKERDEENQSYIV >ONI09761 pep chromosome:Prunus_persica_NCBIv2:G4:404642:409582:-1 gene:PRUPE_4G007800 transcript:ONI09761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRLHISTPLTIRLQSLLKTHCFAIFSAGYVTDTSDRVLNTNGSESENEWEKMLKPFDLNELRKSLVQITPIQLCKLLELPLDVPTSMEIFELVGAQKGYWHSFDVYYVLIDKLGAAGEFKVIDRLLMQIMEEGIVFRESLFIMIMKHYGRAGLPGQATRLLLDMRGVYSCEPTFRSYNVVLNILVAGNCPKVAPNVFYDMLSRGISPNVYTFGVVLKALCMVNEVDTACSLLRDMTKHGCVPNSVVYQTLIHALSKNNQVNEALRLLEEMFLMGCTPDVQTFNDIIHAFCKVNRTHEAARLVDRMLLRGFTPDDVTYGVLMHGLCRTGQVEEARALLNKVPSPNIVLFNTLINGYVMSGRFDEAKVVLYDGMLGSGCEPDVYAFNILIHGLCKKGRLGSARELVNEMEIKGCEPNVITYTILIDGFCKEGQLEEAGDVLNEMSYKGLSLNIVGYNRLISALCKDGKVHEALKVFSEISSNECKPDIFTFNSLIYGLCKVDKMEEALGLYRDMVLEGVIANTVTYNTLINAFLTRGAIQEALKLVNEMLFRGCPLDKITYNGLIKALCKAGAVEKARGLFEEMIMKGLHPNSISCNILINGLCRSGKVYDALEFLRDMIHRGLMPDIVTYNSLINGLCKLGRISEALNLFDRLQVEGMWPDVITYNTLISWHCKEGMIYDACLLLNRGVNNGLVPNHLTWYILVSNLFKERDEENQSYIV >ONI09760 pep chromosome:Prunus_persica_NCBIv2:G4:405472:409556:-1 gene:PRUPE_4G007800 transcript:ONI09760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRLHISTPLTIRLQSLLKTHCFAIFSAGYVTDTSDRVLNTNGSESENEWEKMLKPFDLNELRKSLVQITPIQLCKLLELPLDVPTSMEIFELVGAQKGYWHSFDVYYVLIDKLGAAGEFKVIDRLLMQIMEEGIVFRESLFIMIMKHYGRAGLPGQATRLLLDMRGVYSCEPTFRSYNVVLNILVAGNCPKVAPNVFYDMLSRGISPNVYTFGVVLKALCMVNEVDTACSLLRDMTKHGCVPNSVVYQTLIHALSKNNQVNEALRLLEEMFLMGCTPDVQTFNDIIHAFCKVNRTHEAARLVDRMLLRGFTPDDVTYGVLMHGLCRTGQVEEARALLNKVPSPNIVLFNTLINGYVMSGRFDEAKVVLYDGMLGSGCEPDVYAFNILIHGLCKKGRLGSARELVNEMEIKGCEPNVITYTILIDGFCKEGQLEEAGDVLNEMSYKGLSLNIVGYNRLISALCKDGKVHEALKVFSEISSNECKPDIFTFNSLIYGLCKVDKMEEALGLYRDMVLEGVIANTVTYNTLINAFLTRGAIQEALKLVNEMLFRGCPLDKITYNGLIKALCKAGAVEKARGLFEEMIMKGLHPNSISCNILINGLCRSGKVYDALEFLRDMIHRGLMPDIVTYNSLINGLCKLGRISEALNLFDRLQVEGMWPDVITYNTLISWHCKEGMIYDACLLLNRGVNNGLVPNHLTWYILVSNLFKERDEENQSYIV >ONI09765 pep chromosome:Prunus_persica_NCBIv2:G4:405472:409816:-1 gene:PRUPE_4G007800 transcript:ONI09765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPFDLNELRKSLVQITPIQLCKLLELPLDVPTSMEIFELVGAQKGYWHSFDVYYVLIDKLGAAGEFKVIDRLLMQIMEEGIVFRESLFIMIMKHYGRAGLPGQATRLLLDMRGVYSCEPTFRSYNVVLNILVAGNCPKVAPNVFYDMLSRGISPNVYTFGVVLKALCMVNEVDTACSLLRDMTKHGCVPNSVVYQTLIHALSKNNQVNEALRLLEEMFLMGCTPDVQTFNDIIHAFCKVNRTHEAARLVDRMLLRGFTPDDVTYGVLMHGLCRTGQVEEARALLNKVPSPNIVLFNTLINGYVMSGRFDEAKVVLYDGMLGSGCEPDVYAFNILIHGLCKKGRLGSARELVNEMEIKGCEPNVITYTILIDGFCKEGQLEEAGDVLNEMSYKGLSLNIVGYNRLISALCKDGKVHEALKVFSEISSNECKPDIFTFNSLIYGLCKVDKMEEALGLYRDMVLEGVIANTVTYNTLINAFLTRGAIQEALKLVNEMLFRGCPLDKITYNGLIKALCKAGAVEKARGLFEEMIMKGLHPNSISCNILINGLCRSGKVYDALEFLRDMIHRGLMPDIVTYNSLINGLCKLGRISEALNLFDRLQVEGMWPDVITYNTLISWHCKEGMIYDACLLLNRGVNNGLVPNHLTWYILVSNLFKERDEENQSYIV >ONI09763 pep chromosome:Prunus_persica_NCBIv2:G4:404642:409819:-1 gene:PRUPE_4G007800 transcript:ONI09763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPFDLNELRKSLVQITPIQLCKLLELPLDVPTSMEIFELVGAQKGYWHSFDVYYVLIDKLGAAGEFKVIDRLLMQIMEEGIVFRESLFIMIMKHYGRAGLPGQATRLLLDMRGVYSCEPTFRSYNVVLNILVAGNCPKVAPNVFYDMLSRGISPNVYTFGVVLKALCMVNEVDTACSLLRDMTKHGCVPNSVVYQTLIHALSKNNQVNEALRLLEEMFLMGCTPDVQTFNDIIHAFCKVNRTHEAARLVDRMLLRGFTPDDVTYGVLMHGLCRTGQVEEARALLNKVPSPNIVLFNTLINGYVMSGRFDEAKVVLYDGMLGSGCEPDVYAFNILIHGLCKKGRLGSARELVNEMEIKGCEPNVITYTILIDGFCKEGQLEEAGDVLNEMSYKGLSLNIVGYNRLISALCKDGKVHEALKVFSEISSNECKPDIFTFNSLIYGLCKVDKMEEALGLYRDMVLEGVIANTVTYNTLINAFLTRGAIQEALKLVNEMLFRGCPLDKITYNGLIKALCKAGAVEKARGLFEEMIMKGLHPNSISCNILINGLCRSGKVYDALEFLRDMIHRGLMPDIVTYNSLINGLCKLGRISEALNLFDRLQVEGMWPDVITYNTLISWHCKEGMIYDACLLLNRGVNNGLVPNHLTWYILVSNLFKERDEENQSYIV >ONI13228 pep chromosome:Prunus_persica_NCBIv2:G4:13179611:13181356:1 gene:PRUPE_4G211300 transcript:ONI13228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATQATSKRSICPSCSKPIRLCLCTRIQTPGLHNSVSVTILQHSLEKNHPLNSTRIARLGLKNLNVVTVCDVNFEARFVIRSLDPYSQTGPVRNGSESSGFDQVVESRETQKLVFEYCDEVSSGKENVGESEFGLSANFPKEKNISSLIESDEKCKFGSEAQNQLGGVYFVSNSGLSTSDVLVVGQEHEFTDDKHLDGQDNADEVSTATTLDAVSKLSNKGFDSVEGVQTGNDVPVIAATIGKYGVISSLSHIWMAEGHCKNPNFDTILDNACDALAEGFVVQKLQKQPLKGSEELDEYLEFEMEVPPGSVLLFPSEEAVSVSDLEAMNIEVKNLIVLDGTWSKAKRMYVENPWLKLLPHLKLELDKTSLYDEVRLQPKPGFLSTIESIVYALQAVGDSTEGLDNLLDVFESMVEDQKRCKKERLSNVSQPG >ONI13845 pep chromosome:Prunus_persica_NCBIv2:G4:16661991:16672837:1 gene:PRUPE_4G249300 transcript:ONI13845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSASASVSVSASASASSTTTTTSGAHSDLLTRLTSSEFEVQLKALRELKNRIIGNRTKKLSFIKLGLVPPVAAILASKAQAQVQAQAQAQAQARHDSNLLVQSAAALGSFACGFDAGVRAVLDAGVFPNLFLLLSHPDDKVVDAGARSLRMIYQSKLAPKCDFLQEKNMEFLLSLLNSENENVTGLGASIIIHSCESTAEQKALCHAGVVKKLISLLKGSLSQRDNSLESLATVMKNNVEAVSEFVGSESGRALSSVIGLTKDRYPRTRLLASMCLIVIRNTSACYLQDIGIKTKLVHLLLELHDDPGQVGDEAPFAFSSLISEKPDLQKLAFEANAIDKLYNHLQKSQLHPKRFQGILLALADLCSNLESCRSRFLSLQALNLVSDALTHDSSDVRTAACMCLRCVSRSIKNLCAGNFLNEMIVPPLVRLLDDPSTSVQVAAISVIGNIAVDFTMRRSLFVQCGGVKQLVQLSKSMDSTVRLNALWALRNLMFLADNVCKEGIFMEFTASSLASVICDPEPFVQEQALALIRNLVDGCIKSVELVFTEDGMILDAVRRQLQNASTDEIWIQGMYVLSNVASGTEFHKEAVMHQLIPQEDNGTQSFILKFLQSNESQLRTAAVWAIVNLTFPSSPGSFSRLVKLHSAGIVSQIKSMVNDPCPDVKLRVRTALGQFTTFGDCST >ONI13851 pep chromosome:Prunus_persica_NCBIv2:G4:16662017:16671676:1 gene:PRUPE_4G249300 transcript:ONI13851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYQSKLAPKCDFLQEKNMEFLLSLLNSENENVTGLGASIIIHSCESTAEQKALCHAGVVKKLISLLKGSLSQRDNSLESLATVMKNNVEAVSEFVGSESGRALSSVIGLTKDRYPRTRLLASMCLIVIRNTSACYLQDIGIKTKLVHLLLELHDDPGQVGDEAPFAFSSLISEKPDLQKLAFEANAIDKLYNHLQKSQLHPKRFQGILLALADLCSNLESCRSRFLSLQALNLVSDALTHDSSDVRTAACMCLRCVSRSIKVAAISVIGNIAVDFTMRRSLFVQCGGVKQLVQLSKSMDSTVRLNALWALRNLMFLADNVCKEGIFMEFTASSLASVICDPEPFVQEQALALIRNLVDGCIKSVELVFTEDGMILDAVRRQLQNASTDEIWIQGMYVLSNVASGTEFHKEAVMHQLIPQEDNGTQSFILKFLQSNESQLRTAAVWAIVNLTFPSSPGSFSRLVKLHSAGIVSQIKSMVNDPCPDVKLRVRTALGQFTTFGDCST >ONI13849 pep chromosome:Prunus_persica_NCBIv2:G4:16665673:16670350:1 gene:PRUPE_4G249300 transcript:ONI13849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYQSKLAPKCDFLQEKNMEFLLSLLNSENENVTGLGASIIIHSCESTAEQKALCHAGVVKKLISLLKGSLSQRDNSLESLATVMKNNVEAVSEFVGSESGRALSSVIGLTKDRYPRTRLLASMCLIVIRNTSACYLQDIGIKTKLVHLLLELHDDPGQVGDEAPFAFSSLISEKPDLQKLAFEANAIDKLYNHLQKSQLHPKRFQGILLALADLCSNLESCRSRFLSLQALNLVSDALTHDSSDVRTAACMCLRCVSRSIKNLCAGNFLNEMIVPPLVRLLDDPSTSVQVAAISVIGNIAVDFTMRRSLFVQCGGVKQLVQLSKSMDSTVRLNALWALRNLMFLADNVCKEGIFMEFTASSLASVICDPEPFVQEQALALIRNLVDGCIKSVELVFTEDGMILDAVRRQLQNASTDEIWIQGMYVLSNVASGTEFHKEAVMHQLIPQEDNGTQSFILKFLQSNESQLRTAAVWAIVNLTFPSSPGSFSRLVKLHSAGIVSQIKSMVNDPCPDVKLRVRTALGQFTTFGDCST >ONI13844 pep chromosome:Prunus_persica_NCBIv2:G4:16661949:16671676:1 gene:PRUPE_4G249300 transcript:ONI13844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSASASVSVSASASASSTTTTTSGAHSDLLTRLTSSEFEVQLKALRELKNRIIGNRTKKLSFIKLGLVPPVAAILASKAQAQVQAQAQAQAQARHDSNLLVQSAAALGSFACGFDAGVRAVLDAGVFPNLFLLLSHPDDKVVDAGARSLRMIYQSKLAPKCDFLQEKNMEFLLSLLNSENENVTGLGASIIIHSCESTAEQKALCHAGVVKKLISLLKGSLSQRDNSLESLATVMKNNVEAVSEFVGSESGRALSSVIGLTKDRYPRTRLLASMCLIVIRNTSACYLQDIGIKTKLVHLLLELHDDPGQVGDEAPFAFSSLISEKPDLQKLAFEANAIDKLYNHLQKSQLHPKRFQGILLALADLCSNLESCRSRFLSLQALNLVSDALTHDSSDVRTAACMCLRCVSRSIKNLCAGNFLNEMIVPPLVRLLDDPSTSVQVAAISVIGNIAVDFTMRRSLFVQCGGVKQLVQLSKSMDSTVRLNALWALRNLMFLADNVCKEGIFMEFTASSLASVICDPEPFVQEQALALIRNLVDGCIKSVELVFTEDGMILDAVRRQLQNASTDEIWIQGMYVLSNVASGTEFHKEAVMHQLIPQEDNGTQSFILKFLQSNESQLRTAAVWAIVNLTFPSSPGSFSRLVKLHSAGIVSQIKSMVNDPCPDVKLRVRTALGQFTTFGDCST >ONI13843 pep chromosome:Prunus_persica_NCBIv2:G4:16661949:16671676:1 gene:PRUPE_4G249300 transcript:ONI13843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSASASVSVSASASASSTTTTTSGAHSDLLTRLTSSEFEVQLKALRELKNRIIGNRTKKLSFIKLGLVPPVAAILASKAQAQVQAQAQAQAQARHDSNLLVQSAAALGSFACGFDAGVRAVLDAGVFPNLFLLLSHPDDKVVDAGARSLRMIYQSKLAPKCDFLQEKNMEFLLSLLNSENENVTGLGASIIIHSCESTAEQKALCHAGVVKKLISLLKGSLSQRDNSLESLATVMKNNVEAVSEFVGSESGRALSSVIGLTKDRYPRTRLLASMCLIVIRNTSACYLQDIGIKTKLVHLLLELHDDPGQVGDEAPFAFSSLISEKPDLQKLAFEANAIDKLYNHLQKSQLHPKRFQGILLALADLCSNLESCRSRFLSLQALNLVSDALTHDSSDVRTAACMCLRCVSRSIKNLCAGNFLNEMIVPPLVRLLDDPSTSVQVAAISVIGNIAVDFTMRRSLFVQCGGVKQLVQLSKSMDSTVRLNALWALRNLMFLADNVCKEGIFMEFTASSLASVICDPEPFVQEQALALIRNLVDGCIKSVELVFTEDGMILDAVRRQLQNASTDEIWIQGMYVLSNVASGTEFHKEAVMHQLIPQEDNGTQSFILKFLQSNESQLRTAAVWAIVNLTFPSSPGSFSRLVKLHSAGIVSQIKSMVNDPCPDVKLRVRTALGQFTTFGDCST >ONI13848 pep chromosome:Prunus_persica_NCBIv2:G4:16662029:16671659:1 gene:PRUPE_4G249300 transcript:ONI13848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYQSKLAPKCDFLQEKNMEFLLSLLNSENENVTGLGASIIIHSCESTAEQKALCHAGVVKKLISLLKGSLSQRDNSLESLATVMKNNVEAVSEFVGSESGRALSSVIGLTKDRYPRTRLLASMCLIVIRNTSACYLQDIGIKTKLVHLLLELHDDPGQVGDEAPFAFSSLISEKPDLQKLAFEANAIDKLYNHLQKSQLHPKRFQGILLALADLCSNLESCRSRFLSLQALNLVSDALTHDSSDVRTAACMCLRCVSRSIKNLCAGNFLNEMIVPPLVRLLDDPSTSVQVAAISVIGNIAVDFTMRRSLFVQCGGVKQLVQLSKSMDSTVRLNALWALRNLMFLADNVCKEGIFMEFTASSLASVICDPEPFVQEQALALIRNLVDGCIKSVELVFTEDGMILDAVRRQLQNASTDEIWIQGMYVLSNVASGTEFHKEAVMHQLIPQEDNGTQSFILKFLQSNESQLRTAAVWAIVNLTFPSSPGSFSRLVKLHSAGIVSQIKSMVNDPCPDVKLRVRTALGQFTTFGDCST >ONI13847 pep chromosome:Prunus_persica_NCBIv2:G4:16662017:16672837:1 gene:PRUPE_4G249300 transcript:ONI13847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSASASVSVSASASASSTTTTTSGAHSDLLTRLTSSEFEVQLKALRELKNRIIGNRTKKLSFIKLGLVPPVAAILASKAQAQVQAQAQAQAQARHDSNLLVQSAAALGSFACGFDAGVRAVLDAGVFPNLFLLLSHPDDKVVDAGARSLRMIYQSKLAPKCDFLQEKNMEFLLSLLNSENENVTGLGASIIIHSCESTAEQKALCHAGVVKKLISLLKGSLSQRDNSLESLATVMKNNVEAVSEFVGSESGRALSSVIGLTKDRYPRTRLLASMCLIVIRNTSACYLQDIGIKTKLVHLLLELHDDPGQVGDEAPFAFSSLISEKPDLQKLAFEANAIDKLYNHLQKSQLHPKRFQGILLALADLCSNLESCRSRFLSLQALNLVSDALTHDSSDVRTAACMCLRCVSRSIKVAAISVIGNIAVDFTMRRSLFVQCGGVKQLVQLSKSMDSTVRLNALWALRNLMFLADNVCKEGIFMEFTASSLASVICDPEPFVQEQALALIRNLVDGCIKSVELVFTEDGMILDAVRRQLQNASTDEIWIQGMYVLSNVASGTEFHKEAVMHQLIPQEDNGTQSFILKFLQSNESQLRTAAVWAIVNLTFPSSPGSFSRLVKLHSAGIVSQIKSMVNDPCPDVKLRVRTALGQFTTFGDCST >ONI13846 pep chromosome:Prunus_persica_NCBIv2:G4:16662017:16671676:1 gene:PRUPE_4G249300 transcript:ONI13846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSASASVSVSASASASSTTTTTSGAHSDLLTRLTSSEFEVQLKALRELKNRIIGNRTKKLSFIKLGLVPPVAAILASKAQAQVQAQAQAQAQARHDSNLLVQSAAALGSFACGFDAGVRAVLDAGVFPNLFLLLSHPDDKVVDAGARSLRMIYQSKLAPKCDFLQEKNMEFLLSLLNSENENVTGLGASIIIHSCESTAEQKALCHAGVVKKLISLLKGSLSQRDNSLESLATVMKNNVEAVSEFVGSESGRALSSVIGLTKDRYPRTRLLASMCLIVIRNTSACYLQDIGIKTKLVHLLLELHDDPGQVGDEAPFAFSSLISEKPDLQKLAFEANAIDKLYNHLQKSQLHPKRFQGILLALADLCSNLESCRSRFLSLQALNLVSDALTHDSSDVRTAACMCLRCVSRSIKNLCAGNFLNEMIVPPLVRLLDDPSTSVQVAAISVIGNIAVDFTMRRSLFVQCGDNVCKEGIFMEFTASSLASVICDPEPFVQEQALALIRNLVDGCIKSVELVFTEDGMILDAVRRQLQNASTDEIWIQGMYVLSNVASGTEFHKEAVMHQLIPQEDNGTQSFILKFLQSNESQLRTAAVWAIVNLTFPSSPGSFSRLVKLHSAGIVSQIKSMVNDPCPDVKLRVRTALGQFTTFGDCST >ONI13850 pep chromosome:Prunus_persica_NCBIv2:G4:16662017:16671676:1 gene:PRUPE_4G249300 transcript:ONI13850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYQSKLAPKCDFLQEKNMEFLLSLLNSENENVTGLGASIIIHSCESTAEQKALCHAGVVKKLISLLKGSLSQRDNSLESLATVMKNNVEAVSEFVGSESGRALSSVIGLTKDRYPRTRLLASMCLIVIRNTSACYLQDIGIKTKLVHLLLELHDDPGQVGDEAPFAFSSLISEKPDLQKLAFEANAIDKLYNHLQKSQLHPKRFQGILLALADLCSNLESCRSRFLSLQALNLVSDALTHDSSDVRTAACMCLRCVSRSIKNLCAGNFLNEMIVPPLVRLLDDPSTSVQVAAISVIGNIAVDFTMRRSLFVQCGDNVCKEGIFMEFTASSLASVICDPEPFVQEQALALIRNLVDGCIKSVELVFTEDGMILDAVRRQLQNASTDEIWIQGMYVLSNVASGTEFHKEAVMHQLIPQEDNGTQSFILKFLQSNESQLRTAAVWAIVNLTFPSSPGSFSRLVKLHSAGIVSQIKSMVNDPCPDVKLRVRTALGQFTTFGDCST >ONI13030 pep chromosome:Prunus_persica_NCBIv2:G4:12194458:12196530:1 gene:PRUPE_4G198700 transcript:ONI13030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEASSTAQSQNSKADQIVRRTANYHPSIWGDQFMHYDSEDMITYAHKQQEVEELKLVVRREVFKTSADDFSTQMKLIDAIQHLGVAYHFEKEIEEALEHLYATNHFHDDDDGDGDLYNVSLGFRLLRQHGHNVSCRIFNKFKDTKNGGFKESLIADVLGMLSFYEATHLRVHGEDILEEALVFTTKHLESATTHVSYQLAEQILQALERPLRKGLERLCARRYMSTYQDEASHNEALLKLAKSDFNLVQSLHKQELSEIIRWWKELEFEKKLPFARDRIVELYFWVVGVYFEHQYLVGRKILTKVMALLSIMDDIYDAFGTFEELEIFTEAIQRWHVNCMDGLPADYMQIFYHAVLNVFNEIEEEMMKEGRAYRANYAKEAWKTSAKAYFDEAKWFHEGCIPNMEEYMRVATASVGNTIYTFNYIFTLHGRHCN >ONI14492 pep chromosome:Prunus_persica_NCBIv2:G4:24272077:24282207:-1 gene:PRUPE_4G283000 transcript:ONI14492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTTSKLKLVNWSISPYVGNLSFLKELILSNNSFIDEIPQQIGHLRRLQSLILVNNSLSGEIPANISSCFNLIEIHLGGNKLVGKIPNTLGSLSKLKKLGLYKSFLTGTMPPSFGTLSFLVSFVALENFLNGSIPESLGQSTSLNLFLVGENMLSGAVPASIFNISTLTALELMGNQIQGSLPSDMFKTLPNLQIINLGLNRFITGSILVSVSNASNLEQFIVKLYSLQEFSIDINYLGSGQAGNLSTTLVGFDVSFNRIHGGIPNGIGNLVNLNILFMNYNQFTGNIPADIGRLQKIGRLGLDNNKLSGHIPSSVGNLTELTTLELQGSDLEGSIPPSLGQCRKLLLLKLSQNKLSGSITQQVWGLSSLSVVLNLSRNHLTGSLPMEVGNVKGLSSLDLYDNMSSGELPEILASDSFQGTILLPFETLRGIQVLDLSRNNLSGKIPQYLEGLHLLNLNIFFNDFEGMLPVGRAFKNTSATSVVGNSKLCSSVVEFHLPKCNFPSKESKKRRMTLALKLVICVVPAFELIILVVFPISTSIKEEAKKRAPEAILRKFSTDWFTLTNLISVGSFGSVYKGVLDDGGAQLVAIKVFNLLRQGALKSVITESEALRNIRHRNLVKIITACSMLIFKALVYEFMENGNLDEWLHLPTRTKEPNNVLLDNELTGHVADFGLARFLSKLSSNISANQISSIGIRGSVGYAAPVTISDFGIVEYGMRSEVSTYGDVSSFGILLLEMFTGKKPTGYMFSDGLNLHNFVKTEIADAVLFLQGGIKDTPKQRSATAQKLEECLSLIFRTGIECSAESSRDRKGIRDAASALRSIRDVLL >ONI10004 pep chromosome:Prunus_persica_NCBIv2:G4:1073331:1074282:-1 gene:PRUPE_4G022700 transcript:ONI10004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVNTIASLAFNTFGTLQRDSPPVRLSPNYPEPPANPTEDAENFAEHAKLVSAALVKAAKQFDALVAALPLAEGGEEAQLKRIAELQAENDAVGQELQRQLEAAEKELKEVRELFSQAADNCLNLKKPD >ONI10001 pep chromosome:Prunus_persica_NCBIv2:G4:1073331:1074282:-1 gene:PRUPE_4G022700 transcript:ONI10001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVNTIASLAFNTFGTLQRDSPPVRLSPNYPEPPANPTEDAENFAEHAKLVSAALVKAAKQFDALVAALPLAEGGEEAQLKRIAELQAENDAVGQELQRQLEAAEKELKEVRELFSQAADNCLNLKKPD >ONI10005 pep chromosome:Prunus_persica_NCBIv2:G4:1073524:1075401:-1 gene:PRUPE_4G022700 transcript:ONI10005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVNTIASLAFNTFGTLQRDSPPVRLSPNYPEPPANPTEDAENFAEHAKLVSAALVKAAKQFDALVAALPLAEGGEEAQLKRIAELQAENDAVGQELQRQLEAAGVSFPSILLFLSYLCS >ONI10003 pep chromosome:Prunus_persica_NCBIv2:G4:1073331:1074282:-1 gene:PRUPE_4G022700 transcript:ONI10003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVNTIASLAFNTFGTLQRDSPPVRLSPNYPEPPANPTEDAENFAEHAKLVSAALVKAAKQFDALVAALPLAEGGEEAQLKRIAELQAENDAVGQELQRQLEAAEKELKEVRELFSQAADNCLNLKKPD >ONI10000 pep chromosome:Prunus_persica_NCBIv2:G4:1073101:1075401:-1 gene:PRUPE_4G022700 transcript:ONI10000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVNTIASLAFNTFGTLQRDSPPVRLSPNYPEPPANPTEDAENFAEHAKLFDALVAALPLAEGGEEAQLKRIAELQAENDAVGQELQRQLEAAEKELKEVRELFSQAADNCLNLKKPD >ONI09999 pep chromosome:Prunus_persica_NCBIv2:G4:1073101:1075401:-1 gene:PRUPE_4G022700 transcript:ONI09999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVNTIASLAFNTFGTLQRDSPPVRLSPNYPEPPANPTEDAENFAEHAKLFDALVAALPLAEGGEEAQLKRIAELQAENDAVGQELQRQLEAAEKELKEVRELFSQAADNCLNLKKPD >ONI10002 pep chromosome:Prunus_persica_NCBIv2:G4:1073101:1075401:-1 gene:PRUPE_4G022700 transcript:ONI10002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISQLQEQVNTIASLAFNTFGTLQRDSPPVRLSPNYPEPPANPTEDAENFAEHAKLVSAALVKAAKQFDALVAALPLAEGGEEAQLKRIAELQAENDAVGQELQRQLEAAEKELKEVRELFSQAADNCLNLKKPD >ONI13645 pep chromosome:Prunus_persica_NCBIv2:G4:15129485:15132000:-1 gene:PRUPE_4G234600 transcript:ONI13645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSMSVGCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEDNFNFPSAECFNYLFDVLNSGSTSDDKHKHTDTNYTDHERTAKDLISQIAHLQLQ >ONI13636 pep chromosome:Prunus_persica_NCBIv2:G4:15128903:15132831:-1 gene:PRUPE_4G234600 transcript:ONI13636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSSCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEDNFNFPSAECFNYLFDVLNSGSTSDDKHKHTDTNYTDHESQGLNLPDSPFATSVASGISAVI >ONI13641 pep chromosome:Prunus_persica_NCBIv2:G4:15128856:15132831:-1 gene:PRUPE_4G234600 transcript:ONI13641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSMSVGCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEDNFNFPSAECFNYLFDVLNSGSTSDDKHKHTDTNYTDHESQGLNLPDSPFATSVASGISAVI >ONI13640 pep chromosome:Prunus_persica_NCBIv2:G4:15128856:15132828:-1 gene:PRUPE_4G234600 transcript:ONI13640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSMSVGCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEDNFNFPSAECFNYLFDVLNSGSTSDDKHKHTDTNYTDHESQGLNLPDSPFATSVASGISAVI >ONI13642 pep chromosome:Prunus_persica_NCBIv2:G4:15128852:15132831:-1 gene:PRUPE_4G234600 transcript:ONI13642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSMSVGCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEDNFNFPSAECFNYLFDVLNSGSTSDDKHKHTDTNYTDHESQGLNLPDSPFATSVASGISAVI >ONI13639 pep chromosome:Prunus_persica_NCBIv2:G4:15128855:15132685:-1 gene:PRUPE_4G234600 transcript:ONI13639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSMSVGCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEDNFNFPSAECFNYLFDVLNSGSTSDDKHKHTDTNYTDHESQGLNLPDSPFATSVASGISAVI >ONI13644 pep chromosome:Prunus_persica_NCBIv2:G4:15128903:15132831:-1 gene:PRUPE_4G234600 transcript:ONI13644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSMSVGCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEVGLQVMINTSIQTPITLTMKAKDLISQIAHLQLQ >ONI13637 pep chromosome:Prunus_persica_NCBIv2:G4:15128903:15132831:-1 gene:PRUPE_4G234600 transcript:ONI13637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSIGCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEDNFNFPSAECFNYLFDVLNSGSTSDDKHKHTDTNYTDHESQGLNLPDSPFATSVASGISAVI >ONI13647 pep chromosome:Prunus_persica_NCBIv2:G4:15129485:15132000:-1 gene:PRUPE_4G234600 transcript:ONI13647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSMSVGCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEDNFNFPSAECFNYLFDVLNSGSTSDDKHKHTDTNYTDHERTAKDLISQIAHLQLQ >ONI13638 pep chromosome:Prunus_persica_NCBIv2:G4:15128903:15132685:-1 gene:PRUPE_4G234600 transcript:ONI13638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSIGCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEDNFNFPSAECFNYLFDVLNSGSTSDDKHKHTDTNYTDHESQGLNLPDSPFATSVASGISAVI >ONI13643 pep chromosome:Prunus_persica_NCBIv2:G4:15129485:15132000:-1 gene:PRUPE_4G234600 transcript:ONI13643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSSCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEDNFNFPSAECFNYLFDVLNSGSTSDDKHKHTDTNYTDHERTAKDLISQIAHLQLQ >ONI13646 pep chromosome:Prunus_persica_NCBIv2:G4:15129485:15132000:-1 gene:PRUPE_4G234600 transcript:ONI13646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEYTNAVYSRIQKLEPDNATKIIGYLLLQDYGEQEMARLAMAPEHLIHQVIVKAKTELQQLAAKSVASPISPSLNPVTGAFAPYLPVSSRPFSSPAAIRVPTAFWDAQLVAKQSPDFMPLGYPDSIAELQNQAQFLSLEDQIEPLNSGISGFSNDYYRDAEIDNLSVRTGRRFSSVTEFPVKICHYFNKGFCKHGSSCRYYHGQVIPESFSQMYGNDCINDDHVISPGSLEKLEWEITELLRSRRGIPISIASLPMMYYDKFGKSLQAEGYLTESQRHGKAGYSLTKLLARLGSSIRLIDRPHGQHAVILAEDSPKYADHRNEKGDPGPIVSGSRQIYLTFPAESTFSEDDVSNYFSTFGPVEDVRIPCQQKRMFGFVTFVHADTVKMILAKGNPHYVCGSRVLVKPYREKSKLVDRKHQERMDPAAYLSQHHADMESDFYSMSVGCDNSRLLRKKLVEEQEYAIELERMRLAGLHFARKPVANHPHSSYFMDGHKFSEDNFNFPSAECFNYLFDVLNSGSTSDDKHKHTDTNYTDHERTAKDLISQIAHLQLQ >ONI12432 pep chromosome:Prunus_persica_NCBIv2:G4:9555756:9560030:-1 gene:PRUPE_4G164500 transcript:ONI12432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYFEQRLRDEVIYLHSLWHQGPPTSSNPNTNPTTNNPNPNSYPNPTNTSNRKRNRKARNERERPNKKKPSQPDPPPHSGRPWPCPTPTHDSTPGASLPWPTKPNSAPATHRPSPEEQAKLAALKLQHNALDACRGLFLGNAGSDSEESESEYEEDESGDGDDYWVEGGESEVYKVLLNVFVEKSELRSYYEVNYENGEFYCLVCGGLGKNKWVKGCIGLVQHSISISNTKKKRAHRAFAQVVCRVLGWDFNRLPTIVLKGKRLGLSMEKPGQERGETEVNAGSSEGVSVVVEDNVAAENDANAEYGEKETFDDHQNKGKQLMICENSLKYDGTNESTERTEKGISETGTNKEAVDTSGIDQSLVSKIEWPCKESSVSSSTVLGWPTFSSHSASATCSIPVEEQARPATLLLQQKALKECQDFFAGYSGEDISEDEDEGDLMDEDRSDESEELKFFSKIFTDHGELRSFYVNNYEDGEFYCLVCGGVGKKVWKRFKGCVALLQHSTAILKTKKVAHRAYGQVIFKVLGLDIGQPPTVGSKDIPLDDGPLAKSDNLQGELEENADDHKDNVVVPKENLDSVSDHIGETVSKQESVSDHVVQSTGTSKTCELQGEVEENADDHKDIAAVLKKNLDSMSNHSGEIVSREESDVVPSNGASKTCEQRVSFTQLFIQQSRAESQENLDSMSGHGGEIVSKEESDVVQSNGASKTCKLQGELEENGDDHKANAVVLKESLGSMSGHNGEIVSTEESDVVQSDGTSKTSELQGKTQKNAGGPNEDLNVFMEI >ONI12436 pep chromosome:Prunus_persica_NCBIv2:G4:9556826:9559671:-1 gene:PRUPE_4G164500 transcript:ONI12436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYFEQRLRDEVIYLHSLWHQGPPTSSNPNTNPTTNNPNPNSYPNPTNTSNRKRNRKARNERERPNKKKPSQPDPPPHSGRPWPCPTPTHDSTPGASLPWPTKPNSAPATHRPSPEEQAKLAALKLQHNALDACRGLFLGNAGSDSEESESEYEEDESGDGDDYWVEGGESEVYKVLLNVFVEKSELRSYYEVNYENGEFYCLVCGGLGKNKWVKGCIGLVQHSISISNTKKKRAHRAFAQVVCRVLGWDFNRLPTIVLKGKRLGLSMEKPGQERGETEVNAGSSEGVSVVVEDNVAAENDANAEYGEKETFDDHQNKGKQLMICENSLKYDGTNESTERTEKGISETGTNKEAVDTSGIDQSLVSKIEWPCKESSVSSSTVLGWPTFSSHSASATCSIPVEEQARPATLLLQQKALKECQDFFAGYSGEDISEDEDEGDLMDEDRSDESEELKFFSKIFTDHGELRSFYVNNYEDGEFYCLVCGGVGKKVWKRFKGCVALLQHSTAILKTKKVAHRAYGQVIFKVLGLDIGQPPTVGSKDIPLDDGPLAKSDNLQGELEENADDHKDNVVVPKENLDSVSDHIGETVSKQESVSDHVVQSTGTSKTCELQGEVEENADDHKDIAAVLKKNLDSMSNHSGEIVSREESDVVPSNGASKTCEQRIHSSLQVSSISVVHSSTKSCTESHYYVMHN >ONI12431 pep chromosome:Prunus_persica_NCBIv2:G4:9555656:9560035:-1 gene:PRUPE_4G164500 transcript:ONI12431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYFEQRLRDEVIYLHSLWHQGPPTSSNPNTNPTTNNPNPNSYPNPTNTSNRKRNRKARNERERPNKKKPSQPDPPPHSGRPWPCPTPTHDSTPGASLPWPTKPNSAPATHRPSPEEQAKLAALKLQHNALDACRGLFLGNAGSDSEESESEYEEDESGDGDDYWVEGGESEVYKVLLNVFVEKSELRSYYEVNYENGEFYCLVCGGLGKNKWVKGCIGLVQHSISISNTKKKRAHRAFAQVVCRVLGWDFNRLPTIVLKGKRLGLSMEKPGQERGETEVNAGSSEGVSVVVEDNVAAENDANAEYGEKETFDDHQNKGKQLMICENSLKYDGTNESTERTEKGISETGTNKEAVDTSGIDQSLVSKIEWPCKESSVSSSTVLGWPTFSSHSASATCSIPVEEQARPATLLLQQKALKECQDFFAGYSGEDISEDEDEGDLMDEDRSDESEELKFFSKIFTDHGELRSFYVNNYEDGEFYCLVCGGVGKKVWKRFKGCVALLQHSTAILKTKKVAHRAYGQVIFKVLGLDIGQPPTVGSKDIPLDDGPLAKSDNLQGELEENADDHKDNVVVPKENLDSVSDHIGETVSKQESVSDHVVQSTGTSKTCELQGEVEENADDHKDIAAVLKKNLDSMSNHSGEIVSREESDVVPSNGASKTCEQRENLDSMSGHGGEIVSKEESDVVQSNGASKTCKLQGELEENGDDHKANAVVLKESLGSMSGHNGEIVSTEESDVVQSDGTSKTSELQGKTQKNAGGPNEDLNVFMEI >ONI12434 pep chromosome:Prunus_persica_NCBIv2:G4:9555756:9560030:-1 gene:PRUPE_4G164500 transcript:ONI12434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYFEQRLRDEVIYLHSLWHQGPPTSSNPNTNPTTNNPNPNSYPNPTNTSNRKRNRKARNERERPNKKKPSQPDPPPHSGRPWPCPTPTHDSTPGASLPWPTKPNSAPATHRPSPEEQAKLAALKLQHNALDACRGLFLGNAGSDSEESESEYEEDESGDGDDYWVEGGESEVYKVLLNVFVEKSELRSYYEVNYENGEFYCLVCGGLGKNKWVKGCIGLVQHSISISNTKKKRAHRAFAQVVCRVLGWDFNRLPTIVLKGKRLGLSMEKPGQERGETEVNAGSSEGVSVVVEDNVAAENDANAEYGEKETFDDHQNKGKQLMICENSLKYDGTNESTERTEKGISETGTNKEAVDTSGIDQSLVSKIEWPCKESSVSSSTVLGWPTFSSHSASATCSIPVEEQARPATLLLQQKALKECQDFFAGYSGEDISEDEDEGDLMDEDRSDESEELKFFSKIFTDHGELRSFYVNNYEDGEFYCLVCGGVGKKVWKRFKGCVALLQHSTAILKTKKVAHRAYGQVIFKVLGLDIGQPPTVGSKDIPLDDGPLAKSDNLQGELEENADDHKDNVVVPKENLDSVSDHIGETVSKQESVSDHVVQSTGTSKTCELQGEVEENADDHKDIAAVLKKNLDSMSNHSGEIVSREESDVVPSNGASKTCEQRFIAGKLRLYEWSWW >ONI12430 pep chromosome:Prunus_persica_NCBIv2:G4:9554502:9560030:-1 gene:PRUPE_4G164500 transcript:ONI12430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYFEQRLRDEVIYLHSLWHQGPPTSSNPNTNPTTNNPNPNSYPNPTNTSNRKRNRKARNERERPNKKKPSQPDPPPHSGRPWPCPTPTHDSTPGASLPWPTKPNSAPATHRPSPEEQAKLAALKLQHNALDACRGLFLGNAGSDSEESESEYEEDESGDGDDYWVEGGESEVYKVLLNVFVEKSELRSYYEVNYENGEFYCLVCGGLGKNKWVKGCIGLVQHSISISNTKKKRAHRAFAQVVCRVLGWDFNRLPTIVLKGKRLGLSMEKPGQERGETEVNAGSSEGVSVVVEDNVAAENDANAEYGEKETFDDHQNKGKQLMICENSLKYDGTNESTERTEKGISETGTNKEAVDTSGIDQSLVSKIEWPCKESSVSSSTVLGWPTFSSHSASATCSIPVEEQARPATLLLQQKALKECQDFFAGYSGEDISEDEDEGDLMDEDRSDESEELKFFSKIFTDHGELRSFYVNNYEDGEFYCLVCGGVGKKVWKRFKGCVALLQHSTAILKTKKVAHRAYGQVIFKVLGLDIGQPPTVGSKDIPLDDGPLAKSDNLQGELEENADDHKDNVVVPKENLDSVSDHIGETVSKQESVSDHVVQSTGTSKTCELQGEVEENADDHKDIAAVLKKNLDSMSNHSGEIVSREESDVVPSNGASKTCEQRENLDSMSGHGGEIVSKEESDVVQSNGASKTCKLQGELEENGDDHKANAVVLKESLGSMSGHNGEIVSTEESDVVQSDGTSKTSELQGKTQKNAGGPNEDLNVFMEI >ONI12429 pep chromosome:Prunus_persica_NCBIv2:G4:9555706:9560030:-1 gene:PRUPE_4G164500 transcript:ONI12429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYFEQRLRDEVIYLHSLWHQGPPTSSNPNTNPTTNNPNPNSYPNPTNTSNRKRNRKARNERERPNKKKPSQPDPPPHSGRPWPCPTPTHDSTPGASLPWPTKPNSAPATHRPSPEEQAKLAALKLQHNALDACRGLFLGNAGSDSEESESEYEEDESGDGDDYWVEGGESEVYKVLLNVFVEKSELRSYYEVNYENGEFYCLVCGGLGKNKWVKGCIGLVQHSISISNTKKKRAHRAFAQVVCRVLGWDFNRLPTIVLKGKRLGLSMEKPGQERGETEVNAGSSEGVSVVVEDNVAAENDANAEYGEKETFDDHQNKGKQLMICENSLKYDGTNESTERTEKGISETGTNKEAVDTSGIDQSLVSKIEWPCKESSVSSSTVLGWPTFSSHSASATCSIPVEEQARPATLLLQQKALKECQDFFAGYSGEDISEDEDEGDLMDEDRSDESEELKFFSKIFTDHGELRSFYVNNYEDGEFYCLVCGGVGKKVWKRFKGCVALLQHSTAILKTKKVAHRAYGQVIFKVLGLDIGQPPTVGSKDIPLDDGPLAKSDNLQGELEENADDHKDNVVVPKENLDSVSDHIGETVSKQESVSDHVVQSTGTSKTCELQGEVEENADDHKDIAAVLKKNLDSMSNHSGEIVSREESDVVPSNGASKTCEQRGELEENGDDHKANAVVLKESLGSMSGHNGEIVSTEESDVVQSDGTSKTSELQGKTQKNAGGPNEDLNVFMEI >ONI12435 pep chromosome:Prunus_persica_NCBIv2:G4:9555756:9560030:-1 gene:PRUPE_4G164500 transcript:ONI12435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYFEQRLRDEVIYLHSLWHQGPPTSSNPNTNPTTNNPNPNSYPNPTNTSNRKRNRKARNERERPNKKKPSQPDPPPHSGRPWPCPTPTHDSTPGASLPWPTKPNSAPATHRPSPEEQAKLAALKLQHNALDACRGLFLGNAGSDSEESESEYEEDESGDGDDYWVEGGESEVYKVLLNVFVEKSELRSYYEVNYENGEFYCLVCGGLGKNKWVKGCIGLVQHSISISNTKKKRAHRAFAQVVCRVLGWDFNRLPTIVLKGKRLGLSMEKPGQERGETEVNAGSSEGVSVVVEDNVAAENDANAEYGEKETFDDHQNKGKQLMICENSLKYDGTNESTERTEKGISETGTNKEAVDTSGIDQSLVSKIEWPCKESSVSSSTVLGWPTFSSHSASATCSIPVEEQARPATLLLQQKALKECQDFFAGYSGEDISEDEDEGDLMDEDRSDESEELKFFSKIFTDHGELRSFYVNNYEDGEFYCLVCGGVGKKVWKRFKGCVALLQHSTAILKTKKVAHRAYGQVIFKVLGLDIGQPPTVGSKDIPLDDGPLAKSDNLQGELEENADDHKDNVVVPKENLDSVSDHIGETVSKQESVSDHVVQSTGTSKTCELQGEVEENADDHKDIAAVLKKNLDSMSNHSGEIVSREESDVVPSNGASKTCEQRVSSISVVHSSTKSCTESHYYVMHN >ONI12437 pep chromosome:Prunus_persica_NCBIv2:G4:9556854:9559671:-1 gene:PRUPE_4G164500 transcript:ONI12437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYFEQRLRDEVIYLHSLWHQGPPTSSNPNTNPTTNNPNPNSYPNPTNTSNRKRNRKARNERERPNKKKPSQPDPPPHSGRPWPCPTPTHDSTPGASLPWPTKPNSAPATHRPSPEEQAKLAALKLQHNALDACRGLFLGNAGSDSEESESEYEEDESGDGDDYWVEGGESEVYKVLLNVFVEKSELRSYYEVNYENGEFYCLVCGGLGKNKWVKGCIGLVQHSISISNTKKKRAHRAFAQVVCRVLGWDFNRLPTIVLKGKRLGLSMEKPGQERGETEVNAGSSEGVSVVVEDNVAAENDANAEYGEKETFDDHQNKGKQLMICENSLKYDGTNESTERTEKGISETGTNKEAVDTSGIDQSLVSKIEWPCKESSVSSSTVLGWPTFSSHSASATCSIPVEEQARPATLLLQQKALKECQDFFAGYSGEDISEDEDEGDLMDEDRSDESEELKFFSKIFTDHGELRSFYVNNYEDGEFYCLVCGGVGKKVWKRFKGCVALLQHSTAILKTKKVAHRAYGQVIFKVLGLDIGQPPTVGSKDIPLDDGPLAKSDNLQGELEENADDHKDNVVVPKENLDSVSDHIGETVSKQESVSDHVVQSTGTSKTCELQGEVEENADDHKDIAAVLKKNLDSMSNHSGEIVSREESDVVPSNGASKTCEQRFIAGEFHLRCSLLNKVMY >ONI12433 pep chromosome:Prunus_persica_NCBIv2:G4:9555655:9560030:-1 gene:PRUPE_4G164500 transcript:ONI12433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYFEQRLRDEVIYLHSLWHQGPPTSSNPNTNPTTNNPNPNSYPNPTNTSNRKRNRKARNERERPNKKKPSQPDPPPHSGRPWPCPTPTHDSTPGASLPWPTKPNSAPATHRPSPEEQAKLAALKLQHNALDACRGLFLGNAGSDSEESESEYEEDESGDGDDYWVEGGESEVYKVLLNVFVEKSELRSYYEVNYENGEFYCLVCGGLGKNKWVKGCIGLVQHSISISNTKKKRAHRAFAQVVCRVLGWDFNRLPTIVLKGKRLGLSMEKPGQERGETEVNAGSSEGVSVVVEDNVAAENDANAEYGEKETFDDHQNKGKQLMICENSLKYDGTNESTERTEKGISETGTNKEAVDTSGIDQSLVSKIEWPCKESSVSSSTVLGWPTFSSHSASATCSIPVEEQARPATLLLQQKALKECQDFFAGYSGEDISEDEDEGDLMDEDRSDESEELKFFSKIFTDHGELRSFYVNNYEDGEFYCLVCGGVGKKVWKRFKGCVALLQHSTAILKTKKVAHRAYGQVIFKVLGLDIGQPPTVGSKDIPLDDGPLAKSDNLQGELEENADDHKDNVVVPKENLDSVSDHIGETVSKQESVSDHVVQSTGTSKTCELQGEVEENADDHKDIAAVLKKNLDSMSNHSGEIVSREESDVVPSNGASKTCEQRENLDSMSGHGGEIVSKEESDVVQSNGASKTCKLQGELEENGDDHKANAVVLKESLGSMSGHNGEIVSTEESDVVQSDGTSKTR >ONI10913 pep chromosome:Prunus_persica_NCBIv2:G4:3684805:3688998:1 gene:PRUPE_4G075300 transcript:ONI10913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEPLLPYVGSPRRKLSPLHTLCPLPEENEFTAPLTPSEFKDRIIFGPSPSSPQDSSPLVDALTLPYSSPRASRPPSSSSLLDAIATTPKDPQPQQPLQSWLIDPNYSWTKTNLHRSKTAPAMAVINEVENRRSDPRPQFGSQSIVRQAFILLVIYLSLGVTIYWFNRHHFSAIETHPVVDALYFCIVTMCTIGYGDITPTSTATKLFSIMFVLVGFGFIDILLSGMVSYVLDLQENYLLRSLKGVGEKKESYIFDVKKGRMRIRMKVGLALGVVVLCIGIGVGVMHFVERLGWLDSFYLSVMSVTTVGYGDRAFQSLSGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRIMAKWVLGQDMTVSEFLAADIDNNGFVSKSEYVIYKLKEMGKVSEKDVMQICTQFDRLDSGNCGKISLADLMSRH >ONI10914 pep chromosome:Prunus_persica_NCBIv2:G4:3684805:3689310:1 gene:PRUPE_4G075300 transcript:ONI10914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEPLLPYVGSPRRKLSPLHTLCPLPEENEFTAPLTPSEFKDRIIFGPSPSSPQDSSPLVDALTLPYSSPRASRPPSSSSLLDAIATTPKDPQPQQPLQSWLIDPNYSWTKTNLHRSKTAPAMAVINEVENRRSDPRPQFGSQSIVRQAFILLVIYLSLGVTIYWFNRHHFSAIETHPVVDALYFCIVTMCTIGYGDITPTSTATKLFSIMFVLVGFGFIDILLSGMVSYVLDLQENYLLRSLKGVGEKKESYIFDVKKGRMRIRMKVGLALGVVVLCIGIGVGVMHFVERLGWLDSFYLSVMSVTTVGYGDRAFQSLSGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRIMAKWVLGQDMTVSEFLAADIDNNGFVSKSEYVIYKLKEMGKVSEKDVMQICTQFDRLDSGNCGKISLADLMSRH >ONI10912 pep chromosome:Prunus_persica_NCBIv2:G4:3684805:3688777:1 gene:PRUPE_4G075300 transcript:ONI10912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEPLLPYVGSPRRKLSPLHTLCPLPEENEFTAPLTPSEFKDRIIFGPSPSSPQDSSPLVDALTLPYSSPRASRPPSSSSLLDAIATTPKDPQPQQPLQSWLIDPNYSWTKTNLHRSKTAPAMAVINEVENRRSDPRPQFGSQSIVRQAFILLVIYLSLGVTIYWFNRHHFSAIETHPVVDALYFCIVTMCTIGYGDITPTSTATKLFSIMFVLVGFGFIDILLSGMVSYVLDLQENYLLRSLKGVGEKKESYIFDVKKGRMRIRMKVGLALGVVVLCIGIGVGVMHFVERLGWLDSFYLSVMSVTTVGYGDRAFQSLSGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRIMAKWVLGQDMTVSEFLAADIDNNGFVSKSEYVIYKLKEMGKVSEKDVMQICTQFDRLDSGNCGKISLADLMSRH >ONI10916 pep chromosome:Prunus_persica_NCBIv2:G4:3684795:3688680:1 gene:PRUPE_4G075300 transcript:ONI10916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEPLLPYVGSPRRKLSPLHTLCPLPEENEFTAPLTPSEFKDRIIFGPSPSSPQDSSPLVDALTLPYSSPRASRPPSSSSLLDAIATTPKDPQPQQPLQSWLIDPNYSWTKTNLHRSKTAPAMAVINEVENRRSDPRPQFGSQSIVRQAFILLVIYLSLGVTIYWFNRHHFSAIETHPVVDALYFCIVTMCTIGYGDITPTSTATKLFSIMFVLVGFGFIDILLSGMVSYVLDLQENYLLRSLKGVGEKKESYIFDVKKGRMRIRMKVGLALGVVVLCIGIGVGVMHFVERLGWLDSFYLSVMSVTTVGYGDRAFQSLSGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRIMAKWVLGQDMTVSEFLAADIDNNGFVSKSEYVIYKLKEMGKVSEKDVMQICTQFDRLDSGNCGKISLADLMSRH >ONI10915 pep chromosome:Prunus_persica_NCBIv2:G4:3684738:3688777:1 gene:PRUPE_4G075300 transcript:ONI10915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEPLLPYVGSPRRKLSPLHTLCPLPEENEFTAPLTPSEFKDRIIFGPSPSSPQDSSPLVDALTLPYSSPRASRPPSSSSLLDAIATTPKDPQPQQPLQSWLIDPNYSWTKTNLHRSKTAPAMAVINEVENRRSDPRPQFGSQSIVRQAFILLVIYLSLGVTIYWFNRHHFSAIETHPVVDALYFCIVTMCTIGYGDITPTSTATKLFSIMFVLVGFGFIDILLSGMVSYVLDLQENYLLRSLKGVGEKKESYIFDVKKGRMRIRMKVGLALGVVVLCIGIGVGVMHFVERLGWLDSFYLSVMSVTTVGYGDRAFQSLSGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRIMAKWVLGQDMTVSEFLAADIDNNGFVSKSEYVIYKLKEMGKVSEKDVMQICTQFDRLDSGNCGKISLADLMSRH >ONI11137 pep chromosome:Prunus_persica_NCBIv2:G4:4416925:4434304:-1 gene:PRUPE_4G089200 transcript:ONI11137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNLVQSGEDPAEKPGIPEKQASVRSSETVKRVAKTVKPGAAATSKVSVPTSSVRKKVDPKSGLDPSSSANKSSVTVSSRSLNSVPVARRNSTGGLPQKPAVSTTRQQNNAAAAPSAVKKSTDTVRRSLPELRRSSLPSAGTTKSSTRTSISEVRKSVPGSPLDRSLNKSTGSQVTKQETVRKPSVKPALSVSSSSSSSRRVTSSLDSSASSGVRKSVSKVSSSSARSPTVTSGLRSGSLSSSLDRSSSLSGRRKAATPEGRDSRLIVLPKVEIKAGDDLRLDLRGHRVRSLKASGLNLSPNLEFVYLRDNLLSMLEGVEILTRVKVLDLSFNDFKGPGFEPLENCKVLQQLYLAGNQITSLASLPQLPNLEFLSVAQNKLKSLTMASQPRLQVLAASKNKISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSREEVAIAKRYPAHTSLCIRDGWEFCRPEHATDSTFCFLVEQWKDHLPPGFLVKEASVEKPFEEDTCRCQFTVVQENTLGVDPQLILKYQWFVGERTPSNFTIIPDATGEVYWPKHEDIGKILKVECSPVLGEMEYPSIFAISSPVSPGSGIPKVVNLDVRGDLVEGNTIKGHAEVAWCGGTPGKGVSSWLRRKWNSSPVVIAGAEDEEYRLTIDDIDSSLVFMYTPVTEEGAKGEPHYKYTDFVKSAPPSVNNVHIVGDVVEGSTIRGVGDYFGGREGPSKFEWLCEHRDTGDFVLVSTGTSEYTLTKEDVGHRLAFVYIPINFEGHEGESVSILSDVVKQAPPKVINLKIIGELRENSKITATGTVTGGTEGSSRVQWYKTSSSILDGEKGLEVLSTSKIAKAFRIPLGAVGYYIVAKFTPMTPDGESGEPAYVVSDRAVETLPPSLNFLSITGDCTEGEILTASYGYIGGHEGKSIYSWYLHEVETDSGSLIPEVNGILQYRIAKDAIGKFISFQCTPVRDDGIVGEPRTCMSQERVRPGSPRLLSLQIIGNATEGTTLSVEKKYWGGEEGDSVFYWFRTTSDGTQTEIRGATTASYMLSIDDIDFFISVSCEPVRSDWARGPTVLSEQIGPVIAGPPTCRSLEFLGSVIEGQRLSFIASYSGGEKGNCSHEWFRVKRNGVKEILSTQDFLDLTLDDVGTCIELVYTPMRKDGMRGNPKKIQSDVVAPADPVGLELEIPDCCEDDNLVPRKTYFGGEEGVGEYIWYRTKNKLHGSALLDISNACEDVVICGKTLTYTPVLEDVGAYLALYWLPTRSDGKCGKALVAICNFPVAPALPVVSNVRVKELSLGVYAGEGEYFGGYEGSSLFSWYRETNEGTIVLISGANSNTYEVTDADYNCRLLFGYTPVRSDSVVGELRLSETTDIILPELPRLEMLALTGKAIEGDILTVVEVIPESENQQLVWNKYKKDVRYQWYFSSKVGDEKTFELLPAQHSCSYKMRLEDVGRCLKCECIVTDVFGRSTEPVYAETGPILPGIPRIDKLEIEGRGFHTNLYAVRGNYSGGKEGKSRIQWLRSMVGSPDLISIPGEVGRMYESNVDDVGYRLVAIYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLDIGSVKFETLCDKDQSMKKAPAVGSLERRILEVNRKRVKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDQHRLKIVVDSENEVDLMVQSRHLRDVIVLVIRGLAQRFNSTSLNTLLKIET >ONI11138 pep chromosome:Prunus_persica_NCBIv2:G4:4416785:4435201:-1 gene:PRUPE_4G089200 transcript:ONI11138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNLVQSGEDPAEKPGIPEKQASVRSSETVKRVAKTVKPGAAATSKVSVPTSSVRKKVDPKSGLDPSSSANKSSVTVSSRSLNSVPVARRNSTGGLPQKPAVSTTRQQNNAAAAPSAVKKSTDTVRRSLPELRRSSLPSAGTTKSSTRTSISEVRKSVPGSPLDRSLNKSTGSQVTKQETVRKPSVKPALSVSSSSSSSRRVTSSLDSSASSGVRKSVSKVSSSSARSPTVTSGLRSGSLSSSLDRSSSLSGRRKAATPEGRDSRLIVLPKVEIKAGDDLRLDLRGHRVRSLKASGLNLSPNLEFVYLRDNLLSMLEGVEILTRVKVLDLSFNDFKGPGFEPLENCKVLQQLYLAGNQITSLASLPQLPNLEFLSVAQNKLKSLTMASQPRLQVLAASKNKISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSREEVAIAKRYPAHTSLCIRDGWEFCRPEHATDSTFCFLVEQWKDHLPPGFLVKEASVEKPFEEDTCRCQFTVVQENTLGVDPQLILKYQWFVGERTPSNFTIIPDATGEVYWPKHEDIGKILKVECSPVLGEMEYPSIFAISSPVSPGSGIPKVVNLDVRGDLVEGNTIKGHAEVAWCGGTPGKGVSSWLRRKWNSSPVVIAGAEDEEYRLTIDDIDSSLVFMYTPVTEEGAKGEPHYKYTDFVKSAPPSVNNVHIVGDVVEGSTIRGVGDYFGGREGPSKFEWLCEHRDTGDFVLVSTGTSEYTLTKEDVGHRLAFVYIPINFEGHEGESVSILSDVVKQAPPKVINLKIIGELRENSKITATGTVTGGTEGSSRVQWYKTSSSILDGEKGLEVLSTSKIAKAFRIPLGAVGYYIVAKFTPMTPDGESGEPAYVVSDRAVETLPPSLNFLSITGDCTEGEILTASYGYIGGHEGKSIYSWYLHEVETDSGSLIPEVNGILQYRIAKDAIGKFISFQCTPVRDDGIVGEPRTCMSQERVRPGSPRLLSLQIIGNATEGTTLSVEKKYWGGEEGDSFQCTPVRDDGIVGEPRTCMSQERVRPGSPRLLSLQIIGNATEGTTLSVEKKYWGGEEGDSVFYWFRTTSDGTQTEIRGATTASYMLSIDDIDFFISVSCEPVRSDWARGPTVLSEQIGPVIAGPPTCRSLEFLGSVIEGQRLSFIASYSGGEKGNCSHEWFRVKRNGVKEILSTQDFLDLTLDDVGTCIELVYTPMRKDGMRGNPKKIQSDVVAPADPVGLELEIPDCCEDDNLVPRKTYFGGEEGVGEYIWYRTKNKLHGSALLDISNACEDVVICGKTLTYTPVLEDVGAYLALYWLPTRSDGKCGKALVAICNFPVAPALPVVSNVRVKELSLGVYAGEGEYFGGYEGSSLFSWYRETNEGTIVLISGANSNTYEVTDADYNCRLLFGYTPVRSDSVVGELRLSETTDIILPELPRLEMLALTGKAIEGDILTVVEVIPESENQQLVWNKYKKDVRYQWYFSSKVGDEKTFELLPAQHSCSYKMRLEDVGRCLKCECIVTDVFGRSTEPVYAETGPILPGEVGRMYESNVDDVGYRLVAIYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLDIGSVKFETLCDKDQSMKKAPAVGSLERRILEVNRKRVKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDQHRLKIVVDSENEVDLMVQSRHLRDVIVLVIRGLAQRFNSTSLNTLLKIET >ONI11139 pep chromosome:Prunus_persica_NCBIv2:G4:4416925:4434304:-1 gene:PRUPE_4G089200 transcript:ONI11139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNLVQSGEDPAEKPGIPEKQASVRSSETVKRVAKTVKPGAAATSKVSVPTSSVRKKVDPKSGLDPSSSANKSSVTVSSRSLNSVPVARRNSTGGLPQKPAVSTTRQQNNAAAAPSAVKKSTDTVRRSLPELRRSSLPSAGTTKSSTRTSISEVRKSVPGSPLDRSLNKSTGSQVTKQETVRKPSVKPALSVSSSSSSSRRVTSSLDSSASSGVRKSVSKVSSSSARSPTVTSGLRSGSLSSSLDRSSSLSGRRKAATPEGRDSRLIVLPKVEIKAGDDLRLDLRGHRVRSLKASGLNLSPNLEFVYLRDNLLSMLEGVEILTRVKVLDLSFNDFKGPGFEPLENCKVLQQLYLAGNQITSLASLPQLPNLEFLSVAQNKLKSLTMASQPRLQVLAASKNKISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSREEVAIAKRYPAHTSLCIRDGWEFCRPEHATDSTFCFLVEQWKDHLPPGFLVKEASVEKPFEEDTCRCQFTVVQENTLGVDPQLILKYQWFVGERTPSNFTIIPDATGEVYWPKHEDIGKILKVECSPVLGEMEYPSIFAISSPVSPGSGIPKVVNLDVRGDLVEGNTIKGHAEVAWCGGTPGKGVSSWLRRKWNSSPVVIAGAEDEEYRLTIDDIDSSLVFMYTPVTEEGAKGEPHYKYTDFVKSAPPSVNNVHIVGDVVEGSTIRGVGDYFGGREGPSKFEWLCEHRDTGDFVLVSTGTSEYTLTKEDVGHRLAFVYIPINFEGHEGESVSILSDVVKQAPPKVINLKIIGELRENSKITATGTVTGGTEGSSRVQWYKTSSSILDGEKGLEVLSTSKIAKAFRIPLGAVGYYIVAKFTPMTPDGESGEPAYVVSDRAVETLPPSLNFLSITGDCTEGEILTASYGYIGGHEGKSIYSWYLHEVETDSGSLIPEVNGILQYRIAKDAIGKFISFQCTPVRDDGIVGEPRTCMSQERVRPGSPRLLSLQIIGNATEGTTLSVEKKYWGGEEGDSFQCTPVRDDGIVGEPRTCMSQERVRPGSPRLLSLQIIGNATEGTTLSVEKKYWGGEEGDSVFYWFRTTSDGTQTEIRGATTASYMLSIDDIDFFISVSCEPVRSDWARGPTVLSEQIGPVIAGPPTCRSLEFLGSVIEGQRLSFIASYSGGEKGNCSHEWFRVKRNGVKEILSTQDFLDLTLDDVGTCIELVYTPMRKDGMRGNPKKIQSDVVAPADPVGLELEIPDCCEDDNLVPRKTYFGGEEGVGEYIWYRTKNKLHGSALLDISNACEDVVICGKTLTYTPVLEDVGAYLALYWLPTRSDGKCGKALVAICNFPVAPALPVVSNVRVKELSLGVYAGEGEYFGGYEGSSLFSWYRETNEGTIVLISGANSNTYEVTDADYNCRLLFGYTPVRSDSVVGELRLSETTDIILPELPRLEMLALTGKAIEGDILTVVEVIPESENQQLVWNKYKKDVRYQWYFSSKVGDEKTFELLPAQHSCSYKMRLEDVGRCLKCECIVTDVFGRSTEPVYAETGPILPGIPRIDKLEIEGRGFHTNLYAVRGNYSGGKEGKSRIQWLRSMVGSPDLISIPGEVGRMYESNVDDVGYRLVAIYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLDIGSVKFETLCDKDQSMKKAPAVGSLERRILEVNRKRVKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDQHRLKIVVDSENEVDLMVQSRHLRDVIVLVIRGLAQRFNSTSLNTLLKIET >ONI13300 pep chromosome:Prunus_persica_NCBIv2:G4:13367514:13371321:-1 gene:PRUPE_4G214200 transcript:ONI13300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNERLRYLEAMAIYSEAIAMVEEYEQGLSNSPQLYETLEHRMTIAEAAQRLRLPLISKDGEIHEDEIEKCGMMSRSSLDSTCTSFTVSSSSNSTNCTTANSNSAANNNMSLSAADVVEPAIGGVPNCFLGITPGYLWQTQLQQTPFSMDMTEYQLCLSHEIEARLKAKCDVLADAFVMDDIDSSPRHQISSAPLPERIKLIIEETEREEAALQEDLYSADRKFSEYYNVLEQIVGVLMKLVKDLKLQHQHKYDEQQKIWLCKRCETMSAKLRKLKYSVLGQTYTRDSVPALYKIRKYLLDATKEADATYDKAITRLREYQDVDPHFDKIARQYHDIVKELENMQWTIHQVEMDLKHLPDQPNA >ONI13299 pep chromosome:Prunus_persica_NCBIv2:G4:13366303:13371741:-1 gene:PRUPE_4G214200 transcript:ONI13299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSPNPLTSTSNLPGRKCPTKDCVTWKPCEAIAMVEEYEQGLSNSPQLYETLEHRMTIAEAAQRLRLPLISKDGEIHEDEIEKCGMMSRSSLDSTCTSFTVSSSSNSTNCTTANSNSAANNNMSLSAADVVEPAIGGVPNCFLGITPGYLWQTQLQQTPFSMDMTEYQLCLSHEIEARLKAKCDVLADAFVMDDIDSSPRHQISSAPLPERIKLIIEETEREEAALQEDLYSADRKFSEYYNVLEQIVGVLMKLVKDLKLQHQHKYDEQQKIWLCKRCETMSAKLRKLKYSVLGQTYTRDSVPALYKIRKYLLDATKEADATYDKAITRLREYQDVDPHFDKIARQYHDIVKELENMQWTIHQVEMDLKHLPDQPNA >ONI13298 pep chromosome:Prunus_persica_NCBIv2:G4:13367514:13371598:-1 gene:PRUPE_4G214200 transcript:ONI13298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGLQLPGQQNLPPDVTHLIDQLERHCLAPDASLVSKSAYFDLQLAREEMSNERLRYLEAMAIYSEAIAMVEEYEQGLSNSPQLYETLEHRMTIAEAAQRLRLPLISKDGEIHEDEIEKCGMMSRSSLDSTCTSFTVSSSSNSTNCTTANSNSAANNNMSLSAADVVEPAIGGVPNCFLGITPGYLWQTQLQQTPFSMDMTEYQLCLSHEIEARLKAKCDVLADAFVMDDIDSSPRHQISSAPLPERIKLIIEETEREEAALQEDLYSADRKFSEYYNVLEQIVGVLMKLVKDLKLQHQHKYDEQQKIWLCKRCETMSAKLRKLKYSVLGQTYTRDSVPALYKIRKYLLDATKEADATYDKAITRLREYQDVDPHFDKIARQYHDIVKELENMQWTIHQVEMDLKHLPDQPNA >ONI13301 pep chromosome:Prunus_persica_NCBIv2:G4:13366303:13371427:-1 gene:PRUPE_4G214200 transcript:ONI13301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEYEQGLSNSPQLYETLEHRMTIAEAAQRLRLPLISKDGEIHEDEIEKCGMMSRSSLDSTCTSFTVSSSSNSTNCTTANSNSAANNNMSLSAADVVEPAIGGVPNCFLGITPGYLWQTQLQQTPFSMDMTEYQLCLSHEIEARLKAKCDVLADAFVMDDIDSSPRHQISSAPLPERIKLIIEETEREEAALQEDLYSADRKFSEYYNVLEQIVGVLMKLVKDLKLQHQHKYDEQQKIWLCKRCETMSAKLRKLKYSVLGQTYTRDSVPALYKIRKYLLDATKEADATYDKAITRLREYQDVDPHFDKIARQYHDIVKELENMQWTIHQVEMDLKHLPDQPNA >ONI11661 pep chromosome:Prunus_persica_NCBIv2:G4:6451071:6455646:1 gene:PRUPE_4G119100 transcript:ONI11661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPLHHPSHCLISNRQLKGSNYGWSPLPRYTVPTKDAVKGIRIQPIKAKAATEAPPFSLFQPPEAEGSASELEPADPDFYKIGYVRSMRAYGIEFKEGPDGFGVYASKDVEPLRRARVIMEIPLELMLTVSQKLPWMFFPDIVPVGHPIFDIINSTDPETDWDLRLACLLLYAFDREDNFWQLYGDFLPSADECPSLLLASEEELSELQNPNLASTMREQQRRALKFWEKNWHSGVPLKIKRLARDPERFIWALSMAQSRCIGMQMRIGALVQDANMLIPYADMLNHSFEPNCFFHWRFKDRMLEVMINAGKRIKKGDEMTVNYMSGQQNDLLMQRYGFSSPVNPWDVIQFSGNARIHLDSFLSVFNISGLPEEYYHNTGRLSNDGDTFVDGAIIAAARTLSTWSDGDVPPIPSMERRSVKELQEECRQMLAVYPTNSKQDQKILDSMSDASRTLEAAIKYRLHRKLFVEKVMQALDIYQERMLF >ONI11662 pep chromosome:Prunus_persica_NCBIv2:G4:6451028:6455646:1 gene:PRUPE_4G119100 transcript:ONI11662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPLHHPSHCLISNRQLKGSNYGWSPLPRYTVPTKDAVKGIRIQPIKAKAATEAPPFSLFQPPEAEGSASELEPADPDFYKIGYVRSMRAYGIEFKEGPDGFGVYASKDVEPLRRARVIMEIPLELMLTVSQKLPWMFFPDIVPVGHPIFDIINSTDPETDWDLRLACLLLYAFDREDNFWQLYGDFLPSADECPSLLLASEEELSELQNPNLASTMREQQRRALKFWEKNWHSGVPLKIKRLARDPERFIWALSMAQSRCIGMQMRIGALVQDANMLIPYADMLNHSFEPNCFFHWRFKDRMLEVMINAGKRIKKGDEMTVNYMSGQQNDLLMQRYGFSSPVNPWDVIQFSGNARIHLDSFLSVFNISGLPEEYYHNSRLSNDGDTFVDGAIIAAARTLSTWSDGDVPPIPSMERRSVKELQEECRQMLAVYPTNSKQDQKILDSMSDASRTLEAAIKYRLHRKLFVEKVMQALDIYQERMLF >ONI13288 pep chromosome:Prunus_persica_NCBIv2:G4:13345534:13349812:1 gene:PRUPE_4G213700 transcript:ONI13288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMDDSTLARESDLNFAVDNVEKAYCYADEKSFEKRDCFNEDLIQHEQQSPPSIHADVDFKYEISESLPEKSCPVPTESDQDSAIETKFAHERERTESPFKDNNHYQDSAHSQESPLTERANQGVGEDDSNMGRPTAVPHAGRAKMIYSERSQYESVKSSIHEVEREASDQWKEQLLSSPRLHRWDNENKNDESPGQNNNPGIGNSDIQSLGRIARGSISPRIKRQMSLSPEDSPSCHLPNNREHLPSHQGSQDQSLAPRSHSQHISSPDHYLPPTQGIRQFSHHRDSSALKHISASPRLHASPPRSYHSPRRSRRSPPRSHHSQSNYGRRDRLESRSPIRHRDSFGFQRAYRERSRSRSPYSRAHHRSTRGRHSPMQRSPSTKNHSRHRSPRRKPWSPPPNRKTGLGKPGRNLFVAGFSFLTTERDLERKFSRYGRVRDVRIVRDKRSGDSRGFGFLTLERDEDADAAIRALDETEWNGRIILVEKSKT >ONI13287 pep chromosome:Prunus_persica_NCBIv2:G4:13345534:13350097:1 gene:PRUPE_4G213700 transcript:ONI13287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMDDSTLARESDLNFAVDNVEKAYCYADEKSFEKRDCFNEDLIQHEQQSPPSIHADVDFKYEISESLPEKSCPVPTESDQDSAIETKFAHERERTESPFKDNNHYQGEMDELHGSNFLNPSSQSKSQTFHIEMGTEDSAHSQESPLTERANQGVGEDDSNMGRPTAVPHAGRAKMIYSERSQYESVKSSIHEVEREASDQWKEQLLSSPRLHRWDNENKNDESPGQNNNPGIGNSDIQSLGRIARGSISPRIKRQMSLSPEDSPSCHLPNNREHLPSHQGSQDQSLAPRSHSQHISSPDHYLPPTQGIRQFSHHRDSSALKHISASPRLHASPPRSYHSPRRSRRSPPRSHHSQSNYGRRDRLESRSPIRHRDSFGFQRAYRERSRSRSPYSRAHHRSTRGRHSPMQRSPSTKNHSRHRSPRRKPWSPPPNRKTGLGKPGRNLFVAGFSFLTTERDLERKFSRYGRVRDVRIVRDKRSGDSRGFGFLTLERDEDADAAIRALDETEWNGRIILVEKSKT >ONI13291 pep chromosome:Prunus_persica_NCBIv2:G4:13345507:13349020:1 gene:PRUPE_4G213700 transcript:ONI13291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQNLFRKNLALSQLNLIKTVPLKQNLPMSERGLSLHSRTITITKESPLTERANQGVGEDDSNMGRPTAVPHAGRAKMIYSERSQYESVKSSIHEVEREASDQWKEQLLSSPRLHRWDNENKNDESPGQNNNPGIGNSDIQSLGRIARGSISPRIKRQMSLSPEDSPSCHLPNNREHLPSHQGSQDQSLAPRSHSQHISSPDHYLPPTQGIRQFSHHRDSSALKHISASPRLHASPPRSYHSPRRSRRSPPRSHHSQSNYGRRDRLESRSPIRHRDSFGFQRAYRERSRSRSPYSRAHHRSTRILYIEWYKVLKLNGYGA >ONI13289 pep chromosome:Prunus_persica_NCBIv2:G4:13347348:13349320:1 gene:PRUPE_4G213700 transcript:ONI13289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMDDSTLARESDLNFAVDNVEKAYCYADEKSFEKRDCFNEDLIQHEQQSPPSIHADVDFKYEISESLPEKSCPVPTESDQDSAIETKFAHERERTESPFKDNNHYQGEMDELHGSNFLNPSSQSKSQTFHIEMGTEDSAHSQESPLTERANQGVGEDDSNMGRPTAVPHAGRAKMIYSERSQYESVKSSIHEVEREASDQWKEQLLSSPRLHRWDNENKNDESPGQNNNPGIGNSDIQSLGRIARGSISPRIKRQMSLSPEDSPSCHLPNNREHLPSHQGSQDQSLAPRSHSQHISSPDHYLPPTQGIRQFSHHRDSSALKHISASPRLHASPPRSYHSPRRSRRSPPRSHHSQSNYGRRDRLESRSPIRHRDSFGFQRAYRERSRSRSPYSRAHHRSTRGRHSPMQRSPSTKNHSRHRSPRRKPWSPPPNRKTGLGKPGRNLFVAGFSFLTTERDLERKFSRYGRVRDVRIVRDKR >ONI13290 pep chromosome:Prunus_persica_NCBIv2:G4:13345507:13349812:1 gene:PRUPE_4G213700 transcript:ONI13290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQNLFRKNLALSQLNLIKTVPLKQNLPMSERGLSLHSRTITITKESPLTERANQGVGEDDSNMGRPTAVPHAGRAKMIYSERSQYESVKSSIHEVEREASDQWKEQLLSSPRLHRWDNENKNDESPGQNNNPGIGNSDIQSLGRIARGSISPRIKRQMSLSPEDSPSCHLPNNREHLPSHQGSQDQSLAPRSHSQHISSPDHYLPPTQGIRQFSHHRDSSALKHISASPRLHASPPRSYHSPRRSRRSPPRSHHSQSNYGRRDRLESRSPIRHRDSFGFQRAYRERSRSRSPYSRAHHRSTRGRHSPMQRSPSTKNHSRHRSPRRKPWSPPPNRKTGLGKPGRNLFVAGFSFLTTERDLERKFSRYGRVRDVRIVRDKRSGDSRGFGFLTLERDEDADAAIRALDETEWNGRIILVEKSKT >ONI10755 pep chromosome:Prunus_persica_NCBIv2:G4:3205798:3207551:1 gene:PRUPE_4G066400 transcript:ONI10755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTFFNSNNSNSRSKQFMSDQEENDNTTSSTPENSSGSPPPPTTNFSDFSKITSTSSPKKSARRAIQKRVVSIPIKGDNSNTPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSMLVITYSSEHNHPWPASRNHHNHHQSNSSSSAAASAAVTNKPGSNKTEAPEAQQPDHQDHDPTFADLNDESLLTHDEFGWFADMETTSSTVLESPIFTESGCAGGADSADMAAMVFPMGEEDESLFADLGELPECSLVFRHRGVGPQVQIC >ONI11178 pep chromosome:Prunus_persica_NCBIv2:G4:4552417:4556295:1 gene:PRUPE_4G091300 transcript:ONI11178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFYRVRSLNYVSKCFSSINALYLQSPIRRFYSEQATSHFESVGFVGLGNMGSRMANNLIKAGYRVAVHDINCNAMKKFSDMGVETKETPLEVAEASDVVITMLPSSSHVYDVYTGPNGLLHGGELRPWLLIDSSTIDPQTSRKLSLAVSNCTLKEKRDYAKKPAMLDAPVSGGVLAAEAGTLTFMVGGSEEAYLAAKSLFLSIGKNTVFCGGPGNGSAAKICNNLAMAISMLGVSESVALGQSLGISASTLTRVLNSSSGRCWSSDSYNPVPGVMEGVPSSRNYDGGFASKLMAKDLNLGATSAKEVGLKCPLTSQAQEIYTELCEDGHETEDFSCVFRHYYSGKNET >ONI11180 pep chromosome:Prunus_persica_NCBIv2:G4:4552417:4556295:1 gene:PRUPE_4G091300 transcript:ONI11180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFYRVRSLNYVSKCFSSINALYLQSPIRRFYSEQATSHFEVYDVYTGPNGLLHGGELRPWLLIDSSTIDPQTSRKLSLAVSNCTLKEKRDYAKKPAMLDAPVSGGVLAAEAGTLTFMVGGSEEAYLAAKSLFLSIGKNTVFCGGPGNGSAAKICNNLAMAISMLGVSESVALGQSLGISASTLTRVLNSSSGRCWSSDSYNPVPGVMEGVPSSRNYDGGFASKLMAKDLNLGATSAKEVGLKCPLTSQAQEIYTELCEDGHETEDFSCVFRHYYSGKNET >ONI11182 pep chromosome:Prunus_persica_NCBIv2:G4:4552575:4555851:1 gene:PRUPE_4G091300 transcript:ONI11182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNAFPQSTLFIFSLQYADFIQNKPRLILRNCNAMKKFSDMGVETKETPLEVAEASDVVITMLPSSSHVYDVYTGPNGLLHGGELRPWLLIDSSTIDPQTSRKLSLAVSNCTLKEKRDYAKKPAMLDAPVSGGVLAAEAGTLTFMVGGSEEAYLAAKSLFLSIGKNTVFCGGPGNGSAAKICNNLAMAISMLGVSESVALGQSLGISASTLTRVLNSSSGRCWSSDSYNPVPGVMEGVPSSRNYDGGFASKLMAKDLNLGATSAKEVGLKCPLTSQAQEIYTELCEDGHETEDFSCVFRHYYSGKNET >ONI11181 pep chromosome:Prunus_persica_NCBIv2:G4:4552417:4556295:1 gene:PRUPE_4G091300 transcript:ONI11181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFYRVRSLNYVSKCFSSINALYLQSPIRRFYSEQATSHFEVYDVYTGPNGLLHGGELRPWLLIDSSTIDPQTSRKLSLAVSNCTLKEKRDYAKKPAMLDAPVSGGVLAAEAGTLTFMAAKICNNLAMAISMLGVSESVALGQSLGISASTLTRVLNSSSGRCWSSDSYNPVPGVMEGVPSSRNYDGGFASKLMAKDLNLGATSAKEVGLKCPLTSQAQEIYTELCEDGHETEDFSCVFRHYYSGKNET >ONI11179 pep chromosome:Prunus_persica_NCBIv2:G4:4552417:4556295:1 gene:PRUPE_4G091300 transcript:ONI11179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFYRVRSLNYVSKCFSSINALYLQSPIRRFYSEQATSHFESVGFVGLGNMGSRMANNLIKAGYRVAVHDINCNAMKKFSDMGVETKETPLEVAEASDVVITMLPSSSHVYDVYTGPNGLLHGGELRPWLLIDSSTIDPQTSRKLSLAVSNCTLKEKRDYAKKPAMLDAPVSGGVLAAEAGTLTFMAAKICNNLAMAISMLGVSESVALGQSLGISASTLTRVLNSSSGRCWSSDSYNPVPGVMEGVPSSRNYDGGFASKLMAKDLNLGATSAKEVGLKCPLTSQAQEIYTELCEDGHETEDFSCVFRHYYSGKNET >ONI12528 pep chromosome:Prunus_persica_NCBIv2:G4:10037567:10040336:-1 gene:PRUPE_4G170000 transcript:ONI12528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12519 pep chromosome:Prunus_persica_NCBIv2:G4:10036656:10041291:-1 gene:PRUPE_4G170000 transcript:ONI12519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSIGRLTASHSTLNHFPLFGYVVEPTHFPQNTQHLNYHLPVLKNWPPLYAFVHSFPRFHSLGAMHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12531 pep chromosome:Prunus_persica_NCBIv2:G4:10036656:10040558:-1 gene:PRUPE_4G170000 transcript:ONI12531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12532 pep chromosome:Prunus_persica_NCBIv2:G4:10037258:10041629:-1 gene:PRUPE_4G170000 transcript:ONI12532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12527 pep chromosome:Prunus_persica_NCBIv2:G4:10036656:10040574:-1 gene:PRUPE_4G170000 transcript:ONI12527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12524 pep chromosome:Prunus_persica_NCBIv2:G4:10037567:10040805:-1 gene:PRUPE_4G170000 transcript:ONI12524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFWRLTASHSTLNHFPLFGYVVEPTHFPQNTQHLNYHLPVLKNWPPLYAFVHSFPRFHSLGAMHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12520 pep chromosome:Prunus_persica_NCBIv2:G4:10037567:10040805:-1 gene:PRUPE_4G170000 transcript:ONI12520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFWRLTASHSTLNHFPLFGYVVEPTHFPQNTQHLNYHLPVLKNWPPLYAFVHSFPRFHSLGAMHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12526 pep chromosome:Prunus_persica_NCBIv2:G4:10037567:10040805:-1 gene:PRUPE_4G170000 transcript:ONI12526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFWRLTASHSTLNHFPLFGYVVEPTHFPQNTQHLNYHLPVLKNWPPLYAFVHSFPRFHSLGAMHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12525 pep chromosome:Prunus_persica_NCBIv2:G4:10037567:10040805:-1 gene:PRUPE_4G170000 transcript:ONI12525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFWRLTASHSTLNHFPLFGYVVEPTHFPQNTQHLNYHLPVLKNWPPLYAFVHSFPRFHSLGAMHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12523 pep chromosome:Prunus_persica_NCBIv2:G4:10037056:10041284:-1 gene:PRUPE_4G170000 transcript:ONI12523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFWRLTASHSTLNHFPLFGYVVEPTHFPQNTQHLNYHLPVLKNWPPLYAFVHSFPRFHSLGAMHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12517 pep chromosome:Prunus_persica_NCBIv2:G4:10036656:10041665:-1 gene:PRUPE_4G170000 transcript:ONI12517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFHLRLTASHSTLNHFPLFGYVVEPTHFPQNTQHLNYHLPVLKNWPPLYAFVHSFPRFHSLGAMHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12518 pep chromosome:Prunus_persica_NCBIv2:G4:10036656:10041629:-1 gene:PRUPE_4G170000 transcript:ONI12518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSIGRLTASHSTLNHFPLFGYVVEPTHFPQNTQHLNYHLPVLKNWPPLYAFVHSFPRFHSLGAMHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12522 pep chromosome:Prunus_persica_NCBIv2:G4:10037217:10041629:-1 gene:PRUPE_4G170000 transcript:ONI12522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFWRLTASHSTLNHFPLFGYVVEPTHFPQNTQHLNYHLPVLKNWPPLYAFVHSFPRFHSLGAMHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12534 pep chromosome:Prunus_persica_NCBIv2:G4:10037567:10039671:-1 gene:PRUPE_4G170000 transcript:ONI12534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12533 pep chromosome:Prunus_persica_NCBIv2:G4:10036656:10041629:-1 gene:PRUPE_4G170000 transcript:ONI12533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12521 pep chromosome:Prunus_persica_NCBIv2:G4:10037567:10040805:-1 gene:PRUPE_4G170000 transcript:ONI12521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFWRLTASHSTLNHFPLFGYVVEPTHFPQNTQHLNYHLPVLKNWPPLYAFVHSFPRFHSLGAMHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12529 pep chromosome:Prunus_persica_NCBIv2:G4:10037567:10040336:-1 gene:PRUPE_4G170000 transcript:ONI12529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12516 pep chromosome:Prunus_persica_NCBIv2:G4:10037093:10041629:-1 gene:PRUPE_4G170000 transcript:ONI12516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFHLRLTASHSTLNHFPLFGYVVEPTHFPQNTQHLNYHLPVLKNWPPLYAFVHSFPRFHSLGAMHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12530 pep chromosome:Prunus_persica_NCBIv2:G4:10037382:10041629:-1 gene:PRUPE_4G170000 transcript:ONI12530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSADMAEKFDILNVCHSILGMNSYEKQSSIREVQSMHHTDSPDSISKEEKERQRRKKIGLANKGRVPWNKGRKHSSETCARIKQRTTEALKDPKVRKKMSEHPRPHSAESKAKMRSSLRRVWGQRLKWKRLREKLFLSWVESIAEAAKKGGRGQQELCWDSYEKIKQKLHLQELQLAAEKKKEKAKERTKQRATTAEQVKEKNMARIACERRKDGEVYEDTEELTVLQGRNCKQRLMKLERKTSTNGQVAARGDIVMSHISAFEKLDLELMKREKMQKEFSFADQIKAAKNKRMELTMEALSSVHAANKKPGEYA >ONI12967 pep chromosome:Prunus_persica_NCBIv2:G4:11843737:11849953:-1 gene:PRUPE_4G194800 transcript:ONI12967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKGGQGKRDFGFVHFAERSSALKAVKDAEKYEIDACSKLSIYN >ONI12969 pep chromosome:Prunus_persica_NCBIv2:G4:11843409:11849953:-1 gene:PRUPE_4G194800 transcript:ONI12969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKGGQGKRDFGFVHFAERSSALKAVKDAEKYEIDACSKLSIYN >ONI12970 pep chromosome:Prunus_persica_NCBIv2:G4:11844116:11844313:-1 gene:PRUPE_4G194800 transcript:ONI12970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKGGQGKRDFGFVHFAERSSALKAVKDAEKYEIDGTYFASNQELFENLRSSEIDLSFRSCFD >ONI12966 pep chromosome:Prunus_persica_NCBIv2:G4:11842982:11849953:-1 gene:PRUPE_4G194800 transcript:ONI12966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKGGQGKRDFGFVHFAERSSALKAVKDAEKYEIDARMINWL >ONI12968 pep chromosome:Prunus_persica_NCBIv2:G4:11843408:11849953:-1 gene:PRUPE_4G194800 transcript:ONI12968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKGGQGKRDFGFVHFAERSSALKAVKDAEKYEIDACSKLSIYN >ONI12965 pep chromosome:Prunus_persica_NCBIv2:G4:11843104:11844313:-1 gene:PRUPE_4G194800 transcript:ONI12965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKGGQGKRDFGFVHFAERSSALKAVKDAEKYEIDARMINWL >ONI10740 pep chromosome:Prunus_persica_NCBIv2:G4:3130563:3131582:1 gene:PRUPE_4G065100 transcript:ONI10740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSKPILNMEINLNSDFKKPKNLQTQIEKPSYISQLMTTTQISKGKKIHDSGYLIEIEISQAQLEMVGHLFISREWVFS >ONI12230 pep chromosome:Prunus_persica_NCBIv2:G4:8734057:8737000:1 gene:PRUPE_4G152400 transcript:ONI12230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDEKKKGAASDHQQYPHPPEYGTFQGVANYPPPPPPHQPPPTGFPQPVPPPGAADPPAPHPQYYTQGYQTVQGYAVAEGRPVRERRLPCCGIGCGWFLLFLLQLLSSSVQQREVMPGNLRGRNCIACKIDTYTSPDS >ONI12231 pep chromosome:Prunus_persica_NCBIv2:G4:8734057:8737000:1 gene:PRUPE_4G152400 transcript:ONI12231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDEKKKGAASDHQQYPHPPEYGTFQGVANYPPPPPPHQPPPTGFPQPVPPPGAADPPAPHPQYYTQGYQTVQGYAVAEGRPVRERRLPCCGIGCGWFLFIIGFFLATVPWYIGALIMLCARIDHREKPGYIACAVGAVLATIAIILGATKGGNAW >ONI12232 pep chromosome:Prunus_persica_NCBIv2:G4:8734057:8735324:1 gene:PRUPE_4G152400 transcript:ONI12232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDEKKKGAASDHQQYPHPPEYGTFQGVANYPPPPPPHQPPPTGFPQPVPPPGAADPPAPHPQYYTQGYQTVQGYAVAEGRPVRERRLPCCGIGCGWFL >ONI09717 pep chromosome:Prunus_persica_NCBIv2:G4:300439:302471:1 gene:PRUPE_4G004900 transcript:ONI09717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKVDLQCHKCYKKVKKVLCKFPQIRDQIYDEKQNQVVIKVVCCSPEKIRDRICCKGGGAIKGIEIKEPEKPKPPPPADKPKQAPPADKPKPPPPADKPKPPPPADKPKPPPAADKPKPPPPEDKPKPPPPADKPKPPPEDKPKPPPADNPKPPPPPAPVPICYPPPNVNACCMDCYHGHPGGPCQTGYGGIAPYIQYDGYYGRPVYDSYGGGRSYNTNYCVARSDCFSEENPQGCTVM >ONI09716 pep chromosome:Prunus_persica_NCBIv2:G4:300439:302471:1 gene:PRUPE_4G004900 transcript:ONI09716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEKETIMVLKVDLQCHKCYKKVKKVLCKFPQIRDQIYDEKQNQVVIKVVCCSPEKIRDRICCKGGGAIKGIEIKEPEKPKPPPPADKPKQAPPADKPKPPPPADKPKPPPPADKPKPPPAADKPKPPPPEDKPKPPPPADKPKPPPEDKPKPPPADNPKPPPPPAPVPICYPPPNVNACCMDCYHGHPGGPCQTGYGGIAPYIQYDGYYGRPVYDSYGGGRSYNTNYCVARSDCFSEENPQGCTVM >ONI11394 pep chromosome:Prunus_persica_NCBIv2:G4:5381684:5387162:1 gene:PRUPE_4G104500 transcript:ONI11394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQYLFLGNNSLSGPLPSQKGDLLHTIDLSYNYLSGSFPEWVNTISQFNLVVNNFTFDSSNITLPGLNCLQRNFPCNRNTPRYTDFSIKCGGPQMRVSGGILYEAEDSALGPATFNVASTQKWAVSNVGLFNDMMDQYDRMLQSFGKTTRTQVSGTDVTPKLFETSRQSPGSLRYYGLGLHNGPYTVTLQFAETVFVSRTTQTWQSLGRRVFDIYIQGNLIRKDFDISEEAGGVNIAVARLFKVHVTENYLDIHLFWAGKGTCCNPDLGNYGPLISAVHAASDTPTTPGMKSRIGLIVGIAIPVGVVIWLLLFAVLYMRRKRSEKDDDEDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAMKQLSVASHQGKSQFLTEIATISAVQHRNLVKLFGCCIERTQRILVYEYLENKSLDQALFGRNDLHLDWPTRFNILLGTARGLAYLHEESRPRIVHRDVKASNILLDAKLSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEIISGRPNSDNNLDPEKIYLLEWAWTLHENDQSLGLVDPRLTEFDENDATRLIKAALLCTQASPMMRPSMSRVVAMLSGDIEASTVMSKPSYLTDWDFKDVTTTSSFLLDDDTSSTESIVVHK >ONI11393 pep chromosome:Prunus_persica_NCBIv2:G4:5376210:5387162:1 gene:PRUPE_4G104500 transcript:ONI11393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCGLSGEIPSTFANLINMQILYASDIPLSGKIPAFIGNWTKLTVLRFQGNSFEGPIPTSFSQLTSLKTLRISDISNVSSSLDFIRNLKNLTELVLRNALINGSIPSDIGDYQNLTIINLGFNNLTGQLPSSLFNMSSLQYLFLGNNSLSGPLPSQKGDLLHTIDLSYNYLSGSFPEWVNTISQFNLVVNNFTFDSSNITLPGLNCLQRNFPCNRNTPRYTDFSIKCGGPQMRVSGGILYEAEDSALGPATFNVASTQKWAVSNVGLFNDMMDQYDRMLQSFGKTTRTQVSGTDVTPKLFETSRQSPGSLRYYGLGLHNGPYTVTLQFAETVFVSRTTQTWQSLGRRVFDIYIQGNLIRKDFDISEEAGGVNIAVARLFKVHVTENYLDIHLFWAGKGTCCNPDLGNYGPLISAVHAASDTPTTPGMKSRIGLIVGIAIPVGVVIWLLLFAVLYMRRKRSEKDDDEDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAMKQLSVASHQGKSQFLTEIATISAVQHRNLVKLFGCCIERTQRILVYEYLENKSLDQALFGRNDLHLDWPTRFNILLGTARGLAYLHEESRPRIVHRDVKASNILLDAKLSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEIISGRPNSDNNLDPEKIYLLEWAWTLHENDQSLGLVDPRLTEFDENDATRLIKAALLCTQASPMMRPSMSRVVAMLSGDIEASTVMSKPSYLTDWDFKDVTTTSSFLLDDDTSSTESIVVHK >ONI11390 pep chromosome:Prunus_persica_NCBIv2:G4:5372435:5387162:1 gene:PRUPE_4G104500 transcript:ONI11390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSRRMLKQPWHLTVSVTFFCSVCFWFQVSMAQKTDPSEVRALNSIFQQWDTQAVPGLWNISGDPCSGSAVNGTPFEDPANNPSIKCDCTYEKNTTCHITLLRVFELNKRGVFPEEFVALRYLTFLKIDQNYFTGPLPAFIGNMSALTILSIAINSFSGPIPKELGNLKELTILSFEFNNFSGTLPPELGNLVKLEKLYMESCGLSGEIPSTFANLINMQILYASDIPLSGKIPAFIGNWTKLTVLRFQGNSFEGPIPTSFSQLTSLKTLRISDISNVSSSLDFIRNLKNLTELVLRNALINGSIPSDIGDYQNLTIINLGFNNLTGQLPSSLFNMSSLQYLFLGNNSLSGPLPSQKGDLLHTIDLSYNYLSGSFPEWVNTISQFNLVVNNFTFDSSNITLPGLNCLQRNFPCNRNTPRYTDFSIKCGGPQMRVSGGILYEAEDSALGPATFNVASTQKWAVSNVGLFNDMMDQYDRMLQSFGKTTRTQVSGTDVTPKLFETSRQSPGSLRYYGLGLHNGPYTVTLQFAETVFVSRTTQTWQSLGRRVFDIYIQGNLIRKDFDISEEAGGVNIAVARLFKVHVTENYLDIHLFWAGKGTCCNPDLGNYGPLISAVHAASDTPTTPGMKSRIGLIVGIAIPVGVVIWLLLFAVLYMRRKRSEKDDDEDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAMKQLSVASHQGKSQFLTEIATISAVQHRNLVKLFGCCIERTQRILVYEYLENKSLDQALFGRNDLHLDWPTRFNILLGTARGLAYLHEESRPRIVHRDVKASNILLDAKLSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEIISGRPNSDNNLDPEKIYLLEWAWTLHENDQSLGLVDPRLTEFDENDATRLIKAALLCTQASPMMRPSMSRVVAMLSGDIEASTVMSKPSYLTDWDFKDVTTTSSFLLDDDTSSTESIVVHK >ONI11391 pep chromosome:Prunus_persica_NCBIv2:G4:5372435:5387162:1 gene:PRUPE_4G104500 transcript:ONI11391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSRRMLKQPWHLTVSVTFFCSVCFWFQVSMAQKTDPSEVRALNSIFQQWDTQAVPGLWNISGDPCSGSAVNGTPFEDPANNPSIKCDCTYEKNTTCHITLLRVFELNKRGVFPEEFVALRYLTFLKIDQNYFTGPLPAFIGNMSALTILSIAINSFSGPIPKELGNLKELTILYMESCGLSGEIPSTFANLINMQILYASDIPLSGKIPAFIGNWTKLTVLRFQGNSFEGPIPTSFSQLTSLKTLRISDISNVSSSLDFIRNLKNLTELVLRNALINGSIPSDIGDYQNLTIINLGFNNLTGQLPSSLFNMSSLQYLFLGNNSLSGPLPSQKGDLLHTIDLSYNYLSGSFPEWVNTISQFNLVVNNFTFDSSNITLPGLNCLQRNFPCNRNTPRYTDFSIKCGGPQMRVSGGILYEAEDSALGPATFNVASTQKWAVSNVGLFNDMMDQYDRMLQSFGKTTRTQVSGTDVTPKLFETSRQSPGSLRYYGLGLHNGPYTVTLQFAETVFVSRTTQTWQSLGRRVFDIYIQGNLIRKDFDISEEAGGVNIAVARLFKVHVTENYLDIHLFWAGKGTCCNPDLGNYGPLISAVHAASDTPTTPGMKSRIGLIVGIAIPVGVVIWLLLFAVLYMRRKRSEKDDDEDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAMKQLSVASHQGKSQFLTEIATISAVQHRNLVKLFGCCIERTQRILVYEYLENKSLDQALFGRNDLHLDWPTRFNILLGTARGLAYLHEESRPRIVHRDVKASNILLDAKLSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEIISGRPNSDNNLDPEKIYLLEWAWTLHENDQSLGLVDPRLTEFDENDATRLIKAALLCTQASPMMRPSMSRVVAMLSGDIEASTVMSKPSYLTDWDFKDVTTTSSFLLDDDTSSTESIVVHK >ONI09942 pep chromosome:Prunus_persica_NCBIv2:G4:926084:933823:-1 gene:PRUPE_4G020000 transcript:ONI09942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWRGMMSKSSGFGGGSMRNNEDFEEEDVWGVGNNESENSSPKMMRKSNKDSSSASSSAWRLPTAPRIIPRAAAANTPTSHEPKVVQKSAPQNIPDWSKIYGKSAKIGSWVHDDDDGYGVNGGHVAYEDGGHHHDHGVSDDDDDVNNDGDGDDDDGMVPPHEWVARKLARSQISSFSVCEGIGRTLKGRDLSKQKQCWNEMSSKSKGWGGEVTMRNVMSRNWAFLLCFCSFCAGVLFTNRLSMVPESRPSRIGAERIYSEPDGCNQKLVIKHTSNDSPGEATNHVIQELNKTISNLEMKLAASRAMHESVHNGSPISGNLKTLQSNSKKKYFMVIGINTAFNSRKRRDSVRATWMPQGKERKKLEEEKGIVIRFVIGHSSTAGGILDKAIEAEEQVNGDLLRLNHVEGYLELSAKTKTYFSTAVALWDAEFYVKVDDDVHVNLATLGMILSKHRLKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISKDLATYISINQDVLHKYANEDVSLGSWFIGLDVEQVDDRRLCCGTPPDCVWKAMTGNICAASFDWRCSGICRSVERIMDVHERCGEDKNAIWNARFSQ >ONI09943 pep chromosome:Prunus_persica_NCBIv2:G4:926650:932459:-1 gene:PRUPE_4G020000 transcript:ONI09943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWRGMMSKSSGFGGGSMRNNEDFEEEDVWGVGNNESENSSPKMMRKSNKDSSSASSSAWRLPTAPRIIPRAAAANTPTSHEPKVVQKSAPQNIPDWSKIYGKSAKIGSWVHDDDDGYGVNGGHVAYEDGGHHHDHGVSDDDDDVNNDGDGDDDDGMVPPHEWVARKLARSQISSFSVCEGIGRTLKGRDLSKQKQCWNEMSSKSKGWGGEVTMRNVMSRNWAFLLCFCSFCAGVLFTNRLSMVPESRPSRIGAERIYSEPDGCNQKLVIKHTSNDSPGEATNHVIQELNKTISNLEMKLAASRAMHESVHNGSPISGNLKTLQSNSKKKYFMVIGINTAFNSRKRRDSVRATWMPQVEKYLLGKERKKLEEEKGIVIRFVIGHSSTAGGILDKAIEAEEQVNGDLLRLNHVEGYLELSAKTKTYFSTAVALWDAEFYVKVDDDVHVNLATLGMILSKHRLKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISKDLATYISINQDVLHKYANEDVSLGSWFIGLDVEQVDDRRLCCGTPPDCVWKAMTGNICAASFDWRCSGICRSVERIMDVHERCGEDKNAIWNARFSQ >ONI09941 pep chromosome:Prunus_persica_NCBIv2:G4:926084:933823:-1 gene:PRUPE_4G020000 transcript:ONI09941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWRGMMSKSSGFGGGSMRNNEDFEEEDVWGVGNNESENSSPKMMRKSNKDSSSASSSAWRLPTAPRIIPRAAAANTPTSHEPKVVQKSAPQNIPDWSKIYGKSAKIGSWVHDDDDGYGVNGGHVAYEDGGHHHDHGVSDDDDDVNNDGDGDDDDGMVPPHEWVARKLARSQISSFSVCEGIGRTLKGRDLSKQKQCWNEMSSKSKGWGGEVTMRNVMSRNWAFLLCFCSFCAGVLFTNRLSMVPESRPSRIGAERIYSEPDGCNQKLVIKHTSNDSPGEATNHVIQELNKTISNLEMKLAASRAMHESVHNGSPISGNLKTLQSNSKKKYFMVIGINTAFNSRKRRDSVRATWMPQGKERKKLEEEKGIVIRFVIGHSSTAGGILDKAIEAEEQVNGDLLRLNHVEGYLELSAKTKTYFSTAVALWDAEFYVKVDDDVHVNLATLGMILSKHRLKPRVYIGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISKDLATYISINQDVLHKYANEDVSLGSWFIGLDVEQVDDRRLCCGTPPDCVWKAMTGNICAASFDWRCSGICRSVERIMDVHERCGEDKNAIWNARFSQ >ONI13109 pep chromosome:Prunus_persica_NCBIv2:G4:12631695:12632341:1 gene:PRUPE_4G203000 transcript:ONI13109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSSSVTTLPLPKSLILLFSAKSLSLNSIWHSLSDSTPPPPIFAHETAFLNSRSKISSTSSGHVNKQAQEHGVWPFWVAGVVVGLEGGGGWWGLGGVVGRG >ONI11831 pep chromosome:Prunus_persica_NCBIv2:G4:7059389:7064335:-1 gene:PRUPE_4G128300 transcript:ONI11831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLPARLVKSLSATSLPCTTTLQELASAHPKGVARVVLKKGKTQLFKDGSPMVYSGAVDRIIGRPPPRSGDIVLVADGAEKPIGWGMYNSVSMFCVRLMQLEEEATRDLSCALNMEKLLETRINEAIELRKSLGLPSASTNAFRLVNSEGDRLSGLIVDVFGDVAVIASSAAWVEKYKPEVEASVGRIDEINYINWRPSSEVLKEEGLDASNYKERDPSICPQRTKVTENGIFYAISLEGQKTGFYADQRENRQFISTISDGQRVLDMFCYSGGFALNAARGGAVNVIGVDSSLPAVELAKENIVLNNMDPGRITFLREDASEFMKGALSRNESWDIVILDPPKLAPRKKEL >ONI11829 pep chromosome:Prunus_persica_NCBIv2:G4:7060185:7063775:-1 gene:PRUPE_4G128300 transcript:ONI11829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHYPSRTCLCSPQSMLALIVIMSRSSSKPCVARVVLKKGKTQLFKDGSPMVYSGAVDRIIGRPPPRSGDIVLVADGAEKPIGWGMYNSVSMFCVRLMQLEEEATRDLSCALNMEKLLETRINEAIELRKSLGLPSASTNAFRLVNSEGDRLSGLIVDVFGDVAVIASSAAWVEKYKPEVEASVGRIDEINYINWRPSSEVLKEEGLDASNYKERDPSICPQRTKVTENGIFYAISLEGQKTGFYADQRENRQFISTISDGQRVLDMFCYSGGFALNAARGGAVNVIGVDSSLPAVELAKENIVLNNMDPGRITFLREDASEFMKGALSRNESWDIVILDPPKLAPRKKALQNASGMYRNLNSLAMQLTKRGGLLMTCSCSGAMTQSGMFLRILQGAASAAGRKITILREAGAACDHPIDPSYPEGKYLSNILLRVL >ONI11828 pep chromosome:Prunus_persica_NCBIv2:G4:7059389:7064338:-1 gene:PRUPE_4G128300 transcript:ONI11828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLPARLVKSLSATSLPCTTTLQELASAHPKGVARVVLKKGKTQLFKDGSPMVYSGAVDRIIGRPPPRSGDIVLVADGAEKPIGWGMYNSVSMFCVRLMQLEEEATRDLSCALNMEKLLETRINEAIELRKSLGLPSASTNAFRLVNSEGDRLSGLIVDVFGDVAVIASSAAWVEKYKPEVEASVGRIDEINYINWRPSSEVLKEEGLDASNYKERDPSICPQRTKVTENGIFYAISLEGQKTGFYADQRENRQFISTISDGQRVLDMFCYSGGFALNAARGGAVNVIGVDSSLPAVELAKENIVLNNMDPGRITFLREDASEFMKGALSRNESWDIVILDPPKLAPRKKALQNASGMYRNLNSLAMQLTKRGGLLMTCSCSGAMTQSGMFLRILQGAASAAGRKITILREAGAACDHPIDPSYPEGKYLSNILLRVL >ONI11832 pep chromosome:Prunus_persica_NCBIv2:G4:7059389:7063508:-1 gene:PRUPE_4G128300 transcript:ONI11832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALIVIMSRSSSKPCVARVVLKKGKTQLFKDGSPMVYSGAVDRIIGRPPPRSGDIVLVADGAEKPIGWGMYNSVSMFCVRLMQLEEEATRDLSCALNMEKLLETRINEAIELRKSLGLPSASTNAFRLVNSEGDRLSGLIVDVFGDVAVIASSAAWVEKYKPEVEASVGRIDEINYINWRPSSEVLKEEGLDASNYKERDPSICPQRTKVTENGIFYAISLEGQKTGFYADQRENRQFISTISDGQRVLDMFCYSGGFALNAARGGAVNVIGVDSSLPAVELAKENIVLNNMDPGRITFLREDASEFMKGALSRNESWDIVILDPPKLAPRKKEL >ONI11827 pep chromosome:Prunus_persica_NCBIv2:G4:7059389:7064335:-1 gene:PRUPE_4G128300 transcript:ONI11827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLPARLVKSLSATSLPCTTTLQELASAHPKGVARVVLKKGKTQLFKDGSPMVYSGAVDRIIGRPPPRSGDIVLVADGAEKPIGWGMYNSVSMFCVRLMQLEEEATRDLSCALNMEKLLETRINEAIELRKSLGLPSASTNAFRLVNSEGDRLSGLIVDVFGDVAVIASSAAWVEKYKPEVEASVGRIDEINYINWRPSSEVLKEEGLDASNYKERDPSICPQRTKTGFYADQRENRQFISTISDGQRVLDMFCYSGGFALNAARGGAVNVIGVDSSLPAVELAKENIVLNNMDPGRITFLREDASEFMKGALSRNESWDIVILDPPKLAPRKKALQNASGMYRNLNSLAMQLTKRGGLLMTCSCSGAMTQSGMFLRILQGAASAAGRKITILREAGAACDHPIDPSYPEGKYLSNILLRVL >ONI11830 pep chromosome:Prunus_persica_NCBIv2:G4:7060185:7063477:-1 gene:PRUPE_4G128300 transcript:ONI11830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALIVIMSRSSSKPCVARVVLKKGKTQLFKDGSPMVYSGAVDRIIGRPPPRSGDIVLVADGAEKPIGWGMYNSVSMFCVRLMQLEEEATRDLSCALNMEKLLETRINEAIELRKSLGLPSASTNAFRLVNSEGDRLSGLIVDVFGDVAVIASSAAWVEKYKPEVEASVGRIDEINYINWRPSSEVLKEEGLDASNYKERDPSICPQRTKVTENGIFYAISLEGQKTGFYADQRENRQFISTISDGQRVLDMFCYSGGFALNAARGGAVNVIGVDSSLPAVELAKENIVLNNMDPGRITFLREDASEFMKGALSRNESWDIVILDPPKLAPRKKALQNASGMYRNLNSLAMQLTKRGGLLMTCSCSGAMTQSGMFLRILQGAASAAGRKITILREAGAACDHPIDPSYPEGKYLSNILLRVL >ONI13461 pep chromosome:Prunus_persica_NCBIv2:G4:14041027:14044680:-1 gene:PRUPE_4G223700 transcript:ONI13461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKGDNVVVSSIKLEKIFSMKGGKGESSYANNSQAQAIHARSMLHLLKETLDNVQLSSPQVPFVVVDLGCSSGSNTIYIIDVIIKHMAKRYEALGCEPPEFSAFFSDLPSNDFNTLFQLLPPMANHGGSMEETLAADSHRSYFAAGVPGSFYRRLFPSRSIDLFHSAFSLHWLSQVPESVLDKRSAAYNKGRVFIHGANESTAIAYKKQFQTDLASFLRSRAKELKKGGSMFLVCLGRTSVDPTDQSGPGLLFGTHFQDAWDDLVQEGLITNEKRDSFNIPVYASSLQDFKEVVEDVGSFTINKLEIFKGGSPLVVNQPDDAAEVGRALANSCRSVAGVLVDAHIGDHLGNELFARVEQRGTGQSKELLEQLQFLHIVASLSLA >ONI13522 pep chromosome:Prunus_persica_NCBIv2:G4:14510804:14512107:-1 gene:PRUPE_4G227600 transcript:ONI13522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLILKTSLILFVLFVMMVASFCDKETMCESLGFTQAEVDAMQFVFCKNCEDRQHQCFACGKLGSSDRSSGAEVFACISVACGKFYHPHCVAHLIDQDNGVTAEELEKKISKGEPFTCPIHKCCVCKQGENKKDPEMHFAASSRFPKSYHRKCLPWHS >ONI12298 pep chromosome:Prunus_persica_NCBIv2:G4:8957741:8960494:1 gene:PRUPE_4G156300 transcript:ONI12298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRLLMLLKPIDVYSDSQSDGPSRITNPHSQELQMLHHLENRLKVHNDAINFCQNILCRKPVEWKSIVLNNLSQPIHDVDLVVTVGGDGTLLQASHFIDDSVPVLGVNSDPTRAEEVEKLSNEIDATRSTGYLCAVTVNNFEQVLDNILEGRTIPSKLTRISICVNSQVLSTYALNDVLIAHPCPAAVSQFSFKIKSDDQPCSPLVNSRSSGLRVSTAAGSTAAMLSAGGFLMPILSEDLQFMVREPISPGETPSLMHGLIKSHQSMDATWFCKEGAIYIDGSHVFYSIQNGDTIEISSRAPILKVFLSQRLQTTVKKLEEEYLQKKEILCKY >ONI12301 pep chromosome:Prunus_persica_NCBIv2:G4:8958451:8960451:1 gene:PRUPE_4G156300 transcript:ONI12301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHLENRLKVHNDAINFCQNILCRKPVEWKSIVLNNLSQPIHDVDLVVTVGGDGTLLQASHFIDDSVPVLGVNSDPTRAEEVEKLSNEIDATRSTGYLCAVTVNNFEQVLDNILEGRTIPSKLTRISICVNSQVLSTYALNDVLIAHPCPAAVSQFSFKIKSDDQPCSPLVNSRSSGLRVSTAAGSTAAMLSAGGFLMPILSEDLQFMVREPISPGETPSLMHGLIKSHQSMDATWFCKEGAIYIDGSHVFYSIQNGDTIEISSRAPILKVFLSQRLQTTVKKLEEEYLQKKEILCKY >ONI12300 pep chromosome:Prunus_persica_NCBIv2:G4:8957759:8960488:1 gene:PRUPE_4G156300 transcript:ONI12300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRLLMLLKPIDVYSDSQSDGPSRITNPHSQMLHHLENRLKVHNDAINFCQNILCRKPVEWKSIVLNNLSQPIHDVDLVVTVGGDGTLLQASHFIDDSVPVLGVNSDPTRAEEVLDNILEGRTIPSKLTRISICVNSQVLSTYALNDVLIAHPCPAAVSQFSFKIKSDDQPCSPLVNSRSSGLRVSTAAGSTAAMLSAGGFLMPILSEDLQFMVREPISPGETPSLMHGLIKSHQSMDATWFCKEGAIYIDGSHVFYSIQNGDTIEISSRAPILKVFLSQRLQTTVKKLEEEYLQKKEILCKY >ONI12299 pep chromosome:Prunus_persica_NCBIv2:G4:8957749:8960487:1 gene:PRUPE_4G156300 transcript:ONI12299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRLLMLLKPIDVYSDSQSDGPSRITNPHSQMLHHLENRLKVHNDAINFCQNILCRKPVEWKSIVLNNLSQPIHDVDLVVTVGGDGTLLQASHFIDDSVPVLGVNSDPTRAEEVEKLSNEIDATRSTGYLCAVTVNNFEQVLDNILEGRTIPSKLTRISICVNSQVLSTYALNDVLIAHPCPAAVSQFSFKIKSDDQPCSPLVNSRSSGLRVSTAAGSTAAMLSAGGFLMPILSEDLQFMVREPISPGETPSLMHGLIKSHQSMDATWFCKEGAIYIDGSHVFYSIQNGDTIEISSRAPILKVFLSQRLQTTVKKLEEEYLQKKEILCKY >ONI13743 pep chromosome:Prunus_persica_NCBIv2:G4:16032083:16034236:-1 gene:PRUPE_4G242800 transcript:ONI13743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGDGADQFHRNEAISAVADDGFLAEEDDDYEDLYNDVNVGEGFYQSMRKNEELGFRNEVVEEKKIESPEVAAPEPRGVPIPGVGGGSGEGTGGGDGGVRGSGYNNNNNNQNLGFRGNEVGVKGSVGLGPIGGGGGIRVELGQASGKLNEFEQQSGNNSGAGVQGIVAQQPPPQLQQQHQPQPQQQQQQQQLHAAAAAVVGNVGNEGMLRQAGGVGGGNVNGIGGNSGPGGGFGGGGGGGGGTILFVGDLHWWTTDAELEAELCKYGPVKEVKFFDEKASGKSKGYCQVEFFDPVAATACKEGMHGHVFNGRPCVVAFASPYSVKRMGEAQVNRNQQVTQTAVPQTGGRRGPNDAGNKTGGSNIATGGNYQGGDNNRGYGRGNWGRGNAQGMGNRGPVGQMRNRGGGMGGRGIMGNGGNGFGQGIGATPPLLHPQQMMGQGFDPAFGAPMGRMGSYGGFPGAPTPPFSGILSSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGVDGPNMGMWSDPNMGGWAGEEHGGGRAGESSYGEEAASDHQYGEVSHDRGGGWPNATKEKDRGSERDWSGSSDRRYRDDRDQGYDRDVPREKDVAHDHDWSERRYRDDGDASRERDRERDRGRERSRDRDRDRDRERERDRDRYREDRDKYTDHHKYRDREPEHEDEWERGRSSRTHSKARVSQEDNRRSRSRDADYGKRRRLTSE >ONI13744 pep chromosome:Prunus_persica_NCBIv2:G4:16032083:16034236:-1 gene:PRUPE_4G242800 transcript:ONI13744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGDGADQFHRNEAISAVADDGFLAEEDDDYEDLYNDVNVGEGFYQSMRKNEELGFRNEVVEEKKIESPEVAAPEPRGVPIPGVGGGSGEGTGGGDGGVRGSGYNNNNNNQNLGFRGNEVGVKGSVGLGPIGGGGGIRVELGQASGKLNEFEQQSGNNSGAGVQGIVAQQPPPQLQQQHQPQPQQQQQQQQLHAAAAAVVGNVGNEGMLRQAGGVGGGNVNGIGGNSGPGGGFGGGGGGGGGTILFVGDLHWWTTDAELEAELCKYGPVKEVKFFDEKASGKSKGYCQVEFFDPVAATACKEGMHGHVFNGRPCVVAFASPYSVKRMGEAQVNRNQQVTQTAVPQTGGRRGPNDAGNKTGGSNIATGGNYQGGDNNRGYGRGNWGRGNAQGMGNRGPVGQMRNRGGGMGGRGIMGNGGNGFGQGIGATPPLLHPQQMMGQGFDPAFGAPMGRMGSYGGFPGAPTPPFSGILSSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGVDGPNMGMWSDPNMGGWAGEEHGGGRAGESSYGEEAASDHQYGEVSHDRGGGWPNATKEKDRGSERDWSGSSDRRYRDDRDQGYDRDVPREKDVAHDHDWSERRYRDDGDASRERDRERDRGRERSRDRDRDRDRERERDRDRYREDRDKYTDHHKYRDREPEHEDEWERGRSSRTHSKARVSQEDNRRSRSRDADYGKRRRLTSE >ONI13745 pep chromosome:Prunus_persica_NCBIv2:G4:16029180:16034552:-1 gene:PRUPE_4G242800 transcript:ONI13745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQAGGVGGGNVNGIGGNSGPGGGFGGGGGGGGGTILFVGDLHWWTTDAELEAELCKYGPVKEVKFFDEKASGKSKGYCQVEFFDPVAATACKEGMHGHVFNGRPCVVAFASPYSVKRMGEAQVNRNQQVTQTAVPQTGGRRGPNDAGNKTGGSNIATGGNYQGGDNNRGYGRGNWGRGNAQGMGNRGPVGQMRNRGGGMGGRGIMGNGGNGFGQGIGATPPLLHPQQMMGQGFDPAFGAPMGRMGSYGGFPGAPTPPFSGILSSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGVDGPNMGMWSDPNMGGWAGEEHGGGRAGESSYGEEAASDHQYGEVSHDRGGGWPNATKEKDRGSERDWSGSSDRRYRDDRDQGYDRDVPREKDVAHDHDWSERRYRDDGDASRERDRERDRGRERSRDRDRDRDRERERDRDRYREDRDKYTDHHKYRDREPEHEDEWERGRSSRTHSKARVSQEDNRRSRSRDADYGKRRRLTSE >ONI11609 pep chromosome:Prunus_persica_NCBIv2:G4:6279680:6281971:1 gene:PRUPE_4G116100 transcript:ONI11609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAAGISLSSPFYAYPKPINSLRSSVSNRTGSKSLKLRASFFDYPLASKILVKNIPYSTSENSLQEKFSNFGQIAEVKLVKDETSRRSKGYAYIQYTSQDDAMLALENMDHQNLDGRIIYVEVARPGKDAYGEYPKTSGPPKKLNLQQQEEVSDCWY >ONI12026 pep chromosome:Prunus_persica_NCBIv2:G4:7826975:7829793:-1 gene:PRUPE_4G140100 transcript:ONI12026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHNSASKTCPTPSLTAKPLIHCPELSLSTPPAAMCPSSPFLHPSSRRRNKSKRPKVGEEGQSVSVDSLHRPRVFLGGSELLISDISVSREVGMPDLSQDIIVDILSRLRLKSVCRFRCVSKSWFNLTTEPHFINTHLNRHRKKQKIILSSNNSLFSLDPEAPIDDDMLPLEIDFPLKNHPNTEWVHMFGSCNGLVCIMPQPEVFFIFNPTTRESLRVPDCPRPSHICPPEPQEVIFHHAYSFGYAPSIDDYKFVKVAYGCMVLIFSLKSSSWKRVQDFPYRHCLEKSGTTLNGAVHWLCRRLEVGGTCVIAAFDLAQEKFSDLPPPESVTDYKRFTTGVLRGCLCLLHQHDRRHSFWIMNKYRVKESWTMIMITDSYASISLKPLCYWKDTKILLARSWKQLLLCNPNDGTCKNFLGNGLPDKFCADVYVECLVSPNLWFRRNSRLRLARITVLCTFLLLVHMNYLLLVTELSKFLTKKSN >ONI14142 pep chromosome:Prunus_persica_NCBIv2:G4:19515777:19517054:1 gene:PRUPE_4G264500 transcript:ONI14142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCWSSLSCCLSLSLARSISLAGWLHESLPSSIPQKVCTDLVRGAKDKRLRVKGPVRMPTKILHITTRKSPCGEGKAYGYGHLLRVGSSLDRFELRVHKRVIDLFSSPDVVKQITSVTIEPSVEVEVTISDS >ONI10859 pep chromosome:Prunus_persica_NCBIv2:G4:3550173:3553106:-1 gene:PRUPE_4G072600 transcript:ONI10859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEDAPKTSASEDQAKTETNPKPREEDDEPEEGEIVGDEESASKPSKGIAPQSHALEHSWTFWFDSPAAKSAKTKQEDWGSSIRPIYTFSTVEEFWSIYNNIRHPSKLALGTDFHCFKYKIEPKWEDPVCANGGKWTVTFPKGKSDTSWLYTLLGMIGEQFDHGDEICGAVVNVRNRQEKISIWTKNAINEAAQLSIGKQWKGFLDYNETIGFIFHEDAMRQERSAKNKYVA >ONI11316 pep chromosome:Prunus_persica_NCBIv2:G4:5162699:5168591:-1 gene:PRUPE_4G101200 transcript:ONI11316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWLQKFQPRAKKKGAESARDEQEKSASVDEAPSDVTKQKVEAAKQYIENHYKSQMKCLQDRKERRWMLERRLADADVSQEDQMNVLKYLEQKETEYMHLQRHKMGVDDFQLLTIIGRGAFGEVRICREKSTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDILTEDEAKFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHMKLSDFGLCKPLGSNSFPDLSENENAGGRNSKSPSESHKHSNLPTTPKRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGFPPFYSEEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLICKLLCNVEQRLGTQGAHEIKAHPWFKGLQWDRLYQMEAAFLPEVNNELDTQNFEKFDELGTPAETSSKSGPWRKMLSSMDTNFVGYTYKNFEIVDEHHMPGIAELKKKNKPPKRPSIKSLFDTPDPPDPSVQGNSPHRLPNQLGASEGSQPSRQSARPPQHQHKPPRR >ONI11314 pep chromosome:Prunus_persica_NCBIv2:G4:5162489:5168591:-1 gene:PRUPE_4G101200 transcript:ONI11314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWLQKFQPRAKKKGAESARDEQEKSASVDEAPSDVTKQKVEAAKQYIENHYKSQMKCLQDRKERRWMLERRLADADVSQEDQMNVLKYLEQKETEYMHLQRHKMGVDDFQLLTIIGRGAFGEVRICREKSTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDILTEDEAKFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHMKLSDFGLCKPLGSNSFPDLSENENAGGRNSKSPSESHKHSNLPTTPKRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGFPPFYSEEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLICKLLCNVEQRLGTQGAHEIKAHPWFKGLQWDRLYQMEAAFLPEVNNELDTQNFEKFDELGTPAETSSKSGPWRKMLSSMDTNFVGYTYKNFEIVDEHHMPGIAELKKKNKPPKRPSIKSLFDTPDPPDPSVQGNSPHRLPNQLGASEGSQPSRQSARPPQHQHKPPRR >ONI11315 pep chromosome:Prunus_persica_NCBIv2:G4:5162699:5168226:-1 gene:PRUPE_4G101200 transcript:ONI11315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWLQKFQPRAKKKGAESARDEQEKSASVDEAPSDVTKQKVEAAKQYIENHYKSQMKCLQDRKERRWMLERRLADADVSQEDQMNVLKYLEQKETEYMHLQRHKMGVDDFQLLTIIGRGAFGEVRICREKSTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDILTEDEAKFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHMKLSDFGLCKPLGSNSFPDLSENENAGGRNSKSPSESHKHSNLPTTPKRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGFPPFYSEEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLICKLLCNVEQRLGTQGAHEIKAHPWFKGLQWDRLYQMEAAFLPEVNNELDTQNFEKFDELGTPAETSSKSGPWRKMLSSMDTNFVGYTYKNFEIVDEHHMPGIAELKKKNKPPKRPSIKSLFDTPDPPDPSVQGNSPHRLPNQLGASEGSQPSRQSARPPQHQHKPPRR >ONI12206 pep chromosome:Prunus_persica_NCBIv2:G4:8627066:8627751:-1 gene:PRUPE_4G150800 transcript:ONI12206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEVDKSEPAAMATKARGSPYGPNREGQPSRDRPQGKCPHCGMPGHSKSRCFELIGYPENWDRTRDPRCNKSRASVVETKNDSDQIADKASAMIAAAGSDGHPDAEDDWLWY >ONI10151 pep chromosome:Prunus_persica_NCBIv2:G4:1425760:1426843:-1 gene:PRUPE_4G030700 transcript:ONI10151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTPVSLLTLSLLLLLPLTATSSLHNLLQSQGLPAGLFPDNVKSYNLDPMGRLEVHLDCSCMTKFETRVYFENIVRANLSYGGLRGLEGLIQEELFLWLPVKGISVSDPSSGLILFDIGVAHKQLSLSLFEDPPVCKPEAQGIFSKKDGGRKIGFQAQR >ONI14016 pep chromosome:Prunus_persica_NCBIv2:G4:18325403:18326818:-1 gene:PRUPE_4G258200 transcript:ONI14016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQDEGSSSATSSPLQFFSMMSLSPSLGSPHPWLKELKSEERGLYLIHLLLTCANHVATGSLENANVALEQISQLASADGDTMQRIAAYFTEALADRILKAWPGLHRALNSTKISLVSEEFLVRKVFFEMLPFLKVAFVLANQAITEAMEGEKMVHVIDLNAAEPAQWIALLQVLSARPEGPPHLRITGVHQQKEVLDQMAHRLTEEAEKLDIPFQFCPIVSKLENLDMEKLRIKTGEALAISSVLQLHSLLASDDELLKKKSPLASKSSSGIPLPRVLQMNQGTLGELLEKEMGNGYGASPDSTSSSPLSLTASMKLDSFLNAFWSLTPKVMVIAEQDSNHNGSTLMERLLEALYSYAALFDCLESTMSRNSIERLKVEKMLFGEEIKNIIACEGCERKERHEKLEKWIQRLDLAGFGNVPLSYYGMLQAKRLLQGYGCDGYRMREENGCVLISWQDRPLFSVSAWRCRK >ONI12442 pep chromosome:Prunus_persica_NCBIv2:G4:9576087:9578218:-1 gene:PRUPE_4G165000 transcript:ONI12442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKFIHGITWFLFLVAICAIKAKAISVDVVKFGAKGDGKTDDTKAFTQAWTQACSERQNNRYVIPKGTYIVGPVDFAGPCKAKTIHFKVDGTVQSSKKQSVTGGAHPNAWISFTQVNNLFISGDGIFDGQGFEGNCTKAKQCEQPPLNLIFAMVKDSHIQGITSNNSVGGHIGIYRSINVTVDDVDIGIKGGEGILIEKSTNINIINTNIKILHDNCVTILDGNTGINIEKMTCSQGNGLGVSVLGNTGKEEPIKGVTVRNCTFSHTEGAIRIQSSAASNANIAISNLIFEDIIFDYLQNMAIILDQEHCPSKQCRTTNPSKVKVENVSFKNIKGTSVDPRIVILECGTAPDACKDIRFIDLRVLVEGDDRLETQFRCKNVKPAVAGHVDPAACNTRAVA >ONI12894 pep chromosome:Prunus_persica_NCBIv2:G4:11300896:11310515:-1 gene:PRUPE_4G190000 transcript:ONI12894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCHTYTELDYGGVGCSKCLLRSKKSMESAANEGTYLTTLSDSDTHSVVSNEIIAECCSPGSSKGKASALIGENSNVDSSGHTGCEQGVESETPRVDASTQNHLSNIDAIPLFEKSLTASDTFLNTARLVIPKKCAEAHFPKISETQGFPIVIQDYTGRDWKFQYRCWQNSNSKKMYVLEGLKDYMILNQWQVGDTVTFHRTEQEGKLIIGTKKMPASIASIQALKEKIWKLLG >ONI12893 pep chromosome:Prunus_persica_NCBIv2:G4:11306722:11310195:-1 gene:PRUPE_4G190000 transcript:ONI12893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCHTYTELDYGGVGCSKCLLRSKKSMESAANEGTYLTTLSDSDTHSVVSNEIIAECCSPGSSKGKASALIGENSNVDSSGHTGCEQGVESETPRVDASTQNHLSNIDAIPLFEKSLTASDTFLNTARLVIPKKCAEAHFPKISETQGFPIVIQDYTGRDWKFQYRCWQNSNSKKMYVLEGLKDYMILNQWQVGDTVTFHRTEQEGKLIIGTKKMPASIASIQALKEKIWKLLG >ONI12892 pep chromosome:Prunus_persica_NCBIv2:G4:11300877:11316907:-1 gene:PRUPE_4G190000 transcript:ONI12892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTHTRSLVYILDVLIFQHFLCPSLSRLLSLFSLSRLLSLFLSVSFALSFSLTLCVASMASMASEHCFFCKAEIAEFITGWPLKDGSFAKLCGKCGYAYIHDQFCETFHAKSDGWRHCDGCKKRLHCGCIMSCHTYTELDYGGVGCSKCLLRSKKSMESAANEGTYLTTLSDSDTHSVVSNEIIAECCSPGSSKGKASALIGENSNVDSSGHTGCEQGVESETPRVDASTQNHLSNIDAIPLFEKSLTASDTFLNTARLVIPKKCAEAHFPKISETQGFPIVIQDYTGRDWKFQYRCWQNSNSKKMYVLEGLKDYMILNQWQVGDTVTFHRTEQEGKLIIGTKKMPASIASIQALKEKIWKLLG >ONI12895 pep chromosome:Prunus_persica_NCBIv2:G4:11306167:11310738:-1 gene:PRUPE_4G190000 transcript:ONI12895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCHTYTELDYGGVGCSKCLLRSKKSMESAANEGTYLTTLSDSDTHSVVSNEIIAECCSPGSSKGKASALIGENSNVDSSGHTGCEQGVESETPRVDASTQNHLSNIDAIPLFEKSLTASDTFLNTARLVIPKKCAEAHFPKISETQGFPIVIQDYTGRDWKFQYRCWQNSNSKKMYVLEGLKDYMILNQWQVGDTVTFHRTEQEGKLIIGTKKMPASIASIQALKEKIWKLLG >ONI13378 pep chromosome:Prunus_persica_NCBIv2:G4:13699487:13708238:-1 gene:PRUPE_4G218100 transcript:ONI13378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPRNYSAEKQAHALPRVRAGDHPLSAPSSLPHLQVEVVDHWDTEFLDPLRRSDNNAEAAREDLVDIETSSGAGLSSEASIQNPSKEWASFKRSLMQRFPVSKTVSTSSMSDVMVKGRKTYEKSSTSMHLEELDDPEKFAEEGGKVITGREYVSRLHELKAELTRAWHADDRVTSLKISIKVARLLMDTSVLQCYPTLFALATDILDMLGDMVWERIKLKAEFDEDETKFCFLPENFSASDVCSDAKETCNNWFCKIGAVRELLPRIYLELALLPCWRFLVDQPLDSLRRLVMMTRGLADPLASAYCRLYMSHCMRNLPSHDTGCLLTCANDFKIVLMRVMSAEETSHGNLSDNKRLLVSLMEPTIEFIMKCIFKNMSERQVGDVLVELGLGDNQMELFGRFPYVSIVLHHLLKQLPSEVVSSRAVEILRLIELSNDDSFDQCLNYRLLGFRLCERSQIDTANAVVDKAIQVIVQYDGLDEYLKVADAYVDIILQNHMDDHLNSILEGISKRACSEEIADDEMATLQSILVKLLSYYKDLEDIFSMNHFLKILDLMYGSSRSIVNMHILDIGIRNGCIRDPRTIQFLLEVSQALHQDENFGNLKDDTNQPARLISQFVSLVDYGAEMESQLAFLVECRGSFGGIRGLKVFLIS >ONI13375 pep chromosome:Prunus_persica_NCBIv2:G4:13696594:13708108:-1 gene:PRUPE_4G218100 transcript:ONI13375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPRNYSAEKQAHALPRVRAGDHPLSAPSSLPHLQVEVVDHWDTEFLDPLRRSDNNAEAAREDLVDIETSSGAGLSSEASIQNPSKEWASFKRSLMQRFPVSKTVSTSSMSDVMVKGRKTYEKSSTSMHLEELDDPEKFAEEGGKVITGREYVSRLHELKAELTRAWHADDRVTSLKISIKVARLLMDTSVLQCYPTLFALATDILDMLGDMVWERIKLKAEFDEDETKFCFLPENFSASDVCSDAKETCNNWFCKIGAVRELLPRIYLELALLPCWRFLVDQPLDSLRRLVMMTRGLADPLASAYCRLYMSHCMRNLPSHDTGCLLTCANDFKIVLMRVMSAEETSHGNLSDNKRLLVSLMEPTIEFIMKCIFKNMSERQVGDVLVELGLGDNQMELFGRFPYVSIVLHHLLKQLPSEVVSSRAVEILRLIELSNDDSFDQCLNYRLLGFRLCERSQIDTANAVVDKAIQVIVQYDGLDEYLKVADAYVDIILQNHMDDHLNSILEGISKRACSEEIADDEMATLQSILVKLLSYYKDLEDIFSMNHFLKILDLMYGSSRSIVNMHILDIGIRNGCIRDPRTIQFLLEVSQALHQDENFGNLKDDTNQPARLISQFVSLVDYGAEMESQLAFLVECRGSFGGIRGLKETLIHSSNCLAIKALKDGNRHLGFVKSCIAFSEVTLPSISPQIRQLNLYLETAEVALLGGLISHSDGLIDSAINSLQILDVLDGSRTPIDADGVLSSIQKLFSLLVMVPGNLEHGVTYLPKNLVLLINSHSWMTPRMRVKFLCAIVSLLAALSQQNLPYHADNGKECLENGGKRF >ONI13374 pep chromosome:Prunus_persica_NCBIv2:G4:13696413:13708108:-1 gene:PRUPE_4G218100 transcript:ONI13374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPRNYSAEKQAHALPRVRAGDHPLSAPSSLPHLQVEVVDHWDTEFLDPLRRSDNNAEAAREDLVDIETSSGAGLSSEASIQNPSKEWASFKRSLMQRFPVSKTVSTSSMSDVMVKGRKTYEKSSTSMHLEELDDPEKFAEEGGKVITGREYVSRLHELKAELTRAWHADDRVTSLKISIKVARLLMDTSVLQCYPTLFALATDILDMLGDMVWERIKLKAEFDEDETKFCFLPENFSASDVCSDAKETCNNWFCKIGAVRELLPRIYLELALLPCWRFLVDQPLDSLRRLVMMTRGLADPLASAYCRLYMSHCMRNLPSHDTGCLLTCANDFKIVLMRVMSAEETSHGNLSDNKRLLVSLMEPTIEFIMKCIFKNMSERQVGDVLVELGLGDNQMELFGRFPYVSIVLHHLLKQLPSEVVSSRAVEILRLIELSNDDSFDQCLNYRLLGFRLCERSQIDTANAVVDKAIQVIVQYDGLDEYLKVADAYVDIILQNHMDDHLNSILEGISKRACSEEIADDEMATLQSILVKLLSYYKDLEDIFSMNHFLKILDLMYGSSRSIVNMHILDIGIRNGCIRDPRTIQFLLEVSQALHQDENFGNLKDDTNQPARLISQFVSLVDYGAEMESQLAFLVECRGSFGGIRGLKETLIHSSNCLAIKALKDGNRHLGFVKSCIAFSEVTLPSISPQIRQLNLYLETAEVALLGGLISHSDGLIDSAINSLQILDVLDGSRTPIDADGVLSSIQKLFSLLVMVPGNLEHGVTYLPKNLVLLINSHSWMTPRMRVKFLCAIVSLLAALSQQNLPYHADNGKLLGNDVLFFGDSSYMHELASLCQFVLQNLVDAIQQEPSM >ONI13376 pep chromosome:Prunus_persica_NCBIv2:G4:13696594:13708108:-1 gene:PRUPE_4G218100 transcript:ONI13376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPRNYSAEKQAHALPRVRAGDHPLSAPSSLPHLQVEVVDHWDTEFLDPLRRSDNNAEAAREDLVDIETSSGAGLSSEASIQNPSKEWASFKRSLMQRFPVSKTVSTSSMSDVMVKGRKTYEKSSTSMHLEELDDPEKFAEEGGKVITGREYVSRLHELKAELTRAWHADDRVTSLKISIKVARLLMDTSVLQCYPTLFALATDILDMLGDMVWERIKLKAEFDEDETKFCFLPENFSASDVCSDAKETCNNWFCKIGAVRELLPRIYLELALLPCWRFLVDQPLDSLRRLVMMTRGLADPLASAYCRLYMSHCMRNLPSHDTGCLLTCANDFKIVLMRVMSAEETSHGNLSDNKRLLVSLMEPTIEFIMKCIFKNMSERQVGDVLVELGLGDNQMELFGRFPYVSIVLHHLLKQLPSEVVSSRAVEILRLIELSNDDSFDQCLNYRLLGFRLCERSQIDTANAVVDKAIQVIVQYDGLDEYLKVADAYVDIILQNHMDDHLNSILEGISKRACSEEIADDEMATLQSILVKLLSYYKDLEDIFSMNHFLKILDLMYGSSRSIVNMHILDIGIRNGCIRDPRTIQFLLEVSQALHQDENFGNLKDDTNQPARLISQFVSLVDYGAEMESQLAFLVECRGSFGGIRGLKETLIHSSNCLAIKALKDGNRHLGFVKSCIAFSEVTLPSISPQIRQLNLYLETAEVALLGGLISHSDGLIDSAINSLQILDVLDGSRTPIDADGVLSSIQKLFSLLVMVPGNLEHGVTYLPKNLVLLINSHSWMTPRMRVKFLCAIVSLLAALSQQNLPYHADNGKECLENGGKRF >ONI13373 pep chromosome:Prunus_persica_NCBIv2:G4:13694467:13708238:-1 gene:PRUPE_4G218100 transcript:ONI13373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTRGLADPLASAYCRLYMSHCMRNLPSHDTGCLLTCANDFKIVLMRVMSAEETSHGNLSDNKRLLVSLMEPTIEFIMKCIFKNMSERQVGDVLVELGLGDNQMELFGRFPYVSIVLHHLLKQLPSEVVSSRAVEILRLIELSNDDSFDQCLNYRLLGFRLCERSQIDTANAVVDKAIQVIVQYDGLDEYLKVADAYVDIILQNHMDDHLNSILEGISKRACSEEIADDEMATLQSILVKLLSYYKDLEDIFSMNHFLKILDLMYGSSRSIVNMHILDIGIRNGCIRDPRTIQFLLEVSQALHQDENFGNLKDDTNQPARLISQFVSLVDYGAEMESQLAFLVECRGSFGGIRGLKETLIHSSNCLAIKALKDGNRHLGFVKSCIAFSEVTLPSISPQIRQLNLYLETAEVALLGGLISHSDGLIDSAINSLQILDVLDGSRTPIDADGVLSSIQKLFSLLVMVPGNLEHGVTYLPKNLVLLINSHSWMTPRMRVKFLCAIVSLLAALSQQNLPYHADNGKLLGNDVLFFGDSSYMHELASLCQFVLQNLVDAIQQEPSMPARGSMALEACNCIASSLILSQEISSICSKLIETGKSCLSTNNRYLQSTIQFIDHLSHSSVAV >ONI13372 pep chromosome:Prunus_persica_NCBIv2:G4:13694467:13708238:-1 gene:PRUPE_4G218100 transcript:ONI13372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPRNYSAEKQAHALPRVRAGDHPLSAPSSLPHLQVEVVDHWDTEFLDPLRRSDNNAEAAREDLVDIETSSGAGLSSEASIQNPSKEWASFKRSLMQRFPVSKTVSTSSMSDVMVKGRKTYEKSSTSMHLEELDDPEKFAEEGGKVITGREYVSRLHELKAELTRAWHADDRVTSLKISIKVARLLMDTSVLQCYPTLFALATDILDMLGDMVWERIKLKAEFDEDETKFCFLPENFSASDVCSDAKETCNNWFCKIGAVRELLPRIYLELALLPCWRFLVDQPLDSLRRLVMMTRGLADPLASAYCRLYMSHCMRNLPSHDTGCLLTCANDFKIVLMRVMSAEETSHGNLSDNKRLLVSLMEPTIEFIMKCIFKNMSERQVGDVLVELGLGDNQMELFGRFPYVSIVLHHLLKQLPSEVVSSRAVEILRLIELSNDDSFDQCLNYRLLGFRLCERSQIDTANAVVDKAIQVIVQYDGLDEYLKVADAYVDIILQNHMDDHLNSILEGISKRACSEEIADDEMATLQSILVKLLSYYKDLEDIFSMNHFLKILDLMYGSSRSIVNMHILDIGIRNGCIRDPRTIQFLLEVSQALHQDENFGNLKDDTNQPARLISQFVSLVDYGAEMESQLAFLVECRGSFGGIRGLKETLIHSSNCLAIKALKDGNRHLGFVKSCIAFSEVTLPSISPQIRQLNLYLETAEVALLGGLISHSDGLIDSAINSLQILDVLDGSRTPIDADGVLSSIQKLFSLLVMVPGNLEHGVTYLPKNLVLLINSHSWMTPRMRVKFLCAIVSLLAALSQQNLPYHADNGKLLGNDVLFFGDSSYMHELASLCQFVLQNLVDAIQQEPSMPARGSMALEACNCIASSLILSQEISSICSKLIETGKSCLSTNNRYLQSTIQFIDHLSHSSVAV >ONI13379 pep chromosome:Prunus_persica_NCBIv2:G4:13702144:13708238:-1 gene:PRUPE_4G218100 transcript:ONI13379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPRNYSAEKQAHALPRVRAGDHPLSAPSSLPHLQVEVVDHWDTEFLDPLRRSDNNAEAAREDLVDIETSSGAGLSSEASIQNPSKEWASFKRSLMQRFPVSKTVSTSSMSDVMVKGRKTYEKSSTSMHLEELDDPEKFAEEGGKVITGREYVSRLHELKAELTRAWHADDRVTSLKISIKVARLLMDTSVLQCYPTLFALATDILDMLGDMVWERIKLKAEFDEDETKFCFLPENFSASDVCSDAKETCNNWFCKIGAVRELLPRIYLELALLPCWRFLVDQPLDSLRRLVMMTRGLADPLASAYCRLYMSHCMRNLPSHDTGCLLTCANDFKIVLMRVMSAEETSHGNLSDNKRLLVSLMEPTIEFIMKCIFKNMSERQVGDVLVELGLGDNQMELFGRFPYVSIVLHHLLKQLPSEVVSSRAVEILRLIELSNDDSFDQVLFIRRWSSHNFGTRKALHY >ONI13377 pep chromosome:Prunus_persica_NCBIv2:G4:13696707:13708238:-1 gene:PRUPE_4G218100 transcript:ONI13377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRPRNYSAEKQAHALPRVRAGDHPLSAPSSLPHLQVEVVDHWDTEFLDPLRRSDNNAEAAREDLVDIETSSGAGLSSEASIQNPSKEWASFKRSLMQRFPVSKTVSTSSMSDVMVKGRKTYEKSSTSMHLEELDDPEKFAEEGGKVITGREYVSRLHELKAELTRAWHADDRVTSLKISIKVARLLMDTSVLQCYPTLFALATDILDMLGDMVWERIKLKAEFDEDETKFCFLPENFSASDVCSDAKETCNNWFCKIGAVRELLPRIYLELALLPCWRFLVDQPLDSLRRLVMMTRGLADPLASAYCRLYMSHCMRNLPSHDTGCLLTCANDFKIVLMRVMSAEETSHGNLSDNKRLLVSLMEPTIEFIMKCIFKNMSERQVGDVLVELGLGDNQMELFGRFPYVSIVLHHLLKQLPSEVVSSRAVEILRLIELSNDDSFDQCLNYRLLGFRLCERSQIDTANAVVDKAIQVIVQYDGLDEYLKVADAYVDIILQNHMDDHLNSILEGISKRACSEEIADDEMATLQSILVKLLSYYKDLEDIFSMNHFLKILDLMYGSSRSIVNMHILDIGIRNGCIRDPRTIQFLLEVSQALHQDENFGNLKDDTNQPARLISQFVSLVDYGAEMESQLAFLVECRGSFGGIRGLKAPEHQLMLMEYSPQFKNYLVSWLWFQVILSMELRTYQRTWCC >ONI14539 pep chromosome:Prunus_persica_NCBIv2:G4:25005275:25015353:-1 gene:PRUPE_4G286100 transcript:ONI14539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAAAEGQVQRGQQQGGGGFGQSITGIIRIAVFWYFASKFFSPKKPSDPTQLSTNLFQKAEPLDMWLYLSEREKFNEFGSERELVWHETNIPYALWGPESTRSLSMKYYPSEALKHNGSLYAHVFFARSGYPPDPSDPEYQPLAVFGKTHSVVTHLPKPKADKKRSLLGDSKGSDEKEPLIEVVDDTQGDSEDNGPVEWVSYWKPNITINLVDDFTGYPHNAVPPNVAPYLNLEPSTGNYFPTIFFNEFWLLRDKMIAINETVKELALNLEVGPISMTKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLGITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVIVSFICQLIVFLYLLDNDTSWMILISSGIGCCIEFWKIGKAMHIEIDRTGRIPMLRFRDRESYAGNKTKEYDDMAMKYLSYVLFFLVACSSVYSLKYERHRSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPILHRLSVFRDDVIFLIYVYQRWIYPVDKKRVNEFGFSGDDEVTDGAVDTAVKEEEKKTN >ONI10085 pep chromosome:Prunus_persica_NCBIv2:G4:1219617:1224241:-1 gene:PRUPE_4G026100 transcript:ONI10085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLIGYLVPLNDKLEEDTSIPNIPLNQGPNYIGRHTTASLSDKRLSRKHLTLTASPDASAVLAVDGTNPIVVKSENARNKLFPKDNATIHHGDVIELIPGHYLFKYKTLSGNEDVDAHGVHNHKRGSNDRGKGAEVEELSRKRSRKLVLQEKGSNTSFKAEVEGSSLGAENRGNLQSKRDGGQVEAIQHFRVPNDKIPQTFRLLNVRGLPQWANTSCVSIGDVIQGDIVVAILSNYMVDIDWLIPACPKIAKVPHVLVIHGEGDGTLDYMKRKKPANWILHKPPLPISFGTHHSKAMLLVYPRGVRIIVHTANLIFVDWNNKSQGLWMQDFPWKDQNNPSKGCGFENDLIDYLSALKWPEFSVNFPNLGSFKINPSFFKKFDYSNAAVRLIASVPGYHTSTNMKKWGHMKLRTILQEYTFDKEFRKSPLAYQFSSLGSLDEKWMAELASSMSSGLSDDRTPLGPGEPLIIWPTVEDVRCSLEGYAAGNAVPSPLKNVEKDFLRKYWARWMARHTGRCRAMPHIKTYTRYNGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPFKTCSSGFSCTSNGVPSEDKCGRPESSEASRTTLVTLNWHGNRNSDSSSEVIKLPVPYELPPQPYTTEADVPWSWDRRYNKKDVYGQVWPRHVQLYASQAS >ONI10084 pep chromosome:Prunus_persica_NCBIv2:G4:1219332:1224534:-1 gene:PRUPE_4G026100 transcript:ONI10084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLIGYLVPLNDKLEEDTSIPNIPLNQGPNYIGRHTTASLSDKRLSRKHLTLTASPDASAVLAVDGTNPIVVKSENARNKLFPKDNATIHHGDVIELIPGHYLFKYKTLSGNEDVDAHGVHNHKRGSNDRGKGAEVEELSRKRSRKLVLQEKGSNTSFKAEVEGSSLGAENRGNLQSKRDGGQVEAIQHFRVPNDKIPQTFRLLNVRGLPQWANTSCVSIGDVIQGDIVVAILSNYMVDIDWLIPACPKIAKVPHVLVIHGEGDGTLDYMKRKKPANWILHKPPLPISFGTHHSKAMLLVYPRGVRIIVHTANLIFVDWNNKSQGLWMQDFPWKDQNNPSKGCGFENDLIDYLSALKWPEFSVNFPNLGSFKINPSFFKKFDYSNAAVRLIASVPGYHTSTNMKKWGHMKLRTILQEYTFDKEFRKSPLAYQFSSLGSLDEKWMAELASSMSSGLSDDRTPLGPGEPLIIWPTVEDVRCSLEGYAAGNAVPSPLKNVEKDFLRKYWARWMARHTGRCRAMPHIKTYTRYNGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPFKTCSSGFSCTSNGVPSEDKCGRPESSEASRTTLVTLNWHGNRNSDSSSEVIKLPVPYELPPQPYTTEDVPWSWDRRYNKKDVYGQVWPRHVQLYASQAS >ONI10097 pep chromosome:Prunus_persica_NCBIv2:G4:1239835:1241940:-1 gene:PRUPE_4G026600 transcript:ONI10097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPKLDSAATEEVYGLEEKMGTQKISVSDHINGFHYTADKSDSFVIDMESFSHGTDKDITPNSRVSMQRNLSRKWSQRDVEKKINYNAISTDRDVLAGGASSPLAALVGSSTPKSPLAMGTIDHSSNPQVHHQITVTAANIGTTTDGRCVVRRNSFRRSSSWAIDPKRVLFFFATMSSIGTILLIYFTLSIAKYNADENSLDWQQ >ONI10095 pep chromosome:Prunus_persica_NCBIv2:G4:1239835:1241940:-1 gene:PRUPE_4G026600 transcript:ONI10095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPKLDSAATEEVYGLEEKMGTQKISVSDHINGFHYTADKSDSFVIDMESFSHGTDKDITPNSRVSMQRNLSRKWSQRDVEKKINYNAISTDRDVLAGGASSPLGSSTPKSPLAMGTIDHSSNPQVHHQITVTAANIGTTTDGRCVVRRNSFRRSSSWAIDPKRVLFFFATMSSIGTILLIYFTLSIAKYNADENSLDWQQ >ONI10098 pep chromosome:Prunus_persica_NCBIv2:G4:1239835:1241940:-1 gene:PRUPE_4G026600 transcript:ONI10098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPKLDSAATEEVYGLEEKMGTQKISVSDHINGFHYTADKSDSFVIDMESFSHGTDKDITPNSRVSMQRNLSRKWSQRDVEKKINYNAISTDRDVLAGGASSPLAALVGSSTPKSPLAMGTIDHSSNPQVHHQITVTAANIGTTTDGRCVVRRNSFRRSSSWAIDPKRVLFFFATMSSIGTILLIYFTLSIAKYNADENSLDWQQ >ONI10094 pep chromosome:Prunus_persica_NCBIv2:G4:1239324:1242707:-1 gene:PRUPE_4G026600 transcript:ONI10094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPKLDSAATEEVYGLEEKMGTQKISVSDHINGFHYTADKSDSFVIDMESFSHGTDKDITPNSRVSRNLSRKWSQRDVEKKINYNAISTDRDVLAGGASSPLGSSTPKSPLAMGTIDHSSNPQVHHQITVTAANIGTTTDGRCVVRRNSFRRSSSWAIDPKRVLFFFATMSSIGTILLIYFTLSIAKYNADENSLDWQQ >ONI10096 pep chromosome:Prunus_persica_NCBIv2:G4:1239835:1241940:-1 gene:PRUPE_4G026600 transcript:ONI10096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPKLDSAATEEVYGLEEKMGTQKISVSDHINGFHYTADKSDSFVIDMESFSHGTDKDITPNSRVSMQRNLSRKWSQRDVEKKINYNAISTDRDVLAGGASSPLGSSTPKSPLAMGTIDHSSNPQVHHQITVTAANIGTTTDGRCVVRRNSFRRSSSWAIDPKRVLFFFATMSSIGTILLIYFTLSIAKYNADENSLDWQQ >ONI10093 pep chromosome:Prunus_persica_NCBIv2:G4:1239324:1242707:-1 gene:PRUPE_4G026600 transcript:ONI10093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPKLDSAATEEVYGLEEKMGTQKISVSDHINGFHYTADKSDSFVIDMESFSHGTDKDITPNSRVSRNLSRKWSQRDVEKKINYNAISTDRDVLAGGASSPLGSSTPKSPLAMGTIDHSSNPQVHHQITVTAANIGTTTDGRCVVRRNSFRRSSSWAIDPKRVLFFFATMSSIGTILLIYFTLSIAKYNADENSLDWQQ >ONI12099 pep chromosome:Prunus_persica_NCBIv2:G4:8274255:8276901:-1 gene:PRUPE_4G144100 transcript:ONI12099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFSPKLSTFLKPSSVTNLHRSFCSSSISSPPPTSLKPQTPLFLKPPIHSAASSDLQKWHDWAKSLASSVGSTFVDLDNGPDSTLLCREIKWLMEDAIEEESEGMENNQRSIRLRVALEELYMLWKQRVEERRPFQYVVGCEHWRDLVLCVQEGVLIPRPETELIVDLVGDVVLGNEGLREGLWADLGTGSGAIAIGIGRILGTGGRVIATDLSPAAFEVAGFNVQRYGLQDVVELRQGSWLEPLKDMEGKLAGLVSNPPYIPSDDISGLQAEVGRHEPKVALDGGINGMDDLLHICKGAASMLKPGGFFAFETNGEKQCKHLVEYMENDAGGSFCNLKIVPDFAGINRFVTGFHK >ONI11600 pep chromosome:Prunus_persica_NCBIv2:G4:6203523:6211118:-1 gene:PRUPE_4G115400 transcript:ONI11600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPLNDVSSAPPPPQALLERLKDYGQEDAFALWDELSPDERQLLVKEIESLDLSRIDRIIRCSLRSHGLPTAAIEPVPESSVSSVEERTLEDRERWWKMGLKAIYEGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAQATTEGSTTALQIHWYIMTSPFTDEATRKFFESHKYFGLEADQVTFFQQGTIPCVSKDGRFIMETPYGVAKAPDGNGGVYSALKSSRLLEDMATRGIKYVDCYGVDNALVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVRRGKGGPLTVVEYSEMDSSLASAINQETGRLRFCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSIHGLTMGLKLEQFIFDAFPYAPSTALFEVLREEEFAPVKNANGSNFDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYVGENLEAICRGRTFHAPCEITF >ONI13802 pep chromosome:Prunus_persica_NCBIv2:G4:16319242:16324916:-1 gene:PRUPE_4G245900 transcript:ONI13802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKRSFCLPSNLCLLFLSKPKARIALAAMAIPAVLAIVPIGVVFILSGLIVNLMQVVSFILLRPISKKLYRKINKVVAELLWLELIWLIDWWAGIKVELYVDSDTFQLMGKEHALVICNHRSDIDWLVGWLVAQRSGCLGSALAIMKKEVMFLPVIGWSMWFSEYFFLERRWTKDEITLKSGFQQLEDFPMPFWLALFVEGTRFTQAKLMVAQEFAASRGLPIPRNVLLPRTKGFVSSVSQMRSFVPAIYDCTVAVPKNQPPPTLLRIFRGQSSVVKLQIRRHSMQELPETADGIGQWCKDVFVTKDALLEKYFAKGTFSDQQLQNIGRPMKSLIVVLLWSCLIGYGIFKFVPWSSLLSSWKGIAFSATFLVLVVIVMQILIHSSESERSTPLNITPQDQTKERLVQK >ONI13801 pep chromosome:Prunus_persica_NCBIv2:G4:16318997:16324982:-1 gene:PRUPE_4G245900 transcript:ONI13801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKRSFCLPSNLCLLFLSKPKARIALAAMAIPAVLAIVPIGVVFILSGLIVNLMQVVSFILLRPISKKLYRKINKVVAELLWLELIWLIDWWAGIKVELYVDSDTFQLMGKEHALVICNHRSDIDWLVGWLVAQRSGCLGSALAIMKKEVMFLPVIGWSMWFSEYFFLERRWTKDEITLKSGFQQLEDFPMPFWLALFVEGTRFTQAKLMVAQEFAASRGLPIPRNVLLPRTKGFVSSVSQMRSFVPAIYDCTVAVPKNQPPPTLLRIFRGQSSVVKLQIRRHSMQELPETADGIGQWCKDVFVTKVVLLWSCLIGYGIFKFVPWSSLLSSWKGIAFSATFLVLVVIVMQILIHSSESERSTPLNITPQDQTKERLVQK >ONI13799 pep chromosome:Prunus_persica_NCBIv2:G4:16318997:16324982:-1 gene:PRUPE_4G245900 transcript:ONI13799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKRSFCLPSNLCLLFLSKPKARIALAAMAIPAVLAIVPIGVVFILSGLIVNLMQVVSFILLRPISKKLYRKINKVVAELLWLELIWLIDWWAGIKVELYVDSDTFQLMGKEHALVICNHRSDIDWLVGWLVAQRSGCLGSALAIMKKEVIGWSMWFSEYFFLERRWTKDEITLKSGFQQLEDFPMPFWLALFVEGTRFTQAKLMVAQEFAASRGLPIPRNVLLPRTKGFVSSVSQMRSFVPAIYDCTVAVPKNQPPPTLLRIFRGQSSVVKLQIRRHSMQELPETADGIGQWCKDVFVTKVVLLWSCLIGYGIFKFVPWSSLLSSWKGIAFSATFLVLVVIVMQILIHSSESERSTPLNITPQDQTKERLVQK >ONI13800 pep chromosome:Prunus_persica_NCBIv2:G4:16319242:16324916:-1 gene:PRUPE_4G245900 transcript:ONI13800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKRSFCLPSNLCLLFLSKPKARIALAAMAIPAVLAIVPIGVVFILSGLIVNLMQVVSFILLRPISKKLYRKINKVVAELLWLELIWLIDWWAGIKVELYVDSDTFQLMGKEHALVICNHRSDIDWLVGWLVAQRSGCLGSALAIMKKEVIGWSMWFSEYFFLERRWTKDEITLKSGFQQLEDFPMPFWLALFVEGTRFTQAKLMVAQEFAASRGLPIPRNVLLPRTKGFVSSVSQMRSFVPAIYDCTVAVPKNQPPPTLLRIFRGQSSVVKLQIRRHSMQELPETADGIGQWCKDVFVTKDALLEKYFAKGTFSDQQLQNIGRPMKSLIVVLLWSCLIGYGIFKFVPWSSLLSSWKGIAFSATFLVLVVIVMQILIHSSESERSTPLNITPQDQTKERLVQK >ONI13320 pep chromosome:Prunus_persica_NCBIv2:G4:13456471:13457975:1 gene:PRUPE_4G215200 transcript:ONI13320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENLDENAPNFTSNLPVPALKLLDCSLRVSVIPLSIATIWLTVTNKQDNISYGKLEFSNFTGLKYMVCISTISAAYAFLATMASWIRCLVTKAWLFFVSDQIVAYLMLTSGAAVMEILSLASNGDRTVSWSEACSSYGRFCSRMKVALVLHALALCSFIVLAVISAYRVFSMFEPPAASHKEVDEERT >ONI13160 pep chromosome:Prunus_persica_NCBIv2:G4:12882369:12883999:1 gene:PRUPE_4G206400 transcript:ONI13160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKFMTPPLEEVSWSYHNGGCWPTLLWLLTAACIETGRPQTAKTAIEQVEQRLSKDGWPEYYDGKAGRYIRKQARKYQTWSISGYLVAKLMIENPTNLSLIFLEEDKKIAKPRLTRSASF >ONI14026 pep chromosome:Prunus_persica_NCBIv2:G4:18389913:18395071:-1 gene:PRUPE_4G258500 transcript:ONI14026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKSEIEMLSFEATKVRLLRSLCIESQTMQVLDFAVFPEPEFDMPIFCANFFSSANTNIVVLDLNPLHDVISQRDYKEKYYKGLIPLGLKYAELLPWGGKLTSESLKFFSPIVIWTRFTSSSYKYDVLYSAFMDYYKAWLELMDQAVVETNASKIMCNREAQHRYLTWRAEKDPGHGLLKKLIGETQAKDLLVNFLFNGIDELGSKSFLDYFPEYCCEDGTINQSRSIIGKSFESRPWDGKGEFISNSFEN >ONI14024 pep chromosome:Prunus_persica_NCBIv2:G4:18389913:18395626:-1 gene:PRUPE_4G258500 transcript:ONI14024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNATPIWHLLPCRYNSRISMDGKSEIEMLSFEATKVRLLRSLCIESQTMQVLDFAVFPEPEFDMPIFCANFFSSANTNIVVLDLNPLHDVISQRDYKEKYYKGLIPLGLKYAEAWLELMDQAVVETNASKIMCNREAQHRYLTWRAEKDPGHGLLKKLIGETQAKDLLVNFLFNGIDELGSKSFLDYFPEYCCEDGTINQSRSIIGKSFESRPWDGKGEFISNSFEN >ONI14025 pep chromosome:Prunus_persica_NCBIv2:G4:18390159:18395224:-1 gene:PRUPE_4G258500 transcript:ONI14025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNATPIWHLLPCRYNSRISMDGKSEIEMLSFEATKVRLLRSLCIESQTMQVLDFAVFPEPEFDMPIFCANFFSSANTNIVVLDLNPLHDVISQRDYKEKYYKGLIPLGLKYAELLPWGGKLTSESLKFFSPIVIWTRFTSSSYKYDVLYSAFMDYYKAWLELMDQAVVETNASKIMCNREAQHRYLTWRAEKDPGHGLLKKLIGETQAKDLLVNFLFNGIDELGSKSFLDYFPEYCCEDGTINQSRSIIGKSFESRPWDGKGEFISNSFEN >ONI14022 pep chromosome:Prunus_persica_NCBIv2:G4:18389913:18395626:-1 gene:PRUPE_4G258500 transcript:ONI14022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCSSLSFCVPKRPLPLIRTALFSSICSSSRKQQMPIVQLSAISYKKFINSALDETKRHTHLAPSPLQERYNSRISMDGKSEIEMLSFEATKVRLLRSLCIESQTMQVLDFAVFPEPEFDMPIFCANFFSSANTNIVVLDLNPLHDVISQRDYKEKYYKGLIPLGLKYAEAWLELMDQAVVETNASKIMCNREAQHRYLTWRAEKDPGHGLLKKLIGETQAKDLLVNFLFNGIDELGSKSFLDYFPEYCCEDGTINQSRSIIGKSFESRPWDGKGEFISNSFEN >ONI14027 pep chromosome:Prunus_persica_NCBIv2:G4:18390378:18395387:-1 gene:PRUPE_4G258500 transcript:ONI14027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCSSLSFCVPKRPLPLIRTALFSSICSSSRKQQMPIVQLSAISYKKFINSALDETKRHTHLAPSPLQERYNSRISMDGKSEIEMLSFEATKVRLLRSLCIESQTMQVLDFAVFPEPEFDMPIFCANFFSSANTNIVVLDLNPLHDVISQRDYKEKYYKGLIPLGLKYAELLPWGGKLTSESLKFFSPIVIWTRFTSSSYKYDVLYSAFMDYYKAWLELMDQAVVETNASKIMCNREAQHRYLTWRAEKDPGHGLLKKLIGETQAKVLDIDEFDFVT >ONI14023 pep chromosome:Prunus_persica_NCBIv2:G4:18390159:18395387:-1 gene:PRUPE_4G258500 transcript:ONI14023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCSSLSFCVPKRPLPLIRTALFSSICSSSRKQQMPIVQLSAISYKKFINSALDETKRHTHLAPSPLQERYNSRISMDGKSEIEMLSFEATKVRLLRSLCIESQTMQVLDFAVFPEPEFDMPIFCANFFSSANTNIVVLDLNPLHDVISQRDYKEKYYKGLIPLGLKYAELLPWGGKLTSESLKFFSPIVIWTRFTSSSYKYDVLYSAFMDYYKAWLELMDQAVVETNASKIMCNREAQHRYLTWRAEKDPGHGLLKKLIGETQAKDLLVNFLFNGIDELGSKSFLDYFPEYCCEDGTINQSRSIIGKSFESRPWDGKGEFISNSFEN >ONI11945 pep chromosome:Prunus_persica_NCBIv2:G4:7532913:7543685:-1 gene:PRUPE_4G136200 transcript:ONI11945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIDEIVDPAEEERSRVFTQLKAYCFELLELLQNPKKHSSSLSSLLHFLRQSPSQALQPFFDYTLFPLLLLLDAAVDCRTSKKLGSKEKVVSSNVPKKPQKVSDSVAEGVLQCLEELLKKCLLGSADQLVVVLKKLTYGALLSPSDASEEFREGVIKCFRAMLLNLLPCSDESCACKQIFGVPMLLENRDLKDPLSRSSKYDSEPDECLLAFLQSQAASAAVGHWLSLLLTAADTEAARGHLGSARLRIEAFMTLRVLVAKVGTADALAFFLPGVVSQFAKVLHASKTMTSGAAGSGDAIDQAVRGLAEYLMIVLQDDANLSRLDMPVTVTSESNSKKYESTQSLMDELRKLPVKAHGPSKMVMEDSSNKVIPTTSQSEKKADSGKGDRSLHVDRTNDWIEKTSIHVDKILGATFRHICIHPAKKVRQGLLASIRGLLSKCGYTLRQSRQMLLECLCALVIDDSEEVSAGAQESLRNLFTLIGENQLGHDVAQIFTRLIDKLPKVVLGSEESLALSHAQQLLVIMYYSGPLFVVDHILQSPVTATRFLDTFSVCMSQNSVFAGSLDKLIKSRSSSVVYLDSVSELKAGTNITSDCLTIMAAVPQNSKIKDTQEKGIPYASNDAQKNYELPHMPPWFFHIGSRKLYEALSGILRLVGLSLMTDIKKGQHLSLITEIPLGCLRKLVSEIRMKDYNKSSWHSWYNRTGSGQLLRQASTAVCILNEIIFGISDQATDFFTRIFPNSRKRRKEVQESGAGFAGGQPFEIESSMFCESSWKVLQDEGLRSHLIDCIGRILHEYLSHEVWELPTEHKSSGIHPDYEAEDISVNFFQDTAMLHQVTIEGIGIIGICLGGNFVSSGFLHQSLYMLLENLVSSNYHVRSASDAVLHILAASSGYPTVGHLVLANADYVIDSICRQLRHLDINPHVPNVLAAMLSYIGVAYKILPLFEEPMRSVSVELEILGRHQHPELTIPFLKAVAEIVKASKREACSLPSQAESYLLDVKARIHDMEKKVDDDILMSHVESEQWESILFKLNDSKRYRRTVGAIASSCIMAATPLLASGRQAACLVALDIVEAVRRCLCVVSNTVQICGGDFFSRRFHTDGSHFWKLLSTSPFHRKPNLKEKIPLQLPYRSTSTSSEDSLAETSNLKVQVAVLNMIAELSRNRRSTSALEVVLKKVSGLVVGIACSGVVGLRDASVNALQGFASMDPDLIWLLIADVYYSMKKKDIPSPPTSDIPEIFQILPPPSSPKEYLYVQYGGQSYGFDVDFPSVETVFKKLHAL >ONI11951 pep chromosome:Prunus_persica_NCBIv2:G4:7533264:7539123:-1 gene:PRUPE_4G136200 transcript:ONI11951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFKLTYVLLLLMQECLCALVIDDSEEVSAGAQESLRNLFTLIGENQLGHDVAQIFTRLIDKLPKVVLGSEESLALSHAQQLLVIMYYSGPLFVVDHILQSPVTATRFLDTFSVCMSQNSVFAGSLDKLIKSRSSSVVYLDSVSELKAGTNITSDCLTIMAAVPQNSKIKDTQEKGIPYASNDAQKNYELPHMPPWFFHIGSRKLYEALSGILRLVGLSLMTDIKKGQHLSLITEIPLGCLRKLVSEIRMKDYNKSSWHSWYNRTGSGQLLRQASTAVCILNEIIFGISDQATDFFTRIFPNSRKRRKEVQESGAGFAGGQPFEIESSMFCESSWKVLQDEGLRSHLIDCIGRILHEYLSHEVWELPTEHKSSGIHPDYEAEDISVNFFQDTAMLHQVTIEGIGIIGICLGGNFVSSGFLHQSLYMLLENLVSSNYHVRSASDAVLHILAASSGYPTVGHLVLANADYVIDSICRQLRHLDINPHVPNVLAAMLSYIGVAYKILPLFEEPMRSVSVELEILGRHQHPELTIPFLKAVAEIVKASKREACSLPSQAESYLLDVKARIHDMEKKVDDDILMSHVESEQWESILFKLNDSKRYRRTVGAIASSCIMAATPLLASGRQAACLVALDIVEDGVMSLAKVEEAYCHERAAKEAIEEVIESYSLYYLQDMLDAADEGADENRLLPAMNKIWPFLVICIQNKNPVAVRRCLCVVSNTVQICGGDFFSRRFHTDGSHFWKLLSTSPFHRKPNLKEKIPLQLPYRSTSTSSEDSLAETSNLKVQVAVLNMIAELSRNRRSTSALEVVLKKVSGLVVGIACSGVVGLRDASVNALQGFASMDPDLIWLLIADVYYSMKKKDIPSPPTSDIPEIFQILPPPSSPKEYLYVQYGGQSYGFDVDFPSVETVFKKLHAL >ONI11946 pep chromosome:Prunus_persica_NCBIv2:G4:7533264:7543618:-1 gene:PRUPE_4G136200 transcript:ONI11946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIDEIVDPAEEERSRVFTQLKAYCFELLELLQNPKKHSSSLSSLLHFLRQSPSQALQPFFDYTLFPLLLLLDAAVDCRTSKKLGSKEKVVSSNVPKKPQKVSDSVAEGVLQCLEELLKKCLLGSADQLVVVLKKLTYGALLSPSDASEEFREGVIKCFRAMLLNLLPCSDESCACKQIFGVPMLLENRDLKDPLSRSSKYDSEPDECLLAFLQSQAASAAVGHWLSLLLTAADTEAARGHLGSARLRIEAFMTLRVLVAKVGTADALAFFLPGVVSQFAKVLHASKTMTSGAAGSGDAIDQAVRGLAEYLMIVLQDDANLSRLDMPVTVTSESNSKKYESTQSLMDELRKLPVKAHGPSKMVMEDSSNKVIPTTSQSEKKADSGKGDRSLHVDRTNDWIEKTSIHVDKILGATFRHICIHPAKKVRQGLLASIRGLLSKCGYTLRQSRQMLLECLCALVIDDSEEVSAGAQESLRNLFTLIGENQLGHDVAQIFTRLIDKLPKVVLGSEESLALSHAQQLLVIMYYSGPLFVVDHILQSPVTATRFLDTFSVCMSQNSVFAGSLDKLIKSRSSSVVYLDSVSELKAGTNITSDCLTIMAAVPQNSKIKDTQEKGIPYASNDAQKNYELPHMPPWFFHIGSRKLYEALSGILRLVGLSLMTDIKKGQHLSLITEIPLGCLRKLVSEIRMKDYNKSSWHSWYNRTGSGQLLRQASTAVCILNEIIFGISDQATDFFTRIFPNSRKRRKEVQESGAGFAGGQPFEIESSMFCESSWKVLQDEGLRSHLIDCIGRILHEYLSHEVWELPTEHKSSGIHPDYEAEDISVNFFQDTAMLHQVTIEGIGIIGICLGGNFVSSGFLHQSLYMLLENLVSSNYHVRSASDAVLHILAASSGYPTVGHLVLANADYVIDSICRQLRHLDINPHVPNVLAAMLSYIGVAYKILPLFEEPMRSVSVELEILGRHQHPELTIPFLKAVAEIVKASKREACSLPSQAESYLLDVKARIHDMEKKVDDDILMSHVESEQWESILFKLNDSKRYRRTVGAIASSCIMAATPLLASGRQAACLVALDIVEDGVMSLAKVEEAYCHERAAKEAIEEVIESYSLYYLQDMLDAADEGADENRLLPAMNKIWPFLVICIQNKNPVAVRRCLCVVSNTVQICGGDFFSRRFHTDGSHFWKLLSTSPFHRKPNLKEKIPLQLPYRSTSTSSEDSLAETSNLKVQVAVLNMIAELSRNRRSTSALEVVLKKVSGLVVGIACSGVVGLRDASVNALQGFASMDPDLIWLLIADVYYSMKKKDIPSPPTSDIPEIFQILPPPSSPKEYLYVQYGGQSYGFDVDFPSVETVFKKLHAL >ONI11950 pep chromosome:Prunus_persica_NCBIv2:G4:7532913:7539140:-1 gene:PRUPE_4G136200 transcript:ONI11950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFKLTYVLLLLMQECLCALVIDDSEEVSAGAQESLRNLFTLIGENQLGHDVAQIFTRLIDKLPKVVLGSEESLALSHAQQLLVIMYYSGPLFVVDHILQSPVTATRFLDTFSVCMSQNSVFAGSLDKLIKSRSSSVVYLDSVSELKAGTNITSDCLTIMAAVPQNSKIKDTQEKGIPYASNDAQKNYELPHMPPWFFHIGSRKLYEALSGILRLVGLSLMTDIKKGQHLSLITEIPLGCLRKLVSEIRMKDYNKSSWHSWYNRTGSGQLLRQASTAVCILNEIIFGISDQATDFFTRIFPNSRKRRKEVQESGAGFAGGQPFEIESSMFCESSWKVLQDEGLRSHLIDCIGRILHEYLSHEVWELPTEHKSSGIHPDYEAEDISVNFFQDTAMLHQVTIEGIGIIGICLGGNFVSSGFLHQSLYMLLENLVSSNYHVRSASDAVLHILAASSGYPTVGHLVLANADYVIDSICRQLRHLDINPHVPNVLAAMLSYIGVAYKILPLFEEPMRSVSVELEILGRHQHPELTIPFLKAVAEIVKASKREACSLPSQAESYLLDVKARIHDMEKKVDDDILMSHVESEQWESILFKLNDSKRYRRTVGAIASSCIMAATPLLASGRQAACLVALDIVEAVRRCLCVVSNTVQICGGDFFSRRFHTDGSHFWKLLSTSPFHRKPNLKEKIPLQLPYRSTSTSSEDSLAETSNLKVQVAVLNMIAELSRNRRSTSALEVVLKKVSGLVVGIACSGVVGLRDASVNALQGFASMDPDLIWLLIADVYYSMKKKDIPSPPTSDIPEIFQILPPPSSPKEYLYVQYGGQSYGFDVDFPSVETVFKKLHAL >ONI11947 pep chromosome:Prunus_persica_NCBIv2:G4:7533264:7541356:-1 gene:PRUPE_4G136200 transcript:ONI11947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNLLPCSDESCACKQIFGVPMLLENRDLKDPLSRSSKYDSEPDECLLAFLQSQAASAAVGHWLSLLLTAADTEAARGHLGSARLRIEAFMTLRVLVAKVGTADALAFFLPGVVSQFAKVLHASKTMTSGAAGSGDAIDQAVRGLAEYLMIVLQDDANLSRLDMPVTVTSESNSKKYESTQSLMDELRKLPVKAHGPSKMVMEDSSNKVIPTTSQSEKKADSGKGDRSLHVDRTNDWIEKTSIHVDKILGATFRHICIHPAKKVRQGLLASIRGLLSKCGYTLRQSRQMLLECLCALVIDDSEEVSAGAQESLRNLFTLIGENQLGHDVAQIFTRLIDKLPKVVLGSEESLALSHAQQLLVIMYYSGPLFVVDHILQSPVTATRFLDTFSVCMSQNSVFAGSLDKLIKSRSSSVVYLDSVSELKAGTNITSDCLTIMAAVPQNSKIKDTQEKGIPYASNDAQKNYELPHMPPWFFHIGSRKLYEALSGILRLVGLSLMTDIKKGQHLSLITEIPLGCLRKLVSEIRMKDYNKSSWHSWYNRTGSGQLLRQASTAVCILNEIIFGISDQATDFFTRIFPNSRKRRKEVQESGAGFAGGQPFEIESSMFCESSWKVLQDEGLRSHLIDCIGRILHEYLSHEVWELPTEHKSSGIHPDYEAEDISVNFFQDTAMLHQVTIEGIGIIGICLGGNFVSSGFLHQSLYMLLENLVSSNYHVRSASDAVLHILAASSGYPTVGHLVLANADYVIDSICRQLRHLDINPHVPNVLAAMLSYIGVAYKILPLFEEPMRSVSVELEILGRHQHPELTIPFLKAVAEIVKASKREACSLPSQAESYLLDVKARIHDMEKKVDDDILMSHVESEQWESILFKLNDSKRYRRTVGAIASSCIMAATPLLASGRQAACLVALDIVEDGVMSLAKVEEAYCHERAAKEAIEEVIESYSLYYLQDMLDAADEGADENRLLPAMNKIWPFLVICIQNKNPVAVRRCLCVVSNTVQICGGDFFSRRFHTDGSHFWKLLSTSPFHRKPNLKEKIPLQLPYRSTSTSSEDSLAETSNLKVQVAVLNMIAELSRNRRSTSALEVVLKKVSGLVVGIACSGVVGLRDASVNALQGFASMDPDLIWLLIADVYYSMKKKDIPSPPTSDIPEIFQILPPPSSPKEYLYVQYGGQSYGFDVDFPSVETVFKKLHAL >ONI11948 pep chromosome:Prunus_persica_NCBIv2:G4:7533264:7541356:-1 gene:PRUPE_4G136200 transcript:ONI11948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNLLPCSDESCACKQIFGVPMLLENRDLKDPLSRSSKYDSEPDECLLAFLQSQAASAAVGHWLSLLLTAADTEAARGHLGSARLRIEAFMTLRVLVAKVGTADALAFFLPGVVSQFAKVLHASKTMTSGAAGSGDAIDQAVRGLAEYLMIVLQDDANLSRLDMPVTVTSESNSKKYESTQSLMDELRKLPVKAHGPSKMVMEDSSNKVIPTTSQSEKKADSGKGDRSLHVDRTNDWIEKTSIHVDKILGATFRHICIHPAKKVRQGLLASIRGLLSKCGYTLRQSRQMLLECLCALVIDDSEEVSAGAQESLRNLFTLIGENQLGHDVAQIFTRLIDKLPKVVLGSEESLALSHAQQLLVIMYYSGPLFVVDHILQSPVTATRFLDTFSVCMSQNSVFAGSLDKLIKSRSSSVVYLDSVSELKAGTNITSDCLTIMAAVPQNSKIKDTQEKGIPYASNDAQKNYELPHMPPWFFHIGSRKLYEALSGILRLVGLSLMTDIKKGQHLSLITEIPLGCLRKLVSEIRMKDYNKSSWHSWYNRTGSGQLLRQASTAVCILNEIIFGISDQATDFFTRIFPNSRKRRKEVQESGAGFAGGQPFEIESSMFCESSWKVLQDEGLRSHLIDCIGRILHEYLSHEVWELPTEHKSSGIHPDYEAEDISVNFFQDTAMLHQVTIEGIGIIGICLGGNFVSSGFLHQSLYMLLENLVSSNYHVRSASDAVLHILAASSGYPTVGHLVLANADYVIDSICRQLRHLDINPHVPNVLAAMLSYIGVAYKILPLFEEPMRSVSVELEILGRHQHPELTIPFLKAVAEIVKASKREACSLPSQAESYLLDVKARIHDMEKKVDDDILMSHVESEQWESILFKLNDSKRYRRTVGAIASSCIMAATPLLASGRQAACLVALDIVEDGVMSLAKVEEAYCHERAAKEAIEEVIESYSLYYLQDMLDAADEGADENRLLPAMNKIWPFLVICIQNKNPVAVRRCLCVVSNTVQICGGDFFSRRFHTDGSHFWKLLSTSPFHRKPNLKEKIPLQLPYRSTSTSSEDSLAETSNLKVQVAVLNMIAELSRNRRSTSALEVVLKKVSGLVVGIACSGVVGLRDASVNALQGFASMDPDLIWLLIADVYYSMKKKDIPSPPTSDIPEIFQILPPPSSPKEYLYVQYGGQSYGFDVDFPSVETVFKKLHAL >ONI11949 pep chromosome:Prunus_persica_NCBIv2:G4:7532913:7543685:-1 gene:PRUPE_4G136200 transcript:ONI11949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCISTITSCFCSCWTLAVSSSHHTEAARGHLGSARLRIEAFMTLRVLVAKVGTADALAFFLPGVVSQFAKVLHASKTMTSGAAGSGDAIDQAVRGLAEYLMIVLQDDANLSRLDMPVTVTSESNSKKYESTQSLMDELRKLPVKAHGPSKMVMEDSSNKVIPTTSQSEKKADSGKGDRSLHVDRTNDWIEKTSIHVDKILGATFRHICIHPAKKVRQGLLASIRGLLSKCGYTLRQSRQMLLECLCALVIDDSEEVSAGAQESLRNLFTLIGENQLGHDVAQIFTRLIDKLPKVVLGSEESLALSHAQQLLVIMYYSGPLFVVDHILQSPVTATRFLDTFSVCMSQNSVFAGSLDKLIKSRSSSVVYLDSVSELKAGTNITSDCLTIMAAVPQNSKIKDTQEKGIPYASNDAQKNYELPHMPPWFFHIGSRKLYEALSGILRLVGLSLMTDIKKGQHLSLITEIPLGCLRKLVSEIRMKDYNKSSWHSWYNRTGSGQLLRQASTAVCILNEIIFGISDQATDFFTRIFPNSRKRRKEVQESGAGFAGGQPFEIESSMFCESSWKVLQDEGLRSHLIDCIGRILHEYLSHEVWELPTEHKSSGIHPDYEAEDISVNFFQDTAMLHQVTIEGIGIIGICLGGNFVSSGFLHQSLYMLLENLVSSNYHVRSASDAVLHILAASSGYPTVGHLVLANADYVIDSICRQLRHLDINPHVPNVLAAMLSYIGVAYKILPLFEEPMRSVSVELEILGRHQHPELTIPFLKAVAEIVKASKREACSLPSQAESYLLDVKARIHDMEKKVDDDILMSHVESEQWESILFKLNDSKRYRRTVGAIASSCIMAATPLLASGRQAACLVALDIVEDGVMSLAKVEEAYCHERAAKEAIEEVIESYSLYYLQDMLDAADEGADENRLLPAMNKIWPFLVICIQNKNPVAVRRCLCVVSNTVQICGGDFFSRRFHTDGSHFWKLLSTSPFHRKPNLKEKIPLQLPYRSTSTSSEDSLAETSNLKVQVAVLNMIAELSRNRRSTSALEVVLKKVSGLVVGIACSGVVGLRDASVNALQGFASMDPDLIWLLIADVYYSMKKKDIPSPPTSDIPEIFQILPPPSSPKEYLYVQYGGQSYGFDVDFPSVETVFKKLHAL >ONI14349 pep chromosome:Prunus_persica_NCBIv2:G4:23136874:23139174:-1 gene:PRUPE_4G277000 transcript:ONI14349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKTELRPTKKITPKNRTSDPRKSSQEQEHNTEKRKTLALKMSGGRSDEDTVRLKVQFNKDGVQTFFRVKRSTKLQKLMEAFCKNRSLDPKSIEFTFDSVRLEKNKTPEQLGMEDGDLIDALVSGDGA >ONI14348 pep chromosome:Prunus_persica_NCBIv2:G4:23136874:23138934:-1 gene:PRUPE_4G277000 transcript:ONI14348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKTELRPTKKITPKNRTSDPRKSSQEQEHNTEKRKTLALKMSGGRSDEDTVRLKVQFNKDGVQTFFRVKRSTKLQKLMEAFCKNRSLDPKSIEFTFDSVRLEKNKTPEQLGMEDGDLIDALVSGDGA >ONI12504 pep chromosome:Prunus_persica_NCBIv2:G4:9863945:9866773:-1 gene:PRUPE_4G168900 transcript:ONI12504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHLSIRMLSKLLVLLLFHLVVANFADSLQQLSCHAEESSALLQFKESFIITNKSASKVSSWKPAGGGNSSCCSWDGVECDEITGHVIGLNLSSSYLYGSLNANSSLFSLVHLQRLSLSYNNFNYSQIPSSIRNFPSLTHLDLSGSFFSGQVPSEVSHLSQLTYLSLCCNVLEIETSPSYDPPRLLKLQPSDLRSLVQNLTSLETLSLSYINISSIIPISLTNLSFLTSLFLRNCDLFGEFPVKIFNLQNLKVLSVRYNQNLVGYFPEFNQSSPLIELRVASTGFFGTIPSSIEKLNSLQELDVYQCNFSNFLVPSALGNLRQLTYLDISANRFGGPIPNSLANLTQLTSFFVFGNSLTGPIPSWLGNFSKLVELNFADNHLNGSVPALFSNLTNLKVLYLGNNSLSGVVEFQMFQKLQNLKELGLASNNLEFVTERFPNVMDATVPQFRILYLHSCNLKEFPYFLRNQTKLERLEMRGNNIHGEVPNWLWNISKETLTLVDITDNFLSGELPVVIPWVNMLCLRLSNNSFRGPLPIPPPSLLEYGATNNKFTGEISPLLCNMNSLLYLDVSKNNLSGTLPQCLGNFSDGLMLLLLGSNSFHGMMPQSFNNRSNLRMIDVSHNQLQGQLPRALANCVMLEYLVLSNNQFSDVFPIWLGTLPELKLLAMRHNGFNGVIGDTRTNIDFPKLRILDLSYNNFKGEIPPLFPDIAVNMSTYMQAEVHYVVTTIYVTRSVAYSITIAIKGLELYYSKIQEGIAAIDFSSNKFEGKIPEFIGNLTELCSLNISNNILTGSIPSSLGNLRKLESLDLSQNKLSGQIPQQLTRLTFLGTFDVSHNNLTGPIPQGTQLTSLNSTSYEGNLGLCGDPLPNKCREAPQRPPSTEEDNGSGSTGMVEFDLKFVLAGIGSGFVVGVVLADFMITRRQELFLKVVGMVRLMIWKR >ONI09694 pep chromosome:Prunus_persica_NCBIv2:G4:252834:256431:1 gene:PRUPE_4G003700 transcript:ONI09694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDNEEKGPEAEEGRNYCRGLSKSAQKKLLKQQKWEAKKAEKKALEKEQKKREGERKRKEWEERLASVSEEERGKLIESRKSLRKERMEQRSEERERNTERLSRAKECGQKIVIDLEFSHLMTPAEINSLVQQIMYCYAVNKRCREPGHLWLTGCKGEMGTQLKRLPGFDNWIIEKEDRSYMEALEDEKQNLVYLTADSENVVDDLDSSKIYIVGGLVDRNRWKGITMKKAEEQGIQTAKLPIANYLNMSSSQVLTVNQVIEILLKFLETKNWKDSFFQVIPQRKRCQADSEQSQQVKGGENGIDSEEKNDQFESKKQCAQDSTSQAPSHD >ONI09691 pep chromosome:Prunus_persica_NCBIv2:G4:252834:256398:1 gene:PRUPE_4G003700 transcript:ONI09691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDNEEKGPEAEEGRNYCRGLSKSAQKKLLKQQKWEAKKAEKKALEKEQKKREGERKRKEWEERLASVSEEERGKLIESRKSLRKERMEQRSEERERNTERLSRAKECGQKIVIDLEFSHLMTPAEINSLVQQIMYCYAVNKRCREPGHLWLTGCKGEMGTQLKRLPGFDNWIIEKEDRSYMEALEDEKQNLVYLTADSENVVDDLDSSKIYIVGGLVDRNRWKGITMKKAEEQGIQTAKLPIANYLNMSSSQVLTVNQVIEILLKFLETKNWKDSFFQVIPQRKRCQADSEQSQQVKGGENGIDSEEKNDQFESKKQCAQDSTSQAPSHD >ONI09695 pep chromosome:Prunus_persica_NCBIv2:G4:252834:256482:1 gene:PRUPE_4G003700 transcript:ONI09695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDNEEKGPEAEEGRNYCRGLSKSAQKKLLKQQKWEAKKAEKKALEKEQKKREGERKRKEWEERLASVSEEERGKLIESRKSLRKERMEQRSEERERNTERLSRAKECGQKIVIDLEFSHLMTPAEINSLVQQIMYCYAVNKRCREPGHLWLTGCKGEMGTQLKRLPGFDNWIIEKEDRSYMEALEDEKQNLVYLTADSENVVDDLDSSKIYIVGGLVDRNRWKGITMKKAEEQGIQTAKLPIANYLNMSSSQVLTVNQVIEILLKFLETKNWKDSFFQVIPQRKRCQADSEQSQQVKGGENGIDSEEKNDQFESKKQCAQDSTSQAPSHD >ONI09692 pep chromosome:Prunus_persica_NCBIv2:G4:252834:256617:1 gene:PRUPE_4G003700 transcript:ONI09692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDNEEKGPEAEEGRNYCRGLSKSAQKKLLKQQKWEAKKAEKKALEKEQKKREGERKRKEWEERLASVSEEERGKLIESRKSLRKERMEQRSEERERNTERLSRAKECGQKIVIDLEFSHLMTPAEINSLVQQIMYCYAVNKRCREPGHLWLTGCKGEMGTQLKRLPGFDNWIIEKEDRSYMEALEDEKQNLVYLTADSENVVDDLDSSKIYIVGGLVDRNRWKGITMKKAEEQGIQTAKLPIANYLNMSSSQVLTVNQVIEILLKFLETKNWKDSFFQVIPQRKRCQADSEQSQQVKGGENGIDSEEKNDQFESKKQCAQDSTSQAPSHD >ONI09693 pep chromosome:Prunus_persica_NCBIv2:G4:252834:256398:1 gene:PRUPE_4G003700 transcript:ONI09693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDNEEKGPEAEEGRNYCRGLSKSAQKKLLKQQKWEAKKAEKKALEKEQKKREGERKRKEWEERLASVSEEERGKLIESRKSLRKERMEQRSEERERNTERLSRAKECGQKIVIDLEFSHLMTPAEINSLVQQIMYCYAVNKRCREPGHLWLTGCKGEMGTQLKRLPGFDNWIIEKEDRSYMEALEDEKQNLVYLTADSENVVDDLDSSKIYIVGGLVDRNRWKGITMKKAEEQGIQTAKLPIANYLNMSSSQVLTVNQVIEILLKFLETKNWKDSFFQVIPQRKRCQADSEQSQQVKGGENGIDSEEKNDQFESKKQCAQDSTSQAPSHD >ONI12084 pep chromosome:Prunus_persica_NCBIv2:G4:8222604:8231026:1 gene:PRUPE_4G143400 transcript:ONI12084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGCCKYSCETASETLQWINAIVDFIRPYSFLTDAHVVNFFKDRMWEAIDKEWMDCLRKEAVENLLQIPGGVVQDHWPASLKKFIVTLSSLVLPRQQQDLQTVLPGMNMTSLNSVLSTGMNWKKKHEVEVLSAVVDSIATSVGANAIIDVGSGQGYLAQVLSFHYQHAVVAIDACSHHGRVTDARAEQIKKYYAAQLRKSVSGNRSLTLPKTVTCNVMSIDMLKALADTPLHKDNVSLLQSSCNAGNGSSLVLAGLHACGDLSVTMLKTFMECKEVKAVVSVGCCYNLLSEEGFNHVGSQCGFPMSCGVISAGISLGKSSRDLACQVLSVYYPEVMSTSPSIGRQGKALRRRQQRVVPNSSLHHKENKCSLSEINSHMTGSCYHKKSTELETDDSFDMMLETTSRTNENSSNKATKCERSEFIGNYPLFQKYCLSGLCRLGLEPLKETDIHGIWKQVESFAELIGPYWSLRAAFGPLLETFLLLDRLLFLQEQGSSIEAVMLPIFNAALSPRNVAIIAKKLTQM >ONI12080 pep chromosome:Prunus_persica_NCBIv2:G4:8222604:8231026:1 gene:PRUPE_4G143400 transcript:ONI12080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGCCKYSCETASETLQWINAIVDFIRPYSFLTDAHVVNFFKDRMWEAIDKEWMDCLRKEAVENLLQIPGGVVQDHWPASLKKFIVTLSSLVLPRQQQDLQTVLPGMNMTSLNSVLSTGMNWKKKHEVEVLSAVVDSIATSVGANAIIDVGSGQGYLAQVLSFHYQHAVVAIDACSHHGRVTDARAEQIKKYYAAQLRKSVSGNRSLTLPKTVTCNVMSIDMLKALADTPLHKDNVSLLQSSCNAGNGSSLVLAGLHACGDLSVTMLKTFMECKEVKAVVSVGCCYNLLSEEGFNHVGSQCGFPMSCGVISAGISLGKSSRDLACQSAERWKCLENDAGLHNFELHAFRAAFQMVLSVYYPEVMSTSPSIGRQGKALRRRQQRVVPNSSLHHKENKCSLSEINSHMTGSCYHKKSTELETDDSFDMMLETTSRTNENSSNKATKCERSEFIGNYPLFQKYCLSGLCRLGLEPLKETDIHGIWKQVESFAELIGPYWSLRAAFGPLLETFLLLDRLLFLQEQGSSIEAVMLPIFNAALSPRNVAIIAKKLTQM >ONI12086 pep chromosome:Prunus_persica_NCBIv2:G4:8222604:8231026:1 gene:PRUPE_4G143400 transcript:ONI12086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGCCKYSCETASETLQWINAIVDFIRPYSFLTDAHVVNFFKDRMWEAIDKEWMDCLRKEAVENLLQIPGGVVQDHWPASLKKFIVTLSSLVLPRQQQDLQTVLPGMNMTSLNSVLSTGMNWKKKHEVEVLSAVVDSIATSVGANAIIDVGSGQGYLAQVLSFHYQHAVVAIDACSHHGRVTDARAEQIKKYYAAQLRKSVSGNRSLTLPKTVTCNVMSIDMLKALADTPLHKDNVSLLQSSCNAGNGSSLVLAGLHACGDLSVTMLKTFMECKEVKAVVSVGCCYNLLSEEGFNHVGSQCGFPMSCGVISAGISLGKSSRDLACQVLSVYYPEVMSTSPSIGRQGKALRRRQQRVVPNSSLHHKENKCSLSEINSHMTGSCYHKKSTELETDDSFDMMLETTSRTNENSSNKATKCERSEFIGNYPLFQKYCLSGLCRLGLEPLKETDIHGIWKQVESFAELIGPYWSLRAAFGPLLETFLLLDRLLFLQEQGSSIEAVMLPIFNAALSPRNVAIIAKKLTQM >ONI12087 pep chromosome:Prunus_persica_NCBIv2:G4:8222954:8230232:1 gene:PRUPE_4G143400 transcript:ONI12087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEAIDKEWMDCLRKEAVENLLQIPGGVVQDHWPASLKKFIVTLSSLVLPRQQQDLQTVLPGMNMTSLNSVLSTGMNWKKKHEVEVLSAVVDSIATSVGANAIIDVGSGQGYLAQVLSFHYQHAVVAIDACSHHGRVTDARAEQIKKYYAAQLRKSVSGNRSLTLPKTVTCNVMSIDMLKALADTPLHKDNVSLLQSSCNAGNGSSLVLAGLHACGDLSVTMLKTFMECKEVKAVVSVGCCYNLLSEEGFNHVGSQCGFPMSCGVISAGISLGKSSRDLACQSAERWKCLENDAGLHNFELHAFRAAFQMVLSVYYPEVMSTSPSIGRQGKALRRRQQRVVPNSSLHHKENKCSLSEINSHMTGSCYHKKSTELETDDSFDMMLETTSRTNENSSNKATKCERSEFIGNYPLFQKYCLSGLCRLGLEPLKETDIHGIWKQVESFAELIGPYWSLRAAFGPLLETFLLLDRLLFLQEQGSSIEAVMLPIFNAALSPRNVAIIAKKLTQM >ONI12083 pep chromosome:Prunus_persica_NCBIv2:G4:8222940:8230311:1 gene:PRUPE_4G143400 transcript:ONI12083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGCCKYSCETASETLQWINAIVDFIRPYSFLTDAHVVNFFKDRMWEAIDKEWMDCLRKEAVENLLQIPGGVVQDHWPASLKKFIVTLSSLVLPRQQQDLQTVLPGMNMTSLNSVLSTGMNWKKKHEVEVLSAVVDSIATSVGANAIIDVGSGQGYLAQVLSFHYQHAVVAIDACSHHGRVTDARAEQIKKYYAAQLRKSVSGNRSLTLPKTVTCNVMSIDMLKALADTPLHKDNVSLLQSSCNAGNGSSLVLAGLHACGDLSVTMLKTFMECKEVKAVVSVGCCYNLLSEEGFNHVGSQCGFPMSCGVISAGISLGKSSRDLACQVLSVYYPEVMSTSPSIGRQGKALRRRQQRVVPNSSLHHKENKCSLSEINSHMTGSCYHKKSTELETDDSFDMMLETTSRTNENSSNKATKCERSEFIGNYPLFQKYCLSGLCRLGLEPLKETDIHGIWKQVESFAELIGPYWSLRAAFGPLLETFLLLDRLLFLQEQGSSIEAVMLPIFNAALSPRNVAIIAKKLTQM >ONI12082 pep chromosome:Prunus_persica_NCBIv2:G4:8222940:8231026:1 gene:PRUPE_4G143400 transcript:ONI12082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGCCKYSCETASETLQWINAIVDFIRPYSFLTDAHVVNFFKDRMWEAIDKEWMDCLRKEAVENLLQIPGGVVQDHWPASLKKFIVTLSSLVLPRQQQDLQTVLPGMNMTSLNSVLSTGMNWKKKHEVEVLSAVVDSIATSVGANAIIDVGSGQGYLAQVLSFHYQHAVVAIDACSHHGRVTDARAEQIKKYYAAQLRKSVSGNRSLTLPKTVTCNVMSIDMLKALADTPLHKDNVSLLQSSCNAGNGSSLVLAGLHACGDLSVTMLKTFMECKEVKAVVSVGCCYNLLSEEGFNHVGSQCGFPMSCGVISAGISLGKSSRDLACQSAERWKCLENDAGLHNFELHAFRAAFQMVLSVYYPEVMSTSPSIGRQGKALRRRQQRVVPNSSLHHKENKCSLSEINSHMTGSCYHKKSTELETDDSFDMMLETTSRTNENSSNKATKCERSEFIGNYPLFQKYCLSGLCRLGLEPLKETDIHGIWKQVESFAELIGPYWSLRAAFGPLLETFLLLDRLLFLQEQGSSIEAVMLPIFNAALSPRNVAIIAKKLTQM >ONI12088 pep chromosome:Prunus_persica_NCBIv2:G4:8222954:8230232:1 gene:PRUPE_4G143400 transcript:ONI12088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEAIDKEWMDCLRKEAVENLLQIPGGVVQDHWPASLKKFIVTLSSLVLPRQQQDLQTVLPGMNMTSLNSVLSTGMNWKKKHEVEVLSAVVDSIATSVGANAIIDVGSGQGYLAQVLSFHYQHAVVAIDACSHHGRVTDARAEQIKKYYAAQLRKSVSGNRSLTLPKTVTCNVMSIDMLKALADTPLHKDNVSLLQSSCNAGNGSSLVLAGLHACGDLSVTMLKTFMECKEVKAVVSVGCCYNLLSEEGFNHVGSQCGFPMSCGVISAGISLGKSSRDLACQVLSVYYPEVMSTSPSIGRQGKALRRRQQRVVPNSSLHHKENKCSLSEINSHMTGSCYHKKSTELETDDSFDMMLETTSRTNENSSNKATKCERSEFIGNYPLFQKYCLSGLCRLGLEPLKETDIHGIWKQVESFAELIGPYWSLRAAFGPLLETFLLLDRLLFLQEQGSSIEAVMLPIFNAALSPRNVAIIAKKLTQM >ONI12081 pep chromosome:Prunus_persica_NCBIv2:G4:8222604:8231026:1 gene:PRUPE_4G143400 transcript:ONI12081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGCCKYSCETASETLQWINAIVDFIRPYSFLTDAHVVNFFKDRMWEAIDKEWMDCLRKEAVENLLQIPGGVVQDHWPASLKKFIVTLSSLVLPRQQQDLQTVLPGMNMTSLNSVLSTGMNWKKKHEVEVLSAVVDSIATSVGANAIIDVGSGQGYLAQVLSFHYQHAVVAIDACSHHGRVTDARAEQIKKYYAAQLRKSVSGNRSLTLPKTVTCNVMSIDMLKALADTPLHKDNVSLLQSSCNAGNGSSLVLAGLHACGDLSVTMLKTFMECKEVKAVVSVGCCYNLLSEEGFNHVGSQCGFPMSCGVISAGISLGKSSRDLACQSAERWKCLENDAGLHNFELHAFRAAFQMVLSVYYPEVMSTSPSIGRQGKALRRRQQRVVPNSSLHHKENKCSLSEINSHMTGSCYHKKSTELETDDSFDMMLETTSRTNENSSNKATKCERSEFIGNYPLFQKYCLSGLCRLGLEPLKETDIHGIWKQVESFAELIGPYWSLRAAFGPLLETFLLLDRLLFLQEQGSSIEAVMLPIFNAALSPRNVAIIAKKLTQM >ONI12079 pep chromosome:Prunus_persica_NCBIv2:G4:8222935:8229707:1 gene:PRUPE_4G143400 transcript:ONI12079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGCCKYSCETASETLQWINAIVDFIRPYSFLTDAHVVNFFKDRMWEAIDKEWMDCLRKEAVENLLQIPGGVVQDHWPASLKKFIVTLSSLVLPRQQQDLQTVLPGMNMTSLNSVLSTGMNWKKKHEVEVLSAVVDSIATSVGANAIIDVGSGQGYLAQVLSFHYQHAVVAIDACSHHGRVTDARAEQIKKYYAAQLRKSVSGNRSLTLPKTVTCNVMSIDMLKALADTPLHKDNVSLLQSSCNAGNGSSLVLAGLHACGDLSVTMLKTFMECKEVKAVVSVGCCYNLLSEEGFNHVGSQCGFPMSCGVISAGISLGKSSRDLACQSAERWKCLENDAGLHNFELHAFRAAFQMVLSVYYPEVMSTSPSIGRQGKALRRRQQRVVPNSSLHHKENKCSLSEINSHMTGSCYHKKSTELETDDSFDMMLETTSRTNENSSNKATKCERSEFIGNYPLFQKYCLSGLCRLGLEPLKETDIHGIWKQVESFAELIGPYWSLRAAFGPLLETFLLLDRLLFLQEQGSSIEAVMLPIFNAALSPRNVAIIAKKLTQM >ONI12085 pep chromosome:Prunus_persica_NCBIv2:G4:8222935:8229707:1 gene:PRUPE_4G143400 transcript:ONI12085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGCCKYSCETASETLQWINAIVDFIRPYSFLTDAHVVNFFKDRMWEAIDKEWMDCLRKEAVENLLQIPGGVVQDHWPASLKKFIVTLSSLVLPRQQQDLQTVLPGMNMTSLNSVLSTGMNWKKKHEVEVLSAVVDSIATSVGANAIIDVGSGQGYLAQVLSFHYQHAVVAIDACSHHGRVTDARAEQIKKYYAAQLRKSVSGNRSLTLPKTVTCNVMSIDMLKALADTPLHKDNVSLLQSSCNAGNGSSLVLAGLHACGDLSVTMLKTFMECKEVKAVVSVGCCYNLLSEEGFNHVGSQCGFPMSCGVISAGISLGKSSRDLACQVLSVYYPEVMSTSPSIGRQGKALRRRQQRVVPNSSLHHKENKCSLSEINSHMTGSCYHKKSTELETDDSFDMMLETTSRTNENSSNKATKCERSEFIGNYPLFQKYCLSGLCRLGLEPLKETDIHGIWKQVESFAELIGPYWSLRAAFGPLLETFLLLDRLLFLQEQGSSIEAVMLPIFNAALSPRNVAIIAKKLTQM >ONI09684 pep chromosome:Prunus_persica_NCBIv2:G4:220226:224350:-1 gene:PRUPE_4G003200 transcript:ONI09684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRVKDQQKGSGSGSPRAEVGEVDTRAPFQSVKAAVSLFGEVVSRGVKTASSPANANANANSPANATPVKKKLSSENHALDKETQLLLAQKELNKIKQQLESAQTTKARALNELEKAKRTLQDLNTNLKTVSDSKQLAIKDAEQVKIRAKKLEEVKSREPIEGGAWKRELDHARKEYTATVTELDATKQELTKIRQDFDAALEAKLAAFQQAAEAQRSANVNSDRVNELSKEVAAMQGSIEQLKLASQQAQQEQANAVAEKQAHLRSYNSAKQQVEEKLLSLKLEVDPELTESLEAKLEETTLEIEVLQEEMKKAHACEMDSMRVITVELNEATKTLQEVADEESSLRSLVNSLRLNLEDVKRKQTELKDKEMEMESLAAKLTAQIQKTKEEAEAQLKSPPSHHEYDHSSTAQKLSSETESARLEAEEMKKNAHQQKREAEDTRVVAEEAEKKLKIALEEAKDAKEAEKRALDELKLLSGGTQEAGTCSNSETSGGKIKLSSEGYESLTTKVEECRKLAEQKEAESMNQVEAINVRKREVDKKLEANLKAIEEIKTATDMALSKADMAESAKSAVEGELRKRRQEEQMVAGESSGSFSIQF >ONI10545 pep chromosome:Prunus_persica_NCBIv2:G4:2542671:2545475:-1 gene:PRUPE_4G052700 transcript:ONI10545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRVLSRATTFGALPCHRKPSPRENAAVSFFSARPIGAVSEGGNLIWGRQLRPGLLLEASPLKREILRPCLAAASSPTEGNDSAGDAKVAPLGFFDKYPAILTGFFFFMWYFLNVIFNIMNKKIYNYFPYPYFVSVVHLGVGVVYCLISWAVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNASASQFILGQSIPLSLWLSLAPVVLGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALLVCIPPALIVEGPQLIKYGFNDAIAKVGLVKFVSDLFWVGLFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSILVFGNKISTQTGIGTAIAIAGVAIYSYIKAKIEEEKRQGKAA >ONI10547 pep chromosome:Prunus_persica_NCBIv2:G4:2542671:2545475:-1 gene:PRUPE_4G052700 transcript:ONI10547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEATPPRAAARGLAVEEGDSPALPRRRFLSDRGKRFRRVRDAKVAPLGFFDKYPAILTGFFFFMWYFLNVIFNIMNKKIYNYFPYPYFVSVVHLGVGVVYCLISWAVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNASASQFILGQSIPLSLWLSLAPVVLGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALLVCIPPALIVEGPQLIKYGFNDAIAKVGLVKFVSDLFWVGLFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSILVFGNKISTQTGIGTAIAIAGVAIYSYIKAKIEEEKRQGKAA >ONI10546 pep chromosome:Prunus_persica_NCBIv2:G4:2542883:2545430:-1 gene:PRUPE_4G052700 transcript:ONI10546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRVLSRATTFGALPCHRKPSPRENAAVSFFSARPIGAVSEGGNLIWGRQLRPGLLLEASPLKREILRPCLAAASSPTEGNDSAGDAKVAPLGFFDKYPAILTGFFFFMWYFLNVIFNIMNKKIYNYFPYPYFVSVVHLGVGVVYCLISWAVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNASASQFILGQSIPLSLWLSLAPVVLGVSMASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALLVCIPPALIVEGPQLIKYGFNDAIAKVGLVKFVSDLFWVGLFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSILVFGKALLFFSLVLLLLLQLPFFFCITNCYLLLTGNKISTQTGIGTAIAIAGVAIYSYIKAKIEEEKRQGKAA >ONI10684 pep chromosome:Prunus_persica_NCBIv2:G4:2960057:2962209:-1 gene:PRUPE_4G061800 transcript:ONI10684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTDPQMTPSHATATTPSSNPRPMPVREDCWSEDATSTLVDAWGRRYLELNRGCLRQKDWQEVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKFEMNRVSSSNGTLTSSWPFFERLDALIGSNYKKPSPSPPVAVPLPLGYRKTPSPATVVTAVGLPQKRSASSAAVDEGFFRMNYSAVAAAAAAEAEDDDDEDEDEDDEVNYEVDEEVEMERERDSGGEIGGGGGGEGLKRLARAIERFGEVYQRVEAEKLRQMVDLEKQRMQFANDLEVQRMNMFMDTQVQLERIKHGKRSGSNAFVHGCERL >ONI10683 pep chromosome:Prunus_persica_NCBIv2:G4:2960044:2962209:-1 gene:PRUPE_4G061800 transcript:ONI10683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTDPQMTPSHATATTPSSNPRPMPVREDCWSEDATSTLVDAWGRRYLELNRGCLRQKDWQEVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKFEMNRVSSSNGTLTSSWPFFERLDALIGSNYKKPSPSPPVAVPLPLGYRKTPSPATVVTAVGLPQKRSASSAAVDEGFFRMNYSAVAAAAAAEAEDDDDEDEDEDDEVNYEVDEEVEMERERDSGGEIGGGGGGEGLKRLARAIERFGEVYQRVEAEKLRQMVDLEKQRMQFANDLEVQRMNMFMDTQVQLERIKHGKRSGSNVEL >ONI10681 pep chromosome:Prunus_persica_NCBIv2:G4:2959728:2962674:-1 gene:PRUPE_4G061800 transcript:ONI10681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTDPQMTPSHATATTPSSNPRPMPVREDCWSEDATSTLVDAWGRRYLELNRGCLRQKDWQEVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKFEMNRVSSSNGTLTSSWPFFERLDALIGSNYKKPSPSPPVAVPLPLGYRKTPSPATVVTAVGLPQKRSASSAAVDEGFFRMNYSAVAAAAAAEAEDDDDEDEDEDDEVNYEVDEEVEMERERDSGGEIGGGGGGEGLKRLARAIERFGEVYQRVEAEKLRQMVDLEKQRMQFANDLEVQRMNMFMDTQVQLERIKHGKRSGSNGW >ONI10685 pep chromosome:Prunus_persica_NCBIv2:G4:2960057:2962209:-1 gene:PRUPE_4G061800 transcript:ONI10685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTDPQMTPSHATATTPSSNPRPMPVREDCWSEDATSTLVDAWGRRYLELNRGCLRQKDWQEVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKFEMNRVSSSNGTLTSSWPFFERLDALIGSNYKKPSPSPPVAVPLPLGYRKTPSPATVVTAVGLPQKRSASSAAVDEGFFRMNYSAVAAAAAAEAEDDDDEDEDEDDEVNYEVDEEVEMERERDSGGEIGGGGGGEGLKRLARAIERFGEVYQRVEAEKLRQMVDLEKQRMQFANDLEVQRMNMFMDTQVQLERIKHGKRSGSNAFVHGCERL >ONI10682 pep chromosome:Prunus_persica_NCBIv2:G4:2958837:2962674:-1 gene:PRUPE_4G061800 transcript:ONI10682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTDPQMTPSHATATTPSSNPRPMPVREDCWSEDATSTLVDAWGRRYLELNRGCLRQKDWQEVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKFEMNRVSSSNGTLTSSWPFFERLDALIGSNYKKPSPSPPVAVPLPLGYRKTPSPATVVTAVGLPQKRSASSAAVDEGFFRMNYSAVAAAAAAEAEDDDDEDEDEDDEVNYEVDEEVEMERERDSGGEIGGGGGGEGLKRLARAIERFGEVYQRVEAEKLRQMVDLEKQRMQFANDLEVQRMNMFMDTQVQLERIKHGKRSGSNVEL >ONI10679 pep chromosome:Prunus_persica_NCBIv2:G4:2958837:2962674:-1 gene:PRUPE_4G061800 transcript:ONI10679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTDPQMTPSHATATTPSSNPRPMPVREDCWSEDATSTLVDAWGRRYLELNRGCLRQKDWQEVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKFEMNRVSSSNGTLTSSWPFFERLDALIGSNYKKPSPSPPVAVPLPLGYRKTPSPATVVTAVGLPQKRSASSAAVDEGFFRMNYSAVAAAAAAEAEDDDDEDEDEDDEVNYEVDEEVEMERERDSGGEIGGGGGGEGLKRLARAIERFGEVYQRVEAEKLRQMVDLEKQRMQFANDLEVQRMNMFMDTQVQLERIKHGKRSGSNDIYMRGEL >ONI10686 pep chromosome:Prunus_persica_NCBIv2:G4:2960057:2962209:-1 gene:PRUPE_4G061800 transcript:ONI10686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTDPQMTPSHATATTPSSNPRPMPVREDCWSEDATSTLVDAWGRRYLELNRGCLRQKDWQEVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKFEMNRVSSSNGTLTSSWPFFERLDALIGSNYKKPSPSPPVAVPLPLGYRKTPSPATVVTAVGLPQKRSASSAAVDEGFFRMNYSAVAAAAAAEAEDDDDEDEDEDDEVNYEVDEEVEMERERDSGGEIGGGGGGEGLKRLARAIERFGEVYQRVEAEKLRQMVDLEKQRMQFANDLEVQRMNMFMDTQVQLERIKHGKRSGSNAFVHGCERL >ONI10680 pep chromosome:Prunus_persica_NCBIv2:G4:2959766:2962209:-1 gene:PRUPE_4G061800 transcript:ONI10680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLTDPQMTPSHATATTPSSNPRPMPVREDCWSEDATSTLVDAWGRRYLELNRGCLRQKDWQEVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKFEMNRVSSSNGTLTSSWPFFERLDALIGSNYKKPSPSPPVAVPLPLGYRKTPSPATVVTAVGLPQKRSASSAAVDEGFFRMNYSAVAAAAAAEAEDDDDEDEDEDDEVNYEVDEEVEMERERDSGGEIGGGGGGEGLKRLARAIERFGEVYQRVEAEKLRQMVDLEKQRMQFANDLEVQRMNMFMDTQVQLERIKHGKRSGSNGW >ONI14554 pep chromosome:Prunus_persica_NCBIv2:G4:25170678:25179628:-1 gene:PRUPE_4G287200 transcript:ONI14554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWQMFADAGNNYRWYPDTPNGAVYPTPTTTTTTTLHSDSERQQQLKSSSRLPSMADLLLQGCSKLAEAQTQNQRNGFDADDGVGMFRNGFGRPVAIKPSSLAKASSLLQTGTGQVQATNSRGGFSNSLFQTGSGKMVNISPDGLVRAKTLLGLGDDNDHSKLPGSNSGGVAMDAASISRSPLINKTVSVQTRCKKNEADLNFMSPERLNLTPDKPSSIKFHTAGGRSISVSTDALQRARSLLGDPELGSFLNEGDAGDSVFSFSKGRGHDERTPFSHQKMTRKNFLTKTSVSPLQSSSKQVRSSSAINSSTNLITQFDVVSNESVCKSNSELPYRQEKPLSDKPCIIKTVENNYLENGGSLRINPVGKSLAKPLVDISNTVGTTAMNSTQKSGVKRRLGRSSISPFKKPRTSNSSTPLHKNVPLVRNGLSTLSSDHLCSKRRVSTRYPFSVTRMYVKKYFGMPPPDQNMFECLSDPGRRITASNAEKYMFLDESGLNCIGAEAFVHMLARSGALMQYTSREWVTNHYKWVVWKLACYERCHLAKSFGNFLTVSNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMVVLCISAIRSNCDPNMETSSRAENSGAAKVELTDGWYSVDAVLDALLSKQLASGKLFVGQKLRIWGAALCGWAGPVSPLEVARTVTFRLHINGTYRAHWADRLGFCKGAGAPLAFNCVKSGGGAVPCTLLGVTRIYPVLYKERLSNGRSVVRSERLESQMVQSYQERRSNVIEGIISEFQRGLEHSHLCNDSDSEGAKLLKILETATEPEILMAEMSSEQLKSFTKYRSKLEAIKQSDMEKSILKALEDAGLSEREVTPFMRVRVVGLTRKLCHGKDSSKEGLITIWNPSEKQKTELVEGRAYRVSGLIPTSSDVGTLHLLVRGSTTTWQPLSQQAVEHFKPFFNPRKSVLLSDLGKVPLSSEFDIAAFVVFVGEVYIAAHQKKQWVFVTDGSISELNSEESCDSLLAVCFCSPYTGVDSIAPINYNLTGSTVGFCNLTKREKDQMNSLWVAEATETSAYFLSFDTPHCSHLKDAAVSTERWGRISSLTINRLKERVLCIIGASKG >ONI14558 pep chromosome:Prunus_persica_NCBIv2:G4:25170678:25179683:-1 gene:PRUPE_4G287200 transcript:ONI14558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWQMFADAGNNYRWYPDTPNGAVYPTPTTTTTTTLHSDSERQQQLKSSSRLPSMADLLLQGCSKLAEAQTQNQRNGFDADDGVGMFRNGFGRPVAIKPSSLAKASSLLQTGTGQVQATNSRGGFSNSLFQTGSGKMVNISPDGLVRAKTLLGLGDDNDHSKLPGSNSGGVAMDAASISRSPLINKTVSVQTRCKKNEADLNFMSPERLNLTPDKPSSIKFHTAGGRSISVSTDALQRARSLLGDPELGSFLNEGDAGDSVFSFSKGRGHDERTPFSHQKMTRKNFLTKTSVSPLQSSSKQVRSSSAINSSTNLITQFDVVSNESVCKSNSELPYRQEKPLSDKPCIIKTVENNYLENGGSLRINPVGKSLAKPLVDISNTVGTTAMNSTQKSGVKRRLGRSSISPFKKPRTSNSSTPLHKNVPLVRNGLSTLSSDHLCSKRRVSTRYPFSVTRMYVKKYFGMPPPDQNMFECLSDPGRRITASNAEKYMFLDESGLNCIGAEAFVHMLARSGALMQYTSREWVTNHYKWVVWKLACYERCHLAKSFGNFLTVSNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMVVLCISAIRSNCDPNMETSSRAENSGAAKVELTDGWYSVDAVLDALLSKQLASGKLFVGQKLRIWGAALCGWAGPVSPLEVSASTQVARTVTFRLHINGTYRAHWADRLGFCKGAGAPLAFNCVKSGGGAVPCTLLGVTRIYPVLYKERLSNGRSVVRSERLESQMVQSYQERRSNVIEGIISEFQRGLEHSHLCNDSDSEGAKLLKILETATEPEILMAEMSSEQLKSFTKYRSKLEAIKQSDMEKSILKALEDAGLSEREVTPFMRVRVVGLTRKLCHGKDSSKEGLITIWNPSEKQKTELVEGRAYRVSGLIPTSSDVGTLHLLVRGSTTTWQPLSQQAVEHFKPFFNPRKSVLLSDLGKVPLSSEFDIAAFVVFVGEVYIAAHQKKQWVFVTDGSISELNSEESCDSLLAVCFCSPYTGVDSIAPINYNLTGSTVGFCNLTKREKDQMNSLWVAEATETSAYFLSFDTPHCSHLKDAAVSTERWGRISSLTINRLKERVLCIIGASKG >ONI14553 pep chromosome:Prunus_persica_NCBIv2:G4:25170840:25179628:-1 gene:PRUPE_4G287200 transcript:ONI14553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWQMFADAGNNYRWYPDTPNGAVYPTPTTTTTTTLHSDSERQQQLKSSSRLPSMADLLLQGCSKLAEAQTQNQRNGFDADDGVGMFRNGFGRPVAIKPSSLAKASSLLQTGTGQVQATNSRGGFSNSLFQTGSGKMVNISPDGLVRAKTLLGLGDDNDHSKLPGSNSGGVAMDAASISRSPLINKTVSVQTRCKKNEADLNFMSPERLNLTPDKPSSIKFHTAGGRSISVSTDALQRARSLLGDPELGSFLNEGDAGDSVFSFSKGRGHDERTPFSHQKMTRKNFLTKTSVSPLQSSSKQVRSSSAINSSTNLITQFDVVSNESVCKSNSELPYRQEKPLSDKPCIIKTVENNYLENGGSLRINPVGKSLAKPLVDISNTVGTTAMNSTQKSGVKRRLGRSSISPFKKPRTSNSSTPLHKNVPLVRNGLSTLSSDHLCSKRRVSTRYPFSVTRMYVKKYFGMPPPDQNMFECLSDPGRRITASNAEKYMFLDESGLNCIGAEAFVHMLARSGALMQYTSREWVTNHYKWVVWKLACYERCHLAKSFGNFLTVSNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMVVLCISAIRSNCDPNMETSSRAENSGAAKVELTDGWYSVDAVLDALLSKQLASGKLFVGQKLRIWGAALCGWAGPVSPLEVARTVTFRLHINGTYRAHWADRLGFCKGAGAPLAFNCVKSGGGAVPCTLLGVTRIYPVLYKERLSNGRSVVRSERLESQMVQSYQERRSNVIEGIISEFQRGLEHSHLCNDSDSEGAKLLKILETATEPEILMAEMSSEQLKSFTKYRSKLEAIKQSDMEKSILKALEDAGLSEREVTPFMRVRVVGLTRKLCHGKDSSKEGLITIWNPSEKQKTELVEGRAYRVSGLIPTSSDVGTLHLLVRGSTTTWQPLSQQAVEHFKPFFNPRKSVLLSDLGKVPLSSEFDIAAFVVFVGEVYIAAHQKKQWVFVTDGSISELNSEESCDSLLAVCFCSPYTGVDSIAPINYNLTGSTVGFCNLTKREKDQMNSLWVAEATETSAYFLSFDTPHCSHLKDAAVSTERWGRISSLTINRLKERVLCIIGASKG >ONI14557 pep chromosome:Prunus_persica_NCBIv2:G4:25170882:25179628:-1 gene:PRUPE_4G287200 transcript:ONI14557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWQMFADAGNNYRWYPDTPNGAVYPTPTTTTTTTLHSDSERQQQLKSSSRLPSMADLLLQGCSKLAEAQTQNQRNGFDADDGVGMFRNGFGRPVAIKPSSLAKASSLLQTGTGQVQATNSRGGFSNSLFQTGSGKMVNISPDGLVRAKTLLGLGDDNDHSKLPGSNSGGVAMDAASISRSPLINKTVSVQTRCKKNEADLNFMSPERLNLTPDKPSSIKFHTAGGRSISVSTDALQRARSLLGDPELGSFLNEGDAGDSVFSFSKGRGHDERTPFSHQKMTRKNFLTKTSVSPLQSSSKQVRSSSAINSSTNLITQFDVVSNESVCKSNSELPYRQEKPLSDKPCIIKTVENNYLENGGSLRINPVGKSLAKPLVDISNTVGTTAMNSTQKSGVKRRLGRSSISPFKKPRTSNSSTPLHKNVPLVRNGLSTLSSDHLCSKRRVSTRYPFSVTRMYVKKYFGMPPPDQNMFECLSDPGRRITASNAEKYMFLDESGLNCIGAEAFVHMLARSGALMQYTSREWVTNHYKWVVWKLACYERCHLAKSFGNFLTVSNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMVVLCISAIRSNCDPNMETSSRAENSGAAKVELTDGWYSVDAVLDALLSKQLASGKLFVGQKLRIWGAALCGWAGPVSPLEVSASTQVARTVTFRLHINGTYRAHWADRLGFCKGAGAPLAFNCVKSGGGAVPCTLLGVTRIYPVLYKERLSNGRSVVRSERLESQMVQSYQERRSNVIEGIISEFQRGLEHSHLCNDSDSEGAKLLKILETATEPEILMAEMSSEQLKSFTKYRSKLEAIKQSDMEKSILKALEDAGLSEREVTPFMRVRVVGLTRKLCHGKDSSKEGLITIWNPSEKQKTELVEGRAYRVSGLIPTSSDVGTLHLLVRGSTTTWQPLSQQAVEHFKPFFNPRKSVLLSDLGKVPLSSEFDIAAFVVFVGEVYIAAHQKKQWVFVTDGSISELNSEESCDSLLAVCFCSPYTGVDSIAPINYNLTGSTVGFCNLTKREKDQMNSLWVAEATETSAYFLSFDTPHCSHLKDAAVSTERWGRISSLTINRLKERVLCIIGASKG >ONI14560 pep chromosome:Prunus_persica_NCBIv2:G4:25171833:25179457:-1 gene:PRUPE_4G287200 transcript:ONI14560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWQMFADAGNNYRWYPDTPNGAVYPTPTTTTTTTLHSDSERQQQLKSSSRLPSMADLLLQGCSKLAEAQTQNQRNGFDADDGVGMFRNGFGRPVAIKPSSLAKASSLLQTGTGQVQATNSRGGFSNSLFQTGSGKMVNISPDGLVRAKTLLGLGDDNDHSKLPGSNSGGVAMDAASISRSPLINKTVSVQTRCKKNEADLNFMSPERLNLTPDKPSSIKFHTAGGRSISVSTDALQRARSLLGDPELGSFLNEGDAGDSVFSFSKGRGHDERTPFSHQKMTRKNFLTKTSVSPLQSSSKQVRSSSAINSSTNLITQFDVVSNESVCKSNSELPYRQEKPLSDKPCIIKTVENNYLENGGSLRINPVGKSLAKPLVDISNTVGTTAMNSTQKSGVKRRLGRSSISPFKKPRTSNSSTPLHKNVPLVRNGLSTLSSDHLCSKRRVSTRYPFSVTRMYVKKYFGMPPPDQNMFECLSDPGRRITASNAEKYMFLDESGLNCIGAEAFVHMLARSGALMQYTSREWVTNHYKWVVWKLACYERCHLAKSFGNFLTVSNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMVVLCISAIRSNCDPNMETSSRAENSGAAKVELTDGWYSVDAVLDALLSKQLASGKLFVGQKLRIWGAALCGWAGPVSPLEVSASTQVARTVTFRLHINGTYRAHWADRLGFCKGAGAPLAFNCVKSGGGAVPCTLLGVTRIYPVLYKERLSNGRSVVRSERLESQMVQSYQERRSNVIEGIISEFQRGLEHSHLCNDSDSEGAKLLKILETATEPEILMAEMSSEQLKSFTKYRSKLEAIKQSDMEKSILKALEDAGLSEREVTPFMRVRVVGLTRKLCHGKDSSKEGLITIWNPSEKQQKTELVEGRAYRVSGLIPTSSDVGTLHLLVRGSTTTWQPLSQQAVEHFKPFFNPRKSVLLSDLGKVPLSSEFDIAAFVVFVGEVYIAAHQKKQWVFVTDGSISELNSEESCDSLLAVCFCSPYTGVDSIAPINYNLTGSTVGFCNLTKREKDQMNSLWVAEATETSAYFLSFDTPHCSHLKDAAVSTERWGRISSLTINRLKERVLCIIGASKG >ONI14559 pep chromosome:Prunus_persica_NCBIv2:G4:25171833:25179457:-1 gene:PRUPE_4G287200 transcript:ONI14559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWQMFADAGNNYRWYPDTPNGAVYPTPTTTTTTTLHSDSERQQQLKSSSRLPSMADLLLQGCSKLAEAQTQNQRNGFDADDGVGMFRNGFGRPVAIKPSSLAKASSLLQTGTGQVQATNSRGGFSNSLFQTGSGKMVNISPDGLVRAKTLLGLGDDNDHSKLPGSNSGGVAMDAASISRSPLINKTVSVQTRCKKNEADLNFMSPERLNLTPDKPSSIKFHTAGGRSISVSTDALQRARSLLGDPELGSFLNEGDAGDSVFSFSKGRGHDERTPFSHQKMTRKNFLTKTSVSPLQSSSKQVRSSSAINSSTNLITQFDVVSNESVCKSNSELPYRQEKPLSDKPCIIKTVENNYLENGGSLRINPVGKSLAKPLVDISNTVGTTAMNSTQKSGVKRRLGRSSISPFKKPRTSNSSTPLHKNVPLVRNGLSTLSSDHLCSKRRVSTRYPFSVTRMYVKKYFGMPPPDQNMFECLSDPGRRITASNAEKYMFLDESGLNCIGAEAFVHMLARSGALMQYTSREWVTNHYKWVVWKLACYERCHLAKSFGNFLTVSNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMVVLCISAIRSNCDPNMETSSRAENSGAAKVELTDGWYSVDAVLDALLSKQLASGKLFVGQKLRIWGAALCGWAGPVSPLEVSASTQVARTVTFRLHINGTYRAHWADRLGFCKGAGAPLAFNCVKSGGGAVPCTLLGVTRIYPVLYKERLSNGRSVVRSERLESQMVQSYQERRSNVIEGIISEFQRGLEHSHLCNDSDSEGAKLLKILETATEPEILMAEMSSEQLKSFTKYRSKLEAIKQSDMEKSILKALEDAGLSEREVTPFMRVRVVGLTRKLCHGKDSSKEGLITIWNPSEKQQKTELVEGRAYRVSGLIPTSSDVGTLHLLVRGSTTTWQPLSQQAVEHFKPFFNPRKSVLLSDLGKVPLSSEFDIAAFVVFVGEVYIAAHQKKQWVFVTDGSISELNSEESCDSLLAVCFCSPYTGVDSIAPINYNLTGSTVGFCNLTKREKDQMNSLWVAEATETSAYFLSFDTPHCSHLKDAAVSTERWGRISSLTINRLKERVLCIIGASKG >ONI14556 pep chromosome:Prunus_persica_NCBIv2:G4:25171833:25179457:-1 gene:PRUPE_4G287200 transcript:ONI14556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWQMFADAGNNYRWYPDTPNGAVYPTPTTTTTTTLHSDSERQQQLKSSSRLPSMADLLLQGCSKLAEAQTQNQRNGFDADDGVGMFRNGFGRPVAIKPSSLAKASSLLQTGTGQVQATNSRGGFSNSLFQTGSGKMVNISPDGLVRAKTLLGLGDDNDHSKLPGSNSGGVAMDAASISRSPLINKTVSVQTRCKKNEADLNFMSPERLNLTPDKPSSIKFHTAGGRSISVSTDALQRARSLLGDPELGSFLNEGDAGDSVFSFSKGRGHDERTPFSHQKMTRKNFLTKTSVSPLQSSSKQVRSSSAINSSTNLITQFDVVSNESVCKSNSELPYRQEKPLSDKPCIIKTVENNYLENGGSLRINPVGKSLAKPLVDISNTVGTTAMNSTQKSGVKRRLGRSSISPFKKPRTSNSSTPLHKNVPLVRNGLSTLSSDHLCSKRRVSTRYPFSVTRMYVKKYFGMPPPDQNMFECLSDPGRRITASNAEKYMFLDESGLNCIGAEAFVHMLARSGALMQYTSREWVTNHYKWVVWKLACYERCHLAKSFGNFLTVSNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMVVLCISAIRSNCDPNMETSSRAENSGAAKVELTDGWYSVDAVLDALLSKQLASGKLFVGQKLRIWGAALCGWAGPVSPLEVARTVTFRLHINGTYRAHWADRLGFCKGAGAPLAFNCVKSGGGAVPCTLLGVTRIYPVLYKERLSNGRSVVRSERLESQMVQSYQERRSNVIEGIISEFQRGLEHSHLCNDSDSEGAKLLKILETATEPEILMAEMSSEQLKSFTKYRSKLEAIKQSDMEKSILKALEDAGLSEREVTPFMRVRVVGLTRKLCHGKDSSKEGLITIWNPSEKQQKTELVEGRAYRVSGLIPTSSDVGTLHLLVRGSTTTWQPLSQQAVEHFKPFFNPRKSVLLSDLGKVPLSSEFDIAAFVVFVGEVYIAAHQKKQWVFVTDGSISELNSEESCDSLLAVCFCSPYTGVDSIAPINYNLTGSTVGFCNLTKREKDQMNSLWVAEATETSAYFLSFDTPHCSHLKDAAVSTERWGRISSLTINRLKERVLCIIGASKG >ONI14555 pep chromosome:Prunus_persica_NCBIv2:G4:25171833:25179457:-1 gene:PRUPE_4G287200 transcript:ONI14555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWQMFADAGNNYRWYPDTPNGAVYPTPTTTTTTTLHSDSERQQQLKSSSRLPSMADLLLQGCSKLAEAQTQNQRNGFDADDGVGMFRNGFGRPVAIKPSSLAKASSLLQTGTGQVQATNSRGGFSNSLFQTGSGKMVNISPDGLVRAKTLLGLGDDNDHSKLPGSNSGGVAMDAASISRSPLINKTVSVQTRCKKNEADLNFMSPERLNLTPDKPSSIKFHTAGGRSISVSTDALQRARSLLGDPELGSFLNEGDAGDSVFSFSKGRGHDERTPFSHQKMTRKNFLTKTSVSPLQSSSKQVRSSSAINSSTNLITQFDVVSNESVCKSNSELPYRQEKPLSDKPCIIKTVENNYLENGGSLRINPVGKSLAKPLVDISNTVGTTAMNSTQKSGVKRRLGRSSISPFKKPRTSNSSTPLHKNVPLVRNGLSTLSSDHLCSKRRVSTRYPFSVTRMYVKKYFGMPPPDQNMFECLSDPGRRITASNAEKYMFLDESGLNCIGAEAFVHMLARSGALMQYTSREWVTNHYKWVVWKLACYERCHLAKSFGNFLTVSNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMVVLCISAIRSNCDPNMETSSRAENSGAAKVELTDGWYSVDAVLDALLSKQLASGKLFVGQKLRIWGAALCGWAGPVSPLEVARTVTFRLHINGTYRAHWADRLGFCKGAGAPLAFNCVKSGGGAVPCTLLGVTRIYPVLYKERLSNGRSVVRSERLESQMVQSYQERRSNVIEGIISEFQRGLEHSHLCNDSDSEGAKLLKILETATEPEILMAEMSSEQLKSFTKYRSKLEAIKQSDMEKSILKALEDAGLSEREVTPFMRVRVVGLTRKLCHGKDSSKEGLITIWNPSEKQQKTELVEGRAYRVSGLIPTSSDVGTLHLLVRGSTTTWQPLSQQAVEHFKPFFNPRKSVLLSDLGKVPLSSEFDIAAFVVFVGEVYIAAHQKKQWVFVTDGSISELNSEESCDSLLAVCFCSPYTGVDSIAPINYNLTGSTVGFCNLTKREKDQMNSLWVAEATETSAYFLSFDTPHCSHLKDAAVSTERWGRISSLTINRLKERVLCIIGASKG >ONI10724 pep chromosome:Prunus_persica_NCBIv2:G4:3062147:3066745:1 gene:PRUPE_4G063800 transcript:ONI10724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKKQSEAMALLVSNYNDEEEDEDMEDIERDKEEEEEYDEYQERREQREYDDYGELRRGSEDSSMVDMDRMVAGDSGNDDSAPPNAGDNENLTPNEGQFRHSTPQLRQTVPSDSLNRSRRGALTIVDYGHDEVAMSPEPEEGEIEGSGRVRFGADLLSANGDFHDKTPPGTVHILTPLDQATPQLSEPSQSDTMNDAALESEGIDAEQAVAEEQKDVDPLDKFLPPPVKAKCSEELQRRINKFLELKRSGKSFNAGLRNKKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGFDKSDYYDEIEAEMRREMERKDQERKRSQKIEYVSGGTQPGIVGAAPKINVPVPGVSTMAASGMNSLPPAPDVMPRDGRQNKKSKWDKVDGDRKNPLPSGVQDSMSTVGTHATLLSSGAGYMAFAQQRRREVEEKRSSERKLDRRS >ONI12165 pep chromosome:Prunus_persica_NCBIv2:G4:8472574:8478058:1 gene:PRUPE_4G148400 transcript:ONI12165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGGKRGRACVVVLGDIGRSPRMQYHALSLARQVSLEVDIVAYGGSEPHSAVLEHQSIHIHKMQQWPTFPRGVPSILKPLVLLIKPVIQVLVLLWFLCIKIPAPDVFLVQNPPSVPTLVAVKWASWLRRSAFIVDWHNFGYTLLALSLGRSSRFVAIYRWFERHFGKMADGSLCVTRAMQHELAQNWGIKATVLYDQPPEFFRPASLEEKHKLFCRLDKSLRKPLGVPDCTSTGTGEMLNKDINETVFSTLVGTDITSKLDRPALIVSSTSWTPDEDFGILLEAAVMYDRRVAAILNEDDSIQEEALWKEMQSGKQYLYPRLLFVITGKGPEKEKYEEKISRLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVQVEKNGLLFSSSSELADELLMLFKGFPDACDSLKGLRNGALEMSSSRRWATEWEEHAKALILEVISKNLD >ONI12167 pep chromosome:Prunus_persica_NCBIv2:G4:8472574:8478058:1 gene:PRUPE_4G148400 transcript:ONI12167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGGKRGRACVVVLGDIGRSPRMQYHALSLARQVSLEVDIVAYGGSEPHSAVLEHQSIHIHKMQQWPTFPRGVPSILKPLVLLIKPVIQVLVLLWFLCIKIPAPDVFLVQNPPSVPTLVAVKWASWLRRSAFIVDWHNFGYTLLALSLGRSSRFVAIYRWFERHFGKMADGSLCVTRAMQHELAQNWGIKATVLYDQPPEFFRPASLEEKHKLFCRLDKSLRKPLGVPDCTSTGTGEMLNKDINETVFSTLVGTDITSKLDRPALIVSSTSWTPDEDFGILLEAAVMYDRRVAAILNEDDSIQEEALWKEMQSGKQYLYPRLLFVITGKGPEKEKYEEKISRLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYS >ONI12166 pep chromosome:Prunus_persica_NCBIv2:G4:8472574:8478058:1 gene:PRUPE_4G148400 transcript:ONI12166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGGKRGRACVVVLGDIGRSPRMQYHALSLARQVSLEVDIVAYGGSEPHSAVLEHQSIHIHKMWPTFPRGVPSILKPLVLLIKPVIQVLVLLWFLCIKIPAPDVFLVQNPPSVPTLVAVKWASWLRRSAFIVDWHNFGYTLLALSLGRSSRFVAIYRWFERHFGKMADGSLCVTRAMQHELAQNWGIKATVLYDQPPEFFRPASLEEKHKLFCRLDKSLRKPLGVPDCTSTGTGEMLNKDINETVFSTLVGTDITSKLDRPALIVSSTSWTPDEDFGILLEAAVMYDRRVAAILNEDDSIQEEALWKEMQSGKQYLYPRLLFVITGKGPEKEKYEEKISRLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVQVEKNGLLFSSSSELADELLMLFKGFPDACDSLKGLRNGALEMSSSRRWATEWEEHAKALILEVISKNLD >ONI13820 pep chromosome:Prunus_persica_NCBIv2:G4:16466789:16468306:-1 gene:PRUPE_4G247500 transcript:ONI13820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQLKQIHALLIKNPKPQLLNPLLGHLTNSPTPQNAFFLYNQMLHHPTSHNHYTFTYALKACCLLHARNKAQEIHAHVLKSGHFSDTFIQNSLLHFYLIQSDIVSATRVFDSIPLPDVVSWTSMISGLAKCGFVEEAILKFMSMDVEPNYATLVIVMSACSSLGAFKFGKAVHGYCLRNLRARNIILDNAVLDFYLRCGSLESARYLFVNMPKRDVYSWTSVVGGYAQRGFCEEAVRLFQQMVQRGEAEPNEATIVNASSACSSIGALSLGQWVHNYASTRPDLMVNGNVGNALINMYVKCGDVGTAISVFKGLDCKDIISWSTIISGMAMNGHGMHALQLFSLMLVHGIPPDDVTFLGLLSACSHTGLVDQGLILFNAMKDVYRIVPKTQHYACLVDMYGRAGFLEEAEGFIKEMPMKADGPVWGALLNACKIHGNEKMFERVRDDLLKSRGVSTGTYALLSNTYANHDRWDDANNVRDEMRRMGLKKLPGCSRIEVDPSISP >ONI12494 pep chromosome:Prunus_persica_NCBIv2:G4:9764540:9770578:1 gene:PRUPE_4G168000 transcript:ONI12494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFSFFFRKKAASSVTRTVELDEVVSGILNVTVYTYKELRIATENFREENKIGQGGFGSVYKGTLKNGTLAAIKVLSAESRQGSREFLTEIEVIADIEHDNLVKLYGCCAEGNHRILVYGYLENNSLAQTLLGGGHSSIQFSWSARCKICIGVARGLAFLHEEVQPHIIHRDIKASNILLDKDLSPKISDFGLAKFIAPNLTHVSTRVAGTAGYLAPEYAIRGQVTRKADVYSFGVLILEIICGRCNTNRRLPLKEQYLLPVVWEHHEREQLVELVDTALNGIFNVEEACRFLKIGLLCTQDMPKLRPSMSTVVEMLTGERDVNEEKISKPGLLSEFMDQTEGHRDKATARPSSCTVSASGRFINTSSSSENITTSFATMTFNSIYDRSN >ONI12492 pep chromosome:Prunus_persica_NCBIv2:G4:9764520:9770513:1 gene:PRUPE_4G168000 transcript:ONI12492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFSFFFRKKAASSVTRTVELDEVVSGILNVTVYTYKELRIATENFREENKIGQGGFGSVYKGTLKNGTLAAIKVLSAESRQGSREFLTEIEVIADIEHDNLVKLYGCCAEGNHRILVYGYLENNSLAQTLLGGGHSSIQFSWSARCKICIGVARGLAFLHEEVQPHIIHRDIKASNILLDKDLSPKISDFGLAKFIAPNLTHVSTRVAGTAGYLAPEYAIRGQVTRKADVYSFGVLILEIICGRCNTNRRLPLKEQYLLPVVWEHHEREQLVELVDTALNGIFNVEEACRFLKIGLLCTQDMPKLRPSMSTVVEMLTGERDVNEEKISKPGLLSEFMDQTEGHRDKATARPSSCTVSASGRFINTSSSSENITTSFATMTFNSIYDRSN >ONI12493 pep chromosome:Prunus_persica_NCBIv2:G4:9764555:9770513:1 gene:PRUPE_4G168000 transcript:ONI12493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFSFFFRKKAASSVTRTVELDEVVSGILNVTVYTYKELRIATENFREENKIGQGGFGSVYKGTLKNGTLAAIKVLSAESRQGSREFLTEIEVIADIEHDNLVKLYGCCAEGNHRILVYGYLENNSLAQTLLGGGHSSIQFSWSARCKICIGVARGLAFLHEEVQPHIIHRDIKASNILLDKDLSPKISDFGLAKFIAPNLTHVSTRVAGTAGYLAPEYAIRGQVTRKADVYSFGVLILEIICGRCNTNRRLPLKEQYLLPVVWEHHEREQLVELVDTALNGIFNVEEACRFLKIGLLCTQDMPKLRPSMSTVVEMLTGERDVNEEKISKPGLLSEFMDQTEGHRDKATARPSSCTVSASGRFINTSSSSENITTSFATMTFNSIYDRSN >ONI10828 pep chromosome:Prunus_persica_NCBIv2:G4:3443127:3448421:1 gene:PRUPE_4G070400 transcript:ONI10828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKTTPLRFTLGKQSSLAPECEWEKLVVGEGEDDPEGIDPRVKLMYLANEADLDGVKELLDSGIDVNFKDIDDRTALHVAACQGLTDVVSLLLERGADVDPKDRWGSTPLADAIYYKHNDVIKLLEKRGAKPLMAPMHVRYAREVPEYEIDPKELDFTDSVEITKGTYRIASWRGIQVAVKRLGEEVLIDEDKVKAFRDELEFLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLCAFLKRKGALRPTTAVRLALDIARGMSYLHENKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLICQDTSCRYIAPEVSKNEEYDTKVDVFSFALILQEMIEGCPPFSAKKDKEVPKAYVEKERPPFRAPPKLYGHGLRELIEECWNENPAKRPAFRQIILRLESIHNSLGHKRRWKVRPLKCFQNIEAMLRKDRSGRGSRSRSSRSTSSI >ONI11476 pep chromosome:Prunus_persica_NCBIv2:G4:5639804:5643302:-1 gene:PRUPE_4G108000 transcript:ONI11476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKEVIMVATAEEVEEEEVVMAVMVVVGPSTTINNNRCSSSSIQQPNESSGQQGRPSPWNSPAPTRAASPAVSPAPINSPTPDTLVPRMQSLEISKQALSSPSLDEADKKLPVRRPDNGGTKSIRTTRLRANHFNLSYNPESIIRHYDVDVKPEKPAKNGRPVKMSKSELSAIRKKLSYDNPSDFPLSSTACDDGKNIFSAVPLPTGSFKVEVSEEEGTRFSSYIFTINLVNELKLCKLKEYCSGQLLSIPRDILQGMDLVMKENPTRCLVSVGRSFYPATSNQNDDLGHGIAAFRGFQQSSRMTFQGPALCLDYSVLAFYKRLPVIDFLQEQIRGFDLNNLNRFRREVVDVLMGLKVTVTHLRTKQKYIIKGLTDKNAGDITFDAAGIDGQCTPKKVRLLDYFREKYQEIQYKNIPCLDLGKNGRKNYTPLEFCVLVEGQRYPKENLDRNAAIKLKDMSLASPKVRENMICGMVQSGDGPCGGGIIENFGIEVNMNMTSVIGRVIVPPELKLGPSSDGRMTKVTVDREKCHWNLVGKSLVEGKPISHWAVLDFSSYDRFCLDPTQFIPKLITKCNKLGIIMGEPVLYEAISMRPFTSMLRQLLESINEQAYKKSKGHLQLLVCVMARKDPGYKYLKWISETQIGIVTQCCLSNMANKANDQYLSNLALKINAKLGGSNVELSDRFPLFGVAGHVMFVGADVNHPAARNTTSPSIAAVVATVNWPAANRYAARVRPQDHRTEKILNFGDMCLELVETYERLNKVKPDKIVVFRDGVSEGQFDMVRNEELLDLKRALGGIKYYPTITLIVAQKRHHTRLFPESMRDGSSTGNVLPGTVVDTIIVHPFQFDFYLCSHYGALGTSKPTRYHVLWDEHWFTSDQLQKLIYDLCFTFARCTKPVSLVPPVYYADLVAYRGRLYHESMEGQSPASASSSSSSSSSASSPLSVASLEKRFYKLHADLENTMFFI >ONI12982 pep chromosome:Prunus_persica_NCBIv2:G4:11937002:11938702:1 gene:PRUPE_4G195500 transcript:ONI12982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPMFSIRTTYQSIVVSFTVHAGSSQSTITRVFSNSILNLNGTIDSISPSQSIRDGTTLVSKGGSFELGFFSPDTPENRYLGIWYKNIPVRTVVWVANRCNPINDSSGILMINSTGHLVLLGQNKSVVWWINSAKHAPSATVELLDSGNLVLRDAGTYLWQSFDYPSDTLLPGMKMGWDLRTGIKRSFSAWKNSDDPCPGDFTYGIEMERDTYPEAYVRKGTAKYYRTGPWNGLRFSGSPELRPNPLYSFDFVYNDEEVYYMYNLQNESVISRIVLNQTTSTRDRLTLCDNYGVCGANGKCIIGENPVCQCLKGFKPKSQEKWNLMDWSLGCVRNKPLSCQERYKDGFVKFVGLKLPDTTHSWVNKSMNLKECRTKCLNNCSCMAYTSSDIRGGGTGCAIWFGDLIDIRQFPAAGQDLYIRMLASELESGGKVKTAMIIAVSVAVVFSVV >ONI13386 pep chromosome:Prunus_persica_NCBIv2:G4:13758101:13760160:1 gene:PRUPE_4G218700 transcript:ONI13386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTTKSVILMTLTISSFLFTISEQYSNCSPINPMNITNCKKLITLGAEFGWNIHSNNQIDILFSIKISGDHRAKWLAWGVNPDPHRPKMVGTRAIIGISQPNGTLAVRKYNITSDTRLGCRLQPSQDFDDVIVKTMKGDMQADKYMSIFATLILPPDPAAYNISKLNHVWQVGFEADAVHLEPKMHSTALQNVDSTETLNLTSARGISIGHRRHHLRKVHGILNIVGWGTLLPLGVIIARYYRRYPFHCQKWYVLHVSCQIVGYSLGTAGWAIGLWLGHASRHYSFPTHRILAMFIFAFTTLQMLALRLKPTPSDDYRKYWDMYHHFLGYALLAVISLNIFNGIAILKPDKTWLWAYIGVLSVFAVIALGMEIFTWGKFIFTSRKNRQPTAAVSADNNAEAPQAWQGSGPQSEGPGPQSEGPQANKTRIAN >ONI13270 pep chromosome:Prunus_persica_NCBIv2:G4:13271951:13274889:-1 gene:PRUPE_4G212800 transcript:ONI13270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPTPPQQQQPQSLQALARSQSLCLRQSQSPRTSQRCRSLNYNSGNDADYSPDFSNATIEFVIKGLNSLNIKKNLSRLPLLSKGSHDDNSNHYKQQYQTTGSDDSNPTESLLLSVSPHADTDQDELGNVTRVVFQGSVDSDPSVSPLPCISTQADTDYDGRDSAGSDDNLSDSLLPSSIPRISTDYDEPGNPAVGPSGSNPSESAAINIGWQDEHNDFGQPHHRTRVTALELTFMLVGFNLEILSAGFDQVSSTSKPRYALISLLLAVAAVFTCIWELIYNGMKERDASPNSTVFGTLPDIFGLGLAVIQCVCSAVQYYFLHHHHSNPMKLSPVPLFFFSCLVVLKLKGN >ONI13269 pep chromosome:Prunus_persica_NCBIv2:G4:13271695:13275711:-1 gene:PRUPE_4G212800 transcript:ONI13269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPTPPQQQQPQSLQALARSQSLCLRQSQSPRTSQRCRSLNYNSGNDADYSPDFSNATIEFVIKGLNSLNIKKNLSRLPLLSKGSHDDNSNHYKQQYQTTGSDDSNPTESLLLSVSPHADTDQDELGNVTRVVGSVDSDPSVSPLPCISTQADTDYDGRDSAGSDDNLSDSLLPSSIPRISTDYDEPGNPAVGPSGSNPSESAAINIGWQDEHNDFGQPHHRTRVTALELTFMLVGFNLEILSAGFDQVSSTSKPRYALISLLLAVAAVFTCIWELIYNGMKERDASPNSTVFGTLPDIFGLGLAVIQCVCSAVQYYFLHHHHSNPMKLSPVPLFFFSCLVVLKLKGN >ONI13268 pep chromosome:Prunus_persica_NCBIv2:G4:13271695:13275714:-1 gene:PRUPE_4G212800 transcript:ONI13268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPTPPQQQQPQSLQALARSQSLCLRQSQSPRTSQRCRSLNYNSGNDADYSPDFSNATIEFVIKGLNSLNIKKNLSRLPLLSKGSHDDNSNHYKQQYQTTGSDDSNPTESLLLSVSPHADTDQDELGNVTRVVGSVDSDPSVSPLPCISTQADTDYDGRDSAGSDDNLSDSLLPSSIPRISTDYDEPGNPAVGPSGSNPSESAAINIGWQDEHNDFGQPHHRTRVTALELTFMLVGFNLEILSAGFDQVSSTSKPRYALISLLLAVAAVFTCIWELIYNGMKERDASPNSTVFGTLPDIFGLGLAVIQCVCSAVQYYFLHHHHSNPMKLSPVPLFFFSCLVVLKLKGN >ONI13271 pep chromosome:Prunus_persica_NCBIv2:G4:13271951:13274889:-1 gene:PRUPE_4G212800 transcript:ONI13271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPTPPQQQQPQSLQALARSQSLCLRQSQSPRTSQRCRSLNYNSGNDADYSPDFSNATIEFVIKGLNSLNIKKNLSRLPLLSKGSHDDNSNHYKQQYQTTGSDDSNPTESLLLSVSPHADTDQDELGNVTRVVFQGSVDSDPSVSPLPCISTQADTDYDGRDSAGSDDNLSDSLLPSSIPRISTDYDEPGNPAVGPSGSNPSESAAINIGWQDEHNDFGQPHHRTRVTALELTFMLVGFNLEILSAGFDQVSSTSKPRYALISLLLAVAAVFTCIWELIYNGMKERDASPNSTVFGTLPDIFGLGLAVIQCVCSAVQYYFLHHHHSNPMKLSPVPLFFFSCLVVLKLKGN >ONI12051 pep chromosome:Prunus_persica_NCBIv2:G4:8002522:8005730:1 gene:PRUPE_4G141300 transcript:ONI12051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFGENSNRIVDGYSEEFEQSFLDLMKRSHRFSRIAATVVYNEYINDRHHVHMNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEEKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQIGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKDSFIIADIV >ONI12050 pep chromosome:Prunus_persica_NCBIv2:G4:8002522:8005730:1 gene:PRUPE_4G141300 transcript:ONI12050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFGENSNRIVDGYSEEFEQSFLDLMKRSHRFSRIAATVVYNEYINDRHHVHMNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEEKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQIGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKDSFIIADIV >ONI12052 pep chromosome:Prunus_persica_NCBIv2:G4:8002522:8005730:1 gene:PRUPE_4G141300 transcript:ONI12052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFGENSNRIVDGYSEEFEQSFLDLMKRSHRFSRIAATVVYNEYINDRHHVHMNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEEKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQIGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKGVYDGRLLKAVEYEDICKLA >ONI12053 pep chromosome:Prunus_persica_NCBIv2:G4:8002522:8005730:1 gene:PRUPE_4G141300 transcript:ONI12053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFGENSNRIVDGYSEEFEQSFLDLMKRSHRFSRIAATVVYNEYINDRHHVHMNSTEWATLTEFVKHLGRTGKCKVEETPKGWFITYIDRDSETLFKERLKNKRLRADMAEEEKQEREIKKQIERVAQSMPVGNGVDQGSEDEIHRIKVESGVKIGFALGSSKLGSKEKGESSKLVFDEVDDDKSKVKNSTKNGSSGGAGSLALEELMREDEKKERINRKDYWLCEGIIVKVMSKALADKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQAELETVIPQIGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKGVYDGRLLKAVEYEDICKLA >ONI10703 pep chromosome:Prunus_persica_NCBIv2:G4:2996658:3000080:-1 gene:PRUPE_4G062500 transcript:ONI10703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVQKLYNACKVSFSSNGPISEEDLERVRAILDELKASNVGLEQEAQLARGFHGSNGRKGRNGTHQYPPAIKYLHLHECDRFSIGIFCMPPGSIIPLHNHPGMTVLSKLLYGSLHVRSYDWLDLPECSDVSKVLYPKSGGNIHCFKALTSCALFDILSPPYSSEDGRHCSYFRKSPRVDLPSLEELCGAEPSEVAWLEEIQPPENFVVRRGVYKGPTIRK >ONI10705 pep chromosome:Prunus_persica_NCBIv2:G4:2996658:3000079:-1 gene:PRUPE_4G062500 transcript:ONI10705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVQKLYNACKVSFSSNGPISEEDLERVRAILDELKASNVGLEQEAQLARGFHGSNGRKGRNGTHQYPPAIKYLHLHECDRFSIGIFCMPPGSIIPLHNHPGMTVLSKLLYGSLHVRSYDWLDLPECSDVSKARPAKLVRDCEMSAPCGTTVLYPKSGGNIHCFKALTSCALFDILSPPYSSEDGRHCSYFRKSPRVDLPSLEELCGAEPSEVAWLEEIQPPENFVVRRGVYKGPTIRK >ONI10708 pep chromosome:Prunus_persica_NCBIv2:G4:2996658:3000069:-1 gene:PRUPE_4G062500 transcript:ONI10708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVQKLYNACKVSFSSNGPISEEDLERVRAILDELKASNVGLEQEAQLARGFHGSNGRKGRNGTHQYPPAIKYLHLHECDRFSIGIFCMPPGSIIPLHNHPGMTVLSKLLYGSLHVRSYDWLDLPECSDVSKARPAKLVRDCEMSAPCGTTVLYPKSGGNIHCFKALTSCALFDILSPPYSSEDGRHCSYFRKSPRVDLPSLEELCGAEPSEVAWLEEIQPPENFVVRRGVYKGPTIRK >ONI10706 pep chromosome:Prunus_persica_NCBIv2:G4:2996641:3000079:-1 gene:PRUPE_4G062500 transcript:ONI10706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVQKLYNACKVSFSSNGPISEEDLERVRAILDELKASNVGLEQEAQLARGFHGSNGRKGRNGTHQYPPAIKYLHLHECDRFSIGIFCMPPGSIIPLHNHPGMTVLSKLLYGSLHVRSYDWLDLPECSDVSKARPAKLVRDCEMSAPCGTTVLYPKSGGNIHCFKALTSCALFDILSPPYSSEDGRHCSYFRKSPRVDLPSLEELCGAEPSEVAWLEEIQPPENFVVRRGVYKGPTIRK >ONI10707 pep chromosome:Prunus_persica_NCBIv2:G4:2996658:3000069:-1 gene:PRUPE_4G062500 transcript:ONI10707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVQKLYNACKVSFSSNGPISEEDLERVRAILDELKASNVGLEQEAQLARGFHGSNGRKGRNGTHQYPPAIKYLHLHECDRFSIGIFCMPPGSIIPLHNHPGMTVLSKLLYGSLHVRSYDWLDLPECSDVSKARPAKLVRDCEMSAPCGTTVLYPKSGGNIHCFKALTSCALFDILSPPYSSEDGRHCSYFRKSPRVDLPSLEELCGAEPSEVAWLEEIQPPENFVVRRGVYKGPTIRK >ONI10704 pep chromosome:Prunus_persica_NCBIv2:G4:2996945:2999218:-1 gene:PRUPE_4G062500 transcript:ONI10704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVQKLYNACKVSFSSNGPISEEDLERVRAILDELKASNVGLEQEAQLARGFHGSNGRKGRNGTHQYPPAIKYLHLHECDRFSIGIFCMPPGSIIPLHNHPGMTVLSKLLYGSLHVRSYDWLDLPECSDVSKVLYPKSGGNIHCFKALTSCALFDILSPPYSSEDGRHCSYFRKSPRVDLPSLEELCGAEPSEVAWLEEIQPPENFVVRRGVYKGPTIRK >ONI14340 pep chromosome:Prunus_persica_NCBIv2:G4:23084167:23088136:1 gene:PRUPE_4G276400 transcript:ONI14340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNQVDTSRIQDMDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLAYAGPASLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPLASWCCAWLESIGLIAGIGTQAYAGSQTLQSIILLCTGTNKDGGYLAPKWLFLCMYIGLTLIWAFLNTFALEVIAFIDIISIWWQVIGGTVIVIMLPLVALTTQSASYVFTNFNVAPERTGISSKPYAVILSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIISVFGWAYILALTFSIQDFSYLYDPTNETAGTFVPAQILYDAFHGRYNSSAGAIILLFIIWGSFFFGGLSITTSAARVVYALSRDKGIPFSSVWQKLHPKHKVPSNAVWLCAAISILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARMVMAEKNFKPGPFYLGKARRPICFVAFLWICYTCSVFLLPTYYPISWDTFNYAPVALSVGLGLIMLWWVLDARKWFTGPVRNIDTDNGKV >ONI14339 pep chromosome:Prunus_persica_NCBIv2:G4:23084244:23089089:1 gene:PRUPE_4G276400 transcript:ONI14339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNQVDTSRIQDMDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLAYAGPASLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPLASWCCAWLESIGLIAGIGTQAYAGSQTLQSIILLCTGTNKDGGYLAPKWLFLCMYIGLTLIWAFLNTFALEVIAFIDIISIWWQVIGGTVIVIMLPLVALTTQSASYVFTNFNVAPERTGISSKPYAVILSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIISVFGWAYILALTFSIQDFSYLYDPTNETAGTFVPAQILYDAFHGRYNSSAGAIILLFIIWGSFFFGGLSITTSAARVVYALSRDKGIPFSSVWQKLHPKHKVPSNAVWLCAAISILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARMVMAEKNFKPGPFYLGKARRPICFVAFLWICYTCSVFLLPTYYPISWDTFNYAPVALSVGLGLIMLWWVLDARKWFTGPVRNIDTDNGKNAN >ONI09835 pep chromosome:Prunus_persica_NCBIv2:G4:589003:591165:1 gene:PRUPE_4G012400 transcript:ONI09835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWLLAKPIAVLLVTVSSTIFLLLLSQQNQSPRPASMARSFVLWLHGLGDSGPANEPIKTLFTSPEFRNTKWSFPSAPSNPVTCNYGARMPSWFDIGEIPVTANSPNNESDLLKAVKSVHKMIDKEIDAGTNPSNVFVCGFSQGGALTLASVLLYPKTLGGAAVFSGWVPFSSSIIEQIPPEAKRNSIS >ONI09834 pep chromosome:Prunus_persica_NCBIv2:G4:588886:591497:1 gene:PRUPE_4G012400 transcript:ONI09834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWLLAKPIAVLLVTVSSTIFLLLLSQQNQSPRPASMARSFVLWLHGLGDSGPANEPIKTLFTSPEFRNTKWSFPSAPSNPVTCNYGARMPSWFDIGEIPVTANSPNNESDLLKAVKSVHKMIDKEIDAGTNPSNVFVCGFSQGGALTLASVLLYPKTLGGAAVFSGWVPFSSSIIEQIPPEAKRTPILWSHGIADRTVFLEAGQAGPPFLERAGVNCEFKAYPDLGHSISNEELKHLESWIKARLPSSS >ONI10776 pep chromosome:Prunus_persica_NCBIv2:G4:3299468:3302172:-1 gene:PRUPE_4G067900 transcript:ONI10776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHANWKSKILFTKAENEFISAVGDSGMRRDNLRVAIESWNQCNEVGEEALQIGSPRAADCFDIYKATPQPQGEVCFCNQQLPYVLVHRVTEQDNKLRMGEPFFGLQAESQFNVDLYAAEKELYLGFKCQVEDTPNPWQFWMIMLKSGNMDTYAAKCPKNGHRVGPFPDQNTFPCFGKGCMNQPSIYHDYTKLQLPDMTLKGRFFGSWDLDADLSRGMVGNISYHSVTWEKKLGEGSWVFHHVLRTSAKYPWLMLYLRSDATHGFSGGYHYPTRGMSKIIPESPNFKVRFTLNVIKGGGPSSQFYLMDMGSCWKNNGKPCDGNVTSDVTRYSEMIINPNTTSWCHANNLNVCPPYHTFPNGTRVHRNDTARFPYAAYHLYCSPGNGEHLEAPFNLCDPYSNPQPQEILQILPHPVWGEYGYPTKQGEGWIGDPRTWELDVGRLSQSLYFYQDPGTPPARRQWMSIDLGVEIFKDPDQVAEWTLSDFDILVPKQ >ONI10778 pep chromosome:Prunus_persica_NCBIv2:G4:3299468:3301515:-1 gene:PRUPE_4G067900 transcript:ONI10778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPFFGLQAESQFNVDLYAAEKELYLGFKCQVEDTPNPWQFWMIMLKSGNMDTYAAKCPKNGHRVGPFPDQNTFPCFGKGCMNQPSIYHDYTKLQLPDMTLKGRFFGSWDLDADLSRGMVGNISYHSVTWEKKLGEGSWVFHHVLRTSAKYPWLMLYLRSDATHGFSGGYHYPTRGMSKIIPESPNFKVRFTLNVIKGGGPSSQFYLMDMGSCWKNNGKPCDGNVTSDVTRYSEMIINPNTTSWCHANNLNVCPPYHTFPNGTRVHRNDTARFPYAAYHLYCSPGNGEHLEAPFNLCDPYSNPQPQEILQILPHPVWGEYGYPTKQGEGWIGDPRTWELDVGRLSQSLYFYQDPGTPPARRQWMSIDLGVEIFKDPDQVAEWTLSDFDILVPKQ >ONI10777 pep chromosome:Prunus_persica_NCBIv2:G4:3299387:3302172:-1 gene:PRUPE_4G067900 transcript:ONI10777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSKISCCFLVFVLVNLIFWNVVLSVRAENEFISAVGDSGMRRDNLRVAIESWNQCNEVGEEALQIGSPRAADCFDIYKATPQPQGEVCFCNQQLPYVLVHRVTEQDNKLRMGEPFFGLQAESQFNVDLYAAEKELYLGFKCQVEDTPNPWQFWMIMLKSGNMDTYAAKCPKNGHRVGPFPDQNTFPCFGKGCMNQPSIYHDYTKLQLPDMTLKGRFFGSWDLDADLSRGMVGNISYHSVTWEKKLGEGSWVFHHVLRTSAKYPWLMLYLRSDATHGFSGGYHYPTRGMSKIIPESPNFKVRFTLNVIKGGGPSSQFYLMDMGSCWKNNGKPCDGNVTSDVTRYSEMIINPNTTSWCHANNLNVCPPYHTFPNGTRVHRNDTARFPYAAYHLYCSPGNGEHLEAPFNLCDPYSNPQPQEILQILPHPVWGEYGYPTKQGEGWIGDPRTWELDVGRLSQSLYFYQDPGTPPARRQWMSIDLGVEIFKDPDQVAEWTLSDFDILVPKQ >ONI11049 pep chromosome:Prunus_persica_NCBIv2:G4:4158573:4159352:1 gene:PRUPE_4G084800 transcript:ONI11049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRLARFITEVAPPQFVSVMRHRTSKVLDTIKEEEREYANTSNDSLISSSSSKGCACSTPSPPSFASAASAAAAAGTESKYFLKEVHRAFSMFGH >ONI12591 pep chromosome:Prunus_persica_NCBIv2:G4:10276520:10277987:1 gene:PRUPE_4G173700 transcript:ONI12591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIDNRTSHRVSFDLINDKNDFSNLSSNPPPNSPRYKSIGNTHMHTRASTKVDQMAQPNLNETSSSNFHIAMFPWFAIGHAIPFLHLSNELAARGHKISFLLPKKAQIQIQHLNLHPQLIAFCSVTVPHIEGLPEGTETASDIPTSSTALLATAMDRTRQQIEGFLVSCGANDRKIDMIFYDFAHWVPEITRGLGIKCINYGVLCAATTAMAIVPARNVPKDRPVTEEDLRDPPPGYPSSTVVMLPGREVQSLMFITMPYGDGITFYERLMTSKKGCDALSTCSSVTQSKGNRFSPRLGFTNKESSQLLTKVCKNLTI >ONI10365 pep chromosome:Prunus_persica_NCBIv2:G4:2089862:2092387:1 gene:PRUPE_4G043600 transcript:ONI10365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFDAFSIDGDDLNASSSHFDQDDVVVENYTDYAEYSSFSGGAPTDAEVTADHAAGASTDVFGFDDPSSNYSHSTPFDSVPVENGNGNGYGVGEHAIDDDVFTSDGPVLPPPSEMVPEEGFALREWRRLNALQLEEKEKREKELRNQIIEEAEEYKRAFYEKRKLNVETNKVENREKEKLFLANQENFHKNADKQSWKAIAELIPHEVPNIEKKRGKKDQDKKPSITVVQGPKPGKPTDLSRLRQILLKLKHKTPPHMIPPPPAPAKDAKDAKDGKAPAKDPKDGKDAKNAQNVKDAASKTNGSSEAEVPASQAKDATSNGSSDAPEQSTPSIEDLSA >ONI14509 pep chromosome:Prunus_persica_NCBIv2:G4:24586887:24588348:-1 gene:PRUPE_4G284100 transcript:ONI14509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRRFSWREIQLATDNFDESNIIGQGGFGRVYKGVLSDNVKVAVKRLTDYNSPGGEAAFLREVQLISVAVHKNLLRLIGFCTTSSERILVYPFMKNLSVAYRLRDLKPGEKGLDWSTRKRIAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEPVLGDFGLAKLVDTKSTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDFARLEEEEDVLLLDHVRFLNSLDISILDGILVR >ONI09796 pep chromosome:Prunus_persica_NCBIv2:G4:471300:475861:1 gene:PRUPE_4G009600 transcript:ONI09796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPTSSKETQLEIQAIMAELPPEVIINILSRLQVGRLLCFRSIAKTWRSLIDSPYFVDLHLEKSAEAKSHLSLILRKDSDLYYINFDSLDDAVELNHPLMCYSNRIRVLGSCNGLLCICNVAEDIALWNPSTKKYRVLPSLPSDRKRDSGMCLCGARVYGLGYDAGHDDYKLVRISQFIGLDYLSFESEVKVYSLRNNAWKKVEDMPYVLCYTRKMGILVSGCVHWVVTRQLELDQLATELVIAFDIVKETFREVPMPESMNRKCQIDVGYLGGCLCIVAKYEDAGVDVWTLKEYGVKESWSKLLTLTQARRIKSVRPLVYSKNGHEVLLEQDHENLVWFDLKSQRVKSVKVRGLPDLFEAVVCMESLVSVHPSRRDDRKKQESVGGKKNKKRDDFLAEGFKLVL >ONI09788 pep chromosome:Prunus_persica_NCBIv2:G4:471300:475869:1 gene:PRUPE_4G009600 transcript:ONI09788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPTSSKETQLEIQAIMAELPPEVIINILSRLQVGRLLCFRSIAKTWRSLIDSPYFVDLHLEKSAEAKSHLSLILRKDSDLYYINFDSLDDAVELNHPLMCYSNRIRVLGSCNGLLCICNVAEDIALWNPSTKKYRVLPSLPSDRKRDSGMCLCGARVYGLGYDAGHDDYKLVRISQFIGLDYLSFESEVKVYSLRNNAWKKVEDMPYVLCYTRKMGILVSGCVHWVVTRQLELDQLATELVIAFDIVKETFREVPMPESMNRKCQIDVGYLGGCLCIVAKYEDAGVDVWTLKEYGVKESWSKLLTLTQARRIKSVRPLVYSKNGHEVLLEQDHENLVWFDLKSQRVKSVKVRGLPDLFEAVVCMESLVSVHPSRRDDRKKQESVGGKKNKKRDDFLAEGFKLVL >ONI09794 pep chromosome:Prunus_persica_NCBIv2:G4:471293:475943:1 gene:PRUPE_4G009600 transcript:ONI09794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPTSSKETQLEIQAIMAELPPEVIINILSRLQVGRLLCFRSIAKTWRSLIDSPYFVDLHLEKSAEAKSHLSLILRKDSDLYYINFDSLDDAVELNHPLMCYSNRIRVLGSCNGLLCICNVAEDIALWNPSTKKYRVLPSLPSDRKRDSGMCLCGARVYGLGYDAGHDDYKLVRISQFIGLDYLSFESEVKVYSLRNNAWKKVEDMPYVLCYTRKMGILVSGCVHWVVTRQLELDQLATELVIAFDIVKETFREVPMPESMNRKCQIDVGYLGGCLCIVAKYEDAGVDVWTLKEYGVKESWSKLLTLTQARRIKSVRPLVYSKNGHEVLLEQDHENLVWFDLKSQRVKSVKVRGLPDLFEAVVCMESLVSVHPSRRDDRKKQESVGGKKNKKRDDFLAEGFKLVL >ONI09793 pep chromosome:Prunus_persica_NCBIv2:G4:471293:476034:1 gene:PRUPE_4G009600 transcript:ONI09793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPTSSKETQLEIQAIMAELPPEVIINILSRLQVGRLLCFRSIAKTWRSLIDSPYFVDLHLEKSAEAKSHLSLILRKDSDLYYINFDSLDDAVELNHPLMCYSNRIRVLGSCNGLLCICNVAEDIALWNPSTKKYRVLPSLPSDRKRDSGMCLCGARVYGLGYDAGHDDYKLVRISQFIGLDYLSFESEVKVYSLRNNAWKKVEDMPYVLCYTRKMGILVSGCVHWVVTRQLELDQLATELVIAFDIVKETFREVPMPESMNRKCQIDVGYLGGCLCIVAKYEDAGVDVWTLKEYGVKESWSKLLTLTQARRIKSVRPLVYSKNGHEVLLEQDHENLVWFDLKSQRVKSVKVRGLPDLFEAVVCMESLVSVHPSRRDDRKKQESVGGKKNKKRDDFLAEGFKLVL >ONI09791 pep chromosome:Prunus_persica_NCBIv2:G4:471293:475325:1 gene:PRUPE_4G009600 transcript:ONI09791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPTSSKETQLEIQAIMAELPPEVIINILSRLQVGRLLCFRSIAKTWRSLIDSPYFVDLHLEKSAEAKSHLSLILRKDSDLYYINFDSLDDAVELNHPLMCYSNRIRVLGSCNGLLCICNVAEDIALWNPSTKKYRVLPSLPSDRKRDSGMCLCGARVYGLGYDAGHDDYKLVRISQFIGLDYLSFESEVKVYSLRNNAWKKVEDMPYVLCYTRKMGILVSGCVHWVVTRQLELDQLATELVIAFDIVKETFREVPMPESMNRKCQIDVGYLGGCLCIVAKYEDAGVDVWTLKEYGVKESWSKLLTLTQARRIKSVRPLVYSKNGHEVLLEQDHENLVWFDLKSQRVKSVKVRGLPDLFEAVVCMESLVSVHPSRRDDRKKQESVGGKKNKKRDDFLAEGFKLVL >ONI09795 pep chromosome:Prunus_persica_NCBIv2:G4:471300:475292:1 gene:PRUPE_4G009600 transcript:ONI09795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPTSSKETQLEIQAIMAELPPEVIINILSRLQVGRLLCFRSIAKTWRSLIDSPYFVDLHLEKSAEAKSHLSLILRKDSDLYYINFDSLDDAVELNHPLMCYSNRIRVLGSCNGLLCICNVAEDIALWNPSTKKYRVLPSLPSDRKRDSGMCLCGARVYGLGYDAGHDDYKLVRISQFIGLDYLSFESEVKVYSLRNNAWKKVEDMPYVLCYTRKMGILVSGCVHWVVTRQLELDQLATELVIAFDIVKETFREVPMPESMNRKCQIDVGYLGGCLCIVAKYEDAGVDVWTLKEYGVKESWSKLLTLTQARRIKSVRPLVYSKNGHEVLLEQDHENLVWFDLKSQRVKSVKVRGLPDLFEAVVCMESLVSVHPSRRDDRKKQESVGGKKNKKRDDFLAEGFKLVL >ONI09790 pep chromosome:Prunus_persica_NCBIv2:G4:471293:475292:1 gene:PRUPE_4G009600 transcript:ONI09790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPTSSKETQLEIQAIMAELPPEVIINILSRLQVGRLLCFRSIAKTWRSLIDSPYFVDLHLEKSAEAKSHLSLILRKDSDLYYINFDSLDDAVELNHPLMCYSNRIRVLGSCNGLLCICNVAEDIALWNPSTKKYRVLPSLPSDRKRDSGMCLCGARVYGLGYDAGHDDYKLVRISQFIGLDYLSFESEVKVYSLRNNAWKKVEDMPYVLCYTRKMGILVSGCVHWVVTRQLELDQLATELVIAFDIVKETFREVPMPESMNRKCQIDVGYLGGCLCIVAKYEDAGVDVWTLKEYGVKESWSKLLTLTQARRIKSVRPLVYSKNGHEVLLEQDHENLVWFDLKSQRVKSVKVRGLPDLFEAVVCMESLVSVHPSRRDDRKKQESVGGKKNKKRDDFLAEGFKLVL >ONI09789 pep chromosome:Prunus_persica_NCBIv2:G4:471300:475918:1 gene:PRUPE_4G009600 transcript:ONI09789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPTSSKETQLEIQAIMAELPPEVIINILSRLQVGRLLCFRSIAKTWRSLIDSPYFVDLHLEKSAEAKSHLSLILRKDSDLYYINFDSLDDAVELNHPLMCYSNRIRVLGSCNGLLCICNVAEDIALWNPSTKKYRVLPSLPSDRKRDSGMCLCGARVYGLGYDAGHDDYKLVRISQFIGLDYLSFESEVKVYSLRNNAWKKVEDMPYVLCYTRKMGILVSGCVHWVVTRQLELDQLATELVIAFDIVKETFREVPMPESMNRKCQIDVGYLGGCLCIVAKYEDAGVDVWTLKEYGVKESWSKLLTLTQARRIKSVRPLVYSKNGHEVLLEQDHENLVWFDLKSQRVKSVKVRGLPDLFEAVVCMESLVSVHPSRRDDRKKQESVGGKKNKKRDDFLAEGFKLVL >ONI09792 pep chromosome:Prunus_persica_NCBIv2:G4:471300:476034:1 gene:PRUPE_4G009600 transcript:ONI09792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPTSSKETQLEIQAIMAELPPEVIINILSRLQVGRLLCFRSIAKTWRSLIDSPYFVDLHLEKSAEAKSHLSLILRKDSDLYYINFDSLDDAVELNHPLMCYSNRIRVLGSCNGLLCICNVAEDIALWNPSTKKYRVLPSLPSDRKRDSGMCLCGARVYGLGYDAGHDDYKLVRISQFIGLDYLSFESEVKVYSLRNNAWKKVEDMPYVLCYTRKMGILVSGCVHWVVTRQLELDQLATELVIAFDIVKETFREVPMPESMNRKCQIDVGYLGGCLCIVAKYEDAGVDVWTLKEYGVKESWSKLLTLTQARRIKSVRPLVYSKNGHEVLLEQDHENLVWFDLKSQRVKSVKVRGLPDLFEAVVCMESLVSVHPSRRDDRKKQESVGGKKNKKRDDFLAEGFKLVL >ONI11015 pep chromosome:Prunus_persica_NCBIv2:G4:4032356:4036154:-1 gene:PRUPE_4G082600 transcript:ONI11015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYEAIAKQKLPKMVYDYYASGAEDQWTLKENQHAFSRILFRPRVLIDVSKIDLRTTVLGFNISMPIMIAPTAMQKMAHPEGEYATARAAAAADTIMTLSSWATSSVKEVASTGPGIRFFQLYVYKDRNVVTQLVRRAERAGFKAIVLTVDTPRLGRREADIKNRFVLPPNLTLKNFEDLDLGKMDKTNDSGLASYVAGQVDQSLSWKDVKWLQTITKLPILVKGVITAEDARLAVQYGAAGIVVSNHGARQLDYVPATIMALEEVVKAAQGRIPVFLDGGVRRGTDVFKALALGASGVFIGRPVVFSLAADGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRDHVVTEWDRPRIAPRL >ONI11016 pep chromosome:Prunus_persica_NCBIv2:G4:4032369:4035374:-1 gene:PRUPE_4G082600 transcript:ONI11016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYEAIAKQKLPKMVYDYYASGAEDQWTLKENQHAFSRILFRPRVLIDVSKIDLRTTVLGFNISMPIMIAPTAMQKMAHPEGEYATARAAAAADTIMTLSSWATSSVKEVASTGPGIRFFQLYVYKDRNVVTQLVRRAERAGFKAIVLTVDTPRLGRREADIKNRFVLPPNLTLKNFEDLDLGKMDKTNDSGLASYVAGQVDQSLSWKDVKWLQTITKLPILVKGVITAEDARLAVQYGAAGIVVSNHGARQLDYVPATIMALEEVVKAAQGRIPVFLDGGVRRGTDVFKALALGASGVFIGRPVVFSLAADGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRDHVVTEWDRPRIAPRL >ONI11014 pep chromosome:Prunus_persica_NCBIv2:G4:4032369:4035980:-1 gene:PRUPE_4G082600 transcript:ONI11014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYEAIAKQKLPKMVYDYYASGAEDQWTLKENQHAFSRILFRPRVLIDVSKIDLRTTVLGFNISMPIMIAPTAMQKMAHPEGEYATARAAAAADTIMTLSSWATSSVKEVASTGPGIRFFQLYVYKDRNVVTQLVRRAERAGFKAIVLTVDTPRLGRREADIKNRFVLPPNLTLKNFEDLDLGKMDKTNDSGLASYVAGQVDQSLSWKDVKWLQTITKLPILVKGVITAEDARLAVQYGAAGIVVSNHGARQLDYVPATIMALEEVVKAAQGRIPVFLDGGVRRGTDVFKALALGASGVFIGRPVVFSLAADGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRDHVVTEWDRPRIAPRL >ONI11012 pep chromosome:Prunus_persica_NCBIv2:G4:4032365:4036222:-1 gene:PRUPE_4G082600 transcript:ONI11012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYEAIAKQKLPKMVYDYYASGAEDQWTLKENQHAFSRILFRPRVLIDVSKIDLRTTVLGFNISMPIMIAPTAMQKMAHPEGEYATARAAAAADTIMTLSSWATSSVKEVASTGPGIRFFQLYVYKDRNVVTQLVRRAERAGFKAIVLTVDTPRLGRREADIKNRFVLPPNLTLKNFEDLDLGKMDKTNDSGLASYVAGQVDQSLSWKDVKWLQTITKLPILVKGVITAEDARLAVQYGAAGIVVSNHGARQLDYVPATIMALEEVVKAAQGRIPVFLDGGVRRGTDVFKALALGASGVFIGRPVVFSLAADGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRDHVVTEWDRPRIAPRL >ONI11013 pep chromosome:Prunus_persica_NCBIv2:G4:4032359:4036136:-1 gene:PRUPE_4G082600 transcript:ONI11013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYEAIAKQKLPKMVYDYYASGAEDQWTLKENQHAFSRILFRPRVLIDVSKIDLRTTVLGFNISMPIMIAPTAMQKMAHPEGEYATARAAAAADTIMTLSSWATSSVKEVASTGPGIRFFQLYVYKDRNVVTQLVRRAERAGFKAIVLTVDTPRLGRREADIKNRFVLPPNLTLKNFEDLDLGKMDKTNDSGLASYVAGQVDQSLSWKDVKWLQTITKLPILVKGVITAEDARLAVQYGAAGIVVSNHGARQLDYVPATIMALEEVVKAAQGRIPVFLDGGVRRGTDVFKALALGASGVFIGRPVVFSLAADGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRDHVVTEWDRPRIAPRL >ONI10491 pep chromosome:Prunus_persica_NCBIv2:G4:2417502:2421658:-1 gene:PRUPE_4G050600 transcript:ONI10491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLCEPHSVIRIGHSEQEHHRLFLIMGLPEIVDFARNLAVMVRVKGPDPKGLKMRNHAFHHYHSGTTTISASGMLLPNTLYDSDVAQQLFGGDSERSPALVVTVASIVEPFLSLQHREGLTQGRPQLIPGVQIDIMVEDEMRFHKDSEDLDKGPPCWFAAQLLMLIDVPASAVALQSVIEASLSSPDHGWEVGWSLASHGNAPQTQVDSAVGQLGNSSLTGKSTTRIAILGVSLISKDVPNITISSSTKKGDFLVAVGSPFGVLSPVHFFNSISMGSISNCYPPNSTYSSLLMADIRCLPGGEGGPVLNEHAQLIGILIRPLRQKTSGAEIQLVISWEAIATACSDLLQKEPRYAEKGIYYDKRNLNAVGKTFLADSHDSNGPITHIQEHLYSNCSSPSHIEKAIGSVCLITMDDGVWASGVFLNKQGLILTNAHLLEPWRFGKRTASDGKHGSNSEALSDGPVSPRHSELYGKQKGEGFLPRIRNNADLFVGDEYGGHKLSSSYRGHRNIRVRLDHTDPWTWCDAKVVYICKGPLDVSLLQLKHIADHLSPIAKDFSSPSVGSKAYVVGHGLFGPRCVHLCFMS >ONI10490 pep chromosome:Prunus_persica_NCBIv2:G4:2417365:2421658:-1 gene:PRUPE_4G050600 transcript:ONI10490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLCEPHSVIRIGHSEQEHHRLFLIMGLPEIVDFARNLAVMVRVKGPDPKGLKMRNHAFHHYHSGTTTISASGMLLPNTLYDSDVAQQLFGGDSERSPALVVTVASIVEPFLSLQHREGLTQGRPQLIPGVQIDIMVEDEMRFHKDSEDLDKGPPCWFAAQLLMLIDVPASAVALQSVIEASLSSPDHGWEVGWSLASHGNAPQTQVDSAVGQLGNSSLTGKSTTRIAILGVSLISKDVPNITISSSTKKGDFLVAVGSPFGVLSPVHFFNSISMGSISNCYPPNSTYSSLLMADIRCLPGGEGGPVLNEHAQLIGILIRPLRQKTSGAEIQLVISWEAIATACSDLLQKEPRYAEKGIYYDKRNLNAVGKTFLADSHDSNGPITHIQEHLYSNCSSPSHIEKAIGSVCLITMDDGVWASGVFLNKQGLILTNAHLLEPWRFGKRTASDGKHGSNSEALSDGPVSPRHSELYGKQKGEGFLPRIRNNADLFVGDEYGGHKLSSSYRGHRNIRVRLDHTDPWTWCDAKVVYICKGPLDVSLLQLKHIADHLSPIAKDFSSPSVGSKAYVVGHGLFGPRCDSPLMFHVLIEINSIRLLPINLFWCGRKSCESKVSTLISTQPTRKYSRTFSCDA >ONI10489 pep chromosome:Prunus_persica_NCBIv2:G4:2416004:2421689:-1 gene:PRUPE_4G050600 transcript:ONI10489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLCEPHSVIRIGHSEQEHHRLFLIMGLPEIVDFARNLAVMVRVKGPDPKGLKMRNHAFHHYHSGTTTISASGMLLPNTLYDSDVAQQLFGGDSERSPALVVTVASIVEPFLSLQHREGLTQGRPQLIPGVQIDIMVEDEMRFHKDSEDLDKGPPCWFAAQLLMLIDVPASAVALQSVIEASLSSPDHGWEVGWSLASHGNAPQTQVDSAVGQLGNSSLTGKSTTRIAILGVSLISKDVPNITISSSTKKGDFLVAVGSPFGVLSPVHFFNSISMGSISNCYPPNSTYSSLLMADIRCLPGGEGGPVLNEHAQLIGILIRPLRQKTSGAEIQLVISWEAIATACSDLLQKEPRYAEKGIYYDKRNLNAVGKTFLADSHDSNGPITHIQEHLYSNCSSPSHIEKAIGSVCLITMDDGVWASGVFLNKQGLILTNAHLLEPWRFGKRTASDGKHGSNSEALSDGPVSPRHSELYGKQKGEGFLPRIRNNADLFVGDEYGGHKLSSSYRGHRNIRVRLDHTDPWTWCDAKVVYICKGPLDVSLLQLKHIADHLSPIAKDFSSPSVGSKAYVVGHGLFGPRCGFSPSICSGVVAKVVKAKFPLSYQPNQPGNTQGHFPVMLETTAAVHPGGSGGAVINSDGHMIGLVTSNARHGGGTVIPHLNFSIPCAALLPIFKFAKDMQDISLLQVLDQPNKYISSVWALMPPVSPKPPPLPHMPESLRQENNNEGKGSRFAKFIAERQDAFTKPTQLGKAGRLSNDAVPSKL >ONI11231 pep chromosome:Prunus_persica_NCBIv2:G4:4741372:4744411:-1 gene:PRUPE_4G094100 transcript:ONI11231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHYCLLGVLMPLSLLIAQAAAITEGPQSAHPNCPYHCGNLTIPYPFGMGEACYLRPEFNITCDQSTQPPSAYWMGGMNRITNFSVADGELQIMLDVAMDCYDDSGEETVDIFTSLELPPPYCMSHTRNNFTTVGCDTIGTFLGERSGDGGPKKEKFEVGNSVTMCDDILGDALPDSCSGIGCSQASIPGGLRNISTFLQSLTVGNRTGIDNPWYAKYPCSYAFIVEQGNFSFNPNTTFQELNNSRQLPAVLNWAVEGESCHAAQQNHNFPCKENAKCVERTTIGGPPAYICQCSSGYRGNPYLLDSCQDIDECKESNPCSIGTCINLPGDYSCKCPEGYKNDGMNQKSCIKHNPSSDQKKHNPSNRWKIILLAVISLGVTSASLLVLLIGISWIYWGMHRRRLMKLKEKYFKENGGLLLQQQLASQGSSMETTKIFAAEALEKATDNYHESRVVGEGGYGIVYKGIFADNKVVAIKKSKVGVPAQKEQFVNEVIVLSQINHRNVVRLLGCCLETPVPLLVYEYITNDTLFHHIHGKKSEGLSLSWELRLKIAAETAGALAYLHSSTSTPIIHRDVKSMNILLDDNYTAKVSDFGASRLVPMDQTQETTLVQGTRGYLDPEYLHSNRLTQKSDVYSFGVVLMELLTSKLAEGNIETLKNVANLAKRCVSLKGEERPTMKEVASELEGMRIMAKHPLESSNYGPEETVHLLGSPSNTNSVDVRGDCGSSTTGATYGHDSMQIQMLMSYNDGR >ONI14529 pep chromosome:Prunus_persica_NCBIv2:G4:24882034:24889827:-1 gene:PRUPE_4G285600 transcript:ONI14529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAFSSWHLLKPILNWLMLLILLRVSFASTDPDVEGEALIDLLRALNDSSGRITDWNYNLVSPCFSWSHVTCRNGNVISLSLASNGFSGTLSPSITKLKFLASLDFQDNSLTGLLPIYLANMTHLQNLNLANNNFRGPIPNTWGQLSNLKHLVLRGNHISGHIPDSLSNISRLTELDLSSNELTGRVPMQFFTIPKFNFTGARLACGSSLKQPCASGSVLRVILLIGALFAYRYYRMHKLTHDVFVDVTGEDECKISFGQLRRFSWREIQLATDNFDESNIIGQGGFGRVYKGVLSDNVKVAVKRLTDYNSPGGEAAFLREVQLISVAVHKNLLRLIGFCTTSSERILVYPFMKNLSVAYRLRDLKPGEKGLDWSTRKRIAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEPVLGDFGLAKLVDTKSTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDFARLEEEEDVLLLDHIKKLQRENRLDDIVDGHMKMHDPKEVETVIQVALLCTQSSPEDRPTMAQVVRLLQGVDLAERWAEWEQLEDVRNREFSLLSHHQFAWAEESTHDQEAIQLSKAR >ONI14528 pep chromosome:Prunus_persica_NCBIv2:G4:24882034:24889827:-1 gene:PRUPE_4G285600 transcript:ONI14528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAFSSWHLLKPILNWLMLLILLRVSFASTDPDVEGEALIDLLRALNDSSGRITDWNYNLVSPCFSWSHVTCRNGNVISLSLASNGFSGTLSPSITKLKFLASLDFQDNSLTGLLPIYLANMTHLQNLNLANNNFRGPIPNTWGQLSNLKHLVLRGNHISGHIPDSLSNISRDLSSNELTGRVPMQFFTIPKFNFTGARLACGSSLKQPCASGSVLRVSTKKSKLGTVITSASCGVTVILLIGALFAYRYYRMHKLTHDVFVDVTGEDECKISFGQLRRFSWREIQLATDNFDESNIIGQGGFGRVYKGVLSDNVKVAVKRLTDYNSPGGEAAFLREVQLISVAVHKNLLRLIGFCTTSSERILVYPFMKNLSVAYRLRDLKPGEKGLDWSTRKRIAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEPVLGDFGLAKLVDTKSTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDFARLEEEEDVLLLDHIKKLQRENRLDDIVDGHMKMHDPKEVETVIQVALLCTQSSPEDRPTMAQVVRLLQGVDLAERWAEWEQLEDVRNREFSLLSHHQFAWAEESTHDQEAIQLSKAR >ONI14530 pep chromosome:Prunus_persica_NCBIv2:G4:24882292:24888432:-1 gene:PRUPE_4G285600 transcript:ONI14530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAFSSWHLLKPILNWLMLLILLRVSFASTDPDVEGEALIDLLRALNDSSGRITDWNYNLVSPCFSWSHVTCRNGNVISLSLASNGFSGTLSPSITKLKFLASLDFQDNSLTGLLPIYLANMTHLQNLNLANNNFRGPIPNTWGQLSNLKHLVLRGNHISGHIPDSLSNISRLTELDLSSNELTGRVPMQFFTIPKFNFTGARLACGSSLKQPCASGSVLRVSTKKSKLGTVITSASCGVTVILLIGALFAYRYYRMHKLTHDVFVDVTGEDECKISFGQLRRFSWREIQLATDNFDESNIIGQGGFGRVYKGVLSDNVKVAVKRLTDYNSPGGEAAFLREVQLISVAVHKNLLRLIGFCTTSSERILVYPFMKNLSVAYRLRDLKPGEKGLDWSTRKRIAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEPVLGDFGLAKLVDTKSTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDFARLEEEEDVLLLDHIKKLQRENRLDDIVDGHMKMHDPKEVETVIQVALLCTQSSPEDRPTMAQVVRLLQGVDLAERWAEWEQLEDVRNREFSLLSHHQFAWAEESTHDQEAIQLSKAR >ONI09861 pep chromosome:Prunus_persica_NCBIv2:G4:669651:670175:-1 gene:PRUPE_4G014500 transcript:ONI09861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASSSSRQQQSKKQVQLQQRACRALCCSCRLSVSSSNSEEVESSSSDRYPSISSLSHAMVQERLDQMIRERQEASRHVDCQKRKQRAGDHHQLQAGGGTKFIVMVAMEKCSYDPREDFRQSMAEMIMANRIEEPKDLRSLLNYYVSMNSEEYHGIILEVFHEVCSDLFFCKFH >ONI09808 pep chromosome:Prunus_persica_NCBIv2:G4:516988:521214:1 gene:PRUPE_4G010400 transcript:ONI09808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKREHEDDARVNAEGFESDPKRQKRNGHSSPSSSPPPPASEGSLLPGFNYGDEDDEDNQRRPPVDGYRGDGGDNQRDIKSGVEVEEEEEDDDDDDLEQGLYRRSREIEVRRDCPYLDAVNRQVLDFDFEKFCSLSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEISDPSLDDIRHVLNPRFTEEQVEQIDKNKQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPKNYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHADLRTSKKDSSIIYECFQGELEVVKEIPKKGIEKKENGDDQNTDVVTENNGIVKERYKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETVTEVVRPRIARMRYRVTRLPQYLILHMQRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLSTPKENERLRSKYDLIANIVHDGKPGEGSYRVFVQRKSEELWYEMQDLHVSETLPQMVALSETYMQIYEQQQ >ONI09807 pep chromosome:Prunus_persica_NCBIv2:G4:516858:521235:1 gene:PRUPE_4G010400 transcript:ONI09807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKREHEDDARVNAEGFESDPKRQKRNGHSSPSSSPPPPASEGSLLPGFNYGDEDDEDNQRRPPVDGYRGDGGDNQRDIKSGVEVEEEEEDDDDDDLEQGLYRRSREIEVRRDCPYLDAVNRQVLDFDFEKFCSLSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEISDPSLDDIRHVLNPRFTEEQVEQIDKNKQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPKNYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHADLRTSKKDSSIIYECFQGELEVVKEIPKKGIEKKENGDDQNTDVVTENNGIVKERYKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETVTEVVRPRIARMRYRVTRLPQYLILHMQRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLSTPKENERLRSKYDLIANIVHDGKPGEGSYRVFVQRKSEELWYEMQDLHVSETLPQMVALSETYMQIYEQQQ >ONI09810 pep chromosome:Prunus_persica_NCBIv2:G4:516988:521214:1 gene:PRUPE_4G010400 transcript:ONI09810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKREHEDDARVNAEGFESDPKRQKRNGHSSPSSSPPPPASEGSLLPGFNYGDEDDEDNQRRPPVDGYRGDGGDNQRDIKSGVEVEEEEEDDDDDDLEQGLYRRSREIEVRRDCPYLDAVNRQVLDFDFEKFCSLSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEISDPSLDDIRHVLNPRFTEEQVEQIDKNKQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPKNYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHADLRTSKKDSSIIYECFQGELEVVKEIPKKGIEKKENGDDQNTDVVTENNGIVKERYKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETVTEVVRPRIARMRYRVTRLPQYLILHMQRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLSTPKENERLRSKYDLIANIVHDGKPGEGSYRVFVQRKSEELWYEMQDLHVSETLPQMVALSETYMQIYEQQQ >ONI09809 pep chromosome:Prunus_persica_NCBIv2:G4:516988:521216:1 gene:PRUPE_4G010400 transcript:ONI09809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKREHEDDARVNAEGFESDPKRQKRNGHSSPSSSPPPPASEGSLLPGFNYGDEDDEDNQRRPPVDGYRGDGGDNQRDIKSGVEVEEEEEDDDDDDLEQGLYRRSREIEVRRDCPYLDAVNRQVLDFDFEKFCSLSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEISDPSLDDIRHVLNPRFTEEQVEQIDKNKQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPKNYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHADLRTSKKDSSIIYECFQGELEVVKEIPKKGIEKKENGDDQNTDVVTENNGIVKERYKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETVTEVVRPRIARMRYRVTRLPQYLILHMQRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLSTPKENERLRSKYDLIANIVHDGKPGEGSYRVFVQRKSEELWYEMQDLHVSETLPQMVALSETYMQIYEQQQ >ONI09811 pep chromosome:Prunus_persica_NCBIv2:G4:516858:521214:1 gene:PRUPE_4G010400 transcript:ONI09811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKREHEDDARVNAEGFESDPKRQKRNGHSSPSSSPPPPASEGSLLPGFNYGDEDDEDNQRRPPVDGYRGDGGDNQRDIKSGVEVEEEEEDDDDDDLEQGLYRRSREIEVRRDCPYLDAVNRQVLDFDFEKFCSLSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEISDPSLDDIRHVLNPRFTEEQVEQIDKNKQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPKNYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHADLRTSKKDSSIIYECFQGELEVVKEIPKKGIEKKENGDDQNTDVVTENNGIVKERYKMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGETVTEVVRPRIARMRYRVTRLPQYLILHMQRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLSTPKENERLRSKYDLIANIVHDGKPGEGSYRVFVQRKSEELWYEMQDLHVSETLPQMVALSETYMQIYEQQQ >ONI12193 pep chromosome:Prunus_persica_NCBIv2:G4:8565189:8567809:-1 gene:PRUPE_4G149900 transcript:ONI12193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRMKAYLAEHSSDQIDEGPQVIRIRAKEIQDTVYDIEDALGKFVAEIPHHFHSNRFSRMTHDVKHFPSVLKASIKLSNKLKKIERGSTFYSLLDPPIPNTRPEETYNNLVYHQVVEEEDTVGFEEPKEILIQQLVKGDNPSPLKISIVGPGGSGKTTLVNIVYGSRRVQGFFDCHAFDDVPRTSDCRNLLLRMLSKFEDRMQEPVGHRHHYEEIDPRDKLRKLLDQKRYVVVLDNVWSDHDLGCIVTALPKGLPGSKIIITTRASNLASLHANSAEYIHDLSRVLSWKDVTKLFCKKAFQGNKGESPEELQVCAEKILKRCEYLPLAVSAVATLLSKKPQTPFEWEKFHNSLVYNLPIIEQVWVPSYRDLPIDVQSCFLYFSMFPEDYSIKCERLIRLWVAEGFVTPRRGKTMEEVADGCLNELIGRNLVDVNSTEIDGKVRTCRVTSLVREFVISKAENFINVVESNSTSTSHSGQKIRRLSAHYAPINNSSRGCRDLNRTSTLLVFGSSQPTVLSSQHDELGNVLKPLKYLRVLDFKGVPLKDFPKSILGLSLLKYVSMRKTKIKSVPSSIKKLSQLETLDLKRTQVIELPKEIYELHNMRHLLVKLTGLRKLRLTDVKEEHGTELCCAIEKMKRLSTLEVRSTKEEEYLDLDHMRSPPRSLQRICLKGCLERTPQWIS >ONI11021 pep chromosome:Prunus_persica_NCBIv2:G4:4053824:4054802:-1 gene:PRUPE_4G083100 transcript:ONI11021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEAAETLVQAASSDGSNPKGPDESEAVNRARKLLFRRMLVGIRDGRFFLGNFHCIDKQGNIILQDAVEYRSTRRSSPSPMEQRFLGLILIPSSCRVSCHVGCSVEEQLSLLSF >ONI12418 pep chromosome:Prunus_persica_NCBIv2:G4:9486627:9488690:1 gene:PRUPE_4G163600 transcript:ONI12418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEELAGPPAPKLLRLLYFVGAGFICTVGINKWRELQNMSILQQQQQQQLPENAANALE >ONI12417 pep chromosome:Prunus_persica_NCBIv2:G4:9486627:9488706:1 gene:PRUPE_4G163600 transcript:ONI12417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEELAGPPAPKLLRLLYFVGAGFICTVGINKWRELQNMSILQQQQQQQLPENAANALE >ONI12419 pep chromosome:Prunus_persica_NCBIv2:G4:9486627:9487392:1 gene:PRUPE_4G163600 transcript:ONI12419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEELAGPPAPKLLRLLYFVGAGFICTVGINKWRELQNMSILQQQQQQQLPENAANALE >ONI11943 pep chromosome:Prunus_persica_NCBIv2:G4:7520797:7523633:-1 gene:PRUPE_4G136100 transcript:ONI11943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDRKSEEKKKSRKRSTSSDSEDERKGKRRRPVEDEERKSRRSDKKEKSKDKKRSHKHSKHHSDKEKKTKDKHKSKHHKGDRHLKIEFQELSTDDYFSKNNEFSTWLKEEKDVFFSDLSSESARQLFSDFVKVWNKQKLESKYYEGIASGPRCAHTWKIKG >ONI11942 pep chromosome:Prunus_persica_NCBIv2:G4:7520791:7523633:-1 gene:PRUPE_4G136100 transcript:ONI11942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDRKSEEKKKSRKRSTSSDSEDERKGKRRRPVEDEERKSRRSDKKEKSKDKKRSHKHSKHHSDKEKKTKDKHKSKHHKGDRHLLVKEREYQDEAVDTYDLHLPTLDLYSICY >ONI11944 pep chromosome:Prunus_persica_NCBIv2:G4:7521174:7523587:-1 gene:PRUPE_4G136100 transcript:ONI11944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGRAEGVIRRRSQRTRKGHISTRNTILIKKTKDKHKSKHHKGDRHLKIEFQELSTDDYFSKNNEFSTWLKEEKDVFFSDLSSESARQLFSDFVKVWNKQKLESKYYEGIASGPRCAHTWKIKG >ONI13055 pep chromosome:Prunus_persica_NCBIv2:G4:12446359:12451175:1 gene:PRUPE_4G200300 transcript:ONI13055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEPAGLIIGVSIGVVIGVLLAISGFFCIRYHRRRLQIGNSSSRRTATIPIRANGADSCTILSDSTVGPDSPVKSGRNGSSFWLEGFKKSNVVSMSGIPQYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVKLLGRLHHRNLVNLIGYCAEKGQHMLIYVYMSKGSLASHLYSEKHEPLSWDLRVLIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIKGTFGYLDPEYISTRNFTKKSDVYSFGVLLFELIAGRNPQQGLMEYVELAAMNTEGKVGWEEIVDSRLNGNFHMQELNEVAALAYKCVNRAPKKRPSMRDIVQVLSRMLKMRHNRMHMKSLSSVTDEVTIDLNQPETKIQMAEHRREESADSIADTYEV >ONI13057 pep chromosome:Prunus_persica_NCBIv2:G4:12446486:12451175:1 gene:PRUPE_4G200300 transcript:ONI13057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEPAGLIIGVSIGVVIGVLLAISGFFCIRYHRRRLQIGNSSSRRTATIPIRANGADSCTILSDSTVGPDSPVKSGRNGSSFWLEGFKKSNVVSMSGIPQYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVKLLGRLHHRNLVNLIGYCAEKGQHMLIYVYMSKGSLASHLYSEKHEPLSWDLRVLIALDVARGLEYLHDGVADFGLSREEMVDKHAANIKGTFGYLDPEYISTRNFTKKSDVYSFGVLLFELIAGRNPQQGLMEYVELAAMNTEGKVGWEEIVDSRLNGNFHMQELNEVAALAYKCVNRAPKKRPSMRDIVQVLSRMLKMRHNRMHMKSLSSVTDEVTIDLNQPETKIQMAEHRREESADSIADTYEV >ONI13056 pep chromosome:Prunus_persica_NCBIv2:G4:12446997:12451175:1 gene:PRUPE_4G200300 transcript:ONI13056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEPAGLIIGVSIGVVIGVLLAISGFFCIRYHRRRLQIGNSSSRRTATIPIRANGADSCTILSDSTVGPDSPVKSGRNGSSFWLEGFKKSNVVSMSGIPQYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVKLLGRLHHRNLVNLIGYCAEKGQHMLIYVYMSKGSLASHLYSEKHEPLSWDLRVLIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIKGTFGYLDPEYISTRNFTKKSDVYSFGVLLFELIAGRNPQQGLMEYVELAAMNTEGKVGWEEIVDSRLNGNFHMQELNEVAALAYKCVNRAPKKRPSMRDIVQVLSRMLKMRHNRMHMKSLSSVTDEVTIDLNQPETKIQMAEHRREESADSIADTYEV >ONI13054 pep chromosome:Prunus_persica_NCBIv2:G4:12446650:12451175:1 gene:PRUPE_4G200300 transcript:ONI13054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEPAGLIIGVSIGVVIGVLLAISGFFCIRYHRRRLQIGNSSSRRTATIPIRANGADSCTILSDSTVGPDSPVKSGRNGSSFWLEGFKKSNVVSMSGIPQYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVKLLGRLHHRNLVNLIGYCAEKGQHMLIYVYMSKGSLASHLYSEKHEPLSWDLRVLIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIKGTFGYLDPEYISTRNFTKKSDVYSFGVLLFELIAGRNPQQGLMEYVELAAMNTEGKVGWEEIVDSRLNGNFHMQELNEVAALAYKCVNRAPKKRPSMRDIVQVLSRMLKMRHNRMHMKSLSSVTDEVTIDLNQPETKIQMAEHRREESADSIADTYEV >ONI11909 pep chromosome:Prunus_persica_NCBIv2:G4:7403344:7407433:1 gene:PRUPE_4G133800 transcript:ONI11909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATTPTTFSLLQTTTASTSRTRSSLTHVSLPSSLKPATLRRLGFSAADPLFALQVASKVRSFGSGKAVRGVVSMAKRSVGDLSAADLKGKRVFVRADLNVPLDDSQNITDDTRIRAAIPTIKHLIEKGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVVKAEDSIGPEVEKLVASLPDGSVLLLENVRFYKEEEKNDPEHAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLRPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKVDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLIAKAKAKGVSLLLPTDVVIADKFAPDANSKIVPASSIPDGWMGLDIGPDSIKTFNEALDTTQTIIWNGPMGVFEFDKFAEGTESVAKKLAELSGKGVTTIIGGGDSVAAVEKVGVANVMSHISTGGGASLELLEGKQLPGVLALDEATPVPV >ONI12840 pep chromosome:Prunus_persica_NCBIv2:G4:11093783:11095088:-1 gene:PRUPE_4G186600 transcript:ONI12840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGMSADDHYHRYLEDIELMHSLGMNSYRFSISWARILPDGMLGKTNPSGITFYNKLIDHLLLKGIEPFVTVHHYDMPQVLEQRDGGWLSPLLRSFGDRVKYWITFNEPNLMIEFAYIRGWYPPARCSAPFGNCSSGNSYTEPLIAMHNILIAHAMAVDTYRRVFWPKQHGFIGIVANAHMYEPLRDDERDWHAVDRALAFSVAC >ONI14201 pep chromosome:Prunus_persica_NCBIv2:G4:20824460:20825029:-1 gene:PRUPE_4G268600 transcript:ONI14201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDNNCMEDGEDLVHLSIRKQLIPVIGMEFETEDLAFKFYNAYAYNIGFSIRRSSCHKFKSGQLRDRLFVCFTEGKREIDKRVSNVKYHRAETRCGCLARMKISCHLNEKYRVIEFVYEHNHMTTSSSKTHLFRSHKKITYHIRSSWVMLNNTIIILNQP >ONI13604 pep chromosome:Prunus_persica_NCBIv2:G4:14976407:14979370:-1 gene:PRUPE_4G233000 transcript:ONI13604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELESVLLGNDLDAFDMYAITDELGPNQLSSEAENWEQMMEMISRGDLKEVLCACAKALANSDMSTTELLMSNLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSAIYAALRCKEPASAELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQVAQGSQWITLIQALAARPGGPPQIRITGIDDSTSAYARGGGLGLVGQRLSRLAESCKVPFEFHAAGISASEVQLENIEVRPGEAIAVNFAFMLHHMPDESVSCQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFLPRFAETLSYFRAVFDSIDVALPREHKERINVEQHCLAREIVNIIACEGMERVERYELLSKWKSRFIMAGFSPYPLSSLVNGTIKTLLQSYSEKYTLEERDGALYLGWMNRVLVASCAWR >ONI13601 pep chromosome:Prunus_persica_NCBIv2:G4:14976554:14978170:-1 gene:PRUPE_4G233000 transcript:ONI13601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESQKHIIPNVSHGCGEQPVEEPESYSWPPIPSVDHQASSDEVIQGTQLSVQHLAQYCTHESSSGTSTYHPVHSSPSSIGFSANGSPTSHPDLQNYQSCGSPVNQSCLSNDTDDLGHKMRELESVLLGNDLDAFDMYAITDELGPNQLSSEAENWEQMMEMISRGDLKEVLCACAKALANSDMSTTELLMSNLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSAIYAALRCKEPASAELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQVAQGSQWITLIQALAARPGGPPQIRITGIDDSTSAYARGGGLGLVGQRLSRLAESCKVPFEFHAAGISASEVQLENIEVRPGEAIAVNFAFMLHHMPDESVSCQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFLPRFAETLSYFRAVFDSIDVALPREHKERINVEQHCLAREIVNIIACEGMERVERYELLSKWKSRFIMAGFSPYPLSSLVNGTIKTLLQSYSEKYTLEERDGALYLGWMNRVLVASCAWR >ONI13602 pep chromosome:Prunus_persica_NCBIv2:G4:14976407:14979250:-1 gene:PRUPE_4G233000 transcript:ONI13602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESQKHIIPNVSHGCGEQPVEEPESYSWPPIPSVDHQASSDEVIQGTQLSVQHLAQYCTHESSSGTSTYHPVHSSPSSIGFSANGSPTSHPDLQNYQSCGSPVNQSCLSNDTDDLGHKMRELESVLLGNDLDAFDMYAITDELGPNQLSSEAENWEQMMEMISRGDLKEVLCACAKALANSDMSTTELLMSNLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSAIYAALRCKEPASAELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQVAQGSQWITLIQALAARPGGPPQIRITGIDDSTSAYARGGGLGLVGQRLSRLAESCKVPFEFHAAGISASEVQLENIEVRPGEAIAVNFAFMLHHMPDESVSCQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFLPRFAETLSYFRAVFDSIDVALPREHKERINVEQHCLAREIVNIIACEGMERVERYELLSKWKSRFIMAGFSPYPLSSLVNGTIKTLLQSYSEKYTLEERDGALYLGWMNRVLVASCAWR >ONI13605 pep chromosome:Prunus_persica_NCBIv2:G4:14976407:14979250:-1 gene:PRUPE_4G233000 transcript:ONI13605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELESVLLGNDLDAFDMYAITDELGPNQLSSEAENWEQMMEMISRGDLKEVLCACAKALANSDMSTTELLMSNLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSAIYAALRCKEPASAELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQVAQGSQWITLIQALAARPGGPPQIRITGIDDSTSAYARGGGLGLVGQRLSRLAESCKVPFEFHAAGISASEVQLENIEVRPGEAIAVNFAFMLHHMPDESVSCQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFLPRFAETLSYFRAVFDSIDVALPREHKERINVEQHCLAREIVNIIACEGMERVERYELLSKWKSRFIMAGFSPYPLSSLVNGTIKTLLQSYSEKYTLEERDGALYLGWMNRVLVASCAWR >ONI13603 pep chromosome:Prunus_persica_NCBIv2:G4:14976554:14978170:-1 gene:PRUPE_4G233000 transcript:ONI13603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESQKHIIPNVSHGCGEQPVEEPESYSWPPIPSVDHQASSDEVIQGTQLSVQHLAQYCTHESSSGTSTYHPVHSSPSSIGFSANGSPTSHPDLQNYQSCGSPVNQSCLSNDTDDLGHKMRELESVLLGNDLDAFDMYAITDELGPNQLSSEAENWEQMMEMISRGDLKEVLCACAKALANSDMSTTELLMSNLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSAIYAALRCKEPASAELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQVAQGSQWITLIQALAARPGGPPQIRITGIDDSTSAYARGGGLGLVGQRLSRLAESCKVPFEFHAAGISASEVQLENIEVRPGEAIAVNFAFMLHHMPDESVSCQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFLPRFAETLSYFRAVFDSIDVALPREHKERINVEQHCLAREIVNIIACEGMERVERYELLSKWKSRFIMAGFSPYPLSSLVNGTIKTLLQSYSEKYTLEERDGALYLGWMNRVLVASCAWR >ONI13600 pep chromosome:Prunus_persica_NCBIv2:G4:14976362:14979513:-1 gene:PRUPE_4G233000 transcript:ONI13600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEEAKEKTKAEGCNNHIPFICQKPNWVFTKFLESQKHIIPNVSHGCGEQPVEEPESYSWPPIPSVDHQASSDEVIQGTQLSVQHLAQYCTHESSSGTSTYHPVHSSPSSIGFSANGSPTSHPDLQNYQSCGSPVNQSCLSNDTDDLGHKMRELESVLLGNDLDAFDMYAITDELGPNQLSSEAENWEQMMEMISRGDLKEVLCACAKALANSDMSTTELLMSNLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSAIYAALRCKEPASAELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQVAQGSQWITLIQALAARPGGPPQIRITGIDDSTSAYARGGGLGLVGQRLSRLAESCKVPFEFHAAGISASEVQLENIEVRPGEAIAVNFAFMLHHMPDESVSCQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFLPRFAETLSYFRAVFDSIDVALPREHKERINVEQHCLAREIVNIIACEGMERVERYELLSKWKSRFIMAGFSPYPLSSLVNGTIKTLLQSYSEKYTLEERDGALYLGWMNRVLVASCAWR >ONI13606 pep chromosome:Prunus_persica_NCBIv2:G4:14976368:14979513:-1 gene:PRUPE_4G233000 transcript:ONI13606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGLVARLASSGSAIYAALRCKEPASAELLSYMHILYEICPYFKFGYMSANGAIAEAMKDESRVHIIDFQVAQGSQWITLIQALAARPGGPPQIRITGIDDSTSAYARGGGLGLVGQRLSRLAESCKVPFEFHAAGISASEVQLENIEVRPGEAIAVNFAFMLHHMPDESVSCQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFLPRFAETLSYFRAVFDSIDVALPREHKERINVEQHCLAREIVNIIACEGMERVERYELLSKWKSRFIMAGFSPYPLSSLVNGTIKTLLQSYSEKYTLEERDGALYLGWMNRVLVASCAWR >ONI12728 pep chromosome:Prunus_persica_NCBIv2:G4:10726786:10733541:-1 gene:PRUPE_4G180900 transcript:ONI12728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGASIGTIKTKANVCCVQFPLDSGRSLAFGSADHKIYYYDLRNSKIPLCTLVGHSKTVSYVKFVDTTNLVSASTDNTLKLWDLSTCTSRVIDTPVLSFTGHTNVKVFIYHKAFPMPTLSYKFQNTDPLSGHETDDAAQFISSVCWRGQSSTLIAANSTGNIKILEMV >ONI12739 pep chromosome:Prunus_persica_NCBIv2:G4:10729560:10732788:-1 gene:PRUPE_4G180900 transcript:ONI12739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAILFLHLVDVSFETKRSKYWYNKNKGQCLLCTVSFGLWSFPCIWFSGS >ONI12729 pep chromosome:Prunus_persica_NCBIv2:G4:10726786:10733542:-1 gene:PRUPE_4G180900 transcript:ONI12729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGASIGTIKTKANVCCVQFPLDSGRSLAFGSADHKIYYYDLRNSKIPLCTLVGHSKTVSYVKFVDTTNLVSASTDNTLKLWDLSTCTSRVIDTPVLSFTGHTNVKVFIYHKAFPMPTLSYKFQNTDPLSGHETDDAAQFISSVCWRGQSSTLIAANSTGNIKILEMV >ONI12734 pep chromosome:Prunus_persica_NCBIv2:G4:10726786:10733522:-1 gene:PRUPE_4G180900 transcript:ONI12734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGASIGTIKTKANVCCVQFPLDSGRSLAFGSADHKIYYYDLRNSKIPLCTLVGHSKTVSYVKFVDTTNLVSASTDNTLKLWDLSTCTSRVIDTPVLSFTGHTNVKNFVGLSISDGYIATGSETNEVFIYHKAFPMPTLSYKFQNTDPLSGHETDDAAQFISSVCWRGQSSTLIAANSTGNIKILEMV >ONI12742 pep chromosome:Prunus_persica_NCBIv2:G4:10729560:10732788:-1 gene:PRUPE_4G180900 transcript:ONI12742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAILFLHLVDVSFETKRSKYWYNKNKGQCLLCTVSFGLWSFPCIWFSGS >ONI12732 pep chromosome:Prunus_persica_NCBIv2:G4:10726786:10733555:-1 gene:PRUPE_4G180900 transcript:ONI12732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGASIGTIKTKANVCCVQFPLDSGRSLAFGSADHKIYYYDLRNSKIPLCTLVGHSKTVSYVKFVDTTNLVSASTDNTLKLWDLSTCTSRVIDTPVLSFTGHTNVKNFVGLSISDGYIATGSETNEVFIYHKAFPMPTLSYKFQNTDPLSGHETDDAAQFISSVCWRGQSSTLIAANSTGNIKILEMV >ONI12737 pep chromosome:Prunus_persica_NCBIv2:G4:10729560:10732788:-1 gene:PRUPE_4G180900 transcript:ONI12737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAILFLHLVDVSFETKRSKYWYNKNKGQCLLCTVSFGLWSFPCIWFSGS >ONI12735 pep chromosome:Prunus_persica_NCBIv2:G4:10727891:10733522:-1 gene:PRUPE_4G180900 transcript:ONI12735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGASIGTIKTKANVCCVQFPLDSGRSLAFGSADHKIYYYDLRNSKIPLCTLVGHSKTVSYVKFVDTTNLVSASTDNTLKLWDLSTCTSRVIDTPVLSFTGHTNVKNFVGLSISDGYIATGSETNEEPKFSIFLFSLETSFYWVQWRPKVREGEL >ONI12744 pep chromosome:Prunus_persica_NCBIv2:G4:10729709:10732788:-1 gene:PRUPE_4G180900 transcript:ONI12744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAILFLHLVDVSFETKRTAVIYLHAVYI >ONI12731 pep chromosome:Prunus_persica_NCBIv2:G4:10726786:10733522:-1 gene:PRUPE_4G180900 transcript:ONI12731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGASIGTIKTKANVCCVQFPLDSGRSLAFGSADHKIYYYDLRNSKIPLCTLVGHSKTVSYVKFVDTTNLVSASTDNTLKLWDLSTCTSRVIDTPVLSFTGHTNVKNFVGLSISDGYIATGSETNEVFIYHKAFPMPTLSYKFQNTDPLSGHETDDAAQFISSVCWRGQSSTLIAANSTGNIKILEMV >ONI12740 pep chromosome:Prunus_persica_NCBIv2:G4:10729560:10732788:-1 gene:PRUPE_4G180900 transcript:ONI12740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAILFLHLVDVSFETKRSKYWYNKNKGQCLLCTVSFGLWSFPCIWFSGS >ONI12741 pep chromosome:Prunus_persica_NCBIv2:G4:10729560:10732788:-1 gene:PRUPE_4G180900 transcript:ONI12741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAILFLHLVDVSFETKRSKYWYNKNKGQCLLCTVSFGLWSFPCIWFSGS >ONI12738 pep chromosome:Prunus_persica_NCBIv2:G4:10729560:10732788:-1 gene:PRUPE_4G180900 transcript:ONI12738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAILFLHLVDVSFETKRSKYWYNKNKGQCLLCTVSFGLWSFPCIWFSGS >ONI12736 pep chromosome:Prunus_persica_NCBIv2:G4:10729560:10732788:-1 gene:PRUPE_4G180900 transcript:ONI12736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAILFLHLVDVSFETKRSKYWYNKNKGQCLLCTVSFGLWSFPCIWFSGS >ONI12733 pep chromosome:Prunus_persica_NCBIv2:G4:10726786:10733813:-1 gene:PRUPE_4G180900 transcript:ONI12733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGASIGTIKTKANVCCVQFPLDSGRSLAFGSADHKIYYYDLRNSKIPLCTLVGHSKTVSYVKFVDTTNLVSASTDNTLKLWDLSTCTSRVIDTPVLSFTGHTNVKNFVGLSISDGYIATGSETNEVFIYHKAFPMPTLSYKFQNTDPLSGHETDDAAQFISSVCWRGQSSTLIAANSTGNIKILEMV >ONI12743 pep chromosome:Prunus_persica_NCBIv2:G4:10729560:10732788:-1 gene:PRUPE_4G180900 transcript:ONI12743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQAILFLHLVDVSFETKRSKYWYNKNKGQCLLCTVSFGLWSFPCIWFSGS >ONI12730 pep chromosome:Prunus_persica_NCBIv2:G4:10726786:10733522:-1 gene:PRUPE_4G180900 transcript:ONI12730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFWLSCRYRRITMEGSSESAWQNSDSSRGLNTSGVSNRNLRIVHAGRFGLSGDASQDSDLRKERDRVVVAHTDDLKNQGGLSGVCEDEGAVDPFVRAIEWGDVSLRQWLDKPDRSVDVFECVHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGTDSPEDSPTAEIKDFPSPLHGDLNQQQCNLGRLNFQSMRTLTTTLSETSCMQSSSIYAARESLVQESEENRIRDRNAELEDKRQPFPMKQILLMESSWYTSPEEVSGGLSLCASDIYRLGVLLFELFCPFSSREEKSSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPNSRPKMGELQQSEFLNEPRDDLEEREAAIELRDKIEEQELLLEFLLLIQQRKQDAADKLQNTLSVLCSDIEEVMKHRIISKKKGSSGPELVKEDQSTSSFPSMNINDDDDSASGSRKRSRPGIRLHNIEECDDNLDGQKSDTENQESTLLKSSRLMKNFKKLEAAYFLTRCRSVKQSAKPVTRHSPISSDGRGSVVVTERSSVNNLPSKEQHSEGRRSGWIDPFLEGLCKYLSFSKLKVRADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIITEDRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVIRSQVLMEMKEHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGASIGTIKTKANVCCVQFPLDSGRSLAFGSADHKIYYYDLRNSKIPLCTLVGHSKTVSYVKFVDTTNLVSASTDNTLKLWDLSTCTSRVIDTPVLSFTGHTNVKVFIYHKAFPMPTLSYKFQNTDPLSGHETDDAAQFISSVCWRGQSSTLIAANSTGNIKILEMV >ONI10902 pep chromosome:Prunus_persica_NCBIv2:G4:3669660:3672644:-1 gene:PRUPE_4G074900 transcript:ONI10902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCPSIKNILLLDSEGKRVAVKYYSEDWPTNSAKESFEKAVFTKTQKTNARTEAEIAMFENTIVVYKFVQDLHFFVTGGDNENELILSTVLQGFFDAVGILLRGNVDKKEALENLDLILLCLDEIVDGGIVLETDSNVIASKVASHSIDAGAPLSEQTISQALATAREHLARSLLK >ONI10901 pep chromosome:Prunus_persica_NCBIv2:G4:3669660:3672814:-1 gene:PRUPE_4G074900 transcript:ONI10901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCPSIKNILLLDSEGKRVAVKYYSEDWPTNSAKESFEKAVFTKTQKTNARTEAEIAMFENTIVVYKFVQDLHFFVTGGDNENELILSTVLQGFFDAVGILLRGNVDKKEALENLDLILLCLDEIVDGGIVLETDSNVIASKVASHSIDAGAPLSEQTISQALATAREHLARSLLK >ONI14233 pep chromosome:Prunus_persica_NCBIv2:G4:21738301:21743151:1 gene:PRUPE_4G270200 transcript:ONI14233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEVKNSVPTTRKRILLEGLAGSAWFLGEQVAMQSLGTTEPQVPNIPPRTMLSDYKLTDESEVREALNGYPSSEWLANSSDYAHYRYEYIRYRHYEDLREAEGQDRNLEGANIRVGNISPQPWSVRIPCWAAENGSKLVRIPRGQDSLDLPLPAGVTHVTAEATTEILELNAGLNAVLFSTSLEASIEIRRLRQEIEILKNTSGTTIASSGIGEDVLADDAEHEFRTKFKDDIGRKGKTKIVILEEHEEDEEEEEEEEDEDEEEDEEEDDDDAWIEEKEVGDEDEVGDEDEEADEDGAVTKGNPDAEQHSTDQKRKVEKILRSRTPKRNKTK >ONI10467 pep chromosome:Prunus_persica_NCBIv2:G4:2343262:2348944:1 gene:PRUPE_4G049100 transcript:ONI10467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANSSFPLKDQREKQKFLIEVANTENQLWSLIHSKGLLHSEVKDLYRKVRSTYENLILSDRDQLELQDIEYSLWKLHYKRIDEFRKRIKGSFVNAENKKLAVPQNDNHVEGFKLFLSEAIEFYQNLIVKIRKRNRLPEESVFYRKGGNLTFAEQKKMQKCQFLCHRFLVCVGDLARYKEQYEKPDAQNRNWSVAATNYLEATVIWPDSGNPHNQLAVLAIYIGDEFLALYHCIRSLAVKEPFPDAQGNLILLFERSRSSHLFSLSSESHFDFLNPSERSILQTISKSSNHNMLKAEHNCYTDTKLWSVIIGTLSFFHIKSSEDEFPCAFASTMGELEALMALDDTKLKVTLESYQRMDSVRKGPFRALQVVSVLIFTIQNLIKIPEIKESRDKNDVQQKELTQWALTATFIFMGCFVERCLKAGATETCPLLPAVLVFVEWLVIILDGAEMHGVDEKSRSAMSYFFGAFVDLLKRFNVNEDEAKYAEVTPLWEDYELRGFVPVAYAHASLDFSSRWEYIDKFDTAIDCRAQRIINAAIKIADKSIGSQKWIVYDKPGREFSKVYRAESNEYPELERLESNNSDVNQKVPSQPIHEAPEECEKQMIAGDNSSSNGKSVTIEDEEVILFRPLARHNSAPLKISSALNDPTPTKDMGDHSVPSDECLRRATSLLIAQNQAQIDPLSFHADITNFTRSQQKPGVQDRVAQPFWETPITAGPPSLSAWVLDGGNMSNNREKSTSGSGKHGSRLSPIEEIASESLDGLSISENGFASIQPSSSTYTAPVPSAPLLPDDADWFNGGSQSSFIDCESSGGISMTDNVRDASHSPIGSYPKWTATQGLPDYSPSTPGFMDKYPPWHRMTSSEWLRQYRESLNLGHHAWPNSLHPPANPGNLHDYDTYRFHHINRWGNHAASNPAMHTNNPTLRPAFPPDYGDADGQRREKLFPGYQRTSPYGCGAVTDLKNEQRPLLQYLKEMERQLQLDPTARGPTYMNN >ONI10465 pep chromosome:Prunus_persica_NCBIv2:G4:2343817:2348876:1 gene:PRUPE_4G049100 transcript:ONI10465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANSSFPLKDQREKQKFLIEVANTENQLWSLIHSKGLLHSEVKDLYRKVRSTYENLILSDRDQLELQDIEYSLWKLHYKRIDEFRKRIKGSFVNAENKKLAVPQNDNHVEGFKLFLSEAIEFYQNLIVKIRKRNRLPEESVFYRKGGNLTFAEQKKMQKCQFLCHRFLVCVGDLARYKEQYEKPDAQNRNWSVAATNYLEATVIWPDSGNPHNQLAVLAIYIGDEFLALYHCIRSLAVKEPFPDAQGNLILLFERSRSSHLFSLSSESHFDFLNPSERSILQTISKSSNHNMLKAEHNCYTDTKLWSVIIGTLSFFHIKSSEDEFPCAFASTMGELEALMALDDTKLKVTLESYQRMDSVRKGPFRALQVVSVLIFTIQNLIKIPEIKESRDKNDVQQKELTQWALTATFIFMGCFVERCLKAGATETCPLLPAVLVFVEWLVIILDGAEMHGVDEKSRSAMSYFFGAFVDLLKRFNVNEDEAKYAEVTPLWEDYELRGFVPVAYAHASLDFSSRWEYIDKFDTAIDCRAQRIINAAIKIADKSIGSQKWIVYDKPGREFSKVYRAESNEYPELERLESNNSDVNQKVPSQPIHEAPEECEKQMIAGDNSSSNGKSVTIEDEEVILFRPLARHNSAPLKISSALNDPTPTKDMGDHSVPSDECLRRATSLLIAQNQAQIDPLSFHADITNFTRSQQKPGVQDRVAQPFWETPITAGPPSLSAWVLDGGNMSNNREKSTSGSGKHGSRLSPIEEIASESLDGLSISENGFASIQPSSSTYTAPVPSAPLLPDDADWFNGGSQSSFIDCESSGGISMTDNVRDASHSPIGSYPKWTATQGLPDYSPSTPGFMDKYPPWHRMTSSEWLRQYRESLNLGHHAWPNSLHPPANPGNLHDYDTYRFHHINRWGNHAASNPAMHTNNPTLRPAFPPDYGDADGQRREKLFPGYQRTSPYGCGAVTDLKNEQRPLLQYLKEMERQLQLDPTARGPTYMNN >ONI10466 pep chromosome:Prunus_persica_NCBIv2:G4:2343513:2348895:1 gene:PRUPE_4G049100 transcript:ONI10466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANSSFPLKDQREKQKFLIEVANTENQLWSLIHSKGLLHSEVKDLYRKVRSTYENLILSDRDQLELQDIEYSLWKLHYKRIDEFRKRIKGSFVNAENKKLAVPQNDNHVEGFKLFLSEAIEFYQNLIVKIRKRNRLPEESVFYRKGGNLTFAEQKKMQKCQFLCHRFLVCVGDLARYKEQYEKPDAQNRNWSVAATNYLEATVIWPDSGNPHNQLAVLAIYIGDEFLALYHCIRSLAVKEPFPDAQGNLILLFERSRSSHLFSLSSESHFDFLNPSERSILQTISKSSNHNMLKAEHNCYTDTKLWSVIIGTLSFFHIKSSEDEFPCAFASTMGELEALMALDDTKLKVTLESYQRMDSVRKGPFRALQVVSVLIFTIQNLIKIPEIKESRDKNDVQQKELTQWALTATFIFMGCFVERCLKAGATETCPLLPAVLVFVEWLVIILDGAEMHGVDEKSRSAMSYFFGAFVDLLKRFNVNEDEAKYAEVTPLWEDYELRGFVPVAYAHASLDFSSRWEYIDKFDTAIDCRAQRIINAAIKIADKSIGSQKWIVYDKPGREFSKVYRAESNEYPELERLESNNSDVNQKVPSQPIHEAPEECEKQMIAGDNSSSNGKSVTIEDEEVILFRPLARHNSAPLKISSALNDPTPTKDMGDHSVPSDECLRRATSLLIAQNQAQIDPLSFHADITNFTRSQQKPGVQDRVAQPFWETPITAGPPSLSAWVLDGGNMSNNREKSTSGSGKHGSRLSPIEEIASESLDGLSISENGFASIQPSSSTYTAPVPSAPLLPDDADWFNGGSQSSFIDCESSGGISMTDNVRDASHSPIGSYPKWTATQGLPDYSPSTPGFMDKYPPWHRMTSSEWLRQYRESLNLGHHAWPNSLHPPANPGNLHDYDTYRFHHINRWGNHAASNPAMHTNNPTLRPAFPPDYGDADGQRREKLFPGYQRTSPYGCGAVTDLKNEQRPLLQYLKEMERQLQLDPTARGPTYMNN >ONI10468 pep chromosome:Prunus_persica_NCBIv2:G4:2344236:2348876:1 gene:PRUPE_4G049100 transcript:ONI10468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANSSFPLKDQREKQKFLIEVANTENQLWSLIHSKGLLHSEVKDLYRKVRSTYENLILSDRDQLELQDIEYSLWKLHYKRIDEFRKRIKGSFVNAENKKLAVPQNDNHVEGFKLFLSEAIEFYQNLIVKIRKRNRLPEESVFYRKGGNLTFAEQKKMQKCQFLCHRFLVCVGDLARYKEQYEKPDAQNRNWSVAATNYLEATVIWPDSGNPHNQLAVLAIYIGDEFLALYHCIRSLAVKEPFPDAQGNLILLFERSRSSHLFSLSSESHFDFLNPSERSILQTISKSSNHNMLKAEHNCYTDTKLWSVIIGTLSFFHIKSSEDEFPCAFASTMGELEALMALDDTKLKVTLESYQRMDSVRKGPFRALQVVSVLIFTIQNLIKIPEIKESRDKNDVQQKELTQWALTATFIFMGCFVERCLKAGATETCPLLPAVLVFVEWLVIILDGAEMHGVDEKSRSAMSYFFGAFVDLLKRFNVNEDEAKYAEVTPLWEDYELRGFVPVAYAHASLDFSSRWEYIDKFDTAIDCRAQRIINAAIKIADKSIGSQKWIVYDKPGREFSKVYRAESNEYPELERLESNNSDVNQKVPSQPIHEAPEECEKQMIAGDNSSSNGKSVTIEDEEVILFRPLARHNSAPLKISSALNDPTPTKDMGDHSVPSDECLRRATSLLIAQNQAQIDPLSFHADITNFTRSQQKPGVQDRVAQPFWETPITAGPPSLSAWVLDGGNMSNNREKSTSGSGKHGSRLSPIEEIASESLDGLSISENGFASIQPSSSTYTAPVPSAPLLPDDADWFNGGSQSSFIDCESSGGISMTDNVRDASHSPIGSYPKWTATQGLPDYSPSTPGFMDKYPPWHRMTSSEWLRQYRESLNLGHHAWPNSLHPPANPGNLHDYDTYRFHHINRWGNHAASNPAMHTNNPTLRPAFPPDYGDADGQRREKLFPGYQRTSPYGCGAVTDLKNEQRPLLQYLKEMERQLQLDPTARGPTYMNN >ONI10464 pep chromosome:Prunus_persica_NCBIv2:G4:2343931:2348876:1 gene:PRUPE_4G049100 transcript:ONI10464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDFQLSPFPIIQDVLQLKFMTPLWRPFLFWFFQLQSVLICDFHQQVCYAISILQFALEEVFFLLNMTANSSFPLKDQREKQKFLIEVANTENQLWSLIHSKGLLHSEVKDLYRKVRSTYENLILSDRDQLELQDIEYSLWKLHYKRIDEFRKRIKGSFVNAENKKLAVPQNDNHVEGFKLFLSEAIEFYQNLIVKIRKRNRLPEESVFYRKGGNLTFAEQKKMQKCQFLCHRFLVCVGDLARYKEQYEKPDAQNRNWSVAATNYLEATVIWPDSGNPHNQLAVLAIYIGDEFLALYHCIRSLAVKEPFPDAQGNLILLFERSRSSHLFSLSSESHFDFLNPSERSILQTISKSSNHNMLKAEHNCYTDTKLWSVIIGTLSFFHIKSSEDEFPCAFASTMGELEALMALDDTKLKVTLESYQRMDSVRKGPFRALQVVSVLIFTIQNLIKIPEIKESRDKNDVQQKELTQWALTATFIFMGCFVERCLKAGATETCPLLPAVLVFVEWLVIILDGAEMHGVDEKSRSAMSYFFGAFVDLLKRFNVNEDEAKYAEVTPLWEDYELRGFVPVAYAHASLDFSSRWEYIDKFDTAIDCRAQRIINAAIKIADKSIGSQKWIVYDKPGREFSKVYRAESNEYPELERLESNNSDVNQKVPSQPIHEAPEECEKQMIAGDNSSSNGKSVTIEDEEVILFRPLARHNSAPLKISSALNDPTPTKDMGDHSVPSDECLRRATSLLIAQNQAQIDPLSFHADITNFTRSQQKPGVQDRVAQPFWETPITAGPPSLSAWVLDGGNMSNNREKSTSGSGKHGSRLSPIEEIASESLDGLSISENGFASIQPSSSTYTAPVPSAPLLPDDADWFNGGSQSSFIDCESSGGISMTDNVRDASHSPIGSYPKWTATQGLPDYSPSTPGFMDKYPPWHRMTSSEWLRQYRESLNLGHHAWPNSLHPPANPGNLHDYDTYRFHHINRWGNHAASNPAMHTNNPTLRPAFPPDYGDADGQRREKLFPGYQRTSPYGCGAVTDLKNEQRPLLQYLKEMERQLQLDPTARGPTYMNN >ONI10851 pep chromosome:Prunus_persica_NCBIv2:G4:3517371:3518452:-1 gene:PRUPE_4G071800 transcript:ONI10851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRRQQGEGGSHSGYYSQPWKNHWSSLQKKRKPQDHSVSVPDWEKQFCMRAGSVPWGKLVETKKYMSLHKKIVQWNDSAGEEAFNDAKSWFWAEINGLPCNISLPDPDAYIDDVDWSSSNIDPEVILDLERSREPKPYYYDHGQTLDPEDVVIIGLHQTVSNETVACTWGDCDEDLKNKENVHENPWEPASGHQSKAAAMGGGWGSNTCNKWENNCSRDEWKYGSRKTSYYGRGDHGVSWGNFKSDVNGGSWWHNSRYKNSRFLGDDGYQKAGGRWRKEEQSSRW >ONI12138 pep chromosome:Prunus_persica_NCBIv2:G4:8435857:8436693:-1 gene:PRUPE_4G147600 transcript:ONI12138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIETLWKEVRELSLGDSDRVDHLECPPTPLQFLRDFVCQNKPCIISNATLHWPALSSWTHDSYLTGALSSADVSLHLTPHGQADALVPLDGSLCFSSAHVQRMPFPEALNLITNNESPSKLVAYAQQQNNCFLSEYSALAADCDPHIPWASEALGCLPDAVNMWIGNHLSTTSFHKDHYENLYAVVTGQKHFLLLPPTDVHRMYIRMYPAAQYSYSHDTGEFKLELEKPDRYVPWCSVDPYPSPEDRDKQLSNFPLYFDGPKPFRCTLNPGDILYL >ONI12137 pep chromosome:Prunus_persica_NCBIv2:G4:8435068:8437748:-1 gene:PRUPE_4G147600 transcript:ONI12137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIETLWKEVRELSLGDSDRVDHLECPPTPLQFLRDFVCQNKPCIISNATLHWPALSSWTHDSYLTGALSSADVSLHLTPHGQADALVPLDGSLCFSSAHVQRMPFPEALNLITNNESPSKLVAYAQQQNNCFLSEYSALAADCDPHIPWASEALGCLPDAVNMWIGNHLSTTSFHKDHYENLYAVVTGQKHFLLLPPTDVHRMYIRMYPAAQYSYSHDTGEFKLELEKPDRYVPWCSVDPYPSPEDRDKQLSNFPLYFDGPKPFRCTLNPGDILYLPSMWFHHVRQSPDSRGRTIAVNYWYDMQFDIKYAYFNFLQSIHCLSIKTPTLPVTMHEDSDSDA >ONI14182 pep chromosome:Prunus_persica_NCBIv2:G4:20104960:20109662:-1 gene:PRUPE_4G267400 transcript:ONI14182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGNAVQDSAVQTTSLENIEALLEAARYDDMDDTVSLASAGVSLDSKDSQGRTALHMASANGHLDIVEYLISRGVDLNATNEEMNTPLHWACLNGHVEVVKKLIFAGANLSVLNSYERTPIDEAVTRGKMDVLDAINAAAAQVELTGVSVS >ONI14181 pep chromosome:Prunus_persica_NCBIv2:G4:20104687:20110277:-1 gene:PRUPE_4G267400 transcript:ONI14181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGGNAVQDSAVQTTSLENIEALLEAARYDDMDDTVSLASAGVSLDSKDSQGRTALHMASANGHLDIVEYLISRGVDLNATNEEMNTPLHWACLNGHVEVVKKLIFAGANLSVLNSYERTPIDEAVTRGKMDVLDAINAAAAQVELTGVSVS >ONI12498 pep chromosome:Prunus_persica_NCBIv2:G4:9788737:9790786:1 gene:PRUPE_4G168400 transcript:ONI12498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGIATIAINLAPNLQVALFKATLHGEREAHIGDHHCREPSIGACFKGGEPFINGEAVPYDPKYHEEWIRNNSRANKRNRRNDRPRNYDRSRNFDRRRENMQNRDFQNRGQPPMPNQAGQNPGPNMPGPANNMRTPNNMGPPPPNAGPMPPHNNMGPNYSNVQQPNNWSGGQPNNNSNQIPHNYNQMPPPPPNYNQMPPNNMGGVPPNNMRGVPPNNMGGVSLPPNAGWGGPGQFQDN >ONI11397 pep chromosome:Prunus_persica_NCBIv2:G4:5416139:5424144:1 gene:PRUPE_4G104900 transcript:ONI11397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSRRMLKQPWQLSVSVAFFSSLCFWFQVSMAQNATTDPSEVTALNSIFEQWDTQAVPGLWNISGDPCSGSAVNGTDFEDPANNPAIICDCTYEKSTTCHITQLRVYALNKRGVFPQEFVALRYLTVLKIDQNYFTGPLPAFIGNMSALTSLSIAINSFSGPIPKELGNLTELTTLSFGSNNFSGTLPPELGNLVKLEQWYMDSCGLSGEIPSTFAKLINMQILWASDIAFSGKIPAFIGNWTKLTVLRFQGNSFEGPIPTSFSQLTSLNTLRISDISNVSSSLDFIRNLKNLTDLVLRNALINGSIPSDIGEYEGLQILNLGFNNLTGQLPSSLFNMSSLTYLFLGNNSLSGPLPSQKSDQLQTIDLSYNYLSGSFPQWVTTISQLNLVVNNFTFGSSNITLPGLNCLQRNFPCNRDTPRYANFSIKCGGPQMRGSDGILYEAEDSALGPATFNVTSTQKWAVSNVGLFSDRKNPSFVETTLTQVTGTDVTPELFQTSRLSPGSLRYYGLGLQNGPYTVTLQFAETVYASRATQTWQSLGRRVFDIYIQGNLRWKDFDISKEAGGVNRAVGKPFKVNVSENYLDIHLFWAGKGTCCIPEQGDYGPLISTVHAASDFTPTVSGLPPTTPGKKSRIGLIVGIAVPVGAVSLLLLFGVLYMRRKKSEKDDDEDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGTQRILVYEYLENKSLDQALFGRNDLHLDWPTRFNILLGTARGLAYLHEESRPRIVHRDVKASNILIDGELSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEILSGRPNSDNNLDPEKIYLLEWAWTLHENDQSLGLVDPRLTEFDEKEATRLIKAALLCTQASPMMRPSMSRVVAMLSGDIEASTVISKPSYLTDWDFKDVTTSSFLLDNDTPSTESNVHPNHQPEGTTTSVSPGIDLSPSPVNVTESMLTGIIGEGR >ONI11398 pep chromosome:Prunus_persica_NCBIv2:G4:5414759:5424144:1 gene:PRUPE_4G104900 transcript:ONI11398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSRRMLKQPWQLSVSVAFFSSLCFWFQVSMAQNATTDPSEVTALNSIFEQWDTQAVPGLWNISGDPCSGSAVNGTDFEDPANNPAIICDCTYEKSTTCHITQLRVYALNKRGVFPQEFVALRYLTVLKIDQNYFTGPLPAFIGNMSALTSLSIAINSFSGPIPKELGNLTELTTLSFGSNNFSGTLPPELGNLVKLEQWYMDSCGLSGEIPSTFAKLINMQILWASDIAFSGKIPAFIGNWTKLTVLRFQGNSFEGPIPTSFSQLTSLNTLRISDISNVSSSLDFIRNLKNLTDLVLRNALINGSIPSDIGEYEGLQILNLGFNNLTGQLPSSLFNMSSLTYLFLGNNSLSGPLPSQKSDQLQTIDLSYNYLSGSFPQWVTTISQLNLVVNNFTFGSSNITLPGLNCLQRNFPCNRDTPRYANFSIKCGGPQMRGSDGILYEAEDSALGPATFNVTSTQKWAVSNVGLFSDRKNPSFVETTLTQVTGTDVTPELFQTSRLSPGSLRYYGLGLQNGPYTVTLQFAETVYASRATQTWQSLGRRVFDIYIQGNLRWKDFDISKEAGGVNRAVGKPFKVNVSENYLDIHLFWAGKGTCCIPEQGDYGPLISTVHAASDFTPTVSGLPPTTPGKKSRIGLIVGIAVPVGAVSLLLLFGVLYMRRKKSEKDDDEDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGTQRILVYEYLENKSLDQALFGRNDLHLDWPTRFNILLGTARGLAYLHEESRPRIVHRDVKASNILIDGELSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEILSGRPNSDNNLDPEKIYLLEWAWTLHENDQSLGLVDPRLTEFDEKEATRLIKAALLCTQASPMMRPSMSRVVAMLSGDIEASTVISKPSYLTDWDFKDVTTSSFLLDNDTPSTESNVHPNHQPEGTTTSVSPGIDLSPSPVNVTESMLTGIIGEGR >ONI11577 pep chromosome:Prunus_persica_NCBIv2:G4:6095907:6100258:1 gene:PRUPE_4G114200 transcript:ONI11577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSISISNTLTILSFAVLSAVGGINCCRFHTSNDIVVVVAVVILVILFIMQQFGTQKFGWLFAPIVLLWFLLIGGIGIFNIWKYDTSILRAFSPVYVIAFFRKGGTHGWASLGGIMLCINGTETLFADVSHFPVLSVQIAFTLVAFPCLLLAYSGQAAYLMKYPHHVFGAFYYSIPESIYWPVFSVATAAAIVASQATITATFSLIKQALALGCFPRVKVVYTSRSHHQIYIPDINWILMILCISVTAGFKNQSQIGNAAGTAVVIVMLVTTFLMILVMILVWRCHWTLVLFFACLTLVVEGTYFSAVLLKVNQGGWVPLVIAVAFFIVMYGWHYGTVIRFDIEMHSKVSMAWILGLGPSLGLVRVPGIGLVYSEIATGVPHIFSHFITNLPAIHSVVVFVCVKYLPVCTVPEEERFLVKRIGPNNFHMFRCVVRYGYKDDHKKDDDFEEKLFDNLFTFVRLESLMEGSPGSDVSSILNQQTIQSRDAMWNNNNNRSIPYSSADVSITSVDSIELADSPIYVSQPLTCSIPTSSQLVEIDEIEFLNRCRDAGVVHILGNTVVRARRDSNCFKKMAVDYLYAFLRKICRGNSVLFNVPHESLLSVGQIFYV >ONI11575 pep chromosome:Prunus_persica_NCBIv2:G4:6092518:6100258:1 gene:PRUPE_4G114200 transcript:ONI11575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENEDPEKKGGVWALDQQLDQPMDEEANKFSNMSEDKKCSSITVLQLAFQSLGVVYGDLGTSPLYVFYNTFPYGIDEPDDLLGALSVVIYSLTLIALVKYVLIVCRANDNGQGGTFALYSLLCRHAKIKTVPNQDQSDEELTTYSRSTFGEQSFAASTKRWLEGHALIQNVLLILVLIGSCMVIGDGILTPAISVLSAVGGINCCRFHTSNDIVVVVAVVILVILFIMQQFGTQKFGWLFAPIVLLWFLLIGGIGIFNIWKYDTSILRAFSPVYVIAFFRKGGTHGWASLGGIMLCINGTETLFADVSHFPVLSVQIAFTLVAFPCLLLAYSGQAAYLMKYPHHVFGAFYYSIPESIYWPVFSVATAAAIVASQATITATFSLIKQALALGCFPRVKVVYTSRSHHQIYIPDINWILMILCISVTAGFKNQSQIGNAAGTAVVIVMLVTTFLMILVMILVWRCHWTLVLFFACLTLVVEGTYFSAVLLKVNQGGWVPLVIAVAFFIVMYGWHYGTVIRFDIEMHSKVSMAWILGLGPSLGLVRVPGIGLVYSEIATGVPHIFSHFITNLPAIHSVVVFVCVKYLPVCTVPEEERFLVKRIGPNNFHMFRCVVRYGYKDDHKKDDDFEEKLFDNLFTFVRLESLMEGSPGSDVSSILNQQTIQSRDAMWNNNNNRSIPYSSADVSITSVDSIELADSPIYVSQPLTCSIPTSSQLVEIDEIEFLNRCRDAGVVHILGNTVVRARRDSNCFKKMAVDYLYAFLRKICRGNSVLFNVPHESLLSVGQIFYV >ONI11576 pep chromosome:Prunus_persica_NCBIv2:G4:6092518:6100258:1 gene:PRUPE_4G114200 transcript:ONI11576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENEDPEKKGGVWALDQQLDQPMDEEANKFSNMSEDKKCSSITVLQLAFQSLGVVYGDLGTSPLYVFYNTFPYGIDEPDDLLGALSVVIYSLTLIALVKYVLIVCRANDNGQGGTFALYSLLCRHAKIKTVPNQDQSDEELTTYSRSTFGEQSFAASTKRWLEGHALIQNVLLILVLIGSCMVIGDGILTPAISDIVVVVAVVILVILFIMQQFGTQKFGWLFAPIVLLWFLLIGGIGIFNIWKYDTSILRAFSPVYVIAFFRKGGTHGWASLGGIMLCINGTETLFADVSHFPVLSVQIAFTLVAFPCLLLAYSGQAAYLMKYPHHVFGAFYYSIPESIYWPVFSVATAAAIVASQATITATFSLIKQALALGCFPRVKVVYTSRSHHQIYIPDINWILMILCISVTAGFKNQSQIGNAAGTAVVIVMLVTTFLMILVMILVWRCHWTLVLFFACLTLVVEGTYFSAVLLKVNQGGWVPLVIAVAFFIVMYGWHYGTVIRFDIEMHSKVSMAWILGLGPSLGLVRVPGIGLVYSEIATGVPHIFSHFITNLPAIHSVVVFVCVKYLPVCTVPEEERFLVKRIGPNNFHMFRCVVRYGYKDDHKKDDDFEEKLFDNLFTFVRLESLMEGSPGSDVSSILNQQTIQSRDAMWNNNNNRSIPYSSADVSITSVDSIELADSPIYVSQPLTCSIPTSSQLVEIDEIEFLNRCRDAGVVHILGNTVVRARRDSNCFKKMAVDYLYAFLRKICRGNSVLFNVPHESLLSVGQIFYV >ONI12555 pep chromosome:Prunus_persica_NCBIv2:G4:10118976:10124542:-1 gene:PRUPE_4G171300 transcript:ONI12555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRVNALPRPFFFFFIFLIFLCGLAHGRFFFGNQSSPHDVAVISDGVHVGPHNSTAPHLALKLLNESLSAEADGACEETYGFLPCTRSVLGNLFLILVYGYLMYLAATYLSNGSELLLEILGPGVVGGLFLPILGSLPDAILILVSGLSGSTETAQSQVSVGMGLLAGSTVLILTLIWGSCVVVGKCDIQNSVAIDNKDTKGFSLTGSGVSTDIWTSYAARIMVISVIPFIIVQLPQLLNSNLGKDIAVLVSLIVSVALFLSYCLYQVFQPWIQRRRIAFAKHKHVISGILQHLKTRALGSLLKGDGEPNEEIIKKLFHAMDQDGDGSISASELRAMIVGIRFDEIQLDRDDAVDKVMKEFDTSCDSRIDLQEFLTGISKWIHEAKRSGDDSSNNDPHTMKFLFDFHSRTKQEHDLLGAGGQSDEIIEGVESPKWTTFKAGLMLLVGTLIAAAFADPLIDVVDNFSSATSIPTFFISFVALPLATSCEAVSAIMFASRKKIRTASLTFSQLYGSATMNNVLCLSVFLALVYFRGLEWDFSAEVLVILIVCIVMGVFSSFRTVFPLWTSSIAFLLYPFSVALIYVLDYVYGWS >ONI12554 pep chromosome:Prunus_persica_NCBIv2:G4:10119080:10124542:-1 gene:PRUPE_4G171300 transcript:ONI12554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSGLSGSTETAQSQVSVGMGLLAGSTVLILTLIWGSCVVVGKCDIQNSVAIDNKDTKGFSLTGSGVSTDIWTSYAARIMVISVIPFIIVQLPQLLNSNLGKDIAVLVSLIVSVALFLSYCLYQVFQPWIQRRRIAFAKHKHVISGILQHLKTRALGSLLKGDGEPNEEIIKKLFHAMDQDGDGSISASELRAMIVGIRFDEIQLDRDDAVDKVMKEFDTSCDSRIDLQEFLTGISKWIHEAKRSGDDSSNNDPHTMKFLFDFHSRTKQEHDLLGAGGQSDEIIEGVESPKWTTFKAGLMLLVGTLIAAAFADPLIDVVDNFSSATSIPTFFISFVALPLATSCEAVSAIMFASRKKIRTASLTFSQLYGSATMNNVLCLSVFLALVYFRGLEWDFSAEVLVILIVCIVMGVFSSFRTVFPLWTSSIAFLLYPFSVALIYVLDYVYGWS >ONI12556 pep chromosome:Prunus_persica_NCBIv2:G4:10119080:10124384:-1 gene:PRUPE_4G171300 transcript:ONI12556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISVIPFIIVQLPQLLNSNLGKDIAVLVSLIVSVALFLSYCLYQVFQPWIQRRRIAFAKHKHVISGILQHLKTRALGSLLKGDGEPNEEIIKKLFHAMDQDGDGSISASELRAMIVGIRFDEIQLDRDDAVDKVMKEFDTSCDSRIDLQEFLTGISKWIHEAKRSGDDSSNNDPHTMKFLFDFHSRTKQEHDLLGAGGQSDEIIEGVESPKWTTFKAGLMLLVGTLIAAAFADPLIDVVDNFSSATSIPTFFISFVALPLATSCEAVSAIMFASRKKIRTASLTFSQLYGSATMNNVLCLSVFLALVYFRGLEWDFSAEVLVILIVCIVMGVFSSFRTVFPLWTSSIAFLLYPFSVALIYVLDYVYGWS >ONI14032 pep chromosome:Prunus_persica_NCBIv2:G4:18498951:18516842:1 gene:PRUPE_4G258700 transcript:ONI14032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLIKQNSQVERIISDRIRQDSSGDVGPEYLVKWQGLSYAEATWEKDVDIAFAQDAIDEFKAREAAMAVQGKMVDLQRKKSKGSLRKLDEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIHGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFNNSKIVGRPIKFNALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDSDKFKNKDDFVQSYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSSTKDGSKLERIILSSGKLVILDKLLMRLHQTKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKADLRHQAMEHFNAPGSEDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGTLFDKNELSAILRFGAEELFKEEKNDEESKKGLLSMDIDEILERAEKVEEKEAEEDGNELLSAFKVANFGTAEDDGSFWSRWIKPEAVSQAEEALAPRTKRNTKSYAEVAQPDRSNKRKKKESEPQERVQKRRKADYLVSSAPMIDGASAQVRGWSSGNLSKRDALRFSRAVMKFGNESQIALIVEEVGGAVAGASLESQVELFNALIDGCKEAVEVGSLDQKGPLLDFFGVPVKAVDMLNRVHELQHLAKRISRYEDPIDQFRVLTYLKPSNWSKGCGWNQFDDARLLLGIYYHGFGNWEKIRLDERLGLIKKIAPVELQHHETFLPRAPNLRDRANALLEMEIAVYGGKNANAKVGRKASKERENPLIVSLAHRGIKKRKAGSSRLNVEMNKNRPLKPQKVEPLVKEEGEMSDDEEVYEKFKEEKWMEWCEEMMADSIKTLNRLERLQTISANLPKDTVLAKVKNYLKLLGRRIDQIVLDNEEEPHGQDKMTKRLWNYVSTFSNLSGERLQDIYSKLILQQDEEVGPSHINGSASGPFGRDSDPTPFSRHVERQRGYKNVTNYQSFELQKGHDTAKSEAWKRRRRGETDSNLPVQASSQRIISNGTRLTDPSSLGILGAGPPENKRVVNERPYRMRQAGLAQKQGFAGIK >ONI14033 pep chromosome:Prunus_persica_NCBIv2:G4:18508140:18516842:1 gene:PRUPE_4G258700 transcript:ONI14033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFTSGKEEKVSLLNIVVELKKCCNHPFLFESADHGYGGDSSTKDGSKLERIILSSGKLVILDKLLMRLHQTKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKADLRHQAMEHFNAPGSEDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGTLFDKNELSAILRFGAEELFKEEKNDEESKKGLLSMDIDEILERAEKVEEKEAEEDGNELLSAFKVANFGTAEDDGSFWSRWIKPEAVSQAEEALAPRTKRNTKSYAEVAQPDRSNKRKKKESEPQERVQKRRKADYLVSSAPMIDGASAQVRGWSSGNLSKRDALRFSRAVMKFGNESQIALIVEEVGGAVAGASLESQVELFNALIDGCKEAVEVGSLDQKGPLLDFFGVPVKAVDMLNRVHELQHLAKRISRYEDPIDQFRVLTYLKPSNWSKGCGWNQFDDARLLLGIYYHGFGNWEKIRLDERLGLIKKIAPVELQHHETFLPRAPNLRDRANALLEMEIAVYGGKNANAKVGRKASKERENPLIVSLAHRGIKKRKAGSSRLNVEMNKNRPLKPQKVEPLVKEEGEMSDDEEVYEKFKEEKWMEWCEEMMADSIKTLNRLERLQTISANLPKDTVLAKVKNYLKLLGRRIDQIVLDNEEEPHGQDKMTKRLWNYVSTFSNLSGERLQDIYSKLILQQDEEVGPSHINGSASGPFGRDSDPTPFSRHVERQRGYKNVTNYQSFELQKGHDTAKSEAWKRRRRGETDSNLPVQASSQRIISNGTRLTDPSSLGILGAGPPENKRVVNERPYRMRQAGLAQKQGFAGIK >ONI14029 pep chromosome:Prunus_persica_NCBIv2:G4:18493122:18516842:1 gene:PRUPE_4G258700 transcript:ONI14029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRNYSNETVSRSVLEEKNQGQSVERIHSSTGNEDVDVISCEKEFDMNMHVQYQSEGEQDDASRLQNEAENDEGIGTRASNLPSSGRRMAVAGKWGSTFWKDCQPMCSQGGSDSGQETKSGSDYRNVVGSEDNSSDVREDRIDFEDNDRPKVSKGQRGHSDIPADEMLSDEYYEQDGEEQSDSMHYRGFHHSVGSNSRPQSKPAAVSNHALRTSRVLNDNEDYDDGDDDNENIDAADADYEEEDEDEDDPDDADFEPDYGVASGRAVQKDKDWSGENSEEDDDSDDELDVSDEDNSYYGKKPKSRHRGKGGLSVKSTRERKSYHASSRQRRGKSSFDDEESSAEESESESDEDFKSTKRKGVHLRKSNGRKNVTGRNGEVRTSTRSVRKVSYVESEGSDEVDEGKKKKSQKEENEEEDGDYIEKVLWHQPKGMAEEALRNNRSTEPVLLSHLFDSEPDWNSMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDAKYRKTISREEIEVHDVSKEMDLDLIKQNSQVERIISDRIRQDSSGDVGPEYLVKWQGLSYAEATWEKDVDIAFAQDAIDEFKAREAAMAVQGKMVDLQRKKSKGSLRKLDEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIHGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFNNSKIVGRPIKFNALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDSDKFKNKDDFVQSYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSSTKDGSKLERIILSSGKLVILDKLLMRLHQTKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKADLRHQAMEHFNAPGSEDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGTLFDKNELSAILRFGAEELFKEEKNDEESKKGLLSMDIDEILERAEKVEEKEAEEDGNELLSAFKVANFGTAEDDGSFWSRWIKPEAVSQAEEALAPRTKRNTKSYAEVAQPDRSNKRKKKESEPQERVQKRRKADYLVSSAPMIDGASAQVRGWSSGNLSKRDALRFSRAVMKFGNESQIALIVEEVGGAVAGASLESQVELFNALIDGCKEAVEVGSLDQKGPLLDFFGVPVKAVDMLNRVHELQHLAKRISRYEDPIDQFRVLTYLKPSNWSKGCGWNQFDDARLLLGIYYHGFGNWEKIRLDERLGLIKKIAPVELQHHETFLPRAPNLRDRANALLEMEIAVYGGKNANAKVGRKASKERENPLIVSLAHRGIKKRKAGSSRLNVEMNKNRPLKPQKVEPLVKEEGEMSDDEEVYEKFKEEKWMEWCEEMMADSIKTLNRLERLQTISANLPKDTVLAKVKNYLKLLGRRIDQIVLDNEEEPHGQDKMTKRLWNYVSTFSNLSGERLQDIYSKLILQQDEEVGPSHINGSASGPFGRDSDPTPFSRHVERQRGYKNVTNYQSFELQKGHDTAKSEAWKRRRRGETDSNLPVQASSQRIISNGTRLTDPSSLGILGAGPPENKRVVNERPYRMRQAGLAQKQGFAGIK >ONI14030 pep chromosome:Prunus_persica_NCBIv2:G4:18493212:18516842:1 gene:PRUPE_4G258700 transcript:ONI14030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRNYSNETVSRSVLEEKNQGQSVERIHSSTGNEDVDVISCEKEFDMNMHVQYQSEGEQDDASRLQNEAENDEGIGTRASNLPSSGRRMAVAGKWGSTFWKDCQPMCSQGGSDSGQETKSGSDYRNVVGSEDNSSDVREDRIDFEDNDRPKVSKGQRGHSDIPADEMLSDEYYEQDGEEQSDSMHYRGFHHSVGSNSRPQSKPAAVSNHALRTSRVLNDNEDYDDGDDDNENIDAADADYEEEDEDEDDPDDADFEPDYGVASGRAVQKDKDWSGENSEEDDDSDDELDVSDEDNSYYGKKPKSRHRGKGGLSVKSTRERKSYHASSRQRRGKSSFDDEESSAEESESESDEDFKSTKRKGVHLRKSNGRKNVTGRNGEVRTSTRSVRKVSYVESEGSDEVDEGKKKKSQKEENEEEDGDYIEKVLWHQPKGMAEEALRNNRSTEPVLLSHLFDSEPDWNSMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDAKYRKTISREEIEVHDVSKEMDLDLIKQNSQVERIISDRIRQDSSGDVGPEYLVKWQGLSYAEATWEKDVDIAFAQDAIDEFKAREAAMAVQGKMVDLQRKKSKGSLRKLDEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIHGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFNNSKIVGRPIKFNALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDSDKFKNKDDFVQSYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSSTKDGSKLERIILSSGKLVILDKLLMRLHQTKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKADLRHQAMEHFNAPGSEDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGTLFDKNELSAILRFGAEELFKEEKNDEESKKGLLSMDIDEILERAEKVEEKEAEEDGNELLSAFKVANFGTAEDDGSFWSRWIKPEAVSQAEEALAPRTKRNTKSYAEVAQPDRSNKRKKKESEPQERVQKRRKADYLVSSAPMIDGASAQVRGWSSGNLSKRDALRFSRAVMKFGNESQIALIVEEVGGAVAGASLESQVELFNALIDGCKEAVEVGSLDQKGPLLDFFGVPVKAVDMLNRVHELQHLAKRISRYEDPIDQFRVLTYLKPSNWSKGCGWNQFDDARLLLGIYYHGFGNWEKIRLDERLGLIKKIAPVELQHHETFLPRAPNLRDRANALLEMEIAVYGGKNANAKVGRKASKERENPLIVSLAHRGIKKRKAGSSRLNVEMNKNRPLKPQKVEPLVKEEGEMSDDEEVYEKFKEEKWMEWCEEMMADSIKTLNRLERLQTISANLPKDTVLAKVKNYLKLLGRRIDQIVLDNEEEPHGQDKMTKRLWNYVSTFSNLSGERLQDIYSKLILQQDEEVGPSHINGSASGPFGRDSDPTPFSRHVERQRGYKNVTNYQSFELQKGHDTAKSEAWKRRRRGETDSNLPVQASSQRIISNGTRLTDPSSLGILGAGPPENKRVVNERPYRMRQAGLAQKQGFAGIK >ONI14031 pep chromosome:Prunus_persica_NCBIv2:G4:18493212:18516842:1 gene:PRUPE_4G258700 transcript:ONI14031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRNYSNETVSRSVLEEKNQGQSVERIHSSTGNEDVDVISCEKEFDMNMHVQYQSEGEQDDASRLQNEAENDEGIGTRASNLPSSGRRMAVAGKWGSTFWKDCQPMCSQGGSDSGQETKSGSDYRNVVGSEDNSSDVREDRIDFEDNDRPKVSKGQRGHSDIPADEMLSDEYYEQDGEEQSDSMHYRGFHHSVGSNSRPQSKPAAVSNHALRTSRVLNDNEDYDDGDDDNENIDAADADYEEEDEDEDDPDDADFEPDYGVASGRAVQKDKDWSGENSEEDDDSDDELDVSDEDNSYYGKKPKSRHRGKGGLSVKSTRERKSYHASSRQRRGKSSFDDEESSAEESESESDEDFKSTKRKGVHLRKSNGRKNVTGRNGEVRTSTRSVRKVSYVESEGSDEVDEGKKKKSQKEENEEEDGDYIEKVLWHQPKGMAEEALRNNRSTEPVLLSHLFDSEPDWNSMEFLIKWKGQSHLHCQWKSISELQNLSGFKKVLNYTKKVMEDAKYRKTISREEIEVHDVSKEMDLDLIKQNSQVERIISDRIRQDSSGDVGPEYLVKWQGLSYAEATWEKDVDIAFAQDAIDEFKAREAAMAVQGKMVDLQRKKSKGSLRKLDEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIHGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFNNSKIVGRPIKFNALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDSDKFKNKDDFVQSYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSSTKDGSKLERIILSSGKLVILDKLLMRLHQTKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKADLRHQAMEHFNAPGSEDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGTLFDKNELSAILRFGAEELFKEEKNDEESKKGLLSMDIDEILERAEKVEEKEAEEDGNELLSAFKVANFGTAEDDGSFWSRWIKPEAVSQAEEALAPRTKRNTKSYAEVAQPDRSNKRKKKESEPQERVQKRRKADYLVSSAPMIDGASAQVRGWSSGNLSKRDALRFSRAVMKFGNESQIALIVEEVGGAVAGASLESQVELFNALIDGCKEAVEVGSLDQKGPLLDFFGVPVKAVDMLNRVHELQHLAKRISRYEDPIDQFRVLTYLKPSNWSKGCGWNQFDDARLLLGIYYHGFGNWEKIRLDERLGLIKKIAPVELQHHETFLPRAPNLRDRANALLEMEIAVYGGKNANAKVGRKASKERENPLIVSLAHRGIKKRKAGSSRLNVEMNKNRPLKPQKVEPLVKEEGEMSDDEEVYEKFKEEKWMEWCEEMMADSIKTLNRLERLQTISANLPKDTVLAKVKNYLKLLGRRIDQIVLDNEEEPHGQDKMTKRLWNYVSTFSNLSGERLQDIYSKLILQQDEEVGPSHINGSASGPFGRDSDPTPFSRHVERQRGYKNVTNYQSFELQKGHDTAKSEAWKRRRRGETDSNLPDHLKINALSMKGLIGCGRQV >ONI10292 pep chromosome:Prunus_persica_NCBIv2:G4:1832438:1832623:-1 gene:PRUPE_4G039100 transcript:ONI10292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGARCAMINGGNFSQSFNSGRLIPKRGQVKVAIVGVLLHSFSSIFSASSRRRGGDAHHFS >ONI10250 pep chromosome:Prunus_persica_NCBIv2:G4:1739863:1741989:1 gene:PRUPE_4G036700 transcript:ONI10250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVNKTRRDTRKVVYGPPLKQLRTFLRPVVGSSSSSGPSHSRSVQLTDDLIEYIFTLLPIKAAVKAGLVARRFRDSWRLARRIHFEKELAEEYDNDELETILNHVFESHRGDEIKSFRLHFDPNAHELHLPEWVRKCIEKRVQELDLDISQPKQEPSFLLPPRFFDVESLKVLKLSYSVFQLPPDLKGLSLLTTLVLRRVDITPKIIQTILDRCALLETLDVGRCHGFRLLRIHAHKQTRLRVLKVGNCRAEVRVIEIDAPSVGSLYYSGSISNFCVISQSLLFLKEAMLYFKPLKGITNPLQVERLASSISNNVELLTITSTFLEGLSPRIVDGVLREKQVSFRNLKELQLIMENPSYCNAGDIASFLKNCPRLEKLFIDADEFTFDGGAFYELHQRPLLDKHSALFKHLKLIKIMGFRFQPCHLDLTRFLLGKAMSLEYMFLLLPKSFRFSRALRQDMDFFTDAFLSWKLSPDAEISIGFAWEDKSFVYPKQSKSWY >ONI10699 pep chromosome:Prunus_persica_NCBIv2:G4:2990027:2991808:-1 gene:PRUPE_4G062400 transcript:ONI10699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEKDYGDQEENTSEGSSQLKCSSFDLNEEAGSDNCDDTKVEEFELSVDEDDEKRGQGNINSSTASSAEGNERRRGKVRQYVRSKMPRLRWTPQLHLSFVHAVERLGGQDRATPKLVLQLMNVKGLSISHVKSHLQMYRSKKLDGDGQVVSEKQESTRGRDHIACMLHQTIKQPRQHVYFRTDNGGIVVATPSHDHRQPLHSDFKPNVPRSSCSLLNEEVVQGNKSMDMTVRSTTVPINPSRFLEEKKWPPFGMINKQQCWRAKRFPAKVSWSHNYNGSQSDHLVRHMRTTPRLNNEQFFHNTRSTEWNLGENKNTRRFPSNSYNSISNSSCHKTEFEPPFRLELNEEKILKYKEWMPELELGLSQRVENHEKTIFQHQMVSEEAYETAQRIKQNYYKELEDRSKQEISTKLSLSL >ONI10702 pep chromosome:Prunus_persica_NCBIv2:G4:2989763:2992371:-1 gene:PRUPE_4G062400 transcript:ONI10702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEKDYGDQEENTSEGSSQLKCSSFDLNEEAGSDNCDDTKVEEFELSVDEDDEKRGQGNINSSTASSAEGNERRRGKVRQYVRSKMPRLRWTPQLHLSFVHAVERLGGQDRATPKLVLQLMNVKGLSISHVKSHLQMYRSKKLDGDGQVVSEKQESTRGRDHIACMLHQTIKQPRQHVYFRTDNGGIVVATPSHDHRQPLHSDFKPNVPRSSCSLLNEEVVQGNKSMDMTVRSTTVPINPSRFLEEKKWPPFGMINKQQCWRAKRFPAKVSWSHNYNGSQSDHLVRHMRTTPRLNNEQFFHNTRSTEWNLGENKNTRRFPSNSYNSISNSSCHKTEFEPPFRLELNEEKILKYKEWMPELELGLSQRVENHEKTIFQHQMVSEEAYETAQRIKQNYYKELEDRSKQEISTKLSLSL >ONI10700 pep chromosome:Prunus_persica_NCBIv2:G4:2989763:2993284:-1 gene:PRUPE_4G062400 transcript:ONI10700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEKDYGDQEENTSEGSSQLKCSSFDLNEEAGSDNCDDTKVEEFELSVDEDDEKRGQGNINSSTASSAEGNERRRGKVRQYVRSKMPRLRWTPQLHLSFVHAVERLGGQDRATPKLVLQLMNVKGLSISHVKSHLQMYRSKKLDGDGQVVSEKQESTRGRDHIACMLHQTIKQPRQHVYFRTDNGGIVVATPSHDHRQPLHSDFKPNVPRSSCSLLNEEVVQGNKSMDMTVRSTTVPINPSRFLEEKKWPPFGMINKQQCWRAKRFPAKVSWSHNYNGSQSDHLVRHMRTTPRLNNEQFFHNTRSTEWNLGENKNTRRFPSNSYNSISNSSCHKTEFEPPFRLELNEEKILKYKEWMPELELGLSQRVENHEKTIFQHQMVSEEAYETAQRIKQNYYKELEDRSKQEISTKLSLSL >ONI10696 pep chromosome:Prunus_persica_NCBIv2:G4:2990027:2991808:-1 gene:PRUPE_4G062400 transcript:ONI10696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEKDYGDQEENTSEGSSQLKCSSFDLNEEAGSDNCDDTKVEEFELSVDEDDEKRGQGNINSSTASSAEGNERRRGKVRQYVRSKMPRLRWTPQLHLSFVHAVERLGGQDRATPKLVLQLMNVKGLSISHVKSHLQMYRSKKLDGDGQVVSEKQESTRGRDHIACMLHQTIKQPRQHVYFRTDNGGIVVATPSHDHRQPLHSDFKPNVPRSSCSLLNEEVVQGNKSMDMTVRSTTVPINPSRFLEEKKWPPFGMINKQQCWRAKRFPAKVSWSHNYNGSQSDHLVRHMRTTPRLNNEQFFHNTRSTEWNLGENKNTRRFPSNSYNSISNSSCHKTEFEPPFRLELNEEKILKYKEWMPELELGLSQRVENHEKTIFQHQMVSEEAYETAQRIKQNYYKELEDRSKQEISTKLSLSL >ONI10697 pep chromosome:Prunus_persica_NCBIv2:G4:2990027:2991808:-1 gene:PRUPE_4G062400 transcript:ONI10697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEKDYGDQEENTSEGSSQLKCSSFDLNEEAGSDNCDDTKVEEFELSVDEDDEKRGQGNINSSTASSAEGNERRRGKVRQYVRSKMPRLRWTPQLHLSFVHAVERLGGQDRATPKLVLQLMNVKGLSISHVKSHLQMYRSKKLDGDGQVVSEKQESTRGRDHIACMLHQTIKQPRQHVYFRTDNGGIVVATPSHDHRQPLHSDFKPNVPRSSCSLLNEEVVQGNKSMDMTVRSTTVPINPSRFLEEKKWPPFGMINKQQCWRAKRFPAKVSWSHNYNGSQSDHLVRHMRTTPRLNNEQFFHNTRSTEWNLGENKNTRRFPSNSYNSISNSSCHKTEFEPPFRLELNEEKILKYKEWMPELELGLSQRVENHEKTIFQHQMVSEEAYETAQRIKQNYYKELEDRSKQEISTKLSLSL >ONI10698 pep chromosome:Prunus_persica_NCBIv2:G4:2989763:2992759:-1 gene:PRUPE_4G062400 transcript:ONI10698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEKDYGDQEENTSEGSSQLKCSSFDLNEEAGSDNCDDTKVEEFELSVDEDDEKRGQGNINSSTASSAEGNERRRGKVRQYVRSKMPRLRWTPQLHLSFVHAVERLGGQDRATPKLVLQLMNVKGLSISHVKSHLQMYRSKKLDGDGQVVSEKQESTRGRDHIACMLHQTIKQPRQHVYFRTDNGGIVVATPSHDHRQPLHSDFKPNVPRSSCSLLNEEVVQGNKSMDMTVRSTTVPINPSRFLEEKKWPPFGMINKQQCWRAKRFPAKVSWSHNYNGSQSDHLVRHMRTTPRLNNEQFFHNTRSTEWNLGENKNTRRFPSNSYNSISNSSCHKTEFEPPFRLELNEEKILKYKEWMPELELGLSQRVENHEKTIFQHQMVSEEAYETAQRIKQNYYKELEDRSKQEISTKLSLSL >ONI10701 pep chromosome:Prunus_persica_NCBIv2:G4:2989763:2993284:-1 gene:PRUPE_4G062400 transcript:ONI10701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEKDYGDQEENTSEGSSQLKCSSFDLNEEAGSDNCDDTKVEEFELSVDEDDEKRGQGNINSSTASSAEGNERRRGKVRQYVRSKMPRLRWTPQLHLSFVHAVERLGGQDRATPKLVLQLMNVKGLSISHVKSHLQMYRSKKLDGDGQVVSEKQESTRGRDHIACMLHQTIKQPRQHVYFRTDNGGIVVATPSHDHRQPLHSDFKPNVPRSSCSLLNEEVVQGNKSMDMTVRSTTVPINPSRFLEEKKWPPFGMINKQQCWRAKRFPAKVSWSHNYNGSQSDHLVRHMRTTPRLNNEQFFHNTRSTEWNLGENKNTRRFPSNSYNSISNSSCHKTEFEPPFRLELNEEKILKYKEWMPELELGLSQRVENHEKTIFQHQMVSEEAYETAQRIKQNYYKELEDRSKQEISTKLSLSL >ONI10064 pep chromosome:Prunus_persica_NCBIv2:G4:1211090:1211665:-1 gene:PRUPE_4G025800 transcript:ONI10064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEHSSSDDSFSDSQATEKSRQEPELQFSEDEEALIIRMYNLVGERLVLLLLL >ONI10332 pep chromosome:Prunus_persica_NCBIv2:G4:1937175:1937872:-1 gene:PRUPE_4G041100 transcript:ONI10332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSPALVRKILRQGSNHIQTVNSRPCDVFINHRGIDTKRTVAGLLFYHFSRLRLHPFLDSKNMKPGDKLFDEIDAAIRKCKVGLAVFSPQYCESYFCLHELALLMESKKRVIPVFCNVKPSQLRVRDNGTCSPVELQRFSWALEEAKYTVGLTFDSSKGDWSEFLRDASDAVLQNLLEVEGEGAYKIDHKYDFQDQC >ONI09883 pep chromosome:Prunus_persica_NCBIv2:G4:756976:759222:1 gene:PRUPE_4G015900 transcript:ONI09883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNISTFATLSPIPGYMQWLLSKLASQSKLSEGEDIQHSPADTSGSTFWENILEPEEERALMDASVEFTSGKNSMEVLFNLLTSPNHEWTSSDKLLSALKPPLMRLCARYLLQEKKRGKALDSVANFHLQNGAMVERINWMADRSEKGLYQSGGIMVNYVYRLGKIEDYARSYFSAGHIHTSSDLSRYVKPLEEPQVTTL >ONI09882 pep chromosome:Prunus_persica_NCBIv2:G4:756189:759206:1 gene:PRUPE_4G015900 transcript:ONI09882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRKDAIWAAISTSAAVWVAGAFPKGVFGGSAGLAPLCLPNGTPSSARNPGLQGINLGRFLIKRVITLVKREMTNISTFATLSPIPGYMQWLLSKLASQSKLSEGEDIQHSPADTSGSTFWENILEPEEERALMDASVEFTSGKNSMEVLFNLLTSPNHEWTSSDKLLSALKPPLMRLCARYLLQEKKRGKALDSVANFHLQNGAMVERINWMADRSEKGLYQSGGIMVNYVYRLGKIEDYARSYFSAGHIHTSSDLSRYVKPLEEPQVTTL >ONI10506 pep chromosome:Prunus_persica_NCBIv2:G4:2437217:2439574:-1 gene:PRUPE_4G051000 transcript:ONI10506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKASNSSGVAGEEMADAILMRDHLGKEVKSVMNPEDVMKQGDIHPRNDRKQMDSRSAASEKVTRIMSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGESTDAHKLHSSKDDQVFLSSFKDVGRDGHARNWPVDGYNNSPIHKDNSRFMSGLHPEPGFFSNGSTASSGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKGSTKPHKTSSYGDSRPQSDSSRHKKDITVDRFRENNEVDGEHVTDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10510 pep chromosome:Prunus_persica_NCBIv2:G4:2437217:2439191:-1 gene:PRUPE_4G051000 transcript:ONI10510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGESTDAHKLHSSKDDQVFLSSFKDVGRDGHARNWPVDGYNNSPIHKDNSRFMSGLHPEPGFFSNGSTASSGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKGSTKPHKTSSYGDSRPQSDSSRHKKDITVDRFRENNEVDGEHVTDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10508 pep chromosome:Prunus_persica_NCBIv2:G4:2436339:2440683:-1 gene:PRUPE_4G051000 transcript:ONI10508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGESTDAHKLHSSKDDQVFLSSFKDVGRDGHARNWPVDGYNNSPIHKDNSRFMSGLHPEPGFFSNGSTASSGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10504 pep chromosome:Prunus_persica_NCBIv2:G4:2436339:2440125:-1 gene:PRUPE_4G051000 transcript:ONI10504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKASNSSGVAGEEMADAILMRDHLGKEVKSVMNPEDVMKQGDIHPRNDRKQMDSRSAASEKVTRIMSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGESTDAHKLHSSKDDQVFLSSFKDVGRDGHARNWPVDGYNNSPIHKDNSRFMSGLHPEPGFFSNGSTASSGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKGSTKPHKTSSYGDSRPQSDSSRHKKDITVDRFRENNEVDGEHVTDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10507 pep chromosome:Prunus_persica_NCBIv2:G4:2436339:2440683:-1 gene:PRUPE_4G051000 transcript:ONI10507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKGSTKPHKTSSYGDSRPQSDSSRHKKDITVDRFRENNEVDGEHVTDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10505 pep chromosome:Prunus_persica_NCBIv2:G4:2437217:2439574:-1 gene:PRUPE_4G051000 transcript:ONI10505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKASNSSGVAGEEMADAILMRDHLGKEVKSVMNPEDVMKQGDIHPRNDRKQMDSRSAASEKVTRIMSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGESTDAHKLHSSKDDQVFLSSFKDVGRDGHARNWPVDGYNNSPIHKDNSRFMSGLHPEPGFFSNGSTASSGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKGSTKPHKTSSYGDSRPQSDSSRHKKDITVDRFRENNEVDGEHVTDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10503 pep chromosome:Prunus_persica_NCBIv2:G4:2437217:2439574:-1 gene:PRUPE_4G051000 transcript:ONI10503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKASNSSGVAGEEMADAILMRDHLGKEVKSVMNPEDVMKQGDIHPRNDRKQMDSRSAASEKVTRIMSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGESTDAHKLHSSKDDQVFLSSFKDVGRDGHARNWPVDGYNNSPIHKDNSRFMSGLHPEPGFFSNGSTASSGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKGSTKPHKTSSYGDSRPQSDSSRHKKDITVDRFRENNEVDGEHVTDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10509 pep chromosome:Prunus_persica_NCBIv2:G4:2437217:2439191:-1 gene:PRUPE_4G051000 transcript:ONI10509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGESTDAHKLHSSKDDQVFLSSFKDVGRDGHARNWPVDGYNNSPIHKDNSRFMSGLHPEPGFFSNGSTASSGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKGSTKPHKTSSYGDSRPQSDSSRHKKDITVDRFRENNEVDGEHVTDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10502 pep chromosome:Prunus_persica_NCBIv2:G4:2436339:2440683:-1 gene:PRUPE_4G051000 transcript:ONI10502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKASNSSGVAGEEMADAILMRDHLGKEVKSVMNPEDVMKQGDIHPRNDRKQMDSRSAASEKVTRIMSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKGSTKPHKTSSYGDSRPQSDSSRHKKDITVDRFRENNEVDGEHVTDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10501 pep chromosome:Prunus_persica_NCBIv2:G4:2436339:2440683:-1 gene:PRUPE_4G051000 transcript:ONI10501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDDQSPLPGEVSDSADIEEEEEEDPEIEEEEEEDDDDEEDPEIVEEEEEEEEGEEEEEEEEEEEEEEEVEGEQEEIMEEERIEVDMDISDSPVQARDHHNDVRQDYKPEGLDGIHSNESHDDGKAYLRHSVVMDAKASNSSGVAGEEMADAILMRDHLGKEVKSVMNPEDVMKQGDIHPRNDRKQMDSRSAASEKVTRIMSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGESTDAHKLHSSKDDQVFLSSFKDVGRDGHARNWPVDGYNNSPIHKDNSRFMSGLHPEPGFFSNGSTASSGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKGSTKPHKTSSYGDSRPQSDSSRHKKDITVDRFRENNEVDGEHVTDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10499 pep chromosome:Prunus_persica_NCBIv2:G4:2436145:2440683:-1 gene:PRUPE_4G051000 transcript:ONI10499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDDQSPLPGEVSDSADIEEEEEEDPEIEEEEEEDDDDEEDPEIVEEEEEEEEGEEEEEEEEEEEEEEEVEGEQEEIMEEERIEVDMDISDSPVQARDHHNDVRQDYKPEGLDGIHSNESHDDGKAYLRHSVVMDAKASNSSGVAGEEMADAILMRDHLGKEVKSVMNPEDVMKQGDIHPRNDRKQMDSRSAASEKVTRIMSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKGSTKPHKTSSYGDSRPQSDSSRHKKDITVDRFRENNEVDGEHVTDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10500 pep chromosome:Prunus_persica_NCBIv2:G4:2436339:2440683:-1 gene:PRUPE_4G051000 transcript:ONI10500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDDQSPLPGEVSDSADIEEEEEEDPEIEEEEEEDDDDEEDPEIVEEEEEEEEGEEEEEEEEEEEEEEEVEGEQEEIMEEERIEVDMDISDSPVQARDHHNDVRQDYKPEGLDGIHSNESHDDGKAYLRHSVVMDAKASNSSGVAGEEMADAILMRDHLGKEVKSVMNPEDVMKQGDIHPRNDRKQMDSRSAASEKVTRIMSPVAETSDRNKRPAIICDFFAKGWCIRGTSCKFVHIKDNLNSTHPQGDVAAANCTREVQPDEGLRDITERSRSPGFPDPLASSHLSSEKIRFSEHKGIQRRHQSLENHKFPAVPGEESNMGESTDAHKLHSSKDDQVFLSSFKDVGRDGHARNWPVDGYNNSPIHKDNSRFMSGLHPEPGFFSNGSTASSGKYSRGNPTSQSSCMDEPAGIWSQRMHSDHNSPLVSLSLNSNSSNLLTNGSFPASRISSWTGFSLPSSYSCLDASPHGTQKLLDRNRDYHYSTRSSPLLKSSSPFSSSELKKLPSTSVSLRSDKLKTKISSNDWEPSVPFQPSFILPPAFLSSSGSQYDPLRDSIDLPKLANISFEASFYSEEAAIGNKLHHQILGESVSGTMRPVCDGDTNSMSSHNTCHEKVLDNSCYTREHNSPVTEAETVGASVVCQNGTMAIEENLSGPSHLKDGDVQQESKALRHFRAALVELVKELLKPKWREGCLSKDAHNKIVKKAVEKVLSAFQPQQIPPTVETVLQYLSSCRPKISKLVEGYVEKYGKS >ONI10756 pep chromosome:Prunus_persica_NCBIv2:G4:3209591:3213711:-1 gene:PRUPE_4G066500 transcript:ONI10756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLDVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRNSTFESVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVSTEDGKSFAERESLYFMETSALEATNVDNAFAEVLTQIFRVVSRKAMEAGDEAAASAVPSQGEKIDISKDVSAVKKGGCCSS >ONI13080 pep chromosome:Prunus_persica_NCBIv2:G4:12503041:12503955:1 gene:PRUPE_4G201100 transcript:ONI13080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFIERRCVQEVHVSRQSSSAQDILLAGLRSSGQSSTVDWFQTDQDYVLKADLPGDGKNNVQVYAENGKVVEISGQWKQQGGESKSTKDWRSGNWWEHGYVRKLELPQDADWRRIEASVTNDLLLEIKIHKINPLDCDINRLTMKDKEAV >ONI13079 pep chromosome:Prunus_persica_NCBIv2:G4:12502657:12504384:1 gene:PRUPE_4G201100 transcript:ONI13079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFIERRCVQEVHVSRQSSSAQDILLAGLRSSGQSSTVDWFQTDQDYVLKADLPGDGKNNVQVYAENGKVVEISGQWKQQGGESKSTKDWRSGNWWEHGYVRKLELPQDADWRRIEASVTNDLLLEIKIHKINPLDCDINRLTMKDKEAVYKRRINKQRGCSSDGRALA >ONI13077 pep chromosome:Prunus_persica_NCBIv2:G4:12502827:12504384:1 gene:PRUPE_4G201100 transcript:ONI13077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTRKQLEVLTDDQTPHKWCVLLREDVFKKFMSQGSPAVHKVFGGGSLFSPFLFGKFFDPSDAFPLWEFDADILLAGLRSSGQSSTVDWFQTDQDYVLKADLPGDGKNNVQVYAENGKVVEISGQWKQQGGESKSTKDWRSGNWWEHGYVRKLELPQDADWRRIEASVTNDLLLEIKIHKINPLDCDINRLTMKDKEAVYKRRINKQRGCSSDGRALA >ONI13078 pep chromosome:Prunus_persica_NCBIv2:G4:12502985:12503955:1 gene:PRUPE_4G201100 transcript:ONI13078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTRKQLEVLTDDQTPHKWCVLLREDVFKKFMSQGSPAVHKVFGGGSLFSPFLFGKFFDPSDAFPLWEFDADILLAGLRSSGQSSTVDWFQTDQDYVLKADLPGDGKNNVQVYAENGKVVEISGQWKQQGGESKSTKDWRSGNWWEHGYVRKLELPQDADWRRIEASVTNDLLLEIKIHKINPLDCDINRLTMKDKEAV >ONI13201 pep chromosome:Prunus_persica_NCBIv2:G4:13062900:13069578:-1 gene:PRUPE_4G209500 transcript:ONI13201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGFSSIQTSHLRPSCPSYFSVFRSCPRSSSSRSLWTRTRPEVCAAKAAHDTFSNNTTISLNPVHYHTERESNGTKSAWNNKVFALREEKKVMENYFGRNISRAGGIKTNSQERLEGFTHVSTCDKLEENSEGSYCKIIDYGQLDETPGEGNHVDKVNGEGNHVDKVNGEGNHVDKVNGYAHDGLGVSTSSRVENINLEKVNGHAREGPGQLGVVNGSQLGKTSKVRKGTDSGRNKDEAAAVIKGDKSHAKASAIKAASKADNDCKQDLRMRLNTTYDKVLVVNSVSVAKKVVKMLTDQYRNLVHACDTEVAKIEVKRETPVDHGEIICFSIYSGPGVDFGNGKSCIWVDVLDGGGKELLIEFAPFFEDPSIKKVWHNYSFDNHVIENYGLKLSGFHADTMHMARLWDSSRRIKGGYSLEALTRDPKVMSGAEQCHLKDLVGKISMKTIFGRKKVKTDGKEGKLTIIDPVEVLQREERKLWICYSALDAISTLNLYESMKNQLGKKPWEIDGNPVSGKSMFDFYEKYWQPFGELLVQMETEGMLVDREHLAEIEKQAKAEQVVAANRFRRWASSYCTDAKYMNVGSDVQLRQLLFGGTVNSKDSDQAVPTERTFRVPNIDKVIEDGKDTTPKYRNITLHGIGVNLPAEIYTASGWPSVGGDALKILSGKVSSEFHFMDDDIDDVGDACETVSDEYLVKQENMSEYVDTSAYGTAFEAFKPKEKGKEACHAIAALCQVCSIDSLISNFILPLQSSNISGKNRRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLSNCKSMLDAFKAGGDFHSRTAMNMYQHIWEAVEKKEVLLEWDPQPGEDKPPVPLLKVNEIASVP >ONI13202 pep chromosome:Prunus_persica_NCBIv2:G4:13063346:13069578:-1 gene:PRUPE_4G209500 transcript:ONI13202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGFSSIQTSHLRPSCPSYFSVFRSCPRSSSSRSLWTRTRPEVCAAKAAHDTFSNNTTISLNPVHYHTERESNGTKSAWNNKVFALREEKKVMENYFGRNISRAGGIKTNSQERLEGFTHVSTCDKLEENSEGSYCKIIDYGQLDETPGEGNHVDKVNGEGNHVDKVNGEGNHVDKVNGYAHDGLGVSTSSRVENINLEKVNGHAREGPGQLGVVNGSQLGKTSKVRKGTDSGRNKDEAAAVIKGDKSHAKASAIKAASKADNDCKQDLRMRLNTTYDKVLVVNSVSVAKKVVKMLTDQYRNLVHACDTEVAKIEVKRETPVDHGEIICFSIYSGPGVDFGNGKSCIWVDVLDGGGKELLIEFAPFFEDPSIKKVWHNYSFDNHVIENYGLKLSGFHADTMHMARLWDSSRRIKGGYSLEALTRDPKVMSGAEQCHLKDLVGKISMKTIFGRKKVKTDGKEGKLTIIDPVEVLQREERKLWICYSALDAISTLNLYESMKNQLGKKPWEIDGNPVSGKSMFDFYEKYWQPFGELLVQMETEGMLVDREHLAEIEKQAKAEQVVAANRFRRWASSYCTDAKYMNVGSDVQLRQLLFGGTVNSKDSDQAVPTERTFRVPNIDKVIEDGKDTTPKYRNITLHGIGVNLPAEIYTASGWPSVGGDALKILSGKVSSEFHFMDDDIDDVGDACETVSDEYLVKQENMSEYVDTSAYGTAFEAFKPKEKGKEACHAIAALCQVCSIDSLISNFILPLQSSNISGKNRRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLSNCKSMLDAFKAGGDFHSRTAMNMYQHIWEAVEKKEVLLEWDPQPGEDKPPVPLLKVKKCNVFFLV >ONI13200 pep chromosome:Prunus_persica_NCBIv2:G4:13059942:13069578:-1 gene:PRUPE_4G209500 transcript:ONI13200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGFSSIQTSHLRPSCPSYFSVFRSCPRSSSSRSLWTRTRPEVCAAKAAHDTFSNNTTISLNPVHYHTERESNGTKSAWNNKVFALREEKKVMENYFGRNISRAGGIKTNSQERLEGFTHVSTCDKLEENSEGSYCKIIDYGQLDETPGEGNHVDKVNGEGNHVDKVNGEGNHVDKVNGYAHDGLGVSTSSRVENINLEKVNGHAREGPGQLGVVNGSQLGKTSKVRKGTDSGRNKDEAAAVIKGDKSHAKASAIKAASKADNDCKQDLRMRLNTTYDKVLVVNSVSVAKKVVKMLTDQYRNLVHACDTEVAKIEVKRETPVDHGEIICFSIYSGPGVDFGNGKSCIWVDVLDGGGKELLIEFAPFFEDPSIKKVWHNYSFDNHVIENYGLKLSGFHADTMHMARLWDSSRRIKGGYSLEALTRDPKVMSGAEQCHLKDLVGKISMKTIFGRKKVKTDGKEGKLTIIDPVEVLQREERKLWICYSALDAISTLNLYESMKNQLGKKPWEIDGNPVSGKSMFDFYEKYWQPFGELLVQMETEGMLVDREHLAEIEKQAKAEQVVAANRFRRWASSYCTDAKYMNVGSDVQLRQLLFGGTVNSKDSDQAVPTERTFRVPNIDKVIEDGKDTTPKYRNITLHGIGVNLPAEIYTASGWPSVGGDALKILSGKVSSEFHFMDDDIDDVGDACETVSDEYLVKQENMSEYVDTSAYGTAFEAFKPKEKGKEACHAIAALCQVCSIDSLISNFILPLQSSNISGKNRRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLSNCKSMLDAFKAGGDFHSRTAMNMYQHIWEAVEKKEVLLEWDPQPGEDKPPVPLLKDTFASERRKAKMLNFSIAYGKTPIGLSRDWKVSVQDAEQTVKLWYKERQEVRLWQEKRKEEATKHGHVRTLLGRERWFPSIARASRAQRGHIERAAINTPVQGSAADVAMCAMLEISNNAHLNDLGWRLLLQVHDEVILEGPSESAEVAKAIVVECMSKPFNGKNFLKVDLAVDAKCAQNWYSAK >ONI10222 pep chromosome:Prunus_persica_NCBIv2:G4:1672344:1675894:-1 gene:PRUPE_4G035200 transcript:ONI10222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVHSARHFSTVRFSQPDPAVRRLRFSVRSSLPFGTEKAKYHRELEAAVDAVERACALCVEVQSSLLSSKRRVVEKNDQTPVTVADFGVQALLSLELGKRFPSIPLVAEEDSAFVRSNNLVGPVFDAVISKTSHGENPWTPDHVLEAIDRGGQDGSTFGAQPATYWVLDPIDGTQGFVKGNQALYVVGLALVVDGQIVLAVMGCPNWQNAVSNKSTSEVQEEKNTPPGSGIIMVAHTGCGTWTKRLSSVLNLTAKMPYSWMQCFVDGCCVVEEARYSIRASDAWESYPLSTLFTSTTSADSIDEGQILLVKSCCGSLSKYIMVASGRVSLYMQNVKVQKVTKAWDHAAGIICVHEAGGKVTDWKGDQINLAADQLGRRNIYPSGGILVTNGNLHNRLVEMISSNSSTVSR >ONI10219 pep chromosome:Prunus_persica_NCBIv2:G4:1672346:1675943:-1 gene:PRUPE_4G035200 transcript:ONI10219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVHSARHFSTVRFSQPDPAVRRLRFSVRSSLPFGTEKAKYHRELEAAVDAVERACALCVEVQSSLLSSKRRVVEKNDQTPVTVADFGVQALLSLELGKRFPSIPLVAEEDSAFVRSNNLVGPVFDAVISKTSHGENPWTPDHVLEAIDRGGQDGSTFGAQPATYWVLDPIDGTQGFVKGNQALYVVGLALVVDGQIVLAVMGCPNWQNAVSNKSTSEVQEEKNTPPGSGIIMVAHTGCGTWTKRLSSVLNLTAKMPYSWMQCFVDGCCVVEEARYSIRASDAWESYPLSTLFTSTTSADSIDEGQILLVKSCCGSLSKYIMVASGRVSLYMQNVKVQKVTKAWDHAAGIICVHEAGGKVTDWKGDQINLAADQLGRRNIYPSGGILVTNGNLHNRLVEMISSNSSTVSR >ONI10221 pep chromosome:Prunus_persica_NCBIv2:G4:1672165:1675894:-1 gene:PRUPE_4G035200 transcript:ONI10221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVHSARHFSTVRFSQPDPAVRRLRFSVRSSLPFGTEKAKYHRELEAAVDAVERACALCVEVQSSLLSSKRRVVEKNDQTPVTVADFGVQALLSLELGKRFPSIPLVAEEDSAFVRSNNLVGPVFDAVISKTSHGENPWTPDHVLEAIDRGGQDGSTFGAQPATYWVLDPIDGTQGFVKGNQALYVVGLALVVDGQIVLAVMGCPNWQNAVSNKSTSEVQEEKNTPPGSGIIMVAHTGCGTWTKRLSSVLNLTAKMPYSWMQCFVDGCCVVEEARYSIRASDAWESYPLSTLFTSTTSADSIDEGQILLVKSCCGSLSKYIMVASGRVSLYMQNVKVQKVTKAWDHAAGIICVHEAGGKVTDWKGDQINLAADQLGRRNIYPSGGILVTNGNLHNRLVEMISSNSSTVSR >ONI10220 pep chromosome:Prunus_persica_NCBIv2:G4:1672073:1675894:-1 gene:PRUPE_4G035200 transcript:ONI10220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVHSARHFSTVRFSQPDPAVRRLRFSVRSSLPFGTEKAKYHRELEAAVDAVERACALCVEVQSSLLSSKRRVVEKNDQTPVTVADFGVQALLSLELGKRFPSIPLVAEEDSAFVRSNNLVGPVFDAVISKTSHGENPWTPDHVLEAIDRGGQDGSTFGAQPATYWVLDPIDGTQGFVKGNQALYVVGLALVVDGQIVLAVMGCPNWQNAVSNKSTSEVQEEKNTPPGSGIIMVAHTGCGTWTKRLSSVLNLTAKMPYSWMQCFVDGCCVVEEARYSIRASDAWESYPLSTLFTSTTSADSIDEGQILLVKSCCGSLSKYIMVASGRVSLYMQNVKVQKVTKAWDHAAGIICVHEAGGKVTDWKGDQINLAADQLGRRNIYPSGGILVTNGNLHNRLVEMISSNSSTVSR >ONI10425 pep chromosome:Prunus_persica_NCBIv2:G4:2232784:2234780:1 gene:PRUPE_4G046900 transcript:ONI10425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCGILSFSSALSPISISHYRNGTAPKLKTDLSSSITLGIRCSSSSSDVPQTFEKSENDAAMTGGAFDFTRATTSLTDNKSIASSKKVTLVRHGLSSWNEESRVQGSSNQSVLTETGVMQAERCRKAIANMHFDQCYSSPISRAKSTAEIIWQGREEPLVFLDSLKEAHLFFLEGMKNEDAKQRYPKEYATWREDPANFYVNGIYPVRELWGTAREAWKEILLTPGEHVLVIAHKSILRALICTALGLGPERFRAIDVNNGGISVFNFNKKGEAMIQSLNMTAHMYSDHVYLY >ONI10765 pep chromosome:Prunus_persica_NCBIv2:G4:3246166:3252790:-1 gene:PRUPE_4G067100 transcript:ONI10765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSSPCSTPLMTQKPSLRVDFRHGFLKSFDFGHQKPIKWKTFHLKELVVSASTDNAVSVTDPVPSLTRSKVKRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKVLRQVVEQLNKLVNVIKVEDISKEEHVERELMLIKLNADPSTLAEIMWLVDIFRAKIVDISEHSLTIEVTGDPGKVVAVQRNLSKFGIKELARTGKIALRREKMGETAPFWRFSAASYPDLEKSMAIDDLAVKANRSLNVDASTASRGDVYPVEPYDDFRLNKVLDAHWGVLYDEDPGGVQSHTLSMIVNDCPGVLNIVTGVMSRRGYNIQSLAVGPAEKEGLSRITTVVPGTDDSITKLVQQLHKLIDLHEVRDITHLPFAERELMLIKIAVNTTARRDVLDIASIFRAKAVDVSDHTITLEVETFSCIPVNYICDCVLYFKILQVG >ONI10764 pep chromosome:Prunus_persica_NCBIv2:G4:3245766:3252790:-1 gene:PRUPE_4G067100 transcript:ONI10764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSSPCSTPLMTQKPSLRVDFRHGFLKSFDFGHQKPIKWKTFHLKELVVSASTDNAVSVTDPVPSLTRSKVKRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKVLRQVVEQLNKLVNVIKVEDISKEEHVERELMLIKLNADPSTLAEIMWLVDIFRAKIVDISEHSLTIEVTGDPGKVVAVQRNLSKFGIKELARTGKIALRREKMGETAPFWRFSAASYPDLEKSMAIDDLAVKANRSLNVDASTASRGDVYPVEPYDDFRLNKVLDAHWGVLYDEDPGGVQSHTLSMIVNDCPGVLNIVTGVMSRRGYNIQSLAVGPAEKEGLSRITTVVPGTDDSITKLVQQLHKLIDLHEVRDITHLPFAERELMLIKIAVNTTARRDVLDIASIFRAKAVDVSDHTITLELTGDINKMVALQKLLEPYGICETVS >ONI10763 pep chromosome:Prunus_persica_NCBIv2:G4:3245029:3252849:-1 gene:PRUPE_4G067100 transcript:ONI10763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSSPCSTPLMTQKPSLRVDFRHGFLKSFDFGHQKPIKWKTFHLKELVVSASTDNAVSVTDPVPSLTRSKVKRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKVLRQVVEQLNKLVNVIKVEDISKEEHVERELMLIKLNADPSTLAEIMWLVDIFRAKIVDISEHSLTIEVTGDPGKVVAVQRNLSKFGIKELARTGKIALRREKMGETAPFWRFSAASYPDLEKSMAIDDLAVKANRSLNVDASTASRGDVYPVEPYDDFRLNKVLDAHWGVLYDEDPGGVQSHTLSMIVNDCPGVLNIVTGVMSRRGYNIQSLAVGPAEKEGLSRITTVVPGTDDSITKLVQQLHKLIDLHEVRDITHLPFAERELMLIKIAVNTTARRDVLDIASIFRAKAVDVSDHTITLELTGDINKMVALQKLLEPYGICEVARTGRVALERESGVDSTYLRGYPLPV >ONI10937 pep chromosome:Prunus_persica_NCBIv2:G4:3754714:3758020:-1 gene:PRUPE_4G077200 transcript:ONI10937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNIVTFLVFFFYLAFFAIVSPARRVPLDSKNIAASSIDGGSNFTYVCDPSWYSSLGLDLSSFAFCDSELPYDVRAKDLVDRMTLMEKVKQLGDGAYGVPRLGLPKYEWWSEALHGVSNVGPGAYFDDVVPGATSFPPVILTAASFNQSLWKAIGQAVSTEARAMHNLGRAGLTFWSPNINVVRDPRWGRIIETPGEDPYVVGAYATNYVRGLQDVEGTENVTDLNLRPLKVSSCCKHYAAYDVDNWLGVDRLHFDARVTEQDMVETFLRPFEMCVKEGDVSSVMCSYNRVNGIPTCADPKLLRDTIRGDWGLHGYIVSDCDSIDVMVDDHKFLDDTKEDAVAQAFKAGLDLDCGVYYPNFTQTAVEQGKVNVGDIDRALNYLYVVLMRLGYFDGSPTFKSLGKKDICTKEHIELATEAAREGLVLLKNVNETLPLNSAKIKTLAVVGPHANATEVMIGNYAGVPCQYTSPIDAFKSYGEVKYEVGCDVACQNESLIFPAMTAAKKADATILVVGLDLSVEAEGLDRVDLLLPGYQTQLINQVAQVSKGPVILVVLSAGCVDISFAKQNDKIKAILWAGYPGEEGGRAIADIVFGHHNPGGRLPLTWYEANYVDMLPMTSMPLRPIDSLGYPGRTYKFFNGSTVYQFGYGLSYTQFNYTLKAADRSMDILLNNTQHCRNIKYEDEASKPPCPAVLVDDLYYEHDFSVKVAVKNVGKRDGSEVVFVYSKPPDGIVGTHGKQMIGFERVFVKAGQSKEVYFVFSVPKALGIVDYTAYKLLPSGMHTIMVGDDHGLSFPLQINLETK >ONI12234 pep chromosome:Prunus_persica_NCBIv2:G4:8739405:8749915:1 gene:PRUPE_4G152500 transcript:ONI12234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQDSRVRMSAEEEMAAEESLSIYCKPVEFYNILQRRAIRNPSFLQRCLSYKIEAKHKRRIQMTVSISRNEKEGVQTQNLFPLYVFLARLVPDVAVPEYSATYRFSRACMLSNSTGVDGSSQLQANFILPDINKLALEAKSGSLAILFVSFVGAQNPFRGINLSKGPVDMASFPSNAGGYCLWSQIPLELLYISWENSPNFVLGQRAEMISTIDMHSCFLKLSCLNEDKCLMIATPYNPETVILSQQLQVTISAEEFGAREKSLYNTYTRSDIPSTLLSHIIRLRAGNVIFNYRYYNNTLQRTEVTEDFSCPFCLVRCASFKGLRHHLCSSHDLFNFEFWVTEEYQAVNVSVKIDNWRSEIVADGVDPKLQVFFSCSKPLRRRRPKNLYQSLKHVHPHVLQSDLPAGLCDLSDKADDAHSSKGDKARISGVSSSLPQSCADPGCVQSISGNNFAPPAMLLFAKTRKLSVERSDPRNCALLRKRQFFHSHRAQPMTLEQVLSDQDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHMWNSFVRKQQVLADGHIPWSCEAFSRLHGHDLVRAPALIWCWRLFMIKLWNHGLLDARSMNNCNIILEQCQGQASDPKS >ONI12236 pep chromosome:Prunus_persica_NCBIv2:G4:8739405:8749915:1 gene:PRUPE_4G152500 transcript:ONI12236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQDSRVRMSAEEEMAAEESLSIYCKPVEFYNILQRRAIRNPSFLQRCLSYKIEAKHKRRIQMTVSISRNEKEGVQTQNLFPLYVFLARLVPDVAVPEYSATYRFSRACMLSNSTGVDGSSQLQANFILPDINKLALEAKSGSLAILFVSFVGAQNPFRGINLSKGPVDMASFPSNAGGYCLWSQIPLELLYISWENSPNFVLGQRAEMISTIDMHSCFLKLSCLNEDKCLMIATPYNPETVILSQQLQVTISAEEFGAREKSLYNTYTRSDIPSTLLSHIIRLRAGNVIFNYRYYNNTLQRTEVTEDFSCPFCLVRCASFKGLRHHLCSSHDLFNFEFWVTEEYQAVNVSVKIDNWRSEIVADGVDPKLQVFFSCSKPLRRRRPKNLYQSLKHVHPHVLQSDLPAGLCDLSDKADGVSSSLPQSCADPGCVQSISGNNFAPPAMLLFAKTRKLSVERSDPRNCALLRKRQFFHSHRAQPMTLEQVLSDQDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHMWNSFVRKQQVLADGHIPWSCEAFSRLHGHDLVRAPALIWCWRLFMIKLWNHGLLDARSMNNCNIILEQCQGQASDPKS >ONI12233 pep chromosome:Prunus_persica_NCBIv2:G4:8739492:8749909:1 gene:PRUPE_4G152500 transcript:ONI12233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQDSRVRMSAEEEMAAEESLSIYCKPVEFYNILQRRAIRNPSFLQRCLSYKIEAKHKRRIQMTVSISRNEKEGVQTQNLFPLYVFLARLVPDVAVPEYSATYRFSRACMLSNSTGVDGSSQLQANFILPDINKLALEAKSGSLAILFVSFVGAQNPFRGINLSKGPVDMASFPSNAGGYCLWSQIPLELLYISWENSPNFVLGQRAEMISTIDMHSCFLKLSCLNEDKCLMIATPYNPETVILSQQLQVTISAEEFGAREKSLYNTYTRSDIPSTLLSHIIRLRAGNVIFNYRYYNNTLQRTEVTEDFSCPFCLVRCASFKGLRHHLCSSHDLFNFEFWVTEEYQAVNVSVKIDNWRSEIVADGVDPKLQVFFSCSKPLRRRRPKNLYQSLKHVHPHVLQSDLPAGLCDLSDKADDAHSSKGDKARISGVSSSLPQSCADPGCVQSISGNNFAPPAMLLFAKTRKLSVERSDPRNCALLRKRQFFHSHRAQPMTLEQVLSDQDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHMWNSFVRKQQVLADGHIPWSCEAFSRLHGHDLVRAPALIWCWRLFMIKLWNHGLLDARSMNNCNIILEQCQGQASDPKS >ONI12235 pep chromosome:Prunus_persica_NCBIv2:G4:8739492:8749909:1 gene:PRUPE_4G152500 transcript:ONI12235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQDSRVRMSAEEEMAAEESLSIYCKPVEFYNILQRRAIRNPSFLQRCLSYKIEAKHKRRIQMTVSISRNEKEGVQTQNLFPLYVFLARLVPDVAVPEYSATYRFSRACMLSNSTGVDGSSQLQANFILPDINKLALEAKSGSLAILFVSFVGAQNPFRGINLSKGPVDMASFPSNAGGYCLWSQIPLELLYISWENSPNFVLGQRAEMISTIDMHSCFLKLSCLNEDKCLMIATPYNPETVILSQQLQVTISAEEFGAREKSLYNTYTRSDIPSTLLSHIIRLRAGNVIFNYRYYNNTLQRTEVTEDFSCPFCLVRCASFKGLRHHLCSSHDLFNFEFWVTEEYQAVNVSVKIDNWRSEIVADGVDPKLQVFFSCSKPLRRRRPKNLYQSLKHVHPHVLQSDLPAGLCDLSDKADGVSSSLPQSCADPGCVQSISGNNFAPPAMLLFAKTRKLSVERSDPRNCALLRKRQFFHSHRAQPMTLEQVLSDQDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHMWNSFVRKQQVLADGHIPWSCEAFSRLHGHDLVRAPALIWCWRLFMIKLWNHGLLDARSMNNCNIILEQCQGQASDPKS >ONI12237 pep chromosome:Prunus_persica_NCBIv2:G4:8739492:8750083:1 gene:PRUPE_4G152500 transcript:ONI12237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQDSRVRMSAEEEMAAEESLSIYCKPVEFYNILQRRAIRNPSFLQRCLSYKIEAKHKRRIQMTVSISRNEKEGVQTQNLFPLYVFLARLVPDVAVPEYSATYRFSRACMLSNSTGVDGSSQLQANFILPDINKLALEAKSGSLAILFVSFVGAQNPFRGINLSKGPVDMASFPSNAGGYCLWSQIPLELLYISWENSPNFVLGQRAEMISTIDMHSCFLKLSCLNEDKCLMIATPYNPETVILSQQLQVTISAEEFGAREKSLYNTYTRSDIPSTLLSHIIRLRAGNVIFNYRYYNNTLQRTEVTEDFSCPFCLVRCASFKGLRHHLCSSHDLFNFEFWVTEEYQAVNVSVKIDNWRSEIVADGVDPKLQVFFSCSKPLRRRRPKNLYQSLKHVHPHVLQSDLPAGLCDLSDKADDAHSSKGDKARISGVSSSLPQSCADPGCVQSISGNNFAPPAMLLFAKTRKLSVERSDPRNCALLRKRQFFHSHRAQIRIVRMRLMMMLLILRIEGCLMISLM >ONI14052 pep chromosome:Prunus_persica_NCBIv2:G4:18593501:18595445:-1 gene:PRUPE_4G259100 transcript:ONI14052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLTRWMKQGVEFMGRQWNLFDIASLSVVLSLHLLALLAPVHFSWSALWLAFALYVVTGLGITLGYHRSLAHRSFKLPRWLEYFFAYCGVLTLQGSPIEWVSTHRYHHQFTDTKKDPHSPLMGFWFSHIGWIFNNSFRFEIYERRLKNVDDLKRQPYYRFLHRTYLLHSALLGVLLYVIGGLPYLVWGMGVRTVIVYHITFSVNSIGHTWGKQVWHTGDLSRNNWLLALPTLGDGWHNNHHAFDYSAQQGLEWWQIDITWYLIRFLQVVGLATDVKTPTETQKKRKALHNASNLEKNKSKVSNGKF >ONI12225 pep chromosome:Prunus_persica_NCBIv2:G4:8723715:8729450:-1 gene:PRUPE_4G152300 transcript:ONI12225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTEVTVIHHVGIVLMMLWFLSHFNCCHPFAYFFSLIYLYLVHERYVMRLRRKLQFDERKQANQRRVLSDSETVRWLNHAVEKIWPICMEQIASQKILLPIIPWFLEKYKPWTVGKAVVQHLYLGRNPPMFTEMRVLRQSTDDDHLVLELGMNFLTADDMLGILAVKLRKRLGFGIWTKLHITGMHVEGKVLIGVKFLRKWPFLGRVRLCFVEPPYFQMTVKPIFTRGLDVTEVPGIDGWLDKLLAIAFEQTLVQPNMLVVDMEKFTSPEPENWFSVDEKEPVAHVRIEVIEASDMKPSDLNGFADPYVKGQMGVYQFKTKIQKKTLTPKWHEEFKIPIITWDSPNVLAIEVHDKDIFVDDTLGDCSIKISDLRDGGRHDMWLPLQNIKTAGRLHIAVTVVEDNGKGDDCPDIPEMLDLEDKRNSFANDTANKSSFSSVSSEKSPRVADHFEPIDIEGQKETGIWVHHPGSEVSQTWETRKGKGRRLNTQIHGEANGTNGRSSVASGTQVNDGSSTDENPEDRHPMASFRRGLHKIFHRNSKKEDNSSSFTEAVQTPRVNLRAINEKEAGVKFVVEDNISVSPSGKVSKEGALSSGESGPDSPGKGKVKSFFRNAEKSVKRALSKKGSRKSQADSCAVSEREIIEGSNSSDDESLPSPPFVEMIPVASRDIPCGSVNDSSKPEEVVVQPVIVTAVDAEGPTEKVELEEVEKVHEEVDSPGRNGDGLYEPLKVEAS >ONI12224 pep chromosome:Prunus_persica_NCBIv2:G4:8723908:8730024:-1 gene:PRUPE_4G152300 transcript:ONI12224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPAKRPNKSSASFFVIRIGSLSLSLSVCLPSRRLLPLYGSLFNCSKLIYTLKSLQNIPLCFPILLWFFPLFLFHWVHERYVMRLRRKLQFDERKQANQRRVLSDSETVRWLNHAVEKIWPICMEQIASQKILLPIIPWFLEKYKPWTVGKAVVQHLYLGRNPPMFTEMRVLRQSTDDDHLVLELGMNFLTADDMLGILAVKLRKRLGFGIWTKLHITGMHVEGKVLIGVKFLRKWPFLGRVRLCFVEPPYFQMTVKPIFTRGLDVTEVPGIDGWLDKLLAIAFEQTLVQPNMLVVDMEKFTSPEPENWFSVDEKEPVAHVRIEVIEASDMKPSDLNDNLVFSGFADPYVKGQMGVYQFKTKIQKKTLTPKWHEEFKIPIITWDSPNVLAIEVHDKDIFVDDTLGDCSIKISDLRDGGRHDMWLPLQNIKTAGRLHIAVTVVEDNGKGDDCPDIPEMLDLEDKRNSFANDTANKSSFSSVSSEKSPRVADHFEPIDIEGQKETGIWVHHPGSEVSQTWETRKGKGRRLNTQIHGEANGTNGRSSVASGTQVNDGSSTDENPEDRHPMASFRRGLHKIFHRNSKKEDNSSSFTEAVQTPRVNLRAINEKEAGVKFVVEDNISVSPSGKVSKEGALSSGESGPDSPGKGKVKSFFRNAEKSVKRALSKKGSRKSQADSCAVSEREIIEGSNSSDDESLPSPPFVEMIPVASRDIPCGSVNDSSKPEEVVVQPVIVTAVDAEGPTEKVEHEELVHPMEKVELEEVEKVHEEVDSPGRNGDGLYEPLKVEAS >ONI12227 pep chromosome:Prunus_persica_NCBIv2:G4:8723908:8729429:-1 gene:PRUPE_4G152300 transcript:ONI12227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTEVTVIHHVGIVLMMLWFLSHFNCCHPFAYFFSLIYLYLVHERYVMRLRRKLQFDERKQANQRRVLSDSETVRWLNHAVEKIWPICMEQIASQKILLPIIPWFLEKYKPWTVGKAVVQHLYLGRNPPMFTEMRVLRQSTDDDHLVLELGMNFLTADDMLGILAVKLRKRLGFGIWTKLHITGMHVEGKVLIGVKFLRKWPFLGRVRLCFVEPPYFQMTVKPIFTRGLDVTEVPGIDGWLDKLLAIAFEQTLVQPNMLVVDMEKFTSPEPENWFSVDEKEPVAHVRIEVIEASDMKPSDLNGFADPYVKGQMGVYQFKTKIQKKTLTPKWHEEFKIPIITWDSPNVLAIEVHDKDIFVDDTLGDCSIKISDLRDGGRHDMWLPLQNIKTAGRLHIAVTVVEDNGKDAQACTQEFDLSFFHTQGDDCPDIPEMLDLEDKRNSFANDTANKSSFSSVSSEKSPRVADHFEPIDIEGQKETGIWVHHPGSEVSQTWETRKGKGRRLNTQIHGEANGTNGRSSVASGTQVNDGSSTDENPEDRHPMASFRRGLHKIFHRNSKKEDNSSSFTEAVQTPRVNLRAINEKEAGVKFVVEDNISVSPSGKVSKEGALSSGESGPDSPGKGKVKSFFRNAEKSVKRALSKKGSRKSQADSCAVSEREIIEGSNSSDDESLPSPPFVEMIPVASRDIPCGSVNDSSKPEEVVVQPVIVTAVDAEGPTEKVEHEELVHPMEKVELEEVEKVHEEVDSPGRNGDGLYEPLKVEAS >ONI12229 pep chromosome:Prunus_persica_NCBIv2:G4:8723463:8730062:-1 gene:PRUPE_4G152300 transcript:ONI12229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMYGTDCFTENSPTYHTLVFGEGKAVVQHLYLGRNPPMFTEMRVLRQSTDDDHLVLELGMNFLTADDMLGILAVKLRKRLGFGIWTKLHITGMHVEGKVLIGVKFLRKWPFLGRVRLCFVEPPYFQMTVKPIFTRGLDVTEVPGIDGWLDKLLAIAFEQTLVQPNMLVVDMEKFTSPEPENWFSVDEKEPVAHVRIEVIEASDMKPSDLNGFADPYVKGQMGVYQFKTKIQKKTLTPKWHEEFKIPIITWDSPNVLAIEVHDKDIFVDDTLGDCSIKISDLRDGGRHDMWLPLQNIKTAGRLHIAVTVVEDNGKGDDCPDIPEMLDLEDKRNSFANDTANKSSFSSVSSEKSPRVADHFEPIDIEGQKETGIWVHHPGSEVSQTWETRKGKGRRLNTQIHGEANGTNGRSSVASGTQVNDGSSTDENPEDRHPMASFRRGLHKIFHRNSKKEDNSSSFTEAVQTPRVNLRAINEKEAGVKFVVEDNISVSPSGKVSKEGALSSGESGPDSPGKGKVKSFFRNAEKSVKRALSKKGSRKSQADSCAVSEREIIEGSNSSDDESLPSPPFVEMIPVASRDIPCGSVNDSSKPEEVVVQPVIVTAVDAEGPTEKVEHEELVHPMEKVELEEVEKVHEEVDSPGRNGDGLYEPLKVEAS >ONI12228 pep chromosome:Prunus_persica_NCBIv2:G4:8723908:8729429:-1 gene:PRUPE_4G152300 transcript:ONI12228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTEVTVIHHVGIVLMMLWFLSHFNCCHPFAYFFSLIYLYLVHERYVMRLRRKLQFDERKQANQRRVLSDSETVRWLNHAVEKIWPICMEQIASQKILLPIIPWFLEKYKPWTVGKAVVQHLYLGRNPPMFTEMRVLRQSTDDDHLVLELGMNFLTADDMLGILAVKLRKRLGFGIWTKLHITGMHVEGKVLIGVKFLRKWPFLGRVRLCFVEPPYFQMTVKPIFTRGLDVTEVPGIDGWLDKLLAIAFEQTLVQPNMLVVDMEKFTSPEPENWFSVDEKEPVAHVRIEVIEASDMKPSDLNDNLVFSGFADPYVKGQMGVYQFKTKIQKKTLTPKWHEEFKIPIITWDSPNVLAIEVHDKDIFVDDTLGDCSIKISDLRDGGRHDMWLPLQNIKTAGRLHIAVTVVEDNGKGDDCPDIPEMLDLEDKRNSFANDTANKSSFSSVSSEKSPRVADHFEPIDIEGQKETGIWVHHPGSEVSQTWETRKGKGRRLNTQIHGEANGTNGRSSVASGTQVNDGSSTDENPEDRHPMASFRRGLHKIFHRNSKKEDNSSSFTEAVQTPRVNLRAINEKEAGVKFVVEDNISVSPSGKVSKEGALSSGESGPDSPGKGKVKSFFRNAEKSVKRALSKKGSRKSQADSCAVSEREIIEGSNSSDDESLPSPPFVEMIPVASRDIPCGSVNDSSKPEEVVVQPVIVTAVDAEGPTEKVEHEELVHPMEKVELEEVEKVHEEVDSPGRNGDGLYEPLKVEAS >ONI12226 pep chromosome:Prunus_persica_NCBIv2:G4:8723908:8729429:-1 gene:PRUPE_4G152300 transcript:ONI12226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTEVTVIHHVGIVLMMLWFLSHFNCCHPFAYFFSLIYLYLVHERYVMRLRRKLQFDERKQANQRRVLSDSETVRWLNHAVEKIWPICMEQIASQKILLPIIPWFLEKYKPWTVGKAVVQHLYLGRNPPMFTEMRVLRQSTDDDHLVLELGMNFLTADDMLGILAVKLRKRLGFGIWTKLHITGMHVEGKVLIGVKFLRKWPFLGRVRLCFVEPPYFQMTVKPIFTRGLDVTEVPGIDGWLDKLLAIAFEQTLVQPNMLVVDMEKFTSPEPENWFSVDEKEPVAHVRIEVIEASDMKPSDLNGFADPYVKGQMGVYQFKTKIQKKTLTPKWHEEFKIPIITWDSPNVLAIEVHDKDIFVDDTLGDCSIKISDLRDGGRHDMWLPLQNIKTAGRLHIAVTVVEDNGKGDDCPDIPEMLDLEDKRNSFANDTANKSSFSSVSSEKSPRVADHFEPIDIEGQKETGIWVHHPGSEVSQTWETRKGKGRRLNTQIHGEANGTNGRSSVASGTQVNDGSSTDENPEDRHPMASFRRGLHKIFHRNSKKEDNSSSFTEAVQTPRVNLRAINEKEAGVKFVVEDNISVSPSGKVSKEGALSSGESGPDSPGKGKVKSFFRNAEKSVKRALSKKGSRKSQADSCAVSEREIIEGSNSSDDESLPSPPFVEMIPVASRDIPCGSVNDSSKPEEVVVQPVIVTAVDAEGPTEKVEHEELVHPMEKVELEEVEKVHEEVDSPGRNGDGLYEPLKVEAS >ONI12223 pep chromosome:Prunus_persica_NCBIv2:G4:8723463:8730062:-1 gene:PRUPE_4G152300 transcript:ONI12223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPAKRPNKSSASFFVIRIGSLSLSLSVCLPSRRLLPLYGSLFNCSKLIYTLKSLQNIPLCFPILLWFFPLFLFHWVHERYVMRLRRKLQFDERKQANQRRVLSDSETVRWLNHAVEKIWPICMEQIASQKILLPIIPWFLEKYKPWTVGKAVVQHLYLGRNPPMFTEMRVLRQSTDDDHLVLELGMNFLTADDMLGILAVKLRKRLGFGIWTKLHITGMHVEGKVLIGVKFLRKWPFLGRVRLCFVEPPYFQMTVKPIFTRGLDVTEVPGIDGWLDKLLAIAFEQTLVQPNMLVVDMEKFTSPEPENWFSVDEKEPVAHVRIEVIEASDMKPSDLNGFADPYVKGQMGVYQFKTKIQKKTLTPKWHEEFKIPIITWDSPNVLAIEVHDKDIFVDDTLGDCSIKISDLRDGGRHDMWLPLQNIKTAGRLHIAVTVVEDNGKGDDCPDIPEMLDLEDKRNSFANDTANKSSFSSVSSEKSPRVADHFEPIDIEGQKETGIWVHHPGSEVSQTWETRKGKGRRLNTQIHGEANGTNGRSSVASGTQVNDGSSTDENPEDRHPMASFRRGLHKIFHRNSKKEDNSSSFTEAVQTPRVNLRAINEKEAGVKFVVEDNISVSPSGKVSKEGALSSGESGPDSPGKGKVKSFFRNAEKSVKRALSKKGSRKSQADSCAVSEREIIEGSNSSDDESLPSPPFVEMIPVASRDIPCGSVNDSSKPEEVVVQPVIVTAVDAEGPTEKVEHEELVHPMEKVELEEVEKVHEEVDSPGRNGDGLYEPLKVEAS >ONI12148 pep chromosome:Prunus_persica_NCBIv2:G4:8460413:8463154:-1 gene:PRUPE_4G148100 transcript:ONI12148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQVSPDQAQLLAMLVQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEACSYDFAFVDADKRMYQEYFELLLQLVKVGGLIVFDNVLWHGKVADPEVNDAKTVSIRNFNTSIMQDKRVPIGDGMTICRKRQPHNKS >ONI12156 pep chromosome:Prunus_persica_NCBIv2:G4:8460763:8463154:-1 gene:PRUPE_4G148100 transcript:ONI12156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEASMILHLLMLTRECIRNTLNCCYNLSRLGV >ONI12153 pep chromosome:Prunus_persica_NCBIv2:G4:8460023:8464276:-1 gene:PRUPE_4G148100 transcript:ONI12153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEASMILHLLMLTRECIRNTLNCCYNLSRLGV >ONI12157 pep chromosome:Prunus_persica_NCBIv2:G4:8460013:8464276:-1 gene:PRUPE_4G148100 transcript:ONI12157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQVSPDQAQLLAMLVQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEASMILHLLMLTRECIRNTLNCCYNLSRLGV >ONI12145 pep chromosome:Prunus_persica_NCBIv2:G4:8460023:8464276:-1 gene:PRUPE_4G148100 transcript:ONI12145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEACSYDFAFVDADKRMYQEYFELLLQLVKVGGLIVFDNVLWHGKVADPEVNDAKTVSIRNFNTSIMQDKRVPIGDGMTICRKRQPHNKS >ONI12154 pep chromosome:Prunus_persica_NCBIv2:G4:8460023:8463399:-1 gene:PRUPE_4G148100 transcript:ONI12154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEASMILHLLMLTRECIRNTLNCCYNLSRLGV >ONI12146 pep chromosome:Prunus_persica_NCBIv2:G4:8460021:8463399:-1 gene:PRUPE_4G148100 transcript:ONI12146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEACSYDFAFVDADKRMYQEYFELLLQLVKVGGLIVFDNVLWHGKVADPEVNDAKTVSIRNFNTSIMQDKRVSISMVPIGDGMTICRKRQPHNKS >ONI12158 pep chromosome:Prunus_persica_NCBIv2:G4:8460023:8464276:-1 gene:PRUPE_4G148100 transcript:ONI12158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQVSPDQAQLLAMLVQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEASMILHLLMLTRECIRNTLNCCYNLSRLGV >ONI12149 pep chromosome:Prunus_persica_NCBIv2:G4:8460413:8463154:-1 gene:PRUPE_4G148100 transcript:ONI12149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQVSPDQAQLLAMLVQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEACSYDFAFVDADKRMYQEYFELLLQLVKVGGLIVFDNVLWHGKVADPEVNDAKTVSIRNFNTSIMQDKRVPIGDGMTICRKRQPHNKS >ONI12151 pep chromosome:Prunus_persica_NCBIv2:G4:8460033:8463376:-1 gene:PRUPE_4G148100 transcript:ONI12151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQVSPDQAQLLAMLVQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEACSYDFAFVDADKRMYQEYFELLLQLVKVGGLIVFDNVLWHGKVADPEVNDAKTVSIRNFNTSIMQDKRVSISMVPIGDGMTICRKRQPHNKS >ONI12159 pep chromosome:Prunus_persica_NCBIv2:G4:8460023:8463399:-1 gene:PRUPE_4G148100 transcript:ONI12159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQVSPDQAQLLAMLVQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEASMILHLLMLTRECIRNTLNCCYNLSRLGV >ONI12160 pep chromosome:Prunus_persica_NCBIv2:G4:8460023:8463414:-1 gene:PRUPE_4G148100 transcript:ONI12160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQVSPDQAQLLAMLVQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEASMILHLLMLTRECIRNTLNCCYNLSRLGV >ONI12152 pep chromosome:Prunus_persica_NCBIv2:G4:8460012:8464276:-1 gene:PRUPE_4G148100 transcript:ONI12152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQVSPDQAQLLAMLVQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEACSYDFAFVDADKRMYQEYFELLLQLVKVGGLIVFDNVLWHGKVADPEVNDAKTVSIRNFNTSIMQDKRVSISMVPIGDGMTICRKRQPHNKS >ONI12144 pep chromosome:Prunus_persica_NCBIv2:G4:8460023:8463399:-1 gene:PRUPE_4G148100 transcript:ONI12144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEACSYDFAFVDADKRMYQEYFELLLQLVKVGGLIVFDNVLWHGKVADPEVNDAKTVSIRNFNTSIMQDKRVPIGDGMTICRKRQPHNKS >ONI12150 pep chromosome:Prunus_persica_NCBIv2:G4:8460413:8463154:-1 gene:PRUPE_4G148100 transcript:ONI12150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQVSPDQAQLLAMLVQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEACSYDFAFVDADKRMYQEYFELLLQLVKVGGLIVFDNVLWHGKVADPEVNDAKTVSIRNFNTSIMQDKRVSISMVPIGDGMTICRKRQPHNKS >ONI12155 pep chromosome:Prunus_persica_NCBIv2:G4:8460763:8463154:-1 gene:PRUPE_4G148100 transcript:ONI12155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEASMILHLLMLTRECIRNTLNCCYNLSRLGV >ONI12147 pep chromosome:Prunus_persica_NCBIv2:G4:8460021:8464276:-1 gene:PRUPE_4G148100 transcript:ONI12147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLGLHHWSVLASQRAILPQNSAKPLQKFHSLPTTVTVACIATSRASSVRCHLGCFGFTAFNRKLRKSVRGCATNPFVVANDEKYGNKQVISITPQVYDYILANVREPEVLRQLREETASMHGSQMQIHGAEKCIEVGVYTGYSSLATALVLPESGSLVACERDGRSLEVAKKYYDKANVSHKVHVKYGFAADALRSLILSGEACSYDFAFVDADKRMYQEYFELLLQLVKVGGLIVFDNVLWHGKVADPEVNDAKTVSIRNFNTSIMQDKRVSISMVPIGDGMTICRKRQPHNKS >ONI12579 pep chromosome:Prunus_persica_NCBIv2:G4:10239442:10243713:1 gene:PRUPE_4G172900 transcript:ONI12579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPSNGGPAFRFTQSEVSEMEAILQQHNNTMPAREVLVALADKFSESAERKGKIAVQMKQVWNWFQNRRYAIRAKSSKVLGKLNVSPMSRDDSNPVRNVPQGPQPIAAPIHAPSGSGKGASENSIFEFEAKSGRDGAWYDVANFLSHRYLETGDPEVLVRFAGFGPEEDEWVNVRKHVRQRSLPCESSECVAVLPGDLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYVHDQSEEIVPLRKVCRRPETDYRLQQLHAVNEAASAEQKSMDHFMGSVTSAEMMQKQQNTDAASAPPVLHANASLATQSTTPEFKGSEVSTVISSGNSNFPPGSAVITSGTATVVVPGGSVENMPKGN >ONI12578 pep chromosome:Prunus_persica_NCBIv2:G4:10239199:10243983:1 gene:PRUPE_4G172900 transcript:ONI12578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPSNGGPAFRFTQSEVSEMEAILQQHNNTMPAREVLVALADKFSESAERKGKIAVQMKQVWNWFQNRRYAIRAKSSKVLGKLNVSPMSRDDSNPVRNVPQGPQPIAAPIHAPSAQGSGKGASENSIFEFEAKSGRDGAWYDVANFLSHRYLETGDPEVLVRFAGFGPEEDEWVNVRKHVRQRSLPCESSECVAVLPGDLILCFQEGKEQALYFDAHVLDAQRRRHDVRGCRCRFLVRYVHDQSEEIVPLRKVCRRPETDYRLQQLHAVNEAASAEQKSMDHFMGSVTSAEMMQKQQNTDAASAPPVLHANASLATQSTTPEFKGSEVSTVISSGNSNFPPGSAVITSGTATVVVPGGSVENMPKGN >ONI14266 pep chromosome:Prunus_persica_NCBIv2:G4:22322623:22329521:1 gene:PRUPE_4G272000 transcript:ONI14266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINCLRVVPKFPPSAKHKIIISHSFSHSLLFTKRSSRAIHAAAASSSMSYEKELAAAKKAASLAALLCQKVQKALLQSDIHSKSDKSPVTVADYGSQALVSLVLERELPSESFSLVAEEDSGDLRGNSGQETLQRIRTLVNDTLANNEYSSVSNLTTDDVLRAIDNGKSEGGSRGQHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLPLTSISGENQQSSQDKLGCLFFATVGTGTYMQPLDGSSLLKVHVSATENPEEASLFESFEAAHSLHDLSSTIAKKLGFKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGCIVVTEAGGVVADAAGNPLDFSKGKYLDLETGIIVTNQKLMPLLLKAVKESLEERASSL >ONI11241 pep chromosome:Prunus_persica_NCBIv2:G4:4784283:4785058:-1 gene:PRUPE_4G095100 transcript:ONI11241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFLLLALLAITSSIAFASDPSSLQDFCVADAMNSVLVNGLVCKDPKLAEANDFFFSGLHLAGNTSNAVGSRVTPVNVAQIAGLNALGISIARIDYAPWGINPPHTHPRASEILTVLEGSLKVGFVTSNPENRLISKVLEKGDVFVFPVGLVHFQQNVGYGNAVAIAALSSQNPGVITIANAVFGSKPDISADILAKAFQVEKNTVYNLQSKF >ONI12633 pep chromosome:Prunus_persica_NCBIv2:G4:10451806:10455032:-1 gene:PRUPE_4G176500 transcript:ONI12633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGLLKQLAYTLSSRCFATFSRPSTHPSPSRFFTNRKLRELSQPNSLAAKFSTSSPETPTPSAEPFASRFLSVQIRCQKHVADMLSEALLCFGASSTSIDEEDNYDGKDEICIDSIFPVGEDVNTCISRAADSISLKEIPSYEVRMGEPFDWIKKTQESFHPVEVTEGLWIVPEWRIPPDTKATNIILNPGLAFGTGEHPTTKLCLLLLHGLIKGRELFLDYGTGSGILAIAAVKFGAASSVGIDIDPQAITSARQNAALNNIGPEKMQFHLVASQNRSPLIDPCGVVEDQSSSGVEAISETDKYDVVIANILLNPLLDLADHIVSYAKPGAVIGLSGILSEQVLFQHR >ONI12632 pep chromosome:Prunus_persica_NCBIv2:G4:10450669:10455032:-1 gene:PRUPE_4G176500 transcript:ONI12632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGLLKQLAYTLSSRCFATFSRPSTHPSPSRFFTNRKLRELSQPNSLAAKFSTSSPETPTPSAEPFASRFLSVQIRCQKHVADMLSEALLCFGASSTSIDEEDNYDGKDEICIDSIFPVGEDVNTCISRAADSISLKEIPSYEVRMGEPFDWIKKTQESFHPVEVTEGLWIVPEWRIPPDTKATNIILNPGLAFGTGEHPTTKLCLLLLHGLIKGRELFLDYGTGSGILAIAAVKFGAASSVGIDIDPQAITSARQNAALNNIGPEKMQFHLVASQNRSPLIDPCGVVEDQSSSGVEAISETDKYDVVIANILLNPLLDLADHIVSYAKPGAVIGLSGILSEQLPFIIERYSQFLEGISITEMENWACVHGTKKQNLAGS >ONI13110 pep chromosome:Prunus_persica_NCBIv2:G4:12632870:12635516:-1 gene:PRUPE_4G203100 transcript:ONI13110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLYKSAESGDVCFFKQLLNDDPMLLYQLTPRRNTALHIAVQFGHKNVTAEIYSRCRSLLTQPNLDGDTPLHVAARVGRFSIVNYLVRETLSMSQVEFGNVSSKMLETLRVRNRGNNTVLHEALRNGHNKVAEFLLKIDPKLASFENEAGESPLYLAAREGLLDILNQILQSSPSSAHGGSDGQTALHAAVVEKHFDIMEALLRFKQQLIKEADHQGKTPLYYAASLGDHRTVERLLELDISIAYVLDKQGFSPIHVAASKGHTSVIREIVRHCPDSGELVDPYGWNALHIAIFNGQANVVRYILETAELEGTINQPDFDGNTPLHLATIERKTWILRYLRWDGRVNLRSKNKFGQTAIEIDRSIKESSITSPRELQNITPSIWGHLGTQHSWLGNIKISPRAEQEEANAVQTYMQMGQTLLMVATLITTVTFAAAFTMPGGYNNDVGPDRGQALLQSNNDFKWFIITDTVAMTCSIIAACLLFWGAVNSNKSSYVYYFTSAAALTYIALQSTAIAFETGIKAAMPDQQCLKTLGTLVGAAFHVITFLALSQLVKMFSLPEACRFFISHLCKLKCKIKNKP >ONI13401 pep chromosome:Prunus_persica_NCBIv2:G4:13787080:13789315:1 gene:PRUPE_4G219200 transcript:ONI13401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSVQHPSPEMGQSVSAVEQILGYNFTDKRLLEEALTHPSYTESASYQRLEFIGDAAVSLALSNYFFLSNPGVDPGTLSLLRSANVSTEKLARVAVRHGLYHHLRHNAPALLNKIFRGLLEPIVTLEDLQGQPQPVTMLFELCQKHGKHVDIKHWRDERKSIASVYVDGEFVASGSSEHKEFAKLDAAKVAVDKLSPSMGVNDESFEGVVLMDGSFHIEEAKQKLHEICDQKKWPRPIYHIEKDEGPSHEKRFMSSVKISTIDGVLYMKGDEKSRVRDADNSAASLMIRALQEYRYI >ONI13400 pep chromosome:Prunus_persica_NCBIv2:G4:13787080:13789085:1 gene:PRUPE_4G219200 transcript:ONI13400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSVQHPSPEMGQSVSAVEQILGYNFTDKRLLEEALTHPSYTESASYQRLEFIGDAAVSLALSNYFFLSNPGVDPGTLSLLRSANVSTEKLARVAVRHGLYHHLRHNAPALLNKVREFTEAVNGEDETPLVYGGSVKAPKILADIVESVAAAIYVDLNFDLEKLWMIFRGLLEPIVTLEDLQGQPQPVTMLFELCQKHGKHVDIKHWRDERKSIASVYVDGEFVASGSSEHKEFAKLDAAKVAVDKLSPSMGVNDESFEGVVLMDGSFHIEEAKQKLHEICDQKKWPRPIYHIEKDEGPSHEKRFMSSVKISTIDGVLYMKGDEKSRVRDADNSAASLMIRALQEYRYI >ONI09766 pep chromosome:Prunus_persica_NCBIv2:G4:410208:414388:-1 gene:PRUPE_4G007900 transcript:ONI09766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAISRMKALISATTFSTYSVPHISKTTPLALSSLASKSFSFNPPTLFQNPNLLLPKPNLFLPKTCISSVQNRCMQMETASRQRSGEIHVIVGPMFAGKTTTLLRKVQSERGDGRNVAIIKSSKDTRYGLDSIVTHDGVKMPCWALTHLSAFKQKLSPDAYDQLDVIGIDEAQFFDDLYDFCSEAADHDGKKVIVAGLDGDYLRRSFGSVLDIIPLADSVTKLTSRCELCGNQAFFTLRKTEEMQTELIGGAEVYMPVCRQHYVGGQVAIEAARVVLESRVQCGSYA >ONI10606 pep chromosome:Prunus_persica_NCBIv2:G4:2710461:2713576:-1 gene:PRUPE_4G056600 transcript:ONI10606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFFLCSEFVAAAEELIKEKKVEAIVGMETWQEAAQVADLVGNLAQIPVISFAAPSITPPLMQSRWPFLIRMAADGSAQMKCIADIVSAYHWKRVVVIYEDDGYGGDVGMLALLSEALQDVGSKIEHRLVLPQVSSLSNPNWVELEELLKLPTMQSRVYIVFQSSLPTVAHLFRVAEKMGLVGKESAWIITESITSLFDPHETSDISSTMKGTLGIKTYYAKNTNSFATFQKQFQTKYPEEGSPEPGIYALRAYDAIRIIAQAIGRMTSNNSTSLQLLLNTLFSNYTGLSGKMRFEAGEILYSPVLRIINIVDGKRFKELNFWTPDVGFSLEETGKIRDGDAGGVIWPGNLTHVPKGWAMPTAAKPMKIGVPGKTSFSKFVKVDPSLENSDKKKYVGFCIAIFDMVIERLNYSLPYEFEAFDGLYDDLVERVHNKVYDAAVGDITVLADRLEKVEFTQPYMESGLSMIVPAKPEKSTWMFMKPFTWQMWVVSGAILIYTMFVVWFLERPSNPEFGGPLKNQIGTATWFTFSSLFFSHREKIYSNLTRLVVVVWLFVVLILTSSYTANLSSMLTIQRLKPNVTDIEILKRTNSKIGCDGDSFVMDYLQTVLGFKIENIVKVSSEYNYTKEFKSKNISAAFLELPYAQVFMNEFCEGYTSTEPTYRFGGLSFIFQRGSPIARDFTKAILELLENGELKKLQNDWLTPNECPNNVTSNGPESLSLNSFTALYVISGVTSSFCFLLSLAVLGRRFLQQEADQGNASPSPSDETLWNKTVRIARFFYSRELNIPTRAPTFADYAEEWHSPMWDYSTASNTPEHPRTIRPTEIECVQQG >ONI10605 pep chromosome:Prunus_persica_NCBIv2:G4:2710461:2713981:-1 gene:PRUPE_4G056600 transcript:ONI10605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLAASNTVQGLRLLFPALIIYFLIILSYGVGAQNSSNVTNVGAIINGNSRIGKEQKTAMEIAAETFNNRSKTHKLILHFRNSSTDPFLAASAAEELIKEKKVEAIVGMETWQEAAQVADLVGNLAQIPVISFAAPSITPPLMQSRWPFLIRMAADGSAQMKCIADIVSAYHWKRVVVIYEDDGYGGDVGMLALLSEALQDVGSKIEHRLVLPQVSSLSNPNWVELEELLKLPTMQSRVYIVFQSSLPTVAHLFRVAEKMGLVGKESAWIITESITSLFDPHETSDISSTMKGTLGIKTYYAKNTNSFATFQKQFQTKYPEEGSPEPGIYALRAYDAIRIIAQAIGRMTSNNSTSLQLLLNTLFSNYTGLSGKMRFEAGEILYSPVLRIINIVDGKRFKELNFWTPDVGFSLEETGKIRDGDAGGVIWPGNLTHVPKGWAMPTAAKPMKIGVPGKTSFSKFVKVDPSLENSDKKKYVGFCIAIFDMVIERLNYSLPYEFEAFDGLYDDLVERVHNKVYDAAVGDITVLADRLEKVEFTQPYMESGLSMIVPAKPEKSTWMFMKPFTWQMWVVSGAILIYTMFVVWFLERPSNPEFGGPLKNQIGTATWFTFSSLFFSHREKIYSNLTRLVVVVWLFVVLILTSSYTANLSSMLTIQRLKPNVTDIEILKRTNSKIGCDGDSFVMDYLQTVLGFKIENIVKVSSEYNYTKEFKSKNISAAFLELPYAQVFMNEFCEGYTSTEPTYRFGGLSFIFQRGSPIARDFTKAILELLENGELKKLQNDWLTPNECPNNVTSNGPESLSLNSFTALYVISGVTSSFCFLLSLAVLGRRFLQQEADQGNASPSPSDETLWNKTVRIARFFYSRELNIPTRAPTFADYAEEWHSPMWDYSTASNTPEHPRTIRPTEIECVQQG >ONI11521 pep chromosome:Prunus_persica_NCBIv2:G4:5883465:5884081:1 gene:PRUPE_4G110800 transcript:ONI11521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKANKAVVLLQGRYAGRKAVIVKSFEEGTRDRPYGHCLVAGIAKYPSKVIRKDSAKKTAKKSRVKAFVKLVNYQHVMPTRYNLDVDLKEVLTVDALQTRDKKVAAKKEIKARLEDRFKSGKNRWFFTKLRF >ONI10473 pep chromosome:Prunus_persica_NCBIv2:G4:2366720:2374724:-1 gene:PRUPE_4G049500 transcript:ONI10473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFHPPSIPSTSTITSAPQEQHSQLSICEPMSCSPSTTCSPSTTQYYCYPAQYLAPNPGLQFQYAYPYQQAGQPMYPFLVSGQPNVVVSTEQDSLARSGWTLDALVSKAARKERRMARQRSLSLSRNNANAAASSESSSPSSRRHAPFPWNEDDDQGSMSTSSSGAGTDMQNINRHLYTFCTPDNKKLRVMFKKELKNSDVGSLGRIVLPKKEAEENLPMLSDKEGIQLMIRDVYSDQEWGLKYKYWSNNKSRMYLLENTGDFVKQHKLEVGDCICLLEDEDKNLYISTETRAGSIHAKPPSRINNNNNNNNNTDRKANNSNSNTTAEGNTPDSNPNYADANTNTNAKSYSNNHNAPADIPIPLAYTYVARDEEDASSSSLALLVQQLQKEQEANTIIALSMTCASSPHIQCEEANDAIGHIETSTQPSTLAGVEPAPSPSPSQRRAMTRMANDDQFETDDCYKGLGTLPEVYRYKYCDDFCLFDYNGSITTDDDKS >ONI10474 pep chromosome:Prunus_persica_NCBIv2:G4:2366906:2369586:-1 gene:PRUPE_4G049500 transcript:ONI10474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFHPPSIPSTSTITSAPQEQHSQLSICEPMSCSPSTTCSPSTTQYYCYPAQYLAPNPGLQFQYAYPYQQAGQPMYPFLVSGQPNVVVSTEQDSLARSGWTLDALVSKAARKERRMARQRSLSLSRNNANAAASSESSSPSSRRHAPFPWNEDDDQGSMSTSSSGAGTDMQNINRHLYTFCTPDNKKLRVMFKKELKNSDVGSLGRIVLPKKEAEENLPMLSDKEGIQLMIRDVYSDQEWGLKYKYWSNNKSRMYLLENTGDFVKQHKLEVGDCICLLEDEDKNLYISTETRAGSIHAKPPSRINNNNNNNNNTDRKANNSNSNTTAEGNTPDSNPNYADANTNTNAKSYSNNHNAPADIPIPLAYTYVARDEEDASSSSLALLVQQLQKEQEANTIIALSMTCASSPHIQCEEANDAIGHIETSTQPSTLAGVEPAPSPSPSQRRAMTRMANDDQFETDDCYKGLGTLPEVYRYKYCDDFCLFDYNGSITTDDDKS >ONI10475 pep chromosome:Prunus_persica_NCBIv2:G4:2366906:2369586:-1 gene:PRUPE_4G049500 transcript:ONI10475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFHPPSIPSTSTITSAPQEQHSQLSICEPMSCSPSTTCSPSTTQYYCYPAQYLAPNPGLQFQYAYPYQQAGQPMYPFLVSGQPNVVVSTEQDSLARSGWTLDALVSKAARKERRMARQRSLSLSRNNANAAASSESSSPSSRRHAPFPWNEDDDQGSMSTSSSGAGTDMQNINRHLYTFCTPDNKKLRVMFKKELKNSDVGSLGRIVLPKKEAEENLPMLSDKEGIQLMIRDVYSDQEWGLKYKYWSNNKSRMYLLENTGDFVKQHKLEVGDCICLLEDEDKNLYISTETRAGSIHAKPPSRINNNNNNNNNTDRKANNSNSNTTAEGNTPDSNPNYADANTNTNAKSYSNNHNAPADIPIPLAYTYVARDEEDASSSSLALLVQQLQKEQEANTIIALSMTCASSPHIQCEEANDAIGHIETSTQPSTLAGVEPAPSPSPSQRRAMTRMANDDQFETDDCYKGLGTLPEVYRYKYCDDFCLFDYNGSITTDDDKS >ONI11100 pep chromosome:Prunus_persica_NCBIv2:G4:4300043:4301992:-1 gene:PRUPE_4G087600 transcript:ONI11100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIRAFSSPAVLPLPASSTTPKERPEDHSFDGIATNVKLLLKLIHDHNEACTKENDDRKTQRVAGMITIIDDVKTRIQKSQSIGRRAELRRCNTDLRPNVPRDKRPTEPIIDEKERLRKQLSASLAARKSLEIMCSSLGKEKEIIASELARKVQEFSGMEEHINDLRAQNEKLLAKVQACAAEHKEKKCGGVEIQGNVALQERNKALSEQLLKSLDGCRSLKRKIKDAQKENNGMHSAMEEMGVEVQEGLDRIRALREKITTSDNEQATDVEEEISALERLFECFSTRVSKHDPKKGEFAKHNTEIEAT >ONI10619 pep chromosome:Prunus_persica_NCBIv2:G4:2744747:2746943:-1 gene:PRUPE_4G057500 transcript:ONI10619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILGSCSTAAFASRPLSSRTSRASIPSLSLSPGTSYGKKFYGGIGIHGKKRPQFHVAVTNVATEISPAEQAQRAAAKENQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVYGDPYNSDPEDPESMGAEVRESIVKGEELPVTMTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRGSYQAEQEKLQQQIGSARSYLPSVQIDQDLKVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDKVTPEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >ONI11001 pep chromosome:Prunus_persica_NCBIv2:G4:3978735:3981035:-1 gene:PRUPE_4G081700 transcript:ONI11001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRLSSQQAPVHKLGDSQITLSPKFRLAVIQSSLLNPSPDLELSHGGEPLIPGLPDDVALNCLLRLPVQSHTASKAVCKRWHLLLGSKERFFTRRKELGFKDPWLFVFAYHKCTGKIQWQVLDLTNFSWHTIPAMPCREKVCPHGFRCVSTPRDGTLFVCGGTVSDVDCPLDLVLKYEMQKNRWTVVNQMISARSFFASGVIDGMIYVAGGNSTDLFELDSAEVLDPVEGTWHPIANMGTNMASYDAAVLNGKLLVTEGWLWPFYVSPRGQVYDPRTNNWESMAVGLREGWTGSSVVIYGHLFVVAELERMKLKVYDADTDKWEAIEGPPLPEQICKPFAVNACDCRIYVVGRNLHVAEGHISRVSRKGTCEKKWCFGVRWNVVDSPDCFSDLTPSSSQVLFA >ONI11002 pep chromosome:Prunus_persica_NCBIv2:G4:3978733:3981035:-1 gene:PRUPE_4G081700 transcript:ONI11002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRLSSQQAPVHKLGDSQITLSPKFRLAVIQSSLLNPSPDLELSHGGEPLIPGLPDDVALNCLLRLPVQSHTASKAVCKRWHLLLGSKERFFTRRKELGFKDPWLFVFAYHKCTGKIQWQVLDLTNFSWHTIPAMPCREKVCPHGFRCVSTPRDGTLFVCGGTVSDVDCPLDLVLKYEMQKNRWTVVNQMISARSFFASGVIDGMIYVAGGNSTDLFELDSAEVLDPVEGTWHPIANMGTNMASYDAAVLNGKLLVTEGWLWPFYVSPRGQVYDPRTNNWESMAVGLREGWTGSSVVIYGHLFVVAELERMKLKVYDADTDKWEAIEGPPLPEQICKPFAVNACDCRIYVVGRNLHVAEGHISRVSRKGTCEKKWCFGVRWNVVDSPDCFSDLTPSSSQVLFA >ONI11003 pep chromosome:Prunus_persica_NCBIv2:G4:3978885:3980102:-1 gene:PRUPE_4G081700 transcript:ONI11003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRLSSQQAPVHKLGDSQITLSPKFRLAVIQSSLLNPSPDLELSHGGEPLIPGLPDDVALNCLLRLPVQSHTASKAVCKRWHLLLGSKERFFTRRKELGFKDPWLFVFAYHKCTGKIQWQVLDLTNFSWHTIPAMPCREKVCPHGFRCVSTPRDGTLFVCGGTVSDVDCPLDLVLKYEMQKNRWTVVNQMISARSFFASGVIDGMIYVAGGNSTDLFELDSAEVLDPVEGTWHPIANMGTNMASYDAAVLNGKLLVTEGWLWPFYVSPRGQVYDPRTNNWESMAVGLREGWTGSSVVIYGHLFVVAELERMKLKVYDADTDKWEAIEGPPLPEQICKPFAVNACDCRIYVVGRNLHVAEGHISRVSRKGTCEKKWCFGVRWNVVDSPDCFSDLTPSSSQVLFA >ONI11076 pep chromosome:Prunus_persica_NCBIv2:G4:4217233:4224131:-1 gene:PRUPE_4G085900 transcript:ONI11076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSAGFSPQSQEGEKRVLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDGYLPAGLGNPNKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPSPSEFVIPLTKYIKAVYHTCISVGMRFRMLFETEESSVRRYMGTITGISDLDPRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGIRDDDLGMNSQLMWLRGDNGDRGIQSLNFPGIGVTPYMQPRLDASMIGLQTDMYQAMAAAALQEMRAVDPSRPLPTSLLQFQQPQSLPSRSTALMHPHMVHESQSQQAFLQSVQENHRQSQPQTQTQSHLLQQQLQHQNSFSNQQQLVDHQQIPSAVPAMTHFSSASQSQSPSLQVATSLCQQQSFSDSNGNPATSTILSPLHSLMGSFPQDEPSHLLNLPRTNQLISSGAWPSKRAAIEPLLSSGVSQCVLPHVEQLGPPQTTISQNSISLPPFPGRECSIDQEGSTDPQSHLLFGVNIESSSLLMQNGMSNLRGVGSDSDSTTMHFPPNYLSTTGTDFSLNPAVTPSSCIDESGFLQSPENVGHGNPLNNNFVKVYKSGSFGRSLDITKFSSYHELRGELARMFGLEGELEDPVRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPHEVQQMGKRGLDLLKSVPTQRLSNNSCDDYGSRQDSRNLSGITSVGSLEY >ONI11077 pep chromosome:Prunus_persica_NCBIv2:G4:4217563:4222992:-1 gene:PRUPE_4G085900 transcript:ONI11077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSAGFSPQSQEGEKRVLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDGYLPAGLGNPNKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLTKYIKAVYHTCISVGMRFRMLFETEESSVRRYMGTITGISDLDPRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGIRDDDLGMNSQLMWLRGDNGDRGIQSLNFPGIGVTPYMQPRLDASMIGLQTDMYQAMAAAALQEMRAVDPSRPLPTSLLQFQQPQSLPSRSTALMHPHMVHESQSQQAFLQSVQENHRQSQPQTQTQSHLLQQQLQHQNSFSNQQQLVDHQQIPSAVPAMTHFSSASQSQSPSLQVATSLCQQQSFSDSNGNPATSTILSPLHSLMGSFPQDEPSHLLNLPRTNQLISSGAWPSKRAAIEPLLSSGVSQCVLPHVEQLGPPQTTISQNSISLPPFPGRECSIDQEGSTDPQSHLLFGVNIESSSLLMQNGMSNLRGVGSDSDSTTMHFPPNYLSTTGTDFSLNPAVTPSSCIDESGFLQSPENVGHGNPLNNNFVKVYKSGSFGRSLDITKFSSYHELRGELARMFGLEGELEDPVRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPHEVQQMGKRGLDLLKSVPTQRLSNNSCDDYGSRQDSRNLSGITSVGSLEY >ONI11124 pep chromosome:Prunus_persica_NCBIv2:G4:4379194:4382850:-1 gene:PRUPE_4G088700 transcript:ONI11124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEERVRVCKERKKLMKQLVRFRGAFADAQLDYLRALRNTGATLRQFTESETLELDTTPYGLALPASPPPPLPPSPPPPPPYSPDLRKLDNREEVGLEESIEITEDDISTPPPPPGPSSSWESWYLFDSSSPQHLKHNETVEPLEEENWAETKTDFEEDEHEEETASNVVNSPPKKLQLADSVGDNSSTMKLYTKDTADMAMVLRRSKKTLEGIAKVIDEYFLKPSDGLKEIAVLMDIKRGNTSLPHSTSESKRKRGNSTKVFSALSWSWSSRSLQFPRDAVESSGPSEPCRPGAHCITLQKLYDEEKKLYKEVKEEEFTKLEHDRKSKLLQKQEDENHDWTKTEKTRLSVESLESDILRLQHSISTTCSSLVKLIDDELYPQLVTLTSGLLHLWRTMHECHQVQHFVSQQLNILTDIKMDLSTNYHRQAAIQLETEVSCWYNWFCEVVKSQQEYVRTLSRWIQLTDSLVDDHRKSLYSSSVRRMCEQWNLAFEQLQHKEAAEAIKSLQLAICSIRVQQVEEHNVQKKYEKLEKRLQKELYSLAEMEKKMEGSVTEGDEYSSLGPKHPLSLKRDKTEDLKKEVDSEKAKFLNSVRVSKSMTLENLKRSLPNVFQALMTFSSLYVEAIEAVCGLIKPADDSDGTLSTS >ONI11130 pep chromosome:Prunus_persica_NCBIv2:G4:4378752:4384449:-1 gene:PRUPE_4G088700 transcript:ONI11130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEERVRVCKERKKLMKQLVRFRGAFADAQLDYLRALRNTGATLRQFTESETLELDTTPYGLALPASPPPPLPPSPPPPPPYSPDLRKLDNREEVGLEESIEITEDDISTPPPPPGPSSSWESWYLFDSSSPQHLKHNETVEPLEEENWAETKTDFEEDEHEEETASNVVNSPPKKLQLADSVGDNSSTMKLYTKDTADMAMVLRRSKKTLEGIAKVIDEYFLKPSDGLKEIAVLMDIKRGNTSLPHSTSESKRKRGNSTKVFSALSWSWSSRSLQFPRDAVESSGPSEPCRPGAHCITLQKLYDEEKKLYKEVKEEEFTKLEHDRKSKLLQKQEDENHDWTKTEKTRLSVESLESDILRLQHSISTTCSSLVKLIDDELYPQLVTLTSGLLHLWRTMHECHQVQHFVSQQLNILTDIKMDLSTNYHRQAAIQLETEVSCWYNWFCEVVKSQQEYVRTLSRWIQLTDSLVDDHRKSLYSSSVRRMCEQWNLAFEQLQHKEAAEAIKSLQLAICSIRVQQVEEHNVQKKYEKLEKRLQKELYSLAEMEKKMEGSVTEGDEYSSLGPKHPLSLKRDKTEDLKKEVDSEKAKFLNSVRVSKSMTLENLKRSLPNVFQALMTFSSLYVEAIEAVCGLIKPADDSDGTLSTS >ONI11131 pep chromosome:Prunus_persica_NCBIv2:G4:4379194:4382850:-1 gene:PRUPE_4G088700 transcript:ONI11131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEERVRVCKERKKLMKQLVRFRGAFADAQLDYLRALRNTGATLRQFTESETLELDTTPYGLALPASPPPPLPPSPPPPPPYSPDLRKLDNREEVGLEESIEITEDDISTPPPPPGPSSSWESWYLFDSSSPQHLKHNETVEPLEEENWAETKTDFEEDEHEEETASNVVNSPPKKLQLADSVGDNSSTMKLYTKDTADMAMVLRRSKKTLEGIAKVIDEYFLKPSDGLKEIAVLMDIKRGNTSLPHSTSESKRKRGNSTKVFSALSWSWSSRSLQFPRDAVESSGPSEPCRPGAHCITLQKLYDEEKKLYKEVKEEEFTKLEHDRKSKLLQKQEDENHDWTKTEKTRLSVESLESDILRLQHSISTTCSSLVKLIDDELYPQLVTLTSGLLHLWRTMHECHQVQHFVSQQLNILTDIKMDLSTNYHRQAAIQLETEVSCWYNWFCEVVKSQQEYVRTLSRWIQLTDSLVDDHRKSLYSSSVRRMCEQWNLAFEQLQHKVFLEAAEAIKSLQLAICSIRVQQVEEHNVQKKYEKLEKRLQKELYSLAEMEKKMEGSVTEGDEYSSLGPKHPLSLKRDKTEDLKKEVDSEKAKFLNSVRVSKSMTLENLKRSLPNVFQALMTFSSLYVEAIEAVCGLIKPADDSDGTLSTS >ONI11127 pep chromosome:Prunus_persica_NCBIv2:G4:4379194:4382850:-1 gene:PRUPE_4G088700 transcript:ONI11127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEERVRVCKERKKLMKQLVRFRGAFADAQLDYLRALRNTGATLRQFTESETLELDTTPYGLALPASPPPPLPPSPPPPPPYSPDLRKLDNREEVGLEESIEITEDDISTPPPPPGPSSSWESWYLFDSSSPQHLKHNETVEPLEEENWAETKTDFEEDEHEEETASNVVNSPPKKLQLADSVGDNSSTMKLYTKDTADMAMVLRRSKKTLEGIAKVIDEYFLKPSDGLKEIAVLMDIKRGNTSLPHSTSESKRKRGNSTKVFSALSWSWSSRSLQFPRDAVESSGPSEPCRPGAHCITLQKLYDEEKKLYKEVKEEEFTKLEHDRKSKLLQKQEDENHDWTKTEKTRLSVESLESDILRLQHSISTTCSSLVKLIDDELYPQLVTLTSGLLHLWRTMHECHQVQHFVSQQLNILTDIKMDLSTNYHRQAAIQLETEVSCWYNWFCEVVKSQQEYVRTLSRWIQLTDSLVDDHRKSLYSSSVRRMCEQWNLAFEQLQHKEAAEAIKSLQLAICSIRVQQVEEHNVQKKYEKLEKRLQKELYSLAEMEKKMEGSVTEGDEYSSLGPKHPLSLKRDKTEDLKKEVDSEKAKFLNSVRVSKSMTLENLKRSLPNVFQALMTFSSLYVEAIEAVCGLIKPADDSDGTLSTS >ONI11122 pep chromosome:Prunus_persica_NCBIv2:G4:4378752:4383665:-1 gene:PRUPE_4G088700 transcript:ONI11122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEERVRVCKERKKLMKQLVRFRGAFADAQLDYLRALRNTGATLRQFTESETLELDTTPYGLALPASPPPPLPPSPPPPPPYSPDLRKLDNREEVGLEESIEITEDDISTPPPPPGPSSSWESWYLFDSSSPQHLKHNETVEPLEEENWAETKTDFEEDEHEEETASNVVNSPPKKLQLADSVGDNSSTMKLYTKDTADMAMVLRRSKKTLEGIAKVIDEYFLKPSDGLKEIAVLMDIKRGNTSLPHSTSESKRKRGNSTKVFSALSWSWSSRSLQFPRDAVESSGPSEPCRPGAHCITLQKLYDEEKKLYKEVKEEEFTKLEHDRKSKLLQKQEDENHDWTKTEKTRLSVESLESDILRLQHSISTTCSSLVKLIDDELYPQLVTLTSGLLHLWRTMHECHQVQHFVSQQLNILTDIKMDLSTNYHRQAAIQLETEVSCWYNWFCEVVKSQQEYVRTLSRWIQLTDSLVDDHRKSLYSSSVRRMCEQWNLAFEQLQHKEAAEAIKSLQLAICSIRVQQVEEHNVQKKYEKLEKRLQKELYSLAEMEKKMEGSVTEGDEYSSLGPKHPLSLKRDKTEDLKKEVDSEKAKFLNSVRVSKSMTLENLKRSLPNVFQALMTFSSLYVEAIEAVCGLIKPADDSDGTLSTS >ONI11128 pep chromosome:Prunus_persica_NCBIv2:G4:4378752:4384449:-1 gene:PRUPE_4G088700 transcript:ONI11128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEERVRVCKERKKLMKQLVRFRGAFADAQLDYLRALRNTGATLRQFTESETLELDTTPYGLALPASPPPPLPPSPPPPPPYSPDLRKLDNREEVGLEESIEITEDDISTPPPPPGPSSSWESWYLFDSSSPQHLKHNETVEPLEEENWAETKTDFEEDEHEEETASNVVNSPPKKLQLADSVGDNSSTMKLYTKDTADMAMVLRRSKKTLEGIAKVIDEYFLKPSDGLKEIAVLMDIKRGNTSLPHSTSESKRKRGNSTKVFSALSWSWSSRSLQFPRDAVESSGPSEPCRPGAHCITLQKLYDEEKKLYKEVKEEEFTKLEHDRKSKLLQKQEDENHDWTKTEKTRLSVESLESDILRLQHSISTTCSSLVKLIDDELYPQLVTLTSGLLHLWRTMHECHQVQHFVSQQLNILTDIKMDLSTNYHRQAAIQLETEVSCWYNWFCEVVKSQQEYVRTLSRWIQLTDSLVDDHRKSLYSSSVRRMCEQWNLAFEQLQHKEAAEAIKSLQLAICSIRVQQVEEHNVQKKYEKLEKRLQKELYSLAEMEKKMEGSVTEGDEYSSLGPKHPLSLKRDKTEDLKKEVDSEKAKFLNSVRVSKSMTLENLKRSLPNVFQALMTFSSLYVEAIEAVCGLIKPADDSDGTLSTS >ONI11123 pep chromosome:Prunus_persica_NCBIv2:G4:4378752:4384449:-1 gene:PRUPE_4G088700 transcript:ONI11123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEERVRVCKERKKLMKQLVRFRGAFADAQLDYLRALRNTGATLRQFTESETLELDTTPYGLALPASPPPPLPPSPPPPPPYSPDLRKLDNREEVGLEESIEITEDDISTPPPPPGPSSSWESWYLFDSSSPQHLKHNETVEPLEEENWAETKTDFEEDEHEEETASNVVNSPPKKLQLADSVGDNSSTMKLYTKDTADMAMVLRRSKKTLEGIAKVIDEYFLKPSDGLKEIAVLMDIKRGNTSLPHSTSESKRKRGNSTKVFSALSWSWSSRSLQFPRDAVESSGPSEPCRPGAHCITLQKLYDEEKKLYKEVKEEEFTKLEHDRKSKLLQKQEDENHDWTKTEKTRLSVESLESDILRLQHSISTTCSSLVKLIDDELYPQLVTLTSGLLHLWRTMHECHQVQHFVSQQLNILTDIKMDLSTNYHRQAAIQLETEVSCWYNWFCEVVKSQQEYVRTLSRWIQLTDSLVDDHRKSLYSSSVRRMCEQWNLAFEQLQHKEAAEAIKSLQLAICSIRVQQVEEHNVQKKYEKLEKRLQKELYSLAEMEKKMEGSVTEGDEYSSLGPKHPLSLKRDKTEDLKKEVDSEKAKFLNSVRVSKSMTLENLKRSLPNVFQALMTFSSLYVEAIEAVCGLIKPADDSDGTLSTS >ONI11125 pep chromosome:Prunus_persica_NCBIv2:G4:4378752:4383677:-1 gene:PRUPE_4G088700 transcript:ONI11125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEERVRVCKERKKLMKQLVRFRGAFADAQLDYLRALRNTGATLRQFTESETLELDTTPYGLALPASPPPPLPPSPPPPPPYSPDLRKLDNREEVGLEESIEITEDDISTPPPPPGPSSSWESWYLFDSSSPQHLKHNETVEPLEEENWAETKTDFEEDEHEEETASNVVNSPPKKLQLADSVGDNSSTMKLYTKDTADMAMVLRRSKKTLEGIAKVIDEYFLKPSDGLKEIAVLMDIKRGNTSLPHSTSESKRKRGNSTKVFSALSWSWSSRSLQFPRDAVESSGPSEPCRPGAHCITLQKLYDEEKKLYKEVKEEEFTKLEHDRKSKLLQKQEDENHDWTKTEKTRLSVESLESDILRLQHSISTTCSSLVKLIDDELYPQLVTLTSGLLHLWRTMHECHQVQHFVSQQLNILTDIKMDLSTNYHRQAAIQLETEVSCWYNWFCEVVKSQQEYVRTLSRWIQLTDSLVDDHRKSLYSSSVRRMCEQWNLAFEQLQHKEAAEAIKSLQLAICSIRVQQVEEHNVQKKYEKLEKRLQKELYSLAEMEKKMEGSVTEGDEYSSLGPKHPLSLKRDKTEDLKKEVDSEKAKFLNSVRVSKSMTLENLKRSLPNVFQALMTFSSLYVEAIEAVCGLIKPADDSDGTLSTS >ONI11126 pep chromosome:Prunus_persica_NCBIv2:G4:4379194:4382850:-1 gene:PRUPE_4G088700 transcript:ONI11126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEERVRVCKERKKLMKQLVRFRGAFADAQLDYLRALRNTGATLRQFTESETLELDTTPYGLALPASPPPPLPPSPPPPPPYSPDLRKLDNREEVGLEESIEITEDDISTPPPPPGPSSSWESWYLFDSSSPQHLKHNETVEPLEEENWAETKTDFEEDEHEEETASNVVNSPPKKLQLADSVGDNSSTMKLYTKDTADMAMVLRRSKKTLEGIAKVIDEYFLKPSDGLKEIAVLMDIKRGNTSLPHSTSESKRKRGNSTKVFSALSWSWSSRSLQFPRDAVESSGPSEPCRPGAHCITLQKLYDEEKKLYKEVKEEEFTKLEHDRKSKLLQKQEDENHDWTKTEKTRLSVESLESDILRLQHSISTTCSSLVKLIDDELYPQLVTLTSGLLHLWRTMHECHQVQHFVSQQLNILTDIKMDLSTNYHRQAAIQLETEVSCWYNWFCEVVKSQQEYVRTLSRWIQLTDSLVDDHRKSLYSSSVRRMCEQWNLAFEQLQHKEAAEAIKSLQLAICSIRVQQVEEHNVQKKYEKLEKRLQKELYSLAEMEKKMEGSVTEGDEYSSLGPKHPLSLKRDKTEDLKKEVDSEKAKFLNSVRVSKSMTLENLKRSLPNVFQALMTFSSLYVEAIEAVCGLIKPADDSDGTLSTS >ONI11129 pep chromosome:Prunus_persica_NCBIv2:G4:4379194:4382850:-1 gene:PRUPE_4G088700 transcript:ONI11129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIDKEERVRVCKERKKLMKQLVRFRGAFADAQLDYLRALRNTGATLRQFTESETLELDTTPYGLALPASPPPPLPPSPPPPPPYSPDLRKLDNREEVGLEESIEITEDDISTPPPPPGPSSSWESWYLFDSSSPQHLKHNETVEPLEEENWAETKTDFEEDEHEEETASNVVNSPPKKLQLADSVGDNSSTMKLYTKDTADMAMVLRRSKKTLEGIAKVIDEYFLKPSDGLKEIAVLMDIKRGNTSLPHSTSESKRKRGNSTKVFSALSWSWSSRSLQFPRDAVESSGPSEPCRPGAHCITLQKLYDEEKKLYKEVKEEEFTKLEHDRKSKLLQKQEDENHDWTKTEKTRLSVESLESDILRLQHSISTTCSSLVKLIDDELYPQLVTLTSGLLHLWRTMHECHQVQHFVSQQLNILTDIKMDLSTNYHRQAAIQLETEVSCWYNWFCEVVKSQQEYVRTLSRWIQLTDSLVDDHRKSLYSSSVRRMCEQWNLAFEQLQHKEAAEAIKSLQLAICSIRVQQVEEHNVQKKYEKLEKRLQKELYSLAEMEKKMEGSVTEGDEYSSLGPKHPLSLKRDKTEDLKKEVDSEKAKFLNSVRVSKSMTLENLKRSLPNVFQALMTFSSLYVEAIEAVCGLIKPADDSDGTLSTS >ONI11843 pep chromosome:Prunus_persica_NCBIv2:G4:7128363:7129354:1 gene:PRUPE_4G129100 transcript:ONI11843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRANYVMSLFILVLLFSSETTFARVSYFSETKDNKGSELLRMNNINMAKKIRGNSGRATVPSGIIS >ONI12656 pep chromosome:Prunus_persica_NCBIv2:G4:10516950:10521713:-1 gene:PRUPE_4G177400 transcript:ONI12656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLGFIPMTFLKCLFLACYLASSLGLNSPIQSCDPNDLLALREFAGNLTNGSIITAWYKTSICCQWDGVVCENVNNGTVASRVTQLILPSRSLKGSISRSLGRLDQLKLLNLSLNHLEGGLPAELSILKHLEVLDLSNNMLSGPVSGALSGLKSIKVLNISSNSIQGNLSELGGFPHLVVFNISNNSFTGQFNPQICSSSIEAQILDISCNRLTGSLEGLDNCSRSLQQLHLDHNSFAGHLPESLYSFSALEQLSVSGNSLSGPISKELSKLSSLKSLVIFGNQFFGELPNVFGDLRRLELLVAHSNMLSGSLPPTLALCSNLRVLDLRNNSLSGSIDLNFTGLPNLCTLDLATNRFSGFLPNSLSYCRELKTLSLARNEFRGSIPEDFSKLTSLFFLSLSNNSFVNLSGALSVLQQCKNLTTLILTKNFLGEEIPKNASGFESLMVLALGNCALKGQIPVWLLSCRKLQVLDLSWNQLDGSIPPWIGQMENLFYLDFSNNSLTGEIPKSLTELKSFVSTNCSHSNLIASAGIPLFVKRNKSASGLQYNQASNFPPSIYLSNNRINGTIWPEIGRLKQLHALDWSRNNITGTIPSSISEMENLETLDLSFNDLHGSIPPSLSKLTFLSKFSVANNHLHGVIPNEGQFLSFPSSSFEGNAGLCGGIYIPCGDVSNTSLKPVMPSGSNNRFRRNSILCVTISIVVGIALLLAVGLLKMSRRGVKDQNDDFDDDLSRPHRLSGALASSKLVLFQNSDCKELTVTDLLKSTNNFNQANIIGCGGYGLVYKANLPNGTKAAIKRLSGECGQMEREFQAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHESVDGVSLLKWDVRLKIAQGAARGLAYLHKGCQPNIVHRDIKTSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELLTGRRPVEVCRGKNCRDLVSWMFQMKSEKREEEIIDSSIWNKDHEKQLLEVLGVTCKCLDPNPRQRPSIEEVVSWLDGIGFESGTQ >ONI12652 pep chromosome:Prunus_persica_NCBIv2:G4:10516890:10521730:-1 gene:PRUPE_4G177400 transcript:ONI12652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKSACPITSCSAEFYGSVSLALSWGSALVSEMVTLGFIPMTFLKCLFLACYLASSLGLNSPIQSCDPNDLLALREFAGNLTNGSIITAWYKTSICCQWDGVVCENVNNGTVASRVTQLILPSRSLKGSISRSLGRLDQLKLLNLSLNHLEGGLPAELSILKHLEVLDLSNNMLSGPVSGALSGLKSIKVLNISSNSIQGNLSELGGFPHLVVFNISNNSFTGQFNPQICSSSIEAQILDISCNRLTGSLEGLDNCSRSLQQLHLDHNSFAGHLPESLYSFSALEQLSVSGNSLSGPISKELSKLSSLKSLVIFGNQFFGELPNVFGDLRRLELLVAHSNMLSGSLPPTLALCSNLRVLDLRNNSLSGSIDLNFTGLPNLCTLDLATNRFSGFLPNSLSYCRELKTLSLARNEFRGSIPEDFSKLTSLFFLSLSNNSFVNLSGALSVLQQCKNLTTLILTKNFLGEEIPKNASGFESLMVLALGNCALKGQIPVWLLSCRKLQVLDLSWNQLDGSIPPWIGQMENLFYLDFSNNSLTGEIPKSLTELKSFVSTNCSHSNLIASAGIPLFVKRNKSASGLQYNQASNFPPSIYLSNNRINGTIWPEIGRLKQLHALDWSRNNITGTIPSSISEMENLETLDLSFNDLHGSIPPSLSKLTFLSKFSVANNHLHGVIPNEGQFLSFPSSSFEGNAGLCGGIYIPCGDVSNTSLKPVMPSGSNNRFRRNSILCVTISIVVGIALLLAVGLLKMSRRGVKDQNDDFDDDLSRPHRLSGALASSKLVLFQNSDCKELTVTDLLKSTNNFNQANIIGCGGYGLVYKANLPNGTKAAIKRLSGECGQMEREFQAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHESVDGVSLLKWDVRLKIAQGAARGLAYLHKGCQPNIVHRDIKTSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELLTGRRPVEVCRGKNCRDLVSWMFQMKSEKREEEIIDSSIWNKDHEKQLLEVLGVTCKCLDPNPRQRPSIEEVVSWLDGIGFESGTQ >ONI12653 pep chromosome:Prunus_persica_NCBIv2:G4:10516947:10521713:-1 gene:PRUPE_4G177400 transcript:ONI12653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLGFIPMTFLKCLFLACYLASSLGLNSPIQSCDPNDLLALREFAGNLTNGSIITAWYKTSICCQWDGVVCENVNNGTVASRVTQLILPSRSLKGSISRSLGRLDQLKLLNLSLNHLEGGLPAELSILKHLEVLDLSNNMLSGPVSGALSGLKSIKVLNISSNSIQGNLSELGGFPHLVVFNISNNSFTGQFNPQICSSSIEAQILDISCNRLTGSLEGLDNCSRSLQQLHLDHNSFAGHLPESLYSFSALEQLSVSGNSLSGPISKELSKLSSLKSLVIFGNQFFGELPNVFGDLRRLELLVAHSNMLSGSLPPTLALCSNLRVLDLRNNSLSGSIDLNFTGLPNLCTLDLATNRFSGFLPNSLSYCRELKTLSLARNEFRGSIPEDFSKLTSLFFLSLSNNSFVNLSGALSVLQQCKNLTTLILTKNFLGEEIPKNASGFESLMVLALGNCALKGQIPVWLLSCRKLQVLDLSWNQLDGSIPPWIGQMENLFYLDFSNNSLTGEIPKSLTELKSFVSTNCSHSNLIASAGIPLFVKRNKSASGLQYNQASNFPPSIYLSNNRINGTIWPEIGRLKQLHALDWSRNNITGTIPSSISEMENLETLDLSFNDLHGSIPPSLSKLTFLSKFSVANNHLHGVIPNEGQFLSFPSSSFEGNAGLCGGIYIPCGDVSNTSLKPVMPSGSNNRFRRNSILCVTISIVVGIALLLAVGLLKMSRRGVKDQNDDFDDDLSRPHRLSGALASSKLVLFQNSDCKELTVTDLLKSTNNFNQANIIGCGGYGLVYKANLPNGTKAAIKRLSGECGQMEREFQAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHESVDGVSLLKWDVRLKIAQGAARGLAYLHKGCQPNIVHRDIKTSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELLTGRRPVEVCRGKNCRDLVSWMFQMKSEKREEEIIDSSIWNKDHEKQLLEVLGVTCKCLDPNPRQRPSIEEVVSWLDGIGFESGTQ >ONI12655 pep chromosome:Prunus_persica_NCBIv2:G4:10516922:10521713:-1 gene:PRUPE_4G177400 transcript:ONI12655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLGFIPMTFLKCLFLACYLASSLGLNSPIQSCDPNDLLALREFAGNLTNGSIITAWYKTSICCQWDGVVCENVNNGTVASRVTQLILPSRSLKGSISRSLGRLDQLKLLNLSLNHLEGGLPAELSILKHLEVLDLSNNMLSGPVSGALSGLKSIKVLNISSNSIQGNLSELGGFPHLVVFNISNNSFTGQFNPQICSSSIEAQILDISCNRLTGSLEGLDNCSRSLQQLHLDHNSFAGHLPESLYSFSALEQLSVSGNSLSGPISKELSKLSSLKSLVIFGNQFFGELPNVFGDLRRLELLVAHSNMLSGSLPPTLALCSNLRVLDLRNNSLSGSIDLNFTGLPNLCTLDLATNRFSGFLPNSLSYCRELKTLSLARNEFRGSIPEDFSKLTSLFFLSLSNNSFVNLSGALSVLQQCKNLTTLILTKNFLGEEIPKNASGFESLMVLALGNCALKGQIPVWLLSCRKLQVLDLSWNQLDGSIPPWIGQMENLFYLDFSNNSLTGEIPKSLTELKSFVSTNCSHSNLIASAGIPLFVKRNKSASGLQYNQASNFPPSIYLSNNRINGTIWPEIGRLKQLHALDWSRNNITGTIPSSISEMENLETLDLSFNDLHGSIPPSLSKLTFLSKFSVANNHLHGVIPNEGQFLSFPSSSFEGNAGLCGGIYIPCGDVSNTSLKPVMPSGSNNRFRRNSILCVTISIVVGIALLLAVGLLKMSRRGVKDQNDDFDDDLSRPHRLSGALASSKLVLFQNSDCKELTVTDLLKSTNNFNQANIIGCGGYGLVYKANLPNGTKAAIKRLSGECGQMEREFQAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHESVDGVSLLKWDVRLKIAQGAARGLAYLHKGCQPNIVHRDIKTSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELLTGRRPVEVCRGKNCRDLVSWMFQMKSEKREEEIIDSSIWNKDHEKQLLEVLGVTCKCLDPNPRQRPSIEEVVSWLDGIGFESGTQ >ONI12654 pep chromosome:Prunus_persica_NCBIv2:G4:10516917:10521713:-1 gene:PRUPE_4G177400 transcript:ONI12654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLGFIPMTFLKCLFLACYLASSLGLNSPIQSCDPNDLLALREFAGNLTNGSIITAWYKTSICCQWDGVVCENVNNGTVASRVTQLILPSRSLKGSISRSLGRLDQLKLLNLSLNHLEGGLPAELSILKHLEVLDLSNNMLSGPVSGALSGLKSIKVLNISSNSIQGNLSELGGFPHLVVFNISNNSFTGQFNPQICSSSIEAQILDISCNRLTGSLEGLDNCSRSLQQLHLDHNSFAGHLPESLYSFSALEQLSVSGNSLSGPISKELSKLSSLKSLVIFGNQFFGELPNVFGDLRRLELLVAHSNMLSGSLPPTLALCSNLRVLDLRNNSLSGSIDLNFTGLPNLCTLDLATNRFSGFLPNSLSYCRELKTLSLARNEFRGSIPEDFSKLTSLFFLSLSNNSFVNLSGALSVLQQCKNLTTLILTKNFLGEEIPKNASGFESLMVLALGNCALKGQIPVWLLSCRKLQVLDLSWNQLDGSIPPWIGQMENLFYLDFSNNSLTGEIPKSLTELKSFVSTNCSHSNLIASAGIPLFVKRNKSASGLQYNQASNFPPSIYLSNNRINGTIWPEIGRLKQLHALDWSRNNITGTIPSSISEMENLETLDLSFNDLHGSIPPSLSKLTFLSKFSVANNHLHGVIPNEGQFLSFPSSSFEGNAGLCGGIYIPCGDVSNTSLKPVMPSGSNNRFRRNSILCVTISIVVGIALLLAVGLLKMSRRGVKDQNDDFDDDLSRPHRLSGALASSKLVLFQNSDCKELTVTDLLKSTNNFNQANIIGCGGYGLVYKANLPNGTKAAIKRLSGECGQMEREFQAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHESVDGVSLLKWDVRLKIAQGAARGLAYLHKGCQPNIVHRDIKTSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELLTGRRPVEVCRGKNCRDLVSWMFQMKSEKREEEIIDSSIWNKDHEKQLLEVLGVTCKCLDPNPRQRPSIEEVVSWLDGIGFESGTQ >ONI11079 pep chromosome:Prunus_persica_NCBIv2:G4:4234040:4239820:-1 gene:PRUPE_4G086000 transcript:ONI11079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGTWLYQDRGLKGAVLAQSHATGNPCFNHFKASTVSSFPVGLFQVRVGSNISPKRAPLLPSIKCEKKDDSSKDFSIERPPYDSYMDSTSGQLEPASGARASIPGQDYWPEGTASRVRAARAPEPTGKSVGSPSYGRNPGSRRRKNKTSVAAGESSEPSVESSGTLAVELEPETSEDTLEDPKDFPSEYVVYQTEPEKEEETGFELDKKLGRPHPFIDPETKKPLEEPLTSDELWWNWKKPDKEQWSRWQRRRPDLETVFLKAMAETGQVKLYGEHPTLTETSLYRARRHLFKEERLQAEQEKLDRIGPLAYYSEWVKAWKRDTSREAVQKHFEETGENETTQLIEMFSQQTDREYRIMMGTDIRIRRDPIAMRMREDQIKQIWGGDPVYPTVNYIQDPDEVIDYRGADFHEPTPNMLSFLKEHGKIISREEIEKILSKEKTEELEAPDMDEAMAQAVDIGEDDEGEDSEAEVDEQGEKITRNWSVLKTTPGLTKSKGKPKKDALSLEEAVDDSENLTDFLMDFEEEV >ONI11080 pep chromosome:Prunus_persica_NCBIv2:G4:4234221:4239403:-1 gene:PRUPE_4G086000 transcript:ONI11080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGTWLYQAFGVLLADRGLKGAVLAQSHATGNPCFNHFKASTVSSFPVGLFQVRVGSNISPKRAPLLPSIKCEKKDDSSKDFSIERPPYDSYMDSTSGQLEPASGARASIPGQDYWPEGTASRVRAARAPEPTGKSVGSPSYGRNPGSRRRKNKTSVAAGESSEPSVESSGTLAVELEPETSEDTLEDPKDFPSEYVVYQTEPEKEEETGFELDKKLGRPHPFIDPETKKPLEEPLTSDELWWNWKKPDKEQWSRWQRRRPDLETVFLKAMAETGQVKLYGEHPTLTETSLYRARRHLFKEERLQAEQEKLDRIGPLAYYSEWVKAWKRDTSREAVQKHFEETGENETTQLIEMFSQQTDREYRIMMGTDIRIRRDPIAMRMREDQIKQIWGGDPVYPTVNYIQDPDEVIDYRGADFHEPTPNMLSFLKEHGKIISREEIEKILSKEKTEELEAPDMDEAMAQAVDIGEDDEGEDSEAEVDEQGEKITRNWSVLKTTPGLTKSKGKPKKDALSLEEAVDDSENLTDFLMDFEEEV >ONI11081 pep chromosome:Prunus_persica_NCBIv2:G4:4234368:4239820:-1 gene:PRUPE_4G086000 transcript:ONI11081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGTWLYQDRGLKGAVLAQSHATGNPCFNHFKASTVSSFPVGLFQVRVGSNISPKRAPLLPSIKCEKKDDSSKDFSIERPPYDSYMDSTSGQLEPASGARASIPGQDYWPEGTASRVRAARAPEPTGKSVGSPSYGRNPGSRRRKNKTSVAAGESSEPSVESSGTLAVELEPETSEDTLEDPKDFPSEYVVYQTEPEKEEETGFELDKKLGRPHPFIDPETKKPLEEPLTSDELWWNWKKPDKEQWSRWQRRRPDLETVFLKAMAETGQVKLYGEHPTLTETSLYRARRHLFKEERLQAEQEKLDRIGPLAYYSEWVKAWKRDTSREAVQKHFEETGENETTQLIEMFSQQTDREYRIMMGTDIRIRRDPIAMRMREDQIKQIWGGDPVYPTVNYIQDPDEVIDYRGADFHEPTPNMLSFLKEHGKIISREEIEKILSKEKTEELEVIN >ONI11078 pep chromosome:Prunus_persica_NCBIv2:G4:4234022:4239844:-1 gene:PRUPE_4G086000 transcript:ONI11078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGTWLYQDRGLKGAVLAQSHATGNPCFNHFKASTVSSFPVGLFQVRVGSNISPKRAPLLPSIKCEKKDDSSKDFSIERPPYDSYMDSTSGQLEPASGARASIPGQDYWPEGTASRVRAARAPEPTGKSVGSPSYGRNPGSRRRKNKTSVAAGESSEPSVESSGTLAVELEPETSEDTLEDPKDFPSEYVVYQTEPEKEEETGFELDKKLGRPHPFIDPETKKPLEEPLTSDELWWNWKKPDKEQWSRWQRRRPDLETVFLKAMAETGQVKLYGEHPTLTETSLYRARRHLFKEERLQAEQEKLDRIGPLAYYSEWVKAWKRDTSREAVQKHFEETGENETTQLIEMFSQQTDREYRIMMGTDIRIRRDPIAMRMREDQIKQIWGGDPVYPTVNYIQDPDEVIDYRGADFHEPTPNMLSFLKEHGKIISREEIEKILSKEKTEELEAPDMDEAMAQAVDIGEDDEGEDSEAEVDEQGEKITRNWSVLKTTPGLTKSKGKPKKDALSLEEAVDDSENLTDFLMDFEEEV >ONI10609 pep chromosome:Prunus_persica_NCBIv2:G4:2716112:2723439:1 gene:PRUPE_4G056700 transcript:ONI10609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAKKTGCPVSCFKGGRRDGGQAWRGSWLHYTIRRCYKYIQGVTMIKFLTDGVLLREMMNDPLLTKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIQAKSMSDFFQTSKTRRRPEGEELGPNMESAVLSVEGRGFNVQIHYVEEPVPDYLRAAVSTVLLIHEKEPMGDILVFLTGQDDIDAAVQLITEEDQNRGRKSSGLMVLPLYSGLPRSEQELVFTPTPRGKRKVVISTNIAETSITLEGVVYVVDSGFSKQRFYNPITDIENLVVAPISKASARQRTGRAGRVRPGKCYRLYTEEYFAKEMSAEGIPEIQRSNLVPCVIQLKALGIDNILGFDWPASPSSEAMVRALEVLYSLGVLDDDAKLTSPTGFQIAEIPLDPMISKMMLASGQLGCSEEMITIAATLSIQSIWVSGWGSQKELDEAKLNFAAAEGDHVSFLNVYKGFLQSGKSSQWCHKNFVNYQAMKKVLEIREQLRRTAQRIGIVLKSCERDTVVVRKAITNGFFANACRLEASSHDGKYKTIRGSQEVYIHPSSVLFRVNPKWVLYHSLVSTDKQYMRNVISIDPSWLTEAAPHFYQHQRLKSMVH >ONI10607 pep chromosome:Prunus_persica_NCBIv2:G4:2715498:2723841:1 gene:PRUPE_4G056700 transcript:ONI10607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFWKPGAEKPRLVDDEEGGVLFFSSPSGYANIERQRQRLPVYKYRTSILYLVETHATTIIVGETGSGKTTQIPQYLKEAGWADGGRVIACTQPRRLAVQSVASRVAEEMGVKLGEEVGYTIRFEDVTNTGVTMIKFLTDGVLLREMMNDPLLTKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIQAKSMSDFFQTSKTRRRPEGEELGPNMESAVLSVEGRGFNVQIHYVEEPVPDYLRAAVSTVLLIHEKEPMGDILVFLTGQDDIDAAVQLITEEDQNRGRKSSGLMVLPLYSGLPRSEQELVFTPTPRGKRKVVISTNIAETSITLEGVVYVVDSGFSKQRFYNPITDIENLVVAPISKASARQRTGRAGRVRPGKCYRLYTEEYFAKEMSAEGIPEIQRSNLVPCVIQLKALGIDNILGFDWPASPSSEAMVRALEVLYSLGVLDDDAKLTSPTGFQIAEIPLDPMISKMMLASGQLGCSEEMITIAATLSIQSIWVSGWGSQKELDEAKLNFAAAEGDHVSFLNVYKGFLQSGKSSQWCHKNFVNYQAMKKVLEIREQLRRTAQRIGIVLKSCERDTVVVRKAITNGFFANACRLEASSHDGKYKTIRGSQEVYIHPSSVLFRVNPKWVLYHSLVSTDKQYMRNVISIDPSWLTEAAPHFYQHQRLKSMVH >ONI10610 pep chromosome:Prunus_persica_NCBIv2:G4:2715498:2721919:1 gene:PRUPE_4G056700 transcript:ONI10610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAKKTGCPVSCFKGGRRDGGQAWRGSWLHYTIRRCYKYIQGVTMIKFLTDGVLLREMMNDPLLTKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIQAKSMSDFFQTSKTRRRPEGEELGPNMESAVLSVEGRGFNVQIHYVEEPVPDYLRAAVSTVLLIHEKEPMGDILVFLTGQDDIDAAVQLITEEDQNRGRKSSGLMVLPLYSGLPRSEQELVFTPTPRGKRKVVISTNIAETSITLEGVVYVVDSGFSKQRFYNPITDIENLVVAPISKASARQRTGRAGRVRPGKCYRLYTEEYFAKEMSAEGIPEIQRSNLVPCVIQLKALGIDNILGFDWPASPSSEAMVRALEVLYSLGVLDDDAKLTSPTGFQIAEIPLDPMISKMMLASGQLGCSEEMITIAATLSIQISQFLEAKKLLE >ONI10608 pep chromosome:Prunus_persica_NCBIv2:G4:2715498:2723841:1 gene:PRUPE_4G056700 transcript:ONI10608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFWKPGAEKPRLVDDEEGGVLFFSSPSGYANIERQRQRLPVYKYRTSILYLVETHATTIIVGETGSGKTTQIPQYLKEAGWADGGRVIACTQPRRLAVQSVASRVAEEMGVKLGEEVGYTIRFEDVTNTGVTMIKFLTDGVLLREMMNDPLLTKYSVIMIDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIQAKSMSDFFQTSKTRRRPEGEELGPNMESAVLSVEGRGFNVQIHYVEEPVPDYLRAAVSTVLLIHEKEPMGDILVFLTGQDDIDAAVQLITEEDQNRGRKSSGLMVLPLYSGLPRSEQELVFTPTPRGKRKVVISTNIAETSITLEGVVYVVDSGFSKQRFYNPITDIENLVVAPISKASARQRTGRAGRVRPGKCYRLYTEEYFAKEMSAEGIPEIQRSNLVPCVIQLKALGIDNILGFDWPASPSSEAMVRALEVLYSLGVLDDDAKLTSPTGFQIAEIPLDPMISKMMLASGQLGCSEEMITIAATLSIQSIWVSGWGSQKELDEAKLNFAAAEGDHVSFLNVYKGFLQSGKSSQWCHKNFVNYQAMKKVLEIREQLRRTAQRIGIVLKSCERDTVASSHDGKYKTIRGSQEVYIHPSSVLFRVNPKWVLYHSLVSTDKQYMRNVISIDPSWLTEAAPHFYQHQRLKSMVH >ONI13609 pep chromosome:Prunus_persica_NCBIv2:G4:15022777:15025678:-1 gene:PRUPE_4G233200 transcript:ONI13609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNTCSSFNSSSSLSSRHLCHPDDSSTLLQFKNSFYSNGTIFWEKGKDCCEWSGVTCGKVTGHVIGLNLGLSGLQGNIHSNSSLFFLHHLERLDLSDNDFRVPLEISHLSNLVSLNLSLPGVRLDTLSFNRIAQNLTNLRELNLYTVDMSSVVPDSFNNLSSSLNTLRLSRCNLQEKFPESIFRRPNLRSLYLGYNRNLTGYFPKSNWSSSLETLRLSYTRISVDWHHLTRNLKSLRDLSLRNCSFVGPYPALPGNLTQIMQLDLSHNGFGGQIPWSLLKFERLTNLDLSGNNYVEKQQVVPIPRHLTELDLFENLLNGTIPSWLGSLPSLEVLSVGSNQLSGDIIEFQSSHSLTRLDFSDNKLHGLIPRSIFELEKVTAFDLSSNNLSGIVEFENFSKLQSLSYLNLSSNHLSLSFHHFSNNTWPQLSILALLSCNISEFPYFLRASPNLETLDLSHNKIQGKVPKWLSAVVKDSLRQLDLSYNFFTGGLPIPPPSTFFFFISNNQLTGEMPPTICSLSRLQILDLSNNKLSGKIHQCLGDFSQSLSVLDLRKNKFDGMIPMTFSKGNVLRNLGLDGNQLEGPLPPSLLTCRDLEVLDVGNNKIHDTFPNWLESLPKLQVLILRSNKFHGAIGNPTSKFPFQKLCIMDLSHNLFNGLLPTKYFENLAAMINSQEHELKYMGEGYYHDTVVVTVKGFEIEMVKIQTFFTSIDFSNNSFIGEISNVIGKLKSLKGLNFSNNELTSTIPPSFGELSNLEWLDLSSNGLVGEIPGQLANLTSLSSGNTGLCGLPLSKTCGAHQSPPSSFRQEGDLEHWNGFDWKVVLMGYASGVVIGISVAYLVLSNGQPNWLVKVIGRKQHRKTVKITQRRA >ONI13625 pep chromosome:Prunus_persica_NCBIv2:G4:15099756:15103990:1 gene:PRUPE_4G234100 transcript:ONI13625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSTRKAMEDRFPRLSEEVELDEKWLPLIDYLSTFGLKESHFIQMYERHMPSLQINVCSAKERLEYLLSVGVKQRDVRRMLLRQPQILEYTVENNLKSHVAFLMSLGIPSSRFGQIIAAAPSLFSYSIENSLKPTVRYLVEEVGIKEKDLGKVVQLSPQILVQRIDISWNTRLNFLSEEIGAPRDSIVKMVKKHPQFLHFSIDDGLLPRINFLRSIGMCNADILKVLTSLTQVSSVFASSQLSSGQRRSFTNCG >ONI13627 pep chromosome:Prunus_persica_NCBIv2:G4:15099737:15104285:1 gene:PRUPE_4G234100 transcript:ONI13627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRFPRLSEEVELDEKWLPLIDYLSTFGLKESHFIQMYERHMPSLQINVCSAKERLEYLLSVGVKQRDVRRMLLRQPQILEYTVENNLKSHVAFLMSLGIPSSRFGQIIAAAPSLFSYSIENSLKPTVRYLVEEVGIKEKDLGKVVQLSPQILVQRIDISWNTRLNFLSEEIGAPRDSIVKMVKKHPQFLHFSIDDGLLPRINFLRSIGMCNADILKVLTSLTQVSSVFASSQLSSGQRRSFTNCG >ONI13628 pep chromosome:Prunus_persica_NCBIv2:G4:15100847:15102011:1 gene:PRUPE_4G234100 transcript:ONI13628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRFPRLSEEVELDEKWLPLIDYLSTFGLKESHFIQMYERHMPSLQINVCSAKERLEYLLSVGVKQRDVRRMLLRQPQILEYTVENNLKSHVAFLMSLGIPSSRFGQIIAAAPSLFSYSIENSLKPTVRYLVEEVGIKEKDLGKVVQLSPQILVQRIDISWNTRLNFLSEEIGAPRDSIVKMVKKHPQFLHFSIDDGLLPRINFLRSIGMCNADILKVLTSLTQGLSLSLEENLKPRYKYLVNELRNEVHSLTKYPMYLSLSLDQRIRPRHRIYKIFPPKLSYSASQKVVRKIQKRQ >ONI13624 pep chromosome:Prunus_persica_NCBIv2:G4:15099737:15104285:1 gene:PRUPE_4G234100 transcript:ONI13624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSHQLPSRGALKFSTHSNPKILKSNRRSRYGQPLSPYDQDDDEEVSRASDVSDDDWLLNDDFAEISEFDVNRKRSKSHKGFVNGHSDGRNSKHTLEKSNAANYYNSSNQTNGVSSLDVPRKGKSTRKAMEDRFPRLSEEVELDEKWLPLIDYLSTFGLKESHFIQMYERHMPSLQINVCSAKERLEYLLSVGVKQRDVRRMLLRQPQILEYTVENNLKSHVAFLMSLGIPSSRFGQIIAAAPSLFSYSIENSLKPTVRYLVEEVGIKEKDLGKVVQLSPQILVQRIDISWNTRLNFLSEEIGAPRDSIVKMVKKHPQFLHFSIDDGLLPRINFLRSIGMCNADILKVLTSLTQVLSLSLEENLKPKYKYLVNELHNEVHSLTKYPMYLSLSIDQRIRPRHRFLLSLKKAPKRPFPLSSLVPTDECFCQQWAGTSLDKYIDFRQRLLLKEFAKKYERKG >ONI13626 pep chromosome:Prunus_persica_NCBIv2:G4:15099756:15103990:1 gene:PRUPE_4G234100 transcript:ONI13626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSTRKAMEDRFPRLSEEVELDEKWLPLIDYLSTFGLKESHFIQMYERHMPSLQINVCSAKERLEYLLSVGVKQRDVRRMLLRQPQILEYTVENNLKSHVAFLMSLGIPSSRFGQIIAAAPSLFSYSIENSLKPTVRYLVEEVGIKEKDLGKVVQLSPQILVQRIDISWNTRLNFLSEEIGAPRDSIVKMVKKHPQFLHFSIDDGLLPRINFLRSIGMCNADILKVLTSLTQVLSLSLEENLKPKYKYLVNELHNEVHSLTKYPMYLSLSIDQRIRPRHRFLLSLKKAPKRPFPLSSLVPTDECFCQQWAGTSLDKYIDFRQRLLLKEFAKKYERKG >ONI09978 pep chromosome:Prunus_persica_NCBIv2:G4:1043244:1052224:1 gene:PRUPE_4G022000 transcript:ONI09978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDQDQLRQPILDSEPDSTALEASNQGDGLESPLEKVLSDTQLPSFKRLRLATWIELNLLFRLAAPAVLVYVVNNFMSLSTRVFAGHLGNLELAAASLGNSGVQLFAYGLMLGMGSAVETLCGQAYGAEKYDMLGIYLQRATIVLTLTGLPLLLIFLLSKPILILFGETPKLAAEAAVFVYGLIPQIFAYAINFPMQKFLQAQRIVAPSAYISAATLGVHILLSWVAVYKLGMGLIGASLVLSLSWWIIVGAQFIYILKSSRCKETWSGFSLQAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLENPELALNSLAVCMAISGLLFMVSVGFNAAASVRVSNELGAGNPKSAAFSILVVTIVSLMIAVVEAVVVLSFRDVISYAFTDGETVANAVSHLTPYLAITLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPIGCLLGFKFDLGAEGIWSGMIGGTFMQTIILLWVTFRTDWNKEVETAGKRLKKWEDKKLPPPLLKS >ONI09981 pep chromosome:Prunus_persica_NCBIv2:G4:1043310:1049757:1 gene:PRUPE_4G022000 transcript:ONI09981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDQDQLRQPILDSEPDSTALEASNQGDGLESPLEKVLSDTQLPSFKRLRLATWIELNLLFRLAAPAVLVYVVNNFMSLSTRVFAGHLGNLELAAASLGNSGVQLFAYGLMLGMGSAVETLCGQAYGAEKYDMLGIYLQRATIVLTLTGLPLLLIFLLSKPILILFGETPKLAAEAAVFVYGLIPQIFAYAINFPMQKFLQAQRIVAPSAYISAATLGVHILLSWVAVYKLGMGLIGASLVLSLSWWIIVGAQFIYILKSSRCKETWSGFSLQAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLENPELALNSLAVCVRVSNELGAGNPKSAAFSILVVTIVSLMIAVVEAVVVLSFRDVISYAFTDGETVANAVSHLTPYLAITLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPIGCLLGFKFDLGAEGIWSGMIGGTFMQTIILLWVTFRTDWNKECKSSRISLLSSSHRACIPF >ONI09979 pep chromosome:Prunus_persica_NCBIv2:G4:1043278:1052195:1 gene:PRUPE_4G022000 transcript:ONI09979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDQDQLRQPILDSEPDSTALEASNQGDGLESPLEKVLSDTQLPSFKRLRLATWIELNLLFRLAAPAVLVYVVNNFMSLSTRVFAGHLGNLELAAASLGNSGVQLFAYGLMLGMGSAVETLCGQAYGAEKYDMLGIYLQRATIVLTLTGLPLLLIFLLSKPILILFGETPKLAAEAAVFVYGLIPQIFAYAINFPMQKFLQAQRIVAPSAYISAATLGVHILLSWVAVYKLGMGLIGASLVLSLSWWIIVGAQFIYILKSSRCKETWSGFSLQAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLENPELALNSLAVCVRVSNELGAGNPKSAAFSILVVTIVSLMIAVVEAVVVLSFRDVISYAFTDGETVANAVSHLTPYLAITLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPIGCLLGFKFDLGAEGIWSGMIGGTFMQTIILLWVTFRTDWNKEVETAGKRLKKWEDKKLPPPLLKS >ONI09980 pep chromosome:Prunus_persica_NCBIv2:G4:1043310:1049757:1 gene:PRUPE_4G022000 transcript:ONI09980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDQDQLRQPILDSEPDSTALEASNQGDGLESPLEKVLSDTQLPSFKRLRLATWIELNLLFRLAAPAVLVYVVNNFMSLSTRVFAGHLGNLELAAASLGNSGVQLFAYGLMLGMGSAVETLCGQAYGAEKYDMLGIYLQRATIVLTLTGLPLLLIFLLSKPILILFGETPKLAAEAAVFVYGLIPQIFAYAINFPMQKFLQAQRIVAPSAYISAATLGVHILLSWVAVYKLGMGLIGASLVLSLSWWIIVGAQFIYILKSSRCKETWSGFSLQAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLENPELALNSLAVCMAISGLLFMVSVGFNAAASVRVSNELGAGNPKSAAFSILVVTIVSLMIAVVEAVVVLSFRDVISYAFTDGETVANAVSHLTPYLAITLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPIGCLLGFKFDLGAEGIWSGMIGGTFMQTIILLWVTFRTDWNKECKSSRISLLSSSHRACIPF >ONI09982 pep chromosome:Prunus_persica_NCBIv2:G4:1043310:1045404:1 gene:PRUPE_4G022000 transcript:ONI09982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDQDQLRQPILDSEPDSTALEASNQGDGLESPLEKVLSDTQLPSFKRLRLATWIELNLLFRLAAPAVLVYVVNNFMSLSTRVFAGHLGNLELAAASLGNSGVQLFAYGLMLGMGSAVETLCGQAYGAEKYDMLGIYLQRATIVLTLTGLPLLLIFLLSKPILILFGETPKLAAEAAVFVYGLIPQIFAYAINFPMQKFLQAQRIVAPSAYISAATLGVHILLSWVAVYKLGMGLIGASLVLSLSWWIIVGAQFIYILKSSRCKETWSGFSLQAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLENPELALNSLAVCMAISGLLFMVSVGFNAAASVRVSNELGAGNPKSAAFSILVVTIVSLMIAVVEAVVVLSFRDVISYAFTDGETVANAVSHLTPYLAITLILNGIQPVLSGKHSYPSS >ONI12775 pep chromosome:Prunus_persica_NCBIv2:G4:10821182:10821971:-1 gene:PRUPE_4G182800 transcript:ONI12775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQPFLQRHIYSCHNFKKCNVFSHSLASVAETIYLYIQSQSFELDRFVRSRNQKPIKSDSMENHTSFSQPFILIYNMFSEGFYFSPRPALFSDLPANIIACISIVTLLFLIFNHILNVFLEWLLQVIPEHDLEGGDVVYGPQINIIGSSPFRVAIVQNMQRLGVIKRVVPEFDEGRGPRLRASKKLPPLVNHGSHEIQSSSKCASCAICLEDFAQGDSCQVFSMCNHMFHANCIDHWLMNKPNCPVCRHCIMDV >ONI13707 pep chromosome:Prunus_persica_NCBIv2:G4:15780632:15784611:1 gene:PRUPE_4G240000 transcript:ONI13707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIAILLDLLRKNPTQTAQALHSSGFFSAKAAAAAASVAAGAPYVYKTLFGNFRIPVAYCDAGTAWSEDHVSNLRSASQRLFQNDSLNYSTKDYKIELKPLFSAFEWRALAMTTLRSFLMFFLPLLEPRSNLEEDDDDFLPDTEEEQHVDYAVPIKKSVIQIVRETTVVTTRRILERLAVHYVSQRMAWKLLKDVPKSAMRKAGRKLPTLVFFFSVSRTTFRGHFLGVAASWLIQVGIEIYRFFSHMIKSKEEVDDIDTPEQLKLLATKVSSATIKCGASLVFASIGAGIGATLIRPSVGQWIGCAVGDLSGPVIVSYCFGRIFPAEL >ONI12004 pep chromosome:Prunus_persica_NCBIv2:G4:7766983:7768996:1 gene:PRUPE_4G139300 transcript:ONI12004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKTAMLRFHQLLKTSPPCESQAHALSSPIPYHRKASMWVPVKHKSSGGRRPKRKVYHRVHELDKVMDFQKKPALILQLKSIIQSQKHQSLLLRDLEKEVGFVQKWNFMAAIEKYPSIFYVGGGNRTPPFVTLAEKAKKVAREEAEAKDLMEPILVKNLRKLLMLSVDCRVPLENIEFIESELGLPNDFKKSLLPKYPEIFSVKEVNGKLHLHLENWDSSIAVTAREEQLGGEGISAPSGLGNKVRISKDGNFLGPHAFRMSFPAGFRPNTSYLEQLERWQKMDFPSPYLNARRFDIADPKVRKRVVAVLHELLSLTMQKRMTSAQLDAFHSEYFLPSKLLLCLIKHPGIFYITNKGARSTVFLKEAYDGLNLINKCPLLLFNDKFVALSGRREINSCKTMHSS >ONI13127 pep chromosome:Prunus_persica_NCBIv2:G4:12733957:12740955:-1 gene:PRUPE_4G204600 transcript:ONI13127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEPPFQGTCKTLLQNPTHYHDKLSDVDECELPVIDLNNLNFGHTEREKIVSEVAQAASQWGFFQVVNHGVSQEVVNNMQYEQKRLFRQPFPKKVENNLLNLSANSYRWGNPEATCLKQFSWSEAFHISTTEIPTMRTEHKSLRSTIEAFVKTLSGLARSIAEILAQPLGIKPVYFEENCPARTSYLRLNRYAPCPFSSQVYGLISHTDTDFLTIVYQDQVGGLEIYKDGRWLGVKPNPEALIVNIGDFFEALSNGIYKSIKHRVVTSQKVERFSVAYFYCPSYDVVIKSCGKPALYRQFTLREYKQQTQIDVQEIEENTFLQSPKMRN >ONI13128 pep chromosome:Prunus_persica_NCBIv2:G4:12734850:12740930:-1 gene:PRUPE_4G204600 transcript:ONI13128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEPPFQGTCKTLLQNPTHYHDKLSDVDECELPVIDLNNLNFGHTEREKIVSEVAQAASQWGFFQVVNHGVSQEVVNNMQYEQKRLFRQPFPKKVENNLLNLSANSYRWGNPEATCLKQFSWSEAFHISTTEIPTMRTEHKSLRSTIEAFVKTLSGLARSIAEILAQPLGIKPVYFEENCPARTMYQDQVGGLEIYKDGRWLGVKPNPEALIVNIGDFFEALSNGIYKSIKHRVVTSQKVERFSVAYFYCPSYDVVIKSCGKPALYRQFTLREYKQQTQIDVQEIGEKVGLSRFLL >ONI13131 pep chromosome:Prunus_persica_NCBIv2:G4:12734749:12740955:-1 gene:PRUPE_4G204600 transcript:ONI13131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYEQKRLFRQPFPKKVENNLLNLSANSYRWGNPEATCLKQFSWSEAFHISTTEIPTMRTEHKSLRSTIEAFVKTLSGLARSIAEILAQPLGIKPVYFEENCPARTSYLRLNRYAPCPFSSQVYGLISHTDTDFLTIVYQDQVGGLEIYKDGRWLGVKPNPEALIVNIGDFFEALSNGIYKSIKHRVVTSQKVERFSVAYFYCPSYDVVIKSCGKPALYRQFTLREYKQQTQIDVQEIGEKVGLSRFLL >ONI13130 pep chromosome:Prunus_persica_NCBIv2:G4:12734733:12738568:-1 gene:PRUPE_4G204600 transcript:ONI13130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEPPFQGTCKTLLQNPTHYHDKLSDVDECELPVIDLNNLNFGHTEREKIVSEVAQAASQWGFFQVVNHGVSQEVVNNMQYEQKRLFRQPFPKKVENNLLNLSANSYRWGNPEATCLKQFSWSEAFHISTTEIPTMRTEHKSLRSTIEAFVKTLSGLARSIAEILAQPLGIKPVYFEENCPARTSYLRLNRYAPCPFSSQVYGLISHTDTDFLTIVYQDQVGGLEIYKDGRWLGVKPNPEALIVNIGDFFEALSNGIYKSIKHRVVTSQKVERFSVAYFYCPSYDVVIKSCGKPALYRQFTLREYKQQTQIDVQEIGEKVGLSRFLL >ONI13129 pep chromosome:Prunus_persica_NCBIv2:G4:12734733:12740965:-1 gene:PRUPE_4G204600 transcript:ONI13129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEPPFQGTCKTLLQNPTHYHDKLSDVDECELPVIDLNNLNFGHTEREKIVSEVAQAASQWGFFQVVNHGVSQEVVNNMQYEQKRLFRQPFPKKVENNLLNLSANSYRWGNPEATCLKQFSWSEAFHISTTEIPTMRTEHKSLRSTIEAFVKTLSGLARSIAEILAQPLGIKPVYFEENCPARTSYLRLNRYAPCPFSSQVYGLISHTDTDFLTIVYQDQVGGLEIYKDGRWLGVKPNPEALIVNIGDFFEALSNGIYKSIKHRVVTSQKVERFSVAYFYCPSYDVVIKSCGKPALYRQFTLREYKQQTQIDVQEIGEKVGLSRFLL >ONI09821 pep chromosome:Prunus_persica_NCBIv2:G4:547380:552569:1 gene:PRUPE_4G011300 transcript:ONI09821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADLTLRSSCSGCGSTSELYGSNCKHMTLCLSCGKTMAENRAKCFDCGAIVTRLIREYNVRASTVNDKNYFIGRFVTGLPSFSKKKNAENKWSLHKEGLQGRQLSDTLRDKYKNKPWVLEDETGQSQYQGHLEGAQTATYYLLMRQGKEFSAIPAGSWFNFNKVAQYKQLTLEEAEEKIKNRKKTADGYERWMMKAANNGAAAFGEVERFDKDNSVTSGKGRKKAGDDDDGEGNVSDKGEEDEEEELARKNRLNKKGGDDDDDDGARGADADLDDDDVEKGDDWEHEEIFTDDDEAVGNNPEEREDLELEVPAPPEIKQDDEDEDEDDKEGGLSKSGKELKKLLGRTGGLDDSDAEDDDDDDDDMDDDIGFPQAAAPKQKDASKEEPSDNSPSKPVPSGSARGTPSTAKSAKGKRKLSADDAKTSNSAPPKKEQKVSTKEEPASASKSSTPSKGTPSVKVEPTSSSGPVTEEEIRTVLVQRTPVTTQDLVARFKARLRLQEDKTAFAAILRKISKIQKTTNGSSYVVLRDK >ONI09820 pep chromosome:Prunus_persica_NCBIv2:G4:547380:552569:1 gene:PRUPE_4G011300 transcript:ONI09820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADLTLRSSCSGCGSTSELYGSNCKHMTLCLSCGKTMAENRAKCFDCGAIVTRLIREYNVRASTVNDKNYFIGRFVTGLPSFSKKKNAENKWSLHKEGLQGRQLSDTLRDKYKNKPWVLEDETGQSQYQGHLEGAQTATYYLLMRQGKEFSAIPAGSWFNFNKVAQYKQLTLEEAEEKIKNRKKTADGYERWMMKAANNGAAAFGEVERFDKDNSVTSGKGRKKAGDDDDGEGNVSDKGEEDEEEELARKNRLNKKGGDDDDDDGARGADADLDDDDVEKGDDWEHEEIFTDDDEAVGNNPEEREDLELEVPAPPEIKQDDEDEDEDDKEGGLSKSGKELKKLLGRTGGLDDSDAEDDDDDDDDMDDDIGFPQAAAPKQKDASKEEPSDNSPSKPVPSGSARGTPSTAKSAKGKRKLSADDAKTSNSAPPKKVKSENEQKVSTKEEPASASKSSTPSKGTPSVKVEPTSSSGPVTEEEIRTVLVQRTPVTTQDLVARFKARLRLQEDKTAFAAILRKISKIQKTTNGSSYVVLRDK >ONI14631 pep chromosome:Prunus_persica_NCBIv2:G4:25416821:25423154:1 gene:PRUPE_4G288900 transcript:ONI14631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNQAYEKVKMLVGMEVDEDDHEAASSSALQDTNFIDDFNRNCTLSTKQRFYGFAICLAAGLTCTLLSMLVFFHPIKFGITFTLGNLLSLGSTAFLIGPKRQVTMMLDPVRIYATAIYLASIIIALFCALYVHNKLLTLLAILLEFGALIWYSLSYIPFARAMLSKIMVACFDTDF >ONI14630 pep chromosome:Prunus_persica_NCBIv2:G4:25416738:25423449:1 gene:PRUPE_4G288900 transcript:ONI14630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNQAYEKVKMLVGMEVDEDDHEAASSSALQDTNFIDDFNRNCTLSTKQRFYGFAICLAAGLTCTLLSMLVFFHPIKFGITFTLGNLLSLGSTAFLIGPKRQVTMMLDPVRIYATAIYLASIIIALFCALYVHNKLLTLLAILLEFGALIWYSLSYIPFARAMLSKIMVACFDTDF >ONI10750 pep chromosome:Prunus_persica_NCBIv2:G4:3155934:3156485:-1 gene:PRUPE_4G065900 transcript:ONI10750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETMDSLWFFSNVFFSSRATILGPIEPVEEAVKEECSKPMSPIAQNQQKNSPPEAQMLVPLCPKCEEPESLKLDMEVVEYYYSPSPRSTEKEKSRRRRRRSKRSVQQQRHRRKILGELDELGLDDHVKENNTMPSISDNMAMKEHLKSWAYAVACTVR >ONI12771 pep chromosome:Prunus_persica_NCBIv2:G4:10813601:10814487:1 gene:PRUPE_4G182400 transcript:ONI12771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSQSSSVHHPILHHSSLLHSKTLTLPLTPSSSVKFQTNPKPLPHLHINNNYKTNLVGLRNCPSSIVVMSKSPKVEEQKFSQIGSITESLSNGMFRIELDNADTVIGYISGKIRQNSIRILPGDRVRVEVSRYDTTRGRIVRRIDKDDEDKKKKKTLGKKDLKRKKSY >ONI10688 pep chromosome:Prunus_persica_NCBIv2:G4:2966139:2968062:-1 gene:PRUPE_4G061900 transcript:ONI10688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTIRCCISCILPCGALDVIRVVHCNGKVEEISGTIRASEIMKAYPKHVLKKPSSSPSDHNGVVPKIVIVPPDAELQRGKIYFLMPVPAASKTSEKTSAKTRSSAKKKRVKDTETNTTTNNNVVMNSIAMTNLLISDQYLSEILSEKHSSQRDRRRGRVGVWRPHLESICESPSDV >ONI10687 pep chromosome:Prunus_persica_NCBIv2:G4:2965916:2968062:-1 gene:PRUPE_4G061900 transcript:ONI10687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTIRCCISCILPCGALDVIRVVHCNGKVEEISGTIRASEIMKAYPKHVLKKPSSSPSDHNGVVPKIVIVPPDAELQRGKIYFLMPVPAASKTSEKTSAKTRSSAKKKRVKDTETNTTTNNNVVMNSIAMTNLLISDQYLSEILSEKHSSQRDRRRGRVGVWRPHLESI >ONI13254 pep chromosome:Prunus_persica_NCBIv2:G4:13220033:13220914:1 gene:PRUPE_4G212000 transcript:ONI13254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEELMEHKLMNHEHLEFHFFLSLDHQGPHHSLLRHEGKIYRLVQNSPRSGMKVITPNCERIRTLHQVLHQ >ONI14333 pep chromosome:Prunus_persica_NCBIv2:G4:23077470:23080472:-1 gene:PRUPE_4G276200 transcript:ONI14333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFWLVSRASRRFLEVKPSLSLSRTLFAVSTYQPTTTTTTTSKNSNPNAYPNGTQLGPFTQRHRWIPSCVEFDLRLVAHISSSSSSTGAKKDGNQGSNDVKVEVETSWIDLYLPRQARPYAKLARLDRPIGTWLLAWPCMWSITLAASPGHLPDIKMMTLFGCGALLLRGAGCTINDLLDRDIDTMVERTKLRPVASGLLTPFQGICFLGFQLLLGFGILLQLNNYSRVFGASSLLLVFSYPLMKRLTFWPQAFLGLTFNWGALLGWAAVKGSIDPAIVLPLYLSGVCWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWITGFGIMCSGSLALSGYNAEIGWPYYAFLGAASGQLAWQIWTADLSSRVDCNRKFVSNKWFGAIIFSGILFGKLSS >ONI14335 pep chromosome:Prunus_persica_NCBIv2:G4:23077470:23080102:-1 gene:PRUPE_4G276200 transcript:ONI14335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFWLVSRASRRFLEVKPSLSLSRTLFAVSTYQPTTTTTTTSKNSNPNAYPNGTQLGPFTQRHRWIPSCVEFDLRLVAHISSSSSSTGAKKDGNQGSNDVKVEVETSWIDLYLPRQARPYAKLARLDRPIGTWLLAWPCMWSITLAASPGHLPDIKMMTLFGCGALLLRGAGCTINDLLDRDIDTMVERTKLRPVASGLLTPFQGICFLGFQLLLGFGILLQLNNYSRVFGASSLLLVFSYPLMKRLTFWPQAFLGLTFNWGALLGWAAVKGSIDPAIVLPLYLSGVCWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWITGFGIMCSGSLALSGYNAEIGWPYYAFLGAASGQLAWQIWTADLSSRVDCNRKFVSNKWFGAIIFSGILFGKLSS >ONI14332 pep chromosome:Prunus_persica_NCBIv2:G4:23077156:23080068:-1 gene:PRUPE_4G276200 transcript:ONI14332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFWLVSRASRRFLEVKPSLSLSRTLFAVSTYQPTTTTTTTSKNSNPNAYPNGTQLGPFTQRHRWIPSCVEFDLRLVAHISSSSSSTGAKKDGNQGSNDVKVEVETSWIDLYLPRQARPYAKLARLDRPIGTWLLAWPCMWSITLAASPGHLPDIKMMTLFGCGALLLRGAGCTINDLLDRDIDTMVERTKLRPVASGLLTPFQGICFLGFQLLLGFGILLQLNNYSRVFGASSLLLVFSYPLMKRLTFWPQAFLGLTFNWGALLGWAAVKGSIDPAIVLPLYLSGVCWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWITGFGIMCSGSLALSGYNAEIGWPYYAFLGAASGQLAWQIWTADLSSRVDCNRKGITLCRGKLFPCM >ONI14337 pep chromosome:Prunus_persica_NCBIv2:G4:23077470:23080068:-1 gene:PRUPE_4G276200 transcript:ONI14337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFWLVSRASRRFLEVKPSLSLSRTLFAVSTYQPTTTTTTTSKNSNPNAYPNGTQLGPFTQRHRWIPSCVEFDLRLVAHISSSSSSTGAKKDGNQGSNDVKVEVETSWIDLYLPRQARPYAKLARLDRPIGTWLLAWPCMCCWCRSITLAASPGHLPDIKMMTLFGCGALLLRGAGCTINDLLDRDIDTMVERTKLRPVASGLLTPFQGICFLGFQLLLGFGILLQLNNYSRVFGASSLLLVFSYPLMKRLTFWPQAFLGLTFNWGALLGWAAVKGSIDPAIVLPLYLSGVCWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWITGFGIMCSGSLALSGYNAEIGWPYYAFLGAASGQLAWQIWTADLSSRVDCNRKFVSNKWFGAIIFSGILFGKLSS >ONI14336 pep chromosome:Prunus_persica_NCBIv2:G4:23077489:23080459:-1 gene:PRUPE_4G276200 transcript:ONI14336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFWLVSRASRRFLEVKPSLSLSRTLFAVSTYQPTTTTTTTSKNSNPNAYPNGTQLGPFTQRHRWIPSCVEFDLRLVAHISSSSSSTGAKKDGNQGSNDVKVEVETSWIDLYLPRQARPYAKLARLDRPIGTWLLAWPCMWSITLAASPGHLPDIKMMTLFGCGALLLRGAGCTINDLLDRDIDTMVERTKLRPVASGLLTPFQGICFLGFQLLLGFGILLQLNNYSRVFGASSLLLVFSYPLMKRLTFWPQAFLGLTFNWGALLGWAAVKGSIDPAIVLPLYLSGVCWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWITGFGIMCSGSLALSGYNAEIGWPYYAFLGAASGQLAWQIWTADLSSRVDCNRKFVSNKWFGAIIFSGILFGKLSS >ONI14334 pep chromosome:Prunus_persica_NCBIv2:G4:23077470:23080474:-1 gene:PRUPE_4G276200 transcript:ONI14334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFWLVSRASRRFLEVKPSLSLSRTLFAVSTYQPTTTTTTTSKNSNPNAYPNGTQLGPFTQRHRWIPSCVEFDLRLVAHISSSSSSTGAKKDGNQGSNDVKVEVETSWIDLYLPRQARPYAKLARLDRPIGTWLLAWPCMWSITLAASPGHLPDIKMMTLFGCGALLLRGAGCTINDLLDRDIDTMVERTKLRPVASGLLTPFQGICFLGFQLLLGFGILLQLNNYSRVFGASSLLLVFSYPLMKRLTFWPQAFLGLTFNWGALLGWAAVKGSIDPAIVLPLYLSGVCWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWITGFGIMCSGSLALSGYNAEIGWPYYAFLGAASGQLAWQIWTADLSSRVDCNRKFVSNKWFGAIIFSGILFGKLSS >ONI13512 pep chromosome:Prunus_persica_NCBIv2:G4:14458603:14459460:1 gene:PRUPE_4G227100 transcript:ONI13512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKTFLLLGLVCVVLILIVSEASARELAETTTQINQRKLINGAYERSGHGGRVAYGRGVYNRGGQGTYGRGVYNRGGKGGHRRERDPYSRGGKG >ONI10573 pep chromosome:Prunus_persica_NCBIv2:G4:2626976:2630127:1 gene:PRUPE_4G054500 transcript:ONI10573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGSKSNCKSASHKLFKDKAKNRVDDLQGMFMDLLFARKESRSTDAVLLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEEDDATSPLAAPKPEPNDQNLQVGESFVFREGFTVNQGQHELGFPLADQCKSSPSGVHNAAANNLEGNNHLDYHQYELHQEFEHNFYTCFNSTALSGEDALPHVSTYLPSICPPPSAFLGPKCALWDCPRPAQGLDWWQYYCSSFHAALALNEGPPGMGPVLRPGGIGLKDGLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLLVLEGEIIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDACALYRLELKLVDGKKNSKGKLTNESVADLQKQMGRLSAEFPSDNKRCVKGRAKANEKVGVGNVYYTPNRGGTTNGTFDYGIGAPYDYLVENVNGYYLT >ONI10572 pep chromosome:Prunus_persica_NCBIv2:G4:2626976:2630127:1 gene:PRUPE_4G054500 transcript:ONI10572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGSKSNCKSASHKLFKDKAKNRVDDLQGMFMDLLFARKESRSTDAVLLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEEDDATSPLAAPKPEPNDQNLQVGESFVFREGFTVNQGQHELGFPLADQCKSSPSGVHNAAANNLEGNNHLDYHQYELHQEFEHNFYTCFNSTALSGEDALPHVSTYLPSICPPPSAFLGPKCALWDCPRPAQGLDWWQYYCSSFHAALALNEGPPGMGPVLRPGGIGLKDGLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLLVLEGEIIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDACALYRLELKLVDGKKNSKGKLTNESVADLQKQMGRLSAEFPSDNKRCVKGRAKANEKVGVGNVYYTPNRGGTTNGTFDYGIGAPYDYLVENVNGYYLT >ONI09833 pep chromosome:Prunus_persica_NCBIv2:G4:586252:588877:-1 gene:PRUPE_4G012300 transcript:ONI09833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKLQALWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPENLSYPQQLAVACTGVVWSRYSTVITPKNWNLFSVNVAMAGTGLYQLSRKIRQDFFSETEAAAAEE >ONI12769 pep chromosome:Prunus_persica_NCBIv2:G4:10807107:10808984:1 gene:PRUPE_4G182200 transcript:ONI12769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAFLFHQRLLQLKWYTQLHDHNLKASWVAEKKVFLACSPLYIAGGFVALFMNVKQNQLHIVKHFLWGRLRSYAGLVLDCFVFPQVLLNIFLNSKKSALSWTFYLGIAFMRVLPHAYDLYREKTYDPWSSKFSIDPHASFFSGSQDVIVCSVYLLFAAIIRLQQRFGRRCILPIRFRGHEDYEKVLGSSDK >ONI12876 pep chromosome:Prunus_persica_NCBIv2:G4:11238694:11241828:1 gene:PRUPE_4G188900 transcript:ONI12876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPAQITLLASTFCVMVTMHFSVQLLSEHFFYWKKPKEQQAIIIIILMAPLYALDSFVGLFDFQGSNVFFTFLDSIKECYEALVIAKFLGLLYSYLNISISKNIVPDEIKGREIHHSFPMTLFLPRTVRLNHHTLKLLKYWTWQFVVIRPVCSILMITLQLLGVYPSWVSWTFTIILNISVTLALYSLIVFYHVFAKELAPHKPLAKFLCIKGIVFFCFWQGVVLDILAALKIIRSHHIWLDVEHIEEALQNILVCVEMVLFSVFQKYAYSVEPYRDDGIPSKTRENKKE >ONI09950 pep chromosome:Prunus_persica_NCBIv2:G4:948085:950398:1 gene:PRUPE_4G020200 transcript:ONI09950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSCKLENHLETMRAEENISDPAPMVVKNAAAAASLSVIPDINKMKKKKKRKPTVVISPVRALILDTWNMRHVWKDANGISGTEKHIDPWLLRETDPDLVPVAVEKDARSDIAEIDAKKYLLPKDALLGDFIAYVRMWIFLKKRKPIFVFFKNTVPPTGASMGAVDEENKDDDGFLHMTYSGNDTFSYSGNVVTC >ONI09949 pep chromosome:Prunus_persica_NCBIv2:G4:948352:950398:1 gene:PRUPE_4G020200 transcript:ONI09949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSCKLENHLETMRAEENISDPAPMVVKNAAAAASLSVIPDINKMKKKKKRKPTVVISPVRALILDTWNMRHVWKDANGISGTEKHIDPWLLRETDPDLVPVAVEKDARSDIAEIDAKKYLLPKDALLGDFIAYVRMWIFLKKRKPIFVFFKNTVPPTGASMGAVDEENKDDDGFLHMTYSGNDTFSYSGNVVTC >ONI09951 pep chromosome:Prunus_persica_NCBIv2:G4:948085:950398:1 gene:PRUPE_4G020200 transcript:ONI09951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSCKLENHLETMRAEENISDPAPMVVKNAAAAASLSVIPDINKMKKKKKRKPTVVISPVRALILDTWNMRHVWKDANGISGTEKHIDPWLLRETDPDLVAVEKDARSDIAEIDAKKYLLPKDALLGDFIAYVRMWIFLKKRKPIFVFFKNTVPPTGASMGAVDEENKDDDGFLHMTYSGNDTFSYSGNVVTC >ONI10729 pep chromosome:Prunus_persica_NCBIv2:G4:3074521:3077161:-1 gene:PRUPE_4G064100 transcript:ONI10729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGSPRSPRPEPQIDDDLSSGTKDYDPSNVGRRMPGGDNHLNSKMLLLHGLRNDSVKYTTCKGSFVGKKHLWLRKDVRSIIFVFALMGFLLLLDSFLVSIFDSMVLQNSSAIRKLPVLKEEDRNAYLMKEKAPVQMYDRLLKLAKGALAEKEFKPELSTLWKEPYRQVAAWKPCADRKVSPSLGKYKKGNGYIVVSANGGLNQQRVAICNAVAVASLLNATLVLPRFLYSNVWNDPSQFGDIYQEEHFMDVLKDEVKVVKELPSHLKSLDLEAIGSLITDADLVKEAKPIDYIRVVLPLLLRNRIVHLLGYGNRLGFDPLPSELQRLRCKCNFHALKFVPEIQHVGSLLVRRIRKYDAALSMLDKQLLGNFMHSSPSKEHDAARGPSKYLALHLRFEIDMVAYSLCEFGGGESEKKELQTYREVHFPLLIERLKNSKPVSPRELRKLGRCPLTPEEAGLVLAGIGFKHGTYIYLAGSQIYGGNSRMNSFTSLYPNLVTKETLLTPSELAPFQNFSSKVTEHFYSF >ONI10728 pep chromosome:Prunus_persica_NCBIv2:G4:3073305:3077403:-1 gene:PRUPE_4G064100 transcript:ONI10728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGSPRSPRPEPQIDDDLSSGTKDYDPSNVGRRMPGGDNHLNSKMLLLHGLRNDSVKYTTCKGSFVGKKHLWLRKDVRSIIFVFALMGFLLLLDSFLVSIFDSMVLQNSSAIRKLPVLKEEDRNAYLMKEKAPVQMYDRLLKLAKGALAEKEFKPELSTLWKEPYRQVAAWKPCADRKVSPSLGKYKKGNGYIVVSANGGLNQQRVAICNAVAVASLLNATLVLPRFLYSNVWNDPSQFGDIYQEEHFMDVLKDEVKVVKELPSHLKSLDLEAIGSLITDADLVKEAKPIDYIRVVLPLLLRNRIVHLLGYGNRLGFDPLPSELQRLRCKCNFHALKFVPEIQHVGSLLVRRIRKYDAALSMLDKQLLGNFMHSSPSKEHDAARGPSKYLALHLRFEIDMVAYSLCEFGGGESEKKELQTYREVHFPLLIERLKNSKPVSPRELRKLGRCPLTPEEAGLVLAGIGFKHGTYIYLAGSQIYGGNSRMNSFTSLYPNLVTKETLLTPSELAPFQNFSSKLAALDFIACATADVFAMTDSGSQLSSLVSGFRTYYGGGRAPTLRPNKKRLAAILSENSTIQWNSFEDRVKKMIEEGQRVHVRRFGRSIYRQPRCQQCMCKSH >ONI11431 pep chromosome:Prunus_persica_NCBIv2:G4:5528126:5546662:-1 gene:PRUPE_4G106500 transcript:ONI11431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTKFKEMNANSGRSQTTKGIWIAKCVGIEPCTIAMDLEGTDGRERGQDDTFKKQSTLFVLAVSDILLINMSLDGQDKPFLETFFQVVMRFGIPRKTTLLFVIHDNSKIWNGVPKPQAHKSTRFSDFFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVANVRCEEIANHIFNQLIYDKVCTHLSPFLRCLYNIRKGWLALKEAVQTGPVQGFGKRLSSILGTYLSKYDIEAIYFDGGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALEDFKVRLEQSLNKARGFATYVRICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDMDAHASSVCSAKLSELNVNYEQQLSASLIGPVKTLLETGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEATKIMIHMKDRFSTVFNYDSDSTLRVWTGKEDIASITKDARSASMKLLSVMAAIRLDEKPDHIEKVLFSSLMDGAVTVSSSQDREIGASVDPLASSNWEEVSSKNILITPVQCQSLWRQFIAETENSVTQAISAHVMAATARCEEIANQKFSQLILDEDWLALEEAVQIGPVQGFGKRLSSILSTYLSKYDTEATIYFDEGARNSKRQLLESKALDFVYPAYTTMLGHVHSKALEDFKVRLEQSLNKGEGFTLSVRTCTQSSILEFDKGCADVAIQQANWDASRVREKLQRDIDAHASSICSAKLLELNFNYERQLSASLTGPVEALLETGGKDTWGSIRKLLNRETVVAISKFSTAISDFELDKETIAKMLQHLRDYSRNVVEKVAREEATKIMIHMKDRFFGVFNCDSDSMPRVWTEKEDIRSITKDARSAALNLLSIMAAIRLDEKSDNIEKVLFSSLMDETVTVSSSQDRRIGASAYLLASNTWEEVSSNDTLITPAQCKSLWGEFKTETEFSVTEAILAQEAYKQSNNWLPPPWATMVIVVHGFNQFMHLLK >ONI11432 pep chromosome:Prunus_persica_NCBIv2:G4:5530543:5546662:-1 gene:PRUPE_4G106500 transcript:ONI11432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGKFNAGGLDRFVKEVKLAECGISYGVVAIVGPQSSGKSALQNHLFTTKFKEMNANSGRSQTTKGIWIAKCVGIEPCTIAMDLEGTDGRERGQDDTFKKQSTLFVLAVSDILLINMSLDGQDKPFLETFFQVVMRFGIPRKTTLLFVIHDNSKIWNGVPKPQAHKSTRFSDFFSEEVVVMSSYEEKEKFKEEVAQLRQRLSPGMLFAGYRRGLVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVANVRCEEIANHIFNQLIYDKVCTHLSPFLRCLYNIRKGWLALKEAVQTGPVQGFGKRLSSILGTYLSKYDIEAIYFDGGARNSKRQLLESKALDFVYPAYTTMLGHVRSKALEDFKVRLEQSLNKARGFATYVRICTHSSMLEFDKGCADAAVIQANWDASRVREKLQRDMDAHASSVCSAKLSELNVNYEQQLSASLIGPVKTLLETGGKDTWASIRKLLNRETEVAISEFSTVVADFELDEATIAEMLQHLRDYSRNVVEKKAREEATKIMIHMKDRFSTVFNYDSDSTLRVWTGKEDIASITKDARSASMKLLSVMAAIRLDEKPDHIEKVLFSSLMDGAVTVSSSQDREIGASVDPLASSNWEEVSSKNILITPVQCQSLWRQFIAETENSVTQAISAHVMAATARCEEIANQKFSQLILDEDWLALEEAVQIGPVQGFGKRLSSILSTYLSKYDTEATIYFDEGARNSKRQLLESKALDVWLLSSSL >ONI12345 pep chromosome:Prunus_persica_NCBIv2:G4:9085527:9090566:-1 gene:PRUPE_4G158300 transcript:ONI12345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHISTPSFAWPNPNTSKLFDLEFPNQSFGKRDFVGLVAENSGINGVSAILNIGNRIGQVGADFGACLNGMVQQFFRTLPVPFRHDENAAIVAVRIDSDKVRQRADASLSGKEDLGPLMERSKDFRVVESDGVSDGLVDEELAGLNLRSAGLLGRPLGSINTSTTYDSRTRQLESSFLARGDLWRVEASSGSSTSRNDNSSLFLVQLGPVLFVRDATLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMVCLNPLACSFVDLQFPNGQFTFVSGEGLSTSAFLPLCGGLLQAQGQYPGEMRFSFSRKFMPHFWWKQSWFAGRTHSLSEGGAQPDMWLCIHTTSFCVCFNICWQIQVEWKCWELGTSF >ONI12346 pep chromosome:Prunus_persica_NCBIv2:G4:9085527:9090566:-1 gene:PRUPE_4G158300 transcript:ONI12346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHISTPSFAWPNPNTSKLFDLEFPNQSFGKRDFVGLVAENSGINGVSAILNIGNRIGQVGADFGACLNGMVQQFFRTLPVPFRHDENAAIVAVRIDSDKVRQRADASLSGKEDLGPLMERSKDFRVVESDGVSDGLVDEELAGLNLRSAGLLGRPLGSINTSTTYDSRTRQLESSFLARGDLWRVEASSGSSTSRNDNSSLFLVQLGPVLFVRDATLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMVCLNPLACSFVDLQFPNGQFTFVSGEGLSTSAFLPLCGGLLQAQGQYPGEMRFSFSRKNKWGTRITPMIQWPDKSFTLGLSQALAWQRSGLMVRPTIQFRLADPSGMEMLGTRD >ONI12344 pep chromosome:Prunus_persica_NCBIv2:G4:9085862:9089974:-1 gene:PRUPE_4G158300 transcript:ONI12344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHISTPSFAWPNPNTSKLFDLEFPNQSFGKRDFVGLVAENSGINGVSAILNIGNRIGQVGADFGACLNGMVQQFFRTLPVPFRHDENAAIVAVRIDSDKVRQRADASLSGKEDLGPLMERSKDFRVVESDGVSDGLVDEELAGLNLRSAGLLGRPLGSINTSTTYDSRTRQLESSFLARGDLWRVEASSGSSTSRNDNSSLFLVQLGPVLFVRDATLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMVCLNPLACSFVDLQFPNGQFTFVSGEGLSTSAFLPLCGGLLQAQGQYPGEMRFSFSRKNKWGTRITPMIQWPDKSFTLGLSQALAWQRSGLMVRPTIQFSLCPTFGGSNPGLRAELIHSVKEELNLICGCAFTPHPSVFASISVGRSKWNGNVGNSGLVFRVDTPLTNVDRPSFSVQLNNVLEF >ONI12347 pep chromosome:Prunus_persica_NCBIv2:G4:9086365:9090566:-1 gene:PRUPE_4G158300 transcript:ONI12347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHISTPSFAWPNPNTSKLFDLEFPNQSFGKRDFVGLVAENSGINGVSAILNIGNRIGQVGADFGACLNGMVQQFFRTLPVPFRHDENAAIVAVRIDSDKVRQRADASLSGKEDLGPLMERSKDFRVVESDGVSDGLVDEELAGLNLRSAGLLGRPLGSINTSTTYDSRTRQLESSFLARGDLWRVEASSGSSTSRNDNSSLFLVQLGPVLFVRDATLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMVCLNPLACSFVDLQFPNGQFTFVSGEGLSTSAFLPLCGGLLQAQGQYPGEMRFSFSRKNKWGTRITPMIQWPDKSFTLGLSQALAWQRSGLMVRPTIQFSGIL >ONI13503 pep chromosome:Prunus_persica_NCBIv2:G4:14396207:14398938:1 gene:PRUPE_4G226600 transcript:ONI13503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSHSHLALCGWLSLNLSVAECEGWKLESCSFLIMIWDFKLMALRLHIVQTKSTGFLKEDARRCLVLKMTSQPTEHETSLQSMVFQLAAHPPFPASDKGWGKELGQVQD >ONI13506 pep chromosome:Prunus_persica_NCBIv2:G4:14396794:14397343:1 gene:PRUPE_4G226600 transcript:ONI13506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSHSHLALCGWLSLNLSVAECEGWKLESCSFLIMIWDFKLMALRLHIVQTKSTGFLKEDARRCLVLKM >ONI13504 pep chromosome:Prunus_persica_NCBIv2:G4:14396207:14398936:1 gene:PRUPE_4G226600 transcript:ONI13504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSHSHLALCGWLSLNLSVAECEGWKLESCSFLIMIWDFKLMALRLHIVQTKSTGFLKEDARRCLVLKMTSQPTEHETSLQSMVPCI >ONI13507 pep chromosome:Prunus_persica_NCBIv2:G4:14396794:14397343:1 gene:PRUPE_4G226600 transcript:ONI13507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSHSHLALCGWLSLNLSVAECEGWKLESCSFLIMIWDFKLMALRLHIVQTKSTGFLKEDARRCLVLKM >ONI13505 pep chromosome:Prunus_persica_NCBIv2:G4:14396207:14398936:1 gene:PRUPE_4G226600 transcript:ONI13505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSHSHLALCGWLSLNLSVAECEGWKLESCSFLIMIWDFKLMALRLHIVQTKSTGFLKEDARRCLVLKM >ONI11281 pep chromosome:Prunus_persica_NCBIv2:G4:5016797:5022474:1 gene:PRUPE_4G098700 transcript:ONI11281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPLPKYVIEALWKKMIEIENIWMDMNPYGGRMSEIPESATPYPHRAGNLFFALYYSSWYDEGTETTDKYVRLTRELYDMMTPYVSKSPREAFQNYRDLDIGANQDNHTSFEVATIYGRKYFKVSWTTSKTVAIDGFLQCLTIHSHAHPIQEAIYTPHNASFQSVLLLHINNRRYSTPTTPKPLAIITAKHESHVQATVLCAKQHGLQLKIRSGGHDFEGLSYTSDIPFVILDMFNINSIDVNLADNTAWVHSGATLGEVYYAIGTKTNVYGFPAGLCPTVGAGGHISGGGYGVMMRKYGLSVDNVVDAKIVTVKGQILDRKSMGEDLFWAIRGGGGASFGVILSWKLKLVPVPSKVTVFNVTKTIEEGATDLVYKWQTVAPELPEDLFLRAMPQVKNIDTKGKKTVAVSFIGQFLGQSDEVVALLTERFPELGLQRTDCHEVRWVEATVFWAENPIGTPIDVLLDKPIEPATFYKGKADYMKEPLPKYVIEALWKKMIEIENIWVDMNPYGGRMSEIPESATPYPHRAGNLFFALYYSSWYDEGTETTDKYVRLTRELYDMMTPYVSKSPRQAFQNYRDLDIGANQDNQTRLRVATVYGSKYFKGNFARLVRVKTAVDPHNFFRHKQSIPPF >ONI09908 pep chromosome:Prunus_persica_NCBIv2:G4:828867:833902:1 gene:PRUPE_4G017700 transcript:ONI09908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHQLSLALFLFSCCEPATIKPVNMGTIFKVVVLPLFLSSLLLSLVSSAPNDGLFRIGLKKVKLDPNNRVAAQLESKNVEALRASMRKYHLPANLEDSGDIDILPLKNYLDAQYYGEIAIGTPPQTFTVIFDTGSANLWVPSSKCHFSVACYFHANYKSGKSSTYKKNGTSASIQYGTGAISGFYSNDNVQVGDIVVENQDFIEATSEPGLTFLAAKFDGLLGLGFQEISVGNAVPVWYNMIEQGLIKEPLFSFWLNRNAQEEEGGEIVFGGVDPNHFKGKHTYVPVTKKGYWQFDMGDVLIGGKPTGYCSGGCFAVADSGTSLLAGPTTVITMINQAIGAEGVVSQECKAVAQQYGQTIMNLLLAEARPDKVCSQIGLCTFDGTRSVSMGIESVVDKSNGKSSGILHDASCSACEMAVVWMKNQLGQNQTQDRILNYVNELCERMPSPMGQSAVDCGRLSSMPSVSFTIGGKVFELTPNEDLGRYLHGSLPHRL >ONI09907 pep chromosome:Prunus_persica_NCBIv2:G4:828867:833902:1 gene:PRUPE_4G017700 transcript:ONI09907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHQLSLALFLFSCCEPATIKPVNMGTIFKVVVLPLFLSSLLLSLVSSAPNDGLFRIGLKKVKLDPNNRVAAQLESKNVEALRASMRKYHLPANLEDSGDIDILPLKNYLDAQYYGEIAIGTPPQTFTVIFDTGSANLWVPSSKCHFSVACYFHANYKSGKSSTYKKNGTSASIQYGTGAISGFYSNDNVQVGDIVVENQDFIEATSEPGLTFLAAKFDGLLGLGFQEISVGNAVPVWYNMIEQGLIKEPLFSFWLNRNAQEEEGGEIVFGGVDPNHFKGKHTYVPVTKKGYWQFDMGDVLIGGKPTGYCSGGCFAVADSGTSLLAGPTTVITMINQAIGAEGVVSQECKAVAQQYGQTIMNLLLAEARPDKVCSQIGLCTFDGTRSVSMGIESVVDKSNGKSSGILHDASCSACEMAVVWMKNQLGQNQTQDRILNYVNELCERMPSPMGQSAVDCGRLSSMPSVSFTIGGKVFELTPNEYILKVGEGPQAQCISGFINIDIPPPRGPLWILGDIFMGRYHTVFDSGNMRVGFAEAA >ONI10983 pep chromosome:Prunus_persica_NCBIv2:G4:3886687:3889848:-1 gene:PRUPE_4G080100 transcript:ONI10983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQSLHTSNVKHHCMSCSTGKFLFLIMIFGVAYLMLTHTSPTNSVTGGFVKGSKNNSVEHITGGGIRFGNFLRKPPRLPPQLPPDEKGSNGNLVHERRKLNSDPKWIARQQDVKKAFIHAWSGYKKYAMGYDELMPVSKHGVDGLGGLGATVVDALDTAMIMSADEVVSEAGLWIEAHLSNRINQTGQVNLFETTIRVLGGLLSAYHLSSVEKGMDSTHKGPKPVVYLETAKILADRLLSGFTSSPTAIPFGDVVLRDPSAHPAPDGRSSTSEVSSLQLEFNYLSSVSGDPKYSVEAMKVLEHMKTLPKVEGLVPIFINPSTGEFSGENIRLGSRGDSYYEYLLKVWLQKNQESNVTYLYDMYEEAMKGVRHLLVRKSIPNGLVFVGELPYGSEGSFSPKMDHLVCFLPGTLALGATKGITKKKAMTDSVINFEDLKNLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTEEFSEGGLDGGNKSSEYVNDIIIKPADRHNLLRPETVESLFVLYRITEDPKYREWGWQIFEAFEKYTRIDTGGYSSLDDVTAIPPHKRDKMETFFLGETLKYLYLLFGDSSVLPLDKFVFNTEAHPIPVEGTTKRG >ONI10982 pep chromosome:Prunus_persica_NCBIv2:G4:3886021:3890589:-1 gene:PRUPE_4G080100 transcript:ONI10982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSLPYSKKDVHYDNAKFRHRSFVKMISQSLHTSNVKHHCMSCSTGKFLFLIMIFGVAYLMLTHTSPTNSVTGGFVKGSKNNSVEHITGGGIRFGNFLRKPPRLPPQLPPDEKGSNGNLVHERRKLNSDPKWIARQQDVKKAFIHAWSGYKKYAMGYDELMPVSKHGVDGLGGLGATVVDALDTAMIMSADEVVSEAGLWIEAHLSNRINQTGQVNLFETTIRVLGGLLSAYHLSSVEKGMDSTHKGPKPVVYLETAKILADRLLSGFTSSPTAIPFGDVVLRDPSAHPAPDGRSSTSEVSSLQLEFNYLSSVSGDPKYSVEAMKVLEHMKTLPKVEGLVPIFINPSTGEFSGENIRLGSRGDSYYEYLLKVWLQKNQESNVTYLYDMYEEAMKGVRHLLVRKSIPNGLVFVGELPYGSEGSFSPKMDHLVCFLPGTLALGATKGITKKKAMTDSVINFEDLKNLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTEEFSEGGLDGGNKSSEYVNDIIIKPADRHNLLRPETVESLFVLYRITEDPKYREWGWQIFEAFEKYTRIDTGGYSSLDDVTAIPPHKRDKMETFFLGETLKYLYLLFGDSSVLPLDKFVFNTEAHPIPVEGTTKRG >ONI13630 pep chromosome:Prunus_persica_NCBIv2:G4:15118949:15119512:-1 gene:PRUPE_4G234300 transcript:ONI13630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRIYRFHGSVRIIQISELRFNLPPGPRPWPIVGNLYDIKLSSSSSLQVPVQLRCVRIGRSGVSAPSRPRILPVVAAKKQTFSSFEDLLATTDKPVLVDFYATWCGPCQFMAPILNEVSITLNDKIQVVKIDTEKYLGAIFDITATNGLDTGRKLCIFGFCRSIEMLKHKLWVGHQCDHTWSYWKG >ONI11881 pep chromosome:Prunus_persica_NCBIv2:G4:7306197:7314084:1 gene:PRUPE_4G132000 transcript:ONI11881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGKDEFQPASQSYLQDSLSSSMHTDMRSNDLNIPEIKPVHNYSIQTGEEFALQFMLDRVNPRKPLNPNAVGDPSYATDYIELKGILGISNTGSESGSDTSMLPLAEKGPNQFERNRSSLHDDRNNYASVQSVPRASSGYENSHIHRYASSGASDSSSMKMKVLCSFGGKILPRPSDGKLRYVGGETRIIRIRKDISWQELIHKALSIYNQVHVIKYQLPGEDLDALVSVSCDEDLLNMMEEWNELEDKEGPQKLRMFLFSMSDLDDAQFGLHGVDGDSEVQYVVAVNGMDLGSRKNSTLLAMTSTLTNNLDELNGQNIEKETSRVAKDSIQVGTSSLTGNIVSSRTVQSSEPMLPNFSNAYDTYPHFQHSQVMHYGQNVQYSLHNGHTLPSHSPFGGTTVSVPHHGIMNQQGGSIEEQPSSRSREQNFEMPVKQVKRDGSLQQESDPEKLRPSGKEHSVPLQLYDGNLMNHLPVEEASKDERKYQEPEKVASSIDSGNPVLVHKSSEIEHNSTSGNAFAPAYADHLSNGVDFNYQEPAVLPKRVYYSERIPREQAELLNRSSKSDDSHGSPFLITHSHSDVTQKDPITEGVNKLHEHGNLAPQTEQSTPTVYVDAQTVDDGLAQLQKYKEFADSISQMNAKLLQDTDGELKRALPTHVDNIETAKRDRILESDQETNFPKDSHKNNIVEAGSHISGIPSVKHQELSASNHSELNQEEATGKDPSTVDTMGRAQPITLTGKLSKDVSQETAPVGASTPVEGDIIIDIEERFPRDFLSDIFSKAVLSEDSPDFGLLQKDGTGLSLNMENHEPRRWSYFQKLAQEGFDKKDVSLIDQDLGFPSVIGNDVEGDGRSYHLTPLIAAGVSMVHVDSQPKFAEDIQKDLPGMTQAETTVLHSNYDQLQVKDTESMQFEGMMENIRAQDSEYEEGNFASRKAGLPPLDPSLGDFDISTLQLIKNDDLEQLKELGSGTFGTVYHGKWRGSDVAIKRLNKSCFTGRSSEQERLSIEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEYMVDGSLRHVLLRKDRYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPVRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSTKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDPEWRVLMEQCWAPNPAARPSFTEIAGCLRVMTTAASQPKAQGYKASK >ONI11882 pep chromosome:Prunus_persica_NCBIv2:G4:7306197:7314084:1 gene:PRUPE_4G132000 transcript:ONI11882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGKDEFQPASQSYLQDSLSSSMHTDMRSNDLNIPEIKPVHNYSIQTGEEFALQFMLDRVNPRKPLNPNAVGDPSYATDYIELKGILGISNTGSESGSDTSMLPLAEKGPNQFERNRSSLHDDRNNYASVQSVPRASSGYENSHIHRYASSGASDSSSMKMKVLCSFGGKILPRPSDGKLRYVGGETRIIRIRKDISWQELIHKALSIYNQVHVIKYQLPGEDLDALVSVSCDEDLLNMMEEWNELEDKEGPQKLRMFLFSMSDLDDAQFGLHGVDGDSEVQYVVAVNGMDLGSRKNSTLLAMTSTLTNNLDELNGQNIEKETSRVAKDSIQHSQVMHYGQNVQYSLHNGHTLPSHSPFGGTTVSVPHHGIMNQQGGSIEEQPSSRSREQNFEMPVKQVKRDGSLQQESDPEKLRPSGKEHSVPLQLYDGNLMNHLPVEEASKDERKYQEPEKVASSIDSGNPVLVHKSSEIEHNSTSGNAFAPAYADHLSNGVDFNYQEPAVLPKRVYYSERIPREQAELLNRSSKSDDSHGSPFLITHSHSDVTQKDPITEGVNKLHEHGNLAPQTEQSTPTVYVDAQTVDDGLAQLQKYKEFADSISQMNAKLLQDTDGELKRALPTHVDNIETAKRDRILESDQETNFPKDSHKNNIVEAGSHISGIPSVKHQELSASNHSELNQEEATGKDPSTVDTMGRAQPITLTGKLSKDVSQETAPVGASTPVEGDIIIDIEERFPRDFLSDIFSKAVLSEDSPDFGLLQKDGTGLSLNMENHEPRRWSYFQKLAQEGFDKKDVSLIDQDLGFPSVIGNDVEGDGRSYHLTPLIAAGVSMVHVDSQPKFAEDIQKDLPGMTQAETTVLHSNYDQLQVKDTESMQFEGMMENIRAQDSEYEEGNFASRKAGLPPLDPSLGDFDISTLQLIKNDDLEQLKELGSGTFGTVYHGKWRGSDVAIKRLNKSCFTGRSSEQERLSIEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEYMVDGSLRHVLLRKDRYLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPVRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSTKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDPEWRVLMEQCWAPNPAARPSFTEIAGCLRVMTTAASQPKAQGYKASK >ONI13798 pep chromosome:Prunus_persica_NCBIv2:G4:16312077:16317360:-1 gene:PRUPE_4G245800 transcript:ONI13798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKAVVFSIPFGVIFVVLGLFVNLLQAICFLTIRPLSKSTYRKINGTIASLLWLDHIWLLEWWAGLKVKLYTDLETYRLMGEEHALLMPNHMCDADILIGFLLAQRLGCLRSALMVMKKSAKFLPIFGWTSWFLGFVFLNRSWEKDESNLKRSLQGLKDFPMPFWLTIFAEGTRMTPDKLSEAQKFAASRKLPIPKNVLIPRTKGFVTAVKNLRSFVPAVYDVTLAVPEGHSTPSLHTMMERKSTMVKIHIKRYPMKDLPQSDEAIAQWCRDRIPCWTSFGLRVPSVTKKFMTLVDLLWHCLLIAAAAI >ONI13797 pep chromosome:Prunus_persica_NCBIv2:G4:16312263:16317170:-1 gene:PRUPE_4G245800 transcript:ONI13797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKAVVFSIPFGVIFVVLGLFVNLLQAICFLTIRPLSKSTYRKINGTIASLLWLDHIWLLEWWAGLKVKLYTDLETYRLMGEEHALLMPNHMCDADILIGFLLAQRLGCLRSALMVMKKSAKFLPIFGWTSWFLGFVFLNRSWEKDESNLKRSLQGLKDFPMPFWLTIFAEGTRMTPDKLSEAQKFAASRKLPIPKNVLIPRTKGFVTAVKNLRSFVPAVYDVTLAVPEGHSTPSLHTMMERKSTMVKIHIKRYPMKDLPQSDEAIAQWCRDRFVAKDSMLDKFRAEGTFGDQEIHDTGRSIMALLAHSSSCYLIYFAMMKLCQKFSLLSTWRGVGVLVTSFAATVLLMHIFIEYTKLPKQKGVQTTQSTRGKATDFKFN >ONI11812 pep chromosome:Prunus_persica_NCBIv2:G4:6982370:6984007:-1 gene:PRUPE_4G127100 transcript:ONI11812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTPLLSELNLADPEPPVLHKHLPSLDSTIERCIGDFGWAQFLQATLVSFSWFFDAQQTFITVFTDAEPTWHCTQLTDRHSSCNSASNICQLPQNLWAWDRPKYTSTVSEWALECSSSLVTGLPASAFFMGCLIGGLALSTLADTSLGRKNMLFLSCLIMSLSTFLTAFSNNIWIYSAFRLITGFGRATIGTSALVLSTELVGRRWRGQVGVIGFFCFTLGFLSLPAIAYSQRAHSWRSLYIWTSIPTLLYSITVHFLVRESPRWLFVRGRKEDAIATLKCIAPIDSATSKTPFTSSFISSLSFEQETWNVDIYSAISVLVERRWAFRRLSAVMAIGFGTGMVYYGMPLALGSLNFNLYLSVTFNALSELPASLIAFFFIDQMNRKTSLLVFTSLCGICSIMSVLKGMNPIWTRLQIGFELVSFFSACSAFNVLLIFMIELFPTCVRNSALSMVRQAVVLGGVFSPMLAAAGRANGGFLSYGVFGVVVGVCGLFAFCLPETRGRGICDTMDEQEYKDTAACNAVGRV >ONI11018 pep chromosome:Prunus_persica_NCBIv2:G4:4045153:4046546:-1 gene:PRUPE_4G082800 transcript:ONI11018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLVRENLFLGNIGDAAKVIRNGSKEITHILCAIPTKESKKVYDGGSLRGSTSVGDGSKSCLLSDKLSYSLERAGKDLKLVRMGVPLRDMDDENLLDYLDVSQKKGSVLVHCFAGVSRSASIITAYPMRTEHLSQEDAPGSLRQSCEFVCPNDGFLDQLKMYEEMGFKVDHASPIYKSFRLKVLGESYHRGDKIDSSKFGADPGLPSEVASGLKTAQIGGKTGESEKSFEWRKRKSSYLCEDSECSSIFVEPLRWMTAVEEEEQWRASCHVHIVKLAWVTSIGQLVRHPMQLWELDHPRLPASEKPCGRQHVYL >ONI10281 pep chromosome:Prunus_persica_NCBIv2:G4:1802277:1811567:-1 gene:PRUPE_4G038500 transcript:ONI10281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFVELVASLIGCLQNSTCLGTPLEEKYKYFAKRKEYLETLKKGMALLKSRRCEVESESEEKVVSKPYKISDWLERSNDFITETNELNFEDTISGNWCGFPNYYRSQYKAGKSILGRIEKVNLLKEEGTYLFNVEEDFVVGYIQKNTKELVGKTASAFEKIMKCVSEKEVGIICVYGMSGSGKTEVLKEVNNQILKQYKSCTSEDGRDGNITAQFEKVIWVTVENVGPPEAAIAALQKGIQKELQLDDHSAGNRADKLDSALRQRRFLIVLDDMRKELSLEHIGIPWQTNNGSKVIIVSKSRPVCRGITFDREVEIELVSDEEALKLFEVEAGIGFSALREDTRAAAVNMVRECDGLPVAIAGLAQTLKEIMKLDPSDVDVDVDAEWNGAFYKLRNSSVLLEKKNRKAFARLLNSYSMLKQEAQQCFLYCALYPPGHCIEKKELVEHWFWEGLLSSRERIKGQLIEDTTYAREILDEIKGAYLLEAVSEGGKECIKMRNLVRHMAIHLTKTIPDYDQFLIEAGVKLTDCPLIGRNLSKVERASLMKSQFKALTKKPKFYKLSTLLLQHNPISHFDDDFFRNMPNLKVLNLSHTNISTLPKSASSYLTKLRALLLRGCCNLTSLPSLANSEELLVLDLSDTPITELPDGMKKLTKLIRLDLSCTNVGEFQAELVRELKNLEELFLITNDSAGSLWGSEKGASSLWGSEKAASCIQELGALQHLAILHINFLDAKAFNTYVDSKDHSLSKFKFSVGGSWDKTKLPENSIVFIKSDFLVSGKPVSLPEDTSELHVMSNKDLYWLPISGRRCLDSLKVIDISGCESLVYLFERNVSHNLPNLEKISVKNCQKMEALISKEDPLPFAPCLNKLKVIHISDCENLGYLFRIGMDSCQYTSGQKKIYSTCFPNLQEVFITRRWRMKVDKESKEPNPAVHPRPTDLVLVDPLELKKYYDFEKKDNPQEHIWYFPDLKMQIITSLPEELLPRPRSSNQIKRSRSTDSIEKLAGSTDRTLLLSNLLNSILFLSRVHD >ONI10284 pep chromosome:Prunus_persica_NCBIv2:G4:1802534:1806340:-1 gene:PRUPE_4G038500 transcript:ONI10284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFVELVASLIGCLQNSTCLGTPLEEKYKYFAKRKEYLETLKKGMALLKSRRCEVESESEEKVVSKPYKISDWLERSNDFITETNELNFEDTISGNWCGFPNYYRSQYKAGKSILGRIEKVNLLKEEGTYLFNVEEDFVVGYIQKNTKELVGKTASAFEKIMKCVSEKEVGIICVYGMSGSGKTEVLKEVNNQILKQYKSCTSEDGRDGNITAQFEKVIWVTVENVGPPEAAIAALQKGIQKELQLDDHSAGNRADKLDSALRQRRFLIVLDDMRKELSLEHIGIPWQTNNGSKVIIVSKSRPVCRGITFDREVEIELVSDEEALKLFEVEAGIGFSALREDTRAAAVNMVRECDGLPVAIAGLAQTLKEIMKLDPSDVDVDVDAEWNGAFYKLRNSSVLLEKKNRKAFARLLNSYSMLKQEAQQCFLYCALYPPGHCIEKKELVEHWFWEGLLSSRERIKGQLIEDTTYAREILDEIKGAYLLEAVSEGGKECIKMRNLVRHMAIHLTKTIPDYDQFLIEAGVKLTDCPLIGRNLSKVERASLMKSQFKALTKKPKFYKLSTLLLQHNPISHFDDDFFRNMPNLKVLNLSHTNISTLPKSASSYLTKLRALLLRGCCNLTSLPSLANSEELLVLDLSDTPITELPDGMKKLTKLIRLDLSCTNVGEFQAELVRELKNLEELFLITNDSAGSLWGSEKGASSLWGSEKAASCIQELGALQHLAILHINFLDAKAFNTYVDSKDHSLSKFKFSVGGSWDKTKLPENSIVFIKSDFLVSGKPVSLPEDTSELHVMSNKDLYWLPISGRRCLDSLKVIDISGCESLVYLFERNVSHNLPNLEKISVKNCQKMEALISKEDPLPFAPCLNKLKVIHISDCENLGYLFRIGMDSCQYTSGQKKIYSTCFPNLQEVFITRRWRMKVDKESKEPNPAVHPRPTDLVLVDPLELKKYYDFEKKDNPQEHIWYFPDLKMQIITSLPEELLPRPRSSNQIKRSRSTDSIEKLAGSTDRTLLLSNLLNSILFLSRVHD >ONI10282 pep chromosome:Prunus_persica_NCBIv2:G4:1802277:1811231:-1 gene:PRUPE_4G038500 transcript:ONI10282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFVELVASLIGCLQNSTCLGTPLEEKYKYFAKRKEYLETLKKGMALLKSRRCEVESESEEKVVSKPYKISDWLERSNDFITETNELNFEDTISGNWCGFPNYYRSQYKAGKSILGRIEKVNLLKEEGTYLFNVEEDFVVGYIQKNTKELVGKTASAFEKIMKCVSEKEVGIICVYGMSGSGKTEVLKEVNNQILKQYKSCTSEDGRDGNITAQFEKVIWVTVENVGPPEAAIAALQKGIQKELQLDDHSAGNRADKLDSALRQRRFLIVLDDMRKELSLEHIGIPWQTNNGSKVIIVSKSRPVCRGITFDREVEIELVSDEEALKLFEVEAGIGFSALREDTRAAAVNMVRECDGLPVAIAGLAQTLKEIMKLDPSDVDVDVDAEWNGAFYKLRNSSVLLEKKNRKAFARLLNSYSMLKQEAQQCFLYCALYPPGHCIEKKELVEHWFWEGLLSSRERIKGQLIEDTTYAREILDEIKGAYLLEAVSEGGKECIKMRNLVRHMAIHLTKTIPDYDQFLIEAGVKLTDCPLIGRNLSKVERASLMKSQFKALTKKPKFYKLSTLLLQHNPISHFDDDFFRNMPNLKVLNLSHTNISTLPKSASSYLTKLRALLLRGCCNLTSLPSLANSEELLVLDLSDTPITELPDGMKKLTKLIRLDLSCTNVGEFQAELVRELKNLEELFLITNDSAGSLWGSEKGASSLWGSEKAASCIQELGALQHLAILHINFLDAKAFNTYVDSKDHSLSKFKFSVGGSWDKTKLPENSIVFIKSDFLVSGKPVSLPEDTSELHVMSNKDLYWLPISGRRCLDSLKVIDISGCESLVYLFERNVSHNLPNLEKISVKNCQKMEALISKEDPLPFAPCLNKLKVIHISDCENLGYLFRIGMDSCQYTSGQKKIYSTCFPNLQEVFITRRWRMKVDKESKEPNPAVHPRPTDLVLVDPLELKKYYDFEKKDNPQEHIWYFPDLKMQIITSLPEELLPRPRSSNQIKRSRSTDSIEKLAGSTDRTLLLSNLLNSILFLSRVHD >ONI10285 pep chromosome:Prunus_persica_NCBIv2:G4:1802277:1806123:-1 gene:PRUPE_4G038500 transcript:ONI10285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLKSRRCEVESESEEKVVSKPYKISDWLERSNDFITETNELNFEDTISGNWCGFPNYYRSQYKAGKSILGRIEKVNLLKEEGTYLFNVEEDFVVGYIQKNTKELVGKTASAFEKIMKCVSEKEVGIICVYGMSGSGKTEVLKEVNNQILKQYKSCTSEDGRDGNITAQFEKVIWVTVENVGPPEAAIAALQKGIQKELQLDDHSAGNRADKLDSALRQRRFLIVLDDMRKELSLEHIGIPWQTNNGSKVIIVSKSRPVCRGITFDREVEIELVSDEEALKLFEVEAGIGFSALREDTRAAAVNMVRECDGLPVAIAGLAQTLKEIMKLDPSDVDVDVDAEWNGAFYKLRNSSVLLEKKNRKAFARLLNSYSMLKQEAQQCFLYCALYPPGHCIEKKELVEHWFWEGLLSSRERIKGQLIEDTTYAREILDEIKGAYLLEAVSEGGKECIKMRNLVRHMAIHLTKTIPDYDQFLIEAGVKLTDCPLIGRNLSKVERASLMKSQFKALTKKPKFYKLSTLLLQHNPISHFDDDFFRNMPNLKVLNLSHTNISTLPKSASSYLTKLRALLLRGCCNLTSLPSLANSEELLVLDLSDTPITELPDGMKKLTKLIRLDLSCTNVGEFQAELVRELKNLEELFLITNDSAGSLWGSEKGASSLWGSEKAASCIQELGALQHLAILHINFLDAKAFNTYVDSKDHSLSKFKFSVGGSWDKTKLPENSIVFIKSDFLVSGKPVSLPEDTSELHVMSNKDLYWLPISGRRCLDSLKVIDISGCESLVYLFERNVSHNLPNLEKISVKNCQKMEALISKEDPLPFAPCLNKLKVIHISDCENLGYLFRIGMDSCQYTSGQKKIYSTCFPNLQEVFITRRWRMKVDKESKEPNPAVHPRPTDLVLVDPLELKKYYDFEKKDNPQEHIWYFPDLKMQIITSLPEELLPRPRSSNQIKRSRSTDSIEKLAGSTDRTLLLSNLLNSILFLSRVHD >ONI10283 pep chromosome:Prunus_persica_NCBIv2:G4:1802277:1811392:-1 gene:PRUPE_4G038500 transcript:ONI10283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEFVELVASLIGCLQNSTCLGTPLEEKYKYFAKRKEYLETLKKGMALLKSRRCEVESESEEKVVSKPYKISDWLERSNDFITETNELNFEDTISGNWCGFPNYYRSQYKAGKSILGRIEKVNLLKEEGTYLFNVEEDFVVGYIQKNTKELVGKTASAFEKIMKCVSEKEVGIICVYGMSGSGKTEVLKEVNNQILKQYKSCTSEDGRDGNITAQFEKVIWVTVENVGPPEAAIAALQKGIQKELQLDDHSAGNRADKLDSALRQRRFLIVLDDMRKELSLEHIGIPWQTNNGSKVIIVSKSRPVCRGITFDREVEIELVSDEEALKLFEVEAGIGFSALREDTRAAAVNMVRECDGLPVAIAGLAQTLKEIMKLDPSDVDVDVDAEWNGAFYKLRNSSVLLEKKNRKAFARLLNSYSMLKQEAQQCFLYCALYPPGHCIEKKELVEHWFWEGLLSSRERIKGQLIEDTTYAREILDEIKGAYLLEAVSEGGKECIKMRNLVRHMAIHLTKTIPDYDQFLIEAGVKLTDCPLIGRNLSKVERASLMKSQFKALTKKPKFYKLSTLLLQHNPISHFDDDFFRNMPNLKVLNLSHTNISTLPKSASSYLTKLRALLLRGCCNLTSLPSLANSEELLVLDLSDTPITELPDGMKKLTKLIRLDLSCTNVGEFQAELVRELKNLEELFLITNDSAGSLWGSEKGASSLWGSEKAASCIQELGALQHLAILHINFLDAKAFNTYVDSKDHSLSKFKFSVGGSWDKTKLPENSIVFIKSDFLVSGKPVSLPEDTSELHVMSNKDLYWLPISGRRCLDSLKVIDISGCESLVYLFERNVSHNLPNLEKISVKNCQKMEALISKEDPLPFAPCLNKLKVIHISDCENLGYLFRIGMDSCQYTSGQKKIYSTCFPNLQEVFITRRWRMKVDKESKEPNPAVHPRPTDLVLVDPLELKKYYDFEKKDNPQEHIWYFPDLKMQIITSLPEELLPRPRSSNQIKRSRSTDSIEKLAGSTDRTLLLSNLLNSILFLSRVHD >ONI12028 pep chromosome:Prunus_persica_NCBIv2:G4:7832538:7839772:-1 gene:PRUPE_4G140300 transcript:ONI12028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARITLCSQLLPSLLVPVRQRNHYQLMGVIGTGLSRGRRFSAASSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLNVLSDLSKSQRAVPASIELVDIAGLVKGASQGEGLGNKFLSNIREVDSILQIEKRVDKLKKGKAKDSQTKIKEEAERSSLEKIQHALLDGKPARSVALTDLEKDAVKHLCLLTMKPVIYVANVAESDLAEPGHNPHVKEVMNIAPELQSGVVTISAQVESELTELPYEERTEFLESLGVSESGLGNLIRATYGILGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFERGFIRAETVSYDDFVAAGSLAAARERGVLRSEGKEYIVQEGDVMLFRFNV >ONI12032 pep chromosome:Prunus_persica_NCBIv2:G4:7834482:7839566:-1 gene:PRUPE_4G140300 transcript:ONI12032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARITLCSQLLPSLLVPVRQRNHYQLMGVIGTGLSRGRRFSAASSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLNVLSDLSKSQRAVPASIELVDIAGLVKGASQGEGLGNKFLSNIREVDSILQVVRCFEDNDVVHVNGKVDPKADIDVINLELIFSDLDQIEKRVDKLKKGKAKDSQTKIKEEAERSSLEKIQHALLDGKPARSVALTDLEKDAVKHLCLLTMKPVIYVANVAESDLAEPGHNPHVKEVMNIAPELQSGVVTISAQVESELTELPYEERTEFLESLGVSESGLGNLIRATYGILGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFERGFIRAETI >ONI12033 pep chromosome:Prunus_persica_NCBIv2:G4:7834482:7839566:-1 gene:PRUPE_4G140300 transcript:ONI12033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARITLCSQLLPSLLVPVRQRNHYQLMGVIGTGLSRGRRFSAASSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLNVLSDLSKSQRAVPASIELVDIAGLVKGASQGEGLGNKFLSNIREVDSILQVVRCFEDNDVVHVNGKVDPKADIDVINLELIFSDLDQIEKRVDKLKKGKAKDSQTKIKEEAERSSLEKIQHALLDGKPARSVALTDLEKDAVKHLCLLTMKPVIYVANVAESDLAEPGHNPHVKEVMNIAPELQSGVVTISAQVESELTELPYEERTEFLESLGVSESGLGNLIRATYGILGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFERGFIRAETI >ONI12031 pep chromosome:Prunus_persica_NCBIv2:G4:7834385:7839566:-1 gene:PRUPE_4G140300 transcript:ONI12031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARITLCSQLLPSLLVPVRQRNHYQLMGVIGTGLSRGRRFSAASSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLNVLSDLSKSQRAVPASIELVDIAGLVKGASQGEGLGNKFLSNIREVDSILQVVRCFEDNDVVHVNGKVDPKADIDVINLELIFSDLDQIEKRVDKLKKGKAKDSQTKIKEEAERSSLEKIQHALLDGKPARSVALTDLEKDAVKHLCLLTMKPVIYVANVAESDLAEPGHNPHVKEVMNIAPELQSGVVTISAQVESELTELPYEERTEFLESLGVSESGLGNLIRATYGILGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFERGFIRAETTEFLAVLSPATPSILLKNYMVTTK >ONI12030 pep chromosome:Prunus_persica_NCBIv2:G4:7832538:7839772:-1 gene:PRUPE_4G140300 transcript:ONI12030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASPLFSMPFKSQRAVPASIELVDIAGLVKGASQGEGLGNKFLSNIREVDSILQVVRCFEDNDVVHVNGKVDPKADIDVINLELIFSDLDQIEKRVDKLKKGKAKDSQTKIKEEAERSSLEKIQHALLDGKPARSVALTDLEKDAVKHLCLLTMKPVIYVANVAESDLAEPGHNPHVKEVMNIAPELQSGVVTISAQVESELTELPYEERTEFLESLGVSESGLGNLIRATYGILGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFERGFIRAETVSYDDFVAAGSLAAARERGVLRSEGKEYIVQEGDVMLFRFNV >ONI12029 pep chromosome:Prunus_persica_NCBIv2:G4:7832536:7839772:-1 gene:PRUPE_4G140300 transcript:ONI12029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARITLCSQLLPSLLVPVRQRNHYQLMGVIGTGLSRGRRFSAASSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLNVLSDLSKSQRAVPASIELVDIAGLVKGASQGEGLGNKFLSNIREVDSILQVVRCFEDNDVVHVNGKVDPKADIDVINLELIFSDLDQIEKRVDKLKKGKAKDSQTKIKEEAERSSLEKIQHALLDGKPARSVALTDLEKDAVKHLCLLTMKPVIYVANVAESDLAEPGHNPHVKEVMNIAPELQSGVVTISAQVESELTELPYEERTEFLESLGVSESGLGNLIRATYGILGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFERGFIRAETVSYDDFVAAGSLAAARERGVLRSEGKEYIVQEGDVMLFRFNV >ONI12034 pep chromosome:Prunus_persica_NCBIv2:G4:7835409:7839566:-1 gene:PRUPE_4G140300 transcript:ONI12034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARITLCSQLLPSLLVPVRQRNHYQLMGVIGTGLSRGRRFSAASSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLNVLSDLSKSQRAVPASIELVDIAGLVKGASQGEGLGNKFLSNIREVDSILQVVRCFEDNDVVHVNGKVDPKADIDVINLELIFSDLDQIEKRVDKLKKGKAKDSQTKIKEEAERSSLEKIQHALLDGKPARSVALTDLEKDAVKHLCLLTMKPVIYVANVAESDLAEPGHNPHVKEVMNIAPELQSGVVTISAQVESELTELPYEERTEFLESLGVSESGLGNLIRATYGILGLRTYFTSGEKIVPMLYTGNKSMDHTCRNDCTSSCWGHPL >ONI12686 pep chromosome:Prunus_persica_NCBIv2:G4:10608758:10611576:-1 gene:PRUPE_4G178900 transcript:ONI12686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVQWLTLYMFIIRHMNSSDLFVIEFLLKNKLVPEKMIAEDGLGEEGSKRRWWNKLLDVEEAKVQVYFSLPTILTNVFFSLIPLVSVMFAGHFGELQLAGATLANSWANVTGFAFVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIVSFLFCFMISIIWFYTEPILILLHQDPQISKCAALFLKFLFPGLFAYGFLQNILRFHQTQSVYVMPMVFSGIAFVIHIGITYVLVHWTALGFKGAPLAASISLWISVLMMAFNVNYTKSFERTWEGFSIESFNHILTGLKFALPSATMECLEEWAFEILVFMGGLMPNSAKTTSLLAICVNTQEIGYMVTSGLSAAASTRVSNELGSGNPGRAKNAMVVTLKLSVLLSFAIILALAFGHNVWAGFFIDSNASYAVLREDFASMTPLLAISIIVDSVQGVFSGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGIICGLSFQASTLMLITLLKKWTQSDSSKHPEEGSPVLV >ONI12687 pep chromosome:Prunus_persica_NCBIv2:G4:10608758:10611575:-1 gene:PRUPE_4G178900 transcript:ONI12687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHFGELQLAGATLANSWANVTGFAFVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIVSFLFCFMISIIWFYTEPILILLHQDPQISKCAALFLKFLFPGLFAYGFLQNILRFHQTQSVYVMPMVFSGIAFVIHIGITYVLVHWTALGFKGAPLAASISLWISVLMMAFNVNYTKSFERTWEGFSIESFNHILTGLKFALPSATMECLEEWAFEILVFMGGLMPNSAKTTSLLAICVNTQEIGYMVTSGLSAAASTRVSNELGSGNPGRAKNAMVVTLKLSVLLSFAIILALAFGHNVWAGFFIDSNASYAVLREDFASMTPLLAISIIVDSVQGVFSGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGIICGLSFQASTLMLITLLKKWTQSDSSKHPEEGSPVLV >ONI12685 pep chromosome:Prunus_persica_NCBIv2:G4:10608758:10612072:-1 gene:PRUPE_4G178900 transcript:ONI12685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLADSESSDRYITTALLEADSHGEEEGTQRNRRSWKKVYLDVFEAKKQVPEKMIAEDGLGEEGSKRRWWNKLLDVEEAKVQVYFSLPTILTNVFFSLIPLVSVMFAGHFGELQLAGATLANSWANVTGFAFVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIVSFLFCFMISIIWFYTEPILILLHQDPQISKCAALFLKFLFPGLFAYGFLQNILRFHQTQSVYVMPMVFSGIAFVIHIGITYVLVHWTALGFKGAPLAASISLWISVLMMAFNVNYTKSFERTWEGFSIESFNHILTGLKFALPSATMECLEEWAFEILVFMGGLMPNSAKTTSLLAICVNTQEIGYMVTSGLSAAASTRVSNELGSGNPGRAKNAMVVTLKLSVLLSFAIILALAFGHNVWAGFFIDSNASYAVLREDFASMTPLLAISIIVDSVQGVFSGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGIICGLSFQASTLMLITLLKKWTQSDSSKHPEEGSPVLV >ONI11194 pep chromosome:Prunus_persica_NCBIv2:G4:4575581:4579453:1 gene:PRUPE_4G091700 transcript:ONI11194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYRLSELSPPEVEGLKARPRIDFSSIFSTVNPIVDDVRKRGDAAIKEYTARFDKVELDNIVVEVSELPDPELDAAIREAFDVAYDNIYAFHFAQKSAEKSVENMKGVKCKRVARSIGSVGVYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPSQDGTICKEVLYCAKKAGVTHILKAGGAQAIAAMAWGTKSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHASPVHIAADLLSQAEHGPDSQVVLVIAGDGVDFKAIEEEISKQCQSLPRGEFASKALSHSFTVFARDMVEAVYFSNLYAPEHLIINVKDAEKWESFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLQKLGPYVATMAEVEGLEAHKRAVTLRLKDIEARQVPNVR >ONI11193 pep chromosome:Prunus_persica_NCBIv2:G4:4574736:4579453:1 gene:PRUPE_4G091700 transcript:ONI11193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQILCFNRSSSHCFIKRQYHPLIAAPKTTNLFLRRGFACKGTTCSMKSYRLSELSPPEVEGLKARPRIDFSSIFSTVNPIVDDVRKRGDAAIKEYTARFDKVELDNIVVEVSELPDPELDAAIREAFDVAYDNIYAFHFAQKSAEKSVENMKGVKCKRVARSIGSVGVYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPSQDGTICKEVLYCAKKAGVTHILKAGGAQAIAAMAWGTKSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHASPVHIAADLLSQAEHGPDSQVVLVIAGDGVDFKAIEEEISKQCQSLPRGEFASKALSHSFTVFARDMVEAVYFSNLYAPEHLIINVKDAEKWESFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLQKLGPYVATMAEVEGLEAHKRAVTLRLKDIEARQVPNVR >ONI11191 pep chromosome:Prunus_persica_NCBIv2:G4:4574811:4579448:1 gene:PRUPE_4G091700 transcript:ONI11191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQILCFNRSSSHCFIKRQYHPLIAAPKTTNLFLRRGFACKGTTCSMKSYRLSELSPPEVEGLKARPRIDFSSIFSTVNPIVDDVRKRGDAAIKEYTARFDKVELDNIVVEVSELPDPELDAAIREAFDVAYDNIYAFHFAQKSAEKSVENMKGVKCKRVARSIGSVGVYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPSQDGTICKEVLYCAKKAGVTHILKAGGAQAIAAMAWGTKSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHASPVHIAADLLSQAEHGPDSQVVLVIAGDGVDFKAIEEEISKQCQSLPRGEFASKALSHSFTVFARDMVEVFYFSNLYAPEHLIINVKDAEKWESFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLQKLGPYVATMAEVEGLEAHKRAVTLRLKDIEARQVPNVR >ONI11195 pep chromosome:Prunus_persica_NCBIv2:G4:4574736:4579453:1 gene:PRUPE_4G091700 transcript:ONI11195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYRLSELSPPEVEGLKARPRIDFSSIFSTVNPIVDDVRKRGDAAIKEYTARFDKVELDNIVVEVSELPDPELDAAIREAFDVAYDNIYAFHFAQKSAEKSVENMKGVKCKRVARSIGSVGVYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPSQDGTICKEVLYCAKKAGVTHILKAGGAQAIAAMAWGTKSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHASPVHIAADLLSQAEHGPDSQVVLVIAGDGVDFKAIEEEISKQCQSLPRGEFASKALSHSFTVFARDMVEAVYFSNLYAPEHLIINVKDAEKWESFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLQKLGPYVATMAEVEGLEAHKRAVTLRLKDIEARQVPNVR >ONI11192 pep chromosome:Prunus_persica_NCBIv2:G4:4574577:4579470:1 gene:PRUPE_4G091700 transcript:ONI11192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQILCFNRSSSHCFIKRQYHPLIAAPKTTNLFLRRGFACKGTTCSMKSYRLSELSPPEVEGLKARPRIDFSSIFSTVNPIVDDVRKRGDAAIKEYTARFDKVELDNIVVEVSELPDPELDAAIREAFDVAYDNIYAFHFAQKSAEKSVENMKGVKCKRVARSIGSVGVYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPSQDGTICKEVLYCAKKAGVTHILKAGGAQAIAAMAWGTKSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHASPVHIAADLLSQAEHGPDSQVVLVIAGDGVDFKAIEEEISKQCQSLPRGEFASKALSHSFTVFARDMVEAVYFSNLYAPEHLIINVKDAEKWESFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLQKLGPYVATMAEVEGLEAHKRAVTLRLKDIEARQVPNVR >ONI10585 pep chromosome:Prunus_persica_NCBIv2:G4:2664536:2666157:1 gene:PRUPE_4G055400 transcript:ONI10585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSGNGGELNSRHACAACRHQRKKCDDDCVMAPYFTAEKEEAFRAVHKIFGVSNTSKLLKNLEDKNHRGRAAESFIWEACTWKQDPVNGPLGKYRQLEREIALLRDALQRQQEEEEEQEKDCLALPSSTVEQESIALDQGSNNGSDMTYGYANQNLAPTNGTYSSLLQGQEVTERKGLVDNNCVTGSNYQPAVISQGGQQALGQGRQETQRVIGFGPPLMPFDPTIQGGNGRPMRFQGQGRGIAGLDCAINSPMGPNIYGQIRGHVAFDPSQRQFGQVGAAQIGPKFSEGFPGHMRAITQIQDAGFLPYHHHLLHHQPIHIQRQGMSQAQNHHSFQQYSFNNHQSYENGQDVEHFNQVKSERR >ONI11136 pep chromosome:Prunus_persica_NCBIv2:G4:4412018:4416608:1 gene:PRUPE_4G089100 transcript:ONI11136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGIEAAGGGQGNGIRKRSCFCSKEDFLPEESFKSWGNYVHALANTKTRLTDRILARSMDHQELEQMRARSNNQMKKTLNWWDLIWFGIGAVMGAGIFVLTGQAARNDAGPAVVISYFISGVSALLSVLCYTEFSVELPVAGGSFSYLRVELGDFVAYIAAGNILFEYVVAGASVARSWTSYFATLCNHNPNDFRINVPALADNFNHLDPIAVVISIVVCIGAALSMKASSRFNSVTTIVHLVVLVFIFITGMTKANPANFTTNFLPFGFRGVLKASAVLFFAYVGFDGVATLGEEIKNPGRDIPIGLIGSMLVTITTYCVLSATLCLMQPYSQIDADASFTMAFQAAGMNWAKYIVALGAIKGMTTVLLANLIAQARYFTHIGRTHMAPAILASIHPKTGTPVNATVIMTVANCLVALFTSLDVLANLLSISTLFIFSLVSLALIVRRYYVTGETSTSDRNKLIIFLTLIVGSSIATAVYWVLSQGWIGYVVTGVIWFAATLGLQLTLKQAKKPKLWGVPLVPWLPSASIAFNVFILGSIDVPSFIRFAIWTLVLLVYYIFVALHASYDAARETDRVEAQASQASQATNMEAGTS >ONI13526 pep chromosome:Prunus_persica_NCBIv2:G4:14537549:14543878:-1 gene:PRUPE_4G227800 transcript:ONI13526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDGEAEALPSTVSNYHFMDDRDEPISFHVLPIQWSEGERQGGKKVKIFLHGTVDDGIQKIYKHVIAWKFDLSKGKPEIFVLSKENNWLRLLKPRKSFEDTVRSILIMVQFLHYVKRNPETSYKSLCTHLSKVFSLYDVRHSHNDLRDHILLIRDSVERDDALARSKFLVNFLGEKPAKRQLSDEVIFSSLSLSLPLGIQGTNDLRFVDNHIEHDMNDGAENESSDIDSLFSSVCAFCDDGGDLLCCEGRCLRSFHPTVESTVGSDCKSLGFTKDEVDATQNYFCKNCKYKQHQCFACGKLGPSDKSSGAEVVPCFSAICGRFYHPRCVAKLLHRDNGVSAEELEKKIAMGGSFTCPIHKCCVCKQGENKKERDLQFAVCMRCPKSYHRKCLPRKIAFAKGGGSDGERLLIPRAWEGLLPKRILIYCTKHEMNEEGRTIIRDHLKFPDVEVKKTVTEENTKILTSESLVGREKVVSKKMVSLDDLYRVTIPTERSEQKQKPSAEEVGGRNGDKVFSGFDSLRKVTINTASKKELKTFTSEEKKTPVGEHKWSSSKSNGEGECQKSLGHGNKRGNATLGKLQHGGKERHRRGIVEISSVNKRCGIANQHSELSKTGNMQLGMSSGGTQLNIPVDTGSCSQVCTCRQQVPDLARCNLVGQDSVYDRIGLRSSTCGHQGLAAESFSGMKPLSMVPYAPQCNINCSMPTALVNSYGPGERKPGYLGNPLGFAPGPHQNYSVHNSAGWLDE >ONI13524 pep chromosome:Prunus_persica_NCBIv2:G4:14537880:14543265:-1 gene:PRUPE_4G227800 transcript:ONI13524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDGEAEALPSTVSNYHFMDDRDEPISFHVLPIQWSEGERQGGKKVKIFLHGTVDDGIQKIYKHVIAWKFDLSKGKPEIFVLSKENNWLRLLKPRKSFEDTVRSILIMVQFLHYVKRNPETSYKSLCTHLSKVFSLYDVRHSHNDLRDHILLIRDSVERDDALARSKFLVNFLGEKPAKRQLSDEGIQGTNDLRFVDNHIEHDMNDGAENESSDIDSLFSSVCAFCDDGGDLLCCEGRCLRSFHPTVESTVGSDCKSLGFTKDEVDATQNYFCKNCKYKQHQCFACGKLGPSDKSSGAEVVPCFSAICGRFYHPRCVAKLLHRDNGVSAEELEKKIAMGGSFTCPIHKCCVCKQGENKKERDLQFAVCMRCPKSYHRKCLPRKIAFAKGGGSDGERLLIPRAWEGLLPKRILIYCTKHEMNEEGRTIIRDHLKFPDVEVKKTVTEENTKILTSESLVGREKVVSKKMVSLDDLYRVTIPTERSEQKQKPSAEEVGGRNGDKVFSGFDSLRKVTINTASKKELKTFTSEEKKTPVGEHKWSSSKSNGEGECQKSLGHGNKRGNATLGKLQHGGKERHRRGIVEISSVNKRCGIANQHSELSKTGNMQLGMSSGGTQLNIPVDTGSCSQVCTCRQQVPDLARCNLVGQDSVYDRIGLRSSTCGHQGLAAESFSGMKPLSMVPYAPQCNINCSMPTALVNSYGPGERKPGYLGNPLGFAPGPHQNYSVHNSAGWLDE >ONI13528 pep chromosome:Prunus_persica_NCBIv2:G4:14537549:14541755:-1 gene:PRUPE_4G227800 transcript:ONI13528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGAENESSDIDSLFSSVCAFCDDGGDLLCCEGRCLRSFHPTVESTVGSDCKSLGFTKDEVDATQNYFCKNCKYKQHQCFACGKLGPSDKSSGAEVVPCFSAICGRFYHPRCVAKLLHRDNGVSAEELEKKIAMGGSFTCPIHKCCVCKQGENKKERDLQFAVCMRCPKSYHRKCLPRKIAFAKGGGSDGERLLIPRAWEGLLPKRILIYCTKHEMNEEGRTIIRDHLKFPDVEVKKTVTEENTKILTSESLVGREKVVSKKMVSLDDLYRVTIPTERSEQKQKPSAEEVGGRNGDKVFSGFDSLRKVTINTASKKELKTFTSEEKKTPVGEHKWSSSKSNGEGECQKSLGHGNKRGNATLGKLQHGGKERHRRGIVEISSVNKRCGIANQHSELSKTGNMQLGMSSGGTQLNIPVDTGSCSQVCTCRQQVPDLARCNLVGQDSVYDRIGLRSSTCGHQGLAAESFSGMKPLSMVPYAPQCNINCSMPTALVNSYGPGERKPGYLGNPLGFAPGPHQNYSVHNSAGWLDE >ONI13527 pep chromosome:Prunus_persica_NCBIv2:G4:14537880:14543265:-1 gene:PRUPE_4G227800 transcript:ONI13527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDGEAEALPSTVSNYHFMDDRDEPISFHVLPIQWSEGERQGGKKVKIFLHGTVDDGIQKIYKHVIAWKFDLSKGKPEIFVLSKENNWLRLLKPRKSFEDTVRSILIMVQFLHYVKRNPETSYKSLCTHLSKVFSLYDVRHSHNDLRDHILLIRDSVERDDALARSKFLVNFLGEKPAKRQLSDEVIFSSLSLSLPLGIQGTNDLRFVDNHIEHDMNDGAENESSDIDSLFSSVCAFCDDGGDLLCCEGRCLRSFHPTVESTVGSDCKSLGFTKDEVDATQNYFCKNCKYKQHQCFACGKLGPSDKSSGAEVVPCFSAICGRFYHPRCVAKLLHRDNGVSAEELEKKIAMGGSFTCPIHKCCVCKQGENKKERDLQFAVCMRCPKSYHRKCLPRKIAFAKGGGSDGERLLIPRAWEGLLPKRILIYCTKHEMNEEGRTIIRDHLKFPDVEVKKTVTEENTKILTSESLVGREKVVSKKMVSLDDLYRVTIPTERSEQKQKPSAEEVGGRNGDKVFSGFDSLRKVTINTASKKELKTFTSEEKKTPVGEHKWSSSKSNGEGECQKSLGHGNKRGNATLGKLQHGGKERHRRGIVEISSVNKRCGIANQHSELSKTGNMQLGMSSGGTQLNIPVDTGSCSQVCTCRQQVPDLARCNLVGQDSVYDRIGLRSSTCGHQGLAAESFSGMKPLSMVPYAPQCNINCSMPTALVNSYGPGERKPGYLGNPLGFAPGPHQNYSVHNSAGWLDE >ONI13525 pep chromosome:Prunus_persica_NCBIv2:G4:14537549:14543877:-1 gene:PRUPE_4G227800 transcript:ONI13525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDGEAEALPSTVSNYHFMDDRDEPISFHVLPIQWSEGERQGGKKVKIFLHGTVDDGIQKIYKHVIAWKFDLSKGKPEIFVLSKENNWLRLLKPRKSFEDTVRSILIMVQFLHYVKRNPETSYKSLCTHLSKVFSLYDVRHSHNDLRDHILLIRDSVERDDALARSKFLVNFLGEKPAKRQLSDEGIQGTNDLRFVDNHIEHDMNDGAENESSDIDSLFSSVCAFCDDGGDLLCCEGRCLRSFHPTVESTVGSDCKSLGFTKDEVDATQNYFCKNCKYKQHQCFACGKLGPSDKSSGAEVVPCFSAICGRFYHPRCVAKLLHRDNGVSAEELEKKIAMGGSFTCPIHKCCVCKQGENKKERDLQFAVCMRCPKSYHRKCLPRKIAFAKGGGSDGERLLIPRAWEGLLPKRILIYCTKHEMNEEGRTIIRDHLKFPDVEVKKTVTEENTKILTSESLVGREKVVSKKMVSLDDLYRVTIPTERSEQKQKPSAEEVGGRNGDKVFSGFDSLRKVTINTASKKELKTFTSEEKKTPVGEHKWSSSKSNGEGECQKSLGHGNKRGNATLGKLQHGGKERHRRGIVEISSVNKRCGIANQHSELSKTGNMQLGMSSGGTQLNIPVDTGSCSQVCTCRQQVPDLARCNLVGQDSVYDRIGLRSSTCGHQGLAAESFSGMKPLSMVPYAPQCNINCSMPTALVNSYGPGERKPGYLGNPLGFAPGPHQNYSVHNSAGWLDE >ONI09862 pep chromosome:Prunus_persica_NCBIv2:G4:671932:676635:1 gene:PRUPE_4G014600 transcript:ONI09862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSLVANGGVAAGEAVAAPVTGRVAAVYSEVQSSRIDHALPLPSVLTKPFKVVDGPASSAAGNPDEIAKLFPNVFGQPSALLVPSDSDSALPQQKLKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSTLYGFRGGPAGIMKNKFIELNSEYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAVKLDLDGLVVIGGDDSNTNACLLAENFRYNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKQTLKNVTDYIVNIILKRADLGYNYGVILIPEGLIDFIPEVQNLIAELNEILAHDVVDEGGLWKNKLTSQSLQLFDFLPEAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETDLEKRRQEGSYNGQFKGQSHFFGYEGRCGLPTNFDSTYCYALGYGAGALLHSGKTGVISSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRNDWAINNRYISPGPIQFHGPASNALSHTLLLELGVQA >ONI09864 pep chromosome:Prunus_persica_NCBIv2:G4:671932:676635:1 gene:PRUPE_4G014600 transcript:ONI09864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKFIELNSEYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAVKLDLDGLVVIGGDDSNTNACLLAENFRGKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKQTLKNVTDYIVNIILKRADLGYNYGVILIPEGLIDFIPEVQNLIAELNEILAHDVVDEGGLWKNKLTSQSLQLFDFLPEAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETDLEKRRQEGSYNGQFKGQSHFFGYEGRCGLPTNFDSTYCYALGYGAGALLHSGKTGVISSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRNDWAINNRYISPGPIQFHGPASNALSHTLLLELGVQA >ONI09863 pep chromosome:Prunus_persica_NCBIv2:G4:671854:676945:1 gene:PRUPE_4G014600 transcript:ONI09863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSLVANGGVAAGEAVAAPVTGRVAAVYSEVQSSRIDHALPLPSVLTKPFKVVDGPASSAAGNPDEIAKLFPNVFGQPSALLVPSDSDSALPQQKLKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSTLYGFRGGPAGIMKNKFIELNSEYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAVKLDLDGLVVIGGDDSNTNACLLAENFRGKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKQTLKNVTDYIVNIILKRADLGYNYGVILIPEGLIDFIPEVQNLIAELNEILAHDVVDEGGLWKNKLTSQSLQLFDFLPEAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETDLEKRRQEGSYNGQFKGQSHFFGYEGRCGLPTNFDSTYCYALGYGAGALLHSGKTGVISSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRNDWAINNRYISPGPIQFHGPASNALSHTLLLELGVQA >ONI13597 pep chromosome:Prunus_persica_NCBIv2:G4:14969651:14971219:1 gene:PRUPE_4G232700 transcript:ONI13597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALWVSLKDNVRCNGNKVADVVGRASEICNRRNSSSGKANSGGEIVHGKETPARELMHRGSFTQAQLYELDIGHPSRNVIEMIVRRATANPSEPSNRIKRVLRVQNSIYTLERFEKYREMVKKMAKQRYMRHPRSTVDGNELLRFYGTTVACCSGKSKRVSELCKAPNCQVCRIIQSNFYTEYSLSNEIQLSTSSEKFSENSIIITRRNKIKRAVIVCRTIAGSMVNMTDKEYEESDSIESQKLLSTSEYLIVRNPSAVLPCFVVVFT >ONI13752 pep chromosome:Prunus_persica_NCBIv2:G4:16068737:16073700:1 gene:PRUPE_4G243300 transcript:ONI13752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMADNGRVVCKCTARRSFCFRELTFDEKPNLRNHLRNVSTVPLFRNGLITRCPSVAGNSILSMDARENSRSTILPSSRHQRVPIYVMMPVDAFCIDGSGRPKIRKIKALTVALRALKLAGVHGIAVEVWWGIVERSSPLAYDWSLYEELFKLVSKSELKLHVALSFHSNMNSSSSKKGGVSLPLWIIEEWYSGRLLHHADDILAKAAKILRKYQENKKTSILLVAKIGGIFWWYQTAAHPAELTAGYYNTALRDGYEPVASILSRHGAALHFSCLEMMDTDNPASYLCSPEGLRQQIWTASKKRIIHLIGRNTNERFDRVGLWQIHANCHHSQAEAVRSFTYFRMNDKIFRAENWNNFVPFVRKMSTNW >ONI13751 pep chromosome:Prunus_persica_NCBIv2:G4:16068697:16073700:1 gene:PRUPE_4G243300 transcript:ONI13751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMADNGRVVCKCTARRSFCFRELTFDEKPNLRNHLRNVSTVPLFRNGLITRCPSVAGNSILSMDARENSRSTILPSSRHQRVPIYVMMPVDAFCIDGSGRPKIRKIKALTVALRALKLAGVHGIAVEVWWGIVERSSPLAYDWSLYEELFKLVSKSELKLHVALSFHSNMNSSSSKKGGVSLPLWIIEIGDHNKHIYYHDQNGLSNDDYLTLGVDHVPLFCGRTAVQCYEDFMLSFVKKFESFIGGVIEEISVGLGPSGELRYPAHPFGDGRWNFPGIGEFQCYDKYMMDDLKMAACKEGKPQWGDRGPQNAGGYNSLPSGVPFFEEGEESFLSDYGCFFLEWYSGRLLHHADDILAKAAKILRKYQENKKTSILLVAKIGGIFWWYQTAAHPAELTAGYYNTALRDGYEPVASILSRHGAALHFSCLEMMDTDNPASYLCSPEGLRQQIWTASKKRIIHLIGRNTNERFDRVGLWQIHANCHHSQAEAVRSFTYFRMNDKIFRAENWNNFVPFVRKMSTNW >ONI13754 pep chromosome:Prunus_persica_NCBIv2:G4:16068737:16073132:1 gene:PRUPE_4G243300 transcript:ONI13754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMADNGRVVCKCTARRSFCFRELTFDEKPNLRNHLRNVSTVPLFRNGLITRCPSVAGNSILSMDARENSRSTILPSSRHQRVPIYVMMPVDAFCIDGSGRPKIRKIKALTVALRALKLAGVHGIAVEVWWGIVERSSPLAYDWSLYEELFKLVSKSELKLHVALSFHSNMNSSSSKKGGVSLPLWIIEIGDHNKHIYYHDQNGLSNDDYLTLGVDHVPLFCGRTAVQCYEDFMLSFVKKFESFIGGVIEEISVGLGPSGELRYPAHPFGDGRWNFPGIGEFQCYDKYMMDDLKMAACKEGKPQWGDRGPQNAGGYNSLPSGVPFFEEGEESFLSDYGCFFLEWYSGRLLHHADDILAKAAKILRKYQENKKTSILLVAKIGGIFWWYQTAAHPAELTAGYYNTALRDGYEPVASILSRHGAALHFSCLEMMDTDNPASYLCSPEGLRQQIWTASKKRIIHLIGRNTNERFDRVDSSFA >ONI13753 pep chromosome:Prunus_persica_NCBIv2:G4:16068909:16072872:1 gene:PRUPE_4G243300 transcript:ONI13753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMADNGRVVCKCTARRSFCFRELTFDEKPNLRNHLRNVSTVPLFRNGLITRCPSVAGNSILSMDARENSRSTILPSSRHQRVPIYVMMPVDAFCIDGSGRPKIRKIKALTVALRALKLAGVHGIAVEVWWGIVERSSPLAYDWSLYEELFKLVSKSELKLHVALSFHSNMNSSSSKKGGVSLPLWIIEIGDHNKHIYYHDQNGLSNDDYLTLGVDHVPLFCGRTAVQCYEDFMLSFVKKFESFIGGVIEEISVGLGPSGELRYPAHPFGDGRWNFPGIGEFQCYDKYMMDDLKMAACKEGKPQWGDRGPQNAGGYNSLPSGVPFFEEGEESFLSDYGCFFLEWYSGRLLHHADDILAKAAKILRKYQENKKTSILLVAKIGGIFWWYQTAAHPAELTAGYYNTALRDGYEPVASILSRHGAALHFSCLEMMDTDNPASYLCSPEGLRQQIWTASKKRIIHLIGRNTNERFDRVDSSFA >ONI13750 pep chromosome:Prunus_persica_NCBIv2:G4:16068737:16073700:1 gene:PRUPE_4G243300 transcript:ONI13750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMADNGRVVCKCTARRSFCFRELTFDEKPNLRNHLRNVSTVPLFRNGLITRCPSVAGNSILSMDARENSRSTILPSSRHQRVPIYVMMPVDAFCIDGSGRPKIRKIKALTVALRALKLAGVHGIAVEVWWGIVERSSPLAYDWSLYEELFKLVSKSELKLHVALSFHSNMNSSSSKKGGVSLPLWIIEIGDHNKHIYYHDQNGLSNDDYLTLGVDHVPLFCGRTAVQCYEDFMLSFVKKFESFIGGVIEEISVGLGPSGELRYPAHPFGDGRWNFPGIGEFQCYDKYMMDDLKMAACKEGKPQWGDRGPQNAGGYNSLPSGVPFFEEGEESFLSDYGCFFLEWYSGRLLHHADDILAKAAKILRKYQENKKTSILLVAKIGGIFWWYQTAAHPAELTAGYYNTALRDGYEPVASILSRHGAALHFSCLEMMDTDNPASYLCSPEGLRQQIWTASKKRIIHLIGRNTNERFDRVGLWQIHANCHHSQAEAKDEHKLVKSLNPI >ONI10884 pep chromosome:Prunus_persica_NCBIv2:G4:3629718:3635483:-1 gene:PRUPE_4G074100 transcript:ONI10884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMALLICSLQRLSVIHLFNARFKEKIGDVSGARAAFPKCDTESDSQFVKNVMLKANMEKRMGNFAVASNIYKEALEMAAEKKKLHTLPILYVHFSRLTYMMTDSADAARDVLIDGIKHLPHCKSLLEELINFSIMHGGKRHLNVVDSIVATAISPQSSVSDVLNAKDAEDISSLYLEFVDLCGTIHEVRKVWNRHVRLFPSSTRRTFDQHATFTKLLKLARGTKETLVAQPQQPSGDCSSDSLIELPLHDSKMLLPDNHKIESGQDPTDQICDQKLSSPGSQLEKTTSDKLQPRQPENIQETLKLPSLEVSKEQSRDDTPEANLSSVDLVIVKQVSPEVSEEPRENTPEPKALSVELGCQVAEGNDSVEPSQEGTNRSDANRECDNKSEQDLKPLSLECLSLNSQENINLDLIPPIYLNCEGSQETCTSNGRKLESNCKSNEDSYMYSPRKARALETAGNKVVNPISTHALSQPAVNSYGDWHQNNRSGKVRRDSKFGFRGRLQRKSYQQQPVSPQKYPQTEVGGPMPGTGSLCQPSQFVSSQSPQIQQGSQDHNPYQAAATPANVMAPKAWHMQNVPQPNYASSCQPQLPVQSVVPQASQGSILGQYGMQNSQAYNQMWQYYYYQQQQQQFLLQQQLHQTQQQQQQPQPQPQQQQVLLQQYQQQPQQLQQYYGQMQQQQLQTQHNMQQQIPLQQQQYNQQLQMQQQQLQQPHQQQQLQEQQHLSYMQNNQQPLQQQSLMQEQQQQQISPGIKHDNYYHQDQAPVPPNNSDSHGTVVSSASPHTQTEMKADAPAGNTSML >ONI10882 pep chromosome:Prunus_persica_NCBIv2:G4:3629718:3638305:-1 gene:PRUPE_4G074100 transcript:ONI10882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTCNCESEPEPMESDSPDDFRRDSLDFDHWTSLISEIETAYSDDVEKISSVYDSFLSEFPLCHGYWRRYADLKGRLCSVDKVVQVFERAVQSATYSVPLWVDYCNFAMAAFEDPSDIRRLFQRGMSFVGKDYSCHTLWDKYIEFEYSQQQWSSLPQIYIQALRFPTKKLHRYYESLKKFAASCEEEMKCQSSSTVDSQSETVLDSEAPTIYRDDEIALVAKDLLDPPISLERSKALQKYIYVGKQLYQEACRLDETIRTFEINIRRSYFHVKPIDVGQLENWHHYLDFVEIQGDFDWAVKFYERCLIPCANYPEFWMRYVEFMEINGGREIANYALDRATQIFMKRLSVIHLFNARFKEKIGDVSGARAAFPKCDTESDSQFVKNVMLKANMEKRMGNFAVASNIYKEALEMAAEKKKLHTLPILYVHFSRLTYMMTDSADAARDVLIDGIKHLPHCKSLLEELINFSIMHGGKRHLNVVDSIVATAISPQSSVSDVLNAKDAEDISSLYLEFVDLCGTIHEVRKVWNRHVRLFPSSTRRTFDQHATFTKLLKLARGTKETLVAQPQQPSGDCSSDSLIELPLHDSKMLLPDNHKIESGQDPTDQICDQKLSSPGSQLEKTTSDKLQPRQPENIQETLKLPSLEVSKEQSRDDTPEANLSSVDLVIVKQVSPEVSEEPRENTPEPKALSVELGCQVAEGNDSVEPSQEGTNRSDANRECDNKSEQDLKPLSLECLSLNSQENINLDLIPPIYLNCEGSQETCTSNGRKLESNCKSNEDSYMYSPRKARALETAGNKVVNPISTHALSQPAVNSYGDWHQNNRSGKVRRDSKFGFRGRLQRKSYQQQPVSPQKYPQTEVGGPMPGTGSLCQPSQFVSSQSPQIQQGSQDHNPYQAAATPANVMAPKAWHMQNVPQPNYASSCQPQLPVQSVVPQASQGSILGQYGMQNSQAYNQMWQYYYYQQQQQQFLLQQQLHQTQQQQQQPQPQPQQQQVLLQQYQQQPQQLQQYYGQMQQQQLQTQHNMQQQIPLQQQQYNQQLQMQQQQLQQPHQQQQLQEQQHLSYMQNNQQPLQQQSLMQEQQQQQISPGIKHDNYYHQDQAPVPPNNSDSHGTVVSSASPHTQTEMKADAPAGNTSML >ONI10883 pep chromosome:Prunus_persica_NCBIv2:G4:3629718:3638050:-1 gene:PRUPE_4G074100 transcript:ONI10883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFEDPSDIRRLFQRGMSFVGKDYSCHTLWDKYIEFEYSQQQWSSLPQIYIQALRFPTKKLHRYYESLKKFAASCEEEMKCQSSSTVDSQSETVLDSEAPTIYRDDEIALVAKDLLDPPISLERSKALQKYIYVGKQLYQEACRLDETIRTFEINIRRSYFHVKPIDVGQLENWHHYLDFVEIQGDFDWAVKFYERCLIPCANYPEFWMRYVEFMEINGGREIANYALDRATQIFMKRLSVIHLFNARFKEKIGDVSGARAAFPKCDTESDSQFVKNVMLKANMEKRMGNFAVASNIYKEALEMAAEKKKLHTLPILYVHFSRLTYMMTDSADAARDVLIDGIKHLPHCKSLLEELINFSIMHGGKRHLNVVDSIVATAISPQSSVSDVLNAKDAEDISSLYLEFVDLCGTIHEVRKVWNRHVRLFPSSTRRTFDQHATFTKLLKLARGTKETLVAQPQQPSGDCSSDSLIELPLHDSKMLLPDNHKIESGQDPTDQICDQKLSSPGSQLEKTTSDKLQPRQPENIQETLKLPSLEVSKEQSRDDTPEANLSSVDLVIVKQVSPEVSEEPRENTPEPKALSVELGCQVAEGNDSVEPSQEGTNRSDANRECDNKSEQDLKPLSLECLSLNSQENINLDLIPPIYLNCEGSQETCTSNGRKLESNCKSNEDSYMYSPRKARALETAGNKVVNPISTHALSQPAVNSYGDWHQNNRSGKVRRDSKFGFRGRLQRKSYQQQPVSPQKYPQTEVGGPMPGTGSLCQPSQFVSSQSPQIQQGSQDHNPYQAAATPANVMAPKAWHMQNVPQPNYASSCQPQLPVQSVVPQASQGSILGQYGMQNSQAYNQMWQYYYYQQQQQQFLLQQQLHQTQQQQQQPQPQPQQQQVLLQQYQQQPQQLQQYYGQMQQQQLQTQHNMQQQIPLQQQQYNQQLQMQQQQLQQPHQQQQLQEQQHLSYMQNNQQPLQQQSLMQEQQQQQISPGIKHDNYYHQDQAPVPPNNSDSHGTVVSSASPHTQTEMKADAPAGNTSML >ONI11886 pep chromosome:Prunus_persica_NCBIv2:G4:7325910:7326951:-1 gene:PRUPE_4G132400 transcript:ONI11886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEEEKNDAAPDGEKTKISLKTSEGEVFEIEENVAMEFHTVKAFFQDEGVSREVVMPIPNVNSVELVKIINFCTKTLELKRKADHEENAKKELRLFYQDFVKDETTEHIMELILAADYLHVDDLLEVLNQCVADRIKNKSVEYVRKLFGVENDFTPEEEQKLREEYAWAFEGVDED >ONI12684 pep chromosome:Prunus_persica_NCBIv2:G4:10602682:10605669:-1 gene:PRUPE_4G178800 transcript:ONI12684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLDVEEAKKQVLFSLPTTLTNVMFYFITLVSVMFAGHLGQLQLAAANLAMSWASATGLAVVIGLSGALETLCGQAFGAREYGMLGKYLQASCLISLLASLLISPLWFFSEPVLISLLHQDAEASKLSAVFMKLFIPGLFAFGILQNVLRFLQTQSAVVVPFIFSFISRGLHIGLTYYLVHFTPLGFRGKFESTWEGFSLASFSHVPTVLRLGLPGAAVECLEDWTFEFLVFMAGAFPHPTQSTSLLGICVNVELIGYMVSYGLSAAVSTRVSNELGAGNPKKAKSAMTVALKFSGFLAFLLGLALTIGHNFWAGLFGSTPEIVKAFASMTPLVAVSVIVEPLQGVVLGLAAGCGWQKLVCYVNLATYLVGMAISGLLGLTTELHVKGM >ONI12389 pep chromosome:Prunus_persica_NCBIv2:G4:9234169:9235816:-1 gene:PRUPE_4G161100 transcript:ONI12389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPWLDNWICTAITHNVVELDVDLARDSFSSEPFELPRSLYMCKTLVVSKLKLPSVITFAPHSDCFPSLKFLHVNVACPDAADSMGKRFTCCPVLEDLTIEAEPDGGSVLNVNISAPQLKRLRMNVFLCIIGEYYDYKTFINANTPNLEKFSFDGNVLAVFSSKNAKSLKIAKNNFEGLHAGSDAAELLHRHFAGIGNVEYLGVSAPIFGFLIDQYLSFVKDPRIVYRYCLPNLKRLVLLFQSCCSWQSLINFLNASPNLESLTFNKHIKCLKQHDKDELVHEWTPPESVPICLSSHLKTV >ONI11808 pep chromosome:Prunus_persica_NCBIv2:G4:6957912:6959695:-1 gene:PRUPE_4G126700 transcript:ONI11808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILWEKSGTWRWIVNKTRDSKPFFLAFATVCGVVPGVIGYFVMQTTNSRNEQLEAELRRNARPESIRMGQVNKERLAEFLGELQRKENTNDRYVAALKGETLTRNPYVRIQPIPKPSNTEADKEQK >ONI10408 pep chromosome:Prunus_persica_NCBIv2:G4:2170874:2175675:1 gene:PRUPE_4G045500 transcript:ONI10408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGLNGASNFDDEKALKGLLEAFGAAFSLDQIASAYCKAGKNAGDAAEALAMSTPNGEAKPRGEEESSRLSLGNSSKKPYLYQANGNSRASKPKYRAVSGGSVSSIIGKHYGKKTPSADGSCNATKPLKLDSEVLPMSETWVEKAESNPSRNDGLHQDMEDFLFTMLGDGFKLERERIREVLDSCGHDMEKSMEKLINLPASTSDKRNGLVARSSDKSAGLYQKSEVSSERKCINSSEGNGDKASNTNGVDFTGQKKERSDLQKEVLAALFSASEKSEERPEELPRRTIKAANRYGAYGQLVVEPPNDFISECKSVVYQQHPKEDGMQPCLYGGNFISLVFFRRAMLGSVCMVNDADDEDDYQVLRKAVKEYRTTMKEYFQAAVEAFSKKDHDRANKLLEQGKFFQEKAREADEESNEMILRTRNVETQGEIVLDLHERSAKEAIRLLKCQISSFSGISSIKCLKVIIDTQEEEISKGSRRRVLVLKLLQEESIKWTEGEKAGTILIQLDNINRKRLTFIKK >ONI10409 pep chromosome:Prunus_persica_NCBIv2:G4:2170670:2175702:1 gene:PRUPE_4G045500 transcript:ONI10409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGLNGASNFDDEKALKGLLEAFGAAFSLDQIASAYCKAGKNAGDAAEALAMSTPNGEAKPRGEEESSRLSLGNSSKKPYLYQANGNSRASKPKYRAVSGGSVSSIIGKHYGKKTPSADGSCNATKPLKLDSEVLPMSETWVEKAESNPSRNDGLHQDMEDFLFTMLGDGFKLERERIREVLDSCGHDMEKSMEKLINLPASTSDKRNGLVARSSDKSAGLYQKSEVSSERKCINSSEGNGDKASNTNGVDFTGQKKERSDLQKEVLAALFSASEKSEERPEELPRRTIKAANRYGAYGQLVVEPPNDFISECKSVVYQQHPKEDDADDEDDYQVLRKAVKEYRTTMKEYFQAAVEAFSKKDHDRANKLLEQGKFFQEKAREADEESNEMILRTRNVETQGEIVLDLHERSAKEAIRLLKCQISSFSGISSIKCLKVIIDTQEEEISKGSRRRVLVLKLLQEESIKWTEGEKAGTILIQLDNINRKRLTFIKK >ONI10148 pep chromosome:Prunus_persica_NCBIv2:G4:1419829:1422386:1 gene:PRUPE_4G030400 transcript:ONI10148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSMAISAVYNAPKKIPHIQLTPKPSSQQWSTAQDHGLLSTPLHLLNSKTNYANTITKEDDDICFLHARKLDVFRHVLSKLGEEAVEGLNMIDAVQRLGIDHHFQEEIDQILQKQHLIITSGSAHGANHHSDLHEVAVRFRLLRQQGYFVPDDVFNKFKESEGSFKQMLSEDIKGLMSLYEASQLSIEGEDTLEEAGQFSWHLLNTSLSHLDHHQARVVGNTLSNPHHKSLATFMAKNFFATNSRGTNNRWLNILQEVAKTDFNIVQSLHQKEIFQISKWWKELGLAKELKFARDQPVKWYIWSMACLADPNLTEERVELTKPISFIYLIDDIFDVYGTLDELILFTEAVNRWEIGDIEHLPDYMKICFKALHDITNEISSKVYQKHGWNPLRSLRKTWGSLCNAFLVEAKWFKSGHLPKAEDYLKNGIVSSGVNVVMVHIFFLLGQGITKQSVELLNETPAIISSAAAILRLWDDLGTAEDENQDGHDGSYIRCYINEHQGCSIKDAQEITINLISEEWKRLNKELVSPNPFPVAFTNASLNLARMVPLMYSYDQNQCLPSLKEYMRSMLYETESV >ONI10900 pep chromosome:Prunus_persica_NCBIv2:G4:3665650:3670370:1 gene:PRUPE_4G074800 transcript:ONI10900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNKSRAGENSSSRGYKMKKLDSHKNCPSRDAIAGSDLWTDGLICAFEFIRGRKKSISSKPGSRILTKQQTDGEHERLRVPSIGFSESSSPMEDRNKSPSDDYRGSQIQHDIERFEGGHWVPIGWSRLSEIVQTVQADSGWACQEFAVDDEDDFTVADLAAPYWERPAGPIWWCHVSAGHPSVDAWLRNAQWLHPAVSLALRDESRLISDRMKHLLYEELLSTGGYNVPRTAHEVIAHLTCRLSRWDDRLFRKSIFGVADEVELKFMNRRNHEDMNLLFVILNQEIRKLSRQVIRVKWSLHAREEIVFELFLHLRGNVARNLLEQIRKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGGGLVLSIITGLFGINVDGMPGAQNAPYAFGVFAAILVFLGVVLIAVGLLYLGLKKPITEEQVKVRKLELQELVEMFQHEAETHAQVSKKVSRNNLTPTAGDAFPHDADYIVLQ >ONI10899 pep chromosome:Prunus_persica_NCBIv2:G4:3665650:3670370:1 gene:PRUPE_4G074800 transcript:ONI10899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNKSRAGENSSSRGYKMKKLDSHKNCPSRDAIAGSDLWTDGLICAFEFIRGRKKSISSKPGSRILTKQQTDGEHERLRVPSIGFSESSSPMEDRNKSPSDDYRGSQIQHDIERFEGGHWVPIGWSRLSEIVQTVQADSGWACQEFAVDDEDDFTVADLAAPYWERPAGPIWWCHVSAGHPSVDAWLRNAQWLHPAVSLALRDESRLISDRMKHLLYEVPVRVAGGLLFELLGQSAGNPFVDEDDIPIVLRSWQAQNFLVTVLHIKGHVSSVNVLGITEVQELLSTGGYNVPRTAHEVIAHLTCRLSRWDDRLFRKSIFGVADEVELKFMNRRNHEDMNLLFVILNQEIRKLSRQVIRVKWSLHAREEIVFELFLHLRGNVARNLLEQIRKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGGGLVLSIITGLFGINVDGMPGAQNAPYAFGVFAAILVFLGVVLIAVGLLYLGLKKPITEEQVKVRKLELQELVEMFQHEAETHAQVSKKVSRNNLTPTAGDAFPHDADYIVLQ >ONI10895 pep chromosome:Prunus_persica_NCBIv2:G4:3665650:3670370:1 gene:PRUPE_4G074800 transcript:ONI10895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNKSRAGENSSSRGYKMKKLDSHKNCPSRDAIAGSDLWTDGLICAFEFIRGRKKSISSKPGSRILTKQQTDGEHERLRVPSIGFSESSSPMEDRNKSPSDDYRGSQIQHDIERFEGGHWVPIGWSRLSEIVQTVQADSGWACQEFAVDDEDDFTVADLAAPYWERPAGPIWWCHVSAGHPSVDAWLRNAQWLHPAVSLALRDESRLISDRMKHLLYEVPVRVAGGLLFELLGQSAGNPFVDEDDIPIVLRSWQAQNFLVTVLHIKGHVSSVNVLGITEVQELLSTGGYNVPRTAHEVIAHLTCRLSRWDDRLFRKSIFGVADEVELKFMNRRNHEDMNLLFVILNQEIRKLSRQVIRVKWSLHAREEIVFELFLHLRGNVARNLLEQIRKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGGGLVLSIITGLFGINVDGMPGAQNAPYAFGVFAAILVFLGVVLIAVGLLYLGLKKPITEEQVKVRKLELQELVEMFQHEAETHAQVSKKVSRNNLTPTAGDAFPHDADYIVLQ >ONI10896 pep chromosome:Prunus_persica_NCBIv2:G4:3665650:3670378:1 gene:PRUPE_4G074800 transcript:ONI10896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNKSRAGENSSSRGYKMKKLDSHKNCPSRDAIAGSDLWTDGLICAFEFIRGRKKSISSKPGSRILTKQQTDGEHERLRVPSIGFSESSSPMEDRNKSPSDDYRGSQIQHDIERFEGGHWVPIGWSRLSEIVQTVQADSGWACQEFAVDDEDDFTVADLAAPYWERPAGPIWWCHVSAGHPSVDAWLRNAQWLHPAVSLALRDESRLISDRMKHLLYEVPVRVAGGLLFELLGQSAGNPFVDEDDIPIVLRSWQAQNFLVTVLHIKGHVSSVNVLGITEVQELLSTGGYNVPRTAHEVIAHLTCRLSRWDDRLFRKSIFGVADEVELKFMNRRNHEDMNLLFVILNQEIRKLSRQVIRVKWSLHAREEIVFELFLHLRGNVARNLLEQIRKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGGGLVLSIITGLFGINVDGMPGAQNAPYAFGVFAAILVFLGVVLIAVGLLYLGLKKPITEEQVKVRKLELQELVEMFQHEAETHAQVSKKVSRNNLTPTAGDAFPHDADYIVLQ >ONI10894 pep chromosome:Prunus_persica_NCBIv2:G4:3665640:3670370:1 gene:PRUPE_4G074800 transcript:ONI10894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNKSRAGENSSSRGYKMKKLDSHKNCPSRDAIAGSDLWTDGLICAFEFIRGRKKSISSKPGSRILTKQQTDGEHERLRVPSIGFSESSSPMEDRNKSPSDDYRGSQIQHDIERFEGGHWVPIGWSRLSEIVQTVQADSGWACQEFAVDDEDDFTVADLAAPYWERPAGPIWWCHVSAGHPSVDAWLRNAQWLHPAVSLALRDESRLISDRMKHLLYEVPVRVAGGLLFELLGQSAGNPFVDEDDIPIVLRSWQAQNFLVTVLHIKGHVSSVNVLGITEVQELLSTGGYNVPRTAHEVIAHLTCRLSRWDDRLFRKSIFGVADEVELKFMNRRNHEDMNLLFVILNQEIRKLSRQVIRVKWSLHAREEIVFELFLHLRGNVARNLLEQIRKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGGGLVLSIITGLFGINVDGMPGAQNAPYAFGVFAAILVFLGVVLIAVGLLYLGLKKPITEEQVKVRKLELQELVEMFQHEAETHAQVSKKVSRNNLTPTAGDAFPHDADYIVLQ >ONI10898 pep chromosome:Prunus_persica_NCBIv2:G4:3665650:3670370:1 gene:PRUPE_4G074800 transcript:ONI10898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNKSRAGENSSSRGYKMKKLDSHKNCPSRDAIAGSDLWTDGLICAFEFIRGRKKSISSKPGSRILTKQQTDGEHERLRVPSIGFSESSSPMEDRNKSPSDDYRGSQIQHDIERFEGGHWVPIGWSRLSEIVQTVQADSGWACQEFAVDDEDDFTVADLAAPYWERPAGPIWWCHVSAGHPSVDAWLRNAQWLHPAVSLALRDESRLISDRMKHLLYEVPVRVAGGLLFELLGQSAGNPFVDEDDIPIVLRSWQAQNFLVTVLHIKGHVSSVNVLGITEVQELLSTGGYNVPRTAHEVIAHLTCRLSRWDDRLFRKSIFGVADEVELKFMNRRNHEDMNLLFVILNQEIRKLSRQVIRVKWSLHAREEIVFELFLHLRGNVARNLLEQIRKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGGGLVLSIITGLFGINVDGMPGAQNAPYAFGVFAAILVFLGVVLIAVGLLYLGLKKPITEEQVKVRKLELQELVEMFQHEAETHAQVSKKVSRNNLTPTAGDAFPHDADYIVLQ >ONI10893 pep chromosome:Prunus_persica_NCBIv2:G4:3665650:3670370:1 gene:PRUPE_4G074800 transcript:ONI10893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNKSRAGENSSSRGYKMKKLDSHKNCPSRDAIAGSDLWTDGLICAFEFIRGRKKSISSKPGSRILTKQQTDGEHERLRVPSIGFSESSSPMEDRNKSPSDDYRGSQIQHDIERFEGGHWVPIGWSRLSEIVQTVQADSGWACQEFAVDDEDDFTVADLAAPYWERPAGPIWWCHVSAGHPSVDAWLRNAQWLHPAVSLALRDESRLISDRMKHLLYEVPVRVAGGLLFELLGQSAGNPFVDEDDIPIVLRSWQAQNFLVTVLHIKGHVSSVNVLGITEVQELLSTGGYNVPRTAHEVIAHLTCRLSRWDDRLFRKSIFGVADEVELKFMNRRNHEDMNLLFVILNQEIRKLSRQVIRVKWSLHAREEIVFELFLHLRGNVARNLLEQIRKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGGGLVLSIITGLFGINVDGMPGAQNAPYAFGVFAAILVFLGVVLIAVGLLYLGLKKPITEEQVKVRKLELQELVEMFQHEAETHAQVSKKVSRNNLTPTAGDAFPHDADYIVLQ >ONI10897 pep chromosome:Prunus_persica_NCBIv2:G4:3665650:3670370:1 gene:PRUPE_4G074800 transcript:ONI10897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNKSRAGENSSSRGYKMKKLDSHKNCPSRDAIAGSDLWTDGLICAFEFIRGRKKSISSKPGSRILTKQQTDGEHERLRVPSIGFSESSSPMEDRNKSPSDDYRGSQIQHDIERFEGGHWVPIGWSRLSEIVQTVQADSGWACQEFAVDDEDDFTVADLAAPYWERPAGPIWWCHVSAGHPSVDAWLRNAQWLHPAVSLALRDESRLISDRMKHLLYEVPVRVAGGLLFELLGQSAGNPFVDEDDIPIVLRSWQAQNFLVTVLHIKGHVSSVNVLGITEVQELLSTGGYNVPRTAHEVIAHLTCRLSRWDDRLFRKSIFGVADEVELKFMNRRNHEDMNLLFVILNQEIRKLSRQVIRVKWSLHAREEIVFELFLHLRGNVARNLLEQIRKSTREMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGGGLVLSIITGLFGINVDGMPGAQNAPYAFGVFAAILVFLGVVLIAVGLLYLGLKKPITEEQVKVRKLELQELVEMFQHEAETHAQVSKKVSRNNLTPTAGDAFPHDADYIVLQ >ONI10660 pep chromosome:Prunus_persica_NCBIv2:G4:2874115:2878688:1 gene:PRUPE_4G060300 transcript:ONI10660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTKKRKTELNGTVSLSPTSQSSSLTSEDARKLLQPLTQDQLIDILQNAVVRHPDVLDSVRSVTDKDSTLRKLFIRGLGADTTSDSLRSLFSAFGDLDEAIVIPDKATGKSKGYGFVTFKHADSALLALKEPSKKIDGRVTVTQFAAAGLTASFSNSNSNAADVSARKIYVGSVPFDISSERLLSTFSAYGEIEEGPLGFDKATGKSKGFAFFVYKTEEGARAALVEPIKNIDGHQVACKLAVDNKKSKPGGAQAAPVDNSGVPPPQSSMPPGLYQGPPPHQQGPYSGYPGGHQPLPGLPGNSFGARYNANLGGAYGLPPPGEFTARLPPSSIGMGHGGYSDGPHYGLGASMGLPSQHQQPPPVPRVPPGGLYQGMPPYY >ONI11452 pep chromosome:Prunus_persica_NCBIv2:G4:5601320:5603093:-1 gene:PRUPE_4G107200 transcript:ONI11452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQVSDMMEPLRGVRDGYLISLNLGTPPQVIQVYMDTGSDLTWVPCGNLSFVCMDCDDYRNNRLMPTFSPSASSSSLRDLCGSSFCLDIHSSENSIDPCTIAGCSLTTLLKATCPRPCPSFAYTYGGGGVVTGTLSRDTLRVHGISSTPDNVVTREVPKFCFGCIGSTYREPIGIAGFGRGSLSLPSQLGFLQKGFSHCFLPFKYANNPNISSPLVVGDVAISSKENLQFTPMLKSPMYPNNYYIGLEAITIGNATAITQMPLSLREFDAQGNGGMLIDSGTTYTHLPEPLYSNLLSLLHSVISYPRAKEMETKTSFDLCYVVPYTINTLTKPGDLFPSITFHFLKNVSLVLPQGNHFYAMGAPANSTVVKCLLFQAMDDEDYGPAGVFGSFQQQNVEVVYDLEKERIGFQPMDCASASASQGLHKK >ONI10290 pep chromosome:Prunus_persica_NCBIv2:G4:1829347:1832210:1 gene:PRUPE_4G039000 transcript:ONI10290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALERIARTARNGFRRSPSGASARTSEKEMLCEGASTRKYCSLPSLETDTRNRNFLYLSSISKVNHNSFWSRGIRATPTYQFPSAERIVEESDSEYNDPKYPGLEATKPGEKPRVVVLGTGWAACRFLKGLDTKIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVTHIQSALATDPNSFFYMASCVGVDTDKHEVYCETISKGGLPHEPYRFKVAYDKLVIAAGAEPLTFGIKGVKEHAFFLREVNHAQEIRKKLLLNLMLSEHPGIPEEERKRLLHCVVIGGGPTGVEFSGELSDFIMKDVRERYTHVKDYIKVTLIEANEILSSFDVGLRRYATNHLTKCGVRLMRGVVKEVHPEKIVLNDGTDVPYGLLVWSTGVGPSEFVKSLDLPKSAGGRIGVDGWLRVPSVEDVFALGDCAGFLEQTGRPVLPALAQVAEREGKYLVQLFNKIGTQNAGKALSLKDIPLGEPFVYKHLGSMATVGRYKALVDLRQSKDAKGISLAGFLSWFIWRSAYLTRVVSWRNRFYVAVNWATTIVFGRDNSRIG >ONI10291 pep chromosome:Prunus_persica_NCBIv2:G4:1827925:1832210:1 gene:PRUPE_4G039000 transcript:ONI10291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALERIARTARNGFRRSPSGASARTSEKEMLCEGASTRKYCSLPSLETDTRNRNFLYLSSISKVNHNSFWSRGIRATPTYQFPSAERIVEESDSEYNDPKYPGLEATKPGEKPRVVVLGTGWAACRFLKGLDTKIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVTHIQSALATDPNSFFYMASCVGVDTDKHEVYCETISKGGLPHEPYRFKVAYDKLVIAAGAEPLTFGIKGVKEHAFFLREVNHAQEIRKKLLLNLMLSEHPGIPEEERKRLLHCVVIGGGPTGVEFSGELSDFIMKDVRERYTHVKDYIKVTLIEANEILSSFDVGLRRYATNHLTKCGVRLMRGVVKEVHPEKIVLNDGTDVPYGLLVWSTGVGPSEFVKSLDLPKSAGGRIGVDGWLRVPSVEDVFALGDCAGFLEQTGRPVLPALAQVAEREGKYLVQLFNKIGTQNAGKALSLKDIPLGEPFVYKHLGSMATVGRYKALVDLRQSKDAKGISLAGFLSWFIWRSAYLTRVVSWRNRFYVAVNWATTIVFGRDNSRIG >ONI09708 pep chromosome:Prunus_persica_NCBIv2:G4:276392:278233:-1 gene:PRUPE_4G004400 transcript:ONI09708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIRNSILWHVRVGSSAEKWLLTERGNVLKSLSRHMCAATGTTSTDQIMDRVIGLVKKFDKIDASKVTETADFQKDLNLDSLDRVELVMAFEEEFSIEIPEEKADKLTCCADVARYIVSGAEQKSV >ONI09707 pep chromosome:Prunus_persica_NCBIv2:G4:276165:278727:-1 gene:PRUPE_4G004400 transcript:ONI09707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIRNSILWHVRVGSSAEKWLLTERGNVLKSLSRHMCAATGTTSTDQIMDRVIGLVKKFDKIDASKVTETADFQKDLNLDSLDRVELVMAFEEEFSIEIPEEKADKLTCCADVARYIVSGAEQKSV >ONI09703 pep chromosome:Prunus_persica_NCBIv2:G4:275817:278354:-1 gene:PRUPE_4G004400 transcript:ONI09703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELLNMQSIRNSILWHVRVGSSAEKWLLTERGNVLKSLSRHMCAATGTTSTDQIMDRVIGLVKKFDKIDASKVTETADFQKDLNLDSLDRVELVMAFEEEFSIEIPEEKADKLTCCADVARYIVSGAEQKSV >ONI09706 pep chromosome:Prunus_persica_NCBIv2:G4:276392:278231:-1 gene:PRUPE_4G004400 transcript:ONI09706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIRNSILWHVRVGSSAEKWLLTERGNVLKSLSRHMCAATGTTSTDQIMDRVIGLVKKFDKIDASKVTETADFQKDLNLDSLDRVELVMAFEEEFSIEIPEEKADKLTCCADVARYIVSGAEQKSV >ONI09705 pep chromosome:Prunus_persica_NCBIv2:G4:275817:278984:-1 gene:PRUPE_4G004400 transcript:ONI09705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIRNSILWHVRVGSSAEKWLLTERGNVLKSLSRHMCAATGTTSTDQIMDRVIGLVKKFDKIDASKVTETADFQKDLNLDSLDRVELVMAFEEEFSIEIPEEKADKLTCCADVARYIVSGAEQKSV >ONI09704 pep chromosome:Prunus_persica_NCBIv2:G4:275585:278984:-1 gene:PRUPE_4G004400 transcript:ONI09704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIRNSILWHVRVGSSAEKWLLTERGNVLKSLSRHMCAATGTTSTDQIMDRVIGLVKKFDKIDASKVTETADFQKDLNLDSLDRVELVMAFEEEFSIEIPEEKADKLTCCADVARYIVSGAEQKSV >ONI10689 pep chromosome:Prunus_persica_NCBIv2:G4:2970388:2971570:1 gene:PRUPE_4G062000 transcript:ONI10689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFKTPFNGYSIKFSPFYESRLAVATSQNFGILGNGRLHVIDLSPAPLGPAAPHQPITELIAYDTADGVYDVAWSESHDSLLVAAIADGSVKLYDVALPPASNPLRSLHEHTREVSSADYNPTRRDSFLTSSWDDTVKLWTVDRPASVRTFKEHAYCVYSAVWNPRHADVFASASGDCTLRVWDVREPGSTMIIPAHELEILACDWNKYDDCCISTASVDKSIKVWDVRSIRVPISVLNGHSYAVRKIKFSPHRQSLMMSCSYDMSVCLWDYMMEDALVARYDHHTEFVVGVDMSVLVEGLLASTGWDELAYVWQHGTDPRAP >ONI11856 pep chromosome:Prunus_persica_NCBIv2:G4:7197377:7198732:1 gene:PRUPE_4G130300 transcript:ONI11856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMDVSCYQRRPKEPKLLFPMIIEILSWLPVESLLRFKSVNKNWSSSMEEYSFISKHMNRTRPVRLKYRLTKQPGYIALGSEENYECMFIVAGLVLEKHSSSQVCRIRNPATHQVLYLPDAHLGVNLMVSTFNSSTGEVKLVASYSENNEAGFEVLTVGKDENWRPLKYPNQGLSNKQAKRRQFLLADKADAGVCYCAETLTDGQDMYLEVHCLDLWSETFMTATLPEGVFSDLSKARIISWNQSIAVVDIIDEALHALVLEDFKEQKWSRYKNIVPMKFLKETRFPEGGSIVPSSVDSDDLRFVYNNGEEYLVCKESKSISTSHAQPGLVYDMKMHKVKSFIRRRFDGEGWGNHRPSLMSFEGMRPEEEGASTSTTQE >ONI13712 pep chromosome:Prunus_persica_NCBIv2:G4:15817483:15819697:-1 gene:PRUPE_4G240300 transcript:ONI13712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKPDGGDGFEEASRDGCEQENMAVWLLGVNTLKIQPFKLPNLGPRDVLIRLKAVGICGSDVHHFKNMRCADFVVKEPMVIGHECAGFVEEVGSEVKHLVPGDRVALEPGINCKRCELCKQGRYNLCPEMKFFGFPPPTHGCLANQVVHPGDLCFKLPDNVSLEEGAMCEPLSVGVHACRRASVGPETNVLVMGAGPIGLVTLLAARAFEAPRIVVADVNDHCLSVAKALGAHEIVKVSTNIEEVAEEVAKIKEAMGTRVDVSFDCAGFNKTMATALSATGSGGKVCLVGMGQRELTLPLTSAAAREVDVIGIFRYKNTWPLCLELLRSSKVDVKPLITHRFGFSQKEVEKAFETSAGGGNAIKVMFNL >ONI13710 pep chromosome:Prunus_persica_NCBIv2:G4:15817263:15820307:-1 gene:PRUPE_4G240300 transcript:ONI13710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEKRRRSKREGPRDVLIRLKAVGICGSDVHHFKNMRCADFVVKEPMVIGHECAGFVEEVGSEVKHLVPGDRVALEPGINCKRCELCKQGRYNLCPEMKFFGFPPPTHGCLANQVVHPGDLCFKLPDNVSLEEGAMCEPLSVGVHACRRASVGPETNVLVMGAGPIGLVTLLAARAFEAPRIVVADVNDHCLSVAKALGAHEIVKVSTNIEEVAEEVAKIKEAMGTRVDVSFDCAGFNKTMATALSATGSGGKVCLVGMGQRELTLPLTSAAAREVDVIGIFRYKNTWPLCLELLRSSKVDVKPLITHRFGFSQKEVEKAFETSAGGGNAIKVMFNL >ONI13711 pep chromosome:Prunus_persica_NCBIv2:G4:15817263:15819830:-1 gene:PRUPE_4G240300 transcript:ONI13711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKPDGGDGFEEASRDGCEQENMAVWLLGVNTLKIQPFKLPNLGPRDVLIRLKAVGICGSDVHHFKNMRCADFVVKEPMVIGHECAGFVEEVGSEVKHLVPGDRVALEPGINCKRCELCKQGRYNLCPEMKFFGFPPPTHGCLANQVVHPGDLCFKLPDNVSLEEGAMCEPLSVGVHACRRASVGPETNVLVMGAGPIGLVTLLAARAFEAPRIVVADVNDHCLSVAKALGAHEIVKVSTNIEVAEEVAKIKEAMGTRVDVSFDCAGFNKTMATALSATGSGGKVCLVGMGQRELTLPLTSAAAREVDVIGIFRYKNTWPLCLELLRSSKVDVKPLITHRFGFSQKEVEKAFETSAGGGNAIKVMFNL >ONI13560 pep chromosome:Prunus_persica_NCBIv2:G4:14748245:14750381:-1 gene:PRUPE_4G230200 transcript:ONI13560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKGAIVQVDAAPFKQWYLQHYGVEIGRKKKTAAAAKKEGEEGDAAAAPAAVAEEVKKSNHVVRKLEKRQQSRTLDQHIEEQFGGGRLLACISSRPGQCGRSDGYILEGKELEFYMKKLQRKKGKGAAA >ONI13558 pep chromosome:Prunus_persica_NCBIv2:G4:14748245:14750617:-1 gene:PRUPE_4G230200 transcript:ONI13558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKGAIVQVDAAPFKQWYLQHYGVEIGRKKKTAAAAKKEGEEGDAAAAPAAVAEEVKKSNHVVRKLEKRQQSRTLDQHIEEQFGGGRLLACISSRPGQCGRSDGYILEGKELEFYMKKLQRKKGKGAAA >ONI13559 pep chromosome:Prunus_persica_NCBIv2:G4:14748265:14750488:-1 gene:PRUPE_4G230200 transcript:ONI13559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKGAIVQVDAAPFKQWYLQHYGVEIGRKKKTAAAAKKEGEVGDAAAAPAAVAEEVKKSNHVVRKLEKRQQSRTLDQHIEEQFGGGRLLACISSRPGQCGRSDGYILEGKELEFYMKKLQRKKGKGAAA >ONI14402 pep chromosome:Prunus_persica_NCBIv2:G4:23578859:23581742:-1 gene:PRUPE_4G279400 transcript:ONI14402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGMSSDYKLRWVCFKKLCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVVSRLGFDFCSSFVFSGDSFGRGVEGLETVRSIASFWFITKHTFVNKFQRGTIKR >ONI14405 pep chromosome:Prunus_persica_NCBIv2:G4:23579854:23580554:-1 gene:PRUPE_4G279400 transcript:ONI14405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACLGILLILFSTADYKLRWVCFKKLCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVVSRLGFDFCSSFVFSGDSFGRGVEGLETVSQQISEGNN >ONI14404 pep chromosome:Prunus_persica_NCBIv2:G4:23578859:23581742:-1 gene:PRUPE_4G279400 transcript:ONI14404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGMSSDYKLRWVCFKKLCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVVSRLGFDFCSSFVFSGDSFGRGVEGLETVSQQISEGNN >ONI14407 pep chromosome:Prunus_persica_NCBIv2:G4:23578859:23581521:-1 gene:PRUPE_4G279400 transcript:ONI14407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSCWVCNGGTALLDFDLGYHMGIRDNGGDYKLRWVCFKKLCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVVSRLGFDFCSSFVFSGDSFGRGVEGLETVR >ONI14406 pep chromosome:Prunus_persica_NCBIv2:G4:23578859:23581732:-1 gene:PRUPE_4G279400 transcript:ONI14406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACLGILLILFSTADYKLRWVCFKKLCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVVSRLGFDFCSSFVFSGDSFGRGVEGLETVSQQISEGNN >ONI14403 pep chromosome:Prunus_persica_NCBIv2:G4:23579847:23580708:-1 gene:PRUPE_4G279400 transcript:ONI14403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGMSSDYKLRWVCFKKLCYIHNQQFILVACSFSVLSSSSLLFSLIHLFRVVSRLGFDFCSSFVFSGDSFGRGVEGLETVRSIASFWFITKHTFVNKFQRGTIKR >ONI09713 pep chromosome:Prunus_persica_NCBIv2:G4:293631:296771:-1 gene:PRUPE_4G004800 transcript:ONI09713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKKEEKKEEEKKKEEKKPEEPPEILLKVDMHCEACARKVARALKGFEGVEDVTTDSKASKVVVKGKAADPIKVCERLQKKSGKKVELISPLPKPPEEKKEEPVKEADKEEKKEEPPAVVTVVLTVRMHCEACAQLLQKRIRKIKGVESVETDVANDQVVVKGVVDPAKLAEEVYKKTRKQVSIVKEEEKKEEEKKEEEKKEEEKKEGEKKEGEEDKGSEDNKVDIKRTEYWPTKFYSDYSYPSPQIFSDENPNACSVM >ONI09715 pep chromosome:Prunus_persica_NCBIv2:G4:293631:296771:-1 gene:PRUPE_4G004800 transcript:ONI09715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCEACARKVARALKGFEGVEDVTTDSKASKVVVKGKAADPIKVCERLQKKSGKKVELISPLPKPPEEKKEEPVKEADKEEKKEEPPAVVTVVLTVRMHCEACAQLLQKRIRKIKGVESVETDVANDQVVVKGVVDPAKLAEEVYKKTRKQVSIVKEEEKKEEEKKEEEKKEEEKKEGEKKEGEEDKGSEDNKVDIKRTEYWPTKFYSDYSYPSPQIFSDENPNACSVM >ONI09714 pep chromosome:Prunus_persica_NCBIv2:G4:293535:297000:-1 gene:PRUPE_4G004800 transcript:ONI09714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKKEEKKEEEKKKEGKDEKKEEKKPEEPPEILLKVDMHCEACARKVARALKGFEGVEDVTTDSKASKVVVKGKAADPIKVCERLQKKSGKKVELISPLPKPPEEKKEEPVKEADKEEKKEEPPAVVTVVLTVRMHCEACAQLLQKRIRKIKGVESVETDVANDQVVVKGVVDPAKLAEEVYKKTRKQVSIVKEEEKKEEEKKEEEKKEEEKKEGEKKEGEEDKGSEDNKVDIKRTEYWPTKFYSDYSYPSPQIFSDENPNACSVM >ONI10622 pep chromosome:Prunus_persica_NCBIv2:G4:2749555:2755944:1 gene:PRUPE_4G057700 transcript:ONI10622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKKAISSSVNPKEKSSLPDEEIGNEFLSSWKSMSVMEDDEMDFSFDTVSKGKKKVFDFEKLDMDFNLDGDFNKLSSFKMDMPDLDFSSPPKKAAKTKERSEEEPSRGNRQGKQDRFKFSFDFNELDNFDFDSSLKKSENSSNKNQESSKEVVSDRSGSQNSKIDPAEEISTLDGDSERVATSKVDTTLLVSGNGNSMNDDCASKSETSENLELPYGPTSPEQVMTKRVEESDQEIHLSEKAMPTEPYANQATHDLPHQLVGGVDSNGDTVFEGKNDDYSHITNVNTFSSGKEDVNEKMPIRDGPDHEDSHLKDSSPMNIAGSGSNNGGRSKSGSDILTENFEPAIDDSDLEDNSNSLVSKETPHNIKSMKEDQNSTGKLPLSMQGRHFHSNVSKRLEEAGSQLCQPSLKGAKSLSSGIKRIGIMHPHPAIEQGEDFNSAGVPNKAKLVGNSLLCNKEVTEREPVLGSGQRKSLNDLRHVEISSSQASPSSSSEKTSKPSTQTCVNSKFMLSSLESMRNTKIITAEGNKLFTDKPAKKKTELSTLNISKKIGGNKVSFNAASQKEVKSLSSEKHMEVQSNVELKTAQIVDRSEKQMPPNLSLKRKTFEGSDSGLASLKPLKRLSQSPSEIRNVTEPSKRVVEEQVYIHESHLETKTKSTLDDHPTSGLGSPCSNAMELEIHSIMENDGNVEKAEAYTKELEDICNMLKKKHEEAKELLVRAVVNNNNLLMLNHPIYDAKIHKVQKFAAKLVSKELQKCSRAPTVVI >ONI10621 pep chromosome:Prunus_persica_NCBIv2:G4:2749555:2755946:1 gene:PRUPE_4G057700 transcript:ONI10621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKKAISSSVNPKEKSSLPDEEIGNEFLSSWKSMSVMEDDEMDFSFDTVSKGKKKVFDFEKLDMDFNLDGDFNKLSSFKMDMPDLDFSSPPKKAAKTKERSEEEPSRGNRQGKQDRFKFSFDFNELDNFDFDSSLKKSENSSNKNQESSKEVVSDRSGSQNSKIDPAEEISTLDGDSERVATSKVDTTLLVSGNGNSMNDDCASKSETSENLELPYGPTSPEQVMTKRVEESDQEIHLSEKAMPTEPYANQATHDLPHQLVGGVDSNGDTVFEGKNDDYSHITNVNTFSSGKEDVNEKMPIRDGPDHEDSHLKDSSPMNIAGSGSNNGGRSKSGSDILTENFEPAIDDSDLEDNSNSLVSKETPHNIKSMKEDQNSTGKLPLSMQGSESAVDEVTLTNEIKSRHFHSNVSKRLEEAGSQLCQPSLKGAKSLSSGIKRIGIMHPHPAIEQGEDFNSAGVPNKAKLVGNSLLCNKEVTEREPVLGSGQRKSLNDLRHVEISSSQASPSSSSEKTSKPSTQTCVNSKFMLSSLESMRNTKIITAEGNKLFTDKPAKKKTELSTLNISKKIGGNKVSFNAASQKEVKSLSSEKHMEVQSNVELKTAQIVDRSEKQMPPNLSLKRKTFEGSDSGLASLKPLKRLSQSPSEIRNVTEPSKRVVEEQVYIHESHLETKTKSTLDDHPTSGLGSPCSNAMELEIHSIMENDGNVEKAEAYTKELEDICNMLKKKHEEAKELLVRAVVNNNNLLMLNHPIYDAKIHKVQKFAAKLVSKELQKCSRAPTVVI >ONI13209 pep chromosome:Prunus_persica_NCBIv2:G4:13107530:13109816:1 gene:PRUPE_4G210200 transcript:ONI13209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSFRWDNPEFSEYTRLIDRFADNEERSGRDFNVVGTCNGLVCLSLGYNKLRIWNPVRKFVILPCPISEYIARYAFGYDSRNKQRVGWSLARGSWKSLGVGSTGRGFLSELIQQPVFVNGALHWVQLNTMKGENGIMSFDMVSESFGETRVPQCVTKMLMCTLRHEENLALLTWDSASVYHLFSYDIWVMKEYGVRKSQCSPSRIYNKAIMLEK >ONI09635 pep chromosome:Prunus_persica_NCBIv2:G4:122482:126288:-1 gene:PRUPE_4G001000 transcript:ONI09635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTSTKNLCAICLDPLSYHSKSSTPGLAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNPPGGSLSSCNRPDPILQILDDSIATFRIHRRSFLRSAHYDDDDPIEPDHMPNWPRLQLSLIPIPPSAPPSWCTPYPYHPSPHHQSCSSSSLLQSPTRPKPFTLCAFSDRAYLSVKLAHQRATDLVLVASPNGPHLRLLKQCMALVVFSLRPIDRLAIVTYSSAAARLFPLRRMTSYGKRTAQQVIDRLFYMGQADPIEGIKKGIKILEDRAYKNPESSILHLSDSPTQSYHAAMSMELPIPVHRFHVGFGFGTSNGFIMHEFEELLGTLIGGIVREVQLRIRIGEEASSRIVRIGELRGGEERKILVELGVCGHICVGYSYIEEGEIDEPFTTGETVVSIGDSKSKATEGAAAASGTSDAIICGRSSSASVETWDYHDPYMARRWAKHLHGYRL >ONI09634 pep chromosome:Prunus_persica_NCBIv2:G4:122482:126297:-1 gene:PRUPE_4G001000 transcript:ONI09634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGGAGGGASSKSKLRNAAKKMVVAAANACGSFSRRKALVDPIVFDHTNTTATASISGSSAVSPTTPKNISGEEVAKETEFTIKSNNMPSTSTKNLCAICLDPLSYHSKSSTPGLAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNPPGGSLSSCNRPDPILQILDDSIATFRIHRRSFLRSAHYDDDDPIEPDHMPNWPRLQLSLIPIPPSAPPSWCTPYPYHPSPHHQSCSSSSLLQSPTRPKPFTLCAFSDRAYLSVKLAHQRATDLVLVASPNGPHLRLLKQCMALVVFSLRPIDRLAIVTYSSAAARLFPLRRMTSYGKRTAQQVIDRLFYMGQADPIEGIKKGIKILEDRAYKNPESSILHLSDSPTQSYHAAMSMELPIPVHRFHVGFGFGTSNGFIMHEFEELLGTLIGGIVREVQLRIRIGEEASSRIVRIGELRGGEERKILVELGVCGHICVGYSYIEEGEIDEPFTTGETVVSIGDSKSKATEGAAAASGTSDAIICGRSSSASVETWDYHDPYMARRWAKHLHGYRL >ONI09637 pep chromosome:Prunus_persica_NCBIv2:G4:122482:126470:-1 gene:PRUPE_4G001000 transcript:ONI09637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGGAGGGASSKSKLRNAAKKMVVAAANACGSFSRRKALVDPIVFDHTNTTATASISGSSAVSPTTPKNISGEEVAKETEFTIKSNNMPSTSTKNLCAICLDPLSYHSKSSTPGLAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNPPGGSLSSCNRPDPILQILDDSIATFRIHRRSFLRSAHYDDDDPIEPDHMPNWPRLQLSLIPIPPSAPPSWCTPYPYHPSPHHQSCSSSSLLQSPTRPKPFTLCAFSDRAYLSVKLAHQRATDLVLVASPNGPHLRLLKQCMALVVFSLRPIDRLAIVTYSSAAARLFPLRRMTSYGKRTAQQVIDRLFYMGQADPIEGIKKGIKILEDRAYKNPESSILHLSDSPTQSYHAAMSMELPIPVHRFHVGFGFGTSNGFIMHEFEELLGTLIGGKQ >ONI09633 pep chromosome:Prunus_persica_NCBIv2:G4:122852:126074:-1 gene:PRUPE_4G001000 transcript:ONI09633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGGAGGGASSKSKLRNAAKKMVVAAANACGSFSRRKALVDPIVFDHTNTTATASISGSSAVSPTTPKNISGEEVAKETEFTIKSNNMPSTSTKNLCAICLDPLSYHSKSSTPGLAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNPPGGSLSSCNRPDPILQILDDSIATFRIHRRSFLRSAHYDDDDPIEPDHMPNWPRLQLSLIPIPPSAPPSWCTPYPYHPSPHHQSCSSSSLLQSPTRPKPFTLCAFSDRAYLSVKLAHQRATDLVLVASPNGPHLRLLKQCMALVVFSLRPIDRLAIVTYSSAAARLFPLRRMTSYGKRTAQQVIDRLFYMGQADPIEGIKKGIKILEDRAYKNPESSILHLSDSPTQSYHAAMSMELPIPVHRFHVGFGFGTSNGFIMHEFEELLGTLIGGIVREVQLRIRIGEEASSRIVRIGELRGGEERKILVELGVCGHICVGYSYIEEGEIDEPFTTGETVVSIGDSKSKATEGAAAASGTSDAIICGRSSSASVETWDYHDPYMARRWAKHLHGYRL >ONI09638 pep chromosome:Prunus_persica_NCBIv2:G4:122482:126288:-1 gene:PRUPE_4G001000 transcript:ONI09638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTSTKNLCAICLDPLSYHSKSSTPGLAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNPPGGSLSSCNRPDPILQILDDSIATFRIHRRSFLRSAHYDDDDPIEPDHMPNWPRLQLSLIPIPPSAPPSWCTPYPYHPSPHHQSCSSSSLLQSPTRPKPFTLCAFSDRAYLSVKLAHQRATDLVLVASPNGPHLRLLKQCMALVVFSLRPIDRLAIVTYSSAAARLFPLRRMTSYGKRTAQQVIDRLFYMGQADPIEGIKKGIKILEDRAYKNPESSILHLSDSPTQSYHAAMSMELPIPVHRFHVGFGFGTSNGFIMHEFEELLGTLIGGKQ >ONI09636 pep chromosome:Prunus_persica_NCBIv2:G4:122482:126293:-1 gene:PRUPE_4G001000 transcript:ONI09636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTSTKNLCAICLDPLSYHSKSSTPGLAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNPPGGSLSSCNRPDPILQILDDSIATFRIHRRSFLRSAHYDDDDPIEPDHMPNWPRLQLSLIPIPPSAPPSWCTPYPYHPSPHHQSCSSSSLLQSPTRPKPFTLCAFSDRAYLSVKLAHQRATDLVLVASPNGPHLRLLKQCMALVVFSLRPIDRLAIVTYSSAAARLFPLRRMTSYGKRTAQQVIDRLFYMGQADPIEGIKKGIKILEDRAYKNPESSILHLSDSPTQSYHAAMSMELPIPVHRFHVGFGFGTSNGFIMHEFEELLGTLIGGIVREVQLRIRIGEEASSRIVRIGELRGGEERKILVELGVCGHICVGYSYIEEGEIDEPFTTGETVVSIGDSKSKATEGAAAASGTSDAIICGRSSSASVETWDYHDPYMARRWAKHLHGYRL >ONI11466 pep chromosome:Prunus_persica_NCBIv2:G4:5621311:5622257:-1 gene:PRUPE_4G107700 transcript:ONI11466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILRDADSPINKSSVENLLEQLRAGIILNQKRKKYWVDKKSNNCFMVYARDLSISWAEDDRNWLWPSLQETSGVFIDAAEMINECWLEVHGQFETTKLSPGTLYEVAFVVKLKASAEGWDVPVNVSLTLPDDSKQWHEVKLKEIPREQWIDISVGEFRASPEIPGDMEFSMYEYDSGKWNRGLVIKGVIIRPKN >ONI13415 pep chromosome:Prunus_persica_NCBIv2:G4:13842778:13848214:-1 gene:PRUPE_4G220400 transcript:ONI13415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLSTKPSTALRNRASMANRSQSPTSPLFVLGSNDDQLERAQARAARAAANRRKAATVFAPFSPPSDPCLSREQIIDLFQNCIKLASENKINQKNTWELKLIDHLSEIIKVEAENDRETNFQKASCTLEAGVKIYAVRVDSVHAEAYKVLSGMNRASLEDEQETTRRDDNVNNEQGRGQPKKELERKISPLSTLESSFEALNVKKFDVAFMVDPLYHQSSAQCDESGAKGLLLNNLGVYGGCQVIFDSYEVPGMCRSCSLHRNPSDLIDLSFAKESIQEMVVNMLAKNEISPTLKEILCQFEENNQRSSQDFNIGQNSDLKMDGFDDKEVEWDISSGNCDTWAIDHDDDIGVDHENRSFGDPIFQSHHEGNNSGTSYEADVGDRFERVSAFLFQGLGLNSKKNAWAGPDHWKYWRPKGSEEAPATQSKPTTKRTKKKDHKEVDLDFTTSLYKEFPDIFAPPKNFKSLLLPANTVPCSNRLPEDCHYQPEDLAKLFLLPDVLFFGKRRRHHTDDNSWEQSNNFDEAFPSWDNESVCSGQYGDGCVHSNVEDTDTLVSQPRQVSKIEVQYDRTSKRVDVHALKETLWGHMQESAEVPSAELKDTISFKHILVTFPVNCRAAVPEDISPHLCFICLLHLANEYGLSIHDCPSLDDLSIHLPSRSNSDGVEQPSS >ONI11833 pep chromosome:Prunus_persica_NCBIv2:G4:7064300:7066768:1 gene:PRUPE_4G128400 transcript:ONI11833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRTKKRDMRSLWIQRINAGTRIHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDISRTAFPGNKNVVHAPKKEGLSMLV >ONI11834 pep chromosome:Prunus_persica_NCBIv2:G4:7063708:7066853:1 gene:PRUPE_4G128400 transcript:ONI11834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRTKKRDMRSLWIQRINAGTRIHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDISRTAFPGNKNVVHAPKKEGLSMLV >ONI12127 pep chromosome:Prunus_persica_NCBIv2:G4:8404326:8405725:1 gene:PRUPE_4G146600 transcript:ONI12127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEVVVLGFWPSMYGTRATIALDEKGVKYEYREQDLRNKSSLLLQMNPVHKKIPVLIHNGKPVCESLIIVQYIDEVWEGKAPLLPSDPYQRARARVWADFIDKKVPDARKKLWSTKGEELEEAKKEFIDILKQLEGELGDKPYFGGESFGFLDIALITSYNWFYAFETLGNFSTEAECPKLIEWAKRCMQRESVSKSLADPKKVYEFLLGWITG >ONI13025 pep chromosome:Prunus_persica_NCBIv2:G4:12166341:12170733:-1 gene:PRUPE_4G198300 transcript:ONI13025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTRMQISRKYNRKEIHTRLLHIHGGPAILVLQPWSVVRVVGKGPARADDQVWAKAQPYQPLTTQLRARKEESICMHALEEPKKAQQEEEKKKHEEVRVEGLETIDYFDNLARRERFTEQADAITFDGEFSQQLVAKPIHLQHLFFQFQLYFKVAGLRTWGFCSRFLGLFQTVVFSIMFFKVAGL >ONI13135 pep chromosome:Prunus_persica_NCBIv2:G4:12759362:12762611:-1 gene:PRUPE_4G204900 transcript:ONI13135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALFDAKPSIVEESKSKAKYLKLIKVSSKFSLTLSLSLKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI13136 pep chromosome:Prunus_persica_NCBIv2:G4:12759635:12762611:-1 gene:PRUPE_4G204900 transcript:ONI13136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALFDAKPSIVEESKSKAKYLKLIKVSSKFSLTLSLSLKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >ONI11798 pep chromosome:Prunus_persica_NCBIv2:G4:6906820:6924424:-1 gene:PRUPE_4G126100 transcript:ONI11798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHQPPSVPPPSKSGGGTGGGVQVRCAGCGKILTVEATEFSCDTCQLPQMLPPELMTRAPAHGPLPPHGPNKGTVPPPLPPHGAAHGVDPTKIQLPCANCKAILNVPHGLARFRCPQCQVDLAVDVSKLQQFFSPRLPLPPPPEEVNEVAIEVEREEDEGGTAGETFTDYRPPKLSIGPPHPDPVVETSSLSAVQPPEPTYDLKIKDDLENSKALSCLQIETLVYACQRHLQHLPSGERAGFFVGDGAGVGKGRTIAGLIWENWHHGMRKAVWVSVGSDLKFDARRDLDDVGATSIEVHALNKLPYSKLDSKSVGVKEGVIFLTYSSLIASSEKGRSRMQQLQQWCGSGYDGLIIFDECHKAKNLVPESGSQPTRTGEAVLDIQARLPEARVIYCSATGASEPRNMGYMVRLGLWGPGTSFSDFREFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEVVEAPLEPEMMDMYEKAAGFWTELRLDILSAAAFITNERPNSSQVWRLYWASHQRFFRHMCMSAKVPAAVRLAKQALMDGKCVVIGLQSTGEARTEEAVTKYGLELDDFISGPRELLLKFVEENYPLPEKPEPLEGEESVKELQRKRHSATPGVSMKGRVRKVAKWKPASDDESDEESETDSAHESTESDDEFQICEICSSEEERKKLLQCSCCGQLVHAACLIPPVTDVVSGDWSCHSCKERTEDFLKKKQEYIAELTKRYEAALDRKLKILELVRSLNLPNNPLDDIIDQLGGPDKVAEMTGRRGMLVRASGGKGVTYQARNTKEISMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAANQRRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKKALMLMYRGIMEQDSLPVVPPGCSSEKPETIQDFIVKAKASLVFVGIVRDVATGKDYGKLSGRIVESDMHDVGRFLNRILGLPPDIQNRLFECFVSILDLIIHNARIEGNLDSGIVDMKANVIELQGTPKTVYVDQMSGASTVLFTFTLDRGIMWESASAMLEEKQKDGLGSANDGFYESRREWLGRRHIILAFESSTSGSYKIVRPAVGESVREMPLSELKNKYRKTSTLEKARSGWEDEYEVSSKQCMHGRNCKLGNFCTVGRRLQEVNVLGGLILPVWGTIEKALSKQARQSHKRLRVVRIETTTDNRRIVGLFVPNAAVESVLQDFAWVQEIDD >ONI11797 pep chromosome:Prunus_persica_NCBIv2:G4:6906542:6924612:-1 gene:PRUPE_4G126100 transcript:ONI11797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHQPPSVPPPSKSGGGTGGGVQVRCAGCGKILTVEATEFSCDTCQLPQMLPPELMTRAPAHGPLPPHGPNKGTVPPPLPPHGAAHGVDPTKIQLPCANCKAILNVPHGLARFRCPQCQVDLAVDVSKLQQFFSPRLPLPPPPEEVNEVAIEVEREEDEGGTAGETFTDYRPPKLSIGPPHPDPVVETSSLSAVQPPEPTYDLKIKDDLENSKALSCLQIETLVYACQRHLQHLPSGERAGFFVGDGAGVGKGRTIAGLIWENWHHGMRKAVWVSVGSDLKFDARRDLDDVGATSIEVHALNKLPYSKLDSKSVGVKEGVIFLTYSSLIASSEKGRSRMQQLQQWCGSGYDGLIIFDECHKAKNLVPESGSQPTRTGEAVLDIQARLPEARVIYCSATGASEPRNMGYMVRLGLWGPGTSFSDFREFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEVVEAPLEPEMMDMYEKAAGFWTELRLDILSAAAFITNERPNSSQVWRLYWASHQRFFRHMCMSAKVPAAVRLAKQALMDGKCVVIGLQSTGEARTEEAVTKYGLELDDFISGPRELLLKFVEENYPLPEKPEPLEGEESVKELQRKRHSATPGVSMKGRVRKVAKWKPASDDESDEESETDSAHESTESDDEFQICEICSSEEERKKLLQCSCCGQLVHAACLIPPVTDVVSGDWSCHSCKERTEDFLKKKQEYIAELTKRYEAALDRKLKILELVRSLNLPNNPLDDIIDQLGGPDKVAEMTGRRGMLVRASGGKGVTYQARNTKEISMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAANQRRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKKALMLMYRGIMEQDSLPVVPPGCSSEKPETIQDFIVKAKASLVFVGIVRDATGKDYGKLSGRIVESDMHDVGRFLNRILGLPPDIQNRLFECFVSILDLIIHNARIEGNLDSGIVDMKANVIELQGTPKTVYVDQMSGASTVLFTFTLDRGIMWESASAMLEEKQKDGLGSANDGFYESRREWLGRRHIILAFESSTSGSYKIVRPAVGESVREMPLSELKNKYRKTSTLEKARSGWEDEYEVSSKQCMHGRNCKLGNFCTVGRRLQEVNVLGGLILPVWGTIEKALSKQARQSHKRLRVVRIETTTDNRRIVGLFVPNAAVESVLQDFAWVQEIDD >ONI11795 pep chromosome:Prunus_persica_NCBIv2:G4:6906542:6924612:-1 gene:PRUPE_4G126100 transcript:ONI11795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHQPPSVPPPSKSGGGTGGGVQVRCAGCGKILTVEATEFSCDTCQLPQMLPPELMTRAPAHGPLPPHGPNKGTVPPPLPPHGAAHGVDPTKIQLPCANCKAILNVPHGLARFRCPQCQVDLAVDVSKLQQFFSPRLPLPPPPEEVNEVAIEVEREEDEGGTAGETFTDYRPPKLSIGPPHPDPVVETSSLSAVQPPEPTYDLKIKDDLENSKALSCLQIETLVYACQHLPSGERAGFFVGDGAGVGKGRTIAGLIWENWHHGMRKAVWVSVGSDLKFDARRDLDDVGATSIEVHALNKLPYSKLDSKSVGVKEGVIFLTYSSLIASSEKGRSRMQQLQQWCGSGYDGLIIFDECHKAKNLVPESGSQPTRTGEAVLDIQARLPEARVIYCSATGASEPRNMGYMVRLGLWGPGTSFSDFREFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEVVEAPLEPEMMDMYEKAAGFWTELRLDILSAAAFITNERPNSSQVWRLYWASHQRFFRHMCMSAKVPAAVRLAKQALMDGKCVVIGLQSTGEARTEEAVTKYGLELDDFISGPRELLLKFVEENYPLPEKPEPLEGEESVKELQRKRHSATPGVSMKGRVRKVAKWKPASDDESDEESETDSAHESTESDDEFQICEICSSEEERKKLLQCSCCGQLVHAACLIPPVTDVVSGDWSCHSCKERTEDFLKKKQEYIAELTKRYEAALDRKLKILELVRSLNLPNNPLDDIIDQLGGPDKVAEMTGRRGMLVRASGGKGVTYQARNTKEISMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAANQRRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKKALMLMYRGIMEQDSLPVVPPGCSSEKPETIQDFIVKAKASLVFVGIVRDATGKDYGKLSGRIVESDMHDVGRFLNRILGLPPDIQNRLFECFVSILDLIIHNARIEGNLDSGIVDMKANVIELQGTPKTVYVDQMSGASTVLFTFTLDRGIMWESASAMLEEKQKDGLGSANDGFYESRREWLGRRHIILAFESSTSGSYKIVRPAVGESVREMPLSELKNKYRKTSTLEKARSGWEDEYEVSSKQCMHGRNCKLGNFCTVGRRLQEVNVLGGLILPVWGTIEKALSKQARQSHKRLRVVRIETTTDNRRIVGLFVPNAAVESVLQDFAWVQEIDD >ONI11796 pep chromosome:Prunus_persica_NCBIv2:G4:6906820:6924424:-1 gene:PRUPE_4G126100 transcript:ONI11796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHQPPSVPPPSKSGGGTGGGVQVRCAGCGKILTVEATEFSCDTCQLPQMLPPELMTRAPAHGPLPPHGPNKGTVPPPLPPHGAAHGVDPTKIQLPCANCKAILNVPHGLARFRCPQCQVDLAVDVSKLQQFFSPRLPLPPPPEEVNEVAIEVEREEDEGGTAGETFTDYRPPKLSIGPPHPDPVVETSSLSAVQPPEPTYDLKIKDDLENSKALSCLQIETLVYACQHLPSGERAGFFVGDGAGVGKGRTIAGLIWENWHHGMRKAVWVSVGSDLKFDARRDLDDVGATSIEVHALNKLPYSKLDSKSVGVKEGVIFLTYSSLIASSEKGRSRMQQLQQWCGSGYDGLIIFDECHKAKNLVPESGSQPTRTGEAVLDIQARLPEARVIYCSATGASEPRNMGYMVRLGLWGPGTSFSDFREFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEVVEAPLEPEMMDMYEKAAGFWTELRLDILSAAAFITNERPNSSQVWRLYWASHQRFFRHMCMSAKVPAAVRLAKQALMDGKCVVIGLQSTGEARTEEAVTKYGLELDDFISGPRELLLKFVEENYPLPEKPEPLEGEESVKELQRKRHSATPGVSMKGRVRKVAKWKPASDDESDEESETDSAHESTESDDEFQICEICSSEEERKKLLQCSCCGQLVHAACLIPPVTDVVSGDWSCHSCKERTEDFLKKKQEYIAELTKRYEAALDRKLKILELVRSLNLPNNPLDDIIDQLGGPDKVAEMTGRRGMLVRASGGKGVTYQARNTKEISMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAANQRRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKKALMLMYRGIMEQDSLPVVPPGCSSEKPETIQDFIVKAKASLVFVGIVRDVATGKDYGKLSGRIVESDMHDVGRFLNRILGLPPDIQNRLFECFVSILDLIIHNARIEGNLDSGIVDMKANVIELQGTPKTVYVDQMSGASTVLFTFTLDRGIMWESASAMLEEKQKDGLGSANDGFYESRREWLGRRHIILAFESSTSGSYKIVRPAVGESVREMPLSELKNKYRKTSTLEKARSGWEDEYEVSSKQCMHGRNCKLGNFCTVGRRLQEVNVLGGLILPVWGTIEKALSKQARQSHKRLRVVRIETTTDNRRIVGLFVPNAAVESVLQDFAWVQEIDD >ONI12678 pep chromosome:Prunus_persica_NCBIv2:G4:10596982:10600610:-1 gene:PRUPE_4G178700 transcript:ONI12678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKITYGLIAAASTRVSNELGAGNPDRAKNAMVATLKLSVLLSFAIILALVFGHNIWVAFFIDSNASYAVLRQDFASMTPLLAISIIVDSVQGVISGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGITCGLSFQASTLLLITLLKEWPRSDLSKNPEEGSPVLV >ONI12676 pep chromosome:Prunus_persica_NCBIv2:G4:10597006:10599825:-1 gene:PRUPE_4G178700 transcript:ONI12676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKITYGLIAAASTRVSNELGAGNPDRAKNAMVATLKLSVLLSFAIILALVFGHNIWVAFFIDSNASYAVLRQDFASMTPLLAISIIVDSVQGVISGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGITCGLSFQASTLLLITLLKEWPRSDLSKNPEEGSPVLV >ONI12675 pep chromosome:Prunus_persica_NCBIv2:G4:10597127:10599088:-1 gene:PRUPE_4G178700 transcript:ONI12675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKITYGLIAAASTRVSNELGAGNPDRAKNAMVATLKLSVLLSFAIILALVFGHNIWVAFFIDSNASYAVLRQDFASMTPLLAISIIVDSVQGVISGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGITCGLSFQASTLLLITLLKEWPRSDLSKNPEEGSPVLV >ONI12679 pep chromosome:Prunus_persica_NCBIv2:G4:10597127:10599088:-1 gene:PRUPE_4G178700 transcript:ONI12679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKITYGLIAAASTRVSNELGAGNPDRAKNAMVATLKLSVLLSFAIILALVFGHNIWVAFFIDSNASYAVLRQDFASMTPLLAISIIVDSVQGVISGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGITCGLSFQASTLLLITLLKEWPRSDLSKNPEEGSPVLV >ONI12680 pep chromosome:Prunus_persica_NCBIv2:G4:10597006:10599821:-1 gene:PRUPE_4G178700 transcript:ONI12680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKITYGLIAAASTRVSNELGAGNPDRAKNAMVATLKLSVLLSFAIILALVFGHNIWVAFFIDSNASYAVLRQDFASMTPLLAISIIVDSVQGVISGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGITCGLSFQASTLLLITLLKEWPRSDLSKNPEEGSPVLV >ONI12682 pep chromosome:Prunus_persica_NCBIv2:G4:10597127:10599088:-1 gene:PRUPE_4G178700 transcript:ONI12682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKITYGLIAAASTRVSNELGAGNPDRAKNAMVATLKLSVLLSFAIILALVFGHNIWVAFFIDSNASYAVLRQDFASMTPLLAISIIVDSVQGVISGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGITCGLSFQASTLLLITLLKEWPRSDLSKNPEEGSPVLV >ONI12681 pep chromosome:Prunus_persica_NCBIv2:G4:10597006:10600610:-1 gene:PRUPE_4G178700 transcript:ONI12681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKITYGLIAAASTRVSNELGAGNPDRAKNAMVATLKLSVLLSFAIILALVFGHNIWVAFFIDSNASYAVLRQDFASMTPLLAISIIVDSVQGVISGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGITCGLSFQASTLLLITLLKEWPRSDLSKNPEEGSPVLV >ONI12683 pep chromosome:Prunus_persica_NCBIv2:G4:10593017:10600534:-1 gene:PRUPE_4G178700 transcript:ONI12683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLANTGHSDRNITTPLLEAGSHGEGAKRKRWSRKKVYLDLVEAEKQAGGHGEEGSERRWWNNLLDIEEAKKQVLFSLPTIPTNVFFSLIPLVSVMFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMW >ONI12677 pep chromosome:Prunus_persica_NCBIv2:G4:10597127:10599088:-1 gene:PRUPE_4G178700 transcript:ONI12677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKITYGLIAAASTRVSNELGAGNPDRAKNAMVATLKLSVLLSFAIILALVFGHNIWVAFFIDSNASYAVLRQDFASMTPLLAISIIVDSVQGVISGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGITCGLSFQASTLLLITLLKEWPRSDLSKNPEEGSPVLV >ONI12672 pep chromosome:Prunus_persica_NCBIv2:G4:10597006:10600610:-1 gene:PRUPE_4G178700 transcript:ONI12672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLANTGHSDRNITTPLLEAGSHGEGAKRKRWSRKKVYLDLVEAEKQVAEKMISEGGHGEEGSERRWWNNLLDIEEAKKQVLFSLPTIPTNVFFSLIPLVSVMFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKITYGLIAAASTRVSNELGAGNPDRAKNAMVATLKLSVLLSFAIILALVFGHNIWVAFFIDSNASYAVLRQDFASMTPLLAISIIVDSVQGVISGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGITCGLSFQASTLLLITLLKEWPRSDLSKNPEEGSPVLV >ONI12673 pep chromosome:Prunus_persica_NCBIv2:G4:10597006:10599826:-1 gene:PRUPE_4G178700 transcript:ONI12673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEGGHGEEGSERRWWNNLLDIEEAKKQVLFSLPTIPTNVFFSLIPLVSVMFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKITYGLIAAASTRVSNELGAGNPDRAKNAMVATLKLSVLLSFAIILALVFGHNIWVAFFIDSNASYAVLRQDFASMTPLLAISIIVDSVQGVISGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGITCGLSFQASTLLLITLLKEWPRSDLSKNPEEGSPVLV >ONI12674 pep chromosome:Prunus_persica_NCBIv2:G4:10597127:10599088:-1 gene:PRUPE_4G178700 transcript:ONI12674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGHLGELQLAGATLANSWANVTGFALVVGLSGALETLCGQGFGAKSYRMLGIYLQASCIISFLLCSIISIIWFYTEPLLILLHQDPQISKTAALFLKHLIPGLFAYGFLQNILRFLQTQSVYVMPMVFSVIAIVFHIGITYGLVHWTALGFLGAPLAASISLWLSVLMLAFNVSCTKSFERTWEGFSFECFDYILTGLKLALPSATMECLEEWGFEILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKITYGLIAAASTRVSNELGAGNPDRAKNAMVATLKLSVLLSFAIILALVFGHNIWVAFFIDSNASYAVLRQDFASMTPLLAISIIVDSVQGVISGVARGCGWQHLAVYVNLATFYLIGMTIAGLLGFKFKLYAKGLWIGITCGLSFQASTLLLITLLKEWPRSDLSKNPEEGSPVLV >ONI14304 pep chromosome:Prunus_persica_NCBIv2:G4:22820891:22822007:1 gene:PRUPE_4G274100 transcript:ONI14304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLKGGDQMNRAPGGSFICFISCFLFVSIVAGGGCLLMYMILPEPQTRGRYWLPIIGVALVCLPWVFWFLTFVYRLISRRSGSRFGVGNAGGAGGAKNINTAAAAGASVRAGTDAATPADKSSGGETGAMVAGEIELGSFKDGKRRVSSLSRTSSSINVSNHSNHSHESEMPLATSMAS >ONI10695 pep chromosome:Prunus_persica_NCBIv2:G4:2985270:2989034:1 gene:PRUPE_4G062300 transcript:ONI10695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKLNLNARFLSVRRIATTPVCTDGEKEKIIQRPDGRHTLPPYKSDFCLEQVTEPVAVPFHWEHIPGKAKEDKEPETQPREETSVTPRLPPGKPIDYVTKQTLEKDHADRNGIRPQFKSYSSNENVMDLKCSKEKTNERRALEVEDEDDVYSDALETISPTESFSFNCSASGLSQSDGADVKQSRAFSVDLQTRDLMMSRFLPAAKAMALETPHYAAKKQYIAPEQPRQVTRVIREDIRPLPNEQGSHMELQYDQYKEEEESEGEDDEYDPSGSISAKGCGLFPRLCLRNSLCLLSPVPGMKGRTRALMSSSSGVIRPEKTTYGRSHSQPLNKHALDAAYKHKSKGGIKSGELHKFDNKKAGESSRFSHSGDLKKGRSSPLRHSRSACISPYRNEAPSGVKSGELQKFEYKQAGESSLFTRSGDLKKGRLSPLRHSRSACISPYRNEAPSGVKSAELQKLEYKQAGESRRFSHSGDLKNGRSSTFSNSRSACVSPYRNEAPCGVKSGELQKFEYKQAGESNRFSYSGDLKKGRSSTFSNSRSACISPYRNEAPCGVKSGELQKSEYKQAGASSCLSHSGDFKNGRSSPFRHSRSACISPYRNEAPKSPFPGVGFLGIPREESVKANSKFNLRNKGGHKFQEVLSHQRSIQGPRSESPTIEKTLYVDTVNTAKLSCSNSSSLVTKEQVDSTGEDFDTLLKSRDTEETCTESSFLDIKCLTYLEGGGTLEHEVSDSIDDNLPSLSDLSHVKGQVVAVKNSQLGTGQHIEPFSCSNSSANGKSGQIIKADPGIVDATSVQSPLPPPLPRSPSQSWLWSTLSSISSRYPISQARSKRLDSKTSSTSTKWETIVKTSNLRHDHVRYSEELIAHISQQSKS >ONI10694 pep chromosome:Prunus_persica_NCBIv2:G4:2984254:2989034:1 gene:PRUPE_4G062300 transcript:ONI10694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKLNLNARFLSVRRIATTPVCTDGEKEKIIQRPDGRHTLPPYKSDFCLEQVTEPVAVPFHWEHIPGKAKEDKEPETQPREETSVTPRLPPGKPIDYVTKQTLEKDHADRNGIRPQFKSYSSNENVMDLKCSKEKTNERRALEVEDEDDVYSDALETISPTESFSFNCSASGLSQSDGADVKQSRAFSVDLQTRDLMMSRFLPAAKAMALETPHYAAKKQYIAPEQPRQVTRVIREDIRPLPNEQGSHMELQYDQYKEEEESEGEDDEYDPSGSISAKGCGLFPRLCLRNSLCLLSPVPGMKGRTRALMSSSSGVIRPEKTTYGRSHSQPLNKHALDAAYKHKSKGGIKSGELHKFDNKKAGESSRFSHSGDLKKGRSSPLRHSRSACISPYRNEAPSGVKSGELQKFEYKQAGESSLFTRSGDLKKGRLSPLRHSRSACISPYRNEAPSGVKSAELQKLEYKQAGESRRFSHSGDLKNGRSSTFSNSRSACVSPYRNEAPCGVKSGELQKFEYKQAGESNRFSYSGDLKKGRSSTFSNSRSACISPYRNEAPCGVKSGELQKSEYKQAGASSCLSHSGDFKNGRSSPFRHSRSACISPYRNEAPKSPFPGVGFLGIPREESVKANSKFNLRNKGGHKFQEVLSHQRSIQGPRSESPTIEKTLYVDTVNTAKLSCSNSSSLVTKEQVDSTGEDFDTLLKSRDTEETCTESSFLDIKCLTYLEGGGTLEHEVSDSIDDNLPSLSDLSHVKGQVVAVKNSQLGTGQHIEPFSCSNSSANGKSGQIIKADPGIVDATSVQSPLPPPLPRSPSQSWLWSTLSSISSRYPISQARSKRLDSKTSSTSTKWETIVKTSNLRHDHVRYSEELIAHISQQSKS >ONI11585 pep chromosome:Prunus_persica_NCBIv2:G4:6165052:6169823:-1 gene:PRUPE_4G114800 transcript:ONI11585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITCKASKFNHGAPSPLYTSKRGLKHVQFYRTCHTPHNKKCMVFSSLADGPRWNQYRHFTGNNNKNGSSTVYKKPRNPEEAEEEGERKVHCEVDMISWRERRIKAEISVNADIDSVWNALTDYERLADFIPNLVSSGRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLQEFPNLSDNDRELHFSMVDGDFKKFEGKWSVRCGTRSSSAILSYELNVIPRFNFPAIFLERIIRSDLPVNLRALACRSEKTFLGDQKITITESSLPSTSMAVTSSPPKNIDGSLCEKDYPLNEFKENVAGSNSGSLPPSSTELNSNWGVFGKVCRLDRPCLVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWNVLTAYESLPEIVPNLAISRILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEQLEQEISFEQVEGDFDSFRGKWVFEQLGSHHTLLKYSVESKMRRDTFLSEAIMEEVIYEDLPSNLCTIRDYVEKREAAHSMKACDESIYREEQTASSSTDRDDESCITVDRLSDTNAQSSSRQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLHGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLDNLQEEINRFQRSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLKVRHPNRQPNLARDVKLDYVVSTDVEGEKVAPSNPYVSQDTQKWISELKHLDINWVE >ONI11586 pep chromosome:Prunus_persica_NCBIv2:G4:6166199:6169677:-1 gene:PRUPE_4G114800 transcript:ONI11586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITCKASKFNHGAPSPLYTSKRGLKHVQFYRTCHTPHNKKCMVFSSLADGPRWNQYRHFTGNNNKNGSSTVYKKPRNPEEAEEEGERKVHCEVDMISWRERRIKAEISVNADIDSVWNALTDYERLADFIPNLVSSGRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLQEFPNLSDNDRELHFSMVDGDFKKFEGKWSVRCGTRSSSAILSYELNVIPRFNFPAIFLERIIRSDLPVNLRALACRSEKTFLGDQKITITESSLPSTSMAVTSSPPKNIDGSLCEKDYPLNEFKENVAGSNSGSLPPSSTELNSNWGVFGKVCRLDRPCLVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWNVLTAYESLPEIVPNLAISRILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEQLEQEISFEQVEGDFDSFRGKWVFEQLGSHHTLLKYSVESKMRRDTFLSEAIMEEVIYEDLPSNLCTIRDYVEKREAAHSMKACDESIYREEQTASSSTDRDDESCITVDRLSDTNAQSSSRQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLHGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLDNLQEENSILHTD >ONI13042 pep chromosome:Prunus_persica_NCBIv2:G4:12346365:12348862:-1 gene:PRUPE_4G199500 transcript:ONI13042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAGSTAQSQNSKADQIVRQTANYHPSIWGDQFMNYDSEDIITDAHKQQEVEELKLAVRREVFTTSADDFSNKMKLIDAIQRLGVAYHFEKEIEEALQHIYAANHFHDDDDGDGDLYNVSLGFRLLRQHGHNVSCRIFNKFKDIKSGGFKESLIADVSGMLSFYEATHLRVHGEDILEEALVFTTKHLESATTHVSYQLAEQILQALERPLRKGLERLCAHRYMSTYQDEGSHNEALLKLAKLDFNLVQSLHKQELSEIIRWWKQLDFGRKLPFARNRIVELYCWILGVYFEPQYLVGRKFLTKIIALMSVMDDIYDAFGTFEELEIFTEAIHQRWHANCMDGLPDYMQIFFHALLDVFNEIEEEMVKEGRAYRAHYAKEAWKATAKAYFDEAKWFHEGCIPSMEGYMRVAATSSGIFGVSTTSLVGMGDIVTKESFEWLFNDPKILRASNIIVRLMDDIVSSKFEKGRGHVACAIDCYMKQYGVSDKQEIIDVFNKQIVDSWKDINEEFLRPTSMPMPILERIVNLTRVVDLLYKKYDAYTHGGKVMNDCIALYLIDPAPV >ONI13043 pep chromosome:Prunus_persica_NCBIv2:G4:12346566:12348825:-1 gene:PRUPE_4G199500 transcript:ONI13043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAGSTAQSQNSKADQIVRQTANYHPSIWGDQFMNYDSEDIITDAHKQQEVEELKLAVRREVFTTSADDFSNKMKLIDAIQRLGVAYHFEKEIEEALQHIYAANHFHDDDDGDGDLYNVSLGFRLLRQHGHNVSCTGIFNKFKDIKSGGFKESLIADVSGMLSFYEATHLRVHGEDILEEALVFTTKHLESATTHVSYQLAEQILQALERPLRKGLERLCAHRYMSTYQDEGSHNEALLKLAKLDFNLVQSLHKQELSEIIRWWKQLDFGRKLPFARNRIVELYCWILGVYFEPQYLVGRKFLTKIIALMSVMDDIYDAFGTFEELEIFTEAIHQRWHANCMDGLPDYMQIFFHALLDVFNEIEEEMVKEGRAYRAHYAKEAWKATAKAYFDEAKWFHEGCIPSMEGYMRVAATSSGIFGVSTTSLVGMGDIVTKESFEWLFNDPKILRASNIIVRLMDDIVSSKFEKGRGHVACAIDCYMKQYGVSDKQEIIDVFNKQIVDSWKDINEEFLRPTSMPMPILERIVNLTRVVDLLYKKYDAYTHGGKVMNDCIALYLIDPAPV >ONI11198 pep chromosome:Prunus_persica_NCBIv2:G4:4586620:4589077:-1 gene:PRUPE_4G091900 transcript:ONI11198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHGIILQLFLVGLVVLAAAEAQALPGCPNQCGNLSIPFPFGIAKGCYLRDEFFIDCNETNQTPTPYLNGTGIPISNLSLNGELQIMQFVARDCYDQDGSLDTKLSNTPRLKLFPPYTISGTKNKFIAVGCDTYAIFEGGRGKEKYITGCMTFCESLGSISESCSGIGCCQTSIPSGLQVRTVTMSSYYNHTFIWDFNPCSYSFIVEEGQFTFSSKSFQELKSISRLPMVLNWAIGDEPCDAAQHRQDYACKGNSTCVNPLNLSGYFCECLPGYEGNPYLPDGCQDTDECQISNPCSAGACVNVLGNYSCVCPKGFKGDGMKAGTGCSKDNTSNLFKGIHLLTISLAMTVALLVLLVGSSWTYWGTKKRRFIKLKEKYFQENGGFLLQQQLASRRGPVQTTKIFTAEELEKATNNYHESRVLGEGGYGTVYKGILEDDKVVAIKKSKICAPAQNEQFVNEVIVLSQINHRNVVRLLGCCLETPMPLLVYEFIINGTLSEHIHNKCRESLLSWELRLKIAAETAGALAYLHSSISIPIIHRDVKTTNVLLDENYIAKVSDFGASRLIPLDQAQITTLVQGTLGYLDPEYFHSNQLTEKSDVYSFGVVLAELLTSKVALSFARPEAERNLASFFVCSVEQGRLNQILDEDIVNEGNIETLKKVADLANRCLRVKREERPTMKHIAMELEGMMIMAKHPWGKANFGPEDTEYLLGSASMASVRGDNCSSTGTTTDATTVYDSMRIEIKMLMRHNGR >ONI10788 pep chromosome:Prunus_persica_NCBIv2:G4:3313428:3318045:1 gene:PRUPE_4G068200 transcript:ONI10788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMGGSTSASPCSSYQPSPCQSYNPSPASSSFPSPARYPANANGNADANSLIPWLKTLSSGSSSATSKLPQLFIQGGSISAPVTPPLSSPTSRTPRTKSDWDEAVAGSNWAGQNYPFLPSSTPPSPGRQVLPDSGWLAGLQIPQSGPSSPTFSLVSRNPFNLKEALSGGGSRMWTPGQSGTCSPVVAASVDHSGDVPMSDGMAAEFAFGSNTSGLVKPWEGERIEECVSDDLELTLGSARTR >ONI10787 pep chromosome:Prunus_persica_NCBIv2:G4:3313428:3318045:1 gene:PRUPE_4G068200 transcript:ONI10787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMGGSTSASPCSSYQPSPCQSYNPSPASSSFPSPARYPANANGNADANSLIPWLKTLSSGSSSATSKLPQLFIQGGSISAPVTPPLSSPTSRTPRTKSDWDEAVAGSNWAGQNYPFLPSSTPPSPGRQVLPDSGWLAGLQIPQSGPSSPTFSLVSRNPFNLKEALSGGGSRMWTPGQSGTCSPVVAASVDHSGDVPMSDGMAAEFAFGSNTSGLVKPWEGERIEECVSDDLELTLGSARTR >ONI10785 pep chromosome:Prunus_persica_NCBIv2:G4:3313428:3318045:1 gene:PRUPE_4G068200 transcript:ONI10785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSGTRMPTWKERENNKKRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVDRMDIMGGSTSASPCSSYQPSPCQSYNPSPASSSFPSPARYPANANGNADANSLIPWLKTLSSGSSSATSKLPQLFIQGGSISAPVTPPLSSPTSRTPRTKSDWDEAVAGSNWAGQNYPFLPSSTPPSPGRQVLPDSGWLAGLQIPQSGPSSPTFSLVSRNPFNLKEALSGGGSRMWTPGQSGTCSPVVAASVDHSGDVPMSDGMAAEFAFGSNTSGLVKPWEGERIEECVSDDLELTLGSARTR >ONI10790 pep chromosome:Prunus_persica_NCBIv2:G4:3315720:3316451:1 gene:PRUPE_4G068200 transcript:ONI10790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMGGSTSASPCSSYQPSPCQSYNPSPASSSFPSPARYPANANGNADANSLIPWLKTLSSGSSSATSKLPQLFIQGGSISAPVTPPLSSPTSRTPRTKSDWDEAVAGSNWAGQNYPFLPSSTPPSPGRQVLPDSGWLAGLQIPQSGPSSPTFSLVSRNPFNLKEALSGGGSRMWTPGQSGTCSPVVAASVDHSGDVPMSDGMAAEFAFGSNTSGLVKPWEGERIEECVSDDLELTLGSARTR >ONI10786 pep chromosome:Prunus_persica_NCBIv2:G4:3313428:3318045:1 gene:PRUPE_4G068200 transcript:ONI10786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSGTRMPTWKERENNKKRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVDRMDIMGGSTSASPCSSYQPSPCQSYNPSPASSSFPSPARYPANANGNADANSLIPWLKTLSSGSSSATSKLPQLFIQGGSISAPVTPPLSSPTSRTPRTKSDWDEAVAGSNWAGQNYPFLPSSTPPSPGRQVLPDSGWLAGLQIPQSGPSSPTFSLVSRNPFNLKEALSGGGSRMWTPGQSGTCSPVVAASVDHSGDVPMSDGMAAEFAFGSNTSGLVKPWEGERIEECVSDDLELTLGSARTR >ONI10789 pep chromosome:Prunus_persica_NCBIv2:G4:3313428:3318045:1 gene:PRUPE_4G068200 transcript:ONI10789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMGGSTSASPCSSYQPSPCQSYNPSPASSSFPSPARYPANANGNADANSLIPWLKTLSSGSSSATSKLPQLFIQGGSISAPVTPPLSSPTSRTPRTKSDWDEAVAGSNWAGQNYPFLPSSTPPSPGRQVLPDSGWLAGLQIPQSGPSSPTFSLVSRNPFNLKEALSGGGSRMWTPGQSGTCSPVVAASVDHSGDVPMSDGMAAEFAFGSNTSGLVKPWEGERIEECVSDDLELTLGSARTR >ONI11563 pep chromosome:Prunus_persica_NCBIv2:G4:6022993:6025134:-1 gene:PRUPE_4G113500 transcript:ONI11563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKITISRIENRTTRQVTFAKRRAGLIKKTHELSVLCDAQIGLVIFSSTGKMFQYCSESSSMEELIQRYQVARGTRIFQHNDTEYMNAELRKMRRETQSLELSLQRYTGEDLSCVRFEDLVELEHQLEESVNRVRARKFEVLQQQIDNLRATTKRREEENEQLFHLIKAHQNAAFGHEQAHEAEQVENLQILPKSEEHRHVLDQFPFGGEEQPSSVLKLATLPQPQPQFNPYSLQPPHQPSLLDFNLSGRSIYGTYTYIVIRAFSINLSRLYHYR >ONI11899 pep chromosome:Prunus_persica_NCBIv2:G4:7388458:7390498:1 gene:PRUPE_4G133400 transcript:ONI11899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFSPERRIKHYSSYQKILLVGEGDFSFSVCLARAFGLAVNMVATSLDSRESLMLKYSEAINNVEELEDRGCIVLHKVDVNRMSQHPLLISVRFDRIIYNFPHAGYVHGPFSSERFQIWFHQDLVRRFFKNAHEMLTVVGEIHVTHKTTFPFTEWKIVELAQEVGLRLVDEEQFSLWDYPGYENRRGVGMCDDTFPVGMSSTFIFAKRSAASFHPGALCISLWSADIVDMACTYLESLERKKPKHGHKNLRSPSRPRKKNKKLRSPYHY >ONI10804 pep chromosome:Prunus_persica_NCBIv2:G4:3349061:3357439:1 gene:PRUPE_4G069200 transcript:ONI10804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKSSSLFVLLVTVLSCSFSSVRFAESKVPQDEIDALQEITSTMGAKFWKFNGDSCKIEMVGVTADPPKGSETGISCDCNSTVCHVVTLALKRYSLPGMLPPQLVKLPYLKEIDLSLNYLNGTIPGEWGAMQLTKISLLVNRLSGEIPKELGNITTLTYLTLEANQFSGVVPPELGNLINLGTLMLSSNRLTGKLPVALARLRNLTDFRINDNNFNGTIPDFIQNWEKLERLEMHASGLQGPIPSNISLLSNLQELRISDIKGPQQGFPTLTNMTGLVRLILTGNSLSGSVPGSIFKDGSNVDLSYNNFTWQGPEQRTCQDNINLNLNLFRSSSTENNLRRGLPCLKDLNCPRYSTCLHVNCGGNDVTIKENKGNVVYEGDGGVEGGAAEYFLNGDYFWGFSSTGDFMDDNDFQNTRYSVSLASSNLSDLYTTARISPISITYFHYCLENGDYTVSLHFAEIQFTNDQTYTSLGRRVFDIYVQEEVLRKDFNIEDEAKMAQKPVILEHNVSVTNNILEIRFYFAGKGTTRIPDRGVYGPLISAISVKSDSKDCSNGESKGTAHIVAGAVVGAFGLVFVILGILWWKGYMPGKRGRKKDRGLDGQTGTFTLKQLKAATNDFDSANKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGMISCFQHPNLVKLHGCCIDGGQLLLVYEYMENNNLARALLGRENHLKLDWPTRRNICIGIARGLAFLHEESVLKIVHRDIKATNVLLDRDLNAKISDFGLAKLDEEEKTHMSTRVAGTIGYMAPEYALWGHLTYKADVYSFGVVALEIISGKNNNNYIPSDDWVCLLDWACHLQQTGNLLELVDEKLGSEFDQKEAEIMVKVALICTNASASLRPSMSEVVSMLEGQTPVPDVIPEPSTYIEDLRFKAMRDLHRQRQDHSLSISRTQHSTTVHTFESTSTSGLEFSEINPDSTPS >ONI10803 pep chromosome:Prunus_persica_NCBIv2:G4:3349061:3357439:1 gene:PRUPE_4G069200 transcript:ONI10803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKSSSLFVLLVTVLSCSFSSVRFAESKVPQDEIDALQEITSTMGAKFWKFNGDSCKIEMVGVTADPPKGSETGISCDCNSTVCHVVTLALKRYSLPGMLPPQLVKLPYLKEIDLSLNYLNGTIPGEWGAMQLTKISLLVNRLSGEIPKELGNITTLTYLTLEANQFSGVVPPELGNLINLGTLMLSSNRLTGKLPVALARLRNLTDFRINDNNFNGTIPDFIQNWEKLERLEMHASGLQGPIPSNISLLSNLQELRISDIKGPQQGFPTLTNMTGLVRLVLRNCNISGEIPPYIWTIQNLEMLDVSFNKLVGEVPDTINLERLRFLILTGNSLSGSVPGSIFKDGSNVDLSYNNFTWQGPEQRTCQDNINLNLNLFRSSSTENNLRRGLPCLKDLNCPRYSKDCSNGESKGTAHIVAGAVVGAFGLVFVILGILWWKGYMPGKRGRKKDRGLDGQTGTFTLKQLKAATNDFDSANKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGMISCFQHPNLVKLHGCCIDGGQLLLVYEYMENNNLARALLGRENHLKLDWPTRRNICIGIARGLAFLHEESVLKIVHRDIKATNVLLDRDLNAKISDFGLAKLDEEEKTHMSTRVAGTIGYMAPEYALWGHLTYKADVYSFGVVALEIISGKNNNNYIPSDDWVCLLDWACHLQQTGNLLELVDEKLGSEFDQKEAEIMVKVALICTNASASLRPSMSEVVSMLEGQTPVPDVIPEPSTYIEDLRFKAMRDLHRQRQDHSLSISRTQHSTTVHTFESTSTSGLEFSEINPDSTPS >ONI10805 pep chromosome:Prunus_persica_NCBIv2:G4:3350193:3357439:1 gene:PRUPE_4G069200 transcript:ONI10805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVLHLMLKLRTVILMFFTLISRRALKRYSLPGMLPPQLVKLPYLKEIDLSLNYLNGTIPGEWGAMQLTKISLLVNRLSGEIPKELGNITTLTYLTLEANQFSGVVPPELGNLINLGTLMLSSNRLTGKLPVALARLRNLTDFRINDNNFNGTIPDFIQNWEKLERLEMHASGLQGPIPSNISLLSNLQELRISDIKGPQQGFPTLTNMTGLVRLVLRNCNISGEIPPYIWTIQNLEMLDVSFNKLVGEVPDTINLERLRFLILTGNSLSGSVPGSIFKDGSNVDLSYNNFTWQGPEQRTCQDNINLNLNLFRSSSTENNLRRGLPCLKDLNCPRYSTCLHVNCGGNDVTIKENKGNVVYEGDGGVEGGAAEYFLNGDYFWGFSSTGDFMDDNDFQNTRYSVSLASSNLSDLYTTARISPISITYFHYCLENGDYTVSLHFAEIQFTNDQTYTSLGRRVFDIYVQEEVLRKDFNIEDEAKMAQKPVILEHNVSVTNNILEIRFYFAGKGTTRIPDRGVYGPLISAISVKSDSKDCSNGESKGTAHIVAGAVVGAFGLVFVILGILWWKGYMPGKRGRKKDRGLDGQTGTFTLKQLKAATNDFDSANKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGMISCFQHPNLVKLHGCCIDGGQLLLVYEYMENNNLARALLGRENHLKLDWPTRRNICIGIARGLAFLHEESVLKIVHRDIKATNVLLDRDLNAKISDFGLAKLDEEEKTHMSTRVAGTIGYMAPEYALWGHLTYKADVYSFGVVALEIISGKNNNNYIPSDDWVCLLDWACHLQQTGNLLELVDEKLGSEFDQKEAEIMVKVALICTNASASLRPSMSEVVSMLEGQTPVPDVIPEPSTYIEDLRFKAMRDLHRQRQDHSLSISRTQHSTTVHTFESTSTSGLEFSEINPDSTPS >ONI10809 pep chromosome:Prunus_persica_NCBIv2:G4:3349061:3357439:1 gene:PRUPE_4G069200 transcript:ONI10809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPQLVKLPYLKEIDLSLNYLNGTIPGEWGAMQLTKISLLVNRLSGEIPKELGNITTLTYLTLEANQFSGVVPPELGNLINLGTLMLSSNRLTGKLPVALARLRNLTDFRINDNNFNGTIPDFIQNWEKLERLEMHASGLQGPIPSNISLLSNLQELRISDIKGPQQGFPTLTNMTGLVRLVLRNCNISGEIPPYIWTIQNLEMLDVSFNKLVGEVPDTINLERLRFLILTGNSLSGSVPGSIFKDGSNVDLSYNNFTWQGPEQRTCQDNINLNLNLFRSSSTENNLRRGLPCLKDLNCPRYSKDCSNGESKGTAHIVAGAVVGAFGLVFVILGILWWKGYMPGKRGRKKDRGLDGQTGTFTLKQLKAATNDFDSANKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGMISCFQHPNLVKLHGCCIDGGQLLLVYEYMENNNLARALLGRENHLKLDWPTRRNICIGIARGLAFLHEESVLKIVHRDIKATNVLLDRDLNAKISDFGLAKLDEEEKTHMSTRVAGTIGYMAPEYALWGHLTYKADVYSFGVVALEIISGKNNNNYIPSDDWVCLLDWACHLQQTGNLLELVDEKLGSEFDQKEAEIMVKVALICTNASASLRPSMSEVVSMLEGQTPVPDVIPEPSTYIEDLRFKAMRDLHRQRQDHSLSISRTQHSTTVHTFESTSTSGLEFSEINPDSTPS >ONI10808 pep chromosome:Prunus_persica_NCBIv2:G4:3349061:3357439:1 gene:PRUPE_4G069200 transcript:ONI10808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPQLVKLPYLKEIDLSLNYLNGTIPGEWGAMQLTKISLLVNRLSGEIPKELGNITTLTYLTLEANQFSGVVPPELGNLINLGTLMLSSNRLTGKLPVALARLRNLTDFRINDNNFNGTIPDFIQNWEKLERLEMHASGLQGPIPSNISLLSNLQELRISDIKGPQQGFPTLTNMTGLVRLVLRNCNISGEIPPYIWTIQNLEMLDVSFNKLVGEVPDTINLERLRFLILTGNSLSGSVPGSIFKDGSNVDLSYNNFTWQGPEQRTCQDNINLNLNLFRSSSTENNLRRGLPCLKDLNCPRYSTCLHVNCGGNDVTIKENKGNVVYEGDGGVEGGAAEYFLNGDYFWGFSSTGDFMDDNDFQNTRYSVSLASSNLSDLYTTARISPISITYFHYCLENGDYTVSLHFAEIQFTNDQTYTSLGRRVFDIYVQEEVLRKDFNIEDEAKMAQKPVILEHNVSVTNNILEIRFYFAGKGTTRIPDRGVYGPLISAISVKSDSKDCSNGESKGTAHIVAGAVVGAFGLVFVILGILWWKGYMPGKRGRKKDRGLDGQTGTFTLKQLKAATNDFDSANKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGMISCFQHPNLVKLHGCCIDGGQLLLVYEYMENNNLARALLGRENHLKLDWPTRRNICIGIARGLAFLHEESVLKIVHRDIKATNVLLDRDLNAKISDFGLAKLDEEEKTHMSTRVAGTIGYMAPEYALWGHLTYKADVYSFGVVALEIISGKNNNNYIPSDDWVCLLDWACHLQQTGNLLELVDEKLGSEFDQKEAEIMVKVALICTNASASLRPSMSEVVSMLEGQTPVPDVIPEPSTYIEDLRFKAMRDLHRQRQDHSLSISRTQHSTTVHTFESTSTSGLEFSEINPDSTPS >ONI10807 pep chromosome:Prunus_persica_NCBIv2:G4:3350418:3357226:1 gene:PRUPE_4G069200 transcript:ONI10807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVLHLMLKLRTVILMFFTLISRRALKRYSLPGMLPPQLVKLPYLKEIDLSLNYLNGTIPGEWGAMQLTKISLLVNRLSGEIPKELGNITTLTYLTLEANQFSGVVPPELGNLINLGTLMLSSNRLTGKLPVALARLRNLTDFRINDNNFNGTIPDFIQNWEKLERLEMHASGLQGPIPSNISLLSNLQELRISDIKGPQQGFPTLTNMTGLVRLVLRNCNISGEIPPYIWTIQNLEMLDVSFNKLVGEVPDTINLERLRFLILTGNSLSGSVPGSIFKDGSNVDLSYNNFTWQGPEQRTCQDNINLNLNLFRSSSTENNLRRGLPCLKDLNCPRYSKDCSNGESKGTAHIVAGAVVGAFGLVFVILGILWWKGYMPGKRGRKKDRGLDGQTGTFTLKQLKAATNDFDSANKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGMISCFQHPNLVKLHGCCIDGGQLLLVYEYMENNNLARALLGRENHLKLDWPTRRNICIGIARGLAFLHEESVLKIVHRDIKATNVLLDRDLNAKISDFGLAKLDEEEKTHMSTRVAGTIGYMAPEYALWGHLTYKADVYSFGVVALEIISGKNNNNYIPSDDWVCLLDWACHLQQTGNLLELVDEKLGSEFDQKEAEIMVKVALICTNASASLRPSMSEVVSMLEGQTPVPDVIPEPSTYIEDLRFKAMRDLHRQRQDHSLSISRTQHSTTVHTFESTSTSGLEFSEINPDSTPS >ONI10802 pep chromosome:Prunus_persica_NCBIv2:G4:3349061:3357439:1 gene:PRUPE_4G069200 transcript:ONI10802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKSSSLFVLLVTVLSCSFSSVRFAESKVPQDEIDALQEITSTMGAKFWKFNGDSCKIEMVGVTADPPKGSETGISCDCNSTVCHVVTLALKRYSLPGMLPPQLVKLPYLKEIDLSLNYLNGTIPGEWGAMQLTKISLLVNRLSGEIPKELGNITTLTYLTLEANQFSGVVPPELGNLINLGTLMLSSNRLTGKLPVALARLRNLTDFRINDNNFNGTIPDFIQNWEKLERLEMHASGLQGPIPSNISLLSNLQELRISDIKGPQQGFPTLTNMTGLVRLVLRNCNISGEIPPYIWTIQNLEMLDVSFNKLVGEVPDTINLERLRFLILTGNSLSGSVPGSIFKDGSNVDLSYNNFTWQGPEQRTCQDNINLNLNLFRSSSTENNLRRGLPCLKDLNCPRYSTCLHVNCGGNDVTIKENKGNVVYEGDGGVEGGAAEYFLNGDYFWGFSSTGDFMDDNDFQNTRYSVSLASSNLSDLYTTARISPISITYFHYCLENGDYTVSLHFAEIQFTNDQTYTSLGRRVFDIYVQEEVLRKDFNIEDEAKMAQKPVILEHNVSVTNNILEIRFYFAGKGTTRIPDRGVYGPLISAISVKSDSKDCSNGESKGTAHIVAGAVVGAFGLVFVILGILWWKGYMPGKRGRKKDRGLDGQTGTFTLKQLKAATNDFDSANKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGMISCFQHPNLVKLHGCCIDGGQLLLVYEYMENNNLARALLGRENHLKLDWPTRRNICIGIARGLAFLHEESVLKIVHRDIKATNVLLDRDLNAKISDFGLAKLDEEEKTHMSTRVAGTIGYMAPEYALWGHLTYKADVYSFGVVALEIISGKNNNNYIPSDDWVCLLDWACHLQQTGNLLELVDEKLGSEFDQKEAEIMVKVALICTNASASLRPSMSEVVSMLEGQTPVPDVIPEPSTYIEDLRFKAMRDLHRQRQDHSLSISRTQHSTTVHTFESTSTSGLEFSEINPDSTPS >ONI10806 pep chromosome:Prunus_persica_NCBIv2:G4:3350418:3357226:1 gene:PRUPE_4G069200 transcript:ONI10806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVLHLMLKLRTVILMFFTLISRRALKRYSLPGMLPPQLVKLPYLKEIDLSLNYLNGTIPGEWGAMQLTKISLLVNRLSGEIPKELGNITTLTYLTLEANQFSGVVPPELGNLINLGTLMLSSNRLTGKLPVALARLRNLTDFRINDNNFNGTIPDFIQNWEKLERLEMHASGLQGPIPSNISLLSNLQELRISDIKGPQQGFPTLTNMTGLVRLVLRNCNISGEIPPYIWTIQNLEMLDVSFNKLVGEVPDTINLERLRFLILTGNSLSGSVPGSIFKDGSNVDLSYNNFTWQGPEQRTCQDNINLNLNLFRSSSTENNLRRGLPCLKDLNCPRYSTCLHVNCGGNDVTIKENKGNVVYEGDGGVEGGAAEYFLNGDYFWGFSSTGDFMDDNDFQNTRYSVSLASSNLSDLYTTARISPISITYFHYCLENGDYTVSLHFAEIQFTNDQTYTSLGRRVFDIYVQEEVLRKDFNIEDEAKMAQKPVILEHNVSVTNNILEIRFYFAGKGTTRIPDRGVYGPLISAISVKSDSKDCSNGESKGTAHIVAGAVVGAFGLVFVILGILWWKGYMPGKRGRKKDRGLDGQTGTFTLKQLKAATNDFDSANKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGMISCFQHPNLVKLHGCCIDGGQLLLVYEYMENNNLARALLGRENHLKLDWPTRRNICIGIARGLAFLHEESVLKIVHRDIKATNVLLDRDLNAKISDFGLAKLDEEEKTHMSTRVAGTIGYMAPEYALWGHLTYKADVYSFGVVALEIISGKNNNNYIPSDDWVCLLDWACHLQQTGNLLELVDEKLGSEFDQKEAEIMVKVALICTNASASLRPSMSEVVSMLEGQTPVPDVIPEPSTYIEDLRFKAMRDLHRQRQDHSLSISRTQHSTTVHTFESTSTSGLEFSEINPDSTPS >ONI10812 pep chromosome:Prunus_persica_NCBIv2:G4:3374229:3381336:1 gene:PRUPE_4G069400 transcript:ONI10812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHFDVSKATMDLVILVAMLVLCFCISTVKVEAQSLRLASAEVEALKEIATQVGKKDWNFSIDPCSNDTNWATPKSADLPLYNNTLICNCSYPDGFCHVVSIFLKGQDLAGVVPPSAAKLPYLTRVDFTRNYLTGTIPREWASTKLEYLSITVNNLSGPIPGYLGNISTLIYMSLENNNFSGTVPPELGKLVNLNNLILSANILTGELPLALTNLTKLTELRISSNNFIGRIPDFIQSWKQLQKLRISDINGTGSEFPPLSSMTGMGSLMLRSCNLSGRIPAYISAMTTLKILDLSFNRLEGDIPDLAILTNLQYLYLTSNLLTGSIPDWIKNRDSHYQLDISYNNFSQSSEPAACRETLNLFKSFSARDNSLFGECLNSYPCPKDRYSLHINCGGKATTIGGINFEGDPDLGGAAKFVPVRPIWGISTTGHFWDANPTSNDYIANNASTLGMNNSELYTSARLSPLSLTYYARCFGNGNYTVRLHFSEIIIRGNRSFYSLGRRMFDVYIQEKLVWKDFDIEKEAQGVDKEVIKELKAVEVKNKTLEIRFHWSGKGTTASPKRGTYGPLISAISLESEFTPPHDKKSKVPIVVGASVGASVLCLIFLILGILWWKGSLDSKTSREKALRELDLQTGFFTFRQIKAATNNFDLKNKIGEGGFGSVYKGILLDGTIIAVKQLSSKSKQGNREFVNEIGMISGLQHPNLVRLYGCCIEANQLLLVYEYMENNSLARALFGPEEGPLKLDWPTRQKICLGIARGLAFLHEESALKVVHRDIKTTNILLDHDLSPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVALEIVAGKNNMKYRPNENFVCLVDWALVLQQKWNLMDLVDPRLGSNFSKEEAIRMVKVALLCTNPAPALRPTMSSVLSMLEGKTAVHELIMDPSIYGDEMRLTALRNQFDQIAQESSTGTQSLIRSSNATWIGSSATSTSSDLYKINPSS >ONI10811 pep chromosome:Prunus_persica_NCBIv2:G4:3374229:3381336:1 gene:PRUPE_4G069400 transcript:ONI10811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHFDVSKATMDLVILVAMLVLCFCISTVKVEAQSLRLASAEVEALKEIATQVGKKDWNFSIDPCSNDTNWATPKSADLPLYNNTLICNCSYPDGFCHVVSIFLKGQDLAGVVPPSAAKLPYLTRVDFTRNYLTGTIPREWASTKLEYLSITVNNLSGPIPGYLGNISTLIYMSLENNNFSGTVPPELGKLVNLNNLILSANILTGELPLALTNLTKLTELRISSNNFIGRIPDFIQSWKQLQKLEIQASGLQGPIPSNISALSNLTELRISDINGTGSEFPPLSSMTGMGSLMLRSCNLSGRIPAYISAMTTLKILDLSFNRLEGDIPDLAILTNLQYLYLTSNLLTGSIPDWIKNRDSHYQLDISYNNFSQSSEPAACRETLNLFKSFSARDNSLFGECLNSYPCPKDRYSLHINCGGKATTIGGINFEGDPDLGGAAKFVPVRPIWGISTTGHFWDANPTSNDYIANNASTLGMNNSELYTSARLSPLSLTYYARCFGNGNYTVRLHFSEIIIRGNRSFYSLGRRMFDVYIQEKLVWKDFDIEKEAQGVDKEVIKELKAVEVKNKTLEIRFHWSGKGTTASPKRGTYGPLISAISLESEFTPPHDKKSKVPIVVGASVGASVLCLIFLILGILWWKGSLDSKTSREKALRELDLQTGFFTFRQIKAATNNFDLKNKIGEGGFGSVYKGILLDGTIIAVKQLSSKSKQGNREFVNEIGMISGLQHPNLVRLYGCCIEANQLLLVYEYMENNSLARALFGPEEGPLKLDWPTRQKICLGIARGLAFLHEESALKVVHRDIKTTNILLDHDLSPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVALEIVAGKNNMKYRPNENFVCLVDWALVLQQKWNLMDLVDPRLGSNFSKEEAIRMVKVALLCTNPAPALRPTMSSVLSMLEGKTAVHELIMDPSIYGDEMRLTALRNQFDQIAQESSTGTQSLIRSSNATWIGSSATSTSSDLYKINPSS >ONI10395 pep chromosome:Prunus_persica_NCBIv2:G4:2154226:2156164:-1 gene:PRUPE_4G044900 transcript:ONI10395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHQWGSPCGNQCTNKYAALMQIPWRVFCKKGCDADGETWEECLEECNEICYKDPVLKDRQWSACIDRSPGVDRYSEECFHACVSGCGYKFDIRPDIADKVRPNRRPKPPPPVQEPASPPAESRAAGATTEDILSTSA >ONI10393 pep chromosome:Prunus_persica_NCBIv2:G4:2153768:2156251:-1 gene:PRUPE_4G044900 transcript:ONI10393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHQWGSPCGNQCTNKYAALMQIPWRVFCKKGCDADGETWEECLEECNEICYKDPVLKDRQWSACIDRSPGVDRSAFMLVYLAVVTRRPKPPPPVQEPASPPAESRAAGATTEDILSTSA >ONI10402 pep chromosome:Prunus_persica_NCBIv2:G4:2154455:2156164:-1 gene:PRUPE_4G044900 transcript:ONI10402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHQWGSPCGNQCTNKYAALMQIPWRVFCKKGCDADGETWEECLEECNEICYKDPVLKDRQWSACIDRSPGVDRSAFMLVYLAVVTSLIFVRT >ONI10400 pep chromosome:Prunus_persica_NCBIv2:G4:2153793:2156240:-1 gene:PRUPE_4G044900 transcript:ONI10400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHQWGSPCGNQCTNKYAALMQIPWRVFCKKGCDADGETWEECLEECNEICYKDPVLKDRQWSACIDRSPGVDRSAFMLVYLAVVTSLIFVRT >ONI10394 pep chromosome:Prunus_persica_NCBIv2:G4:2154202:2156164:-1 gene:PRUPE_4G044900 transcript:ONI10394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHQWGSPCGNQCTNKYAALMQIPWRVFCKKGCDADGETWEECLEECNEICYKDPVLKDRQWSACIDRSPGVDRYSEECFHACVSGCGYKFDIRPDIADKVRPNRRPKPPPPVQEPASPPAESRAAGATTEDILSTSA >ONI10399 pep chromosome:Prunus_persica_NCBIv2:G4:2153793:2156240:-1 gene:PRUPE_4G044900 transcript:ONI10399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHQWGSPCGNQCTNKYAALMQIPWRVFCKKGCDADGETWEECLEECNEICYKDPVLKDRQWSACIDRSPGVDRYSEECFHACVSGCGYKAT >ONI10397 pep chromosome:Prunus_persica_NCBIv2:G4:2154330:2156164:-1 gene:PRUPE_4G044900 transcript:ONI10397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHQWGSPCGNQCTNKYAALMQIPWRVFCKKGCDADGETWEECLEECNEICYKDPVLKDRQWSACIDRSPGVDRYSEECFHACVSGCGYKFDIRPDIADKVRPNRRPKPPPPVQEPASPPAESRAAGATTEDILSTSA >ONI10401 pep chromosome:Prunus_persica_NCBIv2:G4:2154455:2156164:-1 gene:PRUPE_4G044900 transcript:ONI10401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHQWGSPCGNQCTNKYAALMQIPWRVFCKKGCDADGETWEECLEECNEICYKDPVLKDRQWSACIDRSPGVDRSAFMLVYLAVVTSLIFVRT >ONI10398 pep chromosome:Prunus_persica_NCBIv2:G4:2154424:2156164:-1 gene:PRUPE_4G044900 transcript:ONI10398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHQWGSPCGNQCTNKYAALMQIPWRVFCKKGCDADGETWEECLEECNEICYKDPVLKDRQWSACIDRSPGVDRYSEECFHACVSGCGYKAT >ONI10396 pep chromosome:Prunus_persica_NCBIv2:G4:2153785:2156307:-1 gene:PRUPE_4G044900 transcript:ONI10396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHQWGSPCGNQCTNKYAALMQIPWRVFCKKGCDADGETWEECLEECNEICYKDPVLKDRQWSACIDRSPGVDRSAFMLVYLAVVTRRPKPPPPVQEPASPPAESRAAGATTEDILSTSA >ONI12594 pep chromosome:Prunus_persica_NCBIv2:G4:10279984:10289396:-1 gene:PRUPE_4G173800 transcript:ONI12594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSKQSKASNRSGPRPRKKHKRLDAICEKEYKRNHVEVIGGNGGPGPGPGSSGSGELELRRSSRARRAPVMLDVSPAPPKKRRRIEKNVILSAEKSVKEEDFDTPGSWRSRLRSRGRNAGSAVKGKRKLFEETGGGRSEENMVSTESNDKNGGLEGGRPRIVKSNRPGRIRATNSLEHEKKENELPVIKDELVEEEVEVMRKDEDVSMQLDGELDGGVQGETVKGDSTKIIEAGENLQLEKGCIGNENVETMDNMETMEHADEQVEQSVCAVQEENNGNQVEQLGCVIEGENQSNAMSEAVGVSRNEVEVAGCHEGKDSDLAKLDENLAIEVNNVKVDKLKGMKCDTLGKPRIKEGRRCGLCGGGTDGMPPKKLVQETGESENEAYSGSSASEEPNYNIWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQHCAVWSPEVYFAGLGCLKNVRAALCRGRALKCTRCGRPGATIGCRVDRCPRTYHLPCARAYGCVFDHRKFLIACTDHRNLFQPMGNQYLARIKKLKAKKMKMEIRKLSNDAWRKDIEAEEKWLENCGEDEEFLKRESKRLHRDLVRIAPVYIGGSNSESGKLFQGWESVAGLQGVIRCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGACAHGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSVEDRAAILSLHTRKWPKPVAGSILKLVARRTAGFAGADLQALCTQAAIMSLKRNFPLQEVLSAAGKKASDHKRLPLPAFAVEDRDWLEALTCSPPPCSRREAGIAANDVVCSPLPTHLSPCLLQPLSTMLVSLYLDERLWLPAPLRKAARMIKSVMVSALNKKKMSSDRWWSHIDILLQEADVAKDIERKLLHTGILLGDDTFANSDAFSDDDDDNILKFPSVKHHGGARPSLLQNISVASTNKSGFRILIAGSPRSGQRHLASCLLHCFVGNVEVQKVDLATVLQEGHGDMVQGITQILMKCASVGPCVVFLPRIDLWAVETPLQVTEESDSDLSDHQLPENEKSYFVHGQAVEEGSGSTSQQCKSEDMGECPGVACSASHAWNLFVEQVESICVSTSLMILATSEVADPVLPVRIRQFFKSDISNDHQSIPVKHTVPRFSVQVNGDFNHDLVINLSAEELLRDIVQQVVLLIHQTSHIHTSSCQEYKTCGTLGGQSEMVNQSLDHGSADANNSVKQGPDESLLKAHPPPNNRTVKGKSSLLLAISSFGYQILRYPHFAELCWFTSKLKEGPSADISGPWKGWPFNSCIARPNNSIEKVAVGCSSSNFKNKENFVLVRGLIAVGLSAYRGVYTSLREVSFEIRKVLELLVLQINAKIQGGKDRYQYVRLLSQVAYLEDMVNSWAYTLHSLEVDSPMKMENAKLTDVRPPDDHHADDQVQSEEPKPNGTSKCSDGLKVPEIDPQGFDNEKVGSVDLNEEYGDLGHPNSEGRLEISDLSGQKIVVMNSTLDKSLLDSDGTLNDQNGTSPKPHEPEKDKNHVVGNGNSGSLKHSNGFECAESVVISEDGCTCEEFGCVKLCSSSTVCNERNGLSSVDAGIGQNDVKCEADKHIMDVEISSKTSLSSESGVLCLYRCCPTCLDTLRSLTQKILIHKWGSNRSLWTADDVHDIVASVSVDLLAAVRRMNVSGGSSNLLDDKMRDGNNERFEWPETITCHCKTSGNKSLLPVECRCHTISESTPTKENASPNTHLRFDSNFIFRDGVLVHMDPDKDVSFHCKFETLCLCSLIELIVMSKQPFH >ONI12593 pep chromosome:Prunus_persica_NCBIv2:G4:10279529:10289857:-1 gene:PRUPE_4G173800 transcript:ONI12593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSKQSKASNRSGPRPRKKHKRLDAICEKEYKRNHVEVIGGNGGPGPGPGSSGSGELELRRSSRARRAPVMLDVSPAPPKKRRRIEKNVILSAEKSVKEEDFDTPGSWRSRLRSRGRNAGSAVKGKRKLFEETGGGRSEENMVSTESNDKNGGLEGGRPRIVKSNRPGRIRATNSLEHEKKENELPVIKDELVEEEVEVMRKDEDVSMQLDGELDGGVQGETVKGDSTKIIEAGENLQLEKGCIGNENVETMDNMETMEHADEQVEQSVCAVQEENNGNQVEQLGCVIEGENQSNAMSEAVGVSRNEVEVAGCHEGKDSDLAKLDENLAIEVNNVKVDKLKGMKCDTLGKPRIKEGRRCGLCGGGTDGMPPKKLVQETGESENEAYSGSSASEEPNYNIWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQHCAVWSPEPCARAYGCVFDHRKFLIACTDHRNLFQPMGNQYLARIKKLKAKKMKMEIRKLSNDAWRKDIEAEEKWLENCGEDEEFLKRESKRLHRDLVRIAPVYIGGSNSESGKLFQGWESVAGLQGVIRCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGACAHGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSVEDRAAILSLHTRKWPKPVAGSILKLVARRTAGFAGADLQALCTQAAIMSLKRNFPLQEVLSAAGKKASDHKRLPLPAFAVEDRDWLEALTCSPPPCSRREAGIAANDVVCSPLPTHLSPCLLQPLSTMLVSLYLDERLWLPAPLRKAARMIKSVMVSALNKKKMSSDRWWSHIDILLQEADVAKDIERKLLHTGILLGDDTFANSDAFSDDDDDNILKFPSVKHHGGARPSLLQNISVASTNKSGFRILIAGSPRSGQRHLASCLLHCFVGNVEVQKVDLATVLQEGHGDMVQGITQILMKCASVGPCVVFLPRIDLWAVETPLQVTEESDSDLSDHQLPENEKSYFVHGQAVEEGSGSTSQQCKSEDMGECPGVACSASHAWNLFVEQVESICVSTSLMILATSEVADPVLPVRIRQFFKSDISNDHQSIPVKHTVPRFSVQVNGDFNHDLVINLSAEELLRDIVQQVVLLIHQTSHIHTSSCQEYKTCGTLGGQSEMVNQSLDHGSADANNSVKQGPDESLLKAHPPPNNRTVKGKSSLLLAISSFGYQILRYPHFAELCWFTSKLKEGPSADISGPWKGWPFNSCIARPNNSIEKVAVGCSSSNFKNKENFVLVRGLIAVGLSAYRGVYTSLREVSFEIRKVLELLVLQINAKIQGGKDRYQYVRLLSQVAYLEDMVNSWAYTLHSLEVDSPMKMENAKLTDVRPPDDHHADDQVQSEEPKPNGTSKCSDGLKVPEIDPQGFDNEKVGSVDLNEEYGDLGHPNSEGRLEISDLSGQKIVVMNSTLDKSLLDSDGTLNDQNGTSPKPHEPEKDKNHVVGNGNSGSLKHSNGFECAESVVISEDGCTCEEFGCVKLCSSSTVCNERNGLSSVDAGIGQNDVKCEADKHIMDVEISSKTSLSSESGVLCLYRCCPTCLDTLRSLTQKILIHKWGSNRSLWTADDVHDIVASVSVDLLAAVRRMNVSGGSSNLLDDKMRDGNNERFEWPETITCHCKTSGNKSLLPVECRCHTISESTPTKENASPNTHLRFDSNFIFRDGVLVHMDPDKDVSFHCKFETLCLCSLIELIVMSKQPFH >ONI12592 pep chromosome:Prunus_persica_NCBIv2:G4:10279529:10289858:-1 gene:PRUPE_4G173800 transcript:ONI12592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSKQSKASNRSGPRPRKKHKRLDAICEKEYKRNHVEVIGGNGGPGPGPGSSGSGELELRRSSRARRAPVMLDVSPAPPKKRRRIEKNVILSAEKSVKEEDFDTPGSWRSRLRSRGRNAGSAVKGKRKLFEETGGGRSEENMVSTESNDKNGGLEGGRPRIVKSNRPGRIRATNSLEHEKKENELPVIKDELVEEEVEVMRKDEDVSMQLDGELDGGVQGETVKGDSTKIIEAGENLQLEKGCIGNENVETMDNMETMEHADEQVEQSVCAVQEENNGNQVEQLGCVIEGENQSNAMSEAVGVSRNEVEVAGCHEGKDSDLAKLDENLAIEVNNVKVDKLKGMKCDTLGKPRIKEGRRCGLCGGGTDGMPPKKLVQETGESENEAYSGSSASEEPNYNIWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQHCAVWSPEVYFAGLGCLKNVRAALCRGRALKCTRCGRPGATIGCRVDRCPRTYHLPCARAYGCVFDHRKFLIACTDHRNLFQPMGNQYLARIKKLKAKKMKMEIRKLSNDAWRKDIEAEEKWLENCGEDEEFLKRESKRLHRDLVRIAPVYIGGSNSESGKLFQGWESVAGLQGVIRCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGACAHGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSVEDRAAILSLHTRKWPKPVAGSILKLVARRTAGFAGADLQALCTQAAIMSLKRNFPLQEVLSAAGKKASDHKRLPLPAFAVEDRDWLEALTCSPPPCSRREAGIAANDVVCSPLPTHLSPCLLQPLSTMLVSLYLDERLWLPAPLRKAARMIKSVMVSALNKKKMSSDRWWSHIDILLQEADVAKDIERKLLHTGILLGDDTFANSDAFSDDDDDNILKFPSVKHHGGARPSLLQNISVASTNKSGFRILIAGSPRSGQRHLASCLLHCFVGNVEVQKVDLATVLQEGHGDMVQGITQILMKCASVGPCVVFLPRIDLWAVETPLQVTEESDSDLSDHQLPENEKSYFVHGQAVEEGSGSTSQQCKSEDMGECPGVACSASHAWNLFVEQVESICVSTSLMILATSEVADPVLPVRIRQFFKSDISNDHQSIPVKHTVPRFSVQVNGDFNHDLVINLSAEELLRDIVQQVVLLIHQTSHIHTSSCQEYKTCGTLGGQSEMVNQSLDHGSADANNSVKQGPDESLLKAHPPPNNRTVKGKSSLLLAISSFGYQILRYPHFAELCWFTSKLKEGPSADISGPWKGWPFNSCIARPNNSIEKVAVGCSSSNFKNKENFVLVRGLIAVGLSAYRGVYTSLREVSFEIRKVLELLVLQINAKIQGGKDRYQYVRLLSQVAYLEDMVNSWAYTLHSLEVDSPMKMENAKLTDVRPPDDHHADDQVQSEEPKPNGTSKCSDGLKVPEIDPQGFDNEKVGSVDLNEEYGDLGHPNSEGRLEISDLSGQKIVVMNSTLDKSLLDSDGTLNDQNGTSPKPHEPEKDKNHVVGNGNSGSLKHSNGFECAESVVISEDGCTCEEFGCVKLCSSSTVCNERNGLSSVDAGIGQNDVKCEADKHIMDVEISSKTSLSSESGVLCLYRCCPTCLDTLRSLTQKILIHKWGSNRSLWTADDVHDIVASVSVDLLAAVRRMNVSGGSSNLLDDKMRDGNNERFEWPETITCHCKTSGNKSLLPVECRCHTISESTPTKENASPNTHLSLDLLTPWQSFVPLRNLDVKILSSR >ONI12596 pep chromosome:Prunus_persica_NCBIv2:G4:10279504:10289857:-1 gene:PRUPE_4G173800 transcript:ONI12596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSKQSKASNRSGPRPRKKHKRLDAICEKEYKRNHVEVIGGNGGPGPGPGSSGSGELELRRSSRARRAPVMLDVSPAPPKKRRRIEKNVILSAEKSVKEEDFDTPGSWRSRLRSRGRNAGSAVKGKRKLFEETGGGRSEENMVSTESNDKNGGLEGGRPRIVKSNRPGRIRATNSLEHEKKENELPVIKDELVEEEVEVMRKDEDVSMQLDGELDGGVQGETVKGDSTKIIEAGENLQLEKGCIGNENVETMDNMETMEHADEQVEQSVCAVQEENNGNQVEQLGCVIEGENQSNAMSEAVGVSRNEVEVAGCHEGKDSDLAKLDENLAIEVNNVKVDKLKGMKCDTLGKPRIKEGRRCGLCGGGTDGMPPKKLVQETGESENEAYSGSSASEEPNYNIWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQHCAVWSPEVYFAGLGCLKNVRAALCRGRALKCTRCGRPGATIGCRVDRCPRTYHLPCARAYGCVFDHRKFLIACTDHRNLFQPMGNQYLARIKKLKAKKMKMEIRKLSNDAWRKDIEAEEKWLENCGEDEEFLKRESKRLHRDLVRIAPVYIGGSNSESGKLFQGWESVAGLQGVIRCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGACAHGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSVEDRAAILSLHTRKWPKPVAGSILKLVARRTAGFAGADLQALCTQAAIMSLKRNFPLQEVLSAAGKKASDHKRLPLPAFAVEDRDWLEALTCSPPPCSRREAGIAANDVVCSPLPTHLSPCLLQPLSTMLVSLYLDERLWLPAPLRKAARMIKSVMVSALNKKKMSSDRWWSHIDILLQEADVAKDIERKLLHTGILLGDDTFANSDAFSDDDDDNILKFPSVKHHGGARPSLLQNISVASTNKSGFRILIAGSPRSGQRHLASCLLHCFVGNVEVQKVDLATVLQEGHGDMVQGITQILMKCASVGPCVVFLPRIDLWAVETPLQVTEESDSDLSDHQLPENEKSYFVHGQAVEEGSGSTSQQCKSEDMGECPGVACSASHAWNLFVEQVESICVSTSLMILATSEVADPVLPVRIRQFFKSDISNDHQSIPVKHTVPRFSVQVNGDFNHDLVINLSAEELLRDIVQQVVLLIHQTSHIHTSSCQEYKTCGTLGGQSEMVNQSLDHGSADANNSVKQGPDESLLKAHPPPNNRTVKGKSSLLLAISSFGYQILRYPHFAELCWFTSKLKEGPSADISGPWKGWPFNSCIARPNNSIEKRCLHITQRSLL >ONI12595 pep chromosome:Prunus_persica_NCBIv2:G4:10279529:10289857:-1 gene:PRUPE_4G173800 transcript:ONI12595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSKQSKASNRSGPRPRKKHKRLDAICEKEYKRNHVEVIGGNGGPGPGPGSSGSGELELRRSSRARRAPVMLDVSPAPPKKRRRIEKNVILSAEKSVKEEDFDTPGSWRSRLRSRGRNAGSAVKGKRKLFEETGGGRSEENMVSTESNDKNGGLEGGRPRIVKSNRPGRIRATNSLEHEKKENELPVIKDELVEEEVEVMRKDEDVSMQLDGELDGGVQGETVKGDSTKIIEAGENLQLEKGCIGNENVETMDNMETMEHADEQVEQSVCAVQEENNGNQVEQLGCVIEGENQSNAMSEAVGVSRNEVEVAGCHEGKDSDLAKLDENLAIEVNNVKVDKLKGMKCDTLGKPRIKEGRRCGLCGGGTDGMPPKKLVQETGESENEAYSGSSASEEPNYNIWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQHCAVWSPEVYFAGLGCLKNVRAALCRGRALKCTRCGRPGATIGCRVDRCPRTYHLPCARAYGCVFDHRKFLIACTDHRNLFQPMGNQYLARIKKLKAKKMKMEIRKLSNDAWRKDIEAEEKWLENCGEDEEFLKRESKRLHRDLVRIAPVYIGGSNSESGKLFQGWESVAGLQGVIRCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGACAHGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSVEDRAAILSLHTRKWPKPVAGSILKLVARRTAGFAGADLQALCTQAAIMSLKRNFPLQEVLSAAGKKASDHKRLPLPAFAVEDRDWLEALTCSPPPCSRREAGIAANDVVCSPLPTHLSPCLLQPLSTMLVSLYLDERLWLPAPLRKAARMIKSVMVSALNKKKMSSDRWWSHIDILLQEADVAKDIERKLLHTGILLGDDTFANSDAFSDDDDDNILKFPSVKHHGGARPSLLQNISVASTNKSGFRILIAGSPRSGQRHLASCLLHCFVGNVEVQKVDLATVLQEGHGDMVQGITQILMKCASVGPCVVFLPRIDLWAVETPLQVTEESDSDLSDHQLPENEKSYFVHGQAVEEGSGSTSQQCKSEDMGECPGVACSASHAWNLFVEQVESICVSTSLMILATSEVADPVLPVRIRQFFKSDISNDHQSIPVKHTVPRFSVQVNGDFNHDLVINLSAEELLRDIVQQVVLLIHQTSHIHTSSCQEYKTCGTLGGQSEMVNQSLDHGSADANNSVKQGPDESLLKAHPPPNNRTVKGKSSLLLAISSFGYQILRYPHFAELCWFTSKLKEGPSADISGPWKGWPFNSCIARPNNSIEKRCLHITQRSLL >ONI12597 pep chromosome:Prunus_persica_NCBIv2:G4:10283702:10289396:-1 gene:PRUPE_4G173800 transcript:ONI12597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSKQSKASNRSGPRPRKKHKRLDAICEKEYKRNHVEVIGGNGGPGPGPGSSGSGELELRRSSRARRAPVMLDVSPAPPKKRRRIEKNVILSAEKSVKEEDFDTPGSWRSRLRSRGRNAGSAVKGKRKLFEETGGGRSEENMVSTESNDKNGGLEGGRPRIVKSNRPGRIRATNSLEHEKKENELPVIKDELVEEEVEVMRKDEDVSMQLDGELDGGVQGETVKGDSTKIIEAGENLQLEKGCIGNENVETMDNMETMEHADEQVEQSVCAVQEENNGNQVEQLGCVIEGENQSNAMSEAVGVSRNEVEVAGCHEGKDSDLAKLDENLAIEVNNVKVDKLKGMKCDTLGKPRIKEGRRCGLCGGGTDGMPPKKLVQETGESENEAYSGSSASEEPNYNIWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQHCAVWSPEVYFAGLGCLKNVRAALCRGRALKCTRCGRPGATIGCRVDRCPRTYHLPCARAYGCVFDHRKFLIACTDHRNLFQPMGNQYLARIKKLKAKKMKMEIRKLSNDAWRKDIEAEEKWLENCGEDEEFLKRESKRLHRDLVRIAPVYIGGSNSESGKLFQGWESVAGLQGVIRCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGACAHGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRTRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSVEDRAAILSLHTRKWPKPVAGSILKLVARRTAGFAGADLQALCTQAAIMSLKRNFPLQEVLSAAGKKASDHKRLPLPAFAVEDRDWLEALTCSPPPCSRREAGIAANDVVCSPLPTHLSPCLLQPLSTMLVSLYLDERLWLPAPLRKAARMIKSVMVSALNKKKMSSDRWWSHIDILLQEADVAKDIERKLLHTGILLGDDTFANSDAFSDDDDDNILKFPSVKHHGGARPSLLQNISVASTNKSGFRILIAGSPRSGQRHLASCLLHCFVGNVEVQKVDLATVLQEGHGDMVQGITQILIFIFYCSEMCQCWAMCSFLAKN >ONI12462 pep chromosome:Prunus_persica_NCBIv2:G4:9661100:9667502:1 gene:PRUPE_4G166400 transcript:ONI12462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNLEKLASIDAQLRLLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLRETVQACYELSAEYEGKHDAKKLSELGNVLTSLDPGDSIVVAKSFSHMLSLANLAEEVQIAYRRRNKLKKGDFADENSATTESDIEETLKRLVGDLKKSPQEVFEALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQEIDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPHNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNDELRVRADVLHRSTRRDAKHYIEFWKQVPPNEPYRVILGDVRDKLYHTRERSRQLLASGHSDIPEEATLASVEQFLEPLELCYRSLCSCGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVIDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEISDVLDTLHVISELPSDNFGAYIISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSIDWYRDRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEDLIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEELLCFRTLQRFTAATLEHGMHLPVSPKPEWRALMDEMAVVATEDYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGEAFKHVIQKDIKNLHMLQEMYNQWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEDLWSFAERLRSKYEETKNLLLQIAGHKDLLEGDPHLKQRLRLRDSYITTLNVCQAYTLKRIRDPTFHVTLRPHISKDITEANKPANELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >ONI12460 pep chromosome:Prunus_persica_NCBIv2:G4:9660510:9667502:1 gene:PRUPE_4G166400 transcript:ONI12460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNLEKLASIDAQLRLLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLRETVQACYELSAEYEGKHDAKKLSELGNVLTSLDPGDSIVVAKSFSHMLSLANLAEEVQIAYRRRNKLKKGDFADENSATTESDIEETLKRLVGDLKKSPQEVFEALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQEIDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPHNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNDELRVRADVLHRSTRRDAKHYIEFWKQVPPNEPYRVILGDVRDKLYHTRERSRQLLASGHSDIPEEATLASVEQFLEPLELCYRSLCSCGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVIDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEISDVLDTLHVISELPSDNFGAYIISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSIDWYRDRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEDLIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEELLCFRTLQRFTAATLEHGMHLPVSPKPEWRALMDEMAVVATEDYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGEAFKHVIQKDIKNLHMLQEMYNQWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEDLWSFAERLRSKYEETKNLLLQIAGHKDLLEGDPHLKQRLRLRDSYITTLNVCQAYTLKRIRDPTFHVTLRPHISKDITEANKPANELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >ONI12464 pep chromosome:Prunus_persica_NCBIv2:G4:9661869:9667502:1 gene:PRUPE_4G166400 transcript:ONI12464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLANLAEEVQIAYRRRNKLKKGDFADENSATTESDIEETLKRLVGDLKKSPQEVFEALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQEIDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPHNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNDELRVRADVLHRSTRRDAKHYIEFWKQVPPNEPYRVILGDVRDKLYHTRERSRQLLASGHSDIPEEATLASVEQFLEPLELCYRSLCSCGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVIDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEISDVLDTLHVISELPSDNFGAYIISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSIDWYRDRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEDLIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEELLCFRTLQRFTAATLEHGMHLPVSPKPEWRALMDEMAVVATEDYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGEAFKHVIQKDIKNLHMLQEMYNQWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEDLWSFAERLRSKYEETKNLLLQIAGHKDLLEGDPHLKQRLRLRDSYITTLNVCQAYTLKRIRDPTFHVTLRPHISKDITEANKPANELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >ONI12461 pep chromosome:Prunus_persica_NCBIv2:G4:9660959:9667502:1 gene:PRUPE_4G166400 transcript:ONI12461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNLEKLASIDAQLRLLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLRETVQACYELSAEYEGKHDAKKLSELGNVLTSLDPGDSIVVAKSFSHMLSLANLAEEVQIAYRRRNKLKKGDFADENSATTESDIEETLKRLVGDLKKSPQEVFEALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQEIDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPHNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNDELRVRADVLHRSTRRDAKHYIEFWKQVPPNEPYRVILGDVRDKLYHTRERSRQLLASGHSDIPEEATLASVEQFLEPLELCYRSLCSCGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVIDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEISDVLDTLHVISELPSDNFGAYIISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSIDWYRDRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEDLIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEELLCFRTLQRFTAATLEHGMHLPVSPKPEWRALMDEMAVVATEDYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGEAFKHVIQKDIKNLHMLQEMYNQWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEDLWSFAERLRSKYEETKNLLLQIAGHKDLLEGDPHLKQRLRLRDSYITTLNVCQAYTLKRIRDPTFHVTLRPHISKDITEANKPANELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >ONI12463 pep chromosome:Prunus_persica_NCBIv2:G4:9660878:9667499:1 gene:PRUPE_4G166400 transcript:ONI12463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNLEKLASIDAQLRLLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLRETVQACYELSAEYEGKHDAKKLSELGNVLTSLDPGDSIVVAKSFSHMLSLANLAEEVQIAYRRRNKLKKGDFADENSATTESDIEETLKRLVGDLKKSPQEVFEALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRNCLTQLYAKDITPDDKQEIDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPHNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCNDELRVRADVLHRSTRRDAKHYIEFWKQVPPNEPYRVILGDVRDKLYHTRERSRQLLASGHSDIPEEATLASVEQFLEPLELCYRSLCSCGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVIDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEISDVLDTLHVISELPSDNFGAYIISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSIDWYRDRINGKQESLRAIPWIFAWTQTRFHLPVWLGFGEAFKHVIQKDIKNLHMLQEMYNQWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEDLWSFAERLRSKYEETKNLLLQIAGHKDLLEGDPHLKQRLRLRDSYITTLNVCQAYTLKRIRDPTFHVTLRPHISKDITEANKPANELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >ONI13010 pep chromosome:Prunus_persica_NCBIv2:G4:12078246:12080799:1 gene:PRUPE_4G197300 transcript:ONI13010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVMRAAAKVAGIGGVVPGGMLRGAPVPSPSGQSIRKASIPVAATLTAENVGGVDVSPIEKTALDAMDDFVDWQVVGAAGEELVMSAGEPMPRVVFDAAPSFKEAREATTELKDALDKIYLSSPKSTEFGEQSATDKVSGLSQIMNPEPEEVESLLLTRTSVPKHAFQAFEMLSRSTEAQNVVASIASDPNIWNAMMENSAVKQFLKSNKDYNLYEPKYASDTEFSDPVSPKEHEDKDKSDGFEAVLRGFVQKIKLTVDRWVGDLSTYIQNIFPSPAENGDADENGNTKTVASAFMGLAVMVVMVVLMKRS >ONI10228 pep chromosome:Prunus_persica_NCBIv2:G4:1680797:1683315:1 gene:PRUPE_4G035500 transcript:ONI10228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEANQAVYIGEPGKQVRSAIRIKNTSKSYVAFKFQTTAPKSCFMRPPGAILSPGESIIATVFKFVEVPENNEKLVDQKSRVKFKIMSLKVKGAMDYVPELFDELKDQVAIEQILRVVFLDPERSTPAMEKLKRQLADADAALEERKKPPEDAGPKIIGEGLVIDEWKERRERYLARQQVEGVDSA >ONI10227 pep chromosome:Prunus_persica_NCBIv2:G4:1680797:1683315:1 gene:PRUPE_4G035500 transcript:ONI10227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEANQAVYIGEPGKQVRSAIRIKNTSKSYVAFKFQTTAPKSCFMRPPGAILSPGESIIATVFKFVEVPENNEKLVDQKSRVKFKIMSLKVKGAMDYVPELFDELKDQVAIEQILRVVFLDPERSTPAMEKLKRQLADADAALEERKKPPEDAGPKIIGEGLVIDEWKERRERYLARQQVEGVDSA >ONI10226 pep chromosome:Prunus_persica_NCBIv2:G4:1679844:1683315:1 gene:PRUPE_4G035500 transcript:ONI10226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIADQKSSSDGKVWGFFKRPFRPSGNTTVTTSSTSSSHNAPQHSNGPQGDGSNPPATNSVSSVARSLLPTRRRLKLDPHNKLYFPCEPGKQVRSAIRIKNTSKSYVAFKFQTTAPKSCFMRPPGAILSPGESIIATVFKFVEVPENNEKLVDQKSRVKFKIMSLKVKGAMDYVPELFDELKDQVAIEQILRVVFLDPERSTPAMEKLKRQLADADAALEERKKPPEDAGPKIIGEGLVIDEWKERRERYLARQQVEGVDSA >ONI10225 pep chromosome:Prunus_persica_NCBIv2:G4:1679844:1683315:1 gene:PRUPE_4G035500 transcript:ONI10225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIADQKSSSDGKVWGFFKRPFRPSGNTTVTTSSTSSSHNAPQHSNGPQGDGSNPPATNSVSSVARSLLPTRRRLKLDPHNKLYFPCEPGKQVRSAIRIKNTSKSYVAFKFQTTAPKSCFMRPPGAILSPGESIIATVFKFVEVPENNEKLVDQKSRVKFKIMSLKVKGAMDYVPELFDELKDQVAIEQILRVVFLDPERSTPAMEKLKRQLADADAALEERKKPPEDAGPKIIGEGLVIDEWKERRERYLARQQVEGVDSA >ONI11643 pep chromosome:Prunus_persica_NCBIv2:G4:6392356:6398088:1 gene:PRUPE_4G118000 transcript:ONI11643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLYFIVEQRKQPDLRGLPTAVVQYNAWKGGALIAVSYEARKYGVKRSMRGAESKQVCPQIQLVQVPVARGKADLSTYRNAGSEVVSILSRKGRCERASIDEVYLDLTDAAETMLAEAPPEILEVIDEEALKSHVLGLNSEDGSDAKESVRKWLCRNDADRRDKLLACGAFIVAELRLQVLKETEFTCSAGIAHNKILAKLASGMNKPAQQTVVPFSSVKVLLDSLPIKKMKQLGGKLGDSLQSDLGVNTVGDLLQFSEEKLQERYGINTGTWLWNIARGISGDEVEGRLLPKSHGSGKTFPGPQALKTIASVQHWLHELCEELSERLQLDLDQNKRIAHTLVLHATAYKVSDSDSHKKFPSKSCPLRYGTAKIQEDALSLFQAALREYLGSYTAKMQGSQNNHWGITSLSVSASKIVPIPSGTASITKYFHGHPSCSSTKQSQNNLIEEATPVPPSGNESYSEVNVTKPQIEFPGEETMIKYAETSSDQLEDKIDLLNDQNPCCSSTNQACDEFTQETVLVSTSGNEHCSGMNQSQPMKNYSGEESCVTLSMPSFNRQEQKRKAVKDKRTCSILRFFKNQDPSCAPQKLEHVENTEDVKAPPLSPGIQSRSNNCLDQNRSELPKERPPEDAGDSNVCGSFQIEHRRGGAWSYNIDEIDPSVIDELPPEIQQEVRAWIRPHKRHNTVKRGSSIAHYFLPTKNT >ONI11642 pep chromosome:Prunus_persica_NCBIv2:G4:6391474:6398302:1 gene:PRUPE_4G118000 transcript:ONI11642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVARPETSDSRVIAHVDMDCFYVQVEQRKQPDLRGLPTAVVQYNAWKGGALIAVSYEARKYGVKRSMRGAESKQVCPQIQLVQVPVARGKADLSTYRNAGSEVVSILSRKGRCERASIDEVYLDLTDAAETMLAEAPPEILEVIDEEALKSHVLGLNSEDGSDAKESVRKWLCRNDADRRDKLLACGAFIVAELRLQVLKETEFTCSAGIAHNKILAKLASGMNKPAQQTVVPFSSVKVLLDSLPIKKMKQLGGKLGDSLQSDLGVNTVGDLLQFSEEKLQERYGINTGTWLWNIARGISGDEVEGRLLPKSHGSGKTFPGPQALKTIASVQHWLHELCEELSERLQLDLDQNKRIAHTLVLHATAYKVSDSDSHKKFPSKSCPLRYGTAKIQEDALSLFQAALREYLGSYTAKMQGSQNNHWGITSLSVSASKIVPIPSGTASITKYFHGHPSCSSTKQSQNNLIEEATPVPPSGNESYSEVNVTKPQIEFPGEETMIKYAETSSDQLEDKIDLLNDQNPCCSSTNQACDEFTQETVLVSTSGNEHCSGMNQSQPMKNYSGEESCVTLSMPSFNRQEQKRKAVKDKRTCSILRFFKNQDPSCAPQKLEHVENTEDVKAPPLSPGIQSRSNNCLDQNRSELPKERPPEDAGDSNVCGSFQIEHRRGGAWSYNIDEIDPSVIDELPPEIQQEVRAWIRPHKRHNTVKRGSSIAHYFLPTKNT >ONI11644 pep chromosome:Prunus_persica_NCBIv2:G4:6391474:6398302:1 gene:PRUPE_4G118000 transcript:ONI11644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAESKQVCPQIQLVQVPVARGKADLSTYRNAGSEVVSILSRKGRCERASIDEVYLDLTDAAETMLAEAPPEILEVIDEEALKSHVLGLNSEDGSDAKESVRKWLCRNDADRRDKLLACGAFIVAELRLQVLKETEFTCSAGIAHNKILAKLASGMNKPAQQTVVPFSSVKVLLDSLPIKKMKQLGGKLGDSLQSDLGVNTVGDLLQFSEEKLQERYGINTGTWLWNIARGISGDEVEGRLLPKSHGSGKTFPGPQALKTIASVQHWLHELCEELSERLQLDLDQNKRIAHTLVLHATAYKVSDSDSHKKFPSKSCPLRYGTAKIQEDALSLFQAALREYLGSYTAKMQGSQNNHWGITSLSVSASKIVPIPSGTASITKYFHGHPSCSSTKQSQNNLIEEATPVPPSGNESYSEVNVTKPQIEFPGEETMIKYAETSSDQLEDKIDLLNDQNPCCSSTNQACDEFTQETVLVSTSGNEHCSGMNQSQPMKNYSGEESCVTLSMPSFNRQEQKRKAVKDKRTCSILRFFKNQDPSCAPQKLEHVENTEDVKAPPLSPGIQSRSNNCLDQNRSELPKERPPEDAGDSNVCGSFQIEHRRGGAWSYNIDEIDPSVIDELPPEIQQEVRAWIRPHKRHNTVKRGSSIAHYFLPTKNT >ONI11782 pep chromosome:Prunus_persica_NCBIv2:G4:6824122:6845373:-1 gene:PRUPE_4G125000 transcript:ONI11782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFVSDEDFSRHGNDATWVADKADAFIRDLQTELETFRAQNDAASITAEQTCSLLEQKYLSLSDEFSKLESQYSQLQSSLDHRLSEVAELQSQKHQLHLQSIEKDGEIERFKTEVSELHKSKRQLIELVERKDLEISEKNATIKSYMDRIVYSSDNAAQREARLSEAEAELARTKASCTRLSQEKELIERHNVWLNDELTEKVDSLIGLRKTHADVEADLSSKLADVERQFNECSSSLKWNKERVRELEAKLRSLQEELCSSKDAAAANEERLNAELSTLNKLVELYKESSEEWSKKAGELEGVIKALETHLSQVENDYKERLEREESARNQFQKEAADLKAKLEKCEAEIETSRKANELNLLPLSSFTTDAWMNSFESTDMVEVNRAVVPKIPAGVSGTALAASLLRDGWSLAKMYAKYQEAVDAFRHEQLGRKESEAILQRVLYELEEKAEVILDERVEHERMVEAYSMINQKLQNSISEQANLEKTIQELKAEIRRHERDYTFARKEISDLQREVTILLKECRDIQLRGTSSGHDSHDYGTVAVVEMNAESDAEIVISEHLLTFKDINGLVEQNAQLRSLVRNLSDQLENREMEVKEKFEMELKKHTDEAASRVAAVLQRAEEQGHMIESLHSSVAMYKRLYEEEHKLHSSSPHLAEAAPEERRADVKLLLESSQEATRKAQDQAVEQVKCLEEDLARTRNEIILLRSERDKLALEANFARERLESFMKEFEHQRKETNGVLARNVEFSQLIVDYQRKLRESSESVQTAEERSRKFTMEVSVLKHEKEMLEHAEKRACDEVRSLSERVYRLQASLDTIQSAEQIREEARAAERRRQEEYTKQIEREWADVKKDLQEERNNARTLTLDREQTIQNAMRQVEEIGKELSNALHAVASAESRAAVAEAKLTDLEKKIRSSDIKVVDIDGESGSSSLTSDEAVVALRAAKEEIEKLKEEVKANKDHMLQYKSIAQVNEDALRQMEFAHENFKIEAEKLKKLLEAELLSLRERVSELEHESGLKSQEVASAAAGKEEALSSALSEITSLKEEISAKISLNASLETQILALKEDLEKEHQRWHSAQANYERQVILQSETIQELTKTSQALAVLQEEAAELRKLVDALKSENNELKSKWEFEKAMLEESKDVAEKKYNEINEQNKILHSQLEALHIQLAERDRGSFGTSASTGSDTSGDAGLQNVISYLRRTKEIAETEISLLKQEKLRLQSQLESALKASETAQSSLHAERANSRSLLFTEEEIKSLQLQVREMNLLRESNIQLREENKHNFEECQKLREISQKANIETQNLERLLRERQIELEACRKELEVLKTEKDHLEKKVHELLERYRNIDVEDYDRVKNDVRQLEEKLEKKVSRVEEVEKLLSEKQETVSHLEQDLSNYRLDLTEKEKRINETLQVEASLRSDGEKQKKAILQYKKRCETLLKEKEELSKENQALSRQLEEVKQGKRSSGDTSGEQAMKEEKDKKIQTLEKLMERHRDDMRKEKEENRIEKARRIRTEKAVKDSYTNVEQDKTKFMNELEKHKQAVRQLSDELEKLKHAKDSLPEGGRGAKKNAGELKQSLGHGTSVVQLLSGSILDGLAAAYSSAVENFEKAAHSVHSDFGIHGVPADTPPVSDASLAATSGTGQAPTVVSSMSPATGLASKSTEESEKRLTLTLPKSNVETRKTGRKLVRPRLARPEEPQGDVEMSEMEGSRNVAKHAPSNEMEVQGNVTSTQPLLRKRHASSSAFESREESSNQGETGPDVAAPVPKKSKGSDSPQGSEGQPSAISENLCSVPVKDEAIDVAELPQGSNEEAVGDTEKEEIETTGEKVEEPNERQFDGSNQVESQPDKHIGLEENVDGSGGTEMMCDDGAKDQVELDNQQTNEFGGDREEGELVPDVSELEGGDTIGSPEIGEGQPEPVATPGASPARGDDEGVAASSVVDIGEVNSPEVLNDDKNDEVVTEEAADGSDKSNDGNEQTGMETDQAASAASVIIENTSSTPTEVNVTTQVSPSVTAETEEVKQVSPMTNTSTTISITERARQRSVIRQAGAGAPSPPIRGRGRPAGRGRGVRGRGRARGAAPGDQG >ONI11783 pep chromosome:Prunus_persica_NCBIv2:G4:6825987:6845722:-1 gene:PRUPE_4G125000 transcript:ONI11783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFVSDEDFSRHGNDATWVADKADAFIRDLQTELETFRAQNDAASITAEQTCSLLEQKYLSLSDEFSKLESQYSQLQSSLDHRLSEVAELQSQKHQLHLQSIEKDGEIERFKTEVSELHKSKRQLIELVERKDLEISEKNATIKSYMDRIVYSSDNAAQREARLSEAEAELARTKASCTRLSQEKELIERHNVWLNDELTEKVDSLIGLRKTHADVEADLSSKLADVERQFNECSSSLKWNKERVRELEAKLRSLQEELCSSKDAAAANEERLNAELSTLNKLVELYKESSEEWSKKAGELEGVIKALETHLSQVENDYKERLEREESARNQFQKEAADLKAKLEKCEAEIETSRKANELNLLPLSSFTTDAWMNSFESTDMVEVNRAVVPKIPAGVSGTALAASLLRDGWSLAKMYAKYQEAVDAFRHEQLGRKESEAILQRVLYELEEKAEVILDERVEHERMVEAYSMINQKLQNSISEQANLEKTIQELKAEIRRHERDYTFARKEISDLQREVTILLKECRDIQLRGTSSGHDSHDYGTVAVVEMNAESDAEIVISEHLLTFKDINGLVEQNAQLRSLVRNLSDQLENREMEVKEKFEMELKKHTDEAASRVAAVLQRAEEQGHMIESLHSSVAMYKRLYEEEHKLHSSSPHLAEAAPEERRADVKLLLESSQEATRKAQDQAVEQVKCLEEDLARTRNEIILLRSERDKLALEANFARERLESFMKEFEHQRKETNGVLARNVEFSQLIVDYQRKLRESSESVQTAEERSRKFTMEVSVLKHEKEMLEHAEKRACDEVRSLSERVYRLQASLDTIQSAEQIREEARAAERRRQEEYTKQIEREWADVKKDLQEERNNARTLTLDREQTIQNAMRQVEEIGKELSNALHAVASAESRAAVAEAKLTDLEKKIRSSDIKVVDIDGESGSSSLTSDEAVVALRAAKEEIEKLKEEVKANKDHMLQYKSIAQVNEDALRQMEFAHENFKIEAEKLKKLLEAELLSLRERVSELEHESGLKSQEVASAAAGKEEALSSALSEITSLKEEISAKISLNASLETQILALKEDLEKEHQRWHSAQANYERQVILQSETIQELTKTSQALAVLQEEAAELRKLVDALKSENNELKSKWEFEKAMLEESKDVAEKKYNEINEQNKILHSQLEALHIQLAERDRGSFGTSASTGSDTSGDAGLQNVISYLRRTKEIAETEISLLKQEKLRLQSQLESALKASETAQSSLHAERANSRSLLFTEEEIKSLQLQVREMNLLRESNIQLREENKHNFEECQKLREISQKANIETQNLERLLRERQIELEACRKELEVLKTEKDHLEKKVHELLERYRNIDVEDYDRVKNDVRQLEEKLEKKVSRVEEVEKLLSEKQETVSHLEQDLSNYRLDLTEKEKRINETLQVEASLRSDGEKQKKAILQYKKRCETLLKEKEELSKENQALSRQLEEVKQGKRSSGDTSGEQAMKEEKDKKIQTLEKLMERHRDDMRKEKEENRIEKARRIRTEKAVKDSYTNVEQDKTKFMNELEKHKQAVRQLSDELEKLKHAKDSLPEGGRGAKKNAGELKQSLGHVSSG >ONI11781 pep chromosome:Prunus_persica_NCBIv2:G4:6823591:6845722:-1 gene:PRUPE_4G125000 transcript:ONI11781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFVSDEDFSRHGNDATWVADKADAFIRDLQTELETFRAQNDAASITAEQTCSLLEQKYLSLSDEFSKLESQYSQLQSSLDHRLSEVAELQSQKHQLHLQSIEKDGEIERFKTEVSELHKSKRQLIELVERKDLEISEKNATIKSYMDRIVYSSDNAAQREARLSEAEAELARTKASCTRLSQEKELIERHNVWLNDELTEKVDSLIGLRKTHADVEADLSSKLADVERQFNECSSSLKWNKERVRELEAKLRSLQEELCSSKDAAAANEERLNAELSTLNKLVELYKESSEEWSKKAGELEGVIKALETHLSQVENDYKERLEREESARNQFQKEAADLKAKLEKCEAEIETSRKANELNLLPLSSFTTDAWMNSFESTDMVEVNRAVVPKIPAGVSGTALAASLLRDGWSLAKMYAKYQEAVDAFRHEQLGRKESEAILQRVLYELEEKAEVILDERVEHERMVEAYSMINQKLQNSISEQANLEKTIQELKAEIRRHERDYTFARKEISDLQREVTILLKECRDIQLRGTSSGHDSHDYGTVAVVEMNAESDAEIVISEHLLTFKDINGLVEQNAQLRSLVRNLSDQLENREMEVKEKFEMELKKHTDEAASRVAAVLQRAEEQGHMIESLHSSVAMYKRLYEEEHKLHSSSPHLAEAAPEERRADVKLLLESSQEATRKAQDQAVEQVKCLEEDLARTRNEIILLRSERDKLALEANFARERLESFMKEFEHQRKETNGVLARNVEFSQLIVDYQRKLRESSESVQTAEERSRKFTMEVSVLKHEKEMLEHAEKRACDEVRSLSERVYRLQASLDTIQSAEQIREEARAAERRRQEEYTKQIEREWADVKKDLQEERNNARTLTLDREQTIQNAMRQVEEIGKELSNALHAVASAESRAAVAEAKLTDLEKKIRSSDIKVVDIDGESGSSSLTSDEAVVALRAAKEEIEKLKEEVKANKDHMLQYKSIAQVNEDALRQMEFAHENFKIEAEKLKKLLEAELLSLRERVSELEHESGLKSQEVASAAAGKEEALSSALSEITSLKEEISAKISLNASLETQILALKEDLEKEHQRWHSAQANYERQVILQSETIQELTKTSQALAVLQEEAAELRKLVDALKSENNELKSKWEFEKAMLEESKDVAEKKYNEINEQNKILHSQLEALHIQLAERDRGSFGTSASTGSDTSGDAGLQNVISYLRRTKEIAETEISLLKQEKLRLQSQLESALKASETAQSSLHAERANSRSLLFTEEEIKSLQLQVREMNLLRESNIQLREENKHNFEECQKLREISQKANIETQNLERLLRERQIELEACRKELEVLKTEKDHLEKKVHELLERYRNIDVEDYDRVKNDVRQLEEKLEKKVSRVEEVEKLLSEKQETVSHLEQDLSNYRLDLTEKEKRINETLQVEASLRSDGEKQKKAILQYKKRCETLLKEKEELSKENQALSRQLEEVKQGKRSSGDTSGEQAMKEEKDKKIQTLEKLMERHRDDMRKEKEENRIEKARRIRTEKAVKDSYTNVEQDKTKFMNELEKHKQAVRQLSDELEKLKHAKDSLPEGTSVVQLLSGSILDGLAAAYSSAVENFEKAAHSVHSDFGIHGVPADTPPVSDASLAATSGTGQAPTVVSSMSPATGLASKSTEESEKRLTLTLPKSNVETRKTGRKLVRPRLARPEEPQGDVEMSEMEGSRNVAKHAPSNEMEVQGNVTSTQPLLRKRHASSSAFESREESSNQGETGPDVAAPVPKKSKGSDSPQGSEGQPSAISENLCSVPVKDEAIDVAELPQGSNEEAVGDTEKEEIETTGEKVEEPNERQFDGSNQVESQPDKHIGLEENVDGSGGTEMMCDDGAKDQVELDNQQTNEFGGDREEGELVPDVSELEGGDTIGSPEIGEGQPEPVATPGASPARGDDEGVAASSVVDIGEVNSPEVLNDDKNDEVVTEEAADGSDKSNDGNEQTGMETDQAASAASVIIENTSSTPTEVNVTTQVSPSVTAETEEVKQVSPMTNTSTTISITERARQRSVIRQAGAGAPSPPIRGRGRPAGRGRGVRGRGRARGAAPGDQG >ONI09696 pep chromosome:Prunus_persica_NCBIv2:G4:258372:261155:-1 gene:PRUPE_4G003800 transcript:ONI09696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGGGFHGYQKTSSGLKLSEINMRVAGMNQFSMQQGGKSTSSSTTNININNVVSNNNVVNHPTSSNGTTTTTDDNECVVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTLYLHRYREMEGERGSGSSTTSVMRSDTSVKGAASSSSRAIDHHQYYAAAAAAAAFHHHHGHAFFGYLKPSDASTSTNNNINAAAAVAVAALPYCDQHQPHANDRA >ONI09697 pep chromosome:Prunus_persica_NCBIv2:G4:258616:259353:-1 gene:PRUPE_4G003800 transcript:ONI09697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAGMNQFSMQQGGKSTSSSTTNININNVVSNNNVVNHPTSSNGTTTTTDDNECVVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTLYLHRYREMEGERGSGSSTTSVMRSDTSVKGAASSSSRAIDHHQYYAAAAAAAAFHHHHGHAFFGYLKPSDASTSTNNNINAAAAVAVAALPYCDQHQPHANDRA >ONI12698 pep chromosome:Prunus_persica_NCBIv2:G4:10629819:10633764:-1 gene:PRUPE_4G179400 transcript:ONI12698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFQTVIHHKGYLCCQLLLFFIWLSSFQDVVAVQTVFNRSHVSSMAELGLANPPTTGFFDPIEISPAVIPHYPNPADDPLAPMYPTFPTRYEPVLTGKCPVNFSTISSVMEKTASDCSQPLAALVGNVICCPQFSSLIRIFRGLDSFKPDKLVLQNSVANDCFTDIISILVSRGANSTIATLCSINSSNLTGGSCPVKDISTFDKTVNTSGLLEACTTVDPLKECCRPICQLAIMDAARQISGKQLMMNENKNLVGGLNYTDTLSDCKGVVFTYLSRKLLSDAANTAFRILSACKVNKVCPLDFKQPSEVVKACRNVAAPSPSCCSSLNTYISGIQKQMLITNKQAIICATVFGSMLRKGGVMENVYELCDVDLKDFSIQAYGQQGCLLKSLPSDVIFDNITGISFICDLSDNIAAPWPSSSSISSVSLCAPEMSLPALPTAETFKNLGCRGGELEFMLPVFSLFVLCTLLY >ONI12697 pep chromosome:Prunus_persica_NCBIv2:G4:10629530:10634788:-1 gene:PRUPE_4G179400 transcript:ONI12697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFQTVIHHKGYLCCQLLLFFIWLSSFQDVVAVQTVFNRSHVSSMAELGLANPPTTGFFDPIEISPAVIPHYPNPADDPLAPMYPTFPTRYEPVLTGKCPVNFSTISSVMEKTASDCSQPLAALVGNVICCPQFSSLIRIFRGLDSFKPDKLVLQNSVANDCFTDIISILVSRGANSTIATLCSINSSNLTGGSCPVKDISTFDKTVNTSGLLEACTTVDPLKECCRPICQLAIMDAARQISGKQLMMNENKNLVGGLNYTDTLSDCKGVVFTYLSRKLLSDAANTAFRILSACKVNKVCPLDFKQPSEVVKACRNVAAPSPSCCSSLNTYISGIQKQMLITNKQAIICATVFGSMLRKGGVMENVYELCDVDLKDFSIQGCLLKSLPSDVIFDNITGISFICDLSDNIAAPWPSSSSISSVSLCAPEMSLPALPTAETFKNLGCRGGELEFMLPVFSLFVLCTLLY >ONI12695 pep chromosome:Prunus_persica_NCBIv2:G4:10629530:10634788:-1 gene:PRUPE_4G179400 transcript:ONI12695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFQTVIHHKELGLANPPTTGFFDPIEISPAVIPHYPNPADDPLAPMYPTFPTRYEPVLTGKCPVNFSTISSVMEKTASDCSQPLAALVGNVICCPQFSSLIRIFRGLDSFKPDKLVLQNSVANDCFTDIISILVSRGANSTIATLCSINSSNLTGGSCPVKDISTFDKTVNTSGLLEACTTVDPLKECCRPICQLAIMDAARQISGKQLMMNENKNLVGGLNYTDTLSDCKGVVFTYLSRKLLSDAANTAFRILSACKVNKVCPLDFKQPSEVVKACRNVAAPSPSCCSSLNTYISGIQKQMLITNKQAIICATVFGSMLRKGGVMENVYELCDVDLKDFSIQAAYGQQGCLLKSLPSDVIFDNITGISFICDLSDNIAAPWPSSSSISSVSLCAPEMSLPALPTAETFKNLGCRGGELEFMLPVFSLFVLCTLLY >ONI12696 pep chromosome:Prunus_persica_NCBIv2:G4:10629530:10634789:-1 gene:PRUPE_4G179400 transcript:ONI12696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFQTVIHHKGYLCCQLLLFFIWLSSFQDVVAVQTVFNRSHVSSMAELGLANPPTTGFFDPIEISPAVIPHYPNPADDPLAPMYPTFPTRYEPVLTGKCPVNFSTISSVMEKTASDCSQPLAALVGNVICCPQFSSLIRIFRGLDSFKPDKLVLQNSVANDCFTDIISILVSRGANSTIATLCSINSSNLTGGSCPVKDISTFDKTVNTSGLLEACTTVDPLKECCRPICQLAIMDAARQISGKQLMMNENKNLVGGLNYTDTLSDCKGVVFTYLSRKLLSDAANTAFRILSACKVNKVCPLDFKQPSEVVKACRNVAAPSPSCCSSLNTYISGIQKQMLITNKQAIICATVFGSMLRKGGVMENVYELCDVDLKDFSIQGISFICDLSDNIAAPWPSSSSISSVSLCAPEMSLPALPTAETFKNLGCRGGELEFMLPVFSLFVLCTLLY >ONI12699 pep chromosome:Prunus_persica_NCBIv2:G4:10629173:10634877:-1 gene:PRUPE_4G179400 transcript:ONI12699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFQTVIHHKGYLCCQLLLFFIWLSSFQDVVAVQTVFNRSHVSSMAELGLANPPTTGFFDPIEISPAVIPHYPNPADDPLAPMYPTFPTRYEPVLTGKCPVNFSTISSVMEKTASDCSQPLAALVGNVICCPQFSSLIRIFRGLDSFKPDKLVLQNSVANDCFTDIISILVSRGANSTIATLCSINSSNLTGGSCPVKDISTFDKTVNTSGLLEACTTVDPLKECCRPICQLAIMDAARQISGKQLMMNENKNLVGGLNYTDTLSDCKGVVFTYLSRKLLSDAANTAFRILSACKVNKVCPLDFKQPSEVVKACRNVAAPSPSCCSSLNTYISGIQKQMLITNKQAIICATVFGSMLRKGGVMENVYELCDVDLKDFSIQAAYGQQGCLLKSLPSDVIFDNITGISFICDLSDNIAAPWPSSSSISSVSLCAPEMSLPALPTAETFKNLGCRGGELEFMLPVFSLFVLCTLLY >ONI12700 pep chromosome:Prunus_persica_NCBIv2:G4:10630383:10634788:-1 gene:PRUPE_4G179400 transcript:ONI12700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFQTVIHHKGYLCCQLLLFFIWLSSFQDVVAVQTVFNRSHVSSMAELGLANPPTTGFFDPIEISPAVIPHYPNPADDPLAPMYPTFPTRYEPVLTGKCPVNFSTISSVMEKTASDCSQPLAALVGNVICCPQFSSLIRIFRGLDSFKPDKLVLQNSVANDCFTDIISILVSRGANSTIATLCSINSSNLTGGSCPVKDISTFDKTVNTSGLLEACTTVDPLKECCRPICQLAIMDAARQISGKQLMMNENKNLVGGLNYTDTLSDCKGVVFTYLSRKLLSDAANTAFRILSACKVNKVCPLDFKQPSEVVKACRNVAAPSPSCCSSLNTYISGIQKQMLITNKQAIICATVFGSMLRKGGVMENVYELCDVDLKDFSIQAAYGQQGCLLKSLPSDVIFDNITGISFICDLSDNIAAPWPSSSSISSVSLCAPEMSLPALPTAETFKNLGVYH >ONI10715 pep chromosome:Prunus_persica_NCBIv2:G4:3012360:3014902:1 gene:PRUPE_4G062900 transcript:ONI10715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKGNILMNKYELGRLLGQGTFAKVYHARNLRSGQSVAIKVIDKEKVQQVGLIDQIKREISVMRLVRHPNVVQLFEVMASKTKIYFAMEYVRGGELFNKVAKGKLKEDVARKYFQQLVGAVDYCHSRGVYHRDIKPENLLVDENGNLKVSDFGLSALWESRGQDGLLHTTCGTPAYVAPEVINKKGYDGAKADTWSCGVVLYVLLAGFLPFHDTNLMEMYRKISKGDFKSPQWFPPEVRKLLSRILDPNPNTRISVDKIVENSWFKKGFKLVEAPLPPPDPNASILRDVQAAFASTTTDESAEGSSHKKTSTAGTSPMRPTCFNAFDIISLSPGFDLSGLFENDMQHRPQARFTSTKPAATIVSKFEAIAETERFKCMKKDGTLKLQGSKEGRKGQLGIDAEIFEVTPSFYVVEMKKTAGDTLEYMEFCDHDLKPSLQDIVWTWQGSEQKHEQQPVAPEIVS >ONI14427 pep chromosome:Prunus_persica_NCBIv2:G4:23678829:23682027:-1 gene:PRUPE_4G280500 transcript:ONI14427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSISRSPSYSRGRSRRDRSRSPYSRNRSSGSPRRRRSRSPSYRRRKSRSPTPRRHRRHRSRSRSRSSSLSPPPLPKSPGPRLTSTERKNGADKLKKEEEEKIRRQQEAELKQLEEETAMRLEEAIRKNVEERLSSNEVKLEIERRIKEGQKKLFDDVKAQLEKEKEAALVEARQKEEQARKEREELDKMLEENRRRVEEAQRREALEQQSKEEERYRELELIQRQKEEAARRKKQEEEEEHAKLMKLSSKNKSRPKSFGIGL >ONI14428 pep chromosome:Prunus_persica_NCBIv2:G4:23680071:23681978:-1 gene:PRUPE_4G280500 transcript:ONI14428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSISRSPSYSRGRSRRDRSRSPYSRRNRSSGSPRRRRSRSPSYRRRKSRSPTPRRHRRHRSRSRSRSSSLSPPPLPKSPGPRLTSTERKNGADKLKKEEEEKIRRQQEAELKQLEEETAMRLEEAIRKNVEERLSSNEVKLEIERRIKEGQKKLFDDVKAQLEKEKEAALVEARQKEEQARKEREELDKMLEENRRRVEEAQRREALEQQSKEEERYRELELIQRQKEEAARRKKQEEEEEHAKLMKLSSKNKSRPKSFGIGL >ONI11590 pep chromosome:Prunus_persica_NCBIv2:G4:6185445:6186157:-1 gene:PRUPE_4G115000 transcript:ONI11590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLISWPKNTCTTKAGGHKGGTTATAGTAVAANCLSSSSSHCTCLAKLVRKLKKHRRRIGAASRQSSFQCHYDPLSYSLNFDATGCGNLLDDQDYFKFYAFSSRFVANQSEPQLVQN >ONI09870 pep chromosome:Prunus_persica_NCBIv2:G4:695547:696962:-1 gene:PRUPE_4G015100 transcript:ONI09870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQTGRTRPPNRNARNNEGRQNYAFDAGLLSGARPNVADRDGEDSVVQFSSDSESSSDSDGESTQLSGLAASFRVVSDSLLRMEQTELEMAKAREALRLKAEKQRVELEAELTQMLLQTQLQVASLVSQQSPRRKRKRVEDADESPSPSPSSSISQREGALVLSLVQCNLLF >ONI12571 pep chromosome:Prunus_persica_NCBIv2:G4:10221271:10222458:1 gene:PRUPE_4G172500 transcript:ONI12571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLHPRSSRNYHVDGELIWSNDISLLDVGKCPKFGSSNPMNKRKKKTTSLLGSTAFGLDYLFSIFLILSPACNLLKQWFKTRFFHFLQPQMEEQQICCQSKTHRPQLATVPFPSYPKLHRFFVLLSVQKSDVVGPMLLIGSSGICLRLR >ONI10381 pep chromosome:Prunus_persica_NCBIv2:G4:2132424:2135251:-1 gene:PRUPE_4G044400 transcript:ONI10381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLISQYWVHYSKPQFSPSVFLFHFPRSQNFLHISYRKWSCYPRTTRLSSVGVHCASESASYGGWDDLRLAGDSGRSGESDKFRDFLVSIGIDDKKHVFVFLLGLACAFAISRVRISSVVVFPASILVFAIGFSFGFVRGGSVGEVSLSANKRRAKEENLIAYPEKLRNLVEIFDGFDDKVNNLKYDVQKAIDSREITVTDLESYVKAMEIISLLVSNARNAVENLGKFNIDLVENKKLSKRKKETVQIGYELFQYIRGLFKEKLADSKPNRVKNNFKREAVEKVMDDQSRGNGSMPSVNEMVLGSVHENKGIVNSSHSQDFLNKSGFDEAGNGRVKVALENNKMSSEEVGGGPDRSAAGREFNYQNNGLQFMSNGHISSKMDHNNHAETWESHDILLDSVDLSVRMEHMDSKASFVQEQILKQSGGDYRSSHIREKSEDGTYESHLREEQVNHNDDSYLADHLSGHESELPSLSSSVVSDDIVFDRYIREANDLLKQAKELIRVKHNEERAENILYRAAKLLSKAISMKPMSLLAVGQLGNTCLLHGELKLRISRELRTQLARSDPLSAEKWIRMHDKISSKDEIASVLINVCEECEELLVEAGKRYRMALSIDANDVRALYNWGLALTFRAQLIADIGPEAAFDADELFLAAIDKFDAMMSKGNVYAPDALFRWGVALQQRSRLRPSNGKEKVKLLQQAKRLYEDALHMDSNNVQVREALSLCTSELSSRHRYF >ONI10380 pep chromosome:Prunus_persica_NCBIv2:G4:2132424:2135257:-1 gene:PRUPE_4G044400 transcript:ONI10380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLISQYWVHYSKPQFSPSVFLFHFPRSQNFLHISYRKWSCYPRTTRLSSVGVHCASESASYGGWDDLRLAGDSGRSGLACAFAISRVRISSVVVFPASILVFAIGFSFGFVRGGSVGEVSLSANKRRAKEENLIAYPEKLRNLVEIFDGFDDKVNNLKYDVQKAIDSREITVTDLESYVKAMEIISLLVSNARNAVENLGKFNIDLVENKKLSKRKKETVQIGYELFQYIRGLFKEKLADSKPNRVKNNFKREAVEKVMDDQSRGNGSMPSVNEMVLGSVHENKGIVNSSHSQDFLNKSGFDEAGNGRVKVALENNKMSSEEVGGGPDRSAAGREFNYQNNGLQFMSNGHISSKMDHNNHAETWESHDILLDSVDLSVRMEHMDSKASFVQEQILKQSGGDYRSSHIREKSEDGTYESHLREEQVNHNDDSYLADHLSGHESELPSLSSSVVSDDIVFDRYIREANDLLKQAKELIRVKHNEERAENILYRAAKLLSKAISMKPMSLLAVGQLGNTCLLHGELKLRISRELRTQLARSDPLSAEKWIRMHDKISSKDEIASVLINVCEECEELLVEAGKRYRMALSIDANDVRALYNWGLALTFRAQLIADIGPEAAFDADELFLAAIDKFDAMMSKGNVYAPDALFRWGVALQQRSRLRPSNGKEKVKLLQQAKRLYEDALHMDSNNVQVREALSLCTSELSSRHRYF >ONI12987 pep chromosome:Prunus_persica_NCBIv2:G4:11960880:11963624:1 gene:PRUPE_4G196000 transcript:ONI12987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKILSFISIGIYVFLIFCRTSTAADTLIFKHGSFQLGFLNTGVYRRLLASTSGDYCDNDGLCGANGMCDISNSQVCSCLKGFKPKRPEKWNLGEYTEGCVRPELLKCQIKVGFMKYAAVKLPDITNCWVNQSMNLMECRASCLSNCSCMAYSSSGIKGEGSGCTIWFGDLINIRKLLAGGQDLYIRTPASELKKMGKHGTMSQNYGQKEDLEVPLFSLSTIATATDNFSFNKKLGEGGFGPVYKGRLVDGQEIAVKRLSQSSGQGSNEFKTEVRLIAKLQHRNLVRLLGCCIEGEEKLLIYEHMPNKSLDFYIFDQTQSRLLYWSKRFHIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDKEMNPKISDFGLAKTFGGDQNEGVTRTVVGTYGYMAPEYAIDGQFSVKSDVFSFGILLLEIVSGKRSRGFYDPDEHLNLIGHLPEDRPTMSSVILMLGDGGALPQPKRPGFFGGRYSSQADSSSSKNEISSPFDSTITVLEAR >ONI10141 pep chromosome:Prunus_persica_NCBIv2:G4:1398715:1401836:1 gene:PRUPE_4G030000 transcript:ONI10141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKVSGNTMTLKDFHGGSIPSDLPLPSAPGVVVRPTDRSTYDRPTSWGNPMGRPDHRSRPHTSPATRHFDDKTPFLTHSVHIGRNFDEDERKPLDGVSTPRRTISDDSIRVLPTHAEPKPVFVSSGGLSGAQGWAPAPQSPRGAVSSYSERVSEAAHAGVNSQTLSANSGRGVSGAHPNAWAMRKEMAGVTEPVQSAWSGQSAVQKLANASALDKVSSGRWQSKPSVPYQTNIDVVISPETESGLHSKGYGNDTYKRTDVMVERERHDVTLARHVERGLQVDDGIQGVMKELPDYRSSGALINSEVQAGNATAYSNRVQPAQADGKFGQAELQASASPEPIERPKLKLLPRTKPVDGLEAPVVDHTQEYQRVIESHVEIVNEVYGNMNSSKPGSAGSDSGKQAVERPKLNLKPRSQPLEQLEGNAKRDRISLFGGARPRELVLKERGVDDVVITNVDMVQHSDKYRLNIKFPSLIEFLCMQILLATMRNLRIILLIRGLERNLIGGIIG >ONI10140 pep chromosome:Prunus_persica_NCBIv2:G4:1398399:1402709:1 gene:PRUPE_4G030000 transcript:ONI10140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKVSGNTMTLKDFHGGSIPSDLPLPSAPGVVVRPTDRSTYDRPTSWGNPMGRPDHRSRPHTSPATRHFDDKTPFLTHSVHIGRNFDEDERKPLDGVSTPRRTISDDSIRVLPTHAEPKPVFVSSGGLSGAQGWAPAPQSPRGAVSSYSERVSEAAHAGVNSQTLSANSGRGVSGAHPNAWAMRKEMAGVTEPVQSAWSGQSAVQKLANASALDKVSSGRWQSKPSVPYQTNIDVVISPETESGLHSKGYGNDTYKRTDVMVERERHDVTLARHVERGLQVDDGIQGVMKELPDYRSSGALINSEVQAGNATAYSNRVQPAQADGKFGQAELQASASPEPIERPKLKLLPRTKPVDGLEAPVVDHTQEYQRVIESHVEIVNEVYGNMNSSKPGSAGSDSGKQAVERPKLNLKPRSQPLEQLEGNAKRDRISLFGGARPRELVLKERGVDDVVITNVDMVQHSDKVEHQVPKPDRVPVHANPTRHNEKPENHPFDQRTGKKFDRRDNRVDVERVDVQKRNWRNDGKRNNREPERQQQQSERPPSPETWRKPEQPKLSSPGAVGVHHGKAASALELAQAFSRSVSDPKLADRFSGQRGIPGRAQMPFSRLMGPTPRPQINGY >ONI10139 pep chromosome:Prunus_persica_NCBIv2:G4:1398486:1402514:1 gene:PRUPE_4G030000 transcript:ONI10139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKVSGNTMTLKDFHGGSIPSDLPLPSAPGVVVRPTDRSTYDRPTSWGNPMGRPDHRSRPHTSPATRHFDDKTPFLTHSVHIGRNFDEDERKPLDGVSTPRRTISDDSIRVLPTHAEPKPVFVSSGGLSGAQGWAPAPQSPRGAVSSYSERVSEAAHAGVNSQTLSANSGRGVSGAHPNAWAMRKEMAGVTEPVQSAWSGQSAVQKLANASALDKVSSGRWQSKPSVPYQTNIDVVISPETESGLHSKGYGNDTYKRTDVMVERERHDVTLARHVERGLQVDDGIQGVMKELPDYRSSGALINSEVQAGNATAYSNRVQPAQADGKFGQAELQASASPEPIERPKLKLLPRTKPVDGLEAPVVDHTQEYQRVIESHVEIVNEVYGNMNSSKPGSAGSDSGKQAVERPKLNLKPRSQPLEQLEGNAKRDRISLFGGARPRELVLKERGVDDVVITNVDMVQHSDKVEHQVPKPDRVPVHANPTRHNEKPENHPFDQRTGKKFDRRDNRVDVERVDVQKRNWRNDGKRNNREPERQQQQSERPPSPETWRKPEQPKLSSPGAVGVHHGKAASALELAQAFSRSVSDPKLADRFSGQRGIPGRAQMPFSRLMGPTPRPQINGY >ONI12885 pep chromosome:Prunus_persica_NCBIv2:G4:11274431:11275614:-1 gene:PRUPE_4G189600 transcript:ONI12885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVKINPRGTQLNVPSLKLLRRCRCVGEQQEPLTGMSTLDATMEDSCGARPKATWKKMGPVEKEASVHEEMKRMQKLPANSTYVTHRLRVLNKILQLLSIQRTASQERELELLFAGLSL >ONI12884 pep chromosome:Prunus_persica_NCBIv2:G4:11274050:11275614:-1 gene:PRUPE_4G189600 transcript:ONI12884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVKINPRGTQLNVPSLKLLRRCRCVGEQQEPLTGMSTLDATMEDSCGARPKATWKKMGPVEKEASVHEEMKRMQKLPANSTYVTHRLRVLNKILQLLSIQRTASQERELELLFAGLSL >ONI11040 pep chromosome:Prunus_persica_NCBIv2:G4:4110210:4113093:1 gene:PRUPE_4G084000 transcript:ONI11040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKGKTKRWGWAVGALIAVFVAVAMTSRTAPKISFFGRSNKPCNCTQETHKYSGIVEDCCCDYETVDHINKEVLHPSLQELVKTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPDSEFPESFKKPHHGLPLDDLVCQEGKPEAAVDRTLDKKAFRGWTEIDNPWTNDDETDNAEMTYVNLQLNPERYTGYTGPSARRIWDAVYAENCPKYPSEELCAEERILYKLISGLHSSISVHIASDYLLDETTQMWGSNLPLMYDRVLRYPDRVRNLYFTFLFVLRAVTKAADYLEHAEYDTGNLNEDLKTQSLVRQLLYNPNLQAACPVPFDEAKLWKGQRGPELKQKIQNQFRNIRRTDGLCRM >ONI11039 pep chromosome:Prunus_persica_NCBIv2:G4:4109923:4114527:1 gene:PRUPE_4G084000 transcript:ONI11039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKGKTKRWGWAVGALIAVFVAVAMTSRTAPKISFFGRSNKPCNCTQETHKYSGIVEDCCCDYETVDHINKEVLHPSLQELVKTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPDSEFPESFKKPHHGLPLDDLVCQEGKPEAAVDRTLDKKAFRGWTEIDNPWTNDDETDNAEMTYVNLQLNPERYTGYTGPSARRIWDAVYAENCPKYPSEELCAEERILYKLISGLHSSISVHIASDYLLDETTQMWGSNLPLMYDRVLRYPDRVRNLYFTFLFVLRAVTKAADYLEHAEYDTGNLNEDLKTQSLVRQLLYNPNLQAACPVPFDEAKLWKGQRGPELKQKIQNHALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQQNTDQTLHLQRNEVIALMNLLNRLSESLKFVNEMGPSTERLVEGQISPPTAPSCPIQRMWASLKSR >ONI11041 pep chromosome:Prunus_persica_NCBIv2:G4:4109944:4114443:1 gene:PRUPE_4G084000 transcript:ONI11041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKGKTKRWGWAVGALIAVFVAVAMTSRTAPKISFFGRSNKPCNCTQETHKYSGIVEDCCCDYETVDHINKEVLHPSLQELVKTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPDSEFPESFKKPHHGLPLDDLVCQEGKPEAAVDRTLDKKAFRGWTEIDNPWTNDDETDNAEMTYVNLQLNPERYTGYTGPSARRIWDAVYAENCPKYPSEELCAEERILYKLISGLHSSISVHIASDYLLDETTQMAADYLEHAEYDTGNLNEDLKTQSLVRQLLYNPNLQAACPVPFDEAKLWKGQRGPELKQKIQNQFRNIRRTDGLCRM >ONI11646 pep chromosome:Prunus_persica_NCBIv2:G4:6398752:6402231:1 gene:PRUPE_4G118100 transcript:ONI11646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTFAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAIGANNQAAQSMLKQDYKDEITREEAVQLALKVLSKTMDSTSLTSDKLELAEVFVLPSGKVKYQVCSPDALSKLLVKSGVTQPAAEAS >ONI14041 pep chromosome:Prunus_persica_NCBIv2:G4:18582474:18588004:-1 gene:PRUPE_4G259000 transcript:ONI14041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKDNLKGFVLALLSSGFIGASFIIKKKGLRRAAAASGVRAGYGGYSYLLEPLWWLGFITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPAFLLYVGSVVVLVFILVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKDWDDQSGGAILSEICGFIVVLCGTILLHSTKEFERSSSFRGGSYMPVSPSLSARLCSGGNGELEKHEEEDGSPSEEICLRRQELY >ONI14051 pep chromosome:Prunus_persica_NCBIv2:G4:18583470:18588004:-1 gene:PRUPE_4G259000 transcript:ONI14051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKDNLKGFVLALLSSGFIGASFIIKKKGLRRAAAASGVRAGYGGYSYLLEPLWWLGFITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPAFLLYVGSVVVLVFILVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTTLAIIASVIMFKKA >ONI14049 pep chromosome:Prunus_persica_NCBIv2:G4:18582542:18588004:-1 gene:PRUPE_4G259000 transcript:ONI14049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKDNLKGFVLALLSSGFIGASFIIKKKGLRRAAAASGVRAGYGGYSYLLEPLWWLGFITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPAFLLYVGSVVVLVFILVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTTLAIIASVIMFKVVAICLCHLHYLRDFVVAGMEN >ONI14048 pep chromosome:Prunus_persica_NCBIv2:G4:18581768:18588391:-1 gene:PRUPE_4G259000 transcript:ONI14048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKDNLKGFVLALLSSGFIGASFIIKKKGLRRAAAASGVRAGYGGYSYLLEPLWWLGFITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPAFLLYVGSVVVLVFILVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTTLAIIASVIMFKWREWRIRET >ONI14050 pep chromosome:Prunus_persica_NCBIv2:G4:18582955:18588391:-1 gene:PRUPE_4G259000 transcript:ONI14050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKDNLKGFVLALLSSGFIGASFIIKKKGLRRAAAASGVRAGYGGYSYLLEPLWWLGFITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTTLAIIASVIMFKKA >ONI14044 pep chromosome:Prunus_persica_NCBIv2:G4:18581768:18588391:-1 gene:PRUPE_4G259000 transcript:ONI14044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTTLAIIASVIMFKDWDDQSGGAILSEICGFIVVLCGTILLHSTKEFERSSSFRGGSYMPVSPSLSARLCSGGNGELEKHEEEDGSPSEEICLRRQELY >ONI14045 pep chromosome:Prunus_persica_NCBIv2:G4:18581768:18588391:-1 gene:PRUPE_4G259000 transcript:ONI14045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPAFLLYVGSVVVLVFILVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKDWDDQSGGAILSEICGFIVVLCGTILLHSTKEFERSSSFRGGSYMPVSPSLSARLCSGGNGELEKHEEEDGSPSEEICLRRQELY >ONI14040 pep chromosome:Prunus_persica_NCBIv2:G4:18582474:18588004:-1 gene:PRUPE_4G259000 transcript:ONI14040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKDNLKGFVLALLSSGFIGASFIIKKKGLRRAAAASGVRAGYGGYSYLLEPLWWLGFITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTTLAIIASVIMFKDWDDQSGGAILSEICGFIVVLCGTILLHSTKEFERSSSFRGGSYMPVSPSLSARLCSGGNGELEKHEEEDGSPSEEICLRRQELY >ONI14046 pep chromosome:Prunus_persica_NCBIv2:G4:18582474:18587666:-1 gene:PRUPE_4G259000 transcript:ONI14046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPAFLLYVGSVVVLVFILVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTTLAIIASVIMFKDWDDQSGGAILSEICGFIVVLCGTILLHSTKEFERSSSFRGGSYMPVSPSLSARLCSGGNGELEKHEEEDGSPSEEICLRRQELY >ONI14039 pep chromosome:Prunus_persica_NCBIv2:G4:18581768:18588391:-1 gene:PRUPE_4G259000 transcript:ONI14039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKDNLKGFVLALLSSGFIGASFIIKKKGLRRAAAASGVRAGYGGYSYLLEPLWWLGFITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKDWDDQSGGAILSEICGFIVVLCGTILLHSTKEFERSSSFRGGSYMPVSPSLSARLCSGGNGELEKHEEEDGSPSEEICLRRQELY >ONI14042 pep chromosome:Prunus_persica_NCBIv2:G4:18582474:18588004:-1 gene:PRUPE_4G259000 transcript:ONI14042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKDNLKGFVLALLSSGFIGASFIIKKKGLRRAAAASGVRAGYGGYSYLLEPLWWLGFITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPAFLLYVGSVVVLVFILVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTTLAIIASVIMFKDWDDQSGGAILSEICGFIVVLCGTILLHSTKEFERSSSFRGGSYMPVSPSLSARLCSGGNGELEKHEEEDGSPSEEICLRRQELY >ONI14047 pep chromosome:Prunus_persica_NCBIv2:G4:18581768:18588391:-1 gene:PRUPE_4G259000 transcript:ONI14047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAGSIIIVIHAPKEHSITSVLEIWSMATQPAFLLYVGSVVVLVFILVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTTLAIIASVIMFKDWDDQSGGAILSEICGFIVVLCGTILLHSTKEFERSSSFRGGSYMPVSPSLSARLCSGGNGELEKHEEEDGSPSEEICLRRQELY >ONI14043 pep chromosome:Prunus_persica_NCBIv2:G4:18581768:18588392:-1 gene:PRUPE_4G259000 transcript:ONI14043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILKERLHRLGVLGCVMCIAGSIIIVIHAPKEHSITSVLEIWSMATQPVFHFAPQCGHTNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGKNQLIYPETWFFMLVVATCVITQMNYLNKDWDDQSGGAILSEICGFIVVLCGTILLHSTKEFERSSSFRGGSYMPVSPSLSARLCSGGNGELEKHEEEDGSPSEEICLRRQELY >ONI10305 pep chromosome:Prunus_persica_NCBIv2:G4:1865641:1873010:-1 gene:PRUPE_4G039800 transcript:ONI10305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRAYKLQEFVAHSDNINCLNIGKKACRLFVTGGDDHIVNLWTIGKPTSLMSLASHTSPVESVAFNSAEVLVLAGASSGVTKIWDLEETKMVRTLSGHRSSCTAVEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTQGISTIKFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIRSIGFHPLEFLLATGSADRTVKFWDLETFELIGSTRPEPTGVRTIAFHPDGRTLFSGLDDSLKVHSWEPVICHDSVDMGWSRLGDLCIHDGKLLGCSYYQNSVGVWVADVSLIEPYAAGSTPVQNDGTAQKPYVKLQETAGKVESGIRRPGLRCMSPDYDTKDIKNIYIDSSGGKPVTSQRMVSPRVVPSLDSKEATAAKQSPSVGLHPKSNEQVVNKSLVVTNIVPRSSPDGKDTANSGREAVAFSRTRRGMLLKPAHARRASNSKIDFEELPPDVESGKLCNMMSKLDSAMDLNCQTKTVSEDRARESCEENHSEIKGVEEKFEKVISLKKTSNQESINGSLNCSKEANSVKFVNGVAVVPGRTRTLVERFERRERFDRNEDQATRISSPVIPEDQATSRISPVTPEDKVTSTSGPMIPEVEASISNPVIPEVEASISSPVIPEVETSISSPVIPEREKTSTRLKEGPQISGRHIASTKNGGPSEDLMQTHEVFLSTLRSRLTKLQVVRHFWERNDIKGAIGAIRKLPDHSVQADVISVLLEKMDILTLDLFSRLLPVLLGLLDSKIERHAIVSLEMLLKLVAVFGPVIHSTISAPPAVGVNLQAEQRLQCCNQCFIQLQKIQKILPVFVRRGGVLARCAQELNLVLQQS >ONI10304 pep chromosome:Prunus_persica_NCBIv2:G4:1865264:1873389:-1 gene:PRUPE_4G039800 transcript:ONI10304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRAYKLQEFVAHSDNINCLNIGKKACRLFVTGGDDHIVNLWTIGKPTSLMSLASHTSPVESVAFNSAEVLVLAGASSGVTKIWDLEETKMVRTLSGHRSSCTAVEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTQGISTIKFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIRSIGFHPLEFLLATGSADRTVKFWDLETFELIGSTRPEPTGVRTIAFHPDGRTLFSGLDDSLKVHSWEPVICHDSVDMGWSRLGDLCIHDGKLLGCSYYQNSVGVWVADVSLIEPYAAGSTPVQNDGTAQKPYVKLQETAGKVESGIRRPGLRCMSPDYDTKDIKNIYIDSSGGKPVTSQRMVSPRVVPSLDSKEATAAKQSPSVGLHPKSNEQVVNKSLVVTNIVPRSSPDGKDTANSGREAVAFSRTRRGMLLKPAHARRASNSKIDFEELPPDVESGKLCNMMSKLDSAMDLNCQTKTVSEDRARESCEENHSEIKGVEEKFEKVISLKKTSNQESINGSLNCSKEANSVKFVNGVVPGRTRTLVERFERRERFDRNEDQATRISSPVIPEDQATSRISPVTPEDKVTSTSGPMIPEVEASISNPVIPEVEASISSPVIPEVETSISSPVIPEREKTSTRLKEGPQISGRHIASTKNGGPSEDLMQTHEVFLSTLRSRLTKLQVVRHFWERNDIKGAIGAIRKLPDHSVQADVISVLLEKMDILTLDLFSRLLPVLLGLLDSKIERHAIVSLEMLLKLVAVFGPVIHSTISAPPAVGVNLQAEQRLQCCNQCFIQLQKIQKILPVFVRRGGVLARCAQELNLVLQQS >ONI10302 pep chromosome:Prunus_persica_NCBIv2:G4:1865264:1873389:-1 gene:PRUPE_4G039800 transcript:ONI10302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRAYKLQEFVAHSDNINCLNIGKKACRLFVTGGDDHIVNLWTIGKPTSLMSLASHTSPVESVAFNSAEVLVLAGASSGVTKIWDLEETKMVRTLSGHRSSCTAVEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTQGISTIKFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIRSIGFHPLEFLLATGSADRTVKFWDLETFELIGSTRPEPTGVRTIAFHPDGRTLFSGLDDSLKVHSWEPVICHDSVDMGWSRLGDLCIHDGKLLGCSYYQNSVGVWVADVSETAGKVESGIRRPGLRCMSPDYDTKDIKNIYIDSSGGKPVTSQRMVSPRVVPSLDSKEATAAKQSPSVGLHPKSNEQVVNKSLVVTNIVPRSSPDGKDTANSGREAVAFSRTRRGMLLKPAHARRASNSKIDFEELPPDVESGKLCNMMSKLDSAMDLNCQTKTVSEDRARESCEENHSEIKGVEEKFEKVISLKKTSNQESINGSLNCSKEANSVKFVNGVVPGRTRTLVERFERRERFDRNEDQATRISSPVIPEDQATSRISPVTPEDKVTSTSGPMIPEVEASISNPVIPEVEASISSPVIPEVETSISSPVIPEREKTSTRLKEGPQISGRHIASTKNGGPSEDLMQTHEVFLSTLRSRLTKLQVVRHFWERNDIKGAIGAIRKLPDHSVQADVISVLLEKMDILTLDLFSRLLPVLLGLLDSKIERHAIVSLEMLLKLVAVFGPVIHSTISAPPAVGVNLQAEQRLQCCNQCFIQLQKIQKILPVFVRRGGVLARCAQELNLVLQQS >ONI10306 pep chromosome:Prunus_persica_NCBIv2:G4:1865641:1873010:-1 gene:PRUPE_4G039800 transcript:ONI10306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRAYKLQEFVAHSDNINCLNIGKKACRLFVTGGDDHIVNLWTIGKPTSLMSLASHTSPVESVAFNSAEVLVLAGASSGVTKIWDLEETKMVRTLSGHRSSCTAVEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTQGISTIKFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIRSIGFHPLEFLLATGSADRTVKFWDLETFELIGSTRPEPTGVRTIAFHPDGRTLFSGLDDSLKVHSWEPVICHDSVDMGWSRLGDLCIHDGKLLGCSYYQNSVGVWVADVSLIEPYAAGSTPVQNDGTAQKPYVKLQETAGKVESGIRRPGLRCMSPDYDTKDIKNIYIDSSGGKPVTSQRMVSPRVVPSLDSKEATAAKQSPSVGLHPKSNEQVVNKSLVVTNIVPRSSPDGKDTANSGREAVAFSRTRRGMLLKPAHARRASNSKIDFEELPPDVESGKLCNMMSKLDSAMDLNCQTKTVSEDRARESCEENHSEIKGVEEKFEKVISLKKTSNQESINGSLNCSKEANSVKFVNGVAVVPGRTRTLVERFERRERFDRNEDQATRISSPVIPEDQATSRISPVTPEDKVTSTSGPMIPEVEASISNPVIPEVEASISSPVIPEVETSISSPVIPEREKTSTRLQKEGPQISGRHIASTKNGGPSEDLMQTHEVFLSTLRSRLTKLQVVRHFWERNDIKGAIGAIRKLPDHSVQADVISVLLEKMDILTLDLFSRLLPVLLGLLDSKIERHAIVSLEMLLKLVAVFGPVIHSTISAPPAVGVNLQAEQRLQCCNQCFIQLQKIQKILPVFVRRGGVLARCAQELNLVLQQS >ONI10308 pep chromosome:Prunus_persica_NCBIv2:G4:1865641:1872320:-1 gene:PRUPE_4G039800 transcript:ONI10308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASHTSPVESVAFNSAEVLVLAGASSGVTKIWDLEETKMVRTLSGHRSSCTAVEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTQGISTIKFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIRSIGFHPLEFLLATGSADRTVKFWDLETFELIGSTRPEPTGVRTIAFHPDGRTLFSGLDDSLKVHSWEPVICHDSVDMGWSRLGDLCIHDGKLLGCSYYQNSVGVWVADVSLIEPYAAGSTPVQNDGTAQKPYVKLQETAGKVESGIRRPGLRCMSPDYDTKDIKNIYIDSSGGKPVTSQRMVSPRVVPSLDSKEATAAKQSPSVGLHPKSNEQVVNKSLVVTNIVPRSSPDGKDTANSGREAVAFSRTRRGMLLKPAHARRASNSKIDFEELPPDVESGKLCNMMSKLDSAMDLNCQTKTVSEDRARESCEENHSEIKGVEEKFEKVISLKKTSNQESINGSLNCSKEANSVKFVNGVAVVPGRTRTLVERFERRERFDRNEDQATRISSPVIPEDQATSRISPVTPEDKVTSTSGPMIPEVEASISNPVIPEVEASISSPVIPEVETSISSPVIPEREKTSTRLKEGPQISGRHIASTKNGGPSEDLMQTHEVFLSTLRSRLTKLQVVRHFWERNDIKGAIGAIRKLPDHSVQADVISVLLEKMDILTLDLFSRLLPVLLGLLDSKIERHAIVSLEMLLKLVAVFGPVIHSTISAPPAVGVNLQAEQRLQCCNQCFIQLQKIQKILPVFVRRGGVLARCAQELNLVLQQS >ONI10307 pep chromosome:Prunus_persica_NCBIv2:G4:1865264:1873389:-1 gene:PRUPE_4G039800 transcript:ONI10307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASHTSPVESVAFNSAEVLVLAGASSGVTKIWDLEETKMVRTLSGHRSSCTAVEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTQGISTIKFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIRSIGFHPLEFLLATGSADRTVKFWDLETFELIGSTRPEPTGVRTIAFHPDGRTLFSGLDDSLKVHSWEPVICHDSVDMGWSRLGDLCIHDGKLLGCSYYQNSVGVWVADVSLIEPYAAGSTPVQNDGTAQKPYVKLQETAGKVESGIRRPGLRCMSPDYDTKDIKNIYIDSSGGKPVTSQRMVSPRVVPSLDSKEATAAKQSPSVGLHPKSNEQVVNKSLVVTNIVPRSSPDGKDTANSGREAVAFSRTRRGMLLKPAHARRASNSKIDFEELPPDVESGKLCNMMSKLDSAMDLNCQTKTVSEDRARESCEENHSEIKGVEEKFEKVISLKKTSNQESINGSLNCSKEANSVKFVNGVVPGRTRTLVERFERRERFDRNEDQATRISSPVIPEDQATSRISPVTPEDKVTSTSGPMIPEVEASISNPVIPEVEASISSPVIPEVETSISSPVIPEREKTSTRLKEGPQISGRHIASTKNGGPSEDLMQTHEVFLSTLRSRLTKLQVVRHFWERNDIKGAIGAIRKLPDHSVQADVISVLLEKMDILTLDLFSRLLPVLLGLLDSKIERHAIVSLEMLLKLVAVFGPVIHSTISAPPAVGVNLQAEQRLQCCNQCFIQLQKIQKILPVFVRRGGVLARCAQELNLVLQQS >ONI10303 pep chromosome:Prunus_persica_NCBIv2:G4:1865641:1873010:-1 gene:PRUPE_4G039800 transcript:ONI10303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRAYKLQEFVAHSDNINCLNIGKKACRLFVTGGDDHIVNLWTIGKPTSLMSLASHTSPVESVAFNSAEVLVLAGASSGVTKIWDLEETKMVRTLSGHRSSCTAVEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTQGISTIKFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIRSIGFHPLEFLLATGSADRTVKFWDLETFELIGSTRPEPTGVRTIAFHPDGRTLFSGLDDSLKVHSWEPVICHDSVDMGWSRLGDLCIHDGKLLGCSYYQNSVGVWVADVSETAGKVESGIRRPGLRCMSPDYDTKDIKNIYIDSSGGKPVTSQRMVSPRVVPSLDSKEATAAKQSPSVGLHPKSNEQVVNKSLVVTNIVPRSSPDGKDTANSGREAVAFSRTRRGMLLKPAHARRASNSKIDFEELPPDVESGKLCNMMSKLDSAMDLNCQTKTVSEDRARESCEENHSEIKGVEEKFEKVISLKKTSNQESINGSLNCSKEANSVKFVNGVAVVPGRTRTLVERFERRERFDRNEDQATRISSPVIPEDQATSRISPVTPEDKVTSTSGPMIPEVEASISNPVIPEVEASISSPVIPEVETSISSPVIPEREKTSTRLKEGPQISGRHIASTKNGGPSEDLMQTHEVFLSTLRSRLTKLQVVRHFWERNDIKGAIGAIRKLPDHSVQADVISVLLEKMDILTLDLFSRLLPVLLGLLDSKIERHAIVSLEMLLKLVAVFGPVIHSTISAPPAVGVNLQAEQRLQCCNQCFIQLQKIQKILPVFVRRGGVLARCAQELNLVLQQS >ONI14095 pep chromosome:Prunus_persica_NCBIv2:G4:19016017:19016871:1 gene:PRUPE_4G261600 transcript:ONI14095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELETLTVYNEGILGLNLRIEGWDLEMIRLETVPEKTQKCFGGVKEKMANNKKRKAKGRRKRSNKCLALKKVKELSFASMFRIFERFLSCSASVDVLN >ONI14096 pep chromosome:Prunus_persica_NCBIv2:G4:19016026:19016827:1 gene:PRUPE_4G261600 transcript:ONI14096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLETVPEKTQKCFGGVKEKMANNKKRKAKGRRKRSNKCLALKKVKELSFASMFRIFERFLSCSASVDVLN >ONI12020 pep chromosome:Prunus_persica_NCBIv2:G4:7802687:7808235:-1 gene:PRUPE_4G139800 transcript:ONI12020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSRARRSPLSGSGSDSSSRSRSRSRSFSGTDSRSSSHSRSRSRSRSFSSSSPSRSGSSRSRSPPSKKSPEVARRGRSPPPQAKKASPPQRKASPIRESLILHIDQLTRNVHEGHLREIFCNFGDVVKVELAMDRAVNLPKGFGYVEFKTRADAEKAQLYMDGAQIDGNVIRARFTLPQRQKGSPPPKPVSAAPKRDAVKTDGVNADKDGPKRPREASPRRRPPLSPRRRSPVARRGGSPRRLPDSPPRRRAGSPARRGDTSPRRRLASPSRGRSGSPPPRRRSPVRRIRGSPIRRRSPFPPRRRSPPRRVRSPPRRSPINRRRSRSPNRRLARSRSRSISPRRGRGPATRRGRSSSYSGSPSPRKVPRKISRSPRRSLRRRSSSKSSSSSSPPRKP >ONI12021 pep chromosome:Prunus_persica_NCBIv2:G4:7803501:7808115:-1 gene:PRUPE_4G139800 transcript:ONI12021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSRARRSPLSGSGSDSSSRSRSRSRSFSGTDSRSSSHSRSRSRSRSFSSSSPSRSGSSRSRSPPSKKSPEVARRGRSPPPQAKKASPPQRKASPIRESLILHIDQLTRNVHEGHLREIFCNFGDVVKVELAMDRAVNLPKGFGYVEFKTRADAEKAQLYMDGAQIDGNVIRARFTLPQRQKGSPPPKPVSAAPKRDAVKTDGVNADKDGPKRPREASPRRRPPLSPRRRSPVARRGGSPRRLPDSPPRRRAGSPARRGDTSPRRRLASPSRGRSGSPPPRRRSPVRRIRGSPIRRRSPFPPRRRSPPRRVRSPPRRSPINRRRSRSPNRRLARSRSRSISPRRGRGPATRRGRSSSYSGSPSPRKVPRKISRSPRRSLRRRSSSKSSSSSSPPRKP >ONI12018 pep chromosome:Prunus_persica_NCBIv2:G4:7802687:7808235:-1 gene:PRUPE_4G139800 transcript:ONI12018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSRARRSPLSGSGSDSSSRSRSRSRSFSGTDSRSSSHSRSRSRSRSFSSSSPSRSGSSRSRSPPSKKSPEVARRGRSPPPQAKKASPPQRKASPIRESLILHIDQLTRNVHEGHLREIFCNFGDVVKVELAMDRAVNLPKGFGYVEFKTRADAEKAQLYMDGAQIDGNVIRARFTLPQRQKGSPPPKPVSAAPKRDAVKTDGVNADKDGPKRPREASPRRRPPLSPRRRSPVARRGGSPRRLPDSPPRRRAGSPARRGDTSPRRRLASPSRGRSGSPPPRRRSPVRIRGSPIRRRSPFPPRRRSPPRRVRSPPRRSPINRRRSRSPNRRLARSRSRSISPRRGRGPATRRGRSSSYSGSPSPRKVPRKISRSPRRSLRRRSSSKSSSSSSPPRKP >ONI12019 pep chromosome:Prunus_persica_NCBIv2:G4:7803501:7808115:-1 gene:PRUPE_4G139800 transcript:ONI12019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSRARRSPLSGSGSDSSSRSRSRSRSFSGTDSRSSSHSRSRSRSRSFSSSSPSRSGSSRSRSPPSKKSPEVARRGRSPPPQAKKASPPQRKASPIRESLILHIDQLTRNVHEGHLREIFCNFGDVVKVELAMDRAVNLPKGFGYVEFKTRADAEKAQLYMDGAQIDGNVIRARFTLPQRQKGSPPPKPVSAAPKRDAVKTDGVNADKDGPKRPREASPRRRPPLSPRRRSPVARRGGSPRRLPDSPPRRRAGSPARRGDTSPRRRLASPSRGRSGSPPPRRRSPVRIRGSPIRRRSPFPPRRRSPPRRVRSPPRRSPINRRRSRSPNRRLARSRSRSISPRRGRGPATRRGRSSSYSGSPSPRKVPRKISRSPRRSLRRRSSSKSSSSSSPPRKP >ONI10182 pep chromosome:Prunus_persica_NCBIv2:G4:1528048:1532216:-1 gene:PRUPE_4G032900 transcript:ONI10182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRTETFHAFNPSIFILFFFNWFLSQHYCAEVYNITSSQPLAEGQTLVSPGHIFELGFFSPNNSSNKYVGIWHKTIFPRKVVWVANREKALSVTDTLASLTINSNGNLELVDGKQSCIWSTSISVPSNGSAALLLDSGNFVVQDDIGAQLWRSFDFPGDTLLPMMLLGFDNKSGKRDVLTAWKSESDGSTGLFSVGLAPQIPTQMFIWINGSTPYWRSGPWDKTKFLGIPGMKDEYVSGLNLDDNVQQGTKYFSFFLNRILAYMEISYKGTLKLMYSEHGENWNLDFEAPKNPCDHYGICGPFGVCKASESPICKCLKGFKPKSQEEWSKGNKAGGCVRKTKLFCGSNTSNSVPLRGKQDGFLKMSKVNLPDFHEYISNLGAEDCKVQCVGNCSCLAYTHINNIGCLVWSKDLIDIQEFASGGDDLFIRLAHAELGERKQIKIMVSLIAVCFISILAGIVFSLHRLRSNQKRNVKVTPKDSEMADMIETSRDALLHEYIRKHDPSELVIYDFDTILIATSNFSITNKLGEGGFGPVYRGKLQEGKEIAVKRLSSSSVQGIEEFKNEMLLISKLQHKNLVRLMGCCIKDDEKLLIYEFMPNKSLDTLLFSPMRRAELDWAKRFNIIQGVARGLLYLHYDSCLKVIHRDLKVSNILLDEKMSPKISDFGLARIFEGTQNLANTQKVVGTLGYMSPEYAMRGIFSEKSDIYSFGVLLLEIVGGRKNTSFYYHDQQLGFIDYAWHSWNEGRGLDLVDEVLADSYSSTEVMRCVHIGLLCVQDNAADRPTMPDVVFMLSRETDRPQPKRPIFTSQSSVSDPQPQFDNICSANEDTITLLQGR >ONI12833 pep chromosome:Prunus_persica_NCBIv2:G4:11042580:11045174:1 gene:PRUPE_4G186000 transcript:ONI12833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSGALRAALIWTKASRWRKVSKCKSLVKQVQMHLTIQKNRRDAIIRQARVDIAQLLQNGQPQQALARVETLQKDQCLLAAYDQIDHFCSCISISIVHVFKNKTVQDLPSSVGEAMASLIFAASRCGELPELRLLRGLFTEQYGWEFDITSVELRPGNLVNSQLKEKLCINLIPDDVKQGLLSDLVNKSSVQMTLQDRPGTARQKYSFSDKDFKDKQKFDGIQIQIPKLETARKTGIVCSLSNGDSTKTKKNEDQDHRSNGNIHINIDSGGRNASFRTYAMTSLPRKSPTGKMVPSKIRYDHLSPMDAPNKDRSKMLAKGRHSFSSSADEHKIAKGISPCHVHPKLPEYDDLVAKLRSLKAEYRHHKSFSFF >ONI12832 pep chromosome:Prunus_persica_NCBIv2:G4:11042580:11045174:1 gene:PRUPE_4G186000 transcript:ONI12832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSGALRAALIWTKASRWRKVSKCKSLVKQVQMHLTIQKNRRDAIIRQARVDIAQLLQNGQPQQALARVETLQKDQCLLAAYDQIDHFCSCISISIVHVFKNKTVQDLPSSVGEAMASLIFAASRCGELPELRLLRGLFTEQYGWEFDITSVELRPGNLVNSQLKEKLCINLIPDDVKQGLLSDLVNKSSVQMTLQDRPGTARQKYSFSDKDFKDKQKFDGIQIQIPKLETARKTGIVCSLSNGKFSVATPSHSQICSNTTYGLGDSTKTKKNEDQDHRSNGNIHINIDSGGRNASFRTYAMTSLPRKSPTGKMVPSKIRYDHLSPMDAPNKDRSKMLAKGRHSFSSSADEHKIAKGISPCHVHPKLPEYDDLVAKLRSLKAEYRHHKSFSFF >ONI13586 pep chromosome:Prunus_persica_NCBIv2:G4:14858042:14860373:-1 gene:PRUPE_4G231900 transcript:ONI13586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAVIMRDRATGRARGFGFVVFADPAVAERVIMDKHMIDCRTVEAKKAVPKEDQNILNRTGVAHGSPGPGRTRKIFVGGLASTVTESDFKKYFDQFGTVTDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPTRSPLIGYNYSPGRTSGLLNGYAQGYNMSPIGGFGISMDTRFNPLSSGRSGLSPFGTTGYGLGMNLEPGLSPTYGGNSNIGNSLGYARMLSPYYNANSNRYATPIGYNAGNGRSGSVVSSTNRNVWANSGINNNINPASTGGYLSSENGGFGVAIGNNDSNWGPNSFSTQSRGSASGYTSAGGYGSGESSFGLGGGGYGRNSGTGVAPTSSFPTSTVGYEGSYGDLYHSDSVYGDSTWRSTSPELDGSSTFGYGLGDLPADISAKSSGGYIGSYNVTSRQPNRGIAA >ONI13583 pep chromosome:Prunus_persica_NCBIv2:G4:14857465:14861201:-1 gene:PRUPE_4G231900 transcript:ONI13583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMEPDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAVIMRDRATGRARGFGFVVFADPAVAERVIMDKHMIDCRTVEAKKAVPKEDQNILNRTGVAHGSPGPGRTRKIFVGGLASTVTESDFKKYFDQFGTVTDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPTRSPLIGYNYSPGRTSGLLNGYAQGYNMSPIGGFGISMDTRFNPLSSGRSGLSPFGTTGYGLGMNLEPGLSPTYGGNSNIGNSLGYARMLSPYYNANSNRYATPIGYNAGNGRSGSVVSSTNRNVWANSGINNNINPASTGGYLSSENGGFGVAIGNNDSNWGPNSFSTQSRGSASGYTSAGGYGSGESSFGLGGGGYGRNSGTGVAPTSSFPTSTVGYEGSYGDLYHSDSVYGDSTWRSTSPELDGSSTFGYGLGDLPADISAKSSGGYIGSYNVTSRQPNRGIAA >ONI13582 pep chromosome:Prunus_persica_NCBIv2:G4:14857462:14861229:-1 gene:PRUPE_4G231900 transcript:ONI13582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAVIMRDRATGRARGFGFVVFADPAVAERVIMDKHMIDCRTVEAKKAVPKEDQNILNRTGVAHGSPGPGRTRKIFVGGLASTVTESDFKKYFDQFGTVTDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPTRSPLIGYNYSPGRTSGLLNGYAQGYNMSPIGGFGISMDTRFNPLSSGRSGLSPFGTTGYGLGMNLEPGLSPTYGGNSNIGNSLGYARMLSPYYNANSNRYATPIGYNAGNGRSGSVVSSTNRNVWANSGINNNINPASTGGYLSSENGGFGVAIGNNDSNWGPNSFSTQSRGSASGYTSAGGYGSGESSFGLGGGGYGRNSGTGVAPTSSFPTSTVGYEGSYGDLYHSDSVYGDSTWRSTSPELDGSSTFGYGLGDLPADISAKSSGGYIGSYNVTSRQPNRGDLLGIKR >ONI13584 pep chromosome:Prunus_persica_NCBIv2:G4:14857467:14861202:-1 gene:PRUPE_4G231900 transcript:ONI13584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAVIMRDRATGRARGFGFVVFADPAVAERVIMDKHMIDCRTVEAKKAVPKEDQNILNRTGVAHGSPGPGRTRKIFVGGLASTVTESDFKKYFDQFGTVTDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPTRSPLIGYNYSPGRTSGLLNGYAQGYNMSPIGGFGISMDTRFNPLSSGRSGLSPFGTTGYGLGMNLEPGLSPTYGGNSNIGNSLGYARMLSPYYNANSNRYATPIGYNAGNGRSGSVVSSTNRNVWANSGINNNINPASTGGYLSSENGGFGVAIGNNDSNWGPNSFSTQSRGSASGYTSAGGYGSGESSFGLGGGGYGRNSGTGVAPTSSFPTSTVGYEGSYGDLYHSDSVYGDSTWRSTSPELDGSSTFGYGLGDLPADISAKSSGGYIGSYNVTSRQPNRGIAA >ONI13585 pep chromosome:Prunus_persica_NCBIv2:G4:14858042:14860373:-1 gene:PRUPE_4G231900 transcript:ONI13585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAVIMRDRATGRARGFGFVVFADPAVAERVIMDKHMIDCRTVEAKKAVPKEDQNILNRTGVAHGSPGPGRTRKIFVGGLASTVTESDFKKYFDQFGTVTDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPTRSPLIGYNYSPGRTSGLLNGYAQGYNMSPIGGFGISMDTRFNPLSSGRSGLSPFGTTGYGLGMNLEPGLSPTYGGNSNIGNSLGYARMLSPYYNANSNRYATPIGYNAGNGRSGSVVSSTNRNVWANSGINNNINPASTGGYLSSENGGFGVAIGNNDSNWGPNSFSTQSRGSASGYTSAGGYGSGESSFGLGGGGYGRNSGTGVAPTSSFPTSTVGYEGSYGDLYHSDSVYGDSTWRSTSPELDGSSTFGYGLGDLPADISAKSSGGYIGSYNVTSRQPNRGIAA >ONI14013 pep chromosome:Prunus_persica_NCBIv2:G4:18313299:18313809:1 gene:PRUPE_4G257900 transcript:ONI14013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRICYRKLGPEVDPSPACCAVVKKANVKCLCKLVAKEIEDLIDMEKVGFVARSCGKKVAPGTKCGSKEFQKWVFAILI >ONI12841 pep chromosome:Prunus_persica_NCBIv2:G4:11101418:11105035:1 gene:PRUPE_4G186700 transcript:ONI12841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEMVDLEIQSQTNTTSDTVEAPAIFKKAIRPVTLKFEDVVYKIKPKKSIKNEERVILNGVSGLVQPGEILAMLGPSGSGKTTLLTALGGRLGGRLGGAITYNNKLFSNAMKRNIGFVTQDDFLYPHLTVTETLVYTALLRLPNTLSKAEKTMQAEAVIIQLELTKCKNSVVGDEFLRGVSGGERRRVSIGQELLVNPSLLFLDEPTSGLDSTTAQRIVSALWDLASGGRTIVMTIHQPSSRLFYMFHKVMLLSEGNCLYFGKVSEVMDYFSGIGYVPLVAMNPADFLLDLANGLAPDGSHENKSKVKQSLALAYKHNRLDNLKAEIQETNSSHFQDGSENKSFGKWPTTWWQQFSVLLRRGLKERRHESFSGLKIGQVVAVALLSGLLWWQSDVSHLQDQTGLLFFMSGFWGFFPLFQAIFTFPQERKMLEKERSSGTYRLSSYFISRIVADLPMELVLPAVFVTITYWMAGLKPTAGHFFHTLLVLLLSVLVAQGMGLALGALVMDQKKATVLASVLMLSFLLAGGYYVQHVPAFIGWIKYISISNYSYKLLLGSLYSKSDTYPCDSGVCLVREFPNIKHVGLDGQISGAVALATMLVGYRLIGYLALMRIGVTKKKYA >ONI12403 pep chromosome:Prunus_persica_NCBIv2:G4:9344073:9346889:-1 gene:PRUPE_4G162400 transcript:ONI12403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAHDKWLWIGSGSTAALLVAVLWIVCYLRRRRKLLRSGTVIENVLVDLKDTNVNGLQNDGVMEHDLRVFSYASVMAATDNFSIENKLGEGGFGPVYKGKLESGQEIAVKTLSRCSGQGTSEFKNELILISELQHTNLVRLFGFCIHNEERMLIYENMPNKSLDYLLFGTDPTRGLLLDWKARFGIIEGIAQGLLYLHKYSRLRVIHRDLKASNILLDENMDPKISDFGMARAFVHNELEASTDRIVGTLGYMSPEYAMEGIFSPKSDVYSFGVLMLEIICGRKNSSFYNDDHVISIVGYAWELWKEGAGLELMDPTLGESCVADQLLRCVHVSLLCVEENAVDRPTMSDVISMLTNEGVPLPIPTKPAFFTKRRLEIFFLSTWHLVIGSWNICSHKCPIFCMAFVRHEGDEKYFSGFPTTYGLSSLSWTHFANWAPDWSKLLTFS >ONI10746 pep chromosome:Prunus_persica_NCBIv2:G4:3141301:3142516:-1 gene:PRUPE_4G065600 transcript:ONI10746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLLPTLLLLLLLLLFSTTFSASAPPLRPTPSPTSSPTPPKSTTPKAPSPTSSPTPKTPSPTSSPTPPKSTTPKTPSPISSPTPPNSSTPKTPSSPSSSSLDPKQLRALQSLNIPTSKDPCTQPSLLLPNTTLCDSSKPFRHILSLRLANCSDDVALSFTALKSLSTLQSLQFFKLPHCPYPLPGRSRLVHVDLSSNQLNGTIPKFLSDMKSLKHLNLANNDFHGVVPFNVSFINKLAVFKISRNSNLCYNHSVLSSKLKLGISPCDKHGLPMSPPPAKGDSSAEDSSDSDYDDSDGDDSDQKKDRHHGPSKVVLGVAIGLSSIVFLIIFLVLLSKWCG >ONI13006 pep chromosome:Prunus_persica_NCBIv2:G4:12016984:12021698:1 gene:PRUPE_4G196900 transcript:ONI13006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTICIWNVWSSDQKVARVLNFHNAAIKDVKWSGQGLFVLSCGYDSSSKLVDVERGIETQVYKEDQVVSVVKFHPDNFNLFVSGGSKGGLRLWDVRNGKVVHEYVRGHDPILDVEFTTNGKQIISSSDVSGRNLSENSIVVWDVSRQVPLSNQVYVEAYTCPCVRCHTFEPFFVAQSNGNYIAIFSSIPPFKLNKYKRYESHGVSGFPIKCVFSVDGEKLISGSSDGSIYFYDYRSSELVRKIKAYEQACIDIAIHPSIPNVIASCSWNGEVSVFE >ONI13004 pep chromosome:Prunus_persica_NCBIv2:G4:12017532:12021698:1 gene:PRUPE_4G196900 transcript:ONI13004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLAVFGSISDSDVPRDIKSLLRNKAKKGRAQLGQMPQRMSVPLSRHTKAVNAVHWSPTHAHLLASASMDQTICIWNVWSSDQKVARVLNFHNAAIKDVKWSGQGLFVLSCGYDSSSKLVDVERGIETQVYKEDQVVSVVKFHPDNFNLFVSGGSKGGLRLWDVRNGKVVHEYVRGHDPILDVEFTTNGKQIISSSDVSGRNLSENSIVVWDVSRQVPLSNQVYVEAYTCPCVRCHTFEPFFVAQSNGNYIAIFSSIPPFKLNKYKRYESHGVSGFPIKCVFSVDGEKLISGSSDGSIYFYDYRSSELVRKIKAYEQACIDIAIHPSIPNVIASCSWNGEVSVFE >ONI13002 pep chromosome:Prunus_persica_NCBIv2:G4:12016983:12021698:1 gene:PRUPE_4G196900 transcript:ONI13002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLCNAYSNASDNEEEEEESAKQPRPENFTPPNSKRLKPVNPFTHTKTQNPLSGSTQFPARHIEAPVPGRYVSKRERSLLGSQPTASDPNPDALLLTTSPVFGSISDSDVPRDIKSLLRNKAKKGRAQLGQMPQRMSVPLSRHTKAVNAVHWSPTHAHLLASASMDQTICIWNVWSSDQKVARVLNFHNAAIKDVKWSGQGLFVLSCGYDSSSKLVDVERGIETQVYKEDQVVSVVKFHPDNFNLFVSGGSKGGLRLWDVRNGKVVHEYVRGHDPILDVEFTTNGKQIISSSDVSGRNLSENSIVVWDVSRQVPLSNQVYVEAYTCPCVRCHTFEPFFVAQSNGNYIAIFSSIPPFKLNKYKRYESHGVSGFPIKCVFSVDGEKLISGSSDGSIYFYDYRSSELVRKIKAYEQACIDIAIHPSIPNVIASCSWNGEVSVFE >ONI13005 pep chromosome:Prunus_persica_NCBIv2:G4:12017017:12021698:1 gene:PRUPE_4G196900 transcript:ONI13005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIGHQLMSTAHLLASASMDQTICIWNVWSSDQKVARVLNFHNAAIKDVKWSGQGLFVLSCGYDSSSKLVDVERGIETQVYKEDQVVSVVKFHPDNFNLFVSGGSKGGLRLWDVRNGKVVHEYVRGHDPILDVEFTTNGKQIISSSDVSGRNLSENSIVVWDVSRQVPLSNQVYVEAYTCPCVRCHTFEPFFVAQSNGNYIAIFSSIPPFKLNKYKRYESHGVSGFPIKCVFSVDGEKLISGSSDGSIYFYDYRSSELVRKIKAYEQACIDIAIHPSIPNVIASCSWNGEVSVFE >ONI13003 pep chromosome:Prunus_persica_NCBIv2:G4:12016984:12021698:1 gene:PRUPE_4G196900 transcript:ONI13003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLCNAYSNASDNEEEEEESAKQPRPENFTPPNSKRLKPVNPFTHTKTQNPLSGSTQFPARHIEAPVPGRYVSKRERSLLGSQPTASDPNPDALLLTTSPAHLLASASMDQTICIWNVWSSDQKVARVLNFHNAAIKDVKWSGQGLFVLSCGYDSSSKLVDVERGIETQVYKEDQVVSVVKFHPDNFNLFVSGGSKGGLRLWDVRNGKVVHEYVRGHDPILDVEFTTNGKQIISSSDVSGRNLSENSIVVWDVSRQVPLSNQVYVEAYTCPCVRCHTFEPFFVAQSNGNYIAIFSSIPPFKLNKYKRYESHGVSGFPIKCVFSVDGEKLISGSSDGSIYFYDYRSSELVRKIKAYEQACIDIAIHPSIPNVIASCSWNGEVSVFE >ONI10761 pep chromosome:Prunus_persica_NCBIv2:G4:3229950:3234195:-1 gene:PRUPE_4G066900 transcript:ONI10761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGVIKLSSPLSSSALNLQDYSSPLGRNHFKKSLHPQLLSSPLPKPFLQLNDRPHSFPYQQNSDHLSPRTYRRSISAEAGKQSWDLGRFLKTIFFFNEPPTPAKFFEFVSEKLSSPSPSKPEKKMETSGIVLVAGATGGVGRRVVDVLRKKGLPVRVLVRNEEKARKMLGPDVDLIVGDITKESTLVPEYFKGVRRVINAVSVIVGPKEGDTPDRQKYSQGIKFFEPEIKGDSPEKVEYIGIRNLINAVKGSVGLQDGKLLFGFEDNNYRELAWGALDDVVMGGVSESTFQIDPNGGENGGPTGIFKGVVSTANNGGFTSIRTKNLSTAEDLSAYDGLELRLKGDGRRYKLIVRTSRDWDTVGYTSGFDTVGDQWQTVRVPFSSLKPIFRARTVSDAPPFDPSNIVSLQLMFSKFEYDGKLNPTFVEGAFKLPLSSIRAYLKEPITPRFVHVGSAGVTRPDRPGLDLSKQPPAVRLNKELDFILTFKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVAQICVAALESHYASGKTFEVKSVVPFSEPFTVDPQNPPPEKDYNVYFKTLKDGITGKEILEQDPVPV >ONI10760 pep chromosome:Prunus_persica_NCBIv2:G4:3229716:3234195:-1 gene:PRUPE_4G066900 transcript:ONI10760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGVIKLSSPLSSSALNLQDYSSPLGRNHFKKSLHPQLLSSPLPKPFLQLNDRPHSFPYQQNSDHLSPRTYRRSISAEAGKQSWDLGRFLKTIFFFNEPPTPAKFFEFVSEKLSSPSPSKPEKKMETSGIVLVAGATGGVGRRVVDVLRKKGLPVRVLVRNEEKARKMLGPDVDLIVGDITKESTLVPEYFKGVRRVINAVSVIVGPKEGDTPDRQKYSQGIKFFEPEIKGDSPEKVEYIGIRNLINAVKGSVGLQDGKLLFGFEDNNYRELAWGALDDVVMGGVSESTFQIDPNGGENGGPTGIFKGVVSTANNGGFTSIRTKNLSTAEDLSAYDGLELRLKGDGRRYKLIVRTSRDWDTVGYTSGFDTVGDQWQTLMFSKFEYDGKLNPTFVEGAFKLPLSSIRAYLKEPITPRFVHVGSAGVTRPDRPGLDLSKQPPAVRLNKELDFILTFKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVAQICVAALESHYASGKTFEVKSVVPFSEPFTVDPQNPPPEKDYNVYFKTLKDGITGKEILEQDPVPV >ONI10964 pep chromosome:Prunus_persica_NCBIv2:G4:3841941:3843914:-1 gene:PRUPE_4G079200 transcript:ONI10964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFKFHQYQVVGRKLPTASDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYIEMASRHRVRFPCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFRKVRPPSRKLKTTYKASRPNLFV >ONI12426 pep chromosome:Prunus_persica_NCBIv2:G4:9547353:9549781:1 gene:PRUPE_4G164300 transcript:ONI12426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSFTSFYETWFEQLHNLVHQLSTCPRPPTTPDHHHHLLHVVQKVMSHYDEYYRVKALAAERDALAVFVAPWATTLERSLHWIAGWRPTTAFHLVYSESSIHFEAHIVDILRGFSTGDLGDLSPSQFRRVSELQCETVKEENAISEELSEWQEGASELMGACTNLDMKMGRLVTVLKKADNLRLRTVRKVVELLTPQQAVEFLIAAAELQFGVRGWGMNQDRQRGNV >ONI12427 pep chromosome:Prunus_persica_NCBIv2:G4:9547353:9549516:1 gene:PRUPE_4G164300 transcript:ONI12427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSFTSFYETWFEQLHNLVHQLSTCPRPPTTPDHHHHLLHVVQKVMSHYDEYYRVKALAAERDALAVFVAPWATTLERSLHWIAGWRPTTAFHLVYSESSIHFEAHIVDILRGFSTGDLGDLSPSQFRRVSELQCETVKEENAISEELSEWQEGASELMGACTNLDMKMGRLVTVLKKADNLRLRTVRKVVELLTPQQAVEFLIAAAELQFGVRGWGMNQDRQRGNV >ONI10692 pep chromosome:Prunus_persica_NCBIv2:G4:2979128:2982751:1 gene:PRUPE_4G062200 transcript:ONI10692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHKIELAFAFFIWASLACLSSCHSLPSEYSIVEQNEVLNNFPAEERVVELFRLWKQKHGKVYRQAEESERRFENFKRNLKFVLEKTAKKRAANNAHDSQRVGLNRFADMSNEEFRKTYLSKKLKMPTNKRNSMMRRMHEEPVHSCEAPSALDWRKKGAVTGVKDQGSCGSCWAFSTTGAIEGINAIATGELISLSEQELVDCDGTNEGCDGGYMDYAFEWVIDNGGIDTEKNYPYTGVDGTCNVTKEETKVVTIDGYEDVGETDGDLLCAAVQQPFSVGIDGSAWDFQLYTGGIYDGDCSDNPDDIDHAPLVVGYGSEGDEDYWIVKNSWGTSWGMDGYIYIRRNTNLKYGVCAINAMASYPTKESSAPSPTAPPPPPTPVSPPPPPTPPTPVTPPPPPSPSPSDCGDFSYCPSDETCCCLFEFLDYCLIYGCCEYQNAVCCTGTDYCCPSDYPICDVEDGLCLKNAGDFWGVSAKKRKMAKHKLPWTKVEQTEKTYHPLQWKMNRFAAMR >ONI10691 pep chromosome:Prunus_persica_NCBIv2:G4:2979128:2982751:1 gene:PRUPE_4G062200 transcript:ONI10691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHKIELAFAFFIWASLACLSSCHSLPSEYSIVEQNEVLNNFPAEERVVELFRLWKQKHGKVYRQAEESERRFENFKRNLKFVLEKTAKKRAANNAHDSQRVGLNRFADMSNEEFRKTYLSKKLKMPTNKRNSMMRRMHEEPVHSCEAPSALDWRKKGAVTGVKDQGSCGSCWAFSTTGAIEGINAIATGELISLSEQELVDCDGTNEGCDGGYMDYAFEWVIDNGGIDTEKNYPYTGVDGTCNVTKEETKVVTIDGYEDVGETDGDLLCAAVQQPFSVGIDGSAWDFQLYTGGIYDGDCSDNPDDIDHAPLVVGYGSEGDEDYWIVKNSWGTSWGMDGYIYIRRNTNLKYGVCAINAMASYPTKESSAPSPTAPPPPPTPVSPPPPPTPPTPVTPPPPPSPSPSDCGDFSYCPSDETCCCLFEFLDYCLIYGCCEYQNAVCCTGTDYCCPSDYPICDVEDGLCLKNAGDFWGVSAKKRKMAKHKLPWTKVEQTEKTYHPLQWKMNRFAAMR >ONI10693 pep chromosome:Prunus_persica_NCBIv2:G4:2979128:2982751:1 gene:PRUPE_4G062200 transcript:ONI10693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHKIELAFAFFIWASLACLSSCHSLPSEYSIVEQNEVLNNFPAEERVVELFRLWKQKHGKVYRQAEESERRFENFKRNLKFVLEKTAKKRAANNAHDSQRVGLNRFADMSNEEFRKTYLSKKLKMPTNKRNSMMRRMHEEPVHSCEAPSALDWRKKGAVTGVKDQGSCGSCWAFSTTGAIEGINAIATGELISLSEQELVDCDGTNEGCDGGYMDYAFEWVIDNGGIDTEKNYPYTGVDGTCNVTKEETKVVTIDGYEDVGETDGDLLCAAVQQPFSVGIDGSAWDFQLYTGGIYDGDCSDNPDDIDHAPLVVGYGSEGDEDYWIVKNSWGTSWGMDGYIYIRRNTNLKYGVCAINAMASYPTKESSAPSPTAPPPPPTPVSPPPPPTPPTPVTPPPPPSPSPSDCGDFSYCPSDETCCCLFEFLDYCLIYGCCEYQNAVCCTGTDYCCPSDYPICDVEDGLCLKNAGDFWGVSAKKRKMAKHKLPWTKVEQTEKTYHPLQWKMNRFAAMR >ONI10589 pep chromosome:Prunus_persica_NCBIv2:G4:2677594:2679479:-1 gene:PRUPE_4G055800 transcript:ONI10589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQTWPIMVEKKGTLVIVELALLLLLPLLSGALDIVKAREIAARYNVTCILVFGDSSVDSGNNNFIVTTIKSNFLPYGKDFFNGRPTGRFSNGRLATDFIADAIGYTKTIPPFLDPNLKLEDLPHGASFASAASGYDDFTANISSVLSLPRQLEYLMHYKVKLRKLVGQKRAEDIIRTALFVMSMGTNDFLQDYYLDPTRPKQFTVEEYQNYLVSCMANAIQKMQRLGGTRLVVVGVPPLGCMPLVKTIMDVTTCAENYNKVAFSLNSKIQEKLETISATSRMETAFVDAYGIIQNAINNPALYGLSETSKGCCGTGTIEFGDSCRGLETCTDPEKYVFWDAVHPTEKMYKILAEEAIKALAQKLLV >ONI13327 pep chromosome:Prunus_persica_NCBIv2:G4:13494097:13500772:-1 gene:PRUPE_4G215800 transcript:ONI13327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIVRRKKKGRPSKADLARRSGELPAKSVKKDTDVRRSLRRRNVKYNIDYDDYLDEEYEDEEEEEEERRREKKVKLVVKLDEGRNGSARDSHAHETGEEEEEEEEEEEEEDGESERKPLKKRRINGGDDSDKDDDENDDDDDDCEERGRKADSKRQGLLPETPSDPQPGIPLPDKKTLELILDKLQKKDTYGVYAEPVDPEELPDYHDVIKHPMDFATVRKQLENGSYSTLEQFEGDVFLICSNAMQYNSSDTIYYKQACSIQELARKKFERLRIDYERSEKELKLVQKTNSNSLVKKQTKKPQCRTLQEPVGSDFSSGATLATAGDVQNSSRPTQGSVCERPSNIDGPVEGNSSLNEANMEKAEDMSSGKGHLSKVGRKPSVVDENRRATYNISTQPVIRSESIFTTFDGEIKQFVAVGLHAEYSYARSLARFSGSLGPVAWKVASKRIEQALPDGCKFGRGWVGEYEPLPTPVLMIENCTQNQSVSASKFYSHPNLRKDDRTLRTSVSAKVHPVTGPVTEERQHSVSVPTSGGRPSFFGSPRGHYTEGKPSVIGPVGAKPGTAVNAVHPQKNPQSRFIGPENKVQREVELNSAPSVNQNNANLVAEKQLSRNLETTSSRSRDTVSRNMNLSQPVPFKMPDSNGIVTRGLPNGKAASASLDNRMISPSDSAPSQSERTSAFFPHGQEQGLSDPVQLMKKLAEKTHKQQKSSNQSSVDTQPVVPSVPSVRRDDSNNAAAAAARAWMSIGAGAFKQPTENLTKTKSQISADSLYNPAREFQSQLSRVRGEFPLQFQTQNNFSFPTFLPQPVRIGNEPQFQSRPTVVPQLAAADLSRFQVQSPWQGLSPHAQPRPRQKQESLPPDLNIGFQSPGSPVKQSSGLLVDSQQPDLALQL >ONI12459 pep chromosome:Prunus_persica_NCBIv2:G4:9646541:9647337:1 gene:PRUPE_4G166300 transcript:ONI12459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHARYDVYRVWDANRGNPVGENATLAFGTDGNRLLADADGRVAWQTNTANKDAVVLHCSKGNFVWQSFNYPTDTLLVGQALRAGSMSNKLVIWASEKDNSNGPYILVLDNVALSFQPTSELDEAYAFSISLKQTRMSSLIGPEILARPKYHSTFSFFRLGFDGNLRVYTCRSDVGNLGKIASITKVDGVDHFMSKFFTAGVAMKEKDCASKCTLDCKCLGYF >ONI10039 pep chromosome:Prunus_persica_NCBIv2:G4:1150368:1151080:-1 gene:PRUPE_4G024300 transcript:ONI10039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILALHAVLVVEMCFSILIVSCNHISLGGSSASSSLFPSNFLFGTASSSYQFEGAFLNDGKGLNNWDVFTHKPG >ONI14518 pep chromosome:Prunus_persica_NCBIv2:G4:24678427:24681589:1 gene:PRUPE_4G284700 transcript:ONI14518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLKKDSATFEERFTGQVWFQCVFAAITLILLAGSVLGRMNFKAWMMFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGIAVFTTAYWVGPRVKKDRERFPPNNVLLVLAGAGLLWMGWAGFNGGDPYAANTDSSMAVLNTNICAATSFLVWTWLDVIFFEKPSVIGAVQGMITGLVCITPAAGLVQGWAAIVMGVMSGSVPWFTMMIVDKRWKLLSAVDDTLGVVHTHAVAGFLGGVLTGLFAEPELFLRCIVPLRMPEEQLLIGDDAVHGEEAYALWGDGEKYDVTRHELYSDDTSHAQKYPSSGATQVV >ONI13568 pep chromosome:Prunus_persica_NCBIv2:G4:14794681:14796205:1 gene:PRUPE_4G230900 transcript:ONI13568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCESVVNLPVGFKFRPRDDQLLGYYLLNKVRGTSFMYDNVIPEMDLYGKIEPWDIWHEYGGRNLAKSEDLYFFTKLKSLSDKDSRVARTIGSGTWKGENSGTPVSDPKNEENDLGIWKRFHYENPKSVQDGCWIMHEYSLHPSLVKPKPNSTDQFVLCRIRKNDKGKRKLRTAEEDNETDNPVQSQNKRQRPQQVTSFEEFIGNCTPMSEATGIGGSVSYLPTGLTQSQPDSSFAYPTTVVSSQARENYTDDVSQFHGGSDGDALMSDFSHLDTAPPFTEQALGSVCNQERASDVYEAQQGLGLIDNNIGHWPNPFGSEEDQVNVFDFWMDYDLLNHLIDYDDDNDGPRQSSTAQFMGMGMEKTSTASSEANVVIID >ONI11700 pep chromosome:Prunus_persica_NCBIv2:G4:6568822:6571809:1 gene:PRUPE_4G121200 transcript:ONI11700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHDIGHLAMDASTWITQHEWAWPFKEPVDVESLGLHDYYQVIEKPMDFGTIKNKMEAKDGTAYKNVREIYADVRLVFKNAMKYNDDKDDVHVMAKTLLEKFEEKWLKLLPKVVEEEKRRVDEEAEARLHTKLAQEAAYASMAKDLSTELVEIDLYLKDLREMVVQKCRKMSSGDKRKLGTALTHLSPDDLSKALEIVAQNNPSFQPSAQEVDLDIDAQSECTLWRLKVFVKEALKVQGKSSEGIAGNKVTASKRNREISDAVPKTNAKRTKKLSCL >ONI11699 pep chromosome:Prunus_persica_NCBIv2:G4:6568440:6572139:1 gene:PRUPE_4G121200 transcript:ONI11699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHDIGHLAMDASTWITQHEWAWPFKEPVDVESLGLHDYYQVIEKPMDFGTIKNKMEAKDGTAYKNVREIYADVRLVFKNAMKYNDDKDDVHVMAKTLLEKFEEKWLKLLPKVVEEEKRRVDEEAEARLHTKLAQEAAYASMAKDLSTELVEIDLYLKDLREMVVQKCRKMSSGDKRKLGTALTHLSPDDLSKALEIVAQNNPSFQPSAQEVDLDIDAQSECTLWRLKVFVKEALKVQGKSSEGIAGNKVTASKRNREISDAVPKTNAKRTKKLSCL >ONI11697 pep chromosome:Prunus_persica_NCBIv2:G4:6568379:6572139:1 gene:PRUPE_4G121200 transcript:ONI11697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKRVNEVERFYLTTGSPQLTNSKGSSIVKDKQLNLLKKQQQDASRREVTATKRMQELMRQFASIFRQITQHEWAWPFKEPVDVESLGLHDYYQVIEKPMDFGTIKNKMEAKDGTAYKNVREIYADVRLVFKNAMKYNDDKDDVHVMAKTLLEKFEEKWLKLLPKVVEEEKRRVDEEAEARLHTKLAQEAAYASMAKDLSTELVEIDLYLKDLREMVVQKCRKMSSGDKRKLGTALTHLSPDDLSKALEIVAQNNPSFQPSAQEVDLDIDAQSECTLWRLKVFVKEALKVQGKSSEGIAGNKVTASKRNREISDAVPKTNAKRTKKLSCL >ONI11696 pep chromosome:Prunus_persica_NCBIv2:G4:6567734:6572181:1 gene:PRUPE_4G121200 transcript:ONI11696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPISSSNPDLRKVAVAAEVEGFGCSVDEISAKVDTLEKRVNEVERFYLTTGSPQLTNSKGSSIVKDKQLNLLKKQQQDASRREVTATKRMQELMRQFASIFRQVIEKPMDFGTIKNKMEAKDGTAYKNVREIYADVRLVFKNAMKYNDDKDDVHVMAKTLLEKFEEKWLKLLPKVVEEEKRRVDEEAEARLHTKLAQEAAYASMAKDLSTELVEIDLYLKDLREMVVQKCRKMSSGDKRKLGTALTHLSPDDLSKALEIVAQNNPSFQPSAQEVDLDIDAQSECTLWRLKVFVKEALKVQGKSSEGIAGNKVTASKRNREISDAVPKTNAKRTKKLSCL >ONI11694 pep chromosome:Prunus_persica_NCBIv2:G4:6567732:6572139:1 gene:PRUPE_4G121200 transcript:ONI11694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPISSSNPDLRKVAVAAEVEGFGCSVDEISAKVDTLEKRVNEVERFYLTTGSPQLTNSKGSSIVKDKQLNLLKKQQQDASRREVTATKRMQELMRQFASIFRQITQHEWAWPFKEPVDVESLGLHDYYQVIEKPMDFGTIKNKMEAKDGTAYKNVREIYADVRLVFKNAMKYNDDKDDVHVMAKTLLEKFEEKWLKLLPKVVEEEKRRVDEEAEARLHTKLAQEAAYASMAKDLSTELVEIDLYLKDLREMVVQKCRKMSSGDKRKLGTALTHLSPDDLSKALEIVAQNNPSFQPSAQEVDLDIDAQSECTLWRLKVFVKEALKVQGKSSEGIAGNKVTASKRNREISDAVPKTNAKRTKKLSCL >ONI11695 pep chromosome:Prunus_persica_NCBIv2:G4:6567732:6572181:1 gene:PRUPE_4G121200 transcript:ONI11695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPISSSNPDLRKVAVAAEVEGFGCSVDEISAKVDTLEKRVNEVERFYLTTGSPQLTNSKGSSIVKDKQLNLLKKQQQDASRREVTATKRMQELMRQFASIFRQITQHEWAWPFKEPVDVESLGLHDYYQVIEKPMDFGTIKNKMEAKDGTAYKNVREIYADVRLVFKNAMKYNDDKDDVHVMAKTLLEKFEEKWLKLLPKVVEEEKRRVDEEAEARLHTKLAQEAAYASMAKDLSTELVEIDLYLKDLREMVVQKCRKMSSGDKRKLGTALTHLSPDDLSKALEIVAQNNPSFQPSAQEVDLDIDAQSECTLWRLKVFVKEALKVQGKSSEGIAGNKVTASKRNREISDAVPKTNAKRTKKLSCL >ONI11698 pep chromosome:Prunus_persica_NCBIv2:G4:6568185:6571809:1 gene:PRUPE_4G121200 transcript:ONI11698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHVGKSLLQRECKSSCGNLPQYSVRQAPTLSMDASTWITQHEWAWPFKEPVDVESLGLHDYYQVIEKPMDFGTIKNKMEAKDGTAYKNVREIYADVRLVFKNAMKYNDDKDDVHVMAKTLLEKFEEKWLKLLPKVVEEEKRRVDEEAEARLHTKLAQEAAYASMAKDLSTELVEIDLYLKDLREMVVQKCRKMSSGDKRKLGTALTHLSPDDLSKALEIVAQNNPSFQPSAQEVDLDIDAQSECTLWRLKVFVKEALKVQGKSSEGIAGNKVTASKRNREISDAVPKTNAKRTKKLSCL >ONI13067 pep chromosome:Prunus_persica_NCBIv2:G4:12481543:12484036:-1 gene:PRUPE_4G200900 transcript:ONI13067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRNAISRRAHKERAQPESRKKFGLLEKHKDYVERAKAYHKKEETLRRLKEKAAFRNPDEFYFKMIKTRTVDGVHKLESQANKYTPEELLLMKTQDIGYILQKVQSEKKKIEKLTATLHSLDNRPSSRHVYFAEDREEAKEIHSRSKSGTMPASEDIPDHIKRKTAASYRELEARRNRVNELEKIYTDMAMQKELQKKGRKRKLREDEIVCPTSKPVFKWRAERKR >ONI13065 pep chromosome:Prunus_persica_NCBIv2:G4:12481038:12484733:-1 gene:PRUPE_4G200900 transcript:ONI13065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRNAISRRAHKERAQPESRKKFGLLEKHKDYVERAKAYHKKEETLRRLKEKAAFRNPDEFYFKMIKTRTVDGVHKLESQANKYTPEELLLMKTQDIGYILQKVQSEKKKIEKLTATLHSLDNRPSSRHVYFAEDREEAKEIHSRSKSGTMPASEDIPDHIKRKTAASYRELEARRNRVNELEKIYTDMAMQKELQKKGRKRKLREDEIVCPTSKPVFKWRAERKR >ONI13068 pep chromosome:Prunus_persica_NCBIv2:G4:12481138:12484715:-1 gene:PRUPE_4G200900 transcript:ONI13068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRNAISRRAHKERAQPESRKKFGLLEKHKDYVERAKAYHKKEETLRRLKEKAAFRNPDEFYFKMIKTRTVDGVHKLESQANKYTPEELLLMKTQDIGYILQKVQSEKKKIEKLTATLHSLDNRPSSRHVYFAEDREEAKEIHSRSKSGTMPASEDIPDHIKRKTAASYRELEARRNRVNELEKIYTDMAMQKELQKKGRKRKLREDEIVCPTSKPVFKWRAERKR >ONI13066 pep chromosome:Prunus_persica_NCBIv2:G4:12481135:12484715:-1 gene:PRUPE_4G200900 transcript:ONI13066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRNAISRRAHKERAQPESRKKFGLLEKHKDYVERAKAYHKKEETLRRLKEKAAFRNPDEFYFKMIKTRTVDGVHKLESQANKYTPEELLLMKTQDIGYILQKVQSEKKKIEKLTATLHSLDNRPSSRHVYFAEDREEAKEIHSRSKSGTMPASEDIPDHIKRKTAASYRELEARRNRVNELEKIYTDMAMQKELQKKGRKRKLREDEIVCPTSKPVFKWRAERKR >ONI11303 pep chromosome:Prunus_persica_NCBIv2:G4:5124679:5125666:1 gene:PRUPE_4G100400 transcript:ONI11303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSFCPADDWGSLQFLNPDMNMVSFQAQQEQLAAASGILGLQDYSLPNLPDYTTCIDPLFETYESSSSENFLLPDFYLPTENINLSSFQSPEIFPSLDEYGCSYQQNPKRQKFGVCSFFNAYDDQFLQPQLLAPTPSPQTQIPQQPSYECAKMNKPNGGAVTLSAQSIAARERRRRITEKTQELGRLIPGATKMNTAEMLQAAYKYVKFLQAQVSVLKLMTDSPVHQEKKDLQAVASPIVQEKLYAEEKCLVSKEFVQVLANDHDIESKPLLKDSVAQLLQTNG >ONI09678 pep chromosome:Prunus_persica_NCBIv2:G4:209457:214348:1 gene:PRUPE_4G002900 transcript:ONI09678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSGARASSRLQQKIAGAQTVETNDLRQFPPQGVKPTRPELATPEQLSKSIQNLTKQVAVEASDNKRSANSNEKGSADSLINKLNSISLSPNLEKASKEVDSVVNETRGSLESSVDQEKKTSEYGSVKDSSVSAKVSDGASSLAKTSGSAKISDRVDFVESGKSSMCRGSTSSDVSDESTCSSFSSSISKPHKANDLRWEAIQAVRTRDGVLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKTSSMEFEPLRKNPVYCVQPACIEPPSCIQPSCVAPTTCFSPRLFSSKSKKDRKPKNEIGNQVRPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEIERIPAPTASSSEKEKAPTPTAAPDQKGSDNYLEFDFF >ONI09677 pep chromosome:Prunus_persica_NCBIv2:G4:209457:214348:1 gene:PRUPE_4G002900 transcript:ONI09677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSGARASSRLQQKIAGAQTVETNDLRQFPPQGVKPTRPELATPEQLSKSIQNLTKQVAVEASDNKRSANSNEKGSADSLINKLNSISLSPNLEKASKEVDSVVNETRGSLESSVDQEKKTSEYGSVKDSSVSAKVSDGASSLAKTSGSAKISDRVDFVESGKSSMCRGSTSSDVSDESTCSSFSSSISKPHKANDLRWEAIQAVRTRDGVLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEVLLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKTSSMEFEPLRKNPVYCVQPACIEPPSCIQPSCVAPTTCFSPRLFSSKSKKDRKPKNEIGNQVRPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEIERIPAPTASSSEKEKAPTPTAAPDQKGSDNYLEFDFF >ONI11353 pep chromosome:Prunus_persica_NCBIv2:G4:5274505:5276425:-1 gene:PRUPE_4G103200 transcript:ONI11353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLYLALSLGAAFLAFIIFAFKGKSDDGKNLPPGSMGWPIVGETLEFLFGKPEIFVSKRMRRYSPEIFKTKILGEKTAVICGPNGHKFLFSNEQKYFTAFRPHSMQKMFRSYKAPAAAAAAAALPAVAQPARDEEAKVLRSPGFLKPEALVRYLGVMDSITQAQMKAYWEGKDEVEVYPLTKTLTLGLACRFFLGIDEPDRIARFVSNFDDVTVGMHSLILNFPGTTFYKATKAADELRRELKIVIQEKKAAMASGAPMHDILSHMIVASDPTGKHMAEAEIADKIMGLLTAGYSTVATAMTFFMKYVGERPDIYAKVLAEHKEIADSKKPGQFLEWDDINKMKYSWNVLYEVMRFTPPLQGTFREALTDFTYAGYTIPKGWKVYWTVSTTNMNPEYFPNPEKFDPSRYDDLNAFPAFTFVPFGGGPRMCPGKEYARLAILTFVHNVVMRFKWEVLFPNEKITGDMMPTPEKGLPVRLHRH >ONI14361 pep chromosome:Prunus_persica_NCBIv2:G4:23151460:23152580:-1 gene:PRUPE_4G277100 transcript:ONI14361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFYFFFDFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVSSLHQSNYTWSSYKVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYRACIYFSVLISLLSVMYCLLT >ONI14357 pep chromosome:Prunus_persica_NCBIv2:G4:23151169:23152940:-1 gene:PRUPE_4G277100 transcript:ONI14357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFRNLAAASNTHSPYASLSHPPLSLNFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVSSLHQSNYTWSSYKVRAYLQRQWKQILSGRVSLQDFVSAKEN >ONI14350 pep chromosome:Prunus_persica_NCBIv2:G4:23151169:23152940:-1 gene:PRUPE_4G277100 transcript:ONI14350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFRNLAAASNTHSPYASLSHPPLSLNFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYQLALFLF >ONI14355 pep chromosome:Prunus_persica_NCBIv2:G4:23151392:23152580:-1 gene:PRUPE_4G277100 transcript:ONI14355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFYFFFGINYHMGFWYFVNVFQFSRHVVFSIVNLSLFVSDFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVSSLHQSNYTWSSYKVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYQLALFLF >ONI14358 pep chromosome:Prunus_persica_NCBIv2:G4:23151169:23152940:-1 gene:PRUPE_4G277100 transcript:ONI14358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFYFFFDFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVSSLHQSNYTWSSYKVRAYLQRQWKQILSGRVSLQDFVSAKEN >ONI14362 pep chromosome:Prunus_persica_NCBIv2:G4:23151460:23152580:-1 gene:PRUPE_4G277100 transcript:ONI14362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFYFFFGINYHMGFWYFVNVFQFSRHVVFSIVNLSLFVSDFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYRACIYFSVLISLLSVMYCLLT >ONI14359 pep chromosome:Prunus_persica_NCBIv2:G4:23151175:23152940:-1 gene:PRUPE_4G277100 transcript:ONI14359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFYFFFGINYHMGFWYFVNVFQFSRHVVFSIVNLSLFVSDFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVRAYLQRQWKQILSGRVSLQDFVSAKEN >ONI14360 pep chromosome:Prunus_persica_NCBIv2:G4:23151460:23152580:-1 gene:PRUPE_4G277100 transcript:ONI14360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFYFFFDFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYRACIYFSVLISLLSVMYCLLT >ONI14352 pep chromosome:Prunus_persica_NCBIv2:G4:23151169:23152940:-1 gene:PRUPE_4G277100 transcript:ONI14352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFYFFFDFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYQLALFLF >ONI14354 pep chromosome:Prunus_persica_NCBIv2:G4:23151170:23152940:-1 gene:PRUPE_4G277100 transcript:ONI14354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFYFFFGINYHMGFWYFVNVFQFSRHVVFSIVNLSLFVSDFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYQLALFLF >ONI14365 pep chromosome:Prunus_persica_NCBIv2:G4:23151460:23152433:-1 gene:PRUPE_4G277100 transcript:ONI14365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVSSLHQSNYTWSSYKVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYRACIYFSVLISLLSVMYCLLT >ONI14351 pep chromosome:Prunus_persica_NCBIv2:G4:23151170:23152940:-1 gene:PRUPE_4G277100 transcript:ONI14351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFRNLAAASNTHSPYASLSHPPLSLNFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVSSLHQSNYTWSSYKVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYQLALFLF >ONI14363 pep chromosome:Prunus_persica_NCBIv2:G4:23151460:23152580:-1 gene:PRUPE_4G277100 transcript:ONI14363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFYFFFGINYHMGFWYFVNVFQFSRHVVFSIVNLSLFVSDFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVSSLHQSNYTWSSYKVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYRACIYFSVLISLLSVMYCLLT >ONI14356 pep chromosome:Prunus_persica_NCBIv2:G4:23151392:23152433:-1 gene:PRUPE_4G277100 transcript:ONI14356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVSSLHQSNYTWSSYKVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYQLALFLF >ONI14353 pep chromosome:Prunus_persica_NCBIv2:G4:23151392:23152580:-1 gene:PRUPE_4G277100 transcript:ONI14353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFYFFFDFIWVAEKMHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVSSLHQSNYTWSSYKVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYQLALFLF >ONI14364 pep chromosome:Prunus_persica_NCBIv2:G4:23151460:23152433:-1 gene:PRUPE_4G277100 transcript:ONI14364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHICQLCILLAVIWLYRFCHEASTMMRDIPSLSFLCAGIYLVRAYLQRQWKQILSGRVSLQDFVSAKEVCLGTYRACIYFSVLISLLSVMYCLLT >ONI10234 pep chromosome:Prunus_persica_NCBIv2:G4:1696372:1698582:1 gene:PRUPE_4G035900 transcript:ONI10234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLANDKREREMYDSFAELYAIIKTTEKLEKAYIRDVISSSAYETECQKLIAHFKTLASTLKDTIPSIERFAETYKMDCPAAINRLVTSGVPATVEHRAAAAASMTSSAAAVAECTQNFITAMDSLKLNMVAVDQVHPLLSDLLTSLGKLTFLPPDFLGKVKLKEWIARLSKMGAADELTEQQSRQLHFDLESSYNSFMAALPNYGT >ONI10233 pep chromosome:Prunus_persica_NCBIv2:G4:1696372:1698582:1 gene:PRUPE_4G035900 transcript:ONI10233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLANDKREREMYDSFAELYAIIKTTEKLEKAYIRDVISSSAYETECQKLIAHFKTLASTLKDTIPSIERFAETYKMDCPAAINRLVTSGVPATVEHRAAAAASMTSSAAAVAECTQNFITAMDSLKLNMVAVDQVHPLLSDLLTSLGKLTFLPPDFLGKVKLKEWIARLSKMGAADELTEQQSRQLHFDLESSYNSFMAALPNYGT >ONI10050 pep chromosome:Prunus_persica_NCBIv2:G4:1177021:1181467:-1 gene:PRUPE_4G024800 transcript:ONI10050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPSMFENHHHILDHMTTSSTHKASESTEVLGKSRLLDDDFETKSGTETTTDAPSGDEQDPNNTGPRPKRKRYHRHTQRQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQQERHENSILKSENDKLRAENNRYKEALGNATCPNCGGPAALGEMSFDEQHLRIENARLREEIDRISSIAAKYVGKPLASSFSSHIPPHVPSRSLDLGVGSFGAQSGFVGEMYGSSSDLLRSVSVPTDADKPMIIELAVAAMEELIRMAQAGEPLWVPGDHNSSHNHEILNEDEYLRTFPRGIGPTPLGLKSEASRESALVIMNHVNLVEILMDVNQWSTVFCGIVSRAMTLDILSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHVDGTWAVVDVSLDNLRPSPISRSRRRPSGCLIQELPNGYSKVIWVEHVEVDDRSVHNIYRPLVNSGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAQRMVMSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPPKRVFDFLRDENSRTEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPTGPAGGGGGGILDVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIKCTVERIRAAVTCEQNA >ONI10048 pep chromosome:Prunus_persica_NCBIv2:G4:1177021:1182858:-1 gene:PRUPE_4G024800 transcript:ONI10048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPSMFENHHHILDHMTTSSTHKASESTEVLGKSRLLDDDFETKSGTETTTDAPSGDEQDPNNTGPRPKRKRYHRHTQRQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQQERHENSILKSENDKLRAENNRYKEALGNATCPNCGGPAALGEMSFDEQHLRIENARLREEIDRISSIAAKYVGKPLASSFSSHIPPHVPSRSLDLGVGSFGAQSGFVGEMYGSSSDLLRSVSVPTDADKPMIIELAVAAMEELIRMAQAGEPLWVPGDHNSSHNHEILNEDEYLRTFPRGIGPTPLGLKSEASRESALVIMNHVNLVEILMDVNQWSTVFCGIVSRAMTLDILSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHVDGTWAVVDVSLDNLRPSPISRSRRRPSGCLIQELPNGYSKVIWVEHVEVDDRSVHNIYRPLVNSGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAQRMVMSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPPKRVFDFLRDENSRTEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPTGPAGGGGGGILDVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIKCTVERIRAAVTCEQNA >ONI10049 pep chromosome:Prunus_persica_NCBIv2:G4:1177023:1182837:-1 gene:PRUPE_4G024800 transcript:ONI10049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPSMFENHHHILDHMTTSSTHKASESTEVLGKSRLLDDDFETKSGTETTTDAPSGDEQDPNNTGPRPKRKRYHRHTQRQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQQERHENSILKSENDKLRAENNRYKEALGNATCPNCGGPAALGEMSFDEQHLRIENARLREEIDRISSIAAKYVGKPLASSFSSHIPPHVPSRSLDLGVGSFGAQSGFVGEMYGSSSDLLRSVSVPTDADKPMIIELAVAAMEELIRMAQAGEPLWVPGDHNSSHNHEILNEDEYLRTFPRGIGPTPLGLKSEASRESALVIMNHVNLVEILMDVNQWSTVFCGIVSRAMTLDILSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHVDGTWAVVDVSLDNLRPSPISRSRRRPSGCLIQELPNGYSKVIWVEHVEVDDRSVHNIYRPLVNSGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAQRMVMSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPPKRVFDFLRDENSRTEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGPTGPAGGGGGGILDVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIKCTVERIRAAVTCEQNA >ONI10404 pep chromosome:Prunus_persica_NCBIv2:G4:2162412:2163464:1 gene:PRUPE_4G045100 transcript:ONI10404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGCNTDFQKVFDLILKVPVKGNLKPEDMIKRVFVFSDMEFAEASSSSRWETDYAVIQKKFEKQGYGNAVPQIVFWNLRHSKATPVVTGTQSGVALLSGFSKNLLKLFLDNDGEVQPDLAMEAAISGQEYQKLVVLD >ONI10865 pep chromosome:Prunus_persica_NCBIv2:G4:3574798:3578604:-1 gene:PRUPE_4G073100 transcript:ONI10865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRKQASKLREQVAKQQQAVIKQFSGTGYESSDVMVIDEVEMQRHQQLEKLYRSTRAGKDFQKEVVRAAEVFTAIGYKHIEAGTKLSEDCSRYGAENTNDKILAKGASIYGDARKHVEKEQEDFNKLLSSQILDPLRAMIAGAPLEDARHLAQRYSRMRQEAETQAVEVSRRQARVRELPNPENVAKLQAAEAKMKELKANMAVLGIEASAALAAVEAQQQRLTFQRLVALVEGEKTYHLRVAAILGEVEAEMVSEKQRKESAPPVIPQENSSEKTMYFLAEATHSFSAASEKELSLSVGDYVVVRKVSPSGWSEGECKGKGGWFPSAYVEKRQRLPASDLSAEVY >ONI12586 pep chromosome:Prunus_persica_NCBIv2:G4:10261769:10264140:-1 gene:PRUPE_4G173400 transcript:ONI12586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTQHLLCSHFPICLSPPQTHFLFPPSLSSSPLNFLYKPVSLCSVSAHSRSRPEQWLAEAPEPTTSIPTAPYTPLEFAPEGPDELPPSSSPVFATTDDPSSIQVATSVLLTGAISVFLFRSLRRRARRAKELVRQITITIRTIINGLCYLATFVFGINAVGLVLYAGQLAINSIMEDTSTETESQGKEQSTVESPPNSGVNSGKDDQSSDETQ >ONI12587 pep chromosome:Prunus_persica_NCBIv2:G4:10262085:10263986:-1 gene:PRUPE_4G173400 transcript:ONI12587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTQHLLCSHFPICLSPPQTHFLFPPSLSSSPLNFLYKPVSLCSVSAHSRSRPEQWLAEAPEPTTSIPTAPYTPLEFAPEGPDELPPSSSPVFATTDDPSSIQVATSVLLTGAISVFLFRSLRRRARRAKELKFRSSGVKKSLKEEALDSLKALSTGSVEEKGPPSPVQALLGGISAGVIALILYKFTTTIEASLNRQTISDNFSVRQITITIRTIINGLCYLATFVFGINAVGLVLYAGQLAINSIMEDTSTETESQGKEQSTVESPPNSGVNSGKDDQSSDETQ >ONI12588 pep chromosome:Prunus_persica_NCBIv2:G4:10261769:10264140:-1 gene:PRUPE_4G173400 transcript:ONI12588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTQHLLCSHFPICLSPPQTHFLFPPSLSSSPLNFLYKPVSLCSVSAHSRSRPEQWLAEAPEPTTSIPTAPYTPLEFAPEGPDELPPSSSPVFATTDDPSSIQVATSVLLTGAISVFLFRSLRRRARRAKELKFRSSGVKKSLKEEALDSLKALSTGSVEEKGPPSPVQALLGGISAGVIALILYKFTTTIEASLNRQTISDNFSVRQITITISPLCWSARHQLHHGRYKYRN >ONI14245 pep chromosome:Prunus_persica_NCBIv2:G4:21897415:21904661:-1 gene:PRUPE_4G270500 transcript:ONI14245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRPWGTVGLKEEVVAHPIAANYEEYTREAFPWKRHTRDISRGDSFNQRTLSLGRNHRVFGNICDSWYLSDQYESKCNDKDITNKILKGIPKFVKIVEVGPRDGLQNEKNIVSASVKIELIHRLVSCGLSVVEATSFVSPKWVPQLADAKDVMEVVHNLEGARLPVLTPNLKGFEAAIAAGAKDIAVFASASESFSKSNINCSIEESLVRYRAVTRAAKELSIPVRGYVSCAIGCPVEGAIPPSRVAYVAKELYNMGCFEISLGDTIGVGTPGTVVPMLEAVIAVVPVEKLAVHFHDTYGQSLSNILVSLQMGISIVDSSIAGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLAKLLMAGDFISKHLGRPSGSKTAVALSRVTADASKI >ONI14244 pep chromosome:Prunus_persica_NCBIv2:G4:21897741:21903053:-1 gene:PRUPE_4G270500 transcript:ONI14244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEEPLGFDKLPSMSTIDRVQRFSSSACRSRADDAAMGNCWIEGRSCSTSNSCNEDYEEYTREAFPWKRHTRDISRGDSFNQRTLSLGRNHRVFGNICDSWYLSDQYESKCNDKDITNKILKGIPKFVKIVEVGPRDGLQNEKNIVSASVKIELIHRLVSCGLSVVEATSFVSPKWVPQLADAKDVMEVVHNLEGARLPVLTPNLKGFEAAIAAGAKDIAVFASASESFSKSNINCSIEESLVRYRAVTRAAKELSIPVRGYVSCAIGCPVEGAIPPSRVAYVAKELYNMGCFEISLGDTIGVGTPGTVVPMLEAVIAVVPVEKLAVHFHDTYGQSLSNILVSLQMGISIVDSSIAGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLAKLLMAGDFISKHLGRPSGSKTAVALSRVTADASKI >ONI14243 pep chromosome:Prunus_persica_NCBIv2:G4:21897415:21904661:-1 gene:PRUPE_4G270500 transcript:ONI14243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEEPLGFDKLPSMSTIDRVQRFSSSACRSRADDAAMGNCWIEGRSCSTSNSCNEDYEEYTREAFPWKRHTRDISRGDSFNQRTLSLGRNHRVFGNICDSWYLSDQYESKCNDKDITNKLADAKDVMEVVHNLEGARLPVLTPNLKGFEAAIAAGAKDIAVFASASESFSKSNINCSIEESLVRYRAVTRAAKELSIPVRGYVSCAIGCPVEGAIPPSRVAYVAKELYNMGCFEISLGDTIGVGTPGTVVPMLEAVIAVVPVEKLAVHFHDTYGQSLSNILVSLQMGISIVDSSIAGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLAKLLMAGDFISKHLGRPSGSKTAVALSRVTADASKI >ONI10439 pep chromosome:Prunus_persica_NCBIv2:G4:2276942:2278457:-1 gene:PRUPE_4G047700 transcript:ONI10439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFIGFFFCLLVRTVTRAVSSNVVTPGFCSSTATEAVTLLFKVALPMAVAPPSSSLSLGIFSSLLKKTKRQRRRRRRRRRKKDYDNYLVVLKFD >ONI12215 pep chromosome:Prunus_persica_NCBIv2:G4:8660429:8661355:1 gene:PRUPE_4G151600 transcript:ONI12215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTALIRNYASMNDHDHDDDLGVDELMGSDGAILKSLLEELQEEDRDEERLNIVIQSLEAELVNIPSTMDSESTVQDVEDGNLSNVVGGLDGQDCSVSSSVDFDQVGWFDVDQVACSPSDDMNWYMDSSCCEYEMDCPAADSELVDDYYCHVSYGVGLEELAYSSLWQENAYDSIMYD >ONI12214 pep chromosome:Prunus_persica_NCBIv2:G4:8660009:8661355:1 gene:PRUPE_4G151600 transcript:ONI12214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTALIRNYASMNDHDHDDDLGVDELMGSDGAILKSLLEELQEEDRDEERLNIVIQSLEAELVNIPSTMDSESTVQDVEDGNLSNVVGGLDGQDCSVSSSVDFDQVGWFDVDQVACSPSDDMNWYMDSSCCEYEMDCPAADSELVDDYYCHVSYGVGLEELAYSSLWQENAYDSIMYD >ONI12752 pep chromosome:Prunus_persica_NCBIv2:G4:10755249:10761107:1 gene:PRUPE_4G181200 transcript:ONI12752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGLRTGSYGSLQQLQLIQNGFSHNLPTPGLTRKSSKLLLSSSREKDRVLPFVCRFLGRKRVTMLLLVVLALLVFVFNSFTVNKESSNVIITQHSESVIPYRKDRSSSSITFAGMEDKHDIKIPSTENTVTGNVKHRILIPSPSHPLIQPSTVVRDHCENFAFPPPPPPTDRKRYGPRPCPVCYLPVEQAIASMPSFSSESSVLRNLTYVYDENPIRIESHRGSEFGGFPTLKQRNDSYDIKESMMVHCGFVKGSKPGHQSGFDVDEADLMELEMFHDIIVASAVFGNYDIIQQPKNISEFSRKNVPFYMFIDEETEAYMTNSSVLGNSKRVGLWRIIVVRNVPYSDARRNGKIPKLLLHRLFPNVRYSLWIDGKLQLVVDPYQILERFLWRQNANFAISRHYKRYDVFEEAEANKAAGKYDNSTINEQIDFYIKEGLQPYSEAKFPITSGIPPRVTGAHASSTSA >ONI12753 pep chromosome:Prunus_persica_NCBIv2:G4:10755998:10760530:1 gene:PRUPE_4G181200 transcript:ONI12753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGLRTGSYGSLQQLQLIQNGFSHNLPTPGLTRKSSKLLLSSSREKDRVLPFVCRFLGRKRVTMLLLVVLALLVFVFNSFTVNKESSNVIITQHSESVIPYRKDRSSSSITFAGMEDKHDIKIPSTENTVTGNVKHRILIPSPSHPLIQPSTVVRDHCENFAFPPPPPPTDRKRYGPRPCPVCYLPVEQAIASMPSFSSESSVLRNLTYVYDENPIRIESHRGSEFGGFPTLKQRNDSYDIKESMMVHCGFVKGSKPGHQSGFDVDEADLMELEMFHDIIVASAVFGNYDIIQQPKNISEFSRKNVPFYMFIDEETEAYMTNSSVLGNSKRVGLWRIIVVRNVPYSDARRNGKIPKLLLHRLFPNVRYSLWIDGKLQLVVDPYQILERFLWRQNANFAISRHYKRYDVFEEAEANKAAGKYDNSTINEQIDFYIKEGLQPYSEAKFPITSDVPEGCVIIKEHIPITNLFTCNWFNEVDRFTSRDQLSFSTVRDKIMGKVNWSINMFLDCERRNFVIQVNGALLRGWELLG >ONI12750 pep chromosome:Prunus_persica_NCBIv2:G4:10755272:10761100:1 gene:PRUPE_4G181200 transcript:ONI12750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGLRTGSYGSLQQLQLIQNGFSHNLPTPGLTRKSSKLLLSSSREKDRVLPFVCRFLGRKRVTMLLLVVLALLVFVFNSFTVNKESSNVIITQHSESVIPYRKDRSSSSITFAGMEDKHDIKIPSTENTVTGNVKHRILIPSPSHPLIQPSTVVRDHCENFAFPPPPPPTDRKRYGPRPCPVCYLPVEQAIASMPSFSSESSVLRNLTYVYDENPIRIESHRGSEFGGFPTLKQRNDSYDIKESMMVHCGFVKGSKPGHQSGFDVDEADLMELEMFHDIIVASAVFGNYDIIQQPKNISEFSRKNVPFYMFIDEETEAYMTNSSVLGNSKRVGLWRIIVVRNVPYSDARRNGKIPKLLLHRLFPNVRYSLWIDGKLQLVVDPYQILERFLWRQNANFAISRHYKRYDVFEEAEANKAAGKYDNSTINEQIDFYIKEGLQPYSEAKFPITSDVPEGCVIIKEHIPITNLFTCNWFNEVDRFTSRDQLSFSTVRDKIMGKVNWSINMFLDCERRNFVIQAYHRELLEHMPPPRPPRAIIRLPLPLRQSTKSVKTPTGKKISLKRGRGDKKRHRKVGGSRDSKSF >ONI12751 pep chromosome:Prunus_persica_NCBIv2:G4:10755272:10761100:1 gene:PRUPE_4G181200 transcript:ONI12751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGLRTGSYGSLQQLQLIQNGFSHNLPTPGLTRKSSKLLLSSSREKDRVLPFVCRFLGRKRVTMLLLVVLALLVFVFNSFTVNKESSNVIITQHSESVIPYRKDRSSSSITFAGMEDKHDIKIPSTENTVTGNVKHRILIPSPSHPLIQPSTVVRDHCENFAFPPPPPPTDRKRYGPRPCPVCYLPVEQAIASMPSFSSESSVLRNLTYVYDENPIRIESHRGSEFGGFPTLKQRNDSYDIKESMMVHCGFVKGSKPGHQSGFDVDEADLMELEMFHDIIVASAVFGNYDIIQQPKNISEFSRKNVPFYMFIDEETEAYMTNSSVLGNSKRVGLWRIIVVRNVPYSDARRNGKIPKLLLHRLFPNVRYSLWIDGKLQLVVDPYQILERFLWRQNANFAISRHYKRYDVFEEAEANKAAGKYDNSTINEQIDFYIKEGLQPYSEAKFPITSDVPEGCVIIKEHIPITNLFTCNWFNEVDRFTSRDQLSFSTVRDKIMGKVNWSINMFLDCERRNFVIQAYHRELLEHMPPPRPPRAIIRLPLPLRQSTKSVKTPTGKKISLKRGRGDKKRHRKVGGSRDSKSF >ONI12755 pep chromosome:Prunus_persica_NCBIv2:G4:10755998:10758441:1 gene:PRUPE_4G181200 transcript:ONI12755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGLRTGSYGSLQQLQLIQNGFSHNLPTPGLTRKSSKLLLSSSREKDRVLPFVCRFLGRKRVTMLLLVVLALLVFVFNSFTVNKESSNVIITQHSESVIPYRKDRSSSSITFAGMEDKHDIKIPSTENTVTGNVKHRILIPSPSHPLIQPSTVVRDHCENFAFPPPPPPTDRKRYGPRPCPVCYLPVEQAIASMPSFSSESSVLRNLTYVYDENPIRIESHRGSEFGGFPTLKQRNDSYDIKESMMVHCGFVKGSKPGHQSGFDVDEADLMELEMFHDIIVASAVFGNYDIIQQPKNISEFSRKNVPFYMFIDEETEAYMTNSSVLGNSKRVGLWRIIVVRNVPYSDARRNGKWYGF >ONI12749 pep chromosome:Prunus_persica_NCBIv2:G4:10755265:10761214:1 gene:PRUPE_4G181200 transcript:ONI12749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGLRTGSYGSLQQLQLIQNGFSHNLPTPGLTRKSSKLLLSSSREKDRVLPFVCRFLGRKRVTMLLLVVLALLVFVFNSFTVNKESSNVIITQHSESVIPYRKDRSSSSITFAGMEDKHDIKIPSTENTVTGNVKHRILIPSPSHPLIQPSTVVRDHCENFAFPPPPPPTDRKRYGPRPCPVCYLPVEQAIASMPSFSSESSVLRNLTYVYDENPIRIESHRGSEFGGFPTLKQRNDSYDIKESMMVHCGFVKGSKPGHQSGFDVDEADLMELEMFHDIIVASAVFGNYDIIQQPKNISEFSRKNVPFYMFIDEETEAYMTNSSVLGNSKRVGLWRIIVVRNVPYSDARRNGKIPKLLLHRLFPNVRYSLWIDGKLQLVVDPYQILERFLWRQNANFAISRHYKRYDVFEEAEANKAAGKYDNSTINEQIDFYIKEGLQPYSEAKFPITSDVPEGCVIIKEHIPITNLFTCNWFNEVDRFTSRDQLSFSTVRDKIMGKVNWSINMFLDCERRNFVIQAYHRELLEHMPPPRPPRAIIRLPLPLRQSTKSVKTPTGKKISLKRGRGDKKRHRKVGGSRDSKSF >ONI12754 pep chromosome:Prunus_persica_NCBIv2:G4:10755245:10759919:1 gene:PRUPE_4G181200 transcript:ONI12754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGLRTGSYGSLQQLQLIQNGFSHNLPTPGLTRKSSKLLLSSSREKDRVLPFVCRFLGRKRVTMLLLVVLALLVFVFNSFTVNKESSNVIITQHSESVIPYRKDRSSSSITFAGMEDKHDIKIPSTENTVTGNVKHRILIPSPSHPLIQPSTVVRDHCENFAFPPPPPPTDRKRYGPRPCPVCYLPVEQAIASMPSFSSESSVLRNLTYVYDENPIRIESHRGSEFGGFPTLKQRNDSYDIKESMMVHCGFVKGSKPGHQSGFDVDEADLMELEMFHDIIVASAVFGNYDIIQQPKNISEFSRKNVPFYMFIDEETEAYMTNSSVLGNSKRVGLWRIIVVRNVPYSDARRNGKIPKLLLHRLFPNVRYSLWIDGKLQLVVDPYQILERFLWRQNANFAISRHYKRYDVFEEAEANKAAGKYDNSTINEQIDFYIKEGLQPYSEAKFPITSGRSFMYVQ >ONI12748 pep chromosome:Prunus_persica_NCBIv2:G4:10755244:10761213:1 gene:PRUPE_4G181200 transcript:ONI12748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWITPRSFLIEFEFGALFLIGTMTGGSLGLRTGSYGSLQQLQLIQNGFSHNLPTPGLTRKSSKLLLSSSREKDRVLPFVCRFLGRKRVTMLLLVVLALLVFVFNSFTVNKESSNVIITQHSESVIPYRKDRSSSSITFAGMEDKHDIKIPSTENTVTGNVKHRILIPSPSHPLIQPSTVVRDHCENFAFPPPPPPTDRKRYGPRPCPVCYLPVEQAIASMPSFSSESSVLRNLTYVYDENPIRIESHRGSEFGGFPTLKQRNDSYDIKESMMVHCGFVKGSKPGHQSGFDVDEADLMELEMFHDIIVASAVFGNYDIIQQPKNISEFSRKNVPFYMFIDEETEAYMTNSSVLGNSKRVGLWRIIVVRNVPYSDARRNGKIPKLLLHRLFPNVRYSLWIDGKLQLVVDPYQILERFLWRQNANFAISRHYKRYDVFEEAEANKAAGKYDNSTINEQIDFYIKEGLQPYSEAKFPITSDVPEGCVIIKEHIPITNLFTCNWFNEVDRFTSRDQLSFSTVRDKIMGKVNWSINMFLDCERRNFVIQAYHRELLEHMPPPRPPRAIIRLPLPLRQSTKSVKTPTGKKISLKRGRGDKKRHRKVGGSRDSKSF >ONI12381 pep chromosome:Prunus_persica_NCBIv2:G4:9206351:9211472:1 gene:PRUPE_4G160500 transcript:ONI12381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKPSAVTPSKSRLARTFAKVLHLRAATGIAPVDGIQKVKSQEFGIPKVKSQEFGIPKVKSQDSGIQKVKSQESGIQKVKSLEKVKDEWNAGKVRVDRSRSFDKANEKLQERAALEALLAKLFASVSSVKAAYAQLQYAQSPYDGEGIQVADKVVVSELQNLSELKRCFFKKQFDPSPERTLVLAEIEEQKSVLKTYEIMGKKLESQVRLKDSEIVFLGEKLDEAKSQNKLLEKRLNQSGQLHVFDNLHLSGLSPSHFVTVLRHMVKYIRTFVRMMMDEMKSSGWDIHAAAKAIDQDVVYWKEDHKCFAFEYFVCREMFDAFQYPNFSLPNESLPDKKKQQQQLFFVRFTELKSMKAKEYLAQNPRSAFAKFCRVKYLRVVHPKMETSFFGNLNQRNLVNAGEFPSSNFFISFAEMAKRVWLLHCLAFSFNPEAAIFQVSKGCRFSEVYMESLAEEAFLSTASEPQVGFTVVPGFKLGKTVIQCQVYLSQSQSTPRKRR >ONI12383 pep chromosome:Prunus_persica_NCBIv2:G4:9206351:9211472:1 gene:PRUPE_4G160500 transcript:ONI12383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKPSAVTPSKSRLARTFAKVLHLRAATGIAPVDGIQKVKSQEFGIPKVKSQEFGIPKVKSQDSGIQKVKSQESGIQKVKSLEKVKDEWNAGKVRVDRSRSFDKANEKLQERAALEALLAKLFASVSSVKAAYAQLQYAQSPYDGEGIQVADKVVVSELQNLSELKRCFFKKQFDPSPERTLVLAEIEEQKSVLKTYEIMGKKLESQVRLKDSEIVFLGEKLDEAKSQNKLLEKRLNQSGQLHVFDNLHLSGLSPSHFVTVLRHMVKYIRTFVRMMMDEMKSSGWDIHAAAKAIDQDVVYWKEDHKCFAFEYFVCREMFDAFQYPNFSLPNESLPDKKKQQQQLFFVRFTELKSMKAKEYLAQNPRSAFAKFCRVKYLRVVHPKMETSFFGNLNQRNLVNAGEFPSSNFFISFAEMAKRVWLLHCLAFSFNPEAAIFQVSKGCRFSEVYMESLAEEAFLSTASEPQVGFTVVPGFKLGKTVIQCQVYLSQSQSTPRKRR >ONI12382 pep chromosome:Prunus_persica_NCBIv2:G4:9206351:9211472:1 gene:PRUPE_4G160500 transcript:ONI12382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVKPSAVTPSKSRLARTFAKVLHLRAATGIAPVDGIQKVKSQEFGIPKVKSQEFGIPKVKSQDSGIQKVKSQESGIQKVKSLEKVKDEWNAGKVRVDRSRSFDKANEKLQERAALEALLAKLFASVSSVKAAYAQLQYAQSPYDGEGIQVADKVVVSELQNLSELKRCFFKKQFDPSPERTLVLAEIEEQKSVLKTYEIMGKKLESQVRLKDSEIVFLGEKLDEAKSQNKLLEKRLNQSGQLHVFDNLHLSGLSPSHFVTVLRHMVKYIRTFVRMMMDEMKSSGWDIHAAAKAIDQDVVYWKEDHKCFAFEYFVCREMFDAFQYPNFSLPNESLPDKKKQQQQLFFVRFTELKSMKAKEYLAQNPRSAFAKFCRVKYLRVVHPKMETSFFGNLNQRNLVNAGEFPSSNFFISFAEMAKRVWLLHCLAFSFNPEAAIFQVSKGCRFSEVYMESLAEEAFLSTASEPQVGFTVVPGFKLGKTVIQCQVYLSQSQSTPRKRR >ONI14400 pep chromosome:Prunus_persica_NCBIv2:G4:23551652:23562166:1 gene:PRUPE_4G279200 transcript:ONI14400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVTVRIWIAILFLSCACYCSYGAVVEDDVKCLQSLKQSLKDPLGKLVSWDFRNTSVVSMCKFVGVTCWNDRENRILNLELRDMELSGAIAKDIEYCSSLQNLDLGGNKLSGSIPPDICTWLPFLVTLDFSNNDFSGSIPTDLQTERFTLRPRRSGSVTSTWWRPRPVGLRLASSLSARFRTAVEFQEPALVGFGIWFC >ONI14313 pep chromosome:Prunus_persica_NCBIv2:G4:22935832:22942626:1 gene:PRUPE_4G275000 transcript:ONI14313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTGKKLDGGGRSLSEQKQRVLRCRLETFKHLRSSSLDEIVHQLRNNYRDYHRIKLQSFTKFVQQTLDSPSFKQSKTLIHVSDLEEDEDEEEEEENGQSNSQRRRKRAASKGEDKLQRMESAHLRRVRQRNGDRPSTSSSSDDADEDGSVSTSEDAIYSEKVDPEFDVMKSSLRASYMESNSALKPKAAEEQKEKNVEMELPAREQVELMGGNGGPRRPKTLLTPEAKGSVSTGVEVKGSEGPRFSDLGGMEKVIEELKMEVIVPLRHPELPRWLGVRPMSGILLYGPPGCGKTKLAHAIANETGIPFYKISATEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRESLQREMERRIVTQLMTCMDESHRLVQPADANSNSESFDNKSGYVLVIGATNRPDAVDHALRRPGRFDREIVLGVPDENARVQILSVLTRNLRLEGSFDLLKIARSTPGFVGADLAALADRAGNIAMKRIIHKRKTDMSIDSMNEECNEEWWRQPWSPEEMGRLTISMADFEEAVQVVQPSSKREGFSAIPNVKWEDVGGLDLLRQEFDRYIVRRVKYPENYEEFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPELLNKYVGESELAVRTLFSRARTCSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAEQRRGVFVIGATNRPDVMDRAVLRPGRFGKLIYVSPPTKDERGLILKALARKKPIDASVDLSEIGQRETCENFSGADLAALMNEAAMAALEEKLTSTPERNSDASPWTIKDTHFEQALAKIAPSVTDKQMQYYQKFGESLKAPRNKA >ONI13890 pep chromosome:Prunus_persica_NCBIv2:G4:17070079:17073025:1 gene:PRUPE_4G252800 transcript:ONI13890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRINYLIQVADLAPSGWEISLGCLFVYSFEPIQSNQPCIRPAMSASKIEAKSPAAILAIGPTNPANCHYQQDYPDFLFRVTNNDHMTELKDKFKRICEKSNVKKRYLHITEEILKANPNICRYKAPSLDPCQDMMIPEVPKLGKEAALKAIEEWGQPISNITHLIFCTSSCVDMPGADLQLVKLLGLDPFVNRFMIYLQGCFAGGTALLKYK >ONI13880 pep chromosome:Prunus_persica_NCBIv2:G4:16861664:16862426:1 gene:PRUPE_4G251800 transcript:ONI13880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLGFGCATCYHLVGFLGSKYRLVGGLSDFYSPNLALCLSSYSASCTKMALHAPVNNQQCQIHRLNLHHRTQIQLRNLSFNIPISKFGFSLRSRSISHPLLC >ONI13649 pep chromosome:Prunus_persica_NCBIv2:G4:15159385:15160512:1 gene:PRUPE_4G234800 transcript:ONI13649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKITIEAQIQCDKCRSQAMKIAVAEDGVISVAFQGPNRDKMVITGDGVDAADMAKSLRKKLGYADLVSVEEITEKKA >ONI13902 pep chromosome:Prunus_persica_NCBIv2:G4:17408458:17410623:1 gene:PRUPE_4G253800 transcript:ONI13902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLSPNKTINATPPLLPQCLSPRHNLNKTKILIFPSKPYTSPKPETTTKCSSSLSSLSLKVQLDHENIEPQTLVFHEDNHRPLHEIWKEIQGLNNWEGLLDPTLNSHLRQEIIRYGEFAQACYDSFDFDPHSKYCGTCKYQGAHFFENLDMADRGYQISRYLYATSNINLPNFFQKSKLGSVWSRHANWMGFVAVATDPDQIKRLGRRDIVIAWRGTVTYLEWIYDLKDILHPAQFRNDPSIKIESGFYDLYTKKEDECRFCSFSAREQLLAEVKRLRELYQGEEISITITGHSLGAALAILSAYDIAEMGLNIVHDGHHELESTKIPITVYSFSGPRVGNLRFKERCDELGVKVLRVVNVHDKVPRVPGIITNEKFRFQKYIEDTIAFPWSYAHVGVALELDHNQSPFLKPTNDFGCAHNLEAHLHLVDGYHGKGQKFCLVTKRDIALVNKSCDFLRAEYGVPPHWRQDENKGMVRSKDGRWVLPERPRVEAHPPDTAHHLQQVLNMCSTQLEAP >ONI13730 pep chromosome:Prunus_persica_NCBIv2:G4:15965094:15966127:-1 gene:PRUPE_4G241700 transcript:ONI13730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGSGKHAELKSLTFDGEVKEGYDCFGQDGYGYGHGHGHGHGHGHGYGYGYGHEHGYGYGYGHGYNPYAVPPYYPCPPFGGFDYYDPSRLIMMPLSPPLPPPPPPLQLPSTSSSVPQQSQPLQLQSQTQSLPPQPPALPQASNATKRVAKSEASSGKKSICVIM >ONI13532 pep chromosome:Prunus_persica_NCBIv2:G4:14567322:14572853:-1 gene:PRUPE_4G228200 transcript:ONI13532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMAGNEGVYEFAKNLAHEHAQGCIAGTIILLVSKGKSSHILRFNEELFFIYLLPPIIFNAGFQVKKKQFFRNFLTILMFGVIGVFISTSIIAVGSWLLFPKLGFVGLNARDYLAVGTIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAIQKIDVTRLSGANGLRVVGDFLYLFFTSTALGIAFGLLAAYALKTLCFGRHSSIREISLMVLLAYLSYMVAELLELSGILTVFFCGILMSHYAWHNVTESSRITTRHVFAMMSFIAETFIFLYVGMDALDIEKWKITTLSLGDSLGIYSTILVLILLGRAAFVFPLSAISNYMNRRATGSSSLSFKHQVIICWAGLIRGAVSIALAFKQFTYSGVTWDPVNATMITNTIIVVLFSTLVFGFLTKPLTNYLLPHAATSTLNRKESKDISEDMNLPLLSFDESAETNISRAKDNLSMLMERPVYTIHFYWRRFDDAYMRPIFGGPLANQSAAA >ONI13534 pep chromosome:Prunus_persica_NCBIv2:G4:14567322:14571993:-1 gene:PRUPE_4G228200 transcript:ONI13534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYGCIAGTIILLVSKGKSSHILRFNEELFFIYLLPPIIFNAGFQVKKKQFFRNFLTILMFGVIGVFISTSIIAVGSWLLFPKLGFVGLNARDYLAVGTIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAIQKIDVTRLSGANGLRVVGDFLYLFFTSTALGIAFGLLAAYALKTLCFGRHSSIREISLMVLLAYLSYMVAELLELSGILTVFFCGILMSHYAWHNVTESSRITTRHVFAMMSFIAETFIFLYVGMDALDIEKWKITTLSLGDSLGIYSTILVLILLGRAAFVFPLSAISNYMNRRATGSSSLSFKHQVIICWAGLIRGAVSIALAFKQFTYSGVTWDPVNATMITNTIIVVLFSTLVFGFLTKPLTNYLLPHAATSTLNRKESKDISEDMNLPLLSFDESAETNISRAKDNLSMLMERPVYTIHFYWRRFDDAYMRPIFGGPLANQSAAA >ONI13533 pep chromosome:Prunus_persica_NCBIv2:G4:14568043:14572719:-1 gene:PRUPE_4G228200 transcript:ONI13533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMAGNEGVYEFAKNLAHEHAQVIPVSVFVAVLCLCLAVGHLLEENRWVNESITAICIGCIAGTIILLVSKGKSSHILRFNEELFFIYLLPPIIFNAGFQVKKKQFFRNFLTILMFGVIGVFISTSIIAVGSWLLFPKLGFVGLNARDYLAVGTIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAIQKIDVTRLSGANGLRVVGDFLYLFFTSTALGIAFGLLAAYALKTLCFGRHSSIREISLMVLLAYLSYMVAELLELSGILTVFFCGILMSHYAWHNVTESSRITTRHVFAMMSFIAETFIFLYVGMDALDIEKWKITTLSLGDSLGIYSTILVLILLGRAAFVFPLSAISNYMNRRATGSSSLSFKHQVIICWAGLIRGAVSIALAFKQFTYSGVTWDPVNATMITNTIIVVLFSTLVFGFLTKPLTNYLLPHAATSTLNRKESKDISEDMNLPLLSFDESAETNISRAKDNLSMLMERPVYTIHFYWRRFDDAYMRPIFGGPLANQSAAA >ONI12510 pep chromosome:Prunus_persica_NCBIv2:G4:9951666:9953604:1 gene:PRUPE_4G169400 transcript:ONI12510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLEMQEAITASIALETLVIGLRFEFTQLERTTPFWTSPPHAHAHPRGCLAISSAERDEDPGRSQTLDSRSEVRRPTHCTDGSSSSSPTRIEIWCIGWGGVVGGPRRERC >ONI13087 pep chromosome:Prunus_persica_NCBIv2:G4:12527376:12531404:-1 gene:PRUPE_4G201700 transcript:ONI13087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHISPSLRHVTVFPGKGVREFIKVKVGSRRLSYRMLFYSILFFTFLLRFVFVMTAVDTIDGERKCSTIGCLGKRLGPKILGRRLDSTVPEVIYQILEEPIGKDELQGRSDIPQSLDEFMAEIKEGKSDAKTFAVKLRDMVTLLEQRTRNAKIQEYLYRHVASSSIPKQLHCLALRLANEHASNAAARLQLPSAELVPALVDNSYFHFVLASDNVLAASVVATSLVRNSLRPHKVVLHIITDRKTYYPMQAWFSLHPLSPAIIEVKALHHFDWFTKGKVPVLEAMEKDQKVRSQFRGGSSAIVANNTDKPNVIAAKLQALSPKYNSVMNHIRIHLPEMFPSLDKVVFLDDDIVVQTDLSPLWDIDMNGKVNGAVETCRGEDNFVMSKRLKSYLNFSHPLISNNFNPNSCAWAYGMNIFDLDAWRKTNISLTYHYWLEQNLKSDLSLWQLGTLPPGLIAFHGHVHVIDPFWHMLGLGYQENTSSADVKSAGVIHFNGRAKPWLEIAFPKLRPFWAKYVDFSDKFIKSCHIRAS >ONI13088 pep chromosome:Prunus_persica_NCBIv2:G4:12526904:12531900:-1 gene:PRUPE_4G201700 transcript:ONI13088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKEGKSDAKTFAVKLRDMVTLLEQRTRNAKIQEYLYRHVASSSIPKQLHCLALRLANEHASNAAARLQLPSAELVPALVDNSYFHFVLASDNVLAASVVATSLVRNSLRPHKVVLHIITDRKTYYPMQAWFSLHPLSPAIIEVKALHHFDWFTKGKVPVLEAMEKDQKVRSQFRGGSSAIVANNTDKPNVIAAKLQALSPKYNSVMNHIRIHLPEMFPSLDKVVFLDDDIVVQTDLSPLWDIDMNGKVNGAVETCRGEDNFVMSKRLKSYLNFSHPLISNNFNPNSCAWAYGMNIFDLDAWRKTNISLTYHYWLEQNLKSDLSLWQLGTLPPGLIAFHGHVHVIDPFWHMLGLGYQENTSSADVKSAGVIHFNGRAKPWLEIAFPKLRPFWAKYVDFSDKFIKSCHIRAS >ONI11464 pep chromosome:Prunus_persica_NCBIv2:G4:5614995:5616815:-1 gene:PRUPE_4G107500 transcript:ONI11464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYSAEPSSSLTFTSSSHIPNASKTHNNPSSSSGCEPVPSLEVISLTKLSSNLEQLLLVDSSSDYSDAVIIVEGKSVGVYRCILASRSSFFGELFKRANGSSEKEGKPTYCMSDLLPYGNVLYEAFLVFLSYVYTGKLKPFPMEVSTCVHSVCAHDACGPAINFAVELMYASSVFQMPDLVSILQRRLINFVGKALADDVIPILLVGFHCKLSQLIDQCIERVARSDLDSISLEKELPDEIVEKIKIIRHNSQQDCDPNIAAVDPLREKRIRRIHKALDSDDVELVKLLLSESDITLDEANALHYAAAYCDPKVVTEVIGLGLVDVNLRNSRGYTVLHIAVMRKEPSIIVLLLTKGARVSELTLDGESAVSICRRLTRAKDYHSKTERGEEANKDRICIDVLEREMQRNPMAADASISSQIMPDDLHMQLLNLENRVIALIYEENEEQIFLDQSVIYRKEIYIFIQVNF >ONI11463 pep chromosome:Prunus_persica_NCBIv2:G4:5613819:5616815:-1 gene:PRUPE_4G107500 transcript:ONI11463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASSVFQMPDLVSILQRRLINFVGKALADDVIPILLVGFHCKLSQLIDQCIERVARSDLDSISLEKELPDEIVEKIKIIRHNSQQDCDPNIAAVDPLREKRIRRIHKALDSDDVELVKLLLSESDITLDEANALHYAAAYCDPKVVTEVIGLGLVDVNLRNSRGYTVLHIAVMRKEPSIIVLLLTKGARVSELTLDGESAVSICRRLTRAKDYHSKTERGEEANKDRICIDVLEREMQRNPMAADASISSQIMPDDLHMQLLNLENRVAFARLLFPTEAKVAMAIAHAETTSQFSGLSSFKGSTGNLMDVDLNETPTVQNKRLRSRLEALMKTVSTGRSYFPHCSEVLDKFIKDDLPDLFFLETGTPDEQKIKRTRFMELKEEVQKAFSKDKAAKNLFRLSSSSSSSSLKNVGENLKVGKL >ONI11461 pep chromosome:Prunus_persica_NCBIv2:G4:5614093:5616777:-1 gene:PRUPE_4G107500 transcript:ONI11461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYSAEPSSSLTFTSSSHIPNASKTHNNPSSSSGCEPVPSLEVISLTKLSSNLEQLLLVDSSSDYSDAVIIVEGKSVGVYRCILASRSSFFGELFKRANGSSEKEGKPTYCMSDLLPYGNVLYEAFLVFLSYVYTGKLKPFPMEVSTCVHSVCAHDACGPAINFAVELMYASSVFQMPDLVSILQRRLINFVGKALADDVIPILLVGFHCKLSQLIDQCIERVARSDLDSISLEKELPDEIVEKIKIIRHNSQQDCDPNIAAVDPLREKRIRRIHKALDSDDVELVKLLLSESDITLDEANALHYAAAYCDPKVVTEVIGLGLVDVNLRNSRGYTVLHIAVMRKEPSIIVLLLTKGARVSELTLDGESAVSICRRLTRAKDYHSKTERGEEANKDRICIDVLEREMQRNPMAADASISSQIMPDDLHMQLLNLENRVAFARLLFPTEAKVAMAIAHAETTSQFSGLSSFKGSTGNLMDVDLNETPTVQNKRLRSRLEALMKTVSTGRSYFPHCSEVLDKFIKDDLPDLFFLETGTPDEQKIKRTRFMELKEEVQKAFSKDKAAKNLFRLSSSSSSSSLKNVGENLKVGKL >ONI11462 pep chromosome:Prunus_persica_NCBIv2:G4:5614093:5616575:-1 gene:PRUPE_4G107500 transcript:ONI11462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSLRENLWAFTDAYWLPEVAFSASYSSEQMGLPRKKPFPMEVSTCVHSVCAHDACGPAINFAVELMYASSVFQMPDLVSILQRRLINFVGKALADDVIPILLVGFHCKLSQLIDQCIERVARSDLDSISLEKELPDEIVEKIKIIRHNSQQDCDPNIAAVDPLREKRIRRIHKALDSDDVELVKLLLSESDITLDEANALHYAAAYCDPKVVTEVIGLGLVDVNLRNSRGYTVLHIAVMRKEPSIIVLLLTKGARVSELTLDGESAVSICRRLTRAKDYHSKTERGEEANKDRICIDVLEREMQRNPMAADASISSQIMPDDLHMQLLNLENRVAFARLLFPTEAKVAMAIAHAETTSQFSGLSSFKGSTGNLMDVDLNETPTVQNKRLRSRLEALMKTVSTGRSYFPHCSEVLDKFIKDDLPDLFFLETGTPDEQKIKRTRFMELKEEVQKAFSKDKAAKNLFRLSSSSSSSSLKNVGENLKVGKL >ONI11196 pep chromosome:Prunus_persica_NCBIv2:G4:4580627:4586453:1 gene:PRUPE_4G091800 transcript:ONI11196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHTSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDIGALRKKTVAPADDILRLSQMNADFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVLFHARQDIIVSNSEDRSIRVWDATKRTGLQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSMFYVKDRFLRFFEFSTQRDTQVIPIRRPGSSTLNQGAKTLSYSPTENAVLICSETEGGSYELYIIPKDSFGRGDIVQEAKRGIGGPAVFVARNRFAVLEKSSNQVIVKNLKNEIVKKSALPIIADAIFYAGTGNLLCRAEDRVIIFDLQQRIILGELQTPFVRYVVWSNDMESIALLSKHSIVIANKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDNGIIRTLDVPVYITKVYGSTIHCLDRDGKNCAIVVDATEYVFKLSLLKKRYDQVMSMIKSSELCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALGSGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLVTGNLDKLSKMLKIAEVKNDVMGQFHNALYLGDIRERVKILENAGHLPLAYSTAVIHGLHDIAERLAAELGDNVPILPKGKSPSLLMPPTPIICGGDWPLLRVMRGIFEGGLDNVGRNAEEEYEEATDADWGEDLDIVDVENIPNGDISAVLEDEEEHEENEEGGWDLEDLELPPEIDTPKTASNARSSVFVAPTPGMPVSQIWTQKSSLAAEHAAAGNFDIAMRLLNRQLGIKNFAPLRQLFLDLHMGSHTYLRAFSSAPVISVAVERGWSESATPNVRGPPALVFKFSELEEKLKAGYKATTTGKFTEALRLLLGILHTIPLIVVDSRREVDEVKELIIIVKEYVLGLKMELKRRELKDNPVRQQELAAYFTHCNLQMPHLRLALLNAMSVCFKAGNLNTAANFARRLLETNPTTENHAKTARQVLQAAEKNMNDATQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCSSRFVLAQEGQLCTVCDLAVVGADASGLLCSPTQIR >ONI11197 pep chromosome:Prunus_persica_NCBIv2:G4:4580447:4586453:1 gene:PRUPE_4G091800 transcript:ONI11197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHTSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDIGALRKKTVAPADDILRLSQMNADFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVLFHARQDIIVSNSEDRSIRVWDATKRTGLQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSMFYVKDRFLRFFEFSTQRDTQVIPIRRPGSSTLNQGAKTLSYSPTENAVLICSETEGGSYELYIIPKDSFGRGDIVQEAKRGIGGPAVFVARNRFAVLEKSSNQVIVKNLKNEIVKKSALPIIADAIFYAGTGNLLCRAEDRVIIFDLQQRIILGELQTPFVRYVVWSNDMESIALLSKHSIVIANKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDNGIIRTLDVPVYITKVYGSTIHCLDRDGKNCAIVVDATEYVFKLSLLKKRYDQVMSMIKSSELCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALGSGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLVTGNLDKLSKMLKIAEVKNDVMGQFHNALYLGDIRERVKILENAGHLPLAYSTAVIHGLHDIAERLAAELGDNVPILPKGKSPSLLMPPTPIICGGDWPLLRVMRGIFEGGLDNVGRNAEEEYEEATDADWGEDLDIVDVENIPNGDISAVLEDEEEHEENEEGGWDLEDLELPPEIDTPKTASNARSSVFVAPTPGMPVSQIWTQKSSLAAEHAAAGNFDIAMRLLNRQLGIKNFAPLRQLFLDLHMGSHTYLRAFSSAPVISVAVERGWSESATPNVRGPPALVFKFSELEEKLKAGYKATTTGKFTEALRLLLGILHTIPLIVVDSRREVDEVKELIIIVKEYVLGLKMELKRRELKDNPVRQQELAAYFTHCNLQMPHLRLALLNAMSVCFKAGNLNTAANFARRLLETNPTTENHAKTARQVLQAAEKNMNDATQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCSSRFVLAQEGQLCTVCDLAVVGADASGLLCSPTQIR >ONI13573 pep chromosome:Prunus_persica_NCBIv2:G4:14808850:14814378:-1 gene:PRUPE_4G231100 transcript:ONI13573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYCRDLNSNRLSDQIPPSIGSLSNLNYLDLTGNKLNGYIPVSSGTTPGLDMLHNAEHFHLGGNQLSGKVPPELFTSKMVLKHLILDNNNLTGTIPSTIGNVQTLLTVRLDRNSLSGHVPSSLNNLSNMAELHLSNNKLTGPMPNLTGMDLLYYVDMSNNTFDVSDVPDWFSTLQSLTTLMMENTRLQGKVPQALFSFSNLQTVVLRNNHLNGLLDIGTIYSDQLQLIDLENNLILYLTQSGGSNYTLILLGNPICDKTNKEKKYCIVSQSDSSYSMPPSNCAPVACSSSEVLSPNCKCAHPLKATLVVLFVSFSNLGNFSYYTALQASLMQSFHSYNLPVDSVSVSYPTWSSSYYLQLMLEVFPSGEDRFNETGASALASVLSNQTLPRPDYFGPYIVVFYYGKSGGSNKALVIGAAVGGSALLILVALVGVYAFQHKRKANEPWSRSISLGRCPFITQKKQTWNSNISGPQLKGARLFSFEELMKYTNHFSEANDIGSGGYGKVYLGILPTGQMVAIKRAKRESMQGGIEFKAEVELLSRVHHKNLVSLVGFCLEQDEQMLVYEYAPNGNLRDSLSGKSGVWLDWKRRLKVALGAARGLAYLHEHANPSIIHRDIKPNNILLDKDLNAKVADFGLSKSMVDSGTHHVTTQVRGTMGYLDPEYYMTQQLTEKSDVYSFGVVMLELITARRPIERGKYIVRVVQMAMDKTKDLYNLQKILDPAIGLGRELKGLENFVDLAMLCLEDLQAKRPRMGEVVKEIENIIQLAALNTSDISASTSGSSEDVNKDLQR >ONI13572 pep chromosome:Prunus_persica_NCBIv2:G4:14808887:14816933:-1 gene:PRUPE_4G231100 transcript:ONI13572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTQLFVMAAEANTQDFSALMFLMQEWGIRPPSWVGSDPCAEGWEGIGCSNSRVTSIMLANRGLTGQLPSAIQLLSELEILNLSLNKGLTGPLPTSLGNLTKLVYLVLAGCSFSSAIPGTIGSLEQLFYLDLNSNRLSDQIPPSIGSLSNLNYLDLTGNKLNGYIPVSSGTTPGLDMLHNAEHFHLGGNQLSGKVPPELFTSKMVLKHLILDNNNLTGTIPSTIGNVQTLLTVRLDRNSLSGHVPSSLNNLSNMAELHLSNNKLTGPMPNLTGMDLLYYVDMSNNTFDVSDVPDWFSTLQSLTTLMMENTRLQGKVPQALFSFSNLQTVVLRNNHLNGLLDIGTIYSDQLQLIDLENNLILYLTQSGGSNYTLILLGNPICDKTNKEKKYCIVSQSDSSYSMPPSNCAPVACSSSEVLSPNCKCAHPLKATLVVLFVSFSNLGNFSYYTALQASLMQSFHSYNLPVDSVSVSYPTWSSSYYLQLMLEVFPSGEDRFNETGASALASVLSNQTLPRPDYFGPYIVVFYYGKSGGSNKALVIGAAVGGSALLILVALVGVYAFQHKRKANEPWSRSISLGRCPFITQKKQTWNSNISGPQLKGARLFSFEELMKYTNHFSEANDIGSGGYGKVYLGILPTGQMVAIKRAKRESMQGGIEFKAEVELLSRVHHKNLVSLVGFCLEQDEQMLVYEYAPNGNLRDSLSGKSGVWLDWKRRLKVALGAARGLAYLHEHANPSIIHRDIKPNNILLDKDLNAKVADFGLSKSMVDSGTHHVTTQVRGTMGYLDPEYYMTQQLTEKSDVYSFGVVMLELITARRPIERGKYIVRVVQMAMDKTKDLYNLQKILDPAIGLGRELKGLENFVDLAMLCLEDLQAKRPRMGEVVKEIENIIQLAALNTSDISASTSGSSEDVNKDLQR >ONI13570 pep chromosome:Prunus_persica_NCBIv2:G4:14808887:14816969:-1 gene:PRUPE_4G231100 transcript:ONI13570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKVVVPIVYKVAGRTRATHVFLLLMLTQLFVMAAEANTQDFSALMFLMQEWGIRPPSWVGSDPCAEGWEGIGCSNSRVTSIMLANRGLTGQLPSAIQLLSELEILNLSLNKGLTGPLPTSLGNLTKLVYLVLAGCSFSSAIPGTIGSLEQLFYLDLNSNRLSDQIPPSIGSLSNLNYLDLTGNKLNGYIPVSSGTTPGLDMLHNAEHFHLGGNQLSGKVPPELFTSKMVLKHLILDNNNLTGTIPSTIGNVQTLLTVRLDRNSLSGHVPSSLNNLSNMAELHLSNNKLTGPMPNLTGMDLLYYVDMSNNTFDVSDVPDWFSTLQSLTTLMMENTRLQGKVPQALFSFSNLQTVVLRNNHLNGLLDIGTIYSDQLQLIDLENNLILYLTQSGGSNYTLILLGNPICDKTNKEKKYCIVSQSDSSYSMPPSNCAPVACSSSEVLSPNCKCAHPLKATLVVLFVSFSNLGNFSYYTALQASLMQSFHSYNLPVDSVSVSYPTWSSSYYLQLMLEVFPSGEDRFNETGASALASVLSNQTLPRPDYFGPYIVVFYYGKSGGSNKALVIGAAVGGSALLILVALVGVYAFQHKRKANEPWSRSISLGRCPFITQKKQTWNSNISGPQLKGARLFSFEELMKYTNHFSEANDIGSGGYGKVYLGILPTGQMVAIKRAKRESMQGGIEFKAEVELLSRVHHKNLVSLVGFCLEQDEQMLVYEYAPNGNLRDSLSGKSGVWLDWKRRLKVALGAARGLAYLHEHANPSIIHRDIKPNNILLDKDLNAKVADFGLSKSMVDSGTHHVTTQVRGTMGYLDPEYYMTQQLTEKSDVYSFGVVMLELITARRPIERGKYIVRVVQMAMDKTKDLYNLQKILDPAIGLGRELKGLENFVDLAMLCLEDLQAKRPRMGEVVKEIENIIQLAALNTSDISASTSGSSEDVNKDLQR >ONI13571 pep chromosome:Prunus_persica_NCBIv2:G4:14808887:14817073:-1 gene:PRUPE_4G231100 transcript:ONI13571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTQLFVMAAEANTQDFSALMFLMQEWGIRPPSWVGSDPCAEGWEGIGCSNSRVTSIMLANRGLTGQLPSAIQLLSELEILNLSLNKGLTGPLPTSLGNLTKLVYLVLAGCSFSSAIPGTIGSLEQLFYLDLNSNRLSDQIPPSIGSLSNLNYLDLTGNKLNGYIPVSSGTTPGLDMLHNAEHFHLGGNQLSGKVPPELFTSKMVLKHLILDNNNLTGTIPSTIGNVQTLLTVRLDRNSLSGHVPSSLNNLSNMAELHLSNNKLTGPMPNLTGMDLLYYVDMSNNTFDVSDVPDWFSTLQSLTTLMMENTRLQGKVPQALFSFSNLQTVVLRNNHLNGLLDIGTIYSDQLQLIDLENNLILYLTQSGGSNYTLILLGNPICDKTNKEKKYCIVSQSDSSYSMPPSNCAPVACSSSEVLSPNCKCAHPLKATLVVLFVSFSNLGNFSYYTALQASLMQSFHSYNLPVDSVSVSYPTWSSSYYLQLMLEVFPSGEDRFNETGASALASVLSNQTLPRPDYFGPYIVVFYYGKSGGSNKALVIGAAVGGSALLILVALVGVYAFQHKRKANEPWSRSISLGRCPFITQKKQTWNSNISGPQLKGARLFSFEELMKYTNHFSEANDIGSGGYGKVYLGILPTGQMVAIKRAKRESMQGGIEFKAEVELLSRVHHKNLVSLVGFCLEQDEQMLVYEYAPNGNLRDSLSGKSGVWLDWKRRLKVALGAARGLAYLHEHANPSIIHRDIKPNNILLDKDLNAKVADFGLSKSMVDSGTHHVTTQVRGTMGYLDPEYYMTQQLTEKSDVYSFGVVMLELITARRPIERGKYIVRVVQMAMDKTKDLYNLQKILDPAIGLGRELKGLENFVDLAMLCLEDLQAKRPRMGEVVKEIENIIQLAALNTSDISASTSGSSEDVNKDLQR >ONI11101 pep chromosome:Prunus_persica_NCBIv2:G4:4303220:4305248:1 gene:PRUPE_4G087700 transcript:ONI11101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRNKKTLVWRPKPKSRTPPAEMRNWLDLPRDVTVSILSRLRAVEILESAQMVCMAWRIICKDPLMWRTIDMTNDADYDDMFYNLDAMCRHAVDRSCGGLVDVNIEHFGDDDLLKYITDSCGGIRRLRLVSCYSISRVGLGKVASKLTLLEELEIYVCNLSRTSLEMVGRSCPLLKSFKLNRPEFSVYGISGFGAYDGFDDWIDDENGDENGGSVIDSFGKDDEALAIAGTMNGLHHLQLFGNRLTNDGLRKILDCCPHLESLDLRHCFNLDLKGALEKRCAERIKQLRLPNDSIDDYEFCTAPCDYGFYNDSEDFDCDDDYPYDFNGYYSDDSDFYDDFTKIRFSDLF >ONI11810 pep chromosome:Prunus_persica_NCBIv2:G4:6968789:6970356:-1 gene:PRUPE_4G126900 transcript:ONI11810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRCCGKQKVKRGLWSPEEDEKLIKHITIRGHGSWSSVPKHAGLQRCGKSCRLRWINYLRPELKRGSFTPEEEQIIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLMSQGLDPKTHNLISSHQRSSNKIGGSSRPQPHDRQKPISVNFTTVNSQKKNCSAELNSSSSPIVTLYNAQQPPMVQTMATPPTHQYHDDQNPNAAWTSVKDPTFYEPSAENISSSSSSSMNLSVFGLLDNSNCLYAAGAQPFEAPRILLEGEQSKGSEEVLQQEKENLLEMEMIKAIDQDMDASLMESSSFDFSFLESTLMSTGVTSHDLNSMADFAWNY >ONI11766 pep chromosome:Prunus_persica_NCBIv2:G4:6779738:6782494:-1 gene:PRUPE_4G124100 transcript:ONI11766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQFWSISSSTALHNSWAFSYPGKHHNLVFKGPICLRKPKASSPTCLRAQLLEEITQLAHNKVLIAAGVSAAIGQLTKPVTSVILYGKDFDFKAIVQAGGFPSTHSSAVVATATTLGLERGLSDSIFGLTVVYAGLVMYDAQGVRREVGNHAKVLNKTLPESARMSSVPTKDRGRINPQPKTSSSSSLKSDSFGSLLSEEANSFSSKPTNGPLVSLSDNKIRQTTETQMPSGLGTDAEQGFERAGNRSTLLKESIGHTEVEVTAGALLGFLVGLAMYTIM >ONI11765 pep chromosome:Prunus_persica_NCBIv2:G4:6780158:6782442:-1 gene:PRUPE_4G124100 transcript:ONI11765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQFWSISSSTALHNSWAFSYPGKHHNLVFKGPICLRKPKASSPTCLRAQLLEEITQLAHNKVLIAAGVSAAIGQLTKPVTSVILYGKDFDFKAIVQAGGFPSTHSSAVVATATTLGLERGLSDSIFGLTVVYAGLVMYDAQGVRREVGNHAKVLNKTLPESARMSSVPTKDRGRINPQPKTSSSSSLKSDSFGSLLSEEANSFSSKPTNGPLVSLSDNKIRQTTETQMPSGLGTDAEQGFERAGNRSTLLKESIGHTEVEVTAGALLGFLVGLAMYTIM >ONI11764 pep chromosome:Prunus_persica_NCBIv2:G4:6778956:6782489:-1 gene:PRUPE_4G124100 transcript:ONI11764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQFWSISSSTALHNSWAFSYPGKHHNLVFKGPICLRKPKASSPTCLRAQLLEEITQLAHNKVLIAAGVSAAIGQLTKPVTSVILYGKDFDFKAIVQAGGFPSTHSSAVVATATTLGLERGLSDSIFGLTVVYAGLVMYDAQGVRREVGNHAKVLNKTLPESARMSSVPTKDRGAQGAQPPGSQTATTYKSIPGGENKSKTELKSQQDQGGVQIDKLQDKVEDAAGKGGPVFGAGKDPNKQDLGVTGSG >ONI14374 pep chromosome:Prunus_persica_NCBIv2:G4:23256140:23263197:-1 gene:PRUPE_4G277800 transcript:ONI14374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQMRNPTLLLLLLLSSQLCSVLSWKKDEFRNCNQTPFCKRARARKPSSSSLIAQDVAIFDGELTAKLFPEKTQENPDEQDQDRIKALVLTLSVYQDGILRLKIDEDPKLDPPKKRFEVPDVILPEFSNKKLWLQKLSTETIGGDAGTSTIVYLLDGYEAVLRHDPFEVYVREKGGNRVISLNSHGLFEFEQLRVKRDGEEWEERFKGHTDRRPYGPQSISFDVSFYGADHVYGIPERATSFALKPTRGPGIEDSEPYRLFNLDVFEYIHESPFGLYGSIPLMISHGKSRGTSGFFWLNAAEMQIDVLGSGWDAESGISLPSSQSRIDTLWMSEAGIVDAFFFVGPGPKDVVRQYTSVTGTPAMPQLFALAYHQCRWNYRDEEDVEQVDSKFDEHDIPYDVLWLDIEHTDGKRYLTWDRMLFPHPEEMQRKLAAKGRHMVTIVDPHIKRDDSYFLHKEATEKRYYVRDATGKDYDGWCWSGSSSYLDVLRPEVRSWWAEKFSLENYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHQEDAEHRELHNAYGYYFHMATADGLVKRGDGRDRPFVLSRAVFAGSQRYGAIWTGDNTAEWDHLRVSVPMILTLGLTGISFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGDRNTERIREAIHIRYMLLPYFYTLFREANTSGVPVVRPLWMEFPSEEATFSNDEAFMIGSSLLVQGIYTEHARHASVYLPGKESWYEVKTGVAYKGGRTHKLDVNEESVPAFQRAGTIIPRKDRFRRSSTQMVNDPYTLVIALNSSQAAEGELYVDDGRSFEFQQGAYIHRRFVFSDGKLTSLNLAPTPPGQAQFSSECVIERIILQGLSTGQKSALIEPENQKAEIEKGPLLLHSRQGPTAITIRKPNVRIVDDWVIKLL >ONI14375 pep chromosome:Prunus_persica_NCBIv2:G4:23258838:23263198:-1 gene:PRUPE_4G277800 transcript:ONI14375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQMRNPTLLLLLLLSSQLCSVLSWKKDEFRNCNQTPFCKRARARKPSSSSLIAQDVAIFDGELTAKLFPEKTQENPDEQDQDRIKALVLTLSVYQDGILRLKIDEDPKLDPPKKRFEVPDVILPEFSNKKLWLQKLSTETIGGDAGTSTIVYLLDGYEAVLRHDPFEVYVREKGGNRVISLNSHGLFEFEQLRVKRDGEEWEERFKGHTDRRPYGPQSISFDVSFYGADHVYGIPERATSFALKPTRGPGIEDSEPYRLFNLDVFEYIHESPFGLYGSIPLMISHGKSRGTSGFFWLNAAEMQIDVLGSGWDAESGISLPSSQSRIDTLWMSEAGIVDAFFFVGPGPKDVVRQYTSVTGTPAMPQLFALAYHQCRWNYRDEEDVEQVDSKFDEHDIPYDVLWLDIEHTDGKRYLTWDRMLFPHPEEMQRKLAAKGRHMVTIVDPHIKRDDSYFLHKEATEKRYYVRDATGKDYDGWCWSGSSSYLDVLRPEVRSWWAEKFSLENYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHQEDAEHRELHNAYGYYFHMATADGLVKRGDGRDRPFVLSRAVFAGSQRYGAIWTGDNTAEWDHLRVSVPMILTLGLTGISFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFG >ONI10338 pep chromosome:Prunus_persica_NCBIv2:G4:1961753:1963953:1 gene:PRUPE_4G041600 transcript:ONI10338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPPMVIFPSPFLGTKVTTTLPSFEAPKSSNNLVIPNVRLVFIIWSCLCRTCTKLPANSDLSGPLGESASSFTYTSNLTGTFAGNSIPRITSTSSCFAFSTSLRFLTSSGLATSTLMVESKVAGNLVESSAKETCGSVLLAGKRGVGL >ONI12668 pep chromosome:Prunus_persica_NCBIv2:G4:10586371:10588670:1 gene:PRUPE_4G178300 transcript:ONI12668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLMKRSVKKKSFFKILLGDFSKHLRIPPAFIKNFNGGSLGKCSLRGPSGKGRAVELEERENGLFFSKGWQGFVKDHHLEVGNFLVFRYDGESKFKVTIYDRSACEKDVEVAERGIGSSDSIESKGNQVRVKEEIIDLETENYNEDYENKTSIANRRNCNAMSGKKPTTDYVEETTSESISFKSDHRCFMETMKRHYRYCMMIPKKLAIAEGLNSARNVTLRDPNGRLWLVKLVIRPKSSCKRVEFTTGWGECCQANQISVGDTMVFEFVKQSAIKLHIFGEVNGKRCPVVLDAPNGEN >ONI14608 pep chromosome:Prunus_persica_NCBIv2:G4:25299145:25299408:1 gene:PRUPE_4G288200 transcript:ONI14608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKPHHKTQLHFFSSHISVKQFSKQFASMSRATVELQSHPAIPNLLSIRSKLPNRKNEEPNLSAPPPPYLHILYYLPFSFCSQVFN >ONI12132 pep chromosome:Prunus_persica_NCBIv2:G4:8418512:8420648:-1 gene:PRUPE_4G147100 transcript:ONI12132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAEKVVLLNYWASPYGMRVRIALAEKGIQYESREEDYLTNKSSLLLQMNPVHKKIPVLIHNGKPVSESLIAVQYIDEVWKDKAPLLPSDPYLRAQAMFWADFVDKKVYESAKRTWRTKGEEQEAAKREFLECIRLLEEELGDKPYFGGENLGFVDVALIPTYSWFYAREKIGNFSVEAKHPKFIAWAKRCMQKESVSKSLPDQKRVYEFALRLRSKLGLE >ONI11939 pep chromosome:Prunus_persica_NCBIv2:G4:7515038:7520520:-1 gene:PRUPE_4G136000 transcript:ONI11939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSIQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVREIAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRVLTPAEIEDYLAEVE >ONI11937 pep chromosome:Prunus_persica_NCBIv2:G4:7514926:7520520:-1 gene:PRUPE_4G136000 transcript:ONI11937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSIQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVREIAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAVHTAILTLKEGVLTPAEIEDYLAEVE >ONI11940 pep chromosome:Prunus_persica_NCBIv2:G4:7514926:7520419:-1 gene:PRUPE_4G136000 transcript:ONI11940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSIQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVREIAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRVLTPAEIEDYLAEVE >ONI11938 pep chromosome:Prunus_persica_NCBIv2:G4:7514926:7520664:-1 gene:PRUPE_4G136000 transcript:ONI11938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSIQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVREIAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRVLTPAEIEDYLAEVE >ONI11941 pep chromosome:Prunus_persica_NCBIv2:G4:7515230:7520250:-1 gene:PRUPE_4G136000 transcript:ONI11941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSIQKIQLLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVREIAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRQSSYTS >ONI10563 pep chromosome:Prunus_persica_NCBIv2:G4:2599343:2603740:-1 gene:PRUPE_4G053800 transcript:ONI10563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIDLNSATEDEETPSSGSSSTSSASDASASASASVCLELWHACAGPLISLPKKGSVVVYLPQGHLEQVSDFPASAYNLPPHLFCRVVDVKLHAETGTDDVYAQVSLVPESEEIEHKLREGETDAYGEEEDVEAIGKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGIRRAAQVKGSATYPTLCSQQLNYNTITDVVNAISMKNAFNIFYNPRASSSEFIIPSRKFLRSLDHSFSPGMRFKMRFETEDAAERRYTGLITGISELDPVRWPGSKWRCLVVRWDDIDTSKHGRVSPWEIEPSGSVSSSHSLMAAGLKRARSGLSAAKTEFPVPNGIGASDFGESLRFQKVLQGQEILGFDTHFGGLGGQNQHPSEPRRGFHGSSGSGIAAGGNGLRKSLAHSEITSTGIGFGESFRFHKVLQGQEIFPSPPYGRASTNNEAHEYGGPGIYDGFQVPSFRNGWPAMMQSNNAHVRPSASSVQVSSPSSVLMFQQAMNPGPEFNSVYNGHNQEEQRVIKRTPYVSESDGGKQASSSFCERSFSREDHGGMNSYNQHGISNHPVISQSTFSGSQDAVSPYKGSCRLFGFSLSEEKRVPDRESNSTSTASTLNPGVQFHSKPALMTSAVGITCTKEWAFDWRGERMESCLQG >ONI10564 pep chromosome:Prunus_persica_NCBIv2:G4:2598672:2603767:-1 gene:PRUPE_4G053800 transcript:ONI10564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGIRRAAQVKGSATYPTLCSQQLNYNTITDVVNAISMKNAFNIFYNPRASSSEFIIPSRKFLRSLDHSFSPGMRFKMRFETEDAAERRYTGLITGISELDPVRWPGSKWRCLVVRWDDIDTSKHGRVSPWEIEPSGSVSSSHSLMAAGLKRARSGLSAAKTEFPVPNGIGASDFGESLRFQKVLQGQEILGFDTHFGGLGGQNQHPSEPRRGFHGSSGSGIAAGGNGLRKSLAHSEITSTGIGFGESFRFHKVLQGQEIFPSPPYGRASTNNEAHEYGGPGIYDGFQVPSFRNGWPAMMQSNNAHVRPSASSVQVSSPSSVLMFQQAMNPGPEFNSVYNGHNQEEQRVIKRTPYVSESDGGKQASSSFCERSFSREDHGGMNSYNQHGISNHPVISQSTFSGSQDAVSPYKGSCRLFGFSLSEEKRVPDRESNSTSTASTLNPGVQFHSKPALMTSAVGITCTKEWAFDWRGERMESCLQG >ONI10562 pep chromosome:Prunus_persica_NCBIv2:G4:2598670:2604548:-1 gene:PRUPE_4G053800 transcript:ONI10562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIDLNSATEDEETPSSGSSSTSSASDASASASASVCLELWHACAGPLISLPKKGSVVVYLPQGHLEQVSDFPASAYNLPPHLFCRVVDVKLHAETGTDDVYAQVSLVPESEEIEHKLREGETDAYGEEEDVEAIGKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGIRRAAQVKGSATYPTLCSQQLNYNTITDVVNAISMKNAFNIFYNPSSSEFIIPSRKFLRSLDHSFSPGMRFKMRFETEDAAERRYTGLITGISELDPVRWPGSKWRCLVVRWDDIDTSKHGRVSPWEIEPSGSVSSSHSLMAAGLKRARSGLSAAKTEFPVPNGIGASDFGESLRFQKVLQGQEILGFDTHFGGLGGQNQHPSEPRRGFHGSSGSGIAAGGNGLRKSLAHSEITSTGIGFGESFRFHKVLQGQEIFPSPPYGRASTNNEAHEYGGPGIYDGFQVPSFRNGWPAMMQSNNAHVRPSASSVQVSSPSSVLMFQQAMNPGPEFNSVYNGHNQEEQRVIKRTPYVSESDGGKQASSSFCERSFSREDHGGMNSYNQHGISNHPVISQSTFSGSQDAVSPYKGSCRLFGFSLSEEKRVPDRESNSTSTASTLNPGVQFHSKPALMTSAVGITCTKEWAFDWRGERMESCLQG >ONI13345 pep chromosome:Prunus_persica_NCBIv2:G4:13566251:13576479:-1 gene:PRUPE_4G216500 transcript:ONI13345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMEEMDETEALPQVYMACILHGQRVGISYYDSSIRQLHVLEVWEDGSTDFPLIDLVKYQARPLIIYASTKSEESFLSALQRSDGMTEAFTVKLVKSSIFSYEQAWHRLIYLRVTGMDDGLNIKERICYLSSMMDMGSDIQVRTSGGLLAILENERVVDTLEQMESGNASIAIDSVIEVSLNNFLKLDAAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGRRLLRSWFLRPILDLETLNSRLNAISFFLSSEELINSLRGTLKSVKDIPHILKKFNSPSSICTSGDWTAFLKSVCSLLHVNKIFEVGVSESLQEHAKYLNLDIVEKAASCITTELAYVYELVIGVLDVSRSKEKGYETIVKDDFCDELDELRQIYEELPEFLEEVSQMELGRLPQLYKEKFVPCIVYIHQIGYLMCIFEEKLDEATLEKVQDFEFAFSDAEGETKRFFYHTAKTRELDNLLGDIYHKILDMERAITRDLVSHILLFSEHLLKAVNFAAELDCFLSLALVSRQSNYVRPTLTMDCLIDIQNGRHVLQEMTVDTFVPNDTMIQNEGRINIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATIGLTDRIFCAMGSKLMNAEKSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGVGLLGGTINYLVSHDDPPKVLVCTHLTELFSESCLPKSKKIEFYTMSVLRPDNSTDIEDIVFLYRLVPGHALLSYGLHCALLAGTSLELKTYVPDFQNWEKKIF >ONI13347 pep chromosome:Prunus_persica_NCBIv2:G4:13568304:13576479:-1 gene:PRUPE_4G216500 transcript:ONI13347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMEEMDETEALPQVYMACILHGQRVGISYYDSSIRQLHVLEVWEDGSTDFPLIDLVKYQARPLIIYASTKSEESFLSALQRSDGMTEAFTVKLVKSSIFSYEQAWHRLIYLRVTGMDDGLNIKERICYLSSMMDMGSDIQVRTSGGLLAILENERVVDTLEQMESGNASIAIDSVIEVSLNNFLKLDAAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGRRLLRSWFLRPILDLETLNSRLNAISFFLSSEELINSLRGTLKSVKDIPHILKKFNSPSSICTSGDWTAFLKSVCSLLHVNKIFEVGVSESLQEHAKYLNLDIVEKAASCITTELAYVYELVIGVLDVSRSKEKGYETIVKDDFCDELDELRQIYEELPEFLEEVSQMELGRLPQLYKEKFVPCIVYIHQIGYLMCIFEEKLDEATLEKVQDFEFAFSDAEGETKRFFYHTAKTRELDNLLGDIYHKILDMERAITRDLVSHILLFSEHLLKAVNFAAELDCFLSLALVSRQSNYVRPTLTMDCLIDIQNGRHVLQEMTVDTFVPNDTMIQNEGRINIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATIGLTDRIFCAMGSKLMNAEKSTFMIDLHQVGMMLRYIQTTLVKFSLC >ONI13344 pep chromosome:Prunus_persica_NCBIv2:G4:13566587:13576302:-1 gene:PRUPE_4G216500 transcript:ONI13344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMEEMDETEALPQVYMACILHGQRVGISYYDSSIRQLHVLEVWEDGSTDFPLIDLVKYQARPLIIYASTKSEESFLSALQRSDGMTEAFTVKLVKSSIFSYEQAWHRLIYLRVTGMDDGLNIKERICYLSSMMDMGSDIQVRTSGGLLAILENERVVDTLEQMESGNASIAIDSVIEVSLNNFLKLDAAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGRRLLSWFLRPILDLETLNSRLNAISFFLSSEELINSLRGTLKSVKDIPHILKKFNSPSSICTSGDWTAFLKSVCSLLHVNKIFEVGVSESLQEHAKYLNLDIVEKAASCITTELAYVYELVIGVLDVSRSKEKGYETIVKDDFCDELDELRQIYEELPEFLEEVSQMELGRLPQLYKEKFVPCIVYIHQIGYLMCIFEEKLDEATLEKVQDFEFAFSDAEGETKRFFYHTAKTRELDNLLGDIYHKILDMERAITRDLVSHILLFSEHLLKAVNFAAELDCFLSLALVSRQSNYVRPTLTMDCLIDIQNGRHVLQEMTVDTFVPNDTMIQNEGRINIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATIGLTDRIFCAMGSKLMNAEKSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGVGLLGGTINYLVSHDDPPKVLVCTHLTELFSESCLPKSKKIEFYTMSVLRPDNSTDIEDIVFLYRLVPGHALLSYGLHCALLAGTSLELKTYVPDFQNWEKKIF >ONI13341 pep chromosome:Prunus_persica_NCBIv2:G4:13565167:13576479:-1 gene:PRUPE_4G216500 transcript:ONI13341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMEEMDETEALPQVYMACILHGQRVGISYYDSSIRQLHVLEVWEDGSTDFPLIDLVKYQARPLIIYASTKSEESFLSALQRSDGMTEAFTVKLVKSSIFSYEQAWHRLIYLRVTGMDDGLNIKERICYLSSMMDMGSDIQVRTSGGLLAILENERVVDTLEQMESGNASIAIDSVIEVSLNNFLKLDAAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGRRLLSWFLRPILDLETLNSRLNAISFFLSSEELINSLRGTLKSVKDIPHILKKFNSPSSICTSGDWTAFLKSVCSLLHVNKIFEVGVSESLQEHAKYLNLDIVEKAASCITTELAYVYELVIGVLDVSRSKEKGYETIVKDDFCDELDELRQIYEELPEFLEEVSQMELGRLPQLYKEKFVPCIVYIHQIGYLMCIFEEKLDEATLEKVQDFEFAFSDAEGETKRFFYHTAKTRELDNLLGDIYHKILDMERAITRDLVSHILLFSEHLLKAVNFAAELDCFLSLALVSRQSNYVRPTLTMDCLIDIQNGRHVLQEMTVDTFVPNDTMIQNEGRINIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATIGLTDRIFCAMGSKLMNAEKSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGVGLLGGTINYLVSHDDPPKVLVCTHLTELFSESCLPKSKKIEFYTMSVLRPDNSTDIEDIVFLYRLVPGHALLSYGLHCALLAGVPEEVIKRAAFILEALGNNEHVERLCNENVSAQDQQYQNVVDKMLAFDFHKGDIGLFFQGLFSAES >ONI13342 pep chromosome:Prunus_persica_NCBIv2:G4:13565167:13576479:-1 gene:PRUPE_4G216500 transcript:ONI13342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMEEMDETEALPQVYMACILHGQRVGISYYDSSIRQLHVLEVWEDGSTDFPLIDLVKYQARPLIIYASTKSEESFLSALQRSDGMTEAFTVKLVKSSIFSYEQAWHRLIYLRVTGMDDGLNIKERICYLSSMMDMGSDIQVRTSGGLLAILENERVVDTLEQMESGNASIAIDSVIEVSLNNFLKLDAAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGRRLLRSWFLRPILDLETLNSRLNAISFFLSSEELINSLRGTLKSVKDIPHILKKFNSPSSICTSGDWTAFLKSVCSLLHVNKIFEVGVSESLQEHAKYLNLDIVEKAASCITTELAYVYELVIGVLDVSRSKEKGYETIVKDDFCDELDELRQIYEELPEFLEEVSQMELGRLPQLYKEKFVPCIVYIHQIGYLMCIFEEKLDEATLEKVQDFEFAFSDAEGETKRFFYHTAKTRELDNLLGDIYHKILDMERAITRDLVSHILLFSEHLLKAVNFAAELDCFLSLALVSRQSNYVRPTLTMDCLIDIQNGRHVLQEMTVDTFVPNDTMIQNEGRINIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATIGLTDRIFCAMGSKLMNAEKSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGVGLLGGTINYLVSHDDPPKVLVCTHLTELFSESCLPKSKKIEFYTMSVLRPDNSTDIEDIVFLYRLVPGHALLSYGLHCALLAGVPEEVIKRAAFILEALGNNEHVERLCNENVSAQDQQYQNVVDKMLAFDFHKGDIGLFFQGLFSAES >ONI13343 pep chromosome:Prunus_persica_NCBIv2:G4:13565167:13577951:-1 gene:PRUPE_4G216500 transcript:ONI13343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMEEMDETEALPQVYMACILHGQRVGISYYDSSIRQLHVLEVWEDGSTDFPLIDLVKYQARPLIIYASTKSEESFLSALQRSDGMTEAFTVKLVKSSIFSYEQAWHRLIYLRVTGMDDGLNIKERICYLSSMMDMGSDIQVRTSGGLLAILENERVVDTLEQMESGNASIAIDSVIEVSLNNFLKLDAAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGRRLLRSWFLRPILDLETLNSRLNAISFFLSSEELINSLRGTLKSVKDIPHILKKFNSPSSICTSGDWTAFLKSVCSLLHVNKIFEVGVSESLQEHAKYLNLDIVEKAASCITTELAYVYELVIGVLDVSRSKEKGYETIVKDDFCDELDELRQIYEELPEFLEEVSQMELGRLPQLYKEKFVPCIVYIHQIGYLMCIFEEKLDEATLEKVQDFEFAFSDAEGETKRFFYHTAKTRELDNLLGDIYHKILDMERAITRDLVSHILLFSEHLLKAVNFAAELDCFLSLALVSRQSNYVRPTLTMDCLIDIQNGRHVLQEMTVDTFVPNDTMIQNEGRINIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATIGLTDRIFCAMGSKLMNAEKSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGVGLLGGTINYLVSHDDPPKVLVCTHLTELFSESCLPKSKKIEFYTMSVLRPDNSTDIEDIVFLYRLVPGHALLSYGLHCALLAGVPEEVIKRAAFILEALGNNEHVERLCNENVSAQDQQYQNVVDKMLAFDFHKGDIGLFFQGLFSAES >ONI13346 pep chromosome:Prunus_persica_NCBIv2:G4:13566587:13576302:-1 gene:PRUPE_4G216500 transcript:ONI13346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMEEMDETEALPQVYMACILHGQRVGISYYDSSIRQLHVLEVWEDGSTDFPLIDLVKYQARPLIIYASTKSEESFLSALQRSDGMTEAFTVKLVKSSIFSYEQAWHRLIYLRVTGMDDGLNIKERICYLSSMMDMGSDIQVRTSGGLLAILENERVVDTLEQMESGNASIAIDSVIEVSLNNFLKLDAAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGRRLLRSWFLRPILDLETLNSRLNAISFFLSSEELINSLRGTLKSVKDIPHILKKFNSPSSICTSGDWTAFLKSVCSLLHVNKIFEVGVSESLQEHAKYLNLDIVEKAASCITTELAYVYELVIGVLDVSRSKEKGYETIVKDDFCDELDELRQIYEELPEFLEEVSQMELGRLPQLYKEKFVPCIVYIHQIGYLMCIFEEKLDEATLEKVQDFEFAFSDAEGETKRFFYHTAKTRELDNLLGDIYHKILDMERAITRDLVSHILLFSEHLLKAVNFAAELDCFLSLALVSRQSNYVRPTLTMDCLIDIQNGRHVLQEMTVDTFVPNDTMIQNEGRINIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATIGLTDRIFCAMGSKLMNAEKSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGVGLLGGTINYLVSHDDPPKVLVCTHLTELFSESCLPKSKKIEFYTMSVLRPDNSTDIEDIVFLYRLVPGHALLSYGLHCALLAGTSLELKTYVPDFQNWEKKIF >ONI13024 pep chromosome:Prunus_persica_NCBIv2:G4:12163174:12165509:1 gene:PRUPE_4G198200 transcript:ONI13024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQITYAHKQQEVEELKLAVRREVFTTSADDFSNQMKLIDAIQRLGVAYHFEKEIEEALEHIYAANNFHDDDGDGDLYNVSLGFRLLRQHGHNASCRIFNKFKESKNGGFKESLIADVPGMLSFYEATHLRVHGEDILEEALVFTTKHLESATTHVSYQLAEQIAQALERPLRKSLERLCARRFMSIYQDEASHNEALLKLAKSDFNLVQSLHKQELSEIIRWWKELDFGRKLPFARNRIAELYCWILGVYFEPQYLVGRKFLTKIIALMSVMDDIYDAFGTFEELEIFTEAIHQRWHANCMDGLPDYMQTFFHALLNVFNEIEEEMVKEGRAYRAHYAKEAWKTITKAYFDEAKWFHEGCIPSMEEYMRVAATSAASFALSATSLVGMGDIVTKESFEWLFNDPKILRASNIIVRLMDDIVSSKFEKERGHVACAIDCYMKQYGVSNEQKIIDVFNKQIVDSWKDINEEFLRPTSMPMPILERIVNLTRVVDLLYKKNDGYTHAGKVMNDCVASYFIDPAPV >ONI13023 pep chromosome:Prunus_persica_NCBIv2:G4:12162746:12165509:1 gene:PRUPE_4G198200 transcript:ONI13023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTCRAKKISDIFTQVQIMALEAGSTAQSQNSKADQIVRRTANYRPSIWGDQFMNYVSEDIITYAHKQQEVEELKLAVRREVFTTSADDFSNQMKLIDAIQRLGVAYHFEKEIEEALEHIYAANNFHDDDGDGDLYNVSLGFRLLRQHGHNASCRIFNKFKESKNGGFKESLIADVPGMLSFYEATHLRVHGEDILEEALVFTTKHLESATTHVSYQLAEQIAQALERPLRKSLERLCARRFMSIYQDEASHNEALLKLAKSDFNLVQSLHKQELSEIIRWWKELDFGRKLPFARNRIAELYCWILGVYFEPQYLVGRKFLTKIIALMSVMDDIYDAFGTFEELEIFTEAIHQRWHANCMDGLPDYMQTFFHALLNVFNEIEEEMVKEGRAYRAHYAKEAWKTITKAYFDEAKWFHEGCIPSMEEYMRVAATSAASFALSATSLVGMGDIVTKESFEWLFNDPKILRASNIIVRLMDDIVSSKFEKERGHVACAIDCYMKQYGVSNEQKIIDVFNKQIVDSWKDINEEFLRPTSMPMPILERIVNLTRVVDLLYKKNDGYTHAGKVMNDCVASYFIDPAPV >ONI11806 pep chromosome:Prunus_persica_NCBIv2:G4:6950949:6953454:1 gene:PRUPE_4G126600 transcript:ONI11806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDEIQASSLIHERRSANYKPNIWKYGFLESLNSKYHGDDYTRQSEKLIEDVKNHMFFVGTKDLITQLKLIDSIGKLGLTNHFEKEIKEALDTIASTENNDPHMTENLYAIALHFKILRQHGYKVSQDVFDGFLDEKGMLEKSHFSDVKGMLELLEASNLALDGENVLDEAKAFSTLALRDSNICNILDNNLARHVVHALELSSHRRVGWFNVKWHIHAYEKDNHVKTILLELAKLNFNMVQATLQKDIKEASKWWNNLGHAEHLKFVRDRPVESFMSAVGLNFQPDYTSFRIRLTKVIYLILIIDDVYDVYGSLEELKLFTNAVDRWDVGETGQLPDCMKICFQVLYNTTCEIAHEIEEENGWNLVLPHLSKVWADFCKALLLEAEWYSSGYTPSLEEYLSNGCISSSASVLLVHTFFSTTRRDQPTEEIADFWHKNEDFVNNISLIVRLTNDLATYRAEQERGDAPSAILCYMPEMNVSENIAEMKIKGIIDKAWKKINGKCLRTPQVPFLSPFINIAINIARMVHNLYQDGDGFADQEKGSRLIQSLLAEPLLL >ONI11807 pep chromosome:Prunus_persica_NCBIv2:G4:6950949:6953454:1 gene:PRUPE_4G126600 transcript:ONI11807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDEIQASSLIHERRSANYKPNIWKYGFLESLNSKYHGDDYTRQSEKLIEDVKNHMFFVGTKDLITQLKLIDSIGKLGLTNHFEKEIKEALDTIASTENNDPHMTENLYAIALHFKILRQHGYKVSQDVFDGFLDEKGMLEKSHFSDVKGMLELLEASNLALDGENVLDEAKAFSTLALRDSNICNILDNNLARHVVHALELSSHRRVGWFNVKWHIHAYEKDNHVKTILLELAKLNFNMVQATLQKDIKEASKWDVGETGQLPDCMKICFQVLYNTTCEIAHEIEEENGWNLVLPHLSKVWADFCKALLLEAEWYSSGYTPSLEEYLSNGCISSSASVLLVHTFFSTTRRDQPTEEIADFWHKNEDFVNNISLIVRLTNDLATYRAEQERGDAPSAILCYMPEMNVSENIAEMKIKGIIDKAWKKINGKCLRTPQVPFLSPFINIAINIARMVHNLYQDGDGFADQEKGSRLIQSLLAEPLLL >ONI10927 pep chromosome:Prunus_persica_NCBIv2:G4:3725892:3726970:-1 gene:PRUPE_4G076200 transcript:ONI10927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMALSSPTFAGKAVQLAPGATELFGNGRVSMRKTGAKQVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVVLMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWSYATNFVPGK >ONI10975 pep chromosome:Prunus_persica_NCBIv2:G4:3856934:3861147:-1 gene:PRUPE_4G079600 transcript:ONI10975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSPTFRHLSPLQLSRSHNYCSLCTVPRLGSVSAFSPPSYDLKLIGPSFRRHGRRYRYSDVDFGAFDADAADVAPLDDWGNHEESTGYMIYSSGGEDSDAEFVVTPVADIDLPAITVSTNESLTVTAHRFALLGKQRKKHRIRNGVLLNTGLIIFLVVVLLYVDWCAWRIVRLPLSPFHLTCPFFISAALAACAGYVCVPFLYVLKIRQIIRIEGPVRHSLKKRTPTMGGLFFVPVGVIVAKFIAGFSSVEVSGVALATLAFAAIGLLDDTLSLIKNHNTGLSAWTKIIMEVAVGIWFSYWLHTTKISSPYGMYIFF >ONI10969 pep chromosome:Prunus_persica_NCBIv2:G4:3857186:3860920:-1 gene:PRUPE_4G079600 transcript:ONI10969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSPTFRHLSPLQLSRSHNYCSLCTVPRLGSVSAFSPPSYDLKLIGPSFRRHGRRYRYSDVDFGAFDADAADVAPLDDWGNHEESTGYMIYSSGGEDSDAEFVVTPVADIDLPAITVSTNESLTVTAHRFALLGKQRKKHRIRNGVLLNTGLIIFLVVVLLYVDWCAWRIVRLPLSPFHLTCPFFISAALAACAGYVCVPFLYVLKIRQIIRIEGPVRHSLKKRTPTMGGLFFVPVGVIVAKFIAGFSSVEVSGVALATLAFAAIGLLDDTLSLIKNHNTGLSAWTKIIMEVAVGIWFSYWLHTTKISSPYGMKMLVPLPALGLVCLGKCYLALASFCFVSMGNGVNLTDGLDGLAGGTAALAFIGMSIAVLPVCSDLAIFGASMAGACVGFLLHNRYKASVFMGDTGSLALGGGLAAMACCTGMFFPLFVSSGIFVVEALSVILQVLYFKTTKRMHGAGRRLFRMAPFHHHLELCGLKEPVIVGGAYVISSTLALCAGYLGLISA >ONI10970 pep chromosome:Prunus_persica_NCBIv2:G4:3857186:3860600:-1 gene:PRUPE_4G079600 transcript:ONI10970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAIVIVMLISVPLMRIRNGVLLNTGLIIFLVVVLLYVDWCAWRIVRLPLSPFHLTCPFFISAALAACAGYVCVPFLYVLKIRQIIRIEGPVRHSLKKRTPTMGGLFFVPVGVIVAKFIAGFSSVEVSGVALATLAFAAIGLLDDTLSLIKNHNTGLSAWTKIIMEVAVGIWFSYWLHTTKISSPYGMKMLVPLPALGLVCLGKCYLALASFCFVSMGNGVNLTDGLDGLAGGTAALAFIGMSIAVLPVCSDLAIFGASMAGACVGFLLHNRYKASVFMGDTGSLALGGGLAAMACCTGMFFPLFVSSGIFVVEALSVILQVLYFKTTKRMHGAGRRLFRMAPFHHHLELCGLKEPVIVGGAYVISSTLALCAGYLGLISA >ONI10971 pep chromosome:Prunus_persica_NCBIv2:G4:3856934:3861147:-1 gene:PRUPE_4G079600 transcript:ONI10971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSPTFRHLSPLQLSRSHNYCSLCTVPRLGSVSAFSPPSYDLKLIGPSFRRHGRRYRYSDVDFGAFDADAADVAPLDDWGNHEESTGYMIYSSGGEDSDAEFVVTPVADIDLPAITVSTNESLTVTAHRFALLGKQRKKHRIRNGVLLNTGLIIFLVVVLLYVDWCAWRIVRLPLSPFHLTCPFFISAALAACAGYVCVPFLYVLKIRQIIRIEGPVRHSLKKRTPTMGGLFFVPVGVIVAKFIAGFSSVEVSGVALATLAFAAIGLLDDTLSLIKNHNTGLSAWTKIIMEVAVGIWFSYWLHTTKISSPYGMKMLVPLPALGLVCLGKCYLALASFCFVSMGNGVNLTDGLDGLAGGTAALAFIGMSIAVLPTLLYLEHQWQELALVFFCTIDTRHLYSWVIRDLWH >ONI10972 pep chromosome:Prunus_persica_NCBIv2:G4:3856934:3861147:-1 gene:PRUPE_4G079600 transcript:ONI10972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAIVIVMLISVPLMRIRNGVLLNTGLIIFLVVVLLYVDWCAWRIVRLPLSPFHLTCPFFISAALAACAGYVCVPFLYVLKIRQIIRIEGPVRHSLKKRTPTMGGLFFVPVGVIVAKFIAGFSSVEVSGVALATLAFAAIGLLDDTLSLIKNHNTGLSAWTKIIMEVAVGIWFSYWLHTTKISSPYGMKMLVPLPALGLVCLGKCYLALASFCFVSMGNGVNLTDGLDGLAGGTAALAFIGMSIAVLPTLLYLEHQWQELALVFFCTIDTRHLYSWVIRDLWH >ONI10973 pep chromosome:Prunus_persica_NCBIv2:G4:3856933:3861147:-1 gene:PRUPE_4G079600 transcript:ONI10973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSPTFRHLSPLQLSRSHNYCSLCTVPRLGSVSAFSPPSYDLKLIGPSFRRHGRRYRYSDVDFGAFDADAADVAPLDDWGNHEESTGYMIYSSGGEDSDAEFVVTPVADIDLPAITVSTNESLTVTAHRFALLGKQRKKHRIRNGVLLNTGLIIFLVVVLLYVDWCAWRIVRLPLSPFHLTCPFFISAALAACAGYVCVPFLYVLKIRQIIRIEGPVRHSLKKRTPTMGGLFFVPVGVIVAKFIAGFSSVEVSGVALATLAFAAIGLLDDTLSLIKNHNTGLSAWTKIIMEVAVGIWFSYWLHTTKISSPYGKCWFLYLHWALCAWVNVIWRWPHSVLFLWEMGLT >ONI10974 pep chromosome:Prunus_persica_NCBIv2:G4:3856934:3861147:-1 gene:PRUPE_4G079600 transcript:ONI10974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYSPTFRHLSPLQLSRSHNYCSLCTVPRLGSVSAFSPPSYDLKLIGPSFRRHGRRYRYSDVDFGAFDADAADVAPLDDWGNHEESTGYMIYSSGGEDSDAEFVVTPVADIDLPAITVSTNESLTVTAHRFALLGKQRKKHRIRNGVLLNTGLIIFLVVVLLYVDWCAWRIVRLPLSPFHLTCPFFISAALAACAGYVCVPFLYVLKIRQIIRIEGPVRHSLKKRTPTMGGLFFVPVGVIVAKFIAGFSSVEVSGVALATLAFAAIGLLDDTLSLIKNHNTGLSAWTKIIMEENVGSSTCTGPCVPG >ONI14434 pep chromosome:Prunus_persica_NCBIv2:G4:23709313:23722479:1 gene:PRUPE_4G280600 transcript:ONI14434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRPHEESGHSSSSKYPHDDSGAYPKLSSSVSNEYHPPYEMAQDSRLPKIPRTESRDLDRRSPIHSIYRMPSTSNDLHVDQAAPSENRLESRDSKDTRDLRFENRDTKTETRDLYSESRRDTQNAKGEKDVRYDSRGDDNKETKYERENFNDVKADLKMEGYVVPSSHLNWKDSKEYHRGKRYSDAPAGSTDAWHRSSTQGHVELGKEVLATEERDHVEAHEAVGENKFDSKGEDKFKDKDRKRKDMKYREWGDRDKERSDRRSTIPVANSSSECKEPAKEERDSERWERERRDTGKDKERLRERERDHTKKDPWNGVEKDGSNNEKEVGDGSIRVSEQEILPAEQKKQKDFDSWRNVDRESRDRRKERDVDVEGDRTEKRSRGYDKESDDGCADCEGATDRDKEVCNYGVQQRKRMQRSRGSPQVANRELRFRSRTQDNEGSQGKNEVSSVVYKVGECMQELIKLWKEYEVSQGEKNESCLTGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKAGGTIDLEPCLTHTSTVEPTLAPVIVERTMTTRAAASD >ONI14429 pep chromosome:Prunus_persica_NCBIv2:G4:23709313:23722479:1 gene:PRUPE_4G280600 transcript:ONI14429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRPHEESGHSSSSKYPHDDSGAYPKLSSSVSNEYHPPYEMAQDSRLPKIPRTESRDLDRRSPIHSIYRMPSTSNDLHVDQAAPSENRLESRDSKDTRDLRFENRDTKTETRDLYSESRRDTQNAKGEKDVRYDSRGDDNKETKYERENFNDVKADLKMEGYVVPSSHLNWKDSKEYHRGKRYSDAPAGSTDAWHRSSTQGHVELGKEVLATEERDHVEAHEAVGENKFDSKGEDKFKDKDRKRKDMKYREWGDRDKERSDRRSTIPVANSSSECKEPAKEERDSERWERERRDTGKDKERLRERERDHTKKDPWNGVEKDGSNNEKEVGDGSIRVSEQEILPAEQKKQKDFDSWRNVDRESRDRRKERDVDVEGDRTEKRSRGYDKESDDGCADCEGATDRDKEVCNYGVQQRKRMQRSRGSPQVANRELRFRSRTQDNEGSQGKNEVSSVVYKVGECMQELIKLWKEYEVSQGEKNESCLTGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKAGGTIDLEPCLTHTSTVEPTLAPVIVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKTLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKATQLSQMHEGETEKSQNHVSHSTNGERNDSDNIMIDVFRWSRCKTPLPQKVTRSVGIPLPLEYVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >ONI14433 pep chromosome:Prunus_persica_NCBIv2:G4:23709313:23722479:1 gene:PRUPE_4G280600 transcript:ONI14433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRPHEESGHSSSSKYPHDDSGAYPKLSSSVSNEYHPPYEMAQDSRLPKIPRTESRDLDRRSPIHSIYRMPSTSNDLHVDQAAPSENRLESRDSKDTRDLRFENRDTKTETRDLYSESRRDTQNAKGEKDVRYDSRGDDNKETKYERENFNDVKADLKMEGYVVPSSHLNWKDSKEYHRGKRYSDAPAGSTDAWHRSSTQGHVELGKEVLATEERDHVEAHEAVGENKFDSKGEDKFKDKDRKRKDMKYREWGDRDKERSDRRSTIPVANSSSECKEPAKEERDSERWERERRDTGKDKERLRERERDHTKKDPWNGVEKDGSNNEKEVGDGSIRVSEQEILPAEQKKQKDFDSWRNVDRESRDRRKERDVDVEGDRTEKRSRGYDKESDDGCADCEGATDRDKEVCNYGVQQRKRMQRSRGSPQVANRELRFRSRTQDNEGSQGKNEVSSVVYKVGECMQELIKLWKEYEVSQGEKNESCLTGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKAGGTIDLEPCLTHTSTVEPTLAPVIVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKTLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKATQLSQMHEEGHTVSWDPTAP >ONI14430 pep chromosome:Prunus_persica_NCBIv2:G4:23709313:23722479:1 gene:PRUPE_4G280600 transcript:ONI14430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRPHEESGHSSSSKYPHDDSGAYPKLSSSVSNEYHPPYEMAQDSRLPKIPRTESRDLDRRSPIHSIYRMPSTSNDLHVDQAAPSENRLESRDSKDTRDLRFENRDTKTETRDLYSESRRDTQNAKGEKDVRYDSRGDDNKETKYERENFNDVKADLKMEGYVVPSSHLNWKDSKEYHRGKRYSDAPAGSTDAWHRSSTQGHVELGKEVLATEERDHVEAHEAVGENKFDSKGEDKFKDKDRKRKDMKYREWGDRDKERSDRRSTIPVANSSSECKEPAKEERDSERWERERRDTGKDKERLRERERDHTKKDPWNGVEKDGSNNEKEVGDGSIRVSEQEILPAEQKKQKDFDSWRNVDRESRDRRKERDVDVEGDRTEKRSRGYDKESDDGCADCEGATDRDKEVCNYGVQQRKRMQRSRGSPQVANRELRFRSRTQDNEGSQGKNEVSSVVYKVGECMQELIKLWKEYEVSQGEKNESCLTGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKAGGTIDLEPCLTHTSTVEPTLAPVIVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKTLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKATQLSQMHEGETEKSQNHVSHSTNGERNDSDNIMIDVFRWSRCKTPLPQKVTRSVGIPLPLEYVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >ONI14431 pep chromosome:Prunus_persica_NCBIv2:G4:23709313:23722479:1 gene:PRUPE_4G280600 transcript:ONI14431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRPHEESGHSSSSKYPHDDSGAYPKLSSSVSNEYHPPYEMAQDSRLPKIPRTESRDLDRRSPIHSIYRMPSTSNDLHVDQAAPSENRLESRDSKDTRDLRFENRDTKTETRDLYSESRRDTQNAKGEKDVRYDSRGDDNKETKYERENFNDVKADLKMEGYVVPSSHLNWKDSKEYHRGKRYSDAPAGSTDAWHRSSTQGHVELGKEVLATEERDHVEAHEAVGENKFDSKGEDKFKDKDRKRKDMKYREWGDRDKERSDRRSTIPVANSSSECKEPAKEERDSERWERERRDTGKDKERLRERERDHTKKDPWNGVEKDGSNNEKEVGDGSIRVSEQEILPAEQKKQKDFDSWRNVDRESRDRRKERDVDVEGDRTEKRSRGYDKESDDGCADCEGATDRDKEVCNYGVQQRKRMQRSRGSPQVANRELRFRSRTQDNEGSQGKNEVSSVVYKVGECMQELIKLWKEYEVSQGEKNESCLTGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKAGGTIDLEPCLTHTSTVEPTLAPVIVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKTLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKATQLSQMHEGETEKSQNHVSHSTNGERNDSDNIMIDVFRWSRCKTPLPQKVTRSVGIPLPLEYVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSTN >ONI14432 pep chromosome:Prunus_persica_NCBIv2:G4:23709313:23722479:1 gene:PRUPE_4G280600 transcript:ONI14432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRPHEESGHSSSSKYPHDDSGAYPKLSSSVSNEYHPPYEMAQDSRLPKIPRTESRDLDRRSPIHSIYRMPSTSNDLHVDQAAPSENRLESRDSKDTRDLRFENRDTKTETRDLYSESRRDTQNAKGEKDVRYDSRGDDNKETKYERENFNDVKADLKMEGYVVPSSHLNWKDSKEYHRGKRYSDAPAGSTDAWHRSSTQGHVELGKEVLATEERDHVEAHEAVGENKFDSKGEDKFKDKDRKRKDMKYREWGDRDKERSDRRSTIPVANSSSECKEPAKEERDSERWERERRDTGKDKERLRERERDHTKKDPWNGVEKDGSNNEKEVGDGSIRVSEQEILPAEQKKQKDFDSWRNVDRESRDRRKERDVDVEGDRTEKRSRGYDKESDDGCADCEGATDRDKEVCNYGVQQRKRMQRSRGSPQVANRELRFRSRTQDNEGSQGKNEVSSVVYKVGECMQELIKLWKEYEVSQGEKNESCLTGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATVRVLPPQDCYISTLRNNVRSRAWGAAIGCSYRVERCCIVKKAGGTIDLEPCLTHTSTVEPTLAPVIVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKTLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKATQLSQMHEEGHTVSWDPTAP >ONI14389 pep chromosome:Prunus_persica_NCBIv2:G4:23442522:23452874:-1 gene:PRUPE_4G278500 transcript:ONI14389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPWLLFSLLLSLTLWSAMATASNVSYDSRSLIIDGQRKLLISAAIHYPRSVPGMWPNLVQTAKEGGVDVIETYVFWNGHEPSPGNYYFGGRYDLVKFVKIVEQAGMYLILRIGPFIAAEWYFGGVPVWLHYVPGTVFRTENEPFKYHMQKFTAFIVNLMKQEKLFASQGGPIILAQIENEYGYYEKDYGEGGKQYAMWAASMAVSQNAGVPWIMCQQFDAPESVINTCNSFYCDQFTPIYPTKPKIWTENWPGWFQTFGARNPHRPAEDIAYSVARFFQKGGSVQNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWGHLKQLHRAIKLCEHTMLNSERINVSLGPSQEADVYTDSSGACAAFIANMDDKNDKTVKFRNVSYHLPAWSVSILPDCKNAVFNTAKVGYQSSVVEMLPESLQPSVGSPDKSFKGLKWDVFVEKPGIWGEADFVKKGLVDHINTTKFTTDYLWYTTSIFVGETEVFLKNGSSPILLIESKGHALHAFVNQELQANLCWIGSSYFNRVS >ONI14388 pep chromosome:Prunus_persica_NCBIv2:G4:23437295:23452874:-1 gene:PRUPE_4G278500 transcript:ONI14388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWYHMQKFTAFIVNLMKQEKLFASQGGPIILAQIENEYGYYEKDYGEGGKQYAMWAASMAVSQNAGVPWIMCQQFDAPESVINTCNSFYCDQFTPIYPTKPKIWTENWPGWFQTFGARNPHRPAEDIAYSVARFFQKGGSVQNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWGHLKQLHRAIKLCEHTMLNSERINVSLGPSQEADVYTDSSGACAAFIANMDDKNDKTVKFRNVSYHLPAWSVSILPDCKNAVFNTAKVGYQSSVVEMLPESLQPSVGSPDKSFKGLKWDVFVEKPGIWGEADFVKKGLVDHINTTKFTTDYLWYTTSIFVGETEVFLKNGSSPILLIESKGHALHAFVNQELQASASGNGTHPPFKLKTPISLKAGKNEIALLSMTVGLQNAGSFYEWVGAGLTSVNITGFNNGTIDLSAYNWTYKIGLQGEHLGLYKGDGLGKANWVSTSEPPRKQPLTWYKVIVDPPPGDEPIGLDMIDMGKGLAWLNGEEIGRYWPRKSPTHGCVKECNYRGKFDPDKCNTGCGGPTQRWYHVPRSWFKQSGNVLVIFEEKGGDPLKIKFSRRKITGVCAIVAENYPSIDLESWHEGNGSNNTIATVHLRCPEGTHIATVNFASFGNPTGSCGSYTQGNCHDPNSTSVVEKVCLNQNKCAIELTEEKFYEDLCPSVSKKLAVEVVCS >ONI14387 pep chromosome:Prunus_persica_NCBIv2:G4:23437695:23452577:-1 gene:PRUPE_4G278500 transcript:ONI14387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPWLLFSLLLSLTLWSAMATASNVSYDSRSLIIDGQRKLLISAAIHYPRSVPGMWPNLVQTAKEGGVDVIETYVFWNGHEPSPGNYYFGGRYDLVKFVKIVEQAGMYLILRIGPFIAAEWYFGGVPVWLHYVPGTVFRTENEPFKYHMQKFTAFIVNLMKQEKLFASQGGPIILAQIENEYGYYEKDYGEGGKQYAMWAASMAVSQNAGVPWIMCQQFDAPESVINTCNSFYCDQFTPIYPTKPKIWTENWPGWFQTFGARNPHRPAEDIAYSVARFFQKGGSVQNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWGHLKQLHRAIKLCEHTMLNSERINVSLGPSQEADVYTDSSGACAAFIANMDDKNDKTVKFRNVSYHLPAWSVSILPDCKNAVFNTAKVGYQSSVVEMLPESLQPSVGSPDKSFKGLKWDVFVEKPGIWGEADFVKKGLVDHINTTKFTTDYLWYTTSIFVGETEVFLKNGSSPILLIESKGHALHAFVNQELQASASGNGTHPPFKLKTPISLKAGKNEIALLSMTVGLQNAGSFYEWVGAGLTSVNITGFNNGTIDLSAYNWTYKIGLQGEHLGLYKGDGLGKANWVSTSEPPRKQPLTWYKVIVDPPPGDEPIGLDMIDMGKGLAWLNGEEIGRYWPRKSPTHGCVKECNYRGKFDPDKCNTGCGGPTQRWYHVPRSWFKQSGNVLVIFEEKGGDPLKIKFSRRKITGVCAIVAENYPSIDLESWHEGNGSNNTIATVHLRCPEGTHIATVNFASFGNPTGSCGSYTQGNCHDPNSTSVVEKVCLNQNKCAIELTEEKFYEDLCPSVSKKLAVEVVCS >ONI12852 pep chromosome:Prunus_persica_NCBIv2:G4:11153568:11157662:-1 gene:PRUPE_4G187400 transcript:ONI12852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIQDSLEEILYLLRIPQRKPYGTMEGNVKKALKIATDEKDSILASIPTDLREKGSTLYASLIDGKNGLQALLACIKDKDPDKVSVGLASSLDTIAELELLQAPGLSFLLPEQYQRYPRLTGRGIVELTIEKGDGSTFSPEAGGEPRKTAKIQVVIDGYSAPLTAGNFAKLIIDEAYNGAKLRSTDQAVLSDNGLDKNNGYSVPLEIKPSGQFEPLYRTKLDVQDGELPTLPLSVYGAVAMAHSEVNEEYSSPYQFFFYLYDKRNAGLGGLSFDEGEFSVFGYATAGRDILSQIKTGDVIQSAKLIDGQDRLVLPDEK >ONI12854 pep chromosome:Prunus_persica_NCBIv2:G4:11154424:11158221:-1 gene:PRUPE_4G187400 transcript:ONI12854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPLSSAIISHRLSFNVSIAPSTPRSNLKPIHFIFCFNGKDPERSGRSRVHCLPKNNHLKELKDQQHGTLTNSYISTRGHTNKLQSLIAVILVFVQISSPLPLVGWDVLPISPANAVLYSPDTKVPRSGELALRRAIPANTNMKTIQDSLEEILYLLRIPQRKPYGTMEGNVKKALKIATDEKDSILASIPTDLREKGSTLYASLIDGKNGLQALLACIKDKDPDKVSVGLASSLDTIAELELLQAPGLSFLLPEQYQRYPRLTGRGIVELTIEKGDGSTFSPEAGGEPRKTAKIQVVIDGYSAPLTAGNFAKLVR >ONI12850 pep chromosome:Prunus_persica_NCBIv2:G4:11153240:11158321:-1 gene:PRUPE_4G187400 transcript:ONI12850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPLSSAIISHRLSFNVSIAPSTPRSNLKPIHFIFCFNGKDPERSGRSRVHCLPKNNHLKELKDQQHGTLTNSYISTRGHTNKLQSLIAVILVFVQISSPLPLVGWDVLPISPANAVLYSPDTKVPRSGELALRRAIPANTNMKTIQDSLEEILYLLRIPQRKPYGTMEGNVKKALKIATDEKDSILASIPTDLREKGSTLYASLIDGKNGLQALLACIKDKDPDKVSVGLASSLDTIAELELLQAPGLSFLLPEQYQRYPRLTGRGIVELTIEKGDGSTFSPEAGGEPRKTAKIQVVIDGYSAPLTAGNFAKLIIDEAYNGAKLRSTDQAVLSDNGLDKNNGYSVPLEIKPSGQFEPLYRTKLDVQDGELPTLPLSVYGAVAMAHSEVNEEYSSPYQFFFYLYDKRNAGLGGLSFDEGEFSVFGYATAGRDILSQIKTGDVIQSAKLIDGQDRLVLPDEK >ONI12849 pep chromosome:Prunus_persica_NCBIv2:G4:11153273:11158321:-1 gene:PRUPE_4G187400 transcript:ONI12849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPLSSAIISHRLSFNVSIAPSTPRSNLKPIHFIFCFNGKDPERSGRSRVHCLPKNNHLKELKDQQHGTLTNSYISTRGHTNKLQSLIAVILVFVQISSPLPLVGWDVLPISPANAVLYSPDTKVPRSGELALRRAIPANTNMKTIQDSLEEILYLLRIPQRKPYGTMEGNVKKALKIATDEKDSILASIPTDLREKGSTLYASLIDGKNGLQALLACIKDKDPDKVSVGLASSLDTIAELELLQAPGLSFLLPEQYQRYPRLTGRGIVELTIEKGDGSTFSPEAGGEPRKTAKIQVVIDGYSAPLTAGNFAKLIIDEAYNGAKLRSTDQAVLSDNGLDKNNGYSVPLEIKPSGQFEPLYRTKLDVQAGLGGLSFDEGEFSVFGYATAGRDILSQIKTGDVIQSAKLIDGQDRLVLPDEK >ONI12851 pep chromosome:Prunus_persica_NCBIv2:G4:11153273:11158321:-1 gene:PRUPE_4G187400 transcript:ONI12851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIQDSLEEILYLLRIPQRKPYGTMEGNVKKALKIATDEKDSILASIPTDLREKGSTLYASLIDGKNGLQALLACIKDKDPDKVSVGLASSLDTIAELELLQAPGLSFLLPEQYQRYPRLTGRGIVELTIEKGDGSTFSPEAGGEPRKTAKIQVVIDGYSAPLTAGNFAKLIIDEAYNGAKLRSTDQAVLSDNGLDKNNGYSVPLEIKPSGQFEPLYRTKLDVQAGLGGLSFDEGEFSVFGYATAGRDILSQIKTGDVIQSAKLIDGQDRLVLPDEK >ONI12853 pep chromosome:Prunus_persica_NCBIv2:G4:11153273:11155448:-1 gene:PRUPE_4G187400 transcript:ONI12853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTCAINRLCNDIICAVSRISIFSFNTCRLTGRGIVELTIEKGDGSTFSPEAGGEPRKTAKIQVVIDGYSAPLTAGNFAKLIIDEAYNGAKLRSTDQAVLSDNGLDKNNGYSVPLEIKPSGQFEPLYRTKLDVQDGELPTLPLSVYGAVAMAHSEVNEEYSSPYQFFFYLYDKRNAGLGGLSFDEGEFSVFGYATAGRDILSQIKTGDVIQSAKLIDGQDRLVLPDEK >ONI10720 pep chromosome:Prunus_persica_NCBIv2:G4:3044347:3046366:1 gene:PRUPE_4G063400 transcript:ONI10720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQGNNLNGLIPSSIFNISMISEISLSFNQLSGSLPANIGLRLPNLQYLYIGATDLSGVIPNLSNASALVTIDMNENSFTGFLPSTLCAVTNLQSLRLKNNNLTIDTSIQEANTLSCLANLRSLTRLSLPENPLNARLDDSFWNCSTSSLQYIYLYNCNMRANIPIGIGNISSLVTLTLRNNQLSGSIPTSLRRLGNLQGLFLNDNKLRGYIPYQLCQLDNLAYLILSSNQLSGSIPFCLGNLTASLRYLSLGSNSLSSTIPSTFWRLAYILSVDLSSNYLIGHLSQDIGNLKVVIEVDLSNNNLSGILPNTTGGLRGLVNLSLANNNLEGPIPSSFDGLLSLQLLDFSRNNLSGVIPKSLEALSLLKYMDLSFNRLQGEIPTGGPFQNFSAQSFVSNKALCGAARLHVPPCKNGTVEPNWRKAKYIIPGIISVILFVASASIFVLRRKRNVEVAGEATSLPQLLWRRISHLELLRATNGFNENNLLGSGGFGSVYKGTLSDGIDVAVKVFSLQLEGDFKSFDRECEMLIKQYSNLIKIISCCSELDFKALVLNYMPNGSLDKWLYSQNYSLNILQRLNIMIDAAFALEYLHHGYSIPILHCDMKPSNILLDDDMVAHVADFGIAKLLGGEDSITQTMTLATVGYMAPGDVLANLISFNSIRAFFNYA >ONI12546 pep chromosome:Prunus_persica_NCBIv2:G4:10074944:10076392:1 gene:PRUPE_4G170700 transcript:ONI12546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKHQTPKSSSSNFFSCRYSTIFTLLLLFTSFFLFLASLRNLQEPFFWADYTQFNGDLRDAKFPWNKLCFGPTFEKLKIAVFSKTWPVGAAPGGMERHASTLYHALASRGHEIHVFTVPSDRRPRWDIHEGNLHVYFAANDHGSVNISLAFDVFNKVNENGAFDYVHTESVSLPHWRAKMVPNVAVTWHGIWYEIMHSKLLQELLSNPKGQLSEPMTELHEAMPRLVEEIRFFKSYTQHICISSSAGEVLVNIYQLPQRNVHVILNGVDETEFTPDQEAGARFREKYGVPANVSIVMGVAGRLVRDKGHPLLYEAFSSIVRRHPGVFLLVAGSGPWRRRYAELGPNVKVLGALEPLELSKFYNALDVFVNPTLRPQGLDLTLIEAMQSGKAVLTPNYPSIVGTVVVDEEFGYTFSPNVKSFVEALESAIRDGPNVLKRKGLACKKYVVSMFTATKMASAYERFFLCMKNTKYCQYPLPTDC >ONI13207 pep chromosome:Prunus_persica_NCBIv2:G4:13099878:13102649:1 gene:PRUPE_4G210000 transcript:ONI13207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQQKKQLVTVGLLIFTVFISGVGAWTGEIHGRVVCDVCGDSAVGPEDHVLEGAEVAVLCITKSGEVLNYQAFTNDKGIYTVAETMPESDRWDACLARPISSFHEHCTHVGDGSSGVKFSYNHPSGYSHTVRTFVYRQASVPAYCI >ONI13083 pep chromosome:Prunus_persica_NCBIv2:G4:12516792:12518009:-1 gene:PRUPE_4G201300 transcript:ONI13083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLANLNGVSETLPAIPRLPGIQGTQKRVSIVGFMGKKKDDFQEQPLQTTRRLALGLASIALVGNSCNGVSLAEGNGFWIDGPLPVPSAENKIANEKTGTRSFLKKGLYMANIGTKGRKFRLKKYAFDLLAMADLIAQDTLNYVRRFLRLKSTFMYFDFDQVISAAPVDEKQPLTDLANRLFDTVEKLDGAVRQRNLSETESYYKDTTVILQEVMDRMA >ONI13144 pep chromosome:Prunus_persica_NCBIv2:G4:12797103:12802371:-1 gene:PRUPE_4G205400 transcript:ONI13144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRDAGTPADSFYEIRPECTDVPKTRFKIKAGKTLSVRKWQAAFSPEGHLDLGKTLSRIYRGGIHPLIRGEVWEFLLGCFDPKSTFDEREQMRQRRRVEYARWKEDCRQMFPVVGSGRFITAPVISEDGQPIQDPLVLSQMNPDKGADGNRANGIEPVTDKKVIQWMLTLHQIGLDVVRTDRTLVFYEKQEHLSKLWDILAVYAWMDTDVGYCQGMSDLCSPMIILLEDEADAFWCFERLMRRLRGNFRCTDSSVGVEAQLNNLASITQVIDPKLHQHLETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSVYEESDTDSEKAEGSKGKAKSIRQCGKYERENMKSGANNSEAPLPISVFLVASVLKDKSSKLLTEARGLDDVVKILNDMTGNLDAKKACTGAMKLHKKYLKKAKKP >ONI13143 pep chromosome:Prunus_persica_NCBIv2:G4:12796764:12803002:-1 gene:PRUPE_4G205400 transcript:ONI13143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRDAGTPADSFYEIRPECTDVPKTRFKIKGIHPLIRGEVWEFLLGCFDPKSTFDEREQMRQRRRVEYARWKEDCRQMFPVVGSGRFITAPVISEDGQPIQDPLVLSQMNPDKGADGNRANGIEPVTDKKVIQWMLTLHQIGLDVVRTDRTLVFYEKQEHLSKLWDILAVYAWMDTDVGYCQGMSDLCSPMIILLEDEADAFWCFERLMRRLRGNFRCTDSSVGVEAQLNNLASITQVIDPKLHQHLETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSVYEESDTDSEKAEGSKGKAKSIRQCGKYERENMKSGANNSEAPLPISVFLVASVLKDKSSKLLTEARGLDDVVKILNDMTGNLDAKKACTGAMKLHKKYLKKAKKP >ONI13474 pep chromosome:Prunus_persica_NCBIv2:G4:14119877:14123574:1 gene:PRUPE_4G224400 transcript:ONI13474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVQYRLERMINELDDLEQRMIFTRREIAEIVKQRRKFEYRLKRPSPLKEDYLAYIEYETQLDSLRRLRKKSVMRELQKQGLKTNKKMKKSVSDFAGVRRIVDIYRLAVMRFKGDIELWFRYLEFCRERRHGRMKKVLAQVIRFHPKVPGVWIYAAAWEFDHNLNVEAARAIMQSGLRVCPTSEDLWIEYLRMELTFLNKLKARKVALGEDVGILARDQISADEKQWRDENKDLYMPFNEKGEHNEGSDIESEKSRKKIDKFQEQGFSILRTIYSGAVEALPSSFSMRKQFLEILDATDLVNSEEMHKEILSDIKRDFSTQPEYWDWLARLEYNPATTQEISEENTHSQVEKAVQVYEEAVEHLPSAIMFNQYAKFLMGVTALLKGENKPSGLASQSASYISHILRVYEKAETMGCIDEDLACQHISFHLQLGRLDEARKLAEKLCREKFSSSAQLCLLRVSIEVRHLTRDSTSPSKAELLSIFELLKDALTRVSTSEAESLWLMALKIFANQKHYFEKLVEISVKSLAKDGGTENGFSLSSAIVNFVLQKDGVRHARDVYKRFLALPHPGLAIYRTCIELESNLASNGDKDSLVNARKLYESALKNYDQNLSLWRDYYCMETKMGTSETASAVYWRARKILKDSSALVTRFVKVV >ONI11268 pep chromosome:Prunus_persica_NCBIv2:G4:4883241:4884744:1 gene:PRUPE_4G097400 transcript:ONI11268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSQSAKIIFWINSSLHLQQPIKVVIEVLQSINLPFFIAIVTKCIDTNVKVPYWILNKFPARCGKGVSEARIWLIFPPYGLNTNPVLPSLIIFFHKHANPSLEIGV >ONI12105 pep chromosome:Prunus_persica_NCBIv2:G4:8299907:8302100:1 gene:PRUPE_4G144500 transcript:ONI12105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLHEAARSGEVGFLRRMKDGDVSIDLLLQKTPKGNNILHIAAEFKQIEFFKELDDQSSLFWATNEKGDTPLHVAARVGWDQVVKFLTEHTKKMLHVQEADEESRSTDSEAHKQLLQMTNLQRDTALHVASRYGHSGVVIQLMQANPELCCYTNSANESPLFIATSRGFRHIILHLLNESPICPSFQGINGVTALHAAATHTTKDSKGIVKLMVSRNPEIVKEADAIGRTPLHYAALRGNLEATRVLTQCDSSVSYILHKSGMSALHVAAYAGQAKGQTVLHAAVLGGQVDVVKYILKTPQLAGFINEADKDGNTALHLAAIHQNIEIIGTFASDSRVDMTATNKEFSKAVDIFVVDDGKLDLIRIELVSHLGKSIGVPFFQQQISHDFMNLESPKHKDTPTISAAIGKKHRKVVVAMLIATVTFAAAFTMPGGLKSDGTAVLCGEASFQVFLLFDAFSFFLSILMVFHHFMVATVSGVLVAAPSILIQYSIGGMLVAFASGMLLVLPKHSPLGILLRIVPVSSSSREKTSRKWFLTRII >ONI14310 pep chromosome:Prunus_persica_NCBIv2:G4:22891362:22895773:1 gene:PRUPE_4G274700 transcript:ONI14310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASTIRLSSRSLIFSLFFLLPLLISNANANGDAGSAVSICIVGSGIGGSSVAHFLRRYSPPNLDFTIRIFERNGVVGGRMATVNVSGHTFEAGASVLHPRNLHALNYTKLLNLTINTPSDSESSSGFAIWDGHKFVFKTLSFKSKLPFAQKIVSLANSLIMLLRYGFSLVRMERFVESAVNNFCKYYQGLETRPVFETVDEMLQWAGLYNLTTRTLAMELADAGLSPLLIQELVTVITRINYGQSVNMSGLAGAVSLAGSGGGLWSIKGGNWQMAAGLIDRSEVDLHLHEEIESISSKGEYYELNSTQRNSYTCDVAVVATPLDELSVQFTPPISIPKRELQHTHATFVRGLLNPVYFGLNSVAEIPELVGTIEDPDLPFSSISVLKQHNENDFTYKIFSRKPMADATLDSIFSVRTETIRINWGAYPHYTAPEVFAPFILDGQHLYYVNAFENAASTMETSATAAENVARLILSRFFTSGPIKLANLSSSTDGGVRHVDL >ONI10524 pep chromosome:Prunus_persica_NCBIv2:G4:2481335:2484280:-1 gene:PRUPE_4G051800 transcript:ONI10524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEDCNDDV >ONI10531 pep chromosome:Prunus_persica_NCBIv2:G4:2482953:2484209:-1 gene:PRUPE_4G051800 transcript:ONI10531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEGGG >ONI10528 pep chromosome:Prunus_persica_NCBIv2:G4:2482953:2484209:-1 gene:PRUPE_4G051800 transcript:ONI10528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEGG >ONI10529 pep chromosome:Prunus_persica_NCBIv2:G4:2482953:2484209:-1 gene:PRUPE_4G051800 transcript:ONI10529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEGG >ONI10520 pep chromosome:Prunus_persica_NCBIv2:G4:2480901:2484281:-1 gene:PRUPE_4G051800 transcript:ONI10520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEDG >ONI10533 pep chromosome:Prunus_persica_NCBIv2:G4:2482953:2484209:-1 gene:PRUPE_4G051800 transcript:ONI10533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEGGG >ONI10532 pep chromosome:Prunus_persica_NCBIv2:G4:2482953:2484209:-1 gene:PRUPE_4G051800 transcript:ONI10532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEGGG >ONI10523 pep chromosome:Prunus_persica_NCBIv2:G4:2480941:2484288:-1 gene:PRUPE_4G051800 transcript:ONI10523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEDCNDDV >ONI10526 pep chromosome:Prunus_persica_NCBIv2:G4:2482053:2484265:-1 gene:PRUPE_4G051800 transcript:ONI10526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEGQDKTR >ONI10521 pep chromosome:Prunus_persica_NCBIv2:G4:2480901:2484268:-1 gene:PRUPE_4G051800 transcript:ONI10521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEGL >ONI10530 pep chromosome:Prunus_persica_NCBIv2:G4:2482953:2484209:-1 gene:PRUPE_4G051800 transcript:ONI10530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEGG >ONI10525 pep chromosome:Prunus_persica_NCBIv2:G4:2482765:2484209:-1 gene:PRUPE_4G051800 transcript:ONI10525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEGQDKTR >ONI10522 pep chromosome:Prunus_persica_NCBIv2:G4:2480901:2484265:-1 gene:PRUPE_4G051800 transcript:ONI10522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEDCNDDV >ONI10527 pep chromosome:Prunus_persica_NCBIv2:G4:2482765:2484209:-1 gene:PRUPE_4G051800 transcript:ONI10527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAARSVLRSTAARATVGSRLASAARPKPASSPFRIPKLNQSSTSPRIFRSPVELSCCVETMLPYHTATASALLTSMLSVSQRSYGWTPEGQDKTR >ONI14111 pep chromosome:Prunus_persica_NCBIv2:G4:19144534:19145269:1 gene:PRUPE_4G262700 transcript:ONI14111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVKELQTMNDQALGMICDYLKLKMFEENAQVVEAGQPLNAMLYIIAGSMQAYLPIRAAGEACPSTSFETLEKGMFVGEQLLDWAAKTKTFYDQLVSFKTVRCAEKVEAFALTVNDLKTLVVSRDIFQRSSKSEV >ONI14273 pep chromosome:Prunus_persica_NCBIv2:G4:22493408:22493726:1 gene:PRUPE_4G272500 transcript:ONI14273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSGKLGFETHVSPLKARPCGPGSLRILVSLLPLHDSHDSHNSREVYLQVSSSTRQRVTLPCDNTITPHPATNP >ONI11149 pep chromosome:Prunus_persica_NCBIv2:G4:4480934:4488413:-1 gene:PRUPE_4G090100 transcript:ONI11149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVMNRDSPGSSSKQMDSSKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLTAMNKLLMEENDRLQKQVSHLVYENGFMRQQLHSASGTTTDNSCESVVMSGQHQQQQNPTPQHPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRTSWFRDCRCLDVLSLIPAGNGGTIELTYMQTYAPTTLAAARDFWTLRYTTSLEDGSLVVCERSLTSSTGGPTGPPSASFVRAEMLPSGYLIRPCEGGGSIINIVDHVDLDAWSVPEVLRSLYESSKILAQKMTISALRHIRQIAQETSGEIQYGGGRQPAVLRTFCQRLCRGFNDAVNGFADDGWSLMGSDGVEDVTITINSSPNKFLGSQYNASIFPTFGGGVLCAKASMLLQSVPPALLVRFLREHRSEWADYGVDAYSAACLKASPYAVPCARPGGFPSSQVILPLAQTVENEEFLEVVRLEGHAFSPEDVALARDMYLLQLCSGVDENAVGSCAQLVFAPIDESFADDAPLLPSGFHVIPLDPKTDGPTANRTLDLASTLEVGPSGSRPVNEADGNSYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVSSVQRVAMAIAPSRLSSQMVPKPLPGSPEAHTLARWICRSYRIHTGAELFRVDSPSGDAVLKQLWHHSDAIMCCSVKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKILCSEFSKIMQQGFAYLPAGLCASSMGRPVSYDQAVAWKVVNDDDSNHCLAFMFMSWSFV >ONI12255 pep chromosome:Prunus_persica_NCBIv2:G4:8825038:8830831:-1 gene:PRUPE_4G154100 transcript:ONI12255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEEKDSVEYYSLLKDFRAEIEVQEGAFSLCFWLYLMSSTAFPARLIQVQSGATEGAPFLVLSEKKKMVLLPLGIVHKEAPETCDLTSLSEVPHVAMETEFPMEKWIHIGCEVSSDFVLLHIDGEIAGKRAMSPLFNKDSISGGLTKITLVCGGGDDNSLQGYVHNHKVLPLTSSIMEYYAKDPPLQLSIDNSSASEIEEGSDGVWSIVGGKASCRRIFSLDVVLLDAFSHPINKELEVIASLVYADNGAPVEKTSDGEAPLLASQDGVEFASCDRPSKMLHGHASFKLKISQLSSKCDNRMFRIMFHMPKLETYPFLKAFSPPIRCISRNRSTRMSSRLWKRPASANDQLNLSQLSGLDDETLELHHSSIHEEKLSPSSKRCRLGQDDECNSHSRTANQVKNVVRRSDSLEELDDSQTDSENPEARNSALKSTSSSRNPMSDATIFKYCLAGLTEKTLLLKEISSTVSNEELLSFSHQVSLYSGCSHHRHQIAMAKQLIEEGNKAWNLISQNKHQVPWESVVFEIEEQFMKIAACNSRALTQQNQPFIKDCCMTRQLKLVEQSTLHTVKLSDELYFLINFIFVFNPLSL >ONI12253 pep chromosome:Prunus_persica_NCBIv2:G4:8823512:8830871:-1 gene:PRUPE_4G154100 transcript:ONI12253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEEKDSVEYYSLLKDFRAEIEVQEGAFSLCFWLYLMSSTAFPARLIQVQSGATEGAPFLVLSEKKKMVLLPLGIVHKEAPETCDLTSLSEVPHVAMETEFPMEKWIHIGCEVSSDFVLLHIDGEIAGKRAMSPLFNKDSISGGLTKITLVCGGGDDNSLQGYVHNHKVLPLTSSIMEYYAKDPPLQLSIDNSSASEIEEGSDGVWSIVGGKASCRRIFSLDVVLLDAFSHPINKELEVIASLVYADNGAPVEKTSDGEAPLLASQDGVEFASCDRPSKMLHGHASFKLKISQLSSKCDNRMFRIMFHMPKLETYPFLKAFSPPIRCISRNRSTRMSSRLWKRPASANDQLNLSQLSGLDDETLELHHSSIHEEKLSPSSKRCRLGQDDECNSHSRTANQVKNVVRRSDSLEELDDSQTDSENPEARNSALKSTSSSRNPMSDATIFKYCLAGLTEKTLLLKEISSTVSNEELLSFSHQVSLYSGCSHHRHQIAMAKQLIEEGNKAWNLISQNKHQVPWESVVFEIEEQFMKIAACNSRALTQQDFELLRRIAGCQEYLAQENFEKMWCWLYPVALTLSKDWINTMWSSTSPKWIEGFITKEEAETSLQGSRGLQESGTFVLRFPNSRSWPHPDAGSLVVTYVGSKHTIHNKLISLDQMFSFAEREKNAKPLQDMLLAEPELSRLGRIIRSR >ONI12254 pep chromosome:Prunus_persica_NCBIv2:G4:8823512:8830831:-1 gene:PRUPE_4G154100 transcript:ONI12254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEEKDSVEYYSLLKDFRAEIEVQEGAFSLCFWLYLMSSTAFPARLIQVQSGATEGAPFLVLSEKKKMVLLPLGIVHKEAPETCDLTSLSEVPHVAMETEFPMEKWIHIGCEVSSDFVLLHIDGEIAGKRAMSPLFNKDSISGGLTKITLVCGGGDDNSLQGYVHNHKVLPLTSSIMEYYAKRNIFVFQDPPLQLSIDNSSASEIEEGSDGVWSIVGGKASCRRIFSLDVVLLDAFSHPINKELEVIASLVYADNGAPVEKTSDGEAPLLASQDGVEFASCDRPSKMLHGHASFKLKISQLSSKCDNRMFRIMFHMPKLETYPFLKAFSPPIRCISRNRSTRMSSRLWKRPASANDQLNLSQLSGLDDETLELHHSSIHEEKLSPSSKRCRLGQDDECNSHSRTANQVKNVVRRSDSLEELDDSQTDSENPEARNSALKSTSSSRNPMSDATIFKYCLAGLTEKTLLLKEISSTVSNEELLSFSHQVSLYSGCSHHRHQIAMAKQLIEEGNKAWNLISQNKHQVPWESVVFEIEEQFMKIAACNSRALTQQDFELLRRIAGCQEYLAQENFEKMWCWLYPVALTLSKDWINTMWSSTSPKWIEGFITKEEAETSLQGSRGLQESGTFVLRFPNSRSWPHPDAGSLVVTYVGSKHTIHNKLISLDQMFSFAEREKNAKPLQDMLLAEPELSRLGRIIRSR >ONI11337 pep chromosome:Prunus_persica_NCBIv2:G4:5228799:5229620:-1 gene:PRUPE_4G102300 transcript:ONI11337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHHSSLLFIFLTTLFTAIIAASPSPPSPPQPPSPSPSSSPPPPPPPPPPSSSQPPSPPSPTSTPQQLNNIIDALIGAGDFGNWVNIITGVNPLVLPLSATLFIPQDDALNRMPTTDPFMFPYHVVPQRLIFSELQLFEPKSRLPTLLPGKSILITNASRSNFSLDGAPLTQPDLYISATVAVHGVGAILEYSVYGDGFNLLPKPNSQQGQQQPLPPPPPTPSPGLFSPAGEIVGGGWRSDAAPPCHCVEFPVGWFLVACAVLGFKIQRNY >ONI13132 pep chromosome:Prunus_persica_NCBIv2:G4:12744185:12747096:-1 gene:PRUPE_4G204700 transcript:ONI13132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVGPRSGDAIFANVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEVIAKIGFKMFLGVTASVTNWDADGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVVRGALEMVSMKTEISWIRDMLRGDDAFELQVKLLKQVPEEYPYKDDE >ONI11258 pep chromosome:Prunus_persica_NCBIv2:G4:4842619:4845010:-1 gene:PRUPE_4G096400 transcript:ONI11258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPIPNLSIFPLFLFLLISTSLTQPLPSVQDNFIKCLSENSEILIPFSTALITPNNSTFIPTLQSTAQNLRYLVPSVPKPEFIFTPLHDSHVQAAVICSKQLGIHLRVRSGGHDYEALSYVSQTEAPFIVVDLAKLRSVIVDIEDNSSWVQAGASIGEVYYRIAQKSKTHGFPAGLCTSLGVGGHITGGAYGSMMRKYGLGADNVIDARIIDVSGRVLDRAAMGEDLFWAIRGGGGASFGIILWWKINLVPVPSTVTVFTVAKTLETGATKVLHKWQQVAATELDEDLFIRVVIQSSKDSKTGTKTITTLYQAQFLGDADRLLDVVKTKFPELGLTKKDCTETSWLKSVMYIAGYPSGTPPEVLLQGKSTFKNYFKAKSDFVKDPIPETGLEGLWKRLMEEESPLVIFNPYGGQMSRISESEIPFPHRNGILFKIQYLTTWQDPHEDEAKHMDWIRKLYNYMAPYATMFPRQAYVNYRDLDLGINKKSNISFIEASAWGNRYFKDNFNRLIKIKTKVDPDNFFRHEQSIPPLPLPKRSRTGHHQHSL >ONI11093 pep chromosome:Prunus_persica_NCBIv2:G4:4283757:4285860:-1 gene:PRUPE_4G087200 transcript:ONI11093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEAKRFGRGPRELGGATDLINLYKLWPHHEFFCKRSLPLSISETHYLHNVVGDTLIRKGEGMELDQLFQGNLYMREKNAHIHPFDLDVLCEAFLIRETTPVKLPSAEKGIRTSVVKSKSELENKERKHKKHKDKDKDHKKHKNRHKDNSGDAVKNINVDYGLKTEQLKERQDMFIQKRRLDGFEDPSVFGHKKARIQR >ONI11094 pep chromosome:Prunus_persica_NCBIv2:G4:4283257:4286512:-1 gene:PRUPE_4G087200 transcript:ONI11094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEAKRFGRGPRELGGATDLINLYKLWPHHEFFCKRSLPLSISETHYLHNVVGDTLIRKGEGMELDQLFQGNLYMREKNAHIHPFDLDVLCEAFLIRETTPVKLPSAEKGIRTSVVKSKSELENKERKHKKHKDKDKDHKKHKNRHKDNSGDAVKNINVDYGLKTEQLKERQDMFIQKRRLDGFEDPSVFGHKKARIQR >ONI11656 pep chromosome:Prunus_persica_NCBIv2:G4:6425888:6427656:1 gene:PRUPE_4G118700 transcript:ONI11656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMGVVGEEVQPRSYCWSTYRTALSQTGYRFKNRLISRSDDNNEIGELPKRSENDMKRCLTWWDLIWFGFGSVIGAGIFVLTGQEIHDHAGPAIVLSYVASGVSAMLSVFSNTEFSVEVPVAELGDFIAFTTAGNILLEGIVGSAAIARSWTSYFTSLLNRPSNSLRIHTNLTEGFNLLDPIAVAVLTIAATIAMISTRKTSYLNWIASVVNNVVILFVIIAAFIHANPSNMKPFFPFGARGVFQAAAIVYLAYGGFDNIATMAEETKYPSRDIPIGLIGSMSIITVVYCLTAVSLPMMQKYTDIDPNVAYSVAFQSVGMTWAKYLVALGALKGMTTVLLVGTLGQARYITHIARAHMIPPWFALVHPKTGTPINASLDCHSKWLYCFVFELGCLGRSVIMSTLFIFMMMAVALLVRRYYVREITPQHHLLKRHFLLIIIASSMWTSAYWGLNPNGWVGYVVTVPLWFFGTLAMAVFLPQQRSPKVWGVSTGSLVAILVNCNKHFSYGLSGFGICTVVMLIYYVFFGLHATYDMAHKQDQPESLKVDDSNIREKAEP >ONI13311 pep chromosome:Prunus_persica_NCBIv2:G4:13436357:13438225:1 gene:PRUPE_4G214900 transcript:ONI13311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIPLWNLGKVVVPGSSQSEKGRQSPMENRYGLTTQGSGVWRALRDGDFEEEDVWEVLKDRNSSSNKMVGRSKESSVSVPRHLPTASRMIPKASSHNYGSGSSCSSSNTITHEAKIVQQSAPVNIPDWSEVYGQKSKKAPKNVSWQNDDADDEEEVGDDDGDSDDDDEEEEDYDSKVPPHEFIARRLARSQISSFSVVEGAGRTLKGRDLSKVRNAVLTKTGFLESL >ONI13312 pep chromosome:Prunus_persica_NCBIv2:G4:13436357:13439356:1 gene:PRUPE_4G214900 transcript:ONI13312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIPLWNLGKVVVPGSSQSEKGRQSPMENRYGLTTQGSGVWRALRDGDFEEEDVWEVLKDRNSSSNKMVGRSKESSVSVPRHLPTASRMIPKASSHNYGSGSSCSSSNTITHEAKIVQQSAPVNIPDWSEVYGQKSKKAPKNVSWQNDDADDEEEVGDDDGDSDDDDEEEEDYDSKVPPHEFIARRLARSQISSFSVVEGAGRTLKGRDLSKVRNAVLTKTGFLESL >ONI13313 pep chromosome:Prunus_persica_NCBIv2:G4:13436357:13439356:1 gene:PRUPE_4G214900 transcript:ONI13313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRYGLTTQGSGVWRALRDGDFEEEDVWEVLKDRNSSSNKMVGRSKESSVSVPRHLPTASRMIPKASSHNYGSGSSCSSSNTITHEAKIVQQSAPVNIPDWSEVYGQKSKKAPKNVSWQNDDADDEEEVGDDDGDSDDDDEEEEDYDSKVHQEAAAIVFWETLENPKGERNFLREAKMDKIALIYFWIS >ONI13310 pep chromosome:Prunus_persica_NCBIv2:G4:13436357:13439356:1 gene:PRUPE_4G214900 transcript:ONI13310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIPLWNLGKVVVPGSSQSEKGRQSPMENRYGLTTQGSGVWRALRDGDFEEEDVWEVLKDRNSSSNKMVGRSKESSVSVPRHLPTASRMIPKASSHNYGSGSSCSSSNTITHEAKIVQQSAPVNIPDWSEVYGQKSKKAPKNVSWQNDDADDEEEVGDDDGDSDDDDEEEEDYDSKVHQEAAAIVFWETLENPKGERNFLREAKMDKIALIYFWIS >ONI13315 pep chromosome:Prunus_persica_NCBIv2:G4:13436357:13438226:1 gene:PRUPE_4G214900 transcript:ONI13315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRYGLTTQGSGVWRALRDGDFEEEDVWEVLKDRNSSSNKMVGRSKESSVSVPRHLPTASRMIPKASSHNYGSGSSCSSSNTITHEAKIVQQSAPVNIPDWSEVYGQKSKKAPKNVSWQNDDADDEEEVGDDDGDSDDDDEEEEDYDSKVPPHEFIARRLARSQISSFSVVEGAGRTLKGRDLSKVRNAVLTKTGFLESL >ONI13316 pep chromosome:Prunus_persica_NCBIv2:G4:13436357:13439356:1 gene:PRUPE_4G214900 transcript:ONI13316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRYGLTTQGSGVWRALRDGDFEEEDVWEVLKDRNSSSNKMVGRSKESSVSVPRHLPTASRMIPKASSHNYGSGSSCSSSNTITHEAKIVQQSAPVNIPDWSEVYGQKSKKAPKNVSWQNDDADDEEEVGDDDGDSDDDDEEEEDYDSKVPPHEFIARRLARSQISSFSVVEGAGRTLKGRDLSKVRNAVLTKTGFLESL >ONI13314 pep chromosome:Prunus_persica_NCBIv2:G4:13436357:13439356:1 gene:PRUPE_4G214900 transcript:ONI13314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRYGLTTQGSGVWRALRDGDFEEEDVWEVLKDRNSSSNKMVGRSKESSVSVPRHLPTASRMIPKASSHNYGSGSSCSSSNTITHEAKIVQQSAPVNIPDWSEVYGQKSKKAPKNVSWQNDDADDEEEVGDDDGDSDDDDEEEEDYDSKVPPHEFIARRLARSQISSFSVVEGAGRTLKGRDLSKVRNAVLTKTGFLESL >ONI13317 pep chromosome:Prunus_persica_NCBIv2:G4:13437021:13439356:1 gene:PRUPE_4G214900 transcript:ONI13317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRYGLTTQGSGVWRALRDGDFEEEDVWEVLKDRNSSSNKMVGRSKESSVSVPRHLPTASRMIPKASSHNYGSGSSCSSSNTITHEAKIVQQSAPVNIPDWSEVYGQKSKKAPKNVSWQNDDADDEEEVGDDDGDSDDDDEEEEDYDSKVPPHEFIARRLARSQISSFSVVEGAGRTLKGRDLSKVRNAVLTKTGFLESL >ONI14493 pep chromosome:Prunus_persica_NCBIv2:G4:24314699:24318236:-1 gene:PRUPE_4G283100 transcript:ONI14493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNQAKPGLLCNPEASQRTLYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQELLRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGQKYLGMVSMIGVNFEDNHVATGFGNHLARPILRDEWHENLTFEEGVKLLEKCMRVLLYRDRSAVNKLQISKITEEGVTISQPYSLKTFWGFSAFENPTLGAEGSW >ONI14494 pep chromosome:Prunus_persica_NCBIv2:G4:24315154:24317547:-1 gene:PRUPE_4G283100 transcript:ONI14494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERMKPIGKHSLLGASGEISDFQELLRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKKGQKYLGMVSMIGVNFEDNHVATGFGNHLARPILRDEWHENLTFEEGVKLLEKCMRVLLYRDRSAVNKLQISKITEEGVTISQPYSLKTFWGFSAFENPTLGAEGSW >ONI14438 pep chromosome:Prunus_persica_NCBIv2:G4:23795487:23802950:-1 gene:PRUPE_4G281000 transcript:ONI14438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREREGERARETERGGWKHNEGEVSKRLMPRFFNIEAKEFRIKVDDLSYGGSILISEKVKERLFHVSLELSCVEWLINELQLILENKVQSVFRSYRGDSYQVWVEKGHNWKGYYLRLTKCVHGFTKSVVIPQGKNDSGWIQIKENLAGILKGKNRTSRHWFTEEDGNTAVSARDRVLGKTYKDAVLNEGVVQEQEKGYGSSDDTTNWSWVVVCERLVLHQPWDGIRKAMEGRLEKNVILFPYQINRAFFSCDSKTEALKVCSSGKLAVEGQTDVLLYSWEHGLKNNIPKIVSYGGWIGINGLPMHWWNKEFFQRIGLECGGLLQVDQRTENYLYLFEARIKTRKNFIGFLPEILTLTEGTESYHVKIRPISPAIRPTRSRHGQPRISANGTVQAVAGGEVLTSQRQWRVVENSQVDWARSNINEFDWLEKGNRSCFSGTSQNLKFQGDGTSIIAAENLILPPFMSALSDKATKDIALTMGPIIGWRRLE >ONI11866 pep chromosome:Prunus_persica_NCBIv2:G4:7226522:7227903:-1 gene:PRUPE_4G130800 transcript:ONI11866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLPNFSPSLLLQTKSKEKPMNQTLSLTKPSFCFSHLSPLASLQTPTLLEGAQLNTSRVAQDKQQRDDFYVNLGVAVRTLREDVPLIFSKDLNYDIYRDDITFTDPLNTFTGIENYKLIFWALRFHGKILFRDISLEVYRIWQPSENVILIRWNMKGVPRVPWEAQGQFQGTSRYKLDRKGKIYEHKVDNLAFNFPQKLKPAASVLDLVAACPPSPNLTFLWGPADVYSSSWVEFYRAVRGTLHRDGFLLPQDGLVS >ONI11410 pep chromosome:Prunus_persica_NCBIv2:G4:5448184:5459008:1 gene:PRUPE_4G105500 transcript:ONI11410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPELSARTVLEPLSFFNLSDHSRAQVTSLAIYTVSDSQCLIYIGTQFGALFLFSVNPGNPNDETRSDRSNSPSVLQNISLLRKVVVGNSSVESIQVFGDIGKLLVLLGGFLFTVDSLLLQPVKRLSFLRGISVITRRLRSSESECSNLSALSNSSEYTSTSQRFLQKLGSGIRANGLKMKETVQQRVDNHVFSVVIGKRLVLIELVLINRVGKSDQDIDDGSFVILKEIQCIDGVMAMVWLNDSIIVSTVNGYSLFSCVTGQSGVIFSLPDGSGLPRLKLLCKEWNLLLLVDNVGIIANAHGQPVGGSLVFHSKPDSIGEISSYVVVARDGKLELYHKKTGTCIQMVTFGGEGVGGPCVVADEEDRTGNLVVVATPTKVVCFRKLPSEEQIKDLLRKKNFKEAISLVEELESEGELSKDMLSFVHAQVGFLLLFDLHFEEAVNHFLQSEAMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLLAIQRAIFLRKAGVETVVDDAFLLNPPSRDNLLESAIKSITRYLEVSREKELTPSVKEGVDTLLMYLYRALNNVYNMEKLASSANSCVVEELETLLDDSGHLRTLAFLYASKGMSSKALGIWRVLARHYSSGLWKDPVMESGPQDGGTNIVSGKETAAAEASKLLEESSDPGLVLQHLGWVADINQVFAVQVLTSEKRVNQLPPDEVIAAIDPKKVEIFQRYLQWLIEDQESYDSQFHTLYALSLAKSAIEAFQSEIASQNLDPGRTEETNISDHRTSLIFQSPVRERLQIFLEASDLYDPEEVLDLIEGSELWSEKAILYKKLGQEALVLQILALKLENSEAAEQYCAEIGRPDVYMQLLDMYLDPQDGKEPMFKAAVRLLHNHGESLDPLQVLERLSPDMPLQLASETILRMLRARLHHYRQGRIVHNLSRALDTDASLAILEEKSRHVQINDESLCDSCHARLGTKLFAMYPDDTVVCYKCFRRQGESTSVTGRNFKQDVLVKPGWLVTR >ONI11411 pep chromosome:Prunus_persica_NCBIv2:G4:5448184:5459008:1 gene:PRUPE_4G105500 transcript:ONI11411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPELSARTVLEPLSFFNLSDHSRAQVTSLAIYTVSDSQCLIYIGTQFGALFLFSVNPGNPNDETRSDRSNSPSVLQNISLLRKVVVGNSSVESIQVFGDIGKLLVLLGGFLFTVDSLLLQPVKRLSFLRGISVITRRLRSSESECSNLSALSNSSEYTSTSQRFLQKLGSGIRANGLKMKETVQQRVDNHVFSVVIGKRLVLIELVLINRVGKSDQDIDDGSFVILKEIQCIDGVMAMVWLNDSIIVSTVNGYSLFSCVTGQSGVIFSLPDGSGLPRLKLLCKEWNLLLLVDNVGIIANAHGQPVGGSLVFHSKPDSIGEISSYVVVARDGKLELYHKKTGTCIQMVTFGGEGVGGPCVVADEEDRTGNLVVVATPTKVVCFRKLPSEEQIKDLLRKKNFKEAISLVEELESEGELSKDMLSFVHAQVGFLLLFDLHFEEAVNHFLQSEAMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLLAIQRAIFLRKAGVETVVDDAFLLNPPSRDNLLESAIKSITRYLEVSREKELTPSVKEGVDTLLMYLYRALNNVYNMEKLASSANSCVVEELETLLDDSGHLRTLAFLYASKGMSSKALGIWRVLARHYSSGLWKDPVMESGPQDGGTNIVSGKETAAAEASKLLEESSDPGLVLQHLGWVADINQVFAVQVLTSEKRVNQLPPDEVIAAIDPKKVEIFQRYLQWLIEDQESYDSQFHTLYALSLAKSAIEAFQSEIASQNLDPGRTEETNISDHRTSLIFQSPVRERLQIFLEASDLYDPEEVLDLIEGSELWSEKAILYKKLGQEALVLQILALLLDMYLDPQDGKEPMFKAAVRLLHNHGESLDPLQVLERLSPDMPLQLASETILRMLRARLHHYRQGRIVHNLSRALDTDASLAILEEKSRHVQINDESLCDSCHARLGTKLFAMYPDDTVVCYKCFRRQGESTSVTGRNFKQDVLVKPGWLVTR >ONI11412 pep chromosome:Prunus_persica_NCBIv2:G4:5448184:5459008:1 gene:PRUPE_4G105500 transcript:ONI11412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETVQQRVDNHVFSVVIGKRLVLIELVLINRVGKSDQDIDDGSFVILKEIQCIDGVMAMVWLNDSIIVSTVNGYSLFSCVTGQSGVIFSLPDGSGLPRLKLLCKEWNLLLLVDNVGIIANAHGQPVGGSLVFHSKPDSIGEISSYVVVARDGKLELYHKKTGTCIQMVTFGGEGVGGPCVVADEEDRTGNLVVVATPTKVVCFRKLPSEEQIKDLLRKKNFKEAISLVEELESEGELSKDMLSFVHAQVGFLLLFDLHFEEAVNHFLQSEAMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLLAIQRAIFLRKAGVETVVDDAFLLNPPSRDNLLESAIKSITRYLEVSREKELTPSVKEGVDTLLMYLYRALNNVYNMEKLASSANSCVVEELETLLDDSGHLRTLAFLYASKGMSSKALGIWRVLARHYSSGLWKDPVMESGPQDGGTNIVSGKETAAAEASKLLEESSDPGLVLQHLGWVADINQVFAVQVLTSEKRVNQLPPDEVIAAIDPKKVEIFQRYLQWLIEDQESYDSQFHTLYALSLAKSAIEAFQSEIASQNLDPGRTEETNISDHRTSLIFQSPVRERLQIFLEASDLYDPEEVLDLIEGSELWSEKAILYKKLGQEALVLQILALKLENSEAAEQYCAEIGRPDVYMQLLDMYLDPQDGKEPMFKAAVRLLHNHGESLDPLQVLERLSPDMPLQLASETILRMLRARLHHYRQGRIVHNLSRALDTDASLAILEEKSRHVQINDESLCDSCHARLGTKLFAMYPDDTVVCYKCFRRQGESTSVTGRNFKQDVLVKPGWLVTR >ONI12482 pep chromosome:Prunus_persica_NCBIv2:G4:9726566:9731512:-1 gene:PRUPE_4G167600 transcript:ONI12482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAFNEMGCSVEEATGLAFRFVIGKTKEKQKMAELAKEVAEYDDFLLLDIEEEYSKLPYKTLAFFKAAYALFEADFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCMKKGPVFTDPKLKWYEPLSYLLGSEYFLHAYGPLYALSADVVASLVALRNNSFRMFSNEDVTIGAWMLAMNVNHENNQALCSPRCTSTSIAVWDIPKCSGLCNPETKLLELHQKDSCSKGPTLESDD >ONI12483 pep chromosome:Prunus_persica_NCBIv2:G4:9727328:9731513:-1 gene:PRUPE_4G167600 transcript:ONI12483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKFFHARQPPSALRPTVLLIGFCLLFGLSGFIFGLVSLLHPSRQYKCLTSKSRSVKVIWETGSSSSSSSQNGLVLNVGDGNDNNKRKKVMGFVGIQTGFRSTGRRQSLRKTWMPSDAQGLQRVEEATGLAFRFVIGKTKEKQKMAELAKEVAEYDDFLLLDIEEEYSKLPYKTLAFFKAAYALFEADFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCMKKGPVFTDPKLKWYEPLSYLLGSEYFLHAYGPLYALSADVVASLVALRNNSFRMFSNEDVTIGAWMLAMNVNHENNQALCSPRCTSTSIAVWDIPKCSDIWIN >ONI12484 pep chromosome:Prunus_persica_NCBIv2:G4:9728105:9731542:-1 gene:PRUPE_4G167600 transcript:ONI12484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKFFHARQPPSALRPTVLLIGFCLLFGLSGFIFGLVSLLHPSRQYKCLTSKSRSVKVIWETGSSSSSSSQNGLVLNVGDGNDNNKRKKVMGFVGIQTGFRSTGRRQSLRKTWMPSDAQGLQRVEEATGLAFRFVIGKTKEKQKMAELAKEVAEYDDFLLLDIEEEYSKLPYKTLAFFKAAYALFEADFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCMKKGPVFTDPKLKWYEPLSYLLGSEYFLHAYGPLYALSADVVASLVALRNNSGISI >ONI12481 pep chromosome:Prunus_persica_NCBIv2:G4:9726566:9731553:-1 gene:PRUPE_4G167600 transcript:ONI12481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKFFHARQPPSALRPTVLLIGFCLLFGLSGFIFGLVSLLHPSRQYKCLTSKSRSVKVIWETGSSSSSSSQNGLVLNVGDGNDNNKRKKVMGFVGIQTGFRSTGRRQSLRKTWMPSDAQGLQRVEEATGLAFRFVIGKTKEKQKMAELAKEVAEYDDFLLLDIEEEYSKLPYKTLAFFKAAYALFEADFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCMKKGPVFTDPKLKWYEPLSYLLGSEYFLHAYGPLYALSADVVASLVALRNNSFRMFSNEDVTIGAWMLAMNVNHENNQALCSPRCTSTSIAVWDIPKCSGLCNPETKLLELHQKDSCSKGPTLESDD >ONI12784 pep chromosome:Prunus_persica_NCBIv2:G4:10876805:10879071:-1 gene:PRUPE_4G183500 transcript:ONI12784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAVFKLLKRHQNLAADVSPIKFDCRARHTANTRNLFSRISPLGDPSLSVVPVLDQWVQEGGKVNYFELQRIVRDLRARKRYRHALDVSEWMSSKGLCQFLPGDHAVQLDLIGRVRGLDAAESCFSSLSDEDTSKSYGALLNCYVREGLIDKSLSYMQKMKELGFATSLNYNDIMRLYIHTGQPEKIPDVLSEMKEEGVSPDNFSYRICMSSYGMRSDISSMEKVLEEMEREPHISMDWLTYALVANLYIKAGLHDKALIYLEKSEEKVNKDALGYNHLISLYASLGCKDDMMRLWSLEKTKCKKQINRDYITMLGSLVKLGELEETKKLLDEWELSCLSYDFRVPNILLIGYCQKGLVEQAEDTLRDIVKKGKTPTPNSWAILAAGYVDKQKMQKAFECMTEALNLRARNTGWRPKPGVVSSVLSWIGDNGDIEQVEAFVSLMKTVITVNREMYHALMKAYIRCGKEVEGLLHSMKEDQIEADDEILSLRQK >ONI12785 pep chromosome:Prunus_persica_NCBIv2:G4:10874329:10879071:-1 gene:PRUPE_4G183500 transcript:ONI12785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAVFKLLKRHQNLAADVSPIKFDCRARHTANTRNLFSRISPLGDPSLSVVPVLDQWVQEGGKVNYFELQRIVRDLRARKRYRHALDVSEWMSSKGLCQFLPGDHAVQLDLIGRVRGLDAAESCFSSLSDEDTSKSYGALLNCYVREGLIDKSLSYMQKMKELGFATSLNYNDIMRLYIHTGQPEKIPDVLSEMKEEGVSPDNFSYRICMSSYGMRSDISSMEKVLEEMEREPHISMDWLTYALVANLYIKAGLHDKALIYLEKSEEKVNKDALGYNHLISLYASLGCKDDMMRLWSLEKTKCKKQINRDYITMLGSLVKLGELEETKKLLDEWELSCLSYDFRVPNILLIGYCQKGLVEQAEDTLRDIVKKGKTPTPNSWAILAAGYVDKQKMQKAFECMTEALNLRARNTGWRPKPGVVSSVLSWIGDNGDIEQVEAFVSLMKTVITVNREMYHALMKAYIRCGKEVEGLLHSMKEDQIEADDEILSLRQK >ONI12786 pep chromosome:Prunus_persica_NCBIv2:G4:10876977:10878185:-1 gene:PRUPE_4G183500 transcript:ONI12786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGLCQFLPGDHAVQLDLIGRVRGLDAAESCFSSLSDEDTSKSYGALLNCYVREGLIDKSLSYMQKMKELGFATSLNYNDIMRLYIHTGQPEKIPDVLSEMKEEGVSPDNFSYRICMSSYGMRSDISSMEKVLEEMEREPHISMDWLTYALVANLYIKAGLHDKALIYLEKSEEKVNKDALGYNHLISLYASLGCKDDMMRLWSLEKTKCKKQINRDYITMLGSLVKLGELEETKKLLDEWELSCLSYDFRVPNILLIGYCQKGLVEQAEDTLRDIVKKGKTPTPNSWAILAAGYVDKQKMQKAFECMTEALNLRARNTGWRPKPGVVSSVLSWIGDNGDIEQVEAFVSLMKTVITVNREMYHALMKAYIRCGKEVEGLLHSMKEDQIEADDEILSLRQK >ONI11399 pep chromosome:Prunus_persica_NCBIv2:G4:5424975:5425457:-1 gene:PRUPE_4G105000 transcript:ONI11399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEGNEETSMESVKKFLEKEGEMAISMDGLPDKFFEPFIMKGIQVDLLEPGRIVCSFKVPPRLLNGGNFMHGGATATLVDLIGSAAILTVGTPSVGVSVEINVSYLDSAYPGEEVEVEAKALRVGKAVGVASVELRKKKTGKIIAQGRHTKYLALASKL >ONI11400 pep chromosome:Prunus_persica_NCBIv2:G4:5424698:5426373:-1 gene:PRUPE_4G105000 transcript:ONI11400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVKKFLEKEGEMAISMDGLPDKFFEPFIMKGIQVDLLEPGRIVCSFKVPPRLLNGGNFMHGGATATLVDLIGSAAILTVGTPSVGVSVEINVSYLDSAYPGEEVEVEAKALRVGKAVGVASVELRKKKTGKIIAQGRHTKYLALASKL >ONI10112 pep chromosome:Prunus_persica_NCBIv2:G4:1282528:1285955:1 gene:PRUPE_4G027700 transcript:ONI10112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFNLNVLMTLVLGLLSLSSLSEAEYRYHVCSNTTSFTPNSTYELNLNLLLSSLTSNATRELGFYNTTAGSQDPNAAVYGSFLCRADLTSDACKDCVATAARETVKEYCPFRKVTIIWYDDCMLRYSNVSFFGNMDEAPRVYLWNVGNITDPNRFNKVLGETINGLVPVAANAASGTKKFAAKKTNFTAFQELYSLVQCTPDLSSTACDRCLIGAIALLPACCNGKQGGRVLYPSCNVRYEVYPFYTFVTAPPPPLLLPPPPPASVTRSQGNDDQISTLVIGAIVVPIAILLFLVGCCFLRRRARKKYKVIQQQNVGSEINSVESLQFDLRTIEAATDNFSDQNRLGEGGFGEVYKGTLPDGQQIAVKRLSRGSGQGAEEFKNEVVLVAKLQHRNLVRLLGFCAEGEEKILVYELVENKSLDHFLFDSENPVKLDWSSRYKIIGGIARGLLYLHQDSPLKIIHRDLKASNILLNGDMNPKIADFGMARIVGVDQTQGNTRRIVGTIGYMSPEYAMHGQFSVKSDVYSLGVLILEIVTGKKNTSFYNSDRGEDLLSYAWRHWRDGTPLELLDPNLRDSYAKAEVTRCVHIGLLCVQEIPDERPTMQSVVLMLSSYSVTMALPQKPVLFLHSGTELNMPSLTTESFDQTPSNSSPFSVNEVSITELYPR >ONI11332 pep chromosome:Prunus_persica_NCBIv2:G4:5205093:5208167:-1 gene:PRUPE_4G101800 transcript:ONI11332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAGPDHLFNLRNNFYLGAYQAAINNSELPNLSPDDAVERDCLVFRSYIALGSYQLVINEIDASAATPLQAVKLLALYLTGPDYRESAISSLKELLADPGIGNNPILRLIAGTVFMHEQDYNEALKHTNAGGTMELNALNIQIFLKMHRSDYAERQLRVMQQVDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKSQVTSLILNGKAVCCMHMGNFDEAESLLVEALTKDAKDPETLANLVVCYLHLGKSPSRFLSQLKLGHPDHVLVKRAAAAEEGFDRAIQSVA >ONI12830 pep chromosome:Prunus_persica_NCBIv2:G4:11021920:11026374:-1 gene:PRUPE_4G185800 transcript:ONI12830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKARGEEDEDTECFYESLDRIVSSSCSCSTSNSGSDTESDPNPNYAVPKFPMGASIKYDVWISEPSSVSERRSKLLSEMGLTGDPVLTRAKPHLGYAGDFGRSVSSDYLISQLSSGGGGVNGIVRSKSDGGDQCNNACSTSSISSPPILSIRCASGEAETEPEPETGSFVNRNSKNCVLKSSSGKSNSSPPNKPPSGKNSRRADEIRSDSKVDEELDCNGIVKVTDGNGNAQVCTIRNLDNGKEFVVNEIREDGMWNKLKEVGTGKQLTMEEFEMSVGHSPIVQELMRRQNVEEGHKDGLESNANGGNGGVSKLKKRGGWFKSIKSVASTMTGHRDRRSSDERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVRQYGKSCKELTAMYKSQEIQAHNGSIWSIKFSLDGKYLASAGEDCVIHVWKVMESERKGDLLMEKSEDSNFNLLFSNGSPEPSSVSPNVDNHVEKKRRGRSSISRKSVSSDHYVIPETVFALSEKPISSFQGHLDDVLDLSWSKSQHLLSSSMDKTVRLWHLSTKTCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCRLYNTSENKLQQKSQINLQNKKKKSHQKKITGFQFAPGSSSEVLITSADSRIRVVDSIDLVHKFKGKHMLFYDFCHLLF >ONI12829 pep chromosome:Prunus_persica_NCBIv2:G4:11020462:11026809:-1 gene:PRUPE_4G185800 transcript:ONI12829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKARGEEDEDTECFYESLDRIVSSSCSCSTSNSGSDTESDPNPNYAVPKFPMGASIKYDVWISEPSSVSERRSKLLSEMGLTGDPVLTRAKPHLGYAGDFGRSVSSDYLISQLSSGGGGVNGIVRSKSDGGDQCNNACSTSSISSPPILSIRCASGEAETEPEPETGSFVNRNSKNCVLKSSSGKSNSSPPNKPPSGKNSRRADEIRSDSKVDEELDCNGIVKVTDGNGNAQVCTIRNLDNGKEFVVNEIREDGMWNKLKEVGTGKQLTMEEFEMSVGHSPIVQELMRRQNVEEGHKDGLESNANGGNGGVSKLKKRGGWFKSIKSVASTMTGHRDRRSSDERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVRQYGKSCKELTAMYKSQEIQAHNGSIWSIKFSLDGKYLASAGEDCVIHVWKVMESERKGDLLMEKSEDSNFNLLFSNGSPEPSSVSPNVDNHVEKKRRGRSSISRKSVSSDHYVIPETVFALSEKPISSFQGHLDDVLDLSWSKSQHLLSSSMDKTVRLWHLSTKTCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCRLYNTSENKLQQKSQINLQNKKKKSHQKKITGFQFAPGSSSEVLITSADSRIRVVDSIDLVHKFKGFRNANSQISATLTANGKYVVSASEDSHVYIWKHEADSRPSRSKSVTVTRSYEHFHCQDVSVAIPWPGVGDSWGLQDAEQNGLDNNLDEVSTANHPPTPVEVANGNEGSRSASGCTNSPLHGTISSASNTYFFDRISATWPEEKLLLATRNRSPRVSFDFTNGFNQNMSAWGMVIVTAGLRGEIRTFQNFGLPIRI >ONI14320 pep chromosome:Prunus_persica_NCBIv2:G4:22993513:23011761:1 gene:PRUPE_4G275500 transcript:ONI14320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLNVAEKPSVAKSVAGILSGNQNLRMREGRSRYNKIYEFNYSINGLPCHMLVTSVTGHLMELEFEDRFRKWHACDPAVLFTAPVRKFVPQDKLDIKRTLEEVARGCQWLVLWLDCDREGENIAFEVIEVCTAVNRQLTLRRARFSALIERDIHDAVQNLVNPNKCFADAVDARQEIDLRIGASFTRFQTMLLRDAFVIESGTNDRNLVLSYGPCQFPTLGFVVERYWEIQSHEPEEFWTINCSHRSDEGLATFNWMRGHFFDYTCAVIIYEMCVEEPTATVTKVRQQEKLKYAPAPLNTIQLEKRASIYFRMSSEHTMKVAEELYQAGFISYPRTETDSFSERTNLHAIVQEQQGHPGWGSYAQRLLDPASGLWRNPRNGGHDDKAHPPIHPTKFSSGESGWSQDHHRLYELVVRHFLACVSQPAVGAETTVEIDIAGELFFASGRVIVAKNYLDVYRFESWGGSLLPTYEVGQQFVPTTLTLDSGVTRPPPLLSEADLLSCMDKEGIGTDATMHDHIKKLLDRFYATKDSSMRFSPTNLGEALVMGYDDMGYKLWKPYLRAVMERDMKAVSEGAKSKAEVLETCLQQMKACFLDARLNKVKLFEAMAVFFERSNRSGGDEQHASGEFVRRCGLCQEADMVLRQNRDGNFMVGCLGFPQCRNVVWLPGSVSEAAVTTNVCNSCTPGPVYLIQFTFRRLEIPPDYSANHLGCIGGCDETLRQLTEICGTGSRLSARGRGGPAMPNNVQRSNTRQGACIYCHQTGHSSGNCPSQSSGPRSSRPQSMNVQSGQVSISCSACGAPCTSRTANTTTNRGRKFYSCQSQACNFFVWEDSINNGNRGRGFQRVNVSASASNPSRSQGHGRGGRGAVDAAGVTFVSATGDPISGRRCFVCGDPSHFANACPNRGI >ONI14319 pep chromosome:Prunus_persica_NCBIv2:G4:22993513:23011761:1 gene:PRUPE_4G275500 transcript:ONI14319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLNVAEKPSVAKSVAGILSGNQNLRMREGRSRYNKIYEFNYSINGLPCHMLVTSVTGHLMELEFEDRFRKWHACDPAVLFTAPVRKFVPQDKLDIKRTLEEVARGCQWLVLWLDCDREGENIAFEVIEVCTAVNRQLTLRRARFSALIERDIHDAVQNLVNPNKCFADAVDARQEIDLRIGASFTRFQTMLLRDAFVIESGTNDRNLVLSYGPCQFPTLGFVVERYWEIQSHEPEEFWTINCSHRSDEGLATFNWMRGHFFDYTCAVIIYEMCVEEPTATVTKVRQQEKLKYAPAPLNTIQLEKRASIYFRMSSEHTMKVAEELYQAGFISYPRTETDSFSERTNLHAIVQEQQGHPGWGSYAQRLLDPASGLWRNPRNGGHDDKAHPPIHPTKFSSGESGWSQDHHRLYELVVRHFLACVSQPAVGAETTVEIDIAGELFFASGRVIVAKNYLDVYRFESWGGSLLPTYEVGQQFVPTTLTLDSGVTRPPPLLSEADLLSCMDKEGIGTDATMHDHIKKLLDRFYATKDSSMRFSPTNLGEALVMGYDDMGYKLWKPYLRAVMERDMKAVSEGAKSKAEVLETCLQQMKACFLDARLNKVKLFEAMAVFFERSNRSGGDEQHASGEFVRRCGLCQEADMVLRQNRDGNFMVGCLGFPQCRNVVWLPGSVSEAAVTTNVCNSCTPGPVYLIQFTFRRLEIPPDYSANHLGCIGGCDETLRQLTEICGTGSRLSARGRGGPAMPNNVQRSNTRQGACIYCHQTGHSSGNCPSQSSGPRSSRPQSMNVQSAGQVSISCSACGAPCTSRTANTTTNRGRKFYSCQSQACNFFVWEDSINNGNRGRGFQRVNVSASASNPSRSQGHGRGGRGAVDAAGVTFVSATGDPISGRRCFVCGDPSHFANACPNRGI >ONI09958 pep chromosome:Prunus_persica_NCBIv2:G4:966184:966594:-1 gene:PRUPE_4G020700 transcript:ONI09958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQVFFMIAMLIMASAITLSATVPNQREAFFRPGSSTSRFLASQSSPGRGGCDQNPLACRATEGSAGPYCCSKNCVDLRTDISNCGSFGKRCISSEICCNAHCVNPMSHNQNCGKCSNHCKEGTSCDNGMCDCA >ONI11119 pep chromosome:Prunus_persica_NCBIv2:G4:4356295:4366891:1 gene:PRUPE_4G088500 transcript:ONI11119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTCADQSMQRGDPAWIGYIYAFSIFAGVVAGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEARKKFASGKITNLMTTDAEALQQISQSLHTLWSAPFRIIISMVLLYQQLGIASLLGALMLVLLFPLQTFVISKMQKLSKEGLQRTDKRIGLMNEILAAMDTVKSYAWESSFQSKVQGVRTDELFWFRKASLLGACNGFLLNSIPVVVTVISFGLFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLSAEERVLLPNPPLDPGLPAISIKNGYFSWDSKAEKPTLTNVNLDIPVGSLVAIVGSTGEGKTSLISAMLGELPPVADASVVMRGMVAYVPQVSWIFNATVRDNILFGSFFESARYEKAIDVTALRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCIRGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEELSNNGTLFKKLMENAGKMEEYAEEKEEGETIDQNGEMIDQNASSKPIANGVVNVMPKDASHVKKSKGKSVLIKQEERETGVISWNVLARYKNALGGLWVVMILFTCYVSTEVLRVSSSTWLSHWTDQSMIENYDPGFYNLIYALLSFGQVMVTLANSYWLIISSLYAARRLHEAMLSSILRAPMVFFQTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLFSTFILIGIVSTMSLWAIMPLLVLFYAAYLYYQSMAREVKRMDSISRSPVYAQFGEALNGLATIRAYKAYDRMSDINGKSVDNNIRFALVNMSGNRWLGIRLETLGGLMIWFTATFAVMQNGRAENQQEFASTMGLLLSYALNITSLLTGVLRLASLAENSLNAVERVGTYIDLPSEAPAIIESNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHELSFSISPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDIAKFGLTDLRKVLGIIPQSPVLFSGTVRFNLDPFHEHNDADLWEALERAHLKDAIRRNSLGLDAEVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRVLLLDAGRVREYDTPEHLLSNEGSAFSKMVQSTGSANAQYLRSLVLGGEGENRLGREENRQLDGQRRWLASSRWAAAAQFAVAVSLTSSQNDLQRLEIEDENSILKKTKDAVITLRGVLEGKHDEVIEESLDQYQISRDGWWSALYRMVEGLAVMSRLAKNRLQQSEYGFEERAVDWDHTDM >ONI11115 pep chromosome:Prunus_persica_NCBIv2:G4:4351046:4366891:1 gene:PRUPE_4G088500 transcript:ONI11115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVPLDWYCRPVAHGVWTKAVENAFGAYTPCAVDSLVVSISHLVLLGLCIYRIWRIKKDFKAQRFCLQSNVYNYVLALLAGYCTAEPLFRLIMGISVLNLDGQSGFAPFEVVSLILEALTWCSMLVMIGVETKIYIREFRWFVRFGVIYTLVGDSVMLNLILSLKDLYGRSVLYLYISEVAAQGLFGILLLVYVPNLVTYPGYTPIHTESIDDAAYEALPGGEQICPERNANIFSRVLFSWMNPLMKLGYQRPLTEKDVWKLDTWDRTETLNNKFQRCWAEERRKPKPWLLRALNSSLGGRFWWGGFWKIGNDLSQFVGPLILNHLLQSMQRGDPAWIGYIYAFSIFAGVVAGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEARKKFASGKITNLMTTDAEALQQISQSLHTLWSAPFRIIISMVLLYQQLGIASLLGALMLVLLFPLQTFVISKMQKLSKEGLQRTDKRIGLMNEILAAMDTVKSYAWESSFQSKVQGVRTDELFWFRKASLLGACNGFLLNSIPVVVTVISFGLFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLSAEERVLLPNPPLDPGLPAISIKNGYFSWDSKAEKPTLTNVNLDIPVGSLVAIVGSTGEGKTSLISAMLGELPPVADASVVMRGMVAYVPQVSWIFNATVRDNILFGSFFESARYEKAIDVTALRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCIRGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEELSNNGTLFKKLMENAGKMEEYAEEKEEGETIDQNGEMIDQNASSKPIANGVVNVMPKDASHVKKSKGKSVLIKQEERETGVISWNVLARYKNALGGLWVVMILFTCYVSTEVLRVSSSTWLSHWTDQSMIENYDPGFYNLIYALLSFGQVMVTLANSYWLIISSLYAARRLHEAMLSSILRAPMVFFQTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLFSTFILIGIVSTMSLWAIMPLLVLFYAAYLYYQSMAREVKRMDSISRSPVYAQFGEALNGLATIRAYKAYDRMSDINGKSVDNNIRFALVNMSGNRWLGIRLETLGGLMIWFTATFAVMQNGRAENQQEFASTMGLLLSYALNITSLLTGVLRLASLAENSLNAVERVGTYIDLPSEAPAIIESNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHELSFSISPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDIAKFGLTDLRKVLGIIPQSPVLFSGTVRFNLDPFHEHNDADLWEALERAHLKDAIRRNSLGLDAEVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRVLLLDAGRVREYDTPEHLLSNEGSAFSKMVQSTGSANAQYLRSLVLGGEGENRLGREENRQLDGQRRWLASSRWAAAAQFAVAVSLTSSQNDLQRLEIEDENSILKKTKDAVITLRGVLEGKHDEVIEESLDQYQISRDGWWSALYRMVEGLAVMSRLAKNRLQQSEYGFEERAVDWDHTDM >ONI11117 pep chromosome:Prunus_persica_NCBIv2:G4:4351046:4366891:1 gene:PRUPE_4G088500 transcript:ONI11117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVPLDWYCRPVAHGVWTKAVENAFGAYTPCAVDSLVVSISHLVLLGLCIYRIWRIKKDFKAQRFCLQSNVYNYVLALLAGYCTAEPLFRLIMGISVLNLDGQSGFAPFEVVSLILEALTWCSMLVMIGVETKIYIREFRWFVRFGVIYTLVGDSVMLNLILSLKDLYGRSVLYLYISEVAAQGLFGILLLVYVPNLVTYPGYTPIHTESIDDAAYEALPGGEQICPERNANIFSRVLFSWMNPLMKLGYQRPLTEKDVWKLDTWDRTETLNNKFQRCWAEERRKPKPWLLRALNSSLGGRFWWGGFWKIGNDLSQFVGPLILNHLLQVAGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEARKKFASGKITNLMTTDAEALQQISQSLHTLWSAPFRIIISMVLLYQQLGIASLLGALMLVLLFPLQTFVISKMQKLSKEGLQRTDKRIGLMNEILAAMDTVKSYAWESSFQSKVQGVRTDELFWFRKASLLGACNGFLLNSIPVVVTVISFGLFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLSAEERVLLPNPPLDPGLPAISIKNGYFSWDSKAEKPTLTNVNLDIPVGSLVAIVGSTGEGKTSLISAMLGELPPVADASVVMRGMVAYVPQVSWIFNATVRDNILFGSFFESARYEKAIDVTALRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCIRGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEELSNNGTLFKKLMENAGKMEEYAEEKEEGETIDQNGEMIDQNASSKPIANGVVNVMPKDASHVKKSKGKSVLIKQEERETGVISWNVLARYKNALGGLWVVMILFTCYVSTEVLRVSSSTWLSHWTDQSMIENYDPGFYNLIYALLSFGQVMVTLANSYWLIISSLYAARRLHEAMLSSILRAPMVFFQTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLFSTFILIGIVSTMSLWAIMPLLVLFYAAYLYYQSMAREVKRMDSISRSPVYAQFGEALNGLATIRAYKAYDRMSDINGKSVDNNIRFALVNMSGNRWLGIRLETLGGLMIWFTATFAVMQNGRAENQQEFASTMGLLLSYALNITSLLTGVLRLASLAENSLNAVERVGTYIDLPSEAPAIIESNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHELSFSISPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDIAKFGLTDLRKVLGIIPQSPVLFSGTVRFNLDPFHEHNDADLWEALERAHLKDAIRRNSLGLDAEVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRVLLLDAGRVREYDTPEHLLSNEGSAFSKMVQSTGSANAQYLRSLVLGGEGENRLGREENRQLDGQRRWLASSRWAAAAQFAVAVSLTSSQNDLQRLEIEDENSILKKTKDAVITLRGVLEGKHDEVIEESLDQYQISRDGWWSALYRMVEGLAVMSRLAKNRLQQSEYGFEERAVDWDHTDM >ONI11116 pep chromosome:Prunus_persica_NCBIv2:G4:4351046:4366891:1 gene:PRUPE_4G088500 transcript:ONI11116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVPLDWYCRPVAHGVWTKAVENAFGAYTPCAVDSLVVSISHLVLLGLCIYRIWRIKKDFKAQRFCLQSNVYNYVLALLAGYCTAEPLFRLIMGISVLNLDGQSGFAPFEVVSLILEALTWCSMLVMIGVETKIYIREFRWFVRFGVIYTLVGDSVMLNLILSLKDLYGRSVLYLYISEVAAQGLFGILLLVYVPNLVTYPGYTPIHTESIDDAAYEALPGGEQICPERNANIFSRVLFSWMNPLMKLGYQRPLTEKDVWKLDTWDRTETLNNKFQRCWAEERRKPKPWLLRALNSSLGGRFWWGGFWKIGNDLSQFVGPLILNHLLQSMQRGDPAWIGYIYAFSIFAGVVAGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEARKKFASGKITNLMTTDAEALQQISQSLHTLWSAPFRIIISMVLLYQQLGIASLLGALMLVLLFPLQTFVISKMQKLSKEGLQRTDKRIGLMNEILAAMDTVKSYAWESSFQSKVQGVRTDELFWFRKASLLGACNGFLLNSIPVVVTVISFGLFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLSAEERVLLPNPPLDPGLPAISIKNGYFSWDSKAEKPTLTNVNLDIPVGSLVAIVGSTGEGKTSLISAMLGELPPVADASVVMRGMVAYVPQVSWIFNATVRDNILFGSFFESARYEKAIDVTALRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCIRGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEELSNNGTLFKKLMENAGKMEEYAEEKEEGETIDQNGEMIDQNASSKPIANGVVNVMPKDASHVKKSKGKSVLIKQEERETGVISWNVLARYKNALGGLWVVMILFTCYVSTEVLRVSSSTWLSHWTDQSMIENYDPGFYNLIYALLSFGQVMVTLANSYWLIISSLYAARRLHEAMLSSILRAPMVFFQTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLFSTFILIGIVSTMSLWAIMPLLVLFYAAYLYYQSMAREVKRMDSISRSPVYAQFGEALNGLATIRAYKAYDRMSDINGKSVDNNIRFALVNMSGNRWLGIRLETLGGLMIWFTATFAVMQNGRAENQQEFASTMGLLLSYALNITSLLTGVLRLASLAENSLNAVERVGTYIDLPSEAPAIIESNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHELSFSISPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDIAKFGLTDLRKVLGIIPQSPVLFSGTVRFNLDPFHEHNDADLWEALERAHLKDAIRRNSLGLDAEVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRVLLLDAGRVREYDTPEHLLSNEGSAFSKMVQSTGSANAQYLRSLVLGGEGENRLGREENRQLDGQRRWLASSRWAAAAQFAVAVSLTSSQNDLQRLEIEDENSILKKTKDAVITLRGVLEGKHDEVIEESLDQYQISRDGWWSALYRMVEGLAVMSRLAKNRLQQSEYGFEERAVDWDHTDM >ONI11118 pep chromosome:Prunus_persica_NCBIv2:G4:4351046:4366891:1 gene:PRUPE_4G088500 transcript:ONI11118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVPLDWYCRPVAHGVWTKAVENAFGAYTPCAVDSLVVSISHLVLLGLCIYRIWRIKKDFKAQRFCLQSNVYNYVLALLAGYCTAEPLFRLIMGISVLNLDGQSGFAPFEVVSLILEALTWCSMLVMIGVETKIYIREFRWFVRFGVIYTLVGDSVMLNLILSLKDLYGRSVLYLYISEVAAQGLFGILLLVYVPNLVTYPGYTPIHTESIDDAAYEALPGGEQICPERNANIFSRVLFSWMNPLMKLGYQRPLTEKDVWKLDTWDRTETLNNKFQRCWAEERRKPKPWLLRALNSSLGGRFWWGGFWKIGNDLSQFVGPLILNHLLQVAGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEARKKFASGKITNLMTTDAEALQQISQSLHTLWSAPFRIIISMVLLYQQLGIASLLGALMLVLLFPLQTFVISKMQKLSKEGLQRTDKRIGLMNEILAAMDTVKSYAWESSFQSKVQGVRTDELFWFRKASLLGACNGFLLNSIPVVVTVISFGLFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLSAEERVLLPNPPLDPGLPAISIKNGYFSWDSKAEKPTLTNVNLDIPVGSLVAIVGSTGEGKTSLISAMLGELPPVADASVVMRGMVAYVPQVSWIFNATVRDNILFGSFFESARYEKAIDVTALRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCIRGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEELSNNGTLFKKLMENAGKMEEYAEEKEEGETIDQNGEMIDQNASSKPIANGVVNVMPKDASHVKKSKGKSVLIKQEERETGVISWNVLARYKNALGGLWVVMILFTCYVSTEVLRVSSSTWLSHWTDQSMIENYDPGFYNLIYALLSFGQVMVTLANSYWLIISSLYAARRLHEAMLSSILRAPMVFFQTNPLGRIINRFAKDLGDIDRNVAPFVNMFLGQVSQLFSTFILIGIVSTMSLWAIMPLLVLFYAAYLYYQSMAREVKRMDSISRSPVYAQFGEALNGLATIRAYKAYDRMSDINGKSVDNNIRFALVNMSGNRWLGIRLETLGGLMIWFTATFAVMQNGRAENQQEFASTMGLLLSYALNITSLLTGVLRLASLAENSLNAVERVGTYIDLPSEAPAIIESNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHELSFSISPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDIAKFGLTDLRKVLGIIPQSPVLFSGTVRFNLDPFHEHNDADLWEALERAHLKDAIRRNSLGLDAEVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRVLLLDAGRVREYDTPEHLLSNEGSAFSKMVQSTGSANAQYLRSLVLGGEGENRLGREENRQLDGQRRWLASSRWAAAAQFAVAVSLTSSQNDLQRLEIEDENSILKKTKDAVITLRGVLEGKHDEVIEESLDQYQISRDGWWSALYRMVEGLAVMSRLAKNRLQQSEYGFEERAVDWDHTDM >ONI12413 pep chromosome:Prunus_persica_NCBIv2:G4:9430927:9433290:1 gene:PRUPE_4G163400 transcript:ONI12413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSPNCSYPTKGSKEILDLSYNLLSGELPLSLPSNNIRKLDLSSNHFYGAIPSSFFHQASNLISFNVSNNTFTGYVPSSICLHSSPFLRILDFSSNEFSGNLAPGLGYCSELKVFQAGHNNLSGLLPEDIYNASKLEEIALPLNSLYGAISDKIVNLTNLAILDLYFNQLSGALPVNLGRLSKLKFVILDFNNLEGSLPISLMNCTNLLELHLGSNNLEGDISMLDFSRLSQLTKLDLRVNNFTGTIPVSLYSCRSLKAIGLSGNHLEGQIQAEILSLNSLSFLSLGYNRFTNVTGAMKILMSCKSLHTLSLAGTFEGEGMPSDDDMVDFDGFKNLRLLSLADSDFTGQIPLWLSKLKNLEILALYKNQITGPIPSWLGTLPRLFSLNLASNRISGEIPKQLCRLPRLVYEPTASQVDNYEFELPIFGGSVIANPRFEPHKLFLFFPAIDLSNNNISGDIPTEVGQLQLLRKLNLDSNNFFGVIPDQISNLKNLELLNLSKNHLSGTIPLSLVSLTFLKEFNVSYNNLQGPIPTGTQLQSFNASAFEGNPKLCGAPLANKCSRPNKGIDEDNKKNNKDMDNGLHQIPWFYISSVVLGFIVGFWGVCGSLIINKTWRYAYFRFIYNVQDRLYVMITVRINMIKRKP >ONI12415 pep chromosome:Prunus_persica_NCBIv2:G4:9430927:9433290:1 gene:PRUPE_4G163400 transcript:ONI12415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATIPSQGYCSELKVFQAGHNNLSGLLPEDIYNASKLEEIALPLNSLYGAISDKIVNLTNLAILDLYFNQLSGALPVNLGRLSKLKFVILDFNNLEGSLPISLMNCTNLLELHLGSNNLEGDISMLDFSRLSQLTKLDLRVNNFTGTIPVSLYSCRSLKAIGLSGNHLEGQIQAEILSLNSLSFLSLGYNRFTNVTGAMKILMSCKSLHTLSLAGTFEGEGMPSDDDMVDFDGFKNLRLLSLADSDFTGQIPLWLSKLKNLEILALYKNQITGPIPSWLGTLPRLFSLNLASNRISGEIPKQLCRLPRLVYEPTASQVDNYEFELPIFGGSVIANPRFEPHKLFLFFPAIDLSNNNISGDIPTEVGQLQLLRKLNLDSNNFFGVIPDQISNLKNLELLNLSKNHLSGTIPLSLVSLTFLKEFNVSYNNLQGPIPTGTQLQSFNASAFEGNPKLCGAPLANKCSRPNKGIDEDNKKNNKDMDNGLHQIPWFYISSVVLGFIVGFWGVCGSLIINKTWRYAYFRFIYNVQDRLYVMITVRINMIKRKP >ONI12414 pep chromosome:Prunus_persica_NCBIv2:G4:9430928:9433289:1 gene:PRUPE_4G163400 transcript:ONI12414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATIPSQGYCSELKVFQAGHNNLSGLLPEDIYNASKLEEIALPLNSLYGAISDKIVNLTNLAILDLYFNQLSGALPVNLGRLSKLKFVILDFNNLEGSLPISLMNCTNLLELHLGSNNLEGDISMLDFSRLSQLTKLDLRVNNFTGTIPVSLYSCRSLKAIGLSGNHLEGQIQAEILSLNSLSFLSLGYNRFTNVTGAMKILMSCKSLHTLSLAGTFEGEGMPSDDDMVDFDGFKNLRLLSLADSDFTGQIPLWLSKLKNLEILALYKNQITGPIPSWLGTLPRLFSLNLASNRISGEIPKQLCRLPRLVYEPTASQVDNYEFELPIFGGSVIANPRFEPHKLFLFFPAIDLSNNNISGDIPTEVGQLQLLRKLNLDSNNFFGVIPDQISNLKNLELLNLSKNHLSGTIPLSLVSLTFLKEFNVSYNNLQGPIPTGTQLQSFNASAFEGNPKLCGAPLANKCSRPNKGIDEDNKKNNKDMDNGLHQIPWFYISSVVLGFIVGFWGVCGSLIINKTWRYAYFRFIYNVQDRLYVMITVRINMIKRKP >ONI13659 pep chromosome:Prunus_persica_NCBIv2:G4:15245119:15246656:-1 gene:PRUPE_4G235500 transcript:ONI13659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKKTTLPPGPRGFPIFGSLHLLAEFPNKDIHRLAQKYGDIMYMRLGLIPTIVISSPKGAELFLKTHDLAFASSPPHEGAKHISFGQRNLSFAEYGPYWRDIRKMCTLEWLSNHKNNSFKSMRREEVAVCVEAIRAAANSGSIAVDLSDNASSLSVYMSCRMVFGKKYMDVEFDGRGFMSVRMKSVNKAFDTFFEKVIEQHLQYNDGERTKNFVDVMMGFMGSEKSKYRIKRPHIKAIILDMLAASIDPSSTAVEWAFSELMRHPKAMKKVQKELENVVGLDRMVEESDLLHCQWHHIPKKSHVIINAWAIGRDPSAWTDAEEFIPERFEGSNIDTLPWMHLGLTVVQIVLAQLVHCFDWELPDSMLPEEDMTEVFGLTISRAKHLLAIPFYRLHK >ONI14398 pep chromosome:Prunus_persica_NCBIv2:G4:23473338:23476570:1 gene:PRUPE_4G279000 transcript:ONI14398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLCHPHDSSALLQFKNSFSIDTSSLEVETTLYSNSTISWQKGNDCCTWSGVTCEKMTGHVIGLNLGFGGLQGNIHSNSSLFSLGHLNWLDLSGNDFRGSPISSKFGGFVSMTHLDLSDSNFSGPIPSEISHLSNLVSLNLSQPSVTLDASSLNRIVQNLTNLKELELSLVDMSSVVPDSFKNLSSSLTTLSLYSCNLQGKFPESIFHQPNLRLLDLGYNYNLTGNFPKSNWSSPLETLLLSHTRISVDWSHLTRNFKSLRDLFLSNCSFVGSYLAFLGNLTQIMRLDLSSNSFGGQIPWSLLNLESLVYLNLGGNNYVGQFPEVDSNSTSISSLYDFSKQQLVGHIPRHLILLYLDGNQLNGTIPSWLGSLPSLEYLELGGNRLSGNIIQFQSRSLSLLGLRDNKLDGLIPRSIYEQVNLQVLDLSSNNLGGNLEFEKFSKLPSLSELNLSFNHISLSFNHLNNNTWPQLELLDLSSCNISEFPYFLRASQNLDMLYLSHNRIRADIPKWLLDSGKDSLRYLDLSHNSLTGTIGQLRWKKLEYLDLRNNSLQGELPIPSPSTFFFSISNNQLTGEMPPTICSLTRLEILDLSSNKLSGKIHQCIGNFSQSLSVLDLRNNKFHGMIPGTFSEGNVLRNLDLNGNQLEGSLPPTLLTCRELEVLDLGNNKIQDTFPNWLESLPKLQVLILRSNKFYGEIGFPETNFPFQKLRIMDLSYNRFSGLLPTKYFEHLTAMINLQEHELKYMGEGYYQDNVVVTIKGFEIEMVKIQTFFTSIDFSNNTFRGEISNAISKLKSLKGLNFSHNELTGTIPPSFGVMCNLEWLDLSSNKFVGDIPEQLTNLTSLEKFNVSKNRLVGPIPHGKQFDTFENDSYSGNTGLCGLPLSKTCGACQSPPSSLQQEDDLEHGNGFDWKVVLMGYASGVVIGISVGYLVFSNGTPDWLVKVVGRKQRRRTVEITHRRA >ONI14397 pep chromosome:Prunus_persica_NCBIv2:G4:23473338:23476570:1 gene:PRUPE_4G279000 transcript:ONI14397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLFLLFLLFITCQFNSTCSSSSNSSSSLSSGHLCHPHDSSALLQFKNSFSIDTSSLEVETTLYSNSTISWQKGNDCCTWSGVTCEKMTGHVIGLNLGFGGLQGNIHSNSSLFSLGHLNWLDLSGNDFRGSPISSKFGGFVSMTHLDLSDSNFSGPIPSEISHLSNLVSLNLSQPSVTLDASSLNRIVQNLTNLKELELSLVDMSSVVPDSFKNLSSSLTTLSLYSCNLQGKFPESIFHQPNLRLLDLGYNYNLTGNFPKSNWSSPLETLLLSHTRISVDWSHLTRNFKSLRDLFLSNCSFVGSYLAFLGNLTQIMRLDLSSNSFGGQIPWSLLNLESLVYLNLGGNNYVGQFPEVDSNSTSISSLYDFSKQQLVGHIPRHLILLYLDGNQLNGTIPSWLGSLPSLEYLELGGNRLSGNIIQFQSRSLSLLGLRDNKLDGLIPRSIYEQVNLQVLDLSSNNLGGNLEFEKFSKLPSLSELNLSFNHISLSFNHLNNNTWPQLELLDLSSCNISEFPYFLRASQNLDMLYLSHNRIRADIPKWLLDSGKDSLRYLDLSHNSLTGTIGQLRWKKLEYLDLRNNSLQGELPIPSPSTFFFSISNNQLTGEMPPTICSLTRLEILDLSSNKLSGKIHQCIGNFSQSLSVLDLRNNKFHGMIPGTFSEGNVLRNLDLNGNQLEGSLPPTLLTCRELEVLDLGNNKIQDTFPNWLESLPKLQVLILRSNKFYGEIGFPETNFPFQKLRIMDLSYNRFSGLLPTKYFEHLTAMINLQEHELKYMGEGYYQDNVVVTIKGFEIEMVKIQTFFTSIDFSNNTFRGEISNAISKLKSLKGLNFSHNELTGTIPPSFGVMCNLEWLDLSSNKFVGDIPEQLTNLTSLEKFNVSKNRLVGPIPHGKQFDTFENDSYSGNTGLCGLPLSKTCGACQSPPSSLQQEDDLEHGNGFDWKVVLMGYASGVVIGISVGYLVFSNGTPDWLVKVVGRKQRRRTVEITHRRA >ONI10128 pep chromosome:Prunus_persica_NCBIv2:G4:1350244:1352635:1 gene:PRUPE_4G028900 transcript:ONI10128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLINRNRTRTRTIKDYSKQMKNHKLTWLCLSLSILITFFSHSHAKQIQRISDFHRIDHFLNKTYEWLSHQRAQHNHETQLKFSAPIVFAGIFCFLAASISSAGGIGGGGLFIPILTLVAGLDLRTASSLSAFMVTGGSVANVIYNLCKGSAKFGGKNVIDYDIALLSEPCMLLGVSVGVICNLVFPEWLITILFALFLAWSTSMSCKNGLAYWKMESEELMRNDCENLGNGLNDETEGVKGIAEPLLGTKGKCILRLPWTKMGVLVLVWCSFCIIYLFRGNRYGQGITPIEPCGIGYWVLSSVQIPLAIIYTAWILCRKENLQHHTLNQKNIEDLPKVRPSKLIFPLMALLAGILGGVFGIGGGMLISPLLVQVGIAPEVTAATCSFMVFFSSSMSAFQYLLLGMEHADTALVFAIMCFVASLLGLVVLQRAIKVYGRASLIVFSVSTVMALSTVLMTSFGALDVWRDYVSGKYMGFKLPC >ONI11206 pep chromosome:Prunus_persica_NCBIv2:G4:4639825:4646630:-1 gene:PRUPE_4G092600 transcript:ONI11206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRREDDDHQTNIASDTPGKPYNLENVEGQARCPASQIGELFTKKKWECKDHSASLWNKMIVISCVIAISLDPLFLYIPFIDEDNKCLGMDKKLRNVALILRSLTDITFLVDIGYQIIPGINKAYEVINQGKEQWELDWQATLIRREEIIPFAVILARELNWCSLVTDLLSVFPMPQLLVGYLFFEMREPGYLERRKVVNFLLLSQYLPRIYRIILSSKELTRTAGIRVKAIFNLFLYILASHVIGAFWYFFSVQREISCWHSACGKQTECTSTFYCDDDHNTTTTMINLLNTSCGISDSNPQFNYGIFLDSIKIGKTEHMQFPTKLCYSFWWGLRNLSNFGTNLTTSSYLWENLFAILISITGLLLFIYLIGNVQEPLLKLMDDKVLKMMCDYLKLVTYKADHIIFQMDHPINRMLLIIEGTVLTYKHTRGDETTKDSGAAPSPSPSMITKLLGKGDVYGQELLTWSSNQSGIHSHPICSENVQCQTNVEGFVLSAEDLVEVSKCQRWKLNDDP >ONI11214 pep chromosome:Prunus_persica_NCBIv2:G4:4640029:4644777:-1 gene:PRUPE_4G092600 transcript:ONI11214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPGYLERRKVVNFLLLSQYLPRIYRIILSSKELTRTAGIRVKAIFNLFLYILASHVIGAFWYFFSVQREISCWHSACGKQTECTSTFYCDDDHNTTTTMINLLNTSCGISDSNPQFNYGIFLDSIKIGKTEHMQFPTKLCYSFWWGLRNLSNFGTNLTTSSYLWENLFAILISITGLLLFIYLIGNVQTFIQMRTTKSEEIRQKIDLKKDVIETWMKKNDIHDDMKKEIMKNINKKWEEDKDGVLENIFNVLPDYTKKSLKYELCLKILSQEPLLKLMDDKVLKMMCDYLKLVTYKADHIIFQMDHPINRMLLIIEGTVLTYKHTRGDETTKDSGAAPSPSPSMITKLLGKGDVYGQELLTWSSNQSGIHSHPICSENVQCQTNVEGFVLSAEDLVEVSKCQRWKLNDDP >ONI11209 pep chromosome:Prunus_persica_NCBIv2:G4:4639054:4646630:-1 gene:PRUPE_4G092600 transcript:ONI11209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRREDDDHQTNIASDTPGKPYNLENVEGQARCPASQIGELFTKKKWECKDHSASLWNKMIVISCVIAISLDPLFLYIPFIDEDNKCLGMDKKLRNVALILRSLTDITFLVDIGYQIIPGINKAYEVINQGKEQWELDWQATLIRREEIIPFAVILARELNWCSLVTDLLSVFPMPQLLVGYLFFEMREPGYLERRKVVNFLLLSQYLPRIYRIILSSKELTRTAGIRVKAIFNLFLYILASHVIGAFWYFFSVQREISCWHSACGKQTECTSTFYCDDDHNTTTTMINLLNTSCGISDSNPQFNYGIFLDSIKIGKTEHMQFPTKLCYSFWWGLRNLSNFGTNLTTSSYLWENLFAILISITGLLLFIYLIGNVQTFIQMRTTKSEEIRQKIDLKKDVIETWMKKNDIHDDMKKEIMKNINKKWEEDKDGVLENIFNVLPDYTKKSLKYELCLKILSQEPLLKLMDDKVLKMMCDYLKLVTYKADHIIFQMDHPINRMLLIIEGTVLTYKHTRGDETTKDSGAAPSPSPSMITKLLGKGDVYGQELLTWSSNQSGIHSHPICSENVQCQTNVEGFVLSAEDLVEVSKCQRWKLNDDP >ONI11208 pep chromosome:Prunus_persica_NCBIv2:G4:4639812:4646630:-1 gene:PRUPE_4G092600 transcript:ONI11208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRREDDDHQTNIASDTPGKPYNLENVEGQARCPASQIGELFTKKKWECKDHSASLWNKMIVISCVIAISLDPLFLYIPFIDEDNKCLGMDKKLRNVALILRSLTDITFLVDIGYQIIPGINKAYEVINQGKEQWELDWQATLIRREEIIPFAVILARELNWCSLVTDLLSVFPMPQLLVGYLFFEMREPGYLERRKVVNFLLLSQYLPRIYRIILSSKELTRTAGIRVKAIFNLFLYILASHVIGAFWYFFSVQREISCWHSACGKQTECTSTFYCDDDHNTTTTMINLLNTSCGISDSNPQFNYGIFLDSIKIGKTEHMQFPTKLCYSFWWGLRNLSNFGTNLTTSSYLWENLFAILISITGLLLFIYLIGNVQTFIQMRTTKSEEIRQKIDLKKDVIETWMKKNDIHDDMKKEIMKNINKKWEEDKDGVLENIFNVLPDYTKKSLKYELCLKILSQEPLLKLMDDKVLKMMCDYLKLVTYKADHIIFQMDHPINRMLLIIEGTVLTYKHTRGDETTKDSGAAPSPSPSMITKLLGKGDVYGQELLTWSSNQSGIHSHPICSENVQCQTNVEGFVLSAEDLVEVSKCQRWKLNDDP >ONI11212 pep chromosome:Prunus_persica_NCBIv2:G4:4639817:4646631:-1 gene:PRUPE_4G092600 transcript:ONI11212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRREDDDHQTNIASDTPGKPYNLENVEGQARCPASQIGELFTKKKWECKDHSASLWNKMIVISCVIAISLDPLFLYIPFIDEDNKCLGMDKKLRNVALILRSLTDITFLVDIGYQIIPGINKAYEVINQGKEQWELDWQATLIRREEIIPFAVILARELNWCSLVTDLLSVFPMPQLLVGYLFFEMREPGYLERRKVVNFLLLSQYLPRIYRIILSSKELTRTAGIRVKAIFNLFLYILASHVIGAFWYFFSVQREISCWHSACGKQTECTSTFYCDDDHNTTTTMINLLNTSCGISDSNPQFNYGIFLDSIKIGKTEHMQFPTKLCYSFWWGLRNLSNFGTNLTTSSYLWENLFAILISITGLLLFIYLIGNVQTFIQMRTTKSEEIRQKIDLKKDVIETWMKKNDIHDDMKKEIMKNINKKWEEDKDGVLENIFNVLPDYTKKSLKYELCLKILSQEPLLKLMDDKVLKMMCDYLKLVTYKADHIIFQMDHPINRMLLIIEGTVLTYKHTRGDETTKDSGAAPSPSPSMITKLLGKGDVYGQELLTWSSNQSGIHSHPICSENVQCQTNVEGFVLSAEDLVEVSKCQRWKLNDDP >ONI11207 pep chromosome:Prunus_persica_NCBIv2:G4:4639197:4646630:-1 gene:PRUPE_4G092600 transcript:ONI11207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRREDDDHQTNIASDTPGKPYNLENVEGQARCPASQIGELFTKKKWECKDHSASLWNKMIVISCVIAISLDPLFLYIPFIDEDNKCLGMDKKLRNVALILRSLTDITFLVDIGYQIIPGINKAYEVINQGKEQWELDWQATLIRREEIIPFAVILARELNWCSLVTDLLSVFPMPQLLVGYLFFEMREPGYLERRKVVNFLLLSQYLPRIYRIILSSKELTRTAGIRVKAIFNLFLYILASHVIGAFWYFFSVQREISCWHSACGKQTECTSTFYCDDDHNTTTTMINLLNTSCGISDSNPQFNYGIFLDSIKIGKTEHMQFPTKLCYSFWWGLRNLSNFGTNLTTSSYLWENLFAILISITGLLLFIYLIGNVQEPLLKLMDDKVLKMMCDYLKLVTYKADHIIFQMDHPINRMLLIIEGTVLTYKHTRGDETTKDSGAAPSPSPSMITKLLGKGDVYGQELLTWSSNQSGIHSHPICSENVQCQTNVEGFVLSAEDLVEVSKCQRWKLNDDP >ONI11210 pep chromosome:Prunus_persica_NCBIv2:G4:4639225:4646501:-1 gene:PRUPE_4G092600 transcript:ONI11210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRREDDDHQTNIASDTPGKPYNLENVEGQARCPASQIGELFTKKKWECKDHSASLWNKMIVISCVIAISLDPLFLYIPFIDEDNKCLGMDKKLRNVALILRSLTDITFLVDIGYQIIPGINKAYEVINQGKEQWELDWQATLIRREEIIPFAVILARELNWCSLVTDLLSVFPMPQLLVGYLFFEMREPGYLERRKVVNFLLLSQYLPRIYRIILSSKELTRTAGIRVKAIFNLFLYILASHVIGAFWYFFSVQREISCWHSACGKQTECTSTFYCDDDHNTTTTMINLLNTSCGISDSNPQFNYGIFLDSIKIGKTEHMQFPTKLCYSFWWGLRNLSNFGTNLTTSSYLWENLFAILISITGLLLFIYLIGNVQTFIQMRTTKSEEIRQKIDLKKDVIETWMKKNDIHDDMKKEIMKNINKKWEEDKDGVLENIFNVLPDYTKKSLKYELCLKILSQEPLLKLMDDKVLKMMCDYLKLVTYKADHIIFQMDHPINRMLLIIEGTVLTYKHTRGDETTKDSGAAPSPSPSMITKLLGKGDVYGQELLTWSSNQSGIHSHPICSENVQCQTNVEGFVLSAEDLVEVSKCQRWKLNDDP >ONI11211 pep chromosome:Prunus_persica_NCBIv2:G4:4639225:4646630:-1 gene:PRUPE_4G092600 transcript:ONI11211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRREDDDHQTNIASDTPGKPYNLENVEGQARCPASQIGELFTKKKWECKDHSASLWNKMIVISCVIAISLDPLFLYIPFIDEDNKCLGMDKKLRNVALILRSLTDITFLVDIGYQIIPGINKAYEVINQGKEQWELDWQATLIRREEIIPFAVILARELNWCSLVTDLLSVFPMPQLLVGYLFFEMREPGYLERRKVVNFLLLSQYLPRIYRIILSSKELTRTAGIRVKAIFNLFLYILASHVIGAFWYFFSVQREISCWHSACGKQTECTSTFYCDDDHNTTTTMINLLNTSCGISDSNPQFNYGIFLDSIKIGKTEHMQFPTKLCYSFWWGLRNLSNFGTNLTTSSYLWENLFAILISITGLLLFIYLIGNVQTFIQMRTTKSEEIRQKIDLKKDVIETWMKKNDIHDDMKKEIMKNINKKWEEDKDGVLENIFNVLPDYTKKSLKYELCLKILSQEPLLKLMDDKVLKMMCDYLKLVTYKADHIIFQMDHPINRMLLIIEGTVLTYKHTRGDETTKDSGAAPSPSPSMITKLLGKGDVYGQELLTWSSNQSGIHSHPICSENVQCQTNVEGFVLSAEDLVEVSKCQRWKLNDDP >ONI11213 pep chromosome:Prunus_persica_NCBIv2:G4:4639833:4646505:-1 gene:PRUPE_4G092600 transcript:ONI11213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVISCVIAISLDPLFLYIPFIDEDNKCLGMDKKLRNVALILRSLTDITFLVDIGYQIIPGINKAYEVINQGKEQWELDWQATLIRREEIIPFAVILARELNWCSLVTDLLSVFPMPQLLVGYLFFEMREPGYLERRKVVNFLLLSQYLPRIYRIILSSKELTRTAGIRVKAIFNLFLYILASHVIGAFWYFFSVQREISCWHSACGKQTECTSTFYCDDDHNTTTTMINLLNTSCGISDSNPQFNYGIFLDSIKIGKTEHMQFPTKLCYSFWWGLRNLSNFGTNLTTSSYLWENLFAILISITGLLLFIYLIGNVQTFIQMRTTKSEEIRQKIDLKKDVIETWMKKNDIHDDMKKEIMKNINKKWEEDKDGVLENIFNVLPDYTKKSLKYELCLKILSQEPLLKLMDDKVLKMMCDYLKLVTYKADHIIFQMDHPINRMLLIIEGTVLTYKHTRGDETTKDSGAAPSPSPSMITKLLGKGDVYGQELLTWSSNQSGIHSHPICSENVQCQTNVEGFVLSAEDLVEVSKCQRWKLNDDP >ONI14015 pep chromosome:Prunus_persica_NCBIv2:G4:18319321:18324053:1 gene:PRUPE_4G258100 transcript:ONI14015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFREEGEVEPVSPTGQYFNSSILSVAILAVLEFELPINDSQALSLLQDVFLPINPRFSSIMVSDNVDQKKQWKRVEVKLEDHVHVPIFPSKMSPESYDEYFDDYISNIAAEKFPQNRPLWEIHILKYPTSHAAGTLIFKLHHALGDGYSLMGALLSCLQSAQNPSVPLTFPSLKSSGPQTTHKTKIVSQFFSSVFQTVSDFSWSILKSNFVEDDRTPIRSGEDGVEFRPITLSTLTFSIDEIKLIKNKLGVTTNDVISGIIFLGTRIYMQEVTQKSSNERCTALVLLNTRNIEGYMPIKEMIEPNHDEMSWGNQFGFLHVSVPKSTEISNPLDFVWEAQKIIKKKRSSAAGYLTSWLLDVLKKFRGPEGAARYIHGTLKNSSMTISNMIGPVEQMALANQPICGFYYMVVGPPQCLTITALSYMGKLRVAFGAEKGFIDSHKLKASMQNAFGVILKASYQIPPAKAA >ONI10412 pep chromosome:Prunus_persica_NCBIv2:G4:2185093:2187753:1 gene:PRUPE_4G045800 transcript:ONI10412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVKDVQSKKELDSAVHSGAPVILHFWASWCEASKHMDEVFAHLSTDFPHAHFLRVEAEEQPEISEAYSVSAVPYFAFVKDGKVAYTLEGADPSSLANKVARIAGSIRPGEPAAPASLGMAAGPTILETVQALAKENGSSQVQIQVQNGPADALKRRLQQLIESNPVMLFMKGSPEEPKCGFSQKVVDILKEEKVKFGSFDILLDNEVREGLKKYSNWPTFPQLYCKGELLGGCDIAISMHESGELEEVFRDHGIDTTDSAGAKVTEAGSGKGGISASTGLSETLTSQLESLIHSSPVMLFMKGKPDEPKCGFSRKVVDILVQEKVEFESFDILSDEEVRQGLKVYSNWSSYPQLYIKGELIGGSDIVLEMQKSGELKKVLAEKGIVPKDTLEDRLRKLITSSPVMVFIKGTPDAPRCGFSSKVINALREEGVSFGSFDILSDEDVRQGLKVFSNWPTFPQLYYKGELIGGCDIVMELKNNGELKSTLTE >ONI13748 pep chromosome:Prunus_persica_NCBIv2:G4:16056140:16057205:1 gene:PRUPE_4G243100 transcript:ONI13748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLVLLSLLLFSVSHFNLIQADNAPAPSPKPSTTTPKPPSPQPQQTPPPQPSKASPPATTPATSPSTSPSSPPNPPTQSPPSPAPKTAPTVSPPTQQTPPAATPAVSPATPPTPVSPAKSPSKSVSPPVQSPPTPPPTVASPANAPVTAPAKSPVGVSPVAEAPEIAATPSEPAGIPSSSATPAGSPGVFPSSGSPPIPAPSSLSPESAQGPSSDDSSSSSLKYGVLSGLSIWVALAL >ONI11298 pep chromosome:Prunus_persica_NCBIv2:G4:5095834:5100499:-1 gene:PRUPE_4G099900 transcript:ONI11298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSLCLYRPLITALFFSRRRLISSSLSSSLPLVRVWSYSSSHSSNGPTPPPAIVEKMEEQTIGVAKVDTLKQKMELLGILCNDSCVPGRYTNLLCPKCNGGPSMERSLSVHIVQKGDLAMWRCFRTDCSWGDQVFIDGRAAHNEVKKIVQFSGQMTEESLRLEPPGEKITAYFSERMISDETLRRNAVMQRSGDKDIIAFTYKRNGLLVGCKFRSIEKRYWKEKGSEKTLYGIDDINDAAEIIFVEGEIDKLSVEEAGFCNCVSVPDGAPGKSSNKLPPVEKDSRYQYLRSCKQHLDKVSRIILATDNDKPGQALARDIALRLGTHRCWQVSWPKKDESSYYKDANEVLRHMGPDALRKVIENAKPYQLCISDRVVSSDKHKPERIPAS >ONI11297 pep chromosome:Prunus_persica_NCBIv2:G4:5095509:5100559:-1 gene:PRUPE_4G099900 transcript:ONI11297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSLCLYRPLITALFFSRRRLISSSLSSSLPLVRVWSYSSSHSSNGPTPPPAIVEKMEEQTIGVAKVDTLKQKMELLGILCNDSCVPGRYTNLLCPKCNGGPSMERSLSVHIVQKGDLAMWRCFRTDCSWGDQVFIDGRAAHNEVKKIVQFSGQMTEESLRLEPPGEKITAYFSERMISDETLRRNAVMQRSGDKDIIAFTYKRNGLLVGCKFRSIEKRYWKVEGEIDKLSVEEAGFCNCVSVPDGAPGKSSNKLPPVEKDSRYQYLRSCKQHLDKVSRIILATDNDKPGQALARDIALRLGTHRCWQVSWPKKDESSYYKDANEVLRHMGPDALRKVIENAKPYQLCISDRVVSSDKHKPERIPAS >ONI11651 pep chromosome:Prunus_persica_NCBIv2:G4:6410207:6413677:1 gene:PRUPE_4G118500 transcript:ONI11651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLQSFLKTLPPVEFCCVYGSSLHPNNPGSAKSTMVDCILGVSNPQQWHSENLTLNNDHYAAWMVLLGGARLITDVADEIGVGVHFNPFVSWDDKMFKYGVVRMHDLVQDILNWERFYLSGRLQKPVHVLLDNLDVTNVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRSMYKPFIEEYETKELLRRSISGNTQPIISQDGGLSAAQSLVSSLPPMVRSQMAMKLGEKKVLCDSGRVIQEIVIGSKDEAAKCMQTILQRKVMVSSARQAVSGLLAAGGITAMRYVGAKMSKAWKSWR >ONI11653 pep chromosome:Prunus_persica_NCBIv2:G4:6410207:6413725:1 gene:PRUPE_4G118500 transcript:ONI11653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLQSFLKTLPPVEFCCVYGSSLHPNNPGSAKSTMVDCILGVSNPQQWHSENLTLNNDHYAAWMVLLGGARLMFKYGVVRMHDLVQDILNWERFYLSGRLQKPVHVLLDNLDVTNVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRSMYKPFIEEYETKELLRRSISGNTQPIISQDGGLSAAQSLVSSLPPMVRSQMAMKLGEKKVLCDSGRVIQEIVIGSKDEAAKCMQTILQRKVMVSSARQAVSGLLAAGGITAMRYVGAKMSKAWKSWR >ONI11654 pep chromosome:Prunus_persica_NCBIv2:G4:6410986:6413365:1 gene:PRUPE_4G118500 transcript:ONI11654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRESDIEQRSLCRVDGASWWGKTGVHFNPFVSWDDKMFKYGVVRMHDLVQDILNWERFYLSGRLQKPVHVLLDNLDVTNVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRSMYKPFIEEYETKELLRRSISGNTQPIISQDGGLSAAQSLVSSLPPMVRSQMAMKLGEKKVLCDSGRVIQEIVIGSKDEAAKCMQTILQRKVMVSSARQAVSGLLAAGGITAMRYVGAKMSKAWKSWR >ONI11652 pep chromosome:Prunus_persica_NCBIv2:G4:6410392:6413841:1 gene:PRUPE_4G118500 transcript:ONI11652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHDKAQLQSFLKTLPPVEFCCVYGSSLHPNNPGSAKSTMVDCILGVSNPQQWHSENLTLNNDHYAAWMVLLGGARLITDVADEIGVGVHFNPFVSWDDKMFKYGVVRMHDLVQDILNWERFYLSGRLQKPVHVLLDNLDVTNVNSVNLRAAMSAALLLLPSKFTEEELYAKICSLSYMGDLRMLFAEDRNKVKKIVQGQFELFRSMYKPFIEEYETKELLRRSISGNTQPIISQDGGLSAAQSLVSSLPPMVRSQMAMKLGEKKVLCDSGRVIQEIVIGSKDEAAKCMQTILQRKVMVSSARQAVSGLLAAGGITAMRYVGAKMSKAWKSWR >ONI12392 pep chromosome:Prunus_persica_NCBIv2:G4:9250919:9251197:-1 gene:PRUPE_4G161400 transcript:ONI12392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLCVTLFLVLLFALAFCIPSFEARRLLTVENNEKGDELSDLGEHEHHHAVPSKINVEKQLAMTLHQLHSVNADRDRILKEAVPSPGVGHY >ONI10558 pep chromosome:Prunus_persica_NCBIv2:G4:2588474:2588934:-1 gene:PRUPE_4G053500 transcript:ONI10558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQAIPYGAWVPGTPAGHVSSSARDQQAAAVGSRSAASVQKLVSENAVTVVGRRGCCMCHVVKRLLLGHGVNPTVFEVDEDDESDVVVELRKLIGEGQEDWPQFPVVFVGGKLFGGLERVMATHISGELVPVLKQAGALWL >ONI10316 pep chromosome:Prunus_persica_NCBIv2:G4:1898957:1900081:1 gene:PRUPE_4G040400 transcript:ONI10316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGLVGSLVWVNAYSRKQCRSLFWRMRAALKKALKNSGKQQLRFQYDPSSYALNFDDGSWKSAQEANALKLKHATKPQAHSDSDVKNTAWVYVLWVVSE >ONI10317 pep chromosome:Prunus_persica_NCBIv2:G4:1898876:1900158:1 gene:PRUPE_4G040400 transcript:ONI10317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGLVGSLVWVNAYSRKQCRSLFWRMRAALKKALKNSGKQQLRFQYDPSSYALNFDDGSWKSAQEANALKLKHATKPQAHSDSDVKNTAWVYVLWVVSE >ONI12315 pep chromosome:Prunus_persica_NCBIv2:G4:8975557:8977272:-1 gene:PRUPE_4G156700 transcript:ONI12315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPHEIVLTSSPDGPIIAYDASSGAALAQFTGSRSPRRGLAIVGKSYIAASHISPTTASGSVHLYNWWSSTAFHNLPVPEPVAPLTVTSDGLYLFAGGLSGSVHGISIPSGDVLKPLPAHSKPVSCLAISDDESLLISGSDDGTIVVVPIFQLVGASGYDSVEELILHRFAAHSDSVTAIVSGMGLCNSQIISCSLDCTCKFWSLLRGTLLRTIVFPCTISWVALNPTQPEFYVAGSDGSVHKGSLKVGSRQLVTQGSELIAWTPKHGGAVVSMVMVNGGRNLISASEDGSVWVWEVDAGQVIMALGNEMGSISDLVAATGINCSKANGFGMSNGAYGSGNCYFGSSGKELMNMPIKKILEMEDVLKVAANDRNRAIDMLESAIAMYERLLELILKEAKRGSRNNKQW >ONI14279 pep chromosome:Prunus_persica_NCBIv2:G4:22525881:22533951:1 gene:PRUPE_4G272800 transcript:ONI14279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQLKTQAGRLKKLAGQWSSQALEYVHQVPSEQIYAAVAILLFTSFLLLLVRLFKRPKANTILLTGLSGSGKTVLFYQLRDGSSHHGTLTSMEPNEGTFVLHSEKSKNGKENPVHLIDVPGHSRLRAKLDDVLPQAAGIVFVVDALEFLPNCRAASEYLYDILTKVSVVRKKIPVLILCNKTDKVTAHSKEFIRKQLEKEIDKLRASRSVISTADIANDITLGVPGEPFSFSQCQNKVKVAEASGVTGEISEVEQFIRDHVKS >ONI14277 pep chromosome:Prunus_persica_NCBIv2:G4:22526196:22533597:1 gene:PRUPE_4G272800 transcript:ONI14277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQLKTQAGRLKKLAGQWSSQALEYVHQVPSEQIYAAVAILLFTSFLLLLVRLFKRPKANTILLTGLSGSGKTVLFYQLRDGSSHHGTLTSMEPNEGTFVLHSEKSKNGKENPVHLIDVPGHSRLRAKLDDVLPQAAGIVFVVDALEFLPNCRAASEYLYDILTKVSVVRKKIPVLILCNKTDKVTAHSKEFIRKQLEKEIDKLRASRSVISTADIANDITLGVPGEPFSFSQCQNKVKVAEASGVTGEISEVEQFIRDHVKS >ONI14280 pep chromosome:Prunus_persica_NCBIv2:G4:22526196:22533951:1 gene:PRUPE_4G272800 transcript:ONI14280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQLKTQAGRLKKLAGQWSSQALEYVHQVPSEQIYAAVAILLFTSFLLLLVRLFKRPKANTILLTGLSGSGKTVLFYQLRDGSSHHGTLTSMEPNEGTFVLHSEKSKNGKENPVHLIDVPGHSRLRAKLDDVLPQAAGIVFVVDALEFLPNCRAASEYLYDILTKVSVVRKKIPVLILCNKTDKVTAHSKEFIRKQLEKEIDKLRASRSVISTADIANDITLGVPGEPFSFSQCQNKVKVAEASGVTGEISEVEQFIRDHVKS >ONI14276 pep chromosome:Prunus_persica_NCBIv2:G4:22529104:22533597:1 gene:PRUPE_4G272800 transcript:ONI14276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQLKTQAGRLKKLAGQWSSQALEYVHQVPSEQIYAAVAILLFTSFLLLLVRLFKRPKANTILLTGLSGSGKTVLFYQLRDGSSHHGTLTSMEPNEGTFVLHSEKSKNGKENPVHLIDVPGHSRLRAKLDDVLPQAAGIVFVVDALEFLPNCRAASEYLYDILTKVSVVRKKIPVLILCNKTDKVTAHSKEFIRKQLEKEIDKLRASRSVISTADIANDITLGVPGEPFSFSQCQNKVKVAEASGVTGEISEVEQFIRDHVKS >ONI14278 pep chromosome:Prunus_persica_NCBIv2:G4:22528184:22533951:1 gene:PRUPE_4G272800 transcript:ONI14278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQLKTQAGRLKKLAGQWSSQALEYVHQVPSEQIYAAVAILLFTSFLLLLVRLFKRPKANTILLTGLSGSGKTVLFYQLRDGSSHHGTLTSMEPNEGTFVLHSEKSKNGKENPVHLIDVPGHSRLRAKLDDVLPQAAGIVFVVDALEFLPNCRAASEYLYDILTKVSVVRKKIPVLILCNKTDKVTAHSKEFIRKQLEKEIDKLRASRSVISTADIANDITLGVPGEPFSFSQCQNKVKVAEASGVTGEISEVEQFIRDHVKS >ONI14281 pep chromosome:Prunus_persica_NCBIv2:G4:22525942:22533597:1 gene:PRUPE_4G272800 transcript:ONI14281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEQLKTQAGRLKKLAGQWSSQALEYVHQVPSEQIYAAVAILLFTSFLLLLVRLFKRPKANTILLTGLSGSGKTVLFYQLRDGSSHHGTLTSMEPNEGTFVLHSEKSKNGKENPVHLIDVPGHSRLRAKLDDVLPQAAGIVFVVDALEFLPNCRAASEYLYDILTKVSVVRKKIPVLILCNKTDKVTAHSKEFIRKQLEKEIDKLRASRSVISTADIANDITLGVPGEPFSFSQCQNKVKVAEASGVTGEISEVEQFIRDHVKS >ONI10840 pep chromosome:Prunus_persica_NCBIv2:G4:3489597:3493592:-1 gene:PRUPE_4G071200 transcript:ONI10840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHYRPPGKKNEGNAARFITRSKAIKQLQVSLPLFRKVCILKGIFPREPKKKVKGNHHTYYHLKDVSYIQHEPLLERLREIRAYQHKVKKAEAKKNPDRATLLRQRSPAYKLDKIVLQRYPKFLDALRDLDDCLTMVHLFAALPAIEGCIEVKRIHNCRRLAHEWQAYISRTHRLRKVFVSVKGIYYQAEVKGQKVTWLAPHPLQQVVTDDDDFNIMLNFLEFYEALLAFANCHLYHSINVKYPPILDPRLEALAADHYALSRYFDANSRSSAWDRQDSSLSGSGKVESQQIGPLVDESEPRLAQLQHQLSSNEPGALMHLVEDVPGEDEEDNNTRECKKFFKDMKFFLNREVYRDSLLFVIPAFGGIVSWQGDGAPFEEDDDSITHQIIDRPRQDGKICGREYVHPQWVYDCVNARIILPTGDYLVGRDPPPHLSPFVDNDSFETEGVINPAEAEAEAAREKRKKMKAHEKQHHHELIMELEGVTDSSSISNIDNLSADREESDHDYEQVEEDNRIMSEIEWSRRFRGSIESIRINRQRKKRLDDVIRQRRRNLRRT >ONI11528 pep chromosome:Prunus_persica_NCBIv2:G4:5907706:5913971:-1 gene:PRUPE_4G111500 transcript:ONI11528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTRLDLRFHHSGSAQSEESALDLERNYCSHHPNLPSSSPLALQPFASSGQLSESNAAYFSWPTLSRLNDAAEDRANYFGNLQKDVLPETLGRLPSGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRKGVLTDIPAILVFVARKAHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGSPAPTPKEQLYTELADGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVITTVRGVGEIGDVNIIDLQSPIDSLIGRQVMKVGRSSGLTTGTVMAYALEYNDEKGICFFTDFLVVGENRQSFDLEGDSGSLILLTGQNGEKLRPVGIIWGGTANRGRLKLKIGQPPENWTSGVDLGRLLDLLELDLITTNEGFQAAIQEQRNASAAGIGSTVESSTAVRAPSKDKLEDDFRHLGFNLQKIPFEGESCQGLSRPFIHGDFHIENGVKTSPNVEHQFIPRSTCRSPVNQSNREENPLSKNLLALRNGSDEEISVSLQLGEPEPKRRKNCNALFSTKGPK >ONI11529 pep chromosome:Prunus_persica_NCBIv2:G4:5907706:5913430:-1 gene:PRUPE_4G111500 transcript:ONI11529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTRLDLRFHHSGSAQSEESALDLERNYCSHHPNLPSSSPLALQPFASSGQLSESNAAYFSWPTLSRLNDAAEDRANYFGNLQKDVLPETLGRLPSGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRKGVLTDIPAILVFVARKAHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGSPAPTPKEQLYTELADGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVITTVRGVGEIGDVNIIDLQSPIDSLIGRQVMKVGRSSGLTTGTVMAYALEYNDEKGICFFTDFLVVGENRQSFDLEGDSGSLILLTGQNGEKLRPVGIIWGGTANRGRLKLKIGQPPENWTSGVDLGRLLDLLELDLITTNEGFQAAIQEQRNASAAGIGSTVESSTAVRAPSKDKLEDDFRHLGFNLQKIPFEGESCQGLSRPFIHGDFHIENGVKTSPNVEHQFIPRSTCRSPVNQSNREENPLSKNLLALRNGSDEEISVSLQLGEPEPKRRKNCNALFSTKGPK >ONI11530 pep chromosome:Prunus_persica_NCBIv2:G4:5907682:5913971:-1 gene:PRUPE_4G111500 transcript:ONI11530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTRLDLRFHHSGSAQSEESALDLERNYCSHHPNLPSSSPLALQPFASSGQLSESNAAYFSWPTLSRLNDAAEDRANYFGNLQKDVLPETLGRLPSGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRKGVLTDIPAILVFVARKAHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGSPAPTPKEQLYTELADGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVITTVRGVGEIGDVNIIDLQSPIDSLIGRQVMKVGRSSGLTTGTVMAYALEYNDEKGICFFTDFLVVGENRQSFDLEGDSGSLILLTGQNGEKLRPVGIIWGGTANRGRLKLKIGQPPENWTSGVDLGRLLDLLELDLITTNEGFQAAIQEQRNASAAGIGSTVESSTAVRAPSKDKLEDDFRHLGFNLQKIPFEGESCQGLSRPFIHGDFHIENGVKTSPNVEHQFIPRSTCRSPVNQSNREENPLSKNLLALRNGSDEEISVSLQLGEPEPKRRKNCNALFSTKGPK >ONI11531 pep chromosome:Prunus_persica_NCBIv2:G4:5908739:5912220:-1 gene:PRUPE_4G111500 transcript:ONI11531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTRLDLRFHHSGSAQSEESALDLERNYCSHHPNLPSSSPLALQPFASSGQLSESNAAYFSWPTLSRLNDAAEDRANYFGNLQKDVLPETLGRLPSGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRKGVLTDIPAILVFVARKAHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGSPAPTPKEQLYTELADGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVITTVRGVGEIGDVNIIDLQSPIDSLIGRQVMKVGRSSGLTTGTVMAYALEYNDEKGICFFTDFLVVGENRQSFDLEGDSGSLILLTGQNGEKLRPVGIIWGGTANRGRLKLKIGQPPENWTSGVDLGRLLDLLELDLITTNEGFQAYFPDSSATSF >ONI14305 pep chromosome:Prunus_persica_NCBIv2:G4:22824991:22826459:1 gene:PRUPE_4G274200 transcript:ONI14305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSWHDGHISVFPQRPHLSIVGFSLSLEISIHFLTPSLSLSCLSFLREPCQRSPSLLCRATLPVFPLSSPCFFLCVVDLVLATQALYLCSTCVLLPS >ONI13661 pep chromosome:Prunus_persica_NCBIv2:G4:15263098:15266886:-1 gene:PRUPE_4G235700 transcript:ONI13661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWIWATIGLLALVHILQAWWKNKKKRLPPGPRGFPIFGSLHLLGEFPNKDLHRLARKYGDIMYMRLGLMSTIVISSPEAAELFLKTHDLVFASRPPHEGSKHISFGQKNLSFSEYGVYWRDTRKMCTIELLSNHKINSFKSMRREEVSLCVESIRAAANNIGVAVDLSDKVSSLSVDMSCRMVLGKKYRDEEFDERGFKSVVREGIQLASAPNLGDYIPFIAPLDLQGFTKRMKSVNKAFDNLFEKSIEEHLQPNDGERTKDFVDVMVGFMGSEESEYRIERPHIKAIMLDMLVASMDTSSTTIEWALSELMRHPKAMKKVQKELENVVGLDKMVEESDLEKLDYLNMVVKETFRLHPVAPLLVPHASIEDCTVNGYHIPKNSRVLINVWAIGRDPNAWTDPEKFIPERFEGSSVDVRGNHFQLIPFGSGRRRCPGIQLGLTVVQLVLAQLVHCFDWELPNNMLPDELDMTEEFGFTVPRAKHLLAIPSYRLRKSA >ONI13662 pep chromosome:Prunus_persica_NCBIv2:G4:15261435:15267501:-1 gene:PRUPE_4G235700 transcript:ONI13662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWIWATIGLLALVHILQAWWKNKKKRLPPGPRGFPIFGSLHLLGEFPNKDLHRLARKYGDIMYMRLGLMSTIVISSPEAAELFLKTHDLVFASRPPHEGSKHISFGQKNLSFSEYGVYWRDTRKMCTIELLSNHKINSFKSMRREEVSLCVESIRAAANNIGVAVDLSDKVSSLSVDMSCRMVLGKKYRDEEFDERGFKSVVREGIQLASAPNLGDYIPFIAPLDLQGFTKRMKSVNKAFDNLFEKSIEEHLQPNDGERTKDFVDVMVGFMGSEESEYRIERPHIKAIMLDMLVASMDTSSTTIEWALSELMRHPKAMKKVQKELENVVGLDKMVEESDLEKLDYLNMVVKETFRLHPVAPLLVPHASIEDCTVNGYHIPKNSRVLINVWAIGRDPNAWTDPEKFIPERFEGSSVDVRGNHFQLIPFGSGRRRCPGIQLGLTVVQLVLAQLVHCFDWELPNNMLPDELDMTEEFGFTVPRAKHLLAIPSYRLRKSA >ONI11911 pep chromosome:Prunus_persica_NCBIv2:G4:7409148:7412521:1 gene:PRUPE_4G133900 transcript:ONI11911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRSVSTLKEAELKGKRVFVRVDLNVPLDDNSKITDDTRVRAAVPTIKYLQGHGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVEVKIANDCIGEEVEKLVAQLPDGGVLLLENVRFYKEEEKNDPEFSKKLASLADVYVNDAFGTAHRAHASTEGVAKFLKPSVAGFLMQKELDYLVGAVGNPKRPFAAIVGGSKVSSKIGVIESLLEKVNILLLGGGMIFTFYKAQGYSVGSSLVEEDKLDLAKSLLEKAKAKGVSILLPTDVVIADKFAADANSKVVPASAIPDGWMGLDIGPDSIKTFSEALVTTQTIIWNGPMGVFEFEKFAAGTEAIAKKLAELSDKGVTTIIGGGDSVAAVEKAGLAEKMSHISTGGGASLELLEGKSLPGVLALDDA >ONI11910 pep chromosome:Prunus_persica_NCBIv2:G4:7408954:7413177:1 gene:PRUPE_4G133900 transcript:ONI11910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRSVSTLKEAELKGKRVFVRVDLNVPLDDNSKITDDTRVRAAVPTIKYLQGHGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVEVKIANDCIGEEVEKLVAQLPDGGVLLLENVRFYKEEEKNDPEFSKKLASLADVYVNDAFGTAHRAHASTEGVAKFLKPSVAGFLMQKELDYLVGAVGNPKRPFAAIVGGSKVSSKIGVIESLLEKVNILLLGGGMIFTFYKAQGYSVGSSLVEEDKLDLAKSLLEKAKAKGVSILLPTDVVIADKFAADANSKVVPASAIPDGWMGLDIGPDSIKTFSEALVTTQTIIWNGPMGVFEFEKFAAGTEAIAKKLAELSDKGVTTIIGGGDSVAAVEKAGLAEKMSHISTGGGASLELLEGKSLPGVLALDDA >ONI11976 pep chromosome:Prunus_persica_NCBIv2:G4:7693174:7696786:-1 gene:PRUPE_4G138200 transcript:ONI11976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESGRKQGAMSPCAACKLLRRRCAQDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDALQTQLAIAQAEVVHMRMGQFSTSSTTSNAATTTTCSPDQKSTAPNHSHHHNHQTTKSFFTMDMVVDQANMGESLWSC >ONI11657 pep chromosome:Prunus_persica_NCBIv2:G4:6428421:6430796:-1 gene:PRUPE_4G118800 transcript:ONI11657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLCKEKRIDEAVFLLDEMQLEGCIPSPVTFNVLINALCKKGDLGRAAKLVDNMLLKGCVPNEVTYNTLIHGLCLKGKLAKAVSLLDRMVSNKCVPNDVTYGTIINGLVKRGRAVDGARVLMSMEERGNHANEYIYSVLVSGLFKEGKSEDAMRLWKEMLEKGCKPNTIAYSTLINGLCGEGKPDEAKEVFSEMVSNGCMPNSFTYSSLMRGFFQTGQSQKAILLWKEMANNMRNEVCYSVLIHGLCEDGQLNEALIAWQQMLGRGYKPDVVAYSSMIHGLCNAGLVEQGLKLFNEMLCQEPECQPDVITYNILFNVFCKQSSISLAIDHLNRMLDRGCDPDSVTCDIFLRSLRERLDPPQDGREFLNELVVRLFKQQRIVGASIIVEVMLQKFLPPKASTWTRVVQELCKPKMLA >ONI12162 pep chromosome:Prunus_persica_NCBIv2:G4:8464230:8469206:1 gene:PRUPE_4G148200 transcript:ONI12162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYEAIAKQKLPKMVFDYYASGAEDQWTLAENRNAFSKILFRPRILIDVSHIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGVRFFQLYVYKDRHVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDEAADSGLASYVAGQIDRSLSWKDVQWLQTITKLPILVKGVLTAEDARLAVQAGAAGIIVSNHGARQLDYVPSTIMALEEVVKAAQGRIPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGIRKVLQMLREEFELTMALSGCRSLKEITRDHIVTEWDTPRPRHLPRL >ONI12161 pep chromosome:Prunus_persica_NCBIv2:G4:8463430:8469234:1 gene:PRUPE_4G148200 transcript:ONI12161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVSEYEAIAKQKLPKMVFDYYASGAEDQWTLAENRNAFSKILFRPRILIDVSHIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGVRFFQLYVYKDRHVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDEAADSGLASYVAGQIDRSLSWKDVQWLQTITKLPILVKGVLTAEDARLAVQAGAAGIIVSNHGARQLDYVPSTIMALEEVVKAAQGRIPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGIRKVLQMLREEFELTMALSGCRSLKEITRDHIVTEWDTPRPRHLPRL >ONI12896 pep chromosome:Prunus_persica_NCBIv2:G4:11317856:11320543:1 gene:PRUPE_4G190100 transcript:ONI12896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQLELQVTHQLMHLQFQMGPYTVKPSNAEALPQKPKPMILLPLKKKSEEEGKLIAKSYSPEKCREAIVRYIILDEQPFRVVEGEGFRDMLRVFEPRLQVPYRVTIERDFLKLYKKEKIKLKDYLVANRQRVSLTTDTWSSHQNLIYMCLTAHYIDDQWRLHKKILNFRTIVNRKGDTIGRAIATCLLEWGIDKVLTVTVDNATSNDHAGTFLSKKVNNWNGSILKGENMHMKYCAHILNLIVKEGLEDFHESITRIRNVARYVKYSLARSQKFKACAERVKISSHKAICLDVPTRWNSTYLMLEVAEKYQKAFEHLRGTRYTIMAENMLKKYEKYWGSYQQINMYLFVVVLLDPRYKECYLQYYFSLLCGEDKTSKMTSKVRSKLNELCDQYKLLYHENVAHKDETHNPSEMEIDSNEVDFATAFTTGFMKLVEKTDGEEFKTEVDRYLIETSYESTFTTGGRILDPFRSSLSPRTVEKPFIQSNRIQCALDSIGLHPDIRTHWMGL >ONI12017 pep chromosome:Prunus_persica_NCBIv2:G4:7798811:7802168:-1 gene:PRUPE_4G139700 transcript:ONI12017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLITLSFAGGGPGFRFDALQKLGRLEPKLCQSSTKPFSHKWFHCKCFWQEPRSKNLTLKSRELRTLRLARGKEAEDSFASNVSEDTDDMFDDLLDRYGKVVYQSNDRMSPSTELDDDAESLSFAVEVASVANDVKAGDIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGLFMPQFRSRIRDLAETKYGRVPSGDSKANSWTLLDFGDVVIHIFLPPQRAFYNLEEFYANATPVELPFENQPPFRG >ONI12016 pep chromosome:Prunus_persica_NCBIv2:G4:7798811:7802161:-1 gene:PRUPE_4G139700 transcript:ONI12016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLITLSFAGGGPGFRFDALQKLGRLEPKLCQSSTKPFSHKWFHCKCFWQEPRSKNLTLKSRELRTLRLARGKEAEDSFASNVSEDTDDMFDDLLDRYGKVVYQSNDRMSPSTELDDDAESLSFAVEVASVANDVKAGDIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRIRDLAETKYGRVPSGDSKANSWTLLDFGDVVIHIFLPPQRAFYNLEEFYANATPVELPFENQPPFRG >ONI12041 pep chromosome:Prunus_persica_NCBIv2:G4:7860779:7868179:-1 gene:PRUPE_4G140600 transcript:ONI12041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSKHGTDNNRGLTGHAYTLYQRFNHALSLGNRFCGDKAKKWQCMDIEIQRHVVHSIAAFLDYISGDTLHHPLVKASVSDIVGALVWILQSNKGAMLSMAADVTLKLVSILPKSVLQLYALDLVNPLSSLLSSHQTEVAISCAAALNLSLSNLSTKSGKEVWDVLKKTEIVSQVITNLRCFPGCAKQVEYFQEMALLLSTILWWWSPSRFSVWSDAELMKGLNDMLDNYGKAAVLKLYSSIALCGHGTKKLLESGEVLEQMVQCMNNSHPHPVRIEGFKLAQCLAINENTCLQMMRLCCKPIIKAIISGMSELSSNSRKVSNEQMSLLEEACRLALITRWTGEHHIHLWKQGIDKILLDLLFNFRNQQYKHSMSLDEQIATAKEGLNANYLLVLRSYIWDILGWLAIHCGEDFHPESELYINILITCACLTFVDAIRKWHKIYEKDNAGVFRSESATRAVFMMIYSPCKYIASRTRTILSEILEPDGLEYLKTLVHFLNNLSSWTEFGMPDRLQIIIYLMGFACYSGLPQYQTWVVKRRGVKTLLALMRWCLSNDFHIERSSFAPHLHNAVCERICCWVSAEDWEGKDILLFFSLWGLAELIQHSLCIGNNQDRISCEMRHIEAQLVSELQDICTNSCAPGLQWYAAFILSYFGYYGFPGKHAKRIGKALNEKDDADIQLILANGECLSVHGVVLAIQCPSLLPPEVLLPGEVTSDDSSGGGSMETCRGFQKDIRLSAHVDHQALVMLLDYIYLGYLQAGDELAKKLRTLAKRCNLQSLLQMLCRKRPKWGTAFPSIDLNVALGPSGHCFSDVILEAKATELLGWTCGVCSLPRPHMHAHKVVLSSSCDYLRALFKSGMQESHLETIKVSISWEAMVKLGNCFYSESCLLKYYTLLPIYLCGSWPKLQQLMPHLYIASYAILANLNRLMRCWLKWFVQHLFNSLNSEASFDCHLSICH >ONI12037 pep chromosome:Prunus_persica_NCBIv2:G4:7860475:7868645:-1 gene:PRUPE_4G140600 transcript:ONI12037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSKHGTDNNRGLTGHAYTLYQRFNHALSLGNRFCGDKAKKWQCMDIEIQRHVVHSIAAFLDYISGDTLHHPLVKASVSDIVGALVWILQSNKGAMLSMAADVTLKLVSILPKSVLQLYALDLVNPLSSLLSSHQTEVAISCAAALNLSLSNLSTKSGKEVWDVLKKTEIVSQVITNLRCFPGCAKQVEYFQEMALLLSTILWWWSPSRFSVWSDAELMKGLNDMLDNYGKAAVLKLYSSIALCGHGTKKLLESGEVLEQMVQCMNNSHPHPVRIEGFKLAQCLAINENTCLQMMRLCCKPIIKAIISGMSELSSNSRKVSNEQMSLLEEACRLALITRWTGEHHIHLWKQGIDKILLDLLFNFRNQQYKHSMSLDEQIATAKEGLNANYLLVLRSYIWDILGWLAIHCGEDFHPESELYINILITCACLTFVDAIRKWHKIYEKDNAGVFRSESATRAVFMMIYSPCKYIASRTRTILSEILEPDGLEYLKTLVHFLNNLSSWTEFGMPDRLQIIIYLMGFACYSGLPQYQTWVVKRRGVKTLLALMRWCLSNDFHIERSSFAPHLHNAVCERICCWVSAEDWEGKDILLFFSLWGLAELIQHSLCIGNNQDRISCEMRHIEAQLVSELQDICTNSCAPGLQWYAAFILSYFGYYGFPGKHAKRIGKALNEKDDADIQLILANGECLSVHGVVLAIQCPSLLPPEVLLPGEVTSDDSSGGGSMETCRGFQKDIRLSAHVDHQALVMLLDYIYLGYLQAGDELAKKLRTLAKRCNLQSLLQMLCRKRPKWGTAFPSIDLNVALGPSGHCFSDVILEAKATELLGWTCGVCSLPRPHMHAHKVVLSSSCDYLRALFKSGMQESHLETIKVSISWEAMVKLGNCFYSESCLLKYYTLLPIYLCGSWPKLQQLMPHLYIASYAILANLNRLMRCWLKWFVQHLFNSLNRLAERTKCLNFTVVHLADEERCYKQEEKCDGRGWISP >ONI12043 pep chromosome:Prunus_persica_NCBIv2:G4:7860475:7868645:-1 gene:PRUPE_4G140600 transcript:ONI12043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSKHGTDNNRGLTGHAYTLYQRFNHALSLGNRFCGDKAKKWQCMDIEIQRHVVHSIAAFLDYISGDTLHHPLVKASVSDIVGALVWILQSNKGAMLSMAADVTLKLVSILPKSVLQLYALDLVNPLSSLLSSHQTEVAISCAAALNLSLSNLSTKSGKEVWDVLKKTEIVSQVITNLRCFPGCAKQVEYFQEMALLLSTILWWWSPSRFSVWSDAELMKGLNDMLDNYGKAAVLKLYSSIALCGHGTKKLLESGEVLEQMVQCMNNSHPHPVRIEGFKLAQCLAINENTCLQMMRLCCKPIIKAIISGMSELSSNSRKVSNEQMSLLEEACRLALITRWTGEHHIHLWKQGIDKILLDLLFNFRNQQYKHSMSLDEQIATAKEGLNANYLLVLRSYIWDILGWLAIHCGEDFHPESELYINILITCACLTFVDAIRKWHKIYEKDNAGVFRSESATRAVFMMIYSPCKYIASRTRTILSEILEPDGLEYLKTLVHFLNNLSSWTEFGMPDRLQIIIYLMGFACYSGLPQYQTWVVKRRGVKTLLALMRWCLSNDFHIERSSFAPHLHNAVCERICCWVSAEDWEGKDILLFFSLWGLAELIQHSLCIGNNQDRISCEMRHIEAQLVSELQDICTNSCAPGLQWYAAFILSYFGYYGFPGKHAKRIGKALNEKDDADIQLILANGECLSVHGVVLAIQCPSLLPPEVLLPGEVTSDDSSGGGSMETCRGFQKDIRLSAHVDHQALVMLLDYIYLGYLQAGDELAKKLRTLAKRCNLQSLLQMLCRKRPKWGTAFPSIDLNVALGPSGHCFSDVILEAKATELLGWTCGVCSLPRPHMHAHKVVLSSSCDYLRALFKSGMQESHLETIKVSISWEAMVKLGNCFYSAIRRAFWAF >ONI12038 pep chromosome:Prunus_persica_NCBIv2:G4:7860475:7868645:-1 gene:PRUPE_4G140600 transcript:ONI12038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSKHGTDNNRGLTGHAYTLYQRFNHALSLGNRFCGDKAKKWQCMDIEIQRHVVHSIAAFLDYISGDTLHHPLVKASVSDIVGALVWILQSNKGAMLSMAADVTLKLVSILPKSVLQLYALDLVNPLSSLLSSHQTEVAISCAAALNLSLSNLSTKSGKEVWDVLKKTEIVSQVITNLRCFPGCAKQVEYFQEMALLLSTILWWWSPSRFSVWSDAELMKGLNDMLDNYGKAAVLKLYSSIALCGHGTKKLLESGEVLEQMVQCMNNSHPHPVRIEGFKLAQCLAINENTCLQMMRLCCKPIIKAIISGMSELSSNSRKVSNEQMSLLEEACRLALITRWTGEHHIHLWKQGIDKILLDLLFNFRNQQYKHSMSLDEQIATAKEGLNANYLLVLRSYIWDILGWLAIHCGEDFHPESELYINILITCACLTFVDAIRKWHKIYEKDNAGVFRSESATRAVFMMIYSPCKYIASRTRTILSEILEPDGLEYLKTLVHFLNNLSSWTEFGMPDRLQIIIYLMGFACYSGLPQYQTWVVKRRGVKTLLALMRWCLSNDFHIERSSFAPHLHNAVCERICCWVSAEDWEGKDILLFFSLWGLAELIQHSLCIGNNQDRISCEMRHIEAQLVSELQDICTNSCAPGLQWYAAFILSYFGYYGFPGKHAKRIGKALNEKDDADIQLILANGECLSVHGVVLAIQCPSLLPPEVLLPGEVTSDDSSGGGSMETCRGFQKDIRLSAHVDHQALVMLLDYIYLGYLQAGDELAKKLRTLAKRCNLQSLLQMLCRKRPKWGTAFPSIDLNVALGPSGHCFSDVILEAKATELLGWTCGVCSLPRPHMHAHKVVLSSSCDYLRALFKSGMQESHLETIKVSISWEAMVKLGNCFYSGKLPKPPSGCLWNIMDTEEKLHELQPYVELFGLSEFWLMQDVQEACSDVIISCLGSSRELSIKILHVAANLSVWKLAEVAATYAAPLYRQLCNSGELESLDEMLVEMVRAASVQLSQQASREDQVPKLYSRPSSR >ONI12042 pep chromosome:Prunus_persica_NCBIv2:G4:7860816:7868179:-1 gene:PRUPE_4G140600 transcript:ONI12042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSKHGTDNNRGLTGHAYTLYQRFNHALSLGNRFCGDKAKKWQCMDIEIQRHVVHSIAAFLDYISGDTLHHPLVKASVSDIVGALVWILQSNKGAMLSMAADVTLKLVSILPKSVLQLYALDLVNPLSSLLSSHQTEVAISCAAALNLSLSNLSTKSGKEVWDVLKKTEIVSQVITNLRCFPGCAKQVEYFQEMALLLSTILWWWSPSRFSVWSDAELMKGLNDMLDNYGKAAVLKLYSSIALCGHGTKKLLESGEVLEQMVQCMNNSHPHPVRIEGFKLAQCLAINENTCLQMMRLCCKPIIKAIISGMSELSSNSRKVSNEQMSLLEEACRLALITRWTGEHHIHLWKQGIDKILLDLLFNFRNQQYKHSMSLDEQIATAKEGLNANYLLVLRSYIWDILGWLAIHCGEDFHPESELYINILITCACLTFVDAIRKWHKIYEKDNAGVFRSESATRAVFMMIYSPCKYIASRTRTILSEILEPDGLEYLKTLVHFLNNLSSWTEFGMPDRLQIIIYLMGFACYSGLPQYQTWVVKRRGVKTLLALMRWCLSNDFHIERSSFAPHLHNAVCERICCWVSAEDWEGKDILLFFSLWGLAELIQHSLCIGNNQDRISCEMRHIEAQLVSELQDICTNSCAPGLQWYAAFILSYFGYYGFPGKHAKRIGKALNEKDDADIQLILANGECLSVHGVVLAIQCPSLLPPEVLLPGEVTSDDSSGGGSMETCRGFQKDIRLSAHVDHQALVMLLDYIYLGYLQAGDELAKKLRTLAKRCNLQSLLQMLCRKRPKWGTAFPSIDLNVALGPSGHCFSDVILEAKATELLGWTCGVCSLPRPHMHAHKVVLSSSCDYLRALFKSGMQESHLETIKVSISWEAMVKLGNCFYSGKLPKPPSGCLWNIMDTEEKLHELQPYVELFGLSEFWLMQDVQEACSDVIISCLGSSRELSIKILHVAANLSVWKLAEVAATYAAPLYRQLCNSGELESLDEMLVEMVRAASVQLSQQ >ONI12039 pep chromosome:Prunus_persica_NCBIv2:G4:7860707:7865686:-1 gene:PRUPE_4G140600 transcript:ONI12039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQCMNNSHPHPVRIEGFKLAQCLAINENTCLQMMRLCCKPIIKAIISGMSELSSNSRKVSNEQMSLLEEACRLALITRWTGEHHIHLWKQGIDKILLDLLFNFRNQQYKHSMSLDEQIATAKEGLNANYLLVLRSYIWDILGWLAIHCGEDFHPESELYINILITCACLTFVDAIRKWHKIYEKDNAGVFRSESATRAVFMMIYSPCKYIASRTRTILSEILEPDGLEYLKTLVHFLNNLSSWTEFGMPDRLQIIIYLMGFACYSGLPQYQTWVVKRRGVKTLLALMRWCLSNDFHIERSSFAPHLHNAVCERICCWVSAEDWEGKDILLFFSLWGLAELIQHSLCIGNNQDRISCEMRHIEAQLVSELQDICTNSCAPGLQWYAAFILSYFGYYGFPGKHAKRIGKALNEKDDADIQLILANGECLSVHGVVLAIQCPSLLPPEVLLPGEVTSDDSSGGGSMETCRGFQKDIRLSAHVDHQALVMLLDYIYLGYLQAGDELAKKLRTLAKRCNLQSLLQMLCRKRPKWGTAFPSIDLNVALGPSGHCFSDVILEAKATELLGWTCGVCSLPRPHMHAHKVVLSSSCDYLRALFKSGMQESHLETIKVSISWEAMVKLGNCFYSGKLPKPPSGCLWNIMDTEEKLHELQPYVELFGLSEFWLMQDVQEACSDVIISCLGSSRELSIKILHVAANLSVWKLAEVAATYAAPLYRQLCNSGELESLDEMLVEMVRAASVQLSQQASREDQVPKLYSRPSSR >ONI12040 pep chromosome:Prunus_persica_NCBIv2:G4:7860475:7865545:-1 gene:PRUPE_4G140600 transcript:ONI12040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLCCKPIIKAIISGMSELSSNSRKVSNEQMSLLEEACRLALITRWTGEHHIHLWKQGIDKILLDLLFNFRNQQYKHSMSLDEQIATAKEGLNANYLLVLRSYIWDILGWLAIHCGEDFHPESELYINILITCACLTFVDAIRKWHKIYEKDNAGVFRSESATRAVFMMIYSPCKYIASRTRTILSEILEPDGLEYLKTLVHFLNNLSSWTEFGMPDRLQIIIYLMGFACYSGLPQYQTWVVKRRGVKTLLALMRWCLSNDFHIERSSFAPHLHNAVCERICCWVSAEDWEGKDILLFFSLWGLAELIQHSLCIGNNQDRISCEMRHIEAQLVSELQDICTNSCAPGLQWYAAFILSYFGYYGFPGKHAKRIGKALNEKDDADIQLILANGECLSVHGVVLAIQCPSLLPPEVLLPGEVTSDDSSGGGSMETCRGFQKDIRLSAHVDHQALVMLLDYIYLGYLQAGDELAKKLRTLAKRCNLQSLLQMLCRKRPKWGTAFPSIDLNVALGPSGHCFSDVILEAKATELLGWTCGVCSLPRPHMHAHKVVLSSSCDYLRALFKSGMQESHLETIKVSISWEAMVKLGNCFYSGKLPKPPSGCLWNIMDTEEKLHELQPYVELFGLSEFWLMQDVQEACSDVIISCLGSSRELSIKILHVAANLSVWKLAEVAATYAAPLYRQLCNSGELESLDEMLVEMVRAASVQLSQQASREDQVPKLYSRPSSR >ONI13285 pep chromosome:Prunus_persica_NCBIv2:G4:13338784:13340476:-1 gene:PRUPE_4G213600 transcript:ONI13285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLPISSVMKGWFSGLLKATFRSKEIVSLWVLAAIGDGSRGGALIGVINRVVFTVFTCILALGGAIMGAIHGAVTGQTTETGLVTGAGIGCLAGAIAAIQLMDLGVDGQSLSKVALLGGMVNGKVFMEWVSSAVLKAYHWQVSNLETTYRDISEIYDIAGAKGLSHECIQRLPQSTFRSSNMVESCNDFCCSICLQELKEGECARELPTCRHFFHVACIDQWLKQQSSCPMCRTRVHTENV >ONI13284 pep chromosome:Prunus_persica_NCBIv2:G4:13338462:13341230:-1 gene:PRUPE_4G213600 transcript:ONI13284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLPISSVMKGWFSGLLKATFRSKEIVSLWVLAAIGDGSRGGALIGVINRVVFTVFTCILALGGAIMGAIHGAVTGQTTETGLVTGAGIGCLAGAIAAIQLMDLGVDGQSLSKVALLGGMVNGKVFMEWVSNLETTYRDISEIYDIAGAKGLSHECIQRLPQSTFRSSNMVESCNDFCCSICLQELKEGECARELPTCRHFFHVACIDQWLKQQSSCPMCRTRVHTENV >ONI13286 pep chromosome:Prunus_persica_NCBIv2:G4:13338784:13340476:-1 gene:PRUPE_4G213600 transcript:ONI13286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLPISSVMKGWFSGLLKATFRSKEIVSLWVLAAIGDGSRGGALIGVINRVVFTVFTCILALVVGFVGGAIMGAIHGAVTGQTTETGLVTGAGIGCLAGAIAAIQLMDLGVDGQSLSKVALLGGMVNGKVFMEWVSSAVLKAYHWQVSNLETTYRDISEIYDIAGAKGLSHECIQRLPQSTFRSSNMVESCNDFCCSICLQELKEGECARELPTCRHFFHVACIDQWLKQQSSCPMCRTRVHTENV >ONI13867 pep chromosome:Prunus_persica_NCBIv2:G4:16756967:16761317:1 gene:PRUPE_4G250500 transcript:ONI13867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTPVGAANSAVLGEPKAQIARYHGLRSANSIGLTRSRRAPISSASSSLIRAVATPAKPQTATETKRSKVEIFKEQSNYIRYPLNEEILTDAPNINEAATQLIKFHGSYQQYNRDERGGRSYSFMLRTKNPCGKVSNQLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKDLKTVMSSIINSMGSTLGACGDLNRNVLAPPAPIQRKDYLFAQQTAENIAALLTPQSGFYYDVWVDGEKFLTAEPPEVTKARNDNSHGTNFTDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGVVVVTNDEGEPQGFNIYVGGGMGRTHRLETTFPRLAEPLGYVPKEDILYAIKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGKKFEQFRELPEWEFKSHLGWNKQGDGSYYCGLHVDNGRIGGVMKKALREVIEKYNLSIRLTPNQNIILCDIRTAWKRPITTILAKAGLLHPRFVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRAVFEKVGLKYNESVVIRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQTSIARSFMNKVKVQDLEKVLEPLFYYWRRKRQSKESFGGYTNRMGFEKLQELVDKWEGPEVAPARYNLKLFADKETYEAVDELAKLQDKTAHQLAMEVIRNFVGSQQNGKSE >ONI09963 pep chromosome:Prunus_persica_NCBIv2:G4:985434:990845:1 gene:PRUPE_4G021000 transcript:ONI09963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEKRKAATLASLRSEETDKSPKGTVDAPIIPLLNTLNSHPNYFTTSSCSGRISILSQPTHSKLKTKKKALGGTWLFITHDPADPDSVLNRLFRSDSTSKDEQDNQNDLVFRFEPLIIAVECKDLASAQSLVSKAIACGFRESGITNSSKRVIIAIRCSIRLEVPLGSSHEIMVSCEYVRFLVGVANEKMEANRKRTEAFFLALQSESGGFLGPTPANGGTLADGEAELEARDDNAHSDSGSVEVPGCSLSVVEMAISGEPEENLFLWGHSACALEAKNQNGVLVFGGFGGIGRHGRRNHSWLVDPFSGTVKAINVESSPSPRLGHTSSLVGDCVFVIGGRSDPEKILNDVWVLNTSKKEWKFLECSGDVFPPRHRHAAAVVGSKIYVFGGLNNDTITSSLHVLDTDNLQWKELFVSGEHPCARHSHSMVACGSQLYIFGGYNGEQTLGDLYVYNIQTCKWKKEKAAGRSPHARFSHSMFVYRNYLGVIGGCPVRQHCQELAILDLKQSVWRHAKLESTSEDLFVRSTANIVGDDLVMIGGGASCYAFGTKFSKPVKINLLPLMSIDNNIKPVVRERDAHRYEMVNSEKSGRFQDPQAEDAQSLTEALDLNFESDFPGENGIGHQVESYWILQLERKYAKVGKDILKKFGWLDLGRKVYSRKGGLHICFPVNGKFSGVFKENKRPLTDLSEGESDHFVKPVIGEECLLNAVTCSKALDILKECGATKLADEVLEVRRAAKSPLKVMNEAVGSLIKDKGLPEELLEELPARWEQLGDIVVLPATSFKNPLWDSMREELWPVIAKSVNAHRLARQGRVASNGTRDSTLEILLGDNGWVDHRENGILYSFDATKCMFSWGNLSEKLRVASLNCRDEIVVDLFAGIGYFVLPFLVRANAKLVYACEWNPHAVEALRRNLQANSVSDRCIILEGDNRTVAPKGVADRVCLGLIPTSAGSWVTAVRALRSEGGMLHVHGNVKDSEESLWTKHVSESVGEIAKSEGHCWEVSIEHLERVKWYAPHIRHLVADVRCRQSQRWPN >ONI09964 pep chromosome:Prunus_persica_NCBIv2:G4:985434:990845:1 gene:PRUPE_4G021000 transcript:ONI09964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEKRKAATLASLRSEETDKSPKGTVDAPIIPLLNTLNSHPNYFTTSSCSGRISILSQPTHSKLKTKKKALGGTWLFITHDPADPDSVLNRLFRSDSTSKDEQDNQNDLVFRFEPLIIAVECKDLASAQSLVSKAIACGFRESGITNSSKRVIIAIRCSIRLEVPLGSSHEIMVSCEYVRFLVGVANEKMEANRKRTEAFFLALQSESGGFLGPTPANGGTLADGEAELEARDDNAHSDSGSVEVPGCSLSVVEMAISGEPEENLFLWGHSACALEAKNQNGVLVFGGFGGIGRHGRRNHSWLVDPFSGTVKAINVESSPSPRLGHTSSLVGDCVFVIGGRSDPEKILNDVWVLNTSKKEWKFLECSGDVFPPRHRHAAAVVGSKIYVFGGLNNDTITSSLHVLDTDNLQWKELFVSGEHPCARHSHSMVACGSQLYIFGGYNGEQTLGDLYVYNIQTCKWKKEKAAGRSPHARFSHSMFVYRNYLGVIGGCPVRQHCQELAILDLKQSVWRHAKLESTSEDLFVRSTANIVGDDLVMIGGGASCYAFGTKFSKPVKINLLPLMSIDNNIKPVVRERDAHRYEMVNSEKSGRFQDPQAEDAQSLTEALDLNFESDFPGENGIGHQVESYWILQLERKYAKVGKDILKKFGWLDLGRKVYSRKGGLHICFPVNGKFSVTCSKALDILKECGATKLADEVLEVRRAAKSPLKVMNEAVGSLIKDKGLPEELLEELPARWEQLGDIVVLPATSFKNPLWDSMREELWPVIAKSVNAHRLARQGRVASNGTRDSTLEILLGDNGWVDHRENGILYSFDATKCMFSWGNLSEKLRVASLNCRDEIVVDLFAGIGYFVLPFLVRANAKLVYACEWNPHAVEALRRNLQANSVSDRCIILEGDNRTVAPKGVADRVCLGLIPTSAGSWVTAVRALRSEGGMLHVHGNVKDSEESLWTKHVSESVGEIAKSEGHCWEVSIEHLERVKWYAPHIRHLVADVRCRQSQRWPN >ONI09967 pep chromosome:Prunus_persica_NCBIv2:G4:985434:990845:1 gene:PRUPE_4G021000 transcript:ONI09967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGEPEENLFLWGHSACALEAKNQNGVLVFGGFGGIGRHGRRNHSWLVDPFSGTVKAINVESSPSPRLGHTSSLVGDCVFVIGGRSDPEKILNDVWVLNTSKKEWKFLECSGDVFPPRHRHAAAVVGSKIYVFGGLNNDTITSSLHVLDTDNLQWKELFVSGEHPCARHSHSMVACGSQLYIFGGYNGEQTLGDLYVYNIQTCKWKKEKAAGRSPHARFSHSMFVYRNYLGVIGGCPVRQHCQELAILDLKQSVWRHAKLESTSEDLFVRSTANIVGDDLVMIGGGASCYAFGTKFSKPVKINLLPLMSIDNNIKPVVRERDAHRYEMVNSEKSGRFQDPQAEDAQSLTEALDLNFESDFPGENGIGHQVESYWILQLERKYAKVGKDILKKFGWLDLGRKVYSRKGGLHICFPVNGKFSVTCSKALDILKECGATKLADEVLEVRRAAKSPLKVMNEAVGSLIKDKGLPEELLEELPARWEQLGDIVVLPATSFKNPLWDSMREELWPVIAKSVNAHRLARQGRVASNGTRDSTLEILLGDNGWVDHRENGILYSFDATKCMFSWGNLSEKLRVASLNCRDEIVVDLFAGIGYFVLPFLVRANAKLVYACEWNPHAVEALRRNLQANSVSDRCIILEGDNRTVAPKGVADRVCLGLIPTSAGSWVTAVRALRSEGGMLHVHGNVKDSEESLWTKHVSESVGEIAKSEGHCWEVSIEHLERVKWYAPHIRHLVADVRCRQSQRWPN >ONI09965 pep chromosome:Prunus_persica_NCBIv2:G4:986370:990845:1 gene:PRUPE_4G021000 transcript:ONI09965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGEPEENLFLWGHSACALEAKNQNGVLVFGGFGGIGRHGRRNHSWLVDPFSGTVKAINVESSPSPRLGHTSSLVGDCVFVIGGRSDPEKILNDVWVLNTSKKEWKFLECSGDVFPPRHRHAAAVVGSKIYVFGGLNNDTITSSLHVLDTDNLQWKELFVSGEHPCARHSHSMVACGSQLYIFGGYNGEQTLGDLYVYNIQTCKWKKEKAAGRSPHARFSHSMFVYRNYLGVIGGCPVRQHCQELAILDLKQSVWRHAKLESTSEDLFVRSTANIVGDDLVMIGGGASCYAFGTKFSKPVKINLLPLMSIDNNIKPVVRERDAHRYEMVNSEKSGRFQDPQAEDAQSLTEALDLNFESDFPGENGIGHQVESYWILQLERKYAKVGKDILKKFGWLDLGRKVYSRKGGLHICFPVNGKFSGVFKENKRPLTDLSEGESDHFVKPVIGEECLLNAVTCSKALDILKECGATKLADEVLEVRRAAKSPLKVMNEAVGSLIKDKGLPEELLEELPARWEQLGDIVVLPATSFKNPLWDSMREELWPVIAKSVNAHRLARQGRVASNGTRDSTLEILLGDNGWVDHRENGILYSFDATKCMFSWGNLSEKLRVASLNCRDEIVVDLFAGIGYFVLPFLVRANAKLVYACEWNPHAVEALRRNLQANSVSDRCIILEGDNRTVAPKGVADRVCLGLIPTSAGSWVTAVRALRSEGGMLHVHGNVKDSEESLWTKHVSESVGEIAKSEGHCWEVSIEHLERVKWYAPHIRHLVADVRCRQSQRWPN >ONI09966 pep chromosome:Prunus_persica_NCBIv2:G4:985434:990845:1 gene:PRUPE_4G021000 transcript:ONI09966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGEPEENLFLWGHSACALEAKNQNGVLVFGGFGGIGRHGRRNHSWLVDPFSGTVKAINVESSPSPRLGHTSSLVGDCVFVIGGRSDPEKILNDVWVLNTSKKEWKFLECSGDVFPPRHRHAAAVVGSKIYVFGGLNNDTITSSLHVLDTDNLQWKELFVSGEHPCARHSHSMVACGSQLYIFGGYNGEQTLGDLYVYNIQTCKWKKEKAAGRSPHARFSHSMFVYRNYLGVIGGCPVRQHCQELAILDLKQSVWRHAKLESTSEDLFVRSTANIVGDDLVMIGGGASCYAFGTKFSKPVKINLLPLMSIDNNIKPVVRERDAHRYEMVNSEKSGRFQDPQAEDAQSLTEALDLNFESDFPGENGIGHQVESYWILQLERKYAKVGKDILKKFGWLDLGRKVYSRKGGLHICFPVNGKFSGVFKENKRPLTDLSEGESDHFVKPVIGEECLLNAVTCSKALDILKECGATKLADEVLEVRRAAKSPLKVMNEAVGSLIKDKGLPEELLEELPARWEQLGDIVVLPATSFKNPLWDSMREELWPVIAKSVNAHRLARQGRVASNGTRDSTLEILLGDNGWVDHRENGILYSFDATKCMFSWGNLSEKLRVASLNCRDEIVVDLFAGIGYFVLPFLVRANAKLVYACEWNPHAVEALRRNLQANSVSDRCIILEGDNRTVAPKGVADRVCLGLIPTSAGSWVTAVRALRSEGGMLHVHGNVKDSEESLWTKHVSESVGEIAKSEGHCWEVSIEHLERVKWYAPHIRHLVADVRCRQSQRWPN >ONI12001 pep chromosome:Prunus_persica_NCBIv2:G4:7755814:7757573:1 gene:PRUPE_4G139000 transcript:ONI12001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIQIENGAGETARILVEFLEVAITSIVFLKGIYPPGAFERRKYMNLVVHSARHPELRDYIHSAVSGLHPFIQKGLVERVAVIFFNSDSIPVERFMFKLTVNQSYGSRVEEADLEFSLRSFFIKLPFSESLTRVLPQDCRWEITAYFRSLPQTSTSKDAELWIPTDIQQWQQPPLITPIKSMSSEPLSVQFYLEHPGLSEPKA >ONI14109 pep chromosome:Prunus_persica_NCBIv2:G4:19097350:19100947:-1 gene:PRUPE_4G262500 transcript:ONI14109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLFITCLSFLFFKTLPLKPLPPWACEMRLLSPWFWKELSFFTISKLTKKSFSIFFLSPFPSKMSLKRKPLSLSAKVENVEEEDTSELSVLDLPELVLECILERLPPDALFRMTGVCTSLRERCRSDHLWEKHMKQKWGSVIGPAAYREWQWHIASKTDLVDVKQGKQKGLMRILSLGWPFSWIRSRVDDHSQQRCSLPADSVMSWYLALETGRFWFPAQVYNRENGHVGFMLSCYDAEISYDPRTDTFQARYPPHGRRAVAIENGVPWERLRAPPIETPAHDLHMSDCLNELQPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGHENYCLCHNSDTVVLEFNQYTHGSRWRCTNISRRDHREEGNEADGFYGGIRKLRTKEEISTWKRLWPTEVLE >ONI14108 pep chromosome:Prunus_persica_NCBIv2:G4:19097350:19101902:-1 gene:PRUPE_4G262500 transcript:ONI14108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYLFITCLSFLFFKTLPLKPLPPWACEMRLLSPWFWKELSFFTISKLTKKSFSIFFLSPFPSKMSLKRKPLSLSAKVENVEEEDTSELSVLDLPELVLECILERLPPDALFRMTGVCTSLRERCRSDHLWEKHMKQKWGSVIGPAAYREWQWHIASKTDLVDVKQGKQKGLMRILSLGWPFSWIRSRVDDHSQQRCSLPADSVMSWYLALETGRFWFPAQVYNRENGHVGFMLSCYDAEISYDPRTDTFQARYPPHGRRAVAIENGVPWERLRAPPIETPAHDLHMSDCLNELQPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGHENYCLCHNSDTVVLEFNQYTHGSRWRCTNISRRDHREEGNEADGFYGGIRKLRTKEEISTWKRLWPTEVLE >ONI14551 pep chromosome:Prunus_persica_NCBIv2:G4:25168728:25170670:1 gene:PRUPE_4G287100 transcript:ONI14551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVGETRATNYFHSNSMLVNHRQVLNPSRFASSGGYPVLHSSGLQHWFKNWQGHRKHKLTASTFAAAVGFFHRRRLQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYKLITGNSVLFPEFQVYGNTNAGDDWLGASPDGVVDRLVYGLSSRGVLEIKCPFFDGNMKKATPWPRIPLYCVPQAQGLMEILDRDWMDFYVWTPKGSSLFRLYRDAEYWDVLKMVLSDFWWNHVQPAREMCSKSQITDPLVELRSLKPAPRHEMCSYVVYESKRVVDSSKLLMREINGKLIN >ONI14552 pep chromosome:Prunus_persica_NCBIv2:G4:25168728:25171347:1 gene:PRUPE_4G287100 transcript:ONI14552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVGETRATNYFHSNSMLVNHRQVLNPSRFASSGGYPVLHSSGLQHWFKNWQGHRKHKLTASTFAAAVGFFHRRRLQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYKLITGNSVLFPEFQVYGNTNAGDDWLGASPDGVVDRLVYGLSSRGVLEIKCPFFDGNMKKATPWPRIPLYCVPQAQGLMEILDRDWMDFYVWTPKGSSLFRLYRDAEYWDVLKMVLSDFWWNHVQPAREMCSKSQITDPLVELRSLKPAPRHEMCSYVVYESKRVVDSSKLLMREINGKLIN >ONI14550 pep chromosome:Prunus_persica_NCBIv2:G4:25168728:25171347:1 gene:PRUPE_4G287100 transcript:ONI14550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVGETRATNYFHSNSMLVNHRQVLNPSRFASSGGYPVLHSSGLQHWFKNWQGHRKHKLTASTFAAAVGFFHRRRLQLWLEKIGAIEPFSGNLATCWSNIKEEEALERYKLITGNSVLFPEFQVYGNTNAGDDWLGASPDGVVDRLVYGLSSRGVLEIKCPFFDGNMKKATPWPRIPLYCVPQAQGLMEILDRDWMDFYVWTPKGSSLFRLYRDAEYWDVLKMVLSDFWWNHVQPAREMCSKSQITDPLVELRSLKPAPRHEMCSYVVYESKRVVDSSKLLMREINGKLIN >ONI11532 pep chromosome:Prunus_persica_NCBIv2:G4:5915061:5915950:1 gene:PRUPE_4G111600 transcript:ONI11532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEKQPAMTKCDRLQNALMDCHRRIGPGLAREAACRHLNRGLAECLVSVACPEEWEAVRSLCGSGGTSLKRSQCQEAQLSLSVCLSSHQHQRNNPNAE >ONI12374 pep chromosome:Prunus_persica_NCBIv2:G4:9175005:9179399:-1 gene:PRUPE_4G160200 transcript:ONI12374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSLFFLTLLTLTVAYSLQFQAHAAPAGPLIKHLSSLLKWTREISSKTPQSVETVLEGNDIGVIPYQNPHLRGWRTLCRDSVNSNIMRISVMQQYLYSRGRLVAGSFQGYTGHVDGKPSDARFNHPKGVTMDDQGNVYVADTVNHAIRKIGDAGVTTIAGGKSNVAGYRDGPSEDAMFSNDFDVVYIQSTCSLLVVDRGNAALRQISLNQEDCDYQYSSISATDVLMVVGAVLVGYATCMLQQGYGPSFFSRTPPLKSEIKEHPSNGKSSPMVESMKEEPGWPSFGQLIIDLSKLGLEALAGIFVHFIPSRFMPGGSQKGLTPLKDALRMPEDEAETPLVQRQSAPAPLSETRQAHTPNASEKYSEMKPPKMKSASFKDPSLSSKHRSSKRQDSAEFYGSGEVASYSRSKSQKERPRHRQRDKSGEVVFGAGTEPKPMDMKAAGYDNPKFENYNIRSRYGPDSSYRF >ONI12378 pep chromosome:Prunus_persica_NCBIv2:G4:9175005:9177836:-1 gene:PRUPE_4G160200 transcript:ONI12378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNDFDVVYIQSTCSLLVVDRGNAALRQISLNQEDCDYQYSSISATDVLMVVGAVLVGYATCMLQQGYGPSFFSRTQPPLKSEIKEHPSNGKSSPMVESMKEEPGWPSFGQLIIDLSKLGLEALAGIFVHFIPSRFMPGGSQKGLTPLKDALRMPEDEAETPLVQRQSAPAPLSETRQAHTPNASEKYSEMKPPKMKSASFKDPSLSSKHRSSKRQDSAEFYGSGEVASYSRSKSQKERPRHRQRDKSGEVVFGAGTEPKPMDMKAAGYDNPKFENYNIRSRYGPDSSYRF >ONI12375 pep chromosome:Prunus_persica_NCBIv2:G4:9174240:9178420:-1 gene:PRUPE_4G160200 transcript:ONI12375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQGNVYVADTVNHAIRKIGDAGVTTIAGGKSNVAGYRDGPSEDAMFSNDFDVVYIQSTCSLLVVDRGNAALRQISLNQEDCDYQYSSISATDVLMVVGAVLVGYATCMLQQGYGPSFFSRTPPLKSEIKEHPSNGKSSPMVESMKEEPGWPSFGQLIIDLSKLGLEALAGIFVHFIPSRFMPGGSQKGLTPLKDALRMPEDEAETPLVQRQSAPAPLSETRQAHTPNASEKYSEMKPPKMKSASFKDPSLSSKHRSSKRQDSAEFYGSGEVASYSRSKSQKERPRHRQRDKSGEVVFGAGTEPKPMDMKAAGYDNPKFENYNIRSRYGPDSSYRF >ONI12377 pep chromosome:Prunus_persica_NCBIv2:G4:9174240:9178420:-1 gene:PRUPE_4G160200 transcript:ONI12377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNDFDVVYIQSTCSLLVVDRGNAALRQISLNQEDCDYQYSSISATDVLMVVGAVLVGYATCMLQQGYGPSFFSRTPPLKSEIKEHPSNGKSSPMVESMKEEPGWPSFGQLIIDLSKLGLEALAGIFVHFIPSRFMPGGSQKGLTPLKDALRMPEDEAETPLVQRQSAPAPLSETRQAHTPNASEKYSEMKPPKMKSASFKDPSLSSKHRSSKRQDSAEFYGSGEVASYSRSKSQKERPRHRQRDKSGEVVFGAGTEPKPMDMKAAGYDNPKFENYNIRSRYGPDSSYRF >ONI12376 pep chromosome:Prunus_persica_NCBIv2:G4:9175005:9178279:-1 gene:PRUPE_4G160200 transcript:ONI12376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQGNVYVADTVNHAIRKIGDAGVTTIAGGKSNVAGYRDGPSEDAMFSNDFDVVYIQSTCSLLVVDRGNAALRQISLNQEDCDYQYSSISATDVLMVVGAVLVGYATCMLQQGYGPSFFSRTQPPLKSEIKEHPSNGKSSPMVESMKEEPGWPSFGQLIIDLSKLGLEALAGIFVHFIPSRFMPGGSQKGLTPLKDALRMPEDEAETPLVQRQSAPAPLSETRQAHTPNASEKYSEMKPPKMKSASFKDPSLSSKHRSSKRQDSAEFYGSGEVASYSRSKSQKERPRHRQRDKSGEVVFGAGTEPKPMDMKAAGYDNPKFENYNIRSRYGPDSSYRF >ONI13203 pep chromosome:Prunus_persica_NCBIv2:G4:13073627:13076702:-1 gene:PRUPE_4G209600 transcript:ONI13203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCFQCCMSEEKIARKSLKKSIKEYHDTKTLASFANISFKTDSSRRKYIAEEIAKVGKGNISAQIFTFRELSVATNNFHPDNLLGEGGFGRVYKGKLEDTDRVVAVKQLDRNGFQGNREFLVEVLILSLLHHPHLVNLVGYCADGDQRILVYEYMAKGSLEDHLLDLGPGKKPLDWDTRMKIAAGAAKGLEYLHEQANPPVIYRDFKASNILLDENFLPKLSDFGLAKLGPTGDKTHVSTRVMGTYGYCAPEYALTGQLTTKSDIYSFGVVFLEIITGRRVIDNNRPTEEQNLVTWAQPLFKDRRKFTLMADPLLEDKYPIKALYQALAVAAMCLQEEAATRPLISDVVTALEYLTVDGIAESGEKDGDGYDESTDDDGAFEGTQPGSTRSL >ONI11542 pep chromosome:Prunus_persica_NCBIv2:G4:5947029:5956494:1 gene:PRUPE_4G112300 transcript:ONI11542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEVRLVGGIENCYVSLPLALIQTLQSSSSSLPHVLALELLSSSNDSRWNVAWSGATSTSQAIEVAQQFGDCISLPDHARVQVRALSNVTKATLVTIEPSTEDDWEVLELNSELAEAAILNQVRIVHEAMRFPLWLHGRTTITFLVVSTFPRKLVVQLVPGTEVAVAPKRRKTVNSHGDSSTLASNGERHISKALLRIQDPDRRLVHKSGYVKGVELGVVLTSVAMIHPETAKMFSLNSLQLVAVVPRLSPKESMKNSENDGLRTRSSSTPKESNNGISNDKKDNRETIVRLLISDSVAKGHVMVAQSLRLYLRARLHSWVYLKGCNGILKTDIPLLSLSPCHFKIFGKDKAVERNGIEVLDRHKIRKKKNMLLTTGSSTYIDVTDWSTHDKVVDAFSYESSCKEDEGASQKSEEGKGVESLVKAWILAQLDAIASNAGEEINSLVLGNETILHFEVKGQKSGIEEKVHESSSGGLENKNENAELPVEILYVLTFSKESQHAGNAYELVFDERNKDNNNLGGLETIVKLKEGDPLSFYSVRERMSEKDVPADVSSLSWMGTIASDVLNRMLVLLTPASGAWFSSHDLPLPGHVLIHGPPGSGKTLLARTVAKCLEEDKDLLAHVVFVSCSQLAMEKALTIRQALSSYMSEALDHAPSLVILDDLDSIVSSSSDSEGSQTSTSVLALTEFLNDIMDEYWEKRKSSCGIGPLAFIASIKSLESIPQSLSSSGRFDFHVQLPAPAASQREAMLKHEIQRRCLQCSDDILQDVASKCDGYDSYDLEILVDRTVHAAIGRFMPYHFAFDKSENPTLIRDDFSRAMHDFLPVAMRDVTKSAPEGGRTGWDDVGGLVDIRNAIKEMIELPSKFPMIFAKAPLRLRSNVLLYGPPGCGKTHIVGSAAAACSLRFISVKGPELLNKYIGASEQAVRDIFTKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSLGERLDILTVLSKKLPLDGDVDLRAIAYMTEGFSGADLQALLSDAQLAAVHEILAGLDTNDPGKKPVINDAHLKSTASRARPSVSEAEKKRLYGIYGEFLDSKRSVAGQSRDAKGKRATLA >ONI13567 pep chromosome:Prunus_persica_NCBIv2:G4:14786273:14786749:1 gene:PRUPE_4G230800 transcript:ONI13567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVDCSLKCPSGTTNGRPNFACVLWKRQNQLLLHAIIAYASGQIVSFITVAKTSQEAWDKLINLYANRASSRIMSLKEWLMLTHHETKSMTEFLKIIKSIANELALIDAPIYVDDLVIHILNSVGHEFKEMVVAIRAHENFISFEELHDKLVKMRVP >ONI10496 pep chromosome:Prunus_persica_NCBIv2:G4:2431675:2432738:-1 gene:PRUPE_4G050800 transcript:ONI10496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEKLWDDIVAGPQPERGLGKLRKVTPKPLNIKDVEGETSSSSKLAMPMSPGTPVTPGTPVTPASARAKDNVWRSVFHPGSNLATRTMGNQVFDKPQPNSPTVYDWLYSGETRSKHR >ONI10497 pep chromosome:Prunus_persica_NCBIv2:G4:2431667:2432758:-1 gene:PRUPE_4G050800 transcript:ONI10497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEKLWDDIVAGPQPERGLGKLRKVTPKPLNIKDVEGETSSSSKLAMPMSPGTPVTPGTPVTPASARAKDNVWRSVFHPGSNLATRTMGNQVFDKPQPNSPTVYDWLYSGETRSKHR >ONI10495 pep chromosome:Prunus_persica_NCBIv2:G4:2427275:2432738:-1 gene:PRUPE_4G050800 transcript:ONI10495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEKLWDDIVAGPQPERGLGKLRKVTPKPLNIKETSSSSKLAMPMSPGTPVTPGTPVTPASARAKDNVWRSVFHPGSNLATRTMGNQVFDKPQPNSPTVYDWLYSGETRSKHR >ONI11339 pep chromosome:Prunus_persica_NCBIv2:G4:5230836:5234905:-1 gene:PRUPE_4G102500 transcript:ONI11339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDPNKLMAKADKLTRLSLTRWSADWKSATLLYEQAANGFRIAKDYEKAKEALEKASKGQELISSPWDAAKHMESAAALAKELGKWNEVADFYRRASELYVVCGRSQPASDALAKGARALEDSVPDEAIQLYTDACVLLEDDDKDQMAFDLYRAATSVYIKLEKYTDAAAFLLRWGLAADKCNATNSQCKAYLSAIIVYLYVHDIKQAEKCYNDCSQVDTFSRSDQCRFASKLFSAYTEGDVEEIKRLSQSSTVIRLARKLPTGDVSALKTHASDEQEPLDEDDLT >ONI11340 pep chromosome:Prunus_persica_NCBIv2:G4:5231057:5234819:-1 gene:PRUPE_4G102500 transcript:ONI11340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDPNKLMAKADKLTRLSLTRWSADWKSATLLYEQAANGFRIAKDYEKAKEALEKASKGQELISSPWDAAKHMESAAALAKELGKWNEVADFYRRASELYVVCGRSQPASDALAKGARALEDSVPDEAIQLYTDACVLLEDDDKDQMAFDLYRAATSVYIKLEKYTDAAAFLLRWGLAADKCNATNSQCKAYLSAIIVYLYVHDIKQAEKCYNDCSQVDTFSRSDQCRFASKLFSAYTEGDVEEIKRLSQSSTVNNLDHMVIRLARKLPTGDVSALKTHASDEQEPLDEDDLT >ONI11679 pep chromosome:Prunus_persica_NCBIv2:G4:6510497:6516167:1 gene:PRUPE_4G120300 transcript:ONI11679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQVEMAASAPFGCVLRDHSRRDRCRESNARATQAAFQNNLKSLVRDHLHTCISVSSNSASNGDYNNNNNNSQGHIENVDSWAQNKDGNNTNSNNGGGERDDGSTMTPRQSRVLDRCAAGKQGKETIATTERQSQEAELLSMPNSLPSSSRTSTSMKDETLSRTESLAEISNLGVGASSLVQIWEKRLNQSNSLKMSSNGNDTETSRSNSGLSCNENENENIFNAFPDSNASNESNASNAPSLEKQPSRGSEAGDSVFVDERYDAGPVTEDSFEDSTSDGSATSESQSNLDAREREREKVRVADIIKRLKAQCPLSSPSEDNDHDQQQPTVIASSCRERDRERSLGFDQTEQQHKGFCQVISSPRMIRGRQAFTDLLMHLERDRHRELETIVDRRAVSRFSQRGRIQSLLRIKLLQRGMAVIGQDGPRRKPAASQVNKVPQRSAIMHLREKFSTGVEQGSTAQNDAASQKGPHRGLVKNIGVHVESSSTSNRSSESTPNHEVDTIEQQNTTLLKNPLSPACEYFHEEVIPSSKVSCQGTSLVARNTLPHASEDLRDEASLSSKVTLQVTSSVARNTFPHAREDLHDEASSKSTLQGTSPIARNTLRHDSEDLHEEANPSSDVTWQGTTSVAGKTFTHASEDLHEEVSPSSEVTWQGTTSVARNTLPQVCEDLPDKLSPSSEVSWQGSTSAARNLDLQETTDTTTSLVCWDENDVEEQVEDDYEYYGEYSYDWITEISRPRSYWEDLRKAWYQEMLDSNSGKGDIRQLIERRTVSNFLASDFRDKIDRLMVSRIERQTYPVGSEEGEDEEISQDRMNQLVSFLQQRQQQMRPADNQEQKQQVQEQKHQQEEEDMTEEEEDDHHHNDEEEARSLISAQYQEASDDFDQCTSLQPSPSHMTAWSYQDNEVGDDSDRAASISPPRHLPSQSYYPNSRECSPSPSYHRRHYSSSTNHPSIEMELIYDMRGQMEQLHNEMSELRKAIKGCVDMQMMMQQSIKQEVHSGQAERKRSSNGLPKKGNCCICHEVKVDSLLYRCGHMCTCLKCAHELQWNNGKCPICRAPIVDVVRAHLDY >ONI11680 pep chromosome:Prunus_persica_NCBIv2:G4:6510663:6515013:1 gene:PRUPE_4G120300 transcript:ONI11680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQVEMAASAPFGCVLRDHSRRDRCRESNARATQAAFQNNLKSLVRDHLHTCISVSSNSASNGDYNNNNNNSQGHIENVDSWAQNKDGNNTNSNNGGGERDDGSTMTPRQSRVLDRCAAGKQGKETIATTERQSQEAELLSMPNSLPSSSRTSTSMKDETLSRTESLAEISNLGVGASSLVQIWEKRLNQSNSLKMSSNGNDTETSRSNSGLSCNENENENIFNAFPDSNASNESNASNAPSLEKQPSRGSEAGDSVFVDERYDAGPVTEDSFEDSTSDGSATSESQSNLDAREREREKVRVADIIKRLKAQCPLSSPSEDNDHDQQQPTVIASSCRERDRERSLGFDQTEQQHKGFCQVISSPRMIRGRQAFTDLLMHLERDRHRELETIVDRRAVSRFSQRGRIQSLLRIKLLQRGMAVIGQDGPRRKPAASQVNKVPQRSAIMHLREKFSTGVEQGSTAQNDAASQKGPHRGLVKNIGVHVESSSTSNRSSESTPNHEVDTIEQQNTTLLKNPLSPACEYFHEEVIPSSKVSCQGTSLVARNTLPHASEDLRDEASLSSKVTLQVTSSVARNTFPHAREDLHDEASSKSTLQGTSPIARNTLRHDSEDLHEEANPSSDVTWQGTTSVAGKTFTHASEDLHEEVSPSSEVTWQGTTSVARNTLPQVCEDLPDKLSPSSEVSWQGSTSAARNLDLQETTDTTTSLVCWDENDVEEQVEDDYEYYGEYSYDWITEISRPRSYWEDLRKAWYQEMLDSNSGKGDIRQLIERRTVSNFLASDFRDKIDRLMVSRIERQTYPVGSEEGEDEEISQDRMNQLVSFLQQRQQQMRPADNQEQKQQVQEQKHQQEEEDMTEEEEDDHHHNDEEEARSLISAQYQEASDDFDQCTSLQPSPSHMTAWSYQDNEVGDDSDRAASISPPRHLPSQSYYPNSRECSPSPSYHRRHYSSSTNHPSIVSLTFFFFSKVTPV >ONI10493 pep chromosome:Prunus_persica_NCBIv2:G4:2427616:2431268:1 gene:PRUPE_4G050700 transcript:ONI10493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMNCLIDGPIRLFFFLFMLLLCACTGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAAIYPDIDKYEEEELAFHEEEKARNKQIQASIAQTFRRQSEALGRKRTTAKATAAAFMRRSQGSYRNAHLRGRRNYRNVAEHQGSDDNEDANGNDGGKDSTSGDERTEPRPKRCKRWGGGRSGSANADGGDENDYELNREIMGASAGLVGSSERLAWGKGGMRSHTRYGSTSGGNGKNARNIRLSKLVEYLRNSENHNDELDIHLLLVSFDEQRIPSLQRPYLCCRPTLSVGQLCHYVALRTALQADEVELYLVKELHAKFSHSTATNVLISKSVVLDSTKDNLQLLREQETLADLRTHNLIPGHLLLAYQKKWNSS >ONI10494 pep chromosome:Prunus_persica_NCBIv2:G4:2425367:2431268:1 gene:PRUPE_4G050700 transcript:ONI10494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAAIYPDIDKYEEEELAFHEEEKARNKQIQASIAQTFRRQSEALGRKRTTAKATAAAFMRRSQGSYRNAHLRGRRNYRNVAEHQGSDDNEDANGNDGGKDSTSGDERTEPRPKRCKRWGGGRSGSANADGGDENDYELNREIMGASAGLVGSSERLAWGKGGMRSHTRYGSTSGGNGKNARNIRLSKLVEYLRNSENHNDELDIHLLLVSFDEQRIPSLQRPYLCCRPTLSVGQLCHYVALRTALQADEVELYLVKELHAKFSHSTATNVLISKSVVLDSTKDNLQLLREQETLADLRTHNLIPGHLLLAYQKKWNSS >ONI10492 pep chromosome:Prunus_persica_NCBIv2:G4:2424958:2431268:1 gene:PRUPE_4G050700 transcript:ONI10492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRTPESVEDDPLQNHHENSHDHPQDEEESDRSLSPSNEEKDEYIIVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAAIYPDIDKYEEEELAFHEEEKARNKQIQASIAQTFRRQSEALGRKRTTAKATAAAFMRRSQGSYRNAHLRGRRNYRNVAEHQGSDDNEDANGNDGGKDSTSGDERTEPRPKRCKRWGGGRSGSANADGGDENDYELNREIMGASAGLVGSSERLAWGKGGMRSHTRYGSTSGGNGKNARNIRLSKLVEYLRNSENHNDELDIHLLLVSFDEQRIPSLQRPYLCCRPTLSVGQLCHYVALRTALQADEVELYLVKELHAKFSHSTATNVLISKSVVLDSTKDNLQLLREQETLADLRTHNLIPGHLLLAYQKKWNSS >ONI13721 pep chromosome:Prunus_persica_NCBIv2:G4:15889256:15891292:-1 gene:PRUPE_4G241200 transcript:ONI13721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLLLLLFQLAPCVTSLHFSFSTFRNGKNTICLEGDARIDGEFLLLTKSAVDDVKEQSVGRATYSQPFLLRDNATGKLADWTTNFTFVIDSQGKTPYADGLAFFIAPNGSLLNSTLGRGGSLGLAVENPQNNTSKSQYPFVAVEFDIYQNEVTSIEDPMGDHVGIDSSTLKSQMTAPWNGNIAEGSVNSAGISYNSTTNGIHVVFTSYVDGVQMMRYLNCEVPLDNILQGWVIAGFSAATDANTALHKINSWSFHSTQLFDEKPKNTTPESGNGINIRLVLELGIGGLVLGGGLGLVWFICCKKRGESSDEDATMIDEEFEKGTGPKKFSYRKLAQSTSNFHEGQKLGEGGFGGVYRGYIKDLNLNVAVKRISSGSRQGLKEYAAEVRIISRLRHRNLVQLTGWCHERKQLLLVYEFMSNGSLDSHLFKAKSSLAWDVRYRIAQGLASGLFYLHEEWEQCVLHRDVKSSNIMLDSNFNAKLGDFGLARLVDHGKQSETTVLAGTMGYMAPEYMTTGKASKETDVYSFGVVALEIACGRKPNDLEFRSRQITMVEWVWDLYGEGRVIEAADPKLSGDFEKRQMECLLIVGLWCAHPDYKIRPSIQQTIQVLNFEVPLPILSSKMPVASYSSPPLSFSILSVSTDLEGGPGYGCNTNSSQFTTSSASNSTPSASRLYSK >ONI09679 pep chromosome:Prunus_persica_NCBIv2:G4:213240:216182:-1 gene:PRUPE_4G003000 transcript:ONI09679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDDDQIKRISSNNDDDEYYYRSSSCNISSCEIEEDDEEEVGSSSSSSSRSSSRRSTQADDIPREIKELFGNKRYLPMPSIKEDYTDNHHDHRSSNSVYVGVGKSESSMEALTWTLKHAADPSSTTVYLIHVFPEIHFIPSPLGKLPKSQVSSQQVETYMAQETGKRRELLHKFLDKCSAANVKVDTVLIESDMIARAILDLIPILNITTLVLGTTKSNLRKLISGKGSGIADQILQAAPDGCEIKIICQGNQVMTDQAIHSLSPRLNDDPTTTKSMQHQPQRNNIEAFSCICFKPKFSSSC >ONI10892 pep chromosome:Prunus_persica_NCBIv2:G4:3663859:3664745:1 gene:PRUPE_4G074700 transcript:ONI10892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRMCPHCTSSLASAKPPCYLTRRFAQDRSLKVTHNLTVFGGCSLVLPIIIGIFGVNVDGIPATKSAPYAFGVFSAILVAIGAVLIAVGLLCLGLKKPIMEEQVEVRRLGLQELVKMFQHEAESHALIRKKVSRKNLPHNGW >ONI13256 pep chromosome:Prunus_persica_NCBIv2:G4:13225392:13230055:-1 gene:PRUPE_4G212100 transcript:ONI13256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQADQGNNPDASSNIHMKRKRGRPRKYPKLNLEEDTRVPNAQNLNPRVNAGIGIPPVPPGFDGRNEYQSHHAAPNNSTTDVMVGTIVSGVIDGAFDGGYLLSVRVGNSHTTLRGVVFKPGRYTSVSAENDVAPDVQMIRRNAIPIPGEIYSHVHGQKSRSRDVIRSVHHVASKGKHVPPVAAQTVNPLLSRGNLLPVILQPRNLSNGVPLTGSSTSVAPVAPPKNGSIPTNQVPLNSEPTSAAPQAADLATSRVKQVPSVPPSNGSIPSNQMPIVGNQSSVFEGEQNDDGTCNQPSTETLIQEEAKSMRRPDIPFEKLVTEVVKRIDNPSQATLQSTDIHIEGSKSTGNEMENDMDQPLVIEPLQAIQPKGHSTPVSKPLEDSRNGKMSELLQVLQESMREREEPQAEVSTTGPRQNLDEPS >ONI13258 pep chromosome:Prunus_persica_NCBIv2:G4:13225392:13229014:-1 gene:PRUPE_4G212100 transcript:ONI13258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQADQGNNPDASSNIHMKRKRGRPRKYPKLNLEEDTRVPNAQNLNPRVNAGIGIPPVPPGFDGRNEYQSHHAAPNNSTTDVMVGTIVSGVIDGAFDGGYLLSVRVGNSHTTLRGVVFKPGRYTSVSAENDVAPDVQMIRRNAIPIPGEIYSHVHGQKSRSRDVIRSVHHVASKGKHVPPVAAQTVNPLLSRGNLLPVILQPRNLSNGVPLTGSSTSVAPVAPPKNGSIPTNQVPLNSEPTSAAPQAADLATSRVKQVPSVPPSNGSIPSNQMPIVGNQSSVFEGEQNDDGTCNQPSTETLIQEEAKSMRRPDIPFEKLVTEVVKRIDNPSQATLQSTDIHIEGSKSTGNEMENDMDQPLVIEPLQAIQPKGHSTPVSKPLEDSRNGKMSELLQVLQESMREREEPQAEVSTTGPRQNLDEPS >ONI13255 pep chromosome:Prunus_persica_NCBIv2:G4:13226026:13230149:-1 gene:PRUPE_4G212100 transcript:ONI13255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQADQGNNPDASSNIHMKRKRGRPRKYPKLNLEEDTRVPNAQNLNPRVNAGIGIPPVPPGFDGRNEYQSHHAAPNNSTTDVMVGTIVSGVIDGAFDGGYLLSVRVGNSHTTLRGVVFKPGRYTSVSAENDVAPDVQMIRRNAIPIPVAPPKNGSIPTNQVPLNSEPTSAAPQAADLATSRVKQVPSVPPSNGSIPSNQMPIVGNQSSVFEGEQNDDGTCNQPSTETLIQEEAKSMRRPDIPFEKLVTEVVKRIDNPSQATLQSTDIHIEGSKSTGNEMENDMDQPLVIEPLQAIQPKGHSTPVSKPLEDSRNGKMSELLQVLQESMREREEPQAEVSTTGPRQNLDEPS >ONI13257 pep chromosome:Prunus_persica_NCBIv2:G4:13225392:13230148:-1 gene:PRUPE_4G212100 transcript:ONI13257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQADQGNNPDASSNIHMKRKRGRPRKYPKLNLEEDTRVPNAQNLNPRVNAGIGIPPVPPGFDGRNEYQSHHAAPNNSTTDVMVGTIVSGVIDGAFDGGYLLSVRVGNSHTTLRGVVFKPGRYTSVSAENDVAPDVQMIRRNAIPIPGEIYSHVHGQKSRSRDVIRSVHHVASKGKHVPPVAAQTVNPLLSRGNLLPVILQPRNLSNGVPLTGSSTSVAPVAPPKNGSIPTNQVPLNSEPTSAAPQAADLATSRVKQVPSVPPSNGSIPSNQMPIVGNQSSVFEGEQNDDGTCNQPSTETLIQEEAKSMRRPDIPFEKLVTEVVKRIDNPSQATLQSTDIHIEGSKSTGNEMENDMDQPLVIEPLQAIQPKGHSTPVSKPLEDSRNGKMSELLQVLQESMREREEPQAEVSTTGPRQNLDEPS >ONI10795 pep chromosome:Prunus_persica_NCBIv2:G4:3326327:3327343:-1 gene:PRUPE_4G068600 transcript:ONI10795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRILKKTPSTNKPMPIKLYRGIRRLHRRNCSLKKSAIAPSTVVLTRVGTNKAFKVLHKPKSPETRPETQARLPLPPLASPEKRTVCLDLDETLVHSVTGPPPKNFDFVVRPKVRGKVTTFYVVKRPGVDAFLERLAAQYEVVVFTAGLRDYATLVLDLLDRKRLVSHRLYRDSCKEMSGKFVKDLSRLGRDLRRVVIVDDNPNAYFLQPENAIPVHRFFYDPADRELARLLEFFEDEELRCCEDIRIAVKKFVGDDGLMSSDDDGDDDDELEEMSDAKSKPTVSTPCSVISVHRVRPFGPPVLEWGTNMVIVCSFFLLIINVIVCFRTGFHPFFLH >ONI10201 pep chromosome:Prunus_persica_NCBIv2:G4:1608109:1609522:-1 gene:PRUPE_4G034500 transcript:ONI10201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLHPSELDSAATDSVSSTPRSDYPSHDLNTRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVAVHRAASFSSLLTKLSKLSGLSNVTVKYQLPNEDLDALISVTTDEDVDNMIEEYDRIVQNQNPKSARLRLFLFPKGEDSTVNSRSSSISSLLDGSAKRELWFLDALNSGASNSSVLERGRSEASSIVSEVPDYLFGLDNSDETHSRGEFKPKPRTVLQDNVSASDPGSPAPVITSPFCSTSSVPSIPSMPSLPPVKTRPDSNQVLETKDNHSEGFTETIELPVPQATGFSANPGVHYIPDPNYPGHVVRSVPVYYYPGPVPPANVQVQPVPVRSQFVHQQYPGQIPVGYHNQIPGMGQVYGGGLRPVGGLDPYDVSARVVSDGVNQHQQQVYYGVRNPNAAVVSAYSPGMVVPSSGEEWQGPGPEINMGRGPNTSS >ONI10200 pep chromosome:Prunus_persica_NCBIv2:G4:1607826:1610503:-1 gene:PRUPE_4G034500 transcript:ONI10200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLHPSELDSAATDSVSSTPRSDYPSHDLNTRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVAVHRAASFSSLLTKLSKLSGLSNVTVKYQLPNEDLDALISVTTDEDVDNMIEEYDRIVQNQNPKSARLRLFLFPKGEDSTVNSRSSSISSLLDGSAKRELWFLDALNSGASNSSVLERGRSEASSIVSEVPDYLFGLDNSDETHSRGEFKPKPRTVLQDNVSASDPGSPAPVITSPFCSTSSVPSIPSMPSLPPVKTRPDSNQVLETKDNHSEGFTETIELPVPQATGFSANPGVHYIPDPNYPGHVVRSVPVYYYPGPVPPANVQVQPVPVRSQFVHQQYPGQIPVGYHNQIPGMGQVYGGGLRPVGGLDPYDVSARVVSDGVNQHQQQVYYGVRNPNAAVVSAYSPGMVVPSSGEEWQGPGPEINMGRGPNTSS >ONI10198 pep chromosome:Prunus_persica_NCBIv2:G4:1607839:1610463:-1 gene:PRUPE_4G034500 transcript:ONI10198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLHPSELDSAATDSVSSTPRSDYPSHDLNTRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVAVHRAASFSSLLTKLSKLSGLSNVTVKYQLPNEDLDALISVTTDEDVDNMIEEYDRIVQNQNPKSARLRLFLFPKGEDSTVNSRSSSISSLLDGSAKRELWFLDALNSGASNSSVLERGRSEASSIVSEVPDYLFGLDNSDETHSRGEFKPKPRTVLQDNVSASDPGSPAPVITSPFCSTSSVPSIPSMPSLPPVKTRPDSNQVLETKDNHSEGFTETIELPVPQATGFSANPGVHYIPDPNYPGHVVRSVPVYYYPGPVPPANVQVQPVPVRSQFVHQQYPGQIPVGYHNQIPGMGQVYGGGLRPVGGLDPYDVSARVVSDGVNQHQQQVYYGVRNPNAAVVSAYSPGMVVPSSGEEWQGPGPEINMGRGPNTSS >ONI10199 pep chromosome:Prunus_persica_NCBIv2:G4:1607839:1609846:-1 gene:PRUPE_4G034500 transcript:ONI10199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLHPSELDSAATDSVSSTPRSDYPSHDLNTRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVAVHRAASFSSLLTKLSKLSGLSNVTVKYQLPNEDLDALISVTTDEDVDNMIEEYDRIVQNQNPKSARLRLFLFPKGEDSTVNSRSSSISSLLDGSAKRELWFLDALNSGASNSSVLERGRSEASSIVSEVPDYLFGLDNSDETHSRGEFKPKPRTVLQDNVSASDPGSPAPVITSPFCSTSSVPSIPSMPSLPPVKTRPDSNQVLETKDNHSEGFTETIELPVPQATGFSANPGVHYIPDPNYPGHVVRSVPVYYYPGPVPPANVQVQPVPVRSQFVHQQYPGQIPVGYHNQIPGMGQVYGGGLRPVGGLDPYDVSARVVSDGVNQHQQQVYYGVRNPNAAVVSAYSPGMVVPSSGEEWQGPGPEINMGRGPNTSS >ONI12725 pep chromosome:Prunus_persica_NCBIv2:G4:10718283:10722430:1 gene:PRUPE_4G180700 transcript:ONI12725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSANMEKKWLFPLVISSVICIFLLATSLNLGLVSSRHAINSIFSFFPSRVLANQSSLAFAENIVSQGPPPPSVPAIPRFAYLISGSKGDLEKLWRTLQALYHPLNQYVLHLDLESPVAERLELASRVDNETMFNTVGNVFVIKKANMVTYRGPTMVANTLHACAILLRRSKDWDWFINLSASDYPLVTQDDLFHTFSPLNRNLNFIEHTSQLGWKEEKRAMPLILDPGLYSSKKQDVFWVTPRRTLPTSFKLFTGSAWMVLSRWFVEYCVWGWDNLPRTLLMYYTNFVSSPEGYFHTVICNEPEFAKTAVNHDLHYISWDIPPKQHPHTLNINDTNKMIASGAAFARKFKCDDPALDKIDKDLLRRRKGSFTPGGWCAGKPKCSRVGNPNKIKPGPGAERLRRLVGRLTLTAKFGQNQCK >ONI12724 pep chromosome:Prunus_persica_NCBIv2:G4:10718337:10722430:1 gene:PRUPE_4G180700 transcript:ONI12724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSANMEKKWLFPLVISSVICIFLLATSLNLGLVSSRHAINSIFSFFPSRVLANQSSLAFAENIVSQGPPPPSVPAIPRFAYLISGSKGDLEKLWRTLQALYHPLNQYVLHLDLESPVAERLELASRVDNETMFNTVGNVFVIKKANMVTYRGPTMVANTLHACAILLRRSKDWDWFINLSASDYPLVTQDDLFHTFSPLNRNLNFIEHTSQLGWKEEKRAMPLILDPGLYSSKKQDVFWVTPRRTLPTSFKLFTGSAWMVLSRWFVEYCVWGWDNLPRTLLMYYTNFVSSPEGYFHTVICNEPEFAKTAVNHDLHYISWDIPPKQHPHTLNINDTNKMIASGAAFARKFKCDDPALDKIDKDLLRRRKGSFTPGGWCAGKPKCSRVGNPNKIKPGPGAERLRRLVGRLTLTAKFGQNQCK >ONI12726 pep chromosome:Prunus_persica_NCBIv2:G4:10718337:10722426:1 gene:PRUPE_4G180700 transcript:ONI12726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSANMEKKWLFPLVISSVICIFLLATSLNLGLVSSRHAINSIFSFFPSRVLANQSSLAFAENIVSQGPPPPSVPAIPRFAYLISGSKGDLEKLWRTLQALYHPLNQYVLHLDLESPVAERLELASRVDNETMFNTVGNVFVIKKANMVTYRGPTMVANTLHACAILLRRSKDWDWFINLSASDYPLVTQDDLFHTFSPLNRNLNFIEHTSQLGWKEEKRAMPLILDPGLYSSKKQDVFWVTPRRTLPTSFKLFTGSAWMVLSRWFVEYCVWGWDNLPRTLLMYYTNFVSSPEGYFHTVICNEPEFAKTAVNHDLHYISWDIPPKQHPHTLNINDTNKMIASGAAFARKFKCDDPALDKIDKDLLRRRKGSFTPGGWCAGKPKCSRVGNPNKIKPGPGAERLRRLVGRLTLTAKFGQNQCK >ONI11392 pep chromosome:Prunus_persica_NCBIv2:G4:5374937:5375964:1 gene:PRUPE_4G104600 transcript:ONI11392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLSLLLLWPCPLPLLLPYLLPCSQGFCVLPYLLPCSQGFGFCVLTNLLPCSQSFYVLPYILPCSQGFCVLLCALFFTVCSCVFAVNFALVCHLFHLWLTKTPSL >ONI12812 pep chromosome:Prunus_persica_NCBIv2:G4:10955113:10963854:1 gene:PRUPE_4G184800 transcript:ONI12812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVIKAARSSGSLNLSNRNLSQVPDEVYRSTSAVGDDEKWWEAVELQKLIIAHNNIESLKEELRNLPLLTVLNVSHNKLSELPAAIGELTMLKSLDLSFNSILKLPEEIGSVTSLVKLDCSNNQLKELPSSLGRCSDLSEFKASNNVIISLPEDVANCSKMMKLDVEGNKLTILSEKLIGSWTMLTELNASKNMLSGIPENIGSLSRLIRLDLHQNKISSVPLSIKGCSSLAEIYMGNNALSTLPAEMGALSHLGTLDLHSNQLKEYPVEACKLRLSLLNLSNNSLSGLPPELGKMTTLRKLLLAGNPLRTLRSSLVSGSTPTLLKHLRSRLSENEDAEASTTKKEDVIAMAARLSIASKELSMEGLGLTAVPSEVWESSEVTKVDLSRNSIQELPVELSSCVCLQTLVFSRNKIKDWPTAILRSLPNLLCLKLDNNPLRQIPPDGFQAAPMLQILDLSGNAASLPEHPSFSSLPHLQELYLRRMQLREVPTDILSLQQLRILDLSQNSLRSVPVGLLEPSLQALRLDGNPLRSIRRTVLDRGTKAVLNYLKDKIVEA >ONI12816 pep chromosome:Prunus_persica_NCBIv2:G4:10956553:10963523:1 gene:PRUPE_4G184800 transcript:ONI12816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFLQASNNVIISLPEDVANCSKMMKLDVEGNKLTILSEKLIGSWTMLTELNASKNMLSGIPENIGSLSRLIRLDLHQNKISSVPLSIKGCSSLAEIYMGNNALSTLPAEMGALSHLGTLDLHSNQLKEYPVEACKLRLSLLNLSNNSLSGLPPELGKMTTLRKLLLAGNPLRTLRSSLVSGSTPTLLKHLRSRLSENEDAEASTTKKEDVIAMAARLSIASKELSMEGLGLTAVPSEVWESSEVTKVDLSRNSIQELPVELSSCVCLQTLVFSRNKIKDWPTAILRSLPNLLCLKLDNNPLRQIPPDGFQAAPMLQILDLSGNAASLPEHPSFSSLPHLQELYLRRMQLREVPTDILSLQQLRILDLSQNSLRSVPVEFKNLTSLTELGLSDNDISTLPPELGLLEPSLQALRLDGNPLRSIRRTVLDRGTKAVLNYLKDKIVEA >ONI12811 pep chromosome:Prunus_persica_NCBIv2:G4:10955113:10963854:1 gene:PRUPE_4G184800 transcript:ONI12811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVIKAARSSGSLNLSNRNLSQVPDEVYRSTSAVGDDEKWWEAVELQKLIIAHNNIESLKEELRNLPLLTVLNVSHNKLSELPAAIGELTMLKSLDLSFNSILKLPEEIGSVTSLVKLDCSNNQLKELPSSLGRCSDLSEFKASNNVIISLPEDVANCSKMMKLDVEGNKLTILSEKLIGSWTMLTELNASKNMLSGIPENIGSLSRLIRLDLHQNKISSVPLSIKGCSSLAEIYMGNNALSTLPAEMGALSHLGTLDLHSNQLKEYPVEACKLRLSLLNLSNNSLSGLPPELGKMTTLRKLLLAGNPLRTLRSSLVSGSTPTLLKHLRSRLSENEDAEASTTKKEDVIAMAARLSIASKELSMEGLGLTAVPSEVWESSEVTKVDLSRNSIQELPVELSSCVCLQTLVFSRNKIKDWPTAILRSLPNLLCLKLDNNPLRQIPPDGFQAAPMLQILDLSGNAASLPEHPSFSSLPHLQELYLRRMQLREVPTDILSLQQLRILDLSQNSLRSVPVEFKNLTSLTELGLSDNDISTLPPELGLLEPSLQALRLDGNPLRSIRRTVLDRGTKAVLNYLKDKIVEA >ONI12815 pep chromosome:Prunus_persica_NCBIv2:G4:10955885:10963523:1 gene:PRUPE_4G184800 transcript:ONI12815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTSGRLTMLKSLDLSFNSILKLPEEIGSVTSLVKLDCSNNQLKELPSSLGRCSDLSEFKASNNVIISLPEDVANCSKMMKLDVEGNKLTILSEKLIGSWTMLTELNASKNMLSGIPENIGSLSRLIRLDLHQNKISSVPLSIKGCSSLAEIYMGNNALSTLPAEMGALSHLGTLDLHSNQLKEYPVEACKLRLSLLNLSNNSLSGLPPELGKMTTLRKLLLAGNPLRTLRSSLVSGSTPTLLKHLRSRLSENEDAEASTTKKEDVIAMAARLSIASKELSMEGLGLTAVPSEVWESSEVTKVDLSRNSIQELPVELSSCVCLQTLVFSRNKIKDWPTAILRSLPNLLCLKLDNNPLRQIPPDGFQAAPMLQILDLSGNAASLPEHPSFSSLPHLQELYLRRMQLREVPTDILSLQQLRILDLSQNSLRSVPVEFKNLTSLTELGLSDNDISTLPPELGLLEPSLQALRLDGNPLRSIRRTVLDRGTKAVLNYLKDKIVEA >ONI12813 pep chromosome:Prunus_persica_NCBIv2:G4:10955113:10963854:1 gene:PRUPE_4G184800 transcript:ONI12813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVIKAARSSGSLNLSNRNLSQVPDEVYRSTSAVGDDEKWWEAVELQKLIIAHNNIESLKEELRNLPLLTVLNVSHNKLSELPAAIGELDCSNNQLKELPSSLGRCSDLSEFKASNNVIISLPEDVANCSKMMKLDVEGNKLTILSEKLIGSWTMLTELNASKNMLSGIPENIGSLSRLIRLDLHQNKISSVPLSIKGCSSLAEIYMGNNALSTLPAEMGALSHLGTLDLHSNQLKEYPVEACKLRLSLLNLSNNSLSGLPPELGKMTTLRKLLLAGNPLRTLRSSLVSGSTPTLLKHLRSRLSENEDAEASTTKKEDVIAMAARLSIASKELSMEGLGLTAVPSEVWESSEVTKVDLSRNSIQELPVELSSCVCLQTLVFSRNKIKDWPTAILRSLPNLLCLKLDNNPLRQIPPDGFQAAPMLQILDLSGNAASLPEHPSFSSLPHLQELYLRRMQLREVPTDILSLQQLRILDLSQNSLRSVPVEFKNLTSLTELGLSDNDISTLPPELGLLEPSLQALRLDGNPLRSIRRTVLDRGTKAVLNYLKDKIVEA >ONI12814 pep chromosome:Prunus_persica_NCBIv2:G4:10955272:10962063:1 gene:PRUPE_4G184800 transcript:ONI12814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVIKAARSSGSLNLSNRNLSQVPDEVYRSTSAVGDDEKWWEAVELQKLIIAHNNIESLKEELRNLPLLTVLNVSHNKLSELPAAIGELTMLKSLDLSFNSILKLPEEIGSVTSLVKLDCSNNQLKELPSSLGRCSDLSEFKASNNVIISLPEDVANCSKMMKLDVEGNKLTILSEKLIGSWTMLTELNASKNMLSGIPENIGSLSRLIRLDLHQNKISSVPLSIKGCSSLAEIYMGNNALSTLPAEMGALSHLGTLDLHSNQLKEYPVEACKLRLSLLNLSNNSLSGLPPELGKMTTLRKLLLAGNPLRTLRSSLVSGSTPTLLKHLRSRLSENEDAEASTTKKEDVIAMAARLSIASKELSMEGLGLTAVPSEVWESSEVTKVDLSRNSIQELPVELSSCVCLQTLVFSRNKIKDWPTAILRSLPNLLCLKLDNNPLRQIPPDGFQAAPMLQILDLSGNAASLPEHPSFSSLPHLQELYLRRMQLREVPTDILSLQQLRILDLSQNSLRSVPVEFKNLTSLTELGLSDNDISTLPPELVSI >ONI09972 pep chromosome:Prunus_persica_NCBIv2:G4:1021414:1023980:-1 gene:PRUPE_4G021500 transcript:ONI09972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSGGAHSRRRKAWTHRRRNFGPPSSPFLHWKFDDHKTTGVVEASARKLAAGLWQLRFNEISRDQDLKFNSGPSDPPPPQLQLEPSSPYPKRASERETKWSPGGSKEFDDIYRFYNHVKLLKDRPVTTGSVVSTMNAELTEARLCILSLEAKRQSLKKKVKHFLRKLEEERIYWKSRAQQKNRALDELKEELSRERKSRQQMENLNTNLINQLAGAKFSAEHFMEKYEEEKKSRKLMQEISNELAEQIGEDKAEVEALKRESKKIIAEVEEERKMLQIAEVWREERVQMKLIDAKLALEDKYCQLNKLITDVETFLRSRFGTVDMTELRKVELILQAVKSLNVHGIEEFSNVPPKSNDIFAVFEELWRCEGSEREIEPCICHTPSHEDNGFNQTDLLKQLNCHNDYNSCLQKDARGSCDSLEGGNPAIDRVGQGKTVSRSFSDSNDNAGQCSPDREISEVCAASEKQSRRKTSSASKLCGSCPSNGEFYKIGFDEGEGRLSNGITSRWGENSNKGLFEHDTTHRDSAGQRIPTDTVNPHITRGMKGSIEWPRAIQKNGSKVKLLDAKIESQKSQLRHVLKQKAR >ONI09871 pep chromosome:Prunus_persica_NCBIv2:G4:711986:717617:1 gene:PRUPE_4G015200 transcript:ONI09871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQTPLLRFSFPSYLHPTTTAIAAPPLSFSTDHHPNNNNNNHPAAAAACYFMDNNNSNHDGSSSSSSSVKAKIMAHPHYHRLLASYINCQKVGAPPEVVARLEEACASAASIGQMMSSSSGSGCLGEDPALDQFMEAYCEMLTKYEQELSKPFKEAMIFLQRIESQFKALTLSSSSDSAVCGDGLDRNGSSEEEVDVNNNFIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDATHPGHYYMDTVLGNPFPMDISPTLL >ONI09872 pep chromosome:Prunus_persica_NCBIv2:G4:712611:717597:1 gene:PRUPE_4G015200 transcript:ONI09872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTQTPLLRFSFPSYLHPTTTAIAAPPLSFSTDHHPNNNNNNHPAAAAACYFMDNNNSNHDGSSSSSSSVKAKIMAHPHYHRLLASYINCQKVGAPPEVVARLEEACASAASIGQMMSSSSGSGCLGEDPALDQFMEAYCEMLTKYEQELSKPFKEAMIFLQRIESQFKALTLSSSSDSAVCGDGLDRNGSSEEEVDVNNNFIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSVHRRSWLLQNRQGWTRSK >ONI10137 pep chromosome:Prunus_persica_NCBIv2:G4:1386255:1388653:-1 gene:PRUPE_4G029800 transcript:ONI10137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSIILHLVVGIVAGFALGLVLGIGVVCCLRIRRRRCTRIQSPRSISAQREKAPKLPVKGVSNNGVDSSNTNTTVSEFSNFGQDSPRTSEWTNMPLWLEGLRRKSVVSACGIPKYSFRDVQKATYNFTTAIGQGAFGLVYKAQMSTGETFAVKVLAANSTQGQNEFLAEVLLLGRLHHKSLVNLVGYMADVGQHMLLYKYMSNGSLFSHLHGDNRKPLSWDLRVDIALDIARGLEYLHYGAVPSVVHRDIKSSNILLDRSMRARVADFGLSRQDRSKLRSSNIRGTYGYVDPEYVLTKTYTKKCDVYSFGVLLLEIITGRNPQQGLMEYVELVTIDTEDKLGWQEIVDSRLDGRFNVEQLANVADLAYKCVSGLSKNRPSMRDIVQSLSWILMMKHNTEICKQTSNDAAEETYIEIDLLDNQDPLIER >ONI11233 pep chromosome:Prunus_persica_NCBIv2:G4:4760284:4761413:-1 gene:PRUPE_4G094300 transcript:ONI11233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYCYRSTITMKVELLVKGGYGIVYKGILADNKVVAIKKSKVGVPAQKEQFVNEVIVLSQINHRNVVRLLGCCLETPVPLLVYEYITNGTLFHHIHGKKSKGSSLSWELRLKIAAETAGALAYLHSSTSTPIIHRDVKAMNILLHDNYTVKVSDFGASRLVPLDQTQVTTLVQGTLGYLDPEYFHSNQVTQKSDVYSFGVVLMELLTSKVALSFARPESERNLASLFVCSMEEDGLNRIWDDTLFSEGNIETPKNVANLAKRCVRLKGEERPTMKEVASELEGMRIMAKHTWESSNFCPEENVHLLWSPSNTYAVDVRGDCSSSTNGHDSMQIQMLMSYNDGR >ONI13069 pep chromosome:Prunus_persica_NCBIv2:G4:12493096:12501089:-1 gene:PRUPE_4G201000 transcript:ONI13069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQQQIGLMRTSCFIVLVILLCASTSISSEERKITEEVFLSQLVDPATGKIDGDMAQLLWISCQVDLVHLTEAIEHLHLWLEEETSGGTDEISSKRQSSGKERFQKLISVLHPEVKQTLSDCLRRHGLLSRVSGEEGASKIWYTKYIESLFPRLYVPRRNLGSESLQSSAEVASPAPAAGSAQLSPVPSAAPTPSTSHTPRSRRPAAAFFPSVSKNSTVQASEPDAGLNVQEDKGRDDRKKIVIAVVVTASVTLVVAAVFFLCCTKICRTGKKDGQNDERPLLSLSLTDSSGSSYKSYVLGNSMKEVKLDHQSLESGSTGGASKFDTSSNINGLVPPPPGRPPTGLPTLKAPPGRANPLPPEPPSSFKPPPSRNGPPPPPPPVPPSTLKVPPSTLKPSGSAGPPPPPPPPPGPPPPPPPKIGVPPPRPPQSMPFGSKVARPPPLAPKRPSNAASGEVGGSDAEGDAPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFDENMIETLFGYNAAEKNKNERKKESTFQDPSPHLIQIINPKKAQNLSILLRALNVTVEEVCDAIREGNELPSEFLQTLLKMAPTQEEELKLRLFNGQLSQLGPAERFLKALIEIPFAFKRLEALLFMCTLQEEVTTLKESFATLEVACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGRAQAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGVRAARAAKESRSFSSIKSDDLLEETSQETEEHFRSLGLQKVSGLSNELENVKKASVLDAENLTGTVTKLGHALVKTRDFLNSDMKNSGEDSEFHETLKSFVQNAEVDITGLLEEEKRIMALVKNTGDYFHGNAGKDEGLRLFVIVRDFLLIIDKACKEIRLAPKKSTNVQKKEAPSSDPRQPPTTPSASNLRQPPSPDLHKRLFPAIQDRRMDNSSSDDES >ONI13071 pep chromosome:Prunus_persica_NCBIv2:G4:12495456:12500238:-1 gene:PRUPE_4G201000 transcript:ONI13071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQQQIGLMRTSCFIVLVILLCASTSISSEERKITEEVFLSQLVDPATGKIDGDMAQLLWISCQVDLVHLTEAIEHLHLWLEEETSGGTDEISSKRQSSGKERFQKLISVLHPEVKQTLSDCLRRHGLLSRVSGEEGASKIWYTKYIESLFPRLYVPRRNLGSESLQSSAEVASPAPAAGSAQLSPVPSAAPTPSTSHTPRSRRPAAAFFPSVSKNSTVQASEPDAGLNVQEDKGRDDRKKIVIAVVVTASVTLVVAAVFFLCCTKICRTGKKDGQNDERPLLSLSLTDSSGSSYKSYVLGNSMKEVKLDHQSLESGSTGGASKFDTSSNINGLVPPPPGRPPTGLPTLKAPPGRANPLPPEPPSSFKPPPSRNGPPPPPPPVPPSTLKVPPSTLKPSGSAGPPPPPPPPPGPPPPPPPKIGVPPPRPPQSMPFGSKVARPPPLAPKRPSNAASGEVGGSDAEGDAPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFDENMIETLFGYNAAEKNKNERKKESTFQDPSPHLIQIINPKKAQNLSILLRALNVTVEEVCDAIREGNELPSEFLQTLLKMAPTQEEELKLRLFNGQLSQLGPAERFLKALIEIPFAFKRLEALLFMCTLQEEVTTLKESFATLELCKSSSDDEPIKSQMPMDKGKMYS >ONI13075 pep chromosome:Prunus_persica_NCBIv2:G4:12495782:12499670:-1 gene:PRUPE_4G201000 transcript:ONI13075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQQQIGLMRTSCFIVLVILLCASTSISSEERKITEEVFLSQLVDPATGKIDGDMAQLLWISCQVDLVHLTEAIEHLHLWLEEETSGGTDEISSKRQSSGKERFQKLISVLHPEVKQTLSDCLRRHGLLSRVSGEEGASKIWYTKYIESLFPRLYVPRRNLGSESLQSSAEVASPAPAAGSAQLSPVPSAAPTPSTSHTPRSRRPAAAFFPSVSKNSTVQASEPDAGLNVQEDKGRDDRKKIVIAVVVTASVTLVVAAVFFLCCTKICRTGKKDGQNDERPLLSLSLTDSSGSSYKSYVLGNSMKEVKLDHQSLESGSTGGASKFDTSSNINGLVPPPPGRPPTGLPTLKAPPGRANPLPPEPPSSFKPPPSRNGPPPPPPPVPPSTLKVPPSTLKPSGSAGPPPPPPPPPGPPPPPPPKIGVPPPRPPQSMPFGSKVARPPPLAPKRPSNAASGEVGGSDAEGDAPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFDENMIETLFGYNAAEKNKNERKKESTFQDPSPHLIQIINPKKAQNLSILLRALNVTVEEVCDAIREGNELPSEFLQTLLKMAPTQEEELKLRLFNGQLSQLGPAERFLKALIEIPFAFKRLEALLFMCTLQEEVTTLKESFATLEVNFY >ONI13073 pep chromosome:Prunus_persica_NCBIv2:G4:12495639:12499670:-1 gene:PRUPE_4G201000 transcript:ONI13073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQQQIGLMRTSCFIVLVILLCASTSISSEERKITEEVFLSQLVDPATGKIDGDMAQLLWISCQVDLVHLTEAIEHLHLWLEEETSGGTDEISSKRQSSGKERFQKLISVLHPEVKQTLSDCLRRHGLLSRVSGEEGASKIWYTKYIESLFPRLYVPRRNLGSESLQSSAEVASPAPAAGSAQLSPVPSAAPTPSTSHTPRSRRPAAAFFPSVSKNSTVQASEPDAGLNVQEDKGRDDRKKIVIAVVVTASVTLVVAAVFFLCCTKICRTGKKDGQNDERPLLSLSLTDSSGSSYKSYVLGNSMKEVKLDHQSLESGSTGGASKFDTSSNINGLVPPPPGRPPTGLPTLKAPPGRANPLPPEPPSSFKPPPSRNGPPPPPPPVPPSTLKVPPSTLKPSGSAGPPPPPPPPPGPPPPPPPKIGVPPPRPPQSMPFGSKVARPPPLAPKRPSNAASGEVGGSDAEGDAPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFDENMIETLFGYNAAEKNKNERKKESTFQDPSPHLIQIINPKKAQNLSILLRALNVTVEEVCDAIREGNELPSEFLQTLLKMAPTQEEELKLRLFNGQLSQLGPAERFLKALIEIPFAFKRLEALLFMCTLQEEVTTLKESFATLECFSAV >ONI13070 pep chromosome:Prunus_persica_NCBIv2:G4:12493101:12501089:-1 gene:PRUPE_4G201000 transcript:ONI13070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQQQIGLMRTSCFIVLVILLCASTSISSEERKITEEVFLSQLVDPATGKIDGDMAQLLWISCQVDLVHLTEAIEHLHLWLEEETSGGTDEISSKRQSSGKERFQKLISVLHPEVKQTLSDCLRRHGLLSRVSGEEGASKIWYTKYIESLFPRLYVPRRNLGSESLQSSAEVASPAPAAGSAQLSPVPSAAPTPSTSHTPRSRRPAAAFFPSVSKNSTVQASEPDAGLNVQEDKGRDDRKKIVIAVVVTASVTLVVAAVFFLCCTKICRTGKKDGQNDERPLLSLSLTDSSGSSYKSYVLGNSMKEVKLDHQSLESGSTGGASKFDTSSNINGLVPPPPGRPPTGLPTLKAPPGRANPLPPEPPSSFKPPPSRNGPPPPPPPVPPSTLKVPPSTLKPSGSAGPPPPPPPPPGPPPPPPPKIGVPPPRPPQSMPFGSKVARPPPLAPKRPSNAASGEVGGSDAEGDAPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFDENMIETLFGYNAAEKNKNERKKESTFQDPSPHLIQIINPKKAQNLSILLRALNVTVEEVCDAIREGNELPSEFLQTLLKMAPTQEEELKLRLFNGQLSQLGPAERFLKALIEIPFAFKRLEALLFMCTLQEEVTTLKESFATLEVACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGRAQAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGVRAARAAKESRSFSSIKSDDLLEETSQETEEHFRSLGLQKVSGLSNELENVKKASVLDAENLTGTVTKLGHALVKTRDFLNSDMKNSGEDSEFHETLKSFVQNAEVDITGLLEEEKRIMALVKNTGDYFHGNAGKDEGLRLFVIVRDFLLIIDKACKEIRLAPKKSTNVQKKEAPSSDPRQPPTTPSASNLRQPPSPDLHKRLFPAIQDRRMDNSSSDDES >ONI13072 pep chromosome:Prunus_persica_NCBIv2:G4:12495613:12499670:-1 gene:PRUPE_4G201000 transcript:ONI13072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQQQIGLMRTSCFIVLVILLCASTSISSEERKITEEVFLSQLVDPATGKIDGDMAQLLWISCQVDLVHLTEAIEHLHLWLEEETSGGTDEISSKRQSSGKERFQKLISVLHPEVKQTLSDCLRRHGLLSRVSGEEGASKIWYTKYIESLFPRLYVPRRNLGSESLQSSAEVASPAPAAGSAQLSPVPSAAPTPSTSHTPRSRRPAAAFFPSVSKNSTVQASEPDAGLNVQEDKGRDDRKKIVIAVVVTASVTLVVAAVFFLCCTKICRTGKKDGQNDERPLLSLSLTDSSGSSYKSYVLGNSMKEVKLDHQSLESGSTGGASKFDTSSNINGLVPPPPGRPPTGLPTLKAPPGRANPLPPEPPSSFKPPPSRNGPPPPPPPVPPSTLKVPPSTLKPSGSAGPPPPPPPPPGPPPPPPPKIGVPPPRPPQSMPFGSKVARPPPLAPKRPSNAASGEVGGSDAEGDAPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFDENMIETLFGYNAAEKNKNERKKESTFQDPSPHLIQIINPKKAQNLSILLRALNVTVEEVCDAIREGNELPSEFLQTLLKMAPTQEEELKLRLFNGQLSQLGPAERFLKALIEIPFAFKRLEALLFMCTLQEEVTTLKESFATLELASNADILRKTVLFSCVNHHQMMNR >ONI13076 pep chromosome:Prunus_persica_NCBIv2:G4:12493101:12500238:-1 gene:PRUPE_4G201000 transcript:ONI13076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQQQIGLMRTSCFIVLVILLCASTSISSEERKITEEVFLSQLVDPATGKIDGDMAQLLWISCQVDLVHLTEAIEHLHLWLEEETSGGTDEISSKRQSSGKERFQKLISVLHPEVKQTLSDCLRRHGLLSRVSGEEGASKIWYTKYIESLFPRLYVPRRNLGSESLQSSAEVASPAPAAGSAQLSPVPSAAPTPSTSHTPRSRRPAAAFFPSVSKNSTVQASEPDAGLNVQEDKGRDDRKKIVIAVVVTASVTLVVAAVFFLCCTKICRTGKKDGQNDERPLLSLSLTDSSGSSYKSYVLGNSMKEVKLDHQSLESGSTGGASKFDTSSNINGLVPPPPGRPPTGLPTLKAPPGRANPLPPEPPSSFKPPPSRNGPPPPPPPVPPSTLKVPPSTLKPSGSAGPPPPPPPPPGPPPPPPPKIGVPPPRPPQSMPFGSKVARPPPLAPKRPSNAASGEVGGSDAEGDAPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQK >ONI13074 pep chromosome:Prunus_persica_NCBIv2:G4:12495745:12499670:-1 gene:PRUPE_4G201000 transcript:ONI13074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQQQIGLMRTSCFIVLVILLCASTSISSEERKITEEVFLSQLVDPATGKIDGDMAQLLWISCQVDLVHLTEAIEHLHLWLEEETSGGTDEISSKRQSSGKERFQKLISVLHPEVKQTLSDCLRRHGLLSRVSGEEGASKIWYTKYIESLFPRLYVPRRNLGSESLQSSAEVASPAPAAGSAQLSPVPSAAPTPSTSHTPRSRRPAAAFFPSVSKNSTVQASEPDAGLNVQEDKGRDDRKKIVIAVVVTASVTLVVAAVFFLCCTKICRTGKKDGQNDERPLLSLSLTDSSGSSYKSYVLGNSMKEVKLDHQSLESGSTGGASKFDTSSNINGLVPPPPGRPPTGLPTLKAPPGRANPLPPEPPSSFKPPPSRNGPPPPPPPVPPSTLKVPPSTLKPSGSAGPPPPPPPPPGPPPPPPPKIGVPPPRPPQSMPFGSKVARPPPLAPKRPSNAASGEVGGSDAEGDAPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFDENMIETLFGYNAAEKNKNERKKESTFQDPSPHLIQIINPKKAQNLSILLRALNVTVEEVCDAIREGNELPSEFLQTLLKMAPTQEEELKLRLFNGQLSQLGPAERFLKALIEIPFAFKRLEALLFMCTLQEEVTTLKESFATLEFFGYLRLTSISSASMVKTNVVT >ONI12441 pep chromosome:Prunus_persica_NCBIv2:G4:9573780:9576072:1 gene:PRUPE_4G164900 transcript:ONI12441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLPLFFLLLLHQPLFSTANVHKLNLLRSELLSEPTPLHDTPPTVFFEVTKPIEVPKTKPCSQLILQHDFAYTYGQAPVFANYTPPSHCPSQTFSTIVLEWKATCRGRQFDRIFGVWLGGVEILRSCTAEPRPNGIVWTVEKDITRYYSLLKSNQTLAVYLGNLIDKTYTGIYHVNISIRFYPAEEKLNSFEQKLDNLASGYHSWADLILPISRNLPLNDGLWFEVQNSNDTELKEFKIPQNAYRAVLEVYVSFHENDEFWYSNLPNEYIAANNLSGTPGNGPFREVVVSLDGEIVGAVWPFTVIFTGGINPLLWRPITAIGSFDLPTYDIEITPFLGKILDGKSHKFGFNVTNALNVWYVDANLHLWLDKQSTKTEGKLSEHSSLPLVVSLVSDFKGLNGTFLTRTSRAVSSTGWVKSSYGNITTRSIQDFYYSNSMVLGKDGNMQIVNQKIIFNDSVHINLPSSYVHSLTSHKTFPLYLYTDFLGQGNGTYLLITNVDLGFIEKKSGLGFSNSSLRNLQSAEGNMVVKNNLVVSGLGSTQQVYRYDGGKFCYFRNISSSNYTILYDKVGSKCNKKSLSNLDFVLSRLWPFGARMNFAGLRFT >ONI14275 pep chromosome:Prunus_persica_NCBIv2:G4:22496395:22497181:1 gene:PRUPE_4G272700 transcript:ONI14275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPSVTYFLKRQPPFGLPPSQIFPEHRSRTVCSTLVTNKVCHNLQWYATVRVLANLKHSHQTHAPILPTS >ONI13169 pep chromosome:Prunus_persica_NCBIv2:G4:12913963:12914618:-1 gene:PRUPE_4G207300 transcript:ONI13169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKSRLTFLLMLAFCGVCFGAVYRVGDSNGWTSRGLVDYNEWASTKDFHVNDSLIFTYNNQFHNVMQVTNQDFESCNPTSPVAVYTSGSDTITLEGPGKFYFMCGAPGHCQAGQRVEITATLPTPDDSFASPTPTPYGSSPSASHPEVLSPSSALSTSPALHFSKLGLGVSVSPCLCYLLFWVLYFKYVS >ONI10888 pep chromosome:Prunus_persica_NCBIv2:G4:3645292:3648700:-1 gene:PRUPE_4G074400 transcript:ONI10888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSLRHSALFFLFLFFSSGSSAPKNPPVEATHRSNTQVNRMLFSSSVYATQLDTIPVVNPSPTGLTPTPFVNPTTSPPAPTTTDPITTPTPTPPTTTPTPTPPTTTDPGTMPTPTPPTTTLTPTTPTTTTPTSSGGNWCIASQAASQTALQVALDYACGYGGADCAAIQQGGSCYNPNTLRDHASYAFNDYYHKNPAPTSCNFGGTAQTTNTDPSTGSCRYPSSTASTSTYSPVNPSPPPPTTMTTPTMPTTPYTPSIATPGGSTIYGSEPTESPNSATSISSCMLLLFTTTGLLGSLLAANYL >ONI13113 pep chromosome:Prunus_persica_NCBIv2:G4:12646617:12649114:-1 gene:PRUPE_4G203400 transcript:ONI13113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLRFKQQLIKEADHQGKTPLYYAASLGDHRTVERLLVLDISIAYVLDKQGFSPIHVAASKGHTSVIREIVRHCPDSGELVDPYGWNALHIAIFNGQANVVRYILETAELEGTINQPDFDGNTPLHLATIERKTWILRYLRWDGRVNLRSKNKFGQTAIEIDRSIKESSITSPRELQNITPSIWGHLGTQHSWLGNIKISPRAEQEEANAVQTYMQMGQTLLMVATLITTVTFAAAFTMPGGYNNDVGPDRGQALLQSNNDFKWFIITDTVAMTCSIIAACLLFWGAVNSNKSSYVYYFTSAAALTYIALQSTAIAFETGIKAAMPDQQCLKTLGTLVGAAFHVITFLALSQLVKMFSLPEACRFFISHLCKLKCKIKNKP >ONI13982 pep chromosome:Prunus_persica_NCBIv2:G4:17960292:17966684:1 gene:PRUPE_4G256200 transcript:ONI13982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVAVGLAVGVAVAACAVATVLVGRRIRSRRKWRRVVGVLKELEQSCETTVGRLRQVVDAMAVEMHAGLASDGGSKLKMLLTFVDKLPNGSEKGTYYALDLGGTNFRVLRVKLEGTRSSTLEHDVYRQAIPQDLMTGSSEDLFDFIASSLKEFVEREGDISELSLDRRGELGFTFSFPVKQMSVSSGTLLKWTKGFSIEDMVGREIAGCLENAMTRKGLNMRVAALVNDSVGSLALGHYHDTDTVAAVIIGTGTNACYLERTDAIIKSQGLLTTSGGMVVNMEWGNFWSSHLPRTLYDIELDADSPNPNDQGFEKLISGMYLGDVVRRVIVRMSQESDIFDPISSKLSMPFILRTPLIAAMHEDDSPDLEEVRRILRDNLEILDVPLKVRKLIVKVCDVVTRRAARLAAAGIVGILKKIGRDGSGGIAGGRSRSDQKMRRTVVAMEGGLYTGYTMFRDYLHEALTEILGEDIAQHVIIKVTEDGSAMGAALLAASHSSYPVDSVQLL >ONI13983 pep chromosome:Prunus_persica_NCBIv2:G4:17961250:17966684:1 gene:PRUPE_4G256200 transcript:ONI13983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSEDLFDFIASSLKEFVEREGDISELSLDRRGELGFTFSFPVKQMSVSSGTLLKWTKGFSIEDMVGREIAGCLENAMTRKGLNMRVAALVNDSVGSLALGHYHDTDTVAAVIIGTGTNACYLERTDAIIKSQGLLTTSGGMVVNMEWGNFWSSHLPRTLYDIELDADSPNPNDQGFEKLISGMYLGDVVRRVIVRMSQESDIFDPISSKLSMPFILRTPLIAAMHEDDSPDLEEVRRILRDNLEILDVPLKVRKLIVKVCDVVTRRAARLAAAGIVGILKKIGRDGSGGIAGGRSRSDQKMRRTVVAMEGGLYTGYTMFRDYLHEALTEILGEDIAQHVIIKVTEDGSAMGAALLAASHSSYPVDSVQLL >ONI11705 pep chromosome:Prunus_persica_NCBIv2:G4:6574172:6578717:1 gene:PRUPE_4G121400 transcript:ONI11705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTKVTMEPKKSGGAGAFYVPGNDRVFYKPPEKKSPLGLHVFANANAKREGHISERIDKQHDSSDYGKDYYHQRKSGGRYEQDQCGGGYGRKRSRCEGSMRKHDRSSDWDDGRLGWQDTPQHSSYSNASKRHQAGSAASPWDFSPVEIPSGYSIKSSSSKHGAGSHKLTIYSENSESFKDGEGDKTDLDEEHKYEIREIMRQEIDYNSDVAWYDREEGNAMFDTTDSSSLFYGNDAYFQKKEAELAKRLLRRDGTKMNVARSRKMSQLNADNARWEDSQLSRSGAVGATKAQTEIDDEEEHKVTLLVHDTNLKPPFLNDSAVFTKQTEPVMPIKDPTSDMAIISRKGSALVRRIHEKQNLNKSRQRFWELAGSKLGEILGVEKTAEQIGTDTAATGTDGEIDFKEDAKFKQHMKNEEAVSEFAKSKTISQQRQCLPIYSVREELLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTVNGIVACTQPRRVAAMSVAKRVSEEMETELGEKVGYTIRFEDVTGPNTIIKYMTDGVLMHETLRDSDLDKYRVVVMDEAHERSLNTDVLLGILKKVVAQRRDFKLIVTSATLDAQKFQTFFGSAPIFEIPGRTFPVTINYNETPCEDYVEAAVKKAITIHITSTHGDILIFMTGQDEIEAACYALSERMEQLISTSKKRVPKLLILPIYSQLPADLQAKIFQKAEDGVRKCIVATNIAETSLTVDGILYVIDTGYCKMKVYNPKMCMDALQVFPISQTAANQRAGRAGRTEPGTCYRLYTKNAYRNEMLPNPVPEIQRTNLGCGCWVLLTIRAA >ONI11706 pep chromosome:Prunus_persica_NCBIv2:G4:6574172:6578717:1 gene:PRUPE_4G121400 transcript:ONI11706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTKVTMEPKKSGGAGAFYVPGNDRVFYKPPEKKSPLGLHVFANANAKREGHISERIDKQHDSSDYGKDYYHQRKSGGRYEQDQCGGGYGRKRSRCEGSMRKHDRSSDWDDGRLGWQDTPQHSSYSNASKRHQAGSAASPWDFSPVEIPSGYSIKSSSSKHGAGSHKLTIYSENSESFKDGEGDKTDLDEEHKYEIREIMRQEIDYNSDVAWYDREEGNAMFDTTDSSSLFYGNDAYFQKKEAELAKRLLRRDGTKMNVARSRKMSQLNADNARWEDSQLSRSGAVGATKAQTEIDDEEEHKVTLLVHDTNLKPPFLNDSAVFTKQTEPVMPIKDPTSDMAIISRKGSALVRRIHEKQNLNKSRQRFWELAGSKLGEILGVEKTAEQIGTDTAATGTDGEIDFKEDAKFKQHMKNEEAVSEFAKSKTISQQRQCLPIYSVREELLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTVNGIVACTQPRRVAAMSVAKRVSEEMETELGEKVGYTIRFEDVTGPNTIIKYMTDGVLMHETLRDSDLDKYRVVVMDEAHERSLNTDVLLGILKKVVAQRRDFKLIVTSATLDAQKFQTFFGSAPIFEIPGRTFPVTINYNETPCEDYVEAAVKKAITIHITSTHGDILIFMTGQDEIEAACYALSERMEQLISTSKKRVPKLLILPIYSQLPADLQAKIFQKAEDGVRKCIVATNIAETSLTVDGILYVIDTGYCKMKVYNPKMCMDALQVFPISQTAANQRAGRAGRTEPGTCYRLYTKNAYRNEMLPNPVPEIQRTNLGCGCWVLLTIRAA >ONI11704 pep chromosome:Prunus_persica_NCBIv2:G4:6575045:6578452:1 gene:PRUPE_4G121400 transcript:ONI11704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTKVTMEPKKSGGAGAFYVPGNDRVFYKPPEKKSPLGLHVFANANAKREGHISERIDKQHDSSDYGKDYYHQRKSGGRYEQDQCGGGYGRKRSRCEGSMRKHDRSSDWDDGRLGWQDTPQHSSYSNASKRHQAGSAASPWDFSPVEIPSGYSIKSSSSKHGAGSHKLTIYSENSESFKDGEGDKTDLDEEHKYEIREIMRQEIDYNSDVAWYDREEGNAMFDTTDSSSLFYGNDAYFQKKEAELAKRLLRRDGTKMNVARSRKMSQLNADNARWEDSQLSRSGAVGATKAQTEIDDEEEHKVTLLVHDTNLKPPFLNDSAVFTKQTEPVMPIKDPTSDMAIISRKGSALVRRIHEKQNLNKSRQRFWELAGSKLGEILGVEKTAEQIGTDTAATGTDGEIDFKEDAKFKQHMKNEEAVSEFAKSKTISQQRQCLPIYSVREELLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTVNGIVACTQPRRVAAMSVAKRVSEEMETELGEKVGYTIRFEDVTGPNTIIKYMTDGVLMHETLRDSDLDKYRVVVMDEAHERSLNTDVLLGILKKVVAQRRDFKLIVTSATLDAQKFQTFFGSAPIFEIPGRTFPVTINYNETPCEDYVEAAVKKAITIHITSTHGDILIFMTGQDEIEAACYALSERMEQLISTSKKRVPKLLILPIYSQLPADLQAKIFQKAEDGVRKCIVATNIAETSLTVDGILYVIDTGYCKMKVYNPKMCMDALQVFPISQTAANQRAGRAGRTEPGTCYRLYTKNAYRNEMLPNPVPEIQRTNLGYVILLLKSLQVDNLLDFDFMDPPPKDNILNSMYQLWVLGALNNQGRLTDLGWKMVQLPLDPPLAKMLLMGEEMECLDEVLTIVSMLSVPSVFFRPKDRAEVSDAAREKFSIPESDHLTLYNVYQQWKQQQYRGDWCNDHFLHVKGLRKAREVRTQLLEILKTLKIPISSCGPDTDIVKKAICSAYFHNAARLKGVVEYVNCRTGMPCHLHPSSALYGMGCTPEYVVYHELILTTKEYMQCATAVEPQWLAELGPMFFYVKESDTSMLEHKKTRKEEKTAMEEEMENLREAQAEAEKESELEREKRSKQQQQQRMSMPGLHHGSSAYTRPKKLGL >ONI13432 pep chromosome:Prunus_persica_NCBIv2:G4:13923422:13925953:-1 gene:PRUPE_4G221700 transcript:ONI13432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNKKQGIVLQQWCALLSIFALISSSQSFDYGDALSKSLLYFESQRSGRLPYNQRVTWRHHSGLTDGLEQGVDLVGGYYDAGDNVKFGLPMAFTITMLSWGVIEYGGEMEEAGEYKHALEAIKWGTDYFIKAHTHPNVLWGEVGDGFTDHYCWQRPEDMTTSRQAYKVDENNPGSDIAGETAAAMAAAAIVFRKTNPHYSGLLLHHAQQLFELGDKYRGKYDESIEVVKGHYTSWSGYMDELLWAALWLYKATNNEDYLKYALNNAQAFGGTTWAIKEFSWDVKYAGVQIIASMLLKEEKHKQHMHILEQYRSKAEFYICACLDKNNVTNVQRTPGGLLYIRQWNNMQYVSNAAFLLTTYSDYLQATHQNLTCDKGQVGPQELLSFAKSQVDYILGSNPTAMSYLVGYGPKYPQRLHHRGASIESYKRNKGFIGCTEGYDSWYGMHDPNPNVLVGALVGGPDGNDGFRDERWNYMQTEACTYNTATLVGVLAKLDRLEGDYLSLNQPLIASS >ONI11357 pep chromosome:Prunus_persica_NCBIv2:G4:5293382:5298225:1 gene:PRUPE_4G103500 transcript:ONI11357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKRSRPNKPKPIYHLPLFFFFFFFFLSAVFHCGLVSSSNTKGTKEAAVAGFGYKIQSVNYESSGNSLTANLGLIKKSSLYGPDIPNLNLHASCETKDRLRIRITDSKHQRWEIPQQIIPRQTTSQHPQQCQTHNKHLVISNDLVFTLHNTTPFGFTVTRQSSNDVIFDASPNPSNPDTFLVFKDQYIQLSSSLPEARSSLFGLGEHTSSFKLTPNQTLTLWNADTASANADINLYGSHPFYLDVRSASPDGKANGAGTSHGVLLLNSNGMDITYGGDRITYKAIGGIVDLYFFSGPTPELVVEQYTELIGRPTPMPYWSFGFHQCRWGYKNVSDLEGVVAGYEKAAIPLEVMWTDIDYMDAYKDFTLDPINFPLDKMKKFVNTLHQNDQKYVLILDPGISVNESYGTYNRGLKADIFIKRDGIPYLGSVWPGPVYFPDFAHPQSEKIWANEIKIFQDALPFDGLWLDMNELSNFITSPATPSSTLDDPPYKINNAGVLRPINNSTVPASALHFGNITEYDAHNLYGLLETKATNKALVNVTGKRPFILSRSTFVSSGTYTAHWTGDNAAKWSDLAYTIPAILNFGLFGVPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSEKFTIRQELYLWDSVAATARKVLGLRYRLLPLFYTSMYEAHKKGTPIARPLFFSFPQDIRTYEINTQFLIGRGVMVSPVLKPGVSSVDAYFPAGNWFNLFNYSNSVSVKSGEHVTLEAPPDHINVHVCEGNILALQGKALTTEAARKTAFELLVVSSSGQSTGEVFLDDGEEVEMGGEGGKWSLVRFYGGKKNGSVSVRSTVVNGGFALSQKWIIDKVTIIGLEKVDGLEGYALNITKGANLKRGHSDIRASFDSNKRFITVEISKLSILIGADFNLELKY >ONI11359 pep chromosome:Prunus_persica_NCBIv2:G4:5295946:5299176:1 gene:PRUPE_4G103500 transcript:ONI11359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTDIDYMDAYKDFTLDPINFPLDKMKKFVNTLHQNDQKYVLILDPGISVNESYGTYNRGLKADIFIKRDGIPYLGSVWPGPVYFPDFAHPQSEKIWANEIKIFQDALPFDGLWLDMNELSNFITSPATPSSTLDDPPYKINNAGVLRPINNSTVPASALHFGNITEYDAHNLYGLLETKATNKALVNVTGKRPFILSRSTFVSSGTYTAHWTGDNAAKWSDLAYTIPAILNFGLFGVPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSEKFTIRQELYLWDSVAATARKVLGLRYRLLPLFYTSMYEAHKKGTPIARPLFFSFPQDIRTYEINTQFLIGRGVMVSPVLKPGVSSVDAYFPAGNWFNLFNYSNSVSVKSGEHVTLEAPPDHINVHVCEGNILALQGKALTTEAARKTAFELLVVSSSGQSTGEVFLDDGEEVEMGGEGGKWSLVRFYGGKKNGSVSVRSTVVNGGFALSQKWIIDKVTIIGLEKVDGLEGYALNITKGANLKRGHSDIRASFDSNKRFITVEISKLSILIGADFNLELKY >ONI11358 pep chromosome:Prunus_persica_NCBIv2:G4:5295305:5299176:1 gene:PRUPE_4G103500 transcript:ONI11358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITYGGDRITYKAIGGIVDLYFFSGPTPELVVEQYTELIGRPTPMPYWSFGFHQCRWGYKNVSDLEGVVAGYEKAAIPLEVMWTDIDYMDAYKDFTLDPINFPLDKMKKFVNTLHQNDQKYVLILDPGISVNESYGTYNRGLKADIFIKRDGIPYLGSVWPGPVYFPDFAHPQSEKIWANEIKIFQDALPFDGLWLDMNELSNFITSPATPSSTLDDPPYKINNAGVLRPINNSTVPASALHFGNITEYDAHNLYGLLETKATNKALVNVTGKRPFILSRSTFVSSGTYTAHWTGDNAAKWSDLAYTIPAILNFGLFGVPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSEKFTIRQELYLWDSVAATARKVLGLRYRLLPLFYTSMYEAHKKGTPIARPLFFSFPQDIRTYEINTQFLIGRGVMVSPVLKPGVSSVDAYFPAGNWFNLFNYSNSVSVKSGEHVTLEAPPDHINVHVCEGNILALQGKALTTEAARKTAFELLVVSSSGQSTGEVFLDDGEEVEMGGEGGKWSLVRFYGGKKNGSVSVRSTVVNGGFALSQKWIIDKVTIIGLEKVDGLEGYALNITKGANLKRGHSDIRASFDSNKRFITVEISKLSILIGADFNLELKY >ONI11360 pep chromosome:Prunus_persica_NCBIv2:G4:5293273:5299176:1 gene:PRUPE_4G103500 transcript:ONI11360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTDIDYMDAYKDFTLDPINFPLDKMKKFVNTLHQNDQKYVLILDPGISVNESYGTYNRGLKADIFIKRDGIPYLGSVWPGPVYFPDFAHPQSEKIWANEIKIFQDALPFDGLWLDMNELSNFITSPATPSSTLDDPPYKINNAGVLRPINNSTVPASALHFGNITEYDAHNLYGLLETKATNKALVNVTGKRPFILSRSTFVSSGTYTAHWTGDNAAKWSDLAYTIPAILNFGLFGVPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSEKFTIRQELYLWDSVAATARKVLGLRYRLLPLFYTSMYEAHKKGTPIARPLFFSFPQDIRTYEINTQFLIGRGVMVSPVLKPGVSSVDAYFPAGNWFNLFNYSNSVSVKSGEHVTLEAPPDHINVHVCEGNILALQGKALTTEAARKTAFELLVVSSSGQSTGEVFLDDGEEVEMGGEGGKWSLVRFYGGKKNGSVSVRSTVVNGGFALSQKWIIDKVTIIGLEKVDGLEGYALNITKGANLKRGHSDIRASFDSNKRFITVEISKLSILIGADFNLELKY >ONI10963 pep chromosome:Prunus_persica_NCBIv2:G4:3838780:3841233:-1 gene:PRUPE_4G079100 transcript:ONI10963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSLKLASLAISTCYVLLLPLVGCSYHEEGNQPNDKNTEEHSHKLSPQMTSNVTVHGLLSWFSMGFLMPVGILIIRMSVREERGTTRARVLFYLHVILQMLSVLLATAGAVMSLRNFENSFNNNHQRLGLALYGAIWVQALIGFFRPHRGKKERSFWYMVHWMLGTLISLVGIINIYTGLNAYHKRTQRSSGLWTLLFTAEVSFIAVYYLIQDKREYIQKQGVILGNLEPITQDQENVQRQNQKELLPQQPCGKHNALKNLFD >ONI09879 pep chromosome:Prunus_persica_NCBIv2:G4:733294:739073:-1 gene:PRUPE_4G015700 transcript:ONI09879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLGYLNVSHNSLSQSVGDMFANLVGLATLDLSLNNFSGDIPNSFTSLTNLSSLYVQNNQLTGSLNVLTGLPLTTLNVANNHFSGWIPHELSSIHTFIYDGNAFKNGPAPPPPPYNPPPPGRSDKNHNHSGSGTHPERSDGKSHDSKKGLTVGALVGIILGSVVVALIILLALAFCIGKNKREDHVARASGGSLTVPTSNVNTEMQEQRVKSVATITDLKPPPAEILVVDRLHNKNGSIKRIKSPITATPYTVATLQTATNSFSQEFLVGEGSLGRVYRAEFPNGKIMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVTLAGYCAEHGQRLLVYEHIGNGSLHDILHFAEDGSNTLTWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRSSDESGFSYKTPDHEAIDMSF >ONI09878 pep chromosome:Prunus_persica_NCBIv2:G4:733746:738721:-1 gene:PRUPE_4G015700 transcript:ONI09878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNFAHWLSVPRLLTGFVLIASTFAVLPLVSGTTDASDVQALQVLYTSVNSPSQLTGWKISGGDPCGESWKGVTCEGSAVVSIEVSGLGLSGTMGYLLSDLLSLRKFDLSDNNFHDTLPYQLPPNLTSLNLARNNFTGNLPYSIANMVSLGYLNVSHNSLSQSVGDMFANLVGLATLDLSLNNFSGDIPNSFTSLTNLSSLYVQNNQLTGSLNVLTGLPLTTLNVANNHFSGWIPHELSSIHTFIYDGNAFKNGPAPPPPPYNPPPPGRSDKNHNHSGSGTHPERSDGKSHDSKKGLTVGALVGIILGSVVVALIILLALAFCIGKNKREDHVARASGGSLTVPTSNVNTEMQEQRVKSVATITDLKPPPAEILVVDRLHNKNGSIKRIKSPITATPYTVATLQTATNSFSQEFLVGEGSLGRVYRAEFPNGKIMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVTLAGYCAEHGQRLLVYEHIGNGSLHDILHFAEDGSNTLTWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRSSDESGFSYKTPDHEAIDMSF >ONI09880 pep chromosome:Prunus_persica_NCBIv2:G4:734378:738721:-1 gene:PRUPE_4G015700 transcript:ONI09880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNFAHWLSVPRLLTGFVLIASTFAVLPLVSGTTDASDVQALQVLYTSVNSPSQLTGWKISGGDPCGESWKGVTCEGSAVVSIEVSGLGLSGTMGYLLSDLLSLRKFDLSDNNFHDTLPYQLPPNLTSLNLARNNFTGNLPYSIANMVSLGYLNVSHNSLSQSVGDMFANLVGLATLDLSLNNFSGDIPNSFTSLTNLSSLYVQNNQLTGSLNVLTGLPLTTLNVANNHFSGWIPHELSSIHTFIYDGNAFKNGPAPPPPPYNPPPPGRSDKNHNHSGSGTHPERSDGKSHDSKKGLTVGALVGIILGSVVVALIILLALAFCIGKNKREDHVARASGGSLTVPTSNVNTEMQEQRVKSVATITDLKPPPAEILVVDRLHNKNGSIKRIKSPITATPYTVATLQTATNSFSQEFLVGEGSLGRVYRAEFPNGKIMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVTLAGYCAEHGQRLLVYEHIGNGSLHDILHFAEDGSNTLTWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDRYTCPTLLNCQVYDLEFLDFILMLINSFPFSSNSSTFLVQG >ONI13862 pep chromosome:Prunus_persica_NCBIv2:G4:16727760:16729263:1 gene:PRUPE_4G250100 transcript:ONI13862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLYSLSLHNKPPLSLHPFSLTNKLKTHISELPVPGNGVFKKKKSNPYYNSSSSSSCIVYAAEKESQQFDVDPDKAREALKNLDQQLQSRSQRQARPPRQKAPDVSFARDQTEDEEVQEFSGSFFTITAVAVFAFTIFYNVLFYNVIKPSIDGPEQAPTTIVQREIPK >ONI11385 pep chromosome:Prunus_persica_NCBIv2:G4:5365420:5369102:1 gene:PRUPE_4G104400 transcript:ONI11385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPADGDVSESLSDIDDAEVVGYLNSKQAALFKRMIWEAMNKDYDKEKSRKRARMAKKATRAKKPEKAVKVSTVMDTKNRLSSKINYDALDKLNEELGYAPAFEEGTKSNCGNPGDMQLSNEKNNFEGSHDDDFEHESNGYSEEVEARQGHDDDTYVANDQYNGCNYDDEYNYDEECDFDEL >ONI11388 pep chromosome:Prunus_persica_NCBIv2:G4:5365420:5368020:1 gene:PRUPE_4G104400 transcript:ONI11388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWEAMNKDYDKEKSRKRARMAKKATRAKKPEKAVKVSTVMDTKNRLSSKINYDALDKLNEELGYAPAFEEGTKSNCGNPGDMQLSNEKNNFEGSHDDDFEHESNGYSEEVEARQGHDDDTYVANDQYNGCNYDDEYNYDEECDFDEL >ONI11387 pep chromosome:Prunus_persica_NCBIv2:G4:5365637:5368020:1 gene:PRUPE_4G104400 transcript:ONI11387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWEAMNKDYDKEKSRKRARMAKKATRAKKPEKAVKVSTVMDTKNRLSSKINYDALDKLNEELGYAPAFEEGTKSNCGNPGDMQLSNEKNNFEGSHDDDFEHESNGYSEEVEARQGHDDDTYVANDQYNGCNYDDEYNYDEECDFDEL >ONI11389 pep chromosome:Prunus_persica_NCBIv2:G4:5365420:5368020:1 gene:PRUPE_4G104400 transcript:ONI11389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWEAMNKDYDKEKSRKRARMAKKATRAKKPEKAVKVSTVMDTKNRLSSKINYDALDKLNEELGYAPAFEEGTKSNCGNPGDMQLSNEKNNFEGSHDDDFEHESNGYSEEVEARQGHDDDTYVANDQYNGCNYDDEYNYDEECDFDEL >ONI11386 pep chromosome:Prunus_persica_NCBIv2:G4:5365637:5368021:1 gene:PRUPE_4G104400 transcript:ONI11386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWEAMNKDYDKEKSRKRARMAKKATRAKKPEKAVKVSTVMDTKNRLSSKINYDALDKLNEELGYAPAFEEGTKSNCGNPGDMQLSNEKNNFEGSHDDDFEHESNGYSEEVEARQGHDDDTYVANDQYNGCNYDDEYNYDEECDFDEL >ONI14238 pep chromosome:Prunus_persica_NCBIv2:G4:21862325:21865760:1 gene:PRUPE_4G270400 transcript:ONI14238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSSSAEEAPDLVCQLDNVQGMVDALTTVRWKRQQDAVMELSEHGVVLIVEETGCLQAKVYLQRELFTRYEYNGQGRPRFGVSLGLFVDCLNTFSVPGQSSILEIRYPGPDMQLLLKSVDSLDACIYAEIRTRIPDTVSWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQINLQPVPPIVTFRGEGHGDLQIDLMYYVNTDLLVAFHCDRQVSYKYKYKFLRATTSNIPGSVIKDNRGSKLTIGRGGLLKVQHLVSVARPSTSHPRIDSAGYQQPSRIAYIEFFVKPEEDEDAANNQ >ONI14241 pep chromosome:Prunus_persica_NCBIv2:G4:21862325:21865760:1 gene:PRUPE_4G270400 transcript:ONI14241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSEHGVVLIVEETGCLQAKVYLQRELFTRYEYNGQGRPRFGVSLGLFVDCLNTFSVPGQSSILEIRYPGPDMQLLLKSVDSLDACIYAEIRTRIPDTVSWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQINLQPVPPIVTFRGEGHGDLQIDLMYYVNTDLLVAFHCDRQVSYKYKYKFLRATTSNIPGSVIKDNRGSKLTIGRGGLLKVQHLVSVARPSTSHPRIDSAGYQQPSRIAYIEFFVKPEEDEDAANNQ >ONI14240 pep chromosome:Prunus_persica_NCBIv2:G4:21862325:21865760:1 gene:PRUPE_4G270400 transcript:ONI14240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSSSAEEAPDLVCQLDNVQGMVDALTTVRWKRQQDAVMELSEHGVVLIVEETGCLQAKVYLQRELFTRYEYNGQGRPRFGVSLGLFVDCLNTFSVPGQSSILEIRYPGPDMQLLLKSVDSLDACIYAEIRTRIPDTVSWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQINLQPVPPIVTFRGEGHGDLQVQIQVPSSYNF >ONI14242 pep chromosome:Prunus_persica_NCBIv2:G4:21863198:21865468:1 gene:PRUPE_4G270400 transcript:ONI14242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLLKSVDSLDACIYAEIRTRIPDTVSWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQINLQPVPPIVTFRGEGHGDLQIDLMYYVNTDLLVAFHCDRQVSYKYKYKFLRATTSNIPGSVIKDNRGSKLTIGRGGLLKVQHLVSVARPSTSHPRIDSAGYQQPSRIAYIEFFVKPEEDEDAANNQ >ONI14239 pep chromosome:Prunus_persica_NCBIv2:G4:21862325:21865760:1 gene:PRUPE_4G270400 transcript:ONI14239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSSSAEEAPDLVCQLDNVQGMVDALTTVRWKRQQDAVMELSEHGVVLIVEETGCLQAKVYLQRELFTRYEYNGQGRPRFGVSLGLFVDCLNTFSVPGQSSILEIRYPGPDMQLLLKSVDSLDACIYAEIRTRIPDTVSWDYNFEPAGSTPLTFTVKSAALKEAIDDLEWPGSSIQINLQPVPPIVTFRGEGHGDLQIDLMYYVNTDLLVAFHCDRQVSYKYVLNMTFEHYIHRTTSSLLIVLVLTLMLLGTNTSSFELQLLTFQAA >ONI10405 pep chromosome:Prunus_persica_NCBIv2:G4:2163706:2167024:1 gene:PRUPE_4G045200 transcript:ONI10405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSSNLLVHSLTPPLKLSSNLFFISVPKPSNLCTRALKPATANFSLSYSPESLSNQLSLAHSLQSETLEILEWASVCKQLSALAFTAMGFSAAQEARIPVGRSKEESQKLLDQTTAAVDAITMAGSPPSDFSAIENVSDIVSSAVSGKLLSINELCAVRRTLNAAKGLFEKLKGLAFSADCTDRYLPLLEILDDCDFLVELEKTIGLCIDCKLSIIVDTASEDLEIIRSERKSNMENLDSLLKEVSTQIFKAGGIDSPLVTKRRARMCVGVRATHKHLLPGCIVLDVSSSGATYFVEPKEAVELNNMEVRLSNAERAEEIGILSFLTSEIAKSETPIMYLLDKVLEVDLAFARAAYALRMNGVCPIFSSKDCQDLDSGGASLATSVDIEGIQHPLLLEPSLKNLSDVLASSSRNHLSSDDVNGLKMITGSLSGRASDFPVPIDIKIGCGTRVVVISGPNTGGKTASMKALGMASLMSKAGMYLPAKNHPKLPWFDLVLADIGDHQSLEQNLSTFSGHISRICNILEVASKESLVLIDEIGSGTDPSEGVALSASILLYLKGRVNLAVVTTHYADLSRLKEKDNQFENAAMEFCLETLQPTYRILWGSTGDSNALSIAKLIGFNQRIIERAQKWVERLMPEKQQERKGLLYRSLIEERGRLEARAKMAASLHSDIMDLYREIQDEAEDLDKRKRALMAKETLQVQKEVKTAKSQMEFVLNEFDNQHKTAGADQLNLLIRKSEAAIASVIKAHCPDDDLLVSETSTASYTPQPGEQVHLKRLGDKLATVVETPGDDGTVLVQYGKIKVRLKKNDIRAVPSIEKNPMTNSAPRLKQQVCNDRTGEAESGEVAYGPVVQTSKNTVDLRGMRVEEASDLLDMVIVARQSQSVLFVIHGMGTGVVKERALEILKNHPRVAKYEQESTMNYGCTVAYIK >ONI12321 pep chromosome:Prunus_persica_NCBIv2:G4:8990778:8992506:-1 gene:PRUPE_4G157100 transcript:ONI12321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGDSLSNNMWQSLTCMLHVAVPNSKYNLTQKGLLSTFYLEEYQVSIMFLKNGFLVDLAYEKIGKVLKLNSISTGNQWKGVDTLIFNTFHWWNHSGRYQTWDYFQVGDKIVKEMDHMEAYKIALTTWAKWVDSNIDFSKTKVFFQGVAAVHLDGKEWKDPKANGCIGQTQPVLGPTYPGPSHPGEAIVKSVLSIIENPVYLLDITLLTQLRKDGHPSIYAGQGPKFVDCSHWCLPGAPDTWNELLYAALL >ONI12320 pep chromosome:Prunus_persica_NCBIv2:G4:8990775:8992149:-1 gene:PRUPE_4G157100 transcript:ONI12320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGDSLSNNMWQSLTCMLHVAVPNSKYNLTQKGLLSTFYLEEYQVSIMFLKNGFLVDLAYEKIGKVLKLNSISTGNQWKGVDTLIFNTFHWWNHSGRYQTWDYFQVGDKIVKEMDHMEAYKIALTTWAKWVDSNIDFSKTKVFFQGVAAVHLDGKEWKDPKANGCIGQTQPVLGPTYPGPSHPGEAIVKSVLSIIENPVYLLDITLLTQLRKDGHPSIYAGQGPKFVDCSHWCLPGAPDTWNELLYAALL >ONI12322 pep chromosome:Prunus_persica_NCBIv2:G4:8990754:8991894:-1 gene:PRUPE_4G157100 transcript:ONI12322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKNGFLVDLAYEKIGKVLKLNSISTGNQWKGVDTLIFNTFHWWNHSGRYQTWDYFQVGDKIVKEMDHMEAYKIALTTWAKWVDSNIDFSKTKVFFQGVAAVHLDGKEWKDPKANGCIGQTQPVLGPTYPGPSHPGEAIVKSVLSIIENPVYLLDITLLTQLRKDGHPSIYAGQGPKFVDCSHWCLPGAPDTWNELLYAALL >ONI12473 pep chromosome:Prunus_persica_NCBIv2:G4:9700759:9701972:1 gene:PRUPE_4G167200 transcript:ONI12473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVSIVAFVVALSCFCSLAYATTKSNLFVEGRVYCDPCRVQFETRLSVPLEGAVVALECRGRENGTLAYTLQGKTDKNGIYSLPTDEDMEEQICEVRTVSSPRANCNEHFEFERARVLLTYNNGVKSMARYANPLGFMQKESVTECEQVLKELFPEETEEV >ONI13485 pep chromosome:Prunus_persica_NCBIv2:G4:14159528:14163149:-1 gene:PRUPE_4G225000 transcript:ONI13485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWTNPNELISTTSSTIPTAFHHHKAVPSFRTCISLSSKRRSALKRRCFGVVSCSFAPMESAKIKVVGVGGGGNNAVNRMIGSGLHGVDFYAINTDAQALLQSAAEYPLQIGELLTRGLGTGGNPLLGEQAAEESKEAISNALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQAFEAIDKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPAANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKTLLTDPKAAKLLDKVAGGQESRGIPLPLKSSTSPPSSSSKPSPRKLFF >ONI13486 pep chromosome:Prunus_persica_NCBIv2:G4:14159682:14163117:-1 gene:PRUPE_4G225000 transcript:ONI13486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWTNPNELISTTSSTIPTAFHHHKAVPSFRTCISLSSKRRSALKRRCFGVVSCSFAPMESAKIKVVGVGGGGNNAVNRMIGSGLHGVDFYAINTDAQALLQSAAEYPLQIGELLTRGLGTGGNPLLGEQAAEESKEAISNALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQAFEAIDKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVHFSFGRSCC >ONI12582 pep chromosome:Prunus_persica_NCBIv2:G4:10254459:10258386:1 gene:PRUPE_4G173200 transcript:ONI12582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDRPHRTKSNSSISGTTSTTTSELFICFTTSRLSSSSMKLSSKSILSPGRAREPSQISLSSSLSRRLRTSGSIKGGQASPMFPSNGGTSKKRGCAFENPEPSSPKVTCIGQVRVKTKKQGKKMRIISRSKRSRGSEASFRKPEQNQQSTNNTASQSQELYNRDNSSNNFQGLHFQSHQINNNNQQECLRHRNQRWVHLPLTICEALRAFGSEFNCLIPNRSSCLASDDNNNKEKEENKGVRSESGGSSCGAVFARWFVALQDGDGKGREIELMVGEDQERTERSTNSSSGHSQRRQVFEGIEFKEERLNESVMEEEEAGGVSICVPPKNALLLMRCRSDPVKMAALANRFWEMPAAPQDEEVEDEEEKEDKGLTEKAQDFVEEQGTDEVLEKVQNGLETEVAEGDGVCEKWVCDGEEHEDLEEVEKLVLEEKEDEKEGLDENPEKRQQLYDEVEEIEEKAECQQEAELEEQEEQELDVTQQALSEECCVLDVVADPEMLEFEENEHECEATEQEQEQREEEKEEEVREVKLPIPSNECVKSEELEEEEKTEAEVADESTEEETETVTQYRPEPVSENPKNQLDSGSKRAVQNSVLPDCLLLMMCEPKLSMEVSKETWVCTTDFIRCLPERHVKKVDAPDEAKKRVNIDSNPAAAPAAQPVIQPPRSSCSFPVQAGPVSMATMIGQKLVGSTAYEPFVLTRCKSEPMRSAGKLPAAETCFWKNRKMEPHRRAAMGVGAAGVGF >ONI12583 pep chromosome:Prunus_persica_NCBIv2:G4:10254459:10258387:1 gene:PRUPE_4G173200 transcript:ONI12583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDRPHRTKSNSSISGTTSTTTSELFICFTTSRLSSSSMKLSSKSILSPGRAREPSQISLSSSLSRRLRTSGSIKGGQASPMFPSNGGTSKKRGCAFENPEPSSPKVTCIGQVRVKTKKQGKKMRIISRSKRSRGSEASFRKPEQNQQSTNNTASQSQELYNRDNSSNNFQGLHFQSHQINNNNQQECLRHRNQRWVHLPLTICEALRAFGSEFNCLIPNRSSCLASDDNNNKEKEENKGVRSESGGSSCGAVFARWFVALQDGDGKGREIELMVGEDQERTERSTNSSSGHSQRRQVFEGIEFKEERLNESVMEEEEAGGVSICVPPKNALLLMRCRSDPVKMAALANRFWEMPAAPQDEEVEDEEEKEDKGLTEKAQDFVEEQGTDEVLEKVQNGLETEVAEGDGVCEKWVCDGEEHEDLEEVEKLVLEEKEDEKEGLDENPEKRQQLYDEVEEIEEKAECQQEAELEEQEEQELDVTQQALSEECCVLDVVADPEMLEFEENEHECEATEQEQEQREEEKEEEVREVKLPIPSNECVKSEELEEEEKTEAEVADESTEEETETVTQYRPEPVSENPKNQLDSGSKRAVQNSVLPDCLLLMMCEPKLSMEVSKETWVCTTDFIRCLPERHVKKVDAPDEAKKRVNIDSNPAAAPAAQPVIQPPRSSCSFPVQAGPVSMATMIGQKLVGSTAYEPFVLTRCKSEPMRSAGKLPAAETCFWKNRKMEPHRRAAMGVGAAGVGF >ONI12584 pep chromosome:Prunus_persica_NCBIv2:G4:10254459:10258386:1 gene:PRUPE_4G173200 transcript:ONI12584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDRPHRTKSNSSISGTTSTTTSELFICFTTSRLSSSSMKLSSKSILSPGRAREPSQISLSSSLSRRLRTSGSIKGGQASPMFPSNGGTSKKRGCAFENPEPSSPKVTCIGQVRVKTKKQGKKMRIISRSKRSRGSEASFRKPEQNQQSTNNTASQSQELYNRDNSSNNFQGLHFQSHQINNNNQQECLRHRNQRWVHLPLTICEALRAFGSEFNCLIPNRSSCLASDDNNNKEKEENKGVRSESGGSSCGAVFARWFVALQDGDGKGREIELMVGEDQERTERSTNSSSGHSQRRQVFEGIEFKEERLNESVMEEEEAGGVSICVPPKNALLLMRCRSDPVKMAALANRFWEMPAAPQDEEVEDEEEKEDKGLTEKAQDFVEEQGTDEVLEKVQNGLETEVAEGDGVCEKWVCDGEEHEDLEEVEKLVLEEKEDEKEGLDENPEKRQQLYDEVEEIEEKAECQQEAELEEQEEQELDVTQQALSEECCVLDVVADPEMLEFEENEHECEATEQEQEQREEEKEEEVREVKLPIPSNECVKSEELEEEEKTEAEVADESTEEETETVTQYRPEPVSENPKNQLDSGSKRAVQNSVLPDCLLLMMCEPKLSMEVSKETWVCTTDFIRCLPERHVKKVDAPDEAKKRVNIDSNPAAAPAAQPVIQPPRSSCSFPVQAGPVSMATMIGQKLVGSTAYEPFVLTRCKSEPMRSAGKLPAAETCFWKNRKMEPHRRAAMGVGAAGVGF >ONI13433 pep chromosome:Prunus_persica_NCBIv2:G4:13931321:13932767:-1 gene:PRUPE_4G221800 transcript:ONI13433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVTNQEEDKKPTDQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVEFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGAFA >ONI13364 pep chromosome:Prunus_persica_NCBIv2:G4:13671461:13675273:-1 gene:PRUPE_4G217800 transcript:ONI13364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAGMFMVHQTVGSVLCCKCGIPMAPNAANMCVKCLRSEVDITEGLQKHVTIVHCPECDCYLQPPRTWIKAQLESKELLTFCVKRLKNLNKVRLVHAEFIWTEPHSKRIKVKLKVQKEVLNGAILEQSYVVEYVQQEHMCESCSRVQANPDQWVASVQLRQHVSHRRTFFYLEQLILRHGAAASAIKIKQMEQGIDFFFANRSHGVKFVEFVGKVVPVRSRSDKQLVSHDSKSNNYNYKHTFSVEISPICREDLICLPPKVRSSLGNLGPLVICTKVTNSIALFDPFTLRHCFLDTDQYWRYSFKSLHTSRELVEYIVLDIEIISPEVNVGGSRFALADAQVARVSDFGKNDTIFNIKTHLGHLLNPGDYALGYDLYGANSNDDELEKYKGLVIPDAILIKKSYEEKRQKKHAKARPWKLKSLGMEVDDKAKLDQEKVDSEYEQFLKDLEENPEMRLNISLYHNEEYQPSERASMTDGEDAPSVPLEELLADLELRDDEDEDDSSMRE >ONI13365 pep chromosome:Prunus_persica_NCBIv2:G4:13671513:13674647:-1 gene:PRUPE_4G217800 transcript:ONI13365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAGMFMVHQTVGSVLCCKCGIPMAPNAANMCVKCLRSEVDITEGLQKHVTIVHCPECDCYLQPPRTWIKAQLESKELLTFCVKRLKNLNKVRLVHAEFIWTEPHSKRIKVKLKVQKEVLNGAILEQSYVVEYVQQEHMCESCSRVQANPDQWVASVQLRQHVSHRRTFFYLEQLILRHGAAASAIKIKQMEQGIDFFFANRSHGVKFVEFVGKVVPVRSRSDKQLVSHDSKSNNYNYKHTFSVEISPICREDLICLPPKVRSSLGNLGPLVICTKVTNSIALFDPFTLRHCFLDTDQYWRYSFKSLHTSRELVEYIVLDIEIISPEVNVGGSRFALADAQVARVSDFGKNDTIFNIKTHLGHLLNPGDYALGYDLYGANSNDDELEKYKGLVIPDAILIKKSYEEKRQKKHAKARPWKLKSLGMEVDDKAKLDQEKVDSEYEQFLKDLEENPEMRLNISLYHNEEYQPSERASMTDGEDAPSVPLEELLADLELRDDEDEDDSSMRE >ONI12055 pep chromosome:Prunus_persica_NCBIv2:G4:8011448:8012317:-1 gene:PRUPE_4G141400 transcript:ONI12055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHHTSNDRHHVHMNSTEWATLTEFVKHLGRTVIDKYVGEIEMLESKHVLRVDQAELETVIPQIGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKGVYDGRLLKAVEYEDICKLA >ONI12056 pep chromosome:Prunus_persica_NCBIv2:G4:8009779:8012317:-1 gene:PRUPE_4G141400 transcript:ONI12056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHHTSNDRHHVHMNSTEWATLTEFVKHLGRTVIDKYVGEIEMLESKHVLRVDQAELETVIPQIGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKGVYDGRLLKAVEYEDICKLA >ONI12054 pep chromosome:Prunus_persica_NCBIv2:G4:8009760:8012317:-1 gene:PRUPE_4G141400 transcript:ONI12054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHHTSNDRHHVHMNSTEWATLTEFVKHLGRTVIDKYVGEIEMLESKHVLRVDQAELETVIPQIGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKDSFIIADIV >ONI12057 pep chromosome:Prunus_persica_NCBIv2:G4:8009782:8012317:-1 gene:PRUPE_4G141400 transcript:ONI12057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHHTSNDRHHVHMNSTEWATLTEFVKHLGRTVIDKYVGEIEMLESKHVLRVDQAELETVIPQIGCLVKIVNGAYRGSNAKLLAVDTDKFCAKVQIEKGVYDGRLLKAVEYEDICKLA >ONI12692 pep chromosome:Prunus_persica_NCBIv2:G4:10625034:10628440:1 gene:PRUPE_4G179300 transcript:ONI12692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPPDLKNWLPRYQYESPALNSESLLDDFGIDEVEREEKEENSVGFRGNGNKDGAFVHEKQISNGVVESGSSSGNEHENQYLSKIPDSSESTSFTSEPTDIRNWFSSYVYESPSLDTTDDFGDSVGKESKHEKDGFLVGNRNREKEKVLGDFSINRSDSEEVVGETVQSDGLANCSISLRDNEQERQPLSETPGPLESPSLLSEPIDIRNWFSSYVYESPLVETNDCFGDFVCKENRSGKDRFLVENRNRGKEEVLEDFSKKRTDGEEVVELQSEGLAKCVSPGRDNKQETKPVSEGHPSRVDENLPSQNDLYLNHMPKSLENHLMGSAQDVEIGSQSTKIKPSNIERSSCCNNEKSPQYMTHNKDFTLQDNSEAKSHAEVDCLLIQGDADLIPVNGASKRKPTHGGVNGKEISEDGFIRTRKDKLPRKSYENIMEGRQEISKVTAPLAGGRDAVVKRKPLADATNFHHSPAMEITGKWKCPQKSKPNLGPSLKQLRLEKWVRKL >ONI12694 pep chromosome:Prunus_persica_NCBIv2:G4:10625034:10628440:1 gene:PRUPE_4G179300 transcript:ONI12694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPPDLKNWLPRYQYESPALNSESLLDDFGIDEVEREEKEENSVGFRGNGNKDGAFVHEKQISNGVVESGSSSGNEHENQYLSKIPDSSESTSFTSEPTDIRNWFSSYVYESPSLDTTDDFGDSVGKESKHEKDGFLVGNRNREKEKVLGDFSINRSDSEEVVGETVQSDGLANCSISLRDNEQERQPLSETPGPLESPSLLSEPIDIRNWFSSYVYESPLVETNDCFGDFVCKENRSGKDRFLVENRNRGKEEVLEDFSKKRTDGEEVVELQSEGLAKCVSPGRDNKQETKPGHPSRVDENLPSQNDLYLNHMPKSLENHLMGSAQDVEIGSQSTKIKPSNIERSSCCNNEKSPQYMTHNKDFTLQDNSEAKSHAEVDCLLIQGDADLIPVNGASKRKPTHGGVNGKEISEDGFIRTRKDKLPRKSYENIMEGRQEISKVTAPLAGGRDAVVKRKPLADATNFHHSPAMEITGKWKCPQKSKPNLGPSLKQLRLEKWVRKL >ONI12693 pep chromosome:Prunus_persica_NCBIv2:G4:10625034:10628440:1 gene:PRUPE_4G179300 transcript:ONI12693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPPDLKNWLPRYQYESPALNSESLLDDFGIDEVEREEKEENSVGFRGNGNKDGAFVHEKQISNGVVESGSSSGNEHENQYLSKIPDSSESTSFTSEPTDIRNWFSSYVYESPSLDTTDDFGDSVGKESKHEKDGFLVGNRNREKEKVLGDFSINRSDSEEVVGETVQSDGLANCSISLRDNEQERQPLSETPGPLESPSLLSEPIDIRNWFSSYVYESPLVETNDCFGDFVCKENRSGKDRFLVENRNRGKEEVLEDFSKKRTDGEEVVELQSEGLAKCVSPGRDNKQETKPVSEGHPSRVDENLPSQNDLYLNHMPKSLENHLMGSAQDVEIGSQSTKIKPSNIERSSCCNNEKSPQYMTHNKDFTLQDNSEAKSHAEVDCLLIQGDADLIPVNGASKRKPTHGGVNGKEISEDGFIRTRKDKLPRKSYENIMEGRQEISKVTAPLAGGRDAVVKRKPLADATNFHHSPAMEITGKWKCPQKSKPNLGPSLKQLRLEKWVRKL >ONI14078 pep chromosome:Prunus_persica_NCBIv2:G4:18878283:18883343:1 gene:PRUPE_4G260900 transcript:ONI14078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNQADGYESKFINKIVQVIGEKLRRKPVSVPHIMIGMHSQLNKLNLWLQDGSDDVGILVIYGMSGIGKTTIAKFVYNSNYGRFEGRSFLENIKEVSQQPNGLVQLQTQLLSNILNGRNMKISSVSEGLTEIEDAISSKKVLLVLDDVDHMDQLDAVFQMKDQFYPGSKIIITTRHARLLRAHQVTKVHEVGTLNSKESLELFSWHAFGQDHPIEGYIEYSQKIVGHCGGLPLALKVLGSSMYLGDSIDVWKSALEKLEAIPNGEIVNKLRVSYDSLQDDDDRNLFLHIACFFIGKDKDYIVNILDGCDFHTIVGIQNLIDRCLVSIDGKVVQMHDMILGMGRQIVRLESEKPWKRSRVWQHKDAFNILTEKNGTDSIEGLVLDMHMLPRNSHINSTEIVLETNAFARMHELKLLHLSHVQLDGSYAEFCTRLRWLCWNKFPLDSIPTDFPLGILVVLEMQYSGLRQVFKGTKRLSSLKILDLSHSHSLTEITDFSFCPNLEKLILVDCERLVDVNESIGNLERLVYLSMKDCKNIRMLPENMFMLKSLETLIISGCSNLNELSVEMLRNMEFLKVLEMDEIPITQLWGGRSSCILSSLPCSLVNLSVWGCNLSDDDFPRDFSNLSSLRRLNVGNNPISVLPNCIQGLTRLDKLSFPMCERLKSLVGLPEVDDLDIQHCISLEKITYQYFPRFNGYFRHHIWPLTLPNENRNLVEWEDSYKVEPIGRVDVDMINLLGLCNLESMAPIRIRKLFCTAIDRSPVQGLYERGIFSTFFAGNEVPGRFSHKSRRSSISFTMTSHIIQALVIFVAYAGTVSDHPQNSTDGFYGFGGNIMARVRNKSKGQKWYYGPSHYGIPGEGEDMIWLSHWKFQNDQLEGGDRVVVSVVTQPCFRVKELGIQIVQEQEENHNPMMSTQHKTIPLLANYSTHDENLADELFNDEDSDEDTTDKEEEQDDHAIAATTGSNKSGGLRGWKVLITAACFFLTLSLVTRSSLSRRKKRQSTSRG >ONI11305 pep chromosome:Prunus_persica_NCBIv2:G4:5131561:5140113:-1 gene:PRUPE_4G100600 transcript:ONI11305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHHSILYSELIAKDFSFASVRRRLAKEPFDRANSRQNQTRTRVSMEKGGLDSAGREFKNAKEMWREQVGEDQSKKTEWYRQGVGYWEGVEASANGVLGGYGHVNEPDIMGSEAFLNTLLSERFPDAANGQRHLVVLDCGSGIGRVTKNLLIKYFNEVDLLEPVSHFLETARESLAPENHMASDMHKATNFLCVPLQEFTPEAGRYDIIWVQWCIGHLTDDDFVSFFKRAKVGLKPGGLFVLKENIARTGFVLDTEDRSITRSDLYFKELFCQCGLHIYKSKDQKGLPEELFAVKMYALTTDLPKVHRTKSKVQANRPGVIK >ONI11481 pep chromosome:Prunus_persica_NCBIv2:G4:5655537:5658457:1 gene:PRUPE_4G108200 transcript:ONI11481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPPYCKHFRVFGCHLNSFACKCVVLAFIALILRAVLLPTFHNFGGAEQSKLVFISNLSLSLGQKFGIRKDKFLEVPQIVWGLNNQKIAFARACLTARFLNRTLLMPSLSASLFYKEVELLEPISFDKVFQFKKFNSLCNGFVQLGEFSDLRNRTEAFELQKGSGRRWTPERDLYQLKQHNEDPYNEFEVIRIIGKNPFLWHDHWPVKDYAKVFECLVLVDEIMNEVDKVVSRIREIGAAQVRSQNESPQNGINRAEKPLLQPVPYVAVHMRIEIDWMIHCKKLEQRSNISQICSSKEEIMERVGNIAGLKTPVVVYLAVADSLLHDPSILSGWKEGLIPYEKKKLGFEGTYKKFPYLIQSAIDYEVCSRADVFVGNSFSTFSNLIVLDRTQKLIRMGVTSSCGVDVRWPSYAYNILGEAKGPQRWMTNMSGSSLQAISYGSNDISCCVDL >ONI11480 pep chromosome:Prunus_persica_NCBIv2:G4:5655537:5659019:1 gene:PRUPE_4G108200 transcript:ONI11480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPPYCKHFRVFGCHLNSFACKCVVLAFIALILRAVLLPTFHNFGGAEQSKLVFISNLSLSLGQKFGIRKDKFLEVPQIVWGLNNQKIAFARACLTARFLNRTLLMPSLSASLFYKEVELLEPISFDKVFQFKKFNSLCNGFVQLGEFSDLRNRTEAFELQKGSGRRWTPERDLYQLKQHNEDPYNEFEVIRIIGKNPFLWHDHWPVKDYAKVFECLVLVDEIMNEVDKVVSRIREIGAAQVRSQNESPQNGINRAEKPLLQPVPYVAVHMRIEIDWMIHCKKLEQRSNISQICSSKEEIMERVGNIAGLKTPVVVYLAVADSLLHDPSILSGWKEGLIPYEKKKLGFEGTYKKFPYLIQSAIDYEVCSRADVFVGNSFSTFSNLIVLDRTQKLIRMGVTSSCGVDVRWPSYAYNILGEAKGPQRWMTNMSGSSLQAISYGSNDISCCVDL >ONI11478 pep chromosome:Prunus_persica_NCBIv2:G4:5655778:5658861:1 gene:PRUPE_4G108200 transcript:ONI11478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPPYCKHFRVFGCHLNSFACKCVVLAFIALILRAVLLPTFHNFGGAEQSKLVFISNLSLSLGQKFGIRKDKFLEVPQIVWGLNNQKIAFARACLTARFLNRTLLMPSLSASLFYKEVELLEPISFDKVFQFKKFNSLCNGFVQLGEFSDLRNRTEAFELQKGSGRRWTPERDLYQLKQHNEDPYNEFEVIRIIGKNPFLWHDHWPVKDYAKVFECLVLVDEIMNEVDKVVSRIREIGAAQVRSQNESPQNGINRAEKPLLQPVPYVAVHMRIEIDWMIHCKKLEQRSNISQICSSKEEIMERVGNIAGLKTPVVVYLAVADSLLHDPSILSGWKEGLIPYEKKKLGFEGTYKKFPYLIQSAIDYEVCSRADVFVGNSFSTFSNLIVLDRTQKLIRMGVTSSCGVDVRWPSYAYNILGEAKGPQRWMTNMSGSSLQAISYGSNDISCCVDL >ONI11479 pep chromosome:Prunus_persica_NCBIv2:G4:5655537:5658312:1 gene:PRUPE_4G108200 transcript:ONI11479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPPYCKHFRVFGCHLNSFACKCVVLAFIALILRAVLLPTFHNFGGAEQSKLVFISNLSLSLGQKFGIRKDKFLEVPQIVWGLNNQKIAFARACLTARFLNRTLLMPSLSASLFYKEVELLEPISFDKVFQFKKFNSLCNGFVQLGEFSDLRNRTEAFELQKGSGRRWTPERDLYQLKQHNEDPYNEFEVIRIIGKNPFLWHDHWPVKDYAKVFECLVLVDEIMNEVDKVVSRIREIGAAQVRSQNESPQNGINRAEKPLLQPVPYVAVHMRIEIDWMIHCKKLEQRSNISQICSSKEEIMERVGNIAGLKTPVVVYLAVADSLLHDPSILSGWKEGLIPYEKKKLGFEGTYKKFPYLIQSAIDYEVCSRADVFVGNSFSTFSNLIVLDRTQKLIRMGVTSSCGVDVRWPSYAYNILGEAKGPQRWMTNMSGSSLQAISYGSNDISCCVDL >ONI13970 pep chromosome:Prunus_persica_NCBIv2:G4:17760193:17761859:1 gene:PRUPE_4G255300 transcript:ONI13970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSNNVENIFLPAHDPNELRNRQNELEKKEARIQELKKQIELTKLHLEEMKKKSGAKEKMEAFNQLVEAYNRMREEYNAWLAERSRDSNK >ONI11047 pep chromosome:Prunus_persica_NCBIv2:G4:4139512:4139766:-1 gene:PRUPE_4G084600 transcript:ONI11047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTWCVPKPGTPDSALQSIINFTRGILKECSEIQEHGSCYFPNTLINHASFAMNLSYKTDGCYNCDFNCVGLIVVANPSKPFCLI >ONI13719 pep chromosome:Prunus_persica_NCBIv2:G4:15852689:15855037:-1 gene:PRUPE_4G241000 transcript:ONI13719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPLPRPLLLCFLILLCLTIPALAHSGHPDDENNNEPATDDIEPGPDLRSKPLILAKIWCLIIIFVATFIPGVSPYFFKWSEWFLVLGTQFAGGVFLGTALMHFLSDADETFKDLTEKEYPFAFMLACGGFLLTMLADCVISYVFEKNKGVGSAPDLELRGSAEQGKGGQNGNESHNHFANVAVANVSSLGDSILLIVALCFHSVFEGIAIGVADTKRDAWKALWTITLHKVFAAIAMGIALLRMMPNRPFLSCAAYAFAFAISSPIGVAIGILIDATTQGAVADWIFAISMGIACGVFIYVAVNHLLAKGYKPEKAVSIDKPHYKFLAVLLGVGVIAVVMIWDT >ONI09983 pep chromosome:Prunus_persica_NCBIv2:G4:1052476:1056841:1 gene:PRUPE_4G022100 transcript:ONI09983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDQDQLRQPILDSEPDSAAAEASGHGDGLESLLEKVLSDTQLSSFKRLRLASWIELKLLFRLAAPAVLVYIVNYLMSLSTRVFAGHLGNLELAAASLGNSGVQLFAYGLMLGMGSAVETLCGQAYGAEKYDMLGIYLQRATIVLTLTGLPLLLIFLLSKPILILFGETPKLAAAAAVYVYGLIPQIFAYAINFPMQKFLQAQRIVAPSAYISAATLGVHLVLSWVAVYRLGMGLIGASLVLSLSWWIIVGAQFIYILKSSRCKETWTGFSLEAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLENPELALNSLSICMGISGLLLMVSVGFNAAASVRVSNELGAGNPKSAAFSVLVVTIVSLLIAIVEAVVVLSLRHVISYAFTDGETVANAVSHLTPYLAVTLIINGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCLLGFKFDFGAEGIWTGMIGGTLMQTIILLWVTFRTDWNKEVGTAINRLEKWDDRKEAASSSSSSSKKLMCSNLDQ >ONI09984 pep chromosome:Prunus_persica_NCBIv2:G4:1052497:1056839:1 gene:PRUPE_4G022100 transcript:ONI09984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDQDQLRQPILDSEPDSAAAEASGHGDGLESLLEKVLSDTQLSSFKRLRLASWIELKLLFRLAAPAVLVYIVNYLMSLSTRVFAGHLGNLELAAASLGNSGVQLFAYGLMLGMGSAVETLCGQAYGAEKYDMLGIYLQRATIVLTLTGLPLLLIFLLSKPILILFGETPKLAAAAAVYVYGLIPQIFAYAINFPMQKFLQAQRIVAPSAYISAATLGVHLVLSWVAVYRLGMGLIGASLVLSLSWWIIVGAQFIYILKSSRCKETWTGFSLEAFSGLWDFLKLSAASAVMLCLETWYFQVLVLIAGLLENPELALNSLSICMGISGLLLMVSVGFNAAASVRVSNELGAGNPKSAAFSVLVVTIVSLLIAIVEAVVVLSLRHVISYAFTDGETVANAVSHLTPYLAVTLIINGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCLLGFKFDFGAEGIWTGMIGGTLMQTIILLWVTFRTDWNKEVGTAINRLEKWDDRKEAASSSSSSSKKLMCSNLDQ >ONI11582 pep chromosome:Prunus_persica_NCBIv2:G4:6119545:6128145:-1 gene:PRUPE_4G114600 transcript:ONI11582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMCHIYCFVAWLFIQVKLDHMDRNLGKGTMDQQKNYEQVRYSTVETRNEGHGSANQRFFPDPSSNINTNMRPPDYNVAVGARPVLNYSIQTGEEFALEFMRERVNPRQHLVPHASGDPNSSPNFMDLKGILGISHTGSESGSDISLLNSVEKSRGQEFERKASYAHEDKSYYDSVRLPQTSSRNDINRGLSHVSSGLSDSSVRKLKFLCSFGGKILPRPSDGRLRYVGGETRIIRVNRDIFWQDLMQKMLTIYEQTRAIKYQLPGEDLDALVSVSCDEDLQNMMEECTVLQDGGSQKPRMFLFSSLDLEDSQFGVESIDGDPEIQYVVAVNGMDLGSRKNSIALASSSGNNLEELLSLNVARESTRAVPDTAGASTAPSAANVPSSTNQSSQSVLPGSSGAYESNSHPYQGQKMHSGEARQHPLTTFHAVESFPGKDGQTTVPSSAPLQYDFGSHPSHYATPGGNIDSMAIYGQSTQQGGLIEEQLYGGIHGQDSELPRKEVKLKRDSSAQKINEPEKIQSLEKEAPLKEARMKRESSLHKINESDKLRNLENENAVSLPPYDGSIPNYISRDEVSVANSAAETGSSLMATRSNKKLQEPRQNPITSEDVNDGKRNNEDDQFHTSSGPSNPGYGGSEVDSRYGGSEVDSMDFSYLEPPVAPQRVYHSERIPREQAELNRLSKSGDSFGSQFMIGQARSDHSQPIADSVDKLRDENVPLQSEQSGLPSKLLHVEDGLAQFEKYKEFAENINKMNSDAYPEGLEPKVQTPDLRHVAVNSVDGHEMGRLKDNYKDPTINDKEVAARTQLTAGQENSGKLKDSASVPSEFEWTEVAANKDQGNNAEGHAHPLSWTENPAKGVAHVQSTAGVGNPEQGDILIDINDRFPRDFLSDIFSKARISGDLSGMSPLPGDGTGLSLNMENHEPKHWSYFRNLAQNEFVRKDVSLMDQDHLGFPSPLTNLREGVAVDYSYPPLKPDGVVFGHTDSHINFDEDIRQESSGIASPNTMNLASEYNPSPPKGIESEQLDGVNHGIRESEYEDGELNTQNTGSLVDLSRGEFDISTLQIIENEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREAEILSKLHHPNVVAFYGVVQNGPGGTLATVTEFMVNGSLRHVLLSKERHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPGYCDSEWKLLMEQCWAADPIARPSFTEITRRLRVMSAACRTKPQVQGQSQVPK >ONI11583 pep chromosome:Prunus_persica_NCBIv2:G4:6119545:6126729:-1 gene:PRUPE_4G114600 transcript:ONI11583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMCHIYCFVAWLFIQVKLDHMDRNLGKGTMDQQKNYEQVRYSTVETRNEGHGSANQRFFPDPSSNINTNMRPPDYNVAVGARPVLNYSIQTGEEFALEFMRERVNPRQHLVPHASGDPNSSPNFMDLKGILGISHTGSESGSDISLLNSVEKSRGQEFERKASYAHEDKSYYDSVRLPQTSSRNDINRGLSHVSSGLSDSSVRKLKFLCSFGGKILPRPSDGRLRYVGGETRIIRVNRDIFWQDLMQKMLTIYEQTRAIKYQLPGEDLDALVSVSCDEDLQNMMEECTVLQDGGSQKPRMFLFSSLDLEDSQFGVESIDGDPEIQYVVAVNGMDLGSRKNSIALASSSGNNLEELLSLNVARESTRAVPDTAGASTAPSAANVPSSTNQSSQSVLPGSSGAYESNSHPYQGQKMHSGEARQHPLTTFHAVESFPGKDGQTTVPSSAPLQYDFGSHPSHYATPGGNIDSMAIYGQSTQQGGLIEEQLYGGIHGQDSELPRKEVKLKRDSSAQKINEPEKIQSLEKEAPLKEARMKRESSLHKINESDKLRNLENENAVSLPPYDGSIPNYISRDEVSVANSAAETGSSLMATRSNKKLQEPRQNPITSEDVNDGKRNNEDDQFHTSSGPSNPGYGGSEVDSRYGGSEVDSMDFSYLEPPVAPQRVYHSERIPREQAELNRLSKSGDSFGSQFMIGQARSDHSQPIADSVDKLRDENVPLQSEQSGLPSKLLHVEDGLAQFEKYKEFAENINKMNSDAYPEGLEPKVQTPDLRHVAVNSVDGHEMGRLKDNYKDPTINDKEVAARTQLTAGQENSGKLKDSASVPSEFEWTEVAANKDQGNNAEGHAHPLSWTENPAKGVAHVQSTAGVGNPEQGDILIDINDRFPRDFLSDIFSKARISGDLSGMSPLPGDGTGLSLNMENHEPKHWSYFRNLAQNEFVRKDVSLMDQDHLGFPSPLTNLREGVAVDYSYPPLKPDGVVFGHTDSHINFDEDIRQESSGIASPNTMNLASEYNPSPPKGIESEQLDGVNHGIRESEYEDGELNTQNTGSLVDLSRGEFDISTLQIIENEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREAEILSKLHHPNVVAFYGVVQNGPGGTLATVTEFMVNGSLRHVLLSKERHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVFILACQKSKETP >ONI12877 pep chromosome:Prunus_persica_NCBIv2:G4:11242310:11245089:1 gene:PRUPE_4G189000 transcript:ONI12877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVQISINSLAFRLSSLHNDIYKKPIKYYSLSRTKIGCLKQNRFKVRAYWERWSSLGGGSVKDEMLGRDEGWKKKKKREVVVRFNQGFGFNGDGGGGGKDDGATARVLGNLALAIGLTYLSFTGQLGWILDAIVSIWLLAVLVPIVGVGAFLWWARQDMVQDSCPNCGNDFQIFKSALNDDLQQCPFCTQPFSVVDNKFVMDSVKYSNQSTTFGQAFNDYTRSTRGKESSKAVVDIEAEVKDAD >ONI12950 pep chromosome:Prunus_persica_NCBIv2:G4:11651393:11655030:1 gene:PRUPE_4G193600 transcript:ONI12950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLPFIFTSLLQFLVLQLATAADTISPSKPIIGSDTLVSSGQSFKSGLFSAGNSSWYLGIWYNNFPDVVVWVANRENPLADSYGSLTLSKNGSLVLLDQMNNSVWSSTSSQVAEDPVAELLETGNLVVRDKAATASEIYIWESFDFPSDTLLQDMKLGWNFRTGHNRFLTSWKNDSDPSPGQYTYGMDNVPLPQLVIAKGAKKQFRTGPWNGLRLTGTPGSSVSYEVVHPIFVYNTTDLYYSYEAVDKSVVTRLKLSESGIQRLVVNKGSTTWAVMYTLQYNQCDNYGVCGANSICKINKSPVCECLHGFVPESWNEWGVLNWTSGCRRGTPLNCQKGEDFLRIRNVKLPDQLDFRVILSTSIDECKAECLRNCSCVAYTKSDIGKGDSGCLMWSGALIDMREFDEDDREQDIYIRMPFSELGKSSRKGKRVVLISVLSAVSLLIVLGFSCWYIILKKRRKKRASRDSKEDLELPLFDFDTIATATNNFSHTNKLGEGGFGPVYKANLTREEFIAVKRLSKDSGQGIEEFKNEVTMIAKLQHWNLVKLLGCCIQGEERMLIYEYMPNKSLDCFIFDQSKKALLDWRKRFDIIMGIARGLLYLHEDSRLRIIHRDLKSSNILLDDELNPKISDFGIARIFGRNQTEAKTKRVIGTYGYMSPEYAIDGKFSMKSDVFSFGVLLLEIVSGRKNRGFHHPDHHHTLLGHAWLLWNKNKAILGKTSNVIRGVHVRQRGSNIASTKGAWFLHRKKFHGYG >ONI12949 pep chromosome:Prunus_persica_NCBIv2:G4:11651311:11655031:1 gene:PRUPE_4G193600 transcript:ONI12949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLPFIFTSLLQFLVLQLATAADTISPSKPIIGSDTLVSSGQSFKSGLFSAGNSSWYLGIWYNNFPDVVVWVANRENPLADSYGSLTLSKNGSLVLLDQMNNSVWSSTSSQVAEDPVAELLETGNLVVRDKAATASEIYIWESFDFPSDTLLQDMKLGWNFRTGHNRFLTSWKNDSDPSPGQYTYGMDNVPLPQLVIAKGAKKQFRTGPWNGLRLTGTPGSSVSYEVVHPIFVYNTTDLYYSYEAVDKSVVTRLKLSESGIQRLVVNKGSTTWAVMYTLQYNQCDNYGVCGANSICKINKSPVCECLHGFVPESWNEWGVLNWTSGCRRGTPLNCQKGEDFLRIRNVKLPDQLDFRVILSTSIDECKAECLRNCSCVAYTKSDIGKGDSGCLMWSGALIDMREFDEDDREQDIYIRMPFSELGKSSRKGKRVVLISVLSAVSLLIVLGFSCWYIILKKRRKKRASRDSKEDLELPLFDFDTIATATNNFSHTNKLGEGGFGPVYKANLTREEFIAVKRLSKDSGQGIEEFKNEVTMIAKLQHWNLVKLLGCCIQGEERMLIYEYMPNKSLDCFIFDQSKKALLDWRKRFDIIMGIARGLLYLHEDSRLRIIHRDLKSSNILLDDELNPKISDFGIARIFGRNQTEAKTKRVIGTYGYMSPEYAIDGKFSMKSDVFSFGVLLLEIVSGRKNRGFHHPDHHHTLLGHAWLLWNKNKGLELMDSCLDNSYVKFDVLRCIQIGLLCVQKLSLERPAMSSVVFMLGNEGAILPQPKEPGFFTERSSTGTDNLISNGTITISTVVAR >ONI12951 pep chromosome:Prunus_persica_NCBIv2:G4:11651312:11655030:1 gene:PRUPE_4G193600 transcript:ONI12951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSINRLKLSESGIQRLVVNKGSTTWAVMYTLQYNQCDNYGVCGANSICKINKSPVCECLHGFVPESWNEWGVLNWTSGCRRGTPLNCQKGEDFLRIRNVKLPDQLDFRVILSTSIDECKAECLRNCSCVAYTKSDIGKGDSGCLMWSGALIDMREFDEDDREQDIYIRMPFSELGKSSRKGKRVVLISVLSAVSLLIVLGFSCWYIILKKRRKKRASRDSKEDLELPLFDFDTIATATNNFSHTNKLGEGGFGPVYKANLTREEFIAVKRLSKDSGQGIEEFKNEVTMIAKLQHWNLVKLLGCCIQGEERMLIYEYMPNKSLDCFIFDQSKKALLDWRKRFDIIMGIARGLLYLHEDSRLRIIHRDLKSSNILLDDELNPKISDFGIARIFGRNQTEAKTKRVIGTYGYMSPEYAIDGKFSMKSDVFSFGVLLLEIVSGRKNRGFHHPDHHHTLLGHAWLLWNKNKGLELMDSCLDNSYVKFDVLRCIQIGLLCVQKLSLERPAMSSVVFMLGNEGAILPQPKEPGFFTERSSTGTDNLISNGTITISTVVAR >ONI12077 pep chromosome:Prunus_persica_NCBIv2:G4:8206712:8207236:-1 gene:PRUPE_4G143200 transcript:ONI12077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNMFSMLAILLLASPSRMIFTSFAIPTLEESNLKTLDECKKHISNNCAREVGGSIFEGGIVTDGCCYELVSVGKTCHDLFFNSVLTSKPIVDKSRALAESTQVWDKCVEIIVSPAPSIAIPTSKALNSETLVECKHISINCAWQVGGNIFEGGTVTSDCCDDLLFVGKNMP >ONI10006 pep chromosome:Prunus_persica_NCBIv2:G4:1074995:1077161:1 gene:PRUPE_4G022800 transcript:ONI10006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRNTRLRKYLYRNSLEGKERLIYEKKRKIREALQEGKPIPTELRNEEAVLLPRTHIDDEYAKAAKRDPKILITTSWNPSAPLVQFAKELNLVFPNAQQMNRGGQVTSEIIETCCAHDFTDVVLVHENRGVPDGLFIIPFPLIQQQFLNCSMC >ONI10627 pep chromosome:Prunus_persica_NCBIv2:G4:2770791:2775957:-1 gene:PRUPE_4G058000 transcript:ONI10627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKIYLFGDSITEESFGDGGWGASLAHHFSRTVDVVLRGYSGYNTRWALQVLDRVFPSSENREDAPLAVTVFFGANDACLPDRCSAFQHVPVNEYKHNLHSIVSFLKRWPMTRILLITPPPIDEDGRLRHPYIDNPSNLPERTNKAAGAYSEACVAVARESGNPVIDLWTKMQQFPDWEKAHLRDGLHLTLRGNMVVIEEVVLKLKNEGLSLESLPVDLPLINNIDPKDPLKAFQN >ONI10628 pep chromosome:Prunus_persica_NCBIv2:G4:2771041:2775754:-1 gene:PRUPE_4G058000 transcript:ONI10628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKIYLFGDSITEESFGDGGWGASLAHHFSRTVDVVLRGYSGYNTRWALQVLDRVFPSSENREDAPLAVTVFFGANDACLPDRCSAFQHVPVNEYKHNLHSIVSFLKKRWPMTRILLITPPPIDEDGRLRHPYIDNPSNLPERTNKAAGAYSEACVAVARESGNPVIDLWTKMQQFPDWEKAHLRDGLHLTLRGNMVVIEEVVLKLKNEGLSLESLPVDLPLINNIDPKDPLKAFQN >ONI10038 pep chromosome:Prunus_persica_NCBIv2:G4:1147769:1156819:-1 gene:PRUPE_4G024400 transcript:ONI10038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSALHAVLVVEMCFSILIESCNHISLKGSSASSSPFPSNFLFGTASSSYQFEGAFLTDGKGLNNWDVFTHKPGHISDGTNGDIAVDQYHLYLEDLDLMSYIGVDSYRFSIAWARVLPKGRFGKVNRAGIDHYNKFIDALLSRGIQPFVTLSHYDIPQELEDRYGAWLSPKVQEDFKYYANTCFKLFGDRVKYWVTFNEPNVVVIRGYRSGIYPPSRCSSPFGNCTSGNSEKEPFIAAHNIILSHAAAVNIYRTQYQKKQGGSIGMIMNAVWYEPISNSLEDKLAAERAQSFYLNWFLDPIVHGKYPAEMREILGADLPIFSESDVEMLRKNRLDFIGINHYTSFYIKDCIFSECEPGPGASRTEGFALRTAEKDGVFLGEPTSVDWLYVYPQGMDKIVTYVKDRYNNTPIFITENGFGETEISNSTNEELLNDAKRVEYMRSYLHALAEAMRYALNCPRQMGRLAFSA >ONI10040 pep chromosome:Prunus_persica_NCBIv2:G4:1151793:1156819:-1 gene:PRUPE_4G024400 transcript:ONI10040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACQLVGPMPATDVASKSIVMENLSALHAVLVVEMCFSILIESCNHISLKGSSASSSPFPSNFLFGTASSSYQFEGAFLTDGKGLNNWDVFTHKPGHISDGTNGDIAVDQYHLYLEDLDLMSYIGVDSYRFSIAWARVLPKGRFGKVNRAGIDHYNKFIDALLSRGIQPFVTLSHYDIPQELEDRYGAWLSPKVQEDFKYYANTCFKLFGDRVKYWVTFNEPNVVVIRGYRSGIYPPSRCSSPFGNCTSGNSEKEPFIAAHNIILSHAAAVNIYRTQYQKKQGGSIGMIMNAVWYEPISNSLEDKLAAERAQSFYLNWFLDPIVHGKYPAEMREILGADLPIFSESDVEMLRKNRLDFIGINHYTSFYIKDCIFSECEPGPGASRTEGFALRTAEKDGVFLGEPTTVDWLYVYPQGMDKIVTYVKDRYNNTPIFITENGFGETEVSNFTNEELLNDVKRVKYMRSYLHALAEAMRKGADVRGYFVWSLLDNFEWTSGYTVRFGLHYVDYATLKRTQRLSVDWYKQFISNHTVQILSATA >ONI10037 pep chromosome:Prunus_persica_NCBIv2:G4:1147419:1156537:-1 gene:PRUPE_4G024400 transcript:ONI10037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSALHAVLVVEMCFSILIESCNHISLKGSSASSSPFPSNFLFGTASSSYQFEGAFLTDGKGLNNWDVFTHKPGHISDGTNGDIAVDQYHLYLEDLDLMSYIGVDSYRFSIAWARVLPKGRFGKVNRAGIDHYNKFIDALLSRGIQPFVTLSHYDIPQELEDRYGAWLSPKVQEDFKYYANTCFKLFGDRVKYWVTFNEPNVVVIRGYRSGIYPPSRCSSPFGNCTSGNSEKEPFIAAHNIILSHAAAVNIYRTQYQKKQGGSIGMIMNAVWYEPISNSLEDKLAAERAQSFYLNWFLDPIVHGKYPAEMREILGADLPIFSESDVEMLRKNRLDFIGINHYTSFYIKDCIFSECEPGPGASRTEGFALRTAEKDGVFLGEPTSVDWLYVYPQGMDKIVTYVKDRYNNTPIFITENGFGETEISNSTNEELLNDAKRVEYMRSYLHALAEAMRKGADVRGYFVWSLLDNFEWTSGYTVCFGLHHVDYATLKRTQRLSAAWYKTFISNHTVQILSATA >ONI10042 pep chromosome:Prunus_persica_NCBIv2:G4:1152230:1156537:-1 gene:PRUPE_4G024400 transcript:ONI10042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSALHAVLVVEMCFSILIESCNHISLKGSSASSSPFPSNFLFGTASSSYQFEGAFLTDGKGLNNWDVFTHKPGHISDGTNGDIAVDQYHLYLEDLDLMSYIGVDSYRFSIAWARVLPKGRFGKVNRAGIDHYNKFIDALLSRGIQPFVTLSHYDIPQELEDRYGAWLSPKVQEDFKYYANTCFKLFGDRVKYWVTFNEPNVVVIRGYRSGIYPPSRCSSPFGNCTSGNSEKEPFIAAHNIILSHAAAVNIYRTQYQKKQGGSIGMIMNAVWYEPISNSLEDKLAAERAQSFYLNWFLDPIVHGKYPAEMREILGADLPIFSESDVEMLRKNRLDFIGINHYTSFYIKDCIFSECEPGPGASRTEGFALRTAEKDGVFLGEPTTVDWLYVYPQGMDKIVTYVKDRYNNTPIFITENGFGETEVSNFTNEELLNDVKRVKYMRSYLHALAEAMRKGADVRGYFVWSLLDNFEWTSGYTVRFGLHYVDYATLKRTQRLSVDWYKQFISNHTVQILSATA >ONI10041 pep chromosome:Prunus_persica_NCBIv2:G4:1151830:1156819:-1 gene:PRUPE_4G024400 transcript:ONI10041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSALHAVLVVEMCFSILIESCNHISLKGSSASSSPFPSNFLFGTASSSYQFEGAFLTDGKGLNNWDVFTHKPGHISDGTNGDIAVDQYHLYLEDLDLMSYIGVDSYRFSIAWARVLPRRFGKVNRAGIDHYNKFIDALLSRGIQPFVTLSHYDIPQELEDRYGAWLSPKVQEDFKYYANTCFKLFGDRVKYWVTFNEPNVVVIRGYRSGIYPPSRCSSPFGNCTSGNSEKEPFIAAHNIILSHAAAVNIYRTQYQKKQGGSIGMIMNAVWYEPISNSLEDKLAAERAQSFYLNWFLDPIVHGKYPAEMREILGADLPIFSESDVEMLRKNRLDFIGINHYTSFYIKDCIFSECEPGPGASRTEGFALRTAEKDGVFLGEPTTVDWLYVYPQGMDKIVTYVKDRYNNTPIFITENGFGETEVSNFTNEELLNDVKRVKYMRSYLHALAEAMRKGADVRGYFVWSLLDNFEWTSGYTVRFGLHYVDYATLKRTQRLSVDWYKQFISNHTVQILSATA >ONI10044 pep chromosome:Prunus_persica_NCBIv2:G4:1151840:1156828:-1 gene:PRUPE_4G024400 transcript:ONI10044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSALHAVLVVEMCFSILIESCNHISLKGSSASSSPFPSNFLFGTASSSYQFEGAFLTDGKGLNNWDVFTHKPGHISDGTNGDIAVDQYHLYLEDLDLMSYIGVDSYRFSIAWARVLPKGRFGKVNRAGIDHYNKFIDALLSRGIQPFVTLSHYDIPQELEDRYGAWLSPKVQEDFKYYANTCFKLFGDRVKYWVTFNEPNVVVIRGYRSGIYPPSRCSSPFGNCTSGNSEKEPFIAAHNIILSHAAAVNIYRTQYQKKQGGSIGMIMNAVWYEPISNSLEDKLAAERAQSFYLNWFLDPIVHGKYPAEMREILGADLPIFSESDVEMLRKNRLDFIGINHYTSFYIKDCIFSECEPGPGASRTEGFALRTAEKDGVFLGEPGLVRLRFRISPMKNYSMMSRE >ONI10036 pep chromosome:Prunus_persica_NCBIv2:G4:1146874:1156819:-1 gene:PRUPE_4G024400 transcript:ONI10036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSALHAVLVVEMCFSILIESCNHISLKGSSASSSPFPSNFLFGTASSSYQFEGAFLTDGKGLNNWDVFTHKPGHISDGTNGDIAVDQYHLYLEDLDLMSYIGVDSYRFSIAWARVLPRRFGKVNRAGIDHYNKFIDALLSRGIQPFVTLSHYDIPQELEDRYGAWLSPKVQEDFKYYANTCFKLFGDRVKYWVTFNEPNVVVIRGYRSGIYPPSRCSSPFGNCTSGNSEKEPFIAAHNIILSHAAAVNIYRTQYQKKQGGSIGMIMNAVWYEPISNSLEDKLAAERAQSFYLNWFLDPIVHGKYPAEMREILGADLPIFSESDVEMLRKNRLDFIGINHYTSFYIKDCIFSECEPGPGASRTEGFALRTAEKDGVFLGEPTSVDWLYVYPQGMDKIVTYVKDRYNNTPIFITENGFGETEISNSTNEELLNDAKRVEYMRSYLHALAEAMRKGADVRGYFVWSLLDNFEWTSGYTVCFGLHHVDYATLKRTQRLSAAWYKTFISNHTVQILSATA >ONI10043 pep chromosome:Prunus_persica_NCBIv2:G4:1151792:1156819:-1 gene:PRUPE_4G024400 transcript:ONI10043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACQLVGPMPATDVASKSIVMENLSALHAVLVVEMCFSILIESCNHISLKGSSASSSPFPSNFLFGTASSSYQFEGAFLTDGKGLNNWDVFTHKPGHISDGTNGDIAVDQYHLYLEDLDLMSYIGVDSYRFSIAWARVLPKGRFGKVNRAGIDHYNKFIDALLSRGIQPFVTLSHYDIPQELEDRYGAWLSPKVQEDFKYYANTCFKLFGDRVKYWVTFNEPNVVVIRGYRSGIYPPSRCSSPFGNCTSGNSEKEPFIAAHNIILSHAAAVNIYRTQYQKKQGGSIGMIMNAVWYEPISNSLEDKLAAERAQSFYLNWFLDPIVHGKYPAEMREILGADLPIFSESDVEMLRKNRLDFIGINHYTSFYIKDCIFSECEPGPGASRTEGFALRTAEKDGVFLGEPGLVRLRFRISPMKNYSMMSRE >ONI11865 pep chromosome:Prunus_persica_NCBIv2:G4:7222745:7225561:1 gene:PRUPE_4G130700 transcript:ONI11865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEQVLLASLDAIIKTLQRTRKNRSHFYRKGIKRKIPFQQTSRQKIPFHHPIAYIYIKTGPNSSKQ >ONI11317 pep chromosome:Prunus_persica_NCBIv2:G4:5172182:5172961:-1 gene:PRUPE_4G101300 transcript:ONI11317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAAAAAAAVCRSSSTTSSSSGADNYHHHQQQQHRPLVTPSLTAAQPVLSRYESQKRRDWITFGQYLKNHKPPLTLSRCSGAHVLEFLRYLDQFGKTKVHADVCPFYGHPHPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGQPETNPFGARAVRLYLREVRDSQAKARGIAYEKKKRKKVGASQQQQQQQQQQQQQQDEPGEEQQGQHGNFGSSGYGYGVLGGGSDGSSNRVVLVGAASSTAARGSMIPLSALN >ONI10633 pep chromosome:Prunus_persica_NCBIv2:G4:2790204:2791969:1 gene:PRUPE_4G058500 transcript:ONI10633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSITYTNNSLGIQLDWFKEIMPYLCNTSSTESLGLPLVLPYLESLISNQTVQNFEAGVNFAVIGAMALDASFLATMGVHNASTNNFLRIQLEWFKQMLPSLCKTSSGDFPIGCLPAYLTKYGSSDKNQYDPKTGCLKWLNEFSQYHNEQLQIELSRI >ONI14435 pep chromosome:Prunus_persica_NCBIv2:G4:23744787:23754678:1 gene:PRUPE_4G280700 transcript:ONI14435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSASGNRGHNNNHNSHSGHSNKPEWLQQYDLIGKIGEGTYGLVFLARTKSPPNNRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKVSHSINQYTIKTLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGDGEEQGVVKIADFGLARIYQAPLKSLSENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEVKAIPNPFQLDQLDKIFKVLGHPTLEKWPTLANLPHWQQDLQHIQGHKYDNTALHSVVHLSPKSPAYDLLSKMLEYDPRKRITAAQALEHEYFRIEPLPGRNALVPTQGEKVVNYPTRPVDTTTDFEGTTSIQPSQPVSSGNAVSGSMSGAHVGMNRSMPRPMLNMQRMQPQGMTAYNLASQAGMGGGMNPGGIPMQRGVSQAHHQQQLRRKDTGMGMGYPPQQKSRRF >ONI13108 pep chromosome:Prunus_persica_NCBIv2:G4:12626451:12628791:-1 gene:PRUPE_4G202900 transcript:ONI13108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLYKSAKSGDVCFLKQLLNDDPTLLYQLTPRENTALHIAVQFGHKNVTAEIYSRCMSLVSRPNLDGDTPLHVAARVGCFSIVNYLVRETLSMSQADFGNANNSMTETLRIRNRGNNTVLHEAVRNGHTKVAEFLLKMDPKLACFENEAGESPLYLAAREGLLDILNQILQSSPSSAHGGSDGQTALHAAVVERHFDVMESLLRFKQQLIIQADHQGKTPLYYAASLGGHKTVQRLLELDISIAYVLNKQGYSPIHVAASKGYTNVIREIVGHCPDSGELVDPYGRNALHIAIFNGQTEVVRYILETAELEGLINQPDFDGNTPFHLSTIERKTWILCYLKWDGRVNPRSKNKYGQTAIEVDSSIKEANITPPRNIMPSIWGHLRTPPSWLDNIKVFSRADQEEAIAMQTYKQMGQTLLMVATLITTVTFAAAFTMPGGYNNDVGPDQGQALLQSNNFFKCFIITDSIAMTCSIIAACLLFWGAVNSNKSSYVYYLTIAAALTYIALLSTGIAFTTGVTAVISHQQFAKVLGHVVGIAFHVSTFLFLSQLVKMFSLAEACRFFIFHLCKLKCKSKNKP >ONI10488 pep chromosome:Prunus_persica_NCBIv2:G4:2413527:2414756:-1 gene:PRUPE_4G050500 transcript:ONI10488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFESSLLFGMYSQMKQSLQGSAQGAGPQLQVIIPSAAYSGAIISFILCPSELVKCRMQVQGTDSLVPMSRRYDSSLDCALKTVKNDGVRGIFRGGFTTLLRESIGNAVFFSIYEHVRYHMHLHLKSASPDHRNLIDVGVGIASGGLGGIAFWSAVLPLDVAKTIIQTAPDNSSSRNPFQVLSSIYRRAGLKGCYVGLGPTIVRAFPANATAIVAWEVAVKLLGIKRD >ONI10487 pep chromosome:Prunus_persica_NCBIv2:G4:2413027:2415887:-1 gene:PRUPE_4G050500 transcript:ONI10487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSGYKEYVAGLLAGVATVAIGHPFDTIKVKMQKHNTVAHGITYRNSFHCTARILKTEGIKGLYRGATSSFVGMAFESSLLFGMYSQMKQSLQGSAQGAGPQLQVIIPSAAYSGAIISFILCPSELVKCRMQVQGTDSLVPMSRRYDSSLDCALKTVKNDGVRGIFRGGFTTLLRESIGNAVFFSIYEHVRYHMHLHLKSASPDHRNLIDVGVGIASGGLGGIAFWSAVLPLDVAKTIIQTAPDNSSSRNPFQVLSSIYRRAGLKGCYVGLGPTIVRAFPANATAIVAWEVAVKLLGIKRD >ONI11263 pep chromosome:Prunus_persica_NCBIv2:G4:4867442:4868896:-1 gene:PRUPE_4G096900 transcript:ONI11263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVTLHMRLLPLLFILFNSVCGGHDYEGLSYVSETPFIIIDLFNLRSIDIDIENESSWVESGATIGEFYYAIAQKSKVCGFPGGICHTVGVGGHISGGGFGTLFRKYGLAADNVLDAKIVDVNGRVLDRKSMGEDLFWAIRGGGGSSFGVILTWKLRLVRVPPSVTVYRESKTIEQGATKFVSKWQVVADRIPEEHFLRLLVQVANGAGKNDGKTITVIFDFLFLETFEKFLPWMQDNFPDLCLDQSKFTEMSWIESVLYFEGLPSNEVDVLLQRTEPSKRFFKATSDYVTEPISEAGLEDLWQRMLQLEASELILTPYGGRMSEISASETPFPHRKGNLFEIQYLVFWNDDKETEKNIGWLRRLYASMAPYVSKSPRAAYLNYRDLDLGRNY >ONI13399 pep chromosome:Prunus_persica_NCBIv2:G4:13780015:13785048:1 gene:PRUPE_4G219100 transcript:ONI13399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPFGDPIASNSSAAGAQPNKEPKMASAEQLVLELSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVECPFLSFHFFSICICIIRSSYSLLYRVLPLLLEFGCKVCNCLTKGFLLAGGHIYCSKDFVR >ONI13398 pep chromosome:Prunus_persica_NCBIv2:G4:13780149:13783666:1 gene:PRUPE_4G219100 transcript:ONI13398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPFGDPIASNSSAAGAQPNKEPKMASAEQLVLELSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVECPFLSFHFFSICICIIRSSYSLLYRVLPLLLEFGCK >ONI13397 pep chromosome:Prunus_persica_NCBIv2:G4:13780011:13785169:1 gene:PRUPE_4G219100 transcript:ONI13397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPFGDPIASNSSAAGAQPNKEPKMASAEQLVLELSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVECPFLSFHFFSICICIIRSSYSLLYRVLPLLLEFGCKVESWEPW >ONI13396 pep chromosome:Prunus_persica_NCBIv2:G4:13780011:13785169:1 gene:PRUPE_4G219100 transcript:ONI13396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPFGDPIASNSSAAGAQPNKEPKMASAEQLVLELSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLTPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGTMVASLAEQHSSRLLKHIIRCYLRLSDNARACDALRSCLPETLRDATFSGCLREDPTTRRWLQQLLHNVAVSRVPALQAGGGFDHMMVN >ONI11451 pep chromosome:Prunus_persica_NCBIv2:G4:5596685:5600572:1 gene:PRUPE_4G107100 transcript:ONI11451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLKVLLGDVGAGKSSLVLRFVKGQFIEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDLTNQASFERAKKWVLELKSQGNPNMVMALAGNKADLVEARTVAAEDAQAYAQENGLFFLETSAKTADNVNDIFYEIAKRLPRVQPVQNPAGMVLMDRPSERVASSSCCS >ONI11450 pep chromosome:Prunus_persica_NCBIv2:G4:5596379:5600572:1 gene:PRUPE_4G107100 transcript:ONI11450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFIEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDLTNQASFERAKKWVLELKSQGNPNMVMALAGNKADLVEARTVAAEDAQAYAQENGLFFLETSAKTADNVNDIFYEIAKRLPRVQPVQNPAGMVLMDRPSERVASSSCCS >ONI12036 pep chromosome:Prunus_persica_NCBIv2:G4:7854929:7856700:1 gene:PRUPE_4G140500 transcript:ONI12036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEFSLFQQSPKKRSVFHKAPSLIADGFLFVGGASMALSLVWALLTFINPSTSIDNIITWSTGGCGPDLGSDPSEPTFYDDPNLSYAFGEEPMKDWDLKRREWLKLHPSFAASEEKVLLVTGSQPNVCKNPVGDHLQLRLFKNKVDYCRIHGHEIFYNNVLLNQKGTGFWAKYPLLRASMLAHPEAEWIWWVDSDAILTDMEFKLPLERYKDHNLVVHGWWNMLYEEKSWTSLNAGVLLIRNCQWSMDLMERWTSMGPQNPDHEKWGKAQKSLIKDKAYPGSDDQSALIYLLIKEKDRWADRIYLESEYNLHGYWLGIVDGLDKISKGYMEIDREVDLLRRRHAEKMSLFYGAMREKHMKDRGFWKENVRRPFVTHFTGCEPCSGEHNSMYTWEACWNGMQKALNFADNQVLSRFGFVHPDLLNSSLVSPLPFDFPA >ONI11414 pep chromosome:Prunus_persica_NCBIv2:G4:5462280:5464991:-1 gene:PRUPE_4G105700 transcript:ONI11414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENGSRKQGAPSPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPEHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQTQLALAQAEVVHLRVRQTASFSNHGLSPASPSNSGSPSSKFMGSQSRPIFDMDMMVDHTSLGLGESMWSC >ONI10517 pep chromosome:Prunus_persica_NCBIv2:G4:2475977:2479316:-1 gene:PRUPE_4G051700 transcript:ONI10517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEFWTSRLAAAKRQYTLQHHHQSSHFDRLSIDDFEVEDEVRPDFPCPYCYEDFDIASLCSHLEDEHSCESKVTRRRRLRRVAIPNSQALSLLGRDLREAHLQVLLGSGGYRSDNANVSNAATDPFLSSLILNFPASGADEISKSVVTTAEDISAKNVAPAHIWKSSFDPSLSYEERAKRIRQATGRAGFMQDLFLSTLLGD >ONI10519 pep chromosome:Prunus_persica_NCBIv2:G4:2476351:2477490:-1 gene:PRUPE_4G051700 transcript:ONI10519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHITLQHGHLFKLQRRRRLRRVAIPNSQALSLLGRDLREAHLQVLLGSGGYRSDNANVSNAATDPFLSSLILNFPASGADEISKSVVTTAEDISAKNVAPAHIWKSSFDPSLSYEERAKRIRQATGRAGFMQDLFLSTLLGD >ONI10518 pep chromosome:Prunus_persica_NCBIv2:G4:2475942:2479266:-1 gene:PRUPE_4G051700 transcript:ONI10518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEFWTSRLAAAKRQYTLQHHHQSSHFDRLSIDDFEVEDEVRPDFPCPYCYEDFDIASLCSHLEDEHSCESKVTVCPICSVKVARDMLSHITLQHGHLFKLQRRRRLRRVAIPNSQALSLLGRDLREAHLQVLLGSGGYRSDNANVSNAATDPFLSSLILNFPASGADEISKSVVTTAEDISAKNVAPAHIWKSSFDPSLSYEERAKRIRQATGRAGFMQDLFLSTLLGD >ONI11362 pep chromosome:Prunus_persica_NCBIv2:G4:5302424:5306500:1 gene:PRUPE_4G103600 transcript:ONI11362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQRSRPNRPKPIYHLPLFFFFFFFLSAVFHCGLVSSSNTKGAKEAAVAGFGYKIQSVNYDSSGNSLTANLGLIKKSSLYGPDIPNLNLRASYETKDRLRIRITDSKHQRWEIPQQIIPRQTTSQHPQQCQTRNKHLVISNDLVFTLHNTTPFGFTVTRQSSKDVIFDSSPNPSNPDTFLVFKDQYIQLSSSLPKARSSLFGLGEHTKSSFKLTPNQTLTLWTADIGSANADVNLYGSHPFYLDVRSASPDGKADSAGTSHGVLLLNSNGMDITYGGDRITYKAIGGIVDLYFFSGPTPELVVEQYTELIGRPTPMPYWSFGFHQCRYGYKNVSDLEGVVAGYAKAAIPLEVMWTDIDYMDAYKDFTLDPINFPLDKMKKFVNTLHQNDQKYVLILDPGISVNKSYGTYNRGLKADIFIKRDGIPYLGSVWPGPVYFPDFAHPQSEKFWANEIKIFQDALPFDGLWLDMNELSNFITSPPTPSSTLDDPPYKINNAGVLRPINNNTIPASALHFGNITEYDAHNLYGLLESKATNKALVNVTGKRPFILSRSTFVSSGTYTAHWTGDNAAKWSDLAYTIPAILNFGLFGVPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSEKFTIRQELYLWDSVAATARKVLGLRYRLLPMFYTSMKLV >ONI11361 pep chromosome:Prunus_persica_NCBIv2:G4:5302424:5306500:1 gene:PRUPE_4G103600 transcript:ONI11361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQRSRPNRPKPIYHLPLFFFFFFFLSAVFHCGLVSSSNTKGAKEAAVAGFGYKIQSVNYDSSGNSLTANLGLIKKSSLYGPDIPNLNLRASYETKDRLRIRITDSKHQRWEIPQQIIPRQTTSQHPQQCQTRNKHLVISNDLVFTLHNTTPFGFTVTRQSSKDVIFDSSPNPSNPDTFLVFKDQYIQLSSSLPKARSSLFGLGEHTKSSFKLTPNQTLTLWTADIGSANADVNLYGSHPFYLDVRSASPDGKADSAGTSHGVLLLNSNGMDITYGGDRITYKAIGGIVDLYFFSGPTPELVVEQYTELIGRPTPMPYWSFGFHQCRYGYKNVSDLEGVVAGYAKAAIPLEVMWTDIDYMDAYKDFTLDPINFPLDKMKKFVNTLHQNDQKYVLILDPGISVNKSYGTYNRGLKADIFIKRDGIPYLGSVWPGPVYFPDFAHPQSEKFWANEIKIFQDALPFDGLWLDMNELSNFITSPPTPSSTLDDPPYKINNAGVLRPINNNTIPASALHFGNITEYDAHNLYGLLESKATNKALVNVTGKRPFILSRSTFVSSGTYTAHWTGDNAAKWSDLAYTIPAILNFGLFGVPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSEKFTIRQELYLWDSVAATARKVLGLRYRLLPMFYTSMYEAHKKGTPIARPLFFSFPQDIRTYEINTQFLIGRGVMVSPVLKPGVSSVDAYFPAGNWFDLFNYSNSVSVKSGEHVTLDAPPDHINVHVREGNILALQGEALTTEAARKTAFELLVVSSSNGQSTGEVFLDDGEEVEMGGKGGKWSLVRFYCGTANGSVSVRSTVVNGGFALSQKWIIDKVTIIGLDKVDGLERYALNITKGANLKGGHSDIRASFDSNKRFVMVEISKLSILIGADFNLELKY >ONI11155 pep chromosome:Prunus_persica_NCBIv2:G4:4508733:4512914:1 gene:PRUPE_4G090400 transcript:ONI11155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSVTLDEWSDDEIDAMIEVGGNSSANAIYEAFIPDGCSKPGPDAGHEERSKFIRSKYEAQEFLKPSLRINSGPSRKNSLQNTLQSSSFSRKIIDSFRSNSSQKTEGMVEFIGLLKVKVIRGTNLAIRDMMTSDPYVILTLGQQTLQTTIIKSNLNPVWNEELMLSVPQRYGALQLRVFDHDTFSADDIMGEAEVDLQPLITSAMAFGDAGMFGNMQIGKWLKSNDNALVDDSTVNIVDGKVKQEVTLKLQNVECGEIDLELEWMPLEQ >ONI11156 pep chromosome:Prunus_persica_NCBIv2:G4:4508733:4512914:1 gene:PRUPE_4G090400 transcript:ONI11156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSVTLDEWSDDEIDAMIEVGGNSSANAIYEAFIPDGCSKPGPDAGHEERSKFIRSKYEAQEFLKPSLRINSGPSRKNSLQNTLQSSSFSRKIIDSFRSNSSQKTEGMVEFIGLLKVKVIRGTNLAIRDMMTSDPYVILTLGQQTLQTTIIKSNLNPVWNEELMLSVPQRYGALQLRVFDHDTFSADDIMGEAEVDLQPLITSAMAFGDAGMFGNMQIGKWLKSNDNALVDDSTVNIVDGKVKQEVTLKLQNVECGEIDLELEWMPLEQ >ONI11153 pep chromosome:Prunus_persica_NCBIv2:G4:4508715:4513594:1 gene:PRUPE_4G090400 transcript:ONI11153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRPMELGRPASGKRRLKDLLLQKDNRSCADCGAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDDEIDAMIEVGGNSSANAIYEAFIPDGCSKPGPDAGHEERSKFIRSKYEAQEFLKPSLRINSGPSRKNSLQNTLQSSSFSRKIIDSFRSNSSQKTEGMVEFIGLLKVKVIRGTNLAIRDMMTSDPYVILTLGQQTLQTTIIKSNLNPVWNEELMLSVPQRYGALQLRVFDHDTFSADDIMGEAEVDLQPLITSAMAFGDAGMFGNMQIGKWLKSNDNALVDDSTVNIVDGKVKQEVTLKLQNVECGEIDLELEWMPLEQ >ONI11154 pep chromosome:Prunus_persica_NCBIv2:G4:4508715:4513594:1 gene:PRUPE_4G090400 transcript:ONI11154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHRPMELGRPASGKRRLKDLLLQKDNRSCADCGAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDDEIDAMIEVGGNSSANAIYEAFIPDGCSKPGPDAGHEERSKFIRSKYEAQEFLKPSLRINSGPSRKNSLQNTLQSSSFSRKIIDSFRSNSSQKTEGMVEFIGLLKVKVIRGTNLAIRDMMTSDPYVILTLGQQTLQTTIIKSNLNPVWNEELMLSVPQRYGALQLRVFDHDTFSADDIMGEAEVDLQPLITSAMAFGDAGMFGNMQIGKWLKSNDNALVDDSTVNIVDGKVKQEVTLKLQNVECGEIDLELEWMPLEQ >ONI12367 pep chromosome:Prunus_persica_NCBIv2:G4:9135718:9137519:-1 gene:PRUPE_4G159500 transcript:ONI12367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLNPNKSKALQAGIPLFPTDSSMDLVSSLDCQKSDAQLVGLNEDHGGKDLFAPDIPRISDLSICGNSIVVNKKGGGKVLCPPEMVPLDCDTSPLLKIDWPIGSKAEETKAGPDGTYIPFFQLPRPDIGECEYCLKVGEHIPQRCPYKDRVPKNAILGNGCDVVCRVCGWFFRGSCCGQDEGRAILKNCGICLTTGKHWSASCPTLPPASRKDIPSEPCFSINFV >ONI12980 pep chromosome:Prunus_persica_NCBIv2:G4:11916493:11920142:1 gene:PRUPE_4G195400 transcript:ONI12980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLCFSFMIGTNLLLLLFTLSFAVDSISPSQSIRDGTTLVSKGGSFELGFFSPDTPENRYLGIWYKNIPVRTVVWVANRCNPINDSSGILMINSTGHLVLLGQNKSVVWWISSAKHAPSATVELLDSGNLVLRDAGTYLWQSFDYPSDTLLPGMKMGWDLRTGIKRSLSAWKNSGDPCPGDLTYGIEMELDTYPEAYIRKGTAKYYRSGPWNGLRLSGLPELRPNPLYRFNFVYNYNEVYYMYNLQNESLITRLVLNQTTSTRIRLTWIEADQAWRAYSSVPRDLCDNYGLCGANGNCIIDENPVCQCLKGFKPISQEKWNLMDWSLGCVRNKPLSCQERYKDGFVKFVGLKLPDTTHSWVNKSMNLKECRTKCLNNCSCMAYTSYDIRGGGTGCAIWFDDLIDTRQFSDAGQDLYIRMSASEFESGGKVKTAMIIAVSVAVVFSVVLLVGYYLRHNRRKLKGETNMNNEGEPEEDLELPLFDLPTVASATENFSSDNKLGEGGFGPVYRGTLPDGHEIAVKRLSRSSGQGLNEFKNEIILFAKLQHRNLVKLLGCCIKGEEKMLIYEYMPNRSLDSFIFDSVRGELLLDWPKRFHIICGVARGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARTLIGGDQSGGNTNRVVGTYGYMAPEYAIDGQFSVKSDVFSFGILVLEVISGRKNKGFYHPNHSHNLIGHAWRLWIQGRPSELIDRCLESSCTLSEVLRCVHISLLCLQHHPEDRPSMASVVIMLGSEIALAQPKQPGFFIEKESHEVGSSLGNQTSSTNEISITLLEGR >ONI12981 pep chromosome:Prunus_persica_NCBIv2:G4:11916492:11920142:1 gene:PRUPE_4G195400 transcript:ONI12981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLCFSFMIGTNLLLLLFTLSFAVDSISPSQSIRDGTTLVSKGGSFELGFFSPDTPENRYLGIWYKNIPVRTVVWVANRCNPINDSSGILMINSTGHLVLLGQNKSVVWWISSAKHAPSATVELLDSGNLVLRDAGTYLWQSFDYPSDTLLPGMKMGWDLRTGIKRSLSAWKNSGDPCPGDLTYGIEMELDTYPEAYIRKGTAKYYRSGPWNGLRLSGLPELRPNPLYRFNFVYNYNEVYYMYNLQNESLITRLVLNQTTSTRIRLTWIEADQAWRAYSSVPRDLCDNYGLCGANGNCIIDENPVCQCLKGFKPISQEKWNLMDWSLGCVRNKPLSCQERYKDGFVKFVGLKLPDTTHSWVNKSMNLKECRTKCLNNCSCMAYTSYDIRGGGTGCAIWFDDLIDTRQFSDAGQDLYIRMSASEFGETNMNNEGEPEEDLELPLFDLPTVASATENFSSDNKLGEGGFGPVYRGTLPDGHEIAVKRLSRSSGQGLNEFKNEIILFAKLQHRNLVKLLGCCIKGEEKMLIYEYMPNRSLDSFIFDSVRGELLLDWPKRFHIICGVARGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARTLIGGDQSGGNTNRVVGTYGYMAPEYAIDGQFSVKSDVFSFGILVLEVISGRKNKGFYHPNHSHNLIGHAWRLWIQGRPSELIDRCLESSCTLSEVLRCVHISLLCLQHHPEDRPSMASVVIMLGSEIALAQPKQPGFFIEKESHEVGSSLGNQTSSTNEISITLLEGR >ONI09986 pep chromosome:Prunus_persica_NCBIv2:G4:1059968:1061910:1 gene:PRUPE_4G022300 transcript:ONI09986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSDKPPPAPSPTSVKPETPGSATPRQTQPSPSADANVILVPSYSSWFSPDHIHHCEVRFLPEFFDSRSPSKNPTLYKYYRNTIVKHSRAVNPSRKLTFTEARKALVGDVGSVRRVFDFLEAWGLINYTPSALNKPLRWEDKDSKAAAASHGGAESPSGGPKDSASAPNKESPKKRTCNGCKSLCSIACFVSEKNDMTLCARCYVRGNYQIGVSSSDFRRVEINEETGNGWADKDTLHLLEGLMHYGDDWRKVAQHVGRSEKECVAHFLKIPFGEELNGDLDSGNLDHKPSSALQDNAGDAEFGMEGNGDGTTPSLGKRMRLTPLADASNPIMAQTAFLSALAGVKVAEAAACAAVTTLCGADYETSRMSAGFRASNARQHETDAALNGDTNLDALGANFVDANSQLEKEGLDVGRALSGITGVQMKEIQGKIDRFEALDLQMEKEWEQLEQMRNMLFVDQMTLLIHQSSAPKTAERMQEKNVIID >ONI10599 pep chromosome:Prunus_persica_NCBIv2:G4:2696469:2697917:1 gene:PRUPE_4G056300 transcript:ONI10599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASRIISLPASNFIAHASSSACAVNKHSFTTLTAQQNHHNLHLQSFPGDFLKWGSLGFFRTSSFATGFAPLKPKPLGSIIDVERVKDRSAEDIASAWDDFHLGRGHIGASMKANLYHLLEHRAAECRYFVIPLWRGGGYTTMFAQGLVSSRFLLSLCVLLFVVSASCIYY >ONI10597 pep chromosome:Prunus_persica_NCBIv2:G4:2696314:2698966:1 gene:PRUPE_4G056300 transcript:ONI10597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASRIISLPASNFIAHASSSACAVNKHSFTTLTAQQNHHNLHLQSFPGDFLKWGSLGFFRTSSFATGFAPLKPKPLGSIIDVERVKDRSAEDIASAWDDFHLGRGHIGASMKANLYHLLEHRAAECRYFVIPLWRGGGYTTMFAQGKGQLMGPHRMRGILQCCKSVENFLTRVQN >ONI10595 pep chromosome:Prunus_persica_NCBIv2:G4:2696314:2698966:1 gene:PRUPE_4G056300 transcript:ONI10595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASRIISLPASNFIAHASSSACAVNKHSFTTLTAQQNHHNLHLQSFPGDFLKWGSLGFFRTSSFATGFAPLKPKPLGSIIDVERVKDRSAEDIASAWDDFHLGRGHIGASMKANLYHLLEHRAAECRYFVIPLWRGGGYTTMFAQVQTPHMLFTGLEDYKAKGTQAAPYFAVTYYNEFAESKDMVLIRGDVVMPSKLSDSEAKWLLETTQSFYVNDTRYKLVERFNRQTHDFEFKDVLQALEIPNL >ONI10596 pep chromosome:Prunus_persica_NCBIv2:G4:2696314:2698966:1 gene:PRUPE_4G056300 transcript:ONI10596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASRIISLPASNFIAHASSSACAVNKHSFTTLTAQQNHHNLHLQSFPGDFLKWGSLGFFRTSSFATGFAPLKPKPLGSIIDVERVKDRSAEDIASAWDDFHLGRGHIGASMKANLYHLLEHRAAECRYFVIPLWRGGGYTTMFAQGKGQLMGPHRMRGILQCCKSVENFLTRVQN >ONI10598 pep chromosome:Prunus_persica_NCBIv2:G4:2696314:2698966:1 gene:PRUPE_4G056300 transcript:ONI10598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASRIISLPASNFIAHASSSACAVNKHSFTTLTAQQNHHNLHLQSFPGDFLKWGSLGFFRTSSFATGFAPLKPKPLGSIIDVERVKDRSAEDIASAWDDFHLGRGHIGASMKANLYHLLEHRAAECRYFVIPLWRGGGYTTMFAQGKGQLMGPHRMRGILQCCKSVENFLTRVQN >ONI10600 pep chromosome:Prunus_persica_NCBIv2:G4:2696469:2697917:1 gene:PRUPE_4G056300 transcript:ONI10600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASRIISLPASNFIAHASSSACAVNKHSFTTLTAQQNHHNLHLQSFPGDFLKWGSLGFFRTSSFATGFAPLKPKPLGSIIDVERVKDRSAEDIASAWDDFHLGRGHIGASMKANLYHLLEHRAAECRYFVIPLWRGGGYTTMFAQGLVSSRFLLSLCVLLFVVSASCIYY >ONI14066 pep chromosome:Prunus_persica_NCBIv2:G4:18723412:18727302:-1 gene:PRUPE_4G260100 transcript:ONI14066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFILIGTSLCFLAQFQCFSSGESSFGLSYHGGKLMTEPVSVNILWFGTGWQESDREAIRMSVTSLTSSQYVVKDSEVPTLGNWWEIIRQYGDSNNVPVTDRVDLGAECFYTGPELNMTLDQVVYIGRSVFNKSSIKGFDGNLNCHRLFEVNDNSIYHIVFSYTVMFLDSKEQRQLMDLCGGRFQLEVFAGLKVNILWARAPQNAADQCSMLFHGNSYLGPPNGDEKVDSLVGYMLANVAEEVTNQDGSGWISNDGSGMTVSNSCASPFWRDNGDPPLFRDTEKNMSFNVVGLNGYRYIMPYVWDQKTRNCALKLSETCETNAMVILREPKGYLRGGIIVNHSNGLQPYPPNQKCSWAIHYPTAKFIRFTINYLSVAADSDDHLLICKSKSKSAQCSTLKSNNGRYEKNFKLMSSKVYIEFRTGDQVSFESRGWELSYSAGLCFGKENLYEHDGNISYVASTSFPYVEGLKCQWVLHGKPGTPVFITFTHINISKGLDFLAIYNGTMQQIANFSGLFTGSDLPQLNLSGEVIIAFTTQTDQGEGWSANFYIAHPVNHDKVFVVILIVVLALIAASVYLLAVALAVVRKKLERKNAMDSDERLTLMSTDAIRVENRIGEGPSAVVYRAVSTDGGLVAIKSLRVIAAETELQQEIMAKSSSHPNIISFLGHSQDGLGRHYFVFEYMGRGDLSLNLRERGETLDLDKRIAIALQICSAIQMLHMYLKPPVYHGNITSENIFLDEFYNAKLGGFGAANYCSSSNRANPEQLSEMAEDIWSFGLLLVELLRGEPLVDRETYKNSRRLEEINELLGSQECVDRRLTIPHETCKMGFVKLGEIAKWCIGSSWRVEEGKNNPKIGDVLSGLKQVKLLFSTASG >ONI11507 pep chromosome:Prunus_persica_NCBIv2:G4:5821882:5827345:1 gene:PRUPE_4G110000 transcript:ONI11507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEEDDDFPSIESITPQSKVDSLYQSHTEKGIRKLCCELLDLKDAVENLCGNMRSKYLAFLRISEEAVEMEHELVELRKHISAQGILVQDLMTGVCHQLEEWNQSTTEVQPDPEIGELQDPLPIETDDHKIVLEKIDVLLAEHKVEEALEALDSEERNSPELKSSGDTSSTEGSSYRSAFLKRKAVLEGQLVEVTGQPFVSFPELKKALSGLIKIGKGPLAHQLLLKFYGSRLEKSIEALSPSCSVCPKTYPATLSKLVFSAISLATMKSGSIFGDNPVYTNRVVQWAEWEIEYFVRLVKENAPSSGTVSALRAASVCVQASLNYSLMLERQGLKLSKLILVLLWPFIEEVLELNFRRARKLVLDLVEADECMSFSPRFAAPLSAFTISSDRMLADSGIRFMCIVEDILEQLTPLTILHFGGNILSRISQLFDKYMDALIKALPGPSDDDNLTELKEFVSFRAETDSEQLAILGVAFTILEELLPNAVMNLWKQQSESGEPKSGSAENVTPIPSTSTELKDWRRHLQHSFDKLRDHFCRQYVLSFIYSREGKTRLDAQIYLNGDGDDLYGGSTPLPSLPFQALFAKLQQLAIVAGDVLLGKDKIQKILLARLTETVVMWLSDEQEFWGVFEDDTGPLQPLGLQQMAVSLDPLT >ONI11506 pep chromosome:Prunus_persica_NCBIv2:G4:5821963:5828134:1 gene:PRUPE_4G110000 transcript:ONI11506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEEDDDFPSIESITPQSKVDSLYQSHTEKGIRKLCCELLDLKDAVENLCGNMRSKYLAFLRISEEAVEMEHELVELRKHISAQGILVQDLMTGVCHQLEEWNQSTTEVQPDPEIGELQDPLPIETDDHKIVLEKIDVLLAEHKVEEALEALDSEERNSPELKSSGDTSSTEGSSYRSAFLKRKAVLEGQLVEVTGQPFVSFPELKKALSGLIKIGKGPLAHQLLLKFYGSRLEKSIEALSPSCSVCPKTYPATLSKLVFSAISLATMKSGSIFGDNPVYTNRVVQWAEWEIEYFVRLVKENAPSSGTVSALRAASVCVQASLNYSLMLERQGLKLSKLILVLLWPFIEEVLELNFRRARKLVLDLVEADECMSFSPRFAAPLSAFTISSDRMLADSGIRFMCIVEDILEQLTPLTILHFGGNILSRISQLFDKYMDALIKALPGPSDDDNLTELKEFVSFRAETDSEQLAILGVAFTILEELLPNAVMNLWKQQSESGEPKSGSAENVTPIPSTSTELKDWRRHLQHSFDKLRDHFCRQYVLSFIYSREGKTRLDAQIYLNGDGDDLYGGSTPLPSLPFQALFAKLQQLAIVAGDVLLGKDKIQKILLARLTETVVMWLSDEQEFWGVFEDDTGPLQPLGLQQLILDMHFTVEIARFAGYPSRHVHQIASAIIARAIRAFSARGIEVQSALPEDEWFVETAKSAINKLLLGTEGSEVSEIDEDNIIPHDHIVLDSDDSVSSLSSVESTDSFASASMGELDSPRHFDDSEG >ONI14126 pep chromosome:Prunus_persica_NCBIv2:G4:19393134:19400888:-1 gene:PRUPE_4G263800 transcript:ONI14126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGYSAESSSGDGREILVQHLLVKEDNLKLLVDLQQRVSGGEDLSDLAAEYSICPSKQEGGMLGWVRKGQMVPEFEEAAFNASLNKVVRCKTKFGWHLLQVLSEREESVLQDIQPEELHVKMQDPSFLDEAQLIDVREPEEVAQASLLGFQVLPLRKFGSWGPEITTKFNPQKDTYVMCHHGVRSLQVAKWLQTQGFKRVFNVSGGIHDYAQRVDPTIPTY >ONI14124 pep chromosome:Prunus_persica_NCBIv2:G4:19393349:19400745:-1 gene:PRUPE_4G263800 transcript:ONI14124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVSHLTPIAPPALSALRLSLSPTLNLLSPSNVHKVSTFAHSQTSLSLIFRPSSLHSPSLSVKWVLQRMVGNPCPKGTASFSAESSSGDGREILVQHLLVKEDNLKLLVDLQQRVSGGEDLSDLAAEYSICPSKQEGGMLGWVRKGQMVPEFEEAAFNASLNKVVRCKTKFGWHLLQVLSEREESVLQDIQPEELHVKMQDPSFLDEAQLIDVREPEEVAQASLLGFQVLPLRKFGSWGPEITTKFNPQKDTYVMCHHGVRSLQVAKWLQTQGFKRVFNVSGGIHDYAQRVDPTIPTY >ONI14122 pep chromosome:Prunus_persica_NCBIv2:G4:19393134:19400888:-1 gene:PRUPE_4G263800 transcript:ONI14122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVSHLTPIAPPALSALRLSLSPTLNLLSPSNVHKVSTFAHSQTSLSLIFRPSSLHSPSLSVKWVLQRMVGNPCPKGTASFSAESSSGDGREILVQHLLVKEDNLKLLVDLQQRVSGGEDLSDLAAEYSICPSKQEGGMLGWVRKGQMVPEFEEAAFNASLNKVVRCKTKFGWHLLQVLSEREESVLQDIQPEELHVKMQDPSFLDEAQLIDVREPEEVVTMACDHYKLPSGCRPRGLREYSMFLVEFMIMLKGLIQQFQLIEIYETILQNRIIFFFIQQVVSYIFFPLCRPKRQFRC >ONI14123 pep chromosome:Prunus_persica_NCBIv2:G4:19393134:19400888:-1 gene:PRUPE_4G263800 transcript:ONI14123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVSHLTPIAPPALSALRLSLSPTLNLLSPSNVHKVSTFAHSQTSLSLIFRPSSLHSPSLSVKWVLQRMVGNPCPKASFSAESSSGDGREILVQHLLVKEDNLKLLVDLQQRVSGGEDLSDLAAEYSICPSKQEGGMLGWVRKGQMVPEFEEAAFNASLNKVVRCKTKFGWHLLQVLSEREESVLQDIQPEELHVKMQDPSFLDEAQLIDVREPEEVAQASLLGFQVLPLRKFGSWGPEITTKFNPQKDTYVMCHHGVRSLQVAKWLQTQGFKRVFNVSGGIHDYAQRVDPTIPTY >ONI14125 pep chromosome:Prunus_persica_NCBIv2:G4:19393349:19400745:-1 gene:PRUPE_4G263800 transcript:ONI14125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVSHLTPIAPPALSALRLSLSPTLNLLSPSNVHKVSTFAHSQTSLSLIFRPSSLHSPSLSVKWVLQRMVGNPCPKGTASFSAESSSGDGREILVQHLLVKEDNLKLLVDLQQRVSGGEDLSDLAAEYSICPSKQEGGMLGWVRKGQMVPEFEEAAFNASLNKVVRCKTKFGWHLLQVLSEREESVLQDIQPEELHVKMQDPSFLDEAQLIDVREPEEVHVSPLFHRAQASLLGFQVLPLRKFGSWGPEITTKFNPQKDTYVMCHHGVRSLQVAKWLQTQGFKRVFNVSGGIHDYAQRVDPTIPTY >ONI14128 pep chromosome:Prunus_persica_NCBIv2:G4:19394609:19400888:-1 gene:PRUPE_4G263800 transcript:ONI14128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVSHLTPIAPPALSALRLSLSPTLNLLSPSNVHKVSTFAHSQTSLSLIFRPSSLHSPSLSVKWVLQRMVGNPCPKGTASFSAESSSGDGREILVQHLLVKEDNLKLLVDLQQRVSGGEDLSDLAAEYSICPSKQEGGMLGWVRKGQMVPEFEEAAFNASLNKVVRCKTKFGWHLLQVLSEREESVLQDIQPEELHVKMQDPSFLDEAQLIDVREPEEVAQASLLGFQVLPLRKFGSWGPEITTKFNPQKDTYVMCHHGVRSLQVAKWLQTQILPQC >ONI14127 pep chromosome:Prunus_persica_NCBIv2:G4:19393134:19399929:-1 gene:PRUPE_4G263800 transcript:ONI14127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGWVRKGQMVPEFEEAAFNASLNKVVRCKTKFGWHLLQVLSEREESVLQDIQPEELHVKMQDPSFLDEAQLIDVREPEEVAQASLLGFQVLPLRKFGSWGPEITTKFNPQKDTYVMCHHGVRSLQVAKWLQTQGFKRVFNVSGGIHDYAQRVDPTIPTY >ONI11588 pep chromosome:Prunus_persica_NCBIv2:G4:6172861:6184869:1 gene:PRUPE_4G114900 transcript:ONI11588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGSSSPSKMINRNWVLKRKRRKLPHGPDISNGKEDGSAASESPRKTSSSAKRRLNNEIVSDRFSSKKKGNDGYFYECVICDLGGNLLCCDSCPRTYHLQCLNPPLKRIPNGKWQCPTCCQKSDLLEPINYLADTISKRARTKSVTAKSKTGVASSEREKVSQIFGNSIVAKKRSSSKGKTILTHGIKFFEKKPFSQIDIPCSTKLSHSTVGGSVDGISSCENVDDKKRSNFSPEDDSADRKLSSPAKEVSSHSKVTALETNEEAPEEFASPEVKPVLSCTDASPRKTIVLAISATTGKARKRKHKGNNDKSKKKKKTDKGKSVSTSKQSGSKASTASLRIGKALRKHKSVNHGVSATLSREDIEIKNSDVQNKDEELPEGEKDPSHNVDKAGSHVVKTLICNDSFPAEPLQVDRVLGCRVQGDNADSRQLSVAAAHDLCSADLQVSDTQTRLSDGNSACDNDMDVGAAENLTEGCENVVKGADGDESMKDDVRVDKMNVYRRSMNKEGKKANSMDAPRMGTKDSGNINGKDQDESAVTADDSGKTHERIVTAETTKVSLKSHDEDEVPEIETHVSPDTKDKKDVDTETGINSTAQNKSQGPSSLAEPSGGSCETVLYEFLVKWAGKSNIHNSWVSESELKVLAKRKLENYKAKYGTAVINICEERWKQPQRVIGLRGLKDGSGEAFIKWNGLSYIECTWERLDEPVILNSQNLVDLFNQFEHQTLEKDASKDDSRGRDSCQQNEIVTLTEQPKELKGGSLFPHQLEALNWLRKCWHKSKNVILADEMGLGKTVSACAFLSSLYYEFKATLPCLVLVPLSTMPNWLSEFALWAPELNVVEYHGCAKARAIIRQYEWHASDPNALNKKTSAYKFNVLLTTYEMVLADSSHLRGVPWEVLIVDEGHRLKNSGSKLFSLLNSLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEDRFNDLTTAEKVDELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKILHKEGNRVLIFSQMTKLLDILEDYLAIEFGPKTYERVDGSVSVTDRQSAIARFNQDRSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDIIKWGTEELFNDSPSADGKDTDENNSNKDEAVTDVEHKHRKRTGGLGDVYKDKCTDSSNKIVWDESAILKLLDRSNLQSGSTDIAEGDLENDMLGSVKSIEWNEEPAEEQGVESPVGASDDICVQNTERKEDNMVAVTEENEWDRLLRLRWERYQSEEEAALGRGKRLRKAVSYREAYAAHPTETLSESGAEEEREPEPEPEREYTPAGRALKAKFAKLRARQKERLAQRNAIEESHPSEGLPVESLPPCPTNTAKDGDQATGLVQFFRERPSVIDLEDNKLDAPPKAKTDSPLRLGRLSKHKNSRLDLSVNPLDYLSPDIFFPSHQSQGTSMTNSVPPNNLLPVLGLCAPNASQIESSNKNFSRSNCRQKGARPEFPFSLAPQSGTLSETDINGDEVKLSGASAEVSRLKNNIPNGGLPFRPFPPAIQGNSYDRPESSGAAFSDFQERMALPNLPFDEKLLPRFPLSTKTMPSPHFDFLPSLSLGSRLEPSNGSLQELPTMPLFPNLKLPPQDAPRYNQQDREVPPTLGLGHMPTTFPSFPDNHRKVLENIMMRTGPGSSNLFKKKSKADIWTEDELDFLWIGVRRHGRGNWDAMLRDPRLKFSKFKTSEDLSARWEEEQLKILDGPSFPVSKSTKRTTKSSQFPCISDGMMARALHGSRLVTPPKFQPHLTDMKLGFSDLTSGFPHLEASDRLGLHNEQFPPIPTWFHEKFRANFSGDSSAGVSDRPGTSSNVPIEEPFVVTSFGTSCLGLNSSSSYDVQKKEDEQGAYKYGKLPCLLDRSLNVLRDMNNNLGRGEPTSSGFLPDPKRGLLKGKDLAGSSSSKDKLPHWLREAVSAPAKPPAPDLPPTVSAIAQSVRLLYGEDKRTIPPFVIPGPPPSLPKDPRRSLKKKRKQKSRLFRRIPPEIAGSSQDFQSTHFGDNASSSIPMAPSFPLLPQSMVATPGLSRIESDLSAPLSLNVANPSSSLPHLNHQKKTIMGMSPSPEVLQLVASCVAPGPHLSAASGMASSSFHDTKPSLPNSVDQVGLLDSQTAFGSKEAKRGSPLKVCDSLGKDRTCDTESGDSSKTQSDPSRTERPDVEEISSEGTVSDHPLSDREP >ONI11587 pep chromosome:Prunus_persica_NCBIv2:G4:6171940:6184817:1 gene:PRUPE_4G114900 transcript:ONI11587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGSSSPSKMINRNWVLKRKRRKLPHGPDISNGKEDGSAASESPRKTSSSAKRRLNNEIVSDRFSSKKKGNDGYFYECVICDLGGNLLCCDSCPRTYHLQCLNPPLKRIPNGKWQCPTCCQKSDLLEPINYLADTISKRARTKSVTAKSKTGVASSEREKVSQIFGNSIVAKKRSSSKGKTILTHGIKFFEKKPFSQIDIPCSTKLSHSTVGGSVDGISSCENVDDKKRSNFSPEDDSADRKLSSPAKEVSSHSKVTALETNEEAPEEFASPEVKPVLSCTDASPRKTIVLAISATTGKARKRKHKGNNDKSKKKKKTDKGKSVSTSKQSGSKASTASLRIGKALRKHKSVNHGVSATLSREDIEIKNSDVQNKDEELPEGEKDPSHNVDKAGSHVVKTLICNDSFPAEPLQVDRVLGCRVQGDNADSRQLSVAAAHDLCSADLQVSDTQTRLSDGNSACDNDMDVGAAENLTEGCENVVKGADGDESMKDDVRVDKMNVYRRSMNKEGKKANSMDAPRMGTKDSGNINGKDQDESAVTADDSGKTHERIVTAETTKVSLKSHDEDEVPEIETHVSPDTKDKKDVDTETGINSTAQNKSQGPSSLAEPSGGSCETVLYEFLVKWAGKSNIHNSWVSESELKVLAKRKLENYKAKYGTAVINICEERWKQPQRVIGLRGLKDGSGEAFIKWNGLSYIECTWERLDEPVILNSQNLVDLFNQFEHQTLEKDASKDDSRGRDSCQQNEIVTLTEQPKELKGGSLFPHQLEALNWLRKCWHKSKNVILADEMGLGKTVSACAFLSSLYYEFKATLPCLVLVPLSTMPNWLSEFALWAPELNVVEYHGCAKARAIIRQYEWHASDPNALNKKTSAYKFNVLLTTYEMVLADSSHLRGVPWEVLIVDEGHRLKNSGSKLFSLLNSLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEDRFNDLTTAEKVDELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKILHKEGNRVLIFSQMTKLLDILEDYLAIEFGPKTYERVDGSVSVTDRQSAIARFNQDRSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDIIKWGTEELFNDSPSADGKDTDENNSNKDEAVTDVEHKHRKRTGGLGDVYKDKCTDSSNKIVWDESAILKLLDRSNLQSGSTDIAEGDLENDMLGSVKSIEWNEEPAEEQGVESPVGASDDICVQNTERKEDNMVAVTEENEWDRLLRLRWERYQSEEEAALGRGKRLRKAVSYREAYAAHPTETLSESGAEEEREPEPEPEREYTPAGRALKAKFAKLRARQKERLAQRNAIEESHPSEGLPVESLPPCPTNTAKDGDQATGLVQFFRERPSVIDLEDNKLDAPPKAKTDSPLRLGRLSKHKNSRLDLSVNPLDYLSPDIFFPSHQSQGTSMTNSVPPNNLLPVLGLCAPNASQIESSNKNFSRSNCRQKGARPEFPFSLAPQSGTLSETDINGDEVKLSGASAEVSRLKNNIPNGGLPFRPFPPAIQGNSYDRPESSGAAFSDFQERMALPNLPFDEKLLPRFPLSTKTMPSPHFDFLPSLSLGSRLEPSNGSLQELPTMPLFPNLKLPPQDAPRYNQQDREVPPTLGLGHMPTTFPSFPDNHRKVLENIMMRTGPGSSNLFKKKSKADIWTEDELDFLWIGVRRHGRGNWDAMLRDPRLKFSKFKTSEDLSARWEEEQLKILDGPSFPVSKSTKRTTKSSQFPCISDGMMARALHGSRLVTPPKFQPHLTDMKLGFSDLTSGFPHLEASDRLGLHNEQFPPIPTWFHEKFRANFSGDSSAGVSDRPGTSSNVPIEEPFVVTSFGTSCLGLNSSSSYDVQKKEDEQGAYKYGKLPCLLDRSLNVLRDMNNNLGRGEPTSSGFLPDPKRGLLKGKDLAGSSSSKDKLPHWLREAVSAPAKPPAPDLPPTVSAIAQSVRLLYGEDKRTIPPFVIPGPPPSLPKDPRRSLKKKRKQKSRLFRRIPPEIAGSSQDFQSTHFGDNASSSIPMAPSFPLLPQSMVATPGLSRIESDLSAPLSLNVANPSSSLPHLNHQKKTIMGMSPSPEVLQLVASCVAPGPHLSAASGMASSSFHDTKPSLPNSVDQVGLLDSQTAFGSKEAKRGSPLKVCDSLGKDRTCDTESGDSSKTQSDPSRTERPDVEEISSEGTVSDHPLSDREP >ONI11589 pep chromosome:Prunus_persica_NCBIv2:G4:6171904:6184888:1 gene:PRUPE_4G114900 transcript:ONI11589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGSSSPSKMINRNWVLKRKRRKLPHGPDISNGKEDGSAASESPRKTSSSAKRRLNNEIVSDRFSSKKKGNDGYFYECVICDLGGNLLCCDSCPRTYHLQCLNPPLKRIPNGKWQCPTCCQKSDLLEPINYLADTISKRARTKSVTAKSKTGVASSEREKVSQIFGNSIVAKKRSSSKGKTILTHGIKFFEKKPFSQIDIPCSTKLSHSTVGGSVDGISSCENVDDKKRSNFSPEDDSADRKLSSPAKEVSSHSKVTALETNEEAPEEFASPEVKPVLSCTDASPRKTIVLAISATTGKARKRKHKGNNDKSKKKKKTDKGKSVSTSKQSGSKASTASLRIGKALRKHKSVNHGVSATLSREDIEIKNSDVQNKDEELPEGEKDPSHNVDKAGSHVVKTLICNDSFPAEPLQVDRVLGCRVQGDNADSRQLSVAAAHDLCSADLQVSDTQTRLSDGNSACDNDMDVGAAENLTEGCENVVKGADGDESMKDDVRVDKMNVYRRSMNKEGKKANSMDAPRMGTKDSGNINGKDQDESAVTADDSGKTHERIVTAETTKVSLKSHDEDEVPEIETHVSPDTKDKKDVDTETGINSTAQNKSQGPSSLAEPSGGSCETVLYEFLVKWAGKSNIHNSWVSESELKVLAKRKLENYKAKYGTAVINICEERWKQPQRVIGLRGLKDGSGEAFIKWNGLSYIECTWERLDEPVILNSQNLVDLFNQFEHQTLEKDASKDDSRGRDSCQQNEIVTLTEQPKELKGGSLFPHQLEALNWLRKCWHKSKNVILADEMGLGKTVSACAFLSSLYYEFKATLPCLVLVPLSTMPNWLSEFALWAPELNVVEYHGCAKARAIIRQYEWHASDPNALNKKTSAYKFNVLLTTYEMVLADSSHLRGVPWEVLIVDEGHRLKNSGSKLFSLLNSLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEDRFNDLTTAEKVDELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKILHKEGNRVLIFSQMTKLLDILEDYLAIEFGPKTYERVDGSVSVTDRQSAIARFNQDRSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDIIKWGTEELFNDSPSADGKDTDENNSNKDEAVTDVEHKHRKRTGGLGDVYKDKCTDSSNKIVWDESAILKLLDRSNLQSGSTDIAEGDLENDMLGSVKSIEWNEEPAEEQGVESPVGASDDICVQNTERKEDNMVAVTEENEWDRLLRLRWERYQSEEEAALGRGKRLRKAVSYREAYAAHPTETLSESGAEEEREPEPEPEREYTPAGRALKAKFAKLRARQKERLAQRNAIEESHPSEGLPVESLPPCPTNTAKDGDQATGLVQFFRERPSVIDLEDNKLDAPPKAKTDSPLRLGRLSKHKNSRLDLSVNPLDYLSPDIFFPSHQSQGTSMTNSVPPNNLLPVLGLCAPNASQIESSNKNFSRSNCRQKGARPEFPFSLAPQSGTLSETDINGDEVKLSGASAEVSRLKNNIPNGGLPFRPFPPAIQGNSYDRPESSGAAFSDFQERMALPNLPFDEKLLPRFPLSTKTMPSPHFDFLPSLSLGSRLEPSNGSLQELPTMPLFPNLKLPPQDAPRYNQQDREVPPTLGLGHMPTTFPSFPDNHRKVLENIMMRTGPGSSNLFKKKSKADIWTEDELDFLWIGVRRHGRGNWDAMLRDPRLKFSKFKTSEDLSARWEEEQLKILDGPSFPVSKSTKRTTKSSQFPCISDGMMARALHGSRLVTPPKFQPHLTDMKLGFSDLTSGFPHLEASDRLGLHNEQFPPIPTWFHEKFRANFSGDSSAGVSDRPGTSSNVPIEEPFVVTSFGTSCLGLNSSSSYDVQKKEDEQGAYKYGKLPCLLDRSLNVLRDMNNNLGRGEPTSSGFLPDPKRGLLKGKDLAGSSSSKDKLPHWLREAVSAPAKPPAPDLPPTVSAIAQSVRLLYGEDKRTIPPFVIPGPPPSLPKDPRRSLKKKRKQKSRLFRRIPPEIAGSSQDFQSTHFGDNASSSIPMAPSFPLLPQSMVATPGLSRIESDLSAPLSLNVANPSSSLPHLNHQKKTIMGMSPSPEVLQLVASCVAPGPHLSAASGMASSSFHDTKPSLPNSVDQVGLLDSQTAFGSKEAKRGSPLKVCDSLGKDRTCDTESGDSSKTQSDPSRTERPDVEEISSEGTVSDHPLSDREP >ONI11277 pep chromosome:Prunus_persica_NCBIv2:G4:4988904:4992398:-1 gene:PRUPE_4G098300 transcript:ONI11277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTMPRILPLAVLFVVFYVSASWAASGSVYDNFVQCLNTKANSSSSTPLANIVFAQNNPSYTSVLRAYIRNSRFNKTSTPKPVLIVTPSAESHVQASVLCAKQLGIQLKIRSGGHDYEGVSYWSDQTFIVLDMFNLRSITVDIKDGSVWAQAGATLGEMYYRIWEKSKVHGFPAGVCETVGVGGHISGGGYGNMLRKYGLAVDNVIDAQIVDVQGRLLDRKSMGEDLFWAIKGGGGGSFGVIISYKLKLVSVPEIVTVFRVERTLEENATAVVLKWQEVAPTTDDGLFMRMLLQPVTSKVKKGEKTVRISILAEFLGNADQLVSLLGKEFPELGLKKENCMEMSWIDSVLWWANFDNRTKPEALLNRNPNDANFLKRKSDYVQTAISKDGLEWLWKKMIELGKTGLVFNPYGGKMSQIPASETPFPHRAGNLFKIQYSVNWEDAGEESEKNYLTQSRRLYSYMTPFVSKNPRSAFLNYRDLDIGVNTFGDNSYEEGKVYGLKYFNDNFDRLLKVKTAVDPENFFRNEQSIPTLPNGNSDVNSRSSSNLPMGKPFVLLICLLIGGW >ONI10754 pep chromosome:Prunus_persica_NCBIv2:G4:3200214:3201455:1 gene:PRUPE_4G066300 transcript:ONI10754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGLQKREPLRRKLQNLSSLTKMNSVKRSSIFMEALLQIYMLKLKLEAVQREYLHLMALKTEYLNLIKHSQIPKEVKVEKIEEGFLVRVKCENAGDTLVSVLEAFEEMGLTVLQARISSNNYFSMEAIAVAENQNQDQLDVRDITQAITRATEINGH >ONI11669 pep chromosome:Prunus_persica_NCBIv2:G4:6469517:6471196:-1 gene:PRUPE_4G119600 transcript:ONI11669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLVNLSNLLIKPRNHASCWFVQNFNSWVKAIRNAPSPHEALGIYCQMQRQSARFDSFSMLLTLKSCTQLKNDAVIQHLHAHILKLGFRSDLYVASALLAARSIASLEDACVLFDEMPDKNTVTWNIMISGYLRSGDVKRARLVFEEMPLRDIASFSAMISGYVQNGNHKHALLLFRSMVMNENEGIRPDGGIAVSVLSSCARMGTHGLLLGKSVHGFMVKNHWELNVELGTTLVDMYAKCRSLKGAARVFELMQERSVTSWTALICGSAQHGCSRAAFSLFGKMQQAGVRPSEQTFTGILSACMHKGLVEEGRKYFKLMEESGLEPTIQHYGCMVHLYCRAGLLEEAYEVIKKMRLEPDIYVWESFLSACMKHKRYEMAERVAEQAMKMVKPGNDDRLYSLISDLYALGGKWDDADRVRNLMVKQNVRTFKGSRFVRTDNRMLTFHLSSGNS >ONI11789 pep chromosome:Prunus_persica_NCBIv2:G4:6884416:6887017:1 gene:PRUPE_4G125500 transcript:ONI11789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTNFQADEHQRRSANYKPNIWKDGFLESLNNKYIGDDYSRQFENLVKDVKNMMFVKTEDLTAQLELIDNIGKLGLTNHFEKEIKEALDTVENSNPCITENLYATALHFKILRQHGYKVSQDVFGGFMDEKGALKESNFWDVKGMLELLEATNLAFEGERILDEAKASSTVALRGSKVWNLDNNLARQVVHALELPSHRRVGWFNVKWHIHAYEKDNHANTILLLELAKLNFNMVQAALQNDLREVSMWWNNLGLKEHLKFARDRPVECFMFAVGLNFHPGYTSFRLLLSKVINLILIIDDLKIFTNAVDRWDVGVTEQLPECMKTCFQVLYNTTCEFAHEIEEEIGWNLALPHLSKAWADFCKALLVEAEWYSRSYTPSLEEYLSNGCISSSVSVLMVHTFFSTTHRDGIKEIADFLHKNEDLVHNISLIVRLTNDLGTSTAEQERGDAPSAIICYMREMNASEATAEAKIKGMIDNAWKKINGTCLRTPQQVPFLSPFINNIATNIARMAHSLYQAGDGFGDQEQGSRLIQSVLVEPLPL >ONI12091 pep chromosome:Prunus_persica_NCBIv2:G4:8242560:8244430:-1 gene:PRUPE_4G143700 transcript:ONI12091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVMASVSLKPSPFTVEKSAVRGLPSLARTSSSFKVQASGGKKIKTDTPYGTGGGMNLKDGKDASGRKPTGKGVYQFVDKYGANVDGYSPIYNRDEWSPSGDVYAGGATGLAIWAVTLLGILAGGALLVYNTSALSQ >ONI13322 pep chromosome:Prunus_persica_NCBIv2:G4:13462235:13464555:-1 gene:PRUPE_4G215400 transcript:ONI13322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPQPEQVKYICGGCGEEVTLKPNEKVQCRHCDYSILFKKRTRRIVEFLAR >ONI13477 pep chromosome:Prunus_persica_NCBIv2:G4:14130161:14135259:-1 gene:PRUPE_4G224600 transcript:ONI13477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDRQIEQLKKCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVSSFNHANNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFDAAPQESRGTPAKKPAPDYFL >ONI13476 pep chromosome:Prunus_persica_NCBIv2:G4:14129501:14135368:-1 gene:PRUPE_4G224600 transcript:ONI13476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDRQIEQLKKCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVSSFNHANNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFDAAPQESRGTPAKKPAPDYFL >ONI11979 pep chromosome:Prunus_persica_NCBIv2:G4:7710704:7723194:1 gene:PRUPE_4G138400 transcript:ONI11979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKEAFLREFGEHYGYPNGPKTIDEIRATEFKRLDGLVYLDHAGATMYSELQMEAIFKDFTTNVYGNPHSQSDTSSSTSDIVREARQQVLDYCKASPKDYSCIFTSGATAALKLVGEAFPWSCQSCFTYTMENHNSVLGIREYALDQGAAAFAIDVEETVHHGVSNGTAASMKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKALFHDFGPTVSFNLKRSDGSWSGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTAGLLHDREWVLASLSGEILTQKKVPEMCFISTFIDLDKGILFVESPRCQVKLPINFITDSCNGGSEQIKLNGQRYEVQSYKNEVNIWFSNAIGRPCTLFRCFSSSHNFCLNKIKSASMRREVQSVLNFSNEAQFLLISEESVSDLSHRVSTNVQKGACGAASQISPMRFRPNIVISGGEPYAEDGWKILKIGNKYFTSLGGCNRCQMINIVHDEAGLLQKSNEPLATLASYRRMKGKIFFGILLKYERSEPVGRDGDLWLQVGQDVHPNVS >ONI11985 pep chromosome:Prunus_persica_NCBIv2:G4:7711286:7722675:1 gene:PRUPE_4G138400 transcript:ONI11985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGLGNVGLVYLDHAGATMYSELQMEAIFKDFTTNVYGNPHSQSDTSSSTSDIVREARQQVLDYCKASPKDYSCIFTSGATAALKLVGEAFPWSCQSCFTYTMENHNSVLGIREYALDQGAAAFAIDVEETVHHGVSNGTAASMKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKALFHDFGPTVSFNLKRSDGSWSGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTAGLLHDREWVLASLSGEILTQKKVPEMCFISTFIDLDKGILFVESPRCQVKLPINFITDSCNGGSEQIKLNGQRYEVQSYKNEVNIWFSNAIGRPCTLFRCFSSSHNFCLNKIKSASMRREVQSVLNFSNEAQFLLISEESVSDLSHRVSTKDVQKGACGAASQISPMRFRPNIVISGGEPYAEDGWKILKIGNKYFTSLGGCNRCQMINIVHDEAGLLQKSNEPLATLASYRRMKGKIFFGILLKYERSEPVGRDGDLWLQVGQDVHPNVS >ONI11981 pep chromosome:Prunus_persica_NCBIv2:G4:7710704:7723104:1 gene:PRUPE_4G138400 transcript:ONI11981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKEAFLREFGEHYGYPNGPKTIDEIRATEFKRLDGLVYLDHAGATMYSELQMEAIFKDFTTNVYGNPHSQSDTSSSTSDIVREARQQVLDYCKASPKDYSCIFTSGATAALKLVGEAFPWSCQSCFTYTMENHNSVLGIREYALDQGAAAFAIDVEETVHHGVSNGTAASMKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKALFHDFGPTVSFNLKRSDGSWSGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTGLLHDREWVLASLSGEILTQKKVPEMCFISTFIDLDKGILFVESPRCQVKLPINFITDSCNGGSEQIKLNGQRYEVQSYKNEVNIWFSNAIGRPCTLFRCFSSSHNFCLNKIKSASMRREVQSVLNFSNEAQFLLISEESVSDLSHRVSTNVQKGACGAASQISPMRFRPNIVISGGEPYAEDGWKILKIGNKYFTSLGGCNRCQMINIVHDEAGLLQKSNEPLATLASYRRMKGKIFFGILLKYERSEPVGRDGDLWLQVGQDVHPNVS >ONI11987 pep chromosome:Prunus_persica_NCBIv2:G4:7710704:7723105:1 gene:PRUPE_4G138400 transcript:ONI11987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKALFHDFGPTVSFNLKRSDGSWSGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTAGLLHDREWVLASLSGEILTQKKVPEMCFISTFIDLDKGILFVESPRCQVKLPINFITDSCNGGSEQIKLNGQRYEVQSYKNEVNIWFSNAIGRPCTLFRCFSSSHNFCLNKIKSASMRREVQSVLNFSNEAQFLLISEESVSDLSHRVSTKDVQKGACGAASQISPMRFRPNIVISGGEPYAEDGWKILKIGNKYFTSLGGCNRCQMINIVHDEAGLLQKSNEPLATLASYRRMKGKIFFGILLKYERSEPVGRDGDLWLQVGQDVHPNVS >ONI11984 pep chromosome:Prunus_persica_NCBIv2:G4:7711286:7722675:1 gene:PRUPE_4G138400 transcript:ONI11984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGLGNVGLVYLDHAGATMYSELQMEAIFKDFTTNVYGNPHSQSDTSSSTSDIVREARQQVLDYCKASPKDYSCIFTSGATAALKLVGEAFPWSCQSCFTYTMENHNSVLGIREYALDQGAAAFAIDVEETVHHGVSNGTAASMKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKALFHDFGPTVSFNLKRSDGSWSGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTAGLLHDREWVLASLSGEILTQKKVPEMCFISTFIDLDKGILFVESPRCQVKLPINFITDSCNGGSEQIKLNGQRYEVQSYKNEVNIWFSNAIGRPCTLFRCFSSSHNFCLNKIKSASMRREVQSVLNFSNEAQFLLISEESVSDLSHRVSTKDVQKGACGAASQISPMRFRPNIVISGGEPYAEDGWKILKIGNKYFTSLGGCNRCQMINIVHDEAGLLQKSNEPLATLASYRRMKGKIFFGILLKYERSEPVGRDGDLWLQVGQDVHPNVS >ONI11986 pep chromosome:Prunus_persica_NCBIv2:G4:7710704:7723104:1 gene:PRUPE_4G138400 transcript:ONI11986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHNSVLGIREYALDQGAAAFAIDVEETVHHGVSNGTAASMKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKALFHDFGPTVSFNLKRSDGSWSGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTAGLLHDREWVLASLSGEILTQKKVPEMCFISTFIDLDKGILFVESPRCQVKLPINFITDSCNGGSEQIKLNGQRYEVQSYKNEVNIWFSNAIGRPCTLFRCFSSSHNFCLNKIKSASMRREVQSVLNFSNEAQFLLISEESVSDLSHRKMYKKVLVEQQAK >ONI11982 pep chromosome:Prunus_persica_NCBIv2:G4:7710704:7723105:1 gene:PRUPE_4G138400 transcript:ONI11982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKEAFLREFGEHYGYPNGPKTIDEIRATEFKRLDGLVYLDHAGATMYSELQMEAIFKDFTTNVYGNPHSQSDTSSSTSDIVREARQQVLDYCKASPKDYSCIFTSGATAALKLVGEAFPWSCQSCFTYTMENHNSVLGIREYALDQGAAAFAIDVEETVHHGVSNGTAASMKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTAGLLHDREWVLASLSGEILTQKKVPEMCFISTFIDLDKGILFVESPRCQVKLPINFITDSCNGGSEQIKLNGQRYEVQSYKNEVNIWFSNAIGRPCTLFRCFSSSHNFCLNKIKSASMRREVQSVLNFSNEAQFLLISEESVSDLSHRVSTKDVQKGACGAASQISPMRFRPNIVISGGEPYAEDGWKILKIGNKYFTSLGGCNRCQMINIVHDEAGLLQKSNEPLATLASYRRMKGKIFFGILLKYERSEPVGRDGDLWLQVGQDVHPNVS >ONI11983 pep chromosome:Prunus_persica_NCBIv2:G4:7710704:7719814:1 gene:PRUPE_4G138400 transcript:ONI11983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKEAFLREFGEHYGYPNGPKTIDEIRATEFKRLDGLVYLDHAGATMYSELQMEAIFKDFTTNVYGNPHSQSDTSSSTSDIVREARQQVLDYCKASPKDYSCIFTSGATAALKLVGEAFPWSCQSCFTYTMENHNSVLGIREYALDQGAAAFAIDVEETVHHGVSNGTAASMKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKALFHDFGPTVSFNLKRSDGSWSGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTVGGTFLNNTRIQACRAS >ONI11978 pep chromosome:Prunus_persica_NCBIv2:G4:7710704:7723194:1 gene:PRUPE_4G138400 transcript:ONI11978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKEAFLREFGEHYGYPNGPKTIDEIRATEFKRLDGLVYLDHAGATMYSELQMEAIFKDFTTNVYGNPHSQSDTSSSTSDIVREARQQVLDYCKASPKDYSCIFTSGATAALKLVGEAFPWSCQSCFTYTMENHNSVLGIREYALDQGAAAFAIDVEETVHHGVSNGTAASMKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKALFHDFGPTVSFNLKRSDGSWSGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTAGLLHDREWVLASLSGEILTQKKVPEMCFISTFIDLDKGILFVESPRCQVKLPINFITDSCNGGSEQIKLNGQRYEVQSYKNEVNIWFSNAIGRPCTLFRCFSSSHNFCLNKIKSASMRREVQSVLNFSNEAQFLLISEESVSDLSHRVSTKDVQKGACGAASQISPMRFRPNIVISGGEPYAEDGWKILKIGNKYFTSLGGCNRCQMINIVHDEAGLLQKSNEPLATLASYRRMKGKIFFGILLKYERSEPVGRDGDLWLQVGQDVHPNVS >ONI11980 pep chromosome:Prunus_persica_NCBIv2:G4:7710704:7723194:1 gene:PRUPE_4G138400 transcript:ONI11980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKEAFLREFGEHYGYPNGPKTIDEIRATEFKRLDGLVYLDHAGATMYSELQMEAIFKDFTTNVYGNPHSQSDTSSSTSDIVREARQQVLDYCKASPKDYSCIFTSGATAALKLVGEAFPWSCQSCFTYTMENHNSVLGIREYALDQGAAAFAIDVEETVHHGVSNGTAASMKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKALFHDFGPTVSFNLKRSDGSWSGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTGLLHDREWVLASLSGEILTQKKVPEMCFISTFIDLDKGILFVESPRCQVKLPINFITDSCNGGSEQIKLNGQRYEVQSYKNEVNIWFSNAIGRPCTLFRCFSSSHNFCLNKIKSASMRREVQSVLNFSNEAQFLLISEESVSDLSHRVSTKDVQKGACGAASQISPMRFRPNIVISGGEPYAEDGWKILKIGNKYFTSLGGCNRCQMINIVHDEAGLLQKSNEPLATLASYRRMKGKIFFGILLKYERSEPVGRDGDLWLQVGQDVHPNVS >ONI11989 pep chromosome:Prunus_persica_NCBIv2:G4:7710704:7723104:1 gene:PRUPE_4G138400 transcript:ONI11989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKALFHDFGPTVSFNLKRSDGSWSGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTAGLLHDREWVLASLSGEILTQKKVPEMCFISTFIDLDKGILFVESPRCQVKLPINFITDSCNGGSEQIKLNGQRYEVQSYKNEVNIWFSNAIGRPCTLFRCFSSSHNFCLNKIKSASMRREVQSVLNFSNEAQFLLISEESVSDLSHRVSTNVQKGACGAASQISPMRFRPNIVISGGEPYAEDGWKILKIGNKYFTSLGGCNRCQMINIVHDEAGLLQKSNEPLATLASYRRMKGKIFFGILLKYERSEPVGRDGDLWLQVGQDVHPNVS >ONI11988 pep chromosome:Prunus_persica_NCBIv2:G4:7710704:7723105:1 gene:PRUPE_4G138400 transcript:ONI11988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLQYQVQRRNEASSLEEPTGEAYNLFAFPSECNFSGLRFSLDLVKIIKEDPARILEGSPFCNGRWMVLIDAAKGSATEPPDLSLYPADFVVMSFYKLFGYPTGLGVLIARNDASRLLKKTYFSGGTVATSIADIDFVRRRKSVEELFEDGTISFLSIASVHHGFKILNSLTVSAISRHTASLAWYVRKKLLGLRHENGARVCTLYGDSKALFHDFGPTVSFNLKRSDGSWSGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLRSNFEAGHVCWDDHDIIHEKPTGAVRVSFGYMSTFEDAKKFIDFVTSSFIALPNWIESGYQLMQGSESRLGAASFYLKSITVYPIKSCAGFNVESWPLSTTAGLLHDREWVLASLSGEILTQKKVPEMCFISTFIDLDKGILFVESPRCQVKLPINFITDSCNGGSEQIKLNGQRYEVQSYKNEVNIWFSNAIGRPCTLFRCFSSSHNFCLNKIKSASMRREVQSVLNFSNEAQFLLISEESVSDLSHRVSTKDVQKGACGAASQISPMRFRPNIVISGGEPYAEDGWKILKIGNKYFTSLGGCNRCQMINIVHDEAGLLQKSNEPLATLASYRRMKGKIFFGILLKYERSEPVGRDGDLWLQVGQDVHPNVS >ONI13898 pep chromosome:Prunus_persica_NCBIv2:G4:17380187:17382157:-1 gene:PRUPE_4G253600 transcript:ONI13898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVEQSHPHSKASVTSQVASYSLINKQSHAQAHLTHDLTQPNEPGNSLITSVSAGAELSDDESNSFNNNLKNEEDEFFDSFPPGYRFNPLDEELVVHYLKKKVLDQPLPPNRIIEVNLYRHNPEFLAEKYRKYGEAEWYFFTPRDRKYKNGSRPKRAAGSGYWKATGADKAVRSNGALVGFRKALVFYRGKPPKGDKTNWIMHEFRVKDSPVRRKRGENDMRLDNWVLCRIYKKVDKNSKRCETRNHVEDPLSPQPQIINGEGMEMEMEMEMSTIFDPMVEYDNKYSIMQNVYGVSHNGMVHSQLQAVRMNEVPSYPNIGHPQAIGARASSMPLLPDSLLESRYIDESTIHPDDLYNLKAFERSLYDTYQRQFPPVENFYPYLE >ONI11364 pep chromosome:Prunus_persica_NCBIv2:G4:5310102:5317299:-1 gene:PRUPE_4G103700 transcript:ONI11364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSPSPRAVGQPNQVQEPYSRARKNPFFDGLLRAEDGTPSPPFDLQASLDSRNISRTMSDIGNTRTRNAHNSLTDIGNNPFLQPFERSKIDKTASFAFTDVQSLFSLDPNEPIEANEKPPTVNGLTSPTNAKSFRVNRRKENLSTVSLPQSAASFYDGDSPEFEIVASCPSINQLNSFLKATKDEVNAGVPGRFLHVVMAQDVPDVGSIASTITYAFYLNEARKCDQICTVPVINMKRLDIRSQAELKWLLDSCQIDQSSLIFVDEIDLSYYDLFGSLKVVLLNGNKLPAKQEALKQAVVEIFNCKEGESVYPWVRTVTIAEKQDSSCCTLVAEKFALISPEILAGQGFSRLLLAAILLDSANLCSPQCSLKDKYMATLLIHGAGRFGCNGLYQLLRYKMYDVSDLKVADILRKDFKKWTRVGKPDSAGSRMMASQIGMSSIGVPIAQLLDHEDTSVREIKYFQHMEKIRLLMIVSGYYDSKKNFKREILVSAESVELMKNLLFFFNASASQLPLKAIHQPGLREEMQAFEIDKVTSRKTIERLLEEFGGTSKG >ONI11366 pep chromosome:Prunus_persica_NCBIv2:G4:5310084:5317548:-1 gene:PRUPE_4G103700 transcript:ONI11366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSPSPRAVGQPNQVQEPYSRARKNPFFDGLLRAEDGTPSPPFDLQASLDSRNISRTMSDIGNTRTRNAHNSLTDIGNNPFLQPFERSKIDKTASFAFTDVQSLFSLDPNEPIEANEKPPTVNGLTSPTNAKSFRVNRRKENLSTVSLPQSAASFYDGDSPEFEIVASCPSINQLNSFLKATKDEVNAGVPGRFLHVVMAQDVPDVGSIASTITYAFYLNEARKCDQICTVPVINMKRLDIRSQAELKWLLDSCQIDQSSLIFVDEIDLSYYDLFGSLKVVLLNGNKLPAKQEALKQAVVEIFNCKEGESVYPWVRTVTIAEDSSCCTLVAEKFALISPEILAGQGFSRLLLAAILLDSANLCSPQCSLKDKYMATLLIHGAGRFGCNGLYQLLRYKMYDVSDLKVADILRKDFKKWTRVGKPDSAGSRMMASQIGMSSIGVPIAQLLDHEDTSVREIKYFQRS >ONI11370 pep chromosome:Prunus_persica_NCBIv2:G4:5311544:5316975:-1 gene:PRUPE_4G103700 transcript:ONI11370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSPSPRAVGQPNQVQEPYSRARKNPFFDGLLRAEDGTPSPPFDLQASLDSRNISRTMSDIGNTRTRNAHNSLTDIGNNPFLQPFERSKIDKTASFAFTDVQSLFSLDPNEPIEANEKPPTVNGLTSPTNAKSFRVNRRKENLSTVSLPQSAASFYDGDSPEFEIVASCPSINQLNSFLKATKDEVNAGVPGRFLHVVMAQDVPDVGSIASTITYAFYLNEARKCDQICTVPVINMKRLDIRSQAELKWLLDSCQIDQSSLIFVDEIDLSYYDLFGSLKVVLLNGNKLPAKQEALKQAVVEIFNCKEGESVYPWVRTVTIAEKQDSSCCTLVAEKFALISPEILAGQGFSRLLLAAILLDSANLCSPQCSLKDKYMATLLIHGAGRFGCNGLYQLLRYKMYDVSDLKVADILRKDFKKWTRVGKPDSAGSRMMASQIGMSSIGVPIAQLLDHEDTSVREIKYFQHMEKIRLLMIVSGYYDSKKNFKVRYMLIFDSFSFY >ONI11363 pep chromosome:Prunus_persica_NCBIv2:G4:5309904:5317560:-1 gene:PRUPE_4G103700 transcript:ONI11363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSPSPRAVGQPNQVQEPYSRARKNPFFDGLLRAEDGTPSPPFDLQASLDSRNISRTMSDIGNTRTRNAHNSLTDIGNNPFLQPFERSKIDKTASFAFTDVQSLFSLDPNEPIEANEKPPTVNGLTSPTNAKSFRVNRRKENLSTVSLPQSAASFYDGDSPEFEIVASCPSINQLNSFLKATKDEVNAGVPGRFLHVVMAQDVPDVGSIASTITYAFYLNEARKCDQICTVPVINMKRLDIRSQAELKWLLDSCQIDQSSLIFVDEIDLSYYDLFGSLKVVLLNGNKLPAKQEALKQAVVEIFNCKEGESVYPWVRTVTIAEDSSCCTLVAEKFALISPEILAGQGFSRLLLAAILLDSANLCSPQCSLKDKYMATLLIHGAGRFGCNGLYQLLRYKMYDVSDLKVADILRKDFKKWTRVGKPDSAGSRMMASQIGMSSIGVPIAQLLDHEDTSVREIKYFQHMEKIRLLMIVSGYYDSKKNFKREILVSAESVELMKNLLFFFNASASQLPLKAIHQPGLREEMQAFEIDKVTSRKTIERLLEEFGGTSKG >ONI11365 pep chromosome:Prunus_persica_NCBIv2:G4:5309904:5317299:-1 gene:PRUPE_4G103700 transcript:ONI11365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQEPYSRARKNPFFDGLLRAEDGTPSPPFDLQASLDSRNISRTMSDIGNTRTRNAHNSLTDIGNNPFLQPFERSKIDKTASFAFTDVQSLFSLDPNEPIEANEKPPTVNGLTSPTNAKSFRVNRRKENLSTVSLPQSAASFYDGDSPEFEIVASCPSINQLNSFLKATKDEVNAGVPGRFLHVVMAQDVPDVGSIASTITYAFYLNEARKCDQICTVPVINMKRLDIRSQAELKWLLDSCQIDQSSLIFVDEIDLSYYDLFGSLKVVLLNGNKLPAKQEALKQAVVEIFNCKEGESVYPWVRTVTIAEDSSCCTLVAEKFALISPEILAGQGFSRLLLAAILLDSANLCSPQCSLKDKYMATLLIHGAGRFGCNGLYQLLRYKMYDVSDLKVADILRKDFKKWTRVGKPDSAGSRMMASQIGMSSIGVPIAQLLDHEDTSVREIKYFQHMEKIRLLMIVSGYYDSKKNFKREILVSAESVELMKNLLFFFNASASQLPLKAIHQPGLREEMQAFEIDKVTSRKTIERLLEEFGGTSKG >ONI11369 pep chromosome:Prunus_persica_NCBIv2:G4:5311544:5316975:-1 gene:PRUPE_4G103700 transcript:ONI11369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSPSPRAVGQPNQVQEPYSRARKNPFFDGLLRAEDGTPSPPFDLQASLDSRNISRTMSDIGNTRTRNAHNSLTDIGNNPFLQPFERSKIDKTASFAFTDVQSLFSLDPNEPIEANEKPPTVNGLTSPTNAKSFRVNRRKENLSTVSLPQSAASFYDGDSPEFEIVASCPSINQLNSFLKATKDEVNAGVPGRFLHVVMAQDVPDVGSIASTITYAFYLNEARKCDQICTVPVINMKRLDIRSQAELKWLLDSCQIDQSSLIFVDEIDLSYYDLFGSLKVVLLNGNKLPAKQEALKQAVVEIFNCKEGESVYPWVRTVTIAEDSSCCTLVAEKFALISPEILAGQGFSRLLLAAILLDSANLCSPQCSLKDKYMATLLIHGAGRFGCNGLYQLLRYKMYDVSDLKVADILRKDFKKWTRVGKPDSAGSRMMASQIGMSSIGVPIAQLLDHEDTSVREIKYFQHMEKIRLLMIVSGYYDSKKNFKVRYMLIFDSFSFY >ONI11368 pep chromosome:Prunus_persica_NCBIv2:G4:5310067:5317299:-1 gene:PRUPE_4G103700 transcript:ONI11368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSPSPRAVGQPNQVQEPYSRARKNPFFDGLLRAEDGTPSPPFDLQASLDSRNISRTMSDIGNTRTRNAHNSLTDIGNNPFLQPFERSKIDKTASFAFTDVQSLFSLDPNEPIEANEKPPTVNGLTSPTNAKSFRVNRRKENLSTVSLPQSAASFYDGDSPEFEIVASCPSINQLNSFLKATKDEVNAGVPGRFLHVVMAQDVPDVGSIASTITYAFYLNEARKCDQICTVPVINMKRLDIRSQAELKWLLDSCQIDQSSLIFVDEIDLSYYDLFGSLKVVLLNGNKLPAKQEALKQAVVEIFNCKEGESVYPWVRTVTIAEKQDSSCCTLVAEKFALISPEILAGQGFSRLLLAAILLDSANLCSPQCSLKDKYMATLLIHGAGRFGCNGLYQLLRYKMYDVSDLKVADILRKDFKKWTRVGKPDSAGSRMMASQIGMSSIGVPIAQLLDHEDTSVREIKYFQRS >ONI11367 pep chromosome:Prunus_persica_NCBIv2:G4:5311331:5317307:-1 gene:PRUPE_4G103700 transcript:ONI11367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSPSPRAVGQPNQVQEPYSRARKNPFFDGLLRAEDGTPSPPFDLQASLDSRNISRTMSDIGNTRTRNAHNSLTDIGNNPFLQPFERSKIDKTASFAFTDVQSLFSLDPNEPIEANEKPPTVNGLTSPTNAKSFRVNRRKENLSTVSLPQSAASFYDGDSPEFEIVASCPSINQLNSFLKATKDEVNAGVPGRFLHVVMAQDVPDVGSIASTITYAFYLNEARKCDQICTVPVINMKRLDIRSQAELKWLLDSCQIDQSSLIFVDEIDLSYYDLFGSLKVVLLNGNKLPAKQEALKQAVVEIFNCKEGESVYPWVRTVTIAEDSSCCTLVAEKFALISPEILAGQGFSRLLLAAILLDSANLCSPQCSLKDKYMATLLIHGAGRFGCNGLYQLLRYKMYDVSDLKVADILRKDFKKWTRVGKPDSAGSRMMASQIGMSSIGVPIAQLLDHEDTSVREIKYFQRS >ONI11822 pep chromosome:Prunus_persica_NCBIv2:G4:7034179:7036620:-1 gene:PRUPE_4G128000 transcript:ONI11822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQHPHQHHKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSLKDHASPLDLQRNSASSSAMIGRSMNEMQMEVQRRLHEQLEVQKHLQLRIEAQGKYMQSILEKACQTLAGENNMAAAAGSYKGNIGNQGVNPADMGALKDFNSPLNFPSFQDLNIYGGDHLDLQQNLDRPPLDHSFMPSNDNSICLGPKKRPSPYSGSGKSPLIWSDDLRLQDLGTAASCLGPQDDPFKSSDSIQIAPPSIERGSEMDSISDIYESKPMIQGEDKKFDSANKLERPSPRRTPLGSDRMNPMINTGVRQGRNSPFG >ONI09611 pep chromosome:Prunus_persica_NCBIv2:G4:69007:78272:-1 gene:PRUPE_4G000200 transcript:ONI09611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEYEHQLMAMQISPADAHGTTPGKEQQAAGVGILLQIMMLVLSFVLGHVLRRHKFYYLPEASASLLIGLIVGGLANISNTETNIRTWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAILGTLISSVVTGILVYLGGLIYLTYRLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSLVRSHASSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTYSNLSENSQRFVSSFFHLISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSIIFIGVARAANVFSCAYLVNLVRPAHRQIPLKHQQALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGLEGTLGESFEGNNGYIAPSYNEEASSGNRIKMKLKEFHQSTASFTALDRNYLTPFFTSQNGDEVEELDEPMPSSARGGFQGHGQHSR >ONI09612 pep chromosome:Prunus_persica_NCBIv2:G4:69126:78236:-1 gene:PRUPE_4G000200 transcript:ONI09612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEYEHQLMAMQISPADAHGTTPGKEQQAAGVGILLQIMMLVLSFVLGHVLRRHKFYYLPEASASLLIGLIVGGLANISNTETNIRTWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFAILGTLISSVVTGILVYLGGLIYLTYRLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSLVRSHASSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTYSNLSENSQRFVSSFFHLISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSIIFIGVARAANVFSCAYLVNLVRPAHRQIPLKHQQALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGLEGTLGEGSVDVLKKLHCTQPQR >ONI13060 pep chromosome:Prunus_persica_NCBIv2:G4:12466329:12468340:1 gene:PRUPE_4G200500 transcript:ONI13060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSVTVEENIESYVQSNIIEPAIKGTLDLLKSCLNSGTVKRVVLTSSISTLTAKDSKGNARAVVDESCQTPVDQVLSEKANGWVYVLSKILTEEAAFRFANENDINLVSVITSTVGGPFLTSKVPSSIQVLLSPITGDSEFHGILSAVNARMGSIALVHVEDICSAHIFLMEHAKAEGPYICAAQSYPISELVKYLAQAYPCSNSNRFMEEEINDVVPSEISSKKLTDLGFSFKHGLEDIIHQTITTCLGYGFLPSL >ONI13059 pep chromosome:Prunus_persica_NCBIv2:G4:12464242:12468340:1 gene:PRUPE_4G200500 transcript:ONI13059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLEGKRKGAMATYCVTGATGFIGSWLVNTLLERGHMVHATVRDPAKCLHLLSLWTNTDRLRLFQADLGEEGSFDKAVKGCDGVFHVAASMEFSVTVEENIESYVQSNIIEPAIKGTLDLLKSCLNSGTVKRVVLTSSISTLTAKDSKGNARAVVDESCQTPVDQVLSEKANGWVYVLSKILTEEAAFRFANENDINLVSVITSTVGGPFLTSKVPSSIQVLLSPITGDSEFHGILSAVNARMGSIALVHVEDICSAHIFLMEHAKAEGPYICAAQSYPISELVKYLAQAYPCSNSNRFMEEEINDVVPSEISSKKLTDLGFSFKHGLEDIIHQTITTCLGYGFLPSL >ONI12003 pep chromosome:Prunus_persica_NCBIv2:G4:7765960:7766443:-1 gene:PRUPE_4G139200 transcript:ONI12003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFILITTTNLFVEFYLIFDNYFLAAKMWIEENAFMAEFTAQTNMYEENNGIIGLVVKRVDVANFLMEVSFHCSLLSGIKPM >ONI11769 pep chromosome:Prunus_persica_NCBIv2:G4:6788700:6791788:1 gene:PRUPE_4G124300 transcript:ONI11769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMWLSSIRLGFPRKRIQPTQSNRNHLMFIYGGASVGGFKWASSQTALSHWNSCSSPSDESDDNYVTACTTDTNSNNSDATHFFSQLDTFGVVSNLNSLKNEPNLAFSFFHQLKGDGFQHDVFTYSTLIRILCSWGLYRKLDILFLDLIKSSEDLGFQFSDLLETIAEGIEASPSMVRAYDALLKSFVSLNMFDEAIDVLFQTKRRGFVPHVFTSNFLMNRLIEHGKVDMAVAIYKQLKRIGLSPNDYTYAIVIKGLCKKGSLEEAVDVFQEMEKAGVTPSAFAYTAYIEGLCTNRKSDLGYQVLQACNGANVHIDVYAYNTVIRGFCDEMKFDEAESIFLDMEKRGVVPDSYTYGAIICGYCKNRFLLKALTLHNDMVSKGIKTNCVIVSFILQCMCKMGMPSEAVDYFIEYKSLGIYLDEVSYNIAVDALCKLGKVDQALELLEEMKCKHMILDIMHYTTLIKGYCLQGNVVNAVNLLEEMKEKGLKPDITTYNVLAAGFSRNGLGAEALDLLDYMESQGFKPDSVTHNMIIENLCIGGKVKQAEAFVKSLEYKSVDTYSAMISGYCEAKDTRKAYELLIRLAKGGTLVKKGVCFKVLSNLCVEGDNDRAILLLESMLALNVEPRKTMYNKVIASLCKAGEVKKAHWFFDTLVERGFTPDVINYTMLINSYCRVNCLREAHDLFYDMKRKGIQPDIITYTVLLDSYSKRNLRRVHSPLGASGDKEERMNAFTLWTEMKEMEIRPDVICYTVLIDRQCKTDNLQDAIALFDEMTNRGLEPDTVTYTALLSGCCNRGDVDKAVTLVNEMSSKGIQPDSHTLLVLQHGILKAKKVQFRK >ONI13261 pep chromosome:Prunus_persica_NCBIv2:G4:13242841:13245957:-1 gene:PRUPE_4G212300 transcript:ONI13261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYEPPSFSLGFDLGFDSELQTAATDHSTPAPAPDPWRGSDALKPFDVDEEIGPQITGPDPEIGPRPVRPLKRLKRGLALKREPATPIRNIDDDIEEFSSPEDIIRDAYRPTQYQTVSSSSKIPLHGSGVLTSQSSCHSMGRKRKPASDVSASVGMEANRQGLMFPKLTTSPLRRFQLIDSDSDDPSVRGNGSRVTCNVDPSSKKQHFNSCHSASTSETKKKLSVPQDGGDVDLWKDFSPIKKFSIPTPALDEVCQEFLQSAKDKTTQKLGRDSCLHTNEIFQETTCCVQDVEQLWNVADPLPPAHHYFFHDDPNIRKLVCSRLPNFFPLGINIRGNQQNGSSVIDYMGQFSNGEASKQKVNQKIHLDQSSKRRNKSNISNVEEGLHASGGWMNPKGKAAQKGSVNKSSRKVRNRSAKSNFGNGEHTSGNWVEPRSNASTKRIQANAQPSGQWSTPSASGQAAGHWYTGPGGRKVYVSKTGQEVTGSAAYRLYRKESGARSVKARKTKGKKEKGSKKR >ONI13260 pep chromosome:Prunus_persica_NCBIv2:G4:13242616:13246134:-1 gene:PRUPE_4G212300 transcript:ONI13260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYEPPSFSLGFDLGFDSELQTAATDHSTPAPAPDPWRGSDALKPFDVDEEIGPQITGPDPEIGPRPVRPLKRLKRGLALKREPATPIRNIDDDIEEFSSPEDIIRDAYRPTQYQTVSSSSKIPLHGSGVLTSQSSCHSMGRKRKPASDVSASVVRGNGSRVTCNVDPSSKKQHFNSCHSASTSETKKKLSVPQDGGDVDLWKDFSPIKKFSIPTPALDEVCQEFLQSAKDKTTQKLGRDSCLHTNEIFQETTCCVQDVEQLWNVADPLPPAHHYFFHDDPNIRKLVCSRLPNFFPLGINIRGNQQNGSSVIDYMGQFSNGEASKQKVNQKIHLDQSSKRRNKSNISNVEEGLHASGGWMNPKGKAAQKGSVNKSSRKVRNRSAKSNFGNGEHTSGNWVEPRSNASTKRIQANAQPSGQWSTPSASGQAAGHWYTGPGGRKVYVSKTGQEVTGSAAYRLYRKESGARSVKARKTKGKKEKGSKKR >ONI13262 pep chromosome:Prunus_persica_NCBIv2:G4:13242841:13245957:-1 gene:PRUPE_4G212300 transcript:ONI13262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYEPPSFSLGFDLGFDSELQTAATDHSTPAPAPDPWRGSDALKPFDVDEEIGPQITGPDPEIGPRPVRPLKRLKRGLALKREPATPIRNIDDDIEEFSSPEDIIRADAYRPTQYQTVSSSSKIPLHGSGVLTSQSSCHSMGRKRKPASDVSASVGMEANRQGLMFPKLTTSPLRRFQLIDSDSDDPSVRGNGSRVTCNVDPSSKKQHFNSCHSASTSETKKKLSVPQDGGDVDLWKDFSPIKKFSIPTPALDEVCQEFLQSAKDKTTQKLGRDSCLHTNEIFQETTCCVQDVEQLWNVADPLPPAHHYFFHDDPNIRKLVCSRLPNFFPLGINIRGNQQNGSSVIDYMGQFSNGEASKQKVNQKIHLDQSSKRRNKSNISNVEEGLHASGGWMNPKGKAAQKGSVNKSSRKVRNRSAKSNFGNGEHTSGNWVEPRSNASTKRIQANAQPSGQWSTPSASGQAAGHWYTGPGGRKVYVSKTGQEVTGSAAYRLYRKESGARSVKARKTKGKKEKGSKKR >ONI13763 pep chromosome:Prunus_persica_NCBIv2:G4:16112741:16120678:-1 gene:PRUPE_4G243700 transcript:ONI13763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGYAEKLSYIEDVGQVGMTEHFDPPPVLQEKIERLAMLVQKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKALPEASLPFHRAMPSMTHMALVELERAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFLETCPSCGVEYLRDFEVETIGLKETSRRCSDKKCGARLKDTVLDWEDALPSKEMNLAEKHCRIADVVLCLGTSLQITPACNLPLKSLRGGGKIVIVNLQKTPKDKKANLLIHGLVDKVISGVMDFLNLQIPPFVRIDFFQIILTQALSSDKKNVNWNLRVASVHGQKAPLPFIKSIEISFLENQGYKSAILQKEPFQLKRRTLQAKSFEMVMKFNFIDSCGCPSTEIIVPLNFKVSRDCFELDKDAILQKLRHTAIQESCCGQNAVVERNAMLTPKTEVIVYAIVTNVIRYKKTTEALEADSLGNGVKRRRESANGTETSRKRSKVHRRKPRF >ONI13764 pep chromosome:Prunus_persica_NCBIv2:G4:16112216:16120936:-1 gene:PRUPE_4G243700 transcript:ONI13764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGYAEKLSYIEDVGQVGMTEHFDPPPVLQEKIERLAMLVQKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKALPEASLPFHRAMPSMTHMALVELERAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFLETCPSCGVEYLRDFEVETIGLKETSRRCSDKKCGARLKDTVLDWEDALPSKEMNLAEKHCRIADVVLCLGTSLQITPACNLPLKSLRGGGKIVIVNLQKTPKDKKANLLIHGLVDKVISGVMDFLNLQIPPFVRIDFFQIILTQALSSDKKNVNWNLRVASVHGQKAPLPFIKSIEISFLENQGYKSAILQKEPFQLKRFQETASSLIRMPYCKSSDTQQFKNHAVGRMQLLREMPC >ONI10034 pep chromosome:Prunus_persica_NCBIv2:G4:1140088:1143549:-1 gene:PRUPE_4G024100 transcript:ONI10034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEGWVSMPKVELHAHLNGSVRDSTLLELARVLGEKGVIVFSDVEHVILKNERSLTEVFRLFDLIHILTTDHKIITRITKEVIEDFASENVVYLELRTTPKKNDSIGMSKRSYLEAVLEGIKAVNTVDVAFRPHNADVSNVKSSSLINDTCNGSTRKEIYVRLLLSIDRRESTEAAMETVKLALEMRDLGVVGIDLSGNPIVGEWMTFFPALKFAREQGLYITLHCGEVPNPKEIQAMLDFLPQRIGHACCFEEEEWKRFKSLNIPLICIIQNIL >ONI10033 pep chromosome:Prunus_persica_NCBIv2:G4:1140683:1143310:-1 gene:PRUPE_4G024100 transcript:ONI10033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEGWVSMPKVELHAHLNGSVRDSTLLELARVLGEKGVIVFSDVEHVILKNERSLTEVFRLFDLIHILTTDHKIITRITKEVIEDFASENVVYLELRTTPKKNDSIGMSKRSYLEAVLEGIKAVNTVDVAFRPHNADVSNVKSSSLINDTCNGSTRKEIYVRLLLSIDRRESTEAAMETVKLALEMRDLGVVGIDLSGNPIVGEWMTFFPALKFAREQGLYITLHCGEVPNPKEIQAMLDFLPQRIGHACCFEEEEWKRFKSLNIPVEICLTSNIRTNSVRSIEVHHFADLYNSKHPLVICTDDSGVFSTSLSKEYNLAASAFGLGRRGIFQLARNAIEYIFADDEVKRELKEIFNSSEKKLDQ >ONI12060 pep chromosome:Prunus_persica_NCBIv2:G4:8074920:8075845:1 gene:PRUPE_4G141700 transcript:ONI12060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSISPVVRVAVQCKVASDLSKLFEDFKRLAKSDPMVVCSIEESGEHIIAGAGEHHLEISEIIKSDPVVSFRETVLEKSSRTLMSKSSNKHNRLYMEARPLEEDDPKIRSKICVRGVQYLNEIKDSVVAGFQWASKEGASAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVDIQAPEQALGGIYCVLNQKRGHVFEEMQRPGTPFYNIKAYLPVIESFEFSVQLRAATSGQAFPQCVLDHWEMMSSDQAAQLVTDIR >ONI11422 pep chromosome:Prunus_persica_NCBIv2:G4:5489402:5495777:1 gene:PRUPE_4G106000 transcript:ONI11422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRICVKNLPKHVNESRLREFFSEKGEITDAKLMRTKEGKSRQFAFIGFRTESESEEAMKYYNRSFLDTCRITCEIARKVGDPEIPRPWSRHSQKKETKGGEDGKKNKKEKEGVVAKSRSLEPSVKDESGDLQLHEFLEVMQPRAKSKLWANDTLVTPVAEKVGKAKKESEEKSVPVFMEVDKGVKKSDLHNVAKDDAVSDMDYFKSRVKTEWSDSESSGSDDDEEEEEEEKEKEKEKGSQKVDTKEQSKVYEEEAGEEGPSEDANGQVLDENNELSTSKEEEKEEVLESGRLFVRNLPFTATEDELEEVFSKFGDAQVHLIIDKETNRSKGFSYVLYTLPESAERALEELDGSIFQGRLLHVMPAKQKNPTEKLGADNSASQGSKTLKQRREDERKASEASGDTRAWNSLYMRSDTVIENIARKYGVSKSDLLDREADDLAVRIALGETQVIAETKKALTNAGVNVESLENFAAGKTDGSKRSNHVLLVKNLPYGSTDGELAKMFGKFGGLEKIILPPTKTLALVVFLEPAAARAAFKGLAYKRYKDGPLYLEWAPGNVLSQSSKTESIENNSAVVGEHDVKKVMLEQYVEGISDVDADPDRVESRSLYVKNLSFKTSDESLKKHFSEQVKEGKIFSVKVKKHLKNGKNVSMGFGFIEFDSLETATNACRDLQGTVLDGHSLILQLCHAKKDEVPKKVDKDKSSTKLLVKNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGQHRGFAFVEFITKQEAENALKALSSTHLYGRHLVLERAKEGESLEELRARTAAQFTDEQTGFSRKRKHTTTVDDGKIKFQRTAD >ONI14144 pep chromosome:Prunus_persica_NCBIv2:G4:19597316:19597621:1 gene:PRUPE_4G264700 transcript:ONI14144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKVVNNPKLIQDKVHALLTRLSFKAINGPLYANGKIKISPKGKNVFGTVECTKDLSEVNCKECLDVAITELQDRSYGMRGGHVFYGSCYIRFEFHRFYY >ONI14102 pep chromosome:Prunus_persica_NCBIv2:G4:19081325:19083984:1 gene:PRUPE_4G262200 transcript:ONI14102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRRSLFSLSLIFVFMINSAIATPVTYNVASLGAKADGKTDSTKAFLSAWAKACASMNPGVIYVPAGTFFLRDVVFSGPCKNNAITFRIAGTLVAPSDYRVIGNAANWIFFHHVNGVTISGGILDGQGTALWACKASHGESCPSGATTLGFSDSNNIVVSGLASLNSQMFHIVINDCQNVQMQGVRVSASGNSPNTDGIHVQMSSGVTILNSKIATGDDCVSIGPGTSNLWIEGVACGPGHGISIGSLGKEQEEAGVQNVTVKTVTFSGTQNGLRIKSWGRPSTGFARNILFQHATMVNVENPIVIDQHYCPDNKGCPGQVSGVQISDVTYEDIHGTSATEVAVKFDCSPKHPCSEIKLEDVKLTYKNQAAESSCSHADGTTEGVVQPTSCL >ONI14103 pep chromosome:Prunus_persica_NCBIv2:G4:19081448:19083014:1 gene:PRUPE_4G262200 transcript:ONI14103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRRSLFSLSLIFVFMINSAIATPVTYNVASLGAKADGKTDSTKAFLSAWAKACASMNPGVIYVPAGTFFLRDVVFSGPCKNNAITFRIAGTLVAPSDYRVIGNAANWIFFHHVNGVTISGGILDGQGTALWACKASHGESCPSGATTLGFSDSNNIVVSGLASLNSQMFHIVINDCQNVQMQGVRVSASGNSPNTDGIHVQMSSGVTILNSKIATGDDCVSIGPGTSNLWIEGVACGPGHGISIGSLGKEQEEAGVQNVTVKTVTFSGTQNGLRIKSWGRPSTGFARNILFQHATMVNVENPIVIDQHYCPDNKGCPGQVRYHSCSKNQFPKFNITTQFSLLHIDKNVGITKL >ONI13686 pep chromosome:Prunus_persica_NCBIv2:G4:15564447:15565456:1 gene:PRUPE_4G238100 transcript:ONI13686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQWLPSLQVLFTFLLFLILVLKIGRNAKPITLIQIYPKRPWKLPFIGNIHQLIGSLPHHELRDLAKRYGPLMHLQLGEVSTVVVSVT >ONI14373 pep chromosome:Prunus_persica_NCBIv2:G4:23247230:23249777:-1 gene:PRUPE_4G277700 transcript:ONI14373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENNNQQVFDISIDVVPKINGSKCFDDDGRLKRTGSVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPSVMFLFSFVSYYTSCLLSDCYRSGDPLTGKRNYTYIDAVRSILGGARVKACGLIQYLNLFGIAIGYTIAASVSMMAIKRSNCFHESGGKKPCHMSSNPYMILFGVTEVLLSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIVKVATAGTFRGSLTGISIGTVTETQKMWRSFQALGNIAFAYSYSVILIEIQDTIKAPPSEAKTMKKATVLSIAVTTTFYMLCGCMGYAAFGDFAPGNLLTGFGFYNPFWLLDIANAAIVVHLVGAYQVFCQPIFAFVEKWAAQRWPESKLITKEFQVPVPGLPSFKLNLFRLVWRTKFVMLTTIISMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIAQKQIPKWSTRWICLQMLSIACLVISLVAAAGSIAGVMLDLKVYRPFKTSY >ONI09881 pep chromosome:Prunus_persica_NCBIv2:G4:745020:746789:-1 gene:PRUPE_4G015800 transcript:ONI09881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRKNPQIENVLMRLPSSIRCTACSTVMAKDTKLNSRKEEALGEEFWEVWKDHTFRFCFSCTHCLAEIVIRTDPQYSHLTVEAGAFEIL >ONI10106 pep chromosome:Prunus_persica_NCBIv2:G4:1256743:1258394:-1 gene:PRUPE_4G027100 transcript:ONI10106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCISRLEDGVGADHPEFRKWHNGGGSFHKSACIDPTVFIEFGAVVHSKSVVGEHSHIGSGAVVGPCVTIGQSTKIGYNVALSNCSIGDSCIIHNGVCIGQDGFGFTVDEHGNMLKKPQMLNARVGNYVEIGANTCIDRGSWRETVVGDHSKIDNLVQIGHNVVIGKSCMLCGQVGIAGSVTIGDYVTMGGRVAVRDHVSIVSKVFAYIK >ONI10105 pep chromosome:Prunus_persica_NCBIv2:G4:1255928:1258763:-1 gene:PRUPE_4G027100 transcript:ONI10105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALRRFAASFGYPPHFQCVRALSPLRFANSCSSFVSCDSSEDGVGADHPEFRKWHNGGGSFHKSACIDPTVFIEFGAVVHSKSVVGEHSHIGSGAVVGPCVTIGQSTKIGYNVALSNCSIGDSCIIHNGVCIGQDGFGFTVDEHGNMLKKPQMLNARVGNYVEIGANTCIDRGSWRETVVGDHSKIDNLVQIGHNVVIGKSCMLCGQVGIAGSVTYDLPLLAVSRKILQNLEIMAASQLFRSMSGEDKLQTTVEHQKS >ONI10103 pep chromosome:Prunus_persica_NCBIv2:G4:1256238:1258591:-1 gene:PRUPE_4G027100 transcript:ONI10103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALRRFAASFGYPPHFQCVRALSPLRFANSCSSFVSCDSSEDGVGADHPEFRKWHNGGGSFHKSACIDPTVFIEFGAVVHSKSVVGEHSHIGSGAVVGPCVTIGQSTKIGYNVALSNCSIGDSCIIHNGVCIGQDGFGFTVDEHGNMLKKPQMLNARVGNYVEIGANTCIDRGSWRETVVGDHSKIDNLVQIGHNVVIGKSCMLCGQVGIAGSVTIGDYVTMGGRVAVRDHVSIVSKVRLAATSCVTKDITEPGDYGGFPAVPVHEWRRQVANYCRTSKKLNR >ONI10104 pep chromosome:Prunus_persica_NCBIv2:G4:1256238:1258394:-1 gene:PRUPE_4G027100 transcript:ONI10104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCISRLEDGVGADHPEFRKWHNGGGSFHKSACIDPTVFIEFGAVVHSKSVVGEHSHIGSGAVVGPCVTIGQSTKIGYNVALSNCSIGDSCIIHNGVCIGQDGFGFTVDEHGNMLKKPQMLNARVGNYVEIGANTCIDRGSWRETVVGDHSKIDNLVQIGHNVVIGKSCMLCGQVGIAGSVTIGDYVTMGGRVAVRDHVSIVSKVRLAATSCVTKDITEPGDYGGFPAVPVHEWRRQVANYCRTSKKLNR >ONI12011 pep chromosome:Prunus_persica_NCBIv2:G4:7795290:7799509:1 gene:PRUPE_4G139600 transcript:ONI12011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNVLPSLGRVKLTDLAPAEGLPSDSYKLSVSTLSQSLAQYSAAIIQFPVSDGALLRSGLDSARLYFHQKASYPAADMVNTNDPRDWCKTSGYYADPQLWLETYDYRPGLTPLEPNNTMEFPPAGLPDIFSLLGKAARDILDAISFYLNLRSSPFTKVLDNVPLRNGEISSSVLSVCCHTRPSFQGAQHHNFTTQEDGQLVMFSDHEHQVDKSLISLVKSDKAGLHIKDFQGRWVLVDEDLGPQEAIVYPGLALYQATAGYVNPALQRTETTNMQSNLFGRCSLAFKLMPKSMTSLSCSEMRDAGHGVEAQFQLPVPVDDFMQRSHPTDQLFNRQSFQSFNFHATQEGSMKPLLRRRKNDSRSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECENHILTLDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHEMELSLTEPGQASQHSVNCN >ONI12013 pep chromosome:Prunus_persica_NCBIv2:G4:7795290:7799398:1 gene:PRUPE_4G139600 transcript:ONI12013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNVLPSLGRVKLTDLAPAEGLPSDSYKLSVSTLSQSLAQYSAAIIQFPVSDGALLRSGLDSARLYFHQKASYPAADMVNTNDPRDWCKTSGYYADPQLWLETYDYRPGLTPLEPNNTMEFPPAGLPDIFSLLGKAARDILDAISFYLNLRSSPFTKVLDNVPLRNGEISSSVLSVCCHTRPSFQGAQHHNFTTQEDGQLVMFSDHEHQVDKSLISLVKSDKAGLHIKDFQGRWVLVDEDLGPQEAIVYPGLALYQATAGYVNPALQRTETTNMQSNLFGRCSLAFKLMPKSMTSLSCSEMRDAGHGVEAQFQLPVPVDDFMQRSHPTDQLFNRQSFQSFNFHATQEGPWIDEMLVQDL >ONI12014 pep chromosome:Prunus_persica_NCBIv2:G4:7796692:7798211:1 gene:PRUPE_4G139600 transcript:ONI12014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNVLPSLGRVKLTDLAPAEGLPSDSYKLSVSTLSQSLAQYSAAIIQFPVSDGALLRSGLDSARLYFHQKASYPAADMVNTNDPRDWCKTSGYYADPQLWLETYDYRPGLTPLEPNNTMEFPPAGLPDIFSLLGKAARDILDAISFYLNLRSSPFTKVLDNVPLRNGEISSSVLSVCCHTRPSFQGAQHHNFTTQEDGQLVMFSDHEHQVDKSLISLVKSDKAGLHIKDFQGRWVLVDEDLGPQEAIVYPGLALYQATAGYVNPALQRTETTNMQSNLFGRCSLAFKLMPKSMTSLSCSEMRDAGHGVEAQFQLPVPVDDFMQRSHPTDQLFNRQSFQSFNFHATQEETRIFNLVLSSRNSLHLMNPVDVGLRVFSV >ONI12009 pep chromosome:Prunus_persica_NCBIv2:G4:7795290:7799398:1 gene:PRUPE_4G139600 transcript:ONI12009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNVLPSLGRVKLTDLAPAEGLPSDSYKLSVSTLSQSLAQYSAAIIQFPVSDGALLRSGLDSARLYFHQKASYPAADMVNTNDPRDWCKTSGYYADPQLWLETYDYRPGLTPLEPNNTMEFPPAGLPDIFSLLGKAARDILDAISFYLNLRSSPFTKVLDNVPLRNGEISSSVLSVCCHTRPSFQGAQHHNFTTQEDGQLVMFSDHEHQVDKSLISLVKSDKAGLHIKDFQGRWVLVDEDLGPQEAIVYPGLALYQATAGYVNPALQRTETTNMQSNLFGRCSLAFKLMPKSMTSLSCSEMRDAGHGVEAQFQLPVPVDDFMQRSHPTDQLFNRQSFQSFNFHATQEGSMKPLLRRRKNDSRSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECENHILTLDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHEMELSLTEPGQASQHSVNCN >ONI12010 pep chromosome:Prunus_persica_NCBIv2:G4:7795253:7800153:1 gene:PRUPE_4G139600 transcript:ONI12010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNVLPSLGRVKLTDLAPAEGLPSDSYKLSVSTLSQSLAQYSAAIIQFPVSDGALLRSGLDSARLYFHQKASYPAADMVNTNDPRDWCKTSGYYADPQLWLETYDYRPGLTPLEPNNTMEFPPAGLPDIFSLLGKAARDILDAISFYLNLRSSPFTKVLDNVPLRNGEISSSVLSVCCHTRPSFQGAQHHNFTTQEDGQLVMFSDHEHQVDKSLISLVKSDKAGLHIKDFQGRWVLVDEDLGPQEAIVYPGLALYQATAGYVNPALQRTETTNMQSNLFGRCSLAFKLMPKSMTSLSCSEMRDAGHGVEAQFQLPVPVDDFMQRSHPTDQLFNRQSFQSFNFHATQEGSMKPLLRRRKNDSRSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECENHILTLDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHEMELSLTEPGQASQHSVNCN >ONI12015 pep chromosome:Prunus_persica_NCBIv2:G4:7795299:7799379:1 gene:PRUPE_4G139600 transcript:ONI12015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNVLPSLGRVKLTDLAPAEGLPSDSYKLSVSTLSQSLAQYSAAIIQFPVSDGALLRSGLDSARLYFHQKASYPAADMVNTNDPRDWCKTSGYYADPQLWLETYDYRPGLTPLEPNNTMEFPPAGLPDIFSLLGKAARDILDAISFYLNLRSSPFTKVLDNVPLRNGEISSSVLSVCCHTRPSFQGAQHHNFTTQEDGQLVMFSDHEHQVDKSLISLVKSDKAGLHIKDFQGRWVLVDEDLGPQEAIVYPGLALYQATAGYVNPALQRTETTNMQSNLFGRCSLAFKLMPKSMTSLSCSEMRDAGHGVEAQFQLPVPVDDFMQRSHPTDQLFNRQSFQSFNFHATQEETRIFNLVLSSRNSLHLMNPVDVGLRVFSV >ONI12012 pep chromosome:Prunus_persica_NCBIv2:G4:7795299:7799379:1 gene:PRUPE_4G139600 transcript:ONI12012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNVLPSLGRVKLTDLAPAEGLPSDSYKLSVSTLSQSLAQYSAAIIQFPVSDGALLRSGLDSARLYFHQKASYPAADMVNTNDPRDWCKTSGYYADPQLWLETYDYRPGLTPLEPNNTMEFPPAGLPDIFSLLGKAARDILDAISFYLNLRSSPFTKVLDNVPLRNGEISSSVLSVCCHTRPSFQGAQHHNFTTQEDGQLVMFSDHEHQVDKSLISLVKSDKAGLHIKDFQGRWVLVDEDLGPQEAIVYPGLALYQATAGYVNPALQRTETTNMQSNLFGRCSLAFKLMPKSMTSLSCSEMRDAGHGVEAQFQLPVPVDDFMQRSHPTDQLFNRQSFQSFNFHATQEALSEKLLTAGPWIDEMLVQDL >ONI10117 pep chromosome:Prunus_persica_NCBIv2:G4:1320635:1321817:1 gene:PRUPE_4G028100 transcript:ONI10117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRLLIFFILPILLVLAPLAPTIVGDHSTSGVCTMRADYCWSCFNTKNTNNGNIYNQNLNTLLSSFPSNPKINPRFYSSSLGRAPNKVNAIAVCRGDVALEDCRTCFKDSVSILLQNCTNQKEAIIWAERCAVRYSNISIFGVLEYEPVKFVPSPNNISNAEQYKQVRDPLFGNLSEKAAAGNSVLKFAAGNALVPLTNQTIYATVQCSPDLNKQNCSDCLKKSIPNITECCGGVGGGRVLRPSCYLRFESNPF >ONI10116 pep chromosome:Prunus_persica_NCBIv2:G4:1320491:1321988:1 gene:PRUPE_4G028100 transcript:ONI10116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRLLIFFILPILLVLAPLAPTIVGDHSTSGVCTMRADYCWSCFNTKNTNNGNIYNQNLNTLLSSFPSNPKINPRFYSSSLGRAPNKVNAIAVCRGDVALEDCRTCFKDSVSILLQNCTNQKEAIIWAERCAVRYSNISIFGVLEYEPVKFVPSPNNISNAEQYKQVRDPLFGNLSEKAAAGNSVLKFAAGNALVPLTNQTIYATVQCSPDLNKQNCSDCLKKSIPNITECCGGVGGGRVLRPSCYLRFESNPF >ONI14121 pep chromosome:Prunus_persica_NCBIv2:G4:19377437:19388581:1 gene:PRUPE_4G263700 transcript:ONI14121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRLKTAALCLRALPDAAFALHTINYVFRIFEEEDDCFEILLECFRSILIGVFLILPIPQLAIVVFFFKSGGSGHFGQTVTTVNVFLVMHYLARVFLIYTFSKYLKNKTKTGVQAALYFFFYILSSHVLGGFWYFFSIQREISCWNQSCKNAVSCGPTDYCSGSTSRNITFLNELCPSNPPNATVFNFGIFLDAIQYGTTRSMHFPTKFFYCVWWGVRNLSNFGTNLQTSSYVWENCFAIIVSLIGLLLFLFLLGNLQIYMQQALIRSLAMEELRPKVLEKKKEIQMYMKMHSIPNYVVDLVLDNIGKKEWEKNIDGEVDVNYIFPVLDEYWRGCMRRHICLKMLEKVTSFFLFLFISFHYF >ONI14203 pep chromosome:Prunus_persica_NCBIv2:G4:21311033:21318068:-1 gene:PRUPE_4G268800 transcript:ONI14203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKGRNKGRPSTRQMDRKDQNMDTDNQEIATEIQKMDMRKISKQIELLGSSHMTWKQRKELENRKVISLGGKPPKKQRLPLSIARVVMKNQKKREKKLLEQNMVLGRFGGNFSGGSRRSMEKQRPENRVLKASEGRFRNGVLDVKHLLHAAPSRDRSSGAHVFRENKKGNGKQNKGKKIIGMGP >ONI14204 pep chromosome:Prunus_persica_NCBIv2:G4:21311022:21318144:-1 gene:PRUPE_4G268800 transcript:ONI14204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKGRNKGRPSTRQMDRKDQNMDTDNQEIATEIQKMDMRKISKQIELLGSSHMTWKQRKELENRKVISLGGKPPKKQRLPLSIARVVMKNQKKREKKLLEQNMVLGRFGGNFSGGSRRSMEKQRPENRVLKASEGRFRNGVLDVKHLLHAAPSRDRSSGAHVFRENKKGNGKQNKGKKIIGMGP >ONI10591 pep chromosome:Prunus_persica_NCBIv2:G4:2685653:2687366:-1 gene:PRUPE_4G056000 transcript:ONI10591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCLPLPLQAPLQLQAHNNVRFQSKPKPIRAMRAVVQRVASASVEVEGRTVSEIGPGLLVLVGLHESDSNSDADYICRKVLNMRLFPNESSGRGWDQNVMHRNYEVLLVSQFTLYGVLKGNKPDFHVAMPPQNAKPFYASLVDSFRNSYKPDAVKDGVFGAMMKVNLVNDGPVTMQIDSQSPKNSNDVIEEQS >ONI10650 pep chromosome:Prunus_persica_NCBIv2:G4:2852203:2857311:-1 gene:PRUPE_4G059900 transcript:ONI10650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSWLGPCPSRSPSLILTLSFPQFPWRSHSMSTLRSQNPKHFRCYSFSSIPNPSPVMPSLLVFSGGTAFNGVVEELKNFTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLADKSSSEAVAVRRLLGHRLPLDSRQAKSEWYDIVEGEHSLWTGVSKPYRETIRAFLVYFQNQILRRSDESFCFSNGSIGNFFFAGARTFFQSLDAAIFLFSRVSDIPSESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTKGYMEPVNKESSSFPALPSRIKRVFYMSSEGQNLLHEVFPAANQSVLDQLRNVDCVVYAMGSLFTSICPSLVLIGIGEIISSRSCPKVLLLNGSRDRETSGFSASCFVTAITDALNRTYGNPHNCLANLPSQYINTVLVPKGGEIPIDVQHLAAQGIFNVIVVDSIHDPKAGVVFDPKSLIQALVDVIGRYTSTNLTAVV >ONI13772 pep chromosome:Prunus_persica_NCBIv2:G4:16216372:16219252:1 gene:PRUPE_4G244400 transcript:ONI13772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRHQDPTAMQKFSGQLHLGSSLSQDSHSHYGGFQRPALNQRRFAYGNYSNAALEYPMNHTCRANDPLVASGVLPVCVQAPKEKGFSGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFSRTMKDEGMVALWRGNTANVIRYFPTQALNFAFKDYFKSLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDSKAAKKGGERQFNGLVDVYKKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVILTGKLQDSFFASFGLGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVLVFGKKYGSGGA >ONI13773 pep chromosome:Prunus_persica_NCBIv2:G4:16216579:16219247:1 gene:PRUPE_4G244400 transcript:ONI13773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRHQDPTAMQKFSGQLHLGSSLSQDSHSHYGGFQRPALNQRRFAYGNYSNAALEYPMNHTCRANDPLVASGVLPVCVQAPKEKGFSGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFSRTMKDEGMVALWRGNTANVIRYFPTQALNFAFKDYFKSLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDSKAAKKGGERQFNGLVDVYKKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVILTGKLQDSFFASFGLGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVLVFGKKYGSGGA >ONI13450 pep chromosome:Prunus_persica_NCBIv2:G4:14002080:14006955:-1 gene:PRUPE_4G223000 transcript:ONI13450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCMSLVNGGSISVVNVKPSLPSRSLPVRKNPISLQSYTCLRPPSLSRQFQPSQFAVSASPSSSSSSSTALSSEKDQLPADIVVTETQEPNSRVKLSIQVPPEVCDDCYKRVIDEFMKQAKVPGFRPGKKVPESILVSHVGKKSVQKAAVESILRRTLPHAMSSVTGTPLRDSVRIVTRFSDMEQAYSSLNSLRYDVIVDIAPEVKWIPENGYKNLKVVVEIDSDINAQKASEQELRRRHKSLGSLRIVTDRGLQIGDLAVLDISATTIDQDESNVKSIPSAESKGYHFDTEDGDKVVPGFLNSIIGIQRGETKSFPLVFPESWTQEDLRGIHAQFNVECKELFYRDLPELDDSLAERLLPGGTSLKQVKESLLQKFLEVEQTAREQAADNAILDQLCKMVEVDIPQSFFEEQGRQLYGARLLEIQANIKLNEQQLASLSSKKAVDEYLLNQKENITHMIKQSLAVGDIYKREHLQLSTEELVKEVENSIAEFKRQKQDYDEERVRGQVQEILEGAKVLEWLRAHAEIQYITR >ONI13734 pep chromosome:Prunus_persica_NCBIv2:G4:15983588:15986247:1 gene:PRUPE_4G242000 transcript:ONI13734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDDVREPLKSRKFRRCRSAPLAEHVPLETTNTGSVPVTESIFRNLHPSFRKVGIFLAFYLGIGTICFYLVRNQIKGEKTNGVLDAVYFCIVTMTTVGYGDLVPNSILSKLLACAFVFTGMALVGLILSKAADYLVEKQEILLAKALHMHQKVGHIQVLKDVETNSLKYKCIVAFILLLVLISAGTIFLATVEKLDLVDAFYCVCSTITTLGYGDKSFSTQAGRVFAIFWILTSTICLAQFFLYIAELNSQSRQRALVKWVLSRRTTNVDLEAADLDGDGVVGAAEFIIYKLKEMGKISQEDIRLVMEEFEDLDVDQSGTLSLSDIMLAQPPSTEK >ONI09903 pep chromosome:Prunus_persica_NCBIv2:G4:813958:815356:1 gene:PRUPE_4G017400 transcript:ONI09903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSPLILLSCFLTYYIAPTSQAYSPGYYTTTPKTTMNVVDSCWRSKSNWAINRRALANCAVGFGQDAVGGKYGSTYVVTTSYDDPINPKPGSLRYGVIQTQPLWIVFAKDMVITLKNELIMNSFKTIDGRGAKVEIAYGPCITVQGVSHVIIHGISIHDCKPGKGGNVRSTPTHVGHRRGCDGDAISIFASSHVWVDHCFLARSSDGLIDVTHASTAVTITNNYFSQHDKVMLLGHNDNFSADKGMKVTIAFNRFGAGLIERMPRVRFGYAHVANNRYDEWKMYAVGGSANPTIFSEGNYFIAPETAYAKQVTKRESGGRWNNWKWRSSKDMFKNGAFFVQSGYGSCYPLYSKTQSFKVLDGSMVPALTSSAGPLRCFVGKAC >ONI12908 pep chromosome:Prunus_persica_NCBIv2:G4:11469351:11480896:-1 gene:PRUPE_4G191100 transcript:ONI12908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSREDFSNTLRILVATDCHLGYMEKDEIRRHDSFQAFDEICSIAEQKNVDFVLLGGDLFHENKPSRSTLVKAIEILRRHCMSDQPVQFQVVSDQTVNFANTFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLGGSGVGQITVYPILMRKGATAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGLQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYTQIVLKDEPEIDPNDQNSILEHLDKVVHSLIEKCRKNVVSQSRLQLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASTKGRSEAKIDDSERLRPEELNQQNIEALVAENNLKMEILPVNDLDVALHNFVSKDDKMAFYSCLKYNLEETRNKISKDPDTVTFKEQDLVLKVGECLQERVKERSTHSKHNSPFTSTAPSWEDFRSTSAAGTASAVSFSDDEDTTQMMGLKSTSRGRKGPSKPSSSEVGKGKTSTRGRGRGRGRGSSNLKQTTLDASLGFRQSQRSASVAATAAVRSIADDGDDVDSPSSEESGKFGNDEVDNSSENDESLQSRGQKRAAPRGRGRGSTQPAKRGKKSDNSAINRMFMNKDDEDDDDEDLTKRFNKPLPRVVTRNYGNLRR >ONI12909 pep chromosome:Prunus_persica_NCBIv2:G4:11471131:11480885:-1 gene:PRUPE_4G191100 transcript:ONI12909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDEIRRHDSFQAFDEICSIAEQKNVDFVLLGGDLFHENKPSRSTLVKAIEILRRHCMSDQPVQFQVVSDQTVNFANTFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLGGSGVGQITVYPILMRKGATAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGLQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYTQIVLKDEPEIDPNDQNSILEHLDKVVHSLIEKCRKNVVSQSRLQLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASTKGRSEAKIDDSERLRPEELNQQNIEALVAENNLKMEILPVNDLDVALHNFVSKDDKMAFYSCLKYNLEETRNKISKDPDTVTFKEQDLVLKVGECLQERVKERSTHSKHNSPFTSTAPSWEDFRSTSAAGTASAVSFSDDEDTTQMMGLKSTSRGRKGPSKPSSSEVGKGKTSTRGRGRGRGRGSSNLKQTTLDASLGFRQSQRSASVAATAAVRSIADDGDDVDSPSSEESGKFGNDEVDNSSENDESLQSRGQKRAAPRGRGRGSTQPAKRGKKSDNSAINRMFMNKDDEDDDDEDLTKRFNKPLPRVTRNYGNLRR >ONI12906 pep chromosome:Prunus_persica_NCBIv2:G4:11466789:11480856:-1 gene:PRUPE_4G191100 transcript:ONI12906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSREDFSNTLRILVATDCHLGYMEKDEIRRHDSFQAFDEICSIAEQKNVDFVLLGGDLFHENKPSRSTLVKAIEILRRHCMSDQPVQFQVVSDQTVNFANTFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLGGSGVGQITVYPILMRKGATAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGLQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYTQIVLKDEPEIDPNDQNSILEHLDKVVHSLIEKCRKNVVSQSRLQLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASTKGRSEAKIDDSERLRPEELNQQNIEALVAENNLKMEILPVNDLDVALHNFVSKDDKMAFYSCLKYNLEETRNKISKDPDTVTFKEQDLVLKVGECLQERVKERSTHSKHNSPFTSTAPSWEDFRSTSAAGTASAVSFSDDEDTTQMMGLKSTSRGRKGPSKPSSSEVGKGKTSTRGRGRGRGRGSSNLKQTTLDASLGFRQSQRSASVAATAAVRSIADDGDDVDSPSSEESGKFGNDEVDNSSENDESLQSRGQKRAAPRGRGRGSTQPAKRGKKSDNSAINRMFMNKDDEDDDDEDLTKRFNKPLPRVTRNYGNLRSFQVDWLCNIRCHSVLCSPSINLMSCLGYFRRFYSVIGCMSHSCHISAIWGSFFVLY >ONI12907 pep chromosome:Prunus_persica_NCBIv2:G4:11469351:11480927:-1 gene:PRUPE_4G191100 transcript:ONI12907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSREDFSNTLRILVATDCHLGYMEKDEIRRHDSFQAFDEICSIAEQKNVDFVLLGGDLFHENKPSRSTLVKAIEILRRHCMSDQPVQFQVVSDQTVNFANTFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLGGSGVGQITVYPILMRKGATAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGLQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYTQIVLKDEPEIDPNDQNSILEHLDKVVHSLIEKCRKNVVSQSRLQLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASTKGRSEAKIDDSERLRPEELNQQNIEALVAENNLKMEILPVNDLDVALHNFVSKDDKMAFYSCLKYNLEETRNKISKDPDTVTFKEQDLVLKVGECLQERVKERSTHSKHNSPFTSTAPSWEDFRSTSAAGTASAVSFSDDEDTTQMMGLKSTSRGRKGPSKPSSSEVGKGKTSTRGRGRGRGRGSSNLKQTTLDASLGFRQSQRSASVAATAAVRSIADDGDDVDSPSSEESGKFGNDEVDNSSENDESLQSRGQKRAAPRGRGRGSTQPAKRGKKSDNSAINRMFMNKDDEDDDDEDLTKRFNKPLPRVTRNYGNLRR >ONI12910 pep chromosome:Prunus_persica_NCBIv2:G4:11471084:11480883:-1 gene:PRUPE_4G191100 transcript:ONI12910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSREDFSNTLRILVATDCHLGYMEKDEIRRHDSFQAFDEICSIAEQKNVDFVLLGGDLFHENKPSRSTLVKAIEILRRHCMSDQPVQFQVVSDQTVNFANTFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLGGSGVGQITVYPILMRKGATAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGLQVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYTQIVLKDEPEIDPNDQNSILEHLDKVVHSLIEKCRKNVVSQSRLQLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASTKGRSEAKIDDSERLRPEELNQQNIEALVAENNLKMEILPVNDLDVALHNFVSKDDKMAFYSCLKYNLEETRNKISKDPDTVTFKEQDLVLKVGECLQERVKERSTHSKHNSPFTSTAPSWEDFRSTSAAGTASAVSFSDDEDTTQMMGLKSTSRGRKGPSKPSSSEVGKDLHQLLQLLLSEVLLMMGMMWILLQVKSQGNLEMMRWITVRKMMKVFRVEDRKGLLQGGEVEALHNLLSVERNPITLQLTECL >ONI10421 pep chromosome:Prunus_persica_NCBIv2:G4:2213098:2217300:1 gene:PRUPE_4G046500 transcript:ONI10421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRIESDRGSINPDADHMTIEFRYGGNFVHTPRTHYINGMVTYFDNVDVDRLSTLEIKDMIQEFGVQNSKYFYYTLPGHGPADGLYALEKDEHILGFVRSIPRNRHMTVYVEHDSDVHEVIGDLTQPPMSQANIDYGESFNEIHDDLFSQVDENRYGSYSLSNDHLFQEERSALYHGEPQDFYDVPIHTNEYEYDGDGDDKTKGSNYEENDNDGNNSDSEFYDEDNDAMLDDDNFYDDVVDTLETFIGCGKKQKNNWETDIQVEDMNMDEEKYNSDELHSASNSDEEGTTRRYKELNIDTDMDNPQFDVGMKFPSKKVLKQAIQIYGALRSYECKVVKNDKFRLSAKCKEGCKWRLYASLMQGENTYQIKSYTPKHSCSKGFHNKNITSTFLSQRYMSRIKDDPKIKKTTLQSEVHRELGYEVSTDQCYKAKRKAQTLIEGTYAQQYEKLWEYCEEIRQTNNGSSMLMKVDPPHFQRLYVCLDACKKGFIAGCRPLIGVDACHLKGTFQGQLLVSVGIDANDNMYPIAYAVAELESKDSWCWFLQLLIEDLGQVSEYGWTFISDQQKGLDKAFELVVPEASHRWCVRHLYGNFKEKFKGQALKDQLWSAAMSSNEVDFNCEMEKLRGLDEEAYGWLKNRDPNMWARHRFSPRTKCDMLLNNLCECFNSWILAARDKPILTMLEMIRCKIMRRLQVKRDLISKCEGPICPKIQGKLEKNKTLSHRFRPMYCGNGLFQVGDTLVDQHSVNLWTRTCSCRRWELNGIPYMHAVSAIFHNRQEAEQFVDKCYTPEAYLRAYSPVIQPVKSMKYWPTVNQTPVLPPIVKKQPGRPKKRRIIKDSEMEKNKDPTKLGKKGGTKTCTKCWERGHNRTTCKNQPREAPSGVYVDKRWAYKFQDDSHRGGMRNNEMDSSNGSFGENQTPPTQSSNVQQSCGGFFVAQGDVTGEPSRHGLKKNTRPPKWPLKKATKTHKVTVNKSQPRYFNPANFHPPQEIVQPTITTGFRGGTFITPNAPRIPIASQISTRPVVTPSLQARTPMLQTAPKSNMSRPRGVTCITPNLTSTITVPTSLQARPLMPQAASYSHMSRPSMHTTPQSSFRTSKPWKPPSKVACTKKATTSSQKKHI >ONI13469 pep chromosome:Prunus_persica_NCBIv2:G4:14094432:14097610:-1 gene:PRUPE_4G224100 transcript:ONI13469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFTKFMASAIFFIVLSFFLVPTRTQEEGFISFVISSKGLEFSKDLLIEQAVSSIIPLQLPQIEKSVKIPLVGQVHVVLSNITIYNVDIASSYVETGDSGIALIASGATANLSMDWCYSYSTWLFDISDHGDASIQVEGMEVGVTLALNGQEGTLKLSVLECGCYVKDISIKLDGGASWLYQGLVDAFEGQIVSAVEDNISKKIREGIMKLDFLLQSLPKQIALDDIAALNVTFVGNPVFSNSSIEFQINGLFMAPNDDFASSLYNKGSLDPVPFNAPAKMVEISLHENVFSSVSLVFFKANYMQWVINKTPDQSLLNTAGWKYIVPQLYKQYPNEDMELNISVSSPPIMTVVNNGINITVYSDVTIGVMDADEVIPVACISLEIHASCSPDILINKLAGTVKLNHVTAYLKWSKIGNLHMHLVQAVLSTILKTVVVPYVNLHLWKGLPLPLPHGFTLHNSEIVSINSRLTIFSDVAIAERSPTSVSKSLISIR >ONI13467 pep chromosome:Prunus_persica_NCBIv2:G4:14091233:14097995:-1 gene:PRUPE_4G224100 transcript:ONI13467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFTKFMASAIFFIVLSFFLVPTRTQEEGFISFVISSKGLEFSKDLLIEQAVSSIIPLQLPQIEKSVKIPLVGQVHVVLSNITIYNVDIASSYVETGDSGIALIASGATANLSMDWCYSYSTWLFDISDHGDASIQVEGMEVGVTLALNGQEGTLKLSVLECGCYVKDISIKLDGGASWLYQGLVDAFEGQIVSAVEDNISKKIREGIMKLDFLLQSLPKQIALDDIAALNVTFVGNPVFSNSSIEFQINGLFMAPNDDFASSLYNKGSLDPVPFNAPAKMVEISLHENVFSSVSLVFFKANYMQWVINKTPDQSLLNTAGWKYIVPQLYKQYPNEDMELNISVSSPPIMTVVNNGINITVYSDVTIGVMDADEVIPVACISLEIHASCSPDILINKLAGTVKLNHVTAYLKWSKIGNLHMHLVQAVLSTILKTVVVPYVNLHLWKGLPLPLPHGFTLHNSEIVSINSRLTIFSDVAIAERSPTSVKWQRRARKM >ONI13471 pep chromosome:Prunus_persica_NCBIv2:G4:14094368:14097995:-1 gene:PRUPE_4G224100 transcript:ONI13471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFTKFMASAIFFIVLSFFLVPTRTQEEGFISFVISSKGLEFSKDLLIEQAVSSIIPLQLPQIEKSVKIPLVGQVHVVLSNITIYNVDIASSYVETGDSGIALIASGATANLSMDWCYSYSTWLFDISDHGDASIQVEGMEVGVTLALNGQEGTLKLSVLECGCYVKDISIKLDGGASWLYQGLVDAFEGQIVSAVEDNISKKIREGIMKLDFLLQSLPKQIALDDIAALNVTFVGNPVFSNSSIEFQINGLFMAPNDDFASSLYNKGSLDPVPFNAPAKMVEISLHENVFSSVSLVFFKANYMQWVINKTPDQSLLNTAGWKYIVPQLYKQYPNEDMELNISVSSPPIMTVVNNGINITVYSDVTIGVMDADEVIPVACISLVCFLGVFLVLLN >ONI13470 pep chromosome:Prunus_persica_NCBIv2:G4:14094263:14097995:-1 gene:PRUPE_4G224100 transcript:ONI13470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWCYSYSTWLFDISDHGDASIQVEGMEVGVTLALNGQEGTLKLSVLECGCYVKDISIKLDGGASWLYQGLVDAFEGQIVSAVEDNISKKIREGIMKLDFLLQSLPKQIALDDIAALNVTFVGNPVFSNSSIEFQINGLFMAPNDDFASSLYNKGSLDPVPFNAPAKMVEISLHENVFSSVSLVFFKANYMQWVINKTPDQSLLNTAGWKYIVPQLYKQYPNEDMELNISVSSPPIMTVVNNGINITVYSDVTIGVMDADEVIPVACISLEIHASCSPDILINKLAGTVKLNHVTAYLKWSKIGNLHMHLVQAVLSTILKTVVVPYVNLHLWKGLPLPLPHGFTLHNSEIVSINSRLTIFSDVAIAERSPTSVSKSLISIR >ONI13468 pep chromosome:Prunus_persica_NCBIv2:G4:14092910:14097610:-1 gene:PRUPE_4G224100 transcript:ONI13468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFTKFMASAIFFIVLSFFLVPTRTQEEGFISFVISSKGLEFSKDLLIEQAVSSIIPLQLPQIEKSVKIPLVGQVHVVLSNITIYNVDIASSYVETGDSGIALIASGATANLSMDWCYSYSTWLFDISDHGDASIQVEGMEVGVTLALNGQEGTLKLSVLECGCYVKDISIKLDGGASWLYQGLVDAFEGQIVSAVEDNISKKIREGIMKLDFLLQSLPKQIALDDIAALNVTFVGNPVFSNSSIEFQINGLFMAPNDDFASSLYNKGSLDPVPFNAPAKMVEISLHENVFSSVSLVFFKANYMQWVINKTPDQSLLNTAGWKYIVPQLYKQYPNEDMELNISVSSPPIMTVVNNGINITVYSDVTIGVMDADEVIPVACISLEIHASCSPDILINKLAGTVKLNHVTAYLKWSKIGNLHMHLVQAVLSTILKTVVVPYVNLHLWKGLPLPLPHGFTLHNSEIVSINSRLTIFSDVAIAERSPTSGQEDGTPWPVDGKP >ONI11290 pep chromosome:Prunus_persica_NCBIv2:G4:5076566:5078831:-1 gene:PRUPE_4G099600 transcript:ONI11290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEVKVEETLVAEVGVVPQEEPMKPVIENEKVVEGDDKVKEVAESESKPRAVEKSSSYKEESNFLSDLKEFERKALSELKLKLEEAILGNNIFKKEEPKENEEKEKKVAEEEEKKEEKEDEKKDEKAEEVDEKKTEEGDEVPVAEEKEAAAQEGEEEKKPEEGCEVDKDISLWGVPLFPGKGFEGTDVVLLKFLRAREFKVNEAFEMLKKTLQWRKESKIDSILDEDICADLSSAAYLNGIDREGHPVCYNIFGVFDNEELYQKTFGNEEKRGQFLRWRLQLMEKSIQKLDLRPGGSSSLLQINDLKNSPGPVKKELRIATKQAVGLLQDNYPELVAKNIFINVPFWYYALNALLSPFLTQRTKSKFVVARPAKVTETLLKYIPAQEIPSQYGGFKRDNDTEFSSEDCAVSELILRAGSTGTIQIDAAEADNTLVWDLTVLGWEVNYKEEFVPTDEGSYTIIVQKRKKIGSNNEGPIRNTFRSNEPGKVVLTIENTSSKKKRVLYRYKAKKCSTF >ONI11291 pep chromosome:Prunus_persica_NCBIv2:G4:5077528:5078652:-1 gene:PRUPE_4G099600 transcript:ONI11291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEVKVEETLVAEVGVVPQEEPMKPVIENEKVVEGDDKVKEVAESESKPRAVEKSSSYKEESNFLSDLKEFERKALSELKLKLEEAILGNNIFKKEEPKENEEKEKKVAEEEEKKEEKEDEKKDEKAEEVDEKKTEEGDEVPVAEEKEAAAQEGEEEKKPEEGCEVDKDISLWGVPLFPGKGFEGTDVVLLKFLRAREFKVNEAFEMLKKTLQWRKESKIDSILDEDICADLSSAAYLNGIDREGHPVCYNIFGVFDNEELYQKTFGNEEKRGQFLRWRLQLMEKSIQKLDLRPGGSSSLLQINDLKNSPGPVKKELRIATKQAVGLLQDNYPELVAKNVSLFTMFTSEIDFIWLKCMEELMGCLCFLICRFS >ONI14192 pep chromosome:Prunus_persica_NCBIv2:G4:20172198:20180182:1 gene:PRUPE_4G267700 transcript:ONI14192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEKFYNEHKYPTEEMKSQVAEQLGLSEKQISGWFCHRRLKDKRLSRDETCANGRQDRSSGVIQDRASGLGQDSCGSTKHGDHRYVDPREVESRRLSGHDFPAADITREYRSHYTERVGGMDNTSSESSSSLQDRFFTQNDDPYDVETSRYLAHNGVFSPINSRATENMAYKPSGYLKVKGEAENAAITAVKRQLGRHYQEDGPPLGVEFDLLPPGAFESPIMDSVHEPSIVGNPVLRSPDISGVKGQLSPRTRYEVYNSKFSSQDSYIQQENPCIMHGFDLQEKKSSKKLRQKSTYLNHTNSSPGKNSSLDIYDKSVGGTSAYNTNRKDKMRSKRGYSGRKVTSEQTEPCLHEYDRVSSPKLVQRSDYLKPKPSSSIEENLDGECKAMKVYSNPLRVMMNSTDEMVVAKQARVNLPQRDYSTNSFPQLPPRKNQIKGTAMEMPSSFSEDETAETNSSVD >ONI14190 pep chromosome:Prunus_persica_NCBIv2:G4:20168489:20180182:1 gene:PRUPE_4G267700 transcript:ONI14190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNELHSEENKTSQDNNKKRKLKTPAQLMALEKFYNEHKYPTEEMKSQVAEQLGLSEKQISGWFCHRRLKDKRLSRDETCANGRQDRSSGVIQDRASGLGQDSCGSTKHGDHRYVDPREVESRRLSGHDFPAADITREYRSHYTERVGGMDNTSSESSSSLQDRFFTQNDDPYDVETSRYLAHNGVFSPINSRATENMAYKPSGYLKVKGEAENAAITAVKRQLGRHYQEDGPPLGVEFDLLPPGAFESPIMDSVHEPSIVGNPVLRSPDISGVKGQLSPRTRYEVYNSKFSSQDSYIQQENPCIMHGFDLQEKKSSKKLRQKSTYLNHTNSSPGKNSSLDIYDKSVGGTSAYNTNRKDKMRSKRGYSGRKVTSEQTEPCLHEYDRVSSPKLVQRSDYLKPKPSSSIEENLDGECKAMKVYSNPLRVMMNSTDEMVVAKQARVNLPQRDYSTNSFPQLPPRKNQIKGTAMEMPSSFSEDETAETNSSVD >ONI12183 pep chromosome:Prunus_persica_NCBIv2:G4:8510604:8512604:-1 gene:PRUPE_4G149000 transcript:ONI12183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSSLVPNNVVIINTKYVETDATSFKDVVQKLTGKDSEVAYEMQEPNNVAKSQCFLRDQGINNYGGMTNVNVMESSNNTGCGVNGGKTTTMSRSNSSVLMKNISFKEFERLFREMPPVEDFWID >ONI14368 pep chromosome:Prunus_persica_NCBIv2:G4:23207021:23223633:1 gene:PRUPE_4G277400 transcript:ONI14368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEDLGVEAKESAVREVAKLLPLPELLQSIASVKADYIARQQANDAQLSTMVAEQVEQAQAGLESLSLSQKSINQLRENFVSIEKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVEGMMSISVEAAEARDSLSDDKELINTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWETFEKTLWGHVSNFYNHSKESPSTLVRALRVVEMQEILDQQLAEEAAEAEGGGAMASIANPRRTAKKTTTATASSRNLTQQKLNFQGKGYKDKCYEQIRKTVEGRFNKLLTELVFEDLKAALEEARTIGEELGDIYDHVAPCFPPRYEIFQLMVNLYTERFVQMLRLLSDRANEMTNIEILKVTGWVVEYQENLIGLGVDESLAQVCSESGSMDPLMNSYVERMQATTRKWYLNILEADKVQPPKKTEDGKLYTPAAVDLFRILGEQVQIVRDNSTDLMLYRIALAIIQVMIDFQAAERQRLEEPASEIGLEPLCAMVNNNLRCYDLAMELSNSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVSVIFEDPGVQDLLVKLYQKEWCEGQVTEYLVATFGDYFADVKMYIEERSFRRFVEACLEETVVVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYLSVSKVESRVRILSDLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVALREGIPRKDAKEVVQECKEIYENSLVNGNPAKSGFVFPRVKCLSSSKGSIWRKLT >ONI14369 pep chromosome:Prunus_persica_NCBIv2:G4:23207021:23223633:1 gene:PRUPE_4G277400 transcript:ONI14369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEDLGVEAKESAVREVAKLLPLPELLQSIASVKADYIARQQANDAQLSTMVAEQVEQAQAGLESLSLSQKSINQLRENFVSIEKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVEGMMSISVEAAEARDSLSDDKELINTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWETFEKTLWGHVSNFYNHSKESPSTLVRALRKTTTATASSRNLTQQKLNFQGKGYKDKCYEQIRKTVEGRFNKLLTELVFEDLKAALEEARTIGEELGDIYDHVAPCFPPRYEIFQLMVNLYTERFVQMLRLLSDRANEMTNIEILKVTGWVVEYQENLIGLGVDESLAQVCSESGSMDPLMNSYVERMQATTRKWYLNILEADKVQPPKKTEDGKLYTPAAVDLFRILGEQVQIVRDNSTDLMLYRIALAIIQVMIDFQAAERQRLEEPASEIGLEPLCAMVNNNLRCYDLAMELSNSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVSVIFEDPGVQDLLVKLYQKEWCEGQVTEYLVATFGDYFADVKMYIEERSFRRFVEACLEETVVVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYLSVSKVESRVRILSDLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVALREGIPRKDAKEVVQECKEIYENSLVNGNPAKSGFVFPRVKCLSSSKGSIWRKLT >ONI12664 pep chromosome:Prunus_persica_NCBIv2:G4:10578634:10580194:1 gene:PRUPE_4G178000 transcript:ONI12664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPPKFVKNFNVRPLHKCALSGPTGIRWAVELEERENGLFFQDGWQGFVKDHHLEDGDFLVFKYDGESKFKVTIYDRTACEKNVKVAERSGCPVSLANKGKAQVKEEIVDRETRNYNKNCQNKAIVSGRRSGNYVIPGKRPANDHVGATSTEPVLFKPKYSCFIITFTRKLRYGVSIPKEVAVTEDLLSKKSMMIQDPTGRSWPVKLRVRGKVSSCRVNMSTGLVDCCNGNQIIPRDTRHF >ONI11242 pep chromosome:Prunus_persica_NCBIv2:G4:4786669:4787437:-1 gene:PRUPE_4G095200 transcript:ONI11242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQFLLLAFLAITCAIASASDPSSLQDFCVADSKASVLVNGFVCKDSKLVDANDFFFSGLHLAGNTSNAVGSRVTPVNAVQIPGLNTLGISIVRIDYAPWGINPPHTHPRATEVLTVLEGSLKVGFVTSNPENHLITKVLRKGDVFVFPVGLVHFQQNVGYGNAVAIAALNSQNPGVITVANAVFGSKPDISADVLAKAFQVDKNRVYNFQSKF >ONI10210 pep chromosome:Prunus_persica_NCBIv2:G4:1624175:1627979:1 gene:PRUPE_4G034800 transcript:ONI10210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPVCRGTCTCKECSENQSKDAESKDYLGVKNKVEVILHFHYLICMLLPVLKQINQDQKVELEAEAKMRGEKLSEVHIKKAEYSCNEQQCCNKCKASIVDLHRSCPNCSYNLCLSCCRDIFNGSLLGGINTSLSKHSNKKKNCASGKGQLLKKPIANRKQNVRSLYVSSSASVLSLKTCNAVKGISCPPKEFGGCGDGLLHLRCVFPLSWINELEVSAEEIVCSYEFPETSDMSLCCTLCLGMDQKVDGIKQLQEAAVRDNSNDNYLYYPTLLEIHGDNVEHFQKHWSKGHPVIVRDVLQTTSDLSWDPVLMFCTYLERSIASYENNQNSHEAIHCLDWCEVEIGIRQYFMGSLKGQGQRNMWNETLKLRGWLSSQLFQEQFPAHYAEIIRALPLQEYMNPMSGLLNLAARMPQEIPKPDLGPCVYISYGCTEQLVQANAVIKLCYDSYDVVNILAHTSDVPISEEQVSKIRKLLKKHKAQNQRESSRATSEQTFAKKVKGESDLHSETMEEAGLHNVIGEEMHLRKRVARESCFSTHAACTRNLKESNMPHDGESDSETDSEATLSSSETIDDDAETSKDKMSQVLLESCNGYKLKTLAESCGAQWDVFRRQDVPKLIQYLRRHSNEFTRKFDIHKRVDHPILDQSFFLDSSHKLRLKEEFKIEPWTFEQHIGEAVIIPAGCPYQIRSPKSCVHVVLDFVSPENVNECIQLTDEVRLLPEDHKAKVDKLEVKRMALYSISSAIKEIRELTCAM >ONI10206 pep chromosome:Prunus_persica_NCBIv2:G4:1622145:1629210:1 gene:PRUPE_4G034800 transcript:ONI10206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGALPDHLRCGRTDGRQWRCKRRVMDDMKLCEIHYLQGRHRQFREKVPESLKLQRKPKNAPSRDQNHNGVKIRARKVDNLVKLLKRKRSEETLKKSKKRKKKMKLKKSELNLELIRMVLKREVDKRNQTKKKKVVEEESEDDDDDDHDDLTRDLPNGLMAISSSSSQSPLLRSGNAGSNSSSDGKVGVDMGPAAMRRRCFRSKNIEPMPAGTLQVLPYNVGKLRRGKRKRCHWCQRSGSGVSSCLTKCSSCQKHFFCLGCIKERYFDTQDEVKMACPVCRGTCTCKECSENQSKDAESKDYLGVKNKVEVILHFHYLICMLLPVLKQINQDQKVELEAEAKMRGEKLSEVHIKKAEYSCNEQQCCNKCKASIVDLHRSCPNCSYNLCLSCCRDIFNGSLLGGINTSLSKHSNKKKNCASGKGQLLKKPIANRKQNVRSLYVSSSASVLSLKTCNAVKGISCPPKEFGGCGDGLLHLRCVFPLSWINELEVSAEEIVCSYEFPETSDMSLCCTLCLGMDQKVDGIKQLQEAAVRDNSNDNYLYYPTLLEIHGDNVEHFQKHWSKGHPVIVRDVLQTTSDLSWDPVLMFCTYLERSIASYENNQNSHEAIHCLDWCEVEIGIRQYFMGSLKGQGQRNMWNETLKLRGWLSSQLFQEQFPAHYAEIIRALPLQEYMNPMSGLLNLAARMPQEIPKPDLGPCVYISYGCTEQLVQANAVIKLCYDSYDVVNILAHTSDVPISEEQVSKIRKLLKKHKAQNQRESSRATSEQTFAKKVKGESDLHSETMEEAGLHNVIGEEMHLRKRVARESCFSTHAACTRNLKESNMPHDGESDSETDSEATLSSSETIDDDAETSKDKMSQVLLESCNGYKLKTLAESCGAQWDVFRRQDVPKLIQYLRRHSNEFTRKFDIHKRVDHPILDQSFFLDSSHKLRLKEEFKIEPWTFEQHIGEAVIIPAGCPYQIRSPKSCVHVVLDFVSPENVNECIQLTDEVRLLPEDHKAKVDKLEVKRMALYSISSAIKEIRELTCAM >ONI10207 pep chromosome:Prunus_persica_NCBIv2:G4:1622141:1629210:1 gene:PRUPE_4G034800 transcript:ONI10207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGALPDHLRCGRTDGRQWRCKRRVMDDMKLCEIHYLQGRHRQFREKVPESLKLQRKPKNAPSRDQNHNGVKIRARKVDNLVKLLKRKRSEETLKKSKKRKKKMKLKKSELNLELIRMVLKREVDKRNQTKKKKVVEEESEDDDDDDHDDLTRDLPNGLMAISSSSSQSPLLRSGNAGSNSSSDGKVGVDMGPAAMRRRCFRSKNIEPMPAGTLQVLPYNVGKLRRGKRKRCHWCQRSGSGVSSCLTKCSSCQKHFFCLGCIKERYFDTQDEVKMACPVCRGTCTCKECSENQSKDAESKDYLGVKNKVEVILHFHYLICMLLPVLKQINQDQKVELEAEAKMRGEKLSEVHIKKAEYSCNEQQCCNKCKASIVDLHRSCPNCSYNLCLSCCRDIFNGSLLGGINTSLSKHSNKKKNCASGKGQLLKKPIANRKQNVRSLYVSSSASVLSLKTCNAVKGISCPPKEFGGCGDGLLHLRCVFPLSWINELEVSAEEIVCSYEFPETSDMSLCCTLCLGMDQKVDGIKQLQEAAVRDNSNDNYLYYPTLLEIHGDNVEHFQKHWSKGHPVIVRDVLQTTSDLSWDPVLMFCTYLERSIASYENNQNSHEAIHCLDWCEVEIGIRQYFMGSLKGQGQRNMWNETLKLRGWLSSQLFQEQFPAHYAEIIRALPLQEYMNPMSGLLNLAARMPQEIPKPDLGPCVYISYGCTEQLVQANAVIKLCYDSYDVVNILAHTSDVPISEEQVSKIRKLLKKHKAQNQRESSRATSEQTFAKKVKGESDLHSETMEEAGLHNVIGEEMHLRKRVARESCFSTHAACTRNLKESNMPHDGESDSETDSEATLSSSETIDDDAETSKDKMSQVLLESCNGYKLKTLAESCGAQWDVFRRQDVPKLIQYLRRHSNEFTRKFDIHKRVDHPILDQSFFLDSSHKLRLKEEFKIEPWTFEQHIGEAVIIPAGCPYQIRSPKSCVHVVLDFVSPENVNECIQLTDEVRLLPEDHKAKVDKLEVKRMALYSISSAIKEIRELTCAM >ONI10205 pep chromosome:Prunus_persica_NCBIv2:G4:1622145:1629210:1 gene:PRUPE_4G034800 transcript:ONI10205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGALPDHLRCGRTDGRQWRCKRRVMDDMKLCEIHYLQGRHRQFREKVPESLKLQRKPKNAPSRDQNHNGVKIRARKVDNLVKLLKRKRSEETLKKSKKRKKKMKLKKSELNLELIRMVLKREVDKRNQTKKKKVVEEESEDDDDDDHDDLTRDLPNGLMAISSSSSQSPLLRSGNAGSNSSSDGKVGVDMGPAAMRRRCFRSKNIEPMPAGTLQVLPYNVGKLRRGKRKRCHWCQRSGSGVSSCLTKCSSCQKHFFCLGCIKERYFDTQDEVKMACPVCRGTCTCKECSENQSKDAESKDYLGVKNKVEVILHFHYLICMLLPVLKQINQDQKVELEAEAKMRGEKLSEVHIKKAEYSCNEQQCCNKCKASIVDLHRSCPNCSYNLCLSCCRDIFNGSLLGGINTSLSKHSNKKKNCASGKGQLLKKPIANRKQNVRSLYVSSSASVLSLKTCNAVKGISCPPKEFGGCGDGLLHLRCVFPLSWINELEVSAEEIVCSYEFPETSDMSLCCTLCLGMDQKVDGIKQLQEAAVRDNSNDNYLYYPTLLEIHGDNVEHFQKHWSKGHPVIVRDVLQTTSDLSWDPVLMFCTYLERSIASYENNQNSHEAIHCLDWCEVEIGIRQYFMGSLKGQGQRNMWNETLKLRGWLSSQLFQEQFPAHYAEIIRALPLQEYMNPMSGLLNLAARMPQEIPKPDLGPCVYISYGCTEQLVQANAVIKLCYDSYDVVNILAHTSDVPISEEQVSKIRKLLKKHKAQNQRESSRATSEQTFAKKVKGESDLHSETMEEAGLHNVIGEEMHLRKRVARESCFSTHAACTRNLKESNMPHDGESDSETDSEATLSSSETIDDDAETSKDKMSQVLLESCNGYKLKTLAESCGAQWDVFRRQDVPKLIQYLRRHSNEFTRKFDIHKRVDHPILDQSFFLDSSHKLRLKEEFKIEPWTFEQHIGEAVIIPAGCPYQIRSPKSCVHVVLDFVSPENVNECIQLTDEVRLLPEDHKAKVDKLEVKRMALYSISSAIKEIRELTCAM >ONI10204 pep chromosome:Prunus_persica_NCBIv2:G4:1622001:1629302:1 gene:PRUPE_4G034800 transcript:ONI10204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGALPDHLRCGRTDGRQWRCKRRVMDDMKLCEIHYLQGRHRQFREKVPESLKLQRKPKNAPSRDQNHNGVKIRARKVDNLVKLLKRKRSEETLKKSKKRKKKMKLKKSELNLELIRMVLKREVDKRNQTKKKKVVEEESEDDDDDDHDDLTRDLPNGLMAISSSSSQSPLLRSGNAGSNSSSDGKVGVDMGPAAMRRRCFRSKNIEPMPAGTLQVLPYNVGKLRRGKRKRCHWCQRSGSGVSSCLTKCSSCQKHFFCLGCIKERYFDTQDEVKMACPVCRGTCTCKECSENQSKDAESKDYLGVKNKVEVILHFHYLICMLLPVLKQINQDQKVELEAEAKMRGEKLSEVHIKKAEYSCNEQQCCNKCKASIVDLHRSCPNCSYNLCLSCCRDIFNGSLLGGINTSLSKHSNKKKNCASGKGQLLKKPIANRKQNVRSLYVSSSASVLSLKTCNAVKGISCPPKEFGGCGDGLLHLRCVFPLSWINELEVSAEEIVCSYEFPETSDMSLCCTLCLGMDQKVDGIKQLQEAAVRDNSNDNYLYYPTLLEIHGDNVEHFQKHWSKGHPVIVRDVLQTTSDLSWDPVLMFCTYLERSIASYENNQNSHEAIHCLDWCEVEIGIRQYFMGSLKGQGQRNMWNETLKLRGWLSSQLFQEQFPAHYAEIIRALPLQEYMNPMSGLLNLAARMPQEIPKPDLGPCVYISYGCTEQLVQANAVIKLCYDSYDVVNILAHTSDVPISEEQVSKIRKLLKKHKAQNQRESSRATSEQTFAKKVKGESDLHSETMEEAGLHNVIGEEMHLRKRVARESCFSTHAACTRNLKESNMPHDGESDSETDSEATLSSSETIDDDAETSKDKMSQVLLESCNGYKLKTLAESCGAQWDVFRRQDVPKLIQYLRRHSNEFTRKFDIHKRVDHPILDQSFFLDSSHKLRLKEEFKIEPWTFEQHIGEAVIIPAGCPYQIRSPKSCVHVVLDFVSPENVNECIQLTDEVRLLPEDHKAKVDKLEVKRMALYSISSAIKEIRELTCAM >ONI10208 pep chromosome:Prunus_persica_NCBIv2:G4:1622145:1629210:1 gene:PRUPE_4G034800 transcript:ONI10208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEGALPDHLRCGRTDGRQWRCKRRVMDDMKLCEIHYLQGRHRQFREKVPESLKLQRKPKNAPSRDQNHNGVKIRARKVDNLVKLLKRKRSEETLKKSKKRKKKMKLKKSELNLELIRMVLKREVDKRNQTKKKKVVEEESEDDDDDDHDDLTRDLPNGLMAISSSSSQSPLLRSGNAGSNSSSDGKVGVDMGPAAMRRRCFRSKNIEPMPAGTLQVLPYNVGKLRRGKRKRCHWCQRSGSGVSSCLTKCSSCQKHFFCLGCIKERYFDTQDEVKMACPVCRGTCTCKECSENQSKDAESKDYLGVKNKVEVILHFHYLICMLLPVLKQINQDQKVELEAEAKMRGEKLSEVHIKKAEYSCNEQQCCNKCKASIVDLHRSCPNCSYNLCLSCCRDIFNGSLLGGINTSLSKHSNKKKNCASGKGQLLKKPIANRKQNVRSLYVSSSASVLSLKTCNAVKGISCPPKEFGGCGDGLLHLRCVFPLSWINELEVSAEEIVCSYEFPETSDMSLCCTLCLGMDQKVDGIKQLQEAAVRDNSNDNYLYYPTLLEIHGDNVEHFQKHWSKGHPVIVRDVLQTTSDLSWDPVLMFCTYLERSIASYENNQNSHEAIHCLDWCEVEIGIRQYFMGSLKGQGQRNMWNETLKLRGWLSSQLFQEQFPAHYAEIIRALPLQEYMNPMSGLLNLAARMPQEIPKPDLGPCVYISYGCTEQLVQANAVIKLCYDSYDVSCVHVVLDFVSPENVNECIQLTDEVRLLPEDHKAKVDKLEVKRMALYSISSAIKEIRELTCAM >ONI10209 pep chromosome:Prunus_persica_NCBIv2:G4:1622145:1629210:1 gene:PRUPE_4G034800 transcript:ONI10209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPVCRGTCTCKECSENQSKDAESKDYLGVKNKVEVILHFHYLICMLLPVLKQINQDQKVELEAEAKMRGEKLSEVHIKKAEYSCNEQQCCNKCKASIVDLHRSCPNCSYNLCLSCCRDIFNGSLLGGINTSLSKHSNKKKNCASGKGQLLKKPIANRKQNVRSLYVSSSASVLSLKTCNAVKGISCPPKEFGGCGDGLLHLRCVFPLSWINELEVSAEEIVCSYEFPETSDMSLCCTLCLGMDQKVDGIKQLQEAAVRDNSNDNYLYYPTLLEIHGDNVEHFQKHWSKGHPVIVRDVLQTTSDLSWDPVLMFCTYLERSIASYENNQNSHEAIHCLDWCEVEIGIRQYFMGSLKGQGQRNMWNETLKLRGWLSSQLFQEQFPAHYAEIIRALPLQEYMNPMSGLLNLAARMPQEIPKPDLGPCVYISYGCTEQLVQANAVIKLCYDSYDVVNILAHTSDVPISEEQVSKIRKLLKKHKAQNQRESSRATSEQTFAKKVKGESDLHSETMEEAGLHNVIGEEMHLRKRVARESCFSTHAACTRNLKESNMPHDGESDSETDSEATLSSSETIDDDAETSKDKMSQVLLESCNGYKLKTLAESCGAQWDVFRRQDVPKLIQYLRRHSNEFTRKFDIHKRVDHPILDQSFFLDSSHKLRLKEEFKIEPWTFEQHIGEAVIIPAGCPYQIRSPKSCVHVVLDFVSPENVNECIQLTDEVRLLPEDHKAKVDKLEVKRMALYSISSAIKEIRELTCAM >ONI14459 pep chromosome:Prunus_persica_NCBIv2:G4:23910867:23918635:-1 gene:PRUPE_4G281500 transcript:ONI14459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSGTESNIVEEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI14456 pep chromosome:Prunus_persica_NCBIv2:G4:23910867:23918640:-1 gene:PRUPE_4G281500 transcript:ONI14456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKTFPLAELPNDLEPHELVYQVRFTEEIFRGYDEYLNRINLYRQRVWMCKVSGKTNLTYEEALLSEERATEKVHQFPKELMEPALQIIQYSVACEYIVHDLDIWMLMKEYLISMLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSGTESNIVEEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI14452 pep chromosome:Prunus_persica_NCBIv2:G4:23910828:23918724:-1 gene:PRUPE_4G281500 transcript:ONI14452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKTFPLAELPNDLEPHELVYQVRFTEEIFRGYDEYLNRINLYRQRVWMCKVSGKTNLTYEEALLSEERATEKVHQFPKELMEPALQIIQYSMLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSGTESNIVEEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI14458 pep chromosome:Prunus_persica_NCBIv2:G4:23910750:23918645:-1 gene:PRUPE_4G281500 transcript:ONI14458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI14450 pep chromosome:Prunus_persica_NCBIv2:G4:23910641:23918771:-1 gene:PRUPE_4G281500 transcript:ONI14450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKTFPLAELPNDLEPHELVYQVRFTEEIFRGYDEYLNRINLYRQRVWMCKVSGKTNLTYEEALLSEERATEKVHQFPKELMEPALQIIQYSMLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI14449 pep chromosome:Prunus_persica_NCBIv2:G4:23910641:23918724:-1 gene:PRUPE_4G281500 transcript:ONI14449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKTFPLAELPNDLEPHELVYQVRFTEEIFRGYDEYLNRINLYRQRVWMCKVSGKTNLTYEEALLSEERATEKVHQFPKELMEPALQIIQYSMLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI14448 pep chromosome:Prunus_persica_NCBIv2:G4:23910641:23918668:-1 gene:PRUPE_4G281500 transcript:ONI14448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKTFPLAELPNDLEPHELVYQVRFTEEIFRGYDEYLNRINLYRQRVWMCKVSGKTNLTYEEALLSEERATEKVHQFPKELMEPALQIIQYSMLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI14451 pep chromosome:Prunus_persica_NCBIv2:G4:23910829:23918724:-1 gene:PRUPE_4G281500 transcript:ONI14451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKTFPLAELPNDLEPHELVYQVRFTEEIFRGYDEYLNRINLYRQRVWMCKVSGKTNLTYEEALLSEERATEKVHQFPKELMEPALQIIQYSMLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSGTESNIVEEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI14453 pep chromosome:Prunus_persica_NCBIv2:G4:23910829:23918641:-1 gene:PRUPE_4G281500 transcript:ONI14453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKTFPLAELPNDLEPHELVYQVRFTEEIFRGYDEYLNRINLYRQRVWMCKVSGKTNLTYEEALLSEERATEKVHQFPKELMEPALQIIQYSMLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSGTESNIVEEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI14457 pep chromosome:Prunus_persica_NCBIv2:G4:23911208:23917523:-1 gene:PRUPE_4G281500 transcript:ONI14457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKTFPLAELPNDLEPHELVYQVRFTEEIFRGYDEYLNRINLYRQRVWMCKVSGKTNLTYEEALLSEERATEKVHQFPKELMEPALQIIQYSVACEYIVHDLDIWMLMKEYLISMLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSGTESNIVEEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI14454 pep chromosome:Prunus_persica_NCBIv2:G4:23910750:23918635:-1 gene:PRUPE_4G281500 transcript:ONI14454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKTFPLAELPNDLEPHELVYQVRFTEEIFRGYDEYLNRINLYRQRVWMCKVSGKTNLTYEEALLSEERATEKVHQFPKELMEPALQIIQYSVACEYIVHDLDIWMLMKEYLISMLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI14455 pep chromosome:Prunus_persica_NCBIv2:G4:23910750:23918640:-1 gene:PRUPE_4G281500 transcript:ONI14455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKTFPLAELPNDLEPHELVYQVRFTEEIFRGYDEYLNRINLYRQRVWMCKVSGKTNLTYEEALLSEERATEKVHQFPKELMEPALQIIQYSVACEYIVHDLDIWMLMKEYLISMLSLKDLSDMVAEKLQERLYVGAEIYGRKDDDMYPCIVSKIIEDDVGKIEYEVAWLDKNKEVIETSVINREDVIQKKLPFSRKFLKSFIRESTYRSAPWVLHDKLAQSYGISKNVPENLRSKVSFKDGLLVCNKRRKNGEEAKHDLENFKRKKVDGSEGDEPVEEPIKYPIDDLLVQPDADDPVFTDRPSPSRDFNVPMECVGDLLMVWDFCSSFGRLLHLWPFSLEDFENAICHKKGNLVLLVETHSALLRLLIKDNGEYFSAVRERNRKLKITLITWTEFLCDFLEMINIPELCAYVATIKRGHYGLLDAHAKLGIFQELVNHALETNIVREKLDKFVEQRQALGATRREEALEEARKKRKEKERLRAESDVDQVMDLESKGSASENGNHIRQNGDIVKKRNGEIRSSRQDSASEKSGSKQVDAAPKKMARKQKVEVKVPTANGNGKDLSEKEALERSRDDRKEVVGQSSKERRREYYEREIEKRFIRTNSLGKDRNHNRYWWFQRDGRIFVESSDSKQWGYYSSKEELYLLTGSLNCKGERERALKKQLEKFISRICLEIEKRSKDLAQRVALEEAVLRRSSRVRAPPRKNPANAFLEYVNKWKED >ONI13766 pep chromosome:Prunus_persica_NCBIv2:G4:16188188:16189663:1 gene:PRUPE_4G243900 transcript:ONI13766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPAKLVFVTALCFSVFFNLVFTGDITRDAISSPTDCANCPTCQCPPSIPNPGYPLYGPPPPGPPPPSIPSYPPPPPQQHGQGKCPPTQPAQCCQYPQYLSPPGASGGFVPYPDGTHAGAASSALPIFLSVMMLLLSSSAVFF >ONI11020 pep chromosome:Prunus_persica_NCBIv2:G4:4050992:4053738:1 gene:PRUPE_4G083000 transcript:ONI11020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLGSLSQIKPSPIPTNSSSSSSNPSQKGLLLTRRRAIVLGPSVVVASLLHFYNPISQQPSSHLALAQQQQEDELQQEEDRSVHLFQETSPSVVFIKDLEIDKSLKASPDAVFLSEDGNSKVEGTGSGFIWDKFGHIVTNYHVVAKLATDQTGLQRCKVYLVDARGNGFYSEGKIVGVDPAYDLAVLKVDVEGHELKPVVLGTSNGLHVGQSCFAIGNPYGYENTLTIGVVSGLGREIPSPDGKAIRGAIQTDAAINSGNSGGPLIDSYGHIIGVNTATFTRKGTGASSGVNFAIPIDTVVRTVPYLIVYGTPYRDRF >ONI14253 pep chromosome:Prunus_persica_NCBIv2:G4:22088621:22089452:1 gene:PRUPE_4G271200 transcript:ONI14253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMINSSVLACNYAISGTAMSELNAKMPSSFVAAAGQKVVPAIRAQQARVSADSQKSEGRRAALLFLAATAFTSASAAASNSFANAGVIDDYLEKSKANKELNDKKRLATSGANFARAFTVQFGTCKFPENFTGCQDLAKQKKVPFISDDLKLECEGKDKYKCGSNVFWKW >ONI14338 pep chromosome:Prunus_persica_NCBIv2:G4:23079566:23082485:1 gene:PRUPE_4G276300 transcript:ONI14338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCICNCFTLSNHNPLKFSNSMPRASLFQRHTHLHPPRETPSSPCLTKRDLGLSIVAIFLNGFLPNLQKAILAQDLELQRYTDSKEGFTLLRPSSWIKVEKAGATALFEEANNGSNNIGVVVNPVRLTSLGEFGSPQFVADKLIQAERRKESTKDAVVIGFAERPGQGGLQVYEFEYEVDSTRGGMKRIFSAAFVASKKLYLVNITHSDKPESPLNSNTRIMLEQVLHSFDAAPLT >ONI13538 pep chromosome:Prunus_persica_NCBIv2:G4:14596775:14597244:1 gene:PRUPE_4G228500 transcript:ONI13538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYWKKAYQLSNTVVCLECYSVFTLHISGKNLLSTVDSRGRTHMKTWLGVLNPYIPCRETLSRMFVLTTLLILLLHVYLYYSTGIFY >ONI14616 pep chromosome:Prunus_persica_NCBIv2:G4:25376404:25394734:1 gene:PRUPE_4G288600 transcript:ONI14616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENGYDGKFAEKFSGLAISNAADTIRSSNANHNHQDDSSLFQVMKAVEAAEATIKQQVEENLRLRTELQHKILELDRFKLDESMAQRSPSVDPWSEGLQTSFQAHQPVPTVDGQDNRIKSVRNTYVVLHQESQNPTTDAPIQSHAETQSNNGTINGTMKLPTDNAGSSHLSSPSTTSFSPGRFNTVGEYDPRFNFSGQGLMPMAELNTPSSLWKQDLSVKIHEHEEEIMQLRKHLANYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTETKLKESQYQLGPWRSDSNHSNIAPQSPSHSIGAALATSNKNGLELVPQPIYSHETVPVSTSDAQTTTEWDLLGRHQSGLGGVVTNNMKANGLGRYSPIESRTSAAQDVPTQLAVTQGDAQVTRYSEETTNKQVTFRDPVRNSESDYQDPEGNHNERETSANWNSGNAPYTTALDDPSSSYAHYLPPVLEEPSSSFSEAADDDPLPAIDGLQISGEAFPGRELQACGYSINGTTSCNFEWVRHREDGSVYYIDGAKQPNYLVTADDVETYLAIEVQPLDNRKRKGELVKVFANEHKKITCDPEMQSHIEKILGSGHASFKIYLSTGYLDIWEPATLAIKREAYSIKCSETSGVVLTEKFSPTTLVTIPYGSPTELIISNPGGGEHILKTDDSSTDISCSRDTIVLTLRLFILRIT >ONI14617 pep chromosome:Prunus_persica_NCBIv2:G4:25376404:25394734:1 gene:PRUPE_4G288600 transcript:ONI14617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENGYDGKFAEKFSGLAISNAADTIRSSNANHNHQDDSSLFQVMKAVEAAEATIKQQVEENLRLRTELQHKILELDRFKLDESMAQRSPSVDPWSEGLQTSFQAHQPVPTVDGQDNRIKSVRNTYVVLHQESQNPTTDAPIQSHAETQSNNGTINGTMKLPTDNAGSSHLSSPSTTSFSPGRFNTVGEYDPRFNFSGQGLMPMAELNTPSSLWKQDLSVKIHEHEEEIMQLRKHLANYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTETKLKESQYQLGPWRSDSNHSNIAPQSPSHSIGAALATSNKNGLELVPQPIYSHETVPVSTSDAQTTTEWDLLGRHQSGLGGVVTNNMKANGLGRYSPIESRTSAAQDVPTQLAVTQGDAQVTRYSEETTNKQVTFRDPVRNSESDYQDPEGNHNERETSANWNSGNAPYTTALDDPSSSYAHYLPPVLEEPSSSFSEAADDDPLPAIDGLQISGEAFPGRELQACGYSINGTTSCNFEWVRHREDGSVYYIDGAKQPNYLVTADDVETYLAIEVQPLDNRKRKGELVKVFANEHKKITCDPEMQSHIEKILGSGHASFKIYLSTGYLDIWEPATLAIKREAYSIKCSETSGVVLTEKFSPTTLVTIPYGSPTELIISNPGGGEHILKTDDSSTDISCSRDTIVLTLRLFILRIT >ONI14622 pep chromosome:Prunus_persica_NCBIv2:G4:25377230:25393099:1 gene:PRUPE_4G288600 transcript:ONI14622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTSLVCPLHVIVCLLTYYGQKLDESMAQRSPSVDPWSEGLQTSFQAHQPVPTVDGQDNRIKSVRNTYVVLHQESQNPTTDAPIQSHAETQSNNGTINGTMKLPTDNAGSSHLSSPSTTSFSPGRFNTVGEYDPRFNFSGQGLMPMAELNTPSSLWKQDLSVKIHEHEEEIMQLRKHLANYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTETKLKESQYQLGPWRSDSNHSNIAPQSPSHSIGAALATSNKNGLELVPQPIYSHETVPVSTSDAQTTTEWDLLGRHQSGLGGVVTNNMKANGLGRYSPIESRTSAAQDVPTQLAVTQGDAQVTRYSEETTNKQVTFRDPVRNSESDYQDPEGNHNERETSANWNSGNAPYTTALDDPSSSYAHYLPPVLEEPSSSFSEAADDDPLPAIDGLQISGEAFPGRELQACGYSINGTTSCNFEWVRHREDGSVYYIDGAKQPNYLVTADDVETYLAIEVQPLDNRKRKGELVKVFANEHKKITCDPEMQSHIEKILGSGHASFKIYLSTGYLDIWEPATLAIKREAYSIKCSETSGVVLTEKFSPTTLVTIPYGSPTELIISNPGGGEHILKTDDSSTDISCSRDTIVLTLRLFILRAGERRKGKKRLFF >ONI14620 pep chromosome:Prunus_persica_NCBIv2:G4:25377031:25391930:1 gene:PRUPE_4G288600 transcript:ONI14620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFRIVDTFLLGCEKLDESMAQRSPSVDPWSEGLQTSFQAHQPVPTVDGQDNRIKSVRNTYVVLHQESQNPTTDAPIQSHAETQSNNGTINGTMKLPTDNAGSSHLSSPSTTSFSPGRFNTVGEYDPRFNFSGQGLMPMAELNTPSSLWKQDLSVKIHEHEEEIMQLRKHLANYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTETKLKESQYQLGPWRSDSNHSNIAPQSPSHSIGAALATSNKNGLELVPQPIYSHETVPVSTSDAQTTTEWDLLGRHQSGLGGVVTNNMKANGLGRYSPIESRTSAAQDVPTQLAVTQGDAQVTRYSEETTNKQVTFRDPVRNSESDYQDPEGNHNERETSANWNSGNAPYTTALDDPSSSYAHYLPPVLEEPSSSFSEAADDDPLPAIDGLQISGEAFPGRELQACGYSINGTTSCNFEWVRHREDGSVYYIDGAKQPNYLVTADDVETYLAIEVQPLDNRKRKGELVKVFANEHKKITCDPEMQSHIEKILGSGHASFKIYLSTGYLDIWEPATLAIKREAYSIKCSETSGVVLTEKFSPTTLVTIPYGSPTELIISNPGGGEHILKTDDSSTDISCSRDTIVLTLRLFILRIT >ONI14621 pep chromosome:Prunus_persica_NCBIv2:G4:25377031:25391930:1 gene:PRUPE_4G288600 transcript:ONI14621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFRIVDTFLLGCEKLDESMAQRSPSVDPWSEGLQTSFQAHQPVPTVDGQDNRIKSVRNTYVVLHQESQNPTTDAPIQSHAETQSNNGTINGTMKLPTDNAGSSHLSSPSTTSFSPGRFNTVGEYDPRFNFSGQGLMPMAELNTPSSLWKQDLSVKIHEHEEEIMQLRKHLANYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTETKLKESQYQLGPWRSDSNHSNIAPQSPSHSIGAALATSNKNGLELVPQPIYSHETVPVSTSDAQTTTEWDLLGRHQSGLGGVVTNNMKANGLGRYSPIESRTSAAQDVPTQLAVTQGDAQVTRYSEETTNKQVTFRDPVRNSESDYQDPEGNHNERETSANWNSGNAPYTTALDDPSSSYAHYLPPVLEEPSSSFSEAADDDPLPAIDGLQISGEAFPGRELQACGYSINGTTSCNFEWVRHREDGSVYYIDGAKQPNYLVTADDVETYLAIEVQPLDNRKRKGELVKVFANEHKKITCDPEMQSHIEKILGSGHASFKIYLSTGYLDIWEPATLAIKREAYSIKCSETSGVVLTEKFSPTTLVTIPYGSPTELIISNPGGGEHILKTDDSSTDISCSRDTIVLTLRLFILRIT >ONI14618 pep chromosome:Prunus_persica_NCBIv2:G4:25376404:25394734:1 gene:PRUPE_4G288600 transcript:ONI14618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFRIVDTFLLGCEKLDESMAQRSPSVDPWSEGLQTSFQAHQPVPTVDGQDNRIKSVRNTYVVLHQESQNPTTDAPIQSHAETQSNNGTINGTMKLPTDNAGSSHLSSPSTTSFSPGRFNTVGEYDPRFNFSGQGLMPMAELNTPSSLWKQDLSVKIHEHEEEIMQLRKHLANYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTETKLKESQYQLGPWRSDSNHSNIAPQSPSHSIGAALATSNKNGLELVPQPIYSHETVPVSTSDAQTTTEWDLLGRHQSGLGGVVTNNMKANGLGRYSPIESRTSAAQDVPTQLAVTQGDAQVTRYSEETTNKQVTFRDPVRNSESDYQDPEGNHNERETSANWNSGNAPYTTALDDPSSSYAHYLPPVLEEPSSSFSEAADDDPLPAIDGLQISGEAFPGRELQACGYSINGTTSCNFEWVRHREDGSVYYIDGAKQPNYLVTADDVETYLAIEVQPLDNRKRKGELVKVFANEHKKITCDPEMQSHIEKILGSGHASFKIYLSTGYLDIWEPATLAIKREAYSIKCSETSGVVLTEKFSPTTLVTIPYGSPTELIISNPGGGEHILKTDDSSTDISCSRDTIVLTLRLFILRAGERRKGKKRLFF >ONI14614 pep chromosome:Prunus_persica_NCBIv2:G4:25376404:25394734:1 gene:PRUPE_4G288600 transcript:ONI14614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENGYDGKFAEKFSGLAISNAADTIRSSNANHNHQDDSSLFQVMKAVEAAEATIKQQVEENLRLRTELQHKILELDRFKLDESMAQRSPSVDPWSEGLQTSFQAHQPVPTVDGQDNRIKSVRNTYVVLHQESQNPTTDAPIQSHAETQSNNGTINGTMKLPTDNAGSSHLSSPSTTSFSPGRFNTVGEYDPRFNFSGQGLMPMAELNTPSSLWKQDLSVKIHEHEEEIMQLRKHLANYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTETKLKESQYQLGPWRSDSNHSNIAPQSPSHSIGAALATSNKNGLELVPQPIYSHETVPVSTSDAQTTTEWDLLGRHQSGLGGVVTNNMKANGLGRYSPIESRTSAAQDVPTQLAVTQGDAQVTRYSEETTNKQVTFRDPVRNSESDYQDPEGNHNERETSANWNSGNAPYTTALDDPSSSYAHYLPPVLEEPSSSFSEAADDDPLPAIDGLQISGEAFPGRELQACGYSINGTTSCNFEWVRHREDGSVYYIDGAKQPNYLVTADDVETYLAIEVQPLDNRKRKGELVKVFANEHKKITCDPEMQSHIEKILGSGHASFKIYLSTGYLDIWEPATLAIKREAYSIKCSETSGVVLTEKFSPTTLVTIPYGSPTELIISNPGGGEHILKTDDSSTDISCSRDTIVLTLRLFILRAGERRKGKKRLFF >ONI14619 pep chromosome:Prunus_persica_NCBIv2:G4:25376404:25394734:1 gene:PRUPE_4G288600 transcript:ONI14619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFRIVDTFLLGCEKLDESMAQRSPSVDPWSEGLQTSFQAHQPVPTVDGQDNRIKSVRNTYVVLHQESQNPTTDAPIQSHAETQSNNGTINGTMKLPTDNAGSSHLSSPSTTSFSPGRFNTVGEYDPRFNFSGQGLMPMAELNTPSSLWKQDLSVKIHEHEEEIMQLRKHLANYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTETKLKESQYQLGPWRSDSNHSNIAPQSPSHSIGAALATSNKNGLELVPQPIYSHETVPVSTSDAQTTTEWDLLGRHQSGLGGVVTNNMKANGLGRYSPIESRTSAAQDVPTQLAVTQGDAQVTRYSEETTNKQVTFRDPVRNSESDYQDPEGNHNERETSANWNSGNAPYTTALDDPSSSYAHYLPPVLEEPSSSFSEAADDDPLPAIDGLQISGEAFPGRELQACGYSINGTTSCNFEWVRHREDGSVYYIDGAKQPNYLVTADDVETYLAIEVQPLDNRKRKGELVKVFANEHKKITCDPEMQSHIEKILGSGHASFKIYLSTGYLDIWEPATLAIKREAYSIKCSETSGVVLTEKFSPTTLVTIPYGSPTELIISNPGGGEHILKTDDSSTDISCSRDTIVLTLRLFILRAGERRKGKKRLFF >ONI14615 pep chromosome:Prunus_persica_NCBIv2:G4:25376404:25394734:1 gene:PRUPE_4G288600 transcript:ONI14615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENGYDGKFAEKFSGLAISNAADTIRSSNANHNHQDDSSLFQVMKAVEAAEATIKQQVEENLRLRTELQHKILELDRFKLDESMAQRSPSVDPWSEGLQTSFQAHQPVPTVDGQDNRIKSVRNTYVVLHQESQNPTTDAPIQSHAETQSNNGTINGTMKLPTDNAGSSHLSSPSTTSFSPGRFNTVGEYDPRFNFSGQGLMPMAELNTPSSLWKQDLSVKIHEHEEEIMQLRKHLANYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQDAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLLLTETKLKESQYQLGPWRSDSNHSNIAPQSPSHSIGAALATSNKNGLELVPQPIYSHETVPVSTSDAQTTTEWDLLGRHQSGLGGVVTNNMKANGLGRYSPIESRTSAAQDVPTQLAVTQGDAQVTRYSEETTNKQVTFRDPVRNSESDYQDPEGNHNERETSANWNSGNAPYTTALDDPSSSYAHYLPPVLEEPSSSFSEAADDDPLPAIDGLQISGEAFPGRELQACGYSINGTTSCNFEWVRHREDGSVYYIDGAKQPNYLVTADDVETYLAIEVQPLDNRKRKGELVKVFANEHKKITCDPEMQSHIEKILGSGHASFKIYLSTGYLDIWEPATLAIKREAYSIKCSETSGVVLTEKFSPTTLVTIPYGSPTELIISNPGGGEHILKTDDSSTDISCSRDTIVLTLRLFILRAGERRKGKKRLFF >ONI10559 pep chromosome:Prunus_persica_NCBIv2:G4:2592306:2593958:1 gene:PRUPE_4G053600 transcript:ONI10559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCDKSSQSLGAPSHGRGPGGRHHQSSSKRSAPGKSISKLVTSNINLDDLPEFILLEILCRLPSEFAVQCMCVSKRWFSLIYNSYFFRRVQSDKPITRSVIVPDTQHARTTFFSVSSEEPPHELVFKTPPREFSLSFLPCFQADCDFLKGEPCVVGTYNDLILCCATTHYQCDYYICNPRTKQWEALPRPPRRGRHKRVMVGFVCDPNYKYKNDRKQAEAERSRRTVNIQLNNSIINVEYRYKVVRIIDDQWERPEHSSRFYMEIFSSETGKWRQSRVFSPRRFCFTRLSPYPGVAHNGSLYWFTDTDRFMVGLDPFTTRDQLYINDTSNYCFRFIDEPEDESRTMHFLGECGRGCLRMCMLPHYCDLSGMSVWEFKDDGRGYVDGQVDGPGKWCLVDKVRLFQLVPENPLLTKWDRESSTKTVMVLAFDPNNKDVLLLHLFGRIVMYNIRAKTLKETTWTTPFTTLVLRCAGLSVFPAFPFVLPWWPTPIITSRGRRQRFSPNRLIPHTAKVQQPTPVSSKRPRENGRKEAVATAASSSRRPSKRSRR >ONI12342 pep chromosome:Prunus_persica_NCBIv2:G4:9083530:9084733:1 gene:PRUPE_4G158100 transcript:ONI12342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGILASGFVLSGFVMKHLVQNSIQTTETLNSDYTKSSPVAFVPLMSFSGDRVIPYNRKLQLAVSLTMPESEYNHKLCVLQV >ONI10091 pep chromosome:Prunus_persica_NCBIv2:G4:1236780:1238706:1 gene:PRUPE_4G026400 transcript:ONI10091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSTLSVPNLGLAPRTSYANHQPILKTPNASASSSEPQGGKPKGSSQLTRWSRARAIRSGRKLDRAGQRSQLLEPNSPVQPRERVSLDVKDDTSTGSDGEDDVEMTAGKSIYMVSDGTGWTVEHSVNAALGQFEHCLVDRGCAVNTHLFSGIDEVERLMEIVKQAAKEGAMLVYTLAEPSMAESARHACKHWGIPTTDMLGPITEAIATHLGVSPSGLPRGAPGRSFPLTDDYFRRIEAIEFTIKQDDGALPQNLHKADIILAGVSRTGKTPLSIYLAQKGYKVANVPIVMGVPLPKTLFEVDPEKVFGLTINPLVLQTIRRARAKTLGFSEGMKSNYSEMDHVRQELEFARTILAQNPVWPVIEVTGKAIEETAAVVLRLYHDRKHKCSMPRISKRY >ONI11897 pep chromosome:Prunus_persica_NCBIv2:G4:7383639:7386118:1 gene:PRUPE_4G133300 transcript:ONI11897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFSFEKKIKHYSSYQKILLAGEGDFSFSVCLARAFGLAVNMVATSLDSRESLMLNYSKAMSNVKELEARGCKVLHEVDVHSMSQHPFLIRVCFDRIIYNFPHAGFFSSERNRLQIWFHRDLVRGFLKNACEMLTAIGEIHVTHKTTFPFSEWKIVELAKEVGLYLVDEEQFSLLDYPGYENKRGAGMCDKTFHVGMCSTFKFAKLLYSSTTSWSGCSGINGPHMQRLHQNFVMGYLNSSSEMHRASYPFSDWEMEKLTKEVGLFLVKEEEFSPWDCSCAASSFHPGTLTISLWSVDIVDMACSYLESLERKKPKHGHKNLRPP >ONI11898 pep chromosome:Prunus_persica_NCBIv2:G4:7383821:7385548:1 gene:PRUPE_4G133300 transcript:ONI11898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFSFEKKIKHYSSYQKILLAGEGDFSFSVCLARAFGLAVNMVATSLDSRESLMLNYSKAMSNVKELEARGCKVLHEVDVHSMSQHPFLIRVCFDRIIYNFPHAGFFSSERNRLQIWFHRDLVRGFLKNACEMLTAIGEIHVTHKTTFPFSEWKIVELAKEVGLYLVDEEQFSLLDYPGYENKRGAGMCDKTFHVGMCSTFKFAKLLYSSTTSWSGCSGINGPHMQRDEYLGRVGASKIMYVRFLIDHDHMIKVATELIGSC >ONI11333 pep chromosome:Prunus_persica_NCBIv2:G4:5208871:5209951:-1 gene:PRUPE_4G101900 transcript:ONI11333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIENGEKPQDASAFVAVTKPTDWTIVILRVVAFLATASATVVMALNKETKSLVVATIGTTPVRATLTAKFQHNPANVFFVIANGMASLHNLSMITVHFFGDKFKDKALRLVMVAILDVMTVSLASSGDGAATFMAQLGKNGNSHARWNKICDKFHKFCDHGGGALIASYVGLLLLLVITVLSIVKLLKTK >ONI12247 pep chromosome:Prunus_persica_NCBIv2:G4:8793209:8796967:1 gene:PRUPE_4G153500 transcript:ONI12247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAQAICTPTRLQLPFFTRNCISRPRIGFVARISNPNELLCQTHSCSFLSPPEDIRTQTRFTFNASSSSVGSAEYIEEPATKVRFQTSLSLPGCSISLSLLGTGYREKVFAIIGVKVYAAGLYVNQSILNSLNAWKGRSAAEIQEDSSLFSTIFQSPLEKSLQIVLVRDVEGKTFWDALNDAISPRIKSPTPVDESALSTFRSIFQGQPLKKGTFIFLTWPDPSKMLVCISADGLPSSVDAAIESENVALALFDVFFGDTPVSPSLKSSVVNGLESVLK >ONI13674 pep chromosome:Prunus_persica_NCBIv2:G4:15437261:15438131:1 gene:PRUPE_4G236900 transcript:ONI13674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTEVATKPHAVCIPFPAQSHIKAMLKFAKLLHHRGFHITFVNTQFNHKRFLKTLGSNSLDGLPDFQFEAIPDSLPDSNEDTTQDVVKKIKLDHFQQRNYVEVSLKPCIIMLEILT >ONI10266 pep chromosome:Prunus_persica_NCBIv2:G4:1788137:1789026:1 gene:PRUPE_4G038000 transcript:ONI10266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGRHWKHLKQIIQAENYQNYPPHEPNFFTFIPLWYFEFSVLERYFFKKRMSMHPCKRICDITGYEAAYHDPSTNLRYANADSFPIEYVQTYPALRNAAVVFK >ONI12069 pep chromosome:Prunus_persica_NCBIv2:G4:8169014:8170237:-1 gene:PRUPE_4G142500 transcript:ONI12069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSITNTLGTLSPNQYIRDDQTLVSAGGTFQLGFFSPGKLTGRYLGIWYTISNEIVVWVANRETPLDDSSGVLKVTDQGVLVLLNSSNGIVWSSNSSRTVDNPVSQLLDSGNLVVKDANETNPDNFLWQSFDYPCDTFLPEMKLGWDFVTGLDRYVSCWKSTEDPARGEFSLWMSPHGLPQLFVMKGTKIQTRSGSWNGVQLTGSMRRSTPSEFEFFLNKDEVYYEYRLLNRSIPSRYVLTPSGIAQWFTWIENTHSWEPFFSTQQDECEIYAFCGAYSSCDTSDAPVCACLKGFIPKSTEHWNSQNWSDGCIRNTPLACSYKDEFFKYTSFKLPDTSSSWFDKSMSLNECKRLCLENCSCTAYANLDIRDGGSGCLLWFGNLIDIRALPSDSQDLYIRLASSELGSF >ONI12007 pep chromosome:Prunus_persica_NCBIv2:G4:7790646:7795148:1 gene:PRUPE_4G139500 transcript:ONI12007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLKIQGRLLYNMWLHLLCSSLLLITTAIIVSTAEDTSTFQSIADGETVVSTGATFELGFFSAGASKSRYVAIWYKKIPVATIVWIANRDTPLTGSSGVLKVSSPGILVLVDQKNTTVWSSNTSSSAQNPVGKLLDSGNLVVIDGNDHNDTEKYLWQSFDYPGDTLLPGMKLGRNKITGFNWHLTPWKSPQDPSPGNYTYQLGPDGYHELIVREGSVIKYRTGPWNGIQFSGMHNLNSDHTNTYGFVLDDDEEVYYGYKLLNSSILSKLALTQDGLLQSYTWSDENQGWVLYLTAQVDICDSYGLCGPNGACSVENSPVCGCLEGFTPNFRQDRDLVDWSYGCVRKTPPSCSGDIFKKYSGMKLPNTEQSWYNSSMNLKECEMMCMNSFCSCTAFANLDVRDGGRGCLLWFSDLIDIRYSTGNGQDVYIRMAASELDQGSNTDTNAKTSKSNVKKVRIIVTSTVLSTVLLILGLTLLLYVWKKQHQKYGKPRSSQKEDMELPLFDLAAVVRATNSFSNDSKLGEGGFGSVFKGTLKDGQEIAAKRLSKHSTQGLNELKNEVTHIAKLQHRNLVKLLGCCIQEDEMMLIYEFMPNKSLDFFIFDQRKSMMLDWPKRFDIINGIARGLLYLHQDSRLRVIHRDLKASNVLLDSGFNPKISDFGLARSFAENETNAKTKKVVGTYGYMSPEYAIDGFYSTKSDVYSFGVLVLEIVSGKRNRGFTHPGHSLNLLGHAWMLCTEGRSIEVLDTSVGDSSDPHEVLRSIHVGLLCVQRNPADRPSMPAAVVMLSGEGSLPQPQKPGFYSERDLNELEVDPSSKAFSANEITFTLMEAR >ONI12008 pep chromosome:Prunus_persica_NCBIv2:G4:7790913:7794536:1 gene:PRUPE_4G139500 transcript:ONI12008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLKIQGRLLYNMWLHLLCSSLLLITTAIIVSTAEDTSTFQSIADGETVVSTGATFELGFFSAGASKSRYVAIWYKKIPVATIVWIANRDTPLTGSSGVLKVSSPGILVLVDQKNTTVWSSNTSSSAQNPVGKLLDSGNLVVIDGNDHNDTEKYLWQSFDYPGDTLLPGMKLGRNKITGFNWHLTPWKSPQDPSPGNYTYQLGPDGYHELIVREGSVIKYRTGPWNGIQFSGMHNLNSDHTNTYGFVLDDDEEVYYGYKLLNSSILSKLALTQDGLLQSYTWSDENQGWVLYLTAQVDICDSYGLCGPNGACSVENSPVCGCLEGFTPNFRQDRDLVDWSYGCVRKTPPSCSGDIFKKYSGMKLPNTEQSWYNSSMNLKECEMMCMNSFCSCTAFANLDVRDGGRGCLLWFSDLIDIRYSTGNGQDVYIRMAASELDQGSNTDTNAKTSKSNVKKVRIIVTSTVLSTVLLILGLTLLLYVWKKQHQKYGKPRSSQKEDMELPLFDLAAVVRATNSFSNDSKLGEGGFGSVFKGTLKDGQEIAAKRLSKHSTQGLNELKNEVTHIAKLQHRNLVKLLGCCIQEDEMMLIYEFMPNKSLDFFIFDQRKSMMLDWPKRFDIINGIARGLLYLHQDSRLRVIHRDLKASNVLLDSGFNPKISDFGLARSFAENETNAKTKKVVGT >ONI14092 pep chromosome:Prunus_persica_NCBIv2:G4:18971486:18971845:-1 gene:PRUPE_4G261300 transcript:ONI14092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKDDRNHFNSPPLDSHLWVNPLVGTVKINCVNAWDKVTNAGSAGVVIKDHTGSLLDGSAHCFQSSPVVQAQCHAIRSGLELVHVRGFTNVIVETDSKVCFAAVQGDISVSNWTLYPL >ONI13629 pep chromosome:Prunus_persica_NCBIv2:G4:15106954:15109962:-1 gene:PRUPE_4G234200 transcript:ONI13629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLSWFCLLFLLSTTCQFNSTCSSANSSSSLSSGHLCHPEDSSALLQFKNSFSIDTSSKRELYNGTTLYSNSTISWQKGKDCCTWSGVTCEKMTSRVISLNLHFSGLQGNIHSNSSLFSLGHLKRLDLSSNDFRGSPISSKFGGFVSMTHLDLSYSNFSGPIPSEISHLSTLVSLNLSQSSYLVVTHVDTLSFNRIVQNLTNLRELNLASVDMSSVIPDSFKNLSSSLEILELPWCNLQGKFPESIFQRPNLRLLDLGHNYNLTGYFPESNWSSPLEMLDLSRTRISVDWHHLTRNFKSLRDLSLSNCSFVGSYLAFLGNLTQIMRLDLSSNSFGGQIPWSFFLNLESLVSLNLGGNNYVGQFPEVYSNSTSNSSLYDFSKQQLVGPIPRHLTELYLYENQLNGTIPSWLGSLPSLEWLNLRSNQLSGNIIEFQSRSLSGLLLNDNKLDGLIPRSIFELENLRWLDLSSNNLSGTVEFEKFSKLQILNLSFNHLSLSFNHLRNNTLPQLELLDLSSCNISEFPYFLRAAPKLDTLSLSHNRIQANIPKWLLDLWKDSLSYLDLSHNSLTGTVGPLRWKNLQYLDLRNNSLQGELPIPSPSTYYFFISNNQFTGEIPPTICSLSSLQILDLSNNKLSGKIHQCIGNFSQRLSVLNLRNNKFYGMIPDTFSEGNVLRNLDLNKNQLEGSLPPTLLTCRELEVLDLANNKIQDTFPNWLESLPKLQVLILRSNKFYGEICIPKTKFPFQKLHIIDLSNNRFSGLLPTKYFEHLTAVINSQEHGLKYMGEGYYQDTVVVTIKGFEIEMEKILTFFTIIDFSNNTFRGEISSVISKLKSLKGLNFSHNELTGTIPPSFGEMRNLEWLDLSSNKLVGEISEQLANLTSLSKFNVSKNQLVGPIPHGKQFDTFENDSYSGNTGLCGLPLSKTCSAPHQSPPSSFQQEVDLEHENGFDWKLVLMGYASRVVIGISVGYLVLSNGTPDWLVKVVGRKQCRRTVKITQRRA >ONI11166 pep chromosome:Prunus_persica_NCBIv2:G4:4530741:4533326:1 gene:PRUPE_4G090800 transcript:ONI11166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGRDIFGLLFLVIVFAFPRTGEAQSRSFLINCGTNSSITLSGRKWVGDLATNNNLTLSSSGIAASTSTSSDDSTYGPLYKTARVFTNGFNYTFQGIKGNYFVRLHFSPFSFDNYNVNESSFGVVANGLKLLSEFSVHGEISDKNAYLQSLGSNSSSSLIKEYILAINLDLLVIEFIPAKGSFGCINAIEIVPVVDTLFAGPVSKVGGNGANQNIIWQGIETMYRLNVGGSEINPSQDSDLWRTWEVDSSYMITANAGFEIKNSSNITYSSVNDSSVAPLLVYESARTMSNTEVLEKKFNMSWKFGVDPDFDYLIRLHFCELVYDMENQRIFRIYINNRTAADNFNVFVRAGGKNKGYHQDFFDVVSPKVDTLWIQLGPDTAAGAAGTDALLSGLEIFKLSRNGNLAYVEKYGRGVSSPRRRSSKTQLLWVGVGAGIASVAILATLLFCFCNRWRQKSSETKNNPAGWRPLFLNGSIVNSIANAKGAAGSQNPYGSVASIRVGKRFMLAEIRAATNNFDESLVIGLGGFGKVYKGEIDDGTLVAIKRANPQSQQGLAEFETEIETLSKLRHRHLVSLIGFCEEQNEMILVYEYMANGTLRSHLFGSDLPPLTWKLRVEACIGAARGLHYLHTGAERGIIHRDVKTTNILLDENFVAKMSDFGLSKTGPALDHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVVCARAVINPTLPKDQINLAEWAMKWQQQRALETILDPRLEGSYCPESLKKFGEIAEKCLADEGKSRPTLGQVLWHLEYVLQLHEAWMRTNAGDNSFTSSQAFGALVEGEAEEGEGPPSLDEETGCSRKSTTRGSNESTEDGAEMHGH >ONI12855 pep chromosome:Prunus_persica_NCBIv2:G4:11161053:11175010:1 gene:PRUPE_4G187500 transcript:ONI12855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYLAQFQTIKNSLDHLVIAVEDVSDLWPTVKNGFEEHLPFKRACLNNKTRNPVFVENFPAEFILTTDSRLRSRFPQEQSLFWFREPYATAVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPNNDLATKMANKVYAKLEVDFSSKKRERCCKFDLYSPEANFWEDLELKIMECIRNTLDRRVQFYEDEIRKLSEQRFMPVWNFCNFFILKESLAFMFEMAHLHEDSLREYDELEICYLETVEMTGKRKDFGGVDHGDDQAALINSGNKPLTQIVQDDSFREFEFRQYLFACQSKLLFKLNRPFEVAARGYSFIISFSKSLAVHENILPFCMREVWVITACISVVNATASHYKEGLAAPDIEKEFYRLQGDLYSLCRVKFMRLAYLIGYGTNIERSPGNSASLSMLPWPKPVVWPSVPPDASSEVLAKEKIILQATPSIKHFGIQRKPLPLEPSLLLREANRRRASLSAGNMVEMFDGRQNFSDGSGSDASLKMPSFQKVQASVMSRTNSSPGISESSIDKPMRLAEIYVAAENALHNTVSNPDLWKSLSSTEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGEIASVCFKHGNFDLAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLSSCVRLLSLDKGLFFTKERQAFQSEVVRLAHGEMKQPVPLDVSSLITFSGNPGPPLELCDGDPGTLSVTFWSGFPDDITLDSLSLTLNALFNTDEVAKALVSSTAIVLKPGRNTITLDLPPQKPGSYVLGVLTGQIGQLRFRSHSFSKGGPEDSEDFMSYEKPPRPILKVFKPRPLVDLVAAVSSALLINEPQWVGIIARPINYSLKGAVLYVDTGPGLKIEDLNFIEMESYDDTSKSSVGVADCNGTPKDGSLAVDKIFEKLTFCDDRVSFPHWASNLTSILWIPLRAISENLARGSSLVAPQRHSIVDGMRTIALKLEFGASHNQIFERHFSFYIILAYLYLDYVLFVVFSFSKLPFIDYKLSEYVHIYGLICRTLAVHFTDPFHVSTRVADKCNDGTLLLQVILHSEVKATLTIYDAWLDLQDGFVNTGQGDGRPTSGYFPLVVSPNSRAGMLFSISLGKTYVEDEAKALQSDSILNIRYGISGDRTIGAHPPVAAESSGSEDDIQDLIFRCALALQRPVLDPVLAVGFLPLPSSGLRVGQLVTMKWRVERLKDFEENEVSPNNDEVLYEVSANTENWMIAGRKRGHVSLSAKQGSRIEISILCVPLVAGYVRPPQLGLPDVDESNISCNPAGPHLVCVLPPILSSSFCIPA >ONI12857 pep chromosome:Prunus_persica_NCBIv2:G4:11161053:11175010:1 gene:PRUPE_4G187500 transcript:ONI12857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYLAQFQTIKNSLDHLVIAVEDVSDLWPTVKNGFEEHLPFKRACLNNKTRNPVFVENFPAEFILTTDSRLRSRFPQEQSLFWFREPYATAVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPNNDLATKMANKVYAKLEVDFSSKKRERCCKFDLYSPEANFWEDLELKIMECIRNTLDRRVQFYEDEIRKLSEQRFMPVWNFCNFFILKESLAFMFEMAHLHEDSLREYDELEICYLETVEMTGKRKDFGGVDHGDDQAALINSGNKPLTQIVQDDSFREFEFRQYLFACQSKLLFKLNRPFEVAARGYSFIISFSKSLAVHENILPFCMREVWVITACISVVNATASHYKEGLAAPDIEKEFYRLQGDLYSLCRVKFMRLAYLIGYGTNIERSPGNSASLSMLPWPKPVVWPSVPPDASSEVLAKEKIILQATPSIKHFGIQRKPLPLEPSLLLREANRRRASLSAGNMVEMFDGRQNFSDGSGSDASLKMPSFQKVQASVMSRTNSSPGISESSIDKPMRLAEIYVAAENALHNTVSNPDLWKSLSSTEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGEIASVCFKHGNFDLAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLSSCVRLLSLDKGLFFTKERQAFQSEVVRLAHGEMKQPVPLDVSSLITFSGNPGPPLELCDGDPGTLSVTFWSGFPDDITLDSLSLTLNALFNTDEVAKALVSSTAIVLKPGRNTITLDLPPQKPGSYVLGVLTGQIGQLRFRSHSFSKGGPEDSEDFMSYEKPPRPILKVFKPRPLVDLVAAVSSALLINEPQWVGIIARPINYSLKGAVLYVDTGPGLKIEDLNFIEMESYDDTSKSSVGVADCNGTPKDGSLAVDKIFEKLTFCDDRVSFPHWASNLTSILWIPLRAISENLARGSSLVAPQRHSIVDGMRTIALKLEFGASHNQIFERTLAVHFTDPFHVSTRVADKCNDGTLLLQVILHSEVKATLTIYDAWLDLQDGFVNTGQGDGRPTSGYFPLVVSPNSRAGMLFSISLGKTYVEDEAKALQSDSILNIRYGISGDRTIGAHPPVAAESSGSEDDIQDLIFRCALALQRPVLDPVLAVGFLPLPSSGLRVGQLVTMKWRVERLKDFEENEVSPNNDEVLYEVSANTENWMIAGRKRGHVSLSAKQGSRIEISILCVPLVAGYVRPPQLGLPDVDESNISCNPAGPHLVCVLPPILSSSFCIPA >ONI12859 pep chromosome:Prunus_persica_NCBIv2:G4:11161787:11175010:1 gene:PRUPE_4G187500 transcript:ONI12859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSVEDVSDLWPTVKNGFEEHLPFKRACLNNKTRNPVFVENFPAEFILTTDSRLRSRFPQEQSLFWFREPYATAVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPNNDLATKMANKVYAKLEVDFSSKKRERCCKFDLYSPEANFWEDLELKIMECIRNTLDRRVQFYEDEIRKLSEQRFMPVWNFCNFFILKESLAFMFEMAHLHEDSLREYDELEICYLETVEMTGKRKDFGGVDHGDDQAALINSGNKPLTQIVQDDSFREFEFRQYLFACQSKLLFKLNRPFEVAARGYSFIISFSKSLAVHENILPFCMREVWVITACISVVNATASHYKEGLAAPDIEKEFYRLQGDLYSLCRVKFMRLAYLIGYGTNIERSPGNSASLSMLPWPKPVVWPSVPPDASSEVLAKEKIILQATPSIKHFGIQRKPLPLEPSLLLREANRRRASLSAGNMVEMFDGRQNFSDGSGSDASLKMPSFQKVQASVMSRTNSSPGISESSIDKPMRLAEIYVAAENALHNTVSNPDLWKSLSSTEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGEIASVCFKHGNFDLAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLSSCVRLLSLDKGLFFTKERQAFQSEVVRLAHGEMKQPVPLDVSSLITFSGNPGPPLELCDGDPGTLSVTFWSGFPDDITLDSLSLTLNALFNTDEVAKALVSSTAIVLKPGRNTITLDLPPQKPGSYVLGVLTGQIGQLRFRSHSFSKGGPEDSEDFMSYEKPPRPILKVFKPRPLVDLVAAVSSALLINEPQWVGIIARPINYSLKGAVLYVDTGPGLKIEDLNFIEMESYDDTSKSSVGVADCNGTPKDGSLAVDKIFEKLTFCDDRVSFPHWASNLTSILWIPLRAISENLARGSSLVAPQRHSIVDGMRTIALKLEFGASHNQIFERTLAVHFTDPFHVSTRVADKCNDGTLLLQVILHSEVKATLTIYDAWLDLQDGFVNTGQGDGRPTSGYFPLVVSPNSRAGMLFSISLGKTYVEDEAKALQSDSILNIRYGISGDRTIGAHPPVAAESSGSEDDIQDLIFRCALALQRPVLDPVLAVGFLPLPSSGLRVGQLVTMKWRVERLKDFEENEVSPNNDEVLYEVSANTENWMIAGRKRGHVSLSAKQGSRIEISILCVPLVAGYVRPPQLGLPDVDESNISCNPAGPHLVCVLPPILSSSFCIPA >ONI12856 pep chromosome:Prunus_persica_NCBIv2:G4:11161049:11175010:1 gene:PRUPE_4G187500 transcript:ONI12856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYLAQFQTIKNSLDHLVIAVEDVSDLWPTVKNGFEEHLPFKRACLNNKTRNPVFVENFPAEFILTTDSRLRSRFPQEQSLFWFREPYATAVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPNNDLATKMANKVYAKLEVDFSSKKRERCCKFDLYSPEANFWEDLELKIMECIRNTLDRRVQFYEDEIRKLSEQRFMPVWNFCNFFILKESLAFMFEMAHLHEDSLREYDELEICYLETVEMTGKRKDFGGVDHGDDQAALINSGNKPLTQIVQDDSFREFEFRQYLFACQSKLLFKLNRPFEVAARGYSFIISFSKSLAVHENILPFCMREVWVITACISVVNATASHYKEGLAAPDIEKEFYRLQGDLYSLCRVKFMRLAYLIGYGTNIERSPGNSASLSMLPWPKPVVWPSVPPDASSEVLAKEKIILQATPSIKHFGIQRKPLPLEPSLLLREANRRRASLSAGNMVEMFDGRQNFSDGSGSDASLKMPSFQKVQASVMSRTNSSPGISESSIDKPMRLAEIYVAAENALHNTVSNPDLWKSLSSTEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGEIASVCFKHGNFDLAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLSSCVRLLSLDKGLFFTKERQAFQSEVVRLAHGEMKQPVPLDVSSLITFSGNPGPPLELCDGDPGTLSVTFWSGFPDDITLDSLSLTLNALFNTDEVAKALVSSTAIVLKPGRNTITLDLPPQKPGSYVLGVLTGQIGQLRFRSHSFSKGGPEDSEDFMSYEKPPRPILKVFKPRPLVDLVAAVSSALLINEPQWVGIIARPINYSLKGAVLYVDTGPGLKIEDLNFIEMESYDDTSKSSVGVADCNGTPKDGSLAVDKIFEKLTFCDDRVSFPHWASNLTSILWIPLRAISENLARGSSLVAPQRHSIVDGMRTIALKLEFGASHNQIFERTLAVHFTDPFHVSTRVADKCNDGTLLLQVILHSEVKATLTIYDAWLDLQDGFVNTGQGDGRPTSGYFPLVVSPNSRAGMLFSISLGKTYVEDEAKALQSDSILNIRYGISGDRTIGAHPPVAAESSGSEDDIQDLIFRCALALQRPVLDPVLAVGFLPLPSSGLRVGQLVTMKWRVERLKDFEENEVSPNNDEVLYEVSANTENWMIAGRKRGHVSLSAKQGSRIEISILCVPLVAGYVRPPQLGLPDVDESNISCNPAGPHLVCVLPPILSSSFCIPA >ONI12860 pep chromosome:Prunus_persica_NCBIv2:G4:11162339:11175010:1 gene:PRUPE_4G187500 transcript:ONI12860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVFCKFDDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPNNDLATKMANKVYAKLEVDFSSKKRERCCKFDLYSPEANFWEDLELKIMECIRNTLDRRVQFYEDEIRKLSEQRFMPVWNFCNFFILKESLAFMFEMAHLHEDSLREYDELEICYLETVEMTGKRKDFGGVDHGDDQAALINSGNKPLTQIVQDDSFREFEFRQYLFACQSKLLFKLNRPFEVAARGYSFIISFSKSLAVHENILPFCMREVWVITACISVVNATASHYKEGLAAPDIEKEFYRLQGDLYSLCRVKFMRLAYLIGYGTNIERSPGNSASLSMLPWPKPVVWPSVPPDASSEVLAKEKIILQATPSIKHFGIQRKPLPLEPSLLLREANRRRASLSAGNMVEMFDGRQNFSDGSGSDASLKMPSFQKVQASVMSRTNSSPGISESSIDKPMRLAEIYVAAENALHNTVSNPDLWKSLSSTEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGEIASVCFKHGNFDLAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLSSCVRLLSLDKGLFFTKERQAFQSEVVRLAHGEMKQPVPLDVSSLITFSGNPGPPLELCDGDPGTLSVTFWSGFPDDITLDSLSLTLNALFNTDEVAKALVSSTAIVLKPGRNTITLDLPPQKPGSYVLGVLTGQIGQLRFRSHSFSKGGPEDSEDFMSYEKPPRPILKVFKPRPLVDLVAAVSSALLINEPQWVGIIARPINYSLKGAVLYVDTGPGLKIEDLNFIEMESYDDTSKSSVGVADCNGTPKDGSLAVDKIFEKLTFCDDRVSFPHWASNLTSILWIPLRAISENLARGSSLVAPQRHSIVDGMRTIALKLEFGASHNQIFERTLAVHFTDPFHVSTRVADKCNDGTLLLQVILHSEVKATLTIYDAWLDLQDGFVNTGQGDGRPTSGYFPLVVSPNSRAGMLFSISLGKTYVEDEAKALQSDSILNIRYGISGDRTIGAHPPVAAESSGSEDDIQDLIFRCALALQRPVLDPVLAVGFLPLPSSGLRVGQLVTMKWRVERLKDFEENEVSPNNDEVLYEVSANTENWMIAGRKRGHVSLSAKQGSRIEISILCVPLVAGYVRPPQLGLPDVDESNISCNPAGPHLVCVLPPILSSSFCIPA >ONI10667 pep chromosome:Prunus_persica_NCBIv2:G4:2897770:2902589:1 gene:PRUPE_4G060900 transcript:ONI10667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLIHARFGYSTRFSDNRRYGSQGPVGSSSSSCCSSSLGFSSGLYSCWRNQGLRAQAMTSQGNFASASGGVASAGNKPDHLLVLVHGILASPSDWTYGEAELRRRLGRNFLIYASSSNTYTKTFTGIDGAGKRLADEVMQVVQKTESLKKISFLAHSLGGLFARYAISVLYTPDDGANTTIANSQTAYSWRRGKIAGLEPINFVTLATPHLGVRGKKQLPFLFGVPFLEKLAAPIAPIIVGRTGSQLFLTDGKPEKPPLLLRMASDCDDGKFVSALGSFKCRILYANVSYDHMVGWRTSSIRRETEVFKPPRRSLDGYKHVVDVEYYPPVSSDGPHFPPEAAKAKEAAQSEPNTQNTLEYHEIVEEEMIRGLQQLGWKKVDVSFHSAFWPFFAHNNIHVKNEWLHNAGTGVVAHVADSLKQQESSSFITASL >ONI11518 pep chromosome:Prunus_persica_NCBIv2:G4:5872501:5877664:-1 gene:PRUPE_4G110600 transcript:ONI11518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLVFSPNLPVRFSQIPRSILPPNQPNQSLSLNSREQLQHGFQRFGLSFAKPTRTLCTKAVLSEIPNQKLYVKVGAKSTGPIPISQLIEVVEKAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKKSEATILEVVRKNFKDHLILGEEGGIIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGKPAAAAVVEFVGGPMCWNTRIFSASAGGGAFCNGQKIHVSQTDEVERSLLVTGFGYEHDDAWTTNIELFKEFTDISRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLIVEEAGGQVTRMDGGNFCVFDRSVLVSNAFGEDCTCN >ONI11517 pep chromosome:Prunus_persica_NCBIv2:G4:5872431:5877664:-1 gene:PRUPE_4G110600 transcript:ONI11517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLVFSPNLPVRFSQIPRSILPPNQPNQSLSLNSREQLQHGFQRFGLSFAKPTRTLCTKAVLSEIPNQKLYVKVGAKSTGPIPISQLIEVVEKAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKKSEATILEVVRKNFKDHLILGEEGGIIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGKPAAAAVVEFVGGPMCWNTRIFSASAGGGAFCNGQKIHVSQTDEVERSLLVTGFGYEHDDAWTTNIELFKEFTDISRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLIVEEAGGQVTRMDGGNFCVFDRSVLVSNGVLHGNLLERIAPATEKLKSKGIVFSLWYKPDDYTTDI >ONI11515 pep chromosome:Prunus_persica_NCBIv2:G4:5871476:5877828:-1 gene:PRUPE_4G110600 transcript:ONI11515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLVFSPNLPVRFSQIPRSILPPNQPNQSLSLNSREQLQHGFQRFGLSFAKPTRTLCTKAVLSEIPNQKLYVKVGAKSTGPIPISQLIEVVEKAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKKSEATILEVVRKNFKDHLILGEEGGIIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGKPAAAAVVEFVGGPMCWNTRIFSASAGGGAFCNGQKIHVSQTDEVERSLLVTGFGYEHDDAWTTNIELFKEFTDISRIVEEAGGQVTRMDGGNFCVFDRSVLVSNGVLHGNLLERIAPATEKLKSKGIVFSLWYKPDDYTTDI >ONI11516 pep chromosome:Prunus_persica_NCBIv2:G4:5871476:5877827:-1 gene:PRUPE_4G110600 transcript:ONI11516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLVFSPNLPVRFSQIPRSILPPNQPNQSLSLNSREQLQHGFQRFGLSFAKPTRTLCTKAVLSEIPNQKLYVKVGAKSTGPIPISQLIEVVEKAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKKSEATILEVVRKNFKDHLILGEEGGIIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGKPAAAAVVEFVGGPMCWNTRIFSASAGGGAFCNGQKIHVSQTDEVERSLLVTGFGYEHDDAWTTNIELFKEFTDISRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLLLERIAPATEKLKSKGIVFSLWYKPDDYTTDI >ONI11514 pep chromosome:Prunus_persica_NCBIv2:G4:5871476:5877827:-1 gene:PRUPE_4G110600 transcript:ONI11514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLVFSPNLPVRFSQIPRSILPPNQPNQSLSLNSREQLQHGFQRFGLSFAKPTRTLCTKAVLSEIPNQKLYVKVGAKSTGPIPISQLIEVVEKAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKKSEATILEVVRKNFKDHLILGEEGGIIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGKPAAAAVVEFVGGPMCWNTRIFSASAGGGAFCNGQKIHVSQTDEIVEEAGGQVTRMDGGNFCVFDRSVLVSNGVLHGNLLERIAPATEKLKSKGIVFSLWYKPDDYTTDI >ONI11519 pep chromosome:Prunus_persica_NCBIv2:G4:5872674:5877827:-1 gene:PRUPE_4G110600 transcript:ONI11519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLVFSPNLPVRFSQIPRSILPPNQPNQSLSLNSREQLQHGFQRFGLSFAKPTRTLCTKAVLSEIPNQKLYVKVGAKSTGPIPISQLIEVVEKAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKKSEATILEVVRKNFKDHLILGEEGGIIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGKPAAAAVVEFVGGPMCWNTRIFSASAGGGAFCNGQKIHVSQTDEVERSLLVTGFGYEHDDAWTTNIELFKEFTDISRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLIVEEAGGQVTRMDGGNFCVFDRSVLVSNGVLHGNVSLIFCFINNLFTETLCVKLI >ONI09629 pep chromosome:Prunus_persica_NCBIv2:G4:106938:109911:-1 gene:PRUPE_4G000800 transcript:ONI09629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRLMQAPHEGIAGQEDMQGHRGDPCLVLTSDPKPRLRWTADLHERFVDAVTQLGGSSKATPKAIMRTMNVKGLTLFHLKSHLQKYRLASYLLESPGTGNSSPNLPTSDLNEGYEVKEALRAQMEVQSKLHVQVEAEKHLQIRQDAERRYMAMLERACKMLADQFIGGSVTDTDSHKCHGLGNKNTKGPSLDPLGFYSLQSTDVAAVHGPEEEVPTSIHTQRADCSTESCLTSHESPGGLTLEGSPGGGKKRMLSLDSAAASLIWGEAKVRTQEINVAAVNPHGIARYGM >ONI09630 pep chromosome:Prunus_persica_NCBIv2:G4:106823:109978:-1 gene:PRUPE_4G000800 transcript:ONI09630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRLMQAPHEGIAGQEDMQGHRGDPCLVLTSDPKPRLRWTADLHERFVDAVTQLGGSSKATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKEMGDVSKDASYLLESPGTGNSSPNLPTSDLNEGYEVKEALRAQMEVQSKLHVQVEAEKHLQIRQDAERRYMAMLERACKMLADQFIGGSVTDTDSHKCHGLGNKNTKGPSLDPLGFYSLQSTDVAAVHGPEEEVPTSIHTQRADCSTESCLTSHESPGGLTLEGSPGGGKKRMLSLDSAAASLIWGEAKVRTQEINVAAVNPHGIARYGM >ONI09631 pep chromosome:Prunus_persica_NCBIv2:G4:107324:109295:-1 gene:PRUPE_4G000800 transcript:ONI09631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACILFAEATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKEMGDVSKDASYLLESPGTGNSSPNLPTSDLNEGYEVKEALRAQMEVQSKLHVQVEAEKHLQIRQDAERRYMAMLERACKMLADQFIGGSVTDTDSHKCHGLGNKNTKGPSLDPLGFYSLQSTDVAAVHGPEEEVPTSIHTQRADCSTESCLTSHESPGGLTLEGSPGGGKKRMLSLDSAAASLIWGEAKVRTQEINVAAVNPHGIARYGM >ONI09628 pep chromosome:Prunus_persica_NCBIv2:G4:107021:109911:-1 gene:PRUPE_4G000800 transcript:ONI09628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRLMQAPHEGIAGQEDMQEATPKAIMRTMNVKGLTLFHLKSHLQKYRLGKQSGKEMGDVSKDASYLLESPGTGNSSPNLPTSDLNEGYEVKEALRAQMEVQSKLHVQVEAEKHLQIRQDAERRYMAMLERACKMLADQFIGGSVTDTDSHKCHGLGNKNTKGPSLDPLGFYSLQSTDVAAVHGPEEEVPTSIHTQRADCSTESCLTSHESPGGLTLEGSPGGGKKRMLSLDSAAASLIWGEAKVRTQEINVAAVNPHGIARYGM >ONI11413 pep chromosome:Prunus_persica_NCBIv2:G4:5459431:5460349:1 gene:PRUPE_4G105600 transcript:ONI11413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTIPTNLSKPMLKPKFSSPLATKLPRTVVFCSASQTPNPTPEKISTASPMQAFSAALALSSILLGSAPMPAVADIAGLTPCKDSKQFAKREKQQIKKLESSLKLYAPDSAPALAINATIEKTQRRFANYGKQGLLCGSDGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAIRDDKKPTQKEIIIDVPLATSLVFRGFIWPVAAYRELVNGELIAKDV >ONI10017 pep chromosome:Prunus_persica_NCBIv2:G4:1100362:1104895:-1 gene:PRUPE_4G023400 transcript:ONI10017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMPFTFTSAPMELASFLSNWWDEINENTQWQDGIFYALCAAYALVSSVALFQLIRIELRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHHQVFALHPKVLILVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRVVYISVNAAMYFIQVCIWIYLWIDDNSVVEFIGKIFIAVVSFVAALGFLIYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLVRCFVVVLSAFDTDASLDVLDHPILNVIYYMLVEILPSALVLYILRKLPPKRVSAQYHPIR >ONI09785 pep chromosome:Prunus_persica_NCBIv2:G4:465183:467397:-1 gene:PRUPE_4G009400 transcript:ONI09785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPPSDDPKTPLLPLDDPNQRSRIKFPSSLKSILTSNNFNILLGPLLSTIICLHVNLDGSLTTSRNMLAVLAWIFAWWLTEAVPMPITSMSPLFLFPLFGIASADDVAHSYMNDVIALVLGSFILALAVEHYNIHKRLALNITLVFCGDPLNPPLLLLGICGTAAFVSMWMHNVATAVMMMPVATGILRRFPTGPNQSVVVGKFCRAVVLGVLYSITIGGMSTLTGTGVNLILVGMWQSYFPEAAPVTFSTWFLFAFPSALLMFLALWALLCCLYCSRSSGQALSVYFDKAHLKEELEMLGPMAYAEKMVLALFSMLIVLWMTRSITEDIPGWGVLFKGLAGDGTVSVMIATLLFIVPSKKQKGEKLMDWDKCKKLPWNIILLLGAGLAIADGVRSSGLADILSESLDFLEAVPYLAMTPAVCLISSTITELITSNNATATLIVPLLIQIAKTMHVHPLLLMIPGGIGAQFAFLLPTATPSNTVGFATGHIEIQDMIKIGLPLKIAGIAVLSLLMPTLGNITFLISYQLSLTNFM >ONI10315 pep chromosome:Prunus_persica_NCBIv2:G4:1894803:1895933:-1 gene:PRUPE_4G040300 transcript:ONI10315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPSPPRPSTPPPSSPNNSLSSYRVCATFCFRESAPNLNISNWIEFYDPSTNTWTHASSIPGLTENHILKGFAMVSLGDSVYIIGGRVCRKERAHTSDECSELVDVDIEVSSSVLRYNVGSDQWSTCAPLGISRCDFACTIFENKIYVAGGKSTLACARGIPLAEVYDPELNEWTPLPNMSTLRYNCVGVTWLGKIYVVGGFAERADSELPPIMVRSCAEVYDTQTRMWDLIVGMWQLDVPPNQIVEVDGRLFSSGDCYKQWKGHIESYDGKLNIWNEVEGSQIQSLNSLISALGTQDDHNWALSQRRYLTMAPIGVNLYFLAGYGIYRELSRTMSIVHKFDISATSDAWTSMEPMEEDGEKELCGHCCVVQLT >ONI13356 pep chromosome:Prunus_persica_NCBIv2:G4:13616701:13623785:-1 gene:PRUPE_4G217200 transcript:ONI13356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPFASSTKTLSFRSSSPSSLLFLRKNRLNFRPSRNFCVRASSAGDSVVTLLDYGAGNVRSVRNAIRHLGFDVKDVQTPEDILNANRLVFPGVGAFAAAMDVLNKNGMAEALCSYIEKDRPFLGICLGLQLLFESSEEKGPVKGLGLIPGVVGRFDSSNGFRVPHIGWNALQIRKDSLILDDVGSNHVYFVHSYRAMPSDENKEWVSSTCNYGDNFIASVRRGNVHAVQFHPEKSGDVGLSILRRFLYPKAQLTKKPTERKVLKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTKENEVRELGKPVELARQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRYTSENVFVPLTVGGGIRDFTDANGRKYSSLEVASEYFRCGADKISIGSDAVYAAEEYLRTGVKSGNSSLEQISRVYGNQAVVVSIDPRRVYLKNPEDVGFKTIRVTNPGPNGEEFAWYQCTVSGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQKKGFDIDLIKLISDAVSIPVIASSGAGSAEHFSEVFRKTNASAALAAGIFHRKEVPIQSVKEHLLNEGIEVRI >ONI13166 pep chromosome:Prunus_persica_NCBIv2:G4:12901930:12902751:-1 gene:PRUPE_4G207000 transcript:ONI13166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLSKPSLQKQSFFKVLLGDFYQHLRLPPVFIEKLDGESLPKCDLRGPNGKLWTVELEEIRENGFFFHDGWQGFVRDHCLEIGNFLVFDYDGDSKFDVTIYEPTGCEKDVEAAKRRNGNPVSSVKDEIVDIETENYNKESKSKTINAERTSRKFYSILNLLLNS >ONI10620 pep chromosome:Prunus_persica_NCBIv2:G4:2747322:2749210:-1 gene:PRUPE_4G057600 transcript:ONI10620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKIIETTLIVPSTPPFNDDHVLSLSHLDNDPNLRVSFRYVRAYHNTNSSAPSSNPRHVISAALSAALVHYYPLAATLRSRPDHRFELFCSNGQGVPLIFASSDATLDSVSYLDDPAADFVEQLVPDPNPDEAMVHPCVLQVTVFECGGFTLGAAINHALCDGMGATQFFNAVAELARGATRVSVEPVWDRAGLLGPRDPPRIGAPVLHECLSLERGFSPYGQAKMMGPVARECFHVRDECLERFKSELLEQSGLSFTTFEALGAFIWRAKIKALKLPSNDKVTFAYSINVRKLVSPALPAGHWGNGCVAMYVKLSAKDLTEKPIWAVAEQIKKSKSNTTDEYVRSFIDFQELHYEEGITAGKEVSGFTDWRHLRHSTVDFGWGGPVTVLPLSRNLLGSVEPCFFLPPPSSASEGKKDGFRVLVNLRESAISAFREEMQKFSCYEFGLP >ONI11690 pep chromosome:Prunus_persica_NCBIv2:G4:6555640:6559859:1 gene:PRUPE_4G121000 transcript:ONI11690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYGGFLVDEKAVRVENIFLDFLKSFRISGGGGDAYYEAEIEAMSANESSTMFIDFSHVMVFNNLLQKAISDEFLRFEPYLKNACKRFVTELRPHFVADDTNKDTINVAFFNLPVSKRLRELTTAEIGKLVSVKGVVTRTSEVRPELLHGTFKCLECGGVIKNVEQQFKYTEPTICVNATCANRARWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVIIRHEIVEKARAGDTVIFTGTVVVIPDILALAAPGDRAECSRQASQRNGSMAAHEGVRGLRALGVRDLSYRLAFIANSVQISDGRQDADVRNRKKDGEDDDNQQFTAEERDEVQRMRSTPDFFNKLVDSIAPTIFGHQDIKRAILLMLLGGVHKFTHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVVKEPETGEFCIEAGALMLADNGICCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDRSKPLKYNVALPPPILSRFDLVYVMIDDPDDETDYHIAHHIVRVHQKREEALTPEFSTAQLKRYIVYAKTLKPKLDSDARKLLVDSYVALRRGDTAPGSRVAYRMTVRQLEALIRLSEAIARCHLDTQVKPPHVRLAVRLLKTSVISVESSEIDLSEFEETHDNMEGDDNRNNGTDPGDDNGNNGTDPRDDQTRKAKAEPGSGDAGMKDGSAPAKQEGKITMSHEYFERVTQALVMRLRQHEEDVIRSGKALTFLLFSY >ONI11689 pep chromosome:Prunus_persica_NCBIv2:G4:6555640:6560760:1 gene:PRUPE_4G121000 transcript:ONI11689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYGGFLVDEKAVRVENIFLDFLKSFRISGGGGDAYYEAEIEAMSANESSTMFIDFSHVMVFNNLLQKAISDEFLRFEPYLKNACKRFVTELRPHFVADDTNKDTINVAFFNLPVSKRLRELTTAEIGKLVSVKGVVTRTSEVRPELLHGTFKCLECGGVIKNVEQQFKYTEPTICVNATCANRARWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVIIRHEIVEKARAGDTVIFTGTVVVIPDILALAAPGDRAECSRQASQRNGSMAAHEGVRGLRALGVRDLSYRLAFIANSVQISDGRQDADVRNRKKDGEDDDNQQFTAEERDEVQRMRSTPDFFNKLVDSIAPTIFGHQDIKRAILLMLLGGVHKFTHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVVKEPETGEFCIEAGALMLADNGICCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDRSKPLKYNVALPPPILSRFDLVYVMIDDPDDETDYHIAHHIVRVHQKREEALTPEFSTAQLKRYIVYAKTLKPKLDSDARKLLVDSYVALRRGDTAPGSRVAYRMTVRQLEALIRLSEAIARCHLDTQVKPPHVRLAVRLLKTSVISVESSEIDLSEFEETHDNMEGDDNRNNGTDPGDDNGNNGTDPRDDQTRKAKAEPGSGDAEDGSAPAKQEGKITMSHEYFERVTQALVMRLRQHEEDVIRSGTGLAGMRQRDLIQWYVSQQNEKNAYTSEEEARLEASKIKAIIESLIRREGYLIVVDDGGQAAEGEGEDAGQPSISRNIRNSRILAVAPNYVVD >ONI11688 pep chromosome:Prunus_persica_NCBIv2:G4:6555640:6560762:1 gene:PRUPE_4G121000 transcript:ONI11688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYGGFLVDEKAVRVENIFLDFLKSFRISGGGGDAYYEAEIEAMSANESSTMFIDFSHVMVFNNLLQKAISDEFLRFEPYLKNACKRFVTELRPHFVADDTNKDTINVAFFNLPVSKRLRELTTAEIGKLVSVKGVVTRTSEVRPELLHGTFKCLECGGVIKNVEQQFKYTEPTICVNATCANRARWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVIIRHEIVEKARAGDTVIFTGTVVVIPDILALAAPGDRAECSRQASQRNGSMAAHEGVRGLRALGVRDLSYRLAFIANSVQISDGRQDADVRNRKKDGEDDDNQQFTAEERDEVQRMRSTPDFFNKLVDSIAPTIFGHQDIKRAILLMLLGGVHKFTHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVVKEPETGEFCIEAGALMLADNGICCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDRSKPLKYNVALPPPILSRFDLVYVMIDDPDDETDYHIAHHIVRVHQKREEALTPEFSTAQLKRYIVYAKTLKPKLDSDARKLLVDSYVALRRGDTAPGSRVAYRMTVRQLEALIRLSEAIARCHLDTQVKPPHVRLAVRLLKTSVISVESSEIDLSEFEETHDNMEGDDNRNNGTDPGDDNGNNGTDPRDDQTRKAKAEPGSGDAGMKDGSAPAKQEGKITMSHEYFERVTQALVMRLRQHEEDVIRSGTGLAGMRQRDLIQWYVSQQNEKNAYTSEEEARLEASKIKAIIESLIRREGYLIVVDDGGQAAEGEGEDAGQPSISRNIRNSRILAVAPNYVVD >ONI13007 pep chromosome:Prunus_persica_NCBIv2:G4:12037350:12039788:1 gene:PRUPE_4G197000 transcript:ONI13007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPKNSLNPSVDYYLQDKNEKALQFIEEVTENADEVQKRVLAEILARNAHVEYLQRHGLNGHTDRDTFKKIIPVIKYEDIEADINRIANGDTSPILCSKPISEFLTSSGTSGGERKLMPTIEEELERRSLLYSLLMPVMSQSVPELDKGKGMYFLFIKSEAKTPGGLVARPVLTSYYKSSHFKRRPYDPYTNYTSPNETILCPDSYQSMYSQLLCGLCQNKEVIRVGAVFASGFIRAIRFLEKHWPSLCKDIASGTLNPQITDSSVREAVMKTLKPDPKLANYIETECGEKSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGLNLNPLCKPSEVSYTLVPSMGYFEFLPVQRNNGINSNSLSVPKALNEKEQQELVDLVDVKLGQEYELVVTTYAGLYRYRVGDVLRVAGFKNKAPQFNFICRKNVVLSIDSDKTDEVELQTAVKNAVSHLVPFDATVSEYTSFADTSTIPGHYVLFWELCLNGSTPIPPSVYEDCCLAVEESLNSVYRQGRASDKSIGPLEIKIVEAGTFDKLMDYAISLGASINQYKTPRCVKFAPIVELLNSRVVSNYFSPKCPKWVPGHKQWCNLD >ONI13277 pep chromosome:Prunus_persica_NCBIv2:G4:13290252:13292795:-1 gene:PRUPE_4G213000 transcript:ONI13277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSALTTKVRLVRCPKCRQLLPELPDFQVYMCGGCGATLKARGLDRLPLLGNGSHDGNSDHDDQHYWKTGSDDSSLGDYDEQINVASVVFQGSVDSNPSVSPLASISARVGSDDFNPRVSTDYDDRAL >ONI13276 pep chromosome:Prunus_persica_NCBIv2:G4:13290252:13292795:-1 gene:PRUPE_4G213000 transcript:ONI13276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSALTTKVRLVRCPKCRQLLPELPDFQVYMCGGCGATLKARGLDRLPLLGNGSHDGNSDHDDQHYWKTGSDDSSLGDYDEQINVASVVFQGSVDSNPSVSPLASISARVGSDDFNPRVSTDYDDRAL >ONI13273 pep chromosome:Prunus_persica_NCBIv2:G4:13288910:13293580:-1 gene:PRUPE_4G213000 transcript:ONI13273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSALTTKVRLVRCPKCRQLLPELPDFQVYMCGGCGATLKARDRLPLLGNGSHDGNSDHDDQHYWKTGSDDSSLGDYDEQINVASVVGSVDSNPSVSPLASISARVGSDDFNPRVSTDYDDRAL >ONI13274 pep chromosome:Prunus_persica_NCBIv2:G4:13290252:13292795:-1 gene:PRUPE_4G213000 transcript:ONI13274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSALTTKVRLVRCPKCRQLLPELPDFQVYMCGGCGATLKARDRLPLLGNGSHDGNSDHDDQHYWKTGSDDSSLGDYDEQINVASVVFQGSVDSNPSVSPLASISARVGSDDFNPRVSTDYDDRAL >ONI13275 pep chromosome:Prunus_persica_NCBIv2:G4:13288910:13293580:-1 gene:PRUPE_4G213000 transcript:ONI13275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSALTTKVRLVRCPKCRQLLPELPDFQVYMCGGCGATLKARGLDRLPLLGNGSHDGNSDHDDQHYWKTGSDDSSLGDYDEQINVASVVGSVDSNPSVSPLASISARVGSDDFNPRVSTDYDDRAL >ONI13784 pep chromosome:Prunus_persica_NCBIv2:G4:16226632:16231094:1 gene:PRUPE_4G244600 transcript:ONI13784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNYYKFGLSEPGNTSYYDLGFGHAYELNDPDPRGGEQRRLLQSSSTTTNEQNVAVNSEWEGNANTSTRDNPIECPRRNQNSQDYQVIWQDNIDPDSMTYEELLELGEAVGTQSRGLSQDQLSLLPISKYKCSFFSRKKSRDERCVICQMEYKRGDRRITLPCKHLYHAGCGTRWLSINKACPICYTEVFADASKCEK >ONI13783 pep chromosome:Prunus_persica_NCBIv2:G4:16226149:16231094:1 gene:PRUPE_4G244600 transcript:ONI13783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNPHMEVHYTYTGCPYSTAGSFMEYFEGLTYEHVNFIFSGDSHAQESAYPTMNTNYYKFGLSEPGNTSYYDLGFGHAYELNDPDPRGGEQRRLLQSSSTTTNEQNVAVNSEWEGNANTSTRDNPIECPRRNQNSQDYQVIWQDNIDPDSMTYEELLELGEAVGTQSRGLSQDQLSLLPISKYKCSFFSRKKSRDERCVICQMEYKRGDRRITLPCKHLYHAGCGTRWLSINKACPICYTEVFADASKCEK >ONI13781 pep chromosome:Prunus_persica_NCBIv2:G4:16225411:16231094:1 gene:PRUPE_4G244600 transcript:ONI13781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNPHMEVHYTYTGCPYSTAGSFMEYFEGLTYEHVNFIFSGDSHAQESAYPTMNTNYYKFGLSEPGNTSYYDLGFGHAYELNDPDPRGGEQRRLLQSSSTTTNEQNVAVNSEWEGNANTSTRDNPIECPRRNQNSQDYQVIWQDNIDPDSMTYEELLELGEAVGTQSRGLSQDQLSLLPISKYKCSFFSRKKSRDERCVICQMEYKRGDRRITLPCKHLYHAGCGTRWLSINKACPICYTEVFADASKCEK >ONI13782 pep chromosome:Prunus_persica_NCBIv2:G4:16224852:16231127:1 gene:PRUPE_4G244600 transcript:ONI13782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNPHMEVHYTYTGCPYSTAGSFMEYFEGLTYEHVNFIFSGDSHAQESAYPTMNTNYYKFGLSEPGNTSYYDLGFGHAYELNDPDPRGGEQRRLLQSSSTTTNEQNVAVNSEWEGNANTSTRDNPIECPRRNQNSQDYQVIWQDNIDPDSMTYEELLELGEAVGTQSRGLSQDQLSLLPISKYKCSFFSRKKSRDERCVICQMEYKRGDRRITLPCKHLYHAGCGTRWLSINKACPICYTEVFADASKCEK >ONI10429 pep chromosome:Prunus_persica_NCBIv2:G4:2242858:2248174:1 gene:PRUPE_4G047200 transcript:ONI10429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRQRPQPTRGGRGPLHAPLPPMQQAYNIIPIHDLLADHPSLRYPEIRAAAASLRAVGDLRKPQFVPWNPSYDLMNWLGISFGFQNDNVRNQREHLVLHLANSQMRLQPPPNLVDSLDAGVLRRFRGKLLQNYSSWCSYMGRKSNVVISRRRADLRRELLYVALYLLIWGESGNLRFVPECVCYIYHHMAMELNKVLDESIDPDTGRPFVPSVSGHCGFLKSVVMPIYQTIKTEVESSRNGTAPHSAWRNYDDINEYFWSRRCFQRLKWPINYSSNFFATTPKNKRVGKTGFVEQRSFWNVFRSFDKLWVLLILFLQASIIVAWKETDYPWQALERRDDQVQLLTLFITWGGLRLLQAVLDAGTQYSLVSRETMLLGVRMVLKGAAAATWTIVFSVFYARIWDQKNSDGRWSDAANQRIIVFLEAALVFVIPEVLALVLFIVPWVRNFLEGLDFSILYVFTWWFHTRIFVGRGLREGLVNNVKYTMFWIVVLASKFTFSYFLQIRPLVSPTKTLLDAGDTKYKIHIFFNSGNRIAIVLLWIPVVLIYLMDLQIWFAIFSSLVGATIGLFSHLGEIRNINQLRLRFQFFTSALQFNLMPEEESLHPEVTMVKKLRDAIHRLKLRYGLGQAYKKTESSQVEATRFALIWNEIMTTFREEDLISDRELELMELPPNCWNIRVIRWPCSLLCNELLLALSQAKELGDELDQSLWLKICKSEYRRCAVIEAYDSIKYLLLVVVKYGTEENSIVSKIFKELDQCIESGKVTVTYKLSLLPQIHAKLISLIELLIQQKKDESKAVNVLQALYELSVREFPRLKKSMATLRLEGLATCSPATDAGLLFENAIQFPDDEDAVFFRHLRRLHTILTSRDSMHNVPTNIEARRRIAFFSNSLFMNMPRAPFVEKMMAFSVLTPYYDEEVLYGKEFLRSENEDGISTLFYLQKIYEDEWKHFMERMYREGMENDDEIFTNKARDLRLWASHRGQTLSRTVRGMMYYYRALKMLAFLDSASEMDIRDGSQQIGSHVLINQNSGLDGVQSGMQSSSRKLGRTSSSVSYLFKGNERGIALLKFTYVVACQLYGQHKTKGDSRAEEILYLMKNNEALRVAYVDEVHLGRDEVEYYSVLVKFDQQIQREVEIYRIMLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFKNFYGIRRPTILGVRENIFTGSVSSLAWFMSAQEMSFVTLNQRVLANPLKVRMHYGHPDVFDRFWFLPRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYSTAGFYFNTMMVILTVYAFLWGRLFLALSGIKDSANNKSLGVILNQQFIIQLGFFTALPMIVENSLELGFLRAVWDFLTMQLQLASVFYTFSMGTRTHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAIELGIILIVFAAHNSVATNTFVYIAMTISSWCLVLSWIMAPFVFNPSGFDWLKTVYDFEDFMNWLWYSGGVFTKAEQSWETWWYEEQDHLRTTGLWGKLLEILLDLRFFFFQYGVVYHLNITRGNTSIAVYLLSWIYMVVAVGIYIVIAYAQDKYAAKEHIYYRLVQLLVIMVLVLVTVLLLEFTHFKFLDIVSSFLAFIPTGWGIILIAQVLKPFLQSTVVWDTVVSLARLYDLLFGVIVLAPVALLSWLPGFQSMQTRILFNEAFSRGLQISRILTGKKSQ >ONI11224 pep chromosome:Prunus_persica_NCBIv2:G4:4705881:4708801:-1 gene:PRUPE_4G093400 transcript:ONI11224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGDGRMLVMQVMILMRLVVNPTAATAQARPNCQDKCGNLTIPYPFGMGEGCYLRPEFNITCNQSAQPPSAHWMGGTNRITNFSVADGELQIMLDVATACYDDSGEETEDSSTWLELPPPYSMSHTQNNFTTVGCDTLGIFVGERSGDGGPKKEKVKAGNSVTLCGDILGDALPDSCSGFGCSQASIPGGLRNISTFLQSLTTGNRTGIYNPWYAKYPCSYAFIVEQGNFSFNPNTTFQELNNSRQLPAVLNWAVEGESCDAAQQNPNFPCKENTKCVERTTIGGPPAYICQCSSDIDECKDSNPCSIGTCMNFYGDYSCKCPKGYKNDDKNQKSCIEHNPSNRWKIILLPVISLGVSAGLLVLLIGISWIYWGMHRRKIMKLKEKYFKENGGLLLQQQLAIQGSPMETTKIFTAEELEKATNNYHESRVLGEGGYGTVYKGVLPDNKVVAIKKSKIGVSTQKEQFVNEMIVLSQINHINVVRLLGCCLETPVPLLVYEFITNGTLFEHIHNTKGKGSPLSWQLRLKIAAETAGALAYLHFSTSMPIIHRDVKTTNILLDGNYRTKVSDFGASKLIPLDQTQLTTLVQGTLGYLDPEYFCSSQLTEKSDVYSFGVVLMELLTSKVALSFDRPEKERNLASFFVWSMEADLLNQILDDEIVKEGNIETIKNMADLARRCVKLKGEDRPTMKEVAMELEGMRIMAKHPWGKADCPEETEDLLGSAKSYRASGYDSMQIQMLMPYGNGR >ONI11304 pep chromosome:Prunus_persica_NCBIv2:G4:5129516:5131312:1 gene:PRUPE_4G100500 transcript:ONI11304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTTFILLLVTVSASVSAALSASVIEDLKSLKPPHDFNTTILKNCQHNPSLRYCSSSPMDLDEIFKSTIVASHLCNESKNPNCVESFPKIDLQNDPKMVPLYLSFNFFWKYCPLSILAIDLSNNSLRSNFPVDVLQCTQIQALDMSHNNLFGDVPIESFSPLTNLTLLNLSYNHFSESKISDIPFFKRFNSSSFLHSGLLLDGKKFRIKAVLLLVGFPIFVILMVCCLGWLCFRRPDFLPRMLRPKNRFTPAMLKAATNGFSKKSLMGKSESVDIYLGTLRDGADVRIEIYRGERHPTLIDECKILVQLCHKNLVNVLGWCNNRRLKAIVTEWMEGENLEMWLMGSNITPWSHRLRILMGVVEGMCYLEEWPEVGYDLRTSSILLSNNLEARISRFKIRDQSSSRNRKMYKFGVLLLEMLVNRRPEEEFERGEAGFIEYIRMHYPGNLQKVIDQKMELTQNTFDQAKQAIGLGLMCTDASSNQQVSLGQVFDIMSRAYQSCRVLASHNHERLHSERGKGHRRMQSR >ONI11381 pep chromosome:Prunus_persica_NCBIv2:G4:5335760:5339209:1 gene:PRUPE_4G104100 transcript:ONI11381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWEAMNKDYDKEKSRKRARMAKKATRAKKPEKAVKVSTVMDTKNRLSSKINYDALDKLNEELGYAPAFEEGTKSNCGSPGDMQLSNEKKNFEGSHDDDFEHESNGYSEEAEARQGHDDDTYVANDQYNGCNYDDEYNYDEECDFDEL >ONI11378 pep chromosome:Prunus_persica_NCBIv2:G4:5335759:5339209:1 gene:PRUPE_4G104100 transcript:ONI11378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPADGDVSESLSDIDDAEVVGYLNSKQAALFKRMIWEAMNKDYDKEKSRKRARMAKKATRAKKPEKAVKVSTVMDTKNRLSSKINYDALDKLNEELGYAPAFEEGTKSNCGSPGDMQLSNEKKNFEGSHDDDFEHESNGYSEEAEARQGHDDDTYVANDQYNGCNYDDEYNYDEECDFDEL >ONI11382 pep chromosome:Prunus_persica_NCBIv2:G4:5335997:5339209:1 gene:PRUPE_4G104100 transcript:ONI11382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWEAMNKDYDKEKSRKRARMAKKATRAKKPEKAVKVSTVMDTKNRLSSKINYDALDKLNEELGYAPAFEEGTKSNCGSPGDMQLSNEKKNFEGSHDDDFEHESNGYSEEAEARQGHDDDTYVANDQYNGCNYDDEYNYDEECDFDEL >ONI11380 pep chromosome:Prunus_persica_NCBIv2:G4:5335760:5339209:1 gene:PRUPE_4G104100 transcript:ONI11380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWEAMNKDYDKEKSRKRARMAKKATRAKKPEKAVKVSTVMDTKNRLSSKINYDALDKLNEELGYAPAFEEGTKSNCGSPGDMQLSNEKKNFEGSHDDDFEHESNGYSEEAEARQGHDDDTYVANDQYNGCNYDDEYNYDEECDFDEL >ONI11379 pep chromosome:Prunus_persica_NCBIv2:G4:5335760:5339209:1 gene:PRUPE_4G104100 transcript:ONI11379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWEAMNKDYDKEKSRKRARMAKKATRAKKPEKAVKVSTVMDTKNRLSSKINYDALDKLNEELGYAPAFEEGTKSNCGSPGDMQLSNEKKNFEGSHDDDFEHESNGYSEEAEARQGHDDDTYVANDQYNGCNYDDEYNYDEECDFDEL >ONI11133 pep chromosome:Prunus_persica_NCBIv2:G4:4393893:4406312:1 gene:PRUPE_4G088900 transcript:ONI11133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNADVEAGGEDEFHGKSGRKYRPVVDDDRAVLEMSSMDPSSSSSSSSALPVHQASLKRIKVGTQENVGSDAKEGHPPTHVQANGPQRESKLELFGFDSLVNILGLKSMTDEQSAAPSSPRDGENISITQGRPKPTGVKLGTLMGVFVPCLQNILGIIYYIRFSWIVGMAGIAESLFLVSFCGLCTFLTAISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSLYVLGAVETFLKAVPAAGIFRETTRVNGTSVAIQSPSSHDLQIYGIVVTIILCFIVFGGVKMINRVAPAFLIPVLLSLFCIYIGIALARKNYPVDGVTGLSLDSFKENWNSDYQKTNNAGIPDPDGKVSWNFNAMVGLFFPAVTGIMAGSNRSASLRDTQRSIPIGTLAATLSTTAMYLVSVLLFGALASRQKLLTDRLLTATIAWPFPVFIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSDGSEPNIATLFTALLCIGCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGALLCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFFSILDGDYRECAEDAKAACKQLATYLDYKNCEGVAEIVVAPSMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLTEIPATFVEIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTDAEGLKADVKKFLYDLRMHAEVIVVTMKSWDVQADSGSPQDESVEAFSGAQQRIANYMADMKAASEKQGTSLMADGKPVVVDEQQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPANHPAYFYMEYMDLLVENVPRLLIVRGYRKDVVTLFT >ONI11134 pep chromosome:Prunus_persica_NCBIv2:G4:4393893:4406332:1 gene:PRUPE_4G088900 transcript:ONI11134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNADVEAGGEDEFHGKSGRKYRPVVDDDRAVLEMSSMDPSSSSSSSSALPVHQASLKRIKVGTQENVGSDAKEGHPPTHVQANGPQRESKLELFGFDSLVNILGLKSMTDEQSAAPSSPRDGENISITQGRPKPTGVKLGTLMGVFVPCLQNILGIIYYIRFSWIVGMAGIAESLFLVSFCGLCTFLTAISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSLYVLGAVETFLKAVPAAGIFRETTRVNGTSVAIQSPSSHDLQIYGIVVTIILCFIVFGGVKMINRVAPAFLIPVLLSLFCIYIGIALARKNYPVDGVTGLSLDSFKENWNSDYQKTNNAGIPDPDGKVSWNFNAMVGLFFPAVTGIMAGSNRSASLRDTQRSIPIGTLAATLSTTAMYLVSVLLFGALASRQKLLTDRLLTATIAWPFPVFIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSDGSEPNIATLFTALLCIGCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGALLCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFFSILDGDYRECAEDAKAACKQLATYLDYKNCEGVAEIVVAPSMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLTEIPATFVEIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTDAEGLKADVKKFLYDLRMHAEVIVVTMKSWDVQADSGSPQDESVEAFSGAQQRIANYMADMKAASEKQGTSLMADGKPVVVDEQQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPANHPAYFYMEYMDLLVENVPRLLIVRGYRKDVVTLFT >ONI10133 pep chromosome:Prunus_persica_NCBIv2:G4:1374611:1375801:1 gene:PRUPE_4G029400 transcript:ONI10133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRPSPLDEPPAASSSEEEVASSEEEVDGEEEEESESESDEPEPPKATPTPVAENKPPPKKPDSATVNSKPQSSSSGSEFKFESDSELDKDMVVKPVSSKPMEETPKTKKPRSKASATTTPAARAGSKRPGESDPKDSKRPKKKIPDSDQEPDHAGEEMKKAGMIDYSTKKGADPYSDMGAVHDFIKKSLKADVNKTQVQDKIRRLKKKYEMNVAKGKKYNPVKPHEQKVFDLSKKVWGSGEGSIGVSGLSEQSNSNGKARTNQKGNNMTLASLKAELLSSPEPQKECEKVEFGSESLSEVIGYDKGFRELGLPEGVVKQGLELIGGAKRAELKEKWKKLHVAELDLFVKRSELIRDQAKVILEALKSSKH >ONI13997 pep chromosome:Prunus_persica_NCBIv2:G4:18138484:18146623:-1 gene:PRUPE_4G257100 transcript:ONI13997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPTASPFSHLQSPSLLPIFRLQHPLFLSPSSPSPSSFNAKRFDSRRIRIRVTASATATIESGNGALVTQEKNLDSISYGRQYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAILPPIEVVVGSMANADPACPEEWEDVLPDCMEYDSAGNIKTQIVRSPFVQIPLGVTEDRLIGSVDVEESVKTGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLEMSFDDRVAAVGIATQFQEHSSEVFKMVQEETEYVKTQIILAREYLKDVVISREQLKYLVLEALRGGCQGHRAELYSARVAKSLAALEGREKVNVDDLKKAVELVILPRSIITENPPEQQNQQPPPPPPPPQNEESGEEQNEEEEQEDENDEENDEENEQEPQIPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPIRRLAVDATLRAAAPYQKLRREKNTQSGRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDSAEVLLPPSRSISMARRRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRIMIVAITDGRANISLKRSTDPEAAAANDAPRPSLQELKDEILEVAGKIYKSGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKDALSALKST >ONI13998 pep chromosome:Prunus_persica_NCBIv2:G4:18137739:18146721:-1 gene:PRUPE_4G257100 transcript:ONI13998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLHAILPPIEVVVGSMANADPACPEEWEDVLPDCMEYDSAGNIKTQIVRSPFVQIPLGVTEDRLIGSVDVEESVKTGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLEMSFDDRVAAVGIATQFQEHSSEVFKMVQEETEYVKTQIILAREYLKDVVISREQLKYLVLEALRGGCQGHRAELYSARVAKSLAALEGREKVNVDDLKKAVELVILPRSIITENPPEQQNQQPPPPPPPPQNEESGEEQNEEEEQEDENDEENDEENEQEPQIPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPIRRLAVDATLRAAAPYQKLRREKNTQSGRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDSAEVLLPPSRSISMARRRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRIMIVAITDGRANISLKRSTDPEAAAANDAPRPSLQELKDEILEVAGKIYKSGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKDALSALKST >ONI09770 pep chromosome:Prunus_persica_NCBIv2:G4:417154:420584:-1 gene:PRUPE_4G008200 transcript:ONI09770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYSEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVVTILIGNKSDLKDAREVPTSEGKALAEAQGLFFMETSALDSSNVVAAFQMVVKEIYNILSRKVMISQELKKQDPSWVGNGKTVVLQGDGNQEDAAAEPKKGGCCSS >ONI09769 pep chromosome:Prunus_persica_NCBIv2:G4:417154:419949:-1 gene:PRUPE_4G008200 transcript:ONI09769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYSEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGRWLNELHTHSDMNVVTILIGNKSDLKDAREVPTSEGKALAEAQGLFFMETSALDSSNVVAAFQMVVKEIYNILSRKVMISQELKKQDPSWVGNGKTVVLQGDGNQEDAAAEPKKGGCCSS >ONI13252 pep chromosome:Prunus_persica_NCBIv2:G4:13213996:13218632:1 gene:PRUPE_4G211900 transcript:ONI13252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVRGAMQNGNGLAHGVTFTGAGKEHEGRNLPLKHGGNTMEVEHGKGEGMNLSEADKVNDKKMTSKRLPSGVSCDPVTVIVNSDNQCCERTTSADISSDVVSSGVSTSFNPAADASCRRLVATSTEMVHPQGPEFNRSAKEFKLNPGAKTFSPSFTKPITSTPPSVPTVVSMGYIPNNSPMVAVPAAQPEVGSNPFPSRSSVPVKVVQYNNFTNGHGGSGSQFSQPIVGHVGSRVQTLRYPVQAGPTYVHPNSQAVMVGRFGQVVYMHPVSQDLVQGATAMSPLPARPVLTPHQVQFPKHQGPAAAQAFQLCAPPPFMATGQQPFPIAKTHPLFATSLPF >ONI13251 pep chromosome:Prunus_persica_NCBIv2:G4:13212474:13218632:1 gene:PRUPE_4G211900 transcript:ONI13251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRNREFTDDETVSSSSLSEALLFATMCIIGLPVDVHVKDGSVYSGIFYTASVENEYGIVLKKARMTKKGKSDANVGNGTLIDTLVILSGDLVQVVAKGVLLPAADIAGNMAGDETEAVTGTVPSDVCIKNDAKKPIESAINKKKLNGVRGAMQNGNGLAHGVTFTGAGKEHEGRNLPLKHGGNTMEVEHGKGEGMNLSEADKVNDKKMTSKRLPSGVSCDPVTVIVNSDNQCCERTTSADISSDVVSSGVSTSFNPAADASCRRLVATSTEMVHPQGPEFNRSAKEFKLNPGAKTFSPSFTKPITSTPPSVPTVVSMGYIPNNSPMVAVPAAQPEVGSNPFPSRSSVPVKVVQYNNFTNGHGGSGSQFSQPIVGHVGSRVQTLRYPVQAGPTYVHPNSQAVMVGRFGQVVYMHPVSQDLVQGATAMSPLPARPVLTPHQVQFPKHQGPAAAQAFQLCAPPPFMATGQQPFPIAKTHPLFATSLPF >ONI13253 pep chromosome:Prunus_persica_NCBIv2:G4:13214443:13218632:1 gene:PRUPE_4G211900 transcript:ONI13253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKRLPSGVSCDPVTVIVNSDNQCCERTTSADISSDVVSSGVSTSFNPAADASCRRLVATSTEMVHPQGPEFNRSAKEFKLNPGAKTFSPSFTKPITSTPPSVPTVVSMGYIPNNSPMVAVPAAQPEVGSNPFPSRSSVPVKVVQYNNFTNGHGGSGSQFSQPIVGHVGSRVQTLRYPVQAGPTYVHPNSQAVMVGRFGQVVYMHPVSQDLVQGATAMSPLPARPVLTPHQVQFPKHQGPAAAQAFQLCAPPPFMATGQQPFPIAKTHPLFATSLPF >ONI12202 pep chromosome:Prunus_persica_NCBIv2:G4:8609930:8617795:1 gene:PRUPE_4G150400 transcript:ONI12202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPREADIPALFLVFVVLPLVAYVLLGKWSEASKKRERVSLLAQLAAEEALRAEAMAVADVIPPVSPSKNGLHACARCFSPATTRCSRCKSVRYCSGKCQIIHWREVHRQECLQLEPTSSSSSPKSVSFGESFHEKFLLNDSINSQYFGCKMEQILAEEAPADNIMYPSISTGVPAATVDCASVDPSQVPMLERKRVSRKSNRELFRKKVGIAFDSSEEASCGWTTQSTPSNVISSKDVFMEHKLRNFDSHLSEEENRKKQSFNISDNYIKGQATSRNTVQENDMFQSQHGNIYESRSNSGLTSLSYSSKCGTDVHEIGLDFIPNGGNPLKGETASNVETTEYKCTEMTALKASVKAKRAPYSLGTKVSKLPKSTMEVSGEQYSSEIETQGRIAEDSKVARMRDTTTQGSNGIANLRIMKMMGLKKPKTITREDAPEVNGYRHKTKKVLFPYDEFVKYFQCEVFDLSPRGLLNCGNSCYANAVLQCLTCTKPLIMYLLRRSHSSACCGKDWCLMCELEQHVMMLRESGGPLSPSRILFHMRSINCQIGDGSQEDAHEFLRLLITSMQSICLEGLGGENKVDPRLQETTFIQHTFGGHLRSKVKCLRCHHESERYENIMDLTLEIFGWVESLEDALTQFTTPEDLDGENMYRCGRCAAYVRARKQLSIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGKGDIPPLYLLYAVVVHLDTQNASFSGHYVAYVKDMRGNWFRIDDTQVQPVSTSQVMMEGAYILFYMRSCPRPQRAFTGKSIRQQVPNVENHCMSKTQKSRPGQSKHSSQFVSPVHLPDDIRPEITNSFANSTSSDIFRSSNGNVFPMTETYGEPIGVEFSDATSSDWSLFTSSDEASFTTESTRDSFSTVDYAEAGNISSIFNTLYAPEYSRSSVSCRKFSNSRPNTRFVSKDKGLLLDSYLSTHPIDRVQNRNYSKQVSDSPTAHPPDSKCSTFVRYGSNPVHFLDRTSDHCKL >ONI12201 pep chromosome:Prunus_persica_NCBIv2:G4:8611047:8617540:1 gene:PRUPE_4G150400 transcript:ONI12201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPREADIPALFLVFVVLPLVAYVLLGKWSEASKKRERVSLLAQLAAEEALRAEAMAVADVIPPVSPSKNGLHACARCFSPATTRCSRCKSVRYCSGKCQIIHWREVHRQECLQLEPTSSSSSPKSVSFGESFHEKFLLNDSINSQYFGCKMEQILAEEAPADNIMYPSISTGVPAATVDCASVDPSQVPMLERKRVSRKSNRELFRKKVGIAFDSSEEASCGWTTQSTPSNVISSKDVFMEHKLRNFDSHLSEEENRKKQSFNISDNYIKGQATSRNTVQENDMFQSQHGNIYESRSNSGLTSLSYSSKCGTDVHEIGLDFIPNGGNPLKGETASNVETTEYKCTEMTALKASVKAKRAPYSLGTKVSKLPKSTMEVSGEQYSSEIETQGRIAEDSKVARMRDTTTQGSNGIANLRIMKMMGLKKPKTITREDAPEVNGYRHKTKKVLFPYDEFVKYFQCEVFDLSPRGLLNCGNSCYANAVLQCLTCTKPLIMYLLRRSHSSACCGKDWCLMCELEQHVMMLRESGGPLSPSRILFHMRSINCQIGDGSQEDAHEFLRLLITSMQSICLEGLGGENKVDPRLQETTFIQHTFGGHLRSKVKCLRCHHESERYENIMDLTLEIFGWVESLEDALTQFTTPEDLDGENMYRCGRCAAYVRARKQLSIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGKGDIPPLYLLYAVVVHLDTQNASFSGHYVAYVKDMRGNWFRIDDTQVQPVSTSQVMMEGAYILFYMRSCPRPQRAFTGKSIRQQVPNVENHCMSKTQKSRPGQSKHSSQFVSPVHLPDDIRPEITNSFANSTSSDIFRSSNGNVFPMTETYGEPIGVEFSDATSSDWSLFTSSDEASFTTESTRDSFSTVDYAEAGNISSIFNTLYAPEYSRSSVSCRKFSNSRPNTRFVSKDKGLLLDSYLSTHPIDRVQNRNYSKQVSDSPTAHPPDSKCSTFVRYGSNPVHFLDRTSDHCKL >ONI12200 pep chromosome:Prunus_persica_NCBIv2:G4:8609930:8617795:1 gene:PRUPE_4G150400 transcript:ONI12200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPREADIPALFLVFVVLPLVAYVLLGKWSEASKKRERVSLLAQLAAEEALRAEAMAVADVIPPVSPSKNGLHACARCFSPATTRCSRCKSVRYCSGKCQIIHWREVHRQECLQLEPTSSSSSPKSVSFGESFHEKFLLNDSINSQYFGCKMEQILAEEAPADNIMYPSISTGVPAATVDCASVDPSQVPMLERKRVSRKSNRELFRKKVGIAFDSSEEASCGWTTQSTPSNVISSKDVFMEHKLRNFDSHLSEEENRKKQSFNISDNYIKGQATSRNTVQENDMFQSQHGNIYESRSNSGLTSLSYSSKCGTDVHEIGLDFIPNGGNPLKGETASNVETTEYKCTEMTALKASVKAKRAPYSLGTKVSKLPKSTMEVSGEQYSSEIETQGRIAEDSKVARMRDTTTQGSNGIANLRIMKMMGLKKPKTITREDAPEVNGYRHKTKKVLFPYDEFVKYFQCEVFDLSPRGLLNCGNSCYANAVLQCLTCTKPLIMYLLRRSHSSACCGKDWCLMCELEQHVMMLRESGGPLSPSRILFHMRSINCQIGDGSQEDAHEFLRLLITSMQSICLEGLGGENKVDPRLQETTFIQHTFGGHLRSKVKCLRCHHESERYENIMDLTLEIFGWVESLEDALTQFTTPEDLDGENMYRCGRCAAYVRARKQLSIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGKGDIPPLYLLYAVVVHLDTQNASFSGHYVAYVKDMRGNWFRIDDTQVQPVSTSQVMMEGAYILFYMRSCPRPQRAFTGKSIRQQVPNVENHCMSKTQKSRPGQSKHSSQFVSPVHLPDDIRPEITNSFANSTSSDIFRSSNGNVFPMTETYGEPIGVEFSDATSSDWSLFTSSDEASFTTESTRDSFSTVDYAEAGNISSIFNTLYAPEYSRSSVSCRKFSNSRPNTRFVSKDKGLLLDSYLSTHPIDRVQNRNYSKQVSDSPTAHPPDSKCSTFVRYGSNPVHFLDRTSDHCKL >ONI12199 pep chromosome:Prunus_persica_NCBIv2:G4:8609930:8617795:1 gene:PRUPE_4G150400 transcript:ONI12199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKCQIIHWREVHRQECLQLEPTSSSSSPKSVSFGESFHEKFLLNDSINSQYFGCKMEQILAEEAPADNIMYPSISTGVPAATVDCASVDPSQVPMLERKRVSRKSNRELFRKKVGIAFDSSEEASCGWTTQSTPSNVISSKDVFMEHKLRNFDSHLSEEENRKKQSFNISDNYIKGQATSRNTVQENDMFQSQHGNIYESRSNSGLTSLSYSSKCGTDVHEIGLDFIPNGGNPLKGETASNVETTEYKCTEMTALKASVKAKRAPYSLGTKVSKLPKSTMEVSGEQYSSEIETQGRIAEDSKVARMRDTTTQGSNGIANLRIMKMMGLKKPKTITREDAPEVNGYRHKTKKVLFPYDEFVKYFQCEVFDLSPRGLLNCGNSCYANAVLQCLTCTKPLIMYLLRRSHSSACCGKDWCLMCELEQHVMMLRESGGPLSPSRILFHMRSINCQIGDGSQEDAHEFLRLLITSMQSICLEGLGGENKVDPRLQETTFIQHTFGGHLRSKVKCLRCHHESERYENIMDLTLEIFGWVESLEDALTQFTTPEDLDGENMYRCGRCAAYVRARKQLSIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGKGDIPPLYLLYAVVVHLDTQNASFSGHYVAYVKDMRGNWFRIDDTQVQPVSTSQVMMEGAYILFYMRSCPRPQRAFTGKSIRQQVPNVENHCMSKTQKSRPGQSKHSSQFVSPVHLPDDIRPEITNSFANSTSSDIFRSSNGNVFPMTETYGEPIGVEFSDATSSDWSLFTSSDEASFTTESTRDSFSTVDYAEAGNISSIFNTLYAPEYSRSSVSCRKFSNSRPNTRFVSKDKGLLLDSYLSTHPIDRVQNRNYSKQVSDSPTAHPPDSKCSTFVRYGSNPVHFLDRTSDHCKL >ONI09772 pep chromosome:Prunus_persica_NCBIv2:G4:421905:425376:1 gene:PRUPE_4G008300 transcript:ONI09772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGHPPASKTEFTECWRTTWKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIREDFPEVDKKTWLQETIVSMAIAGAIFGAAIGGWMNDAFGRKKSILAADFVFFIGAIVMAVAPAPWVIIIGRILVGLGVGMASMTSPLYISEASPARIRGALVSTNGFLITGGQFLSYLINLAFTRAPGTWRWMLGVAGVPALVQFVLMLSLPESPRWLYRQNKADEARAILEKIYPAEEVEAEMKALHESVQAEKAEEGDAGDGMITKVKGALSNPVVRRGLYAGITVQVAQQFVGINTVMYYSPTIVQFAGFASNQTALALSLITSGLNVVGTVISMCFVDRYGRRRLMIVSMIGIITCLVVLSGVFFQAASHAPHISNLESTHFGKNSTCSAYGSAPNPGSWNCMTCLKADCGFCANKVNYAPGACLALNDDIRSSCRQEHRVWYSKGCPSKVGFFAVILLGLYIIIYAPGMGTVPWIVNSEIYPLRYRGTCGGMAAVANWSANLIVSETFLTLTHALGSAGTFLLFAGISVFGLVAIYLLVPETKGMQFEEVEKLLQKGFRPKPFASKEKQSKNVESS >ONI09771 pep chromosome:Prunus_persica_NCBIv2:G4:421259:425376:1 gene:PRUPE_4G008300 transcript:ONI09771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGHPPASKTEFTECWRTTWKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIREDFPEVDKKTWLQETIVSMAIAGAIFGAAIGGWMNDAFGRKKSILAADFVFFIGAIVMAVAPAPWVIIIGRILVGLGVGMASMTSPLYISEASPARIRGALVSTNGFLITGGQFLSYLINLAFTRAPGTWRWMLGVAGVPALVQFVLMLSLPESPRWLYRQNKADEARAILEKIYPAEEVEAEMKALHESVQAEKAEEGDAGDGMITKVKGALSNPVVRRGLYAGITVQVAQQFVGINTVMYYSPTIVQFAGFASNQTALALSLITSGLNVVGTVISMCFVDRYGRRRLMIVSMIGIITCLVVLSGVFFQAASHAPHISNLESTHFGKNSTCSAYGSAPNPGSWNCMTCLKADCGFCANKVNYAPGACLALNDDIRSSCRQEHRVWYSKGCPSKVGFFAVILLGLYIIIYAPGMGTVPWIVNSEIYPLRYRGTCGGMAAVANWSANLIVSETFLTLTHALGSAGTFLLFAGISVFGLVAIYLLVPETKGMQFEEVEKLLQKGFRPKPFASKEKQSKNVESS >ONI10742 pep chromosome:Prunus_persica_NCBIv2:G4:3132263:3134969:1 gene:PRUPE_4G065200 transcript:ONI10742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKLANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIVN >ONI10741 pep chromosome:Prunus_persica_NCBIv2:G4:3132472:3134283:1 gene:PRUPE_4G065200 transcript:ONI10741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLSSFSLSSCLLEFLCCFYCCGFSDDVVFVCQIQASEKKLANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIVN >ONI11230 pep chromosome:Prunus_persica_NCBIv2:G4:4736720:4739998:-1 gene:PRUPE_4G094000 transcript:ONI11230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLISLLVIVLELVFVLAISTSSTTAVGDHQALLPQALPGCSDHCGNITIPYPFGMARGCYGRPEFFVNCTATPTTTTDINFKDLPPMPVYKLTSYIPSGLFPFEMVLELWYSNHLYSCSYGFFVEQGEFTFSPNISLQQLNKTSHLPLVLNWEIGDHGPCSSANNSTDFACKGNSTCVSWPNINGWSTGYICWCLPGYQGNPYHPDGCQAIGVMLVLLVGSAWSLCWGMKQRKYIKLKEKYFEENGGFLLQHKLASHHVVETTRICTAEELEKATNNYHDSRVLGKGSYGIVYKGILPDKKMVAIKKSRIAAPTQTEQFVNELVVLSQINHRNVVRLLGCCLESEVPLLVYEFITNGTLFEHIHGERSRGSSLVWELRLKIAAETAGALAYLHSSTFMQIINRDISQNCLVHQTQVVTLVQGTLGYLDPEYLHSNQLTEKSDVYSFGVVLVELLTSKVAISYDRPEAERKDRLERILDCRIVNKPNIETVRNVARLAKRCLRLKGEERPTMKDVAMELEGMRIVANHPWGSNVDLRSEDQTLLLSWPPSDASVADVRDDCGSTATTSGTTSS >ONI11260 pep chromosome:Prunus_persica_NCBIv2:G4:4852684:4854290:-1 gene:PRUPE_4G096600 transcript:ONI11260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLPLLFILFHSVCSTTSSSAAESFLQCFASHIQQYNSSKIIITKHSSAYFSVVQSSIQNLRFLTSSTSKPEAIITPFHDSHVQAAVICSKKKGLLIRIRSGGHDYEGQSYVSRAPFVIIDLFNLRSIDVDIENESAWVESGATLGELYYRTAEKSKAYGFPAGSCPTIGVGGHISGGGFGTLFRKYGLAADNVLDAKIVDVNGRILDRKSMGEELFWAIRGGGGSSFGVILAWKLRLVPVPPSVTVFRFSKTAEQGATKLFSKWQNIADKLHEDLFLHTVIGVGNKAGTNGGKTIVIEFGSLFLGPVEKLLLLMQDNFPELSVDRSDCTEMSWIESVLYFDYIPRNESEALLSRTIKSKSFFKAKSDYVTQPISEAGLKGLWQTLIEVGAYLILTPYGGKMSEISNSEIPFPHRSGNLFKIQYMVTWNDYRETEKYIGLMRKLYDYMAPYVSKFPRAAYLNYKDLDLGRNKDVHTSYAEASIWGLKYFKNNFRRLVHVKSLVDPGNFFRDEQSIPVCLSRKN >ONI10625 pep chromosome:Prunus_persica_NCBIv2:G4:2759584:2763191:-1 gene:PRUPE_4G057800 transcript:ONI10625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLCCDIVAGTIPDEIGDLQNLEIFTVGDNNLNGLIPSSIFNISTLRVMQLSFNQLSGSLPANIGFGLPNLQILYIADVGLSGVIPNLSNASMLTRIDLGKNSFTGFIPSTLCALTNLQVLSLVANNLTLDTSTPEASTLSCLANLANLNYLQLASNPLNARLDDSFRNFSTSSLQYIYLQNSGIRGNIPICIGNISSLVTLSLGVNQLSGSIPTSLGRLRNLQGLYLRDNMLQAYIPYQLCQLDNLANLNLASNHLSGSIPSCLGNLATSLRSLSLQSNSLSSTVPSTLWRLAHILYVNLSSNSLIGPLSEGIGNLKVAIEVDLSNNHLSGIIPSTIGGLQDLVQLSLANNNLDGPIPSTFHDLLSLNLLNLSRNNLSGVIPRSLEALLLLKYLDLSFNRLQGEIPSGGPFQNFSAQSFVSNAALCGAPRLHVPPCKNGTLEPNRRKAKYIIPGIISVVLLVASVSIFLLRRKRNVEVPTEGTLLSQLLWRRVSHLELLRVTNGFNEENNLLGSGGFGSVYKGTLADGIDVAVKVFSLQLEGAFRSFERECEMLSNIRHRNLIRIISCCSQTDFKALVLNYMSNGSLEKWLYSQSSSLNILQRMNIMVDVALALEYLHHGYSVPIVHCDLKPSNILLDDDMVAHVADFGIAKLLGEGDSITQTMTLATVGYMAPEYGLEGMVSTRGDVYSFGIVVMETFTRRKPTDEMFDGEMNLKQWIANSLVFPDAMIDEVVDANLLGIGTEQEDDDHVRKRDCLSSIMRLAIACCAESPEERVNMKEAVARLNKIKTTFLKDAVAGGR >ONI10624 pep chromosome:Prunus_persica_NCBIv2:G4:2760217:2764036:-1 gene:PRUPE_4G057800 transcript:ONI10624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEIKLDSNNFNEFPNEIGSLDKLEMLSVKLNALQGHVPVAIFNMTSLITLNLFGNNLSGGLPDNICHHLPSIQVVNLNRNKFDGPLPSKLWQCTKLLRLAMVENNFSGSIPKKIGNLTQLMQIGLDKNNLTGTIPDEIGDLQNLEIFTVGDNNLNGLIPSSIFNISTLRVMQLSFNQLSGSLPANIGFGLPNLQILYIADVGLSGVIPNLSNASMLTRIDLGKNSFTGFIPSTLCALTNLQVLSLVANNLTLDTSTPEASTLSCLANLANLNYLQLASNPLNARLDDSFRNFSTSSLQYIYLQNSGIRGNIPICIGNISSLVTLSLGVNQLSGSIPTSLGRLRNLQGLYLRDNMLQAYIPYQLCQLDNLANLNLASNHLSGSIPSCLGNLATSLRSLSLQSNSLSSTVPSTLWRLAHILYVNLSSNSLIGPLSEGIGNLKVAIEVDLSNNHLSGIIPSTIGGLQDLVQLSLANNNLDGPIPSTFHDLLSLNLLNLSRNNLSGVIPRSLEALLLLKYLDLSFNRLQGEIPSGGPFQNFSAQSFVSNAALCGAPRLHVPPCKNGTLEPNRRKAKYIIPGIISVVLLVASVSIFLLRRKRNVEVPTEGTLLSQLLWRRVSHLELLRVTNGFNEENNLLGSGGFGSVYKGTLADGIDVAVKVFSLQLEGAFRSFERECEMLSNIRHRNLIRIISCCSQTDFKALVLNYMSNGSLEKWLYSQSSSLNILQRMNIMVDVALALEYLHHGYSVPIVHCDLKPSNILLDDDMVAHVADFGIAKLLGEGDSITQTMTLATVGYMAPEYGLEGMVSTRGDVYSFGIVVMETFTRRKPTDEMFDGEMNLKQWIANSLVFPDAMIDEVVDANLLGIGTEQEDDDHVRKRDCLSSIMRLAIACCAESPEERVNMKEAVARLNKIKTTFLKDAVAGGR >ONI10623 pep chromosome:Prunus_persica_NCBIv2:G4:2760217:2766881:-1 gene:PRUPE_4G057800 transcript:ONI10623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMERSCFLLSITFLLVVHLQYSSIGIVGAAQSNITTDQSALLALKSHITSDPHNISVNWSTTTSVCNWVGVTCGARHLRVSVLNLSYMGLSGTIPPPLGNLSFLVELDLRNNSFHGTLPKELSYLRRLKLISFISNNFMGSIPSWFGSFPKLQTFNLLGNQFSGSIPMTIFNLSTLQVIDLSFNRLSGEIPREIGNLTMLKEIKLDSNNFNEFPNEIGSLDKLEMLSVKLNALQGHVPVAIFNMTSLITLNLFGNNLSGGLPDNICHHLPSIQVVNLNRNKFDGPLPSKLWQCTKLLRLAMVENNFSGSIPKKIGNLTQLMQIGLDKNNLTGTIPDEIGDLQNLEIFTVGDNNLNGLIPSSIFNISTLRVMQLSFNQLSGSLPANIGFGLPNLQILYIADVGLSGVIPNLSNASMLTRIDLGKNSFTGFIPSTLCALTNLQVLSLVANNLTLDTSTPEASTLSCLANLANLNYLQLASNPLNARLDDSFRNFSTSSLQYIYLQNSGIRGNIPICIGNISSLVTLSLGVNQLSGSIPTSLGRLRNLQGLYLRDNMLQAYIPYQLCQLDNLANLNLASNHLSGSIPSCLGNLATSLRSLSLQSNSLSSTVPSTLWRLAHILYVNLSSNSLIGPLSEGIGNLKVAIEVDLSNNHLSGIIPSTIGGLQDLVQLSLANNNLDGPIPSTFHDLLSLNLLNLSRNNLSGVIPRSLEALLLLKYLDLSFNRLQGEIPSGGPFQNFSAQSFVSNAALCGAPRLHVPPCKNGTLEPNRRKAKYIIPGIISVVLLVASVSIFLLRRKRNVEVPTEGTLLSQLLWRRVSHLELLRVTNGFNEENNLLGSGGFGSVYKGTLADGIDVAVKVFSLQLEGAFRSFERECEMLSNIRHRNLIRIISCCSQTDFKALVLNYMSNGSLEKWLYSQSSSLNILQRMNIMVDVALALEYLHHGYSVPIVHCDLKPSNILLDDDMVAHVADFGIAKLLGEGDSITQTMTLATVGYMAPEYGLEGMVSTRGDVYSFGIVVMETFTRRKPTDEMFDGEMNLKQWIANSLVFPDAMIDEVVDANLLGIGTEQEDDDHVRKRDCLSSIMRLAIACCAESPEERVNMKEAVARLNKIKTTFLKDAVAGGR >ONI09718 pep chromosome:Prunus_persica_NCBIv2:G4:303740:305138:-1 gene:PRUPE_4G005000 transcript:ONI09718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSILSICTRSEFGAQGLIFPQLSLWETSIPNHRFYRFLPLFSMAQFDEERSWDIYAGSKGAFFSLLIPPGSLDILALKLAPSTCWNLLAALFNDPADFLYVASIF >ONI13451 pep chromosome:Prunus_persica_NCBIv2:G4:14007947:14010656:1 gene:PRUPE_4G223100 transcript:ONI13451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGSRLGRASSRYGQTATVFSGPVRRWKKKWVHVPSSSSVTYQNPHSQSNGNNNSSRLLLRRWTPISPATTAAEPLSGSGASTEEPPRRKFRYTPVAVLEEQKKTAKGKVGDVVKASEGGQSIATSTKMHEISDIDQDMEEQAEELDETHSGLQDSNGSHLDLDLGLKGHSANRDSISQIEDAEMKKASPGRFWLG >ONI09904 pep chromosome:Prunus_persica_NCBIv2:G4:815501:817089:-1 gene:PRUPE_4G017500 transcript:ONI09904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLIPVLFTRRKLITAYQNSPSISTSMTFTFVLLIFLTHPFLTLTATQASLCRTSCGNIPINYPFGIDDGCGSPYYKNLLVCSGLSKLELRTPSGRYPVKSISYSDPHILVTDPFMWNCQDGDDFRATRPFSLDTSTHLSLSSQNDYLFFNCSEQNVIIEPKPVFCERFPERCDSSCDSSSYLCRHLPECALALRTSSCCSYYPKATESLRLMLKYCASYTSVYWRNTGENQPDDQVPEYGIRVDFDIPVTTRCLQCQDTSKGGGMCGFDTSTQNFLCLCDRGNVTTYCKDHSISQHRRNTVIAGTVTGVSVVGAFGVGAGIWYLKKVKAKAPVTCGVQSNENRLF >ONI12660 pep chromosome:Prunus_persica_NCBIv2:G4:10533039:10537259:1 gene:PRUPE_4G177700 transcript:ONI12660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANTVAESADRSTATPLLEASGHGDQQGRKGGWWNKLLDMEEAKNQVLFSLPMILTNVCYYMIPLISVMLAGHLGELELAGATLANSWATVTGFALMIGLSGALETLCGQGFGAKLYRMLGIYLQASSIISFLVSIIISVVWFYTEPILILLHQDPQISKSAALYMKFLIPGLFAFGFMHNILRFLQTQSVVMPLIFFSLIPVVIQIFVAYALVHWTALGFKGAPLACSISIWIAMLMLAMYVMFAKKFELTWEGFSIESFHYVLAALKLALPSAAMVCLEYWAFEILVLLAGLMPNAEQTTSLIAMCVNTEAIAYMITYGLSAAASTRVSNELGAGNPDNAKKAMAVTLKLSVLLGLLVVLALAFGHNIWAGFFSDSSAIIKLFASMTPFLAISIMADSVQGVLSGVARGCGFQHLAMYVNLGTFYLVGMTVACVVGFKLKLYAKGLWIGLICGLCCQAALLLLVTLRTKWTQLDVPHNEDAVLV >ONI12661 pep chromosome:Prunus_persica_NCBIv2:G4:10533686:10536101:1 gene:PRUPE_4G177700 transcript:ONI12661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRYRFRSHGKIGLSGALETLCGQGFGAKLYRMLGIYLQASSIISFLVSIIISVVWFYTEPILILLHQDPQISKSAALYMKFLIPGLFAFGFMHNILRFLQTQSVVMPLIFFSLIPVVIQIFVAYALVHWTALGFKGAPLACSISIWIAMLMLAMYVMFAKKFELTWEGFSIESFHYVLAALKLALPSAAMVCLEYWAFEILVLLAGLMPNAEQTTSLIAMCVNTEAIAYMITYGLSAAASTRVSNELGAGNPDNAKKAMAVTLKLSVLLGLLVVLALAFGHNIWAGFFSDSSAIIKLFASMTPFLAISIMADSVQGVLSGVARGCGFQHLAMYVNLGTFYLVGMTVACVVGFKLKLYAKGLWIGLICGLCCQAALLLLVTLRTKWTQLDVPHNEDAVLV >ONI10498 pep chromosome:Prunus_persica_NCBIv2:G4:2434521:2435072:1 gene:PRUPE_4G050900 transcript:ONI10498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLQNKISMDAAADSCSLDSFSFAGLLCVQDQQSKSLPTNRANQIHKQGTEFEFGHTTPESIATDPIKHYPADLLISNGQIIPKAIVLQSTQHVPTNQPCIKDSVRAARTSSKRSTDNTGGTETAKPNPEFGNQEKKENPAPRSWFGQKIFKSFLFPCKECRVSKPTQRGHRIPEENGKLH >ONI10663 pep chromosome:Prunus_persica_NCBIv2:G4:2891853:2892263:-1 gene:PRUPE_4G060600 transcript:ONI10663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGGIIKKGHEEGLGLATALLQEFELPLGLLPLADVIEVGFVRSTGYMWILQKKKVEHSFKLISKLVSYDSEIKGYIEKKRIKKLKGVKAKELMLWPPVSEITADEQPTGKIHFKSLAGITKTFPVDAFAAGQ >ONI13827 pep chromosome:Prunus_persica_NCBIv2:G4:16530375:16534967:1 gene:PRUPE_4G248200 transcript:ONI13827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSTIAKPKIELGSKTVQELLLLAKGEQQVPEKYIHKVGAPDASSVQLKDIPVIDLGLLLTPSLITEQQLDNFRSALTTWGCFQVINHGMTPEFLDKVREMTKQFFALPVEEKQKYLRQVNDIQGYGNDTVFSEQQTLDWSDRLYLSVYPEEHRKLKFWPQNPKSFSETLDQYTMKLQVVTKTVLEAMARSLNLDVNCFRDLYGEHGKMDVRFNFYPPCSRPDVVLGVKPHADATLITHVLQDKQVEGLQFLKDDQWFRAPIIPEALLINVGDQAEILSNGILKSPVHRVVINPDTERISLAAFCMPESDKEIEPFESLVNESTPRLYNKVKNYDSIYFEYYQQGRRPIEASKI >ONI09875 pep chromosome:Prunus_persica_NCBIv2:G4:724674:727887:1 gene:PRUPE_4G015500 transcript:ONI09875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLCFTLLLVSAVFTSFAEERAIYIVLIEGDPVALYGDGRRLDVNSEVFKAHAKRLVDSHDQLLQSALETGSYSKLYSFKHILNGFAVHTTPSQAEQLKEAPRVKLVERDRGAKLMTTYTPQFLGLPQGVWTQEGGDDHNAGEGIVIGFVDTGINPTHPSFAYDPLNPFTSNISHFSGACKMGPRFPASSCNGKIVSAKFFSAGAQAVATLNSTVDFLSPFDAVGHGSHVASIAAGNAGVPVVVNGFYYGRASGMAPRARIAVYKAVYPTVGTLTDVVSAIDQAVLDGVDILTLSVGPDEPPEDTATFLSTFDIAMLFARRAGIFVVQAAGNHGPVPSSVVSYSPWAVSVASSSTDRTYPGSVLLGNGTKIEGVGLSGPTFGYGLLLHKLVLAKDVLKASGPFPRTPPYVEECQYPEALDPNVVQGSVVICTFSEGFYNGTSTITAIINTAKVLGFMGFVLVANPNYGDFIAEPIPFDISGIMVPNVTNVQVILQYYEQETCKEEKGFATRFAEKAAIGEGRVASFMGQAPVVSRLSSRGPDFINSSRTPCDVLKPDILAPGHQIWAAWSPISALEPTLTGYNFALLSGTSMATPHVAGIAALIKQYNPSWTPSMIASAISTTATKYDSDGEFIMAEGSDIGSSYPSTPFDFGAGFISPSRAMDPGLVLSSGYEDYITFLCSFPEINPATIRNVTGELCNNTLDHPANLNLPSVTLSALKVSRIVRRTFTNVGSKPETYLCSALSPNGTIVDLCPTWFRIAPQGSQELHIQVKVTQAMDGFTFGEIVLTGSLNHIVRIPLSVFPVSTSNT >ONI12089 pep chromosome:Prunus_persica_NCBIv2:G4:8233137:8234131:1 gene:PRUPE_4G143500 transcript:ONI12089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRFEENGVTSHLKTMTWQNRNKAIIEGRYCAQDVIFNRAHHLAAGKYKLNVDAAFIPETGVGGIGAVVRNDKGEVMAAMALPLASATSSKHAEIMAFLFGMKFARDAGCSSILIESDSQGVVNDVKKDEEESWASDGHLIGDIKRSLQHFEDVIISYSPRGVNQVAHFLAKHALNCNTIVTWIEEVPFWLESIINDDMVVSS >ONI13613 pep chromosome:Prunus_persica_NCBIv2:G4:15031634:15034216:1 gene:PRUPE_4G233300 transcript:ONI13613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKPSSATPPSSKPTWVLPYKTQNLTDLYTLDKILSARVHDMINTSSMGYLHDPRSPQPHRPHRGFDLREPRERRAPEADR >ONI13611 pep chromosome:Prunus_persica_NCBIv2:G4:15032735:15034191:1 gene:PRUPE_4G233300 transcript:ONI13611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKPSSATPPSSKPTWVLPYKTQNLTDLYTLDKILSARVHDMINTSSMGYLHDPRSPQPHRPHRGFDLREPRERRAPEADR >ONI13610 pep chromosome:Prunus_persica_NCBIv2:G4:15031635:15034259:1 gene:PRUPE_4G233300 transcript:ONI13610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKPSSATPPSSKPTWVLPYKTQNLTDLYTLDKILSARVHDMINTSSMGYLHDPRSPQPHRPHRGFDLREPRERRAPEADR >ONI13612 pep chromosome:Prunus_persica_NCBIv2:G4:15032735:15034191:1 gene:PRUPE_4G233300 transcript:ONI13612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKPSSATPPSSKPTWVLPYKTQNLTDLYTLDKILSARVHDMINTSSMGYLHDPRSPQPHRPHRGFDLREPRERRAPEADR >ONI13615 pep chromosome:Prunus_persica_NCBIv2:G4:15032735:15034192:1 gene:PRUPE_4G233300 transcript:ONI13615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKPSSATPPSSKPTWVLPYKTQNLTDLYTLDKILSARVHDMINTSSMGYLHDPRSPQPHRPHRGFDLREPRSVLSLRRPLHLLI >ONI13614 pep chromosome:Prunus_persica_NCBIv2:G4:15031646:15034257:1 gene:PRUPE_4G233300 transcript:ONI13614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKPSSATPPSSKPTWVLPYKTQNLTDLYTLDKILSARVHDMINTSSMGYLHDPRSPQPHRPHRGFDLREPRSVLSLRRPLHLLI >ONI11658 pep chromosome:Prunus_persica_NCBIv2:G4:6435854:6438728:-1 gene:PRUPE_4G118900 transcript:ONI11658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGELFAMQRRLVSYFCDDELASGLWPSSTEGKLLFDPVISFMGQTNEGSSVDSSQKAESSEHPPKVDKSSGESESPQKLSTVEAKEGVKIETLQHSSTEQMADKEETEVVKEETDDKHAATVEETETVIAEPEKSESESSSLPVEPFEPTVKNDGPSESVGSQDDNKISVVGPSVNPETMQGKSRAVEVDQVEEGHTVLRVRHMMLMWMNKRHR >ONI11659 pep chromosome:Prunus_persica_NCBIv2:G4:6435854:6437921:-1 gene:PRUPE_4G118900 transcript:ONI11659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGELFAMQRRLVSYFCDDELASGLWPSSTEGKLLFDPVISFMGQTNEGSSVDSSQKAESSEHPPKVDKSSGESESPQKLSTVEAKEGVKIETLQHSSTEQMADKEETEVVKEETDDKHAATVEETETVIAEPEKSESESSSLPVEPFEPTVKNDGPSESVGSQDDNKISVVGPSVNPETMQGKSRAVEVDQVEEGHTVLRVRHMMLMWMNKRHR >ONI13523 pep chromosome:Prunus_persica_NCBIv2:G4:14526604:14535467:-1 gene:PRUPE_4G227700 transcript:ONI13523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTKRRGELGMNSLLEFHRSRDEAKSKDIQAIEKPGFIVDHIEDYGIEVEDESNDDDDLFDSVCAFCDNGGELLCCEGRCLRSFHATKRHGKDTRCESLGFTQDEVDAMPIFFCKNCEYKQHQCFACGKLGSSDKSSVAEVFRCVSATCGQFYHPHCIAQLIYQDNRVTAEELEKKISMGESFTCPIHKCCVCKQGENKKDPELWFAVCRRCPKSYHRKCLPSEIVIEKIEKTEEEEEEEEEEEEEEEVENEEDEDIEGVDVITRGWDGLLPNRVLIYCLKHEIVKRIKTPIRDHLKFPDVNEKKTGLEEKKKKRAAESVLDREKSVTKKRNLPSEEFYRGKTAHTISKQKLKSPCAVKVGASRTTEKVPFGFDISRRVKVNSSLKKEIKTSVAEERKSSFGDMLFGVKGSEQVKSGKQGKPDGERNSAVVNLASKKLSSAPPSLDVATKRRLLALMKDAVSSITLEEVKRKHKVPSTYALSSRNAVERNITLGKVEGSLEAVRTALRKLEEGCSTEDLEAVCAPAMINQIYKWKNKLRVYLAPFLHGMRYTSFGRHFTKVEKLEEIADRLHWYVKNGDTIVDFCCGANDFSIIMKKKLEETGKKCFYKNYDFIQPKNDFNFEKRDWMTVQPEELRTGSRLIMGLNPPFGVKAALANKFIDKALEFNPRILILIVPPETQRLNEKNSHYNLIWEDEQFLSGKSFYLPGSVDQNDKQMEQWNVRPPPLYLWSRPDWSAENKAIAREHGHISASPPGFHENIAKATSLHHFPNSRRFHHNIPKFTNNF >ONI11701 pep chromosome:Prunus_persica_NCBIv2:G4:6572183:6574171:-1 gene:PRUPE_4G121300 transcript:ONI11701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRIAQIRLVSSHPEVYEPCDDSFALVDALLADRKNLLEHQPTLCLELGCGSGYVITSLALIVGQEAHYIATDINPHAVRVARETLEAHGVHAELITTNIASGLEKRLAGLVDVIVVNPPYVPTPEDEVGHEGIASAWAGGENGRSVIDKILPVADNLLSEKGWLYMVTLTENNPSEICLQMKEKGYASRIIVQRLTEEENLQIIKFWRDFDSQLEAKGMVANKTVSSRVMESLLSQFHIMPFLRGSNKQQ >ONI11702 pep chromosome:Prunus_persica_NCBIv2:G4:6572541:6573299:-1 gene:PRUPE_4G121300 transcript:ONI11702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRIAQIRLVSSHPEVYEPCDDSFALVDALLADRKNLLEHQPTLCLELGCGSGYVITSLALIVGQEAHYIATDINPHAVRVARETLEAHGVHAELITTNIASGLEKRLAGLVDVIVVNPPYVPTPEDEVGHEGIASAWAGGENGRSVIDKILPVADNLLSEKGWLYMVTLTENNPSEICLQMKEKGYASRIIVQRLTEEENLQIIKFWRDFDSQLEAKGMVANKTVSSRVMESLLSQFHIMPFLRGSNKQQ >ONI11703 pep chromosome:Prunus_persica_NCBIv2:G4:6572183:6573660:-1 gene:PRUPE_4G121300 transcript:ONI11703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRIAQIRLVSSHPEVYEPCDDSFALVDALLADRKNLLEHQPTLCLELGCGSGYVITSLALIVGQEAHYIATDINPHAVRVARETLEAHGVHAELITTNIASGLEKRLAGLVDVIVVNPPYVPTPEDEVGHEGIASAWAGGENGRSVIDKILPVADNLLSEKGWLYMVTLTENNPSEICLQMKEKGYASRIIVQRLTEEENLQIIKFWRDFDSQLEAKGMVANKTVSSRVMESLLSQFHIMPFLRGSNKQQ >ONI11595 pep chromosome:Prunus_persica_NCBIv2:G4:6193276:6198279:1 gene:PRUPE_4G115100 transcript:ONI11595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLEQPSGEYHKEDNRPSVNNIVDGRGEENHRAIVSVTNGPVNDKENAGQNVNGRVSDTRNKTVTRDEINLNSSRDSEPHDGMEFESKEEAFSFYREYAKSVGFAAVIKASRRSRVSGKFIDAKFACTRYGSKRESSTAEVPESVSNSRESSICSSVKRKRGRASRSWEKTDCKACMHVKRQDGRWIIRSFIKEHNHEIFPDQAYYFRGHRNLDLGTGDADGLHAIRRRTKKMYVNMARQSGGYKQSDNQKGGGTNQSLSGKHLSLEEGDAQVMLDHFLYMQDENPNFFYAIDLNEEQRLRNVFWVDAKGKLDYGNFCDVVFLDTTYIKNEYKLPFVPFIGVNHHFQFILLGCALLANESKSTYVWLMRAWLKAMGGLAPKIILSDQDKVLKEAIAEVLPDSRHCLCLWHILGKIPEKLGYVIRQHDKFMVKFNKCIFKSWTNEQFEKRWWKMVERFNLRDDVWFQSLYEDREQWIPTYMRGIFLAGMSTTQRSESINSFFDKYMQRKTTLKEFLEQYKTILREKYEEEVKADFETWHKQPALRSPSPFGKQMATMYTHAIFKKFQVEVLGVVACHPKKETEDGAIKTFRVQDFEEDQDFVVAWNEMTSDISCFCHSFEFNGFLCRHVMIVLQMSGVHSIPSQYILKRWTKDAKNRQTLREGSASVDCRVKRYNDLCERAFKLSDEGSLSQESYNIAFNALEEALRSCESTNNSIQSVIEPISGETHGSEGVNQGNSKNKTNKKNGASKKGQSEPEVITIGVQESWQQVEQLVSRAPTLDGYFGSQQIVQGTGQPSTIASSRDHYYSNQHMQGLGQLNSIAPIHDAHYITQQRLHGVGQLHFRPQSIPSCFDIPDGLQDTDQSTVGPTQLHGLASRHLHSKDLSRQ >ONI11592 pep chromosome:Prunus_persica_NCBIv2:G4:6193252:6198279:1 gene:PRUPE_4G115100 transcript:ONI11592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLEQPSGEYHKEDNRPSVNNIVDGRGEENHRAIVSVTNGPVNDKENAGQNVNGRVSDTRNKTVTRDEINLNSSRDSEPHDGMEFESKEEAFSFYREYAKSVGFAAVIKASRRSRVSGKFIDAKFACTRYGSKRESSTAEVPESVSNSRESSICSSVKRKRGRASRSWEKTDCKACMHVKRQDGRWIIRSFIKEHNHEIFPDQAYYFRGHRNLDLGTGDADGLHAIRRRTKKMYVNMARQSGGYKQSDNQKGGGTNQSLSGKHLSLEEGDAQVMLDHFLYMQDENPNFFYAIDLNEEQRLRNVFWVDAKGKLDYGNFCDVVFLDTTYIKNEYKLPFVPFIGVNHHFQFILLGCALLANESKSTYVWLMRAWLKAMGGLAPKIILSDQDKVLKEAIAEVLPDSRHCLCLWHILGKIPEKLGYVIRQHDKFMVKFNKCIFKSWTNEQFEKRWWKMVERFNLRDDVWFQSLYEDREQWIPTYMRGIFLAGMSTTQRSESINSFFDKYMQRKTTLKEFLEQYKTILREKYEEEVKADFETWHKQPALRSPSPFGKQMATMYTHAIFKKFQVEVLGVVACHPKKETEDGAIKTFRVQDFEEDQDFVVAWNEMTSDISCFCHSFEFNGFLCRHVMIVLQMSGVHSIPSQYILKRWTKDAKNRQTLREGSASVDCRVKRYNDLCERAFKLSDEGSLSQESYNIAFNALEEALRSCESTNNSIQSVIEPISGETHGSEGVNQGNSKNKTNKKNGASKKGQVQSEPEVITIGVQESWQQVEQLVSRAPTLDGYFGSQQIVQGTGQPSTIASSRDHYYSNQHMQGLGQLNSIAPIHDAHYITQQRLHGVGQLHFRPQSIPSCFDIPDGLQDTDQSTVGPTQLHGLASRHLHSKDLSRQ >ONI11591 pep chromosome:Prunus_persica_NCBIv2:G4:6193276:6198279:1 gene:PRUPE_4G115100 transcript:ONI11591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLEQPSGEYHKEDNRPSVNNIVDGRGEENHRAIVSVTNGPVNDKENAGQNVNGRVSDTRNKTVTRDEINLNSSRDSEPHDGMEFESKEEAFSFYREYAKSVGFAAVIKASRRSRVSGKFIDAKFACTRYGSKRESSTAEVPESVSNSRESSICSSVKRKRGRASRSWEKTDCKACMHVKRQDGRWIIRSFIKEHNHEIFPDQAYYFRGHRNLDLGTGDADGLHAIRRRTKKMYVNMARQSGGYKQSDNQKGGGTNQSLSGKHLSLEEGDAQVMLDHFLYMQDENPNFFYAIDLNEEQRLRNVFWVDAKGKLDYGNFCDVVFLDTTYIKNEYKLPFVPFIGVNHHFQFILLGCALLANESKSTYVWLMRAWLKAMGGLAPKIILSDQDKVLKEAIAEVLPDSRHCLCLWHILGKIPEKLGYVIRQHDKFMVKFNKCIFKSWTNEQFEKRWWKMVERFNLRDDVWFQSLYEDREQWIPTYMRGIFLAGMSTTQRSESINSFFDKYMQRKTTLKEFLEQYKTILREKYEEEVKADFETWHKQPALRSPSPFGKQMATMYTHAIFKKFQVEVLGVVACHPKKETEDGAIKTFRVQDFEEDQDFVVAWNEMTSDISCFCHSFEFNGFLCRHVMIVLQMSGVHSIPSQYILKRWTKDAKNRQTLREGSASVDCRVKRYNDLCERAFKLSDEGSLSQESYNIAFNALEEALRSCESTNNSIQSVIEPISGETHGSEGVNQGNSKNKTNKKNGASKKGQVQSEPEVITIGVQESWQQVEQLVSRAPTLDGYFGSQQIVQGTGQPSTIASSRDHYYSNQHMQGLGQLNSIAPIHDAHYITQQRLHGVGQLHFRPQSIPSCFDIPDGLQDTDQSTVGPTQLHGLASRHLHSKDLSRQ >ONI11593 pep chromosome:Prunus_persica_NCBIv2:G4:6193276:6198279:1 gene:PRUPE_4G115100 transcript:ONI11593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLEQPSGEYHKEDNRPSVNNIVDGRGEENHRAIVSVTNGPVNDKENAGQNVNGRVSDTRNKTVTRDEINLNSSRDSEPHDGMEFESKEEAFSFYREYAKSVGFAAVIKASRRSRVSGKFIDAKFACTRYGSKRESSTAEVPESVSNSRESSICSSVKRKRGRASRSWEKTDCKACMHVKRQDGRWIIRSFIKEHNHEIFPDQAYYFRGHRNLDLGTGDADGLHAIRRRTKKMYVNMARQSGGYKQSDNQKGGGTNQSLSGKHLSLEEGDAQVMLDHFLYMQDENPNFFYAIDLNEEQRLRNVFWVDAKGKLDYGNFCDVVFLDTTYIKNEYKLPFVPFIGVNHHFQFILLGCALLANESKSTYVWLMRAWLKAMGGLAPKIILSDQDKVLKEAIAEVLPDSRHCLCLWHILGKIPEKLGYVIRQHDKFMVKFNKCIFKSWTNEQFEKRWWKMVERFNLRDDVWFQSLYEDREQWIPTYMRGIFLAGMSTTQRSESINSFFDKYMQRKTTLKEFLEQYKTILREKYEEEVKADFETWHKQPALRSPSPFGKQMATMYTHAIFKKFQVEVLGVVACHPKKETEDGAIKTFRVQDFEEDQDFVVAWNEMTSDISCFCHSFEFNGFLCRHVMIVLQMSGVHSIPSQYILKRWTKDAKNRQTLREGSASVDCRVKRYNDLCERAFKLSDEGSLSQESYNIAFNALEEALRSCESTNNSIQSVIEPISGETHGSEGVNQGNSKNKTNKKNGASKKGQVQSEPEVITIGVQESWQQVEQLVSRAPTLDGYFGSQQIVQGTGQPSTIASSRDHYYSNQHMQGLGQLNSIAPIHDAHYITQQRLHGVGQLHFRPQSIPSCFDIPDGLQDTDQSTVGPTQLHGLASRHLHSKDLSRQ >ONI11596 pep chromosome:Prunus_persica_NCBIv2:G4:6193276:6197391:1 gene:PRUPE_4G115100 transcript:ONI11596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLEQPSGEYHKEDNRPSVNNIVDGRGEENHRAIVSVTNGPVNDKENAGQNVNGRVSDTRNKTVTRDEINLNSSRDSEPHDGMEFESKEEAFSFYREYAKSVGFAAVIKASRRSRVSGKFIDAKFACTRYGSKRESSTAEVPESVSNSRESSICSSVKRKRGRASRSWEKTDCKACMHVKRQDGRWIIRSFIKEHNHEIFPDQAYYFRGHRNLDLGTGDADGLHAIRRRTKKMYVNMARQSGGYKQSDNQKGGGTNQSLSGKHLSLEEGDAQVMLDHFLYMQDENPNFFYAIDLNEEQRLRNVFWVDAKGKLDYGNFCDVVFLDTTYIKNEYKLPFVPFIGVNHHFQFILLGCALLANESKSTYVWLMRAWLKAMGGLAPKIILSDQDKVLKEAIAEVLPDSRHCLCLWHILGKIPEKLGYVIRQHDKFMVKFNKCIFKSWTNEQFEKRWWKMVERFNLRDDVWFQSLYEDREQWIPTYMRGIFLAGMSTTQRSESINSFFDKYMQRKTTLKEFLEQYKTILREKYEEEVKADFETWHKQPALRSPSPFGKQMATMYTHAIFKKFQVEVLGVVACHPKKETEDGAIKTFRVQDFEEDQDFVVAWNEMTSDISCFCHSFEFNGFLCRHVMIVLQMSGVHSIPSQYILKRWTKDAKNRQTLREGSASVDCRVKRYNDLCERAFKLSDEGSLSQESYNIAFNALEEALRSCESTNNSIQSVIEPISGETHGSEGVNQGNSKNKTNKKNGASKKGQVQSEPEVITIGVQESWQQVEQLVSRAPTLDGYFGSQQIVQGTGQPSTIASSRDHYYSNQHMQGLVVHISYSANFFVYFHLVLIITF >ONI11594 pep chromosome:Prunus_persica_NCBIv2:G4:6193984:6198279:1 gene:PRUPE_4G115100 transcript:ONI11594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLEQPSGEYHKEDNRPSVNNIVDGRGEENHRAIVSVTNGPVNDKENAGQNVNGRVSDTRNKTVTRDEINLNSSRDSEPHDGMEFESKEEAFSFYREYAKSVGFAAVIKASRRSRVSGKFIDAKFACTRYGSKRESSTAEVPESVSNSRESSICSSVKRKRGRASRSWEKTDCKACMHVKRQDGRWIIRSFIKEHNHEIFPDQAYYFRGHRNLDLGTGDADGLHAIRRRTKKMYVNMARQSGGYKQSDNQKGGGTNQSLSGKHLSLEEGDAQVMLDHFLYMQDENPNFFYAIDLNEEQRLRNVFWVDAKGKLDYGNFCDVVFLDTTYIKNEYKLPFVPFIGVNHHFQFILLGCALLANESKSTYVWLMRAWLKAMGGLAPKIILSDQDKVLKEAIAEVLPDSRHCLCLWHILGKIPEKLGYVIRQHDKFMVKFNKCIFKSWTNEQFEKRWWKMVERFNLRDDVWFQSLYEDREQWIPTYMRGIFLAGMSTTQRSESINSFFDKYMQRKTTLKEFLEQYKTILREKYEEEVKADFETWHKQPALRSPSPFGKQMATMYTHAIFKKFQVEVLGVVACHPKKETEDGAIKTFRVQDFEEDQDFVVAWNEMTSDISCFCHSFEFNGFLCRHVMIVLQMSGVHSIPSQYILKRWTKDAKNRQTLREGSASVDCRVKRYNDLCERAFKLSDEGSLSQESYNIAFNALEEALRSCESTNNSIQSVIEPISGETHGSEGVNQGNSKNKTNKKNGASKKGQVQSEPEVITIGVQESWQQVEQLVSRAPTLDGYFGSQQIVQGTGQPSTIASSRDHYYSNQHMQGLGQLNSIAPIHDAHYITQQRLHGVGQLHFRPQSIPSCFDIPDGLQDTDQSTVGPTQLHGLASRHLHSKDLSRQ >ONI12446 pep chromosome:Prunus_persica_NCBIv2:G4:9588805:9593000:1 gene:PRUPE_4G165200 transcript:ONI12446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAPSRKPWEAFHPDGVIIFSDILTPLPAFGVLFDIEDIRGPVIQSPIVSEEGLKTLHPIDLDKLQFVGESLKILRQEVGGQAAVLGFVGAPWTIATYIVEGGSTSTYTTIKSMCHTAPHVLRALLSHLTQAISDYIVFQVEAGAHCIQIFDSWGGQLPPSMWDSWSKPYIQEIVSSVRKRCPKTPLVLYINGNGGLLERMKGTGVDVIGLDWTVDMADGRKRLGSEISVQGNVDPAYLFSPLPSLTEEILRVVRSAGPRGHILNLGHGVLVRTPEESVRHFFEVARSLNYDTFLQTQTPTEGIGSLVI >ONI12444 pep chromosome:Prunus_persica_NCBIv2:G4:9588805:9593000:1 gene:PRUPE_4G165200 transcript:ONI12444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPHSSRKWKISAKYKSSNSTYSCPSLSPSLSEMGLSAPASVNSSLGWKSSSLFVQSGASSTCATPRGSMASSKRKCAQKKVSVTCSSSSSSSDPLLVKAARGEPVSRPPAWMMRQAGRYMAVYRKLAEKYPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVLFDIEDIRGPVIQSPIVSEEGLKTLHPIDLDKLQFVGESLKILRQEVGGQAAVLGFVGAPWTIATYIVEGGSTSTYTTIKSMCHTAPHVLRALLSHLTQAISDYIVFQVEAGAHCIQIFDSWGGQLPPSMWDSWSKPYIQEIVSSVRKRCPKTPLVLYINGNGGLLERMKGTGVDVIGLDWTVDMADGRKRLGSEISVQGNVDPAYLFSPLPSLTEEILRVVRSAGPRGHILNLGHGVLVRTPEESVRHFFEVARSLNYDTFLQTQTPTEGIGSLVI >ONI12445 pep chromosome:Prunus_persica_NCBIv2:G4:9588805:9592999:1 gene:PRUPE_4G165200 transcript:ONI12445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKRKCAQKKVSVTCSSSSSSSDPLLVKAARGEPVSRPPAWMMRQAGRYMAVYRKLAEKYPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVLFDIEDIRGPVIQSPIVSEEGLKTLHPIDLDKLQFVGESLKILRQEVGGQAAVLGFVGAPWTIATYIVEGGSTSTYTTIKSMCHTAPHVLRALLSHLTQAISDYIVFQVEAGAHCIQIFDSWGGQLPPSMWDSWSKPYIQEIVSSVRKRCPKTPLVLYINGNGGLLERMKGTGVDVIGLDWTVDMADGRKRLGSEISVQGNVDPAYLFSPLPSLTEEILRVVRSAGPRGHILNLGHGVLVRTPEESVRHFFEVARSLNYDTFLQTQTPTEGIGSLVI >ONI09888 pep chromosome:Prunus_persica_NCBIv2:G4:760814:764564:1 gene:PRUPE_4G016100 transcript:ONI09888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILAEGNIHIKFLAFHVFLLLSVKAKAKCKTGCNFALASYHVWEGANLTYISNIFGQQVPEILQYNRQVLRDYNGTRIKVPFSCDCLNGDFLGHTFTYITQHGDTYNTIAENAFANLTTVEWLSRVNVYAPTQIPDQVPINVTVNCSCGNRHVSKDYGLFETYPLRPGEDLSFVAVETGVPAGLLVTYNRGSDFSSGNGLVFVPARDQNGSFPPLKLRAGGISGGAIAGICVAGVSAALILALLLYAWHYKRKAVEAPFLSAASEDRYIQHVHVSGHSSEKTLELVALVGASSPGLTGITVDKSVEFSYEELAKATSDFNIANKIGQGGFGAVYYAELRGEKAAIKRMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEDSLFLVYEYIENGNLSQHLRGSSGLDPLPWSTRMQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRAKVADFGLTKLSEYGSASLQTRLVGTFGYMPPEYAISFQVFFISSFITTLFIAVTISMFILII >ONI09887 pep chromosome:Prunus_persica_NCBIv2:G4:760789:765627:1 gene:PRUPE_4G016100 transcript:ONI09887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILAEGNIHIKFLAFHVFLLLSVKAKAKCKTGCNFALASYHVWEGANLTYISNIFGQQVPEILQYNRQVLRDYNGTRIKVPFSCDCLNGDFLGHTFTYITQHGDTYNTIAENAFANLTTVEWLSRVNVYAPTQIPDQVPINVTVNCSCGNRHVSKDYGLFETYPLRPGEDLSFVAVETGVPAGLLVTYNRGSDFSSGNGLVFVPARDQNGSFPPLKLRAGGISGGAIAGICVAGVSAALILALLLYAWHYKRKAVEAPFLSAASEDRYIQHVHVSGHSSEKTLELVALVGASSPGLTGITVDKSVEFSYEELAKATSDFNIANKIGQGGFGAVYYAELRGEKAAIKRMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEDSLFLVYEYIENGNLSQHLRGSSGLDPLPWSTRMQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRAKVADFGLTKLSEYGSASLQTRLVGTFGYMPPEYAQYGDVSPKIDVYAFGVVLFELISAKEAVVRTNEYVGESKGLVALFENILSQPDPKEDLGKIVDPRLADDCRLDSVCKVKFPSRMQMCSLASNLIS >ONI09886 pep chromosome:Prunus_persica_NCBIv2:G4:760789:765638:1 gene:PRUPE_4G016100 transcript:ONI09886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILAEGNIHIKFLAFHVFLLLSVKAKAKCKTGCNFALASYHVWEGANLTYISNIFGQQVPEILQYNRQVLRDYNGTRIKVPFSCDCLNGDFLGHTFTYITQHGDTYNTIAENAFANLTTVEWLSRVNVYAPTQIPDQVPINVTVNCSCGNRHVSKDYGLFETYPLRPGEDLSFVAVETGVPAGLLVTYNRGSDFSSGNGLVFVPARDQNGSFPPLKLRAGGISGGAIAGICVAGVSAALILALLLYAWHYKRKAVEAPFLSAASEDRYIQHVHVSGHSSEKTLELVALVGASSPGLTGITVDKSVEFSYEELAKATSDFNIANKIGQGGFGAVYYAELRGEKAAIKRMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEDSLFLVYEYIENGNLSQHLRGSSGLDPLPWSTRMQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRAKVADFGLTKLSEYGSASLQTRLVGTFGYMPPEYAQYGDVSPKIDVYAFGVVLFELISAKEAVVRTNEYVGESKGLVALFENILSQPDPKEDLGKIVDPRLADDCRLDSVCKMAQLAKACTQENPQLRPSMRSIVVALMTLSSSNEDWDVGSFYESQADLVNLMSGR >ONI11477 pep chromosome:Prunus_persica_NCBIv2:G4:5645211:5649359:-1 gene:PRUPE_4G108100 transcript:ONI11477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATAEEVEIEVEEEEEEEEREEEVVMAVMVVGPSITINNHLRRSISSRRSSSIINNNHRIRVGAWGPRAASPASPAPISSPTPPGMAAWGPRAASPVSPASINSPTPRVAAWGPRAAAPASPALINSPTPDTLVPRVQALEISKQTPPSSSLDNTDKKLPVKRPDNGGTKAIRTTRLRANHFNLSYNPESIIRHYDVDVKPENPAKNGRPVKMSKYELSAIRKKLSSDNPSNFPLLSTAYDGEKNIFSAVPLPTGSFKVEVPAEEDTRFSSYIFTIKFVNELKLCKLKEYLSGHVLSIPRDILQGMDLVMKENPTRCLVSVGRNFYPAESNENDDLGHGIAAFRGFQHSLRLTSQGPALCLDYSVLAFHKRMPVIDFLQQQIRGFTLKDFTRFRREVVDVLRGLKVTVTHRKTKQKYIIKGLTDKNAGDITFDAVDIDGQCPPRKVRLLDYFSEKYKEIQYKNIPCLDLGKNGRKNDTPMEFCVLVEGQRYPKENLDRNAAIKLKDMSLASPEIRENMIRGMVQSEDGPCGGGIIGNFGIVVNKNMTPVTGRVIVPPELKLGPSSDGRMTKVTVDREKCHWNLVGKSLVEGKPISRWAVLDFSSYDRSCLDPNQFIPKLITNVQMLRQLLEGINEQAYKTSKGHLQLLVCVMARRDPGYKYLKWISETQIGIVTQCCLSNMANKANDQYLSNLALKINAKLGGSNVELSDRLPPFGGAGHVMFVGADVNHPAARNTTSPSIAAVVATVNWPAANRYAARVRPQYHRTEKILNFGDMCLELVETYERLNKVKPDKIVVFRDGVSEGQFDMVLNEELLDLKKALGGIKYYPTITLIVAQKRHHTRLFPESMRDGSSTGNVLPGTVVDTIIVHPFEFDFYLCSHYGALGTSKPTHYHVLWDEHRFTSDQLQKLIYDLCFTFARCTKPVSLVPPVYYADLVAYRGRLYHESMEGQSPASASSSSSSSSSASSPLSVAFLEERFYKLHADLENIMFFV >ONI12552 pep chromosome:Prunus_persica_NCBIv2:G4:10105747:10108882:1 gene:PRUPE_4G171100 transcript:ONI12552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTHHHHHPEATTSSRLGIRPSSGLSADIVEVVRGSHIVRSTGRKDRHSKVCTAKGPRDRRVRLAAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKAAIDELDELPSWNPHSVSTTTASTAVTAMETQNPTTTGFHCFAAVDAIGSANRRATMVGSGVSEQIVQNQNPLTNSTLLPPSLDSDAIADTIKSFFPMGASAGTAEAPSSTIQFQNYPPDLLSRTSSHSQDLRLSLHSFQDPILLQHQQAQAQHHQAQTHQNEQTLFSGTQQQNPLGFDGWTEHHQQQQQAEMNRFQRMVAWNSAGGGDTGNGGGSSSSAGFVFNSLLPTQQSTSSLQPSLFGQSHFFSSQRGPLQSSNSPSVRAWMMDQQNQQSISHDHHHHHQISPTIHHHHQSSSSISNMGFASGGGFSGFHIPARIHGEEEHDGISDKPSSASSNSRH >ONI10020 pep chromosome:Prunus_persica_NCBIv2:G4:1117427:1122253:-1 gene:PRUPE_4G023600 transcript:ONI10020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEEWMGECIKKLQFEDQEKLELAYGVEIEADAVFLACRIIMQYPDVFQFDSRGVGDTQKEILQIKVVELLEEACVKMRDKFEYSRQEEDDLDVFEYLLRRTLVELNEMKKERDPSTQKWSDRVTKEHEELKGTWNQLEMKWMQLQTSRQRYDIRAREALLLERMHERLVFCINEARLKKVNNFSGMLQWIDDHVTELLYTTRKDFRHELTVSSHVIAKAVSPLIDAPPPWLLSEPPLLQNLEQRIAKRVIGQEHVLHAVTAALSRQRPQRRPIGSFLFMCGSGHGRTEIAKALAELLFGDKDMITEFDLAKHTGPNSLSRLIGVLSSHMEPGMKGELSEAVKKRPLGVILFDNVDKAHVSVIDILAEIIGSGYLLDGQGNTVDFTKTLVIMTTNVGCDKFWPWHCKCADEVQKFPGKEGLYDDAWETKHNYCYLSLLRETKQHFRPQFLENVDDVIAFRSLSFQQLKAVARLQLRDIASCMTQKGLIIYPSEAALDIIVQRSTWLGDRINGGEKIRMWLEENLVPVLFEKLAKNGINDFSIMYIEASVETNQLSFSWANCRHSLEEQDVNQLASLRELRLMYRKEKERAKTVYILRKIHNKLITSANAELGHAIAVVQELINTIQDLVTIPSGIKSFLDNPKMVAVAALNEDELRQNKRAKK >ONI10019 pep chromosome:Prunus_persica_NCBIv2:G4:1118052:1121443:-1 gene:PRUPE_4G023600 transcript:ONI10019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEEWMGECIKKLQFEDQEKLELAYGVEIEADAVFLACRIIMQYPDVFQFDSRGVGDTQKEILQIKVVELLEEACVKMRDKFEYSRQEEDDLDVFEYLLRRTLVELNEMKKERDPSTQKWSDRVTKEHEELKGTWNQLEMKWMQLQTSRQRYDIRAREALLLERMHERLVFCINEARLKKVNNFSGMLQWIDDHVTELLYTTRKDFRHELTVSSHVIAKAVSPLIDAPPPWLLSEPPLLQNLEQRIAKRVIGQEHVLHAVTAALSRQRPQRRPIGSFLFMCGSGHGRTEIAKALAELLFGDKDMITEFDLAKHTGPNSLSRLIGVLSSHMEPGMKGELSEAVKKRPLGVILFDNVDKAHVSVIDILAEIIGSGYLLDGQGNTVDFTKTLVIMTTNVGCDKFWPWHCKCADEVQKFPGKEGLYDDAWETKHNYCYLSLLRETKQHFRPQFLENVDDVIAFRSLSFQQLKAVARLQLRDIASCMTQKGLIIYPSEAALDIIVQRSTWLGDRINGGEKIRMWLEENLVPVLFEKLAKNGINDFSIMYIEASVETNQLSFSWANCRHSLEEQDVNQLASLRELRLMYRKEKERAKTVYILRKIHNKLITSANAELGHAIAVVQELINTIQDLVTIPSGIKSFLDNPKMVAVAALNEDELRQNKRAKK >ONI10021 pep chromosome:Prunus_persica_NCBIv2:G4:1118052:1121101:-1 gene:PRUPE_4G023600 transcript:ONI10021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDKFEYSRQEEDDLDVFEYLLRRTLVELNEMKKERDPSTQKWSDRVTKEHEELKGTWNQLEMKWMQLQTSRQRYDIRAREALLLERMHERLVFCINEARLKKVNNFSGMLQWIDDHVTELLYTTRKDFRHELTVSSHVIAKAVSPLIDAPPPWLLSEPPLLQNLEQRIAKRVIGQEHVLHAVTAALSRQRPQRRPIGSFLFMCGSGHGRTEIAKALAELLFGDKDMITEFDLAKHTGPNSLSRLIGVLSSHMEPGMKGELSEAVKKRPLGVILFDNVDKAHVSVIDILAEIIGSGYLLDGQGNTVDFTKTLVIMTTNVGCDKFWPWHCKCADEVQKFPGKEGLYDDAWETKHNYCYLSLLRETKQHFRPQFLENVDDVIAFRSLSFQQLKAVARLQLRDIASCMTQKGLIIYPSEAALDIIVQRSTWLGDRINGGEKIRMWLEENLVPVLFEKLAKNGINDFSIMYIEASVETNQLSFSWANCRHSLEEQDVNQLASLRELRLMYRKEKERAKTVYILRKIHNKLITSANAELGHAIAVVQELINTIQDLVTIPSGIKSFLDNPKMVAVAALNEDELRQNKRAKK >ONI12772 pep chromosome:Prunus_persica_NCBIv2:G4:10815201:10815795:1 gene:PRUPE_4G182500 transcript:ONI12772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSFLKAPKNPLWVLRNPFLAAAHPKTAAANTPIIQSFQSAQSSTQKPLFEPSALSRFLYEEPSKVEGFGFGGVRNGPLLPGDSSFGLQARAGTGGSPGDTSREDEDETNDDEKTDWWSDDDGDDDDGDDDGDDGDDGDDECDG >ONI14482 pep chromosome:Prunus_persica_NCBIv2:G4:24142134:24147830:1 gene:PRUPE_4G282500 transcript:ONI14482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSPIANLQLLNYEDWSFRMKVYLLAEDVWDVVEATTKPPKPEDGEVEFKAWRKNNAKALLTIQTCCGDDNYHLIKGISTAKAAWDTLAANLKPSEKGTEVKSSCDESDTIGTEVESSSERGHNNNNNDESAVNVNHGCLYKSLNSGDWNAAKKYIDQHSGSLKHRGSSSGGTALHEAIERKQSEIVEELLKLMTKEDLEIQDDHGFTAFFYALQKGKAKIVASMIKKNENLVTMRCCGNMTPVVFASTSGHWEIARFLYSHTPIHVLTEDYNGRDGAELISQSFVHRNKYDIDIGWKLLQKCPKLVLTEDYFEQSPLNAMAGFRSAFLSGIPLKFWQRWIYNNIHVQPPQPSPINSDVCVNFEELEDDKRNRRDLISSDIHVQQPQPAPINSDLCVNLEELKDDKRNGRDLTSSVIGFFQGVVKNLLKLLGIHNLHEMRLRHDRILQFLPLVCEVATCRNLDWKQTALVERAIFRAVERGQVEFIKEMCKANPRIPLMTKDESGRTLLHYAVECRQEKVFNFIYGLNKYDRGTILTSADGSNDTCRTTILHAAGSLSAHVNHIQGAALQMQRELQWFKEVESILPPQDREIRNVTEKMTAREVFTKNHNKLRKEGEESMKGTATSCTVVGALIVTIMFAAAFTVPGGSNQDTGFPIFLRKKLFRIFIISDSISLFSSTTSVMIFLGILTSRYAEDDFLRSLPTKMLLGLFTLFLSIATMMVAFSSTLFIMFEGESWVSIPIILLASVPIASFVLMQSPLFLDIFMFTYGRGILDKKCRAWE >ONI14483 pep chromosome:Prunus_persica_NCBIv2:G4:24140810:24147830:1 gene:PRUPE_4G282500 transcript:ONI14483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVSPIANLQLLNYEDWSFRMKVYLLAEDVWDVVEATTKPPKPEDGEVEFKAWRKNNAKALLTIQTCCGDDNYHLIKGISTAKAAWDTLAANLKPSEKGTEVKSSCDESDTIGTEVESSSERGHNNNNNDESAVNVNHGCLYKSLNSGDWNAAKKYIDQHSGSLKHRGSSSGGTALHEAIERKQSEIVEELLKLMTKEDLEIQDDHGFTAFFYALQKGKAKIVASMIKKNENLVTMRCCGNMTPVVFASTSGHWEIARFLYSHTPIHVLTEDYNGRDGAELISQSFVHRNKYDIDIGWKLLQKCPKLVLTEDYFEQSPLNAMAGFRSAFLSGIPLKFWQRWIYNNIHVQPPQPSPINSDVCVNFEELEDDKRNRRDLISSDIHVQQPQPAPINSDLCVNLEELKDDKRNGRDLTSSVIGFFQGVVKNLLKLLGIHNLHEMRLRHDRILQFLPLVCEVATCRNLDWKQTALVERAIFRAVERGQVEFIKEMCKANPRIPLMTKDESGRTLLHYAVECRQEKVFNFIYGLNKYDRGTILTSADGSNDTCRTTILHAAGSLSAHVNHIQGAALQMQRELQWFKEVESILPPQDREIRNVTEKMTAREVFTKNHNKLRKEGEESMKGTATSCTVVGALIVTIMFAAAFTVPGGSNQDTGFPIFLRKKLFRIFIISDSISLFSSTTSVMIFLGILTSRYAEDDFLRSLPTKMLLGLFTLFLSIATMMVAFSSTLFIMFEGESWVSIPIILLASVPIASFVLMQSPLFLDIFMFTYGRGILDKKCRAWE >ONI10653 pep chromosome:Prunus_persica_NCBIv2:G4:2860110:2862684:1 gene:PRUPE_4G060000 transcript:ONI10653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHISLRFVVSCPALKRNLVLIYFYGSCIQFCSKKLKMDKAALLATVISQVKELKKDALESSKGFLIPVDADEVQVEPYDTGAGDGTISVRASVCCEYRSELLSDLREALDSLHLKMVKADIATLGNRVKNVFVFTSCKERSNDADADAFQLLASSVHQALSSVLDKASASPEYSPRTTLPSKRRRVSYFDTSSSSS >ONI10654 pep chromosome:Prunus_persica_NCBIv2:G4:2860226:2862920:1 gene:PRUPE_4G060000 transcript:ONI10654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFSIRNLVLIYFYGSCIQFCSKKLKMDKAALLATVISQVKELKKDALESSKGFLIPVDADEVQVEPYDTGAGDGTISVRASVCCEYRSELLSDLREALDSLHLKMVKADIATLGNRVKNVFVFTSCKERSNDADADAFQLLASSVHQALSSVLDKASASPEYSPRTTLPSKRRRVSYFDTSSSSS >ONI10652 pep chromosome:Prunus_persica_NCBIv2:G4:2859321:2862920:1 gene:PRUPE_4G060000 transcript:ONI10652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHISLRFVVSCPALKRNLVLIYFYGSCIQFCSKKLKMDKAALLATVISQVKELKKDALESSKGFLIPVDADEVQVEPYDTGAGDGTISVRASVCCEYRSELLSDLREALDSLHLKMVKADIATLGNRVKNVFVFTSCKERSNDADADAFQLLASSVHQALSSVLDKASASPEYSPRTTLPSKRRRVSYFDTSSSSS >ONI10651 pep chromosome:Prunus_persica_NCBIv2:G4:2859321:2862920:1 gene:PRUPE_4G060000 transcript:ONI10651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYSFNSNSSFRSEYSSLFDTFTHGSSGFGGALRGGGSVLPHSLVLDSEKGELIKAPARVGKKGVSEAKALAALKNHSEAERRRRERINAHLSTLRGLVPCTEKMDKAALLATVISQVKELKKDALESSKGFLIPVDADEVQVEPYDTGAGDGTISVRASVCCEYRSELLSDLREALDSLHLKMVKADIATLGNRVKNVFVFTSCKERSNDADADAFQLLASSVHQALSSVLDKASASPEYSPRTTLPSKRRRVSYFDTSSSSS >ONI10655 pep chromosome:Prunus_persica_NCBIv2:G4:2859321:2862920:1 gene:PRUPE_4G060000 transcript:ONI10655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAALLATVISQVKELKKDALESSKGFLIPVDADEVQVEPYDTGAGDGTISVRASVCCEYRSELLSDLREALDSLHLKMVKADIATLGNRVKNVFVFTSCKERSNDADADAFQLLASSVHQALSSVLDKASASPEYSPRTTLPSKRRRVSYFDTSSSSS >ONI11871 pep chromosome:Prunus_persica_NCBIv2:G4:7244756:7247211:-1 gene:PRUPE_4G131200 transcript:ONI11871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDLRTDSSDYRTELLSPDVTGEAVTMPTEAAWRLNMDGFKLPDRNKDSYFGFGSFVESLRRQRKIAEYYKRQNKLLKGFNELDLFSETGFWPGSLTEDEVNQLARNERIAIYASNVANLVLFLAKVYASFESRSLAVIASTLDSLLDLLSGFILWFTSNAMRKPNQYRYPIGKNRMQPVIALYTMGNWAKTVMENVWSLIGKTAPAEYLAKLTYLIWNHDKEIQHIETVRAYTFGCNYFVEVDIVLPGDMSLSHAHNIGETLQEKLEVLPEVERAFVHVDFDITHRPEHKPKLAS >ONI11872 pep chromosome:Prunus_persica_NCBIv2:G4:7244962:7247048:-1 gene:PRUPE_4G131200 transcript:ONI11872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDLRTDSSDYRTELLSPDVTGEAVTMPTEAAWRLNMDGFKLPDRNKDSYFGFGSFVESLRRQRKIAEYYKRQNKLLKGFNELDLFSETGFWPGSLTEDEVNQLARNERIAIYASNVANLVLFLAKVYASFESRSLAVIASTLDSLLDLLSGFILWFTSNAMRKPNQYRYPIGKNRMQPVGIVVFASVMATLGLQILFESGRQLLTKAQPDRDPEKEKWMIGIMVSATVVKFVLMAYCRRFKNEIVRAYAQDHLFDVITNGIGLASAVLAIRFYWWIDPVGAIIIALYTMGNWAKTVMENVWSLIGKTAPAEYLAKLTYLIWNHDKEIQHIETVRAYTFGCNYFVEVDIVLPGDMSLSHAHNIGETLQEKLEVLPEVERAFVHVDFDITHRPEHKPKLAS >ONI12843 pep chromosome:Prunus_persica_NCBIv2:G4:11125301:11126421:1 gene:PRUPE_4G186900 transcript:ONI12843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARIKPIRASYGAKQTELMATIEGLRFALDMDVFDLCHSRDGRKRMHNWYSYDGVMQWNI >ONI14184 pep chromosome:Prunus_persica_NCBIv2:G4:20111924:20120220:-1 gene:PRUPE_4G267500 transcript:ONI14184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLGFKEESTDYDQLVISKPSSSASYSYKLVPWLSWDEWLFVDESLFSISPESVASALRRISAWRSRGCLPVVIEVTASIIEIHQKDPHFRKDQSNDALDNCRADQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETADIRTEIRSKFHELAFCLRVKNTPPSVSSKVKEKRGSKKDLTKILKSLVGLYASFSSEVVSVLLEILLNAISISDSSKLPVNTQKGPSLHISLNEWKLVITKFSNKEPELLLALLNAALDMIETQEAVLFETGGVRTSSDHRAEILQVEHLSSLFKWLVGNFEGLKPHLEKYSAPEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAVHLAQLTGDNYLLGKLNKLSSLVSSNLDVTEEDNSLKHSTNVFKNEDESISEASKKLEMIKQRKMKSKVVKGTDGDAGNTNRWVLAKSWNPCPIGMLPRAVGSSGCLPVLDFDTVPEKVSQLLGRKENWELNQCSGKREASSDIQLLDNRCVKKLRETEDGCVSDSEHVLSTEDVSGHLMIGGVWKKVGEEELLAIKSAVRIWPHTKKPAVKIEPHKKKSLL >ONI14187 pep chromosome:Prunus_persica_NCBIv2:G4:20112262:20119412:-1 gene:PRUPE_4G267500 transcript:ONI14187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLGFKEESTDYDQLVISKPSSSASYSYKLVPWLSWDEWLFVDESLFSISPESVASALRRISAWRSRGCLPVVIEVTASIIEIHQKDPHFRKDQSNDALDNCRADQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETADIRTEIRSKFHELAFCLRVKNTPPSVSSKVKEKRGCRSSNWLCGRNKFFSLMAGKIHSSKSRRSKKDLTKILKSLVGLYASFSSEVVSVLLEILLNAISISDSSKLPVNTQKGPSLHISLNEWKLVITKFSNKEPELLLALLNAALDMIETQEAVLFETGGVRTSSDHRAEILQVEHLSSLFKWLVGNFEGLKPHLEKYSAPEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAVHLAQLTGDNYLLGKLNKLSSLVSSNLDVTEEDNSLKHSTNVFKNEDESISEASKKLEMIKQRKMKSKVVKGTDGDAGNTNRWVLAKSWNPCPIGMLPRAVGSSGCLPVLDFDTVPEKVSQLLGRKENWELNQCSGKREASSDIQLLDNRCVKKLRETEDGCVSDSEHVLSTEDVSGHLMIGGVWKKVGEEELLAIKSAVRIWPHTKKPAVKIEPHKKKSLL >ONI14186 pep chromosome:Prunus_persica_NCBIv2:G4:20111943:20119646:-1 gene:PRUPE_4G267500 transcript:ONI14186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLGFKEESTDYDQLVISKPSSSASYSYKLVPWLSWDEWLFVDESLFSISPESVASALRRISAWRSRGCLPVVIEVTASIIEIHQKDPHFRKDQSNDALDNCRADQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETADIRTEIRSKFHELAFCLRVKNTPPSVSSKVKEKRGSKKDLTKILKSLVGLYASFSSEVVSVLLEILLNAISISDSSKLPVNTQKGPSLHISLNEWKLVITKFSNKEPELLLALLNAALDMIETQEAVLFETGGVRTSSDHRAEILQVEHLSSLFKWLVGNFEGLKPHLEKYSAPEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAVHLAQLTGDNYLLGKLNKLSSLVSSNLDVTEEDNSLKHSTNVFKNEDESISEASKKLEMIKQRKMKSKVVKGTDGDAGNTNRWVLAKSWNPCPIGMLPRAVGSSGCLPVLDFDTVPEKVSQLLGRKENWELNQCSGKREASSDIQLLDNRCVKKLRETEDGCVSDSEHVLSTEDVSGHLMIGGVWKKVGEEELLAIKSAVRIWPHTKKPAVKIEPHKKKSLL >ONI14183 pep chromosome:Prunus_persica_NCBIv2:G4:20112048:20120122:-1 gene:PRUPE_4G267500 transcript:ONI14183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLGFKEESTDYDQLVISKPSSSASYSYKLVPWLSWDEWLFVDESLFSISPESVASALRRISAWRSRGCLPVVIEVTASIIEIHQKDPHFRADQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETADIRTEIRSKFHELAFCLRVKNTPPSVSSKVKEKRGSKKDLTKILKSLVGLYASFSSEVVSVLLEILLNAISISDSSKLPVNTQKGPSLHISLNEWKLVITKFSNKEPELLLALLNAALDMIETQEAVLFETGGVRTSSDHRAEILQVEHLSSLFKWLVGNFEGLKPHLEKYSAPEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAVHLAQLTGDNYLLGKLNKLSSLVSSNLDVTEEDNSLKHSTNVFKNEDESISEASKKLEMIKQRKMKSKVVKGTDGDAGNTNRWVLAKSWNPCPIGMLPRAVGSSGCLPVLDFDTVPEKVSQLLGRKENWELNQCSGKREASSDIQLLDNRCVKKLRETEDGCVSDSEHVLSTEDVSGHLMIGGVWKKVGEEELLAIKSAVRIWPHTKKPAVKIEPHKKKSLL >ONI14185 pep chromosome:Prunus_persica_NCBIv2:G4:20112054:20120121:-1 gene:PRUPE_4G267500 transcript:ONI14185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLGFKEESTDYDQLVISKPSSSASYSYKLVPWLSWDEWLFVDESLFSISPESVASALRRISAWRSRGCLPVVIEVTASIIEIHQKDPHFRKDQSNDALDNCRADQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETADIRTEIRSKFHELAFCLRVKNTPPSVSSKVKEKRGSKKDLTKILKSLVGLYASFSSEVVSVLLEILLNAISISDSSKLPVNTQKGPSLHISLNEWKLVITKFSNKEPELLLALLNAALDMIETQEAVLFETGGVRTSSDHRAEILQVEHLSSLFKWLVGNFEGLKPHLEKYSAPEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAVHLAQLTGDNYLLGKLNKLSSLVSSNLDVTEEDNSLKHSTNVFKNEDESISEASKKLEMIKQRKMKSKVVKGTDGDAGNTNRWVLAKSWNPCPIGMLPRAVGSSGCLPVLDFDTVPEKVSQLLGRKENWELNQCSGKREASSDIQLLDNRCVKKLRETEDGCVSDSEHVLSTEDVSGHLMIGGVWKKVGEEELLAIKSAVRIWPHTKKPAVKIEPHKKKSLL >ONI14188 pep chromosome:Prunus_persica_NCBIv2:G4:20112262:20119412:-1 gene:PRUPE_4G267500 transcript:ONI14188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLGFKEESTDYDQLVISKPSSSASYSYKLVPWLSWDEWLFVDESLFSISPESVASALRRISAWRSRGCLPVVIEVTASIIEIHQKDPHFRKDQSNDALDNCRADQSSDASLSDEMLAMLYCMAIMRLVNGVVEKTRKKTEVSIAVAADAIGIPRTLIDIRHEGSHRELPALEVVRSASVKALDWLKYYYWEPQKKAIPFHGNETADIRTEIRSKFHELAFCLRVKNTPPSVSSKVKEKRGCRSSNWLCGRNKFFSLMAGKIHSSKSRRSKKDLTKILKSLVGLYASFSSEVVSVLLEILLNAISISDSSKLPVNTQKGPSLHISLNEWKLVITKFSNKEPELLLALLNAALDMIETQEAVLFETGGVRTSSDHRAEILQVEHLSSLFKWLVGNFEGLKPHLEKYSAPEIKVSSGEKTISKAILMELLRKCLVLSASANNQLMDSAVHLAQLTGDNYLLGKLNKLSSLVSSNLDVTEEDNSLKHSTNVFKNEDESISEASKKLEMIKQRKMKSKVVKGTDGDAGNTNRWVLAKSWNPCPIGMLPRAVGSSGCLPVLDFDTVPEKVSQLLGRKENWELNQCSGKREASSDIQLLDNRCVKKLRETEDGCVSDSEHVLSTEDVSGHLMIGGVWKKVGEEELLAIKSAVRIWPHTKKPAVKIEPHKKKSLL >ONI10602 pep chromosome:Prunus_persica_NCBIv2:G4:2699665:2706179:1 gene:PRUPE_4G056400 transcript:ONI10602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPSDEAKNIEKLYEFGERLNESKDKSQNVADYQGIIDAAKTSIKAKQLAAQLIPRFFKFFPDLSESAIYTHIDLIEEEELGVRVQAIRGLPLFCKDTPEQIAKIVDILVQLLAAEEFVERDAVHKALMSLLRQDVKVSLTALFKHIGSVDEPSTDEFIREKVLTFIREKVFSIKSELLKPQEEMERHITDLIKKSLEDVTGAEFRMFMDFLKSLSIFGEKAPPERMKELIGIIEGQADLDAQFNVSDADHIDRLISCLFMALPFVVRGASSSKFLNYLNKHILPVSDKLPDERRLDLLKALAEVSPYTTPQDSRQILPSVVQLLKKNMPRRKTGEEFNFTYVECLLYTFHHLSHKVPNATNSLCGYKIVTGQPSDRLGEDFSEQYKEFTERLSYVEELTRATMKKLTQGMAEKNKAMAAAKSDEAKDSIKTEKQNTTTGLRTCNNILAMTKTLHSKTPSFIGDKSINLSWKEVTKPVVPSNTPATGTKRPANPANGPGNMTSKKGRGGGGLQNQLVNRAFEGLSHGGRSGGGGRSRGRGWGGRGRGRGYR >ONI10603 pep chromosome:Prunus_persica_NCBIv2:G4:2699665:2706217:1 gene:PRUPE_4G056400 transcript:ONI10603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPSDEAKNIEKLYEFGERLNESKDKSQNVADYQGIIDAAKTSIKAKQLAAQLIPRFFKFFPDLSESAIYTHIDLIEEEELGVRVQAIRGLPLFCKDTPEQIAKIVDILVQLLAAEEFVERDAVHKALMSLLRQDVKVSLTALFKHIGSVDEPSTDEFIREKVLTFIREKVFSIKSELLKPQEEMERHITDLIKKSLEDVTGAEFRMFMDFLKSLSIFGEKAPPERMKELIGIIEGQADLDAQFNVSDADHIDRLISCLFMALPFVVRGASSSKFLNYLNKHILPVSDKLPDERRLDLLKALAEVSPYTTPQDSRQILPSVVQLLKKNMPRRKTGEEFNFTYVECLLYTFHHLSHKVPNATNSLCGYKIVTGQPSDRLGEDFSEQYKEFTERLSYVEELTRATMKKLTQGMAEKNKAMAAAKSDEAKDSIKTEKQNTTTGLRTCNNILAMTKTLHSKTPSFIGDKSINLSWKEVTKPVVPSNTPATGTKRPANPANGPGNMTSKKGRGGGGLQNQLVNRAFEGLSHGGRSGGGGRSRGRGWGGRGRGRGYR >ONI10601 pep chromosome:Prunus_persica_NCBIv2:G4:2699665:2706179:1 gene:PRUPE_4G056400 transcript:ONI10601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPSDEAKNIEKLYEFGERLNESKDKSQNVADYQGIIDAAKTSIKAKQLAAQLIPRFFKFFPDLSESAIYTHIDLIEEEELGVRVQAIRGLPLFCKDTPEQIAKIVDILVQLLAAEEFVERDAVHKALMSLLRQDVKVSLTALFKHIGSVDEPSTDEFIREKVLTFIREKVFSIKSELLKPQEEMERHITDLIKKSLEDVTGAEFRMFMDFLKSLSIFGEKAPPERMKELIGIIEGQADLDAQFNVSDADHIDRLISCLFMALPFVVRGASSSKFLNYLNKHILPVSDKLPDERRLDLLKALAEVSPYTTPQDSRQILPSVVQLLKKNMPRRKTGEEFNFTYVECLLYTFHHLSHKVPNATNSLCGYKIVTGQPSDRLGEDFSEQYKEFTERLSYVEELTRATMKKLTQGMAEKNKAMAAAKSDEAKDSIKTEKQNTTTGLRTCNNILAMTKTLHSKTPSFIGDKSINLSWKEVTKPVVPSNTPATGTKRPANPANGPGNMTSKKGRGGGGLQNQLVNRAFEGVTGSFAV >ONI13466 pep chromosome:Prunus_persica_NCBIv2:G4:14085090:14088223:-1 gene:PRUPE_4G224000 transcript:ONI13466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQMVLLMHFKYKTILCARAANSSANHPPVNAPTWSVQTQSAKSGMEYKVPNHTSVKAEGNAGMSMPQMTPVAARDQNIRPFVSQTASGNLPGVQQPLQQMHFVQAPSVPNNHNEIAKIIQKLLQPQPPEHPTWIPPSRDYMSKALTCQSCQITITEVEGVLICDACERGYHIMCAQSANQRGIPRGEWHCMRCLSLSNGKPLPPKYGRVMRSNIQVKIPSNTAGIQISSENKLGALDPKFNQQKITANGSSAFQNPAHIGSGGSNHVESALDPKISNAKESEQNNFPSSNKNMDERPFSGSFSSSERLSQPKDTSESSRSDERPSESKAEPPADSSNKDTDKSDHSQPSSNPQVVDGAALPNCSKISNAKECQQNNFPSSNKNMDERPFSGSCSSSERLSQPKDTSESSRSDERPSESKAEPPADSSNKDTDKSDNSQPSSNQQVVDGAALPNCAEVPSKKCDDHNHALKDPDISHSGGNPDYSLRYDIKRDDQGGAQANTCESSVASGRALDHSGLSTDGLKAVQWIGDAVQVNDEKLFYRTCCIDGVMYKLQDHALFHSSLGKLIPSKLQSMWEDRKTGSKWVIVNRCYFPGDLPENVGRPSTPESNEVYESNHDSTVMAGLIQGPCEVLTPTKFSKESEKRSNLGPEANNELQPIFLCKWIYDEFKGLLQPVSQ >ONI13465 pep chromosome:Prunus_persica_NCBIv2:G4:14084294:14090149:-1 gene:PRUPE_4G224000 transcript:ONI13465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLNAEATEPPAVSVAEKRPIQNGAEGELGTQFNKKPRLGPNSEKDLRRVAEIVLALSTMAKIRGGKKPTEPEIGLMGEARSKLVELCEGLAPKDIVARDAIGAVIEDLGLNGKLKEQRLGFRGTKLTIAEKFSLTKKKMEESKKYSAQPATYPSPPLKTNFNAAAETHGMSHTVRMSPTDKPSHAPISGGFPVSSSVVHVSTVTPTSAQYQLPTNEVRASMVSSGLPSSHLGRDSSSPALPRVERAQFRSDGGPNGPSYAFQVQANSSANHPPVNAPTWSVQTQSAKSGMEYKVPNHTSVKAEGNAGMSMPQMTPVAARDQNIRPFVSQTASGNLPGVQQPLQQMHFVQAPSVPNNHNEIAKIIQKLLQPQPPEHPTWIPPSRDYMSKALTCQSCQITITEVEGVLICDACERGYHIMCAQSANQRGIPRGEWHCMRCLSLSNGKPLPPKYGRVMRSNIQVKIPSNTAGIQISSENKLGALDPKFNQQKITANGSSAFQNPAHIGSGGSNHVESALDPKISNAKESEQNNFPSSNKNMDERPFSGSFSSSERLSQPKDTSESSRSDERPSESKAEPPADSSNKDTDKSDHSQPSSNPQVVDGAALPNCSKISNAKECQQNNFPSSNKNMDERPFSGSCSSSERLSQPKDTSESSRSDERPSESKAEPPADSSNKDTDKSDNSQPSSNQQVVDGAALPNCAEVPSKKCDDHNHALKDPDISHSGGNPDYSLRYDIKRDDQGGAQANTCESSVASGRALDHSGLSTDGLKAVQWIGDAVQVNDEKLFYRTCCIDGVMYKLQDHALFHSSLGKLIPSKLQSMWEDRKTGSKWVIVNRCYFPGDLPENVGRPSTPESNEVYESNHDSTVMAGLIQGPCEVLTPTKFSKESEKRSNLGPEANNELQPIFLCKWIYDEFKGLLQPVSQ >ONI10229 pep chromosome:Prunus_persica_NCBIv2:G4:1683515:1684543:1 gene:PRUPE_4G035600 transcript:ONI10229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWVGAGADTGTVEGRRDDDADDASSSKLNKQRYLLLSCNSNSNSNNPANGGSESDRRKQQAMAEESLHKVMYLNCWAQS >ONI12197 pep chromosome:Prunus_persica_NCBIv2:G4:8592732:8594638:-1 gene:PRUPE_4G150200 transcript:ONI12197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVTKPPTENFSYPRGSKVSKFFAGIPLIDLSKPDSKQLIVRACEEFGFFKIINHGVPMEFITRLESEAIKFFSLPLSEKEKAGQPNPFGYGNKHIGKNGDVGWVEYLLLTANIESNSQRFLSVFGQNPEEFCSALNDYISAVKKMACEILELMAEGLKIQPRNVFSKLLMDEQSDSYFRLNHYPPCPELQDLSARNVIGFGAHTDPQIISVLRSNNTSGLQISLRDGNWIPVPPDHNSFFINVGDSLQVLTNGRFQSVRHRVLANGSKSRVSMIYFGGPPLSEKIAPLQSVMKGEEESMYKEFTWFEYKSTCYNTRLADNRLGNFERIAAS >ONI13530 pep chromosome:Prunus_persica_NCBIv2:G4:14562831:14566609:1 gene:PRUPE_4G228000 transcript:ONI13530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEQEISQALQALIHDTTSNPNTTTPTFTTLNDVVQQLESRLGLDLSHKTDFIRTQIHYFFRSHPPTPQPQPQHQQQQQQQHQHQLPQPQHPKDHFALNQPPNYHHPTPSAFQTFSSQPPPPLLKPEPGSAAPVSVSGSNTPKDSAKPKAKRKGGPGGLNKLCGVSPELQVIVGHPTLPRTEIVKQLWAYIRKNNLQDPGNKRKIICNEELRLVFETDCTDMFKMNKLLAKHIIALEPSKQSVPKKPKVPKVAVESRTKSTEPGPSLIISEALANFFGVGAREMLQSEVLMRIWEYIKVNHLEDPQNPMAIQCDAKLQEIFGCESISALEIPDILERHHIFRR >ONI12803 pep chromosome:Prunus_persica_NCBIv2:G4:10911248:10913639:1 gene:PRUPE_4G184200 transcript:ONI12803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILDVPTVGGRLMLVDMAGSDNIEQADTTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKTKILMVPCASPDPEEIHKTISTLEYGAKAKCIVRGPHMLIKDKIGTEDSSAVILGSRIAAMDEFILKLQRENKLKEKEAKLELMEGTGSGKKEEEINLKVTDRELEKKLEECQRMANEFVELERRRMEERILQQQQEAEMLRRRLEEIELELCRSGDGYGKESGTKDHDGTQFAKRLLGIYASDDAGGMVKSMDLDMGDQEPFAREVKYVGGVAYQSDSSIVNAVNIDSFEPKYADREGLKENVEAEEVEKEVIEEKRVCMVDGSSLQSNYNMGSLTSLPKDYQDTPTGGPDDRLVRIRNIFTLCGNYRELSQRVTTPAPTVASIKSETDRRDTEVYVKWEASKENPGKFITTLRVVKDASLADLRKLIAIYFGADNQAFTFLMLGDPTGASVPKEKEATIQATKLPLCNNKSNGYLASLRPLKGMQSPSQLPLIPLPLKSLENRLPLIKIIKKD >ONI11106 pep chromosome:Prunus_persica_NCBIv2:G4:4316520:4319726:-1 gene:PRUPE_4G088000 transcript:ONI11106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERDSDGEAVNSSHSSMSSESCSSFSRLSFDAAATTTAADFATETLCLKPHRSSDFAYSAIRSKKTALTFRDFRLLRRIGAGDIGTVYLCTLRGTGSSPADQDRESSSSSSCFYAMKVVDKEALALKKKVHRAEMERKILKMLDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHKQPNKRFSLTSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESPDSYSDSGSLSTPSYTRPRKTTTLTPFSCLSTRLFRSRKVQTLSPNRLFVAEPVAARSRSFVGTHEYVSPEVASGSSHGNAVDWWAFGIFLYELIYGRTPFAAPSNENTLRNIVKKPLAFPTPTPSSALEGHARDLISGFLNKDPERRLGSKRGAADVKKHPFFKGINLALVRSLTPPMIPGLIRRQNTTPSYQAKKTDQNRNTRQSTAFEYF >ONI11105 pep chromosome:Prunus_persica_NCBIv2:G4:4316859:4318580:-1 gene:PRUPE_4G088000 transcript:ONI11105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERDSDGEAVNSSHSSMSSESCSSFSRLSFDAAATTTAADFATETLCLKPHRSSDFAYSAIRSKKTALTFRDFRLLRRIGAGDIGTVYLCTLRGTGSSPADQDRESSSSSSCFYAMKVVDKEALALKKKVHRAEMERKILKMLDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHKQPNKRFSLTSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAIPAVESPDSYSDSGSLSTPSYTRPRKTTTLTPFSCLSTRLFRSRKVQTLSPNRLFVAEPVAARSRSFVGTHEYVSPEVASGSSHGNAVDWWAFGIFLYELIYGRTPFAAPSNENTLRNIVKKPLAFPTPTPSSALEGHARDLISGFLNKDPERRLGSKRGAADVKKHPFFKGINLALVRSLTPPMIPGLIRRQNTTPSYQAKKTDQNRNTRQSTAFEYF >ONI13681 pep chromosome:Prunus_persica_NCBIv2:G4:15513027:15515784:-1 gene:PRUPE_4G237600 transcript:ONI13681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNKADQSSDTGGSKKDFTTAILDRKKAVNRLVVDEDIHGDNSVVTLHPESMERLQLFRGDTILIKGKKRKDTVCIVVADDKCEEPKIRMNKVVRSNLRVRLGDVVSVYQCADVKYGNRVHVLPVDDSIQGVTGNLFDTYLRPYFFEAYRPVRTGDLFLVRGGMRSVEFKVVETDPPEYCVVAPDTEIFCDGEPVRREDEERSLDEVGYDDVGGVRKQMAQIRESVELPLRHPQLFKIIGVKPPKGILLYGPPGTGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFQEAEKNAPSIIFIDEIDSIAPKRDKTNGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTRNMKLAEEVNLEKIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDEEIDAEILNSMAVTNEHLQTALGTSNPSALRETVVEVPNVSWKDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFVIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRHQIFRSCLRKSPVSKDVDIRALAKYTLGFSGADITEICQRACKYAIRENIEKDIVRDRRKNENPEAMEEDVDDEVAEIMAAHFEESMKYARRSVSDADIRKYQTFSQTLQQSRGLGTEFRFADRAAGYDPSATASAGADGDDLYS >ONI10516 pep chromosome:Prunus_persica_NCBIv2:G4:2470509:2473085:-1 gene:PRUPE_4G051600 transcript:ONI10516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHAHIIRCGCSGDQSIRNHLINLYSKCRFFRHARKLVDESTEPDLVSWSALISGYAQNGLGKEALSAFREMHSLGVKCNEFTFPSVLKACSITRDLVVGKQVHGIALLTGFESDEFVANTLVVMYAKCGEFGDSRRLFDAIPERNVVSWNALFSCYVQSDSYGEAMDLFQEMILSGVRPNEYSLSSIINACTGLGDGSRGRKIHGYMVKLGYESDSFSANALVDMYAKVKGLEDAISVFEKIAQRDIVSWNAVIAGCVLHEYHDWALQFFGQMNGSGICPNMFTLSSALKACAGLGFEKLGRQLHSFLIKMDTESDSFVNVGLIDMYCKCEMIDHARVLFNMMPKKEMIAWNAVISGHSQNGEDIEAVSQFSEMYKEGIEFNQTTLSTVLKSTASVQAIKFCEQIHALSVKSGFQCDMYVINSLLDAYGKCGKVEDAAKIFEGCPTEDVVAFTSMITAYSQYEQGEEALKLYLQMQQRGNKPDSFVCSSLLNACANLSAYEQGKQIHVHILKFGFMSDAFAGNSLVNMYAKCGSIDDADRAFSEVPQRGLVSWSAMIGGLAQHGHGKRALNLFNQMLKDGVSPNHITLVSVLCACNHAGLVTEARKYFESMKELFGVVPRQEHYACMIDLLGRAGKINEAMELVNTMPFQANASVWGALLGAARIHKNVELGQRAAEMLLALEPEKSGTHVLLANIYASAGMWDNVAKMRRLMRDGQVKKEPGMSWIEVKDKVHTFIVGDRSHSRSREIYAELDELFDLMYKAGYAPMVEIDLHDVEHSEKQRLLRYHSEKLAVAFGLIATPPGAPIRVKKNLRVCVDCHTAFKFICKIVSREIIVRDINRFHHFKDGSCSCGDYW >ONI14169 pep chromosome:Prunus_persica_NCBIv2:G4:19900939:19905934:-1 gene:PRUPE_4G266400 transcript:ONI14169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICRFALLNSPLHLPPLSILIRPPVLFQSFQTCPPKLTKFSHLLLLPQKPINHTRLLCTSSHTSSPSPSSSSMEAPPQGYRRNVGICLINDSKKIFAASRLDIPNAWQMPQGGIDEAEDPRTAAMRELREETGVNSAEILAEVPYWLTYDFPPEVRAKLQQQWGSDWKGQAQKWLLLKFTGKDEEINLLGDGSEKPEFGEWSWMSAEQIIDLAVDFKKPVYKEVLAVFAPYLQ >ONI10047 pep chromosome:Prunus_persica_NCBIv2:G4:1167359:1173827:1 gene:PRUPE_4G024700 transcript:ONI10047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKLLLSRENPRTLAFPHDRLIASSSAATGTKAMPSNELAQKPQPPTPIVDRFRALLKQRDDDLRVSPEDDVSPPSTEEIVQLYEMVLAELIFNSKPIITDLTIIAGEQRDHGKGIADAICARILEVPVEHKLPSLYLLDSIVKNIGRDYAKYFSSRLPEVFCEAYRQVNPNQYPAMRHLFGTWSAVFPPSVLRRIEEQLQFSPLVNQQSSGSTPLRASESPRPTHGIHVNPKYLRQLDSSNVDSVGSQRLNSTGSVSHSPFSLGSNRLHPSSTTRLARSSSPSDIGLDRSLTSAVDEFAAENSPKRFGERASPSNSVFDYRLGGAIGRDEEPNELRGKRYLDGSQKRFDTSVTYNNLSNGLEHQRPRALIDAYGKDSGDRSLNDIPLVGRLGLNGLDHKATQMSWQNTEEEEFDWEDMSPTLAEQNRSNDYLPSTAPPSRSYRARPSLGTLNASPLESDSRSTWSTQAHLPSAEQSSVITEDPVPPLGFSRGSTSTVSRFQSETNHSLGSRYPQEAWNIPFHLSQSSQNPLNARGRGRNFQMPFVASGVSSGGEKMSAFVDKLPDVDARLHGPIAVASRMGASSVDTVNADSRPIIPVSMGSRPPVNVHNSHPPPGHSIFALQNQRSQYGSINYSNTVKNQAPYNSLYVPEQQLDGYENKLLRSTKLTQLTSQNARPMPVNQRNQVQASPLQPQFLPPQEARENFISSAETSGPPYLGLPSLNHRYTLQGHGGAVSTVMANPVPRIPYVPNSALHLRGEALPPLPPGPPPPSSQGILSIRNPGPVVSSNQPGSAYSGLFSSLMAQGLISLTNQSTVQDSVGIEFNADLLKVRHESVIKALYSDLPRQCTTCGLRFKCQEEHSSHMDWHVTKNRMSKNRKQKPSRKWFVNTSMWLSGAEALGTDAAPGFMPAETIVEKKSDEEMAVPADEDQNSCALCGEPFDDFYSDETEEWMYKGAVYLNAPDGSTGGMDRSQLGPIVHAKCRSESSVVSSGGLGQDEVGIIEEGSQRKRLRS >ONI11819 pep chromosome:Prunus_persica_NCBIv2:G4:7017754:7021166:1 gene:PRUPE_4G127700 transcript:ONI11819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHNSNVYDEPIKGTSESVEWIFLVTSLGLEMLSAAFDQASSPTKPHYALFGVLLATAALLISIWELIYNGRKERVVLRRWGRLWWFYYPPPRNAFFSTLPDIYGLVGGISQCICSVVQYMYYLRHADNPIKVSILPAIFLRCLGGSKLNRNQRNMNTIEHKMFRKRQLLHSESI >ONI11008 pep chromosome:Prunus_persica_NCBIv2:G4:4019016:4021689:-1 gene:PRUPE_4G082200 transcript:ONI11008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSQCFGATSAAISVSSNSRKLLLPSRRSLPGRKVSFFVVRSDAGAKSGPNSRARRADQLITNAVATKADGAAASTASKPGHELLLFEALREGLEEEMARDPTVCVMGEDVGHYGGSYKVTKGLADKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPIIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKERIPDEEYVCSLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSVKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIICLSSQDVPTPYAATLEEVTVVQPAQIVTAVEQLCQ >ONI12391 pep chromosome:Prunus_persica_NCBIv2:G4:9240981:9242891:-1 gene:PRUPE_4G161300 transcript:ONI12391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSRCCKPCIGDRISGLPDAILSHILSFLTTEDAVKTSVLSHRWFDVWTSVPKINLTLGSQAAFEPFAQFVDCVLFFRGSSNIHTFQLRCSVIEEDFCRIDPWIYTAVRRNVVEFEFDLDVDVDSKSHRYEGYELPRRLLMCNTLEVLKLTLNHKDIIINPPSDCFPSLKFLHVTLQYPGGHSLEKLLTCCPVLEDLIIEGHLKDDSVVNINVSAPKLKRLRMRLSMDLVRWDEGDYKMLEYKCKRIYINADAPNLEEFNLRDNFLASYSLNNAKSLSKAMIDLGRLYTLEDPDFARDSADRMHRLFAGIHNVTYLSLSSPVYGDLFTRDRYHLPTFNILNCLELHLEPCFSWQFLTKLLNISPNLEYLVFAIHISYTAKLENRESEFAVGQWSQPDFVPICLLSSLKKICIQGFHAWPDDIEVVKYLLKHGEVLNTVKICPSYFHSEEEMKLRQKLSMFPKGSRTCQIEVMKIKS >ONI14003 pep chromosome:Prunus_persica_NCBIv2:G4:18172926:18182390:-1 gene:PRUPE_4G257200 transcript:ONI14003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGRTVRKEFKGFGYFTGTVKSYSPSSGLFEVVYEDGDSEELNFAEVSLLLGGGEPHLVEEEVKPSRLGRKPKKRRVERKQEIRGNSGNAEVIFGNDSGFGGDLNKNCDLSDGSEGRLEMGQAFGGNLRESVEVDGNLNDNVNCSKGLDKTLEQQSVLNVNWNVNRVDNLKDGIDLNAEFNLNGGCDLNVDLNVGKEEISEKRDCIDLNLDASGDFAQNLNGDSLDGSTAVTHGTQRRGCYFDLNLEVDEDFKDTEGDCEEKFKVSPKFEMIEENQKKERSEDTEEKVIEDGNANETWKEVYIDITEDNPMTSVGDLIDCAAAVRLNNQNSCSSGDLKADNSLGVLDTSCMKDCGLVEVLVKDSLSEAHTPMIHGDSGGPNIQRSSRRKRRKLLDNLKSTTTETVLRRSTRRGSAQNHNSITSFSVSDPLSSSAVSAITEEKPVISGCEETEKPSVLPQELELPPSSEHLNLDGIPILDLFSIYACLRSFSTLLFLSPFKLEDFVAALKCKSPSSLFDYVHLSILQTLRKHLEWLANDGSESASHCLRSLNWDLLDLITWPIFMIEYFLIHGSGLKPGFDLSCFKIFKTDYYEQPASVKVEILKCLCDDLIEVEAIRSEINRRSLAAEPDIVFDRNVSYEVCKKRKAPVDIAGITYLNDEVVDDTTDWNSDECCLCKMDGSLICCDGCPAAYHSKCVGVANDLLPEGDWYCPECSIDRHKPWMKPQKSLRGAELLGIDPRGRLFFKSCGYLLVSDSCDTESKFNYYYRDDLIKVIKVLRSSDFFYGGILVEIYKHWDIPVSFNGANSNIGRSVPQDPSAFPEKCAVKNETYEARKLQENSCNIGSDVSKSINLLDSMTATASPNITPSRSVIQYDSDRPADFLNQSDLVGKLYPEDCSLTSTSITTRKRDTSEVHCGIGYMNCYSFGQIASSVAEELTRKSSDKIKEDTIITEEEIISAQMKTILKKSSKFSGPNVGNLNLDAQKEKCGWCFSCKAPANYGDCLFIMSMGPVQDVSYSNITGFQSKRNKDGHLNDVRCQILSIHDRLQGLLLGPLLNPHHRELWRKSLLKASDLASIKHLLLMLEANLHHLALSADWLKHVDSVVTMGSASHVVTSLRAYSKNFINRKRPKCSDIEPTPTSNAASGLGMFWWRGGRLSRQVFSWKVLPRSLTSKAARQAGCSKILGILYPENSEYAKRSKSVSWRAAVEASTSVEQLALQVRELDLNIRWNDIENSHPLPTLDKESRKSIKLFKKVIVRRKCSEGKVVNYLLDFGKRRGIPDIVKKHGSVLEELSSERKKYWLDESYLPLHLLKNFEERRIARKSSDVRSGKVIEVGRVAKRPREKKGFMYLFSKAERSEYHKCGHCNKDVLMREAVSCQYCKGFFHKRHARKSAGAVVARCKYTCHRCQNGLCAKIDTKRRKVETKGGKVQSQKCKNSQTERRSLRLKNNKKALAGGQQLRLKNSKKIPASVPLRRSPRKVKCLPLQNKKRSKRKKGKKSKSNTTTCKKPKRVTSWQKKRTQVCHSYWLNGLLLSRKPNDERAMLFRDKKLLAHSGCSPVILDQLKCPLCCEASYTSALNYISCEICRVWFHAEAFGLSSENIDKLVGFRCHMCRQRNPPVCPHLVVVKTDVSQLAEAQNDAGVDFSEEVPNTVPPLSEITCN >ONI14001 pep chromosome:Prunus_persica_NCBIv2:G4:18171642:18182853:-1 gene:PRUPE_4G257200 transcript:ONI14001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGRTVRKEFKGFGYFTGTVKSYSPSSGLFEVVYEDGDSEELNFAEVSLLLGGGEPHLVEEEVKPSRLGRKPKKRRVERKQEIRGNSGNAEVIFGNDSGFGGDLNKNCDLSDGSEGRLEMGQAFGGNLRESVEVDGNLNDNVNCSKGLDKTLEQQSVLNVNWNVNRVDNLKDGIDLNAEFNLNGGCDLNVDLNVGKEEISEKRDCIDLNLDASGDFAQNLNGDSLDGSTAVTHGTQRRGCYFDLNLEVDEDFKDTEGDCEEKFKVSPKFEMIEENQKKERSEDTEEKVIEDGNANETWKEVYIDITEDNPMTSVGDLIDCAAAVRLNNQNSCSSGDLKADNSLGVLDTSCMKDCGLVEVLVKDSLSEAHTPMIHGDSGGPNIQRSSRRKRRKLLDNLKSTTTETVLRRSTRRGSAQNHNSITSFSVSDPLSSSAVSAITEEKPVISGCEETEKPSVLPQELELPPSSEHLNLDGIPILDLFSIYACLRSFSTLLFLSPFKLEDFVAALKCKSPSSLFDYVHLSILQTLRKHLEWLANDGSESASHCLRSLNWDLLDLITWPIFMIEYFLIHGSGLKPGFDLSCFKIFKTDYYEQPASVKVEILKCLCDDLIEVEAIRSEINRRSLAAEPDIVFDRNVSYEVCKKRKAPVDIAGITYLNDEVVDDTTDWNSDECCLCKMDGSLICCDGCPAAYHSKCVGVANDLLPEGDWYCPECSIDRHKPWMKPQKSLRGAELLGIDPRGRLFFKSCGYLLVSDSCDTESKFNYYYRDDLIKVIKVLRSSDFFYGGILVEIYKHWDIPVSFNGANSNIGRSVPQDPSAFPEKCAVKNETYEARKLQENSCNIGSDVSKSINLLDSMTATASPNITPSRSVIQYDSDRPADFLNQSDLVGKLYPEDCSLTSTSITTRKRDTSEVHCGIGYMNCYSFGQIASSVAEELTRKSSDKIKEDTIITEEEIISAQMKTILKKSSKFSGPNVGNLNLDAQKEKCGWCFSCKAPANYGDCLFIMSMGPVQDVSYSNITGFQSKRNKDGHLNDVRCQILSIHDRLQGLLLGPLLNPHHRELWRKSLLKASDLASIKHLLLMLEANLHHLALSADWLKHVDSVVTMGSASHVVTSLRAYSKNFINRKRPKCSDIEPTPTSNAASGLGMFWWRGGRLSRQVFSWKVLPRSLTSKAARQAGCSKILGILYPENSEYAKRSKSVSWRAAVEASTSVEQLALQVRELDLNIRWNDIENSHPLPTLDKESRKSIKLFKKVIVRRKCSEGKVVNYLLDFGKRRGIPDIVKKHGSVLEELSSERKKYWLDESYLPLHLLKNFEERRIARKSSDVRSGKVIEVGRVAKRPREKKGFMYLFSKAERSEYHKCGHCNKDVLMREAVSCQYCKGFFHKRHARKSAGAVVARCKYTCHRCQNGLCAKIDTKRRKVETKGGKVQSQKCKNSQTERRSLRLKNNKKALAGGQQLRLKNSKKIPASVPLRRSPRKVKCLPLQNKKRSKRKKGKKSKSNTTTCKKPKRVTSWQKKRTQVCHSYWLNGLLLSRKPNDERAMLFRDKKLLAHSGCSPVILDQLKCPLCCEASYTSALNYISCEICRVWFHAEAFGLSSENIDKLVGFRCHMCRQRNPPVCPHLVVVKTDVSQLAEAQNDAGVDFSEEVPNTVPPLSEITCN >ONI14002 pep chromosome:Prunus_persica_NCBIv2:G4:18171642:18182791:-1 gene:PRUPE_4G257200 transcript:ONI14002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGRTVRKEFKGFGYFTGTVKSYSPSSGLFEVVYEDGDSEELNFAEVSLLLGGGEPHLVEEEVKPSRLGRKPKKRRVERKQEIRGNSGNAEVIFGNDSGFGGDLNKNCDLSDGSEGRLEMGQAFGGNLRESVEVDGNLNDNVNCSKGLDKTLEQQSVLNVNWNVNRVDNLKDGIDLNAEFNLNGGCDLNVDLNVGKEEISEKRDCIDLNLDASGDFAQNLNGDSLDGSTAVTHGTQRRGCYFDLNLEVDEDFKDTEGDCEEKFKVSPKFEMIEENQKKERSEDTEEKVIEDGNANETWKEVYIDITEDNPMTSVGDLIDCAAAVRLNNQNSCSSGDLKADNSLGVLDTSCMKDCGLVEVLVKDSLSEAHTPMIHGDSGGPNIQRSSRRKRRKLLDNLKSTTTETVLRRSTRRGSAQNHNSITSFSVSDPLSSSAVSAITEEKPVISGCEETEKPSVLPQELELPPSSEHLNLDGIPILDLFSIYACLRSFSTLLFLSPFKLEDFVAALKCKSPSSLFDYVHLSILQTLRKHLEWLANDGSESASHCLRSLNWDLLDLITWPIFMIEYFLIHGSGLKPGFDLSCFKIFKTDYYEQPASVKVEILKCLCDDLIEVEAIRSEINRRSLAAEPDIVFDRNVSYEVCKKRKAPVDIAGITYLNDEVVDDTTDWNSDECCLCKMDGSLICCDGCPAAYHSKCVGVANDLLPEGDWYCPECSIDRHKPWMKPQKSLRGAELLGIDPRGRLFFKSCGYLLVSDSCDTESKFNYYYRDDLIKVIKVLRSSDFFYGGILVEIYKHWDIPVSFNGANSNIGRSVPQDPSAFPEKCAVKNETYEARKLQENSCNIGSDVSKSINLLDSMTATASPNITPSRSVIQYDSDRPADFLNQSDLVGKLYPEDCSLTSTSITTRKRDTSEVHCGIGYMNCYSFGQIASSVAEELTRKSSDKIKEDTIITEEEIISAQMKTILKKSSKFSGPNVGNLNLDAQKEKCGWCFSCKAPANYGDCLFIMSMGPVQDVSYSNITGFQSKRNKDGHLNDVRCQILSIHDRLQGLLLGPLLNPHHRELWRKSLLKASDLASIKHLLLMLEANLHHLALSADWLKHVDSVVTMGSASHVVTSLRAYSKNFINRKRPKCSDIEPTPTSNAASGLGMFWWRGGRLSRQVFSWKVLPRSLTSKAARQAGCSKILGILYPENSEYAKRSKSVSWRAAVEASTSVEQLALQVRELDLNIRWNDIENSHPLPTLDKESRKSIKLFKKVIVRRKCSEGKVVNYLLDFGKRRGIPDIVKKHGSVLEELSSERKKYWLDESYLPLHLLKNFEERRIARKSSDVRSGKVIEVGRVAKRPREKKGFMYLFSKAERSEYHKCGHCNKDVLMREAVSCQYCKGFFHKRHARKSAGAVVARCKYTCHRCQNGLCAKIDTKRRKVETKGGKVQSQKCKNSQTERRSLRLKNNKKALAGGQQLRLKNSKKIPASVPLRRSPRKVKCLPLQNKKRSKRKKGKKSKSNTTTCKKPKRVTSWQKKRTQVCHSYWLNGLLLSRKPNDERAMLFRDKKLLAHSGCSPVILDQLKCPLCCEASYTSALNYISCEICRVWFHAEAFGLSSENIDKLVGFRCHMCRQRNPPVCPHLVVVKTDVSQLAEAQNDAGVDFSEEVPNTVPPLSEITCN >ONI13999 pep chromosome:Prunus_persica_NCBIv2:G4:18171642:18182791:-1 gene:PRUPE_4G257200 transcript:ONI13999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGRTVRKEFKGFGYFTGTVKSYSPSSGLFEVVYEDGDSEELNFAEVSLLLGGGEPHLVEEEVKPSRLGRKPKKRRVERKQEIRGNSGNAEVIFGNDSGFGGDLNKNCDLSDGSEGRLEMGQAFGGNLRESVEVDGNLNDNVNCSKGLDKTLEQQSVLNVNWNVNRVDNLKDGIDLNAEFNLNGGCDLNVDLNVGKEEISEKRDCIDLNLDASGDFAQNLNGDSLDGSTAVTHGTQRRGCYFDLNLEVDEDFKDTEGDCEEKFKVSPKFEMIEENQKKERSEDTEEKVIEDGNANETWKEVYIDITEDNPMTSVGDLIDCAAAVRLNNQNSCSSGDLKADNSLGVLDTSCMKDCGLVEVLVKDSLSEAHTPMIHGDSGGPNIQRSSRRKRRKLLDNLKSTTTETVLRRSTRRGSAQNHNSITSFSVSDPLSSSAVSAITEEKPVISGCEETEKPSVLPQELELPPSSEHLNLDGIPILDLFSIYACLRSFSTLLFLSPFKLEDFVAALKCKSPSSLFDYVHLSILQTLRKHLEWLANDGSESASHCLRSLNWDLLDLITWPIFMIEYFLIHGSGLKPGFDLSCFKIFKTDYYEQPASVKVEILKCLCDDLIEVEAIRSEINRRSLAAEPDIVFDRNVSYEVCKKRKAPVDIAGITYLNDEVVDDTTDWNSDECCLCKMDGSLICCDGCPAAYHSKCVGVANDLLPEGDWYCPECSIDRHKPWMKPQKSLRGAELLGIDPRGRLFFKSCGYLLVSDSCDTESKFNYYYRDDLIKVIKVLRSSDFFYGGILVEIYKHWDIPVSFNGANSNIGRSVPQDPSAFPEKCAVKNETYEARKLQENSCNIGSDVSKSINLLDSMTATASPNITPSRSVIQYDSDRPADFLNQSDLVGKLYPEDCSLTSTSITTRKRDTSEVHCGIGYMNCYSFGQIASSVAEELTRKSSDKIKEDTIITEEEIISAQMKTILKKSSKFSGPNVGNLNLDAQKEKCGWCFSCKAPANYGDCLFIMSMGPVQDVSYSNITGFQSKRNKDGHLNDVRCQILSIHDRLQGLLLGPLLNPHHRELWRKSLLKASDLASIKHLLLMLEANLHHLALSADWLKHVDSVVTMGSASHVVTSLRAYSKNFINRKRPKCSDIEPTPTSNAASGLGMFWWRGGRLSRQVFSWKVLPRSLTSKAARQAGCSKILGILYPENSEYAKRSKSVSWRAAVEASTSVEQLALQVRELDLNIRWNDIENSHPLPTLDKESRKSIKLFKKVIVRRKCSEGKVVNYLLDFGKRRGIPDIVKKHGSVLEELSSERKKYWLDESYLPLHLLKNFEERRIARKSSDVRSGKVIEVGRVAKRPREKKGFMYLFSKAERSEYHKCGHCNKDVLMREAVSCQYCKGFFHKRHARKSAGAVVARCKYTCHRCQNGLCAKIDTKRRKVETKGGKVQSQKLWFHAEAFGLSSENIDKLVGFRCHMCRQRNPPVCPHLVVVKTDVSQLAEAQNDAGVDFSEEVPNTVPPLSEITCN >ONI14000 pep chromosome:Prunus_persica_NCBIv2:G4:18171642:18182794:-1 gene:PRUPE_4G257200 transcript:ONI14000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGRTVRKEFKGFGYFTGTVKSYSPSSGLFEVVYEDGDSEELNFAEVSLLLGGGEPHLVEEEVKPSRLGRKPKKRRVERKQEIRGNSGNAEVIFGNDSGFGGDLNKNCDLSDGSEGRLEMGQAFGGNLRESVEVDGNLNDNVNCSKGLDKTLEQQSVLNVNWNVNRVDNLKDGIDLNAEFNLNGGCDLNVDLNVGKEEISEKRDCIDLNLDASGDFAQNLNGDSLDGSTAVTHGTQRRGCYFDLNLEVDEDFKDTEGDCEEKFKVSPKFEMIEENQKKERSEDTEEKVIEDGNANETWKEVYIDITEDNPMTSVGDLIDCAAAVRLNNQNSCSSGDLKADNSLGVLDTSCMKDCGLVEVLVKDSLSEAHTPMIHGDSGGPNIQRSSRRKRRKLLDNLKSTTTETVLRRSTRRGSAQNHNSITSFSVSDPLSSSAVSAITEEKPVISGCEETEKPSVLPQELELPPSSEHLNLDGIPILDLFSIYACLRSFSTLLFLSPFKLEDFVAALKCKSPSSLFDYVHLSILQTLRKHLEWLANDGSESASHCLRSLNWDLLDLITWPIFMIEYFLIHGSGLKPGFDLSCFKIFKTDYYEQPASVKVEILKCLCDDLIEVEAIRSEINRRSLAAEPDIVFDRNVSYEVCKKRKAPVDIAGITYLNDEVVDDTTDWNSDECCLCKMDGSLICCDGCPAAYHSKCVGVANDLLPEGDWYCPECSIDRHKPWMKPQKSLRGAELLGIDPRGRLFFKSCGYLLVSDSCDTESKFNYYYRDDLIKVIKVLRSSDFFYGGILVEIYKHWDIPVSFNGANSNIGRSVPQDPSAFPEKCAVKNETYEARKLQENSCNIGSDVSKSINLLDSMTATASPNITPSRSVIQYDSDRPADFLNQSDLVGKLYPEDCSLTSTSITTRKRDTSEVHCGIGYMNCYSFGQIASSVAEELTRKSSDKIKEDTIITEEEIISAQMKTILKKSSKFSGPNVGNLNLDAQKEKCGWCFSCKAPANYGDCLFIMSMGPVQDVSYSNITGFQSKRNKDGHLNDVRCQILSIHDRLQGLLLGPLLNPHHRELWRKSLLKASDLASIKHLLLMLEANLHHLALSADWLKHVDSVVTMGSASHVVTSLRAYSKNFINRKRPKCSDIEPTPTSNAASGLGMFWWRGGRLSRQVFSWKVLPRSLTSKAARQAGCSKILGILYPENSEYAKRSKSVSWRAAVEASTSVEQLALQVRELDLNIRWNDIENSHPLPTLDKESRKSIKLFKKVIVRRKCSEGKVVNYLLDFGKRRGIPDIVKKHGSVLEELSSERKKYWLDESYLPLHLLKNFEERRIARKSSDVRSGKVIEVGRVAKRPREKKGFMYLFSKAERSEYHKCGHCNKDVLMREAVSCQYCKGFFHKRHARKSAGAVVARCKYTCHRCQNGLCAKIDTKRRKVETKGGKVQSQKLWFHAEAFGLSSENIDKLVGFRCHMCRQRNPPVCPHLVVVKTDVSQLAEAQNDAGVDFSEEVPNTVPPLSEITCN >ONI10289 pep chromosome:Prunus_persica_NCBIv2:G4:1825163:1827520:1 gene:PRUPE_4G038900 transcript:ONI10289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYRCFGGFSLHGFVLLLAFVCVIVPRAQGWSKEGHIMTCRIAQALLEPEAAEAVRNLLPHDVDGDLSALCVWPDQIRHWYRYRWTSPLHFIDTPDNACNFDYSRDCHDTHGLKNMCVAGAIQNFTSQLSHYTEGTSDRRYNMTEALLFLSHFMGDIHQPMHVGFTTDEGGNTIDLRWFRHKSNLHHVWDREILLQALKDYYDKDMELLLQDIQGNITDGIWSDDVTSWQHCDDHPSCVNKYATESINIACKWGYKDVEKGDTLTDDYFLPRLPVVEKRIAQGGVRLAAILNLVFSSQETQVGDEASRSPT >ONI14475 pep chromosome:Prunus_persica_NCBIv2:G4:24076819:24079139:-1 gene:PRUPE_4G282300 transcript:ONI14475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKICVAIRSFHHPNLLWGLPPSTRRIALPESRVLYTVLRSPHIDKKSREQFKMEIKKQYLVIKTQPHELQKKFFWLKRQRIFGAQYELLFSCKTRLDKEKLQGLL >ONI09847 pep chromosome:Prunus_persica_NCBIv2:G4:630533:634741:1 gene:PRUPE_4G013500 transcript:ONI09847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSMGGALVPTVKGCEHLPGSATETASEEPDKDIMCPICMQIIKDAFLTACGHSFCYICILTHLRIKSDCPCCAHSLTTDLIFPNFLLDKLLKNALASRMAKTASPFEQLRRGLHQGCEMSIKELDGLLSLLEEKKRKMEQQESEMSMEMMLGFLHCLKNQKFEELNEIKADLRYIKEDITAVERRRLELCSWEQESSIKLKMLAPGDQQQHSNGIVCSTQHVQARMSCNDLQNKRSDVKAQAISKALQLNNDYGSSSDMQCVTTPGVASLARKRRVHSQFNHLQECYLQKRRNWYRQEERGANTMNIEGYNPGLEDFQSVLTSFTQYSRIRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSRCIKVFEFSSVVNEPADIHCPIVEISTRSKLSCLSWNKYTKSHIASSDYEGIVSVWDVTTRQSVMEYEEHEKRAWSVDFSSIDPSMLVSGSDDCKVKIWCSKQEESVLNIDMKANICSVKYNPGSSFFVAVGSADHHIHYYDLRNISQPLHVFSGHRKAVSYVKFLSNNELASASTDSTLRLWDVKENLPLCTYRGHMNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPMAWHGSSDLGENDDDRGSFFISAVCWKRDSPMLLTANSRGTIKVLLLAA >ONI10962 pep chromosome:Prunus_persica_NCBIv2:G4:3835421:3838259:-1 gene:PRUPE_4G079000 transcript:ONI10962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHHHDHDHHNQGHEHHHHHDHEHDHSHGSSGTNSWVAPDGKVYHSHDGLAPHSHEPIYSPGYFSRRAQPLLTRNFSERAFTIGIGGPVGTGKTALMLALCKLLRDKYSLAAVTNDIFTKEDGEFLVKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKTDILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLAVMERDALRMRDGGPFVFAQVKHGHGVEEIVNQILQAWELATGKKRH >ONI11157 pep chromosome:Prunus_persica_NCBIv2:G4:4513720:4515411:-1 gene:PRUPE_4G090500 transcript:ONI11157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRMEIGGAIVVFLMAVAMESEGRELRPSDHGLLYQGSPPASAKSPTEVKSFFVGGGGGGGGGGENSPTALPKAMNSSDSSWWNGVVARGGGHRDHVKDVLLLASLVCGITGVALFVASAFVYLLRNRKHKSLPSSASS >ONI10212 pep chromosome:Prunus_persica_NCBIv2:G4:1629351:1633660:-1 gene:PRUPE_4G034900 transcript:ONI10212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQDGHAFMTSLVFSVFEGAILVMRALYLGILFSPSIMMAAFADCCGPEFRRLWLHVVHRTLELAGPAFIKWGQWAATRPDLFPRDLCTKLSELHTKAPEHSFAYTKKTIERAFGRKLPEIFDNFEEKPVASGSIAQVHRATLRFRYPGQQVKPIVVAVKVRHPGVGESIRRDFVIINLVAKISKFIPALKWWRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEQGECVSHYVDDLEGHDRIKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRVAQSKSSRKRLFKSKPHVIFLDVGMTAELSKSDRVNLVEFFKAVALRDGRTAAECTLRLSKQQKCPDPKAFIEEVEESFAFWGTPEGDLVHPAECMQQLLEKVRRHRVNVDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLKADWAKSLSYTIEGLMAP >ONI10211 pep chromosome:Prunus_persica_NCBIv2:G4:1629717:1633326:-1 gene:PRUPE_4G034900 transcript:ONI10211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLTFRGFRKVANSVVTSQKHSCPEADKYGTFVRVGLRLPQYRFYRDYTFPARGNTPFSLYNTANIFCRSNYSRSFAVIPARSAVKHHAQLAWKRLSDRFSFNGRGFSGITNIAQAFSLAVTRSNLILPGIFAITSGKLAWAQRSFSETDYHPSPNTLYMRAQDGHAFMTSLVFSVFEGAILVMRALYLGILFSPSIMMAAFADCCGPEFRRLWLHVVHRTLELAGPAFIKWGQWAATRPDLFPRDLCTKLSELHTKAPEHSFAYTKKTIERAFGRKLPEIFDNFEEKPVASGSIAQVHRATLRFRYPGQQVKPIVVAVKVRHPGVGESIRRDFVIINLVAKISKFIPALKWWRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEQGECVSHYVDDLEGHDRIKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRVAQSKSSRKRLFKSKPHVIFLDVGMTAELSKSDRVNLVEFFKAVALRDGRTAAECTLRLSKQQKCPDPKAFIEEVEESFAFWGTPEGDLVHPAECMQQLLEKVRRHRVNVDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLKADWAKSLSYTIEGLMAP >ONI11004 pep chromosome:Prunus_persica_NCBIv2:G4:3983292:3990817:-1 gene:PRUPE_4G081800 transcript:ONI11004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLTPDKGKEEKHVGVQCLYCKKVFKNHQALGGHLSAHQEEINSKRSWNYPSHFSNSVSITNNSPQPFSMRHPEIFSGGPLFSEVTPPLDFSKRLYSNENSWVNISRFYENNTSAMPPKCSSSSGHAEIQNSKPLSFIAPAPSVSMVPISVPLDPPFCLVSNGVCQFNTDQFRSFRDGMPSFSANAMPCFQDHNCVMIPYPVNAVTDLHPDLSSKQSLCFKEPITIGSLPPDPSESSGQGEIGRYKTQTVSTSKGRKKHCIGGAGGSADEIMNPSKKPKKNSELLMETDKPPHPSQSSDQGEIGQYETQILLTSKGGKRHCLGEAGGSAEMMNCQWKRPKINSELSMETDKPPKRELLLFKDVENCFSRAGISSNAGEEEGQMDLDLSLHL >ONI10944 pep chromosome:Prunus_persica_NCBIv2:G4:3780787:3785590:-1 gene:PRUPE_4G077600 transcript:ONI10944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELREGSCFPRVLVGVNVGLALVDGIIAVLAFCQLIRIHSRNSQLGWTRQKVFHLLIGSSNLGCLVYFVLTLFAACKGWQCWSNSCGFSLMALPKILFFAAFLLLLSFWVDLCHQADDEDEEDEGSFHEALLEKTFSKRNSLETDSHRNCFPLRFVHIGSRQRIVILVTVVVFVIMVACAVIIWIGMGKNPIDSAVVARVYVDLFAIAILLLGGALACYGVLLCLRMRNVRSERASSEMWKVAGLSVVSILCFASSSFVALLTDIPMLYHWHQQRLNDVYTSLLLILYYFVGSSIPSAFVLWIMRELPPSITANIREEPTTLTFVSDGSTSLQHPQSWTTAMSLRNQNLLRYGS >ONI10943 pep chromosome:Prunus_persica_NCBIv2:G4:3780670:3785590:-1 gene:PRUPE_4G077600 transcript:ONI10943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELREGSCFPRVLVGVNVGLALVDGIIAVLAFCQLIRIHSRNSQLGWTRQKVFHLLIGSSNLGCLVYFVLTLFAACKGWQCWSNSCGFSLMALPKILFFAAFLLLLSFWVDLCHQADDEDEEDEGSFHEALLEKTFSKRNSLETDSHRNCFPLRFVHIGSRQRIVILVTVVVFVIMVACAVIIWIGMGKNPIDSAVVARVYVDLFAIAILLLGGALACYGVLLCLRMRNVRSERASSEMWKVAGLSVVSILCFASSSFVALLTDIPMLYHWHQQRLNDVYTSLLLILYYFVGSSIPSAFVLWIMRELPPSITANIREEPTTLTFVSDGSTSLQHPQSWTTAMSLRNQFGFQVQISRASPI >ONI10942 pep chromosome:Prunus_persica_NCBIv2:G4:3780670:3785590:-1 gene:PRUPE_4G077600 transcript:ONI10942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELREGSCFPRVLVGVNVGLALVDGIIAVLAFCQLIRIHSRNSQLGWTRQKVFHLLIGSSNLGCLVYFVLTLFAACKGWQCWSNSCGFSLMALPKILFFAAFLLLLSFWVDLCHQADDEDEEDEGSFHEALLEKTFSKRNSLETDSHRNCFPLRFVHIGSRQRIVILVTVVVFVIMVACAVIIWIGMGKNPIDSAVVARVYVDLFAIAILLLGGALACYGVLLCLRMRNVRSERASSEMWKVAGLSVVSILCFASSSFVALLTDIPMLYHWHQQRLNDVYTSLLLILYYFVGSSIPSAFVLWIMRELPPSITANIREEPTTLTFVSDGSTSLQHPQSWTTAMSLRNQVQISRASPI >ONI10941 pep chromosome:Prunus_persica_NCBIv2:G4:3780297:3785913:-1 gene:PRUPE_4G077600 transcript:ONI10941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELREGSCFPRVLVGVNVGLALVDGIIAVLAFCQLIRIHSRNSQLGWTRQKVFHLLIGSSNLGCLVYFVLTLFAACKGWQCWSNSCGFSLMALPKILFFAAFLLLLSFWVDLCHQADDEDEEDEGSFHEALLEKTFSKRNSLETDSHRNCFPLRFVHIGSRQRIVILVTVVVFVIMVACAVIIWIGMGKNPIDSAVVARVYVDLFAIAILLLGGALACYGVLLCLRMRNVRSERASSEMWKVAGLSVVSILCFASSSFVALLTDIPMLYHWHQQRLNDVYTSLLLILYYFVGSSIPSAFVLWIMRELPPSITANIREEPTTLTFVSDGSTSLQHPQSWTTAMSLRNQISRASPI >ONI11216 pep chromosome:Prunus_persica_NCBIv2:G4:4658374:4661958:-1 gene:PRUPE_4G092800 transcript:ONI11216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQPRVHHLTKIISDAPSKLARCLPSKVRELLTKKWESKLLSASVWNKIIVISCAIAISLDPLFLYIPFIDEKKKCLGMDKKLWNVALILRSLTDITFLVDIGYKIYEGINKAYKEINQGKEQWELDWQTTLIRRHEIIPFAKKIAWELKWCCLVTDLLSVFPMPQLLVKGFFKMWSGYSKPRKAVNFFLLSQYLPRIYRIILSSTELTRTIGIWLKALFNLFLYIIASHVIGAFWYFFSIQRETSCWHQACQNHTEIEGCMGTFSCDHHNTSTPNITFFDEHCKIDVPDNAAAFNYGIFLDSLKSGNAEHINFPTKLCYSFWWGLRNLSNFGTNLATSNYVWENLFAILISVTGLLLFIYLIGNIQTFIQMRTTQSEEIRKKIELKKGDIDEWMKKYHIDDGKKDEIMKNINKKLEEDKDAELENLFNVLPGYMKKYLKHLLCFKTLSQVGLLKLMNDNVLKTMCDYMTPVTYAAGHMIFSVNDPIDRMLLIIEGKAFNYRTTPNSSFAHGEETTENSGAAPVPSPEEDKGLGKVVYGENLLIWASANKPRFEDLPLGTENVKCHTKVEGFALSAQDLLKVVSKREQSWKLYFGH >ONI11217 pep chromosome:Prunus_persica_NCBIv2:G4:4658153:4662306:-1 gene:PRUPE_4G092800 transcript:ONI11217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQPRVHHLTKIISDAPSKLARCLPSKVRELLTKKWESKLLSASVWNKIIVISCAIAISLDPLFLYIPFIDEKKKCLGMDKKLWNVALILRSLTDITFLVDIGYKIYEGINKAYKEINQGKEQWELDWQTTLIRRHEIIPFAKKIAWELKWCCLVTDLLSVFPMPQLLVKGFFKMWSGYSKPRKAVNFFLLSQYLPRIYRIILSSTELTRTIGIWLKALFNLFLYIIASHVIGAFWYFFSIQRETSCWHQACQNHTEIEGCMGTFSCDHHNTSTPNITFFDEHCKIDVPDNAAAFNYGIFLDSLKSGNAEHINFPTKLCYSFWWGLRNLSNFGTNLATSNYVWENLFAILISVTGLLLFIYLIGNIQTFIQMRTTQSEEIRKKIELKKGDIDEWMKKYHIDDGKKDEIMKNINKKLEEDKDAELENLFNVLPGYMKKYLKHLLCFKTLSQVGLLKLMNDNVLKTMCDYMTPVTYAAGHMIFSVNDPIDRMLLIIEGKAFNYRTTPNSSFAHGEETTENSGAAPVPSPEEDKGLGKVVYGENLLIWASANKPRFEDLPLGTENVKCHTKVEGFALSAQDLLKVVSKREQSWKLYFGH >ONI10324 pep chromosome:Prunus_persica_NCBIv2:G4:1905705:1914580:1 gene:PRUPE_4G040600 transcript:ONI10324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAEDSSNWNQLPAAYGFAGVDLDDEGMSMDVDTLLGLISEESPSRPQNYSLENQLQAEAVSDAQLQSGFQALKEEPPIETDLMGPGSWHTPYSLEASDSGAGVPDGSFESAGNRAMSFDYKEMEPSAHTGSPGHAYSTSLKDWNSLFPGNEACFAVSQPTCSTASSFAEGHANHILDHGDPNVLQGKADVAGKVDSEYASQCLGIENMDLSYRTYGNLGENTRETQGPSENNSCTSMETTLCQNSDVFSDHYPALCGMSLDNTFLADTLMHHSPTSYYFPSNEEMMANVKDESGEFPTDSSCSSSKMNLNGQEGITGKSAFQPSMIDVLDVKEWNFGYDNCLPAISGNSSFDADSFPADNKSSIEPLRSTQTYISSKMEPIGVKDEMIDELVAPSSVMCHPYRAMDEAVSRQSSFNADDHFFNKDSKLSGFGISTQNLGNPVDHKEDMIVSYKGACHFQDNMNGSSTSPIDGPSMNSNALERYLPVAQPFTSSKNQAYVKDEHEGKVTHSKSMHLSKVSPESIHSNFSDKSPAEDDFDVRIIENISHPAPSNRSPVVINTSYHAPLNHFPALGNTLVNSQQLAPSDHYTEVGGMRCKARDEQLILRVALQRIALSWMVQKETASLHCSGGILADDQGLGKTISTIALILKERPPSYGACQDVKKSELETLDLDNDDDMPFEIVGRKQHADAREVIPNGIQKKSMKPLVQAKGRPAAGTLVVCPTSVLRQWAEELHNKVTGKANISVLIYHGSNRTKDPCELAKFDVVLTTYSIVSMEVPKQPLVDEDDEEKVKPEENDIPHVGFSSSKKRKYPQGSANKCSKGKKGVESAMLESVARPLAKVGWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYDPYAVYKSFCSTIKVPISKNPAKGYRKLQAVLKTIMLRRTKGTLLDGEPIITLPPKFIELKRVEFSKEERDFYSRLEADSRAQFEEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRRYDSHSLWRSSVENAKKLPRDKQLSLMNCLEASLAICGLCNDPPEDAVVSECGHVFCSQCISEHLTGDDNQCPNTNCKVRLNVSSVFSKATLNSSLSDQPNPDSIGSEVFDAVESFYEDHSYNSSKIKAALEVLCAMCKPQACISGNSCLDDRVERNAGCPENSSDIRVVEPLEDVPNRQNLDVETCSKNSNKVVREKAIVFSQWTRMLDLLEACLKTSSIEYRRLDGTMSVVARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKREMVASAFGEDETGGRQTRLTVEDLKYLFMM >ONI10323 pep chromosome:Prunus_persica_NCBIv2:G4:1905705:1914580:1 gene:PRUPE_4G040600 transcript:ONI10323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAEDSSNWNQLPAAYGFAGVDLDDEGMSMDVDTLLGLISEESPSRPQNYSLENQLQAEAVSDAQLQSGFQALKEEPPIETDLMGPGSWHTPYSLEASDSGAGVPDGSFESAGNRAMSFDYKEMEPSAHTGSPGHAYSTSLKDWNSLFPGNEACFAVSQPTCSTASSFAEGHANHILDHGDPNVLQGKADVAGKVDSEYASQCLGIENMDLSYRTYGNLGENTRETQGPSENNSCTSMETTLCQNSDVFSDHYPALCGMSLDNTFLADTLMHHSPTSYYFPSNEEMMANVKDESGEFPTDSSCSSSKMNLNGQEGITGKSAFQPSMIDVLDVKEWNFGYDNCLPAISGNSSFDADSFPADNKSSIEPLRSTQTYISSKMEPIGVKDEMIDELVAPSSVMCHPYRAMDEAVSRQSSFNADDHFFNKDSKLSGFGISTQNLGNPVDHKEDMIVSYKGACHFQDNMNGSSTSPIDGPSMNSNALERYLPVAQPFTSSKNQAYVKDEHEGKVTHSKSMHLSKVSPESIHSNFSDKSPAEDDFDVRIIENISHPAPSNRSPVVINTSYHAPLNHFPALGNTLVNSQQLAPSDHYTEVGGMRCKARDEQLILRVALQDLSQPKSEAIPPDGLLAVPLLRHQRIALSWMVQKETASLHCSGGILADDQGLGKTISTIALILKERPPSYGACQDVKKSELETLDLDNDDDMPFEIVGRKQHADAREVIPNGIQKKSMKPLVQAKGRPAAGTLVVCPTSVLRQWAEELHNKVTGKANISVLIYHGSNRTKDPCELAKFDVVLTTYSIVSMEVPKQPLVDEDDEEKVKPEENDIPHVGFSSSKKRKYPQGSANKCSKGKKGVESAMLESVARPLAKVGWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYDPYAVYKSFCSTIKVPISKNPAKGYRKLQAVLKTIMLRRTKGTLLDGEPIITLPPKFIELKRVEFSKEERDFYSRLEADSRAQFEEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRRYDSHSLWRSSVENAKKLPRDKQLSLMNCLEASLAICGLCNDPPEDAVVSECGHVFCSQCISEHLTGDDNQCPNTNCKVRLNVSSVFSKATLNSSLSDQPNPDSIGSEVFDAVESFYEDHSYNSSKIKAALEVLCAMCKPQACISGNSCLDDRVERNAGCPENSSDIRVVEPLEDVPNRQNLDVETCSKNSNKVVREKAIVFSQWTRMLDLLEACLKTSSIEYRRLDGTMSVVARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVRDTVEDRILALQQKKREMVASAFGEDETGGRQTRLTVEDLKYLFMM >ONI13297 pep chromosome:Prunus_persica_NCBIv2:G4:13365179:13366212:1 gene:PRUPE_4G214100 transcript:ONI13297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLILCGMCEIMVGLLREENSSISIFVVNFVPPGLAKACFLSFFLWVTWRQARKQKTQQSSLAEPCRPCCLIQLKLPFVFEQFLVEVIPVCLVFSLMVGAAFVTNQ >ONI12258 pep chromosome:Prunus_persica_NCBIv2:G4:8839869:8842528:-1 gene:PRUPE_4G154300 transcript:ONI12258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGCSLRLPTTAACISSKTQFVFGLKSKNFVLNSRPLAKPRLCFSVRAMGSSASSPDRSSQETGVVDYKSVSDQEWKKRLTQEQFYITRKKGTERAFTGEYWNTKTQGTYHCICCDTPLFESSTKFDSGTGWPSYYQPIGNNVKSKLDLSIIIMPRTEVLCAACDAHLGHVFDDGPPPTGKRYCINSASLKLKPE >ONI12257 pep chromosome:Prunus_persica_NCBIv2:G4:8840291:8842233:-1 gene:PRUPE_4G154300 transcript:ONI12257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGCSLRLPTTAACISSKTQFVFGLKSKNFVLNSRPLAKPRLCFSVRAMGSSASSPDRSSQGVVDYKSVSDQEWKKRLTQEQFYITRKKGTERAFTGEYWNTKTQGTYHCICCDTPLFESSTKFDSGTGWPSYYQPIGNNVKSKLDLSIIIMPRTEVLCAACDAHLGHVFDDGPPPTGKRYCINSASLKLKPE >ONI10830 pep chromosome:Prunus_persica_NCBIv2:G4:3456599:3463741:1 gene:PRUPE_4G070500 transcript:ONI10830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYENKSMSLDSPQRKLGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKETIERYKKACAESTNTGSVSEASTQYYQQEAAKLRAQIGNLQNSSRHMMGESLSSMNMKDLKNLESKLEKGINRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERSQQNINVMAGGGSYEIMQSQPYDSRNYFQVNALQPNHQYNSRQDPMALQLV >ONI10829 pep chromosome:Prunus_persica_NCBIv2:G4:3456599:3463741:1 gene:PRUPE_4G070500 transcript:ONI10829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYENKSMSLDSPQRKLGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKETIERYKKACAESTNTGSVSEASTQYYQQEAAKLRAQIGNLQNSSRHMMGESLSSMNMKDLKNLESKLEKGINRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERSQQNINVMAGGGSYEIMQSQPYDSRNYFQVNALQPNHQYNSRQDPMALQLV >ONI10831 pep chromosome:Prunus_persica_NCBIv2:G4:3456499:3464114:1 gene:PRUPE_4G070500 transcript:ONI10831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYENKSMSLDSPQRKLGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKETIERYKKACAESTNTGSVSEASTQYYQQEAAKLRAQIGNLQNSSRHMMGESLSSMNMKDLKNLESKLEKGINRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERSQQNINVMAGGGSYEIMQSQPYDSRNYFQVNALQPNHQYNSRQDPMALQLV >ONI10832 pep chromosome:Prunus_persica_NCBIv2:G4:3457169:3463290:1 gene:PRUPE_4G070500 transcript:ONI10832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYENKSMSLDSPQRKLGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSNRGRLYEYANNSVKETIERYKKACAESTNTGSVSEASTQYYQQEAAKLRAQIGNLQNSSRHMMGESLSSMNMKDLKNLESKLEKGINRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERSQQNINVMAGGGSYEIMQSQPYDSRNYFQVNALQPNHQYNSRQDPMALQLV >ONI12408 pep chromosome:Prunus_persica_NCBIv2:G4:9387317:9389536:-1 gene:PRUPE_4G162900 transcript:ONI12408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGFLLFLLFSYTISTNIHAYKQTEHASLLSFASTLSSPPLNWTSIDYCRWKGITCNQDGWVTHLLLPFKGIKGGISASLGNLTHLTHLNLSHNSLYGSLKTQFFLSLNRLEFLDLSYNLLSGELPFSLSSSNIRTVDLSSNHFQGAISSSFFQRASNLTSFNVSNNTFTGYAPSFICLHSSPFIRLLDFSSNEFSGNLAFGLGGCSELKVFRAGHNNLSGLLPEDIYNATKLEEIAIPLNSLGGSISDKIINLTNLAILDFYINQLSGGLPFNLGKLSKLKFVNLDFNYLEGALPPSLMNCANLVELHLGFNNLEGDISMLDFSILSQLTKLDLRGNNFTGTLPVSLYSCRSLKAIGLTGNHLEGQIQTEILSLKSLSFLSLGYNQFTNLTGAMKILMSSKSLHILFLTGSFVGERMPSGDDMVDFDGFQNLWLLSLAYCNLTGQIPMWLSKLKNLEILSLNVNQITGRIPSWLGTLPRLFYINLSHNRISGEFPKQLCRLPSLISKPIASEVDQYEFELPLITISDRKTYPPHRLSYFPALIDLSDNNIDGDIPTEIGQLQLLRKLYLNSNNFVGVIPDQISNLKELEVLNLSMNHLSGKITLSLLRLNFLRSLDVSYNNLQGPIPTSTQIQSFNASSFEGNPKLCGDPLPNKCGPNKGIDGDNKNKDVDNGLHQLPWLYIFAAFGFIVGFWGVCGSLVINKTWRYAYFRFVDNVQDRLYAMVTMRINTIKRSLRG >ONI13419 pep chromosome:Prunus_persica_NCBIv2:G4:13852982:13856922:-1 gene:PRUPE_4G220600 transcript:ONI13419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVITAADASSNGSTSSQTSIIPSNLPLLSAFLSFALAQFLKLFTTWYKEKRWDSRRMLGSGGMPSSHSATVTALAVAIGFQEGTGGSAFAIAVVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVSSVRPLRDSLGHTPLQVLAGAMLGCIVASLMRSSS >ONI14070 pep chromosome:Prunus_persica_NCBIv2:G4:18760668:18764582:1 gene:PRUPE_4G260400 transcript:ONI14070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQMKGEGEEEDIVCLDESFFIDDNYQLTTFTFGSQVIELLCLQSASTDFDLTGQLVWPGAMLLNDYLSKNAELLQGCTVLELGSGVGITGILCSRFCSKVVLTDHNEEVLKILKKNIELHASSENSKCCSGLVAEKLEWGNSEQIGHILQTYSDGFDLILGADIYIHNSCFCL >ONI14069 pep chromosome:Prunus_persica_NCBIv2:G4:18760668:18767548:1 gene:PRUPE_4G260400 transcript:ONI14069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQMKGEGEEEDIVCLDESFFIDDNYQLTTFTFGSQVIELLCLQSASTDFDLTGQLVWPGAMLLNDYLSKNAELLQGCTVLELGSGVGITGILCSRFCSKVVLTDHNEEVLKILKKNIELHASSENSKCCSGLVAEKLEWGNSEQIGHILQTYSDGFDLILGADICFQQSSICLLFDTVEKLLRNRREGQCKFILAYVSRTKIMDSLVISEAVRCGMQINELVGTRSVVGNLEGVIFEVTLKKQDE >ONI12872 pep chromosome:Prunus_persica_NCBIv2:G4:11223366:11227007:1 gene:PRUPE_4G188600 transcript:ONI12872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPGAAGSVTKRSLRKKAGLRNYDENLMDDFIEKHLGGTLKKRNRTKEDLEKETEIEAMIALSLGFPIDELLEEEKKAGVVSELGGKQQNDYIVVRNHILARWRGNVQVWLSKGQIKETVSGDYEHLISSAYDFLLYNGYINFGVAPSFVASMPEEATEASVIIVGAGLAGLAAARQLLSLGFKVAVLEGRNRPGGRVYTQKMGQDDKFSAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDKCPLYKPDGTPVDKDIDSKIEVIFNKLLDKVMELRQTMGGFGNDVSLGSVLETLRQLYGVARSTEERQLLDWHLANLEYANAGCLSNLSANYWDQDDPYEMGGDHCFLAGGNWRLIKALCEGVPIFYGKTVNTIIYGDEGVEVIAGDQVFRGDMVLCTVPLGVLKKGAIRFEPQLPPKKIAAIERLGFGLLNKVAMVFPHVFWGEDLDTFGCLNEHGHKRGEFFLFYGYHTVSGGPVLIALVAGEAAQTFESTEPSILLHRVLSVLRGIYTPKGIDVPRPIQTICTRWGGDPLSYGSYSHVRVQSSGNDYDLLAENVGNRLFFAGEATNRQHPATMHGAFLSGLREASCMYRATRRNQNNLRKVMQKNVGPSNDMLEDLFKRPDLAFGNFSFVFDPSTEDPKSVGLMRVSVGSSEDSYKQELPNNFQHSLTIPLQLYAVISREQACGLELVAGGDENRLSYLVKDFGLKLMGPSALGTVGNSLTVSIANARRGRGRNRTSAGRQ >ONI13404 pep chromosome:Prunus_persica_NCBIv2:G4:13792272:13793035:1 gene:PRUPE_4G219400 transcript:ONI13404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFELWQKHGKHVDIKHWRDERKSIASVYVDGEFVASGSSEHKECAKLDAAKVAVDKLSPSMGVNDESFEGVVLMDGSFHIEEAKQKLHEICDQKKWPRPICHIEKDEGPSHEKRFVSSVKIATIDGVLYMKGDENSRVRDADTSALDDPGFKKLYLLHLKA >ONI13403 pep chromosome:Prunus_persica_NCBIv2:G4:13792137:13793035:1 gene:PRUPE_4G219400 transcript:ONI13403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVYGGSVKAPKILADTVVSVAAAIYVDLNFYLEKLIFRGLLEPIVTLEDLQGQLQPVTMLFELWQKHGKHVDIKHWRDERKSIASVYVDGEFVASGSSEHKECAKLDAAKVAVDKLSPSMGVNDESFEGVVLMDGSFHIEEAKQKLHEICDQKKWPRPICHIEKDEGPSHEKRFVSSVKIATIDGVLYMKGDENSRVRDADTSALDDPGFKKLYLLHLKA >ONI11627 pep chromosome:Prunus_persica_NCBIv2:G4:6333222:6336113:-1 gene:PRUPE_4G117000 transcript:ONI11627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHFCFCLVDGVPSTGSVRSCIEEERSALLSFKQDLKDPSGRLSSWAGRDCCQWQGISCNNRTGHVAKVNLRNPFPYVFEYDDDLRYLNYLDLSNNDFHGIHIPKFFGELKSLRYLNLSYASFSREIPHSLGNLSKLNYLDLCFSYSISLYSTNLNWLSHLSSLKYLNLNGVNLSSTGVTNWLHHLNMLPSLLELHLSNCFIESLPLSLQKINFTSLSVLDLSYNSFNTSSSPSWLFNLTNLRKFDLSGNSFGGPFPDELASLKSLEYLDLTDFGLKGRIPRVIGNMCKLNLSWNDFDGEKIEEFWRSLSNCPNNTIALESLDLSDCGLEGQLPDSLGMLTSLQHLHLSGLLLWGSIPESIGNLSSLKTLDLSNNYMNGSIPESLGKLSQLAELDLSYNSWEGILTKAHFINLTRLKAFSISSEIKAKPTSLVLNVAYDWVPPFKLHTLDIEHCRVGHGFGALIQSQTELVVVRLHNTFISDSIPEEWLSKISSQVKHLDLSYNNFSGRLPLHLKFPKLQSFNLGHNQLEGPLPLWTTKVNFLDLHNNLFSGPIPSNLDQFMSQLNYLDVSENNLNGTIPLSICNMKDMEVILLRHNQLFGEFPQQWSLWSRIRIIDVSHNNLSGNISSSMGIPSSLEQFKVNNNNFGGEIPFSLQNCSDLVILNLEHNKFTGNLPLWLGSNVSTLQLLQLRSNLLSGHIPHHICNLPYLHVLDLAHNFFSGTIPNCLKNMTCLVEVNVACHNFTSYDTYYGRTTITSKGKELEYEDGQLAMWGNMIDLSSNNFEGEIPEQVGRLVELSTLNLSMNRLIGEIPSSIGKLRWLETLDLSHNQLSGHIPQNFSSLTSLSHLNLSYNNLIGKIPSGNQLQTFDDPSIYEHNPSLCGAPLSIVCPTDDTKTRQTFLTEDHSKDDEERFWFYVGMALGFIIGFWAVCGTLVLKESW >ONI12790 pep chromosome:Prunus_persica_NCBIv2:G4:10890435:10898178:1 gene:PRUPE_4G183900 transcript:ONI12790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPLGAVIGRYPSSDGSEQMGSIIRHNRKCRDLVFLVIFIAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNVCGDKHAKGLRQLELKYWLNPNQVYLSGLKDNQFKLANARSICLLDCPTPSEDSLSWVCDYPEGDIRLSMDDWIDRNYDYYEFLTPEMRNTSLQLQGPCYPVIFPSVNVYWSCQFIARPSNVSLRHWQQMGGVNINEDIIIDKSIHRSINSRSSVLKRYMADIGKAWPVLIVCGGILPLFLSVIWLLLIRHFVAAMPWITVGLFNILIIAVTMFYYLKAGWIGNDAISPIIGEHDPYIRISGRELTHLRAAAVFMTFITVVAVLTSIAIVRRILMATSVLKVAAKVIGEAQALIIFPVIPYTILAIFYMFWFSAAFHLFSSGQVVQNDCNLNCCVYDLLSKRVNCDRCCGYSIHYTPHIGVAILFHLFGGYWATQFFIACSLTVIAGSVASYYWARGETSPEIPFLPVFSSMKRLVRYNLGSVALGSLIVSFVESIRFMLESIRRRLKVAGTTPDNWFGKAVYHTSRFSLRCIEWTIKSANRNAYIMIAITGKSFCKASAIATDLIFSNILRIGRVNVIGDVILFLGKLCVSLSTALFAFLMLDTHRYRSAHNKISSPLFPVLVCWGLGYVVATLFFAVVEMSIDTIILSFCQDSEEHQGTAQYAPPLLIETLNDQNEMQRLTQGPQ >ONI12791 pep chromosome:Prunus_persica_NCBIv2:G4:10890630:10896903:1 gene:PRUPE_4G183900 transcript:ONI12791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPLGAVIGRYPSSDGSEQMGSIIRHNRKCRDLVFLVIFIAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNVCGDKHAKGLRQLELKYWLNPNQVYLSGLKDNQFKLANARSICLLDCPTPSEDSLSWVCDYPEGDIRLSMDDWIDRNYDYYEFLTPEMRNTSLQLQGPCYPVIFPSVNVYWSCQFIARPSNVSLRHWQQMGGVNINEDIIIDKSIHRSINSRSSVLKRYMADIGKAWPVLIVCGGILPLFLSVIWLLLIRHFVAAMPWITVGLFNILIIAVTMFYYLKAGWIGNDAISPIIGEHDPYIRISGRELTHLRAAAVFMTFITVVAVLTSIAIVRRILMATSVLKVAAKVIGEAQALIIFPVIPYTILAIFYMFWFSAAFHLFSSGQVVQNDCNLNCCVYDLLSKRVNCDRCCGYSIHYTPHIGVAILFHLFGGYWATQFFIACSLTVIAGSVASYYWARGETSPEIPFLPVFSSMKRLVRYNLGSVALGSLIVSFVESIRFMLESIRRRLKVAGTTPDNWFGKAVYHTSRFSLRCIEWTIKSANRNAYIMSGP >ONI09896 pep chromosome:Prunus_persica_NCBIv2:G4:797310:799497:-1 gene:PRUPE_4G016700 transcript:ONI09896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAIDGVAAAALRSVMQRVQLAAQKSGRVAHEIRVVAVSKTKPVSVLRQVYDAGHRCFGENYVQELVEKAPQLPEDIEWHFIGNLQSNKVKPLLTGVPNLAMVESVDDEKIANRLDTVVASIGRKPLKVLLQVNTSGEESKSGVEPSGCVELAKHVSLGCPNLEFCGLMTIGMLDYTSTPENFTTLANCRTEVCKALGIPEEQCELSMGMSADFELAIELGSTNVRIGSTIFGAREYPKKLSN >ONI14118 pep chromosome:Prunus_persica_NCBIv2:G4:19284619:19286647:1 gene:PRUPE_4G263400 transcript:ONI14118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHQSKRISISSVLLFLVLCSQYSTPVTAQEVEDEREFDYLQGSGKGPKQWGEMKKEWAACKNGGMQSPIDLSSQRVKLIPNLGKLNTAYKPSNATVKNRGHDISIEWVGDAGSLKINGTEYLLKQCHWHSPSEHSINGRRYDMELHMVHLSPNPNVTNKIAVVAALYKIGRPDSFLTKLTRDIQSMTDQKEERSVGIIDPNKIKIPGKSYYRYMGSLTVPPCTEGVIWTINKKIRTVSRDQVKLFRVAVHDYAETNARPVQPLNLREIHVYDQGARSTNN >ONI10028 pep chromosome:Prunus_persica_NCBIv2:G4:1132396:1139404:-1 gene:PRUPE_4G024000 transcript:ONI10028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSEIFHLTLTYGTEGPPENFNRTSSSSSSSIVSQDQELGFRIDLDWSAGDDEEQVALRLQSQLMVALPMPQDTVVVELRTEESVEAEEANVGVDMRVVRRREPLRAVTMTKAAGSGQQSDGTGVLTRLLRSNFTSSMPAVSDGVAACGVHWQCVTVVNLGGCGLSVLPVELTRLPLLEKLYLDNNKLSLLPSELGELKTLKVLRVDYNMLVSVPVELRQCVGLVELSLEHNKLIRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHKLHHLSLANIRIVADDNLRSVNVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDEGNRVVVGKDENAVRQLISMISSDNHHVVEQACSALSSLAADVSVAMQLMKSDIMQPIETVLKSVPQGEVISVLQVVVKLAFASDAVAQKMLTKDVLKSLKVLCAHKTPEVQRLALLAVGNLAFCLENRRLLVTSESLCELLMRLMAAPDPRVHKAAARALAILGENGNLRRAIRGRQVPKQGLRILSMDGGGMKGLATVQILKAIEKGTGKQIHELFDLICGTSTGGMLAVALGIKLMSLDQCEEIYKNLGKLVFAEPAPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADHFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLYPAGTLEVPLAVSESSGITVQGSPTVGAELGYRHSAFIGSCKHQVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFSIREAQLLWPDTRIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESACSVERVEEALSTLLPMLPGMQYFRFNPVDERCDMELDETDPAIWLKLEAAVEEYIQKNSHAFKDACERLLMPFQHDEKWSENLRSQHFPKSKASNEDEKGPSLGWRRNVLLVEASHSPNSGRSSNHAHALESFCARNGIRLSLMQGISGFVKTVPATTFPTPFASPLFPASIPSSPLFYSPDFGPQRAGRIDMVPPLSLDGQSGKGAASPPESPAGPRQLSLPVQSLHEKLQNSPQVGIVHLALQNDSLGSILSWQNDVFVVAEPGELADKFLQSVKSSLISVMRNRCRKAASSLSNISTVSDLVACRPYFQIGGIVHRYMGRQTQVMEDGQEIGAYLFRRTVPSIHLSPDDVRWMVGAWRDRIIICTGTYGPTPTLVKSFLDCGAKAVICSSGQPPESQLTTLHGSAEFSAFENGKFEIGEEEAEDDIEDEEAEPSSPVSDWEDSENGDPSTGFWDDDEEEVSQFVCQLYDSLFREGASVDVSLRHALASHRKLRYSCHLPGILDC >ONI10026 pep chromosome:Prunus_persica_NCBIv2:G4:1132396:1139404:-1 gene:PRUPE_4G024000 transcript:ONI10026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSEIFHLTLTYGTEGPPENFNRTSSSSSSSIVSQDQELGFRIDLDWSAGDDEEQVALRLQSQLMVALPMPQDTVVVELRTEESVEAEEANVGVDMRVVRRREPLRAVTMTKAAGSGQQSDGTGVLTRLLRSNFTSSMPAVSDGVAACGVHWQCVTVVNLGGCGLSVLPVELTRLPLLEKLYLDNNKLSLLPSELGELKTLKVLRVDYNMLVSVPELRQCVGLVELSLEHNKLIRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHKLHHLSLANIRIVADDNLRSVNVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDEGNRVVVGKDENAVRQLISMISSDNHHVVEQACSALSSLAADVSVAMQLMKSDIMQPIETVLKSVPQGEVISVLQVVVKLAFASDAVAQKMLTKDVLKSLKVLCAHKTPEVQRLALLAVGNLAFCLENRRLLVTSESLCELLMRLMAAPDPRVHKAAARALAILGENGNLRRAIRGRQVPKQGLRILSMDGGGMKGLATVQILKAIEKGTGKQIHELFDLICGTSTGGMLAVALGIKLMSLDQCEEIYKNLGKLVFAEPAPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADHFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFLFRNYQYPAGTLEVPLAVSESSGITVQGSPTVGAELGYRHSAFIGSCKHQVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFSIREAQLLWPDTRIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESACSVERVEEALSTLLPMLPGMQYFRFNPVDERCDMELDETDPAIWLKLEAAVEEYIQKNSHAFKDACERLLMPFQHDEKWSENLRSQHFPKSKASNEDEKGPSLGWRRNVLLVEASHSPNSGRSSNHAHALESFCARNGIRLSLMQGISGFVKTVPATTFPTPFASPLFPASIPSSPLFYSPDFGPQRAGRIDMVPPLSLDGQSGKGAASPPESPAGPRQLSLPVQSLHEKLQNSPQVGIVHLALQNDSLGSILSWQNDVFVVAEPGELADKFLQSVKSSLISVMRNRCRKAASSLSNISTVSDLVACRPYFQIGGIVHRYMGRQTQVMEDGQEIGAYLFRRTVPSIHLSPDDVRWMVGAWRDRIIICTGTYGPTPTLVKSFLDCGAKAVICSSGQPPESQLTTLHGSAEFSAFENGKFEIGEEEAEDDIEDEEAEPSSPVSDWEDSENGDPSTGFWDDDEEEVSQFVCQLYDSLFREGASVDVSLRHALASHRKLRYSCHLPGILDC >ONI10027 pep chromosome:Prunus_persica_NCBIv2:G4:1132630:1139112:-1 gene:PRUPE_4G024000 transcript:ONI10027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSEIFHLTLTYGTEGPPENFNRTSSSSSSSIVSQDQELGFRIDLDWSAGDDEEQVALRLQSQLMVALPMPQDTVVVELRTEESVEAEEANVGVDMRVVRRREPLRAVTMTKAAGSGQQSDGTGVLTRLLRSNFTSSMPAVSDGVAACGVHWQCVTVVNLGGCGLSVLPVELTRLPLLEKLYLDNNKLSLLPSELGELKTLKVLRVDYNMLVSVPELRQCVGLVELSLEHNKLIRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHKLHHLSLANIRIVADDNLRSVNVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDEGNRVVVGKDENAVRQLISMISSDNHHVVEQACSALSSLAADVSVAMQLMKSDIMQPIETVLKSVPQGEVISVLQVVVKLAFASDAVAQKMLTKDVLKSLKVLCAHKTPEVQRLALLAVGNLAFCLENRRLLVTSESLCELLMRLMAAPDPRVHKAAARALAILGENGNLRRAIRGRQVPKQGLRILSMDGGGMKGLATVQILKAIEKGTGKQIHELFDLICGTSTGGMLAVALGIKLMSLDQCEEIYKNLGKLVFAEPAPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADHFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFLFRNYQYPAGTLEVPLAVSESSGITVQGSPTVGAELGYRHSAFIGSCKHQVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFSIREAQLLWPDTRIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESACSVERVEEALSTLLPMLPGMQYFRFNPVDERCDMELDETDPAIWLKLEAAVEEYIQKNSHAFKDACERLLMPFQHDEKWSENLRSQHFPKSKASNEVDEKGPSLGWRRNVLLVEASHSPNSGRSSNHAHALESFCARNGIRLSLMQGISGFVKTVPATTFPTPFASPLFPASIPSSPLFYSPDFGPQRAGRIDMVPPLSLDGQSGKGAASPPESPAGPRQLSLPVQSLHEKLQNSPQVGIVHLALQNDSLGSILSWQNDVFVVAEPGELADKFLQSVKSSLISVMRNRCRKAASSLSNISTVSDLVACRPYFQIGGIVHRYMGRQTQVMEDGQEIGAYLFRRTVPSIHLSPDDVRWMVGAWRDRIIICTGTYGPTPTLVKSFLDCGAKAVICSSGQPPESQLTTLHGSAEFSAFENGKFEIGEEEAEDDIEDEEAEPSSPVSDWEDSENGDPSTGFWDDDEEEVSQFVCQLYDSLFREGASVDVSLRHALASHRKLRYSCHLPGILDC >ONI10030 pep chromosome:Prunus_persica_NCBIv2:G4:1132630:1139112:-1 gene:PRUPE_4G024000 transcript:ONI10030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSEIFHLTLTYGTEGPPENFNRTSSSSSSSIVSQDQELGFRIDLDWSAGDDEEQVALRLQSQLMVALPMPQDTVVVELRTEESVEAEEANVGVDMRVVRRREPLRAVTMTKAAGSGQQSDGTGVLTRLLRSNFTSSMPAVSDGVAACGVHWQCVTVVNLGGCGLSVLPVELTRLPLLEKLYLDNNKLSLLPSELGELKTLKVLRVDYNMLVSVPVELRQCVGLVELSLEHNKLIRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHKLHHLSLANIRIVADDNLRSVNVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDEGNRVVVGKDENAVRQLISMISSDNHHVVEQACSALSSLAADVSVAMQLMKSDIMQPIETVLKSVPQGEVISVLQVVVKLAFASDAVAQKMLTKDVLKSLKVLCAHKTPEVQRLALLAVGNLAFCLENRRLLVTSESLCELLMRLMAAPDPRVHKAAARALAILGENGNLRRAIRGRQVPKQGLRILSMDGGGMKGLATVQILKAIEKGTGKQIHELFDLICGTSTGGMLAVALGIKLMSLDQCEEIYKNLGKLVFAEPAPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADHFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFLFRNYQYPAGTLEVPLAVSESSGITVQGSPTVGAELGYRHSAFIGSCKHQVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFSIREAQLLWPDTRIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESACSVERVEEALSTLLPMLPGMQYFRFNPVDERCDMELDETDPAIWLKLEAAVEEYIQKNSHAFKDACERLLMPFQHDEKWSENLRSQHFPKSKASNEDEKGPSLGWRRNVLLVEASHSPNSGRSSNHAHALESFCARNGIRLSLMQGISGFVKTVPATTFPTPFASPLFPASIPSSPLFYSPDFGPQRAGRIDMVPPLSLDGQSGKGAASPPESPAGPRQLSLPVQSLHEKLQNSPQVGIVHLALQNDSLGSILSWQNDVFVVAEPGELADKFLQSVKSSLISVMRNRCRKAASSLSNISTVSDLVACRPYFQIGGIVHRYMGRQTQVMEDGQEIGAYLFRRTVPSIHLSPDDVRWMVGAWRDRIIICTGTYGPTPTLVKSFLDCGAKAVICSSGQPPESQLTTLHGSAEFSAFENGKFEIGEEEAEDDIEDEEAEPSSPVSDWEDSENGDPSTGFWDDDEEEVSQFVCQLYDSLFREGASVDVSLRHALASHRKLRYSCHLPGILDC >ONI10032 pep chromosome:Prunus_persica_NCBIv2:G4:1132396:1139404:-1 gene:PRUPE_4G024000 transcript:ONI10032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSEIFHLTLTYGTEGPPENFNRTSSSSSSSIVSQDQELGFRIDLDWSAGDDEEQVALRLQSQLMVALPMPQDTVVVELRTEESVEAEEANVGVDMRVVRRREPLRAVTMTKAAGSGQQSDGTGVLTRLLRSNFTSSMPAVSDGVAACGVHWQCVTVVNLGGCGLSVLPVELTRLPLLEKLYLDNNKLSLLPSELGELKTLKVLRVDYNMLVSVPVELRQCVGLVELSLEHNKLIRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHKLHHLSLANIRIVADDNLRSVNVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDEGNRVVVGKDENAVRQLISMISSDNHHVVEQACSALSSLAADVSVAMQLMKSDIMQPIETVLKSVPQGEVISVLQVVVKLAFASDAVAQKMLTKDVLKSLKVLCAHKTPEVQRLALLAVGNLAFCLENRRLLVTSESLCELLMRLMAAPDPRVHKAAARALAILGENGNLRRAIRGRQVPKQGLRILSMDGGGMKGLATVQILKAIEKGTGKQIHELFDLICGTSTGGMLAVALGIKLMSLDQCEEIYKNLGKLVFAEPAPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADHFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFLFRNYQYPAGTLEVPLAVSESSGITVQGSPTVGAELGYRHSAFIGSCKHQVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFSIREAQLLWPDTRIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESACSVERVEEALSTLLPMLPGMQYFRFNPVDERCDMELDETDPAIWLKLEAAVEEYIQKNSHAFKDACERLLMPFQHDEKWSENLRSQHFPKSKASNEDEKGPSLGWRRNVLLVEASHSPNSGRSSNHAHALESFCARNGIRLSLMQGISGFVKTVPATTFPTPFASPLFPASIPSSPLFYSPDFGPQRAGRIDMVPPLSLDGQSGKGAASPPESPAGPRQLSLPVQSLHEKLQNSPQVGIVHLALQNDSLGSILRMMYLWLLNLESLQISFCRVLNQV >ONI10029 pep chromosome:Prunus_persica_NCBIv2:G4:1132630:1139112:-1 gene:PRUPE_4G024000 transcript:ONI10029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSEIFHLTLTYGTEGPPENFNRTSSSSSSSIVSQDQELGFRIDLDWSAGDDEEQVALRLQSQLMVALPMPQDTVVVELRTEESVEAEEANVGVDMRVVRRREPLRAVTMTKAAGSGQQSDGTGVLTRLLRSNFTSSMPAVSDGVAACGVHWQCVTVVNLGGCGLSVLPVELTRLPLLEKLYLDNNKLSLLPSELGELKTLKVLRVDYNMLVSVPVELRQCVGLVELSLEHNKLIRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHKLHHLSLANIRIVADDNLRSVNVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDEGNRVVVGKDENAVRQLISMISSDNHHVVEQACSALSSLAADVSVAMQLMKSDIMQPIETVLKSVPQGEVISVLQVVVKLAFASDAVAQKMLTKDVLKSLKVLCAHKTPEVQRLALLAVGNLAFCLENRRLLVTSESLCELLMRLMAAPDPRVHKAAARALAILGENGNLRRAIRGRQVPKQGLRILSMDGGGMKGLATVQILKAIEKGTGKQIHELFDLICGTSTGGMLAVALGIKLMSLDQCEEIYKNLGKLVFAEPAPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADHFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLYPAGTLEVPLAVSESSGITVQGSPTVGAELGYRHSAFIGSCKHQVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFSIREAQLLWPDTRIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESACSVERVEEALSTLLPMLPGMQYFRFNPVDERCDMELDETDPAIWLKLEAAVEEYIQKNSHAFKDACERLLMPFQHDEKWSENLRSQHFPKSKASNEVDEKGPSLGWRRNVLLVEASHSPNSGRSSNHAHALESFCARNGIRLSLMQGISGFVKTVPATTFPTPFASPLFPASIPSSPLFYSPDFGPQRAGRIDMVPPLSLDGQSGKGAASPPESPAGPRQLSLPVQSLHEKLQNSPQVGIVHLALQNDSLGSILSWQNDVFVVAEPGELADKFLQSVKSSLISVMRNRCRKAASSLSNISTVSDLVACRPYFQIGGIVHRYMGRQTQVMEDGQEIGAYLFRRTVPSIHLSPDDVRWMVGAWRDRIIICTGTYGPTPTLVKSFLDCGAKAVICSSGQPPESQLTTLHGSAEFSAFENGKFEIGEEEAEDDIEDEEAEPSSPVSDWEDSENGDPSTGFWDDDEEEVSQFVCQLYDSLFREGASVDVSLRHALASHRKLRYSCHLPGILDC >ONI10031 pep chromosome:Prunus_persica_NCBIv2:G4:1132630:1139112:-1 gene:PRUPE_4G024000 transcript:ONI10031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRPSEIFHLTLTYGTEGPPENFNRTSSSSSSSIVSQDQELGFRIDLDWSAGDDEEQVALRLQSQLMVALPMPQDTVVVELRTEESVEAEEANVGVDMRVVRRREPLRAVTMTKAAGSGQQSDGTGVLTRLLRSNFTSSMPAVSDGVAACGVHWQCVTVVNLGGCGLSVLPVELTRLPLLEKLYLDNNKLSLLPSELGELKTLKVLRVDYNMLVSVPVELRQCVGLVELSLEHNKLIRPLLDFRAMAELRVLRLFGNPLEFLPEILPLHKLHHLSLANIRIVADDNLRSVNVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDEGNRVVVGKDENAVRQLISMISSDNHHVVEQACSALSSLAADVSVAMQLMKSDIMQPIETVLKSVPQGEVISVLQVVVKLAFASDAVAQKMLTKDVLKSLKVLCAHKTPEVQRLALLAVGNLAFCLENRRLLVTSESLCELLMRLMAAPDPRVHKAAARALAILGENGNLRRAIRGRQVPKQGLRILSMDGGGMKGLATVQILKAIEKGTGKQIHELFDLICGTSTGGMLAVALGIKLMSLDQCEEIYKNLGKLVFAEPAPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADHFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFLFRNYQYPAGTLEVPLAVSESSGITVQGSPTVGAELGYRHSAFIGSCKHQVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFSIREAQLLWPDTRIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESACSVERVEEALSTLLPMLPGMQYFRFNPVDERCDMELDETDPAIWLKLEAAVEEYIQKNSHAFKDACERLLMPFQHDEKWSENLRSQHFPKSKASNEVDEKGPSLGWRRNVLLVEASHSPNSGRSSNHAHALESFCARNGIRLSLMQGISGFVKTVPATTFPTPFASPLFPASIPSSPLFYSPDFGPQRAGRIDMVPPLSLDGQSGKGAASPPESPAGPRQLSLPVQSLHEKLQNSPQVGIVHLALQNDSLGSILSWQNDVFVVAEPGELADKFLQSVKSSLISVMRNRCRKAASSLSNISTVSDLVACRPYFQIGGIVHRYMGRQTQVMEDGQEIGAYLFRRTVPSIHLSPDDVRWMVGAWRDRIIICTGTYGPTPTLVKSFLDCGAKAVICSSGQPPESQLTTLHGSAEFSAFENGKFEIGEEEAEDDIEDEEAEPSSPVSDWEDSENGDPSTGFWDDDEEEVSQFVCQLYDSLFREGASVDVSLRHALASHRKLRYSCHLPGILDC >ONI14347 pep chromosome:Prunus_persica_NCBIv2:G4:23133843:23134863:1 gene:PRUPE_4G276900 transcript:ONI14347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELENKNGVLAIFENIGCEFIQSRLHNFRGTGKPDPTVSSSFFNEMRVFCEDNGNKNVSHASSPMASRGMSEKPARRGMSYSQQLSSSVLSGAGFDTHYYQSLLRGRGLLFADQQLMANERTARLMSNLNVLTGSQGQVRLECTLPVSS >ONI13246 pep chromosome:Prunus_persica_NCBIv2:G4:13189899:13204143:-1 gene:PRUPE_4G211600 transcript:ONI13246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASSASEDQAMVVENYDDEVERVEMPKPTLKVTHEAKLKELLHKINSVEIKLCSDGTKEFIKLLKGDSGGELLHYYVKNSAKCLELLGAWKLRRGKSGMFCILKLISVILSHPDGIYKPNDVERMAISRALDRFARLLIEEQLQDVYKELNSKEAKRQKAALLLLGSIVRRGSGLASEVAKNFDFKLQGFSKMGEYKKKQNERRMKLSLRKAFVGFAVSFLEVGTPGLLRSVIRQKEMYSVVLRGLGNDDDETVLYVLSTLRNRILVEESSVSPSLRSVLFGSVTLEQLVNVCGRENGGASAELAYSVLVMVCTDPCNGLMSDLKRRLRGNSKRLVDLMKKLKATEIGYHRDLLLAIVNGRPPIAAAYMVEFPYNLEDCASPTWFSAVTLAANVVSSVGSGHPFGFHDFQSHDQALLDNVDVQSIMKCLYPRSFSRSTINKGLLHSDFLVKHGTLRVLLEALKLLDSFLGVLNQNGKLYVSESFKQDFQNEVRTLLPDPQVLLTLLSSLSNHSKNQQLKRTAELEKFPEHSRSSVKKLKTDIENKDADIIIGGLNFDPDVALPEISERIVGTSTDDGLDNEKDATNVMAAIWGLDLHSTSLTTLKDAEIYFHCRLLDALKIYLRIMPTVLEGSFEFFMNLLSSPSASQTDLQRSLLSLLVEFVGWSPSGRIPIRTPPLMYKHLLAFMNLLVFSQISDIKDQAYRLAQAAMLSTGAFDRNQHEIASWFLFLPGFDGEKSSVQVLGLDVLQSLCHVVNSFLCDAISTTGNNLFKYWEIVKRYTRPLETNKEAKPHFSPLSICVLQKCLRLIDSGSGSFTMHEKSMVSTYVDAVLLSSLICSVLAERLGDCSVIDDSGVNNCEWRPLNDLLLFSKSISDQKTCGIFSIPNKAMPAGSSLATALEEVKRFEKSRDDSEVAGITKAFSFSILCTMSDGILKYFPSVMTVSQFVLGVPVSLLSSRFFLEQTLLGSVSKLWPEIFFPALDMALSNSCRGRNNFSLDPASHAGEMIYNQDVDADEAASAAFSFFLKKAPFHVLFPAIMSIHGPPSEPSKIQDLLLAKLSECATDCHPISYLRLVLFWLYQIRSSHRIEQLGNFQQLSEICLVLVDSLLSQLLVLNTDSGLSKNSRALLSSQDIQEVAETIFSHPAMITSFSCPLGCSEDLPKSNLAENTDALIGLSRQRIHILDRHGLDILATTCDYFFSLCNDHQFTPEVENGAGKQFVKAFNILLQKLLQVVRDKFDQCIGAKDLMPFLPTYYALHALIRFISPFELLELVRWMFSRVDIDDNQKSAISFGSCIAGGAFRNLSSYLQQPHTKRKSYDLFWKMEETSINTDIFEEIYSKVCKFSLHFKAEVMDVCLLEAINAVCRHKYMQHCNLHPLHIVLSRVIATTPVEMLSHCIYRTSKKKARFLSLLTDMSSMHLSTFGHLFLGILNKDFLHEGNVIEETCVPALPDEHYVMLLPAALSYLNSVSMKFGRLCYKHFRNIPVFYSKILLNGFQHWNTFVSRDVFQEEFGEFLPSSAPELLCLIDDSLLGKAICMLRYHFSLNEESMKLKKRLKLFNSIFPPSSEHNELIDCDVGGLGSQSLNESLNLVNKIFAKISFCKILLFPKGNQSDEAGRDLKDIALDNGSNKEDSSRMQFLNILVGIWQWIVKRVSSVSDSSRKETTTDSSSLWRCLEVLILTSILELTREISDGLTQLQSIPFLEQLMKSALLYRFEDPTTLKTVRDVLTFLSEGKFSRVPYLQLLLAHSQFAPTIHSVYKSSDCSIVGAFSRPLSGILRSLVFPSTNKNVIDGKCNLEATDLYVKQLEVIKLLRILFPIKVQQYGFDFAKDLGINLRELHLLLLSSYGSTLSEIDEEIYNLMRSIESIDVMEPVNFAGMDHLWGNAVLKIEKERTLAQNLSYDGMTDTEAVKERRRSQFRENLVIDPKLCASTVLYFPYDRVTSEELLSLNKFQKDNFDDMHVLHSPDVENVERYNPVFILRFSIHSLAEDYIEPLEFAGLGLLGIAFMSMSSPDDRIRRLGYDSLGRFKNALEKCPKRKGVRQIQLLLTYVQNAIEEPWQRIPSVNAIFAAETSLILLDPSHDHYAALSKLLMRSSRLNVKNILFFSNFFWSSSINFKAERLWILRLVYAGLNFEDDAKIYMKNSVLETLMSFYVSPISDDESKELILQVVKKAVKLHKMARYLVEQCGLFSWLSSVLSILNESHFRDEKSLFLLQLGVVSEVVNDVISSRNMTEWLQKYALEQLMELTSHLYKFLVSYMALIKQKVAVVNPILGIIISTLKISQKRRIYQPHFILSIEGSYNIYEAVKICDNTRSCDTAELGLHAILMSAPPVTIFCLSREKLSSFLMWAISAAVEVESAKILQHKESHQCFAIIPEEELQENSLISKLLRWLTASVILGKLDWKSNDLDPEFPKMLNIKTLQSLMDHVESACRENSKSSYGCEEILASAILYLQQLAGTNYKMLPSVTTALSLLLSDASIFAGFLCNNGSVVKSIWSKIGFPAEANPAWRWSFYQPWKDLTLELTGSQKMEELHACQSLLVIISNVLGKGPSELQVSLTQEVDRLGVFEWERSIAETQSC >ONI13247 pep chromosome:Prunus_persica_NCBIv2:G4:13190220:13204039:-1 gene:PRUPE_4G211600 transcript:ONI13247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASSASEDQAMVVENYDDEVERVEMPKPTLKVTHEAKLKELLHKINSVEIKLCSDGTKEFIKLLKGDSGGELLHYYVKNSAKCLELLGAWKLRRGKSGMFCILKLISVILSHPDGIYKPNDVERMAISRALDRFARLLIEEQLQDVYKELNSKEAKRQKAALLLLGSIVRRGSGLASEVAKNFDFKLQGFSKMGEYKKKQNERRMKLSLRKAFVGFAVSFLEVGTPGLLRSVIRQKEMYSVVLRGLGNDDDETVLYVLSTLRNRILVEESSVSPSLRSVLFGSVTLEQLVNVCGRENGGASAELAYSVLVMVCTDPCNGLMSDLKRRLRGNSKRLVDLMKKLKATEIGYHRDLLLAIVNGRPPIAAAYMVEFPYNLEDCASPTWFSAVTLAANVVSSVGSGHPFGFHDFQSHDQALLDNVDVQSIMKCLYPRSFSRSTINKGLLHSDFLVKHGTLRVLLEALKLLDSFLGVLNQNGKLYVSESFKQDFQNEVRTLLPDPQVLLTLLSSLSNHSKNQQLKRTAELEKFPEHSRSSVKKLKTDIENKDADIIIGGLNFDPDVALPEISERIVGTSTDDGLDNEKDATNVMAAIWGLDLHSTSLTTLKDAEIYFHCRLLDALKIYLRIMPTVLEGSFEFFMNLLSSPSASQTDLQRSLLSLLVEFVGWSPSGRIPIRTPPLMYKHLLAFMNLLVFSQISDIKDQAYRLAQAAMLSTGAFDRNQHEIASWFLFLPGFDGEKSSVQVLGLDVLQSLCHVVNSFLCDAISTTGNNLFKYWEIVKRYTRPLETNKEAKPHFSPLSICVLQKCLRLIDSGSGSFTMHEKSMVSTYVSSTLKYLLQTQVDAVLLSSLICSVLAERLGDCSVIDDSGVNNCEWRPLNDLLLFSKSISDQKTCGIFSIPNKAMPAGSSLATALEEVKRFEKSRDDSEVAGITKAFSFSILCTMSDGILKYFPSVMTVSQFVLGVPVSLLSSRFFLEQTLLGSVSKLWPEIFFPALDMALSNSCRGRNNFSLDPASHAGEMIYNQDVDADEAASAAFSFFLKKAPFHVLFPAIMSIHGPPSEPSKIQDLLLAKLSECATDCHPISYLRLVLFWLYQIRSSHRIEQLGNFQQLSEICLVLVDSLLSQLLVLNTDSGLSKNSRALLSSQDIQEVAETIFSHPAMITSFSCPLGCSEDLPKSNLAENTDALIGLSRQRIHILDRHGLDILATTCDYFFSLCNDHQFTPEVENGAGKQFVKAFNILLQKLLQVVRDKFDQCIGAKDLMPFLPTYYALHALIRFISPFELLELVRWMFSRVDIDDNQKSAISFGSCIAGGAFRNLSSYLQQPHTKRKSYDLFWKMEETSINTDIFEEIYSKVCKFSLHFKAEVMDVCLLEAINAVCRHKYMQHCNLHPLHIVLSRVIATTPVEMLSHCIYRTSKKKARFLSLLTDMSSMHLSTFGHLFLGILNKDFLHEGNVIEETCVPALPDEHYVMLLPAALSYLNSVSMKFGRLCYKHFRNIPVFYSKILLNGFQHWNTFVSRDVFQEEFGEFLPSSAPELLCLIDDSLLGKAICMLRYHFSLNEESMKLKKRLKLFNSIFPPSSEHNELIDCDVGGLGSQSLNESLNLVNKIFAKISFCKILLFPKGNQSDEAGRDLKDIALDNGSNKEDSSRMQFLNILVGIWQWIVKRVSSVSDSSRKETTTDSSSLWRCLEVLILTSILELTREISDGLTQLQSIPFLEQLMKSALLYRFEDPTTLKTVRDVLTFLSEGKFSRVPYLQLLLAHSQFAPTIHSVYKSSDCSIVGAFSRPLSGILRSLVFPSTNKNVIDGKCNLEATDLYVKQLEVIKLLRILFPIKVQQYGFDFAKDLGINLRELHLLLLSSYGSTLSEIDEEIYNLMRSIESIDVMEPVNFAGMDHLWGNAVLKIEKERTLAQNLSYDGMTDTEAVKERRRSQFRENLVIDPKLCASTVLYFPYDRVTSEELLSLNKFQKDNFDDMHVLHSPDVENVERYNPVFILRFSIHSLAEDYIEPLEFAGLGLLGIAFMSMSSPDDRIRRLGYDSLGRFKNALEKCPKRKGVRQIQLLLTYVQNAIEEPWQRIPSVNAIFAAETSLILLDPSHDHYAALSKLLMRSSRLNVKNILFFSNFFWSSSINFKAERLWILRLVYAGLNFEDDAKIYMKNSVLETLMSFYVSPISDDESKELILQVVKKAVKLHKMARYLVEQCGLFSWLSSVLSILNESHFRDEKSLFLLQLGVVSEVVNDVISSRNMTEWLQKYALEQLMELTSHLYKFLVSYMALIKQKVAVVNPILGIIISTLKISQKRRIYQPHFILSIEGSYNIYEAVKICDNTRSCDTAELGLHAILMSAPPVTIFCLSREKLSSFLMWAISAAVEVESAKILQHKESHQCFAIIPEEELQENSLISKLLRWLTASVILGKLDWKSNDLDPEFPKMLNIKTLQSLMDHVESACRENSKSSYGCEEILASAILYLQQLAGTNYKMLPSVTTALSLLLSDASIFAGFLCNNGSVVKSIWSKIGFPAEANPAWRWSFYQPWKDLTLELTGSQKMEELHACQSLLVIISNVLGKGPSELQVSLTQEVDRLGVFEWERSIAETQSC >ONI13248 pep chromosome:Prunus_persica_NCBIv2:G4:13189899:13204143:-1 gene:PRUPE_4G211600 transcript:ONI13248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLYPRSFSRSTINKGLLHSDFLVKHGTLRVLLEALKLLDSFLGVLNQNGKLYVSESFKQDFQNEVRTLLPDPQVLLTLLSSLSNHSKNQQLKRTAELEKFPEHSRSSVKKLKTDIENKDADIIIGGLNFDPDVALPEISERIVGTSTDDGLDNEKDATNVMAAIWGLDLHSTSLTTLKDAEIYFHCRLLDALKIYLRIMPTVLEGSFEFFMNLLSSPSASQTDLQRSLLSLLVEFVGWSPSGRIPIRTPPLMYKHLLAFMNLLVFSQISDIKDQAYRLAQAAMLSTGAFDRNQHEIASWFLFLPGFDGEKSSVQVLGLDVLQSLCHVVNSFLCDAISTTGNNLFKYWEIVKRYTRPLETNKEAKPHFSPLSICVLQKCLRLIDSGSGSFTMHEKSMVSTYVSSTLKYLLQTQVDAVLLSSLICSVLAERLGDCSVIDDSGVNNCEWRPLNDLLLFSKSISDQKTCGIFSIPNKAMPAGSSLATALEEVKRFEKSRDDSEVAGITKAFSFSILCTMSDGILKYFPSVMTVSQFVLGVPVSLLSSRFFLEQTLLGSVSKLWPEIFFPALDMALSNSCRGRNNFSLDPASHAGEMIYNQDVDADEAASAAFSFFLKKAPFHVLFPAIMSIHGPPSEPSKIQDLLLAKLSECATDCHPISYLRLVLFWLYQIRSSHRIEQLGNFQQLSEICLVLVDSLLSQLLVLNTDSGLSKNSRALLSSQDIQEVAETIFSHPAMITSFSCPLGCSEDLPKSNLAENTDALIGLSRQRIHILDRHGLDILATTCDYFFSLCNDHQFTPEVENGAGKQFVKAFNILLQKLLQVVRDKFDQCIGAKDLMPFLPTYYALHALIRFISPFELLELVRWMFSRVDIDDNQKSAISFGSCIAGGAFRNLSSYLQQPHTKRKSYDLFWKMEETSINTDIFEEIYSKVCKFSLHFKAEVMDVCLLEAINAVCRHKYMQHCNLHPLHIVLSRVIATTPVEMLSHCIYRTSKKKARFLSLLTDMSSMHLSTFGHLFLGILNKDFLHEGNVIEETCVPALPDEHYVMLLPAALSYLNSVSMKFGRLCYKHFRNIPVFYSKILLNGFQHWNTFVSRDVFQEEFGEFLPSSAPELLCLIDDSLLGKAICMLRYHFSLNEESMKLKKRLKLFNSIFPPSSEHNELIDCDVGGLGSQSLNESLNLVNKIFAKISFCKILLFPKGNQSDEAGRDLKDIALDNGSNKEDSSRMQFLNILVGIWQWIVKRVSSVSDSSRKETTTDSSSLWRCLEVLILTSILELTREISDGLTQLQSIPFLEQLMKSALLYRFEDPTTLKTVRDVLTFLSEGKFSRVPYLQLLLAHSQFAPTIHSVYKSSDCSIVGAFSRPLSGILRSLVFPSTNKNVIDGKCNLEATDLYVKQLEVIKLLRILFPIKVQQYGFDFAKDLGINLRELHLLLLSSYGSTLSEIDEEIYNLMRSIESIDVMEPVNFAGMDHLWGNAVLKIEKERTLAQNLSYDGMTDTEAVKERRRSQFRENLVIDPKLCASTVLYFPYDRVTSEELLSLNKFQKDNFDDMHVLHSPDVENVERYNPVFILRFSIHSLAEDYIEPLEFAGLGLLGIAFMSMSSPDDRIRRLGYDSLGRFKNALEKCPKRKGVRQIQLLLTYVQNAIEEPWQRIPSVNAIFAAETSLILLDPSHDHYAALSKLLMRSSRLNVKNILFFSNFFWSSSINFKAERLWILRLVYAGLNFEDDAKIYMKNSVLETLMSFYVSPISDDESKELILQVVKKAVKLHKMARYLVEQCGLFSWLSSVLSILNESHFRDEKSLFLLQLGVVSEVVNDVISSRNMTEWLQKYALEQLMELTSHLYKFLVSYMALIKQKVAVVNPILGIIISTLKISQKRRIYQPHFILSIEGSYNIYEAVKICDNTRSCDTAELGLHAILMSAPPVTIFCLSREKLSSFLMWAISAAVEVESAKILQHKESHQCFAIIPEEELQENSLISKLLRWLTASVILGKLDWKSNDLDPEFPKMLNIKTLQSLMDHVESACRENSKSSYGCEEILASAILYLQQLAGTNYKMLPSVTTALSLLLSDASIFAGFLCNNGSVVKSIWSKIGFPAEANPAWRWSFYQPWKDLTLELTGSQKMEELHACQSLLVIISNVLGKGPSELQVSLTQEVDRLGVFEWERSIAETQSC >ONI10440 pep chromosome:Prunus_persica_NCBIv2:G4:2281432:2286572:1 gene:PRUPE_4G047800 transcript:ONI10440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDEQAKIARRKNILYIYIAMTTTMISSFNSKALNCVLWNTPKFSSPPPNLFSSTKRRRLQQIRKKKAHDQATVSSLISSTAVYQTTTLPVELTALVTVRKSLKLSIQDMMLHWFGNHDHFSHHKEKEKCLVLQLVSTETEAESTKPKLSNEAILDWSKDLNLNLILGAGKTSYEVQFEVDPKFGMPGAITVSNKYEKELYLDSINVEGVVHIGCNSWIQPDKDSSEKRIFFSTKAYLPDETPAGLKELREMELRQLRGDGTGLRLPSDRTYDYDTYNDIGNPDAGIEYIRSTLGGNTHPHPRRCRTGRPPTSTDDNMESPENEFKRIYVPRDEEFEEAKQGALDVGKLKGILRHIIPTLTIIASRDSNVFKGYVDTNCLYSTDMSLPKILNKVQEFLKFDPPKLISDEEFGRQAIAGVNPMSIQKLTVFPPVSKLDPSIYSPQESALKEEHIIGHLNGMSIQQALEENKLFILDYHDIFLPYLDQINALDDRRAYGTRTILFLTPLGTLKPISIELSFPPTNSDSPSKQVLTPPVDFTTNWLWQIGKAHVSSNDACAHQLIHHWLRTHACMEPLIIAAHRQLSVMHPIYKLLNPHMRYTLKINALARQFLINAGGVIESNFTAGKYCMEMSCAAYRDWWRFDLEGLPADLLRRGMAVPDSTQVHGIRLVIEDYPYATDGLLIWSAIETLVRTYVNYYYPDASAVNFDTELQAWYNESINLGHADLRHASWWPKLSTPDDLTSILTTIIWVTSAQHAALNNGQYPYGGYVPTRSPHMRRLLPEEHDPEYTTFMQDPQKYFLSSFPSLLEATKYMAAIDIISAHSPDEEYIGDRKDLSTWSADTVIIEAFYRFSMEMRRIEKEIERRNTDSNLRNRCGAGVSPYELLMPSSEPGVTCRGVPNSISI >ONI10442 pep chromosome:Prunus_persica_NCBIv2:G4:2281434:2286580:1 gene:PRUPE_4G047800 transcript:ONI10442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDEQAKIARRKNILYIYIAMTTTMISSFNSKALNCVLWNTPKFSSPPPNLFSSTKRRRLQQIRKKKAHDQATVSSLISSTAVYQTTTLPVELTALVTVRKSLKLSIQDMMLHWFGNHDHFSHHKEKEKCLVLQLVSTETEAESTKPKLSNEAILDWSKDLNLNLILGAGKTSYEVQFEVDPKFGMPGAITVSNKYEKELYLDSINVEGVVHIGCNSWIQPDKDSSEKRIFFSTKAYLPDETPAGLKELREMELRQLRGDGTGLRLPSDRTYDYDTYNDIGNPDAGIEYIRSTLGGNTHPHPRRCRTGRPPTSTDDNMESPENEFKRIYVPRDEEFEEAKQGALDVGKLKGILRHIIPTLTIIASRDSNVFKGYVDTNCLYSTDMSLPKILNKVQEFLKFDPPKLISGLISCCLPDEEFGRQAIAGVNPMSIQKLTVFPPVSKLDPSIYSPQESALKEEHIIGHLNGMSIQQVYMSRKQKPLKDKYIKRRKGSLKCIDDICGFCRH >ONI10444 pep chromosome:Prunus_persica_NCBIv2:G4:2283573:2286196:1 gene:PRUPE_4G047800 transcript:ONI10444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFLQAYLPDETPAGLKELREMELRQLRGDGTGLRLPSDRTYDYDTYNDIGNPDAGIEYIRSTLGGNTHPHPRRCRTGRPPTSTDDNMESPENEFKRIYVPRDEEFEEAKQGALDVGKLKGILRHIIPTLTIIASRDSNVFKGYVDTNCLYSTDMSLPKILNKVQEFLKFDPPKLISGLISCCLPDEEFGRQAIAGVNPMSIQKLTVFPPVSKLDPSIYSPQESALKEEHIIGHLNGMSIQQALEENKLFILDYHDIFLPYLDQINALDDRRAYGTRTILFLTPLGTLKPISIELSFPPTNSDSPSKQVLTPPVDFTTNWLWQIGKAHVSSNDACAHQLIHHWLRTHACMEPLIIAAHRQLSVMHPIYKLLNPHMRYTLKINALARQFLINAGGVIESNFTAGKYCMEMSCAAYRDWWRFDLEGLPADLLRRGMAVPDSTQVHGIRLVIEDYPYATDGLLIWSAIETLVRTYVNYYYPDASAVNFDTELQAWYNESINLGHADLRHASWWPKLSTPDDLTSILTTIIWVTSAQHAALNNGQYPYGGYVPTRSPHMRRLLPEEHDPEYTTFMQDPQKYFLSSFPSLLEATKYMAAIDIISAHSPDEEYIGDRKDLSTWSADTVIIEAFYRFSMEMRRIEKEIERRNTDSNLRNRCGAGVSPYELLMPSSEPGVTCRGVPNSISI >ONI10443 pep chromosome:Prunus_persica_NCBIv2:G4:2281684:2286196:1 gene:PRUPE_4G047800 transcript:ONI10443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTMISSFNSKALNCVLWNTPKFSSPPPNLFSSTKRRRLQQIRKKKAHDQATVSSLISSTAVYQTTTLPVELTALVTVRKSLKLSIQDMMLHWFGNHDHFSHHKEKEKCLVLQLVSTETEAESTKPKLSNEAILDWSKDLNLNLILGAGKTSYEVQFEVDPKFGMPGAITVSNKYEKELYLDSINVEGVVHIGCNSWIQPDKDSSEKRIFFSTKAYLPDETPAGLKELREMELRQLRGDGTGLRLPSDRTYDYDTYNDIGNPDAGIEYIRSTLGGNTHPHPRRCRTGRPPTSTDDNMESPENEFKRIYVPRDEEFEEAKQGALDVGKLKGILRHIIPTLTIIASRDSNVFKGYVDTNCLYSTDMSLPKILNKVQEFLKFDPPKLISGLISCCLPDEEFGRQAIAGVNPMSIQKLTVFPPVSKLDPSIYSPQESALKEEHIIGHLNGMSIQQALEENKLFILDYHDIFLPYLDQINALDDRRAYGTRTILFLTPLGTLKPISIELSFPPTNSDSPSKQVLTPPVDFTTNWLWQIGKAHVSSNDACAHQLIHHWLRTHACMEPLIIAAHRQLSVMHPIYKLLNPHMRYTLKINALARQFLINAGGVIESNFTAGKYCMEMSCAAYRDWWRFDLEGLPADLLRRGMAVPDSTQVHGIRLVIEDYPYATDGLLIWSAIETLVRTYVNYYYPDASAVNFDTELQAWYNESINLGHADLRHASWWPKLSTPDDLTSILTTIIWVTSAQHAALNNGQYPYGGYVPTRSPHMRRLLPEEHDPEYTTFMQDPQKYFLSSFPSLLEATKYMAAIDIISAHSPDEEYIGDRKDLSTWSADTVIIEAFYRFSMEMRRIEKEIERRNTDSNLRNRCGAGVSPYELLMPSSEPGVTCRGVPNSISI >ONI10441 pep chromosome:Prunus_persica_NCBIv2:G4:2281432:2286572:1 gene:PRUPE_4G047800 transcript:ONI10441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDEQAKIARRKNILYIYIAMTTTMISSFNSKALNCVLWNTPKFSSPPPNLFSSTKRRRLQQIRKKKAHDQATVSSLISSTAVYQTTTLPVELTALVTVRKSLKLSIQDMMLHWFGNHDHFSHHKEKEKCLVLQLVSTETEAESTKPKLSNEAILDWSKDLNLNLILGAGKTSYEVQFEVDPKFGMPGAITVSNKYEKELYLDSINVEGVVHIGCNSWIQPDKDSSEKRIFFSTKAYLPDETPAGLKELREMELRQLRGDGTGLRLPSDRTYDYDTYNDIGNPDAGIEYIRSTLGGNTHPHPRRCRTGRPPTSTDDNMESPENEFKRIYVPRDEEFEEAKQGALDVGKLKGILRHIIPTLTIIASRDSNVFKGYVDTNCLYSTDMSLPKILNKVQEFLKFDPPKLISGLISCCLPDEEFGRQAIAGVNPMSIQKLTVFPPVSKLDPSIYSPQESALKEEHIIGHLNGMSIQQALEENKLFILDYHDIFLPYLDQINALDDRRAYGTRTILFLTPLGTLKPISIELSFPPTNSDSPSKQVLTPPVDFTTNWLWQIGKAHVSSNDACAHQLIHHWLRTHACMEPLIIAAHRQLSVMHPIYKLLNPHMRYTLKINALARQFLINAGGVIESNFTAGKYCMEMSCAAYRDWWRFDLEGLPADLLRRGMAVPDSTQVHGIRLNCRPGTMSQSI >ONI14542 pep chromosome:Prunus_persica_NCBIv2:G4:25092463:25095357:1 gene:PRUPE_4G286400 transcript:ONI14542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMHSRGKGISASALPYKRTPPSWLKISTQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVSIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >ONI10825 pep chromosome:Prunus_persica_NCBIv2:G4:3434512:3437453:1 gene:PRUPE_4G070100 transcript:ONI10825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLELVEGKVDWKGRSAVKYKHGGMRTAVLILATFGFENMATFALAVNLVTYFNGVMHFELADAANQLTNYMGTGYILSIIVAILADTFFGRFKALLTSGCFEFVGLALLTVQAHYPKLRPPLCNVFDPTAMCEKVGGGNAALLFIALYMLAAGAAGIKATLPSHGADQFDEKDPREARQMSSFFNLLLLAVCLGGAVSLTLIVWIQDNRGWDWGFGSSTIAMFLGVVIFFAGLPLYRIQPIRGTSAIVEIIQVYVAAIRNRNLSLPEDPADLYEINKDKEAALEEEFLPHRSIFRFLDKAAIQPSTTGQVDEQQPPNPWKLCRVTQVENAKIILGMVPIFGCTIIMTLCLAQLQTFSIQQGLTMDTSITKSFKIPPASLPIIPVLFLIIIIPVYDRIFVPIASKFTGIPSGITHLQRIGVGLILSCVSMAVAGIMEVKRKDVARDHNMLLARPVLQPLPISTFWLSFQYFIFGIADLFTYVGLLEFFYSEAPMGLKSISTCFLWSSMALGYFFSTILVKIVNGATKDVTRSGGWLAGNNINLNHLNLFYWLLSFMSLINFIVYVFVAKRYKYRPGNPILLSKQNKKIEE >ONI10792 pep chromosome:Prunus_persica_NCBIv2:G4:3318298:3321141:-1 gene:PRUPE_4G068300 transcript:ONI10792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPHKLDSEVEAEALASRVSDFSLQEDTLHPNFQSPPNDGVENHREQKHNDDQIMTESRECDSELHEKENGEPRKYFYYDAPLSEETGVWIPVSVPPMWETEHEEWAKGFYSNGGYFPDGDMGWGQYIGEEKELTMWDVMVEMLLAARGKVSSIASADIYGCKLSWISDNLLDQAWKEMAQTLTDANFANVRELIEAEPPKWLADSSASACMLCGVHFHPIMCSRHHCRFCGGIFCGDCSKGRSLMPAKFRVADPQRVCDVCCVRLDSVQPYLMDQVSHAAQMPTQDLTDLSTLRSWVNIPWGQSMEYEIYKAANAIRVYKKVCSLKPEKSIPDAILRQAKGLAIITAVKVGVMVTYNIGTGVVIARREDGSWSPPSAVSTFGIGWGAQAGGELTDFIIILRTTEAVKTFSGNVHLSVGAGLSAAVGVVGRAVEADVRAGDGGYAACYTYSCSKGAFVGCSLEGSIVTTRTKVNSRFYGSQSITASDVLLGSLPKPPAAATLYRALADLYQKIEG >ONI10791 pep chromosome:Prunus_persica_NCBIv2:G4:3316656:3321423:-1 gene:PRUPE_4G068300 transcript:ONI10791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPHKLDSEVEAEALASRVSDFSLQEDTLHPNFQSPPNDGVENHREQKHNDDQIMTESRECDSELHEKENGEPRKYFYYDAPLSEETGVWIPVSVPPMWETEHEEWAKGFYSNGGYFPDGDMGWGQYIGEEKELTMWDVMVEMLLAARGKVSSIASADIYGCKLSWISDNLLDQAWKEMAQTLTDANFANVRELIEAEPPKWLADSSASACMLCGVHFHPIMCSRHHCRFCGGIFCGDCSKGRSLMPAKFRVADPQRVCDVCCVRLDSVQPYLMDQVSHAAQMPTQDLTDLSTLRSWVNIPWGQSMEYEIYKAANAIRVYKKAGGELTDFIIILRTTEAVKTFSGNVHLSVGAGLSAAVGVVGRAVEADVRAGDGGYAACYTYSCSKGAFVGCSLEGSIVTTRTKVNSRFYGSQSITASDVLLGSLPKPPAAATLYRALADLYQKIEG >ONI12804 pep chromosome:Prunus_persica_NCBIv2:G4:10927101:10928407:1 gene:PRUPE_4G184300 transcript:ONI12804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSIQYVVILKQSNWVWQQGMGARGVIGDRWSMRILWACAIGSAASLYMVAAERQAQNRQRMLAEELKAMEAESGNGEVV >ONI12805 pep chromosome:Prunus_persica_NCBIv2:G4:10926227:10928407:1 gene:PRUPE_4G184300 transcript:ONI12805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARGVIGDRWSMRILWACAIGSAASLYMVAAERQAQNRQRMLAEELKAMEAESGNGEVV >ONI10874 pep chromosome:Prunus_persica_NCBIv2:G4:3608222:3614837:1 gene:PRUPE_4G073800 transcript:ONI10874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSFNFSSSGPTEIPMVGIPKEVGRGGWGILSGSGVHHASSDATLFSSSLPVLPHSKLNLNDTEHGCQSIDDLSTGLNKPGHNLEGNDTLEDIETHAIGSLLPGDEEELLAGIADDLDLSGLPGSLEDLEEYDLFGSGGGMELETDPQESLRVGMAKVSLSDGATGNGIAHYALPNGVGAVAGEHPYGEHPSRTLFVRNINSNVEDSELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRTLQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEYYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLILQLNQDLEQDESRSYRHPVGSPITNSPPGSWAQFNPIEHSPVHSISKSPGFRTLSPTNSNQLPGLASILHPQISNNVKVAPIGNNQGRGSHADHIFTNTNSSQGSAFQQSHSFPEPNTSHFHGSMHSFGPSTSSGSGMETLSGPQFLWGSPTPYSEHTNSSAWPRQSVGHQLTSNGKGHAFPFSGHRGSFLSPSHHHHHVGSAPSGVPLDRHFNYFPESPETSFLSSTAYGGMGLGPNGGNFMINMSASAARNVGVSIPGNMSESNSSSFKMMASPKLSPVFLGNGPYTGLPPTSIDGLIERGRSRRVENSGSQIDSKKQFQLDLDKIISGDDTRTTLMIKNIPNKYTSKMLLAAIDENHKGTFDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFHSEGSEAGDQIIQEHLPSNNMNTHVGQRNESDSDSSGSLECGSDEKPDKS >ONI13706 pep chromosome:Prunus_persica_NCBIv2:G4:15762738:15765313:-1 gene:PRUPE_4G239900 transcript:ONI13706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHELCHNVHGPHDAKFYKLWDELRKECEELMSKGITGSAEGFDLPGRRLGSFSRQPPLSSLRKTALAAAEKRVLLGSIMPSGPKRLGGDSNIMVALSPVQAAAMAAERRLQDDIWCGSVSAEASTDGECRYDKSEDHQNIGPNAGSSRPPNGAKAHSSDTLLQKRSRELNTNGFSKSTKCNLGSELVDLSMDESISGSMIDCDIKSQKRSRGSENSSFSRSNCHLESSVRHQSGVSSSGSMSNNDGTHNPEETALWQCKTCTLLNPPLAPICELCNTKKPKDVGTNYKIWSCRFCTLENCVKLEKCSACGQWRYSHGPPIASQAPNLGT >ONI13705 pep chromosome:Prunus_persica_NCBIv2:G4:15762655:15765313:-1 gene:PRUPE_4G239900 transcript:ONI13705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGDLNKVWEIKTLKRKDQEAEARKILDKIAKQVQPIMRKHKWRVKLLSEFCPNNHRLLGLNVNRGVHVKLRLRKPNRDWDFYPIDQVLDTMLHELCHNVHGPHDAKFYKLWDELRKECEELMSKGITGSAEGFDLPGRRLGSFSRQPPLSSLRKTALAAAEKRVLLGSIMPSGPKRLGGDSNIMVALSPVQAAAMAAERRLQDDIWCGSVSAEASTDGECRYDKSEDHQNIGPNAGSSRPPNGAKAHSSDTLLQKRSRELNTNGFSKSTKCNLGSELVDLSMDESISGSMIDCDIKSQKRSRGSENSSFSRSNCHLESSVRHQSGVSSSGSMSNNDGTHNPEETALWQCKTCTLLNPPLAPICELCNTKKPKDVGTNYKIWSCRFCTLENCVKLEKCSACGQWRYSHGPPIASQAPNLGT >ONI14282 pep chromosome:Prunus_persica_NCBIv2:G4:22534711:22535637:1 gene:PRUPE_4G272900 transcript:ONI14282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLDQCQNFPRNHLSPSCHVRSSCRGSAEEKDFDFWETNTLLRWNIEDESSPLLPNNHHYSDPCTMTTLSQRQAAIVQSRRELMEMIQDMPESCYELSLKDIVDDEQQGAQEVGEEETDAKETSFSFRSEEVQIRKQKSNVKKKSSCEISRTCSSMESETFLLKMFFPTFLGSKKKPKSPGNRSSKVTPSPSLSLKDNHKDWCKIRTFFLAGVNKNNQGNNSSCISRTRFSDETNTLPGCWFFFHSKKSKTKRQKGCLL >ONI09659 pep chromosome:Prunus_persica_NCBIv2:G4:174576:176953:-1 gene:PRUPE_4G002300 transcript:ONI09659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGKGFSLPTGKAVKSSLKSTPSTKDASLKGKDDSSTKSKKGRKVQFDSEGLHEPKSNFSSKFDNPAAASGKADWGKGGKGDKVGNGRKKEPQPLELKIEQELPQSAKCLMDCEAADILQGIQEQMILLSKDPTIKIPVSFDKGLQYAKRTSHYTNPQSVRKVLETLTKYGVSDGEISVIANVCPETTDEVFALVPSLKTTRSVLSQPLKEVLSELTKLKQST >ONI09653 pep chromosome:Prunus_persica_NCBIv2:G4:173926:177297:-1 gene:PRUPE_4G002300 transcript:ONI09653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGKGFSLPTGKAVKSSLKSTPSTKDASLKGKDDSSTKSKKGRKVQFDSEGLHEPKSNFSSKFDNPAAASGKDWGKGGKGDKVGNGRKKEPQPLELKIEQELPQSAKCLMDCEAADILQGIQEQMILLSKDPTIKIPVSFDKGLQYAKRTSHYTNPQSVRKVLETLTKYGVSDGEISVIANVCPETTDEVFALVPSLKTTRSVLSQPLKEVLSELTKLKQST >ONI09655 pep chromosome:Prunus_persica_NCBIv2:G4:174576:176953:-1 gene:PRUPE_4G002300 transcript:ONI09655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGKGFSLPTGKAVKSSLKSTPSTKDASLKGKDDSSTKSKKGRKVQFDSEGLHEPKSNFSSKFDNPAAASGKDWGKGGKGDKVGNGRKKEPQPLELKIEQELPQSAKCLMDCEAADILQGIQEQMILLSKDPTIKIPVSFDKGLQYAKRTSHYTNPQSVRKVLETLTKYGVSDGEISVIANVCPETTDEVFALVPSLKTTRSVLSQPLKEVLSELTKLKQST >ONI09660 pep chromosome:Prunus_persica_NCBIv2:G4:173926:177297:-1 gene:PRUPE_4G002300 transcript:ONI09660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGKGFSLPTGKAVKSSLKSTPSTKDGLLFLRSLLFLTSSLKGKDDSSTKSKKGRKVQFDSEGLHEPKSNFSSKFDNPAAASGKDWGKGGKGDKVGNGRKKEPQPLELKIEQELPQSAKCLMDCEAADILQGIQEQMILLSKDPTIKIPVSFDKGLQYAKRTSHYTNPQSVRKVLETLTKYGVSDGEISVIANVCPETTDEVFALVPSLKTTRSVLSQPLKEVLSELTKLKQST >ONI09658 pep chromosome:Prunus_persica_NCBIv2:G4:174576:176953:-1 gene:PRUPE_4G002300 transcript:ONI09658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGKGFSLPTGKAVKSSLKSTPSTKDASLKGKDDSSTKSKKGRKVQFDSEGLHEPKSNFSSKFDNPAAASGKADWGKGGKGDKVGNGRKKEPQPLELKIEQELPQSAKCLMDCEAADILQGIQEQMILLSKDPTIKIPVSFDKGLQYAKRTSHYTNPQSVRKVLETLTKYGVSDGEISVIANVCPETTDEVFALVPSLKTTRSVLSQPLKEVLSELTKLKQST >ONI09657 pep chromosome:Prunus_persica_NCBIv2:G4:173926:177333:-1 gene:PRUPE_4G002300 transcript:ONI09657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGKGFSLPTGKAVKSSLKSTPSTKDASLKGKDDSSTKSKKGRKVQFDSEGLHEPKSNFSSKFDNPAAASGKDWGKGGKGDKVGNGRKKEPQPLELKIEQELPQSAKCLMDCEAADILQGIQEQMILLSKDPTIKIPVSFDKGLQYAKRTSHYTNPQSVRKVLETLTKYGVSDGEISVIANVCPETTDEVFALVPSLKTTRSVLSQPLKEVLSELTKLKQST >ONI09654 pep chromosome:Prunus_persica_NCBIv2:G4:173926:177297:-1 gene:PRUPE_4G002300 transcript:ONI09654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGKGFSLPTGKAVKSSLKSTPSTKDASLKGKDDSSTKSKKGRKVQFDSEGLHEPKSNFSSKFDNPAAASGKDWGKGGKGDKVGNGRKKEPQPLELKIEQELPQSAKCLMDCEAADILQGIQEQMILLSKDPTIKIPVSFDKGLQYAKRTSHYTNPQSVRKVLETLTKYGVSDGEISVIANVCPETTDEVFALVPSLKTTRSVLSQPLKEVLSELTKLKQST >ONI09656 pep chromosome:Prunus_persica_NCBIv2:G4:174576:176953:-1 gene:PRUPE_4G002300 transcript:ONI09656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKGGKGFSLPTGKAVKSSLKSTPSTKDASLKGKDDSSTKSKKGRKVQFDSEGLHEPKSNFSSKFDNPAAASGKDWGKGGKGDKVGNGRKKEPQPLELKIEQELPQSAKCLMDCEAADILQGIQEQMILLSKDPTIKIPVSFDKGLQYAKRTSHYTNPQSVRKVLETLTKYGVSDGEISVIANVCPETTDEVFALVPSLKTTRSVLSQPLKEVLSELTKLKQST >ONI10218 pep chromosome:Prunus_persica_NCBIv2:G4:1665597:1667406:-1 gene:PRUPE_4G035100 transcript:ONI10218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKNGLKKGPWTPEEDEKLLDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDFSSILSSSLYNSSHQHQMNFSRLLGQPIGLNPELLRLATSLIQSQRENNHNANSFLLQNSQETNNFHQLCDNPHIPFQQVQSNQLQQPIQEISFSNEAPQLIMQPANGDYPSNLSYFRSQNSQPSDWQQSNVGPSNFTDEYVELPSFAYEYGSDHHQTILDPSPETSNFHSNNSNQNFSFTTSVLSTPSSSPTPLNSNSTTHNFNSSTEDERESYCSNMLKFDIQDMLDVNEFM >ONI13973 pep chromosome:Prunus_persica_NCBIv2:G4:17840339:17842152:-1 gene:PRUPE_4G255600 transcript:ONI13973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPTQARTVPKTLMASILLLLVLLMPALQITGAQSVGVCYGRNGNNLPSESDVVGLYKSNGIGRMRIYEPNDPTYQALKGSNIELTVTILKSQLQGLTDAAAATDWVQKNVQAYSPDVKFKYIAVGNEVRPTDPETQYLLPAIQNIHNAIVAANLQGQIKVSTAIDTTLVDNAYPPSAGKYSDAAKSFITPVINFLASNGAPLLVNVYPYVSYTENPSQIDIAYALFTSQGITTPDGVKYQNLFDALLDAQYSALEKANAPNVEIVVSESGWPSEGSDAATTQNAQTFYQNLINHVKGTTGTPKRPGKAIETYLFAMFDENIKDGAEVERHFGLFSPNKQPKYQLTFG >ONI13959 pep chromosome:Prunus_persica_NCBIv2:G4:17586721:17593078:1 gene:PRUPE_4G254600 transcript:ONI13959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEGASGGHSGGGGGSGRGAYLVWEDLSAVLPNFSKGSPTRRLLNGLSGYAEPGRIMAIMGPSGSGKSTLLDTLAGRLSRNVVMTGNILFNGKKKRLAYGAVAYVTQEDVLLGTLTVRETITYSAHLRLPSSFKKEEVKSIVEGTIMEMGLLECADRSIGNWHLRGISGGEKKRVSIALEILTRPCILFLDEPTSGLDSASAFFVIQTLRSIALDGRTVVSSVHQPSSEVFALFDDLFLLSGGETVYFGEAKTAIEFFAEAGVPCPSRRNPSDHFLRCINSDFDIVTATLKGSQRIRDVPTSSDPLMNLATAEIKARLVEKYKRSKYADKMRARMQAILAIEGQAIEMKSGSQASWWKQLSTLLRRSSLNMSRDVGYYWLRIIIYILVSICVGTIYFDVGKGYTAIFARAACGAFITGFMTFMSIGGFPSFIEEMKVFYRERLNGYYGVSVFIISNFLSSFPFLAVVTLTSGTITYYFVKFRTEFSHYVFFCLNIFACISVIESLMMVVASLVPNFLMGIITGAGIMGILMMTSGFFRLLPDLPKPFWRYPVSYLSYGSWGIQGAYKNDFLGLEFDPMIPGDPKLTGDFIIQHMFGIPIDHSKWWDLAAIVAILVLYRVLFFVILKFKENASPLFQTLYAKRTLQKLDKRPSFRKVPSISSKRHQPLHSLSSQEGLNSPLQ >ONI13960 pep chromosome:Prunus_persica_NCBIv2:G4:17586720:17592322:1 gene:PRUPE_4G254600 transcript:ONI13960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEGASGGHSGGGGGSGRGAYLVWEDLSAVLPNFSKGSPTRRLLNGLSGYAEPGRIMAIMGPSGSGKSTLLDTLAGRLSRNVVMTGNILFNGKKKRLAYGAVAYVTQEDVLLGTLTVRETITYSAHLRLPSSFKKEEVKSIVEGTIMEMGLLECADRSIGNWHLRGISGGEKKRVSIALEILTRPCILFLDEPTSGLDSASAFFVIQTLRSIALDGRTVVSSVHQPSSEVFALFDDLFLLSGGETVYFGEAKTAIEFFAEAGVPCPSRRNPSDHFLRCINSDFDIVTATLKGSQRIRDVPTSSDPLMNLATAEIKARLVEKYKRSKYADKMRARMQAILAIEGQAIEMKSGSQASWWKQLSTLLRRSSLNMSRDVGYYWLRIIIYILVSICVGTIYFDVGKGYTAIFARAACGAFITGFMTFMSIGGFPSFIEEMKVFYRERLNGYYGVSVFIISNFLSSFPFLAVVTLTSGTITYYFVKFRTEFSHYVFFCLNIFACISVIESLMMVVASLVPNFLMGIITGAGIMGILMMTSGFFRLLPDLPKPFWRYPVSYLSYGSWGIQMQKEKGNTVKLHCFVR >ONI14603 pep chromosome:Prunus_persica_NCBIv2:G4:25295335:25300571:-1 gene:PRUPE_4G288100 transcript:ONI14603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSSRRVRAFKRWMKREGIECSDALDLRDEGVEIGISVSALAHLKEGDVVARIPKLTCLTTRTTAARDIISAAAAGEGEEESCLGGLAGLAVALMYERSLGERSRWAPYLELLNKEEESLPLVWSADEVEQLLAGTELEGTVKEDKGVMWKEWMESIEPTLLLQMHPLDAAAVEFDPSFFGFGDYLAARTLISSRSFQIDEFHGVGMVPLADLFNHKTGAEDVHLMTSPHKSESNTTQENNESDTTSTSISAIHDDDMSASITVDEGEGDDSGGEGDNGDRDNSEGGDDDDSDDYGDGDSDDYGDGDGDGDDSDGDDLEMTMVKDVKLGAEVFNTYGSLGNAALLHRYGFTEPDNPYDIVNIDLELILQWSSSLFSGQHRRARLSLWKRLDYSGCVTHNSEYFEICGTAFMAQIQ >ONI14605 pep chromosome:Prunus_persica_NCBIv2:G4:25296583:25298590:-1 gene:PRUPE_4G288100 transcript:ONI14605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSSRRVRAFKRWMKREGIECSDALDLRDEGVEIGISVSALAHLKEGDVVARIPKLTCLTTRTTAARDIISAAAAGEGEEESCLGGLAGLAVALMYERSLGERSRWAPYLELLNKEEESLPLVWSADEVEQLLAGTELEGTVKEDKGVMWKEWMESIEPTLLLQMHPLDAAAVEFDPSFFGFGDYLAARTLISSRSFQIDEFHGVGMVPLADLFNHKTGAEDVHLMTSPHKSESNTTQENNESDTTSTSISAIHDDDMSASITVDEGEGDDSGGEGDNGDRDNSEGGDDDDSDDYGDGDSDDYGDGDGDGDDSDGDDLEMTMVKDVKLGAEVFNTYGSLGNAALLHRYGFTEPDNPYDIVNIDLELILQWSSSLFSGQHRRARLSLWKRLDYSGCVTHNSEYFEICGTAFMAQIQ >ONI14606 pep chromosome:Prunus_persica_NCBIv2:G4:25296583:25298590:-1 gene:PRUPE_4G288100 transcript:ONI14606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSSRRVRAFKRWMKREGIECSDALDLRDEGVEIGISVSALAHLKEGDVVARIPKLTCLTTRTTAARDIISAAAAGEGEEESCLGGLAGLAVALMYERSLGERSRWAPYLELLNKEEESLPLVWSADEVEQLLAGTELEGTVKEDKGVMWKEWMESIEPTLLLQMHPLDAAAVEFDPSFFGFGDYLAARTLISSRSFQIDEFHGVGMVPLADLFNHKTGAEDVHLMTSPHKSESNTTQENNESDTTSTSISAIHDDDMSASITVDEGEGDDSGGEGDNGDRDNSEGGDDDDSDDYGDGDSDDYGDGDGDGDDSDGDDLEMTMVKDVKLGAEVFNTYGSLGNAALLHRYGFTEPDNPYDIVNIDLELILQWSSSLFSGQHRRARLSLWKRLDYSGCVTHNSEYFEICGTAFMAQIQ >ONI14601 pep chromosome:Prunus_persica_NCBIv2:G4:25295055:25301208:-1 gene:PRUPE_4G288100 transcript:ONI14601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSSRRVRAFKRWMKREGIECSDALDLRDEGVEIGISVSALAHLKEGDVVARIPKLTCLTTRTTAARDIISAAAAGEGEEESCLGGLAGLAVALMYERSLGERSRWAPYLELLNKEEESLPLVWSADEVEQLLAGTELEGTVKEDKGVMWKEWMESIEPTLLLQMHPLDAAAVEFDPSFFGFGDYLAARTLISSRSFQIDEFHGVGMVPLADLFNHKTGAEDVHLMTSPHKSESNTTQENNESDTTSTSISAIHDDDMSASITVDEGEGDDSGGEGDNGDRDNSEGGDDDDSDDYGDGDSDDYGDGDGDGDDSDGDDLEMTMVKDVKLGAEVFNTYGSLGNAALLHRYGFTEPDNPYDIVNIDLELILQWSSSLFSGQHRRARLSLWKRLDYSGCVTHNSEYFEICGTAFMAQIQ >ONI14604 pep chromosome:Prunus_persica_NCBIv2:G4:25295853:25300571:-1 gene:PRUPE_4G288100 transcript:ONI14604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSSRRVRAFKRWMKREGIECSDALDLRDEGVEIGISVSALAHLKEGDVVARIPKLTCLTTRTTAARDIISAAAAGEGEEESCLGGLAGLAVALMYERSLGERSRWAPYLELLNKEEESLPLVWSADEVEQLLAGTELEGTVKEDKGVMWKEWMESIEPTLLLQMHPLDAAAVEFDPSFFGFGDYLAARTLISSRSFQIDEFHGVGMVPLADLFNHKTGAEDVHLMTSPHKSESNTTQENNESDTTSTSISAIHDDDMSASITVDEGEGDDSGGEGDNGDRDNSEGGDDDDSDDYGDGDSDDYGDGDGDGDDSDGDDLEMTMVKDVKLGAEVFNTYGSLGNAALLHRYGFTEPDNPYDIVNIDLELILQWSSSLFSGQHRRARLSLWKRLDYSGCVTHNSEYFEICGTAFMAQIQ >ONI14597 pep chromosome:Prunus_persica_NCBIv2:G4:25295335:25298598:-1 gene:PRUPE_4G288100 transcript:ONI14597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSSRRVRAFKRWMKREGIECSDALDLRDEGVEIGISVSALAHLKEGDVVARIPKLTCLTTRTTAARDIISAAAAGEGEEESCLGGLAGLAVALMYERSLGERSRWAPYLELLNKEEESLPLVWSADEVEQLLAGTELEGTVKEDKGVMWKEWMESIEPTLLLQMHPLDAAAVEFDPSFFGFGDYLAARTLISSRSFQIDEFHGVGMVPLADLFNHKTGAEDVHLMTSPHKSESNTTQENNESDTTSTSISAIHDDDMSASITVDEGEGDDSGGEGDNGDRDNSEGGDDDDSDDYGDGDSDDYGDGDGDGDDSDGDDLEMTMVKDVKLGAEVFNTYGSLGNAALLHRYGFTEPDNPYDIVNIDLELILQWSSSLFSGQHRRARLSLWKRLDYSGCVTHNSEYFEVSFNGEPEIEMLVLLYIMLLPHDAYYKMDLALATAGSSSKPMDIIFSDKSEKLCHKTGLLSEDLLLTKSLCNALLSLADMRDSLYGTNSIEDDIEALGRCCCLSDKKLYHSLMLRISERRILQKLKMYAAKETSPLLRMELMGRNINYDCSTAYWHRLYIFELVVLYQQCHRQIGSVTSGKLCRAGFLSLLEYPNSVIC >ONI14598 pep chromosome:Prunus_persica_NCBIv2:G4:25295335:25298643:-1 gene:PRUPE_4G288100 transcript:ONI14598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSSRRVRAFKRWMKREGIECSDALDLRDEGVEIGISVSALAHLKEGDVVARIPKLTCLTTRTTAARDIISAAAAGEGEEESCLGGLAGLAVALMYERSLGERSRWAPYLELLNKEEESLPLVWSADEVEQLLAGTELEGTVKEDKGVMWKEWMESIEPTLLLQMHPLDAAAVEFDPSFFGFGDYLAARTLISSRSFQIDEFHGVGMVPLADLFNHKTGAEDVHLMTSPHKSESNTTQENNESDTTSTSISAIHDDDMSASITVDEGEGDDSGGEGDNGDRDNSEGGDDDDSDDYGDGDSDDYGDGDGDGDDSDGDDLEMTMVKDVKLGAEVFNTYGSLGNAALLHRYGFTEPDNPYDIVNIDLELILQWSSSLFSGQHRRARLSLWKRLDYSGCVTHNSEYFEVSFNGEPEIEMLVLLYIMLLPHDAYYKMDLALATAGSSSKPMDIIFSDKSEKLCHKTGLLSEDLLLTKSLCNALLSLADMRDSLYGTNSIEDDIEALGRCCCLSDKKLYHSLMLRISERRILQKLKILFCEWN >ONI14599 pep chromosome:Prunus_persica_NCBIv2:G4:25296425:25298590:-1 gene:PRUPE_4G288100 transcript:ONI14599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSSRRVRAFKRWMKREGIECSDALDLRDEGVEIGISVSALAHLKEGDVVARIPKLTCLTTRTTAARDIISAAAAGEGEEESCLGGLAGLAVALMYERSLGERSRWAPYLELLNKEEESLPLVWSADEVEQLLAGTELEGTVKEDKGVMWKEWMESIEPTLLLQMHPLDAAAVEFDPSFFGFGDYLAARTLISSRSFQIDEFHGVGMVPLADLFNHKTGAEDVHLMTSPHKSESNTTQENNESDTTSTSISAIHDDDMSASITVDEGEGDDSGGEGDNGDRDNSEGGDDDDSDDYGDGDSDDYGDGDGDGDDSDGDDLEMTMVKDVKLGAEVFNTYGSLGNAALLHRYGFTEPDNPYDIVNIDLELILQWSSSLFSGQHRRARLSLWKRLDYSGCVTHNSEYFEVSFNGEPEIEMLVLLYIMLLPHDAYYKMDLALATAGSSSKPMDIIFSDKSEKLCHKTGLLSEDLLLTKSLCNALLSLADMRDSLYGTNSIEDDIEALGRCCCLSDKKLYHSLMLRISERRILQKLKMYAAKETSVRKKLKRK >ONI14600 pep chromosome:Prunus_persica_NCBIv2:G4:25295919:25298675:-1 gene:PRUPE_4G288100 transcript:ONI14600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSSRRVRAFKRWMKREGIECSDALDLRDEGVEIGISVSALAHLKEGDVVARIPKLTCLTTRTTAARDIISAAAAGEGEEESCLGGLAGLAVALMYERSLGERSRWAPYLELLNKEEESLPLVWSADEVEQLLAGTELEGTVKEDKGVMWKEWMESIEPTLLLQMHPLDAAAVEFDPSFFGFGDYLAARTLISSRSFQIDEFHGVGMVPLADLFNHKTGAEDVHLMTSPHKSESNTTQENNESDTTSTSISAIHDDDMSASITVDEGEGDDSGGEGDNGDRDNSEGGDDDDSDDYGDGDSDDYGDGDGDGDDSDGDDLEMTMVKDVKLGAEVFNTYGSLGNAALLHRYGFTEPDNPYDIVNIDLELILQWSSSLFSGQHRRARLSLWKRLDYSGCVTHNSEYFEVSFNGEPEIEMLVLLYIMLLPHDAYYKMDLALATAGSSSKPMDIIFSDKSEKLCHKTGLLSEDLLLTKSLCNALLSLADMRDSLYGTNSIEDDIEALGRCCCLSDKKLYHSLMLRISERRILQKLKMYAAKETSVRKKLKRK >ONI14607 pep chromosome:Prunus_persica_NCBIv2:G4:25295055:25301065:-1 gene:PRUPE_4G288100 transcript:ONI14607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSSRRVRAFKRWMKREGIECSDALDLRDEGVEIGISVSALAHLKEGDVVARIPKLTCLTTRTTAARDIISAAAAGEGEEESCLGGLAGLAVALMYERSLGERSRWAPYLELLNKEEESLPLVWSADEVEQLLAGTELEGTVKEDKGVMWKEWMESIEPTLLLQMHPLDAAAVEFDPSFFGFGDYLAARTLISSRSFQIDEFHGVGMVPLADLFNHKTGAEDVHLMTSPHKSESNTTQENNESDTTSTSISAIHDDDMSASITVDEGEGDDSGGEGDNGDRDNSEGGDDDDSDDYGDGDSDDYGDGDGDGDDSDGDDLEMTMVKDVKLGAEVFNTYGSLGNAALLHRYGFTEPDNPYDIVNIDLELILQWSSSLFSGQHRRARLSLWKRLDYSGCVTHNSEYFEICGTAFMAQIQ >ONI14602 pep chromosome:Prunus_persica_NCBIv2:G4:25295335:25300571:-1 gene:PRUPE_4G288100 transcript:ONI14602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSSRRVRAFKRWMKREGIECSDALDLRDEGVEIGISVSALAHLKEGDVVARIPKLTCLTTRTTAARDIISAAAAGEGEEESCLGGLAGLAVALMYERSLGERSRWAPYLELLNKEEESLPLVWSADEVEQLLAGTELEGTVKEDKGVMWKEWMESIEPTLLLQMHPLDAAAVEFDPSFFGFGDYLAARTLISSRSFQIDEFHGVGMVPLADLFNHKTGAEDVHLMTSPHKSESNTTQENNESDTTSTSISAIHDDDMSASITVDEGEGDDSGGEGDNGDRDNSEGGDDDDSDDYGDGDSDDYGDGDGDGDDSDGDDLEMTMVKDVKLGAEVFNTYGSLGNAALLHRYGFTEPDNPYDIVNIDLELILQWSSSLFSGQHRRARLSLWKRLDYSGCVTHNSEYFEICGTAFMAQIQ >ONI09873 pep chromosome:Prunus_persica_NCBIv2:G4:718960:720854:1 gene:PRUPE_4G015300 transcript:ONI09873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSRRLLRSFGSVLASGHCDSPSSLYPSLHASHDLCGHVLGDILFCEELSPFSKARSSFLANRRLLTSISTPESSGGAFPSNLLSAKPVVASEGTIGTRKGHKTLLGTHSTKTISEVSGTGRKPWNQKGTGRARQGSLRSPQFRGGSTMHGPKPRSHAFKLNKKLLVFDDFEVPTHKTKNIVNYVQQMDNSKKLLLLATQNLHYVNVLPAVGLNVNSILQHDTLVMSRAAVNEIVERMHTPIKR >ONI11102 pep chromosome:Prunus_persica_NCBIv2:G4:4305663:4307054:1 gene:PRUPE_4G087800 transcript:ONI11102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTPRSKGKPKSRTPPPAPPETETETRNWLDLPRDVTVSILSRLRAIDVLESAQKVCMVWRNICKDPLIWRTVDMSNGDCDFGAYDLDKMRRHAVDRSCGNLVDINNEHNCTDDLLKYITDRCSGIRRLRLVYCYFTSVGRFYEVASRLALLEKLNSFSNRASPWPNVCPHQPDPEVLVQPKTSYFISCSEVMVI >ONI11103 pep chromosome:Prunus_persica_NCBIv2:G4:4305746:4307046:1 gene:PRUPE_4G087800 transcript:ONI11103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTPRSKGKPKSRTPPPAPPETETETRNWLDLPRDVTVSILSRLRAIDVLESAQKVCMVWRNICKDPLIWRTVDMSNGDCDFGAYDLDKMRRHAVDRSCGNLVDINNEHNCTDDLLKYITDRYFFFRICISPT >ONI14473 pep chromosome:Prunus_persica_NCBIv2:G4:24061625:24069503:1 gene:PRUPE_4G282200 transcript:ONI14473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDLGISLARVATSLDGYGPFLLGWLVTGSFGLLAIIFAFLKWQKRTSLNWVKAAARAKKEVWKKLKVPLSHHTWIEDMTNGEQPSTCCVCLTSLVFLHNLGTKASYRTPVHRCSVCGVAAHFYCSQYAVRDCKCVAQAGFTHVRHHWSERWVNVHDNPEISAFCFYCEEPCGVPLLDASPTWHCLWCQRLIHVRCHNKMAKECGDACDFGTLRRIIISPLCVKEVGHYNGGGMLSSYTDEMLTSSVRPQNRRKRRHCKHGNGQSANGNLATDAPVEYVFNGFTGIKKSRSEKSFDYLKKDDKELIVKSNHNGFMQGKGGTVTRGQIKKYKLVDLPHDARPLLVFINAKSGGQHGASLRRRLNMLLNPVQVFELSSSQGPEVGLELFNHVHYFRVLVCGGDGTVAWVLDVIERHKFESPPPVAILPLGTGNDLSRVLQWGRGFSTVDGQGGLTTLLHEINHAAVTMLDRWKVNIKSEADPNKVQSKFMMNYLGIGCDAKVAYEFHVTREINPEKFSSQFVNKLRYAKEGARDIMDRTCADLPWQVWLEVDGKDIDIPKDSEGLIVLNIGSYMGGVNLWQNDIEHDDDFSLQSMHDKMLEVVCVCGAWHLGKLQVGLSHARRLAQGKVIRIHASSPFPVQIDGEPFIQQPGCLEITHHGQMFMLRRASEEPRGHAAAIMAEVLLDAECKGIINASQKKTLLQQMALQLN >ONI14472 pep chromosome:Prunus_persica_NCBIv2:G4:24061625:24069343:1 gene:PRUPE_4G282200 transcript:ONI14472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDLGISLARVATSLDGYGPFLLGWLVTGSFGLLAIIFAFLKWQKRTSLNWVKAAARAKKEVWKKLKVPLSHHTWIEDMTNGEQPSTCCVCLTSLVFLHNLGTKASYRTPVHRCSVCGVAAHFYCSQYAVRDCKCVAQAGFTHVRHHWSERWVNVHDNPEISAFCFYCEEPCGVPLLDASPTWHCLWCQRLIHVRCHNKMAKECGDACDFGTLRRIIISPLCVKEVGHYNGGGMLSSYTDEMLTSSVRPQNRRKRRHCKHGNGQSANGNLATDAPVEYVFNGFTGIKKSRSEKSFDYLKKDDKELIVKSNHNGFMQGKGGTVTRGQIKKYKLVDLPHDARPLLVFINAKSGGQHGASLRRRLNMLLNPVQVFELSSSQGPEVGLELFNHVHYFRVLVCGGDGTVAWVLDVIERHKFESPPPVAILPLGTGNDLSRVLQWGRGFSTVDGQGGLTTLLHEINHAAVTMLDRWKVNIKSEADPNKVQSKFMMNYLGIGCDAKVAYEFHVTREINPEKFSSQFVNKLRYAKEGARDIMDRTCADLPWQVWLEVDGKDIDIPKDSEGLIVLNIGSYMGGVNLWQNDIEHDDDFSLQSMHDKMLEVVCVCGAWHLGKLQVGLSHARRLAQGKVIRIHASSPFPVQIDGEPFIQQPGCLEITHHGQMFMLRRASEEPRGHAAAIMAEVLLDAECKGIINASQKKTLLQQMALQLN >ONI14474 pep chromosome:Prunus_persica_NCBIv2:G4:24061625:24069343:1 gene:PRUPE_4G282200 transcript:ONI14474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVDLGISLARVATSLDGYGPFLLGWLVTGSFGLLAIIFAFLKWQKRTSLNWVKAAARAKKEVWKKLKVPLSHHTWIEDMTNGEQPSTCCVCLTSLVFLHNLGTKASYRTPVHRCSVCGVAAHFYCSQYAVRDCKCVAQAGFTHVRHHWSERWVNVHDNPEISAFCFYCEEPCGVPLLDASPTWHCLWCQRLIHVRCHNKMAKECGDACDFGTLRRIIISPLCVKEVGHYNGGGMLSSYTDEMLTSSVRPQNRRKRRHCKHGNGQSANGNLATDAPVEYVFNGFTGIKKSRSEKSFDYLKKDDKELIVKSNHNGFMQGKGGTVTRGQIKKYKLVDLPHDARPLLVFINAKSGGQHGASLRRRLNMLLNPVQVFELSSSQGPEVGLELFNHVHYFRVLVCGGDGTVAWVLDVIERHKFESPPPVAILPLGTGNDLSRVLQWGRGFSTVDGQGGLTTLLHEINHAAVTMLDRWKVNIKSEADPNKVQSKFMMNYLGIGCDAKVAYEFHVTREINPEKFSSQDSEGLIVLNIGSYMGGVNLWQNDIEHDDDFSLQSMHDKMLEVVCVCGAWHLGKLQVGLSHARRLAQGKVIRIHASSPFPVQIDGEPFIQQPGCLEITHHGQMFMLRRASEEPRGHAAAIMAEVLLDAECKGIINASQKKTLLQQMALQLN >ONI12477 pep chromosome:Prunus_persica_NCBIv2:G4:9710563:9715035:-1 gene:PRUPE_4G167400 transcript:ONI12477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPGKPISELGLDSLNDRFRDSLSCEANKPDFRELDLGSPVSPLRTRQSGGLATATSSSSSSSGSFSGRNGPNPAAKRSDSAPNNHSGELSGSSESSPTAAESVRSAGNTRNFKPGHTRSDSGSTHPLIYSGQSSVNSPPLNVLPTGNICPSGKILKTGMVPNRSSRTDVLGSGMGNYGHGSIMRGGPAAKSGGIEAVSTVSSRVTGGGDLLKRTMVSADPEEVKRAGNEQYRRGHFAEALSLYARAIALSPANPAYRSNRAAALTGLGRLVEAVRECEEAVRLDPNYGRAHQRLGSLFLRLGQVENARRHLCFPGLKPDPAELQKLQAVEKHLKKCTDSRRVGDWKSVLRECDATIASGADFSPQLCMCRAEALLKLHQIDDAELVLSNTPKLETKPSQSKFFGMLSEAYSYFVMAQIEMAFGRFENAVTAAEKAGLIDPRNIEAAVLLNNVRMVVRARARGNDLFKSERFTEACSAYGDGLRFDPSNSVLFCNRAACWFKLGMWERSIEDCNQALCIKPNYTKALLRRAASNSKLERWVDAVRDYEVLSKELPDDNEVAESLFHAQVSLKKSRGEEVYNMKFGGEVEEILGLEQFRAAMALPASDFQCKQISPFVDTLCGRYPSINFLKVDIEENQAVASTENVRIVPTFKIYKNGSRVKEMVRPSREMLEHSLRHYSF >ONI12479 pep chromosome:Prunus_persica_NCBIv2:G4:9711293:9714444:-1 gene:PRUPE_4G167400 transcript:ONI12479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPGKPISELGLDSLNDRFRDSLSCEANKPDFRELDLGSPVSPLRTRQSGGLATATSSSSSSSGSFSGRNGPNPAAKRSDSAPNNHSGELSGSSESSPTAAESVRSAGNTRNFKPGHTRSDSGSTHPLIYSGQSSVNSPPLNVLPTGNICPSGKILKTGMVPNRSSRTDVLGSGMGNYGHGSIMRGGPAAKSGGIEAVSTVSSRVTGGGDLLKRTMVSADPEEVKRAGNEQYRRGHFAEALSLYARAIALSPANPAYRSNRAAALTGLGRLVEAVRECEEAVRLDPNYGRAHQRLGSLFLRLGQVENARRHLCFPGLKPDPAELQKLQAVEKHLKKCTDSRRVGDWKSVLRECDATIASGADFSPQLCMCRAEALLKLHQIDDAELVLSNTPKLETKPSQSKFFGMLSEAYSYFVMAQIEMAFGRFENAVTAAEKAGLIDPRNIEAAVLLNNVRMVVRARARGNDLFKSERFTEACSAYGDGLRFDPSNSVLFCNRAACWFKLGMWERSIEDCNQALCIKPNYTKALLRRAASNSKLERWVDAVRDYEVLSKELPDDNEVAESLFHAQVSLKKSRGEEVYNMKFGGEVEEILGLEQFRAAMALPGVSVVHFKAASDFQCKQISPFVDTLCGRYPSINFLKVDIEENQAVASTENVRIVPTFKIYKNGSRVKEMVRPSREMLEHSLRHYSF >ONI12478 pep chromosome:Prunus_persica_NCBIv2:G4:9709993:9715127:-1 gene:PRUPE_4G167400 transcript:ONI12478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPGKPISELGLDSLNDRFRDSLSCEANKPDFRELDLGSPVSPLRTRQSGGLATATSSSSSSSGSFSGRNGPNPAAKRSDSAPNNHSGELSGSSESSPTAAESVRSAGNTRNFKPGHTRSDSGSTHPLIYSGQSSVNSPPLNVLPTGNICPSGKILKTGMVPNRSSRTDVLGSGMGNYGHGSIMRGGPAAKSGGIEAVSTVSSRVTGGGDLLKRTMVSADPEEVKRAGNEQYRRGHFAEALSLYARAIALSPANPAYRSNRAAALTGLGRLVEAVRECEEAVRLDPNYGRAHQRLGSLFLRLGQVENARRHLCFPGLKPDPAELQKLQAVEKHLKKCTDSRRVGDWKSVLRECDATIASGADFSPQLCMCRAEALLKLHQIDDAELVLSNTPKLETKPSQSKFFGMLSEAYSYFVMAQIEMAFGRFENAVTAAEKAGLIDPRNIEAAVLLNNVRMVVRARARGNDLFKSERFTEACSAYGDGLRFDPSNSVLFCNRAACWFKLGMWERSIEDCNQALCIKPNYTKALLRRAASNSKLERWVDAVRDYEVLSKELPDDNEVAESLFHAQVSLKKSRGEEVYNMKFGGEVEEILGLEQFRAAMALPGVSVVHFKAASDFQCKQISPFVDTLCGRYPSINFLKVDIEENQAVASTENVRIVPTFKIYKNGSRVKEMVRPSREMLEHSLRHYSF >ONI11545 pep chromosome:Prunus_persica_NCBIv2:G4:5960729:5964674:1 gene:PRUPE_4G112500 transcript:ONI11545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEASVKKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGFDDSGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRVLTPAEIEDYLAEVE >ONI11546 pep chromosome:Prunus_persica_NCBIv2:G4:5960627:5964997:1 gene:PRUPE_4G112500 transcript:ONI11546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEASVKKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGFDDSGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRVLTPAEIEDYLAEVE >ONI10007 pep chromosome:Prunus_persica_NCBIv2:G4:1080026:1084233:-1 gene:PRUPE_4G022900 transcript:ONI10007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPGAGGVAPSALGQQYGQQPYMMMAPPPPPTAQAPPMWAPQSQVAPPPGQLVQQQPQPTSADEVRTLWIGDLQYWMEETYLYNILVHTGELVSVKVIRNKQTTQSEGYGFIEFSSRAAAERVLQSYNGTPMPNGAQNFRLNWASAGEKRSDDTPDYTIFVGDLASDVTDYMLQETFRARFPSCKGAKVVIDRLTGRTKGYGFVKFGDESEQLRAMTEMNGVLCSTRPMRIGPAANKNAGGSQQYSKGSYQNSQGSKNESDPNNTTIFVGNLDGNVTDDHLRQVFSQYGDLLHVKIPAGKRCGFVQFQDRSCAEEALRVLNGSQLGAQNIRLSWGRSPSNKQPQADPNQWNGGGYYGYAPTPDGYGYAQVSQDPSMYYGGYPAGYSNYQQPPQQQQQQVGYS >ONI10008 pep chromosome:Prunus_persica_NCBIv2:G4:1081767:1084234:-1 gene:PRUPE_4G022900 transcript:ONI10008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPGAGGVAPSALGQQYGQQPYMMMAPPPPPTAQAPPMWAPQSQVAPPPGQLVQQQPQPTSADEVRTLWIGDLQYWMEETYLYNILVHTGELVSVKVIRNKQTTQSEGYGFIEFSSRAAAERVLQSYNGTPMPNGAQNFRLNWASAGEKRSDDTPDYTIFVGDLASDVTDYMLQETFRARFPSCKGAKVVIDRLTGRTKGYGFVKFGDESEQLRAMTEMNGVLCSTRPMRIGPAANKNAGGSQQYSKGRQEALSSKGLLLISAEMVSPLVRGLA >ONI13508 pep chromosome:Prunus_persica_NCBIv2:G4:14406483:14411497:-1 gene:PRUPE_4G226700 transcript:ONI13508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAVRDKLRGKIGQTKVKRYWPGKAPEWADDADEDGDIRMSAAASLEKAFPTQEYSDVVRKDDPRLRRLAESRIDNREDVRADHRRIRQAEIVSTIEEEAKRQEGLEAEEEDADALEERRRRIKEKLRQREQEEAPLLLSEDEEEVKEEEEEESEYDTDSEEELTGMVMLKPVFVPKSERDTIAERERLEAEERALEESRKRNLEERKRETKQIVVEEIRKDEEIQKGLEQEGNIVDIDTDDEINEAEEYEAWKAREIARIKRDREDREAMIKEKEEIERVRNMTEEERRDWERKHPKAAPQPKQKWRFMQKYYHKGAFFQSEPDDYAATVGTDGIYTRDFSAPTGEDKMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRSKYNAKMAGMNAPIAKPKGSKKLKDWESR >ONI12548 pep chromosome:Prunus_persica_NCBIv2:G4:10082483:10083493:-1 gene:PRUPE_4G170900 transcript:ONI12548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASLKFWFCLLLVLLSFSSSDQARPLAPLLDKSNNREAMMESAKQVLEASIERQVGKLFETSKRVSPGGPDPRHHH >ONI11675 pep chromosome:Prunus_persica_NCBIv2:G4:6488849:6489803:1 gene:PRUPE_4G119900 transcript:ONI11675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGPLGTSCAPALNIFSSHGNGCLPGRTRNPSPLLRLDSPVDSSAFEYLEPCDDDLLVPSSMTFFLMAPTPCDLEVEEEVADGIGKL >ONI12448 pep chromosome:Prunus_persica_NCBIv2:G4:9596864:9599789:-1 gene:PRUPE_4G165300 transcript:ONI12448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTNKGKDVAEGSSSASAAAADQQQLKQAQPAQLSRYESQKRRDWNTFGQYLRNQRPPVALSQSNSNHVLDFLRYLDQFGKTKVHLQGCVFFGQPEPPGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKRNLLKANLDHNPNFTMHQS >ONI12447 pep chromosome:Prunus_persica_NCBIv2:G4:9597176:9599789:-1 gene:PRUPE_4G165300 transcript:ONI12447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTNKGKDVAEGSSSASAAAADQQQLKQAQPAQLSRYESQKRRDWNTFGQYLRNQRPPVALSQSNSNHVLDFLRYLDQFGKTKVHLQGCVFFGQPEPPGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKRNLLKANLDHNPNFTMHQS >ONI11063 pep chromosome:Prunus_persica_NCBIv2:G4:4172468:4178349:1 gene:PRUPE_4G085100 transcript:ONI11063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSTSAAGGGGGGSSVFGSSRLSCRPWERGDLLRRLATFKPSNWFAKPKVISSLACARRGWVNVDVDKIACESCSASLGFSLLPSWTPDEVQNAAEVFVKQLDSGHKVACPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFHSLPKVAASAVEQMWVSRGPQVDRFLSQSQNLMGGEVDFKSESIPELESSRDGAIFLYSRAQRLISLCGWEPRWLLNIQDCEEHSAQSARNGYSIGPTYAQIHLSQEPGSSRKAVSASARKDAGKNKVLVKESRGDLRSPLLDCSLCGATVRILDFLTIPRPARFTPNNIDIPDTSKKMGLTRGASAASGISGWVAADDAEKEQTEDRDEVATTTGGSLVPKSDVDLNLTMGGGFTFNQFGRTEMSGNIHDVDMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEKGGSSVDRPHLRTQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDTHCSSGAGPSHSMGLEIYADGNRVASFQQGSDQFAGIHSNRDSARASSVIAMDTICHGTDDDSMESVENYPGDVDDVHYDTHFPTSSTYGNLDMNDTSELNNSNQAQQSIGFQPVADVIPGEMGVSSTNDGEEIFNTETVTAQARDGFSFGISGGSVGMCASHEAEIHGADVSVHRADSVVGDVEPRTEDAENQGQTGESAPDPGLMDEIVPDEINREDPHGDSQEMLSRSVGRADSGSKVDGSTKAESVESGEKISRSCKLENNARPSLSCNANVYSNYRTTKKEVKNAGKSSFTNNCVYQESEYAVANGIGPPKGESNYEEPMEFDPIGHHNQFCPWVNGNVAAAGSSGRGPGTSADVVALCGWQLTLDALDALRSLGQAAIQTGQSESAASLYKDEHQNPGQKLLRHHSMSRSQGQH >ONI11061 pep chromosome:Prunus_persica_NCBIv2:G4:4172152:4178379:1 gene:PRUPE_4G085100 transcript:ONI11061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPTVPANVGSVDGSIHGQGSKGASISCVGSQPPMTSLSTSAAGGGGGGSSVFGSSRLSCRPWERGDLLRRLATFKPSNWFAKPKVISSLACARRGWVNVDVDKIACESCSASLGFSLLPSWTPDEVQNAAEVFVKQLDSGHKVACPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFHSLPKVAASAVEQMWVSRGPQVDRFLSQSQNLMGGEVDFKSESIPELESSRDGAIFLYSRAQRLISLCGWEPRWLLNIQDCEEHSAQSARNGYSIGPTYAQIHLSQEPGSSRKAVSASARKDAGKNKVLVKESRGDLRSPLLDCSLCGATVRILDFLTIPRPARFTPNNIDIPDTSKKMGLTRGASAASGISGWVAADDAEKEQTEDRDEVATTTGGSLVPKSDVDLNLTMGGGFTFNQFGRTEMSGNIHDVDMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEKGGSSVDRPHLRTQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDTHCSSGAGPSHSMGLEIYADGNRVASFQQGSDQFAGIHSNRDSARASSVIAMDTICHGTDDDSMESVENYPGDVDDVHYDTHFPTSSTYGNLDMNDTSELNNSNQAQQSIGFQPVADVIPGEMGVSSTNDGEEIFNTETVTAQARDGFSFGISGGSVGMCASHEAEIHGADVSVHRADSVVGDVEPRTEDAENQGQTGESAPDPGLMDEIVPDEINREDPHGDSQEMLSRSVGRADSGSKVDGSTKAESVESGEKISRSCKLENNARPSLSCNANVYSNYRTTKKEVKNAGKSSFTNNCVYQESEYAVANGIGPPKGESNYEEPMEFDPIGHHNQFCPWVNGNVAAAGSSGRGPGTSADVVALCGWQLTLDALDALRSLGQAAIQTGQSESAASLYKDEHQNPGQKLLRHHSMSRSQGQH >ONI11062 pep chromosome:Prunus_persica_NCBIv2:G4:4172305:4178354:1 gene:PRUPE_4G085100 transcript:ONI11062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPTVPANVGSVDGSIHGQGSKGASISCVGSQPPMTSLSTSAAGGGGGGSSVFGSSRLSCRPWERGDLLRRLATFKPSNWFAKPKVISSLACARRGWVNVDVDKIACESCSASLGFSLLPSWTPDEVQNAAEVFVKQLDSGHKVACPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFHSLPKVAASAVEQMWVSRGPQVDRFLSQSQNLMGGEVDFKSESIPELESSRDGAIFLYSRAQRLISLCGWEPRWLLNIQDCEEHSAQSARNGYSIGPTYAQIHLSQEPGSSRKAVSASARKDAGKNKVLVKESRGDLRSPLLDCSLCGATVRILDFLTIPRPARFTPNNIDIPDTSKKMGLTRGASAASGISGWVAADDAEKEQTEDRDEVATTTGGSLVPKSDVDLNLTMGGGFTFNQFGRTEMSGNIHDVDMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEKGGSSVDRPHLRTQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDTHCSSGAGPSHSMGLEIYADGNRVASFQQGSDQFAGIHSNRDSARASSVIAMDTICHGTDDDSMESVENYPGDVDDVHYDTHFPTSSTYGNLDMNDTSELNNSNQAQQSIGFQPVADVIPGEMGVSSTNDGEEIFNTETVTAQARDGFSFGISGGSVGMCASHEAEIHGADVSVHRADSVVGDVEPRTEDAENQGQTGESAPDPGLMDEIVPDEINREDPHGDSQEMLSRSVGRADSGSKVDGSTKAESVESGEKISRSCKLENNARPSLSCNANVYSNYRTTKKEVKNAGKSSFTNNCVYQESEYAVANGIGPPKGESNYEEPMEFDPIGHHNQFCPWVNGNVAAAGSSGRGPGTSADVVALCGWQLTLDALDALRSLGQAAIQTGQSESAASLYKDEHQNPGQKLLRHHSMSRSQGQH >ONI11058 pep chromosome:Prunus_persica_NCBIv2:G4:4172305:4178346:1 gene:PRUPE_4G085100 transcript:ONI11058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPTVPANVGSVDGSIHGQGSKGASISCVGSQPPMTSLSTSAAGGGGGGSSVFGSSRLSCRPWERGDLLRRLATFKPSNWFAKPKVISSLACARRGWVNVDVDKIACESCSASLGFSLLPSWTPDEVQNAAEVFVKQLDSGHKVACPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFHSLPKVAASAVEQMWVSRGPQVDRFLSQSQNLMGGEVDFKSESIPELESSRDGAIFLYSRAQRLISLCGWEPRWLLNIQDCEEHSAQSARNGYSIGPTYAQIHLSQEPGSSRKAVSASARKDAGKNKVLVKESRGDLRSPLLDCSLCGATVRILDFLTIPRPARFTPNNIDIPDTSKKMGLTRGASAASGISGWVAADDAEKEQTEDRDEVATTTGGSLVPKSDVDLNLTMGGGFTFNQFGRTEMSGNIHDVDMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEKGGSSVDRPHLRTQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDTHCSSGAGPSHSMGLEIYADGNRVASFQQGSDQFAGIHSNRDSARASSVIAMDTICHGTDDDSMESVENYPGDVDDVHYDTHFPTSSTYGNLDMNDTSELNNSNQAQQSIGFQPVADVIPGEMGVSSTNDGEEIFNTETVTAQARDGFSFGISGGSVGMCASHEAEIHGADVSVHRADSVVGDVEPRTEDAENQGQTGESAPDPGLMDEIVPDEINREDPHGDSQEMLSRSVGRADSGSKVDGSTKAESVESGEKISRSCKLENNARPSLSCNANVYSNYRTTKKEVKNAGKSSFTNNCVYQESEYAVANGIGPPKGESNYEEPMEFDPIGHHNQFCPWVNGNVAAAGSSGRGPGTSADVVALCGWQLTLDALDALRSLGQAAIQTGQSESAASLYKDEHQNPGQKLLRHHSMSRSQGQH >ONI11064 pep chromosome:Prunus_persica_NCBIv2:G4:4172534:4178363:1 gene:PRUPE_4G085100 transcript:ONI11064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSTSAAGGGGGGSSVFGSSRLSCRPWERGDLLRRLATFKPSNWFAKPKVISSLACARRGWVNVDVDKIACESCSASLGFSLLPSWTPDEVQNAAEVFVKQLDSGHKVACPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFHSLPKVAASAVEQMWVSRGPQVDRFLSQSQNLMGGEVDFKSESIPELESSRDGAIFLYSRAQRLISLCGWEPRWLLNIQDCEEHSAQSARNGYSIGPTYAQIHLSQEPGSSRKAVSASARKDAGKNKVLVKESRGDLRSPLLDCSLCGATVRILDFLTIPRPARFTPNNIDIPDTSKKMGLTRGASAASGISGWVAADDAEKEQTEDRDEVATTTGGSLVPKSDVDLNLTMGGGFTFNQFGRTEMSGNIHDVDMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEKGGSSVDRPHLRTQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDTHCSSGAGPSHSMGLEIYADGNRVASFQQGSDQFAGIHSNRDSARASSVIAMDTICHGTDDDSMESVENYPGDVDDVHYDTHFPTSSTYGNLDMNDTSELNNSNQAQQSIGFQPVADVIPGEMGVSSTNDGEEIFNTETVTAQARDGFSFGISGGSVGMCASHEAEIHGADVSVHRADSVVGDVEPRTEDAENQGQTGESAPDPGLMDEIVPDEINREDPHGDSQEMLSRSVGRADSGSKVDGSTKAESVESGEKISRSCKLENNARPSLSCNANVYSNYRTTKKEVKNAGKSSFTNNCVYQESEYAVANGIGPPKGESNYEEPMEFDPIGHHNQFCPWVNGNVAAAGSSGRGPGTSADVVALCGWQLTLDALDALRSLGQAAIQTGQSESAASLYKDEHQNPGQKLLRHHSMSRSQGQH >ONI11056 pep chromosome:Prunus_persica_NCBIv2:G4:4172268:4178355:1 gene:PRUPE_4G085100 transcript:ONI11056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPTVPANVGSVDGSIHGQGSKGASISCVGSQPPMTSLSTSAAGGGGGGSSVFGSSRLSCRPWERGDLLRRLATFKPSNWFAKPKVISSLACARRGWVNVDVDKIACESCSASLGFSLLPSWTPDEVQNAAEVFVKQLDSGHKVACPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFHSLPKVAASAVEQMWVSRGPQVDRFLSQSQNLMGGEVDFKSESIPELESSRDGAIFLYSRAQRLISLCGWEPRWLLNIQDCEEHSAQSARNGYSIGPTYAQIHLSQEPGSSRKAVSASARKDAGKNKVLVKESRGDLRSPLLDCSLCGATVRILDFLTIPRPARFTPNNIDIPDTSKKMGLTRGASAASGISGWVAADDAEKEQTEDRDEVATTTGGSLVPKSDVDLNLTMGGGFTFNQFGRTEMSGNIHDVDMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEKGGSSVDRPHLRTQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDTHCSSGAGPSHSMGLEIYADGNRVASFQQGSDQFAGIHSNRDSARASSVIAMDTICHGTDDDSMESVENYPGDVDDVHYDTHFPTSSTYGNLDMNDTSELNNSNQAQQSIGFQPVADVIPGEMGVSSTNDGEEIFNTETVTAQARDGFSFGISGGSVGMCASHEAEIHGADVSVHRADSVVGDVEPRTEDAENQGQTGESAPDPGLMDEIVPDEINREDPHGDSQEMLSRSVGRADSGSKVDGSTKAESVESGEKISRSCKLENNARPSLSCNANVYSNYRTTKKEVKNAGKSSFTNNCVYQESEYAVANGIGPPKGESNYEEPMEFDPIGHHNQFCPWVNGNVAAAGSSGRGPGTSADVVALCGWQLTLDALDALRSLGQAAIQTGQSESAASLYKDQKPRSKS >ONI11066 pep chromosome:Prunus_persica_NCBIv2:G4:4172468:4178381:1 gene:PRUPE_4G085100 transcript:ONI11066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSTSAAGGGGGGSSVFGSSRLSCRPWERGDLLRRLATFKPSNWFAKPKVISSLACARRGWVNVDVDKIACESCSASLGFSLLPSWTPDEVQNAAEVFVKQLDSGHKVACPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFHSLPKVAASAVEQMWVSRGPQVDRFLSQSQNLMGGEVDFKSESIPELESSRDGAIFLYSRAQRLISLCGWEPRWLLNIQDCEEHSAQSARNGYSIGPTYAQIHLSQEPGSSRKAVSASARKDAGKNKVLVKESRGDLRSPLLDCSLCGATVRILDFLTIPRPARFTPNNIDIPDTSKKMGLTRGASAASGISGWVAADDAEKEQTEDRDEVATTTGGSLVPKSDVDLNLTMGGGFTFNQFGRTEMSGNIHDVDMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEKGGSSVDRPHLRTQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDTHCSSGAGPSHSMGLEIYADGNRVASFQQGSDQFAGIHSNRDSARASSVIAMDTICHGTDDDSMESVENYPGDVDDVHYDTHFPTSSTYGNLDMNDTSELNNSNQAQQSIGFQPVADVIPGEMGVSSTNDGEEIFNTETVTAQARDGFSFGISGGSVGMCASHEAEIHGADVSVHRADSVVGDVEPRTEDAENQGQTGESAPDPGLMDEIVPDEINREDPHGDSQEMLSRSVGRADSGSKVDGSTKAESVESGEKISRSCKLENNARPSLSCNANVYSNYRTTKKEVKNAGKSSFTNNCVYQESEYAVANGIGPPKGESNYEEPMEFDPIGHHNQFCPWVNGNVAAAGSSGRGPGTSADVVALCGWQLTLDALDALRSLGQAAIQTGQSESAASLYKDEHQNPGQKLLRHHSMSRSQGQH >ONI11059 pep chromosome:Prunus_persica_NCBIv2:G4:4172305:4178351:1 gene:PRUPE_4G085100 transcript:ONI11059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPTVPANVGSVDGSIHGQGSKGASISCVGSQPPMTSLSTSAAGGGGGGSSVFGSSRLSCRPWERGDLLRRLATFKPSNWFAKPKVISSLACARRGWVNVDVDKIACESCSASLGFSLLPSWTPDEVQNAAEVFVKQLDSGHKVACPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFHSLPKVAASAVEQMWVSRGPQVDRFLSQSQNLMGGEVDFKSESIPELESSRDGAIFLYSRAQRLISLCGWEPRWLLNIQDCEEHSAQSARNGYSIGPTYAQIHLSQEPGSSRKAVSASARKDAGKNKVLVKESRGDLRSPLLDCSLCGATVRILDFLTIPRPARFTPNNIDIPDTSKKMGLTRGASAASGISGWVAADDAEKEQTEDRDEVATTTGGSLVPKSDVDLNLTMGGGFTFNQFGRTEMSGNIHDVDMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEKGGSSVDRPHLRTQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDTHCSSGAGPSHSMGLEIYADGNRVASFQQGSDQFAGIHSNRDSARASSVIAMDTICHGTDDDSMESVENYPGDVDDVHYDTHFPTSSTYGNLDMNDTSELNNSNQAQQSIGFQPVADVIPGEMGVSSTNDGEEIFNTETVTAQARDGFSFGISGGSVGMCASHEAEIHGADVSVHRADSVVGDVEPRTEDAENQGQTGESAPDPGLMDEIVPDEINREDPHGDSQEMLSRSVGRADSGSKVDGSTKAESVESGEKISRSCKLENNARPSLSCNANVYSNYRTTKKEVKNAGKSSFTNNCVYQESEYAVANGIGPPKGESNYEEPMEFDPIGHHNQFCPWVNGNVAAAGSSGRGPGTSADVVALCGWQLTLDALDALRSLGQAAIQTGQSESAASLYKDEHQNPGQKLLRHHSMSRSQGQH >ONI11060 pep chromosome:Prunus_persica_NCBIv2:G4:4172152:4178369:1 gene:PRUPE_4G085100 transcript:ONI11060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPTVPANVGSVDGSIHGQGSKGASISCVGSQPPMTSLSTSAAGGGGGGSSVFGSSRLSCRPWERGDLLRRLATFKPSNWFAKPKVISSLACARRGWVNVDVDKIACESCSASLGFSLLPSWTPDEVQNAAEVFVKQLDSGHKVACPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFHSLPKVAASAVEQMWVSRGPQVDRFLSQSQNLMGGEVDFKSESIPELESSRDGAIFLYSRAQRLISLCGWEPRWLLNIQDCEEHSAQSARNGYSIGPTYAQIHLSQEPGSSRKAVSASARKDAGKNKVLVKESRGDLRSPLLDCSLCGATVRILDFLTIPRPARFTPNNIDIPDTSKKMGLTRGASAASGISGWVAADDAEKEQTEDRDEVATTTGGSLVPKSDVDLNLTMGGGFTFNQFGRTEMSGNIHDVDMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEKGGSSVDRPHLRTQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDTHCSSGAGPSHSMGLEIYADGNRVASFQQGSDQFAGIHSNRDSARASSVIAMDTICHGTDDDSMESVENYPGDVDDVHYDTHFPTSSTYGNLDMNDTSELNNSNQAQQSIGFQPVADVIPGEMGVSSTNDGEEIFNTETVTAQARDGFSFGISGGSVGMCASHEAEIHGADVSVHRADSVVGDVEPRTEDAENQGQTGESAPDPGLMDEIVPDEINREDPHGDSQEMLSRSVGRADSGSKVDGSTKAESVESGEKISRSCKLENNARPSLSCNANVYSNYRTTKKEVKNAGKSSFTNNCVYQESEYAVANGIGPPKGESNYEEPMEFDPIGHHNQFCPWVNGNVAAAGSSGRGPGTSADVVALCGWQLTLDALDALRSLGQAAIQTGQSESAASLYKDEHQNPGQKLLRHHSMSRSQGQH >ONI11065 pep chromosome:Prunus_persica_NCBIv2:G4:4172305:4178346:1 gene:PRUPE_4G085100 transcript:ONI11065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSTSAAGGGGGGSSVFGSSRLSCRPWERGDLLRRLATFKPSNWFAKPKVISSLACARRGWVNVDVDKIACESCSASLGFSLLPSWTPDEVQNAAEVFVKQLDSGHKVACPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFHSLPKVAASAVEQMWVSRGPQVDRFLSQSQNLMGGEVDFKSESIPELESSRDGAIFLYSRAQRLISLCGWEPRWLLNIQDCEEHSAQSARNGYSIGPTYAQIHLSQEPGSSRKAVSASARKDAGKNKVLVKESRGDLRSPLLDCSLCGATVRILDFLTIPRPARFTPNNIDIPDTSKKMGLTRGASAASGISGWVAADDAEKEQTEDRDEVATTTGGSLVPKSDVDLNLTMGGGFTFNQFGRTEMSGNIHDVDMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEKGGSSVDRPHLRTQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDTHCSSGAGPSHSMGLEIYADGNRVASFQQGSDQFAGIHSNRDSARASSVIAMDTICHGTDDDSMESVENYPGDVDDVHYDTHFPTSSTYGNLDMNDTSELNNSNQAQQSIGFQPVADVIPGEMGVSSTNDGEEIFNTETVTAQARDGFSFGISGGSVGMCASHEAEIHGADVSVHRADSVVGDVEPRTEDAENQGQTGESAPDPGLMDEIVPDEINREDPHGDSQEMLSRSVGRADSGSKVDGSTKAESVESGEKISRSCKLENNARPSLSCNANVYSNYRTTKKEVKNAGKSSFTNNCVYQESEYAVANGIGPPKGESNYEEPMEFDPIGHHNQFCPWVNGNVAAAGSSGRGPGTSADVVALCGWQLTLDALDALRSLGQAAIQTGQSESAASLYKDEHQNPGQKLLRHHSMSRSQGQH >ONI11057 pep chromosome:Prunus_persica_NCBIv2:G4:4172225:4178388:1 gene:PRUPE_4G085100 transcript:ONI11057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVISSGGTIDPTPAASSAGASSPTVPANVGSVDGSIHGQGSKGASISCVGSQPPMTSLSTSAAGGGGGGSSVFGSSRLSCRPWERGDLLRRLATFKPSNWFAKPKVISSLACARRGWVNVDVDKIACESCSASLGFSLLPSWTPDEVQNAAEVFVKQLDSGHKVACPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFHSLPKVAASAVEQMWVSRGPQVDRFLSQSQNLMGGEVDFKSESIPELESSRDGAIFLYSRAQRLISLCGWEPRWLLNIQDCEEHSAQSARNGYSIGPTYAQIHLSQEPGSSRKAVSASARKDAGKNKVLVKESRGDLRSPLLDCSLCGATVRILDFLTIPRPARFTPNNIDIPDTSKKMGLTRGASAASGISGWVAADDAEKEQTEDRDEVATTTGGSLVPKSDVDLNLTMGGGFTFNQFGRTEMSGNIHDVDMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEKGGSSVDRPHLRTQQADSVEGTVIDRDGDEVTDGGQYSAGPSKRARDSDIFDTHCSSGAGPSHSMGLEIYADGNRVASFQQGSDQFAGIHSNRDSARASSVIAMDTICHGTDDDSMESVENYPGDVDDVHYDTHFPTSSTYGNLDMNDTSELNNSNQAQQSIGFQPVADVIPGEMGVSSTNDGEEIFNTETVTAQARDGFSFGISGGSVGMCASHEAEIHGADVSVHRADSVVGDVEPRTEDAENQGQTGESAPDPGLMDEIVPDEINREDPHGDSQEMLSRSVGRADSGSKVDGSTKAESVESGEKISRSCKLENNARPSLSCNANVYSNYRTTKKEVKNAGKSSFTNNCVYQESEYAVANGIGPPKGESNYEEPMEFDPIGHHNQFCPWVNGNVAAAGSSGRGPGTSADVVALCGWQLTLDALDALRSLGQAAIQTGQSESAASLYKDEHQNPGQKLLRHHSMSRSQGQH >ONI14436 pep chromosome:Prunus_persica_NCBIv2:G4:23773893:23774726:1 gene:PRUPE_4G280800 transcript:ONI14436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNIFDEHESFHNFFECWLSEQNQHLQDLIIASENNQTTRNNLYNGNTTTTAAANTNTSLRTLVERVVKHYEQYYEAKSRWVKQDVLRMLSPSWTTSLEDAFLWIGGWRPSMAFHLFYSKSGLQLEARLTELIEGLGTGDLADISQHQLMQVDHLQRRTVKEERDITEKMAKQQESVADTSMVELAHMTTELMSTNGGHEHEAEEDRVECVVASKEQCLEEILQRADSLRLKTLKAITHILTPIQAVHFLIAAAELHLRLHDWGKKEDARSRGNSS >ONI13557 pep chromosome:Prunus_persica_NCBIv2:G4:14742589:14745854:-1 gene:PRUPE_4G230100 transcript:ONI13557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSRVVPLFVKKNSKALYNLWGTTPSRVLNEESYRLFSTGATRKCLDGFRSLSKGNYVPSIRNMSTVASVGIENKEGLKLLVNGGPRAQKMVGIWLFGSAAWVFSMVILGGITRLTRSGLSMTDWKFTGRLPPLSDEDWLLEFGKYKQSPEFKRVNKGMSIEDFKFIYWMEYAHRMWGRALGIMFALPFSYFLRKGYITVQLGLRLSALFGLGAGQGLIGWWMVKSGLEEPASEYAQPRVSPYRLAAHLTSAFAIYCGLLWTGLSVVMPEPPSESLAWVRGAAKVKQLALPVSLLVGVTAVSGAFVAGNDAGHAYNTFPKMGDTWIPEDVFDMKPLIRNFFENTSTVQLDHRILATATLFSIGALWWSTRKFDIHPAVRSLIGSAVGMAGLQVTLGISTLLSYVPVELGTAHQAGALTLLTLMILLNHTLRRPSPYLLKSLPQVAKTI >ONI13836 pep chromosome:Prunus_persica_NCBIv2:G4:16628387:16633920:1 gene:PRUPE_4G248800 transcript:ONI13836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDDSYSNGNKSKTPIYILFKDCRFVFNLDKLGLEIASIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQASRIAIFPLVSVTTSFVAEEDTIGTASPEENQNDYLETGSSINGETRQLIPERGTDQNAYNSKPVGASFEIVTTNHQKRYIPSASSAMVIGSILGLIQAIFLISAAKPLLNFMGVSSDSPMLKPAQQYLILRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFMFVFRLGVNGAAISHVISQYLICVILLWRLMAQVDLLPPSIKHLQFGRFLKNGFLLLMRVIAVTFCVTLAASLAARQGPTPMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKKDHDKATATASRVLQLGLVLGLMLAVILGVGLQYGARLFTKDVDVLHLISIGIPFVAATQPINALAFVFDGVNFGASDFAYSAFSMVMVAIVSIFVLFILSSTNGFIGIWVALTIYMSLRAFAGFWRIGTGTGPWEFLRA >ONI13833 pep chromosome:Prunus_persica_NCBIv2:G4:16628517:16633819:1 gene:PRUPE_4G248800 transcript:ONI13833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDDSYSNGNKSKTPIYILFKDCRFVFNLDKLGLEIASIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQASRIAIFPLVSVTTSFVAEEDTIGTASPEENQNDYLETGSSINGETRQLIPERGTDQNAYNSKPVGASFEIVTTNHQKRYIPSASSAMVIGSILGLIQAIFLISAAKPLLNFMGVSSDSPMLKPAQQYLILRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFMFVFRLGVNGAAISHVISQYLICVILLWRLMAQVDLLPPSIKHLQFGRFLKNGFLLLMRVIAVTFCVTLAASLAARQGPTPMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKKDHDKATATASRVLQLGLVLGLMLAVILGVGLQYGARLFTKDVDVLHLISIGIPFVAATQPINALAFVFDGVNFGASDFAYSAFSMVMVAIVSIFVLFILSSTNGFIGIWVALTIYMSLRAFAGFWRYVLQNLVHTSMLTHTHKILLAQTDHFAMEFQDRDRNRTLGIPTGVNGRWFTQLEVQEFLCFHCCMIST >ONI13837 pep chromosome:Prunus_persica_NCBIv2:G4:16628572:16633841:1 gene:PRUPE_4G248800 transcript:ONI13837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDDSYSNGNKSKTPIYILFKDCRFVFNLDKLGLEIASIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQASRIAIFPLVSVTTSFVAEEDTIGTASPEENQNDYLETGSSINGETRQLIPERGTDQNAYNSKPVGASFEIVTTNHQKRYIPSASSAMVIGSILGLIQAIFLISAAKPLLNFMGVSSDSPMLKPAQQYLILRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFMFVFRLGVNGAAISHVISQYLICVILLWRLMAQVDLLPPSIKHLQFGRFLKNGFLLLMRVIAVTFCVTLAASLAARQGPTPMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKKDHDKATATASRVLQLGLVLGLMLAVILGVGLQYGARLFTKDVDVLHLISIGIPVMVAIVSIFVLFILSSTNGFIGIWVALTIYMSLRAFAGFWRIGTGTGPWEFLRA >ONI13838 pep chromosome:Prunus_persica_NCBIv2:G4:16628386:16633932:1 gene:PRUPE_4G248800 transcript:ONI13838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHIPMGTKAKLLSTFYSRIAGPVELAAVGVSIALFNQASRIAIFPLVSVTTSFVAEEDTIGTASPEENQNDYLETGSSINGETRQLIPERGTDQNAYNSKPVGASFEIVTTNHQKRYIPSASSAMVIGSILGLIQAIFLISAAKPLLNFMGVSSDSPMLKPAQQYLILRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFMFVFRLGVNGAAISHVISQYLICVILLWRLMAQVDLLPPSIKHLQFGRFLKNGFLLLMRVIAVTFCVTLAASLAARQGPTPMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKKDHDKATATASRVLQLGLVLGLMLAVILGVGLQYGARLFTKDVDVLHLISIGIPFVAATQPINALAFVFDGVNFGASDFAYSAFSMVMVAIVSIFVLFILSSTNGFIGIWVALTIYMSLRAFAGFWRIGTGTGPWEFLRA >ONI13834 pep chromosome:Prunus_persica_NCBIv2:G4:16628833:16633780:1 gene:PRUPE_4G248800 transcript:ONI13834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDDSYSNGNKSKTPIYILFKDCRFVFNLDKLGLEIASIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQASRIAIFPLVSVTTSFVAEEDTIGTASPEENQNDYLETGSSINGETRQLIPERGTDQNAYNSKPVGASFEIVTTNHQKRYIPSASSAMVIGSILGLIQAIFLISAAKPLLNFMGVSSDSPMLKPAQQYLILRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFMFVFRLGVNGAAISHVISQYLICVILLWRLMAQVDLLPPSIKHLQFGRFLKNGFLLLMRVIAVTFCVTLAASLAARQGPTPMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKKDHDKATATASRVLQLGLVLGLMLAVILGVGLQYGARLFTKDVDVLHLISIGIPFVAATQPINALAFVFDGVNFGASDFAYSAFSMVMVAIVSIFVLFILSSTNGFIGIWVALTIYMSLRAFAGFWRYVLQNLVHTSMLTHTHKILLAQTDHFAMEFQDRDRNRTLGIPTGVNGRWFTQLEVQEFLCFHCCMIST >ONI13835 pep chromosome:Prunus_persica_NCBIv2:G4:16628833:16633921:1 gene:PRUPE_4G248800 transcript:ONI13835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDDSYSNGNKSKTPIYILFKDCRFVFNLDKLGLEIASIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQASRIAIFPLVSVTTSFVAEEDTIGTASPEENQNDYLETGSSINGETRQLIPERGTDQNAYNSKPVGASFEIVTTNHQKRYIPSASSAMVIGSILGLIQAIFLISAAKPLLNFMGVSSDSPMLKPAQQYLILRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFMFVFRLGVNGAAISHVISQYLICVILLWRLMAQVDLLPPSIKHLQFGRFLKNGFLLLMRVIAVTFCVTLAASLAARQGPTPMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKKDHDKATATASRVLQLGLVLGLMLAVILGVGLQYGARLFTKDVDVLHLISIGIPFVAATQPINALAFVFDGVNFGASDFAYSAFSMVMVAIVSIFVLFILSSTNGFIGIWVALTIYMSLRAFAGFWRIGTGTGPWEFLRA >ONI10390 pep chromosome:Prunus_persica_NCBIv2:G4:2147970:2153573:-1 gene:PRUPE_4G044800 transcript:ONI10390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVRIIAKNFMDMVAALPAMKLDKLYENAFICEAILRSLPPLAKKYVLQMLCIEVPVTAKSMEEWVLPDGVSKHRVAIDRLIQLRIFTETVDRKRETTYTLNPIFQTNLKKLLLYGVVLPREPMPSNVTVRLPSSEDLEAFALGQWECFLLQLINSSQIERPSNISSSMMKIFQRGLLSQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEERGVDSADLISFLLELSFHVTGEAYNINTLTEVQKNTIKDLADLGLVKLQQGRKDSWFIPTRLATNLSVSLTDSSSRKQGFVVVETNFRLYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYSAFENGITADQIISFLQQNAHPRVAERVPSVPENVTDQIRLWETDLNRVEMTPAYHYDGFPSRDLFEGASDFARGYNALLWEDPEDSKKMSLVVKAENHVHMREYLSRQRQ >ONI10388 pep chromosome:Prunus_persica_NCBIv2:G4:2148512:2153210:-1 gene:PRUPE_4G044800 transcript:ONI10388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVRIIAKNFMDMVAALPAMKLDKLYENAFICEAILRSLPPLAKKYVLQMLCIEVPVTAKSMEEWVLPDGVSKHRVAIDRLIQLRIFTETVDRKRETTYTLNPIFQTNLKKLLLYGVVLPREPMPSNVTVRLPSSEDLEAFALGQWECFLLQLINSSQIERPSNISSSMMKIFQRGLLSQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEERGVDSADLISFLLELSFHVTGEAYNINTLTEVQKNTIKDLADLGLVKLQQGRKDSWFIPTRLATNLSVSLTDSSSRKQGFVVVETNFRLYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYSAFENGITADQIISFLQQNAHPRVAERVPSVPENVTDQIRLWETDLNRVEMTPAYHYDGFPSRDLFEGASDFARGYNALLWEDPEDSKKMSLVVKAENHVHMREYLSRQRQ >ONI10386 pep chromosome:Prunus_persica_NCBIv2:G4:2147970:2153574:-1 gene:PRUPE_4G044800 transcript:ONI10386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVRIIAKNFMDMVAALPAMKLDKLYENAFICEAILRSLPPLAKKYVLQMLCIEVPVTAKSMEEWVLPDGVSKHRVAIDRLIQLRIFTETVDRKRETTYTLNPIFQTNLKKLLLYGVVLPREPMPSNVTVRLPSSEDLEAFALGQWECFLLQLINSSQIERPSNISSSMMKIFQRGLLSQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEERGVDSADLISFLLELSFHVTGEAYNINTLTEVQKNTIKDLADLGLVKLQQGRKDSWFIPTRLATNLSVSLTDSSSRKQGFVVVETNFRLYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYSAFENGITADQQNAHPRVAERVPSVPENVTDQIRLWETDLNRVEMTPAYHYDGFPSRDLFEGASDFARGYNALLWEDPEDSKKMSLVVKAENHVHMREYLSRQRQ >ONI10389 pep chromosome:Prunus_persica_NCBIv2:G4:2147970:2153573:-1 gene:PRUPE_4G044800 transcript:ONI10389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVRIIAKNFMDMVAALPAMKLDKLYENAFICEAILRSLPPLAKKYVLQMLCIEVPVTAKSMEEWVLPDGVSKHRVAIDRLIQLRIFTETVDRKRETTYTLNPIFQTNLKKLLLYGVVLPREPMPSNVTVRLPSSEDLEAFALGQWECFLLQLINSSQIERPSNISSSMMKIFQRGLLSQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEERGVDSADLISFLLELSFHVTGEAYNINTLTEVQKNTIKDLADLGLVKLQQGRKDSWFIPTRLATNLSVSLTDSSSRKQGFVVVETNFRLYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYSAFENGITADQIISFLQQNAHPRVAERVPSVPENVTDQIRLWETDLNRVEMTPAYHYDGFPSRDLFEGASDFARGYNALLWEDPEDSKKMSLVVKAENHVHMREYLSRQRQ >ONI10392 pep chromosome:Prunus_persica_NCBIv2:G4:2148512:2152926:-1 gene:PRUPE_4G044800 transcript:ONI10392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCIEVPVTAKSMEEWVLPDGVSKHRVAIDRLIQLRIFTETVDRKRETTYTLNPIFQTNLKKLLLYGVVLPREPMPSNVTVRLPSSEDLEAFALGQWECFLLQLINSSQIERPSNISSSMMKIFQRGLLSQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEERGVDSADLISFLLELSFHVTGEAYNINTLTEVQKNTIKDLADLGLVKLQQGRKDSWFIPTRLATNLSVSLTDSSSRKQGFVVVETNFRLYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYSAFENGITADQIISFLQQNAHPRVAERVPSVPENVTDQIRLWETDLNRVEMTPAYHYDGFPSRDLFEGASDFARGYNALLWEDPEDSKKMSLVVKAENHVHMREYLSRQRQ >ONI10385 pep chromosome:Prunus_persica_NCBIv2:G4:2148512:2153210:-1 gene:PRUPE_4G044800 transcript:ONI10385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVRIIAKNFMDMVAALPAMKLDKLYENAFICEAILRSLPPLAKKYVLQMLCIEVPVTAKSMEEWVLPDGVSKHRVAIDRLIQLRIFTETVDRKRETTYTLNPIFQTNLKKLLLYGVVLPREPMPSNVTVRLPSSEDLEAFALGQWECFLLQLINSSQIERPSNISSSMMKIFQRGLLSQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEERGVDSADLISFLLELSFHVTGEAYNINTLTEVQKNTIKDLADLGLVKLQQGRKDSWFIPTRLATNLSVSLTDSSSRKQGFVVVETNFRLYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYSAFENGITADQQNAHPRVAERVPSVPENVTDQIRLWETDLNRVEMTPAYHYDGFPSRDLFEGASDFARGYNALLWEDPEDSKKMSLVVKAENHVHMREYLSRQRQ >ONI10387 pep chromosome:Prunus_persica_NCBIv2:G4:2147970:2153573:-1 gene:PRUPE_4G044800 transcript:ONI10387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVRIIAKNFMDMVAALPAMKLDKLYENAFICEAILRSLPPLAKKYVLQMLCIEVPVTAKSMEEWVLPDGVSKHRVAIDRLIQLRIFTETVDRKRETTYTLNPIFQTNLKKLLLYGVVLPREPMPSNVTVRLPSSEDLEAFALGQWECFLLQLINSSQIERPSNISSSMMKIFQRGLLSQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEERGVDSADLISFLLELSFHVTGEAYNINTLTEVQKNTIKDLADLGLVKLQQGRKDSWFIPTRLATNLSVSLTDSSSRKQGFVVVETNFRLYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYSAFENGITADQIISFLQQNAHPRVAERVPSVPENVTDQIRLWETDLNRVEMTPAYHYDGFPSRDLFEGASDFARGYNALLWEDPEDSKKMSLVVKAENHVHMREYLSRQRQ >ONI10391 pep chromosome:Prunus_persica_NCBIv2:G4:2148512:2152926:-1 gene:PRUPE_4G044800 transcript:ONI10391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCIEVPVTAKSMEEWVLPDGVSKHRVAIDRLIQLRIFTETVDRKRETTYTLNPIFQTNLKKLLLYGVVLPREPMPSNVTVRLPSSEDLEAFALGQWECFLLQLINSSQIERPSNISSSMMKIFQRGLLSQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEERGVDSADLISFLLELSFHVTGEAYNINTLTEVQKNTIKDLADLGLVKLQQGRKDSWFIPTRLATNLSVSLTDSSSRKQGFVVVETNFRLYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYSAFENGITADQIISFLQQNAHPRVAERVPSVPENVTDQIRLWETDLNRVEMTPAYHYDGFPSRDLFEGASDFARGYNALLWEDPEDSKKMSLVVKAENHVHMREYLSRQRQ >ONI13550 pep chromosome:Prunus_persica_NCBIv2:G4:14713714:14716533:1 gene:PRUPE_4G229700 transcript:ONI13550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEREVVKKPRLVVRKFLARPQHEGVGAVVRRSIGRFELKYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLQGAVTHEDFEGHKGTIGAGDLQWMTAGRGIVHSEMPAAQGTQKGLQLWINLSSKHKMIEPKYQEMLSKDIKEAAKDGVKVRVIAGEALGTKSPIYTRTPTMYLDFTLKSGAILQQPIQISWNAFVYVLEGEGIFGSPKSLPVTAHHLLLLGSGDGLEAWNKSSKPLRFILIGGEPLGEPITQYGPFVMNTQEEIDQTIDDFDNCVNGFEKARHWSSGDALSLDF >ONI12074 pep chromosome:Prunus_persica_NCBIv2:G4:8198196:8200386:1 gene:PRUPE_4G143000 transcript:ONI12074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVNSVYPIAHPSPESTRNSCRFFSHIRNLQPLSLSKGFSRVLATTQITISPKDTVFTLPNWRAAKNNRRSREVRLIDAFLHLESMVGKGQKPDVAQATQLLYDLCKANKMRKAVRVIEMMVSAGIIPDAASYTFLVNYLCKRGNIGYAMQLVEKMEEYGYPTNTITYNSLVRGLCVRGNLNQSLQLLDRLIQKGLVPNVYTYSFLLEAAYKERGVNEAMKLLQEIIAKGGKPNLVSYNVLLTGLCKEGRTDEALRFFRNLPSMGFDPNVVSYNIVLRSLCYDGRWEEANELLSEMEGEDRSPSIVTYNILIGSLALHGRTEHALEVLDEMVRGRFKPTAASYNPIIAHLCKERKVDSVIKCLDQMIHRRCNPNEGTFNAIAVLCEEGMVQEAFSIIQSLANKQKCSTNEFYKNVITSLSRKGNTFPAFQILYEMTKRGFTPDSYTYSSLIRGLCLEGMLDEAMEIFKVMEENNIRPDTDNFNALVLGFCKSRRTDLSLQVFEMMIEKGRMPNEMTYTILVEGIAHEGELELAAKVLKELHLRHVMSPNTVERLVMQYDFEDLPV >ONI14231 pep chromosome:Prunus_persica_NCBIv2:G4:21585554:21597137:-1 gene:PRUPE_4G270000 transcript:ONI14231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVGRDFGDTMQKDAVPAVSADVIFSSSRFPIYKIGANNQIVEAKDEQKVLSMKEVVARETAQLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEDAISMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKLVDEERAFARAEIENARAAVQRVEEALQEQEQMSRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRAQLTEKSKNSVRLQKELAMSKRGLEQNSQLYELDGPEALGSYLRIQPCSDDAPELSKCSIQWYRVSSQGGKKELISGAIKSVYAPEPFDVGRILQADIILEGQWLTLTTAGPIDPAAGLGSYVEALVRKHDIEFNVVITQMNGVNHPSESIHVFHVGKMRIKLCKGKTTIAKEYFSTSMQLCGVRGGGNAAAQALFWQAKQGLSFVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRAPLETNGAP >ONI14230 pep chromosome:Prunus_persica_NCBIv2:G4:21585261:21598071:-1 gene:PRUPE_4G270000 transcript:ONI14230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVGRDFGDTMQKDAVPAVSADVIFSSSRFPIYKIGANNQIVEAKDEQKVLSMKEVVARETAQLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEDAISMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKLVDEERAFARAEIENARAAVQRVEEALQEQEQMSRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRAQLTEKSKNSVRLQKELAMSKRGLEQNSQLYELDGPEALGSYLRIQPCSDDAPELSKCSIQWYRVSSQGGKKELISGAIKSVYAPEPFDVGRILQADIILEGQWLTLTTAGPIDPAAGLGSYVEALVRKHDIEFNVVITQMNGVNHPSESIHVFHVGKMRIKLCKGKTTIAKEYFSTSMQLCGVRGGGNAAAQALFWQAKQGLSFVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRAPLETNGAP >ONI14446 pep chromosome:Prunus_persica_NCBIv2:G4:23863118:23870350:-1 gene:PRUPE_4G281300 transcript:ONI14446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTRKTQVSSISSILVGLLVIPLVVLLVIFLIHPTAFSSSSFSSSSWETTRNPVASNFTSNGRSNYSVPSSTEEIWQPQPSQAPAFTFSNNRSGAPAPAPQIFGVDDEVQETKTQQVEGPNKTVSLSSSSSRSSQLEKLEASLGRARSSIREAAKVRNKTSTHQDPDYVPRGPIYRNPNAFHRSYLEMEKLFKIYVYEEGQVPIFHDGPCFHMYLTEGRFIHEMEKGKLYRTTDPNQALVYFLPFSVVRMVQFLYVPDSYDRNGLKLAVIDYINLIAHKHPFWNRSLGADHFMLSCHDWGPFTTTFVPHLFHKSIRVLCNANTSEGFNPSKDASLPEIKLLTSKIPRLGGHPASSRSTLAFFAGGLHGHIRYLLLNEWKGKDQDVQVYEKVPHGVSYEEMMKSSKFCLCPSGYEVASPRVVESIYAECVPVLISDGYIPPFSDVLDWKSFSVQVPVKNISDIKNILMSISNRQYLRMQRRLKQVQRHFLMNGPPKRYDVFHMIVHSIWLRRLNIRIQDLLM >ONI11868 pep chromosome:Prunus_persica_NCBIv2:G4:7231314:7235420:-1 gene:PRUPE_4G131000 transcript:ONI11868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSPPSSGGPSDNRMEPLLVSQDDAVPGRSSWRLDVKEFRLPQQSTATTADHDNGRRRFSLRRLCCTSKKQYKVEEYYKQQERLLEGFTEMETMTEEGCLPGSLTEDQMKQLAKSERMAVHVSNIANLVLFAAKVYASIMSRSLAVIASTLDSLLDLLSGFILWFTANAMKNPNQYHYPIGKKRMQPVGIIVFASVMATLGLQILLESARELISQSPPEKMKFTEEMWMIGIMASVTVVKFVLMVYCRRFKNEIVRAYAQDHFFDVITNSVGLAAAVLAVRFFWWIDPTGAIVIAIYTINTWAKTVLENVHSLIGRTAPPDFLAKLTYLIWNHHEEIRHIDTVRAYTFGSHYFVEVDIVLPEDMLLNKAHNIGETLQEKLEQLPEVERAFVHIDFEFTHRPEHKNNV >ONI11869 pep chromosome:Prunus_persica_NCBIv2:G4:7231313:7233938:-1 gene:PRUPE_4G131000 transcript:ONI11869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTFLGFLNVEKQYKVEEYYKQQERLLEGFTEMETMTEEGCLPGSLTEDQMKQLAKSERMAVHVSNIANLVLFAAKVYASIMSRSLAVIASTLDSLLDLLSGFILWFTANAMKNPNQYHYPIGKKRMQPVGIIVFASVMATLGLQILLESARELISQSPPEKMKFTEEMWMIGIMASVTVVKFVLMVYCRRFKNEIVRAYAQDHFFDVITNSVGLAAAVLAVRFFWWIDPTGAIVIAIYTINTWAKTVLENVHSLIGRTAPPDFLAKLTYLIWNHHEEIRHIDTVRAYTFGSHYFVEVDIVLPEDMLLNKAHNIGETLQEKLEQLPEVERAFVHIDFEFTHRPEHKNNV >ONI11971 pep chromosome:Prunus_persica_NCBIv2:G4:7659972:7666871:1 gene:PRUPE_4G137800 transcript:ONI11971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLSGDSSNYGISDTGSYKHTQDKLDEGACWYFSRKEIEENSPSKIDGIDLKKEAYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLCQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIINKKDPAAVHRIKQKEVYEQQKELILLGERVVLATLAFDLNVNHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRLPPSANEVEGSSVSGANHQPSAKATTSNEEHATGNSHSQAGGTRPGTSKPASRQGSEQSYVENGGPARTTHSQSNDYRSAEMKGALDQNMDVEFKDSQPPEAVSLHLDKVGESQNISRFVSEGHSEEQERESNVGKGETREGWELKDKQFGRSLENRQSAHGRSPQEAIKKIDKDKVRAALEKRRKSVADVTRKTDVLDEDDLIERELEDGIELATGSEKNKRDRRQSWSKPSNRQEHEGLHQGKHPDGVGDGGPHLNNIEEGEVSALDAGQEFQSPKSSSRKRKAGSPVAVPMERKQRHDYVPGPHHHNHGDYTEDHNRVGRSGHTERDSKRHAPENHV >ONI11525 pep chromosome:Prunus_persica_NCBIv2:G4:5896273:5899093:1 gene:PRUPE_4G111200 transcript:ONI11525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLASLIPNSANGTCCFPKGAVKQGGQNPIVQKQEQWKFLKSLAPPLMAAAVLALSPICITPVSDAQTLDVQKGAALFRKTCIGCHDAGGNIIQPGATLFTRDLQRNGVDTEEEIYRVTYFGKGRMPGFGEKCTPRGQCTFGARLQDEEIKLLAEFVKLQADQNWPNILIEEK >ONI13354 pep chromosome:Prunus_persica_NCBIv2:G4:13599726:13600494:-1 gene:PRUPE_4G217000 transcript:ONI13354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLMGWSLIVFGLVVMVLNNAGPTNGITCQEALMTLMPCEPYLVGSGPGSPAVPCCAGVQTLVSEATSPDIRRNLCECLKTAAAGMKIDPGRLKAIPEYCKVSVPVPLDPNVDCSKVPLF >ONI09906 pep chromosome:Prunus_persica_NCBIv2:G4:822020:825276:1 gene:PRUPE_4G017600 transcript:ONI09906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGWGLTLDSESFGFFLNKPPAAVKLDHHQNNSKRSNFFGGERMFPGIEFPVKLGGREDQLAAPQPSIHDNNNRVVVDEVDFFSDRKNKHNTTTTDDDHQDMKSNGTISVKKENWTGLDVNLAQLQVELQRMNSENLRLKEMLGQVTNNYSALQMHVAAVMQQQQQQQQNHTAAADQSSQLNHDQNVEAKSDQEKKQGLVPRQFLNLGPRATAETDDQVSNSSSEARTRSASPQNINEAASSKDHHLKKNDHPIGPLDPENSNNFRDGKRVGREESPESESQGWVPNKAPKLNSATNKPIDQSTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTTAAASMLLSGSMSSADGIMNPNNLLARAILPCSSSVATISASAPFPTVTLDLTTTPLQFQRPQSQFQVPFPGQQPQLPQVFGQALYNQSKFSGLQLSQDLMGSNSQQQQQHLPHQTQSASFADTVSAATAAITADPTFTAALAAAITSIIGGGHPNNNNNNNNNSASTTSNNSNGGNNNNSNSKMSGFPGH >ONI09905 pep chromosome:Prunus_persica_NCBIv2:G4:822020:825276:1 gene:PRUPE_4G017600 transcript:ONI09905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGWGLTLDSESFGFFLNKPPAAVKLDHHQNNSKRSNFFGGERMFPGIEFPVKLGGREDQLAAPQPSIHDNNNRVVVDEVDFFSDRKNKHNTTTTDDDHQDMKSNGTISVKKENWTGLDVNTGLHLVTANTGSDQSMVDDGISSDMDNKRAKNHELAQLQVELQRMNSENLRLKEMLGQVTNNYSALQMHVAAVMQQQQQQQQNHTAAADQSSQLNHDQNVEAKSDQEKKQGLVPRQFLNLGPRATAETDDQVSNSSSEARTRSASPQNINEAASSKDHHLKKNDHPIGPLDPENSNNFRDGKRVGREESPESESQGWVPNKAPKLNSATNKPIDQSTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTTAAASMLLSGSMSSADGIMNPNNLLARAILPCSSSVATISASAPFPTVTLDLTTTPLQFQRPQSQFQVPFPGQQPQLPQVFGQALYNQSKFSGLQLSQDLMGSNSQQQQQHLPHQTQSASFADTVSAATAAITADPTFTAALAAAITSIIGGGHPNNNNNNNNNSASTTSNNSNGGNNNNSNSKMSGFPGH >ONI14323 pep chromosome:Prunus_persica_NCBIv2:G4:23024584:23041354:-1 gene:PRUPE_4G275700 transcript:ONI14323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKSSKKPQSLNDSHYRLLQDLGSPSAKPFSRLAEDDKEEMEDLKPSMVKIEGRASSKDQSNNDNNRSDHNIPHFSLTGFDSSPETIVEPEKPTKVKVEGRRRLCKISSRQENAPAEKAAVLDEPNFSDITDFESPTLPQRNASKTSANTGGNEIRDILDDLSSRLEFLSIERRGARKADMVEGSFPSVICKEVDDGKKVDLPEYESAGSSFSLASDMSDSSLDATKKARNGVESVVDEYEDKGHIQYESEGDKFGKQTHGMKITGKGVGGNESRSMGAKLVLVGDSFVSETEGEEAKAYLQSEPRGDNYGDRLPTKHDERQKKREASLVDKKPKTECRSLVSKGGKGEVDDEDGCVVLHDKKIVKELGRHRGNSKEHYDSDEVIVVDDSTDDSGLEDDSSITLSGLKSTYKLPGKIATILFPHQRDGLKWLWSLHCQGKGGILGDDMGLGKTMQICSFLAGLFHSCLIKRVMIVAPKTLLSHWIKELSFVGLSDKIREYYGTCAKARQYELQYVLQDKGVLLTTYDIVRVNSKSLRGSRYVHDDESEEYIWDYMILDEGHLIKNPSTQRAKSLLEIPCAHRIIVSGTPLQNNLKELWALFNFCCPELLGDKQWFKEKFESLILRGNEKNASDREKRIGSTVAKELRERIQPFFLRRLKNEVFNEDNGQTNAKLSKKNEIIVWLRLTGCQRKLYEAFLKSELVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEEMDSMMKPEDTCMAEKLAMYIADVAEKEDLDERQDFDEKHANISCKISFILSLLENLIPEGHNVLIFSQTRKMLNLIQESLVSSGYKFLRIDGTTKAIDRMRVVDDFQEGNGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQNKDVIVYRLMTCGTVEEKIYRKQDLCELFSLPKEGFDISLTQQQLYEEHDRQHTMDESFKSHIEFLETQGIAGVSHHSLLFSKTAPVPVVEEEQEAERIRGASTAGRSSSSSLLECNVNGAEYAFKPKDVILNKKPSSPNDAGKLTESEIKQRINRLSQTLANKAMVSRLPDKGEKIQKQIAELNSELYRLGTVEGNERNVINLDDITGEFGRVLI >ONI14322 pep chromosome:Prunus_persica_NCBIv2:G4:23022121:23041354:-1 gene:PRUPE_4G275700 transcript:ONI14322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKSSKKPQSLNDSHYRLLQDLGSPSAKPFSRLAEDDKEEMEDLKPSMVKIEGRASSKDQSNNDNNRSDHNIPHFSLTGFDSSPETIVEPEKPTKVKVEGRRRLCKISSRQENAPAEKAAVLDEPNFSDITDFESPTLPQRNASKTSANTGGNEIRDILDDLSSRLEFLSIERRGARKADMVEGSFPSVICKEVDDGKKVDLPEYESAGSSFSLASDMSDSSLDATKKARNGVESVVDEYEDKGHIQYESEGDKFGKQTHGMKITGKGVGGNESRSMGAKLVLVGDSFVSETEGEEAKAYLQSEPRGDNYGDRLPTKHDERQKKREASLVDKKPKTECRSLVSKGGKGEVDDEDGCVVLHDKKIVKELGRHRGNSKEHYDSDEVIVVDDSTDDSGLEDDSSITLSGLKSTYKLPGKIATILFPHQRDGLKWLWSLHCQGKGGILGDDMGLGKTMQICSFLAGLFHSCLIKRVMIVAPKTLLSHWIKELSFVGLSDKIREYYGTCAKARQYELQYVLQDKGVLLTTYDIVRVNSKSLRGSRYVHDDESEEYIWDYMILDEGHLIKNPSTQRAKSLLEIPCAHRIIVSGTPLQNNLKELWALFNFCCPELLGDKQWFKEKFESLILRGNEKNASDREKRIGSTVAKELRERIQPFFLRRLKNEVFNEDNGQTNAKLSKKNEIIVWLRLTGCQRKLYEAFLKSELVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEEMDSMMKPEDTCMAEKLAMYIADVAEKEDLDERQDFDEKHANISCKISFILSLLENLIPEGHNVLIFSQTRKMLNLIQESLVSSGYKFLRIDGTTKAIDRMRVVDDFQEGNGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQNKDVIVYRLMTCGTVEEKIYRKQIYKGGLFKTATEHKEQMRYFSQQDLCELFSLPKEGFDISLTQQQLYEEHDRQHTMDESFKSHIEFLETQGIAGVSHHSLLFSKTAPVPVVEEEQEAERIRGASTAGRSSSSSLLECNVNGAEYAFKPKDVILNKKPSSPNDAGKLTESEIKQRINRLSQTLANKAMVSRLPDKGEKIQKQIAELNSELYRLGTVEGNERNVINLDDITGEFGRIMLLSNETWKQKRTTLLLLLLCSWLNLSIKNMMLYFVRLSYVHTCFLSRSFG >ONI14324 pep chromosome:Prunus_persica_NCBIv2:G4:23024585:23041420:-1 gene:PRUPE_4G275700 transcript:ONI14324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKSSKKPQSLNDSHYRLLQDLGSPSAKPFSRLAEDDKEEMEDLKPSMVKIEGRASSKDQSNNDNNRSDHNIPHFSLTGFDSSPETIVEPEKPTKVKVEGRRRLCKISSRQENAPAEKAAVLDEPNFSDITDFESPTLPQRNASKTSANTGGNEIRDILDDLSSRLEFLSIERRGARKADMVEGSFPSVICKEVDDGKKVDLPEYESAGSSFSLASDMSDSSLDATKKARNGVESVVDEYEDKGHIQYESEGDKFGKQTHGMKITGKGVGGNESRSMGAKLVLVGDSFVSETEGEEAKAYLQSEPRGDNYGDRLPTKHDERQKKREASLVDKKPKTECRSLVSKGGKGEVDDEDGCVVLHDKKIVKELGRHRGNSKEHYDSDEVIVVDDSTDDSGLEDDSSITLSGLKSTYKLPGKIATILFPHQRDGLKWLWSLHCQGKGGILGDDMGLGKTMQICSFLAGLFHSCLIKRVMIVAPKTLLSHWIKELSFVGLSDKIREYYGTCAKARQYELQYVLQDKGVLLTTYDIVRVNSKSLRGSRYVHDDESEEYIWDYMILDEGHLIKNPSTQRAKSLLEIPCAHRIIVSGTPLQNNLKELWALFNFCCPELLGDKQWFKEKFESLILRGNEKNASDREKRIGSTVAKELRERIQPFFLRRLKNEVFNEDNGQTNAKLSKKNEIIVWLRLTGCQRKLYEAFLKSELVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEEMDSMMKPEDTCMAEKLAMYIADVAEKEDLDERQDFDEKHANISCKISFILSLLENLIPEGHNVLIFSQTRKMLNLIQESLVSSGYKFLRIDGTTKAIDRMRVVDDFQEGNGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQNKDVIVYRLMTCGTVEEKIYRKQIYKGGLFKTATEHKEQMRYFSQQDLCELFSLPKEGFDISLTQQQLYEEHDRQHTMDESFKSHIEFLETQGIAGVSHHSLLFSKTAPVPVVEEEQEAERIRGASTAGRSSSSSLLECNVNGAEYAFKPKDVILNKKPSSPNDAGKLTESEIKQRINRLSQTLANKAMVSRLPDKGEKIQKQIAELNSELYRLGTVEGNERNVINLDDITGEFGRVLI >ONI09899 pep chromosome:Prunus_persica_NCBIv2:G4:805096:805848:1 gene:PRUPE_4G017000 transcript:ONI09899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFMLFLMLAMLMASAITTLSAIPDEEESFFNEENNNDANDETKSQLEKSTSLRGRSRFLASRPPAMTCDRYPKVCRASGSAGPDCCKKKCVDRNTDRANCGKCGRKCKYAEICCKGKCVNPRSDKKNCGSCNNKCKKGSSCAYGMCSYA >ONI13985 pep chromosome:Prunus_persica_NCBIv2:G4:18017475:18017813:-1 gene:PRUPE_4G256400 transcript:ONI13985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRTRSFRDEDYNNRRVFLRSYPLDWELGGGDQNDKEAGGDASTDEMRRSKRSNKDCSSRSKKPLRKIILALYHWGGGKVLVLRRFKHKLTIYVISCIPVGFKPPTALISA >ONI14594 pep chromosome:Prunus_persica_NCBIv2:G4:25282142:25289955:1 gene:PRUPE_4G288000 transcript:ONI14594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEKYYKAFAAYAASNVGLATVAAALCAFIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSILGVSAGFVVGKEGPMVHTGACIASFLGQGGSRKYHLTWSWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRVFIQYCTTGNCGLFGQGGLIMYDVSAASATYSGPDILAVLFLGILGGIFGSIYNYLVDKVIRTYSIIHEKGAAAKILLVITIALLTSCLSYGLPWFAECQACPTDESVSCPSVGQSGNYKSFNCPSGYYNDLASLFLNTNDDAIRNLFSTSTTKEFHISSLFIFFAAVYFLGIITYGIAIPSGLFIPVILAGACYGRLVGRLFESISTLDTGLFALLGAASFLGGTMRMTVSLCIILLELTNDLLLLPLVMLVLLISKTVADNFNKGIYDQIVKIKGLPYLEAHAEPYMRHLVTSDVVSGPLVTFSGIEKVGRILDALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLILLKGKIFSTDRVVCGAEILHRFAAFDFAKAGSGKGIKLEDLDIEEEEMDMYVDLHPITNASPYTVVETMSLAKAAILFRQLGLRHLCLVPKSQGRPPIVGILTRHDFMPEHILGLYPHIKAH >ONI14593 pep chromosome:Prunus_persica_NCBIv2:G4:25282741:25289944:1 gene:PRUPE_4G288000 transcript:ONI14593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEKYYKAFAAYAASNVGLATVAAALCAFIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSILGVSAGFVVGKEGPMVHTGACIASFLGQGGSRKYHLTWSWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRVFIQYCTTGNCGLFGQGGLIMYDVSAASATYSGPDILAVLFLGILGGIFGSIYNYLVDKVIRTYSIIHEKGAAAKILLVITIALLTSCLSYGLPWFAECQACPTDESVSCPSVGQSGNYKSFNCPSGYYNDLASLFLNTNDDAIRNLFSTSTTKEFHISSLFIFFAAVYFLGIITYGIAIPSGLFIPVILAGACYGRLVGRLFESISTLDTGLFALLGAASFLGGTMRMTVSLCIILLELTNDLLLLPLVMLVLLISKTVADNFNKGIYDQIVKIKGLPYLEAHAEPYMRHLVTSDVVSGPLVTFSGIEKVGRILDALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLILLKGKIFSTDRVVCGAEILHRFAAFDFAKAGSGKGIKLEDLDIEEEEMDMYVDLHPITNASPYTVVETMSLAKAAILFRQLGLRHLCLVPKSQGRPPIVGILTRHDFMPEHILGLYPHIKAH >ONI14591 pep chromosome:Prunus_persica_NCBIv2:G4:25282138:25289944:1 gene:PRUPE_4G288000 transcript:ONI14591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGNHSASNLPLRTESGVDIENLDLLDGKERENRTTYGEPLLTKRKNTTSQIAIVGSNVCPIESLDYEIFENELFRQDWRSAKKIQVFQYVVLKWAFALLIGLVTGLVGFFNNIAVENIAGFKLLLTSDLMSDEKYYKAFAAYAASNVGLATVAAALCAFIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSILGVSAGFVVGKEGPMVHTGACIASFLGQGGSRKYHLTWSWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRVFIQYCTTGNCGLFGQGGLIMYDVSAASATYSGPDILAVLFLGILGGIFGSIYNYLVDKVIRTYSIIHEKGAAAKILLVITIALLTSCLSYGLPWFAECQACPTDESVSCPSVGQSGNYKSFNCPSGYYNDLASLFLNTNDDAIRNLFSTSTTKEFHISSLFIFFAAVYFLGIITYGIAIPSGLFIPVILAGACYGRLVGRLFESISTLDTGLFALLGAASFLGGTMRMTVSLCIILLELTNDLLLLPLVMLVLLISKTVADNFNKGIYDQIVKIKGLPYLEAHAEPYMRHLVTSDVVSGPLVTFSGIEKVGRILDALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLILLKGKIFSTDRVVCGAEILHRFAAFDFAKAGSGKGIKLEDLDIEEEEMDMYVDLHPITNASPYTVVETMSLAKAAILFRQLGLRHLCLVPKSQGRPPIVGILTRHDFMPEHILGLYPHIKAH >ONI14596 pep chromosome:Prunus_persica_NCBIv2:G4:25286359:25290637:1 gene:PRUPE_4G288000 transcript:ONI14596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDVSAASATYSGPDILAVLFLGILGGIFGSIYNYLVDKVIRTYSIIHEKGAAAKILLVITIALLTSCLSYGLPWFAECQACPTDESVSCPSVGQSGNYKSFNCPSGYYNDLASLFLNTNDDAIRNLFSTSTTKEFHISSLFIFFAAVYFLGIITYGIAIPSGLFIPVILAGACYGRLVGRLFESISTLDTGLFALLGAASFLGGTMRMTVSLCIILLELTNDLLLLPLVMLVLLISKTVADNFNKGIYDQIVKIKGLPYLEAHAEPYMRHLVTSDVVSGPLVTFSGIEKVGRILDALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLILLKGKIFSTDRVVCGAEILHRFAAFDFAKAGSGKGIKLEDLDIEEEEMDMYVDLHPITNASPYTVVETMSLAKAAILFRQLGLRHLCLVPKSQGRPPIVGILTRHDFMPEHILGLYPHIKAH >ONI14592 pep chromosome:Prunus_persica_NCBIv2:G4:25282142:25290637:1 gene:PRUPE_4G288000 transcript:ONI14592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGNHSASNLPLRTESGVDIENLDLLDGKERENRTTYGEPLLTKRKNTTSQIAIVGSNVCPIESLDYEIFENELFRQDWRSAKKIQVFQYVVLKWAFALLIGLVTGLVGFFNNIAVENIAGFKLLLTSDLMSDEKYYKAFAAYAASNVGLATVAAALCAFIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSILGVSAGFVVGKEGPMVHTGACIASFLGQGGSRKYHLTWSWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRVFIQYCTTGNCGLFGQGGLIMYDVSAASATYSGPDILAVLFLGILGGIFGSIYNYLVDKVIRTYSIIHEKGAAAKILLVITIALLTSCLSYGLPWFAECQACPTDESVSCPSVGQSGNYKSFNCPSGYYNDLASLFLNTNDDAIRNLFSTSTTKEFHISSLFIFFAAVYFLGIITYGIAIPSGLFIPVILAGACYGRLVGRLFESISTLDTGLFALLGAASFLGGTMRMTVSLCIILLELTNDLLLLPLVMLVLLISKTVADNFNKGIYDQIVKIKGLPYLEAHAEPYMRHLVTSDVVSGPLVTFSGIEKVGRILDALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLILLKGKIFSTDRVVCGAEILHRFAAFDFAKAGSGKGIKLEDLDIEEEEMDMYVDLHPITNASPYTVVETMSLAKAAILFRQLGLRHLCLVPKSQGRPPIVGILTRHDFMPEHILGLYPHIKAH >ONI14590 pep chromosome:Prunus_persica_NCBIv2:G4:25282103:25290738:1 gene:PRUPE_4G288000 transcript:ONI14590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGNHSASNLPLRTESGVDIENLDLLDGKERENRTTYGEPLLTKRKNTTSQIAIVGSNVCPIESLDYEIFENELFRQDWRSAKKIQVFQYVVLKWAFALLIGLVTGLVGFFNNIAVENIAGFKLLLTSDLMSDEKYYKAFAAYAASNVGLATVAAALCAFIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSILGVSAGFVVGKEGPMVHTGACIASFLGQGGSRKYHLTWSWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRVFIQYCTTGNCGLFGQGGLIMYDVSAASATYSGPDILAVLFLGILGGIFGSIYNYLVDKVIRTYSIIHEKGAAAKILLVITIALLTSCLSYGLPWFAECQACPTDESVSCPSVGQSGNYKSFNCPSGYYNDLASLFLNTNDDAIRNLFSTSTTKEFHISSLFIFFAAVYFLGIITYGIAIPSGLFIPVILAGACYGRLVGRLFESISTLDTGLFALLGAASFLGGTMRMTVSLCIILLELTNDLLLLPLVMLVLLISKTVADNFNKGIYDQIVKIKGLPYLEAHAEPYMRHLVTSDVVSGPLVTFSGIEKVGRILDALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLILLKGKIFSTDRVVCGAEILHRFAAFDFAKAGSGKGIKLEDLDIEEEEMDMYVDLHPITNASPYTVVETMSLAKAAILFRQLGLRHLCLVPKSQGRPPIVGILTRHDFMPEHILGLYPHIKAH >ONI14595 pep chromosome:Prunus_persica_NCBIv2:G4:25286359:25290993:1 gene:PRUPE_4G288000 transcript:ONI14595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDVSAASATYSGPDILAVLFLGILGGIFGSIYNYLVDKVIRTYSIIHEKGAAAKILLVITIALLTSCLSYGLPWFAECQACPTDESVSCPSVGQSGNYKSFNCPSGYYNDLASLFLNTNDDAIRNLFSTSTTKEFHISSLFIFFAAVYFLGIITYGIAIPSGLFIPVILAGACYGRLVGRLFESISTLDTGLFALLGAASFLGGTMRMTVSLCIILLELTNDLLLLPLVMLVLLISKTVADNFNKGIYDQIVKIKGLPYLEAHAEPYMRHLVTSDVVSGPLVTFSGIEKVGRILDALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLILLKGKIFSTDRVVCGAEILHRFAAFDFAKAGSGKGIKLEDLDIEEEEMDMYVDLHPITNASPYTVVETMSLAKAAILFRQLGLRHLCLVPKSQGRPPIVGILTRHDFMPEHILGLYPHIKAH >ONI14589 pep chromosome:Prunus_persica_NCBIv2:G4:25282111:25290993:1 gene:PRUPE_4G288000 transcript:ONI14589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGNHSASNLPLRTESGVDIENLDLLDGKERENRTTYGEPLLTKRKNTTSQIAIVGSNVCPIESLDYEIFENELFRQDWRSAKKIQVFQYVVLKWAFALLIGLVTGLVGFFNNIAVENIAGFKLLLTSDLMSDEKYYKAFAAYAASNVGLATVAAALCAFIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKIFGSILGVSAGFVVGKEGPMVHTGACIASFLGQGGSRKYHLTWSWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRVFIQYCTTGNCGLFGQGGLIMYDVSAASATYSGPDILAVLFLGILGGIFGSIYNYLVDKVIRTYSIIHEKGAAAKILLVITIALLTSCLSYGLPWFAECQACPTDESVSCPSVGQSGNYKSFNCPSGYYNDLASLFLNTNDDAIRNLFSTSTTKEFHISSLFIFFAAVYFLGIITYGIAIPSGLFIPVILAGACYGRLVGRLFESISTLDTGLFALLGAASFLGGTMRMTVSLCIILLELTNDLLLLPLVMLVLLISKTVADNFNKGIYDQIVKIKGLPYLEAHAEPYMRHLVTSDVVSGPLVTFSGIEKVGRILDALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLILLKGKIFSTDRVVCGAEILHRFAAFDFAKAGSGKGIKLEDLDIEEEEMDMYVDLHPITNASPYTVVETMSLAKAAILFRQLGLRHLCLVPKSQGRPPIVGILTRHDFMPEHILGLYPHIKAH >ONI10736 pep chromosome:Prunus_persica_NCBIv2:G4:3118366:3122025:1 gene:PRUPE_4G064800 transcript:ONI10736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKHVKLPFGFCFFYLMCLPLATASDSLLSPKGVNYEVAALMSVKREMKDELRVMEGWDINSVDPCTWNMVGCSVEGFVISLEMASTGLSGMLSPSIGNLSHLRTLLLQNNQLSGPIPMEIGKLSELQTLDLSGNQFSGNIPSSLGFLTHLSYLRLSRNKLGGQIPRLVADLTGLSFLDLSFNNLSGPTPKIQAKGYSVTGNSFLCNPSSADSAQICFGVFKPVHETGLSKKASGHHRWVLSVAIGISCTFVISVMLLAFWVHWYRSRLLFTSYVQQDYEFDISHLKRFTYRELQIATSNFSSKNILGQGGYGVVYRGCLPNRTMVAVKRLRDPNFTGEVQFQTEVEMIGLALHRNLLRLYGFCMTPNERLLVYPFMPNGSVADRLRETGREKPSLDWNRRLCIALGAARGLVYLHEQCNPKIIHRDVKAANILLDESYEAIVGDFGLAKLLDRRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDAGNGQVQKGMILDWVRTLHEEKRLEVLVDRDLKGCFDAIELEQCVELALQCTQSSPILRPKMSEVLKILEGLVGQSGHTEASQGGNNIFDARACDFSRNCSDVHQESSFIIEAMELSGPR >ONI12512 pep chromosome:Prunus_persica_NCBIv2:G4:9997362:9999714:1 gene:PRUPE_4G169600 transcript:ONI12512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQAYNLMAHGFLLFLLFSCIIPTNIHACKQTERSSLLSFASTLSSPSLNWTSIDCCRWKGITCDQPGWVTHLLLPSKGLKGGISPSSLGNLTHLTHLNLSHNSLYGSLETQFFLSLNRLEILDLSYNRLSGELPLSLPSSNIRTVDLSSNRFFGAIPSSFFQQARNLTSFNVSNNTFTGYVPSSICLHSSPSLRLLDFSSNVFSGYLAPGLGRCSKLQVFRASHNNLSGLLPEDIYNATKLEEISLPLNSLHGAISDRIANLTNLAILDLYFNHFGGELPPNLGKLSKLKLVTLDFNNLEGALPPSLMNCTNLVELRLGSNNLKGDISILDFSRLSQLTKLDLRNNNFTGTVPVSLYSCKSLKAIALGRNHLEGQIEVEILSLKSLSFLSLGYNRFTNLTGAMKILMSCKSLHALKLTGAFEGGGMPFDDDMVDFDGFQNLRLFSLGHCGLIGQIPVWLSKLKNLEILLLGSNQITGPIPSWLGTLPRLFYIGLSNNQISGEFPKQLCRLPRLIYERNIASQAEDQYEFELPVFSTINGANNLFLPQKLSSFPAMIDVSNNNISGYIPADIGQLRLLRKLVLDSNNFLGVIPDQISNLKNLEVLYLSMNHLSGIIPSSLMSLNFLREFNVSYNNLEGPIPIGTQLQSFNASAFEGNPKLCGAPLPNKCRPSKGIDAYNKSNKDVDNGLHQLPWFYIFVALGFIVGFWGVCGSLVINKTWRYVYFQFIDNLQDRLYVMIS >ONI11184 pep chromosome:Prunus_persica_NCBIv2:G4:4557436:4565455:1 gene:PRUPE_4G091400 transcript:ONI11184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFTPKAIVHKRFGSSACYKVEEVHESTQNGCPGLAIMQKGPCLYRCTLQLPEVTVVSGIFKKKKDAEQSAAELALEKLGINPATKSPSLQEAWDALVARVSFLFSDEFLSTLHPLSGHFRAALQRDGDLSGQIPASVIAIFDATLCNMCKSLDPKVESNPFLVILYVVRAAARLSELISTSEEELWFRRRNPYAPETVESSSIQQLGSTEIFSIEAINVPSSLEKTVERVILNVSSSGYFLDVIAKQLGLSKTSDVLISRPMGKASSETRLYFAAPKQYLLDMSSDLLNAKEACNSEGSLNARASYLSGQDIYGDAILASIGYTWRSKDLFYEDVTLQSYHRMVIGKTPGGIYKLSRGAILAAELPLAFTTNAKWKGSFPREMLCTFCRQHRLEPVFSPQSTLEESSESPKSHKKLKVTDLPVKEAQYENGCVVAAGVKDSVESGGSFRCEVKIVSKFQDFILECSPKDSFKKQSDSIQNVSLKVLLWLNAYFRDPTVPLERLNASADGLNIRFDPQNFIKVFMLCQHIHNVRHNETEEGKSVCSNSVNVSYALPGREFRSLNIEGPDSGVTPSNGSLSSVSYSVSLVTEGEHMKELLESSDDFEFEIASGSVIPHLETVVMQMTVGQSAFFSMDLPHQELILAAADDSARMLPLLSSKTCFLEYTITLLQVTEPLEDRMEQALFSPPLSKQRVEYAVQSIKESCATTLVDFGCGSGSLLDSLLNYPTSLEKIAGVDISQKSLTRAAKILHSKLDASMSAINSAVLYDGSITAFDSRLSGFDIGTCLEVIEHMEEDQASEFGNVVLSLFRPRVLIVSTPNYEYNVILQKSNLSSQEDDPEDKNQAQSCKFRNHDHKFEWTREQFNCWATELATRHNYSVEFSGVGGSGDTEPGFASQIAVFIRGPVRQEDVLPEVSDMEHPYKVIWEWSSNDSSRSAVTN >ONI11186 pep chromosome:Prunus_persica_NCBIv2:G4:4557342:4565470:1 gene:PRUPE_4G091400 transcript:ONI11186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFTPKAIVHKRFGSSACYKVEEVHESTQNGCPGLAIMQKGPCLYRCTLQLPEVTVVSGIFKKKKDAEQSAAELALEKLGINPATKSPSLQEAWDALVARVSFLFSDEFLSTLHPLSGHFRAALQRDGDLSGQIPASVIAIFDATLCNMCKSLDPKVESNPFLVILYVVRAAARLSELISTSEEELWFRRRNPYAPETVESSSIQQLGSTEIFSIEAINVPSSLEKTVERVILNVSSSGYFLDVIAKQLGLSKTSDVLISRPMGKASSETRLYFAAPKQYLLDMSSDLLNAKEACNSEGSLNARASYLSGQDIYGDAILASIGYTWRSKDLFYEDVTLQSYHRMVIGKTPGGIYKLSRGAILAAELPLAFTTNAKWKGSFPREMLCTFCRQHRLEPVFSPQSTLEESSESPKSHKKLKVTDLPVKEAQYENGCVVAAGVKDSVESGGSFRCEVKIVSKFQDFILECSPKDSFKKQSDSIQNVSLKVLLWLNAYFRDPTVPLERLNASADGLNIRFDPQNFIKVFMLCQHIHNVRHNETEEGKSVCSNSVNVSYALPGREFRSLNIEGPDSGVTPSNGSLSSVSYSVSLVTEGEHMKELLESSDDFEFEIASGSVIPHLETVVMQMTVGQSAFFSMDLPHQELILAAADDSARMLPLLSSKTCFLEYTITLLQVTEPLEDRMEQALFSPPLSKQRVEYAVQSIKESCATTLVDFGCGSGSLLDSLLNYPTSLEKIAGVDISQKSLTRAAKILHSKLDASMSAINSAVLYDGSITAFDSRLSGFDIGTCLEVIEHMEEDQASEFGNVVLSLFRPRVLIVSTPNYEYNVILQKSNLSSQEDDPEDKNQAQSCKFRNHDHKFEWTREQFNCWATELATRHNYSVEFSGVGGSGDTEPGFASQIAVFIRGPVRQEDVLPEVSDMEHPYKVIWEWSSNDSSRSAVTN >ONI11187 pep chromosome:Prunus_persica_NCBIv2:G4:4557685:4565433:1 gene:PRUPE_4G091400 transcript:ONI11187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFTPKAIVHKRFGSSACYKVEEVHESTQNGCPGLAIMQKGPCLYRCTLQLPEVTVVSGIFKKKKDAEQSAAELALEKLGINPATKSPSLQEAWDALVARVSFLFSDEFLSTLHPLSGHFRAALQRDGDLSGQIPASVIAIFDATLCNMCKSLDPKVESNPFLVILYVVRAAARLSELISTSEEELWFRRRNPYAPETVESSSIQQLGSTEIFSIEAINVPSSLEKTVERVILNVSSSGYFLDVIAKQLGLSKTSDVLISRPMGKASSETRLYFAAPKQYLLDMSSDLLNAKEACNSEGSLNARASYLSGQDIYGDAILASIGYTWRSKDLFYEDVTLQSYHRMVIGKTPGGIYKLSRGAILAAELPLAFTTNAKWKGSFPREMLCTFCRQHRLEPVFSPQSTLEESSESPKSHKKLKVTDLPVKEAQYENGCVVAAGVKDSVESGGSFRCEVKIVSKFQDFILECSPKDSFKKQSDSIQNVSLKVLLWLNAYFRDPTVPLERLNASADGLNIRFDPQNFIKVFMLCQHIHNVRHNETEEGKSVCSNSVNVSYALPGREFRSLNIEGPDSGVTPSNGSLSSVSYSVSLVTEGEHMKELLESSDDFEFEIASGSVIPHLETVVMQMTVGQSAFFSMDLPHQELILAAADDSARMLPLLSSKTCFLEYTITLLQVTEPLEDRMEQALFSPPLSKQRVEYAVQSIKESCATTLVDFGCGSGSLLDSLLNYPTSLEKIAGVDISQKSLTRAAKILHSKLDASMSAINSAVLYDGSITAFDSRLSGFDIGTCLEVIEHMEEDQASEFGNVVLSLFRPRVLIVSTPNYEYNVILQKSNLSSQEDDPEDKNQAQSCKFRNHDHKFEWTREQFNCWATELATRHNYSVEFSGVGGSGDTEPGFASQIAVFIRGPVRQEDVLPEVSDMEHPYKVIWEWSSNDSSRSAVTN >ONI11185 pep chromosome:Prunus_persica_NCBIv2:G4:4557425:4565455:1 gene:PRUPE_4G091400 transcript:ONI11185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFTPKAIVHKRFGSSACYKVEEVHESTQNGCPGLAIMQKGPCLYRCTLQLPEVTVVSGIFKKKKDAEQSAAELALEKLGINPATKSPSLQEAWDALVARVSFLFSDEFLSTLHPLSGHFRAALQRDGDLSGQIPASVIAIFDATLCNMCKSLDPKVESNPFLVILYVVRAAARLSELISTSEEELWFRRRNPYAPETVESSSIQQLGSTEIFSIEAINVPSSLEKTVERVILNVSSSGYFLDVIAKQLGLSKTSDVLISRPMGKASSETRLYFAAPKQYLLDMSSDLLNAKEACNSEGSLNARASYLSGQDIYGDAILASIGYTWRSKDLFYEDVTLQSYHRMVIGKTPGGIYKLSRGAILAAELPLAFTTNAKWKGSFPREMLCTFCRQHRLEPVFSPQSTLEESSESPKSHKKLKVTDLPVKEAQYENGCVVAAGVKDSVESGGSFRCEVKIVSKFQDFILECSPKDSFKKQSDSIQNVSLKVLLWLNAYFRDPTVPLERLNASADGLNIRFDPQNFIKVFMLCQHIHNVRHNETEEGKSVCSNSVNVSYALPGREFRSLNIEGPDSGVTPSNGSLSSVSYSVSLVTEGEHMKELLESSDDFEFEIASGSVIPHLETVVMQMTVGQSAFFSMDLPHQELILAAADDSARMLPLLSSKTCFLEYTITLLQVTEPLEDRMEQALFSPPLSKQRVEYAVQSIKESCATTLVDFGCGSGSLLDSLLNYPTSLEKIAGVDISQKSLTRAAKILHSKLDASMSAINSAVLYDGSITAFDSRLSGFDIGTCLEVIEHMEEDQASEFGNVVLSLFRPRVLIVSTPNYEYNVILQKSNLSSQEDDPEDKNQAQSCKFRNHDHKFEWTREQFNCWATELATRHNYSVEFSGVGGSGDTEPGFASQIAVFIRGPVRQEDVLPEVSDMEHPYKVIWEWSSNDSSRSAVTN >ONI11188 pep chromosome:Prunus_persica_NCBIv2:G4:4557444:4565436:1 gene:PRUPE_4G091400 transcript:ONI11188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKSLDPKVESNPFLVILYVVRAAARLSELISTSEEELWFRRRNPYAPETVESSSIQQLGSTEIFSIEAINVPSSLEKTVERVILNVSSSGYFLDVIAKQLGLSKTSDVLISRPMGKASSETRLYFAAPKQYLLDMSSDLLNAKEACNSEGSLNARASYLSGQDIYGDAILASIGYTWRSKDLFYEDVTLQSYHRMVIGKTPGGIYKLSRGAILAAELPLAFTTNAKWKGSFPREMLCTFCRQHRLEPVFSPQSTLEESSESPKSHKKLKVTDLPVKEAQYENGCVVAAGVKDSVESGGSFRCEVKIVSKFQDFILECSPKDSFKKQSDSIQNVSLKVLLWLNAYFRDPTVPLERLNASADGLNIRFDPQNFIKVFMLCQHIHNVRHNETEEGKSVCSNSVNVSYALPGREFRSLNIEGPDSGVTPSNGSLSSVSYSVSLVTEGEHMKELLESSDDFEFEIASGSVIPHLETVVMQMTVGQSAFFSMDLPHQELILAAADDSARMLPLLSSKTCFLEYTITLLQVTEPLEDRMEQALFSPPLSKQRVEYAVQSIKESCATTLVDFGCGSGSLLDSLLNYPTSLEKIAGVDISQKSLTRAAKILHSKLDASMSAINSAVLYDGSITAFDSRLSGFDIGTCLEVIEHMEEDQASEFGNVVLSLFRPRVLIVSTPNYEYNVILQKSNLSSQEDDPEDKNQAQSCKFRNHDHKFEWTREQFNCWATELATRHNYSVEFSGVGGSGDTEPGFASQIAVFIRGPVRQEDVLPEVSDMEHPYKVIWEWSSNDSSRSAVTN >ONI11183 pep chromosome:Prunus_persica_NCBIv2:G4:4557432:4565433:1 gene:PRUPE_4G091400 transcript:ONI11183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFTPKAIVHKRFGSSACYKVEEVHESTQNGCPGLAIMQKGPCLYRCTLQLPEVTVVSGIFKKKKDAEQSAAELALEKLGINPATKSPSLQEAWDALVARVSFLFSDEFLSTLHPLSGHFRAALQRDGDLSGQIPASVIAIFDATLCNMCKSLDPKVESNPFLVILYVVRAAARLSELISTSEEELWFRRRNPYAPETVESSSIQQLGSTEIFSIEAINVPSSLEKTVERVILNVSSSGYFLDVIAKQLGLSKTSDVLISRPMGKASSETRLYFAAPKQYLLDMSSDLLNAKEACNSEGSLNARASYLSGQDIYGDAILASIGYTWRSKDLFYEDVTLQSYHRMVIGKTPGGIYKLSRGAILAAELPLAFTTNAKWKGSFPREMLCTFCRQHRLEPVFSPQSTLEESSESPKSHKKLKVTDLPVKEAQYENGCVVAAGVKDSVESGGSFRCEVKIVSKFQDFILECSPKDSFKKQSDSIQNVSLKVLLWLNAYFRDPTVPLERLNASADGLNIRFDPQNFIKVFMLCQHIHNVRHNETEEGKSVCSNSVNVSYALPGREFRSLNIEGPDSGVTPSNGSLSSVSYSVSLVTEGEHMKELLESSDDFEFEIASGSVIPHLETVVMQMTVGQSAFFSMDLPHQELILAAADDSARMLPLLSSKTCFLEYTITLLQVTEPLEDRMEQALFSPPLSKQRVEYAVQSIKESCATTLVDFGCGSGSLLDSLLNYPTSLEKIAGVDISQKSLTRAAKILHSKLDASMSAINSAVLYDGSITAFDSRLSGFDIGTCLEVIEHMEEDQASEFGNVVLSLFRPRVLIVSTPNYEYNVILQKSNLSSQEDDPEDKNQAQSCKFRNHDHKFEWTREQFNCWATELATRHNYSVEFSGVGGSGDTEPGFASQIAVFIRGPVRQEDVLPEVSDMEHPYKVIWEWSSNDSSRSAVTN >ONI10986 pep chromosome:Prunus_persica_NCBIv2:G4:3897391:3900356:-1 gene:PRUPE_4G080400 transcript:ONI10986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFMGKATSTPLLFQSKLVFFSLLYLFTSLFLAIYTSLSQTKCLFRSSPFDPIQAPLFSYPATYGEHKYAIPTHRTTCSSPVFFSDYWVVLKEIQNLCKNSSWSSSGLRYMQGKAETFAGNLSTQERIPYFVHYNDSTEFPCGFLKKFPISNSDRTDMENCKGVVVVSAIFNDHDKIRQPRGLGSKTLDNIGAWRVVKVLSKGLYENPAMNGVIPKYLVHRLFPNSKFSIWIDAKLQLMVDPLLLIHSLVVSENADMAISKHPYYIHTMEEAMATARWQKWWDVDGLKRQMETYCENGLLPWSPSKLPYPSDVPDSALILRRHGLRSNLFSCLLFNELEAFNPRDQLAFAYVRDLMSPRLKLNMFEVEVFEQVVVEYRHNIKRGGGASGRKEVGLKGPKSKKTKRVGPDLYVNGSCCSKCQKYLLEMWGESES >ONI10987 pep chromosome:Prunus_persica_NCBIv2:G4:3898089:3900307:-1 gene:PRUPE_4G080400 transcript:ONI10987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFMGKATSTPLLFQSKLVFFSLLYLFTSLFLAIYTSLSQTKCLFRSSPFDPIQAPLFSYPATYGEHKYAIPTHRTTCSSPVFFSDYWVVLKEIQNLCKNSSWSSSGLRYMQGKAETFAGNLSTQERIPYFVHYNDSTEFPCGFLKKFPISNSDRTDMENCKGVVVVSAIFNDHDKIRQPRGLGSKTLDNVCFFLFIDDVTLKGLVNHRLIPSKSKNYKIGAWRVVKVLSKGLYENPAMNGVIPKYLVHRLFPNSKFSIWIDAKLQLMVDPLLLIHSLVVSENADMAISKHPYYIHTMEEAMATARWQKWWDVDGLKRQMETYCENGLLPWSPSKLPYPSDVPDSALILRRHGLRSNLFSCLLFNELEAFNPRDQLAFAYVRDLMSPRLKLNMFEVEVFEQVVVEYRHNIKRGGGASGRKEVGLKGPKSKKTKRVGPDLYVNGSCCSKCQKYLLEMWGESES >ONI11859 pep chromosome:Prunus_persica_NCBIv2:G4:7199602:7206656:1 gene:PRUPE_4G130400 transcript:ONI11859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAQPKFSIGPNGVGKENLTRTSVGVTSFKVYSESETTNAEANSRSSVPVNKASTLENTIAIKAGLKTKDKRKGILGSSTANANIGRRALADVSNMKSNSSRTAGGDASKKMNGKSERKKSLQRVSVGTGIKTTSVSSRRSFLGKGQESPSQGVSRLQTSKRAIKDLKAPSDNQRTKAMGLGRESVAADGRRTSRNTLKLIRKSLPVLKVNPEETSIPKENAGSSENAEEKSGYYVRAKVGRTVVPRVSNNARSHLLRNRVSDGFMNMGQANSNTRVLPRISVRPILKSTLNTSESQRTLKSKCASGPKKLVSATATSSKTEKVVTSFLPENVKHDSTQGELPSDGKCSHSVSSTISRRNSNRRRSYTSLLMTGSKLLEERDEAMKQEELPSIDDDCNQLEVSDYVDEIYQYYWVSEAQNPPLENFMLIQADITPHMRGILVNWLIEVHFKFELMQETLYLMVTLLDQYLSQVTIKKDEMQLVGLTALLLASKYEDFWHPRVKELISISAESYTRDQVLRMEKLMLKKLKFRLNAPTPYVFMLRFLKAAQSEPKLEHLAFYLIELCLVEYEASRFKPSLLCAAALYVARCTLQITPAWTPMLCKHAHYDVSQIRDCAEMILKVQKVARVGRLKVTYEKYMRPDLSGVAAIKPLERLPL >ONI11857 pep chromosome:Prunus_persica_NCBIv2:G4:7199259:7206656:1 gene:PRUPE_4G130400 transcript:ONI11857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKKLDHRQSNLTHQARNKMVAAQPKFSIGPNGVGKENLTRTSVGVTSFKVYSESETTNAEANSRSSVPVNKASTLENTIAIKAGLKTKDKRKGILGSSTANANIGRRALADVSNMKSNSSRTAGGDASKKMNGKSERKKSLQRVSVGTGIKTTSVSSRRSFLGKGQESPSQGVSRLQTSKRAIKDLKAPSDNQRTKAMGLGRESVAADGRRTSRNTLKLIRKSLPVLKVNPEETSIPKENAGSSENAEEKSGYYVRAKVGRTVVPRVSNNARSHLLRNRVSDGFMNMGQANSNTRVLPRISVRPILKSTLNTSESQRTLKSKCASGPKKLVSATATSSKTEKVVTSFLPENVKHDSTQGELPSDGKCSHSVSSTISRRNSNRRRSYTSLLMTGSKLLEERDEAMKQEELPSIDDDCNQLEVSDYVDEIYQYYWVSEAQNPPLENFMLIQADITPHMRGILVNWLIEVHFKFELMQETLYLMVTLLDQYLSQVTIKKDEMQLVGLTALLLASKYEDFWHPRVKELISISAESYTRDQVLRMEKLMLKKLKFRLNAPTPYVFMLRFLKAAQSEPKLEHLAFYLIELCLVEYEASRFKPSLLCAAALYVARCTLQITPAWTPMLCKHAHYDVSQIRDCAEMILKVQKVARVGRLKVTYEKYMRPDLSGVAAIKPLERLPL >ONI11858 pep chromosome:Prunus_persica_NCBIv2:G4:7199259:7206656:1 gene:PRUPE_4G130400 transcript:ONI11858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKKLDHRQSNLTHQARNKMVAAQPKFSIGPNGVGKENLTRTIGVTSFKVYSESETTNAEANSRSSVPVNKASTLENTIAIKAGLKTKDKRKGILGSSTANANIGRRALADVSNMKSNSSRTAGGDASKKMNGKSERKKSLQRVSVGTGIKTTSVSSRRSFLGKGQESPSQGVSRLQTSKRAIKDLKAPSDNQRTKAMGLGRESVAADGRRTSRNTLKLIRKSLPVLKVNPEETSIPKENAGSSENAEEKSGYYVRAKVGRTVVPRVSNNARSHLLRNRVSDGFMNMGQANSNTRVLPRISVRPILKSTLNTSESQRTLKSKCASGPKKLVSATATSSKTEKVVTSFLPENVKHDSTQGELPSDGKCSHSVSSTISRRNSNRRRSYTSLLMTGSKLLEERDEAMKQEELPSIDDDCNQLEVSDYVDEIYQYYWVSEAQNPPLENFMLIQADITPHMRGILVNWLIEVHFKFELMQETLYLMVTLLDQYLSQVTIKKDEMQLVGLTALLLASKYEDFWHPRVKELISISAESYTRDQVLRMEKLMLKKLKFRLNAPTPYVFMLRFLKAAQSEPKLEHLAFYLIELCLVEYEASRFKPSLLCAAALYVARCTLQITPAWTPMLCKHAHYDVSQIRDCAEMILKVQKVARVGRLKVTYEKYMRPDLSGVAAIKPLERLPL >ONI12131 pep chromosome:Prunus_persica_NCBIv2:G4:8417314:8418782:1 gene:PRUPE_4G147000 transcript:ONI12131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVLLDFCASMFAMRARVALAEKGVKYENREEDLRNKSSLLLQMNPVHKMIPVLIHNGKPICESLIIVQYVDEVWRDKAPLLPSDPYQRARSRFWADFIDKKLYVASRKIWGTKGEEQEAGKKEFIEALKQLEGELGDRPYFEGESFGFLDIALIPFYSWYYAYETFGRACRNLLQTLKRCMSLLLIGYRTGC >ONI10722 pep chromosome:Prunus_persica_NCBIv2:G4:3051727:3054537:1 gene:PRUPE_4G063600 transcript:ONI10722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVAGTIPDEIGDLPNLEFLSLGVNNLNGLIPSSVFNISTMIKLSLSFTQLSGSLPANIGLGLPNLQVLYIGATDLSGVIPNLSSASMLTALDLGENSFTGFIPTTLCALTNLKLLRLSKNNLAIDTSTPEATTLSCLANLRSLTKLSLDANPLNATLDDFFSNFSTSTLQHISLRNCSMRGNIPIGIGNLSSLISLDLENNQLSGSIPTSLGRLGNLQGMNLNYNKLQGYIPYQLCQLYNLVNLQLASNQLSGSIPSCLGNLAASLRYLSLGSNLLSSTIPSTFWRLAYILQVNLSSNSLIGPLSQDIGNLKVVVEVDLSNNNLSGVIPSTIGGLQDLVNLSLANNNLEGPIPSSFQDLLSLQLLNLSRNNLSGVIPKSLEALSLLKYLDLSFNRLQGEIPTGGPFQNFSAQSFVSNSAFCENLIKIISCCSELDFKALVLNYMPNGSLDKWLYSQNYSLNILQRLNIMIDVAVAVEYLHYGYSIPIVHCDMKPSNILLDDDMVAHVADFGIAKLLGGGDSITQTMTLATVGYMAPEYGLEGMVSTRGDVYSFGIVVMETFTRRKPIDEMFDGEMNIKQWIANSLVLPDAKIDEVVDANLLGIETEQEDDDHVRKRDCISAIMRLALTCCAESAEERISMKEAVATLNKIKTKFLKDTTAGRGVLLNRPLVQQPFK >ONI13483 pep chromosome:Prunus_persica_NCBIv2:G4:14152484:14159321:1 gene:PRUPE_4G224900 transcript:ONI13483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVPKEAIEVVAQSIGISNLSPDVALALTPDVEYRVREIMQEAIKCMRHSRRTVLTTDDVDSALKLRNVEPIYGFASGDPLRFKRAAGHKDLFYIDDNDVEFKDVIEAPLPRAPLGVSVTAHWLAIEGVQPAIPENAPIEALAAYSDVKKSEYKEDGIPVDIKLPVKHVLSRELQLYFEKITELTRRSSSTLFKEALVSLATDSGLHALVPYFTCFIADEVTRNLNSFPLLFALMRLVRSLLQNPHIHIEPYLQQLMPSVITCLVAKRLGNRYTDNHWELRNFTANLVSSICKRFGHVYHNLQPRLTRTLLHAFLDPTKTLPQHYGAIQGLAALGPSVVRLLILPNLDSYMQLLEPEMILEKPKNEIKRHEAWRVYGALLKAAGQCMHDRLKMFHSLLSPPAHAIWKSKGRVATTMTNKRKASTDNLMQQPPLKKLASDGTGGAMPMNSMQVDMQGAVGGFSSSVGGLNVGLPSMSHQLPKEHMSGREVSGQMVKGSTVLAQAWKEETDAGHLLASLFEYFGESVFTFMPKSELSLFL >ONI13484 pep chromosome:Prunus_persica_NCBIv2:G4:14152680:14159266:1 gene:PRUPE_4G224900 transcript:ONI13484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVPKEAIEVVAQSIGISNLSPDVALALTPDVEYRVREIMQEAIKCMRHSRRTVLTTDDVDSALKLRNVEPIYGFASGDPLRFKRAAGHKDLFYIDDNDVEFKDVIEAPLPRAPLGVSVTAHWLAIEGVQPAIPENAPIEALAAYSDVKKSEYKEDGIPVDIKLPVKHVLSRELQLYFEKITELTRRSSSTLFKEALVSLATDSGLHALVPYFTCFIADEVTRNLNSFPLLFALMRLVRSLLQNPHIHIEPYLQQLMPSVITCLVAKRLGNRYTDNHWELRNFTANLVSSICKRFGHVYHNLQPRLTRTLLHAFLDPTKTLPQHYGAIQGLAALGPSVVRLLILPNLDSYMQLLEPEMILEKPKNEIKRHEAWRVYGALLKAAGQCMHDRLKMFHSLLSPPAHAIWKSKGRVATTMTSMPSFNLFSCYATCLKIH >ONI14028 pep chromosome:Prunus_persica_NCBIv2:G4:18423935:18426101:-1 gene:PRUPE_4G258600 transcript:ONI14028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSWSATSVFIHGWCIRLWHRQGGCRKLVLYPLSLEASSLPISQLGNLDFYLDFSVNSSAPSLES >ONI13868 pep chromosome:Prunus_persica_NCBIv2:G4:16765040:16765609:-1 gene:PRUPE_4G250600 transcript:ONI13868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATISKRRKGLFREATDLDSSSSSGFKMAVIVFSPANKPYVYGHPSAVSVLYRFLGEQDADDDDEDDDDNEDDEDDEDDDDDDEDDEDDEDDDDDDEDDEDDEDDDGVVIDEDLEANQEKAVQDGIDKYGLNEHTGVDEVDKKIATLKHFIKILDLKIDHEMKRKDSCTKDNNSEIASSSEERDQSDN >ONI10566 pep chromosome:Prunus_persica_NCBIv2:G4:2612349:2613629:-1 gene:PRUPE_4G054000 transcript:ONI10566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLHEYLDVVLVPSGLLIMLFYHLFLLYTYRKYPKYTSMGYEINDKKVWVEKILKGDNDDIVRKNVSRGLGVIASNTSATMYLAAISLTLCSLIGTWMANSTDNFFPKEMIYGNTSPSIISIKYICLLSSFLFAFSFFVQSTRHFVHSNYLLSTPDLSDLEKQDREKKVENAVQRGSEFWSLGLRALYFALNFLLWFFGPIPMFVSSMIMVLVLCCHDLRSDTKKDLKSTSNNQKDG >ONI14199 pep chromosome:Prunus_persica_NCBIv2:G4:20367724:20370490:-1 gene:PRUPE_4G268500 transcript:ONI14199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLSHSHLDLCGWLYLNLSMAGTESMEEKKNEMTEVVWQLLFRRLAFAN >ONI14200 pep chromosome:Prunus_persica_NCBIv2:G4:20369587:20370254:-1 gene:PRUPE_4G268500 transcript:ONI14200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATTSPLLSGFHSYDSFVSLSSGSLWLALSQPLHGRLHIVQTLGS >ONI10060 pep chromosome:Prunus_persica_NCBIv2:G4:1206048:1208570:1 gene:PRUPE_4G025600 transcript:ONI10060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSENHFKTSADGEIWYLSLDKETGCGFQTKQKYRFGWFSMKLKLVGGDSAGVVTAYYMCTENGAGPERDELDFEFLGNRSGQPYLIQTNVYKNGTGGREMRHMLWFDPTEDFHTYSILWNNHQIVFFVDKVPIRVFKNNGEANNFFPNEKPMYLFSSIWNADEWATRGGLEKTDWKKAPFVSSYKDFNVDGCQWEDPYPACVSTTTKNWWDQYDAWHLSDAQKMDYAWIQRNLVIYDYCKDSERYPTLPVECPLSPWE >ONI10061 pep chromosome:Prunus_persica_NCBIv2:G4:1206048:1208570:1 gene:PRUPE_4G025600 transcript:ONI10061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLVGGDSAGVVTAYYMCTENGAGPERDELDFEFLGNRSGQPYLIQTNVYKNGTGGREMRHMLWFDPTEDFHTYSILWNNHQIVFFVDKVPIRVFKNNGEANNFFPNEKPMYLFSSIWNADEWATRGGLEKTDWKKAPFVSSYKDFNVDGCQWEDPYPACVSTTTKNWWDQYDAWHLSDAQKMDYAWIQRNLVIYDYCKDSERYPTLPVECPLSPWE >ONI10062 pep chromosome:Prunus_persica_NCBIv2:G4:1207135:1208570:1 gene:PRUPE_4G025600 transcript:ONI10062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLVGGDSAGVVTAYYMCTENGAGPERDELDFEFLGNRSGQPYLIQTNVYKNGTGGREMRHMLWFDPTEDFHTYSILWNNHQIVFFVDKVPIRVFKNNGEANNFFPNEKPMYLFSSIWNADEWATRGGLEKTDWKKAPFVSSYKDFNVDGCQWEDPYPACVSTTTKNWWDQYDAWHLSDAQKMDYAWIQRNLVIYDYCKDSERYPTLPVECPLSPWE >ONI10059 pep chromosome:Prunus_persica_NCBIv2:G4:1206048:1208570:1 gene:PRUPE_4G025600 transcript:ONI10059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMASLMPILLYIAVLIAACSSSSSEAAAPATSFKDNFDIMWSENHFKTSADGEIWYLSLDKETGCGFQTKQKYRFGWFSMKLKLVGGDSAGVVTAYYMCTENGAGPERDELDFEFLGNRSGQPYLIQTNVYKNGTGGREMRHMLWFDPTEDFHTYSILWNNHQIVFFVDKVPIRVFKNNGEANNFFPNEKPMYLFSSIWNADEWATRGGLEKTDWKKAPFVSSYKDFNVDGCQWEDPYPACVSTTTKNWWDQYDAWHLSDAQKMDYAWIQRNLVIYDYCKDSERYPTLPVECPLSPWE >ONI10798 pep chromosome:Prunus_persica_NCBIv2:G4:3335311:3338110:-1 gene:PRUPE_4G068800 transcript:ONI10798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTEPPTSNNEEDDDVFFDALDDFPSTTDQSDQFTSPSTLSFPDSTPSQATSLRGRSSRRAISGDESKTSTLESTLSSEIDSINDTRLSIRARKFKLRRNLKEPQSTRDGVSSVQVPSDQNNEGSTVTTAGNDDPVGDSADSAAQLGDSSFNLLVFLAGMIIKAIGLQINLFISIFTFPIWILQHSYMLIIDPFQIVRRGREYLITEVLNLWKLAGGYASPLVFQWLKDNNSVWKVALRCGWGLFWSCYVCFVLCGLLVTSLVFSGVLMRSIVAEPMHMKDMLNFDYTKHSPVAYVPVMSCAGASCGADCKEKVQAGESFGFRAIPRGHKVAVTVSFVLPESEYNRNLGVFQVRVQFLSVDGKTLASSSHPCMLQFKSEPIRLLLTFLKVVPLVAGYVSESQTLNLKFRGFIQGEVPTACLKLTIEQRAEYQPGAGIPQIYDASVTLESELPLFKRFIWNWKKSIFVWMSLMLFMMEMLVTLICCRPLIIPKARPRVGSVSSSATQSSLPVRNS >ONI10797 pep chromosome:Prunus_persica_NCBIv2:G4:3335372:3338275:-1 gene:PRUPE_4G068800 transcript:ONI10797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTEPPTSNNEEDDDVFFDALDDFPSTTDQSDQFTSPSTLSFPDSTPSQATSLRGRSSRRAISGDESKTSTLESTLSSEIDSINDTRLSIRARKFKLRRNLKEPQSTRDGVSSVQVPSDQNNEGSTVTTAGNDDPVGDSADSAAQLGDSSFNLLVFLAGMIIKAIGLQINLFISIFTFPIWILQHSYMLIIDPFQIVRRGREYLITEVLNLWKLAGGYASPLVFQWLKDNNSVWKVALRCGWGLFWSCYVCFVLCGLLVTSLVFSGVLMRSIVAEPMHMKDMLNFDYTKHSPVAYVPVMSCAGASCGADCKEKVQAGESFGFRAIPRGHKVAVTVSFVLPESEYNRNLGVFQVRVQFLSVDGKTLASSSHPCMLQFKSEPIRLLLTFLKVVPLVAGYVSESQTLNLKFRGFIQGEVPTACLKLTIEQRAEYQPGAGIPQIYDASVTLESELPLFKRFIWNWKKSIFVWMSLMLFMMEMLVTLICCRPLIIPKARPRVGSVSSSATQSSLPVRNS >ONI13542 pep chromosome:Prunus_persica_NCBIv2:G4:14634562:14637886:1 gene:PRUPE_4G228900 transcript:ONI13542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSVVVFLALHCLCIFAPFHFNWPAFWVAITLYVATGLGITLSYHRSLAHRSLRLPKWLEYFFAYCGVLSLQGSPIEWVSTHRYHHQFTDTKKDPHSPIQSLWFSHMGWMLDGRRRQLEKELGGLKNVEDLRKQPFYEFLLRTNLLHSIALGGVLYAVGGFPFLVWGVGVRTTFLHHATFLVNSVGHMWGNKAWNTGDMSTNNWWLAIIVFGEGWHNNHHAFEYSARHGLKWWQIDFTWYTIRFLQAIGLATDVKVPTEIQKQRKATNGRIMAALN >ONI09748 pep chromosome:Prunus_persica_NCBIv2:G4:364301:364735:-1 gene:PRUPE_4G006800 transcript:ONI09748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHGLNYTDVQAAVTDMRSKSYYGFAILLQMLNSTSQPYRSLTFLMPGDSQLSESPISVDHLEDFLISHAVTTPLLFNDLLHFPTGSLIPSGAENKMIRINNRGRANFFVNNAHIVTPNICQNSYIKCHGIDTVIQYENSSYINS >ONI11844 pep chromosome:Prunus_persica_NCBIv2:G4:7129737:7133903:-1 gene:PRUPE_4G129200 transcript:ONI11844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIFEDGFSSDRLFNQGYSYTYDDVIFLPHYIDFPTDSVHLATRLSRRVPLSIPCVSSPMDTVTEAHMAISMAALGGIGIIHSNTTPSEQAHMVKAVKSRRVPVLSNPVFKSPSDRIQSDDVFDSSNPYVLVTENGSPSSKLLGYVAGRDWATLGDKEVKIYDYMVNCTDFTVPWSYDLGRIGEHMEEKRRDVVATVRDDEVVDVVAKEEVERIKGYPKLGVGTVGPNGAWRVGAAIGTRETDKERLEGLVKAGVDVVVLDSSQGNSIYQIEMIKYVKKTYSNLDVVGGNVVTVSQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASIASQSGVPVIADGGISNSGHIVKALVLGASTVMMGSYLAGSTEAPGAYEYQNGHRIKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVADKGSVLKFIPYTIQAVKQGFQDLGASSLQSGHDLLRTSVLRLEVRTGAAQVEGGVHGLVSYEKKSF >ONI11845 pep chromosome:Prunus_persica_NCBIv2:G4:7129892:7133612:-1 gene:PRUPE_4G129200 transcript:ONI11845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIFEDGFSSDRLFNQGYSYTYDDVIFLPHYIDFPTDSVHLATRLSRRVPLSIPCVSSPMDTVTEAHMAISMAALGGIGIIHSNTTPSEQAHMVKAVKSRRVPVLSNPVFKSPSDRIQSDDVFDSSNPYVLVTENGSPSSKLLGYVAGRDWATLGDKEVKIYDYMVNCTDFTVPWSYDLGRIGEHMEEKRRDVVATVRDDEVVDVVAKEEVERIKGYPKLGVGTVGPNGAWRVGAAIGTRETDKERLEGLVKAGVDVVVLDSSQGNSIYQIEMIKYVKKTYSNLDVVGGNVVTVSQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQLLFTRLHLLLHKVVCLSLLMVEFPILDTLSRLWSLGRQLS >ONI09969 pep chromosome:Prunus_persica_NCBIv2:G4:995566:999171:-1 gene:PRUPE_4G021200 transcript:ONI09969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELQSCAPLVNASALCVIEEGMRGESVNVIAEISAELERERQKNAELMERILILEAQIQQRDKEPSRTDGQGSCSNAMERSFKKFKRQKIELTSKGTEDRNIIPAERASQKKHDKQCLALQDPILEDRLVNWMSMEETQFLHLEKVKDGDSADSDDTDDTDDDFREEDCINNDHKQKEYEVVDKGSDVPCTKDFSGVGEPVFSNVNQETNEIPDTGPDSNEMELTNQNKKEMNDFGSYNVPPNILTLEKEFLHTGSASTSQQKKPPKVAFCPKEVKRIIDSESLLQKNAQFHTIRKIIVFASLGIRHGCEDIYELDFNHFSILRKGEPYMSPKDPGEHVLYENPGVRRKVLYPNQQNPTLCPVQILEEEKAMRPSDPSCPSWLFLCIKYGGRTRNLPQNEYVRQRMGRNKLKSFGPLMCRMAMLVHIRSGSFFFKALGIALLFMAGFPGDLVQRETKYRNLDLLQKYYRTDDDAEGEELFLPHPMPCDTQASPGSQKLCGKTCPTKTKGKKQTHSNSKPHYMQRSSFPQTTLSTSAPSAQFGLVGHTSIQTHTMTTFQSMPSQSQTSLDAPPISNTLIPNAGGTNNNISYCSQTPYHIFPPQPANPFVPMIFWSPPNTFSPGPYQSSYGYPSFPSTGNYFVHPRPYYSHPSCSPLIPKMVEAVGKNVVASEEADSDYDCSSSSIEPKKN >ONI12372 pep chromosome:Prunus_persica_NCBIv2:G4:9162148:9167536:1 gene:PRUPE_4G160000 transcript:ONI12372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIETLGIIQDIETLVSDQLQVVSYKWLSRNYLVSSNAAKRLLHEFVEKHGNGLEVVYVLAGWLKSNPSSYHIRLVSGPKLAEAKEEFEGNCSVEVYSVQACIPKDPAALWNAEFVQAEELFKQAPSVENCLRDNRFCGISNSFVKRNMEGMPLSIEAPQLKTKAVVGPSESNLTHQNIAFPKHVQNKGQQSSPKVGLQAPNVVKDVKSESNGTGAFDQANKPPAVKDKVPPVPANKKKVQNDKSSSASGGSLANLWGRASVKPKSNTLSENNNSILNHTGASADAQVCAQEAVASVSSDDDGHEVNFKRASNGEGTRKRRVVFDFSDDDEDEDAVNLASPDNQKEQSCQDLKESSKVFVPEGTSLNFDEQVEDKPKVEDKPKVEDKPMVKEEVSVDRKSNQSFREDSSVSGISKGRNAGIILKEKTHSCIPEKDLNKKDKLNTAASSSPKRRKVLKTVIDERGREVTEVIWEGEETEAKKADTSITKKVDNTVASAVNRPPAAKKSVVNTAPTNGKAGSKKGGNKDPKQGNILSFFKKV >ONI13122 pep chromosome:Prunus_persica_NCBIv2:G4:12717266:12718655:-1 gene:PRUPE_4G204100 transcript:ONI13122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLYRYAKSGDICFLKQLLNDNPSLLYQLTPRKNTALHIAVQFGDSNVVAEIYSRCRSLLTQQNLDGDTPLHVAARVGCFSIFNDLVREILSMGKNTVLHEAVRNGHIKLVQFLLTMDPKLASIENDAGESPKYLAARGGMFEILNQILKSTASSAHGGSDGRTALHAAVVEKHFDIVEALLRFKQQLIKETGHQGRTPLFYAASLGQHRTVKRLLELDTSIAYVLDKEGHSPIHVAASKGHSSVIREIIRHCPDSGEICDLYGQNALHMAIIETPELECLINQPDVIGNMPLHLATIERKTWIMYYLMWDGRVHQSSMNKCGQAAFDIDR >ONI10919 pep chromosome:Prunus_persica_NCBIv2:G4:3700389:3706532:1 gene:PRUPE_4G075500 transcript:ONI10919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREMVDAGPVTPGQVSFLLGIIPVFVTWIYSEYLEYKKSSSHPKVHSDTNLVELGEETIKEDDRAVLLEGGLSRSASTKFNSSSIKINLIRFLTMDDSFLLENRATLRVMSELGAILFYFYICDRTSILGSSTKNYNRDLFLFLYLLLVIVSAVTSLKKHTDRSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLYTLMVYGALGIFSKYNEIRSVMAVKILGCFLAVILIWEIPGVFEIFFRPLSFLLGYTDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYYHPNVEKWMDKLEESDTKRRVPIKAGIFAGSVFVGYLWYEYIYKLDKVSYNKLHPYTSWIPITVYICLRNFTQQLRGVSLTLFAWLGKITLETYISQFHIWLRSNTPNGQPKWLLSLIPEYPLLNFMLTTAIYVLVSHRLFELTNTLKTVFVPTKDNQRLLYNFLAGAAIFTCLYFISFILVQIPH >ONI10920 pep chromosome:Prunus_persica_NCBIv2:G4:3700389:3706534:1 gene:PRUPE_4G075500 transcript:ONI10920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREMVDAGPVTPGQVSFLLGIIPVFVTWIYSEYLEYKKSSSHPKVHSDTNLVELGEETIKEDDRAVLLEGGLSRSASTKFNSSSIKINLIRFLTMDDSFLLENRATLRVMSELGAILFYFYICDRTSILGSSTKNYNRDLFLFLYLLLVIVSAVTSLKKHTDRSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLYTLMVYGALGIFSKYNEIRSVMAVKILGCFLAVILIWEIPGVFEIFFRPLSFLLGYTDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYYHPNVEKWMDKLEESDTKRRVPIKAGIFAGSVFVGYLWYEYIYKLDKVSYNKLHPYTSWIPITWLGKITLETYISQFHIWLRSNTPNGQPKWLLSLIPEYPLLNFMLTTAIYVLVSHRLFELTNTLKTVFVPTKDNQRLLYNFLAGAAIFTCLYFISFILVQIPH >ONI11815 pep chromosome:Prunus_persica_NCBIv2:G4:7006283:7007473:-1 gene:PRUPE_4G127400 transcript:ONI11815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASLEFCFSLQASMCTKHMDDPTSPLPPSNYSEPENLSPNTKNFQSLNKMTEQSLVAFNWAQLFQSIVVSLAWVSLLGHWNGPASETTISEWSLECASSFITGLPASSFFMGCLIGGLILGTLADSSLGRKNLLLISCLIMSVAALITSFANNIWTYSALRFISGFGCASIGTCALVLLTEKVGEQWRGRVGILGFFFFTCGFLSLPLIAYINRFSIWRVLYLWTSIPGIFYCSLLHFFCHRVPKMALHAQLRGRSNVTVEDELDRVLAKLAHEQENKNHPKTTTIFSSMKTLFEKTWAFKRILAVITLGTGIGLVYYGMPFGVGNLGFNIYLSVMFNALSEIPSYFVTYMP >ONI13888 pep chromosome:Prunus_persica_NCBIv2:G4:17010927:17012381:1 gene:PRUPE_4G252600 transcript:ONI13888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRILIISIILLLLLLLFEITMTKTPPMSPPDLPCKVRLLLSLLTIVVNTVRRSNGTINRRLMSLFDLKASPSSKPNNRVKTSDVMVDPTRNLWFRLYVPAAAITTTTTSPSAKLPLIIYFHGGGFAFFSANSKPYDDLCKRLSAELPAVVVSVNYRLAPEHIYPSQYEDGFDVLKFIDQTTIDGFDLNNVDITRCFLAGDSAGGNLAHHVAVKASNHHEFGKMRVVGLIAIQPFFGGKERTESETRLSKGSALSLEQTDWYWKTFLPEGSDRDHAAANVFGQESKDVLGVNFPATIVFVGGFDLLQDWQRRYYEGLKKSGKEAYLIEYPNSFHGFYAFPELKEPSFLVKEVRDFIEKLCYAPSGISF >ONI11607 pep chromosome:Prunus_persica_NCBIv2:G4:6262935:6269542:1 gene:PRUPE_4G115900 transcript:ONI11607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPRGLQLTQVSPRARKLPEMVAGGDFGDLEDVRLLDSYDNSEGVEQGTQRVQVRVSGMTCAACSNSVEGALKSVNGVLTASVALLQNRADVVFDPRLVKDEDIKNAIEDAGFEAEVIPEQSTNGIKQHGTLLGQFSIGGMTCAACVNSVEGILKGLPGVKRAVVALATSLGEVEYDPTVISKDDIVNAIEDAGFEASLVQSSQQDKIILGVAGVFSETDAQTLESIISNLKGVRHFRFDRISRELEILFDPEVVTSRSVVDGIEGASNEKFKLQVANPYIRMTSKDVEEAANMFRLFISSLFLSIPVFFIRVVCPHIPLLYSLLLWRCGPFEMGDWLKWALVSVVQFVVGKRFYIAAARALRNGSTNMDVLVALGTSASYFYSVCALLYGAVTGFWSPTYFETSAMLITFVLLGKYLECLAKGKTSDAIKKLIELAPATALLLVKDKDGRCIGEREIDALLIQPGDVLKVLPGTKVPADGMVLWGSSYVNESMVTGEAIPVSKEVNSLVIGGTINLHGALNVQVTKVGSDTVLNQIINLVETAQMSKAPIQKFADFVASIFVPTVVAMALLTLLGWYIAGAFGAYPEKWLPENGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKVKYVIFDKTGTLTQGKATVTTVKVFTGMDRGEFLKLVASAEASSEHPLAKAIVQYARHFHFFDDPSVTNDAPNNNKETTISGWLFDVSEFSALPGRGIQCFIDGKLILVGNRKLMTESGIEIPTHVENFVVELEESAKTGILVAYEGNLIGVLGVADPLKREAAIVIEGLCKMGVIPIMVTGDNWRTAQAVAKEVRIFLFMN >ONI11606 pep chromosome:Prunus_persica_NCBIv2:G4:6262935:6270395:1 gene:PRUPE_4G115900 transcript:ONI11606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPRGLQLTQVSPRARKLPEMVAGGDFGDLEDVRLLDSYDNSEGVEQGTQRVQVRVSGMTCAACSNSVEGALKSVNGVLTASVALLQNRADVVFDPRLVKDEDIKNAIEDAGFEAEVIPEQSTNGIKQHGTLLGQFSIGGMTCAACVNSVEGILKGLPGVKRAVVALATSLGEVEYDPTVISKDDIVNAIEDAGFEASLVQSSQQDKIILGVAGVFSETDAQTLESIISNLKGVRHFRFDRISRELEILFDPEVVTSRSVVDGIEGASNEKFKLQVANPYIRMTSKDVEEAANMFRLFISSLFLSIPVFFIRVVCPHIPLLYSLLLWRCGPFEMGDWLKWALVSVVQFVVGKRFYIAAARALRNGSTNMDVLVALGTSASYFYSVCALLYGAVTGFWSPTYFETSAMLITFVLLGKYLECLAKGKTSDAIKKLIELAPATALLLVKDKDGRCIGEREIDALLIQPGDVLKVLPGTKVPADGMVLWGSSYVNESMVTGEAIPVSKEVNSLVIGGTINLHGALNVQVTKVGSDTVLNQIINLVETAQMSKAPIQKFADFVASIFVPTVVAMALLTLLGWYIAGAFGAYPEKWLPENGNHFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKVKYVIFDKTGTLTQGKATVTTVKVFTGMDRGEFLKLVASAEASSEHPLAKAIVQYARHFHFFDDPSVTNDAPNNNKETTISGWLFDVSEFSALPGRGIQCFIDGKLILVGNRKLMTESGIEIPTHVENFVVELEESAKTGILVAYEGNLIGVLGVADPLKREAAIVIEGLCKMGVIPIMVTGDNWRTAQAVAKEVGIPDVRAEVMPAGKADVIRSFQKDGSTVAMVGDGINDSPALAAADIGMAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTFSRIRLNYVFAMAYNVIAIPIAAGVFFPSLGILLPPWAAGACMAMSSVSVVCSSLLLRRYRKPRLTAILEIVVE >ONI13732 pep chromosome:Prunus_persica_NCBIv2:G4:15967425:15971164:-1 gene:PRUPE_4G241800 transcript:ONI13732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLRRFSSIASEITARSPIRIDVLFRVLVIVQQLLQENRHGSKRDIYYMHPSVFSDQSIVDRAINDICILMQCSRHNLNVVSVGKGLVMGWLRFSEAGNMFDCINRPNTAFPVPIHVEEVKDIVSVAKYILVVEKESVFQRLANDQFCKTNHCIVITGRGYPDISTRRFLGLLVDTLHLPTYCLVDCDPYGFDILSTYRFGSMQLAYDAKFLRVPEILWLGGFLLDSEKYQLPQQCLLPLTAQDKRKTEAMLRRCYLQREAPEWRLELQLMLERGVKFELEALSVHELNFLSAVYIPSKIQGGVYI >ONI13731 pep chromosome:Prunus_persica_NCBIv2:G4:15967727:15971156:-1 gene:PRUPE_4G241800 transcript:ONI13731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKHSRWSSQPRPCDLLRKIRELTRAIVEDLSNGRSPEILIDRFRNYCTKPDSNCYCSSDLPILKETLTLRRESHLRRLDVLFRVLVIVQQLLQENRHGSKRDIYYMHPSVFSDQSIVDRAINDICILMQCSRHNLNVVSVGKGLVMGWLRFSEAGNMFDCINRPNTAFPVPIHVEEVKDIVSVAKYILVVEKESVFQRLANDQFCKTNHCIVITGRGYPDISTRRFLGLLVDTLHLPTYCLVDCDPYGFDILSTYRFGSMQLAYDAKFLRVPEILWLGGFLLDSEKYQLPQQCLLPLTAQDKRKTEAMLRRCYLQREAPEWRLELQLMLERGVKFELEALSVHELNFLSAVYIPSKIQGGVYI >ONI13841 pep chromosome:Prunus_persica_NCBIv2:G4:16652540:16652996:-1 gene:PRUPE_4G249100 transcript:ONI13841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSSRIVKPSSFCKAGLEDQHTADAGVDCYDACNTGCVQSNTRLYQRCDRKCKIRCGPDSEVEGNLQ >ONI10461 pep chromosome:Prunus_persica_NCBIv2:G4:2329995:2331290:-1 gene:PRUPE_4G048800 transcript:ONI10461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGEAKALVFVQLSVLLLSCFTVFGNVIDVLPPSSPPHHHVHYSHPVASPAHPPTHHHHHHHPHPHPHPPTASPVHPPVHSPTHSPVHPPTHSPVHPPTHSPVHPPSHHHHHGYPPAHPPTHVPVQPPSHPPTHHAPPAHPPIQSPSHPPVHPPQFPPKKPFPRSFVAVQGVVYCKSCKYSGVDTLLGASPVLGATIKLQCNNTKYPLVVKTNTDKNGYFFITAPKTITTFGAHKCKVFLVSSPSATCSKPSDLHAGLSGAVLRPQKPFMSKKLPFLLYNVGPFAFEPKCH >ONI11912 pep chromosome:Prunus_persica_NCBIv2:G4:7413736:7416144:1 gene:PRUPE_4G134000 transcript:ONI11912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKILEVVGDRRPKTKIVCTLGPSSRSVEMLEKLLRAGMNVARFNFSHGTHAYHQETLDNLRIAMNNTGILCAVMLDTKGPEIRTGLLKDGQSIDLKQGQEITITTDYTILGDENVISMSYKRLAEDVKPQTDILCADGKITLKVLACDKELGLVHCRCENSAELGEKKNVNLPGVVVDLPTLTEKDKVDILEWGVPNKIDMIALSFVRKGSDLVEVRKVLGEHAKNIMLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFLAQKLMIEKANRQGKPVVTATQMLESMVKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPEITVQTMAKICVSAEDSINYGELFKRKMDAARMPMTPLESLASSAVQTANCTKAALILVLTRGGSTAKLVAKYRPSMPILSVVVPEITTDTFEWSCSDAAPARHSLIYRGVLPLLSSGSIRASHAESTEESIQSAIQYAKEKGLCKPGDSVVVLHRVNTDMIKILTVM >ONI14345 pep chromosome:Prunus_persica_NCBIv2:G4:23096215:23097281:1 gene:PRUPE_4G276700 transcript:ONI14345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVILFKLPNEVLSMGGHKWCPLENSNSKRSYESDMNTFSVLSYQIQFFILYHVNHPYPHETLTDSLRLFVVI >ONI13770 pep chromosome:Prunus_persica_NCBIv2:G4:16210604:16211619:-1 gene:PRUPE_4G244200 transcript:ONI13770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSLLSPITPLPLSPCKPLLHSPFFFSFKQQSFLTLTNISTKPTKNIKPRRPVTTQSKGSDESVDVPDRLISAVCYFYPFFDGIQYGKYVITQFTAIQMFIQPLIPAIRVFKSFPFNGFLVFLTLYFGVVRNSNFSRYVRFNTMQVIVLDVLLIFPDLVERSFNPKDGLGLDALMSLDSTVFLFLLVCLIYGSASCFLGQVPRLPIVAEAAERQVP >ONI13769 pep chromosome:Prunus_persica_NCBIv2:G4:16210604:16211623:-1 gene:PRUPE_4G244200 transcript:ONI13769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSLLSPITPLPLSPCKPLLHSPFFFSFKQQSFLTLTNISTKPTKNIKPRRPVTTQSKGSDESVDVPDRLISAVCYFYPFFDGIQYGKNSNFSRYVRFNTMQVIVLDVLLIFPDLVERSFNPKDGLGLDALMSLDSTVFLFLLVCLIYGSASCFLGQVPRLPIVAEAAERQVP >ONI14140 pep chromosome:Prunus_persica_NCBIv2:G4:19478805:19479736:1 gene:PRUPE_4G264300 transcript:ONI14140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAPSKIWEGGQSSGYFAMVGDWVMEVREESKCCNFRGASYIHPQDIALRCQKQIVEFVVAGQASIPLIHDQPRPPEWDRSDFQIWQKPADGWMKFNCDGAWTQAHMKGGARWVARDSNGWMEMAGGVWVFVPVGFGCGSGSSARGGDGVWRRGLLG >ONI14089 pep chromosome:Prunus_persica_NCBIv2:G4:18966377:18970777:-1 gene:PRUPE_4G261200 transcript:ONI14089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLYFEDDTAKNGKHQEEKKNEGNQDEEKNEEKKDEENEEEKKDEENEEEKKDEEKKEEKQDKKEKEDEEKHDDQVIKVVDYSNMEAPSSLKSLCRYVETTLVPQDKTLNFTIDKEVFGLERDTFVLPEDITQFAGMEEIGATVVAVYMSMVGFIDPGTVSANSGTIADGSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRKTVYFLDPLPGNRVVDEEGKNIVNSAIKIYNSHIARAGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLEFEKKYAKGKQEAPYPQEAIDEVRNEWAEFVCLHME >ONI14090 pep chromosome:Prunus_persica_NCBIv2:G4:18966377:18969802:-1 gene:PRUPE_4G261200 transcript:ONI14090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLYFEDDTAKNGKHQEEKKNEGNQDEEKNEEKKDEENEEEKKDEENEEEKKDEEKKEEKQDKKEKEDEEKHDDQVIKVVDYSNMEAPSSLKSLCRYVETTLVPQDKTLNFTIDKEVFGLERDTFVLPEDITQFAGMEEIGATVVAVYMRYLHDLLKQANMCSMVGFIDPGTVSANSGTIADGSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRKTVYFLDPLPGNRVVDEEGKNIVNSAIKIYNSHIARAGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLEFEKKYAKGKQEAPYPQEAIDEVRNEWAEFVCLHME >ONI14091 pep chromosome:Prunus_persica_NCBIv2:G4:18966541:18968931:-1 gene:PRUPE_4G261200 transcript:ONI14091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLYFEDDTAKNGKHQEEKKNEGNQDEEKNEEKKDEENEEEKKDEENEEEKKDEEKKEEKQDKKEKEDEEKHDDQVIKVVDYSNMEAPSSLKSLCRYVETTLVPQDKTLNFTIDKEVFGLERDTFVLPEDITQFAGMEEIGATVVAVYMRYLHDLLKQANMCSMVGFIDPGTVSANSGTIADGSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRKTVYFLDPLPGNRVVDEEGKNIVNSAIKIYNSHIARAGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLEFEKKYAKGKQEAPYPQEAIDEVRNEWAEFVCLHME >ONI14088 pep chromosome:Prunus_persica_NCBIv2:G4:18966377:18970777:-1 gene:PRUPE_4G261200 transcript:ONI14088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAIKLERKNSLILHLIHTSSGQSLNRERKVRDLLLYLQLRTSNYRSEKIYLHQGAQDFWNGWFRKIRLVEALRMEARTKQLVEAEREHFLSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLYFEDDTAKNGKHQEEKKNEGNQDEEKNEEKKDEENEEEKKDEENEEEKKDEEKKEEKQDKKEKEDEEKHDDQVIKVVDYSNMEAPSSLKSLCRYVETTLVPQDKTLNFTIDKEVFGLERDTFVLPEDITQFAGMEEIGATVVAVYMRYLHDLLKQANMCSMVGFIDPGTVSANSGTIADGSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRKTVYFLDPLPGNRVVDEEGKNIVNSAIKIYNSHIARAGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLEFEKKYAKGKQEAPYPQEAIDEVRNEWAEFVCLHME >ONI14038 pep chromosome:Prunus_persica_NCBIv2:G4:18569014:18572079:-1 gene:PRUPE_4G258900 transcript:ONI14038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVGKFFESVGNFFSGGDQIPWCDRDVIAGCEREVSDAQKGSSDEFFKECIMRLSWALVHSRQPEDVQRGIAMLEASVAEANANASPLQLREKLYLLAVGYYRSGDHSRSRELVEQCLMIAPDWRQALTLKKMNEDKIKRDGAIGIGIAATAVGLIAGGIAAAVARKK >ONI09817 pep chromosome:Prunus_persica_NCBIv2:G4:536472:537133:-1 gene:PRUPE_4G011000 transcript:ONI09817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSRSRRLSFSSSSSSTTTVTYTLHNEQPAPPTATEIPIQSSATPIPITVHVPQSTAAIKIQSAYRAHLIRTLYKTIAAVHSEADEFQRLIQRQETVDAVRSSEREKLRMNEALMRLLLKLDSVRGVDPAVREARRKVSRRIVGLQEIVDAIVSEDVDGFWGCGDAGFVRNWDDVVAEMEEGVCRERGGEEMERFCAQYLGFRCLQRFLREP >ONI12635 pep chromosome:Prunus_persica_NCBIv2:G4:10461255:10464822:1 gene:PRUPE_4G176600 transcript:ONI12635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTSNPLSLSVPDPFFESWLRENGYPEIIDQHTSTAITTSTTTTTDASSITNGFFISLFSRIFTLLSLFTINPFSKLTNEDLAAQTPPWTTIFIGSSDSYSFPLSVSQARMRVQENVKRYARNYATLFVLFFACSLYQMPLALVGLISCLALWDVFKFCSERWGLDRYPIIRECLIRVAQCVAAVILICSNVQMALFYALGVSYAGMILHAGFRKLARAKQTPHGRSK >ONI12634 pep chromosome:Prunus_persica_NCBIv2:G4:10461255:10464822:1 gene:PRUPE_4G176600 transcript:ONI12634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTSNPLSLSVPDPFFESWLRENGYPEIIDQHTSTAITTSTTTTTDASSITNGFFISLFSRIFTLLSLFTINPFSKLTNEDLAAQTPPWTTIFIGSSDSYSFPLSVSQARMRVQENVKRYARNYATLFVLFFACSLYQMPLALVGLISCLALWDVFKFCSERWGLDRYPIIRECLIRVAQCVAAVILICSNVQMALFYALGVSYAGMILHAGFRKLARAKQTPHGRSK >ONI12881 pep chromosome:Prunus_persica_NCBIv2:G4:11254886:11256301:-1 gene:PRUPE_4G189400 transcript:ONI12881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWDFIFGTTDLLKRNAPDLTAAKNLFSTVYGYGSATVTHIDNAVGGNLKHYLQDEEARSRILQLGTNIIKHTTIEGLKTIPGYKIFANSIRELKESDKQEVDVKALQADVVRLEKELSEYRKPREQVQTDKPSAVGNQKPKL >ONI12882 pep chromosome:Prunus_persica_NCBIv2:G4:11255334:11256145:-1 gene:PRUPE_4G189400 transcript:ONI12882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWDFIFGTTDLLKRNAPDLTAAKNLFSTVYGYGSATVTHIDNAVGGNLKHYLQDEEARSRILQLGTNIIKHTTIEGLKTIPGYKIFANSIRELKESDKQEVDVKALQADLRRLKKDFSEYRNEHEPEVDVKALQADVVRLEKELSEYRKPREQVQTDKPSAVGNQKPKL >ONI10341 pep chromosome:Prunus_persica_NCBIv2:G4:1977299:1979655:-1 gene:PRUPE_4G041900 transcript:ONI10341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPSPTTMGTYSSLISTNSFSTFLPNKSQLSLSGKSKHYVARRSSISCKATNNNSSNNQNEQQEESSRLLGKLDRRNILIGLGGLYGATTLDPKPFAFADPIAPPDLTKCQLAEITTGGETVPCCPPVTTKIKTFKPDLSIPLRTRPAAQQVTDEYLAKFKKAQAAMRALPDDDPRSMVQQAKVHCAYCNGAYPQVGFPDNDLQVHFSWLFFPFHRMYLYFYERILGKLIDDPTFALPYWNWDSPAGFPIPDIYTDTSSPLYDQYRNSDHQPPVLVDLGYGKTNDDVDDQTRIDENLAIMYRQMVSGAKTPDLFFGHAYRAGDLNTKKYPGTIENMPHNNIHLWVGDPNQTHQEDMGNFYSAGRDPLFYAHHCNVDRMWTIWKTLGGKRQDITDTDWLDAEFLFYDENAELVNCKVRDSLDTAKQLRYNYEPASLPWLFTKPTARKTKNKTKAKVAATQLTSKFPATFDSKTTVEVARPKPRKRSKKEKVDEEELLIIKDIEFEGNEAVKFDVFINDDAESLSRRDKSEFAGSFVHVPQGKTTKAKTKTNLKLGITDLLEDLGAEDDSSVLVTLVPRVSNSPITIGGFKIEYSS >ONI13456 pep chromosome:Prunus_persica_NCBIv2:G4:14019002:14020919:-1 gene:PRUPE_4G223300 transcript:ONI13456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNPVEVNWKHPQFNLIKSCQNLLNQSWSCSLNHAYWQQNSVADCLANFGLTMELGCHYFDVPPPLCEPFLVQDISRVAQPRFLPPSVIEYRKIKKLEEVDIHMEDLASVIFTWKVDNFSKLDAVKHYSDVFVIGVFEWRILMYPRGNNVDYLSVYLDVTGSSTLPLGWARYARFSLTIVNQLQSSESLTKDTEHVFNKSDSNSGFTSLIPLSEFCDHCKGYVVNDTCIIEAKVAVHKSEIKILEEQKTRRSTTSRDLQI >ONI13455 pep chromosome:Prunus_persica_NCBIv2:G4:14018219:14021733:-1 gene:PRUPE_4G223300 transcript:ONI13455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKKYNKVKKEQVLLCWSFPHHGWYKLNVDGSYRSGSGCIAGGGVIRNQEGEWLSGFAANLGTGKVIEAELWALYRGLELAWKSGLAPIEVETDSTTVLKLMHNPVEVNWKHPQFNLIKSCQNLLNQSWSCSLNHAYWQQNSVADCLANFGLTMELGCHYFDVPPPLCEPFLVQDISRVAQPRFLPPSVIEYRKIKKLEEVDIHMEDLASVIFTWKVDNFSKLDAVKHYSDVFVIGVFEWRILMYPRGNNVDYLSVYLDVTGSSTLPLGWARYARFSLTIVNQLQSSESLTKDTEHVFNKSDSNSGFTSLIPLSEFCDHCKGYVVNDTCIIEAKVAVHKSEIKILEEQKTRRSTTSRDLQI >ONI14460 pep chromosome:Prunus_persica_NCBIv2:G4:23958606:23958836:-1 gene:PRUPE_4G281600 transcript:ONI14460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLENVSLEISFWVVVFFSGGTLNKNEARPRNGAGKEKWEVGLLRFTRSFLMREELSKNERIGKQPSEHSCVIGDR >ONI11147 pep chromosome:Prunus_persica_NCBIv2:G4:4472901:4473536:-1 gene:PRUPE_4G089900 transcript:ONI11147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTHGRSESEKEKTKMRERQRRAITTKIFHGLRKHGGYGLSPRADINEVLRHLASEAGWLVEPDGTTYRRRSPNVRTPPPSVLSFSFLHFLTNQTHILFYSIHLVVVCGGKVSNCCSVCGGVPKASAKSAAAAATPSSSMVMGGCESSTTASPTSSSLPAFNNNNTSDTTATNNNSVSVYSAMYVYEGGLHNHQLHEARASNNTSPSHCP >ONI13018 pep chromosome:Prunus_persica_NCBIv2:G4:12103465:12104420:1 gene:PRUPE_4G197700 transcript:ONI13018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLLEFVSCCGIARPATTGEQAPLSEETSSLVPRRMRRRRKRGRPGNSGSTSPNSVEWRPSLTSISEDNVVAMVVDRTAEVERAMKRKSGQSRTIAQVRSHGEDYGQASLTTAIPAFSPTPFMF >ONI11468 pep chromosome:Prunus_persica_NCBIv2:G4:5625103:5629623:-1 gene:PRUPE_4G107800 transcript:ONI11468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSEPSSSLSFTSSSHISNGSISHNFSSSGSESVPSLEVISLSKLSSNLEQLLVDSGCDYSDADIIVEGISVGVHRCILASRSSFFRDLFKQKKGSSGKESRSKYCISDLLPYGDVRYEAFLVFLSYVYTGKLKPSPMEVSTCVHNVCAHDACRPAINFVVELMYASSIFQMPDLVSIFQRRLLNFVGKALADDVIPILVVALHCQLSQLITQCIERVARSDIDSISLEKELPDEVIEKIKILRRNSQQDCDPNMPAVDPLHEKRIRRIHKALDSDDVELVKLLLSESAITLDEANALHYAAAYCDPKVVTEVLGLGLADVNLRNSRGYTVLHIAVMRKEPSIIVLLLTKGACASELTSDGQSAVSICRRLTRPKDYHSKTEQGQEANKDRICIDVLEREMRRNPMAGVTSISSQIMPDDLHMKLLNLENRVAFARLLFPAEAKLAMVIAHAETTSEFAGRSSSKGSSGNLMEVDLNETPTVQNKRLHSRLEALMKTVDLGRCYFPHCSEVLDKFIEDDLPDLFYLEMGTSDEQKIKRMRFMELKEEVHKAFNKDKAELNLSGLSSSSSTSSPKKLGATQKVRKL >ONI11469 pep chromosome:Prunus_persica_NCBIv2:G4:5625059:5629715:-1 gene:PRUPE_4G107800 transcript:ONI11469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSEPSSSLSFTSSSHISNGSISHNFSSSGSESVPSLEVISLSKLSSNLEQLLVDSGCDYSDADIIVEGISVGVHRCILASRSSFFRDLFKQKKGSSGKESRSKYCISDLLPYGDVRYEAFLVFLSYVYTGKLKPSPMEVSTCVHNVCAHDACRPAINFVVELMYASSIFQMPDLVSIFQRRLLNFVGKALADDVIPILVVALHCQLSQLITQCIERVARSDIDSISLEKELPDEVIEKIKILRRNSQQDCDPNMPAVDPLHEKRIRRIHKALDSDDVELVKLLLSESAITLDEANALHYAAAYCDPKVVTEVLGLGLADVNLRNSRGYTVLHIAVMRKEPSIIVLLLTKGACASELTSDGQSAVSICRRLTRPKDYHSKTEQGQEANKDRICIDVLEREMRRNPMAGVTSISSQIMPDDLHMKLLNLENRVAFARLLFPAEAKLAMVIAHAETTSEFAGRSSSKGSSGNLMEVDLNETPTVQNKRLHSRLEALMKTVDLGRCYFPHCSEVLDKFIEDDLPDLFYLEMGTSDEQKIKRMRFMELKEEVHKAFNKDKAELNLSGLSSSSSTSSPKKLGATQKVRKL >ONI11470 pep chromosome:Prunus_persica_NCBIv2:G4:5625187:5629546:-1 gene:PRUPE_4G107800 transcript:ONI11470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSEPSSSLSFTSSSHISNGSISHNFSSSGSESVPSLEVISLSKLSSNLEQLLVDSGCDYSDADIIVEGISVGVHRCILASRSSFFRDLFKQKKGSSGKESRSKYCISDLLPYGDVRYEAFLVFLSYVYTGKLKPSPMEVSTCVHNVCAHDACRPAINFVVELMYASSIFQMPDLVSIFQRRLLNFVGKALADDVIPILVVALHCQLSQLITQCIERVARSDIDSISLEKELPDEVIEKIKILRRNSQQDCDPNMPAVDPLHEKRIRRIHKALDSDDVELVKLLLSESAITLDEANALHYAAAYCDPKVVTEVLGLGLADVNLRNSRGYTVLHIAVMRKEPSIIVLLLTKGACASELTSDGQSAVSICRRLTRPKDYHSKTEQGQEANKDRICIDVLEREMRRNPMAGVTSISSQIMPDDLHMKLLNLENRVAFARLLFPAEAKLAMVIAHAETTSEFAGRSSSKGSSGNLMEVDLNETPTVQNKRLHSRLEALMKTVDLGRCYFPHCSEVLDKFIEDDLPDLFYLEMGTSDEQKIKRMRFMELKEEVHKAFNKDKAELNLSGLSSSSSTSSPKKLGATQKVRKL >ONI11467 pep chromosome:Prunus_persica_NCBIv2:G4:5625135:5629566:-1 gene:PRUPE_4G107800 transcript:ONI11467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSEPSSSLSFTSSSHISNGSISHNFSSSGSESVPSLEVISLSKLSSNLEQLLVDSGCDYSDADIIVEGISVGVHRCILASRSSFFRDLFKQKKGSSGKESRSKYCISDLLPYGDVRYEAFLVFLSYVYTGKLKPSPMEVSTCVHNVCAHDACRPAINFVVELMYASSIFQMPDLVSIFQRRLLNFVGKALADDVIPILVVALHCQLSQLITQCIERVARSDIDSISLEKELPDEVIEKIKILRRNSQQDCDPNMPAVDPLHEKRIRRIHKALDSDDVELVKLLLSESAITLDEANALHYAAAYCDPKVVTEVLGLGLADVNLRNSRGYTVLHIAVMRKEPSIIVLLLTKGACASELTSDGQSAVSICRRLTRPKDYHSKTEQGQEANKDRICIDVLEREMRRNPMAGVTSISSQIMPDDLHMKLLNLENRVDLGRCYFPHCSEVLDKFIEDDLPDLFYLEMGTSDEQKIKRMRFMELKEEVHKAFNKDKAELNLSGLSSSSSTSSPKKLGATQKVRKL >ONI11472 pep chromosome:Prunus_persica_NCBIv2:G4:5625107:5629715:-1 gene:PRUPE_4G107800 transcript:ONI11472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVDPLHEKRIRRIHKALDSDDVELVKLLLSESAITLDEANALHYAAAYCDPKVVTEVLGLGLADVNLRNSRGYTVLHIAVMRKEPSIIVLLLTKGACASELTSDGQSAVSICRRLTRPKDYHSKTEQGQEANKDRICIDVLEREMRRNPMAGVTSISSQIMPDDLHMKLLNLENRVAFARLLFPAEAKLAMVIAHAETTSEFAGRSSSKGSSGNLMEVDLNETPTVQNKRLHSRLEALMKTVDLGRCYFPHCSEVLDKFIEDDLPDLFYLEMGTSDEQKIKRMRFMELKEEVHKAFNKDKAELNLSGLSSSSSTSSPKKLGATQKVRKL >ONI11471 pep chromosome:Prunus_persica_NCBIv2:G4:5625112:5629715:-1 gene:PRUPE_4G107800 transcript:ONI11471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTCVHNVCAHDACRPAINFVVELMYASSIFQMPDLVSIFQRRLLNFVGKALADDVIPILVVALHCQLSQLITQCIERVARSDIDSISLEKELPDEVIEKIKILRRNSQQDCDPNMPAVDPLHEKRIRRIHKALDSDDVELVKLLLSESAITLDEANALHYAAAYCDPKVVTEVLGLGLADVNLRNSRGYTVLHIAVMRKEPSIIVLLLTKGACASELTSDGQSAVSICRRLTRPKDYHSKTEQGQEANKDRICIDVLEREMRRNPMAGVTSISSQIMPDDLHMKLLNLENRVAFARLLFPAEAKLAMVIAHAETTSEFAGRSSSKGSSGNLMEVDLNETPTVQNKRLHSRLEALMKTVDLGRCYFPHCSEVLDKFIEDDLPDLFYLEMGTSDEQKIKRMRFMELKEEVHKAFNKDKAELNLSGLSSSSSTSSPKKLGATQKVRKL >ONI11906 pep chromosome:Prunus_persica_NCBIv2:G4:7399112:7402446:-1 gene:PRUPE_4G133700 transcript:ONI11906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASPNLKSIPHFLSPQPFSSQFSFLFLQTPRRAPPPAKFLHTSRRQTVPRSALREWKEYEEAVKRKDLAGALRFLQSIETQQNPIEFVNGSLPADPTLPRLGELGFVGQERDWEVLDTCLNADNMKLVGKAYGFLKDKGFLPSFGKFRNVVMEGTRDVTPTVLKTSTGLEATKFAPKKWGLSESSGPILIAFLGGISFLLSQGIDLRPNLAAVLGLAFADSVFLGGSCLAQISSYWPPNRRRILIHEAGHLLIAYLMGCPIRGVILDPVVAMQMGIQGQAGTQFWDEKMANDLAEGRLDGSAFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICVLLQPPLSVAEEMSNQARWSLLQSYNLLKWHKNAHRAAVEALGSGNGLSVVIRSIEEAILANR >ONI11907 pep chromosome:Prunus_persica_NCBIv2:G4:7399112:7402446:-1 gene:PRUPE_4G133700 transcript:ONI11907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASPNLKSIPHFLSPQPFSSQFSFLFLQTPRRAPPPAKFLHTSRRQTVPRSALREWKEYEEAVKRKDLAGALRFLQSIETQQNPIEFVNGSLPADPTLPRLGELGFVGQERDWEVLDTCLNADNMKLVGKAYGFLKDKGFLPSFGKFRNVVMEGTRDVTPTVLKTSTGLEATKFAPKKWGLSESSGPILIAFLGGISFLLSQGIDLRPNLAAVLGLAFADSVFLGGSCLAQISSYWPPNRRRILIHEAGHLLIAYLMGCPIRGVILDPVVAMQMGIQGQAGTQFWDEKMANDLAEGRLDGSAFDRHCC >ONI11904 pep chromosome:Prunus_persica_NCBIv2:G4:7399046:7402419:-1 gene:PRUPE_4G133700 transcript:ONI11904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASPNLKSIPHFLSPQPFSSQFSFLFLQTPRRAPPPAKFLHTSRRQTVPRSALREWKEYEEAVKRKDLAGALRFLQSIETQQNPIEFVNGSLPADPTLPRLGELGFVGQERDWEVLDTCLNADNMKLVGKAYGFLKDKGFLPSFGKFRNVVMEGTRDVTPTVLKTSTGLEATKFAPKKWGLSESSGPILIAFLGGISFLLSQGIDLRPNLAAVLGLAFADSVFLGGSCLAQISSYWPPNRRRILIHEAGHLLIAYLMGCPIRGVILDPVVAMQMGIQGQAGTQFWDEKMANDLAEGRLDGSAFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICVLLQPPLSVAEDGSLRDSKYNWCKKGLFLHCSHCLPSLGYPPINNSGLQQTRRVVFHQVG >ONI11905 pep chromosome:Prunus_persica_NCBIv2:G4:7399112:7402452:-1 gene:PRUPE_4G133700 transcript:ONI11905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASPNLKSIPHFLSPQPFSSQFSFLFLQTPRRAPPPAKFLHTSRRQTVPRSALREWKEYEEAVKRKDLAGALRFLQSIETQQNPIEFVNGSLPADPTLPRLGELGFVGQERDWEVLDTCLNADNMKLVGKAYGFLKDKGFLPSFGKFRNVVMEGTRDVTPTVLKTSTGLEATKFAPKKWGLSESSGPILIAFLGGISFLLSQGIDLRPNLAAVLGLAFADSVFLGGSCLAQISSYWPPNRRRILIHEAGHLLIAYLMGCPIRGVILDPVVAMQMGIQGQAGTQFWDEKMANDLAEGRLDGSAFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICVLLQPPLSVAEMSNQARWSLLQSYNLLKWHKNAHRAAVEALGSGNGLSVVIRSIEEAILANR >ONI11908 pep chromosome:Prunus_persica_NCBIv2:G4:7400110:7402290:-1 gene:PRUPE_4G133700 transcript:ONI11908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASPNLKSIPHFLSPQPFSSQFSFLFLQTPRRAPPPAKFLHTSRRQTVPRSALREWKEYEEAVKRKDLAGALRFLQSIETQQNPIEFVNGSLPADPTLPRLGELGFVGQERDWEVLDTCLNADNMKLVGKAYGFLKDKGFLPSFGKFRNVVMEGTRDVTPTVLKTSTGLEATKFAPKKWGLSESSGPILIAFLGGISFLLSQGIDLRPNLAAVLGLAFADSVFLGGSCLAQISSYWPPNRRRILIHEAGHLLIAYLMGCPIRGVILDPVVAMQMGIQGQLHGNAVLLGSNGELILLCHITGRNSILG >ONI12027 pep chromosome:Prunus_persica_NCBIv2:G4:7830216:7831392:-1 gene:PRUPE_4G140200 transcript:ONI12027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKINFLLRKCKSLSRQLGRSSSYSSLRSKSTREDLWVGHGDKMHEIDHHHHQTIIFVGSTRKRYVISSKYLNHPLLNALIDKSSKQKQGGEAEDILVNCEVVLFDHLIWMLENAADPSFGASESLEELAALYVF >ONI09729 pep chromosome:Prunus_persica_NCBIv2:G4:329652:332107:-1 gene:PRUPE_4G005900 transcript:ONI09729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDHDDVKQGLLSEKEEPAQHNNETNKVQRRRNRQYGSTSCPSFKNRLEQNEAGSQCPADCEPIYVKPQFSLKQVMLLLVAYIGGGTFCFFLMRHQIKGKKTNAILDSMYLCVVTMSTVGYGDLVPNSMLAKLVACFYVFTGMALVGLILGKAADYIVEKQEILLVRAIHFREKIGPPELLKEVETEKVKFKCVTVGILLLLLVIVGTVFLCLVENLEVMDAFYCVCSTITTLGYGDESFSTGGGRIFAVFWILSSTICLAQFFLYLAELYTERRQRSLVKWVLTRRLTLSDLEDADLDHDKVVGYEYTALLFVNEMYQFSRGLTCWIFCISAAEFIVYKLKEMGKICQEDISLVMETFKNLDIDHSGTLTASDLAPSHSQPTFEYTA >ONI09727 pep chromosome:Prunus_persica_NCBIv2:G4:329652:332107:-1 gene:PRUPE_4G005900 transcript:ONI09727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDHDDVKQGLLSEKEEPAQHNNETNKVQRRRNRQYGSTSCPSFKNRLEQNEAGSQCPADCEPIYVKPQFSLKQVMLLLVAYIGGGTFCFFLMRHQIKGKKTNAILDSMYLCVVTMSTVGYGDLVPNSMLAKLVACFYVFTGMALVGLILGKAADYIVEKQEILLVRAIHFREKIGPPELLKEVETEKVKFKCVTVGILLLLLVIVGTVFLCLVENLEVMDAFYCVCSTITTLGYGDESFSTGGGRIFAVFWILSSTICLAQFFLYLAELYTERRQRSLVKWVLTRRLTLSDLEDADLDHDKVVGAAEFIVYKLKEMGKICQEDISLVMETFKNLDIDHSGTLTASDLAPSHSQPTFEYTA >ONI09728 pep chromosome:Prunus_persica_NCBIv2:G4:329652:332107:-1 gene:PRUPE_4G005900 transcript:ONI09728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDHDDVKQGLLSEKEEPAQHNNETNKVQRRRNRQYGSTSCPSFKNRLEQNEAGSQCPADCEPIYVKPQFSLKQVMLLLVAYIGGGTFCFFLMRHQIKGKKTNAILDSMYLCVVTMSTVGYGDLVPNSMLAKLVACFYVFTGMALVGLILGKAADYIVEKQEILLVRAIHFREKIGPPELLKEVETEKVKFKCVTVGILLLLLVIVGTVFLCLVENLEVMDAFYCVCSTITTLGYGDESFSTGGGRIFAVFWILSSTICLAQFFLYLAELYTERRQRSLVKWVLTRRLTLSDLEDADLDHDKVVGAAEFIVYKLKEMGKICQEDISLVMETFKNLDIDHSGTLTASDLAPSHSQPTFEYTA >ONI11035 pep chromosome:Prunus_persica_NCBIv2:G4:4096793:4100129:-1 gene:PRUPE_4G083700 transcript:ONI11035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIHVEADASAFRDCFSLAWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKSVDRKTVLQESIVSMAVAGAIIGAAIGGWLNDRVGRRTAILIADFLFFIGAVVMASAPNPALLIVGRVFVGLGVGMASMTAPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGVAGIPALLQFVLMLLLPESPRWLFRKGREEEAKTILRRIYSADEVEAEIQALKESVETEIREIGSSNKISIIELFKTKTVRRGLVAGVGLQVFQQFVGINTVMYYSPTIVQLAGIASNQTALLLSLVTAGLNTLGSIVSIYFIDRTGRKKLLIISLCGVIISLGLLSAVFHETTSHSPLVSPIETSHFTNYTCPDYSSARNSAAWDCMKCLKSSSPDCGFCASKADKLLPGACLISNDTTKDTCHVEHRLWYTRGCPSKYGWLALTGLALYIIFFSPGMGTVPWIVNSEIYPLRYRGVCGGIAATANWISNLIVAQSFLSLTQAIGTSWTFLIFGLISVVALIFVLIYVPETKGLPIEEVEKMLELRALHFKFWEKRPKTLEKSQAL >ONI11036 pep chromosome:Prunus_persica_NCBIv2:G4:4096771:4099217:-1 gene:PRUPE_4G083700 transcript:ONI11036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGAIIGAAIGGWLNDRVGRRTAILIADFLFFIGAVVMASAPNPALLIVGRVFVGLGVGMASMTAPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGVAGIPALLQFVLMLLLPESPRWLFRKGREEEAKTILRRIYSADEVEAEIQALKESVETEIREIGSSNKISIIELFKTKTVRRGLVAGVGLQVFQQFVGINTVMYYSPTIVQLAGIASNQTALLLSLVTAGLNTLGSIVSIYFIDRTGRKKLLIISLCGVIISLGLLSAVFHETTSHSPLVSPIETSHFTNYTCPDYSSARNSAAWDCMKCLKSSSPDCGFCASKADKLLPGACLISNDTTKDTCHVEHRLWYTRGCPSKYGWLALTGLALYIIFFSPGMGTVPWIVNSEIYPLRYRGVCGGIAATANWISNLIVAQSFLSLTQAIGTSWTFLIFGLISVVALIFVLIYVPETKGLPIEEVEKMLELRALHFKFWEKRPKTLEKSQAL >ONI13683 pep chromosome:Prunus_persica_NCBIv2:G4:15528293:15530287:1 gene:PRUPE_4G237800 transcript:ONI13683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISDGDGKTKRLIMIVGIVVGVGILLAGLAICFVWKRKLAIKNRGRIEQKGPNERSQDFLLNGVVITSKKENYSGERGNDELELPLLDFSTVAVATDNFSDENQLGQGGFGCVYKGTLVEGEVVAIKRLSKNSGQGTEEFKNEVKLIARLQHRNLVRLLGCCIDMDEKMLIYEYMENKSLDSVLFSQAKRSMLDWQKRFEIICGIARGLLYLHQDSRFRIIHRDLKASNILLDGELTPKISDFGRARIFGRDQTEANTRRVVGTYGYMSPEYAMDGLFSIKSDVFSFGVLVLEIISGKKNRGFYYSNNELNLLGHAWKLWTEGKGLEIIDPSVGDSYSPSEVLRCMQVGLLCVQERAEDRPTMSSVVLMLSSETATMPQPKNPGFCLERNKNPLETDSSSSKQDQSCTVNHVTVTMLDGR >ONI13788 pep chromosome:Prunus_persica_NCBIv2:G4:16249064:16250163:1 gene:PRUPE_4G245000 transcript:ONI13788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNVRITKSGISNRNIEGFHQVLVEIHQGGDFFCILKFHLPRGKQVDRIHGWYLDALYKTKLVAGGRGIIKLTTIMIVITFVHH >ONI10279 pep chromosome:Prunus_persica_NCBIv2:G4:1796864:1798312:-1 gene:PRUPE_4G038300 transcript:ONI10279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSRIKRKMLLSPALASQCTTKPTLFLLPSFEFQNKLLYLPTLSLNIICRCNNTGLKTVLARPLDPYLYL >ONI10278 pep chromosome:Prunus_persica_NCBIv2:G4:1796951:1798312:-1 gene:PRUPE_4G038300 transcript:ONI10278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSRIKRKMLLSPALASQCTTKPTLFLLPSFEFQNKLLYLPTLSLNIICRCNNTGLKTVLARPLDPYLYL >ONI13666 pep chromosome:Prunus_persica_NCBIv2:G4:15298854:15300910:1 gene:PRUPE_4G236100 transcript:ONI13666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLSPNSLHPSTLAFAQIFSQNSNLTQPWLPHVLLRKRPVTRISCVSTRPKRKPGTKTEDPDVREVVRMLMRSFSDKEPLLKTLNKYVRIVRTEHCFLLFEELGKSDEWLQCLEVFRWMQKQRWYVADNGVYSKLISVMGKKGQTRMAMWLFSEMRNSGCRPDTSVYNALISAHLNSKDKAKALDKALRYFDKMKGMERCQPNIVTYNILLRAFAQSRNVEKVNSLFKDLDESIASPDIYTYNGVMDAYGKNGNIREMESVLSHMKSNQCKPDIITFNLLIDSYGKKQQFDKMEQVFKSLVRSKEKPTLPTFNSMIINYGKARLKEKAEDVFKKMIDMKYTPSFITYESLIMMYGFCDSVSKAREVFDRLADSGKELKVSTLNAMLDVYCMNGLPVEADKLFVNGNSIGVRPNVSTYKLLYKAYTKANMKELLEKLLKCMDKDGIVPNKRFFLEALGAFFSSPGSPGSVTATTGLSRPQDGTKT >ONI11552 pep chromosome:Prunus_persica_NCBIv2:G4:5995412:5997350:-1 gene:PRUPE_4G113000 transcript:ONI11552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFKKIPAACASNVVVYLMLVWSKAIDCYLLPMLRFPLLILHGFFKRWLIKAMTLQIEEYHGTSTRNKVYDASALYLQSKISPSTQILKISQTWRETSLTVNFANNQEFDDMYEGVPLKWKFNSMSKRSSSSSTSSAFKPEQKQHFELVFDLQHKEKVINLYLPYVLETFEAMKNERKIVKLHTLVRIDAFKIRWEAINLEHPATFQTVAMEPELKKTVLEDLDRFVKRKEFYQSVGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLQLTHLKTDMELRKVLLSTSNKSILVIEDIDCSQPGVQDRKNANGIRKPELEGNQLTLSGLLNFIDGLWSSCGEERIVVFTTNHKSKLDPALLRSGRMDLHIHMSYCTYEGFKSLAFNYLRTCEQKHLLFEEIEGLLKKTKVTPAQVAEQLMKSEEPEVALQGLITLLKQKKNQGKDEYQEEDEYEGENKQLTYFI >ONI13223 pep chromosome:Prunus_persica_NCBIv2:G4:13151523:13155994:1 gene:PRUPE_4G210800 transcript:ONI13223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSLLFAAAVITLTLLFAYSAKLPFHPRDVLPLLPRQVSWPILNSLHGAVDLLPTFVGSASSPNDSLEWKGACFYQNTAWMEFHNKSGSEFGGGTLHIKVDKAHSWTCMDIYVFATPYTVTWDYYFLSREHTLEFKEWQGKAEFEYVKNRGVSIFLMQAGMLGTLQALWDVFPLFTNTGWGENSNIGFLEKHMGASFHQRPQPWVTNISVDDIHSGDFLALSKIRGRWGGFETLEKWVSGAYAGHSAVCLKDSEGNLWVGESGHENEKGEDVIAVLPWDEWWEFELNKDDSNPHIALLPLHPDIRAKFNETAAWEYARSMEGQPYGYHNLIFSWIDTIQDNYPPPLDAHVVASVMTVWNHIQPTYAANMWNEALNKRLGTQNLSFPDILVEVEKRGSSFDELLTVPEQDDWLYSDGKSTSCVAFILEMYKEAGLFDPIASSIQVTEFTIKDAYMLKFFENNSSRLPKWCNDGDSVKLPFCQIKGKYRMELPEYNSMEPYSHMNERCESLPPKYSRSRNC >ONI10327 pep chromosome:Prunus_persica_NCBIv2:G4:1922934:1925455:1 gene:PRUPE_4G040800 transcript:ONI10327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLESPVGVGFSYSNTTSDYENLGDVFTANDAYNFLHKWFLKFPSYRTRTFYIAGESYAGKYVPELAEVIYDKNKDTSLHIDLKGILLGNPETSDAEDWRGLVDYAWSHAVVSDETHKIIRESCDFDRNDTWSNKNCTLAVDEVLKQYKEIDIYSLYTSVCIGDTASSDDGSMQVMMKRTSTMMPRIMGGYDPCLDEYTKTFYNRPEVQKALHVSDGVRLKNWSICNNKIFEDWAYSKPSVLPIYKKLIAAGLRIWVYSGDTDGRVPVLSTRYSLSALGLPINKAWSPWYHQKQVSGWFQEYKGLTFATFRGAGHAVPCFKPSNSLALFTSFLLGESLPSART >ONI10326 pep chromosome:Prunus_persica_NCBIv2:G4:1921651:1925455:1 gene:PRUPE_4G040800 transcript:ONI10326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVPKATAFFVLALIALQFVEPVLGSRKKRSNSEKLINLLGTQDLVTNLPGQPAVDFKHYAGYVTVNQTNGRALFYWFYEAATNPDAKPLVLWLNGGPGCSSVGYGATQEIGPFIVDTDGHGIRFNNYSWNKEANMLFLESPVGVGFSYSNTTSDYENLGDVFTANDAYNFLHKWFLKFPSYRTRTFYIAGESYAGKYVPELAEVIYDKNKDTSLHIDLKGILLGNPETSDAEDWRGLVDYAWSHAVVSDETHKIIRESCDFDRNDTWSNKNCTLAVDEVLKQYKEIDIYSLYTSVCIGDTASSDDGSMQVMMKRTSTMMPRIMGGYDPCLDEYTKTFYNRPEVQKALHVSDGVRLKNWSICNNKIFEDWAYSKPSVLPIYKKLIAAGLRIWVYSGDTDGRVPVLSTRYSLSALGLPINKAWSPWYHQKQVSGWFQEYKGLTFATFRGAGHAVPCFKPSNSLALFTSFLLGESLPSART >ONI10009 pep chromosome:Prunus_persica_NCBIv2:G4:1084408:1087398:1 gene:PRUPE_4G023000 transcript:ONI10009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHLKNVFDRFQEQFGSGPGLGPGSGTCLMKVEGISPNFIKSVFKASAALYRTDPWKRLCPGHLFGIRVGKDTDWSGKKQPFPCVQFIGGDGGDIGFNMFRSQNDAKRMTGSRETIQVPNVELLRVTYERESLMFPSNRKMIKSLSLEASGINRFPVIDVARCTSSGTLRFRHPTPEELRFVYAFMKAIALVHPLLQEDKEGGPKWSRLMYFEPFIETVDVQWPQEMAKGYDLVAVTISHPPGQAYEEKTSSTASSTPTKYAELSREEAFVDIRVYSNGSLRQCAACEKEIHGEQALSCVRCQAVLYCSSVCQKQHLKETHKSMCGLYKAMMEREEELAMNIFMFPCAAEQPCKWLESLGIHQKGMWRRKCSCYSHCPFGLLPVKGGLWDSWGGLDDEEFPRDSSFHHHARDGMSSPILLSGWSEYYNLRSLPLSSPVADILSHPLTVYYILTTLNISSKNLLMKGKEVVVHYLGPEGELDWMPAFADVGHLLNGLGNVQIIMVGSEVPTNLSGTTSGIGSRVRVNLVRGVYEEEASYLPSPHVIVALNCGLSCYSTWGGALNSIKTMGVPAFFTDQSEISCANAKQVLRSAGLHITHPVTPNPFRSPVKHHEPSSNLPSYSNGFVLGVNT >ONI13696 pep chromosome:Prunus_persica_NCBIv2:G4:15705433:15707696:-1 gene:PRUPE_4G239100 transcript:ONI13696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLLNETFSSLLQPFSFKYLALAIFLILTYKWFSTSANSSPPSPPTLPIIGNLHQLGQHLQRSLQTLAQRHGPLMLLHFGSVPVLVVSSDETAREIMKTHDITSHSPTDPRTPSSRSEYWRQMKSICVLNLLSNTRVRSFRAVREEETKSMIDNITKHCSSSPSSVSSAFNLSEMLETLTNDVICRVALGRKYSDGGERGRTFKKLARELTLVMSRIHIGDYIPWLAWIARLNGLDAKFDDLAKRFDEFLEIVVPEHMDEFRGLTTNEDQKDLVDVLLCLQADSPIDRDVFVAGTDTTFTLLEWTMSELLRHPRIMEKLQNEVRGIVGKKTDIIREDDLVGMHYMKAVIKETLRLHPSVPLLLPRLSTQDAQINGYDIKANTQVIVNAWQIGRDPKSYNKPEEFEPERFLDSAIDYKGNYFHYIPFGAGRRGCPGIQFAMAVQEIALANLVHKFDWALPDGTRGEDLDMTESTGTGVRRVYPLKVVAIPYSG >ONI10939 pep chromosome:Prunus_persica_NCBIv2:G4:3764161:3765953:1 gene:PRUPE_4G077400 transcript:ONI10939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVSSFECTKLDFHSKVLLKDDGGKDLLSPDTVPLNCDTPILDSKTEDRKAATQCLEKPSPPPNDIFGECLYCLKVGDHISHFCPYKSNVPKNAIVGSACVVNCKICGCRFRGSCCAKCGISLGYAILKNCSICKKVGEHMKYECPSREKKYYPSVVFKCDPYTGSFSFEYAPLKE >ONI12720 pep chromosome:Prunus_persica_NCBIv2:G4:10696081:10696763:1 gene:PRUPE_4G180300 transcript:ONI12720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFLKLTTILSLMIPSLVLALHSQMGFSSSELEEKEEYVLDSPNPSFKSRSRFLASIIKKGAHCDPIKHNICNGISANKGTSILHCCKTHCRNVLGDKNNCGKCGNKCKLGMHCCNGTCINTTFNANNCGKCGKKCKYGVKCEYGYCGYA >ONI10557 pep chromosome:Prunus_persica_NCBIv2:G4:2578896:2585465:-1 gene:PRUPE_4G053400 transcript:ONI10557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFFRGRDASSDSSPPTPSFSPSPSSRSSAPVTGPPRPIRLVYCDDNGKFRMDQEAVSMLQLVKEPIGIVAVCGRARQGKSFILNQLLGRSGGFQVASTHRPCTKGLWLWSAPFKRTALDGTEYNLLLLDTEGIDAFDQTETYSTQIFSLAVLLSSMFIYNQMGGIDEGSLDRISLVTQMTKHIRVKASGGTTASEIGQFSPIFVWLLRDFYLDLSEDSGRITPRDYLELALKPVDDRKRDVVAKNEIRASIRALFPDRECFTLVRPLNNEHDLQRLDEIQLDKLRPEFRSGLDALTRFVFERTRPKQVGATMMTGPVLVGITQSYLDALNKGAVPTISSSWQSVEEDGCRTAYDYALEVYKSAFHRPKDPEEAAFREAHEKAVQKSLAAFNDGAVGVGSARKKYEAELHKKLKKEFEDCKRNAYMEAELQCSNSIQSMERKLRIACHASDANIDNVLKVLDGLLADYEAASHGPAKWQKLTSFLQKSLEGPILDLAKRLIDQAGSEKSTLMLRCRSIEDKLGLLNKQLESSEKSKSEYLKRYEDAINDKKKLADEYMGRINNLQSNSSSLGERCSSLVKTLDSAKQESLEWKRKYEQVISKQKAEEDQASSEIAILKSRCSAGEARLAAAREQAQSAQEEAQEWKRKYDIAYREAKAALEKAAIVQERSNKETQKREDALREEFASSLAEKEEEIKDKTAKIEYAEQCLTTLRLELKTAKSKVDSYDAEISSMKLEIKELSQKLEAANAKAHSFEREKKILEQEKIYLGQKYESEIKRLDEVQERCKIAEKEATRATDIADKARAQADIAQKEKSEMQKLAMERLAQIERAERHIETLQREKRDLDDELERIRVSEMGAHSKVALLEARVEEREKEIESLLKSNNEQRTSTVHVLQGLLDSERAAHADANNRADSLSLQLQAAQAKLDSLQQELTSVRLNETALDSKLKTASHGKRSRVDDYEMGMDSVQDMEMSDRIVRVNKRSRSTTSPLKRTQAEDGGSVFKGDEDTRSQQTNSEDYRKFTVTKIKQELTKHNFGAQVLDMRTGASKREWLELYESCILQKS >ONI13151 pep chromosome:Prunus_persica_NCBIv2:G4:12851900:12855216:1 gene:PRUPE_4G206000 transcript:ONI13151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCARSAGKMTKVEGYCESGLDLWVDLKIDSNPENDLDHSDLPQPIASPQGSSTRAQLSDLGSQPILGSNFDTDERGSDLLMPASPDADVSKIKISERASDAMEELLSLALAQEPLWQVDKENNTEMLSDVEYMRMVKVRECQSPPSLEVDSSHELEPVLAVSSESSRAVEYFKTSPISIIELLMDLEQWSLAFSNIVSKAMLVGVLASTGVERHYDGTLQLMTAEFHAPSPLVPTRESSFARYCKKLGSGLWGVVDVSPETLPQFPSRNFRRRPSGCLIEEMPNGCSKPLVTSGFAFCAKRWVNTLIQHFQWSATVRAPNSPTDRGVIIAQPQRTFFLKFSERMVKSFFMDISASRENKWMPFPVSGADIMISTKSSIDDHGKIPGTTTVFATSVHLPVPSKQVFSLLRDVNFRRQWDIYGRNHRFDERAYISHGDNPENGVSILRAINDETKKLKALYMQESYTASTGSYIVYAPFDYEHAGNELMKDDCRDHITILPSGFSILPDRSIHRDETGGSLLTIAFHVVAKSPTDEHEPSNQLGLLMHNIIAKTVMSIKEALGFSDLQSND >ONI13816 pep chromosome:Prunus_persica_NCBIv2:G4:16419897:16421490:-1 gene:PRUPE_4G247100 transcript:ONI13816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGELPLFIPSSTRMVDLSNNYFHARNLTSFDVRNNTFSGSIPSYLCLHSSPLIRLLDFSINKFSSNISGGLGKCSKLQVFRAGYNRLSGILPEDIYNATTLEEISLPSNAFRGDISDEIVNLTNLTILELYVNQFSGMLPTNMGKLSKLKLILLHFNNLTDSLPPSLLNCTNLRELNLGFNHFVGKLSMLDFSKLSRLTKLDFTDKYSLRFSLKSLSFLSLSASRLSNITRVLNILMGCKSLKVLILSNNFIGEEMPNGDGIVGVDGLENLRILSFRGCQLTGPLPVWLSKIKKLEVLDLSSNRFTGSVPTWLGNFPSLFHINLGNNRISGELPKQLCKLPMLVSEQTAAQVDHTYLQLPFFVKPASDADFLQYSSLSFFPPAIHLHKNSINGNIPTEISQLVLLHTLNLDDNNFSGNIPNKISNLKKLETLDLSMNHLSGEIPPSLTSLNFLSFLNVSYNNLEGPIPKGTQLQSFDVSAFEGNPKLCVVPLFGMRVL >ONI10588 pep chromosome:Prunus_persica_NCBIv2:G4:2674362:2675455:1 gene:PRUPE_4G055700 transcript:ONI10588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRNGLLTGVEKGWKAVHKVGRIYVLPCLSSDSAAGERKKQIEEGEGVQTEQILSTQLHTIVNFIPPLPYIMLVLFQSGLLYS >ONI14425 pep chromosome:Prunus_persica_NCBIv2:G4:23668399:23676504:1 gene:PRUPE_4G280400 transcript:ONI14425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKENLSEASIGASEEGDSPGQSDSLGTHSRHSLDNEAGLSTCRVCQCAESDKRGDAALEFLGITPPTQETCISHGQVKPDSRVALKDAEGDMYVKKNAGKESGFLEFISPDGEVFICGADLETGSCHHEDTLVELGCSCKNDLALVHYACALKWFINHGSTVCEICGRLAKNIRISDFKKVMISLKEYEILRERTARGEPDATQVNTSTGIDPDAVAAIRRQRLSEISLWFTPHNNSNNNNNTSTNSVSISQAVSEQPQNTVVAPAENPATKWAVEGTGILLATGLLTVTLAWLIAPRVGKKTASSGLHILLGGICALTVVVFFRFFVLTRIKYGPARYWAILFVFWFLVFGIWASRTHSSHTT >ONI14426 pep chromosome:Prunus_persica_NCBIv2:G4:23669950:23676504:1 gene:PRUPE_4G280400 transcript:ONI14426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKENLSEASIGASEEGDSPGQSDSLGTHSRHSLDNEAGLSTCRVCQCAESDKRGDAALEFLGITPPTQETCISHGQVKPDSRVALKDAEGDMYVKKNAGKESGFLEFISPDGEVFICGADLETGSCHHEDTLVELGCSCKNDLALVHYACALKWFINHGSTVCEICGRLAKNIRISDFKKVMISLKEYEILRERTARGEPDATQVNTSTGIDPDAVAAIRRQRLSEISLWFTPHNNSNNNNNTSTNSVSISQAVSEQPQNTVVAPAENPATKWAVEGTGILLATGLLTVTLAWLIAPRVGKKTASSGLHILLGGICALTVVVFFRFFVLTRIKYGPARYWAILFVFWFLVFGIWASRTHSSHTT >ONI14424 pep chromosome:Prunus_persica_NCBIv2:G4:23668399:23676504:1 gene:PRUPE_4G280400 transcript:ONI14424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKENLSEASIGASEEGDSPGQSDSLGTHSRHSLDNEAGLSTCRVCQCAESDKRGDAALEFLGITPPTQETCISHGQVKPDSRVALKDAEGDMYVKKNAGKESGFLEFISPDGEVFICGADLETGSCHHEDTLVELGCSCKNDLALVHYACALKWFINHGSTVCEICGRLAKNIRISDFKKVMISLKEYEILRERTARGEPDATQVNTSTGIDPDAVAAIRRQRLSEISLWFTPHNNSNNNNNTSTNSVSISQAVSEQPQNTVVAPAENPATKWAVEGTGILLATGLLTVTLAWLIAPRVGKKTASSGLHILLGGICALTVVVFFRFFVLTRIKYGPARYWAILFVFWFLVFGIWASRTHSSHTT >ONI10354 pep chromosome:Prunus_persica_NCBIv2:G4:2033779:2034481:1 gene:PRUPE_4G042900 transcript:ONI10354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSIAYIAYTDSDGNFISAADSETSVEYQQELRPEENVLFLDPSG >ONI09921 pep chromosome:Prunus_persica_NCBIv2:G4:856014:858966:-1 gene:PRUPE_4G018500 transcript:ONI09921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAGLWQLGQSITRRVAQGDKKAVARRYFASEAELKKTVLYDFHVANGGKMVPFAGWGMPIQYKDSIMDSTLNCRQNGSLFDVSHMCGLSLKGKDSIPFLEKLVIADVAGLAPGTGTLTVFTNEKGGSIDDSVITKVTDDHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPTLQHLTKEDLSKLYFGEFRILDINGAHCFLTRTGYTGEDGFEISVPSEHAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHVTPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPSIRRAGFISSGPPARSHSEIQNEKGETIGEVTSGGFSPCLKKNIAMGYVKSGSHKAGTKVKIIVRGKAYDGTVTKMPFVPTKYYKPS >ONI09919 pep chromosome:Prunus_persica_NCBIv2:G4:856014:858962:-1 gene:PRUPE_4G018500 transcript:ONI09919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAGLWQLGQSITRRVAQGDKKAVARRYFASEAELKKTVLYDFHVANGGKMVPFAGWGMPIQYKDSIMDSTLNCRQNGSLFDVSHMCGLSLKGKDSIPFLEKLVIADVAGLAPGTGTLTVFTNEKGGSIDDSVITKVTDDHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPTLQHLTKEDLSKLYFGEFRILDINGAHCFLTRTGYTGEDGFEISVPSEHAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHVTPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPSIRRAGFISSGPPARSHSEIQNEKGETIGEVTSGGFSPCLKKNIAMGYVKSGSHKAGTKVKIIVRGKAYDGTVTKMPFVPTKYYKPS >ONI09920 pep chromosome:Prunus_persica_NCBIv2:G4:855949:859126:-1 gene:PRUPE_4G018500 transcript:ONI09920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAGLWQLGQSITRRVAQGDKKAVARRYFASEAELKKTVLYDFHVANGGKMVPFAGWGMPIQYKDSIMDSTLNCRQNGSLFDVSHMCGLSLKGKDSIPFLEKLVIADVAGLAPGTGTLTVFTNEKGGSIDDSVITKVTDDHIYLVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPTLQHLTKEDLSKLYFGEFRILDINGAHCFLTRTGYTGEDGFEISVPSEHAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHVTPVEAGLTWAIGKRRRAEGGFLGAEVILKQLEEGPSIRRAGFISSGPPARSHSEIQNEKGETIGEVTSGGFSPCLKKNIAMGYVKSGSHKAGTKVKIIVRGKAYDGTVTKMPFVPTKYYKPS >ONI11709 pep chromosome:Prunus_persica_NCBIv2:G4:6592300:6596622:1 gene:PRUPE_4G121700 transcript:ONI11709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMRYQRVSPDCVPLSNGKKPAMRAISKEDGLTETLTTSTVSTLEPTKPFRFRSQPTTQDPTQSQFGARPTSPNSDNHHRSPTQRQDKSPSRTPSPSRGAGDVLLQWGHKKRSRVSRTEIRAATDESSSSAQARQAGVKLQRRDKSMPPPPPPPPLSSSSATSSFSNGRLRKEASALLPSRNLEDRSAVVNGSPSRNPTGGSNSRAVSRSTVGKRSPPPEKNDRKLPPCSGRSSAKDDKPNGPSVQVDRQHHADSASLQSDQLAATANGAAPVAAADKVNYEVVEWPRIYIALSRKEKEDDFLAMKGTKLPQRPKKRAKNIDRTLQYCFPGMWLSDLTRNRYEVREKKCVKKQKRRGLKGMESVDSDSE >ONI12241 pep chromosome:Prunus_persica_NCBIv2:G4:8768233:8771856:1 gene:PRUPE_4G152900 transcript:ONI12241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVVASVALRVFWVSIVITWAWRVLNWVWLRPKKLERCLRQQGLAGNSYRLLVGDTKESSMMVKEAKSKPMNLSHDITPRVLPFLHQSVTTYGKNFFIWIGPTPRVNISNPADVKDVFTNYEDFQKPVINPLVKFLATGLPNYEGEKWAKHRKIINPAFHLEKLKRMLPAFHQSCSEMIEKWERLVSKEGSCELDVWPYLESLAADVISRASFGSSYQEGRKIFQLLKEQAKLTIRALQSFYIPGWRFLPTRSNKRMKAIDKEIKGSLRDIISTREEAIRMGEAAKDDLLGILMESNLKEIRENGNTKNVGMSIEDVIEECKLFYFAGQETTSVLLLWTLVLLSQNQNWQARARDEVLQVFGSNKPDFDGLSHLKVVTMILLEVLRLYPSVVALPRTTHKKTQLGKLSLPAGVEVSLPILLLHHDKELWGEDAIEFNPERFSEGVSKASKNQFAYFPFGGGPRICIGQNFAMLEAKLAFSLLLQRFTFELSPSYAHAPSLIISLQPQYGAHIILHKR >ONI10719 pep chromosome:Prunus_persica_NCBIv2:G4:3034319:3039645:1 gene:PRUPE_4G063300 transcript:ONI10719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSRFLLSITLLLLQYSSIVTGAAKTNITTDQSALLAMRSHITSDPQNILVNWSTSTSVCNWVGVTCGARHLRVVSLNLSYMGFTGTIPPHVGNLSFLVALSFNNNSFYGTLPHELSYLRRLKFISLGFNNFMGSIPSWFGSFPKLQRLDLYGNQFSGTIPSTIFNLSTLQDIDLGANKLSGAVPKEIGNLTMLKGIYLDSNNFNEIPKEIGLLDQVEILYVSLNALKGPVPVAVFNMSSLTMLTLDGNSLSGGLPDNICQHLPSLQYLYLGGNQFDGPLPSKLWQCRELLILNLEENNFNGSIPKNIGNLTMMKEIYLDNNNLMGTIPDEIGDLPNLKILSFGDNNLNGLIPTSIFNISTMRALSLSFNQLSGSLPANIGLGLPNLQHLYLTKAGLSGAIPNLSNASMLTKLQLGTNTFTGFIPTTLCALTNLQSLQLDDNNLTIDTSTPEADTLSCLANLGNLTELYLENNPLNARLDDSLRNCSSASKLQYFDLTNSIMSGNIPIGIGNLSSLVSLYLGYNELSGSIPTSLERLGNLQSLYLDGNNLQGYIPYQLCQLDNLFELYLGRNQLSGSIPSCLGNLSASLRTLSLEFNLLSSTIPYTFWRLVDILYVYLSSNSLIGPLSQDIGNLKVVIKVDLSNNHLSGIMPSTIGGLQDLVNLSLANNNLEGPIPSAFDGLLSLEQLDLSRNNLSGVIPKSLEALSLLKYMDLSFNRLKGEIPTGGPFQNFSAQSFVSNKALCGAARLQVPPCKNGTLEPNWRKAKYIIPGIISIILFVASVSIFVLCRKRKVEVAGEATSLPEFLRRRVSHLELLRGTNGFNENNLVGSGGFGSVYKGTLSDGNNVAVKVFNLQLEGAFKGFDRECEILSNICHRNLIKIISCCSEIDFKALVLNYMPNGSLEKWLYFEDYSLNTLERLNIMIDAASALEYLHHGYSIPIVHCDMKPSNILLDDDMVAHVADFGIAKLLGGGDSITQTMTLATVGYMAPEYGLEGMVSTRGDVYSFGIVVMETFTRRKPTDEMFDGEMNLKQWIANSLVLPDAKIDEVVDANLLGIGTEQEDDDHVRKRDCISAIMRLALTCCAESPEERISMKEAVATLNKIKTKFLKDGAAGRGVLLNRPLVQQRFN >ONI10657 pep chromosome:Prunus_persica_NCBIv2:G4:2863273:2868234:1 gene:PRUPE_4G060100 transcript:ONI10657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSGKSILQFAPFQSSVDEGFWHRLSSLKLNKFGIDDSPIPITGFYAPCSHSQVSNHLTLLAESLPSDSSEESEVPEISRGNRNRCSVPGILYNTNTVERFHGLDKQGLLKAEAQKIWEDIHNGRALEDSSVLSRFLLISFADLKKWSFHYWFAFPALVLDPPATLVNLRPASQCFSLEEAESLSAAFNEWRNSSLTADVPFFLVQIDTNSHAAIKHLKDWETCQSADGHKLLFGFYDPCHLPNNPGWPLRNFLALICSRWDIKSVHFLCYRENRGFADLGLSLVGEALITVPQGWRDHPYVPNAVGWELNKGRKIPRGISLAKSMDPTRLAISAADLNLKLMRWRALPSLNLNSLSSLKCLLLGAGTLGCQVARTLMAWGVRRITLVDNGRVAMSNPLRQSLYTLDDCLNGGELKATAAVNSLKRIFPAVEAKGVVMAIPMPGHPVPSQEEQTVLDDCRSLHDLIDSHDVVFLLTDTRESRWLPSLLCANTNKITITAALGFDSFLVMRHGAGPFSSSHDSKAEAANSLSADMSNLGLTDRDGGKRLGCYFCNDVVAPIDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPHGIFAEGDVLNSSNSESSEQPLGILPHQIRGSLAQFSQMTLVGHSSDSCTACCSTVVSEYRKKGMEFILQAINHPTYLEDLTGLTELMKSASSFELDWDDGTDEDDDDCVEV >ONI10658 pep chromosome:Prunus_persica_NCBIv2:G4:2863160:2868234:1 gene:PRUPE_4G060100 transcript:ONI10658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSGKSILQFAPFQSSVDEGFWHRLSSLKLNKFGIDDSPIPITGFYAPCSHSQVSNHLTLLAESLPSDSSEESEVPEISRGNRNRCSVPGILYNTNTVERFHGLDKQGLLKAEAQKIWEDIHNGRALEDSSVLSRFLLISFADLKKWSFHYWFAFPALVLDPPATLVNLRPASQCFSLEEAESLSAAFNEWRNSSLTADVPFFLVQIDTNSHAAIKHLKDWETCQSADGHKLLFGFYDPCHLPNNPGWPLRNFLALICSRWDIKSVHFLCYRENRGFADLGLSLVGEALITVPQGWRDHPYVPNAVGWELNKGRKIPRGISLAKSMDPTRLAISAADLNLKLMRWRALPSLNLNSLSSLKCLLLGAGTLGCQVARTLMAWGVRRITLVDNGRVAMSNPLRQSLYTLDDCLNGGELKATAAVNSLKRIFPAVEAKGVVMAIPMPGHPVPSQEEQTVLDDCRSLHDLIDSHDVVFLLTDTRESRWLPSLLCANTNKITITAALGFDSFLVMRHGAGPFSSSHDSKAEAANSLSADMSNLGLTDRDGGKRLGCYFCNDVVAPIDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPHGIFAEGDVLNSSNSESSEQPLGILPHQIRGSLAQFSQMTLVGHSSDSCTACCSTVVSEYRKKGMEFILQAINHPTYLEDLTGLTELMKSASSFELDWDDGTDEDDDDCVEV >ONI10656 pep chromosome:Prunus_persica_NCBIv2:G4:2863257:2868234:1 gene:PRUPE_4G060100 transcript:ONI10656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSGKSILQFAPFQSSVDEGFWHRLSSLKLNKFGIDDSPIPITGFYAPCSHSQVSNHLTLLAESLPSDSSEESEVPEISRGNRNRCSVPGILYNTNTVERFHGLDKQGLLKAEAQKIWEDIHNGRALEDSSVLSRFLLISFADLKKWSFHYWFAFPALVLDPPATLVNLRPASQCFSLEEAESLSAAFNEWRNSSLTADVPFFLVQIDTNSHAAIKHLKDWETCQSADGHKLLFGFYDPCHLPNNPGWPLRNFLALICSRWDIKSVHFLCYRENRGFADLGLSLVGEALITVPQGWRDHPYVPNAVGWELNKGRKIPRGISLAKSMDPTRLAISAADLNLKLMRWRALPSLNLNSLSSLKCLLLGAGTLGCQVARTLMAWGVRRITLVDNGRVAMSNPLRQSLYTLDDCLNGGELKATAAVNSLKRIFPAVEAKGVVMAIPMPGHPVPSQEEQTVLDDCRSLHDLIDSHDVVFLLTDTRESRWLPSLLCANTNKITITAALGFDSFLVMRHGAGPFSSSHDSKAEAANSLSADMSNLGLTDRDGGKRLGCYFCNDVVAPIDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPHGIFAEGDVLNSSNSESSEQPLGILPHQIRGSLAQFSQMTLVGHSSDSCTACCSTVVSEYRKKGMEFILQAINHPTYLEDLTGLTELMKSASSFELDWDDGTDEDDDDCVEV >ONI14609 pep chromosome:Prunus_persica_NCBIv2:G4:25306619:25307504:1 gene:PRUPE_4G288300 transcript:ONI14609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLKFPIAPFSLCSQSNPAPKTRSLMSLMFSKFDSTSSLTEVTANHPIVAWQSCCFRLFVRSYAQVDR >ONI10053 pep chromosome:Prunus_persica_NCBIv2:G4:1191759:1194779:-1 gene:PRUPE_4G025100 transcript:ONI10053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIFKYFSQMFVVKEREMEIGYPTDVKHVAHIGWEGPSDSAPTWMNEFKNGPDFSTSSLGSNAGDRMGSSNPMAYSPWSSQDFEHSMGHQPSSDMFRDLPRSELPNVPKKPKRKKVKSSSPSASRSSRATKSKATAYTQMEATQNLLI >ONI10054 pep chromosome:Prunus_persica_NCBIv2:G4:1191759:1193466:-1 gene:PRUPE_4G025100 transcript:ONI10054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMNEFKNGPDFSTSSLGSNAGDRMGSSNPMAYSPWSSQDFEHSMGHQPSSDMFRDLPRSELPNVPKKPKRKKVKSSSPSASRSSRATKSKATAYTQMEATQNLLI >ONI09802 pep chromosome:Prunus_persica_NCBIv2:G4:498739:500880:1 gene:PRUPE_4G010000 transcript:ONI09802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAHMALVSFHPFISQFCYCNSTNIITRITIHSGAVLHKKLNKTAANNVVSAEFHPPICNDGQPIIGTTSFFSSKSRQRERGLLLVPLNAKNSGLPGDEEDPRALETVLKLYTAIKNKNIRELSEILGDECQCVCNLFSIFRPLRGKKQVLDFFSYLIRNLGNNIEFVVTPTLHDGMNVGIQWSLEWKKTHVPLGKGFSFHICQIYKGKVMLRNVEMFMEPLLHIEPLRLKLMGIMTAVLDKIGFNVVFKGKANRLVLAFLLLLIKAAILILLKLVLH >ONI09801 pep chromosome:Prunus_persica_NCBIv2:G4:498739:500880:1 gene:PRUPE_4G010000 transcript:ONI09801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAHMALVSFHPFISQFCYCNSTNIITRITIHSGAVLHKKLNKTAANNVVSAEFHPPICNDGQPIIGTTSFFSSKSRQRERGLLLVPLNAKNSGLPGDEEDPRALETVLKLYTAIKNKNIRELSEILGDECQCVCNLFSIFRPLRGKKQVLDFFSYLIRNLGNNIEFVVTPTLHDGMNVGIQWSLEWKKTHVPLGKGFSFHICQIYKGKVMLRNVEMFMEPLLHIEPLRLVSKASTLRLLISTSEMCPLLLFSLSSYISLVQKLMGIMTAVLDKIGFNVVFKGKANRLVLAFLLLLIKAAILILLKLVLH >ONI13095 pep chromosome:Prunus_persica_NCBIv2:G4:12566764:12576503:1 gene:PRUPE_4G202100 transcript:ONI13095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESILALALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDAVHSSMGLPPALNVATAKVGKLEIVLPSVSNVQIEPIVVQIDRLDLVLEEKSDLDARSPRSSPSSSSSAKGSGYGFADKIADGMTVEILTVNLLLETRGGGRCQGGASWASPLASITIRNLLLYTTNENWQVVNLKEAREFSNDKKFIYLFKKLEWESLSIDLLPHPDMFMDANIARTEDGGNQRDDDGAKRVFFGGERFIEGISGEAYITVQRTELNSPLGLEVQIHITEAICPAISEPGLRALLRFMTGLYVCLNRGDVDSNTQQRSTEAAGRSIVSIVVDHIFLCIKDTEFQLELLMQSLFFSRASVSDGEIDNNLSRVMIGGLFLRDTYSRPPCTLVQPSMRAVSEEPLHVPDFGKNFSPPIYPLGDQEWQLNKGVPFLCLHSLQIKPSPVPPSFASQTVINCQPLMIDLQEGSCLRICSFLADGIVVNPGAVLADFSVNSLIFNLKELDVAVPLDIDSNPANKRGSINQSAFSGARLHIENLFFSESPSLKLRLLNLEKDPACFCLWEGQPVDASQKKWTTGASHLSLSLETCTKSAGHQSSLDQNSGLWRCVELKDACVEVVMVTADGSPLTNVPPPGGIVRVGVACQNYLSNTSVEQLFFVLDLYAYFGRVSEKIVLVGKNTGQKKNRDHSSDGNLIDKVPNDTAVSLAVKDLQIRFLESSSMNSQGMPLVQFIGDNLFIKVTHRTLGGAIAVSSTILWDSVEVDCVDTERNLVLENDTVLTSIENDLSTSGNGYPELRPVFWIDNQRKHQSNGKVFVDPFLDISMVHVIPLNERDVECHSLNVSACISGVRLGGGMNYAESLLHRFGILGPDGGPGKGLSKELEKLRAGPLSKLFKPLPLIADLKEDGSSGDGKESGVLHLGKPDDVEVSIELKNWLFALEGEQEMAERWWFNHEDVGREERCWHTTFHNLHVKAKGSPKHMLNGNGKSYRTEKYPVELVTVGVEGLQTLKPHAQKCIDAAVLPVNGIKETADTSAGIDLEVRMVISEDTVDHEMVEWAVENVKFSVKQPIEAVVTKDELQYLTFLCKSEVESMGRITAGILRLLKLEGSIGQAAMEQLSNLGGTEGIDKIFSPGKLSRGSSFCSTGLPQSILIGETPSTTATLESTVASLEEAFTDSQAKCAALLADLGNSESSVQHLATVKQLTQKLQSMQSLLTQLRSHI >ONI11820 pep chromosome:Prunus_persica_NCBIv2:G4:7020285:7023162:-1 gene:PRUPE_4G127800 transcript:ONI11820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPTPLLPHSTSSDPISTPPISLDSVIERVTTNSAWPQLLQAIVVSLSWFFDGQQTFITVYTDAEPTWHCTEYPNTTCRSTSNICDLSTSAWAWDRPSSTTIISDFNIQCASSLISGLPASAFFFGCLLGGFILATLADSSLGRRNLLFLSCFTMCVASLITAFSTNVFVYAGLRFISGFGRASIGACALVLSTEIVSRKWRGRIGIAGFFCFTFGFLSLPGIAYVNRHSSWRTLYMWTSVPGILYCVLVFLFVSESPKWLFTRGRKDEAVATLGRLGHWNHSSLNLYLSSIPLLEESAEVDLFSSVKNLFEKKWAFRRTLALMSVGFGVGMVYYGMPLGVGNLGFNIYLSLTLNAMSEIPSFALTLFFIGKWNRKSSLLTFMMTSGVCSIMCAVVSSKWMQIGLEIVSFFSANTAFSILMIYTLELFPTCVRNSATSMVRQGLVIGAIFSPVLISAGRNYNEFFSYGVFGVVIICCGVFVFPLPETKGVALCDTMDEQERKEVTDQINTSI >ONI13882 pep chromosome:Prunus_persica_NCBIv2:G4:16881097:16883183:-1 gene:PRUPE_4G252000 transcript:ONI13882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKASVSLGHPTYAYVRPIKPKTDSSKLLLHKEFEAMGATIFQGELDDHEKLVKAVEQVDVVISTVAVPQHLEQLKLIKAIKDAGNIKRFIPSEFGNEVDRVIGLPPFEAILDNKRKIRSIEAAGIPYTFVSANSFGAYFVDYLLHPREKTNHQVTVFGTGEAKAVLNYEEDVATYTIKAATDPRVANRVIVYRPQGNIVSQLDLISSWEKKTGCTLTRSYVSEEEILKLSETLPSPDNIAVSILHNIFIKGDQMSFELTENDLEASELYPDYKYTSIDSFLDICLVDPPKPKLAAFE >ONI10479 pep chromosome:Prunus_persica_NCBIv2:G4:2382615:2384822:-1 gene:PRUPE_4G049900 transcript:ONI10479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIAKKGLQQYLLQHQHHRLRTKVKSHVSVNLTWVVCGVLSAIRDIVSRKLTGIQKRQLRRLLLEVLFGAVYHGPFGHFLHLLLDKIFKGKKDSKTVAKKFWPVLGWINHQYVPLHLRVVFHSLVAFGWGIFLNLQAIYVALPRAK >ONI11665 pep chromosome:Prunus_persica_NCBIv2:G4:6457381:6461078:-1 gene:PRUPE_4G119200 transcript:ONI11665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLPKLTALLVVLAILQSHVHARFVVETGSISVLYPMSLSSKHDGAIGNFGLPDYGGSLVGAVVYPEKGSHGCEAFEGDKPFKSRNSRPTIAVLDRGGCYFALKVWNAQEAGATAVLVADNIEEPLITMDSPEESTDADGYIEKIRIPSALIEKSFGDSLKEALKNSNDVVVKLDWRESVPHPDQRVEYEFWTNSNDECGARCDEQMTFVKNFKGHAQILERGGYTLFTPHYITWYCPQAFTLTTQCKSQCINHGRYCAPDPEKDLGEGYQGKDVVYENLRQLCVHRVANESNRSWVWWDYVTDFHIRCSMKEKKYSKECAEDVMKSLDLPVDKIKKCMGNPEDDVENAVLKAEQEIQVGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAICAGFKETADPPICLSGDLETNECLERNGGCWQDNKSNLTACKDTFRGRVCECPVVSGVQYRGNGYTSCHAFGPARCAINNGGCWSDSRNGLIFSACSNSELSGCKCPQGFQGDGHKCEGDFEFQRD >ONI11663 pep chromosome:Prunus_persica_NCBIv2:G4:6455938:6461078:-1 gene:PRUPE_4G119200 transcript:ONI11663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLPKLTALLVVLAILQSHVHARFVVETGSISVLYPMSLSSKHDGAIGNFGLPDYGGSLVGAVVYPEKGSHGCEAFEGDKPFKSRNSRPTIAVLDRGGCYFALKVWNAQEAGATAVLVADNIEEPLITMDSPEESTDADGYIEKIRIPSALIEKSFGDSLKEALKNSNDVVVKLDWRESVPHPDQRVEYEFWTNSNDECGARCDEQMTFVKNFKGHAQILERGGYTLFTPHYITWYCPQAFTLTTQCKSQCINHGRYCAPDPEKDLGEGYQGKDVVYENLRQLCVHRVANESNRSWVWWDYVTDFHIRCSMKEKKYSKECAEDVMKSLDLPVDKIKKCMGNPEDDVENAVLKAEQEIQVGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAICAGFKETADPPICLSGDLETNECLERNGGCWQDNKSNLTACKDTFRGRVCECPVVSGVQYRGNGYTSCHAFGPARCAINNGGCWSDSRNGLIFSACSNSELSGCKCPQGFQGDGHKCEDINECKERTACQCDGCSCKNTWGGYYCKCKGGQLYMKDQDTCIERHGSRFAWFLTFLVLAAVVGVGLAGYIFYKYRLRSYMDSEIMAIMSQYMPLDSQQANQVHTAEAEPLRHGSA >ONI11664 pep chromosome:Prunus_persica_NCBIv2:G4:6456943:6460674:-1 gene:PRUPE_4G119200 transcript:ONI11664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLPKLTALLVVLAILQSHVHARFVVETGSISVLYPMSLSSKHDGAIGNFGLPDYGGSLVGAVVYPEKGSHGCEAFEGDKPFKSRNSRPTIAVLDRGGCYFALKVWNAQEAGATAVLVADNIEEPLITMDSPEESTDADGYIEKIRIPSALIEKSFGDSLKEALKNSNDVVVKLDWRESVPHPDQRVEYEFWTNSNDECGARCDEQMTFVKNFKGHAQILERGGYTLFTPHYITWYCPQAFTLTTQCKSQCINHGRYCAPDPEKDLGEGYQGKDVVYENLRQLCVHRVANESNRSWVWWDYVTDFHIRCSMKEKKYSKECAEDVMKSLDLPVDKIKKCMGNPEDDVENAVLKAEQEIQVGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAICAGFKETADPPICLSGDLETNECLERNGGCWQDNKSNLTACKDTFRGRVCECPVVSGVQYRGNGYTSCHAFGPARCAINNGGCWSDSRNGLIFSACSNSELSGCKCPQGFQGDGHKCEDINECKERTACQCDGCSCKNTWGGYYCKCKGGQLYMKDQDTCIAHYMAGLVLIVTGRR >ONI12170 pep chromosome:Prunus_persica_NCBIv2:G4:8488765:8492929:1 gene:PRUPE_4G148700 transcript:ONI12170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPSKLPRIRRPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSAELAMKTDPQNSDYVVEAGATRNFEPWRNEDEELDKEKQKRESEEMGDAMKSLENRTQDSKREMDIMAALDEMKSMKARHFNVSVDDMLEALKHTAALKEKMIEEEDEAALKSVLFHNSKDFVRRVPDEDIEPLSGNGETSDHNFKRPKLTQDAPSNPTDWLTKSSIFNISSKRGNQNGSEAPTDAKFTLKSSTVRVSIVKKPVVAEGNKSAEGEDKKQLGEEVQNSTKPNDEKQEGENKTNVTSNVLQSLCQNYDSDDE >ONI12172 pep chromosome:Prunus_persica_NCBIv2:G4:8488866:8492822:1 gene:PRUPE_4G148700 transcript:ONI12172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPSKLPRIRRPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSAELAMKTDPQNSDYVVEAGATRNFEPWRNEDEELDKEKQKRESEEMGDAMKSLENRTQDSKREMDIMAALDEMKSMKARHFNVSVDDMLEALKHTAALKEKMIEEEDEAALKSVLFHNSKDFVRRVPDEDIEPLSGNGETSDHNFKRPKLTQDAPSNPTDWLTKSSIFNISSKRGNQNGSEAPTDAKFTLKSSTVRVSIVKKPVVAEGNKSAEGEDKKQLGEEVQNSTKPNDEKQEGENKTNVTSNVLQSLCQNYDSDDE >ONI12171 pep chromosome:Prunus_persica_NCBIv2:G4:8488687:8492932:1 gene:PRUPE_4G148700 transcript:ONI12171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPSKLPRIRRPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSAELAMKTDPQNSDYVVEAGATRNFEPWRNEDEELDKEKQKRESEEMGDAMKSLENRTQDSKREMDIMAALDEMKSMKARHFNVSVDDMLEALKHTAALKEKMIEEEDEAALKSVLFHNSKDFVRRVPDEDIEPLSGNGETSDHNFKRPKLTQDAPSNPTDWLTKSSIFNISSKRGNQNGSEAPTDAKFTLKSSTVRVSIVKKPVVAEGNKSAEGEDKKQLGEEVQNSTKPNDEKQEGENKTNVTSNVLQSLCQNYDSDDE >ONI12631 pep chromosome:Prunus_persica_NCBIv2:G4:10447006:10450240:1 gene:PRUPE_4G176400 transcript:ONI12631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSSSHRKKRAKISSQAGTRKSSKSKPKSRKYRSKKLRRRDDSPSHSDDGDSRSLESVSSFSSDDDSRSRRARSRTRRDLKGSKKRARRRSHIHDSSEDSPRGKKRKSAKNKNDYEARKKSRSRKKPRRNASISSRSSASFSCSTCPSGSICGDEIESKRHRGRPGKRNRDESDINKVESGTKRARYRSKSCSSHSQCSGRGGDSQSEEKVTFENKLRRLRSVITVTEEDKHGRWMDKDGHKEEMAYDDDYPSCRSNDSNDGGCKRELDNHLHVVEKRIGVESGKEEKALISNVPIEDLTDSGNIFEKHYGGQDDGINSSRDGIGIAGPVNENTKEVSGAISHLPGEDLESILRQRALENLKRFKGTTSEENKSNNDLKQPSTVKADFVQIESPKESGARAVVAKSSKEGATEMVVAKSSKEDAAEMVDTTQLLENANGPPVRDPIVSSKNLKKELDRTSGSNELQDVACPTYQVALGNSDKKVDTIAVLNKPNLASPKLRCHSAKAHSTWKQAARSQEPPHERLLVIENSVDKSTSETAQTVPQTQSTYSNGDDINDDRGCTAPEPSGENRSDKQQGEGKDGSQFEQKTMSVMRGSEMVQVSYKVYIPKKAPGLARRQLRR >ONI10256 pep chromosome:Prunus_persica_NCBIv2:G4:1759682:1763377:1 gene:PRUPE_4G037200 transcript:ONI10256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKHSQHSSTSIFCAFSDTKDKALFFSSLALLRLSSVFMDSKIKKVLEQLLGLGLVNFRKDRSSVSLVCKDWYEAERLSRRHVFIGNCYSVSPEIVTRRFPNIRSVTLKGRPRFSDFNLVPPNWGGDVQPWLEVFASECPLLEELRLKRMTVTDESLEFLAVSFPDFKALSLLSCDGFSTDGLEAIATHCKNLIELDIQENDIDDKSGDWLSCFPETFTSLEILNFASLNSKVDFDALERLVSRCKSFKVLKVNKSVTLEQLQSLLIQAPQLLELGTGSFWQELTASQHSQLERAFSNCKNLHTLSGLWEATALYLPVLYPACTNLTFLNLSYATLQSWDLAKLVAHCPLLRRLWVLDTVEDKGLEAVGSNCPLLEELRVFPSAPDGDDIIDGVTESGFVALSYGCRRLCYVLYFCWQMTNAAIATVAQNCPDITHFRLCIMNPRQPDYLTKEPMDEAFGAVVKTCSKLQRLSVSGLLTDLTFEYIGKHAQNLEYLSIAFAGESDWGMQCVLDGCPKLKKLEIRDCPFGDVALLSGLEKYEFMRSVWMSACNVTMNACRSLASERPRLNVEVMKDDGNDENQADKVYVYRSVAGPRRDAPPFVLTF >ONI10255 pep chromosome:Prunus_persica_NCBIv2:G4:1759682:1763370:1 gene:PRUPE_4G037200 transcript:ONI10255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDKHSQHSSTSIFCAFSDTKDKALFFSSLALLRLSSVFMDSKIKKVLEQLLGLGLVNFRKDRSSVSLVCKDWYEAERLSRRHVFIGNCYSVSPEIVTRRFPNIRSVTLKGRPRFSDFNLVPPNWGGDVQPWLEVFASECPLLEELRLKRMTVTDESLEFLAVSFPDFKALSLLSCDGFSTDGLEAIATHCKNLIELDIQENDIDDKSGDWLSCFPETFTSLEILNFASLNSKVDFDALERLVSRCKSFKVLKVNKSVTLEQLQSLLIQAPQLLELGTGSFWQELTASQHSQLERAFSNCKNLHTLSGLWEATALYLPVLYPACTNLTFLNLSYATLQSWDLAKLVAHCPLLRRLWVLDTVEDKGLEAVGSNCPLLEELRVFPSAPDGDDIIDGVTESGFVALSYGCRRLCYVLYFCWQMTNAAIATVAQNCPDITHFRLCIMNPRQPDYLTKEPMDEAFGAVVKTCSKLQRLSVSGLLTDLTFEYIGKHAQNLEYLSIAFAGESDWGMQCVLDGCPKLKKLEIRDCPFGDVALLSGLEKYEFMRSVWMSACNVTMNACRSLASERPRLNVEVMKDDGNDENQADKVYVYRSVAGPRRDAPPFVLTF >ONI10592 pep chromosome:Prunus_persica_NCBIv2:G4:2687638:2690764:-1 gene:PRUPE_4G056100 transcript:ONI10592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFHFTRLHGSCFSFIFQPKGNLSQTNFLSQLLHFYILLHYWHWFFPCPKLHHNPKLQNMPVLTSSSSSSFTYISLSIFLLLILYNTTVICSATTRTKTTHPNRQLSVNYYAKSCPQVEQLIGSITSQQFKESPVSGPATIRLFFHDCFVEGCDASIIISSKQQGNKMLAEKDAPDNKDLREEGFESVRKAKAVVESKCPGVVSCADILAISARDYVHLAGGPYYQVKKGRWDGKISMASKVASNIPQANFTVDQLLKLFSSKGLTLEDLVVLSGAHTFGFAHCQNFVSRLYDYRGSKQPDPVIDPRLLRALRMSCPHYGGNADIVAPFDVTTPFVFDHAYYGNLEAKLGLLASDQALSLDPRTKPIVQALAKDKQKFFQAFAAAMEKMGSIGVKRGRKHGEKRKDCSTHML >ONI12825 pep chromosome:Prunus_persica_NCBIv2:G4:11013092:11015971:1 gene:PRUPE_4G185600 transcript:ONI12825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRYFPNEMLDFVAEAAADEAASVRPKDALTKLLKSSALDLKETVVRQTWGLSGKRVEDYTLYTGVLGTAFLAFKAYQVTKNENDLKLCCEIVKACDSASRGSGRVSFTCGRAGVCALGAVVAKHAGDQMLLDRYLSQFKEIKLPSDLPNELLYGRAGFLWACSFLNKHIGNGTISNTYMRSVVDEIIKAGRQLAKKGRSSLMYEWHGKKYWGAAHGLAGIMHVLMSMQLKPDEVEDVKGTLRYMIKNRFPSGNFPSSEGSESDRLVHWCHGAPGVALTLVRAAEVIIIRLISYENLLD >ONI12824 pep chromosome:Prunus_persica_NCBIv2:G4:11013092:11017161:1 gene:PRUPE_4G185600 transcript:ONI12824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRYFPNEMLDFVAEAAADEAASVRPKDALTKLLKSSALDLKETVVRQTWGLSGKRVEDYTLYTGVLGTAFLAFKAYQVTKNENDLKLCCEIVKACDSASRGSGRVSFTCGRAGVCALGAVVAKHAGDQMLLDRYLSQFKEIKLPSDLPNELLYGRAGFLWACSFLNKHIGNGTISNTYMRSVVDEIIKAGRQLAKKGRSSLMYEWHGKKYWGAAHGLAGIMHVLMSMQLKPDEVEDVKGTLRYMIKNRFPSGNFPSSEGSESDRLVHWCHGAPGVALTLVRAAEVFGDLEFLQAAIDAGEVVWNRGLLKRVGICHGISGNTYVFLSLYRLTGKVEYLYRAKAFACFLHDRALNLISEGAMHGGDRPYSLFEGVGGMAHLLLDMTEPSEARFPAYEL >ONI12103 pep chromosome:Prunus_persica_NCBIv2:G4:8292853:8298824:1 gene:PRUPE_4G144400 transcript:ONI12103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQHKQCRSLFEHEHDPLLLTDVSSQQNSSFSTANSHSDYTSLCSQLQRLTMVDPDSSSYANANEDCCVEIYQCTEVISTPKFSDPVANDGLDGRSMVGFSLTSPDLVICSGSPDIPQTSYGDSPEFLDGKFHQKMDSSIELSFENGINESQVTDTHKTPTVKFSNTLCQTFKEDLSPEASFELLPPSAIEDKLKEGSLPDISNNGGCTDDFLGGDSQMENCKSPEDNGNANVEGDYHKLLIGYEKQKKELQEMRNALEELKKQDKSKSKECQEAWKSLKELQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDLTRKLKIMKMDHIKLSEEALAYKKCIEDMNEVRSTIQSTLNQQVNLHKDLKTKFIEGAKERKELYNKVLELKGNIRVFCRCRPLNTEEVAAGASMAIDFESAKDGELNIKSNGATRRTFKFDAVFGPQADQADVFKDTAPFASSVLDGYNVCIFAYGQTGSGKTFTMQGTEEARGVNFRTLEELFRTIREREKLYRYDVSVSVLEVYNEQIRDLLVSGNQLGAASKRLEIRQVSEGIHHVPGLVEAQVNNMSEVWEVLQTGSNARAVGSTNANEHSSRSHCIHCVMVKGENLLNGECTRSKLWLVDLAGSERVAKTEVQGERLKETQNINRSLSALGDVISSLATKSSHIPFRNSKLTHLLQDSLGGDSKTLMFVQINPNENDLSETLCSLNFASRVRGIELGPAKRQLDTFELLRYKQMFEKTKLDVKSKDVQIRKMEETVHGLELKIKERDLKNKNLQDKVKELESQLLIERKLARQHVDMKIAEQHQQQMKHQQEEQTTTPARPPLTNRPLAAITLGKDQVNPIQPLMEKTNNKPPVPLHTMDGFVKHIDPTEKENNPEMAEQFLVPKKTGRASICPIFQRIPATFAPRRNSLIPLPSVPYRVQSPPHVLPLAPIAYDADKKVDADVSETDCLPEQTPCSSPKVIRNGCKKLNSILRRSLQKRTQIKSPMPPHMRKGVNVGMEKVRVSIGSRGRLAHRVLLGNGRRAGTKESQKNNSQREKERGWNMIGTAGRTAIKS >ONI12104 pep chromosome:Prunus_persica_NCBIv2:G4:8292853:8298871:1 gene:PRUPE_4G144400 transcript:ONI12104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQHKQCRSLFEHEHDPLLLTDVSSQQNSSFSTANSHSDYTSLCSQLQRLTMVDPDSSSYANANEGRSMVGFSLTSPDLVICSGSPDIPQTSYGDSPEFLDGKFHQKMDSSIELSFENGINESQVTDTHKTPTVKFSNTLCQTFKEDLSPEASFELLPPSAIEDKLKEGSLPDISNNGGCTDDFLGGDSQMENCKSPEDNGNANVEGDYHKLLIGYEKQKKELQEMRNALEELKKQDKSKSKECQEAWKSLKELQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDLTRKLKIMKMDHIKLSEEALAYKKCIEDMNEVRSTIQSTLNQQVNLHKDLKTKFIEGAKERKELYNKVLELKGNIRVFCRCRPLNTEEVAAGASMAIDFESAKDGELNIKSNGATRRTFKFDAVFGPQADQADVFKDTAPFASSVLDGYNVCIFAYGQTGSGKTFTMQGTEEARGVNFRTLEELFRTIREREKLYRYDVSVSVLEVYNEQIRDLLVSGNQLGAASKRLEIRQVSEGIHHVPGLVEAQVNNMSEVWEVLQTGSNARAVGSTNANEHSSRSHCIHCVMVKGENLLNGECTRSKLWLVDLAGSERVAKTEVQGERLKETQNINRSLSALGDVISSLATKSSHIPFRNSKLTHLLQDSLGGDSKTLMFVQINPNENDLSETLCSLNFASRVRGIELGPAKRQLDTFELLRYKQMFEKTKLDVKSKDVQIRKMEETVHGLELKIKERDLKNKNLQDKVKELESQLLIERKLARQHVDMKIAEQHQQQMKHQQEEQTTTPARPPLTNRPLAAITLGKDQVNPIQPLMEKTNNKPPVPLHTMDGFVKHIDPTEKENNPEMAEQFLVPKKTGRASICPIFQRIPATFAPRRNSLIPLPSVPYRVQSPPHVLPLAPIAYDADKKVDADVSETDCLPEQTPCSSPKVIRNGCKKLNSILRRSLQKRTQIKSPMPPHMRKGVNVGMEKVRVSIGSRGRLAHRVLLGNGRRAGTKESQKNNSQREKERGWNMIGTAGRTAIKS >ONI12934 pep chromosome:Prunus_persica_NCBIv2:G4:11592989:11596639:1 gene:PRUPE_4G192600 transcript:ONI12934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPQPPQPQDPSDPQLPHIRIHHPNSPRHHPNSPRHHTSSAGSAATPTPTAGARRKLGVAVDLSDESAHAVRWAVDHYIRPGDAVVLLHVSPTSVLFGADWGSVDLSINTNDDVDFVDNHALNDSVKQKKLENDFDAFTASKAADLARPLKEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGVGAAKRGNDGRLGSVSDYCVHHCVCPVVVVRFPDDDNGAGAGAGGSAVAPAAVVAVKEDEEEEAVIKPVVKDEHQKDA >ONI12935 pep chromosome:Prunus_persica_NCBIv2:G4:11592944:11597235:1 gene:PRUPE_4G192600 transcript:ONI12935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPQPPQPQDPSDPQLPHIRIHHPNSPRHHPNSPRHHTSSAGSAATPTPTAGARRKLGVAVDLSDESAHAVRWAVDHYIRPGDAVVLLHVSPTSVLFGADWGSVDLSINTNDDVDFVDNHALNDSVKQKKLENDFDAFTASKAADLARPLKEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGVGAAKRGNDGRLGSVSDYCVHHCVCPVVVVRFPDDDNGAGAGAGGSAVAPAAVVAVKEDEEEEAVIKPVVKDEHQKDA >ONI12936 pep chromosome:Prunus_persica_NCBIv2:G4:11592989:11596506:1 gene:PRUPE_4G192600 transcript:ONI12936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPQPPQPQDPSDPQLPHIRIHHPNSPRHHPNSPRHHTSSAGSAATPTPTAGARRKLGVAVDLSDESAHAVRWAVDHYIRPGDAVVLLHVSPTSVLFGADWGSVDLSINTNDDVDFVDNHALNDSVKQKKLENDFDAFTASKAADLARPLKEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGVGAAKRGNDGRLGSVSDYCVHHCVCPVVVVRFPDDDNGAGAGAGGSAVAPAAVVAVKEDEEEEAVIKPVVKDEHQKDA >ONI10431 pep chromosome:Prunus_persica_NCBIv2:G4:2252397:2259841:-1 gene:PRUPE_4G047400 transcript:ONI10431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLLSDSDWESFSETGSSEDHEENEYFYGGHASSILSSLEATIGKIDDFLSFERGFIYGDMVCSVVDPSGQMGRVVGINMFVDLEGVNGNMIKDVNSKNLLKIRSISVGDYVVCGPWLGRVDRVVDRVTVVFDDGTECEVTAVDQEKLLPISPNILEDPQYPYYPGQRVQVRLSTASKSTRWLCGNWRENQDEGTVRYVEGGLVYVDWLASVLMGCDTKFPAPPRVLDSKKLTLLSCLSHANWQLGDWCMLPLSDHKAIMEQALQNASTCELINQHKKSERGFRRRNTNSKFEEIFVIIKTKTKVDVVWQDGSHSLGLDSQTLAPVSVVNDHEFWPEQFVQEKSTCDDPHLPSSQRWGVVQGVDAKERTVKVQWKTITAPEANNSEGEQMDEIVSAYELVEHPDYSYCFGDYVFRLVQNQFDEQADKNYPNTKIGMSEEAAPIDENCGGHQDEYTVKCYLSHIGSVTGFKDGAVEVRWATGITSMVAPHEIFRFDKHEGSAGIPAEDDIENLNRAMIQNEKQPSNLKGKDSLNSDDASKGCKKYTRESSSYFLPQAAIGFFTSIAANLFGSHDSASLSGPSPSVCISEVGNESEISHEKGIVETCDLFTKPHSTAELERFGKKILIEEGKEIEGNSLPHLKANETADQFRQFDMVSDCSDHNFHGASKELVLSQVKRGWMKKIHQEWSILEKDLPEKIYVRAFEERMDLLRAVIVGAPGTPYHDGLFFFDIYLPPEYPHEPPLVHYRSGGLRVNPNLYESGKVCLSLLNTWAGMGTEVWNPGSSTILQVLLSLQALVLNDKPYFNEAGYDQQVGRTEGEKNSVSYNENAFLMTCKSMLYILHKPPKHFEELVVEHFTRCSQNIVMACKAYMDGAPVGCAVDFQKTDDKHCKGSSTGFKIMLSKLFPKLVEAFCDTGIDCNRFIGPEK >ONI10432 pep chromosome:Prunus_persica_NCBIv2:G4:2252676:2256679:-1 gene:PRUPE_4G047400 transcript:ONI10432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLLSDSDWESFSETGSSEDHEENEYFYGGHASSILSSLEATIGKIDDFLSFERGFIYGDMVCSVVDPSGQMGRVVGINMFVDLEGVNGNMIKDVNSKNLLKIRSISVGDYVVCGPWLGRVDRVVDRVTVVFDDGTECEVTAVDQEKLLPISPNILEDPQYPYYPGQRVQVRLSTASKSTRWLCGNWRENQDEGTVRYVEGGLVYVDWLASVLMGCDTKFPAPPRVLDSKKLTLLSCLSHANWQLGDWCMLPLSDHKAIMEQALQNASTCELINQHKKSERGFRRRNTNSKFEEIFVIIKTKTKVDVVWQDGSHSLGLDSQTLAPVSVVNDHEFWPEQFVQEKSTCDDPHLPSSQRWGVVQGVDAKERTVKVQWKTITAPEANNSEGEQMDEIVSAYELVEHPDYSYCFGDYVFRLVQNQFDEQADKNYPNTKIGMSEEAAPIDENCGGHQDEYTVKCYLSHIGSVTGFKDGAVEVRWATGITSMVAPHEIFRFDKHEGSAGIPAEDDIENLNRAMIQNEKQPSNLKGKDSLNSDDASKGCKKYTRESSSYFLPQAAIGFFTSIAANLFGSHDSASLSGPSPSVCISEVGNESEISHEKGIVETCDLFTKPHSTAELERFGKKILIEEGKEIEGNSLPHLKANETADQFRQFDMVSDCSDHNFHGASKELVLSQVKRGWMKKIHQEWSILEKDLPEKIYVRAFEERMDLLRAVIVGAPGTPYHDGLFFFDIYLPPEYPHEPPLVHYRSGGLRVNPNLYESGKVCLSLLNTWAGMGTEVWNPGSSTILQVLLSLQALVLNDKPYFNEAGYDQQVGRTEGEKNSVSYNENAFLMTCKSMLYILHKPPKHFEELVVEHFTRCSQNIVMACKAYMDGAPVGCAVDFQKTDDKHCKGSSTGFKIMLSKLFPKLVEAFCDTGIDCNRFIGPEK >ONI11270 pep chromosome:Prunus_persica_NCBIv2:G4:4924615:4925297:1 gene:PRUPE_4G097600 transcript:ONI11270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGGEYYIVTDSSNDDAVNPRRGTLSYAVIQTEPLWIVFPGNMLIKLSQELIFNSYKTLDGRGANVHIVGGGCTTLQFISNVIIHNVHIHNCYPSGGTNMRSSPTHYGYRTKSDGF >ONI13118 pep chromosome:Prunus_persica_NCBIv2:G4:12674514:12680140:1 gene:PRUPE_4G203700 transcript:ONI13118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSVAKCIPKLSRRQNDSWSDVETVEDVLANILSRLPVKCLIRSKAVSRLWHKLISSPYFTKLHLMRSRENVIYILYPYMDDIKELHLVDGDGVTTEVITLPGFENVSSLSMICSYNGLICFTIYPWFPHLYMRQPVEEDLEILICNPATREIRLLPRGSPAEQELGIGVAFGPGTSDYRVFRFFSSIFEKPVVSPQCEIFSSSTGTWRGIGFVQQYPMGANHVFFNGQVYWFIASEEDHSTPGSILSVDMEDNFKTIELPEEVTAHSFLIILEGFLSLVAVYDDDLIMDVWMLKDANESYWEKKCSAYIEHAVEECIDSVAGRNNEFFFITTEHYFIFNTDRTTWEQLYLQEGFGQDSPVVFTYTESLLPCS >ONI13117 pep chromosome:Prunus_persica_NCBIv2:G4:12674514:12680140:1 gene:PRUPE_4G203700 transcript:ONI13117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSVAKCIPKLSRRQNDSWSDVETVEDVLANILSRLPVKCLIRSKAVSRLWHKLISSPYFTKLHLMRSRENVIYILYPYMDDIKELHLVDGDGVTTEVITLPGFENVSSLSMICSYNGLICFTIYPWFPHLYMRQPVEEDLEILICNPATREIRLLPRGSPAEQELGIGVAFGPGTSDYRVFRFFSSIFEKPVVSPQCEIFSSSTGTWRGIGFVQQYPMGANHVFFNGQVYWFIASEEDHSTPGSILSVDMEDNFKTIELPEEVTAHSFLIILEGFLSLVAVYDDDLIMDVWMLKDANESYWEKKCSAYIEHAVEECIDSVAGRNNEFFFITTEHYFIFNTDRTTWEQLYLQEGFGQDSPVVFTYTESLLPCS >ONI11259 pep chromosome:Prunus_persica_NCBIv2:G4:4848924:4850856:-1 gene:PRUPE_4G096500 transcript:ONI11259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIIITKRSSAYFSAVQSSIQNLIFVTRGTSRPEAIITLLHDSHGVLIRIRSRGHDYEGQSYVARAPFVIIDLFNLRSIDVDIENGSAWVESGATLGELYYRIAEKSKAYGFPAGSCPTIGVGGHISGGGFGTLFRKYGLAADNVLDAKIVDVNGRVLDRKPMGEELFWAIRGGGGSSFGVILAWKLKLVPVPPSVTRHEDLFLHSVIGVGNKAGTNGDKTIIIEFSSLFLGPVEKLLPSMQDNFSELSVDRSDCTEMSWIESVLYFAFIPRNESEALLGRTQQSKSFAKPQGIFWTHRTPTMNVNSPTSKFCTTCTPSKTYKRGTSPKIEVTGTFGTLKTSTSVFKAKSDYVNEPISKAGLEGLWQTLIEVKAYLILTLYGGKISEISNSEIHFPHRSGNLFKIQYMVTWDDYRENEIGESLMRKLYDYMAPYVSKSPRAAYLNYKDLDLGRNNDVHTSYAQASIWGLKYFKNNFRRLVHVKTLVDPGNFFRDEQSIPSVRSSRKK >ONI12022 pep chromosome:Prunus_persica_NCBIv2:G4:7808444:7813782:-1 gene:PRUPE_4G139900 transcript:ONI12022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTRFNMRMRCSGSTPSEESVLDLERNCYSHSNLPSLSPPTLQPYASAGQHCETSAAYFSWPTSSRLNDAAEERANYFTNLQKGVLPETLGQLPKGQQATTLLELMTIRAFHSKILRCYSLGTAIGFRIRRGVLTDIPAILVFVARKVHKQWLSPIQCLPTALEGPGGVWCDVDVVEFSYFGAPEPAPKEQLYTEIVDDLRGGDPCIGSGSQVASQETYGTLGAIVRSQTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDELWYGIFAGINPVAVQEQRTASATAIGSTVGDSSPPDGMLPKERPEEKFESLGLQIQHIPLEAEPSSSLSLVETEFHLEDGIKAVPSVEHQFIPSFLGGSPLHKKNQMGRTVSENLSSLRNGCDEDICFSLQLGDNEAKRRRSGASTSAEEPK >ONI12024 pep chromosome:Prunus_persica_NCBIv2:G4:7809352:7813782:-1 gene:PRUPE_4G139900 transcript:ONI12024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTRFNMRMRCSGSTPSEESVLDLERNCYSHSNLPSLSPPTLQPYASAGQHCETSAAYFSWPTSSRLNDAAEERANYFTNLQKGVLPETLGQLPKGQQATTLLELMTIRAFHSKILRCYSLGTAIGFRIRRGVLTDIPAILVFVARKVHKQWLSPIQCLPTALEGPGGVWCDVDVVEFSYFGAPEPAPKEQLYTEIVDDLRGGDPCIGSGSQVASQETYGTLGAIVRSQTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDELWYGIFAGINPETFVRADGAFIPFADDFDMCTVITSVKGVGEIGNVKIIDLQSPISTLIGKQVMKVGRSSGLTTGTVLAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIILKGENGEKPRPIGIIWGGTANRGRLKLKIGQPPENWTSGVDLGRLLKLLELDLITTDEGVKVWHDCSSRS >ONI12023 pep chromosome:Prunus_persica_NCBIv2:G4:7808804:7812453:-1 gene:PRUPE_4G139900 transcript:ONI12023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTRFNMRMRCSGSTPSEESVLDLERNCYSHSNLPSLSPPTLQPYASAGQHCETSAAYFSWPTSSRLNDAAEERANYFTNLQKGVLPETLGQLPKGQQATTLLELMTIRAFHSKILRCYSLGTAIGFRIRRGVLTDIPAILVFVARKVHKQWLSPIQCLPTALEGPGGVWCDVDVVEFSYFGAPEPAPKEQLYTEIVDDLRGGDPCIGSGSQVASQETYGTLGAIVRSQTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDELWYGIFAGINPETFVRADGAFIPFADDFDMCTVITSVKGVGEIGNVKIIDLQSPISTLIGKQVMKVGRSSGLTTGTVLAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIILKGENGEKPRPIGIIWGGTANRGRLKLKIGQPPENWTSGVDLGRLLKLLELDLITTDEGVKVAVQEQRTASATAIGSTVGDSSPPDGMLPKERPEEKFESLGLQIQHIPLEAEPSSSLSLVETEFHLEDGIKAVPSVEHQFIPSFLGGSPLHKKNQMGRTVSENLSSLRNGCDEDICFSLQLGDNEAKRRRSGASTSAEEPK >ONI10361 pep chromosome:Prunus_persica_NCBIv2:G4:2068282:2075849:-1 gene:PRUPE_4G043300 transcript:ONI10361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTRSISLWMGLGRVSQFGSEGLACCPCCLSVARLSRDGFSGRRGRMAKTIIEAILGLSFDDSPSNLAATSIFYVLTSDGQDDHLLESPSSINFLIRFCKPIVSNTIEDKAPKIGRKLLALRMGADISQCTTKRLDSSSAAIFSKVQEILVGCKELKPSCADDGEMGKPELCPKWIALLTMEKACLSTISLEETSGTVRKSGSNFKEKLRELGGLDAVFEVSVSCHSDMEGWLKDSSPSAWEKEIDMVRSLVLLLKCLKIMENATFLSKENQSHLLGMKRHLDPAGNPVSFTELVISAINILSGLYLHKNFSSASNDEKSLNLSNGSKNASEKSSDVCQGSQFLPTARSVYSISSSETTSTSMTDTYSVKTGLNSSRYGSSSGTSRHLNGGTGTFSCASRKDAGLSQRSYISEDSKIDLSESQDPFAFSYDDSRKRSGLSQRSYVSEDSKIDLSQESQDPFAFDEDDFKPSKWDLLSGKKKISLSQQNEAAYRELDNTLQLIMSQEASSNGENHLAHETSYSGAVGREGSGLLADCLLTAVKVLMNLANDNPVGCQQIAANGGLETLSSLIANHFPLFSSLSSPFSERSENTSSVELGHQNNRHLTDQELDFLVAILGLLVNLVEKDGQNRSRLAAASVHVPSSEGFEEESRKDLILLICSIFLANQGAGEGGAEEMILPNDEAAVLQGEQEAEKMIVEAYSALLLAFLSTESKSIRDAIADCLPDRSLAILVPVLDRFVAFHLTLNMISPETHKAVSEVIESCRIR >ONI10360 pep chromosome:Prunus_persica_NCBIv2:G4:2068274:2075853:-1 gene:PRUPE_4G043300 transcript:ONI10360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRKGGIPRTYSDSTLNDAVHDDDDSNDPFGFSVSQPQESSQGHLYSSLNFSSQDSSSQWAHFDSDPYVPEDSLKRSSFDGPVNGAVRRSKKAKTRKEVVKNSRPPSILATSTLMEAQEFGEMMEHVDEVNFALDGLRKGQPVRIRRASLLSLLSICGTAQQRRLLRTQGMAKTIIEAILGLSFDDSPSNLAATSIFYVLTSDGQDDHLLESPSSINFLIRFCKPIVSNTIEDKAPKIGRKLLALRMGADISQCTTKRLDSSSAAIFSKVQEILVGCKELKPSCADDGEMGKPELCPKWIALLTMEKACLSTISLEETSGTVRKSGSNFKEKLRELGGLDAVFEVSVSCHSDMEGWLKDSSPSAWEKEIDMVRSLVLLLKCLKIMENATFLSKENQSHLLGMKRHLDPAGNPVSFTELVISAINILSGLYLHKNFSSASNDEKSLNLSNGSKNASEKSSDVCQGSQFLPTARSVYSISSSETTSTSMTDTYSVKTGLNSSRYGSSSGTSRHLNGGTGTFSCASRKDAGLSQRSYISEDSKIDLSESQDPFAFSYDDSRKRSGLSQRSYVSEDSKIDLSQESQDPFAFDEDDFKPSKWDLLSGKKKISLSQQNEAAYRELDNTLQLIMSQEASSNGENHLAHETSYSGAVGREGSGLLADCLLTAVKVLMNLANDNPVGCQQIAANGGLETLSSLIANHFPLFSSLSSPFSERSENTSSVELGHQNNRHLTDQELDFLVAILGLLVNLVEKDGQNRSRLAAASVHVPSSEGFEEESRKDLILLICSIFLANQGAGEGGAEEMILPNDEAAVLQGEQEAEKMIVEAYSALLLAFLSTESKSIRDAIADCLPDRSLAILVPVLDRFVAFHLTLNMISPETHKAVSEVIESCRIR >ONI10362 pep chromosome:Prunus_persica_NCBIv2:G4:2068629:2074986:-1 gene:PRUPE_4G043300 transcript:ONI10362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWIKKIRFWKWGYVKCQEFLDGMAKTIIEAILGLSFDDSPSNLAATSIFYVLTSDGQDDHLLESPSSINFLIRFCKPIVSNTIEDKAPKIGRKLLALRMGADISQCTTKRLDSSSAAIFSKVQEILVGCKELKPSCADDGEMGKPELCPKWIALLTMEKACLSTISLEETSGTVRKSGSNFKEKLRELGGLDAVFEVSVSCHSDMEGWLKDSSPSAWEKEIDMVRSLVLLLKCLKIMENATFLSKENQSHLLGMKRHLDPAGNPVSFTELVISAINILSGLYLHKNFSSASNDEKSLNLSNGSKNASEKSSDVCQGSQFLPTARSVYSISSSETTSTSMTDTYSVKTGLNSSRYGSSSGTSRHLNGGTGTFSCASRKDAGLSQRSYISEDSKIDLSESQDPFAFSYDDSRKRSGLSQRSYVSEDSKIDLSQESQDPFAFDEDDFKPSKWDLLSGKKKISLSQQNEAAYRELDNTLQLIMSQEASSNGENHLAHETSYSGAVGREGSGLLADCLLTAVKVLMNLANDNPVGCQQIAANGGLETLSSLIANHFPLFSSLSSPFSERSENTSSVELGHQNNRHLTDQELDFLVAILGLLVNLVEKDGQNRSRLAAASVHVPSSEGFEEESRKDLILLICSIFLANQGAGEGGAEEMILPNDEAAVLQGEQEAEKMIVEAYSALLLAFLSTESKSIRDAIADCLPDRSLAILVPVLDRFVAFHLTLNMISPETHKAVSEVIESCRIR >ONI10232 pep chromosome:Prunus_persica_NCBIv2:G4:1691358:1695302:-1 gene:PRUPE_4G035800 transcript:ONI10232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISQELYPSQDDLLYEEELLRNPFSLKLWWRYLIARSESPFKKRFIIYERALKALPGSYKLWNAYLRERLELVRNLPITHFQYETLNNTFERALVTMHKMPKIWTMYLQTLTEQKLVTRTRRTFDRALCALPVTQHDRIWDPYLKFVSQTGIPIETSLRVYRRYLKYDPAHIEDFIEFLINSSLWQEAAERLASVLNDDQFYSIKGKTKHRLWLELCDLLTKHATEVSGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRNLHEKARDIFEEGMTTVVTVRDFSVIFDSYAGFEDSMLVHKMETVDLSDEEEEEEKGLEEDGNEEEEDLRLDVNLSLAEFEKKMLNGFWLHDDKDVDLRLARLEHLMDRRPILANSVLLRQNPHNVEQWHRRVKLFEGNPTKQILTYTEAVRTVDPMKAVGKPHTLWVAFAKLYENHKDIANARVIFDKAVQVNYKTVDNLASLWCEWAEMELRHKNFKGALELMRRATAEPSVEVKRRGIIVNWFSVCFSYVLVCYFRVCYYILLECAPADAKKPLYLQFAKLEEDYGLAKRAMKVYDEATKAVPNHEKLSMYEIYIARAAEIFGIPKTREIYEQAIESGLPDKDVKTMCLKYAELEKSLGEIDRARGVYIFASQFSDPRSDVDFWNKWHEFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYMMQKDQRLNMDEAKDKLKQAGVPEDEMAALERQLAPVANGTTTKDGNRKVGFVSAGVESQTDGGIKVAANHEDIELPDASDSEDDEGVEIAQKEVPSAVFGELANKRKEAEKDEGGDGAAATRDGDSHLGALERIKRLKRGG >ONI10231 pep chromosome:Prunus_persica_NCBIv2:G4:1691352:1695302:-1 gene:PRUPE_4G035800 transcript:ONI10231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISQELYPSQDDLLYEEELLRNPFSLKLWWRYLIARSESPFKKRFIIYERALKALPGSYKLWNAYLRERLELVRNLPITHFQYETLNNTFERALVTMHKMPKIWTMYLQTLTEQKLVTRTRRTFDRALCALPVTQHDRIWDPYLKFVSQTGIPIETSLRVYRRYLKYDPAHIEDFIEFLINSSLWQEAAERLASVLNDDQFYSIKGKTKHRLWLELCDLLTKHATEVSGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRNLHEKARDIFEEGMTTVVTVRDFSVIFDSYAGFEDSMLVHKMETVDLSDEEEEEEKGLEEDGNEEEEDLRLDVNLSLAEFEKKMLNGFWLHDDKDVDLRLARLEHLMDRRPILANSVLLRQNPHNVEQWHRRVKLFEGNPTKQILTYTEAVRTVDPMKAVGKPHTLWVAFAKLYENHKDIANARVIFDKAVQVNYKTVDNLASLWCEWAEMELRHKNFKGALELMRRATAEPSVEVKRRGIIVNWFSVCFSYVLVCYFRVCYYILLECAPADAKKPLYLQFAKLEEDYGLAKRAMKVYDEATKAVPNHEKLSMYEIYIARAAEIFGIPKTREIYEQAIESGLPDKDVKTMCLKYAELEKSLGEIDRARGVYIFASQFSDPRSDVDFWNKWHEFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYMMQKDQRLNMDEAKDKLKQAGVPEDEMAALERQLAPVANGTTTKDGNRKVGFVSAGVESQTDGGIKVAANHEDIELPDASDSEDDEGVEIAQKEVPSAVFGELANKRKEAEKDEGGDGAAATRDGDSHLGALERIKRLKRGG >ONI10424 pep chromosome:Prunus_persica_NCBIv2:G4:2231213:2232450:1 gene:PRUPE_4G046800 transcript:ONI10424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIRKIFTFLLRLLALAATLSATIVMVTSHDSTNVLNLTFKAKYSNSPAFMYFVIVEAIVSGYNLMILFLALKGSLWRMVIILDVVVALLLTSSMSAALAIAQVGKKGNTHAGWLPICGQVHKFCNHVTGALVAGIIAAALYFLLILYTLYTVLNPLFVVKP >ONI12336 pep chromosome:Prunus_persica_NCBIv2:G4:9041192:9052609:-1 gene:PRUPE_4G157800 transcript:ONI12336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQDLPGTLPKEMARLSYLEEIDLTRNYLSGTIPPEWGSLSLVNISLLGNRLTGPIPKELGDITTLKRLDISMNNFSGELPRELGNLTLIESMLLNSNNFTGELPDTFGNLTTLKDFRVGDSHFTGQIPHFIQNWTNLDKIMIQASGLTGPIPSGISLLTKLTDLRITDLSGPEAPFPPLENMEGMKTLILRSCNITGQLPQYLENMKNLKTLDLSFNKLTGEIPSRFVALAEVKYIFLTGNLLTGPVPTWTKKEVDLSYNKFTIGATGCPSKRSNLNLFESFSAANSSKTTCLEIPTCPQTGYEFRINCGGKAVTVNGFKFDGDEDWAGPSSFRQTSPNWAFSSTGYFIDDDRPEDTFIQTNKSILSMANPDLYTHARLSPLSLTYYGFCLRNGNYFVNLHFVETEFTNNKSYRSLGRRIFDVYIQGRLVEQDFNIVDKAGGVGTAVIMKYTARVTSGTLEIRFYWAGKGTTGIPLRGVYGPLISAISVKHADYVPGGNGISVGAVVGIVGGGVFIILLIFGVLWRRGHLGQENTLEDDLKGVDLQTGKFSFRQLKAATNNFDKANKIGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNQLLLVYEYMENNSLARSLFGPEESQLKLDWPTRHKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKNLTPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSFGILVLEIVSGRNNTTYRAKEKSFYLLDWAQLLKGQGRLMDLVDPRLGSDFNKEEMMLTINVALLCCNVTSTVRPTMSSVVSMLEGRAAVQELVSDPNASTNEIEAMRKHFESSFGRKTGESQTQTASTEGPWTGSSTSAHDLYPVNPDSTYWDNRSERN >ONI12335 pep chromosome:Prunus_persica_NCBIv2:G4:9040799:9052767:-1 gene:PRUPE_4G157800 transcript:ONI12335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRVMKAQDLPGTLPKEMARLSYLEEIDLTRNYLSGTIPPEWGSLSLVNISLLGNRLTGPIPKELGDITTLKRLDISMNNFSGELPRELGNLTLIESMLLNSNNFTGELPDTFGNLTTLKDFRVGDSHFTGQIPHFIQNWTNLDKIMIQASGLTGPIPSGISLLTKLTDLRITDLSGPEAPFPPLENMEGMKTLILRSCNITGQLPQYLENMKNLKTLDLSFNKLTGEIPSRFVALAEVKYIFLTGNLLTGPVPTWTKKEVDLSYNKFTIGATGCPSKRSNLNLFESFSAANSSKTTCLEIPTCPQTGYEFRINCGGKAVTVNGFKFDGDEDWAGPSSFRQTSPNWAFSSTGYFIDDDRPEDTFIQTNKSILSMANPDLYTHARLSPLSLTYYGFCLRNGNYFVNLHFVETEFTNNKSYRSLGRRIFDVYIQGRLVEQDFNIVDKAGGVGTAVIMKYTARVTSGTLEIRFYWAGKGTTGIPLRGVYGPLISAISVKHADYVPGGNGISVGAVVGIVGGGVFIILLIFGVLWRRGHLGQENTLEDDLKGVDLQTGKFSFRQLKAATNNFDKANKIGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNQLLLVYEYMENNSLARSLFGPEESQLKLDWPTRHKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKNLTPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSFGILVLEIVSGRNNTTYRAKEKSFYLLDWAQLLKGQGRLMDLVDPRLGSDFNKEEMMLTINVALLCCNVTSTVRPTMSSVVSMLEGRAAVQELVSDPNASTNEIEAMRKHFESSFGRKTGESQTQTASTEGPWTGSSTSAHDLYPVNPDSTYWDNRSERN >ONI12333 pep chromosome:Prunus_persica_NCBIv2:G4:9040834:9055910:-1 gene:PRUPE_4G157800 transcript:ONI12333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFFPRPFLHSLLVVVCFGNFAFGLTRLPRDEVQTLADIAKTLGKTKWDFSGDADPCNNQKPWTDTNPAKGFEYGVTCNCSFANSTVCHITSIVMKAQDLPGTLPKEMARLSYLEEIDLTRNYLSGTIPPEWGSLSLVNISLLGNRLTGPIPKELGDITTLKRLDISMNNFSGELPRELGNLTLIESMLLNSNNFTGELPDTFGNLTTLKDFRVGDSHFTGQIPHFIQNWTNLDKIMIQASGLTGPIPSGISLLTKLTDLRITDLSGPEAPFPPLENMEGMKTLILRSCNITGQLPQYLENMKNLKTLDLSFNKLTGEIPSRFVALAEVKYIFLTGNLLTGPVPTWTKKEVDLSYNKFTIGATGCPSKRSNLNLFESFSAANSSKTTCLEIPTCPQTGYEFRINCGGKAVTVNGFKFDGDEDWAGPSSFRQTSPNWAFSSTGYFIDDDRPEDTFIQTNKSILSMANPDLYTHARLSPLSLTYYGFCLRNGNYFVNLHFVETEFTNNKSYRSLGRRIFDVYIQGRLVEQDFNIVDKAGGVGTAVIMKYTARVTSGTLEIRFYWAGKGTTGIPLRGVYGPLISAISVKHADYVPGGNGISVGAVVGIVGGGVFIILLIFGVLWRRGHLGQENTLEDDLKGVDLQTGKFSFRQLKAATNNFDKANKIGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNQLLLVYEYMENNSLARSLFGPEESQLKLDWPTRHKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKNLTPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSFGILVLEIVSGRNNTTYRAKEKSFYLLDWAQLLKGQGRLMDLVDPRLGSDFNKEEMMLTINVALLCCNVTSTVRPTMSSVVSMLEGRAAVQELVSDPNASTNEIEAMRKHFESSFGRKTGESQTQTASTEGPWTGSSTSAHDLYPVNPDSTYWDNRSERN >ONI12332 pep chromosome:Prunus_persica_NCBIv2:G4:9040834:9055910:-1 gene:PRUPE_4G157800 transcript:ONI12332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFFPRPFLHSLLVVVCFGNFAFGLTRLPRDEVQTLADIAKTLGKTKWDFSGDADPCNNQKPWTDTNPAKGFEYGVTCNCSFANSTVCHITSIVMKAQDLPGTLPKEMARLSYLEEIDLTRNYLSGTIPPEWGSLSLVNISLLGNRLTGPIPKELGDITTLKRLDISMNNFSGELPRELGNLTLIESMLLNSNNFTGELPDTFGNLTTLKDFRVGDSHFTGQIPHFIQNWTNLDKIMIQASGLTGPIPSGISLLTKLTDLRITDLSGPEAPFPPLENMEGMKTLILRSCNITGQLPQYLENMKNLKTLDLSFNKLTGEIPSRFVALAEVKYIDLSYNKFTIGATGCPSKRSNLNLFESFSAANSSKTTCLEIPTCPQTGYEFRINCGGKAVTVNGFKFDGDEDWAGPSSFRQTSPNWAFSSTGYFIDDDRPEDTFIQTNKSILSMANPDLYTHARLSPLSLTYYGFCLRNGNYFVNLHFVETEFTNNKSYRSLGRRIFDVYIQGRLVEQDFNIVDKAGGVGTAVIMKYTARVTSGTLEIRFYWAGKGTTGIPLRGVYGPLISAISVKHADYVPGGNGISVGAVVGIVGGGVFIILLIFGVLWRRGHLGQENTLEDDLKGVDLQTGKFSFRQLKAATNNFDKANKIGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNQLLLVYEYMENNSLARSLFGPEESQLKLDWPTRHKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKNLTPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSFGILVLEIVSGRNNTTYRAKEKSFYLLDWAQLLKGQGRLMDLVDPRLGSDFNKEEMMLTINVALLCCNVTSTVRPTMSSVVSMLEGRAAVQELVSDPNASTNEIEAMRKHFESSFGRKTGESQTQTASTEGPWTGSSTSAHDLYPVNPDSTYWDNRSERN >ONI12334 pep chromosome:Prunus_persica_NCBIv2:G4:9041192:9055077:-1 gene:PRUPE_4G157800 transcript:ONI12334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISNYIINFSMKGKELKAFIIHYKRREKKKPWTDTNAAKGFEYGVTCNCSFASSTVCHITSIVMKAQDLPGTLPKEMARLSYLEEIDLTRNYLSGTIPPEWGSLSLVNISLLGNRLTGPIPKELGDITTLKRLDISMNNFSGELPRELGNLTLIESMLLNSNNFTGELPDTFGNLTTLKDFRVGDSHFTGQIPHFIQNWTNLDKIMIQASGLTGPIPSGISLLTKLTDLRITDLSGPEAPFPPLENMEGMKTLILRSCNITGQLPQYLENMKNLKTLDLSFNKLTGEIPSRFVALAEVKYIFLTGNLLTGPVPTWTKKEVDLSYNKFTIGATGCPSKRSNLNLFESFSAANSSKTTCLEIPTCPQTGYEFRINCGGKAVTVNGFKFDGDEDWAGPSSFRQTSPNWAFSSTGYFIDDDRPEDTFIQTNKSILSMANPDLYTHARLSPLSLTYYGFCLRNGNYFVNLHFVETEFTNNKSYRSLGRRIFDVYIQGRLVEQDFNIVDKAGGVGTAVIMKYTARVTSGTLEIRFYWAGKGTTGIPLRGVYGPLISAISVKHADYVPGGNGISVGAVVGIVGGGVFIILLIFGVLWRRGHLGQENTLEDDLKGVDLQTGKFSFRQLKAATNNFDKANKIGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNQLLLVYEYMENNSLARSLFGPEESQLKLDWPTRHKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKNLTPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSFGILVLEIVSGRNNTTYRAKEKSFYLLDWAQLLKGQGRLMDLVDPRLGSDFNKEEMMLTINVALLCCNVTSTVRPTMSSVVSMLEGRAAVQELVSDPNASTNEIEAMRKHFESSFGRKTGESQTQTASTEGPWTGSSTSAHDLYPVNPDSTYWDNRSERN >ONI13224 pep chromosome:Prunus_persica_NCBIv2:G4:13156246:13159211:-1 gene:PRUPE_4G210900 transcript:ONI13224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLLITVFLFLIPIFLLLARRKKLSNRLPPGSLGLPIIGQSLGLLRAMRANTAEKWLEERIRKYGSVSKLSLFGKPTVFIHGQAANKFIFTVDGSTITSQQTESIRMILGDRNILEMRGNDHKRLRNALMLFLKPESLKQYVGKMDKETRKHLETHWHGKQQVKALPLMKILTFNIMCSLLFGLEQGTRRDELVECFEQMIEGMWSVPVNFPFTRYNRSLKASKRVQNMIKELISKKRAELEQKTISPLQDLVTCLLSIRNDYNEEVITEKEILHNIMLIMVAGYDTSSVVLTFLFRSLANEPAVYEALLQEQEEIAKSKSPGEFLTWEDLAKMKYTWRVAMETMRITPPVFGGFRKAMKDIDFDGFLIPKGWQIFWATSMTHMDDSVFPEPSKFDPTRFENQASVPPYNFIPFGAGHRVCPGYEFARIEILVVIHYMVTQFTWKLCADNKFSRDPMPVPTQGLPLEIRPRKPC >ONI11383 pep chromosome:Prunus_persica_NCBIv2:G4:5340705:5343107:1 gene:PRUPE_4G104200 transcript:ONI11383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTNSRVQQISSSMVSKLHQWNILSNTSHATSLLADTTSKISCLVPNKQPPGHIVVCRSIAQHDHNHQFQSTTYCFLRGFENEQFSISNWSWG >ONI11006 pep chromosome:Prunus_persica_NCBIv2:G4:4006780:4009319:1 gene:PRUPE_4G082000 transcript:ONI11006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGKAPNSNSWATSTAQMPHTLLSSSSSSLVDPMGFPKRSISLRKTHPKAATRRTTSIHCALQSPSVLHFPNQPYNRPIITKEATSPKPNNSTHHHHQPPQWNLLQKAAAMAIDMVEGALVSRERQNPLPKTSDPRVQITGNYAPVPEQPVRHSLPITGTIPECINGVYVRNGANPLFEPVAGHHLFDGDGMVHAVTIDSGSASYACRFTETQRLVQEREFGRPVFPKAIGELHGHSGIARLLLFYARGVLGLVDKNHGTGVANAGLVYHNDRLLAMSEDDLPYQVRVTKSGDLETVGRYDFNSQLGSTMIAHPKVDPESGSLFALSYDVVQKPYLKYFQVSPDGTKSPDVEIPLAGPTMMHDFAITENYVVIPDQQVVFKLQEMITGGSPVIYDKDKMSRFGILKKNAKNADDLVWVDSPDTFCFHLWNAWEEPESDEVVVIGSCMTPPDSIFNECDESLKSVLSEIRLNLKTGESTRRAILSESEHVNLEAGMVNRNRLGRKTRFAYLAIAEPWPKVSGFAKVDVSTGEVKKFIYGDKKYGGEPFFVPNAELGSSEDDGYIMTFVHDEKTWKSELQIVNAVNLKLEATVKLPSRVPYGFHGTFIESKDLANQA >ONI13086 pep chromosome:Prunus_persica_NCBIv2:G4:12525328:12526609:1 gene:PRUPE_4G201600 transcript:ONI13086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLLRVHTKTIKTAKQPNPFPLTKTLSFTSLPFPTHQHHQHISLPPIFLHKSKITTLSPLCSLSSPTPPSSKEAAIQQAKTCLSTTLEKPLNNLRLTNKLKKLKQPRFRIEIPVIDDSPESLSQLAFQVFRDLPIKRKGSKVNILIIWPNLAYTEAALKAFESNPSSPVENLDIYSITNGNTRISSSADVAVFVAPEGTQLADMKSVADLLYPRPVVIFNPKWVFEDEAEFGELSGFVGSFEVIFSFMGLEVQGLLSKRNGVIFKCVRDGVVSGEKWAVLVEEEGQLKAVSTFKSRPSISEVENVLYNLMAINSPVTKSVKFFRDLVSNVTGKK >ONI10252 pep chromosome:Prunus_persica_NCBIv2:G4:1746025:1749213:1 gene:PRUPE_4G036900 transcript:ONI10252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKRVAPSEDEHQEASKKTKVDDDVPSFSSCSESSRKQRVALNCADCALDFIIEGDELKGYGLHEQGFAYCWSGARANVGIAGGKYCFGCKVVSLQTVDMEDTAPDRQNLCRLGISRGDEAVGNLGETAYSFGYGGTGTFSHGGKFSDYGEKFGLGDTIVCSVNLEDKSMASIGFSKNGKWLGRATYFDVSALGLSVADSPERKMPWQSAVFPHVLLKNVVVELQFSVEDGLVAEEGFKPWASALEDGNAVIGPLFSDPRDCEVVMMVGLPGSGKTTWAENWVKEHPEKRYVLLGTNLVLDQMKVPGLLRRNNYGERFDCLMNRATEIFNIILSRAATTPRNYIIDQTNVYKSARNRKLKQFALFRKIAVVVFPSPEELNVRSKKRAKEMGKEVPADAVNNMLANFILPVCKDMPGSDEFFDQVMFVELNREESQRYLDEMKQSLACKSDWKNSNNSSPYSVGPGFLISPYYGESPVQPSSPYSVRSYVPNFSPNYGESPMQRFTMPPLLPRRPVPGAVTPYGSYSGYFGSCGGVTDPYQSYGPGEPHSRQNIERNSTTFDAATNPYSSSTIVPSLVGSAMTSFTGNNPGYPRAAAPQDPRAPTFLPSPSPPAYGGLPFGTPAPRPPYGNSPVNTAYPGRYPQPGPRYY >ONI10810 pep chromosome:Prunus_persica_NCBIv2:G4:3360606:3369627:1 gene:PRUPE_4G069300 transcript:ONI10810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVSCIAPKTIMNLIMLISVLCLCTKLVEVEARASRLPPAEEEALEEIAKQLGKTDWNFNINPCNNNTNWDTPKSTANPLYNNTLECNCSYRGGFCHVVGIFLKGQDLAGVLPPSVAKLPYITQVDFTRNYLSGPIPSEWASTKLEHLSVNVNNLSGQIPSFLGNIKTLIYLNLQTNLFSGTVPHELGNLVNLELFILSSNYLTGELPVAFTNLTKLREFRISSNNFTGRIPDYLGNFKQLEKLEIQASGLHGPIPSSISVLSNLKELRVSDLNGGGSKFPNLSNITGMTDLMLRSCNLYGPIPAYISTMENLKKLDLSFNKLEGNINTPDYEHLQHVQYLYLTSNWLNGSVPEWIKIRDNRYLTDISYNNFSESSEPPTCPETLNVFKSFSAQRNLSFGVCLKNFQCPEDKSSLHINCGGKQTTIEGVTFEDDQDQGGAAKFFPQRPIWGTSSTGHFWDSASNATAYIANNVSILRMNNAELYTNARINPLSLTYYARCFRNGNYTVKLHFSEITIRGNRSFRSVGRRIFDIYIQEKLVWKDFEIKKEAHGVDKELIKECKAVEVKNKTLEIRFHWSGKGTTASPKTGTYGALISAISVEPEFKKSKVPTIVGASVGALVLFLIFLIFGILWWKGCLDCKISREKALRGLDLQTGFFTFKQIKAATNNFDPINKIGEGGFGSVYKGILLDGTIIAVKQLSSKSKQGNREFVNEIGMISGLQHPNLVRLYGCCVESNQLLLVYEYMENNSLARALFVPEESPLKLDWPTRQNICIGIARGLAFLHEESALKIVHRDIKTTNILLDRDLNSKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVALEIVAGKNNMKYRPNENFVCLVDWALVLQQKGELLELVDPRLGSDVSEEEAIRMIKVALLCINAAPALRPTMSAVVSMLEGRTAVHELVTDPSTYGDELRLTALTNQFDHSSSQNPSQTQSLVPSSSDAPWIGSSATTTSSDLYKINPSTSSY >ONI12058 pep chromosome:Prunus_persica_NCBIv2:G4:8037063:8038415:1 gene:PRUPE_4G141500 transcript:ONI12058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEIKVEVTHKETIKPSSPTPSHLQITNLSVFDQLSPDVYIPLLLFYPNNSSDDDDEVNKIDHHSLVAEKSKLLKASLSETLTHFYPFAGKFQYNVSISCNDHGTAFSEAQVNCPILKILDKPDFGILRKLLPTDIASTQADTGYLLLVQANFFECGGLAIGVSSSHIISDAYTLRTFIKSWADIALMGTFTTDRHAVLPQKFGAAATLFPQLDFLNSAQPAVEFAEEKCITKRFVFDASNIAALKSRAASAALPNPTRVEAVSALIWKCVWEASRVSTSGIVRPSEWSLVVNIRKILVQQPLADDLMGNLVGVFTVKTEAREVVDIDVQSLVTKLRKGVEEFKAKYGNGVSGVEVCHFFKEFRNFMTRDADNYNCSSWCRFPFYETNFGWGNPLWVCQCIGVKNLFVLMDTRNGDGVEASLTFNEEVMAVFETNKNLLEYASVNPAVI >ONI13737 pep chromosome:Prunus_persica_NCBIv2:G4:15997617:15999677:-1 gene:PRUPE_4G242300 transcript:ONI13737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPVRTTQPLDEEDEWDTDGFVIPSLVIEDQDKSNHDAPTIEASKPPSPKAKGEEKIYLGPHGAPPSQSKQQQEVNPSSRKQKFKQKLKEADRRSTGTGRENKVENLRELVGGGKGSSSMAKDSNRDWLDPHCHESQFEKWNTQ >ONI13738 pep chromosome:Prunus_persica_NCBIv2:G4:15997796:15999368:-1 gene:PRUPE_4G242300 transcript:ONI13738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPVRTTQPLDEEDEWDTDGFVIPSLVIEDQDKSNHDAPTIEASKPPSPKLSSFLQAKGEEKIYLGPHGAPPSQSKQQQEVNPSSRKQKFKQKLKEADRRSTGTGRENKVENLRELVGGGKGSSSMAKDSNRDWLDPHCHESQFEKWNTQ >ONI11885 pep chromosome:Prunus_persica_NCBIv2:G4:7322629:7324929:1 gene:PRUPE_4G132300 transcript:ONI11885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQKPPKEDFSLKETSPNISGRRVSTGPMTAFDLVEHMQYLYVRVVKARGLPAIADPYVELKLGNYRAITRPMVKNPNPEWNQVFAFSKDRIQVVSVEILVKDKAVVAEGGDHRTIGKFAFALVEAPARVPPDSPLAPQWYMLEDKNKARIGGELMLSFWIGTQADEAFPEAWHADVAAVSGDGVSSTRSKVYLSPRLWYMRVNVIQAQDLVLKDKNKKTPEFFVKAQFGNLILRSGVSPNKTVNPTWNEDLMFVVAEPFDDPLVVSVEEKLNNNKEESMGRIVVPLGDVAKRNDAAAAAPKWYNLGMVEVAAGVQKEVKFASKVQMRVSLDGGYHVLDEPAHSTSDLRPTAKILWKPPIGVLELGILNATGLSPMKPKNQVDAYCVAKYGMKWVRTRTVVDSSSPKWNEQYTWEVYDPCTVITIGVFDNGNLQDKAAMDLNIGKVKIRLSTLETDRIYTHSYPLVALQPSGVKKMGEIQLAVRFSCPNMLNLLQLYSQPLLPKMHYVLPLSIYQLASLRHQAALILWLRLSRAEPPLRKEVVDCMLDATAHLWSFRRGKANFDRIIKLFDGLVALFKWFDQIRKWTNPLASALVYITFVFVLCQPGLTIAAAFLCLSFRGALNYRKRPRQIAHIDTELSHAYDVHPEDLDEEFDSFPSKKTGDVLKRRYDRLRGIAGRIQAVLGDIATQGERMQSLLSWRDPRATALFVIFCLIVGIVFCVVPAWWLALFAGTYVMRPPYWRINIPTFPQNFLRRMPAKSDSIL >ONI12507 pep chromosome:Prunus_persica_NCBIv2:G4:9932164:9934610:1 gene:PRUPE_4G169200 transcript:ONI12507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQAYNLMAHVFLLFLLFSYIISTHIHACKQTERSSLLSFASTLSSSPLNWTSIDCCRWKGITCDQDGWVTHLLLPSEGLKGDLSYNRLSGELPLSLPSSSIRTVDLSSNHFYGAIPSSFFQQASNLTSFNVNNNTFTGYVPSSICLHYSPSLRLLDFSSNLFNGYLAPGLGKCSELQVFRASHNNLSGLLPEDIYNATKLEEIALPFNSLHGAISDKIVNLTNLAILDLSFNHFGGELPLNLGKLSKLKFVTFDFNNLEGALPPSLMNCTNLVELRLGNNNLEGDISMLDFSRLSQLTKLDLRLNNFTGTIPVSLYSCRSLKAIRFAKNHLEGQIQAEILSLKSLSFLSLGGNRFTNLTWAVKILMSFKSLHTLSLAGCYEGEGMPSDDDMVDFDGFQNLRLLNLAGSDLNGQIPLWLSKLKNLELLELGFNQITGPIPSWLGTLPRLFYINLSNNRISGEFPKTLCRLPRLLYGPNIASQADQYEFELPIYGRSSSIITTQTFPTHILDFFAPTIVLSKNNIVGDIPTEIGQLQLLQELDLDSNNFSGVIPDQLSNLKNLEVLNLSMNLLSGIIPSSLASLNFLKEFNVSYNNLEGSIPTGTQLQSFEASAFEGNPKLCGAPLLNKCEPNKGIDADNKNNKDVHNWLHQLPWFYIFAALGFIVGFWGVCGFLVINKTWRYAYF >ONI12508 pep chromosome:Prunus_persica_NCBIv2:G4:9932164:9934610:1 gene:PRUPE_4G169200 transcript:ONI12508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTIPSQGKCSELQVFRASHNNLSGLLPEDIYNATKLEEIALPFNSLHGAISDKIVNLTNLAILDLSFNHFGGELPLNLGKLSKLKFVTFDFNNLEGALPPSLMNCTNLVELRLGNNNLEGDISMLDFSRLSQLTKLDLRLNNFTGTIPVSLYSCRSLKAIRFAKNHLEGQIQAEILSLKSLSFLSLGGNRFTNLTWAVKILMSFKSLHTLSLAGCYEGEGMPSDDDMVDFDGFQNLRLLNLAGSDLNGQIPLWLSKLKNLELLELGFNQITGPIPSWLGTLPRLFYINLSNNRISGEFPKTLCRLPRLLYGPNIASQADQYEFELPIYGRSSSIITTQTFPTHILDFFAPTIVLSKNNIVGDIPTEIGQLQLLQELDLDSNNFSGVIPDQLSNLKNLEVLNLSMNLLSGIIPSSLASLNFLKEFNVSYNNLEGSIPTGTQLQSFEASAFEGNPKLCGAPLLNKCEPNKGIDADNKNNKDVHNWLHQLPWFYIFAALGFIVGFWGVCGFLVINKTWRYAYF >ONI13701 pep chromosome:Prunus_persica_NCBIv2:G4:15743850:15747012:-1 gene:PRUPE_4G239600 transcript:ONI13701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSMSAILIPSLPLTNSSPFPSSKLNVSSFALPSANNALKLRALRPSQSSTRVYAAPEVLESQESLVPPPETLEVGSSETPSTSSLNVGGDADKASPKQKIRIKLRSYFVPLIEDSCKQIMDAARNTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >ONI11599 pep chromosome:Prunus_persica_NCBIv2:G4:6200370:6203549:1 gene:PRUPE_4G115300 transcript:ONI11599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQGRVVVDKVGGRSTVTRCFSKYPLKFIIPRKVGSSETDAVWVYTLTYGGGIVSGDSVSCEFTIGDGCTTVLTTQASTKVYKSVGSKCCEQVLEVHLERGSVSSIAERMQDYQVIAMVVLLGPRIKHIQNLVQENVKRMMSEQLQIPSTASGRQLKPNSDNRFTKPSFIASSSVFGPKGIGVVVRIAATTTESVYKFLQHQLAGLEPLLGVSPYH >ONI11598 pep chromosome:Prunus_persica_NCBIv2:G4:6200370:6203549:1 gene:PRUPE_4G115300 transcript:ONI11598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQGRVVVDKVGGRSTVTRCFSKYPLKFIIPRKVGSSETDAVWVYTLTYGGGIVSGDSVSCEFTIGDGCTTVLTTQASTKVYKSVGSKCCEQVLEARVGSDALLAVIPDPVTCFSTARYSQKQVFRVASNSSLVIVDWITSGRHESGEKWDFDLYKSANHIFIEDDEPLFLDMVHLERGSVSSIAERMQDYQVIAMVVLLGPRIKHIQNLVQENVKRMMSEQLQIPSTASGRQLKPNSDNRFTKPSFIASSSVFGPKGIGVVVRIAATTTESVYKFLQHQLAGLEPLLGVSPYH >ONI09868 pep chromosome:Prunus_persica_NCBIv2:G4:690862:696083:1 gene:PRUPE_4G015000 transcript:ONI09868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLRLVVRTARNRNWRSIPTPSQTLNPRPRVRSRDLLNPRLLYHRAFEHQFHSSASAIQVPNPNGSGRNLMFPAALAGLLGVGGIEVAYADAAEEPSSPAESPATESHVDLEEIAKKQRQKIEDLLKSKGIRRGSYPQFTVAVKGQKVSIKFQIPPACEASQLIANIASHLGLKVEEHGGGSDMSLRAWDSGVAWQLMLTRPEKKKETGSDVGELKDVNKHEGDLRILVFRSVITPSDKADIEFMKEGSLSPQELDALVAALQLAGTKLGQNSALERRPREDTTQVPSSEKLIASLESMGVRIYGINEPHVSSSSKEISWDNIAGYDQQKRDIEDTILLALLSPKTYDDIARGTRCKFESNRPRAVLFEGPPGTGKTSSARVIANQAGVPLLYVPLEVILSKYYGESERLLGRVFSLANQLPDGAIIFLDEVDSFAISRDSDMHEATRRVLSVLLRQIDGFEQDKKVVVIAATNRKQDLDPAMISRFDSIITFDLPDQRNRKEIAAQYAKHLTESELDELATATEGMSGRDIRDVCQQAERSWASKIIRGQISKDGGGQGSILLPPLQQYLDSALNRLKGLLTSAQGKPQSSSETGTKKPKLLVD >ONI09869 pep chromosome:Prunus_persica_NCBIv2:G4:690862:696083:1 gene:PRUPE_4G015000 transcript:ONI09869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLRLVVRTARNRNWRSIPTPSQTLNPRPRVRSRDLLNPRLLYHRAFEHQFHSSASAIQVPNPNGSGRNLMFPAALAGLLGVGGIEVAYADAAEEPSSPAESPATESHVDLEEIAKKQRQKIEDLLKSKGIRRGSYPQFTVAVKGQKVSIKFQIPPACEASQLIANIASHLGLKVEEHGGGSDMSLRAWDSGVAWQLMLTRPEKKKETGSDVGELKDVNKHEGDLRILVFRSVITPSDKADIEFMKEGSLSPQELDALVAALQLAGTKLGQNSALERRPREDTTQVPSSEKLIASLESMGVRIYGINEPHVSSSSKEISWDNIAGYDQQKRDIEDTILLALLSPKTYDDIARGTRCKFESNRPRAVLFEGPPGTGKTSSARVIANQAGVPLLYVPLEVILSKYYGESERLLGRVFSLANQLPDGAIIFLDEVDSFAISRDSDMHEATRRVLSVLLRQIDGFEQDKKVVVIAATNRKQDLDPAMISEIVRK >ONI10194 pep chromosome:Prunus_persica_NCBIv2:G4:1586053:1590183:1 gene:PRUPE_4G034100 transcript:ONI10194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSINPIPTSVKKLWERWNLRSFILLSLTLQTILILGAPFRKRAPNMAIIFIIWSSYLLADWAANFAIGLISNSQGNARGTGDNNEDLLAFWAPFLLLHLGGPDTITAFALEDNTLWLRHFLGLIFQVIAAIYVFIQSFPTNKLWPSTILLFLAGTIKYAERTRGLYLASLDNFKESMLKKPDPGPNYAKLMEEYSSKKEAKLPTHIELTAERSKESRTVTYVAEPGDMENDIAMVRHAYHFYKIFRGLIVDLIFSFHERFESRAFFQEREAKDAFRLIAIELNFVYEALFTKAVVVHSMRGCIFRAISFTAVSIALGFFYKLEKHDYHKFDVGITYTLLFGALGLDSIALFMLIFSDWTVAALTKSWQKSFVATILKKYLSFKRPSWSENTSCLDWIRQILFRRWYESISTFNLIDYSLKEREKMFPNIFDYPGIAYIKIIDLLGLKDLRDKMKYRHSTPLTRALWEFIFKELQSKSMLADDPETAKRICSARGDWVLQDSDWNSTEHSTFLRYIVEVDYDQSILLWHIATEFCYNMEWSDGDGDGCKISKALSDYMLYLLVMQPTLTSSVAGIGQIRFRDTCAEAKKFFSRRELGSGRSGGDEQQQEACQRILEVNTEVEPVAVKGDRSKSVLFDACILAKKLMDLKEKKWELMSKVWVELLSYTAGHCRANDHVQLLSKGGELVTFVWLLMAHFGIGEQFQINEGHARAKLIVGK >ONI12244 pep chromosome:Prunus_persica_NCBIv2:G4:8781492:8785821:-1 gene:PRUPE_4G153200 transcript:ONI12244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLNYLGQWRSVAKEAKDLTVTVAKFMGLLHVTDAYLCSSTLVYGPSMLPTLNISGDVLLSEHVSHRFGKVGAGDLVLVRSPNDPRKIVTKRILGMEGDQVTFFVDPKHSDRSQTTVVPKGHVWIQGDNIYSSFDSRTYGAVPYGLIQGKVFCRVWPPDGFGSLD >ONI12191 pep chromosome:Prunus_persica_NCBIv2:G4:8547466:8550927:1 gene:PRUPE_4G149700 transcript:ONI12191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAVQVALVVAEKAIIFLYHKLKPSRTHNVHDDFQNAKKCLERMRAYLRDYSASSSSSSDLEVLQTRVKEIQNIAYEIEDVLDTFMLKVPHQFHSNRLSEKFHDFVHDHDPSVQKAGREFSSAIKNIIDNKLNFLSALDKIHQVLRRDQEGQPSSSTAGMGDNHSPHRFLEEEEMKGFEKPKQKLIKQLVEGDNSRLLTIISLVGPGGSGKTTLLKNVFKNKRVQGFFDCHAWIDVPRDLCDSKRRELLLNMLSKFDPKVKREGPDHHEDPEEQLGVLLEQKRFVLVLDNVWSKQDLGGIVNAIPNGLPGSKVIITSRKSDVASGRAKPPAHIHDLSNLLSKEDARSLFYKKAFPENREGKCPPELKEWADKILKRCEGLPFAISAVGTLLATKQSTPLEWKKLHDSLVPNLPIISQILQPSYEDLPSHLKTCFLYFSMFPEDYSISRGRLIRLWVAEGFVMPEKSIGRPEKSIEEVAEGYLKELIGRNLVHASTMEVDGRVVRSCRVLNLVLEFIISKVENFIIVSCDENSGCGSPGEKIRRLSIHNVSMANLSRYRDLSCIRTLLVCGQTSSLSELLNTFTFLKVLDLQGVPLEDFPSYVVGLTLLRYLCPRETNVRTVPKSIKKLGFLETLDLKQTKVTKLPAQIYALHNLRHLLVYCYDVPNYVTFGAARGVKVSVGNIAALCCIQKLSLITVKNNRKIISDLGELKGLRKLGLTDLERKDGRDLCRSIQKMQKLSTLDVRSTSEEEFLDLDHEEFPPNYLQRLYLKGRLERLPKWISQLHSVAKIGLKWSKLNANESPLEALQALPNLMELDLVCYYTGEKLEFKKDTFKELKILHIEQFDQLNTMVVQNGAMPKLKKLIDYVQMSEFGVTSTRH >ONI09998 pep chromosome:Prunus_persica_NCBIv2:G4:1067557:1072424:1 gene:PRUPE_4G022600 transcript:ONI09998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMNQQSQGTSSLSSPDPPMKRKRGRPRKDENLVHAESATAGPGAESLKKNKQSTSDDVESEMVGQVVTGVIEGSFDAGYLLNVRVGDTDTQLRGVVFLQEKIAPITPANDIAPHIKMCKRKDIPIPPLNLQSQLYGSARPSEQSNRQPAEVKNQTPTVPDQTQSSIPGIPESLESKSFSVMIPPVDNLPKNDVGPSLEGKVMPQQILEPVTHSQSAPAMGQSELNNIVEQNKVLEEVEAAEIMEGPNSTEANKASKTESASEPVADIPGIDAVCKDPEIQTQAVDSEKSALVHDEVRSLDLELNQTPVVAEPAATAVIPADETVGIETICKDSQIQAVDVGPEKNALVHEVAKSLNIELNQTPVFAEPACVFSDLASKPVDIVMEEQAYPAPKPSQMFGGETVPSELKLASEGSIPPGMVEPHISSSSGAISNVDCDVEDVIPPTQS >ONI09997 pep chromosome:Prunus_persica_NCBIv2:G4:1067557:1072423:1 gene:PRUPE_4G022600 transcript:ONI09997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMNQQSQGTSSLSSPDPPMKRKRGRPRKDENLVHAESATAGPGAESLKKNKQSTSDDVESEMVGQVVTGVIEGSFDAGYLLNVRVGDTDTQLRGVVFLQEKIAPITPANDIAPHIKMCKRKDIPIPPLNLQSQLYGSARPSEQSNRQPAEVKNQTPTVPDQTQSSIPGIPESLESKSFSVMIPPVDNLPKNDVGPSLEGKVMPQQILEPVTHSQSAPAMGQSELNNIVEQNKVLEEVEAAEIMEGPNSTEANKASKTESASEPVADIPGIDAVCKDPEIQTQAVDSEKSALVHDEVRSLDLELNQTPVVAEPAATAVIPADETVGIETICKDSQIQAVDVGPEKNALVHEVAKSLNIELNQTPVFAEPACVFSDLASKPVDIVMEEQAYPAPKPSQMFGGETVPSELKLASEGSIPPGMVEPHISSSSGAISNVDCDVEDVIPPTQS >ONI09992 pep chromosome:Prunus_persica_NCBIv2:G4:1069310:1072423:1 gene:PRUPE_4G022600 transcript:ONI09992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMNQQSQGTSSLSSPDPPMKRKRGRPRKDENLVHAESATAGPGAESLKKNKQSTSDDVESEMVGQVVTGVIEGSFDAGYLLNVRVGDTDTQLRGVVFLQEKIAPITPANDIAPHIKMCKRKDIPIPPLNLQSQLYGSARPSEQSNRQPAEVKNQTPTVPDQTQSSIPGIPESLESKSFSVMIPPVDNLPKNDVGPSLEGKVMPQQILEPVTHSQSAPAMGQSELNNIVEQNKVLEEVEAAEIMEGPNSTEANKASKTESASEPVADIPGIDAVCKDPEIQTQAVDSEKSALVHDEVRSLDLELNQTPVVAEPAATAVIPADETVGKDPQIQPQGVDSEKSALVRDDVKSLNLELNQIPVFSESAPVSVIPGIETICKDSQIQAVDVGPEKNALVHEVAKSLNIELNQTPVFAEPACVFSDLASKPVDIVMEEQAYPAPKPSQMFGGETVPSELKLASEGSIPPGMVEPHISSSSGAISNVDCDVEDVIPPTQS >ONI09991 pep chromosome:Prunus_persica_NCBIv2:G4:1067557:1072445:1 gene:PRUPE_4G022600 transcript:ONI09991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMNQQSQGTSSLSSPDPPMKRKRGRPRKDENLVHAESATAGPGAESLKKNKQSTSDDVESEMVGQVVTGVIEGSFDAGYLLNVRVGDTDTQLRGVVFLQEKIAPITPANDIAPHIKMCKRKDIPIPPLNLQSQLYGSARPSEQSNRQPAEVKNQTPTVPDQTQSSIPGIPESLESKSFSVMIPPVDNLPKNDVGPSLEGKVMPQQILEPVTHSQSAPAMGQSELNNIVEQNKVLEEVEAAEIMEGPNSTEANKASKTESASEPVADIPGIDAVCKDPEIQTQAVDSEKSALVHDEVRSLDLELNQTPVVAEPAATAVIPADETVGKDPQIQPQGVDSEKSALVRDDVKSLNLELNQIPVFSESAPVSVIPGIETICKDSQIQAVDVGPEKNALVHEVAKSLNIELNQTPVFAEPACVFSDLASKPVDIVMEEQAYPAPKPSQMFGGETVPSELKLASEGSIPPGMVEPHISSSSGAISNVDCDVEDVIPPTQS >ONI09989 pep chromosome:Prunus_persica_NCBIv2:G4:1067557:1072423:1 gene:PRUPE_4G022600 transcript:ONI09989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMNQQSQGTSSLSSPDPPMKRKRGRPRKDENLVHAESATAGPGAESLKKNKQSTSDDVESEMVGQVVTGVIEGSFDAGYLLNVRVGDTDTQLRGVVFLQEKIAPITPANDIAPHIKMCKRKDIPIPPLNLQSQLYGSARPSEQSNRQPAEVKNQTPTVPDQTQSSIPGIPESLESKSFSVMIPPVDNLPKNDVGPSLEGKVMPQQILEPVTHSQSAPAMGQSELNNIVEQNKVLEEVEAAEIMEGPNSTEANKASKTESASEPVADIPGIDAVCKDPEIQTQAVDSEKSALVHDEVRSLDLELNQTPVVAEPAATAVIPADETVGKDPQIQPQGVDSEKSALVRDDVKSLNLELNQIPVFSESAPVSVIPGIETICKDSQIQAVDVGPEKNALVHEVAKSLNIELNQTPVFAEPACVFSDLASKPVDIVMEEQAYPAPKPSQMFGGETVPSELKLASEGSIPPGMVEPHISSSSGAISNVDCDVEDVIPPTQS >ONI09990 pep chromosome:Prunus_persica_NCBIv2:G4:1067557:1072438:1 gene:PRUPE_4G022600 transcript:ONI09990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMNQQSQGTSSLSSPDPPMKRKRGRPRKDENLVHAESATAGPGAESLKKNKQSTSDDVESEMVGQVVTGVIEGSFDAGYLLNVRVGDTDTQLRGVVFLQEKIAPITPANDIAPHIKMCKRKDIPIPPLNLQSQLYGSARPSEQSNRQPAEVKNQTPTVPDQTQSSIPGIPESLESKSFSVMIPPVDNLPKNDVGPSLEGKVMPQQILEPVTHSQSAPAMGQSELNNIVEQNKVLEEVEAAEIMEGPNSTEANKASKTESASEPVADIPGIDAVCKDPEIQTQAVDSEKSALVHDEVRSLDLELNQTPVVAEPAATAVIPADETVGKDPQIQPQGVDSEKSALVRDDVKSLNLELNQIPVFSESAPVSVIPGIETICKDSQIQAVDVGPEKNALVHEVAKSLNIELNQTPVFAEPACVFSDLASKPVDIVMEEQAYPAPKPSQMFGGETVPSELKLASEGSIPPGMVEPHISSSSGAISNVDCDVEDVIPPTQS >ONI09995 pep chromosome:Prunus_persica_NCBIv2:G4:1067557:1072423:1 gene:PRUPE_4G022600 transcript:ONI09995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMNQQSQGTSSLSSPDPPMKRKRGRPRKDENLVHAESATAGPGAESLKKNKQSTSDDVESEMVGQVVTGVIEGSFDAGYLLNVRVGDTDTQLRGVVFLQEKIAPITPANDIAPHIKMCKRKDIPIPPLNLQSQLYGSARPSEQSNRQPAEVKNQTPTVPDQTQSSIPGIPESLESKSFSVMIPPVDNLPKNDVGPSLEGKVMPQQILEPVTHSQSAPAMGQSELNNIVEQNKVLEEVEAAEIMEGPNSTEANKASKTESASEPVADIPGIDAVCKDPEIQTQAVDSEKSALVHDEVRSLDLELNQTPVVAEPAATAVIPADETVGIETICKDSQIQAVDVGPEKNALVHEVAKSLNIELNQTPVFAEPACVFSDLASKPVDIVMEEQAYPAPKPSQMFGGETVPSELKLASEGSIPPGMVEPHISSSSGAISNVDCDVEDVIPPTQS >ONI09996 pep chromosome:Prunus_persica_NCBIv2:G4:1067557:1072424:1 gene:PRUPE_4G022600 transcript:ONI09996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMNQQSQGTSSLSSPDPPMKRKRGRPRKDENLVHAESATAGPGAESLKKNKQSTSDDVESEMVGQVVTGVIEGSFDAGYLLNVRVGDTDTQLRGVVFLQEKIAPITPANDIAPHIKMCKRKDIPIPPLNLQSQLYGSARPSEQSNRQPAEVKNQTPTVPDQTQSSIPGIPESLESKSFSVMIPPVDNLPKNDVGPSLEGKVMPQQILEPVTHSQSAPAMGQSELNNIVEQNKVLEEVEAAEIMEGPNSTEANKASKTESASEPVADIPGIDAVCKDPEIQTQAVDSEKSALVHDEVRSLDLELNQTPVVAEPAATAVIPADETVGIETICKDSQIQAVDVGPEKNALVHEVAKSLNIELNQTPVFAEPACVFSDLASKPVDIVMEEQAYPAPKPSQMFGGETVPSELKLASEGSIPPGMVEPHISSSSGAISNVDCDVEDVIPPTQS >ONI09993 pep chromosome:Prunus_persica_NCBIv2:G4:1067557:1072429:1 gene:PRUPE_4G022600 transcript:ONI09993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMNQQSQGTSSLSSPDPPMKRKRGRPRKDENLVHAESATAGPGAESLKKNKQSTSDDVESEMVGQVVTGVIEGSFDAGYLLNVRVGDTDTQLRGVVFLQEKIAPITPANDIAPHIKMCKRKDIPIPPLNLQSQLYGSARPSEQSNRQPAEVKNQTPTVPDQTQSSIPGIPESLESKSFSVMIPPVDNLPKNDVGPSLEGKVMPQQILEPVTHSQSAPAMGQSELNNIVEQNKVLEEVEAAEIMEGPNSTEANKASKTESASEPVADIPGIDAVCKDPEIQTQAVDSEKSALVHDEVRSLDLELNQTPVVAEPAATAVIPADETVVSVIPGIETICKDSQIQAVDVGPEKNALVHEVAKSLNIELNQTPVFAEPACVFSDLASKPVDIVMEEQAYPAPKPSQMFGGETVPSELKLASEGSIPPGMVEPHISSSSGAISNVDCDVEDVIPPTQS >ONI09994 pep chromosome:Prunus_persica_NCBIv2:G4:1067557:1072429:1 gene:PRUPE_4G022600 transcript:ONI09994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSMNQQSQGTSSLSSPDPPMKRKRGRPRKDENLVHAESATAGPGAESLKKNKQSTSDDVESEMVGQVVTGVIEGSFDAGYLLNVRVGDTDTQLRGVVFLQEKIAPITPANDIAPHIKMCKRKDIPIPPLNLQSQLYGSARPSEQSNRQPAEVKNQTPTVPDQTQSSIPGIPESLESKSFSVMIPPVDNLPKNDVGPSLEGKVMPQQILEPVTHSQSAPAMGQSELNNIVEQNKVLEEVEAAEIMEGPNSTEANKASKTESASEPVADIPGIDAVCKDPEIQTQAVDSEKSALVHDEVRSLDLELNQTPVVAEPAATAVIPADETVVSVIPGIETICKDSQIQAVDVGPEKNALVHEVAKSLNIELNQTPVFAEPACVFSDLASKPVDIVMEEQAYPAPKPSQMFGGETVPSELKLASEGSIPPGMVEPHISSSSGAISNVDCDVEDVIPPTQS >ONI11459 pep chromosome:Prunus_persica_NCBIv2:G4:5610977:5613368:1 gene:PRUPE_4G107400 transcript:ONI11459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQLNHLVLFSPVFERTTFVQNPSSVSLKFQCFCSSRLTHHSKVSVIESAQSPNSPFANRVSRNARTEAQATLFDYLHCTRSFSFTDAEHISKNSPIFLQNLLSNIDSEKDVARSLTRFLRYNPINEFEPFFESLGLSPSELLSFLPRHLMYLSDDCVLTDNVHALCNYGIPRSNIGKMYKEAKEIFGYDYGVLALKLQAYENLGISKATVIKLVSCCPLLLVGGVNSDFVRVHEKLKRLGLGMDWIGGYASGNSTYNWDRMFDTMDFLDKVGYTEEQMCVLFELNPALLLEGSGKNVYVLFGRLLKLGLEMNEVYSLFMQNPQVLSVKCMKNLLLAVDFLFEIGMGTEEMADIVANDVEFLSSSSFKRPKTVCKDLKVKRDGLLQMIKEDPHKVLTLASKSKGKNQLISPLPSKHMEKTSFLVRLGYIENSDEMMKALKKFRGRGDQLQERFDCLVQAGLDCNVVSNIVKQAPHVLNQSKDVIEMKISCLTNCLRYPLDSVVAFPAYLCYDMDRINLRFSMYAWLREKGAAKPMLSLSTLLACSDARFVKYYVDVHPEGPAMWESFKMQKS >ONI11460 pep chromosome:Prunus_persica_NCBIv2:G4:5610977:5613368:1 gene:PRUPE_4G107400 transcript:ONI11460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQLNHLVLFSPVFERTTFVQNPSSVSLKFQCFCSSRLTHHSKVSVIESAQSPNSPFANRVSRNARTEAQATLFDYLHCTRSFSFTDAEHISKNSPIFLQNLLSNIDSEKDVARSLTRFLRYNPINEFEPFFESLGLSPSELLSFLPRHLMYLSDDCVLTDNVHALCNYGIPRSNIGKMYKEAKEIFGYDYGVLALKLQAYENLGISKATVIKLVSCCPLLLVGGVNSDFVRVHEKLKRLGLGMDWIGGYASGNSTYNWDRMFDTMDFLDKVGYTEEQMCVLFELNPALLLEGSGKNVYVLFGRLLKLGLEMNEVYSLFMQNPQVLSVKCMKNLLLAVDFLFEIGMGTEEMADIVANDVEFLSSSSFKRPKTVCKDLKVKRDGLLQMIKEDPHKVLTLASKSKEVEETNYKRGLIASYKLAWTATWCQT >ONI13704 pep chromosome:Prunus_persica_NCBIv2:G4:15754416:15759754:-1 gene:PRUPE_4G239800 transcript:ONI13704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGHCFVEWKEHFVSQERGNRVVHYFLKDSAGESILAVVGTERSVRHMFYVVSEEFLYVHGKESSTNANFKWRSRREVVDWLTSMLSKQHIHADLSESPQHDSSQASGTPEYPMNGVSAPQTHGRLSKNFKGQSPAIVWSGVAWTCGKQLKHFPAFCRDGITIAIKSFVFVMAKGENHYVAYIEDMYEDKRGQRKVKVRWFHHSQEVKGVTPLRNPHPKEVFITPYVQVISAECVDGPATVLTREHYEKCLAVFPRALLARVHLCCRQFKSNKVKPFDLSKMNGYFDQPILSCLGPNFSAKSEFISDSLIGEEDELGPSEYVKLGSKRTRSGRPCPRFAANHSRARSSTRDSQMMAYRPLQKSNYGSLDRRLFSMKLVDYQPWYTPLFKVAEKIELLCQDSGIRGCWFRCTVLQISRKQVKVQYDDLQDEEGCGNLEEWIPAFRLAMPDKLGIRHLGRPMIRPAPPKEQMDLALEVGAAIDAWWSDGWWEGIITGVSSCGDNFQVFFPGESLLLNMHKKDLRISRDWLGDQWVDVEAKPDVLSAISSKISSCTRPFTSSTVAKDVNTDGLALSYIKVPSSTKHVEEEKLNLDASSSYDAPENMDLVNDKKSPSLKDIGTEGVDVNDSCGDAQDVQGNDDDDDNKDDNNCEDDKGENNGDDEGREGMEVFETPGQSPKAVEIMEVTS >ONI13703 pep chromosome:Prunus_persica_NCBIv2:G4:15754361:15759838:-1 gene:PRUPE_4G239800 transcript:ONI13703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGHCFVEWKEHFVSQERGNRVVHYFLKDSAGESILAVVGTERSVRHMFYVVSEEFLYVHGKESSTNANFKWRSRREVVDWLTSMLSKQHIHADLSESPQHDSSQASGTPEYPMNGVSAPQTHGRLSKNFKGQSPAIVWSGVAWTCGKQLKHFPAFCRDGITIAIKSFVFVMAKGENHYVAYIEDMYEDKRGQRKVKVRWFHHSQEVKGVTPLRNPHPKEVFITPYVQVISAECVDGPATVLTREHYEKCLAVFPRALLARVHLCCRQFKSNKVKPFDLSKMNGYFDQPILSCLGPNFSAKSEFISDSLIGEEDELGPSEYVKLGSKRTRSGRPCPRFAANHSRARSSTRDSQMMAYRPLQKSNYGSLDRRLFSMKLVDYQPWYTPLFKVAEKIELLCQDSGIRGCWFRCTVLQISRKQVKVQYDDLQDEEGCGNLEEWIPAFRLAMPDKLGIRHLGRPMIRPAPPKEQMDLALEVGAAIDAWWSDGWWEGIITGVSSCGDNFQVFFPGESLLLNMHKKDLRISRDWLGDQWVDVEAKPDVLSAISSKISSCTRPFTSSTVAKDVNTDGLALSYIKVPSSTKHVEEEKLNLDASSSYDAPENMDLVNDKKSPSLKDIGTEGVDVNDSCGDAQDVQGNDDDDDNKDDNNCEDDKGENNGDDEGREGMEVFETPGQSPKAVEIMEVTS >ONI10678 pep chromosome:Prunus_persica_NCBIv2:G4:2949690:2953428:1 gene:PRUPE_4G061700 transcript:ONI10678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPHHDYSRRKDSNSIEGSSSSSRPNQQQAPQPLSRYESQKRRDWNTFGQYLKNQTPPVSLSQCNCNHVLDFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLKQAWGSLDALIGRLRAAYEEHGGSPETNPFGNGAIRVYLREVKECQAKARGIPYKKKKKKRNGQLKAINDEANKGLKQITS >ONI11238 pep chromosome:Prunus_persica_NCBIv2:G4:4777260:4778209:-1 gene:PRUPE_4G094800 transcript:ONI11238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQFLFWAFIAITCSIALATDPSSLQDFCVADPVRSVPVNGLVCKDPKFVEANDFSFSGLHLAGNTSNTVGSHVTPVNVAQIAGLNTLGISIARIDYAPWGVNSPHTHPRASEVLTVLEGSLQVGFITSHPENRLITKILHVGDVFVFPVGLLHFQRNIGYGNAVAIAALSSQNPGVITIANAVFGSNPDISSDVLTRAFQVDKDTIYNFQSRF >ONI10445 pep chromosome:Prunus_persica_NCBIv2:G4:2287066:2287838:-1 gene:PRUPE_4G047900 transcript:ONI10445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSSAFFVICLLHSAIAITCGALMMFYMMEIYTFGHGIETAKKLLGSTPHDQLLIRTSDSFSGLLLFAIGFLLLMVSFVKDREFQSFFAKGCTVLHVFMALWRCYFERRVEDLAWDWLRQTVGDVVLAASWVFFLVFSWREKYD >ONI10446 pep chromosome:Prunus_persica_NCBIv2:G4:2286615:2288489:-1 gene:PRUPE_4G047900 transcript:ONI10446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSSAFFVICLLHSAIAITCGALMMFYMMEIYTFGHGIETAKKLLGSTPHDQLLIRTSDSFSGLLLFAIGFLLLMVSFVKDREFQSFFAKGCTVLHVFMALWRCYFERRVEDLAWDWLRQTVGDVVLAASWVFFLVFSWREKYD >ONI10590 pep chromosome:Prunus_persica_NCBIv2:G4:2681229:2686080:1 gene:PRUPE_4G055900 transcript:ONI10590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPGSMRTVGGGIEENILAILDSSEAKDTLDAFEDRVAFIEAVRAASIVAKNGTPPTYKMYEAIFSILRIGKSLELTMASFQLLNELDKRFPRVNSSDVDKSNSSSSVPELIMVQEVGFPFVFSSENASRERGAANNSEGPVDSSGFQLLIQDLADATDEGNLRASETKSLTNMLLFQYLVTVFEGDFRPRNSVYEDTMNWVVLRESLLNMLLVSRKVNYKSLMKDCLTIMCKLYQNCAGFTDDLICSENSAAQPAENFDTAAAISLLEVGKHTCIAMQKFLIMIMELDVSKKSAEMQGSTTRADGVRTPLVEIILDELTYNRDIISPFLQVFNEPKWKLEVVVQYLWKYIGKPSVRTRRSNSPTDNASFSGALKCFSNITSTKSTLKKIRTEVVQLLLAHGFQAHLSLQSEHHPVEDTSASNEERSCSLLIEICKNIISAFKNMKTADKQMEILSLGKEALFTAATIISAKS >ONI10124 pep chromosome:Prunus_persica_NCBIv2:G4:1340178:1343417:1 gene:PRUPE_4G028700 transcript:ONI10124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSRFLCLLFPILLLIATHHQAFAEEDWLAYQSHLCSNNKGNYTTNSTYRRNLNTLLSSLPSNNTGNGYGFYNSSYGQSSDNNQVYAIALCYMVNIDDPGCRNCLNVSRYALIELCPNQKEAMFFTHGCMLRYSNSLIYGTMETAPSLCMSNPQDVPSSTVNEFFEKLRELLGSLRSETAAAGSLRKFAYENTSGENIQTIYGLTQCTPDLSEQDCNDCLIGAIGAVKPLPSLPSPPLSSPPISPPLPSTTGNSQGSNRSRNVVIIVVSIVVSLLLIISICICLRVRKTKGKLEILPAEDVDEIGNAESLQMDFDTIRLATDDFSEVNKLGQGGFGSVYKGRLFNGENIAVKRLCMNSGQGDIEFQNEVLLVAKLQHRNLVRLLGFCMEGQERLLVYEFASNASLDRIIFDPIKRAELDWDGRYKIIVGITRGLLYLHEDSRLRIIHRDLKVSNILIDGEMNPKIADFGMARLFVLDQTQANTKRIVGTYGYMAPEYAMHGHFSVKSDVYSFGVLTLEIISGQKISDFQHGENVEDLLSYAWKNWVKGTASNLIDPTLRIGSRSEMMRCIHIGLLCVQENAADRPTLASIIVMLNSYSLVLPVPSPPSFVMHSNTEFKTPLWALDSNSDDQSQSNSTKVSVNETTISDLYPR >ONI10126 pep chromosome:Prunus_persica_NCBIv2:G4:1340190:1342243:1 gene:PRUPE_4G028700 transcript:ONI10126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSRFLCLLFPILLLIATHHQAFAEEDWLAYQSHLCSNNKGNYTTNSTYRRNLNTLLSSLPSNNTGNGYGFYNSSYGQSSDNNQVYAIALCYMVNIDDPGCRNCLNVSRYALIELCPNQKEAMFFTHGCMLRYSNSLIYGTMETAPSLCMSNPQDVPSSTVNEFFEKLRELLGSLRSETAAAGSLRKFAYENTSGENIQTIYGLTQCTPDLSEQDCNDCLIGAIGGLYMCSNGKIGGRVIRPSCYFLYEVYPYINISAVKPLPSLPSPPLSSPPISPPLPSTTGNSQGSNRSRNVVIIVVSIVVSLLLIISICICLRVRKTKGKLEILPAEDVDEIGNAESLQMDFDTIRLATDDFSEVNKLGQGGFGSVYKGRLFNGENIAVKRLCMNSGQGDIEFQNEVLLVAKLQHRNLVRLLGFCMEGQERLLVYEFASNASLDRIIFDPIKRAELDWDGRYKIIVGITRGLLYLHEDSRLRIIHRDLKVSNILIDGEMNPKIADFGMARLFVLDQTQANTKRIVGT >ONI10125 pep chromosome:Prunus_persica_NCBIv2:G4:1340177:1342992:1 gene:PRUPE_4G028700 transcript:ONI10125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSRFLCLLFPILLLIATHHQAFAEEDWLAYQSHLCSNNKGNYTTNSTYRRNLNTLLSSLPSNNTGNGYGFYNSSYGQSSDNNQVYAIALCYMVNIDDPGCRNCLNVSSLIYGTMETAPSLCMSNPQDVPSSTVNEFFEKLRELLGSLRSETAAAGSLRKFAYENTSGENIQTIYGLTQCTPDLSEQDCNDCLIGAIGGLYMCSNGKIGGRVIRPSCYFLYEVYPYINISAVKPLPSLPSPPLSSPPISPPLPSTTGNSQGSNRSRNVVIIVVSIVVSLLLIISICICLRVRKTKGKLEILPAEDVDEIGNAESLQMDFDTIRLATDDFSEVNKLGQGGFGSVYKGRLFNGENIAVKRLCMNSGQGDIEFQNEVLLVAKLQHRNLVRLLGFCMEGQERLLVYEFASNASLDRIIFDPIKRAELDWDGRYKIIVGITRGLLYLHEDSRLRIIHRDLKVSNILIDGEMNPKIADFGMARLFVLDQTQANTKRIVGTYGYMAPEYAMHGHFSVKSDVYSFGVLTLEIISGQKISDFQHGENVEDLLSYAWKNWVKGTASNLIDPTLRIGSRSEMMRCIHIGLLCVQENAADRPTLASIIVMLNSYSLVLPVPSPPSFVMHSNTEFKTPLWALDSNSDDQSQSNSTKVSVNETTISDLYPR >ONI10123 pep chromosome:Prunus_persica_NCBIv2:G4:1340170:1343417:1 gene:PRUPE_4G028700 transcript:ONI10123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSRFLCLLFPILLLIATHHQAFAEEDWLAYQSHLCSNNKGNYTTNSTYRRNLNTLLSSLPSNNTGNGYGFYNSSYGQSSDNNQVYAIALCYMVNIDDPGCRNCLNVSRYALIELCPNQKEAMFFTHGCMLRYSNSLIYGTMETAPSLCMSNPQDVPSSTVNEFFEKLRELLGSLRSETAAAGSLRKFAYENTSGENIQTIYGLTQCTPDLSEQDCNDCLIGAIGGLYMCSNGKIGGRVIRPSCYFLYEVYPYINISAVKPLPSLPSPPLSSPPISPPLPSTTGNSQGSNRSRNVVIIVVSIVVSLLLIISICICLRVRKTKGKLEILPAEDVDEIGNAESLQMDFDTIRLATDDFSEVNKLGQGGFGSVYKGRLFNGENIAVKRLCMNSGQGDIEFQNEVLLVAKLQHRNLVRLLGFCMEGQERLLVYEFASNASLDRIIFDPIKRAELDWDGRYKIIVGITRGLLYLHEDSRLRIIHRDLKVSNILIDGEMNPKIADFGMARLFVLDQTQANTKRIVGTYGYMAPEYAMHGHFSVKSDVYSFGVLTLEIISGQKISDFQHGENVEDLLSYAWKNWVKGTASNLIDPTLRIGSRSEMMRCIHIGLLCVQENAADRPTLASIIVMLNSYSLVLPVPSPPSFVMHSNTEFKTPLWALDSNSDDQSQSNSTKVSVNETTISDLYPR >ONI10551 pep chromosome:Prunus_persica_NCBIv2:G4:2546461:2548830:1 gene:PRUPE_4G052800 transcript:ONI10551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTLFKWSKQITTSQVEQLIKAEKDIQKAILIFDSATAEYTNGFRHDHTTFGLMVARLVSANQFRSAEALLDKMKEEKCSVTEDIFLSVCRGYGRVHRPLDAVRVFHKMEDFQCKPTQKSYITILGILVEENQLKIAFRFYKYMRETGIPASVVSLNILIKALCKNSSSMDAALRIFCEMPNHGCTPDSYTYGTLINGLCKLGKIGEAKELFNEMETKGCLPSVVTYTSLIHGFCQSNNLDEAVGLFEHMKTKGITPNVFTYSSLMDGLCKGGRSSQAMELLDLMIRKRHRPNNITYSTLLHGLCEEGKLQEALEILDRMKLQGLKPDAGLYGKVINGFCNICKFQEAANFLDEMVLGGVSPNRLTWSLHVRIHNAVVQGLCSSGNPNRACQLYLSMRSRGISIDMKTFDTLVRCICKKGDLHKAYRIVDEMVLDGCVPDEGIWSSMVDGFWNRRKVREPAELLQAELMSEMVEPET >ONI10550 pep chromosome:Prunus_persica_NCBIv2:G4:2546474:2548548:1 gene:PRUPE_4G052800 transcript:ONI10550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTLFKWSKQITTSQVEQLIKAEKDIQKAILIFDSATAEYTNGFRHDHTTFGLMVARLVSANQFRSAEALLDKMKEEKCSVTEDIFLSVCRGYGRVHRPLDAVRVFHKMEDFQCKPTQKSYITILGILVEENQLKIAFRFYKYMRETGIPASVVSLNILIKALCKNSSSMDAALRIFCEMPNHGCTPDSYTYGTLINGLCKLGKIGEAKELFNEMETKGCLPSVVTYTSLIHGFCQSNNLDEAVGLFEHMKTKGITPNVFTYSSLMDGLCKGGRSSQAMELLDLMIRKRHRPNNITYSTLLHGLCEEGKLQEALEILDRMKLQGLKPDAGLYGKVINGFCNICKFQEAANFLDEMVLGGVSPNRLTWSLHVRIHNAVVQGLCSSGNPNRACQLYLSMRSRGISIDMKTFDTLVRCICKKGDLHKAYRIVDEMVLDGCVPDEGIWSSMVDGFWNRRKVREPAELLQAELMSEMVEPET >ONI10549 pep chromosome:Prunus_persica_NCBIv2:G4:2546622:2548548:1 gene:PRUPE_4G052800 transcript:ONI10549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTLFKWSKQITTSQVEQLIKAEKDIQKAILIFDSATAEYTNGFRHDHTTFGLMVARLVSANQFRSAEALLDKMKEEKCSVTEDIFLSVCRGYGRVHRPLDAVRVFHKMEDFQCKPTQKSYITILGILVEENQLKIAFRFYKYMRETGIPASVVSLNILIKALCKNSSSMDAALRIFCEMPNHGCTPDSYTYGTLINGLCKLGKIGEAKELFNEMETKGCLPSVVTYTSLIHGFCQSNNLDEAVGLFEHMKTKGITPNVFTYSSLMDGLCKGGRSSQAMELLDLMIRKRHRPNNITYSTLLHGLCEEGKLQEALEILDRMKLQGLKPDAGLYGKVINGFCNICKFQEAANFLDEMVLGGVSPNRLTWSLHVRIHNAVVQGLCSSGNPNRACQLYLSMRSRGISIDMKTFDTLVRCICKKGDLHKAYRIVDEMVLDGCVPDEGIWSSMVDGFWNRRKVREPAELLQAELMSEMVEPET >ONI10548 pep chromosome:Prunus_persica_NCBIv2:G4:2546567:2548830:1 gene:PRUPE_4G052800 transcript:ONI10548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTLFKWSKQITTSQVEQLIKAEKDIQKAILIFDSATAEYTNGFRHDHTTFGLMVARLVSANQFRSAEALLDKMKEEKCSVTEDIFLSVCRGYGRVHRPLDAVRVFHKMEDFQCKPTQKSYITILGILVEENQLKIAFRFYKYMRETGIPASVVSLNILIKALCKNSSSMDAALRIFCEMPNHGCTPDSYTYGTLINGLCKLGKIGEAKELFNEMETKGCLPSVVTYTSLIHGFCQSNNLDEAVGLFEHMKTKGITPNVFTYSSLMDGLCKGGRSSQAMELLDLMIRKRHRPNNITYSTLLHGLCEEGKLQEALEILDRMKLQGLKPDAGLYGKVINGFCNICKFQEAANFLDEMVLGGVSPNRLTWSLHVRIHNAVVQGLCSSGNPNRACQLYLSMRSRGISIDMKTFDTLVRCICKKGDLHKAYRIVDEMVLDGCVPDEGIWSSMVDGFWNRRKVREPAELLQAELMSEMVEPET >ONI12999 pep chromosome:Prunus_persica_NCBIv2:G4:11992859:11995443:-1 gene:PRUPE_4G196600 transcript:ONI12999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCINSRGEKAETSFRSILQENMNSNTNFHQENDQQLQWRDKLFAGGCGDSSNNEFKQMNRGFSLDQTQFSPQYSSGDSTVTCQGLPSSFQMDSGAALYGSPSTILQGLLGPHHDNQQPNSAPMNFPYQANYGVNSSDQLLPPWSKVPQFLRTSPPKQPPQSHLQFSNNATFWNAPHEAAMKDVRPSFFPSLQPQYPTARFEEKPKNISEVQESGAVGKKSGSETATKRPRNETSSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVNVLSTPYMKSGAAIQHQQNSDKSKDPDQGPKQDLRSRGLCLVPVSSTFPVTHGTTVDFWTPTFGGTFR >ONI12998 pep chromosome:Prunus_persica_NCBIv2:G4:11992859:11996137:-1 gene:PRUPE_4G196600 transcript:ONI12998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFQTTGNWWDSSSRTRFETGTSPPASTLNSLGSFGWQPDMVDIKARSSMDSGSVSGTSSMVFHGAHKLEEGPDSATGSGGDPSLHMMGLGLSSQATDWNHALFRGEKAETSFRSILQENMNSNTNFHQENDQQLQWRDKLFAGGCGDSSNNEFKQMNRGFSLDQTQFSPQYSSGDSTVTCQGLPSSFQMDSGAALYGSPSTILQGLLGPHHDNQQPNSAPMNFPYQANYGVNSSDQLLPPWSKVPQFLRTSPPKQPPQSHLQFSNNATFWNAPHEAAMKDVRPSFFPSLQPQYPTARFEEKPKNISEVQESGAVGKKSGSETATKRPRNETSSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVNVLSTPYMKSGAAIQHQQNSDKSKDPDQGPKQDLRSRGLCLVPVSSTFPVTHGTTVDFWTPTFGGTFR >ONI10236 pep chromosome:Prunus_persica_NCBIv2:G4:1701831:1709876:-1 gene:PRUPE_4G036100 transcript:ONI10236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSKVCYNSECKELKSEHPRKGWRLRTGEYAELCDRCYLAYEEGRFCETFHMNAAGWRCCESCGKRVHCGCIVSSHAFTLLDPGGVECMTCARKNFVLTPNPAWPTSMFFHSPLSERLKDLSVKNWTHLAGSGPVPWRQAPSLFNSSIPSSELHTRVPYEVDVSTGINKLNASERIFVSSMEKKKVEDFSERLMKVNLTMGTQESLENGKPGNNREEQSSSCLNISQQSASLKEDPSTPQFGPYGSVSETNAQVGVTGTNLRPTLPLAKQFNGNLQNGVESSADTQIRNARPRADARGRNQLLPRYWPRFTDQELQQISGDKNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDIVTFSRLEPEGKLVMGFRKASTAPPSDQENETNKASNGVSALADAELADPSSWSKVDKSGYIAKEALGSKSLISRKRKNSTLGSKSKRLRIENEDLIELKLTWEEAQGLLRAPANHIPTVVVIEGFEFEEYEDAPILGMPTIYATGSAGEKIQWAQCEDCFKWRKLPVNALLPSKWTCSDNSWDPERSSCSAAQDLTTEQLEDLLPSHSTVTSKKMKAAKQDPDNIEALEGLDTLANLAILGEGESLPASAQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCLTVKRRFRTLMMRREKKQSEKEAESTRKKQQQQQLVVIDKVDDDTPICCNAGSSSPNQKKEVLELSDDDLNRTKSSTPPFKGQIDLNIQPEREEELSPGSDSGSMMKLLQDATERYLKQQRLSSSGGSENSSGNRSQQVGGEAGGGEPLSNGVTLGSSNHDGGNDCPATLSIKASASMTATG >ONI14633 pep chromosome:Prunus_persica_NCBIv2:G4:25445097:25446850:1 gene:PRUPE_4G289100 transcript:ONI14633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRRNGGNRKGKDVLEVNSPKVEQLTHGVAGISLDSAQDDGEWEVISRKSKNRAESGTAKQWGPQNSNSKAWAQFDVQKPSMRNSGGPGRAPGNFWPSQTADSKKPAGRGNVRQQSSAKVSENNHVAPQQVVHPPLDHGWNWQSRAGFPQPKGSDDGQGNYDKDGDVSAATANGDDDNSDAVGDSDDELFSDEFDSDSSEKSHETRKSSRWFKKFFEILDSLTVDEINDPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGSKRVMLHRELAELLDEELQRKGTTVIPAGEAFGKWKGLKDEEKDHEIVWPPMVVIMNTRLEQDENEKWIGMGNQELLDYFSSYAAVRARHSYGPRGHRGISILIFEASARGYLEAERLHKHFTEQGTDRDAWDHRRVLFHSGGKRQLYGYMAVKEDLDIFNQHSQGKSKLKFEMRSYQEMLYCNLYFLSLQEKSPFTALIGRC >ONI12567 pep chromosome:Prunus_persica_NCBIv2:G4:10199682:10206044:1 gene:PRUPE_4G172200 transcript:ONI12567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPYSPEAEHDTPIKPSKQNNRKRKLTDDEEAHTAIPPHILKLLKRIVLSLSKPSYLLGVGSARPRAEHRTRLNKLLRKLVKQHNWVEASGVLSVLLKSGRKDRSPVNNRFKYWVLMEMLEHLGSEYSKEYRVKDVYEVWESRNGVGSVQSFEYTYAVQVERILLWLTQGKLHDAHQDALGVMQLKEFGKDPLLMMIVALTFQQLWYSTLPKEMQWTESDQFYSARQSDELLPYSEGHYAVDTHKAGTAFQCGSDTSIMKDKVLSNDADSGLHRELSVTVDEMEIENSQPNFETQNFYADSAENTENEASLCNDGGQMQYAPIFSALEGLESLLLPIRLPDATDNHEDFMYLFNDYYNVAVKYLRLALHSTPPVLVALHPLIQLLLIGGQVKEALNELDYCCNSNTALHIRLRASLLEQFDRYNSALLSTCFEDILKNDPTCCDSLAKLVLLHQSEEYSSESLLEMIALHLDATYADYNTWREFALCFLKLSQYEEDRMSVCLNGNEKGHKDRYSVCFNKTPKMFIEGKSGESWRLRCRWWCTRHFSHNILASEIAAGDLQLLAYKAACVVHIYGPECDYFVDAYACLGKENERDLLMFLQTHVQNSVRIYSNFNQRTT >ONI11051 pep chromosome:Prunus_persica_NCBIv2:G4:4160080:4164628:-1 gene:PRUPE_4G084900 transcript:ONI11051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEDKQKSIPVLPWMRSPIDVSSFDECPLTLLPCLDPRLKVALENMEISSLFPVQLAVWQETIGPGSFERDLCINSPTGSGKTLAYALPIVQMLSTRSVKCLRALVVLPTRDLALQVKEVFSAIAPAVGLSVGLAVGQSSIADEISELINRPKLQLGVSFDPEDFLPELQSSVDILVATPGRLMDHINNTKGFTLEHLCYLVVDETDRLLREAYQSWLPTVLQITRANDESFLPCAQTFLPSPFSLKTIRRSGLERGFKGKPYPRLVKMVLSATLTQDPSKLAQLDLHHPLFLTTGQKRYQLPEKLQSYKLFCESKYKPLYLVALLQSLGEEKCIVFTSSVESTHRLCTLLNSFDNLPFKIKEYSGLQRQSVRSKTLKAFRKGDIQVLVSSDAMTRGMDVEGVRNVVNYDMPAYIKTYIHRAGRTARAGQTGCCFTLLREDEPWRN >ONI11052 pep chromosome:Prunus_persica_NCBIv2:G4:4160080:4164628:-1 gene:PRUPE_4G084900 transcript:ONI11052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEDKQKSIPVLPWMRSPIDVSSFDECPLTLLPCLDPRLKVALENMEISSLFPVQLAVWQETIGPGSFERDLCINSPTGSGKTLAYALPIVQMLSTRSVKCLRALVVLPTRDLALQVKEVFSAIAPAVGLSVGLAVGQSSIADEISELINRPKLQLGVSFDPEDFLPELQSSVDILVATPGRLMDHINNTKGFTLEHLCYLVVDETDRLLREAYQSWLPTVLQITRANDESFLPCAQTFLPSPFSLKTIRRSGLERGFKGKPYPRLVKMVLSATLTQDPSKLAQLDLHHPLFLTTGQKRYQLPEKLQSYKLFCESKYKPLYLVALLQSLGEEKCIVFTSSVESTHRLCTLLNSFDNLPFKIKEYSGLQRQSVRRH >ONI11053 pep chromosome:Prunus_persica_NCBIv2:G4:4162070:4164628:-1 gene:PRUPE_4G084900 transcript:ONI11053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEDKQKSIPVLPWMRSPIDVSSFDECPLTLLPCLDPRLKVALENMEISSLFPVQLAVWQETIGPGSFERDLCINSPTGSGKTLAYALPIVQMLSTRSVKCLRALVVLPTRDLALQVKEVFSAIAPAVGLSVGLAVGQSSIADEISELINRPKLQLGVSFDPEDFLPELQSSVDILVATPGRLMDHINNTKGFTLEHLCYLVVDETDRLLREAYQSWLPTVLQITRANDESFLPCAQTFLPSPFSLKTIRRSGLERGFKGKPYPRLVKMVLSATLTQDPSKLAQLDLHHPLFLTTGQKRYQLPEKLQSYKLFCESKYKPLYLVALLQSLGEEKCIVFTSSVESTHRLCTLLNSFDNLPFKIKEYSGLQRQSVRR >ONI11050 pep chromosome:Prunus_persica_NCBIv2:G4:4160425:4164509:-1 gene:PRUPE_4G084900 transcript:ONI11050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEDKQKSIPVLPWMRSPIDVSSFDECPLTLLPCLDPRLKVALENMEISSLFPVQLAVWQETIGPGSFERDLCINSPTGSGKTLAYALPIVQMLSTRSVKCLRALVVLPTRDLALQVKEVFSAIAPAVGLSVGLAVGQSSIADEISELINRPKLQLGVSFDPEDFLPELQSSVDILVATPGRLMDHINNTKGFTLEHLCYLVVDETDRLLREAYQSWLPTVLQITRANDESFLPCAQTFLPSPFSLKTIRRSGLERGFKGKPYPRLVKMVLSATLTQDPSKLAQLDLHHPLFLTTGQKRYQLPEKLQSYKLFCESKYKPLYLVALLQSLGEEKCIVFTSSVESTHRLCTLLNSFDNLPFKIKEYSGLQRQSVRSKTLKAFRKGDIQVLVSSDAMTRGMDVEGVRNVVNYDMPAYIKTYIHRAGRTARAGQTGCCFTLLREDEVKRFNKLLRKAENNSCSKYSLPSSYIEPLHSVYESALEKLKETVEMETSRKRKTGFKSSLSGERK >ONI10174 pep chromosome:Prunus_persica_NCBIv2:G4:1495229:1498810:1 gene:PRUPE_4G032200 transcript:ONI10174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLNWKIGLEWVLTSWKSQNDPGTGNYSLRLYSNQTATPQYFLYKGLTKYWRCDPGPWPVFVSNNEEMYYFFLTDNTSVIRSVLTDYGVNEQLRWNDAVNQWEVLFAAPKYRCDRYGQCGANSKCSPDNINMFECECLPGYEPKSSNHWNRRDGSDGCVSKRIGVSNCGDGDGFVKVARVKDPDTSKAAQLLPNTSANECEQVCLSNCFCTAYMSIEWEGRINCLAWYGQLWDILIHTELGRDLYVRVDKMELAENTRKLKGFLKSRGLLAIPMAAVALVLIIGFACWWLKKKRKMKDFVEADELEETRRHPELQFFHLSAIIVATGNFSPVNKLGQGGFGTVYKGLLANDQKIAVKRLSKTSGQGIEEFKNEVALIARLQHRNLVKLLGCCIKGEERMLVLEYLPNKSLDSFLFDHTRSSLLDWKKRFEIINGVARGILYLHQDSRLRIIHRDLKTSNVLLDAEMNPKISDFGMARIFHGDQLQDKTNRVVGTYGYMSPEYAVFGRYSTKSDVFSFGIILLEIVSGKKNNGSYQEDHSMNLIGHVNNTNFANNFPFLVTTHIHPFLKMLLSTTTGLAAVERR >ONI10175 pep chromosome:Prunus_persica_NCBIv2:G4:1496171:1498557:1 gene:PRUPE_4G032200 transcript:ONI10175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIEWEGRINCLAWYGQLWDILIHTELGRDLYVRVDKMELAENTRKLKGFLKSRGLLAIPMAAVALVLIIGFACWWLKKKRKMKDFVEADELEETRRHPELQFFHLSAIIVATGNFSPVNKLGQGGFGTVYKGLLANDQKIAVKRLSKTSGQGIEEFKNEVALIARLQHRNLVKLLGCCIKGEERMLVLEYLPNKSLDSFLFAKYLNVDHTRSSLLDWKKRFEIINGVARGILYLHQDSRLRIIHRDLKTSNVLLDAEMNPKISDFGMARIFHGDQLQDKTNRVVGTYGYMSPEYAVFGRYSTKSDVFSFGIILLEIVSGKKNNGSYQEDHSMNLIGHVWQLWREDRALEIVDSSLESYHSDEVLRCIQVGLLCVQEDSEDRPTMSAVLFMLSGEASLPSPQQPAFVFRKSSGGGGYLSSPQGLYSVNDLTITKLDAR >ONI10173 pep chromosome:Prunus_persica_NCBIv2:G4:1495196:1498370:1 gene:PRUPE_4G032200 transcript:ONI10173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNTLLVFFSLFPFCTSIDTIAVNQQVKDGDFIVSKENNFELGFFSPGNSSSRYVGIWYANKSEKAVVWVANRNNPINDTSGVLTINRYGKLVLYAHNTENLSIWSTNVSVQTTSSVAQLLDTGNLVLFQDSKSESFIWQSFDYPTDTLLSGMKVGLNWKIGLEWVLTSWKSQNDPGTGNYSLRLYSNQTATPQYFLYKGLTKYWRCDPGPWPVFVSNNEEMYYFFLTDNTSVIRSVLTDYGVNEQLRWNDAVNQWEVLFAAPKYRCDRYGQCGANSKCSPDNINMFECECLPGYEPKSSNHWNRRDGSDGCVSKRIGVSNCGDGDGFVKVARVKDPDTSKAAQLLPNTSANECEQVCLSNCFCTAYMSIEWEGRINCLAWYGQLWDILIHTELGRDLYVRVDKMELAENTRKLKGFLKSRGLLAIPMAAVALVLIIGFACWWLKKKRKMKETRRHPELQFFHLSAIIVATGNFSPVNKLGQGGFGTVYKGLLANDQKIAVKRLSKTSGQGIEEFKNEVALIARLQHRNLVKLLGCCIKGEERMLVLEYLPNKSLDSFLFDHTRSSLLDWKKRFEIINGVARGILYLHQDSRLRIIHRDLKTSNVLLDAEMNPKISDFGMARIFHGDQLQDKTNRVVGTYGYMSPEYAVFGRYSTKSDVFSFGIILLEIVSGKKNNGSYQEDHSMNLIGHVWQLWREDRALEIVDSSLESYHSDEVLRCIQVGLLCVQEDSEDRPTMSAVLFMLSGEASLPSPQQPAFVFRKSSGGGGYLSSPQGLYSVNDLTITKLDAR >ONI13159 pep chromosome:Prunus_persica_NCBIv2:G4:12879367:12881092:-1 gene:PRUPE_4G206300 transcript:ONI13159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLVKPSLNKQSFFKILLGDFSQRLRIPPKFVKNFNVRPLHKCALSGPSGIRWTVELEERENGLFFQDGWQGFVKDHHLEDGDFLVFKYDGESKFKVTIYDRTACEKNVKVAERSGCPVSLANKGKAQVKEEIVDRETRNYNKNCQNKAIVSGRRSGNYVIPGRRPANDHVGATSTEPVLFKPKYSCFMVTFTRKLRYCVTIPKEVAVTEGLVSKKSMMIQDSTGRSWPVKLRVRGKLSSCRVYMSTGLLNFCNANQIIPGDTAIFEFVKPSLAQIHIFRVGGNSVVLAEPDVKD >ONI13158 pep chromosome:Prunus_persica_NCBIv2:G4:12879160:12881204:-1 gene:PRUPE_4G206300 transcript:ONI13158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLVKPSLNKQSFFKILLGDFSQRLRIPPKFVKNFNVRPLHKCALSGPSGIRWTVELEERENGLFFQDGWQGFVKDHHLEDGDFLVFKYDGESKFKVTIYDRTACEKNVKVAERSGCPVSLANKGKAQVKEEIVDRETRNYNKNCQNKAIVSGRRSGNYVIPGRRPANDHVGATSTEPVLFKPKYSCFMTIPKEVAVTEGLVSKKSMMIQDSTGRSWPVKLRVRGKLSSCRVYMSTGLLNFCNANQIIPGDTAIFEFVKPSLAQIHIFRVGGNSVVLAEPDVKD >ONI09813 pep chromosome:Prunus_persica_NCBIv2:G4:524979:526319:1 gene:PRUPE_4G010600 transcript:ONI09813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIPNFIMVWILAVASLSYCHTIGKLTSPGLTRLLAILPVISFFFFLPLNLTSICLGGPSSFFLAWLANFKLLLFAYGKGPLSTTPPICISHFIPLACLPIKFRKVENKIKSGPSTSAPKGQKSPLNYAIKALILATSLHVFHNKQYIHPKIILFLYSIYMYTGLELVMALVASLAQAFLGVDFEPQFDEPYLATSLQDFWGRRWNIMVSRILHPTVYDPVRSISRRVIGNRWAPLPAVLASFFVSAVMHELIFYYIGRTKPTWELTCFFLLHGVCLAVEIMLKQAFAGKWRLPAAVSGPLAMMFVGVTGLWLFLPSLLVRCEADVMAYREYLAFTEFMKNVSNFVKANSVLNQ >ONI09711 pep chromosome:Prunus_persica_NCBIv2:G4:284016:286723:-1 gene:PRUPE_4G004600 transcript:ONI09711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQGFLGGSWLTPLKCGGLPTLLRFLCLEHSMKRTISKGFTRMQFFLIAMAASFAYYALPGYLFPILTFFSWVCWAWPHSITAQQIGSGYHGLGVGAFTLDWAGISAYHGSPLVTPWTSILNVGVGFVLFIYVIVPVCYWKFNTFDARKFPIFSNQLFTSSGHKYDTTKILTPQFDLNIDAYNSYGKLYLSPLFALSIGSGFARFSATLVHVALFHGSAILKQSRSAMKNVKVDIHEKLMQRYKQVPQWWFLILLGGSIALSLLMCFVWKEVVQLPWWGLLFGFVLSFIVTLPVGVIQATTNQQPGFDIIAQFLIGYILPGKPIANLLFKIYGRISTVHALSFLSDLKLGHYMKIPPRCMYTAQLVGTLVAGIVNLAVAWWMLESIDNLCDIDALHPNSPWTCPKYRVTFDASVIWGLIGPKRLFGPGGLYRNLVWLFIVGAFLPVPIWILSKIFPDKKWIPLINMPVITYGFAGMPPATPTNIASWLITGGIFNYFVFKYHKRWWQKYNYVLSAALDAGTAFMGVLLFFALQNNNYNVKWWGTELDHCPLATCPTAPGIKVEGCPVF >ONI09710 pep chromosome:Prunus_persica_NCBIv2:G4:283605:287899:-1 gene:PRUPE_4G004600 transcript:ONI09710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNHRDPEKAANGSSEDHERCAIEEVALVVPETDDPSMPVMTFRAWFLGLTTCIILIFLNTFFTFRTQPLSISAILMQIAALPIGKLMASTLPKREYSLLGWRFSLNPGPFNMKEHVIITIFANCGISYGGGDAYSIGAITIMKAYYKQSLSFLLALIIVLTSQILGYGWAGILRRFLVDPVEMWWPSNLAQVSLFRTISKGFTRMQFFLIAMAASFAYYALPGYLFPILTFFSWVCWAWPHSITAQQIGSGYHGLGVGAFTLDWAGISAYHGSPLVTPWTSILNVGVGFVLFIYVIVPVCYWKFNTFDARKFPIFSNQLFTSSGHKYDTTKILTPQFDLNIDAYNSYGKLYLSPLFALSIGSGFARFSATLVHVALFHGSAILKQSRSAMKNVKVDIHEKLMQRYKQVPQWWFLILLGGSIALSLLMCFVWKEVVQLPWWGLLFGFVLSFIVTLPVGVIQATTNQQPGFDIIAQFLIGYILPGKPIANLLFKIYGRISTVHALSFLSDLKLGHYMKIPPRCMYTAQLVGTLVAGIVNLAVAWWMLESIDNLCDIDALHPNSPWTCPKYRVTFDASVIWGLIGPKRLFGPGGLYRNLVWLFIVGAFLPVPIWILSKIFPDKKWIPLINMPVITYGFAGMPPATPTNIASWLITGGIFNYFVFKYHKRWWQKYNYVLSAALDAGTAFMGVLLFFALQNNNYNVKWWGTELDHCPLATCPTAPGIKVEGCPVF >ONI09725 pep chromosome:Prunus_persica_NCBIv2:G4:326631:328611:1 gene:PRUPE_4G005700 transcript:ONI09725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKASPISSSTFPLRMLRSSLAVLNLVSDFH >ONI11190 pep chromosome:Prunus_persica_NCBIv2:G4:4569281:4574363:1 gene:PRUPE_4G091600 transcript:ONI11190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTRDKSLHTRGFSTPPPTWKSGPPNPPMVPMSERKRVSPSDGGDLFHVMHKVPVGDSPYVRAKQVQLIEKDPSKAISLFWAAINAGDRVDSALKDMAIVMKQLNRSEEAIEAIKSFRHLCPHDSQESLNNVLVELYKRAGRIEEEIEMLQSKLKHIDEGIAFGGRRTKTARSQGKKVQITVEQERSRILGNLAWAYLQQGNYKTAEEYYMKSLSLELDKNKQCNLAICLMHMNRLAEAKSLLQVVRASSGNKPMDESYAKSFERAIQMLTELEAKSVLRPIQLDENCCKEISRFPISPINRNSKQGNSLTNEGQHYVSGCMISRRWADGHEEETVSVNKWKKDCYFKNSCEGRSSFSSRMKENQGGIVGTETTPYSKTFFSPAPDIWNREVLFTQPRRSSRGFNDGHQTREIWGRGVGSSNKKLSFESCSRTENMRAHVVRSLNEDLLASTTGKSEVAFQNSVSSISSPISRDLRRRPQKDAAVRSVLQPISSGNWKCTSRANDGCFQLKDEAVVVSSSQNTVNGDWRRTSWENDGMKKSAEPLMVGEDAKALEISTDGGPNQSSDTTAFVEDCFGENTSSKVDDVHQPIAENQKPAPDFSMYSKCKKSWADMVEEEEQELLNGRTEYFDSWYTEDGFNNENLNCNITPESPCLQSQMKSLGQKLQSTDLVDEYVSGNAASSRNSTVRRSLCFGQQQEQESVDYISSSPVPKEALNFEGSDSVQANGKGSIYGKNSSFSRRKRLQSFQDITEFQDSP >ONI12924 pep chromosome:Prunus_persica_NCBIv2:G4:11555933:11562490:1 gene:PRUPE_4G192100 transcript:ONI12924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLACGNVRRGARSLLPVQKTGYLEVASSRAYYTVEAPYTLCRLFPWYKNCLRGQSPYMLQKAKDKLLQSSGSRNLYSLSPKRRVSHHAQFAWKKLSQLCSYRGPVLPPIGQIARAVSLALTRSNLVAPGVIAFIVGEFARTQRTLAEAEGLPTKDTLFLHAQDGHVYLTLVLFSLLECIILFIRAIYLVVLFSPCIAMAPFAKSLGIQFRKIWLRVLHITLEKAGPAFIKWGQWAAARPDLFPRDLCSELAKLHTQAPAHSFNFTQKSIEKAFGRKLPEIFEKFDMEPVASGSVAQVHQAILKYKYPGQQIKPMVVAVKVRHPGVGEAIRRDFVIINCIAKISRFIPYLKSLRLDESIQQFAVYMMSQVDLSREAAHLNRFIYNFRRWKDVSFPRPLYPLVHPSVLVETYERGESVMHYVDEVEGNEPIKNALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSRCKSPSKQLFRLRPHVVFLDVGMTAELSKRDQLNLVEFFKAVALRDGRTVAECTLRLSGQQDCPNPRAFIEEVERSFKIWYSPDGDIIHAADCMHQLLEKVQRHKVRIDGNVCTVMVTTLVLEIIPYRKFFLAGLRQAKSVAYRFE >ONI12927 pep chromosome:Prunus_persica_NCBIv2:G4:11557312:11562131:1 gene:PRUPE_4G192100 transcript:ONI12927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKAKDKLLQSSGSRNLYSLSPKRRVSHHAQFAWKKLSQLCSYRGPVLPPIGQIARAVSLALTRSNLVAPGVIAFIVGEFARTQRTLAEAEGLPTKDTLFLHAQDGHVYLTLVLFSLLECIILFIRAIYLVVLFSPCIAMAPFAKSLGIQFRKIWLRVLHITLEKAGPAFIKWGQWAAARPDLFPRDLCSELAKLHTQAPAHSFNFTQKSIEKAFGRKLPEIFEKFDMEPVASGSVAQVHQAILKYKYPGQQIKPMVVAVKVRHPGVGEAIRRDFVIINCIAKISRFIPYLKSLRLDESIQQFAVYMMSQVDLSREAAHLNRFIYNFRRWKDVSFPRPLYPLVHPSVLVETYERGESVMHYVDEVEGNEPIKNALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSRCKSPSKQLFRLRPHVVFLDVGMTAELSKRDQLNLVEFFKAVALRDGRTVAECTLRLSGQQDCPNPRAFIEEVERSFKIWYSPDGDIIHAADCMHQLLEKVQRHKVRIDGNVCTVMVTTLVLEGWQRKLDPGYDVMQTLQTLLFKADWAESLSYTIEGLMAP >ONI12922 pep chromosome:Prunus_persica_NCBIv2:G4:11555933:11562490:1 gene:PRUPE_4G192100 transcript:ONI12922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLACGNVRRGARSLLPVQKTGYLEVASSRAYYTVEAPYTLCRLFPWYKNCLRGQSPYMLQKAKDKLLQSSGSRNLYSLSPKRRVSHHAQFAWKKLSQLCSYRGPVLPPIGQIARAVSLALTRSNLVAPGVIAFIVGEFARTQRTLAEAEGLPTKDTLFLHAQDGHVYLTLVLFSLLECIILFIRAIYLVVLFSPCIAMAPFAKSLGIQFRKIWLRVLHITLEKAGPAFIKWGQWAAARPDLFPRDLCSELAKLHTQAPAHSFNFTQKSIEKAFGRKLPEIFEKFDMEPVASGSVAQVHQAILKYKYPGQQIKPMVVAVKVRHPGVGEAIRRDFVIINCIAKISRFIPYLKSLRLDESIQQFAVYMMSQVDLSREAAHLNRFIYNFRRWKDVSFPRPLYPLVHPSVLVETYERGESVMHYVDEVEGNEPIKNALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSRCKSPSKQLFRLRPHVVFLDVGMTAELSKRDQLNLVEFFKAVALRDGRTVAECTLRLSGQQDCPNPRAFIEEVERSFKIWYSPDGDIIHAADCMHQLLEKVQRHKVRIDGNVCTVMVTTLVLEGWQRKLDPGYDVMQTLQTLLFKADWAESLSYTIEGLMAP >ONI12926 pep chromosome:Prunus_persica_NCBIv2:G4:11555933:11560379:1 gene:PRUPE_4G192100 transcript:ONI12926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLACGNVRRGARSLLPVQKTGYLEVASSRAYYTVEAPYTLCRLFPWYKNCLRGQSPYMLQKAKDKLLQSSGSRNLYSLSPKRRVSHHAQFAWKKLSQLCSYRGPVLPPIGQIARAVSLALTRSNLVAPGVIAFIVGEFARTQRTLAEAEGLPTKDTLFLHAQDGHVYLTLVLFSLLECIILFIRAIYLVVLFSPCIAMAPFAKSLGIQFRKIWLRVLHITLEKAGPAFIKWGQWAAARPDLFPRDLCSELAKLHTQAPAHSFNFTQKSIEKAFGRKLPEIFEKFDMEPVASGSVAQVHQAILKYKYPGQQIKPMVVAVKVRHPGVGEAIRRDFVIINCIAKISRFIPYLKSLRLDESIQQFAVYMMSQVDLSREAAHLNRFIYNFRRWKDVSFPRPLYPLVHPSVLVETYERGESVMHYVDEVEGNEPIKNALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSRCKSPSKQLFRLRPHVVFLDVGMTAELSKRDQLNLVEFFKAVALRDGRTVAECTLRLSGQQDCPNPRAFIEVLSKVQIGL >ONI12925 pep chromosome:Prunus_persica_NCBIv2:G4:11555933:11561895:1 gene:PRUPE_4G192100 transcript:ONI12925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLACGNVRRGARSLLPVQKTGYLEVASSRAYYTVEAPYTLCRLFPWYKNCLRGQSPYMLQKAKDKLLQSSGSRNLYSLSPKRRVSHHAQFAWKKLSQLCSYRGPVLPPIGQIARAVSLALTRSNLVAPGVIAFIVGEFARTQRTLAEAEGLPTKDTLFLHAQDGHVYLTLVLFSLLECIILFIRAIYLVVLFSPCIAMAPFAKSLGIQFRKIWLRVLHITLEKAGPAFIKWGQWAAARPDLFPRDLCSELAKLHTQAPAHSFNFTQKSIEKAFGRKLPEIFEKFDMEPVASGSVAQVHQAILKYKYPGQQIKPMVVAVKVRHPGVGEAIRRDFVIINCIAKISRFIPYLKSLRLDESIQQFAVYMMSQVDLSREAAHLNRFIYNFRRWKDVSFPRPLYPLVHPSVLVETYERGESVMHYVDEVEGNEPIKNALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSRCKSPSKQLFRLRPHVVFLDVGMTAELSKRDQLNLVEFFKAVALRDGRTVAECTLRLSGQQDCPNPRAFIEEVERSFKIWYSPDGDIIHAADCMHQLLEKVQRHKVRIDGNVCTVMVTTLVLE >ONI12923 pep chromosome:Prunus_persica_NCBIv2:G4:11555933:11562490:1 gene:PRUPE_4G192100 transcript:ONI12923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLACGNVRRGARSLLPVQKTGYLEVASSRAYYTVEAPYTLCRLFPWYKNCLRGQSPYMLQKAKDKLLQSSGSRNLYSLSPKRRVSHHAQFAWKKLSQLCSYRGPVLPPIGQIARAVSLALTRSNLVAPGVIAFIVGEFARTQRTLAEAEGLPTKDTLFLHAQDGHVYLTLVLFSLLECIILFIRAIYLVVLFSPCIAMAPFAKSLGIQFRKIWLRVLHITLEKAGPAFIKWGQWAAARPDLFPRDLCSELAKLHTQAPAHSFNFTQKSIEKAFGRKLPEIFEKFDMEPVASGSVAQVHQAILKYKYPGQQIKPMVVAVKVRHPGVGEAIRRDFVIINCIAKISRFIPYLKSLRLDESIQQFAVYMMSQVDLSREAAHLNRFIYNFRRWKDVSFPRPLYPLVHPSVLVETYERGESVMHYVDEVEGNEPIKNALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSRCKSPSKQLFRLRPHVVFLDVGMTAELSKRDQLNLVEFFKAVALRDGRTVAECTLRLSGQQDCPNPRAFIEGWQRKLDPGYDVMQTLQTLLFKADWAESLSYTIEGLMAP >ONI12944 pep chromosome:Prunus_persica_NCBIv2:G4:11635072:11638434:1 gene:PRUPE_4G193200 transcript:ONI12944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLITLIFFASLIQFSVLNLSTAADTISALESIMGSDTLVSSGQSFQLGLFSAGNSKTWYLGLWYKNFPNTVVWVANRENPLAGSNGALTLTKNGSLVLLDQMNNTIWSTISSQIVENPVAQLLETGNLVVRDKAETGSENYIWQSFNFPSDTLLPDMKVGWDFRTGLNRFLTSWKNASDPSLGEYTYGIDNLMLPQLVVAEGSKKLFRTGPWNGIRFTGTPDAGNERVVKPIYVYDTNELYYMYEATDSSILTRVKLSETGLSQRLVLKKGTTEWDVMYTLQNDRCDNYGECGANGICKTSNSPSCECLQGFVPKSQNEWDVLNWESGCIRQTPLDCQKGAGFLKVRNVKLPDLLEFWVNMKMSVEECEAECLRNCSCVAFSNTDIRNGGSGCLMWFGDLIDMREFVEEDSEQDIHIRLPLSELGGTGKKDKRIILILVISAVSVLPLLALLCWCILLKKRGRNVSTSAGSRSIKEDWELPLFDFDTIATATNNFSHTNKLGEGGFGQVYKANLTREEFIAVKRLSKESGQGIEEFKNEVTMIANLQHWNLVKLLGCCIQGEERMLIYEYMPNKSLDCFIFDQNRKVLLNWQNRLNIIMGIARGLLYLHQDSRLRIIHRDLKSSNILLDDELNPKISDFGIARIFGRNQTEAKTKRVIGTYGYMSPEYAIDGKFSVKSDVFSYGVLLLEIVSGRKNRGFHHPDHHHTLLGHAWLLWNENKGLELIDPCLGYSYVEFEVLRCIQVGLLCVQALPKDRPVMSSVVVMLSNEGVTLPQPKEPGFFTERSSMDDTIIDEGRSSQTGSSITISTVEAR >ONI09829 pep chromosome:Prunus_persica_NCBIv2:G4:577125:579546:-1 gene:PRUPE_4G012000 transcript:ONI09829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRLLSGFCSTPPIQNTKTQVFVKFPLSSSSASFAQSQKWVSFKACPSISQSALSGKVFQCRNSRERIEFLASDKEDLRSGIRRKKLAVFVSGGGSNFRSIQEACLRGSIHGDIVVVVTSKQDCGGADYARDKGLPVILFPKTKLEADGISPADLVATLRRFEVDFVLLAGYLKLIPAELIQAYPRSILNIHPSLLPAFGGKGNYGMKVHKAVIASGSRYTGPTIHFVDEHYDTGRILAQRVVPVLAKDTAEELAARVLREEHRIYVEVITALCEDRVIWREDGVPIIRSKENPNEYS >ONI12124 pep chromosome:Prunus_persica_NCBIv2:G4:8399753:8400976:1 gene:PRUPE_4G146300 transcript:ONI12124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEVVVLGFWPSTYGTRATIALDEKGVKYEYREEDLSNKSSLLLQMNPVLIHNGKPVCESLIIVQYVDEIWRDKAPLLPSDPYQRARVRFWADFIDKKVFDAGKKLWSTKGEEHEAAKKEFIEILTQLEGEIGDKPYFGGERFGFLDIALITFYSWFYAFETFGNFRLEAECPKLIEWAKRCTHRESVSKSLADPKKVYDFLLGRIAG >ONI09640 pep chromosome:Prunus_persica_NCBIv2:G4:131826:132365:-1 gene:PRUPE_4G001200 transcript:ONI09640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKYHIVCVCLGLFIFCATSSIQPTKLVDEVCHSTSSYSLCVESLYTDPRTPSADIYVLAYISFRLAFLNASSTQDHIAKLLKHTEAKAKAKANTGPTQQVLRRCYHDYNKAVSALSSAYNDLNSETFSDLVDWAGAASLAADDCQVVVKTTYPPLSTMNLDLKRLCEICVAVSKLFT >ONI11325 pep chromosome:Prunus_persica_NCBIv2:G4:5198517:5204786:1 gene:PRUPE_4G101700 transcript:ONI11325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGRARSQSRTNRSMSLGGMDYPDPKRKNNFVGKILLAATLTALCIIMLKQSPSFNTPSMFSLHESGVTHVLVTGGAGYIGSHAAFRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIYADLGDAKSVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMVLRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTSDGTCIRDYIDVTDLVDAHVKALQHAQPRKVGIYNVGTGKGSSVKEFVEACKKATGVDIKVDFLPRRPGDYAEVYSDPSKILRELNWTAQHTNLQESLQVAWRWQKSHRDGYGTPLVMYS >ONI11327 pep chromosome:Prunus_persica_NCBIv2:G4:5198532:5204786:1 gene:PRUPE_4G101700 transcript:ONI11327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGRARSQSRTNRSMSLGGMDYPDPKRKNNFVGKILLAATLTALCIIMLKQSPSFNTPSMFSLHESGVTHVLVTGGAGYIGSHAAFRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIYADLGDAKSVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMVLRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTSDGTCIRDYIDVTDLVDAHVKALQHAQPRKVGIYNVGTGKGSSVKEFVEACKKATGVDIKVDFLPRRPGDYAEVYSDPSKILRELNWTAQHTNLQESLQVAWRWQKSHRDGYGTPLVMYS >ONI11323 pep chromosome:Prunus_persica_NCBIv2:G4:5198166:5204827:1 gene:PRUPE_4G101700 transcript:ONI11323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLRNLRLLELQASPFLSLSLYFQTPRPPTTTTTTIPQLVTLFSFSFAHCMDYPDPKRKNNFVGKILLAATLTALCIIMLKQSPSFNTPSMFSLHESGVTHVLVTGGAGYIGSHAAFRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIYADLGDAKSVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMVLRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTSDGTCIRDYIDVTDLVDAHVKALQHAQPRKVGIYNVGTGKGSSVKEFVEACKKATGVDIKVDFLPRRPGDYAEVYSDPSKILRELNWTAQHTNLQESLQVAWRWQKSHRDGYGTPLVMYS >ONI11329 pep chromosome:Prunus_persica_NCBIv2:G4:5198532:5204808:1 gene:PRUPE_4G101700 transcript:ONI11329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGRARSQSRTNRSMSLGGMDYPDPKRKNNFVGKILLAATLTALCIIMLKQSPSFNTPSMFSLHESGVTHVLVTGGAGYIGSHAAFRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIYADLGDAKSVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMVLRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTSDGTCIRDYIDVTDLVDAHVKALQHAQPRKVGIYNVGTGKGSSVKEFVEACKKATGVDIKVDFLPRRPGDYAEVYSDPSKILRELNWTAQHTNLQESLQVAWRWQKSHRDGYGTPLVMYS >ONI11330 pep chromosome:Prunus_persica_NCBIv2:G4:5199416:5204444:1 gene:PRUPE_4G101700 transcript:ONI11330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGRARSQSRTNRSMSLGGMDYPDPKRKNNFVGKILLAATLTALCIIMLKQSPSFNTPSMFSLHESGVTHVLVTGGAGYIGSHAAFRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIYADLGDAKSVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMVLRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTSDGTCIRDYIDVTDLVDAHVKALQHAQPRKVGIYNVGTGKGSSVKEFVEACKKATGVDIKVDFLPRRPGDYAEVYSDPSKILRELNWTAQHTNLQESLQVAWRWQKSHRDGYGTPLVMYS >ONI11328 pep chromosome:Prunus_persica_NCBIv2:G4:5198527:5204786:1 gene:PRUPE_4G101700 transcript:ONI11328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGRARSQSRTNRSMSLGGMDYPDPKRKNNFVGKILLAATLTALCIIMLKQSPSFNTPSMFSLHESGVTHVLVTGGAGYIGSHAAFRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIYADLGDAKSVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMVLRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTSDGTCIRDYIDVTDLVDAHVKALQHAQPRKVGIYNVGTGKGSSVKEFVEACKKATGVDIKVDFLPRRPGDYAEVYSDPSKILRELNWTAQHTNLQESLQVAWRWQKSHRDGYGTPLVMYS >ONI11324 pep chromosome:Prunus_persica_NCBIv2:G4:5198517:5204786:1 gene:PRUPE_4G101700 transcript:ONI11324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGRARSQSRTNRSMSLGGMDYPDPKRKNNFVGKILLAATLTALCIIMLKQSPSFNTPSMFSLHESGVTHVLVTGGAGYIGSHAAFRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIYADLGDAKSVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMVLRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTSDGTCIRDYIDVTDLVDAHVKALQHAQPRKVGIYNVGTGKGSSVKEFVEACKKATGVDIKVDFLPRRPGDYAEVYSDPSKILRELNWTAQHTNLQESLQVAWRWQKSHRDGYGTPLVMYS >ONI11331 pep chromosome:Prunus_persica_NCBIv2:G4:5198532:5204786:1 gene:PRUPE_4G101700 transcript:ONI11331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGRARSQSRTNRSMSLGGMDYPDPKRKNNFVGKILLAATLTALCIIMLKQSPSFNTPSMFSLHESGVTHVLVTGGAGYIGSHAAFRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIYADLGDAKSVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMVLRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTSDGTCIRDYIDVTDLVDAHVKALQHAQPRKVGIYNVGTGKGSSVKEFVEACKKATGVDIKVDFLPRRPGDYAEVYSDPSKILRELNWTAQHTNLQESLQVAWRWQKSHRDGYGTPLVMYS >ONI11326 pep chromosome:Prunus_persica_NCBIv2:G4:5198484:5204787:1 gene:PRUPE_4G101700 transcript:ONI11326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGRARSQSRTNRSMSLGGMDYPDPKRKNNFVGKILLAATLTALCIIMLKQSPSFNTPSMFSLHESGVTHVLVTGGAGYIGSHAAFRLLKDSYRVTIVDNLSRGNLGAIKVLQELFPEPGRLQFIYADLGDAKSVNKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLESMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKNSDMAVMVLRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTSDGTCIRDYIDVTDLVDAHVKALQHAQPRKVGIYNVGTGKGSSVKEFVEACKKATGVDIKVDFLPRRPGDYAEVYSDPSKILRELNWTAQHTNLQESLQVAWRWQKSHRDGYGTPLVMYS >ONI11408 pep chromosome:Prunus_persica_NCBIv2:G4:5441167:5441676:1 gene:PRUPE_4G105300 transcript:ONI11408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMKLFSYLLLSTLFWPSLSKPHRLPPTTPNNTIQQYLIPHNTQRSKLGLPPLKWSQKLEKYASWWAHQRQGDCSLIHSNSKFGENLFWGSGQDWKASDAVAVWAQERSYYNYKTNSCAHNKDCLHYTQMVWRQSLKVGCSKVKCECGDTILACVYDPPGNFIGQKPY >ONI13264 pep chromosome:Prunus_persica_NCBIv2:G4:13258229:13262811:1 gene:PRUPE_4G212500 transcript:ONI13264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPSKPRHPSPTFPPPDSDLHPPRPSSARRKTRAPGSARLKRAGLPAGKRSRPETPLLKWKIDEGHEDHRGDRRKDQNALEEGREDGGKRKSRKGREVAMSARKLAAGLWRLQLPENVSGVPGRSGQLGFQPDVGHISVPFLRNRNSKAYASEANDFLQSPSSTSRNGFLSKLSNSAMEGQTKWDPVCLKTSDEVRQIYSQMKLLDQQASAASVVSVLEAELEQARARIQELEMDRRSSKKKLEHFLRNVSEERVSWRSREHEKVRAFIDDIKAELNRERKNRQRTEILNSKLVNELADAKLSAKRYIQDYEKERKARELIEEVCDELAKEIGEDKAEVEALKRESMKLREEVEEERKMLQMAEVWREERVQMKLVDAKVAVEEKYSLMNKLVVSLENFLRSRSATPDVKEMREAEFLRQAAATVNIQDVKDVSYEPPNPDDIFSVFEEVNFGEPNEREIEQCVAYSPASHASKIRTVSPEVNGINKDRIQRHPIAYVGHNGDIEEDESGWETVSHLEDQGSSYSPDGSAPSVNKNRRESNVSESGTEWEDNEGEETPITEISEVCSVPTKQIKKVSSIARLWRSGQNNGDNYKIISLEGINGRLSNGRISTGGIVSPDRGSGKGGLSPSDLVGQWSSPESGNHVRGMKGCIPLGAQKHSLKAKLLEARLDSQKVQLRHVLKQKI >ONI13412 pep chromosome:Prunus_persica_NCBIv2:G4:13821097:13823211:1 gene:PRUPE_4G220100 transcript:ONI13412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLAQINQIRNQNAFKLEMAHCYQRNKRRTEPLHCYLLTYQRNKRRRVNHVKASKLPFDVITEILSCLPVDSLLRFRCVCKQWFSLIQDLQFIAKHMDRARPLQYSCALIKHETKILEAVIDENFKFLKVCSGLFLEKSLNSQVCRIRNRATHQVLYLPNAHESAEIMDFVFNPSTHECKVVCVGEAGFEVITVGKDEQWRPLKRPNQDVPQQHSKKAINLKYLKATNKAEGIGHLVIFIVDGNNSCLEIQSLDIWNECFTTTTVPRGFLVHLPKVLISYWKQCVAFAEIAEGKLNILVLEDVKEHKWSRKQITVPSEFLKDQNLPNRKASKFILCDMERQISKVMYLENGKKYLTSRQYKPSLIALKGMQSERAGVEMEL >ONI11145 pep chromosome:Prunus_persica_NCBIv2:G4:4457938:4467100:-1 gene:PRUPE_4G089700 transcript:ONI11145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMAGLSTASPVETILDKDNFTLEELLDEDEIIQECKSLNGRLINFLRERAQVEQLIRYIVEEAPEDAEKRRTFKFPFIACEIFTCEVDIILKTLVEDEELMNLLFSFLEPNNSHSTLLAGYFSKVVVCLLLRKAVPFLTYIQGHQEIVKKLVDLIGITSIMEVLIRLVGADEHMYTNYTEAMHWIQDTDVLEMIVDKFSSSDSPEVHANAAETLCAITRFAPPGLSSKISSPSFIGRLFRHALEESRPKSALVNSLSVCISLLDPRRLTLGTYHMYGRQMTHGSTVTANPETVVGMLESLGDLLKLLDVSSVDNTLLTTYGKLQPPLGKHRLKVVEFISVLLTVGSEAAEKELIRLGAVQRILDLFFKYPYNNFLHHHIENIIVSCLESKNAPLVEHLLRDCNLVGKILEAEKNFTLAADSDKPTVPAEGRPQPRIGSIGHLTRISNKLIQLGNSNSEIQAQLQENSEWTDWQTNVLSKRNALENVFQWACGRPTALHDRNRDSDDDDYQDRDYDVAALANNLSQAFRYGIYSNDELDEGHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVATDRSTGSLASPSPNTAESNAINGGGDDDVIVGEDDDLVDTATSSPEPGTNAEDSEVSMPNNSPELGSTETDKPPEWVEWRETSDSGEPSDALPNGELQTESPDAAKCSPPSSEPLISNDEIVTGPKAESSVEETSKPSEPSELGNVNASSGSTTSDPAAVDAGMKSTQEGTAGDKDDKEGN >ONI13561 pep chromosome:Prunus_persica_NCBIv2:G4:14750292:14751885:1 gene:PRUPE_4G230300 transcript:ONI13561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPKRENKTSQEKIHKFFSARKHWLVDAAVRSRSSDRTAVVTLPGGILLERIGSELPVPFLLMNYDSFYSKLLGFLDDCKDCGILSNGEITSLWKVCDGNSEALVYLAGLEKDSSMHAKHEGYAINPCLVFVIFMKKTKT >ONI11482 pep chromosome:Prunus_persica_NCBIv2:G4:5659722:5663103:-1 gene:PRUPE_4G108300 transcript:ONI11482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQASFGDEVSVNLLREEDEEEFRSCCEDDEVWKETEEPVKVDPKDDLDEFSVKMFFKGMSIAGYGDSGFGLSGIGVVMERSTNVPALQVQKRLDFYVEEPVANYLALMDGLMEAVQNKVRRVYAFTDSELLYDQVSHEQKLEIPLLVALRQRILEHASHLDAFVLKLVPTVDLELPSKLAQVAIGVVSFPAKGVESLENCSICCDDKPSLMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQPRCKYYVSTAECKSFLPLTSYESLEKALAEANILHSDRIYCPYPNCSVLLDPLECLSARASSSSQSDNSCIDCPVCQRFICVDCGVPWHSSMSCEEFQNLPLEERDAADITLHRLAQNKSWRRCQQCRRMIELTQGCYHMTCWCRHEFCYSCGAEYRNGQQTCQCAFWDEDNNNTEDLVTQSMQESEQWAWETFNSLPMIMDAYSDQERSQLALIQRFLAGGFSLSDHPPYQSPPRCTDSYVDAMKDLHQLPWLERFVSVISDNYYEEYIQ >ONI11484 pep chromosome:Prunus_persica_NCBIv2:G4:5659722:5663602:-1 gene:PRUPE_4G108300 transcript:ONI11484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQASFGDEVSVNLLREEDEEEFRSCCEDDEVWKETEEPVKVDPKDDLDEFSVKMFFKGMSIAGYGDSGFGLSGIGVVMERSTNVPALQVQKRLDFYVEEPVANYLALMDGLMEAVQNKVRRVYAFTDSELLYDQVSHEQKLEIPLLVALRQRILEHASHLDAFVLKLVPTVDLELPSKLAQVAIGVVSFPAKGVESLENCSICCDDKPSLMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQPRCKYYVSTAECKSFLPLTSYESLEKALAEANILHSDRIYCPYPNCSVLLDPLECLSARASSSSQSDNSCIDCPVCQRFICVDCGVPWHSSMSCEEFQNLPLEERDAADITLHRLAQNKSWRRCQQCRRMIELTQGCYHMTCWCRHEFCYSCGAEYRNGQQTCQCAFWDEDNNNTEDLVTQSMQESEQWAWETFNSLPMIMDAYSDQERSQLALIQRFLAGGFSLSDHPPYQSPPRCTDSYVDAMKDLHQLPWLERFVSVISDNYYEEYIQ >ONI11483 pep chromosome:Prunus_persica_NCBIv2:G4:5659722:5663621:-1 gene:PRUPE_4G108300 transcript:ONI11483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQASFGDEVSVNLLREEDEEEFRSCCEDDEVWKETEEPVKVDPKDDLDEFSVKMFFKGMSIAGYGDSGFGLSGIGVVMERSTNVPALQVQKRLDFYVEEPVANYLALMDGLMEAVQNKVRRVYAFTDSELLYDQVSHEQKLEIPLLVALRQRILEHASHLDAFVLKLVPTVDLELPSKLAQVAIGVVSFPAKGVESLENCSICCDDKPSLMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQPRCKYYVSTAECKSFLPLTSYESLEKALAEANILHSDRIYCPYPNCSVLLDPLECLSARASSSSQSDNSCIDCPVCQRFICVDCGVPWHSSMSCEEFQNLPLEERDAADITLHRLAQNKSWRRCQQCRRMIELTQGCYHMTCWCRHEFCYSCGAEYRNGQQTCQCAFWDEDNNNTEDLVTQSMQESEQWAWETFNSLPMIMDAYSDQERSQLALIQRFLAGGFSLSDHPPYQSPPRCTDSYVDAMKDLHQLPWLERFVSVISDNYYEEYIQ >ONI11485 pep chromosome:Prunus_persica_NCBIv2:G4:5660170:5662628:-1 gene:PRUPE_4G108300 transcript:ONI11485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQASFGDEVSVNLLREEDEEEFRSCCEDDEVWKETEEPVKVDPKDDLDEFSVKMFFKGMSIAGYGDSGFGLSGIGVVMERSTNVPALQVQKRLDFYVEEPVANYLALMDGLMEAVQNKVRRVYAFTDSELLYDQVSHEQKLEIPLLVALRQRILEHASHLDAFVLKLVPTVDLELPSKLAQVAIGVVSFPAKGVESLENCSICCDDKPSLMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQPRCKYYVSTAECKSFLPLTSYESLEKALAEANILHSDRIYCPYPNCSVLLDPLECLSARASSSSQSDNSCIDCPVCQRFICVDCGVPWHSSMSCEEFQNLPLEERDAADITLHRLAQNKSWRRCQQCRRMIELTQGCYHMTCWCRHEFCYSCGAEYRNGQQTCQCAFWDEDNNNTEDLVTQSMQESEQWAWETFNSLPMIMDAYSDQERSQLALIQRFLAGGFSLSDHPPYQSPPRCTDSYVDAMKDLHQLPWLERFVSVISDNYYEEYIQ >ONI11164 pep chromosome:Prunus_persica_NCBIv2:G4:4521651:4525625:-1 gene:PRUPE_4G090700 transcript:ONI11164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEVMSNGVQSCNGGLMQFSNDVKENDNRDVDLLEDFDSYWQDINDRLTISRMVSDSVIKGMVNAVTQEAAEKIADKELQVTKLKEMLRVYHVGVDENELLGSLAVHQESNRFVKEGNKDKIHPSFLEAVLEHDRIEESLSSLRGATKEQFKKLKREIDSIRGRSSVKRIGSSSQLSGLSDILQDKVSDRWIDVDRTLNCLKSTIETSYQQVEQMVRLSKASVCEWQQEQEFKAEIEALVMTNCIWSLEENFLDRFYGDKNVNGHGRMKEISSLRQELDTISKSLSVSDIGQLSSHGSLEVDEESSNFKKGDHPHRKLLNNLNSSSPSPSPSSSSLSTSTSSSSYLWEENGKHDENGKDDESEINMQESLDPTRVMHMSRDELINYYNNEMTKLKRNHESKVQDMIEHRFSRMRELLKERGSSLSSKKNKEFDMLRRRISEVIFKLDDILVENEQIATFGINEESLSGLKDRLESLLSENHQLRDLLTDKKREVKFLSQQVSEAAEKMSEHSLAEAKLLKTTANLKAAIEDAHIEALIREDAFSFILRGIMDQIKCMAEESQVEYNLLQEIYKSTFKEAAHNGEPTSQCEIEDLNVESIITQELYVVVFRETVNDAEQKLNNLNMKYTNENQLRVLLEMENLDKRKKLEVEVANKEKLKQEVIFLAEEKEQLAQDAAAALEKEKERYELAAQELENLRGETFQQQKLISESIEESNAARRNLVLALEQIEIHKAEICKLDQKLELAMKELGKLYEERRMLLDVNQEKHNAVSLFEAKERELKEQLKSIAVYSHGLLKAVTDFECRVTQDISGKCSRLKRLSSQSHSLKEKANVLVRRGSLYKQRFERKCSDLEKAEAEVCKSDRLIFWGMRWRHY >ONI11161 pep chromosome:Prunus_persica_NCBIv2:G4:4520084:4526597:-1 gene:PRUPE_4G090700 transcript:ONI11161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEVMSNGVQSCNGGLMQFSNDVKENDNRDVDLLEDFDSYWQDINDRLTISRMVSDSVIKGMVNAVTQEAAEKIADKELQVTKLKEMLRVYHVGVDENELLGSLAVHQESNRFVKEGNKDKIHPSFLEAVLEHDRIEESLSSLRGATKEQFKKLKREIDSIRGRSSVKRIGSSSQLSGLSDILQDKVSDRWIDVDRTLNCLKSTIETSYQQVEQMVRLSKASVCEWQQEQEFKAEIEALVMTNCIWSLEENFLDRFYGDKNVNGHGRMKEISSLRQELDTISKSLSVSDIGQLSSHGSLEVDEESSNFKKGDHPHRKLLNNLNSSSPSPSPSSSSLSTSTSSSSYLWEENGKHDENGKDDESEINMQESLDPTRVMHMSRDELINYYNNEMTKLKRNHESKVQDMIEHRFSRMRELLKERGSSLSSKKNKEFDMLRRRISEVIFKLDDILVENEQIATFGINEESLSGLKDRLESLLSENHQLRDLLTDKKREVKFLSQQVSEAAEKMSEHSLAEAKLLKTTANLKAAIEDAHIEALIREDAFSFILRGIMDQIKCMAEESQVEYNLLQEIYKSTFKEAAHNGEPTSQCEIEDLNVESIITQELYVVVFRETVNDAEQKLNNLNMKYTNENQLRVLLEMENLDKRKKLEVEVANKEKLKQEVIFLAEEKEQLAQDAAAALEKEKERYELAAQELENLRGETFQQQKLISESIEESNAARRNLVLALEQIEIHKAEICKLDQKLELAMKELGKLYEERRMLLDVNQEKHNAVSLFEAKERELKEQLKSIAVYSHGLLKAVTDFECRVTQDISGKCSRLKRLSSQSHSLKEKANVLVRRGSLYKQRFERKCSDLEKAEAEVDLLGDEVETLLSLVEKIYIALDHYSPILQHYPGITEVLKLVRRELRGETKTV >ONI11160 pep chromosome:Prunus_persica_NCBIv2:G4:4520084:4526597:-1 gene:PRUPE_4G090700 transcript:ONI11160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEVMSNGVQSCNGGLMQFSNDVKENDNRDVDLLEDFDSYWQDINDRLTISRMVSDSVIKGMVNAVTQEAAEKIADKELQVTKLKEMLRVYHVGVDENELLGSLAVHQESNRFVKEGNKDKIHPSFLEAVLEHDRIEESLSSLRGATKEQFKKLKREIDSIRGRSSVKRIGSSSQLSGLSDILQDKVSDRWIDVDRTLNCLKSTIETSYQQVEQMVRLSKASVCEWQQEQEFKAEIEALVMTNCIWSLEENFLDRFYGDKNVNGHGRMKEISSLRQELDTISKSLSVSDIGQLSSHGSLEVDEESSNFKKGDHPHRKLLNNLNSSSPSPSPSSSSLSTSTSSSSYLWEENGKHDENGKDDESEINMQESLDPTRVMHMSRDELINYYNNEMTKLKRNHESKVQDMIEHRFSRMRELLKERGSSLSSKKNKEFDMLRRRISEVIFKLDDILVENEQIATFGINEESLSGLKDRLESLLSENHQLRDLLTDKKREVKFLSQQVSEAAEKMSEHSLAEAKLLKTTANLKAAIEDAHIEALIREDAFSFILRGIMDQIKCMAEESQVEYNLLQEIYKSTFKEAAHNGEPTSQCEIEDLNVESIITQELYVVVFRETVNDAEQKLNNLNMKYTNENQLRVLLEMENLDKRKKLEVEVANKEKLKQEVIFLAEEKEQLAQDAAAALEKEKERYELAAQELENLRGETFQQQKLISESIEESNAARRNLVLALEQIEIHKAEICKLDQKLELAMKELGKLYEERRMLLDVNQEKHNAVSLFEAKERELKEQLKSIAVYSHGLLKAVTDFECRVTQDISGKCSRLKRLSSQSHSLKEKANVLVRRGSLYKQRFERKCSDLEKAEAEVDLLGDEVETLLSLVEKIYIALDHYSPILQHYPGITEVLKLVRRELRGETKTV >ONI11163 pep chromosome:Prunus_persica_NCBIv2:G4:4519808:4526597:-1 gene:PRUPE_4G090700 transcript:ONI11163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEVMSNGVQSCNGGLMQFSNDVKENDNRDVDLLEDFDSYWQDINDRLTISRMVSDSVIKGMVNAVTQEAAEKIADKELQVTKLKEMLRVYHVGVDENELLGSLAVHQESNRFVKEGNKDKIHPSFLEAVLEHDRIEESLSSLRGATKEQFKKLKREIDSIRGRSSVKRIGSSSQLSGLSDILQDKVSDRWIDVDRTLNCLKSTIETSYQQVEQMVRLSKASVCEWQQEQEFKAEIEALVMTNCIWSLEENFLDRFYGDKNVNGHGRMKEISSLRQELDTISKSLSVSDIGQLSSHGSLEVDEESSNFKKGDHPHRKLLNNLNSSSPSPSPSSSSLSTSTSSSSYLWEENGKHDENGKDDESEINMQESLDPTRVMHMSRDELINYYNNEMTKLKRNHESKVQDMIEHRFSRMRELLKERGSSLSSKKNKEFDMLRRRISEVIFKLDDILVENEQIATFGINEESLSGLKDRLESLLSENHQLRDLLTDKKREVKFLSQQVSEAAEKMSEHSLAEAKLLKTTANLKAAIEDAHIEALIREDAFSFILRGIMDQIKCMAEESQVEYNLLQEIYKSTFKEAAHNGEPTSQCEIEDLNVESIITQELYVVVFRETVNDAEQKLNNLNMKYTNENQLRVLLEMENLDKRKKLEVEVANKEKLKQEVIFLAEEKEQLAQDAAAALEKEKERYELAAQELENLRGETFQQQKLISESIEESNAARRNLVLALEQIEIHKAEICKLDQKLELAMKELGKLYEERRMLLDVNQEKHNAVSLFEAKERELKEQLKSIAVYSHGLLKAVTDFECRVTQDISGKCSRLKRLSSQSHSLKEKANVLVRRGSLYKQRFERKCSDLEKAEAEVDLLGDEVETLLSLVEKIYIALDHYSPILQHYPGITEVLKLVRRELRGETKTV >ONI11162 pep chromosome:Prunus_persica_NCBIv2:G4:4520791:4525625:-1 gene:PRUPE_4G090700 transcript:ONI11162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEVMSNGVQSCNGGLMQFSNDVKENDNRDVDLLEDFDSYWQDINDRLTISRMVSDSVIKGMVNAVTQEAAEKIADKELQVTKLKEMLRVYHVGVDENELLGSLAVHQESNRFVKEGNKDKIHPSFLEAVLEHDRIEESLSSLRGATKEQFKKLKREIDSIRGRSSVKRIGSSSQLSGLSDILQDKVSDRWIDVDRTLNCLKSTIETSYQQVEQMVRLSKASVCEWQQEQEFKAEIEALVMTNCIWSLEENFLDRFYGDKNVNGHGRMKEISSLRQELDTISKSLSVSDIGQLSSHGSLEVDEESSNFKKGDHPHRKLLNNLNSSSPSPSPSSSSLSTSTSSSSYLWEENGKHDENGKDDESEINMQESLDPTRVMHMSRDELINYYNNEMTKLKRNHESKVQDMIEHRFSRMRELLKERGSSLSSKKNKEFDMLRRRISEVIFKLDDILVENEQIATFGINEESLSGLKDRLESLLSENHQLRDLLTDKKREVKFLSQQVSEAAEKMSEHSLAEAKLLKTTANLKAAIEDAHIEALIREDAFSFILRGIMDQIKCMAEESQVEYNLLQEIYKSTFKEAAHNGEPTSQCEIEDLNVESIITQELYVVVFRETVNDAEQKLNNLNMKYTNENQLRVLLEMENLDKRKKLEVEVANKEKLKQEVIFLAEEKEQLAQDAAAALEKEKERYELAAQELENLRGETFQQQKLISESIEESNAARRNLVLALEQIEIHKAEICKLDQKLELAMKELGKLYEERRMLLDVNQEKHNAVSLFEAKERELKEQLKSIAVYSHGLLKAVTDFECRVTQDISGKCSRLKRLSSQSHSLKEKANVLVRRGSLYKQRFERKCSDLEKAEAEVDLLGDEVETLLSLVEKIYIALDHYSPILQHYPGITEVLKLVRRELRGETKTV >ONI11165 pep chromosome:Prunus_persica_NCBIv2:G4:4521651:4525625:-1 gene:PRUPE_4G090700 transcript:ONI11165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEVMSNGVQSCNGGLMQFSNDVKENDNRDVDLLEDFDSYWQDINDRLTISRMVSDSVIKGMVNAVTQEAAEKIADKELQVTKLKEMLRVYHVGVDENELLGSLAVHQESNRFVKEGNKDKIHPSFLEAVLEHDRIEESLSSLRGATKEQFKKLKREIDSIRGRSSVKRIGSSSQLSGLSDILQDKVSDRWIDVDRTLNCLKSTIETSYQQVEQMVRLSKASVCEWQQEQEFKAEIEALVMTNCIWSLEENFLDRFYGDKNVNGHGRMKEISSLRQELDTISKSLSVSDIGQLSSHGSLEVDEESSNFKKGDHPHRKLLNNLNSSSPSPSPSSSSLSTSTSSSSYLWEENGKHDENGKDDESEINMQESLDPTRVMHMSRDELINYYNNEMTKLKRNHESKVQDMIEHRFSRMRELLKERGSSLSSKKNKEFDMLRRRISEVIFKLDDILVENEQIATFGINEESLSGLKDRLESLLSENHQLRDLLTDKKREVKFLSQQVSEAAEKMSEHSLAEAKLLKTTANLKAAIEDAHIEALIREDAFSFILRGIMDQIKCMAEESQVEYNLLQEIYKSTFKEAAHNGEPTSQCEIEDLNVESIITQELYVVVFRETVNDAEQKLNNLNMKYTNENQLRVLLEMENLDKRKKLEVEVANKEKLKQEVIFLAEEKEQLAQDAAAALEKEKERYELAAQELENLRGETFQQQKLISESIEESNAARRNLVLALEQIEIHKAEICKLDQKLELAMKELGKLYEERRMLLDVNQEKHNAVSLFEAKERELKEQLKSIAVYSHGLLKAVTDFECRVTQDISGKCSRLKRLSSQSHSLKEKANVLVRRGSLYKQRFERKCSDLEKAEAEVCKSDRLIFWGMRWRHY >ONI10584 pep chromosome:Prunus_persica_NCBIv2:G4:2655776:2659034:-1 gene:PRUPE_4G055300 transcript:ONI10584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRHWPSMFKSKPANCSTHHQWQHDINPSLVSNGCHRPSYSSVAGCEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRHLHNSSKQQTHHHTHLPLTTPVSTSTLNIINAAAPSSSSSSSEKSSPKSAPNNKAFSMGFSSNVAAHDVSINSPTASVNQQSSFFQPHHQHHSELLPEPFFFPMHPNPNCNFTQGFCFSELSNVVDVPNHGTHEQTNTNTGPCTSLLLSEIMSHGAAMSRKEYHQEDEMKDMNMKNIDPHHLNYSLNVTTTSPTSHTNMVASHTNGPTTSVAVPSPMIHNIQGGVGEAGAMDAGGAEKSTVFINDVAFEVGAGPFNVREAFGDDAVLIHSSGHPVLTNEWGLTLHSLHHGAFYYLI >ONI12564 pep chromosome:Prunus_persica_NCBIv2:G4:10186976:10189910:-1 gene:PRUPE_4G172000 transcript:ONI12564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKIPSMAQNWASFPHQRLPTTANFIPTTTTSAAVQPPSQPQCNWIDEFLDFSSARRGVHRRSASDSIAFMESPLTLEEECRNPSTAMMHHGSTNNLCFDRLDDEQLMSMFSDDMSVTVPPTVSSSNPSTPSDQNSNNDENNNNNNSNKLLMAHQEQQHQQHPKSEPGEDESSCEADPHAQSHPPPPTSPADPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQSEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKLFKDAHQEALKNEIERLRQVYHHQSLKKMGNQNAQQQQHQQQQQPNPDIMVCTDHNEQQILN >ONI12565 pep chromosome:Prunus_persica_NCBIv2:G4:10187544:10189415:-1 gene:PRUPE_4G172000 transcript:ONI12565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKIPSMAQNWASFPHQRLPTTANFIPTTTTSAAVQPPSQPQCNWIDEFLDFSSARRGVHRRSASDSIAFMESPLTLEEECRNPSTAMMHHGSTNNLCFDRLDDEQLMSMFSDDMSVTVPPTVSSSNPSTPSDQNSNNDENNNNNNSNKLLMAHQEQQHQQHPKSEPGEDESSCEADPHAQSHPPPPTSPADPKRVKSRILANRQSAQRSRVRKLQYISELERSVTTLQSEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKLFKDAHQEALKNEIERLRQVYHHQSLKKMGNQNAQQQQHQQQQQPNPDIMVCTDHNEQQILN >ONI11855 pep chromosome:Prunus_persica_NCBIv2:G4:7186064:7189888:-1 gene:PRUPE_4G130200 transcript:ONI11855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLELVLENNNFSGSIPKNMGNLTQLMEIYIAYNNLTAISLASNQLSGSLPADIGLRLPNLELLYIGMNELSGAIPNFISNNGSKLTKLEMGEANFLSCLANLTNLQRLTLSNNPLNDTLPVSFGNLSTSLIYLELRNCSMRGKIPDDIGNLSSLIALALGNNQLTGLIPTSVGRLRNLQGLYLDAALRYLIIQSNLLTSTIPSTLWRLEYILFLGLSYNFLNGSISEDIGKLKAVTTLDLSNNQLSGSIPSSIGGLKNVVQLSLANNNLQGPIPSSFGELVSLGDLVLSKNNLSGLIPKSLQVLVHLKYLNLSFNRLQGEIPTGGPFQNLFSESFVSNSALCGAARLHVPPCKNNTHKPNSRKASPSNLKYPIPGIISAILLVASVSMFILRRKRKIEGAFKSFDSECDVLSNIRHRNLNTIISCCSQPDFKALVLQYMPNGSLEKWLYSQNQNSPLNILQRLNIMTNVASALEYLHHEFGMEGIISTRGDVYSFDIVLMETFTRRKPTDEMFVGEMNLKQRIANSLLPDAAIVEVVDADLLGTEEDGDFVSNGALCGEARLHVPPCQNSTLQPNSRKTRTPNLKYIIPGIISAILPVASVSMFMLRRKRKVEVATETVSLPRLLWRRVSHLELLRAMNGFDESNLLGIGGFGSVYKDTISDGIDVAVKVFNLQLEGAFKSFDSECEVPSNIRH >ONI11522 pep chromosome:Prunus_persica_NCBIv2:G4:5884714:5885264:1 gene:PRUPE_4G110900 transcript:ONI11522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDHHHHHQAPEVYPPPPPSGAVHGNSHGGPYVVAPPVAYPMKNDGPECPQQPPPCPEPAESHHRKGAGFCTGCCFCDLCCMQWQTY >ONI10259 pep chromosome:Prunus_persica_NCBIv2:G4:1769235:1771149:-1 gene:PRUPE_4G037500 transcript:ONI10259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAVVNETDMLQTMQQDALDLAAKALDIFDITEPTEIAQFIKKEFDESYGTGWQCIVGTDFGSFVTHSQGCFIHFLIGSLAFLVFRGTANLAAEANRIPPLEAVKA >ONI14505 pep chromosome:Prunus_persica_NCBIv2:G4:24502862:24504565:-1 gene:PRUPE_4G283800 transcript:ONI14505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYNFQIYEWPMSLLRKIEPWCRNFLWSSSFDKRGVPLVSWRRCICEHIMDIFSFHDPGAGDLLVWAPSSSGGFSAKDAYEFTRPKFAKVPWCKLIWKPFIEPWKSFLAWKVMHGRLLTEDFLQKRAWMAPENINHLFSECPFTCSIWSSMFIVFGLHFTSGPLAVILSSGLSAHFSPQLMDLWLLMFRTIVWLIWDLRNKLRFEEKVSTVSSNCRTIINHVPASSPLARGHILNKVHDLCIIRSIGVHYRPRPNSKIVEVTWHPPCFGFVKIKIDGACKRDSGKAGSGGVFRNYQGHVLGAFSANLDVPSGVHAEVLAVIKAIELAWLHAWHNIWIETDSLLVTKFFRSPHLVPWRLRVDWQNCLLRLQHMSFKISHIFREGNHDVDALANHGALGSGLTWWDTAPSFIFALLSAGLVGRA >ONI12662 pep chromosome:Prunus_persica_NCBIv2:G4:10538490:10541337:1 gene:PRUPE_4G177800 transcript:ONI12662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRHRKVMMKTDSLIVKSGISGNYGNRAWFILSILLEIRRMEALLILSNGVGSLETKIVRLIWRLRLELERCIG >ONI10985 pep chromosome:Prunus_persica_NCBIv2:G4:3896455:3896973:-1 gene:PRUPE_4G080300 transcript:ONI10985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQQIDSHREDAEIYHGEALCKQKSHELLDKMSLPRALLPLDDVLEFGYNHTSGFVWLKQKKKKEHRFQAIGKMVSYDTEVTGFVEEHRMRRLSGVKSKELLIWVSISDIYVDPNSNPDKITFANGTGISRSFPVTAFGLEEEEKNADGKK >ONI12288 pep chromosome:Prunus_persica_NCBIv2:G4:8926684:8939842:-1 gene:PRUPE_4G155900 transcript:ONI12288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKYNSNSSHIPNRVERLLRERELRKNSRASHLNEAQPFEPDLQLREDENSKVAYVEQFLEGAAAARALTDGCEKQEGRPLRQRQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYLEGDVVWCHDYHLMFLPKCLKDYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVANSIAQALNMASEEREKRHKHNFIHVTTHTAQEWAETFVSELNDTVVEAQIRTRQVPPPLPNKEAIERYSKASNRLIILGFNATLTEPVDTPERRGDQIKEMELKLHPELKEPLSALCNDPQTTIVVLSGSATEVLDDNFGELDMWLAAENGMFLRLTKGKWMTTMPEHLNMEWVESVKHVFEYFTERTPRSHLDDRATSLVWNYKYADVDFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPDLPPEPMGLPRKMTDGQKLPSERRSSLKVPSNKNASKSAQSKTQRLLLNGEKKNANHSNGNPRWPSPEKISWNVLDLKRENYYSCTVGRTRTNARYLLQSSDDVVSFLTELAGASSASS >ONI12284 pep chromosome:Prunus_persica_NCBIv2:G4:8926683:8939843:-1 gene:PRUPE_4G155900 transcript:ONI12284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKYNSNSSHIPNRVERLLRERELRKNSRASHLNEAQPFEPDLQLREDENSKVAYVEQFLEGAAAARALTDGCEKQEGRPLRQRQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYLEGDVVWCHDYHLMFLPKCLKDYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVANSIAQALNMASEEREKRHKHNFIHVTTHTAQEWAETFVSELNDTVVEAQIRTRQVPPPLPNKEAIERYSKASNRLIILGFNATLTEPVDTPERRGDQIKEMELKLHPELKEPLSALCNDPQTTIVVLSGSATEVLDDNFGELDMWLAAENGMFLRLTKGKWMTTMPEHLNMEWVESVKHVFEYFTERTPRSHLDDRATSLVWNYKYAGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPDLPPEPMGLPRKMTDGQKLPSERRSSLKVPSNKNASKSAQSKTQRLLLNGEKKNANHSNGNPRWPSPEKISWNVLDLKRENYYSCTVGRTRTNARYLLQSSDDVVSFLTELAGASSASS >ONI12289 pep chromosome:Prunus_persica_NCBIv2:G4:8926770:8938041:-1 gene:PRUPE_4G155900 transcript:ONI12289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKYNSNSSHIPNRVERLLRERELRKNSRASHLNEAQPFEPDLQLREDENSKVAYVEQFLEGAAAARALTDGCEKQEGRPLRQRQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYLEGDVVWCHDYHLMFLPKCLKDYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVANSIAQALNMASEEREKRHKHNFIHVTTHTAQEWAETFVSELNDTVVEAQIRTRQVPPPLPNKEAIERYSKASNRLIILGFNATLTEPVDTPERRGDQIKEMELKLHPELKEPLSALCNDPQTTIVVLSGSATEVLDDNFGELDMWLAAENGMFLRLTKGKWMTTMPEHLNMEWVESVKHVFEYFTERTPRSHLDDRATSLVWNYKYADVDFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPDLPPEPMGLPRKMTDGQKLPSERRSSLKVPSNKNASKSAQSKTQRLLLNGEKKNANHSNGNPRWPSPEKISWNVLDLKRENYYSCTVGRTRTNARYLLQSSDDVVSFLTELAGASSASS >ONI12291 pep chromosome:Prunus_persica_NCBIv2:G4:8926683:8939842:-1 gene:PRUPE_4G155900 transcript:ONI12291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKYNSNSSHIPNRVERLLRERELRKNSRASHLNEAQPFEPDLQLREDENSKVAYVEQFLEGAAAARALTDGCEKQEGRPLRQRQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYLEGDVVWCHDYHLMFLPKCLKDYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVANSIAQALNMASEEREKRHKHNFIHVTTHTAQEWAETFVSELNDTVVEAQIRTRQVPPPLPNKEAIERYSKASNRLIILGFNATLTEPVDTPERRGDQIKEMELKLHPELKEPLSALCNDPQTTIVVLSGSATEVLDDNFGELDMWLAAENGMFLRLTKGKWMTTMPEHLNMEWVESVKHVFEYFTERTPRSHLDDRATSLVWNYKYADVDFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPDLPPEPMGLPRKMTDGQKLPSERRSSLKVPSNKNASKSAQSKTQRLLLNGEKKNANHSNGNPRWPSPEKISWNVLDLKRENYYSCTVGRTRTNARYLLQSSDDVVSFLTELAGASSASS >ONI12286 pep chromosome:Prunus_persica_NCBIv2:G4:8926770:8933725:-1 gene:PRUPE_4G155900 transcript:ONI12286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKYNSNSSHIPNRVERLLRERELRKNSRASHLNEAQPFEPDLQLREDENSKVAYVEQFLEGAAAARALTDGCEKQEGRPLRQRQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYLEGDVVWCHDYHLMFLPKCLKDYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVANSIAQALNMASEEREKRHKHNFIHVTTHTAQEWAETFVSELNDTVVEAQIRTRQVPPPLPNKEAIERYSKASNRLIILGFNATLTEPVDTPERRGDQIKEMELKLHPELKEPLSALCNDPQTTIVVLSGSATEVLDDNFGELDMWLAAENGMFLRLTKGKWMTTMPEHLNMEWVESVKHVFEYFTERTPRSHLDDRATSLVWNYKYADVDFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPDLPPEPMGLPRKMTDGQKLPSERRSSLKVPSNKNASKSAQSKTQRLLLNGEKKNANHSNGNPRWPSPEKISWNVLDLKRENYYSCTVGRTRTNARYLLQSSDDVVSFLTELAGASSASS >ONI12292 pep chromosome:Prunus_persica_NCBIv2:G4:8926770:8934684:-1 gene:PRUPE_4G155900 transcript:ONI12292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKYNSNSSHIPNRVERLLRERELRKNSRASHLNEAQPFEPDLQLREDENSKVAYVEQFLEGAAAARALTDGCEKQEGRPLRQRQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYLEGDVVWCHDYHLMFLPKCLKDYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVANSIAQALNMASEEREKRHKHNFIHVTTHTAQEWAETFVSELNDTVVEAQIRTRQVPPPLPNKEAIERYSKASNRLIILGFNATLTEPVDTPERRGDQIKEMELKLHPELKEPLSALCNDPQTTIVVLSGSATEVLDDNFGELDMWLAAENGMFLRLTKGKWMTTMPEHLNMEWVESVKHVFEYFTERTPRSHLDDRATSLVWNYKYADVDFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPDLPPEPMGLPRKMTDGQKLPSERRSSLKVPSNKNASKSAQSKTQRLLLNGEKKNANHSNGNPRWPSPEKISWNVLDLKRENYYSCTVGRTRTNARYLLQSSDDVVSFLTELAGASSASS >ONI12287 pep chromosome:Prunus_persica_NCBIv2:G4:8926770:8934981:-1 gene:PRUPE_4G155900 transcript:ONI12287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKYNSNSSHIPNRVERLLRERELRKNSRASHLNEAQPFEPDLQLREDENSKVAYVEQFLEGAAAARALTDGCEKQEGRPLRQRQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYLEGDVVWCHDYHLMFLPKCLKDYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVANSIAQALNMASEEREKRHKHNFIHVTTHTAQEWAETFVSELNDTVVEAQIRTRQVPPPLPNKEAIERYSKASNRLIILGFNATLTEPVDTPERRGDQIKEMELKLHPELKEPLSALCNDPQTTIVVLSGSATEVLDDNFGELDMWLAAENGMFLRLTKGKWMTTMPEHLNMEWVESVKHVFEYFTERTPRSHLDDRATSLVWNYKYADVDFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPDLPPEPMGLPRKMTDGQKLPSERRSSLKVPSNKNASKSAQSKTQRLLLNGEKKNANHSNGNPRWPSPEKISWNVLDLKRENYYSCTVGRTRTNARYLLQSSDDVVSFLTELAGASSASS >ONI12293 pep chromosome:Prunus_persica_NCBIv2:G4:8927211:8933527:-1 gene:PRUPE_4G155900 transcript:ONI12293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKYNSNSSHIPNRVERLLRERELRKNSRASHLNEAQPFEPDLQLREDENSKVAYVEQFLEGAAAARALTDGCEKQEGRPLRQRQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYLEGDVVWCHDYHLMFLPKCLKDYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVANSIAQALNMASEEREKRHKHNFIHVTTHTAQEWAETFVSELNDTVVEAQIRTRQVPPPLPNKEAIERYSKASNRLIILGFNATLTEPVDTPERRGDQIKEMELKLHPELKEPLSALCNDPQTTIVVLSGSATEVLDDNFGELDMWLAAENGMFLRLTKGKWMTTMPEHLNMEWVESVKHVFEYFTERTPRSHLDDRATSLVWNYKYADVDFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKQLTVYWER >ONI12285 pep chromosome:Prunus_persica_NCBIv2:G4:8927488:8933527:-1 gene:PRUPE_4G155900 transcript:ONI12285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKYNSNSSHIPNRVERLLRERELRKNSRASHLNEAQPFEPDLQLREDENSKVAYVEQFLEGAAAARALTDGCEKQEGRPLRQRQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYLEGDVVWCHDYHLMFLPKCLKDYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVANSIAQALNMASEEREKRHKHNFIHVTTHTAQEWAETFVSELNDTVVEAQIRTRQVPPPLPNKEAIERYSKASNRLIILGFNATLTEPVDTPERRGDQIKEMELKLHPELKEPLSALCNDPQTTIVVLSGSATEVLDDNFGELDMWLAAENGMFLRLTKGKWMTTMPEHLNMEWVESVKHVFEYFTERTPRSHLDDRATSLVWNYKYAGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPDLPPEPMGLPRKMTDGQKLPSERRSSLKVPSNKNASKSAQSKTQRLLLNGEKKNANHSNGNPRWPSPEKISWNVLDLKRENYYSCTVGRTRTNARYLLQSSDDVVSFLTELAGASSASS >ONI12290 pep chromosome:Prunus_persica_NCBIv2:G4:8926765:8938075:-1 gene:PRUPE_4G155900 transcript:ONI12290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKYNSNSSHIPNRVERLLRERELRKNSRASHLNEAQPFEPDLQLREDENSKVAYVEQFLEGAAAARALTDGCEKQEGRPLRQRQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYLEGDVVWCHDYHLMFLPKCLKDYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVANSIAQALNMASEEREKRHKHNFIHVTTHTAQEWAETFVSELNDTVVEAQIRTRQVPPPLPNKEAIERYSKASNRLIILGFNATLTEPVDTPERRGDQIKEMELKLHPELKEPLSALCNDPQTTIVVLSGSATEVLDDNFGELDMWLAAENGMFLRLTKGKWMTTMPEHLNMEWVESVKHVFEYFTERTPRSHLDDRATSLVWNYKYADVDFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPDLPPEPMGLPRKMTDGQKLPSERRSSLKVPSNKNASKSAQSKTQRLLLNGEKKNANHSNGNPRWPSPEKISWNVLDLKRENYYSCTVGRTRTNARYLLQSSDDVVSFLTELAGASSASS >ONI12294 pep chromosome:Prunus_persica_NCBIv2:G4:8928648:8933527:-1 gene:PRUPE_4G155900 transcript:ONI12294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDKYNSNSSHIPNRVERLLRERELRKNSRASHLNEAQPFEPDLQLREDENSKVAYVEQFLEGAAAARALTDGCEKQEGRPLRQRQRLLVVANRLPVSAVRRGEDSWSLDISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYLEGDVVWCHDYHLMFLPKCLKDYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPQVQEHIRELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVANSIAQALNMASEEREKRHKHNFIHVTTHTAQEWAETFVSELNDTVVEAQIRTRQVPPPLPNKEAIERYSKASNRLIILGFNATLTEPVDTPERRGDQIKEMELKLHPELKEPLSALCNDPQTTIVVLSGSATEVLDDNFGELDMWLAAENGMFLRLTKGKWMTTMPEHLNMEWVESVKVILSLELKLYSVWLNHDSSNLQC >ONI14329 pep chromosome:Prunus_persica_NCBIv2:G4:23064148:23069932:1 gene:PRUPE_4G276000 transcript:ONI14329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLSSISRFMSSSVGLKSHKFSILRFSSASDGEIVYNRLQENGGNIEQTLSSINVHLDSKCVSQVLKRCYPSQSQMGLRFFIWAGLHSSYRHSYFMYSQACELCEIKLNPSVIFDVLEAYRIEGRVVSLKAFKVVFNLCKEAKLADEALRVLRKIPDFGLRPDTTVYNVVIRLFCDKGNMNVAERLVKEMGLVDLLPDLITYVVMINGFCKVGRLDDACGLFKVMKGHGCLPNAVVYSALLDGFCRSENMERALELLTEMEKEGGDCSPNVVTYTSVIQKLCDKGRSKEALVILDRMEACGCAPSRVTVSILIKSFCVEDQVEEAYKLIDRVVVGRSVTYSDCYSSLVVSLARGRKPEEAEKVLRMMLDSGLKPNSLACSIMLKKVCLEGRVIDGFCLFDELEKMECLSSIDSDTYSILLVGLCEQRHLLEAAKLARLMLNKGIKLKAPYVDSIAEILKKSGDEELVKHVSRIAF >ONI14330 pep chromosome:Prunus_persica_NCBIv2:G4:23064127:23069932:1 gene:PRUPE_4G276000 transcript:ONI14330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTLSSISRFMSSSVGLKSHKFSILRFSSASDGEIVYNRLQENGGNIEQTLSSINVHLDSKCVSQVLKRCYPSQSQMGLRFFIWAGLHSSYRHSYFMYSQACELCEIKLNPSVIFDVLEAYRIEGRVVSLKAFKVVFNLCKEAKLADEALRVLRKIPDFGLRPDTTVYNVVIRLFCDKGNMNVAERLVKEMGLVDLLPDLITYVVMINGFCKVGRLDDACGLFKVMKGHGCLPNAVVYSALLDGFCRSENMERALELLTEMEKEGGDCSPNVVTYTSVIQKLCDKGRSKEALVILDRMEACGCAPSRVTVSILIKSFCVEDQVEEAYKLIDRVVVGRSVTYSDCYSSLVVSLARGRKPEEAEKVLRMMLDSGLKPNSLACSIMLKKVCLEGRVIDGFCLFDELEKMECLSSIDSDTYSILLVGLCEQRHLLEAAKLARLMLNKGIKLKAPYVDSIAEILKKSGDEELVKHVSRIAF >ONI13901 pep chromosome:Prunus_persica_NCBIv2:G4:17384039:17390332:-1 gene:PRUPE_4G253700 transcript:ONI13901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAGKVVCVTGASGYIASWLVKLLLHGGYTVKASVRDPNDPTKTEHLLGLDGAQERLQLFKANLLEEGSFDSAVEGCEGVFHTASPFYHDVTDPKAELLDPAVKGTLNVLNSCAKSQSIRRVVLTSSIAAVAYNGKPRTPDVVVDETWFTDADFCKESKLWYVLSKTLAEDAAWKFVKEKGIDMVTINPAMVIGPLLQPTLNTSAAAVLNVIKGARTFPNASFGWINVKDVANAHIRAFEIPSASGRYCLVERVAHFSEVVRILQELYPGLQLPEK >ONI13899 pep chromosome:Prunus_persica_NCBIv2:G4:17383980:17390651:-1 gene:PRUPE_4G253700 transcript:ONI13899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAGKVVCVTGASGYIASWLVKLLLHGGYTVKASVRDPNDPTKTEHLLGLDGAQERLQLFKANLLEEGSFDSAVEGCEGVFHTASPFYHDVTDPKAELLDPAVKGTLNVLNSCAKSQSIRRVVLTSSIAAVAYNGKPRTPDVVVDETWFTDADFCKESKLWYVLSKTLAEDAAWKFVKEKGIDMVTINPAMVIGPLLQPTLNTSAAAVLNVIKGARTFPNASFGWINVKDVANAHIRAFEIPSASGRYCLVERVAHFSEVVRILQELYPGLQLPEKCADDKPFVPTYQVSKEKAKKLGVEFIPLEVSLKETVESLKEKNFVNF >ONI13900 pep chromosome:Prunus_persica_NCBIv2:G4:17385245:17390251:-1 gene:PRUPE_4G253700 transcript:ONI13900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAGKVVCVTGASGYIASWLVKLLLHGGYTVKASVRDPNDPTKTEHLLGLDGAQERLQLFKANLLEEGSFDSAVEGCEGVFHTASPFYHDVTDPKAELLDPAVKGTLNVLNSCAKSQSIRRVVLTSSIAAVAYNGKPRTPDVVVDETWFTDADFCKESKLWYVLSKTLAEDAAWKFVKEKGIDMVTINPAMVIGPLLQPTLNTSAAAVLNVIKGARTFPNASFGWINVKDVANAHIRAFEIPSASGRYCLVERVAHFSEVVRILQELYPGLQLPEK >ONI13794 pep chromosome:Prunus_persica_NCBIv2:G4:16291623:16292773:-1 gene:PRUPE_4G245600 transcript:ONI13794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLWPSLVFALAICFIATNVAASDDKPYAYSSPPPPSRRHHLLHLVNHMITRLLHHPLITKQVEFLFSSCYRLVKQIIAARESFTLVASMHLIKMRALKTA >ONI12559 pep chromosome:Prunus_persica_NCBIv2:G4:10137035:10142276:-1 gene:PRUPE_4G171600 transcript:ONI12559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIITKTAYWVLVLLVIIVQVRGRYFRLVSDGETDHHHHPHNQNQSSSSSLLLFKGIDSAEEETCEQLYGFLPCSNSVYGQVFLMVVYEFLLFHGESYLAAGGEQIFQILGPGVFGASAFHVLGALPESLLLLASGLFNSKDIAAEYVYTGVGLLAGTSILLLTILWGTCVIVGSQDFPNELSSDSEASKDSISSPPLSWKRLPASLTGCGITTDVETSRLARIMICSGAPFLIMQIANIFQSSSKERIAILIALCVSVFFLLLYFIYQIFQPWVQKRRLEFVKHGHLVSSILQHVQKHALGRLLTVQGAPNLYAIRRLFEEVDEDGDNYISLSEVKELLLEIKFISTEDDKDKGTAEVMKQFDLDRDGKITKDEFINGFTKWIDEVKAVHKQHTQRSLENIYEVFQPWIENRRREREMKKNLMSEVLRHVQSNSLGSILTEDGMPDIPNIRRLFEKIDLDGNNNISQAELKELIADIKFGKIPGDMDESVVKLIEELDTNGDKMISEEEFVTGLTKWINKSNGTQPPSSSRESEDDIYQRTWEETDRLVDEEKGEGGSSVDKSIWAWLKAITYLVLGFVVLAVLAEPLIDSVQAFSEAAGIPSFCVAFVLVPLATNARQATSAIKAASRKTPRTTSLTFSEIYGGVFMNNVLGVSVLLAIIYAREMTWEFSAELLVVLIVCTVMGIIASFVSTFSLWTAFLTYLLYPVSLLLVYLLNDVLKYT >ONI12560 pep chromosome:Prunus_persica_NCBIv2:G4:10137171:10141774:-1 gene:PRUPE_4G171600 transcript:ONI12560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCCFMESLIWLLGVSRFSRFWVLVSLVPVHFMSLVPFLSLFYFLVASGLFNSKDIAAEYVYTGVGLLAGTSILLLTILWGTCVIVGSQDFPNELSSDSEASKDSISSPPLSWKRLPASLTGCGITTDVETSRLARIMICSGAPFLIMQIANIFQSSSKERIAILIALCVSVFFLLLYFIYQIFQPWVQKRRLEFVKHGHLVSSILQHVQKHALGRLLTVQGAPNLYAIRRLFEEVDEDGDNYISLSEVKELLLEIKFISTEDDKDKGTAEVMKQFDLDRDGKITKDEFINGFTKWIDEVKAVHKQHTQRSLENIYEVFQPWIENRRREREMKKNLMSEVLRHVQSNSLGSILTEDGMPDIPNIRRLFEKIDLDGNNNISQAELKELIADIKFGKIPGDMDESVVKLIEELDTNGDKMISEEEFVTGLTKWINKSNGTQPPSSSRESEDDIYQRTWEETDRLVDEEKGEGGSSVDKSIWAWLKAITYLVLGFVVLAVLAEPLIDSVQAFSEAAGIPSFCVAFVLVPLATNARQATSAIKAASRKTPRTTSLTFSEIYGGVFMNNVLGVSVLLAIIYAREMTWEFSAELLVVLIVCTVMGIIASFVSTFSLWTAFLTYLLYPVSLLLVYLLNDVLKYT >ONI10202 pep chromosome:Prunus_persica_NCBIv2:G4:1613152:1615613:1 gene:PRUPE_4G034600 transcript:ONI10202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDNESRASIKHEGHYKAMAVCWFLGLGSLVAWNSLLTIGDYYYNLFPASYHPSRVLTLVYQPFALITMVTLAYHEAKLNTRKRNLIGYALFFLGTLMLIVVDLATSGSGAIGPYIGICACVGAFGVADAHVQGGMVGDLSFMHPEFIQSFFAGLAASGALTSGMRLMTKAAFEKYHNGLLMFLAISTLIEFLCILLYAIYFPRLPIVKYYRSKAASEGSKTVSADLAAAGIQTQADIEVSNDTKILPTRLSTKQLFMQNIDYALDLFLIYVLTLSIFPGFIFENTGKHQLGTWYPLVLVAMYNVLDLISRYIPLVKCLKIESRKGLMITILSRFLFVPAYYFTGKYGDQGWMILLTSVLGLTNGYLTVCVMTVAPKGYKGPEQNALGNILVLCLLCGIFAGVSLDWLWLIGKSKF >ONI12101 pep chromosome:Prunus_persica_NCBIv2:G4:8279363:8283711:-1 gene:PRUPE_4G144200 transcript:ONI12101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVEDAATMINLANTPPPPFLNKTYDMVDDPSTNAVVSWSDGNNSFVVWNVPEFSRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTVSRRKPAHAQSHQQAPPQVQSSQVGACVEVGNLGLEEEVERLKNDKNSLMQELVRLRQKQQATDNQLHNVGQRVQGMEQRQQQMMSFLAKAMHSPGFLSQLVQHQNENNRRITGSNKKRRLPRQEDEILVGKLSTKSLDGQMVKYQPSMNEAAKAMLRQILKMNTSPRLEPSINPDAFLIDNVPSSDALESGDTSNRILGVTFSEVPPTSVECYMPEEESGFPDSCHSTAISEIQCSPYAVTNCVKAAQVLEENMHNFQEDAVMPESMQMQGGVPESTVEIPNANFMSSETGNAEYMDMSAVLDGTLPTETDAFSPEPDVDALLGSNLPGITDIFWEQFLPASPLTGDVDEINLSSTDGGTTDQELKLAEENGWDKTQHMNHITEQMELLAPGSRIG >ONI12100 pep chromosome:Prunus_persica_NCBIv2:G4:8278884:8283711:-1 gene:PRUPE_4G144200 transcript:ONI12100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVEDAATMINLANTPPPPFLNKTYDMVDDPSTNAVVSWSDGNNSFVVWNVPEFSRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTVSRRKPAHAQSHQQAPPQVQSSQVGACVEVGNLGLEEEVERLKNDKNSLMQELVRLRQKQQATDNQLHNVGQRVQGMEQRQQQMMSFLAKAMHSPGFLSQLVQHQNENNRRITGSNKKRRLPRQEDEILVGKLSTKSLDGQMVKYQPSMNEAAKAMLRQILKMNTSPRLEPSINPDAFLIDNVPSSDALESGDTSNRILGVTFSEVPPTSVECYMPEEESGFPDSCHSTAISEIQCSPYAVTNCVKAAQVLEENMHNFQEDAVMPESMQMQGGVPESTVEIPNANFMSSETGNAEYMDMSAVLDGTLPTETDAFSPEPDVDALLGSNLPGITDIFWEQFLPASPLTGDVDEINLSSTDGGTTDQELKLAEENGWDKTQHMNHITEQMELLAPGSRIG >ONI13588 pep chromosome:Prunus_persica_NCBIv2:G4:14875549:14877332:-1 gene:PRUPE_4G232100 transcript:ONI13588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSENQEQSDKEPFVEIDPSGRYGRYNELLGCGAVKKVYRAFDQEDGIEVAWNQVKLRNFTNDPAMIERLYAEVGLLRSLADKNIITLYNVWRDAENNTLNFMTEVCTNGNLRDYRKKHKHVSLIALKKWSKQILKGLEYLHTHEPCVIHRDLNCSNVFINGNIGQVKIGDLGLAAIVGKNHSAHSVLGTPEFMAPELYEEDYTEMVDIYSFGMCVLEMVTLEIPYSECDNVVKIYKKVTSGVRPQSLNKVEDPEVKAFVEKCLAQPRARPSATELLNDPFFDEVEEDDNEENVYS >ONI13589 pep chromosome:Prunus_persica_NCBIv2:G4:14875592:14877092:-1 gene:PRUPE_4G232100 transcript:ONI13589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSENQEQSDKEPFVEIDPSGRYGRYNELLGCGAVKKVYRAFDQEDGIEVAWNQVKLRNFTNDPAMIERLYAEVGLLRSLADKNIITLYNVWRDAENNTLNFMTEVCTNGNLRDYRKKHKHVSLIALKKWSKQILKGLEYLHTHEPCVIHRDLNCSNVFINGNIGQVKIGDLGLAAIVGKNHSAHSVLGTPEFMAPELYEEDYTEMVDIYSFGMCVLEMVTLEIPYSECDNVVKIYKKVTSGVRPQSLNKVEDPEVKAFVEKCLAQPRARPSATELLNDPFFDEVEEDDNEENVYS >ONI13620 pep chromosome:Prunus_persica_NCBIv2:G4:15089019:15089688:-1 gene:PRUPE_4G233800 transcript:ONI13620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPQRGVNWKPQEDEALCKGWVFVFEDGSIDTNQSNDSFWLRMYQKFLENDPGMSGAGVRSPQAIVSLFKIINQQCSLWKACITKANARHMSGSNLEDVDMNAKILFLNDNKPPNMPFKLYHAWQILKDCPKWNDLCESPTQTFKDSSHSGNTVNLEEDEDDVVMPTPRPLGRDKQKDEKKKGKGVESYLN >ONI13405 pep chromosome:Prunus_persica_NCBIv2:G4:13794015:13798795:1 gene:PRUPE_4G219500 transcript:ONI13405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAFTTPTSLDRELEPSPDMKERVTAVEKIVGYSFKNKRLLEEALTHSSFPWSASYQRLEVLGDSVLNHAVTNYFFCMADRRKFNEDQITKLRSANAGNLKLARVAVRLGLYPYLRRYNTPGLDDKIKEFIEAVINGEDETGLVHKVLADIVESVAAAIYVDLKFDLDKLWMIFKPLLEPIYTLEDLRGRPMAILYDFCLKKGKRLEIKLKPRDETENISIAGVFVGDVLVGSGSSKGNSNARQNAAKEAVHKLSQSMVVNDGSFEGIPAGNDRSFRIEEAMQMLHVLCAKKRLPKPPEYKEDVLGPPHERKYLYSVKVVTSDDTVKSVTGDEKSRKKYAMNSAAYKMFLALQEHYNMINTIKSYRMINRKEADNMIDTKDTDRMICWRDILVLLGYFILILLGFYLFPVV >ONI13771 pep chromosome:Prunus_persica_NCBIv2:G4:16212855:16215484:1 gene:PRUPE_4G244300 transcript:ONI13771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGLGGGFLSGPSGGILDLESSIPRRQEIQLGHPLFAHHQHHMNVMSGVKGDHDPIGLVEVKGSIPKVCSTVVKGKAVAPFNANNGYNLSDEDEPSYTDDGGENFEGAKGKKGSPWQRMKWTDNVVRLLIAIVACVGDDGTLESGEGLKRKSGILQKKGKWKTVSKIMISKGCHVSPQQCEDKFNDLNKRYKRLNDILGRGTSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPDCHDLDLQGYSLPLGRCSKDNNGSDEEEAEEHHDTEDDELDNEDDNDADNDRERMRRMGDRKKASEEDGHFWPQYVLLDSFEVEMGEIFQDPTKSLWERRDWIKKQKLQLEEQRVGFQAEALELEKQRYKWLRYCSKKDRELERLRLENERMKLENERKVLQLRQKELEIDLRRSESSLEPSSLGLGRDQIDLGRHQ >ONI14497 pep chromosome:Prunus_persica_NCBIv2:G4:24366289:24367524:-1 gene:PRUPE_4G283400 transcript:ONI14497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAILIMTSAVGPILALIYKSNVPSKQHKHRSIRSIQPNSEFRILTCFHSTSNVSSVINLLEISNPTKQSPMFVFAVHLVELSGHASAMLIVHDTCSNIRKTSKITAKNQKHSSPSNQIVAAFEKLETESEESSLFAEALTVVSSYASMHEDICNLADDKSADLIIIPFHKESTIDEGMDNGNPSFKGINKNLLDNASCSVAIFVDRELTDSSNIKNEDGHGCCRCAMLFISGSDDHEALAYAWRMASNPNPKPNIRLTVVRFIISKDAAVHSDLPPNNPNNNDHDHDEDEKKNILEVIEENEKEKQLDDQYIESFVLNTRNQPSIKLIHEVLNNGEDTLKLISAMGNDYDLYIVGRGQTGSSPLTFGLSEWGDCLELGPLGDALASSNIVASASILIVHQGRAVGKSLFS >ONI14005 pep chromosome:Prunus_persica_NCBIv2:G4:18228592:18243397:1 gene:PRUPE_4G257300 transcript:ONI14005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLTVMMCSKVIHPSSLVVVFETLLSSAATTVDEEKGNPSWQSRADFYVTCILSCLPWGGAELTEQVPEEIERVMVGVEAYLSIRKRVSDTGLSAFEDDDENVREPNDKDFLEDLWGRIQVLSSNGWKLDSVPRPHLSFEAQLVAGKSHEFGPISCPDQPDLPSTISSITCGKQKHDAELIYPQRIRRLNIFPASKTEDLQPMDRFIVEEYLLDVLFFLNGCRKECASYMAGLPVPFRYEYLMAETIFSQLLMLPQPPFRPTYYTLVIIDLCKALPGAFPAVVAGAVRALFEKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPKWAPQRVFVQEVLEREVRLSYWDKVKQSIENAPGLAELLPPKGAPNFKFSVEETSEGNGQHALSVDLRTMVKGRASAREMIVWIEESVFPVHGMEGTLNVVVQTLLDIGSKSFTHLITVLERYGQVIAKLCGDQDKQVMLITEIDSYWRNNSQMSAVAIDRMMGYRLLSNLAIVRWVFSPANIEQFHLSDRPWEILRNTVSKTYNRVCDLRKEILSLKKSIVSAEEAAATAKAELVAAESKLSLMDGEPVLGENPVRLKRLKSYAEKAKEEELSVRESLEAKEALLARALDEFEALFLSLYKNFLNVLTERLPSASTCVTLQGLKSIHADSMAVDVEESSAMEVDDENGRPKKSQLNGGRMSSVYNVGEKEQWCLSTLGYLKAFSRQYASEIWPHMEKLDAEVLTEDVHPLIRKAVYCGLRRPVDGL >ONI14004 pep chromosome:Prunus_persica_NCBIv2:G4:18225377:18243397:1 gene:PRUPE_4G257300 transcript:ONI14004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWKSLLLRIGEKSPDYGTSSDPKEHIETCFGVLRRELEHSPNEVSQFLLQCAEQLPHKTSLYGTVIGLLNLENEEFVRKVVENTQSNFQDALDSGNCNRIRLLMRFLTVMMCSKVIHPSSLVVVFETLLSSAATTVDEEKGNPSWQSRADFYVTCILSCLPWGGAELTEQVPEEIERVMVGVEAYLSIRKRVSDTGLSAFEDDDENVREPNDKDFLEDLWGRIQVLSSNGWKLDSVPRPHLSFEAQLVAGKSHEFGPISCPDQPDLPSTISSITCGKQKHDAELIYPQRIRRLNIFPASKTEDLQPMDRFIVEEYLLDVLFFLNGCRKECASYMAGLPVPFRYEYLMAETIFSQLLMLPQPPFRPTYYTLVIIDLCKALPGAFPAVVAGAVRALFEKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPKWAPQRVFVQEVLEREVRLSYWDKVKQSIENAPGLAELLPPKGAPNFKFSVEETSEGNGQHALSVDLRTMVKGRASAREMIVWIEESVFPVHGMEGTLNVVVQTLLDIGSKSFTHLITVLERYGQVIAKLCGDQDKQVMLITEIDSYWRNNSQMSAVAIDRMMGYRLLSNLAIVRWVFSPANIEQFHLSDRPWEILRNTVSKTYNRVCDLRKEILSLKKSIVSAEEAAATAKAELVAAESKLSLMDGEPVLGENPVRLKRLKSYAEKAKEEELSVRESLEAKEALLARALDEFEALFLSLYKNFLNVLTERLPSASTCVTLQGLKSIHADSMAVDVEESSAMEVDDENGRPKKSQLNGGRMSSVYNVGEKEQWCLSTLGYLKAFSRQYASEIWPHMEKLDAEVLTEDVHPLIRKAVYCGLRRPVDGL >ONI14006 pep chromosome:Prunus_persica_NCBIv2:G4:18229551:18243397:1 gene:PRUPE_4G257300 transcript:ONI14006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKVIHPSSLVVVFETLLSSAATTVDEEKGNPSWQSRADFYVTCILSCLPWGGAELTEQVPEEIERVMVGVEAYLSIRKRVSDTGLSAFEDDDENVREPNDKDFLEDLWGRIQVLSSNGWKLDSVPRPHLSFEAQLVAGKSHEFGPISCPDQPDLPSTISSITCGKQKHDAELIYPQRIRRLNIFPASKTEDLQPMDRFIVEEYLLDVLFFLNGCRKECASYMAGLPVPFRYEYLMAETIFSQLLMLPQPPFRPTYYTLVIIDLCKALPGAFPAVVAGAVRALFEKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPKWAPQRVFVQEVLEREVRLSYWDKVKQSIENAPGLAELLPPKGAPNFKFSVEETSEGNGQHALSVDLRTMVKGRASAREMIVWIEESVFPVHGMEGTLNVVVQTLLDIGSKSFTHLITVLERYGQVIAKLCGDQDKQVMLITEIDSYWRNNSQMSAVAIDRMMGYRLLSNLAIVRWVFSPANIEQFHLSDRPWEILRNTVSKTYNRVCDLRKEILSLKKSIVSAEEAAATAKAELVAAESKLSLMDGEPVLGENPVRLKRLKSYAEKAKEEELSVRESLEAKEALLARALDEFEALFLSLYKNFLNVLTERLPSASTCVTLQGLKSIHADSMAVDVEESSAMEVDDENGRPKKSQLNGGRMSSVYNVGEKEQWCLSTLGYLKAFSRQYASEIWPHMEKLDAEVLTEDVHPLIRKAVYCGLRRPVDGL >ONI14177 pep chromosome:Prunus_persica_NCBIv2:G4:20066815:20069037:-1 gene:PRUPE_4G267000 transcript:ONI14177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITQLDAVLKCEIWMLSRARNYMEDQLLGFTLVPISHVIGKGKVTQDYSLSSTDLFHSPAGTVKLSLSLNTSLPIKPSTSSLSESSANSSITSEVVLLDRKVSEVVLDPVEYSRIEFPDIDVVNESQQMVTEYFNLTRHGCSWRPGSQGLGSFLHLGASPQPAADRDYEMTVSSNVGIQGEPVSPNGSGSIHNSGFLSSTTTSLSDDRNSADSIEKKNRVTAESSNSINTCVTTGAANQGSAACPDTPTSRKGREVVDTKDANFSGKEDESSKEKTVGSGQYGQVFSAPLGNINMEAAEQSAMQQQIVDMYMRSMQQFTESLAKMKLPMDLDKAECEDRGEVIPNHNNNLELDKKKDGSRVFYGSRAFF >ONI14175 pep chromosome:Prunus_persica_NCBIv2:G4:20067090:20068463:-1 gene:PRUPE_4G267000 transcript:ONI14175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFNQTTGFRYNLNPNTIGDVNGDSDHFSGILEIYAHHARNIHNICIYENQDVYAKFSLTYNPDETLSTRIINGGGKNPEFNENLRMKITQLDAVLKCEIWMLSRARNYMEDQLLGFTLVPISHVIGKGKVTQDYSLSSTDLFHSPAGTVKLSLSLNTSLPIKPSTSSLSESSANSSITSEVVLLDRKVSEVVLDPVEYSRIEFPDIDVVNESQQMVTEYFNLTRHGCSWRPGSQGLGSFLHLGASPQPAADRDYEMTVSSNVGIQGEPVSPNGSGSIHNSGFLSSTTTSLSDDRNSADSIEKKNRVTAESSNSINTCVTTGAANQGSAACPDTPTSRKGREVVDTKDANFSGKEDESSKEKTVGSGQYGQVFSAPLGNINMEAAEQSAMQQQIVDMYMRSMQQFTESLAKMKLPMDLDKAECEDRGEVIPNHNNNLELDKKKDGSRVFYGSRAFF >ONI14176 pep chromosome:Prunus_persica_NCBIv2:G4:20066778:20069037:-1 gene:PRUPE_4G267000 transcript:ONI14176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITQLDAVLKCEIWMLSRARNYMEDQLLGFTLVPISHVIGKGKVTQDYSLSSTDLFHSPAGTVKLSLSLNTSLPIKPSTSSLSESSANSSITSEVVLLDRKVSEVVLDPVEYSRIEFPDIDVVNESQQMVTEYFNLTRHGCSWRPGSQGLGSFLHLGASPQPAADRDYEMTVSSNVGIQGEPVSPNGSGSIHNSGFLSSTTTSLSDDRNSADSIEKKNRVTAESSNSINTCVTTGAANQGSAACPDTPTSRKGREVVDTKDANFSGKEDESSKEKTVGSGQYGQVFSAPLGNINMEAAEQSAMQQQIVDMYMRSMQQFTESLAKMKLPMDLDKAECEDRGEVIPNHNNNLELDKKKDGSRVFYGSRAFF >ONI12252 pep chromosome:Prunus_persica_NCBIv2:G4:8820781:8821665:-1 gene:PRUPE_4G154000 transcript:ONI12252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYQVPVGYRFTPSEEELLLHYLLPRVNGNDYPKGVVPDCDLYGTKEPWEIWRDFHHSSPDDQEDIYVFTTLKKKTPNGSRFCRTVGVTGTGVWKGEDSGKKIRACGNDIGIRKRFRYMNPGSPHDDRWIMLEFQLDESLVRVRNKETIVLCLVRKKETSGKSKLEERQNHEVDLPSSGEIQAQYVHDDQQQHIDASTEDQLFLEDYLMNDVEGEHDTMVNPSLLAQYDQQDMIGTSTEDQLRVLEDFLMNDVEGEQDTMVNPALLAQYGQQGTSIEDQLRLFEDYLMSDIEG >ONI11749 pep chromosome:Prunus_persica_NCBIv2:G4:6756251:6762106:1 gene:PRUPE_4G123800 transcript:ONI11749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLLLQACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDLTLTCDNNITTGKIYQHVIDKERRGDYLGQTVQIIPHVTDAVQEWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMLYVEALGQFSYRIGPGNFCLIHVSLVPVINVVGEQKTKPTQHSVRELRGHGLTPNILACRSSKPLEENVKAKLAQFCHVPAENIVTLYDVPNIWHVPLLLRDQKAHEAILKGFNLLGVAREPNLKEWTARTEISATLHDPVRIAMVGKYTGLSDAYLSVLKALLHASVACRRKLVVDWVAAGDLEDVTAKEAPEVYKAAWDLLKGADGVLVPGGFGDRGVQGKILAAKYARENKVPFLGICLGMQIAVIEFARSVLGMVDANSTEFDPETTSPCVIFMPEGSKTHMGGTMRLGSRRTYFNVADSKSAKLYGNVVFVDERHRHRYEVNPDMISQLEDAGLSFVGRDETGRRMEIVELPSHPYFVGVQFHPEFKSRPGKPSALFLGLIAACRHSNTILQNNGHVVKPVTNGMSNGHVMVKTHQNGHAFKTSNGLLNGVYSNGNGVHL >ONI11751 pep chromosome:Prunus_persica_NCBIv2:G4:6756184:6762113:1 gene:PRUPE_4G123800 transcript:ONI11751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLLLQACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDLTLTCDNNITTGKIYQHVIDKERRGDYLGQTVQIIPHVTDAVQEWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMLYVEALGQFSYRIGPGNFCLIHVSLVPVINVVGEQKTKPTQHSVRELRGHGLTPNILACRSSKPLEENVKAKLAQFCHVPAENIVTLYDVPNIWHVPLLLRDQKAHEAILKGFNLLGVAREPNLKEWTARTEISATLHDPVRIAMVGKYTGLSDAYLSVLKALLHASVACRRKLVVDWVAAGDLEDVTAKEAPEVYKAAWDLLKGADGVLVPGGFGDRGVQGKILAAKYARENKVPFLGICLGMQIAVIEFARSVLGMVDANSTEFDPETTSPCVIFMPEGSKTHMGGTMRLGSRRTYFNVADSKSAKLYGNVVFVDERHRHRYEVNPDMISQLEDAGLSFVGRDETGRRMEIVELPSHPYFVGVQFHPEFKSRPGKPSALFLGLIAACRHSNTILQNNGHVVKPVTNGMSNGHVMVKTHQNGHAFKTSNGLLNGVYSNGNGVHL >ONI11750 pep chromosome:Prunus_persica_NCBIv2:G4:6753700:6762102:1 gene:PRUPE_4G123800 transcript:ONI11750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLLLQACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDLTLTCDNNITTGKIYQHVIDKERRGDYLGQTVQIIPHVTDAVQEWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMLYVEALGQFSYRIGPGNFCLIHVSLVPVINVVGEQKTKPTQHSVRELRGHGLTPNILACRSSKPLEENVKAKLAQFCHVPAENIVTLYDVPNIWHVPLLLRDQKAHEAILKGFNLLGVAREPNLKEWTARTEISATLHDPVRIAMVGKYTGLSDAYLSVLKALLHASVACRRKLVVDWVAAGDLEDVTAKEAPEVYKAAWDLLKGADGVLVPGGFGDRGVQGKILAAKYARENKVPFLGICLGMQIAVIEFARSVLGMVDANSTEFDPETTSPCVIFMPEGSKTHMGGTMRLGSRRTYFNVADSKSAKLYGNVVFVDERHRHRYEVNPDMISQLEDAGLSFVGRDETGRRMEIVELPSHPYFVGVQFHPEFKSRPGKPSALFLGLIAACRHSNTILQNNGHVVKPVTNGMSNGHVMVKTHQNGHAFKTSNGLLNGVYSNGNGVHL >ONI10056 pep chromosome:Prunus_persica_NCBIv2:G4:1198740:1199317:-1 gene:PRUPE_4G025300 transcript:ONI10056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQAEMVGRAFVDHYYHLFDTERASLSSLYQPTSMLSFEGQKIFGVDDITSKLNQLPFDQCKHLISTIDSQPSSPTGGIVVFVSGSLQLPGEEHHLRFSQMFHLIPTPQGSFFLQNDIFRLNYG >ONI13619 pep chromosome:Prunus_persica_NCBIv2:G4:15078911:15082808:-1 gene:PRUPE_4G233700 transcript:ONI13619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIVVRRAIPSDNSCLFNAVGYAIDHDKKKAPELRQVIAATVASDPTKYSEAFLGKPNEEYCSWILDSEKWGECLCPFSYGVLLTRALLIYDGLHYDALALMVIGFCIILSQMSPFEELQRSLIRLYLQLRTVPLGRLRGSLLIL >ONI12035 pep chromosome:Prunus_persica_NCBIv2:G4:7843745:7852771:1 gene:PRUPE_4G140400 transcript:ONI12035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFESRGSVQEATCSVPQDLNQLQLNTSGDAVFDASQYAFFGKDSVEEVELGGLDEEEAPVGFDEEEFLYDREQGDVSLSDIDDLSLTFEKLNKDVSGTRRAGVIGDRGSRESSSAAEWAQEHFPNWIDEDILDAESLQDGKRWSSQPFSSSARPTESLALYRTSSYPEPQQQQQQQQPHHHQHFSSEPILVPKSGFTSYPPPGGISQQASPNRQSSHLNPYLAGGPQGGLSSPNHSPYSNSQLQMTGLPHGSHFGGNLPQLTSGISANSRPLKQWANQSGAYGDHPSLLNNLLQQQLSHQNGLMPPQLMHQPQPQPQPPRLHHPVQPSFNQLSVMQSQLFNPHLSPSPPLMSKFEAMLGMGDPRDQRPKSAQKVRLNMRFSQYGFDTSSHRSDGGWPQFRSKYMTADEIESILRMQLAATHSNDPYVDDYYHQYCLARKSAGSKLKHHFCPTNLRDLPPRARANTEPHAFLQVDALGRVPFSSIRRPRPLLEVEPPNSSSPGNTEQKVSEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLQFNQLQDGGIQLKRRRQALLEGLATSLQLVDPLGNNGHTVGPVPKDDLVFLRLVSLPKGRKLLAKYLQLLFPGGELMRIVCMAIFRHLRFLFGTLPSDSRTAEISNILARVVSSCVRGMDLGALSACLAAVVCSSEQPPLRPLGSPAGDGASLILNSVLERATELLTDPHAASNYNVTNRALWQASFDEFFGLLTKYCVNKYDSIMQSRLMEAPPNVPVIGADTAISFSREMPVELLRASLPHTDEHQRQMLLDFTQRSMPIGASNSRDGGNGTHMNSESVLS >ONI12888 pep chromosome:Prunus_persica_NCBIv2:G4:11279113:11285726:1 gene:PRUPE_4G189700 transcript:ONI12888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEDKRWVSRDGQPKPPSRGWEEKTSTHWQRPGERSGHGYVGNSEKTFEERKNIQSASTQQSVPAARISLPDPPKGPEQVVHVPRPQDVQKIEPAVLPAAATKQVVDTAPPAPPKVDYAIDLFNMLSVDDGPSENGSDAASAEDNDWAGFQSAEKALTAAEKASTAEKTAPSSEAGSHSKSSSGIEDLFKDVPSLTPVSEKPQKDLKNDIMSLFEKSSMVSPFAMHQQQLAMLAQQQSLLMAAAAKSAGMDQKFPGIIQQPVSNGSNLPSQSWPNVGYQIPGLMMPVDGQADLQKVTQSQTKIMGPAHPLGSSVPYSTSSFYNMAQVNPVNGAKNDGANNTQSPSPISSATSAQSAKDYDFSSLTQGMFAKQ >ONI12886 pep chromosome:Prunus_persica_NCBIv2:G4:11278755:11285726:1 gene:PRUPE_4G189700 transcript:ONI12886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKANVTKELNAKHRKILEGLLKLPENRECADCKAKGPRWASVNLGIFVCMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEDKRWVSRDGQPKPPSRGWEEKTSTHWQRPGERSGHGYVGNSEKTFEERKNIQSASTQQSVPAARISLPDPPKGPEQVVHVPRPQDVQKIEPAVLPAAATKQVVDTAPPAPPKVDYAIDLFNMLSVDDGPSENGSDAASAEDNDWAGFQSAEKALTAAEKASTAEKTAPSSEAGSHSKSSSGIEDLFKDVPSLTPVSEKPQKDLKNDIMSLFEKSSMVSPFAMHQQQLAMLAQQQSLLMAAAAKSAGMDQKFPGIIQQPVSNGSNLPSQSWPNVGYQIPGLMMPVDGQADLQKVTQSQTKIMGPAHPLGSSVPYSTSSFYNMAQVNPVNGAKNDGANNTQSPSPISSATSAQSAKDYDFSSLTQGMFAKQ >ONI12887 pep chromosome:Prunus_persica_NCBIv2:G4:11278837:11285599:1 gene:PRUPE_4G189700 transcript:ONI12887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKANVTKELNAKHRKILEGLLKLPENRECADCKAKGPRWASVNLGIFVCMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEDKRWVSRDGQPKPPSRGWEEKTSTHWQRPGERSGHGYVGNSEKTFEERKNIQSASTQQSVPAARISLPDPPKGPEQVVHVPRPQDVQKIEPAVLPAAATKQVVDTAPPAPPKVDYAIDLFNMLSVDDGPSENGSDAASAEDNDWAGFQSAEKASTAEKTAPSSEAGSHSKSSSGIEDLFKDVPSLTPVSEKPQKDLKNDIMSLFEKSSMVSPFAMHQQQLAMLAQQQSLLMAAAAKSAGMDQKFPGIIQQPVSNGSNLPSQSWPNVGYQIPGLMMPVDGQADLQKVTQSQTKIMGPAHPLGSSVPYSTSSFYNMAQVNPVNGAKNDGANNTQSPSPISSATSAQSAKDYDFSSLTQGMFAKQ >ONI09855 pep chromosome:Prunus_persica_NCBIv2:G4:652731:654386:1 gene:PRUPE_4G014000 transcript:ONI09855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASQLNQFPCKTLSLNPAHHRLASKPFSLLFAPNSNVLAAKFNNQLKFSTHEFVGTRPATRLRVVDEDEWGPEKETESAVAVAEDDKPAEPESAETSRLKKALVDSFYGTDRGLSATSETRAEIVELITQLEAQNPTPAPTEALPLLNGKWILAYTSFAGLFPLLSRGTLPLVKVEEVSQTIDSENFTVQNSVQFAGPLATTSFSTNATFEVRSPKRVQIKFEEGVIGTPQLTDSLVIPENVEFLGQKLDLTVFKSLLTSVQDTASSVVKTISSQPPFKFSISNSKAESWLLTTYLDPELRISRGDNGSVFVLIKEGSSLLSP >ONI11401 pep chromosome:Prunus_persica_NCBIv2:G4:5426476:5435877:1 gene:PRUPE_4G105100 transcript:ONI11401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWHLSVSFAFFSSLCFWFQVSMAQNATTDPSEVRALNSIFEQWNTQAGGLWNISGEPCSGSAINGTEFEDPANNPAIICDCTYEKSTTCHITQLRVYALNKRGVFPEEFVALRYLTYLKIDQNYFTGPLPAFIGNMSALTLLSIAHNSFSGPIPKELGNLKELKLLSIGSNNFSGTLPPELGNLVKLEELYINSGGFSGEIPSTFAKLINMQILGASDSPLSGKIPAFIGNWTKLTSLRFQGNSFEGPIPTSFSQLTSLNSLRISDIYNVSSSLDFISTLKNLTDLALRNALINGSIPTDIGEYQGLQILDLGFNNLTGQLPSSLFNMSSLTYLFLGNNSLSGPLPSQKSNLLHTIDLSYNYFSGSFPPWVTTISQLNLVVNNFTFGSSNITLPGLNCLQRNFPCNRNTPRYANFSINCGGSQMRGSDGILYEADDSDLGPATFNVTSTQKWAVSNVGLFAEKKNPSFVQNTLTQVTGTDVTPELFQNSRLSPGSLRYYGLGLENGPYTVTLQFAETVFDSRAKQTWESLGRRVFDIYIQGNLIRKDFDISKEAGGVNRAVKRPFKVNVTENYLDIHLFWAGKGTCCIPQQGDYGPLISTVHAASDFTPTVSGLPPTTPGKKSRNGLIVGIAVPVGSLLLLFAVLYMRRKKSEKDDDEVLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGTQRILVYEYLENKSLDQALFGRTELHLDWPTRLNILLGTARGLAYLHEESRPRIVHRDVKASNILLDAELSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEILSGRPNSDNNLDREKIYLLEWAWTLHENDQSLGLVDPRLTEFDENEATRLIKTALVCTQASPMMRPSMSRVVAMLSGDIEASTVISKPSYLTDWDFRDVTSSFLMDNDTPSTDSNVLLNRQTEGSTTGASPEIDLLPSPVNVTEPMLTGIIGEGR >ONI11405 pep chromosome:Prunus_persica_NCBIv2:G4:5427111:5435877:1 gene:PRUPE_4G105100 transcript:ONI11405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWHLSVSFAFFSSLCFWFQVSMAQNATTDPSEVRALNSIFEQWNTQAGGLWNISGEPCSGSAINGTEFEDPANNPAIICDCTYEKSTTCHITQLRVYALNKRGVFPEEFVALRYLTYLKIDQNYFTGPLPAFIGNMSALTLLSIAHNSFSGPIPKELGNLKELKLLSIGSNNFSGTLPPELGNLVKLEELYINSGGFSGEIPSTFAKLINMQILGASDSPLSGKIPAFIGNWTKLTSLRFQGNSFEGPIPTSFSQLTSLNSLRISDIYNVSSSLDFISTLKNLTDLALRNALINGSIPTDIGEYQGLQILDLGFNNLTGQLPSSLFNMSSLTYLFLGNNSLSGPLPSQKSNLLHTIDLSYNYFSGSFPPWVTTISQLNLVVNNFTFGSSNITLPGLNCLQRNFPCNRNTPRYANFSINCGGSQMRGSDGILYEADDSDLGPATFNVTSTQKWAVSNVGLFAEKKNPSFVQNTLTQVTGTDVTPELFQNSRLSPGSLRYYGLGLENGPYTVTLQFAETVFDSRAKQTWESLGRRVFDIYIQGNLIRKDFDISKEAGGVNRAVKRPFKVNVTENYLDIHLFWAGKGTCCIPQQGDYGPLISTVHAASDFTPTVSGLPPTTPGKKSRNGLIVGIAVPVGSLLLLFAVLYMRRKKSEKDDDEVLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGTQRILVYEYLENKSLDQALFGRTELHLDWPTRLNILLGTARGLAYLHEESRPRIVHRDVKASNILLDAELSPKISDFGLAKLYDDEKTHISTRVAGTMHGLYMKTTRVWGWWIRD >ONI11402 pep chromosome:Prunus_persica_NCBIv2:G4:5427111:5435877:1 gene:PRUPE_4G105100 transcript:ONI11402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWHLSVSFAFFSSLCFWFQVSMAQNATTDPSEVRALNSIFEQWNTQAGGLWNISGEPCSGSAINGTEFEDPANNPAIICDCTYEKSTTCHITQLRVYALNKRGVFPEEFVALRYLTYLKIDQNYFTGPLPAFIGNMSALTLLSIAHNSFSGPIPKELGNLKELKLLSIGSNNFSGTLPPELGNLVKLEELYINSGGFSGEIPSTFAKLINMQILGASDSPLSGKIPAFIGNWTKLTSLRFQGNSFEGPIPTSFSQLTSLNSLRISDIYNVSSSLDFISTLKNLTDLALRNALINGSIPTDIGEYQGLQILDLGFNNLTGQLPSSLFNMSSLTYLFLGNNSLSGPLPSQKSNLLHTIDLSYNYFSGSFPPWVTTISQLNLVVNNFTFGSSNITLPGLNCLQRNFPCNRNTPRYANFSINCGGSQMRGSDGILYEADDSDLGPATFNVTSTQKWAVSNVGLFAEKKNPSFVQNTLTQVTGTDVTPELFQNSRLSPGSLRYYGLGLENGPYTVTLQFAETVFDSRAKQTWESLGRRVFDIYIQGNLIRKDFDISKEAGGVNRAVKRPFKVNVTENYLDIHLFWAGKGTCCIPQQGDYGPLISTVHAASDFTPTVSGLPPTTPGKKSRNGLIVGIAVPVGSLLLLFAVLYMRRKKSEKDDDEVLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGTQRILVYEYLENKSLDQALFGRTELHLDWPTRLNILLGTARGLAYLHEESRPRIVHRDVKASNILLDAELSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEILSGRPNSDNNLDREKIYLLEWAWTLHENDQSLGLVDPRLTEFDENEATRLIKTALVCTQASPMMRPSMSRVVAMLSGDIEASTVISKPSYLTDWDFRDVTSSFLMDNDTPSTDSNVLLNRQTEGSTTGASPEIDLLPSPVNVTEPMLTGIIGEGR >ONI11404 pep chromosome:Prunus_persica_NCBIv2:G4:5427111:5435877:1 gene:PRUPE_4G105100 transcript:ONI11404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWHLSVSFAFFSSLCFWFQVSMAQNATTDPSEVRALNSIFEQWNTQAGGLWNISGEPCSGSAINGTEFEDPANNPAIICDCTYEKSTTCHITQLRVYALNKRGVFPEEFVALRYLTYLKIDQNYFTGPLPAFIGNMSALTLLSIAHNSFSGPIPKELGNLKELKLLSIGSNNFSGTLPPELGNLVKLEELYINSGGFSGEIPSTFAKLINMQILGASDSPLSGKIPAFIGNWTKLTSLRFQGNSFEGPIPTSFSQLTSLNSLRISDIYNVSSSLDFISTLKNLTDLALRNALINGSIPTDIGEYQGLQILDLGFNNLTGQLPSSLFNMSSLTYLFLGNNSLSGPLPSQKSNLLHTIDLSYNYFSGSFPPWVTTISQLNLVVNNFTFGSSNITLPGLNCLQRNFPCNRNTPRYANFSINCGGSQMRGSDGILYEADDSDLGPATFNVTSTQKWAVSNVGLFAEKKNPSFVQNTLTQVTETVFDSRAKQTWESLGRRVFDIYIQGNLIRKDFDISKEAGGVNRAVKRPFKVNVTENYLDIHLFWAGKGTCCIPQQGDYGPLISTVHAASDFTPTVSGLPPTTPGKKSRNGLIVGIAVPVGSLLLLFAVLYMRRKKSEKDDDEVLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGTQRILVYEYLENKSLDQALFGRTELHLDWPTRLNILLGTARGLAYLHEESRPRIVHRDVKASNILLDAELSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEILSGRPNSDNNLDREKIYLLEWAWTLHENDQSLGLVDPRLTEFDENEATRLIKTALVCTQASPMMRPSMSRVVAMLSGDIEASTVISKPSYLTDWDFRDVTSSFLMDNDTPSTDSNVLLNRQTEGSTTGASPEIDLLPSPVNVTEPMLTGIIGEGR >ONI11406 pep chromosome:Prunus_persica_NCBIv2:G4:5432644:5435877:1 gene:PRUPE_4G105100 transcript:ONI11406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSDGILYEADDSDLGPATFNVTSTQKWAVSNVGLFAEKKNPSFVQNTLTQVTGTDVTPELFQNSRLSPGSLRYYGLGLENGPYTVTLQFAETVFDSRAKQTWESLGRRVFDIYIQGNLIRKDFDISKEAGGVNRAVKRPFKVNVTENYLDIHLFWAGKGTCCIPQQGDYGPLISTVHAASDFTPTVSGLPPTTPGKKSRNGLIVGIAVPVGSLLLLFAVLYMRRKKSEKDDDEVLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGTQRILVYEYLENKSLDQALFGRTELHLDWPTRLNILLGTARGLAYLHEESRPRIVHRDVKASNILLDAELSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEILSGRPNSDNNLDREKIYLLEWAWTLHENDQSLGLVDPRLTEFDENEATRLIKTALVCTQASPMMRPSMSRVVAMLSGDIEASTVISKPSYLTDWDFRDVTSSFLMDNDTPSTDSNVLLNRQTEGSTTGASPEIDLLPSPVNVTEPMLTGIIGEGR >ONI11403 pep chromosome:Prunus_persica_NCBIv2:G4:5428191:5435877:1 gene:PRUPE_4G105100 transcript:ONI11403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWHLSVSFAFFSSLCFWFQVSMAQNATTDPSEVRALNSIFEQWNTQAGGLWNISGEPCSGSAINGTEFEDPANNPAIICDCTYEKSTTCHITQLRVYALNKRGVFPEEFVALRYLTYLKIDQNYFTGPLPAFIGNMSALTLLSIAHNSFSGPIPKELGNLKELKLLSIGSNNFSGTLPPELGNLVKLEELYINSGGFSGEIPSTFAKLINMQILGASDSPLSGKIPAFIGNWTKLTSLRFQGNSFEGPIPTSFSQLTSLNSLRISDIYNVSSSLDFISTLKNLTDLALRNALINGSIPTDIGEYQGLQILDLGFNNLTGQLPSSLFNMSSLTYLFLGNNSLSGPLPSQKSNLLHTIDLSYNYFSGSFPPWVTTISQLNLVVNNFTFGSSNITLPGLNCLQRNFPCNRNTPRYANFSINCGGSQMRGSDGILYEADDSDLGPATFNVTSTQKWAVSNVGLFAEKKNPSFVQNTLTQVTGTDVTPELFQNSRLSPGSLRYYGLGLENGPYTVTLQFAETVFDSRAKQTWESLGRRVFDIYIQGNLIRKDFDISKEAGGVNRAVKRPFKVNVTENYLDIHLFWAGKGTCCIPQQGDYGPLISTVHAASDFTPTVSGLPPTTPGKKSRNGLIVGIAVPVGSLLLLFAVLYMRRKKSEKDDDEVLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGTQRILVYEYLENKSLDQALFGRTELHLDWPTRLNILLGTARGLAYLHEESRPRIVHRDVKASNILLDAELSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEILSGRPNSDNNLDREKIYLLEWAWTLHENDQSLGLVDPRLTEFDENEATRLIKTALVCTQASPMMRPSMSRVVAMLSGDIEASTVISKPSYLTDWDFRDVTSSFLMDNDTPSTDSNVLLNRQTEGSTTGASPEIDLLPSPVNVTEPMLTGIIGEGR >ONI12242 pep chromosome:Prunus_persica_NCBIv2:G4:8774716:8778208:1 gene:PRUPE_4G153000 transcript:ONI12242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVAAGVVLRVVWVSVIITWAWRVLNWVWLRPKKLERCLRQQGLAGNSYRLLIGDTKESSMMLKEAKSKPMDLFHDLAPRVLPFVHQSMNTYGKNSFIWIGPTPRVNISNPEDMKDIFAKYEDFQKPVKNPLVKLLATGLANYEGEKWAKHRKIINPAFHSEKLKRMLPAFHQSCSELIEEWESLASKEGSCELDVWPYLQNLTADVISRTAFGSSYEEGRKIFQLLKDQAQLTIKAIQSVYIPGWRFLPTRMNKRMKEIDKEIKGSLMGIINKREEAIRVREATRDDLLGILMDSNLKEIRENGNTKNVGMSIEDVIEECKLFYFAGQETTSVLLVWTLVLLSQNQDWQARARDEVLQVFGSNKPDFDGLSHLKVVTMILLEVLRLYPAVVVLSRAIHKNTQLGKLSLPAGVEVSLNTLLVHHDKELWGEDANEFKPERFSSGVSKATKNKFAYFPFGGGPRICIGQNFAMVEAKLALALILQHFAFELSPSYAHAPSADITLQPQFGAHIILHKR >ONI10410 pep chromosome:Prunus_persica_NCBIv2:G4:2181719:2182408:1 gene:PRUPE_4G045600 transcript:ONI10410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGIYDKNDFEKHYSLLCFYSKLRLNLHSLPFQREDLNRKITSIRIASKTIIPIAFRALKRPVFTLLPTY >ONI12373 pep chromosome:Prunus_persica_NCBIv2:G4:9168220:9172742:-1 gene:PRUPE_4G160100 transcript:ONI12373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSSQTKGDGALKKVRKPKPWKHPQPLTKSQLLQLREEFWDTAPHYGGRKEIWDALRAAAEADLSLAQAIVDSAGVIVQSADLTICYDERGAKYELPKYVLSEPTNLIRES >ONI11502 pep chromosome:Prunus_persica_NCBIv2:G4:5745401:5754190:1 gene:PRUPE_4G109600 transcript:ONI11502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEERVPLRNTPSDDLHDDVEGQRRCPRKGPRIVAKRILDQTLRALIWRDEIFVLLCVVAVLVDPLFLYIPVTNENSKCLGVDKGLRTVVLVLRSLLDIIFITHIIHQIRNHSELIVVSDEAPEGQRSSGWTRRSLLTIIVDFLAVLPIPQLAIVVVFFRTKDTGYFLRREILSVFLLFQYGPNVYRLNQSFKKLQLKARLVKGAFKFFLYILASYVLGAFWYFFSIQRETSCWHQACSNTEGCIATLSCKDNTSTNITFLNELCPISPSNPTLFDFGIFLDALESGNTGEVNFSRKFFFSFWWGIRNLSNFGTNLETSSYVWENCFAILISVIGLLLFLYLIGIMQIYMQIAAAKAEKNKDDKLTQKIKMKEKGIVDWVSNNGLPPDLKTEIMDFIKQNKVVEKLYQKKNKAVEENMTAEVDAEFLLSVLPENIQTSIKRHVGMNALNKVQRLHHMDKKVLEMICDHLKPIIYPINSYVLRRGKAIDLMLFITDTDGTMLTYEDPKTEIKRLKKDDIFGDEILSWVSPSISFANLPTPNSTLNVKCETKVEAFALMAKDLRSVVSGCRHLWNWTLYNCDIESQEFEELARPIDKTHQTYIQLWEEELKSVQKIKIVKDIREWVLRNGLPEDLQTEIMEKIETSKVVKENIGAGVDVNFLFSVLPKGMIKRAIKDHVGVNALKKVPMLQNKLDSTLKSICKYLKPVIYAENSYITRAGKPLNLMLFIIDGIITWTANTTTDTATAGSSMVTELSCLEKGGLYGEELLNWVSPSSSLSTLPISAQDVKCQTKVEAFVINAVQLKIAVSELGMLWKNYYTSTNSQLALPSDQTYLQIQNETYLQLGGTRLEEIEDEGSRQKMKIMMKEKDILEWLSRNGTHEDLKTKIMRHINLKNIAEQNMDADVDLGYLNTHLPFDLTISLTKHLCISTLKQVAMLQSMHEDMFSNICYKLEPVIYTENSNIVQAGESLDLMLIIIGGTIICTDMTSNTEATDSAVITKYLNKGDFCGEELLAWASPSILFSSPAPISTRDVKCQSKVEAFILKADKLRSLVSEYSSEWISNFNNCNNSEQIEELARRRDKMDQILNETYMKFGTTELEEIEDEELKQKVKIMIKEKDILAWVSRNVLDEDLKSKIMTHLKLNNVIQENLDAKVDVEYLHSHLPFGIAISLTKHLCISMLKNVPMLENMPEDMFSNICYKLEPVIYTESSNIVQAGEQLDLMLIITEGTIICTDVTSNTGTTDSSVVTKYLKKGDFCGEELLSWASPNILFSGAAPISTRDVKCQTKVEAFVLKVDKLRSLVSEYSSKWISNFNNSCDNSEQLEESALQPDKIDQILNETYKKLGTTKLEEIEDEGLRQTVKIMIKEKDILEWVSRNGVQEDLKTKIMMHLKLNNVIQENLDAEVDVEYLYSHLPFGIAISLTKHLCISMLKKVPMLQSMPEDMFSNICYKLEPMIYFENSNVVQAGESLDLMLIIIEGTIICTKYLDQGNTGTTDSGVITKYLKKGDFCGEELLSWASPNILFSGPAPLSTGEVKCQTKVEAFVLKADKLRSLVSEYSSKWISNFNNSAVGGG >ONI11778 pep chromosome:Prunus_persica_NCBIv2:G4:6810318:6813507:1 gene:PRUPE_4G124800 transcript:ONI11778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSGSILFFIFLLSAIHGLAFAHADHDEEDGDNGDEMRLEMIHRYSPHAKDHGVHGEIPPTQQALIQELHRHDVFRLQMMAQKRQQNGHDQGLNSSSSSNSTRRMDMQTRLSVTMPMNAGWDYGIGQYLVKLKLGTPAQKFTVIPSTGSDLTWVRCGSHCGKSCGIRKGRIDHSRVFNTDRSSTFKSVTCSSKMCEFDLANFNSLNKCPRPLSPCRYDYSYVEGSSALGTFGTDIVRASLSNGRRNRMKDVLIGCTESIIGKGTAKGSDGILGLGFGKYSFTTKAALKYGGKVSYCLLDHMSPKNVTSYLTFGDNKKAVLQGKMRYTQLVFGNPNKGSFYGVNLQGISVGGKMLNIPLHIWNPKLGGGALVDSGMSLTFLTKPAYKPVMTALTMPLTKFRRLRSEEDDFDFCFDPRGYRDRLVPKLVFHFAGGAKFAPPVKSYVIDVSPGMKCIGILPLAEGACIIGNIIQQNHLWEFNLVRKTLGFAPSTCT >ONI11631 pep chromosome:Prunus_persica_NCBIv2:G4:6346878:6350410:1 gene:PRUPE_4G117300 transcript:ONI11631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVIQKFVTASLFMWITPVVILYAFNNNWLPGASDMSPYSLTLLSGFLAVISVNIVIAFYIYMAMKEPSDKHKPDPAFLAEAKASVNQPTVEADSSSQSSKKEE >ONI11632 pep chromosome:Prunus_persica_NCBIv2:G4:6347696:6350410:1 gene:PRUPE_4G117300 transcript:ONI11632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVIQKFVTASLFMWITPVVILYAFNNNWLPGASDMSPYSLTLLSGFLAVISVNIVIAFYIYMAMKEPSDKHKPDPAFLAEAKASVNQPTVEADSSSQSSKKEE >ONI10459 pep chromosome:Prunus_persica_NCBIv2:G4:2322455:2322673:-1 gene:PRUPE_4G048600 transcript:ONI10459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEGSLSIAPIHRRLTCLTKLFHSCSEISTNNSSLSSSNNISCLCTLIIMHWTIIFRKRLSPPEITNVGKLQ >ONI14516 pep chromosome:Prunus_persica_NCBIv2:G4:24665235:24666937:-1 gene:PRUPE_4G284500 transcript:ONI14516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLQNLNLANNNFRGPIPNTWGQLSNLKHLVLRGNHISGHIPDSLSNISGLTELDLSSNELTGRVPMQFFTIPKFNFTGARLACGSSLKQPCASGSVLRGLFFVQLYYFSLPTMFEH >ONI14515 pep chromosome:Prunus_persica_NCBIv2:G4:24665235:24667297:-1 gene:PRUPE_4G284500 transcript:ONI14515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLQNLNLANNNFRGPIPNTWGQLSNLKHLVLRGNHISGHIPDSLSNISGDLSSNELTGRVPMQFFTIPKFNFTGARLACGSSLKQPCASGSVLRGLFFVQLYYFSLPTMFEH >ONI13091 pep chromosome:Prunus_persica_NCBIv2:G4:12543975:12551910:-1 gene:PRUPE_4G201900 transcript:ONI13091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAVFEEPAVFVIWVLARLISLLLGMRNDGGQKQQGGVPRPARTNNFIPNSFRAISSYLRIVSSGASTVARSAASVASSIVDRDDDTNHDQVNWAGFDKLEGEGNVTRQVLLLGYRSGFQVWDVEESDNVRDLVSRYDGPVSFMQMLPKPIASKRLEDKFEESRPLLVVCADGSISVGSNIQDGMASPRNGISATSHDTMKSSFVPTVVRFYSLRSQSYVHVLKFRSVVYSVKCSSRVVAISQAAQIHCFDSTTLEREYTILTNPIVAGFPGSGGIGCGPLAVGKRWLAYSGSPVAVSTSGRVSPQHLEPSASFSGFPSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSQYCSELVPDSNIPLHSGNPGWKSNGTVNGQSADMDNVGMVIVRDIVSKVVIAQFRAHKSPISALCFDRSGTLLVTASVQGHNINVFKIMPGNSFSTDAAASYVHLYRLQRGFTNAIIQDISFSDDSNWIMVSSSRGTSHLFAINPWGGSVNFPTADAGITTKNTGLGVTNKSAVRWPGVQMPNQQSLCSAGPPVTLSVVSRIRNGNNSWRGTVSGAAAAATGKMSSLSGAIAASFHNSKGNTHYVDCSSSKAKYHLLVFSPSGSMIQYSLRISNGPDSTAVTGLNTAYESGLEGDARLAVEAIQKWNICQKQNRREREDTTDIYGENGNLDNNKIYPEGKKKGNTIYPEAWSTVTKAKISPEEKHQLYISEAELQMHETQSPVWAKPELYFQSMIMEGVKMDDETASGGEIEIERIPTRTIEARSKDLVPVFDYLQTPRFQQTRSSSGSLDTMTDSGAGVAELSNGTEETEWGGSQTPVESKRFVNNNDSQKTKTQLEIVNNRERTLKTEAQLKFVNSNIEGMGMENLFREEGDELD >ONI13090 pep chromosome:Prunus_persica_NCBIv2:G4:12543976:12552028:-1 gene:PRUPE_4G201900 transcript:ONI13090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAVFEEPAVFVIWVLARLISLLLGMRNDGGQKQQGGVPRPARTNNFIPNSFRAISSYLRIVSSGASTVARSAASVASSIVDRDDDTNHDQVNWAGFDKLEGEGNVTRQVLLLGYRSGFQVWDVEESDNVRDLVSRYDGPVSFMQMLPKPIASKRLEDKFEESRPLLVVCADGSISVGSNIQDGMASPRNGISATSHDTMKSSFVPTVVRFYSLRSQSYVHVLKFRSVVYSVKCSSRVVAISQAAQIHCFDSTTLEREYTILTNPIVAGFPGSGGIGCGPLAVGKRWLAYSGSPVAVSTSGRVSPQHLEPSASFSGFPSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSQYCSELVPDSNIPLHSGNPGWKSNGTVNGQSADMDNVGMVIVRDIVSKVVIAQFRAHKSPISALCFDRSGTLLVTASVQGHNINVFKIMPGNSFSTDAAASYVHLYRLQRGFTNAIIQDISFSDDSNWIMVSSSRGTSHLFAINPWGGSVNFPTADAGITTKNTGLGVTNKSAVRWPGVQMPNQQSLCSAGPPVTLSVVSRIRNGNNSWRGTVSGAAAAATGKMSSLSGAIAASFHNSKGNTHYVDCSSSKAKYHLLVFSPSGSMIQYSLRISNGPDSTAVTGLNTAYESGLEGDARLAVEAIQKWNICQKQNRREREDTTDIYGENGNLDNNKIYPEGKKKGNTIYPEAWSTVTKAKISPEEKHQLYISEAELQMHETQSPVWAKPESMIMEGVKMDDETASGGEIEIERIPTRTIEARSKDLVPVFDYLQTPRFQQTRVAAIDSNVSGISENGRLSCRSSSGSLDTMTDSGAGVAELSNGTEETEWGGSQTPVESKRFVNNNDSQKTKTQLEIVNNRERTLKTEAQLKFVNSNIEGMGMENLFREEGDELD >ONI13092 pep chromosome:Prunus_persica_NCBIv2:G4:12543935:12552032:-1 gene:PRUPE_4G201900 transcript:ONI13092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAVFEEPAVFVIWVLARLISLLLGMRNDGGQKQQGGVPRPARTNNFIPNSFRAISSYLRIVSSGASTVARSAASVASSIVDRDDDTNHDQVNWAGFDKLEGEGNVTRQVLLLGYRSGFQVWDVEESDNVRDLVSRYDGPVSFMQMLPKPIASKRLEDKFEESRPLLVVCADGSISVGSNIQDGMASPRNGISATSHDTMKSSFVPTVVRFYSLRSQSYVHVLKFRSVVYSVKCSSRVVAISQAAQIHCFDSTTLEREYTILTNPIVAGFPGSGGIGCGPLAVGKRWLAYSGSPVAVSTSGRVSPQHLEPSASFSGFPSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSQYCSELVPDSNIPLHSGNPGWKSNGTVNGQSADMDNVGMVIVRDIVSKVVIAQFRAHKSPISALCFDRSGTLLVTASVQGHNINVFKIMPGNSFSTDAAASYVHLYRLQRGFTNAIIQDISFSDDSNWIMVSSSRGTSHLFAINPWGGSVNFPTADAGITTKNTGLGVTNKSAVRWPGVQMPNQQSLCSAGPPVTLSVVSRIRNGNNSWRGTVSGAAAAATGKMSSLSGAIAASFHNSKGNTHYVDCSSSKAKYHLLVFSPSGSMIQYSLRISNGPDSTAVTGLNTAYESGLEGDARLAVEAIQKWNICQKQNRREREDTTDIYGENGNLDNNKIYPEGKKKGNTIYPEAWSTVTKAKISPEEKHQLYISEAELQMHETQSPVWAKPELYFQSMIMEGVKMDDETASGGEIEIERIPTRTIEARSKDLVPVFDYLQTPRFQQTRVAAIDSNVSGISENGRLSCRSSSGSLDTMTDSGAGVAELSNGTEETEWGGSQTPVESKRFVNNNDSQKTKTQLEIVNNRERTLKTEAQLKFVNSNIEGMGMENLFREEGDELD >ONI13093 pep chromosome:Prunus_persica_NCBIv2:G4:12544045:12551910:-1 gene:PRUPE_4G201900 transcript:ONI13093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLPKPIASKRLEDKFEESRPLLVVCADGSISVGSNIQDGMASPRNGISATSHDTMKSSFVPTVVRFYSLRSQSYVHVLKFRSVVYSVKCSSRVVAISQAAQIHCFDSTTLEREYTILTNPIVAGFPGSGGIGCGPLAVGKRWLAYSGSPVAVSTSGRVSPQHLEPSASFSGFPSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSQYCSELVPDSNIPLHSGNPGWKSNGTVNGQSADMDNVGMVIVRDIVSKVVIAQFRAHKSPISALCFDRSGTLLVTASVQGHNINVFKIMPGNSFSTDAAASYVHLYRLQRGFTNAIIQDISFSDDSNWIMVSSSRGTSHLFAINPWGGSVNFPTADAGITTKNTGLGVTNKSAVRWPGVQMPNQQSLCSAGPPVTLSVVSRIRNGNNSWRGTVSGAAAAATGKMSSLSGAIAASFHNSKGNTHYVDCSSSKAKYHLLVFSPSGSMIQYSLRISNGPDSTAVTGLNTAYESGLEGDARLAVEAIQKWNICQKQNRREREDTTDIYGENGNLDNNKIYPEGKKKGNTIYPEAWSTVTKAKISPEEKHQLYISEAELQMHETQSPVWAKPELYFQSMIMEGVKMDDETASGGEIEIERIPTRTIEARSKDLVPVFDYLQTPRFQQTRVAAIDSNVSGISENGRLSCRSSSGSLDTMTDSGAGVAELSNGTEETEWGGSQTPVESKRFVNNNDSQKTKTQLEIVNNRERTLKTEAQLKFVNSNIEGMGMENLFREEGDELD >ONI10102 pep chromosome:Prunus_persica_NCBIv2:G4:1254906:1255824:1 gene:PRUPE_4G027000 transcript:ONI10102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCETNNFYLWVLQFFGLLGLLALVLWLALRPESPEYTIVDITIPKSDTDNGGQNGSILYVLEIKNSNKDSSIYYDDTILRFYYGSDTVGEKTIRSFHQGRGKTREVTDSLDVNPRVWKGIRNSISNATAELKVALLTRLRYRTWGVKSKHHGLHLQGKLLVGSDGKIFGKKKKIKLRRASKKWRSTRQRLLY >ONI11746 pep chromosome:Prunus_persica_NCBIv2:G4:6740584:6742987:1 gene:PRUPE_4G123600 transcript:ONI11746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTNSLLLLPQQLGPSRTQSPATIHFNHSQRCHRFCRATSSVSLWFCNGKRKVKSLKTKASKADKQEVKLEEKKGEEEEEFQVLTAMKSSYNDIVIVDTPKSRMLLLDSSQNVHSIVYKHQKWTASYWDEFASLPAIVPEGPIAILGLGGGTAAHLMLDLWPSLQLEGWEIDQILIDKAREYFGLSDLEKPTQAGGILNVHIGDALSPSVNISGGYAGEFLVYMSLYYLAHLWNAWMVKFKDLFL >ONI11745 pep chromosome:Prunus_persica_NCBIv2:G4:6740303:6746259:1 gene:PRUPE_4G123600 transcript:ONI11745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTNSLLLLPQQLGPSRTQSPATIHFNHSQRCHRFCRATSSVSLWFCNGKRKVKSLKTKASKADKQEVKLEEKKGEEEEEFQVLTAMKSSYNDIVIVDTPKSRMLLLDSSQNVHSIVYKHQKWTASYWDEFASLPAIVPEGPIAILGLGGGTAAHLMLDLWPSLQLEGWEIDQILIDKAREYFGLSDLEKPTQAGGILNVHIGDALSPSVNISGGYAGIVIDLFSDGKVLPQLQEVTTWLELKDQLMPNGRLMVNCGGVDGASDVRDGTAHPKNTSSDDSWLQNSTIKALSKAFPGQVSWKKMPQTFGENYLALTGPLPELTSWSAAVTGPLTASVNLWRPCEPCC >ONI10958 pep chromosome:Prunus_persica_NCBIv2:G4:3823814:3828684:1 gene:PRUPE_4G078800 transcript:ONI10958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTVELCGFCSVQSPEAVTEFVEKHTGRGTVYAVKVFHKDGKTRASAIVQFTHAEFAEMILTLAGDHLRRNVPYGKSNVKAAEMKFDMVPDSETFQPSMELVKLHFGCQISKERFSVLLTLSDVSVKFGMILKHFYFFFSYDYVEYKLEISYDNVSQIELHSPCDQLAKFLLIKINSLVQYGCVPGQALDVKFYKLVDPSRITIEYIECALEILFHLKECCYEPVSWLKNQYKKHRASKRIAKTPAISLDDGLVYVHRVQITPSKVYFCGPEINHSNCLFRKYPEDVDNFLRVSFVDEDLSKMRSEDLCMRSTKQERPTRVHERILSILKNGIVIGEKKFEFLAFSSSQLRGHSVWMFASRSKLTAQDIRNWMGDFKEIRNVAKHAARLGQSFSSSKEAFSVGEDEVELIPDVEIERDGVKYCFSDGIGKISADFAARVARKFELSRTPSVFQIRYGGYKGVLAVDPTLSKNLSLRKSMCKFQSNNTTLDVLKWSKYQPYFLNREVITLLSTLGVPDDVFMEKQKQVLNQLDGVLADPFREQKTMELLFQGEVINILKEMVLCGYTPDAEPFLAMMLHAYSAEKLQKLRSKTRIFVPSGRSMMGCLDETGTLEYGQVFVQCSHRGSRQFYDVSSHIISGSSSSEDSFIVKGKVVVAKNPCLHPGDMRVLKAVNVPALHHLVDCVVFPQKGKRPHPNECSGGDLDGDLYLVSWDPYLIPPRQIEPMNYSPARTVQLDHDVTLEEVEESFTNYIVNDNLGIISTAHTVFADREPDKAMSAPCKDLAKLNSLAVDSPKTGLLVKMPDHLRAKEYPDFMEKLDKPTYESKGVIGKLFRQVKEHVELVSHSCSSSNIKSFTAEVAKKCYDPDMEVDGFKLYINEAIRYRREYDCKLQNLMEYYGIKSEAEILSGTFAQTSKCFDTRKDLKANIGIAVRSLKKEARGWFYEKQGSDFQSNISTDDDDACAAKASAWYYVTYHPRYFVGCYKEGTGRERFVSFPWCVFDKLLQIKRDKISIRNSVHIDLTELA >ONI10959 pep chromosome:Prunus_persica_NCBIv2:G4:3823814:3828684:1 gene:PRUPE_4G078800 transcript:ONI10959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTVELCGFCSVQSPEAVTEFVEKHTGRGTVYAVKVFHKDGKTRASAIVQFTHAEFAEMILTLAGDHLRRNVPYGKSNVKAAEMKFDMVPDSETFQPSMELVKLHFGCQISKERFSVLLTLSDVSVKFGMILKHFYFFFSYDYVEYKLEISYDNVSQIELHSPCDQLAKFLLIKINSLVQYGCVPGQALDVKFYKLVDPSRITIEYIECALEILFHLKECCYEPVSWLKNQYKKHRASKRIAKTPAISLDDGLVYVHRVQITPSKVYFCGPEINHSNCLFRKYPEDVDNFLRVSFVDEDLSKMRSEDLCMRSTKQERPTRVHERILSILKNGIVIGEKKFEFLAFSSSQLRGHSVWMFASRSKLTAQDIRNWMGDFKEIRNVAKHAARLGQSFSSSKEAFSVGEDEVELIPDVEIERDGVKYCFSDGIGKISADFAARVARKFELSRTPSVFQIRYGGYKGVLAVDPTLSKNLSLRKSMCKFQSNNTTLDVLKWSKYQPYFLNREVITLLSTLGVPDDVFMEKQKQVLNQLDGVLADPFREQKTMELLFQGEVINILKEMVLCGYTPDAEPFLAMMLHAYSAEKLQKLRSKTRIFVPSGRSMMGCLDETGTLEYGQVFVQCSHRGSRQFYDVSSHIISGSSSSEDSFIVKGKVVVAKNPCLHPGDMRVLKAVNVPALHHLVDCVVFPQKGKRPHPNECSGGDLDGDLYLVSWDPYLIPPRQIEPMNYSPARTVQLDHDVTLEVYYMTLEFIK >ONI10960 pep chromosome:Prunus_persica_NCBIv2:G4:3823814:3827225:1 gene:PRUPE_4G078800 transcript:ONI10960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTVELCGFCSVQSPEAVTEFVEKHTGRGTVYAVKVFHKDGKTRASAIVQFTHAEFAEMILTLAGDHLRRNVPYGKSNVKAAEMKFDMVPDSETFQPSMELVKLHFGCQISKERFSVLLTLSDVSVKFGMILKHFYFFFSYDYVEYKLEISYDNVSQIELHSPCDQLAKFLLIKINSLVQYGCVPGQALDVKFYKLVDPSRITIEYIECALEILFHLKECCYEPVSWLKNQYKKHRASKRIAKTPAISLDDGLVYVHRVQITPSKVYFCGPEINHSNCLFRKYPEDVDNFLRVSFVDEDLSKMRSEDLCMRSTKQERPTRVHERILSILKNGIVIGEKKFEFLAFSSSQLRGHSVWMFASRSKLTAQDIRNWMGDFKEIRNVAKHAARLGQSFSSSKEAFSVGEDEVELIPDVEIERDGVKYCFSDGIGKISADFAARVARKFELSRTPSVFQIRYGGYKGVLAVDPTLSKNLSLRKSMCKFQSNNTTLDVLKWSKYQPYFLNREVITLLSTLGVPDDVFMEKQKQVLNQLDGVLADPFREQKTMELLFQGEVINILKEMVLCGYTPDAEPFLAMMLHAYSAEKLQKLRSKTRIFVPSGRSMMGCLDETGTLEYGQVFVQCSHRGSRQFYDVSSHIISGSSSSEDSFIVKGKVVVAKNPCLHPGDMRVLKAVNVPALHHLVDCVVFPQKGKR >ONI13205 pep chromosome:Prunus_persica_NCBIv2:G4:13091071:13092918:1 gene:PRUPE_4G209800 transcript:ONI13205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPQDYMVEEREEYMVSPTGGNPFLRKAYFLKPTLPSSAKEPPLKLPLCFSSLPSHFEPKKKWPLKVKFPGWRTVSEDFITWVDRLASVHESTWKKAGIYEAILSSRYEIRRQGDLACGFAEKWCSETNSFIFPWGEATITLEDVMILGGFSVLGHTVLSPLETTESKEIEEKLNRERRTPYRDVSRDVLASEWLKKFKNSGTEFEHEAFLALWLSRFVFQGASCRIHKHAISMAIHLARGTRIALAPAVLASIYRDLGLLKREIVASNQLKRGRATSEAILRSPFQLVQVWAWERFSELRPKPNDINYTKPRMARWEGVDGLKEKWVLVGPDLDDELLSFVRCLRVSELVGLGTIEHYLPHRVAMQFGFDQELPCSVTRRRNSDVAWKHYNREINNVKLYLPPRLFEGDVSTKYLKWWKQSVLGLEDANEAAVPQEKKDQSVNCSLLRANHTPAPPSFPPKWDRMEAPINEDKLTVSEVLKHNRTKKHRNLEIRQDGDSEKLLSDKIQLASPISDKKFNKDMVSIVGNECHSHSSLFEKGLLEFEKRDSELEVRFKRLESVVQELKAHTSGTSFRKS >ONI12993 pep chromosome:Prunus_persica_NCBIv2:G4:11966423:11970107:1 gene:PRUPE_4G196200 transcript:ONI12993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIFSFMFIGIYVSLFFNKTSTAADAITQSQSLRDGNTLISKHGSFELGFFSQPAPDSKNSFLGIWYKSSVSLVTNVTWVANQQNPINGSSGILMINSTGSVVLLSQDQTVVWSINLSRQPRNPILQLLDSGNLVLREAKDGNSENYLWQSFDSHSDKLFQGMKIEWNVKTRACRSLLASRVEHDTSTSGDYCDSNGRCGPNGICTITKSPVCSCFKGFEPKALGKWNFGEYQEGCVRTEPLSCQNKHEFIKYPGVKLPDITNSWVNQTLSLRECREICLNNCSCMAYASSSVKGGVSGCTIWFGNLMNIRELLDGGQDLYIQMPASGLKANWPKTKIAVIVVAVASVVSGTLLAVYIIRRKRRKFREKIRKNGMMGQDNEGQEADLELPLFSLPTIVTATDNFSFTRKLGEGGFGPVYKGRLEDGQEIAVKRLSQSSGQGPDEFKNEVLLIAKLQHRNLVRLLGCCIDGEEKLLIYEYLPNKSLDFYIFDKTQGRLLDWSQRFHIIRGIARGLLYLHQDSRLRIIHRDLKASNVLLDKDMNPKISDFGLARTFGGDQTEGVTRNVVGTYGYMAPEYAIDGQFSVKSDVFSFGILMLEIVSGKRSRGFYDPDDNLNLIGHAWRLWKGGRSLELIDESLRDSCTLSELLRCIHVSLLCVQQLPVDRPTMSSVILMLGDEFPLPQPKKPGFFGGKYSSEADSSSSKNLTSSTNEPSSTYDSTITLPEAR >ONI12989 pep chromosome:Prunus_persica_NCBIv2:G4:11965771:11970150:1 gene:PRUPE_4G196200 transcript:ONI12989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCPLLDTILHHLSDAITQSQSLRDGNTLISKHGSFELGFFSQPAPDSKNSFLGIWYKSSVSLVTNVTWVANQQNPINGSSGILMINSTGSVVLLSQDQTVVWSINLSRQPRNPILQLLDSGNLVLREAKDGNSENYLWQSFDSHSDKLFQGMKIEWNVKTRACRSLLASRVEHDTSTSGDYCDSNGRCGPNGICTITKSPVCSCFKGFEPKALGKWNFGEYQEGCVRTEPLSCQNKHEFIKYPGVKLPDITNSWVNQTLSLRECREICLNNCSCMAYASSSVKGGVSGCTIWFGNLMNIRELLDGGQDLYIQMPASGLKANWPKTKIAVIVVAVASVVSGTLLAVYIIRRKRRKFREKIRKNGMMGQDNEGQEADLELPLFSLPTIVTATDNFSFTRKLGEGGFGPVYKGRLEDGQEIAVKRLSQSSGQGPDEFKNEVLLIAKLQHRNLVRLLGCCIDGEEKLLIYEYLPNKSLDFYIFDKTQGRLLDWSQRFHIIRGIARGLLYLHQDSRLRIIHRDLKASNVLLDKDMNPKISDFGLARTFGGDQTEGVTRNVVGTYGYMAPEYAIDGQFSVKSDVFSFGILMLEIVSGKRSRGFYDPDDNLNLIGHAWRLWKGGRSLELIDESLRDSCTLSELLRCIHVSLLCVQQLPVDRPTMSSVILMLGDEFPLPQPKKPGFFGGKYSSEADSSSSKNLTSSTNEPSSTYDSTITLPEAR >ONI12992 pep chromosome:Prunus_persica_NCBIv2:G4:11965797:11970150:1 gene:PRUPE_4G196200 transcript:ONI12992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIFSFMFIGIYVSLFFNKTSTAADAITQSQSLRDGNTLISKHGSFELGFFSQPAPDSKNSFLGIWYKSSVSLVTNVTWVANQQNPINGSSGILMINSTGSVVLLSQDQTVVWSINLSRQPRNPILQLLDSGNLVLREAKDGNSENYLWQSFDSHSDKLFQGMKIEWNVKTRACRSLLASRVEHDTSTSGDYCDSNGRCGPNGICTITKSPVCSCFKGFEPKALGKWNFGEYQEGCVRTEPLSCQNKHEFIKYPGVKLPDITNSWVNQTLSLRECREICLNNCSCMAYASSSVKGGVSGCTIWFGNLMNIRELLDGGQDLYIQMPASGLKANWPKTKIAVIVVAVASVVSGTLLAVYIIRRKRRKFREKIRKNGMMGQDNEGQEADLELPLFSLPTIVTATDNFSFTRKLGEGGFGPVYKGRLEDGQEIAVKRLSQSSGQGPDEFKNEVLLIAKLQHRNLVRLLGCCIDGEEKLLIYEYLPNKSLDFYIFDKTQGRLLDWSQRFHIIRGIARGLLYLHQDSRLRIIHRDLKASNVLLDKDMNPKISDFGLARTFGGDQTEGVTRNVVGTYGYMAPEYAIDGQFSVKSDVFSFGILMLEIVSGKRSRGFYDPDDNLNLIGHAWRLWKGGRSLELIDESLRDSCTLSELLRCIHVSLLCVQQLPVDRPTMSSVILMLGDEFPLPQPKKPGFFGGKYSSEADSSSSKNLTSSTNEPSSTYDSTITLPEAR >ONI12994 pep chromosome:Prunus_persica_NCBIv2:G4:11965804:11970404:1 gene:PRUPE_4G196200 transcript:ONI12994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEWNVKTRACRSLLASRVEHDTSTSGDYCDSNGRCGPNGICTITKSPVCSCFKGFEPKALGKWNFGEYQEGCVRTEPLSCQNKHEFIKYPGVKLPDITNSWVNQTLSLRECREICLNNCSCMAYASSSVKGGVSGCTIWFGNLMNIRELLDGGQDLYIQMPASGLKANWPKTKIAVIVVAVASVVSGTLLAVYIIRRKRRKFREKIRKNGMMGQDNEGQEADLELPLFSLPTIVTATDNFSFTRKLGEGGFGPVYKGRLEDGQEIAVKRLSQSSGQGPDEFKNEVLLIAKLQHRNLVRLLGCCIDGEEKLLIYEYLPNKSLDFYIFDKTQGRLLDWSQRFHIIRGIARGLLYLHQDSRLRIIHRDLKASNVLLDKDMNPKISDFGLARTFGGDQTEGVTRNVVGTYGYMAPEYAIDGQFSVKSDVFSFGILMLEIVSGKRSRGFYDPDDNLNLIGHAWRLWKGGRSLELIDESLRDSCTLSELLRCIHVSLLCVQQLPVDRPTMSSVILMLGDEFPLPQPKKPGFFGGKYSSEADSSSSKNLTSSTNEPSSTYDSTITLPEAR >ONI12991 pep chromosome:Prunus_persica_NCBIv2:G4:11965810:11970404:1 gene:PRUPE_4G196200 transcript:ONI12991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIFSFMFIGIYVSLFFNKTSTAADAITQSQSLRDGNTLISKHGSFELGFFSQPAPDSKNSFLGIWYKSSVSLVTNVTWVANQQNPINGSSGILMINSTGSVVLLSQDQTVVWSINLSRQPRNPILQLLDSGNLVLREAKDGNSENYLWQSFDSHSDKLFQGMKIEWNVKTRACRSLLASRVEHDTSTSGDYCDSNGRCGPNGICTITKSPVCSCFKGFEPKALGKWNFGEYQEGCVRTEPLSCQNKHEFIKYPGVKLPDITNSWVNQTLSLRECREICLNNCSCMAYASSSVKGGVSGCTIWFGNLMNIRELLDGGQDLYIQMPASGLKANWPKTKIAVIVVAVASVVSGTLLAVYIIRRKRRKFREKIRKNGMMGQDNEGQEADLELPLFSLPTIVTATDNFSFTRKLGEGGFGPVYKGRLEDGQEIAVKRLSQSSGQGPDEFKNEVLLIAKLQHRNLVRLLGCCIDGEEKLLIYEYLPNKSLDFYIFDKTQGRLLDWSQRFHIIRGIARGLLYLHQDSRLRIIHRDLKASNVLLDKDMNPKISDFGLARTFGGDQTEGVTRNVVGTYGYMAPEYAIDGQFSVKSDVFSFGILMLEIVSGKRSRGFYDPDDNLNLIGHAWRLWKGGRSLELIDESLRDSCTLSELLRCIHVSLLCVQQLPVDRPTMSSVILMLGDEFPLPQPKKPGFFGGKYSSEADSSSSKNLTSSTNEPSSTYDSTITLPEAR >ONI12990 pep chromosome:Prunus_persica_NCBIv2:G4:11966011:11970404:1 gene:PRUPE_4G196200 transcript:ONI12990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIFSFMFIGIYVSLFFNKTSTAADAITQSQSLRDGNTLISKHGSFELGFFSQPAPDSKNSFLGIWYKSSVSLVTNVTWVANQQNPINGSSGILMINSTGSVVLLSQDQTVVWSINLSRQPRNPILQLLDSGNLVLREAKDGNSENYLWQSFDSHSDKLFQGMKIEWNVKTRACRSLLASRVEHDTSTSGDYCDSNGRCGPNGICTITKSPVCSCFKGFEPKALGKWNFGEYQEGCVRTEPLSCQNKHEFIKYPGVKLPDITNSWVNQTLSLRECREICLNNCSCMAYASSSVKGGVSGCTIWFGNLMNIRELLDGGQDLYIQMPASGLKANWPKTKIAVIVVAVASVVSGTLLAVYIIRRKRRKFREKIRKNGMMGQDNEGQEADLELPLFSLPTIVTATDNFSFTRKLGEGGFGPVYKGRLEDGQEIAVKRLSQSSGQGPDEFKNEVLLIAKLQHRNLVRLLGCCIDGEEKLLIYEYLPNKSLDFYIFDKTQGRLLDWSQRFHIIRGIARGLLYLHQDSRLRIIHRDLKASNVLLDKDMNPKISDFGLARTFGGDQTEGVTRNVVGTYGYMAPEYAIDGQFSVKSDVFSFGILMLEIVSGKRSRGFYDPDDNLNLIGHAWRLWKGGRSLELIDESLRDSCTLSELLRCIHVSLLCVQQLPVDRPTMSSVILMLGDEFPLPQPKKPGFFGGKYSSEADSSSSKNLTSSTNEPSSTYDSTITLPEAR >ONI13663 pep chromosome:Prunus_persica_NCBIv2:G4:15272069:15274068:-1 gene:PRUPE_4G235800 transcript:ONI13663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWIWATIGLLALVHILQAWCKNKKKTLPPGPRGFPIFGSLHLLSGEFPNKDLHRLAQKYGDIMYMRLGLMPTIVVSSPQAAKLFLKTHDLVFASRPPHEGAKHISFGQRNLSFAEYGPYWRDMRKMCTLELLSNHKINSFKSMRREEVALCVESIRDAAYKGRVAVDLSDIVSSLSVDMSCRMVLGKKYRDEDFDARGFRSVIKEGIQLAGASNLADYIPFIAPLDLQGFTKRMKSVNKAFDVFFEKIIEEHLQSNDGERTKDFVDVMVGFMGSVESEYQIERPHIKAIIMDMLVASMDTSSTTVDWALSELMRHPKAMKEVQKELEDVVGLERMVEESDLEKLDYLSMVVKETLRLHPVAPLLVPHAATEDCIVNGYHIPKKSRVIINAWAIGRDPSAWTDAEEFIPERFEGSDVDVRGNHFQLIPFGSGRRRCPGIQLGLTVVQLMLAQLVHCFDWELPNNMLPEELDMSESFGITVERVKRLIAIPSYRLHK >ONI13988 pep chromosome:Prunus_persica_NCBIv2:G4:18065280:18066061:-1 gene:PRUPE_4G256700 transcript:ONI13988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKATKLKQRKMKEKQGNEHEGEEKCYLRWNIQMDRSLAEILREECQMVHKGDGGWKSVAYNTAAAILSAQYNIEVSADNIKNRVKTWKRFYAVVSDILSQSGFSWDATKKMITIDEENVWNEYVKSQEDARTFRYKVIANWDDIVDLCGKDRATGEGAETCSEAAEVMTLIANRIILLTWVLILKVLRILTLMMSHPILAVQRKEINHLLKFAHQRKEVLLMFLLI >ONI11084 pep chromosome:Prunus_persica_NCBIv2:G4:4251383:4255717:1 gene:PRUPE_4G086300 transcript:ONI11084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSFLTSLGTSFAIFVILMFLFTWLSRKPGNTVIYYPNRILRGLDPWEGGSKTRNPFAWIKEALTSTEQEVISMSGVDTAVYFVFLTTVLGILVLSSLILLPVLLPVAATDVGDKLSINATSNGTFNDLDKLSMGHLQEKSPRLWAFLIGVYWVSFVTYFLLWKAYKHVSALRANALMSPQMKPEQFAILVRDIPAAPAGQLRKEQVDSYFKTLYPDTFYRSLVVTNNKKVNKIWEELEKYKKKLARAESIYAASKNTGNADGKRPTNKTGFLGLCGNKVDSIDYYTEKINELIPKLETEQKATLREKQENAALVFFTNRVTAASAAQTLHAQIVNTWTVTEAPEPRQVLWPNLKIKFFQRQVRQYVVYIFVALTVVFYMIPIAFISAFTTLDNLKKLLPFLKPVVNQAAIKTLLEAYLPQIALIIFLALLPKFLYFLSKAEGIPSQSHAIRAASGKYFYFTIFNVFLGVTIGGTLFSTFKTIENDPNSIITLLATSLPGNATYFLTFVALKFFVGYGLELSRIVPLIIFHIKRKYLCKTEAELKAAWLPSDLGYGTRVPGDMLIITIVLCYSVIAPLIVPFGVLYFGIGWLVLRNQALKVYVPAYESYGRMWPHMHVRVLAALILYQVTMFGYFGVKKFVFAPFLIVLPILSLLFGFICRKKFYRAFQDTALEVAAHELKELPNMEQVYRAFIPPSLGSEKMDDDQFEDAQSHVSRAGSFA >ONI13810 pep chromosome:Prunus_persica_NCBIv2:G4:16368755:16371086:-1 gene:PRUPE_4G246500 transcript:ONI13810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDTMEEARNYYEEYGRQEGFWIRTRSSSKTRRRLDEVTSRQFVCAHEGKYVPKNTSQKALEENDEKDINEIENMKRTGKNCSTVKCGCKASMRIKLDRWSNKWKVSSFQDSHNHKPVTPERRMKMKSNRVMPKAAKILTETFHEENLPIAKVPSIFGGPHIGFNNRDCYNHLRNVRHRQLDGGDAQSVLTYFRKKQAENPQFFYAIQCDENGRAANFFWVDARSRMAYNYFGDVVTFDTTYRTNKYDMPFAPFTGVNHHLQSIQFGCALLQDETEVTFLWLFETWLEAMGGRHPVSIITDQDLAMKGAIAKIFPNTRHRLCLWHIKKKFAEKLSHVYFKKSKFKIQMKKCIRSTYKIEEFEEKWKELMKECELANDDWLNSLYDIRSSWVPVYNRGIFFAGMNTTGRSEGINSFFDGFVTPTTNLREFVVKYEQALKRIMDRESDEDFESEHKYRIVNEGEFLLKHAAKFYTRNVFNKFKDEWSKVTLYKVEEIPCDDEYHAYLVKTKLGEHEEFVVKLNLQTYKGMCECQNFEFVGILCRHLLKVFVRLDIDTLPDHFILPRWRQEANKFRIMDFKSLVTNDGKEESEALRLSHMCHQATKLACIAASSNEAYTTFIEALNELSKKLSDNSTQHATIPSSTTGDPCSTNIDSSQLLLLDPNISQTKGRKKDNISGSKRLKSGIELAQNKKKRKCALCKKIAQHDKRNCPSNLKRRKNESTNLCQKLMFNLILMNLNLGNEESEDLCQDMESDDQEYC >ONI11896 pep chromosome:Prunus_persica_NCBIv2:G4:7376488:7378406:1 gene:PRUPE_4G133200 transcript:ONI11896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSFERQIKHYNSYQKILLVGEGDFSFAVCLARAFDLAVNMFVTSLDSRESLMLNYSKAMSKVMELEARGCKVLLEVDVHSMSQHPFLISERFDRIIYNFPHVGYLHGPFSAECNGFQNRFHQDLIRGFLQNASQILTAIGEIHVTHKTTFPFSEQKIVELAQEVGLYLVHEEHFSLLDYPAYENKRGAWICDQPFPVGMCITFKFAKLHSYTTSGFNLGITAPGPWSGYSGIIGPHMQ >ONI11895 pep chromosome:Prunus_persica_NCBIv2:G4:7376310:7379429:1 gene:PRUPE_4G133200 transcript:ONI11895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSFERQIKHYNSYQKILLVGEGDFSFAVCLARAFDLAVNMFVTSLDSRESLMLNYSKAMSKVMELEARGCKVLLEVDVHSMSQHPFLISERFDRIIYNFPHVGYLHGPFSAECNGFQNRFHQDLIRGFLQNASQILTAIGEIHVTHKTTFPFSEQKIVELAQEVGLYLVHEEHFSLLDYPAYENKRGAWICDQPFPVGMCITFKFAKLHSYTTSGFNLGITAPGPWSGYSGIIGPHMQWSHQNLVRAYFKSAREMLTETGEVHVTHKTTYPFSEWNIVTLALEAGLFLVDVESFSLWNYPGYQNKRGAGICDQTFPVGKSSTFKFSKYLPVSSSMVREY >ONI11894 pep chromosome:Prunus_persica_NCBIv2:G4:7376332:7379429:1 gene:PRUPE_4G133200 transcript:ONI11894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSFERQIKHYNSYQKILLVGEGDFSFAVCLARAFDLAVNMFVTSLDSRESLMLNYSKAMSKVMELEARGCKVLLEVDVHSMSQHPFLISERFDRIIYNFPHVGYLHGPFSAECNGFQNRFHQDLIRGFLQNASQILTAIGEIHVTHKTTFPFSEQKIVELAQEVGLYLVHEEHFSLLDYPAYENKRGAWICDQPFPVGMCITFKFAKLHSYTTSGFNLGITAPGPWSGYSGIIGPHMQCDVTGNMPKYISTIEYSKAYSSYQKILLVGEGDFSFAACLARAFGSAANIVATSLDSREFLMVNYSKAMSNLKELENRGCTILHCVDCKTMNLHPCLYNKRFDRIIYNFPHSGYSNGLSSSEYSIFQILSHQNLVRAYFKSAREMLTETGEVHVTHKTTYPFSEWNIVTLALEAGLFLVDVESFSLWNYPGYQNKRGAGICDQTFPVGKSSTFKFSKYLPVSSSMVREY >ONI10833 pep chromosome:Prunus_persica_NCBIv2:G4:3463426:3465832:-1 gene:PRUPE_4G070600 transcript:ONI10833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNYEMGVRWFKMILGVLVFSILLSQYSCVYGEAPQVPCFFIFGDSLVDSGNNNYLPTLAKVNYLPYGVDFPIGATGRFCNGRTSVDILAELLGFKKPIPPFATTWGLVILRGLNYASGASGIRAESGTEMGVNVNLEQQLRNHHVTVLRIASILRHKALALKYLNKCFYFMGIGNNDYINNYFQTQYFNTSRIYTLEQYASVLIEQYSQQIMTLYRYGARKVALVGVAPIGNATEPFNEKLRALVDKLNADLEDAQFIYVNSSSVSRPADFKVPNISCCAVNELGLCNPFEPVCQNRSDYALWDSFHPTEAANIASAGRAYSSQDPSDTYPMDISHLVQLSL >ONI13348 pep chromosome:Prunus_persica_NCBIv2:G4:13579870:13586235:1 gene:PRUPE_4G216600 transcript:ONI13348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCPQPKCSPSNLSLSLSLSLYNCKHGLHFGLWRSHLTESLTCALPAQDMESPSFVSKARTAFHSAAAKAERVFSDLKPARDSDKQSPKNLINQADDEPPSNEGESKGSNELKHLRWRPPNIGTKQEWQDRLRNIGKGKKEVEDEKAEYSTMAVPFYDENLYLLNMKNDIEAKGAEMIPSVESFVADNIVIPPLSVMRQLATAVEAGKKLKSLKDFLATSGSSSPVRERASLSLYAVRSLVLREKDERPSDFGNNEKVLSLIRSLFDAEGNFLRRKIDSGSEAITAASLPRDIHGAPPESLVVKLAEVVGSFRTLKKMTLFWCRVVEELRRLWSEEEHVPSIPIDEIPDLNSCLLYQRLQVINCCISRKRRRAIATETLDFVITEASPKAEESAISNDNPAGPMLYARLSTGELVLRLGADRPFGNVTMLETGEPVYCPVTQEGPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWSETEPEDRDSFDGSDALSTRGYLSSRMQKEGNLWREFWDTSKPVPAVKQVPLFDEDLAVEGILDGFDDISPSELFEQLFVSLLGLGFVIAEAKLSSSSDFSKLFYECKEYVVSTCQSSTRTEKVDELCQVYETVETMLQNPEEVLKMMKQPEESTTPSGEPKRRFKRLILNFGGKDRQLKKSASKDQKNSTSPSSQPFSSFFDNKSSLFSKKPPKPENTSPAEKPSITDENDWTVV >ONI13349 pep chromosome:Prunus_persica_NCBIv2:G4:13580329:13586235:1 gene:PRUPE_4G216600 transcript:ONI13349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPFYDENLYLLNMKNDIEAKGAEMIPSVESFVADNIVIPPLSVMRQLATAVEAGKKLKSLKDFLATSGSSSPVRERASLSLYAVRSLVLREKDERPSDFGNNEKVLSLIRSLFDAEGNFLRRKIDSGSEAITAASLPRDIHGAPPESLVVKLAEVVGSFRTLKKMTLFWCRVVEELRRLWSEEEHVPSIPIDEIPDLNSCLLYQRLQVINCCISRKRRRAIATETLDFVITEASPKAEESAISNDNPAGPMLYARLSTGELVLRLGADRPFGNVTMLETGEPVYCPVTQEGPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWSETEPEDRDSFDGSDALSTRGYLSSRMQKEGNLWREFWDTSKPVPAVKQVPLFDEDLAVEGILDGFDDISPSELFEQLFVSLLGLGFVIAEAKLSSSSDFSKLFYECKEYVVSTCQSSTRTEKVDELCQVYETVETMLQNPEEVLKMMKQPEESTTPSGEPKRRFKRLILNFGGKDRQLKKSASKDQKNSTSPSSQPFSSFFDNKSSLFSKKPPKPENTSPAEKPSITDENDWTVV >ONI10011 pep chromosome:Prunus_persica_NCBIv2:G4:1088984:1097412:-1 gene:PRUPE_4G023200 transcript:ONI10011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDAPPSSSPFPASLRISNSNPKPFSDKALAMEGEEPPSCPSPSPYRKPSSLFRDISNFKTPKRPSRISNLHSPGPHFFTASKQTPRTSSSFRRRPSLAQSNSSRTKAAARKLKAFEVEQSQSSRKVQIQKEQKLKSLAKSLNVWLNFLFQNPRSCGCTLSVDEDHRGGTLPKGKRDSEPGSAVRVDSAWRDPKRQRDSSWRAVSAVAFSSSKYSNLRSSLEHVCSVDDLTQRMRLYLSMGNCKEVFDAMTQVAKNIDEGRLKMKAHCPLVTDVGFKKKATRILMSYNPIWLRIGLYVVFGGDSLLSDRDANSDEEIRFLKMIIEKQIFAHASLAKDYAYNKMVDGLYRPGYYEALGNVILKRFLLLVLILDRAKCQSSLSLKYGIDGVDGGSPLLFTVESNIKSSHQVIRDFLSSDVMLGEGNILAHLVILGYKVSYQQDPLVEFDFRVTDLFVDLQDGVHLCRIIQLLQDDTSILTKMVVPADTHKKHLANCGIALQYLRQAGVALHDEDGMMILEDDIAHGDKELTLSLLWNMFVHFQLPLLIKKTNLAEEICKIRGNGAICENYDCKVDSFSSLVDGKAIWCLLDFYFRKQLCCGWSSKDPNKSSHEESIMLVTDYSDAVHNFLLSQKLLTLLGNFPEVLQISDILEYNGACNDRSVVILLVFLSSQLIVKKNMDQLNFHKLLRCDCQSLERKYSCMQCSVRPDAAHIQEETYDHSAEDSVIKFKAIQAWWQDMADRNHKSVAKPAVPALHNVFTNEGNINIQKVNAAKRIQSHFRRAIERRKFVKMLNAAAFLQTVFRAWLSARQNPARIKFSTIQVQELACERWRQRETGRRYAMFIIGRHGFLNLKRSVLLIQRAVRNWITQRHRGGSILTLDACTSDLVNAAIVVQRHIRGWLTRSRYIHGVALVDKSSNLCQENGAHDFQIWGAVKIQLAWKNFSVRHSLRYQQFAATKIQSHFRSWLLRRRFHTQRQAIIKIQSALRMSICWMAYQQYKIATASATVIQSYVRACIAQRGADQRRHVIVAIQRYCRGWLIRSYFLCQREAAVKIQSAVRCLICRQAFHRRRQAAMKIQPIVKGQISRNRLLGASSLRPVISNGCLSKSTGAFYKSAELNKVFCSVLKLQRWWRGAMLLKLRTKSAVIIQSHIRGWLDRQKATGEKQCIVVIQSWWRGYLARKKETGGELLDLRLRVQKSAANVDDNMRIINRLVAALSELRTMKSVSGILHTCVTLDKATQHSHKCCEKLVEAGAIKTLLKLFRSASRSIPDQEVLKHVLSTLRNLARYPHLIEVLIDSPGSVETVVGEFLRNKEEGYFIASELLKKICASRKGVEAVRKSPALLKRLHSLVEELSKKANNEKRNARVTVGREYTERRLKEAVEILKLATAGRLGF >ONI10012 pep chromosome:Prunus_persica_NCBIv2:G4:1089143:1097412:-1 gene:PRUPE_4G023200 transcript:ONI10012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDAPPSSSPFPASLRISNSNPKPFSDKALAMEGEEPPSCPSPSPYRKPSSLFRDISNFKTPKRPSRISNLHSPGPHFFTASKQTPRTSSSFRRRPSLAQSNSSRTKAAARKLKAFEVEQSQSSRKVQIQKEQKLKSLAKSLNVWLNFLFQNPRSCGCTLSVDEDHRGGTLPKGKRDSEPGSAVRVDSAWRDPKRQRDSSWRAVSAVAFSSSKYSNLRSSLEHVCSVDDLTQRMRLYLSMGNCKEVFDAMTQVAKNIDEGRLKMKAHCPLVTDVGFKKKATRILMSYNPIWLRIGLYVVFGGDSLLSDRDANSDEEIRFLKMIIEKQIFAHASLAKDYAYNKMVDGLYRPGYYEALGNVILKRFLLLVLILDRAKCQSSLSLKYGIDGVDGGSPLLFTVESNIKSSHQVIRDFLSSDVMLGEGNILAHLVILGYKVSYQQDPLVEFDFRVTDLFVDLQDGVHLCRIIQLLQDDTSILTKMVVPADTHKKHLANCGIALQYLRQAGVALHDEDGMMILEDDIAHGDKELTLSLLWNMFVHFQLPLLIKKTNLAEEICKIRGNGDNLINFESSSLEMLLKWIQAICENYDCKVDSFSSLVDGKAIWCLLDFYFRKQLCCGWSSKDPNKSSHEESIMLVTDYSDAVHNFLLSQKLLTLLGNFPEVLQISDILEYNGACNDRSVVILLVFLSSQLIVKKNMSLERKYSCMQCSVRPDAAHIQEETYDHSAEDSVIKFKAIQAWWQDMADRNHKSVAKPAVPALHNVFTNEGNINIQKVNAAKRIQSHFRRAIERRKFVKMLNAAAFLQTVFRAWLSARQNPARIKFSTIQVQELACERWRQRETGRRYAMFIIGRHGFLNLKRSVLLIQRAVRNWITQRHRGGSILTLDACTSDLVNAAIVVQRHIRGWLTRSRYIHGVALVDKSSNLCQENGAHDFQIWGAVKIQLAWKNFSVRHSLRYQQFAATKIQSHFRSWLLRRRFHTQRQAIIKIQSALRMSICWMAYQQYKIATASATVIQSYVRACIAQRGADQRRHVIVAIQRYCRGWLIRSYFLCQREAAVKIQSAVRCLICRQAFHRRRQAAMKIQPIVKGQISRNRLLVISNGCLSKSTGAFYKSAELNKVFCSVLKLQRWWRGAMLLKLRTKSAVIIQSHIRGWLDRQKATGEKQCIVVIQSWWRGYLARKKETGGELLDLRLRVQKSAANVDDNMRIINRLVAALSELRTMKSVSGILHTCVTLDKATQHSHKCCEKLVEAGAIKTLLKLFRSASRSIPDQEVLKHVLSTLRNLARYPHLIEVLIDSPGSVETVVGEFLRNKEEGYFIASELLKKICASRKGVEAVRKSPALLKRLHSLVEELSKKANNEKRNARVTVGREYTERRLKEAVEILKLATAGRLGF >ONI10014 pep chromosome:Prunus_persica_NCBIv2:G4:1088984:1097485:-1 gene:PRUPE_4G023200 transcript:ONI10014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDAPPSSSPFPASLRISNSNPKPFSDKALAMEGEEPPSCPSPSPYRKPSSLFRDISNFKTPKRPSRISNLHSPGPHFFTASKQTPRTSSSFRRRPSLAQSNSSRTKAAARKLKAFEVEQSQSSRKVQIQKEQKLKSLAKSLNVWLNFLFQNPRSCGCTLSVDEDHRGGTLPKGKRDSEPGSAVRVDSAWRDPKRQRDSSWRAVSAVAFSSSKYSNLRSSLEHVCSVDDLTQRMRLYLSMGNCKEVFDAMTQVAKNIDEGRLKMKAHCPLVTDVGFKKKATRILMSYNPIWLRIGLYVVFGGDSLLSDRDANSDEEIRFLKMIIEKQIFAHASLAKDYAYNKMVDGLYRPGYYEALGNVILKRFLLLVLILDRAKCQSSLSLKYGIDGVDGGSPLLFTVESNIKSSHQVIRDFLSSDVMLGEGNILAHLVILGYKVSYQQDPLVEFDFRVTDLFVDLQDGVHLCRIIQLLQDDTSILTKMVVPADTHKKHLANCGIALQYLRQAGVALHDEDGMMILEDDIAHGDKELTLSLLWNMFVHFQLPLLIKKTNLAEEICKIRGNGDNLINFESSSLEMLLKWIQAICENYDCKVDSFSSLVDGKAIWCLLDFYFRKQLCCGWSSKDPNKSSHEESIMLVTDYSDAVHNFLLSQKLLTLLGNFPEVLQISDILEYNGACNDRSVVILLVFLSSQLIVKKNMDQLNFHKLLRCDCQSLERKYSCMQCSVRPDAAHIQEETYDHSAEDSVIKFKAIQAWWQDMADRNHKSVAKPAVPALHNVFTNEGNINIQKVNAAKRIQSHFRRAIERRKFVKMLNAAAFLQTVFRAWLSARQNPARIKFSTIQVQELACERWRQRETGRRYAMFIIGRHGFLNLKRSVLLIQRAVRNWITQRHRGGSILTLDACTSDLVNAAIVVQRHIRGWLTRSRYIHGVALVDKSSNLCQENGAHDFQIWGAVKIQLAWKNFSVRHSLRYQQFAATKIQSHFRSWLLRRRFHTQRQAIIKIQSALRMSICWMAYQQYKIATASATVIQSYVRACIAQRGADQRRHVIVAIQRYCRGWLIRSYFLCQREAAVKIQSAVRCLICRQAFHRRRQAAMKIQPIVKGQISRNRLLGASSLRPVISNGCLSKSTGAFYKSAELNKVFCSVLKLQRWWRGAMLLKLRTKSAVIIQSHIRGWLDRQKATGEKQCIVVIQSWWRGYLARKKETGGELLDLRLRVQKSAANVDDNMRIINRLVAALSELRTMKSVSGILHTCVTLDKATQHSHKCCEKLVEAGAIKTLLKLFRSASRSIPDQEVLKHVLSTLRNLARYPHLIEVLIDSPGSVETVVGEFLRNKEEGYFIASELLKKICASRKGVEAVRKSPALLKRLHSLVEELSKKANNEKRNARVTVGREYTERRLKEAVEILKLATAGRLGF >ONI10013 pep chromosome:Prunus_persica_NCBIv2:G4:1089437:1097392:-1 gene:PRUPE_4G023200 transcript:ONI10013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDAPPSSSPFPASLRISNSNPKPFSDKALAMEGEEPPSCPSPSPYRKPSSLFRDISNFKTPKRPSRISNLHSPGPHFFTASKQTPRTSSSFRRRPSLAQSNSSRTKAAARKLKAFEVEQSQSSRKVQIQKEQKLKSLAKSLNVWLNFLFQNPRSCGCTLSVDEDHRGGTLPKGKRDSEPGSAVRVDSAWRDPKRQRDSSWRAVSAVAFSSSKYSNLRSSLEHVCSVDDLTQRMRLYLSMGNCKEVFDAMTQVAKNIDEGRLKMKAHCPLVTDVGFKKKATRILMSYNPIWLRIGLYVVFGGDSLLSDRDANSDEEIRFLKMIIEKQIFAHASLAKDYAYNKMVDGLYRPGYYEALGNVILKRFLLLVLILDRAKCQSSLSLKYGIDGVDGGSPLLFTVESNIKSSHQVIRDFLSSDVMLGEGNILAHLVILGYKVSYQQDPLVEFDFRVTDLFVDLQDGVHLCRIIQLLQDDTSILTKMVVPADTHKKHLANCGIALQYLRQAGVALHDEDGMMILEDDIAHGDKELTLSLLWNMFVHFQLPLLIKKTNLAEEICKIRGNGDNLINFESSSLEMLLKWIQAICENYDCKVDSFSSLVDGKAIWCLLDFYFRKQLCCGWSSKDPNKSSHEESIMLVTDYSDAVHNFLLSQKLLTLLGNFPEVLQISDILEYNGACNDRSVVILLVFLSSQLIVKKNMSLERKYSCMQCSVRPDAAHIQEETYDHSAEDSVIKFKAIQAWWQDMADRNHKSVAKPAVPALHNVFTNEGNINIQKVNAAKRIQSHFRRAIERRKFVKMLNAAAFLQTVFRAWLSARQNPARIKFSTIQVQELACERWRQRETGRRYAMFIIGRHGFLNLKRSVLLIQRAVRNWITQRHRGGSILTLDACTSDLVNAAIVVQRHIRGWLTRSRYIHGVALVDKSSNLCQENGAHDFQIWGAVKIQLAWKNFSVRHSLRYQQFAATKIQSHFRSWLLRRRFHTQRQAIIKIQSALRMSICWMAYQQYKIATASATVIQSYVRACIAQRGADQRRHVIVAIQRYCRGWLIRSYFLCQREAAVKIQSAVRCLICRQAFHRRRQAAMKIQPIVKGQISRNRLLGASSLRPVISNGCLSKSTGAFYKSAELNKVFCSVLKLQRWWRGAMLLKLRTKSAVIIQSHIRGWLDRQKATGEKQCIVVIQSWWRGYLARKKETGGELLDLRLRVQKSAANVDDNMRIINRLVAALSELRTMKSVSGILHTCVTLDKATQHSHKCCEKLVEAGAIKTLLKLFRSASRSIPDQEVLKHVLSTLRNLARYPHLIEVLIDSPGSVETVVGEFLRNKEEGYFIASELLKKICASRKGVEAVRKSPALLKRLHSLVEELSKKANNEKRNARVTVGREYTERRLKEAVEILKLATAGRLGF >ONI10995 pep chromosome:Prunus_persica_NCBIv2:G4:3953494:3954907:-1 gene:PRUPE_4G081100 transcript:ONI10995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAAFRERLEHMEHTRNQRLSLLQAEKEVQENKSQALVLRIASMRATEQRCLALDQKIASQSFKIWALRSEIDRLDEKNQTDSHQLRVLKSEVEELEDLEKEKNRFYELKGSEMKEFKQNVERFAFECQMQVQNLKKGINEIQSSFVKFQGSDRCTSDSEIAAAEMRKSELLAMKEELDGKLASNYQIRAQLQKQLQTILTKHKQDTSYKS >ONI12404 pep chromosome:Prunus_persica_NCBIv2:G4:9360541:9363169:-1 gene:PRUPE_4G162500 transcript:ONI12404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTNLIEIHLEANNLEGDITALKIIGIFPTSLYSCRSLKAIRLAGNHLEGQIQLDIFSLKSLSFLSLGYNLFTNLTGAMKILMSCKSLRLLMLTGSFIGEGMPTDDDKLKSLEILFLVGNQITRPVRSWLGALPRLLHIRLAHNRLSGEFPKQLCKVDSYEFELPPFGPFIIGPISLQRKLSFFGASINLSNSNLEGEIPIEIGQLQLLRELHLDSNNFTGIIPNQISNLKNLEACKENLNLSLTHLSGKIPSSLASLHFLKQFNASYNSLQGPVPISTHIQSFDASAFEGNPNLCDNKNNKGVDNGHQFPWFYISSAFGFIVGFWGVCGSLIIKKRCRYAYFGFIDNVQDRLYVMVKVRINMQSKDAEGHLLHHGANEKTPQAIHALFSHLIASR >ONI09924 pep chromosome:Prunus_persica_NCBIv2:G4:864886:869290:-1 gene:PRUPE_4G018800 transcript:ONI09924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECKLLSFAIVLVLFLVNGVNGEDPYRFFTWKITYGDIYPLGVKQQGILINGQFPGPQIDAVTNDNLVISVFNYLREPFLISWDGIQQRRNSWQDGVYGTNCPILPGKNFTYNIQVKDQIGSFFYFPSFLFHKAAGGFGGIRIWSRPKIPVPFPNPAGDFTVLAGDWYKTNHYILRRSYLEFGRNLPSPDGLLINGRGWNGYTFTVDQGRTYRFRISNVGLTTSINFRIQGHKLKLVEVEGSHTLQNIYDSLDIHLGQSYSVLVTADQPAQDYYVVVSSRFTPRVLTTTAVLHYSNSRKGVSGPLPWGPSTQVAWSLSQARSIRWNLTASGPRPNPQGSYHYGLIKPARTIMLANSAPYINGKQRFAVNSVSYVQPDTPLKLADYFKIPGVFSVGSIPTNPTGGSGYLQTSVMHANFREYVEIVFQNWEDTVQSWHIDGYSFFVMGMDGGQWTPASRARYNLRDTVARSTTQVYPRSWTAIYVALDNVGMWNVRSENWARQYLGQQFYLRVYSPANSWRDEYPIPRNALLCGRARGRRTRPL >ONI09925 pep chromosome:Prunus_persica_NCBIv2:G4:864887:869050:-1 gene:PRUPE_4G018800 transcript:ONI09925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECKLLSFAIVLVLFLVNGVNGEDPYRFFTWKITYGDIYPLGVKQQGILINGQFPGPQIDAVTNDNLVISVFNYLREPFLISWDGIQQRRNSWQDGVYGTNCPILPGKNFTYNIQVKDQIGSFFYFPSFLFHKAAGGFGGIRIWSRPKIPVPFPNPAGDFTVLAGDWYKTNHYILRRSYLEFGRNLPSPDGLLINGRGWNGYTFTVDQGRTYRFRISNVGLTTSINFRIQGHKLKLVEVEGSHTLQNIYDSLDIHLGQSYSVLVTADQPAQDYYVVVSSRFTPRVLTTTAVLHYSNSRKGVSGPLPWGPSTQVAWSLSQARSIRWNLTASGPRPNPQGSYHYGLIKPARTIMLANSAPYINGKQRFAVNSVSYVQPDTPLKLADYFKIPGVFSVGSIPTNPTGGSGYLQTSVMHANFREYVEIVFQNWEDTVQSWHIDGYSFFVMG >ONI10135 pep chromosome:Prunus_persica_NCBIv2:G4:1378642:1380095:-1 gene:PRUPE_4G029600 transcript:ONI10135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNITPLVCLSVLLMDVVAGILGIQAEIAQNKVKHLKVWIFECRDPSYQAFKLGLAAAVLLAVAHIIGNLLGGCICFWSREDYTKATANRQLSAASLILSWITLAVGFSLLMAGAFSNSKSRKSCGLSHHRILSIGGILCFFHGLFMIAYYVSAKATIIEQNRSQQKQNPSGAV >ONI11924 pep chromosome:Prunus_persica_NCBIv2:G4:7443308:7448477:1 gene:PRUPE_4G134900 transcript:ONI11924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTKTSLLWNFNAARDTLKPGDTLNSSSSLVSAMGKFTMGFHIYDHNLNYSYLVVKWAASHNYAWIANRNKPILYPLGILTLDQNNTLKITHKDGEPVVLYSASETSNANNIVATLLDSGNFVLQELSFDGSPKRLVDALLPGMKLGVNHKSGHVWSISSWFTPNSAVPGAFTLDWDPEAHELKIRRRGVVYWSSGAFRNGRFKFILPDESKLRYNFSIVSNEDEDYFTYSAVDQSGISEWVLTTMGRLYDFDETIDIAQADYCYGSNTDGGCQMWDRPIDCRHAGDRFEEEKGYFNPTGSGSTATSDASNTSFSISDCKAACWKNCDCLGFNYLFDNQTGCRFWTGHWKFIKDSTGYSSSNIYFLTTKASHNHEHKRIIWIGTATAAALLTMVLCIMCCLLRRRIFLLPGENRRKIDDKELLDVKESYSSNNVNALQNDGDMGHDLRVFSYASVKAATGNFCDENKLGEGGFGPVYKGKMSMGQEIAVKRLSRCSLQGTWEFKNELILISELQHTNLVRILGFCIHGVERMLIYDGYMSPEYAMGGIFSTKSDVYSFGVLTLEIISGRKNNSFYNADRVLNIVGYAWGLWNEGAGLRLMDPTLQESFIEDQLIRCIHVGLLCVEEDAADRPTMSEVISMLTNESMSLPIPKRPAFFTSRNEVDADMSVKESEVLSGNDFSRSDIVGR >ONI14165 pep chromosome:Prunus_persica_NCBIv2:G4:19875069:19880645:-1 gene:PRUPE_4G266100 transcript:ONI14165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRKGMGRASPILLVLLALGFFFASYNLLTVVIHNKASYSGLWGADRLIEPVIQRHGNAKNSKYHVALTATDAPYSQWQCRIMYYWYKKVKDMPGSDMGKFTRVLHSGNADNLMEEIPTVVVDPLPGGLDRGYIVLNRPWAFVQWLEKTTVEEEYILMAEPDHIFVNPLPNLAHGNSPAGYPFFYIIPAENEKIIRKFYPEEKGPVTDIDPIGNSPVIIKKSLLEEIAPTWVNVSLRMKDDPETDKAFGWVLEMYAYAVASALHGVRHILRKDFMLQPPWDLEVGKTFIIHFTYGCDYNLKGELTYGKIGEWRFDKRSYLSGPPPRNLSLPPPGVPESVIRLVKMVNEATANIPGWNTLTSG >ONI14166 pep chromosome:Prunus_persica_NCBIv2:G4:19875165:19880624:-1 gene:PRUPE_4G266100 transcript:ONI14166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRKGMGRASPILLVLLALGFFFASYNLLTVVIHNKASYSGLWGADRLIEPVIQRHGNAKNSKYHVALTATDAPYSQWQCRIMYYWYKKVKDMPGSDMGKFTRVLHSGNADNLMEEIPTVVVDPLPGGLDRGYIVLNRPWAFVQWLEKTTVEEEYILMAEPDHIFVNPLPNLAHGNSPAGYPFFYIIPAENEKIIRKFYPEEKGPVTDIDPIGNSPVIIKKSLLEEIAPTWVNVSLRMKDDPETDKAFGWVLEMYAYAVASALHGVRHILRKDFMLQFKRAASMGFGSWKDVHHPFYLRMRL >ONI12130 pep chromosome:Prunus_persica_NCBIv2:G4:8408435:8409466:-1 gene:PRUPE_4G146900 transcript:ONI12130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMADEVVLLNYWASPYGMRVRIALAEKGIQYESREEDYLSNKSSLLLQMNPVHKKIPVLIHNGKPVSESLIAVQYIDQVWKDKAPLLPSDPYLRGQAMFWTDFVNKKVYENRKRAWRTKGEEQEAAKREFLECTRLLEEELGDKPYLGRENLGFVDVALIPTYNWLSRREIWKLQCGGKAP >ONI13479 pep chromosome:Prunus_persica_NCBIv2:G4:14135548:14138147:1 gene:PRUPE_4G224700 transcript:ONI13479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGKLSQNLKELRVLLCQSSPSSATTRTFVEKNYKDLKSLNPKLPILIRECRGIEPQLWARYECSSSWIYYDWFKTWVLRGAFVWKV >ONI13480 pep chromosome:Prunus_persica_NCBIv2:G4:14135599:14135910:1 gene:PRUPE_4G224700 transcript:ONI13480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGKLSQNLKELRVLLCQSSPSSATTRTFVEKNYKDLKSLNPKLPILIRECRGIEPQLWARYGISILP >ONI13478 pep chromosome:Prunus_persica_NCBIv2:G4:14135548:14138147:1 gene:PRUPE_4G224700 transcript:ONI13478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGKLSQNLKELRVLLCQSSPSSATTRTFVEKNYKDLKSLNPKLPILIRECRGIEPQLWARYDMGVERGVRLEGLTEPQISKALEELVKVGESLKA >ONI10989 pep chromosome:Prunus_persica_NCBIv2:G4:3904499:3907067:1 gene:PRUPE_4G080600 transcript:ONI10989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSALCLQYGALCVPSPMRVTRVPRLLPNFNNPSPLSLSSLCSTHHAVSGHAFSPVISALNKPSTPSTNDKDDKKLIVRGIGGASVVLACVLGIMNCNYKFTPRAIAGVRDPYQTSRSSVKQDILYPKSAKDVLQSLLMVNENVASSKTSILPNFKNLKSPPEPSIKDCEDLTIQAAGLIKDGKGDRAERQLEDIYNNMVKGGKTESAYNVQMALVAILIFQGKYQEALKWICLNKDHAFPSDGRVHLYKAIIYTMLEENKEAKEYWETFIGSLDNEGLPNPMSSPSHGSKHKQHSGRIKI >ONI13431 pep chromosome:Prunus_persica_NCBIv2:G4:13921676:13922761:1 gene:PRUPE_4G221600 transcript:ONI13431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNEMANPHSTTFVYQETRVRQHLSIVISSVFFIIHMPWKLPSFVCVLLQSESWCSAMRGHLFPLHTFSSRSSSLLRSLTACHNQRYQSSQVSKGEHSSHMNIEERAPSTAEEFKRVAEEKLKKAEQGVASQTADKTCDATEETTLGDSSVDSVKNRFKQHEPGAADYRRRSHDD >ONI14055 pep chromosome:Prunus_persica_NCBIv2:G4:18608284:18609275:1 gene:PRUPE_4G259300 transcript:ONI14055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYNYSGSKHITESLKRCGISESLTYVFSAHFNASSEEHYKITSVELGISSLADAIT >ONI11922 pep chromosome:Prunus_persica_NCBIv2:G4:7439145:7441211:-1 gene:PRUPE_4G134700 transcript:ONI11922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTFGSLLKLRILLPTSPNFLFLPKILLPTTWFIFTPATYSTVCHTEEDSVGEDIEDQSKTSVQIMKQFGCTDTEISNIFTRGPFLLKADANQLQSKLERLTWLGISAPELAKIINDRPRFLTCRVNHCFDERVEFLMTLFGSKEVLAKAILRNPSFLTHDLHKKIKPVIALYEGIGLSMPDLIQMLLSRPTLIPRTSFNEEKMEYIGKTGVPNDSRMFKYVVTIIGVSRLETIRQKVANLEKFGFSEDEVFLFFGKSPFVLTLSVDKVQRNMTFILGEMKLPATTVLEHPSLLFKNLEDVLKPRVLLARKVQEMGLDLQINGPMMVRAMRMTERRFLKVFVNCHPKDAADELIEYYKNVKGVKRLAEASKKNFQKGFPF >ONI14087 pep chromosome:Prunus_persica_NCBIv2:G4:18954468:18955413:1 gene:PRUPE_4G261100 transcript:ONI14087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYSQRMASSFLISPLFATNHRNIIYNHTLIPTNHTIRGPAIHDRARDVDAPVNTNPSTLGTMELSSWAWMDETASKCLIQRVFCLLF >ONI14170 pep chromosome:Prunus_persica_NCBIv2:G4:19915948:19917400:-1 gene:PRUPE_4G266500 transcript:ONI14170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSLKLRLVTSKSCWSLVCFTGSKPQPQNSQGFQTGLRGETFTLSNIENTESPRNHLTNNLARIVVKTQYPSEGKINSRIGCGPDLGAMVVV >ONI11603 pep chromosome:Prunus_persica_NCBIv2:G4:6256326:6256891:1 gene:PRUPE_4G115700 transcript:ONI11603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILGRKRKVEVATETALLPQLIWRRVSHVELLWATDGFHESNLLGTGGFGSVYKGTISDGIDVAVMVFNLQIEGAFESFDSECDVLSNIVTEILSKSSVVAVNLISKPWLNIMTDASALEYLHHGHGPNHVFHCDVKPINILLDDDMVAHVADFGIADS >ONI11539 pep chromosome:Prunus_persica_NCBIv2:G4:5932642:5938529:-1 gene:PRUPE_4G112100 transcript:ONI11539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPEAQQQSRHIAAETACAGTTVLRAKSDCRGCFAHTVEVITDGQDLFLEVQSLDLWTECYFTTRVPQGVFGNLEKVCAFLWNQRLAVADIPGEALNVLVFEDAGARSQAPGAREKKKLKKGPGSPRRRLRISAWLHQRKAKNSEQIHSPLSRSHGATPLSLSLSLSLSLEFDSLLLRTIMESDEEQDTFHGVYPESGAIFMSSSVTKNECFRRRLFGLPSAKGHFVEQVKRGMILFLFEYERRELHGVFQACSDGTMNISPRAYKSSGNQFPAQVKVKQIWCCHPLHESEFSDAIKENYFSKWKFNFGLSKAQVRRLLTLFSPRKVEDQWPPRELARSKESSPVYATAKVREVDEGRSVLNDKVNNELDADNNTEPMMTQYMGTSFENVGREDGGRLESDKVDNKGGEIVQSANFGRFLDKVGMYKRAQNELNVDIEHVASMSTEYPKLSKSGLGDGAMVMQGTAANVNYLDVEIGPATSTENLGYPFEKVRIDDDSRFLMSGKLQIGNSGGNGFRPAISSEYPAFFQSSLDTPVRPGMPVQEAGSLIQGQTRSTSTVSHQMELQITSHSYTASYGDAIVTSTLPYDPDALTLNHPCSPSPSTVVNHSSNSVQDCYDQAGIPSLRNQAYPLYTEPNTTNRSLGDISKFVVRVPFTAPDHYERSCHGNIMPFPGTAYSEEMALESTGKNSYGEPFLKPSLASETLSEIGSNQREIKSPSSYPSFVIDHGHSVASQDKIDHEIAHKVKFKQFTSSVTSPEELECQLQRRVHPGDRGSVDHHEHKSFDPDLKSFETSEGRSSDLVNNRSVFSRLCLNSDKHVKKNSTDAAYEENDKDSSVDEVMSMVSKSLYDWVKSKKSKPPTRRHDVEKFKNKKQTTVHSELDSNYLEMISDKSNMISATPTEDKDDQRSEEIPFVDFKRRSKLRKFNGDDKARANDESAGSDGVLGGQHKRRKLIRPNFSDNEPLDDKRKIANTSLIVQVSSKEPLHDVGSPIASLANNPNIQNDLEYKDPIFNKSPQDCYENSQFTVKESALQIPKPNSGTANEFSVFECSRGNELELLPRVELCLNNADLSIGNVGSSRNLHSELERVAKEVAICVDAGIGNNHHGSNDIQSEASLNTDGCFDKQEPSQEHRSSKLSEASFGTAGRLNKPKPSQEVRDLNVQFGERLFILSNGLKNFERDASKHVGEKRDVLPISRGIVCKSSDIGTSENVEERKDVLLSSRRVRKGELRRAYDRCETDTSKHVVDRKDVLPISGCSKSSDTDTSESVEDRKDVIQSSDGVRKCELKTTYDRSEIDTSKHVSSQKDAIPINGGNVCKSSDIGTALNVEDREGALQSSGGIRNSELKTAHNQNTRLTRDFEFDDASASVDSERENKRLWSILTSRLNNLKNAQQQ >ONI11540 pep chromosome:Prunus_persica_NCBIv2:G4:5932642:5937399:-1 gene:PRUPE_4G112100 transcript:ONI11540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQFWSFQSSEDQWPPRELARSKESSPVYATAKVREVDEGRSVLNDKVNNELDADNNTEPMMTQYMGTSFENVGREDGGRLESDKVDNKGGEIVQSANFGRFLDKVGMYKRAQNELNVDIEHVASMSTEYPKLSKSGLGDGAMVMQGTAANVNYLDVEIGPATSTENLGYPFEKVRIDDDSRFLMSGKLQIGNSGGNGFRPAISSEYPAFFQSSLDTPVRPGMPVQEAGSLIQGQTRSTSTVSHQMELQITSHSYTASYGDAIVTSTLPYDPDALTLNHPCSPSPSTVVNHSSNSVQDCYDQAGIPSLRNQAYPLYTEPNTTNRSLGDISKFVVRVPFTAPDHYERSCHGNIMPFPGTAYSEEMALESTGKNSYGEPFLKPSLASETLSEIGSNQREIKSPSSYPSFVIDHGHSVASQDKIDHEIAHKVKFKQFTSSVTSPEELECQLQRRVHPGDRGSVDHHEHKSFDPDLKSFETSEGRSSDLVNNRSVFSRLCLNSDKHVKKNSTDAAYEENDKDSSVDEVMSMVSKSLYDWVKSKKSKPPTRRHDVEKFKNKKQTTVHSELDSNYLEMISDKSNMISATPTEDKDDQRSEEIPFVDFKRRSKLRKFNGDDKARANDESAGSDGVLGGQHKRRKLIRPNFSDNEPLDDKRKIANTSLIVQVSSKEPLHDVGSPIASLANNPNIQNDLEYKDPIFNKSPQDCYENSQFTVKESALQIPKPNSGTANEFSVFECSRGNELELLPRVELCLNNADLSIGNVGSSRNLHSELERVAKEVAICVDAGIGNNHHGSNDIQSEASLNTDGCFDKQEPSQEHRSSKLSEASFGTAGRLNKPKPSQEVRDLNVQFGERLFILSNGLKNFERDASKHVGEKRDVLPISRGIVCKSSDIGTSENVEERKDVLLSSRRVRKGELRRAYDRCETDTSKHVVDRKDVLPISGCSKSSDTDTSESVEDRKDVIQSSDGVRKCELKTTYDRSEIDTSKHVSSQKDAIPINGGNVCKSSDIGTALNVEDREGALQSSGGIRNSELKTAHNQNTRLTRDFEFDDASASVDSERENKRLWSILTSRLNNLKNAQQQ >ONI10854 pep chromosome:Prunus_persica_NCBIv2:G4:3527138:3527368:-1 gene:PRUPE_4G072100 transcript:ONI10854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLCFSLPLFQVSFMPLMEMECKISFCKRKIASHCHRAYRVVNLDLRVHNSNLLQACLKHSNLLNTCLFMICTYS >ONI12350 pep chromosome:Prunus_persica_NCBIv2:G4:9096723:9097807:1 gene:PRUPE_4G158500 transcript:ONI12350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKSKVLFTLTNVACVRGGHSNWRIENPSRTKPEKNRVDHKVNNWSKIKPDRFGPISNPVPCVQKLYRAKPNWSN >ONI14476 pep chromosome:Prunus_persica_NCBIv2:G4:24089081:24095978:-1 gene:PRUPE_4G282400 transcript:ONI14476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWICRTRTRVNLGCLVRRRWLSSGSGSEEEVKGKRIAAVWGNGDYGRLGLGSLDSQWKPAPLLPSAFGDQCLEAIACGGAHTLFLTRSGRLYATGLNDFGQLGVHDDIAYTNKPIEVSGIDKEIVQISAGYHHSCAITEGELYMWGKNSNGQLGLGKRAAKVVSLPTKVECLAGITMKMAALGSEHSVAVADGGESLSWGGGSGRLGHGHESGILGIFKSTSEYTPRLIKKLEGTKVKKVAAGMLHSACIDENGSVFIFDERALDKVGSGPRSKLPSSEEVACGGYHTCVLTSGGDLYSWGSNENGCLGVGTTDVYHQPERVQGPFLKYPVVKVSCGWKHTAAISEGNIFTWGWGGSHGTFSVDGHSSGGQLGHGTDVDYIRPTKVTFGKNVKALQVSCGFNHTGTVLEYT >ONI14479 pep chromosome:Prunus_persica_NCBIv2:G4:24088692:24096126:-1 gene:PRUPE_4G282400 transcript:ONI14479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWICRTRTRVNLGCLVRRRWLSSGSGSEEEVKGKRIAAVWGNGDYGRLGLGSLDSQWKPAPLLPSAFGDQCLEAIACGGAHTLFLTRSGRLYATGLNDFGQLGVHDDIAYTNKPIEVSGIDKEIVQISAGYHHSCAITEGELYMWGKNSNGQLGLGKRAAKVVSLPTKVECLAGITMKMAALGSEHSVAVADGGESLSWGGGSGRLGHGHESGILGIFKSTSEYTPRLIKKLEGTKVKKVAAGMLHSACIDENGSVFIFDERALDKVGSGPRSKLPSSEEVACGGYHTCVLTSGGDLYSWGSNENGCLGVGFLVVGSILQQFLKAIFSHGVGEVPMEHFL >ONI14481 pep chromosome:Prunus_persica_NCBIv2:G4:24088692:24096126:-1 gene:PRUPE_4G282400 transcript:ONI14481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWICRTRTRVNLGCLVRRRWLSSGSGSEEEVKGKRIAAVWGNGDYGRLGLGSLDSQWKPAPLLPSAFGDQCLEAIACGGAHTLFLTRSGRLYATGLNDFGQLGVHDDIAYTNKPIEVSGIDKEIVQISAGYHHSCAITAEGELYMWGKNSNGQLGLGKRAAKVVSLPTKVECLAGITMKMAALGSEHSVAVADGGESLSWGGGSGRLGHGHESGILGIFKSTRCEYTPRLIKKLEGTKVKKVAAGMLHSACIDENGSVFIFDERALDKVGSGPRSKLPSSEEVACGGYHTCVLTSGGDLYSWGSNENGCLGVGFLVVGSILQQFLKAIFSHGVGEVPMEHFL >ONI14480 pep chromosome:Prunus_persica_NCBIv2:G4:24088691:24096127:-1 gene:PRUPE_4G282400 transcript:ONI14480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWICRTRTRVNLGCLVRRRWLSSGSGSEEEVKGKRIAAVWGNGDYGRLGLGSLDSQWKPAPLLPSAFGDQCLEAIACGGAHTLFLTRSGRLYATGLNDFGQLGVHDDIAYTNKPIEVSGIDKEIVQISAGYHHSCAITAEGELYMWGKNSNGQLGLGKRAAKVVSLPTKVECLAGITMKMAALGSEHSVAVADGGESLSWGGGSGRLGHGHESGILGIFKSTSEYTPRLIKKLEGTKVKKVAAGMLHSACIDENGSVFIFDERALDKVGSGPRSKLPSSEEVACGGYHTCVLTSGGDLYSWGSNENGCLGVGFLVVGSILQQFLKAIFSHGVGEVPMEHFL >ONI14478 pep chromosome:Prunus_persica_NCBIv2:G4:24089081:24095978:-1 gene:PRUPE_4G282400 transcript:ONI14478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWICRTRTRVNLGCLVRRRWLSSGSGSEEEVKGKRIAAVWGNGDYGRLGLGSLDSQWKPAPLLPSAFGDQCLEAIACGGAHTLFLTRSGRLYATGLNDFGQLGVHDDIAYTNKPIEVSGIDKEIVQISAGYHHSCAITAEGELYMWGKNSNGQLGLGKRAAKVVSLPTKVECLAGITMKMAALGSEHSVAVADGGESLSWGGGSGRLGHGHESGILGIFKSTRCEYTPRLIKKLEGTKVKKVAAGMLHSACIDENGSVFIFDERALDKVGSGPRSKLPSSEEVACGGYHTCVLTSGGDLYSWGSNENGCLGVGTTDVYHQPERVQGPFLKYPVVKVSCGWKHTAAISEGNIFTWGWGGSHGTFSVDGHSSGGQLGHGTDVDYIRPTKVTFGKNVKALQVSCGFNHTGTVLEYT >ONI14477 pep chromosome:Prunus_persica_NCBIv2:G4:24089081:24095978:-1 gene:PRUPE_4G282400 transcript:ONI14477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWICRTRTRVNLGCLVRRRWLSSGSGSEEEVKGKRIAAVWGNGDYGRLGLGSLDSQWKPAPLLPSAFGDQCLEAIACGGAHTLFLTRSGRLYATGLNDFGQLGVHDDIAYTNKPIEVSGIDKEIVQISAGYHHSCAITAEGELYMWGKNSNGQLGLGKRAAKVVSLPTKVECLAGITMKMAALGSEHSVAVADGGESLSWGGGSGRLGHGHESGILGIFKSTSEYTPRLIKKLEGTKVKKVAAGMLHSACIDENGSVFIFDERALDKVGSGPRSKLPSSEEVACGGYHTCVLTSGGDLYSWGSNENGCLGVGTTDVYHQPERVQGPFLKYPVVKVSCGWKHTAAISEGNIFTWGWGGSHGTFSVDGHSSGGQLGHGTDVDYIRPTKVTFGKNVKALQVSCGFNHTGTVLEYT >ONI12511 pep chromosome:Prunus_persica_NCBIv2:G4:9961175:9964280:1 gene:PRUPE_4G169500 transcript:ONI12511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQAYNLMAHAFLLFLLLPSIISTNIHACKQTERSSLLSFAFTLTSPPLNWTSLDCCRWKGITCNQDGWVTRLILPSKGLKGGISPSSLENLTHLTHLNLSHNSLYASLETQFFLSLNRLEVLDLSYNRLFGKLPISLPSSNIRTVDLSSNHFIGAIPSSFFQQASNLTSFNVSNNSFTGYVPSSICPQHSSPFLRLLDFSSNLFNGNLAPGLGKCSELQVFRANHNNLSGFLPEDIYNATKLEEIALPLNSLHGAISDKIVNLTNLVILDLSFNHFGGELPLNLGKLSKLKFVTLDFNNLEGTLPPSLMNCTNLVELRLGNNNLEGDISVLDFSRLSQLTKLDLRINNFTGTVPVSLYSCRFLKAIRLAENHIEGQIQAEILSLKFLSLISFGYNRFTNLTGAMKILMSCKSLHTLCLSGSFVGEGMPSDDDMVDFDGFQNLRVLGLVRSNLTGQIPFWLSKLKNLEILALGFNQITGPIPSWLGTLPRLFYISLSHNRISGKFPQQLCRLPRLLHEPTASQGENYEFELPVFSANCFRIIANQNFQSQKLDFVPATIDLSANNIVGDIPTEISQLQLLHTLGLDSNNFSGVIPDQISNLRNLEVLNLSMNQLSGIIPSSLASLNFLKEFNVSDNNLEGSIPTGTQLQSFEASAFEGNPKLCGAPLPRCESNKGIDADNKNNKDVHNGLHELPWFYIFTALGFIVGFWGVCGSLIINKIWRYAYFRFIDNLQDRVYVMVIVRINMMKKRLRG >ONI13308 pep chromosome:Prunus_persica_NCBIv2:G4:13426812:13432391:-1 gene:PRUPE_4G214700 transcript:ONI13308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFQRVAGFFTNRTMVGMDKAGNRYFAKKDEVDGIMKEKRWVVFKGEEDPTSIPVEWICWLNGQRKRAPTPEELMDLEARRERVRQNVAFLKKEEEERKEREGSTRKVISTDKVGGPDLKSFIRQFGVPSEGDENQKESVSMEGVRNSKEKEAKKAKQDPEYVRYILHLRDCATITTYTLKS >ONI13307 pep chromosome:Prunus_persica_NCBIv2:G4:13426191:13432391:-1 gene:PRUPE_4G214700 transcript:ONI13307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFQRVAGFFTNRTMVGMDKAGNRYFAKKDEVDGIMKEKRWVVFKGEEDPTSIPVEWICWLNGQRKRAPTPEELMDLEARRERVRQNVAFLKKEEEERKEREGSTRKVISTDKVGGPDLKSFIRQFGVPSEGDENQKESVSMEGVRNSKEKEAKKAKQDPESTEPTGSGQTFRPGTWQPPT >ONI14536 pep chromosome:Prunus_persica_NCBIv2:G4:24984413:24992984:1 gene:PRUPE_4G286000 transcript:ONI14536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEALISASVQVLCDKITLTLFVDLFWHKKLDKPLLMKLNTTLLTLNVVLDDAEDKQIVNPAVRKWLDELKHVVFDAEDLLDEIDTEALRCKLEGEDQSHKLTNKVWNFLPSSRNHFYQSMNVKIQELLQRLESFVQQKSVLGLREGAGRQVSQRTPTTSLVLEPCVYGRDEVKEKLSKVLLSDNASKDDVSFLTIVGMGGVGKTTLARMLYNDDNVKGHFTLKAWACVSDYDDCIKITKTLLEAVTSKPCNTENLNLLQEDLREQLRGRKFLFVLDDLWNENNQDLNYVRVLFITLGARGSKVIITTRNNNIASVMQNVPIQYLEPLSPEDCWLLLSKHAFGNEKCSAHPNLEHIGKQIALKCRGLPLAAQTLGGLLRCNIDSEEWNRILNSSIWELPFETSDIIPALALSYHYLPAQLKLCFVYCSIFPKGYEFEKEYTIELWMAEGLIPQVENANKMEEMAQNYFDELSSRSLLQKSSKSGFTMHDLINDLAMFMSRGFCLRLEERESHKIERVRHLSYARGELDVAPKFKPLYGAKSLRTFLPTSLDPYGYSYVNKKVLQDLLPSLRCLRVLQLSCYQNVTELPDSIANLIHLRYLDLSRTAIDRLPEVLCNLYNLQTLLLSNCCSSLNKLPACMKELINLHHLDVSGTKIEEMPVQMVGRLKSLRTLTAFVVGKSTGSGIRELREFPQLRGKLSILKLQNVVDARDALHANMKHKKDLKELEFSWGAEDADDSRKEKDVLDKLQPCVNLEKLTIRFYGGTNFPNWLGDSSFSNIQVMHLSDCSYCWSLPPVGRLPALKELCIERMKFVKTIGVEFYGRNGAYLTQPFQSLEKLEFREMPEWEEWVPSGGEYGLDFPCLQKLILNECPKLRGSLPCELPCLKKLTVSECEVFHDGRATTTTTNSLNYKSLEELKISGGCQTLLSLLETKLLSQLAIRNVVDVQFLPNCNRLQLLTLKNCPTLSSFPKDGLPTTLTSLTIDHCRRLEFLPHEMLPKLTSLDYLRIQNSCNSMRIWNPVIEEEGAVKNLSHLNYLSFYKCPKMVCFPKGELPTPNLSHFEVGECENLKSLPERLHTLTALRSLSIWSLPNLESFAEDGGLPPNLRYFSIGNCKRLRASSVGEYWGLQALVSLEQFEIIGSDHVLETLLKEQLLPTTLHTLRIHSLSTLKSLDGKGLGHLTFLQNLYITDCNNLQCLPEEGLPPSLSYLCINYCPTLEKRYKDKTGQDWAKISHIPCIEIGKEVII >ONI14537 pep chromosome:Prunus_persica_NCBIv2:G4:24984413:24992801:1 gene:PRUPE_4G286000 transcript:ONI14537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEALISASVQVLCDKITLTLFVDLFWHKKLDKPLLMKLNTTLLTLNVVLDDAEDKQIVNPAVRKWLDELKHVVFDAEDLLDEIDTEALRCKLEGEDQSHKLTNKVWNFLPSSRNHFYQSMNVKIQELLQRLESFVQQKSVLGLREGAGRQVSQRTPTTSLVLEPCVYGRDEVKEKLSKVLLSDNASKDDVSFLTIVGMGGVGKTTLARMLYNDDNVKGHFTLKAWACVSDYDDCIKITKTLLEAVTSKPCNTENLNLLQEDLREQLRGRKFLFVLDDLWNENNQDLNYVRVLFITLGARGSKVIITTRNNNIASVMQNVPIQYLEPLSPEDCWLLLSKHAFGNEKCSAHPNLEHIGKQIALKCRGLPLAAQTLGGLLRCNIDSEEWNRILNSSIWELPFETSDIIPALALSYHYLPAQLKLCFVYCSIFPKGYEFEKEYTIELWMAEGLIPQVENANKMEEMAQNYFDELSSRSLLQKSSKSGFTMHDLINDLAMFMSRGFCLRLEERESHKIERVRHLSYARGELDVAPKFKPLYGAKSLRTFLPTSLDPYGYSYVNKKVLQDLLPSLRCLRVLQLSCYQNVTELPDSIANLIHLRYLDLSRTAIDRLPEVLCNLYNLQTLLLSNCCSSLNKLPACMKELINLHHLDVSGTKIEEMPVQMVGRLKSLRTLTAFVVGKSTGSGIRELREFPQLRGKLSILKLQNVVDARDALHANMKHKKDLKELEFSWGAEDADDSRKEKDVLDKLQPCVNLEKLTIRFYGGTNFPNWLGDSSFSNIQVMHLSDCSYCWSLPPVGRLPALKELCIERMKFVKTIGVEFYGRNGAYLTQPFQSLEKLEFREMPEWEEWVPSGGEYGLDFPCLQKLILNECPKLRGSLPCELPCLKKLTVSECEVFHDGRATTTTTNSLNYKSLEELKISGGCQTLLSLLETKLLSQLAIRNVVDVQFLPNCNRLQLLTLKNCPTLSSFPKDGLPTTLTSLTIDHCRRLEFLPHEMLPKLTSLDYLRIQNSCNSMRIWNPVIEEEGAVKNLSHLNYLSFYKCPKMVCFPKGELPTPNLSHFEVGECENLKSLPERLHTLTALRSLSIWSLPNLESFAEDGGLPPNLRYFSIGNCKRLRASSVGEYWGLQALVSLEQFEIIGSDHVLETLLKEQLLPTTLHTLRIHSLSTLKSLDGKGLGHLTFLQNLYITDCNNLQCLPEEGLPPSLSYLCINYCPTLEKRYKDKTGQDWAKISHIPCIEIGKEVII >ONI14538 pep chromosome:Prunus_persica_NCBIv2:G4:24984413:24991004:1 gene:PRUPE_4G286000 transcript:ONI14538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIGEALISASVQVLCDKITLTLFVDLFWHKKLDKPLLMKLNTTLLTLNVVLDDAEDKQIVNPAVRKWLDELKHVVFDAEDLLDEIDTEALRCKLEGEDQSHKLTNKVWNFLPSSRNHFYQSMNVKIQELLQRLESFVQQKSVLGLREGAGRQVSQRTPTTSLVLEPCVYGRDEVKEKLSKVLLSDNASKDDVSFLTIVGMGGVGKTTLARMLYNDDNVKGHFTLKAWACVSDYDDCIKITKTLLEAVTSKPCNTENLNLLQEDLREQLRGRKFLFVLDDLWNENNQDLNYVRVLFITLGARGSKVIITTRNNNIASVMQNVPIQYLEPLSPEDCWLLLSKHAFGNEKCSAHPNLEHIGKQIALKCRGLPLAAQTLGGLLRCNIDSEEWNRILNSSIWELPFETSDIIPALALSYHYLPAQLKLCFVYCSIFPKGYEFEKEYTIELWMAEGLIPQVENANKMEEMAQNYFDELSSRSLLQKSSKSGFTMHDLINDLAMFMSRGFCLRLEERESHKIERVRHLSYARGELDVAPKFKPLYGAKSLRTFLPTSLDPYGYSYVNKKVLQDLLPSLRCLRVLQLSCYQNVTELPDSIANLIHLRYLDLSRTAIDRLPEVLCNLYNLQTLLLSNCCSSLNKLPACMKELINLHHLDVSGTKIEEMPVQMVGRLKSLRTLTAFVVGKSTGSGIRELREFPQLRGKLSILKLQNVVDARDALHANMKHKKDLKELEFSWGAEDADDSRKEKDVLDKLQPCVNLEKLTIRFYGGTNFPNWLGDSSFSNIQVMHLSDCSYCWSLPPVGRLPALKELCIERMKFVKTIGVEFYGRNGAYLTQPFQSLEKLEFREMPEWEEWVPSGGEYGLDFPCLQKLILNECPKLRGSLPCELPCLKKLTVSECEVFHDGRATTTTTNSLNYKSLEELKISGGCQTLLSLLETKLLSQLAIRNVVDVQFLPNCNRLQLLTLKNCPTLSSFPKDGLPTTLTSLTIDHCRRLEFLPHEMLPKLTSLDYLRIQNSCNSMRSFPLGSFPKLRTLHIWDCENLESRD >ONI13511 pep chromosome:Prunus_persica_NCBIv2:G4:14440602:14446696:-1 gene:PRUPE_4G227000 transcript:ONI13511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASSTPTIWTHDAFLSFRGEDTRTSFTDHLYLALIQKGIRTFRDEENLKRGKSIGPVLLKAIEESRFAVVILSEDYASSGWCLDELAHIIVECKKEKEREIFPVFYHIKPSEVRNQTGNFSQAFAKHEENFKGNMEKVDKWRKALGEIADIRGWVLDKDSKEAEVTQAIAEKISTILHCIPSFSEDLIGMGPRIEKMESLLDLKERDDVRTIGIWGMRGIGKTTLAELVYNKIRNQFQTSHFLPDVRKESEKQGLISLQNVLFEKLLRGSGDDIHNVHMGMSSLKRQLHTKKVLIVLDDVDHQKQIQALVGNDWLGRGSRVIITTRNEQLLKVYGAHHIFEVEKLNDEEAFQLFSKKAFKKGHEMADDYTKLSKGFAKYSNGLPLALEVLGGAFKFAKLKEYPDDKDIFPALQISYDGLEEIEKQIFLDIACFFKGEDHNRVGKIVDACHFHPTIGIRVLQDKCLVKINKGNRLWMHDLLQQMGWRIVHGESFEPGKCSRLWGRNNFGWLLLKENLEIILAGRINSNKVNPAAEADCFASSGKGRSVGKLTRRR >ONI14222 pep chromosome:Prunus_persica_NCBIv2:G4:21495901:21501571:-1 gene:PRUPE_4G269500 transcript:ONI14222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGTSKNLVPLVSKEVPKALLPVGNRPVISYVLELLELSNLKDLIVVVEGQDAAVRVGSWISGAYVDRLHVEVAAVPEDVGTAGALRAIAHHLTAKDILVVSGDIVSDVPLGAVAAAHRRHDAVVTAMLCSAPVSGPSESGSSVGKDKAKKPGHYNIIGLDPTKQFLVYIATGAELEKDIRIQKSILRAVGQMEIRSDLMDAHLYAFKRSVLHEVLDQKDTFQSLKQDVLPYLVRCQLSSEVLLNGAPQTEENGNEKASSQNNQLMLSQILANSSTPSFHELYALGPNGSTPVRRTHKCCVYIAGKSKYCVRLNSIQAFSDINRDVIGDASHLSGYSFSAQNNIIHPSAELGSKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVVMNHVTIGDGCSIQNSIICSNVQLQDRVVLKDCQVGAGFVVTAGSECKGEALAKKEK >ONI14219 pep chromosome:Prunus_persica_NCBIv2:G4:21495901:21501571:-1 gene:PRUPE_4G269500 transcript:ONI14219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGTSKNLVPLVSKEVPKALLPVGNRPVISYVLELLELSNLKDLIVVVEGQDAAVRVGSWISGAYVDRLHVEVAAVPEDVGTAGALRAIAHHLTAKDILVVSGDIVSDVPLGAVAAAHRRHDAVVTAMLCSAPVSGPSESGSSVGKDKAKKPGHYNIIGLDPTKQFLVYIATELEKDIRIQKSILRAVGQMEIRSDLMDAHLYAFKRSVLHEVLDQKDTFQSLKQDVLPYLVRCQLSSEVLLNGAPQTEENGNEKASSQNNQLMLSQILANSSTPSFHELYALGPNGSTPVRRTHKCCVYIAGKSKYCVRLNSIQAFSDINRDVIGDASHLSGYSFSAQNNIIHPSAELGSKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVVMNHVTIGDGCSIQNSIICSNVQLQDRVVLKDCQVGAGFVVTAGSECKGEALAKKEK >ONI14223 pep chromosome:Prunus_persica_NCBIv2:G4:21495901:21501571:-1 gene:PRUPE_4G269500 transcript:ONI14223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGTSKNLVPLVSKEVPKALLPVGNRPVISYVLELLELSNLKDLIVVVEGQDAAVRVGSWISGAYVDRLHVEVAAVPEDVGTAGALRAIAHHLTAKDILVVSGDIVSDVPLGAVAAAHRRHDAVVTAMLCSAPVSGPSESGSSVGKDKAKKPGHYNIIGLDPTKQFLVYIATGAELEKDIRIQKSILRAVGQMEIRSDLMDAHLYAFKRSVLHEVLDQKDTFQSLKQDVLPYLVRCQLVSPSSEVLLNGAPQTEENGNEKASSQNNQLMLSQILANSSTPSFHELYALGPNGSTPVRRTHKCCVYIAGKSKYCVRLNSIQAFSDINRDVIGDASHLSGYSFSAQNNIIHPSAELGSKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVVMNHVTIGDGCSIQNSIICSNVQLQDRVVLKDCQVGAGFVVTAGSECKGEALAKKEK >ONI14221 pep chromosome:Prunus_persica_NCBIv2:G4:21495384:21501682:-1 gene:PRUPE_4G269500 transcript:ONI14221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGTSKNLVPLVSKEVPKALLPVGNRPVISYVLELLELSNLKDLIVVVEGQDAAVRVGSWISGAYVDRLHVEVAAVPEDVGTAGALRAIAHHLTAKDILVVSGDIVSDVPLGAVAAAHRRHDAVVTAMLCSAPVSGPSESGSSVGKDKAKKPGHYNIIGLDPTKQFLVYIATGAELEKDIRIQKSILRAVGQMEIRSDLMDAHLYAFKRSVLHEVLDQKDTFQSLKQDVLPYLVRCQLVIGDASHLSGYSFSAQNNIIHPSAELGSKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVVMNHVTIGDGCSIQNSIICSNVQLQDRVVLKDCQVGAGFVVTAGSECKGEALAKKEK >ONI14218 pep chromosome:Prunus_persica_NCBIv2:G4:21495384:21501682:-1 gene:PRUPE_4G269500 transcript:ONI14218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGTSKNLVPLVSKEVPKALLPVGNRPVISYVLELLELSNLKDLIVVVEGQDAAVRVGSWISGAYVDRLHVEVAAVPEDVGTAGALRAIAHHLTAKDILVVSGDIVSDVPLGAVAAAHRRHDAVVTAMLCSAPVSGPSESGSSVGKDKAKKPGHYNIIGLDPTKQFLVYIATELEKDIRIQKSILRAVGQMEIRSDLMDAHLYAFKRSVLHEVLDQKDTFQSLKQDVLPYLVRCQLVIGDASHLSGYSFSAQNNIIHPSAELGSKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVVMNHVTIGDGCSIQNSIICSNVQLQDRVVLKDCQVGAGFVVTAGSECKGEALAKKEK >ONI14220 pep chromosome:Prunus_persica_NCBIv2:G4:21495901:21501571:-1 gene:PRUPE_4G269500 transcript:ONI14220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGTSKNLVPLVSKEVPKALLPVGNRPVISYVLELLELSNLKDLIVVVEGQDAAVRVGSWISGAYVDRLHVEVAAVPEDVGTAGALRAIAHHLTAKDILVVSGDIVSDVPLGAVAAAHRRHDAVVTAMLCSAPVSGPSESGSSVGKDKAKKPGHYNIIGLDPTKQFLVYIATELEKDIRIQKSILRAVGQMEIRSDLMDAHLYAFKRSVLHEVLDQKDTFQSLKQDVLPYLVRCQLVSPSSEVLLNGAPQTEENGNEKASSQNNQLMLSQILANSSTPSFHELYALGPNGSTPVRRTHKCCVYIAGKSKYCVRLNSIQAFSDINRDVIGDASHLSGYSFSAQNNIIHPSAELGSKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVVMNHVTIGDGCSIQNSIICSNVQLQDRVVLKDCQVGAGFVVTAGSECKGEALAKKEK >ONI12190 pep chromosome:Prunus_persica_NCBIv2:G4:8531056:8531691:1 gene:PRUPE_4G149600 transcript:ONI12190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGLFDLERHFAFYGAYHSNPVNILIHTFFVWPLFFTAAVLLHFTPSLYNFPSGISEHGLELNFGFVFTLMYAVFYVCLDKKAGPLAALLCFLCWVGASFLAGGLGFSRSWKLVLAAQLFCWTGQFIGHGIFEKRAPAISDNFVQALLMGPYFVLLELLQSAFGYEPYPGFHASVQKQIEADIKEWKAKNQKKLT >ONI13053 pep chromosome:Prunus_persica_NCBIv2:G4:12432037:12435877:-1 gene:PRUPE_4G200200 transcript:ONI13053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHQHFGFGVAGAGFSYTAPHSTVPSLPTRLLGSLKFDIGNSPNSPFSTHFDSDTLTTLSDGREQHSSTENLLGASPSCNSSFETNSYIHQLSFSPSVDCRRDSLQLYSGRTSVLQDANSSQNIKHALQELESTLMGPDNEEEEVTTPNTSFGESSKRQTQRSTSWIQEHQGSPVVQRQISFVSRQRQLCEFQIEKRPKVIDEGSLKGLPAGNLKELLIACAGALSDNNIDSFDKLIEKARGAVSISGEPIQRLGAYLVEGLVARKEASGANIYRALRCREPESDDLLSYMQILYEICPYLKFGYMAANGAIAEACRNEDRIHIIDFQIAQGTQWVTLLQALAARPGGAPHVRITGIDDPLSQYARGDGLEAVGRRLKAISEKFNIPVEFHGVPVFAPDVTQDMLDVRPGEALAVNFPLQLHHTPDESVDENNPRDGLLRMVKSLSPKVTTLVEQESNTNTTPFFNRFVETLEYYLAMFESIDVTLPRNNKERINVEQHCLARDMVNVIACEGKERVERHELFGKWKSRLTMAGFQQYPLSSYVNSVIRSLLRCYSEHYTLVERDGAMLLGWKDRNLISASAWH >ONI13052 pep chromosome:Prunus_persica_NCBIv2:G4:12432037:12435892:-1 gene:PRUPE_4G200200 transcript:ONI13052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHQHFGFGVAGAGFSYTAPHSTVPSLPTRLLGSLKFDIGNSPNSPFSTHFDSDTLTTLSDGREQHSSTENLLGASPSCNSSFETNSYIHQLSFSPSVDCRRDSLQLYSGRTSVLQDANSSQNIKHALQELESTLMGPDNEEEEVTTPNTSFGESSKRQTQRSTSWIQEHQGSPVVQRQISFVSRQRQLCEFQIEKRPKVIDEGSLKGLPAGNLKELLIACAGALSDNNIDSFDKLIEKARGAVSISGEPIQRLGAYLVEGLVARKEASGANIYRALRCREPESDDLLSYMQILYEICPYLKFGYMAANGAIAEACRNEDRIHIIDFQIAQGTQWVTLLQALAARPGGAPHVRITGIDDPLSQYARGDGLEAVGRRLKAISEKFNIPVEFHGVPVFAPDVTQDMLDVRPGEALAVNFPLQLHHTPDESVDENNPRDGLLRMVKSLSPKVTTLVEQESNTNTTPFFNRFVETLEYYLAMFESIDVTLPRNNKERINVEQHCLARDMVNVIACEGKERVERHELFGKWKSRLTMAGFQQYPLSSYVNSVIRSLLRCYSEHYTLVERDGAMLLGWKDRNLISASAWH >ONI11601 pep chromosome:Prunus_persica_NCBIv2:G4:6214281:6220798:1 gene:PRUPE_4G115500 transcript:ONI11601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFSFAQTILFSKTSLSIRTNGLRSFSFCLPFSSSSAASISSDKLQSKKWRQPVASVLELGGVKIAKDDVLRDDPTNNVPDTIFAKLGMQLHRRDQHPIGILKNAIYEYFDTNYSNQFDKFDNLCPIVSVKENFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAELLRRGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGVRVFSPSDWEASGTDGTTYVAGDLKKCLEGLARHLFGAVEMRWVDTYFPFTNPSFELEIFFQEKWLEVLGCGVTEQEILRRSGKTDNVAWAFGLGLERLAMVLFDIPDIRLFWSNDERFTSQFSSGQLGVKFKPFSKYPPCYKDVSFWINEAFTENNLCEVVREVAGDLAEEVQLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINKLQWNVRELVQSKLNVVLR >ONI11779 pep chromosome:Prunus_persica_NCBIv2:G4:6819613:6823461:1 gene:PRUPE_4G124900 transcript:ONI11779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPQFQHPHHNSIKQEEEKETNLHVQSTEFFHSNRALSKDGSPSDTASCISTVGDTTASVKKGDADADADYESVTSYPTTAYYGYTYPGYDMENQGYYVGGSGMEMQYPVMQADNGSFVYLMPGFQPGYDPYTPYMPITTVGSDGQYVSQQMYPPLSPMYQAPISPGYNPSLLPYGELVPSPYLWDPSLVGDGTFGNAYNGVLETPPSKPSFSSPSHNRAPLFKSPKPLDVQSGFGARNQPKPVNKASVRAPSIQSEALAKGYFSVAKVPVYNQGTGGLLYPNNSPTLKANAKGWGGSEKLKPRSKANGVRDISLFNEKNHGPRTTNPKGALLSGAEKENGKCDSIASAIRKDQYNLPDFPTKYEQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAEEKVAQKSCKCPVFLFFSVNASGQFCGVAEMIGRVDFNKSMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVTNSRDTQEVKFLQGIEMLNIFKNHLSKTSILDDFDFYESRQKVMQEKRIRQSTPYYDLQQKIGELSISAE >ONI11780 pep chromosome:Prunus_persica_NCBIv2:G4:6819589:6824476:1 gene:PRUPE_4G124900 transcript:ONI11780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPQFQHPHHNSIKQEEEKETNLHVQSTEFFHSNRALSKDGSPSDTASCISTVGDTTASVKKGDADADADYESVTSYPTTAYYGYTYPGYDMENQGYYVGGSGMEMQYPVMQADNGSFVYLMPGFQPGYDPYTPYMPITTVGSDGQYVSQQMYPPLSPMYQAPISPGYNPSLLPYGELVPSPYLWDPSLVGDGTFGNAYNGVLETPPSKPSFSSPSHNRAPLFKSPKPLDVQSGFGARNQPKPVNKASVRAPSIQSEALAKGYFSVAKVPVYNQGTGGLLYPNNSPTLKANAKGWGGSEKLKPRKKENGKCDSIASAIRKDQYNLPDFPTKYEQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAEEKVAQKSCKCPVFLFFSVNASGQFCGVAEMIGRVDFNKSMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVTNSRDTQEVKFLQGIEMLNIFKNHLSKTSILDDFDFYESRQKVMQEKRIRQSTPYYDLQQKIGELSISAE >ONI12455 pep chromosome:Prunus_persica_NCBIv2:G4:9630784:9631444:-1 gene:PRUPE_4G165900 transcript:ONI12455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRLFSDANFDQTMDSYDSMYKAVVNNDFYITWNVAFVIVCRKERMPNLNPQPLSTTRCFWKEKKSQLVIKRKKPKSKSFHYWKFLCRI >ONI13513 pep chromosome:Prunus_persica_NCBIv2:G4:14465940:14467061:-1 gene:PRUPE_4G227200 transcript:ONI13513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEINNFIKVWILTNTSLCYCYYIAAKIPKDIIRLISLLPIFYIFIIFPLNLHSFHLCGPTTFFLVWLGIFKLLLFSFNLGPLSPTPPTLVQFISIACLPIKIKQNPPQKSPKNTDQNPLSHQNNKNPSHQSTPKPKKSSILLAIKALLLALVIRTYEYIPHLHPYIILAMYCCHMYLGIELVLALSAIPARAILGFELEPQFTEPYLSTSLQDFWGRRWNLMVTNILRPVVYDPVRCISMRILGPRWSLFLAVMSTFAVSGLMHEAIYYYLTCVSPTWEVMWFFVLHGVCMAVEIEVKKAATDRWRLHPVVSMPLTIVFLAVTGNWLFFPQLRRNGVDLKAINEYGIMIDFVKAYLP >ONI14394 pep chromosome:Prunus_persica_NCBIv2:G4:23469978:23473097:-1 gene:PRUPE_4G278800 transcript:ONI14394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMVAAMRAFNGLYKTLFLSRRAFAEFTYHQGLRGKELMQALWKAHRHDFVMGRGSALSDCFCEFKLCFQMSI >ONI14393 pep chromosome:Prunus_persica_NCBIv2:G4:23469953:23471194:-1 gene:PRUPE_4G278800 transcript:ONI14393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMVAAMRAFNGLYKTLFLSRRAFAEFTYHQGLRGKELMQALWKAHRHDFVMGRGSALSDCFCEFKLCFQMSI >ONI14392 pep chromosome:Prunus_persica_NCBIv2:G4:23469953:23471226:-1 gene:PRUPE_4G278800 transcript:ONI14392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMVAAMRAFNGLYKTLFLSRRAFAEFTYHQGLRGKELMQALWKAHRHDFVMGRGSALSDCFCEFKLCFQMSI >ONI14395 pep chromosome:Prunus_persica_NCBIv2:G4:23469933:23473112:-1 gene:PRUPE_4G278800 transcript:ONI14395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMVAAMRAFNGLYKTLFLSRRAFAEFTYHQGLRGKELMQALWKAHRHDFVMGRGSALSDCFCEFKLCFQMSI >ONI12719 pep chromosome:Prunus_persica_NCBIv2:G4:10688181:10693660:-1 gene:PRUPE_4G180200 transcript:ONI12719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNATTPNAFFTTPTKTPLGKSHSRKHPHPSSIAENDMSSSSLVSLSNSKSRFEAYNRLQAATVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDATALEPRCRFQEEDSEEYGSPVVLPSAIADIIKSRTESLLKKTKSAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPERTPEEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDAIRDIDPTFRRTIIVVSKFDNRLKEFSDRWEVDRYLSASGYLGDNTHPFFVALPKDRNTISNDEFRRQISQVDSEVLRHLRDGVKGGFDEEKFRPYIGFSCLREYLESELQKRYKEAAPATLALLEQRCSDVTSELDKMDSKIQATSDVSQLRRSAMLYAASISNHVATLIDGAADPAPEQWGKTTFEEQSESGIGGWPGVTADIMPPNATLRLYGGAAFERVMHEFHCAAYSIKCPPVSREKVANILLAHASRGGGRGVTEAAAEIARAAARSWLAPLLDTACDRLAFVLGNLFDLALERTRNRELECGRKSGNMDGYIGFHAALRQAYTRFIKDLGKQCKQLVRHHLDSVTSPYSLVCYENDCQGGFGSSASSSFKFNQSSVNSFLLELSDATMKDQENIPPEKNAQQTTPGKAAEARDALRESQITVPETPSPDHPCAGGANKDLGNGIDMGGRKRLSRMTGNSRNPDNTSAQNGGSFFFGNADGLLRSGSAYTEICSSAAQHFARIREVLVERNVASALNSGFLTPCRDRLVLAIGLDLFAVNDERFMDMFIVPGAIDVLQNERQSLQKRQKILQSCLNEFKSVARAL >ONI12540 pep chromosome:Prunus_persica_NCBIv2:G4:10059833:10064946:1 gene:PRUPE_4G170400 transcript:ONI12540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISSSCVRTFCPRLPSSSKKRALRTAALVTFTIRRRRLSTACSVSATKSKEKEKVIVVSGPTGAGKSRLAFELAKRLNGEIISADSVQVYRGLDIGSAKPSPGDRQEVPHHLVDILHPSEDYSVGKFYEDARQATRSILDSGRVPVVTGGTGLYLRWLIYGKPDVPKASPDIASEAYSELVDLQNNEDWEAAVQLVVKAGDPKAQFLPANDWYRLRRSLEIIKSSGSPPSAFQVPYDSFRKQCDSSIVDRHDINPSTDVVEEVKSKELDYDFICFFLSSKRVDLYRSIDCRCEDMLSGSDGILSEARWLLDSGLLPNSNSATRAIGYRQAMEYILMCRQQGGSSPREFFNFLSEFQKASRNFAKRQLTWFRNESIYHWLDASKPLETVLNFIYDAYHDKSENLVVPESLRMKKELSSRREESELKGYRTQNRHFVRREDCSDILDWIRRTQGLNSES >ONI12541 pep chromosome:Prunus_persica_NCBIv2:G4:10059833:10063138:1 gene:PRUPE_4G170400 transcript:ONI12541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISSSCVRTFCPRLPSSSKKRALRTAALVTFTIRRRRLSTACSVSATKSKEKEKVIVVSGPTGAGKSRLAFELAKRLNGEIISADSVQVYRGLDIGSAKPSPGDRQEVPHHLVDILHPSEDYSVGKFYEDARQATRSILDSGRVPVVTGGTGLYLRWLIYGKPDVPKASPDIASEAYSELVDLQNNEDWEAAVQLVVKAGDPKAQFLPANDWYRLRRSLEIIKSSGSPPSAFQVPYDSFRKQCDSSIVDRHDINPSTDVVEEVKSKELDYDFICFFLSSKRVDLYRSIDCRCEDMLSGESYFDTSLQIDHSSLSFQTQIRQFH >ONI10726 pep chromosome:Prunus_persica_NCBIv2:G4:3069823:3074513:1 gene:PRUPE_4G064000 transcript:ONI10726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKPEEISHPPMDQLQGLEYCIDSNPSWGESIALGFQHYILSLGTAVMIPSFLVPFMGGTDGDKVRVVQTLLFIQGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDSSLTSIQDDHVRFLNTMRAVQGALIVASSIQIILGYSQIWAICSRFFSPLGMVPVISLVGFGLFDRGFPVVGRCVEIGIPMLILFVVFSQYLKNFHARQLPVLERFALLISITVIWAYAHLLTASGAYRHRPDITQVNCRTDKANLISSAPWIKIPYPLQWGAPTFDAGHAFGMMAAVLVSLIESTGAYKAASRLASATIPPAHVLSRGIGWQGIGILLNGLFGTLTGSTVSIENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAAYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVALFLGLSVPEYFREYTLKAFHGPAHTNAGWFNDFLNTIFSSSPTVALIVAVFLDNTLEYRDSARDRGMPWWVKFRAFKGDSRNEEFYTLPFNLNRFFPPS >ONI10727 pep chromosome:Prunus_persica_NCBIv2:G4:3069823:3074513:1 gene:PRUPE_4G064000 transcript:ONI10727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIISIIHDSSLTSIQDDHVRFLNTMRAVQGALIVASSIQIILGYSQIWAICSRFFSPLGMVPVISLVGFGLFDRGFPVVGRCVEIGIPMLILFVVFSQYLKNFHARQLPVLERFALLISITVIWAYAHLLTASGAYRHRPDITQVNCRTDKANLISSAPWIKIPYPLQWGAPTFDAGHAFGMMAAVLVSLIESTGAYKAASRLASATIPPAHVLSRGIGWQGIGILLNGLFGTLTGSTVSIENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAAYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVALFLGLSVPEYFREYTLKAFHGPAHTNAGWFNDFLNTIFSSSPTVALIVAVFLDNTLEYRDSARDRGMPWWVKFRAFKGDSRNEEFYTLPFNLNRFFPPS >ONI11505 pep chromosome:Prunus_persica_NCBIv2:G4:5810264:5818731:1 gene:PRUPE_4G109900 transcript:ONI11505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCVAVSIDPLFFYIPVINNEKKCLAIDKNLRAAALCLRALPDAAFALHSISSGFRLYPFVELYSVQVFVYSFASILVAVFLMLPIPQLAIAVSFFKPGGSGHLGQIVTVNIFLFLYYVARIVLIRIYSQKVKYQYNIGIWFRASFNFFFYMVASNVLGGFWYLFSIQREISCWQSCRNGAGCRATYYCSDSTPRDITFLDELCPVNPQNAAIFNFGIFLEAIQSGITRSRHFPTKFFYCVWWGIRNLSNFGTNLQTSSYVWETCFAIVISITGLLLFLYLIGNVQIYMQHVKTKSLEDEEKTKNLKKKTMSKRRQIRGWMRDHGIPLDEARNIMQQIKYHKLVDDINTDEEVDLKYIFSVLYDSGRNLLQHHLCMETLKQVDHLRNMDEKMVKIICDHMKLKIFEDKEYIIEAEKPLEVMMITVEGLVQVYPSTRHAAAEAPSAQTFEEGVILGRELVDWAAMTTHDRPPISFQIVQCLTKVAAFVLKIEDLKRLVISKRIIFRRN >ONI14255 pep chromosome:Prunus_persica_NCBIv2:G4:22127537:22139451:-1 gene:PRUPE_4G271400 transcript:ONI14255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRESHMSRTELGQGSNSAQTPFKVSLLEHVKALDNFSSPNVLSSNFLFSLPTQKPHAQEATAMSSMACRIQNIGRLPSAQVQKAWHALSNLQISHRNYTKPGKTVLVKDASSRYCRDVGRTATPSSCDIDKSHTHMQTHQYINGTNSRNSEAATCLGNRFPSSNVNVADVGNFFGGQSQVRASMVNNFDSRVPGGSFSNNSVHTSQIKHSAKVLVNDIDDDDEILENIDVDQIVEQYQSNCTPQPLISKLPPITPSIDKDSIARQEVTSLPPDLCSNCIHGLKIGLCPEAASHLQEMKDTLITISNELLDDVNDLSPTRIEKLRQDRLQLNKKIQQLERHLCNNSLDEERRKSHFSASTATPRPFQYETPQAAAFRTDTMIFDSQVQSHNVPGDYERCNSSSVSFSSVDGFGFSSCPVEREPYIPKFVEVNYIEGSNDNKWSSNNFPWTKKLEANNKKVFGNHSFRLNQREVINATMSGYDVFVLMPTGGGKSLTYQLPALICPGVTLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEIFRELNSEYCKYKLLYVTPEKVAKSDVLLRQLENLNARQLLARIVIDEAHCVSQWGHDFRPDYQALGILKQKFPNTPVLALTATATASVKEDVVQALGLVNCIVFRQSFNRPNLWYSVIPKTKKCLDDIDKFIKENHHDESGIIYCLSRMDCEKVAERLQECGHKAAFYHGSMDPAQRAFVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYSYSDYIRVKHMISQGVIEQSPLASGYNRTNTANSGRVLETNTENLLRMVSYCENDVDCRRILQLIHLGEKFDCTTCKKTCDNCLKIKSFVEKDVTGIAKQLVELVKLTGQQFSSSHILEVYRGSFSQFVKKHRHQTVSLHGVGKHLAKGEASRVLRHLVTEDLLSEEVKKSDVYGSVSSILKVNELKACELFSGRKTILIRFPSSVKASKQKNSEVISAKGSLTSGKQSPPHIGTEQPQSKANLDLSTKIFTSLKMLRTNLVRESDDGVMAYHIFANTTLQNMSSRIPRTKEELLEINGIGKGKLAKYGDRILETIEAAIKEYYKTDKNSTSSNDSNDMKRKRDGNNNGNENFDDNDFTKSTDRSKKKAPKRQNKTIEAYSYAEPDYPQLIDDELDLYCYDFEVNASDMKTNQNAGGRVLPQWSTPGNGRQ >ONI14258 pep chromosome:Prunus_persica_NCBIv2:G4:22126837:22139892:-1 gene:PRUPE_4G271400 transcript:ONI14258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRESHMRQDRTELGQGSNSAQTPFKVSLLEHVKALDNFSSPNVLSSNFLFSLPTQKPHAQEATAMSSMACRIQNIGRLPSAQVQKAWHALSNLQISHRNYTKPGKTVLVKDASSRYCRDVGRTATPSSCDIDKSHTHMQTHQYINGTNSRNSEAATCLGNRFPSSNVNVADVGNFFGGQSQVRASMVNNFDSRVPGGSFSNNSVHTSQIKHSAKVLVNDIDDDDEILENIDVDQIVEQYQSNCTPQPLISKLPPITPSIDKDSIARQEVTSLPPDLCSNCIHGLKIGLCPEAASHLQEMKDTLITISNELLDDVNDLSPTRIEKLRQDRLQLNKKIQQLERHLCNNSLDEERRKSHFSASTATPRPFQYETPQAAAFRTDTMIFDSQVQSHNVPGDYERCNSSSVSFSSVDGFGFSSCPVEREPYIPKFVEVNYIEGSNDNKWSSNNFPWTKKLEANNKKVFGNHSFRLNQREVINATMSGYDVFVLMPTGGGKSLTYQLPALICPGVTLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEIFRELNSEYCKYKLLYVTPEKVAKSDVLLRQLENLNARQLLARIVIDEAHCVSQWGHDFRPDYQALGILKQKFPNTPVLALTATATASVKEDVVQALGLVNCIVFRQSFNRPNLWYSVIPKTKKCLDDIDKFIKENHHDESGIIYCLSRMDCEKVAERLQECGHKAAFYHGSMDPAQRAFVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYSYSDYIRVKHMISQGVIEQSPLASGYNRTNTANSGRVLETNTENLLRMVSYCENDVDCRRILQLIHLGEKFDCTTCKKTCDNCLKIKSFVEKDVTGIAKQLVELVKLTGQQFSSSHILEVYRGSFSQFVKKHRHQTVSLHGVGKHLAKGEASRVLRHLVTEDLLSEEVKKSDVYGSVSSILKVNELKACELFSGRKTILIRFPSSVKASKQKNSEVISAKGSLTSGKQSPPHIGTEQPQSKANLDLSTKIFTSLKMLRTNLVRESDDGVMAYHIFANTTLQNMSSRIPRTKEELLEINGIGKGKLAKYGDRILETIEAAIKEYYKTDKNSTSSNDSNDMKRKRDGNNNGNENFDDNDFTKSTDRSKKKAPKRQNKTIEAYSYAEPDYPQLIDDELDLYCYDFEVNASDMKTNQNAGGRVLPQWSTPGNGRQ >ONI14257 pep chromosome:Prunus_persica_NCBIv2:G4:22126837:22139883:-1 gene:PRUPE_4G271400 transcript:ONI14257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRESHMRQDRTELGQGSNSAQTPFKVSLLEHVKALDNFSSPNVLSSNFLFSLPTQKPHAQEATAMSSMACRIQNIGRLPSAQVQKAWHALSNLQISHRNYTKPGKTVLVKDASSRYCRDVGRTATPSSCDIDKSHTHMQTHQYINGTNSRNSEAATCLGNRFPSSNVNVADVGNFFGGQSQVRASMVNNFDSRVPGGSFSNNSVHTSQIKHSAKVLVNDIDDDDEILENIDVDQIVEQYQSNCTPQPLISKLPPITPSIDKDSIARQEVTSLPPDLCSNCIHGLKIGLCPEAASHLQEMKDTLITISNELLDDVNDLSPTRIEKLRQDRLQLNKKIQQLERHLCNNSLDEERRKSHFSASTATPRPFQYETPQAAAFRTDTMIFDSQVQSHNVPGDYERCNSSSVSFSSVDGFGFSSCPVEREPYIPKFVEVNYIEGSNDNKWSSNNFPWTKKLEANNKKVFGNHSFRLNQREVINATMSGYDVFVLMPTGGGKSLTYQLPALICPGVTLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEIFRELNSEYCKYKLLYVTPEKVAKSDVLLRQLENLNARQLLARIVIDEAHCVSQWGHDFRPDYQALGILKQKFPNTPVLALTATATASVKEDVVQALGLVNCIVFRQSFNRPNLWYSVIPKTKKCLDDIDKFIKENHHDESGIIYCLSRMDCEKVAERLQECGHKAAFYHGSMDPAQRAFVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYSYSDYIRVKHMISQGVIEQSPLASGYNRTNTANSGRVLETNTENLLRMVSYCENDVDCRRILQLIHLGEKFDCTTCKKTCDNCLKIKSFVEKDVTGIAKQLVELVKLTGQQFSSSHILEVYRGSFSQFVKKHRHQTVSLHGVGKHLAKGEASRVLRHLVTEDLLSEEVKKSDVYGSVSSILKVNELKACELFSGRKTILIRFPSSVKASKQKNSEVISAKGSLTSGKQSPPHIGTEQPQSKANLDLSTKIFTSLKMLRTNLVRESDDGVMAYHIFANTTLQNMSSRIPRTKEELLEINGIGKGKLAKYGDRILETIEAAIKEYYKTDKNSTSSNDSNDMKRKRDGNNNGNENFDDNDFTKSTDRSKKKAPKRQNKTIEAYSYAEPDYPQLIDDELDLYCYDFEVNASDMKTNQNAGGRVLPQWSTPGNGRQ >ONI14259 pep chromosome:Prunus_persica_NCBIv2:G4:22126837:22140001:-1 gene:PRUPE_4G271400 transcript:ONI14259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRIQNIGRLPSAQVQKAWHALSNLQISHRNYTKPGKTVLVKDASSRYCRDVGRTATPSSCDIDKSHTHMQTHQYINGTNSRNSEAATCLGNRFPSSNVNVADVGNFFGGQSQVRASMVNNFDSRVPGGSFSNNSVHTSQIKHSAKVLVNDIDDDDEILENIDVDQIVEQYQSNCTPQPLISKLPPITPSIDKDSIARQEVTSLPPDLCSNCIHGLKIGLCPEAASHLQEMKDTLITISNELLDDVNDLSPTRIEKLRQDRLQLNKKIQQLERHLCNNSLDEERRKSHFSASTATPRPFQYETPQAAAFRTDTMIFDSQVQSHNVPGDYERCNSSSVSFSSVDGFGFSSCPVEREPYIPKFVEVNYIEGSNDNKWSSNNFPWTKKLEANNKKVFGNHSFRLNQREVINATMSGYDVFVLMPTGGGKSLTYQLPALICPGVTLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEIFRELNSEYCKYKLLYVTPEKVAKSDVLLRQLENLNARQLLARIVIDEAHCVSQWGHDFRPDYQALGILKQKFPNTPVLALTATATASVKEDVVQALGLVNCIVFRQSFNRPNLWYSVIPKTKKCLDDIDKFIKENHHDESGIIYCLSRMDCEKVAERLQECGHKAAFYHGSMDPAQRAFVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYSYSDYIRVKHMISQGVIEQSPLASGYNRTNTANSGRVLETNTENLLRMVSYCENDVDCRRILQLIHLGEKFDCTTCKKTCDNCLKIKSFVEKDVTGIAKQLVELVKLTGQQFSSSHILEVYRGSFSQFVKKHRHQTVSLHGVGKHLAKGEASRVLRHLVTEDLLSEEVKKSDVYGSVSSILKVNELKACELFSGRKTILIRFPSSVKASKQKNSEVISAKGSLTSGKQSPPHIGTEQPQSKANLDLSTKIFTSLKMLRTNLVRESDDGVMAYHIFANTTLQNMSSRIPRTKEELLEINGIGKGKLAKYGDRILETIEAAIKEYYKTDKNSTSSNDSNDMKRKRDGNNNGNENFDDNDFTKSTDRSKKKAPKRQNKTIEAYSYAEPDYPQLIDDELDLYCYDFEVNASDMKTNQNAGGRVLPQWSTPGNGRQ >ONI14256 pep chromosome:Prunus_persica_NCBIv2:G4:22126837:22140046:-1 gene:PRUPE_4G271400 transcript:ONI14256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRESHMSRTELGQGSNSAQTPFKVSLLEHVKALDNFSSPNVLSSNFLFSLPTQKPHAQEATAMSSMACRIQNIGRLPSAQVQKAWHALSNLQISHRNYTKPGKTVLVKDASSRYCRDVGRTATPSSCDIDKSHTHMQTHQYINGTNSRNSEAATCLGNRFPSSNVNVADVGNFFGGQSQVRASMVNNFDSRVPGGSFSNNSVHTSQIKHSAKVLVNDIDDDDEILENIDVDQIVEQYQSNCTPQPLISKLPPITPSIDKDSIARQEVTSLPPDLCSNCIHGLKIGLCPEAASHLQEMKDTLITISNELLDDVNDLSPTRIEKLRQDRLQLNKKIQQLERHLCNNSLDEERRKSHFSASTATPRPFQYETPQAAAFRTDTMIFDSQVQSHNVPGDYERCNSSSVSFSSVDGFGFSSCPVEREPYIPKFVEVNYIEGSNDNKWSSNNFPWTKKLEANNKKVFGNHSFRLNQREVINATMSGYDVFVLMPTGGGKSLTYQLPALICPGVTLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEIFRELNSEYCKYKLLYVTPEKVAKSDVLLRQLENLNARQLLARIVIDEAHCVSQWGHDFRPDYQALGILKQKFPNTPVLALTATATASVKEDVVQALGLVNCIVFRQSFNRPNLWYSVIPKTKKCLDDIDKFIKENHHDESGIIYCLSRMDCEKVAERLQECGHKAAFYHGSMDPAQRAFVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYSYSDYIRVKHMISQGVIEQSPLASGYNRTNTANSGRVLETNTENLLRMVSYCENDVDCRRILQLIHLGEKFDCTTCKKTCDNCLKIKSFVEKDVTGIAKQLVELVKLTGQQFSSSHILEVYRGSFSQFVKKHRHQTVSLHGVGKHLAKGEASRVLRHLVTEDLLSEEVKKSDVYGSVSSILKVNELKACELFSGRKTILIRFPSSVKASKQKNSEVISAKGSLTSGKQSPPHIGTEQPQSKANLDLSTKIFTSLKMLRTNLVRESDDGVMAYHIFANTTLQNMSSRIPRTKEELLEINGIGKGKLAKYGDRILETIEAAIKEYYKTDKNSTSSNDSNDMKRKRDGNNNGNENFDDNDFTKSTDRSKKKAPKRQNKTIEAYSYAEPDYPQLIDDELDLYCYDFEVNASDMKTNQNAGGRVLPQWSTPGNGRQ >ONI11814 pep chromosome:Prunus_persica_NCBIv2:G4:6998764:6999921:1 gene:PRUPE_4G127300 transcript:ONI11814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNPLLSEINSAESEPSLDSRIELFIGDFGWAQFLQAFLVSFSWFFDAQQTFITVFTDAQPTWHCTQLNDPHNSCNSASNVCQLPQNSWAWDRPRHTSTISEWALQCSPSFVQGMPASAFFMGCLIGGLALATLADTSLGRKNMLFLTCLVMSLSTFLTAFSSNIWIYSILRFITGFGRATIGTSALVLSTELVGRRWRGQVGVIGFFCFTLGWLFVQGRKEEAIATLKHIAPINGTTTKTPLTSSFFSNLSFEQETRNVDLGDQRSGEEHMGFSEIVNGCGNRVWCWNGILWNAISLGKLGLQSLLKCHVQWLVRATSFVNHISLHCQNEQEDLTLGFH >ONI13295 pep chromosome:Prunus_persica_NCBIv2:G4:13358527:13360286:-1 gene:PRUPE_4G213900 transcript:ONI13295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELDVQIPTAFDPFAEAKDADAPGAKEYVHIRVQQRNGKKCLTTVQGLKKDFSYEKILKDLKKEFCCNGNVVQDKELGKIIQLQGDQRKNVLQFLVQAKIVKKEQIKMHGF >ONI13294 pep chromosome:Prunus_persica_NCBIv2:G4:13358520:13360286:-1 gene:PRUPE_4G213900 transcript:ONI13294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELDVQIPTAFDPFAEAKDADAPGAKEYVHIRVQQRNGKKCLTTVQGLKKDFSYEKILKDLKKEFCCNGNVVQDKELGKIIQLQGDQRKNVLQFLVQAKIVKKEQIKMHGF >ONI12670 pep chromosome:Prunus_persica_NCBIv2:G4:10591408:10592415:1 gene:PRUPE_4G178500 transcript:ONI12670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYVNGRLPPTCTLRGPSGECWTGWQGFVKDHSLEIANFLVFDYGGKSKFDVTMYDPIGTEKELEPAEKRRGNRAQLEEEIIELETEEPDEESEEDARISNIRKCKSGKRIATDGGKEKSNAHVAFRSKHPCFIGTMTKNHYRLNIPKALAVAKGLIRKKSVEVEDPNGISWDVKVRLHGKEHRGGRLFMTKGLSKCFHANNISLGDTLVFELFNTKSGGMKIYIFRGNSNVLLDASNVEY >ONI12581 pep chromosome:Prunus_persica_NCBIv2:G4:10248552:10250349:1 gene:PRUPE_4G173100 transcript:ONI12581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLKLGTLALRTISRPIARRLKVEAGLHPRFRDYIISFAQANYRFRTNLQRRLYGRATDVVIRPMDEEKAVGAAAELLGELVIFTVAGLAIIYEVQRSARSEARKEEQRKQEIEANSSNEAKRHRFRKRSAMSKGQTARDGATCPEKKLARSHQVLAIPSTRRAQVSKV >ONI12046 pep chromosome:Prunus_persica_NCBIv2:G4:7935386:7936046:-1 gene:PRUPE_4G140900 transcript:ONI12046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKDDIKYGTSQARLNEDEAVRVAYKHGTPLEGGKIADSEPVDLFSSAHNIPKAQAQAHHHLHDEDSNHNQSQMKQDELTRREGGRDSAADSTK >ONI14008 pep chromosome:Prunus_persica_NCBIv2:G4:18260714:18262374:1 gene:PRUPE_4G257500 transcript:ONI14008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLSIFMLCVAALMLVLLEANQASTVETSALQQQENYQPYGTTQGSLRPQECGPRCTTRCSATAYKKPCLFFCQKCCAKCLCVPPGTYGNKQVCPCYNNWKTKRGGPKCP >ONI09945 pep chromosome:Prunus_persica_NCBIv2:G4:935583:945355:-1 gene:PRUPE_4G020100 transcript:ONI09945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQAQRPLGWRTVFQERGTNLKLKPFTGFSPHGRYSSWFKGDLASGVSYQITASSADSSRRRQRKVTTPTNSPGPKGLVPKIPVGTSVQKTNQKSNGDKKGSISSKSSELAGPNKKTIELRVDTKGEWAVEPSQEDDVEEKRIGETSIKVEGSSSISKPSDIARGIQGIENGSVDKVLEDLAEIQPKGTASEGGDENVAEAILSDKQSLARRKMDDSVDDKGTDTDKKLTDEASLKSKLEMEEKLRKEEIVRLAEENFLRGNKIFVYPQVVKPDQDIDIFLNRSLSTLSNEPEILIMGAFNDWRWKSFTFRLNKTQLKGDWWSCQFHVPKESYKIDFVFFNGQNIYDNNDEKDFCIAVEGGMDLFAFEDFLLDEKRKELEKLAKEQAERERQAEEQRQIEAEKAASEADRAEARAEIERRRKMVQELIKKGVRSVENVWYIEPSEFKGEDLVKLYYNRSSGPLAHAKEIWIHGGHNNWKDGLSIVERLVSSEEKDGDWWYANVVVPDQAVVLDWVFADGPPQNAVLYDNNHRHDFHSIVPKSIPEELYWVEEEHKIYRKLQEERRLREEAIRAKAERTARMKAEMKERTLKRFLLSQKHIVYTEPLDVQAGSMATVFYNPASTVLNGKPEVWFRGSFNRWTHRKGPLPPQKMLPAETGSHVKTTVKVPLDAYVMDFVFSEKKDDDGLFDNKNGMDYHIPVFGGVLKESPMHIVHISVEMAPIAKVGGLGDVVTSLSRAVQDLNHHVDIILPKYDCLNLSNVKGFQYNRSYSWGGTEIKVWFGKVEGVPVYFLEPQNRFFYTGCIYGCKNDAERFGFFCHAALEFLLQSGFHPDIIHCHDWSSAPVAWLYKDHYMHYGLSKARVVFTIHNLEFGAHFIGKAVGYSDKATTVSDSYAKEVAGNPAIAPHLYKFHGIINGIDQDIWDPYNDKFIPISYTSENVVEGKQAAKEALQQRLGLKTADLPVVGIITRLTHQKGIHLIKHAIWRTLERNGQVVLLGSAPDPRIQNDFVNLANQLHSSYGDRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPVVRKTGGLYDTVFDVDHDKERADAQGVEPNGFSFDGPDAAGVDYALNRAISAWYDGRDWFNSLCKTVMEQDWSWNKPALDYMELYHAARK >ONI09946 pep chromosome:Prunus_persica_NCBIv2:G4:935651:944091:-1 gene:PRUPE_4G020100 transcript:ONI09946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQAQRPLGWRTVFQERGTNLKLKPFTGFSPHGRYSSWFKGDLASGVSYQITASSADSSRRRQRKVTTPTNSPGPKGLVPKIPVGTSVQKTNQKSNGDKKGSISSKSSELAGPNKKTIELRVDTKGEWAVEPSQEDDVEEKRIGETSIKVEGSSSISKPSDIARGIQGIENGSVDKVLEDLAEIQPKGTASEGGDENVAEAILSDKQSLARRKMDDSVDDKGTDTDKKLTDEASLKSKLEMEEKLRKEEIVRLAEENFLRGNKIFVYPQVVKPDQDIDIFLNRSLSTLSNEPEILIMGAFNDWRWKSFTFRLNKTQLKGDWWSCQFHVPKESYKIDFVFFNGQNIYDNNDEKDFCIAVEGGMDLFAFEDFLLDEKRKELEKLAKEQAERERQAEEQRQIEAEKAASEADRAEARAEIERRRKMVQELIKKGVRSVENVWYIEPSEFKGEDLVKLYYNRSSGPLAHAKEIWIHGGHNNWKDGLSIVERLVSSEEKDGDWWYANVVVPDQAVVLDWVFADGPPQNAVLYDNNHRHDFHSIVPKSIPEELYWVEEEHKIYRKLQEERRLREEAIRAKAERTARMKAEMKERTLKRFLLSQKHIVYTEPLDVQAGSMATVFYNPASTVLNGKPEVWFRGSFNRWTHRKGPLPPQKMLPAETGSHVKTTVKVPLDAYVMDFVFSEKKDDDGLFDNKNGMDYHIPVFGGVLKESPMHIVHISVEMAPIAKVGGLGDVVTSLSRAVQDLNHHVDIILPKYDCLNLSNVKGFQYNRSYSWGGTEIKVWFGKVEGVPVYFLEPQNRFFYTGCIYGCKNDAERFGFFCHAALEFLLQSGFHPDIIHCHDWSSAPVAWLYKDHYMHYGLSKARVVFTIHNLEFGAHFIGKAVGYSDKATTVSDSYAKEVAGNPAIAPHLYKFHGIINGIDQDIWDPYNDKFIPISYTSENVVEGKQAAKEALQQRLGLKTADLPVVGIITRLTHQKGIHLIKHAIWRTLERNGQVTFISLPLFVVLLGSAPDPRIQNDFVNLANQLHSSYGDRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPVVRKTGGLYDTVFDVDHDKERADAQGVEPNGFSFDGPDAAGVDYALNRAISAWYDGRDWFNSLCKTVMEQDWSWNKPALDYMELYHAARK >ONI09948 pep chromosome:Prunus_persica_NCBIv2:G4:935595:945355:-1 gene:PRUPE_4G020100 transcript:ONI09948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRRPGKWGFIPNYCQFSCLIRLCNLVDSSRRRQRKVTTPTNSPGPKGLVPKIPVGTSVQKTNQKSNGDKKGSISSKSSELAGPNKKTIELRVDTKGEWAVEPSQEDDVEEKRIGETSIKVEGSSSISKPSDIARGIQGIENGSVDKVLEDLAEIQPKGTASEGGDENVAEAILSDKQSLARRKMDDSVDDKGTDTDKKLTDEASLKSKLEMEEKLRKEEIVRLAEENFLRGNKIFVYPQVVKPDQDIDIFLNRSLSTLSNEPEILIMGAFNDWRWKSFTFRLNKTQLKGDWWSCQFHVPKESYKIDFVFFNGQNIYDNNDEKDFCIAVEGGMDLFAFEDFLLDEKRKELEKLAKEQAERERQAEEQRQIEAEKAASEADRAEARAEIERRRKMVQELIKKGVRSVENVWYIEPSEFKGEDLVKLYYNRSSGPLAHAKEIWIHGGHNNWKDGLSIVERLVSSEEKDGDWWYANVVVPDQAVVLDWVFADGPPQNAVLYDNNHRHDFHSIVPKSIPEELYWVEEEHKIYRKLQEERRLREEAIRAKAERTARMKAEMKERTLKRFLLSQKHIVYTEPLDVQAGSMATVFYNPASTVLNGKPEVWFRGSFNRWTHRKGPLPPQKMLPAETGSHVKTTVKVPLDAYVMDFVFSEKKDDDGLFDNKNGMDYHIPVFGGVLKESPMHIVHISVEMAPIAKVGGLGDVVTSLSRAVQDLNHHVDIILPKYDCLNLSNVKGFQYNRSYSWGGTEIKVWFGKVEGVPVYFLEPQNRFFYTGCIYGCKNDAERFGFFCHAALEFLLQSGFHPDIIHCHDWSSAPVAWLYKDHYMHYGLSKARVVFTIHNLEFGAHFIGKAVGYSDKATTVSDSYAKEVAGNPAIAPHLYKFHGIINGIDQDIWDPYNDKFIPISYTSENVVEGKQAAKEALQQRLGLKTADLPVVGIITRLTHQKGIHLIKHAIWRTLERNGQVVLLGSAPDPRIQNDFVNLANQLHSSYGDRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPVVRKTGGLYDTVFDVDHDKERADAQGVEPNGFSFDGPDAAGVDYALNRAISAWYDGRDWFNSLCKTVMEQDWSWNKPALDYMELYHAARK >ONI09944 pep chromosome:Prunus_persica_NCBIv2:G4:935583:944160:-1 gene:PRUPE_4G020100 transcript:ONI09944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQAQRPLGWRTVFQERGTNLKLKPFTGFSPHGRYSSWFKGDLASGVSYQITASSADSSRRRQRKVTTPTNSPGPKGLVPKIPVGTSVQKTNQKSNGDKKGSISSKSSELAGPNKKTIELRVDTKGEWAVEPSQEDDVEEKRIGETSIKVEGSSSISKPSDIARGIQGIENGSVDKVLEDLAEIQPKGTASEGGDENVAEAILSDKQSLARRKMDDSVDDKGTDTDKKLTDEASLKSKLEMEEKLRKEEIVRLAEENFLRGNKIFVYPQVVKPDQDIDIFLNRSLSTLSNEPEILIMGAFNDWRWKSFTFRLNKTQLKGDWWSCQFHVPKESYKIDFVFFNGQNIYDNNDEKDFCIAVEGGMDLFAFEDFLLDEKRKELEKLAKEQAERERQAEEQRQIEAEKAASEADRAEARAEIERRRKMVQELIKKGVRSVENVWYIEPSEFKGEDLVKLYYNRSSGPLAHAKEIWIHGGHNNWKDGLSIVERLVSSEEKDGDWWYANVVVPDQAVVLDWVFADGPPQNAVLYDNNHRHDFHSIVPKSIPEELYWVEEEHKIYRKLQEERRLREEAIRAKAERTARMKAEMKERTLKRFLLSQKHIVYTEPLDVQAGSMATVFYNPASTVLNGKPEVWFRGSFNRWTHRKGPLPPQKMLPAETGSHVKTTVKVPLDAYVMDFVFSEKKDDDGLFDNKNGMDYHIPVFGGVLKESPMHIVHISVEMAPIAKVGGLGDVVTSLSRAVQDLNHHVDIILPKYDCLNLSNVKGFQYNRSYSWGGTEIKVWFGKVEGVPVYFLEPQNRFFYTGCIYGCKNDAERFGFFCHAALEFLLQSGFHPDIIHCHDWSSAPVAWLYKDHYMHYGLSKARVVFTIHNLEFGAHFIGKAVGYSDKATTVSDSYAKEVAGNPAIAPHLYKFHGIINGIDQDIWDPYNDKFIPISYTSENVVEGKQAAKEALQQRLGLKTADLPVVGIITRLTHQKGIHLIKHAIWRTLERNGQVVLLGSAPDPRIQNDFVNLANQLHSSYGDRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPVVRKTGGLYDTVFDVDHDKERADAQGVEPNGFSFDGPDAAGVDYALNRAISAWYDGRDWFNSLCKTVMEQDWSWNKPALDYMELYHAARK >ONI09947 pep chromosome:Prunus_persica_NCBIv2:G4:935595:944160:-1 gene:PRUPE_4G020100 transcript:ONI09947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRRPGKWGFIPNYCQFSCLIRLCNLVDSSRRRQRKVTTPTNSPGPKGLVPKIPVGTSVQKTNQKSNGDKKGSISSKSSELAGPNKKTIELRVDTKGEWAVEPSQEDDVEEKRIGETSIKVEGSSSISKPSDIARGIQGIENGSVDKVLEDLAEIQPKGTASEGGDENVAEAILSDKQSLARRKMDDSVDDKGTDTDKKLTDEASLKSKLEMEEKLRKEEIVRLAEENFLRGNKIFVYPQVVKPDQDIDIFLNRSLSTLSNEPEILIMGAFNDWRWKSFTFRLNKTQLKGDWWSCQFHVPKESYKIDFVFFNGQNIYDNNDEKDFCIAVEGGMDLFAFEDFLLDEKRKELEKLAKEQAERERQAEEQRQIEAEKAASEADRAEARAEIERRRKMVQELIKKGVRSVENVWYIEPSEFKGEDLVKLYYNRSSGPLAHAKEIWIHGGHNNWKDGLSIVERLVSSEEKDGDWWYANVVVPDQAVVLDWVFADGPPQNAVLYDNNHRHDFHSIVPKSIPEELYWVEEEHKIYRKLQEERRLREEAIRAKAERTARMKAEMKERTLKRFLLSQKHIVYTEPLDVQAGSMATVFYNPASTVLNGKPEVWFRGSFNRWTHRKGPLPPQKMLPAETGSHVKTTVKVPLDAYVMDFVFSEKKDDDGLFDNKNGMDYHIPVFGGVLKESPMHIVHISVEMAPIAKVGGLGDVVTSLSRAVQDLNHHVDIILPKYDCLNLSNVKGFQYNRSYSWGGTEIKVWFGKVEGVPVYFLEPQNRFFYTGCIYGCKNDAERFGFFCHAALEFLLQSGFHPDIIHCHDWSSAPVAWLYKDHYMHYGLSKARVVFTIHNLEFGAHFIGKAVGYSDKATTVSDSYAKEVAGNPAIAPHLYKFHGIINGIDQDIWDPYNDKFIPISYTSENVVEGKQAAKEALQQRLGLKTADLPVVGIITRLTHQKGIHLIKHAIWRTLERNGQVVLLGSAPDPRIQNDFVNLANQLHSSYGDRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPVVRKTGGLYDTVFDVDHDKERADAQGVEPNGFSFDGPDAAGVDYALNRAISAWYDGRDWFNSLCKTVMEQDWSWNKPALDYMELYHAARK >ONI13727 pep chromosome:Prunus_persica_NCBIv2:G4:15954099:15958548:-1 gene:PRUPE_4G241500 transcript:ONI13727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSILLRCRLVSRVLKRNRRLFSSDVASTPPKEPLIGAQSLVSDLTPPPPPPPPPPSTEASNASSGKAWNFLKYSLIGAITGATATVGYASYAYSVDEIEEKTKALRAAPSNIKVADDAPALEKFKNRVYSSAITVPAKAAEVYIDARRTIEEQIRGYTEPYAEKLLPDLHPMERHVFTLVLDLQETLLYSYWTREKGWQTIKRPGVDAFLEHLAQFYEIIVYSDYSNMYVDPVMERLDTKHCVRYRLGKAATKYQNGKHYRDLSKLNRDPNKIIYLSAHARENSLQPENGAIIKPYKYEMDDTALVDFIPFLEFVARNPPADIRQVLASYEGRDIPAEFIRRSKEHQRF >ONI13728 pep chromosome:Prunus_persica_NCBIv2:G4:15954748:15958406:-1 gene:PRUPE_4G241500 transcript:ONI13728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSILLRCRLVSRVLKRNRRLFSSDVASTPPKEPLIGAQSLVSDLTPPPPPPPPPPSTEASNASSGKAWNFLKYSLIGAITGATATVGYASYAYSVDEIEEKTKALRAAPSNIKVADDAPALEKFKNRVYSSAITVPAKAAEVYIDARRTIEEQIRGYTEPYAEKLLPDLHPMERHVFTLVLDLQETLLYSYWTREKGWQTIKRPGVDAFLEHLAQFYEIIVYSDYSNMYVDPVMERLDTKHCVRYRLGKAATKYQNGKHYRFLLAGPFQT >ONI13726 pep chromosome:Prunus_persica_NCBIv2:G4:15953549:15958548:-1 gene:PRUPE_4G241500 transcript:ONI13726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSILLRCRLVSRVLKRNRRLFSSDVASTPPKEPLIGAQSLVSDLTPPPPPPPPPPSTEASNASSGKAWNFLKYSLIGAITGATATVGYASYAYSVDEIEEKTKALRAAPSNIKVADDAPALEKFKNRVYSSAITVPAKAAEVYIDARRTIEEQIRGYTEPYAEKLLPDLHPMERHVFTLVLDLQETLLYSYWTREKGWQTIKRPGVDAFLEHLAQFYEIIVYSDYSNMYVDPVMERLDTKHCVRYRLGKAATKYQNGKHYRDLSKLNRDPNKIIYLSAHARENSLQPENGAIIKPYKYEMDDTALVDFIPFLEFVARNPPADIRQVLASYEGRDIPAEFIRRSKEHQRRMQEQKQQGRLWRR >ONI14226 pep chromosome:Prunus_persica_NCBIv2:G4:21520056:21521335:-1 gene:PRUPE_4G269700 transcript:ONI14226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDKDLVEILEEHNQIDVAKYINYVSAPQAGAVATFSGTTRDTFEGKTVLELRYEAYVPMALRCMKSICSSARSSWNLLSIAVAHCLGPVPVGETSVFIAVSAVHRADALDACKFVIDEIKASVPIWKKEVYSNGEVWKENSEFLERRLDLGKKDEIWNQKEIEVEVERHTRKSCCGAKVKVIEEGDKK >ONI14227 pep chromosome:Prunus_persica_NCBIv2:G4:21520054:21521344:-1 gene:PRUPE_4G269700 transcript:ONI14227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDKDLVEILEEHNQIDVAKYINYVSAPQAGAVATFSGTTRDTFEGKTVLELRYEAYVPMALRCMKSICSSARSSWNLLSIAVAHCLGPVPVGETSVFIAVSAVHRADALDACKFVIDEIKASVPIWKKEVYSNGEVWKENSEFLERRLDLGKKDEIWNQKEIEVEVERHTRKSCCGAKVKVIEEGDKK >ONI14225 pep chromosome:Prunus_persica_NCBIv2:G4:21520054:21521346:-1 gene:PRUPE_4G269700 transcript:ONI14225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDKDLVEILEEHNQIDVAKYINYVSAPQAGAVATFSGTTRDTFEGKTVLELRYEAYVPMALRCMKSICSSARSSWNLLSIAVAHCLGPVPVGETSVFIAVSAVHRADALDACKFVIDEIKASVPIWKKEVYSNGEVWKENSEFLERRLDLGKKDEIWNQKEIEVEVERHTRKSCCGAKVKVIEEGDKK >ONI13546 pep chromosome:Prunus_persica_NCBIv2:G4:14680025:14680586:1 gene:PRUPE_4G229300 transcript:ONI13546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSTVLAKSYVEFWGRKWNIQDISTVVVFVALHCLCLFAPFHFNWGAFWVAMALYLLTGLGVTLSYHRNLAHRSFTLPKWLEYSFAYCGVLSLQGSSIEWVSTHRYHHQFTDTGKDPHSPIN >ONI13969 pep chromosome:Prunus_persica_NCBIv2:G4:17742178:17747574:1 gene:PRUPE_4G255200 transcript:ONI13969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYEVTNILFTKIKALDPENAIKIMGYLLIQDLAEKDLIRLAYGPETLLHSLIVRAKIQLGLCSSTSTTSSTPSSPSPLNPIARPTNANPFSQSSPRIPNGFDFGKNPSSTSSNAWPLSGFPNNSISPKSSPLLSYDNIRAGSVSLPVHSPRYSKDGGGDVWPGGDLIDEHQLNEYLSFLNESSSSSRPEDFIDPRLELGHGVPDWAHSVNNGDAHFHRRSFSASDACLGSEDAALGGGFKPCLYFARGFCKNGSNCKFVHGGFADSLDGSGAIVGSPSNLDSFEQHEEMMRLKAAQQQRLAAASQFMGGGSPTPYSKYMNFLLQQQNDPQRVAAMMMGEEFYKFGRCRPDRNDLLAMSSVEKASSASRQIYLTFPAESTFKDEDVSEYFCKYGPVQDVRIPYQQKRMFGFVTFVYPETVRLILSKGNPHFICDSRVLVKPYKEKGKVLDKRQQQQQHLERGEFSQSLSPSGLDSRDPYDLQLGGRMFYSTQEMLLRRKLEEQAELQQAIELQGRRVMSLQLPDWKNDRLPHHQRSLSVGAHVPLSPQSHVQINQNVIPFDSIKQEVSEGHGDIPAASISVTAAVAEQHLQKEDNFAFIHNNGNGKNKEQGSYLETPDLQKSVEQVLPDCLFAAPSNSAGDHLSDLSTAVSDLNDTIITAENKSSSSVNSASCMASH >ONI10671 pep chromosome:Prunus_persica_NCBIv2:G4:2908106:2909054:1 gene:PRUPE_4G061100 transcript:ONI10671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSSGIVLASALCFLSLLGFAYCADNLSVDGLVYCDNCRIQFMTRISEPLQGATVKLECRDREGGTVTLSSETDTDEQGRYQIPVEGDHEEEVCEVLLVKSPRDDCSEVSTDSHAKLSARISLTNNNGIAGPHRIPNPLGFLKTEPDAKCAEVLKELGLTPEGDLA >ONI11503 pep chromosome:Prunus_persica_NCBIv2:G4:5784916:5788237:-1 gene:PRUPE_4G109700 transcript:ONI11503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELWAGVHVSRTKGEKACKGAWAAVLQLHQGKGERARQRGSGLLVRCKQAVPRTPGGCFSWELAGFIKGSREGISLGVRVIFTCMREGVQGVYPIGYGTQMSPESTKVSKSSTVRAKASTFSTHRTDLNEAANPIFNARTKHVKIDYHYIRQLITSNSISISHVSSPYQIADTFTKSLPKAQFQHLSSMLIIVSTQLRLRAYVKKQNNQIIQRLEREEKKYLRHSTNLHPNQQSSTVRAKASTFSEHRIVLNETGWSSKVLVFAAQSRSCSPTSIPLPRVSNEVEGCASPTSLIGRYACIEPAIIMSIALSGCPASTKISFSLKIFGLR >ONI12119 pep chromosome:Prunus_persica_NCBIv2:G4:8377807:8379047:1 gene:PRUPE_4G145800 transcript:ONI12119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVLLDFWPSMFGMRVRVALAEKGVKYEYREEDLLNNKSPLLLQMNPVHKKIPVLIHNGKPVCESANIVQYIDEAWKDKAPLLPSDPYQRAQARFWVDYIDKNLYEVGRNIWATKGEEQDEAKKKLIEILKLLEGQLGDNSFFGGEIFGFLDVALVTVYCWFFSYETCGNFSIEAECPKLIEWAKRCMQKESVAKSLADPKKVYEFTLLLKKRFGKE >ONI12902 pep chromosome:Prunus_persica_NCBIv2:G4:11377002:11380266:1 gene:PRUPE_4G190700 transcript:ONI12902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQVSLASAQPQTPKATPDDVNRRCANFSPSMWGYHFLSYASVETNIKAKQRAQELKEKVKMIIMTPVKKPSQKLDLIHDIQRLGVSHHFENEIEELLQQIHTSSHCSTESGDQETDNELYTAALRFRLLRQQGYNISCDIFNNFKDSDGKFKESLVNDVALTFTTTHLESATHRLSPILSKQVTHAMYQPFWKGLPRLETRHYLSLYQERDSQNETLLNFAKLDFNLLQQVHQRELSEISRWWKDLDFVNKLPFARDRVVESYFWASGVHFEPQYYFARITLCKVIALITILNDIYDVYGTHEELELFTEAVERWDISAMDHLPEYMKVCYQALLDVYVEIEENLANEGNLYSIHYAREARLITWFGLSDKVLVRAYFRKAKWFHQKYTPTMDDYMSAALNASNFTLATTSFVGMGDIATKYSMDWVFDDPKMVKAASLIGRIMNDMKSRQFEQKRGHVASAVECYMKEYGATEEEANIELSKQVNNAWKDINETCIQNTTIPMPLLLRILNLARVVEVLYKHGDGFTHAGIFLKDSEVSLFVEPVPL >ONI10379 pep chromosome:Prunus_persica_NCBIv2:G4:2130487:2132423:1 gene:PRUPE_4G044300 transcript:ONI10379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSLFSHEVSDLCLGKPPVKSLSISATVGEALSALRRLGESSLSVWSCDHSEKSDKSESEDCLCVGKVCMVDVICFLGKEENLSCPAKALEAPLEVLIPKGSALVRHLEPNASLVEAIDLILEGAHNIVIPIQSRRITATRKMLLHSHSFNTLHNNREYCWLTQEDILRYLLNSIGRFSPISNSPINSLQAINTENILALHYDDPASFALPLISQSLVQQTSVAILDEYSRVIGEISPYTINTCHESVAAAIATLSAGDLMSYIDCGGPPDELVQLVKDRLEEKKYGAFLEFMEEDSTLSSASSFCSTSSDEEFGWGRRSGGGYSARLVRRSEAIVCYPRSSLVAVMVQALSHRVSYVWVVEEDGTLSGIVTFASMFKVFQERLRSMA >ONI13964 pep chromosome:Prunus_persica_NCBIv2:G4:17612423:17617074:1 gene:PRUPE_4G254800 transcript:ONI13964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRLANTWRMSVNEKKFIETALLSDLRIDGRRPFDYRNLTIKFGKDEGSAEVQLGQTHVMAFVTAQLIQPYRDRPNDGSLSIFTEFSPMADPSFEPGRPGESAVELGRVIDRGLRESRAVDTESLCVLSGKLAWAIRVDLHILDNGGNLIDAANIAALAALLTFRRPECSVGGEDGQEVIVHPPEEREPLHLIIHHLPIAVTFAFFSFESRVVIDPTHHEEAVMGGRMTATLNANGDVCAIQKAGGEGVLQSVIMQCLRIASVKAGAMTEKIKKAVDSYNTERALRKIKRHTSSDPMDVDRGASNTGVTQNSSVYHMERLKPVSEEGIANQSEDVEGETQLSDKRQTNKVNDAKNFIGGPSSWDPYSKGVDSDFLKASLASHVLALLIALKLVDL >ONI13963 pep chromosome:Prunus_persica_NCBIv2:G4:17612476:17616429:1 gene:PRUPE_4G254800 transcript:ONI13963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRLANTWRMSVNEKKFIETALLSDLRIDGRRPFDYRNLTIKFGKDEGSAEVQLGQTHVMAFVTAQLIQPYRDRPNDGSLSIFTEFSPMADPSFEPGRPGESAVELGRVIDRGLRESRAVDTESLCVLSGKLAWAIRVDLHILDNGGNLIDAANIAALAALLTFRRPECSVGGEDGQEVIVHPPEEREPLHLIIHHLPIAVTFAFFSFESRVVIDPTHHEEAVMGGRMTATLNANGDVCAIQKAGGEGVLQSVIMQCLRIASVKAGAMTEKIKKAVDSYNTERALRKIKRHTSSDPMDVDRGASNTGVTQNSSVYHMERLKPVSEEGIANQSEDVEGETQLSDKRQTNKVNDAKNFIGGPSSWDPYSKGVDSDFLKASLASHDA >ONI13962 pep chromosome:Prunus_persica_NCBIv2:G4:17612343:17617131:1 gene:PRUPE_4G254800 transcript:ONI13962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRLANTWRMSVNEKKFIETALLSDLRIDGRRPFDYRNLTIKFGKDEGSAEVQLGQTHVMAFVTAQLIQPYRDRPNDGSLSIFTEFSPMADPSFEPGRPGESAVELGRVIDRGLRESRAVDTESLCVLSGKLAWAIRVDLHILDNGGNLIDAANIAALAALLTFRRPECSVGGEDGQEVIVHPPEEREPLHLIIHHLPIAVTFAFFSFESRVVIDPTHHEEAVMGGRMTATLNANGDVCAIQKAGGEGVLQSVIMQCLRIASVKAGAMTEKIKKAVDSYNTERALRKIKRHTSSDPMDVDRGASNTGVTQNSSVYHMERLKPVSEEGIANQSEDVEGETQLSDKRQTNKVNDAKNFIGGPSSWDPYSKGVDSDFLKASLASHGMSMTTKKQNDSKGEETTSGAKPEEPPEDINPKPSPIRAAETAEQTNGEKTLKDAVKPKNKRPRRKNRSSTGPS >ONI09823 pep chromosome:Prunus_persica_NCBIv2:G4:555467:558285:-1 gene:PRUPE_4G011500 transcript:ONI09823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETIGLPAKPSLRGNTWVVDASHWMYFSVHLHQSQASFFMLPSISDPMTHHHCRTCGGLFCNSCTQQKMFLRGQCDSPVRICEPCEKLEEAARFERHGHKTRAGRGNLKLTSKPEDEVLNQILGNDRKESGQESNSNVVASMQRDSSSASVQIVNKISATMGSAEALRAFKRGKELERQADALEIHLRKERKKVLLSGNVAESQTKDGPSESGRRNKVTPPVNESKDDLSNELKELGWSNMDLRDEDKRQASLNRKALMLKREGKLAEAKEELKRAKFLEKELEEQEFLAEAEDSDDELSALIRIWMNDDKQQKFSIQYEQEDGFNFDHLISAADDHILDINFEVTDEDMEDPEINAALQSLAAVDREALLIELQSLKRGALIHKWAGNVAEAMAQLKKAKLLERDHESVDSPEGNVANDRTTIHNQTADKSSKSFTRQCLGLLALAVSKVPGLSHEHPNLPVADEEGDNVTDQDMHDPTTVSVEGLLDPQLLSALKQLELMMLAFLSQGPGRPEPSKVNAGMSNNPTQDRSQLEEQIKVEKVKAINLKRAVKQAEALVTLRKAKILEKKLNYSPSK >ONI10738 pep chromosome:Prunus_persica_NCBIv2:G4:3124062:3127410:1 gene:PRUPE_4G064900 transcript:ONI10738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGAHDLQGSSEVLGAVDQKTIEKSRNSVVWSVVETVIVIESEEGACVIGKNEDLEALGKELGSKKAVVEGLEKLNDKEACDQPGSGVVPSEVEQGNNKNSNNLADGNVSETLVVINSDEAARVTGDNGRLVTKVDELGSSKVSVEESKKKVPQAERDSHVIDVRCGSGKGFGDKWDVERVCRICHLSSEQSPDRKIETANSSTPTELIHLGCECRDELGVAHGHCAEAWFKLKGNRTCEICGETANNVTGHGDSRFMEEWNEETLSGIENNSLDRNGGCWRGQPFCNFLMACLVIAFVLPWFFRVKMF >ONI10737 pep chromosome:Prunus_persica_NCBIv2:G4:3123944:3127422:1 gene:PRUPE_4G064900 transcript:ONI10737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGAHDLQGSSEVLGAVDQKTIEKSRNSVVWSVVETVIVIESEEGACVIGKNEDLEALGKELGSKKAVVEGLEKLNDKEACDQPGSGVVPSEVEQGNNKNSNNLADGNVSETLVVINSDEAARVTGDNGRLVTKVDELGSSKVSVEESKKKVPQAERDSHVIDVRCGSGKGFGDKWDVERVCRICHLSSEQSPDRKIETANSSTPTELIHLGCECRDELGVAHGHCAEAWFKLKGNRTCEICGETANNVTGHGDSRFMEEWNEETLSGIENNSLDRNGGCWRGQPFCNFLMACLVIAFVLPWFFRVKMF >ONI11841 pep chromosome:Prunus_persica_NCBIv2:G4:7117596:7118620:1 gene:PRUPE_4G128900 transcript:ONI11841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKGSYLMSLFILMLLFSSKAAFARVSHFSETKQKKGRELLKMNNIHLAKKIGADDPIGSGPSIP >ONI11956 pep chromosome:Prunus_persica_NCBIv2:G4:7575164:7581933:1 gene:PRUPE_4G136600 transcript:ONI11956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKRKQKQRIILPPELPPEVSEDEIEVSDEDRDFVDQNREYAGFLSTLDTQSITKHVTRVADVKEDALEALYEKRLKRKSLHKEKEDPGVQVDRVDALPVKTLDGQLYYRTATKASKASENDPTEEEASGAADKSIVKLTKAERRAKLKKSKKEAKKQGKEAEPEVEQTPQEAVLAEVKEDLTTEEAFESKKNKLAELGIALLADPASNIKSLKEILQICKDNNHAIVKLGLLSLLAVFKDLIPGYRIRLPTEKELEMKVSKDVKKMRLYESTLLSVYKAYLQKLAALEKQSSFQHVAFRCICTLLDAAPHFNYRESLLGVVIRNIGSPDDVVRKLCCSSIKSLFTNEGKHGGEATVEAVRLIADHVKAHNCQLHPDSVEVFLSLSFDEDLGRAARNDEKHKPQSKKSKKKKHYEEARQLKENDKKRSRQELLTKTREEVAADYKAVALAPDVMERRGMQTEALSAVFETYFRILKHTMQSSASRSEANASLSTGASEPHPLLAPCLKGLGKFSHLIDMDFMGDLINYLKKLASGGSDSENTSKCLTVSERLRCCIVAFKVMKSNLDALNVDLQDFFVQLYNIILEYRPGRDQGEVLAEALKIMLCEDRQHDMQKAAAFVKRLATFSLCSGSAESMAALVTLKHLLLKNVKCRNLLENDAGGGSVSGSVANLKSIYKCSIKDSSS >ONI11955 pep chromosome:Prunus_persica_NCBIv2:G4:7575142:7583037:1 gene:PRUPE_4G136600 transcript:ONI11955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKRKQKQRIILPPELPPEVSEDEIEVSDEDRDFVDQNREYAGFLSTLDTQSITKHVTRVADVKEDALEALYEKRLKRKSLHKEKEDPGVQVDRVDALPVKTLDGQLYYRTATKASKASENDPTEEEASGAADKSIVKLTKAERRAKLKKSKKEAKKQGKEAEPEVEQTPQEAVLAEVKEDLTTEEAFESKKNKLAELGIALLADPASNIKSLKEILQICKDNNHAIVKLGLLSLLAVFKDLIPGYRIRLPTEKELEMKVSKDVKKMRLYESTLLSVYKAYLQKLAALEKQSSFQHVAFRCICTLLDAAPHFNYRESLLGVVIRNIGSPDDVVRKLCCSSIKSLFTNEGKHGGEATVEAVRLIADHVKAHNCQLHPDSVEVFLSLSFDEDLGRAARNDEKHKPQSKKSKKKKHYEEARQLKENDKKRSRQELLTKTREEVAADYKAVALAPDVMERRGMQTEALSAVFETYFRILKHTMQSSASRSEANASLSTGASEPHPLLAPCLKGLGKFSHLIDMDFMGDLINYLKKLASGGSDSENTSKCLTVSERLRCCIVAFKVMKSNLDALNVDLQDFFVQLYNIILEYRPGRDQGEVLAEALKIMLCEDRQHDMQKAAAFVKRLATFSLCSGSAESMAALVTLKHLLLKNVKCRNLLENDAGGGSVSGSVAKYHPYASDPNLSGALASVLWELNLLTQHYHPAVSSMASSISSMNTAHNQVYLSTISPQQAFTDFSLERPESFKPPSDIKKSNNKRKRGSDPSVSAVIETSADTTSIDEDDVRKKLSAHFMLLRDIKENQRLRAELDGTTSSIQLYEEYKQQKKKAKKPKVKKSRTLLTVK >ONI11957 pep chromosome:Prunus_persica_NCBIv2:G4:7575164:7582118:1 gene:PRUPE_4G136600 transcript:ONI11957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKRKQKQRIILPPELPPEVSEDEIEVSDEDRDFVDQNREYAGFLSTLDTQSITKHVTRVADVKEDALEALYEKRLKRKSLHKEKEDPGVQVDRVDALPVKTLDGQLYYRTATKASKASENDPTEEEASGAADKSIVKLTKAERRAKLKKSKKEAKKQGKEAEPEVEQTPQEAVLAEVKEDLTTEEAFESKKNKLAELGIALLADPASNIKSLKEILQICKDNNHAIVKLGLLSLLAVFKDLIPGYRIRLPTEKELEMKVSKDVKKMRLYESTLLSVYKAYLQKLAALEKQSSFQHVAFRCICTLLDAAPHFNYRESLLGVVIRNIGSPDDVVRKLCCSSIKSLFTNEGKHGGEATVEAVRLIADHVKAHNCQLHPDSVEVFLSLSFDEDLGRAARNDEKHKPQSKKSKKKKHYEEARQLKENDKKRSRQELLTKTREEVAADYKAVALAPDVMERRGMQTEALSAVFETYFRILKHTMQSSASRSEANASLSTGASEPHPLLAPCLKGLGKFSHLIDMDFMGDLINYLKKLASGGSDSENTSKCLTVSERLRCCIVAFKVMKSNLDALNVDLQDFFVQLYNIILEYRPGRDQGEVLAEALKIMLCEDRQHDMQKAAAFVKRLATFSLCSGSAESMAALVTLKHLLLKNVKCRNLLENDAGGGSVSGSVAVSSHANICFMIFNELEPAASLHF >ONI12539 pep chromosome:Prunus_persica_NCBIv2:G4:10056736:10058604:-1 gene:PRUPE_4G170300 transcript:ONI12539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHQLSLDRRIQSLFPVALASLLVLGTAKLVLDALKTNDSFVFQLYGRPRGQQQSPAVVVSPEDRIDESCNVFEGKWVWDNVSHPHYTEESCPYLVKQVTCQRNGRPDSYYKNWRWQPNDCNLPRFDPLKLMQILRGKRLMFVGDSVQRGQFESLVCMVQSIIPQGKKSLQRAPPRKIFKVEEFDASIEYYWAPFIVESISDHATKHTVLKRLVKLDSIAKHGKHWEGVDILVFESYVWWMHKPTINATYGSPDVQEYNVTTAYRLALQTWAEWLESSINPERQKVFFMSMSPTHLW >ONI12538 pep chromosome:Prunus_persica_NCBIv2:G4:10055442:10058604:-1 gene:PRUPE_4G170300 transcript:ONI12538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHQLSLDRRIQSLFPVALASLLVLGTAKLVLDALKTNDSFVFQLYGRPRGQQQSPAVVVSPEDRIDESCNVFEGKWVWDNVSHPHYTEESCPYLVKQVTCQRNGRPDSYYKNWRWQPNDCNLPRFDPLKLMQILRGKRLMFVGDSVQRGQFESLVCMVQSIIPQGKKSLQRAPPRKIFKVEEFDASIEYYWAPFIVESISDHATKHTVLKRLVKLDSIAKHGKHWEGVDILVFESYVWWMHKPTINATYGSPDVQEYNVTTAYRLALQTWAEWLESSINPERQKVFFMSMSPTHLWSWEWKAGSDENCFNESYPIQGSYWGTGSNMEIMEMIHDQIQDLKVKVTFLNITQLSEYRKDAHTSIYGERKGKLLTKEQRSDPKNFADCIHWCLPGVPDTWNEILYAHLLKSHQNLL >ONI13460 pep chromosome:Prunus_persica_NCBIv2:G4:14037052:14037468:1 gene:PRUPE_4G223600 transcript:ONI13460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVNCWLDLIVENRVSYVAQCSPYITIDFFLLLLLKKAPSLCSPSIGVQLPRPSPLFSVNYCRCRTC >ONI09940 pep chromosome:Prunus_persica_NCBIv2:G4:922157:924311:1 gene:PRUPE_4G019900 transcript:ONI09940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSLKNECCQERMQAEENNSDPAPMVVKNAAAAASLSVIPDINKMKKKKKRKPTVVISPVRALLLDTWNMRHVWKNADGTSSNEKHIDPWLLRETHPDLVPVAVEKDARSDIAEIDVKKYLVPKDALLGDFIAYVRMWIFLKKRKPIFVFFKNTVPPKGATLGAIDEENKDEDGFLHMTYSGNDTFSYSGNDVTC >ONI14143 pep chromosome:Prunus_persica_NCBIv2:G4:19532376:19533906:-1 gene:PRUPE_4G264600 transcript:ONI14143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHQIKPICISSLLFFLLLFSHYSTAVEAQEVGKYNEINYIHTYSFFLLFFFVEKEKNLINHMHVYIVHIAEDEREFDYLQGSRKGPKQWGEMKKEWAACKNGVMQSPIDLSSQRVKLIPNLGKLNTTYKPCNATVKNRGHDISLTRDVKSMTDQKAKRNMGMIDPRKIKIGGKNYYRYMGSLTVPPCTEVVIWTIDRKIRTVSTDQVKLFRFAVHDYAEMNAGPVQPLNLREIQVYDRNARSTNNQQRV >ONI13421 pep chromosome:Prunus_persica_NCBIv2:G4:13881721:13884906:1 gene:PRUPE_4G220800 transcript:ONI13421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRIKRVPTVVSNYQKDEAEEGARRVGGCGRNCLNQCCIPGAKLPLYAFKKLTKIDGDKELPGSEKREPPVDFLDSLLLGEWEDRMQRGLFRYDVTACETKVIPGQFGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFIPSAPIEPENSPSVVAINVSPIEYGHVLLIPRILEHLPQRIDRESFLLALHMAAAAGNPYFRLGYNSLGAFATINHLHFQAYYLAVTFPIEKAPTKKITVSGAGVRVSELLNYPVRGLVFEGGNTLQDLSNTVSDACICLQENNIPYNVLISDCGKRIFLLPQCYAEKQALGEVRAELLDTQVNPAVWEISGHMVLKRKKDYEEASDENAWKLLAEVSLSEERFQEVNSLIFEAIASDDNGNANLLEDPEVKPHSREEVDTINTSSHAAMVTGTQQCLVLQ >ONI12102 pep chromosome:Prunus_persica_NCBIv2:G4:8285352:8292764:1 gene:PRUPE_4G144300 transcript:ONI12102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILVGSMQGTMASVASLVSLGSVTLLGSSERSRSLVRKVSLSKASLKGSRRWHCVRLSVCKCSVTTTDFVAKQGNEVSLDSNNYRGSTDVSNANADFVLKPSPKPVLKSSGGSNNEPLVGIDAADWDPSRISGDSDEEDGDEERNKVIESLGEVLEKAEKLETSRAGELGTKKDSSSVNKPAPSNASTNLRNAKPVNSETTSKSKTLKSVWRKGDTVANVQKVVKESPKLNNTIPEEELKTGGGLKADSQPHASLRPPQPPLRPQPKLQAKPSAAPPPMVKKPVVLKDVGAAPKSSGIDETDSSTQTKERKPILIDKFASKKPAVDSVISQAVLAPSKPGKGPPPGRFKDGYRKKNDPGGRRRKVDDEIPDEEASELNVSIPGAARKGRKWSKASRKAARLQAAKEAAPVKVEILEVGEDGMLIDDLAYYLAINESQILGSLYAKGIKPDGVQTLDKDMVKMICKEHDVEVIDADPVKVEEMAKKKEILDEDDLDKLEDRPPVLTIMGHVDHGKTTLLDYIRKSKVAASEAGGITQGIGAYKVLVPIDGKVQSCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTKEAIAHAKAAGVPIVIAINKIDKDGANPDRVMQELSSIGLMPEDWGGDVPMVQISALKGKNIDELLETVMLVAELQDLKANPHRSAKGTVIEAGLHKSKGPLVTLIVQNGTLRRGDIIVCGGAFGKVRALFDDGGNRVDEAGPSIPVQVLGLNNVPVAGDEFDVVGSLDVAREKAESRAESLRSERISAKAGDGRVTLSSLASAVSSGKLSGLDLHQLNIILKVDLQGSIEAVRQALQVLPQDNVTLKFLLEATGDVSTSDVDLAAASKAIVFGFNVKVPGSVKSYGENKGVEIRLYRVIYELIDDVRNAMEGLLEPVEEQVTIGSAEVRAVFSSGSGRVAGCMINEGKVVKGCGVQVIRRGKVVHVGLLDSLKRVKEIVKEVNAGLECGIGVEDYDDWEEGDILEAFNTVQKKRTLEEASASMAAAVEGAGIQL >ONI10709 pep chromosome:Prunus_persica_NCBIv2:G4:3002678:3008537:1 gene:PRUPE_4G062600 transcript:ONI10709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRWNPFGFRLLSLLVFLTIVSRFRGCWSVNDEGLTLLSFQKNIKFDPFGTLENWSPNDSDPCLWKGVHCVDSKVQILNLTDFSLEGTLAPELGKLSNLRSLVFYKNRLSGEIPKEIGGLTRLELLDLRDNNLSGTIPVEIGRMLSLKRLLLCDNKFEGSIPLELRSLSLLSELQFDDYLMSSGNTGFGCVNRKFGHCIWQSNLKHVNSGQSLVVLLKVAFKHYLNYLSLPRYKKDSLHSQVDSCCDNLSSLFESNMVFARRRLLAQASNLPAAPVTGMVPSGQIIALPTTRSSGSFPAVPKDKKKNSPPPAPAPQPPSLDPPSHENSKPSGQSSQKSNGNIWKYIIISFSVLILLIVLIAMVFMCRSQAVKTIGPWKTGLSGQLQKAFITGVPKLNRSELETACEDFSNIISTIDGCIVYKGTLSSGVEIAVASTTMSSLKEWSKRAENAYRKKIDVLSRVNHKNFVNLIGYCEEDEPFTRMMVFEYAPNGNLFEHLHVEEMEHLDWNARVRIIMGTAYCLQYMHQELNPPVAHPNLNSSSIFLTDDYAAKIAEICFWTETTGGKHKNSGDDDKEHSELPPLSDPETNVYSFGLLLLEIISGKLQNSEEVGSLSYWASAYLNENRCNMVDPTLKSFKNDELDVLCEVVKDCIKQDPRQRPPMKDITAKLREVIPITPNQAVPRLSPLWWAELEILSVEAT >ONI10710 pep chromosome:Prunus_persica_NCBIv2:G4:3002873:3008537:1 gene:PRUPE_4G062600 transcript:ONI10710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRWNPFGFRLLSLLVFLTIVSRFRGCWSVNDEGLTLLSFQKNIKFDPFGTLENWSPNDSDPCLWKGVHCVDSKVQILNLTDFSLEGTLAPELGKLSNLRSLVFYKNRLSGEIPKEIGGLTRLELLDLRDNNLSGTIPVEIGRMLSLKRLLLCDNKFEGSIPLELRSLSLLSELQFDDYLMSSGNTGFGCVNRKFGHCIWQSNLKHVNSGQSLVVLLKVAFKHYLNYLSLPRYKKDSLHSQVDSCCDNLSSLFESNMVFARRRLLAQASNLPAAPVTGMVPSGQIIALPTTRSSGSFPAVPKDKKKNSPPPAPAPQPPSLDPPSHENSKPSGQSSQKSNGNIWKYIIISFSVLILLIVLIAMVFMCRSQAVKTIGPWKTGLSGQLQKAFITGVPKLNRSELETACEDFSNIISTIDGCIVYKGTLSSGVEIAVASTTMSSLKEWSKRAENAYRKKIDVLSRVNHKNFVNLIGYCEEDEPFTRMMVFEYAPNGNLFEHLHVEEMEHLDWNARVRIIMGTAYCLQYMHQELNPPVAHPNLNSSSIFLTDDYAAKIAEICFWTETTGGKHKNSGDDDKEHSELPPLSDPETNVYSFGLLLLEIISGKLQNSEEVGSLSYWASAYLNENRCNMVDPTLKSFKNDELDVLCEVVKDCIKQDPRQRPPMKDITAKLREVIPITPNQAVPRLSPLWWAELEILSVEAT >ONI10712 pep chromosome:Prunus_persica_NCBIv2:G4:3002873:3008537:1 gene:PRUPE_4G062600 transcript:ONI10712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRWNPFGFRLLSLLVFLTIVSRFRGCWSVNDEGLTLLSFQKNIKFDPFGTLENWSPNDSDPCLWKGVHCVDSKVQILNLTDFSLEGTLAPELGKLSNLRSLVFYKNRLSGEIPKEIGGLTRLELLDLRDNNLSGTIPVEIGRMLSLKRFIWQSNLKHVNSGQSLVVLLKVAFKHYLNYLSLPRYKKDSLHSQVDSCCDNLSSLFESNMVFARRRLLAQASNLPAAPVTGMVPSGQIIALPTTRSSGSFPAVPKDKKKNSPPPAPAPQPPSLDPPSHENSKPSGQSSQKSNGNIWKYIIISFSVLILLIVLIAMVFMCRSQAVKTIGPWKTGLSGQLQKAFITGVPKLNRSELETACEDFSNIISTIDGCIVYKGTLSSGVEIAVASTTMSSLKEWSKRAENAYRKKIDVLSRVNHKNFVNLIGYCEEDEPFTRMMVFEYAPNGNLFEHLHVEEMEHLDWNARVRIIMGTAYCLQYMHQELNPPVAHPNLNSSSIFLTDDYAAKIAEICFWTETTGGKHKNSGDDDKEHSELPPLSDPETNVYSFGLLLLEIISGKLQNSEEVGSLSYWASAYLNENRCNMVDPTLKSFKNDELDVLCEVVKDCIKQDPRQRPPMKDITAKLREVIPITPNQAVPRLSPLWWAELEILSVEAT >ONI10711 pep chromosome:Prunus_persica_NCBIv2:G4:3002239:3008537:1 gene:PRUPE_4G062600 transcript:ONI10711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRWNPFGFRLLSLLVFLTIVSRFRGCWSVNDEGLTLLSFQKNIKFDPFGTLENWSPNDSDPCLWKGVHCVDSKVQILNLTDFSLEGTLAPELGKLSNLRSLVFYKNRLSGEIPKEIGGLTRLELLDLRDNNLSGTIPVEIGRMLSLKRLLLCDNKFEGSIPLELRSLSLLSELQFDDYLMSSGNTGFGCVNRKFGHCIWQSNLKHVNSGQSLVVLLKVAFKHYLNYLSLPRYKKDSLHSQVDSCCDNLSSLFESNMVFARRRLLAQASNLPAAPVTGMVPSGQIIALPTTRSSGSFPAVPKDKKKNSPPPAPAPQPPSLDPPSHENSKPSGQSSQKSNGNIWKYIIISFSVLILLIVLIAMVFMCRSQAVKTIGPWKTGLSGQLQKAFITGVPKLNRSELETACEDFSNIISTIDGCIVYKGTLSSGVEIAVASTTMSSLKEWSKRAENAYRKKIDVLSRVNHKNFVNLIGYCEEDEPFTRMMVFEYAPNGNLFEHLHVEEMEHLDWNARVRIIMGTAYCLQYMHQELNPPVAHPNLNSSSIFLTDDYAAKIAEICFWTETTGGKHKNSGDDDKEHSELPPLSDPETNVYSFGLLLLEIISGKLQNSEEVGSLSYWASAYLNENRCNMVDPTLKSFKNDELDVLCEVVKDCIKQDPRQRPPMKDITAKLREVIPITPNQAVPRLSPLWWAELEILSVEAT >ONI14445 pep chromosome:Prunus_persica_NCBIv2:G4:23804313:23812513:-1 gene:PRUPE_4G281200 transcript:ONI14445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDSDYNGTEVNTLTSSKQIACTESDTNVGQPENIDVNILILAENPSLSEVHAEEKAASSGSLLSSFGDGMSCFAGSPVSAVKVKREMSDHCAYDPLDHISLKERQKMLQSRKLSGMEKAVFKGIPGPLSKDLIQQLADKGQGDTSSVSGEALVATHSPYDNPARNDSVLCRNSMIRSPNKIIVGSSFTTDQYSINSNKSTDGGKESESDRKCSSERMPPNANEFSSCGGQDYMPTCTTRAHCSTSSTSVKVKDEPWDGGVLHNLDTNVRGNFSLNILPVKNEPRAFNELNEDVVDHMPLRDRMNLLSSGYGSESSMYMNYGSIKYSAIASESAKPISLIRPRKRKKTATDSVETALEEDAPGLLQVLIEKGVLVNEIRLYGEMECDEALDESLCEDSFAKLEAVISKLLSQRQSILKLAPIRCTKGSRASYCLACLISLVEQNCAGTT >ONI14443 pep chromosome:Prunus_persica_NCBIv2:G4:23804610:23812184:-1 gene:PRUPE_4G281200 transcript:ONI14443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSGSNIYLPKFEYKVDGVGWSDELDYLPLIRRRDLLLASKQKSKSLIGAAPKEDDELSKSQDVSSVLFAAREVGVQLLEEEKNQYSIIPRGTTPGLLCSKTVVNSSLDQCLQNEVCSQNAKMPGDSDYNGTEVNTLTSSKQIACTESDTNVGQPENIDVNILILAENPSLSEVHAEEKAASSGSLLSSFGDGMSCFAGSPVSAVKVKREMSDHCAYDPLDHISLKERQKMLQSRKLSGMEKAVFKGIPGPLSKDLIQQLADKGQGDTSSVSGEALVATHSPYDNPARNDSVLCRNSMIRSPNKIIVGSSFTTDQYSINSNKSTDGGKESESDRKCSSERMPPNANEFSSCGGQDYMPTCTTRAHCSTSSTSVKVKDEPWDGGVLHNLDTNVRGNFSLNILPVKNEPRAFNELNEDVVDHMPLRDRMNLLSSGYGSESSMYMNYGSIKYSAIASESAKPISLIRPRKRKKTATDSVETALEEDAPGLLQVLIEKGVLVNEIRLYGEMECDEALDESLCEDSFAKLEAVISKLLSQRQSILKLAPIRCTKGSRASYCLACLISLVEQTRYLQFRNWPVEWELCWNDLNMDMQHISLS >ONI14441 pep chromosome:Prunus_persica_NCBIv2:G4:23804151:23812516:-1 gene:PRUPE_4G281200 transcript:ONI14441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSGSNIYLPKFEYKVDGVGWSDELDYLPLIRRRDLLLASKQKSKSLIGAAPKEDDELSKSQDVSSVLFAAREVGVQLLEEEKNQYSIIPRGTTPGLLCSKTVVNSSLDQCLQNEVCSQNAKMPGDSDYNGTEVNTLTSSKQIACTESDTNVGQPENIDVNILILAENPSLSEVHAEEKAASSGSLLSSFGDGMSCFAGSPVSAVKVKREMSDHCAYDPLDHISLKERQKMLQSRKLSGMEKAVFKGIPGPLSKDLIQQLADKGQGDTSSVSGEALVATHSPYDNPARNDSVLCRNSMIRSPNKIIVGSSFTTDQYSINSNKSTDGGKESESDRKCSSERMPPNANEFSSCGGQDYMPTCTTRAHCSTSSTSVKVKDEPWDGGVLHNLDTNVRGNFSLNILPVKNEPRAFNELNEDVVDHMPLRDRMNLLSSGYGSESSMYMNYGSIKYSAIASESAKPISLIRPRKRKKTATDSVETALEEDAPGLLQVLIEKGVLVNEIRLYGEMECDEALDESLCEDSFAKLEAVISKLLSQRQSILKLAPIRCTKGSRASYCLACLISLVEQTRYLQFRNWPVEWGWCRDLQSFIFVFARHNRIVLERPEYGYATYFFELVDSLPIDWQIKRLVTAMKLTSCSRISLIENKALLVII >ONI14444 pep chromosome:Prunus_persica_NCBIv2:G4:23804313:23812513:-1 gene:PRUPE_4G281200 transcript:ONI14444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSGSNIYLPKFEYKVDGVGWSDELDYLPLIRRRDLLLASKQKSKSLIGAAPKEDDELSKSQDVSSVLFAAREVGVQLLEEEKNQYSIIPRGTTPGLLCSKTVVNSSLDQCLQNEVCSQNAKMPGDSDYNGTEVNTLTSSKQIACTESDTNVGQPENIDVNILILAENPSLSEVHAEEKAASSGSLLSSFGDGMSCFAGSPVSAVKVKREMSDHCAYDPLDHISLKERQKMLQSRKLSGMEKAVFKGIPGPLSKDLIQQLADKGQGDTSSVSGEALVATHSPYDNPARNDSVLCRNSMIRSPNKIIVGSSFTTDQYSINSNKSTDGGKESESDRKCSSERMPPNANEFSSCGGQDYMPTCTTRAHCSTSSTSVKVKDEPWDGGVLHNLDTNVRGNFSLNILPVKNEPRAFNELNEDVVDHMPLRDRMNLLSSGYGSESSMYMNYGSIKYSAIASESAKPISLIRPRKRKKTATDSVETALEEDAPGLLQVLIEKGVLVNEIRLYGEMECDEALDESLCEDSFAKLEAVISKLLSQRQSILKLAPIRCTKGSRASYCLACLISLVEQNCAGTT >ONI14440 pep chromosome:Prunus_persica_NCBIv2:G4:23804313:23812517:-1 gene:PRUPE_4G281200 transcript:ONI14440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSGSNIYLPKFEYKVDGVGWSDELDYLPLIRRRDLLLASKQKSKSLIGAAPKEDDELSKSQDVSSVLFAAREVGVQLLEEEKNQYSIIPRGTTPGLLCSKTVVNSSLDQCLQNEVCSQNAKMPGDSDYNGTEVNTLTSSKQIACTESDTNVGQPENIDVNILILAENPSLSEVHAEEKAASSGSLLSSFGDGMSCFAGSPVSAVKVKREMSDHCAYDPLDHISLKERQKMLQSRKLSGMEKAVFKGIPGPLSKDLIQQLADKGQGDTSSVSGEALVATHSPYDNPARNDSVLCRNSMIRSPNKIIVGSSFTTDQYSINSNKSTDGGKESESDRKCSSERMPPNANEFSSCGGQDYMPTCTTRAHCSTSSTSVKVKDEPWDGGVLHNLDTNVRGNFSLNILPVKNEPRAFNELNEDVVDHMPLRDRMNLLSSGYGSESSMYMNYGSIKYSAIASESAKPISLIRPRKRKKTATDSVETALEEDAPGLLQVLIEKGVLVNEIRLYGEMECDEALDESLCEDSFAKLEAVISKLLSQRQSILKLAPIRCTKGSRASYCLACLISLVEQTRYLQFRNWPVEWGWCRDLQSFIFVFARHNRIVLERPEYGYATYFFELVDSLPIDWQIKRLVTAMKLTSCSRISLIENKALLVII >ONI14442 pep chromosome:Prunus_persica_NCBIv2:G4:23804313:23812513:-1 gene:PRUPE_4G281200 transcript:ONI14442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDSDYNGTEVNTLTSSKQIACTESDTNVGQPENIDVNILILAENPSLSEVHAEEKAASSGSLLSSFGDGMSCFAGSPVSAVKVKREMSDHCAYDPLDHISLKERQKMLQSRKLSGMEKAVFKGIPGPLSKDLIQQLADKGQGDTSSVSGEALVATHSPYDNPARNDSVLCRNSMIRSPNKIIVGSSFTTDQYSINSNKSTDGGKESESDRKCSSERMPPNANEFSSCGGQDYMPTCTTRAHCSTSSTSVKVKDEPWDGGVLHNLDTNVRGNFSLNILPVKNEPRAFNELNEDVVDHMPLRDRMNLLSSGYGSESSMYMNYGSIKYSAIASESAKPISLIRPRKRKKTATDSVETALEEDAPGLLQVLIEKGVLVNEIRLYGEMECDEALDESLCEDSFAKLEAVISKLLSQRQSILKLAPIRCTKGSRASYCLACLISLVEQTRYLQFRNWPVEWGWCRDLQSFIFVFARHNRIVLERPEYGYATYFFELVDSLPIDWQIKRLVTAMKLTSCSRISLIENKALLVII >ONI10993 pep chromosome:Prunus_persica_NCBIv2:G4:3934070:3935561:1 gene:PRUPE_4G080900 transcript:ONI10993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTVKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >ONI12269 pep chromosome:Prunus_persica_NCBIv2:G4:8868789:8870088:-1 gene:PRUPE_4G154900 transcript:ONI12269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKELTKLFEDGMQSMRMNYYPPCPLPAEVIGLTPRSDSVGLTILLQVNGMDGLQVKKDGIWVPVKPLPDAFIVNIGDILEIQTNGIYRSIEHRATVNSIKERLSIATFHNPALDGEIGPASSLVTEQAPAVYKRVEVQDYVKALFERKLQGKSFLDELRIK >ONI09786 pep chromosome:Prunus_persica_NCBIv2:G4:467617:470580:-1 gene:PRUPE_4G009500 transcript:ONI09786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPISDDPKSPLLPLHHDHDHDHDPIQRSQSFLSGLKSILTLKTLSVLLGPLLCTIICLCVKLDGPVASRNMLAVLVWVFAWWLTEAVPMPITSMSPLFLFPLFGIASADDVAHSYMDDVIALVLGSFILALAVEHYNIHRRLALNITMLFCGDPLNPPLLLLGICATTAFVSMWMHNVAAAVIMMPVATGILQRFPVGPDQSVAVSKYCRAVVLGVIYSTAIGGMSTLTGTGVNLILVGMWKSYFPDAEPISFSTWFFFGFPLALLMFLALWVILCCLYCSRNSSQALSGYLDKAHLKRELEMLGPMVFAEKMILAVFSMLIVLWMTRSITDDIPGWGALFKGRAGDGTVSVMMATLLFIIPNKKQKGEKLMDWNKCKKLPWNIILLLGAGFAIADGVRTSGLADILSKALDFLEAVPYFAIAPAVCLISSTITEFTSNNSTTTLVVPLLIQIAKIMHVNPLLLMVPGAIGAQFSFLLPTGTPSNVVGFTTGHIEIQDMIKTGLPLKIAGIAVLSLLMPTLGAYVFGTNGPVQ >ONI09787 pep chromosome:Prunus_persica_NCBIv2:G4:467909:470425:-1 gene:PRUPE_4G009500 transcript:ONI09787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPISDDPKSPLLPLHHDHDHDHDPIQRSQSFLSGLKSILTLKTLSVLLGPLLCTIICLCVKLDGPVASRNMLAVLVWVFAWWLTEAVPMPITSMSPLFLFPLFGIASADDVAHSYMDDVIALVLGSFILALAVEHYNIHRRLALNITMLFCGDPLNPPLLLLGICATTAFVSMWMHNVAAAVIMMPVATGILQRFPVGPDQSVAVSKYCRAVVLGVIYSTAIGGMSTLTGTGVNLILVGMWKSYFPDAEPISFSTWFFFGFPLALLMFLALWVILCCLYCSRNSSQALSGYLDKAHLKRELEMLANYKCCCDETGPMVFAEKMILAVFSCTYMLTLMVELDMCFNYNYNDDKKSFVQMLIVLWMTRSITDDIPGWGALFKGRAGDGTVSVMMATLLFIIPNKKQKGEKLMDWNKCKKLPWNIILLLGAGFAIADGVRTSGLADILSKALDFLEAVPYFAIAPAVCLISSTITEFTSNNSTTTLVVPLLIQIAKIMHVNPLLLMVPGAIGAQFSFLLPTGTPSNVVGFTTGHIEIQDMIKTGLPLKIAGIAVLSLLMPTLVSQLSAKYFVFDQFSQVTNEFLAIAGAYVFGTNGPVQ >ONI10224 pep chromosome:Prunus_persica_NCBIv2:G4:1677546:1678633:1 gene:PRUPE_4G035400 transcript:ONI10224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLKLCKSHHNSPFLSNPHQLQQEAKTRSAHFAPCKILSPNKRKLSVRASSSGQGGEAAEKKSSERRPFLTLEEAGLVEISGLSTHERFLCRLTISSLNLLRVISEQEGCPIEELNAGKVCDWFVKDKLKREQNLDSAVLQWDDSSELL >ONI14284 pep chromosome:Prunus_persica_NCBIv2:G4:22537497:22540781:-1 gene:PRUPE_4G273000 transcript:ONI14284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEVHLKPIFGHPKVEWASGNASTPPRRFLFHVHASPDSLHLIIHVTDFHCDTWEAVRSVSQLDDMRDSIGIGGSWSDFIDYLIASIKSEDVKLVLEGHSNSDGAAYAKLVAQKSKGMPVISISLTKLVGTAGSEAIANLSLQLFEEFKSIHEFYVEEKQHSIELSKVISAEKERNASIQSQLEQYSKRQKLQRISSSDKVDVSGPFSNGLKSSPAIDLIAHVRQRTFF >ONI14283 pep chromosome:Prunus_persica_NCBIv2:G4:22536365:22540781:-1 gene:PRUPE_4G273000 transcript:ONI14283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEVHLKPIFGHPKVEWASGNASTPPRRFLFHVHASPDSLHLIIHVTDFHCDTWEAVRSVSQLDDMRDSIGIGGSWSDFIDYLIASIKSEDVKLVLEGHSNSDGAAYAKLVAQKSKGMPVISISLTKLVGTAGSEAIANLSLQLFEEFKSIHEFYVEEKQHSIELSKVISAEKERNASIQSQLEQYSKRQKLQRISSSDKVDVSGPFSNGLKSSPDKEAARDINSTTVANRVVPAYRRAKVRGALLQDIEEEHK >ONI13892 pep chromosome:Prunus_persica_NCBIv2:G4:17175848:17178173:-1 gene:PRUPE_4G253000 transcript:ONI13892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKIEAKSPAAILAIGTANPANCYYQQDYPDFLFRVTNSDHMTELKDRFKRICEKSKTKKRYLHITEEILKANPNICSYKAPSLDPRQDMLIPAVPKLGKEAALKAIEEWGQPISNITHLIFCTASCVDMPGADFQLVKLLGLDPSVNRFMIYQQGCFAGGTVLRLAKDVAENNPGARVLVVCCEITTMFFQAPTESHVDVLVGQALFSDGASALIVGANPDPKINERQVFEIMSTRGTIVPYSEHGVVAHLREMGFEYYLSPDVPKLVGANIEELLVKGFSEIDGINNDWNSLFYSIHPGGPAILDKVEEKLGLNEGKLRATRHVLREYGNMGAPSVLFILDEMRKKSMEEGKATTGEGLEWGVLIGIGPGLTVETVVLRSVRIAAR >ONI14148 pep chromosome:Prunus_persica_NCBIv2:G4:19681245:19684730:-1 gene:PRUPE_4G265100 transcript:ONI14148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAPNLVRRTSSTTPSPSPSSFNFSVCLLLRNTFPQCQQGDHPFQKRYFSWGSKASSPSLSIWRRRKEMGKEGLIAAKELKRLRSHPLRLDQFIRSNVSRLLKSDLIAVLAEFQRQDQVFLSMKIYDVVRKEIWYRPDMFFYRDMLMMLGRNKKVDEAKQVWGDLKREEVLFDQHTFGDIIRAFLDNGLPSEAMEIYDEMRQSPDPPISLPFRVILKGLLPYPELREKVKDDFLELFPDMIIYDPPEDLFEDQECKTENEDD >ONI14150 pep chromosome:Prunus_persica_NCBIv2:G4:19681256:19686044:-1 gene:PRUPE_4G265100 transcript:ONI14150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAPNLVRRTSSTTPSPSPSSFNFSVCLLLRNTFPQCQQGDHPFQKRYFSWGSKASSPSLSIWRRRKEMGKEGLIAAKELKRLRSHPLRLDQFIRSNVSRLLKSDLIAVLAEFQRQDQVFLSMKIYDVVRKEIWYRPDMFFYRDMLMMLGRNKKVDEAKQVWGDLKREEVLFDQHTFGDIIRAFLDNGLPSEAMEIYDEMRQSPDPPISLPFRVILKGLLPYPELREKVKDDFLELFPDMIIYDPPEDLFEDQECKTENEDD >ONI14149 pep chromosome:Prunus_persica_NCBIv2:G4:19681256:19686118:-1 gene:PRUPE_4G265100 transcript:ONI14149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAPNLVRRTSSTTPSPSPSSFNFSVCLLLRNTFPQCQQGDHPFQKRYFSWGSKASSPSLSIWRRRKEMGKEGLIAAKELKRLRSHPLRLDQFIRSNVSRLLKSDLIAVLAEFQRQDQVFLSMKIYDVVRKEIWYRPDMFFYRDMLMMLGRNKKVDEAKQVWGDLKREEVLFDQHTFGDIIRAFLDNGLPSEAMEIYDEMRQSPDPPISLPFRVILKGLLPYPELREKVKDDFLELFPDMIIYDPPEDLFEDQECKTENEDD >ONI14151 pep chromosome:Prunus_persica_NCBIv2:G4:19681211:19686245:-1 gene:PRUPE_4G265100 transcript:ONI14151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAPNLVRRTSSTTPSPSPSSFNFSVCLLLRNTFPQCQQGDHPFQKRYFSWGSKASSPSLSIWRRRKEMGKEGLIAAKELKRLRSHPLRLDQFIRSNVSRLLKSDLIAVLAEFQRQDQVFLSMKIYDVVRKEIWYRPDMFFYRDMLMMLGRNKKVDEAKQVWGDLKREEVLFDQHTFGDIIRAFLDNGLPSEAMEIYDEMRQSPDPPISLPFRVILKGLLPYPELREKVKDDFLELFPDMIIYDPPEDLFEDQECKTENEDD >ONI11000 pep chromosome:Prunus_persica_NCBIv2:G4:3977689:3978588:-1 gene:PRUPE_4G081600 transcript:ONI11000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVKKVRVWSWVSLKEGLVCSLRPKREDLALGREEESWICISPCCGISHLWCFESVIRILSRSLVSFIDACMISTSANQISNINV >ONI10644 pep chromosome:Prunus_persica_NCBIv2:G4:2833514:2836568:-1 gene:PRUPE_4G059400 transcript:ONI10644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLQALSCLVALQVLVLFSDEASSAGHGHGARISRQRKQATGCNLFQGNWAFDASYPLYDSSSCPFIDPEFDCIKYGRPDKQFLKYAWKPDSCDLPRFDGLDFLRRWKGKKIMFVGDSLSLNMWESLSCMIHASVPNAKTTFKKGYSVNFQDYGVTLFMFRTPYLVDIVRENVGRVLNLGSINAGNSWKDMDVLIFNSWHWWTHTGKSQPWDYVRDGTNLYRDMDRLTAFYKGLSTWAKWVDSNVDPSKTRVFFQGISPTHYQ >ONI10642 pep chromosome:Prunus_persica_NCBIv2:G4:2833135:2836881:-1 gene:PRUPE_4G059400 transcript:ONI10642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLQALSCLVALQVLVLFSDEASSAGHGHGARISRQRKQATGCNLFQGNWAFDASYPLYDSSSCPFIDPEFDCIKYGRPDKQFLKYAWKPDSCDLPRFDGLDFLRRWKGKKIMFVGDSLSLNMWESLSCMIHASVPNAKTTFKKGYSVNFQDYGVTLFMFRTPYLVDIVRENVGRVLNLGSINAGNSWKDMDVLIFNSWHWWTHTGKSQPWDYVRDGTNLYRDMDRLTAFYKGLSTWAKWVDSNVDPSKTRVFFQGISPTHYQGQEWNSPKKSCYGELGPLSGTTYPAGPPPAYAVVNKVLSTIKNPVYLLDITTLSQLRKDAHPSTYSGDHSGNDCSHWCLPGLPDTWNQLLYAALIM >ONI10645 pep chromosome:Prunus_persica_NCBIv2:G4:2834616:2836708:-1 gene:PRUPE_4G059400 transcript:ONI10645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLQALSCLVALQVLVLFSDEASSAGHGHGARISRQRKQATGCNLFQGNWAFDASYPLYDSSSCPFIDPEFDCIKYGRPDKQFLKYAWKPDSCDLPRFDGLDFLRRWKGKKIMFVGDSLSLNMWESLSCMIHASVPNAKTTFKKGYSVNFQEKFQ >ONI10643 pep chromosome:Prunus_persica_NCBIv2:G4:2833921:2836568:-1 gene:PRUPE_4G059400 transcript:ONI10643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLQALSCLVALQVLVLFSDEASSAGHGHGARISRQRKQATGCNLFQGNWAFDASYPLYDSSSCPFIDPEFDCIKYGRPDKQFLKYAWKPDSCDLPRFDGLDFLRRWKGKKIMFVGDSLSLNMWESLSCMIHASVPNAKTTFKKGYSVNFQDYGVTLFMFRTPYLVDIVRENVGRVLNLGSINAGNSWKDMDVLIFNSWHWWTHTGKSQPWDYVRDGTNLYRDMDRLTAFYKGLSTWAKWVDSNVDPSKTRVFFQGISPTHYQ >ONI10240 pep chromosome:Prunus_persica_NCBIv2:G4:1722746:1727683:1 gene:PRUPE_4G036400 transcript:ONI10240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRYMERSNSMAREKRGLDSSSAEEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGSAKLTGRSSPKQIGGPDGRHLQLHFRSRLSLPLFTGGKVEGEWGSAIPIVLIDANTKHVVTSGPESVVKLDVVVLEGDFNNEDDDNWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGYCEGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGIVTVEDFLRLVVRDSQRLRNILGSGMSNKMWDVLIQHAKTCLLVGKLYVYYPDDARNVGVVFNDIYELSGLITNEQFYSADSLSDSQKVYVDGLVKKAYDNWMHVMEYDGKSLLNFKQQKSPEASLPEVPLATQDYPNSFDQFTLPSLPISVSAEQPTMDSGLSVGGYNDGMATRFSTQNVNLSAPIQLDGLAFPLQNQLPSTSNQAHFQRNENMLALGPPQSSTSGFQNIGTSNLTSYRGVEDLFPEEEIRMRSHEMLENEDMQHLLRIFNMGGQGHGHGHGYGHASMNITEDNYPYSTAYIPTPPVNYSTDDDHSRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLVELDDS >ONI10241 pep chromosome:Prunus_persica_NCBIv2:G4:1722746:1727683:1 gene:PRUPE_4G036400 transcript:ONI10241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRYMERSNSMAREKRGLDSSSAEEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGSAKLTGRSSPKQIGGPDGRHLQLHFRSRLSLPLFTGGKVEGEWGSAIPIVLIDANTKHVVTSGPESVVKLDVVVLEGDFNNEDDDNWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGYCEGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGIVTVEDFLRLVVRDSQRLRNILGSGMSNKMWDVLIQHAKTCLLVGKLYVYYPDDARNVGVVFNDIYELSGLITNEQFYSADSLSDSQKVYVDGLVKKAYDNWMHVMEYDGKSLLNFKQQKSPEASLPEVPLATQDYPNSFDQFTLPSLPISVSAEQPTMDSGLSVGGYNDGMATRFSTQNVNLSAPIQLDGLAFPLQNQLPSTSNQAHFQRNENMLALGPPQSSTSGFQNIGTSNLTSYRGVEDLFPEEEIRMRSHEMLENEDMQHLLRIFNMGGQGHGHGHGYGHASMNITEDNYPYSTAYIPTPPVNYSTDDDHSRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLVELDDS >ONI10242 pep chromosome:Prunus_persica_NCBIv2:G4:1722704:1727684:1 gene:PRUPE_4G036400 transcript:ONI10242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRYMERSNSMAREKRGLDSSSAEEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGSAKLTGRSSPKQIGGPDGRHLQLHFRSRLSLPLFTGGKVEGEWGSAIPIVLIDANTKHVVTSGPESVVKLDVVVLEGDFNNEDDDNWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGYCEGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGIVTVEDFLRLVVRDSQRLRNILGSGMSNKMWDVLIQHAKTCLLVGKLYVYYPDDARNVGVVFNDIYELSGLITNEQFYSADSLSDSQKVYVDGLVKKAYDNWMHVMEYDGKSLLNFKQQKSPEASLPEVPLATQDYPNSFDQFTLPSLPISVSAEQPTMDSGLSVGGYNDGMATRFSTQNVNLSAPIQLDGLAFPLQNQLPSTSNQAHFQRNENMLALGPPQSSTSGFQNIGTSNLTSYRGVEDLFPEEEIRMRSHEMLENEDMQHLLRIFNMGGQGHGHGHGYGHASMNITEDNYPYSTAYIPTPPVNYSTDDDHSRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLVELDDS >ONI13031 pep chromosome:Prunus_persica_NCBIv2:G4:12196999:12197537:1 gene:PRUPE_4G198800 transcript:ONI13031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYGVSDEQETIDVFNKQIVDSWKDMNEEFLRPTSMPMPILVRIVNLTRVVDLLYKKDDGYTHVGKVMKDGVACYFIDPAPL >ONI12271 pep chromosome:Prunus_persica_NCBIv2:G4:8881801:8884975:-1 gene:PRUPE_4G155100 transcript:ONI12271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRSGSLYGGSLIVPSVQELAKKPITAIPARYIQTRHHEQQDDVMFNPDHGQAEIPFIDMQKLLSQESTDSESELAMLHFACKEWGFFQLVNHGVSSSLMDKVKIEIQDFFNLAMEDKKKLWQTPGDLEGFGQAFVVSEDQKLDWADIFFMTTLPVEMRRPHLFPNLPSPFRETLEVYSLELKNLALTILSQMETALQMEAKELTKLFEDGMQSMRMNYYPPCPLPAEVIGLTPHSDSVGLTILLQVNEMDGLQVKKDGIWVPVKPLPDAFIVNIGDILEIQTNGIYRSIEHRATVNSVKERLSIATFHNPALDVEIGPASLVTEQTPAVYKRVGVQDYVKALFERKLQGKSFLEELRIK >ONI14119 pep chromosome:Prunus_persica_NCBIv2:G4:19341107:19343538:1 gene:PRUPE_4G263500 transcript:ONI14119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHQTRPISISYFLFFVFLFSYFTSLAAQEVEDEREFDYLHESGKGPKQWGEIKEEWAACKNGSLQSPIDLSSHRVKLIPHLGNLKTGYKPDNATLKNRGHDISIQWETNAGSIEINGTEYFLKQCHWHSPSEHSINNRSYDMELHMIHISPDLNVTNKIAVIAVLYKIGRANSFLSTLTRHVKSMIDENHEISIGMTDPRKIRIGGKNYYRYMGSLTVPPCTEGVIWTITKKIRTVSRDQVKLFREAVHDYAEVNARPVQPLNLREIHVYDHSSRSTNKS >ONI13328 pep chromosome:Prunus_persica_NCBIv2:G4:13507963:13512203:1 gene:PRUPE_4G215900 transcript:ONI13328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSPIQQPEAHLHDTSLQQSKQQIKLEPKPSLFPLFSGVFLFSLALSPSSMEKLRKAVSEIAYAQNHAKLTHLQLSLIPVLCFASSLYKLALFLRRSLYHSGLFRKHRLPVPVISVGNLTWGGNGKTPMAEFIARFLADSGISPLILTRGYAGGDEARMLHRHLLGRPVKIGVGAKRAAVAARFFERYGYVDPSSTSKRSDGLCIEPKVGGHLSSEKIGAVILDDGMQHWSLQRDLEIVMVNGLTLWGNCHLIPRGPLREPLNALRRADVVVLHHADLVSEQNLKDIDLMLQQVNKSLPIFFTKMDPCYFFDVGNVNSRKPLRDLSNSIVLCVSAIGSSNAFVKGVEKIGAFYVDRLEFSDHHIFQAKDTEMIRRRLRELEDTFGHKPVVIVTEKDYDRDPEIFKHLDPYEVLALCSELKIIPHRECTEYGFKKLLKGDLKDELQGTDRN >ONI13329 pep chromosome:Prunus_persica_NCBIv2:G4:13507963:13512203:1 gene:PRUPE_4G215900 transcript:ONI13329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSPIQQPEAHLHDTSLQQSKQQIKLEPKPSLFPLFSGVFLFSLALSPSSMEKLRKAVSEIAYAQNHAKLTHLQLSLIPVLCFASSLYKLALFLRRSLYHSGLFRKHRLPVPVISVGNLTWGGNGKTPMAEFIARFLADSGISPLILTRGYAGGDEARMLHRHLLGRPVKIGVGAKRAAVAARFFERYGYVDPSSTSKRSDGLCIEPKVGGHLSSEKIGAVILDDGMQVSEQNLKDIDLMLQQVNKSLPIFFTKMDPCYFFDVGNVNSRKPLRDLSNSIVLCVSAIGSSNAFVKGVEKIGAFYVDRLEFSDHHIFQAKDTEMIRRRLRELEDTFGHKPVVIVTEKDYDRDPEIFKHLDPYEVLALCSELKIIPHRECTEYGFKKLLKGDLKDELQGTDRN >ONI13333 pep chromosome:Prunus_persica_NCBIv2:G4:13508666:13512203:1 gene:PRUPE_4G215900 transcript:ONI13333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRHLLGRPVKIGVGAKRAAVAARFFERYGYVDPSSTSKRSDGLCIEPKVGGHLSSEKIGAVILDDGMQVSEQNLKDIDLMLQQVNKSLPIFFTKMDPCYFFDVGNVNSRKPLRDLSNSIVLCVSAIGSSNAFVKGVEKIGAFYVDRLEFSDHHIFQAKDTEMIRRRLRELEDTFGHKPVVIVTEKDYDRDPEIFKHLDPYEVLALCSELKIIPHRECTEYGFKKLLKGDLKDELQGTDRN >ONI13334 pep chromosome:Prunus_persica_NCBIv2:G4:13509737:13511873:1 gene:PRUPE_4G215900 transcript:ONI13334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRQHWSLQRDLEIVMVNGLTLWGNCHLIPRGPLREPLNALRRADVVVLHHADLVSEQNLKDIDLMLQQVNKSLPIFFTKMDPCYFFDVGNVNSRKPLRDLSNSIVLCVSAIGSSNAFVKGVEKIGAFYVDRLEFSDHHIFQAKDTEMIRRRLRELEDTFGHKPVVIVTEKDYDRDPEIFKHLDPYEVLALCSELKIIPHRECTEYGFKKLLKGDLKDELQGTDRN >ONI13330 pep chromosome:Prunus_persica_NCBIv2:G4:13507963:13510388:1 gene:PRUPE_4G215900 transcript:ONI13330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSPIQQPEAHLHDTSLQQSKQQIKLEPKPSLFPLFSGVFLFSLALSPSSMEKLRKAVSEIAYAQNHAKLTHLQLSLIPVLCFASSLYKLALFLRRSLYHSGLFRKHRLPVPVISVGNLTWGGNGKTPMAEFIARFLADSGISPLILTRGYAGGDEARMLHRHLLGRPVKIGVGAKRAAVAARFFERYGYVDPSSTSKRSDGLCIEPKVGGHLSSEKIGAVILDDGMQHWSLQRDLEIVMVNGLTLWGNCHLIPRGPLREPLNALRRADVVVLHHADLVYKLIFTFFYFG >ONI13331 pep chromosome:Prunus_persica_NCBIv2:G4:13509220:13512203:1 gene:PRUPE_4G215900 transcript:ONI13331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFIARFLADSGISPLILTRGYAGGDEARMLHRHLLGRPVKIGVGAKRAAVAARFFERYGYVDPSSTSKRSDGLCIEPKVGGHLSSEKIGAVILDDGMQHWSLQRDLEIVMVNGLTLWGNCHLIPRGPLREPLNALRRADVVVLHHADLVSEQNLKDIDLMLQQVNKSLPIFFTKMDPCYFFDVGNVNSRKPLRDLSNSIVLCVSAIGSSNAFVKGVEKIGAFYVDRLEFSDHHIFQAKDTEMIRRRLRELEDTFGHKPVVIVTEKDYDRDPEIFKHLDPYEVLALCSELKIIPHRECTEYGFKKLLKGDLKDELQGTDRN >ONI13332 pep chromosome:Prunus_persica_NCBIv2:G4:13508631:13512203:1 gene:PRUPE_4G215900 transcript:ONI13332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRHLLGRPVKIGVGAKRAAVAARFFERYGYVDPSSTSKRSDGLCIEPKVGGHLSSEKIGAVILDDGMQHWSLQRDLEIVMVNGLTLWGNCHLIPRGPLREPLNALRRADVVVLHHADLVSEQNLKDIDLMLQQVNKSLPIFFTKMDPCYFFDVGNVNSRKPLRDLSNSIVLCVSAIGSSNAFVKGVEKIGAFYVDRLEFSDHHIFQAKDTEMIRRRLRELEDTFGHKPVVIVTEKDYDRDPEIFKHLDPYEVLALCSELKIIPHRECTEYGFKKLLKGDLKDELQGTDRN >ONI14059 pep chromosome:Prunus_persica_NCBIv2:G4:18632693:18634612:-1 gene:PRUPE_4G259600 transcript:ONI14059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMVNIVMTPCGEFWGRKWNLLDIVTAVVFFSLHCLCVMAPFHFTWPAFWVACALYLVTGLGVTLSFHRNLAHKSFRIPKWLEYLFAYFGVLSLQGSPIEWVSSHRYHHQFTDTEKDVHSPLQGFWFSHMGWILDSGSRFGKYGGLKNVEDLKRQPFYRFLHRTFLLHSIVLLGSLLYVVGGFPFLAWGLVVGIVCTWRRMAQQSPCF >ONI14058 pep chromosome:Prunus_persica_NCBIv2:G4:18632955:18634547:-1 gene:PRUPE_4G259600 transcript:ONI14058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMVNIVMTPCGEFWGRKWNLLDIVTAVVFFSLHCLCVMAPFHFTWPAFWVACALYLVTGLGVTLSFHRNLAHKSFRIPKWLEYLFAYFGVLSLQGSPIEWVSSHRYHHQFTDTEKDVHSPLQGFWFSHMGWILDSGSRFGKYGGLKNVEDLKRQPFYRFLHRTFLLHSIVLLGSLLYVVGGFPFLAWGLGVRMVCVFHSTLLVNSAGHIWGKQVYLTGDMSRNNWWLGLFALGEGWHNNHHAFDFSARQGFEWWQIDVTWYVIRFLQAIGLATNVKTPTEAQKRRKALHNKVTAAGN >ONI14060 pep chromosome:Prunus_persica_NCBIv2:G4:18633386:18634547:-1 gene:PRUPE_4G259600 transcript:ONI14060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMVNIVMTPCGEFWGRKWNLLDIVTAVVFFSLHCLCVMAPFHFTWPAFWVACALYLVTGLGVTLSFHRNLAHKSFRIPKWLEYLFAYFGVLSLQGSPIEWVSSHRYHHQFTDTEKDVHSPLQGFWFSHMGWILDSGSRFGKYGGLKNVEDLKRQPFYRFLHRTFLLHSIVLLGSLLYVVGGFPFLAWGLFHCRG >ONI12044 pep chromosome:Prunus_persica_NCBIv2:G4:7877719:7879029:-1 gene:PRUPE_4G140700 transcript:ONI12044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPCHVYPPTLLFYAANNNVNGSGDMAAMRMKKRDYCQHLMQSLAKTLTHFYPLAGRWSKGHDMIQCTDDGAEFVTARVKCSLSQIFEHPDPEMLTGLVPAIGQPDGDGDGVSTTLPLLAVQANLFDCGGIAIGLKMSHRIVDGTTASTFISCWAKTALDGSDSHQVPFMVPKFDAASYFPPLDFLNSSQPSPSPAELADINYITKRFVFDAPKIATLQSNLASALAPHAPTRVLVLSALIWKCAMEALSKSSNIPLGSRPSSFKLAMDLRRRFEPPMSQTLGGNAVANIFVIATPSLLKGQKESDDETIDLKDLVVKLRKGLEQQNATYPTKLPFDSNEAWKRDQEYEKLRGNVDMYHLCSGSWCRFSFYEADFGWGKPTWVSIPSTGIKDLVIFIDKRDGKGIEALVSVSEEIMEHLESNPELLKYASVNPSVM >ONI13244 pep chromosome:Prunus_persica_NCBIv2:G4:13185983:13188888:-1 gene:PRUPE_4G211500 transcript:ONI13244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKPIITFLLLISATVIAAQEYVRPPPRKALHFPWSRKPSSHPQQVHISLAGDKHMRVTWITYDKSAPSIVEYGTSSGKYSSVAQGESTSYSYLLYRSGKIHHTVIGPLEHGTVYFYQCGGQDPEFQFKTPPAQFPITFAVAGDMGQTGWTKSTLDHIEQCKYDLHLLPGDLSYADYMQSRWDTFGELVQPLASARPWMVTQGNHEKENIPLLKDGFASYNSRWKMPYEESGSSSNLYYSFEVAGVHAIMLGSYTDYDEYSDQYRWLKADLSKVDRQKTPWLVALFHVPWYNSNKAHQGEGDSMMAAMEPLLYAASVDIVLAGHVHAYERTKRVNSGKSDTCGAVHITIGDGGNREGLAHKYINPSPEWSVFREASFGHGELKIVNSTHAFWTWHRNDDDEPVRSDQVWITSLVSSGCVAEKRHELRSILVAP >ONI13245 pep chromosome:Prunus_persica_NCBIv2:G4:13185982:13188888:-1 gene:PRUPE_4G211500 transcript:ONI13245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKPIITFLLLISATVIAAQEYVRPPPRKALHFPWSRKPSSHPQQVHISLAGDKHMRVTWITYDKSAPSIVEYGTSSGKYSSVAQGESTSYSYLLYRSGKIHHTVIGPLEHGTVYFYQCGGQDPEFQFKTPPAQFPITFAVAGDMGQTGWTKSTLDHIEQCKYDLHLLPGDLSYADYMQSRWDTFGELVQPLASARPWMVTQGNHEKENIPLLKDGFASYNSRWKMPYEESGSSSNLYYSFEVAGVHAIMLGSYTDYDEYSDQYRWLKADLSKVDRQKTPWLVALFHVPWYNSNKAHQGEGDSMMAAMEPLLYAASVDIVLAGHVHAYERTWKIRYMRRCPHNHW >ONI14638 pep chromosome:Prunus_persica_NCBIv2:G4:25507118:25507318:1 gene:PRUPE_4G289600 transcript:ONI14638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSTVFEYNIIGSLGRNGNFVQVENIHVSKIYLQGTTKGARIKTWQVLNQSGIHASILSFTALL >ONI10378 pep chromosome:Prunus_persica_NCBIv2:G4:2123308:2127884:-1 gene:PRUPE_4G044200 transcript:ONI10378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYEGTKHNSRRSERESSWTRERERDRGQDREKDDDRYTRDYKDRSGRFDRGRNKFNGYRRNRTQDFDRHRDYDQDRERRHRHRSRSRSHSRERSPSRSRSKSKRTSGFDMAPPAGAVLPNAAVSGQLSGIPQTMQGVVQNVLPFGAAHLALPLMPAQAMTQQATRHARRVYVGGLPPLANEQTIATFFSQVMAAIGGNSVGPVSELAGDAVVNVYINHEKKFAFVEMRTVEEASNAMALDGIIFEGVAVRVRRPTDYNPTLAAALGPSQPSPLLNLGAVGLTQGAVGGAEGPDRIFVGGLPYYFTEAQIRELLQSFGPLRGFDLVKDKDTGNSKGYGFCVYQDPAVTDIACGALNGLKMGDKTLTVRRATASNGQSKSEQENILVQAQQHIAMQKMALQVVDLNLLGGGMATMASGETPTKVLCLTEAITADQLGDDEEYVEILEDMRDECSKFGTLVNVVIPRQDQHGEHISGVGKVFLEYSDTGSCANARNVLSGRKFGGNIVNAFYYPEDKYHSRDFSA >ONI10377 pep chromosome:Prunus_persica_NCBIv2:G4:2122610:2128016:-1 gene:PRUPE_4G044200 transcript:ONI10377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYEGTKHNSRRSERESSWTRERERDRGQDREKDDDRYTRDYKDRSGRFDRGRNKFNGYRRNRTQDFDRHRDYDQDRERRHRHRSRSRSHSRERSPSRSRSKSKRTSGFDMAPPAGAVLPNAAVSGIPQTMQGVVQNVLPFGAAHLALPLMPAQAMTQQATRHARRVYVGGLPPLANEQTIATFFSQVMAAIGGNSVGPVSELAGDAVVNVYINHEKKFAFVEMRTVEEASNAMALDGIIFEGVAVRVRRPTDYNPTLAAALGPSQPSPLLNLGAVGLTQGAVGGAEGPDRIFVGGLPYYFTEAQIRELLQSFGPLRGFDLVKDKDTGNSKGYGFCVYQDPAVTDIACGALNGLKMGDKTLTVRRATASNGQSKSEQENILVQAQQHIAMQKMALQVVDLNLLGGGMATMASGETPTKVLCLTEAITADQLGDDEEYVEILEDMRDECSKFGTLVNVVIPRQDQHGEHISGVGKVFLEYSDTGSCANARNVLSGRKFGGNIVNAFYYPEDKYHSRDFSA >ONI14017 pep chromosome:Prunus_persica_NCBIv2:G4:18369144:18376596:-1 gene:PRUPE_4G258300 transcript:ONI14017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSALSRLSNLRGHLHPSHSNKISPEVSEALSNGHAVVALESTIISHGMPYPKNLETAREVEAVVRENGAVPATIAILDGIPCVGLSMEELEKLANLGPKAQKTARRDIAHVVATGGNGATTVSATMFFASMVGIPLFVTGGIGGVHRHGEHTMDISSDLTELGRTPVAVISAGVKSILDVPRTLEYLETQGVCVAAYRTNEFPAFFSETSGCKVPCRIDTPEDCAQLIDANLKLELGTGILIAVPIPKEHAASGRLIESAIKRALEEARDKNIIGNAATPFLLARVNELTGGASLASNIALVKNNALVGAKISVALAQIKERENKGGVKTTL >ONI14018 pep chromosome:Prunus_persica_NCBIv2:G4:18369144:18376596:-1 gene:PRUPE_4G258300 transcript:ONI14018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSALSRLSNLRGHLHPSHSNKGGVGFIKISPEVSEALSNGHAVVALESTIISHGMPYPKNLETAREVEAVVRENGAVPATIAILDGIPCVGLSMEELEKLANLGPKAQKTARRDIAHVVATGGNGATTVSATMFFASMVGIPLFVTGGIGGVHRHGEHTMDISSDLTELGRTPVAVISAGVKSILDVPRTLEYLGVCVAAYRTNEFPAFFSETSGCKVPCRIDTPEDCAQLIDANLKLELGTGILIAVPIPKEHAASGRLIESAIKRALEEARDKNIIGNAATPFLLARVNELTGGASLASNIALVKNNALVGAKISVALAQIKERENKGGVKTTL >ONI14019 pep chromosome:Prunus_persica_NCBIv2:G4:18369520:18376366:-1 gene:PRUPE_4G258300 transcript:ONI14019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSALSRLSNLRGHLHPSHSNKGGVGFIKISPEVSEALSNGHAVVALESTIISHGMPYPKNLETAREVEAVVRENGAVPATIAILDGIPCVGLSMEELEKLANLGPKAQKTARRDIAHVVATGGNGATTVSATMFFASMVGIPLFVTGGIGGVHRHGEHTMDISSDLTELGRTPVAVISAGVKSILDVPRTLEYLETQGVCVAAYRTNEFPAFFSETSGCKVPCRIDTPEDCAQLIDANLKLELGTGILIAVPIPKEHAASGRLIESAIKRALEEARDKNIIGNAATPFLLARVNELTGGASLASNIALVKNNALVGAKISVALAQIKERENKGGVKTTL >ONI12386 pep chromosome:Prunus_persica_NCBIv2:G4:9221329:9223388:-1 gene:PRUPE_4G160800 transcript:ONI12386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPKARTEDRISALPDAIISHILSFLPTSDAVTTCFLSNRWKNMWTLVPTVELIVLSTAWDSGGTAAYVDRYLLFRGSSNIHKFHLCCVDLDGIVGRINGWICTALRRNVVELHLEFDERDDSHEFLVLPQDLFVCKTLETLKLSLGEHVTAVAPPTSNCFPRLKSLHITFDFPKYVEQIEKLFSCCPALEDLVVDGDLGWLEENQVLNVTISAPKLKRLKIYLFAHAMTLGENKIFVNADVPSLEDLDLTENFLASYCLKGAKSLTNAKIDFSVMREEDEGHPADVLADVDRVQRLFAEISNVKHLSLVVPVLGDPHIEYQCSLPTFNHLNQLELNHLACCSWKSLTNMLKITPNLENLLFAVNIKCDAAHDEDELEHKWSPPELVPVCLSSCLKTICISGFKGGSDEMEMVEYLLEHGQLLNELKILTFDMEFDDALEVLMEIILFPRASNTCEIQCFN >ONI12495 pep chromosome:Prunus_persica_NCBIv2:G4:9770821:9772641:-1 gene:PRUPE_4G168100 transcript:ONI12495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVTMPVSGGQITTPYRIETRNLGYKLCTQFDEMKFVCCATSRRVPSFILKDVNCEARPVEITAIAGPSGAGKTTLLEILAGKISPKKVCGQVLVNDQPMDTKSFRRISGYVTQDDALFPLLTVEETLMFSALLRLHGGRKEAASKVRQLMKELGLEHVAASRIGWGSNNGISGGERRRVSIGVDLVHDPGVILIDEPTSGLDSASAFHVVSLLKTMVVNQGKTIVLTIHQPGFRILEIFDRIILLSNGTVMHNGSLHLLQQRLNSAGHCLPNHVNLLEFAIDVIGSLDGTPTSEALNIHPFPLQERHVCSHNLEGKLLIYPNSRLEEVVILGQRFCSNIFRTKQLFVTRVIQALVAGLVLGTIFLNVGREKGSIALQTQTGFFAFSLTFLLSSTTEGLPIFLQERRILMSETSKGAYRVSSYVIANTLIFLPFLFMVGLLYSTPVYWLVGLRRNIDGFLYFSLVVWMVLLMSNSVVACFSALVPNFIMGTSLISGLMGSFFLFSGYFISKDSIPSYWIFMHYSSLFKYPFECFIINEYGGEQGRRCIQIDKDGCNLFGDGFLRQQGLRETQKWSNLAVMFGFIIGYRVLCLLILWYRCCRNRN >ONI13016 pep chromosome:Prunus_persica_NCBIv2:G4:12088249:12090844:-1 gene:PRUPE_4G197500 transcript:ONI13016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCLILFLITRLFTNSQSISRADFPDGFVFGTASSAYQFEGAANEGNKGASVWDTFTKEPGRILDFSNADTAVDQYHRFQSDIDLMKDMGVDAYRFSISWPRIFPNGTGEPNSEGINYYNSLIDALLEKGIKPFVTLYHWDLPQVLEDRYEGWLSTQIVKDFEHYAFTCFQTFGDRVKHWITFNEPHNTALQGYDLGIQAPGRCSILGHLFCKKGNSSAEPYIVAHNILLSHVAAYHSYRQFFKERQGGQVGIALDVKWYEPVSDNDEDIDAAHRAIDFSLGW >ONI13013 pep chromosome:Prunus_persica_NCBIv2:G4:12087300:12090844:-1 gene:PRUPE_4G197500 transcript:ONI13013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCLILFLITRLFTNSQSISRADFPDGFVFGTASSAYQFEGAANEGNKGASVWDTFTKEPGRILDFSNADTAVDQYHRFQSDIDLMKDMGVDAYRFSISWPRIFPNGTGEPNSEGINYYNSLIDALLEKGIKPFVTLYHWDLPQVLEDRYEGWLSTQIVKDFEHYAFTCFQTFGDRVKHWITFNEPHNTALQGYDLGIQAPGRCSILGHLFCKKGNSSAEPYIVAHNILLSHVAAYHSYRQFFKERQGGQVGIALDVKWYEPVSDNDEDIDAAHRAIDFSLGWFLDPLFFGKYPLSMKKLVGQRLPEISQAISKFLVGSVDFVGINHYTTLYARNDRTRIRKFIMQDAISDAAVIITPYKEGVAIGERAASHWLRIVPWGIRKLARHLKDKYQNPPVIITENGMDDPNKPFIPLEKALKDEKRIRFHADYLSNLSAAIRQDNCDVRGYFVWSLLDNWEWNMGYTVRFGLYYVDYKKNLTRIPKTSVQWFRRFLQVNDDLRDYTRSKNLLLATN >ONI13014 pep chromosome:Prunus_persica_NCBIv2:G4:12087846:12090844:-1 gene:PRUPE_4G197500 transcript:ONI13014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCLILFLITRLFTNSQSISRADFPDGFVFGTASSAYQFEGAANEGNKGASVWDTFTKEPGRILDFSNADTAVDQYHRFQSDIDLMKDMGVDAYRFSISWPRIFPNGTGEPNSEGINYYNSLIDALLEKGIKPFVTLYHWDLPQVLEDRYEGWLSTQIVKDFEHYAFTCFQTFGDRVKHWITFNEPHNTALQGYDLGIQAPGRCSILGHLFCKKGNSSAEPYIVAHNILLSHVAAYHSYRQFFKERQGGQVGIALDVKWYEPVSDNDEDIDAAHRAIDFSLGWFLDPLFFGKYPLSMKKLVGQRLPEISQAISKFLVGSVDFVGINHYTTLYARNDRTRIRKFIMQDAISDAAVIITPYKEGVAIGERAASHWLRIVPWGIRKLARHLKDKYQNPPVIITENGE >ONI13015 pep chromosome:Prunus_persica_NCBIv2:G4:12088010:12090844:-1 gene:PRUPE_4G197500 transcript:ONI13015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCLILFLITRLFTNSQSISRADFPDGFVFGTASSAYQFEGAANEGNKGASVWDTFTKEPGRILDFSNADTAVDQYHRFQSDIDLMKDMGVDAYRFSISWPRIFPNGTGEPNSEGINYYNSLIDALLEKGIKPFVTLYHWDLPQVLEDRYEGWLSTQIVKDFEHYAFTCFQTFGDRVKHWITFNEPHNTALQGYDLGIQAPGRCSILGHLFCKKGNSSAEPYIVAHNILLSHVAAYHSYRQFFKERQGGQVGIALDVKWYEPVSDNDEDIDAAHRAIDFSLGWFLDPLFFGKYPLSMKKLVGQRLPEISQAISKFLVGSVDFVGINHYTTLYARNDRTRIRKFIMQDAISDAAVIITPYKEGVAIGERVCINPLLNP >ONI11803 pep chromosome:Prunus_persica_NCBIv2:G4:6945900:6948317:1 gene:PRUPE_4G126500 transcript:ONI11803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGTRRAHMSEMEEPFSQNNLRKKRVRPTFQFVQIKEAACTSILSRRWQYLWASTMTLNFDAVNFEVGNTSSRFGELKRESRDQQSFRYIGQNIERFRAHFFLDCRFKSSVDKWIQFGMERRVQILELEFLAEKSFRDEDYTFPQKLLGIISTKKGSALEQFLYSAWDNLLQSDIPSLYSCGYSIGFKSLKVLRLRHVRATEEFIEYLLPNSPVLERLSLNAARGLVNLRDIEMYLHLSRYQFLRSAVVLIP >ONI11805 pep chromosome:Prunus_persica_NCBIv2:G4:6946064:6946997:1 gene:PRUPE_4G126500 transcript:ONI11805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGTRRAHMSEMEEPFSQNNLRKKRVRPTFQFVQIKEAACTSILSRRWQYLWASTMTLNFDAVNFEVGNTSSRFGELKRESRDQQSFRYIGQNIERFRAHFFLDCRFKSSVDKWIQFGMERRVQILELEFLAEKSFRDEDYTFPQKLLGIISTKKGSALEQFLYSAWDNLLQSDIPSLYSCGYSIGFKSLKVLRLRHVRATEEFIEYLLPNSPVLERLSLNAARGLVNLRDIGQSIALN >ONI11804 pep chromosome:Prunus_persica_NCBIv2:G4:6945928:6948339:1 gene:PRUPE_4G126500 transcript:ONI11804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGTRRAHMSEMEEPFSQNNLRKKRVRPTFQFVQIKEAACTSILSRRWQYLWASTMTLNFDAVNFEVGNTSSRFGELKRESRDQQSFRYIGQNIERFRAHFFLDCRFKSSVDKWIQFGMERRVQILELEFLAEKSFRDEDYTFPQKLLGIISTKKGSALEQFLYSAWDNLLQSDIPSLYSCGYSIGFKSLKVLRLRHVRATEEFIEYLLPNSPVLERLSLNAAREMYLHLSRYQFLRSAVVLIP >ONI10369 pep chromosome:Prunus_persica_NCBIv2:G4:2093055:2095587:-1 gene:PRUPE_4G043800 transcript:ONI10369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSCFGTSVADRKRRSGHFGHDLDGNLLENIKTFSYNELRSATDDFHSSNKIGRGGFGTVYKGTLKSGKQVAVKTLSARSKQGVREFLNEIIAISNVRHPNLVELIGCCVEGADRVLVYEYAENNSLDRALLGSKSKNIILNWEKRSAICLGTARGLMFLHEELMPHIVHRDIKASNILLDRDFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTKKADVYSFGVLVLEIVSGRSSAKENWGGTEKFLLEWAWQLHEEGRLLELVDPEMGEFPEEELLRYMKVAFFCTQAAASRRPMMSVVVEMLSRNIRINEKQLTAPGFFNNSGSLSGPSSSKKSSADTTSYQMSSVPDTITQVAPR >ONI10370 pep chromosome:Prunus_persica_NCBIv2:G4:2092706:2096295:-1 gene:PRUPE_4G043800 transcript:ONI10370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSCFGTSVADRKRRSGHFGHDLDGNLLENIKTFSYNELRSATDDFHSSNKIGRGGFGTVYKGTLKSGKQVAVKTLSARSKQGVREFLNEIIAISNVRHPNLVELIGCCVEGADRVLVYEYAENNSLDRALLGSKSKNIILNWEKRSAICLGTARGLMFLHEELMPHIVHRDIKASNILLDRDFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTKKADVYSFGVLVLEIVSGRSSAKENWGGTEKFLLEWAWQLHEEGRLLELVDPEMGEFPEEELLRYMKVAFFCTQAAASRRPMMSVVVEMLSRNIRINEKQLTAPGFFNNSGSLSGPSSSKKSSADTTSYQMSSVPDTITQVAPR >ONI10371 pep chromosome:Prunus_persica_NCBIv2:G4:2093055:2095587:-1 gene:PRUPE_4G043800 transcript:ONI10371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSCFGTSVADRKRRSGHFGHDLDGNLLENIKTFSYNELRSATDDFHSSNKIGRGGFGTVYKGTLKSGKQVAVKTLSARSKQGVREFLNEIIAISNVRHPNLVELIGCCVEGADRVLVYEYAENNSLDRALLGSKSKNIILNWEKRSAICLGTARGLMFLHEELMPHIVHRDIKASNILLDRDFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTKKADVYSFGVLVLEIVSGRSSAKENWGGTEKFLLEWAWQLHEEGRLLELVDPEMGEFPEEELLRYMKVAFFCTQAAASRRPMMSVVVEMLSRNIRINEKQLTAPGFFNNSGSLSGPSSSKKSSADTTSYQMSSVPDTITQVAPR >ONI10368 pep chromosome:Prunus_persica_NCBIv2:G4:2093055:2095587:-1 gene:PRUPE_4G043800 transcript:ONI10368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSCFGTSVADRKRRSGHFGHDLDGNLLENIKTFSYNELRSATDDFHSSNKIGRGGFGTVYKGTLKSGKQVAVKTLSARSKQGVREFLNEIIAISNVRHPNLVELIGCCVEGADRVLVYEYAENNSLDRALLGSKSKNIILNWEKRSAICLGTARGLMFLHEELMPHIVHRDIKASNILLDRDFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTKKADVYSFGVLVLEIVSGRSSAKENWGGTEKFLLEWAWQLHEEGRLLELVDPEMGEFPEEELLRYMKVAFFCTQAAASRRPMMSVVVEMLSRNIRINEKQLTAPGFFNNSGSLSGPSSSKKSSADTTSYQMSSVPDTITQVAPR >ONI10367 pep chromosome:Prunus_persica_NCBIv2:G4:2092686:2096295:-1 gene:PRUPE_4G043800 transcript:ONI10367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTAGPTSFILITRRRPKQVLKPSLERSRIELDWPSANPRDYRTPEDRRSCLLWTRMGCSCFGTSVADRKRRSGHFGHDLDGNLLENIKTFSYNELRSATDDFHSSNKIGRGGFGTVYKGTLKSGKQVAVKTLSARSKQGVREFLNEIIAISNVRHPNLVELIGCCVEGADRVLVYEYAENNSLDRALLGSKSKNIILNWEKRSAICLGTARGLMFLHEELMPHIVHRDIKASNILLDRDFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTKKADVYSFGVLVLEIVSGRSSAKENWGGTEKFLLEWAWQLHEEGRLLELVDPEMGEFPEEELLRYMKVAFFCTQAAASRRPMMSVVVEMLSRNIRINEKQLTAPGFFNNSGSLSGPSSSKKSSADTTSYQMSSVPDTITQVAPR >ONI10372 pep chromosome:Prunus_persica_NCBIv2:G4:2092706:2096295:-1 gene:PRUPE_4G043800 transcript:ONI10372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSCFGTSVADRKRRSGHFGHDLDGNLLENIKTFSYNELRSATDDFHSSNKIGRGGFGTVYKGTLKSGKQVAVKTLSARSKQGVREFLNEIIAISNVRHPNLVELIGCCVEGADRVLVYEYAENNSLDRALLGSKSKNIILNWEKRSAICLGTARGLMFLHEELMPHIVHRDIKASNILLDRDFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTKKADVYSFGVLVLEIVSGRSSAKENWGGTEKFLLEWAWQLHEEGRLLELVDPEMGEFPEEELLRYMKVAFFCTQAAASRRPMMSVVVEMLSRNIRINEKQLTAPGFFNNSGSLSGPSSSKKSSADTTSYQMSSVPDTITQVAPR >ONI11683 pep chromosome:Prunus_persica_NCBIv2:G4:6533194:6536588:1 gene:PRUPE_4G120600 transcript:ONI11683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKAEKEKKLFVGVVWNYAAELKLLLTALLILCTLATLLQFIPSRFTISTSDLRFCISRVLTPAQAQSQAQAPANEVIAAELVSAPAPSFPPPPPPPTPPPPPPPSVELDQVLSNGVIKRAFNPYGSAAYSFVTMGAYRGGLNTFAIVGLASKPLHVFSNPKYQCQWVPNLNSSQPISTVGYKMLPDWGYGRVYTVVIVNCTFSQPINVDNSGGKLLLLASTAGGGDRSFNTTDTIEALTEAPGSLNAGLFTSRPKYDYLYCGSSLYGNLSPQRVREWIAYHVRLFGPRSHFVIHDAGGIHEEVLEVLKPWMELGYVTMQDIREQERFDGYYHNQFMVVNDCLHRYKFMAKWMFFFDVDEYIYMPPKSNIKSVLDSLSDYTQFTIEQMPMSNKLCLSSDYGKTYRKWGFEKLVYKDVKRGIRRDRKYAVQPRNVYATGVHMSQNVAGKTTHKTENRIKYFHYHGTIANRREPCRQLVNSTETNVDKIPYVMDTTMRDVAGSVKRFELRMIGNRLQKTRQ >ONI11801 pep chromosome:Prunus_persica_NCBIv2:G4:6933597:6935081:-1 gene:PRUPE_4G126300 transcript:ONI11801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHYYRDSYHYTYLLKHCRSTKSIKKLHAQIIIGGFEQNPFVVAKIVGKYVECSEPSMETARKVFDRLLERDVFVWNMVIQGYANVEPFVEALKMYNRMRLSGVPANQYTYPFVLKACGAMKDGKHGQIVHGHVVKCGLHSDLFVGNALIALYSKCEEIEISRRVFDEIPWKDSVSWNSMISGYTANGYPHEALMLFRAMLQDHATSLPDHATLVSILPACVQASAIEVGFWIHSYTIKSSVEVDAALGSALISMYASCGRVTIARFIFDQISEKNVVLWSAMMRCYGMHGHADEALQMFSQFVESGLHPDGVVFLCLLSTCSHSGMVTKGLELFEEMGDYGVEKNEKHYACVVDLLGRAGLLDQAVKLIESMPMQAGKDVYGALLGACRIHNNIELAEEAAEKLFVLDPENAGRYILLASMYEDACRWEDAARVRKLLRDKNVKKPTGSSSIEMDCTYHTFGADDESHPYTDQIFNTLERLDRIIEDQTVMV >ONI10339 pep chromosome:Prunus_persica_NCBIv2:G4:1967081:1968865:-1 gene:PRUPE_4G041700 transcript:ONI10339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSIPPTATLTGPYSTKTLSSSSSITTSLSPFFPNKSQKPTKQCSVSVGGVRCQAKNGDNDHLDQGLGKLDRRNMLIGLGAGGLYGAAGLENNPFAFAAPVSAPDFTECGPADKPDGSTIDCCPPITKTIIDFKLPDPGPLRTRRPAQDLAKDPVYLAKYKKAVALMRALPDDDPRSLAQQAMVHCSYCDGGYPQVGFPDLEIQVHFCWLFYPFHRWYLYFYEKIMGELIGDPTFALPFWNWDAPAGMYIPEIFTDPTSSLYDQYRNAAHQPPKLLDLNYGGSDDDVDDATRIKENLTTMYQQMVSKATSHRLFYGEPYSAGDDASPGAGNIESIPHNNIHLWSGDPKQTNGENMGAFYSAGRDPLFYSHHSNVDRMWSIYKDLLGGTDIDKTDWLNTEFLFYDEKKNLVRVKVRDSLDTKKLGYVYDNKVPIPWLKAKPNPRKSQNQRKAAVSSGDLTTAFPATLSDKISVEVARPSTTKRTSEQKKAQDEVLVIKGIEFAGNEPLKFDVFVNDDADSEAGKDQSEFAGSFIHVPHKQKKNIKTNLRLSITKLLEELDAETDKSLVVTLVPKLGKGPITIGGFSIELLNTT >ONI09607 pep chromosome:Prunus_persica_NCBIv2:G4:63082:68188:-1 gene:PRUPE_4G000100 transcript:ONI09607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLMVESCGSATVEDAAACAPPHPPPPPPPTAHRRPRVREVSSRFMSPAVSTGDLPHPLPTKSPLPKHHQHGISTPSSTLAEIQSRQRSSSVNRRRRHLDLEPFRCSDENRPTGFSSIHTPSQSWEIPLPPEHMLNYTGRKQRPVKPFKENGGGKVQQQQQKQHLTKTCTGKGSNAFTTPSRPDTPMVTASLDRTSRFRLIQQRSTNITPTAAAKLLQSSGMSLPALPTNLVTETEANTQDATSAPQLDPSSPSSLPDVNNHSQMPVVPSCSTRCLPDIRSSMPEADLLPSVSSRQLVDKNSSSRGNATVTVSDDFLKCSASPCSRSLKLPLSSSDILSFQPNKGSERLTSVVSKPYTNTGKMGGLCLPPVPPSTSAKLSSDTRRGKKVSGHLEDVHSLRVLHNRYLQWRYTNARAEASMRAQQRETERTLYSLAVKIAELYDSVKRKRIELGILQRTETLSAILDAQIPYLDQWFALQGDHSSSLAEATQALSNASFQLPISGNVRVDLQEVKEALNSAVEVMEIIDLQVQRSTAKAEETENLISELARVTGGERALIEECGNMLSKTYTTQVEEWSLRGQIIQLKRCCS >ONI09608 pep chromosome:Prunus_persica_NCBIv2:G4:63162:68060:-1 gene:PRUPE_4G000100 transcript:ONI09608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLMVESCGSATVEDAAACAPPHPPPPPPPTAHRRPRVREVSSRFMSPAVSTGDLPHPLPTKSPLPKHHQHGISTPSSTLAEIQSRQRSSSVNRRRRHLDLEPFRCSDENRPTGFSSIHTPSQSWEIPLPPEHMLNYTGRKQRPVKPFKENGGGKVQQQQQKQHLTKTCTGKGSNAFTTPSRPDTPMVTASLDRTSRFRLIQQRSTNITPTAAAKLLQSSGMSLPALPTNLVTETEANTQDATSAPQLDPSSPSSLPDVNNHSQMPVVPSCSTRCLPDIRSSMPEADLLPSVSSRQLVDKNSSSRGNATVTVSDDFLKCSASPCSRSLKLPLSSSDILSFQPNKGSERLTSVVSKPYTNTGKMGGLCLPPVPPSTSAKLSSDTRRGKKVSGHLEDVHSLRVLHNRYLQWRYTNARAEASMRAQQRETERTLYSLAVKIAELYDSVKRKRIELGILQRTETLSAILDAQIPYLDQWFALQGDHSSSLAEATQALSNASFQLPISGNVRVDLQEVKEALNSAVEVMEIIDLQVQRSTAKAEETENLISELARVTGGERALIEECGNMLSKTYTTQVEEWSLRGQIIQLKRCCS >ONI09606 pep chromosome:Prunus_persica_NCBIv2:G4:63162:68088:-1 gene:PRUPE_4G000100 transcript:ONI09606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLMVESCGSATVEDAAACAPPHPPPPPPPTAHRRPRVREVSSRFMSPAVSTGDLPHPLPTKSPLPKHHQHGISTPSSTLAEIQSRQRSSSVNRRRRHLDLEPFRCSDENRPTGFSSIHTPSQSWEIPLPPEHMLNYTGRKQRPVKPFKENGGGKVQQQQQKQHLTKTCTGKGSNAFTTPSRPDTPMVTASLDRTSRFRLIQQRSTNITPTAAAKLLQSSGMSLPALPTNLVTETEANTQDATSAPQLDPSSPSSLPDVNNHSQMPVVPSCSTRCLPDIRSSMPEADLLPSVSSRQLVDKNSSSRGNATVTVSDDFLKCSASPCSRSLKLPLSSSDILSFQPNKGSERLTSVVSKPYTNTGKMGGLCLPPVPPSTSAKLSSDTRRGKKVSGHLEDVHSLRVLHNRYLQWRYTNARAEASMRAQQRETERTLYSLAVKIAELYDSVKRKRIELGILQRTETLSAILDAQIPYLDQWFALQGDHSSSLAEATQALSNASFQLPISGNVRVDLQEVKEALNSAVEVMEIIDLQVQRSTAKAEETENLISELARVTGGERALIEECGNMLSKTYTTQVEEWSLRGQIIQLKRCCS >ONI09610 pep chromosome:Prunus_persica_NCBIv2:G4:64582:68060:-1 gene:PRUPE_4G000100 transcript:ONI09610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLMVESCGSATVEDAAACAPPHPPPPPPPTAHRRPRVREVSSRFMSPAVSTGDLPHPLPTKSPLPKHHQHGISTPSSTLAEIQSRQRSSSVNRRRRHLDLEPFRCSDENRPTGFSSIHTPSQSWEIPLPPEHMLNYTGRKQRPVKPFKENGGGKVQQQQQKQHLTKTCTGKGSNAFTTPSRPDTPMVTASLDRTSRFRLIQQRSTNITPTAAAKLLQSSGMSLPALPTNLVTETEANTQDATSAPQLDPSSPSSLPDVNNHSQMPVVPSCSTRCLPDIRSSMPEADLLPSVSSRQLVDKNSSSRGNATVTVSDDFLKCSASPCSRSLKLPLSSSDILSFQPNKGSERLTSVVSKPYTNTGKMGGLCLPPVPPSTSAKLSSDTRRGKKVSGHLEDVHSLRVLHNRYLQWRYTNARAEASMRAQQRETERTLYSLAVKIAELYDSVKRKRIELGILQRTETLSAILDAQIPYLDQWFALQGDHSSSLAEATQALSNASFQLPISGNVRVDLQEVKEALNSAVEVMEIIDLQVQRSTAKWENLMIQIG >ONI09609 pep chromosome:Prunus_persica_NCBIv2:G4:64475:68060:-1 gene:PRUPE_4G000100 transcript:ONI09609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLMVESCGSATVEDAAACAPPHPPPPPPPTAHRRPRVREVSSRFMSPAVSTGDLPHPLPTKSPLPKHHQHGISTPSSTLAEIQSRQRSSSVNRRRRHLDLEPFRCSDENRPTGFSSIHTPSQSWEIPLPPEHMLNYTGRKQRPVKPFKENGGGKVQQQQQKQHLTKTCTGKGSNAFTTPSRPDTPMVTASLDRTSRFRLIQQRSTNITPTAAAKLLQSSGMSLPALPTNLVTETEANTQDATSAPQLDPSSPSSLPDVNNHSQMPVVPSCSTRCLPDIRSSMPEADLLPSVSSRQLVDKNSSSRGNATVTVSDDFLKCSASPCSRSLKLPLSSSDILSFQPNKGSERLTSVVSKPYTNTGKMGGLCLPPVPPSTSAKLSSDTRRGKKVSGHLEDVHSLRVLHNRYLQWRYTNARAEASMRAQQRETERTLYSLAVKIAELYDSVKRKRIELGILQRTETLSAILDAQIPYLDQWFALQGDHSSSLAEATQALSNASFQLPISGNVRVDLQEVKEALNSAVEVMEIIDLQVQRSTAKWENLMIQIG >ONI10862 pep chromosome:Prunus_persica_NCBIv2:G4:3562425:3565077:-1 gene:PRUPE_4G072900 transcript:ONI10862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSRTKKVHINKALLVIKPNQTKPRQSKPPKTSLSASGIVLWKPILLSPSLLLKPAKTPLFSSFSSSYKPHFPSFSLFYQRLGFKHVFCFSLPNPPWPWPSP >ONI10863 pep chromosome:Prunus_persica_NCBIv2:G4:3561672:3565077:-1 gene:PRUPE_4G072900 transcript:ONI10863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSRTKKVHINKALLVIKPNQTKPRQSKPPKTSLSASGIVLWKPILLSPSLLLKPAKTPLFSSFSSSYKPHFPSFSLFYQRLGFKHVFCFSLPNPPWPWPSP >ONI10418 pep chromosome:Prunus_persica_NCBIv2:G4:2203812:2204499:1 gene:PRUPE_4G046200 transcript:ONI10418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWMDGWMDGWVNVNVPRLSLHINSTIKPPSPPISSVPLVHTSIPSSLPPKISTTLKSFSLLMIA >ONI11884 pep chromosome:Prunus_persica_NCBIv2:G4:7318206:7321121:1 gene:PRUPE_4G132200 transcript:ONI11884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSEQTLQQQQQEQHHQQQPVVGVVASGGQMTYAPPSYQTAPMVASGTPAVAVPSPTQPPAAFSNSSHQIAYQQAQHFHNQQQQQQQQQLQVFWANQMQDIEQASDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEIFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPVVGSPADIPYYYVPPQHPVGAPGMIMGKPVDQAAIYAAQQPRPPMAFMPWSQPQPQQPQQQQQQQEAQHQQTDT >ONI13081 pep chromosome:Prunus_persica_NCBIv2:G4:12511133:12516798:1 gene:PRUPE_4G201200 transcript:ONI13081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTARNGRVRHAFSVVNGGQDLGPSSAPASNAGSECDGIEFTREDVEALLNERPRRKDRFNLKEKCDTLTEYIKRLKLCIKWFQELEGSYLFEREKLQNSVEVSERQCNEMGILFKNKEEELNSIIAELRKSFASVEEKFTKEELDKMTAMESLTREREARLEIERSQNSLSDELGRVQRELSSANQKITSLNDMYKRLQDYIASLQQYNSKLHMDLSTVEEDLKRVEKEKTSMVENLSMLRGQLSVTRQSQDDAIKQRDSLVNEVACLRMELQQVRDDRDRHQLQVQTLTAEYTKYKESMEKSCFDLDNLTSKKDELEERCLSQSNEIRTLKDQLLTAEGKLQMSDLSTLETRAEYEGQKKLISELQTRLADAEFKLIDGEMLRKKLHNTILELKGNIRVFCRVRPLLADDGPSTEGKVISYPTAMDALGRGIDLVQTGQKHSFTFDKVFMPDVSQEDVFEDISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPGDPEEKGLIPRSLEQIFKTRQSLQPQGWKYEMQVSMLEIYNETIRDLLSPNRSSSDMLRTENGIGGKQYTIKHDGNGNTHVSDLTIVDVRTAKEVSFLLERAAHSRSVGKTQMNEQSSRSHFVFTLRISGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMVVNISPEPSSAGESLCSLRFASRVNACDIGIPRRQTNMRLPDSRLSYG >ONI13082 pep chromosome:Prunus_persica_NCBIv2:G4:12511063:12517094:1 gene:PRUPE_4G201200 transcript:ONI13082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTARNGRVRHAFSVVNGGQDLGPSSAPASNAGSECDGIEFTREDVEALLNERPRRKDRFNLKEKCDTLTEYIKRLKLCIKWFQELEGSYLFEREKLQNSVEVSERQCNEMGILFKNKEEELNSIIAELRKSFASVEEKFTKEELDKMTAMESLTREREARLEIERSQNSLSDELGRVQRELSSANQKITSLNDMYKRLQDYIASLQQYNSKLHMDLSTVEEDLKRVEKEKTSMVENLSMLRGQLSVTRQSQDDAIKQRDSLVNEVACLRMELQQVRDDRDRHQLQVQTLTAEYTKYKESMEKSCFDLDNLTSKKDELEERCLSQSNEIRTLKDQLLTAEGKLQMSDLSTLETRAEYEGQKKLISELQTRLADAEFKLIDGEMLRKKLHNTILELKGNIRVFCRVRPLLADDGPSTEGKVISYPTAMDALGRGIDLVQTGQKHSFTFDKVFMPDVSQEDVFEDISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPGDPEEKGLIPRSLEQIFKTRQSLQPQGWKYEMQVSMLEIYNETIRDLLSPNRSSSDMLRTENGIGGKQYTIKHDGNGNTHVSDLTIVDVRTAKEVSFLLERAAHSRSVGKTQMNEQSSRSHFVFTLRISGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMVVNISPEPSSAGESLCSLRFASRVNACDIGIPRRQTNMRLPDSRLSYG >ONI13190 pep chromosome:Prunus_persica_NCBIv2:G4:13018812:13025639:-1 gene:PRUPE_4G208900 transcript:ONI13190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSLSHHDHDSHTSSDFGRRRGGWITFPFITGALAGLTLAAGGWLSNLIVYLIQEFNVKSIDAAQISNVVTGFSFLSPVIGAIIADSFFDSFSVVSISSCISLLGIVLLVLTETFNSLKPQPCVKEPDVCQPTSKLQHAILYTAIALATIGVGGTRYTMATMGANQFENRKNQATFFNWFFFTLYTATVVSFTAIVYVEDNVSWRLGFGLCAIANLIGLVIFLCGARFYHFDKPQGSPFVGLARVVVASARKRNLQHSSGGSKDYYYGHGGVTDDLVGSAAPSSSFRFLNRAAQKIEGDIKPDGSIGKPWRQCTVQQVEDFKTLIRILPVWSTTIFLGTPVAVQYSLTILQALSMDRQIGPHFNIPAGSILVVVFFSTAISLTVIDRFLWPTWQKLTGQFPTFLQRIGLGHVLNILGMVVSALVESKRLKIAKTHHLQDQLGAIVPMLAFTSTTMASLIIGISFYLGTGVINLIQKVTRWLPDNINNGKLENVYWMLVVVGVLNFGYYLVCASLYKYQNVAGADCNSGPDSEK >ONI12195 pep chromosome:Prunus_persica_NCBIv2:G4:8576213:8577474:-1 gene:PRUPE_4G150000 transcript:ONI12195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDCSLQEGGHFALANWPYSHMLMCFLFFILNKYHKVSPHSKDEINPRSGFGLSLATKYF >ONI12194 pep chromosome:Prunus_persica_NCBIv2:G4:8576253:8577269:-1 gene:PRUPE_4G150000 transcript:ONI12194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDCSLQEGGHFALANWPYSHMLMCFLFFILNKYHKVSPHSKDEINPRSGFGLSLATKYF >ONI11473 pep chromosome:Prunus_persica_NCBIv2:G4:5633464:5637726:1 gene:PRUPE_4G107900 transcript:ONI11473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQELLTDERLELGKKYPKSPKPVKHRERVAPDESIALLPIYICHGRKSYDFSNHEAQKPAMRKGSSRRVSSTSERSNSKSLVSESSRTNEPAIDEVATRAVISILSGCAGRYIKDEAFRETIWEKCSCCLVRKKKDEDNEIFANLELGIESINKLVEDQWSSNKELRKKTIRNSIRVLSIVASLNSSKSKNGSTCGTPNSHLSACAQLYLAIAYKIEKNDPVSARHLLQVFCDSPVLARTHLLPDLWEHFFLPHLLHVKIWYAREADVLSNSEDPEREKKMKAITKVYNDQMDMGTTQFALYYKEWLKVGVEAPPPVPPNIPLPSISSCRSSRRRSSDSYTSHSSLNKNLYRAIFGPTLERRSLDLLYDRNGVSNATWGLHEEEGNQWADEDNYSNLSYVHRGGRTGRRSSSQNHRNPKTEFWPEPETQKSDYFGFFRCQNGPTECLVNRNLIVKNNSIRKEDNSHLPSSNLSSAISTIYSSDNLMDCEIAIRVITKAWLDSHGDPVIEAELAKAPVIQGMLEVLFVSTDDEILELVISVLAEFVARNEMNRHNILNSDPQLEIFMRLLRSSGLFLKAAILLYLLKPKAKQMISVDWVALVLRVLEFGDQLQTLFRVQCSPQVAALYLLDQLLTGFDEDRNLENARQVVSLGGLSLLVTQIERGDTHERNNIASIISCCVRADGSCRNYLADFLNKASLLELIILGNGSNSTGSAVALLIEILCLSRRKKINEILDGLKEGYGGFNTMQILLVHLQRAAPEERPLIAAILLQLDLMGDPFRSSVYREEAIEAIIAALNCQTCHEKVQERSASALLMLGGWFSYTGEASTEHRLLQQAGFSYWPRASYHFKENVMDGFVHSNEDGEATENWQRKAAIVLFKSGNKKLLVALSDSIANGIPSLARASLVTVSWMSSFLSTVGDENLRNMACSILVPQLLESLNYDKDVEERVLASYSLLSLAKSSAHEYVPMVSSLDKELVSKLKNLSLVTWTANELISIITSN >ONI11475 pep chromosome:Prunus_persica_NCBIv2:G4:5633628:5636508:1 gene:PRUPE_4G107900 transcript:ONI11475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQELLTDERLELGKKYPKSPKPVKHRERVAPDESIALLPIYICHGRKSYDFSNHEAQKPAMRKGSSRRVSSTSERSNSKSLVSESSRTNEPAIDEVATRAVISILSGCAGRYIKDEAFRETIWEKCSCCLVRKKKDEDNEIFANLELGIESINKLVEDQWSSNKELRKKTIRNSIRVLSIVASLNSSKSKNGSTCGTPNSHLSACAQLYLAIAYKIEKNDPVSARHLLQVFCDSPVLARTHLLPDLWEHFFLPHLLHVKIWYAREADVLSNSEDPEREKKMKAITKVYNDQMDMGTTQFALYYKEWLKVGVEAPPPVPPNIPLPSISSCRSSRRRSSDSYTSHSSLNKNLYRAIFGPTLERRSLDLLYDRNGVSNATWGLHEEEGNQWADEDNYSNLSYVHRGGRTGRRSSSQNHRNPKTEFWPEPETQKSDYFGFFRCQNGPTECLVNRNLIVKNNSIRKEDNSHLPSSNLSSAISTIYSSDNLMDCEIAIRVITKAWLDSHGDPVIEAELAKAPVIQGMLEVLFVSTDDEILELVISVLAEFVARNEMNRHNILNSDPQLEIFMRLLRSSGLFLKAAILLYLLKPKAKQMISVDWVALVLRVLEFGDQLQTLFRVQCSPQVAALYLLDQLLTGFDEDRNLENARQVVSLGGLSLLVTQIERGDTHERNNIASIISCCVRADGSCRNYLADFLNKASLLELIILGNGSNSTGSAVALLIEILCLSRRKKINEILDGLKEGYGGFNTMQILLVHLQRAAPEERPLIAAILLQLDLMFCCRETLSGAVYIEKKPLKQL >ONI11474 pep chromosome:Prunus_persica_NCBIv2:G4:5633464:5637726:1 gene:PRUPE_4G107900 transcript:ONI11474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQELLTDERLELGKKYPKSPKPVKHRERVAPDESIALLPIYICHGRKSYDFSNHEAQKPAMRKGSSRRVSSTSERSNSKSLVSESSRTNEPAIDEVATRAVISILSGCAGRYIKDEAFRETIWEKCSCCLVRKKKDEDNEIFANLELGIESINKLVEDQWSSNKELRKKTIRNSIRVLSIVASLNSSKSKNGSTCGTPNSHLSACAQLYLAIAYKIEKNDPVSARHLLQVFCDSPVLARTHLLPDLWEHFFLPHLLHVKIWYAREADVLSNSEDPEREKKMKAITKVYNDQMDMGTTQFALYYKEWLKVGVEAPPPVPPNIPLPSISSCRSSRRRSSDSYTSHSSLNKNLYRAIFGPTLERRSLDLLYDRNGVSNATWGLHEEEGNQWADEDNYSNLSYVHRGGRTGRRSSSQNHRNPKTEFWPEPETQKSDYFGFFRCQNGPTECLVNRNLIVKNNSIRKEDNSHLPSSNLSSAISTIYSSDNLMDCEIAIRVITKAWLDSHGDPVIEAELAKAPVIQGMLEVLFVSTDDEILELVISVLAEFVARNEMNRHNILNSDPQLEIFMRLLRSSGLFLKAAILLYLLKPKAKQMISVDWVALVLRVLEFGDQLQTLFRVQCSPQVAALYLLDQLLTGFDEDRNLENARQVVSLGGLSLLVTQIERGDTHERNNIASIISCCVRADGSCRNYLADFLNKASLLELIILGNGSNSTGSAVALLIEILCLSRRKKINEILDGLKEGYGGFNTMQILLVHLQRAAPEERPLIAAILLQLDLMERHQQNIGFYNKQVSVIGQGLHITSKKMLWMVLYTQTKMEKQQKIGKGKQPLSYSKVAIRNYWWLFQIQ >ONI13803 pep chromosome:Prunus_persica_NCBIv2:G4:16344472:16346407:1 gene:PRUPE_4G246000 transcript:ONI13803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVYYICGDCGMEIPLKPNDVIQCRECGYRILYKKRTRRIVQYEAR >ONI13804 pep chromosome:Prunus_persica_NCBIv2:G4:16344593:16345254:1 gene:PRUPE_4G246000 transcript:ONI13804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPEPVYYICGDCGMEIPLKPNDVIQCRECGYRILYKKRTRRKSITCK >ONI12245 pep chromosome:Prunus_persica_NCBIv2:G4:8786443:8790675:-1 gene:PRUPE_4G153300 transcript:ONI12245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMTCHSLEGRAVLDLVACGCSSNALLDRSSVRNYTKASSKGICRGYGARKLVCGRRDRIRCGVSSMKTAETPVPKKTAETLLNSVSGVSQGFPQVLDLNKDSRRPVSLTNLFEVVADDLLTLNQNLQSIVGAENPVLMSAAEQIFGAGGKRMRPALVFLVSRATSEIVGLKELTMEHRRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHQMFGTRVAVLAGDFMFAQSSWYLANLENLQVIKLISQVIKDFASGEIKQASSLFDCDVELEEYLIKSYYKTASLIAASTKGAAIFSGVDSYIAEKMYDYGKNLGLSFQVVDDILDFTQSAEQLGKPAGTDLAKGNLTAPVIFALEKEPKLRDIIESEFSDTGSLDEAIRLVKACGGIEQAQVLAKEKAHLAIQNLECLPKTAFRLALEDMVMFNLQRID >ONI11254 pep chromosome:Prunus_persica_NCBIv2:G4:4827615:4829213:-1 gene:PRUPE_4G096000 transcript:ONI11254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVALLASLFLVSVSLPTSSSTSGQIFSQCMTVQSSNYTRTSDQTIYTSNSSLYSSILQSSQQNPRWLNSTSKPLLIVTPLKESEIQAAVLCSRKLGLQVRVRSGGHDYEGLSYLCKTPFVLIDLINLRSVKVNIADQTAWVQSGATLGELYYSIAMKSGSLGFPAGLCPTVGIGGHFSGGGFGTLMRKHGLAADNVIDARLIDVNGRILDRRTMGEDLFWAIRGGGGSSFGIILSWKIKLVQVPKIVTGFTVHKTLAQGASKLVHRWQYIADKFHEDLFVRIIIENVGSGREKKVQVSFNSLFLGGIDRLVPLMDQSFPELGLQAKDCIEMSWIQSVQYFAGFQKDQSPEVLLSRDPLWKSNFKAKSDYVKQPIPEVALKGIWERFQQDQTVFVIMDPYGGRMNEISEFEIPFPHRKGNLFNIQYIVKWDVNSVEETNKHIHWIRMLYRFMSPYVSKSPRGAYINYKDLDLGSNKQDNTSYLEASAWGTKYFKGNFKRLAQVKSKVDPDNFFRNEQSIPPLPALFKITEV >ONI14437 pep chromosome:Prunus_persica_NCBIv2:G4:23776124:23778869:-1 gene:PRUPE_4G280900 transcript:ONI14437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGQWAMGIGMCGCWFHFCWEEGRSKLSRAAVWVATENHLRNNIFNIQGCPIMYFTSLGDNLLMYDGDRTEDTINFLQNNRYKISKPDSVKDEI >ONI10940 pep chromosome:Prunus_persica_NCBIv2:G4:3773310:3775270:1 gene:PRUPE_4G077500 transcript:ONI10940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSSLECLKLDSQSEVLHKDGGGKDLLSPDMVSLNCDTPIIGSKAEEQKAAKQCLEKPSTSFKLHWNPSTIPIFGIKADAPKDKSSIGECLYCLKVGDHISRLCPYKSDVPKNAIVGSGCAVLCKVCGCRFKGSCCAECGITQGRAIFMNCSLCEKQGEHMNYECPTLKKKHYPSFCNCDPYMGLTVPSFSFQSL >ONI13786 pep chromosome:Prunus_persica_NCBIv2:G4:16239644:16242980:1 gene:PRUPE_4G244800 transcript:ONI13786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQLIGVPDQAEKDEVVKSVMDLKSAEIEEGYTMDAVASRQGLLMDVRDKLLFEPEYTGNIKEKIPPKSSLRIPWAWLPGALCLLQEVGEVKLVQDIGHVAVQHPDAKPYVHDLLLSMALAECGTAKIGFEKNKVSQGFEALACAQSLLRNKKSFGKITLLSQTEESLEELAPACTLELLGMPHLPENAERRRGAIAALRELVRQGLGVETSCRVQDWPYFLSQAFNRLMALEIVDLLPWDDLAITRKNKKSLESQNQRVVIDFNCFYMVLIAHIALGFSSKQKELIDKAKTICECLIASEGTDLKFEEAFCLFLLGQVSFLLERQVGLQKRMLLH >ONI10183 pep chromosome:Prunus_persica_NCBIv2:G4:1539527:1543491:-1 gene:PRUPE_4G033000 transcript:ONI10183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILFLFSLLLSQHYCAEVYNISSSQPLAQGQTLVSPGRIFELGFFSPNNNSDNNKYVGIWHKNILPRKVVWVANREKPIAAADTLASLAISSNGNLELVDGKQNSVWSTNMSVPSNTSAAMLLDSGNFVVKVSIGAAHKLWQSFDYPSDTLLPSMLLGFDKKSGKRNVLTAWKSESDPSTGMFLAGLTPQVPSQFVIWMNGSTPYWRTGPWDKSKFIGVPMMDDEYQSGYYLDDNVQQGKNYFHYNIPDKTVAYIDITSEGMLKLMDSVNGENWSLHWAAQKNSCDKYGVCGPFGVCTASESPTPICKCLKGFVPKSPEEWSKGNRTAGCMRKTKLFCESNTSKSVTLRGKGDGFSKMVQLKPPDFHEYITSSDAVECKIKCLNNCSCLAYAYVDNIGCLAWSKDLIDIQEFSSGGVDLFILLDHADIDEGNRTKLIVSLTAIGFISILGAIVFGLYRLRAHQKGKIKVTTKFFKLTDTTETSRDTLQEYIRNQDPSELFIYNFDSILTATNNFSISNKLGEGGFGPVYKGMLQEGKEIAVKRLSSSSGQGIEEFKNETLLISKLQHKNLARIMGCCVKEDEKLLIYEFMPNRSLDTHLFDPARRPELDWGRRFNIIQGVARGLLYLHHDSYLKVIHRDLKVSNILLDENMNPKISDFGLARIVQGTQNLTNTQKVVGTLGYMSPEYAMGGIFSEKSDVYSFGVLILEIISGRKNTSFYYCEQHLGFLGYAWHSWNEGRGLELVDETLVDSYSSSEVMRCMHIGLLCIQDNAADRPTMPDVVFMLSSETDRRQPKEPIFTFQNPVSSPQPQSENIFSANEATMSMIQGR >ONI10871 pep chromosome:Prunus_persica_NCBIv2:G4:3592826:3594920:-1 gene:PRUPE_4G073500 transcript:ONI10871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHKLDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRGSHHAHCVIGTPEFMAPELYEEEYNELVDIYAFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPNAFYKIEDLEAQRFVGKCLENASKMLPAHELLLDPFLASDDRELLSTPRIPFQNLMPNDDSAVVEEEEEEEEEEEEEEEEEEEEELPLDLGVDLKRSTNMIITGKMNPEDDTIFLQVRISDQDGQNARNIYFPFDIVNDTAIAVATEMVKELEISDWEPSEIAEMIEKEISSLIPGHKKWGTPQDYHPHQHSFKYEDEDDDHDHGHHPFYYFPSYSSSQNSLHAFSSHCKTQFHCGENISNDQDWLQGDLFINDDASSQSSFSSCNYSNINYFSGTEDDHHDLISCFGKSHKSRFCPSESRAANCYKHCNSQLHHQRPHELNHPLCHHQRKLPRIQSLIDVRSQLLHRSLVEEINKRRLFKTVGAVENIGVCK >ONI13814 pep chromosome:Prunus_persica_NCBIv2:G4:16380128:16385461:-1 gene:PRUPE_4G246900 transcript:ONI13814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLKLLSQVIDFLTRASHVSPIFRVFICIAAGWELPSRVVSRCRSESFLLLIVVLGVVSPWRKARLEFFSRITSKDYKVPKRSRAADVGGVDSQMMSEPNLAVPPSAETGLPKWASGSESFKDELMNKVNLVRNVGIDVNSLEAEYEGLNDDEDVTISRGDRGPCIQFLDRAMDRLCRPWQNALIIKLLGRSHTYNYLHARLQQKWSLIGGWKLVDLVNDYFVVRFELEEDINFVFTRGPWIIAGQYLVMQKWRPGFCPATAKITRMAAWIRVSAIQLECFDLLKIDALTTSQNRGKFARLCVELDLSRPLEAFVQINNVWYNVEYEGFPDICYMCGRYGHKREHCDVPDAATVEKTGDGSTLGDDGLVEGDTVMGKEGINAFTENLLGPWMNVPARRRSKGVTQEVGGKGGRNTGSRFDALRQEKGKVQATVDKVKDASYSKVGQLVNKSNLVYEGKKFGGKISLTDQLNIWTNGQDAYRATGVYHFGHRPPKIATNCSDTEEEVDIDVDVDSLAASAQEGLIPTEKVDLAASHVDLMRRWQIVPSPQKSRLRWLQEGDRNTKFFHLTTIIRRRRNRIERLKDNEGVWVEDAAVTDGEISSLVKKIDFLEVKDNVFGIGGLKAPGVDGFPACFYQHQWDLCAPDIYTMLHSLTLYEYND >ONI11635 pep chromosome:Prunus_persica_NCBIv2:G4:6361425:6367287:1 gene:PRUPE_4G117500 transcript:ONI11635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRALRTLSSKPRKTPLNPTFFHRFYAAQPQEDDSSHTPSLSDSENGSDSVFDSTHYDIPTISSDSKPKIPQKPTWNTKYRSKADELIAQKKKPDDLIFKNSSLAKKLLEAAVCLPSDDEDEEDEEEVVKEEDQKALSVGIIGAPNAGKSALTNYMVGTKVAAVSRKTNTTTHEVLGVMTKGDTQICFFDTPGITLSNRGCPYKDFKVRVESAWSSVNLYDVLIVIFDVHRHLTRPDARVIGLIKRMGAEAHPIQKRVLCMNKIDLVEKKKDLLTVAEQFKDLPGFERHFMISGLKGSGVKDLNQYLMDQAVKRSWEEDPFVMTEEVMKNISLEVVRERLLDHVHQEIPYGIEHRLMDWKELRDGSLRIEQHLITPKLSQRKILVGKKGCKIGRIGMEANEELRSIFKRDVHLILQVVTSYDPSQDGSAPYL >ONI11637 pep chromosome:Prunus_persica_NCBIv2:G4:6361425:6367287:1 gene:PRUPE_4G117500 transcript:ONI11637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRALRTLSSKPRKTPLNPTFFHRFYAAQPQEDDSSHTPSLSDSENGSDSVFDSTHYDIPTISSDSKPKIPQKPTWNTKYRSKADELIAQKKKPDDLIFKNSSLAKKLLEAAVCLPSDDEDEEDEEEVVKEEDQKALSVGIIGAPNAGKSALTNYMVGTKVAAVSRKTNTTTHEVLGVMTKGDTQICFFDTPGITLSNRGCPYKDFKVRVESAWSSVNLYDVLIVIFDVHRHLTRPDARVIGLIKRMGAEAHPIQKRVLCMNKIDLVEKKKDLLTVAEQFKDLPGFERLSKDLGRKIHSS >ONI11636 pep chromosome:Prunus_persica_NCBIv2:G4:6362059:6366598:1 gene:PRUPE_4G117500 transcript:ONI11636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRALRTLSSKPRKTPLNPTFFHRFYAAQPQEDDSSHTPSLSDSENGSDSVFDSTHYDIPTISSDSKPKIPQKPTWNTKYRSKADELIAQKKKPDDLIFKNSSLAKKLLEAAVCLPSDDEDEEDEEEVVKEEDQKALSVGIIGAPNAGKSALTNYMVGTKVAAVSRKTNTTTHEVLGVMTKGDTQICFFDTPGITLSNRGCPYKDFKVRVESAWSSVNLYDVLIVIFDVHRHLTRPDARVIGLIKRMGAEAHPIQKRVLCMNKIDLVEKKKDLLTVAEQFKDLPGFERHFMISGLKGSGVKDLNQYLMDQAVKRSWEEDPFVMTEEVMKNISLEVVRERLLDHVHQEIPYGIEHRLMDWKELRDGSLRIEQHLITPKLSQRKILVGKKGCKIGRIGMEANEELRSIFKRDVHLILQVRLK >ONI10744 pep chromosome:Prunus_persica_NCBIv2:G4:3136139:3139340:1 gene:PRUPE_4G065400 transcript:ONI10744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLATTSPNLLSVSPLPHNDNETKSSRPSNGCFFSKPSSRTSLFSSNLDHSCAKFRISASVAISTNSEVIDKNAKISKYCEMGNLKNAVELVCGSQKSELDLEGYCSVLELCAGLKSLQDGKRVHSVICNNGAEVDGPLGAKLVFMFVKCGDLREARRVFDKLSNGKVFLWNLMINEYAKVRNFREGIHLFRKMQELGIQANSYTFSCILKCFSSLGYVREGEWVHGYLYKLGFGSDNTVGNSLMAFYFKNRIIESARKVFDELSDRDVISWNSMISAYVANGLAEKGVEIFRQMLSLGVDVDLATVINVLMACSDGGNLSLGRALHSYAIKTCLDMDIMFYNNVLDMYSKCGDLSSATQVFGKMGQRSVVSWTSMIAGYVREGLSDEAIELFSEMERNDVSPDVYTITSILHACACNGSLKKGRDIHKYIREHGMDSSLFVCNTLMDMYAKCGSMEDAHSVFSSMPVKDIVSWNTMIGGYSKNCLPNEALKLFSEMQQKSKPDGMTIASVLPACASLAALNRGQEIHGHILRNGYFSDRYVANALVDMYVKCGVLVLARLLFDIIPIKDLISWTVIVAGYGMHGFGSEAITAFNEMRKSGIKPDSISFISILYACSHSGLLDEAWRFFDSMRNDYSIVPKLEHYACMVDLLARTGNLTKAYKFINKMPIEPDATIWGSLLCGCRIHHDVKLAEKVAERVFELEPENTGYYVLLANIYAEAEKWEEVKKLRERIGRQGLKKNPGCSWIEIKGKVQIFVAGNSSHPQATKIESLLKRLRLKMKEEGYSPKMQYALINADEMEKEVALCGHSEKLAIAFGILNLPPGKTIRVTKNLRVCSDCHEMAKFISKTSRREIVLRDSNRFHHMKDGICSCRGFW >ONI13351 pep chromosome:Prunus_persica_NCBIv2:G4:13589257:13590385:1 gene:PRUPE_4G216800 transcript:ONI13351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSRLLLLVLFGAFVFTTGARKLGSEKASFEDQKNFNHHSGGGLGGGGGGGLGGGGGLGGGAGAGGGAGFGGGAGAGGGLGGGFGGGFGGGGGGGVGGGAGFGAGGGFGAGGGAGGGVGGGGGGGFGGGGGGGLGGGAGGGFGGGAGAGGGLGGGFP >ONI12576 pep chromosome:Prunus_persica_NCBIv2:G4:10235689:10237858:1 gene:PRUPE_4G172800 transcript:ONI12576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSKAKPAAKKRMDKLDTVFCCPFCNHGSSVECRIDMKNLIGEAICSICQENFSTTITALTEPIDVYSEWIDECERVNTVDDDGA >ONI12577 pep chromosome:Prunus_persica_NCBIv2:G4:10235515:10237858:1 gene:PRUPE_4G172800 transcript:ONI12577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSKAKPAAKKRMDKLDTVFCCPFCNHGSSVECRIDMKNLIGEAICSICQENFSTTITALTEPIDVYSEWIDECERVNTVDDDGA >ONI12575 pep chromosome:Prunus_persica_NCBIv2:G4:10235515:10237858:1 gene:PRUPE_4G172800 transcript:ONI12575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSKAKPAAKKRMDKLDTVFCCPFCNHGSSVECRIDMKNLIGEAICSICQENFSTTITALTEPIDVYSEWIDECERVNTVDDDGA >ONI10891 pep chromosome:Prunus_persica_NCBIv2:G4:3656343:3663306:1 gene:PRUPE_4G074600 transcript:ONI10891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGVATNGKGNTGLSGIPAGSRKMVQSLKEIVNNCTEQEIYAMLKDCNMDPNEAVNRLLAQDPFHEVKSKREKKKENKEPTEPRSRGANSTSNHGGRGGDRYAARGGSNHFSSNESGFLHGKSAYKKENGTHAYAGSASGMAGHNMSRRPTSYSDSVGTENKISTISTDDAIYSSSQPSTGYQSAWVGVPGQVSMADIVKMGRPQAKTSTTPKPPNHSANHHDVVAPSEAAFHHNLHPSQDHVPKVSATHTEPGAAASQYLSPNDEWPLIDPPSVSMSSVLGAPTNSEMYADSSNLPLDITNQHRISQLDEVQVEEDGSVDAFPSHNGPTSVSGRHIQEDNSGGASAFDNSLYEDINSYQTQRHAFEENEADDEASSVAANLQQLNLQNDDRGAPPEDDNPPVVIPNHLQLHTPDCLNLSFGSFRSGTDSATSSSRPLQGNVEETSGAVDDSAIGHSDSRNPEYYGDEHLINASDGNLVHRTVASSGDYDSPSASPPEVLKQETPEAAQGNQYMFPSAPGFAYENSQQLNVAFSHPQTSSQMQNIAPFSSVMAYTNSLPSTLLASSAQAVREDFPYSPFPVSQSMPTKYSNAASSISGPTISMTEALRAGGISTPQPTPQNLPGASVATGPALPQHLAVHPYSQPTLPLGHFSNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSANIPPGYGFGSSTNIPGGNFPLNPPSAPTGTTIGYDDVINSQYKDNSHLISLQQNDNSGMWVHGPGSRAMSAVPASTYYSFQGQNQQHAGFRQAQQPSQQFAGALGYPNFYHSQTGMSLEHQQQSSRDTSLGGSQGQPSKQSQQLWQNTY >ONI10890 pep chromosome:Prunus_persica_NCBIv2:G4:3656313:3663364:1 gene:PRUPE_4G074600 transcript:ONI10890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGGVATNGKGNTGLSGIPAGSRKMVQSLKEIVNNCTEQEIYAMLKDCNMDPNEAVNRLLAQDPFHEVKSKREKKKENKEPTEPRSRGANSTSNHGGRGGDRYAARGGSNHFSSNESGFLHGKSAYKKENGTHAYAGSASGMAGHNMSRRPTSYSDSVGTENKISTISTDDAIYSSSQPSTGYQSAWVGVPGQVSMADIVKMGRPQAKTSTTPKPPNHSANHHDVVAPSEAAFHHNLHPSQDHVPKVSATHTEPGAAASQYLSPNDEWPLIDPPSVSMSSVLGAPTNSEMYADSSNLPLDITNQHRISQLDEVQVEEDGSVDAFPSHNGPTSVSGRHIQEDNSGGASAFDNSLYEDINSYQTQRHAFEENEADDEASSVAANLQQLNLQNDDRGAPPEDDNPPVVIPNHLQLHTPDCLNLSFGSFRSGTDSATSSSRPLQGNVEETSGAVDDSAIGHSDSRNPEYYGDEHLINASDGNLVHRTVASSGDYDSPSASPPEVLKQETPEAAQGNQYMFPSAPGFAYENSQQLNVAFSHPQTSSQMQNIAPFSSVMQAYTNSLPSTLLASSAQAVREDFPYSPFPVSQSMPTKYSNAASSISGPTISMTEALRAGGISTPQPTPQNLPGASVATGPALPQHLAVHPYSQPTLPLGHFSNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSANIPPGYGFGSSTNIPGGNFPLNPPSAPTGTTIGYDDVINSQYKDNSHLISLQQNDNSGMWVHGPGSRAMSAVPASTYYSFQGQNQQHAGFRQAQQPSQQFAGALGYPNFYHSQTGMSLEHQQQSSRDTSLGGSQGQPSKQSQQLWQNTY >ONI11725 pep chromosome:Prunus_persica_NCBIv2:G4:6702589:6706816:-1 gene:PRUPE_4G123300 transcript:ONI11725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSHSRFLLDTLLNRLQNIEKAVEADYHWAEFDDVRYHIQVTMKNPHILLLSVSLPTPPQETVFLGGLPSGAIEAIKAAYGAVVQILDPPRDGFNLTLKLNLSKLPPDEEYKHALLVKIASVREVVLGAPLRGILKKLTSRTVASNTDGLVALVHRPNESFFLIPQAEKVTVIFPMRFKDSIDIVLATSFLQEFVEARRMAGLNTAPPCLWSPTPPLELKEAPAEALSANAGFVTFGKKLDRTVCSLSTFHAYVSYHVKCSEGFMHTRMRRRVESLIEALDRAKPGMENAKNASQSRSFKRLSLKEARANSN >ONI11726 pep chromosome:Prunus_persica_NCBIv2:G4:6702958:6706639:-1 gene:PRUPE_4G123300 transcript:ONI11726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSHSRFLLDTLLNRLQNIEKAVEADYHWAEFDDVRYHIQVTMKNPHILLLSVSLPTPPQETVFLGGLPSGAIEAIKAAYGAVVQILDPPRDGFNLTLKLNLSKLPPDEEYKHALLVKIASVREVVLGAPLRGILKKLTSRTVASNTDGLVALVHRPNESFFLIPQAEKVTVIFPMRFKDSIDIVLATSFLQEFVEARRMAGLNTAPPCLWSPTPPLELKEAPAEALSANAGFVTFVIFPRHVEGKKLDRTVCSLSTFHAYVSYHVKCSEGFMHTRMRRRVESLIEALDRAKPGMENAKNASQSRSFKRLSLKEARANSN >ONI12164 pep chromosome:Prunus_persica_NCBIv2:G4:8470736:8471923:-1 gene:PRUPE_4G148300 transcript:ONI12164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTASYLHPFSYYSDRRSLLKPDGCVKLQIPYSSQLGRKVPLTGRPQTCQFLMPKQQTILFAVPNTDDGHPSVSVLEDSNTNHAPNSEPETVLRKWSPPRYMWRGFSALILAGQVIVRTLRGKVHWRNTLQQLKRVGPNSVGVCLLTSAFVGMAFTIQFVREFTRLGLSRAVGGVLALAFSRELSPVITSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGADPVDYLVTPRVIATCIALPFLTLMCFTVGMASSALLADGIYGVSINIILESARRALTSWDIISAMIKSQAFGAIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFIADFVLSYCFFQGVGDSLKNL >ONI12163 pep chromosome:Prunus_persica_NCBIv2:G4:8469963:8472932:-1 gene:PRUPE_4G148300 transcript:ONI12163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTASYLHPFSYYSDRSLLKPDGCVKLQIPYSSQLGRKVPLTGRPQTCQFLMPKQQTILFAVPNTDDGHPSVSVLEDSNTNHAPNSEPETVLRKWSPPRYMWRGFSALILAGQVIVRTLRGKVHWRNTLQQLKRVGPNSVGVCLLTSAFVGMAFTIQFVREFTRLGLSRAVGGVLALAFSRELSPVITSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGADPVDYLVTPRVIATCIALPFLTLMCFTVGMASSALLADGIYGVSINIILESARRALTSWDIISAMIKSQAFGAIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFIADFVLSYCFFQGVGDSLKNL >ONI12136 pep chromosome:Prunus_persica_NCBIv2:G4:8432565:8434444:1 gene:PRUPE_4G147500 transcript:ONI12136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCELPCSKPELGFHMSSSASSSSNLPCFSAQGSFSLASQFSLFLAMEGMVKFQTERVNTEVAVSQFISVRMSKRPLTKMLDTIMEEEYDFGVDQTLRSPIYKSSSCHLTQDYRAGQSC >ONI12274 pep chromosome:Prunus_persica_NCBIv2:G4:8897293:8900670:-1 gene:PRUPE_4G155400 transcript:ONI12274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSLSHPHGNTGFKFHSNPISNQSPLTAISFPFSHYTKTSPSPSASIQLTLRSQYDLRAQFPSKPTWLLPSVSFPPTLATKTGRSLAPLQCGILSNSCSTDDGKRSFRDWVEVVGEAVSTAFPIWVALGCLLGLIKPSSFNWVTPNLTILGITLTMLGMGMTLTFNDLRGALAMPKELLAGFVLQYSVMPLSGYAVSKLLNLPSYYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTLSAVIMTPFLTAKLAGQYVAVDAAGLLFSTLQVVLLPVLAGAFLNQYFQGLVKFVSPLMPPIAVGTVAVLCGNAIAQSSSAILMSGKQVVLAAALLHASGFFFGYILSRILGIDVSSSRTISIEVGMQNSVLGVVLASQHFGNPLTAVPCAVSSVCHSILGSALAGIWRQSVPTQKQD >ONI10800 pep chromosome:Prunus_persica_NCBIv2:G4:3340432:3342633:1 gene:PRUPE_4G069000 transcript:ONI10800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVGNVFVCFITAFSCFTLLELAESKLPQEEVDALQQITTTMGAKYWRFNNDACRIEMVGVTEKPPKGAQSNTDCECYFENNTVCHVVKLMLKGYSLPGLLPPQLVKLPYLREIDFAYNYLNGTIPPEWASTKLTYISVLVNRLSGQIPKELGNITTLTYLSLESNKFSGILPIELGNLINLQTFRINDNNFNGTLPDWVQNWKQLRRLEMHSSGLEGPIPSNISQLYNLNELRISDLNGPIQEFPLLRNMTGIVRLYVESFIIKYF >ONI12487 pep chromosome:Prunus_persica_NCBIv2:G4:9732302:9746084:-1 gene:PRUPE_4G167700 transcript:ONI12487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSKEIEDLHDDGVEGSKTEHCIFTEVFFGQDIVGASKRCLVTGVINFECDNSSKNTDGALSSNSENSVVTSHSSSKNTCLEEFYNATEEFRETSAPAFCLDRSALLERNEDDVTVKRMKFSVDELSNTKPVLGKVISSVVPKEMVSGTSDPATNSVSDTVTFRLVESSSQGVTTSCYLLKKHAELDKAGIVGDPDVPKCRLPTSDGDDRKEVCVSKAIASPVLHESFSARLLVASPVVTVLDKLETPLHAEGKPKGFEAPVLDVSDVALKIDASKDPRPVLQCHVARLLEAAGWYIERRKRPSRSYMESVYKTPKGKYIREFPKAWRLCGELLFADRYSLLQEDDPKEWADISQFWSDLSGCFSNIEKEMNHPEPDAALAYWWRLLDPFVSVVFIERKIGSLRKGEIVKASQSLVIDPNHETDSSLALTSGNNIKNLCAQEDVSAPLCDSTLVSGAGLAVPEGFYGQTSRKEVKLLTGQSNDSANVECQCLVNAGNRIENRRSRLDFISLPVCVSGGTCIQSATHRDEPITSRKCNNVHGGSEAVSPHQYSNANSPSFNKQSSGLDVETTKEVMEDVSVDYSEEKDELQGDKVDDKLESALQGSLDYQRNCTSDLLKRKIRRKSKKISEIEPSSIYQSGLFGFTSTENADSQCVDANGTQSKLKEVQDEFAGNKICKGSRRTSLPLDSYQQQIGRKCSKLMRINHECDDFKTGKRKSSRCQIEDDDLLVSAIIKNKDFSPSPARYFSRKKASKSRAHRKGKSQKSRCKLLPRSLGSGGKHFKDGKWYSAGVRTVLSWLIDAGVISLDDVIQYRNPKDGAVLIDGLVTRDGIFCKCCSKVITVSEFKTHSGFKQNRPCLNLFMESGQPFTLCQLQAWSAEYKSRKRGTQVVRADENDQNDDSCGLCGDGGELICCDNCPSTFHQACLSLQELPEGSWYCPNCTCWICGDFVNDKEASSTSDGFKCSQCEHKYHEACMKEKYAYGAILDSWFCDRSCQEVYSGLQSRVGYINHVADGFSWTLLRCIHDDQKVHSAQRFALKAECNTRLAVALTIMEECFLSMVDPRTGIDMIPHVLYNWGSDFARLNFQGFYAAVLEKDDVLISVASIRVHGTAVAEMPLIATCSRYRRQGMCRRLVTAIEEMLLSFKVEKLVVAAIPDLVATWTEGFGFVPVEDSEKRSLNKINLMVFPGTILLKKPLYGNQIAHRHSGDTLPLRAGEMRKEGFCSQEDPKDKFGQHLDDISCRNKTGVEAENEFVEGVKLQELEGSKLFIGGDNKAGASTLETGGSTDVGIQSRETIIGFVQQPDEKCSGNNTGAETETQTESALGAKTDVESVKQSDGKCHADRMVVDAEIGLEGKNLLELQAEVELTVQPSEGIGCQIEVGAAESDISQMNPKDLLQSEVDTKMEIAECVEQCKENYCIKEPEVRVMESKNVQVGEAKGSTLQGQFSKLSCEEPAPTLGNRQPETVANVKSLNMYDEIQLSVDEQSQK >ONI12489 pep chromosome:Prunus_persica_NCBIv2:G4:9735851:9746053:-1 gene:PRUPE_4G167700 transcript:ONI12489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSKEIEDLHDDGVEGSKTEHCIFTEVFFGQDIVGASKRCLVTGVINFECDNSSKNTDGALSSNSENSVVTSHSSSKNTCLEEFYNATEEFRETSAPAFCLDRSALLERNEDDVTVKRMKFSVDELSNTKPVLGKVISSVVPKEMVSGTSDPATNSVSDTVTFRLVESSSQGVTTSCYLLKKHAELDKAGIVGDPDVPKCRLPTSDGDDRKEVCVSKAIASPVLHESFSARLLVASPVVTVLDKLETPLHAEGKPKGFEAPVLDVSDVALKIDASKDPRPVLQCHVARLLEAAGWYIERRKRPSRSYMESVYKTPKGKYIREFPKAWRLCGELLFADRYSLLQEDDPKEWADISQFWSDLSGCFSNIEKEMNHPEPDAALAYWWRLLDPFVSVVFIERKIGSLRKGEIVKASQSLVIDPNHETDSSLALTSGNNIKNLCAQEDVSAPLCDSTLVSGAGLAVPEGFYGQTSRKEVKLLTGQSNDSANVECQCLVNAGNRIENRRSRLDFISLPVCVSGGTCIQSATHRDEPITSRKCNNVHGGSEAVSPHQYSNANSPSFNKQSSGLDVETTKEVMEDVSVDYSEEKDELQGDKVDDKLESALQGSLDYQRNCTSDLLKRKIRRKSKKISEIEPSSIYQSGLFGFTSTENADSQCVDANGTQSKLKEVQDEFAGNKICKGSRRTSLPLDSYQQQIGRKCSKLMRINHECDDFKTGKRKSSRCQIEDDDLLVSAIIKNKDFSPSPARYFSRKKASKSRAHRKGKSQKSRCKLLPRSLGSGGKHFKDGKWYSAGVRTVLSWLIDAGVISLDDVIQYRNPKDGAVLIDGLVTRDGIFCKCCSKVITVSEFKTHSGFKQNRPCLNLFMESGQPFTLCQLQAWSAEYKSRKRGTQVVRADENDQNDDSCGLCGDGGELICCDNCPSTFHQACLSLQELPEGSWYCPNCTCWICGDFVNDKEASSTSDGFKCSQCEHKYHEACMKEKYAYGAILDSWFCDRSCQEIRACRRKA >ONI12485 pep chromosome:Prunus_persica_NCBIv2:G4:9732322:9743048:-1 gene:PRUPE_4G167700 transcript:ONI12485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSKEIEDLHDDGVEGSKTEHCIFTEVFFGQDIVGASKRCLVTGVINFECDNSSKNTDGALSSNSENSVVTSHSSSKNTCLEEFYNATEEFRETSAPAFCLDRSALLERNEDDVTVKRMKFSVDELSNTKPVLGKVISSVVPKEMVSGTSDPATNSVSDTVTFRLVESSSQGVTTSCYLLKKHAELDKAGIVGDPDVPKCRLPTSDGDDRKEVCVSKAIASPVLHESFSARLLVASPVVTVLDKLETPLHAEGKPKGFEAPVLDVSDVALKIDASKDPRPVLQCHVARLLEAAGWYIERRKRPSRSYMESVYKTPKGKYIREFPKAWRLCGELLFADRYSLLQEDDPKEWADISQFWSDLSGCFSNIEKEMNHPEPDAALAYWWRLLDPFVSVVFIERKIGSLRKGEIVKASQSLVIDPNHETDSSLALTSGNNIKNLCAQEDVSAPLCDSTLVSGAGLAVPEGFYGQTSRKEVKLLTGQSNDSANVECQCLVNAGNRIENRRSRLDFISLPVCVSGGTCIQSATHRDEPITSRKCNNVHGGSEAVSPHQYSNANSPSFNKQSSGLDVETTKEVMEDVSVDYSEEKDELQGDKVDDKLESALQGSLDYQRNCTSDLLKRKIRRKSKKISEIEPSSIYQSGLFGFTSTENADSQCVDANGTQSKLKEVQDEFAGNKICKGSRRTSLPLDSYQQQIGRKCSKLMRINHECDDFKTGKRKSSRCQIEDDDLLVSAIIKNKDFSPSPARYFSRKKASKSRAHRKGKSQKSRCKLLPRSLGSGGKHFKDGKWYSAGVRTVLSWLIDAGVISLDDVIQYRNPKDGAVLIDGLVTRDGIFCKCCSKVITVSEFKTHSGFKQNRPCLNLFMESGQPFTLCQLQAWSAEYKSRKRGTQVVRADENDQNDDSCGLCGDGGELICCDNCPSTFHQACLSLQELPEGSWYCPNCTCWICGDFVNDKEASSTSDGFKCSQCEHKYHEACMKEKYAYGAILDSWFCDRSCQEVYSGLQSRVGYINHVADGFSWTLLRCIHDDQKVHSAQRFALKAECNTRLAVALTIMEECFLSMVDPRTGIDMIPHVLYNWGSDFARLNFQGFYAAVLEKDDVLISVASIRVHGTAVAEMPLIATCSRYRRQGMCRRLVTAIEEMLLSFKVEKLVVAAIPDLVATWTEGFGFVPVEDSEKRSLNKINLMVFPGTILLKKPLYGNQIAHRHSGDTLPLRAGEMRKEGFCSQEDPKDKFGQHLDDISCRNKTGVEAENEFVEGVKLQELEGSKLFIGGDNKAGASTLETGGSTDVGIQSRETIIGFVQQPDEKCSGNNTGAETETQTESALGAKTDVESVKQSDGKCHADRMVVDAEIGLEGKNLLELQAEVELTVQPSEGIGCQIEVGAAESDISQMNPKDLLQSEVDTKMEIAECVEQCKENYCIKEPEVRVMESKNVQVGEAKGSTLQGQFSKLSCEEPAPTLGNRQPETVANVKSLNMYDEIQLSVDEQSQK >ONI12486 pep chromosome:Prunus_persica_NCBIv2:G4:9732322:9746143:-1 gene:PRUPE_4G167700 transcript:ONI12486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSKEIEDLHDDGVEGSKTEHCIFTEVFFGQDIVGASKRCLVTGVINFECDNSSKNTDGALSSNSENSVVTSHSSSKNTCLEEFYNATEEFRETSAPAFCLDRSALLERNEDDVTVKRMKFSVDELSNTKPVLGKVISSVVPKEMVSGTSDPATNSVSDTVTFRLVESSSQGVTTSCYLLKKHAELDKAGIVGDPDVPKCRLPTSDGDDRKEVCVSKAIASPVLHESFSARLLVASPVVTVLDKLETPLHAEGKPKGFEAPVLDVSDVALKIDASKDPRPVLQCHVARLLEAAGWYIERRKRPSRSYMESVYKTPKGKYIREFPKAWRLCGELLFADRYSLLQEDDPKEWADISQFWSDLSGCFSNIEKEMNHPEPDAALAYWWRLLDPFVSVVFIERKIGSLRKGEIVKASQSLVIDPNHETDSSLALTSGNNIKNLCAQEDVSAPLCDSTLVSGAGLAVPEGFYGQTSRKEVKLLTGQSNDSANVECQCLVNAGNRIENRRSRLDFISLPVCVSGGTCIQSATHRDEPITSRKCNNVHGGSEAVSPHQYSNANSPSFNKQSSGLDVETTKEVMEDVSVDYSEEKDELQGDKVDDKLESALQGSLDYQRNCTSDLLKRKIRRKSKKISEIEPSSIYQSGLFGFTSTENADSQCVDANGTQSKLKEVQDEFAGNKICKGSRRTSLPLDSYQQQIGRKCSKLMRINHECDDFKTGKRKSSRCQIEDDDLLVSAIIKNKDFSPSPARYFSRKKASKSRAHRKGKSQKSRCKLLPRSLGSGGKHFKDGKWYSAGVRTVLSWLIDAGVISLDDVIQYRNPKDGAVLIDGLVTRDGIFCKCCSKVITVSEFKTHSGFKQNRPCLNLFMESGQPFTLCQLQAWSAEYKSRKRGTQVVRADENDQNDDSCGLCGDGGELICCDNCPSTFHQACLSLQELPEGSWYCPNCTCWICGDFVNDKEASSTSDGFKCSQCEHKYHEACMKEKYAYGAILDSWFCDRSCQEVYSGLQSRVGYINHVADGFSWTLLRCIHDDQKVHSAQRFALKAECNTRLAVALTIMEECFLSMVDPRTGIDMIPHVLYNWGSDFARLNFQGFYAAVLEKDDVLISVASIRVHGTAVAEMPLIATCSRYRRQGMCRRLVTAIEEMLLSFKVEKLVVAAIPDLVATWTEGFGFVPVEDSEKRSLNKINLMVFPGTILLKKPLYGNQIAHRHSGDTLPLRAGEMRKEGFCSQEDPKDKFGQHLDDISCRNKTGVEAENEFVEGVKLQELEGSKLFIGGDNKAGASTLETGGSTDVGIQSRETIIGFVQQPDEKCSGNNTGAETETQTESALGAKTDVESVKQSDGKCHADRMVVDAEIGLEGKNLLELQAEVELTVQPSEGIGCQIEVGAAESDISQMNPKDLLQSEVDTKMEIAECVEQCKENYCIKEPEVRVMESKNVQVGEAKGSTLQGQFSKLSCEEPAPTLGNRQPETVANVKSLNMYDEIQLSVDEQSQK >ONI12488 pep chromosome:Prunus_persica_NCBIv2:G4:9732303:9746058:-1 gene:PRUPE_4G167700 transcript:ONI12488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSKEIEDLHDDGVEGSKTEHCIFTEVFFGQDIVGASKRCLVTGVINFECDNSSKNTDGALSSNSENSVVTSHSSSKNTCLEEFYNATEEFRETSAPAFCLDRSALLERNEDDVTVKRMKFSVDELSNTKPVLGKVISSVVPKEMVSGTSDPATNSVSDTVTFRLVESSSQGVTTSCYLLKKHAELDKAGIVGDPDVPKCRLPTSDGDDRKEVCVSKAIASPVLHESFSARLLVASPVVTVLDKLETPLHAEGKPKGFEAPVLDVSDVALKIDASKDPRPVLQCHVARLLEAAGWYIERRKRPSRSYMESVYKTPKGKYIREFPKAWRLCGELLFADRYSLLQEDDPKEWADISQFWSDLSGCFSNIEKEMNHPEPDAALAYWWRLLDPFVSVVFIERKIGSLRKGEIVKASQSLVIDPNHETDSSLALTSGNNIKNLCAQEDVSAPLCDSTLVSGAGLAVPEGFYGQTSRKEVKLLTGQSNDSANVECQCLVNAGNRIENRRSRLDFISLPVCVSGGTCIQSATHRDEPITSRKCNNVHGGSEAVSPHQYSNANSPSFNKQSSGLDVETTKEVMEDVSVDYSEEKDELQGDKVDDKLESALQGSLDYQRNCTSDLLKRKIRRKSKKISEIEPSSIYQSGLFGFTSTENADSQCVDANGTQSKLKEVQDEFAGNKICKGSRRTSLPLDSYQQQIGRKCSKLMRINHECDDFKTGKRKSSRCQIEDDDLLVSAIIKNKDFSPSPARYFSRKKASKSRAHRKGKSQKSRCKLLPRSLGSGGKHFKDGKWYSAGVRTVLSWLIDAGVISLDDVIQYRNPKDGAVLIDGLVTRDGIFCKCCSKVITVSEFKTHSGFKQNRPCLNLFMESGQPFTLCQLQAWSAEYKSRKRGTQVVRADENDQNDDSCGLCGDGGELICCDNCPSTFHQACLSLQELPEGSWYCPNCTCWICGDFVNDKEASSTSDGFKCSQCEHKYHEACMKEKYAYGAILDSWFCDRSCQEVYSGLQSRVGYINHVADGFSWTLLRCIHDDQKVHSAQRFALKAECNTRLAVALTIMEECFLSMVDPRTGIDMIPHVLYNWGSDFARLNFQGFYAAVLEKDDVLISVASIRVHGTAVAEMPLIATCSRYRRQGMCRRLVTAIEEMLLSFKVEKLVVAAIPDLVATWTEGFGFVPVEDSEKRSLNKINLMVFPGTILLKKPLYGNQIAHRHSGDTLPLRAGEMRKEGFCSQEDPKDKFGQHLDDISCRNKTGVEAENEFVEGVKLQELEGSKLFIGGDNKAGASTLETGGSTDVGIQSRETIIGFVQQPDEKCSGNNTGAETETQTESALGAKTDVESVKQSDGKCHADRMVVDAEIGLEGKNLLELQAEVELTVQPSEGIGCQIEVGAAESDISQMNPKDLLQSEVDTKMEIAECVEQCKENYCIKEPEVRVMESKNVQVGEAKGSTLQGQFSKLSCEEPAPTLGNRQPETVANVKSLNMYDEIQLSVDEQSQK >ONI13173 pep chromosome:Prunus_persica_NCBIv2:G4:12927175:12928444:-1 gene:PRUPE_4G207700 transcript:ONI13173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFTEARAHILDLISSSNYSTIEPNNLSLIRDSFFYMVQVGIGTPVEFQFLLMDTGGGLIWTQCQPCINCYRQTKTHIFVPSQSSTYRRLPCDHPLCHGDLPLYQCVNDECVYNIGYGGGSSTRGVVSYETFTFPLDATRTIDNMIFGCSNDNRNIQFSIHGVISGIMGLSLSPDSLVSQLADQTQRRFSYCLVPFTEAQMQPSVLRFGDTIPLPSSNVYTTPFVTPGGSNYYHLNLLDISVGMRPLMFPPGTFTARIGFSGGFFIDSGALISQLDQNLVNERNVYREVMRAFQNHYDSFHLQRLGRVPEGFPLCYKYPPEFNQFASLTYQLEGGNYVVDPKYVNFYNTQAGYFCVAMMPGTGKSILGAWHQQNMRVTYNGAINSLQFSTETCANDHP >ONI10538 pep chromosome:Prunus_persica_NCBIv2:G4:2509934:2511944:-1 gene:PRUPE_4G052100 transcript:ONI10538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISLDDLPEFVLLEILSRLPPKCAARCMCVSKRWFSLIFDPYFFRHYFQIQKGVQQEEEVHFFPRHHRSSPMKMNHVRGNFFSMSSEPDHQPHEHELSLSFLPCFQADIVDPYKGEPVVIGAYNDLILCCATTYYQRDYYICNPYTKQWDALPLPPDVDLDVDQEDATRLVNNPRRFCFSKLAPYPGVAHNGSLYWWRDVEGFTIGLDLYSPRDQLGDSIYVFRYIDKPEIESRRFDFLSECGGGGEGCLRMCVFSSTNLDHDDPAHTLGAVSVWELKDDGHGVWDWDWKGHGQVKETAKWCLVDTARMFDLISANPLTKKWNDEHHGRTKRVLVLAFDPNNEDVLYLQLFGRIVMYNIGARTLKDTT >ONI12328 pep chromosome:Prunus_persica_NCBIv2:G4:9016880:9019006:-1 gene:PRUPE_4G157500 transcript:ONI12328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLHGFGLVAYGLVLILCLHEHQIHCYAKVDISSSCDLFQGTWVYDNSTYPLYDTSSCPFIETEFDCQKNGRPDNQYLKYRWQPDGCALPRFNGQDLLGSLKGKKLLFVGDSLSLNQWQSLTCMLHAAVPQNNYTITRKGGLSTFFMPDYDVSVLLSRNAFLVDLVRTEAGMVLRLDSITNGNDWKGYDMLIFNTWHWWLHKGSRQPWDYIESGGQILKDMDRLAAFKEGLTTWSKWVDSNVDANNTKVFFQGISPSHYNGKEWDDPNSATCSGQTQPVTGRTYPAGSPPAATVVNDVLTAMSSSVTLLDITLLSQLRKDGHPSMYGNGGRTGNDCSHWCLAGVPDTWNQLFYATLVTSD >ONI14136 pep chromosome:Prunus_persica_NCBIv2:G4:19443732:19450963:1 gene:PRUPE_4G264000 transcript:ONI14136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQATGGIDPHTHLDFEFMGSETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLLSGLEAYEKKSKKSCMDYGFHMAITKWDEVVSKEMEIMVNEKGINSFKFFMAYKGALMINDELLLQGFKKCKSLGALAMVHAENGDAAYEGQRRMIELGITGPEGHALSRPPVLEGEATARAIKLAGFMNTPLYVVHVMSIDAMEEISKARKSGQKVIGEPVVSGLVLNDSWVWDPDFITAAKYVMSPPIRAARHGKALQAALSMGILQLVGTDHCPFNSTQKALGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRVTSTECARIFNIYPRKGAIRAGSDADIIILNPNSSFEISAKSHHSRSDTNVFEGWRGKGKVEVTISGGRVVWLNDELKVFPGSGKYVEMRPFSYLFNGIGKADAKYLSSLKAPIKRFRATT >ONI14133 pep chromosome:Prunus_persica_NCBIv2:G4:19443732:19452610:1 gene:PRUPE_4G264000 transcript:ONI14133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPQRLLHLLSLILLLFAFCTIAESSQFCDAGIGYGESTCGVSSSSSTKLLIKGGTVVNAHEMEVADVYVEDGIIVAVRPNIKVGDDVTVLDATGKFVMPGGIDPHTHLDFEFMGSETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLLSGLEAYEKKSKKSCMDYGFHMAITKWDEVVSKEMEIMVNEKGINSFKFFMAYKGALMINDELLLQGFKKCKSLGALAMVHAENGDAAYEGQRRMIELGITGPEGHALSRPPVLEGEATARAIKLAGFMNTPLYVVHVMSIDAMEEISKARKSGQKVIGEPVVSGLVLNDSWVWDPDFITAAKYVMSPPIRAARHGKALQAALSMGILQLVGTDHCPFNSTQKALGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRVTSTECARIFNIYPRKGAIRAGSDADIIILNPNSSFEISAKSHHSRSDTNVFEGWRGKGKVEVTISGGRVVWLNDELKVFPGSGKYVEMRPFSYLFNGIGKADAKYLSSLKAPIKRFRATT >ONI14135 pep chromosome:Prunus_persica_NCBIv2:G4:19446057:19450757:1 gene:PRUPE_4G264000 transcript:ONI14135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQATGGIDPHTHLDFEFMGSETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLLSGLEAYEKKSKKSCMDYGFHMAITKWDEVVSKEMEIMVNEKGINSFKFFMAYKGALMINDELLLQGFKKCKSLGALAMVHAENGDAAYEGQRRMIELGITGPEGHALSRPPVLEGEATARAIKLAGFMNTPLYVVHVMSIDAMEEISKARKSGQKVIGEPVVSGLVLNDSWVWDPDFITAAKYVMSPPIRAARHGKALQAALSMGILQLVGTDHCPFNSTQKALGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRVTSTECARIFNIYPRKGAIRAGSDADIIILNPNSSFEISAKSHHSRSDTNVFEGWRGKGKVEVTISGGRVVWLNDELKVFPGSGKYVEMRPFSYLFNGIGKADAKYLSSLKAPIKRFRATT >ONI14131 pep chromosome:Prunus_persica_NCBIv2:G4:19443730:19454354:1 gene:PRUPE_4G264000 transcript:ONI14131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPQRLLHLLSLILLLFAFCTIAESSQFCDAGIGYGESTCGVSSSSSTKLLIKGGTVVNAHEMEVADVYVEDGIIVAVRPNIKVGDDVTVLDATGKFVMPGGIDPHTHLDFEFMGSETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLLSGLEAYEKKSKKSCMDYGFHMAITKWDEVVSKEMEIMVNEKGINSFKFFMAYKGALMINDELLLQGFKKCKSLGALAMVHAENGDAAYEGQRRMIELGITGPEGHALSRPPVLEGEATARAIKLAGFMNTPLYVVHVMSIDAMEEISKARKSGQKVIGEPVVSGLVLNDSWVWDPDFITAAKYVMSPPIRAARHGKALQAALSMGILQLVGTDHCPFNSTQKALGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRVTSTECARIFNIYPRKGAIRAGSDADIIILNPNSSFEISAKSHHSRSDTNVFEGWRGKGKVEVTISGGRVVWLNDELKVFPGSGKYVEMRPFSYLFNGIGKADAKYLSSLKAPIKRFRATT >ONI14132 pep chromosome:Prunus_persica_NCBIv2:G4:19443220:19453996:1 gene:PRUPE_4G264000 transcript:ONI14132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPQRLLHLLSLILLLFAFCTIAESSQFCDAGIGYGESTCGVSSSSSTKLLIKGGTVVNAHEMEVADVYVEDGIIVAVRPNIKVGDDVTVLDATGKFVMPGGIDPHTHLDFEFMGSETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLLSGLEAYEKKSKKSCMDYGFHMAITKWDEVVSKEMEIMVNEKGINSFKFFMAYKGALMINDELLLQGFKKCKSLGALAMVHAENGDAAYEGQRRMIELGITGPEGHALSRPPVLEGEATARAIKLAGFMNTPLYVVHVMSIDAMEEISKARKSGQKVIGEPVVSGLVLNDSWVWDPDFITAAKYVMSPPIRAARHGKALQAALSMGILQLVGTDHCPFNSTQKALGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRVTSTECARIFNIYPRKGAIRAGSDADIIILNPNSSFEISAKSHHSRSDTNVFEGWRGKGKVEVTISGGRVVWLNDELKVFPGSGKYVEMRPFSYLFNGIGKADAKYLSSLKAPIKRFRATT >ONI14134 pep chromosome:Prunus_persica_NCBIv2:G4:19443732:19454059:1 gene:PRUPE_4G264000 transcript:ONI14134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPQRLLHLLSLILLLFAFCTIAESSQFCDAGIGYGESTCGVSSSSSTKLLIKGGTVVNAHEMEVADVYVEDGIIVAVRPNIKVGDDVTVLDATGKFVMPGGIDPHTHLDFEFMGSETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLLSGLEAYEKKSKKSCMDYGFHMAITKWDEVVSKEMEIMVNEKGINSFKFFMAYKGALMINDELLLQGFKKCKSLGALAMVHAENGDAAYEGQRRMIELGITGPEGHALSRPPVLEGEATARAIKLAGFMNTPLYVVHVMSIDAMEEISKARKSGQKVIGEPVVSGLVLNDSWVWDPDFITAAKYVMSPPIRAARHGKALQAALSMGILQLVGTDHCPFNSTQKALGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRVTSTECARIFNIYPRKGAIRAGSDADIIILNPNSSFEISAKSHHSRSDTNVFEGWRGKGKVEVTISGGRVVWLNDELKVFPGSGKYVEMRPFSYLFNGIGKADAKYLSSLKAPIKRFRATT >ONI11880 pep chromosome:Prunus_persica_NCBIv2:G4:7300876:7303554:-1 gene:PRUPE_4G131900 transcript:ONI11880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVQASSSFLSLKASNMVEILAHRSLVKLLALCHFISLVAVVTVEARIRHYRWEVKYEYKSPDCFKKLAITINGGTPGPKILAQQGDTIVVELKNSLLTENVAIHWHGIRQIGTPWSDGTEGVTQCPIFPGDTFIYKFVVDRAGSYLYHAHYGIQREAGLYGSITVSVPNGESEPFAYDYDRSIILNDWFHKSTYEQAVGLSSIPFVWVGEPQSLLINGRGRFNCSLLATPSLEVGVCNTTNPECSPYILTIIPDKTYRLRIGSLTSLSALNFQIEGHNMTVVEADGHYVEPFQTKNLYIYSGETYSVLVTANQDPSRNYWVTTNVVSRKPATPTGLAILNYYPKYFKKSPPTLPTTGPLWNDSVPRLAQSLSIKAHKSFIHSPALTSDKVIVLLNTQNKIDGYYRWSLNNVSFYLPHTPYLIALKENLNLNHVFDQTPPPENYDFKNYDIYAVAENVNATSSNGIYRLKFNTTVDIILQNANTMTVNNSETHPWHLHGHDFWVLGYGTGKFDMMKDVGKYNLVNPIMKNTVPVHPYGWTALRFRADNPGVWAFHCHLESHFYMGMGVVFEAGVEKVGKLPSSVMGCGETKGFHRP >ONI13876 pep chromosome:Prunus_persica_NCBIv2:G4:16829036:16832560:1 gene:PRUPE_4G251400 transcript:ONI13876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATTVTGGATRRPKWQYPPAPPTPKILHFPRRPRRRASKSVHGGRPNSGEAKKDNKGKLEALFDQERVFSRVGLPIVLFEHGDGESECRRERVKEGEDGGDEVVEEEKWRFQAEMLRAECNLLRMENEIAVKKMERVKVKVERTLKSAVHTLVSGRKKICEGKNASMVLEEKLQHLAEKLEKLQRNLGVKDSEVRNTSNFDKQAYLLQRKLQKFRRTSDEICVKEIQEMAEASFSIKTSHRVNENLLSSGKSNVDILRRKMEGLSNGMLLERMKEEYGSMLSTADCSVASSASSSQRIESTNLSSSLIQQFYKEKECREENVCSGRCKAIVRRIVEQVRIETEQWSQMQEMLGQVKEEMEELHASRDFWKDRALDSDYHIQSLQSVVQEWKQKAVSYESKAKELQAQVSILHGELDRLRKEASIRAMKANGSPLIPRDPQNEMEKHVLICHLKENHCPKEGGSKQRKLATCTNRVFVAPKRSPFRDIGNSSLPVRQNSKAVFPLHCPLPSKT >ONI12180 pep chromosome:Prunus_persica_NCBIv2:G4:8498749:8506956:-1 gene:PRUPE_4G148900 transcript:ONI12180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVIDEKYTRPQGLYHHKDVDHKKLKKLILESKLAPCFPGDEECTCDLEECPICFLYYPSLNRSRCCMKGICTECFLQMKVPNSTRPTQCPFCKTSNYAVEFRGVKSKEEKGLEQIEEQRVIEAKIRIRQQEIQDEEERMQIRQLLSSSSRNMAPVGDEYSVTVPSSASPAEGEEIVSSQDSCAVSMFRQPPPPRVYREDEFDLDLEDIMVMEAIWLSIQENGRHKSPSYGDVAPSEQFVTRQSYVSPAMVSVSGSSSPSGGLACAIAALAERQQTSGESSTNPGGNVPGFSMVPGTSRFYNRVDRESENYPAAVSSSEMSINHGMPLTQDDREWNADTTRTSYASSDTTEDAGSTSAPPTGNEIEGDLQNVPDPIVPESFEEQMMLAMAVSLAEARAVASGPGVPWQ >ONI12182 pep chromosome:Prunus_persica_NCBIv2:G4:8498666:8506956:-1 gene:PRUPE_4G148900 transcript:ONI12182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVIDEKYTRPQGLYHHKDVDHKKLKKLILESKLAPCFPGDEECTCDLEECPICFLYYPSLNRSRCCMKGICTECFLQMKVPNSTRPTQCPFCKTSNYAVEFRGVKSKEEKGLEQIEEQRVIEAKIRIRQQEIQDEEERMQIRQLLSSSSRNMAPVGDEYSVTVPSSASPAEGEEIVSSQDSCAVSMFRQPPPPRVYREDEFDLDLEDIMVMEAIWLSIQENGRHKSPSYGDVAPSEQFVTRQSYVSPAMVSVSGSSSPSGGLACAIAALAERQQTSGESSTNPGGNVPGFSMVPGTSRFYNRVDRESENYPAAVSSSEMSINHGMPLTQDDREWNADTTRTSYASSDTTEDAGSTSAPPTGNEIEGDLQNVPDPIVPESFEEQMMLAMAVSLAEARAVASGPGVPWQ >ONI12181 pep chromosome:Prunus_persica_NCBIv2:G4:8498690:8506956:-1 gene:PRUPE_4G148900 transcript:ONI12181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVIDEKYTRPQGLYHHKDVDHKKLKKLILESKLAPCFPGDEECTCDLEECPICFLYYPSLNRSRCCMKGICTECFLQMKVPNSTRPTQCPFCKTSNYAVEFRGVKSKEEKGLEQIEEQRVIEAKIRIRQQEIQDEEERMQIRQLLSSSSRNMAPVGDEYSVTVPSSASPAEGEEIVSSQDSCAVSMFRQPPPPRVYREDEFDLDLEDIMVMEAIWLSIQENGRHKSPSYGDVAPSEQFVTRQSYVSPAMVSVSGSSSPSGGLACAIAALAERQQTSGESSTNPGGNVPGFSMVPGTSRFYNRVDRESENYPAAVSSSEMSINHGMPLTQDDREWNADTTRTSYASSDTTEDAGSTSAPPTGNEIEGDLQNVPDPIVPESFEEQMMLAMAVSLAEARAVASGPGVPWQ >ONI12792 pep chromosome:Prunus_persica_NCBIv2:G4:10898489:10906006:-1 gene:PRUPE_4G184000 transcript:ONI12792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSSPTSSDDDQKRDLEYLLEVFSSMCSLKDIASAYCQERQNVEMAAEILCASLVSPSDGAGSSKVKFEGASATSSEGMSSDKVLQNPIKGAGNTRASKSKKNSVSGGTVSGMIGKEYIRPRSSTNESIEVKKPLKLGLKEVPASEIWSEEVESTMPAENSKMHADIEEFLFKMLGEGFKLDMDVIREVLGHCGYDVQKSMENLLFMSASNLEKSDDIIGLSSLKSTEMSPYQHSAHSKSPIEEKDGLVLQKEVLEALFHVPERSEEAPKRSHPVREVKRYKAFRKFAVEPFRDTLVECKNATLAPEEVTSADGDGDNSYQVLRRSVKEHWITMKEYYKAAFDAFCEGDRVRTDKLLEEGHFYSRKAQEADEKYTQKLLEAQSRENVVSLDLHHHEPKEAVRLLRLQLTYFSGIPDFTYLKVIVGTNDTTGAARRRMIIKQLEKESIKWTEEENGRTIWIRLHVIDPKCLSFSRKMSERNKSSP >ONI12793 pep chromosome:Prunus_persica_NCBIv2:G4:10898490:10906007:-1 gene:PRUPE_4G184000 transcript:ONI12793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSSPTSSDDDQKRDLEYLLEVFSSMCSLKDIASAYCQERQNVEMAAEILCASLVSPSDGAGSSKVKFEGASATSSEGMSSDKVLQNPIKGAGNTRASKSKKNSVSGGTVSGMIGKEYIRPRSSTNESIEVKKPLKLGLKEVPASEIWSEEVESTMPAENSKMHADIEEFLFKMLGEGFKLDMDVIREVLGHCGYDVQKSMENLLFMSASNLEKSDDIIGLSSLKSTEMSPYQHSAHSKSPIEEKDGLVLQKEVLEALFHVPERSEEAPKRSHPVREVKRYKAFRKFAVEPFRDTLVECKNATLAPEEVTSAEDGDGDNSYQVLRRSVKEHWITMKEYYKAAFDAFCEGDRVRTDKLLEEGHFYSRKAQEADEKYTQKLLEAQSRENVVSLDLHHHEPKEAVRLLRLQLTYFSGIPDFTYLKVIVGTNDTTGAARRRMIIKQLEKESIKWTEEENGRTIWIRLHVIDPKCLSFSRKMSERNKSSP >ONI12799 pep chromosome:Prunus_persica_NCBIv2:G4:10899251:10904962:-1 gene:PRUPE_4G184000 transcript:ONI12799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSSPTSSDDDQKRDLEYLLEVFSSMCSLKDIASAYCQERQNVEMAAEILCASLVSPSDGAGSSKVKFEGASATSSEGMSSDKVLQNPIKGAGNTRASKSKKNSVSGGTVSGMIGKEYIRPRSSTNESIEVKKPLKLGLKEVPASEIWSEEVESTMPAENSKMHADIEEFLFKMLGEGFKLDMDVIREVLGHCGYDVQKSMENLLFMSASNLEKSDDIIGLSSLKSTEMSPYQHSAHSKSPIEEKDGLVLQKEVLEALFHVPERSEEAPKRSHPVREVKRYKAFRKFAVEPFRDTLVECKNATLAPEEVTSAEDGDGDNSYQVLRRSVKEHWITMKEYYKAAFDAFCEGDRVRTDKLLEEGHFYSRKAQEADEKYTQKLLEAQSRENVVSLDLHHHEPKEAVRLLRLQLTYFSGIPDFTYLKVIVGTNDTTGAARRRMTFFLFVFQHFI >ONI12797 pep chromosome:Prunus_persica_NCBIv2:G4:10899251:10904962:-1 gene:PRUPE_4G184000 transcript:ONI12797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSSPTSSDDDQKRDLEYLLEVFSSMCSLKDIASAYCQERQNVEMAAEILCASLVSPSDGAGSSKVKFEGASATSSEGMSSDKVLQNPIKGAGNTRASKSKKNSVSGGTVSGMIGKEYIRPRSSTNESIEVKKPLKLGLKEVPASEIWSEEVESTMPAENSKMHADIEEFLFKMLGEGFKLDMDVIREVLGHCGYDVQKSMENLLFMSASNLEKSDDIIGLSSLKSTEMSPYQHSAHSKSPIEEKDGLVLQKEVLEALFHVPERSEEAPKRSHPVREVKRYKAFRKFAVEPFRDTLVECKNATLAPEEVTSAEDGDGDNSYQVLRRSVKEHWITMKEYYKAAFDAFCEGDRVRTDKLLEEGHFYSRKAQEADEKYTQKLLEAQSRENVVSLDLHHHEPKEAVRLLRLQLTYFSGIPDFTYLKVIVGTNDTTGAARRRMHFI >ONI12801 pep chromosome:Prunus_persica_NCBIv2:G4:10899653:10904962:-1 gene:PRUPE_4G184000 transcript:ONI12801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSSPTSSDDDQKRDLEYLLEVFSSMCSLKDIASAYCQERQNVEMAAEILCASLVSPSDGAGSSKVKFEGASATSSEGMSSDKVLQNPIKGAGNTRASKSKKNSVSGGTVSGMIGKEYIRPRSSTNESIEVKKPLKLGLKEVPASEIWSEEVESTMPAENSKMHADIEEFLFKMLGEGFKLDMDVIREVLGHCGYDVQKSMENLLFMSASNLEKSDDIIGLSSLKSTEMSPYQHSAHSKSPIEEKDGLVLQKEVLEALFHVPERSEEAPKRSHPVREVKRYKAFRKFAVEPFRDTLVECKNATLAPEEVTSAEDGDGDNSYQVLRRSVKEHWITMKEYYKAAFDAFCEGDRVRTDKLLEEGHFYSRKAQEADEKYTQKLLEAQSRCVL >ONI12794 pep chromosome:Prunus_persica_NCBIv2:G4:10899251:10904962:-1 gene:PRUPE_4G184000 transcript:ONI12794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSSPTSSDDDQKRDLEYLLEVFSSMCSLKDIASAYCQERQNVEMAAEILCASLVSPSDGAGSSKVKFEGASATSSEGMSSDKVLQNPIKGAGNTRASKSKKNSVSGGTVSGMIGKEYIRPRSSTNESIEVKKPLKLGLKEVPASEIWSEEVESTMPAENSKMHADIEEFLFKMLGEGFKLDMDVIREVLGHCGYDVQKSMENLLFMSASNLEKSDDIIGLSSLKSTEMSPYQHSAHSKSPIEEKDGLVLQKEVLEALFHVPERSEEAPKRSHPVREVKRYKAFRKFAVEPFRDTLVECKNATLAPEEVTSADGDGDNSYQVLRRSVKEHWITMKEYYKAAFDAFCEGDRVRTDKLLEEGHFYSRKAQEADEKYTQKLLEAQSRENVVSLDLHHHEPKEAVRLLRLQLTYFSGIPDFTYLKVIVGTNDTTGAARRRMHFI >ONI12796 pep chromosome:Prunus_persica_NCBIv2:G4:10898490:10906006:-1 gene:PRUPE_4G184000 transcript:ONI12796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSSPTSSDDDQKRDLEYLLEVFSSMCSLKDIASAYCQERQNVEMAAEILCASLVSPSDGAGSSKVKFEGASATSSEGMSSDKVLQNPIKGAGNTRASKSKKNSVSGGTVSGMIGKEYIRPRSSTNESIEVKKPLKLGLKEVPASEIWSEEVESTMPAENSKMHADIEEFLFKMLGEGFKLDMDVIREVLGHCGYDVQKSMENLLFMSASNLEKSDDIIGLSSLKSTEMSPYQHSAHSKSPIEEKDGLVLQKEVLEALFHVPERSEEAPKRSHPVREVKRYKAFRKFAVEPFRDTLVECKNATLAPEEVTSAEDGDGDNSYQVLRRSVKEHWITMKEYYKAAFDAFCEGDRVRTDKLLEEGHFYSRKAQEADEKYTQKLLEAQSRENVVSLDLHHHEPKEAVRLLRLQLTYFSGIPDFTYLKVIVGTNDTTGAARRRMHFI >ONI12798 pep chromosome:Prunus_persica_NCBIv2:G4:10899251:10904962:-1 gene:PRUPE_4G184000 transcript:ONI12798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSSPTSSDDDQKRDLEYLLEVFSSMCSLKDIASAYCQERQNVEMAAEILCASLVSPSDGAGSSKVKFEGASATSSEGMSSDKVLQNPIKGAGNTRASKSKKNSVSGGTVSGMIGKEYIRPRSSTNESIEVKKPLKLGLKEVPASEIWSEEVESTMPAENSKMHADIEEFLFKMLGEGFKLDMDVIREVLGHCGYDVQKSMENLLFMSASNLEKSDDIIGLSSLKSTEMSPYQHSAHSKSPIEEKDGLVLQKEVLEALFHVPERSEEAPKRSHPVREVKRYKAFRKFAVEPFRDTLVECKNATLAPEEVTSAEDGDGDNSYQVLRRSVKEHWITMKEYYKAAFDAFCEGDRVRTDKLLEEGHFYSRKAQEADEKYTQKLLEAQSRENVVSLDLHHHEPKEAVRLLRLQLTYFSGIPDFTYLKVIVGTNDTTGAARRRMTFFLFVFQHFI >ONI12800 pep chromosome:Prunus_persica_NCBIv2:G4:10899130:10906006:-1 gene:PRUPE_4G184000 transcript:ONI12800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSSPTSSDDDQKRDLEYLLEVFSSMCSLKDIASAYCQERQNVEMAAEILCASLVSPSDGAGSSKVKFEGASATSSEGMSSDKVLQNPIKGAGNTRASKSKKNSVSGGTVSGMIGKEYIRPRSSTNESIEVKKPLKLGLKEVPASEIWSEEVESTMPAENSKMHADIEEFLFKMLGEGFKLDMDVIREVLGHCGYDVQKSMENLLFMSASNLEKSDDIIGLSSLKSTEMSPYQHSAHSKSPIEEKDGLVLQKEVLEALFHVPERSEEAPKRSHPVREVKRYKAFRKFAVEPFRDTLVECKNATLAPEEVTSAEDGDGDNSYQVLRRSVKEHWITMKEYYKAAFDAFCEGDRVRTDKLLEEGHFYSRKAQEADEKYTQKLLEAQSRENVVSLDLHHHEPKEAVRLLRLQLTYFSGIPDFTYLKVIVGTNDTTGAARRRMTFFLFVFQHFI >ONI12795 pep chromosome:Prunus_persica_NCBIv2:G4:10899251:10904962:-1 gene:PRUPE_4G184000 transcript:ONI12795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFSSPTSSDDDQKRDLEYLLEVFSSMCSLKDIASAYCQERQNVEMAAEILCASLVSPSDGAGSSKVKFEGASATSSEGMSSDKVLQNPIKGAGNTRASKSKKNSVSGGTVSGMIGKEYIRPRSSTNESIEVKKPLKLGLKEVPASEIWSEEVESTMPAENSKMHADIEEFLFKMLGEGFKLDMDVIREVLGHCGYDVQKSMENLLFMSASNLEKSDDIIGLSSLKSTEMSPYQHSAHSKSPIEEKDGLVLQKEVLEALFHVPERSEEAPKRSHPVREVKRYKAFRKFAVEPFRDTLVECKNATLAPEEVTSADGDGDNSYQVLRRSVKEHWITMKEYYKAAFDAFCEGDRVRTDKLLEEGHFYSRKAQEADEKYTQKLLEAQSRENVVSLDLHHHEPKEAVRLLRLQLTYFSGIPDFTYLKVIVGTNDTTGAARRRMTFFLFVFQHFI >ONI10045 pep chromosome:Prunus_persica_NCBIv2:G4:1157498:1160945:-1 gene:PRUPE_4G024500 transcript:ONI10045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISSVKLRTSTFTSSEFLNQRCSIKAQSFSLPSFQNVQQSVNHSFSSSFKPLYISSTESFALVSKTQRVTECKAYEADKSRPLKVNIELPDQQAASQRFKIGIYFATWWALNVVFNIYNKKVLNVFPYPWLTSTLSLATGSLMMLISWATRIAEAPKTDLDFWKTLFPVAVAHTIGHVAATVSMAKVAVSFTHIIKSSEPAFSVLVSRFLLGESFPLSVYLSLFPIIGGCALAAITELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILIPFAIAVEGPKVWAAGWNTALAQIGPNFVWWVAAQSIFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQGKH >ONI11543 pep chromosome:Prunus_persica_NCBIv2:G4:5957130:5960409:-1 gene:PRUPE_4G112400 transcript:ONI11543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDEHGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVISRL >ONI11544 pep chromosome:Prunus_persica_NCBIv2:G4:5957021:5960502:-1 gene:PRUPE_4G112400 transcript:ONI11544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDEHGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVISRL >ONI12931 pep chromosome:Prunus_persica_NCBIv2:G4:11576801:11582717:1 gene:PRUPE_4G192400 transcript:ONI12931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYKNLQNIIANAKVTCVAQHLKPSDSLMGPDFPAKPKSTILSHSTCNMVVTKLFLRPQSLLLSTLPSIILLPKISSLPKKLTILSLCNFTTTTQPQISDPNLFFYGPSLHKGTNPTIPQSQLPITGFTKPAHRQQLQEENEEEEENLINAESFSRVFDIAALRVPAKDCFALESRLRGHLLNWPRIRNIARVAGDEVEEELAELLANPSREADDENALVSLNRRMYGKSEGDGELLSPVLYREKLAKTFDSRGYVKFRNLAKMSRPNQRKKRRDEEDEGGEGKKRLRKGEFSVLEVVDDEEEEGEDLKGLLGDEFEGRKKWRGSTRLLLLDERCANGSVEDLPEAIKVLMKENTGKSMCPTLELVRCKLTLLYDYWQMNEILEALLPEGMTIPSAFETVGHIAHLNLRDEHLPYKKLIAKVVLDKHKPKIQTVVNKIDAIDNDYRTMQLEVLSGNHSLVTTVVENGLRFQVDLAKVYWNSRLATERQRLLNSFTRNDVVCDVFSGVGPIAMSAARIVKRVYANDLNPHAIEYLERNSVLNKLERKIKMHFEEY >ONI12932 pep chromosome:Prunus_persica_NCBIv2:G4:11576829:11581365:1 gene:PRUPE_4G192400 transcript:ONI12932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYKNLQNIIANAKVTCVAQHLKPSDSLMGPDFPAKPKSTILSHSTCNMVVTKLFLRPQSLLLSTLPSIILLPKISSLPKKLTILSLCNFTTTTQPQISDPNLFFYGPSLHKGTNPTIPQSQLPITGFTKPAHRQQLQEENEEEEENLINAESFSRVFDIAALRVPAKDCFALESRLRGHLLNWPRIRNIARVAGDEVEEELAELLANPSREADDENALVSLNRRMYGKSEGDGELLSPVLYREKLAKTFDSRGYVKFRNLAKMSRPNQRKKRRDEEDEGGEGKKRLRKGEFSVLEVVDDEEEEGEDLKGLLGDEFEGRKKWRGSTRLLLLDERCANGSVEDLPEAIKVLMKENTGKSMCPTLELVRCKLTLLYDYWQMNEILEALLPEGMTIPSAFETVGHIAHLNLRDEHLPYKKLIAKVVLDKHKPKIQTVVNKIDAIDNDYRTMQLEVLSGNHSLVTTVVENGLRFQVDLAKVYWNSRLATERQRLLNSFTRNDVVCDVFSGVGPIAMSAARIVKRVYANDLNPHAIEYLERNSVLNKLERKIKVFNMDGRRFINAMFASDKAKSITHVVMNLPNDAAEYLGVLDLFPFYLLNASKFHPLNCWFV >ONI12930 pep chromosome:Prunus_persica_NCBIv2:G4:11576801:11582717:1 gene:PRUPE_4G192400 transcript:ONI12930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYKNLQNIIANAKVTCVAQHLKPSDSLMGPDFPAKPKSTILSHSTCNMVVTKLFLRPQSLLLSTLPSIILLPKISSLPKKLTILSLCNFTTTTQPQISDPNLFFYGPSLHKGTNPTIPQSQLPITGFTKPAHRQQLQEENEEEEENLINAESFSRVFDIAALRVPAKDCFALESRLRGHLLNWPRIRNIARVAGDEVEEELAELLANPSREADDENALVSLNRRMYGKSEGDGELLSPVLYREKLAKTFDSRGYVKFRNLAKMSRPNQRKKRRDEEDEGGEGKKRLRKGEFSVLEVVDDEEEEGEDLKGLLGDEFEGRKKWRGSTRLLLLDERCANGSVEDLPEAIKVLMKENTGKSMCPTLELVRCKLTLLYDYWQMNEILEALLPEGMTIPSAFETVGHIAHLNLRDEHLPYKKLIAKVVLDKHKPKIQTVVNKIDAIDNDYRTMQLEVLSGNHSLVTTVVENGLRFQVDLAKVYWNSRLATERQRLLNSFTRNDVVCDVFSGVGPIAMSAARIVKRVYANDLNPHAIEYLERNSVLNKLERKIKVFNMDGRRFINAMFASDKAKSITHVVMNLPNDAAEYLDAFRGILVDRSMDEVFTLPMIHVYGFSKAQDPEFDFHQRIRIALSEVAVDVEMRRVRLVAPGKWMLCASFILPKSVAFAKPTLNM >ONI11960 pep chromosome:Prunus_persica_NCBIv2:G4:7614694:7624624:1 gene:PRUPE_4G136900 transcript:ONI11960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGTSASSSSSSSASVIFLYAAAAFCCIFGFGGIGIAEAQAPTSQPQATTDPSEVRALNSIFAQWKISANQRQWNTTGDPCSGAAIDSTAFGDEDYNPFIKCDCSFDSNSTCHITQLKVYSLDVVGVIPVELWTLTFLFDLNLAQNYLTGPLSASIGNLTSMQYLTLGINALSGELPKELGNLTDLRTFAFGANNFSGPLPSELGSLTKLKEIYFDSSGVSGEIPSTFANLQNLEIVWASDTELTGRIPDFIGNWSKLSVLRFQGNSFEGPIPVTFSKLTSLTELRISDLSNVNGSSSLGFIKDMKSLSILVLRNNNISDSIPSNIGEYQSLSQLDLSFNNLTGQIPDSLFNLSSLSILFLGNNKLNGTLPESKSSSLLNIDLSYNNLLGSFPSWVNEQKLQLNLVANNFSIESSNSSALPSGLNCLQQNFPCNRGTGLYYNLGIKCGGPQITSSNGIVYENENQTLGPATYFVTGTNKWGVSNVGYFTSTNNPQYTSFSLSQFKNTLDSEIFQTARLSASSLRYYGLGLENGNYTVTLQFAETAILDSTTWKSLGKRVFDIYIQGNLFLKDFDIRKEAGASFQAVQKEYAAQVSENYLEIHLFWAGKGTCCIPGQGTYGPVISAISATPDFIPTVSNNPTTSKKNRTGLIVGIIVGGGVLILMVAIFYIFQRRKRTNTMDDEELLGIDIGPLTFSFSELKSATNDFNPDNKLGEGGFGPVYKGTLNDGRVIAVKQLSAASHQGKSQFVTEIATISAVQHNNLVDLYGFCVEGDKRLLVYEYLENNSLDQALFGKRSLNLDWSTRFDICLGVARGLTYLHEESRLRIVHRDVKASNILLDSNLIPKISDFGLAKLYDDKKTHISTGVAGTIGYLAPEYAMRGHLTEKSDVFSFGVVALETVSGRPNSDPSLDEEKIYLLEWAWYLHETKREVELVDSRLSEFNEEEVKRVIAIAFLCTQASPLLRPSMSRVVGMLSGDIEVATVTSKPGYLTDWKFDDISGINSMTIDMSTKGTDSSVYNSSASTTVVGDTSQLPAKATQPIIYNTVRNGR >ONI11961 pep chromosome:Prunus_persica_NCBIv2:G4:7615804:7624624:1 gene:PRUPE_4G136900 transcript:ONI11961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLTLGINALSGELPKELGNLTDLRTFAFGANNFSGPLPSELGSLTKLKEIYFDSSGVSGEIPSTFANLQNLEIVWASDTELTGRIPDFIGNWSKLSVLRFQGNSFEGPIPVTFSKLTSLTELRISDLSNVNGSSSLGFIKDMKSLSILVLRNNNISDSIPSNIGEYQSLSQLDLSFNNLTGQIPDSLFNLSSLSILFLGNNKLNGTLPESKSSSLLNIDLSYNNLLGSFPSWVNEQKLQLNLVANNFSIESSNSSALPSGLNCLQQNFPCNRGTGLYYNLGIKCGGPQITSSNGIVYENENQTLGPATYFVTGTNKWGVSNVGYFTSTNNPQYTSFSLSQFKNTLDSEIFQTARLSASSLRYYGLGLENGNYTVTLQFAETAILDSTTWKSLGKRVFDIYIQGNLFLKDFDIRKEAGASFQAVQKEYAAQVSENYLEIHLFWAGKGTCCIPGQGTYGPVISAISATPDFIPTVSNNPTTSKKNRTGLIVGIIVGGGVLILMVAIFYIFQRRKRTNTMDDEELLGIDIGPLTFSFSELKSATNDFNPDNKLGEGGFGPVYKGTLNDGRVIAVKQLSAASHQGKSQFVTEIATISAVQHNNLVDLYGFCVEGDKRLLVYEYLENNSLDQALFGKRSLNLDWSTRFDICLGVARGLTYLHEESRLRIVHRDVKASNILLDSNLIPKISDFGLAKLYDDKKTHISTGVAGTIGYLAPEYAMRGHLTEKSDVFSFGVVALETVSGRPNSDPSLDEEKIYLLEWAWYLHETKREVELVDSRLSEFNEEEVKRVIAIAFLCTQASPLLRPSMSRVVGMLSGDIEVATVTSKPGYLTDWKFDDISGINSMTIDMSTKGTDSSVYNSSASTTVVGDTSQLPAKATQPIIYNTVRNGR >ONI11048 pep chromosome:Prunus_persica_NCBIv2:G4:4153097:4153315:-1 gene:PRUPE_4G084700 transcript:ONI11048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELRYMHNWGEVAPALVIPHEKPSNNISKLETIAEEGSESFEIMPNGVVFLFPVFLSFLTYYFMLYRQIV >ONI12073 pep chromosome:Prunus_persica_NCBIv2:G4:8194792:8196873:1 gene:PRUPE_4G142900 transcript:ONI12073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLPANVPSHLSLRFLKICCNSGDLQRARHLFDQIPHPDLRAWTVLISGHTRHGFPKESIKLYTSLRGRHIVPDNLLLLSVAKACASLGDLRDAKELHDEAIRFGFHSDIALGNAMVDMFGKCKYVDGARQVFDDMPAKDVVSWTSLCSCYVNCGLPREGLVAFREMGLNGVRPNAVTVSSILPACSELKDVNLGREIHGFVVKHAMEENVFVSSALVNIYASCLSIKQAQMVFDMMPQRDVVSWNVLLTAYFSNRDCEKGIALFCRMRREGVKLDGASWNAVIGGCLNNGQTEQALKMLGQMQESGFKPNQITITSLLPACKDLESLRAGKEVHSYIFRNCLMEDLATTTALVFMYAKCGELELSRRVFDMMPRRDTVAWNTMIIANSMHGNGEEALLLFRKMLDSRVKPNSVTFTGVLCGCSHSRLVDEGIMVFDSMRRDHSVEPDADHYSCMVDVLSRAGHLEEAYQFIQRMPMEPTPGAWGALLGACRVHKNVDLAKIAANRLFEIEPDNPGNYVLLSNILVTAKRWEEASETRKLMRDRGVTKTPGCSWVQLRNRVYSFVAGDRSNERSEEMYKFLVEMGEKMRLAGYVPNTDFVLQDVDQEEKVGILCNHSEKLAVAFGILNLNGESTIRVFKNLRICGDCHNAIKFMGKIVGVQIIVRDSLRFHHFKDGDCSCRDFW >ONI09913 pep chromosome:Prunus_persica_NCBIv2:G4:840356:842799:-1 gene:PRUPE_4G018000 transcript:ONI09913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEVRQDIRKLQRQTRLVAKLRKRFEENDNGLLGEKENQLQFLRRKMNNVEYELRIKTKELIVADVDSSSLSNLFNKLHIEQGIVVQENQSLQNELKSSNIKLQQLQYELDEMTQEVLAGNNYASALQKRFEEAFLNHNRLFAEKEKQLQSLRTMLDQLEFELQNKEREVNVAEANNDVLRNLYEELLEENQSLKSQLQSYDNKFKQLESEIDKKTEFDHVCELQFWKATLSQVEYDLRMKTEELDVAEENITSLGNLLKELHAEKDIVVQENQQLQYELCRRTQEFLAENNNVSALQKRYEEASVNHCGILAEKEKQLQSLRIRLDQLEFELENQEREVCVAEANTDVVRKLFGELLIEQGVVVEENQSLKSQLQSYENKLKQLEYEAETETEANIIDLKEDLEDDILEYDQLLEETKILRSQLEWEIVTDSQSSQQD >ONI09912 pep chromosome:Prunus_persica_NCBIv2:G4:840356:843216:-1 gene:PRUPE_4G018000 transcript:ONI09912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLAMRSNHVPLFWLIIVKSMLRLFCFLHYVRMIQKPLSRVVGYSQFFDYFIDLILIGLCIFLDFVMHEVRQDIRKLQRQTRLVAKLRKRFEENDNGLLGEKENQLQFLRRKMNNVEYELRIKTKELIVADVDSSSLSNLFNKLHIEQGIVVQENQSLQNELKSSNIKLQQLQYELDEMTQEVLAGNNYASALQKRFEEAFLNHNRLFAEKEKQLQSLRTMLDQLEFELQNKEREVNVAEANNDVLRNLYEELLEENQSLKSQLQSYDNKFKQLESEIDKKTEFDHVCELQFWKATLSQVEYDLRMKTEELDVAEENITSLGNLLKELHAEKDIVVQENQQLQYELCRRTQEFLAENNNVSALQKRYEEASVNHCGILAEKEKQLQSLRIRLDQLEFELENQEREVCVAEANTDVVRKLFGELLIEQGVVVEENQSLKSQLQSYENKLKQLEYEAETETEANIIDLKEDLEDDILEYDQLLEETKILRSQLEWEIVTDSQSSQQD >ONI11693 pep chromosome:Prunus_persica_NCBIv2:G4:6563548:6565306:1 gene:PRUPE_4G121100 transcript:ONI11693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWQTKVLPKIKKVFENKNSGKKAAAAEACKSFDESKEDYSKQFEEKKDELQVKVVEIYEGSSAEIKTLVKERKEAGLKKYSAAVHKFLEQLEKIDFPGSKPVSEASSKYGPAYVSGPVFFVFEKVSTFIVVEEKVEPPPPPPATETTATKTEEETSAAVKEREIVVEEEKKEEVVEIKKDEEVVEVKKEEEVEKATTTETEPASEAPKVEEAAAAEPTKP >ONI11691 pep chromosome:Prunus_persica_NCBIv2:G4:6563548:6565306:1 gene:PRUPE_4G121100 transcript:ONI11691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWQTKVLPKIKKVFENKNSGKKAAAAEACKSFDESKEDYSKQFEEKKDELQVKVVEIYEGSSAEIKTLVKERKEAGLKKYSAAVHKFLEQLEKIDFPGSKPVSEASSKYGPAYVSGPVFFVFEKVSTFIVVEEKVEPPPPPPATETTATKTEEETSAAVKEREIVVEEEKKEEVVEIKKDEEVVEVKKEEEVEKATTTETEPASEAPKVEEAAAAEPTKP >ONI11692 pep chromosome:Prunus_persica_NCBIv2:G4:6563548:6565306:1 gene:PRUPE_4G121100 transcript:ONI11692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWQTKVLPKIKKVFENKNSGKKAAAAEACKSFDESKEDYSKQFEEKKDELQVKVVEIYEGSSAEIKTLVKERKEAGLKKYSAAVHKFLEQLEKIDFPGSKPVSEASSKYGPAYVSGPVFFVFEKVSTFIVVEEKVEPPPPPPATETTATKTEEETSAAVKEREIVVEEEKKEEVVEIKKDEEVVEVKKEEEVEKATTTETEPASEAPKVEEAAAAEPTKP >ONI11296 pep chromosome:Prunus_persica_NCBIv2:G4:5092240:5095230:-1 gene:PRUPE_4G099800 transcript:ONI11296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLSISSPIFLHTSTSKLSHSSRSKPISHFPSSSSSKFPTIKSLSVQTPKPTFTSKSQALDGSLLISSAPQTPATAMRGTEGDALGLLLRERIVFLGSSIDDFVADAIISQLLLLDAQDSKKDIKLFINSTGGSLSATMAIYDVVQLVRADVCTVALGIAASTASIILGGGTKGKRFAMPNTRIMIHQPLGGASGQAIDVEIQAREVMHNKNNVTRILSNSTGRPFEQVQKDIDRDRYMSPIEAVEYGIIDGVIDGDSIIPLVPVPDKVKPRMSYEEISKDPMKFLTPDVPDDEIY >ONI12188 pep chromosome:Prunus_persica_NCBIv2:G4:8522254:8523853:1 gene:PRUPE_4G149400 transcript:ONI12188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLKKKLHSFSCIILSPICSQKVFTKKALQSNTTIINYSCYDKSFSCTDIHSHKSKENHFPSYCRDLYQPRMSSITSLGK >ONI09806 pep chromosome:Prunus_persica_NCBIv2:G4:514055:515926:1 gene:PRUPE_4G010300 transcript:ONI09806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVGVNSSSKNMGLDDETGAEVSEAKTAKTATDVDQSSTGLVREPSESSMYVTEDDDDDDEVKKIELGPQCTLKEHIEKDKDDESLRRWKEQLLGSVDVNSVGETLEPDVKILSLAIKSPDRSDIVLPIPENGNPKGLWFTLKEGSRYSLQFTFQVSNNIVSGLKYTNAVWKTAVKVDSTKEMLGTFSPQSEPYTHVLPEDTTPSGIFARGSYSARSKFVDDDNKCYLEINYTFDIRKDWQSV >ONI11874 pep chromosome:Prunus_persica_NCBIv2:G4:7258440:7258962:1 gene:PRUPE_4G131400 transcript:ONI11874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLFGLVLILVILLTSQEMVMQSEAKICEVTSKTFIGLCIKDRNCMVRCHSEGYGYGKCSHILRKCRCLKPCVAEETKENLP >ONI09767 pep chromosome:Prunus_persica_NCBIv2:G4:411284:413352:-1 gene:PRUPE_4G008000 transcript:ONI09767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSPVRNSSFQVKPRKHIPVDKTLKGLCYSGRLAEAVGLLCHTGLQVDPDTYALLLQECIFRKEYKKGKIIHAQIIVVGFVLNEYLKTKLLILYAKSGNLGTAHILLDKLLEKSLVSWNAIIAGYVQKGLEDVGLSLYYKMRHSGLIPDQYTFASVFRACASLATLEHGKQAHGIMIKCQIGENVVVNSALMDMYFKCSDLCDGQRVFNTCQNRNAITWTALISGYGQHGRVVEVLDIFHRMKSEGFRPNYVTFISVLSACSHGGLVDEAWEYFSSMTRDYGIHPRAQHYAALVDLLGRAGQLEEAYEFVSNSPCKEHSVMWGAFLWACRIHGDRDLLKLAAKKYFELEPENAGKYVVLSNAYATFGLWDNVAKLRSMMTDSGMRKEPAYSKVEVQKEVHFFLKGDTYHKQSKEIYEMVKLVDCSLKDSGYVPHLSGSSVM >ONI09750 pep chromosome:Prunus_persica_NCBIv2:G4:367828:369311:1 gene:PRUPE_4G007000 transcript:ONI09750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLGVGVSPGNVPVYHSTNLKVIDRRVRVAELVLRCVICGLGVIAAALVGTDTQVKEIFTIQKKAKFTDMKALVFLVIAHGIIAAYSLVQVLRCVVSMVRGNVLFSKPIAWIIFSGDQVMAYVSVAAVGAAAQSAVFAKLGQSELQWMKICNMYDKFCNQVGEGIASALLVSLSTVVLSCISAFNLFRLYGGNKSKSSSATRW >ONI10952 pep chromosome:Prunus_persica_NCBIv2:G4:3802056:3804917:1 gene:PRUPE_4G078200 transcript:ONI10952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALQSSSLRPAPLDPIRKRTPHFPSVTPRPTSSRRVSFISASSAPAVSAPKREKDPKKRVVITGMGLVSVFGNDVNAYYDKLLAGESGVGPIDRFDASKFPTRFGGQIHGFSSEGYIDGKNDRRLDDCLRYSIVAGKKALEDADLGGDKRSKLDKSRAGVLVGSGMGGLTVFSDGVHALIEKGHRKITPFFIPYAITNMGSALLGIDLGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMIAGGTEAALIPVGLGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEGAGVLVMESLEHATKRGAPIIAEYLGGAINCDAYHMTDPRADGLGVSTCIERSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTRDIKINATKSMIGHCLGASGGLEAIATVKAITTGWLHPSINQFNPEPSVEFDTVANVKKQHEVNVAISNSFGFGGHNSVVAFSAFKP >ONI13222 pep chromosome:Prunus_persica_NCBIv2:G4:13135038:13138342:1 gene:PRUPE_4G210700 transcript:ONI13222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSLLFAAAVITLTLLFAYSVKLPFHPRDVLPLLPRQVSWPILNSLHSAVDLLPTFVGSASSPKDSLEWKGACFYQNTAWMEFHNKRGSEFGGGTLHIKVNKAQSWTCMDIYVFATPYAVTWDYYFLSGEHTLEFKEWQGKAEFEYVKSRGVSIFLMQAGMLGTFQALWDVFPLFTNTEWGENSNIGFLKKHMGASFDQRPQPWVTNINVDDIHSGDFLAISKIRGWWGGFETLAKWVSGAYAGHSAVCLKDSEGNLWVGESGYENEKGESIIAVLPWDEWWEFELNKDDSNPHIALLPLHPDIRAKFSETAAWEYARSMEGQSFGYHNVIFSWIDTIKDNYPPPVDAHMVASVMTVWNNIQPAYAANMWNEALNKRLGTQVL >ONI13220 pep chromosome:Prunus_persica_NCBIv2:G4:13134841:13139779:1 gene:PRUPE_4G210700 transcript:ONI13220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSLLFAAAVITLTLLFAYSVKLPFHPRDVLPLLPRQVSWPILNSLHSAVDLLPTFVGSASSPKDSLEWKGACFYQNTAWMEFHNKRGSEFGGGTLHIKVNKAQSWTCMDIYVFATPYAVTWDYYFLSGEHTLEFKEWQGKAEFEYVKSRGVSIFLMQAGMLGTFQALWDVFPLFTNTEWGENSNIGFLKKHMGASFDQRPQPWVTNINVDDIHSGDFLAISKIRGWWGGFETLAKWVSGAYAGHSAVCLKDSEGNLWVGESGYENEKGESIIAVLPWDEWWEFELNKDDSNPHIALLPLHPDIRAKFSETAAWEYARSMEGQSFGYHNVIFSWIDTIKDNYPPPVDAHMVASVMTVWNNIQPAYAANMWNEALNKRLGTQNLSLPEILVEVEKRGSSFDELLTLPEQDYWLYSDGKSTSSVAFILEMYKQAGLFDPIASSIQVTEFTIKDAYMLKFFENNSCRLPKWCNDGDTVKLPFCQIKGKYRMELPEYNSMEPYSHMNERCESLPQKYSRSRNC >ONI13221 pep chromosome:Prunus_persica_NCBIv2:G4:13134841:13139781:1 gene:PRUPE_4G210700 transcript:ONI13221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSLLFAAAVITLTLLFAYSVKLPFHPRDVLPLLPRQVSWPILNSLHSAVDLLPTFVGSASSPKDSLEWKGACFYQNTAWMEFHNKRGSEFGGGTLHIKVNKAQSWTCMDIYVFATPYAVTWDYYFLSGEHTLEFKEWQGKAEFEYVKSRGVSIFLMQAGMLGTFQALWDVFPLFTNTEWGENSNIGFLKKHMGASFDQRPQPWVTNINVDDIHSGDFLAISKIRGWWGGFETLAKWVSGAYAGHSAVCLKDSEGNLWVGESGYENEKGESIIAVLPWDEWWEFELNKDDSNPHIALLPLHPDIRAKFSETAAWEYARSMEGQSFGYHNVIFSWIDTIKDNYPPPVDAHMNLSLPEILVEVEKRGSSFDELLTLPEQDYWLYSDGKSTSSVAFILEMYKQAGLFDPIASSIQVTEFTIKDAYMLKFFENNSCRLPKWCNDGDTVKLPFCQIKGKYRMELPEYNSMEPYSHMNERCESLPQKYSRSRNC >ONI10216 pep chromosome:Prunus_persica_NCBIv2:G4:1640967:1661486:1 gene:PRUPE_4G035000 transcript:ONI10216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLPRLTSSLREPFDIDQAYLQRKLILQSQKPRQSSSSVDESELARKVVYRWEEASIEVRQAYKQFIGAVVELIDGEVPSEEFREVALTVYHLFGRPEEEDNVETNIAGKKLEVQKLLGHAVSDANVRKVASLAQRLAGMQSSDKGTTLVSERPVNGTHDNVEFGADLVFHAPARFLVDVSLEDGELLGEESTGISSSYYEGLYSHGNLNDHYPSTDGRSFNLSWLKDACDQIVTKSSSQLSRDELAMAICRVLDSDKPGDEIAGVLLDLVGDSAFETVQDLVSHRKELVDAIHHGLLGLKSDKLSSSSQSRMPSYGTQVTVQTETERQIDKLRRKEEKRQRRGTEYGTDSELAAVNFSSLLQASERKNPVDDLLALGEGPQSLAVSALPQGTVRKHHKGYEEVIIPPTPTAQMKPGEKLIEITELDEFAQAAFRGYKSLNRIQSRIFHTVYYTNENILVCAPTGAGKTNIAMVSILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSHRLSPLNMTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVNPEAGLFFFDSSYRPVPLAQQYIGISEQNFTARIELQNEICYKKVVESLRQGYQAMVFVHSRKDTAKTAQKLVELARKFEGLEYFKNDEHPQFSLIQREVMKSRNKDLVALFEFGVGVHHAGMLRTDRGLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRLNPLVYGIGWDEVVADPSLSLKQRALIADAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNETEVIDMVAHSSEFENIVVRDEEQNELETLVRSSCPLEVKGGPSNKHGKISILIQLYISRGSIDTFSLVSDAAYISASLARIMRALFEICLRKGWSEMSLFMLEYCKAVDRQVWPHQHPLRQFDRDLSAEIVRKLEERGADLDHLYEMHEKDIGALIRYAPGGRLVKQYLGYFPWIQLSATVSPITRTVLKVDLVITPDFIWKDRFHGTAQRWWILVEDSENDHIYHSELFTLTKRMAKGEPQKLSFTVPIFEPHPPQYYIRAVSDSWLHAEAFYTISFQNLALPEASTSHTELLDLKPLPVTSLGNSIYEALYKFSHFNPIQTQTFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMNDWKRRLVSQLGKKMVEMTGDYTPDLMAILSADIIISTPEKWDGISRNWHSRAYVKKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTEREVRFVGLSTALANAGDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAIGTHSPTKPVLIFVSSRRQTRLTALDLIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDFPITDILQMMGRAGRPQFDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHNHINAEIVSGTICHKEDALHYLTWTYLFRRLMFNPAYYGLDNTEPEVLSSYLSRLVQNTFEDLEDSGCIKMNEDNVESTMLGSIASQYYLSYMTVSMFGSNIGSDTSLEVFLHILSAASEYNELPVRHNEENYNEALSERVRYKVDKDRLDDPHVKANLLFQAHFSQLELPISDYITDLKSVLDQSIRIIQAMIDICANSGWISSSITCMHLLQMVMQGLWFDRDSSLWMMPCMNVELADSLSKRGIFSVQQLLYLPKATLQTMIGNFPASKLYQDLQPFPRIEVKLKLQQKDSGKSRSLDIRLVKTNFRQNKSRAFTPRFPKVKNEAWWLVLGNTSTWELYALKRVSFSDHLVTHMELPSAPNTLQGMKLTLISDCYLGFEQEHSISELIQRQ >ONI10215 pep chromosome:Prunus_persica_NCBIv2:G4:1640967:1663412:1 gene:PRUPE_4G035000 transcript:ONI10215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLPRLTSSLREPFDIDQAYLQRKLILQSQKPRQSSSSVDESELARKVVYRWEEASIEVRQAYKQFIGAVVELIDGEVPSEEFREVALTVYHLFGRPEEEDNVETNIAGKKLEVQKLLGHAVSDANVRKVASLAQRLAGMQSSDKGTTLVSERPVNGTHDNVEFGADLVFHAPARFLVDVSLEDGELLGEESTGISSSYYEGLYSHGNLNDHYPSTDGRSFNLSWLKDACDQIVTKSSSQLSRDELAMAICRVLDSDKPGDEIAGVLLDLVGDSAFETVQDLVSHRKELVDAIHHGLLGLKSDKLSSSSQSRMPSYGTQVTVQTETERQIDKLRRKEEKRQRRGTEYGTDSELAAVNFSSLLQASERKNPVDDLLALGEGPQSLAVSALPQGTVRKHHKGYEEVIIPPTPTAQMKPGEKLIEITELDEFAQAAFRGYKSLNRIQSRIFHTVYYTNENILVCAPTGAGKTNIAMVSILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSHRLSPLNMTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVNPEAGLFFFDSSYRPVPLAQQYIGISEQNFTARIELQNEICYKKVVESLRQGYQAMVFVHSRKDTAKTAQKLVELARKFEGLEYFKNDEHPQFSLIQREVMKSRNKDLVALFEFGVGVHHAGMLRTDRGLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRLNPLVYGIGWDEVVADPSLSLKQRALIADAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNETEVIDMVAHSSEFENIVVRDEEQNELETLVRSSCPLEVKGGPSNKHGKISILIQLYISRGSIDTFSLVSDAAYISASLARIMRALFEICLRKGWSEMSLFMLEYCKAVDRQVWPHQHPLRQFDRDLSAEIVRKLEERGADLDHLYEMHEKDIGALIRYAPGGRLVKQYLGYFPWIQLSATVSPITRTVLKVDLVITPDFIWKDRFHGTAQRWWILVEDSENDHIYHSELFTLTKRMAKGEPQKLSFTVPIFEPHPPQYYIRAVSDSWLHAEAFYTISFQNLALPEASTSHTELLDLKPLPVTSLGNSIYEALYKFSHFNPIQTQTFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMNDWKRRLVSQLGKKMVEMTGDYTPDLMAILSADIIISTPEKWDGISRNWHSRAYVKKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTEREVRFVGLSTALANAGDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAIGTHSPTKPVLIFVSSRRQTRLTALDLIQFATSDEHPRQFLSMPEEALQMVLYQVTDNNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDFPITDILQMMGRAGRPQFDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHNHINAEIVSGTICHKEDALHYLTWTYLFRRLMFNPAYYGLDNTEPEVLSSYLSRLVQNTFEDLEDSGCIKMNEDNVESTMLGSIASQYYLSYMTVSMFGSNIGSDTSLEENYNEALSERVRYKVDKDRLDDPHVKANLLFQAHFSQLELPISDYITDLKSVLDQSIRIIQAMIDICANSGWISSSITCMHLLQMVMQGLWFDRDSSLWMMPCMNVELADSLSKRGIFSVQQLLYLPKATLQTMIGNFPASKLYQDLQPFPRIEVKLKLQQKDSGKSRSLDIRLVKTNFRQNKSRAFTPRFPKVKNEAWWLVLGNTSTWELYALKRVSFSDHLVTHMELPSAPNTLQGMKLTLISDCYLGFEQEHSISELIQRQ >ONI10213 pep chromosome:Prunus_persica_NCBIv2:G4:1640967:1663412:1 gene:PRUPE_4G035000 transcript:ONI10213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLPRLTSSLREPFDIDQAYLQRKLILQSQKPRQSSSSVDESELARKVVYRWEEASIEVRQAYKQFIGAVVELIDGEVPSEEFREVALTVYHLFGRPEEEDNVETNIAGKKLEVQKLLGHAVSDANVRKVASLAQRLAGMQSSDKGTTLVSERPVNGTHDNVEFGADLVFHAPARFLVDVSLEDGELLGEESTGISSSYYEGLYSHGNLNDHYPSTDGRSFNLSWLKDACDQIVTKSSSQLSRDELAMAICRVLDSDKPGDEIAGVLLDLVGDSAFETVQDLVSHRKELVDAIHHGLLGLKSDKLSSSSQSRMPSYGTQVTVQTETERQIDKLRRKEEKRQRRGTEYGTDSELAAVNFSSLLQASERKNPVDDLLALGEGPQSLAVSALPQGTVRKHHKGYEEVIIPPTPTAQMKPGEKLIEITELDEFAQAAFRGYKSLNRIQSRIFHTVYYTNENILVCAPTGAGKTNIAMVSILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSHRLSPLNMTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVNPEAGLFFFDSSYRPVPLAQQYIGISEQNFTARIELQNEICYKKVVESLRQGYQAMVFVHSRKDTAKTAQKLVELARKFEGLEYFKNDEHPQFSLIQREVMKSRNKDLVALFEFGVGVHHAGMLRTDRGLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRLNPLVYGIGWDEVVADPSLSLKQRALIADAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNETEVIDMVAHSSEFENIVVRDEEQNELETLVRSSCPLEVKGGPSNKHGKISILIQLYISRGSIDTFSLVSDAAYISASLARIMRALFEICLRKGWSEMSLFMLEYCKAVDRQVWPHQHPLRQFDRDLSAEIVRKLEERGADLDHLYEMHEKDIGALIRYAPGGRLVKQYLGYFPWIQLSATVSPITRTVLKVDLVITPDFIWKDRFHGTAQRWWILVEDSENDHIYHSELFTLTKRMAKGEPQKLSFTVPIFEPHPPQYYIRAVSDSWLHAEAFYTISFQNLALPEASTSHTELLDLKPLPVTSLGNSIYEALYKFSHFNPIQTQTFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMNDWKRRLVSQLGKKMVEMTGDYTPDLMAILSADIIISTPEKWDGISRNWHSRAYVKKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTEREVRFVGLSTALANAGDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAIGTHSPTKPVLIFVSSRRQTRLTALDLIQFATSDEHPRQFLSMPEEALQMVLYQVTDNNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDFPITDILQMMGRAGRPQFDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHNHINAEIVSGTICHKEDALHYLTWTYLFRRLMFNPAYYGLDNTEPEVLSSYLSRLVQNTFEDLEDSGCIKMNEDNVESTMLGSIASQYYLSYMTVSMFGSNIGSDTSLEVFLHILSAASEYNELPVRHNEENYNEALSERVRYKVDKDRLDDPHVKANLLFQAHFSQLELPISDYITDLKSVLDQSIRIIQAMIDICANSGWISSSITCMHLLQMVMQGLWFDRDSSLWMMPCMNVELADSLSKRGIFSVQQLLYLPKATLQTMIGNFPASKLYQDLQPFPRIEVKLKLQQKDSGKSRSLDIRLVKTNFRQNKSRAFTPRFPKVKNEAWWLVLGNTSTWELYALKRVSFSDHLVTHMELPSAPNTLQGMKLTLISDCYLGFEQEHSISELIQRQ >ONI10214 pep chromosome:Prunus_persica_NCBIv2:G4:1640966:1661553:1 gene:PRUPE_4G035000 transcript:ONI10214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLPRLTSSLREPFDIDQAYLQRKLILQSQKPRQSSSSVDESELARKVVYRWEEASIEVRQAYKQFIGAVVELIDGEVPSEEFREVALTVYHLFGRPEEEDNVETNIAGKKLEVQKLLGHAVSDANVRKVASLAQRLAGMQSSDKGTTLVSERPVNGTHDNVEFGADLVFHAPARFLVDVSLEDGELLGEESTGISSSYYEGLYSHGNLNDHYPSTDGRSFNLSWLKDACDQIVTKSSSQLSRDELAMAICRVLDSDKPGDEIAGVLLDLVGDSAFETVQDLVSHRKELVDAIHHGLLGLKSDKLSSSSQSRMPSYGTQVTVQTETERQIDKLRRKEEKRQRRGTEYGTDSELAAVNFSSLLQASERKNPVDDLLALGEGPQSLAVSALPQGTVRKHHKGYEEVIIPPTPTAQMKPGEKLIEITELDEFAQAAFRGYKSLNRIQSRIFHTVYYTNENILVCAPTGAGKTNIAMVSILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSHRLSPLNMTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVNPEAGLFFFDSSYRPVPLAQQYIGISEQNFTARIELQNEICYKKVVESLRQGYQAMVFVHSRKDTAKTAQKLVELARKFEGLEYFKNDEHPQFSLIQREVMKSRNKDLVALFEFGVGVHHAGMLRTDRGLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRLNPLVYGIGWDEVVADPSLSLKQRALIADAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNETEVIDMVAHSSEFENIVVRDEEQNELETLVRSSCPLEVKGGPSNKHGKISILIQLYISRGSIDTFSLVSDAAYISASLARIMRALFEICLRKGWSEMSLFMLEYCKAVDRQVWPHQHPLRQFDRDLSAEIVRKLEERGADLDHLYEMHEKDIGALIRYAPGGRLVKQYLGYFPWIQLSATVSPITRTVLKVDLVITPDFIWKDRFHGTAQRWWILVEDSENDHIYHSELFTLTKRMAKGEPQKLSFTVPIFEPHPPQYYIRAVSDSWLHAEAFYTISFQNLALPEASTSHTELLDLKPLPVTSLGNSIYEALYKFSHFNPIQTQTFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMNDWKRRLVSQLGKKMVEMTGDYTPDLMAILSADIIISTPEKWDGISRNWHSRAYVKKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTEREVRFVGLSTALANAGDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAIGTHSPTKPVLIFVSSRRQTRLTALDLIQFATSDEHPRQFLSMPEEALQMVLYQVTDNNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDFPITDILQMMGRAGRPQFDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHNHINAEIVSGTICHKEDALHYLTWTYLFRRLMFNPAYYGLDNTEPEVLSSYLSRLVQNTFEDLEDSGCIKMNEDNVESTMLGSIASQYYLSYMTVSMFGSNIGSDTSLEVFLHILSAASEYNELPVRHNEENYNEALSERVRYKVDKDRLDDPHVKANLLFQAHFSQLELPISDYITDLKSVLDQSIRIIQAMIDICANSGWISSSITCMHLLQMVMQGLWFDRDSSLWMMPCMNVELADSLSKRGIFSVQQLLYLPKATLQTMIGNFPASKLYQDLQPFPRIEVKLKLQQKDSGKSRSLDIRLVKTNFRQNKSRAFTPRFPKVKNEAWWLVLGNTSTWELYALKRVSFSDHLVTHMELPSAPNTLQGMKLTLISDCYLGFEQEHSISELIQRQ >ONI10217 pep chromosome:Prunus_persica_NCBIv2:G4:1640967:1663412:1 gene:PRUPE_4G035000 transcript:ONI10217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLPRLTSSLREPFDIDQAYLQRKLILQSQKPRQSSSSVDESELARKVVYRWEEASIEVRQAYKQFIGAVVELIDGEVPSEEFREVALTVYHLFGRPEEEDNVETNIAGKKLEVQKLLGHAVSDANVRKVASLAQRLAGMQSSDKGTTLVSERPVNGTHDNVEFGADLVFHAPARFLVDVSLEDGELLGEESTGISSSYYEGLYSHGNLNDHYPSTDGRSFNLSWLKDACDQIVTKSSSQLSRDELAMAICRVLDSDKPGDEIAGVLLDLVGDSAFETVQDLVSHRKELVDAIHHGLLGLKSDKLSSSSQSRMPSYGTQVTVQTETERQIDKLRRKEEKRQRRGTEYGTDSELAAVNFSSLLQASERKNPVDDLLALGEGPQSLAVSALPQGTVRKHHKGYEEVIIPPTPTAQMKPGEKLIEITELDEFAQAAFRGYKSLNRIQSRIFHTVYYTNENILVCAPTGAGKTNIAMVSILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSHRLSPLNMTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVNPEAGLFFFDSSYRPVPLAQQYIGISEQNFTARIELQNEICYKKVVESLRQGYQAMVFVHSRKDTAKTAQKLVELARKFEGLEYFKNDEHPQFSLIQREVMKSRNKDLVALFEFGVGVHHAGMLRTDRGLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRLNPLVYGIGWDEVVADPSLSLKQRALIADAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNETEVIDMVAHSSEFENIVVRDEEQNELETLVRSSCPLEVKGGPSNKHGKISILIQLYISRGSIDTFSLVSDAAYISASLARIMRALFEICLRKGWSEMSLFMLEYCKAVDRQVWPHQHPLRQFDRDLSAEIVRKLEERGADLDHLYEMHEKDIGALIRYAPGGRLVKQYLGYFPWIQLSATVSPITRTVLKVDLVITPDFIWKDRFHGTAQRWWILVEDSENDHIYHSELFTLTKRMAKGEPQKLSFTVPIFEPHPPQYYIRAVSDSWLHAEAFYTISFQNLALPEASTSHTELLDLKPLPVTSLGNSIYEALYKFSHFNPIQTQTFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMNDWKRRLVSQLGKKMVEMTGDYTPDLMAILSADIIISTPEKWDGISRNWHSRAYVKKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTEREVRFVGLSTALANAGDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAIGTHSPTKPVLIFVSSRRQTRLTALDLIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDFPITDILQMMGRAGRPQFDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHNHINAEIVSGTICHKEDALHYLTWTYLFRRLMFNPAYYGLDNTEPEVLSSYLSRLVQNTFEDLEDSGCIKMNEDNVESTMLGSIASQYYLSYMTVSMFGSNIGSDTSLEVFLHILSAASEYNELPVRHNEENYNEALSERVRYKVDKDRLDDPHVKANLLFQAHFSQLELPISDYITDLKSVLDQSIRIIQAMIDICANSGWISSSITCMHLLQMVMQGLWFDRDSSLWMMPCMNVELADSLSKRGIFSVQQLLYLPKATLQTMIGNFPASKLYQDLQPFPRIEVKLKLQQKDSGKSRSLDIRLVKTNFRQNKSRAFTPRFPKVKNEAWWLVLGNTSTWELYALKRVSFSDHLVTHMELPSAPNTLQGMKLTLISDCYLGFEQEHSISELIQRQ >ONI12844 pep chromosome:Prunus_persica_NCBIv2:G4:11134679:11135942:1 gene:PRUPE_4G187000 transcript:ONI12844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEVTCTGTLGLFMEPALRPHPAHSPFMILAHTTTNGVLEPDITACFGWQSKTTLSSSYGSRSRFWIDMPSCAHHKTTIVYMTPIWYNFSFRIHVISTWVTFLEFID >ONI13195 pep chromosome:Prunus_persica_NCBIv2:G4:13037119:13037637:-1 gene:PRUPE_4G209200 transcript:ONI13195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRTNMVQTLEAIKGGGGSIRVGTTGTVSSLMTRELESIKVAPPTPASSRSKHQTASVSVPCGATTPKKLQPKKSCDEASSSGTSNYINQRRPEISQKTKTHAKKTSQIPILSSDNVGLDRTPGRQKTSKKGPNIVEVVDLKCGNSDRAWAGNITNRLKKLGFSKLSESIV >ONI13197 pep chromosome:Prunus_persica_NCBIv2:G4:13036219:13038996:-1 gene:PRUPE_4G209200 transcript:ONI13197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRTNMVQTLEAIKGGGGSIRVGTTGTVSSLMTRELESIKVAPPTPASSRSKHQTASVSVPCGATTPKKLQPKKSCDEASSSGTSNYINQRRPEISQKTKTHAKKTSQIPILSSDNVGLDRTPGRQKTSKKGPNIVEVVDLKCGNSDRAWAGNITNRLKKLGFSKLSESIV >ONI13194 pep chromosome:Prunus_persica_NCBIv2:G4:13036221:13039104:-1 gene:PRUPE_4G209200 transcript:ONI13194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRTNMVQTLEAIKGGGGSIRVGTTGTVSSLMTRELESIKVAPPTPASSRSKHQTASVSVPCGATTPKKLQPKKSCDEASSSGTSNYINQRRPEISQKTKTHAKKTSQIPILSSDNVGLDRTPGRQKTSKKGPNIVEVVDLKCGNSDRAWAGNITNRLKKLGFSKLSESIV >ONI13196 pep chromosome:Prunus_persica_NCBIv2:G4:13036321:13038932:-1 gene:PRUPE_4G209200 transcript:ONI13196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRTNMVQTLEAIKGGGGSIRVGTTGTVSSLMTRELESIKVAPPTPASSRSKHQTASVSVPCGATTPKKLQPKKSCDEASSSGTSNYINQRRPEISQKTKTHAKKTSQIPILSSDNVGLDRTPGRQKTSKKGPNIVEVVDLKCGNSDRAWAGNITNRLKKLGFSKLSESIV >ONI11763 pep chromosome:Prunus_persica_NCBIv2:G4:6769624:6774351:-1 gene:PRUPE_4G124000 transcript:ONI11763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLGTVFFICLINCLMSSSLVFLYWHLGPYIYKNRSTRKFIFVFLIYVLSYSKLLFKLYLYGNLYVSSGFLVYTFLLRTLALYHQSFMGAYNTIGQEAWYSALAKRPRYESASNLPIYPQRPGEKDCAHYMLTRTCKFGELCKFDHPIWVPEGGIPDWKEVPLVAPSESLPERPGEPDCPYFIKTQRCKFGMRCKFNHPKEKLAAAVASENADVFALPERPSEPPCAFYMKTGQCKFGATCKFHHPKDIQIPSAEQENKIGETGTTIQPEGTGFAVKLPVSFSPALLYNSKELPVRPGEPDCPFYLKTGSCKYGATCRYNHPDRYDFCAAINPPIGAISHPIVAPPAAGLNIGVINPAASIYQTLAQPTVGGGQTVYPQRFGQIECDYYMKTGECRFGEQCKYHHPIDRSAVTLSTTKPVQQQNVKLTLAGLPRREGVAICVYYLKTGTCKYGATCKFDHPPPGEVMGMAASQGASGGEANDFTHEQQQ >ONI11761 pep chromosome:Prunus_persica_NCBIv2:G4:6769329:6775366:-1 gene:PRUPE_4G124000 transcript:ONI11761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLGTVFFICLINCLMSSSLVFLYWHLGPYIYKNRSTRKFIFVFLIYVLSYSKLLFKLYLYGNLYVSSGFLVYTFLLRTLALYHQSFMGAYNTIGQEAWYSALAKRPRYESASNLPIYPQRPGEKDCAHYMLTRTCKFGELCKFDHPIWVPEGGIPDWKEVPLVAPSESLPERPGEPDCPYFIKTQRCKFGMRCKFNHPKEKLAAAVASENADVFALPERPSEPPCAFYMKTGQCKFGATCKFHHPKDIQIPSAEQENKIGETGTTIQPEGTGFAVKLPVSFSPALLYNSKELPVRPGEPDCPFYLKTGSCKYGATCRYNHPDRYAINPPIGAISHPIVAPPAAGLNIGVINPAASIYQTLAQPTVGGGQTVYPQRFGQIECDYYMKTGECRFGEQCKYHHPIDRSAVTLSTTKPVQQQNVKLTLAGLPRREGVAICVYYLKTGTCKYGATCKFDHPPPGEVMGMAASQGASGGEANDFTHEQQQ >ONI11759 pep chromosome:Prunus_persica_NCBIv2:G4:6769329:6775366:-1 gene:PRUPE_4G124000 transcript:ONI11759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHLYGYGATQSAAAAAAATAGLSSVYTSRTLTDPTLRYLSGSDPFASATDHHSRSSSMYLATSHLMSQSSWPAPDVEPGVPGVKRPSEALYHQSFMGAYNTIGQEAWYSALAKRPRYESASNLPIYPQRPGEKDCAHYMLTRTCKFGELCKFDHPIWVPEGGIPDWKEVPLVAPSESLPERPGEPDCPYFIKTQRCKFGMRCKFNHPKEKLAAAVASENADVFALPERPSEPPCAFYMKTGQCKFGATCKFHHPKDIQIPSAEQENKIGETGTTIQPEGTGFAVKLPVSFSPALLYNSKELPVRPGEPDCPFYLKTGSCKYGATCRYNHPDRYDFCAAINPPIGAISHPIVAPPAAGLNIGVINPAASIYQTLAQPTVGGGQTVYPQRFGQIECDYYMKTGECRFGEQCKYHHPIDRSAVTLSTTKPVQQQNVKLTLAGLPRREGVAICVYYLKTGTCKYGATCKFDHPPPGEVMGMAASQGASGGEANDFTHEQQQ >ONI11758 pep chromosome:Prunus_persica_NCBIv2:G4:6769329:6775371:-1 gene:PRUPE_4G124000 transcript:ONI11758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHLYGYGATQSAAAAAAATAGLSSVYTSRTLTDPTLRYLSGSDPFASATDHHSRSSSMYLATSHLMSQSSWPAPDVEPGVPGVKRPSEALYHQSFMGAYNTIGQEAWYSALAKRPRYESASNLPIYPQRPGEKDCAHYMLTRTCKFGELCKFDHPIWVPEGGIPDWKEVPLVAPSESLPERPGEPDCPYFIKTQRCKFGMRCKFNHPKEKLAAAVASENADVFALPERPSEPPCAFYMKTGQCKFGATCKFHHPKDIQIPSAEQENKIGETGTTIQPEGTGFAVKLPVSFSPALLYNSKELPVRPGEPDCPFYLKTGSCKYGATCRYNHPDRYAINPPIGAISHPIVAPPAAGLNIGVINPAASIYQTLAQPTVGGGQTVYPQRFGQIECDYYMKTGECRFGEQCKYHHPIDRSAVTLSTTKPVQQQNVKLTLAGLPRREGVAICVYYLKTGTCKYGATCKFDHPPPGEVMGMAASQGASGGEANDFTHEQQQ >ONI11760 pep chromosome:Prunus_persica_NCBIv2:G4:6769329:6775371:-1 gene:PRUPE_4G124000 transcript:ONI11760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAFPASNAHLKVPLVAPSESLPERPGEPDCPYFIKTQRCKFGMRCKFNHPKEKLAAAVASENADVFALPERPSEPPCAFYMKTGQCKFGATCKFHHPKDIQIPSAEQENKIGETGTTIQPEGTGFAVKLPVSFSPALLYNSKELPVRPGEPDCPFYLKTGSCKYGATCRYNHPDRYDFCAAINPPIGAISHPIVAPPAAGLNIGVINPAASIYQTLAQPTVGGGQTVYPQRFGQIECDYYMKTGECRFGEQCKYHHPIDRSAVTLSTTKPVQQQNVKLTLAGLPRREGVAICVYYLKTGTCKYGATCKFDHPPPGEVMGMAASQGASGGEANDFTHEQQQ >ONI11762 pep chromosome:Prunus_persica_NCBIv2:G4:6769624:6774351:-1 gene:PRUPE_4G124000 transcript:ONI11762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLGTVFFICLINCLMSSSLVFLYWHLGPYIYKNRSTRKFIFVFLIYVLSYSKLLFKLYLYGNLYVSSGFLVYTFLLRTLALYHQSFMGAYNTIGQEAWYSALAKRPRYESASNLPIYPQRPGEKDCAHYMLTRTCKFGELCKFDHPIWVPEGGIPDWKEVPLVAPSESLPERPGEPDCPYFIKTQRCKFGMRCKFNHPKEKLAAAVASENADVFALPERPSEPPCAFYMKTGQCKFGATCKFHHPKDIQIPSAEQENKIGETGTTIQPEGTGFAVKLPVSFSPALLYNSKELPVRPGEPDCPFYLKTGSCKYGATCRYNHPDRYAINPPIGAISHPIVAPPAAGLNIGVINPAASIYQTLAQPTVGGGQTVYPQRFGQIECDYYMKTGECRFGEQCKYHHPIDRSAVTLSTTKPVQQQNVKLTLAGLPRREGVAICVYYLKTGTCKYGATCKFDHPPPGEVMGMAASQGASGGEANDFTHEQQQ >ONI11300 pep chromosome:Prunus_persica_NCBIv2:G4:5104972:5106658:-1 gene:PRUPE_4G100100 transcript:ONI11300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCSYYLAMVLVQLIYGGSNILIKFSLAEVLNPIVFVACYGHGFAAKNFVLALFGTTIHLNVYYAGLAYTSPTVACGLSNVIPSLTFLMAVLLGLEKLKIRTARGQAKVAGTLFGIGGSLVFTFWKGGYLFKVYTAQLSLTTMICFYALLQSSFLALFFTRNPISWRLERNLQLLTIVYCSTSIIIVALFSAISFAERLHFGSLIGAFLIIVGLYCVMWGKKERQSCC >ONI12428 pep chromosome:Prunus_persica_NCBIv2:G4:9551863:9555531:1 gene:PRUPE_4G164400 transcript:ONI12428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKALLSRKFSKSFRELKFRDDDQARGGDRRVARGDSAELDRVADYADSSSSSSWSTMLPELLGEIIQRVENSEDKWPNRQNVVACACVCKRWRDITKQIARSPSYSGKITFPSCLKQPGPCDFPHQCLIKRNKKTSTFYLYLAFTPSFTDKGKFLLAARRYRRGSHTEYIISLDADDISQGSNAYVGKLSSDFLGTNFTIYDSQPPHTGAKPASSRSTRRFASKQISPQVPAGNFEVGQVSYKFNLLKSRGPRRMVCPLNCPPSGETAIGKPLEKSKMKKPESTSSGYTILRNKAPRWHDQLQCWCLNFHGRVTVASVKNFQLVATVDPSQSGGKGDEETVLQFGKVGDDTFTMDYRQPLSAFQAFAICLTSFGTKLACE >ONI09867 pep chromosome:Prunus_persica_NCBIv2:G4:686841:687721:1 gene:PRUPE_4G014900 transcript:ONI09867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVALMVVGRMLWLNGGGGCGETDVGCVEEVGWLKNGWDLGMIWFGGLVFEMILLITWYGFMILFLGS >ONI12115 pep chromosome:Prunus_persica_NCBIv2:G4:8354290:8355917:1 gene:PRUPE_4G145400 transcript:ONI12115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTCIISPNVFFIIISISSICSNSTIFSVYLSKCIVMWKRLAIQTTCHTFHFDKIHSAPAIRFSKPNAISLHSYTTNIVNYDNIINLFIHDHTLFCDECEDRR >ONI12869 pep chromosome:Prunus_persica_NCBIv2:G4:11218458:11219376:1 gene:PRUPE_4G188300 transcript:ONI12869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEIKTEAKVSVGIEALWKALAKDVAFVTEKVIPNLVKNAEVIEGDGGIGTVFLFNFGSDVPKMSYQKEKIVELDEAVHKIGLQVIEGGHLNFGFSSYKTTFQLTRIQEEETMVSVEVTYESQVEDSSMPSKTAKSVLAFIRSLECYLLNGAI >ONI09957 pep chromosome:Prunus_persica_NCBIv2:G4:964619:965965:-1 gene:PRUPE_4G020600 transcript:ONI09957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSRLILLSCFLTYYIAPTSQAYSPGYYTTTTPKTTINVVDLCLSSNSNWATNRRTLANWAVGFGQDVDDHVNPQPGSLRYGVIETQRLWIVFAKDMVITLKNKLIMNSFKTIDGRGAKVEIAYGPCITVGHRRGCDGDATSHVWVDHCFLARSSDGLIDVTHASTAVTITDNYFSQHDKVMLLGHNDNFSADEGMKVTIAFNRFGAGLIERMPRYDEWKMYAVGGSANPTIFSEGNYFIAPETAYAKQATKRESRGRWNNWICSKMVLFFVQSGYGSCYPLYSKTQSFKVLDGSMVPALTSSAGPLRCFVGKAC >ONI12562 pep chromosome:Prunus_persica_NCBIv2:G4:10165635:10172681:1 gene:PRUPE_4G171800 transcript:ONI12562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFSAFLSFMAFVLLLKQILIVDGISDTTKDDGFIGILGAIVDNSSRIGKEESIAMQIAVEDFINTSNQRLDLKIRNSQGDPLQAALAARSLINTDQVQAILGPQTWEEVSLVAEIGSKSHIPIMSLADATPEWATELCTFLVQASPNKLKQMEAIAAIVQSWEWRQVTIIYEDTDFSAPAVLSHLSNALKEVGAQISHYVAIEPFASSSLSEELEGLKISQNRVFVVHLSLPLAVELFEKAKIMNMVEKDYVWIITDPFASLVHSFNASIISSMQGIVGVKSYIPENESHFQDFRYKFRQRFSSEHPEEVNHEPSIFAAQAYDLTWTVALAMSKKRQGRQQIISNILQSDVDGLSGKINFTDQTIAPAHTFQIINMKGESYRELGFWSDGRGFSETIGESDTFKSSTNALGQVFWPGGIQGTPKGWSPPTSANPLKIGVPTRASFKQYVEVEMEKDHLGNNISFSYKGLAIDIFKATLDELPFDLPYYFSPFHGTFDALVEQIHLKNFDAAVGSISILANRYQHAEFTAPYTESGLVMIVPVRSRTREKAWLFIKPFTNAMWVLIGATSIYNGFVIWLIERNHCPELKGSISNQVGTLIWLAFSTLFSLNRNKLNSNLSRITMVVWLFMALVITQTYTAKLASLLTLPQLEPTVVDVFALQNSNATVGCAGASYISKYLEEVLHFRHNNIKSFSGADEYAPALRSQEVAALFLNLPLAKVFLAENCKSFTMTGPTYNVAGFGFAFPRGSQLLPSVTEAMLKVSEKGTLQDLEQKMLASQKCMDMDPEEDERLSLSVSPSYLWVLFVFTGGTSSMALAIYIFRAYNSM >ONI14093 pep chromosome:Prunus_persica_NCBIv2:G4:19011162:19011539:-1 gene:PRUPE_4G261400 transcript:ONI14093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDLFGYKIRKEELSNSSPHTYIKRPKSKQRKKSQWVIFGVIMFTISKGFAVISATKNLQRPFRKDIFASGRTRFLEWVVSENQVFTFNLYFIGK >ONI09959 pep chromosome:Prunus_persica_NCBIv2:G4:973606:975212:-1 gene:PRUPE_4G020800 transcript:ONI09959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSNLTMWVSSKPALSDTSSLSCRSFISPFQLPSQNSLPASPSRSSSVSPIHCGLRELRERIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSETLVEVLYNINEQLQVEDIDAPLTNVRPVKKVALVVITGDRGLCGGFNNSIIKKAERRISELKALGLEYTIISVGKKGNSYFLRRPYIPVDKFLEGTNLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGVCVDAADDEFFRLTTKEGKLTVERDVVRTTTVDFTPVLQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSNATDNAVELKKNLSRVYNRQRQAKITGEILEIVSGANALV >ONI11748 pep chromosome:Prunus_persica_NCBIv2:G4:6748812:6751639:1 gene:PRUPE_4G123700 transcript:ONI11748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAGNGQLTVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKEKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLANSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLEDDNISADQVQEDGWVVCRVFKKKNHNRGYQPEFAHHQDQEHDHFPNMKASGSHAPVLLDHHEPKQNHHHLEALYDYTSSFDHAGSMHLPQLFSPESAVASSFVSPNTINLNTMDIECSQNLLRLTSTAAAAAAAGCGQLMQQQERSLINGGDWSFLDKLLTSHQSLDHHHSSHTKCNNPSSSAQQQLNNSHEHVGTSSTTQQRFPFQYLGCGTDLLKFSK >ONI11747 pep chromosome:Prunus_persica_NCBIv2:G4:6748889:6751639:1 gene:PRUPE_4G123700 transcript:ONI11747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAGNGQLTVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKEKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLANSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLEDDNISADQVQQEDGWVVCRVFKKKNHNRGYQPEFAHHQDQEHDHFPNMKASGSHAPVLLDHHEPKQNHHHLEALYDYTSSFDHAGSMHLPQLFSPESAVASSFVSPNTINLNTMDIECSQNLLRLTSTAAAAAAAGCGQLMQQQERSLINGGDWSFLDKLLTSHQSLDHHHSSHTKCNNPSSSAQQQLNNSHEHVGTSSTTQQRFPFQYLGCGTDLLKFSK >ONI09935 pep chromosome:Prunus_persica_NCBIv2:G4:906827:910793:-1 gene:PRUPE_4G019500 transcript:ONI09935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKGAPTWCYAKTPCSHPGISDLGSTRSGLAFNLSFRKWECCCLGVASAQRAITPVEDEKPNLTGAESSGAIQRIEDNESRAFHKDMNLLPKPLTANDLSSSSDGSKVRVAYQGLPGAYSEDAALKAYPKCETVPCDQFEAAFKAVELWLVDKAVLPIENSVGGSIHRNYDLLLRHRLHIVGEVQLQVNHCLLGLPDVTKEELKRVLSHPQALAQCEMTLSSLGIVRINADDSALAAQMVASTGLRNTGAVASARAAKIYGLDILAEKIQDDDDNITRFLILAREPIIPGTDRPYKTSVVFTLEEGPGVLFKALAVFALRGINLTKIESRPQRQRPLRVVDDSNEGSAK >ONI09933 pep chromosome:Prunus_persica_NCBIv2:G4:905663:911191:-1 gene:PRUPE_4G019500 transcript:ONI09933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKGAPTWCYAKTPCSHPGISDLGSTRSGLAFNLSFRKWECCCLGVASAQRAITPVEDEKPNLTGAESSGAIQRIEDNESRAFHKDMNLLPKPLTANDLSSSSDGSKVRVAYQGLPGAYSEDAALKAYPKCETVPCDQFEAAFKAVELWLVDKAVLPIENSVGGSIHRNYDLLLRHRLHIVGEVQLQVNHCLLGLPDVTKEELKRVLSHPQALAQCEMTLSSLGIVRINADDSALAAQMVASTGLRNTGAVASARAAKIYGLDILAEKIQDDDDNITRFLILAREPIIPGTDRPYKTSVVFTLEEGPGVLFKALAVFALRGINLTKIESRPQRQRPLRVVDDSNEGSAKYFDYLFYIDFEASMAEPRAQYALGHLQEFARFLRVLGSYPMDTIP >ONI09934 pep chromosome:Prunus_persica_NCBIv2:G4:905673:911191:-1 gene:PRUPE_4G019500 transcript:ONI09934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKGAPTWCYAKTPCSHPGISDLGSTRSGLAFNLSFRKWECCCLGVASAQRAITPVEDEKPNLTGAESSGAIQRIEDNESRAFHKDMNLLPKPLTANDLSSSSDGSKVRVAYQGLPGAYSEDAALKAYPKCETVPCDQFEAAFKAVELWLVDKAVLPIENSVGGSIHRNYDLLLRHRLHIVGEVQLQVNHCLLGLPDVTKEELKRVLSHPQALAQCEMTLSSLGIVRINADDSALAAQMVASTGLRNTGAVASARAAKIYGLDILAEKIQDDDDNITRFLILAREPIIPGTDRPYKTSVVFTLEEGPGVLFKALAVFALRGINLTKIESRPQRQRPLRVVDDSNEGSAKYFDYLFYIDFEASMAEPRAQYALGHLQEFARFLRVLGSYPMDTIP >ONI12325 pep chromosome:Prunus_persica_NCBIv2:G4:9012392:9016371:-1 gene:PRUPE_4G157400 transcript:ONI12325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMLHGFGLVAYALLLVLCLHEDQLHCYAKVLATSCDLFHGSWVLDKSYPLYDTSSCPFIEKEFDCQNNGRPDDRYLKYRWKPDACALPRFNGRDLLKRLKGKKILFVGDSLSLNQWQSLTCMLHAAVPQPNYALTRKADISAFSWPDYDVSIMLSRNAFLVDLVDTKEGRVLKLDSIENGNTWKGYDMLIFNTWHWWLHKGSKQPWDYIEVRGKIVKDMDRLDAFKEGLTTWSKWVDSNVDAANTKVFFQGISPTHYIGKEWDESESATCKGQNQPVSGSKYPGGSPAAATVVNQVLTAMSSPVTLLDITLLSELRKDGHPSAYGLGGDKGNDCSHWCLAGVPDTWNELFYASLVATG >ONI12326 pep chromosome:Prunus_persica_NCBIv2:G4:9012392:9014798:-1 gene:PRUPE_4G157400 transcript:ONI12326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCRFNGRDLLKRLKGKKILFVGDSLSLNQWQSLTCMLHAAVPQPNYALTRKADISAFSWPDYDVSIMLSRNAFLVDLVDTKEGRVLKLDSIENGNTWKGYDMLIFNTWHWWLHKGSKQPWDYIEVRGKIVKDMDRLDAFKEGLTTWSKWVDSNVDAANTKVFFQGISPTHYIGKEWDESESATCKGQNQPVSGSKYPGGSPAAATVVNQVLTAMSSPVTLLDITLLSELRKDGHPSAYGLGGDKGNDCSHWCLAGVPDTWNELFYASLVATG >ONI12327 pep chromosome:Prunus_persica_NCBIv2:G4:9012493:9016286:-1 gene:PRUPE_4G157400 transcript:ONI12327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMLHGFGLVAYALLLVLCLHEDQLHCYAKVLATSCDLFHGSWVLDKSYPLYDTSSCPFIEKEFDCQNNGRPDDRYLKYRWKPDACALPRFNGRDLLKRLKGKKILFVGDSLSLNQWQSLTCMLHAAVPQPNYALTRKADISAFSWPDYDVSIMLSRNAFLVDLVDTKEGRVLKLDSIENGNTWKGYDMLIFNTWHWWLHKGSKQPWDYIEVRGKIVKDMDRLDAFKEGLTTWSKWVDSNVDAANTKVFFQEEKNGTSQSQQLAKDKINP >ONI10113 pep chromosome:Prunus_persica_NCBIv2:G4:1287553:1290899:1 gene:PRUPE_4G027800 transcript:ONI10113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFNLNVLMTLVLGLLSLSCLSEADYRSHVCSNTTRFTPNSTYEFNLNLLLSSLTSNATRELGFYNTTAGSQDPNTAVYGSFLCRADLTSDACQDCVATAARDAVQEYCPLRKVTTIWYDDCMLRYSNVSFFGHMDQHPKVYLFSSGNVTEPIRFNQLLSKTITELVPVAVNAAPGAKKFATKEVNFTGFQDLYSLVQCTPDLSGTDCDRCLRGAIALLPACCNGKPGARVVCPSCNVRYEFYPFYTSVAAPPSPPTPLLLPPPPPASVSRSQGNDDQISSLPIVAIVVPIAILLFLVGCCFFRRRARKKYNAKQQQNVGSEISSVESLQFDLHTIEAATENFSDQNRLGGGGFGEVYKGTLRDGLQIAVKRLSRGSGQGAEEFKNEVVLVAKLEHRNLVRLLGFCSEGEEKILVYELVENKSLDHFLFGPENQVKLDWSSRYKIIGGIARGLLYLHQDSRLKIIHRDLKAGNVLLDGNMNPKIADFGMARIFGVDQTQGSTRRIVGTYGYMPPEYAMYGQFSVKSDVYSLGVLILEIVTGKKNTNFYNSDGGEDLLSYAWRHWRDGTSLELLDPNLRDSYEKTEVIRCVHIGLLCVQENPDERPTMQSIVLMLSSDSVTMALPQKPAFFMHSRTELNMPSLTTESSDPTASNLLPFSVNEESITELYPR >ONI11786 pep chromosome:Prunus_persica_NCBIv2:G4:6862496:6871004:1 gene:PRUPE_4G125300 transcript:ONI11786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKTNFQVDDHQRRSANYKPNIWKDGFLESLNSKYIGDDYTRQFENLIKDVKNMMFVETEDLIAQLELIDRIGKLGLTNHFEKEIKEALDTVENSNPYIIENLYATALHFKILRQHGYKVSQDVFGGFMDEKGALKESNLWDVKGMLELLEASNLAFEGERILDEAKASSTVALRGSKVWNPDNNLARQVIHALELSSHRRVGWFNVKSHIQAYEKDNHANTVLLLELAKLNFNMVQAALQKDLREVSMWWNNLGLKEHLNFARDRPVECFMFAVGLNFHHGYTSFRILLSKVINLILIIDDVYDIYGSLEELKIFTNAVDRWDVGATEQLPECMKTCFQVLYNTTCEFAHEIEEESGWNLALPHLSKAWADFCKALLVEAEWYSRSYTPSLEEYLSNGCISSSVSVLMVHTFFSTTHRDGIKEIADFLHKNEDLVHNISLIVRLTNDLGTSTAEQERGDAPSAIICYMREMNASEDTAEAKIKGMIDNAWKKINGKCLRTPQQLPFLSPFINNIATNIARMAHSLYQAGDGFGDQEQGSRLIQSVLVEPLPL >ONI11787 pep chromosome:Prunus_persica_NCBIv2:G4:6862449:6865563:1 gene:PRUPE_4G125300 transcript:ONI11787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKTNFQVDDHQRRSANYKPNIWKDGFLESLNSKYIGDDYTRQFENLIKDVKNMMFVETEDLIAQLELIDRIGKLGLTNHFEKEIKEALDTVENSNPYIIENLYATALHFKILRQHGYKVSQDVFGGFMDEKGALKESNLWDVKGMLELLEASNLAFEGERILDEAKASSTVALRGSKVWNPDNNLARQVIHALELSSHRRVGWFNVKSHIQAYEKDNHANTVLLLELAKLNFNMVQAALQKDLREVSMWWNNLGLKEHLNFARDRPVECFMFAVGLNFHHGYTSFRILLSKVINLILIIDDVYDIYGSLEELKIFTNAVDRWDVGATEQLPECMKTCFQVLYNTTCEFAHEIEEESGWNLALPHLSKAWADFCKALLVEAEWYSRSYTPSLEEYLSNGCISSSVSVLMVHTFFSTTHRDGIKEIADFLHKNEDLVHNISLIVRLTNDLGTSTAEQERGDAPSAILCYMREMNASEDTAEAKIKGMIDNAWKKINGTCLRTPQQVPFLSPFINNIATNIARMAHSLYQAGDGFGDQEQGSRLIQSVLVEPLPL >ONI11027 pep chromosome:Prunus_persica_NCBIv2:G4:4074133:4076885:1 gene:PRUPE_4G083400 transcript:ONI11027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRSDLTSSRVYPEPSYMDDDLFDRLPDSLLLLVFNKIGDVKALGRCCVVSRRFHSLVPQVENVVVRVDCVISDDESSPSSASSSSSSDKSRTPFSALFRLVIGGIVKPLQALGQFLGPTRRSTNGAHPALAVDDPDSSAADSSSFDQSGVTHHSPTQVLKNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGASSVIHPGPTKISDVQDNGSDGFCANTNAAVSDDNGSIPDSFYTNGGLKLRVVWTISSLIAASARHYLLQPIISEHKTLDSLVLTDADGQGVLYMNRDQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGVVLKGATLVAIRPSEQSATSKKEVSSDLSWVSTAFEEPYGTAAKMLIKRRTYCLEMNSF >ONI11087 pep chromosome:Prunus_persica_NCBIv2:G4:4264517:4266103:1 gene:PRUPE_4G086600 transcript:ONI11087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVFEHPQENSTAHMTTSPLDPEEFRRQGHMVIDFIADYYKTIEKYPVLTQVQPGYLKKRLPESAPYDPEPIETILQDVQDHIIPGLTHWQSPNHFAYFPATISTAGFLGEMLSTGFNVVGFNWIASPAATELETIVMDWLGDMLKLPKSFLFSGNGGGVLQGTTCEAIVCTMAAARDQMLSQIGRENIGKLVVYGSDQTHSTLQKASQIVGIHPKNFRAIETITSTSFALSPEVAKEHGMWVHVDAAYAGSACICPEFQYFIDGVEGADSFSLNAHKWFFTTLDCCCLWVKNPSASVSSLSTNPEFLRNKATDSKQVVDYKDWQIALSRRFRAIKLWLVLRSYGVGTFLGSHVKMAKIFEGLVGMDKRFEIVAPRHFSLVCFRVSPSAISKANPSLSDHDNVNEVNSKLLEAINGSGLVYMGHAVVGGMYVLSCAIGASLTEEKHRAMAWNVVQEHADAILATMD >ONI14252 pep chromosome:Prunus_persica_NCBIv2:G4:22060302:22065739:1 gene:PRUPE_4G271100 transcript:ONI14252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLGNGEMPNQNPINPRSNVTLVPSSSSPVWPTIDGPLGLSEEDSVSYARRFYKFGFALLPWLWALNCFYFWPALRHSRSFPRIHHYVLRSAVGLTVFTVLLASWAFTFVIGGERLFGHVWDQLVMYNLADRLGLTGWS >ONI10414 pep chromosome:Prunus_persica_NCBIv2:G4:2190038:2192208:-1 gene:PRUPE_4G046000 transcript:ONI10414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSSGQSPRTRSPAPFLSKTYDLLEEGAAEEGDSGKKIVSWNAEGSGFVVWSPAEFSELLLPKYFKHNNFSSFIRQLNTYGFKKTSPRQWEFKHERFQKGCRHMLVEITRKKCEPSAFPVYLKASEESGSSNTAVAAAEENNCLLLMEENKNLRKQKLELQMQISQFKALEMKLLDCLAQNMEDHQKNKVRC >ONI10415 pep chromosome:Prunus_persica_NCBIv2:G4:2190479:2191789:-1 gene:PRUPE_4G046000 transcript:ONI10415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSSGQSPRTRSPAPFLSKTYDLLEEGAAEEGDSGKKIVSWNAEGSGFVVWSPAEFSELLLPKYFKHNNFSSFIRQLNTYQGFKKTSPRQWEFKHERFQKGCRHMLVEITRKKCEPSAFPVYLKASEESGSSNTAVAAAEENNCLLLMEENKNLRKQKLELQMQISQFKALEMKLLDCLAQNMEDHQKNKVRC >ONI11319 pep chromosome:Prunus_persica_NCBIv2:G4:5178659:5183919:-1 gene:PRUPE_4G101400 transcript:ONI11319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREENPSESRARSIKFSDQNQIPKCQNVKGNSNASKLRSASSWGSHIVKGLAGDKKTKVQPIVTNKKPPLMGSDMANQKNSFVPSHPRVKRSLIGDLSCSVNGNQVHPQMHPTHRRQSSRDLFIELDHLRNLLRESKEREFQLQAELSECKRNPKVLDLERELEVKRIELDGLARKVELLEEEKTSLSEQLSALTSILDRNEGVTLKKEEQESSVASASGSVEMEVVELRRLNKELQLQKRNLACKLSSVTSQLASLAKASESDIVEKIKAEASALRHTNEDLCKQVEGLQMSRLNEVEELAYLRWVNSCLRNELQNSNSCSTTNSDKPLSPGSFERSSKSAGALPSRSSEYLEYGSVKRLNLIKKLKKWPIADEDLPNLECPDGLLDKSWVDSEEGRSPRRRHSISGSKCCAEELVQSNKRRQSDGFMCAQEMEKDTEPVASENFDLFFGNCHEINKIPASLDVEKRALRIPNPPPRPSCSISRGTKVDGSAQVPPPPPPPPPPPPPKFAMKTSTTGMVQRAPQVVEFYHSLMKRDSRKDSSNGGVCDGPDVANVRSSMIGEIENRSSHLLAIKADVETQGEFVNSLIREVNNAVYQNIDDVVAFVKWLDDELCFLVDERAVLKHFDWPEKKADTLREAAFGYRDLKKLESEVSSYKEDIRLPCDIALKKMVALSEKMERTVYNLLRTREPLMRHCKEFQIPTDWMLDNGILSKIKFGSVKLAKMYMKRVAMELQSKAAAEKDPAMDYMLLQGVRFAFRIHQFAGGFDADTMHAFEELRYLAHLLNKK >ONI11320 pep chromosome:Prunus_persica_NCBIv2:G4:5179607:5182280:-1 gene:PRUPE_4G101400 transcript:ONI11320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREENPSESRARSIKFSDQNQIPKCQNVKGNSNASKLRSASSWGSHIVKGLAGDKKTKVQPIVTNKKPPLMGSDMANQKNSFVPSHPRVKRSLIGDLSCSVNGNQVHPQMHPTHRRQSSRDLFIELDHLRNLLRESKEREFQLQAELSECKRNPKVLDLERELEVKRIELDGLARKVELLEEEKTSLSEQLSALTSILDRNEGVTLKKEEQESSVASASGSVEMEVVELRRLNKELQLQKRNLACKLSSVTSQLASLAKASESDIVEKIKAEASALRHTNEDLCKQVEGLQMSRLNEVEELAYLRWVNSCLRNELQNSNSCSTTNSDKPLSPGSFERSSKSAGALPSRSSEYLEYGSVKRLNLIKKLKKWPIADEDLPNLECPDGLLDKSWVDSEEGRSPRRRHSISGSKCCAEELVQSNKRRQSDGFMCAQEMEKDTEPVASENFDLFFGNCHEINKIPASLDVEKRALRIPNPPPRPSCSISRGTKVDGSAQVPPPPPPPPPPPPPKFAMKTSTTGMVQRAPQVVEFYHSLMKRDSRKDSSNGGVCDGPDVANVRSSMIGEIENRSSHLLAIKADVETQGEFVNSLIREVNNAVYQNIDDVVAFVKWLDDELCFLVDERAVLKHFDWPEKKADTLREAAFGYRDLKKLESEVSSYKEDIRLPCDIALKKMVALSEK >ONI11318 pep chromosome:Prunus_persica_NCBIv2:G4:5177774:5183919:-1 gene:PRUPE_4G101400 transcript:ONI11318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREENPSESRARSIKFSDQNQIPKCQNVKGNSNASKLRSASSWGSHIVKGLAGDKKTKVQPIVTNKKPPLMGSDMANQKNSFVPSHPRVKRSLIGDLSCSVNGNQVHPQMHPTHRRQSSRDLFIELDHLRNLLRESKEREFQLQAELSECKRNPKVLDLERELEVKRIELDGLARKVELLEEEKTSLSEQLSALTSILDRNEGVTLKKEEQESSVASASGSVEMEVVELRRLNKELQLQKRNLACKLSSVTSQLASLAKASESDIVEKIKAEASALRHTNEDLCKQVEGLQMSRLNEVEELAYLRWVNSCLRNELQNSNSCSTTNSDKPLSPGSFERSSKSAGALPSRSSEYLEYGSVKRLNLIKKLKKWPIADEDLPNLECPDGLLDKSWVDSEEGRSPRRRHSISGSKCCAEELVQSNKRRQSDGFMCAQEMEKDTEPVASENFDLFFGNCHEINKIPASLDVEKRALRIPNPPPRPSCSISRGTKVDGSAQVPPPPPPPPPPPPPKFAMKTSTTGMVQRAPQVVEFYHSLMKRDSRKDSSNGGVCDGPDVANVRSSMIGEIENRSSHLLAIKADVETQGEFVNSLIREVNNAVYQNIDDVVAFVKWLDDELCFLVDERAVLKHFDWPEKKADTLREAAFGYRDLKKLESEVSSYKEDIRLPCDIALKKMVALSEKMERTVYNLLRTREPLMRHCKEFQIPTDWMLDNGILSKIKFGSVKLAKMYMKRVAMELQSKAAAEKDPAMDYMLLQGVRFAFRIHQGDSTQTRCMRSRNFVTLLIFLTKSKEGKAVEGEGFNRTFLAYHLSKLHTETYNTFRRKQSGQTTCFGVVLSLAVNELGVKNRKGFRFGLILKITRSEMICCMYCNASCNM >ONI13549 pep chromosome:Prunus_persica_NCBIv2:G4:14701707:14705070:1 gene:PRUPE_4G229600 transcript:ONI13549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSTVFDKSCVEFWGRKWNIQDICVVVVFLALHCLCLFAPLHFNWRAFWVAMALSLLTGLGETLSYHRNLAHRSFTLPKWLEYSFAYCGVLSLQGSPIEWVSTHRFHHQFTDTEKDPHSPIKGFWHSHMGWIFDSSCRFGQKFAVWGGLKNVEDLKKQLFYRFLRHTNLLHSVLLGGLLYAAGGFSFLVWGMGLRMVLIFHGTFLVNSAGHMWVTLLTFGEGWHNNHHAFEYSARQGLEWWQFDFTWYIIKFLQAIGLATDVKVPTQIQKQRKASKTVGS >ONI10253 pep chromosome:Prunus_persica_NCBIv2:G4:1750756:1752628:1 gene:PRUPE_4G037000 transcript:ONI10253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDASLMMCQLNSISDFVFTETMISFCASVTSSPLFSSIVTLYALILLYFPYHFIRIVFSPVPIITGILLLTILRLGAVQRFEGDEHREKEDNSCLLETECTKTNESKECNEENKENRGSTSTSIQRTEVQEQIPTSPEAQDHSFFTYQSETDSESEMGFDPNPCFEDFFVEWNLKAPLEVIYEENEGEEDEMDRNGNDPNSKPEQESQVQGLERYPSLSMYYPESDSDSSSDGGYSVTGVWDSPETMCFRWEEEDREGLIEIALEENSKRGMDFQVDHEEENLIEIDISPTRNNEYCGKKWLFSGEVRFS >ONI13490 pep chromosome:Prunus_persica_NCBIv2:G4:14210639:14212269:1 gene:PRUPE_4G225400 transcript:ONI13490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVITAHSWGNQLLSTVHSQILPYTIILQPINRPSSLLAIHTPKPEEEWQEASSSSNCRLHTKPKPSSIMLLFFHNTTTRVLNITRKTIPNHPNSRKHHMHLTSNILFQSEQQKHSLLLNLWRLALTP >ONI10860 pep chromosome:Prunus_persica_NCBIv2:G4:3553792:3556036:-1 gene:PRUPE_4G072700 transcript:ONI10860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISQLPAGFENSNSLLSVLEITKQPLTSVPECYVRTVEREPASSLFDGHKGTFPSIPSIDMTKLVKAEASDFADQLEKLHSTCKDWGIFELVNHGVSPTLLEKLKQEIEEFFKLPLEEKMKYKIRPGEVEGYGSVVNTKDQKLDWGDRVYMITNPIHRRKPYLFPELPSSLRNTLDSYLLQVDQLAMRLLGFMGKALKIEMREMEEMFDDGMRSVRMTYYPPCPQPEQVIGLSPHSDATGITILNQLNGVDGLQIKKDGVWMPVNFQKDAFVVNVGDSFEILSNGVYHSIEHRAMVNSEKERLSVAMFINPKFEAEIGPARSLITPQNPPLFKTVGMEKYVNDFFSRYKLDGKSYLDQMKI >ONI10384 pep chromosome:Prunus_persica_NCBIv2:G4:2143981:2145845:-1 gene:PRUPE_4G044700 transcript:ONI10384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIARICLQSLLKLVNSVLGMVGIAMVLYGLWMVRVWLRDMDSSSVDQYDAAAAAAPWFICTFLGAGVFLCAITCIGYLAAKFAHGCCLSCYMLIIFIVLLLETAMTADILLNSDWEKDLPYDTTGKFHDFKDFVKSNFDMFKWIGLLIVLAQGFSILLALALRSVEPNQGSSYDSDEECPPARLPLINPNGQPPEYIVAAKNNNWNSNK >ONI10447 pep chromosome:Prunus_persica_NCBIv2:G4:2289202:2293658:-1 gene:PRUPE_4G048000 transcript:ONI10447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGIRSGSYGSLDKQLQNNNNGVSPIQSARKPSKMLKEKERLFHWICKFAGRKKVGMLFLCLISAAVFVWVLYVGKALPPPPPAVFLGYTLPPGHPCNLFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKVPSDSPVLKNLTYIYEENLSRETEFGGSDFGGYPTLQQRSDSYDIRESMSVHCGFVGGSKPGRNTGYDMDEVDLHDMEQCHGVVVASAIFGNFDEINQPTNISDYSKETVCFYMFIDEVTEAYLRSSGKLGSDRKVGIWRTVVVHNPPYTDGRRTGKIPKLLVHRMFPNARFSLWIDGKLELVVDPYQILERFLWRKNVTFAISKHYKRFDVFTEAEANKAAGKYKNASIDFQIEFYKKEGLTPYSEAKLPIISDVPEGCVIIREHVPISNLLTCLWFNEVDRFTSRDQISFSTVRDHIRERTNWTINMFYDCERRNFVVQKYHRDVLEHLAPRVPVAVPPPPLLPPPPPPPVISNEPPVQPSVETLPERVVNGPGKRGPRRGRDRRSGSRRHRKVVAGNRDIDSS >ONI10449 pep chromosome:Prunus_persica_NCBIv2:G4:2289588:2293053:-1 gene:PRUPE_4G048000 transcript:ONI10449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGIRSGSYGSLDKQLQNNNNGVSPIQSARKPSKMLKEKERLFHWICKFAGRKKVGMLFLCLISAAVFVWVLYVGKGEDEQGVSVENISVNNSITISYLGSLQTHGPQSNNVTFSLALPPPPPAVFLGYTLPPGHPCNLFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKVPSDSPVLKNLTYIYEENLSRETEFGGSDFGGYPTLQQRSDSYDIRESMSVHCGFVGGSKPGRNTGYDMDEVDLHDMEQCHGVVVASAIFGNFDEINQPTNISDYSKETVCFYMFIDEVTEAYLRSSGKLGSDRKVGIWRTVVVHNPPYTDGRRTGKIPKLLVHRMFPNARFSLWIDGKLELVVDPYQILERFLWRKNVTFAISKHYKRFDVFTEAEANKAAGKYKNASIDFQIEFYKKEGLTPYSEAKLPIISDVPEGCVIIREHVPISNLLTCLWFNEVDRFTSRDQISFSTVRDHIRERTNWTINMFYDCERRNFVVQKYHRDVLEHLAPRVPVAVPPPPLLPPPPPPPVISNEPPVQPSVETLPERVVNGPGKRGPRRGRDRRSGSRRHRKVVAGNRDIDSS >ONI10448 pep chromosome:Prunus_persica_NCBIv2:G4:2289202:2293658:-1 gene:PRUPE_4G048000 transcript:ONI10448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGIRSGSYGSLDKQLQNNNNGVSPIQSARKPSKMLKEKERLFHWICKFAGRKKVGMLFLCLISAAVFVWVLYVGKGEDEQGVSVENISVNNSITISYLGSLQTHGPQSNNVTFSLALPPPPPAVFLGYTLPPGHPCNLFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKQRSDSYDIRESMSVHCGFVGGSKPGRNTGYDMDEVDLHDMEQCHGVVVASAIFGNFDEINQPTNISDYSKETVCFYMFIDEVTEAYLRSSGKLGSDRKVGIWRTVVVHNPPYTDGRRTGKIPKLLVHRMFPNARFSLWIDGKLELVVDPYQILERFLWRKNVTFAISKHYKRFDVFTEAEANKAAGKYKNASIDFQIEFYKKEGLTPYSEAKLPIISDVPEGCVIIREHVPISNLLTCLWFNEVDRFTSRDQISFSTVRDHIRERTNWTINMFYDCERRNFVVQKYHRDVLEHLAPRVPVAVPPPPLLPPPPPPPVISNEPPVQPSVETLPERVVNGPGKRGPRRGRDRRSGSRRHRKVVAGNRDIDSS >ONI12986 pep chromosome:Prunus_persica_NCBIv2:G4:11956463:11959998:1 gene:PRUPE_4G195900 transcript:ONI12986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLCFLVFSICLLFSPSRISSAADTITSSQSLSDGKSLVSSPGGIFELGFFSPGISKSRYLGIWYKNIPTPTVVWVANRQTPINGSTGSLMINSTGNLVLVNNNNQTVWSTNSSKQSQSQRPVLQLLDNGNLVLRDEKDANSEKYLWQSFDYPSDTLLPGMKLGWDLRTGLNRRLTAWKSPDDPSPGDFIWEMELHEYPEPVMWKGSKEYLRSGPWNGVLFSGKPAKALPALNFSFISNEDEVYLTIDMVNKSVLGRMIMNQTTSTPYRQEWIWSEADRNWTIYATFPRDPCDSYGHCGGNGNCDLSSSPICQCLDRFSPRSPENWNLNEFSQGCERRKPLSCKNDGFATYPGLKLPDTTHSWVDKSMNLKECKAKCLSNCSCSAYTNLDVRGGGSGCAIWFDDLVDIKQMPGGDQDIYIKISASELGGKDEKWKIAVIIASASALILGMLLVGYCCILKARGRKYVKGQDIEEQKDEDLELPLFDLTTIEGATNFFSVNNKLGEGGFGPVYKGRLVDGQEIAVKRLSRSSGQGIKEFKNEVILIAKLQHRNLVKLLGCCIQGEEKLLIYEYMPNKSLDYFLFDETRRKLLDWPQRFQIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDRDLNPKISDFGLARTFGGDQTEGNTNRVVGTYGYMAPEYATEGQFSVKSDVFSFGILLLEIISGKKSKGFYHLNHRLNLIGNAWRLWKEGRPLELIDEGFGNSCTLSDVLRCIHVSLLCVQLQPEDRPTMSSVVQMLCSESALPEPKEPGFVPEKDLLNGEFPLINNEPSSNGLTITQLEPR >ONI10153 pep chromosome:Prunus_persica_NCBIv2:G4:1436353:1439287:1 gene:PRUPE_4G030900 transcript:ONI10153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFQAGICGSSWWNTSRHALVASPCSVGFNDHIGSFGCPNDMVDIKASRSSCGFDHSSSPSSTPSDLNQALLLSRSGGGRAESNFHNSMLQEGVGVDEDSSSLIDGFKPMSQDFSLDQLGSSSSSGFPITSSSSAANSYGFPSTLLQSIFDSEVSQPQPQQSLFNNNHFSNDLLLPPSPTSSCWPPRYSSSSCLRPSAVSLPKQLQPGGGGGGLHFPNNINTPFWNTPAATALNHGNSIRSSNGLFSSSQSQYTAPASSALVHEHKPNSHNFTPKGNTNEDVQDSNSVVKKSGSSMSSCEPVFKRARIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHEQVSVLSTPYMKNGAPMQHQQGSDHKMKEAEGAKHDLKSRGLCLVPISSTFPVANETTDFWTPTFGATFR >ONI10154 pep chromosome:Prunus_persica_NCBIv2:G4:1436353:1439287:1 gene:PRUPE_4G030900 transcript:ONI10154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFQAGICGSSWWNTSRHALVASPCSVGFNDHIGSFGCPNDMVDIKASRSSCGFDHSSSPSSTPSDLNQALLLSRSGGGRAESNFHNSMLQEGVGVDEDSSSLIDGFKPMSQDFSLDQLGSSSSSGFPITSSSSAANSYGFPSTLLQSIFDSEVSQPQPQQSLFNNNHFSNDLLLPPSPTSSCWPPRYSSSSCLRPSAVSLPKQLQPGGGGGGLHFPNNINTPFWNTPAATALNHGNSIRSSNGLFSSSQSQYTAPASSALVHEHKPNSHNFTPKGNTNEDVQDSNSVVKKSGSSMSSCEPVFKRARIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHEQVLSTPYMKNGAPMQHQQGSDHKMKEAEGAKHDLKSRGLCLVPISSTFPVANETTDFWTPTFGATFR >ONI13544 pep chromosome:Prunus_persica_NCBIv2:G4:14655329:14656264:-1 gene:PRUPE_4G229100 transcript:ONI13544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTRRNPPICPQRNPSLQNPSSIIDHQVMQGLIMGILLVVDRHHQLEKIKCCEQQKRHAWGGNQQRNLMPP >ONI12141 pep chromosome:Prunus_persica_NCBIv2:G4:8446551:8452730:-1 gene:PRUPE_4G147900 transcript:ONI12141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQFQLQMSWQPSLLSHKRKTGPPLGLRNLGNSCYLNSVLQCLTYTPPLANFCLRNQHTSLCDSSDAERKRDCPFCILEKRIVRSLSLDLSLDAPQKIQSCLRIFSEHFRCGRQEDAHEFLRYVIDACHNTCLRLKKLRRTASSNGNGNMANGIGNGTTTTSTVVKEIFGGALQSQVKCLSCGTESNKVDEIMDISLDVFHSNSVKDALQRFFQPEVLDGQNKYKCESCNKLVAARKQMSILQAPNVLVIQLKRFEGIFGGKIDKSISFEDVLVLSSFMCKASQDLRPEYKLFGTIVHSGFSPESGHYYAYIKDAMGRWYRCNDSFVSLSTLQEVLSEKVYILFFSRTNQRPAASTASNGVSSRDCNGRETSKSPKTALPPKGAQTKPYEQSSWKDISAIPKVDKAPSSPRMKFNISGNSSSKRAPATNNGKFACKNQSLGSNGERAPGTDNGKVAHKNQSLGSNGERPRATDNGKIAYKDEAFRSDGERTPATDNGKIAHKNLSLGSNGERAPATDNGKIAYENQSIGLNGDVKDPVSLEKREKAKTSLINKDGLHRDKKADIIGGNSSKASLLSRENGGTGSVKTELCEGIGTRDRLTAGEVPDHSELDNSGLHVHSGVSGPKRKSQDSCILFARDDQSRAEVEGLKEILKKEASSVLRSCGWSDKVHSFMRSKKRLCAQQVGTDPNSDEVKKLLIVDSKSAFLSQIPESLRADLVNRIRSFSQK >ONI11548 pep chromosome:Prunus_persica_NCBIv2:G4:5970831:5973618:1 gene:PRUPE_4G112700 transcript:ONI11548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLWASRAASYLRIQVTHRGFASVVKDLKYADSHEWVKVDGNSATVGITDHAQDHLGDVVYVELPEVGAAVKQGEGFGAVESVKATSDVYSPVSGKVIEVNEELGNSPGLVNSSPYEDGWIIKVEISDSGEVKKLMDSEKYTKFCEEEDAH >ONI13011 pep chromosome:Prunus_persica_NCBIv2:G4:12081257:12085881:-1 gene:PRUPE_4G197400 transcript:ONI13011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGYSESPSTSLGPPQSRLKPNPEGDSQFLEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFTFPAHLICGDCFEVRLDKVLADDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEGLVFGNSVYWIRFDEEFSEKKFKSSSPFGIQYKFHLEDAVDCPEWIVPFHVFKSLVEEYDMELVFVKNSHEFVHEYMKKPEYVELMRRLGALGDGNQDQSTLSQDEWEVAYLYLAFVLRKRGEPDRAQVNGRRDKGKMHISKEDIMYVRND >ONI13012 pep chromosome:Prunus_persica_NCBIv2:G4:12082233:12085659:-1 gene:PRUPE_4G197400 transcript:ONI13012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGYSESPSTSLGPPQSRLKPNPEGDSQFLEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFTFPAHLICGDCFEVRLDKVLADDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEGLVFGNSVYWIRFDEEFSEKKFKSSSPFGIQYKFHLEDAVDCPEWIVPFHVFKSLVEEYGMELVFVKNFHEFVFTFCSMIWS >ONI13413 pep chromosome:Prunus_persica_NCBIv2:G4:13832644:13833273:-1 gene:PRUPE_4G220200 transcript:ONI13413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFEKSQILLLSLLAILLTIAPLLSSSLRTPYLYIITNLVIVALGAQAGLLSASSKPSDHDKKEGTISAAHKPVIAPELAYSSDKWFLAHNDEDQRVASKCAQEKPKVVELEKSLSEKIVGSVKMESVKKCPSMPSLFFIGDGEADQGDLDEVTDYNHEVEEEEEIVELSGPELFAKAETLIGNFYKQLKMQREESWKKIHGSYQKAF >ONI10118 pep chromosome:Prunus_persica_NCBIv2:G4:1325714:1329074:1 gene:PRUPE_4G028200 transcript:ONI10118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRSMLFFINLAIIILNLVAPTISQDDGPCTPTADYCWKCSNTGTYTAGDKYEENLNSLLYSFSSNTQNNSGFYSSSKGQDSNKINAIALCRGDLSLKSCQACLNTSTEILLQNCSTHKEAIIWAEPCMVRYSHDVIFGIEQTDPLKHLPSPNYPKNPQQFEPVLTHLLGNLSDTAASTNSPKKFAAGHSTVPGGETIYALAQCTPDIDKQNCSSCLKQSVTEIQTCCGRKNGGRVLKPSCNLRYENNSFYASTADSVVDIPAPFLAAPAPEEAKKKSNTKQTVIIIVVVLVVFVTIFSSICFFFRVKKRRVKLEQDENSEDVSLVESLQYDFETIRSATDDFSDANKLGQGGFGAVYKGTLANGTYIAVKRLSKNSEQGEREFKTEVTLVAQLQHRNLVRLLGFCLKAGERILIYEYVPKTSLNHFIFDPIDQPHLDWETRYKIIGGIARELLYLHEDSRLRIIHRDLKPSNILLDEDMNPKIADFGMARLFMMDQTQGDTKTIVGTYGYMAPEYVGHGRFSVKSDVFSFGVLVLEILSGKRISSFRNGDNEEDLLSYAWRNWRNDTVANIMDPKLTTGLGIEMMRCIHIGLLCVQENVASRPSMASVVSMLNSYSVTLSLPSRPPYYLQYNSGSDITGSDESKNPMDVSGNKNSDFTEPYAR >ONI11992 pep chromosome:Prunus_persica_NCBIv2:G4:7735020:7738662:1 gene:PRUPE_4G138600 transcript:ONI11992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPLYMQWKQWDCQSDCRYYCMLDRENKREATGHDPVKYHGKWPFKRVYGIQEPASVAFSVLNLAMHFHGWISFFILLYYKLPLRRDKKTYYYFASLWHIYALLSFNSWFWSAVFHSRSFDVKDDAVRVMVAAPLLAFVTTHILYLNFYKLDYGWNMQVCVVMAVVQLLVWAIWAGVTRHPSRWKLWLVVVAGGLAMLLEIYDFPPYQGFVDAHAAWHATTIPLTYVWWSFIRDDAEFLTSNQMKRMKTSNLAKKAK >ONI11991 pep chromosome:Prunus_persica_NCBIv2:G4:7734562:7738662:1 gene:PRUPE_4G138600 transcript:ONI11991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHYWVAFFVVVSWVVNFRVLDASAGDADPLYRACIKQCGETGCVAQRCFPQCNFSSDGVSVDGPWYMQEPLYMQWKQWDCQSDCRYYCMLDRENKREATGHDPVKYHGKWPFKRVYGIQEPASVAFSVLNLAMHFHGWISFFILLYYKLPLRRDKKTYYYFASLWHIYALLSFNSWFWSAVFHSRSFDVKDDAVRVMVAAPLLAFVTTHILYLNFYKLDYGWNMQVCVVMAVVQLLVWAIWAGVTRHPSRWKLWLVVVAGGLAMLLEIYDFPPYQGFVDAHAAWHATTIPLTYVWWSFIRDDAEFLTSNQMKRMKTSNLAKKAK >ONI11140 pep chromosome:Prunus_persica_NCBIv2:G4:4437003:4439551:1 gene:PRUPE_4G089300 transcript:ONI11140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEKPLRKISEAFKELEAVVNSQTAEIEVAPFSSACSLVSPLFGCLGIAFKFAEIDYVAKVHDLAEASKSISTLTVLLDRDIEGDCVRKAGSHSRNLLRVKRGLDMVRVLFEQIIVTKGNSLKDPASKAYAQVFAPHHGWVIRKAVAAGMYALPTKEQLLHKLNEDENSASVQMQNYIAASTPLILYIDKLFHSRKLDVDW >ONI11141 pep chromosome:Prunus_persica_NCBIv2:G4:4437054:4439269:1 gene:PRUPE_4G089300 transcript:ONI11141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEKPLRKISEAFKELEAVVNSQTAEIEVAPFSSACSLVSPLFGCLGIAFKFAEIDYVAKVHDLAEASKSISTLTVLLDRDIEGDCVRKAGSHSRNLLRVKRGLDMGKFLEGSCFQGLCTGVCSPPWVGHPESCCCRDVCTSY >ONI12984 pep chromosome:Prunus_persica_NCBIv2:G4:11945240:11947008:1 gene:PRUPE_4G195700 transcript:ONI12984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRGRNNNAATFSVFDNLQLPTGIWYKNIPVRTVVWVANRCNPINYSSGMLMINSTGNLVLLGQNKSVAWSRSTRKHVQSAMVQLLNSGNLVERDVKDGNTGTYLWQSFDYPSDTLLPGMKLGWDLRTGLKRSLSARKNSEDPCPGYFTFGIEMGHDTYPEAYIWKGTAKYYRSGPWNGLRFSGAPELRPNPLYRFDFVYNDEDVYYMYNLQNKSVISRLVLNQTTIPIDLCDNYGLCGANGNCIIGFKPKSQEKWNLIDCSDIRGGGTGCAIWFGDLIDVRQFTAAGQDLYIRMPASELGLELGNMSCLLNTFYFASI >ONI10239 pep chromosome:Prunus_persica_NCBIv2:G4:1719211:1722037:1 gene:PRUPE_4G036300 transcript:ONI10239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKVEVEAIPAPNPRGRHIKKRALKNKALAVTFNEKDLSDFVSGFHKRKKKRRKEAEKKQEEALRRKRLELRKKRRLEKELALNDDAPPATDTAADESDEHDEDDESSEPALPVSGTTTYDNGDMKVTVTTSEISREEESDSDEKTEAAIPQSVGASKKHNVPVSKTKPLKKVAKRKSRPKLQRKRDKRKGPEKTKKRR >ONI11919 pep chromosome:Prunus_persica_NCBIv2:G4:7427542:7428162:1 gene:PRUPE_4G134400 transcript:ONI11919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQLRGPTPHLPKKEKRKNKVLNSRPTFNGSTPSSLSSNSQISLLLSLANNNNFTTTNFLDVKSSY >ONI11028 pep chromosome:Prunus_persica_NCBIv2:G4:4085462:4086110:1 gene:PRUPE_4G083600 transcript:ONI11028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLREKGTNKRTYEETTSRSPFTPIHAGIKSRDIQYIIGNTHKTLGPMASLVYDYQESKTKVQCKTRKSK >ONI11900 pep chromosome:Prunus_persica_NCBIv2:G4:7393462:7396870:1 gene:PRUPE_4G133500 transcript:ONI11900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSFERKIKHYSNYQRILLVGEGDFSFSVCLARAFGLAVNMVATSLDSRESLMLKYSKALSNVKELEARGCIVLHEVDVHSMSQHPFLIRLRFDRIIYNFPHAGYLLGPFSSERNRFQIWFHQDLIRGFLKNARELLTAIGEIHVTHKTTFPFSEWKIVELAHEVGLYLVDEEPFSLWDYPGYENKRGDGMCDQTFPVGMCSTFKFAKLFHHSSPSCFHLGITASGPWSGYSGINGPHMERPFCI >ONI11901 pep chromosome:Prunus_persica_NCBIv2:G4:7393454:7395842:1 gene:PRUPE_4G133500 transcript:ONI11901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFSFERKIKHYSNYQRILLVGEGDFSFSVCLARAFGLAVNMVATSLDSRESLMLKYSKALSNVKELEARGCIVLHEVDVHSMSQHPFLIRLRFDRIIYNFPHAGYLLGPFSSERNRFQIWFHQDLIRGFLKNARELLTAIGEIHVTHKTTFPFSEWKIVELAHEVGLYLVDEEPFSLWDYPGYENKRGDGMCDQTFPVGMCSTFKFAKLFHHSSPSCFHLGITASGPWSGYSGINGPHMERPFCI >ONI10576 pep chromosome:Prunus_persica_NCBIv2:G4:2632776:2637101:1 gene:PRUPE_4G054700 transcript:ONI10576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQSVFLYNPLLPLRAHHSLSTKTLGTPRNLHFTLARSTKKDVPFTEQEVLQAVADSDERVLPGVRTYENDSACLALVGAVDFEQALTAAAADGGQAADEHISSGMPAMVVETLFPGHSDPHSTVSTRLFLPARKVKEKAGKLRRSITEDMLSTATSRNILSMTFRQVVLQLLWNFELVIFRPGTERNMEDLENPREQVPPSFTLSSSDERIMSVLAEVVCISALENTERQFLEDFMGKTKSNLVRWFRKPKRTVSKDSSVVIYKLFEDEIVENAKSLLKNFNLTKGSFKPVKTKSKYYRWTATALSKLEKIGGPEFSSWTSEHVPAYRLQIDANQHKDVKFEGWRKSAENWCEVFLTHSQMVGLADIIDMYYEDLYTMPDKQLSCGVVANSTNLSNKKICSQPRLQLLQMGSFLLRMLSVTLASGIFLITISALGQLGFPYPHKGAKYLREDRSFPSSEVDGALYQSVDAVKLEAFCVSLVKKIKDALGWPGEIKMETDVGAWTGKVPNYLRMVVEDSSNREDMSTFSSSSEKIDEDLKASAQDIASYQVVLSTDGKIVGFQPLSSVAVNHWAANPLAKELYRGRKLSPGLTEPGLKVQRPNEVAVIELLMSVKPDACFALARPVR >ONI10575 pep chromosome:Prunus_persica_NCBIv2:G4:2632776:2643144:1 gene:PRUPE_4G054700 transcript:ONI10575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQSVFLYNPLLPLRAHHSLSTKTLGTPRNLHFTLARSTKKDVPFTEQEVLQAVADSDERVLPGVRTYENDSACLALVGAVDFEQALTAAAADGGQAADEHISSGMPAMVVETLFPGHSDPHSTVSTRLFLPARKVKEKAGKLRRSITEDMLSTATSRNILSMTFRQVVLQLLWNFELVIFRPGTERNMEDLENPREVPPSFTLSSSDERIMSVLAEVVCISALENTERQFLEDFMGKTKSNLVRWFRKPKRTVSKDSSVVIYKLFEDEIVENAKSLLKNFNLTKGSFKPVKTKSKYYRWTATALSKLEKIGGPEFSSWTSEHVPAYRLQIDANQHKDVKFEGWRKSAENWCEVFLTHSQMVGLADIIDMYYEDLYTMPDKQLSCGVVANSTNLSNKKICSQPRLQLLQMGSFLLRMLSVTLASGIFLITISALGQLGFPYPHKGAKYLREDRSFPSSEVDGALYQSVDAVKLEAFCVSLVKKIKDALGWPGEIKMETDVGAWTGKVPNYLRMVVEDSSNREDMSTFSSSSEKIDEDLKASAQDIASYQVVLSTDGKIVGFQPLSSVAVNHWAANPLAKELYRGRKLSPGLTEPGLKVQRPNELLS >ONI10578 pep chromosome:Prunus_persica_NCBIv2:G4:2632211:2637101:1 gene:PRUPE_4G054700 transcript:ONI10578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQSVFLYNPLLPLRAHHSLSTKTLGTPRNLHFTLARSTKKDVPFTEQEVLQAVADSDERVLPGVRTYENDSACLALVGAVDFEQALTAAAADGGQAADEHISSGMPAMVVETLFPGHSDPHSTVSTRLFLPARKVKEKAGKLRRSITEDMLSTATSRNILSMTFRQVVLQLLWNFELVIFRPGTERNMEDLENPREVPPSFTLSSSDERIMSVLAEVVCISALENTERQFLEDFMGKTKSNLVRWFRKPKRTVSKDSSVVIYKLFEDEIVENAKSLLKNFNLTKGSFKPVKTKSKYYRWTATALSKLEKIGGPEFSSWTSEHVPAYRLQIDANQHKDVKFEGWRKSAENWCEVFLTHSQMVGLADIIDMYYEDLYTMPDKQLSCGVVANSTNLSNKKMGSFLLRMLSVTLASGIFLITISALGQLGFPYPHKGAKYLREDRSFPSSEVDGALYQSVDAVKLEAFCVSLVKKIKDALGWPGEIKMETDVGAWTGKVPNYLRMVVEDSSNREDMSTFSSSSEKIDEDLKASAQDIASYQVVLSTDGKIVGFQPLSSVAVNHWAANPLAKELYRGRKLSPGLTEPGLKVQRPNEVAVIELLMSVKPDACFALARPVR >ONI10577 pep chromosome:Prunus_persica_NCBIv2:G4:2632535:2637101:1 gene:PRUPE_4G054700 transcript:ONI10577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIQSVFLYNPLLPLRAHHSLSTKTLGTPRNLHFTLARSTKKDVPFTEQEVLQAVADSDERVLPGVRTYENDSACLALVGAVDFEQALTAAAADGGQAADEHISSGMPAMVVETLFPGHSDPHSTVSTRLFLPARKVKEKAGKLRRSITEDMLSTATSRNILSMTFRQVVLQLLWNFELVIFRPGTERNMEDLENPREQVPPSFTLSSSDERIMSVLAEVVCISALENTERQFLEDFMGKTKSNLVRWFRKPKRTVSKDSSVVIYKLFEDEIVENAKSLLKNFNLTKGSFKPVKTKSKYYRWTATALSKLEKIGGPEFSSWTSEHVPAYRLQIDANQHKDVKFEGWRKSAENWCEVFLTHSQMVGLADIIDMYYEDLYTMPDKQLSCGVVANSTNLSNKKMGSFLLRMLSVTLASGIFLITISALGQLGFPYPHKGAKYLREDRSFPSSEVDGALYQSVDAVKLEAFCVSLVKKIKDALGWPGEIKMETDVGAWTGKVPNYLRMVVEDSSNREDMSTFSSSSEKIDEDLKASAQDIASYQVVLSTDGKIVGFQPLSSVAVNHWAANPLAKELYRGRKLSPGLTEPGLKVQRPNEVAVIELLMSVKPDACFALARPVR >ONI13664 pep chromosome:Prunus_persica_NCBIv2:G4:15290590:15292373:1 gene:PRUPE_4G235900 transcript:ONI13664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWIWATIGLLVLVHILQAWWKNKKRLPPGPRGFPVFGSLHLLGDLPHRDLHRLAQKYGDIMHMRLGLVPTIVVSSPQAAELFLKTHDLVFATRPPHEGAKHLSFGQRNLSFVEYGSYWRDIRKMCTLELLSNHKINSFKSMRKEEVALFIESIQEAANNGHVAIDLSDRVSSLSVDMSCRMVLGKKYRDEELDERGFKSLIKEGMQLAAAPNLGDYIPCIAQLDLQGFTKRMKAINKAFDNFFEKIIDEHLQSKDEYRTKDFVDVMVGIMGSAESQYRIERSNIKAIILDMLVASMDTSSTTIEWVLSELMRHPHVMKKVRKELEDVVGLERMVEESDLEKLEYLDIVVKETLRLHPVAPLLIPHAAIEDCTVNGFHIPKASRVIINVWSIGRDPSAWTDAEKFIPERFVGSNIDVRGNHFELIPFGSGRRRCPGIQLRLTMVQLMVAQLVHCFDWELPNSMLPNELDMSEEFGLTVPRAKHLLAIPSYRLHK >ONI13881 pep chromosome:Prunus_persica_NCBIv2:G4:16869387:16870667:-1 gene:PRUPE_4G251900 transcript:ONI13881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLAVLNYEEDVATYTIKAATDPRVANRVIVYRPQGNIVSQLDLISSWEKKTGCTLTRSYVSEEEILKLSETLPSPDNIAVSILHNIFIKGDQMSFELTENDLEASELYPDYKYTSIDSFLDICLVDPPKPKLAAFE >ONI10277 pep chromosome:Prunus_persica_NCBIv2:G4:1794444:1796624:-1 gene:PRUPE_4G038200 transcript:ONI10277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTMDRGQLTLLGSAACVMLTMHFSVQLLSQHLFYWKNPKEQKAIIIIILMAPIYAVDSFVGLLDIQGSKTFFMFLDSIKECYEALVIAKFLALMYSYLKISISKNIVPDEIKGREIHHSFPMTLFQPHTVRLNHHTLKLLKYWTWQFVIIRPVCSVLMITLQALGLYPSWLSWTFTIILNVSVSLALYSLVVFYHVFAKELAPHSPLAKFLCIKGIVFFCFWQGVFGIIFSVFRLGSKDI >ONI10276 pep chromosome:Prunus_persica_NCBIv2:G4:1793907:1796624:-1 gene:PRUPE_4G038200 transcript:ONI10276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSTMDRGQLTLLGSAACVMLTMHFSVQLLSQHLFYWKNPKEQKAIIIIILMAPIYAVDSFVGLLDIQGSKTFFMFLDSIKECYEALVIAKFLALMYSYLKISISKNIVPDEIKGREIHHSFPMTLFQPHTVRLNHHTLKLLKYWTWQFVIIRPVCSVLMITLQALGLYPSWLSWTFTIILNVSVSLALYSLVVFYHVFAKELAPHSPLAKFLCIKGIVFFCFWQGVVIDVLAAVGVIRSHHFWLDVEHIEEAIQNVLICLEMVVFSVLQQYAYHVAPYSGDVESKMKLNKKRE >ONI11953 pep chromosome:Prunus_persica_NCBIv2:G4:7554901:7557648:-1 gene:PRUPE_4G136400 transcript:ONI11953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTVTGKTITLEVESSDTIDNDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESMLHLVLRLRGGTMIKVKTLTGKEIEIDIEPNDTIDRIKERVEEKKGIPLQQRLIYAGKQLGDDKTAKDYNIDGGSVHHLVLALEVAIFKYIYRFQAQSCLLAKAQGAMVSNLGRA >ONI09742 pep chromosome:Prunus_persica_NCBIv2:G4:348061:354335:1 gene:PRUPE_4G006500 transcript:ONI09742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTGVLTSNDRMDSPTDETAQALSITGFCAHQTYLPDQMVPRKLKVHNSDIEWYGVAWSCAKQLKHYPAFCRNGATISVHSFVFIMALEEHHYLGYLEDMYEDKKGQKKVKVRWFHHTQEVKDVVPHLNPHPREVFITPHVQVISAECVDGPATVLTPKHYEKCLAIVAHNSSSGIHMCFRQLKNHMVRPFSLAKLRGYSNQAILSSLDVHNVSKQKAKCHKLCDEDEEEEELALDDPLRISCKRNRSSKGNQGRSGVKNLVTGNNPPNCVPTYPKLKLKLSRKGIGVKIAGSEPQCPMSFKVDEKIELLCQDSGMRGCWFRCQVLRTSQKLLKVQYDDVQDVDGSGNLEEWVPAFRVAAPDKLGMRCSGRLTIRPCCPNDSTECTFDVGVPVDAWWCDGWWEGVVTGVNISGTDALQVYFPGEEKLMIFQRKDVRASRDWFENTWVDVKAKPDILLHMSENISSSMKLLTISASYMAEEKQKLPDLSPPDDVFGNVKRVSLRKRPCTSNEDEKNNSSGGDGGDDCTCNKDELIYKEEVDPAYEKSETPGATEMAAQD >ONI09740 pep chromosome:Prunus_persica_NCBIv2:G4:346318:354335:1 gene:PRUPE_4G006500 transcript:ONI09740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTNTHSFVSWEEHTLCQERGNRVVHYYLKEASGEFVLAVIGTERSIRHMMYVVSEEFVETYGSKGFINACTKWRARREVVEWLTSLVSRPSWSEVSTDSPTDETAQALSITGFCAHQTYLPDQMVPRKLKVHNSDIEWYGVAWSCAKQLKHYPAFCRNGATISVHSFVFIMALEEHHYLGYLEDMYEDKKGQKKVKVRWFHHTQEVKDVVPHLNPHPREVFITPHVQVISAECVDGPATVLTPKHYEKCLAIVAHNSSSGIHMCFRQLKNHMVRPFSLAKLRGYSNQAILSSLDVHNVSKQKAKCHKLCDEDEEEEELALDDPLRISCKRNRSSKGNQGRSGVKNLVTGNNPPNCVPTYPKLKLKLSRKGIGVKIAGSEPQCPMSFKVDEKIELLCQDSGMRGCWFRCQVLRTSQKLLKVQYDDVQDVDGSGNLEEWVPAFRVAAPDKLGMRCSGRLTIRPCCPNDSTECTFDVGVPVDAWWCDGWWEGVVTGVNISGTDALQVYFPGEEKLMIFQRKDVRASRDWFENTWVDVKAKPDILLHMSENISSSMKLLTISASYMAEEKQKLPDLSPPDDVFGNVKRVSLRKRPCTSNEDEKNNSSGGDGGDDCTCNKDELIYKEEVDPAYEKSETPGATEMAAQD >ONI09741 pep chromosome:Prunus_persica_NCBIv2:G4:346318:354345:1 gene:PRUPE_4G006500 transcript:ONI09741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTNTHSFVSWEEHTLCQERGNRVVHYYLKEASGEFVLAVIGTERSIRHMMYVVSEEFVETYGSKGFINACTKWRARREVVEWLTSLVSRPSWSEVSNSPTDETAQALSITGFCAHQTYLPDQMVPRKLKVHNSDIEWYGVAWSCAKQLKHYPAFCRNGATISVHSFVFIMALEEHHYLGYLEDMYEDKKGQKKVKVRWFHHTQEVKDVVPHLNPHPREVFITPHVQVISAECVDGPATVLTPKHYEKCLAIVAHNSSSGIHMCFRQLKNHMVRPFSLAKLRGYSNQAILSSLDVHNVSKQKAKCHKLCDEDEEEEELALDDPLRISCKRNRSSKGNQGRSGVKNLVTGNNPPNCVPTYPKLKLKLSRKGIGVKIAGSEPQCPMSFKVDEKIELLCQDSGMRGCWFRCQVLRTSQKLLKVQYDDVQDVDGSGNLEEWVPAFRVAAPDKLGMRCSGRLTIRPCCPNDSTECTFDVGVPVDAWWCDGWWEGVVTGVNISGTDALQVYFPGEEKLMIFQRKDVRASRDWFENTWVDVKAKPDILLHMSENISSSMKLLTISASYMAEEKQKLPDLSPPDDVFGNVKRVSLRKRPCTSNEDEKNNSSGGDGGDDCTCNKDELIYKEEVDPAYEKSETPGATEMAAQD >ONI09743 pep chromosome:Prunus_persica_NCBIv2:G4:348012:354335:1 gene:PRUPE_4G006500 transcript:ONI09743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRKLKVHNSDIEWYGVAWSCAKQLKHYPAFCRNGATISVHSFVFIMALEEHHYLGYLEDMYEDKKGQKKVKVRWFHHTQEVKDVVPHLNPHPREVFITPHVQVISAECVDGPATVLTPKHYEKCLAIVAHNSSSGIHMCFRQLKNHMVRPFSLAKLRGYSNQAILSSLDVHNVSKQKAKCHKLCDEDEEEEELALDDPLRISCKRNRSSKGNQGRSGVKNLVTGNNPPNCVPTYPKLKLKLSRKGIGVKIAGSEPQCPMSFKVDEKIELLCQDSGMRGCWFRCQVLRTSQKLLKVQYDDVQDVDGSGNLEEWVPAFRVAAPDKLGMRCSGRLTIRPCCPNDSTECTFDVGVPVDAWWCDGWWEGVVTGVNISGTDALQVYFPGEEKLMIFQRKDVRASRDWFENTWVDVKAKPDILLHMSENISSSMKLLTISASYMAEEKQKLPDLSPPDDVFGNVKRVSLRKRPCTSNEDEKNNSSGGDGGDDCTCNKDELIYKEEVDPAYEKSETPGATEMAAQD >ONI10142 pep chromosome:Prunus_persica_NCBIv2:G4:1402972:1406168:1 gene:PRUPE_4G030100 transcript:ONI10142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCCDLQVDVNGEEVFMVDKKTLASFSGRFSKLFGKLKGTSRSLKVIFHDFPGGAEGFELMTRFCYNNGTTEITPSNIVLLYCIAHFMEMDGDGSGRLNILSQTEKSLEGISFWTWPNLVVALKQCQDLLPASNCSSILEKVLDCLIAKLFCPFVRSTYASSSEHLSFQFSSARSSHSMKTNCSQTTWWVEDLMFLSINLIEKVIRRMMFQELYHSTIFKFLFHYHQSKSMGATTPGEKRKITEVVISLLYLLDRSSLSCKRLFKIYQLALSLKISKLYKNKLENMIGSQLDQATIDYVLVPSPRGKKYVYDVSLVLRFVKSFLFEKGCHLSQGRLKKVSKLMDSYLAEVAPDTYLNPSKFAALAMSLPDSARESHDRLYQAIGVYFKRHADLFEEEKMSICCALNYDKLSAHSLKHLTQNIKFPPRRAVEAFTTEQSKLRSLLHKAYNLKTLDSLLIHTQNEIKHEKQDTEHIIPIYTKKLDLPTEAEKLRADLQTMHWKTLELGNTCGTMEMQMAAITKSRLSFRGSIQYLPKLFP >ONI10143 pep chromosome:Prunus_persica_NCBIv2:G4:1402972:1406168:1 gene:PRUPE_4G030100 transcript:ONI10143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCCDLQVDVNGEEVFMVDKKTLASFSGRFSKLFGKLKGTSRSLKVIFHDFPGGAEGFELMTRFCYNNGTTEITPSNIVLLYCIAHFMEMDGDGSGRLNILSQTEKSLEGISFWTWPNLVVALKQCQDLLPASNCSSILEKVLDCLIAKLFCPFVRSTYASSSEHLSFQFSSARSSHSMKTNCSQTTWWVEDLMFLSINLIEKVIRRMMFQELYHSTIFKFLFHYHQSKSMGATTPEVAPDTYLNPSKFAALAMSLPDSARESHDRLYQAIGVYFKRHADLFEEEKMSICCALNYDKLSAHSLKHLTQNIKFPPRRAVEAFTTEQSKLRSLLHKAYNLKTLDSLLIHTQNEIKHEKQDTEHIIPIYTKKLDLPTEAEKLRADLQTMHWKTLELGNTCGTMEMQMAAITKSRLSFRGSIQYLPKLFP >ONI10144 pep chromosome:Prunus_persica_NCBIv2:G4:1403657:1405379:1 gene:PRUPE_4G030100 transcript:ONI10144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCCDLQVDVNGEEVFMVDKKTLASFSGRFSKLFGKLKGTSRSLKVIFHDFPGGAEGFELMTRFCYNNGTTEITPSNIVLLYCIAHFMEMDGDGSGRLNILSQTEKSLEGISFWTWPNLVVALKQCQDLLPASNCSSILEKVLDCLIAKLFCPFVRSTYASSSEHLSFQFSSARSSHSMKTNCSQTTWWVEDLMFLSINLIEKVIRRMMFQELYHSTIFKFLFHYHQSKSMGATTPGEKRKITEVVISLLYLLDRSSLSCKRLFKIYQLALSLKISKLYKNKLENMIGSQLDQATIDYVLVPSPRGKKYVYDKWHQIPI >ONI10145 pep chromosome:Prunus_persica_NCBIv2:G4:1404106:1406168:1 gene:PRUPE_4G030100 transcript:ONI10145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFCYNNGTTEITPSNIVLLYCIAHFMEMDGDGSGRLNILSQTEKSLEGISFWTWPNLVVALKQCQDLLPASNCSSILEKVLDCLIAKLFCPFVRSTYASSSEHLSFQFSSARSSHSMKTNCSQTTWWVEDLMFLSINLIEKVIRRMMFQELYHSTIFKFLFHYHQSKSMGATTPGEKRKITEVVISLLYLLDRSSLSCKRLFKIYQLALSLKISKLYKNKLENMIGSQLDQATIDYVLVPSPRGKKYVYDVSLVLRFVKSFLFEKGCHLSQGRLKKVSKLMDSYLAEVAPDTYLNPSKFAALAMSLPDSARESHDRLYQAIGVYFKRHADLFEEEKMSICCALNYDKLSAHSLKHLTQNIKFPPRRAVEAFTTEQSKLRSLLHKAYNLKTLDSLLIHTQNEIKHEKQDTEHIIPIYTKKLDLPTEAEKLRADLQTMHWKTLELGNTCGTMEMQMAAITKSRLSFRGSIQYLPKLFP >ONI13879 pep chromosome:Prunus_persica_NCBIv2:G4:16857879:16859998:-1 gene:PRUPE_4G251700 transcript:ONI13879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDEKSKILIIGATGYLGKFMVKASLSLGHPTYAYVRPIKPSNDSSRLELLKEFEAMGLTIFQGELDEHEKLVAALKQVDVVISTLPIPQLLEQFKIINAIKDAGNIKRFIPSEFGNDPARESTLAPFEAIHEDRRKIRRATEAAEIPHTYVCGNTFGSYFVSYLLNPHEERDEVVVYGTGEAKVVMNYEQDIAAYTIKAATDPRAANNTITCRPQGNIISQLDLISLWEKKTGRTLERVYVSAQQLIEYSKTLPHADNVRASIAHDIFVVGQVYEFTENNLEASKLYPEYKYTSIDSFLDICLVDPPKPKLAAL >ONI13821 pep chromosome:Prunus_persica_NCBIv2:G4:16478993:16479643:-1 gene:PRUPE_4G247600 transcript:ONI13821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVEEDAPGFDLWCIEDSIVKGWLIKIMEPDLVELFLDLPTTEDSFWLEQDRRRPINMKCPDDVKIRQTEIQKDSIYEFHAGLYDELDKIRGDLLRLSPLSKLGESFSFVGKKAQHRETMLKKDAKTESFAVMVSKPLLLVFLFPIQQLRKKKICIAFIVMADMSQTEIRLS >ONI11993 pep chromosome:Prunus_persica_NCBIv2:G4:7740130:7748658:1 gene:PRUPE_4G138700 transcript:ONI11993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDEALKSYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQAFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTSKNTGSATCKRGFVQFCYEPIKQIINICMNDQKEKLWPMLTKLGVTMKSDEKELMGKALMKRVMQTWLPASSALLEMMIFHLPSPSTAQRYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVQTGLKVRIMGPNYVPGEKKDLYVKNVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKEADAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRTWI >ONI11995 pep chromosome:Prunus_persica_NCBIv2:G4:7740197:7743960:1 gene:PRUPE_4G138700 transcript:ONI11995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDEALKSYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTSKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKEKLWPMLTKLGVTMKSDEKELMGKGLMKRVMQTWLPASSALLEMMIFHLPSPSTAQRYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVQTGLKVRIMGPNYVPGEKKDLYVKNVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKEADAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKIRSKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSGQLRASTSGQAFPQCVFDHWEMMSSDPLEAGSQASQLVTDIRKRKGLKEQMTPLSEFEDKL >ONI11996 pep chromosome:Prunus_persica_NCBIv2:G4:7740052:7744259:1 gene:PRUPE_4G138700 transcript:ONI11996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDEALKSYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTSKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKEKLWPMLTKLGVTMKSDEKELMGKGLMKRVMQTWLPASSALLEMMIFHLPSPSTAQRYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVQTGLKVRIMGPNYVPGEKKDLYVKNVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKEADAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKIRSKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSGQLRASTSGQAFPQCVFDHWEMMSSDPLEAGSQASQLVTDIRKRKGLKEQMTPLSEFEDKL >ONI11994 pep chromosome:Prunus_persica_NCBIv2:G4:7740130:7748658:1 gene:PRUPE_4G138700 transcript:ONI11994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDEALKSYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQAFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTSKNTGSATCKRGFVQFCYEPIKQIINICMNDQKEKLWPMLTKLGVTMKSDEKELMGKALMKRVMQTWLPASSALLEMMIFHLPSPSTAQRYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVQTGLKVRIMGPNYVPGEKKDLYVKNVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKEADAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRTWI >ONI10286 pep chromosome:Prunus_persica_NCBIv2:G4:1813553:1819344:1 gene:PRUPE_4G038600 transcript:ONI10286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGTKSLVAFVLFALLVFEGYFTEKVLSATVTYDNRALVIDGKRRILQSGSIHYPRSTPEVWPEIIKKSKEGGLDVIETYVFWNYHEPVKGQYYFEGRFDLVRFVKTVQEAGLLVHLRIGPYACAEWNYGGFPIWLHFIPGIQFRTTNAPFKIEMKQFLAKIVEMMKKEHLFASQGGPIILAQVENEYGNIEGSYGVGGELYVKWAAETAVSLNTSVPWVMCVQDDAPDPIINTCNGFYCDRFTPNSPSKPKMWTENYSGWFLGFGNPIPFRPVEDLAFAVARFFETGGTFQNYYMYFGGTNFGRTAGGPLVATSYDYDAPIDEYGFLRQPKWGHLRDLHKAIKQCEENMISSNPTQVQLGKNLEAHVYYKSSNECAAFLANYGSSLDENVTFNGNIYFLPAWSVSILPDCKNVIFNTAKVVSQRTLGDSSFSATTSVNDFILEPSSWSWYKERVGIWSNNSFMNSGLLEQITTTKDTSDYLWYTISINVKENNIPGQAKELFLHIESLGHAALAFVNKRLVGFGYGNHEDASFILDEKITLNHGNNTIDLLSTMIGVQNYGPWFDVAGAGIFYVALRDLKNDTNDLSFEEWTYQVGLEGEDLDLDNINLANSSLWTTGAAPPVNQSLIWYKVAFLAPEGKGPLALNLASMGKGQAWVNGQSIGRYWPAYLSPSSGCTNGSDCDYRGAYDPSKCLKNCGQPAQTLYHIPRTWVHIGENLLVLHEELGGDPSKISLRTKTGQEICAHVSETDPPPADSWKPNSEFISQNPEVQLTCERGWHITSINFASFGTPIGKCGTFALGACNADILSIVQQACLGQEGCSIPISTATLGDPCPGVPKCLAVEALCSD >ONI11228 pep chromosome:Prunus_persica_NCBIv2:G4:4727954:4729481:-1 gene:PRUPE_4G093800 transcript:ONI11228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPEKSKKSKKDRKLKKNLSLVPVEPKAADSDWLQYP >ONI11240 pep chromosome:Prunus_persica_NCBIv2:G4:4781549:4782317:-1 gene:PRUPE_4G095000 transcript:ONI11240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFLLLALLAITSSIASASDPSSLQDFCVADAKNSVLVNGLVCKDPKLVEANDFFFSGLHLAGNTSNAVGSSVTPVNVAQIAGLNAFGISVARIDYAPWGINPPHTHPRASEILTVLEGRLKVGFVTSNPENRLITKVLEKGDVFVFPVGLVHFQQNVGYGNAIAIAALSSQNPGVITIANAVFGSKPDISADILAKAFQVEKNTVYNFQSKF >ONI09836 pep chromosome:Prunus_persica_NCBIv2:G4:592073:593846:1 gene:PRUPE_4G012500 transcript:ONI09836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYRKGTVHPSPPIISDHLAFLPATILTLAAALSPEDREVLAYLISCSNSSVNLSSSSYGSRKSTTASAAKKGPAKGSGSGGDHPARFNCDCFRCYKSYWVRWDESPNRQLIHEIIDAFEDGVLAESKGQANSKYNNNNSRKERRNKRGHNGGSSGELKRSELSLKKKELAQAHMADQETSGGRGGEVDEEESEKSSVRRFVSFVGERIWGVWSQ >ONI14020 pep chromosome:Prunus_persica_NCBIv2:G4:18384306:18389893:1 gene:PRUPE_4G258400 transcript:ONI14020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLSLISIPNLPTPARTFPKHPQQIPLFSLPPHSFHVNPSFNSRTISSSNGGSSPALHAVQEEVTTSSDSTSDSKTAPSSSSKVVLVIGGTGGVGQLVVASLLNRNIKARLLLRDPEKATTLFGEQDEEKLQVFKGDTRNADDLDPSIFEGVTHVICCTGTTAFPSKRWEGDNTPERVDWEGVRNLVSVLPSSLQRVVLVSSVGVTKFNELPWSIMNLFGVLKYKKMGEDILRNSGLSFTIIRPGRLTDGPYTSYDLNTLLKATAGQRRAVLIGQGDKLVGEVSRLVVAEACIQALDIECTEGKTFEVNSVEGEGPGSDQQKWRELFKAAQAQ >ONI14021 pep chromosome:Prunus_persica_NCBIv2:G4:18384306:18389893:1 gene:PRUPE_4G258400 transcript:ONI14021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLSLISIPNLPTPARTFPKHPQQIPLFSLPPHSFHVNPSFNSRTISSSNGGSSPALHAVQEEVTTSSDSTSDSKTAPSSSSKVVLVIGGTGGVGQLVVASLLNRNIKARLLLRDPEKATTLFGEQDEEKLQVFKGDTRNADDLDPSIFEGVTHVICCTGTTAFPSKRWEGDNTPERVDWEGVRNLVSVLPSSLQRVVLVSSVGVTKFNELPWSIMNLFGVLKYKKMGEDILRNSGLSFTIIRPGRLTDGPYTSYDLNTLLKATAGQRRAVLIGQGDKLVGEVSRLVVAEACIQALDIECTEGKTFEVNSVEGEGPGSDQQKWRELFKAAQAQ >ONI11107 pep chromosome:Prunus_persica_NCBIv2:G4:4325199:4327915:1 gene:PRUPE_4G088100 transcript:ONI11107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNICVLVLQCLLLLGCYLVSTMELAVEEKADGAIPVTTMSPPEGNTTFLDGTTWCVALPGVSQVDLQNALDWACGLGMANCKAIQEGGACYEPDTLLSHASFAFNDYYQQNGNSDIACNFGGTAAVTKHNPSYGKCVFAAAGSVGSAAPPLYKLNPRSVWWQLACLLLTLYLGS >ONI11109 pep chromosome:Prunus_persica_NCBIv2:G4:4325203:4327916:1 gene:PRUPE_4G088100 transcript:ONI11109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNICVLVLQCLLLLGCYLVSTMELAVEEKADGAIPVTTMSPPEGNTTFLDGTTWCVALPGVSQVDLQNALDWACGLGMANCKAIQEGGACYEPDTLLSHASFAFNDYYQQNGNSDIACNFGGTAAVTKHNPSYGKCVFAAAGSVGSAAPPLYKLNPRSVWWQLACLLLTLYLGS >ONI11108 pep chromosome:Prunus_persica_NCBIv2:G4:4325203:4327915:1 gene:PRUPE_4G088100 transcript:ONI11108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNICVLVLQCLLLLGCYLVSTMELAVEEKADGAIPVTTMSPPEGNTTFLDGTTWCVALPGVSQVDLQNALDWACGLGMANCKAIQEGGACYEPDTLLSHASFAFNDYYQQNGNSDIACNFGGTAAVTKHNPSYGKCVFAAAGSVGSAAPPLYKLNPRSVWWQLACLLLTLYLGS >ONI11110 pep chromosome:Prunus_persica_NCBIv2:G4:4325466:4327915:1 gene:PRUPE_4G088100 transcript:ONI11110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNICVLVLQCLLLLGCYLVSTMELAVEEKADGAIPVTTMSPPEGNTTFLDGTTWCVALPGVSQVDLQNALDWACGLGMANCKAIQEGGACYEPDTLLSHASFAFNDYYQQNGNSDIACNFGGTAAVTKHNPK >ONI12513 pep chromosome:Prunus_persica_NCBIv2:G4:10002653:10005104:1 gene:PRUPE_4G169700 transcript:ONI12513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQAYNLMAHGFLLFLLFSCIISTNIHACKPTERSSLLSFASTLSSLHLNWTSIDCCRWKGITCDQDGWVTHLLLPSKGLKGGIPSSSLGNLTHLTHVNFSHNSLYGSLDTQFFMSLNRLEFLDLSYNLLSEELPLSLPSSNIRTVDLSSNHFHGAIPPSFFQQASNLTSFNVSNNTLTGYVPSSICLRHSSPFLRLLDFSSNAFSGNLAPGLGKCSELQVFRVGHNNLSGLLPEDIYNCTKLEEIALPLNFLHGTISDKIVNLTNLASLDLYYNHFDGELPLNLGKLSMLKFLTFDFNNLEGALPPSLMNCTNLVELRLGSNNLEGDISMLDFSRLSQLTKLDLRRNNFTGTIPRSLYSCRSVKAIGLSGNLLEGQIEDDILSLKSLSFLSLGYNRFTNLTGAMKMLMSCRSLHALMLGGSFVGEGMPSDDDMVDFHGFKNLRLLNLDDCQLTGQIPLWLSKLRNLEVLFLAYNQITGPIPSWLGTLPRLFYINLKDNRISGEFPKQLCRLPRLVYEPNIASQVEAFEIELPLTIGQNSNPTFLPHRLAYFAASLDLSNNNINGHIPAEIGQLQFLHKLALNSNNFSGIIPDQISNLKNLEVFNLSMNHLSGIIPLSLASLNFLKEFDVSYNSLEGPIPTSTQLQSFEASAFEGNLKLCGAPLPNKCSPNKGIDADNKNNKDMHNGLHQLPWFYMFTALGFIVGFWGVCGCLIFNKTWRYAYFRFIDNVQDRLLYMMIIVRINMMKRRT >ONI13280 pep chromosome:Prunus_persica_NCBIv2:G4:13308591:13309316:-1 gene:PRUPE_4G213300 transcript:ONI13280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWSNLPHHLLVSIAKLLFVLEDFTVFGTVCRSWKSDAIKENFTRTSHQVPLLMLPKRESATIREFYNLKKGKIHKLNLPPLAKEKLCYSSLGWLKTQKFTLSSSASFTSDYIVMIHSWGKFAFWRPGDHEWTIVDDAFGKPYHIYSDLTYHNGQFYAVNASGHVFVCEMEEPKKAKMKLVVPSSIPCSS >ONI13440 pep chromosome:Prunus_persica_NCBIv2:G4:13962270:13964282:1 gene:PRUPE_4G222400 transcript:ONI13440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKHQATTLIEVAAIEEVELDVQKELWEAQIHEVQNSVSTMTFRQEEFHTNMTEVLKTLSSLTTQQAQQVVFQQNDNRRAT >ONI11929 pep chromosome:Prunus_persica_NCBIv2:G4:7473828:7476871:1 gene:PRUPE_4G135400 transcript:ONI11929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISSIIFIIFASLWTCHDAASDTLKPGDTLNSSSSLVSASGKFSLYFYVYNDGSNNNSYLAILNKEAPNNVWIGNRDTPIVYPSSAVLTLDWNNTLKLTHQGGDPIVISSAPQTSNISTSVVATLLDSGNFILQEVNSTDGSTKQVWWQSFDYPFDTFLPGMKLGINHKSGHLWSMSSWATYNNPMPGPFTLDWDPNGHQLQIRRQGVLYWTSGVFTSSSKTFEFISAEESKLRYNFSVVSNDNEDYFTYTAVDHDQSDQEPQWVLTFMGRFHDGSFNFTQAENCDGYNTGGGCVRRDRPSDCMAKFNDEFELKNGYFKINNSSNSSRSPSWFGTSSSDCKATCWQNCDCLGFDVPLANGTSTGCRFWSVDCQFFEDLTASNSFVLSGHATPAKSPSAKKNDSIRGVLLDWKKRFNIIEGITQGLLYLHKYSRTRVIHRDLKASNILLDENMNPKISDFGMARIFSHDELEENTSRIVGTRGYMPPESVGGIVSVKSDVYGFGVLMLEIISGRKNNSFYNDDRALNLVGYAWELWKQGSGLELMDQMVGDSSHIEDQLLRCIHVGLLCVEEDAANRPTMSDVISMLTNENPPLALPTKPAFFVGRKLVEAGIGEKQNEITSVNDLSISNFDAR >ONI13670 pep chromosome:Prunus_persica_NCBIv2:G4:15316450:15318444:-1 gene:PRUPE_4G236500 transcript:ONI13670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLTAIVSGIAGNIVEYTIEPVGRQMGYLVHHESNLQNLESQVDNLGAAMKRFNMLLIKLNKKLSKKSRKLVQVVLQLHANIEFPRFSYNAPPQEIWSMPTEEHQAFESRTSIVKKIMEELRNPNTNMIGVYGIGGVGKTTLVQEVFRQATKEELFDDVVIVIDVKQNLDLERIQKEIEENERSQILCDRLKDREILVILDDVWYRIDLQALGLPRVVCKTLLQSRSREILSSEMHTQKEFWLHVLGEEETRNALHSLIEKLKDSCMLLDGYNNTCVRMHDLMKNLNLLDLNNLCIPSLPPSLQFLTNLQTLCLDLCVLGDIALLGQLRNLEILSLLESQVKQLPEEIGQLSRLRLLDLGGCSEIELISPNGLKRLEDLRTGNSFNQWEDEGVNVQRSNVSLSELKHLSMLVFSDICISD >ONI13808 pep chromosome:Prunus_persica_NCBIv2:G4:16362233:16362631:-1 gene:PRUPE_4G246300 transcript:ONI13808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSRRNMLVQFHHPIQHGCFIFILLFSSIISINIHACNSTLSSPQPFNRSDQAPELFGITAKHGIRAFVRKLPTLLNKGNTAGGGIIA >ONI13807 pep chromosome:Prunus_persica_NCBIv2:G4:16362043:16362652:-1 gene:PRUPE_4G246300 transcript:ONI13807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSRRNMLVQFHHPIQHGCFIFILLFSSIISINIHACNSTLSSPQPFNRSDQAPELFGITAKHGIIA >ONI09930 pep chromosome:Prunus_persica_NCBIv2:G4:882121:884777:-1 gene:PRUPE_4G019200 transcript:ONI09930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVASSSTSISGRTFSPSTPSSDLKAPPVGYFRVFDRAHGQIQSQAVTFSQRRSSVKPVNAQSKRNESIVPLAATIVAPEAVEKVEAEDITQLAKGLENASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYQFFDTVEKHYGIRIEYMFPDAVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPVFEGLDGGIGSLVKWNPVANVEGRDIWDFLRAMNVPVNSLHSKGYISIGCEPCTRSVLPGQHEREGRWWWEDAKAKECGLHKGNIKQEEGNQSNGNGAAHSNGTATETDIFTSQNLVTLSRTGIENLARLENRHEPWIVVLYAPWCQFCQAMEGSYIELADKLAGSGVKVGKFRADGEQKEFAQNELQLGSFPTILFFPKHSSRPIKYPSEKRDVDSLMAFINALR >ONI11142 pep chromosome:Prunus_persica_NCBIv2:G4:4441602:4441968:1 gene:PRUPE_4G089400 transcript:ONI11142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGGITHQDFAGHKGTIWAGDVQWMTAGRGIVNSEMPAGEGPNTGLQLWINLFRKDKMSARTENKTV >ONI11350 pep chromosome:Prunus_persica_NCBIv2:G4:5257521:5260722:1 gene:PRUPE_4G102900 transcript:ONI11350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASIAIASSNPLYSSLTLPTSRGRGGGSSTRRRRTHCLKITGSSLAGGSALFQAAHHTVDTYIKSGMVIGLGSGQASDMAIQYIGLQLGAGALKDIVGIPMSIACASEAAKAGIPLGHYEYCSQIDFAFDDADIIEEGTLISVIGRTINQGEESIIQEKSILNAANKLVFMITENQYKSGPDGSIPVLVNSLNWMQAAEEIDDLFLGDAEVWRRPSIGIAGPNGGEFPLVTKEGHNVLDVIFTSPILSLNEVSKSLDEVDGVIDHGIISKFPCTAVIASESGLSVVDNLPKNAVKEP >ONI10665 pep chromosome:Prunus_persica_NCBIv2:G4:2894702:2896660:1 gene:PRUPE_4G060800 transcript:ONI10665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVELIVPMDCAGCETKIRKALKKLKGVDAIDVDVDMQKVTVMGWADQKKVLKAVRKTGKRAELWPYPYNPQYHNVNNLQYYRQHQPHDHHHDHHHHGYKKQAPFITYNSIPNSSYSYNYYKHGSTSHEHGYYQPPPYSTIFSEQDTAAFSDDNPHACSIM >ONI10666 pep chromosome:Prunus_persica_NCBIv2:G4:2895321:2896660:1 gene:PRUPE_4G060800 transcript:ONI10666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCAGCETKIRKALKKLKGVDAIDVDVDMQKVTVMGWADQKKVLKAVRKTGKRAELWPYPYNPQYHNVNNLQYYRQHQPHDHHHDHHHHGYKKQAPFITYNSIPNSSYSYNYYKHGSTSHEHGYYQPPPYSTIFSEQDTAAFSDDNPHACSIM >ONI10674 pep chromosome:Prunus_persica_NCBIv2:G4:2932475:2932963:-1 gene:PRUPE_4G061400 transcript:ONI10674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVQNGQDVPGIKLFGTTITLQNRPQVKEEPKKADQTAEKRPDRIIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKTKPPCRGLAGFPEACIYESSEVVHQFELDGVMEEWHMAAAQGGFHQVFPVVKRRRSSSGGQTCS >ONI11890 pep chromosome:Prunus_persica_NCBIv2:G4:7348041:7364493:1 gene:PRUPE_4G132800 transcript:ONI11890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLRPRRDSTPYTTKWQNKFEENLEQWPHLKELVQCYTTDWVKDENKYGHYENVGPPSFQNQIYEGPDTDIETEMHLSSARRTKVEDTTDDDVPSTSGRQFMDATVSDSVHSNDPKHFGQSPLPAYEPAFDWENERSMIFGQRVPETPISHGLKISVKVMSLSFQAGLAEPFYGTICLYNRERREKLSEDFYFRHAPTEKKDISFEPRGIFYLDAPSSSVCLLIQLEKHATEEGGVTPSVYSRKEPVHLTEKEKQKLQVWSQIMPYRESFAWAIVSLFDNSIGAASGGSASPSSPLAPSISGSSSHEGVFEPSAKVTLDGKLGYSSRSSVVVEISNLNKVKECYTEDSLQDPKRKIHKPVKGVLRLEIEKHQNDHVDMENISESGSVTNDSIDDRITDSTFGKLPSNGLDGPQGSSSKWNSFDAKEMSGNGSNAHGNSVPSSDDFQAFDFRTTTRNEPFLQLFHCLYVYPTTVSLSRKRNLFIRVELREDDNDIRRQPLEAMYPREPSASLQKWAHTQLTVGARVAFYHDEIKLSLPATWTPTHHLLFTFFHVDLQTKLEAPKPIVIGYAALPLSTHAQLRSEISLPIMRELVPHYLQDMGRERLDYLEDGKNIFRLRLRLCSSLYPINERIRDFFLEYDRHTLRTSAPWGSELLEAINSLKNVDSIALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNIVTRVQQESVDDAERNHFLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEKMRLFYHNLPLGEEIPPMQLKEGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQLVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLTWDHDDLSLRSKAARILVVLLCKHEFDARYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVAILQIVRNLDDASLVKAWQQSIARTRLFFKLMEECLVLFEHRKPADGMLMGSSSRSPVGDGPASPKYSDRLSPAINNYLSEASRQEVRPQGTPENGYSWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSASVSLQVLEITEKFSTMAASHGIATDYGKFDCVTAIFMSFFSRNQPLSFWRSLLPVFNSVFNLHGANLMARENDRFLKQVTFHLLRLAVFRNDNIRKRAVMGLQMLIRSSFYYFMQTARLRVMLIITLSELMSDVQVTQMKSDGTLEESGEARRLRQSLEEVADASKSPSLLRECGLPESALLDIPERMTENRWSWSEVKYLSESLLLALDASLEHALLGSLMTMDRYAAAESFYRLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGIVMQALVARNDGVWSKDHITALRKICPMVSNEISSETSAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELFHFCASILELVIPVYKSRRAYGQLSKCHTMLTNIYESILEQESSPIPFTDATYYRVGFYSDRFGKLDRKEYVYREARDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >ONI10759 pep chromosome:Prunus_persica_NCBIv2:G4:3226879:3227542:1 gene:PRUPE_4G066800 transcript:ONI10759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRPIFRKAEYGLEGMVSTRGDVYSFGIVVMETLTRRKPPDEMFVGEMNLKQWIANSLLPDAMIDEVVDANFLTWCGCCCKPATTASWVLCRCFVKNICFSIYYVY >ONI10554 pep chromosome:Prunus_persica_NCBIv2:G4:2562704:2566597:1 gene:PRUPE_4G053100 transcript:ONI10554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLPLLLSLLFPPLPTLSVNQEGLYLQHFKNSLDDPDSTLSSWNDHDVTPCSWSGVKCDATSNVVHSIDLSSKNLAGPFPTVLCRLPNLTFLSLYNNSINSTLPPSLSTCQHLEHLDLAQNLLTGALPSTLPDLPNLKYLDLTGNNFSGEIPDTFGRFQKLEVLSLVYNLFDSTIPPFLGNISTLKMLNLSYNPFHPGRIPQELGNLTNLEVLWLTECNLLGEIPDSLGRLKKLTDLDLAINDLNGTIPASLSELTSVVQIELYNNSLTGELPPGMSNLTRLRLLDASMNQLSGQIPDELCRLQLESLNLYENNFDGSLPESIANSPNLYELRLFRNKLTGELPQNLGKNSPLKWLDVSSNQFSGSIPPTLCEKGQTEEILMIHNYFSGEIPASLGECHSLTRVRLGHNRLNGEVPVGFWGLPHVYLMELVENELSGPIAKTIAGAANLSLLIIAKNKFTGSIPEEIGGVESLMAFSGADNGFSGPLPQSIVRLGQLGTLDLHNNELSGELPNGIQSWTKLNELNLANNQLSGKIADGIGNLTGLNYLDLSGNRLSGRIPVGLQNMRLNVFNLSNNRLSGELPPLFAKEIYKNSFLGNPGLCGDLEGLCDCRAEVKSQGYIWLLRCIFILAGLVFVVGVVWFYLKYKNFKKANRAIDKSKWTLMSFHKLGFSEYEILDCLDEDNVIGTGASGKVYKVVLTSGEVVAVKKLWRGKVKECENDDVEKGWVQDDGFEAEVDTLGRIRHKNIVKLWCCCTARDCKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIGLDAAEGLSYLHHDCAPAIVHRDVKSNNILLDGDFGARVADFGVARVVDATGKGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRLPVDPEFGEKDLVKWVCTTLDQKGVDHVIDPKIESCYKEEVCKVLNIGLLCTSPLPINRPSMRRVVKLLQEVGTEKHPQTAKKEGKLSPYYYEDTSDHGSVA >ONI10556 pep chromosome:Prunus_persica_NCBIv2:G4:2574049:2576136:1 gene:PRUPE_4G053300 transcript:ONI10556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCNDSDDERAIQIITPPPPPPPPSHNNNEFRNITCPSCGHHIEFQDQAGIHDLPGLPAGVKFDPTDQEILQHLEAKVLSDTRKLHPLIDEFIPTLEGENGICYTHPEKLPGVNKDGQIRHFFHRPSKAYTTGTRKRRKVHTEEDGSETRWHKTGKTRPVLLGGAVKGFKKILVLYTNYGRQRKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSSVKDVPMFDHHKGVNIRSGHGHDPLPIAKKAGLVEYYNPGGGFINYDHHNLHNQGGHNRESPPQLIPNLVVQGDGSSFIRLTADASKGKLERK >ONI11990 pep chromosome:Prunus_persica_NCBIv2:G4:7730640:7733031:1 gene:PRUPE_4G138500 transcript:ONI11990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNYTSSKDDHQYIDEDDVQLPGFRFHPTDEELVEFYLHRKVEKKAISIELIKSIDIYKHDPWDLPKAINTAGEKEWYFFCKRGRKYKNSIRPNRVTGSGFWKATGIDKPIHSHGGQGHACIGLKKTLVYYRGSAGKGTKTDWMMHEFRLPNPASSNEYIHRSSNTKNISSTDPQQEAEIWTLCRIFKRNVSYKKYTADCRGLSGAKRHPMDTVSSKKCTSDQDDLDQSNNYISFGTSDNIICYEEKKPVVNHTNMNNFNGSNQQLHAVGQLSSNMPATYPYMETFSNFSYQDLENELLNENWDELRSAAVHLAFDPTFLV >ONI10992 pep chromosome:Prunus_persica_NCBIv2:G4:3927627:3932698:1 gene:PRUPE_4G080800 transcript:ONI10992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPAPSRWSFQFGRKKVAEPQNGQSTDKAVSNGSSSGGTANGNGHVKNTADLAIYEQFQNQDRSSAPHSNGGLSDRHDQTPQKSLLPAFESAEMRSLAESLARDIVRGNPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLVKVLFELARHHAPSTIFIDEIDAIISQRGEGRSEHEASRRLKTELLIQMDGLTRTSELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRSMFEELLPLEPDEEKLPYDLLVERTEGYSGSDIRLVCKEAAMQPLRRLMSFLEDKEEIVPEDELPKVGPIKREDIEAALKNTRPSAHLQAHRYEKFNADYGSQILQ >ONI10991 pep chromosome:Prunus_persica_NCBIv2:G4:3927620:3932698:1 gene:PRUPE_4G080800 transcript:ONI10991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPAPSRWSFQDFKLFYDAKFGRKKVAEPQNGQSTDKAVSNGSSSGGTANGNGHVKNTADLAIYEQFQNQDRSSAPHSNGGLSDRHDQTPQKSLLPAFESAEMRSLAESLARDIVRGNPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLVKVLFELARHHAPSTIFIDEIDAIISQRGEGRSEHEASRRLKTELLIQMDGLTRTSELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRSMFEELLPLEPDEEKLPYDLLVERTEGYSGSDIRLVCKEAAMQPLRRLMSFLEDKEEIVPEDELPKVGPIKREDIEAALKNTRPSAHLQAHRYEKFNADYGSQILQ >ONI14057 pep chromosome:Prunus_persica_NCBIv2:G4:18615850:18617106:-1 gene:PRUPE_4G259500 transcript:ONI14057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLAHRSFRVPKLLEYLFAYCGVLALEGSPIEWVSTHRYHHQFTDTEKDAHSPLKGFWYSHMGWILDSSSRFGRYGGLKNVEDMKKQPFYVFLHHTFMLHSFILAGILYAVGGLPFLVWGMGVRMVVVFHSTLLVNSAGHTWGYQAWNTGDLSKNLWWLGLVALGEGWHNNHHAFEYSARQGLEWWQIDLTWYIIKFLEALGLATDVKVPSEAHRKRMALKTE >ONI10257 pep chromosome:Prunus_persica_NCBIv2:G4:1763066:1763266:-1 gene:PRUPE_4G037300 transcript:ONI10257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSYLSPPLHSTVIDQNNIRLSCCTWIRKMPMLFIKINGETMYLIHESNNYLNAKNITCSFLSKI >ONI12439 pep chromosome:Prunus_persica_NCBIv2:G4:9565822:9567660:-1 gene:PRUPE_4G164700 transcript:ONI12439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQDPSLAKTLNLSLFLFTPFPNPLRKTLTLQPISTAPKRLCIKAFSQFPQNSDPNFTFSHQIPQLRRDIRSLAGGSKKKPGGGPSPGRIEGNAEFRKEVKQNARRKSKKLAESMFYRLKNPSRKYADNFTDDELQQIGLGYDRMVRFMEKDDSNLRHPHDWYKYGEFGPYSWRGVVVGEPVRGRFTDERVTMIGEVKDQEEWEKIEQFEMGQDFGKRLTQLDRSKGFKYFWVFVRHPRWRLSDLPWQQWTLVCEVVVEGDKQRLDKWNLMGRLGNLTRSMITKCAAWMRPDIIYVKRPVYQCRFEPQGDFFRVLAPFLDPKTEEDYLFELERDDGSVEMCTYFGGLCKIVKVNQKAFVDDVVKGYEKLSDEEKSRCLGFLLKNHPVQLLHPYTKEWKAKLEEWELGCDAPDGDDDVRGINVVEKEYTDWIEVDEDNNEEEDVVMEMEDGGENEFGDDNENSNGDEEEDDGDDELGVEEEELSEEEDEKYWEEEFQKAVSSSDAMEKLAKRSVEVNTEYYKKQLRAMERNKYGNLEDDGDETALRRKRPTVSPEEWKIAGYGPWRKRIKKSKIPPQLFLRAAVRPFNYRNLVKEIVLTRHAILDGEFGAKT >ONI11628 pep chromosome:Prunus_persica_NCBIv2:G4:6338258:6340492:1 gene:PRUPE_4G117100 transcript:ONI11628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQVFGFTLESWRVSNTLMKLNGSDTLCRYDSNSSLITILFFILLLPILASSACTCEKQPKDQNKSKEVLRYKLVAIVSVLVSGAIGVCLPFLLKNISSILHPDKDFYLLIKAFAAGVILATGFVHVLPDAHESLTSPCLSKNPWGRFPFTGFVAMVSAIGTLMMEAIATGYHKRSELKKSQPVDGDEESDHGAHHAGHVHGSALVLESSNSSELIRHRIISQVLELGIVVHSVIIGISLGACQSPHTIKPLVAALSFHQFFEGMGLGGCIYQAKFKHKTMATMVLFFSLTTPAGIAVGIAISNTYTKSSPTALIVQGLLLSASAGILIYMALVDLLAADFINNAKMLGNPKLQLGANFTLLLGACFMSLMAKWGES >ONI11629 pep chromosome:Prunus_persica_NCBIv2:G4:6338517:6340516:1 gene:PRUPE_4G117100 transcript:ONI11629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKFRYDSNSSLITILFFILLLPILASSACTCEKQPKDQNKSKEVLRYKLVAIVSVLVSGAIGVCLPFLLKNISSILHPDKDFYLLIKAFAAGVILATGFVHVLPDAHESLTSPCLSKNPWGRFPFTGFVAMVSAIGTLMMEAIATGYHKRSELKKSQPVDGDEESDHGAHHAGHVHGSALVLESSNSSELIRHRIISQVLELGIVVHSVIIGISLGACQSPHTIKPLVAALSFHQFFEGMGLGGCIYQAKFKHKTMATMVLFFSLTTPAGIAVGIAISNTYTKSSPTALIVQGLLLSASAGILIYMALVDLLAADFINNAKMLGNPKLQLGANFTLLLGACFMSLMAKWGES >ONI09746 pep chromosome:Prunus_persica_NCBIv2:G4:355045:359881:-1 gene:PRUPE_4G006600 transcript:ONI09746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVYRTGSRRKKNASTGENLESSAAGAGQVTSEGKRAYHCNYCNKDITGKIRIKCCMCPDFDLCIECFSVGAELTSHKSNHSYRVMDDLSFPLICPDWNADDEILLLEATEMYGLGNWAEVAEHVGTKSKEQCIEHYTNVYLNSQRFPIPDMSHVEGKNRKELLAMAKGHGEDKKGFPMLGDHSLKEESPFSPSRTKAEDMHKGGHSSRLLSSINTDAESGLRSSGASVAAAAGNKKPSNMAQVKDGPGVIKVEEPQADRKGKKPSSLGSAGPSLVELSGYNVKRQEFDPEYDNDSEQLLADMEFKDTDTEDERDLKLRVLRIYSKRLDERKRRKDFILERNLLYPNPFEKDLLPEERAICRRYDVFMCFHSKEEHEELLQTVIAEHRTMKRIQELKEARAAGCRTSAEADRYLEHKRKKDAEENARRAKESGQVGPSSQGGPNLFVSSESVDKDSNSRPAGQATSSSASDMDIMGFYGSDLLSEAEKRLCSEIRLPPPVFLKMQEVISIEIFSGNVSKKSDVHHLFKIEPSKIDRVYDMLVKKGITQP >ONI09744 pep chromosome:Prunus_persica_NCBIv2:G4:354578:360618:-1 gene:PRUPE_4G006600 transcript:ONI09744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRGNFHSDEDPTQRSRRKKNASTGENLESSAAGAGQVTSEGKRAYHCNYCNKDITGKIRIKCCMCPDFDLCIECFSVGAELTSHKSNHSYRVMDDLSFPLICPDWNADDEILLLEATEMYGLGNWAEVAEHVGTKSKEQCIEHYTNVYLNSQRFPIPDMSHVEGKNRKELLAMAKGHGEDKKGFPMLGDHSLKEESPFSPSRTKAEDMHKGGHSSRLLSSINTDAESGLRSSGASVAAAAGNKKPSNMAQVKDGPGVIKVEEPQADRKGKKPSSLGSAGPSLVELSGYNVKRQEFDPEYDNDSEQLLADMEFKDTDTEDERDLKLRVLRIYSKRLDERKRRKDFILERNLLYPNPFEKDLLPEERAICRRYDVFMCFHSKEEHEELLQTVIAEHRTMKRIQELKEARAAGCRTSAEADRYLEHKRKKDAEENARRAKESGQVGPSSQGGPNLFVSSESVDKDSNSRPAGQATSSSASDMDIMGFYGSDLLSEAEKRLCSEIRLPPPVFLKMQEVISIEIFSGNVSKKSDVHHLFKIEPSKIDRVYDMLVKKGITQP >ONI09745 pep chromosome:Prunus_persica_NCBIv2:G4:354578:360003:-1 gene:PRUPE_4G006600 transcript:ONI09745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVYRTGSRRKKNASTGENLESSAAGAGQVTSEGKRAYHCNYCNKDITGKIRIKCCMCPDFDLCIECFSVGAELTSHKSNHSYRVMDDLSFPLICPDWNADDEILLLEATEMYGLGNWAEVAEHVGTKSKEQCIEHYTNVYLNSQRFPIPDMSHVEGKNRKELLAMAKGHGEDKKGFPMLGDHSLKEESPFSPSRTKAEDMHKGGHSSRLLSSINTDAESGLRSSGASVAAAAGNKKPSNMAQVKDGPGVIKVEEPQADRKGKKPSSLGSAGPSLVELSGYNVKRQEFDPEYDNDSEQLLADMEFKDTDTEDERDLKLRVLRIYSKRLDERKRRKDFILERNLLYPNPFEKDLLPEERAICRRYDVFMCFHSKEEHEELLQTVIAEHRTMKRIQELKEARAAGCRTSAEADRYLEHKRKKDAEENARRAKESGQVGPSSQGGPNLFVSSESVDKDSNSRPAGQATSSSASDMDIMGFYGSDLLSEAEKRLCSEIRLPPPVFLKMQEVISIEIFSGNVSKKSDVHHLFKIEPSKIDRVYDMLVKKGITQP >ONI13154 pep chromosome:Prunus_persica_NCBIv2:G4:12856316:12861820:1 gene:PRUPE_4G206100 transcript:ONI13154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCARSAGKITKVEGYCESGLDLWVDLKIDSNPENDLDHSDLPQPTASPQGFSTRVQLTDLGSQPILGSNFDTDERGSGVLMSASPDADVSTIKISERASNAMEELSSMAFAQEPLWQVDMASNTEMLSDVEYMRVVKVRECQSSPSLDVDNSHELEPVLAVSSESSRAVEYFETRPISIIELLMDLEQWSLAFSNIVSKATLVGVLASTGVERHYDGTLQLMTAEFHAPSPLVPTRESSFARYCKKLDTGLWGVVDVSPETLPQFPSRNFRRRPSGCLIEEMPNGCSKVIWVEHVVVDNRLVHHFFQPLVTSGFAFCAKRWVNTLIQHFQWSATVRVPNSPTDRGVIIPQLQRTFFLKFSERMVKSFFMDISASRENKWMPFPVSSADIMISTKSSTDDHGKILGTTTVFATSVHLPVPSKQVFSLLRDVKFRRQWDIYGRNHRFDEHAYISNGDSPENGVSILRAINDETKKIKALYMQESCAASTGSYIVYAPFDYKDAENKLMKDEWPDHIPILPSGFSILPDRPIHRDETGGSLLTIAFHVVAKSPTDEHEPSNQLGFLMHNIIAKTVMSIKEALGFSI >ONI13155 pep chromosome:Prunus_persica_NCBIv2:G4:12856252:12861730:1 gene:PRUPE_4G206100 transcript:ONI13155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCARSAGKITKVEGYCESGLDLWVDLKIDSNPENDLDHSDLPQPTASPQGFSTRVQLTDLGSQPILGSNFDTDERGSGVLMSASPDADVSTIKISERASNAMEELSSMAFAQEPLWQVDMASNTEMLSDVEYMRVVKVRECQSSPSLDVDNSHELEPVLAVSSESSRAVEYFETRPISIIELLMDLEQWSLAFSNIVSKATLVGVLASTGVERHYDGTLQLMTAEFHAPSPLVPTRESSFARYCKKLDTGLWGVVDVSPETLPQFPSRNFRRRPSGCLIEEMPNGCSKVIWVEHVVVDNRLVHHFFQPLVTSGFAFCAKRWVNTLIQHFQWSATVRVPNSPTDRGVIIPQLQRTFFLKFSERMVKSFFMDISASRENKWMPFPVSSADIMISTKSSTDDHGKILGTTTVFATSVHLPVPSKQVFSLLRDVKFRRQWDIYGRNHRFDEHAYISNGDSPENGVSILRAINDETKKIKALYMQESCAASTGSYIVYAPFDYKDAENKLMKDEWPDHIPILPSGFSILPDRPIHRDETGGSLLTIAFHVVAKSPTDEHEPSNQLGFLMHNIIAKTVMSIKEALGFSI >ONI13152 pep chromosome:Prunus_persica_NCBIv2:G4:12856266:12861674:1 gene:PRUPE_4G206100 transcript:ONI13152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCARSAGKITKVEGYCESGLDLWVDLKIDSNPENDLDHSDLPQPTASPQGFSTRVQLTDLGSQPILGSNFDTDERGSGVLMSASPDADVSTIKISERASNAMEELSSMAFAQEPLWQVDMASNTEMLSDVEYMRVVKVRECQSSPSLDVDNSHELEPVLAVSSESSRAVEYFETRPISIIELLMDLEQWSLAFSNIVSKATLVGVLASTGVERHYDGTLQLMTAEFHAPSPLVPTRESSFARYCKKLDTGLWGVVDVSPETLPQFPSRNFRRRPSGCLIEEMPNGCSKVIWVEHVVVDNRLVHHFFQPLVTSGFAFCAKRWVNTLIQHFQWSATVRVPNSPTDRGVIIPQLQRTFFLKFSERMVKSFFMDISASRENKWMPFPVSSADIMISTKSSTDDHGKILGTTTVFATSVHLPVPSKQVFSLLRDVKFRRQWDIYGRNHRFDEHAYISNGDSPENGVSILRAINDETKKIKALYMQESCAASTGSYIVYAPFDYKDAENKLMKDEWPDHIPILPSGFSILPDRPIHRDETGGSLLTIAFHVVAKSPTDEHEPSNQLGFLMHNIIAKTVMSIKEALGFSI >ONI13153 pep chromosome:Prunus_persica_NCBIv2:G4:12856298:12861685:1 gene:PRUPE_4G206100 transcript:ONI13153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCARSAGKITKVEGYCESGLDLWVDLKIDSNPENDLDHSDLPQPTASPQGFSTRVQLTDLGSQPILGSNFDTDERGSGVLMSASPDADVSTIKISERASNAMEELSSMAFAQEPLWQVDMASNTEMLSDVEYMRVVKVRECQSSPSLDVDNSHELEPVLAVSSESSRAVEYFETRPISIIELLMDLEQWSLAFSNIVSKATLVGVLASTGVERHYDGTLQLMTAEFHAPSPLVPTRESSFARYCKKLDTGLWGVVDVSPETLPQFPSRNFRRRPSGCLIEEMPNGCSKVIWVEHVVVDNRLVHHFFQPLVTSGFAFCAKRWVNTLIQHFQWSATVRVPNSPTDRGVIIPQLQRTFFLKFSERMVKSFFMDISASRENKWMPFPVSSADIMISTKSSTDDHGKILGTTTVFATSVHLPVPSKQVFSLLRDVKFRRQWDIYGRNHRFDEHAYISNGDSPENGVSILRAINDETKKIKALYMQESCAASTGSYIVYAPFDYKDAENKLMKDEWPDHIPILPSGFSILPDRPIHRDETGGSLLTIAFHVVAKSPTDEHEPSNQLGFLMHNIIAKTVMSIKEALGFSI >ONI10977 pep chromosome:Prunus_persica_NCBIv2:G4:3861154:3865678:-1 gene:PRUPE_4G079700 transcript:ONI10977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEGPTVTVAAPTDTAVIRSTDSPPPSPKDGSDVSPVGSPDRVSSEIQPQPSDDDPDQSSGTGGLSNDLKQKIIKQVEYYFSDENLPSDKHMLSLIKKNKEGFVPISVIASFRKMKKLIRNNSLIAAALRESSLLVVSSNGKKLKRLHPLPLPENRDAKCTVLVENLPEDHSVENLKRIFGEAGNIKDVCILDPHAIEASTKGSKAEKLISNKLHALVEYDTVEAADKAVTTLNNEEDWRNGMRVKHLKQMGKYGQRKQAWRGFDSEKSSGNRTSDQTGGEENHNASEHHNDTRTPDDEDGERVPKEKNGHRGRNRGQSGRQKYRVTNGFGHGTSSANHGIEPSKPPPGPRMPDGTRGFTMGRGRTLGANSNQS >ONI10976 pep chromosome:Prunus_persica_NCBIv2:G4:3861940:3865603:-1 gene:PRUPE_4G079700 transcript:ONI10976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEGPTVTVAAPTDTAVIRSTDSPPPSPKDGSDVSPVGSPDRVSSEIQPQPSDDDPDQSSGTGGLSNDLKQKIIKQVEYYFSDENLPSDKHMLSLIKKNKEVPISVIASFRKMKKLIRNNSLIAAALRESSLLVVSSNGKKLKRLHPLPLPENRDAKCTVLVENLPEDHSVENLKRIFGEAGNIKDVCILDPHAIEASTKGSKAEKLISNKLHALVEYDTVEAADKAVTTLNNEEDWRNGMRVKHLKQMGKYGQRKQAWRGFDSEKSSGNRTSDQTGGEENHNDGERVPKEKNGHRGRNRGQSGRQKYRVTNGFGHGTSSANHGIEPSKPPPGPRMPDGTRGFTMGRGRTLGANSNQS >ONI12514 pep chromosome:Prunus_persica_NCBIv2:G4:10014234:10016477:1 gene:PRUPE_4G169800 transcript:ONI12514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGFLLFLLFSCIISTNIHACKQTERSSLLSFASTLSSPPLNWTSLDCCRWKGITCDQDGWVTRLLLPSKGLKGGISPFSLANLTHLTHLNLSHNSLYGSLETQFFLSLNQLEILDLSYNRLFGELPLSLPSINIRRVDLSSNHFSGAIPSSFFQQASNLTSFNVSNNTFTGYVPSSICLHSSPSLRLLDFSSNVFSGNLAPGLGKCSKLQVFRAGHNNLSGLFPEDIYNATKIEEIALPLNSLHGAISDRIANLTNLAILDLYFNQLNGELPHNLGKLSKLKLLTLDFNNLEGSLPQSLINCTNLVELHLLNNNLEGDISMLDFSRLSQLTKLDLRMNNFSGTVPVSLYSCWSLKAIGLSRNHLEGQIQAEIISLKSLSFLCFGHNRFTNLTGAMKILMSCKSLHVLMLDGAFKGEGMPSDDDMVDFDGFQNLRFLSLFNSDLTGHMPVWLSKLKNLEILRLDFNQITGPIPSWLGTMPRLFHIYLSDNQFSGEFPKQLCRLPRLVYEPNISSQLDDTSYEFELPIFSSSNFGIFAKQTVLQQKMSSIPAMIRLSNNNISGYIPAEIGQLHLLRLLFLDSNSFSGVIPDQISNLKNLEILNLSMNHLSGIIPSSLASLNFLKEFNVSYNNLKGLIPTGTQLQSFEASAFEGNPKLYGAPLPNKCGPNKDMDVDNKNNKDVENVIPWFYIFAASGFLVGFWGVCGSLVINKTWRCAYFRFIDNLQDMLLYVMITFPLNRMKRMLRG >ONI13708 pep chromosome:Prunus_persica_NCBIv2:G4:15799188:15804526:1 gene:PRUPE_4G240100 transcript:ONI13708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLPWFPDRSSWLPLMLSICTNIVKTLRRTRKNRSHLYRKGIKRKIPFQQTSRQKIPFHHPIAYIYKDRSKFF >ONI13759 pep chromosome:Prunus_persica_NCBIv2:G4:16075994:16081581:-1 gene:PRUPE_4G243400 transcript:ONI13759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNLDGGVDAKGMDDLKEPLLKPLDINNKDKRIRTVKFKIGDIECASCATTIESVLGKLDGVKNATVSPIQGQAAVNYIPELITAKKIKEAIEDAGFPVDEFPEQDVAVTQLRIKGMACTSCSESVESALRMIAGVKNAVVGLALEEAKVHFDPSLTDTSCIIQAIEDAGFGADLISSGNDVNKVHLKLEGVNSPEDMSIVQSSLESVEGVNNVEVDFAEKKVTIAYDSNLTGPRSLIHCVEKAGRDLKLYQASLYVPPRRREAEQKHEIQMYRNQFFLSCLFSVPIFFFSMVLPMLPPYGNWLEYKVHNTLTVGMLLRWILCTPVQFIVGRRFYVGSYHALRRRSANMDVLVALGTNVAYFYSVYIAMKALALDKFEGQDFFETSSMLISFILLGKFLEVIAKGKTSDALAKLTDLAPDTAYLLSLDDDGNVISEMEISTQLIQRNDILKIVPGAKVPADGIVVSGQSYVNESMITGEARPIAKRLGDKVIGGTINENGCLQVKATHVGAETALSQIVQLVEAAQLARAPVQKLADQISKFFVPTVVIAAFLTWLGWFILGEFGLYPKHWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNSLEKAHKVKTVVFDKTGTLTVGKPEVVSAVLFSNYSMEEFCAVATAAEANSEHPIAKSIVEHAKRLLMKFGSTEHVMEAKDFEVHTGAGVRGRVGDKMVLVGNKRLMRDCNVQVRPEVEEYVSENEKLARTCVLVAIDGKVAGSFAVTDPVKPEAVRVISYLHSMSISSIMVTGDNWATAAAIAKEVGIDKVFAETDPLGKADRIKELQATILD >ONI13755 pep chromosome:Prunus_persica_NCBIv2:G4:16075131:16081329:-1 gene:PRUPE_4G243400 transcript:ONI13755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLMDSNNLDGGVDAKGMDDLKEPLLKPLDINNKDKRIRTVKFKIGDIECASCATTIESVLGKLDGVKNATVSPIQGQAAVNYIPELITAKKIKEAIEDAGFPVDEFPEQDVAVTQLRIKGMACTSCSESVESALRMIAGVKNAVVGLALEEAKVHFDPSLTDTSCIIQAIEDAGFGADLISSGNDVNKVHLKLEGVNSPEDMSIVQSSLESVEGVNNVEVDFAEKKVTIAYDSNLTGPRSLIHCVEKAGRDLKLYQASLYVPPRRREAEQKHEIQMYRNQFFLSCLFSVPIFFFSMVLPMLPPYGNWLEYKVHNTLTVGMLLRWILCTPVQFIVGRRFYVGSYHALRRRSANMDVLVALGTNVAYFYSVYIAMKALALDKFEGQDFFETSSMLISFILLGKFLEVIAKGKTSDALAKLTDLAPDTAYLLSLDDDGNVISEMEISTQLIQRNDILKIVPGAKVPADGIVVSGQSYVNESMITGEARPIAKRLGDKVIGGTINENGCLQVKATHVGAETALSQIVQLVEAAQLARAPVQKLADQISKFFVPTVVIAAFLTWLGWFILGEFGLYPKHWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNSLEKAHKVKTVVFDKTGTLTVGKPEVVSAVLFSNYSMEEFCAVATAAEANSEHPIAKSIVEHAKRLLMKFGSTEHVMEAKDFEVHTGAGVRGRVGDKMVLVGNKRLMRDCNVQVRPEVEEYVSENEKLARTCVLVAIDGKVAGSFAVTDPVKPEAVRVISYLHSMSISSIMVTGDNWATAAAIAKEVGIDKLKGLTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLMKSNLEDVVTAIHLSRKTMSRIRLNYVWALGYNILGMPIAAGVLFPFTGIRLPPWLAGACMAASSLSVVCSSLLLQSYKKPLHISAKSA >ONI13757 pep chromosome:Prunus_persica_NCBIv2:G4:16075116:16080861:-1 gene:PRUPE_4G243400 transcript:ONI13757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNLDGGVDAKGMDDLKEPLLKPLDINNKDKRIRTVKFKIGDIECASCATTIESVLGKLDGVKNATVSPIQGQAAVNYIPELITAKKIKEAIEDAGFPVDEFPEQDVAVTQLRIKGMACTSCSESVESALRMIAGVKNAVVGLALEEAKVHFDPSLTDTSCIIQAIEDAGFGADLISSGNDVNKVHLKLEGVNSPEDMSIVQSSLESVEGVNNVEVDFAEKKVTIAYDSNLTGPRSLIHCVEKAGRDLKLYQASLYVPPRRREAEQKHEIQMYRNQFFLSCLFSVPIFFFSMVLPMLPPYGNWLEYKVHNTLTVGMLLRWILCTPVQFIVGRRFYVGSYHALRRRSANMDVLVALGTNVAYFYSVYIAMKALALDKFEGQDFFETSSMLISFILLGKFLEVIAKGKTSDALAKLTDLAPDTAYLLSLDDDGNVISEMEISTQLIQRNDILKIVPGAKVPADGIVVSGQSYVNESMITGEARPIAKRLGDKVIGGTINENGCLQVKATHVGAETALSQIVQLVEAAQLARAPVQKLADQISKFFVPTVVIAAFLTWLGWFILGEFGLYPKHWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNSLEKAHKVKTVVFDKTGTLTVGKPEVVSAVLFSNYSMEEFCAVATAAEANSEHPIAKSIVEHAKRLLMKFGSTEHVMEAKDFEVHTGAGVRGRVGDKMVLVGNKRLMRDCNVQVRPEVEEYVSENEKLARTCVLVAIDGKVAGSFAVTDPVKPEAVRVISYLHSMSISSIMVTGDNWATAAAIAKEVGIDKVFAETDPLGKADRIKELQLKGLTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLMKSNLEDVVTAIHLSRKTMSRIRLNYVWALGYNILGMPIAAGVLFPFTGIRLPPWLAGACMAASSLSVVCSSLLLQSYKKPLHISAKSA >ONI13756 pep chromosome:Prunus_persica_NCBIv2:G4:16075119:16081277:-1 gene:PRUPE_4G243400 transcript:ONI13756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFLGLMDSNNLDGGVDAKGMDDLKEPLLKPLDINNKDKRIRTVKFKIGDIECASCATTIESVLGKLDGVKNATVSPIQGQAAVNYIPELITAKKIKEAIEDAGFPVDEFPEQDVAVTQLRIKGMACTSCSESVESALRMIAGVKNAVVGLALEEAKVHFDPSLTDTSCIIQAIEDAGFGADLISSGNDVNKVHLKLEGVNSPEDMSIVQSSLESVEGVNNVEVDFAEKKVTIAYDSNLTGPRSLIHCVEKAGRDLKLYQASLYVPPRRREAEQKHEIQMYRNQFFLSCLFSVPIFFFSMVLPMLPPYGNWLEYKVHNTLTVGMLLRWILCTPVQFIVGRRFYVGSYHALRRRSANMDVLVALGTNVAYFYSVYIAMKALALDKFEGQDFFETSSMLISFILLGKFLEVIAKGKTSDALAKLTDLAPDTAYLLSLDDDGNVISEMEISTQLIQRNDILKIVPGAKVPADGIVVSGQSYVNESMITGEARPIAKRLGDKVIGGTINENGCLQVKATHVGAETALSQIVQLVEAAQLARAPVQKLADQISKFFVPTVVIAAFLTWLGWFILGEFGLYPKHWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNSLEKAHKVKTVVFDKTGTLTVGKPEVVSAVLFSNYSMEEFCAVATAAEANSEHPIAKSIVEHAKRLLMKFGSTEHVMEAKDFEVHTGAGVRGRVGDKMVLVGNKRLMRDCNVQVRPEVEEYVSENEKLARTCVLVAIDGKVAGSFAVTDPVKPEAVRVISYLHSMSISSIMVTGDNWATAAAIAKEVGIDKVFAETDPLGKADRIKELQLKGLTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLMKSNLEDVVTAIHLSRKTMSRIRLNYVWALGYNILGMPIAAGVLFPFTGIRLPPWLAGACMAASSLSVVCSSLLLQSYKKPLHISAKSA >ONI13760 pep chromosome:Prunus_persica_NCBIv2:G4:16077533:16081581:-1 gene:PRUPE_4G243400 transcript:ONI13760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNLDGGVDAKGMDDLKEPLLKPLDINNKDKRIRTVKFKIGDIECASCATTIESVLGKLDGVKNATVSPIQGQAAVNYIPELITAKKIKEAIEDAGFPVDEFPEQDVAVTQLRIKGMACTSCSESVESALRMIAGVKNAVVGLALEEAKVHFDPSLTDTSCIIQAIEDAGFGADLISSGNDVNKVHLKLEGVNSPEDMSIVQSSLESVEGVNNVEVDFAEKKVTIAYDSNLTGPRSLIHCVEKAGRDLKLYQASLYVPPRRREAEQKHEIQMYRNQFFLSCLFSVPIFFFSMVLPMLPPYGNWLEYKVHNTLTVGMLLRWILCTPVQFIVGRRFYVGSYHALRRRSANMDVLVALGTNVAYFYSVYIAMKALALDKFEGQDFFETSSMLISFILLGKFLEVIAKGKTSDALAKLTDLAPDTAYLLSLDDDGNVISEMEISTQLIQRNDILKIVPGAKVPADGIVVSGQSYVNESMITGEARPIAKRLGDKVIGGTINENGCLQVKATHVGAETALSQIVQLVEAAQLARAPVQKLADQISKFFVPTVVIAAFLTWLGWFILGEFGLYPKHWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNSLEKAHKVS >ONI13758 pep chromosome:Prunus_persica_NCBIv2:G4:16074963:16081581:-1 gene:PRUPE_4G243400 transcript:ONI13758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNLDGGVDAKGMDDLKEPLLKPLDINNKDKRIRTVKFKIGDIECASCATTIESVLGKLDGVKNATVSPIQGQAAVNYIPELITAKKIKEAIEDAGFPVDEFPEQDVAVTQLRIKGMACTSCSESVESALRMIAGVKNAVVGLALEEAKVHFDPSLTDTSCIIQAIEDAGFGADLISSGNDVNKVHLKLEGVNSPEDMSIVQSSLESVEGVNNVEVDFAEKKVTIAYDSNLTGPRSLIHCVEKAGRDLKLYQASLYVPPRRREAEQKHEIQMYRNQFFLSCLFSVPIFFFSMVLPMLPPYGNWLEYKVHNTLTVGMLLRWILCTPVQFIVGRRFYVGSYHALRRRSANMDVLVALGTNVAYFYSVYIAMKALALDKFEGQDFFETSSMLISFILLGKFLEVIAKGKTSDALAKLTDLAPDTAYLLSLDDDGNVISEMEISTQLIQRNDILKIVPGAKVPADGIVVSGQSYVNESMITGEARPIAKRLGDKVIGGTINENGCLQVKATHVGAETALSQIVQLVEAAQLARAPVQKLADQISKFFVPTVVIAAFLTWLGWFILGEFGLYPKHWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNSLEKAHKVKTVVFDKTGTLTVGKPEVVSAVLFSNYSMEEFCAVATAAEANSEHPIAKSIVEHAKRLLMKFGSTEHVMEAKDFEVHTGAGVRGRVGDKMVLVGNKRLMRDCNVQVRPEVEEYVSENEKLARTCVLVAIDGKVAGSFAVTDPVKPEAVRVISYLHSMSISSIMVTGDNWATAAAIAKEVGIDKVFAETDPLGKADRIKELQLKGLTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLMKSNLEDVVTAIHLSRKTMSRIRLNYVWALGYNILGMPIAAGVLFPFTGIRLPPWLAGACMAASSLSVVCSSLLLQSYKKPLHISAKSA >ONI13796 pep chromosome:Prunus_persica_NCBIv2:G4:16295556:16310656:-1 gene:PRUPE_4G245700 transcript:ONI13796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHYDQERKKCLHYAVNACLAPLYSVEGMHVITVEGLGSHKQGLHPIQESLARSHGSQCGFCTPGFIMSMYALLRSSQKPPSEEQIEECLAGNLCRCTGYRPIVEAFRVFAKTNDTPYIDISSLSREGGAFVCPSTGKPCSCGLKSESSCTTPESGTCDDKRYEPVSYSEIDGSSYTDKEFIFPPELLLRKSTYLSLTGFGGLKWFRPLRLKQVLELKQKFPDAKLLVGNTEVGIEMRFKKIEYRVLISVTHVSELSILNVKDDGVEIGSAVRLSELLKVLRKVITERAVHETSSCTAFVEQLKWFAGMQIRNVACVGGNICTASPISDLNPLWMASRAKFRIIDCKGNIRTTLAEKFFLGYRKVDLASGEILLSVFLPWTRPFEYVKEFKQAHRRDDDIAIVNAGIRVHLEERGDSRVVSDASIVYGGVAPLSLSATRTKDFLIGKSWNKELLQGALKVLQKDVLIKDDAPGGMVEFRKSLTLSFFFKFFLWVSHQMEGDHCIKERVPLSHLSAVQSFLRPPVIGTQDYEITKHGTAVGSPEVHLSARLQVTGEAEYSDDTPLPQNGLHAALILSRKPHARILAIDGSGAKLSPGFAGVFFSNDVPADNKIGPVVYDEELFASEFVTCVGQVIGVVVADTHENAKLAARKVLVEYEELPPILSILDAVNANSYHPNTERCFRKGDVDLCFQSRQCENVIVGEVRVGGQEHFYLEPQSSVVWTMDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFVAAAASVPSYLLNRPVKITLDRDTDMMITGQRHSFLGKYKVGFTNEGKVLALDLEIYNNGGNSLDLSLPVLERAMFHSDNVYEIPNVRIVGRVCFTNIPSNTAFRGFGGPQGMLITENWIQRIAAELKKSPEEIREINFQGEGSILHYGQQLQHCTLGPLWSELKLSCEFLKARYEVDQFNIQNRWRKRGVAMVPTKFGISFTLKLMNQAGALVHVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFISETSTDKVPNSSPTAASASSDMYGAAVLDACEQIKARMEPIASQQNFSSFAELASACYVARIDLSAHGFYITPEIDFDWTTGKGNPFRYFTYGAAFAEVEVDTLTGDFHTRVANIFLDLGYSLNPAIDVGQIEGAFIQGLGWVALEELKWGDSAHQWISPGCLYTCGPGNYKIPSINDVPFKFSVSLLKGHPNVKAIHSSKAVGEPPFFLASAVFFAIKDAIIAARAEVGSKEWFPLDNPATPERIRMACLDEITAGIISSDFRAKLSI >ONI13795 pep chromosome:Prunus_persica_NCBIv2:G4:16295556:16311225:-1 gene:PRUPE_4G245700 transcript:ONI13795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKNEEELEQIGEESKEAILYVNGIRKVLPDGLAHFTLLEYLRDIGLTGTKLGCGEGGCGACTVMVSHYDQERKKCLHYAVNACLAPLYSVEGMHVITVEGLGSHKQGLHPIQESLARSHGSQCGFCTPGFIMSMYALLRSSQKPPSEEQIEECLAGNLCRCTGYRPIVEAFRVFAKTNDTPYIDISSLSREGGAFVCPSTGKPCSCGLKSESSCTTPESGTCDDKRYEPVSYSEIDGSSYTDKEFIFPPELLLRKSTYLSLTGFGGLKWFRPLRLKQVLELKQKFPDAKLLVGNTEVGIEMRFKKIEYRVLISVTHVSELSILNVKDDGVEIGSAVRLSELLKVLRKVITERAVHETSSCTAFVEQLKWFAGMQIRNVACVGGNICTASPISDLNPLWMASRAKFRIIDCKGNIRTTLAEKFFLGYRKVDLASGEILLSVFLPWTRPFEYVKEFKQAHRRDDDIAIVNAGIRVHLEERGDSRVVSDASIVYGGVAPLSLSATRTKDFLIGKSWNKELLQGALKVLQKDVLIKDDAPGGMVEFRKSLTLSFFFKFFLWVSHQMEGDHCIKERVPLSHLSAVQSFLRPPVIGTQDYEITKHGTAVGSPEVHLSARLQVTGEAEYSDDTPLPQNGLHAALILSRKPHARILAIDGSGAKLSPGFAGVFFSNDVPADNKIGPVVYDEELFASEFVTCVGQVIGVVVADTHENAKLAARKVLVEYEELPPILSILDAVNANSYHPNTERCFRKGDVDLCFQSRQCENVIVGEVRVGGQEHFYLEPQSSVVWTMDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFVAAAASVPSYLLNRPVKITLDRDTDMMITGQRHSFLGKYKVGFTNEGKVLALDLEIYNNGGNSLDLSLPVLERAMFHSDNVYEIPNVRIVGRVCFTNIPSNTAFRGFGGPQGMLITENWIQRIAAELKKSPEEIREINFQGEGSILHYGQQLQHCTLGPLWSELKLSCEFLKARYEVDQFNIQNRWRKRGVAMVPTKFGISFTLKLMNQAGALVHVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFISETSTDKVPNSSPTAASASSDMYGAAVLDACEQIKARMEPIASQQNFSSFAELASACYVARIDLSAHGFYITPEIDFDWTTGKGNPFRYFTYGAAFAEVEVDTLTGDFHTRVANIFLDLGYSLNPAIDVGQIEGAFIQGLGWVALEELKWGDSAHQWISPGCLYTCGPGNYKIPSINDVPFKFSVSLLKGHPNVKAIHSSKAVGEPPFFLASAVFFAIKDAIIAARAEVGSKEWFPLDNPATPERIRMACLDEITAGIISSDFRAKLSI >ONI11757 pep chromosome:Prunus_persica_NCBIv2:G4:6764849:6768243:-1 gene:PRUPE_4G123900 transcript:ONI11757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQAQTQRVSLCSSAFNSNHHHYQHFRLGVKAHASLPFLSRFPSVATMSTRSSSGHWRRRKRSDDVVSPSSSSSRSSWRCAAASASPPPPPAPPTADKREVPELQTLIRRFWKVAAPYWSSDDKEQARMQLAAVFALTLATTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLGAFAGGIPIFVLRDYARETLSLRWRSWMTKYYMDRYLSNQTFYRIQSQSIIDNPDQRIVDDLSSFTGTALSFSLTLFNAVIDLISFSNILFGIYPPLFVVLLVYSIGGTAISVYLGRGLVSLNFLQEKKEADFRYGLVRVRENAESIAFYSGEENEMQLLLQRFKSAFENLSKLLISSRNLEFFTNGYRYLIQILPVAVVAPMFFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDVLDTSNFERRSDPSEGIRLIYCNVESLAELDSNGSIPIDNEQQKLVDIENLTVQTPSSATLVRDLSLLINNNEHLLVGNRTKWEW >ONI11754 pep chromosome:Prunus_persica_NCBIv2:G4:6762504:6767161:-1 gene:PRUPE_4G123900 transcript:ONI11754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTVVQIFVLRDYARETLSLRWRSWMTKYYMDRYLSNQTFYRIQSQSIIDNPDQRIVDDLSSFTGTALSFSLTLFNAVIDLISFSNILFGIYPPLFVVLLVYSIGGTAISVYLGRGLVSLNFLQEKKEADFRYGLVRVRENAESIAFYSGEENEMQLLLQRFKSAFENLSKLLISSRNLEFFTNGYRYLIQILPVAVVAPMFFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDVLDTSNFERRSDPSEGIRLIYCNVESLAELDSNGSIPIDNEQQKLVDIENLTVQTPSSATLVRDLSLLINNNEHLLVTGPSGSGKTSLLRAMSGLWSTGKGEIKFYVKDGEEDHQPSISSGVAPLKVDTANDKYGELGRPSNRNYKGIFFLPQRPYMVLGTLRQQLLYPTWADDSISTSESTKPTGSLPFLMQAPKLENTSEKPSKPTTEDLIQALEDVRLGYILARFSSLDTSYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEANEAHLYQQIEKAGITYISVGHRRTLYDFHKKNLHISTVDPSSANRNWQIKSINKDTLYQLSNL >ONI11756 pep chromosome:Prunus_persica_NCBIv2:G4:6762504:6768445:-1 gene:PRUPE_4G123900 transcript:ONI11756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQAQTQRVSLCSSAFNSNHHHYQHFRLGVKAHASLPFLSRFPSVATMSTRSSSGHWRRRKRSDDVVSPSSSSSRSSWRCAAASASPPPPPAPPTADKREVPELQTLIRRFWKVAAPYWSSDDKEQARMQLAAVFALTLATTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLGAFAGGIPIFVLRDYARETLSLRWRSWMTKYYMDRYLSNQTFYRIQSQSIIDNPDQRIVDDLSSFTGTALSFSLTLFNAVIDLISFSNILFGIYPPLFVVLLVYSIGGTAISVYLGRGLVSLNFLQEKKEADFRYGLVRVRENAESIAFYSGEENEMQLLLQRFKSAFENLSKLLISSRNLEFFTNGYRYLIQILPVAVVAPMFFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDVLDTSNFERRSDPSEGIRLIYCNVESLAELDSNGSIPIDNEQQKLVDIENLTVQTPSSATLVRDLSLLINNNEHLLVTGPSGSGKTSLLRAMSGLWSTGKGEIKFYVKDGEEDHQPSISSGVAPLKVDTANDKYGELGRPSNRNYKGIFFLPQRPYMVLGTLRQQLLYPTWADDSISTSESTKPTGTKIRKYK >ONI11752 pep chromosome:Prunus_persica_NCBIv2:G4:6762989:6768243:-1 gene:PRUPE_4G123900 transcript:ONI11752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQAQTQRVSLCSSAFNSNHHHYQHFRLGVKAHASLPFLSRFPSVATMSTRSSSGHWRRRKRSDDVVSPSSSSSRSSWRCAAASASPPPPPAPPTADKREVPELQTLIRRFWKVAAPYWSSDDKEQARMQLAAVFALTLATTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLGAFAGGIPIFVLRDYARETLSLRWRSWMTKYYMDRYLSNQTFYRIQSQSIIDNPDQRIVDDLSSFTGTALSFSLTLFNAVIDLISFSNILFGIYPPLFVVLLVYSIGGTAISVYLGRGLVSLNFLQEKKEADFRYGLVRVRENAESIAFYSGEENEMQLLLQRFKSAFENLSKLLISSRNLEFFTNGYRYLIQILPVAVVAPMFFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDVLDTSNFERRSDPSEGIRLIYCNVESLAELDSNGSIPIDNEQQKLVDIENLTVQTPSSATLVRDLSLLINNNEHLLVTGPSGSGKTSLLRAMSGLWSTGKGEIKFYVKDGEEDHQPSISSGVAPLKVDTANDKYGELGRPSNRNYKGIFFLPQRPYMVLGTLRQQLLYPTWADDSISTSESTKPTGSLPFLMQAPKLENTSEKPSKPTTEDLIQALEDVRLGYILARFSSLDTSYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEANEAHLYQQIEKAGITYISVGHRRTLYDFHKKNLHISTVDPSSANRNWQIKSINKDTLYQLSNL >ONI11753 pep chromosome:Prunus_persica_NCBIv2:G4:6762504:6768445:-1 gene:PRUPE_4G123900 transcript:ONI11753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAAVFALTLATTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLGAFAGGIPIFVLRDYARETLSLRWRSWMTKYYMDRYLSNQTFYRIQSQSIIDNPDQRIVDDLSSFTGTALSFSLTLFNAVIDLISFSNILFGIYPPLFVVLLVYSIGGTAISVYLGRGLVSLNFLQEKKEADFRYGLVRVRENAESIAFYSGEENEMQLLLQRFKSAFENLSKLLISSRNLEFFTNGYRYLIQILPVAVVAPMFFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDVLDTSNFERRSDPSEGIRLIYCNVESLAELDSNGSIPIDNEQQKLVDIENLTVQTPSSATLVRDLSLLINNNEHLLVTGPSGSGKTSLLRAMSGLWSTGKGEIKFYVKDGEEDHQPSISSGVAPLKVDTANDKYGELGRPSNRNYKGIFFLPQRPYMVLGTLRQQLLYPTWADDSISTSESTKPTGSLPFLMQAPKLENTSEKPSKPTTEDLIQALEDVRLGYILARFSSLDTSYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEANEAHLYQQIEKAGITYISVGHRRTLYDFHKKNLHISTVDPSSANRNWQIKSINKDTLYQLSNL >ONI11755 pep chromosome:Prunus_persica_NCBIv2:G4:6762504:6767222:-1 gene:PRUPE_4G123900 transcript:ONI11755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYYMDRYLSNQTFYRIQSQSIIDNPDQRIVDDLSSFTGTALSFSLTLFNAVIDLISFSNILFGIYPPLFVVLLVYSIGGTAISVYLGRGLVSLNFLQEKKEADFRYGLVRVRENAESIAFYSGEENEMQLLLQRFKSAFENLSKLLISSRNLEFFTNGYRYLIQILPVAVVAPMFFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDVLDTSNFERRSDPSEGIRLIYCNVESLAELDSNGSIPIDNEQQKLVDIENLTVQTPSSATLVRDLSLLINNNEHLLVTGPSGSGKTSLLRAMSGLWSTGKGEIKFYVKDGEEDHQPSISSGVAPLKVDTANDKYGELGRPSNRNYKGIFFLPQRPYMVLGTLRQQLLYPTWADDSISTSESTKPTGSLPFLMQAPKLENTSEKPSKPTTEDLIQALEDVRLGYILARFSSLDTSYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEANEAHLYQQIEKAGITYISVGHRRTLYDFHKKNLHISTVDPSSANRNWQIKSINKDTLYQLSNL >ONI12278 pep chromosome:Prunus_persica_NCBIv2:G4:8912684:8916606:-1 gene:PRUPE_4G155700 transcript:ONI12278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSASHSVFIICRDAAGIAGNIFAFGLFLSPIHTYRRIIRNRSTEEFSGLPYIYALLNCLICTWYGSPLVSSDNLLIMTVNSAGAVFQLVYIALFIIYAEKSKKVRMLGFLLADFGLFAIIVFGSLQMTDLVMRRLIVGLLSCVSLISMFASPMFIINLVIRTKSVEFMPFYLSLSTFLMSTSFFLYGIFNYDLFIYVPNGIGTILGIIQLALYFYYKDSSKEDSREPLIVPYP >ONI12277 pep chromosome:Prunus_persica_NCBIv2:G4:8912179:8916606:-1 gene:PRUPE_4G155700 transcript:ONI12277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSASHSVFIICRDAAGIAGNIFAFGLFLSPIHTYRRIIRNRSTEEFSGLPYIYALLNCLICTWYGSPLVSSDNLLIMTVNSAGAVFQLVYIALFIIYAEKSKKVRMLGFLLADFGLFAIIVFGSLQMTDLVMRRLIVGLLSCVSLISMFASPMFIINLVIRTKSVEFMPFYLSLSTFLMSTSFFLYGIFNYDLFIYVPNGIGTILGIIQLALYFYYKDSSKEDSREPLIVPYP >ONI12280 pep chromosome:Prunus_persica_NCBIv2:G4:8912151:8916616:-1 gene:PRUPE_4G155700 transcript:ONI12280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSASHSVFIICRDAAGIAGNIFAFGLFLSPIHTYRRIIRNRSTEEFSGLPYIYALLNCLICTWYGSPLVSSDNLLIMTVNSAGAVFQLVYIALFIIYAEKSKKVRMLGFLLADFGLFAIIVFGSLQMTDLVMRRLIVGLLSCVSLISMFASPMFIINLVIRTKSVEFMPFYLSLSTFLMSTSFFLYGIFNYDLFIYVPNGIGTILGIIQLALYFYYKDSSKEDSREPLIVPYP >ONI12279 pep chromosome:Prunus_persica_NCBIv2:G4:8912153:8916606:-1 gene:PRUPE_4G155700 transcript:ONI12279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSASHSVFIICRDAAGIAGNIFAFGLFLSPIHTYRRIIRNRSTEEFSGLPYIYALLNCLICTWYGSPLVSSDNLLIMTVNSAGAVFQLVYIALFIIYAEKSKKVRMLGFLLADFGLFAIIVFGSLQMTDLVMRRLIVGLLSCVSLISMFASPMFIINLVIRTKSVEFMPFYLSLSTFLMSTSFFLYGIFNYDLFIYVPNGIGTILGIIQLALYFYYKDSSKEDSREPLIVPYP >ONI13778 pep chromosome:Prunus_persica_NCBIv2:G4:16219795:16223478:1 gene:PRUPE_4G244500 transcript:ONI13778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIILLSISMSTLRVRPQRFVPKPVSDPLLSLFHTRSLSPHKHKPINWNTTHKSVQANPLLSLLETCKSMSQLKQIQSQMILTGLISDGFASSRLIAFCALSESRNLDYCNKILYNTQNPNVFSWNVVIRGYSESENPREAVVLYKKMLRNGGSRPDNYTYPLLLKVCANLTLNFTGREVLGHVMRLGLYSDMFVHNAVIHMLVSCRELHAARKVFDEGCVRDLVSWNSLINGYVRSGLACEALRIYQEMELKGFKPDEVTMIGVVSSCAQLEDLRLGRKFHRLIEENGLSLTVPLANSLMDMYMKCENLEAAQALFDNMSKKTIVSWTTMIVGYAKYGFLEIAHRLLYEIPEKNVVPWNAMIGGYVQAKHSKEALALFHEMQASNINPDEITMVGCLCACSQLGALDVGIWIHHYIEKQGLSINVAVGTALVDMYAKCGNITKALKVFWDMPGRNSFTWTAIICGLALNGHAHVAISYFAEMINTGLVPDEITFLGVLSACCHGGLVEDGRKYFSLMTSKFNICPELKHYSSMVDLLGRAGLLQEAEELIHSMPIKADAVVWGALFFACYIHKNVLIGERAASKLLELDPHDSGIYVLLAKMYRESHMWEEAEKAQTMMKERGVEKTPGCSSIEVNGAVHEFIVRDKSHPQSKQIYDCLVELTRQMELVGSISEVPAFWENSTLVLNFRQQV >ONI13779 pep chromosome:Prunus_persica_NCBIv2:G4:16219795:16223489:1 gene:PRUPE_4G244500 transcript:ONI13779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIILLSISMSTLRVRPQRFVPKPVSDPLLSLFHTRSLSPHKHKPINWNTTHKSVQANPLLSLLETCKSMSQLKQIQSQMILTGLISDGFASSRLIAFCALSESRNLDYCNKILYNTQNPNVFSWNVVIRGYSESENPREAVVLYKKMLRNGGSRPDNYTYPLLLKVCANLTLNFTGREVLGHVMRLGLYSDMFVHNAVIHMLVSCRELHAARKVFDEGCVRDLVSWNSLINGYVRSGLACEALRIYQEMELKGFKPDEVTMIGVVSSCAQLEDLRLGRKFHRLIEENGLSLTVPLANSLMDMYMKCENLEAAQALFDNMSKKTIVSWTTMIVGYAKYGFLEIAHRLLYEIPEKNVVPWNAMIGGYVQAKHSKEALALFHEMQASNINPDEITMVGCLCACSQLGALDVGIWIHHYIEKQGLSINVAVGTALVDMYAKCGNITKALKVFWDMPGRNSFTWTAIICGLALNGHAHVAISYFAEMINTGLVPDEITFLGVLSACCHGGLVEDGRNHICGRRQRRHKL >ONI13780 pep chromosome:Prunus_persica_NCBIv2:G4:16219795:16223489:1 gene:PRUPE_4G244500 transcript:ONI13780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIILLSISMSTLRVRPQRFVPKPVSDPLLSLFHTRSLSPHKHKPINWNTTHKSVQANPLLSLLETCKSMSQLKQIQSQMILTGLISDGFASSRLIAFCALSESRNLDYCNKILYNTQNPNVFSWNVVIRGYSESENPREAVVLYKKMLRNGGSRPDNYTYPLLLKVCANLTLNFTGREVLGHVMRLGLYSDMFVHNAVIHMLVSCRELHAARKVFDEGCVRDLVSWNSLINGYVRSGLACEALRIYQEMELKGFKPDEVTMIGVVSSCAQLEDLRLGRKFHRLIEENGLSLTVPLANSLMDMYMKCENLEAAQALFDNMSKKTIVSWTTMIVGYAKYGFLEIAHRLLYEIPEKNVVPWNAMIGGYVQAKHSKEALALFHEMQASNINPDEITMVGCLCACSQLGALDVGIWIHHYIEKQGLSINVAVGTALVDMYAKCGNITKALKVFWDMPGRNSFTWTAIICGLALNGHAHVAISYFAEMINTGLVPDEITFLGVLSACCHGGLVEDGRNHICGRRQRRHKL >ONI13776 pep chromosome:Prunus_persica_NCBIv2:G4:16219795:16223495:1 gene:PRUPE_4G244500 transcript:ONI13776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIILLSISMSTLRVRPQRFVPKPVSDPLLSLFHTRSLSPHKHKPINWNTTHKSVQANPLLSLLETCKSMSQLKQIQSQMILTGLISDGFASSRLIAFCALSESRNLDYCNKILYNTQNPNVFSWNVVIRGYSESENPREAVVLYKKMLRNGGSRPDNYTYPLLLKVCANLTLNFTGREVLGHVMRLGLYSDMFVHNAVIHMLVSCRELHAARKVFDEGCVRDLVSWNSLINGYVRSGLACEALRIYQEMELKGFKPDEVTMIGVVSSCAQLEDLRLGRKFHRLIEENGLSLTVPLANSLMDMYMKCENLEAAQALFDNMSKKTIVSWTTMIVGYAKYGFLEIAHRLLYEIPEKNVVPWNAMIGGYVQAKHSKEALALFHEMQASNINPDEITMVGCLCACSQLGALDVGIWIHHYIEKQGLSINVAVGTALVDMYAKCGNITKALKVFWDMPGRNSFTWTAIICGLALNGHAHVAISYFAEMINTGLVPDEITFLGVLSACCHGGLVEDGRKYFSLMTSKFNICPELKHYSSMVDLLGRAGLLQEAEELIHSMPIKADAVVWGALFFACYIHKNVLIGERAASKLLELDPHDSGIYVLLAKMYRESHMWEEAEKAQTMMKERGVEKTPGCSSIEVNGAVHEFIVRDKSHPQSKQIYDCLVELTRQMELVGSISEVPAFWENSTLVLNFRQQV >ONI13777 pep chromosome:Prunus_persica_NCBIv2:G4:16219795:16223478:1 gene:PRUPE_4G244500 transcript:ONI13777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIILLSISMSTLRVRPQRFVPKPVSDPLLSLFHTRSLSPHKHKPINWNTTHKSVQANPLLSLLETCKSMSQLKQIQSQMILTGLISDGFASSRLIAFCALSESRNLDYCNKILYNTQNPNVFSWNVVIRGYSESENPREAVVLYKKMLRNGGSRPDNYTYPLLLKVCANLTLNFTGREVLGHVMRLGLYSDMFVHNAVIHMLVSCRELHAARKVFDEGCVRDLVSWNSLINGYVRSGLACEALRIYQEMELKGFKPDEVTMIGVVSSCAQLEDLRLGRKFHRLIEENGLSLTVPLANSLMDMYMKCENLEAAQALFDNMSKKTIVSWTTMIVGYAKYGFLEIAHRLLYEIPEKNVVPWNAMIGGYVQAKHSKEALALFHEMQASNINPDEITMVGCLCACSQLGALDVGIWIHHYIEKQGLSINVAVGTALVDMYAKCGNITKALKVFWDMPGRNSFTWTAIICGLALNGHAHVAISYFAEMINTGLVPDEITFLGVLSACCHGGLVEDGRKYFSLMTSKFNICPELKHYSSMVDLLGRAGLLQEAEELIHSMPIKADAVVWGALFFACYIHKNVLIGERAASKLLELDPHDSGIYVLLAKMYRESHMWEEAEKAQTMMKERGVEKTPGCSSIEVNGAVHEFIVRDKSHPQSKQIYDCLVELTRQMELVGSISEVPAFWENSTLVLNFRQQV >ONI13775 pep chromosome:Prunus_persica_NCBIv2:G4:16219795:16223488:1 gene:PRUPE_4G244500 transcript:ONI13775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIILLSISMSTLRVRPQRFVPKPVSDPLLSLFHTRSLSPHKHKPINWNTTHKSVQANPLLSLLETCKSMSQLKQIQSQMILTGLISDGFASSRLIAFCALSESRNLDYCNKILYNTQNPNVFSWNVVIRGYSESENPREAVVLYKKMLRNGGSRPDNYTYPLLLKVCANLTLNFTGREVLGHVMRLGLYSDMFVHNAVIHMLVSCRELHAARKVFDEGCVRDLVSWNSLINGYVRSGLACEALRIYQEMELKGFKPDEVTMIGVVSSCAQLEDLRLGRKFHRLIEENGLSLTVPLANSLMDMYMKCENLEAAQALFDNMSKKTIVSWTTMIVGYAKYGFLEIAHRLLYEIPEKNVVPWNAMIGGYVQAKHSKEALALFHEMQASNINPDEITMVGCLCACSQLGALDVGIWIHHYIEKQGLSINVAVGTALVDMYAKCGNITKALKVFWDMPGRNSFTWTAIICGLALNGHAHVAISYFAEMINTGLVPDEITFLGVLSACCHGGLVEDGRKYFSLMTSKFNICPELKHYSSMVDLLGRAGLLQEAEELIHSMPIKADAVVWGALFFACYIHKNVLIGERAASKLLELDPHDSGIYVLLAKMYRESHMWEEAEKAQTMMKERGVEKTPGCSSIEVNGAVHEFIVRDKSHPQSKQIYDCLVELTRQMELVGSISEVPAFWENSTLVLNFRQQV >ONI13774 pep chromosome:Prunus_persica_NCBIv2:G4:16219795:16223478:1 gene:PRUPE_4G244500 transcript:ONI13774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIILLSISMSTLRVRPQRFVPKPVSDPLLSLFHTRSLSPHKHKPINWNTTHKSVQANPLLSLLETCKSMSQLKQIQSQMILTGLISDGFASSRLIAFCALSESRNLDYCNKILYNTQNPNVFSWNVVIRGYSESENPREAVVLYKKMLRNGGSRPDNYTYPLLLKVCANLTLNFTGREVLGHVMRLGLYSDMFVHNAVIHMLVSCRELHAARKVFDEGCVRDLVSWNSLINGYVRSGLACEALRIYQEMELKGFKPDEVTMIGVVSSCAQLEDLRLGRKFHRLIEENGLSLTVPLANSLMDMYMKCENLEAAQALFDNMSKKTIVSWTTMIVGYAKYGFLEIAHRLLYEIPEKNVVPWNAMIGGYVQAKHSKEALALFHEMQASNINPDEITMVGCLCACSQLGALDVGIWIHHYIEKQGLSINVAVGTALVDMYAKCGNITKALKVFWDMPGRNSFTWTAIICGLALNGHAHVAISYFAEMINTGLVPDEITFLGVLSACCHGGLVEDGRKYFSLMTSKFNICPELKHYSSMVDLLGRAGLLQEAEELIHSMPIKADAVVWGALFFACYIHKNVLIGERAASKLLELDPHDSGIYVLLAKMYRESHMWEEAEKAQTMMKERGVEKTPGCSSIEVNGAVHEFIVRDKSHPQSKQIYDCLVELTRQMELVGSISEVPAFWENSTLVLNFRQQV >ONI11111 pep chromosome:Prunus_persica_NCBIv2:G4:4329424:4330281:1 gene:PRUPE_4G088200 transcript:ONI11111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATVTTSLPQFNGLKSKVSYANVQSLAAVQPMRRKGQGALGARCDFIGSPTNLIMVTTTTLMLFAGRFGLAPSANRKATAGLKLETRDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNIGSL >ONI14080 pep chromosome:Prunus_persica_NCBIv2:G4:18934771:18937757:-1 gene:PRUPE_4G261000 transcript:ONI14080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDMESDGGQKDLGNTKPPKSRPNKEDETPNEQDDNVKGFATVDKTSAPFVEVIRERKHAKQSQNENCSSTSIRDPTVTRATANRKLGPHKRTPYEDINALKAKANAKTRQVIAHERIMKRLSVGLFRMSNNISEDDRDLLSFAFCCNPLDPKAWGELLVDTGVVAIDRHHFGSLAPGSPLFDDVINVMTEYLYDTTSTKWFLPTYFWSEGAKFVRPSNRMTSCARTHKLCRLVRFNGRLKDCMQLLYLDSVFHDDILSVFGTGWTFSSFSVVSLLDANLIQPNAVNCGIFFIRHMQYYRQLWYDQYNSNVQRMRLAIELLRNEKNQLRDAIIREALKVMKNGYDFMAKGNKIGNVEVKDKDVADVTVKRKRVYIRKQKKSAVTIPETRTGRV >ONI14082 pep chromosome:Prunus_persica_NCBIv2:G4:18935218:18937337:-1 gene:PRUPE_4G261000 transcript:ONI14082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDMESDGGQKDLGNTKPPKSRPNKEDETPNEQDDNVKGFATVDKTSAPFVEVIRERKHAKQSQNEDKMGHNNVKSLFLKNCSSTSIRDPTVTRATANRKLGPHKRTPYEDINALKAKANAKTRQVIAHERIMKRLSVGLFRMSNNISEDDRDLLSFAFCCNPLDPKAWGELLVDTGVVAIDRHHFGSLAPGSPLFDDVINVMTEYLYDTTSTKWFLPTYFWSEGAKFVRPSNRMTSCARTHKLCRLVRFNGRLKDCMQIYIPMHNDVIGHWYLLVCDIFHKKAEIWDSLPDVHHNKKCENDCHISLLYLDSVFHDDILSVFGTGWTFSSFSVVSLLDANLIQPNAVNCGIFFIRHMQYYRQLWYDQYNSNVQRMRLAIELLRNEKNQLRDAIIREALKVMKNGYDFMAKGNKIGNVEVKDKDVADVTVKRKRVYIRKQKKSAVTIPETRTGRV >ONI14081 pep chromosome:Prunus_persica_NCBIv2:G4:18934771:18938479:-1 gene:PRUPE_4G261000 transcript:ONI14081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDMESDGGQKDLGNTKPPKSRPNKEDETPNEQDDNVKGFATVDKTSAPFVEVIRERKHAKQSQNEDKMGHNNVKSLFLKNCSSTSIRDPTVTRATANRKLGPHKRTPYEDINALKAKANAKTRQVIAHERIMKRLSVGLFRMSNNISEDDRDLLSFAFCCNPLDPKAWGELLVDTGVVAIDRHHFGSLAPGSPLFDDVINVMTEYLYDTTSTKWFLPTYFWSEGAKFVRPSNRMTSCARTHKLCRLVRFNGRLKDCMQLLYLDSVFHDDILSVFGTGWTFSSFSVVSLLDANLIQPNAVNCGIFFIRHMQYYRQLWYDQYNSNVQRMRLAIELLRNEKNQLRDAIIREALKVMKNGYDFMAKGNKIGNVEVKDKDVADVTVKRKRVYIRKQKKSAVTIPETRTGRV >ONI14079 pep chromosome:Prunus_persica_NCBIv2:G4:18934771:18938479:-1 gene:PRUPE_4G261000 transcript:ONI14079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTISKGFAVISATKNLHLPYIKDIFASGRTRFLEWVVSENQNCSSTSIRDPTVTRATANRKLGPHKRTPYEDINALKAKANAKTRQVIAHERIMKRLSVGLFRMSNNISEDDRDLLSFAFCCNPLDPKAWGELLVDTGVVAIDRHHFGSLAPGSPLFDDVINVMTEYLYDTTSTKWFLPTYFWSEGAKFVRPSNRMTSCARTHKLCRLVRFNGRLKDCMQLLYLDSVFHDDILSVFGTGWTFSSFSVVSLLDANLIQPNAVNCGIFFIRHMQYYRQLWYDQYNSNVQRMRLAIELLRNEKNQLRDAIIREALKVMKNGYDFMAKGNKIGNVEVKDKDVADVTVKRKRVYIRKQKKSAVTIPETRTGRV >ONI14085 pep chromosome:Prunus_persica_NCBIv2:G4:18935780:18938117:-1 gene:PRUPE_4G261000 transcript:ONI14085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTISKGFAVISATKNLHLPYIKDIFASGRTRFLEWVVSENQNCSSTSIRDPTVTRATANRKLGPHKRTPYEDINALKAKANAKTRQVIAHERIMKRLSVGLFRMSNNISEDDRDLLSFAFCCNPLDPKAWGELLVDTGVVAIDRHHFGSLAPGSPLFDDVINVMTEYLYDTTSTKWFLPTYFWSEGAKFVRPSNRMTSCARTHKLCRLVRFNGRLKDCMQIYIPMHNDVIGHWYLLVCDIFHKKAEIWDSLPDVHHNKKCENDCHISVSGEAFVQCY >ONI14084 pep chromosome:Prunus_persica_NCBIv2:G4:18935722:18938117:-1 gene:PRUPE_4G261000 transcript:ONI14084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTISKGFAVISATKNLHLPYIKDIFASGRTRFLEWVVSENQNCSSTSIRDPTVTRATANRKLGPHKRTPYEDINALKAKANAKTRQVIAHERIMKRLSVGLFRMSNNISEDDRDLLSFAFCCNPLDPKAWGELLVDTGVVAIDRHHFGSLAPGSPLFDDVINVMTEYLYDTTSTKWFLPTYFWSEGAKFVRPSNRMTSCARTHKLCRLVRFNGRLKDCMQIYIPMHNDVIGHWYLLVCDIFHKKAEIWDSLPDVHHNKKFVVPRLRFS >ONI14086 pep chromosome:Prunus_persica_NCBIv2:G4:18934771:18937601:-1 gene:PRUPE_4G261000 transcript:ONI14086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDMESDGGQKDLGNTKPPKSRPNKEDETPNEQDDNVKGFATVDKTSAPFVEVIRERKHAKQSQNENCSSTSIRDPTVTRATANRKLGPHKRTPYEDINALKAKANAKTRQVIAHERIMKRLSVGLFRMSNNISEDDRDLLSFAFCCNPLDPKAWGELLVDTGVVAIDRHHFGSLAPGSPLFDDVINVMTEYLYDTTSTKWFLPTYFWSEGAKFVRPSNRMTSCARTHKLCRLVRFNGRLKDCMQIYIPMHNDVIGHWYLLVCDIFHKKAEIWDSLPDVHHNKKCENDCHISVSGEAFVQCY >ONI14083 pep chromosome:Prunus_persica_NCBIv2:G4:18935218:18936863:-1 gene:PRUPE_4G261000 transcript:ONI14083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNNVKSLFLKNCSSTSIRDPTVTRATANRKLGPHKRTPYEDINALKAKANAKTRQVIAHERIMKRLSVGLFRMSNNISEDDRDLLSFAFCCNPLDPKAWGELLVDTGVVAIDRHHFGSLAPGSPLFDDVINVMTEYLYDTTSTKWFLPTYFWSEGAKFVRPSNRMTSCARTHKLCRLVRFNGRLKDCMQLLYLDSVFHDDILSVFGTGWTFSSFSVVSLLDANLIQPNAVNCGIFFIRHMQYYRQLWYDQYNSNVQRMRLAIELLRNEKNQLRDAIIREALKVMKNGYDFMAKGNKIGNVEVKDKDVADVTVKRKRVYIRKQKKSAVTIPETRTGRV >ONI10328 pep chromosome:Prunus_persica_NCBIv2:G4:1927075:1929036:-1 gene:PRUPE_4G040900 transcript:ONI10328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSSGSQAHPQLPPGFRFHPTDEELVVHYLKKKAASAPLPVTIIAEVDLYKFDPWELPSKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILTSIGNQKVGVKKALVFYGGKPPKGIKSNWIMHEYRLVNNNNNFSKPPDASNKKASLRLDDWVLCRIYKKNNAQRPMMDEDSSNNSMDGMFAHPSSILPAPPPPPPQPKHTTNYTALLENDDHEGFFEGILSSNDQQGSHSHHHHQHHQHHQHHHDQFGGISTSSSKSNLPVKRPLSSSFWNDAGSMGTAAAAATTSSSSKRFHADLNSGISATAAGGVDESNSSFVSMLNQLPQGTAPFHSNALLGSLGDGVLRQQFQHPSMNWNS >ONI10329 pep chromosome:Prunus_persica_NCBIv2:G4:1927336:1928547:-1 gene:PRUPE_4G040900 transcript:ONI10329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWWSIISRKRPPPLLYRSPSSPRWTCTSLIHGSYQVRKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILTSIGNQKVGVKKALVFYGGKPPKGIKSNWIMHEYRLVNNNNNFSKPPDASNKKASLRLDDWVLCRIYKKNNAQRPMMDEDSSNNSMDGMFAHPSSILPAPPPPPPQPKHTTNYTALLENDDHEGFFEGILSSNDQQGSHSHHHHQHHQHHQHHHDQFGGISTSSSKSNLPVKRPLSSSFWNDAGSMGTAAAAATTSSSSKRFHADLNSGISATAAGGVDESNSSFVSMLNQLPQGTAPFHSNALLGSLGDGVLRQQFQHPSMNWNS >ONI09819 pep chromosome:Prunus_persica_NCBIv2:G4:541777:545873:1 gene:PRUPE_4G011200 transcript:ONI09819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIVTVARVGLGGGLALFWRSGWGVRLLSYSVGHIHVLITESNDSQFYLTGFYGHPDTQQRNHSWELLRRLSYTVQGAWVVVGDFNEILFSKDKRGGRERPQGQMNNFKMALEDCRLSSTRFTGYPFTWARRYPDGSVVEERLDRCVANGVFFGRYSHLTTSHLVAVGSDHYPILVEACVDDPEAGAKRSRRFHFEEMWTKEPEFNKVIEEAWKVTDGVESVSNSLSLCAKELKTWNHIHFGNVRKQLTHAYKELTALQGRLTTDQHVLKAKVEETISDLLEKQEIMWRQRSRVVWLKEGDKNTHFFHGRASSRSKRNRVCGIFDANQAWQTEEQRIGDLFCDYFKTLFSSSGGQQMERILNEVRPVITSAMNDRLLQAFTREELEHTLFQMFPTKAPGHDGMPALFFQKYWHIVGDKVAKKCLQILNGEGSVREFNHTLIALIPKVKMPTTVSEFRPISLCTTVYKMIAKTIANRLKTVLPHVITETQSAFVPNRMILDNVMAAFEIMNTIKGVKKRRDVQMALKLDMAKAYDRVEWVFLRAMMLKLGFSATWVSKVMDCISTTTFSVLWKGTPVGHIMPQRGLRQGCPLSPYLFLICTEGFSCLLHGAERRGDLVGVQVARGAPSVTHLLFADDSILFMKATNKACMALETLFQTYEEVTGQQINYSKSALSLSPNATRADFDMIEGGLNVPVVRCHENYLGLPTIAGKGRKQLFQHLKDKLWKHISGWKEKLLSRAGKEILIKAVLQAIPTYSMSCFQIPKGLCKELNGIMARFWWAKAKDKRGIHWVKWELLCKSKFAGGLGFRDLEAFNQALLAKQCWRILRTPESLVARIFRARYHPSVPFLEAEVGTNPSFIWGSLQWGKELLNKGVRWRVGSGVSIQVYTDKWLPAPSCFKIMSPPQLPLSTRVCDLFTSSGQWNVPLLKDIFWDQEVDAILQIPLASLAGHDCLIWHYERNGMYSVKSGYRLARLEKDKMSGEPSARVDLNSKFWKKIWALKIPNKIKFFLWRCAWDFLPCGQILFNRKIAPTPICPKCHRKAESVLHAVWLCEAAKENINSFFFTNFPRTV >ONI11958 pep chromosome:Prunus_persica_NCBIv2:G4:7583583:7586022:1 gene:PRUPE_4G136700 transcript:ONI11958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVACYARGAFPVRNVSSQHSSSLVSPASISSSFNSKVLRTSSLFGESLRMVPKSSLRVLKSKNSSSVPKCAIGDSLEEFLTKATPDKKLITLLISMGEALRTIGFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALTYSHVCKYACSEEVPELQDMGGPAEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGSDQVAAAMGIYGPRTTYVLAVKGFPGTHEFLLLDEGKWQHVKETTEIGEGKLFSPGNLRATFDNPDYSKLIDYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVISPTTKAKLRLLFEVAPLGLLVENAGGFSSDGHQSVLDKVIVNLDDRTQVAYGSKNEIIRFEETLYGSSRLKEAVPVGAAA >ONI14408 pep chromosome:Prunus_persica_NCBIv2:G4:23589116:23600444:-1 gene:PRUPE_4G279500 transcript:ONI14408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRQSWRPWLRLFVMAAVAVVITQEGCVCGGDVTYDGRSLIIKGERRILFSGSIHYPRSTPEMWGSLIGKAKEGGLDVIQTYVFWNLHEPQPGQYNFSGRYDLVKFMKEIQAQGLYACLRIGPFIESEWTYGGFPFWLHDVPDIVYRSDNEPFKFYMQNFTTKIVNMMKSEGLYASQGGPIILSQIENEYQNIEAAFHEKGPAYVRWAAKMAVELQTGVPWVMCKQTDAPDPVINTCNGMRCGQTFGGPNSPNKPSLWTENWTSFYQVYGGEPYIRSAEDIAFHVALFIARNGSYVNYYMYHGGTNFGRTSSAYVITSYYDQAPLDEYGLLRQPKWGHLKALHAAIKSCSTTLLQGMPTNFSLGQLQEAYVFREETEGRCVAFLINNDERNNATVQFQNASHELMPKSISILPDCEKVIFNTATVNTNYTKRIIRTTEIFDSMDRWQGYTDVIPNYENTSLHSDTLLEHTNVTKDVSDYLWYTLRFQHNISCAEPILVAESKGHATHAFANNIYIGNAHGSHDTKQFIMQSPVVLSNEINNISLLGVMVGLPDSGAFLERRFSGLTRVEIQCSKNEFYNFTNYAWGYQVGLFGEKLQIYREENIHMVEWSKANTSLNQPLTWYKTFFDAPNGNDPVALNLSSMGKGEAWINGQSIGRYWVSFLTSKREPSQIMYHVPRSFFKTSENLLVLLEEAGGNPLHISLNTISLSTLPKHIHYYHLLQ >ONI13167 pep chromosome:Prunus_persica_NCBIv2:G4:12909214:12909849:-1 gene:PRUPE_4G207100 transcript:ONI13167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKSPLICLVMIALCGVCFGAVYRVGDFSGWSSRGLVDYNEWASTKDFHVGDTLTFSYNNQFHNVMQVTNEDYESCNPASPIAVYASGSDTITLERPDNFYFLCGAPGHCQAGQRVEILATLPTPDDSFTGPSPTPSASPADAMSPSSALSSSPALHFSKLGLGVTMFVLSTLLGFLF >ONI11842 pep chromosome:Prunus_persica_NCBIv2:G4:7119346:7122646:-1 gene:PRUPE_4G129000 transcript:ONI11842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLLCCVAFLISLFSSPNIAFASPLEAEALLKWKASFQNQTKNNLTSWAYHSKANTMPCNVWTGISCNTAGSVNRINLTNSGIQGTLYEFPFPSLPNLEYIDLSLNQLFGAIPSQISSLSKLIYFDLSYNQFSGKIPPEIGLLNNLQVLHLIGNQLNGSIPREIGKLKFLNELALQINSLEGPIPASLGNLSNLAVLYMQDNYLTGSIPSYFGNLKNLTMMYLFTNQLSGSIPSELGNLKSLVELCINDNSLSGSIPISLGDLTNLTVLYLFENKLSGVIPKEIGNLISIVELVLSQNQLNGSIPTSLGDLSNLEKLYLRDNQLSGSIPQEMENLMKLTVLQLDTNNFSGYLPQNICRRESLQIFTAYNNHFIGPIPKSLKTCKSLVRVSLQGNQLTDNISEDFGAYPNLRFVDLSHNNLHGEISQLWGQCPQLATLRIAGNNLTGRIPPEISHATQIHELDLSSNSLVGVIPKDFGRLTSLVKLMLNGNQLWGPIPSEFGSLTDIEYLDLSTNKFSESIPGIFGNLLNLYYLNLSNNKFGQEIPFQLGKLVHMSQLDLSHNSLKGKIPSEMSSMQSLEKLNLSHNNLTGLIPTTFDGMHGLNDIDISYNQLQGTIPNNKAFQNARMEGNNGLCGNVGGLKPCNHYVEHKRTSKKAFLIIFPILGALLLAFLVFGLIDRRRRSRKKSQEIEQGNMHESFFSIINFDGRKMYGEIMKATNGFDAVHCIGMGGQGSVYKAKIPSGSIVAVKKFHQTLDGEEASRKEFLNEIRALTQIRHRNIVRLLGFCSSSHHSFLVYEYLETGSLAAILSNENEAKKLDWSTRVRIVKGVAHALCYMHHDCSPPIVHRDITSSNILLHYDYEPCVSDFGTAKLLNPDSSNWTALAGTYGYVAPELAYTMKVTEKCDVYSFGVLALEVIMGKKLGDFISSFSFPSTTYANILLKDALDQRLPPPTPQLQDEVVTIARLSVACRHSHPQSRPTMLMVSQMLSFQTASSYGGLDDITLEQLITI >ONI12319 pep chromosome:Prunus_persica_NCBIv2:G4:8987903:8989335:1 gene:PRUPE_4G157000 transcript:ONI12319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIANTKPAFGGYGYGYGYGGCQEFITTTRHDKLGRKRKLNNPDLLQELGQNRRNIHNEKTTMVCGSCYLPKDNPNKPLGEDAHFMCQDSQIIGVADGVGGWAKIGVDAGEYARGLMNNAKKMAAANTTATVDPRKVLSQAYANNAGLQGSSTACILSLDKERGVLHAVNVGDSGFMVFRDSKCWFKSPPQQRMFNCPYQLGNHVGGDCPEAALEFVVEAIVPGDIIVLGTDGLLDNIFASEIEDVLVAYRGSGRDCDELASAIANLALFNSMDKYSVSPFQMEAEKAGLKHAGGKIDDITVVVAQIVASSTSFTTPASLGFGFEQTNTKRKRED >ONI14367 pep chromosome:Prunus_persica_NCBIv2:G4:23173618:23174140:1 gene:PRUPE_4G277300 transcript:ONI14367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNVGFPILSSRSKRMSRCDNNNKNIDSLPFKFQAHNIRTTHAEYDTICIVCHAAAQTSENFEFDK >ONI10158 pep chromosome:Prunus_persica_NCBIv2:G4:1449799:1450941:-1 gene:PRUPE_4G031100 transcript:ONI10158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQFPDDFKCPISLEIMSDPVILSSGHTFDRASIQRWLDAGHRTCPITKLPLPDHPSLIPNHALRSLISSYTLLSPAKLQHHHQHHHQYHHHHQRHCQPPTLISSLTARASTLESKLDSLDQLARLSKRDSAFRAKLTESGAVSAVLKCVDSDEPRLQEKALTLLLNVSLDDDNKVGLVAEGAIARIVTVLQGGSPNSRAVAATMLTSLAVVEVNKATIGAYPYAIRALVSLLRDGKSREKKEAATALYTICLFPDNRRRAVECGAVSILIRIAESGLERAVEVLGLLAKCREGREEMERFNGCVGILVRVLENGSSRGVQYALLTLNSLCSFSEPMCLEARNEGVLAICVGLVENDNERIRKNASNLVQVLSGNHSMK >ONI10157 pep chromosome:Prunus_persica_NCBIv2:G4:1446928:1451113:-1 gene:PRUPE_4G031100 transcript:ONI10157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQFPDDFKCPISLEIMSDPVILSSGHTFDRASIQRWLDAGHRTCPITKLPLPDHPSLIPNHALRSLISSYTLLSPAKLQHHHQHHHQYHHHHQRHCQPPTLISSLTARASTLESKLDSLDQLARLSKRDSAFRAKLTESGAVSAVLKCVDSDEPRLQEKALTLLLNVSLDDDNKVGLVAEGAIARIVTVLQGGSPNSRAVAATMLTSLAVVEVNKATIGAYPYAIRALVSLLRDGKSREKKEAATALYTICLFPDNRRRAVECGAVSILIRIAESGLERAVEVLGLLAKCREGREEMERFNGCVGILVRVLENGSSRGVQYALLTLNSLCSFSEPMCLEARNEGVLAICVGLVENDNERIRKNASNLVQVLSGNHSMK >ONI10908 pep chromosome:Prunus_persica_NCBIv2:G4:3675532:3678405:-1 gene:PRUPE_4G075100 transcript:ONI10908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTMGLNLLLLMAMVATNILSLYHLSSTIQTPKSAAPQPVPDHLLHQLHTIRATINHLTRHHPSPPATTKAAKPTIPSDLLLYSQLSPIASSCHNHPELLHKYMSYTPFSLCPLDSDLAESLILRGCHPLPRRRCFTKTPPKSTSSLPQNPFPSSLPDANVLWTKYSCKSFSCLNRQNLNLGFDPPHEVVNFMTYKSELDLPIPQLFQIAKAANSVLRLGVDIGGGTGSFAARMKLYNVTIVTTTMNLGIPNNEAVALRGLVPIHAPLQQRLPVFDGVVDLVRCGHAVNRWIPVTALEFLLFDADRVLRGGGYLWLDHFFSKEADLDKVFGPLFGKLGYRKVKWATASKNDSGGLKNGEVYLSALLQKPVSK >ONI13426 pep chromosome:Prunus_persica_NCBIv2:G4:13890919:13895083:1 gene:PRUPE_4G221100 transcript:ONI13426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDCGEASRILLQLETLKSTKTEIERQISALEAQLQEINLQHPNATVPNGSCPPVIPSVDSGYGHDLSPQMIYRYSRHLLLPAFGVQGQSKLLKSSVLVVGAGGLGSPALLYLAACGVGRLGIVDHDVVELNNMHRQIIHTEGFIGQPKVRSAATACRSINSTIQVVEYQEPLRTSNALEILSKYDIIVDATDNAPSRYMISDCCVVLGKPLVSGAAVGLEGQLTVFNYNDGPCYRCLFPTPPPATACQRCSDSGVLGVVPGVIGCLQALEAIKIASEIGEALSERMLLFDALSGRIRIVKIRGRSLQCVACGENAPFSKQQFQEFDYEKFTQSPLTPLPLKLKLLRADSRINTKEYKEKLVSGEAHVLIDVRPEHHFKIVSLPNSLNIPLPSLESRLPEISSALKEKEEHQGTDSGSGGRLYVICRRGNDSQRAVQFLHKMGFTSARDIIGGLEGWAHDVDPNVPTY >ONI14411 pep chromosome:Prunus_persica_NCBIv2:G4:23609241:23612757:1 gene:PRUPE_4G279700 transcript:ONI14411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEGKSGWLLLLMSLLVMGLSATMSSASFGDQYHRGRRKTMLPDEATSSLGLNRAASSIVLPVHGNVYPIGSYNVTLNIGQPPKPYFLDPDTGSDLTWLQCDAPCVRCTEAPHPFYRPNNDLVVCKDPLCEALHAPGSHKCDNPEQCDYEVEYADGGSSLGVLVRDAFLLNFTNGNQRTTHLALGCGYDQLPGSSYHPIDGVLGLGKGKSSIVSQLSNQGLVRHVIGHCLSGRGGGFFFLGDGLYDSSRIVWTPMSPDYAKHYSPGLAELIVGGKSTGFRNLVMVFDSGSSYTYLNSQAYQFLTSWLKRELTGKPLKEALDDRTLPLCWKGRKPFRNIRDVKTYFKPLALRFASGRKDTTQFELPPEAYLIISSKGNVCLGILNGSEVGLQNSNIIGDISMQDKMVIYDNEKQMIGWGPGNCDKLPKSRSFSFW >ONI14410 pep chromosome:Prunus_persica_NCBIv2:G4:23608619:23612757:1 gene:PRUPE_4G279700 transcript:ONI14410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEGKSGWLLLLMSLLVMGLSATMSSASFGDQYHRGRRKTMLPDEATSSLGLNRAASSIVLPVHGNVYPIGSYNVTLNIGQPPKPYFLDPDTGSDLTWLQCDAPCVRCTEAPHPFYRPNNDLVVCKDPLCEALHAPGSHKCDNPEQCDYEVEYADGGSSLGVLVRDAFLLNFTNGNQRTTHLALGCGYDQLPGSSYHPIDGVLGLGKGKSSIVSQLSNQGLVRHVIGHCLSGRGGGFFFLGDGLYDSSRIVWTPMSPDYAKHYSPGLAELIVGGKSTGFRNLVMVFDSGSSYTYLNSQAYQFLTSWLKRELTGKPLKEALDDRTLPLCWKGRKPFRNIRDVKTYFKPLALRFASGRKDTTQFELPPEAYLIISSKGNVCLGILNGSEVGLQNSNIIGDISMQDKMVIYDNEKQMIGWGPGNCDKLPKSRSFSFW >ONI14412 pep chromosome:Prunus_persica_NCBIv2:G4:23610093:23612757:1 gene:PRUPE_4G279700 transcript:ONI14412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHPFYRPNNDLVVCKDPLCEALHAPGSHKCDNPEQCDYEVEYADGGSSLGVLVRDAFLLNFTNGNQRTTHLALGCGYDQLPGSSYHPIDGVLGLGKGKSSIVSQLSNQGLVRHVIGHCLSGRGGGFFFLGDGLYDSSRIVWTPMSPDYAKHYSPGLAELIVGGKSTGFRNLVMVFDSGSSYTYLNSQAYQFLTSWLKRELTGKPLKEALDDRTLPLCWKGRKPFRNIRDVKTYFKPLALRFASGRKDTTQFELPPEAYLIISSKGNVCLGILNGSEVGLQNSNIIGDISMQDKMVIYDNEKQMIGWGPGNCDKLPKSRSFSFW >ONI14285 pep chromosome:Prunus_persica_NCBIv2:G4:22559221:22561063:-1 gene:PRUPE_4G273100 transcript:ONI14285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTPLYEDADVDALLGLLFMDAFVAIVHILSLWFWESVTGLTEAYNFVNISPFRYTNTILRKNGKLSELIGELQVRRLAAAVRQLGSSLQRAILKGNDSQIQGLTSLIMPTATLGALGYGYMWWKGLKFSDLMYVTKRSMNAAVSKLHKRLESNTKKHLTQRVQNLDDKLLEQKYIEKSILDNVCHFLHIMSF >ONI09676 pep chromosome:Prunus_persica_NCBIv2:G4:204369:208149:1 gene:PRUPE_4G002800 transcript:ONI09676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPAQLLSAQNVNQLLDSVQAFLFDCDGVIWKGDKLIDGVPETLHMLRSKGKKLVFVTNNSTKSRSQYANKFLSLGISVTEDEIFSSSFAAAMYLQLINFPQHKKVYVIGEQGILEELQLAGFTALGGPEDGKKTAQLKSNCLFEHDKSVGAVVVGLDQYVNYYKLQYGTLCIRENPGCLFIATNRDAVGHMTDLQEWPGAGCMVAAICGSTQKEPIVVGKPSNFLMEFLLKKFDVSCSKMCMVGDRLDTDILFGQNAGCRTLLVLSGVTTESVLQDPSNKTQPEYYTSKVSDMFDLLRP >ONI09675 pep chromosome:Prunus_persica_NCBIv2:G4:204369:208226:1 gene:PRUPE_4G002800 transcript:ONI09675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSPAQLLSAQNVNQLLDSVQAFLFDCDGVIWKGDKLIDGVPETLHMLRSKGKKLVFVTNNSTKSRSQYANKFLSLGISVTEDEIFSSSFAAAMYLQLINFPQHKKVYVIGEQGILEELQLAGFTALGGPEDGKKTAQLKSNCLFEHDKSVGAVVVGLDQYVNYYKLQYGTLCIRENPGCLFIATNRDAVGHMTDLQEWPGAGCMVAAICGSTQKEPIVVGKPSNFLMEFLLKKFDVSCSKMCMVGDRLDTDILFGQNAGCRTLLVLSGVTTESVLQDPSNKTQPEYYTSKVDR >ONI13951 pep chromosome:Prunus_persica_NCBIv2:G4:17496651:17508965:-1 gene:PRUPE_4G254300 transcript:ONI13951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARPPSFLSLPFCLYQRKFGIGYLLAMEIEEHKNGVCVDSEKNLSAGGREDIIGHLHRLGMYLVWEDLSVVLPNFGNGHSRRLLDGLSGFAEPGRIMAIMGPSGSGKSTLLDSLAGRLSTNVVMTGNVLVNGKKRRLDYGVAAYVTQENTLLGTLTVKETITYSAHLRLPTILTKEEVNGIVDATITEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTKPKLLFLDEPTSGLDSASAFFVVQTLRYIALDGRTVISSIHQPSSEVFALFDDLVLLSGGLTIFSGQANMALEFFARAGVPCPSRRNPSDHFLRCINSDFDRVTMNDSQRIRDIPKSSDPLMNLATAEIKAMLVEKYKSSEYATRTRARIREISSTEGPMIEKRSGSQATWWKQLSTLTKRSFLNMSRDMGYYWVFHKERLNGHYGIAVFILSNFLSSFPFLAVMSIATASITYYMVEFHSQFPRFVFMCLDLLSAIAAVESSMMIIASLVPNYLMGVIVGAGYLGIMMMTAGFFRLPPDIPKPVWRYPISYLNYGAWALQGEYKNALVGREFDSREPNGPKLKGDYILTTIAGINLDHSKWWDLAAVVAILVSFRIIFFIILKLKERASPYFRTYYAKHTLKHLKKRPSFRKESPFPSKRHQPLHPLAFQEGLNSPL >ONI13956 pep chromosome:Prunus_persica_NCBIv2:G4:17498926:17504912:-1 gene:PRUPE_4G254300 transcript:ONI13956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNVLVNGKKRRLDYGVAAYVTQENTLLGTLTVKETITYSAHLRLPTILTKEEVNGIVDATITEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTKPKLLFLDEPTSGLDSASAFFVVQTLRYIALDGRTVISSIHQPSSEVFALFDDLVLLSGGLTIFSGQANMALEFFARAGVPCPSRRNPSDHFLRCINSDFDRVTMNDSQRIRDIPKSSDPLMNLATAEIKAMLVEKYKSSEYATRTRARIREISSTEGPMIEKRSGSQATWWKQLSTLTKRSFLNMSRDMGYYWVRIIIYLLLSVCVGTIFFNLGTDLNSILARGACAGFISGFMTFLSIGGFPSFLEEMKVFHKERLNGHYGIAVFILSNFLSSFPFLAVMSIATASITYYMVEFHSQFPRFVFMCLDLLSAIAAVESSMMIIASLVPNYLMGVIVGAGYLGIMMMTAGFFRLPPDIPKPVWRYPISYLNYGAWALQVPGKTYFSF >ONI13953 pep chromosome:Prunus_persica_NCBIv2:G4:17498364:17501318:-1 gene:PRUPE_4G254300 transcript:ONI13953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNVLVNGKKRRLDYGVAAYVTQENTLLGTLTVKETITYSAHLRLPTILTKEEVNGIVDATITEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTKPKLLFLDEPTSGLDSASAFFVVQTLRYIALDGRTVISSIHQPSSEVFALFDDLVLLSGGLTIFSGQANMALEFFARAGVPCPSRRNPSDHFLRCINSDFDRVTMNDSQRIRDIPKSSDPLMNLATAEIKAMLVEKYKSSEYATRTRARIREISSTEGPMIEKRSGSQATWWKQLSTLTKRSFLNMSRDMGYYWVRIIIYLLLSVCVGTIFFNLGTDLNSILARGACAGFISGFMTFLSIGGFPSFLEEMKVFHKERLNGHYGIAVFILSNFLSSFPFLAVMSIATASITYYMVEFHSQFPRFVFMCLDLLSAIAAVESSMMIIASLVPNYLMGVIVGAGYLGIMMMTAGFFRLPPDIPKPVWRYPISYLNYGAWALQGEYKNALVGREFDSREPNGPKLKGDYILTTIAGINLDHSKWWDLAAVVAILVSFRIIFFIILKLKERASPYFRTYYAKHTLKHLKKRPSFRKESPFPSKRHQPLHPLAFQEGLNSPL >ONI13954 pep chromosome:Prunus_persica_NCBIv2:G4:17496651:17504912:-1 gene:PRUPE_4G254300 transcript:ONI13954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNVLVNGKKRRLDYGVAAYVTQENTLLGTLTVKETITYSAHLRLPTILTKEEVNGIVDATITEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTKPKLLFLDEPTSGLDSASAFFVVQTLRYIALDGRTVISSIHQPSSEVFALFDDLVLLSGGLTIFSGQANMALEFFARAGVPCPSRRNPSDHFLRCINSDFDRVTMNDSQRIRDIPKSSDPLMNLATAEIKAMLVEKYKSSEYATRTRARIREISSTEGPMIEKRSGSQATWWKQLSTLTKRSFLNMSRDMGYYWVRIIIYLLLSVCVGTIFFNLGTDLNSILARGACAGFISGFMTFLSIGGFPSFLEEMKVFHKERLNGHYGIAVFILSNFLSSFPFLAVMSIATASITYYMVEFHSQFPRFVFMCLDLLSAIAAVESSMMIIASLVPNYLMGVIVGAGYLGIMMMTAGFFRLPPDIPKPVWRYPISYLNYGAWALQGEYKNALVGREFDSREPNGPKLKGDYILTTIAGINLDHSKWWDLAAVVAILVSFRIIFFIILKLKERASPYFRTYYAKHTLKHLKKRPSFRKESPFPSKRHQPLHPLAFQEGLNSPL >ONI13955 pep chromosome:Prunus_persica_NCBIv2:G4:17498926:17508965:-1 gene:PRUPE_4G254300 transcript:ONI13955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARPPSFLSLPFCLYQRKFGIGYLLAMEIEEHKNGVCVDSEKNLSAGGREDIIGHLHRLGMYLVWEDLSVVLPNFGNGHSRRLLDGLSGFAEPGRIMAIMGPSGSGKSTLLDSLAGRLSTNVVMTGNVLVNGKKRRLDYGVAAYVTQENTLLGTLTVKETITYSAHLRLPTILTKEEVNGIVDATITEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTKPKLLFLDEPTSGLDSASAFFVVQTLRYIALDGRTVISSIHQPSSEVFALFDDLVLLSGGLTIFSGQANMALEFFARAGVPCPSRRNPSDHFLRCINSDFDRVTMNDSQRIRDIPKSSDPLMNLATAEIKAMLVEKYKSSEYATRTRARIREISSTEGPMIEKRSGSQATWWKQLSTLTKRSFLNMSRDMGYYWVRIIIYLLLSVCVGTIFFNLGTDLNSILARGACAGFISGFMTFLSIGGFPSFLEEMKVFHKERLNGHYGIAVFILSNFLSSFPFLAVMSIATASITYYMVEFHSQFPRFVFMCLDLLSAIAAVESSMMIIASLVPNYLMGVIVGAGYLGIMMMTAGFFRLPPDIPKPVWRYPISYLNYGAWALQVPGKTYFSF >ONI13952 pep chromosome:Prunus_persica_NCBIv2:G4:17498364:17508696:-1 gene:PRUPE_4G254300 transcript:ONI13952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARPPSFLSLPFCLYQRKFGIGYLLAMEIEEHKNGVCVDSEKNLSAGGREDIIGHLHRLGMYLVWEDLSVVLPNFGNGHSRRLLDGLSGFAEPGRIMAIMGPSGSGKSTLLDSLAGRLSTNVVMTGNVLVNGKKRRLDYGVAAYVTQENTLLGTLTVKETITYSAHLRLPTILTKEEVNGIVDATITEMGLQDCADRLIGNWHLRGISGGEKKRLSIALEILTKPKLLFLDEPTSGLDSASAFFVVQTLRYIALDGRTVISSIHQPSSEVFALFDDLVLLSGGLTIFSGQANMALEFFARAGVPCPSRRNPSDHFLRCINSDFDRVTMNDSQRIRDIPKSSDPLMNLATAEIKAMLVEKYKSSEYATRTRARIREISSTEGPMIEKRSGSQATWWKQLSTLTKRSFLNMSRDMGYYWVRIIIYLLLSVCVGTIFFNLGTDLNSILARGACAGFISGFMTFLSIGGFPSFLEEMKVFHKERLNGHYGIAVFILSNFLSSFPFLAVMSIATASITYYMVEFHSQFPRFVFMCLDLLSAIAAVESSMMIIASLVPNYLMGVIVGAGYLGIMMMTAGFFRLPPDIPKPVWRYPISYLNYGAWALQGEYKNALVGREFDSREPNGPKLKGDYILTTIAGINLDHSKWWDLAAVVAILVSFRIIFFIILKLKERASPYFRTYYAKHTLKHLKKRPSFRKESPFPSKRHQPLHPLAFQEGLNSPL >ONI10471 pep chromosome:Prunus_persica_NCBIv2:G4:2359195:2363731:1 gene:PRUPE_4G049300 transcript:ONI10471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLIYVMKVPDFVQFTFSGMVVVNPGTRHVRPLTVLNSALQAPNHQQQIQTLTAEHQHPVLGQMIGQWRPTAAYQHQHDWPQQQQLLENDRKRRTAPFLRAGGIPLGDINTNEEIPVEANAESFLSYGDDNADGTNTTFRFIKRRATACNTNEQKGFTFEEVGSLHSSKSKVLCCHFSSEGNLLASAGHEKKVLIWNMETFDFDKTSEGHSLLITDIRFQPNSTIFATSSFDKTVKIWDAARSSKSLSKLLGHTGQVMSLDFHPRKANLLCSCDNNDEVRLWDINQCTCTSIFKGATKQVRFQPQFGKNLATSSGNGINVFDVETGSLKFSSKGSGHVKDVVSLCWDTSGKYLASVSEDSARIWSTASNGKWIHELKSNGNKFQSCTFHPGYPLLLIIGGYQSLELWNPSESSKTLTVPAHKGLVVALAESPKTEMVASVSHDQCVKLWK >ONI10470 pep chromosome:Prunus_persica_NCBIv2:G4:2356643:2363731:1 gene:PRUPE_4G049300 transcript:ONI10470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGQHWDAEKMLDLYLHDYMVKKNMHKTAAAFRKEANVCGTPVVINTPDGFLHEWWSLFHDVYAARELKHQEAKAEASLVKAMQTRENEGQNKRPSVTHIATNKQRPPQFDKGTSSRRQSTGDFYPSLHPVDVNKLNVSKPVTINSSHVLQQFPNHPQQQPEDNRNVISVGRTISMDRTLYGLSSAILPVTGAQDAGMVVVNPGTRHVRPLTVLNSALQAPNHQQQIQTLTAEHQHPVLGQMIGQWRPTAAYQHQHDWPQQQQLLENDRKRRTAPFLRAGGIPLGDINTNEEIPVEANAESFLSYGDDNADGTNTTFRFIKRRATACNTNEQKGFTFEEVGSLHSSKSKVLCCHFSSEGNLLASAGHEKKVLIWNMETFDFDKTSEGHSLLITDIRFQPNSTIFATSSFDKTVKIWDAARSSKSLSKLLGHTGQVMSLDFHPRKANLLCSCDNNDEVRLWDINQCTCTSIFKGATKQVRFQPQFGKNLATSSGNGINVFDVETGSLKFSSKGSGHVKDVVSLCWDTSGKYLASVSEDSARIWSTASNGKWIHELKSNGNKFQSCTFHPGYPLLLIIGGYQSLELWNPSESSKTLTVPAHKGLVVALAESPKTEMVASVSHDQCVKLWK >ONI11777 pep chromosome:Prunus_persica_NCBIv2:G4:6803754:6806410:1 gene:PRUPE_4G124700 transcript:ONI11777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTESPAIHPAIAPLSYLLGTWRGQGEGGFPTINSFSYVEQLHFSHSGKPFIAYTQKTWKLNSGEPMHAESGFWRPKPDGTIEVVISQSTGLVEVQKGTYSAEEKVIKLQSELVGNASKVREITRVFKLVDEELFYEVKMATNLTSLQPHLKASLQRI >ONI11776 pep chromosome:Prunus_persica_NCBIv2:G4:6803579:6806410:1 gene:PRUPE_4G124700 transcript:ONI11776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTESPAIHPAIAPLSYLLGTWRGQGEGGFPTINSFSYVEQLHFSHSGKPFIAYTQKTWKLNSGEPMHAESGFWRPKPDGTIEVVISQSTGLVEVQKGTYSAEEKVIKLQSELVGNASKVREITRVFKLVDEELFYEVKMATNLTSLQPHLKASLQRI >ONI13680 pep chromosome:Prunus_persica_NCBIv2:G4:15505902:15510507:-1 gene:PRUPE_4G237500 transcript:ONI13680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAWSAPERTKLRKKMAEQLMDKGNPSLPWELIVEILSWLPVKSLGRFRCVSKPWNALIADPNFIKMHFNKTSENDDVFYQRRRLIFNEPGCCSLYSVNLDQLILNQNNDHGADDLIAPEAQLVCGDLPSYRVVDLFYCDGLLLCKLAEMPMTIYLVNPTTGESEELPEAPDNIQHLGFGFDHSTDDYKVVSAVFYDMLVFCVYSLKTGSWEELECSLPYSGAYTFHAVLLNGTLHWIMETPDQTSMIVAFLLEEEQAGEIPLPVEYSSDAGDCVLGVFRDCLCLTHCSDERTYNEFWVMNEYGEGQSWTKIKISIPYSELSLSGFWKENHDLLVFQKQLVMYNFKEQGFCNLSIPGFPHVDCIGTYLESLVSPNYYGITDREL >ONI13698 pep chromosome:Prunus_persica_NCBIv2:G4:15727412:15729013:-1 gene:PRUPE_4G239300 transcript:ONI13698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQLGEVSAVVISAPEAAKEIMRTHDSIFSYRLLLLAPDVISYGGSGIAFAPYGDYWRQMRKICGSVLLNLKRVDSFQSIRDEEVSDLLKFISSNIGLPINLGEKIFSMTYGITARVAFDNKCKDHVQEELITAVREGAEATGGFKVADVFPSIKIRQVIKHKASKEEAENTGKDKANDLLGVLLDLQEHGELEVPLTMNNIKAVLLDIFTAWSETSSSTVEWAMSEMLKTPRVMRKAQAEVRQVFCTKGNVEENRLQELKFLKAVIKETLRVHPPIPLLLPKECSESFIVNAWAIGRDSKYLTEAETFCPERFLDSSVDFRGTNFEFIPFGAGRRICPRITFAIPNIELPLAQLLYHFDWKLPNGMKQEGLDMTETFGVTVRKKEELHLIPTPYHPEPNA >ONI11276 pep chromosome:Prunus_persica_NCBIv2:G4:4988049:4988729:-1 gene:PRUPE_4G098200 transcript:ONI11276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFDVKLLPLLIILFNSVWSPSSNSISESLLQCLSTHLKHSNSSKEIILTRNSSAYSSILQSSIQNLRFLNNSTPKPKVIVTPFHESHVQAAVICSKKHGIQKRFQSGGHDYEGLSYVSYAPFIIIVQTIHIDVKNESAWVESGATLGELYYLIAQKSNVHEFPAGSCPTMGVEGHISGGGFGTIFRKYGLAVDNVIDARIVDVNGRILSRKSMGEELLWAIRGG >ONI10541 pep chromosome:Prunus_persica_NCBIv2:G4:2518173:2518623:-1 gene:PRUPE_4G052300 transcript:ONI10541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTWEQRLHALTHVLINPTTTPPLHSQFFISTQIPCYLNWDYPPVLCTKSTFPPIHLQWGLSLFLKRVSRFGFPETSWRSKCPYHLPPPLILAKGIEEAQWGDEQRRENFRKRMRRKRLGGDVNPVLPILVPNLLLLSLLFWNPFL >ONI11825 pep chromosome:Prunus_persica_NCBIv2:G4:7055248:7060315:1 gene:PRUPE_4G128200 transcript:ONI11825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTAPSSSGGAGSADPTSTRRHSKRPKYSRFTQQELPACKPILTPRWVISAFMLVSIVFIPIGVVSLFASRDVIEIIDRYETDCIPTPSRSDKVGYIQGPGDKKCNRTLKVTKNMKHPIYVYYQLDNFYQNHRRYVKSRSDQQLRDPKSENQVDACKPEDKAGGQPVVPCGLIAWSLFNDTYSFSRKKQQSTVPLTVNKKDISWKSDRDHKFGKKVFPKNFQNGTLRGGASLDASKPLSDQEDLIVWMRTAALPTFRKLYGKIEVDLEAGDELHVTLENNYNTYSFNGKKKLVLSTTSWIGGRNDFLGIAYLTVGGLCFFLAMAFTVVYLVKPRQLGDPSYLSWNRNPGGH >ONI11826 pep chromosome:Prunus_persica_NCBIv2:G4:7055634:7060108:1 gene:PRUPE_4G128200 transcript:ONI11826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLSIHACKHCLHSHRSCLPLCFSRRMVIEIIDRYETDCIPTPSRSDKVGYIQGPGDKKCNRTLKVTKNMKHPIYVYYQLDNFYQNHRRYVKSRSDQQLRDPKSENQVDACKPEDKAGGQPVVPCGLIAWSLFNDTYSFSRKKQQSTVPLTVNKKDISWKSDRDHKFGKKVFPKNFQNGTLRGGASLDASKPLSDQEDLIVWMRTAALPTFRKLYGKIEVDLEAGDELHVTLENNYNTYSFNGKKKLVLSTTSWIGGRNDFLGIAYLTVGGLCFFLAMAFTVVYLVKPRQLGDPSYLSWNRNPGGH >ONI13026 pep chromosome:Prunus_persica_NCBIv2:G4:12176565:12177100:1 gene:PRUPE_4G198400 transcript:ONI13026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVWPPIGLKKFETLSYLPPLSAESLAKEVDYLLRNNWVPTLEFELGAQLSPNFYARTYPSLRSDSPPTLSQIIQQREDTH >ONI14311 pep chromosome:Prunus_persica_NCBIv2:G4:22913078:22916536:-1 gene:PRUPE_4G274800 transcript:ONI14311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSRLASAEFSWNREALELLLGGDIEDTIVINIKGNIGSSNTLGTWRKVIQDEFAQKVIIRSRCTLTFINGDSAATLVIKDGGGDMIHYDGNSRVSENKNSHGTFFAIHYAK >ONI12658 pep chromosome:Prunus_persica_NCBIv2:G4:10525370:10528316:1 gene:PRUPE_4G177500 transcript:ONI12658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVGKDALERLWFTSNLEKLSSADIIIEAIVESEDVKKKLFLELDKITKSSAILASNTSSISITRLASATSRPHQVIGMHFMNPPPIMKLVEIVRGADTSDETFDATKALAERFGKTVICSRDYAGFVVNRILMPMINEAFFALYTGVATKEDIDAGMRLGTNHPMGPLELADFIGLDVCVSILKVLHAGLGDNKYAPCPILVQYVDAGRLGRKRGIGVYDYRQMLGSVKPSSKL >ONI12657 pep chromosome:Prunus_persica_NCBIv2:G4:10524137:10528316:1 gene:PRUPE_4G177500 transcript:ONI12657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMKIFKTIGVVGSGQMGSGIAQLAATHGFDVWVLDTDQDALSRATKSISSSIQRLVSKGQLSQAVGKDALERLWFTSNLEKLSSADIIIEAIVESEDVKKKLFLELDKITKSSAILASNTSSISITRLASATSRPHQVIGMHFMNPPPIMKLVEIVRGADTSDETFDATKALAERFGKTVICSRDYAGFVVNRILMPMINEAFFALYTGVATKEDIDAGMRLGTNHPMGPLELADFIGLDVCVSILKVLHAGLGDNKYAPCPILVQYVDAGRLGRKRGIGVYDYRQMLGSVKPSSKL >ONI10929 pep chromosome:Prunus_persica_NCBIv2:G4:3728976:3731023:1 gene:PRUPE_4G076400 transcript:ONI10929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDARPPASLHSNFFSSLKQVEKRLKLENPSQKSTISPSPLPENSKLLTEDSLSSPLYLHLDQPSNNHSSNTLQDSSEPPQAFLSCSPLFPPTQENPPQPNALHHSTTINDTEASSVNDIEQLIQLLGLSHCQEVEEERAGLELKGGDGSGFGGNSCHCEGGFYEKIVGVKGPKCGKEVERLEGWINYFLNGGGEGSIEPFRLAHLLLGKAAFVSEGADHGFGGLEFPSTIGDFLLNDPPPTD >ONI13352 pep chromosome:Prunus_persica_NCBIv2:G4:13593660:13598910:1 gene:PRUPE_4G216900 transcript:ONI13352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVIRRRVVSGASSASILGQSLHSIRPIASSEILLQPRGGLGRVRNLSHLIFAGSSPCIKKTRNVGVVIQLEPIRQMWSRPFSSETGDFIDAVVPHMGESITDGTLAKFLKNPGDRVEVDEPIAQIETDKVTIDVASPQSGVIQKFVANEGDTVEPGTKIAVISKSVDGVDHVGPSEKTSDRAAAQSSPPVENIDKKKEPKVETTPVTEKPKPHSPPPSKPSAREPQLSPKERERRIPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGLMSGFIKAAVSGLQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNADKMNFAEIEKEMNTLAKKANNGSISIDEMAGGSFTISNGGVYGSLISTPIINPPQSAILGMHSIVTRPMVVGGDIVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >ONI13353 pep chromosome:Prunus_persica_NCBIv2:G4:13593660:13598910:1 gene:PRUPE_4G216900 transcript:ONI13353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVIRRRVVSGASSASILLQPRGGLGRVRNLSHLIFAGSSPCIKKTRNVGVVIQLEPIRQMWSRPFSSETGDFIDAVVPHMGESITDGTLAKFLKNPGDRVEVDEPIAQIETDKVTIDVASPQSGVIQKFVANEGDTVEPGTKIAVISKSVDGVDHVGPSEKTSDRAAAQSSPPVENIDKKKEPKVETTPVTEKPKPHSPPPSKPSAREPQLSPKERERRIPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGLMSGFIKAAVSGLQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNADKMNFAEIEKEMNTLAKKANNGSISIDEMAGGSFTISNGGVYGSLISTPIINPPQSAILGMHSIVTRPMVVGGDIVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >ONI10725 pep chromosome:Prunus_persica_NCBIv2:G4:3067811:3068011:1 gene:PRUPE_4G063900 transcript:ONI10725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASTCLVVLPHVKRGAFFFLTSHDDYMTLLILCTTKGSFVLALTPGYANFILLLRLPLEWIYPAS >ONI13027 pep chromosome:Prunus_persica_NCBIv2:G4:12184503:12187209:1 gene:PRUPE_4G198500 transcript:ONI13027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVESLVPSRRTANYHLSIWGDQFMNYDSEDIITYAHKQQEVEELKLAVRREVFTTSADDFSNQMKLIDAIQRLGVAYHFEKEIEEALEHIYAANHFHDDDDGDGDLYSVSLGFRLLRHHGHNVSSGIFNKFRDSKNGGFKKSLIADVSGLLSFYEATHLRVHGEDILEEALVFTTKHLESATTHVSYQLAEQIAQALERPLRKSLVRLCARRFMSIYQDEASHNEALLKLAKSDFNLVQSLHKQELSEITRWWKELDFERKLPFARNRIVELYCWIFGVYVEPQYLVGRKFLTKIIALISVMDDIYDAFGTFEELEIFTEAIHQRWHASCMEGLPEYKQIFFHTLLNVFNEIEEEMVKEGRAYRAHYAKEAWKTITKAYFDEAKWFHEGCIPSMEEYMCVAATSAASFALSTTSLVGMGDIFEKERGYVACAIDCYMKQYGVSDEQEIIDVFNKKIVDSWKDINEEFLRPTSMPMRILERIVNLTRVVDLLYKKYDAYTHGGKVMNDCIASYLIDPALV >ONI13028 pep chromosome:Prunus_persica_NCBIv2:G4:12184503:12186552:1 gene:PRUPE_4G198500 transcript:ONI13028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVESLVPSRRTANYHLSIWGDQFMNYDSEDIITYAHKQQEVEELKLAVRREVFTTSADDFSNQMKLIDAIQRLGVAYHFEKEIEEALEHIYAANHFHDDDDGDGDLYSVSLGFRLLRHHGHNVSSGIFNKFRDSKNGGFKKSLIADVSGLLSFYEATHLRVHGEDILEEALVFTTKHLESATTHVSYQLAEQIAQALERPLRKSLVRLCARRFMSIYQDEASHNEALLKLAKSDFNLVQSLHKQELSEITRWWKELDFERKLPFARNRIVELYCWIFGVYVEPQYLVGRKFLTKIIALISVMDDIYDAFGTFEELEIFTEAIHQRWHASCMEGLPEYKQIFFHTLLNVFNEIEEEMVKEGRAYRAHYAKEAWKTITKAYFDEAKWFHEGCIPSMEEYMCVAATSAASFALSTTSLVGMGDIVTKESFE >ONI11559 pep chromosome:Prunus_persica_NCBIv2:G4:6013870:6015619:-1 gene:PRUPE_4G113200 transcript:ONI11559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFVLQVPNNLRSFTVSASVSSNGSPPLSSSGGSGGVGGPVILELPLDKIRRPLMRTRANDPIKVQELMDSISEIGLQTPIDVLEVEGVYYGFSGCHRYEAHQRLGLPTIRCKIRRGTKETLRHHLR >ONI11558 pep chromosome:Prunus_persica_NCBIv2:G4:6013544:6015808:-1 gene:PRUPE_4G113200 transcript:ONI11558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFVLQVPNNLRSFTVSASVSSNGSPPLSSSGGSGGVGGPVILELPLDKIRRPLMRTRANDPIKVQELMDSISEIGLQTPIDVLEVEGVYYGITSADFLWVIFQSEFAVFTKLHALVIILQVIIGRV >ONI11557 pep chromosome:Prunus_persica_NCBIv2:G4:6012969:6015808:-1 gene:PRUPE_4G113200 transcript:ONI11557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFVLQVPNNLRSFTVSASVSSNGSPPLSSSGGSGGVGGPVILELPLDKIRRPLMRTRANDPIKVQELMDSISEIGLQTPIDVLEVEGVYYGITSADFLWVIFQSEFAVFTKLHALFGFCGKNWDGRALHLKFWVRLGVLLEHLKALSDNQKRF >ONI11560 pep chromosome:Prunus_persica_NCBIv2:G4:6013870:6015619:-1 gene:PRUPE_4G113200 transcript:ONI11560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFVLQVPNNLRSFTVSASVSSNGSPPLSSSGGSGGVGGPVILELPLDKIRRPLMRTRANDPIKVQELMDSISEIGLQTPIDVLEVEGVYYGFSGCHRYEAHQRLGLPTIRCKIRRGTKETLRHHLR >ONI12848 pep chromosome:Prunus_persica_NCBIv2:G4:11147111:11151935:-1 gene:PRUPE_4G187300 transcript:ONI12848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIHSIAPQSFLPLPNSKPRKPTNAHPKPILSSNLCNSKLSKNQTFLRNKRKDRWILKSLVDQEECDVIPVQSTDCTDQQEGMAVCRVECEGVEGELASQVGGFGASEGRLSFEGAGGFGSSGVGNERESEEFERLVDRTINATIVLAAGTFAITKLLTIDQDYWHGWTLYEILRYAPQHNWSAYEEALKTNPVLAKMVISGVVYSVGDWIAQCFEGKPLFEFDRTRMLRSGLVGFTLHGSLSHYYYQFCEELIPFQDWWVVPAKVAFDQTVWAAIWNSIYFTVLGFLRFESPIDIFSELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAPVEANSSSSNTSPLEE >ONI10838 pep chromosome:Prunus_persica_NCBIv2:G4:3484080:3487041:-1 gene:PRUPE_4G071100 transcript:ONI10838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKMWAVCVVVVLALNLWCNIGVRAAPQVPCYFIFGDSLVDNGNNNQLQSLARADYLPYGIDFGGPTGRFSNGKTTVDVVAELLGFDDYIPPYATARGQDILKGVNFASAAAGIREETGRQLGGRITFSGQVKNYQNVVSQVVNLLGDEDQAANYLGKCIYSVGLGSNDYLNNYFMPQFYSTGNQFTTEEYATSLIQDYSQQLRILYNYGARKIVLFGIGQIGCSPNELAQNSPDGASCVEKINSANQIFNSKLKALANEFNTNLSDARVIFVDSYGIFQDIITSPAQYGFRVTNAGCCGVGRNNGQITCLPLQTPCQNRNEYLFWDAFHPTEAGNNVVARRAYSAVRPSDAYPVDIRRLALL >ONI10839 pep chromosome:Prunus_persica_NCBIv2:G4:3484109:3486935:-1 gene:PRUPE_4G071100 transcript:ONI10839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKMWAVCVVVVLALNLWCNIGVRAAPQVPCYFIFGDSLVDNGNNNQLQSLARADYLPYGIDFGGPTGRFSNGKTTVDVVAELLGFDDYIPPYATARGQDILKGVNFASAAAGIREETGRQLGGRITFSGQVKNYQNVVSQVVNLLGDEDQAANYLGKCIYSVGLGSNDYLNNYFMPQFYSTGNQFTTEEYATSLIQDYSQQLRDLELQTQGAVG >ONI12341 pep chromosome:Prunus_persica_NCBIv2:G4:9072491:9081901:1 gene:PRUPE_4G158000 transcript:ONI12341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVISTSKIVFALVLGFLALNCFTGFESNAQLLPLEEVRTLETISTKLHNTLWNISRSSCQGGGSGFYRYFTDNILSNVTCNCSFANNTCHVTNIELKGLNLTGVIPDEFGNLTHLEEIDLTRNYINGSIPASLSRAPLRILSLLGNRLSGSIPAAIGDFTMLRELVLEDNQFDGPLPQSLGKLTDLERLLLSANNFTGTIPESLGNLKKLDDFRIDGSRLSGKIPSFIGNWTNLERLDMQGTSMEGPIPSTISLLKNLTQLRISDLNGSSMPFPNLTDTKNLETVTLRNCSISGLIPDYIGDLTKLTTLDLSFNKLTGEIPENIQGVDSLDYMFLTNNLLTGQVPSWIINNKNNLNLVSSYSSPQQSWCLEKDLPCPSKPRYHSLFINCGGGRMEFEGNEYEEDLTTVGISHFVSSSDKWGYSSTGVYMGKSRADYIARNTLSLNMNGPEFYQTARLAPLSLKYYGFCMIKGSYKVKLHFSEIMYSDSETFSSLGKRIFDVSIQGNLVLKDFNIMEEAGGVGKGIIREYDVLVNGSTLEIHLYWAGKGTTAIPDRGVYGPLISAITVTPNFKVDTGGLSAGAIAGIVVASCVSLVLVLVVLRLSGFLGGKDEDKELRRGLELQTGYFTLRQIKAATGNFDPSNKIGEGGFGPVYKGVLADGAVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLARALFGRDEQRLNLDWKTRKKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGKSNTNYRPKEEFVYLLDGAYVLQEQGNMLELVDPSLGSNYSKEEAMTMLNLALLCCNPSPTLRPPMSSVVSMLEGKSPVQAPTIKRGSAEQDAKFKAFERLSQDSQTHVSTFSQDSHVRGASMEGPWVDSSVSLASKDETMEHSSSTKLLKDMYDVNLQ >ONI12340 pep chromosome:Prunus_persica_NCBIv2:G4:9072491:9081901:1 gene:PRUPE_4G158000 transcript:ONI12340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVISTSKIVFALVLGFLALNCFTGFESNAQLLPLEEVRTLETISTKLHNTLWNISRSSCQGGGSGFYRYFTDNILSNVTCNCSFANNTCHVTNIELKGLNLTGVIPDEFGNLTHLEEIDLTRNYINGSIPASLSRAPLRILSLLGNRLSGSIPAAIGDFTMLRELVLEDNQFDGPLPQSLGKLTDLERLLLSANNFTGTIPESLGNLKKLDDFRIDGSRLSGKIPSFIGNWTNLERLDMQGTSMEGPIPSTISLLKNLTQLRISDLNGSSMPFPNLTDTKNLETVTLRNCSISGLIPDYIGDLTKLTTLDLSFNKLTGEIPENIQGVDSLDYMFLTNNLLTGQVPSWIINNKNNLDLSYNNFTGSPSVSCPQLTVNLVSSYSSPQQSWCLEKDLPCPSKPRYHSLFINCGGGRMEFEGNEYEEDLTTVGISHFVSSSDKWGYSSTGVYMGKSRADYIARNTLSLNMNGPEFYQTARLAPLSLKYYGFCMIKGSYKVKLHFSEIMYSDSETFSSLGKRIFDVSIQGNLVLKDFNIMEEAGGVGKGIIREYDVLVNGSTLEIHLYWAGKGTTAIPDRGVYGPLISAITVTPNFKVDTGGLSAGAIAGIVVASCVSLVLVLVVLRLSGFLGGKDEDKELRRGLELQTGYFTLRQIKAATGNFDPSNKIGEGGFGPVYKGVLADGAVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLFGCCIEGNQLLLIYEYMENNSLARALFGRDEQRLNLDWKTRKKICLGIARGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGKSNTNYRPKEEFVYLLDGAYVLQEQGNMLELVDPSLGSNYSKEEAMTMLNLALLCCNPSPTLRPPMSSVVSMLEGKSPVQAPTIKRGSAEQDAKFKAFERLSQDSQTHVSTFSQDSHVRGASMEGPWVDSSVSLASKDETMEHSSSTKLLKDMYDVNLQ >ONI11837 pep chromosome:Prunus_persica_NCBIv2:G4:7067392:7074659:1 gene:PRUPE_4G128500 transcript:ONI11837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSHLSTLKCSTFSLSSEKFYGNHILPQAASTIPTLDGEAEGNTAGLRNFESTKLRIKKMFNKVDLTVSSYDTAWVAMVPSTNSLKDPFFPECVNWLLGNQLYDGSWGPPNLHPLLMKDALLSTIACILALKRWSVGEEQINKGLHFIESNLASANDEELHSPVGFNIMFPVMIESAMKLDMNLPLGAPTLDALFHRRERELKSYGSNSEGWRAFLAYISEGFGKSQDWELVMKYQRKNGSLFNSPSTTAAAFTHLKNADCLKYLRTLLEKFGNAVPTVYPLENYARLSMVASLESLGIDRHFREEIRSVLDETYRCWLHGDEDIFSDAATFAMAFRLLRVNGYDVSADPLSQFSEDCFFNSLGGYLKDIGAALELLRASEFIIHPDESVMEKQNYWTSHFLKQELSNTLVQGHIFNKHIVLEVEDVLKFPSYANLGRLSTRRAIKYYNTDSTRILKSSYRCLNIGNEDFLKLAVDDFNICQSIHREELNHLARWIEENRLDKLNFARQKLAYCYFSAAATLFPPELSDARISWAKNGVLTTVVDDFFDIGGSEEELVNLIQLVEKWDVNVSVDCCSEHVEIIFSALKDTINEIGVKAFKWQGRSVTSHVIEIWLDLLKSMSKEAEWLRNKSVPTMDEYMTNAYISFALGPIVLPALYLVGPKLSEEVVRNSEFYNLYRLMSTSGRLLNDIQGFKRESAEGKLNALTLAMIHGNRVVTEEETINEMKSVITSKRRELLRLVLLEKGSIVPRACKDLFWNMSKVLHLFYAKNDGFTAHDMMKTVMAVTEEPIVADEFCNQSHV >ONI11836 pep chromosome:Prunus_persica_NCBIv2:G4:7067750:7074659:1 gene:PRUPE_4G128500 transcript:ONI11836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSHLSTLKCSTFSLSSEKFYGNHILPQAASTIPTLDGEAEGNTAGLRNFESTKLRIKKMFNKVDLTVSSYDTAWVAMVPSTNSLKDPFFPECVNWLLGNQLYDGSWGPPNLHPLLMKDALLSTIACILALKRWSVGEEQINKGLHFIESNLASANDEELHSPVGFNIMFPVMIESAMKLDMNLPLGAPTLDALFHRRERELKSYGSNSEGWRAFLAYISEGFGKSQDWELVMKYQRKNGSLFNSPSTTAAAFTHLKNADCLKYLRTLLEKFGNAVPTVYPLENYARLSMVASLESLGIDRHFREEIRSVLDETYRCWLHGDEDIFSDAATFAMAFRLLRVNGYDVSADPLSQFSEDCFFNSLGGYLKDIGAALELLRASEFIIHPDESVMEKQNYWTSHFLKQELSNTLVQGHIFNKHIVLEVEDVLKFPSYANLGRLSTRRAIKYYNTDSTRILKSSYRCLNIGNEDFLKLAVDDFNICQSIHREELNHLARWIEENRLDKLNFARQKLAYCYFSAAATLFPPELSDARISWAKNGVLTTVVDDFFDIGGSEEELVNLIQLVEKWDVNVSVDCCSEHVEIIFSALKDTINEIGVKAFKWQGRSVTSHVIEIWLDLLKSMSKEAEWLRNKSVPTMDEYMTNAYISFALGPIVLPALYLVGPKLSEEVVRNSEFYNLYRLMSTSGRLLNDIQGFKRESAEGKLNALTLAMIHGNRVVTEEETINEMKSVITSKRRELLRLVLLEKGSIVPRACKDLFWNMSKVLHLFYAKNDGFTAHDMMKTVMAVTEEPIVADEFCNQSHV >ONI11835 pep chromosome:Prunus_persica_NCBIv2:G4:7067765:7074659:1 gene:PRUPE_4G128500 transcript:ONI11835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSHLSTLKCSTFSLSSEKFYGNHILPQAASTIPTLDGEAEGNTAGLRNFESTKLRIKKMFNKVDLTVSSYDTAWVAMVPSTNSLKDPFFPECVNWLLGNQLYDGSWGPPNLHPLLMKDALLSTIACILALKRWSVGEEQINKGLHFIESNLASANDEELHSPVGFNIMFPVMIESAMKLDMNLPLGAPTLDALFHRRERELKSSYGSNSEGWRAFLAYISEGFGKSQDWELVMKYQRKNGSLFNSPSTTAAAFTHLKNADCLKYLRTLLEKFGNAVPTVYPLENYARLSMVASLESLGIDRHFREEIRSVLDETYRCWLHGDEDIFSDAATFAMAFRLLRVNGYDVSADPLSQFSEDCFFNSLGGYLKDIGAALELLRASEFIIHPDESVMEKQNYWTSHFLKQELSNTLVQGHIFNKHIVLEVEDVLKFPSYANLGRLSTRRAIKYYNTDSTRILKSSYRCLNIGNEDFLKLAVDDFNICQSIHREELNHLARWIEENRLDKLNFARQKLAYCYFSAAATLFPPELSDARISWAKNGVLTTVVDDFFDIGGSEEELVNLIQLVEKWDVNVSVDCCSEHVEIIFSALKDTINEIGVKAFKWQGRSVTSHVIEIWLDLLKSMSKEAEWLRNKSVPTMDEYMTNAYISFALGPIVLPALYLVGPKLSEEVVRNSEFYNLYRLMSTSGRLLNDIQGFKRESAEGKLNALTLAMIHGNRVVTEEETINEMKSVITSKRRELLRLVLLEKGSIVPRACKDLFWNMSKVLHLFYAKNDGFTAHDMMKTVMAVTEEPIVADEFCNQSHV >ONI11486 pep chromosome:Prunus_persica_NCBIv2:G4:5668145:5669820:-1 gene:PRUPE_4G108400 transcript:ONI11486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSHLKLTPIIFIIFISILTPQVHSESDECGSETNSCNDKSGAVPLKVIAITTILVTSMIGVSLPLVTRSIPALHPDRNLFVIVKCFAAGIILATGFMHVLPDSFDMLSSNCLKENPWHKFPFSGFVAMLSAIVTLMVDSMATSIYSKRCRTGVIPDNGTVAAVEVDQEMAAVGAGHGHFHAHSHDIVKGGNEDSQLPRYRVVAMVLELGIIVHSVVIGLSLGASNNTCTIKGLVAALCFHQMFEGMGLGGCILQAEYKFMKKAIMVFFFSTTTPFGIAIGMALTKSYKENSPRSLITVGLLNASSAGLLIYMALVDLLAADFMGPKLQRSIKLQIKSYMAVLLGAGGMSVLAKWA >ONI10998 pep chromosome:Prunus_persica_NCBIv2:G4:3966357:3974661:1 gene:PRUPE_4G081400 transcript:ONI10998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMSHPTKRSSAINNNTSSSTSSSLNPSSGPPMKKAKSQAVACSLDPSKNGLHHHHHHHPHTHPSQDPDNDVVFDPSTMALDEDLKSDDPSSRAVAANLSRKKAQPPQPTKKLVIKLLKAKPTLPTNFEEETWAKLKSAICAIFLKKPDSCDSEKLYQAVNDLCLHKMGGSLYQRIEKECERHIAAALQSLVGQSPDLVVFLSLVERCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLSPEVEHKTVTGLLRLIEKERLGEAVARTLLNHLLKMFTALGIYSESFEKPFLECTSEFYAAEGMKYMQQADVPDYLKHVETRLHEEHERCLIYLDASTRKPLVATAEKQLLERHIPAILDKGFTLLMDGNRIEDLQRMYTLFSRVNALESLRQALSTYIRRTGQGMIMDEEKDREMVSSLLEFKASLDTIWEESFFKNEAFCNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGMLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAEKLSLQDIKDSTGIEDKELRRTLQSLACGKVRVLQKFPKGRDVEDDDTFTFNDGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >ONI10946 pep chromosome:Prunus_persica_NCBIv2:G4:3790218:3790856:1 gene:PRUPE_4G077800 transcript:ONI10946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKIEMKMVKDSSSRQVTFSKRRTGLFKKANELATLCGAEIGVIVFSPGGKPFSFGHPNVESIADRFLNQEGSKSKAGSSNSKNDETVERLSQQLDDLNKKLEAEKKRGHMLDKAILKAKGLPLKSKAPNVHELSQADLQKMKESLEQLREKVKARACEMEASSSLLLLANEPDIETDRTVAKNE >ONI10612 pep chromosome:Prunus_persica_NCBIv2:G4:2729829:2730440:1 gene:PRUPE_4G056900 transcript:ONI10612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATSSFIRFGADIEQRPDLSVTELKRNNNIVLYYINLIGISVNGYMLNIPEQEFEIKKDGSGGSIIDSGAAFSHIRRAAHDSLLRALEAVLAGYGDTVKRVPSGDVPFELWYEVLKQEAFQGFPVITFHLQNSADIILDAESAFLIGKGTSGNMQFYLAIISENDPRGLNAIGAYQQTNYRFIYDTKSYKLFFGAEDCFRSS >ONI12220 pep chromosome:Prunus_persica_NCBIv2:G4:8706028:8708121:1 gene:PRUPE_4G152100 transcript:ONI12220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSTKTLKNPIFFRLTHSTFIKNPSFSTLPSSSSSDIPKRLHHKDWLAPNEVLQVFTSLRDPNSVRTALDHYSKRKDYKPNEALYTLVVNKLAQARLFDAIDNVMARIKSESKCRLSDNFFRTVIKAYGNVGGLINKAIETLYDMPNYGCWPSVKTFNFVLHLLVSTKMFDVVHEVYLEAPKLGIEIDACCLNILMKGLCEYGKLDAALKVLDEFPKQRCIPNALTFSTLMHGLCVNEKVDEAFGMLKRMENEGIDPDTITFNILIAGLRKQGRFEEGIKLLEEMRGKGCDPNAGSYQEVLYCFLDAQRFVEAKEFMSWMIPKGVGPSFVSYKALIHGLCKENLVQDVDWVLKQMIRQGFLPKMGMWRQILKSMFSEKSSHCCISYEEIVDN >ONI11794 pep chromosome:Prunus_persica_NCBIv2:G4:6904262:6906541:1 gene:PRUPE_4G126000 transcript:ONI11794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKAVLIGCNYQGTKAELKGCINDVKRMHSCLVDIYGFREDDIQVLIDSDSSYTQPTGRNIRRAITNLIRSADSGDVLFVHYSGHGTRLPAETGDDDDTGYDECIVPTDMNLITDDDFREFVDQLPAGCRLTMVSDSCHSGGLIDEAKEQIGESTKGEERKSSSGFGGFKNFLKDRAEDALESRGIHIPSALRPDRHHEEESDDREIETGYGHRGYVKSRSLPLSTLIEILKQKTGKDDIDVGKLRPTLFDVFGEDASPKVKKFMKVIMNKLQNNEGSSGGLLGKIGSLAQGFLEQKLQDNDEYAKPALETQVGSKQEVYAGANHRAIPDGGILISGCQTDQTSADASPSGNPTEAYGALSNAIQIILSERDGEVTNHQLVLKARETLKRQGYTQRPGLYCHDHHVDAPFVC >ONI14469 pep chromosome:Prunus_persica_NCBIv2:G4:24037365:24043350:1 gene:PRUPE_4G282000 transcript:ONI14469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFKNEGHVVFKPAKDVDLTPASSELYLRANVKAPRMAGFLVKVFAWFLESWIFGTLLLFILKRDNLIHKLVTNAELEEPPVYVPLHPFDDKEEEDVKQVGSDLSPPELVQQAIECLPVSLEKTLNGSNFSFRHWTISDYSRAYTSGEITPRTVAEHLIAAIHESSTPPLQMSFFINYKADDILKQATESTLRYEQGVPISALDGVPIAIKDEIDCLPYPTTGGTKWLHNLRPCTDDACCVKCLRLCGAVLVGKTNMHELGAGTSGINPHYGATRNPYSRSKISGGSSSGSAAVVASGLCPVALGVDGGGSVRMPAALCGVVGLKPTFGRVPHSGVLPLNWTVGMVGILAGTVEDAFIVYAAISCQLPPRLLSASPKVNFPLLNSTKTISSIKLAKYGEWFNDCSDDIRECCSNALERLQKQYGWKTVEVTIPEIEMMRLAHYVTIGSECSTSLSSFLEKLDFKELGWDARVALAVYGAFSSKEYIKAQKIRYVLLN >ONI14470 pep chromosome:Prunus_persica_NCBIv2:G4:24037731:24042579:1 gene:PRUPE_4G282000 transcript:ONI14470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFKNEGHVVFKPAKDVDLTPASSELYLRANVKAPRMAGFLVKVFAWFLESWIFGTLLLFILKRDNLIHKLVTNAELEEPPVYVPLHPFDDKEEEDVKQVGSDLSPPELVQQAIECLPVSLEKTLNGSNFSFRHWTISDYSRAYTSGEITPRTVAEHLIAAIHESSTPPLQMSFFINYKADDILKQATESTLRYEQGVPISALDGVPIAIKDEIDCLPYPTTGGTKWLHNLRPCTDDACCVKCLRLCGAVLVGKTNMHELGAGTSGINPHYGATRNPYSRSKISGGSSSGSAAVVASGLCPVALGVDGGGSVRMPAALCGVVGLKPTFGRVPHSGVLPLNWTVGMVGILAGTVEDAFIVYAAISCQLPPRLLSASPKVNFPLLNSTKTISSIKLAKYGEWFNDCSDDIRECCSNALERLQKQYGWKTVEVTIPEIEMMRLAHYVTIGSECSTSLSSFLEKLYVVDHPDFLPTILF >ONI12211 pep chromosome:Prunus_persica_NCBIv2:G4:8651052:8651285:1 gene:PRUPE_4G151300 transcript:ONI12211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSGQPANRGDVERGRVTNQSSSRTKDGGMVILAGAGVAVASTAVVTSGGGGGCGGGGCGGGGCGGGGCGGGGCGG >ONI14506 pep chromosome:Prunus_persica_NCBIv2:G4:24528689:24532571:1 gene:PRUPE_4G283900 transcript:ONI14506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPPPYNPVPVAYQGGAGAVPVWLNKGDNAWQMVAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVVICWVSWAYKMSFGDKLLPFWGKAGPALGQKFLINQAALPASTHYFSDHKTVETAEITPWYPMATMVWFQCVFAAITLILLAGSVLGRMNFKAWMMFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGIAGFTTAYWVGPRVKKDRERFPPNNVLLVLAGAGLLWMGWAGFNGGDPYAANTDSSMAVLNTNICAATSFLVWTWLDVIFFEKPSVIGAVQGMITGLVCITPAAGLVQGWAAIVMGVMSGSVPWFTMMIVDKRWKLLSAVDDTLGVVHTHAVAGFLGGVLTGLFAEPELCALFLPVTNSRGGVYGGSGGMQILKQIVGALFIIGWNLVVTSIICLVLRCIVPLRMPEEQLLIGDDAVHGEEAYALWGDGEKYDVTRHELYSDDTSHAQKYPSSGATQVV >ONI14099 pep chromosome:Prunus_persica_NCBIv2:G4:19046344:19049605:1 gene:PRUPE_4G261900 transcript:ONI14099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRRSLFSLSLIFVFMINSAIATPVTYNVASLGAKADGKTDSTKAFLFAWAKACASMNPGVIYVPAGTFFLRDVVFSGPCKNNAITFRIAGTLVAPSDYRVIGNAANWIFFHHVNGVTISGGILDGQGTALWACKASHGESCPSGATTLGFSDSNNIVVSGLASLNSQMFHIVINDCQNVQMQGVRVSASGNSPNTDGIHVQMSSGVTILNSKIATGDDCVSIGPGTSNLWIEGVACGPGHGISIGSLGKEQEEAGVQNVTVKTVTFTGTQNGLRIKSWGRPSTGFARNILFQHATMVNVENPIVIDQHYCPDNKGCPGQVSGVQISDVTYEDIHGTSATEVAVKFDCSPKHPCSEIKLEDVKLTYKNQAAESSCSHADGTTEGVVQPTSCL >ONI09682 pep chromosome:Prunus_persica_NCBIv2:G4:217852:221491:1 gene:PRUPE_4G003100 transcript:ONI09682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSQEAIKQFQTLMDQVDEPLKRTFQNVHQGYPLETFGRFLKAREWNVAKAHKMLVDCLNWRVQNEIDNILAKPIVPTELYRSVRDSQLIGLSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRIILPSASRKYGKPITTCVKVLDMTGLKLSALSQIKLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKVQVLSGCGKDELLKIMDYGSLPHFCKREGSGSSRHSQNGAENCFSLDHHFHQQLCNYIKQQSLIKQPVHPMKQGSFHVDVPEPAAEGTQIAKTIESEFNKFGNGNGLSDSLDDLKINGD >ONI09681 pep chromosome:Prunus_persica_NCBIv2:G4:217808:221487:1 gene:PRUPE_4G003100 transcript:ONI09681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSQEAIKQFQTLMDQVDEPLKRTFQNVHQGYPLETFGRFLKAREWNVAKAHKMLVDCLNWRVQNEIDNILAKPIVPTELYRSVRDSQLIGLSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRIILPSASRKYGKPITTCVKVLDMTGLKLSALSQIKLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKVQVLSGCGKDELLKIMDYGSLPHFCKREGSGSSRHSQNGAENCFSLDHHFHQQLCNYIKQQSLIKQPVHPMKQGSFHVDVPEPAAEGTQIAKTIESEFNKFGNGNGLSDSLDDLKINGD >ONI09680 pep chromosome:Prunus_persica_NCBIv2:G4:217826:221491:1 gene:PRUPE_4G003100 transcript:ONI09680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSQEAIKQFQTLMDQVDEPLKRTFQNVHQGYPLETFGRFLKAREWNVAKAHKMLVDCLNWRVQNEIDNILAKPIVPTELYRSVRDSQLIGLSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRIILPSASRKYGKPITTCVKVLDMTGLKLSALSQIKLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKVQVLSGCGKDELLKIMDYGSLPHFCKREGSGSSRHSQNGAENCFSLDHHFHQQLCNYIKQQSLIKQPVHPMKQGSFHVDVPEPAAEGTQIAKTIESEFNKFGNGNGLSDSLDDLKINGD >ONI09683 pep chromosome:Prunus_persica_NCBIv2:G4:217376:221450:1 gene:PRUPE_4G003100 transcript:ONI09683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSQEAIKQFQTLMDQVDEPLKRTFQNVHQGYPLETFGRFLKAREWNVAKAHKMLVDCLNWRVQNEIDNILAKPIVPTELYRSVRDSQLIGLSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRIILPSASRKYGKPITTCVKVLDMTGLKLSALSQIKLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKVQVLSGCGKDELLKIMDYGSLPHFCKREGSGSSRHSQNGAENCFSLDHHFHQQLCNYIKQQSLIKQPVHPMKQGSFHVDVPEPAAEGTQIAKTIESEFNKFGNGNGLSDSLDDLKINGD >ONI14139 pep chromosome:Prunus_persica_NCBIv2:G4:19469027:19471211:-1 gene:PRUPE_4G264200 transcript:ONI14139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHREEKNARRSVPQFGGWDQNGPDPTNYTVVFTQARANRKQNKTDLTEFKRNSLGNEQEFLAAHSQHGHHQQDDSVMRKKTILTYINCCIRP >ONI10089 pep chromosome:Prunus_persica_NCBIv2:G4:1228553:1233073:-1 gene:PRUPE_4G026300 transcript:ONI10089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRNVVLSVVDTLVRPQSCFFLFGRYICVQFSFNIVSDEKIENPRSEENPRDSSLWLQPLISIMDSDPPFHKAYKTLFDKTADKATATIINSNTNNKDHEEMLQLVIVEECDLPLIDLQRLELGEREREECKLEIARASQEWGFFQVVNHGVSGGLLQKMKIEQEKVFKQSFDKKSQEDKHLNFSAGSYRWGTPSATCLRQLAWSEAFHIPLKDISTSSAAGLDYNLSSTMEQFATTVSSLALNLAEILAEKLGHKSTFFQANCLPSTCYLRLNRYPPCPIPSEVFGLMPHTDSDFLTILHQDQVGGLQLVKDGIWIAVKPNPAALIINIGDLFQAWSNNVYKSVQHRVVTNLAVERFSTAYFLCPSYETVIQSCREPSVYRKFSFGEYRRQVQEDVKMLGSKIGLPRFVV >ONI10090 pep chromosome:Prunus_persica_NCBIv2:G4:1228553:1231453:-1 gene:PRUPE_4G026300 transcript:ONI10090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDPPFHKAYKTLFDKTADKATATIINSNTNNKDHEEMLQLVIVEECDLPLIDLQRLELGEREREECKLEIARASQEWGFFQVVNHGVSGGLLQKMKIEQEKVFKQSFDKKSQEDKHLNFSAGSYRWGTPSATCLRQLAWSEAFHIPLKDISTSSAAGLDYNLSSTMEQFATTVSSLALNLAEILAEKLGHKSTFFQANCLPSTCYLRLNRYPPCPIPSEVFGLMPHTDSDFLTILHQDQVGGLQLVKDGIWIAVKPNPAALIINIGDLFQAWSNNVYKSVQHRVVTNLAVERFSTAYFLCPSYETVIQSCREPSVYRKFSFGEYRRQVQEDVKMLGSKIGLPRFVV >ONI09620 pep chromosome:Prunus_persica_NCBIv2:G4:99486:103901:-1 gene:PRUPE_4G000700 transcript:ONI09620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPINGGNSLNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDADKKEPGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVHSEAPGSGHLARLSDDNCPESDNKTDPATPAPTSECPLEDKAAKECTPAKSLSIDESFSSRHEPLTPDSACHVDSPAESPKAEVSMKKRRVIMGEAFTDPEVVLTHQILESSLNSSYQQAHTTFLPREQFDPSSGISFRNEKILEKVVGSDM >ONI09626 pep chromosome:Prunus_persica_NCBIv2:G4:100042:102697:-1 gene:PRUPE_4G000700 transcript:ONI09626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPINGGNSLNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKEPGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVHSEAPGSGHLARLSDDNCPESDNKTDPATPAPTSECPLEDKAAKECTPAKSLSIDESFSSRHEPLTPDSACHVDSPAESPKAEVSMKKRRVIMGEAFTDPEVVLTHQILESSLNSSYQQAHTTFLPREQFDPSSGISFRNEKILEKVVGSDM >ONI09622 pep chromosome:Prunus_persica_NCBIv2:G4:100042:102697:-1 gene:PRUPE_4G000700 transcript:ONI09622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPINGGNSLNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKEPGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVHSEAPGSGHLARLSDDNCPESDNKTDPATPAPTSECPLEDKAAKECTPAKSLSIDESFSSRHEPLTPDSACHVDSPAESPKAEVSMKKRRVIMGEAFTDPEVVLTHQILESSLNSSYQQAHTTFLPREQFDPSSGISFRNEKILEKVVGSDM >ONI09619 pep chromosome:Prunus_persica_NCBIv2:G4:99802:103901:-1 gene:PRUPE_4G000700 transcript:ONI09619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPINGGNSLNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDADKKEPGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVHSEAPGSGHLARLSDDNCPESDNKTDPATPAPTSECPLEDKAAKECTPAKSLSIDESFSSRHEPLTPDSACHVDSPAESPKAEVSMKKRRVIMGEAFTDPEVVLTHQILESSLNSSYQQAHTTFLPREQFDPSSGISFRNEKILEKVVGSDM >ONI09618 pep chromosome:Prunus_persica_NCBIv2:G4:99805:103901:-1 gene:PRUPE_4G000700 transcript:ONI09618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPINGGNSLNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDADKKEPGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVHSEAPGSGHLARLSDDNCPESDNKTDPATPAPTSECPLEDKAAKECTPAKSLSIDESFSSRHEPLTPDSACHVDSPAESPKAEVSMKKRRVIMGEAFTDPEVVLTHQILESSLNSSYQQAHTTFLPREQFDPSSGISFRNEKILEKVVGSDM >ONI09625 pep chromosome:Prunus_persica_NCBIv2:G4:99803:103901:-1 gene:PRUPE_4G000700 transcript:ONI09625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPINGGNSLNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKEPGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVHSEAPGSGHLARLSDDNCPESDNKTDPATPAPTSECPLEDKAAKECTPAKSLSIDESFSSRHEPLTPDSACHVDSPAESPKAEVSMKKRRVIMGEAFTDPEVVLTHQILESSLNSSYQQAHTTFLPREQFDPSSGISFRNEKILEKVVGSDM >ONI09623 pep chromosome:Prunus_persica_NCBIv2:G4:100042:102697:-1 gene:PRUPE_4G000700 transcript:ONI09623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPINGGNSLNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKEPGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVHSEAPGSGHLARLSDDNCPESDNKTDPATPAPTSECPLEDKAAKECTPAKSLSIDESFSSRHEPLTPDSACHVDSPAESPKAEVSMKKRRVIMGEAFTDPEVVLTHQILESSLNSSYQQAHTTFLPREQFDPSSGISFRNEKILEKVVGSDM >ONI09621 pep chromosome:Prunus_persica_NCBIv2:G4:99486:103901:-1 gene:PRUPE_4G000700 transcript:ONI09621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPINGGNSLNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDADKKEPGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVHSEAPGSGHLARLSDDNCPESDNKTDPATPAPTSECPLEDKAAKECTPAKSLSIDESFSSRHEPLTPDSACHVDSPAESPKAEVSMKKRRVIMGEAFTDPEVVLTHQILESSLNSSYQQAHTTFLPREQFDPSSGISFRNEKILEKVVGSDM >ONI09627 pep chromosome:Prunus_persica_NCBIv2:G4:100036:101532:-1 gene:PRUPE_4G000700 transcript:ONI09627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQGKKADKKEPGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVHSEAPGSGHLARLSDDNCPESDNKTDPATPAPTSECPLEDKAAKECTPAKSLSIDESFSSRHEPLTPDSACHVDSPAESPKAEVSMKKRRVIMGEAFTDPEVVLTHQILESSLNSSYQQAHTTFLPREQFDPSSGISFRNEKILEKVVGSDM >ONI09624 pep chromosome:Prunus_persica_NCBIv2:G4:99806:103901:-1 gene:PRUPE_4G000700 transcript:ONI09624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPINGGNSLNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKEPGDVLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVHSEAPGSGHLARLSDDNCPESDNKTDPATPAPTSECPLEDKAAKECTPAKSLSIDESFSSRHEPLTPDSACHVDSPAESPKAEVSMKKRRVIMGEAFTDPEVVLTHQILESSLNSSYQQAHTTFLPREQFDPSSGISFRNEKILEKVVGSDM >ONI13146 pep chromosome:Prunus_persica_NCBIv2:G4:12817620:12825973:-1 gene:PRUPE_4G205600 transcript:ONI13146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTGKIKSVDFYRKIPRDLTEASLSGAGLSIIAALAMMFLFGMELNSYLAFSTSTSVIVDKSSDGDFLRIEFNISFPALSCEFASIDVSDVLGTNRLNITKTIRKFSIGPDLKPTGSEFHSGPAFHDIKHGDGDEYGGDGSVSITARTFEKFTHQHPILVVNFYAPWCYWSNRLKPSWEKAAKIIRERYDPEIDGRILLAKVDCTEEGDLCKRNHIQGYPSIRIFRKGSDVRDDHGHHDHESYYGDRDTDSLVKTMETLVAPIPVEAQKLALEGKSDNGTDNAKRPAPLTGGCRIEGYVRVKKVPGNLVISAHSGAHSFDASQMNMSHVISHFSFGRMIAPKVMSDVKRLVPYLGGSHDRLNGRSFINHRDLGANVTIEHYLQIVKSEVITGRNHKLIEEYEYTAHSSLVQSLQIPVAKFHFELSPMQVLITENQKSFSHFITNVCAIIGGVFTVAGIVDSILHNTIRMMRKVELGKNF >ONI09923 pep chromosome:Prunus_persica_NCBIv2:G4:861570:863251:-1 gene:PRUPE_4G018700 transcript:ONI09923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLKGSPSSSVDNGDDDDHQAGQRLVLYHHLLAAADHHQRSGLQKSPSWSSTPVRPYVRSKMPRLRWTPDLHHCFVHAVKRLGGEQRATPKMVLQIMNVKGLTISHVKSHLQMYRSMKHEQGIQAAAMAAKKNGMFQALEHPNNSNLLLKPINSNQPRYFQNNDGMQNMYNYGPCQGNQTCYIEQLDLNTTSPLPKWKDEKKQAMWIEKKVTREQNPNSFIIFKDLLKRCTDAKESNDQGKAVSLVSAGCKSNHKEFEDLLLCEESESSMRVVSNNDARMSLSLNSSPKASHELLRLSKAAGNSDMNDVSLELTLGT >ONI13441 pep chromosome:Prunus_persica_NCBIv2:G4:13976244:13978269:1 gene:PRUPE_4G222500 transcript:ONI13441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDIVVDEALLNRSKNKFKRCVSHTQDELKSFRSYLRWMCVDQSNARSACLSWFVFFLFGIFVPAMSHFVFGCGTCDSKHKRPYDSVVQLSLSSVASLSFVCLSRFVKKFGLRRFLFLDKLYDESETVRRGYTAQLDRSLKLLSIFVIPCFVADSAYKIWWYASEARQIPFVGNVYASAAVACIMELCSWLYRTTVIFLVCVLFRLICYLQILRLQDFATMFEVDSDVGSVLSEHLRIRRQLTIISHRCRAFILWSLILVTVSQFALLLITTKSSTMVNLDVYRAGELVLCSITLVTGLFILLRSATKITHKAQAVTCLAAKWHVCATLDSFDAATAQNGSGRSLPVAVGSEEHGESDNEEVDVEEDELDNTKLIPSYAYSTISFQKRHALVKYFENNRAGITIFGFTLDRTTLHTIFMLELSLVLWLLGKTVGIS >ONI12766 pep chromosome:Prunus_persica_NCBIv2:G4:10793014:10800771:1 gene:PRUPE_4G181900 transcript:ONI12766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFCDNKAAISIAHNPVQHDRTKHIEIDRHFIKEKLSQGLICTPCVKTNDQLADILTKGVSSKEVQIFCYHLFEYVVRIAKASHILLFFHHLSFDLSSSSSPPPSSISYSHHCDSFVPQSTPRRYSDNHIRQYHTGYYTGGGSGILSQIPSYLPHDEPQNIIGFNIWGAQTTDVQGLFMIQGSLRFQRDAVFTYVGNATSHLRYPEGFWSEPSGKLCMVGSNYDYLGHGRWLYVPAVLKLYNLINSTSVTSLISGTLESLVSSENDSSYFGPVSILMLPRMNYEYSLVSNKSDDTIFSRQRKHEFDLKYSSHCVLAKNCTPLAVSDLPRVVSLKSIECSEDKRRLRVLVIFADSRSVWYQKPFNPNTTLVGEGSWDAKKNQIRVVACRILNATESFTNRTHVGDCSTRLSLRFPAVWTIGNMRSTVGKIWGNKTVTELGYFESIAFESPENDIRRVLPPGLKYEYTKMETVTKLCPRKKAADGKTNIYPNPFSYDMRFDMSVKNSKGEAAWGSAIPISVGNSFYQHYPYSNEIPKSSARIGHLAAPVSYSYNNSIPVNISYQISIKFKQLAIEIYKLRNSSHSNEVKIYAEGIYDAKEGSLCMVGCRNLGSNSEQPTKDSVDCEILVNFQFPPTNSKHGSFIKGSIKSTRKKSDPLIFEAWNMFSASGYLVEAKRSIWRMDVEITLVLISTTLACVFVALQIFHVKKHPDVRPSISMFMLLILNLGYMIPLMLNFEAMFTKKTNRRNVLLGSGGWLEVNEVIVRVITMVAFLLQMRLLQLTWSARSANGTQKELWIMEKKALFVALSVYVAGALGALLLKNWRKADSDNDFAVLSSYFPEHPILDALKSYGGLVLDGFLLPQILLNMFCKSKEKALSVSFYIGTTFVRAMPHAYDLYRAQNSAHHQLHESYLYASPVADFFSTAWDVIIPFWGLLFAGDHLLAAEWNSQSKVYQIMCKNTFEFTPLSVSETDVQGLFKLVAHIRFLRARTYYFVGNSTISHRGSASNPYRRRRSLLFWLDGFWSASSGNVCMVGSLGSYYSKGHNPVQTPNVVLKLHNLMNSSSFTTLISGTLESSSKDDLNNFETVSILMLPSRNYQYTLVSDKSDNSCSGSNNYGTDDPESSMKIERFCLELSRRVQIDIKYSSHCDSAKNCSPIFASNLPRFVLLKATECSEDTRRLSVLVQFADSRRPWNQRPFVPNTTLVWEGSWDAKKNQLCVVACHFLDAADSWNNTHVGDCSTRLSLRFPAIWTIGNTSSIVGQIWSNKTVTESGYFNKITFESPQNEFRRFLLPGQKYEYMKIDEVTKLCPRRKPRVNANDKTNIYPNPFSYDMSFDMSSKNSRGVVSWGSSVPFSVGNRFYNQHWHSMKDADSVAILLISAEGIYDETEGSLCMIGCRNLGSKSLQAPTDSVDCEIIVNFQFPPENSSGFIKGGIESKRKKSDPLYFEHLDLSSAAGYADEAERSIWRMDVEITLALVSTTLACIFVALQLFHVKNHPDKAFLGSSGWLEVNEVIVKALTMVGFLLKLRLLSLTWSARSVNNGTQNELWVMEKKAFIVALPVYVAGTFAALFLMDWRKIGTDNDVVLSGYHEHPILGALKSYAGLVLNSFLLPKILLNMFCKSKEKYLSVSFYMGTTFVRVLPHAYDLYRSHSSAHHQLNEAYIYASPVADFYSTAWDVIIPFVGLLFVGIIYLQQKFGGLCIVPQKLRELGEYEKVPTVTEGKLPK >ONI10956 pep chromosome:Prunus_persica_NCBIv2:G4:3818618:3819438:1 gene:PRUPE_4G078600 transcript:ONI10956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHCQPKYRRVMPAATTKLREIEVMIISAQDLKNVKHVTQVRAYAVVYVEKEYHAARTGVDEQGGTSPTWNEVVKVKFREDLLENDVLAALNVDIYAQGRVREKPVGSARVLLCDVLKGGDEREPADNPVQCMTVQVWRPSGRPHGLLNLWVPPTGRFLMRRESLSFSAREAVDGEEVEVEEEPVVKGGEEA >ONI11071 pep chromosome:Prunus_persica_NCBIv2:G4:4195964:4198435:-1 gene:PRUPE_4G085500 transcript:ONI11071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGRSCYLRIGKAIAFEPTSHLFEHPLTCVELAAFFSSFSSRSSDPSSDFDSKIKARSVESDDFDATRNGYDGVGKLGAPDLGDWSFLGSTKNDCEDDQRSKFDIFDDIEEPDGEEEKDSDDDDDDLMVLGSSNRVHEQKENFVRVEGDEDEFRHPLVREVCRLLELRSGWNPKLEGQLRNLLRSLKARQVCAVLRSQADERVALEFFYWADRQWRYKHYPVVYYAMLDVLSKTKLCQGAKRVLRLMARRGIERSPEAFGYVMVSYSRAGKLRHAMRVLTLMQKAGVELNVSICNTAIHALVMGNKLEKALRVLERMQLVGIAPNVVTYNCLIKGYCEVHRVEDALELIDEMPSRGCLPDKVSYYTVMGFLCKEKRVKEVRELVEKMTNDGGLLPDQVTYNNLVHMLSKHGYGDEAVEFLREAEDKGFRFDKVGYSAIVHSFCKDGRIDMAKEIVNEMFSKGCTPDVVTYTAVLNGYCRLGKVDQAKKMLQHMYKHGCKPNTVSYTALLNGLCRSQNSLEAREMMNMSEEEWWTPNAITYSVLMHGLRREGKLVEACDMVREMVNKGFLPNPVEINLLIQSLCREGKINEAKRFMEECLNKGCAVNVVNFTTVIHGYCQKDDLETALSLLDDMYLSNKHPDAMTYTTVINALGKKGRIQEATKLMIEMLGKGLDPTPVTYRTVIHWYCQTGSVDDLVKLLEKMFLRQNCKTAYNQVIEKLCSFGKLEEADKLLGKVLRTAARVDAKTCHVLMDSYLRKGTPLSAYKVACRMFNRNLIPDLKLCEKVTKRLMSEGNSKEADNLMLRFVERGCLSHQHQEHLQS >ONI11072 pep chromosome:Prunus_persica_NCBIv2:G4:4194431:4198764:-1 gene:PRUPE_4G085500 transcript:ONI11072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGRSCYLRIGKAIAFEPTSHLFEHPLTCVELAAFFSSFSSRSSDPSSDFDSKIKARSVESDDFDATRNGYDGVGKLGAPDLGDWSFLGSTKNDCEDDQRSKFDIFDDIEEPDGEEEKDSDDDDDDLMVLGSSNRVHEQKENFVRVEGDEDEFRHPLVREVCRLLELRSGWNPKLEGQLRNLLRSLKARQVCAVLRSQADERVALEFFYWADRQWRYKHYPVVYYAMLDVLSKTKLCQGAKRVLRLMARRGIERSPEAFGYVMVSYSRAGKLRHAMRVLTLMQKAGVELNVSICNTAIHALVMGNKLEKALRVLERMQLVGIAPNVVTYNCLIKGYCEVHRVEDALELIDEMPSRGCLPDKVSYYTVMGFLCKEKRVKEVRELVEKMTNDGGLLPDQVTYNNLVHMLSKHGYGDEAVEFLREAEDKGFRFDKVGYSAIVHSFCKDGRIDMAKEIVNEMFSKGCTPDVVTYTAVLNGYCRLGKVDQAKKMLQHMYKHGCKPNTVSYTALLNGLCRSQNSLEAREMMNMSEEEWWTPNAITYSVLMHGLRREGKLVEACDMVREMVNKGFLPNPVEINLLIQSLCREGKINEAKRFMEECLNKGCAVNVVNFTTVIHGYCQKDDLETALSLLDDMYLSNKHPDAMTYTTVINALGKKGRIQEATKLMIEMLGKGLDPTPVTYRTVIHWYCQTGSVDDLVKLLEKMFLRQNCKTAYNQVIEKLCSFGKLEEADKLLGKVLRTAARVDAKTCHVLMDSYLRKGTPLSAYKVACRMFNRNLIPDLKLCEKVTKRLMSEGNSKEADNLMLRFVERGCLSHQHQEHLQS >ONI12412 pep chromosome:Prunus_persica_NCBIv2:G4:9409658:9411078:1 gene:PRUPE_4G163300 transcript:ONI12412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCKQQPLYDGFWHGKLSSLIFQTFSYGGSPLLLQSCPSLYSSIAKVAEIGSIKGSMTWICIGTVTQIQKICRSFQALGDKPFAYSYSLKLRAGAFLALGYEYHYY >ONI12213 pep chromosome:Prunus_persica_NCBIv2:G4:8656820:8657652:1 gene:PRUPE_4G151500 transcript:ONI12213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLAENWSCMNNNMDMNDHFPGAAGAGTSSNMSNALLMSLLEETQGDQDHDEERLRSLIRALEVELDPTTSEDHEIFMENPHQFGGISQEDFQPLDVGYVSGGDFPGTDAAGFGWIDMEIGSSSQEGTGESVDWYMDSCLDDEIDCSSSNFGGSTTTPFEENGYQNLWQETYINTRMNV >ONI12761 pep chromosome:Prunus_persica_NCBIv2:G4:10776744:10782794:1 gene:PRUPE_4G181600 transcript:ONI12761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPLPENVPQNSKKRLLPRSTSPCMDSDDVVEIPPPSHRTPKLQKNKEVILHDVIEIDKDEDSVAAMLIDERVDRSVKGKGIQNSSDAYHDHQAKYFQEPTVNNLFGPSGIEFLGSGHGIEATKSFAPANLINLDGPISDVSYDDDDYTDYYLDEFMDVDEYALLQAHFDNADIPPGIEAPVPWFSDPPNSKVNSVSGSSSVNQSGQIEPDDVGLQGNNSPLSPWSLKTQIDNIPHPPLSPPWKLSKAARSKKKQPALQHQGSAPNLPVGVESSKSQWLLGPFQRKKKPSSSSSSTNYNSVNQFDAMKLVSGSEASSMAYFREVLKKKASSTSYNPPAVPPPIPWWPDPFSKSNTMPSNSSFYDPLGSIYPPGEVAGVPWVFNPKTQNNVVPVGVSTTPTRKLSSMDIDEFIEKFKGFKQFDTIEDHSDHHYTSRGSSTKQPPKNWAKRIQEEWKILEKDLPDTIFVRVYETRMDLLRAVIVGAEGTPYHDGLFFFDVFFPSGYPNAPPNVYYHSGGLRLNPNLYNCGKVCLSLLNTWTGNKNEKWLPGVSTMLQVLVSIQGLILNTKPYFNEPGYARMNGSAAGEKRSLEYNEDTFILSLRTMVYIMRRPPKNFEDFVLGHFYSRARDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSQKFKDSLAGYVPMIVTEFTRIGAKDCENFLPPAVVGNNQIASMPQAATSMVLC >ONI12762 pep chromosome:Prunus_persica_NCBIv2:G4:10776744:10782757:1 gene:PRUPE_4G181600 transcript:ONI12762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPLPENVPQNSKKRLLPRSTSPCMDSDDVVEIPPPSHRTPKLQKNKEVILHDVIEIDKDEDSVAAMLIDERVDRSVKGKGIQNSSDAYHDHQAKYFQEPTVNNLFGPSGIEFLGSGHGIEATKSFAPANLINLDGPISDVSYDDDDYTDYYLDEFMDVDEYALLQAHFDNADIPPGIEAPVPWFSDPPNSKVNSVSGSSSVNQSGQIEPDDVGLQGNNSPLSPWSLKTQIDNIPHPPLSPPWKLSKAARSKKKQPALQHQGSAPNLPVGVESSKSQWLLGPFQRKKKPSSSSSSTNYNSVNQFDAMKLVSGSEASSMAYFREVLKKKASSTSYNPPAVPPPIPWWPDPFSKSNTMPSNSSFYDPLGSIYPPGEVAGVPWVFNPKTQNNVVPVGVSTTPTRKLSSMDIDEFIEKFKGFKQFDTIEDHSDHHYTSRGSSTKQPPKNWAKRIQEEWKILEKDLPDTIFVRVYETRMDLLRAVIVGAEGTPYHDGLFFFDVFFPSGYPNAPPNVYYHSGGLRLNPNLYNCGKVCLSLLNTWTGNKNEKWLPGVSTMLQVLVSIQGLILNTKPYFNEPGYARMNGSAAGEKRSLEYNEDTFILSLRTMVYIMRRPPKYVRMIKLDCIFWKKSEFRK >ONI12763 pep chromosome:Prunus_persica_NCBIv2:G4:10776744:10782749:1 gene:PRUPE_4G181600 transcript:ONI12763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDVVEIPPPSHRTPKLQKNKEVILHDVIEIDKDEDSVAAMLIDERVDRSVKGKGIQNSSDAYHDHQAKYFQEPTVNNLFGPSGIEFLGSGHGIEATKSFAPANLINLDGPISDVSYDDDDYTDYYLDEFMDVDEYALLQAHFDNADIPPGIEAPVPWFSDPPNSKVNSVSGSSSVNQSGQIEPDDVGLQGNNSPLSPWSLKTQIDNIPHPPLSPPWKLSKAARSKKKQPALQHQGSAPNLPVGVESSKSQWLLGPFQRKKKPSSSSSSTNYNSVNQFDAMKLVSGSEASSMAYFREVLKKKASSTSYNPPAVPPPIPWWPDPFSKSNTMPSNSSFYDPLGSIYPPGEVAGVPWVFNPKTQNNVVPVGVSTTPTRKLSSMDIDEFIEKFKGFKQFDTIEDHSDHHYTSRGSSTKQPPKNWAKRIQEEWKILEKDLPDTIFVRVYETRMDLLRAVIVGAEGTPYHDGLFFFDVFFPSGYPNAPPNVYYHSGGLRLNPNLYNCGKVCLSLLNTWTGNKNEKWLPGVSTMLQVLVSIQGLILNTKPYFNEPGYARMNGSAAGEKRSLEYNEDTFILSLRTMVYIMRRPPKNFEDFVLGHFYSRARDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSQKFKDSLAGYVPMIVTEFTRIGAKDCENFLPPAVVGNNQIASMPQAATSMVLC >ONI10184 pep chromosome:Prunus_persica_NCBIv2:G4:1547369:1547776:1 gene:PRUPE_4G033100 transcript:ONI10184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAIPSSLTTKFPVSERTAAEVLDGTEILVDQTEFCLPSTSSKFPLLLIVKLAKVSEAAIGFSLLATHTTLRGNISLCHIPTYLLAELLGLKKPSSKMWPGETRFCPCDNGCEGVMS >ONI10318 pep chromosome:Prunus_persica_NCBIv2:G4:1900666:1903649:-1 gene:PRUPE_4G040500 transcript:ONI10318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWIVVHQLAEIEAMAASKKVITREDWEKKLKDVKIKKEDMNKLVMNFLVTEGYVDAAEKFRKESGTEHIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINDLSTAMLEDPTV >ONI10320 pep chromosome:Prunus_persica_NCBIv2:G4:1900002:1904063:-1 gene:PRUPE_4G040500 transcript:ONI10320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWIVVHQLAEIEAMAASKKVITREDWEKKLKDVKIKKEDMNKLVMNFLVTEGYVDAAEKFRKESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINDLSTAMLEDPTV >ONI10319 pep chromosome:Prunus_persica_NCBIv2:G4:1900324:1904064:-1 gene:PRUPE_4G040500 transcript:ONI10319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWIVVHQLAEIEAMAASKKVITREDWEKKLKDVKIKKEDMNKLVMNFLVTEGYVDAAEKFRKESGTEHIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINDLSTAMLEDPTV >ONI10322 pep chromosome:Prunus_persica_NCBIv2:G4:1900324:1903900:-1 gene:PRUPE_4G040500 transcript:ONI10322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWIVVHQLAEIEAMAASKKVITREDWEKKLKDVKIKKEDMNKLVMNFLVTEGYVDAAEKFRKESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINDLSTAMLEDPTV >ONI10321 pep chromosome:Prunus_persica_NCBIv2:G4:1900324:1904063:-1 gene:PRUPE_4G040500 transcript:ONI10321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWIVVHQLAEIEAMAASKKVITREDWEKKLKDVKIKKEDMNKLVMNFLVTEGYVDAAEKFRKESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAAYPRINDLSTAMLEDPTV >ONI11741 pep chromosome:Prunus_persica_NCBIv2:G4:6733023:6739911:1 gene:PRUPE_4G123500 transcript:ONI11741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNTDAGTMSPVEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQDVIDRERRGDYLGKTVQVVPHITDAIQEWIERVAQIPVDGESGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGSHNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRCLGLTPHLIACRSTTALEENVKTKVSQFCHVQKENIITLYDVSNIWHIPLLLRDQKAHEAIFKVLNLQGLTREPELEEWTSRAEICDMLHEPVRIAMVGKYTRLSDAYLSVIKALVHASVARGKKLFVDWVPAGDLEDATAKENPDAYKAAWKLLKGADGVLVPGGFGDRGVQGKILAAKYAREKRIPFLGICLGMQIAVIEFARSVLGLKDANSTEFDPNTKNPCVIFMPEGSTTHMGATMRLGSRRTYFQSTECKSAKLYGNKRFIDERHRHRYEVNPEMVARLENAGLSFTGKDETGQRMEIVELRNHPYFIGVQFHPEFKSRPGKPSALFIGLIAAACGQLDAFLPGSESKRNIPNGPANDMSQRNIPYGASNDMPQRNIPNGASNHMFQAACGQLESFFQGSETKRNVPNGAAKAYQNGAATKLANRPQDSAYSIFNGMHL >ONI11743 pep chromosome:Prunus_persica_NCBIv2:G4:6733119:6737751:1 gene:PRUPE_4G123500 transcript:ONI11743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNTDAGTMSPVEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQDVIDRERRGDYLGKTVQVVPHITDAIQEWIERVAQIPVDGESGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGSHNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRCLGLTPHLIACRSTTALEENVKTKVSQFCHVQKENIITLYDVSNIWHIPLLLRDQKAHEAIFKVLNLQGLTREPELEEWTSRAEICDMLHEPVRIAMVGKYTRLSDAYLSVIKALVHASVARGKKLFVDWVPAGDLEDATAKENPDAYKAAWKLLKGADGVLVPGGFGDRGVQGKILAAKYAREKRIPFLGICLGMQIAVIEFARSVLGLKDANSTEFDPNTKNPCVIFMPEGSTTHMGATMRLGSRRTYFQSTECKSAKL >ONI11744 pep chromosome:Prunus_persica_NCBIv2:G4:6733821:6739864:1 gene:PRUPE_4G123500 transcript:ONI11744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQDVIDRERRGDYLGKTVQVVPHITDAIQEWIERVAQIPVDGESGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGSHNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRCLGLTPHLIACRSTTALEENVKTKVSQFCHVQKENIITLYDVSNIWHIPLLLRDQKAHEAIFKVLNLQGLTREPELEEWTSRAEICDMLHEPVRIAMVGKYTRLSDAYLSVIKALVHASVARGKKLFVDWVPAGDLEDATAKENPDAYKAAWKLLKGADGVLVPGGFGDRGVQGKILAAKYAREKRIPFLGICLGMQIAVIEFARSVLGLKDANSTEFDPNTKNPCVIFMPEGSTTHMGATMRLGSRRTYFQSTECKSAKLYGNKRFIDERHRHRYEVNPEMVARLENAGLSFTGKDETGQRMEIVELRNHPYFIGVQFHPEFKSRPGKPSALFIGLIAAACGQLDAFLPGSESKRNIPNGPANDMSQRNIPYGASNDMPQRNIPNGASNHMFQAACGQLESFFQGSETKRNVPNGAAKAYQNGAATKLANRPQDSAYSIFNGMHL >ONI11742 pep chromosome:Prunus_persica_NCBIv2:G4:6733119:6738761:1 gene:PRUPE_4G123500 transcript:ONI11742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNTDAGTMSPVEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQDVIDRERRGDYLGKTVQVVPHITDAIQEWIERVAQIPVDGESGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGSHNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRCLGLTPHLIACRSTTALEENVKTKVSQFCHVQKENIITLYDVSNIWHIPLLLRDQKAHEAIFKVLNLQGLTREPELEEWTSRAEICDMLHEPVRIAMVGKYTRLSDAYLSVIKALVHASVARGKKLFVDWVPAGDLEDATAKENPDAYKAAWKLLKGADGVLVPGGFGDRGVQGKILAAKYAREKRIPFLGICLGMQIAVIEFARSVLGLKDANSTEFDPNTKNPCVIFMPEGSTTHMGATMRLGSRRTYFQSTECKSAKLYGNKRFIDERHRHRYEVNPEMVARLENAGLSFTGKDETGQRMEIVELRNHPYFIGVQFHPEFKSRPGKPSALFIGTCLIHP >ONI12837 pep chromosome:Prunus_persica_NCBIv2:G4:11069999:11072204:1 gene:PRUPE_4G186300 transcript:ONI12837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPKLLGVFLVMEMMSGFRFGAHGLSMGYYVMSCPMAELIVRNSVIRALQADPTLAAGLIRMHFHDCFIEGCDASILLDSTKDNTAEKDSPANLSLRGYEVIDAAKEELEKECPGVVSCADIVAMASTYAVFEAGGPVYDIPKGRKDGSRSKIQDTINLPPPTLNASQLIKMFGQHGFTAQEMVALSGAHTLGVARCSSFKNRLVDAVDPNLDSGFAKQLTKTCSAGDNTEQPFDGTRNHFDNLYFNALQHNTGLLASDQTLFSSARTRNIVNGYAFNQAMFFFDFQQAMVKMSMLDVKEGFKGEVRQNCRKIN >ONI14298 pep chromosome:Prunus_persica_NCBIv2:G4:22735845:22738228:1 gene:PRUPE_4G273700 transcript:ONI14298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLMMISLFQSGFAIEPALTRVVNITNDLGSGIGLIIHCKSADNDLGKHEVPFQSHFSWSFKSNPLFQNTEFYCYMWWENVSGSFDVYKAVRDDERCASKCWWSIRTIGAFSYNENLDRWDLMYICNQWQYFLLLQLHVPTCALYAFFFCICTYSQTPNPYVDDVCVFVSLRYTKAHTHQNPDYLPLNQTP >ONI10637 pep chromosome:Prunus_persica_NCBIv2:G4:2813495:2816119:1 gene:PRUPE_4G058900 transcript:ONI10637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMGWSFQAYWMNLILISVFIIPHVLGCFNSVISFGDSLTDTGNLYNSSPNRSLHYFQPPYGETYFHHPTGRCSDGRLIIDFIAQFLGLPLVPPFLQNLNSNQSVQNFEAGVNFAVIGATGLDASFLATMEIHSPSTNNSLRIQLEWFKQMLPSLCNTSLDCKKFLSTSLILMGSIGCNDYNDGLLGGKSIELVRSYLPLVIEAIASTINELIELGAATILVPGNNPIGCLPAYLSKFETSDKNQYDPSTGCLKWLNEFAQYHNEQLQIELSRIRRLHPQVTIVYADYYNALLQLYQSPHQFGFTGETSKACCGGGGPYNFNPSLQCGNAGATVCENPSQFINWDGMHSTEAAYRLMTKALLQGNYTFPRLASLCVSQM >ONI13208 pep chromosome:Prunus_persica_NCBIv2:G4:13103238:13107194:1 gene:PRUPE_4G210100 transcript:ONI13208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQWLKRLRAAFGASFLWLVCLVYFTQGFRSFVWTAVSYQLKDRLKLSPSSSQFVFSIAFFPWSIKPLYGIVSDCIPIKGRKRVPYLVIATVLSLVPWLILGLNATLRSSKWNLMTFLTVQNLGSAMADVVVDAMIAEAVRRERATFAGDLQSISWFSMALGGICGSLSGGYALTNLQIHTIFLLFSVLPSIQLLSCGLVEEKSVTVDSKVLPEFYDSSSVDGVNGNSSFLDEDSFSEKKPNISMLRRKKSRKGGKKKRGTGKGQIAEKVDSLPSWWFRSLKAATFSLCHAFKQPLILRPMAWFFLAHVSIPNLSTVMFYYQTEFLSLEASFLGTARVVGWLGLMLGTFVYNQYLKTMKLRRILMLSHVGLSFLGLLDMVLVSRVNLTYGISDRIMVLCGSALSDAINQFKFMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFVGAGLASALNISSGSFDNLSLGIAIQVLCTFIPVGFLFLIPKEVTGVSA >ONI09852 pep chromosome:Prunus_persica_NCBIv2:G4:642533:644360:-1 gene:PRUPE_4G013800 transcript:ONI09852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFPVVDLSQINGEKREATMEKMNDACENWGFFELVNHGISHELMDTVEKLTKEHYKKCMEQRFKEMVASKGLEAVQSEIHDLDWESTFFLRHLPVSNISQIPDLDEDYRKVMKEFAVELEKLAEQLLDLLCENLGLEKGYLKKAFYGSKGPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWIDVPPMHHSIVINLGDQLEVITNGKYKSVMHRVIAQPDGTRMSIASFYNPGDDAFICPAPALLEKETENISAYPKFVFDDYMKLYSGLKFHAKEPRFEAMKAMESTVNLDPVATV >ONI09851 pep chromosome:Prunus_persica_NCBIv2:G4:642807:644197:-1 gene:PRUPE_4G013800 transcript:ONI09851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFPVVDLSQINGEKREATMEKMNDACENWGFFELVNHGISHELMDTVEKLTKEHYKKCMEQRFKEMVASKGLEAVQSEIHDLDWESTFFLRHLPVSNISQIPDLDEDYRKVMKEFAVELEKLAEQLLDLLCENLGLEKGYLKKAFYGSKGPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVITNGKYKSVMHRVIAQPDGTRMSIASFYNPGDDAFICPAPALLEKETENISAYPKFVFDDYMKLYSGLKFHAKEPRFEAMKAMESTVNLDPVATV >ONI11264 pep chromosome:Prunus_persica_NCBIv2:G4:4874898:4876487:-1 gene:PRUPE_4G097000 transcript:ONI11264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYVKLVPVLLFILLNSVWCTTSNSISESFLQCFSSHIHSNSSSKIILTKHSSAYSSVLQSSIQNLRFLNTSTPKPEAIITPFKHSHVQAAVICSKKEGIQIRTRSGGHDYEGLSYVSTTPFIMIDLFELRSIDIDIENEIAWVESGATLGELYYAIAQKSKVYGFPAGTCPTIGVGGHISGGGFGSLFRKYGLAADNVLDAKIVDVNGRVLDRKSMGEELFWAIRGGGGSSFGVILSWKLRLVPVPPSVTGYMESKTIEQGATKLVSKWQAIADRIPEGNFLRLVLQVENGTNGGKTIQVVFNFLFLETYKELLPWMKENFPELNLDQTAFTEMSWIESVLFLANFPINETEVLLQRTQQSRSFFKAKSDYVTEPISEAGLEGLYQRMLQLDTSMLILSPFGGRMSEISESEIPFPHRKGNLYEIQYYVTWDDDKETKKHISWTRMVYGYMAAYVSKSPRAAYLNYRDLDLGTDKDANTSYAQATIWGLSYFKNNFRRLAQVKTLVDPGNFFRNEQSIPVLRSGEK >ONI12109 pep chromosome:Prunus_persica_NCBIv2:G4:8333943:8337909:1 gene:PRUPE_4G144900 transcript:ONI12109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGDKERGPADGESQNKLLRVKNSDNDTTLHLAVRYNHDEVEIRLMEADPQLCCFTNKANESPLFLAVRKGTPSIVHCILKAYESGVSPSFQGTNGLTALHAAVTQEQLKDKDVVEILVSKNRDLIKEVDAIGWTPLHYAAFTGNVEATQLLMESDSSASYIMDLSSKMSALHVAAYAGHTKVMEELIRYQPDTCDLVNSKGQTVLHSAILGGQGKVVKYILRTPKLTGLINEADEDGNTPLHIAVKVVDKDAVHKKFSKAIDIFLGQNSEEQVRNTDLRQPSVCTTLIDHLANTNKGTINSTVLHQFGSCVGDPFLQQKISNDFNKPNTSAIEHERQKSLSNFNLVVTTLIATVTFTAAITPPGGFKSDGKLVLSDDPFFQVFQIFNLGSFMIAMVAICNESTLTRLLSIQITTPAVLVQYSIGSLLVAFWSATMAVTPKHQRKGPPKNPIAFILSIIVTPASPEAKPGVDLQGDGKQIKSV >ONI14272 pep chromosome:Prunus_persica_NCBIv2:G4:22472764:22474995:1 gene:PRUPE_4G272400 transcript:ONI14272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQGSEIEAVGISYKIHTHNNSNNNNRSDQKNPFKILSQNQRQPLDHQKQAKVAVAVEDDDHDILVAGAGSEGGGRGGVGQVLKDVNLRARPWEILAIVGPSGAGKSSLLEILAGKITPQTGSMFVNQKPVDKAQFKKVSGYVTQKDTLFPLLTVEETLMFSAKLRLRVPPAQLSARVKALIQELGLSQVAQARVGDDRVRGISGGERRRVSIGVDVIHDPEVLILDEPTSGLDSTSALQIIDMLKSMAETRGRTVILSIHQPGFRIVKLFNSIVLLANGSVLHHGTVDQLGLNLRLMGLELPLHVNLVEFAMDSIETIQKHYQQQHRQHHNTAEGEGEGEGETSPSGKLTLQQLFQESNKEQSPNKVNNLHHEEMGINNKPNQDSPDFANSRLQETIILTHRFSKNIFRTKELFACRTIQMLVSGLVLGSIFYDLKHDLTGAEERVGLFAFILTFLLSCTTEALPIFLQEREILMKETSCGSYRVSSYAIANGLVYLPFLLILAILFTVPLYWLVGLNPNFMAFFHFLLLIWLILYTANSVVVCFSALVPNFIVGNSVIAGVMGSFFLFSGYFISKHGIPSYWIFMHYISLFKYPFEGFLVNEFSNSDKCLEYFFGKCMVTGEDVLRDAGYEEESRWRNVVVMVCFILVYRFMSYVILRCRCSQRGLKPAFLL >ONI14549 pep chromosome:Prunus_persica_NCBIv2:G4:25164209:25166553:-1 gene:PRUPE_4G287000 transcript:ONI14549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIDIGGIMKDLPNDGRIPKTKIVCTLGPSSRSVVMLEKLLRAGMNVARFNFSHGTHDYHQETLNNLRTAMHNTQILCAIMLDTKGPEIRTGFLNGGKPIQLKEGQEITITIDYDIKGDQEMISMSYKKLAVDLKPGNTILCADGTISLTVLSCDPAAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTEKDKEDILEWGVPNKIDMIALSFVRKGSDLVNVRKVLGPHAKHIQLMSKVENQEGVINFDDILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGSYPELAVKIMARICIEAESSLDYGAIFKEMIKSTPLPMSPLESLASSAVRTANKARAKLIVVLTRGGSTAKLVAKYRPAVPILSVVVPVLTTDSFDWSCSDETPARHSLIYRGLIPLLAEGSAKATDAESTEVILEAALKSATERGLCKLGDAVVALHRIGVASVIKICVVK >ONI10934 pep chromosome:Prunus_persica_NCBIv2:G4:3749080:3750408:1 gene:PRUPE_4G076900 transcript:ONI10934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSWCVAKPAAPQHALQSALDYACNYADCSPTKKGGSCYDPDRPVHHVSFAMNAYYQKMGRNQWNCHLNNTSLISLADPSYNPCCQFVSGGSGPPLPQEKEDTWCVPKPGTQTMHYRTS >ONI14379 pep chromosome:Prunus_persica_NCBIv2:G4:23319148:23328088:-1 gene:PRUPE_4G278200 transcript:ONI14379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSVSTTPSTTSSLHLKQAHYFPLFTFHFNQLFPLSVSFPTPSSTPTRLHISVCAAAKSQTGPVKKRSPSGTNNNKKKKRKGGGGGDSEDLSLSDVEIVDNLGAGGVGSSGSGSSSRSLGFHPTPLPKPPAGFVVDDHGKVLMASSKRIASIVDPTNNFPLECVIRRVFRSSRGDECMLLCPVDTPVQILKSTNIDGWSAVSDEEAEVILPAAAYALAKIHMHLVHSGFCYTARGGFCYSEDDIFDFRTDDGQDVDGLPTEGVEITCFHLEGAHYMIYTPSDPLLFVVVKNNDGLLQIADDDLLEDPAIISAIDEETEFNALVEEEAALLDSLLGKE >ONI14381 pep chromosome:Prunus_persica_NCBIv2:G4:23325714:23328088:-1 gene:PRUPE_4G278200 transcript:ONI14381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSVSTTPSTTSSLHLKQAHYFPLFTFHFNQLFPLSVSFPTPSSTPTRLHISVCAAAKSQTGPVKKRSPSGTNNNKKKKRKGGGGGDSEDLSLSDVEIVDNLGAGGVGSSGSGSSSRSLGFHPTPLPKPPAGFVVDDHGKVLMASSKRIASIVDPTNNFPLECVIRRVFRSSRGDECMLLCPVDTPVQILKSTNIDGWSARLNRKILVR >ONI14380 pep chromosome:Prunus_persica_NCBIv2:G4:23322653:23328088:-1 gene:PRUPE_4G278200 transcript:ONI14380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSVSTTPSTTSSLHLKQAHYFPLFTFHFNQLFPLSVSFPTPSSTPTRLHISVCAAAKSQTGPVKKRSPSGTNNNKKKKRKGGGGGDSEDLSLSDVEIVDNLGAGGVGSSGSGSSSRSLGFHPTPLPKPPAGFVVDDHGKVLMASSKRIASIVDPTNNFPLECVIRRVFRSSRGDECMLLCPVDTPVQILKSTNIDGWSAVSDEEAEVILPAAAYALAKIHMHLVHSGFCYTARGGFCYSEDDIFDFRTDDGQDVDGLPTEGVEITCFHLVM >ONI11879 pep chromosome:Prunus_persica_NCBIv2:G4:7295224:7300803:1 gene:PRUPE_4G131800 transcript:ONI11879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFRYWEDCVDSHDLEEMWSSPCVTAEWLDAGESRGQKVHLSRDPDGQPFLTQTEMKIVAEITIRRHFANQIDPDMIRAIADLESDRQPLATRYDKKTKSTTAGIMQLLPKTADWLASDMGYQVYAVQGNPDLLFKPFVNVYFGAAYLKWLSSYDQTERSEEYVVRAYKGGTKKATHKSTLKYWQRYLSVKESLPSRKSFEGRPSPNEVSTSAAPHAHAPPHAPPHAHAAPPAPHNAGHHIYWDSRASPEDMAEMWNHQDVAREWTTTGEKRGKVRFSLDDKKRPYLSRVELKAVADIVLTKHFNTKPIKASVLGALAEVISLRFLNGVGPRTGIMGIDYSTALWLFMEMGFKAYTIHSADDLSMPFVSMYFGAAYLVWLVEYEGRSRTPEFVVQAYILGPKNVNLQDSGPQWLKFEEALGKYEDTKKLKDQGSCTVM >ONI12352 pep chromosome:Prunus_persica_NCBIv2:G4:9098727:9101155:-1 gene:PRUPE_4G158600 transcript:ONI12352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFRTLLSHHHSYSLLKPQNPLSISQITRKPFSFSSIFQRFYSSHQTENQTKPRKPLDLLFKEAVELSPKPENSESEGETEDSPLKKGSRELEKEVKSLKSNSNGENKAKKSEVEPKNSKGETEDSPLKKGLRELEKEVKSLKSNSNGENKAKKSAIEPKNSKAMVSLYEVFTNKAAAGDERKWKELTRERSNVFKALSQDMEVVVSHLYKEGYFKDANFLSVNDGRLDFSCFNNSYGRGFVKFAVERFAKDNQVIAKWLSGSDLKKVALVGCPSLARKSVFGAKRLRKFFDIQEHTVCSKCVLKQSCNFVNQNVWNRGAKNLDLADVMNTVTLYALDAAPPQLVVSDEVKSSVSRLLKEVLRLSKTTS >ONI12351 pep chromosome:Prunus_persica_NCBIv2:G4:9097847:9101352:-1 gene:PRUPE_4G158600 transcript:ONI12351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFRTLLSHHHSYSLLKPQNPLSISQITRKPFSFSSIFQRFYSSHQTENQTKPRKPLDLLFKEAVELSPKPENSESEGETEDSPLKKGSRELEKEVKSLKSNSNGENKAKKSEVEPKNSKGETEDSPLKKGLRELEKEVKSLKSNSNGENKAKKSAIEPKNSKAMVSLYEVFTNKAAAGDERKWKELTRERSNVFKALSQDMEVVVSHLYKEGYFKDANFLSVNDGRLDFSCFNNSYGRGFVKFAVERFAKDNQVIAKWLSGSDLKKVALVGCPSLARKSVFGAKRLRKFFDIQEHTVCSKCVLKQSCNFVNQNVWNRGAKNLDLADVMNTVTLYALDAAPPQLVVSDEVKSSVSRLLKEVLRLSKTTS >ONI12354 pep chromosome:Prunus_persica_NCBIv2:G4:9098033:9101289:-1 gene:PRUPE_4G158600 transcript:ONI12354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFRTLLSHHHSYSLLKPQNPLSISQITRKPFSFSSIFQRFYSSHQTENQTKPRKPLDLLFKEAVELSPKPENSESEGETEDSPLKKGSRELEKEVKSLKSNSNGENKAKKSEVEPKNSKGETEDSPLKKGLRELEKEVKSLKSNSNGENKAKKSAIEPKNSKAMVSLYEVFTNKAAAGDERKWKELTRERSNVFKALSQDMEVVVSHLYKEGYFKDANFLSVNDGRLDFSCFNNSYGRGFVKFAVERFAKDNQVIAKL >ONI12353 pep chromosome:Prunus_persica_NCBIv2:G4:9098727:9101155:-1 gene:PRUPE_4G158600 transcript:ONI12353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFRTLLSHHHSYSLLKPQNPLSISQITRKPFSFSSIFQRFYSSHQTENQTKPRKPLDLLFKEAVELSPKPENSESEGETEDSPLKKGSRELEKEVKSLKSNSNGENKAKKSEVEPKNSKGETEDSPLKKGLRELEKEVKSLKSNSNGENKAKKSAIEPKNSKAMVSLYEVFTNKAAAGDERKWKELTRERSNVFKALSQDMEVVVSHLYKEGYFKDANFLSVNDGRLDFSCFNNSYGRGFVKFAVERFAKDNQVIAKWLSGSDLKKVALVGCPSLARKSVFGAKRLRKFFDIQEHTVCSKCVLKQSCNFVNQNVWNRGAKNLDLADVMNTVTLYALDAAPPQLVVSDEVKSSVSRLLKEVLRLSKTTS >ONI13199 pep chromosome:Prunus_persica_NCBIv2:G4:13055252:13060001:1 gene:PRUPE_4G209400 transcript:ONI13199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAGPRNSKPTKPPNPSTSNAPTSQPQQPPNSSSKSSSSSVSSSLSTHLAMVELKQRILTSLSKLSDRDTYQIAVEDLEKIIQTLAPEGLPMLLNCLYDASADPKPAVKKESLRLLALVSASHPDFTSTHLTKIIAHIVKRLKDADSSVRDACRDAIGALSAQYLKGESVSDNGVLGSIVGLFMKPLFEAMAEQNKGVQSGAALCMAKIVDCAADPPVSSFQKLCPRICKLLNNPNFLAKASLLPVVSSLSQVGAIAPQSLENLLQIIHECLGSTDWATRKAAADVLIALALHSSNLVKDRTASTLTVLESCRFDKIKPVRDSMTEALQFWKKIAGKGGDEAPNEQKGLSHEVSEKNESKNPKPSARTEQAAKGSSNDSSPTSDSVSKSKGITADKAVALLKKKPPVLTDKELNPEFFQKLEERGSDELPVEVVVPRRHLNSSNSNNEVELEPNCTDSKERLNRNGNSQSDDIQGSFSSKYRNIERGLAGLYSKQRDHDDFERGKWPEERANGKDPRMRAVDGDDRIDINQRESSSSRAGFSKTDGQSEGAFVNNKGNWLAIQRQLLQLERQQGHLMSMLQDFMGGSHDSMVTLENRVRGLERVVEDLARDLSISSGRRGGNFAMGFEGSSNRPLGKYNGFPDYTSAKFGRGGDGRSPFGERFAQNDGIVSGMRGRGPPWRPDMSEVWDFSTYGGGSRNGQIGSRKAVGGGPMDGRSPKSENESDQGGNRRAWDKGVGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDNGTSRAARVAIPELTAEAMEDDNVGQERNPIWTSWTNAMDALQVGDVDTAYVEVLSTGDDLLLVKLMDRSGPVIDQLSNETATEVLHAVGQFLPEANLFDICLSWIQQLVEMVLENGSDVFGLPTEVKKELVLNLHEASLAMDPPEDWEGATPDQLLVQLASSWGINLQQHDK >ONI13198 pep chromosome:Prunus_persica_NCBIv2:G4:13055252:13060001:1 gene:PRUPE_4G209400 transcript:ONI13198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAGPRNSKPTKPPNPSTSNAPTSQPQQPPNSSSKSSSSSVSSSLSTHLAMVELKQRILTSLSKLSDRDTYQIAVEDLEKIIQTLAPEGLPMLLNCLYDASADPKPAVKKESLRLLALVSASHPDFTSTHLTKIIAHIVKRLKDADSSVRDACRDAIGALSAQYLKGESVSDNGVLGSIVGLFMKPLFEAMAEQNKGVQSGAALCMAKIVDCAADPPVSSFQKLCPRICKLLNNPNFLAKASLLPVVSSLSQVGAIAPQSLENLLQIIHECLGSTDWATRKAAADVLIALALHSSNLVKDRTASTLTVLESCRFDKIKPVRDSMTEALQFWKKIAGKGGDEAPNEQKGLSHAEVSEKNESKNPKPSARTEQAAKGSSNDSSPTSDSVSKSKGITADKAVALLKKKPPVLTDKELNPEFFQKLEERGSDELPVEVVVPRRHLNSSNSNNEVELEPNCTDSKERLNRNGNSQSDDIQGSFSSKYRNIERGLAGLYSKQRDHDDFERGKWPEERANGKDPRMRAVDGDDRIDINQRESSSSRAGFSKTDGQSEGAFVNNKGNWLAIQRQLLQLERQQGHLMSMLQDFMGGSHDSMVTLENRVRGLERVVEDLARDLSISSGRRGGNFAMGFEGSSNRPLGKYNGFPDYTSAKFGRGGDGRSPFGERFAQNDGIVSGMRGRGPPWRPDMSEVWDFSTYGGGSRNGQIGSRKAVGGGPMDGRSPKSENESDQGGNRRAWDKGVGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDNGTSRAARVAIPELTAEAMEDDNVGQERNPIWTSWTNAMDALQVGDVDTAYVEVLSTGDDLLLVKLMDRSGPVIDQLSNETATEVLHAVGQFLPEANLFDICLSWIQQLVEMVLENGSDVFGLPTEVKKELVLNLHEASLAMDPPEDWEGATPDQLLVQLASSWGINLQQHDK >ONI13809 pep chromosome:Prunus_persica_NCBIv2:G4:16367440:16368350:1 gene:PRUPE_4G246400 transcript:ONI13809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQFMNCLCWAVQNECFFKWPQHPGCTLLPCGPMYVWLLVLGFCPRVAFMKVHFSDFAVVVTGKKELLEFTAQPFLLL >ONI10641 pep chromosome:Prunus_persica_NCBIv2:G4:2830660:2833049:1 gene:PRUPE_4G059300 transcript:ONI10641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTACLFLNLPLSSSSAAFTSHTNKNYPSLASHLLLTLTPNQSFLRAPRFSRQIKASSASMEAQQSETGVSTPPMKLLFVEMGVGYDQHGQDVTAASMRACRDAISSNSIPAFRRGSIPGVTFEEMKLEIKLGVPHLLQPSLDVERVKSVFPYGKIVKVEVVDGGLICSSGVCVEEMGDKNDDCYIVNAAVYIGY >ONI12317 pep chromosome:Prunus_persica_NCBIv2:G4:8977450:8980634:-1 gene:PRUPE_4G156800 transcript:ONI12317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTHLLLHIKPPPVPSLLKSHRSSSSILPRNFLIPSPCHRKPIKEIMMKPQAASVQSAVSEVMSLIQSSPPTWQSAILSNLLIFLLGSPILVSGLSVSGIAAAFLLGTLTWRAFGSSGFLLVATYFVIGTAVTKVRMAQKEAEGVAEKRKGRRGPGSVIGSSAAGCICAFLAIFGAGGIGYSRLWQLGFVASFCTKLSDTVSSEIGKAYGKTTYLVTTLKVVPRGTEGAVSVEGTFAGLLAAILLAFVGGLMDNCP >ONI12316 pep chromosome:Prunus_persica_NCBIv2:G4:8977970:8980579:-1 gene:PRUPE_4G156800 transcript:ONI12316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTHLLLHIKPPPVPSLLKSHRSSSSILPRNFLIPSPCHRKPIKEIMMKPQAASVQSAVSEVMSLIQSSPPTWQSAILSNLLIFLLGSPILVSGLSVSGIAAAFLLGTLTWRAFGSSGFLLVATYFVIGTAVTKVRMAQKEAEGVAEKRKGRRGPGSVIGSSAAGCICAFLAIFGAGGIGYSRLWQLGFVASFCTKLSDTVSSEIGKAYGKTTYLVTTLKVVPRGTEGAVSVEGTFAGLLAAILLAFVGGLMGEITAPEAIICVIASQIANFGESIIGAALQDKEGFRWLNNDAVNVINISMGSILAVFMQQVLLQNLRV >ONI14153 pep chromosome:Prunus_persica_NCBIv2:G4:19696080:19710328:1 gene:PRUPE_4G265200 transcript:ONI14153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYQVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGHNGIFRNDTNDTMEGSFHQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKFGTKVEGILRQSADVEEVERRVQEYEQGKTEFDPGEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRSSILETFPEPNRRLLQRILKMMHTISSHSHENRMTPSAVAACMAPLLLRPLLAGECELDDEFDVNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDENLLRCSISADSRIENSGSEDSSDDENLDVKDNGYHDAENEVDPETDDDLDRVHSGKLSESSGYAGSDLYDYKAFGGDDLDVGSPKGNHASAESSNLGVPQPIRDPNVEVMESGTKQKKENGTSISETETPSASPAGESYRSMGEILSVMDPGHPLQVSGVESGNVKAVAKVTGSNHNAKRSAFWGRSNARKTPSMESVDSSGEEELSIQRLEIAKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQLASSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQNIQNHNPQPRFLQQDFDATLAFCNHERKQRNEESMSGADWRNIKGQILASGGGTRQPTRKQFMDPAGMSESKSTDASTSLSVDDLSAVDSASMPSTSRAAEVVDYPRHPSTASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSLQDSVYRPSSPPWN >ONI14154 pep chromosome:Prunus_persica_NCBIv2:G4:19699193:19710328:1 gene:PRUPE_4G265200 transcript:ONI14154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNGIFRNDTNDTMEGSFHQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKFGTKVEGILRQSADVEEVERRVQEYEQGKTEFDPGEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRSSILETFPEPNRRLLQRILKMMHTISSHSHENRMTPSAVAACMAPLLLRPLLAGECELDDEFDVNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDENLLRCSISADSRIENSGSEDSSDDENLDVKDNGYHDAENEVDPETDDDLDRVHSGKLSESSGYAGSDLYDYKAFGGDDLDVGSPKGNHASAESSNLGVPQPIRDPNVEVMESGTKQKKENGTSISETETPSASPAGESYRSMGEILSVMDPGHPLQVSGVESGNVKAVAKVTGSNHNAKRSAFWGRSNARKTPSMESVDSSGEEELSIQRLEIAKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQLASSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQNIQNHNPQPRFLQQDFDATLAFCNHERKQRNEESMSGADWRNIKGQILASGGGTRQPTRKQFMDPAGMSESKSTDASTSLSVDDLSAVDSASMPSTSRAAEVVDYPRHPSTASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSLQDSVYRPSSPPWN >ONI14152 pep chromosome:Prunus_persica_NCBIv2:G4:19695087:19710328:1 gene:PRUPE_4G265200 transcript:ONI14152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAFERPRPGGSNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGHNGIFRNDTNDTMEGSFHQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKFGTKVEGILRQSADVEEVERRVQEYEQGKTEFDPGEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRSSILETFPEPNRRLLQRILKMMHTISSHSHENRMTPSAVAACMAPLLLRPLLAGECELDDEFDVNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDENLLRCSISADSRIENSGSEDSSDDENLDVKDNGYHDAENEVDPETDDDLDRVHSGKLSESSGYAGSDLYDYKAFGGDDLDVGSPKGNHASAESSNLGVPQPIRDPNVEVMESGTKQKKENGTSISETETPSASPAGESYRSMGEILSVMDPGHPLQVSGVESGNVKAVAKVTGSNHNAKRSAFWGRSNARKTPSMESVDSSGEEELSIQRLEIAKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQLASSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQNIQNHNPQPRFLQQDFDATLAFCNHERKQRNEESMSGADWRNIKGQILASGGGTRQPTRKQFMDPAGMSESKSTDASTSLSVDDLSAVDSASMPSTSRAAEVVDYPRHPSTASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSLQDSVYRPSSPPWN >ONI13678 pep chromosome:Prunus_persica_NCBIv2:G4:15492550:15495408:1 gene:PRUPE_4G237300 transcript:ONI13678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTEVATKTHAVCIPFPAQSHIKAMLKFAKLLHHRGFHITFVNTEFNHKRFLKTLGSNSLDAIPDSLPDSNEDTTQDVTLLCESVRKNLLAPFHTLLAKLNNDAIETSTNPPVTCIVSDGFLSTFTITAAEEIGAPIVMFYTVAACSFMGCKQFCAVVEKGLAPLKVVYVNFGSIAVMSHDTRTACGVWLPFFWVIRPDLVIGESAILPPEFVAETKERSLIAGWCPQEQVLNHPSVGGFLTHSGWNSAVESLTAGVPMLCWPFFADQQMDCRYTCHEWGIGMDINYLRDTLVGSTSHCISLMKNKAMEWKALAEQATGPHDSSSTNLDNLANQMQSRKL >ONI12590 pep chromosome:Prunus_persica_NCBIv2:G4:10270962:10272561:1 gene:PRUPE_4G173600 transcript:ONI12590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPPKTSSSSFHIAMFPWFAIGHITPYIHLANELASRGHRITLLVPNKARLQLQHLVLHADLIVFSPVAVPHVHGLPEGTEIASEIPIHMTHLLATAMDRTRGEIEQFLTVSKLDFVLYDTAQWVPGIARRNGIKTVCYNVVCAAALAIALVPARNVPKDRPITEEELREAPAGYPSKNVVLCGAEAKALTFISLPYGEGITFYERTTTAMKECDVLSIRTCRELEGDLCDYMEAQYKKPVILTGPVLGLGSDKKSEKLEERWEKWFSGFEAGSVVFCAFGTQWILEKEQFQELVLGFELTGLPFFVALKPPSGCATIEEALPNGFEERVKGRGVVFGGWVQQTAILSHKSVGCFVNHCGFGSMWESLMTDNQIVLVPHLGDQILNTKLLVKELKVAVEVEREENGWLSKDSLRKAIKSVMDKDSEVGVMVKKNHAKWVETLSSPGFMSGYIDRFVQKLKEIVN >ONI10793 pep chromosome:Prunus_persica_NCBIv2:G4:3322170:3322968:1 gene:PRUPE_4G068400 transcript:ONI10793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFPSPSPSHKVASLVLVLVLLASLYGSCRGSESRIHPGRDLLATQRRNNGSQNQIPSCNDMSSESQCSRNPKCRWCRSDVLNDMCFPKLEALRLPLQVFSCKIDYSRLNRNHEKA >ONI11045 pep chromosome:Prunus_persica_NCBIv2:G4:4122952:4128334:1 gene:PRUPE_4G084400 transcript:ONI11045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGSASTVSRGAQSIPLQSRRQRAFYQFTQQSLPACKPVLTPAWVITTFLLVGAIFIPVGLITLHASQNVVEIVDQYDTECVPEAFKGNKVAYIKDNFISKNCSRYLKVYKHMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLGYNDTSSCKPEESKNGLPTVPCGLIAWSLFNDTYTFIRGRTELKVNRKNIAWKSDRDHKFGKHVYPFNFQNGTLIGGGKLDPRIPLSDQEDLIVWMRTAALPSFRKLYGRIEEDLDADDVLLVNLTNNYNTYSFGGKKKIVLSTSSWLGGKNDFLGLAYTFVGSTSIFISVVFLLLHMKNPRTL >ONI13214 pep chromosome:Prunus_persica_NCBIv2:G4:13115450:13118702:1 gene:PRUPE_4G210300 transcript:ONI13214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYFPKDIIQEILQRLPTKCLIKCTLVCKPWRSLIQSPSFIHSHLRRTIHSNNQDAAVGLLLLRAFAGNENSALYSLRWDNSETGEHSKLVNPYPYIKEAIFSPSERVVVRDFHVVGACNGLVCLAVDFAYVGSQTIIWNPSVRKFVTLPRRGVSLSKTGKHRASYAFGYDSRTNDYKVLRSTSNRYGKTPCEVEIWSLLRGSWKSLSAAVVPEDFMFGVYNNRNVVPGNFGPVDKHAFVNGALHWVQRRTVGEDKFILWFHMGSELFGEIMMPEGLTRERCFVLRYEESLALLKSEELQGNCSKFDIWVMKEHGVVESWTKLFTVHLQRHMLEPFCFTRSGELVFKMCGSGRRLLKVDLKTEEFKYFGIDGYMCHFMDSFVESLVLLGQANAISY >ONI13215 pep chromosome:Prunus_persica_NCBIv2:G4:13115423:13118710:1 gene:PRUPE_4G210300 transcript:ONI13215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYFPKDIIQEILQRLPTKCLIKCTLVCKPWRSLIQSPSFIHSHLRRTIHSNNQDAAVGLLLLRAFAGNENSALYSLRWDNSETGEHSKLVNPYPYIKEAIFSPSERVVVRDFHVVGACNGLVCLAVDFAYVGSQTIIWNPSVRKFVTLPRRGVSLSKTGKHRASYAFGYDSRTNDYKVLRSTSNRYGKTPCEVEIWSLLRGSWKSLSAAVVPEDFMFGVYNNRNVVPGNFGPVDKHAFVNGALHWVQRRTVGEDKFILWFHMGSELFGEIMMPEGLTRERCFVLRYEESLALLKSEELQGNCSKFDIWVMKEHGVVESWTKLFTVHLQRHMLEPFCFTRSGELVFKMCGSGRRLLKVDLKTEEFKYFGIDGYMCHFMDSFVESLVLLGQANAISY >ONI13211 pep chromosome:Prunus_persica_NCBIv2:G4:13115416:13118790:1 gene:PRUPE_4G210300 transcript:ONI13211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYFPKDIIQEILQRLPTKCLIKCTLVCKPWRSLIQSPSFIHSHLRRTIHSNNQDAAVGLLLLRAFAGNENSALYSLRWDNSETGEHSKLVNPYPYIKEAIFSPSERVVVRDFHVVGACNGLVCLAVDFAYVGSQTIIWNPSVRKFVTLPRRGVSLSKTGKHRASYAFGYDSRTNDYKVLRSTSNRYGKTPCEVEIWSLLRGSWKSLSAAVVPEDFMFGVYNNRNVVPGNFGPVDKHAFVNGALHWVQRRTVGEDKFILWFHMGSELFGEIMMPEGLTRERCFVLRYEESLALLKSEELQGNCSKFDIWVMKEHGVVESWTKLFTVHLQRHMLEPFCFTRSGELVFKMCGSGRRLLKVDLKTEEFKYFGIDGYMCHFMDSFVESLVLLGQANAISY >ONI13212 pep chromosome:Prunus_persica_NCBIv2:G4:13115297:13118798:1 gene:PRUPE_4G210300 transcript:ONI13212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYFPKDIIQEILQRLPTKCLIKCTLVCKPWRSLIQSPSFIHSHLRRTIHSNNQDAAVGLLLLRAFAGNENSALYSLRWDNSETGEHSKLVNPYPYIKEAIFSPSERVVVRDFHVVGACNGLVCLAVDFAYVGSQTIIWNPSVRKFVTLPRRGVSLSKTGKHRASYAFGYDSRTNDYKVLRSTSNRYGKTPCEVEIWSLLRGSWKSLSAAVVPEDFMFGVYNNRNVVPGNFGPVDKHAFVNGALHWVQRRTVGEDKFILWFHMGSELFGEIMMPEGLTRERCFVLRYEESLALLKSEELQGNCSKFDIWVMKEHGVVESWTKLFTVHLQRHMLEPFCFTRSGELVFKMCGSGRRLLKVDLKTEEFKYFGIDGYMCHFMDSFVESLVLLGQANAISY >ONI13210 pep chromosome:Prunus_persica_NCBIv2:G4:13115450:13118772:1 gene:PRUPE_4G210300 transcript:ONI13210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYFPKDIIQEILQRLPTKCLIKCTLVCKPWRSLIQSPSFIHSHLRRTIHSNNQDAAVGLLLLRAFAGNENSALYSLRWDNSETGEHSKLVNPYPYIKEAIFSPSERVVVRDFHVVGACNGLVCLAVDFAYVGSQTIIWNPSVRKFVTLPRRGVSLSKTGKHRASYAFGYDSRTNDYKVLRSTSNRYGKTPCEVEIWSLLRGSWKSLSAAVVPEDFMFGVYNNRNVVPGNFGPVDKHAFVNGALHWVQRRTVGEDKFILWFHMGSELFGEIMMPEGLTRERCFVLRYEESLALLKSEELQGNCSKFDIWVMKEHGVVESWTKLFTVHLQRHMLEPFCFTRSGELVFKMCGSGRRLLKVDLKTEEFKYFGIDGYMCHFMDSFVESLVLLGQANAISY >ONI13213 pep chromosome:Prunus_persica_NCBIv2:G4:13115557:13118691:1 gene:PRUPE_4G210300 transcript:ONI13213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYFPKDIIQEILQRLPTKCLIKCTLVCKPWRSLIQSPSFIHSHLRRTIHSNNQDAAVGLLLLRAFAGNENSALYSLRWDNSETGEHSKLVNPYPYIKEAIFSPSERVVVRDFHVVGACNGLVCLAVDFAYVGSQTIIWNPSVRKFVTLPRRGVSLSKTGKHRASYAFGYDSRTNDYKVLRSTSNRYGKTPCEVEIWSLLRGSWKSLSAAVVPEDFMFGVYNNRNVVPGNFGPVDKHAFVNGALHWVQRRTVGEDKFILWFHMGSELFGEIMMPEGLTRERCFVLRYEESLALLKSEELQGNCSKFDIWVMKEHGVVESWTKLFTVHLQRHMLEPFCFTRSGELVFKMCGSGRRLLKVDLKTEEFKYFGIDGYMCHFMDSFVESLVLLGQANAISY >ONI10469 pep chromosome:Prunus_persica_NCBIv2:G4:2353038:2355981:1 gene:PRUPE_4G049200 transcript:ONI10469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQSEGEQISSANAVFLGALAPGVNAPTWTTLRFAFVMLGLCLAVMLGLAFSSSDSWLVLHVAFLVLITMTLFLLLSWFLAQTGLVSVEHQIREMGLAPNDQEVSKEGVKKTEEKSL >ONI10543 pep chromosome:Prunus_persica_NCBIv2:G4:2528532:2530724:1 gene:PRUPE_4G052500 transcript:ONI10543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKLLQVDKNATDDDLKKAYRKLAMKWHPDKNPTNKKEAEAKFKQISEAYEVLSDPQKRAIYDQYGEEGLKGQVPPPDAGGPGGATYFQTGDGPNVFRFNPRNADDIFAEIFGFSSPMGGMGGGMGGGGGGAGSGMRGTRSFGGLFGDDMFSSFGEGRPMTQAPRKASPIEKRLPCSLEELYRGTTKKMKISREIADASGKTLPVEEILTIEIKPGWKKGTKITFPEKGNEQGNVIPADLVFIIDEKPHSTFTRDGNDLVVTQKISLAEALTGYTVHLTTLDGRTLAIPINNVIHPDYEEVVPKEGMPIPKDPSKKGNLRIKFNIKFPSRLNSEQKAGIKKLLAA >ONI11999 pep chromosome:Prunus_persica_NCBIv2:G4:7751717:7754732:1 gene:PRUPE_4G138900 transcript:ONI11999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDEALKSYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQAFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTSKNTGSATCKRGFVQFCYEPIKQIINICMNDQKEKLWPMLTKLGVTMKSDEKELMGKALMKRVMQTWLPASSALLEMMIFHLPSPSTAQRYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVQTGLKVRIMGPNYVPGEKKDLYVKNVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKEADAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKIRSKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEIQRPGTPLYNIKAYLPVIESFGFSGQLRASTSGQAFPQCVFDHWEMMSSDPLEVGSQAAQLVTDIRKRKGLKEQMTPLSEFEDKL >ONI12000 pep chromosome:Prunus_persica_NCBIv2:G4:7746334:7754732:1 gene:PRUPE_4G138900 transcript:ONI12000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRCFLELQVDGEEAYQAFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTSKNTGSATCKRGFVQFCYEPIKQIINICMNDQKEKLWPMLTKLGVTMKSDEKELMGKALMKRVMQTWLPASSALLEMMIFHLPSPSTAQRYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVQTGLKVRIMGPNYVPGEKKDLYVKNVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKEADAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKIRSKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEIQRPGTPLYNIKAYLPVIESFGFSGQLRASTSGQAFPQCVFDHWEMMSSDPLEVGSQAAQLVTDIRKRKGLKEQMTPLSEFEDKL >ONI11998 pep chromosome:Prunus_persica_NCBIv2:G4:7751059:7755081:1 gene:PRUPE_4G138900 transcript:ONI11998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDEALKSYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQAFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENYFDPATKKWTSKNTGSATCKRGFVQFCYEPIKQIINICMNDQKEKLWPMLTKLGVTMKSDEKELMGKALMKRVMQTWLPASSALLEMMIFHLPSPSTAQRYRVENLYEGPLDDQYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVQTGLKVRIMGPNYVPGEKKDLYVKNVQRTVIWMGKKQETVEDVPCGNTVALVGLDQFITKNATLTNEKEADAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLEKSSRTVMSKSPNKHNRLYMEARPLEEGLPEAIDDGRIGPRDDPKIRSKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEIQRPGTPLYNIKAYLPVIESFGFSGQLRASTSGQAFPQCVFDHWEMMSSDPLEVGSQAAQLVTDIRKRKGLKEQMTPLSEFEDKL >ONI09753 pep chromosome:Prunus_persica_NCBIv2:G4:380954:385615:-1 gene:PRUPE_4G007300 transcript:ONI09753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRSSGHHLDLESQQTVLLITGTNPPRVDHWRWIFVILQVRKLLISSRANRANKASPQSLPQLSSSAIHYTVISTVAAGDTPDLELTGTTEISPASPQVSGDVHLSRDSTASDAELQHANVAKIVKDKDFVSLQKFGGTRGIAEALNTDLQNGIPGDQEDICRRRMVNALSTTQAPAPSFFKLLLQSCNNYTIVLLFVAGLLSIGFGIKVEGLRTGWYEGAIIMFAILIHVIAPSIRDFWLENSHNHNAVIQTAGMSKNVVEAFRGGCPCELSVSDVVPGDLVCLKRGSVVPADGLFVSGEFLVLDDGMETTIDDKKPFMFYGAKVVSGNGRMLVTSVGMDTALGELMNRIAHTPNRAQLPAQLDKMNTRTQIAGLSISILLLVVLFFRFLLEKKDYSSGLPELKGKPAASKEIMNEMGKIVMKPSGQISILTTALAILLVGVVEGIPLFVTLAITYWNRKTMSGKAIAQGILACVTMGSVTTICTDKTGVLTLNSLEVDVCYIGNEVIENDCVTRIDTRVREALCNGICTPLLKPSSSCSSSEDPLLPWAANLGMEIEILRQSHTILEAKELRTNEEGSGVLMKKSSDNEGDMCLHWKGPATTILAMCSHYTDSRGTTKVMDEQRRLAFNHIVEHMQSKHLKTIAFAYKQTDVAKLEENSLILIGLLGVKYTCCEDIMEAVKACQEAGVNIILVSEEKVSKLKDIAVACGILANSNRLVLEGEKFRNSSAEERMDIVDKICVMGNSIPLDRLLLVQCLKEKDHAVAMVGVRTNETPTLKEADVGVAMGTWSSEMARESSDIIIWDGNFSFLVPIISCGRCIYYNIQKYIQLELTMNIAGLLITATTTMASGESAITAIQLFWANMVVTLLGGLALLMEPPTKELMEKPPVRRTDRLISKAMWRNIVSQALYQSAILVSFQLKGQTVPGISKKVSESIVFNSFVLCHVFNQVNSRELEKKNVFRGILHNQWFWVSVGGTLVLQVAFIEISHILVGNARLNWAQWGVCLLIGMVSWEIDLVVKCVSGVVVNGTFSSHVGCINNSMTPSGVSGSASNLELPLIVGNSTRSHDPMIPS >ONI09754 pep chromosome:Prunus_persica_NCBIv2:G4:380954:385614:-1 gene:PRUPE_4G007300 transcript:ONI09754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRSSGHHLDLESQQTVLLITGTNPPRVDHWRWIFVILQVRKLLISSRANRANKASPQSLPQLSSSAIHYTVISTVAAGDTPDLELTGTTEISPASPQVSGDVHLSRDSTASDAELQHANVAKIVKDKDFVSLQKFGGTRGIAEALNTDLQNGIPGDQEDICRRRMVNALSTTQAPAPSFFKLLLQSCNNYTIVLLFVAGLLSIGFGIKVEGLRTGWYEGAIIMFAILIHVIAPSIRDFWLENSHNHNAVIQTAGMSKNVVEAFRGGCPCELSVSDVVPGDLVCLKRGSVVPADGLFVSGEFLVLDDGMETTIDDKKPFMFYGAKVVSGNGRMLVTSVGMDTALGELMNRIAHTPNRAQLPAQLDKMNTRTQIAGLSISILLLVVLFFRFLLEKKDYSSGLPELKGKPAASKEIMNEMGKIVMKPSGQISILTTALAILLVGVVEGIPLFVTLAITYWNRKTMSGKAIAQGILACVTMGSVTTICTDKTGVLTLNSLEVDVCYIGNEVIENDCVTRIDTRVREALCNGICTPLLKPSSSCSSSEDPLLPWAANLGMEIEILRQSHTILEAKELRTNEEGSGVLMKKSSDNEGDMCLHWKGPATTILAMCSHYTDSRGTTKVMDEQRRLAFNHIVEHMQSKHLKTIAFAYKQTDVAKLEENSLILIGLLGVKYTCCEDIMEAVKACQEAGVNIILVSEEKVSKLKDIAVACGILANSNRLVLEGEKFRNSSAEERMDIVDKICVMGNSIPLDRLLLVQCLKEKDHAVAMVGVRTNETPTLKEADVGVAMGTWSSEMARESSDIIIWDGNFSFLVPIISCGRCIYYNIQKYIQLELTMNIAGLLITATTTMASGESAITAIQLFWANMVVTLLGGLALLMEPPTKELMEKPPVRRTDRLISKAMWRNIVSQALYQSAILVSFQLKGQTVPGISKKVSESIVFNSFVLCHVFNQVNSRELEKKNVFRGILHNQWFWVSVGGTLVLQVAFIEISHILVGNARLNWAQWGVCLLIGMVSWEIDLVVKCVSGVVVNGTFSSHVGCINNSMTPSGVSGSASNLELPLIVGNSTRSHDPMIPS >ONI13871 pep chromosome:Prunus_persica_NCBIv2:G4:16783681:16785418:-1 gene:PRUPE_4G250900 transcript:ONI13871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQVTSLEICMNHTGMNHVLAAYDVVGWGANFLDMTKYYFSLFVPVEVAQNKDYLLL >ONI11261 pep chromosome:Prunus_persica_NCBIv2:G4:4855313:4857119:-1 gene:PRUPE_4G096700 transcript:ONI11261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEIFKLLPILFIFINPVWSITSNSATESFLQCFTSHIQNLKSSSKIILIKNSSAYASVVQSSIQNLRFLNTSISKPEAVIYPFHDSHVQAAVICSKTNGILIRIRSGGHDYEGQSYISRAPFVIIDLSNLRSIDVDIENESAWVESGATLGELYYRIAEKSKAYGFPAGSCPTVGVGGLISGGGFGTLFRKYGLAADNVLDAKIVDVNGRVLDRKSMGEELFWAIRGGGGSSFGVIVAWKLRLVPVPPSVTVFSISKTTEQGATKLLSKWQNIADKFHEDLFLDTVIGVGDKAGTNGGKTIVIEFRSLFLGPVEKLLLLMQDNFPELSVARSDCTEMSWIESVLYFASISINESEALLNRTQQSKSFFKAKSDYVNEPISEAGLEGLWQNLIEVGAYLILTPYGGKMSEISNSEIPFPHRSGNLFKIQYMVTWDDEKETEKHIGMMRKLYSYMAPYVSKSPRAAYLNYKDLDLGRNKDANTSYAQASIWGFSYFKNNFRRLAQVKTLVDPGNFFRDEQSIPVYPSRKK >ONI11952 pep chromosome:Prunus_persica_NCBIv2:G4:7546379:7549529:-1 gene:PRUPE_4G136300 transcript:ONI11952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRTPCCDKNQVKRGPWSPAEDLRLITFIQKNGHDNWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTREEEDSIIRLHEAWGNKWSKIASQFPGRTDNEIKNVWNTHLKKKLGFKNSEFCGEESKELSSITSSSSSSSSSASFLSGGKPSVAGELEHQSPDQETSMANNNPHHDPCILTIPEEIDLDSPMELINQFRPDPKDLKELTSSSVSSNESNGSSSSQLDISRPSEEQMDFLFDFSEPNYDIPLEPDSDFWNMFDVPLVPFQSSEVQLNQAEASKSSNYGQHENEKWLSYLESELGLDQPTADSTGQEFLAQVTTGQLLPETFQCDKMPNPAAEFDQSLDYFQMWPSLPHNNSSI >ONI14343 pep chromosome:Prunus_persica_NCBIv2:G4:23095579:23100574:-1 gene:PRUPE_4G276600 transcript:ONI14343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEASESQSNCSSSTEVESGSQGKSEKDCEMEMSSKSSDPAIWEEIELSESYLVCSMYEEAASSASSILKRLSKHSEDLEATDHFELYDMLESAGMVLVQSLRELGRTSEILHELKLLFAFVPTIPVQVLLTGICFYISEGHSLGVQEFLEEFLSRWTFVDEQYVLVGTEENADYTEKCDGPFLLGVDTYLEVVEVYVLKLLGTILNDIDLASSWVENAKLPEDRRQVLLRRLHSLHSVKATNSSQGTFSSLLVDDNEGHSSCLQQITVPDGYPKNKYPPRGDTAKNQAVFNLSKRLEPCFWWFRTITLKFGSAQVVISNGKIVLGFLILLIYYVFRRKQASIKRIVRRQTLSMKNALVDLWQLAFSYQVNPLAAVQPLAAATRSGQ >ONI14344 pep chromosome:Prunus_persica_NCBIv2:G4:23095958:23100016:-1 gene:PRUPE_4G276600 transcript:ONI14344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEASESQSNCSSSTEVESGSQGKSEKDCEMEMSSKSSDPAIWEEIELSESYLVCSMYEEAASSASSILKRLSKHSEDLEATDHFELYDMLESAGMVLVQSLRELGRTSEILHELKLLFAFVPTIPVQVLLTGICFYISEGHSLGVQEFLEEFLSRWTFVDEQYVLVGTEENADYTEKCDGPFLLGVDTYLEVVEVYVLKLLGTILNDIDLASSWVENAKLPEDRRQVLLRRLHSLHSVKATNSSQGTFSSLLVDDNEGHSSCLQQITVPDGYPKNKYPPRGDTAKNQAVFNLSKRLEPCFWWFRTITLKFGSAQVVISNGKIVLGFLILLIYYVFRRKQASIKRIVRRQTLSMKNALVDLWQLAFSYQVNPLAAVQPLAAATRSGQ >ONI14563 pep chromosome:Prunus_persica_NCBIv2:G4:25198220:25199336:1 gene:PRUPE_4G287500 transcript:ONI14563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSTSCSENGEEAEKIRELVKESYELAAKVCFGDVLGPLKRLGSGFYGKQLVDVTMGYDKLLEWMLKQHEERAERNGWDREDKDLMDILLKAYQDDKAEVKISRTHQRPCNGLINHPDIFNKLRVEIKSVVGSRLVEESDVGNLPYLQAVIKETLRLHPPVPLSTRERAAKLYAIMRDPELWDNPDDFRPERFLSPEEKADGEMNQNETRGQNFQYVPFGSGRRRCPGSNLATILLNTSIAAMVQCFDWKVEDGNEGKVNMQVGAGMSLPMAHPLILLPVDHFNPFASST >ONI13716 pep chromosome:Prunus_persica_NCBIv2:G4:15841445:15843436:-1 gene:PRUPE_4G240700 transcript:ONI13716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTKRYAVVTGANKGVGFGTVKQLASNGVTVVLTARDEKRGLEAVDKLKEFGLSDLVVFHQLDVTDPSSITSLADFVKTQFGKLDILVNNAAIAGSQVNPEDFRSAVSGKKPEEIRWREIPTIPNYKLAEESLKTNYYGTKGVTEALLPLLQLSDSPRIVNVSSGVSKLMNFPNGWAKEVLSDAESLTEERIDAVLAEHLEDLKQGLVENKSWPTIFPPYTVSKAAVNAYTRILAKKYTNFYINCVNPGFVNTDITFNTGTLTIEEGAESLVWLALLPNGGPTGHFFSRKEATPF >ONI13519 pep chromosome:Prunus_persica_NCBIv2:G4:14485736:14496834:-1 gene:PRUPE_4G227500 transcript:ONI13519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDDSDDESEHLPDFVTNYHFIDDEDVPVSFHVLPIEWSEGKRQDGKTRQISMRGTADNGLQRIYMQVIAWKFDLSNVDPVISVLSKENHWVRLQKPRKSFEDIIRSILITVQCLHYVKRNPETSSKSLWDHLSKVFSSYEVRPSQNDLVNHMPLVSEAIKWDDALAKSKFLVNFLKEKPMKRKLYDEDIQATEKPGFIVDDLEDYVIDVEDESNDDDNLFDSVCAFCDNGGDLLCCEGRCLRSFHATEESGEESMCESLGFTQDEVDAMQNFFCKNCEYKQHQCFACGKLGSSDRSSVAEVFPCVSATCGQFYHPHCIAQLIYQDNGVTAEELEKNISKGESFTCPIHKCCVCKQGENKKDPEMRFAVCRRCPKSYHRKCLPREIVFEKKAGDVEEEDVIIRAWEDLLPNRVLIYCMKHEIVERIGTPIRDHVKFPDVKEKKTTIVKRKTGFDEKKRKWTTESFLDSEKSVTKKRNLSSEEFRRGQTAPTLSRQKLKLPFPAKVGGSKTSEKVPSRLDISRKVKVNSSLKKEIKTSVAEGKKSSLGDQLFDYMKGSEQVKSGKQGKPDGECNSATVNPASKKLSSEEPSLDAASERRLLALMKDAASSTPLEDVIRKHKVPSTHAFSSKNAVERNITLGKVEGSVEAIRTALRKLEEGCSIEDSEAVCAPEILNQIFKWKNKLKVYLAPFLHGMRYTSFGRHFTKVEKLEEIADRLHWYVKNGDMIVDFCCGANDFSIIMNKKLEETGKKCFYKNYDFIQPKNDFNFEKRDWMTVQPKELPSGSHLIMGLNPPFGVKASLANKFIDKALEFNPKILILIVPPETQRLNEKNSPYDLIWKDERFLSGKSFYLPGSVDGNDKQLEQWNVTPPPLYLWSRPDWSAENKAIAEAHGHNSASQGFMEEDQSDCLIPDHSVVNDEHYGQTLVQMDDDPIKTDSPKDVAGGSVVTQVLEGSCKISVDRDGHVSPRHGKNHIEEISGKLQCGGREEHRSCMLEKSSEKKLDGVKVSGSEIRKEMLPHTEPAEKGNQHSEPSNSGSNMEIETTDSGTHANVADDTGRSLAMSSDEAYSSLPRRWSIAANSGSGYRATNVEQLFVGHMRERSDRLGYGPYLNQVEDPFRRESDIRSQVRLYGRPDLDPLRSSYQVGQNPVSGQIGSYPFTYGHTHFGSAAGSSYRSNTSAMQRYAPRLDELNHMRMGALGPEPSLGYDPHVFSSNVPFDPRAPRPGQHGGPMGFAPGPHQSYSSQNSAGWLNE >ONI13521 pep chromosome:Prunus_persica_NCBIv2:G4:14485736:14496824:-1 gene:PRUPE_4G227500 transcript:ONI13521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKLYDEDIQATEKPGFIVDDLEDYVIDVEDESNDDDNLFDSVCAFCDNGGDLLCCEGRCLRSFHATEESGEESMCESLGFTQDEVDAMQNFFCKNCEYKQHQCFACGKLGSSDRSSVAEVFPCVSATCGQFYHPHCIAQLIYQDNGVTAEELEKNISKGESFTCPIHKCCVCKQGENKKDPEMRFAVCRRCPKSYHRKCLPREIVFEKKAGDVEEEDVIIRAWEDLLPNRVLIYCMKHEIVERIGTPIRDHVKFPDVKEKKTTIVKRKTGFDEKKRKWTTESFLDSEKSVTKKRNLSSEEFRRGQTAPTLSRQKLKLPFPAKVGGSKTSEKVPSRLDISRKVKVNSSLKKEIKTSVAEGKKSSLGDQLFDYMKGSEQVKSGKQGKPDGECNSATVNPASKKLSSEEPSLDAASERRLLALMKDAASSTPLEDVIRKHKVPSTHAFSSKNAVERNITLGKVEGSVEAIRTALRKLEEGCSIEDSEAVCAPEILNQIFKWKNKLKVYLAPFLHGMRYTSFGRHFTKVEKLEEIADRLHWYVKNGDMIVDFCCGANDFSIIMNKKLEETGKKCFYKNYDFIQPKNDFNFEKRDWMTVQPKELPSGSHLIMGLNPPFGVKASLANKFIDKALEFNPKILILIVPPETQRLNEKNSPYDLIWKDERFLSGKSFYLPGSVDGNDKQLEQWNVTPPPLYLWSRPDWSAENKAIAEAHGHNSASQGFMEEDQSDCLIPDHSVVNDEHYGQTLVQMDDDPIKTDSPKDVAGGSVVTQVLEGSCKISVDRDGHVSPRHGKNHIEEISGKLQCGGREEHRSCMLEKSSEKKLDGVKVSGSEIRKEMLPHTEPAEKGNQHSEPSNSGSNMEIETTDSGTHANVADDTGRSLAMSSDEAYSSLPRRWSIAANSGSGYRATNVEQLFVGHMRERSDRLGYGPYLNQVEDPFRRESDIRSQVRLYGRPDLDPLRSSYQVGQNPVSGQIGSYPFTYGHTHFGSAAGSSYRSNTSAMQRYAPRLDELNHMRMGALGPEPSLGYDPHVFSSNVPFDPRAPRPGQHGGPMGFAPGPHQSYSSQNSAGWLNE >ONI13517 pep chromosome:Prunus_persica_NCBIv2:G4:14485736:14496662:-1 gene:PRUPE_4G227500 transcript:ONI13517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDDSDDESEHLPDFVTNYHFIDDEDVPVSFHVLPIEWSEGKRQDGKTRQISMRGTADNGLQRIYMQVIAWKFDLSNVDPVISVLSKENHWVRLQKPRKSFEDIIRSILITVQCLHYVKRNPETSSKSLWDHLSKVFSSYEVRPSQNDLVNHMPLVSEAIKWDDALAKSKFLVNFLKEKPMKRKLYDEDIQATEKPGFIVDDLEDYVIDVEDESNDDDNLFDSVCAFCDNGGDLLCCEGRCLRSFHATEESGEESMCESLGFTQDEVDAMQNFFCKNCEYKQHQCFACGKLGSSDRSSVAEVFPCVSATCGQFYHPHCIAQLIYQDNGVTAEELEKNISKGESFTCPIHKCCVCKQGENKKDPEMRFAVCRRCPKSYHRKCLPREIVFEKKAGDVEEEDVIIRAWEDLLPNRVLIYCMKHEIVERIGTPIRDHVKFPDVKEKKTTIVKRKTGFDEKKRKWTTESFLDSEKSVTKKRNLSSEEFRRGQTAPTLSRQKLKLPFPAKVGGSKTSEKVPSRLDISRKVKVNSSLKKEIKTSVAEGKKSSLGDQLFDYMKGSEQVKSGKQGKPDGECNSATVNPASKKLSSEEPSLDAASERRLLALMKDAASSTPLEDVIRKHKVPSTHAFSSKNAVERNITLGKVEGSVEAIRTALRKLEEGCSIEDSEAVCAPEILNQIFKWKNKLKVYLAPFLHGMRYTSFGRHFTKVEKLEEIADRLHWYVKNGDMIVDFCCGANDFSIIMNKKLEETGKKCFYKNYDFIQPKNDFNFEKRDWMTVQPKELPSGSHLIMGLNPPFGVKASLANKFIDKALEFNPKILILIVPPETQRLNEKNSPYDLIWKDERFLSGKSFYLPGSVDGNDKQLEQWNVTPPPLYLWSRPDWSAENKAIAEAHGHNSASQGFMEEDQSDCLIPDHSVVNDEHYGQTLVQMDDDPIKTDSPKDVAGGSVVTQVLEGSCKISVDRDGHVSPRHGKNHIEEISGKLQCGGREEHRSCMLEKSSEKKLDGVKVSGSEIRKEMLPHTEPAEKGNQHSEPSNSGSNMEIETTDSGTHANVADDTGRSLAMSSDEAYSSLPRRWSIAANSGSGYRATNVEQLFVGHMRERSDRLGYGPYLNQVEDPFRRESDIRSQVRLYGRPDLDPLRSSYQVGQNPVSGQIGSYPFTYGHTHFGSAAGSSYRSNTSAMQRYAPRLDELNHMRMGALGPEPSLGYDPHVFSSNVPFDPRAPRPGQHGGPMGFAPGPHQSYSSQNSAGWLNE >ONI13518 pep chromosome:Prunus_persica_NCBIv2:G4:14485736:14496404:-1 gene:PRUPE_4G227500 transcript:ONI13518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDDSDDESEHLPDFVTNYHFIDDEDVPVSFHVLPIEWSEGKRQDGKTRQISMRGTADNGLQRIYMQVIAWKFDLSNVDPVISVLSKENHWVRLQKPRKSFEDIIRSILITVQCLHYVKRNPETSSKSLWDHLSKVFSSYEVRPSQNDLVNHMPLVSEAIKWDDALAKSKFLVNFLKEKPMKRKLYDEDIQATEKPGFIVDDLEDYVIDVEDESNDDDNLFDSVCAFCDNGGDLLCCEGRCLRSFHATEESGEESMCESLGFTQDEVDAMQNFFCKNCEYKQHQCFACGKLGSSDRSSVAEVFPCVSATCGQFYHPHCIAQLIYQDNGVTAEELEKNISKGESFTCPIHKCCVCKQGENKKDPEMRFAVCRRCPKSYHRKCLPREIVFEKKAGDVEEEDVIIRAWEDLLPNRVLIYCMKHEIVERIGTPIRDHVKFPDVKEKKTTIVKRKTGFDEKKRKWTTESFLDSEKSVTKKRNLSSEEFRRGQTAPTLSRQKLKLPFPAKVGGSKTSEKVPSRLDISRKVKVNSSLKKEIKTSVAEGKKSSLGDQLFDYMKGSEQVKSGKQGKPDGECNSATVNPASKKLSSEEPSLDAASERRLLALMKDAASSTPLEDVIRKHKVPSTHAFSSKNAVERNITLGKVEGSVEAIRTALRKLEEGCSIEDSEAVCAPEILNQIFKWKNKLKVYLAPFLHGMRYTSFGRHFTKVEKLEEIADRLHWYVKNGDMIVDFCCGANDFSIIMNKKLEETGKKCFYKNYDFIQPKNDFNFEKRDWMTVQPKELPSGSHLIMGLNPPFGVKASLANKFIDKALEFNPKILILIVPPETQRLNEKNSPYDLIWKDERFLSGKSFYLPGSVDGNDKQLEQWNVTPPPLYLWSRPDWSAENKAIAEAHGHNSASQGFMEEDQSDCLIPDHSVVNDEHYGQTLVQMDDDPIKTDSPKDVAGGSVVTQVLEGSCKISVDRDGHVSPRHGKNHIEEISGKLQCGGREEHRSCMLEKSSEKKLDGVKVSGSEIRKEMLPHTEPAEKGNQHSEPSNSGSNMEIETTDSGTHANVADDTGRSLAMSSDEAYSSLPRRWSIAANSGSGYRATNVEQLFVGHMRERSDRLGYGPYLNQVEDPFRRESDIRSQVRLYGRPDLDPLRSSYQVGQNPVSGQIGSYPFTYGHTHFGSAAGSSYRSNTSAMQRYAPRLDELNHMRMGALGPEPSLGYDPHVFSSNVPFDPRAPRPGQHGGPMGFAPGPHQSYSSQNSAGWLNE >ONI13520 pep chromosome:Prunus_persica_NCBIv2:G4:14485736:14496654:-1 gene:PRUPE_4G227500 transcript:ONI13520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTADNGLQRIYMQVIAWKFDLSNVDPVISVLSKENHWVRLQKPRKSFEDIIRSILITVQCLHYVKRNPETSSKSLWDHLSKVFSSYEVRPSQNDLVNHMPLVSEAIKWDDALAKSKFLVNFLKEKPMKRKLYDEDIQATEKPGFIVDDLEDYVIDVEDESNDDDNLFDSVCAFCDNGGDLLCCEGRCLRSFHATEESGEESMCESLGFTQDEVDAMQNFFCKNCEYKQHQCFACGKLGSSDRSSVAEVFPCVSATCGQFYHPHCIAQLIYQDNGVTAEELEKNISKGESFTCPIHKCCVCKQGENKKDPEMRFAVCRRCPKSYHRKCLPREIVFEKKAGDVEEEDVIIRAWEDLLPNRVLIYCMKHEIVERIGTPIRDHVKFPDVKEKKTTIVKRKTGFDEKKRKWTTESFLDSEKSVTKKRNLSSEEFRRGQTAPTLSRQKLKLPFPAKVGGSKTSEKVPSRLDISRKVKVNSSLKKEIKTSVAEGKKSSLGDQLFDYMKGSEQVKSGKQGKPDGECNSATVNPASKKLSSEEPSLDAASERRLLALMKDAASSTPLEDVIRKHKVPSTHAFSSKNAVERNITLGKVEGSVEAIRTALRKLEEGCSIEDSEAVCAPEILNQIFKWKNKLKVYLAPFLHGMRYTSFGRHFTKVEKLEEIADRLHWYVKNGDMIVDFCCGANDFSIIMNKKLEETGKKCFYKNYDFIQPKNDFNFEKRDWMTVQPKELPSGSHLIMGLNPPFGVKASLANKFIDKALEFNPKILILIVPPETQRLNEKNSPYDLIWKDERFLSGKSFYLPGSVDGNDKQLEQWNVTPPPLYLWSRPDWSAENKAIAEAHGHNSASQGFMEEDQSDCLIPDHSVVNDEHYGQTLVQMDDDPIKTDSPKDVAGGSVVTQVLEGSCKISVDRDGHVSPRHGKNHIEEISGKLQCGGREEHRSCMLEKSSEKKLDGVKVSGSEIRKEMLPHTEPAEKGNQHSEPSNSGSNMEIETTDSGTHANVADDTGRSLAMSSDEAYSSLPRRWSIAANSGSGYRATNVEQLFVGHMRERSDRLGYGPYLNQVEDPFRRESDIRSQVRLYGRPDLDPLRSSYQVGQNPVSGQIGSYPFTYGHTHFGSAAGSSYRSNTSAMQRYAPRLDELNHMRMGALGPEPSLGYDPHVFSSNVPFDPRAPRPGQHGGPMGFAPGPHQSYSSQNSAGWLNE >ONI13516 pep chromosome:Prunus_persica_NCBIv2:G4:14485930:14496208:-1 gene:PRUPE_4G227500 transcript:ONI13516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDDSDDESEHLPDFVTNYHFIDDEDVPVSFHVLPIEWSEGKRQDGKTRQISMRGTADNGLQRIYMQVIAWKFDLSNVDPVISVLSKENHWVRLQKPRKSFEDIIRSILITVQCLHYVKRNPETSSKSLWDHLSKVFSSYEVRPSQNDLVNHMPLVSEAIKWDDALAKSKFLVNFLKEKPMKRKLYDEDIQATEKPGFIVDDLEDYVIDVEDESNDDDNLFDSVCAFCDNGGDLLCCEGRCLRSFHATEESGEESMCESLGFTQDEVDAMQNFFCKNCEYKQHQCFACGKLGSSDRSSVAEVFPCVSATCGQFYHPHCIAQLIYQDNGVTAEELEKNISKGESFTCPIHKCCVCKQGENKKDPEMRFAVCRRCPKSYHRKCLPREIVFEKKAGDVEEEDVIIRAWEDLLPNRVLIYCMKHEIVERIGTPIRDHVKFPDVKEKKTTIVKRKTGFDEKKRKWTTESFLDSEKSVTKKRNLSSEEFRRGQTAPTLSRQKLKLPFPAKVGGSKTSEKVPSRLDISRKVKVNSSLKKEIKTSVAEGKKSSLGDQLFDYMKGSEQVKSGKQGKPDGECNSATVNPASKKLSSEEPSLDAASERRLLALMKDAASSTPLEDVIRKHKVPSTHAFSSKNAVERNITLGKVEGSVEAIRTALRKLEEGCSIEDSEAVCAPEILNQIFKWKNKLKVYLAPFLHGMRYTSFGRHFTKVEKLEEIADRLHWYVKNGDMIVDFCCGANDFSIIMNKKLEETGKKCFYKNYDFIQPKNDFNFEKRDWMTVQPKELPSGSHLIMGLNPPFGVKASLANKFIDKALEFNPKILILIVPPETQRLNEKNSPYDLIWKDERFLSGKSFYLPGSVDGNDKQLEQWNVTPPPLYLWSRPDWSAENKAIAEAHGHNSASQGFMEEDQSDCLIPDHSVVNDEHYGQTLVQMDDDPIKTDSPKDVAGGSVVTQVLEGSCKISVDRDGHVSPRHGKNHIEEISGKLQCGGREEHRSCMLEKSSEKKLDGVKVSGSEIRKEMLPHTEPAEKGNQHSEPSNSGSNMEIETTDSGTHANVADDTGRSLAMSSDEAYSSLPRRWSIAANSGSGYRATNVEQLFVGHMRERSDRLGYGPYLNQVEDPFRRESDIRSQVRLYGRPDLDPLRSSYQVGQNPVSGQIGSYPFTYGHTHFGSAAGSSYRSNTSAMQRYAPRLDELNHMRMGALGPEPSLGYDPHVFSSNVPFDPRAPRPGQHGGPMGFAPGPHQSYSSQNSAGWLNE >ONI14073 pep chromosome:Prunus_persica_NCBIv2:G4:18768228:18773829:-1 gene:PRUPE_4G260500 transcript:ONI14073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLQLITPTTQNQPMTSLSLSLSLSSKLKLKRPNPFWFSSYSQLRAFSSYSPLSPSKPISASGKGVGVRAKVSDAQLKDNWLASLSCPFPQTRENFDGTADSTRTNSDSSWVIGVDPDLSGALALLKGDESGCSAQVYDSPHLKILVGKRVRRRLDAKSIVQLLGSFDAPLGMVEWRLWVWTMDWDLSCLGVFCCSSIIYFVEEQI >ONI14071 pep chromosome:Prunus_persica_NCBIv2:G4:18768860:18773688:-1 gene:PRUPE_4G260500 transcript:ONI14071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLQLITPTTQNQPMTSLSLSLSLSSKLKLKRPNPFWFSSYSQLRAFSSYSPLSPSKPISASGKGVGVRAKVSDAQLKDNWLASLSCPFPQTRENFDGTADSTRTNSDSSWVIGVDPDLSGALALLKGDESGCSAQVYDSPHLKILVGKRVRRRLDAKSIVQLLGSFDAPLVAYIEQSNPYPQDGKQGWWSGGFGYGLWIGILVALGFSVVPVSSISWKNKFELTGGMSTKDDSRRVASALFPSLSSMLKRKKDHGRAEALLIAAYGKGLKIKSDLSCNPEVLVPQIGRLS >ONI14072 pep chromosome:Prunus_persica_NCBIv2:G4:18768860:18773688:-1 gene:PRUPE_4G260500 transcript:ONI14072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLQLITPTTQNQPMTSLSLSLSLSSKLKLKRPNPFWFSSYSQLRAFSSYSPLSPSKPISASGKGVGVRAKVSDAQLKDNWLASLSCPFPQTRENFDGTADSTRTNSDSSWVIGVDPDLSGALALLKGDESGCSAQVYDSPHLKILVGKRVRRRLDAKSIVQLLGSFDAPLGTVAYIEQSNPYPQDGKQGWWSGGFGYGLWIGILVALGFSVVPVSSISWKNKFELTGGMSTKDDSRRVASALFPSLSSMLKRKKDHGRAEALLIAAYGKGLKIKSDLSCNPEVLVPQIGRLS >ONI14074 pep chromosome:Prunus_persica_NCBIv2:G4:18772276:18773829:-1 gene:PRUPE_4G260500 transcript:ONI14074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLQLITPTTQNQPMTSLSLSLSLSSKLKLKRPNPFWFSSYSQLRAFSSYSPLSPSKPISASGKGVGVRAKVSDAQLKDNWLASLSCPFPQTRENFDGTADSTRTNSDSSWVIGVDPDLSGALALLKGDESGCSAQVYDSPHLKILVGKRVRRRLDAKSIVQLLGSFDAPLALEAGIHVTVVLIVVLSL >ONI12602 pep chromosome:Prunus_persica_NCBIv2:G4:10312181:10313389:1 gene:PRUPE_4G174200 transcript:ONI12602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLWSESSSSGDEALKIPSLEEESEVMDSWKISEDKACDIGVSIFGMGGIGKVTLHCPGCRRELGVVLQRGTLIVFFEHQQEIQVLEKIANLQVRRK >ONI12601 pep chromosome:Prunus_persica_NCBIv2:G4:10312181:10313416:1 gene:PRUPE_4G174200 transcript:ONI12601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLWSESSSSGDEALKIPSLEEESEVMDSWKISEDKACDIGVSIFGMGGIGKVTLHCPGCRRELGVVLQRGTLIVFFEHQQEIQVLEKIANLQI >ONI13165 pep chromosome:Prunus_persica_NCBIv2:G4:12900900:12901827:-1 gene:PRUPE_4G206900 transcript:ONI13165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKRPANDCVEETSTRSILFESENSCFVKTLTKKLYSVTIPKELAIAEGLVRKKTVKLQDPAGRSWIVKLRVHKSPYLRFDMTKGWAKCCRANQISQGDTIVFEFVKPSGEIKHRTLGMTNVTN >ONI12475 pep chromosome:Prunus_persica_NCBIv2:G4:9703320:9707657:1 gene:PRUPE_4G167300 transcript:ONI12475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGV >ONI12474 pep chromosome:Prunus_persica_NCBIv2:G4:9703328:9707657:1 gene:PRUPE_4G167300 transcript:ONI12474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLRYHYFIFAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGV >ONI12476 pep chromosome:Prunus_persica_NCBIv2:G4:9703098:9707995:1 gene:PRUPE_4G167300 transcript:ONI12476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGV >ONI10426 pep chromosome:Prunus_persica_NCBIv2:G4:2236184:2241371:-1 gene:PRUPE_4G047000 transcript:ONI10426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSSSSSVSDGTNRSWLAQGLLAGSAVAAAAVAYTVISRRRSARLRSHVLGIIPARFASSRFPGKPLVPILGKPMIQRTWERAKLATTLDQLVVATDNEKIAECCLGFGADVIMTSDTCRNGTERCNEALQKFKKKFDIVVNIQGDEPLIEPEIIDGVVKALQAAPDAVFSTAVTSLKPEDASDPNRVKCVVDNHGYAIYFSRGLIPYNKSAKVNPRFPYLLHLGIQSYDAKFLRKYPELAPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDTPEDVEKIESFMQERNLS >ONI10427 pep chromosome:Prunus_persica_NCBIv2:G4:2236721:2238645:-1 gene:PRUPE_4G047000 transcript:ONI10427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPSSSSSVSDGTNRSWLAQGLLAGSAVAAAAVAYTVISRRRSARLRSHVLGIIPARFASSRFPGKPLVPILGKPMIQRTWERAKLATTLDQLVVATDNEKIAECCLGFGADVIMTSDTCRNGTERCNEALQKFKKKFDIVVNIQGDEPLIEPEIIDGVVKALQAAPDAVFSTAVTSLKPEDASDPNRVKCVVDNHGYAIYFSRGLIPYNKSAKVNPRFPYLLHLGIQSYDAKFLRKYPELAPTPLQLEEDLEQLKVLENGYKMK >ONI12622 pep chromosome:Prunus_persica_NCBIv2:G4:10388262:10389396:1 gene:PRUPE_4G175500 transcript:ONI12622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPFVNKLIHEELEKGTGPRKFSYRELVRATSNFEEGENLGEGGFGGVYRGFIKDLNAYVAVKRISSGSKQGLKEYASEVRIISRLIRHRNLVRYNIAQGLASALFYLHEEWEHNVMLDSNFNVKLQDFGLARLVDHGEQSQTTIIAGTRGYMALEYVTTGKASKESNVYSFRVLALEIACRRKPIDFNLESSQIELVKWVWELYGEGKVIQAADPKLNGEFDEKSMECVLIVGLWCAHPDYKFRPSIPQAIQVLNLEVPLPILPSKMPVATYFAPPRLLSTLLSETISSERGQTESSGNHQVLSITPSSTTTFVTINNTSVRTADLS >ONI11490 pep chromosome:Prunus_persica_NCBIv2:G4:5697986:5701018:-1 gene:PRUPE_4G108800 transcript:ONI11490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKSLIYAFVARGTVILAEYTEFSGNFNSIAFQCLQKLPATNNKFTYNCDGHTFNYLVDNGYTYCVVADESSGRQVPIAFLERIKDDFVSKYGGGKAATAPANSLNKEFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHQQAQDFRNVGTKMRRKMWLQNMKVKLIVLGILIALILVIILSVCHGFNCGK >ONI11512 pep chromosome:Prunus_persica_NCBIv2:G4:5867607:5869094:1 gene:PRUPE_4G110400 transcript:ONI11512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTKPHFQAEQNAIIEQKQGRHSYTIKPRGLTIVWGNDERYWKLPKIESDYTNSNEPVELLQVSWLEVTATVGLNPGREYEISFQVELAPDAFGWRDIQAFLMAKVGKKGKYTWTKVKLAQDSNVGRFTIPDTNGNGRPFRIKSLDMKDADNTLHFGLYEVWSGKWKGGLKIYEANVEDVTGK >ONI11511 pep chromosome:Prunus_persica_NCBIv2:G4:5867765:5869094:1 gene:PRUPE_4G110400 transcript:ONI11511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTKPHFQAEQNAIIEQKQGRHSYTIKPRGLTIVWGNDERYWKLPKIESDYTNSNEPVELLQVSWLEVTATVGLNPGREYEISFQVELAPDAFGWRDIQAFLMAKVGKKGKYTWTKVKLAQDSNVGRFTIPDTNGNGRPFRIKSLDMKDADNTLHFGLYEVWSGKWKGGLKIYEANVEDVTGK >ONI14523 pep chromosome:Prunus_persica_NCBIv2:G4:24752019:24752387:1 gene:PRUPE_4G285200 transcript:ONI14523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLLHKYGLEGEVDRTIPNMGVSKITKKNNKGKLGNSNDFFFFLLGLNTELGLLITYQAQKEVQTMVVKHACVQSHSH >ONI13387 pep chromosome:Prunus_persica_NCBIv2:G4:13761822:13765117:1 gene:PRUPE_4G218800 transcript:ONI13387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGANRHHKVQEEETQWPYVYHMNPTTSHFTETRTKAQEEETQYTACMAAPAVENAAVSALRSVMLRVRHAAERSGRNPGQVRVVAVSKTKPVSLIRQVYDVGHRRFGENYVQEILDKAPQLPEDIEWHFVGHLQSNKAKLLLAGVPNLALVEGVDNEKIANHLDRAVSNLGRNPLKVLVQVNTSGEVSKSGIDPSGCVELAKHVKFRCPNLQFSGLMTIGMPDYTSTPENFRMLSKCRAQVCKALDMAEEHCELSMGMSGDFEQAIEMGSTNVRIGSTIFGPRDYAKKQPD >ONI10615 pep chromosome:Prunus_persica_NCBIv2:G4:2734854:2736654:-1 gene:PRUPE_4G057100 transcript:ONI10615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLQDILRLQMLQQRGSKNEDQDQPEDVQEEEQQSQKRLIGQYQHVQEPPNYGPLNGKMLNTHTAKSSRKSCYSMSSSSSHLASEQAEINNARYGKNVKVHGGHIVRSTARKERHSKVYTSKGPRDRRFRLSAPTAIQFYDVQDRLGYDRPSKAIDWLIEKAKAAIEALSESELPGKEYDCTNINSAQQTEQDIGEESMRQFQHHQRSYGGEPEKLNNVNSFKEPVLDHHQLSSMNYAEEALNSASSLTDSKMEVAWFQSLMSWNYNAGDGGEGCPFSSSHVYLQ >ONI14251 pep chromosome:Prunus_persica_NCBIv2:G4:22007876:22024055:1 gene:PRUPE_4G271000 transcript:ONI14251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLDPPGPQGLTRRPSRSAATTTFSTEVFDNEVVPSALASIAPILRVANEIDRERPRVAYLCRFYAFEKAHRLDPSSSGRGVRQFKTLLLQRLERDNASSLASRVKKTDAREIESFYQQYYEQYVRSLDQGEQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAARDVQEKTEIYAPYNILPLDSAGATQSVMQLEEVKAAVGALWNTRGLNWPSAFESRQKAGDLDLLDWLRAMFGFQKDNVRNQREHLILLLANTHIRLHPKPEPLNKLDDRAVDAVMGKLFKNYKTWCKFLGRKHSLRLPQGQQEIQQRKILYMGLYLLIWGEAGNVRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPLYRVIEKEAKKSDNGKAPHPVWCNYDDLNEYFWSSDCFSLGWPMRDDGDFFKSTRDLAQGRKGSRGKSGSTGKSYFIETRTFWHIFRSFDRFWTFYILALQAMFIIAFRGISPLDIFQKDVLRDLSSIFITAAFLRVLQSILDIVLNFPGYHRWRFIDVLRNILKIIVSLAWAVILPLFYVHSFKDAPKQILDVLSFLKKIDGVPALYIMAVAVYLLPNLLAAVLFLFPLLRRWIENSDWHIIRFLLWWSQPRIYVGRGMHESQFSLIKYTIFWVLLLGCKFTVSYLIQIKPLVKPTRDIMNIRRIEYEWHEFFPNAQNNYGAVVSLWAPVILVYLLDTQIWYAIFQTIYGGVVGAFDRLGEITASRRSEAAKFAQLWNEVICSFHPSLKIIQWPPFLLASKIPVALDMAVQFKSKDSDLWKRICADEYMKCAVIECYESFKHVLGALVVGENEKRIIGIIVKEIESNISKNTFLVNFRMGSLPTLCKKFVELVGILKDADSSKLSSVVLLLQDMLEVVTRDMMVNEIRELVEVGHSSKDSGRQLFAGTDAKPAIVFPPPVTAQWEEQIRRLYLLLTVKESAIDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSIMTPYYSEETVYSKTDLEMENEDGVSIIYYLQKIFPDEWNNFMERLNCKKDSEIWENEENILQLRHWVSLRGQTLCRTVRGMMYYRRALKLQAFLDMATENEILDGYKAITVPSEEERKSQRSLYAQLEAVADLKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEVEERESGGKVQKVYYSVLVKAVDNHDQEIYRIKLPGSAKIGEGKPENQNHAVIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFNEDHGVRPPSILGVREHIFTGSVSSLAWFMSNQEMSFVTIGQRVLARPLKIRFHYGHPDVFDRIFHITRGGMSKASRGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMMSFYFSTIGFYVSAMLVVLTVYAFLYGRLYLSLSGMEKTIVNYAATRGNNVLQSAMASQSVVQLGLLTSLPMIMEIGLERGFRTAIGDMIIMQLQLASVFFTFSLGTKVHYYGRTVLHGGAKYRATGRGFVVRHERFAENYRMYSRSHFVKGLELMMLLIVYQIYGSAATGSLSYLFVTFSMWFLVVSWLFAPFLFNPSGFEWQKIVEDWDDWSKWISSHGGMGVPATKSWESWWDEEQEHLQYTGFLGRFWEIVLALRFFLFQYGIVYHLNVARRDKSIMVYGLSWLVIVAAMIILKVVSMGRKRFSADFQLMFRLLKLFLFIGFVVTLGMLFSFLSLTVGDIFVSLLAFLPTGWALLLMSQACKPMVKALGMWGSVKALARGYEYVMGLVIFAPVAVLAWFPFVSEFQTRLLFNQAFSRGLQIQRILTGGKKHKSN >ONI11888 pep chromosome:Prunus_persica_NCBIv2:G4:7332580:7335819:1 gene:PRUPE_4G132600 transcript:ONI11888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPFKADVVKGKVALITGGGSGIGFEISTQFGKHGASIAIMGRRKQVLDSAVSALQSLGIQAVGFEGDVRKHEDAKRVVESTFQHFGRLDILVNGAAGNFLVSAEDLSPNGFRTVMDIDAVGTFTMCYEALKYLKKGGPGRRSSGGGTILNISATLHYTASWYQVHVAAAKAAIDATTRNLALEWGADYDIRVNGIAPGPIDDTPGMSKLAPSEINSKARDYMPLYKLGDKWDIAMAALYLASDAGKFINGTVIIADGGLWLSRPRHLPKDAVKQLSRSVEKRSRDAPVGVPRSKL >ONI14260 pep chromosome:Prunus_persica_NCBIv2:G4:22166073:22167786:-1 gene:PRUPE_4G271500 transcript:ONI14260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCIFAPYFMHEEAVTDFSSICNVFGANKMSKILSQLPMSERSVTSWTMAVEAHARDIDPIYGCISYILHLQQQVRNIQAQLDEAHVQLARAVAPVANPNQDLENSNEQSEVANPTEQAAVAKPTEFESLPQFSLTHTNNGDQRCLSPIVFFLVVILDQPSGQTFQIRKTLHVWTA >ONI10823 pep chromosome:Prunus_persica_NCBIv2:G4:3417735:3425804:-1 gene:PRUPE_4G069900 transcript:ONI10823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSGCFLVFFLFFSSFSSFSSALTNAEASSIARRQLLALPEGGDLPDHYEFEVELNLNFPNTRLRRAYIALQAWKKAIYSDPLKTTENWVGANVCSYTGVFCAKALDDPELEVVAGIDLNHADIAGYLPVELGLLTDIALFHINSNRFCGIIPKSFSRLTLLHEFDVSNNRFVGSFPEVVLHIPNLKYLDLRFNNFEGKLPPELFNKKLDGLFLNDNRFTSTIPDTLGNSPVSVLVVANNDLEGCIPNSIGKMVNTLNEIILSNNKFAGCLPPEIGYLSKVTVFDISSNTFSGILSKTFKGLEKLEEVDISHNMLTGFVPESICTLPNLVNFTFSYNFFNGETQECVPSSRKNVVFDDISNCLPDRPQQKSAKECQVVVSKPVDCGKAKCGGGQGGGQGPSKPSQPPVEKPRTPKPEQPKQQPPPQPKQQPPKPSPESVPTPSTPKPQPPKEEPPKEEQPPKEEPPKEQPPKEEPPKEQPPKEEPPKEQPPKEEPPKEQPPKEEPPKEEPPKEEPPKEEPPKEEPPKEQPPKEEPHKEQPPKEEPPKEEPPKEEPPKEEPPKEEQPKEEPPKEEPPKEQPPKEEPPKEQPPKEEPPKEEPPKEEPPKEEPPKEEPPKEEPPKEEPPKEEPPKEETPKEEPPKEQPPKEETPKEEPPKEEPPKEESPKEEPPKEQPPKEETPKEQPPKEEPPKEQPPKEEPPKEAPSKEEPPKEQPPKEEPPKETLTPEPSPEPVASPPEIVEEGPPPPEPTTTSPRVPVHPPPPAPILEGRTLGPPLVTSILSPT >ONI13785 pep chromosome:Prunus_persica_NCBIv2:G4:16236902:16239284:1 gene:PRUPE_4G244700 transcript:ONI13785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHIVLERNMQLHPRHFGRNLRENLVAKLMKDVEGTCSGQHGFVVAITGIENIGKGLIRDGTGFVSFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPATA >ONI13035 pep chromosome:Prunus_persica_NCBIv2:G4:12271137:12274937:-1 gene:PRUPE_4G199200 transcript:ONI13035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQVLAAYQVQSLNATPDHVKRRLANFSPSTWGDYFLSYASVETDIKAEQDVQELKEKVKGMIMAPMLKNPSKKLELIDEIQRFGVSRHFENEVEEVLQQIHKNSYGGDEENDFDLFTTALRFRLLRQHGYEVSCNMFNKFKDEDGKFKETLVDDVVGLLSLYESTHLRMHGEDLLDEALTFTTTHLESVEAHRLSPLLAKQVTHALYQPFWKGCQRPEARRYLAIFEEEPHPANETLLTLAKLDFNLVQQVHQKELSEISRWDISAMDQLPEYMKVCYGAMLDVYTEFEEKLGKEGNLYRIHYAREAMKCHVRGYFDEAKWLHQKYTPTMDEYMAVALGTSYKMPLTTSFIGMRDIVTKESLDWVLSDPKIVNSLSILGRLMDDMKSHKFEQKREHVASVVECYMKEYGATEEETIIELGKQVNKAWKDINEGWINLTTIPMPLRLRILNFARTNELMYKHEDAFTHAGVVLKDLLVSLFVNPVPI >ONI13038 pep chromosome:Prunus_persica_NCBIv2:G4:12271137:12274947:-1 gene:PRUPE_4G199200 transcript:ONI13038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQVLAAYQVQSLNATPDHVKRRLANFSPSTWGDYFLSYASVETDIKAEQDVQELKEKVKGMIMAPMLKNPSKKLELIDEIQRFGVSRHFENEVEEVLQQIHKNSYGGDEENDFDLFTTALRFRLLRQHGYEVSCTDMFNKFKDEDGKFKETLVDDVVGLLSLYESTHLRMHGEDLLDEALTFTTTHLESVEAHRLSPLLAKQVTHALYQPFWKGCQRPEARRYLAIFEEEPHPANETLLTLAKLDFNLVQQVHQKELSEISRWWKDLDFVNKLPFARDRVVECYFWALGTYFEPQYCFARTSLSKVIAVITAIDDIYDVYGTQEELELFTEAVERWDISAMDQLPEYMKVCYGAMLDVYTEFEEKLGKEGNLYRIHYAREAMKCHVRGYFDEAKWLHQKYTPTMDEYMAVALGTSYKMPLTTSFIGMRDIVTKESLDWVLSDPKIVNSLSILGRLMDDMKSHKFEQKREHVASVVECYMKEYGATEEETIIELGKQVNKAWKDINEGWINLTTIPMPLRLRILNFARTNELMYKHEDAFTHAGVVLKDLLVSLFVNPVPI >ONI13037 pep chromosome:Prunus_persica_NCBIv2:G4:12270980:12274947:-1 gene:PRUPE_4G199200 transcript:ONI13037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQVLAAYQVQSLNATPDHVKRRLANFSPSTWGDYFLSYASVETDIKAEQDVQELKEKVKGMIMAPMLKNPSKKLELIDEIQRFGVSRHFENEVEEVLQQIHKNSYGGDEENDFDLFTTALRFRLLRQHGYEVSCNMFNKFKDEDGKFKETLVDDVVGLLSLYESTHLRMHGEDLLDEALTFTTTHLESVEAHRLSPLLAKQVTHALYQPFWKGCQRPEARRYLAIFEEEPHPANETLLTLAKLDFNLVQQVHQKELSEISRWWKDLDFVNKLPFARDRVVECYFWALGTYFEPQYCFARTSLSKVIAVITAIDDIYDVYGTQEELELFTEAVERWDISAMDQLPEYMKVCYGAMLDVYTEFEEKLGKEGNLYRIHYAREAMKCHVRGYFDEAKWLHQKYTPTMDEYMAVALGTSYKMPLTTSFIGMRDIVTKESLDWVLSDPKIVNSLSILGRLMDDMKSHKFEQKREHVASVVECYMKEYGATEEETIIELGKQVNKAWKDINEGWINLTTIPMPLRLRILNFARTNELMYKHEDAFTHAGVVLKDLLVSLFVNPVPI >ONI13039 pep chromosome:Prunus_persica_NCBIv2:G4:12271438:12274699:-1 gene:PRUPE_4G199200 transcript:ONI13039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQVLAAYQVQSLNATPDHVKRRLANFSPSTWGDYFLSYASVETDIKAEQDVQELKEKVKGMIMAPMLKNPSKKLELIDEIQRFGVSRHFENEVEEVLQQIHKNSYGGDEENDFDLFTTALRFRLLRQHGYEVSCTDMFNKFKDEDGKFKETLVDDVVGLLSLYESTHLRMHGEDLLDEALTFTTTHLESVEAHRLSPLLAKQVTHALYQPFWKGCQRPEARRYLAIFEEEPHPANETLLTLAKLDFNLVQQVHQKELSEISRWWKDLDFVNKLPFARDRVVECYFWALGTYFEPQYCFARTSLSKVIAVITAIDDIYDVYGTQEELELFTEAVERWDISAMDQLPEYMKVCYGAMLDVYTEFEEKLGKEGNLYRIHYAREAMKCHVRGYFDEAKWLHQKYTPTMDEYMAVALGTSYKMPLTTSFIGMRDIVTKESLDWVLSDPKIVNSLSILGRLMDDMKSHKFEQKREHVASVVECYMKEYGATEEETIIELGKQVNKAWKDINEGWINLTTIPMPLRLRILNFARTNELMYKHEDAFTHAGVVLKDLLVSLFVNPVPI >ONI13036 pep chromosome:Prunus_persica_NCBIv2:G4:12271137:12275128:-1 gene:PRUPE_4G199200 transcript:ONI13036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQVLAAYQVQSLNATPDHVKRRLANFSPSTWGDYFLSYASVETDIKAEQDVQELKEKVKGMIMAPMLKNPSKKLELIDEIQRFGVSRHFENEVEEVLQQIHKNSYGGDEENDFDLFTTALRFRLLRQHGYEVSCNMFNKFKDEDGKFKETLVDDVVGLLSLYESTHLRMHGEDLLDEALTFTTTHLESVEAHRLSPLLAKQVTHALYQPFWKGCQRPEARRYLAIFEEEPHPANETLLTLAKLDFNLVQQVHQKELSEISRWDISAMDQLPEYMKVCYGAMLDVYTEFEEKLGKEGNLYRIHYAREAMKCHVRGYFDEAKWLHQKYTPTMDEYMAVALGTSYKMPLTTSFIGMRDIVTKESLDWVLSDPKIVNSLSILGRLMDDMKSHKFEQKREHVASVVECYMKEYGATEEETIIELGKQVNKAWKDINEGWINLTTIPMPLRLRILNFARTNELMYKHEDAFTHAGVVLKDLLVSLFVNPVPI >ONI09816 pep chromosome:Prunus_persica_NCBIv2:G4:534717:536292:1 gene:PRUPE_4G010900 transcript:ONI09816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRASTQAAVPLMMLLLLLWLFVFPALACPSDGSQCRDCVANQMKFGCPECTPVLRCMARCLWRGSSRANCVKRCNCGSGGKPKLSDCKKCMLRCKCSCMS >ONI11301 pep chromosome:Prunus_persica_NCBIv2:G4:5111527:5112295:1 gene:PRUPE_4G100200 transcript:ONI11301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVYASMVRHKMNQPGKSLGVIGLGGLGHVAVKFGKAFGLNVTIFSTSISKKEEALSQLDADNFVVSSDRNRIKALVKSVDFIIDVASGDHPFDPYMELMKLVEFWSYGMKTFSGSVTGGTKDIQEMIDFFTSNGIHPMIEIIPIQSANEAIERLLKSVVIYRLRGY >ONI14625 pep chromosome:Prunus_persica_NCBIv2:G4:25409617:25415117:1 gene:PRUPE_4G288800 transcript:ONI14625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNERPPKRMKRRITADLNDFFTFPSESASANHVGPFRTCVRDFISKHALLPPPSSLFPHLMTWQVLFRVGDLHDPHASSSSSTDAAVVCLDIVEEDVARSRSVYCDHCRVVGWSGHPVCWKRYHFIIKADGNSIGGYHKPCMCCGDVVHIYESKCKSCNLDASTNDVEDWVYNQLENTTHLLHGVVHSNGFGHLLRVNGREGGSRVLSGCHIMDFWDRLCKALRVRMVSVMDVSKKYGLEYRLLHSIIKGHPCQGRKLGSRIHDIILRYKSLSERELENMRDLFGVLISLVHDAHKCSSRVDDAVSKKRRASTSEVLCTWNSDIECVEEAMLKVLRAVSGSNWVSGRNLKGAVYKVAPPELLDYCLRELGGKMVADGMVVCSRYNPDTEAFEYRLEAGSASSKGSTACTGSSISSRLSEETILLDMRCLYEAMLQPQTMMKNVPQVTRDLTISSAEKLLYCKQFVKDYKPEKMSTNDPSVTCVLCRVEFTDESEEYATINPPPELIVLSNATVSDLKIAASKAFQDVYLILKRFKAEELLDYGGVDESTQIKHLLGSTESVRVRGRCNAKSVWSKYMMERGIERWTVDCSCGAKDDDGERMFTCDVCGVWRHTKCSGIPDFDSVPAKFVCDRCKSSVFVAKSGGPCKDEIVANVAGDSCSRAGNSLTGPPDVR >ONI14628 pep chromosome:Prunus_persica_NCBIv2:G4:25409617:25415125:1 gene:PRUPE_4G288800 transcript:ONI14628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWDRLCKALRVRMVSVMDVSKKYGLEYRLLHSIIKGHPWYGDWGYEFAAGSFALTRDSYKLAIETLSSLPLSIFLSQGRKLGSRIHDIILRYKSLSERELENMRDLFGVLISLVHDAHKCSSRVDDAVSKKRRASTSEVLCTWNSDIECVEEAMLKVLRAVSGSNWVSGRNLKGAVYKVAPPELLDYCLRELGGKMVADGMVVCSRYNPDTEAFEYRLEAGSASSKGSTACTGSSISSRLSEETILLDMRCLYEAMLQPQTMMKNVPQVTRDLTISSAEKLLYCKQFVKDYKPEKMSTNDPSVTCVLCRVEFTDESEEYATINPPPELIVLSNATVSDLKIAASKAFQDVYLILKRFKAEELLDYGGVDESTQIKHLLGSTESVRVRGRCNAKSVWSKYMMERGIERWTVDCSCGAKDDDGERMFTCDVCGVWRHTKCSGIPDFDSVPAKFVCDRCKSSVFVAKSGGPCKDEIVANVAGDSCSRAGNSLTGPPDVR >ONI14624 pep chromosome:Prunus_persica_NCBIv2:G4:25409617:25415201:1 gene:PRUPE_4G288800 transcript:ONI14624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNERPPKRMKRRITADLNDFFTFPSESASANHVGPFRTCVRDFISKHALLPPPSSLFPHLMTWQVLFRVGDLHDPHASSSSSTDAAVVCLDIVEEDVARSRSVYCDHCRVVGWSGHPVCWKRYHFIIKADGNSIGGYHKPCMCCGDVVHIYESKCKSCNLDASTNDVEDWVYNQLENTTHLLHGVVHSNGFGHLLRVNGREGGSRVLSGCHIMDFWDRLCKALRVRMVSVMDVSKKYGLEYRLLHSIIKGHPWYGDWGYEFAAGSFALTRDSYKLAIETLSSLPLSIFLSQGRKLGSRIHDIILRYKSLSERELENMRDLFGVLISLVHDAHKCSSRVDDAVSKKRRASTSEVLCTWNSDIECVEEAMLKVLRAVSGSNWVSGRNLKGAVYKVAPPELLDYCLRELGGKMVADGMVVCSRYNPDTEAFEYRLEAGSASSKGSTACTGSSISSRLSEETILLDMRCLYEAMLQPQTMMKNVPQVTRDLTISSAEKLLYCKQFVKDYKPEKMSTNDPSVTCVLCRVEFTDESEEYATINPPPELIVLSNATVSDLKIAASKAFQDVYLILKRFKAEELLDYGGVDESTQIKHLLGSTESVRVRGRCNAKSVWSKYMMERGIERWTVDCSCGAKDDDGERMFTCDVCGVWRHTKCSGIPDFDSVPAKFVCDRCKSSVFVAKSGGPCKDEIVANVAGDSCSRAGNSLTGPPDVR >ONI14626 pep chromosome:Prunus_persica_NCBIv2:G4:25409617:25415201:1 gene:PRUPE_4G288800 transcript:ONI14626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNERPPKRMKRRITADLNDFFTFPSESASANHVGPFRTCVRDFISKHALLPPPSSLFPHLMTWQVLFRVGDLHDPHASSSSSTDAAVVCLDIVEEDVARSRSVYCDHCRVVGWSGHPVCWKRYHFIIKADGNSIGGYHKPCMCCGDVVHIYESKCKSCNLDASTNDVEDWVYNQLENTTHLLHGVVHSNGFGHLLRVNGREGGSRVLSGCHIMDFWDRLCKALRVRMVSVMDVSKKYGLEYRLLHSIIKGHPWYGDWGYEFAAGSFALTRDSYKLAIETLSSLPLSIFLSQGRKLGSRIHDIILRYKSLSERELENMRDLFGVLISLVHDAHKCSSRVDDAVSKKRRASTSEVLCTWNSDIECVEEAMLKVLRAVSGSNWVSGRNLKGAVYKVAPPELLDYCLRELGGKMVADGMVVCSRYNPDTEAFEYRLEAGSASSKGSTACTGSSISSRLSEETILLDMRCLYEAMLQPQTMMKNVPQSGIYG >ONI14629 pep chromosome:Prunus_persica_NCBIv2:G4:25409617:25415117:1 gene:PRUPE_4G288800 transcript:ONI14629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWDRLCKALRVRMVSVMDVSKKYGLEYRLLHSIIKGHPCQGRKLGSRIHDIILRYKSLSERELENMRDLFGVLISLVHDAHKCSSRVDDAVSKKRRASTSEVLCTWNSDIECVEEAMLKVLRAVSGSNWVSGRNLKGAVYKVAPPELLDYCLRELGGKMVADGMVVCSRYNPDTEAFEYRLEAGSASSKGSTACTGSSISSRLSEETILLDMRCLYEAMLQPQTMMKNVPQVTRDLTISSAEKLLYCKQFVKDYKPEKMSTNDPSVTCVLCRVEFTDESEEYATINPPPELIVLSNATVSDLKIAASKAFQDVYLILKRFKAEELLDYGGVDESTQIKHLLGSTESVRVRGRCNAKSVWSKYMMERGIERWTVDCSCGAKDDDGERMFTCDVCGVWRHTKCSGIPDFDSVPAKFVCDRCKSSVFVAKSGGPCKDEIVANVAGDSCSRAGNSLTGPPDVR >ONI14627 pep chromosome:Prunus_persica_NCBIv2:G4:25409617:25415117:1 gene:PRUPE_4G288800 transcript:ONI14627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNERPPKRMKRRITADLNDFFTFPSESASANHVGPFRTCVRDFISKHALLPPPSSLFPHLMTWQVLFRVGDLHDPHASSSSSTDAAVVCLDIVEEDVARSRSVYCDHCRVVGWSGHPVCWKRYHFIIKADGNSIGGYHKPCMCCGDVVHIYESKCKSCNLDASTNDVEDWVYNQLENTTHLLHGVVHSNGFGHLLRVNGREGGSRVLSGCHIMDFWDRLCKALRVRMVSVMDVSKKYGLEYRLLHSIIKGHPCQGRKLGSRIHDIILRYKSLSERELENMRDLFGVLISLVHDAHKCSSRVDDAVSKKRRASTSEVLCTWNSDIECVEEAMLKVLRAVSGSNWVSGRNLKGAVYKVAPPELLDYCLRELGGKMVADGMVVCSRYNPDTEAFEYRLEAGSASSKGSTACTGSSISSRLSEETILLDMRCLYEAMLQPQTMMKNVPQSGIYG >ONI09784 pep chromosome:Prunus_persica_NCBIv2:G4:461210:464900:1 gene:PRUPE_4G009300 transcript:ONI09784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPIVDAMVQTLTEQVFNALVNQAQFSLEFSGQFKQMKTGLDLTKALLADTENLNHKNETVRAGLSILKEVIYKADDVLTDCLVRDEYMKDASWAVYLLHDPFFSHRTGKKLRDINRHMKEVEKTLGRFLKAPDSIYADESYHVRGIMSQDWNPTDTIGLDDDVEKIKGWMFDTTKPLHRIGIVGMGGLGKTTISQKIFHDVKVLAHFDKMIWVCVSQSFSAERIMRSILERLEENVSGFGLTQILSKIQGGLKGKRCLIVMDDVWSHTEVDWWTNLCSVLPKQNSCIIITTRNEDAAISMGVESSQIHRPNTLNDDESWSLFSKFAFSSSSGICPDDQFENLGKELLKKCGGLPLAIKTIGSLLASKINSPSQWRDILESFHALTTERQASSVMASLRLSYDELLPFLKQCMLCFSIYREDFEISAYQLIHWWVGEGLVQGKGSKTAVEVGYEYLAELISRCLVEIVEQRGFDGRVYKCKIHDMVREMIIMIAEEEEFCSFNEQSRQKLTANSRWLSFIDEMDEKSLKYCPKLRAMLLISSRPFEFDRISGFLRSLRMLDLSNCAVDETGVKDLFNWISSLKRLASLNLSGIQALKEVPSSIHKLLNLQLLILNGCSHLEKIHPSITNLKKLIILDLVGCPILYLPQGLGRLSYLQELSGFKVASQHRKQCFQLLEIKDLIHLRVLRMHISDVAVIADNELDVLSQLKMLKVLAIDAEDCREKDVFGMLDKLTPPPSLQELYLKCYQRETLPGWVNPKQLSRLKYLCIENSDLVNLSSGHPAWKVEGLCLKYLMKLDLQWKDLEKDMPALRYMEISHCYKLKDFPCSVIESEIWRKNQD >ONI09783 pep chromosome:Prunus_persica_NCBIv2:G4:461152:465115:1 gene:PRUPE_4G009300 transcript:ONI09783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPIVDAMVQTLTEQVFNALVNQAQFSLEFSGQFKQMKTGLDLTKALLADTENLNHKNETVRAGLSILKEVIYKADDVLTDCLVRDEYMKDASWAVYLLHDPFFSHRTGKKLRDINRHMKEVEKTLGRFLKAPDSIYADESYHVRGIMSQDWNPTDTIGLDDDVEKIKGWMFDTTKPLHRIGIVGMGGLGKTTISQKIFHDVKVLAHFDKMIWVCVSQSFSAERIMRSILERLEENVSGFGLTQILSKIQGGLKGKRCLIVMDDVWSHTEVDWWTNLCSVLPKQNSCIIITTRNEDAAISMGVESSQIHRPNTLNDDESWSLFSKFAFSSSSGICPDDQFENLGKELLKKCGGLPLAIKTIGSLLASKINSPSQWRDILESFHALTTERQASSVMASLRLSYDELLPFLKQCMLCFSIYREDFEISAYQLIHWWVGEGLVQGKGSKTAVEVGYEYLAELISRCLVEIVEQRGFDGRVYKCKIHDMVREMIIMIAEEEEFCSFNEQSRQKLTANSRWLSFIDEMDEKSLKYCPKLRAMLLISSRPFEFDRISGFLRSLRMLDLSNCAVDETGVKDLFNWISSLKRLASLNLSGIQALKEVPSSIHKLLNLQLLILNGCSHLEKIHPSITNLKKLIILDLVGCPILYLPQGLGRLSYLQELSGFKVASQHRKQCFQLLEIKDLIHLRVLRMHISDVAVIADNELDVLSQLKMLKVLAIDAEDCREKDVFGMLDKLTPPPSLQELYLKCYQRETLPGWVNPKQLSRLKYLCIENSDLVNLSSGHPAWKVEGLCLKYLMKLDLQWKDLEKDMPALRYMEISHCYKLKDFPCSVIESEIWRKNQD >ONI09782 pep chromosome:Prunus_persica_NCBIv2:G4:460863:465127:1 gene:PRUPE_4G009300 transcript:ONI09782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPIVDAMVQTLTEQVFNALVNQAQFSLEFSGQFKQMKTGLDLTKALLADTENLNHKNETVRAGLSILKEVIYKADDVLTDCLVRDEYMKDASWAVYLLHDPFFSHRTGKKLRDINRHMKEVEKTLGRFLKAPDSIYADESYHVRGIMSQDWNPTDTIGLDDDVEKIKGWMFDTTKPLHRIGIVGMGGLGKTTISQKIFHDVKVLAHFDKMIWVCVSQSFSAERIMRSILERLEENVSGFGLTQILSKIQGGLKGKRCLIVMDDVWSHTEVDWWTNLCSVLPKQNSCIIITTRNEDAAISMGVESSQIHRPNTLNDDESWSLFSKFAFSSSSGICPDDQFENLGKELLKKCGGLPLAIKTIGSLLASKINSPSQWRDILESFHALTTERQASSVMASLRLSYDELLPFLKQCMLCFSIYREDFEISAYQLIHWWVGEGLVQGKGSKTAVEVGYEYLAELISRCLVEIVEQRGFDGRVYKCKIHDMVREMIIMIAEEEEFCSFNEQSRQKLTANSRWLSFIDEMDEKSLKYCPKLRAMLLISSRPFEFDRISGFLRSLRMLDLSNCAVDETGVKDLFNWISSLKRLASLNLSGIQALKEVPSSIHKLLNLQLLILNGCSHLEKIHPSITNLKKLIILDLVGCPILYLPQGLGRLSYLQELSGFKVASQHRKQCFQLLEIKDLIHLRVLRMHISDVAVIADNELDVLSQLKMLKVLAIDAEDCREKDVFGMLDKLTPPPSLQELYLKCYQRETLPGWVNPKQLSRLKYLCIENSDLVNLSSGHPAWKVEGLCLKYLMKLDLQWKDLEKDMPALRYMEISHCYKLKDFPCSVIESEIWRKNQD >ONI10849 pep chromosome:Prunus_persica_NCBIv2:G4:3514166:3517133:-1 gene:PRUPE_4G071600 transcript:ONI10849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSSGNSTSCQFPLPGIDQMKTTEAALSLGFGFSNCRTSLLWKRNSLHHTVSINAFNVKCSFKQSRQPLTSPKAIEKKTIKKVGRKEHHLWQKRDSAGSGQKALNLVRIVSGLPNEKETVYGALDKWTAWETEFPLIAAVKALRILRKRSQWVRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDQRVDEAESLWNMILHTHTRSISKRLFSRMISLYDHHDKQNKIIEVFADMEELGVKPDEDTVRRVARAFKELGQEENKTLVLRRYQCKWKYIHFKGERVKVRTNAWDEDDNLSN >ONI10846 pep chromosome:Prunus_persica_NCBIv2:G4:3513355:3517198:-1 gene:PRUPE_4G071600 transcript:ONI10846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSSGNSTSCQFPLPGIDQMKTTEAALSLGFGFSNCRTSLLWKRNSLHHTVSINAFNVKCSFKQSRQPLTSPKAIEKKTIKKVGRKEHHLWQKRDSAGSGQKALNLVRIVSGLPNEKETVYGALDKWTAWETEFPLIAAVKALRILRKRSQWVRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDQRVDEAESLWNMILHTHTRSISKRLFSRMISLYDHHDKQNKIIEVFADMEELGVKPDEDTVRRVARAFKELGQEENKTLVLRRYQCKWKYIHFKGERVKVRTNAWDEDDNLSN >ONI10847 pep chromosome:Prunus_persica_NCBIv2:G4:3514432:3516673:-1 gene:PRUPE_4G071600 transcript:ONI10847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSSGNSTSCQFPLPGIDQMKTTEAALSLGFGFSNCRTSLLWKRNSLHHTVSINAFNVKCSFKQSRQPLTSPKAIEKKTIKKVGRKEHHLWQKRDSAGSGQKALNLVRIVSGLPNEKETVYGALDKWTAWETEFPLIAAVKALRILRKRSQWVRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDQRVDEAESLWNMILHTHTRSISKRLFSRMISLYDHHDKQNKIIEVFADMEELGVKPDEDTVRRVARAFKELGQEENKTLVLRRYQCKWKYIHFKGERVKVRTNAWDEDDNLSN >ONI10848 pep chromosome:Prunus_persica_NCBIv2:G4:3513356:3517171:-1 gene:PRUPE_4G071600 transcript:ONI10848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSSGNSTSCQFPLPGIDQMKTTEAALSLGFGFSNCRTSLLWKRNSLHHTVSINAFNVKCSFKQSRQPLTSPKAIEKKTIKKVGRKEHHLWQKRDSAGSGQKALNLVRIVSGLPNEKETVYGALDKWTAWETEFPLIAAVKALRILRKRSQWVRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDQRVDEAESLWNMILHTHTRSISKRLFSRMISLYDHHDKQNKIIEVFADMEELGVKPDEDTVRRVARAFKELGQEENKTLVLRRYQCKWKYIHFKGERVKVRTNAWDEDDNLSN >ONI13692 pep chromosome:Prunus_persica_NCBIv2:G4:15649794:15651076:1 gene:PRUPE_4G238700 transcript:ONI13692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSKKISLLTLINGSLHHKVLTTVATKTTGRDTWVALKTRFASPNQNSLLQLRSDFLYTTCGDSFIIDFLDRINFIIDNLAFAGAPVSDSDLLVVVMNNVCPLHENIVAAAQAQDDVIDTYYVAYIKTAVTLNNETMSVTNI >ONI11854 pep chromosome:Prunus_persica_NCBIv2:G4:7182187:7183287:1 gene:PRUPE_4G130100 transcript:ONI11854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLALPGPVISLLLLFFFSGGSGPPLPQEKEDTWCVPKPGTPDSALQNIINFTCGILKECSAIQEHGSCYFPNTLINHAPFAMNLSYKTDGCYNCDFNCVGLMVVTNPS >ONI14112 pep chromosome:Prunus_persica_NCBIv2:G4:19169301:19171964:1 gene:PRUPE_4G262800 transcript:ONI14112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKTLSMLFSSSILSSEVRYALEEYGCFVAFYDKVSAELLDKIFGQTKDLFEVPIESKIKNTSEEPYRGYIGPNPLMPLYEGLAIDNFTSPQDTHKFRQLMWPDGKNNFCEIIDSYAELLAGLEHTVEQMLFESFEAAKQYESVASYNSQLLRFLKYNTLKETDATLRFASHTDKNFATIVVQHDVGGLEVQTKEGDWISIESAPSQFLFMAGDGLQVWSNDRIQACHHRVKDWGQDKILTWDVYIQQWGVASTARTSG >ONI10109 pep chromosome:Prunus_persica_NCBIv2:G4:1273740:1277145:1 gene:PRUPE_4G027400 transcript:ONI10109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAGFIKIKMLPMTNLMLVSILSSIFVVTLLSFPSPAEADYLYHVCPNTTTFTPNSTFQSNLNRLLSTLSSNATRPSGFYNATASSRTPNDAVYGLFLCRGDVAATDACKSCVSTATSDIVQRCPTEKQVVIWYDDCMLRYSNESFFSTMAETPRLFMWNTQNATEQTRFNQVLSTGMNEVATEAANDADKFATSQGNVSGLVSIYSLGQCTQDLSSADCNRCLKGAIAQFPNCCSGKEGARVLYPSCTVRYEVYPFYQQNSTSAPQPSPGALPPPLPPKGKSKIPTIVAIVVPIAVSMLLFVVGCCFITRRARKKRSAAAEAPSGENDISTIESLQFDFSTIVAATNNFSDDNKLGEGGFGQVYKGILSNGQEVAVKRLSKNSGQGAEEFKNEMVLVAKLQHRNLVRLYGFCLEGEEKILVYEYVSNKSLDYFLFDPEKQGQLDWARRYKIIAGIARGIMYLHEDSRLRIIHRDLKAGNILLDREMHPKISDFGMARIFGVDQTQANTSRIVGTYGYMSPEYAMHGQFSVKSDLYSFGVLLLEIISGKKNSCFFQTDAAEDLVSHAWKLWRDGTPLELLDPSMRDSYSRSEVIRCIHIGLLCVQEDPADRPTMQSVVLMLNSYSVTLPLPEQPAFFLRSRTEGNMPKITLESDLSISKSTPSVDEGSITAVYPR >ONI10462 pep chromosome:Prunus_persica_NCBIv2:G4:2336709:2337451:1 gene:PRUPE_4G048900 transcript:ONI10462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSPRRQQENPNPSLLPSPNSNSSNSSTSSTHSTHGTSAPPTTQLPNPQNPSPDPNPPTPDTNSFKQVVQMLTGSSETAKQASSKPANTCPDPHNKTHIPPIKSTPKKQQSGFKLYERRNSLKNLRINPLIPVFSSNASGFSPRNPEILSPSILDFPALVLSPVTPLIPDPFDRSGSANYWSNPNGCAHLNKEAEEKAIKEKGFYLHPSPSTTPRESEPRLLPLFPTTSPRASGSSSS >ONI11302 pep chromosome:Prunus_persica_NCBIv2:G4:5112912:5114298:-1 gene:PRUPE_4G100300 transcript:ONI11302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVQLIYGGSNILIKFSLAEGLNPIVFVVYGGIRKQRPSLSFSVAAKFFVLALFGTTIHLNVYYAGLAYTSPTVACALSNVIPSLAFLMAVLLGLEKLKFRTARGQAKVDGTLFCIGGSLVFTFWKGGYLFKGFVKRPLINISVGEMRHVKENWIKGALLILTSHINIAWSAWLILQAVVSEVYTARLSLTTMIYFFASLQSSFLDLFFARNPISWRLEWNLQLSTIVYCGVVISALAYYLQTWCISYKGPVFAAMFSPLQSL >ONI13886 pep chromosome:Prunus_persica_NCBIv2:G4:16949714:16951625:-1 gene:PRUPE_4G252400 transcript:ONI13886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTIDPGPIDISVLYDQDNHISSAIWDGQERGVLRCHEHSSMLEQWKLTAKQIELVEKAGFGYFRTIPTISLDNSLISALVERWRRETNTFHLPVGEMTITLEDVVLLLGLPVDGKPVMGVTRTPGKVCENLLGKVPEDLNGGMVKLTWLKECFSKCPEDAPVEETERHTRAYLLYLVGCTIFSTTTGNKVSVMYLPLFEKFDEAGKFAWGAAALAFLYRALGNASLKSQSTISGSLTLLQCWSYYRLNVGNPKFNDEPNDGCFPFALRWKGRASGPRSNSNIVSYRKALDSLQPSDVKWLPYKDLDSSSSVGDIKESLILRASKTVLICFDKAERHLPDRCLRQFGMLQPVPMDVPRWERKIRALDQGSDLSKETDLQLKGKNQAELREWLERRLRIVQVEEVVDEIKYMEWYERITRKFVGRPESLESEFQRIVAAMREIADIADSFPADRMDSRDRKSLDEIKNAAHKSLMDLVGDSKKGRRKIATKRKREDDPTIKDDY >ONI14212 pep chromosome:Prunus_persica_NCBIv2:G4:21416820:21428591:-1 gene:PRUPE_4G269200 transcript:ONI14212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYDVWVKVQHSVSCIVHSLCLSFFYRLQYTVRRRTKKAFKAMEVPAAPATNGNENKGLKTLISLYSSCLWNRVVGFVPSSDSNFLGKIPIYFRGRSRKRRACLPLPLPSNSLQYSPVTTEASRVCDVLHDILEHIFSNLHNIQKNMQFWQSRAEGSNAQKVKFMVLERGPQAFIEGTIQLIHGCVAEGSSIKNICLSASAHISERIAILTNLRCSLATFLAEVYMEIDRFGEELLRDPENSLPSLLIRINGLFFKLEASVGHLHAMRQCDSSVEGSYSVPLLFEKLPEINQEGSQWTDCEIRDAINLVYQNLEKLDSYLSYIVAKHRKPRKVTQYWIRYTCGVVGLSVCSMWLIGHSRLMGSPDIDNWLREARDSTIGFFSDHVEQPLLSIRDELFETFRKRHRGMMDLEEVQLTSNSLHRMLLAFSEQTKGQKFPENAPDQEMLEIVMARYEKELTHPITNLLNGELARAMLIQVQKLKLDIETAMLELNQILRANEINFAILAALPAFFLSLVLIMVVRAWFKQDTRAEGRGRIARLQRRLLVVEVEKKIMQYRTFVDQGMEKDAQCVYGLLLYSLDRLYHAVERHAKATGEWQWLSQDIIDLGKPSLQTEHKLRVTARLERVYDCLLPSLKRQ >ONI10775 pep chromosome:Prunus_persica_NCBIv2:G4:3293189:3296281:1 gene:PRUPE_4G067800 transcript:ONI10775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAIFSSLRRRRSPSLEAFLAPVDLSDVALVQILVSVTAEIASSFSDKFFSFQKRNSRSLVRKVEIFLVLLEYLRDSGSALPWTAVICLKELYLLLYRSKILLDYCAQSSKLWLLLQNQPISGHFHDLNKEISTLLDVFPMEDVELGEDVREQIELLQRQARRAKLFIDEGDEELRVKFFSFLDEFEKGQVPDSADLWLFFAERLGIRDAKSCRNEIEFLEEQIINHEGDVEPTVSVLNGFVAFTRYCRFLLFGFEEDEVELGIGNNQRKPRKGLITQEIAETFITIPKDFCCPISLDLMREPVIVSTGQTYDRSSISRWLEEGHCTCPKTGQMLPNTRLVPNRALRNLIMQWCTAYGIPYDPPECTEASAESFAAASPSKAALAANKATAGLLIQRLEDGSPCAQTIAAREIRLLAKTGRENRAFIAEAGAIPHLCKLLSSPNSVAQENSVTAMLNLSIYDKNKSRIMDEGCLGSIVDVLRFGHTTEARENAAATLFSLSAVHDYKKRIADEEGAIEALAGLLREGTPRGKKDAVTALFNLSTHTENCSRMIEAGAVTALVSALGNEGVAEEAAGALALVVRQPIGAKAVGKEDTAVAGLVGMMRCGTPRGKENAVAALLELCRSGGAAATERVVKAPALAGLLQALLFTGTKRARRKAASLARVFQRCENAAAIHFGGLGVGYAFAGNSAANRDSSFASDVAVPMSISVPVL >ONI11285 pep chromosome:Prunus_persica_NCBIv2:G4:5039553:5041825:-1 gene:PRUPE_4G099100 transcript:ONI11285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDTLDPPIKTIPTSWTSAFNDSLKFLPKKSQQVINDFIQCLGETSQSNPPIYTPQNTSFPHVLLSLIKNRRYFTPTTPKPLAIVAPTHESHVQATVICTKRHGLQIRIRSGGHDYEGLSYVSNLPFVVLDMFNLRSVDMNLEDESAWVQAGATIGELYYAIGQKSKVHGFAAGSCPSVGIGGHFSGGGYGPLMRKYGLTVDNVEDAKLVNVNGRILDRNTMGEDLFWAIRGGGGASFGVILSWKIKLIRVPPKVTMFNVRRTLEEGGTDVLHRWQYVAPKLPEDIFIRVGIQVKNSSQEGKKTVQVLFTGQFLGQSDKLVPLVNKRFPELGLQQKDCFEMSWIESTVFMAACPIGTPIDVLLSRPKAPAIFFKSKSDYVKEPIPKHGFKSIWKKLIKIEKVWMQLNPYGGRMSEISESATPFPHRAGNLYSVQYFISWMEEGIKTTNHYIKLSRKLYTSMAPFVSKNPREALQNYRDLDIGTNLRNHTNFHKARLYGRKYFKYNFDRLVQVKTMVDPQDFFKHEQSIPPL >ONI14325 pep chromosome:Prunus_persica_NCBIv2:G4:23047770:23050422:1 gene:PRUPE_4G275800 transcript:ONI14325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRGKRKDEEDHASDGDSEGHAPPKKTCKRDSADDNSDEIIACEISKNRRVTVRNWNGKIMVDIREFYVKDGKQMPGKKGISLTKDQWDVLHNHVEEIDKAVNGTS >ONI14326 pep chromosome:Prunus_persica_NCBIv2:G4:23047665:23050546:1 gene:PRUPE_4G275800 transcript:ONI14326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRGKRKDEEDHASDGDSEGHAPPKKTCKRDSADDNSDEIIACEISKNRRVTVRNWNGKIMVDIREFYVKDGKQMPGKKGISLTKDQWDVLHNHVEEIDKAVNGTS >ONI11428 pep chromosome:Prunus_persica_NCBIv2:G4:5507785:5509849:-1 gene:PRUPE_4G106300 transcript:ONI11428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVVLGFNEFMLLLKNTPYLMVLFVASSNDTQLRCCAMVFFLFDFISFGT >ONI12648 pep chromosome:Prunus_persica_NCBIv2:G4:10499549:10500632:-1 gene:PRUPE_4G177000 transcript:ONI12648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRRLKSTSGDTFSFPSTPIHEQDSDFEFGCFTPDSASSTDPCKDSPADHLFFNGRLLPHAFPFQPISNGFMGVDSNSRRTSRTSSISSKDSLMSSRSNSTNSRSSSCSSSARTSSSDNSERKLVHQNKLGSRASSDRYKGNRANNLGGGQVYGSSQRWQFITAVPVPASLSRDNSRKKAKVDQLEGKEKPIKGKRKGRVKTVVVRRSFGRRFFRWLVWTCRKCHAMEPSNKSSDHVIN >ONI11448 pep chromosome:Prunus_persica_NCBIv2:G4:5584124:5589524:-1 gene:PRUPE_4G106900 transcript:ONI11448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGEFNADGLDKFVKEVKLAECGLSYAVVAIMGQSSGRSTLMNHLFHTKFREMDANSGRNQTTKGIWIAKCVGIEPCTIAMDLDLEGTAGKERGEDDTAFEKQSAIFALTVSDIVLINMCQESDKGLEQAAMNPSLEMVFQVVMHSFVPRKMTLLFVIHTKTPFENLEPVLREDIQKLWDGVPKPEAHNSTPFSDFFSVEVVTLSSYEEEEEKFKEEVAQLRQRFFHSISPGGLAGDRRGVVPASGFSFTAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANREFNQLIHDGGWLALEEAVHTGPVQGFGKRLSSILSTYFSEYDMEAIYFDEGVRNSKRQLLESKALDFVYPAYTTMLGHVHSKALKNFKVRLEELLNKREGFTSSLRSCTQSSMLEFDKGCADAAIQQANWDASRVIREKLRRDIDTHASSVCSAKLSELNVNYEKQLSASLTGPVEALLESGEKDTWASIRKLLNRETEVAVSTFSSTAVADFELDKETIAKMLQHLRDYSRNVVVKKAREEAAKIMILMKDRFSTVFNYDSGSMPRVWTKKEDIRSITKDAHSASMKLLSVMAAIRLEEKPDNIEETLFSSLMDGTVTVSSSQDRGIEASAYPLASNTWEEVPSEDTLITPVQCKSLWRQFKTETQYSVTQAISAQEAYKRSNNWLPPPWAIMAMAVLAFNQFMLPRLQKKK >ONI11299 pep chromosome:Prunus_persica_NCBIv2:G4:5102975:5104634:1 gene:PRUPE_4G100000 transcript:ONI11299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLVISFNSNAILVEKIIQGDISIVDIVQGVFGSLPFKKLYSRSAVLQALGTDDVSIKITHCGVCYADVIWTRNQHGDSKYPVVPGHEIAGIVKEVGSNVQRFKVGDHVGVGTHVNSCRDCEYCNDSFNGVDVDGTIAKGGYSIVHEGYEFNISENYPLASAAPLLCAGITVYASMVRHKMNQHVWQKAFGLNVTIFSTSISKKEEAGMKTISGSVTGGTKDIQEMTDFCASNGIHPMIEIIPIQSANEAIERLLKSVVIYRLRGY >ONI14247 pep chromosome:Prunus_persica_NCBIv2:G4:21908548:21910318:1 gene:PRUPE_4G270700 transcript:ONI14247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTACLGIRWPLFEHFGPILEGFEVKVYIPSSRTASPENELLGISSLCRH >ONI11935 pep chromosome:Prunus_persica_NCBIv2:G4:7503689:7509737:-1 gene:PRUPE_4G135800 transcript:ONI11935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDEEKQTTTFKTLGICDELVDACQKLGWTVPTPIQVESMPSALDGKDLIALAQTGSGKTGAFALPILQELINSPQAFFACVLSPTRELAIQIAEQFQALGSEIGVKCAVLVGGVDMVQQSINLAKRPHVVVATPGRLMDHLSNTKGFSLRSLKYLVLDEADRLLNEDFEKSIDEILNVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEVASKYSTVDTLNQQYRFLPAKYKDCYLVYILTEKCQCTTMVFTRTCDATQLLALMLRNLGIRAIPISGHMTQSKRLGALNMFKAGECNVLICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRYGVAISLVNQYELEWYIQIEKLIDKKLPEFPAEEDEVLLLFERVKEAKRLALKKIKDGGGKKRKGENYGEEEDIEKYFGHKGGKSKKFKAR >ONI12198 pep chromosome:Prunus_persica_NCBIv2:G4:8603935:8605704:-1 gene:PRUPE_4G150300 transcript:ONI12198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDEQNPQQRSYWSRWSKHDFFPEPTFQNLSSYKDALSHTPSRLKDRLLHRSSDSFELLQLPKQSENRMKRCLTWWDLVWLGFGSVVGSGIFVITGQATRDAGPSIVLSYAISGFSALLSVLCYTEFAVEIPVAGGSFSYLRIELGDFVAFIAAGNILLEALVGSAGLGRSWSSYFASMIRTDDTDFLRIRVKSLPNGFNLLDPIAVVVLLVANSIAMSGTRRTSVLNWIASIASAFIIVFIIIVGFVRGNTANLTPFFPFGAEGCFKAAAIVFWSYTGFDMVANMAEEAKKPSRDIPVGLIGSMSLITVVYCLMALVLSMMQKYTEIDEDAAYSVAFEAIGMDWAKYLVSICALKGMTTSLLVGSMGQARYTTQIARAHMIPPWFALVHPKTGTPIYATVMVTLVSAVVALFTSLDVLSSVFSFSTLCIFMFVAIALLVRRYYVKDATPRNDLVKFLICLFVIIGSSIGVTVLWNADKRGWIWYVVFGVIWLLGTLWMAFLPKHRVPKVWGVPLVPWFPALSIGINVFLIGSLGYVAFLRFFICTAVMVAYYLLVGVHATYDVAHHIEQESKTEEGNESAS >ONI14113 pep chromosome:Prunus_persica_NCBIv2:G4:19179766:19182254:1 gene:PRUPE_4G262900 transcript:ONI14113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTKPTLPTINFSIEDLKPGSASWLSTAKQVRFGLEEYGCFVAQYEQISGELLNSIFGQAKDLFEVPKENKVKNVGEEPYRGHMGPNPLLPLYESLCIDNVTSPQETQKFKNLMWPEGKTNFCETTDSFGQLLADLERTVEQLIFESYGIGKQYESVGSSNGHLLRFIKYTVPEDNDTTLRFPSHTDINFTTIVVQHDIPGLEVKTKEGDWIDVECAPSQFVFMAGDGLQVWSNDRVKACHHRVKHCGNKTRYSIGLFTFNNGIFQVPQELVDESHPLLYNPFDSRAFIRKYATTPELKKEPSPIKAFAGVKA >ONI14234 pep chromosome:Prunus_persica_NCBIv2:G4:21790426:21813998:1 gene:PRUPE_4G270300 transcript:ONI14234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSSSSSSTKSDEEKVEMLDRLLTRLALCDDSKLQPLLSKLLPFTVSSLSSNSSAVRNKVLEILSHVNKRVKHQPEIALPLSELWNIYSEANAASMVRNFCILYIEMAMDRADTKEKENLAATLLSGVSKLPLQHHEIILRLATKVMGECHSSGVNDEVAAKYKTICYSQDRKLFLEFCLHTILYQQSSQSRECPPGLSIAQTHSVTGKQPLKSDILLTRKLGILNVIEAMELAPELVYPLYVAASVDCQEPVVKRGEELLKKKAAGANLDDSDLINTLFLLFNGTAGAQNVAPESRVTPANPALKAKLVSIFCRSITAANSFPSTLQCIFGCIYGSDTTSRLKQLGMEFTVWVFKHSKIDQLKLMGPVILSGILKSLDTVSSSESDVTVRDSKTFAYQAIGLLSQRMPQLFRDKIDMAVRLFDALKVETQHFRLSIQEATNSLATAYKGAPSTVLKDLETLLLKNSQEEQSEVRFCVMRWATSLFDLQHCPSRFICMLGAADTKLDIREIALEGLLLVKDDGQSMSQKQDLVYPKLGVMLDFILSQQPNLLESAEMREQKLHFPSKTYLVMIEFLLKCFESELEQNISIKGLSDFQSSVEALCLLLEHAMAFEGSVELHARASKALIAIGSCMPKLIASRYAQKVSWLKQLLSHVDLDTREAAARLLGFASSALAMAESSALISELIASVSGRHKLRFEAQHGALCAVGYVTADCMSRTPAIPDTLFQSTLKCLVDVANSETAALASVAIQALGHIGLIVPLPSLIIDSNSVDILTVLHEKLRKLLSGDDTKAIQKIVISIGHMCVKETSSSRLNIALDLSFSLCRSKVEDVLFAVGEALSFLWGGVPVTADLILKANYSLSMASNFLMGDVNSSLSKNSHIETNEAEEDRYAMVRDAITKKLFDDLLYSTRKEERCAGTVWLLSITMYCGHNPAVQKMLPDIQEAFSHLLGEQNELTQELASQGMSIVYELGDASMKENLVHALVNSLTGSGKRKRAIKLVEDSEVFQEGVIGEGLSGGKLSTYKELCNVANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALKPHLRSLIPRLVRYQYDPDKNVQDAMAHIWKSLVADSKKTIDENLDLIVDDLLIQCGSRLWRSRESSCLALADIIQGRKFDQVAKHLRKLWSAAFRAMDDIKETVRNSGDKLCRALTSLTVRLSDVSLTGVSEARQTMDIVLPFLLTEGILSKVDSIRKASIGIVMKLAKGAGIAIRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRISIAKGSPMWETLDLCIKVVDSEALDQLVPRLAQLVRSGVGLNTRVGIASFITLLVQKVGVEIKPYTSRLLRLLFPVVKDEKSAASKRAFASACAIVLKHAAPTQAEMLIDDSAALHNGDKNAQVSCAILLKSYSSMASDVVSGYLAAIIPVIFISRFEDDKFVSGLFEELWEEHTSSERVALQLYLEEIVSLICEGIGSSSWASKKRSAQAISKLSEVLGESLSSHYHVLLQSLMKEIPGRLWEGKDALLHAIAALSVSCHKAISSDDPATMNEILSVVSSACTKKAKKYREAALSCLEQVVKAFGNQEFFNVVFPLLYEMFTSGTLTQSGKATLVVDAAKAEEDQVEKFSVPHNKVLDCMTACIHVAHINDIVGQQKNLMHVFIATMSSGLPWTVKISALSSTKELCSRLQKVLDDSQESPANANIISLVQELFLSMPPQIVECISTVKVAQVHVSASESLLVIIKLYQKLRPIRFIDVQFKDELVHLYEVEKNGEAKSLLKKCIDTLENLKQESVQDDSMCMS >ONI14237 pep chromosome:Prunus_persica_NCBIv2:G4:21796569:21813998:1 gene:PRUPE_4G270300 transcript:ONI14237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGQSKIDQLKLMGPVILSGILKSLDTVSSSESDVTVRDSKTFAYQAIGLLSQRMPQLFRDKIDMAVRLFDALKVETQHFRLSIQEATNSLATAYKGAPSTVLKDLETLLLKNSQEEQSEVRFCVMRWATSLFDLQHCPSRFICMLGAADTKLDIREIALEGLLLVKDDGQSMSQKQDLVYPKLGVMLDFILSQQPNLLESAEMREQKLHFPSKTYLVMIEFLLKCFESELEQNISIKGLSDFQSSVEALCLLLEHAMAFEGSVELHARASKALIAIGSCMPKLIASRYAQKVSWLKQLLSHVDLDTREAAARLLGFASSALAMAESSALISELIASVSGRHKLRFEAQHGALCAVGYVTADCMSRTPAIPDTLFQSTLKCLVDVANSETAALASVAIQALGHIGLIVPLPSLIIDSNSVDILTVLHEKLRKLLSGDDTKAIQKIVISIGHMCVKETSSSRLNIALDLSFSLCRSKVEDVLFAVGEALSFLWGGVPVTADLILKANYSLSMASNFLMGDVNSSLSKNSHIETNEAEEDRYAMVRDAITKKLFDDLLYSTRKEERCAGTVWLLSITMYCGHNPAVQKMLPDIQEAFSHLLGEQNELTQELASQGMSIVYELGDASMKENLVHALVNSLTGSGKRKRAIKLVEDSEVFQEGVIGEGLSGGKLSTYKELCNVANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALKPHLRSLIPRLVRYQYDPDKNVQDAMAHIWKSLVADSKKTIDENLDLIVDDLLIQCGSRLWRSRESSCLALADIIQGRKFDQVAKHLRKLWSAAFRAMDDIKETVRNSGDKLCRALTSLTVRLSDVSLTGVSEARQTMDIVLPFLLTEGILSKVDSIRKASIGIVMKLAKGAGIAIRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRISIAKGSPMWETLDLCIKVVDSEALDQLVPRLAQLVRSGVGLNTRVGIASFITLLVQKVGVEIKPYTSRLLRLLFPVVKDEKSAASKRAFASACAIVLKHAAPTQAEMLIDDSAALHNGDKNAQVSCAILLKSYSSMASDVVSGYLAAIIPVIFISRFEDDKFVSGLFEELWEEHTSSERVALQLYLEEIVSLICEGIGSSSWASKKRSAQAISKLSEVLGESLSSHYHVLLQSLMKEIPGRLWEGKDALLHAIAALSVSCHKAISSDDPATMNEILSVVSSACTKKAKKYREAALSCLEQVVKAFGNQEFFNVVFPLLYEMFTSGTLTQSGKATLVVDAAKAEEDQVEKFSVPHNKVLDCMTACIHVAHINDIVGQQKNLMHVFIATMSSGLPWTVKISALSSTKELCSRLQKVLDDSQESPANANIISLVQELFLSMPPQIVECISTVKVAQVHVSASESLLVIIKLYQKLRPIRFIDVQFKDELVHLYEVEKNGEAKSLLKKCIDTLENLKQESVQDDSMCMS >ONI14236 pep chromosome:Prunus_persica_NCBIv2:G4:21790426:21813998:1 gene:PRUPE_4G270300 transcript:ONI14236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSSSSSSTKSDEEKVEMLDRLLTRLALCDDSKLQPLLSKLLPFTVSSLSSNSSAVRNKVLEILSHVNKRVKHQPEIALPLSELWNIYSEANAASMVRNFCILYIEMAMDRADTKEKENLAATLLSGVSKLPLQHHEIILRLATKVMGECHSSGVNDEVAAKYKTICYSQDRKLFLEFCLHTILYQQSSQRECPPGLSIAQTHSVTGKQPLKSDILLTRKLGILNVIEAMELAPELVYPLYVAASVDCQEPVVKRGEELLKKKAAGANLDDSDLINTLFLLFNGTAGAQNVAPESRVTPANPALKAKLVSIFCRSITAANSFPSTLQCIFGCIYGSDTTSRLKQLGMEFTVWVFKHSKIDQLKLMGPVILSGILKSLDTVSSSESDVTVRDSKTFAYQAIGLLSQRMPQLFRDKIDMAVRLFDALKVETQHFRLSIQEATNSLATAYKGAPSTVLKDLETLLLKNSQEEQSEVRFCVMRWATSLFDLQHCPSRFICMLGAADTKLDIREIALEGLLLVKDDGQSMSQKQDLVYPKLGVMLDFILSQQPNLLESAEMREQKLHFPSKTYLVMIEFLLKCFESELEQNISIKGLSDFQSSVEALCLLLEHAMAFEGSVELHARASKALIAIGSCMPKLIASRYAQKVSWLKQLLSHVDLDTREAAARLLGFASSALAMAESSALISELIASVSGRHKLRFEAQHGALCAVGYVTADCMSRTPAIPDTLFQSTLKCLVDVANSETAALASVAIQALGHIGLIVPLPSLIIDSNSVDILTVLHEKLRKLLSGDDTKAIQKIVISIGHMCVKETSSSRLNIALDLSFSLCRSKVEDVLFAVGEALSFLWGGVPVTADLILKANYSLSMASNFLMGDVNSSLSKNSHIETNEAEEDRYAMVRDAITKKLFDDLLYSTRKEERCAGTVWLLSITMYCGHNPAVQKMLPDIQEAFSHLLGEQNELTQELASQGMSIVYELGDASMKENLVHALVNSLTGSGKRKRAIKLVEDSEVFQEGVIGEGLSGGKLSTYKELCNVANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALKPHLRSLIPRLVRYQYDPDKNVQDAMAHIWKSLVADSKKTIDENLDLIVDDLLIQCGSRLWRSRESSCLALADIIQGRKFDQVAKHLRKLWSAAFRAMDDIKETVRNSGDKLCRALTSLTVRLSDVSLTGVSEARQTMDIVLPFLLTEGILSKVDSIRKASIGIVMKLAKGAGIAIRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRISIAKGSPMWETLDLCIKVVDSEALDQLVPRLAQLVRSGVGLNTRVGIASFITLLVQKVGVEIKPYTSRLLRLLFPVVKDEKSAASKRAFASACAIVLKHAAPTQAEMLIDDSAALHNGDKNAQVSCAILLKSYSSMASDVVSGYLAAIIPVIFISRFEDDKFVSGLFEELWEEHTSSERVALQLYLEEIVSLICEGIGSSSWASKKRSAQAISKLSEVLGESLSSHYHVLLQSLMKEIPGRLWEGKDALLHAIAALSVSCHKAISSDDPATMNEILSVVSSACTKKAKKYREAALSCLEQVVKAFGNQEFFNVVFPLLYEMFTSGTLTQSGKATLVVDAAKAEEDQVEKFSVPHNKVLDCMTACIHVAHINDIVGQQKNLMHVFIATMSSGLPWTVKISALSSTKELCSRLQKVLDDSQESPANANIISLVQELFLSMPPQIVECISTVKVAQVHVSASESLLVIIKLYQKLRPIRFIDVQFKDELVHLYEVEKNGEAKSLLKKCIDTLENLKQESVQDDSMCMS >ONI14235 pep chromosome:Prunus_persica_NCBIv2:G4:21790426:21813998:1 gene:PRUPE_4G270300 transcript:ONI14235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSSSSSSTKSDEEKVEMLDRLLTRLALCDDSKLQPLLSKLLPFTVSSLSSNSSAVRNKVLEILSHVNKRVKHQPEIALPLSELWNIYSEANAASMVRNFCILYIEMAMDRADTKEKENLAATLLSGVSKLPLQHHEIILRLATKVMGECHSSGVNDEVAAKYKTICYSQDRKLFLEFCLHTILYQQSSQSRECPPGLSIAQTHSVTGKQPLKSDILLTRKLGILNVIEAMELAPELVYPLYVAASVDCQEPVVKRGEELLKKKAAGANLDDSDLINTLFLLFNGTAGAQNVAPESRVTPANPALKAKLVSIFCRSITAANSFPSTLQCIFGCIYGSDTTSRLKQLGMEFTVWVFKHSKIDQLKLMGPVILSGILKSLDTVSSSESDVTVRDSKTFAYQAIGLLSQRMPQLFRDKIDMAVRLFDALKVETQHFRLSIQEATNSLATAYKGAPSTVLKDLETLLLKNSQEEQSEVRFCVMRWATSLFDLQHCPSRFICMLGAADTKLDIREIALEGLLLVKDDGQSMSQKQDLVYPKLGVMLDFILSQQPNLLESAEMREQKLHFPSKTYLVMIEFLLKCFESELEQNISIKGLSDFQSSVEALCLLLEHAMAFEGSVELHARASKALIAIGSCMPKLIASRYAQKVSWLKQLLSHVDLDTREAAARLLGFASSALAMAESSALISELIASVSGRHKLRFEAQHGALCAVGYVTADCMSRTPAIPDTLFQSTLKCLVDVANSETAALASVAIQALGHIGLIVPLPSLIIDSNSVDILTVLHEKLRKLLSGDDTKAIQKIVISIGHMCVKETSSSRLNIALDLSFSLCRSKVEDVLFAVGEALSFLWGGVPVTADLILKANYSLSMASNFLMGDVNSSLSKNSHIETNEAEEDRYAMVRDAITKKLFDDLLYSTRKEERCAGTVWLLSITMYCGHNPAVQKMLPDIQEAFSHLLGEQNELTQELASQGMSIVYELGDASMKENLVHALVNSLTGSGKRKRAIKLVEDSEVFQEGVIGEGLSGGKLSTYKELCNVANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALKPHLRSLIPRLVRYQYDPDKNVQDAMAHIWKSLVADSKKTIDENLDLIVDDLLIQCGSRLWRSRESSCLALADIIQGRKFDQVAKHLRKLWSAAFRAMDDIKETVRNSGDKLCRALTSLTVRLSDVSLTGVSEARQTMDIVLPFLLTEGILSKVDSIRKASIGIVMKLAKGAGIAIRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRISIAKGSPMWETLDLCIKVVDSEALDQLVPRLAQLVRSGVGLNTRVGIASFITLLVQKVGVEIKPYTSRLLRLLFPVVKDEKSAASKRAFASACAIVLKHAAPTQAEMLIDDSAALHNGDKNAQVSCAILLKSYSSMASDVVSGYLAAIIPVIFISRFEDDKFVSGLFEELWEEHTSSERVALQLYLEEIVSLICEGIGSSSWASKKRSAQAISKLSEVLGESLSSHYHVLLQSLMKEIPGRLWEGKDALLHAIAALSVSCHKAISSDDPATMNEILSVVSSACTKKAKKYREAALSCLEQVVKAFGNQEFFNVVFPLLYEMFTSGTLTQSGKATLVVDAAKAEEDQVEKFSVPHNKVLDCMTACIHVAHINDIVGQQKNLMHVFIATMSSGLPWTVKISALSSTKELCSRLQKVLDDSQESPANANIISLVQELFLSMPPQIVECISTVKVHVSASESLLVIIKLYQKLRPIRFIDVQFKDELVHLYEVEKNGEAKSLLKKCIDTLENLKQESVQDDSMCMS >ONI10827 pep chromosome:Prunus_persica_NCBIv2:G4:3440169:3441505:1 gene:PRUPE_4G070300 transcript:ONI10827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAEPKSTAPAENNVYSVWALPPDDVAPRLKKLMQGLRDEFSGPEFEPHITVVGAISLTPEDALNKFKSASRGLKAYDAKVDRVATGTFFYQCVFLLINPTPQVVETSAHCCAHFGFNSATPYFPHLSLLYADLTEEEKKKAQEKANILDESIGSLSFPVTRLALYKTDTGDRTLKSWEKIAECTLEAN >ONI09737 pep chromosome:Prunus_persica_NCBIv2:G4:339663:340476:-1 gene:PRUPE_4G006200 transcript:ONI09737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLNPSNNIEGDHHPSGSGSDLGGGHVMKKVVSNYSNKSAEIMHPSGSGSGGFQMPLHYPRYTKADYEKMEEWKVDLLLEQYGLAFQGTLEQKRAYAIGAFLWPDQY >ONI09702 pep chromosome:Prunus_persica_NCBIv2:G4:274300:277278:1 gene:PRUPE_4G004300 transcript:ONI09702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYFCGWHKLVNDFIYKLVLLNLLICSCLSLSRSVSMASAADIDDQVLVNNNNPLNQLMSSQEDMVQIAGYGEEKLSTVLITGSVHCEEACNNLHAQTHHPDYQLHLHAWPLSGALVSVNCHVSGRKRKSSLAQGLADEFGDFIIDLPSNLHAIPNLHKTCSVRVVRIPKNTQCRPAYVRRHKGLKLSSVGNGIRTYNAGNIRFQHLTSKPSEACINMLSS >ONI11770 pep chromosome:Prunus_persica_NCBIv2:G4:6792362:6793194:-1 gene:PRUPE_4G124400 transcript:ONI11770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVLYGKKFMPVKHLLPHAWPEFNSHFPLPLDQRRKKKNTNPIILKSLTVKSHHFEIPSLQNSIISKSLIQTQP >ONI12553 pep chromosome:Prunus_persica_NCBIv2:G4:10116204:10120142:1 gene:PRUPE_4G171200 transcript:ONI12553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDQTQKCSNTSSGASLGFASITRSSSKKTKQKKVPQRGLGVAQLEKIRLEDQQKKAAAAVILSTPSSLSSPTKSTSSSCPSVPIRHFYHSNHNQPPSSIPFPSLPSLDLPSPNSIFRPPLIPTQNIDVIRKPISTVPLGNNNNNNGGHGFEIQGHGNNNVPNLWNPCDFNLDQKESSNSGIDPGLAFRSTLNLPFESNNPIWPLTNVPQRTQPYHQQPPPPPPSMVNVSSATSSSSVLNFQIEPPSNQSYYSNYTPMWPDEEKMVGMKRPYPFSLDNPPGPSINFKFPTFVAPMRADEASSCGNRYTFNLEPSGNPIFREAPSCSTSISESNSRNSIKENGSVNGDFLTLAPPTTSSRTCPSPKLNLPSTYLAFHNREVPEFESFSYQGNAEDTNFQPGPSFSHPQQAFYSFFPPAKAQIGRAATTINNNCNGELGESVDLNLKL >ONI14490 pep chromosome:Prunus_persica_NCBIv2:G4:24191120:24192353:1 gene:PRUPE_4G282800 transcript:ONI14490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDFNMENIVWRQMAKLELGKEEDGNTRMFHKLVNERKKRKMIERLGLGDGTIIEDDGRIEEKLIVFSKILFKRKWFKAEFGGFGLEAYNVVNKKSSFRVLPLYQHFWGS >ONI13665 pep chromosome:Prunus_persica_NCBIv2:G4:15292611:15292958:-1 gene:PRUPE_4G236000 transcript:ONI13665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLTRDYVRIVNNLNNQQLQYQCKSKDDDFGLRSLPPNGEYEWGFRVNLWGSTLYFCNFWYLDNHAVFDVFRDTLDFLYECGGAHCIWKAKEDGIYLFHIQTKEDKKMHDWEKIKA >ONI10878 pep chromosome:Prunus_persica_NCBIv2:G4:3615383:3620124:-1 gene:PRUPE_4G073900 transcript:ONI10878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESRERGTATFSSSATSNMRPNKNNTTTSGTAKRDKSIAQYNADAGILAEYEQSTASGKSFNYSRSVLYPPESVPEEQISVYFSRIQRGALVQSFGCMLAIEEPTFRIIGYSENCFELLGLDSLFESKQLKGLIGIDSRTLFTPSSGASLAKAAASREISLLNPIWVYSRSTQKPFYAILHRIDVGIVIDLEPARSGDPALSLAGAVQSQKLAVRAISRLQSLPGGDIGVLCDTVVEDVQKLTGYDRVMVYKFHEDDHGEVVSEIRRADLESYLGLHYPATDIPQAARFLFKQNRVRMICDCNANPVKIIQSEELKQPLCLVNSTLRSPHGCHRQYMANMGSIASLVMAVIINGNDSTKLWGLVVCHHTSPRYVPFPLRYACEFLMQAFGLQLYMELQLAAQLAEKKVLRTQTLLCDMLLRDAPSGIVTQSPSIMDLVKCDGAALYYGGTCWLLGVTPTESQVKDIAEWLLSNHGDSTGLSTDSLAEAGYPGAPLLGDAVCGMATARASSKDFLFWFRSHTAEEVKWGGAKHHPEAKDDGGRMHPRSSFKAFLEVVKSRSLPWEVSEINAIHSLQLIMRDSFQDMEESVSKAINNAHQSDTEMQPQGIDELSSVACEMVKLIETASVPIFGVDSAGLINGWNTKMAELTGLQDSEAMGKSLANEIVCEDSREAVEDLLCRALQGEEDKNIELKLRNFGHSQHNSVVYVVANTCTSRNHAKNVVGVCFVGQDITCEKVVMDKFIRLQGDYKAIIQSLNPLIPPIFASDENACCSEWNAAMEKLTGWTRDDVIGKMLPGEIFGGFCRLKGQDTLTKFMIILYQGISGQDIEKFPLGFFDRKGNFVEVILTASKRTDGGGNIIGCFCFLQISLPDLQQPLEGHKQEGREGFSKLKELTYMRQEMKNPLNGIRFTHRLLQNTTISEYQKQFLDTSDACERQIMTIIEDMNMRSIEEGSSVKLNMGEFVLGNILDAIVSQSMISLREKNLQLFHEIPEEVKSLSLHGDQIRLQLVLSDFLLNVVNHAPSPDGWVEIKILPGLKLIQDGNNCIRLQFRMTHPGQGLPAALIRDMFEGGNRWTTQEGLGLNLSRKLLNRMNGQVQYVREHDKCYFLIDLELKTRKERQRVSVSQADMSTMS >ONI10876 pep chromosome:Prunus_persica_NCBIv2:G4:3615383:3620124:-1 gene:PRUPE_4G073900 transcript:ONI10876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESRERGTATFSSSATSNMRPNKNNTTTSGTAKRDKSIAQYNADAGILAEYEQSTASGKSFNYSRSVLYPPESVPEEQISVYFSRIQRGALVQSFGCMLAIEEPTFRIIGYSENCFELLGLDSLFESKQLKGLIGIDSRTLFTPSSGASLAKAAASREISLLNPIWVYSRSTQKPFYAILHRIDVGIVIDLEPARSGDPALSLAGAVQSQKLAVRAISRLQSLPGGDIGVLCDTVVEDVQKLTGYDRVMVYKFHEDDHGEVVSEIRRADLESYLGLHYPATDIPQAARFLFKQNRVRMICDCNANPVKIIQSEELKQPLCLVNSTLRSPHGCHRQYMANMGSIASLVMAVIINGNDSTKLWGLVVCHHTSPRYVPFPLRYACEFLMQAFGLQLYMELQLAAQLAEKKVLRTQTLLCDMLLRDAPSGIVTQSPSIMDLVKCDGAALYYGGTCWLLGVTPTESQVKDIAEWLLSNHGDSTGLSTDSLAEAGYPGAPLLGDAVCGMATARASSKDFLFWFRSHTAEEVKWGGAKHHPEAKDDGGRMHPRSSFKAFLEVVKSRSLPWEVSEINAIHSLQLIMRDSFQDMEESVSKAINNAHQSDTEMQPQGIDELSSVACEMVKLIETASVPIFGVDSAGLINGWNTKMAELTGLQDSEAMGKSLANEIVCEDSREAVEDLLCRALQGEEDKNIELKLRNFGHSQHNSVVYVVANTCTSRNHAKNVVGVCFVGQDITCEKVVMDKFIRLQGDYKAIIQSLNPLIPPIFASDENACCSEWNAAMEKLTGWTRDDVIGKMLPGEIFGGFCRLKGQDTLTKFMIILYQGISGQDIEKFPLGFFDRKGNFVEVILTASKRTDGGGNIIGCFCFLQISLPDLQQPLEGHKQEGREGFSKLKELTYMRQEMKNPLNGIRFTHRLLQNTTISEYQKQFLDTSDACERQIMTIIEDMNMRSIEEGVKLNMGEFVLGNILDAIVSQSMISLREKNLQLFHEIPEEVKSLSLHGDQIRLQLVLSDFLLNVVNHAPSPDGWVEIKILPGLKLIQDGNNCIRLQFRMTHPGQGLPAALIRDMFEGGNRWTTQEGLGLNLSRKLLNRMNGQVQYVREHDKCYFLIDLELKTRKERQRVSVSQADMSTMS >ONI10877 pep chromosome:Prunus_persica_NCBIv2:G4:3615383:3620124:-1 gene:PRUPE_4G073900 transcript:ONI10877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESRERGTATFSSSATSNMRPNKNNTTTSGTAKRDKSIAQYNADAGILAEYEQSTASGKSFNYSRSVLYPPESVPEEQISVYFSRIQRGALVQSFGCMLAIEEPTFRIIGYSENCFELLGLDSLFESKQLKGLIGIDSRTLFTPSSGASLAKAAASREISLLNPIWVYSRSTQKPFYAILHRIDVGIVIDLEPARSGDPALSLAGAVQSQKLAVRAISRLQSLPGGDIGVLCDTVVEDVQKLTGYDRVMVYKFHEDDHGEVVSEIRRADLESYLGLHYPATDIPQAARFLFKQNRVRMICDCNANPVKIIQSEELKQPLCLVNSTLRSPHGCHRQYMANMGSIASLVMAVIINGNDSTKLWGLVVCHHTSPRYVPFPLRYACEFLMQAFGLQLYMELQLAAQLAEKKVLRTQTLLCDMLLRDAPSGIVTQSPSIMDLVKCDGAALYYGGTCWLLGVTPTESQVKDIAEWLLSNHGDSTGLSTDSLAEAGYPGAPLLGDAVCGMATARASSKDFLFWFRSHTAEEVKWGGAKHHPEAKDDGGRMHPRSSFKAFLEVVKSRSLPWEVSEINAIHSLQLIMRDSFQDMEESVSKAINNAHQSDTEMQPQGIDELSSVACEMVKLIETASVPIFGVDSAGLINGWNTKMAELTGLQDSEAMGKSLANEIVCEDSREAVEDLLCRALQGEEDKNIELKLRNFGHSQHNSVVYVVANTCTSRNHAKNVVGVCFVGQDITCEKVVMDKFIRLQGDYKAIIQSLNPLIPPIFASDENACCSEWNAAMEKLTGWTRDDVIGKMLPGEIFGGFCRLKGQDTLTKFMIILYQGISGQDIEKFPLGFFDRKGNFVEVILTASKRTDGGGNIIGCFCFLQISLPDLQQPLEGHKQEGREGFSKLKELTYMRQEMKNPLNGIRFTHRLLQNTTISEYQKQFLDTSDACERQIMTIIEDMNMRSIEEGSVKLNMGEFVLGNILDAIVSQSMISLREKNLQLFHEIPEEVKSLSLHGDQIRLQLVLSDFLLNVVNHAPSPDGWVEIKILPGLKLIQDGNNCIRLQFRMTHPGQGLPAALIRDMFEGGNRWTTQEGLGLNLSRKLLNRMNGQVQYVREHDKCYFLIDLELKTRKERQRVSVSQADMSTMS >ONI10875 pep chromosome:Prunus_persica_NCBIv2:G4:3614990:3620443:-1 gene:PRUPE_4G073900 transcript:ONI10875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESRERGTATFSSSATSNMRPNKNNTTTSGTAKRDKSIAQYNADAGILAEYEQSTASGKSFNYSRSVLYPPESVPEEQISVYFSRIQRGALVQSFGCMLAIEEPTFRIIGYSENCFELLGLDSLFESKQLKGLIGIDSRTLFTPSSGASLAKAAASREISLLNPIWVYSRSTQKPFYAILHRIDVGIVIDLEPARSGDPALSLAGAVQSQKLAVRAISRLQSLPGGDIGVLCDTVVEDVQKLTGYDRVMVYKFHEDDHGEVVSEIRRADLESYLGLHYPATDIPQAARFLFKQNRVRMICDCNANPVKIIQSEELKQPLCLVNSTLRSPHGCHRQYMANMGSIASLVMAVIINGNDSTKLWGLVVCHHTSPRYVPFPLRYACEFLMQAFGLQLYMELQLAAQLAEKKVLRTQTLLCDMLLRDAPSGIVTQSPSIMDLVKCDGAALYYGGTCWLLGVTPTESQVKDIAEWLLSNHGDSTGLSTDSLAEAGYPGAPLLGDAVCGMATARASSKDFLFWFRSHTAEEVKWGGAKHHPEAKDDGGRMHPRSSFKAFLEVVKSRSLPWEVSEINAIHSLQLIMRDSFQDMEESVSKAINNAHQSDTEMQPQGIDELSSVACEMVKLIETASVPIFGVDSAGLINGWNTKMAELTGLQDSEAMGKSLANEIVCEDSREAVEDLLCRALQAMEKLTGWTRDDVIGKMLPGEIFGGFCRLKGQDTLTKFMIILYQGISGQDIEKFPLGFFDRKGNFVEVILTASKRTDGGGNIIGCFCFLQISLPDLQQPLEGHKQEGREGFSKLKELTYMRQEMKNPLNGIRFTHRLLQNTTISEYQKQFLDTSDACERQIMTIIEDMNMRSIEEGSVKLNMGEFVLGNILDAIVSQSMISLREKNLQLFHEIPEEVKSLSLHGDQIRLQLVLSDFLLNVVNHAPSPDGWVEIKILPGLKLIQDGNNCIRLQFRMTHPGQGLPAALIRDMFEGGNRWTTQEGLGLNLSRKLLNRMNGQVQYVREHDKCYFLIDLELKTRKERQRVSVSQADMSTMS >ONI13424 pep chromosome:Prunus_persica_NCBIv2:G4:13887043:13891184:-1 gene:PRUPE_4G221000 transcript:ONI13424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYKDEPTAIRVYTVCDESRYLIVRNVPALGCSAELLKLFASYGEVEECKPMDEEDCEPFTDVYWIKFRLVSNARFAKRKLDEYVFLGNRLKVSYAPEFESLAETKDKLEGRTREVLGRLNPGRSKGSTANKSPALTDSSFGAIPSHPNCIPQLVNSSQRDSGESQLTSHVNNPPLSRISSDKEYFASQSMNQTAQMVREKLNKIQSSSEYLQDGHASKKPRVDNRRRI >ONI13423 pep chromosome:Prunus_persica_NCBIv2:G4:13887418:13888978:-1 gene:PRUPE_4G221000 transcript:ONI13423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYKDEPTAIRVYTVCDESRYLIVRNVPALGCSAELLKLFASYGEVEECKPMDEEDCEPFTDVYWIKFRLVSNARFAKRKLDEYVFLGNRLKVSYAPEFESLAETKDKLEGRTREVLGRLNPGRSKGSTANKSPALTDSSFGAIPSHPNCIPQLVNSSQRDSGESQLTSHVNNPPLSRISSDKEYFASQSMNQTAQMVREKLNKIQSSSEYLQDGHASKKPRVDNRRRI >ONI13425 pep chromosome:Prunus_persica_NCBIv2:G4:13887043:13890441:-1 gene:PRUPE_4G221000 transcript:ONI13425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYKDEPTAIRVYTVCDESRYLIVRNVPALGCSAELLKLFASYGEVEECKPMDEEDCEPFTDVYWIKFRLVSNARFAKRKLDEYVFLGNRLKVSYAPEFESLAETKDKLEGRTREVLGRLNPGRSKGSTANKSPALTDSSFGAIPSHPNCIPQLVNSSQRDSGESQLTSHVNNPPLSRISSDKEYFASQSMNQTAQMVREKLNKIQSSSEYLQDGHASKKPRVDNRRRI >ONI09897 pep chromosome:Prunus_persica_NCBIv2:G4:799743:801195:-1 gene:PRUPE_4G016800 transcript:ONI09897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQSLIILSLIFPLHSVKAKTSSCNYQGNTNQMVDLQKQLHVWETYSNAEEMKPQMQVASIQNPAVITTHLRTWRGQNPSRFQHYLRNTHGVLNIFGWGTLLPIGAIAARYFRKFPFKCSEWYSVHITCQSGGYIVGTVGWCSGMFLGNSSKQQSNKTHRVLGIIIFTLTTIQMLAMFWRRTGENGFPKYVKICHHLLGYALIALTIANIFEGINNRAHAAKWKWTYVGILCVLGVTALGLEIFKWIKRAMMREAVKLNSNMYTSP >ONI12110 pep chromosome:Prunus_persica_NCBIv2:G4:8343133:8344842:1 gene:PRUPE_4G145000 transcript:ONI12110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLILVIITNSILFPLKLSCYLDAEPHASCEFFNFLSLFLYRSPWPAIVLDFFSFPFPSVPSGLQPSSSSFFYFFIFLVFYFYLHLTLRSTWPATLLFFSFFFWSKTSTLPSLFNLNS >ONI14527 pep chromosome:Prunus_persica_NCBIv2:G4:24878039:24880873:-1 gene:PRUPE_4G285500 transcript:ONI14527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALISVLLERLASTTYEYIEGGVKLVLNVKEDVEEFTGTLQVIQAVLEDAEQRQVTDQAVKIWLDKLKDISYQMVDVLDEWNTNILKQQVEKQEREGDPNAHVTKKVRFFSFPRFSSFPRFFCVGKVSDIAPKIKDLNDKLTEIYEERKKYQFLSKELGIQQPQQPQRPQTASFVDISGIFGRENEKEVLIKILWNHSSAEGKGLLIIPIVGMGGIGKTTLTQLAYNDDRVKTRFELRKWVCVSDPFDEIKIAKAIIGEKPPKSNELDYVLERMSTSIKNKKFLLVLDDVWTDDPKKWEQLRVPLIQNGAKGSIILVTTRKHEVADMMRTTRNMINLGELNDECCLSIFNHMAFWDRDVHEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTKMGEWKEVLHSKIWDLEKVEQEVFQPLFLSYNDLAPTIKCCLLYCATFPKDYEFERDDLIQLWMAQDYVISKGNKEKGTTGDAVFDNLVARSFFQDFKKDCDTGTIIGCKMHDIVHDFVQFLTKNECLIIDHGEETTSESKVFGDKVRHLTLRYFPEGPLPLFISSYNCKNLRTLATFDSRITTIKPNLILQLKCLRTLNLSFNPIEELPKEIGELIHLRHIDLSFNCILKILPDTICGLYNLSTLRLVGCSNLTKLPENMGNLINLKHLYVECCGFLESFPKVIGRLTSLQTLDVCPCGGDKDEAFQIGDLRNLNLEGSLQIRLQGDATDKSEVEKAQLWDKKLFTLTVDLEGQTNSSSSSVEILNALRPHPDLESLGILWHIGTTWPNWIQSLHNLKFLTVGWGTSCELWPLGKLEHIERLALYRMEAVKKVGVEFLGLEDQTSFRIRSPQIFPKLKQLCFYNMSNWEEWEGVEEWTKEDSKITIMPDLSELRIGGCKLLKALPDFIFKTQLRTLDITKCRRLAEHYEEGSGERAKISAKIPNIRISSG >ONI14526 pep chromosome:Prunus_persica_NCBIv2:G4:24876948:24880989:-1 gene:PRUPE_4G285500 transcript:ONI14526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALISVLLERLASTTYEYIEGGVKLVLNVKEDVEEFTGTLQVIQAVLEDAEQRQVTDQAVKIWLDKLKDISYQMVDVLDEWNTNILKQQVEKQEREGDPNAHVTKKVRFFSFPRFSSFPRFFCVGKVSDIAPKIKDLNDKLTEIYEERKKYQFLSKELGIQQPQQPQRPQTASFVDISGIFGRENEKEVLIKILWNHSSAEGKGLLIIPIVGMGGIGKTTLTQLAYNDDRVKTRFELRKWVCVSDPFDEIKIAKAIIGEKPPKSNELDYVLERMSTSIKNKKFLLVLDDVWTDDPKKWEQLRVPLIQNGAKGSIILVTTRKHEVADMMRTTRNMINLGELNDECCLSIFNHMAFWDRDVHEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTKMGEWKEVLHSKIWDLEKVEQEVFQPLFLSYNDLAPTIKCCLLYCATFPKDYEFERDDLIQLWMAQDYVISKGNKEKGTTGDAVFDNLVARSFFQDFKKDCDTGTIIGCKMHDIVHDFVQFLTKNECLIIDHGEETTSESKVFGDKVRHLTLRYFPEGPLPLFISSYNCKNLRTLATFDSRITTIKPNLILQLKCLRTLNLSFNPIEELPKEIGELIHLRHIDLSFNCILKILPDTICGLYNLSTLRLVGCSNLTKLPENMGNLINLKHLYVECCGFLESFPKVIGRLTSLQTLDVCPCGGDKDEAFQIGDLRNLNLEGSLQIRLQGDATDKSEVEKAQLWDKKLFTLTVDLEGQTNSSSSSVEILNALRPHPDLESLGILWHIGTTWPNWIQSLHNLKFLTVGWGTSCELWPLGKLEHIERLALYRMEAVKKVGVEFLGLEDQTSFRIRSPQIFPKLKQLCFYNMSNWEEWEGVEEWTKEDSKITIMPDLSELRIGGCKLLKALPDFIFKTQLRTLDITKCRRLAEHYEEGSGERAKISAKIPNIRISSGTYDIPGAFMKHLSRWHV >ONI12406 pep chromosome:Prunus_persica_NCBIv2:G4:9376600:9378971:-1 gene:PRUPE_4G162700 transcript:ONI12406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGFLLFLFFSSIMSTHIHACNQTDRSSLMSFILTLSSLSFNWTSDNCCQWKGIACDQDSRVTHLLLPSKGLKGGMFPSSLANLTHLTHLNLSHNSLFGSLDTQFFVTLNRLEILDLSYNLLSGELPFSLPSSNNIQKLDLSSNHFHGAIPSSFFHQASKLTSFNVSNNTFTGYIPSSICLKHSSPFLRLLDFSSNEFSGNLAPGLGDCSELLVFRAGRNNLSGLLPEDIYNATKLEEISLPLNSLYGAISDKIVNLTNLAILNLLFNHLGSELPLNFGKLSKLKYVNLDFNNLEGYLPPSLMNCTNLEELHVQSNNLEGDISMLDFSRLSQLTKLDIRLNNFTGMFPISLYSCRSLKAIRLSNNHLEGQIQVDILSLKSLSFLSLGFNRFTNITGAMKILMSCKSLHTLLLTGSFKGEGMPGDDDMADIDGFQNLRALSFAECQLTGQIPIWLSKLNKLEILLLGSNQITGPIPSWLGALPRLYHVSLPKNRISGEFPKQLCRLPRLVSEASQDDTSYEFELPFFLGPIITNPSYYLSSKLSSYPAMIDLSENNIGGEIPNEIGQLQHLRMLRLGYNNFFGVIPDQISNLKNLEVLSLSMNHLSGIIPSSLESLNFLKEFNVSYNNLKGPIPTSTQLQSFDASAFEGNPNLCGAPLPNECGRPNKVIEEDNNNNKDEGNGHQLPWFYISSVVLGFIVGFWGVFGYLIFNKRWRYA >ONI09874 pep chromosome:Prunus_persica_NCBIv2:G4:721375:723892:1 gene:PRUPE_4G015400 transcript:ONI09874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPGKRDADSYTIRGTNKVVRAGDCVLMRPSDTGKPPYVARIEKIEADNRGNTKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCTVHSFKSYTKLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIVEAKKLDSFLCSECSSEEDVKKPQNAFSASPQAGAKGELKRRKK >ONI12093 pep chromosome:Prunus_persica_NCBIv2:G4:8245099:8249165:-1 gene:PRUPE_4G143800 transcript:ONI12093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNSTKVAVVGSGISGAVCASNLARNGVSVTLFESARGPGGRMSQRREVAEDGKELLFDHGAPFFNANNTEVLGLVREWEAKGLVACWREKFGCFDRVSNKFVDLEQEGLISKRYVGIPGMNSVCRALCHEPGVESKFGVSVGRLEWLEDENLWSLIGLDGQNLGQFKGVVATDKNVVSPRFTSVTGRPPPLDLNLVTELAVKLNDIPVRPCFALMIAFAEPLSSIPFKGFSIKNSEVLSWAHCDSSKPGRSTSSERWVLHSTMEYAQTIIAQTGLQKPSNATLTKVAEELFQELQSMGLNISQPLFKKAHRWYAIPLDFVDRGAAFPATSIAREEKCLWDKNKRLAICGDFCVSPDVEGAIVSGIAAASKLTDVLSCL >ONI12092 pep chromosome:Prunus_persica_NCBIv2:G4:8244955:8249331:-1 gene:PRUPE_4G143800 transcript:ONI12092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNSTKVAVVGSGISGAVCASNLARNGVSVTLFESARGPGGRMSQRREVAEDGKELLFDHGAPFFNANNTEVLGLVREWEAKGLVACWREKFGCFDRVSNKFVDLEQEGLISKRYVGIPGMNSVCRALCHEPGVESKFGVSVGRLEWLEDENLWSLIGLDGQNLGQFKGVVATDKNVVSPRFTSVTGRPPPLDLNLVTELAVKLNDIPVRPCFALMIAFAEPLSSIPFKGFSIKNSEVLSWAHCDSSKPGRSTSSERWVLHSTMEYAQTIIAQTGLQKPSNATLTKVAEELFQELQSMGLNISQPLFKKAHRWGAAFPATSIAREEKCLWDKNKRLAICGDFCVSPDVEGAIVSGIAAASKLTDVLSCL >ONI13767 pep chromosome:Prunus_persica_NCBIv2:G4:16197893:16198534:-1 gene:PRUPE_4G244000 transcript:ONI13767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDIDYAITQDEPTRPVANSPTEVKKVHEAWRMVNKKCLLLMKKTISKAVFGGVEETKSAKQFMESIERKFKESNKAETKNLMSRLANMKYEGGSVREHLMGLMDIATKLNRLKVPIDPTYLVHSALDSLPYDQMKSTYNTLIEDWTMDDLITIVILENRTQAYSGVVNMVTTKKYEKKDVAKWEVKKLLDQLRLVTSLHDMLTRTEQIFLHD >ONI11067 pep chromosome:Prunus_persica_NCBIv2:G4:4178689:4180328:1 gene:PRUPE_4G085200 transcript:ONI11067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTAAAALQSSLCFSSHNPPPSSSTASNSQALNTSTNTQLAAKPKSLLQKHPLYTPTHTKLSLQFKEKILCLEIMGVDAGKALSQNPSLHTASLHSIHSIISFLQSKGIHQKDLPKIFGMCPNILTSSIKSDLNPVFIFLSEDLKVPEHSFRKVINKCPRLLACSVIDQLKPALFYLQRLGFKDLDALAYHDSVLLVSSVEKTLIPKLEFLQSLGFPRDEAVGMVLRCPGLLTFSIENNFTPKFEYFSVDMGKKLEELKQFPQYFAFSLEKRIKPRHKEVVQRGVEVPLPLMLKSTDDEFRELLREFRGG >ONI10739 pep chromosome:Prunus_persica_NCBIv2:G4:3128939:3130211:1 gene:PRUPE_4G065000 transcript:ONI10739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALRWESKEEEEPLASLREDPLSRDEEMTHHFQLSLRDFYFYKPHEYLKKRKAIGDMHPNRKCNHIQC >ONI13138 pep chromosome:Prunus_persica_NCBIv2:G4:12780451:12783663:1 gene:PRUPE_4G205100 transcript:ONI13138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMASTLISSPLTTKLQKPSLLDSSFHGTSVVRLQPAKAATNSNNHGLSVSMSANGSTPSYDLSAFKFDPIKESIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKNPNVQVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLNELGIAYDEKDHYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPMGATGVKRLRSIGMIESVPGMKALDMNTAEDAIVKLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMISGQKAAHLALKSLGLPNALDGSYVGSIQPELILAAADSAEIAEA >ONI13032 pep chromosome:Prunus_persica_NCBIv2:G4:12224544:12226627:1 gene:PRUPE_4G198900 transcript:ONI13032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPEHPGRVRGVGAGISPKQYFNLPKPQRVSFDDRLKDSLRVLLQEETKKMEAKAREEALRMEARTKQLVEAEREHFLSQLSQLILNFDPSMLKPRISQSPKNPMSDKASCSGGDPSILRMILPKMGNIGKKRYLHDVLKQANMCSMVGFIDPATVSANSGTIADRSRLIAARLQRTDGEQIFLMPYNPGCHWILRIVRAKREIVYFLDPLPGNRVVDEEAKNIVNSAITIYNSHIARSGRKVVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFEKKVRRNPIFSL >ONI10051 pep chromosome:Prunus_persica_NCBIv2:G4:1186727:1187311:-1 gene:PRUPE_4G024900 transcript:ONI10051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRKGLKIFAAVTGILLIVILVVLVVLVVLFVTILKPKEPSIFTKPVTLESFELVVTPVVRLNVSIGILITVKNPNYGGFKYENSTAHISYRGNVVAEAPIEKDTIPARATHNITSSVSILADKLVTDTHFLGDVILVGVLNFTSETTLHGKVSLLKVFEMKATSYSECNISITIKTQSADSVCKSKVELKK >ONI10565 pep chromosome:Prunus_persica_NCBIv2:G4:2610570:2612039:1 gene:PRUPE_4G053900 transcript:ONI10565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGVIKGSRSKGRAQMTKGVAFAEGPSTFTRVLPLRSLPLSAFHRTFVTSIICTRPDLATVLTLSLFLCLPPHRTRVAEEGLFPSF >ONI12071 pep chromosome:Prunus_persica_NCBIv2:G4:8185880:8186543:-1 gene:PRUPE_4G142700 transcript:ONI12071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEYAVDGIFSMKSDVFSFGVILLEMLSRKKNRGFSHPDHHLNLLGHAWILWIEDKASELTDKTLHDSCTISEVLRCLHVALLCVQRVPEDRPSMSSVVLMLSSDVTLPPPNQPGFYTERSVSGSPLRTHPCSANNSISIIEAR >ONI12424 pep chromosome:Prunus_persica_NCBIv2:G4:9532002:9534410:1 gene:PRUPE_4G164100 transcript:ONI12424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEELAGPPAPKLLRLLYFVGAGFICTVGINKWRELQRKSILQQRQQQQQQLPENAANVLE >ONI12454 pep chromosome:Prunus_persica_NCBIv2:G4:9619422:9624744:1 gene:PRUPE_4G165800 transcript:ONI12454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDHHNTNKRLVMEKQLRSLMLGVGELLLLVCFALLTNNKAVALLNRSSFPPGFIFGSGSGSYQYEGAANEGGRGPSIWDSYTHKHPERIMDGSNGDVALDEYHKYKEDVEIMKDIGLDAYRFSISWPRLLPSIKPFVTLFHWDLPQALEDEYGGLLSDQIVNHFRDYAELCYKEFGDRVKYWTTLNEPYTYSINGYASGSYAPGRCSNWQKLNCTGGNSAIEPYLVTHHLLLAHAAAANLYKNKFQAYQKGVIGITLVSPWFVPLSEANEDKKAALRALEFTFGWFMEPLTCGDYPNNMRSIVGTRLPKFTKAQSKTLIGSFDFLGLNYYTSYYASDASNNVYEHASYLTDVGVTLSSERNGIPIGAKGASSWLNVYPIGIQNLLLYTKEKYNDPIIYITENGIDEFNDPKLSLEEALYDTQRVDYHILHLYHVQTAIKNGVNVKGYFLWSLLDNFEWNEGFTVRFGTNYVDYNNGLKRLPKLSTRWFKSFLGSNEEAYYS >ONI12453 pep chromosome:Prunus_persica_NCBIv2:G4:9619423:9624744:1 gene:PRUPE_4G165800 transcript:ONI12453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDHHNTNKRLVMEKQLRSLMLGVGELLLLVCFALLTNNKAVALLNRSSFPPGFIFGSGSGSYQYEGAANEGGRGPSIWDSYTHKHPERIMDGSNGDVALDEYHKYKEDVEIMKDIGLDAYRFSISWPRLLPSGKLNDGVNEVGIRYYNNLINELLRNGIKPFVTLFHWDLPQALEDEYGGLLSDQIVNHFRDYAELCYKEFGDRVKYWTTLNEPYTYSINGYASGSYAPGRCSNWQKLNCTGGNSAIEPYLVTHHLLLAHAAAANLYKNKFQAYQKGVIGITLVSPWFVPLSEANEDKKAALRALEFTFGWFMEPLTCGDYPNNMRSIVGTRLPKFTKAQSKTLIGSFDFLGLNYYTSYYASDASNNVYEHASYLTDVGVTLSSERNGIPIGAKGASSWLNVYPIGIQNLLLYTKEKYNDPIIYITENGIDEFNDPKLSLEEALYDTQRVDYHILHLYHVQTAIKNGVNVKGYFLWSLLDNFEWNEGFTVRFGTNYVDYNNGLKRLPKLSTRWFKSFLGSNEEAYYS >ONI13556 pep chromosome:Prunus_persica_NCBIv2:G4:14732693:14736073:-1 gene:PRUPE_4G230000 transcript:ONI13556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEPVVEDQQRQRTLKALERRFEAAQAELDLQKKKTVKRPKTEEAGKKSHIPTSSVVDSSAKSSNASASSSTPSKKGAFTFSGYVNSQDIDESGPTYAKLSQSVHENLLTTNVEVSGRKESKVDKVLHELLQSGDSAQKYMQGSRSKRIDNWILLDNYVQGRGVLTGSRARALQIHSKRSKKCMSIKQLKKHGLFDLPQDFHCFDKFKPMHEMWKDYIVQLLKTTGKNQLAQCLLTADLHGAIISVAECKVTSYTGVSGIMIRETAETFGIITQDDKFRVVPKRFSVFIFQVDCWKITLHGDKLTSRNLSL >ONI13554 pep chromosome:Prunus_persica_NCBIv2:G4:14732693:14736073:-1 gene:PRUPE_4G230000 transcript:ONI13554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEPVVEDQQRQRTLKALERRFEAAQAELDLQKKKTVKRPKTEEAGKKSHIPTSSVVDSSAKSSNASASSSTPSKKGAFTFSGYVNSQDIDESGPTYAKLSQSVHENLLTTNVEVSGRKESKVDKVLHELLQSGDSAQKYMQGSRSKRIDNWILLDNYVQGRGVLTGSRARALQIHSKRSKKCMSIKQLKKHGLFDLPQDFHCFDKFKPMHEMWKDYIVQLLKTTGKNQLAQCLLTADLHGAIISVAECKVTSYTGVSGIMIRETAETFGIITQDDKFRVVPKRFSVFIFQVDCWKITLHGDKLTSRNLSL >ONI13555 pep chromosome:Prunus_persica_NCBIv2:G4:14732693:14736084:-1 gene:PRUPE_4G230000 transcript:ONI13555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEPVVEDQQRQRTLKALERRFEAAQAELDLQKKKTVKRPKTEEAGKKSHIPTSSVVDSSAKSSNASASSSTPSKKGAFTFSGYVNSQDIDESGPTYAKLSQSVHENLLTTNVEVSGRKESKVDKVLHELLQSGDSAQKYMQGSRSKRIDNWILLDNYVQGRGVLTGSRARALQIHSKRSKKCMSIKQLKKHGLFDLPQDFHCFDKFKPMHEMWKDYIVQLLKTTGKNQLAQCLLTADLHGAIISVAECKVTSYTGVSGIMIRETAETFGIITQDDKFRVVPKRFSVFIFQVDCWKITLHGDKLTSRNLSL >ONI10950 pep chromosome:Prunus_persica_NCBIv2:G4:3798748:3801427:-1 gene:PRUPE_4G078100 transcript:ONI10950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKETKKSQQSRSSSSPRAPTEASTGQMVLKVKQSEGTRRSARLSSSEGKRAISVQKSKTKQKKKSAKLDAKIPKKPPTAFFYFLEDFRKEFQEQNPGVKSMRDIGRACGEKWKTMAYEEKVQYYDIAMEKRAEFDKAMAEYTERKESGEDEETEDEEEEDWSP >ONI10951 pep chromosome:Prunus_persica_NCBIv2:G4:3798418:3801624:-1 gene:PRUPE_4G078100 transcript:ONI10951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKVKQSEGTRRSARLSSSEGKRAISVQKSKTKQKKKSAKLDAKIPKKPPTAFFYFLEDFRKEFQEQNPGVKSMRDIGRACGEKWKTMAYEEKVQYYDIAMEKRAEFDKAMAEYTERKESGEDEETEDEEEEDWSP >ONI14209 pep chromosome:Prunus_persica_NCBIv2:G4:21409420:21411235:-1 gene:PRUPE_4G269100 transcript:ONI14209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >ONI14208 pep chromosome:Prunus_persica_NCBIv2:G4:21406251:21411420:-1 gene:PRUPE_4G269100 transcript:ONI14208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKRSRSRSRSQSINSKQSSMDSKEHKTNGKRRRCRQRVRDCIIL >ONI14210 pep chromosome:Prunus_persica_NCBIv2:G4:21406385:21411420:-1 gene:PRUPE_4G269100 transcript:ONI14210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >ONI14211 pep chromosome:Prunus_persica_NCBIv2:G4:21406325:21411420:-1 gene:PRUPE_4G269100 transcript:ONI14211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >ONI13033 pep chromosome:Prunus_persica_NCBIv2:G4:12240240:12242495:1 gene:PRUPE_4G199000 transcript:ONI13033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAGSTAQSQNSKADQIVRRTANYHPSIWGDQFMNYDSVDIITYAHKQQEVEELKLAVRREVFTTSADDFSNQMKLIDAIQRLGVAYHFEKEIEEALEHIYAANHFHDDDDGDGDLYNVSLGFRLLRQHGHNVSCRIFNKFKDSKNGGFKESLIADVSGLLSFYEATHLKVHGEDILEEALVFTTKHLESATTHVSYQLAEQIAQALERPLRKSLVRLCARRFMSIYQDEASHNEALLKLAKSDFNLVQSLHKQELSEIIRWWKELDFGRKLPFARNRIVELYCWILGVYFEPQYLVGRKFLTKILALMSVMDDIYDAFGTFEELEIFTEAIHQRWHANCMDGLPDYMQTFFHALLNVFNEIEEEMVKEGRAYRAHYAKEAWKTITKAYFDEAKWFHEGCIPSMEEYMRVAATSAASFALSTTSLVGMGDIVTKESFEWLFNDPKILKASNIIVRLMDDIVSSKFEKERGHVACAIDCYMKQYGVSDEQEIIDVFNKQIVDSWKDINEEFLRPTSMPMPILERIVNLTRVVDLLYKKYDAYTHGGKVMNDCIASYLIDLAPV >ONI09747 pep chromosome:Prunus_persica_NCBIv2:G4:361465:363992:1 gene:PRUPE_4G006700 transcript:ONI09747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKFELRQRQDTAADSATCPGAPPLFQNHSIIQFQLQILSSSRSVPPSSSETLALAELSKSAQCLTMKPSSRYSSYDARSSTSSHFSDPSSSTELKLPTSSRAVVKSKASDPNIATMMKKFMEKRSTSKAKPIKATGLMIPSDLIAGDLKNTARNGTGSNLTALGRKLFGKGTGTTSSDKKKEVKALTEVKGNTRTLAMVLRSERELLNLNKEQEVEIAELKLMLEEKNREVDKLKDLCLKQREEIKSLKNAILFPDVMNSQLQEMLEKQGSELKQAKQVIPNLQRQVTSLTGQLQCLAEDLAEVKADKCSVRARFQRDDSSPRTPTYDDNEPSNSVEFSSGDPTSPGSPDDMFLKDLNPCLTPYYAKTKSKEFDEMGYDSPYGHHESLSQNKMEFGFNFCAKKLSRSSDCSQKSEAESRIAQANRRLGDGRRTYGKQIHQRFI >ONI13496 pep chromosome:Prunus_persica_NCBIv2:G4:14257531:14258442:1 gene:PRUPE_4G225900 transcript:ONI13496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTLSALRTKRGSIRDRVQGGVAIGVGKKCAGAWQRVKLKVRERERERGMIRSFGCWEGWLGCSR >ONI13359 pep chromosome:Prunus_persica_NCBIv2:G4:13626952:13627623:1 gene:PRUPE_4G217400 transcript:ONI13359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSMYVSAIVRLDFILVGSLGFDFLWLDMTEWGGKLGFGSFVVAWFGSW >ONI13360 pep chromosome:Prunus_persica_NCBIv2:G4:13626946:13628000:1 gene:PRUPE_4G217400 transcript:ONI13360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSMYVSAIVRLDFILVGSLGFDFLWLDMTEWGGKLGFGSFVVAWFGSW >ONI13358 pep chromosome:Prunus_persica_NCBIv2:G4:13626947:13628076:1 gene:PRUPE_4G217400 transcript:ONI13358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSMYVSAIVRLDFILVGSLGFDFLWLDMTEWGGKLGFGSFVVAWFGSW >ONI13709 pep chromosome:Prunus_persica_NCBIv2:G4:15802013:15803456:1 gene:PRUPE_4G240200 transcript:ONI13709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLIDNFDELELYDTQGQSCRNCLRSQLRCHCPLNEFENKPVFASYPHIFMFPFVEINFSEKFGFLFQKFIRYIEVVCCS >ONI13459 pep chromosome:Prunus_persica_NCBIv2:G4:14034138:14038858:1 gene:PRUPE_4G223500 transcript:ONI13459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVDAENPRLGETTCGSLLQKLQEIWNEVGESDEERDKMLLQLEQECLDVYKRKVELAAKSRAQLLQALSDAKLELSSLVSALGEKSFVGVPEKTSGTIKEQLAAIAPVLEQLWKQKEDRVKEFSDVQSQIQKICGEIAGNLNLSESPAVDESDLSLKKLDEYQSQLHDLQKEKSERLHKVLEFVSTVHDLCAVLGLDFLSTVTEVHPSLNDSTGVQSKSISNDTLSRLAKTVLALKEDKKQRLHKLQESATQLLDLWNLMDTPDEERGFFDHVTCNISASVDEVTVPGALAWDLIEQTEVEVERLDQLKSSRMKEIAFKKQSELEEIFTRAHIEIDPEAARDKIMELIDSGNVEPTELLADMDDQIAKARDEALSRKDILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIQLLWTHW >ONI13458 pep chromosome:Prunus_persica_NCBIv2:G4:14035291:14038605:1 gene:PRUPE_4G223500 transcript:ONI13458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVDAENPRLGETTCGSLLQKLQEIWNEVGESDEERDKMLLQLEQECLDVYKRKVELAAKSRAQLLQALSDAKLELSSLVSALGEKSFVGVPEKTSGTIKEQLAAIAPVLEQLWKQKEDRVKEFSDVQSQIQKICGEIAGNLNLSESPAVDESDLSLKKLDEYQSQLHDLQKEKSERLHKVLEFVSTVHDLCAVLGLDFLSTVTEVHPSLNDSTGVQSKSISNDTLSRLAKTVLALKEDKKQRLHKLQESATQLLDLWNLMDTPDEERGFFDHVTCNISASVDEVTVPGALAWDLIEQTEVEVERLDQLKSSRMKEIAFKKQSELEEIFTRAHIEIDPEAARDKIMELIDSGNVEPTELLADMDDQIAKARDEALSRKDILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIQVTLVDTLVAKTRGWEEDRGISFTYDGVPLLAMLDEYAMLRQDREEEKRRMRDQKKYQELQHTEQETIFGSKPSPARPVGTKKIVGPRANGGPNGTPGRRLSLNAHQNGSRSVTKDAKKDLRPVAPMNYVEIAKEDAASHISGTDTLPVSP >ONI13446 pep chromosome:Prunus_persica_NCBIv2:G4:13995115:13996776:1 gene:PRUPE_4G222800 transcript:ONI13446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRLKKAITDNPKKLANLIDLVNLPSTLREFVGQSQISHLGCFMRVWSYIKDNNLQDPNNKNVVKCDEKLKGILLGKPQVELCELPALIKLHFPKEQK >ONI13447 pep chromosome:Prunus_persica_NCBIv2:G4:13994951:13996784:1 gene:PRUPE_4G222800 transcript:ONI13447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRLKKAITDNPKKLANLIDLVNLPSTLREFVGQSQISHLGCFMRVWSYIKDNNLQDPNNKNVVKCDEKLKGILLGKPQVELCELPALIKLHFPKEQK >ONI13444 pep chromosome:Prunus_persica_NCBIv2:G4:13994951:13996784:1 gene:PRUPE_4G222800 transcript:ONI13444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRLKKAITDNPKKLANLIDLVNLPSTLREFVGQSQISHLGCFMRVWSYIKDNNLQDPNNKNVVKCDEKLKGILLGKPQVELCELPALIKLHFPKEQK >ONI13445 pep chromosome:Prunus_persica_NCBIv2:G4:13995277:13996766:1 gene:PRUPE_4G222800 transcript:ONI13445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRLKKAITDNPKKLANLIDLVNLPSTLREFVGQSQISHLGCFMRVWSYIKDNNLQDPNNKNVVKCDEKLKGILLGKPQVELCELPALIKLHFPKEQK >ONI13448 pep chromosome:Prunus_persica_NCBIv2:G4:13995140:13996784:1 gene:PRUPE_4G222800 transcript:ONI13448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPRLKKAITDNPKKLANLIDLVNLPSTLREFVGQSQISHLGCFMRVWSYIKDNNLQDPNNKNVVKCDEKLKGILLGKPQVELCELPALIKLHFPKEQK >ONI11310 pep chromosome:Prunus_persica_NCBIv2:G4:5144563:5147849:-1 gene:PRUPE_4G101000 transcript:ONI11310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLRHLCLSQQCSFMAMTSLRLMRVMTKRASFSSSAVKWEGGVSMVQGASRGIGLEFLLEKEKGHVIASCRNPNGATSLLHLKSKFAERLSILQLDVTNESTIEESAKSISEKYGSLNLLINASGILSIPQVLQPETTLRKVEKSSLLLAYEVNAVGPILVIKHMWPLLKDGGGLGTERDVAVVANLSARVGSIGDNRLGGWHSYRASKSALNQLTKNISVEFARRKDPITCILLHPGTVDTDLSRPFQRNVPEDKLFTTEFSVQKLLSIIHNAKSHDNGKFFAWDGQEIPW >ONI11312 pep chromosome:Prunus_persica_NCBIv2:G4:5145089:5147622:-1 gene:PRUPE_4G101000 transcript:ONI11312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLRHLCLSQQCSFMAMTSLRLMRVMTKRASFSSSAVKWEGGVSMVQGASRGIGLEFVKQLLEKEKGHVIASCRNPNGATSLLHLKSKFAERLSILQLDVTNESTIEESAKSISEKYGSLNLLINASGILSIPQVLQPETTLRKVEKSSLLLAYEVNAVGPILVIKHMWPLLKDGGGLGTERDVAVVANLSARVGSIGDNRLGGWHSYRASKSALNQLTKNISVEFARRKDPITCILLHPGTVDTDLSRPFQRNVPEDKLFTTEFSVQKLLSIIHNAKSHDNGKFFAWDGQEIPW >ONI11311 pep chromosome:Prunus_persica_NCBIv2:G4:5144563:5147849:-1 gene:PRUPE_4G101000 transcript:ONI11311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLRHLCLSQQCSFMAMTSLRLMRVMTKRASFSSSAVKWEGGVSMVQGASRGIGLEFVKQLLEKEKGHVIASCRNPNGATSLLHLKSKFAERLSILQLDVTNESTIEESAKSISEKYGSLNLLINASGILSIPQVLQPETTLRKVEKSSLLLAYEVNAVGPILVIKDGGGLGTERDVAVVANLSARVGSIGDNRLGGWHSYRASKSALNQLTKNISVEFARRKDPITCILLHPGTVDTDLSRPFQRNVPEDKLFTTEFSVQKLLSIIHNAKSHDNGKFFAWDGQEIPW >ONI12835 pep chromosome:Prunus_persica_NCBIv2:G4:11044507:11052321:-1 gene:PRUPE_4G186100 transcript:ONI12835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRTRLDHALFQLTPTRTRCELVIFAAAGGSEKLASGLLEPFLVHLKCAKDQISKGGYSIILRPPGSGASWFTKATLQRFVKFVTTPEALERFVTIEREILQIENSIQSNELTEAEADGNHNKSIALKSNSESNVTIDAVPEENSKIRLQRVLETRKVVLCKEQAMAYARALVAGFELDYIDDLISFSDTFGASRLREACINFINLYKQKNEDRLWMEEIAAMQACAHPELPYLGTSGIILAGEDNDPSQNLMINVNHSTLSVGKNGSLDTSVSESTSHGSLDVNQDNSLPASGKMSSTDGKAQVPNPWPNHLPQYMHNFQGPVYPQMHPYQGYIFPGMQVPPYYPGNMKWPPNGEESGPTFDQESDGRRNHKSHRNKKKHSHGKVLETSEQDGSDQSTGSSYESESDDPMEHGKKYSGTEQVHRKKHGRKSSRKVVIRNINYITSKRDGETGSVSEGNSSDEDEFIDGKSIKQQVEEAVGSLGKKHRSTSHHQRKQDGSKFPGNVDDSNGAADQEIKNGVANNYKGEKQNDNWNAFQDLLMRDKDSSSFDMEPHNIQVEEEYFSSKNSGEGRSFAFNQEQTKVTKQQADSSDFFVVTERDPGNESKTHVRYFEGDENAARITKRTDNTYEDVLFSRRIEESGNNSHDTVSGCANESYTTKCPNEGDWFISNQTDISANQDASNDLKLFDGVYASSKLATDSIHAEKNKRDVLVDDSFMVRDQSVVDQSDSQFRTDISIVPDIIGATQYEYGMEEISNDKPEAFSTHEPDDLYMMLDRGSAVEHAVAPWTPEMDYENNVSSFEATKKNPGTEMTDCVEVKKPSNSKRRNDKNSGSPGDKVQSKEARPKVVNGSLGKSKSDIMSRSTRPTSVSKSTVPKSKFEKEEEQRKRMEELRIQRQKRIAERSGSNTATSKKAPVENKTAMTNTKSEKLKTQSSIQETKKSDKPVLRSSTLERLATARVTEKLSTAGVNSGQPKKQNIKANGVVATASSQKAAGAMNKKPSPNKTKPSDVKDDLKNLNPLISSDSYVQEKVCIEATEALPIESSAAPATQPASSINHLEETKELHGTSSVEKSEGNLTLQREALENGSCNGYSPNLRLSVPFEVNSAKLDQFTGDAEELPQEFPVLSEDKRNYLPEMSVYPPIPRSPNKTSIVSAVNIEENGPITKNLPISSEISEIEISTPPSDETLREQLHSRKKWNSDETSPKAAKGFKKLLLFGRKSRNSPVN >ONI12834 pep chromosome:Prunus_persica_NCBIv2:G4:11045244:11052321:-1 gene:PRUPE_4G186100 transcript:ONI12834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRTRLDHALFQLTPTRTRCELVIFAAAGGSEKLASGLLEPFLVHLKCAKDQISKGGYSIILRPPGSGASWFTKATLQRFVKFVTTPEALERFVTIEREILQIENSIQSNELTEAEADGNHNKSIALKSNSESNVTIDAVPEENSKIRLQRVLETRKVVLCKEQAMAYARALVAGFELDYIDDLISFSDTFGASRLREACINFINLYKQKNEDRLWMEEIAAMQACAHPELPYLGTSGIILAGEDNDPSQNLMINVNHSTLSVGKNGSLDTSVSESTSHGSLDVNQDNSLPASGKMSSTDGKAQVPNPWPNHLPQYMHNFQGPVYPQMHPYQGYIFPGMQVPPYYPGNMKWPPNGEESGPTFDQESDGRRNHKSHRNKKKHSHGKVLETSEQDGSDQSTGSSYESESDDPMEHGKKYSGTEQVHRKKHGRKSSRKVVIRNINYITSKRDGETGSVSEGNSSDEDEFIDGKSIKQQVEEAVGSLGKKHRSTSHHQRKQDGSKFPGNVDDSNGAADQEIKNGVANNYKGEKQNDNWNAFQDLLMRDKDSSSFDMEPHNIQVEEEYFSSKNSGEGRSFAFNQEQTKVTKQQADSSDFFVVTERDPGNESKTHVRYFEGDENAARITKRTDNTYEDVLFSRRIEESGNNSHDTVSGCANESYTTKCPNEGDWFISNQTDISANQDASNDLKLFDGVYASSKLATDSIHAEKNKRDVLVDDSFMVRDQSVVDQSDSQFRTDISIVPDIIGATQYEYGMEEISNDKPEAFSTHEPDDLYMMLDRGSAVEHAVAPWTPEMDYENNVSSFEATKKNPGTEMTDCVEVKKPSNSKRRNDKNSGSPGDKVQSKEARPKVVNGSLGKSKSDIMSRSTRPTSVSKSTVPKSKFEKEEEQRKRMEELRIQRQKRIAERSGSNTATSKKAPVENKTAMTNTKSEKLKTQSSIQETKKSDKPVLRSSTLERLATARVTEKLSTAGVNSGQPKKQNIKANGVVATASSQKAAGAMNKKPSPNKTKPSDVKDDLKNLNPLISSDSYVQEKVCIEATEALPIESSAAPATQPASSINHLEETKELHGTSSVEKSEGNLTLQREALENGSCNGYSPNLRLSVPFEVNSAKLDQFTGDAEELPQEFPVLSEDKRNYLPEMSVYPPIPRSPNKTSIVSAVNIEENGPITKNLPISSEISEIEISTPPSDETLREQLHSRKKWNSDETSPKAAKGFKKLLLFGRKSRNSPVN >ONI12218 pep chromosome:Prunus_persica_NCBIv2:G4:8685959:8686814:1 gene:PRUPE_4G151900 transcript:ONI12218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYYQVPVGYKFRPSEEELFLHYLLPRLNGEDYPIGIVPDCDLYGTKEPWEIWRDFHHSSPDDQEDIYVFTTLKKKTPNGTRFCRTVGAAGTGVWKGEDSGKKIRACGSDIGIRKRFRYMNPGSPHDDRWIMHEFQLDESLLRVRNKETIVLCLVRKKETSGKRKLEELQDHEDVPPRGEIQAQHVHDDQQHHIGPSTYDQLRVLDLTNDVEGEDDMVNPTLLAQYDQQEHLGTSTDDQLIRFLEDYLDVEQN >ONI13832 pep chromosome:Prunus_persica_NCBIv2:G4:16608772:16611634:-1 gene:PRUPE_4G248700 transcript:ONI13832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSAAAVHPTQNYDVFLSFRVKKIKTYIDDILERGDEIAPALLEAIEKLKLSVIIFSKNYASSTWCLDELAHILGCKERFGQFVVYLFFTTSIHHMYESSKEVMLMHFLNLKNVSRTVLKRTDVDFVEKVVEGIWTKLIRKLSSNLEGLVGIESHIQHFESLLCINDSLDVCTVDIWAACFLGNVREKSEQKAVRSLTWRWYGCRRGSRIIITTRDRRLVKKKVEADKIYEVEGLHFDEALQLFHSHAFKSIPTRTDYTEFSLMVVCYARGIRLAVIILGSLFLHCESKEDWEDELNKLKTFPSKKIQNVFWLSYDRLEENEEIFLDIDICHVLKNKTNLGNLKLIDLSYSYHLAEVPDLSHSRKIQHINHECCASLVQIQSYFQYLDKLTYIHMGSCWNLKYLPEKPGNVEFLNLKRAAIKELPSSVWSNEKISFLDTEYCEYLEHLPSSSCNLKFCSEFSVMCCSSLGKFFELPREIKLLKLTEAATEVLPSSIECLYGLQSIELRECKRFVSLPTSICKLKSLEKLLSGCCEFKHFPEILEPMGRLEFLGL >ONI12866 pep chromosome:Prunus_persica_NCBIv2:G4:11206310:11210427:1 gene:PRUPE_4G188000 transcript:ONI12866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMKLVKLVPLVLALVLLMSLRSSASFTPIDNYLIACGSSKSITFQGRTFVPDTQQSSLVLKSANSVVASSNSTVPSPIYQSARVFKAAASYKFKIQQEGRHWVRLYFYPLTSPGQNLESAQLTVVTDNFVLLTNFTFKNYNGSYLFKEYAINVTSDTLTLTLIPSNNSVAFVNAIEVVSIPDALLPDQAYAVNPSAPFSGLSDLSLQTVYRLNMGGPLITAQNDTLGRTWENDMKYLHVDSSAVNVSVNPASIKYPQAVTAEIAPNWVYATAEAMGNANVPNVNFNITWVLTVDPNFLYLVRVHFCDIVSKALNSLVFNVFVNSDNVLSSLDLSSITGDLGVPYYKDFVSNSSAGTLTVSVGPDSMADITNAILNGLEVMKISNELGSLDGSLSVGNILPSSPSKKNNIGIIVGSAVGAVSVMAIIGLFYCCLAFRKSKSTNQGTWLPLPLYGNSQTMTKMSTTSQKSNTASCISLVSSNLGRFFMFQEILDATNKFDESLLLGVGGFGRVYQGTLEDGTKVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPTLSWKLRLEICIGAAKGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDQIMDPNLVGKVNPASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLEETSSALMEPEDNSTNHIPGIQLTPLEPFDNSVSMIDGGHSGTDEDAEDAATSAVFSQLVNPRGR >ONI12618 pep chromosome:Prunus_persica_NCBIv2:G4:10364996:10367330:1 gene:PRUPE_4G175100 transcript:ONI12618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLMSTLSSLRLQGRGMVVLHRDETIMLGFVTILDPKILALHLLLMKMVSGLEGAMTALHKINSWSFNSTSLIDENAKNNTPVAPEPTPIVEPESGNGINIGLVVGLVVGGCVLLVGGFCLVWFIFWKKGGTGESSDNDEDPMVNDPIDDEFEKGTGPKKFSYKILAQSTNDFDEGEKLGEGGFGGVYRGFVKDLNSYVAVKRVSSGSRQGLKEYAAEVRIISRLRHRNLVQLIGWCHEKRELLLVYEFMSNGSLDSHLFKGKSLLGWEARYRIAQGLASGLFYLHEEWEQCVLHRDIKSSNIMLDSNFNAKLGDFGLARLVDHGKQSQTTVLAGTMGYMAPECVTTGKASKETDVYSFGVVALEIACGRKPIDPKLGTSKINMVEWVWELYGQGKVIEAADPKLCGDFDEKQIECLLIVGLWCAHPDYKIRPSTQQIIQVLSLEVAPPILPSKMPIASYFSPPVSFSILSGDVTGSERGQTESLSYGYNTNSSQFTSSSASNSSPSASLLYTN >ONI12617 pep chromosome:Prunus_persica_NCBIv2:G4:10364905:10367339:1 gene:PRUPE_4G175100 transcript:ONI12617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLLLLLLFHLAPCATSLNFNFSTFPNGINNLSFEGDAFVDGAFLRLTKSAADDVQDQSVGRATYSQPFLLRDNATGKLADFTTNFTFTINSLDKTPYADGLAFFLAPNGSALNRTIGRGGSLGLPTINPEKNESTNLYPFVAVEFDIFQNAVTSVQDPAGDHVGIDVNSVKSKVTRAWNGSITQGRDNNAWIRYDSGSKNLSVTFTTYENGVWVRRYFDYMVDVNEILQGWVIVGFSAATGAMTALHKINSWSFNSTSLIDENAKNNTPVAPEPTPIVEPESGNGINIGLVVGLVVGGCVLLVGGFCLVWFIFWKKGGTGESSDNDEDPMVNDPIDDEFEKGTGPKKFSYKILAQSTNDFDEGEKLGEGGFGGVYRGFVKDLNSYVAVKRVSSGSRQGLKEYAAEVRIISRLRHRNLVQLIGWCHEKRELLLVYEFMSNGSLDSHLFKGKSLLGWEARYRIAQGLASGLFYLHEEWEQCVLHRDIKSSNIMLDSNFNAKLGDFGLARLVDHGKQSQTTVLAGTMGYMAPECVTTGKASKETDVYSFGVVALEIACGRKPIDPKLGTSKINMVEWVWELYGQGKVIEAADPKLCGDFDEKQIECLLIVGLWCAHPDYKIRPSTQQIIQVLSLEVAPPILPSKMPIASYFSPPVSFSILSGDVTGSERGQTESLSYGYNTNSSQFTSSSASNSSPSASLLYTN >ONI10111 pep chromosome:Prunus_persica_NCBIv2:G4:1280436:1281675:1 gene:PRUPE_4G027600 transcript:ONI10111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKMSSVHNLMLVSILSSIFVVTLLSFPSPAEADYLYNVCPIGAYLSQKSGKFIIKFESSLQIYMKKSSCLYSAGMGKK >ONI10796 pep chromosome:Prunus_persica_NCBIv2:G4:3331846:3334243:1 gene:PRUPE_4G068700 transcript:ONI10796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSGANGNGTHVFNVEDGDGNSHRLSQSSTKTNQESSSFGLSVPFMQKVIAEVLGTYFVVFAGCGAVVVNLSTDKTVSFPGISIVWGLVVMVMVYSVGHISGAHFNPAVTIAFAITKRFPWKQVPAYIAAQVLGSTLASGTLRLIFQGHQNHFAGTIPTGNPWQSFAIEFVITFYLMFVISGVATDNRAIGELAGLAVGATVLLNVMFAGPISGASMNPARSVGPAIVSTQYKHLWVYIFAPTLGAVCGALVYNVIRFSDKPLREITKSSSFLKGVGSK >ONI13119 pep chromosome:Prunus_persica_NCBIv2:G4:12680921:12683028:-1 gene:PRUPE_4G203800 transcript:ONI13119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLYRYAKSGDICFLKQLLNDNPSLLYQLTPRKNTALHIAVQFGDSNVVAEIYSRCRSLLTQQNLDGDTPLHVAARVGCFSIFNDLVREILSMSQEDFRNEKDGMGKNTVLHEAVRNGHIKLVQFLLTMDPKLASIENDAGESPKYLAARGGMFEILNQILKSTASSAHGGSDGRTALHAAVVEKHFDIVEALLRFKQQLIKETGHQGRTPLFYAASLGQHRTVKRLLELDTSIAYVLDKEGHSPIHVAASKGHSSVIREIIRHCPDSGEICDLYGQNALHLAIIGGRAHVVRYILETPELECLINQPDVIGNMPLHLATIERKTWMMYYLMWDGRVNQNSMNKCGQAAFDIDRSIKESSIASPRKLQNIIPDIWGHLGTRDSYLDNIKISPRAEQEEANAVQTYMQMGRTLLMVTTLITTVTFAAAFTMPGGYNNDVGPDRGQALLQSNNDFKWFIITDSIAMTCSIIASCLLFWGAVNSNKSSYVFYFTSAAALTHIALQSTKIAFETGIKAVMPNQQFVKTLGNLVGAASHVITFMFLSQLVKMFSLPEACRFFISHLCKLRCKCKNKQ >ONI09645 pep chromosome:Prunus_persica_NCBIv2:G4:146914:149695:-1 gene:PRUPE_4G001600 transcript:ONI09645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSSSQAGGCCS >ONI09644 pep chromosome:Prunus_persica_NCBIv2:G4:146914:149687:-1 gene:PRUPE_4G001600 transcript:ONI09644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSSSQAGGCCS >ONI09646 pep chromosome:Prunus_persica_NCBIv2:G4:146914:149724:-1 gene:PRUPE_4G001600 transcript:ONI09646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSSSQAGGCCS >ONI14067 pep chromosome:Prunus_persica_NCBIv2:G4:18727818:18729290:-1 gene:PRUPE_4G260200 transcript:ONI14067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFWQFNGLASNFVYHPTKIRFEPTHIVISNKRPDERRNFDTVNGKDDLDSPPCYPEIEEIPEPEEFTFFQWLSPPSSPLRWESPPFSPLALPLEVVEFVLREGND >ONI12096 pep chromosome:Prunus_persica_NCBIv2:G4:8266850:8274115:1 gene:PRUPE_4G144000 transcript:ONI12096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGLFKQGWKWLLSHKHVYSRSRTAISGFRDKMGMFIERHWPMVCSGCTKTGRLLLLLLIYWRDCVVRGFRSFLGLGSAALLLIMWSCFLSLTSISCLIYVLLSMGAAGAAVQYLGYTPGLFIVGLFAILILWMYANFWITGTLFIVGGYLFSLNHARLVVLMATIYAIYCVKVQVGWHGVILSINLAFFSNDALNYMLQWCDNVSESTHFEEQKQSEEVIEDDFSGECEYSIPTEESEKVHSCKSSSKPVTSAVIDVPKESSPSTVAKEEINSAVEMKKILDSIDHYEALGFPRHKKIDAAILKKEYRKKAMLVHPDKNMGSALASESFKKLQCAYEVLSDSTKKRDYDEQLRKEESKTKSVCQKSHGTSHQDGPDYCSEESRRIQCTKCGNSHIWVCTNRSKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFNRHHKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGSEKTQRSNSSRFPWDLDAEMMDEDEEEFEVWLQQALASGLFCESSKRRKSWSPFKLPQRVKRQSRRTSC >ONI12098 pep chromosome:Prunus_persica_NCBIv2:G4:8267786:8272395:1 gene:PRUPE_4G144000 transcript:ONI12098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGLFKQGWKWLLSHKHVYSRSRTAISGFRDKMGMFIERHWPMVCSGCTKTGRLLLLLLIYWRDCVVRGFRSFLGLGSAALLLIMWSCFLSLTSISCLIYVLLSMGAAGAAVQYLGYTPGLFIVGLFAILILWMYANFWITGTLFIVGGYLFSLNHARLVVLMATIYAIYCVKVQVGWHGVILSINLAFFSNDALNYMLQWCDNVSESTHFEEQKQSEEVIEDDFSGECEYSIPTEESEKVHSCKSSSKPVTSAVIDVPKESSPSTVAKEEINSAVEMKKILDSIDHYEALGFPRHKKIDAAILKKEYRKKAMLVHPDKNMGSALASESFKKLQCAYEVLSDSTKKRDYDEQLRKEESKTKSVCQKSHGTSHQDGPDYCSEESRRIQCTKCGNSHIWVCTNRSKAKARWCQVRHCSLSLVFFNYYHTVEAFSL >ONI12097 pep chromosome:Prunus_persica_NCBIv2:G4:8266850:8274115:1 gene:PRUPE_4G144000 transcript:ONI12097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGLFKQGWKWLLSHKHVYSRSRTAISGFRDKMGMFIERHWPMVCSGCTKTGRLLLLLLIYWRDCVVRGFRSFLGLGSAALLLIMWSCFLSLTSISCLIYVLLSMGAAGAAVQYLGYTPGLFIVGLFAILILWMYANFWITGTLFIVGGYLFSLNHARLVVLMATIYAIYCVKVQVGWHGVILSINLAFFSNDALNYMLQWCDNVSESTHFEEQKQSEEVIEDDFSGECEYSIPTEESEKVHSCKSSSKPVTSAVIDVPKESSPSTVAKEEINSAVEMKKILDSIDHYEALGFPRHKKIDAAILKKEYRKKAMLVHPDKNMGSALASESFKKLQCAYEVLSDSTKKRDYDEQLRKEESKTKSVCQKSHGTSHQDGPDYCSEESRRIQCTKCGNSHIWVCTNRSKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFNRHHKGMACRPNTHRPSFHVNMVGSEKTQRSNSSRFPWDLDAEMMDEDEEEFEVWLQQALASGLFCESSKRRKSWSPFKLPQRVKRQSRRTSC >ONI12184 pep chromosome:Prunus_persica_NCBIv2:G4:8514001:8517202:1 gene:PRUPE_4G149100 transcript:ONI12184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRVFLLLFLLVWVTEGLTQPKFFNVMDYGAVGGGKTDNSKAFLKAWSDACQWNGKSRVVVPQGTFKLYPVIFSGPCNGPIAFVIRGTLKATTDPSSFSAHSWINFRYVDELTVSGGGTLDGQGASAWHLNNCKSNPQCQALPISMSFDFVTNAIVQRIKSYDSKNAHFKVFGCHNMDFRKIRISAPADSPNTDGIKIGSSYRIRIARSRIATGDDCVAIVEGSKRIHVSKVFCGPGHGISIGSLGGYANEDDVVGVVVKNCTFLGTSNGVRIKTWASPYASKASNFTFQDIFMDDVLNPITIDQEYCPNPPCSQQTSSSSVQISDITYKNIWGRSSSEVAVSLVCSKSRPCKNVVLENINLAHSNPPGRSSSVCLHAKGASHGSQTPPPCL >ONI11670 pep chromosome:Prunus_persica_NCBIv2:G4:6476925:6478490:1 gene:PRUPE_4G119700 transcript:ONI11670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKCCSKQKVKRGLWSPEEDEMLINHINTYGHGSWSSIPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSEQEERTIIDVHRILGNKWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPNTHNLLPSSKTNHSTNNNISECSFYPTLPKPSYFFPVNSHVSDTSIDILKAHFQALSSISSSPDTNHINPDHSLHGSSTLSSVYEYRNHNTVWTLQEKLNSNAFMEAMNQPSVGASVPTSSCHSYPLSELEIVNENCKWGTGNFEPTKPDQEMFMQPQEDKACEVGIEKANMLIDEQTNMDASFGSSNSDFDFAESTQLPYGLYNYHLSPMMDQLQWNG >ONI10114 pep chromosome:Prunus_persica_NCBIv2:G4:1291830:1294784:1 gene:PRUPE_4G027900 transcript:ONI10114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRLLIFFIAIPIILDLVAPPAVAQINDGVCTYTADFCWRCSNIGTYNDGSIYQENLNNLLSSFSSNNTQINYGFYNSSMGQDPNKVNAIALCRGDVSLNDCHTCVNESSLILFKNCSNQDEAIIWAERCMVRYSSNLIFGVEEDEPIKYVPGISNAIDAVQFNLVLNLFLDTLTEKASSGDSLKKFAAGHVTIQGSTTNQSIYALLQCTPDMDKQSCSDCLKGAASKIPECCGGKQGGRVLKPSCNLRYEATLFYESTADSLVTLPSVKGKKSTISKTVIIVIIVVVIVSVVTLLIGVLILIRVRRRRAKLKLENDSNSDEISLVESLQYDFDTIRSATDDFSAANKLGQGGFGAVYKGRLANGRYIAVKRLSKNSEQGDREFKTEVTLVAQLQHRNLVRLLGFCLKAGERILIYEYVPNTSLNHFIFDPTDHAHLDWETRYKIIGGIARGLLYLHEDSRLRIIHRDLKPSNILLDEDMNPKIADFGMARLFMMDQTQGDTKTIVGTYGYMAPEYAIHGRFSVKSDVFSFGVLVLEILSGKRISSFQNGENEEDLLSYAWRNWRDDTVANIMDPKLTTGLGVEMMRCIHIGLLCVQENVASRPSMASVVSMLNSHSVTLSLPSRPASYLQYNSVSDITGSNESKNSMHVSGNKNSNFTEPYAR >ONI13980 pep chromosome:Prunus_persica_NCBIv2:G4:17935257:17941250:-1 gene:PRUPE_4G256000 transcript:ONI13980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASSVYMHLKSRVSLCNATAAVTSSPSSSSAEAQIGNRVQLPEKTRNSRVLVLGGTGRVGGSTAIALSKLCPDLQILVGGRNREKGANMVATLGGNSEFSEVNIDNVKSLEAALKDVDLVVHAAGPFQQAEKCTVLEAALQTKTAYVDVCDDTAYSLRAKSFNSRALAANVPAITTGGIYPGVSNELVRAARSESKGKPERLRFYYYTAGTGGAGPTILATSFLLLGEEVVAFNKGEKIKLKPYSGMLTIDFGKGIGKKDVYLLNLPEVRSTHEVLGVPTVSARFGTSPFFWNWGMAAMTSLLPAELLRDRSKVQQMVDLFDPVVRRVDSIAGERVSMRVDLECSDGRNTVGIFSHKRLSVSVGNATAAFVLAILEGSTKPGVWFPEETEGIAIEARELLLKRAAQGTFNFVMNKPSWMVETNPKELGLGIYV >ONI12480 pep chromosome:Prunus_persica_NCBIv2:G4:9722230:9723235:1 gene:PRUPE_4G167500 transcript:ONI12480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVFFVLIFTMHCPGPESVYLCVTNVTSFGIGLDWIGLYYKEKEVSGGNVEPGSAVPKRMSVSDCRESLRNVVGLCHRAAKSRDSHVIIEEKP >ONI12997 pep chromosome:Prunus_persica_NCBIv2:G4:11985951:11989897:1 gene:PRUPE_4G196500 transcript:ONI12997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVYIVYYSMYGHVGRLAEEIKKGASSVEGVEATLWQVPETLSEDVLGKMSAPPKSDVAIISPNELADADGFIFGFPTRFGMMAAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMENIKGGSPYGAGTFAGDGSRQPTQLELEQAFHQGKYIATITKKLKGAA >ONI12324 pep chromosome:Prunus_persica_NCBIv2:G4:9007548:9008267:1 gene:PRUPE_4G157300 transcript:ONI12324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIDFVLWWANFDNGTKPETLLNRNPNYANFLKRKSDYVQTPISKDGLEWLWKKMIELGKIGLVFNPYGGKMSQIPASEMPFPHRAGNLFKIQYSVNWEDAGEDSEKNYLTQSRRLYSYMTPFVSKNPRSAFLNYRDLDIGVNTFGDNSYEEGKIYGLKYFNDNFDRLLKVKTAVDPENFFRNKQSIPTLPSKA >ONI12574 pep chromosome:Prunus_persica_NCBIv2:G4:10229927:10233983:1 gene:PRUPE_4G172700 transcript:ONI12574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNPNKQLQINPNWAQLQQKLKSHGPKPPATILGKRKERTDAELDDSLSHVNPLTPVNDDCSVTDEVAMDCEMVGVSQGNKSALGRVTLVNKWGNVIYDEFVRPLEHVVDFRTQISGIRPRDLRKAKDFRIVQKRVAELIKGRILVGHALRNDLKALLLSHPKEDLRDTLEYEPFMRGEGSRRALRHLAAEFLDVQIQNGEHCPVEDARAAMVLYQKNKKAWEKRVKDQIKLKQKQKKRKPKKKRKEGDDLKTDLAETAS >ONI12916 pep chromosome:Prunus_persica_NCBIv2:G4:11520656:11520895:-1 gene:PRUPE_4G191700 transcript:ONI12916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEICKFEKELKSMMQQKMNAAEPSAAGGGGGNGAKTRWSNTAAAGGVSQENRMTLDDERRRRDKAENLIQLICWGPN >ONI14097 pep chromosome:Prunus_persica_NCBIv2:G4:19022760:19024278:1 gene:PRUPE_4G261700 transcript:ONI14097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSTSLIVLSLAFVFMINSALAAKAPYNVASLGGKGDGKTESTKAFISAWAKACASAEPAVIYVPRGRFLLHNVVFAGPCKNNAITFRIAGTLVAPSDYRVIGNADNWISFEDVEGVTISGGILDGKGTDLWACKAAGKSCPSGATTLSFSNSNDIKVSGVISLNSQMFHIVINGCHNVKMQSVKVSASGQSPNTDGIHVQMSSSVTILNSKIATGDDCVSIGPGTSDLWIENIKCGPGHGISIGSLGKDQEEAGVQNVTVKSITFFNTQNGLRIKSWGRPSTGFAKDILFQHAVMLNVQNPIVIDQNYCPDNKGCPGQVSGVKISDVTYQDIHGTSATEVAVKFDCSSKYPCSNIKMKDVKLTYKNQAAEASCSHAAGTSHGTVQPTSCL >ONI13481 pep chromosome:Prunus_persica_NCBIv2:G4:14145565:14149460:1 gene:PRUPE_4G224800 transcript:ONI13481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEINFVIGFYNRSSSFFWRIMDYFGSFSSMASSSDSWMKEYNEATKLADDINGMISERSTLPASGPDAQRYVSAIRRKITILGTRLDSLQSLLSKLPGKQPISEKELNRRKDMIGTLRSKVLQMSTTLTSHSANRDSLLGPEINKADAMGRTVGLDNYGLVGLQRQVMKEQDEGLEKLEETVVSTKHIALAVNEELDLHARLIDDLDEHVEVTDSRLRRVQKNLAVLNKRTKGGCSCMCMLLCVVGIVVLLAVIFLLIKYL >ONI13482 pep chromosome:Prunus_persica_NCBIv2:G4:14145190:14149460:1 gene:PRUPE_4G224800 transcript:ONI13482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDSWMKEYNEATKLADDINGMISERSTLPASGPDAQRYVSAIRRKITILGTRLDSLQSLLSKLPGKQPISEKELNRRKDMIGTLRSKVLQMSTTLTSHSANRDSLLGPEINKADAMGRTVGLDNYGLVGLQRQVMKEQDEGLEKLEETVVSTKHIALAVNEELDLHARLIDDLDEHVEVTDSRLRRVQKNLAVLNKRTKGGCSCMCMLLCVVGIVVLLAVIFLLIKYL >ONI12756 pep chromosome:Prunus_persica_NCBIv2:G4:10763011:10764430:1 gene:PRUPE_4G181300 transcript:ONI12756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGFFIICMLHSVIALISGALMMFYSYEFYVFSHGLETASKLQGSTPHDQLLIQTADSLSGLLLFSIGSLLFMVAFVKDNKFQSFFAKGCVLLHISMAIWRVYFERKLEDLARDWPKQVAGDITLALSWGFLLLYSWREKYD >ONI11639 pep chromosome:Prunus_persica_NCBIv2:G4:6371649:6373692:1 gene:PRUPE_4G117700 transcript:ONI11639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAHPIQKRVLCMNKIDLVGKKKDLLTVAEQFKDLPGYESLSFFSFLTYNFLFMRVSLGYKDKAVKRSWEEDPFIMTEEVMKNISLEVVRERLLGHVHQEIPYGIEHRLMDWKELRDGSLRIEQYLITPKIKRIGMEANEELRSIFKRDYSK >ONI13104 pep chromosome:Prunus_persica_NCBIv2:G4:12601015:12601678:-1 gene:PRUPE_4G202500 transcript:ONI13104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFPQFLSTPNSHPLNHLLPTQTTTNPPQLSTHRPHRKHLSLTTCTPPNSTQPDFPSPDSETTTSAETFPIEKRRKSEITRDRRRSETGLVKPEPPNFEIGWKRTKEIKLEKPIGYVIADFLEKLESLMGKEFGSADLLVKVAEIVAERAREEAEVLRDEGKVEDRMVTELFRVLKLIEMDMVMIKAAVKEETLNERLEQAKARCRQAILVALSF >ONI11817 pep chromosome:Prunus_persica_NCBIv2:G4:7007801:7010195:-1 gene:PRUPE_4G127500 transcript:ONI11817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIVLFQKILVVLFTIAFFWKLVKYMWSFLSMEKEPVTVLVTGAAGQIGYAIVPMIARGAMLGPEQPVILHMLDIEPAAESLNGVKMELIDAAFPLLRGVVATTDIQEACKGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKNAAADVKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLNVHVGDVKNVIIWGNHSSTQYPDVNHATVTVNGGDKPVRELVANDQWLNTEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPKGSWVSMGVYSDGSYGIQPGLVYSFPVTCEKGQWSIVQGLKIDEFSRGKLDATAQELIEEKSLAHSCLN >ONI11816 pep chromosome:Prunus_persica_NCBIv2:G4:7007813:7010745:-1 gene:PRUPE_4G127500 transcript:ONI11816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDPVRVLVTGAAGQIGYAIVPMIARGAMLGPEQPVILHMLDIEPAAESLNGVKMELIDAAFPLLRGVVATTDIQEACKGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKNAAADVKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLNVHVGDVKNVIIWGNHSSTQYPDVNHATVTVNGGDKPVRELVANDQWLNTEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPKGSWVSMGVYSDGSYGIQPGLVYSFPVTCEKGQWSIVQGLKIDEFSRGKLDATAQELIEEKSLAHSCLN >ONI13576 pep chromosome:Prunus_persica_NCBIv2:G4:14822986:14825000:1 gene:PRUPE_4G231400 transcript:ONI13576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMILNNALRTIVNAERRGKATVELQPISTVMSSFLKIMKNRGYIKDFQVFDPHRVGKITVQLQGRVNDCRALTYRQDVKAKDIEEYKMQKLPTRQWGYVVITTPEGVLDHEEAVKRNVGGQVLGYFH >ONI11565 pep chromosome:Prunus_persica_NCBIv2:G4:6044131:6045458:-1 gene:PRUPE_4G113700 transcript:ONI11565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAPEELQFLTIPDILRESTSIPKQSPKTFYLITLTLIFPLSFAILAHSLFTHPLLNQLQGPSTDPAQLHHKWTVLLLFQFCYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFSSTLSAIPKVFKRLFITFLWVSLLMVCYNFVFVAFLILLILAIDTQNPLLLLFSGVVLFLLFLVVHVYITVVWHLASVVSVLEPVYGFAAMKKSYELLKGKIGMAFLLVFGYLSICGVIGGVFGTVVVHGGEDYGVFVRIVVGGFLVGVLVIVNLVGLLLQSVFYYVCKSYHHQGIDKSALHDHLGGYLGEYVPLKSSIQMENLDV >ONI12405 pep chromosome:Prunus_persica_NCBIv2:G4:9369621:9372768:-1 gene:PRUPE_4G162600 transcript:ONI12405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVFLLILLFSSIITTNIHACKELERTSLLSFTLTLSSPTLNWTSVNYCHWEGITCDEDGWVTHLLLPSKGLKGLKGGMSLNRLQILDLSYNLLFGELPLYLGSNNYIRKLDFSSNHFHGSNNYIRKLDFSSNHFHGAIPSSFFQQARNLTSFNVSNNNFSGPIPSSICLKHSSPLTRLLDFSSNKFHGSISRGLGECFELQVFRAGHNNLSGFLPVDIYNATKLEELALPLNSIYGPISDRIVNLTNLAILDLSINQLSGVLPINIGKLSKLKLLTLEGALAPSLMNCTNLIEIHLGANNLEGDITALKIIGIFPTSLYSCRSLKAIRLAGNHLEGQIQLDILSLKSLSFLSLGYNLFTNLTGEMKILMSCKSLRLLMLTGSFVGEGMPTDDDMLKSLEILFLVGNQITGPVRSWLGALPRLLHIRLAHNRLSGEFPKQLCKVKYLLRSANCSFSASCILTLGQLQLLRELHLDSNNFTGIIPNQISNLKNLENLNLSLTYLSGKIPSSLASLHFLKQFNVSYNSLQGPVPISTHIQSFDASAFEGNPNLCGTPLTNKCGLNKGIDEDNKNNKDVDNGHQFPWFYISSAFGFITMYKIDCM >ONI12985 pep chromosome:Prunus_persica_NCBIv2:G4:11947112:11948883:1 gene:PRUPE_4G195800 transcript:ONI12985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIAVSVAIVLSGVLLVGYFLHRSRRKLKEIGKTNQNNEGEPKEDLELPLFDLTIVIGATNNFSSNNKLGEGGFGPVYRGTLHGQEIAVKRLSRSSGQGLNEFKNEVILIAKLQHRNLVKLLGCCVQGEEKMLIYEYMPNGSLDSFIFDQVRGGLLLDWPKHFHIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARTLIGGDQTAVTWHLDGLFSVKSDVFSFGILVLEVISGRKNKGLYHPNHSHSLIGHAWRLWNQGRPLELIDTCLESSCTLLEVLCCIHVSLLCVQHHPEDRPSMSSVVIMLGSEIALAQPKQPSFFMEKESHEAGSSSGNQSSSRNEISITLLEVR >ONI09777 pep chromosome:Prunus_persica_NCBIv2:G4:447564:451925:-1 gene:PRUPE_4G008800 transcript:ONI09777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPADVVRQTRDLLIYAQRTPDSRESKREEKMSELCKNIREMKSILYGNSESEPVSEACAQLTQEFFKENTLRLLIMCLPKLNLEARKDATQVVANLQRQQVQSKLIASDYLEANIDLMDILIQGYGNTDMALHYGAMLRECIRHQTVARYVLESEHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESSNYITRRQAVKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIMSILVANRSKLLRLFADFKIDKEDEQFEADKAQVVREIAALEPKDHS >ONI10346 pep chromosome:Prunus_persica_NCBIv2:G4:1999225:2001255:1 gene:PRUPE_4G042400 transcript:ONI10346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTALLVVVFVSDLIAFALAVAAEQRRSSAKIQTLANSSYCVYDSDIATGLGAGSFLFLLASQVLIMGVSRCLCCGKALRPSGSRSWAIMLFITCWVFFLIAEACLLAGSVRNAYHTKYRTVFTNGKLSCETLRKGIFGAGAAFVVFTGIFSELYYVSYSRANELETPYSRDTGVRMQNL >ONI10347 pep chromosome:Prunus_persica_NCBIv2:G4:1998989:2001242:1 gene:PRUPE_4G042400 transcript:ONI10347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTALLVVVFVSDLIAFALAVAAEQRRSSAKIQTLANSSYCVYDSDIATGLGAGSFLFLLASQVLIMGVSRCLCCGKALRPSGSRSWAIMLFITCWVFFLIAEACLLAGSVRNAYHTKYRTVFTNGKLSCETLRKGIFGAGAAFVVFTGIFSELYYVSYSRANELETPYSRDTGVRMQNL >ONI14274 pep chromosome:Prunus_persica_NCBIv2:G4:22493965:22496390:1 gene:PRUPE_4G272600 transcript:ONI14274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWFEYRLPPALSPNSHCHLPQTLAWNTQHPFVNCVPSKALQHVLRMCATIPQWLSWVTPHLSRQRPLTSTYLRSETLLHFRPSHFTKV >ONI10953 pep chromosome:Prunus_persica_NCBIv2:G4:3805695:3811324:1 gene:PRUPE_4G078300 transcript:ONI10953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISDEVRAAHRRELLAFLEDGIYLDEIRAIINHNRRRLIVDISHLHSYGDDIGARILRNPSDYLQSFNDAATEIANRIDPRYLKQGEQLLVGFQGPFVSRRVTPRDLLSEYIGSMVCVHGIVTKCSLVRPKVVKSVHFCPTTGNFTTREYRDITSNMGLPTGSVYPTRDENGNLLVTEYGLCKYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDACKPGDRVAIVGIYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPDDISNIKKIADRGDTFDLLGNSLAPSIYGHSWIKKAVILLMLGGMEKNLTNGTHLRGDINMMMVGDPSVAKSQLLRAILNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGSYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPDIDRQVSEHVLRMHRYRSATGGDAMLDGSSVYGREEENENDTSVFVKYNRMLHGKKTERGRKRDTLTIKFLKKYIHYAKHRIQPDLTDEASEQIATAYAELRNAGSNAKTGGTLPITARTLETIIRLSTAHAKLKLSRKVSKSDVDAALQVLNFAIYHKELTDMEDREQERERELERNGRAAHRASGNDGSGNGTANEEQADHSAGQNDGSGNGTANNEGSTDAMEVDDPPAESAIDISPERIKAFNDSVNQLMKQKLQSISIEDLENVVNSGADFRYSRAEITLLLQELQANNIVMVSDGTVFMILS >ONI10881 pep chromosome:Prunus_persica_NCBIv2:G4:3622379:3629646:1 gene:PRUPE_4G074000 transcript:ONI10881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFKMKAQSSTGCLREKNGLRVCQKSSVITKKACSHVRKSEHTADLDTCTNCQEVSSSMDVSIKEIGRDEAIDHQELLDEQDCKQSCNSETKRMESSHTCPSNLETIFSPALESSESHGEPNSEPTADIDAGLGAGDSDDNRSSCDNQTCDVSDFYISDMIISSLPFNENAFDVDIGETNCFPDYKCAEPNMFFDASEQYMVLPFLEDTFRSSNINIDVDKSCEEAIVDTDCAGMYSEMGQMSPCNQETVVSSSDSDQAESFDPQLFIKNLPELSDVVSNYQPNILPEDAPKRKSVTLVLDLDETLVHSTLEHLDDADFTFTVFFNMKEHTVYVKQRPYLQTFLEKVAEMFEVVIFTASQSIYAEQLLDILDPYAKLISRRVYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNTPQVFRLQVNNGIPIKSWFDDPSDSALISLLPFLETLVNADDVRPIIAKRFGNKE >ONI10880 pep chromosome:Prunus_persica_NCBIv2:G4:3622849:3629646:1 gene:PRUPE_4G074000 transcript:ONI10880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFKMKAQSSTGCLREKNGLRVCQKSSVITKKACSHVRKSEHTADLDTCTNCQEVSSSMDVSIKEIGRDEAIDHQELLDEQDCKQSCNSETKRMESSHTCPSNLETIFSPALESSESHGEPNSEPTADIDAGLGAGDSDDNRSSCDNQTCDVSDFYISDMIISSLPFNENAFDVDIGETNCFPDYKCAEPNMFFDASEQYMVLPFLEDTFRSSNINIDVDKSCEEAIVDTDCAGMYSEMGQMSPCNQETVVSSSDSDQAESFDPQLFIKNLPELSDVVSNYQPNILPEDAPKRKSVTLVLDLDETLVHSTLEHLDDADFTFTVFFNMKEHTVYVKQRPYLQTFLEKVAEMFEVVIFTASQSIYAEQLLDILDPYAKLISRRVYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNTPQVFRLQVNNGIPIKSWFDDPSDSALISLLPFLETLVNADDVRPIIAKRFGNKE >ONI10879 pep chromosome:Prunus_persica_NCBIv2:G4:3622379:3630002:1 gene:PRUPE_4G074000 transcript:ONI10879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFKMKAQSSTGCLREKNGLRVCQKSSVITKKACSHVRKSEHTADLDTCTNCQEVSSSMDVSIKEIGRDEAIDHQELLDEQDCKQSCNSETKRMESSHTCPSNLETIFSPALESSESHGEPNSEPTADIDAGLGAGDSDDNRSSCDNQTCDVSDFYISDMIISSLPFNENAFDVDIGETNCFPDYKCAEPNMFFDASEQYMVLPFLEDTFRSSNINIDVDKSCEEAIVDTDCAGMYSEMGQMSPCNQETVVSSSDSDQAESFDPQLFIKNLPELSDVVSNYQPNILPEDAPKRKSVTLVLDLDETLVHSTLEHLDDADFTFTVFFNMKEHTVYVKQRPYLQTFLEKVAEMFEVVIFTASQSIYAEQLLDILDPYAKLISRRVYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNTPQVFRLQVNNGIPIKSWFDDPSDSALISLLPFLETLVNADDVRPIIAKRFGNKE >ONI11793 pep chromosome:Prunus_persica_NCBIv2:G4:6902032:6903278:1 gene:PRUPE_4G125900 transcript:ONI11793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCISALSVVLVLLFVSAAPQLAAKNVNKPRATVVRAADLLSFDHYLKTCPQAEGIIQQKTGDWIQKDFTLAASIIRLHFHDCAVRGCDASILLNHQGSERRAFASRTLRGFQVIDDIKAELERQCPKIVSCADILTSAARDATIIAGGPFWQVPFGRKDGRISISREADSVPQGHENVTALIEFFQVRGLNMLDLVTLSGAHTIGRSSCSSFKNRLSNFNGTRKPDPSLNSMYLNNFLKKKCQKDLDLIYLDAITPKTFDTQFYSNLHKKLGLLSTDQLLKSDVRTGPFVAALASQPSLFESQFAVSMVKLGNVQVLTRPNEGEIRVNCNFVNA >ONI14315 pep chromosome:Prunus_persica_NCBIv2:G4:22943591:22947324:-1 gene:PRUPE_4G275200 transcript:ONI14315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESCGSSLLLALSDDVFSIVTWSLSPRDIGNLSLCCRSLYALMESEKVWQIQCEMVGIVTLRDLVQWRKAVSSYKALCRFLFNVQPLMGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGIEDGPILWAPVFEIICDFDGSTSFFLHGREKGHDYVYPGFVKPIGRSCNVLLLEIEPRLHKNQGKSLHSKSFVHNSDKELSRKICRSNSGLSRSQRVFGQSETLVPFSRLAFSDRRKLLDVITSQIRLKVPDAAVGPLFPRLRDNEENFQKDMVLLLERRSMLIQMHKLGGGHMDLKASLLPSDPTQLQLSEIRKSLDRLSGSQNSINEDDGHRQCNRRKTLSKYFRDSLNQIFGKSIATTANSKNGSSSSENKHASLEDFLSSGDTIGLTLHASHVKLSSYRAWPNMHDSRFAIYRLPLRIPTAEQEYAGLWGGTFGWPPGKSSKDKPGKALFFLLLSYEECQGQQLLIATKILEGTHYVLHPNGSAMFVVNIDEPSFDPFPWDTDADADSLAVNIKHVFKGEGIANGYGFRYPGSKPGSLFVFQDGQLAFIWNESRAVLTLQRLNLQELLKKGVRVPALPPIANFSYLTKSYSNVFAEFPNTSTSWVSSREEHSDP >ONI14316 pep chromosome:Prunus_persica_NCBIv2:G4:22942852:22947324:-1 gene:PRUPE_4G275200 transcript:ONI14316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESCGSSLLLALSDDVFSIVTWSLSPRDIGNLSLCCRSLYALMESEKVWQIQCEMVGIVTLRDLVQWRKAVSSYKALCRFLFNVQPLMGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGIEDGPILWAPVFEIICDFDGSTSFFLHGREKGHDYVYPGFVKPIGRSCNVLLLEIEPRLHKNQGKSLHSKSFVHNSDKELSRKICRSNSGLSRSQRVFGQSETLVPFSRLAFSDRRKLLDVITSQIRLKVPDAAVGPLFPRLRDNEENFQKDMVLLLERRSMLIQMHKLGGGHMDLKASLLPSDPTQLQLSEIRKSLDRLSGSQNSINEDDGHRQCNRRKTLSKYFRDSLNQIFGKSIATTANSKNGSSSSENKHASLEDFLSSGDTIGLTLHASHVKLSSYRAWPNMHDSRFAIYRLPLRIPTAEQEYAGLWGGTFGWPPGKSSKDKPGKALFFLLLSYEECQGQQLLIATKILEGTHYVLHPNGSAMFVVNIDEPSFDPFPWDTDADADSLAVNIKHVFKGEGIANGYGFRYPGSKPGSLFVFQDGQLAFIWNESRAVLTLQRLNLQELLKKGVRVPALPPIANFSYLTKSYSNVFAEFPNTSTSWVSSREEHSDP >ONI11167 pep chromosome:Prunus_persica_NCBIv2:G4:4533548:4536051:-1 gene:PRUPE_4G090900 transcript:ONI11167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVEQLQDDSFLSQCPPPLSLQGIFSGIRIPLYRFGSNSVLDKGSVGGISCLIEEEQSVLDSILLAQWEDRMWKGLFRYDVTTSEIKVIGGRRKFIAQFNEGWSRDCMPKLGKNKIPHQKDVFEFSWMERQEELLFCVASGEKLNPELVLSAAVPDCGLLITINSSPVEYGHVFLVPHSSYILHQFLDARSLELVLHVAVEVNNSSFRQFYDYSPSASHIYFQACYLQIPLPVEFMPLDTFFGDGQEGMRISSVTDYPIKTLVFESNHLNMMMEVLAEICSCLQEKLIPYNLLISDRGKRIFLFFQLQTSKNFCTLSAWECGGYFVVKSRSEFDQATEQALLKQLTTVSLDDEGFQGVKRLCCTIAGKFTS >ONI12178 pep chromosome:Prunus_persica_NCBIv2:G4:8493164:8496669:-1 gene:PRUPE_4G148800 transcript:ONI12178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSEMVQRPSPRGAHQLRTSSSDSDPLHHRPITDRSPKLGDRRSPRGSQSDPLNQKKLGTRLADLESQLGQAQEELRILKEQLASAEAAKKEAQEKLEKKIKKPVVTSEPVKIHETHPSLEIQDSNKTDSCTSEEVPDDCQRETDVFEVPVEHVTVEPKVEPSETDQVEEETKPVDISAEPPSVMEPEKLLLHDLALKNDEINMLRAELEEKAKELEVFGQENEGLKNQLNEAALNFSSAQAKDKEMTLKLSQLEEELEASKASAGQLNEKLQAMEGAKEAKEAMDAEMKKMRIQTEQWRKAADAAAAVLSGGMEMNGRISERCGSMDKHFGGVFEPPAGGYAGFVGSPADDLDDGFGSGKRKGSGIKMFGDLWKKKGQR >ONI12179 pep chromosome:Prunus_persica_NCBIv2:G4:8493247:8496669:-1 gene:PRUPE_4G148800 transcript:ONI12179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSEMVQRPSPRGAHQLRTSSSDSDPLHHRPITDRSPKLGDRRSPRGSQSDPLNQKKLGTRLADLESQLGQAQEELRILKEQLASAEAAKKEAQEKLEKKIKKPVVTSEPVKIHETHPSLEIQDSNKTDSCTSEEVPDDCQRETDVFEVPVEHVTVEPKVEPSETDQVEEETKPVDISAEPPSVMEPEKLLLHDLALKNDEINMLRAELEEKAKELEVFGQENEGLKNQLNEAALNFSSAQAKDKEMTLKLSQLEEELEASKASAGQLNEKLQAMEGAKEAKEAMDAEMKKMRIQTEQWRKAADAAAAVLSGGMEMNGRISERCGSMDKHFGGVFEPPAGGYAGFVGSPADDLDDGFGSGKRKGSGIKMFGDLWKKKGQR >ONI12174 pep chromosome:Prunus_persica_NCBIv2:G4:8493246:8496241:-1 gene:PRUPE_4G148800 transcript:ONI12174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSEMVQRPSPRGAHQLRTSSSDSDPLHHRPITDRSPKLGDRRSPRGSQSDPLNQKKLGTRLADLESQLGQAQEELRILKEQLASAEAAKKEAQEKLEKKIKKPVVTSEPVKIHETHPSLEIQDSNKTDSCTSEEVPDDCQRETDVFEVPVEHVTVEPKVEPSETDQVEEETKPVDISAEPPSVMEPEKLLLHDLALKNDEINMLRAELEEKAKELEVFGQENEGLKNQLNEAALNFSSAQAKDKEMTLKLSQLEEELEASKASAGQLNEKLQAMEGAKEAKEAMDAEMKKMRIQTEQWRKAADAAAAVLSGGMEMNGRISERCGSMDKHFGGVFEPPAGGYAGFVGSPADDLDDGFGSGKRKGSGIKMFGDLWKKKGQR >ONI12173 pep chromosome:Prunus_persica_NCBIv2:G4:8493512:8494945:-1 gene:PRUPE_4G148800 transcript:ONI12173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSEMVQRPSPRGAHQLRTSSSDSDPLHHRPITDRSPKLGDRRSPRGSQSDPLNQKKLGTRLADLESQLGQAQEELRILKEQLASAEAAKKEAQEKLEKKIKKPVVTSEPVKIHETHPSLEIQDSNKTDSCTSEEVPDDCQRETDVFEVPVEHVTVEPKVEPSETDQVEEETKPVDISAEPPSVMEPEKLLLHDLALKNDEINMLRAELEEKAKELEVFGQENEGLKNQLNEAALNFSSAQAKDKEMTLKLSQLEEELEASKASAGQLNEKLQAMEGAKEAKEAMDAEMKKMRIQTEQWRKAADAAAAVLSGGMEMNGRISERCGSMDKHFGGVFEPPAGGYAGFVGSPADDLDDGFGSGKRKGSGIKMFGDLWKKKGQR >ONI12175 pep chromosome:Prunus_persica_NCBIv2:G4:8493247:8495121:-1 gene:PRUPE_4G148800 transcript:ONI12175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSEMVQRPSPRGAHQLRTSSSDSDPLHHRPITDRSPKLGDRRSPRGSQSDPLNQKKLGTRLADLESQLGQAQEELRILKEQLASAEAAKKEAQEKLEKKIKKPVVTSEPVKIHETHPSLEIQDSNKTDSCTSEEVPDDCQRETDVFEVPVEHVTVEPKVEPSETDQVEEETKPVDISAEPPSVMEPEKLLLHDLALKNDEINMLRAELEEKAKELEVFGQENEGLKNQLNEAALNFSSAQAKDKEMTLKLSQLEEELEASKASAGQLNEKLQAMEGAKEAKEAMDAEMKKMRIQTEQWRKAADAAAAVLSGGMEMNGRISERCGSMDKHFGGVFEPPAGGYAGFVGSPADDLDDGFGSGKRKGSGIKMFGDLWKKKGQR >ONI12176 pep chromosome:Prunus_persica_NCBIv2:G4:8493246:8496669:-1 gene:PRUPE_4G148800 transcript:ONI12176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSEMVQRPSPRGAHQLRTSSSDSDPLHHRPITDRSPKLGDRRSPRGSQSDPLNQKKLGTRLADLESQLGQAQEELRILKEQLASAEAAKKEAQEKLEKKIKKPVVTSEPVKIHETHPSLEIQDSNKTDSCTSEEVPDDCQRETDVFEVPVEHVTVEPKVEPSETDQVEEETKPVDISAEPPSVMEPEKLLLHDLALKNDEINMLRAELEEKAKELEVFGQENEGLKNQLNEAALNFSSAQAKDKEMTLKLSQLEEELEASKASAGQLNEKLQAMEGAKEAKEAMDAEMKKMRIQTEQWRKAADAAAAVLSGGMEMNGRISERCGSMDKHFGGVFEPPAGGYAGFVGSPADDLDDGFGSGKRKGSGIKMFGDLWKKKGQR >ONI12177 pep chromosome:Prunus_persica_NCBIv2:G4:8493165:8495339:-1 gene:PRUPE_4G148800 transcript:ONI12177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSEMVQRPSPRGAHQLRTSSSDSDPLHHRPITDRSPKLGDRRSPRGSQSDPLNQKKLGTRLADLESQLGQAQEELRILKEQLASAEAAKKEAQEKLEKKIKKPVVTSEPVKIHETHPSLEIQDSNKTDSCTSEEVPDDCQRETDVFEVPVEHVTVEPKVEPSETDQVEEETKPVDISAEPPSVMEPEKLLLHDLALKNDEINMLRAELEEKAKELEVFGQENEGLKNQLNEAALNFSSAQAKDKEMTLKLSQLEEELEASKASAGQLNEKLQAMEGAKEAKEAMDAEMKKMRIQTEQWRKAADAAAAVLSGGMEMNGRISERCGSMDKHFGGVFEPPAGGYAGFVGSPADDLDDGFGSGKRKGSGIKMFGDLWKKKGQR >ONI10635 pep chromosome:Prunus_persica_NCBIv2:G4:2801100:2803313:1 gene:PRUPE_4G058700 transcript:ONI10635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSFQAYLMNLILISVIINPLVLGCYNSIIGFGDSITDTGNLYNSDPNRSLNFFHPPYGETYFHHPTGRCSDGRLVIDFIAEFLGLPLVPPYLESQSSNQSVQNFEAGVNFAVAGATALDAAFLEEMGDSVSCTNDSLSIQLEWFKQMLPSLCNTSSDCNKVLSTSLILMGEIGGNDYNDALLAGKSIEKVQAYVPLVIETIASTINELIELGAATLLVPGNFPIGCLPAYLTKYESSDKNQYDPSTGCLNWLNKFSGYHNDQLQIALSRIRRLHPQVTIIYADYYNAMLQLYQSPDQFGFIGETSKACCGGGGPYNYNASALCGDAGASACENPAQFISWDGLHSTEAAHRWITKAILQGNYTVPRVSTLCDSPV >ONI13267 pep chromosome:Prunus_persica_NCBIv2:G4:13266928:13269665:-1 gene:PRUPE_4G212700 transcript:ONI13267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDKKKAPELRQVIAATVASDPTKYSEAFLGKPNEEYCAWILDSEKWGGAIELSILADYYGREIAAYDIQTTRCDLYGQEKKYSERALLIYDGLHYDALAMSPFEGAPEEFDQTIFAVKDSTIGPVEGLALNLVKEQQSKRRYTDTANFTLRCGVCQLGLVGQKEAVEHARATGHVNFQEYR >ONI13266 pep chromosome:Prunus_persica_NCBIv2:G4:13266899:13271205:-1 gene:PRUPE_4G212700 transcript:ONI13266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIVVRRVIPSDNSCLFNAVGYVMDHDKKKAPELRQVIAATVASDPTKYSEAFLGKPNEEYCAWILDSEKWGGAIELSILADYYGREIAAYDIQTTRCDLYGQEKKYSERALLIYDGLHYDALAMSPFEGAPEEFDQTIFAVKDSTIGPVEGLALNLVKEQQSKRRYTDTANFTLRCGVCQLGLVGQKEAVEHARATGHVNFQEYR >ONI10350 pep chromosome:Prunus_persica_NCBIv2:G4:2007227:2012956:-1 gene:PRUPE_4G042600 transcript:ONI10350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFRKIFEKFTFQDSAGAEEDKKDELQDGAPQKKADSDADEDEQDNQQKERGGISNKKKKLQRRMKIAELKQICARPDVVEVWDATAADPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTLGDLYHEGKEFEVKLREMKPGMLSHELKEALGMPDGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHAGGWGKPPVDEYGQPLYGDVFGVQQQDQPNYEEEPVDKTKHWGDLEEEEEEEEEEEEEEQLEEEDLQDGIESVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEEKIAPGTLLGTTHTYVVGSGTQDKAGAKRVDLLRGQKADKVDVTLQPEELELMENVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKEGKNKKKDFKF >ONI10349 pep chromosome:Prunus_persica_NCBIv2:G4:2006995:2013028:-1 gene:PRUPE_4G042600 transcript:ONI10349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEVLSQPNGVVANGDLNPVSNNATAAKNSKESERRRRRRKQKKNNKASQAPEASAGDESDEGDAKESKVPQQIVEKVEIEYVPEKAALNDGMDEEFRKIFEKFTFQDSAGAEEDKKDELQDGAPQKKADSDADEDEQDNQQKERGGISNKKKKLQRRMKIAELKQICARPDVVEVWDATAADPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTLGDLYHEGKEFEVKLREMKPGMLSHELKEALGMPDGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHAGGWGKPPVDEYGQPLYGDVFGVQQQDQPNYEEEPVDKTKHWGDLEEEEEEEEEEEEEEQLEEEDLQDGIESVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEEKIAPGTLLGTTHTYVVGSGTQDKAGAKRVDLLRGQKADKVDVTLQPEELELMENVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKEGKNKKKDFKF >ONI11887 pep chromosome:Prunus_persica_NCBIv2:G4:7328840:7331736:-1 gene:PRUPE_4G132500 transcript:ONI11887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEENTVDIDFPLFKPFRPKTPKPSQTPNPNPTPTPDPSSTETEIEKSTNPNTDSAATFSDLGLADWVLRTCTELGMRKPTAVQTHCIPKILAGRDVLGLAQTGSGKTAAFALPILQRLAEDPFGVFALVITPTRELAYQLAEQFRGLGSSLHLRCSVIVGGMDMLTQAQSLMARPHVVIATPGRVKVLLQQNPDIPLVFSNTKFLVLDEADRVLDAGFEEELRVVFQCLPKSRQTLLFSATMTSDLQTLIELSENKAYFYEAYEGFKTVDTLKQEYVFISQNVKDVYLVYILSKLEDMGVRSAIIFVSTCRSCHLLSLLLEELDQRVSALHSFKAQSLRLAALHRFKSGQVPVLLATDVASRGLDIPTVDLVINYDIPRYPRDYVHRVGRTARAGRGGLAISLVTQNDVKLIHKIEDVVGKQMEKFECKEDEVLADITKIYKARRVAAMKMVDDGFEEKEKERKKQKLNTLAEKGLLKKRSRKRRREKSAK >ONI10616 pep chromosome:Prunus_persica_NCBIv2:G4:2737780:2739749:1 gene:PRUPE_4G057200 transcript:ONI10616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLLRRLSTSPPLLMEPANSCVGSQRLLALAQQLRLYKPPPFSSDDDIEEQRIEEIAHKVVSQVGFAESNTPIAQDPERFRPKRAAVLLCLFEGDVGDLRVILTKRSSKLSTHSGEVALPGGKTEEGDKDDGDTATREAKEEIGLDPSLVNVVTVLEPFLSKHLLRVVPVIGILNEKEAFKAAPNPAEVEAVFDAPLEMFIKDENRRSEEREWMGNKYLIHFFDYETKNKKYIIWGLTAGILIRAASIVYKRSPPFVEQNPIYKVPRVVDINTTIP >ONI11374 pep chromosome:Prunus_persica_NCBIv2:G4:5316275:5321440:1 gene:PRUPE_4G103800 transcript:ONI11374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPPPLSKLALHLVNHDDKDKKYVVLVATGSFNPPTYMHLRMLELARDALSSEGFCVIGGYMSPVNDAYYKRGLISAEHRVQLCRLACQSSEFIMVDPWENPLSACLCVALIYSTLLAFLEFGLLSRSEAYLEIMGWFAFAGKDKMLIKLSQMMKF >ONI11375 pep chromosome:Prunus_persica_NCBIv2:G4:5317951:5320073:1 gene:PRUPE_4G103800 transcript:ONI11375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPPPLSKLALHLVNHDDKDKKYVVLVATGSFNPPTYMHLRMLELARDALSSEGFCVIGGYMSPVNDAYYKRGLISAEHRVQLCRLACQSSEFIMVDPWEARQSSFQRTLTILSRVKGSLSEAGLIPSGQRHI >ONI11372 pep chromosome:Prunus_persica_NCBIv2:G4:5316275:5321440:1 gene:PRUPE_4G103800 transcript:ONI11372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPPPLSKLALHLVNHDDKDKKYVVLVATGSFNPPTYMHLRMLELARDALSSEGFCVIGGYMSPVNDAYYKRGLISAEHRVQLCRLACQSSEFIMVDPWEARQSSFQRTLTILSRVKGSLSEAGLIPSESLKCMLVCGSDLLHSFGIPGIWIAEQGNIRIVDELVPNQISSTRIRHCISRGLSIKYVTADEVIDYIRQHQLYLNSNDM >ONI11373 pep chromosome:Prunus_persica_NCBIv2:G4:5316275:5321440:1 gene:PRUPE_4G103800 transcript:ONI11373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPPPLSKLALHLVNHDDKDKKYVVLVATGSFNPPTYMHLRMLELARDALSSEGFCVIGGYMSPVNDAYYKRGLISAEHRVQLCRLACQSSEFIMVDPWEVRGIFRDYGVVCIRREGQDVDKIISNDEILKENRGNIRIVDELVPNQISSTRIRHCISRGLSIKYVTADEVIDYIRQHQLYLNSNDM >ONI11371 pep chromosome:Prunus_persica_NCBIv2:G4:5316275:5321440:1 gene:PRUPE_4G103800 transcript:ONI11371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPPPLSKLALHLVNHDDKDKKYVVLVATGSFNPPTYMHLRMLELARDALSSEGFCVIGGYMSPVNDAYYKRGLISAEHRVQLCRLACQSSEFIMVDPWEARQSSFQRTLTILSRVKGSLSEAGLIPSESLKCMLVCGSDLLHSFGIPGIWIAEQVRGIFRDYGVVCIRREGQDVDKIISNDEILKENRGNIRIVDELVPNQISSTRIRHCISRGLSIKYVTADEVIDYIRQHQLYLNSNDM >ONI10634 pep chromosome:Prunus_persica_NCBIv2:G4:2799749:2800992:1 gene:PRUPE_4G058600 transcript:ONI10634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPVQMKTIIASCIACVAVSLIGSFVASYTLNIGGTPRTCCGGGGPYNYNSAQASACHGPSQFINWDAIRFTEAAYRWMTNDLLKGKYTIPQIGTLCVSEV >ONI14065 pep chromosome:Prunus_persica_NCBIv2:G4:18719382:18721230:-1 gene:PRUPE_4G260000 transcript:ONI14065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLLSINSSSSKPKLALCNSFLAISALILLSFYIFFFDKSHNYQPSNFLEAFKQSCPEANTSSPSPLPPTNLNHIVVGIVGSMNTWKKKKAYTEAWWKPNVTRGYLFLDRAPTLEFLPWPSSAPPFRVNEDITGFKVYPKIVRPDQVRIIRTILETFREGDKDVRWYVMGDDDTVLFVDNLVEVLAKYDHTKYYYIGSSSECIHSNFDFSFDMAFGGGGYALSYPLVATLATKLDECIERYPYLRFSDFMLHICLADLGVALTQEKGFHQIDLHGDISGLLSSHPQSPLLSLHHIDTIDPIFPFMSRSESINHLMRPAKVDPSRLLQQTICYHRPSNWSFSISWGYSAHIYENVIPRSILRRPLETFRPWKNTRPPFYVFNTRLTYNDPCQAPHLFSFDSIDENVKGNQTVTTYSRTAPRGLPPCSSSGNHSADFITKIQVTLPAIRLEARGIDCCDIAYAPDINTTQVIYRACMEGEIIA >ONI13889 pep chromosome:Prunus_persica_NCBIv2:G4:17069166:17069760:1 gene:PRUPE_4G252700 transcript:ONI13889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPILHSPTSAAVTLLIVIYIKHPFVFSTTHLAVPTDNDPYMPSIIVVPLFQQAHPPSLSLSLSLSLSLSLSPFTVPHQIWNLHVLCIKEAVKLHRELDTLAHRFIPTLPFPMTASSKEEQSIRLVDDLSQKKRKYGLLG >ONI10355 pep chromosome:Prunus_persica_NCBIv2:G4:2040634:2042060:1 gene:PRUPE_4G043000 transcript:ONI10355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVSSLECLKLDSQSEVLYKDGGGKDLLSPAMVPINCDTPITGSEADESEADDSDTDDFETNARDTDESETDESETDKSETDDSKTDDSKTEKLISHECGYCLKMGDHFTQLCHYRYHVPENAIVGKRCVVICHCCGCHFRDSRCSRCGGSDGFAMTMYCIHCRNYGEHMTYMCPNREPTPHLCSL >ONI11716 pep chromosome:Prunus_persica_NCBIv2:G4:6632341:6632590:1 gene:PRUPE_4G122400 transcript:ONI11716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLPTKVACQVATLAWSTSLSVAGTIFRKMPNLSTIKTCQPSVPRRSPGCASYRLWSRILSPSRTRTGSPTARTRSPSS >ONI12263 pep chromosome:Prunus_persica_NCBIv2:G4:8849264:8853206:1 gene:PRUPE_4G154500 transcript:ONI12263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLTAQLATATLDNNASVQRAQFSNRVPIKSIILRPDGGSGLAGKLARVGGWVKTGRKADKDAFAFLELNDGSCSGNLQVIVEADKGDLGQLVLTGTSLVVDGVLKLPPDGKRQKVELRVEKVIHVGLVDPTKYPLPKTRLPLEFLRDYVHLRSRTNTISAVLRIRDALAYATHTFFHDHGFRYVQTPIITTSDCEGAGEMFQVTTLINEAEKLEKDLIENPPPSEADIEAAKLIAKERGSDVAQLKSAKASKQEIGAAVVELQKAKDNLVKLEERSKLQPGIPRKDGKIDYTQDFFGRQAFLTVSGQLQVESYACSLSTVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFAELEDDMNCAEAYVKYLCQSLLDNCREDMEFMADKIDKSCIDRLTMVAKTPFVRITYTEAVELLTEAVKNGKKFENHVEWGIDLASEHERYLTEVRFQKPVIVYNYPKGIKAFYMRLNDDSKTVAAMDVLVPKVGELIGGSQREERYDVIVSRIEEMGLPLEPYEWYLDLRRYGTVKHCGFGLGFERMVLFATGIDNIRDVIPFPRYPGRADL >ONI12264 pep chromosome:Prunus_persica_NCBIv2:G4:8849264:8853206:1 gene:PRUPE_4G154500 transcript:ONI12264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLTAQLATATLDNNASVQRAQFSNRVPIKSIILRPDGGSGLAGKLARVGGWVKTGRKADKDAFAFLELNDGSCSGNLQVIVEADKGDLGQLVLTGTSLVVDGVLKLPPDGKRQKVELRVEKVIHVGLVDPTKYPLPKTRLPLEFLRDYVHLRSRTNTISAVLRIRDALAYATHTFFHDHGFRYVQTPIITTSDCEGAGEMFQVTTLINEAEKLEKDLIENPPPSEADIEAAKLIAKERGSDVAQLKSAKASKQEIGAAVVELQKAKDNLVKLEERSKLQPGIPRKDGKIDYTQDFFGRQAFLTVSGQLQVESYACSLSTVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFAELEDDMNCAEAYVKYLCQSLLDNCREDMEFMADKIDKSCIDRLTMVAKTPFVRITYTEAVELLTEAVKNGKKFENHVEWGIDLASEHERYLTEVRFQKPVIVYNYPKGIKAFYMRLNDDSKTVAAMDVLVPKVGELIGGSQREERYDVIVSRIEEMGLPLEPYEWYLDLRRYGTVKHCGFGLGFERMVLFATGIDNIRDVIPFPRYPGRADL >ONI13488 pep chromosome:Prunus_persica_NCBIv2:G4:14194011:14194573:-1 gene:PRUPE_4G225200 transcript:ONI13488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELLRHPRIMEKLQNEVRGIVGKKTDIIREDDLVEMHYLKAVIKETLRLHPSIPLLLPRLSTQDAQINGYDIKANTQVIVNAWQIGRDPKSYNKPEVFEPERFLDSAIDYKGNYFHYIPFGAGRRVCPGIQFAMAVQEIALANLVHKGEDLDMTESTGASVRRVYPLKVVAISYLG >ONI11954 pep chromosome:Prunus_persica_NCBIv2:G4:7565595:7567555:1 gene:PRUPE_4G136500 transcript:ONI11954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVSSLFRVLNGYSDDQHLSLGSDSSGEKSTALITRDLLGGGSSSKLANESQELDLDLQVPNGWEKRLDLKSGKVYLQRCSAPNSLSISDQRDQTNPTVPKMQDLNFPPSSSSKIPLSLFDDTSTSLELKLVPSSPPSCNYQSLCTLDKVKSALERAEKEPIKRRSSSMWKSSLSSSPSYSSSSSSIKETQEEESEEKLFASPFAAGCPGCLSYVLIMRNNPKCPRCNSVVPLPMMKKPRLDLNRST >ONI12718 pep chromosome:Prunus_persica_NCBIv2:G4:10679240:10680055:-1 gene:PRUPE_4G180100 transcript:ONI12718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTSRPQEIREKQPYSYSPINSPRSNGTNTNTKTNTNSSVCSSNTNNNNNNNNSSGVQIPTTPKLTTPRSDPNPYPTTFVQADTTNFKHVVQMLTGSSETVSHQSSPKPTTTHHHHHPHSHQDPTVLPSSNKSFNIPPIKTAPPKKQGFKLYERRSTNLKNTLMINTLMPNFPHQASGFSPRHQEILSPSLLDFPSLTLSPVTPLNDDPFDKSSSSPSLGNSSSEEDRAIAEKGFYLHPSPRTTTTPRDPEPRLLPLFPVTSPRVSGSSS >ONI14371 pep chromosome:Prunus_persica_NCBIv2:G4:23239665:23246693:1 gene:PRUPE_4G277600 transcript:ONI14371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFEGLLASDFGFKPSGKSAPMSASSANSSKAPNFDLGSSGPSRSTRATNSFSGSLADDRDSIFGPSKTQEFGDIFGGSARYSTKSESTKSPSRGEDAAFNFDSMFGGSTDSVPKSSNPGPVYDKPVYDDDIFDGVPGLKSTSSKVKYEDVFSTVTSPPSKGSSSGFDDLLGGFGKAEPQLKSSGSRGSDRAEKVVPGLDDLLPGFGGSNPASERSTSEANWPPETNANNLSKTTSKVMEDPFVVPGQFKDPLEEISRLSKSASSKVDSPSVDNGRAFDDIDPFDGLGKSVPVFSSGRNYRGKDSGNLRADTSTNNSRASTAKESTEKPSVKSPDNQSQKKVPVGNHWDSHQTLFDMPTVSTDSQKSAGQTMSPPSYVNVSPKEANVQVDRSPRSEENLDSSDFLWLTVSEIPLMTQPTSAPPPSRPPPPRPVQVSKTRMGSPATTNARKKASESSTQFFQAPKSAPAAARGPGVSSIDELEDFAMGKSQSNFDEHANGLPGEELEMNSVAAAMKEAMDRAEAKFRHAKEVRERGSTKAARSKEAQLEKDEKAMQDEKVLREKQERLDSERLQRESEEEDMEQSRVEKEREIERVWEEKEREQRKLERERERTREMERERDKARQAVERATREARERAAAEARIKAERAKSERAAVDKVTAEARERAERAAVQRAQAEARERAAAEAKERAEKAAAEARERANAEAKEREARERAAAARAGAEARTRAERAAVERAAAEARERAAAEARERAAAAAARANQQKSENDLEHFFSMGRASSAPRPRANSSDPFQNRQEPEVPKTSSTASSNIRKANSTTNIVDDLSAIFGAAPSSGGEFQEVEGETEERRRARLERHQRTQERTAKALAEKNERDLHALREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTMQYVLWPECGWQPVSLTDMITAASVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >ONI14372 pep chromosome:Prunus_persica_NCBIv2:G4:23241110:23246693:1 gene:PRUPE_4G277600 transcript:ONI14372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEDHLWSTSEANWPPETNANNLSKTTSKVMEDPFVVPGQFKDPLEEISRLSKSASSKVDSPSVDNGRAFDDIDPFDGLGKSVPVFSSGRNYRGKDSGNLRADTSTNNSRASTAKESTEKPSVKSPDNQSQKKVPVGNHWDSHQTLFDMPTVSTDSQKSAGQTMSPPSYVNVSPKEANVQVDRSPRSEENLDSSDFLWLTVSEIPLMTQPTSAPPPSRPPPPRPVQVSKTRMGSPATTNARKKASESSTQFFQAPKSAPAAARGPGVSSIDELEDFAMGKSQSNFDEHANGLPGEELEMNSVAAAMKEAMDRAEAKFRHAKEVRERGSTKAARSKEAQLEKDEKAMQDEKVLREKQERLDSERLQRESEEEDMEQSRVEKEREIERVWEEKEREQRKLERERERTREMERERDKARQAVERATREARERAAAEARIKAERAKSERAAVDKVTAEARERAERAAVQRAQAEARERAAAEAKERAEKAAAEARERANAEAKEREARERAAAARAGAEARTRAERAAVERAAAEARERAAAEARERAAAAAARANQQKSENDLEHFFSMGRASSAPRPRANSSDPFQNRQEPEVPKTSSTASSNIRKANSTTNIVDDLSAIFGAAPSSGGEFQEVEGETEERRRARLERHQRTQERTAKALAEKNERDLHALREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTMQYVLWPECGWQPVSLTDMITAASVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >ONI09893 pep chromosome:Prunus_persica_NCBIv2:G4:790703:794480:1 gene:PRUPE_4G016500 transcript:ONI09893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTKKSPPIPARHVLRFSWQLVVILSITLCVLAFFKLHSQPDLYSSPSSLSIARSRVSRHGNNFSGPPKIAFLFLARRSLPLDFLWGSFFESADMPNFSIYIHSAPGFSFDESTTRSHFFYGRQLTNSIQVGWGESSMIEAERLLFATALEDPANQRFVLLSDSCVPLYNFSYIYNYLMASPRSFVDSFLDVKEGRYNPKMSPNIPKQKWRKGSQWIALVRSHAEVLVDDEVVLPAFRKFCKRRPPLDARKGKLNIKLQKQHNCIPDEHYVQTLLTMSEREDELERRTLTYTLWNNSATKTESKGWHPMTFTHANAAPHKIKEIKEINHVYYETEYRTEWCRVNSTYVPCFLFARKFSQGAAMRLLSEGVVGQFDTSSLLDPPP >ONI09894 pep chromosome:Prunus_persica_NCBIv2:G4:790703:794480:1 gene:PRUPE_4G016500 transcript:ONI09894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTKKSPPIPARHVLRFSWQLVVILSITLCVLAFFKLHSQPDLYSSPSSLSIARSRVSRHGNNFSGPPKIAFLFLARRSLPLDFLWGSFFESADMPNFSIYIHSAPGFSFDESTTRSHFFYGRQLTNSIQVGWGESSMIEAERLLFATALEDPANQRFVLLSDSCVPLYNFSYIYNYLMASPRSFVDSFLDVKEGRYNPKMSPNIPKQKWRKGSQWIALVRSHAEVLVDDEVVLPAFRKFCKRRPPLDARKGKLNIKLQKQHNCIPDEHYVQTLLTMSEREDELERRTLTYTLWNNSATKTESKGWHPMTFTHANAAPHKIKEIKEINHVYYETEYRTEWCRVNSTYVPCFLFARKFSQGAAMRLLSEGVVGQFDTSSLLDPPP >ONI12773 pep chromosome:Prunus_persica_NCBIv2:G4:10815979:10816956:-1 gene:PRUPE_4G182600 transcript:ONI12773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGHTKFTTLSRLFWIGNLAFCTAGLFLIAFMHHIVGTIFSWFPNRSQNDHEIGDDRSPHHHDQDSLQQAPAQDIVALFHMVLMEVLDRVWEDLVTEEGPEQRPQAAALQFQALEALPPPLQYCKGGLDITSSCCGDRCTICLDDFMDGESCRVFACNHMFHSNCIDPWLKNHLTCPICRNSVVHV >ONI14464 pep chromosome:Prunus_persica_NCBIv2:G4:23993257:23999486:-1 gene:PRUPE_4G281800 transcript:ONI14464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCGNCSYCSKGHDDLCEDFFAYNRAKGTLYDGETRLFLHSSGKPVYMYSMGGLAEYCVVPANGLTILPKSLPYTESAILGCAVFTAYGAMANAAEVRPGDSIAVIGIGGVGSSCLQIARAFGASDIIAVDVQDEKLQKAKIFGATHAINAAKEDAVGKIMTFLQCVQSVKDGGKAVMIGLAQSGSVGEVDINRLVRRKIQIIGSYGGRARQDLPKVVRLAETGVFDLTNAVSRKYTFEESNDAFQDLNKGNIVGRAVIEIM >ONI14463 pep chromosome:Prunus_persica_NCBIv2:G4:23993609:23999259:-1 gene:PRUPE_4G281800 transcript:ONI14463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRSAIAKRLVSSNSSSSSSTSSSGWSWLCQKTSSSRSSSSLVGQCLNDDDNNNKKLEFEFDGIGKTSKSSSSRWFHSASLGGGGGLPSSMRAAVFREPNKPLTIEEFHIPRPKSGEILLKTKACGVCHSDLHVMKGELPFASPCVVGHEITGEVVEHGPATDTKTIARLPIGSRVIGAFIMPCGNCSYCSKGHDDLCEDFFAYNRAKGTLYDGETRLFLHSSGKPVYMYSMGGLAEYCVVPANGLTILPKSLPYTESAILGCAVFTAYGAMANAAEVRPGDSIAVIGIGGVGSSCLQIARAFGASDIIAVDVQDEKLQKAKIFGATHAINAAKEDAVGKIMTFLQCVQSVKDGGKAVMIGLAQSGSVGEVDINRLVRRKIQIIGSYGGRARQDLPKVVRLAETGVFDLTNAVSRKYTFEESNDAFQDLNKGNIVGRAVIEIM >ONI10569 pep chromosome:Prunus_persica_NCBIv2:G4:2619509:2619960:-1 gene:PRUPE_4G054300 transcript:ONI10569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONI11604 pep chromosome:Prunus_persica_NCBIv2:G4:6257151:6259483:-1 gene:PRUPE_4G115800 transcript:ONI11604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNSGVLARQLLGNRSKLCAALLNHHHKLPSNSFQTLASISTTPSSSHTTPSNSKPFSKSVHHFSNPHFLQHRPLSSPSGPSKIVPIRSENEYDSAVNIVQDKALPGLFYFTAVWCGPCRFISPVIGELSEQYPHVTTYKIDIDEEGLANTLGKLNISSVPTFQFFQDGKKVAEIIGADVARLRDTFGKLYKQE >ONI11605 pep chromosome:Prunus_persica_NCBIv2:G4:6257732:6259483:-1 gene:PRUPE_4G115800 transcript:ONI11605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNSGVLARQLLGNRSKLCAALLNHHHKLPSNSFQTLASISTTPSSSHTTPSNSKPFSKSVHHFSNPHFLQHRPLSSPSGPSKIVPIRSENEYDSAVNIVQDKALPGLFYFTAVWCGPCRFISPVIGELSEQYPHVTTYKIDIDEEGLANTLGKLNISSVPTFQFFQDGKKVAEIIGADVARLRDTFGKLYKSYLWTK >ONI13041 pep chromosome:Prunus_persica_NCBIv2:G4:12340669:12342995:1 gene:PRUPE_4G199400 transcript:ONI13041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLALLAIFLILIYKRFSTSANSSPPSPPKLPIIGNLHQLGQHLQRSLQTLAQRHGPLMLLHFGSVPVLVVSSDETAREIMKTHDITFANRPKNTFFKKFCYNFKDEGSAPYGEYWRQLKSICVLNLLSNTRVRSFRAVREEETKSMIDDITKHYSSSPSSISSAFNLSEMLETLTNDVICRVALGRKYRDGGECGRTFKKLAGELTLVMSRIHIGDYIPWLAWIARLNGLDAKLDEIVVQEHMDEFRGLTKNEDQKDLKDILLCLQADSPIDRVSIKAVILDVFVGGTNTSFTLLEWTMSELLRHPRIMEKLQNEVRGIVGKKTDIIREDDLVGMQYLKALIKETLRLHPSIPLLLPRLSTQDAQINGYDIKANTQVIVNAWQIGRDPKSYNKPEEFEPERFLDSAIDYKGNYFHYIPFGAVRRGCPGIQFAMAVQEIALANLVHKFDWALPDATRGEDLDMTESTGVSIRRVYPLKVVAIPY >ONI14567 pep chromosome:Prunus_persica_NCBIv2:G4:25203142:25205886:1 gene:PRUPE_4G287700 transcript:ONI14567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFHDINSAVGLKKLDDYLLARSYITGYEASKDDLIVHAALSKPPSSEFVNVSRWYNHITALLRISGVSGQGSGVIVEGSAPITEEAVATPPVADTKASAAEDDDDDVDLFGEETEEEKKAAEERAASIKASTKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVHIEGLHWGASKLVAVGYGIKKLQIMLTIVDDLVSVDTLIEEQLTVEPINEYVQSCDIVAFNKI >ONI14566 pep chromosome:Prunus_persica_NCBIv2:G4:25203356:25205781:1 gene:PRUPE_4G287700 transcript:ONI14566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTFHDINSAVGLKKLDDYLLARSYITGYEASKDDLIVHAALSKPPSSEFVNVSRWYNHITALLRISGVSGQGSGVIVEGSAPITEEAVATPPVADTKASAAEDDDDDVDLFGEETEEEKKAAEERAASIKASTKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVHIEGLHWGASKLVAVGYGIKKLQIMLTIVDDLVSVDTLIEEQLTVEPINEYVQSCDIVAFNKICNVLV >ONI13325 pep chromosome:Prunus_persica_NCBIv2:G4:13487218:13489649:-1 gene:PRUPE_4G215600 transcript:ONI13325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNNGSIWELHREGMLPPESSDGGGGGHVVEGSDGLKKGPWTAAEDQILMEYVRKRGEGNWNAVQRNSGLNRCGKSCRLRWANHLRPNLKKGVFSPEEERRILELHSKYGNKWARMASQLPGRTDNEIKNYWNTRIKRRQRQCLPLYPQDIKQSHSQATSLTIPSLTPLTTTIQNLFQPITNGSTPTFSFQTQSPTQSHHLPPLSPPPLSLSPLSSPHQTQPTSLTSFPAFDPINTITSSFSSSSTPSFTFHRPAPILGAPLCHKRYRDSIVFSQPRSPNLKRKMFRTRSMPDIASFQLTGSNSMPAIASLTRTYSMSDNPSFEFPMTFDHSFPPLPRSHFDFSDNFLSSAGSVYSVQSELPSNQVSQTQLEISIDNNLSSAAGATLAAAADETHSRSGLLDDLLREADALEACGGGNNSRTTNGILGSFEEKYVLDGYDQWLQSTSSVHGHLNSMHKDFSKLFSSIPSSESVSDWYSDSGEVSNAHSSGITDVSLDMQQMASMFPTDATAEHARASSFREEEQLSYHRDMNKVLMTQYS >ONI10170 pep chromosome:Prunus_persica_NCBIv2:G4:1486730:1490440:1 gene:PRUPE_4G032000 transcript:ONI10170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKALLVFFLLLFPFCTSIDTIALNQRVKDGDFIVSKENNFELGFFSPGNSSSRYVGIWYANKSEKAVVWVANRNNPINDTSGVLTINRYGNLVIYAHDIDSFSIWSANVSLQTTSTSVAQLLDTGNLVLFPDSISKSESIIWQSFDYPTDTLLPGMKVGLNWKTGLEWVLTSWKSQNDPGTGNYSLRLYSNQTTTPQIFLYKGLTKYWRSDPGPWPSFVSNNEEMCYLLLTDNTSVIRSLLTDHGILQQLRWNDAVNQWEELFAAPKYRCDRYGHCGANSKCSPDNINIFECECLPRYEPKSLNDWNRRDGSEGCVSKRIGVPKCGSGDGFVKVARVKDPDTSKATQLLTSTSANECERVCLSNCSCTAYMSIEREGRIDCLAWYGELWDILLHTELGRDLYVRVDKMELADNTRKSKGFLKRRGLLAIAIVAVLLAFVLIIVFVYWWLKRKRTIKDFVEADELEETRRHPELQFFHLSAIIVATDHFSPVNKLGQGGFGTVYKGLLANDQKIAVKRLSKTSGQGIEEFKNEVALIARLQHRNLVKLLGCCIKGEERMLVLEYLPNKSLDSFLFDHTRRSLLDWKKRSEIINGVARGILYLHQDSRLRIIHRDLKTSNVLLDAEMNPKISDFGMARIFHGDQLQDKTDRVVGTYGYMSPEYAVFGRYSTKSDVFSFGIILLEIVSGKKNNGSYQEEHSMNLIGHVWQLWREDRALEIVDSSLESYHSDEVMRCIQVGLLCVQEDAEDRPTMSAVVLMLSGEASLPSPQQPAFVFRKSSCGGGYLSSPQGLYSVNDLTMTKLVAR >ONI10171 pep chromosome:Prunus_persica_NCBIv2:G4:1487650:1490324:1 gene:PRUPE_4G032000 transcript:ONI10171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGLNWKTGLEWVLTSWKSQNDPGTGNYSLRLYSNQTTTPQIFLYKGLTKYWRSDPGPWPSFVSNNEEMCYLLLTDNTSVIRSLLTDHGILQQLRWNDAVNQWEELFAAPKYRCDRYGHCGANSKCSPDNINIFECECLPRYEPKSLNDWNRRDGSEGCVSKRIGVPKCGSGDGFVKVARVKDPDTSKATQLLTSTSANECERVCLSNCSCTAYMSIEREGRIDCLAWYGELWDILLHTELGRDLYVRVDKMELADNTRKSKGFLKRRGLLAIAIVAVLLAFVLIIVFVYWWLKRKRTIKDFVEADELEETRRHPELQFFHLSAIIVATDHFSPVNKLGQGGFGTVYKGLLANDQKIAVKRLSKTSGQGIEEFKNEVALIARLQHRNLVKLLGCCIKGEERMLVLEYLPNKSLDSFLFDHTRRSLLDWKKRSEIINGVARGILYLHQDSRLRIIHRDLKTSNVLLDAEMNPKISDFGMARIFHGDQLQDKTDRVVGTYGYMSPEYAVFGRYSTKSDVFSFGIILLEIVSGKKNNGSYQEEHSMNLIGHVWQLWREDRALEIVDSSLESYHSDEVMRCIQVGLLCVQEDAEDRPTMSAVVLMLSGEASLPSPQQPAFVFRKSSCGGGYLSSPQGLYSVNDLTMTKLVAR >ONI12420 pep chromosome:Prunus_persica_NCBIv2:G4:9489284:9491374:-1 gene:PRUPE_4G163700 transcript:ONI12420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCHWKGVTCNQDGWVIHLLLPSKGLKGGISLTSLGNLTHLTHLNLSHNSLYGSLQTQFFLSLNRLKILDLSYNLLSEELPLSLPSNNIRKLDLSSNHFYGAIPSSFFHQASNLISFNVSNNTFTGYVPPSICLHASPFLRILDFSSNEFSGNLAPGLGECFELQIFHAGHNNLSGLLPEDIYNATKLEEISLPLNSLHGAVSDKIVNLTDLAILDLDFNNFGGELPLKMGKLSKLKVVILDFNNFEGALPSSLMNCTNLVELRLGSNNLEGDISKLDFSRLSQLTKLDLWYNNFTGTVPVSLYSCRSLKAIRLTRNHLEGQIQAEILSLKSLSFLSLGYNRFTNLTGAMKILMSCKSLHVLLLTGSFKGEGIPTDDDMVDFDGFHNLLFLSLARSDLIGQIPVWLSKLKNLEILQLGFNQITGPIPSWLGTLPRLFYISLPNNRISGEFPKQLCRLPRLLYEPISSQAEQYEIELPVYGRIVTTRTFPSQKLAFYRAWIDVANNNIVGDIPTEIDQLYLLRGLVLSSNNFSGIIPDEISYLKYLEILDLSTNHLSGIIPSSLTSLNFLKYFNASYNNLEGPIPTGTQLQSFNASAFEGNPKLCGAPLPKKCGQNKSIDADNKNNKDMDNGLHHLPWFYVFAALGFILGFWGVCGSLIINKTWRYAYFQFTDNLQDRFYVMVTVCINTMKRRLRS >ONI13422 pep chromosome:Prunus_persica_NCBIv2:G4:13886441:13886708:1 gene:PRUPE_4G220900 transcript:ONI13422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGLHPQMQWISYVTQSGRLMHVMMTKIHHVGKVYHLKAKRQMTENLGQVAKFRQRYEKTAAPKEEP >ONI10356 pep chromosome:Prunus_persica_NCBIv2:G4:2058175:2062262:1 gene:PRUPE_4G043100 transcript:ONI10356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMVALQVTKRLSSSQLSRQELKQVWAIADEKRQGFLAFVFAVKVILGYSFLFLFFLFLVLIFDWENIKPPVMEGLDALTAVFFLWTKFSFNLHINLFLHIYKGMSSFPYCLTCSSWFLQLPLDGVTSIVDGLKILYGKTLRPLELTYNFNDFVSPSLTDGDFDAKPMVLFLGQYLTGKTTFVKHLLNVAIQVVAHIGLEPANDRFVVVMSGPDARRSIPGNTIAVNADLLFSGLASFGGSFLSKFESKAQQRLIDNLEDEFAKRELHLPAGDFPDVVCFREVLEGYDVDKLER >ONI10357 pep chromosome:Prunus_persica_NCBIv2:G4:2058258:2062262:1 gene:PRUPE_4G043100 transcript:ONI10357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLDALTAVFFLWTKFSFNLHINLFLHIYKGMSSFPYCLTCSSWFLQLPLDGVTSIVDGLKILYGKTLRPLELTYNFNDFVSPSLTDGDFDAKPMVLFLGQYLTGKTTFVKHLLNVAIQVVAHIGLEPANDRFVVVMSGPDARRSIPGNTIAVNADLLFSGLASFGGSFLSKFESKAQQRLIDNLEDEFAKRELHLPAGDFPDVVCFREVLEGYDVDKLER >ONI13495 pep chromosome:Prunus_persica_NCBIv2:G4:14248688:14249838:1 gene:PRUPE_4G225800 transcript:ONI13495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKTFLLLGLMFAVVILICSEVSARELAETTTPSSRGAVSCNRPGALCHGRGEKGNNPKPGGEHCKKNPSLRGCYNHGGHERGGEEDPIHKRHGHEHGGEHDPNHKGRGHEHGGVVLEPIHGGKGHGHERGGEGDPNHGAHCKRGETNRACYGHGGHERGGEGDPINHGSKNRPGQPGTAETETKN >ONI12356 pep chromosome:Prunus_persica_NCBIv2:G4:9102671:9103567:-1 gene:PRUPE_4G158700 transcript:ONI12356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSKPLILAKKPKPQAVVTDRISELPDAVLCHILSFLPTKLAVRTSILSTRWKNIWASVHNLDFDDEYDPWIERDDSFSMFVDRVLSFRGSADIHKFRLHCSGVEDFSRIDGWIRTAIDRNAVEFDLRVYSYSDFQIFELPQSLFMSKTLVVLKLNSDCLRYVPPKSGCFPSLKFLHVTGDYPDDESIEKLFYCCPVLEDLTIDGVVRHDADVYISAPELKTLRISLSGEDFSTQNSFSINAPKLEKLDVMENSLSNYIVKNPKSLVKAIVNLYSHYSCLQCDFSKFSSALLAGIS >ONI12358 pep chromosome:Prunus_persica_NCBIv2:G4:9101445:9104115:-1 gene:PRUPE_4G158700 transcript:ONI12358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVDRVLSFRGSADIHKFRLHCSGVEDFSRIDGWIRTAIDRNAVEFDLRVYSYSDFQIFELPQSLFMSKTLVVLKLNSDCLRYVPPKSGCFPSLKFLHVTGDYPDDESIEKLFYCCPVLEDLTIDGVVRHDADVYISAPELKTLRISLSGEDFSTQNSFSINAPKLEKLDVMENSLSNYIVKNPKSLVKAIVNLYSHYSCLQCDFSKFSSALLAGIS >ONI12357 pep chromosome:Prunus_persica_NCBIv2:G4:9102671:9103567:-1 gene:PRUPE_4G158700 transcript:ONI12357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSKPLILAKKPKPQAVVTDRISELPDAVLCHILSFLPTKLAVRTSILSTRWKNIWASVHNLDFDDEYDPWIERDDSFSMFVDRVLSFRGSADIHKFRLHCSGVEDFSRIDGWIRTAIDRNAVEFDLRVYSYSDFQIFELPQSLFMSKTLVVLKLNSDCLRYVPPKSGCFPSLKFLHVTGDYPDDESIEKLFYCCPVLEDLTIDGVVRHDADVYISAPELKTLRISLSGEDFSTQNSFSINAPKLEKLDVMENSLSNYIVKNPKSLVKAIVNLYSHYSCLQCDFSKFSSALLAGIS >ONI12355 pep chromosome:Prunus_persica_NCBIv2:G4:9101445:9104166:-1 gene:PRUPE_4G158700 transcript:ONI12355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSKPLILAKKPKPQAVVTDRISELPDAVLCHILSFLPTKLAVRTSILSTRWKNIWASVHNLDFDDEYDPWIERDDSFSMFVDRVLSFRGSADIHKFRLHCSGVEDFSRIDGWIRTAIDRNAVEFDLRVYSYSDFQIFELPQSLFMSKTLVVLKLNSDCLRYVPPKSGCFPSLKFLHVTGDYPDDESIEKLFYCCPVLEDLTIDGVVRHDADVYISAPELKTLRISLSGEDFSTQNSFSINAPKLEKLDVMENSLSNYIVKNPKSLACSVPAFDNLSELKLVLHNCDNWELLTELLKRSPNLEYLVLEHNEVACTIYSDDEEYFAEYFDHEWNTPETVPVCLSAHLKSITIRGFKGDSDEMEAAKYLLEKGKVLNKVTIYAGDLLCSREELDEELELIRRGSRTCRVEFF >ONI13000 pep chromosome:Prunus_persica_NCBIv2:G4:12007842:12009286:1 gene:PRUPE_4G196700 transcript:ONI13000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVAEETQRKRKAPKEVGNGENGGGAEEDQHRQKISRQKSDGILENGDQDTAGELVEGWFGGGLSVGEEIARLFGQVSGRSVGLDIDGINPQNPCSNFGVLAEEPVLVLGG >ONI11964 pep chromosome:Prunus_persica_NCBIv2:G4:7631270:7631850:-1 gene:PRUPE_4G137200 transcript:ONI11964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFSLAAYSIFFNASRCLLILTVFLLASLYVFLAIEKAKIFHELAALACKQEGRNLVHLQFQETSAYNHSNGSYYNDFRDRRPHTFETGFMDLTNGSLFGVGCP >ONI12827 pep chromosome:Prunus_persica_NCBIv2:G4:11016061:11018972:-1 gene:PRUPE_4G185700 transcript:ONI12827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAASVVSSAFSVPKEGKSSASFKESSLFGVSFSDNLKAEFSSVALSCKREFKPVRAQTAATASPAITRGASEGKKTLRKGSVVVTGASSGLGLATAKALAESGKWHVIMACRDFLKAERAAKSAGMPKENYTIMHLDLASLDSVRQFVDNFRRSERPLDVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLEDLNKSDYPSRRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSSMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEDTGITFTSLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDAEKARKVWEVSEKLVGLV >ONI12826 pep chromosome:Prunus_persica_NCBIv2:G4:11016813:11018801:-1 gene:PRUPE_4G185700 transcript:ONI12826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAASVVSSAFSVPKEGKSSASFKESSLFGVSFSDNLKAEFSSVALSCKREFKPVRAQTAATASPAITRGASEGKKTLRKGSVVVTGASSGLGLATAKALAESGKWHVIMACRDFLKAERAAKSAGMPKENYTIMHLDLASLDSVRQFVDNFRRSERPLDVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLEDLNKSDYPSRRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSSMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEDTGITFTSLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDAEKARKVWEVSEKLVGLV >ONI12828 pep chromosome:Prunus_persica_NCBIv2:G4:11016771:11018284:-1 gene:PRUPE_4G185700 transcript:ONI12828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRDFLKAERAAKSAGMPKENYTIMHLDLASLDSVRQFVDNFRRSERPLDVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLEDLNKSDYPSRRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSSMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEDTGITFTSLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDAEKARKVWEVSEKLVGLV >ONI10485 pep chromosome:Prunus_persica_NCBIv2:G4:2410899:2412783:1 gene:PRUPE_4G050400 transcript:ONI10485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNRAHGKRSLNYKMEEEEEEEEDEEEEQEEEEDDEDTNRSSLVYGEDDRRKRVLTVNSATSTPNKRGSGAGGSMARPSCQADDCNADLSDAKQYYRRHKVCGVHAKAPAVRVGGVQQRFCQQCSRFHSLSEFDDNKRSCRRRLAGHNERRRKTSSEYHGE >ONI10486 pep chromosome:Prunus_persica_NCBIv2:G4:2410899:2412783:1 gene:PRUPE_4G050400 transcript:ONI10486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNRAHGKRSLNYKMEEEEEEEEDEEEEQEEEEDDEDTNRSSLVYGEDDRRKRVLTVNSATSTPNKRGSGAGGSMARPSCQADDCNADLSDAKQYYRRHKVCGVHAKAPAVRVGGVQQRFCQQCSSAEKIAKEKEKNKMKGRKPFTPKKKKRERRRRRRKRSGLITIYCDS >ONI14546 pep chromosome:Prunus_persica_NCBIv2:G4:25146987:25153341:-1 gene:PRUPE_4G286800 transcript:ONI14546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSFSWMVDPTPNPDPNSTPFRQSKRVRSKKQVEFTGWGSRRLFEFLESIGRDTSNPISQYDVASIITDYVNQHKLQHPTKKKRIVCDDTLHSLFGRKTIGRVKIYDLLHQHFAENQQDDSTDDHENDDDDENPFSNYFLGSADDNISQSQPRQRKQKNPKRIDSACPPKSKSCFAAVIPENIKLVYLRRSLVEHLLLLHNQQEQQQQQPQNQALFEEAKVVGSLVRIKADPNDISQKNSHQLLQVTGVVHDDNVSSSNRGVLLRLSGLALPKQVQISELSDDNFSPEECEDLRQRIKDGLLKSLTVVELQQKVQMLHEDITKHWLVRELALLQKLIDRANEKGWRRELFEYLERRQLLQTPDEQARLLHEVPEVIADEIELEVAPKDAPDEVQERNHSSPTDIQRGASEAPICDMPAPTCDVPEEEALLTRTSGGVDSEASKHDASWQEWREQPTESSVNRSNGEKKHGIIDTKGFQNLVDKLVITSQVIDLSNDEENEERYDVKEIPGDQLGSLIWHYLDPQGNIQGPFSIDSLKSWSDAEYFPPDFKIWKAGQSLNQAVLLKRILQQTYPNKVSKD >ONI14547 pep chromosome:Prunus_persica_NCBIv2:G4:25147200:25152896:-1 gene:PRUPE_4G286800 transcript:ONI14547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSFSWMVDPTPNPDPNSTPFRQSKRVRSKKQVEFTGWGSRRLFEFLESIGRDTSNPISQYDVASIITDYVNQHKLQHPTKKKRIVCDDTLHSLFGRKTIGRVKIYDLLHQHFAENQQDDSTDDHENDDDDENPFSNYFLGSADDNISQSQPRQRKQKNPKRIDSACPPKSKSCFAAVIPENIKLVYLRRSLVEHLLLLHNQQEQQQQQPQNQALFEEAKVVGSLVRIKADPNDISQKNSHQLLQVTGVVHDDNVSSSNRGVLLRLSGLALPKQVQISELSDDNFSPEECEDLRQRIKDGLLKSLTVVELQQKVQMLHEDITKHWLVRELALLQKLIDRANEKGWRRELFEYLERRQLLQTPDEQARLLHEVPEVIADEIELEVAPKDAPDEVQERNHSSPTDIQRGASEAPICDMPAPTCDVPEEEALLTRTSGGVDSEAASKHDASWQEWREQPTESSVNRSNGEKKHGIIDTKGFQNLVDKLVITSQVIDLSNDEENEERYDVKEIPGDQLGSLIWHYLDPQGNIQGPFSIDSLKSWSDAEYFPPDFKIWKAGQSLNQAVLLKRILQQTYPNKVSKD >ONI10843 pep chromosome:Prunus_persica_NCBIv2:G4:3497648:3506679:1 gene:PRUPE_4G071400 transcript:ONI10843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNNYNCNPSTPRSRLERLLRERELRRSNRVLHPIEDGNRQAELLGNDNFLTEGENFGLFSEEDFPEGVAAAKAFADGCEWKEGRAPKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDSVGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVHKHYLDGDVVWCHDYHLMFLPKCLKEHNNKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPQVQEHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENLKWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVAASIGYALNMPADEREKRHQHNFMHVTTHTSQEWAATFVSELNDTIVEAQLRTRQVPPLLPIQVAVDRYLQSSNRLLILGFNATLTEPKDTLGRRGGQIREMELKLHPDLKEPLKKLCDDSKTTIVVLSGSDRSVLDDNFGDYNMWLAAENGMFLRLTMGEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADIEFGRIQARDLLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHNKGMKAPIDYVLCIGHFLPKDEDVYTFFEPELPSEAPPTVPRPTAANPAMTSVPRISTTKSGSRAARLKKQRSLSTLEKRATVHGIGNAWRPTLTRDKMSLHEGSSVLDLKGDNYFSCAVGRKRSSARYLLGSSDDIVTLLKALAEGS >ONI10844 pep chromosome:Prunus_persica_NCBIv2:G4:3497648:3506679:1 gene:PRUPE_4G071400 transcript:ONI10844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNNYNCNPSTPRSRLERLLRERELRRSNRVLHPIEDGNRQAELLGNDNFLTEGENFGLFSEEDFPEGVAAAKAFADGCEWKEGRAPKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDSVGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVHKHYLDGDVVWCHDYHLMFLPKCLKEHNNKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPQVQEHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENLKWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVAASIGYALNMPADEREKRHQHNFMHVTTHTSQEWAATFVSELNDTIVEAQLRTRQVPPLLPIQVAVDRYLQSSNRLLILGFNATLTEPKDTLGRRGGQIREMELKLHPDLKEPLKKLCDDSKTTIVVLSGSDRSVLDDNFGDYNMWLAAENGMFLRLTMGEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADIEFGRIQARDLLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHNKGMKAPIDYVLCIGHFLPKDEDVYTFFEPELPSEAPPTVPRPTAANPAMTSVPRISTTKSGSRAARLKKQRSLSTLEKRATVHGIGNAWRPTLTRDKMSLHEGSSVLDLKGDNYFSCAVGRKRSSARYLLGSSDDIVTLLKALAEGS >ONI10842 pep chromosome:Prunus_persica_NCBIv2:G4:3497648:3506679:1 gene:PRUPE_4G071400 transcript:ONI10842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNNYNCNPSTPRSRLERLLRERELRRSNRVLHPIEDGNRQAELLGNDNFLTEGENFGLFSEEDFPEGVAAAKAFADGCEWKEGRAPKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDSVGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVHKHYLDGDVVWCHDYHLMFLPKCLKEHNNKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPQVQEHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENLKWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNVTEVAASIGYALNMPADEREKRHQHNFMHVTTHTSQEWAATFVSELNDTIVEAQLRTRQVPPLLPIQVAVDRYLQSSNRLLILGFNATLTEPKDTLGRRGGQIREMELKLHPDLKEPLKKLCDDSKTTIVVLSGSDRSVLDDNFGDYNMWLAAENGMFLRLTMGEWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADIEFGRIQARDLLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHNKGMKAPIDYVLCIGHFLPKDEDVYTFFEPELPSEAPPTVPRPTAANPAMTSVPRISTTKSGSRAARLKKQRSLSTLEKRATVHGIGNAWRPTLTRDKMSLHEGSSVLDLKGDNYFSCAVGRKRSSARYLLGSSDDIVTLLKALAEGS >ONI11513 pep chromosome:Prunus_persica_NCBIv2:G4:5870357:5872033:1 gene:PRUPE_4G110500 transcript:ONI11513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSWSQDEANNSQSQPSEQPSNNKAVEKATEAKAAPSGSKPMAVEKTAPDHQAKFSANNSNHEITVVKEVKEMEKKTATQQVKQQLPHNYEAIVRHADSTINKSSVENLLEQLHAGITLNQKRKRYWVDKKSNNCFMVYARDLLITWAEDNRYWLWPSLQETSGVVIDAAELINVCWLEVHGKFETSKLSPGTLYEVVFVVKLKASGYGWDVPVNVRLTLPDDSIQWHKVNLMEIPREQWIEISVGEFRASPEKPGDMEFSMYEYDGGKWKRGLVIKGVTIRPKN >ONI10129 pep chromosome:Prunus_persica_NCBIv2:G4:1352386:1355330:-1 gene:PRUPE_4G029000 transcript:ONI10129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPQNHNWVFDYGVLEDIPVPGGDLPPLDLPGFTWPSHSFVAPAAPSVDFDDSFGNSDSIKESGFRKRVRSGSCNVTGSKACREKMRRDRLNDRFLELSSMLEPGRPPKTDKAAILGDAVRVVNQLRGEAQQLKDSNGDLQEKINELKAEKNELRDEKQRLKTEKENIERQIKALNTQPGFLPHPAAIPGPFSAPGQVVGGKLMPFVGYPGVSMWQFMPPAAVDTSQDHVLRSPVA >ONI14309 pep chromosome:Prunus_persica_NCBIv2:G4:22888337:22889898:-1 gene:PRUPE_4G274600 transcript:ONI14309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVCMESRPPPPLSDLLPPELLSKIADGLSLIDLLSFRSVCKAWNSASSTASAQNESSYEPWFLLYEEHSDQCQLLICESASQLCEHVAAFSSPPTCQDCIVFVISRINDLKLELNVLHRGANEWIRHKRNFTPCPVNRIECGAFHNGEFYFFACGGDRLIQVVVTAAGKLQCQCFSIIPVSAPAPAPAPAPAPASAPAPAEDEIVSLREEMGYLDKKNVKQQLGMGRDVSISSSKTKSPDFKGIWIHPRFCQISPIHQSW >ONI14195 pep chromosome:Prunus_persica_NCBIv2:G4:20289934:20293369:1 gene:PRUPE_4G268100 transcript:ONI14195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLLYCLACLISYVQLFSSPNTAFASSLEADALLRWKASFQNQTKNNLTSWAYYPKANTIPCNVWTGISCNTAASVNRINLTNSGIQGTLYEFPFLSLPNLEYIDLSLNQLFGAIPSQISSLSKLIYLDLSYNNLSGKIPPEIGLLNNLQVLHLFENQLNGSIPREIGQLKFLNELSLETNNLQGPIPASFGNLSNLEGLYMQENYLTGAIPPSFGNLEHLTTIYLYNNQLSGSLPSEIGNLKSLVELCIDNNNLSGPIPSSLGDLTNLTHLYLYKNNLSGTIPKEIGNLKSIVDLELGQNQLNGSIPTSLGDLSNLEILFLRANKLSGSIPQEMENLTNNFSGYLPQKICQGGSLENFTAHTNHLIGPIPKSLKTCKSLVRVRLEGNQLTGNISEDFGAYPNLQFIDLSHNKLHGELSQLWGQCPQLATLRIAGNNLTGGIPPEISHATQIHELDLSSNSLVGVIPKDFRRLTSLMKLMLNGNQLWGPIPSEFGSLTDFEYLDLSTNKFNESIPGIFGELLQLHYLNLSNNKFSQEIPFQLGKLVHMSQLDLSHNSLEGKIPSEMSSMRSLETLKLSHNNLTGLIPTSFDAMHGLNDIDISYNQLQGPIPNNKAFQNAQMEGNNGLCGNVGGLKPCNHSVEHKHTSRKAFLIIFPILGTLLLAFLAFVLIGRRSRRKQEQEIEQSNMHESFFSISNFDGRKMYGEIMEATNGFDVIHCIGKGGQGSVYKAKLPSGSIVAVKKFHQTLDGEEASRKEFLNEIRALTQIRHRNIVKFLGFCSSAHHSFLVYEYLETGSLAAILSNENEAKKLDWSTRVRIVKGVAHALCYMHHDCSPPIVHRDITSSNILLHCDYEPCVSDFVTAKLLNPDSSNWTALAGTYGYVAPELAYTMKVTEKCDVYSFGVLSLEVIMGKQLGDFVSSFSFPSTTYANILLKDVLDQRLPPPTPQVLDELITIARLSIACRHSHPQSRPTMHMVSKVLSFQPASSSRGPDDTTLEQLIKI >ONI10146 pep chromosome:Prunus_persica_NCBIv2:G4:1406877:1412398:1 gene:PRUPE_4G030200 transcript:ONI10146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKIGLPAKPSLRGNTWVVDASHCQGCTSQFTFINRKHHCRRCGGLFCNSCTQQRMFLRGQGDSPVRICEPCKKLEEAARIERHGHKTRAGRGSLKLTSKPEDEVLNQILGNDRKESGQESNSNVVASMQRASSSASCSNSQEDSSHNGVGEIHRSLSVDEPNHLQSGDGSASPEELRQQALDEKKKYKILKGEGKSAEALRAFKRGKELERQADALEIHLRKERKKVLLSGNVAESQTKDGPSESGRRNKVTPPVGKSKDDLSNELKELGWSDMDLRDEEKKQASLSLEGELSSLLGEISQKTNQNKGNSAIDKTQVVALKKKALMLKREGKLAEAKEELKRAKVLEKELEEQEFLAEAEDSDDELSALIRSMDDDKQQEFSIQYEQENDLHFDNLISAADDHILDSNFEVTDEDMEDPEITAALQSLGWSQDSKNPETPATHIAAVDREALLSEIQSLKREALNQKRAGNVTEAMAQLKKAKLLERDLESLDSPEGNVANDRTTIHNQTADKSSKSFMVGDGNVNTIDVNSKPAGKSKLMIQKELLGLKKKALALRREGRLDEAEEELKKGSILERQLEDIENGSMLKAMPGTDGSKVPDLSHEHPNLPVADEEGDNVTDQDMHDPTYLSILKNLGWDEDDNEVANSSSRPSKQIDNLSTKVGESSVTRAPANVLAGGSRRSKAEIQRELLGVKRKALSLRRQGETEEAEELLKKAKALEDQMVEMEAPKKEVQSDFGRHKENITEPTLNSAEEEGDGGNVTEINMQNPAFLSEGTSSSKVAVSAPRSKGEIQRELLDLKRKALAFRRKGETEEAEEVLRMAKVLEIRIEELDAPKDVRLHDDPKEENLESFGLLINTEKEGNLKNDMEVRRSTQTAVGPIDEVVKLSVGSGSVRSHAANPPIRNPNVSVLPTSQFAKENQPLPVELGASGKTHSPDNQRIAGGFSQMSPPVQSGNFVDLLTGDDWRSSQRPVEKQDDSLKFDSVGSFAASPPIQLGALAFSNEDLASQDNAKIHKAEDTVLINKKRDADEANSVQEPASQSNQSAIRQEILAFKRKALALKREGKLTEAREELRQAKLLEKHLEDDSPQSKTTSSDVVLVSSDSPQSKTTTIAGQKDHGSPSLDPKPLSSRDRFKLQQESLGHKRQAMKLRREGRMEEAEAEFELAKALENQLELPAQDSTTVDKVEPLDDVSVEGLLDPQLLSALKAIGIDDTSILSQGPGRPEPSKVNAGKSNNPTQDRSQLEEQIKAEKVKAVNLKRAGKQAEALDALRKAKLLEKKLNSSPSK >ONI12239 pep chromosome:Prunus_persica_NCBIv2:G4:8755077:8757646:1 gene:PRUPE_4G152700 transcript:ONI12239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEDLKDAFNKSDEFQRAISNPIVKSISQGLSSLEGEKWAKHRKIINPAFHLEKLKGMLPTFYQSCSEMINKWESLVFKEGSCEMDVWPYLENLTSDVISRAAFGSSYEEGRRIFQLLREEAKFYTIAARSVYIPGWRFLPTKHNKRMKEIHKEVRGLLKGVINKREDAIKAGEAAKGDLLGILMESNFREIQEHGNNKNAGMSIEDVIGECKLFYFAGQETTSVLLVWTLVLLSQNQDWQARAREEVLQVFGTNIPTYDQLSHLKVVTMILLEVLRLYPAVVELPRTTYKKTQLGKFSLPAGVEVSLHIMLAHHDKELWGEDAKEFKPERFSEGVSKATKNQFTYFPFGAGPRICIGQNFAMLEAKLALSLILQHFTFELSPSYAHAPSVTITLHPQFGAHFILHKR >ONI09918 pep chromosome:Prunus_persica_NCBIv2:G4:853797:855443:1 gene:PRUPE_4G018400 transcript:ONI09918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQTSTLIFSSSFSSSSCSSSRRSNINAAIHVPKLPRVRFAVPKTATKLVLEGLQLREPKHILDNDSYNNHSATPATPTAATTQLYAILEAVADRVEMHNNIREQRDNWNTLLLNSINMITLSASLMAGIAGTAAGAGVSVLALNISSTLLFSAASGMLLVMNKIQPSQLAEEQRNATRLFKQLQSQIQTMLALHDPTEQDVKDTMEKVLALDKAYPLPLLGAMLEKFPKKFEPAVWWPKNIQSQKPSKPNSQIGKINGWSAELEEEMREIIEVVKTKDIEDYERLGSLVLKINKILAISGPLLTGVAAIGSAFVGHGSASAIVAVAAGSLASAVNAFQHGGQIGMVFEMYRNCAGFFNKLEDTIQATLEEQDLEKRENGELFEMKVAMQLGRSSDQLRELASKSASSRLEGTSIDEFGCKLF >ONI12940 pep chromosome:Prunus_persica_NCBIv2:G4:11618266:11619548:1 gene:PRUPE_4G192900 transcript:ONI12940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLPSLLILSSLVSFLMLFKLITAADSITLNQSLSTDAGTLTSLGERFKLGFFSPPNSKNRYLGIWYKSTPSKFVWVANRNNPLTGLYGNLTISNNGNFVLLNQNGSSIWSSNISRIPKSPVVQLLDSGNLSFDYPSDTLLTDMKISWNINTGSERYLTSWKSTDDPSTGNFSYKIDMKGLPQLVVVMGSIIKFRTEQWNGVRFSGLPGPANSAFRQIMGVKEDEWYYKFEPNKNSGSVQRLVLNAESTEWAIINSHPICMCLQGFTPRSREEWEVFNTTSGCMRRTPLVCHGGERFSQLQEVKLPDMLEFSLNKSMNLEECRVKCLMKCSCTTYANSDISGVASGCIMWFGDLVDITEFIDEDSEQDIYIKLPPSELG >ONI14517 pep chromosome:Prunus_persica_NCBIv2:G4:24669909:24677317:-1 gene:PRUPE_4G284600 transcript:ONI14517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDALRDNGGVVEADVTRVCYNKTITHVTGVWKAENALLSTLPLFFTQLGLIMFICHLLKLLLAPLHQPRIIAYIIGGIILGPSYVQKTQFSRKYLFPVKSTLTIETMANLGLIYYMFLQGLEATTYGPFFWGITLTTTNFAELARVLANAKLLHSDVGRTALSVSVINDLMSSVLLVITVATISDGKLYTVLSTFTLIIFCVYGLRPALSWMVSNTSFFEEKYDLDSQICFIMAGVLLFGFISDAFGSHSILGAFMLGAILPKGELKTAITEKVEDFVSKIFTSLLIVMSIVALAFLAKFVVTFVAAIINKMSVRDSLAFGLVMNTKGLLAIIILNSGRDLHVLDHNTFSVMMLAILIMTAAVGPILALIYKSNVPSKQHTHRSIRSIQPNSEIRILTCFHSTSNVSSVINLLEISNPTKQSPMFVFAVHLVELSGHASAMLIVHDTCSNIRKTSKITAKNQKHSSPSDQIVAAFEKLENESEESSLFVEALTVVSSYASMHEDICNLADDKSADLIIIPFHKQSTIYGGMDNENPSFRGINKNLLENASCSVAIFVDRGLTDSSNIKNEDGHGCCRCAMLFISGSDDREALAYAWRIASNPNPKPNISLTVVRFIVSKDAAVHSDLPPNNPNNNDHDHDEDEKKNILEVIEENEKEKQLDDQYIESFVLNTRNQPSIKLIHEVLNNGEETLKLISAMGNDYDLYIVGRGQTGSSPLTFGLSEWGDCPELGPLGDALASSNIVASASILIVHQGRAVGKSLFS >ONI12143 pep chromosome:Prunus_persica_NCBIv2:G4:8454389:8458805:-1 gene:PRUPE_4G148000 transcript:ONI12143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLRALFKGEALTYAYLLLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFLLTKVFKIMKIEEGMTVEIYVSSVMPIGAMFAMTLWLGNTAYMYISVAFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSVISLGVLVASYGEINISWIGVVYQMGGVVGEALRLIFMEIFVKRKGLKLNPISLMYYVSPCSALCLLIPWIFLEKTKMEENSSWNFPLLVLTLNSLCTFALNLSVFLVITHTSALTIRVAGVVKDWVVVLLSALLFSDTKLTIINLAGYGIAIAGVAAYNNHKLKKEVSRDNPEEAESSQPTLTAASSDSNK >ONI12142 pep chromosome:Prunus_persica_NCBIv2:G4:8453680:8459115:-1 gene:PRUPE_4G148000 transcript:ONI12142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLRALFKGEALTYAYLLLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFLLTKVFKIMKIEEGMTVEIYVSSVMPIGAMFAMTLWLGNTAYMYISVAFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSVISLGVLVASYGEINISWIGVVYQMGGVVGEALRLIFMEIFVKRKGLKLNPISLMYYVSPCSALCLLIPWIFLEKTKMEENSSWNFPLLVLTLNSLCTFALNLSVFLVITHTSALTIRVAGVVKDWVVVLLSALLFSDTKLTIINLAGYGIAIAGVAAYNNHKLKKEVSRDNPEEAESSQPTLTAASSDSNK >ONI12891 pep chromosome:Prunus_persica_NCBIv2:G4:11294723:11299414:-1 gene:PRUPE_4G189900 transcript:ONI12891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANLVFADMAKAVTTGNFLEGAVSVYTLADGGPGEWFGGFLFSAGQEANEAVQDQLSALSFSSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSKAEVVGNSIAFSLGLATTLALLGIGASFAGKAYGQTGQGLPLAASGLAIVMGLNLLEVIELQLPSFFNNFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSKNPVIGGSLLLTYTTGYVAPLLLAASFAGALQSLLSFRKFSAWINPISGALLLGGGVYTFLDRLFPVTMAM >ONI12890 pep chromosome:Prunus_persica_NCBIv2:G4:11294659:11299414:-1 gene:PRUPE_4G189900 transcript:ONI12890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAINHCGSYGINSFTISSCKGGQKSSHGKYMVPIRMLRGPSTSIPQDLSQDLASLAKSDTSSSFPFKTAVNSMAVANLVFADMAKAVTTGNFLEGAVSVYTLADGGPGEWFGGFLFSAGQEANEAVQDQLSALSFSSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSKAEVVGNSIAFSLGLATTLALLGIGASFAGKAYGQTGQGLPLAASGLAIVMGLNLLEVIELQLPSFFNNFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSKNPVIGGSLLLTYTTGYVAPLLLAASFAGALQSLLSFRKFSAWINPISGALLLGGGVYTFLDRLFPVTMAM >ONI12323 pep chromosome:Prunus_persica_NCBIv2:G4:8994941:8996612:-1 gene:PRUPE_4G157200 transcript:ONI12323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGAGHDKQSNGCNYFQGSWIIDPSDIHPLYDSSKCPFINRAFDCLTNGRPDKEYLRYRWKPTGCDLPRFNGEDMLRRLKGKKILFVGDSLSYNQWQSLVCMLHAAVPQTNYTLTSKGGLSTFYLPDYGVSVSLSRNPFLVDLVKTKVGRVLRLDSIENGKTWKGYDMLIFNTWHWWLHTGRLQSFRWDYIDVGGKVHMDMDRLDAFREGLTTWSKWVNSNVHSNNTKVFFQGISPTHNNGKEWGSNSTTCNGETQPISGSIYPGSSPPATTVVNDVLSKMSTSTPVTLLDITLLSQLRKDGHPSVYSGDGEKGNDCSHWCLAGVPDTWNELLYAILVTK >ONI09781 pep chromosome:Prunus_persica_NCBIv2:G4:459195:459581:-1 gene:PRUPE_4G009200 transcript:ONI09781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHWLLLALIGLLLPLMLLAATPPRAIPGGLKRIPKENIGDPRLKLMAEFAVSMYNLRTHKKLVVERVIRGETQMVAGQNYQLVVLAKDGSLPNSTAGFNHAHYELSVCEQSWKDLWKLNSFRQLYN >ONI13866 pep chromosome:Prunus_persica_NCBIv2:G4:16745967:16747618:1 gene:PRUPE_4G250400 transcript:ONI13866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLNGEYGNYIMVCNFSQQRDGTDGGIDFIHLLIAISSDNETIIHVINIFTFLCKVVSKNNLYN >ONI13105 pep chromosome:Prunus_persica_NCBIv2:G4:12608954:12610012:-1 gene:PRUPE_4G202600 transcript:ONI13105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSKPGDPLRGFHNVMCDGTKVVTEIVSDHGSIHLCLYLITQNIRDFKDMVVGFDTEWTFVDAGTGKIEARVVLIKLFSCIGCVLIRLDHKSGPVCPSLKKFLIMKDVIFVGIQIKEDLVKLRENFGIDIRNVVELTELAANVLRKPRLFACGPRDLAREVLKVGVEQRPQDVIWTSWAEESLTPEQIECATIDAYLTYKTGKKLLSKD >ONI09641 pep chromosome:Prunus_persica_NCBIv2:G4:134025:137722:-1 gene:PRUPE_4G001300 transcript:ONI09641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRNVVMVMLFVTVIAPIILYTDRLGSFQVSSSSSGDDFVEDVTAVAANAHTGRLNLLPQESSTTLKEPVGVVYSDNSTNSYPETRGSSAHPNHSHKDGPSVDSMEHVSARVLSTTNDQNLSQTDNPIRQVTQTLEQGNQFMSDLHAKGGGASEQSIDNASQTTVPIEIKNERQSTQTSSRVDQRKPKKTMTEKQNDETAVPDVRVRHLKDQLIRAKVYLSLPATRNNPHFTRELRLRIKEVQKALWEATKDSDLPRNAYDKLKAMEQTLTKGKQIQDDCAAMVKKLRAMLHSMEEQLRVHRKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYTLNSSQQVFPNQEKLEDPLLYHYALFSDNVLAAAVVVNSTITHAKDPANHVFHIVTDRLNYAAMRMWFLVNSPGKATIQVQNIEEFTWLNSSYSPVLKQLGSASMINYYFRTHRANSDSNLKFRNPKYLSILNHLRFYLPEVFPKLNKVLFLDDDVVVQKDLTGLWALDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDARACGWAYGMNIFDLEEWKKQNITEVYHRWQELNHDRQLWKLGTLPPGLITFWKRTYPLDRSWHVLGLGYNPSVNQKEIDRAAVIHYNGNMKPWLEIGIPKYRNYWVKYVDYDHMYMRECNINP >ONI12543 pep chromosome:Prunus_persica_NCBIv2:G4:10071519:10073862:-1 gene:PRUPE_4G170600 transcript:ONI12543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGPLVGDEASNKAYSRKNQSYSKNPNHVLHHSSPPQIMTQVRGALASNDSSSDSGSLPGHAEPDNGPRGYSKFGNMVRIQLDSWSKADLREIKWKLMSELEQVRCLVKNLEAKEAELSERYVQSQFTADDVIDNVKTKTTMKVNSEVGSVGLRDSGPYRGLSVSGAEDDGGAGQYMDKQKRTWKANQCSRNSEFPIGKEKLPPLGSSKKLKSNGRTIDEGGVGPWFRKGKNPSPLFKSCSTLLDKLIKHKFGWVFKKPVDVKALGLHDYNTIIKKPMDLGTVKTRLNENWYKTPVEFAEEVRLTFNNAMLYNPKEQDAHLMAEQLLKMFEDQWAALEAEYNLNRRNEIGNDSNLPPPVSRKIQAPAAAPLPLEMRTLDRAESTTKPVNPKLKPVSSGHTGRPPVPKKPKARDPNKRDMTYAEKQRLSTNLQTLPSEKLDTIVQIIKKRNLGLCQHEDEIEVDIESVDPETLWELDRFVTNYRKSLSKNKRRTELAPQSIAEPGHAIQDTNVATYTTVAEKEAKAGISLTRKHSGTSIEVTVGSDDSLVISTVSVKPVSYLAVENNNNAFSPVRREEQGDNILTMIVHLDVDQIQIVDLGHELIYLR >ONI12544 pep chromosome:Prunus_persica_NCBIv2:G4:10069697:10073977:-1 gene:PRUPE_4G170600 transcript:ONI12544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGPLVGDEASNKAYSRKNQSYSKNPNHVLHHSSPPQIMTQVRGALASNDSSSDSGSLPGHAEPDNGPRGYSKFGNMVRIQLDSWSKADLREIKWKLMSELEQVRCLVKNLEAKEAELSERYVQSQFTADDVIDNVKTKTTMKVNSEVGSVGLRDSGPYRGLSVSGAEDDGGAGQYMDKQKRTWKANQCSRNSEFPIGKEKLPPLGSSKKLKSNGRTIDEGGVGPWFRKGKNPSPLFKSCSTLLDKLIKHKFGWVFKKPVDVKALGLHDYNTIIKKPMDLGTVKTRLNENWYKTPVEFAEEVRLTFNNAMLYNPKEQDAHLMAEQLLKMFEDQWAALEAEYNLNRRNEIGNDSNLPPPVSRKIQAPAAAPLPLEMRTLDRAESTTKPVNPKLKPVSSGHTGRPPVPKKPKARDPNKRDMTYAEKQRLSTNLQTLPSEKLDTIVQIIKKRNLGLCQHEDEIEVDIESVDPETLWELDRFVTNYRKSLSKNKRRTELAPQSIAEPGHAIQDTNVATYTTVAEKEAKAVRREEQGDNVSGSSSSGSGSGSSSSDSDNDSSSGCGSDSNR >ONI12545 pep chromosome:Prunus_persica_NCBIv2:G4:10071550:10073574:-1 gene:PRUPE_4G170600 transcript:ONI12545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGPLVGDEASNKAYSRKNQSYSKNPNHVLHHSSPPQIMTQVRGALASNDSSSDSGSLPGHAEPDNGPRGYSKFGNMVRIQLDSWSKADLREIKWKLMSELEQVRCLVKNLEAKEAELSERYVQSQFTADDVIDNVKTKTTMKVNSEVGSVGLRDSGPYRGLSVSGAEDDGGAGQYMDKQKRTWKANQCSRNSEFPIGKEKLPPLGSSKKLKSNGRTIDEGGVGPWFRKGKNPSPLFKSCSTLLDKLIKHKFGWVFKKPVDVKALGLHDYNTIIKKPMDLGTVKTRLNENWYKTPVEFAEEVRLTFNNAMLYNPKEQDAHLMAEQLLKMFEDQWAALEAEYNLNRRNEIGNDSNLPPPVSRKIQAPAAAPLPLEMRTLDRAESTTKPVNPKLKPVSSGHTGRPPVPKKPKARDPNKRDMTYAEKQRLSTNLQTLPSEKLDTIVQIIKKRNLGLCQHEDEIEVDIESVDPETLWELDRFVTNYRKSLSKNKRRTELAPQSIAEPGHAIQDTNVATYTTVAEKEAKAVENNNNAFSPVRREEQGDNVSGSSSSGSGSGSSSSDSDNDSSSGCGSDSNR >ONI11647 pep chromosome:Prunus_persica_NCBIv2:G4:6403286:6406517:1 gene:PRUPE_4G118300 transcript:ONI11647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSKLRCITVDVTGTLLAYKGELGDYYCMAAKSVGLPCPDYQRVHEGFKYAYKDMASKYPCFGHAAKMPNIVWWKACVRDSFMRAGYHYDEETFEKVFRRIYASFGSSAPYSIFPDSQPFLRWVHEQGLKVGIISNAEYRYRDVILPALGVNQGSDWDFGVFSGIEGVEKPDPRIYEIALERAGNMAPEEVLHIGDSMRKDYVPATSIGMHALLLDRFKTSDAEEWRKSGAVVLPDLEAAKEWLTSEKSSC >ONI11648 pep chromosome:Prunus_persica_NCBIv2:G4:6403286:6406517:1 gene:PRUPE_4G118300 transcript:ONI11648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSKLRCITVDVTGTLLAYKGELGDYYCMAAKSVGLPCPDYQRVHEGFKYAYKDMASKYPCFGHAAKMPNIVWWKACVRDSFMRAGYHYDEETFEKVFRRIYASFGSSAPYSIFPDSQPFLRWVHEQGLKVGIISNAEYRYRDVILPALGVNQGSDWDFGVFSGIEGVEKPDPRIYEIALERAGNMAPEEVLHIGDSMRKDYVPATSIGMHALLLDRFKTSDAEEWRKSGAVVLPDLEAAKEWLTSEKSSC >ONI11649 pep chromosome:Prunus_persica_NCBIv2:G4:6403278:6406519:1 gene:PRUPE_4G118300 transcript:ONI11649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSKLRCITVDVTGTLLAYKGELGDYYCMAAKSVGLPCPDYQRVHEGFKYAYKDMASKYPCFGHAAKMPNIVWWKACVRDSFMRAGYHYDEETFEKVFRRIYASFGSSAPYSIFPDSQPFLRWVHEQGLKVGIISNAEYRYRDVILPALGVNQGSDWDFGVFSGIEGVEKPDPRIYEIALERAGNMAPEEVLHIGDSMRKDYVPATSIGMHALLLDRFKTSDAEEWRKSGAVVLPDLEAAKEWLTSEKSSC >ONI13541 pep chromosome:Prunus_persica_NCBIv2:G4:14616357:14621083:1 gene:PRUPE_4G228800 transcript:ONI13541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCGEFLGRTWNIQDVFTLGVILALHSLCAFAPFHFNWPAFWVAVTLYVVTALGITLCYHRTLTHRGLRLPKWLEYLFAYCGVLSLQGVRTVLLFDATLIINSVGHMWGKKPWNTGDMSTNNWWMSIITLGEGWHNNHHAFEYSARHGLEWWQIDITWYVIRFLQAIGLATDVKVPTEIQKQRKASNGRIMATQK >ONI12187 pep chromosome:Prunus_persica_NCBIv2:G4:8519630:8520431:1 gene:PRUPE_4G149300 transcript:ONI12187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIIFKGKRLRRNKRKFEASSFHTCLHDVKSEVQQSSSKKRKRNCQAFNMHMALHKQIYLSSSHITFTSFHVNAEKGSILVTHKKV >ONI12090 pep chromosome:Prunus_persica_NCBIv2:G4:8234798:8239256:1 gene:PRUPE_4G143600 transcript:ONI12090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNISMVEAKLPPGFRFHPRDEELVCDYLLKKVTQTDSTLMIEVDLNKCEPWDIPETACVGGKEWYFYSQRDRKYATGLRTNRATATGYWKATGKDRPIHRKGSLVGMRKTLVFYQGRAPKGRKSDWVMHEFRLEGPFGPPKISSHKEDWVLCRVFYKNREIAAKPSMGISCYDDTSNSSLPALMDSYISFDGQPQTQPQPQPQPHHEYEQVPCFSIFSQSQTSPIFSHIDITGAHLEPNMPTKNNNNNAATFGALPNVTSFLDPFSCDKKVLKAVLSHLTKMEETSSYPTLNVKGSSPPSLGAGSSSENYLSEVGMPNIWSHY >ONI09775 pep chromosome:Prunus_persica_NCBIv2:G4:440511:443573:1 gene:PRUPE_4G008600 transcript:ONI09775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMLRLAMAFSVLLSLSLPSSHAQTSTCGDYKFTNKSFTYCSDLPYLNSFLHWTFEQSTGKLQMAYRHTGITASSWVAWAINPNLNSVSSAMLGAQSLVAFQQSNGTMRFYKSPIGTSNYQASLAEGSLTYEVSDLSAEYLNNDEMIIFATWVLPTNSTTILQVWQEGPVSNGLPSVHPTVAPNTNAKGTLNLLSGQTASSGGGGSKFRKRNIHGILNAVSWGVLMPFGAMVARYLKVFKSADPAWFYLHATCQTSAYVVGVAGWATGLKLGSDSPGIQYDTHRNIGIILFCLGTLQVFALLLRPNKDHKFRFHWNIYHHATGYAVIILSIVNIFEGFDILKPEKKWKNAYIGLIIALGVQAALLEAYTWYVVLKRKRSEKAPRTANGVNGYSA >ONI10617 pep chromosome:Prunus_persica_NCBIv2:G4:2741011:2742856:1 gene:PRUPE_4G057300 transcript:ONI10617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSVERRLHTLAQHFIADKAVKPGPEATASTTCWSKRAAVLVCLFKGEEDDLHVILTRRASTLSSNPGDVALPGGKREEGDADDVDTALREAKEEIGLDPSLVKVITVFQPSLTKNGMTVVPVIGLLSDLKAFSPAPNAAEVESIFYVPLEMFLKDENRRAEEKEWMGHKYLLHCFNYEADGKEYVIWAFTAGILIRVASIVYQRQPAFLEQRPKFWN >ONI10534 pep chromosome:Prunus_persica_NCBIv2:G4:2484776:2487268:-1 gene:PRUPE_4G051900 transcript:ONI10534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEDHEMPHGWPLGLEIMNMRLRVVESLPAAVVGHRPLHIPSASFTSFSSSNLDTESTASFFQDHSMSLGRLIGFRTGDRGRLYYPNSICFEEHDRNSIKGSHSDVSTRHQVDTSRRICIPLMLGALVKISRSKSKSKSKSKKAVFDGKG >ONI10535 pep chromosome:Prunus_persica_NCBIv2:G4:2484955:2487086:-1 gene:PRUPE_4G051900 transcript:ONI10535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAVHAMATEDHEMPHGWPLGLEIMNMRLRVVESLPAAVVGHRPLHIPSASFTSFSSSNLDTESTASFFQDHSMSLGRLIGFRTGDRGRLYYPNSICFEEHDRNSIKGSHSDVSTRHQVDTSRRICIPLMLGALVKISRSKSKSKSKSKKAVFDGKG >ONI10536 pep chromosome:Prunus_persica_NCBIv2:G4:2485119:2486919:-1 gene:PRUPE_4G051900 transcript:ONI10536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEDHEMPHGWPLGLEIMNMRLRVVESLPAAVVGHRPLHIPSASFTSFSSSNLDTESTASFFQDHSMSLGRLIGFRTGDRGRLYYPNSICFEEHDRNSIKGSHSDVSTRHQVDTSRRICIPLMLGALVKISRSKSKSKSKSKKAVFDGKG >ONI13022 pep chromosome:Prunus_persica_NCBIv2:G4:12158608:12161142:1 gene:PRUPE_4G198100 transcript:ONI13022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLLNETFSSLLQPFSFKYLALLAIFLILIYKWFSTSANSSPPSPPKLPIIGNLHQLGQHLQRSLQTLAQRHGPLMLLHFGSVPVLVVSSDETAREIMKTHDITFANRPKNTFFKKFCYNFKDVASAPYGEYWRQMKSICVLNLLSNTRVRSFRAVREEETKSMIDNITKHCSSSPSSVSSAVNLSEMLETLTNDVICRVALGRKYSDDGERGRTFKKLAGELTLVMSRIHIGDYIPWLAWIARLNGLDAKFDDLAKRFDEFLEIVVQEHMDEFDGLTKNEDQKDLVDVLLCLQADSPIDRVSIKAVILDIFVGGTDTSFTLLEWTMSELLRHPRVMENLQNEVRGIVGKKTDIIREDDLVGMHYLKAVIKETLRLHPSVPLLLPRLSTQDAQINGYDIKANTQVIVNAWQIGRDPKSYNKPEEFEPERFLDSAIDYKGNYFHYIPFGAGRRGCPGIQFAMAVQEIALANLVHKFNWALPDGTRGEDLDMTESSGTSVRRVYPLKVVPIPYSG >ONI10325 pep chromosome:Prunus_persica_NCBIv2:G4:1914947:1915966:-1 gene:PRUPE_4G040700 transcript:ONI10325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEESLLSTQTQSGQPETQTQTQLLHYWGNTPEQEYYNLQGIKASKSFYTSPRGLKLFTRQWLPLLSPPRGLIFMVHGYGNDISWTFQATPIFLAQQGFACFALDLEGHGQSHGLRAFVPDVHLVVQDCLAFFTLVKQQEPQFLNVPCFLYGESMGGAICLLIHFAEPEAFQGAILVAPMCKISDKVKPRWPIPQILTFVARFFPSLAIVPTADLMYKSVKVEEKKIIANMNPMRYRGKPRLGTVVELLKVTEYVSQRLGDVSLPFIVLHGSADVVTDPNVSRALYEEAKSEDKTIKIYEGMMHSLLFGETDQNVDIVRADILSWLNHRCHARGGGAE >ONI11914 pep chromosome:Prunus_persica_NCBIv2:G4:7423072:7424164:1 gene:PRUPE_4G134200 transcript:ONI11914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSFSNPEMVPPQSFGSVMTNFFQPNIEAELLAAEELLKYYQLDYNRSILPNNLFLDDHPCHDPYNLVNLENYHLDTTSDDHLFLPHLTTPDMFPQLDFYSHPYPKRQKCFQDFVYPEFTPATFYDAFPPDSCPLPELLPAPGVFATPLLTFNQHLEETVTDSNKSKVNDYEIGFTAKKKVEEKCVSAQSIAARERRRKITEKTQELGKLVPGGSKMNTAEMLTAAYNFVKYLRAQVGILEFMGSFQELKGAPPAEELQVVAFPIIQEKLYLKNNCLVPEKFVEILAKHFDAQSKPSLSNNLHQLLTSSG >ONI12639 pep chromosome:Prunus_persica_NCBIv2:G4:10465412:10474140:-1 gene:PRUPE_4G176700 transcript:ONI12639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMADVAGLAEAAGSRFSQLELIGRGSFGDVYKGFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSMACILRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERASAKELLKHRFIRTARKSPRLMERIRERPKYHIKEDGDTVKNGPTARGEISDTVKVTRNLRDETVRASDQGKTVKNAGWDFSIGSQGTGTVRSVATLKPPQAREKKPETPGRESSNQRFLASGNALHDSREDYLLKDGRDSYGDELQESNPEDEELTVSGSGTVVIRTPKGSQSSSQFRDQNSLPSSTYASYEDASSSGTVVFRGQHDDSDSPRTPKSRLGIQERTSTASTEDSAINLAEAKAAIQGGRKGNAKDRSALNKINYDAHDRRGLMTNSSDSSRQSHEYIDAPKVFPRSSQASDDEESAKVIAQSAALSILLIPSLKEALADDSEGSAVRSVAKSLAKLESLKPGSSEVFVSKLLQRLASSNSSKESSLKDLQELAARIFTKGKTASEETQNTKAEDKKRQQNKEFQSNANISPLARFLLSRWQGQVSRDLNPA >ONI12642 pep chromosome:Prunus_persica_NCBIv2:G4:10465048:10475009:-1 gene:PRUPE_4G176700 transcript:ONI12642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMADVAGLAEAAGSRFSQLELIGRGSFGDVYKGFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSMACILRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKRASAKELLKHRFIRTARKSPRLMERIRERPKYHIKEDGDTVKNGPTARGEISDTVKVTRNLRDETVRASDQGKTVKNAGWDFSIGSQGTGTVRSVATLKPPQAREKKPETPGRESSNQRFLASGNALHDSREDYLLKDGRDSYGDELQESNPEDPSSTYASYEDASSSGTVVFRGQHDDSDSPRTPKSRLGIQERTSTASTEDSAINLAEAKAAIQGGRKGNAKDRSALNKINYDAHDRRGLMTNSSDSSRQSHEYIDAPKVFPRSSQASDDEESAKVIAQSAALSILLIPSLKEALADDSEGSAVRSVAKSLAKLESLKPGSSEVFVSKLLQRLARSAGAGCSHFHQGQDSF >ONI12643 pep chromosome:Prunus_persica_NCBIv2:G4:10465048:10475009:-1 gene:PRUPE_4G176700 transcript:ONI12643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMADVAGLAEAAGSRFSQLELIGRGSFGDVYKGFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSMACILRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKRASAKELLKHRFIRTARKSPRLMERIRERPKYHIKEDGDTVKNGPTARGEISDTVKVTRNLRDETVRASDQGKTVKNAGWDFSIGSQGTGTVRSVATLKPPQAREKKPETPGRESSNQRFLASGNALHDSREDYLLKDGRDSYGDELQESNPEDEELTVSGSGTVVIRTPKGSQSSSQFRDQNSLPSSTYASYEDASSSGTVVFRGQHDDSDSPRTPKSRLGIQERTSTASTEDSAINLAEAKAAIQGGRKGNAKDRSALNKINYDAHDRRGLMTNSSDSSRQSHEYIDAPKVFPRSSQASDDEESAKVIAQSAALSILLIPSLKEALADDSEGSAVRSVAKSLAKLESLKPGSSEVFVSKLLQRLARSAGAGCSHFHQGQDSF >ONI12637 pep chromosome:Prunus_persica_NCBIv2:G4:10465412:10474140:-1 gene:PRUPE_4G176700 transcript:ONI12637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMADVAGLAEAAGSRFSQLELIGRGSFGDVYKGFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSMACILRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKRASAKELLKHRFIRTARKSPRLMERIRERPKYHIKEDGDTVKNGPTARGEISDTVKVTRNLRDETVRASDQGKTVKNAGWDFSIGSQGTGTVRSVATLKPPQAREKKPETPGRESSNQRFLASGNALHDSREDYLLKDGRDSYGDELQESNPEDEELTVSGSGTVVIRTPKGSQSSSQFRDQNSLPSSTYASYEDASSSGTVVFRGQHDDSDSPRTPKSRLGIQERTSTASTEDSAINLAEAKAAIQGGRKGNAKDRSALNKINYDAHDRRGLMTNSSDSSRQSHEYIDAPKVFPRSSQASDDEESAKVIAQSAALSILLIPSLKEALADDSEGSAVRSVAKSLAKLESLKPGSSEVFVSKLLQRLASSNSSKESSLKDLQELAARIFTKGKTASEETQNTKAEDKKRQQNKEFQSNANISPLARFLLSRWQGQVSRDLNPA >ONI12638 pep chromosome:Prunus_persica_NCBIv2:G4:10465412:10474140:-1 gene:PRUPE_4G176700 transcript:ONI12638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMADVAGLAEAAGSRFSQLELIGRGSFGDVYKGFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSMACILRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERASAKELLKHRFIRTARKSPRLMERIRERPKYHIKEDGDTVKNGPTARGEISDTVKVTRNLRDETVRASDQGKTVKNAGWDFSIGSQGTGTVRSVATLKPPQAREKKPETPGRESSNQRFLASGNALHDSREDYLLKDGRDSYGDELQESNPEDPSSTYASYEDASSSGTVVFRGQHDDSDSPRTPKSRLGIQERTSTASTEDSAINLAEAKAAIQGGRKGNAKDRSALNKINYDAHDRRGLMTNSSDSSRQSHEYIDAPKVFPRSSQASDDEESAKVIAQSAALSILLIPSLKEALADDSEGSAVRSVAKSLAKLESLKPGSSEVFVSKLLQRLASSNSSKESSLKDLQELAARIFTKGKTASEETQNTKAEDKKRQQNKEFQSNANISPLARFLLSRWQGQVSRDLNPA >ONI12640 pep chromosome:Prunus_persica_NCBIv2:G4:10465412:10474140:-1 gene:PRUPE_4G176700 transcript:ONI12640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMADVAGLAEAAGSRFSQLELIGRGSFGDVYKGFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSMACILRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERASAKELLKHRFIRTARKSPRLMERIRERPKYHIKEDGDTVKNGPTARGEISDTVKVTRNLRDETVRASDQGKTVKNAGWDFSIGSQGTGTVRSVATLKPPQAREKKPETPGRESSNQRFLASGNALHDSREDYLLKDGRDSYGDELQESNPEDEELTVSGSGTVVIRTPKGSQSSSQFRDQNSLPSSTYASYEDASSSGTVVFRGQHDDSDSPRTPKSRLGIQERTSTASTEDSAINLAEAKAAIQGGRKGNAKDRSALNKINYDAHDRRGLMTNSSDSSRQSHEYIDAPKVFPRSSQASDDEESAKVIAQSAALSILLIPSLKEALADDSEGSAVRSVAKSLAKLESLKPGSSEVFVSKLLQRLASSNSSKESSLKDLQELAARIFTKGKTASEETQNTKAEDKKRQQNKEFQSNANISPLARFLLSRWQGQVSRDLNPA >ONI12644 pep chromosome:Prunus_persica_NCBIv2:G4:10465048:10475009:-1 gene:PRUPE_4G176700 transcript:ONI12644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMADVAGLAEAAGSRFSQLELIGRGSFGDVYKGFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSMACILRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERASAKELLKHRFIRTARKSPRLMERIRERPKYHIKEDGDTVKNGPTARGEISDTVKVTRNLRDETVRASDQGKTVKNAGWDFSIGSQGTGTVRSVATLKPPQAREKKPETPGRESSNQRFLASGNALHDSREDYLLKDGRDSYGDELQESNPEDPSSTYASYEDASSSGTVVFRGQHDDSDSPRTPKSRLGIQERTSTASTEDSAINLAEAKAAIQGGRKGNAKDRSALNKINYDAHDRRGLMTNSSDSSRQSHEYIDAPKVFPRSSQASDDEESAKVIAQSAALSILLIPSLKEALADDSEGSAVRSVAKSLAKLESLKPGSSEVFVSKLLQRLARSAGAGCSHFHQGQDSF >ONI12645 pep chromosome:Prunus_persica_NCBIv2:G4:10466222:10474140:-1 gene:PRUPE_4G176700 transcript:ONI12645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMADVAGLAEAAGSRFSQLELIGRGSFGDVYKGFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSMACILRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERASAKELLKHRFIRTARKSPRLMERIRERPKYHIKEDGDTVKNGPTARGEISDTVKVTRNLRDETVRASDQGKTVKNAGWDFSIGSQGTGTVRSVATLKPPQAREKKPETPGRESSNQRFLASGNALHDSREDYLLKDGRDSYGDELQESNPEDEELTVSGSGTVVIRTPKGSQSSSQFRDQNSLPSSTYASYEDASSSGTVVFRGQHDDSDSPRTPKSRLGIQERTSTASTEDSAINLAEAKAAIQGGRKGNAKDRSALNKINYDAHDRRGLMTNSSDSSRQSHEYIDAPKVFPRSSQASDDEESAKVIAQSAALSILLIPSLKEALADDSEGSAVRSVAKSLAKLESLKPGSSEVFVSKLLQRLARSAGAGCSHFHQGQDSF >ONI12641 pep chromosome:Prunus_persica_NCBIv2:G4:10465048:10471937:-1 gene:PRUPE_4G176700 transcript:ONI12641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQSGPPLDEMSMACILRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERASAKELLKHRFIRTARKSPRLMERIRERPKYHIKEDGDTVKNGPTARGEISDTVKVTRNLRDETVRASDQGKTVKNAGWDFSIGSQGTGTVRSVATLKPPQAREKKPETPGRESSNQRFLASGNALHDSREDYLLKDGRDSYGDELQESNPEDEELTVSGSGTVVIRTPKGSQSSSQFRDQNSLPSSTYASYEDASSSGTVVFRGQHDDSDSPRTPKSRLGIQERTSTASTEDSAINLAEAKAAIQGGRKGNAKDRSALNKINYDAHDRRGLMTNSSDSSRQSHEYIDAPKVFPRSSQASDDEESAKVIAQSAALSILLIPSLKEALADDSEGSAVRSVAKSLAKLESLKPGSSEVFVSKLLQRLASSNSSKESSLKDLQELAARIFTKGKTASEETQNTKAEDKKRQQNKEFQSNANISPLARFLLSRWQGQVSRDLNPA >ONI12636 pep chromosome:Prunus_persica_NCBIv2:G4:10465412:10474140:-1 gene:PRUPE_4G176700 transcript:ONI12636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMADVAGLAEAAGSRFSQLELIGRGSFGDVYKGFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRSPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSMACILRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKRASAKELLKHRFIRTARKSPRLMERIRERPKYHIKEDGDTVKNGPTARGEISDTVKVTRNLRDETVRASDQGKTVKNAGWDFSIGSQGTGTVRSVATLKPPQAREKKPETPGRESSNQRFLASGNALHDSREDYLLKDGRDSYGDELQESNPEDPSSTYASYEDASSSGTVVFRGQHDDSDSPRTPKSRLGIQERTSTASTEDSAINLAEAKAAIQGGRKGNAKDRSALNKINYDAHDRRGLMTNSSDSSRQSHEYIDAPKVFPRSSQASDDEESAKVIAQSAALSILLIPSLKEALADDSEGSAVRSVAKSLAKLESLKPGSSEVFVSKLLQRLASSNSSKESSLKDLQELAARIFTKGKTASEETQNTKAEDKKRQQNKEFQSNANISPLARFLLSRWQGQVSRDLNPA >ONI11243 pep chromosome:Prunus_persica_NCBIv2:G4:4791688:4793942:-1 gene:PRUPE_4G095300 transcript:ONI11243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDSTGRYNGDSELQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFMGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEEEEYEEEEEELGA >ONI11143 pep chromosome:Prunus_persica_NCBIv2:G4:4446879:4452923:1 gene:PRUPE_4G089500 transcript:ONI11143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSDGDINFDFEGGLDATAAAGPTNPGPPSNSLMQSDSGVAAVDTNPAAAAPQPNHPNPNRSGGRSYRQTVCRHWLRSLCMKGEACGFLHQYDKSRMPVCRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEVLQKIQHLNSYNYNTSNKFYQQRNAGFPQQADKYQSAQGPNSVYQGVVGKPSTGESANVHQQQQVQQTQQQVGHTQTQNLPNGLANQANRSAPLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNESKLNEAFDSAENVILIFSVNRTRHFQGCAKMMSRIGGSVSGGNWKYAHGSAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSIGEQLASLLYLEPDSELMAVSIAAESKREEEKAKGVNPENGGENPDIVPFEDNEEEEEEESDDEEESFGPVPGVGNEGRGRGRGGIMWPPHMPLARGGRPMPGMQGFPPGMMGADAMPYGPAPDGFGMPNPFGVGPRGFNPYGPRFSGDFTGPTPGMMFRGRPQQPGFPPGGYGMMMGPGRAPFMGGMGVGGANPGRPGRPTGMSPMFPPPSSQNTNRMQKRDPRGPSNDRNERYSAGSGQGKGQEIPGLAGGPDDEARYQQASKAYREDQYGAGNNSRNDDSESEDEAPRRSRHGEGKKKGRGSEGDVTSEH >ONI10850 pep chromosome:Prunus_persica_NCBIv2:G4:3516837:3517043:1 gene:PRUPE_4G071700 transcript:ONI10850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKKTLSCVRNPPNQMNLRWRWRRRWCDGAGCEMGIFRKKLREHQQLSKLEDEAEYLVHKHKSRFNC >ONI13176 pep chromosome:Prunus_persica_NCBIv2:G4:12934647:12936474:1 gene:PRUPE_4G207800 transcript:ONI13176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSVKQYSTITNGSDIFLRQSTRPPMQRSLLLPSLPVQKSQRSVISVQKALHVSAIESFGSPKPRTSLIKCQAYEADRSQPIESNIELPKADTRSEAAKKLKIGVYFAMWWALNVVFNIYNKKVLNAYPFPWLTSTLSLACGSLIMLISWATRIAEVPKTDMDFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGESFPTSVYLSLLPIIGGCGLAALTELNFNMIGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSMLSLIILTPFAIAVEGPAMWKAGFETAFSQIGPNLIW >ONI13174 pep chromosome:Prunus_persica_NCBIv2:G4:12934647:12938647:1 gene:PRUPE_4G207800 transcript:ONI13174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSVKQYSTITNGSDIFLRQSTRPPMQRSLLLPSLPVQKSQRSVISVQKALHVSAIESFGSPKPRTSLIKCQAYEADRSQPIESNIELPKADTRSEAAKKLKIGVYFAMWWALNVVFNIYNKKVLNAYPFPWLTSTLSLACGSLIMLISWATRIAEVPKTDMDFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGESFPTSVYLSLLPIIGGCGLAALTELNFNMIGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSMLSLIILTPFAIAVEGPAMWKAGFETAFSQIGPNLIWWMAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAIFGTFLYSQVSPLRSLASRRFTFM >ONI13175 pep chromosome:Prunus_persica_NCBIv2:G4:12934097:12939059:1 gene:PRUPE_4G207800 transcript:ONI13175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSVKQYSTITNGSDIFLRQSTRPPMQRSLLLPSLPVQKSQRSVISVQKALHVSAIESFGSPKPRTSLIKCQAYEADRSQPIESNIELPKADTRSEAAKKLKIGVYFAMWWALNVVFNIYNKKVLNAYPFPWLTSTLSLACGSLIMLISWATRIAEVPKTDMDFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGESFPTSVYLSLLPIIGGCGLAALTELNFNMIGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSMLSLIILTPFAIAVEGPAMWKAGFETAFSQIGPNLIWWMAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAIFGTFLYSQSKQ >ONI10411 pep chromosome:Prunus_persica_NCBIv2:G4:2182541:2184460:1 gene:PRUPE_4G045700 transcript:ONI10411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMKGSPQEPKCNVSRIAIDILKRKKVKFGSFDVLTDNEVMEGIKRYSNWPIIPQIYYKGKPRGWCDTESLMRRSGELEEVPRDHGIDTAADSTGAKVTELEEVSREHGMDTTDSAGAKVTEAGSGKSGIPASTGSSHEQMQVQVQNIRQKGIQVQNGLDDNLERRLQQLIDSYPVMLFTKGTPEEPKCKLSKLAIDMLKEEKIKFASFDVLSDNEVMEGIQKYSNWPTLPQLYIEGKARGLRHIRTLKCGFRE >ONI13537 pep chromosome:Prunus_persica_NCBIv2:G4:14592785:14598398:1 gene:PRUPE_4G228400 transcript:ONI13537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSRALVVLALLLHSVVALVSAKGSNSTSQWKTLSGDAPLVIARGGFSGLFPGFSSAAFSLALITSVPDVVLWCDVQLTKDEAGICFPDILLNNNSDVQDVFAKKDKTYLVNGASTHGWFSVDYTLNDLANVFVTQGIYSRTNKFDGNLYPILTVEDVATQLKPPGLWLNVQHDIFFSQHNLSMRNYVLSVSRKVVVNYISSPEVAFLNSIKARVSPSATKLVFRFLGQDDTEPSTKQNYGSLLKNLTYIKTFASGILIPKTYIWPVTTDLYLEPHTSIVLDAHKAGLTVFASDFVNDVPLAYNYSYDPVSEYLNFVDNGDFSVDGVLSDFPITPSEAIDCFAHLGNNASGQAKPLVISKYGASGDFPSCTDLAYSKAIADGVDVLDCPVQISRDGIPFCSSSINLIDSTTVAQSRFSNLTTVIPKIKAGSGIYTFSLTWEDIKSLTPIISNPWSTYTLYRSPRTKNAGKYLTLSDFLTLAQKSSSLSGVLIGIEHATYLAEEQGLSVTDKVIAALRKAGLDNWTAPDVKIQSTNSSVLMKFKEKTNYGRVYKIEENIGDALSSSIEDIKKFADSVVINKASIFPETQAFITRVTDVVPKLKAAKLPVYVELFSNEFVSQAWDFFSDPTVEINSFVLAVNIDGVITDFPKTAARYKSKCVLLYNRLLFE >ONI13536 pep chromosome:Prunus_persica_NCBIv2:G4:14592588:14599411:1 gene:PRUPE_4G228400 transcript:ONI13536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSRALVVLALLLHSVVALVSAKGSNSTSQWKTLSGDAPLVIARGGFSGLFPGFSSAAFSLALITSVPDVVLWCDVQLTKDEAGICFPDILLNNNSDVQDVFAKKDKTYLVNGASTHGWFSVDYTLNDLANVFVTQGIYSRTNKFDGNLYPILTVEDVATQLKPPGLWLNVQHDIFFSQHNLSMRNYVLSVSRKVVVNYISSPEVAFLNSIKARVSPSATKLVFRFLGQDDTEPSTKQNYGSLLKNLTYIKTFASGILIPKTYIWPVTTDLYLEPHTSIVLDAHKAGLTVFASDFVNDVPLAYNYSYDPVSEYLNFVDNGDFSVDGVLSDFPITPSEAIDCFAHLGNNASGQAKPLVISKYGASGDFPSCTDLAYSKAIADGVDVLDCPVQISRDGIPFCSSSINLIDSTTVAQSRFSNLTTVIPKIKAGSGIYTFSLTWEDIKSLTPIISNPWSTYTLYRSPRTKNAGKYLTLSDFLTLAQKSSSLSGVLIGIEHATYLAEEQGLSVTDKVIAALRKAGLDNWTAPDVKIQSTNSSVLMKFKEKTNYGRVYKIEENIGDALSSSIEDIKKFADSVVINKASIFPETQAFITRVTDVVPKLKAAKLPVYVELFSNEFVSQAWDFFSDPTVEINSFVLAVNIDGVITDFPKTAARYKRNRCLSEKVPPSYMTPVQAASLIQVVTADYLPPAEAPNPPLTATDVIEPPLPSVEPGLAPGPTGSSTVGAPPPPNRQPKIAAGFFLSSLAMLIATLFLL >ONI13635 pep chromosome:Prunus_persica_NCBIv2:G4:15126209:15127877:-1 gene:PRUPE_4G234500 transcript:ONI13635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSHAVLSLQEAVEAYLVGLFKDTNPCAIYAKRVTIMPKDIQLARRILEAIGIQGLVVEAMKEERESYGSGFRERERERGFLK >ONI13596 pep chromosome:Prunus_persica_NCBIv2:G4:14963563:14967810:1 gene:PRUPE_4G232600 transcript:ONI13596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGSLSSTTYSATTMCSDFDTFDERNSGSFEFKPHAGSNMVTTDYNHQRNDQLVQGQAQPQSLVSPPLVKSEMAVSSNELSLSAPVHMVTSGASAPPEGDSDDLSQRGHPNPGVQTSQFDHKGSGPSVISSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYNTGAMMPIQEERSEKASSLIGRDDKPSSIYGQMSSTNEPNSTPERSPVTGNDDSVEGTGSLSNRMAEEIDDDDPFSKRRRMDVGGVDVTPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNSSHDTSGPTTVNGPSRIRSEESDTISLDLGVGINSAAENKSNEHLQLHSELMERQSHTSSNFKAIQTTPVSTYYGVLNSGMNQYGSRENPSESRSIEIPPLNHSSYPYPQNMGRVLTGP >ONI13594 pep chromosome:Prunus_persica_NCBIv2:G4:14962126:14967810:1 gene:PRUPE_4G232600 transcript:ONI13594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTLDHPSGPSDDFDPGLTDFNPGSDPTLFSSTGGGGGAKYKLMSPAKLPISRSPCLTIPPGLSPTSFLESPVLLSNMKAEPSPTTGSFLKPQMVYGSLSSTTYSATTMCSDFDTFDERNSGSFEFKPHAGSNMVTTDYNHQRNDQLVQGQAQPQSLVSPPLVKSEMAVSSNELSLSAPVHMVTSGASAPPEGDSDDLSQRGHPNPGVQTSQFDHKGSGPSVISSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYNTGAMMPIQEERSEKASSLIGRDDKPSSIYGQMSSTNEPNSTPERSPVTGNDDSVEGTGSLSNRMAEEIDDDDPFSKRRRMDVGGVDVTPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNSSHDTSGPTTVNGPSRIRSEESDTISLDLGVGINSAAENKSNEHLQLHSELMERQSHTSSNFKAIQTTPVSTYYGVLNSGMNQYGSRENPSESRSIEIPPLNHSSYPYPQNMGRVLTGP >ONI13595 pep chromosome:Prunus_persica_NCBIv2:G4:14963442:14967810:1 gene:PRUPE_4G232600 transcript:ONI13595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFMEDMTFIVLIVIRDDCSCSKLFAVTSVMWAEPSPTTGSFLKPQMVYGSLSSTTYSATTMCSDFDTFDERNSGSFEFKPHAGSNMVTTDYNHQRNDQLVQGQAQPQSLVSPPLVKSEMAVSSNELSLSAPVHMVTSGASAPPEGDSDDLSQRGHPNPGVQTSQFDHKGSGPSVISSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYNTGAMMPIQEERSEKASSLIGRDDKPSSIYGQMSSTNEPNSTPERSPVTGNDDSVEGTGSLSNRMAEEIDDDDPFSKRRRMDVGGVDVTPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNSSHDTSGPTTVNGPSRIRSEESDTISLDLGVGINSAAENKSNEHLQLHSELMERQSHTSSNFKAIQTTPVSTYYGVLNSGMNQYGSRENPSESRSIEIPPLNHSSYPYPQNMGRVLTGP >ONI14495 pep chromosome:Prunus_persica_NCBIv2:G4:24324662:24330076:1 gene:PRUPE_4G283200 transcript:ONI14495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGVYNSPSGTRLIGGSQAVRMNAPRVGGSFAVWGGLFSAFDCTMVYVRQKEDPWNSIIAGAATGGFLQMRQGLGASARSAAFGGVLLALIEGAGIMLNKFMSQQQQMPIVIEEPASVAGLPGLPPMGRAPGQPGSEPATSVSSSGAEASSGGWLGGWFGKSKEPEAKSSGSETKILESFDAPPMPSFEYK >ONI14342 pep chromosome:Prunus_persica_NCBIv2:G4:23087430:23093908:-1 gene:PRUPE_4G276500 transcript:ONI14342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEASPFESSEMVASFLASTPLLSEAWKLCSVANTAAAAPYGGFVPHQIGDVCYFAFSSNGIMTSSLSPSPSSSEEEEYSRCSRNLVALGSAGHGLFSPLTNNNGEEEAVMVHAGLLNLFLGCFQAVQNQMVEVTKNSKSIVITGHSIGGTTASLCALWLLSYLQSVSSSHSVLCITFGSPLLGNESLSRAILRERWGGNFCHVVSKYDIMPRLLFAPLASCTTQLHLLMQHWTAPQFGNLGVQLGDEANLAELFLFVAAHLQVASEAGEERATSSYCPFGNYLFCSQEGALCVDNAASVIKMMYLTFIAGNPSCCIEDHLKYGEYVGKFCSQFLNKRSFMQGELPQSSWDAGVALALQSLGISGQVLTFHHHSPIYLTFFLSIHLLSNEFQESASEPAKECLKIARRLGRTPNLKCADLAVRLSRITPYRAEIEWYKGSCDKSDEKLGYYDAFKQRGTSKRGHKVNMNRHKLAAFWNGVIEMLDKNELPHDFHRRAKWVNASQFYILLVEPLEIAEYYRSNMHLVKGHYLKHGRERRFEIFDRWWREKRVNEEKNSKRIKFAGLTQDSCFWAKVEEARECVAHARSESDASKQALLWDSINKFEMYAARLVERKEVSEDVVAKNSSYQKLLEELTELRSAVHPFWTATQFPSL >ONI14341 pep chromosome:Prunus_persica_NCBIv2:G4:23087430:23093964:-1 gene:PRUPE_4G276500 transcript:ONI14341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEASPFESSEMVASFLASTPLLSEAWKLCSVANTAAAAPYGGFVPHQIGDVCYFAFSSNGIMTSSLSPSPSSSEEEEYSRCSRNLVALGSAGHGLFSPLTNNNGEEEAVMVHAGLLNLFLGCFQAVQNQMVEVTKNSKSIVITGHSIGGTTASLCALWLLSYLQSVSSSHSVLCITFGSPLLGNESLSRAILRERWGGNFCHVVSKYDIMPRLLFAPLASCTTQLHLLMQHWTAPQFGNLGVQLGDEANLAELFLFVAAHLQVASEAGEERATSSYCPFGNYLFCSQEGALCVDNAASVIKMMYLTFIAGNPSCCIEDHLKYGEYVGKFCSQFLNKRSFMQGELPQSSWDAGVALALQSLGISGQESASEPAKECLKIARRLGRTPNLKCADLAVRLSRITPYRAEIEWYKGSCDKSDEKLGYYDAFKQRGTSKRGHKVNMNRHKLAAFWNGVIEMLDKNELPHDFHRRAKWVNASQFYILLVEPLEIAEYYRSNMHLVKGHYLKHGRERRFEIFDRWWREKRVNEEKNSKRIKFAGLTQDSCFWAKVEEARECVAHARSESDASKQALLWDSINKFEMYAARLVERKEVSEDVVAKNSSYQKLLEELTELRSAVHPFWTATQFPSL >ONI12702 pep chromosome:Prunus_persica_NCBIv2:G4:10639864:10647757:1 gene:PRUPE_4G179600 transcript:ONI12702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKSNQSSDREENQSKKENPISTLDSRFNQTLRNVQGLLKGRSMPGKVLLTRRSNVLDHSKLQEPSLNYGRSFSFNDADTSDHIAKALEEEVESLSNPNNNANSNKLKSSTSNVENLSKGAQKSVMGARATDSTRVMKFTKVLSGTTVILEKLHELAWSGVPPYMRPDVWRLLLGYAPSNSDRREGVLRRKRLEYLDCASQYYDIPDTERSEDEINMLRQIAVDCPRTVPDVSFFQQEQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLDGSVDNWSISDLSPDKISNIEADCYWCLSNLLEGMQDHYTFAQPGIQRLVFKLKEVVRRIDEPVSRHVEEQGLEFLQFAFRWFNCLLIREIPFHLISRLWDTYLAEGDALPDFLVYIFASFLLTWSDELLKLDFQELVMFLQHLPTNNWTHQELEMVLSRAFMWHSMFKSSPRHLAS >ONI12703 pep chromosome:Prunus_persica_NCBIv2:G4:10640682:10647757:1 gene:PRUPE_4G179600 transcript:ONI12703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKCCSKQIKRIVCQISSISYYLCFDYIFLISRLLKGRSMPGKVLLTRRSNVLDHSKLQEPSLNYGRSFSFNDADTSDHIAKALEEEVESLSNPNNNANSNKLKSSTSNVENLSKGAQKSVMGARATDSTRVMKFTKVLSGTTVILEKLHELAWSGVPPYMRPDVWRLLLGYAPSNSDRREGVLRRKRLEYLDCASQYYDIPDTERSEDEINMLRQIAVDCPRTVPDVSFFQQEQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLDGSVDNWSISDLSPDKISNIEADCYWCLSNLLEGMQDHYTFAQPGIQRLVFKLKEVVRRIDEPVSRHVEEQGLEFLQFAFRWFNCLLIREIPFHLISRLWDTYLAEGDALPDFLVYIFASFLLTWSDELLKLDFQELVMFLQHLPTNNWTHQELEMVLSRAFMWHSMFKSSPRHLAS >ONI14054 pep chromosome:Prunus_persica_NCBIv2:G4:18597193:18603652:-1 gene:PRUPE_4G259200 transcript:ONI14054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNKVNFLRQRARGAQVTFKSRKHGGALHATCRAEKILVANRGEIAVRIIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAASSQSYLVVPNVLSAAISRKCTMLHPGYGFLAENASFVEMCREHGINFIGPKPDSIRVMGDKATARDTMKTAGVPTVPGSDGLLQSTEEGIRLADEIGFPVMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYTQDEIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPNYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIEYHKLILDIEDFKNGKVDTAFIPKHEEELAAPQHLVPAAPAKELAGASA >ONI14053 pep chromosome:Prunus_persica_NCBIv2:G4:18596908:18603850:-1 gene:PRUPE_4G259200 transcript:ONI14053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATMPICKIVTPTPGLFFGRTREIQSSQCSFMVGNKVNFLRQRARGAQVTFKSRKHGGALHATCRAEKILVANRGEIAVRIIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAASSQSYLVVPNVLSAAISRKCTMLHPGYGFLAENASFVEMCREHGINFIGPKPDSIRVMGDKATARDTMKTAGVPTVPGSDGLLQSTEEGIRLADEIGFPVMIKATAGGGGRGMRLAKEPEEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYTQDEIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPNYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIEYHKLILDIEDFKNGKVDTAFIPKHEEELAAPQHLVPAAPAKELAGASA >ONI11580 pep chromosome:Prunus_persica_NCBIv2:G4:6113642:6117428:-1 gene:PRUPE_4G114500 transcript:ONI11580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHKPPTVSSALWEPYQKGACLCTVEFKRTQLSAASSYSVGFKFEHGKLHKGGSILGTIFSASRKPRVEPTLRKRETYPQNVDLPPVLPKQKKKPYPIPFKKIKQVAKKDKKLAEMGIEKPLDPPKNGLLAPDLIPVAYQVLDAWKVLIKGLGQLLYVIPVYGCNECSEVHVSHSGHHMQDCLGPTNSKRRSFHSWIKGSINDILVPIEAYHLYDPFGRRIKHETRFQYDRIPAIVELCIQAGVEIPEYPSRRRTKPIRMIGRKVIDRGGLVEEPQPWRAANPSSLVDLDTHGACERFPPPLPSDIPKIAQETMDAYETVRFGVTKLMKKYTVKACGYCTEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVDEVFPPNYVWHVKDPKGPPMKGGALKKFYGKAPAVVEVCLQAGAQIPEKYKPMMRLDIVVPDSEEALLVA >ONI11581 pep chromosome:Prunus_persica_NCBIv2:G4:6113642:6115869:-1 gene:PRUPE_4G114500 transcript:ONI11581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVVSLTFILELDAQVLFKMQFEHGKLHKGGSILGTIFSASRKPRVEPTLRKRETYPQNVDLPPVLPKQKKKPYPIPFKKIKQVAKKDKKLAEMGIEKPLDPPKNGLLAPDLIPVAYQVLDAWKVLIKGLGQLLYVIPVYGCNECSEVHVSHSGHHMQDCLGPTNSKRRSFHSWIKGSINDILVPIEAYHLYDPFGRRIKHETRFQYDRIPAIVELCIQAGVEIPEYPSRRRTKPIRMIGRKVIDRGGLVEEPQPWRAANPSSLVDLDTHGACERFPPPLPSDIPKIAQETMDAYETVRFGVTKLMKKYTVKACGYCTEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVDEVFPPNYVWHVKDPKGPPMKGGALKKFYGKAPAVVEVCLQAGAQIPEKYKPMMRLDIVVPDSEEALLVA >ONI11867 pep chromosome:Prunus_persica_NCBIv2:G4:7229088:7230647:1 gene:PRUPE_4G130900 transcript:ONI11867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESQFPESLLATYSTNNAMEISESETLICCLFHSKSNKPNTNQLLVRSSKPFNKNTHLKKTTTLPKINTKFSSMKIRRLRV >ONI09774 pep chromosome:Prunus_persica_NCBIv2:G4:429706:432333:-1 gene:PRUPE_4G008500 transcript:ONI09774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQDSHSSSNHFPPHTRNFYSPSSTKIHRQTGRSMRTIRSNIYHDDQSRNSFTTERSSFVSENLTDSVIDMRLGELALRTHGSAAKSASSDEEFLQLSQAFSDFSACSSDISGELQRLATLPSPENAPTSEPEPEVPEEPCQGFLQRENFSTEIIESISPEDLLPTVKICVDGLQSPSIAIKQSAAAKLRLLAKNRADNRALIGESGAVPALIPLLRCSDPWTQEHAVTALLNLSLHESNKAIITNAGAIKSLVYVLKTGTETSKQNAACALLSLALIEENKSSIGACGAIPPLVSLLISGSTRGKKDALTTLYKLCSIKPNKERAVNAGAVKPLVALVAEQGTGLAEKAMVVLSSLAGVEEGKEAIVEEGGLAALVEAIEDGSVKGKEFAVLTLLQLCTESVRNRGLLVREGGIPPLVALSQTGTLRAKHKAETLLGYLREPRQEASSSAL >ONI13514 pep chromosome:Prunus_persica_NCBIv2:G4:14480825:14482532:-1 gene:PRUPE_4G227300 transcript:ONI13514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEDEIKRLIKVCLSILASLCYAYFIASKIPEGKLRFFSLLPIFCLFTILPLSLSTALPAGVIALFITWVGSFKVLLFSFGLGPLSSGPPLNSLPLFIFSTCLPIKAKQDGQHHHQIAPNHKPPQNPKLPLNLPTKVLLFGILVALTDFTKYVHPNILLSQYCCMLYLFVDIIVGLSNATLRALIGVQLESPSNEPYLSTSLQDFWGRRWNLMVTNTLRHTVYKPVRLAMETFLGTRWAPLPAILVAFLVSGLMHELIFFYMTRVPPTWEVTWYFVLHGMCLVVEFGVKRALSGGRWQLHWIVSTPLTIGFVMVTATWLFFPPLVRNGVDARAIEECKELVEFMKEKLKWDGLHTV >ONI10172 pep chromosome:Prunus_persica_NCBIv2:G4:1490997:1494593:1 gene:PRUPE_4G032100 transcript:ONI10172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKALLVFFLLLFPFCTSIDTIALNQRVKDGDFIVSKENNFELGFFSPGNSSSRYVGIWYANKSEKAVVWVANRNNPINDTSGVLTINRWVLTDYGLNQQLRWSDAVNQWEELWAAPKYRCDQYGQCGANSKCSPDNVNMFECECLPGYEPKSLNDWNRRDGSEGCVSKRIGLPKCGNGEGFVKVARVKEPDTTKAAQLLTSISANQCQQVCLSNCSCTAYMSIEWEGSIDCLVWYGELLDILVRTELGQDLYVRVDKMELAENARKSEGFLKRRGLLAILIVAVLLALVLIIVFACWWLNKKRKIKDFVEADELEESRRHPELQFFHLSTIIEATDNFSPVNKLGQGGFGTVYKGHLQNDQKIAVKRLCKTSGQGIEEFKNEVALIAKLQHRNLVKLLGCCIQGEERMLVLEYLPNKSLDFFVFDHSRRSLLDWKKRFEIINGVARGVLYLHQDSRLRIIHRDLKTSNVLLDAEMNPKISDFGMARIFHGDQLQDKTNRVVGTYGYMSPEYAVFGRYSTKSDVFSFGIVLLEIVSGKKNNGSYQEDHSMNLIGYVWQLWREDRALEIVDSLLESYHSDEVMRCIQVGLLCVQEDSKDRPTMSAVVFMLSGEASLPSPQQPAFVFRKSSCLHLYSVNDLTITKVEAR >ONI12915 pep chromosome:Prunus_persica_NCBIv2:G4:11515223:11517660:-1 gene:PRUPE_4G191600 transcript:ONI12915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVENHHHHHHRHKSHSDVKLFALCPFWQSGGQTSSSSSSTQNLQGHVDGSKSKPKTVSSVARSLLPPRRRLRLDPANKLYFPYEPGKQVKSAIRLKNTSRSHVAFKFQTTAPKSCYMRPPGGILAPGESIIATVFRFVEQPENNEKHLDQKTKVKFKIVSLKVTAGTDYVPELFDEQKDQVTVERILRVVFLDAEHPSPALEKLKRQLAEAEAALEVRKKPPVDSGPRDVGEGLVIDEWKERREKYLARQQVGAVDSV >ONI11024 pep chromosome:Prunus_persica_NCBIv2:G4:4055427:4061848:1 gene:PRUPE_4G083200 transcript:ONI11024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETGKRYRSQRDHDGGNKNQKRRFNDREEKGSDEMVVYRILCPDGVIGSVIGKSGKVINTIRQDTRAKIKVVDPFPGAKDRVINIYSYVKDKEEVEVDDEFSDREPLCAAQDALLRVHTAISNALASVGDSDKKRRDSREDCQILVPSSQSANIIGKAGSTIKKLRSKTRTNIKIVAKDATDPTHSCAMDFDNFLMINGESEAVKRALFAVSAIMYKFAPREEIPLETSVPEAPPSIIIPSDVSIYQPGGLYQSADPIVPSRSVPPIIGATHVQDLQGYADAGNTWPIYSSALPLVSGFSVASRSEELVVRVLCPFDKIGRVIGKGGGTIKIIRQASGARVEVDDTKDRDECIITVAATESPDDLKSMAVEAVLLLQGKINDEDDDSVSIRLLVPSKVIGCIIGKSGSIINEIRKRTKADVRISKADKPKCADANDELVEVAGEPSSLRDALIQIVLRLRDDVLKDRDGGHNPSGGAESLYSGGSGLSIPSVLPSVPPVTSLGYDQRAESGSGLGMHSSGSLYGYGSMSMGENGYGSMSSYSSKLYGGLPPPSTLEMLVPANAVGKVLGKGGANIANIRKISGAMIEISDSKSSRGDRIAHISGTPEQKRTAENLIQAFIMAP >ONI11022 pep chromosome:Prunus_persica_NCBIv2:G4:4055425:4061848:1 gene:PRUPE_4G083200 transcript:ONI11022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETGKRYRSQRDHDGGNKNQKRRFNDREEKGSDEMVVYRILCPDGVIGSVIGKSGKVINTIRQDTRAKIKVVDPFPGAKDRVINIYSYVKDKEEVEVDDEFSDREPLCAAQDALLRVHTAISNALASVGDSDKKRRDSREDCQILVPSSQSANIIGKAGSTIKKLRSKTRTNIKIVAKDATDPTHSCAMDFDNFLMINGESEAVKRALFAVSAIMYKFAPREEIPLETSVPEAPPSIIIPSDVSIYQPGGLYQSADPIVPSRSVPPIIGATHVQDLQGYADAGNTWPIYSSALPLVSGFSVASRSEELVVRVLCPFDKIGRVIGKGGGTIKIIRQASGARVEVDDTKDRDECIITVAATESPDDLKSMAVEAVLLLQGKINDEDDDSVSIRLLVPSKVIGCIIGKSGSIINEIRKRTKADVRISKADKPKCADANDELVEVAGEPSSLRDALIQIVLRLRDDVLKDRDGGHNPSGGAESLYSGGSGLSIPSVLPSVPPVTSLGYDQRAESGSGLGMHSSGSLYGYGSMSMGENGYGSMSSYSSKLYGGLPPPSTLEMLVPANAVGKVLGKGGANIANIRKISGAMIEISDSKSSRGDRIAHISGTPEQKRTAENLIQAFIMAP >ONI11023 pep chromosome:Prunus_persica_NCBIv2:G4:4055712:4061848:1 gene:PRUPE_4G083200 transcript:ONI11023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETGKRYRSQRDHDGGNKNQKRRFNDREEKGSDEMVVYRILCPDGVIGSVIGKSGKVINTIRQDTRAKIKVVDPFPGAKDRVINIYSYVKDKEEVEVDDEFSDREPLCAAQDALLRVHTAISNALASVGDSDKKRRDSREDCQILVPSSQSANIIGKAGSTIKKLRSKTRTNIKIVAKDATDPTHSCAMDFDNFLMINGESEAVKRALFAVSAIMYKFAPREEIPLETSVPEAPPSIIIPSDVSIYQPGGLYQSADPIVPSRSVPPIIGATHVQDLQGYADAGNTWPIYSSALPLVSGFSVASRSEELVVRVLCPFDKIGRVIGKGGGTIKIIRQASGARVEVDDTKDRDECIITVAATESPDDLKSMAVEAVLLLQGKINDEDDDSVSIRLLVPSKVIGCIIGKSGSIINEIRKRTKADVRISKADKPKCADANDELVEVAGEPSSLRDALIQIVLRLRDDVLKDRDGGHNPSGGAESLYSGGSGLSIPSVLPSVPPVTSLGYDQRAESGSGLGMHSSGSLYGYGSMSMGENGYGSMSSYSSKLYGGLPPPSTLEMLVPANAVGKVLGKGGANIANIRKISGAMIEISDSKSSRGDRIAHISGTPEQKRTAENLIQAFIMAP >ONI13671 pep chromosome:Prunus_persica_NCBIv2:G4:15359764:15361470:1 gene:PRUPE_4G236600 transcript:ONI13671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSREVATKPHAVCIPFPYQSHIKAMLKFAKLLNHRGFHITFVNTEFNHKRFLKSQGPNSLVGLPDFQFEAFPDSLPDSDEDATPDATLLCESIRKKKFLAPFHALLAKLNNDAISTSHNPPVTCIVSDGFMSAFTITAAEEIGVPIALFYTIAACSFMGFKQLRAVVEKGLFPLKDESCFKNGYLDTVIDWIPGMRDIRLRDLPTFARNTNLDDTGFNFIMEETERAHEASAVVLHTFHALETDVLEALSSMLPHVYTVGPLQLHLNQIPEHPLKMGYSPWKEETECLEWLNTKAPNSVVYVNFGSVAVVTPEQLVEFGWGLANSKLPFFWVIRPDLVVGESAILPPEFVAETKERSLIAGWCPQEQVLNHPSVGGFLMHSGWNSTVESLTAGVPMLCWPFFGDQQMDCRYTCNEWGIGMEISDDAKREEVEKLIKELMEGEKGKKMKNKVMEWKKLAEEATGPHGSSSTNLDNLVNQLLLRKS >ONI12112 pep chromosome:Prunus_persica_NCBIv2:G4:8348355:8350383:-1 gene:PRUPE_4G145200 transcript:ONI12112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELEDNKAKLWCDCPFWTEDGMCLLRDCSICECPENEFPEPFKRPLLQGLPPDSFVCQEGKQQGTFDWTLDSRAFRGWVETDNPWTNDEETDNGEMTYVNLLLNPERYTGNAGPSPRRIWDAVYSENCPKYSSQDICQEKKVLYKLISGLHSSISIHIAADYLLDKTTNLVLLLPHIM >ONI12113 pep chromosome:Prunus_persica_NCBIv2:G4:8349025:8350050:-1 gene:PRUPE_4G145200 transcript:ONI12113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLRDCSICECPENEFPEPFKRPLLQGLPPDSFVCQEGKQQGTFDWTLDSRAFRGWVETDNPWTNDEETDNGEMTYVNLLLNPERYTGNAGPSPRRIWDAVYSENCPKYSSQDICQEKKVLYKLISGLHSSISIHIAADYLLDKTTNLVLLLPHIM >ONI09720 pep chromosome:Prunus_persica_NCBIv2:G4:310514:313265:1 gene:PRUPE_4G005200 transcript:ONI09720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHLCSSPSSLFPNRQTPSHSLPSPRGFRLGSSGLRTLKLSFPSLHARTSLQINHVSLQEPVAQETQTPTNVPEVESPQRQNRNSGSLSKSYIWVNPSSPRASQLRQKSYDSRYASLVKVAEYLNSCSPSENDVFEALKGLGDRILEQDAVVVLNNMTNPENALLALKYFQQNLKPKREVILYNVTLKVCRKGKDLDRAEKLFDELLKRGVQPDNVTFSTMISCARMSSLPDKAVEWFEKMPSFGCNPDDVTYSAMIDAYGRSGKVDMAFSLYDRARTSKWRIDPVTFSTLIKIHGQSGNFDGCLNVYEEMKAIGAKPNLVIYNTLLDAMGRAKRPWQAKKIYREMINKEFSPNWVTYAALLRAYGRARYGDDALNVYREMKEKGMELNVILYNTLLAMCADVGYADEAVEIFKDMKSSETWKPDSWTFSSMITIYSCSGKVTEAETMLNEMLEAGFQPNIFILTSLIQCYGKAKRTDDVVRIFNQLLELGITPDERFCGCLLNVMTQTPKEELCKLANCIERADEKLGYVVRLLVEKQDNSVNFKKEASELFNSIGSDVKKAYCNCLIDLCVNLDLLERACELLDLGLTLQIYIDIQSRSQTQWSLYLKGLSLGAALTALHVWINDLSRVLESGEELPPLLGINTGHGKHKYSDKGLASVFESHLKELNAPFHEAPDKAGWFLTTKVAVKSWLESRSSSELVAA >ONI13162 pep chromosome:Prunus_persica_NCBIv2:G4:12889590:12890104:1 gene:PRUPE_4G206600 transcript:ONI13162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCNSNEPMILEVFDLILEVAVKENLKAEQMIKKVIVFTTHLQPTDSRISHVQYDALRSIFETIRNKFRDKGYGDDAVPHILYFNEEEHPWICTQHPGFTIMSGKCCHPHPLATPERQAITFMEKLGKDNKVQMGKIKVELLLLLEK >ONI14248 pep chromosome:Prunus_persica_NCBIv2:G4:21912257:21919105:-1 gene:PRUPE_4G270800 transcript:ONI14248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWGRKSSKSKEEQEKTLQHKNPYSNRNNNNFIIKSSINSDIKKKEKDVRPKSFDEQITRGSPRASKELVRAGVSASGFSGFDSDGCERKQGHPLPRPSVSSAQGLGNDQVLGLGSGSASISSVSSSGSSDDQPIAHDSHAQLGASSYRANGDPKYNMMPRSPGPGSKGPTSPTSPLHPLFCAISLESPTGKQEDGKSVCHPLPLPPGSPTSPSALPSSRTSVAIENTTCALSKWKKGKLLGRGTFGHVYVGFNSESGQMCAIKEVRLVSDDRTSKECLKQLNQEINLLSRLSHPNIVQYHGSELGEDALSVYLEYVSGGSIHKLLQEYGPFKEPVIQNYTRQIISGLAYLHGRNTVHRDIKGANILVGPNGEIKLADFGMAKHITNCASMLSFKGSPYWMAPEVVMNINGYSLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDIPEIPDHLSKDAKSFVRLCLQRNPSERPAASQLLDHPFIREQTSTRISNTKLTKDAFPYTFHGSRTPPILELHSNRTSITLSDADHTAKPTAATSRAVRSPRENIRMITSLPVSPCSSPLRQYGPTHKSCFLSPPHPTYAMMGQSSYNLSDLSYNTLSPNTSYTLDPWQDTSILKAQTPGGSPRTRPI >ONI14249 pep chromosome:Prunus_persica_NCBIv2:G4:21913269:21919105:-1 gene:PRUPE_4G270800 transcript:ONI14249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWGRKSSKSKEEQEKTLQHKNPYSNRNNNNFIIKSSINSDIKKKEKDVRPKSFDEQITRGSPRASKELVRAGVSASGFSGFDSDGCERKQGHPLPRPSVSSAQGLGNDQVLGLGSGSASISSVSSSGSSDDQPIAHDSHAQLGASSYRANGDPKYNMMPRSPGPGSKGPTSPTSPLHPLFCAISLESPTGKQEDGKSVCHPLPLPPGSPTSPSALPSSRTSVAIENTTCALSKWKKGKLLGRGTFGHVYVGFNSESGQMCAIKEVRLVSDDRTSKECLKQLNQEINLLSRLSHPNIVQYHGSELGEDALSVYLEYVSGGSIHKLLQEYGPFKEPVIQNYTRQIISGLAYLHGRNTVHRDIKGANILVGPNGEIKLADFGMAKHITNCASMLSFKGSPYWMAPEVVMNINGYSLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDIPEIPDHLSKDAKSFVRLCLQRNPSERPAASQLLDHPFIREQTSTRISNTKLTKDAFPYTFHGSRTPVKPFRTLFFARPFRTG >ONI12883 pep chromosome:Prunus_persica_NCBIv2:G4:11271534:11272507:-1 gene:PRUPE_4G189500 transcript:ONI12883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGERAVAMKIDSILFYIQIVIREFQEVIFIFAPHNCNKAAHEVATFACRKKFAPNLHLSFDLLRKMGIWDFISGTTDSLKRNALNQTAEKNWCSTPDSLKNVCSTAYDYGSATFIHIDSTVRGNLDHYRQDEEARSKILQLGKIIVTHAAIVGLKTIPGYNIVNNSIKDMKDSDKQEVDVEALQADVRRLDKELSKYRNSMSKSR >ONI14377 pep chromosome:Prunus_persica_NCBIv2:G4:23270472:23271348:1 gene:PRUPE_4G278000 transcript:ONI14377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEEEPLASLREDPLSRDEEMTHHFQLSLRDFYFYKNFLVDDLKWTSWKMASAACGILGFPFMSIVQPSEEEL >ONI12394 pep chromosome:Prunus_persica_NCBIv2:G4:9264210:9267220:1 gene:PRUPE_4G161600 transcript:ONI12394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATRTLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHATFENVDRWLKELRNHTDSNIVVMLVGNKSDLRHLVAVSTEDGKSYAEKESLYFMETSALEAKNVENSFAEVLTQIYHIVSKKAVEGGENGTASVPATGEKINIKDDVSALKRVGCCSS >ONI12443 pep chromosome:Prunus_persica_NCBIv2:G4:9583947:9585954:-1 gene:PRUPE_4G165100 transcript:ONI12443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKFIHGITWFLFLVAICAIKAKAISVDVVKFGAKGDGKTDDTKAFTQAWTQACSERQNNRYVIPKGTYIVGPVDFAGPCKAKTIHFKVDGTVQSSKKQSVTGGAHPNAWISFTQVNNLFISGDGIFDGQGFEGNCTKAKQCEQPPLNLIFAMVKDSHIQGITSNNSVGGHIGIYRSINVTVDDVDIGIKGGEGILIEKSTNINIINTNIKILHDNCVTILDGNTGINIEKMTCSQGNGLGVSVLGNTGKEEPIKGVTVRNCTFSHTEGAIRIQSAAASNANIAISNLIFEDIIFDYLQNMAIILDQEHCPSKQCRTTNPSKVKVENVSFKNIKGTSVDPRIVILECGTAPDACKDIRFIDLRVLVEGDDRLETQFRCKNVKPAVAGHVDPAACNTRAVA >ONI09840 pep chromosome:Prunus_persica_NCBIv2:G4:604767:608176:1 gene:PRUPE_4G012800 transcript:ONI09840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRIPSHQLSNGLVVSGRPEQQLKERQPTMASRAVPYTGGDVKKSGELGKMFDIPIADHPPHLPSNGPPPSKLSGSRPSSSSQHNSGSVRSGPNSGQISKKSSGPLTPQLQPTGLITSGPLGSGPLGSGPRRSSGQLEHTGSMSKVVYGSAVTTLSEEVKVEFRVSKAAVWVFMVVVVMGLLVGGFLMVAVKKWVILVAVALFLVPVVVVIVWNYAWGRRGLLGFVRRYPDAELRGAIDGQYVKVTGVVTCGSIPLESSYQRVPRCVYVSTELCKSKGCSGKSENKKSRHSEVY >ONI09839 pep chromosome:Prunus_persica_NCBIv2:G4:604722:608223:1 gene:PRUPE_4G012800 transcript:ONI09839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRIPSHQLSNGLVVSGRPEQQLKERQPTMASRAVPYTGGDVKKSGELGKMFDIPIADHPPHLPSNGPPPSKLSGSRPSSSSQHNSGSVRSGPNSGQISKKSSGPLTPQLQPTGLITSGPLGSGPLGSGPRRSSGQLEHTGSMSKVVYGSAVTTLSEEVKVEFRVSKAAVWVFMVVVVMGLLVGGFLMVAVKKWVILVAVALFLVPVVVVIVWNYAWGRRGLLGFVRRYPDAELRGAIDGQYVKVTGVVTCGSIPLESSYQRVPRCVYVSTELCKSKGCSGKSENKKSRHSEKYVADFYISDFQSGLRALVKAGYGAKVAPFVKPATVVDVTKENKELSPSFLQWLAERNLSTDDRIMRLKEGYIKEGSTVSVMGVVRRHDNVVMIVPPTEPVSTGFQWLRCLLPTYVEGLILTCDDNQNADVVPV >ONI10747 pep chromosome:Prunus_persica_NCBIv2:G4:3144326:3150774:-1 gene:PRUPE_4G065700 transcript:ONI10747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSGIPLCNTCGEQVGLDANGEVFVACHECNFPVCKTCFDLDIKEGRKACLRCSTPYDDENSLADDETKVSGTRSAMAAHLDNSQDIGIHARHVSNVSAVDSELNDEYGNPIWKNRVESWKDKKDKKSKKKKGAPKEEKVAQIPPEQQMTENHSPDAAEPLSTIIPLPPNKITPYRVVIIMRLIILALFFHYRVTNPVDSAYGLWLTSIICEIWFAFSWVLDQFPKWYPVNRTTFTDRLSARYEREGEPSELAAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLAETSEFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEFKVRMNALVAKAQKTPEDGWTMQDGTPWPGNNSRDHPGMIQVFLGHSGAYDIEGNELPRLVYVSREKRPGYPHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSQAIREAMCFLMDPQVGRGVCFVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCCFNRQALYGYGPHSMPSLSKASSSSCSWCGCCSCCCPSKKPSKDLSEAYRDTKREELDAAIFNLREIDNYDEYERSMLISQTSFEKTFGLSSVFIESTLMENGGVAESSNPSTLIKEAIHVISCGYEEKTAWGKEIGWIYGSITEDILTGFKMHCRGWRSIYCMPMRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFAGGRLKWLQRLAYINTIVYPFTSLPLVAYCTIPAICLLTGKFIIPTLSNLASALFLGLFMSIIVTSVLELRWSGVSIEDIWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTSKQAEDADFGELYMIKWTTLLIPPTTLLIVNMVGIVAGFSDALNKGYEAWGPLFGKVFFAFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVKINPFVTKVDSSALTQSCISIDC >ONI10748 pep chromosome:Prunus_persica_NCBIv2:G4:3144650:3149874:-1 gene:PRUPE_4G065700 transcript:ONI10748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLDNSQDIGIHARHVSNVSAVDSELNDEYGNPIWKNRVESWKDKKDKKSKKKKGAPKEEKVAQIPPEQQMTENHSPDAAEPLSTIIPLPPNKITPYRVVIIMRLIILALFFHYRVTNPVDSAYGLWLTSIICEIWFAFSWVLDQFPKWYPVNRTTFTDRLSARYEREGEPSELAAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLAETSEFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEFKVRMNALVAKAQKTPEDGWTMQDGTPWPGNNSRDHPGMIQVFLGHSGAYDIEGNELPRLVYVSREKRPGYPHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSQAIREAMCFLMDPQVGRGVCFVQFPQRFDGIDRSDRYANRNTVFFDVNMKGLDGIQGPVYVGTGCCFNRQALYGYGPHSMPSLSKASSSSCSWCGCCSCCCPSKKPSKDLSEAYRDTKREELDAAIFNLREIDNYDEYERSMLISQTSFEKTFGLSSVFIESTLMENGGVAESSNPSTLIKEAIHVISCGYEEKTAWGKEIGWIYGSITEDILTGFKMHCRGWRSIYCMPMRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFAGGRLKWLQRLAYINTIVYPFTSLPLVAYCTIPAICLLTGKFIIPTLSNLASALFLGLFMSIIVTSVLELRWSGVSIEDIWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTSKQAEDADFGELYMIKWTTLLIPPTTLLIVNMVGIVAGFSDALNKGYEAWGPLFGKVFFAFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVKINPFVTKVDSSALTQSCISIDC >ONI13044 pep chromosome:Prunus_persica_NCBIv2:G4:12366346:12367049:-1 gene:PRUPE_4G199600 transcript:ONI13044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPNPSHFLSLKLTPTNFLLWKTQFEPILISYDLEGLIDGTDTAPSSTVGAGNTSQSNPEFISWHKRDQMLRSWIIASLTEDVMPHIVGTSTSFQAWKALTQAFGSPTNTRLLSDLPVATYLQKAKLIADQLAAAGKPLDNNEFNAIIFRNLGSDYSDMVTAMSTRLSPISYSELNSPLVSHEFRLQEQATYSTILPSANMVYKGQNSNNTRAPASPNFHNH >ONI14035 pep chromosome:Prunus_persica_NCBIv2:G4:18518976:18522577:1 gene:PRUPE_4G258800 transcript:ONI14035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYGPGRNHLFVPGPVNIPEPVLRAMNRNNEDYRSPAVPAMTKILLEDVKKIFKTTTGTSFMIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFKVDVVESEWGQGANLDILASKLAEDTAHTIKAICIVHNETATGVTNNLAQVRRILDEYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGIGIVCASPKALEASKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHSRLGKATRLAVEAWGLKNCTQSEEWFSDTVTAVLVPPYIDSTEIVRRAWKRYNLSLGLGLNKIAGKVFRIGHLGNLNELQLLGCLAGVEMVLRDVGYPVKLGSGVAAASAYFQNNTPLIPSRI >ONI14034 pep chromosome:Prunus_persica_NCBIv2:G4:18518134:18522522:1 gene:PRUPE_4G258800 transcript:ONI14034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYGPGRNHLFVPGPVNIPEPVLRAMNRNNEDYRSPAVPAMTKILLEDVKKIFKTTTGTSFMIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFKVDVVESEWGQGANLDILASKLAEDTAHTIKAICIVHNETATGVTNNLAQVRRILDEYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGIGIVCASPKALEASKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHSRLGKATRLAVEAWGLKNCTQSEEWFSDTVTAVLVPPYIDSTEIVRRAWKRYNLSLGLGLNKIAGKVFRIGHLGNLNELQLLGCLAGVEMVLRDVGYPVKLGSGVAAASAYFQNNTPLIPSRI >ONI14036 pep chromosome:Prunus_persica_NCBIv2:G4:18518976:18522522:1 gene:PRUPE_4G258800 transcript:ONI14036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYGPGRNHLFVPGPVNIPEPVLRAMNRNNEDYRSPAVPAMTKILLEDVKKIFKTTTGTSFMIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFKVDVVESEWGQGANLDILASKLAEDTAHTIKAICIVHNETATGVTNNLAQVRRILDEYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGIGIVCASPKALEASKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHSRLGKATRLAVEAWGLKNCTQSEEWFSDTVTAVLVPPYIDSTEIVRRAWKRYNLSLGLGLNKIAGKVFRIGHLGNLNELQLLGCLAGVEMVLRDVGYPVKLGSGVAAASAYFQNNTPLIPSRI >ONI14037 pep chromosome:Prunus_persica_NCBIv2:G4:18517641:18522605:1 gene:PRUPE_4G258800 transcript:ONI14037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYGPGRNHLFVPGPVNIPEPVLRAMNRNNEDYRSPAVPAMTKILLEDVKKIFKTTTGTSFMIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFKVDVVESEWGQGANLDILASKLAEDTAHTIKAICIVHNETATGVTNNLAQVRRILDEYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGIGIVCASPKALEASKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHSRLGKATRLAVEAWGLKNCTQSEEWFSDTVTAVLVPPYIDSTEIVRRAWKRYNLSLGLGLNKIAGKVFRIGHLGNLNELQLLGCLAGVEMVLRDVGYPVKLGSGVAAASAYFQNNTPLIPSRI >ONI13742 pep chromosome:Prunus_persica_NCBIv2:G4:16019863:16021262:1 gene:PRUPE_4G242700 transcript:ONI13742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDNDSGGAHTKSNSELSPREQDRLLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLQRFREMEGEKSSVAARDKDASGGGGNGGVGYESGGGSGGAGVYWQQQQQQQQHQHQHQHQGHVYGGSGFHQMGVSGVGLGKGGPGSNMGRPR >ONI11200 pep chromosome:Prunus_persica_NCBIv2:G4:4596740:4598323:1 gene:PRUPE_4G092200 transcript:ONI11200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRFVYTCIDIHLISNCGIAIMYLRFVYTCIDIHLISNCGIAIMYLMLGLIDHMVPNFFLSLSTHVKTLVSSSSSFLNHLQKASLISKVDLIMIHLKTSF >ONI10155 pep chromosome:Prunus_persica_NCBIv2:G4:1441589:1444840:-1 gene:PRUPE_4G031000 transcript:ONI10155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVYIVYYSMYGHVEKLAEEILKGAASVEGVEAKLWQVAETLQDDVLGKMGAPPKSEVPIISPNDLSEADGLLFGFPTRFGMMAAQFKAFFDSTGGLWRTQALAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMVFVPIGYSFGAGMFEMEQIKGGSPYGAGTYAGDGTRQPSELELQQAFHQGKYFAGIAKKLKGSTV >ONI10156 pep chromosome:Prunus_persica_NCBIv2:G4:1441536:1444912:-1 gene:PRUPE_4G031000 transcript:ONI10156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVYIVYYSMYGHVEKLAEEILKGAASVEGVEAKLWQVAETLQDDVLGKMGAPPKSEVPIISPNDLSEADGLLFGFPTRFGMMAAQFKAFFDSTGGLWRTQALAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMVFVPIGYSFGAGMFEMEQIKGGSPYGAGTYAGDGTRQPSELELQQAFHQGKYFAGIAKKLKGSTV >ONI13040 pep chromosome:Prunus_persica_NCBIv2:G4:12290148:12292094:1 gene:PRUPE_4G199300 transcript:ONI13040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSNQMKLIDAIQRLGVAYHFEKEIEEALEHIYAANHFHDDDGDGDLYNVSLGIFNKFKDSKNGGFKESLIADVLGMLSFYEATHLRVHGEDILEEALIFTTKHLESATTHVSYQLAEQIAQALKRPLRKSLERLCARRFMSIYQDEASHNEALLKLAKSDFNLVQSLHKQELSEIIRWWKELDFGRKLPFARNRIVELYCSILGVYFEPQYLVGRKFVTKIIALMSVMDDIYHAFGTFEELEIFTEAIHQRWHANCMDGVPDYMQIFFHTLLNVWKTITKAYFDEAKWFHEGCIPSMEEYMRVAATSAASFALSATSLVGMGDIVTKESFEWLFNDPKILKASNIIVRLMDDIVSSKFEKERGHVACAIDCYMKQYGVSDEQEIIDVFNKQIVDSWKDINEEFLRPTSMPMPILERIVNLTRVVDLLYKKYDAYTHGGKVMNDCIASYLIDPAPV >ONI13622 pep chromosome:Prunus_persica_NCBIv2:G4:15091721:15092610:-1 gene:PRUPE_4G233900 transcript:ONI13622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASVSSFTQMATPTSSNNTLLGRSLHISKHASFTRKPCNQNQQLAMKKPSTKAWRVAAIHHVPVVVDPTPVAITWQIVVGAIAGVTPFVVAGIEFSKRIHRKDVRYVEGQGLF >ONI13621 pep chromosome:Prunus_persica_NCBIv2:G4:15091903:15092659:-1 gene:PRUPE_4G233900 transcript:ONI13621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASVSSFTQMATPTSSNNTLLGRSLHISKHASFTRKPCNQNQQLAMKKPSTKAWRVAAIHHVPVVVDPTPVAITWQIVVGAIAGVTPFVVAGIEFSKRIIAQKRCEVCGGSGLVLTKEDYVKCPGCGMCSDHMLSKG >ONI12938 pep chromosome:Prunus_persica_NCBIv2:G4:11597386:11600453:-1 gene:PRUPE_4G192700 transcript:ONI12938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECELCGLRARIHCEADQAKLCWDCDEKVHGANFLVAKHPRNLLCHGCQLPTPWMGSGPKLTPTVSVCEICVERRGIKFQRYEDQESEAENEDDVDLDDEEDDDDGGHDGEDVDDDADDDDDDEDENQVVPWSSSHSAAAEPPPAVSCSSSEEEEEEEEFLVVSKRMRENADPDSDLGCDETSSLASLRLLKRARPGEENRLLSERSSEAELRSTAIVSSIESLQNQTDVISDGDDQASAAVLGICEPRRDVLVADIVFLRGTFIHDA >ONI12937 pep chromosome:Prunus_persica_NCBIv2:G4:11597413:11600453:-1 gene:PRUPE_4G192700 transcript:ONI12937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECELCGLRARIHCEADQAKLCWDCDEKVHGANFLVAKHPRNLLCHGCQLPTPWMGSGPKLTPTVSVCEICVERRGIKFQRYEDQESEAENEDDVDLDDEEDDDDGGHDGEDVDDDADDDDDDEDENQVVPWSSSHSAAAEPPPAVSCSSSEEEEEEEEFLVVSKRMRENADPDSDLGCDETSSLASLRLLKRARPGEENRLLSERSSEAELRSTAIVSSIESLQNQTDVISDGDDQASAAVLGICEPRRDVLVADIVFLRGTFIHDA >ONI12942 pep chromosome:Prunus_persica_NCBIv2:G4:11627418:11632557:1 gene:PRUPE_4G193000 transcript:ONI12942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSKLPLHLCHVHKLSIFINRTHIFFHSIALVFLLYYRASFFFFQDTTKTKATTLAWLLVFVSEIFLSFEWLLSQSFRWRPVSRTTFPERLPGDDKLPAVDVFICTADPEKEPTVGVMNTVLSAMAMDYPPEKLYVYLSDDGGAAVTLKGMREAWRFAKWWLPFCRRAPEAYFSAEEEDADFGGSEFIQEREDIKEKYEVFKKRVREKATVGDTRSRLGRDHPAVIEVIQETSSDDAIQENETKNMPLLVYVSREKRPSHPHHFKAGALNVLLRVSGVISNSPYILGLDCDMHCHDPSSARQAMCFHLDPKISPSLALVQFPQKFHNISNNDIYDSQLRSTFWLLWQGFDGVGGPCVSGSGYYIKRLSLCSNSIHKDGDPMKLRQSFGPSNEFIKSLHQNKKPDMLIHRKKALLNEAQLLASCAFENGTEWGKEVGFMYGSVLEDYFTGFRLHCKGWISVYCNPPRPQFLGSGITNLDDFLVQLTRWTSGLVDVAISKFCPLVYGPLKTYTFVQSMCYADLALFPIFYFLPLWCFATIPQLCLLNGIPLYPEVSNSYFIVFSFVFLSSISKHLYEVLSTGFTFRHWINEQRIWMMKSVTSHLYGSWDAFMKKIGMREASFFPTNKVDDVEQLKRYNMGVFDFQTSILFLAPMAALVILNMASFAVGISRVIFLGGLDKFFIQVFIPFYVILMNYPIVEGMLIRKDRGRIPPSVTLLSAIISLIFYFLGSIIFM >ONI12941 pep chromosome:Prunus_persica_NCBIv2:G4:11627434:11632547:1 gene:PRUPE_4G193000 transcript:ONI12941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSKLPLHLCHVHKLSIFINRTHIFFHSIALVFLLYYRASFFFFQDTTKTKATTLAWLLVFVSEIFLSFEWLLSQSFRWRPVSRTTFPERLPGDDKLPAVDVFICTADPEKEPTVGVMNTVLSAMAMDYPPEKLYVYLSDDGGAAVTLKGMREAWRFAKWWLPFCRRIKCRAPEAYFSAEEEDADFGGSEFIQEREDIKEKYEVFKKRVREKATVGDTRSRLGRDHPAVIEVIQETSSDDAIQENETKNMPLLVYVSREKRPSHPHHFKAGALNVLLRVSGVISNSPYILGLDCDMHCHDPSSARQAMCFHLDPKISPSLALVQFPQKFHNISNNDIYDSQLRSTFWLLWQGFDGVGGPCVSGSGYYIKRLSLCSNSIHKDGDPMKLRQSFGPSNEFIKSLHQNKKPDMLIHRKKALLNEAQLLASCAFENGTEWGKEVGFMYGSVLEDYFTGFRLHCKGWISVYCNPPRPQFLGSGITNLDDFLVQLTRWTSGLVDVAISKFCPLVYGPLKTYTFVQSMCYADLALFPIFYFLPLWCFATIPQLCLLNGIPLYPEVSNSYFIVFSFVFLSSISKHLYEVLSTGFTFRHWINEQRIWMMKSVTSHLYGSWDAFMKKIGMREASFFPTNKVDDVEQLKRYNMGVFDFQTSILFLAPMAALVILNMASFAVGISRVIFLGGLDKFFIQVFIPFYVILMNYPIVEGMLIRKDRGRIPPSVTLLSAIISLIFYFLGSIIFM >ONI11623 pep chromosome:Prunus_persica_NCBIv2:G4:6319226:6322440:1 gene:PRUPE_4G116700 transcript:ONI11623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAVRAGNLIREWMEDAGLRTWVDSLGNVHGRVEGRNASAEALLMGSHLDTVVDAGKFDGSLGIISVLAALKVLNINGKLGELSRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVSALKITDKSDLTIQDALKKNSIEITEENLLHLKYDPKSVWGYVEIHIEQGPVLEWVGFPLAVVKGIAGQTRLKVTMRGSQGHAGTVPMSMRHDPMAAAAEAIVLLESLCKHPQDFLSFDGHCKSFSMESLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRTIDDMGREAVVYEFSNRMYQICDKRSVSCTIDRKHDADAVICDSELSSKLKSATYIGLKRMTGAAIQDEIPVVMSGAGHDAMALSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWASGLAILAFIETQM >ONI11617 pep chromosome:Prunus_persica_NCBIv2:G4:6318590:6322440:1 gene:PRUPE_4G116700 transcript:ONI11617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTRVTKIIHHSAIDPSSIFTSCFRNSLVFVCYLVLVLSSNISPSFGLFEDENRGSDLYPEILRDEVVARLHQLGGVSDGDGYLERTFMSPAAVRAGNLIREWMEDAGLRTWVDSLGNVHGRVEGRNASAEALLMGSHLDTVVDAGKFDGSLGIISVLAALKVLNINGKLGELSRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVSALKITDKSDLTIQDALKKNSIEITEENLLHLKYDPKSVWGYVEIHIEQGPVLEWVGFPLAVVKGIAGQTRLKVTMRGSQGHAGTVPMSMRHDPMAAAAEAIVLLESLCKHPQDFLSFDGHCKSFSMESLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRTIDDMGREAVVYEFSNRMYQICDKRSVSCTIDRKHDADAVICDSELSSKLKSATYIGLKRMTGAAIQDEIPVVMSGAGHDAMALSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWASGLAILAFIETQM >ONI11624 pep chromosome:Prunus_persica_NCBIv2:G4:6319226:6322440:1 gene:PRUPE_4G116700 transcript:ONI11624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAVRAGNLIREWMEDAGLRTWVDSLGNVHGRVEGRNASAEALLMGSHLDTVVDAGKFDGSLGIISVLAALKVLNINGKLGELSRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVSALKITDKSDLTIQDALKKNSIEITEENLLHLKYDPKSVWGYVEIHIEQGPVLEWVGFPLAVVKGIAGQTRLKVTMRGSQGHAGTVPMSMRHDPMAAAAEAIVLLESLCKHPQDFLSFDGHCKSFSMESLSTSLVCTVGEISTWPSASNVIPGQHDADAVICDSELSSKLKSATYIGLKRMTGAAIQDEIPVVMSGAGHDAMALSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWASGLAILAFIETQM >ONI11618 pep chromosome:Prunus_persica_NCBIv2:G4:6318590:6322440:1 gene:PRUPE_4G116700 transcript:ONI11618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTRVTKIIHHSAIDPSSIFTSCFRNSLVFVCYLVLVLSSNISPSFGLFEDENRGSDLYPEILRDEVVARLHQLGGVSDGDGYLERTFMSPAAVRAGNLIREWMEDAGLRTWVDSLGNVHGRVEGRNASAEALLMGSHLDTVVDAGKFDGSLGIISVLAALKVLNINGKLGELSRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVSALKITDKSDLTIQDALKKNSIEITEENLLHLKYDPKSVWGYVEIHIEQGPVLEWVGFPLAVVKGIAGQTRLKVTMRGSQGHAGTVPMSMRHDPMAAAAEAIVLLESLCKHPQDFLSFDGHCKSFSMESLSTSLVCTVGEISTWPSASNVIPGQHDADAVICDSELSSKLKSATYIGLKRMTGAAIQDEIPVVMSGAGHDAMALSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWASGLAILAFIETQM >ONI11621 pep chromosome:Prunus_persica_NCBIv2:G4:6318636:6322440:1 gene:PRUPE_4G116700 transcript:ONI11621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTRVTKIIHHSAIDPSSIFTSCFRNSLVFVCYLVLVLSSNISPSFGLFDENRGSDLYPEILRDEVVARLHQLGGVSDGDGYLERTFMSPAAVRAGNLIREWMEDAGLRTWVDSLGNVHGRVEGRNASAEALLMGSHLDTVVDAGKFDGSLGIISVLAALKVLNINGKLGELSRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVSALKITDKSDLTIQDALKKNSIEITEENLLHLKYDPKSVWGYVEIHIEQGPVLEWVGFPLAVVKGIAGQTRLKVTMRGSQGHAGTVPMSMRHDPMAAAAEAIVLLESLCKHPQDFLSFDGHCKSFSMESLSTSLVCTVGEISTWPSASNVIPGQHDADAVICDSELSSKLKSATYIGLKRMTGAAIQDEIPVVMSGAGHDAMALSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWASGLAILAFIETQM >ONI11620 pep chromosome:Prunus_persica_NCBIv2:G4:6318636:6322440:1 gene:PRUPE_4G116700 transcript:ONI11620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTRVTKIIHHSAIDPSSIFTSCFRNSLVFVCYLVLVLSSNISPSFGLFDENRGSDLYPEILRDEVVARLHQLGGVSDGDGYLERTFMSPAAVRAGNLIREWMEDAGLRTWVDSLGNVHGRVEGRNASAEALLMGSHLDTVVDAGKFDGSLGIISVLAALKVLNINGKLGELSRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVSALKITDKSDLTIQDALKKNSIEITEENLLHLKYDPKSVWGYVEIHIEQGPVLEWVGFPLAVVKGIAGQTRLKVTMRGSQGHAGTVPMSMRHDPMAAAAEAIVLLESLCKHPQDFLSFDGHCKSFSMESLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRTIDDMGREAVVYEFSNRMYQICDKRSVSCTIDRKHDADAVICDSELSSKLKSATYIGLKRMTGAAIQDEIPVVMSGAGHDAMALSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWASGLAILAFIETQM >ONI11619 pep chromosome:Prunus_persica_NCBIv2:G4:6318636:6322440:1 gene:PRUPE_4G116700 transcript:ONI11619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTRVTKIIHHSAIDPSSIFTSCFRNSLVFVCYLVLVLSSNISPSFGLFDENRGSDLYPEILRDEVVARLHQLGGVSDGDGYLERTFMSPAAVRAGNLIREWMEDAGLRTWVDSLGNVHGRVEGRNASAEALLMGSHLDTVVDAGKFDGSLGIISVLAALKVLNINGKLGELSRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVSALKITDKSDLTIQDALKKNSIEITEENLLHLKYDPKSVWGYVEIHIEQGPVLEWVGFPLAVVKGIAGQTRLKVTMRGSQGHAGTVPMSMRHDPMAAAAEAIVLLESLCKHPQDFLSFDGHCKSFSMESLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRTIDDMGREAVVYEFSNRMYQICDKRSVSCTIDRKVRDFRILVPSFCRLLNPVCLVFFQHDADAVICDSELSSKLKSATYIGLKRMTGAAIQDEIPVVMSGAGHDAMALSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWASGLAILAFIETQM >ONI11622 pep chromosome:Prunus_persica_NCBIv2:G4:6318636:6322440:1 gene:PRUPE_4G116700 transcript:ONI11622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTRVTKIIHHSAIDPSSIFTSCFRNSLVFVCYLVLVLSSNISPSFGLFDENRGSDLYPEILRDEVVARLHQLGGVSDGDGYLERTFMSPAAVRAGNLIREWMEDAGLRTWVDSLGNVHGRVEGRNASAEALLMGSHLDTVVDAGKFDGSLGIISVLAALKVLNINGKLGELSRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVSALKITDKSDLTIQDALKKNSIEITEENLLHLKYDPKSVWGYVEIHIEQGPVLEWVGFPLAVVKGIAGQTRLKVTFTVDLRTIDDMGREAVVYEFSNRMYQICDKRSVSCTIDRKHDADAVICDSELSSKLKSATYIGLKRMTGAAIQDEIPVVMSGAGHDAMALSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWASGLAILAFIETQM >ONI10907 pep chromosome:Prunus_persica_NCBIv2:G4:3673002:3675231:1 gene:PRUPE_4G075000 transcript:ONI10907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSCRKSVCGFRALCTAKDLSIKKCVPCNSKDLQPMTEQAASDLITKVDGWNLVSEGDALRLKKSWKVKTFTKGLELFKLIADVAEAEGHHPDLHLVGWNNVTVEIWTHAVGGLTENDFILAAKINALNMQHLLRRNATN >ONI10906 pep chromosome:Prunus_persica_NCBIv2:G4:3673315:3675231:1 gene:PRUPE_4G075000 transcript:ONI10906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLLKYSSNSRVFGILQNNMGVSSCRKSVCGFRALCTAKDLSIKKCVPCNSKDLQPMTEQAASDLITKVDGWNLVSEGDALRLKKSWKVKTFTKGLELFKLIADVAEAEGHHPDLHLVGWNNVTVEIWTHAVGGLTENDFILAAKINALNMQHLLRRNATN >ONI10903 pep chromosome:Prunus_persica_NCBIv2:G4:3672849:3675231:1 gene:PRUPE_4G075000 transcript:ONI10903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLQKAPLTSVFQSLVGAYGRSNSRVFGILQNNMGVSSCRKSVCGFRALCTAKDLSIKKCVPCNSKDLQPMTEQAASDLITKVDGWNLVSEGDALRLKKSWKVKTFTKGLELFKLIADVAEAEGHHPDLHLVGWNNVTVEIWTHAVGGLTENDFILAAKINALNMQHLLRRNATN >ONI10904 pep chromosome:Prunus_persica_NCBIv2:G4:3672849:3675231:1 gene:PRUPE_4G075000 transcript:ONI10904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLSIKKCVPCNSKDLQPMTEQAASDLITKVDGWNLVSEGDALRLKKSWKVKTFTKGLELFKLIADVAEAEGHHPDLHLVGWNNVTVEIWTHAVGGLTENDFILAAKINALNMQHLLRRNATN >ONI10905 pep chromosome:Prunus_persica_NCBIv2:G4:3672849:3675231:1 gene:PRUPE_4G075000 transcript:ONI10905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLSIKKCVPCNSKDLQPMTEQAASDLITKVDGWNLVSEGDALRLKKSWKVKTFTKGLELFKLIADVAEAEGHHPDLHLVGWNNVTVEIWTHAVGGLTENDFILAAKINALNMQHLLRRNATN >ONI12627 pep chromosome:Prunus_persica_NCBIv2:G4:10420639:10423716:1 gene:PRUPE_4G176000 transcript:ONI12627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVHCLSSCLALPSKLSNLSLNGPSTSSATHSTSTHFTSLSFSASLSHNFFSKGYFSLSPVQRPTRRSVVCEVATTKKPDSAEKRARQAEKRRIYHKSRKSEIKTRMKKVLEALDVLRKKPDATPEEVLSIEKLIGEAYSIIDKAVKVGTLHRNTGARRKSRLARRKKAVEIHHGWYTPNPEVSA >ONI12203 pep chromosome:Prunus_persica_NCBIv2:G4:8617981:8619446:-1 gene:PRUPE_4G150500 transcript:ONI12203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSMFKLSFFLSLLSHLNLGLWPQTHSSLAIFPPSCNSIECPSFDTIEVGNGYEVRRYNSSEWISTSPIQDISLVEATRTGFLQLFEYIQGKNKYGEKIEMTAPVLSEVSPSDGPFCESSFVVSFYVPKKNQENPPPAEGLHVQKWKTTYVAVRQFSGFVSDDSVGEEAAALKASLAGTVWSAAIEKSHAADHTSVYTVAQYNSPFEFDHRVNEIWLSFDLEDGVAV >ONI10165 pep chromosome:Prunus_persica_NCBIv2:G4:1471818:1473836:-1 gene:PRUPE_4G031700 transcript:ONI10165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDDSRRTHQDLTIFDLESIVAATNNFSTANKLGEGGFGPVYKGLLANGQEVAVKRLSKNSGQGLEQFKNEVMLTAKLQHRNLVRIFGCCVDAGEKMLIYECLANKSLDFFIFDKSRSSLLDWKKRFEIILGIARGVLYLHQDSRLKIIHRDLKASNVLLDSTMNPKISDFGMAKMFGEDQIQANTNRVVGTYGYMSPEYAMEGRYSEKSDVFSFGVLLLEIISGKRNTSYDPSPNLIGQIWDMWREEQALAMVDPSLGESYPAHEVSRCIQIGLLCVQESASDRPTMSEVIFMLGNETTLPHPKKPAFILQSSSKLNSAASKGSTTSLNDVTITVLKAR >ONI10164 pep chromosome:Prunus_persica_NCBIv2:G4:1471818:1475278:-1 gene:PRUPE_4G031700 transcript:ONI10164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPILVVSIAMVFFFICFGCWFAKRKRKEYPREMKNDDSRRTHQDLTIFDLESIVAATNNFSTANKLGEGGFGPVYKGLLANGQEVAVKRLSKNSGQGLEQFKNEVMLTAKLQHRNLVRIFGCCVDAGEKMLIYECLANKSLDFFIFDKSRSSLLDWKKRFEIILGIARGVLYLHQDSRLKIIHRDLKASNVLLDSTMNPKISDFGMAKMFGEDQIQANTNRVVGTYGYMSPEYAMEGRYSEKSDVFSFGVLLLEIISGKRNTSYDPSPNLIGQIWDMWREEQALAMVDPSLGESYPAHEVSRCIQIGLLCVQESASDRPTMSEVIFMLGNETTLPHPKKPAFILQSSSKLNSAASKGSTTSLNDVTITVLKAR >ONI12468 pep chromosome:Prunus_persica_NCBIv2:G4:9691144:9692688:1 gene:PRUPE_4G166800 transcript:ONI12468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDTKAILPAIATVAASAMLLRSIANDFIPNEFRNYFFSSLHNISRRFSSHLTIVIDEFQGLSLNEVFEAAEAYLGTLATPSLQRIKVSKVTKEKKLGVAMDRGEEIRDVYEDHVQLMWKFVCTKVESSRVTNPGDLNASLRAETRSYELTFHKKHKEKVLNSYLPYILDRSKEIKVERKAVKLCTIDQYECYGTQEVNLNHPMTFELLAMDMEIKKVLLDDLNNFKNGKEFYRRIGKAWKRGYLLYGPPGTGKSSLIAAIANHLNYDIYELELADVGSNSDLKRRLLAMPDQSILVIEDIDCTINLQNRETENEAPSTGKNQVTLSGLLNLIDGLWSCCTDERIIIFTTNHKEKLDPALMRPGRMDMHINMSYCTLSAFKQLAFNYHGLSHHQLFEQIEGLIGEIKVTPAEVAGELMKSRDAQTSLQGLINFLLEKKNQQEIVRPN >ONI14115 pep chromosome:Prunus_persica_NCBIv2:G4:19206453:19208461:1 gene:PRUPE_4G263100 transcript:ONI14115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTTAHKLPTINFSIEDLKPGSASWLSTAKQVRFALEEYGSFVAQYDQISAELLNNMFGQAKDLFEVPKENKVKNVGDEPYRGHMGPNPLLPLYESLCIDNVTSPQETQKFKNLMWPEGKSNFCETTDSFGQLLANLERTVEQLLFEGYGIGKQYESVGSSNGHLLRFIRYTVPEDKDATVRFPSHTDINFTTIVVQHDIAGLEIKTKEGDWINVECAPSQAQFVFMAGDGLQVWSNDRVKACHHRVKHCGDKTRYSIGMFTFNNGIFQVPEELVDESHPLLYNAFDSRAFIRKYATTPELKKAACPIKAFAGVKA >ONI11097 pep chromosome:Prunus_persica_NCBIv2:G4:4294304:4300042:1 gene:PRUPE_4G087500 transcript:ONI11097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPAHLEKMGRELKCPICLSLLNSAVSLNCNHVFCNSCIVKSMKSGSNCPVCKIPYRRREIRPAPHMDNLVSIYKSMEVASGINIFVTQNAPSTKSSDGKLQAQDDGHDEQDIPKHCQDRDEKQKSLRGKGSRKTKSNLKNSGSLSVKPSFPTKKRVQVPQCPLSETPTRPEKTVGKLMDERSKHSSTTLKGRPVLNEVGEPMLSPFFWLRDKDEENLSQHTDGDQLTDSPPNVPTFSDIKDSEDEYSARLTPPGEVHGKSSNVADLFDSEMFEWTQRECSPELFQSPSKMQVPDSDDIDRVQEKELKEISQNKKLDAHSAKNARSRNSRQSSGNMYFLPDIPPAGAKDNSQVVSNELNKQGRQTRNISKRKCATSHTDPGADVSVNVNSKGSKVFYEEPVCKNNSSSLAKISKRSKKEHPSVVAMKPTSENVHVLSTEAETQNNGDDKGITESPTSLGKSEGGSAPPSKKAGKICNEVNAKSQMHCPVRSRKQKMVSMPNKMLEEVSEVQKQANKDTTTDLSLINLSTVDNKKASEFGNKSRKLQRGAKLCDRELKNKKATVSSDGNSKDDTFVRVGEGHGNVNENGNQPTEKIEGNCDVPTDRSPVQKLPSLTNNLVLQRCEAIPSKIQCAFCLSSEESEASGEIVHYYNGKPVAVDHNGGLKVIHAHRICTEWAPNVYFEDETAVNLEAELTRSRRIKCSCCEIKGAALGCYERSCRKSFHVTCAKLMPECRWDIDNFVMLCPLHASSKLPNESSESQARRRKSNPRKQSNAEHYKAAVKQDNTMPPDRKFCGSSKKLVLCCSSLTNAERESVSGFERLSGFTVLKNWDSSVTHVIASVDENGACRRTLKVLMGILEGRWILSMEWINACIEAMKLVNEEPYEINVDIYGIRDGPRLGRLRLQNKQPKLFDGFKFYFMGDFVPSYKGYLQDLVIAAGGTILHRKPVPEGQKAFSASSPKCQTFIIYSLEQPEQGHPSKGTILDRRQSDAKALASSAGAMAASNSWILNSIAACKLQSFSE >ONI11098 pep chromosome:Prunus_persica_NCBIv2:G4:4294473:4299704:1 gene:PRUPE_4G087500 transcript:ONI11098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPAHLEKMGRELKCPICLSLLNSAVSLNCNHVFCNSCIVKSMKSGSNCPVCKIPYRRREIRPAPHMDNLVSIYKSMEVASGINIFVTQNAPSTKSSDGKLQAQDDGHDEQDIPKHCQDRDEKQKSLRGKGSRKTKSNLKNSGSLSVKPSFPTKKRVQVPQCPLSETPTRPEKTVGKLMDERSKHSSTTLKGRPVLNEVGEPMLSPFFWLRDKDEENLSQHTDGDQLTDSPPNVPTFSDIKDSEDEYSARLTPPGEVHGKSSNVADLFDSEMFEWTQRECSPELFQSPSKMQVPDSDDIDRVQEKELKEISQNKKLDAHSAKNARSRNSRQSSGNMYFLPDIPPAGAKDNSQVVSNELNKQGRQTRNISKRKCATSHTDPGADVSVNVNSKGSKVFYEEPVCKNNSSSLAKISKRSKKEHPSVVAMKPTSENVHVLSTEAETQNNGDDKGITESPTSLGKSEGGSAPPSKKAGKICNEVNAKSQMHCPVRSRKQKMVSMPNKMLEEVSEVQKQANKDTTTDLSLINLSTVDNKKASEFGNKSRKLQRGAKLCDRELKNKKATVSSDGNSKDDTFVRVGEGHGNVNENGNQPTEKIEGNCDVPTDRSPVQKLPSLTNNLVLQRCEAIPSKIQCAFCLSSEESEASGEIVHYYNGKPVAVDHNGGLKVIHAHRICTEWSRRIKCSCCEIKGAALGCYERSCRKSFHVTCAKLMPECRWDIDNFVMLCPLHASSKLPNESSESQARRRKSNPRKQSNAEHYKAAVKQDNTMPPDRKFCGSSKKLVLCCSSLTNAERESVSGFERLSGFTVLKNWDSSVTHVIASVDENGACRRTLKVLMGILEGRWILSMEWINACIEAMKLVNEEPYEINVDIYGIRDGPRLGRLRLQNKQPKLFDGFKFYFMGDFVPSYKGYLQDLVIAAGGTILHRKPVPEGQKAFSASSPKCQTFIIYSLEQPEQGHPSKGTILDRRQSDAKALASSAGAMAASNSWILNSIAACKLQSFSE >ONI11099 pep chromosome:Prunus_persica_NCBIv2:G4:4294304:4300042:1 gene:PRUPE_4G087500 transcript:ONI11099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPAHLEKMGRELKCPICLSLLNSAVSLNCNHVFCNSCIVKSMKSGSNCPVCKIPYRRREIRPAPHMDNLVSIYKSMEVASGINIFVTQNAPSTKSSDGKLQAQDDGHDEQDIPKHCQDRDEKQKSLRGKGSRKTKSNLKNSGSLSVKPSFPTKKRVQVPQCPLSETPTRPEKTVGKLMDERSKHSSTTLKGRPVLNEVGEPMLSPFFWLRDKDEENLSQHTDGDQLTDSPPNVPTFSDIKDSEDEYSARLTPPGEVHGKSSNVADLFDSEMFEWTQRECSPELFQSPSKMQVPDSDDIDRVQEKELKEISQNKKLDAHSAKNARSRNSRQSSGNMYFLPDIPPAGAKDNSQVVSNELNKQGRQTRNISKRKCATSHTDPGADVSVNVNSKGSKVFYEEPVCKNNSSSLAKISKRSKKEHPSVVAMKPTSENVHVLSTEAETQNNGDDKGITESPTSLGKSEGGSAPPSKKAGKICNEVNAKSQMHCPVRSRKQKMVSMPNKMLEEVSEVQKQANKDTTTDLSLINLSTVDNKKASEFGNKSRKLQRGAKLCDRELKNKKATVSSDGNSKDDTFVRVGEGHGNVNENGNQPTEKIEGNCDVPTDRSPVQKLPSLTNNLVLQRCEAIPSKIQCAFCLSSEESEASGEIVHYYNGKPVAVDHNGGLKVIHAHRICTEWAPNVYFEDETAVNLEAELTRSRRIKCSCCEIKGAALGCYERSCRKSFHVTCAKLMPECRWDIDNFVMLCPLHASSKLPNESSESQARRRKSNPRKQSNAEHYKAAVKQDNTMPPDRKFCGSSKKLVLCCSSLTNAERIIWIYSFKELGF >ONI14215 pep chromosome:Prunus_persica_NCBIv2:G4:21475875:21479092:-1 gene:PRUPE_4G269400 transcript:ONI14215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSHFLCHSPIFTPSPNIIENRSLSSFRSHGSSFFDSKSRNRRTPFVRFMVSARKQVEIVYNPDERINKLADEVDKEAAPVSRLTLFSPCKINVFLRITNKREDGFHDLASLFHVISLGDVIKFSLSPSKAQDRLSTNVPGVPLDDRNLIIKALNLYRKKTGSNNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFNGFLATEKELQEWSSEIGSDVPFFFSQGAAYCTGRGEVVQNVPPPLPLDLPMVLIKPQQACSTAEVYKRLQLDRTSKSDPVTLLEKISRNGISQDVCINDLEPPAFEVLPSLKRLKQRVLAASRGQYGAVFMSGSGSTIVGIGSPDPPQFVYDDDEYRDVFLSEANFLTREENNWYTEPASRSARGSSSQFSQSIE >ONI14214 pep chromosome:Prunus_persica_NCBIv2:G4:21475516:21479456:-1 gene:PRUPE_4G269400 transcript:ONI14214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSHFLCHSPIFTPSPNIIENRSLSSFRSHGSSFFDSKSRNRRTPFVRFMVSARKQVEIVYNPDERINKLADEVDKEAAPVSRLTLFSPCKVISLGDVIKFSLSPSKAQDRLSTNVPGVPLDDRNLIIKALNLYRKKTGSNNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFNGFLATEKELQEWSSEIGSDVPFFFSQGAAYCTGRGEVVQNVPPPLPLDLPMVLIKPQQACSTAEVYKRLQLDRTSKSDPVTLLEKISRNGISQDVCINDLEPPAFEVLPSLKRLKQRVLAASRGQYGAVFMSGSGSTIVGIGSPDPPQFVYDDDEYRDVFLSEANFLTREENNWYTEPASRSARGSSSQFSQSIE >ONI14217 pep chromosome:Prunus_persica_NCBIv2:G4:21476835:21479092:-1 gene:PRUPE_4G269400 transcript:ONI14217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSHFLCHSPIFTPSPNIIENRSLSSFRSHGSSFFDSKSRNRRTPFVRFMVSARKQVEIVYNPDERINKLADEVDKEAAPVSRLTLFSPCKINVFLRITNKREDGFHDLASLFHVISLGDVIKFSLSPSKAQDRLSTNVPGVPLDDRNLIIKALNLYRKKTGSNNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFNGFLATEKELQEWSSEIGSDVPFFFSQGAAYCTGRGEVVQNVPPPLPLDLPMVLIKPQQACSTAEVYKNLQRLKFFHLLKD >ONI14216 pep chromosome:Prunus_persica_NCBIv2:G4:21475516:21479465:-1 gene:PRUPE_4G269400 transcript:ONI14216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSHFLCHSPIFTPSPNIIENRSLSSFRSHGSSFFDSKSRNRRTPFVRFMVSARKQVEIVYNPDERINKLADEVDKEAAPVSRLTLFSPCKVISLGDVIKFSLSPSKAQDRLSTNVPGVPLDDRNLIIKALNLYRKKTGSNNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFNGFLATEKELQEWSSEIGSDVPFFFSQGAAYCTGRGEVVQNVPPPLPLDLPMVLIKPQQACSTAEVYKNLQRLKFFHLLKD >ONI10774 pep chromosome:Prunus_persica_NCBIv2:G4:3283186:3290165:1 gene:PRUPE_4G067700 transcript:ONI10774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAVRDRILKDANGDISDHLRNHIHLTNCIHLKNHMHKQSPILADRSLMRDLVVLQRSRSLRDPSASPPSWHSPSIVDMLSKKGENDALVREGRRSVGSEYRREGRRLLASSPPLARLATSKVAPREANGVNDGVAGISEHGSKSGVRDGRKVRREDSSQKSNRSDNLGGNEEPPLDQNGNDMTHDVLSGNSESKSRKSKKKGKYIQGARMKTLSEQLNGVRMDSDDVTSSNIHQPARRSRQERIVEEPEVSIRGYCSGLSRVKRRKFRGARRSRASVASRDFGGQNDLSVASNTLAQGSAHPKYHMERGEDEYGEQNVTRAPRNGCGIPWNWSRIHHRGKTFLDIAGRSFSCGLSDSRFKKDGMAAHARNISDMPVASDNSSTSTKSEALPLLVEASGSQESSENAGWIHDYSGELGIYADNLFKHDIGSDFASEARSGDQHKLRGHRRRRHQNLTQKYMPRTFRDLVGQNLVAQALSNAVMKKKVGLLYVFYGPHGTGKTSCARIFARALNCQSLDHLKPCGFCNSCLAHDVGKSRNIKEVGPVSNFDFESIMDLLDNMIMSQLPSQYRVFIFDDCDTLSHECWSAISKVIDRAPRHVVFVLVCSSLDVLPHIIISRCQKFFFPKLKDADIIYSLQWIATKEDLEIDKDALKLISSRSDGSLRDAEMTLEQLSLLGQRISVALVQELVGLISDEKLVDLLDLALSADTVNTVKNLRMIMETGVEPLALMSQLATVITDILAGSYDYKKVRRRRKFFRNQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYMLPSSSAGTSFNHSPLALNNVGGRVVGRKESEQDEMPNYEKGLSTNVRNAVSSGFHGNGSGKGINSDRKRHAGAGMAPQQGASCSADIIRANGRQMLDKSHKGIEEIWLEVLEKIPYNRIKEFLYQEGKLTSVSFGAAPTVQLMFSSHMTKSTAERFRSQILQAFEIVLGSPLTIEIRCESKKDTKEGAQMPLLIPVSKDGSSQIRDENGASMDAQLQRGTHEMGKSEIVEVAASPRESKGSGHIHNHKESGKRGLDGAQMGEVSLSHKKSPIASIPEKQKFGEQSQSQSLVRSKVSLAHVIQHSESQRSGWSQRKAVSIAEKLEQDNLRLESRSRSLICWKASRVTRRKLSRLKIRTRKPHALLKLVSCGKCLSAKSPR >ONI10771 pep chromosome:Prunus_persica_NCBIv2:G4:3283186:3290146:1 gene:PRUPE_4G067700 transcript:ONI10771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAVRDRILKDANGDISDHLRNHIHLTNCIHLKNHMHKQSPILADRSLMRDLVVLQRSRSLRDPSASPPSWHSPSIVDMLSKKGENDALVREGRRSVGSEYRREGRRLLASSPPLARLATSKVAPREANGVNDGVAGISEHGSKSGVRDGRKVRREDSSQKSNRSDNLGGNEEPPLDQNGNDMTHDVLSGNSESKSRKSKKKGKYIQGARMKTLSEQLNGVRMDSDDVTSSNIHQPARRSRQERIVEEPEVSIRGYCSGLSRVKRRKFRGARRSRASVASRDFGGQNDLSVASNTLAQGSAHPKYHMERGEDEYGEQNVTRAPRNGCGIPWNWSRIHHRGKTFLDIAGRSFSCGLSDSRFKKDGMAAHARNISDMPVASDNSSTSTKSEALPLLVEASGSQESSENAGWIHDYSGELGIYADNLFKHDIGSDFASEARSGDQHKLRGHRRRRHQNLTQKYMPRTFRDLVGQNLVAQALSNAVMKKKVGLLYVFYGPHGTGKTSCARIFARALNCQSLDHLKPCGFCNSCLAHDVGKSRNIKEVGPVSNFDFESIMDLLDNMIMSQLPSQYRVFIFDDCDTLSHECWSAISKVIDRAPRHVVFVLVCSSLDVLPHIIISRCQKFFFPKLKDADIIYSLQWIATKEDLEIDKDALKLISSRSDGSLRDAEMTLEQLSLLGQRISVALVQELVGLISDEKLVDLLDLALSADTVNTVKNLRMIMETGVEPLALMSQLATVITDILAGSYDYKKVRRRRKFFRNQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYMLPSSSAGTSFNHSPLALNNVGGRVVGRKESEQDEMPNYEKGLSTNVRNAVSSGFHGNGSGKGINSDRKRHAGAGMAPQQGASCSADIIRANGRQMLDKSHKGIEEIWLEVLEKIPYNRIKEFLYQEGKLTSVSFGAAPTVQLMFSSHMTKSTAERFRSQILQAFEIVLGSPLTIEIRCESKKDTKEGAQMPLLIPVSKDGSSQIRDENGASMDAQLQRGTHEMGKSEIVEVAASPRESKGSGHIHNHKESGKRGLDGAQMGEVSLSHKKSPIASIPEKQKFGEQSQSQSLVRSKVSLAHVIQHSESQRSGWSQRKAVSIAEKLEQDNLRLESRSRSLICWKASRVTRRKLSRLKIRTRKPHALLKLVSCGKCLSAKSPR >ONI10772 pep chromosome:Prunus_persica_NCBIv2:G4:3283186:3290146:1 gene:PRUPE_4G067700 transcript:ONI10772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAVRDRILKDANGDISDHLRNHIHLTNCIHLKNHMHKQSPILADRSLMRDLVVLQRSRSLRDPSASPPSWHSPSIVDMLSKKGENDALVREGRRSVGSEYRREGRRLLASSPPLARLATSKVAPREANGVNDGVAGISEHGSKSGVRDGRKVRREDSSQKSNRSDNLGGNEEPPLDQNGNDMTHDVLSGNSESKSRKSKKKGKYIQGARMKTLSEQLNGVRMDSDDVTSSNIHQPARRSRQERIVEEPEVSIRGYCSGLSRVKRRKFRGARRSRASVASRDFGGQNDLSVASNTLAQGSAHPKYHMERGEDEYGEQNVTRAPRNGCGIPWNWSRIHHRGKTFLDIAGRSFSCGLSDSRFKKDGMAAHARNISDMPVASDNSSTSTKSEALPLLVEASGSQESSENAGWIHDYSGELGIYADNLFKHDIGSDFASEARSGDQHKLRGHRRRRHQNLTQKYMPRTFRDLVGQNLVAQALSNAVMKKKVGLLYVFYGPHGTGKTSCARIFARALNCQSLDHLKPCGFCNSCLAHDVGKSRNIKEVGPVSNFDFESIMDLLDNMIMSQLPSQYRVFIFDDCDTLSHECWSAISKVIDRAPRHVVFVLVCSSLDVLPHIIISRCQKFFFPKLKDADIIYSLQWIATKEDLEIDKDALKLISSRSDGSLRDAEMTLEQLSLLGQRISVALVQELVGLISDEKLVDLLDLALSADTVNTVKNLRMIMETGVEPLALMSQLATVITDILAGSYDYKKVRRRRKFFRNQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYMLPSSSAGTSFNHSPLALNNVGGRVVGRKESEQDEMPNYEKGLSTNVRNAVSSGFHGNGSGKGINSDRKRHAGAGMAPQQGASCSADIIRANGRQMLDKSHKGIEEIWLEVLEKIPYNRIKEFLYQEGKLTSVSFGAAPTVQLMFSSHMTKSTAERFRSQILQAFEIVLGSPLTIEIRCESKKDTKEGAQMPLLIPVSKDGSSQIRDENGASMDAQLQRGTHEMGKSEIVEVAASPRESKGSGHIHNHKESGKRGLDGAQMGEVSLSHKKSPIASIPEKQKFGEQSQSQSLVRSKVSLAHVIQHSESQRSGWSQRKAVSIAEKLEQDNLRLESRSRSLICWKASRVTRRKLSRLKIRTRKPHALLKLVSCGKCLSAKSPR >ONI10773 pep chromosome:Prunus_persica_NCBIv2:G4:3283186:3290165:1 gene:PRUPE_4G067700 transcript:ONI10773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAVRDRILKDANGDISDHLRNHIHLTNCIHLKNHMHKQSPILADRSLMRDLVVLQRSRSLRDPSASPPSWHSPSIVDMLSKKGENDALVREGRRSVGSEYRREGRRLLASSPPLARLATSKVAPREANGVNDGVAGISEHGSKSGVRDGRKVRREDSSQKSNRSDNLGGNEEPPLDQNGNDMTHDVLSGNSESKSRKSKKKGKYIQGARMKTLSEQLNGVRMDSDDVTSSNIHQPARRSRQERIVEEPEVSIRGYCSGLSRVKRRKFRGARRSRASVASRDFGGQNDLSVASNTLAQGSAHPKYHMERGEDEYGEQNVTRAPRNGCGIPWNWSRIHHRGKTFLDIAGRSFSCGLSDSRFKKDGMAAHARNISDMPVASDNSSTSTKSEALPLLVEASGSQESSENAGWIHDYSGELGIYADNLFKHDIGSDFASEARSGDQHKLRGHRRRRHQNLTQKYMPRTFRDLVGQNLVAQALSNAVMKKKVGLLYVFYGPHGTGKTSCARIFARALNCQSLDHLKPCGFCNSCLAHDVGKSRNIKEVGPVSNFDFESIMDLLDNMIMSQLPSQYRVFIFDDCDTLSHECWSAISKVIDRAPRHVVFVLVCSSLDVLPHIIISRCQKFFFPKLKDADIIYSLQWIATKEDLEIDKDALKLISSRSDGSLRDAEMTLEQLSLLGQRISVALVQELVGLISDEKLVDLLDLALSADTVNTVKNLRMIMETGVEPLALMSQLATVITDILAGSYDYKKVRRRRKFFRNQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYMLPSSSAGTSFNHSPLALNNVGGRVVGRKESEQDEMPNYEKGLSTNVRNAVSSGFHGNGSGKGINSDRKRHAGAGMAPQQGASCSADIIRANGRQMLDKSHKGIEEIWLEVLEKIPYNRIKEFLYQEGKLTSVSFGAAPTVQLMFSSHMTKSTAERFRSQILQAFEIVLGSPLTIEIRCESKKDTKEGAQMPLLIPVSKDGSSQIRDENGASMDAQLQRGTHEMGKSEIVEVAASPRESKGSGHIHNHKESGKRGLDGAQMGEVSLSHKKSPIASIPEKQKFGEQSQSQSLVRSKVSLAHVIQHSESQRSGWSQRKAVSIAEKLEQDNLRLESRSRSLICWKASRVTRRKLSRLKIRTRKPHALLKLVSCGKCLSAKSPR >ONI10310 pep chromosome:Prunus_persica_NCBIv2:G4:1874733:1881204:-1 gene:PRUPE_4G039900 transcript:ONI10310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVRWEVHMQFFIGLMLILIVPFSVALTDPIDVAAINGLYVALGYPPLQGWLLVGGDPCGDHWQGVECVFSNVTGLKLNGAKLGGQLGDSLGLFGSVIEIDLSNNHIGGSIPSPLPPTVRNVSLSNNLFTGSIPGGLSSLAQLLQLSLDNNHLSGEMPDAFQLLTGLNRLDLSGNNLSGQLCPSLGNLSSLSTLNLQNNRLVGTLNVLQDLPLQDLNIENNLFSGPIPAKLLSIPNFRKDGNPFNTTIIPSPPAPAPSFLARAPSSYEQPWKQANGPSSPVTLNSATPRKFLTAKTVTGIAVGGILVLIVFILCLLVRCFKGRREKKKAKQHELNTYKIPRESTKHSESSLPEGVPMEKVMKPLDGYGVDSNRMVVIPKPQKENKIDVQSIAESSMHKKDHVVDMTDMDAEFLPPPPPPFFLAKGTTNPVGPTRVTTSRCPMKSPNPSSVKVFTVASLQQYTNSFSEENFIGAGMLGSVYRAKLPDQKVLAVKKLDNTACRQQSDEEFIDLVYNICTTRHANIVELVGYCAEHGQWLLVYEYCRNGTLHDALHTDDEIHQKLSWSVRIRIALGAARALEYLHEVCQPPIVHHNFKSANLLLDEKLEVHVSDCGLAPLIMSPSMSGHLLTAYGSAAPEFDSGSYTHQSDVYSFGVVMLELLTGRKSYDRSRPRGEQYLVRWAVPKLHDIDALSRMVDPSLNGAFTMKSLSRFADIISSCVQREPEFRPPISEIVQELLQMV >ONI10311 pep chromosome:Prunus_persica_NCBIv2:G4:1875317:1880693:-1 gene:PRUPE_4G039900 transcript:ONI10311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVRWEVHMQFFIGLMLILIVPFSVALTDPIDVAAINGLYVALGYPPLQGWLLVGGDPCGDHWQGVECVFSNVTGLKLNGAKLGGQLGDSLGLFGSVIEIDLSNNHIGGSIPSPLPPTVRNVSLSNNLFTGSIPGGLSSLAQLLQLSLDNNHLSGEMPDAFQLLTGLNRLDLSGNNLSGQLCPSLGNLSSLSTLNLQNNRLVGTLNVLQDLPLQDLNIENNLFSGPIPAKLLSIPNFRKDGNPFNTTIIPSPPAPAPSFLARAPSSYEQPWKQANGPSSPVTLNSATPRKFLTAKTVTGIAVGGILVLIVFILCLLVRCFKGRREKKKAKQHELNTYKIPRESTKHSESSLPEGVPMEKVPKEAVMKPLDGYGVDSNRMVVIPKPQKENKIDVQSIAESSMHKKDHVVDMTDMDAEFLPPPPPPFFLAKGTTNPVGPTRVTTSRCPMKSPNPSSVKVFTVASLQQYTNSFSEENFIGAGMLGSVYRAKLPDQKVLAVKKLDNTACRQQSDEEFIDLVYNICTTRHANIVELVGYCAEHGQWLLVYEYCRNGTLHDALHTDDEIHQKLSWSVRIRIALGAARALEYLHEVCQPPIVHHNFKSANLLLDEKLEVHVSDCGLAPLIMSPSMSGHLLTAYGSAAPEFDSGSYTHQSDVYSFGVVMLELLTGRKSYDRSRPRGEQYLVRWAVPKLHDIDALSRMVDPSLNGAFTMKSLSRFADIISSCVQREPEFRPPISEIVQELLQMV >ONI10309 pep chromosome:Prunus_persica_NCBIv2:G4:1874733:1881204:-1 gene:PRUPE_4G039900 transcript:ONI10309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVRWEVHMQFFIGLMLILIVPFSVALTDPIDVAAINGLYVALGYPPLQGWLLVGGDPCGDHWQGVECVFSNVTGLKLNGAKLGGQLGDSLGLFGSVIEIDLSNNHIGGSIPSPLPPTVRNVSLSNNLFTGSIPGGLSSLAQLLQLSLDNNHLSGEMPDAFQLLTGLNRLDLSGNNLSGQLCPSLGTCRTIAWLEPLMCYRIFPYRIWPIPAKLLSIPNFRKDGNPFNTTIIPSPPAPAPSFLARAPSSYEQPWKQANGPSSPVTLNSATPRKFLTAKTVTGIAVGGILVLIVFILCLLVRCFKGRREKKKAKQHELNTYKIPRESTKHSESSLPEGVPMEKVPKEAVMKPLDGYGVDSNRMVVIPKPQKENKIDVQSIAESSMHKKDHVVDMTDMDAEFLPPPPPPFFLAKGTTNPVGPTRVTTSRCPMKSPNPSSVKVFTVASLQQYTNSFSEENFIGAGMLGSVYRAKLPDQKVLAVKKLDNTACRQQSDEEFIDLVYNICTTRHANIVELVGYCAEHGQWLLVYEYCRNGTLHDALHTDDEIHQKLSWSVRIRIALGAARALEYLHEVCQPPIVHHNFKSANLLLDEKLEVHVSDCGLAPLIMSPSMSGHLLTAYGSAAPEFDSGSYTHQSDVYSFGVVMLELLTGRKSYDRSRPRGEQYLVRWAVPKLHDIDALSRMVDPSLNGAFTMKSLSRFADIISSCVQREPEFRPPISEIVQELLQMV >ONI12243 pep chromosome:Prunus_persica_NCBIv2:G4:8778844:8781874:1 gene:PRUPE_4G153100 transcript:ONI12243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVSSMVVAILCVGLNTLGWKVVNWVWLKPKKLESYDGDKWVKHRRIINPAFHIDKMKDMLPAFHAASENMLSKWEMRFSSTETCELDVWPYLCAFSGDVISCCIRYNFEEGERFFKLQKEQGALTRSSELSPHTTVEGFPKPRLGKRYDLLRAATTYQCACRFLPTKMNRSMKQISNEMAICLREIINKREKAMRAGEATEDDLLGILLKSNFSEIQEHGDDKDVGMSIEEGIEECKVFYLAGEDTTKDLINWTLVLLGKHQDWQRRAREEVVQIFKNNKPDYAGLNRLKIVDMILYEVLRLYPPAIFTTREITKETKLGDISLPPGVQLAVPILFVHHDKEIWGDDVHKFKPERFSEGVSKASKN >ONI09738 pep chromosome:Prunus_persica_NCBIv2:G4:342490:344501:1 gene:PRUPE_4G006300 transcript:ONI09738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRGVLMNGCSRRLFIANSVALMIICFGFIMMLIALFFVIDHLGSSVGEAVGINNNRLVFSNESHTAGLGHRKLLQSTGDADDDGGGDMNRIGAACSKDDIVILQGQTAPLPNGIPAYTVEILNACVPGCSISNIHVSCGWFSSARLVNPKVFRRKDFDDCLVNDGEALGPGETLSFQYANSFRYPLSVSSVVCC >ONI12212 pep chromosome:Prunus_persica_NCBIv2:G4:8652665:8654599:-1 gene:PRUPE_4G151400 transcript:ONI12212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKNTISWTAMLTAYAENGQTTKARKLFDEIPERNVASYNAMITAYIRDQCMVGEAFELFSRMPERNEVSYGAMITGFVKAGMFDKAEKLYCDMPVNWREPVCSNVLINGYLKAGKFEEAVRVFEGMVDSNVVSQSCMVDGYCKMGRIVDARSLFDRMLERNVVTWTAMIDGYMKMGNVKAGFELFLKMRREGLVEVNSTTMAVLLEACGSFGRYGEGIQMHGLVSCMGFDYDVFLGNSFIIMYSRYGCIDEASKIFNMISKKDIVSWNSLIVGYVQCGETAKAFSLFEIMPAKDVVSWTTMMSGFSSKGMTEKAIQLFRMMPEKDDVAWTAVISGFVNNGDYEEALRWFIEMLQKTIRINPLTLSSVLSASASLASLNEGMQIHALSLKMDMEFDLSVQNSLVSMYSKCGNVTDAYWIFTNISTRNTVSFNAMITGYAHNGFGEEALNLFRSMQNEGCKANQITFLGVLSACVHVGLVEEGWQFFNSMKSLHDIEPGPDHYACMVDLLGRTGLLDEAVDLIHSMPFEPHAGVWGALLSASRTHLCLDLAQLATQRLIQLDPDDATPYVVLSNLYSTLGKVKDGNQVRMTKKSKGIRKSPGCSWIVVKDKVHLFLAGDQSHLDLQEIKVMLWIITMEIGPLDR >ONI10731 pep chromosome:Prunus_persica_NCBIv2:G4:3089409:3091406:1 gene:PRUPE_4G064300 transcript:ONI10731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPHNLSIFRALLSIKTVQLKTTIQTNPTQCTPRPTTSLAPSSPTVTPPSAATHASPPPLCSPSPSKSSTFIHIASPSMCLCIIPISIHSSPNLGFFICDRENYLGTLVNEFINYLIFLCGRSYS >ONI13857 pep chromosome:Prunus_persica_NCBIv2:G4:16683902:16688703:1 gene:PRUPE_4G249700 transcript:ONI13857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRGKFGDGGDGRDMGAKRQRLGDPGSSFYGTSPGSSYMYNPTPYAYAGQPPPFPVVKLRGLPFDCTEADVAEFLHGLDIVDVLFVHKNSKFTGEAFCVLGYPLQVDFALSRNRQNMGRRYVEVFRSKRQEYYKAIANEVSDARGGSPRRNVPRAKSYDEGKDSAEHTGVLRLRGLPFSAGKDDIMDFFKDFVLSESSVHFTMNSEGRPTGEGFVEFASAEDSKAAMAKDRMTLGSRYIELFPSSHEELDEAVSRGR >ONI14461 pep chromosome:Prunus_persica_NCBIv2:G4:23969985:23979181:-1 gene:PRUPE_4G281700 transcript:ONI14461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELVNSATSEKLSEMDWMKNIQICELVARDHRQARDVIKAIKKRLGSKHANSQLYAVMLLEMLMNNIGEHIHKQVIDTGLLPSLVKIVKKKSDLPVRERIFLLLDATQTSLGGASGKFPQYYTAYYDLVSAGLEFPQRPHLVPPNPSPQSTKSNLPNGELASSIPEGFAPQAEPHIVPESSIIQKASNALEVLREVLDAVDGQRPEAAKDEFTLDLVEQCSFQKQKVMHLVMTSRDEKAVSRAIELNEQLQKVLARHDALLSCKPTSTVNHLNQDQAEEEEEAEQLFRRLRKGKACVRPEDEEQSAERPHLGLLGSSQPGERLNRPLIRPLCLEPPQESNGHLPPVAIPPPPAKHIEREKYFQETKMDGSGLAGHVRGLSLHSRNASSSRSGSMDFSD >ONI14462 pep chromosome:Prunus_persica_NCBIv2:G4:23969985:23979227:-1 gene:PRUPE_4G281700 transcript:ONI14462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELVNSATSEKLSEMDWMKNIQICELVARDHRQARDVIKAIKKRLGSKHANSQLYAVMLLEMLMNNIGEHIHKQVIDTGLLPSLVKIVKKKSDLPVRERIFLLLDATQTSLGGASGKFPQYYTAYYDLVSAGLEFPQRPHLVPPNPSPQSTKSNLPNGELASSIPEGFAPQAEPHIVPESSIIQKASNALEVLREVLDAVDGQRPEAAKDEFTLDLVEQCSFQKQKVMHLVMTSRDEKAVSRAIELNEQLQKVLARHDALLSCKPTSTVNHLNQDQAEEEEEAEQLFRRLRKGKACVRPEDEEQSAERPHLGLLGSSQPGERLNRPLIRPLCLEPPQESNGHLPPVAIPPPPAKHIEREKYFQETKMDGSGLAGHVRGLSLHSRNASSSRSGSMDFSD >ONI11306 pep chromosome:Prunus_persica_NCBIv2:G4:5136951:5139535:-1 gene:PRUPE_4G100700 transcript:ONI11306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRSLLFFITLAIIILNLVAPTISQYDGMCSESAEYCWKCSDTGIYTPGDKYQENLNSLLSSFSSSNTQNNYGFYNSSLGQDQNQVNAIALCRGDISEDSCHACINKSTDILLQNCSRHQKEAIVWAEPCMVRYSNNLIFGIEQVDPVQHVPSQKYARNELVLNTLLGILSDRAASGDSLKKFAAGHATVSGGEKIYALVQCTPDLDKQNCSNCLKQSVSEIQTCCGGKGGRVLRPSCNLRYEAGLFFESTANSLVDIPAPVPAAPAPEEGTAPPPPEEAKKKSNTKQTVIIIAVVLVVFVTIFSSICFFFRVRKRRVKLEQDENSEDVSLVDSLQYDFETIRSATDDFSDANMLGRGGFGAVYKGRLLNGQPIAVKRLSKNSGQGDREFKNEVMLVAQLQHRNLVRLLGFCLKAEERLLIYEYVPNTSLDHFIFDPNNHEHLDWETCYKIIGGIARGILYLHEDSQLRIIHHDLKASNILLDKDMNPKIADFGMARLFVIDQTQGHTRTVRGTYGYMAPEYVIHGCFSVKTDVFSFGVLVLEIVSGKKIGSFRYGENEEDLLTYAWRNWRENTFQNIIDPVLTRSSRMETMRCIHIGLLCVQENAVDRPTMASVLSMLNSESLALPVPSQPAFCKHSTSSPSPHVSKYEPSNITEPYPR >ONI09974 pep chromosome:Prunus_persica_NCBIv2:G4:1027551:1031803:1 gene:PRUPE_4G021700 transcript:ONI09974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLFWGKEIEGMKETVSLKLTVHIFMLFLCLHICCGSTLTYHKDPDLTIKQEDSMLPMISPTGTPQPFLPLLAPSPLAPFTNTTVPKLSGLCTLNFSVAESLMSVTSVDCWSVFAPLLANVICCPQLEATLTILIGQSSKETNVLALNGTVAKHCLSDIEQILVGQGANDSLAQICSVHSSNLTYASCPVIDVNEFEDTVDTSKLLAACEKIDPVKECCAQTCQNAILEAATRIASKASDLLSTDGAHDILPDGVSKGNDCKNIVLRWLASKLNPSRAKEVLRGLSNCKINKVCPLVFPNMKHVAMGCGNGIRNQAACCTAMESYVSHLQKQSFITNLQALDCAASLGMKLRKSNITENVYSLCHISLKDFSLQVGNQVSGCLLPSLPSDATFDSSGVSFLCDLNDNIPAPWPTSSQGAGSSCSKNVKIPALPAAASAESGLYNDDVMLSLLLASGVVLMMVL >ONI09975 pep chromosome:Prunus_persica_NCBIv2:G4:1028140:1031803:1 gene:PRUPE_4G021700 transcript:ONI09975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMISPTGTPQPFLPLLAPSPLAPFTNTTVPKLSGLCTLNFSVAESLMSVTSVDCWSVFAPLLANVICCPQLEATLTILIGQSSKETNVLALNGTVAKHCLSDIEQILVGQGANDSLAQICSVHSSNLTYASCPVIDVNEFEDTVDTSKLLAACEKIDPVKECCAQTCQNAILEAATRIASKASDLLSTDGAHDILPDGVSKGNDCKNIVLRWLASKLNPSRAKEVLRGLSNCKINKVCPLVFPNMKHVAMGCGNGIRNQAACCTAMESYVSHLQKQSFITNLQALDCAASLGMKLRKSNITENVYSLCHISLKDFSLQVGNQVSGCLLPSLPSDATFDSSGVSFLCDLNDNIPAPWPTSSQGAGSSCSKNVKIPALPAAASAESGLYNDDVMLSLLLASGVVLMMVL >ONI13553 pep chromosome:Prunus_persica_NCBIv2:G4:14730998:14732692:1 gene:PRUPE_4G229900 transcript:ONI13553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCFPSTPKRLAMTIGCFISAAALFAFGAHISYVNVAPQQARTKARNEFVRERLKQKYGK >ONI11626 pep chromosome:Prunus_persica_NCBIv2:G4:6328759:6331683:-1 gene:PRUPE_4G116900 transcript:ONI11626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLYSNLFNPPYISHFLLLLFLASSYLHTSSHFCFCLVDGVPSTGTVRSCIEEERSALLSFKQDLKDPSGRLSSWAGRDCCQWRGISCNNRTGHVAKANLRNQYPYVLYDEEWDELAYNQSCLGGKINPSLLSLKYLNYLDLSYNDFDGIHIPKFFGELKSLRYLNISSTSFSGEIPHSLGNLSELNYLDLGFSYSISLYSTNLNWLSHLSSLKYLNLNGVNLSSTGVTNWQHHLNMLPSLLELHLSNCFIESLPLSVQKINFTSLSVLDLSSNYFNTSSFPSWLFNLTNLRKLDLSWNSFGGSFLRVIGSMRKLKFLSLHDNNFIGEKIEEFLRSLSNYPNHTIALESLDLSYCGLEGQLPDSIGNLSSLKTLDLSSNNMNGSIPESLGKLSELVELDLSSNSWEGILKEAHFINLTRLKALSISTDPIEKPMSLNMAYDWVAPFKLHKLGIRYCRVGPGFWKLIQSQTELLYVTLQNTSISNSIPKEWLSKISSQVQHLDLSYNNFSGRLSLQLKFPKLQDISLDHNQLEGPLPLWLPNVYNVDFQSNLFSGPIPSNLDQLMPKLIYLDVSENRLNGTIPLSICNMKDLTVISLRNNQLFGEFPQWWSLWSTISIIDVSHNNLSGNIPSSMGIPSSLEIFKVNNNNFSGEIPLSLQNCTSLLILNLGGNKFIGNLPLWIGSNVSTLEVLTLQSNLLSGHIPHHFCNLPHLHVLDLAHNNFSGTIPKCLKNMTCLVEVNDACQNVSWYAGYLGKSAITLKGKELEYDDGNLALWGNLIDLSSNNFEGEIPEQVGSLVELSTLNLSMNQLTGEIPSSIGKLRWLETLDLSHNQLSGHIPQNFSSLTSLSHLNLSYNNLIGNIPSGNQLQTLDDPSIYEDNPLLCGPPLSTVCPGDDTRSRQTFTSEDHSKDENEMFWFYVGMALGFIIGFWAVCGTLVLKKSWRYAYFKFFDNVKEKVALIIALKVARWQGRL >ONI09928 pep chromosome:Prunus_persica_NCBIv2:G4:874324:877422:-1 gene:PRUPE_4G019000 transcript:ONI09928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKLFSTAASLTFPSHLLHRPIINPRKTCYTLTVQMSWACKKCTFVNPPTPSQKPTCQICLSPSSSSPPPSPASSSIPKWSCKACTFLNAYKNSNCEVCDTRASISSLSSFEDLTDTGLDGGDLDSSVGSVFLPLQRCKRKRVEDAVEVNQGSSSFNVVREVKASDKLTTVSGSSSFNVVREVKSSDKRTSVSGSSNFNVSGGVEVPDKGMNVSEGTSFGSSGVGLTTLKILSYNVWFREDLEVHKRMKALGDLIQQHCPDLICFQEVTPNIYDIFRQSSWWKMYQSSVSNQMADSRPYFCMQLSKLRVKSFSCKPFGYSAMGRELCVAEVEVPGDKHLVVATSHLESPCPGPPNWDQMYSKERVDQAKEALNLLNKNQNVIFCGDMNWDDKLDGQFPLPNKWIDAWEELRPEENGWTYDTKSNMMLSGNRKLQKRLDRFLCSLHDFRVSKIEMIGMDAIPGLSYIKEKKVRTEIKKLELPVLPSDHYGLLLTICSQ >ONI09927 pep chromosome:Prunus_persica_NCBIv2:G4:874324:877423:-1 gene:PRUPE_4G019000 transcript:ONI09927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKLFSTAASLTFPSHLLHRPIINPRKTCYTLTVQMSWACKKCTFVNPPTPSQKPTCQICLSPSSSSPPPSPASSSIPKWSCKACTFLNAYKNSNCEVCDTRASISSLSSFEDLTDTGLDGGDLDSSVGSVFLPLQRCKRKRVEDAVEVNQGSSSFNVVREVKSSDKRTSVSGSSNFNVSGGVEVPDKGMNVSEGTSFGSSGVGLTTLKILSYNVWFREDLEVHKRMKALGDLIQQHCPDLICFQEVTPNIYDIFRQSSWWKMYQSSVSNQMADSRPYFCMQLSKLRVKSFSCKPFGYSAMGRELCVAEVEVPGDKHLVVATSHLESPCPGPPNWDQMYSKERVDQAKEALNLLNKNQNVIFCGDMNWDDKLDGQFPLPNKWIDAWEELRPEENGWTYDTKSNMMLSGNRKLQKRLDRFLCSLHDFRVSKIEMIGMDAIPGLSYIKEKKVRTEIKKLELPVLPSDHYGLLLTICSQ >ONI11351 pep chromosome:Prunus_persica_NCBIv2:G4:5267701:5270049:1 gene:PRUPE_4G103000 transcript:ONI11351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLYLALSLGAAFLAFIIFAFKGKSDDGKNLPPGSMGWPIVGETLEFLFGKPEIFVSKRMKRYSPEIFKTKILGEKTAVICGPNGHKFLFSNEQKYFTAFRPHSMQKMFRSYKAPAAAAAAAAPPAVAQPDRDEEAKVLRSPGFLKPEALVRYLGVMDSITQVQMKAYWEGKDEVEVYPLTKTLTLGLACKFFLGIDEPDRIARFVSNFDDVTVGMHSLILNFPGTTFYKATKAADELRRELKIVIQEKKAAMASGAPMHDILSHMIVASDPTGKHMPEAEVADKIMGLLTAGYSTVATAMTFFMKYVGERPDIYAKVLAEHKEIADSKKPGQFLEWDDVNKMKYSWNVLYEVMRFTPPLQGTFREALTDFTYAGYTIPKGWKVYWTVSTTNMNPKYFPNPEKFDPSRYDDLNAFPAFTFVPFGGGPRMCPGKEYARLAILTFVHNVVMRFKWEVLFPKEKITGDMMPTPEKGLPVRLIRH >ONI14413 pep chromosome:Prunus_persica_NCBIv2:G4:23613224:23618565:-1 gene:PRUPE_4G279800 transcript:ONI14413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCIDLFKNCSATSLAGTRSSRVLTYRFQRTNYQTHLPSTKLTFSSYSTKTCFATLSAVTSEVEEKQTFNPDKAGELVKELRNSFNSGRTKSYEWRMSQLENIAKMLEEKEKEITEALYKDLSKPEVEAFISEIVTAKSSCNEALKELRQWMIPQKVNTSITTFPSSAEIVSEPLGVVLVIATWNFPFLLSVDPVIGAISAGNAVVLKPSEIAPATSSLLAKLVEEYLDNSAVKVVEGAVPETTALLEQKWDKILYTGSARVGRIVMAAAAKHLTPVILELGGKSPAVVHSDVDLQVAVRRIIAGKWALNNGQACIGVDYIITTKDFAPKLIEALKIELEQFFGKDPMNSKDISRVVSSTQFKRLAKMLDEDKVSDKIVLGGQMDENQLKIAPTILLDIPEESQLMQEEIFGPLMPIVTVETIEDSFDVINSRPKPLAVYVFTNNEQLKKGFVENISSGGMLINDTVLHVSITGLPFGGVGESGMGSYHGKFSFDGFSHKKAVLYRSFSGDSSLRYPPYTPEKQRLLKAVISGDIFSIILALIGWSK >ONI14417 pep chromosome:Prunus_persica_NCBIv2:G4:23613046:23618911:-1 gene:PRUPE_4G279800 transcript:ONI14417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCIDLFKNCSATSLAGTRSSRVLTYRFQRTNYQTHLPSTKLTFSSYSTKTCFATLSAVTSEVEEKQTFNPDKAGELVKELRNSFNSGRTKSYEWRMSQLENIAKMLEEKEKEITEALYKDLSKPEVEAFISEIVTAKSSCNEALKELRQWMIPQKVNTSITTFPSSAEIVSEPLGVVLVIATWNFPFLLSVDPVIGAISAGNAVVLKPSEIAPATSSLLAKLVEEYLDNSAVKVVEGAVPETTALLEQKWDKILYTGSARVGRIVMAAAAKHLTPVILELGGKSPAVVHSDVDLQVAVRRIIAGKWALNNGQACIGVDYIITTKDFAPKLKNSSNYLVRYPRRVSAYAGGDIWAIDAYCHC >ONI14415 pep chromosome:Prunus_persica_NCBIv2:G4:23613046:23617573:-1 gene:PRUPE_4G279800 transcript:ONI14415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVTAKSSCNEALKELRQWMIPQKVNTSITTFPSSAEIVSEPLGVVLVIATWNFPFLLSVDPVIGAISAGNAVVLKPSEIAPATSSLLAKLVEEYLDNSAVKVVEGAVPETTALLEQKWDKILYTGSARVGRIVMAAAAKHLTPVILELGGKSPAVVHSDVDLQVAVRRIIAGKWALNNGQACIGVDYIITTKDFAPKLIEALKIELEQFFGKDPMNSKDISRVVSSTQFKRLAKMLDEDKVSDKIVLGGQMDENQLKIAPTILLDIPEESQLMQEEIFGPLMPIVTVETIEDSFDVINSRPKPLAVYVFTNNEQLKKGFVENISSGGMLINDTVLHVSITGLPFGGVGESGMGSYHGKFSFDGFSHKKAVLYRSFSGDSSLRYPPYTPEKQRLLKAVISGDIFSIILALIGWSK >ONI14416 pep chromosome:Prunus_persica_NCBIv2:G4:23613710:23618565:-1 gene:PRUPE_4G279800 transcript:ONI14416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLCIDLFKNCSATSLAGTRSSRVLTYRFQRTNYQTHLPSTKLTFSSYSTKTCFATLSAVTSEVEEKQTFNPDKAGELVKELRNSFNSGRTKSYEWRMSQLENIAKMLEEKEKEITEALYKDLSKPEVEAFISEIVTAKSSCNEALKELRQWMIPQKVNTSITTFPSSAEIVSEPLGVVLVIATWNFPFLLSVDPVIGAISAGNAVVLKPSEIAPATSSLLAKLVEEYLDNSAVKVVEGAVPETTALLEQKWDKILYTGSARVGRIVMAAAAKHLTPVILELGGKSPAVVHSDVDLQVAVRRIIAGKWALNNGQACIGVDYIITTKDFAPKLIEALKIELEQFFGKDPMNSKDISRVVSSTQFKRLAKMLDEDKVSDKIVLGGQMDENQLKIAPTILLDIPEESQLMQEEIFGPLMPIVTVETIEDSFDVINSRPKPLAVYVFTNNEQLKKGFVENISSGGMLINDTVLHVTDSSPLCIHTYVFAFLHLHKLK >ONI14414 pep chromosome:Prunus_persica_NCBIv2:G4:23613046:23618278:-1 gene:PRUPE_4G279800 transcript:ONI14414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLENIAKMLEEKEKEITEALYKDLSKPEVEAFISEIVTAKSSCNEALKELRQWMIPQKVNTSITTFPSSAEIVSEPLGVVLVIATWNFPFLLSVDPVIGAISAGNAVVLKPSEIAPATSSLLAKLVEEYLDNSAVKVVEGAVPETTALLEQKWDKILYTGSARVGRIVMAAAAKHLTPVILELGGKSPAVVHSDVDLQVAVRRIIAGKWALNNGQACIGVDYIITTKDFAPKLIEALKIELEQFFGKDPMNSKDISRVVSSTQFKRLAKMLDEDKVSDKIVLGGQMDENQLKIAPTILLDIPEESQLMQEEIFGPLMPIVTVETIEDSFDVINSRPKPLAVYVFTNNEQLKKGFVENISSGGMLINDTVLHVSITGLPFGGVGESGMGSYHGKFSFDGFSHKKAVLYRSFSGDSSLRYPPYTPEKQRLLKAVISGDIFSIILALIGWSK >ONI12616 pep chromosome:Prunus_persica_NCBIv2:G4:10359442:10361501:1 gene:PRUPE_4G175000 transcript:ONI12616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTPYLWREMLASMENSSYSQKVLLTTIAEGRVNSAGISYDSTSNGLNVVFTSYVNGVQGMSYIDCMVDLNKILQGWVIAGFSAATDATTALHKINSWSFHSTQLFDEKPKNTTPKSGNGINIGLVLGLGIGGLIVLGGGLGLVWFICWKKRGESSEEDVTVNDSIDEEFENGIGPKKFPYRKLAQSTRNFYEGQKLGEGGFGGVYRGYITDLNLNVAVKRISSGSRQGLKEYAAEVRIISRLRHRNLVQLIGWCHERKQLLLVYEFMSNGSLDSHLFKAKNLLAWDARYRIAQGLASGLFYLHVEWEQCVLHRDAKSSNIMLDSNFNAKLGDFGLARLVDHGKQSQTTVLAGTMGHMAPECMTTGKTSKETDVYSFGVVALEIACGRKPIDPEYRSSQITMVEWVWELYGEGRVIEAADPKLRGDFGKRQMECLLIVGLWCAHPDYKIRPAIQQTIQVLNFKVPLPILPSKMPVASYFSPPLSFSILSGSTDLGEEVQVMATTPIPHS >ONI13357 pep chromosome:Prunus_persica_NCBIv2:G4:13625066:13625790:1 gene:PRUPE_4G217300 transcript:ONI13357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIDGEKQKDSEDYAGARFEELRGRIHFWDALWASVFFKLSILGMMWEEKWDCGRPCLLLSTHEFHLG >ONI11120 pep chromosome:Prunus_persica_NCBIv2:G4:4367526:4378000:1 gene:PRUPE_4G088600 transcript:ONI11120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKPIDWYCQPEANGVWAKAVSAFGSYTPCAIDSLVICISHLVLLCLCCYRIWMIKKNCKSRRFRLRSNYYNYILALLACYFTAEPILRLLMKISIFNLNRKTCFAPFEMTSSVIEALAWCSMLIMIGLETRIYIREFRWYVRFGVIYVLVGDAVVLNLVLSVTVSRGALYLYISTLCCQVLFGILLLVYIPNLEPYPGYIALQSESLDNIEYEALPGEEQICPERHVNIFSRIYFGWMTPLMQLGYRKPITEKDVWKLDTRDQTERLIKKFQKCWVIESQRPKPWLLRALNCSLGRRFWWGGFFKIGNDLSQFAGPVLLNHLLQSMQRGDPAWIGCIYAFSIFTGVSLGVLSEAQYFQNVMRVGFRLRSTLVAAIFRKSIRLTHEGRKKFPTGKITNMMSTDANALQQICQQLHGLWSAPFRITVAMVLLYQQLGVASLIGSMMLILMIPIQTIVISKMRKLTKDGLQQTDKRVGLMNEILAAMDTVKCYAWETSFQQRVQIIRNDELSRFRKAYFLSAFNSFILNSIPVVVTLTSFGMFTFLGGDLTPARAFTSLSLFAVLRFPLNMLPNLLSQVVNANVSLQRLEELFLTEERILVPNQPLEPGLPAISIKDGYFSWDSKAEKPTLSNINLDILVGSLVAVVGGTGEGKTSLVSAMLGELPPRADSGVVIRGTVAYVPQVSWIFNATVRENVLFGSKFEPARYWKAIDLTELQHDLDILPGRDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAKQVFTHCIKEELQGKTRVLVTNQLHFLPQVDQIILVCDGTIKEVGTFKELSKSSKLFQKLMENAGKMEEHVEEKEDSKNDYHESSTPASNGVLNDLPNDVSYAKKGKGAKSVLIKQEERETGVVSWNILLRYKNALGGLWVVMVLFACYTLTEVLRVSSSTWLSVWTAKSTSKSYKPGFYILVYGILSFGQVTVTLTNSFWLITSSLRAARRLHDALLNAILIAPMVFFHTTPTGRIINRFAKDLGDIDRMVANVMNMFLGQVWQLLSTFVLIGIVSTISLWAIMPLLILFYAAYLFYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDRMASISGRSMDNNIRFTLVNISSNRWLTIRLETLGGVMIWLIATFAVMQNARAEDRVAFASTMGLLLTYTLNITNLLSSVLRQASRAENSLNAVERVGSYIELPSEAPAVIESNRPRHGWPSAGSIKFEDVVLRYRPGLPPVLHGLSFTVSASEKLGIVGRTGAGKSSMINALFRIVEIEKGRILIDSCDVTKFGLTDLRKVLSIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNSLGLDAEVSEGGENFSVGQRQLISLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDSDQILVLDAGQVLEHDSPEKLLSNEESAFSKMVKSTGPANAQYLCGLVFGGKQIKVVRDRTGPVVGQSHRSWLASSRWAAVAQFALAASLTASQKDLQRSDIEDKNNILMKTKDAVITLQGVLEGKHDKEIDNTLNQHHIPRQGWWSAFFRIVEGMAVMGRLAHNRLHPLEDDFEDKAIDWS >ONI11121 pep chromosome:Prunus_persica_NCBIv2:G4:4367589:4378000:1 gene:PRUPE_4G088600 transcript:ONI11121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKPIDWYCQPEANGVWAKAVSAFGSYTPCAIDSLVICISHLVLLCLCCYRIWMIKKNCKSRRFRLRSNYYNYILALLACYFTAEPILRLLMKISIFNLNRKTCFAPFEMTSSVIEALAWCSMLIMIGLETRIYIREFRWYVRFGVIYVLVGDAVVLNLVLSVTVSRGALYLYISTLCCQVLFGILLLVYIPNLEPYPGYIALQSESLDNIEYEALPGEEQICPERHVNIFSRIYFGWMTPLMQLGYRKPITEKDVWKLDTRDQTERLIKKFQKCWVIESQRPKPWLLRALNCSLGRRFWWGGFFKIGNDLSQFAGPVLLNHLLQSMQRGDPAWIGCIYAFSIFTGVSLGVLSEAQYFQNVMRVGFRLRSTLVAAIFRKSIRLTHEGRKKFPTGKITNMMSTDANALQQICQQLHGLWSAPFRITVAMVLLYQQLGVASLIGSMMLILMIPIQTIVISKMRKLTKDGLQQTDKRVGLMNEILAAMDTVKCYAWETSFQQRVQIIRNDELSRFRKAYFLSAFNSFILNSIPVVVTLTSFGMFTFLGGDLTPARAFTSLSLFAVLRFPLNMLPNLLSQVVNANVSLQRLEELFLTEERILVPNQPLEPGLPAISIKDGYFSWDSKAEKPTLSNINLDILVGSLVAVVGGTGEGKTSLVSAMLGELPPRADSGVVIRGTVAYVPQVSWIFNATVRENVLFGSKFEPARYWKAIDLTELQHDLDILPGRDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAKQVFTHCIKEELQGKTRVLVTNQLHFLPQVDQIILVCDGTIKEVGTFKELSKSSKLFQKLMENAGKMEEHVEEKEDSKNDYHESSTPASNGVLNDLPNDVSYAKKGKGAKSVLIKQEERETGVVSWNILLRYKNALGGLWVVMVLFACYTLTEVLRVSSSTWLSVWTAKSTSKSYKPGFYILVYGILSFGQVTVTLTNSFWLITSSLRAARRLHDALLNAILIAPMVFFHTTPTGRIINRFAKDLGDIDRMVANVMNMFLGQVWQLLSTFVLIGIVSTISLWAIMPLLILFYAAYLFYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDRMASISGRSMDNNIRFTLVNISSNRWLTIRLETLGGVMIWLIATFAVMQNARAEDRVAFASTMGLLLTYTLNITNLLSSVLRQASRAENSLNAVERVGSYIELPSEAPAVIESNRPRHGWPSAGSIKFEDVVLRYRPGLPPVLHGLSFTVSASEKLGIVGRTGAGKSSMINALFRIVEIEKGRILIDSCDVTKFGLTDLRKVLSIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRNSLGLDAEVSEGGENFSVGQRQLISLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDSDQILVLDAGQVLEHDSPEKLLSNEESAFSKMVKSTGPANAQYLCGLVFGGKQIKVVRDRTGPVVGQSHRSWLASSRWAAVAQFALAASLTASQKDLQRSDIEDKNNILMKTKDAVITLQGVLEGKHDKEIDNTLNQHHIPRQGWWSAFFRIVEGMAVMGRLAHNRLHPLEDDFEDKAIDWS >ONI10358 pep chromosome:Prunus_persica_NCBIv2:G4:2063241:2065416:1 gene:PRUPE_4G043200 transcript:ONI10358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAPFWTACSFRLVTRQGRSPKLELMRCNEIQHKYITVQGLKLHVADIGTGSNVVVFLHGFPEIWYSWRHQMIALARAGFRAIAPDYRGYGLSDSPPQPDKTSYRDLVSDLLGILDSLAIPKVFLIAKDFGPRPAYTFALLHPERVLGVVTMGVSYVPPGPRAFEKLLPEGFYISRWQEPGRAEADFGRLDAKTVVRNVYILFSRSEIPIAAENQEIMDLVDPSTPLPPWFTEEDLAAYGALYEKSGFQTALQVPYRALREDPGITDPIVKAPALFIMGGKDYVNKFPGMEDYINSGKVKQFVPKLEIVFLPEGTHFVQEQSPEEVNQLIITFLGKHI >ONI10359 pep chromosome:Prunus_persica_NCBIv2:G4:2063662:2065538:1 gene:PRUPE_4G043200 transcript:ONI10359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQHKYITVQGLKLHVADIGTGSNVVVFLHGFPEIWYSWRHQMIALARAGFRAIAPDYRGYGLSDSPPQPDKTSYRDLVSDLLGILDSLAIPKVFLIAKDFGPRPAYTFALLHPERVLGVVTMGVSYVPPGPRAFEKLLPEGFYISRWQEPGRAEADFGRLDAKTVVRNVYILFSRSEIPIAAENQEIMDLVDPSTPLPPWFTEEDLAAYGALYEKSGFQTALQVPYRALREDPGITDPIVKAPALFIMGGKDYVNKFPGMEDYINSGKVKQFVPKLEIVFLPEGTHFVQEQSPEEVNQLIITFLGKHI >ONI10853 pep chromosome:Prunus_persica_NCBIv2:G4:3523300:3524401:1 gene:PRUPE_4G072000 transcript:ONI10853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVVDYEFQESEVIFSDNHEFESCWDFRPHLHHHSKSNFTKKKKAMGNNISNDNSKSVPVKIPNNAFDRFGSADDESEGDNYREEEWDEGEMVPPHVIVRRRIAGKMEFSVCTGNGRTLKGRDLSQVRNSILRMTGFLEA >ONI13139 pep chromosome:Prunus_persica_NCBIv2:G4:12787302:12791001:1 gene:PRUPE_4G205200 transcript:ONI13139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMLAIVLSMLLVLALIPLYLWKRRQDSRLPHDHEEEPQVAQREGVVRATGARRMRRRPASGASTSAAAAAPVVEETVDGSDEEDEGEYYEAKASKKREKKRQEREAQRQAEQAARESRNTKQDRYAEMRRRKDEEREAQERQLEEEAKAQKAREEEAAALEFEKWKGEFSIDAEGTTENEVQDGHQDLLSDFVEYIKKHKCVPLEDLAAEFKLRTQECINRITSLESMGRLSGVMDDRGKYIYISQEEMQAVADYIKRQGRVSISHLASKSNQFIDLEPKAQFVEEISSAAEIAVT >ONI13140 pep chromosome:Prunus_persica_NCBIv2:G4:12787302:12791018:1 gene:PRUPE_4G205200 transcript:ONI13140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMLAIVLSMLLVLALIPLYLWKRRQDSRLPHDHEEEPQVAQREGVVRATGARRMRRRPASGASTSAAAAAPVVEETVDGSDEEDEGEYYEAKASKKREKKRQEREAQRQAEQAARESRNTKQDRYAEMRRRKDEEREAQERQLEEEAKAQKAREEEAAALEFEKWKGEFSIDAEGTTENEVQDGHQDLLSDFVEYIKKHKCVPLEDLAAEFKLRTQECINRITSLESMGRLSGVMDDRGKYIYISQEEMQAVADYIKRQGRVSISHLASKSNQFIDLEPKAQFVEEISSAAEIAVT >ONI12070 pep chromosome:Prunus_persica_NCBIv2:G4:8176413:8180370:-1 gene:PRUPE_4G142600 transcript:ONI12070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALRRLFVCSLLISFIRISTTTALDTISPSRSMRDGETLISAGGNFQLGFFSPGTSKGRYLGIWYSVHTETVVWVANRETPLGDSSGVLKVTEQGLLVLLNSTNRIVWSSNSSTTAGNPVSQLMDSGNLVVKDGNETNPVNLLWQSFDYPCDTFLPEMKLGWDLVTGLERYLSSWRSTEDPAPGEFSSRMDRRGFPQVVTMKGAKIMSRPGSWNGLHFTGYPYNPQTQASPTLEYEIVLNKDEVYYEYRLLNTSMFSRYVLNPSGTAHQFTWVYQTHSWELSSAVQADQCQNYALCGAYTSCSVNVSPICACLKGFVPKSPKDWNSGYWSDGCVRKIPLACSSGDGFLNYTGVKLPDTSSSWYDKSMSLKECNGLCLNNCSCTAYANLDIREGGTGCLLWFGNLTDMTQFTSGGGQDLYIRMAASELDGIERKSTFKKKKLPIILIGSAVFLVWFIIGLILYIRKRKLRNQGVTKDYLGEDREDMELPLFDLSTLAKATNDFSSSNKLGEGGFGPVYKGTLIGGKEIAVKRLSKNSGQGTIEFKNEVILIARLQHRNLVKLLGCCVQEEEKILIYEFMPNKSLDFFIFDQEGQKLLDWPTCFHIIGGIARGLLYLHQDSRLRIIHRDLKASNVLLDNDMIPKISDFGLAKTFGSDQSRGNTNRVVGTYGYMSPEYAIDGIFSMKSDVFSFGVILLEMLSRKKNRGFSHPDHHLNLLGHAWTLWIQDKQLELIDTTLYDSCNISEVLRCLHVGLLCVQRVPEDRPNMSYVVLMLSSDITLPPPKQPGFYTERSVPESPSRNRPFSVNYFSTTVIEAR >ONI10767 pep chromosome:Prunus_persica_NCBIv2:G4:3258745:3263701:1 gene:PRUPE_4G067300 transcript:ONI10767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKSYTNLLDLASGNFPIMGRERRRLPRVMTVAGVISELDDDQANSVSSDVPSSIMQDRIIIVANQLPVKAKRRPDNKGWSFSWDEDSLLLQLKDGLPEEMEVLYVGSLNVEVDSNEQDDVSQLLLDRFKCVPAFLPHDILSKFYHGFCKQHLWPLFHYMLPFSANHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQIESVLRLADKEWRVEELKQQFEGKTVLLGVDDMDIFKGVNLKLLAMEQMLKQHPKWQGRAVLIQIANPARGRGRDLEETQAEIQTSIKRINEKFGEPGYEPIVFIDRPVSLSERVAYYTIAECVVVTAVRDGMNLIPYEYIVCRQGNSVSDSNSEFSGPKKSMLIVSEFIGCSPSLSGAIRVNPWNIESTAEAMNEAISMVEPEKQLRHEKHYRYVSTHDVAYWSRSVFQDMERTCKDHFRRRCWGIGLGFGFRVIALDPNFRKLSIDAIQSAYLRSKRRAILLDYDGTVMPQTSINKSPSQEVISLINTLCGDVKNTVFVVSGRGRDSLSKWFSPCKKLGIAAEHGYFVRWSADKDWEICGQSNDFGWIQIAEPVMKLYTEATDGSSIETKESALVWHHRDADPGFGSSQAKELLDHLESVLANEPVAAKSGQYIVEVKPQGVSKGVVAEKIFTSMHETGKQADFVLCVGDDRSDEDMFEIIGNATTNGVLSSNTCVFACTVGQKPSKAKYYLDDPSDVITMLDALAEASDSPPSSDGEPASP >ONI10261 pep chromosome:Prunus_persica_NCBIv2:G4:1774837:1777654:1 gene:PRUPE_4G037700 transcript:ONI10261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTKMGEEEKGPKETEQSPDLPESSAGASSLRRAVESISSLISLSFSIKIFAAKWNTIRTKLEDLNSGLAAVQNCETDENPVLLGLVSNISGTVNECHGLARRCVDLSYSGKLLMQSDLDMLSAKLDLHARNLSEIYNAGVLTHRFAIVVSRPGNGACRDDMRFYVRDLMTRMKIGGAEMKRQALLNLYEAVVEDDRYVKVVVEQSDIVNVLISLLDSHEAEIQEWSAKVVSVISGFGSYKVVLIGAGIIAPLIRVLECGSEVGKEGAAKSLQRLTENSDNGWSISAHGGVTALLKLCSGCEGGGVRAELVGPACGALKNLVGIEEIKRFMVEEGVISTFIGLTRSKDEVLQINSIEFLQNIASGDEAVRSMVIKEGGIRALVRVLEYRSACSCKVREIALRAVENLCFCSTSCVSVLIRYGFVEQLMSFLRNGEASIQELALKVAIRMCAKSEEAKKALGGANFMTELVKFLDSRSFEVREMAAEALSNMVSIPKNRKRFVQDDRSMGLLLQRFDPKQGNSGNKKFLFSILMSLTSSNSGRRKIAHSGYLKNIEELAEAEVSDAKRLVKKLSTNRFRSMLSGIWHS >ONI10262 pep chromosome:Prunus_persica_NCBIv2:G4:1774837:1777654:1 gene:PRUPE_4G037700 transcript:ONI10262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTKMGEEEKGPKETEQSPDLPESSAGASSLRRAVESISSLISLSFSIKIFAAKWNTIRTKLEDLNSGLAAVQNCETDENPVLLGLVSNISGTVNECHGLARRCVDLSYSGKLLMQSDLDMLSAKLDLHARNLSEIYNAGVLTHRFAIVVSRPGNGACRDDMRFYVRDLMTRMKIGGAEMKRQALLNLYEAVVEDDRYVKVVVEQSDIVNVLISLLDSHEAEIQEWSAKVVSVISGFGSYKVVLIGAGIIAPLIRVLECGSEVGKEGAAKSLQRLTENSDNGWSISAHGGVTALLKLCSGCEGGGVRAELVGPACGALKNLVGIEEIKRFMVEEGVISTFIGLTRSKDEVLQINSIEFLQNIASGDEAVRSMVIKEGGIRALVRVLEYRSACSCKVREIALRAVENLCFCSTSCVSVLIRYGFVEQLMSFLRNGEASIQELALKVAIRMCAKSEEAKKALGGANFMTELVKFLDSRSFEVREMAAEALSNMVSIPKNRKRFVQDDRSMGLLLQRFDPKQGNSGNKKFLFSILMSLTSSNSGRRKIAHSGYLKNIEELAEAEVSDAKRLVKKLSTNRFRSMLSGIWHS >ONI09779 pep chromosome:Prunus_persica_NCBIv2:G4:456807:457136:-1 gene:PRUPE_4G009000 transcript:ONI09779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTPIGSWQPIKNISNPKVKEVAKFAVSEYNKQAQGKSSDPKLVLDSVVRGELQVIHGLKYKLVLSAKNEPSVSNPTSTATSDKYEAVVWDLFWQHLKKLISFQLLSEVN >ONI13894 pep chromosome:Prunus_persica_NCBIv2:G4:17220123:17222267:1 gene:PRUPE_4G253200 transcript:ONI13894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSNEMSSANLLQAQAHIWNCIFSFINPLSLKCAVQLGIPDIIKKHGNPMSLSELISALPIHPTKSNCVYRLMRILVHSGFFGRQKLSELDEEEGYVLTDASRLLLKDDPLSARPFLLGQLDPFMTKPWHYFSTWFQNNDPTACFTAHGTTFWDLGYLEPSLSHIFNDSMASDARLISKVVSNEYKGVFEGLESLVDVGGGIGTMAKAIADVFPHVECIVFDLPHVVADLKGSKNLKFFGGDMFEAIPHTDAILMKWILHDWSDEECIKILQRSKEAITRKEKKGKVIIIDMVMKQKGDDQSIETQLFFDMLMMVLVTGKERTEKEWAKLFSDAGFSDYKITPICGLRYLIEVYP >ONI13282 pep chromosome:Prunus_persica_NCBIv2:G4:13329457:13331728:-1 gene:PRUPE_4G213500 transcript:ONI13282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCAARSLHLPTIDLGALRTRAPLAVGVGFASAAGSTLWRSSASSKPSSRFACLAISTDARIKEAVHTDKAPAALGPYSQAIKANNFVHVSGVLGLIPEVLKNMGEILKASGANYSSVVKTTILLADLKDFKKVNEIYAKYFPSPAPARSTFQVAALPLDAKIEIECIAAL >ONI13283 pep chromosome:Prunus_persica_NCBIv2:G4:13329350:13331773:-1 gene:PRUPE_4G213500 transcript:ONI13283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCAARSLHLPTIDLGALRTRAPLAVGVGFASAAGSTLWRSSASSKPSSRFACLAISTDARIKEAVHTDKAPAALGPYSQAIKANNFVHVSGVLGLIPETGKFISENVEDQTEQVLKNMGEILKASGANYSSVVKTTILLADLKDFKKVNEIYAKYFPSPAPARSTFQVAALPLDAKIEIECIAAL >ONI14514 pep chromosome:Prunus_persica_NCBIv2:G4:24652216:24654366:-1 gene:PRUPE_4G284400 transcript:ONI14514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLTHDVVVDVTGEDECKISFGQLRRFSWREIQLATDNFDESNIIGQGGFGRVYKGVLSDNVKVAVKRLTDYNSPGGEAAFLREVQLISVAVHKNLLRLIGFCTTSSERILVYPFMKNLSVAYRLRDLKPGEKGLDWSTRKRIAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEPVLGDFGLAKLVDTKSTHVTTQVRGTMSHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDFARLEEEEDVLLLDHCYKRYLIWAKET >ONI14513 pep chromosome:Prunus_persica_NCBIv2:G4:24652216:24654492:-1 gene:PRUPE_4G284400 transcript:ONI14513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLTHDCKISFGQLRRFSWREIQLATDNFDESNIIGQGGFGRVYKGVLSDNVKVAVKRLTDYNSPGGEAAFLREVQLISVAVHKNLLRLIGFCTTSSERILVYPFMKNLSVAYRLRDLKPGEKGLDWSTRKRIAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEPVLGDFGLAKLVDTKSTHVTTQVRGTMSHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDFARLEEEEDVLLLDHCYKRYLIWAKET >ONI10169 pep chromosome:Prunus_persica_NCBIv2:G4:1482396:1486146:1 gene:PRUPE_4G031900 transcript:ONI10169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKALLVFFLLLFPFCSSIDTIALNQLVKDGDFIVSKENNFELGFFSPGNSSFRYVGIWYANKSEKAVVWVANRNNPINDTSGVLTINRYGKLVLYAHNIDNVSIWSTNVSVETTSTRVAQLLDTGNLLLFPDSISKSESFIWQSFDYPTDTLLSGMKVGLNWKTGMEWIITSWKSQNDPGMGNYSLRLNSNQTATPEYFLYKGLTKYWRMDPGPRPIFVTDNEEMYYSFSSDNTSVVRSVVTDYGVNQQLLWSEATNKWEELWAAPKYRCDPYGHCGANSKCSPDNINRFECDCLPGYEPKSQNAWNGRDGSDGCVSKRVGVSKCGNGEGFVKVARVKEPDTSEATQLLASISANECEQVCLRNCSCTAYIHIEWDDRNDCLVWYGELLDILVRSELGQDLYVRVDKMELADNTRKSKGFLKRRGLLAIAIVAVLLAFVLIIVFVYWWLKRKTTIKDFVEADELEETRRHPELQFFHLNAIIVATDNFSPVNKLGQGGFGTVYKGLLANDQKIAVKRLSKTSGQGIEEFKNEVALIARLQHRNLVKLLGCCIKGEERMLVLEHLPNKSLDSILFDHAKRSLLDWKKRFEIINGVARGILYLHQDSRLRIIHRDLKTSNVLLDAEMNPKVSDFGMARIFHGDKLQDKTNRVVGTYGYMSPEYAVFGRYSTKSDVFSFGIILLEIVSGKKNSCSYQQDESLNLIGHVWQLWREDRALEIVDSSVASYVPDEVMRCIQVGLLCVQEDPKDRPSMSTVVFMLSGEASPPSPKQPAFVFRKSSGNDAADPSIPKGSDSSINDLTITKLESR >ONI11074 pep chromosome:Prunus_persica_NCBIv2:G4:4204408:4206231:1 gene:PRUPE_4G085700 transcript:ONI11074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFVPFNNRNLDISIFVFRPTVVLVDDLLNALKQFSLCTESLGCVQSSILQSIHGNMIIWFGAWLKRSCENKDSLTASLLSMLTNMSSMAILLEHSFFDAYAGESRDGSCAAKFCRGDTVSMSTAVVNGGDMNNVSYACLAMFKSGFQKMEGVAAGVCLKCQTKPRIASLFVWKSLQCCYSWILNSDQRKAMLPYLESVSIEIKYDIFRVVYVSGDNDLKFQFFYPPHQMLEQTTGGEGKEEGHLMRSTSIV >ONI11096 pep chromosome:Prunus_persica_NCBIv2:G4:4291515:4293945:1 gene:PRUPE_4G087400 transcript:ONI11096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKQPSLLHPSFPHTFSAKTFTHNPKTLFSSLSAPSPTFPQNPIHYILQKCIALLQCCASSKLKMQQIHAFSVRHGVPLSSPDMGKHLIFTTVSLKAPMPYAHQIFSQIRSPNVFTWNTMIRGYAESENPTPVLQLYHQMHVNSVEPDTHTYPFLLKAVAKLTNVREGEKIHSIALRNGFESLVFVKNTLLHMYACCGHVESAHRVFESISERDLVAWNSVINGFALNGRPNEALTVFRDMSLEGVQPDGFTMVSLLSACAELGTLALGRRIHVYMLKVGLTGNSHATNALLDLYAKCGNIREAQKVFKTMDERSVVSWTALVVGLAVNGFGNEALEHFQELRREGLVPTEITFVGVLYACSHCGMVDEGFNYFRMMKEEYGIVPRIEHYGCMIDLLGRAGLVKEAYEYINNMPMQPNAVIWRTLLGACTIHGHLALGETARAHIRELEPGHSGDYVLLSNLYASERRWSDVQKVRRTMLSDGVRKTPGYSIVELRNCIYEFTMGDRSHPQSEKIYTMLAEITNLLKPKGYVPHTENVLADIEEEEKEYALSYHSEKIAIAFMILNTAPGIPIRIWKNLRVCADCHLAIKLISKVYDREIVVRDRSRFHHFRDGSCSCRDYW >ONI11973 pep chromosome:Prunus_persica_NCBIv2:G4:7673929:7680706:1 gene:PRUPE_4G138000 transcript:ONI11973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGSEGAGGAGGGGDGGGLDFQLPEEILSVIPTDPYDQLDLARKITSMAIASRVSKLESEMGKMRQKLQDKDRLVFELEERLSRLQHANHEADSRLRIALDENVKLSKERDSLAVTAKKLNRDLAKLETFKRQLMQSLNDDNASPTETVDIGTCDQSVPKAYPDKDEETNGYTLQYSSSGSTDKGNTTDEALKTSGQRFSVTPYITPRLTPTGTPKIISTSGSPRGYSAISSPLQSSGATSPTKPHYEGRTSLSSWYSSSQQSSAANSPPRGRPLPGRTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAHKQTREETLRKAEEIFGTDNKDLYLSFQGLLNRNIH >ONI11974 pep chromosome:Prunus_persica_NCBIv2:G4:7674104:7679459:1 gene:PRUPE_4G138000 transcript:ONI11974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGSEGAGGAGGGGDGGGLDFQLPEEILSVIPTDPYDQLDLARKITSMAIASRVSKLESEMGKMRQKLQDKDRLVFELEERLSRLQHANHEADSRLRIALDENVKLSKERDSLAVTAKKLNRDLAKLETFKRQLMQSLNDDNASPTETVDIGTCDQSVPKAYPDKDEETNGYTLQYSSSGSTDKGNTTDEALKTSGQRFSVTPYITPRLTPTGTPKIISTSGSPRGYSAISSPLQSSGATSPTKPHYEGRTSLSSWYSSSQQSSAANSPPRGRPLPGRTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAHKQTREETLRKAEEIFGTDNKDLYLSFQGLLNRNIH >ONI12688 pep chromosome:Prunus_persica_NCBIv2:G4:10614557:10617112:1 gene:PRUPE_4G179000 transcript:ONI12688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAHQLQLSIMVHHQDANGANSRSIRNTSVLPRGKSFNWWLRMTLYTCLVLSGQSVATLLGRLYFDKGGHSNWLQALMNLAGFPILLPYYCIPALSKRNNPTTNSVQSERPPSTLTLASVYVSLGLLVAFGCFLYSVGLSYLPVSTYSLICASQLAFNALFSFFLNSQKFTPYIFNALFLLTISSVLLVFQGDSDSGAAGVTTKRKYVIGFLCTVAASASYGLTLSLTQLAFRKVIRKETFTAVMDMIVYQSLIASCAIFIGLFASGEWKGLKKEMGEFKSGKVSYIMNLTWSAIVWQLFAIGGVGLIFEASSLFSNVVSALGLPVVPVLAVIFFHDKMDRIKIVAMVLAIWGFVSYLYQHYLDDRKAKTAENENANGEVSTILPP >ONI12689 pep chromosome:Prunus_persica_NCBIv2:G4:10614557:10617150:1 gene:PRUPE_4G179000 transcript:ONI12689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAHQLQLSIMVHHQDANGANSRSIRNTSVLPRGKSFNWWLRMTLYTCLVLSGQSVATLLGRLYFDKGGHSNWLQALMNLAGFPILLPYYCIPALSKRNNPTTNSVQSERPPSTLTLASVYVSLGLLVAFGCFLYSVGLSYLPVSTYSLICASQLAFNALFSFFLNSQKFTPYIFNALFLLTISSVLLVFQGDSDSGAAGVTTKRKYVIGFLCTVAASASYGLTLSLTQLAFRKVIRKETFTAVMDMIVYQSLIASCAIFIGLFASGEWKGLKKEMGEFKSGKVSYIMNLTWSAIVWQLFAIGGVGLIFEASSLFSNVVSALGLPVVPVLAVIFFHDKMDRIKIVAMVLAIWGFVSYLYQHYLDDRKAKTAENENANGEVSTILPP >ONI12971 pep chromosome:Prunus_persica_NCBIv2:G4:11856963:11861447:1 gene:PRUPE_4G194900 transcript:ONI12971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFTMLFVFTSLLFSILSISNTKDIITPSVSIRDGETLVSSGGSFKLGFFSPGYSSNRYLGIWYNEISPLTVVWVANRENPLTHLSAGALNITNQGALVLLSDTNRNSIIWSSNTSRKFIRKPVAQLLESGNLVVNDGNELVWQSFDYPTDNLLPGMKLGWDLKTGLNKVLSSWKDADDPAPGEFSFSIDRSGYPQLVVKKGLRAQYRLGSWNGLGFTGSPELRSANELFKFDFVLNESEVSYKFELLKDELHSRLLLNLSGGLQRFMWADKSLSNNIIYSAPVDRCDTYDLCGAYAFSFCRLGTDPQCSCLQGFVQKSPRYQNSKNASLGCVPKTPLGCSNGFQNFTRAKLPDTSSSWTNSSMSLEECKKMCLRNCSCTAYANLDISGGGSGCLLWFGELIDIKEFDSGGQDLFVRTALLGLDDVKTSKPSGVKKKAAIIASVGLLGMGMIILGLVFYKRKKKLKAQGKMKNIREKNFDFECGNEDMELITFDLATVSRATDNFSNNNKLGEGGFGPVYKGTLIEGQDIAVKRLSKCSGQGIKEFMNEVILIAKLQHRNLVKLLGCCIEGDEKMLIYEYMPNKSLDYFIFDDTRSKFLSWDQRINIIGGIARGLLYLHQDSRLRIIHRDLKTSNVLLDKDMNPKISDFGTARAFGADQTEENTNRVVGTYGYMSPEYVVDGLFSIKSDVYSFGVMVLEIVSGKKNRGFYHPEHKLNLLGHAWTLWIEGRPLEVLDKMLDGSCPLPDVSRCIHIALLCVQQQPEDRPNMASVVLMLGGEGSLPAPKQPGFFTDRNPVEADSSSTKRESHSINEMSVTLLEAR >ONI13633 pep chromosome:Prunus_persica_NCBIv2:G4:15121953:15125531:1 gene:PRUPE_4G234400 transcript:ONI13633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFSLCPSSSYPPPPSFKLYHKSRFTVTTLSSLCGRKRRSGSSCRLVVLSTHSNPKILKSNRRSRYGQPLSPYDQDDDEEVSRASDVSDDDWLLNDDFAEISEFDVNRKRSKSHKGFANGHSDGRNSKHTLEKSNAANYYSSSNQTNDVSSLDVPRKGKLSTRKAMEDRFPRLSEEVELDEKWLPLIDYLSSFGLKESHFIQMYERHMPSLQINVCSAKERLEYLLSVGVKQRDVRRMLLRQPQILEYTVENNLKSHVAFLMSLGIPSSRVGQIIAAAPSLFSYSVENSLKPTVRYLVEEVGIKEKDLGKVVQLSPQILVQRIDISWNTRLNFLSKEIGAPRDSIVKMVKKHPQFLHYSIDDGLLPRINFLRSIGMCNADILKVLTSLTQVLSLSLEENLKPKYKYLVNELHNEVHSLTKYPMYLSLSLDQRIRPRHRFLLSLKKAPKGPFPLSSLVPTDECFCQQWAGTSLDKYLDFRQRLLLKEFAKKYERKG >ONI13631 pep chromosome:Prunus_persica_NCBIv2:G4:15121953:15125531:1 gene:PRUPE_4G234400 transcript:ONI13631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFSLCPSSSYPPPPSFKLYHKSRFTVTTLSSLCGRKRRSGSSCRLVVLSTHSNPKILKSNRRSRYGQPLSPYDQDDDEEVSRASDVSDDDWLLNDDFAEISEFDVNRKRSKSHKGFANGHSDGRNSKHTLEKSNAANYYSSSNQTNDVSSLDVPRKGKLSTRKAMEDRFPRLSEEVELDEKWLPLIDYLSSFGLKESHFIQMYERHMPSLQINVCSAKERLEYLLSVGVKQRDVRRMLLRQPQILEYTVENNLKSHVAFLMSLGIPSSRVGQIIAAAPSLFSYSVENSLKPTVRYLVEEVGIKEKDLGKVVQLSPQILVQRIDISWNTRLNFLSKEIGAPRDSIVKMVKKHPQFLHYSIDDGLLPRINFLRSIGMCNADILKVLTSLTQVSSVFASSQLSSGQRRSFTNCGLFKTGGFVLLLYAL >ONI13632 pep chromosome:Prunus_persica_NCBIv2:G4:15122002:15125531:1 gene:PRUPE_4G234400 transcript:ONI13632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFSLCPSSSYPPPPSFKLYHKSRFTVTTLSSLCGRKRRSGSSCRLVVLSTHSNPKILKSNRRSRYGQPLSPYDQDDDEEVSRASDVSDDDWLLNDDFAEISEFDVNRKRSKSHKGFANGHSDGRNSKHTLEKSNAANYYSSSNQTNDVSSLDVPRKGKLSTRKAMEDRFPRLSEEVELDEKWLPLIDYLSSFGLKESHFIQMYERHMPSLQINVCSAKERLEYLLSVGVKQRDVRRMLLRQPQILEYTVENNLKSHVAFLMSLGIPSSRVGQIIAAAPSLFSYSVENSLKPTVRYLVEEVGIKEKDLGKVVQLSPQILVQRIDISWNTRLNFLSKEIGAPRDSIVKMVKKHPQFLHYSIDDGLLPRINFLRSIGMCNADILKVLTSLTQVLSLSLEENLKPKYKYLVNELHNEVHSLTKYPMYLSLSLDQRIRPRHRFLLSLKKAPKGPFPLSSLVPTDECFCQQWAGTSLDKYLDFRQRLLLKEFAKKYERKG >ONI13634 pep chromosome:Prunus_persica_NCBIv2:G4:15121964:15125566:1 gene:PRUPE_4G234400 transcript:ONI13634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFSLCPSSSYPPPPSFKLYHKSRFTVTTLSSLCGRKRRSGSSCRLVVLSTHSNPKILKSNRRSRYGQPLSPYDQDDDEEVSRASDVSDDDWLLNDDFAEISEFDVNRKRSKSHKGFANGHSDGRNSKHTLEKSNAANYYSSSNQTNDVSSLDVPRKGKLSTRKAMEDRFPRLSEEVELDEKWLPLIDYLSSFGLKESHFIQMYERHMPSLQINVCSAKERLEYLLSVGVKQRDVRRMLLRQPQILEYTVENNLKSHVAFLMSLGIPSSRVGQIIAAAPSLFSYSVENSLKPTVRYLVEEVGIKEKDLGKVVQLSPQILVQRIDISWNTRLNFLSKEIGAPRDSIVKMVKKHPQFLHYSIDDGLLPRINFLRSIGMCNADILKVLTSLTQVLSLSLEENLKPKYKYLVNELHNEVHSLTKYPMYLSLSLDQRIRPRHRFLLSLKKAPKGPFPLSSLVPTDECFCQQWAGTSLDKYLDFRQRLLLKEFAKKYERKG >ONI12185 pep chromosome:Prunus_persica_NCBIv2:G4:8518373:8525886:-1 gene:PRUPE_4G149200 transcript:ONI12185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAEISGEAASVKNSNLDSMSKPMSESKSEFDVQKLVDMFTKLNPLAKEFFPSSYSHHQHSNFDNSLAVNNNKLSANDNLANNRRRRNSFNQGKRRLSGRAFRAERENSIRRTVYVSDIDQQVTEERLAAIFSSCGQVVDCRICGDPHSVLRFAFVEFADEHGARAALNLGGTMLGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCSRTVYCTNIDKKVSQAEVKNFFETACGEVTRLRLLGDHVHSTRIAFVEFAMGKSFKDTCAATCYSSIALTNTTGKDLWMEPGGLYDRRNFVV >ONI12186 pep chromosome:Prunus_persica_NCBIv2:G4:8519201:8525349:-1 gene:PRUPE_4G149200 transcript:ONI12186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAEISGEAASVKNSNLDSMSKPMSESKSEFDVQKLVDMFTKLNPLAKEFFPSSYSHHQHSNFDNSLAVNNNKLSANDNLANNRRRRNSFNQGKRRLSGRAFRAERENSIRRTVYVSDIDQQVTEERLAAIFSSCGQVVDCRICGDPHSVLRFAFVEFADEHGARAALNLGGTMLGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCSRTVYCTNIDKKVSQAEVKNFFETACGEVTRLRLLGDHVHSTRIAFVEFAMAESAILALNCSGMVLGTQPIRVSPSKTPVRPRVTRPLH >ONI12048 pep chromosome:Prunus_persica_NCBIv2:G4:7954738:7955635:1 gene:PRUPE_4G141100 transcript:ONI12048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFQAFIGILLVFLSVWPGTLTGGGGSQLSSTGFELASGASTSLNVQAPWSGRFWGRTQCSTDSSGKFSCTTGDCGSGKTACNGAGAIPPALLVELTLATNGGQDFYDVSLVDGFNLPIMLAPQGGYGDCNSTSCASNVNTVCPPKLSVKGSDGSVIGCKSACMALNQPQYCCTGAYGSPDTCPPTNYSKIFKNQCPQAYSYAYDDKSSTFTCFGGPNYAITFCP >ONI14522 pep chromosome:Prunus_persica_NCBIv2:G4:24745696:24747836:-1 gene:PRUPE_4G285100 transcript:ONI14522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDVKKFTRTLRVIQAELEDAEQRQLTDPAVKIWLDELKDVSYQMVDVLDEWNTNILKQQVEKQEREGDPNAHVTKKVRFSSFPRFFCVGKVSDIAPKIKDLNDKLTEIYEERKKYQFLSKELGIQQPQQPQRPQTASFVDISGIFGRENEKEVLIKHLLSDSTAEGKGLPIIPIVGMGGMGKTTLTQLAYNDDRVKTHFELRKWVCVSDPFDEIKIAKAIIGKNAPNSNELDEVLECMSTSIQGKRFLLVLDDVWTDDPKKWEQLKVPLIQNGAKGNRILVTTRKHEVADMMRATRNKINLGELNDECCLSIFNHMAFWDRDVHEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTKIGEWKEVLHSKIWDLEKVEQEVFQPLFLSYNDLAPTIKCCLLYCATFLKDHKFERDDLIKLWMAQDYVISKGNKEKGTTGDAVFDNLVARSFFQDFEKDPDTGTIIGCKMHDIVHDFVQFLTKNECLIIDHGEEITSESKVFGDKVRHLTLRYIPEGPLPLFISSYNCKNLRTLATFDSRITTIDPNLILQLKCLRTLNLNRNSIEELPKQIGELIHLRHIDLSSNHILKKLPDTICGLYNLSTLRLVLCSNLTKLPENMGNLINLKHLYVEYCGLLESFPKVIGRLTSLQTLDVCSCNGDKDEAFQIGDLRNLNLEGSLEIQLGGDATDKSEVEKAQLADEQ >ONI09818 pep chromosome:Prunus_persica_NCBIv2:G4:537510:540921:-1 gene:PRUPE_4G011100 transcript:ONI09818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYINTSVYLLHCELRSVIFFVRNFQQLNDAVSSMALKSFIEVHPSSHFPIQNLPYGAFKPDRGSVARPGVAIGDYVLDLSVIAAAGLFNGPILNNSDCFHEPNLNKLLALGRAAWKEARATLQKLLSSTEPSLRDNESLRQKSLIPLSEVEMVLPIAIGDYTDFFSSLHHAKNCGTIFRGPQNPIPPNWFHLPIAYHGRASSIVISGTDITRPRGQGPPAGNSPPPFGPSVKLDFELEMAAIVGPGNELGKPVDVNEAADHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLEALEPFSCEAPKQDPHPLPYLAEKISKNYDISLEVQIKPAGQDDSHVVTKSNFNNLYWTLTQQLAHHTINGCNLRPGDLLGTGTISGPEPESYGCLLELTWNGQKQLSLNGTPRKFLEDGDEVIISGVSKGNGYNIGFGRCSGKIVPSPP >ONI13699 pep chromosome:Prunus_persica_NCBIv2:G4:15729168:15731290:-1 gene:PRUPE_4G239400 transcript:ONI13699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLAVARSLYKASPFLSNQKLLSPNSSVHTNFQFLSPISSLPKQQAILNPMAACIDTSRTGSPQNKPLSRDPNRSKTDEDPYQFMRFCRGNFSDQVSCLPICQNRTKTTHTRRILDDLEQDEDKGDGLWLKMQDEARADINQEPMLSNFIYASILAHASLESALANHLSVKLSNSSLSLGTLVDIFMGVLTEDQEVIKAVKDDLRAVKERDPACVSYTHCFLNFKGFLACQSHRIAHKLWSQGRTVLALLIQNRVSEVFAVDIHPGAKIGHGILLDHATGVVVGETAVIGNNVSILHNVTLGGTGKASGDRHPKIGDGVLIGAGTCILGNIKIGEGAKIGACSVVLKEVPPRTTAVGNPARLLGGKENPIKHDKIPSYTMDHTYNMSEWSDYVI >ONI13193 pep chromosome:Prunus_persica_NCBIv2:G4:13036243:13037840:1 gene:PRUPE_4G209300 transcript:ONI13193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTLDKEHKAKNPCEIAKAKHHMSQRKAHSPPKTEPQTTTFHPQATPSTPTEPNMSKSSTIANRDAAKMAAYAPVEIGTRGTVGSLIMKEIEHFSHLELSSRCSSHKPQPQFKGMVSSGSHLRPACESVVKTQKKKKRGTRILPSICSKVEVSDSNRPIGISVYSYRNLRSDVKKLPAFSE >ONI10457 pep chromosome:Prunus_persica_NCBIv2:G4:2320304:2322686:1 gene:PRUPE_4G048500 transcript:ONI10457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCPSSSRADRKTIEKNRRNQMKALYSKLNSLVPHQRSREVTSLPDQLDDAVNYIKKQQTNLEKMREKKEYLMGTEKSGNNNAGFVGGEVIGGLKSPQIEIEIQEMGSALQVVLITGLDYQFMFNESIRVLHEEGADIVNASFSIVEDTIFHTIHSKIGESAPGPVAARISERLKKLVNGRAGSF >ONI10458 pep chromosome:Prunus_persica_NCBIv2:G4:2320304:2322686:1 gene:PRUPE_4G048500 transcript:ONI10458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCPSSSRADRKTIEKNRRNQMKALYSKLNSLVPHQRSREVTSLPDQLDDAVNYIKKQQTNLEKMREKKEYLMGTEKSGNNNAGFVGGEVIGGLKSPQIEIEIQEMGSALQVVLITGLDYQFMFNESIRVLHEEGADIVNASFSIVEDTIFHTIHSKIGESAPGPVAARISERLKKLVNGRAGSF >ONI11252 pep chromosome:Prunus_persica_NCBIv2:G4:4817461:4824905:1 gene:PRUPE_4G095800 transcript:ONI11252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVPVNLEVVNSCCAAWKKKYSKIEKGRIALREAVDLLTEACEKEQTRADIEKKEKEKESSIRMSLENEISGLKSKIYSLKQGGNADAQDRNEVNLLKAQVSDCEKEINRLKDLIEREKKRAESESKNAEVEKKKACEARKAAKAEKSKADEERKRANTEKEKADNYGLQLEVLKKEVHKASSNLASETLKLVEANKKLEAEKQKVVKERECANSAVAKAEEQNKFAEVNRKKSIEEKSRADCLSLELVESRKRIDELQKEINEIRCSRELHEAPGSQPDNNRKVMELPNFEEAYKRYETEKQKAIKEKKRAESEMVKAEKQKKRVEVNWKKAMGEKSRADHLFTQLDEAKKMIEELSSRKLIEASAVELGKDMGAESAKVKDLKKQLKFEKMKKKHAKEVVKLERSRNSILQQELGRLKFEFDQFSQRLGMLNTAFSHSAEGIDDPEKMYIESGFKRLKPNCPVLDASQRTAPFLPLSGGNCIDSISGIDSILESPVRGSNRKMLQSYPINSSTASFSDRQLVGSQDKGAFSLTASEKLVEENVQPTISNLSAEVTKINCYENVAVVAENSVRSPVRTDGVGRVNEQSRKRKRILHAVESIENLYFEGKKLHLRVEENLSVLHCLLNKQIEKPFEEGRYLLPGLQGDSYAKHGRDYEKGKESTEEKLIMQNYADGNEQKKANKFENEVCGCASVCRQVSKKANELVWIPQASGDGTGDFETMSSFYEVTDGNYLKLLDLDDAADEELYRMAMEMPLSPTLPEIEVLGVERSNVEINSNNLYFDDSENFNNSVGHKNGDTVDSFTIIGKTGNGNSIAMRTDCGVQDSGAEVMSNAPNSRIEEAMLPFGSELGYAGDDIHTCYVVFSNIEDSSSISKICSASRTCITQCSLATHTDWMVREILLALKTEENLFPKEKVCVFFSALLLNFSTAALSKFGSLKWTSNLCLDAFGRHMGSVMSDGDGRSIFAELGCLDESLSLIEDFLINGRVLVCKDAPSEARVECHSMVNILCDGFHISSRPASADELVAGSIVLASICAAFDHIGFISEMSYSILQISRSNHSLVLTILHAFAYIGGEKFFNFCNFNLVTVMRSIVTYLERVSISDSSGSCIPSASNSGTVFCTCVKCPFSEDAVSVDTATSFLLERLQIGALSGATYQDAMESGSSNSNSCILFNKYKAEQIANPDNCGLGVHGDLSCCLNKFAVPSIQSDSSTNFTLCDLSDLLSLVELVAINMSWEWTSAKIVPRLLKVLESCMTENVIAGIVVLLGQLGRLGVDALGYEDKGLEILRCQLSAFLCRDSAISVGLPTQIATVTALLGLVPSDFETIIQGNVEPAAIASQSDPAQSIRKWFSLLPKKQQDLSFGFLQTAGIFETTGRV >ONI13656 pep chromosome:Prunus_persica_NCBIv2:G4:15200039:15206329:-1 gene:PRUPE_4G235200 transcript:ONI13656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFDSSKHGTLSAFFSHYSSSFITYPGTDFLLKPVFIRGFSGSLHLVLLFVLLVSWVWKKFKVGDGEGPKQRFGSIQSWYYKLTLLCCLGVSGLSLVFCLLNYFYWHRNDWTEEKLVTLFDLAIRTLAWGALCVYLHTQFSNSSESKFPNLLRVWWGSYFSISCYSLVIDILLYKEHVSLPVQSFVFDVVCVISGLFFIFVGFFGKKEGRNTVLEEPLLNGNGNAVSNNSKGGTPVTPYSNAGFFSILTFSWIGPLIALGNKTTLDLEDVPELYKGDSVAGSFPNFRNKLEAEWGADGRVTTFHLAKALIFSAWKDVGLTGLYATFNTLASYVGPYLIDTFVQYLYGRRKFKNEGYALVSAFMIAKLVECLCQRHWFFKVQQVGVRIRAVLVTAIYNKGLTLSCQSKQGHTSGEIINFMTVDAERVGDFSWYMHEPLMVILQVGLALVILYINLGLAAIATLVATIIVMLANVPLGSLQEKFQEKLMESKDKRMKATSEVLRNMRILKFQAWEMKFLSKINDLRKTEAGWLRKFVYTSAMTSFVFWGAPTFVSVVTFVACMLLGIPLESGKILSALATFRILQEPIYGLPDLISMIAQTKVSLDRIASFLSLDDLPPDVIENLPRGSSDTAIEIVDGNFSWDLSSPSPTLKDLNFKVSQGMRVAVCGTVGSGKSSLLSCILGEVPKISGTLKMCGTKAYVSQSPWIQSGKIEENILFGQEMDRERYERVLEACSLKKDLEILSFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGLLGSKTVIFVTHQMEFLPAADLILVMKDGRITQAGKFNDILNSGTDFMELVGAHAEALSVLNSAEVEPVEKISVSKEDGEFASTSGVVQNVEDTDVQNSKTDDLPKGQLVQEEEREKGRVGLSVYWKYITTAYGGALVPFILLAQVLFQVLQIGSNYWMAWATPVSEDVKPAVQTSTLLTVYVALAVGSSFCILFRSMFLATAGYKTATLLFSKMHSCVFRAPMSFFDATPSGRILNRASTDQNVVDLNMPGQIGALANSSIHLLGIIAVISQVARQVFIIFIPVIAICIWLQQYYIPSARELARLVGVCKAPVIQHFAETISGSTTIRSFDQESRFRDTNMKLMDGYGRPKFHTAAAMEWLCFRLDMLSSITFGFCLVFLISIPEGVIDPGVAGLAVTYGLNLNTLQSWFTWNLCNVENRIISVERLLQYTTIPSEPPLVIESNQPDRSWPLRGKVDIHDLQVRYAPHMPLVLRGITCSFPGGMKTGIVGRTGSGKTTVIQTLFRIVDPASGQILIDGIDISSIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGDEVRRKEGKLDATVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFTDCTVITIAHRITSVLDSDMVLLLSHGLIEEYDSPATLLENKSSSFAQLVAEYTVRSNSSFE >ONI14155 pep chromosome:Prunus_persica_NCBIv2:G4:19732371:19740637:1 gene:PRUPE_4G265300 transcript:ONI14155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAFERPRPGGSNSVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVLFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGCDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGHNGIFRNDTNDTMEGSFHQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLKKFGTKVEGILRQSADVEEVERRVQEYEQGKTEFDPGEDAHVIGDCVKHVLRELPSSPVPASCCTALPEAYKIDRKEARINAMRSSILETFLEPNRRLLQRILKMMHTISSHSHENRMTPSAVAACMAPLLLRPLLAGECELDDEFDVNGDNSAQLLAAEVVDYPKHPSTASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSLQDSVYRPSSPPWN >ONI14510 pep chromosome:Prunus_persica_NCBIv2:G4:24618770:24619137:1 gene:PRUPE_4G284200 transcript:ONI14510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLLPVTNSRGCVYGGSGGMQILKQIVGALFIIGWNIVVTSIICLVLRCIVPLRMPEEQLLIGDDAVHGEEAYALWGDGEKYDVTRHELCSDDTSHAQKYPSSGATQVV >ONI10330 pep chromosome:Prunus_persica_NCBIv2:G4:1931132:1934502:-1 gene:PRUPE_4G041000 transcript:ONI10330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRNRNSLLFFLVLFFVHVIDAMNFRNNTHQAEYNQFLLANGVARTPPMGWNSWNHFQCNINESTVKTIVDALVSTGLAAVGYKYVNLDDCWAEKNRDTRGNLRAKSSTFPSGIKTLADYVHARGLKLGIYSDAGYMTCSMTMPGSLGHEEQDARTFAEWGVDYLKYDNCYNDGSKPQKRYARMSYALQKVGRPILYSLCEWGQENPAKWAGLYGNTWRTTGDINDTWASITSIADQNNIWGRYAGPGKWNDPDMLEVGNGGMSLEEYRSHFSIWAVMKAPLLIGCDVRSASKETLQILGNKEVINVNQDPMGVQARKLRSKDGLEVWAGPLSRKRVVVVLWNRGRSVAPISVTWRDIGLSPYKPVVVRDLWAHSFVSRNKRFRLTANVAPHGCKMYALSPY >ONI10331 pep chromosome:Prunus_persica_NCBIv2:G4:1931131:1934502:-1 gene:PRUPE_4G041000 transcript:ONI10331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRNRNSLLFFLVLFFVHVIDAMNFRNNTHQAEYNQFLLANGVARTPPMGWNSWNHFQCNINESTVKTIVDALVSTGLAAVGYKYVNLDDCWAEKNRDTRGNLRAKSSTFPSGIKTLADYVHARGLKLGIYSDAGYMTCSMTMPGSLGHEEQDARTFAEWGVDYLKYDNCYNDGSKPQKRYARMSYALQKVGRPILYSLCEWGQENPAKWAGLYGNTWRTTGDINDTWASITSIADQNNIWGRYAGPGKWNDPDMLEVGNGGMSLEEYRSHFSIWAVMKAPLLIGCDVRSASKETLQILGNKEVINVNQDPMGVQARKLRSKDGLEVWAGPLSRKRVVVVLWNRGSTHLFQGTSVFG >ONI11227 pep chromosome:Prunus_persica_NCBIv2:G4:4725478:4726233:-1 gene:PRUPE_4G093700 transcript:ONI11227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSGVEQRSSSLSLGKWICTAFILFVAIIEVLIFVVTGCFNGRPPPPPTKRCFLEVLYELFKKLSCSIIDDRSIHKEEFQLALFRTPYGENLFLDRVYASYQHLELID >ONI10407 pep chromosome:Prunus_persica_NCBIv2:G4:2169179:2170060:-1 gene:PRUPE_4G045400 transcript:ONI10407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKWASIAYLILLSWGVTSALRVITGKEKEMVDEPEKFVLGGQIGGGLGGQIGGGLGGGIIGGSISFGPGFGIGGSIEGGIGNLGGGSREGEGNGGCQGHGACSGQGGGLGGGIGGGQGGGTDGGQGGGIGGGLGGGIGGGLGGGIGGGGGGGNCGSQGGGGGSGNGGGGGPGFVIPGYGTPGYGIGGTNGGQIGSGLYCTPIHCPAATCKGVRLYFQHDDQSMDDTNVHNNHLKVDHQPMEGRNSHGENGNHVNEITHEKWKMPPDETSSNAEGEGKRDRSMDAMAPASD >ONI11549 pep chromosome:Prunus_persica_NCBIv2:G4:5985226:5986468:-1 gene:PRUPE_4G112800 transcript:ONI11549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEFLVLTLFNPFTGGTIRLPPVKEVPDIHEDMDFFLGSILYRVALSADPSLSPNGFEALIVYRTSDSPVIQVAHYKAGDVTWTRIDAMTILSDVIYYKGQFLVVTSSGGLLSVNVNSDLATTTWCIRSTRASPSHTITHYSFVESSREDLLLVEKSYSRSWDLAYKVWCAYGDDGGTQWVETESIGTDALFLDWNVSMSVSALDFSECRPNSIYFLDSVDGFPQLRVFNLENGNVENFCVLHDPSEKCLLLEPKRTGIWILPTMV >ONI10540 pep chromosome:Prunus_persica_NCBIv2:G4:2514439:2516358:-1 gene:PRUPE_4G052200 transcript:ONI10540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKLFSFLFFLASLLFSQQAQARESQFFSKVANVNNNKNYEKETEVPKTETPVKRQEQEPAFIPETQSGYGLYGHESGQLPPTTTNTGAPYTTTTTGAPYTTTNGAQFTTNNNNLPYTAESEKEQQHTNKYPETYSTQYRPNKNNFYYNGNFESNPSDTRFTQSSYTTTTTPTNYQNTRNNYNGEKQGLSDARLTQSSYTTPTNYQSNNYYNAEKQGMSDTRFLENGKYYYDAQSENNYNQNQYENSRVVDSRHWYNNRGNYGNNNVNQNEDQFQESEEEFVP >ONI10539 pep chromosome:Prunus_persica_NCBIv2:G4:2514439:2515690:-1 gene:PRUPE_4G052200 transcript:ONI10539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKLFSFLFFLASLLFSQQAQARESQFFSKVANVNNNKNYEKETEVPKTETPVKRQEQEPAFIPETQSGYGLYGHESGQLPPTTTNTGAPYTTTTTGAPYTTTNGAQFTTNNNNLPYTAESEKEQQHTNKYPETYSTQYRPNKNNFYYNGNFESNPSDTRLTQSSYTTPTNYQSNNYYNAEKQGMSDTRFLENGKYYYDAQSENNYNQNQYENSRVVDSRHWYNNRGNYGNNNVNQNEDQFQESEEEFVP >ONI13180 pep chromosome:Prunus_persica_NCBIv2:G4:12965408:12968159:1 gene:PRUPE_4G208200 transcript:ONI13180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFSDLPEPLLVTIISFLPFKEAARTSLFSKRWRHLWRSTQTIDFNARFFINVDASREVQRQVFLDFVRHWIANYQQPTISKFSLALSQPRNSQMVVENCITFSLARNVKHLVLDFSDPTWNEDDFEGLADPTSYELPLSVYGHEQVLESLTLFSCKFNPSGFKNFGLLQEVSLGWVEVGACTLNALLVNCGYLESLSLKHCWSMENFLRVCGRGLRLKTLVVYKCRFYHPCFVVEVPNLSCLRYTGTLPSFDISRNNRGLDEVELDFGLESVCSCSMADFLYKLFFQVFPLRALTVCTYILQVVSMGEDFIGMEPSFPLTHLTLKTAMHDYEQVGIRYFLNSCPHLETLEIQLGPGRIFHDDYEAPYNPLDPHELWIRHPVVFSCVTQTLREVEIKGFKGTPNEIYVLNYLVTNGRVMKKLTVITSREMSNRGNPTVYRNIAKEALMIKSASQNLLITVL >ONI11504 pep chromosome:Prunus_persica_NCBIv2:G4:5802054:5803285:1 gene:PRUPE_4G109800 transcript:ONI11504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLPSYNNWWEMEEGEYTHHPRTITKIIFYVHAFVGCIVRILVEIIVSTLALVSSIVRLVYVYTYLLGLLGDHILIA >ONI11674 pep chromosome:Prunus_persica_NCBIv2:G4:6480355:6487039:1 gene:PRUPE_4G119800 transcript:ONI11674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQTGEGLTDTDFVLAGGADGSLSIFEVGKVGQGLEYGMKCKADRVWPLLNESQLECSEVPGHIWRPAQATPRMPSNISRIKLFGKQSSGTEDESSRTQHMLVSTLGCERAGGSLVVINLVELLNFNPNRLVLRRNIYEIATFNCTIWTADCEPDGSRAVIGTNLGAALVDLETGRASWLLRSKSDVLAQQLVHSGNAVLCGLRNGAIVTVDVREKQEAFPARQVRHRMRPSPLDNTLGKSSKQSFKLSRNMNSSHSTKMPSSIASLVSLRFDDQYFLASSMDGTVKLYDQRIIQRGAVQSYEGHVNSHTRMQLGVDPSERFFMSGGEDCNLRIWSIKSGELLFEDKFSKTVPSTVCWRNAERFNGEQDERRSYEYLDAKTYGLGAWIGSREGLFYMHWQV >ONI11673 pep chromosome:Prunus_persica_NCBIv2:G4:6479542:6487039:1 gene:PRUPE_4G119800 transcript:ONI11673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGAELPGFYYDAEKNRYFPTKGPIPGSRRVTTAASSSNAQTPAQVSNSCRRTRVKTSKLLHDRELCGNLIASSKGRCNFYEEFQKAQVSHPVVWKYQGTNQLGDGALERIRMDVQTGEGLTDTDFVLAGGADGSLSIFEVGKVGQGLEYGMKCKADRVWPLLNESQLECSEVPGHIWRPAQATPRMPSNISRIKLFGKQSSGTEDESSRTQHMLVSTLGCERAGGSLVVINLVELLNFNPNRLVLRRNIYEIATFNCTIWTADCEPDGSRAVIGTNLGAALVDLETGRASWLLRSKSDVLAQQLVHSGNAVLCGLRNGAIVTVDVREKQEAFPARQVRHRMRPSPLDNTLGKSSKQSFKLSRNMNSSHSTKMPSSIASLVSLRFDDQYFLASSMDGTVKLYDQRIIQRGAVQSYEGHVNSHTRMQLGVDPSERFFMSGGEDCNLRIWSIKSGELLFEDKFSKTVPSTVCWRNAERFNGEQDERRSYEYLDAKTYGLGAWIGSREGLFYMHWQV >ONI11671 pep chromosome:Prunus_persica_NCBIv2:G4:6479301:6486999:1 gene:PRUPE_4G119800 transcript:ONI11671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGELPGFYYDAEKNRYFPTKGPIPGSRRVTTAASSSNAQTPAQVSNSCRRTRVKTSKLLHDRELCGNLIASSKGRCNFYEEFQKAQVSHPVVWKYQGTNQLGDGALERIRMDVQTGEGLTDTDFVLAGGADGSLSIFEVGKVGQGLEYGMKCKADRVWPLLNESQLECSEVPGHIWRPAQATPRMPSNISRIKLFGKQSSGTEDESSRTQHMLVSTLGCERAGGSLVVINLVELLNFNPNRLVLRRNIYEIATFNCTIWTADCEPDGSRAVIGTNLGAALVDLETGRASWLLRSKSDVLAQQLVHSGNAVLCGLRNGAIVTVDVREKQEAFPARQVRHRMRPSPLDNTLGKSSKQSFKLSRNMNSSHSTKMPSSIASLVSLRFDDQYFLASSMDGTVKLYDQRIIQRGAVQSYEGHVNSHTRMQLGVDPSERFFMSGGEDCNLRIWSIKSGELLFEDKFSKTVPSTVCWRNAERFNGEQDERRSYEYLDAKTYGLGAWIGSREGLFYMHWQV >ONI11672 pep chromosome:Prunus_persica_NCBIv2:G4:6479274:6487039:1 gene:PRUPE_4G119800 transcript:ONI11672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGELPGFYYDAEKNRYFPTKGPIPGSRRVTTAASSSNAQTPAQVSNSCRRTRVKTSKLLHDRELCGNLIASSKGRCNFYEEFQKAQVSHPVVWKYQGTNQLGDGALERIRMDVQTGEGLTDTDFVLAGGADGSLSIFEVGKVGQGLEYGMKCKADRVWPLLNESQLECSEVPGHIWRPAQATPRMPSNISRIKLFGKQSSGTEDESSRTQHMLVSTLGCERAGGSLVVINLVELLNFNPNRLVLRRNIYEIATFNCTIWTADCEPDGSRAVIGTNLGAALVDLETGRASWLLRSKSDVLAQQLVHSGNAVLCGLRNGAIVTVDVREKQEAFPARQVRHRMRPSPLDNTLGKSSKQSFKLSRNMNSSHSTKMPSSIASLVSLRFDDQYFLASSMDGTVKLYDQRIIQRGAVQSYEGHVNSHTRMQLGVDPSERFFMSGGEDCNLRIWSIKSGELLFEDKFSKTVPSTVCWRNAERFNGEQDERRSYEYLDAKTYGLGAWIGSREGLFYMHWQV >ONI13133 pep chromosome:Prunus_persica_NCBIv2:G4:12756672:12757892:1 gene:PRUPE_4G204800 transcript:ONI13133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKLPGDKDVVKRGAWCPKEDKVLADYIKTHGEGKWSHVAKKTGLNRSGKSCRLRWLNYLRPDVKRGNFSPEEEDLIIRMHKLLGNRWSLIAGRLPGRTDNEIKNFWNINLSKRVPEARPRRIKINAKNDGADEGGNCTAKDDQVQVIQSITLNCTKAAAMIPSHHHLHDGDQRVNIQEQRVVDDISSSSFLRDLDNFINDVLHESEINGDQYYVVDDQEREY >ONI13134 pep chromosome:Prunus_persica_NCBIv2:G4:12756819:12757720:1 gene:PRUPE_4G204800 transcript:ONI13134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKENGAMLLRKLVGLNRSGKSCRLRWLNYLRPDVKRGNFSPEEEDLIIRMHKLLGNRWSLIAGRLPGRTDNEIKNFWNINLSKRVPEARPRRIKINAKNDGADEGGNCTAKDDQVQVIQSITLNCTKAAAMIPSHHHLHDGDQRVNIQEQRVVDDISSSSFLRDLDNFINDVLHESEINGDQYYVVDDQEREY >ONI10826 pep chromosome:Prunus_persica_NCBIv2:G4:3437612:3438942:1 gene:PRUPE_4G070200 transcript:ONI10826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWKPHNTPRIPTPLSTLSPFLITYMKLVTTRDSLHTCTDHSHREREIVRTTSFEMASSDKTPTPNPAFQGDHPTPPGKPMTMAQHVLDKGAEMMQSLTPVNQMSQHVCTFALYSHDMTRQIETHHYVTRLNQDFLQCAVYDSDDSHARLIGVEYVVSDRIFETLPPDEQKLWHSHAYEIKAGLWVSPRIPDMIGQPELKNLTKTYGKFWCTWQVDRGDRLPLGAPALMMSPQAVNLGMVKPELVQKRDDKYNISTDALRVSRVEIAEPEWSNPQADYWKQHGKGFAVDVKHTEMKKIAPFP >ONI09701 pep chromosome:Prunus_persica_NCBIv2:G4:271278:272705:-1 gene:PRUPE_4G004200 transcript:ONI09701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQKKRGYRPKWATTKFKCEINLIFLFFYTHSLWMDHGIIDVWLVPCNYEMKLKGCSSPPPPHQIIYISLTRTTTREQEEEEEEEKRITQDLHHPQRDSTTGFYRLQTMMKKGLPGNAKISKDAKETVQYFTTGEASGKCRREKRKTINGDDLLWAMTRLGFKEYVEPLKIYLHKFREMEGEKTAGTGMARQLLYADHHPHEYHDQRQREQLQHLHAPGMNMYGGMMMGHQRHHQGQGHHPYLYGSGSGPSSL >ONI10340 pep chromosome:Prunus_persica_NCBIv2:G4:1972647:1974447:1 gene:PRUPE_4G041800 transcript:ONI10340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTTTTLFPTTSLFPFSQKSPQIPSFKNTTKHSSARRVRLVSCNATGNDDQQPPPGNLDRRRNVLIGLGGLYGGLSSLPTDPFAFANPISPPDLTQCGPADLPSGALPTNCCPPTSPKIIDFKFPPPPSRLRVRPAAQTVDQSYIDKYSKAVALMKALPDNDPRNFTQQANVHCAYCDGAYDQVGFPDLELQLRAVAHQPPTLVDLDFNGTDEKVNREARVNTNLKIMYRQMVSNAKKPLLFFGWPYRAGTEADPGAGSVEAVPHGPVHLWSGDNTQPNFEDMGNFYSAARDPIFFAHHSNVDRMWSIWKNLGSKNKDLSDKDWLDSGFLFYNENAELVRVKVRDCLDSRKLGYVYEDVEIPWLKSRPTPRRTKQQRNAKAVGVAKAAGTTSLGKLVKSSEFPIQLESKISTVVSRPRQKKRNKKEKEDEEEILVIEGIEYEKNAVVKFDVYVNDVGDDDDVLSGPDKSEFAGSFVSVPHTHKEKRNKSKGCLRLGLTDLLEDLEAEDDESVVVTLVPKFGAQTVTIGSIRIEFVA >ONI13607 pep chromosome:Prunus_persica_NCBIv2:G4:14992812:14995782:-1 gene:PRUPE_4G233100 transcript:ONI13607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLCLLFLLFTTCQFNSTCSSSSFSSSSSSFSSRHLCHPDDSYALLQFKKAFSIRTLSLYSNRTISWQKGKDCCAWSGVTCEKRTGHVISLNLHFGGLQGNIHSNNSLFFLRHLRRLDLSRNDFQGSPISSQFGGFVSMTHLDLSNSNFSGPIPTEISHLSNLVSLNLSQAAVTLDTLSLNRIVQNLTNLRELDMAAVDMSSVVPDSFNNLSSSLTTLILFHCHLQGKFPESIFHPPNLRQVDLGSNYNLTGYFPKSNWSSPLESLDLSHTRISVDWHHLTRNFRSLRYLFLKNCTFVGSYLASLGNLTQIMLLDLSYNGFGGHIPWSLLNLERLKDLDLSGNNFVGQFPEVVRNSTGISYLYEFSEGPLVGPIPRHLTKLTLYNNSLNGTMPSWLGSLPSLEELNLRRNQLSGNIFEFQSRSLSRLDLSYNKLHGLVPRSIYELVNLGWLDLSSNNLSGTVEFEKFSKLQSLVRLNLSSNHLSLSFNNLSNNTWLELSTLDLSFCNISEFPYFLRASPNLEALYLSHNRIQGNLPKWLLDVGKESLTYLDLSHNFLTGTVELRWKNLQYLDLRNNSIQGKLPIPPPSTFYFTISNNQLIGEIPPIICSLSFLQILDLSYNRLSGKIPQCIGNFSQRLSVLDLRKNKFDGIIPNTFSTRNVLRNLNLNGNQLEGPLPLSLLTCRELEVLDLQVLMLRSNKFHGGIGNPKTRFPFQNLRIMDLSNNQFSGLLPTKYFEHLTTMKNLQKQELQYMGEQYCEDTVVVAIKGIEIEMVKIQNFFTIIDFSNNGFSGEIPNVIGKLKSLKGLNLSHNELTGTIPDSFGDLSNLEWLDLSSNALVGEIPEQLTNLTSLGKFNVSKNRLVGAIPTGKQFDTFENNSYSGNIGLCGFPLSKTCVPHPSPPSSLQQEDDLDNVNGFDWKIVLMGYASGLVIGISVGYLALSNGRSNGLLKVIRKTRWGKIMKITHRAA >ONI13608 pep chromosome:Prunus_persica_NCBIv2:G4:14992715:14996093:-1 gene:PRUPE_4G233100 transcript:ONI13608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLCLLFLLFTTCQFNSTCSSSSFSSSSSSFSSRHLCHPDDSYALLQFKKAFSIRTLSLYSNRTISWQKGKDCCAWSGVTCEKRTGHVISLNLHFGGLQGNIHSNNSLFFLRHLRRLDLSRNDFQGSPISSQFGGFVSMTHLDLSNSNFSGPIPTEISHLSNLVSLNLSQAAVTLDTLSLNRIVQNLTNLRELDMAAVDMSSVVPDSFNNLSSSLTTLILFHCHLQGKFPESIFHPPNLRQVDLGSNYNLTGYFPKSNWSSPLESLDLSHTRISVDWHHLTRNFRSLRYLFLKNCTFVGSYLASLGNLTQIMLLDLSYNGFGGHIPWSLLNLERLKDLDLSGNNFVGQFPEVVRNSTGISYLYEFSEGPLVGPIPRHLTKLTLYNNSLNGTMPSWLGSLPSLEELNLRRNQLSGNIFEFQSRSLSRLDLSYNKLHGLVPRSIYELVNLGWLDLSSNNLSGTVEFEKFSKLQSLVRLNLSSNHLSLSFNNLSNNTWLELSTLDLSFCNISEFPYFLRASPNLEALYLSHNRIQGNLPKWLLDVGKESLTYLDLSHNFLTGTVELRWKNLQYLDLRNNSIQGKLPIPPPSTFYFTISNNQLIGEIPPIICSLSFLQILDLSYNRLSGKIPQCIGNFSQRLSVLDLRKNKFDGIIPNTFSTRNVLRNLNLNGNQLEGPLPLSLLTCRELEVLDVAKKQNS >ONI09854 pep chromosome:Prunus_persica_NCBIv2:G4:648896:650585:-1 gene:PRUPE_4G013900 transcript:ONI09854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTDGARGGRRTFKQRLRLNGFGCCGAAWVFGRTAAISVRDGDEDDQEPQNHHLPLPPQQRVVSVVGQAQPVNNWDPDCVGPISTGSGMNLAAALAAERQFRAPQESDGGGSVVGPAGDGSAVTTAPGTPTRVSLMRLLEETEVDGCDAFGGATTESDKAEGGLGNDTVCCVCMSRKKGAAFIPCGHTFCRVCSREVWLNRGSCPLCNRSILEILDIF >ONI09853 pep chromosome:Prunus_persica_NCBIv2:G4:648856:650625:-1 gene:PRUPE_4G013900 transcript:ONI09853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTDGARGGRRTFKQRLRLNGFGCCGAAWVFGRTAAISVRDGDEDDQEPQNHHLPLPPQQRVVSVVGQAQPVNNWDPDCVGPISTGSGMNLAAALAAERQFRAPQESDGGGSVVGPAGDGSAVTTAPGTPTRVSLMRLLEETEVDGCDAFGGATTESDKAEGGLGNDTVCCVCMSRKKGAAFIPCGHTFCRVCSREVWLNRGSCPLCNRSILEILDIF >ONI11655 pep chromosome:Prunus_persica_NCBIv2:G4:6414675:6417550:1 gene:PRUPE_4G118600 transcript:ONI11655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMGVAGEEVQPRSYWRWSKQDFLPEESFQSWSTYRTALSQTGYRFKNRLISRSDDNNEIGELPKRSENDMKRCLTWWDLIWFGFGSVIGAGIFVLTGQETHDHAGPAIVLSYVASGVSAMLSVFCYTEFSVEVPVAGGAFAYLRIELGDFIAFITAGNILLEGFVGSAAVARSWTSYFTSLLNRPSNSLRIHTNLTEGFNLLDPIAVAVLTIAATIAMISTRKTSYLNWIASIVNNVVILFVIIAAFIHANPSNMKPFFPFGAKGVFQAAAIVYFAYGGFDNIATMAEETKNPSRDIPIGLIGSMSIITVIYCLMAVSLPMMQKYTDIDPNAAYSVAFQSVGMTWAKYLVALGALKGMTTVLLVGTLGQARYITHIARAHMIPPWFALVHPKTGTPINATLLIVIPSGCLALFSSLNVLAGLLSVSTLFIFMMMAVALLVRRDFGLLGTKSQWLGWLCRDSSSLVLWDFGNGSIFTPTEVTKSLGGSTGSLVAILVNCNKHFSYGLSGS >ONI12281 pep chromosome:Prunus_persica_NCBIv2:G4:8923635:8926444:1 gene:PRUPE_4G155800 transcript:ONI12281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTPKNILITGAAGFIASHVANRLIRSYPDYNIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKPLPIHGDGSNVRSYLYCEDVAEAFELILHKGEVGHVYNIGTKKERRVIDVAKDICRLFSVDPETNIKFVENRPFNDQRYFLDDQKLKILGWSERTIWQEGLKKTIEWYTKNPNWWGDVSGALLPHPRMLMMPGGIERHLEGSEEEKSESFVPSNTRMLVPPSKSCSSPRKPPFKFLIYGKTGWIGGVLGKLCEKQGIPFEYGKGRLQDRSSLLADIQNVRPTHVFNAAGVTGRPNVDWCESHKAETIRTNVAGTLTLADVCREHGLLMMNFATGCIFEYDAKHPEGSGVGFTEEDKPNFFGSFYSKTKAMVEELLKEFDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSLTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKQYIDPKFTWANFTIEEQAKVIVAARSNNEMDASKLKKEFPELLPVKESLIKYVFEPNKTNSTQ >ONI12282 pep chromosome:Prunus_persica_NCBIv2:G4:8922957:8926748:1 gene:PRUPE_4G155800 transcript:ONI12282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTPKNILITGAAGFIASHVANRLIRSYPDYNIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKPLPIHGDGSNVRSYLYCEDVAEAFELILHKGEVGHVYNIGTKKERRVIDVAKDICRLFSVDPETNIKFVENRPFNDQRYFLDDQKLKILGWSERTIWQEGLKKTIEWYTKNPNWWGDVSGALLPHPRMLMMPGGIERHLEGSEEEKSESFVPSNTRMLVPPSKSCSSPRKPPFKFLIYGKTGWIGGVLGKLCEKQGIPFEYGKGRLQDRSSLLADIQNVRPTHVFNAAGVTGRPNVDWCESHKAETIRTNVAGTLTLADVCREHGLLMMNFATGCIFEYDAKHPEGSGVGFTEEDKPNFFGSFYSKTKAMVEELLKEFDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSLTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKQYIDPKFTWANFTIEEQAKVIVAARSNNEMDASKLKKEFPELLPVKESLIKYVFEPNKTNSTQ >ONI12283 pep chromosome:Prunus_persica_NCBIv2:G4:8923015:8926444:1 gene:PRUPE_4G155800 transcript:ONI12283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTPKNILITGAAGFIASHVANRLIRSYPDYNIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKPLPIHGDGSNVRSYLYCEDVAEAFELILHKGEVGHVYNIGTKKERRVIDVAKDICRLFSVDPETNIKFVENRPFNDQRYFLDDQKLKILGWSERTIWQEGLKKTIEWYTKNPNWWGDVSGALLPHPRMLMMPGGIERHLEGSEEEKSESFVPSNTRMLVPPSKSCSSPRKPPFKFLIYGKTGWIGGVLGKLCEKQGIPFEYGKGRLQDRSSLLADIQNVRPTHVFNAAGVTGRPNVDWCESHKAETIRTNVAGTLTLADVCREHGLLMMNFATGCIFEYDAKHPEGSGVGFTEEDKPNFFGSFYSKTKAMVEELLKEFDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSLTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKQYIDPKFTWANFTIEEQAKVIVAARSNNEMDASKLKKEFPELLPVKESLIKYVFEPNKTNSTQ >ONI14267 pep chromosome:Prunus_persica_NCBIv2:G4:22349833:22356032:-1 gene:PRUPE_4G272100 transcript:ONI14267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQWMRKSGTIVNHFKSLLLVTNNLSSWRSYSFVKRSQFAASFAHNLPVADRDFINSSTHFCPRRFESVKSEKIANNVDDNQALELPEGKVKFTPKLRFISETADERECCYRVLDENGNRISSSNYVEVSKEVAIKMYTDMVTLQTMDTIFYEAQRQGRISFYVTAAGEEAINIASAAALKIDDIVFPQYREAGVLLWRGFTIQEFANQCFSNKADYGKGRQMPIHYGSNKHNYVTVASTVATQLPQAVGAAYSLKMEKQDACAIAYVGDGGTSEGDFHAALNFAAVTEAPVIFFCRNNGWAISTPTSDQFRSDGVVVKGRAYGVRSIRVDGNDALAVYSAVHAARDMAIREQRPILIEALTYRVGHHSTSDDSTKYRPVKEIEWWKMEQDPVTRFRNWMENNSWWSDKAESEARNSARKQILHAIQEAEKVDKPPVADIFTDVYDSPPSHLCEQEKLLREAIKRHPQDYPSDVPL >ONI09876 pep chromosome:Prunus_persica_NCBIv2:G4:728110:733083:-1 gene:PRUPE_4G015600 transcript:ONI09876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNAIQVKPVSEEEEDGPSAQPDPNTSFPSLLHYRSGSGSTTPRSRQSSPGNSPRLGPTRFASSDTCDSQYTPLFLGFEKELKMQRRAKRKVGRAWYSRKRVKFVVGVIGLLVLFFLMNWVMLLRLQDHGLHSESGFSGNSSVASVSVTVREKWRKLGKEKKAQKGNYGRMLDLAAHALAEGLNKPEPKDLWLEPFVPASSWKPCADQRSWEPNEGKNGYILVTANGGMNQQRVAVCNAVAVARLLNSTLVVPKFLYSSIWRDVSQFGDIYQEEHFINYLSPDIRIVKELPKELQSLDLEAIGSVVTDADIPKEAKPSFYLKNILPILLKNGVVHFLGFGHRLAFDPIPFQLQRLRCRCNFYALQFVPKIQEVGALLLQRLRQNEGHPGPLDRYLVGSYAKRIKKDKSHSKKASRYLALHLRFEIDMVAHSLCEFGGGEEERKELEAYREIHFPALTVLKKTTMLPTPAELREEGLCPLTPEEAVLMLAALGFNRKTRIFVAGSQIYGGRSRMNALTSLYPKLVTKENLLTQAELEPFMNFSSQLAALDFIGCTAADAFSMTDSGSQLSSLVSGYRIYYGGGRMPTIRPNKRRLASIFMKNSTIEWRVFEQRIRKAVRQTKHVQPRPKARSMYRYPRCDECMCNMTITT >ONI09877 pep chromosome:Prunus_persica_NCBIv2:G4:728814:732816:-1 gene:PRUPE_4G015600 transcript:ONI09877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNAIQVKPVSEEEEDGPSAQPDPNTSFPSLLHYRSGSGSTTPRSRQSSPGNSPRLGPTRFASSDTCDSQYTPLFLGFEKELKMQRRAKRKVGRAWYSRKRVKFVVGVIGLLVLFFLMNWVMLLRLQDHGLHSESGFSGNSSVASVSVTVREKWRKLGKEKKAQKGNYGRMLDLAAHALAEGLNKPEPKDLWLEPFVPASSWKPCADQRSWEPNEGKNGYILVTANGGMNQQRVAVCNAVAVARLLNSTLVVPKFLYSSIWRDVSQFGDIYQEEHFINYLSPDIRIVKELPKELQSLDLEAIGSVVTDADIPKEAKPSFYLKNILPILLKNGVVHFLGFGHRLAFDPIPFQLQRLRCRCNFYALQFVPKIQEVGALLLQRLRQNEGHPGPLDRYLVGSYAKRIKKDKSHSKKASRYLALHLRFEIDMVAHSLCEFGGGEEERKELEAYREIHFPALTVLKKTTMLPTPAELREEGLCPLTPEEAVLMLAALGFNRKTRIFVAGSQIYGGRSRMNALTSLYPKLVTKENLLTQAELEPFMNFSSQFLL >ONI10567 pep chromosome:Prunus_persica_NCBIv2:G4:2613912:2615119:1 gene:PRUPE_4G054100 transcript:ONI10567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKNFQEEYLDMVLVPSGLLIMLIYHLFLLYKYLKHPLSTAMGYENKDKKTWVGKILQGQDSATAVTVISTNTTATIYLATISLTLCSLIGAWMAKSTNNFFPREIIYGNTSPSIISIKYICLLTCFLLAFSCFVQSARHLVHSNYLLSTPGATSKADVRKAKRAVEKGSEFWSLGLRALYFALNFLLWFFGPVPMFVSSVTTVVILSCHDFKRSDNNQKWPASAVAVNESALLIS >ONI14384 pep chromosome:Prunus_persica_NCBIv2:G4:23373076:23377548:1 gene:PRUPE_4G278300 transcript:ONI14384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVLFFPFLFGLFPDDVQVQCVLTDFLTSEKMEEAAKGKGTVTSLSSLFPVEDAQKAAKRLQDSIAERQPMLDQLGGFVADNASLINLVRRLPDHLHHDIMVPFGKAAFFPGRLVHTNEFLVLLGEGYYAERTSRQTLEILKRRGMALDSQVDSLNAMLDDLKLEASFLDATASEAAEGLVEIREDYAEETSSEKESEPGPRKQASSSSSGTDFTKVADEDEEFARIASRMDELEKEELEAENEAVSLEQTKDEFAAESYDESDEDEQVEADLHQYSDHKSLHQNVKFSEKAMSRKPPELTEDGIAANKESFTDQLSCLGLTVQPIAKVQDRSSHGNILASDVKSSSGKALVAPQVHNAQAVDLPRSQLHTHKWTLSTCDKSFL >ONI14385 pep chromosome:Prunus_persica_NCBIv2:G4:23372788:23378415:1 gene:PRUPE_4G278300 transcript:ONI14385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSQVDSLNAMLDDLKLEASFLDATASEAAEGLVEIREDYAEETSSEKESEPGPRKQASSSSSGTDFTKVADEDEEFARIASRMDELEKEELEAENEAVSLEQTKDEFAAESYDESDEDEQVEADLHQYSDHKSLHQNVKFSEKAMSRKPPELTEDGIAANKESFTDQLSCLGLTVQPIAKVQDRSSHGNILASDVKSSSGKALVAPQVHNAQAVDLPRSQEVPLQSSKPEFDGQKAFTGSIIERTHNLRTTSREQTTTTSQSSGSQPPKPVSRFKMQRK >ONI14382 pep chromosome:Prunus_persica_NCBIv2:G4:23372788:23378416:1 gene:PRUPE_4G278300 transcript:ONI14382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVLFFPFLFGLFPDDVQVQCVLTDFLTSEKMEEAAKGKGTVTSLSSLFPVEDAQKAAKRLQDSIAERQPMLDQLGGFVADNASLINLVRRLPDHLHHDIMVPFGKAAFFPGRLVHTNEFLVLLGEGYYAERTSRQTLEILKRRGMALDSQVDSLNAMLDDLKLEASFLDATASEAAEGLVEIREDYAEETSSEKESEPGPRKQASSSSSGTDFTKVADEDEEFARIASRMDELEKEELEAENEAVSLEQTKDEFAAESYDESDEDEQVEADLHQYSDHKSLHQNVKFSEKAMSRKPPELTEDGIAANKESFTDQLSCLGLTVQPIAKVQDRSSHGNILASDVKSSSGKALVAPQVHNAQAVDLPRSQEVPLQSSKPEFDGQKAFTGSIIERTHNLRTTSREQTTTTSQSSGSQPPKPVSRFKMQRK >ONI14383 pep chromosome:Prunus_persica_NCBIv2:G4:23372788:23378415:1 gene:PRUPE_4G278300 transcript:ONI14383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVLFFPFLFGLFPDDVQVQCVLTDFLTSEKMEEAAKGKGTVTSLSSLFPVEDAQKAAKRLQDSIAERQPMLDQLGGFVADNASLINLVRRLPDHLHHDIMVPFGKAAFFPGRLVHTNEFLVLLGEGYYAERTSRQTLEILKRRGMALDSQVDSLNAMLDDLKLEASFLDATASEAAEGLVEIREDYAEETSSEKESEPGPRKQASSSSSGTDFTKVADEDEEFARIASRMDELEKEELEAENEAVSLEQTKDEFAAESYDESDEDEQVEADLHQYSDHKSLHQNVKFSECLGLTVQPIAKVQDRSSHGNILASDVKSSSGKALVAPQVHNAQAVDLPRSQEVPLQSSKPEFDGQKAFTGSIIERTHNLRTTSREQTTTTSQSSGSQPPKPVSRFKMQRK >ONI11239 pep chromosome:Prunus_persica_NCBIv2:G4:4778933:4780438:-1 gene:PRUPE_4G094900 transcript:ONI11239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLLLLAFLAITSSIALASDPSSLQDFCVADPKTSVLVNGVVCKDSKLVDVNDFFFSGLHLAGNTSNAVGSSVTSVNVAQIAGLNTLSISIARIDYAPWGINPPHTHPRASEILTVLEGSLKVGFVTSNPENRHITKVLQKGDVFVFPVGLVHYQQNVGYGNAVAIAALSSQNPGVITIANAVFGSKPDISADIVAKAFQVDKNAVYNFQSKF >ONI10581 pep chromosome:Prunus_persica_NCBIv2:G4:2649658:2650036:1 gene:PRUPE_4G055000 transcript:ONI10581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPNTPQNVPPSSSSSSDKVPADMKNGRANNTNKSFSGQKIHYPNPPDASNPDPATLREQWKFAIRQYSKWYSHAWGTAILAGVSFFALGWVIKGSNPLPSFGRHDDSPPPPDKADEAPR >ONI13825 pep chromosome:Prunus_persica_NCBIv2:G4:16510904:16515269:1 gene:PRUPE_4G248000 transcript:ONI13825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLALTTTLSPTLHSLRSHASFPKALLLKPFSVSQTRRNSLKTAALGLGFNKTLISSPKLANLTRDFSPPRRGLSVKAAATTGGSSITPAAKEPWQGAAMKPLLASIATGVILWFVPTPAGVTKNAWQLLAIFLATIVGIITQPLPLGAVALLGLGASVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTEGKLGSWLMLTCFQTSVISSAMFLTAMAANPLSANLTFNTIKQTIGWTDWAKAAIVPGLVSLIVVPLLLYVIYPPEVKSSPDAPKLARERLEKMGPMSNKEIIMAGTLLLTVGLWIFGGVLNVDAVTAAILGLSVLLVTGVITWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPYFGAMVLAFLSNLMGGLTHYGIGSAPVFYGANYVPLGKWWGYGFLVSVVNIIIWLGVGGVWWKFIGLW >ONI14156 pep chromosome:Prunus_persica_NCBIv2:G4:19751774:19753799:-1 gene:PRUPE_4G265400 transcript:ONI14156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLAMRSWNGRTRVKLREKLRLELELAKADAVESLEAAAVEEIKLHREWVNGLVVVTAPEVLILELETHLTGAFEANNSKAEVCRPTKMVGKEPLKVLISWIIMSKIEELTRKFGDVPTLGMSFDNEQEAYQYYNSYAIGVGFSVRKLRVNKDKNGVIHKREFCCSCEGFYRKKTTPKKKREQRRFGCKAMLGIKLNRDGKYVVKNFVAEHNHDLVPLSSSHLLRSQRTIEPCQAGFINQMHHAGLKPSQIFSYMTTEARGPQHLNFIQAYCNNLIMRKRTEFQNRGDSQCLLEYFKQKQAQDKSFFYSIQTNMETESVVVFCVMETQDVIMLYLVIICVLIRHSKRTTTTWYILFGCGLLDGESTNACEWLFKVFLQANERKEPKTIFTDHAQSIAAAIIEVFPNCHNRLCLWHIYQNVARNLGHVFYEFQAFAKDFKSCVYDPEIVEEFESSWEALLDDYGLRGNSWLEGIYALREKWNFCSLFTLCQSNYRLIVLQRLRKLIYLHVR >ONI11031 pep chromosome:Prunus_persica_NCBIv2:G4:4080522:4089486:-1 gene:PRUPE_4G083500 transcript:ONI11031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEIQAQACKFPRIGNGSSRATNPAADDEDQDPSCLDLKRAATADAGNRLRGWHHSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAAADAIAELPSLNNSFPDTPKQLSDEKRASCEHGFDSAEVELEGHGHGDHNYHHHQNQNQNQTQNQSQHLSLSKSACSSNSETSKGSGLSLSRSEIRVNRVKARERARERAAKDKEKESNESASHIAHHHQQNSSNLNNSISQSASFTELLTGGIGTNSSNNNNSPTAAAHQQQNHGGGGEPILFHKATAAGGGGPMDYFSSGLLGLSSSTRTHHSSGFSGQIQLGMNSIPQTMSVVSPFSVSGDHHHNHNPELQHFSFVPDHLIPVTTSSQPGNGGDYNLNFSISSSGGLAGFNRGTLQSNSSSSQSLLPHHLQRFSPIDGSSNVPFFIGAAAAPTMENHHHHHHHHQQHQQQFPAGFDRRLQHPYGDGSRHSDHKGKAKN >ONI11033 pep chromosome:Prunus_persica_NCBIv2:G4:4080524:4088252:-1 gene:PRUPE_4G083500 transcript:ONI11033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEIQAQACKFPRIGNGSSRATNPAADDEDQDPSCLDLKRAATADAGNRLRGWHHSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAAADAIAELPSLNNSFPDTPKQLSDEKRASCEHGFDSAEVELEGHGHGDHNYHHHQNQNQNQTQNQSQHLSLSKSACSSNSETSKGSGLSLSRSEIRVNRVKARERARERAAKDKEKESNESASHIAHHHQQNSSNLNNSISQSASFTELLTGGIGTNSSNNNNSPTAAAHQQQNHGGGGEPILFHKATAAGGGGPMDYFSSGLLGLSSSTRTHHSSGFSGQIQLGMNSIPQTMSVVSPFSVSGDHHHNHNPELQHFSFVPDHLIPVTTSSQPGNGGDYNLNFSISSSGGLAGFNRGTLQSNSSSSQSLLPHHLQRFSPIDGSSNVPFFIGAAAAPTMENHHHHHHHHQQHQQQFPAGFDRRLQHPYGDGSRHSDHKGKAKN >ONI11034 pep chromosome:Prunus_persica_NCBIv2:G4:4080520:4089486:-1 gene:PRUPE_4G083500 transcript:ONI11034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEIQAQACKFPRIGNGSSRATNPAADDEDQDPSCLDLKRAATADAGNRLRGWHHSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAAADAIAELPSLNNSFPDTPKQLSDEKRASCEHGFDSAEVELEGHGHGDHNYHHHQNQNQNQTQNQSQHLSLSKSACSSNSETSKGSGLSLSRSEIRVNRVKARERARERAAKDKEKESNESASHIAHHHQQNSSNLNNSISQSASFTELLTGGIGTNSSNNNNSPTAAAHQQQNHGGGGEPILFHKATAAGGGGPMDYFSSGLLGLSSSTRTHHSSGFSGQIQLGMNSIPQTMSVVSPFSVSGDHHHNHNPELQHFSFVPDHLIPVTTSSQPGNGGDYNLNFSISSSGGLAGFNRGTLQSNSSSSQSLLPHHLQRFSPIDGSSNVPFFIGAAAAPTMENHHHHHHHHQQHQQQFPAGFDRRLQHPYGDGSRHSDHKGKAKN >ONI11029 pep chromosome:Prunus_persica_NCBIv2:G4:4080524:4089486:-1 gene:PRUPE_4G083500 transcript:ONI11029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEIQAQACKFPRIGNGSSRATNPAADDEDQDPSCLDLKRAATADAGNRLRGWHHSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAAADAIAELPSLNNSFPDTPKQLSDEKRASCEHGFDSAEVELEGHGHGDHNYHHHQNQNQNQTQNQSQHLSLSKSACSSNSETSKGSGLSLSRSEIRVNRVKARERARERAAKDKEKESNESASHIAHHHQQNSSNLNNSISQSASFTELLTGGIGTNSSNNNNSPTAAAHQQQNHGGGGEPILFHKATAAGGGGPMDYFSSGLLGLSSSTRTHHSSGFSGQIQLGMNSIPQTMSVVSPFSVSGDHHHNHNPELQHFSFVPDHLIPVTTSSQPGNGGDYNLNFSISSSGGLAGFNRGTLQSNSSSSQSLLPHHLQRFSPIDGSSNVPFFIGAAAAPTMENHHHHHHHHQQHQQQFPAGFDRRLQHPYGDGSRHSDHKGKAKN >ONI11032 pep chromosome:Prunus_persica_NCBIv2:G4:4080521:4089486:-1 gene:PRUPE_4G083500 transcript:ONI11032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEIQAQACKFPRIGNGSSRATNPAADDEDQDPSCLDLKRAATADAGNRLRGWHHSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAAADAIAELPSLNNSFPDTPKQLSDEKRASCEHGFDSAEVELEGHGHGDHNYHHHQNQNQNQTQNQSQHLSLSKSACSSNSETSKGSGLSLSRSEIRVNRVKARERARERAAKDKEKESNESASHIAHHHQQNSSNLNNSISQSASFTELLTGGIGTNSSNNNNSPTAAAHQQQNHGGGGEPILFHKATAAGGGGPMDYFSSGLLGLSSSTRTHHSSGFSGQIQLGMNSIPQTMSVVSPFSVSGDHHHNHNPELQHFSFVPDHLIPVTTSSQPGNGGDYNLNFSISSSGGLAGFNRGTLQSNSSSSQSLLPHHLQRFSPIDGSSNVPFFIGAAAAPTMENHHHHHHHHQQHQQQFPAGFDRRLQHPYGDGSRHSDHKGKAKN >ONI11030 pep chromosome:Prunus_persica_NCBIv2:G4:4086208:4087710:-1 gene:PRUPE_4G083500 transcript:ONI11030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEIQAQACKFPRIGNGSSRATNPAADDEDQDPSCLDLKRAATADAGNRLRGWHHSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAAADAIAELPSLNNSFPDTPKQLSDEKRASCEHGFDSAEVELEGHGHGDHNYHHHQNQNQNQTQNQSQHLSLSKSACSSNSETSKGSGLSLSRSEIRVNRVKARERARERAAKDKEKESNESASHIAHHHQQNSSNLNNSISQSASFTELLTGGIGTNSSNNNNSPTAAAHQQQNHGGGGEPILFHKATAAGGGGPMDYFSSGLLGLSSSTRTHHSSGFSGQIQLGMNSIPQTMSVVSPFSVSGDHHHNHNPELQHFSFVPDHLIPVTTSSQPGNGGDYNLNFSISSSGGLAGFNRGTLQSNSSSSQSLLPHHLQRFSPIDGSSNVPFFIGAAAAPTMENHHHHHHHHQQHQQQFPAGFDRRLQHPYGDGSRHSDHKGKAKN >ONI10438 pep chromosome:Prunus_persica_NCBIv2:G4:2269510:2276680:1 gene:PRUPE_4G047600 transcript:ONI10438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRFGFSSSSPATTMIVTNTPAADLALTNLAYCSPTDLQNFAIPGTRLNLASVGDAFVLTVSPHQSIQKGHIALNAIQRRHARVSTGDSVSVSRFVPPEDFNLALLTLDLEFVKKGTKSEQVDAVLLSNQLKKKFMNQVMTAGQRVSFEFQGINYIFTVNQAAVEGQETSNGIERGMIVNDTYIVFETSKASGIKIVNQREAASSSIFRHKEFNLQTLGIGGLGDEFADIFRRAFASRVFPPHVTNKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRANGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQIEISLPDENGRLQILQIHTNKMKESSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQLSLDDLTKPVDEESIKVTMDDFLHALHEIVPAFGASTDDLERCRIDGMVDCGDRHKHIYQRAMLLVEQVKLSKGSPLVTCLLEGPSGSGKSALAATVGIDSDFPYVKIVSAETMIGLHESTKCAQILKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNVLSQTLLVLLKRLPPKGKKLLVFGTTSELGFLDSIGFCNTFSVTYNVPTLKTEDAKQVLAQLNVFADEDIHAAAEALNDMPIKKLYMVIEMAAQGEEGGSAEAIYSGKEKINIQFFYDCLQDIVRY >ONI13695 pep chromosome:Prunus_persica_NCBIv2:G4:15690686:15690983:-1 gene:PRUPE_4G239000 transcript:ONI13695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQVFFMLAMLIMALAITISVNKPVPCLSVVSRETEGSAGPDCRNKKCVDMRTDISNCGSCGKRCSSSEICCNAHCVNPMSH >ONI09632 pep chromosome:Prunus_persica_NCBIv2:G4:117967:119361:1 gene:PRUPE_4G000900 transcript:ONI09632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLYRKADLPRPTKVIGAMPLGPKHCCRRNSAGARLRHDNYEHHANLHMLSGPTFSFAANCMICNKQIAKLLAFE >ONI10472 pep chromosome:Prunus_persica_NCBIv2:G4:2363878:2366276:-1 gene:PRUPE_4G049400 transcript:ONI10472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSTSSSSLSSLSSLSLHTSPRLSLSPFTAATTSITKPKRPISLFLSSTSSSRPTTVIFNAAAADVETSFFDNEDPEFDGVFEPPEVPEGFVPPPSFDDESSETEDEIAAAYEELYGPAYSGESVLGNDIYVMDSNVKKTGAFGKLKKEKIRDGFEERVVQVRRVTKVVKGGKQLHFRAIVVVGDKQGQVGVGVGKAKEVIGAVQKSAVNARRNIISVPMTKYLTFPHRSEGDYGAAKVMLRPASPGTGVIAGGSVRIVLEMAGVENALGKQLGSKNALNNARATVVGIQKMRQFSDVARERGIPMEELWK >ONI11287 pep chromosome:Prunus_persica_NCBIv2:G4:5057049:5058490:1 gene:PRUPE_4G099300 transcript:ONI11287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFVHLKTKKVVATYDYMSLEYATDGFYTIKSDVYSFGVLVLEIMSGKRNIGFTYCLFLFLLLNKHNVVRLIHVGHLCVQRNPGDRPSMLVIVLMTGPALNSSKLGTNPVEFFCIIDFYPSHNSSKSTSNALKSHDTLTMQLMDPNNFMNTHCNKHKITSQIFTI >ONI11265 pep chromosome:Prunus_persica_NCBIv2:G4:4878193:4879788:-1 gene:PRUPE_4G097100 transcript:ONI11265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISHVKLVPVLLFILLSSVWCTTSSSISESFLQCFSSHIHSKSTSKIILTRKSSSYSSVLHSSIQNLRFLNTSTPQPEAIITPFRYSHVQAAVICSKKEGIQIRTRSGGHDYEGLSYVSKSPFIIIDLFKLRSIDIDIKNERAWVESGVTLGELYYAIAQKSKVYGFPAGICPTIGVGGHISGGGFGTLFRKYGLAADNVRDAKIVDVNGRVLDRKSMGEELFWAIRGGGGSSFGVILAWKLELVPVPPSVTVYTESKTIKQGATKLISRWQAIADRIPEGNFLRLVIQGANGDGTNGGKTIQVIFNFLFLQTVEKLLPWMKENFPELSVEQTKFAEMSWIESVLSMADIQRNKTEVLLQRTQQSKRFFKAKSDYVTKPISEAGLEGLWHIMLQLDTSLLILSPFGGRMSEISDSETPFPHRKGNLYEIQYYVSWDDDKETEKHISWTRRVYGYMEAYVSKSPRAAYLNYRDMDLGMNKDTNTSYKQASLWGLSYFKNNFRRLAQVKTLVDPGNFFRNEQSIPVLPYGEK >ONI12499 pep chromosome:Prunus_persica_NCBIv2:G4:9791156:9792255:1 gene:PRUPE_4G168500 transcript:ONI12499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSKVSEKYSSDGHLFSVFCCDFSLIIFGKLSKLKFVTLDFNNLQGSLPSSLMNFTNLVELHLGSNPTTWKVISACLIFPDLVNNFTGTFPISIYSCRSLKAIQLTSSHLGGQIQPQILSLKYLSSLSIGGNRLTNVRGAMKILMRCFQNPL >ONI12765 pep chromosome:Prunus_persica_NCBIv2:G4:10788814:10789941:1 gene:PRUPE_4G181800 transcript:ONI12765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDITDSSNSRPLVSFPANSNHHHDLMNVQLLPTKLDGTNYLAWSHFVRLYITGKGRIEYLTGEKKQPDNTDPKFITWVEEDAMLRSWLLQAMTPDISLGYMRLDSAHAIWDAVSQTYSEGATTQQGKTLETYFNSLQALWQELDYYQPCDMKCSNDTAALKKRIEKERTFEFLAGLNPDLDQVRTQVLGKDPFPSLREAYAYVRAQALRRSAMVMPPSLEGSALISTASHSAWAPPVHQSSSSATVSSSNVAKSSKSDDKDALKCDYCHQTKHVREQCFKLNGYPPWWPGKKGEKAEGSKGRGGKGGRSSSRAYHTSSSDQNDQPTSQLSSAQMEQIVQECARLLSDKGSKGASGSLAISS >ONI09961 pep chromosome:Prunus_persica_NCBIv2:G4:975317:983191:-1 gene:PRUPE_4G020900 transcript:ONI09961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYCLSKMSSCPNAQSTKVGYRRHQNALSATEVMSEQHESSLRLEEDEDEDEDEDEDVDFNPFLKGTLSPEASSSLSSEVEGLDGEVVDSSRNTVETTGINSLSVAREVQKCSVRESEHGEEEIVMQTTVFPEGASENEFEKTVPGNANKRMAAFITQPVSETVQEKDDVSGSGTDVNDAIVGGLSNTEDIQNPTMDLDDEDEDAICKRTRARYSLASFTLDELETFLQETDDDDDLQNIDDEEEYRKFLTAVLQGEGDDQSTKENENAYDEDEDNDADFEIELEELLESDVDENVKDKVVEENGGAGRRPKTRQNRCQKAPAQCKKKILGQTKRPLRPLLPVLPKGPMSSFSTQASRTLMPGTTSSCLSSTIEDRSINGFTAHQIGQLHCLIHEHVQLLIQVFSLCALDYSRQHIASQVKRLIFEMLHKRDEALARKSVPYPAVCFFPSVPTEFPNSYTTQSTLVSSLTYDARRECFSSNNQRAVSPNISPSKGRRECIPNGQVGFSQNMGGAFWVPSISGPVLSVLDVAPLSLVGRYMDEVDTAIQENRRCYVETSSDTRLEKEPLFPLPNFPLCAQANFEAVSGSGSSVSNVAPSSSSQQPPKKSLAATIVESTKKQSVAIVPREISKLAQIFFPLFNPALFPHKPPPGNMANRVLFTDAEDELLALGLMEYNMDWKAIQQRFLPCKSERQIFVRQKNRCSSKAPENPIKAVRRMKNSPLTAEELACIQEGLKAYKYDWMSIWQFIVPHRDPNLLPRQWRIALGTQKSYKLDEAKKEKRRLYESKRRKHKSSDLSSWQNSSEKEAEKSGGENSADGFTDNAGETYVHEAFLADWRPGTSSGERNLHSGTLSQEAIREWANVFGHKEAPRTQTVSKYQQSPSLITGFRHFASGTTQTNHSVSHMTSNAFKSQFNYRRYRARRTNGAQLVKLAPELPPVNLPPSVRIVSQSAFRGSLCGISSTVSASGVGSGSSATDNLFSKFSQVGRLGISDAITSRQNKTHSPKDSVATLRPEDSRIVKDKCVEEGRDTDSDLHMHPLLFQAPEDGRLPYYPLNCSNRNSSTFSFLSANQPQLNLSLFHNPHQGSHVDCFDKSLKTSNSTSRAIDFHPLMQRTDYVSSVPVTTCSTAPLSNTSQTPLLGNTDPQALGTNEKANELDLEIHLSSTSEKENFLKRRDVGVHNSVKSRTTAPDSGTIMITQCANGSLYQHAENSSGSGSEPVSGGLTLVIPSNILSRYNADDTGEQSQPDIEMEQEELSDSDEENEENVEFECEEMTDSDGEVGSACEGIAEMQNKDVPTFATKRPATVDPDGKQCEPKAGCHTQDNIRNTPSLDDASNSSWLSLDSCAPDRPSHMMSKHDESTNDSGLAANDMSSSRPARSCKNVKLGTREVVAQRQGVDMAHQLSLGPLANPTIRKPRKRVCRTNTCLNIGLTVENSNSSSDG >ONI09960 pep chromosome:Prunus_persica_NCBIv2:G4:975317:983191:-1 gene:PRUPE_4G020900 transcript:ONI09960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYCLSKMSSCPNAQSTKVGYRRHQNALSATEVMSEQHESSLRLEEDEDEDEDEDEDVDFNPFLKGTLSPEASSSLSSEVEGLDGEVVDSSRNTVETTGINSLSVAREVQKCSVRESEHGEEEIVMQTTVFPEGASENEFEKTVPGNANKRMAAFITQPVSETVQEKDDVSGSGTDVNDAIVGGLSNTEDIQNPTMDLDDEDEDAICKRTRARYSLASFTLDELETFLQETDDDDDLQNIDDEEEYRKFLTAVLQGEGDDQSTKENENAYDEDEDNDADFEIELEELLESDVDENVKDKVVEENGGAGRRPKTRQNRCQKAPAQCKKKILGQTKRPLRPLLPVLPKGPMSSFSTQASRTLMPGTTSSCLSSTIEDRSINGFTAHQIGQLHCLIHEHVQLLIQVFSLCALDYSRQHIASQVKRLIFEMLHKRDEALARKSVPYPAVCFFPSVPTEFPNSYTTQSTLVSSLTYDARRECFSSNNQRAVSPNISPSKGRRECIPNGQVGFSQNMGGAFWVPSISGPVLSVLDVAPLSLVGRYMDEVDTAIQENRRCYVETSSDTRLEKEPLFPLPNFPLCAQANFEAVSGSGSSVSNVAPSSSSQQPPKKSLAATIVESTKKQSVAIVPREISKLAQIFFPLFNPALFPHKPPPGNMANRVLFTDAEDELLALGLMEYNMDWKAIQQRFLPCKSERQIFVRQKNRCSSKAPENPIKAVRRMKNSPLTAEELACIQEAYKYDWMSIWQFIVPHRDPNLLPRQWRIALGTQKSYKLDEAKKEKRRLYESKRRKHKSSDLSSWQNSSEKEDCQAEKSGGENSADGFTDNAGETYVHEAFLADWRPGTSSGERNLHSGTLSQEAIREWANVFGHKEAPRTQTVSKYQQSPSLITGFRHFASGTTQTNHSVSHMTSNAFKSQFNYRRYRARRTNGAQLVKLAPELPPVNLPPSVRIVSQSAFRGSLCGISSTVSASGVGSGSSATDNLFSKFSQVGRLGISDAITSRQNKTHSPKDSVATLRPEDSRIVKDKCVEEGRDTDSDLHMHPLLFQAPEDGRLPYYPLNCSNRNSSTFSFLSANQPQLNLSLFHNPHQGSHVDCFDKSLKTSNSTSRAIDFHPLMQRTDYVSSVPVTTCSTAPLSNTSQTPLLGNTDPQALGTNEKANELDLEIHLSSTSEKENFLKRRDVGVHNSVKSRTTAPDSGTIMITQCANGSLYQHAENSSGSGSEPVSGGLTLVIPSNILSRYNADDTGEQSQPDIEMEQEELSDSDEENEENVEFECEEMTDSDGEVGSACEGIAEMQNKDVPTFATKRPATVDPDGKQCEPKAGCHTQDNIRNTPSLDDASNSSWLSLDSCAPDRPSHMMSKHDESTNDSGLAANDMSSSRPARSCKNVKLGTREVVAQRQGVDMAHQLSLGPLANPTIRKPRKRVCRTNTCLNIGLTVENSNSSSDG >ONI09962 pep chromosome:Prunus_persica_NCBIv2:G4:975668:982205:-1 gene:PRUPE_4G020900 transcript:ONI09962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYCLSKMSSCPNAQSTKVGYRRHQNALSATEVMSEQHESSLRLEEDEDEDEDEDEDVDFNPFLKGTLSPEASSSLSSEVEGLDGEVVDSSRNTVETTGINSLSVAREVQKCSVRESEHGEEEIVMQTTVFPEGASENEFEKTVPGNANKRMAAFITQPVSETVQEKDDVSGSGTDVNDAIVGGLSNTEDIQNPTMDLDDEDEDAICKRTRARYSLASFTLDELETFLQETDDDDDLQNIDDEEEYRKFLTAVLQGEGDDQSTKENENAYDEDEDNDADFEIELEELLESDVDENVKDKVVEENGGAGRRPKTRQNRCQKAPAQCKKKILGQTKRPLRPLLPVLPKGPMSSFSTQASRTLMPGTTSSCLSSTIEDRSINGFTAHQIGQLHCLIHEHVQLLIQVFSLCALDYSRQHIASQVKRLIFEMLHKRDEALARKSVPYPAVCFFPSVPTEFPNSYTTQSTLVSSLTYDARRECFSSNNQRAVSPNISPSKGRRECIPNGQVGFSQNMGGAFWVPSISGPVLSVLDVAPLSLVGRYMDEVDTAIQENRRCYVETSSDTRLEKEPLFPLPNFPLCAQANFEAVSGSGSSVSNVAPSSSSQQPPKKSLAATIVESTKKQSVAIVPREISKLAQIFFPLFNPALFPHKPPPGNMANRVLFTDAEDELLALGLMEYNMDWKAIQQRFLPCKSERQIFVRQKNRCSSKAPENPIKAVRRMKNSPLTAEELACIQEGLKAYKYDWMSIWQFIVPHRDPNLLPRQWRIALGTQKSYKLDEAKKEKRRLYESKRRKHKSSDLSSWQNSSEKEDCQAEKSGGENSADGFTDNAGETYVHEAFLADWRPGTSSGERNLHSGTLSQEAIREWANVFGHKEAPRTQTVSKYQQSPSLITGFRHFASGTTQTNHSVSHMTSNAFKSQFNYRRYRARRTNGAQLVKLAPELPPVNLPPSVRIVSQSAFRGSLCGISSTVSASGVGSGSSATDNLFSKFSQVGRLGISDAITSRQNKTHSPKDSVATLRPEDSRIVKDKCVEEGRDTDSDLHMHPLLFQAPEDGRLPYYPLNCSNRNSSTFSFLSANQPQLNLSLFHNPHQGSHVDCFDKSLKTSNSTSRAIDFHPLMQRTDYVSSVPVTTCSTAPLSNTSQTPLLGNTDPQALGTNEKANELDLEIHLSSTSEKENFLKRRDVGVHNSVKSRTTAPDSGTIMITQCANGSLYQHAENSSGSGSEPVSGGLTLVIPSNILSRYNADDTGEQSQPDIEMEQEELSDSDEENEENVEFECEEMTDSDGEVGSACEGIAEMQNKDVPTFATKRPATVDPDGKQCEPKAGCHTQDNIRNTPSLDDASNSSWLSLDSCAPDRPSHMMSKHDESTNDSGLAANDMSSSRPARSCKNVKLGTREVVAQRQGVDMAHQLSLGPLANPTIRKPRKRVCRTNTCLNIGLTVENSNSSSDG >ONI12265 pep chromosome:Prunus_persica_NCBIv2:G4:8854412:8855894:1 gene:PRUPE_4G154600 transcript:ONI12265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYSIFLLILVCHDLLPYSSLATRKLQDVEETNKVRKSSANDDFQDKQSLFEEATDHVQRKVLHEVHSGPNPIGNSIPHQHKANTSLRKTP >ONI12421 pep chromosome:Prunus_persica_NCBIv2:G4:9495493:9497706:-1 gene:PRUPE_4G163800 transcript:ONI12421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGFLLFLLFSYIISTNIHACKQTERSSLLSFASTLSSPPSNWTSFDCCRWKGITCNQDAWVTHLLLPSQGLKGGISPSSLGNLTHLTHLNLSHNSLYGSLETQFFLSLNHLEILDLSYNLLYGELPLSLSSCNIQIVDLSSNHFLGAIPSSFFQQASNLTSFNVSNNTFTGYIPSSICLHSSPFLRLLDFSSNIFNGNVALGLGKCSKLLVFRAGHNNLSGLLPEDIYNATKLEEISLPLNSLHGAISDKIVNLTNLAILDLDFNYFGGKLPLNMGKLSKLKIVTLDFNNFEGALPSSLMNCTNLLELRLGSNNLEGDISKLDFSRLSQLTKLDMWNNNFTGTVPVSLYSCRSLKAIRLTRNHLEGQIHDEILSLKSLSFLSLGYNRFTNLKGAMKILMSCKSLHVLLLTGSFKGEGMPYGDDMVDFDGFQNLRFLSLARSDLTGQIPVWLSKLKNLEVLQLGFNQITGPIPSWLGTLPRLFYISLPNNRISGEFPRQICRLPRLLYEPIASQVEQYEIELPVFGHSKTTTRTFPSQKLSFYREWIDIANNNIVGDIPTEIGQLHLLRGLALSSNNLSGVIPDQISNLKNLEILDLSMNHLSGKIPLSLASLNFLKYFNASYNNLEGPIPTGTQLQSFNSSAFEGNSKLCGAPLPKKCGQDNKDNRDVDHGLHQLLWFYIFATLGFILGFWGVCGSLIINKTWRYAYFRFMDNLQDKFYVMVTVRINTMKRRLRG >ONI12780 pep chromosome:Prunus_persica_NCBIv2:G4:10841046:10843178:1 gene:PRUPE_4G183200 transcript:ONI12780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLDSLVVPRSSALPSSAALSPIAAASSVSSLSGRSGLRHYRGLKVGSALRTRSIGSLSYGPAAGGARHRRGGLVVCEAQDTAVEVPPVTDATWQSLVLESDSPVLVEFWAPWCGPCRMIHPIIDELAKQYAGKLKCYKVNTDEGAAIATQYGIRSIPTVIIFKGGEKKDAVIGAVPKSTLTTSIEKFL >ONI13840 pep chromosome:Prunus_persica_NCBIv2:G4:16637832:16638806:-1 gene:PRUPE_4G249000 transcript:ONI13840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSRKRPKTHKIQHLGGSTALSGYQTSFPLTYSASPSTPSASHLYSQPTPKISTRLLHAITYIQPKLTLFPFHLHLKTPFLSLSLSAPWEQSFSARKLLLFVIFAGHLRRCQH >ONI12385 pep chromosome:Prunus_persica_NCBIv2:G4:9219606:9222019:1 gene:PRUPE_4G160700 transcript:ONI12385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFDKQAEVYLDGRPTYPKEWYSKLAALTPHHTLAWDVGTGNGQAAISVAEHYELVIGTDVSESQLQHAIPHPRVRYAHTALNITDEEVIALLGGEDSVDLVTVATAVHWFDLPKFYNLVSRLLKKPGGVLAVWTYSGIEVSPTFDPVMKRFHDTTLPFQDNRAQYAFDGYKTLPFPFQSLTGFGCEGNPLPLAVPAKLSFEGVLKFLKSWSAVTTAKDQGVDLLPEKVVKEFEAAWGDSKLVKSVSYKAFMLAGKVRL >ONI10119 pep chromosome:Prunus_persica_NCBIv2:G4:1329369:1330328:1 gene:PRUPE_4G028300 transcript:ONI10119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEYVIHGRFSVKTDVFSFGVLVLEIVSGKKTGSFRYGENEEDLLTYAWRNWREDTIQNIIDPVLTTSSQIETMRCIHIGLLCVQENGVDRPTVASVVSMLNSESLALPVPSQPAFYMHHNTGSDISGLTESDQSKSLSVHVTENDPSNITEAYPH >ONI10130 pep chromosome:Prunus_persica_NCBIv2:G4:1355794:1357268:-1 gene:PRUPE_4G029100 transcript:ONI10130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQVQEAQPIKSRVMKVDSVETWDLYVTQATNQGCPIVAHFTASWCMPSVAMNPFFEELASSYSDVLFLAVDVDEVKEVATRLEIKAMPTFLLMREGALVDKLVGANPEEIRKWIEGFVQSIRVSVA >ONI11573 pep chromosome:Prunus_persica_NCBIv2:G4:6083932:6090250:1 gene:PRUPE_4G114000 transcript:ONI11573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAESFEISMASRVEIDEDSDTNKGSMWVLDQKLDQPMDEEAGRLRNMYREKKFSALLLMRLAFQSLGVVFGDLGTSPLYVFYNTFPHGISDPEDVVGALSLIIYSLTLIPLLKYVFVVCRANDSGQGGTFALYSLLCRHANVKTIPNQHRTDEELTTYSRSTFHEQSYAAKTKKWLEGHASRKNALLLLVLVGTCMVIGDGILTPAISVLSAAGGIKVSSPGMKNDYVILVAVVILVGLFSVQHYGTDKVGWLFAPIVLLWFLLIGGIGIFNIWKHDSSVLRAFSPVYIYRYFKRNGRDGWTSLGGIMLSITGTEALFADLAHFPVSAVQIAFTTVVFPCLLLAYSGQAAYLMKNHDNKTVLQAFYLSIPEKIYWPVFIVATLAAVVASQATISATFSIIKQALALGCFPRVKVVHTSKKFLGQIYIPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVVVMLATTLLMTLIMILVWRCHWILVLIFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTLKRYEFEMHSKVSMAWLLGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKSFHMFRCVARYGYKDLHKKDDDFEKKLFDNLFMFVRLESMMEGCSDSDEYSIYGQQTERSMEGLINNNGNTIGSTADLTISSVDSIVPAKSPLHANNTMSSSSQQSMQNEIDELEFLNNCRDAGVVHILGNTVVRARRDSRFYKKIAVDYIYAFLRKVCREHSVIFNVPHESLLNVGQIFYV >ONI11571 pep chromosome:Prunus_persica_NCBIv2:G4:6083728:6090264:1 gene:PRUPE_4G114000 transcript:ONI11571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDHVAEPQPLLQLTPLGNSSDKFFYYSFMKAAESFEISMASRVEIDEDSDTNKGSMWVLDQKLDQPMDEEAGRLRNMYREKKFSALLLMRLAFQSLGVVFGDLGTSPLYVFYNTFPHGISDPEDVVGALSLIIYSLTLIPLLKYVFVVCRANDSGQGGTFALYSLLCRHANVKTIPNQHRTDEELTTYSRSTFHEQSYAAKTKKWLEGHASRKNALLLLVLVGTCMVIGDGILTPAISVLSAAGGIKVSSPGMKNDYVILVAVVILVGLFSVQHYGTDKVGWLFAPIVLLWFLLIGGIGIFNIWKHDSSVLRAFSPVYIYRYFKRNGRDGWTSLGGIMLSITGTEALFADLAHFPVSAVQIAFTTVVFPCLLLAYSGQAAYLMKNHDNKTVLQAFYLSIPEKIYWPVFIVATLAAVVASQATISATFSIIKQALALGCFPRVKVVHTSKKFLGQIYIPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVVVMLATTLLMTLIMILVWRCHWILVLIFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTLKRYEFEMHSKVSMAWLLGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKSFHMFRCVARYGYKDLHKKDDDFEKKLFDNLFMFVRLESMMEGCSDSDEYSIYGQQTERSMEGLINNNGNTIGSTADLTISSVDSIVPAKSPLHANNTMSSSSQQSMQNEIDELEFLNNCRDAGVVHILGNTVVRARRDSRFYKKIAVDYIYAFLRKVCREHSVIFNVPHESLLNVGQIFYV >ONI11572 pep chromosome:Prunus_persica_NCBIv2:G4:6083846:6090267:1 gene:PRUPE_4G114000 transcript:ONI11572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAESFEISMASRVEIDEDSDTNKGSMWVLDQKLDQPMDEEAGRLRNMYREKKFSALLLMRLAFQSLGVVFGDLGTSPLYVFYNTFPHGISDPEDVVGALSLIIYSLTLIPLLKYVFVVCRANDSGQGGTFALYSLLCRHANVKTIPNQHRTDEELTTYSRSTFHEQSYAAKTKKWLEGHASRKNALLLLVLVGTCMVIGDGILTPAISVLSAAGGIKVSSPGMKNDYVILVAVVILVGLFSVQHYGTDKVGWLFAPIVLLWFLLIGGIGIFNIWKHDSSVLRAFSPVYIYRYFKRNGRDGWTSLGGIMLSITGTEALFADLAHFPVSAVQIAFTTVVFPCLLLAYSGQAAYLMKNHDNKTVLQAFYLSIPEKIYWPVFIVATLAAVVASQATISATFSIIKQALALGCFPRVKVVHTSKKFLGQIYIPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVVVMLATTLLMTLIMILVWRCHWILVLIFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLLIMYVWHYGTLKRYEFEMHSKVSMAWLLGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKSFHMFRCVARYGYKDLHKKDDDFEKKLFDNLFMFVRLESMMEGCSDSDEYSIYGQQTERSMEGLINNNGNTIGSTADLTISSVDSIVPAKSPLHANNTMSSSSQQSMQNEIDELEFLNNCRDAGVVHILGNTVVRARRDSRFYKKIAVDYIYAFLRKVCREHSVIFNVPHESLLNVGQIFYV >ONI13812 pep chromosome:Prunus_persica_NCBIv2:G4:16376349:16377553:1 gene:PRUPE_4G246700 transcript:ONI13812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSALPLSHGFLFILLFSSIISTNIHACNQTECRTPMSFSPNTSSHPINWTSLSSFHLVGIPHRQQGRLLDAGDTSKGGVIGNRS >ONI12746 pep chromosome:Prunus_persica_NCBIv2:G4:10738335:10747338:-1 gene:PRUPE_4G181100 transcript:ONI12746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIYCKPSAIEDSKDSPRERLSSKAASDSRATRVASSRREEAYRAKDRYDSNDGRTMLIDKQLNGNVRSHGENFERKREKMEYVAAQHPGMGSVPKAVEGEQVAAGWPTWLAAVAGEAIKGWVPRRADSFEKLDKIGQGTYSNVYRARDLDQKKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFTEAQVKCYMQQLLCGLEHCHSRGVLHRDIKGSNLLIDNNGMLKIADFGLASFFDPHQNQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYSGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYRRCVAETFKDFPAPALALMEILLSIDPADRGSAACALKSEFFTTKPLPCDPSSLPKYPPSKEFDAKVRDEEARRQGAAGKGQRVDHERRGTRESRAIPAPDANAELVLSMQKRQDNSKSRSEKFNPHPEEVASGFPIDPPRPSQAVDLSVENHGHNHKRASHSGPLAHRAAWAKSTKNPDDAPKVSTGADLSTMSGLVAARRSMLSEERRKRSSSSQMEGPKVIGRFPGSFKEASDPLPKDQKQAVVGSRQKEDVRSNKDPIIVGYGSKGHKMHYSGPLLVPSGNMDQMLKDHDLQVQEAVRRARLEKAKVRKFQAEGNQISTNSLFVSGR >ONI12747 pep chromosome:Prunus_persica_NCBIv2:G4:10738484:10747274:-1 gene:PRUPE_4G181100 transcript:ONI12747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIYCKPSAIEDSKDSPRERLSSKAASDSRATRVASSRREEAYRAKDRYDSNDGRTMLIDKQLNGNVRSHGENFERKREKMEYVAAQHPGMGSVPKAVEGEQVAAGWPTWLAAVAGEAIKGWVPRRADSFEKLDKIGQGTYSNVYRARDLDQKKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFTEAQVKCYMQQLLCGLEHCHSRGVLHRDIKGSNLLIDNNGMLKIADFGLASFFDPHQNQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYSGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYRRCVAETFKDFPAPALALMEILLSIDPADRGSAACALKSEFFTTKPLPCDPSSLPKYPPSKEFDAKVRDEEARRQGAAGKGQRVDHERRGTRESRAIPAPDANAELVLSMQKDLFLQKRQDNSKSRSEKFNPHPEEVASGFPIDPPRPSQAVDLSVENHGHNHKRASHSGPLAHRAAWAKSTKNPDDAPKVSTGADLSTMSGLVAARRSMLSEERRKRSSSSQMEGPKVIGRFPGSFKEASDPLPKDQKQAVVGSRQKEDVRSNKDPIIVGYGSKGHKMHYSGPLLVPSGNMDQMLKDHDLQVQEAVRRARLEKAKVRKFQAEGNQISTNSLFVSGR >ONI10611 pep chromosome:Prunus_persica_NCBIv2:G4:2724906:2728119:1 gene:PRUPE_4G056800 transcript:ONI10611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPALLTILLILQLVHFGSSRFVRDSDLISDGGIIDNKITQPSILEVVDLQADTVTCEPIYGFLPCATSVWGLLFLIIVYEVLLSLADQYVSAGSDLFFELFGTGIFGASAFHMLGMIPQVGLVLVTGVTGSTETAEAMAEMSMGLLAGSAIMLLTLVWGSVVAFGSHDLSVSQTSSNTENKKSFSLTGYGVTTDVETKATARIMMFSLIPFLVLQVAKMLSSSSGIRVVILVSLLIAFAFLVIYCIYQIMQPWIQKRRLDFVMRKYVQKNLLQSLLTVGGNPNVSIIQGLFHKLDQNNNTYISSHELRALILGIQIEEVGLDDDDYAAKVMEEFDIPGDSQIVETEFVNGISKWINPAKPSANGSSHEHKWFFRRNPKDEKTKEDQKRLVAKKKKAKGADKSWTNLVKAAYLLILGTGITVLLAVPLMQTMKEFSTAASIPSFLTSYILIPWATNYRLALRSVTSAREKTDNAISLTLSEIYNGVFMNNIMGLTIFLALVYIRNLSWEVSAESLVVLIICTAMGLSTSFSRKFPFWTSIIAYILYPVSLLLVYVLTTFFGWS >ONI12868 pep chromosome:Prunus_persica_NCBIv2:G4:11215309:11217498:1 gene:PRUPE_4G188200 transcript:ONI12868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKEKKDQKTVGVGVEALWRAMAKDTVTVIPKIMPSIVRRIEVIEGDGGLGSVLLFNLGDHHVESKRKQTEKIVELDDSEYRFALKVLEGPALTLRNFSALTTSFQLSKIGEQETLVDMKVVYETEKEEANTGDIALQPAISYIQFLEKYVLES >ONI11089 pep chromosome:Prunus_persica_NCBIv2:G4:4269215:4271632:-1 gene:PRUPE_4G086800 transcript:ONI11089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTHSPCAACKFLRRKCTQECVFAPYFPPDQPQKFANVHRVYGASNVAKILNELNAAHREDAVTSLAYEAEARLRDPVYGCVGFISILQGKLKQIQTDLYNAKKDLSAYIGPQALMIPVFHPSQQTDLNASVPMLGISNCPPPQHGCGGGQLMQQQQQLFEAHQQELVRYNVNGMDAAAANSVTGNGFNQMHAAAGTNGVVSAGSLGLGGGFDNNPYNHQMQSQQAAAAAHHQHSLDYQLQAQLMLQPHQAQQSQLKSEGEES >ONI14293 pep chromosome:Prunus_persica_NCBIv2:G4:22612142:22614984:-1 gene:PRUPE_4G273400 transcript:ONI14293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVQRFGRPDLFITMTCNPNWEEIKRELLPGQSPQDRPDLLTRVFHAKLEELKKDINGKGVLGNVAAYAYVIEFQKRGLPHVHMLVVLDENDKLNNPDDYDQIVKAEIPNEHEEPHLHNVVLKHMIHGSCGIQNPKSPCMKNGRCKRNYPKSFAASTIQRNKSRGNCMPVPLDRRGNITVDNSWVFPYNPWLLLRYDCHIDVEICASIKSIKYLYKYVYKGLDREAFEFVDARWVCALDALWRIFKFVINWIYPTVQRLQIHLPNMHQVRFQSDQTIANILIDERLNKTMLTKFFRVIGRIYTVSPTEGEKFYLRILLNHVRGATSFLNLMTIGGVLQPTFKEAAEQQGLLEEDDSIRQCLLEAFTIRMPSALRRLFVTILVYCEPVGVRTLWDEFYPFMIEDYAFSSNLRCNVIVNRLLRDLNTLLVQFNKNIKDFDLPQMTTKVVSAMPRYIEDELSIGISQEDLLAIECFNDNQKSEFNIIMDIIERCHNSIFFVTGPGGTGKTYLYHALLANMRGLGYIVLATSTFGIAATILPSGRTTHSRFKIPLSTDSSSTCSISKQANLAKLIQQAKTIIWDELTMAHRYAFEALDRTFRDIIDVDLTFGGKTMIFEGDFQQVLPVIPKGKKLELIQASVILKLRQNMRNDQQFSQFLLHVGNGEALVVGDDMIRVPECMVIPWENELSINEFIYQVFPNLEDYMVERAVISPTNEDVDMLNEKMINMFPGKKKTMYSFDSIEAEFLNSICIGGLPPHKLTLKRDAPIMLLRNIDPTLGLYNGTRLLCRGSYQNLIDAEILTGQSVGTRVFLPCIPLKTTETAGLPFELTRKQFPMKLCFALSINKSQDHIFSHGQLYVDLSMDVSKSTTKVFVKKGSITGQEDVFTWDVMYKEVLLHLN >ONI14010 pep chromosome:Prunus_persica_NCBIv2:G4:18293859:18294556:1 gene:PRUPE_4G257700 transcript:ONI14010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTPTTARRNRKDKHIWTPIEDAFLVEALNELCVGGCWKVDNGFRSGYLGQLEKAMEQKLPGCGLKVVPHIDSCVKTLKKQTLAISDMLTNSSGFAWNDEEKMVVCEKQVFDDWVKVHNSAKGLRNKPFPHHDTLVEAFGKDRANGKGAEGPAEVIEDLTDNNNFNFEGDGLDDINFSSPTTQTPICPPSTRPNKRGTKRQF >ONI10931 pep chromosome:Prunus_persica_NCBIv2:G4:3735516:3736215:-1 gene:PRUPE_4G076600 transcript:ONI10931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQYDAEEHHQSNTVPAVIHKRRRPRHLHFTSSIPSQCNILLDDDMVAHVADFGPARLLGGGDSMTLATIGYMAPGRSGFCEQEGLLIIHYVRLALARSAEFPKERMQDVVVTLNKIKIKFLNDCAGVQPLFF >ONI09700 pep chromosome:Prunus_persica_NCBIv2:G4:267936:270734:1 gene:PRUPE_4G004100 transcript:ONI09700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESETSVSTESEAKRLVGTNDPYGGVIVHINEPMDPATFLSLITSSIAHWKLQGKKGVWIKLPLDRVNLVEAAVKEGFWYHHAEPDYLMLVYWIPQTPHTLPANATHRVGIGAFVINPNREVLVVQEKSGLLRGTGVWKFPTGVVDEGEDIGAAAVREVKEETGIDSEFVEILAFRQSHKSFFEKSDLFFVCMMQPLSFDIQKHDQEIEAAQWMAFEEYAAQPFVQKNELLNYINDICKAKIDGQYSGFSPVPTMSFSDQKMSYLYLNGKATERDSRG >ONI13318 pep chromosome:Prunus_persica_NCBIv2:G4:13441159:13443137:-1 gene:PRUPE_4G215000 transcript:ONI13318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLRISINPNPLANKMSRSNPSRPSRTTMAIMSQDHPYWASVHAEIEVHLKQHILVRPPLSVFEPMHHLVFSAPPSPGPALCVAACELVGGHRDQAIAAAAALHLMHAAAVIHEQLLSTDRLKTKPKPRPMVHSPGIELLTGDGMFAFGYELLAKSMDPAQNNSDRILRVIVEMTRAMGSQGMVDGQYHEILMLCKQSRHYKYVCEKKEGGLHACGAACGAILGGASEEEIERLRRYGLCVGVMIAFSNRVEEGNEMETTEVVKELRSLALKELEFFHEGKAEAISCFHHLFNL >ONI12821 pep chromosome:Prunus_persica_NCBIv2:G4:10984675:10986793:-1 gene:PRUPE_4G185300 transcript:ONI12821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFLRYEVSDLCLAKPALRSLSASATVADALEALKTCEENFISVWDCNHPKTTRSLDAGQLCRCVGKVCMVDVICYLCKDDNLVSPSAALKAPVSAILSKIPGQVMHVEPSCSLLAAIDLILQGVQNLVVPIRTRLSSSSRRKQHPKCTTTTFHNGQEFCWLTQEDVVRFLLSSIGLFSPIPAFSMDSLGIISTEILAINYHSSANSALQLISQSLSQQTSVAVVDSEGVLIGEISPFTLACCDESVAAAITTLSAGDLMSYIDCGGPPEHLVRVVMERLKERKLQGVLENYTLTSSSSYAHSLMSSSSDEESSVSPNTTLQPRSGKYSRSSSYSARMVRRAEAIVCHPKSSLVAVMIQAIAHRVNYVWVIEDDCSLVGIVTFSGMLKVFREHLETMA >ONI14106 pep chromosome:Prunus_persica_NCBIv2:G4:19084717:19087243:1 gene:PRUPE_4G262300 transcript:ONI14106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTAYVGFYEICSPKKGEHVFISAAAGAVGQLVGQFAKLMGCYVVGSAGSKEKVDLLKNELGFDEAFNYKEETDLNAAFKRYFPEGIDIYFENVGGKTLDAVLLNMRVHGRIAVCGMVSQYNLDQAERVTNLMHLVYKRIRLHRFSVRDHYHLHPKFVEFMLPYIRQGKIVYVEDIVEGLESGPRALVGLFKGLNFGKQVVDVSA >ONI14105 pep chromosome:Prunus_persica_NCBIv2:G4:19084427:19086970:1 gene:PRUPE_4G262300 transcript:ONI14105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTAYVGFYEICSPKKGEHVFISAAAGAVGQLVGQFAKLMGCYVVGSAGSKEKVDLLKNELGFDEAFNYKEETDLNAAFKRYFPEGIDIYFENVGGKTLDAVLLNMRVHGRIAVCGMVSQYNLDQAERVTNLMHLVYKRIRLHRFSVRDHYHLHPKFVEFMLPYIRQGKIVYVEDIVEGLESGPRALVGLFKGLNFGKQVVDVSA >ONI14104 pep chromosome:Prunus_persica_NCBIv2:G4:19084387:19087243:1 gene:PRUPE_4G262300 transcript:ONI14104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSALTKQNKTKKQHPIYGYGVSGHPDLKAGDLVWGTTYWEEYSRIPEPEGLIKIQHTDVPLSYYTGILGMPGLTAYVGFYEICSPKKGEHVFISAAAGAVGQLVGQFAKLMGCYVVGSAGSKEKVDLLKNELGFDEAFNYKEETDLNAAFKRYFPEGIDIYFENVGGKTLDAVLLNMRVHGRIAVCGMVSQYNLDQAERVTNLMHLVYKRIRLHRFSVRDHYHLHPKFVEFMLPYIRQGKIVYVEDIVEGLESGPRALVGLFKGLNFGKQVVDVSA >ONI12722 pep chromosome:Prunus_persica_NCBIv2:G4:10699239:10703990:-1 gene:PRUPE_4G180500 transcript:ONI12722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLRFVKPQAHYKAEAYTSPLNPVGLRIVHRAMAATSFVAASLVRRAAVAFALSHPLINNKVSYHRTLVTFPLGPRLSSSGICHLAQAVKRDDDDLLKGVVDKTVIEEVKHVLEMARRASLRREVLHTNFLTPPVLKESMLALEKLADLKAVAQGGYPQAERCRISVGHPERLTSDPDVIVALSITGNFGFQPCSHGDFLGAILGTGIAREKFGDVIFQGEKGAQVIVVPDLADYLISSLDKVGNVPVSLTKIPLIALDYEQPRTKSFKAIELSLRIDAIASAGFKISRSKLVDLIRDGDVRLNWTPVTKNGATLKTGDIVSVRGKGRLKIGEINSTKKGKFAVELIRYL >ONI10721 pep chromosome:Prunus_persica_NCBIv2:G4:3049120:3051191:1 gene:PRUPE_4G063500 transcript:ONI10721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTSWLEGTCLFSVLMERSRFLLSITLLLVHHSSICTVGATQTNITTDQSALLALKSHITSDPHNILVNWSTTTSVCNWVGITCGARHLRVASLNLSYMSFTGTIPPHLGNLSFLVALSFKNNSFHGSLPHELSYLRRLKLISFRFNNFMGSIPSWFGSFPKLQSFDLYGNQFSGTIPSTIFNLSTLQDINLSANKLSGVIPREIGNLTMLKEIYLDSNNFNEIPKEIGFLHQLEKLYVQLNALKGPVPVVVVNMSSLTELTLYDNSLSGGLPDNICQHLPSLQILNLGRNQFDGLLPSKLCHCRELLFLNLEENNFSGSIPKNIGNLTMMKLLSLGYNTLTGN >ONI13509 pep chromosome:Prunus_persica_NCBIv2:G4:14416352:14417308:1 gene:PRUPE_4G226800 transcript:ONI13509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATDGLLLATTDTAKELKTGIHMHVAEIAYENQFVTETQKVDHGTVIHLEKIQFLHDNLLAAHTVWVNPAETDCLSRDGVKVSHCPARCLMLASVSLGTDGAPSNNRMSRVDEMYLASLINKGREVHTNGTTDPTALPAETVLKLNGDFKL >ONI11536 pep chromosome:Prunus_persica_NCBIv2:G4:5926915:5932031:-1 gene:PRUPE_4G112000 transcript:ONI11536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSIRSRRPHRPRYGVYICAVISAFLLLLSVSLLYTRLSHSESHHFHYRHQNPNAQYGDVSLTNPLISDELNDGVSIATEDKIDELDDVVEETPKDEEVEDEEDPQSDISQSKVSGYVFDHVTGVIRRGFNKRKIEDWDEDYNGFTAGLGALDKSKVAFGSDDVPVDMEVRRRMSEVVGIEDALLLKVGRKVSPLREGWGEWFDKKGDFLRRDRMFKSNLEMLNPLHNPMLQDPDAFGVTGLTRGDKVLQKWWLNHFKKVPFTGKKQLGISSRAREVKLYENGGEGGKKGSSSGDGVVNVSGIGLGTELDENENDRKAGKDLNSGANGKSNTDRNLSYMSNATDKEIGNTVEQISDSDQVGGFKDEFSGVIYADGKRWGYYPGLSPFLSFSDFVDTFFRKGKCNMRVFMVWNSPPWMYSVRQQRGLESLLSHHRDACVLVFSETIELDFFKDNFVKDGYKVAVAMPNLDELLKDTPTHIFASAWFEWRKTKYYATHYSELVRLAALYKYGGIYLDSDIIVLKPLSSLRNSVGKEDQLAASSLNGAVMAFERNSPFIMECLKDFYMTYDDTRLRWNGADLLSRVARRFLGVRNKSVRQLQLKVQPSFIFFPITPQNISRYFTAPTTETEKAEQDALFRKILNESLTFHFWNSLTSSLIPELESLATRLIDHACIRCTDVL >ONI11537 pep chromosome:Prunus_persica_NCBIv2:G4:5927850:5932031:-1 gene:PRUPE_4G112000 transcript:ONI11537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSIRSRRPHRPRYGVYICAVISAFLLLLSVSLLYTRLSHSESHHFHYRHQNPNAQYGDVSLTNPLISDELNDGVSIATEDKIDELDDVVEETPKDEEVEDEEDPQSDISQSKVSGYVFDHVTGVIRRGFNKRKIEDWDEDYNGFTAGLGALDKSKVAFGSDDVPVDMEVRRRMSEVVGIEDALLLKVGRKVSPLREGWGEWFDKKGDFLRRDRMFKSNLEMLNPLHNPMLQDPDAFGVTGLTRGDKVLQKWWLNHFKKVPFTGKKQLGISSRAREVKLYENGGEGGKKGSSSGDGVVNVSGIGLGTELDENENDRKAGKDLNSGANGKSNTDRNLSYMSNATDKEIGNTVEQISDSDQVGGFKDEFSGVIYADGKRWGYYPGLSPFLSFSDFVDTFFRKGKCNMRVFMVWNSPPWMYSVRQQRGLESLLSHHRDACVLVFSETIELDFFKDNFVKDGYKVAVAMPNLDELLKDTPTHIFASAWFEWRKTKYYATHYSELVRLAALYKYGGIYLDSDIIVLKPLSSLRNSVGKEDQLAASSLNGAVMAFERNSPFIMECLKDFYMTYDDTRLRWNGADLLSRVARRFLGVRNKSVRQLQLKVQPSFIFFPITPQNISS >ONI11538 pep chromosome:Prunus_persica_NCBIv2:G4:5928274:5932031:-1 gene:PRUPE_4G112000 transcript:ONI11538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSIRSRRPHRPRYGVYICAVISAFLLLLSVSLLYTRLSHSESHHFHYRHQNPNAQYGDVSLTNPLISDELNDGVSIATEDKIDELDDVVEETPKDEEVEDEEDPQSDISQSKVSGYVFDHVTGVIRRGFNKRKIEDWDEDYNGFTAGLGALDKSKVAFGSDDVPVDMEVRRRMSEVVGIEDALLLKVGRKVSPLREGWGEWFDKKGDFLRRDRMFKSNLEMLNPLHNPMLQDPDAFGVTGLTRGDKVLQKWWLNHFKKVPFTGKKQLGISSRAREVKLYENGGEGGKKGSSSGDGVVNVSGIGLGTELDENENDRKAGKDLNSGANGKSNTDRNLSYMSNATDKEIGNTVEQISDSDQVGGFKDEFSGVIYADGKRWGYYPGLSPFLSFSDFVDTFFRKGKCNMRVFMVWNSPPWMYSVRQQRGLESLLSHHRDACVLVFSETIELDFFKDNFVKDGYKVAVAMPNLDELLKDTPTHIFASAWFEWRKTKYYATHYSELVRLAALYKYGGIYLDSDIIVLKPLSSLRNSVGKEDQLAASSLNGAVMAFERNSPFIMECLKDFYMTYDDTRLRWNGADLLSRVARRFLGVRNKSVRQLQLKVQPSFIFFPITPQNISR >ONI13259 pep chromosome:Prunus_persica_NCBIv2:G4:13236244:13242168:1 gene:PRUPE_4G212200 transcript:ONI13259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDIGNENCVIAVVKQRGVDVLLNDESKRETPAVVCFGEKQRFLGSAGAASAMMNPKSTVSQVKRLIGRKFSEPDVQRDLRMLPFQTSEAPDGGILIHLKYLGETHTFTPVQVTAMLFAHLKDLIEKNQEMPISDCVIGIPSYFTDLQRRAYLDAATVAGLKPLRLMHDCTATALSYGIYKSDFPSSGPTYVAFVDIGHCDTQVTIASFEAGQMKILSHTFERSLGGRDFDEILFGHFAAQFKEQYRIDVYSNVKASIRLRAACEKLKKVLSANAEAPLNIECLMDEKDVKGFIKREDFEMLSSGLLERIGVPCSKALADAGLTAEKIHSVELVGSGSRIPAVGRVLTSVFRKEPRRTLNASECVARGCALQCAMLSPVFRVREYEVQDSIPFSIGFLLDEAPICTGSNGILFPKGQPIPSVKVLTFRRSSSFHLEAFYANPSEVPAGVSSKISCFKIGPFQCSHSEKTRVKVKIQLDLNGVVFVESAMMMEEHGDDSSTRGVADSMDPMDIDCVTASGSSEAVGDGFQESSSMQSKSSHASGDGKRDNKSTRRLEIPVTENIYGGMTKAELSEAQEKELQLGQQDRIMEQTKDKKNALESYVYDMRNKLFNTYRSFASDQEREGISRSLQQTEEWLYDDGEDETENAYTSKLEDLKKMVDPIENRYKDEEARLQATRDLLKCIGDYRMAVNSLPPMDRESIVNECYKVEQWLREKNQLQDSLPKNVDPVLWSSDIKSRAEELNSRCKHMFRSRTSNREDSKGSNQQDTSDHK >ONI13697 pep chromosome:Prunus_persica_NCBIv2:G4:15718013:15720615:-1 gene:PRUPE_4G239200 transcript:ONI13697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQWPSFQVLFTFSLFMIMALKIVKRGKTKDSAINLPPGPWKLPFIGNIHQLVGSQPHHALRNLANRYGPLMHLRLGEVSTIVVSSAAYAKEVMKTHDVMFASRPPLLASTIMSYGSTNIGFAPYGDYWRQLRKICTLELLSPKRVQGFRPIREEEAMNLMEWIALREGSPINLTEEIYSSTYTVTSRAAFGKKNKDHEKFIYAVKESIKVAAGFDLADVFPSVKLLNLIGGIRPKLERLHKETDRIMENIIKEHKKDRATSESGEGKAEEDLVDVLLKFHERGNGFEFSLTTDNIKAVILDIFGAGSETSATAVDWAMSEMIKNPRIMKIVQDEVREVFNTKGQVDETCIREMKYLNAVIKETLRLHPPAPLLLPRECRESCEINGYEIPVKTKVIVNGWAIGRDPNYWTEPDIFYPERFLDSSIDYKGTNFEYIPFGSGRRICPGISYGLANVELPLAFLLYHFDWKLPNGKNHEDLDMTEAFGITVRRKEDLHLIPIPYHPPPTEKSHE >ONI11135 pep chromosome:Prunus_persica_NCBIv2:G4:4407880:4411805:1 gene:PRUPE_4G089000 transcript:ONI11135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASGTEASGGGDEGLRRRGCTYRKYDFLPEESFQTWGNYVKALSQTPGRFKDRVLTRSLDSTELVEMKAQSHNEMKKTLNWWDLIWFGIGAVIGAGIFVLTGLEAKKHAGPAVVLSYVVSGVSALLSVFCYTEFAVEIPVAGGSFAYLRVELGDFTAFIAAGNILLEYVIGGAAVARSWTSYFATLCNHNSNDFRIVAPGLGENYKYLDPIAVVVIIGICFLAVLSTKGSSRLNYIASIVHVIVILFIIIAGLTKADTKNYEKFAPFGPRGVFQASAVLFFAYVGFDAVSTMAEETKNPGKDIPIGLVGSMVITTFVYCLLAVTLCLMQPYGSIDEQAPFSVAFEAVGMGWAKYVVAAGALKGMTSVLLVGAVGQARYLTHIARTHMVPPWFAQVDGKTGTPVNATVTMLSATAFIAFFSSLDVLASLLSISTLFIFSLVAIALLVRRYYVSGVTTQATRNKFIACLVLIVGSSIATSVYWSKTDKWTAYVVTAPLWFLGTLGIWILVPQARSPKLWGVPLVPWLPSLSVAINIFLLGSIDRDSFIRFAAWTLIILVYYFFFGLHASYDTAKDSLAKRLEAGTNLELKKAEAAEVYAPSAARDSGLDGKSGSNTSAHPAS >ONI10335 pep chromosome:Prunus_persica_NCBIv2:G4:1949014:1951097:1 gene:PRUPE_4G041300 transcript:ONI10335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAKFDMVDYSPLNSSFGKFLSDSESCNSVSSCSSPVTPSSVLPELIDGSPQDLKKAKVGSKSPLLWTLRVQAVGKLNPIDVKHLSLHLSKQGAHGTSNGLDKSGQLVEEPSIEADLKSNPEKAIAATDDEEGRHSSAREDTKEVPNPSLNENTNGKEIDNTSDDVETPTTAPETSEADTTQVSLPPPSPSTLSLNPPVSSPSILPQPTTSTPPPPPSPSTLSLNPPLSSPPILQQPTTSTPPPPPPPPPPPPPTGLQFSLPNTVTETKIPVPPTPPAPASPPTLQRNVATPPPPPPPPPSLATLQPTVAAPPPPPLPTLTPQNAAAAVLPPPPPMAPRSMQVAPPTPPPTPMPPGSTRMGPPPPPPPPGSSTGGPPPGSSSGGPPPPPPPPGSSNGAPPPPPPPGSSSGGPPPPPPMLRGQPNGAAPPPPPAFGAARSLRPKKDTKLKRSSQMGSLYRLLKGKVEGSSLDGKSVNGRKGGIGSSSGGKQGMADALAEMTKRSAYFQQIEEDAQKYAKPIMEMRTTLSSFQTKDMSELIDFHKKVESILEHLTDESQVLSRFEGFPTRKLETIRMAAALHSKLNAMLIELQNWKLAAPLGQLLDKTERYFNKVHKCSFYTVLINFLCTFPN >ONI10334 pep chromosome:Prunus_persica_NCBIv2:G4:1948977:1951964:1 gene:PRUPE_4G041300 transcript:ONI10334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAKFDMVDYSPLNSSFGKFLSDSESCNSVSSCSSPVTPSSVLPELIDGSPQDLKKAKVGSKSPLLWTLRVQAVGKLNPIDVKHLSLHLSKQGAHGTSNGLDKSGQLVEEPSIEADLKSNPEKAIAATDDEEGRHSSAREDTKEVPNPSLNENTNGKEIDNTSDDVETPTTAPETSEADTTQVSLPPPSPSTLSLNPPVSSPSILPQPTTSTPPPPPSPSTLSLNPPLSSPPILQQPTTSTPPPPPPPPPPPPPTGLQFSLPNTVTETKIPVPPTPPAPASPPTLQRNVATPPPPPPPPPSLATLQPTVAAPPPPPLPTLTPQNAAAAVLPPPPPMAPRSMQVAPPTPPPTPMPPGSTRMGPPPPPPPPGSSTGGPPPGSSSGGPPPPPPPPGSSNGAPPPPPPPGSSSGGPPPPPPMLRGQPNGAAPPPPPAFGAARSLRPKKDTKLKRSSQMGSLYRLLKGKVEGSSLDGKSVNGRKGGIGSSSGGKQGMADALAEMTKRSAYFQQIEEDAQKYAKPIMEMRTTLSSFQTKDMSELIDFHKKVESILEHLTDESQVLSRFEGFPTRKLETIRMAAALHSKLNAMLIELQNWKLAAPLGQLLDKTERYFNKMKGEIDAMERTKDDEAKKFQSQNIHFDFNILIRIKEAMVDVSSSCMEMALKDRREAKAAEQTGRKTDQKQTKICVKMLWRAFQFAFRVYTFAGGHDDRADMLTKELANEIESDPHHH >ONI13722 pep chromosome:Prunus_persica_NCBIv2:G4:15945969:15948945:1 gene:PRUPE_4G241300 transcript:ONI13722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIELHRKRYGYRPDHFERKRKKEARLVHKRSETAQKALGIKGKMFAKKRYAEKALMKKTLAMHEESSTRRKVDDDVHEGAVPAYLLDRDNTTRAKILNNTIKQKRKEKAGKWEVPLPKVRPVAEDEMFKILRSGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGQMYTSLGVLTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCVNAVLLV >ONI14520 pep chromosome:Prunus_persica_NCBIv2:G4:24734553:24736279:-1 gene:PRUPE_4G284900 transcript:ONI14520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVALAFLAKFVATFVAAIINKMSVRDSLAFGLVMNTKGLLAIIILNSGRDLHVLDHNTFSVMMLAILIMTAAVGPILALIYKSNVPSKQHTHRSIRSIQANSDNVSSVINLLEISNPTKQSPMFVFAVHLVELSGHASAMLIVHDTCSNIRKTSKITAKNQKHSSPSDQIVAAFEKLETESEESSLFVDALTVVSSYASMHEDICNLADDKSADLIIIPFHKQSTIDGGMDNGNPSFRGINKNLLENSSCSVAIFVDRGLTDSSNIKNEDGHGCCRCAMLFISGSDDREALAYAWRMASNPNPKPNISLTVVRFIVSKDAAVHSDLPPNNPNNNDHDHDEDEKKNILEMIEENEKEKQLDDQYIESFVLNARNQPSIKLIHEVLNNGEETLKLISAMGNDYDLYIVGIGQTGSSPLTFGLSEWGDCPELGPLGDALASSNIVASASILIVHQGRAVGKSLFS >ONI12469 pep chromosome:Prunus_persica_NCBIv2:G4:9693768:9695191:1 gene:PRUPE_4G166900 transcript:ONI12469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMICGSYYFPKKNKGHDAHFICAQEQTTGVADGVGDWAKLGVDASQYARELMRNSIKSVKKQQAFGGVDPRRVLNEAYVKTKCKGSSTACILTLKDKGVLNFVNVGDSGFMVLRNYKLLYVSPRPQHRFNHPYQLGYSTHFDNPRSATKTKIRVFPGDILVLGTDGLWDNMYPNEIVQFVENMREMKPEALACALASVARGRSLDKGRFSPFSRAAQKAGIKHLGGKKDDITVVVGYIMATSTPAPSCVGGLEIMSDNTTQLGLKRSTPWWRLCSWPCNCFRSSTPKKDTTRI >ONI14501 pep chromosome:Prunus_persica_NCBIv2:G4:24420270:24421513:-1 gene:PRUPE_4G283600 transcript:ONI14501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFPFYLCFSFKFRNSLEKRRKNSFYASTRPIRTLDPWNRRNANAVMEKAAILIASTNTPARYKETWRNILNKYKQRIILDAGQLREKHPNCIPVTVDKDARSDIHDIDTKKCLGCLQSMRKIRMKMDFFTSPTVEKRVCGSNEEQQEFS >ONI14500 pep chromosome:Prunus_persica_NCBIv2:G4:24420097:24422519:-1 gene:PRUPE_4G283600 transcript:ONI14500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFPFYLCFSFKFRNSLEKRRKNSFYASTRPIRTLDPWNRRNANAKAAILIASTNTPARYKETWRNILNKYKQRIILDAGQLREKHPNCIPVTVDKDARSDIHDIDTKKCLGCLQSMRKIRMKMDFFTSPTVEKRVCGSNEEQQEFS >ONI14503 pep chromosome:Prunus_persica_NCBIv2:G4:24419175:24422256:-1 gene:PRUPE_4G283600 transcript:ONI14503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFPFYLCFSFKFRNSLEKRRKNSFYASTRPIRTLDPWNRRNANAVMEKAAILIASTNTPARYKETWRNILNKYKQRIILDAGQLREKHPNCIPVPWMSTINEENKDEDGFLHITYSGEESVWIQ >ONI14502 pep chromosome:Prunus_persica_NCBIv2:G4:24420097:24422082:-1 gene:PRUPE_4G283600 transcript:ONI14502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFPFYLCFSFKFRNSLEKRRKNSFYASTRPIRTLDPWNRRNANAVMEKAAILIASTNTPARYKETWRNILNKYKQRIILDAGQLREKHPNCIPVTVDKDARSDIHDIDTKKCLGCLQSMRKIRMKMDFFTSPTVEKRVCGSNEEQQEFS >ONI10035 pep chromosome:Prunus_persica_NCBIv2:G4:1143577:1147611:1 gene:PRUPE_4G024200 transcript:ONI10035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSFTSMLANGCRSFSAPVALVRTLALTHVALSEHANNYKHVKVACFSRSSKKRTTFACESLKQGVTQPTSVSSVNGYPEYTRLLPCPSHNGPPRVEHLVVSEGGPVLEYICKTLDLPPQFVADLIHFGAVYYALVCPKPPPTATPEQMRVFKEVTAPSVLKNRASIKGKTVREAQKTFRITHADEFVEAGTYLRVHVHPKRFPRCYDIDWKSRIIAVSEEFVVLDKPAGTTVGGTTDNIEESCATFATRALGLTTPLNTTHQIDNCTEGCVVLARTKEYCSVFHGKIREKKVKKLYLALAAAPVPTGIITHYMRPINVAPRLISEDFIERWSLCQLEVMECKEIPWPNAVVEEKYCVEDCGWPSKDYAYECKINLLTGRTHQVRAQLAACGAPIVGDSMYMPAAVAEMESPGLNPFGQYKKHYTTENDKETAVAEWIARHGKEPNVAIGLQACQISWDDGKHTFEARAPWWRCQ >ONI12727 pep chromosome:Prunus_persica_NCBIv2:G4:10724387:10725450:1 gene:PRUPE_4G180800 transcript:ONI12727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPAKLWLKALTVTVTSSNSAQFSSFTQTVQQKNPSVKTLLSIGGGGNDTIADTFASMASQPSRRKSFIDSSINLARSYNFHGIDLDWEYPDTTTHMTNFGTLLTELRAAVANESQTSGNTELLLAAAVFRSADYYTINYPIESISNSLDWINVMAYDFYGSAWNSSRDRTGPPAPLYNATASQVNGDAGITSWVEAGLGADKIVLGLPFYGYAWRLLNADEHGLFAPADGPADGPAIRTDGSINYKDLLDFISQNGTQTVYNATVVTNYCYSGTTWIGYDDTQSISAKVSYAKQKGLLGYFSWQVSGDDNNWALSQTGW >ONI10110 pep chromosome:Prunus_persica_NCBIv2:G4:1279169:1279588:-1 gene:PRUPE_4G027500 transcript:ONI10110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMFGIISHVAYELSNEKMKDQLQRNRWCKCYICIFLQKFPLWTCST >ONI14317 pep chromosome:Prunus_persica_NCBIv2:G4:22947853:22948183:1 gene:PRUPE_4G275300 transcript:ONI14317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFISSSKTQSKPSGLQLVSNSMHHKRVVACKQQEEEETDANEFAMADATFIALNYLEESFEHLSRCLIKTRVSLLNILNH >ONI11936 pep chromosome:Prunus_persica_NCBIv2:G4:7510463:7513867:1 gene:PRUPE_4G135900 transcript:ONI11936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWKFGRIGYARYIFDNIPERNEASWNTMMSGYVRVGLYPESIGFFSGMIGRGFKPSGFVIASLITACDKSACMFNEGLQVHAFVVKIGLLCDVFVGTSLLHFYGTYGLVSKSRKLFEEMPDKNVVTWTSLIVGHSNNGDLGEVISIYKRMRLEGVCCNDNTFAIVISTCGMLEDELLGHQVLGHVMKLGLENSVSVANSLISMYGGCGNVDEAFYVFDHMDERDIISWNSIISASAQNGLCEESLRCFHYMRHVNKEVNSTTLSSLLTVCGCTDKLKWGSGIHGLVVKFGLESNVCVGNTLISMYSEAGRSEDAELVFQRMTEKDIISWNSMLACYVQNEECQKALKLFAKMLRMRKPVTYVTLTSALSACPNSEFLIPGKILHAIAVLTGLQDNVIIGNALVTMYGKFSMMVEAEKVLQIMPKRDEVTWNALIGGYAKSKDPNEVIKAFKLMREEGTPANYITIINVLGGFMTPGDLLKHGMPFHAHIVLTGFESDKHVQSTLITMYAKCGDLNSSNSIFNGLDFKNSIAWNAIIAANANHGLEKALKLVVMMKKAGVDLDQFSFSVALSVSADLAMLEEGQQLHGLVVKLGFDSDHYVTNAAMDMYGKCGEMEDVLKLLPSPTNRSRLSWNILISSFAKHGCFQKAREAFQEMLNLGTKPDHVTFVSLLSACSHGGLVDDGLAYYYAMTTEFGVPPGIEHCVCIIDLLGRSGRLAEAENFIKGMVVQPNDLVWRSLLAACKIHRNVELGRKAAEHLLELDPSDDSAYVLLSNVCATTGRWEEVENVRRQMGSRNIMKKPACSWVKLKTEVNKFGMGEQSHPQTGQIYAKLGELMKMIREAGYVPDTSYALQDTDEEQKEHNLWNHSERIALAFGLINTPKGSPVKVFKNLRVCGDCHSVYKHVSAAVGRKIILRDPYRFHHFSDGKCSCSDYW >ONI14174 pep chromosome:Prunus_persica_NCBIv2:G4:20020558:20021945:-1 gene:PRUPE_4G266900 transcript:ONI14174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADYGGGVRAISLSQGGRNSDDDDGSSQHSPRSASGGVVVVGGGSSSSKSKFVSPSGEIIMSKKPRGRPPGSKNKPKPPIVITKNSEAAMKPVVLEISAGSDVIEAIIQYARRRHVGISVLSGSGAVSNVTLVHPAGPHHHPSAPSLLSLLHGPFNLLSLSGSFMDAVPATATCPSSASSKVATSAGGCSSPGSGSFGICLAGAQGQVFGGIVGGKVVAASAVVVVGATFVNPTVLRLPLPGDDHDDHENGVEGGAPAAEYEAQETKPGVNVESHCSVYGGGGGVASSTPMNCQITSVPDQVMPWGPPSRPPY >ONI12116 pep chromosome:Prunus_persica_NCBIv2:G4:8355986:8361057:-1 gene:PRUPE_4G145500 transcript:ONI12116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKFQKSKELNVYQCLFICGMNNFKEVLVALEMVKKKEKNEKKSKRWAAFLGAVVVVLLATALTSTRTASKLNLSLFFKNPNSCHCPQDSKGYTGIVEDCCCDYETVDSVNAEVLHPLLQEIVKTLFFIYFKAKLWCDCPFWTEDGMCLLRDCSICECPENEFPESFMRPLLQGLAPDSFVCQEGKQQGTVDWTLDSRAFRGWVETDNPWTNDDETDNGEMTYVNLLLNPERYWLCWSITEKDMGCCVF >ONI12612 pep chromosome:Prunus_persica_NCBIv2:G4:10333649:10336594:1 gene:PRUPE_4G174600 transcript:ONI12612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKYSTKLHFLLLLLLLLTRSATPLNFSFSSFSGSYYPTISTKGDAFFEGGFLRLTKSGEDQLKNGSAGRATYSQPFLLHEKATGKLADFTTSFNFALDSLKESTYGDGLAFFVAPSGSFLLNDTEPAGGNNLGLPVNFASPNDTAFVAVEFDIYAYSTTDPPYDHVGIDVNSLNSTIFRPWKGGIMEGKTNNATISYNSTSKNLSVAFTTFAPDSNVTQEMAHFSDIMDLKQYLPDLVVVGFSASTGNYISLNKIISWNFSSTSLGDRDTNSNRKSGNKSIGLAVGLGIGGCAVLVGGLGWFIMWKKRERAGESSDEDHPMVHELIDDEFEKGAGPRKFSYSELARATSNFEEGEKLGEGGFGGVYKGFIPDLNSYVAVKRISSSSKQGPKEYASEVKIISRLRHRNLVQLIGWCHERKFLLVYEFMPNGSLDSHLFKEQSLLTWEARYKIAQGLASGLFYLHEEWEQCVLHRDIKSSNVMLDSNFNAKLGDFGLARLVDHGKQSQTTILAGTMGYMAPDYLNTGKASKESDVYSFGVVALEIACGRKPIDPKFGSIQSNMVEWVWELYGEDRVNEAADPKLSGDFDKKQMECLMIVGLWCAHPDYNMRPSIQQAIQVLNFEVPLPNLPSKMPVATYFAPPKSVSMLFRDISDSQGGQTELSSGQTNSSQFSAPSSTTNSHPKISLG >ONI10052 pep chromosome:Prunus_persica_NCBIv2:G4:1187935:1190355:-1 gene:PRUPE_4G025000 transcript:ONI10052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAWWVVITLMFALFGFAFSLTRAQDNGDGGDQQPAAPTPAQQDCDGIYMSYDFESRRKIYPFLKNAEKQAWAFKSTAHIVNTGTYELKAWKIYVGFQHKEILVGATGAVLMNGDDFPADVGNGTYLSGSGQTDLKTSISTAGDYTQIQAKIQFSGTMFGVKPSGVPMPKTIRLVNDGYKCPSPTNRKGSMYVCCVRNPKFKANVTKTKFLPRQKGDLTIAYDVIQAYENNYLAQVTMENCSPLGRLDHWNLTWEWMRGEFIYNMKGAYPRTIDYLNCIYGDAGKYYQQMDFSKVLNCEKKPIIGDLPREKANDTQVGKIPNCCRNGSILPPIMDQSKTKSAFQMQVFKLPPDLNRTALYPPERFKVDGVLNPEYKCGQPIRVDPAQFPDPSGLQATSLAIASWQIVCNITRAKTRKPKCCVSFSAYYNESVIPCNTCACGCSDTKNCNPKAHALLLPPETLLVPFENRTKKAIAWASIKHHHVPKPLPCGDHCSVSVNWHLLSDYKDGWTARITLFNWDKTNFEDWFTAVQLKKATAGYEKAYSFNGTKIPKLDNIIFLQGLKGLNFLVAQKNGTKPEKDPKVPGKQQSVISFKKKHTPDIEVAKGDGFPTRVFFNGEECSLPTQLPLSQGNCLHVNFVVAIFLSLLSFVM >ONI09926 pep chromosome:Prunus_persica_NCBIv2:G4:870182:874048:1 gene:PRUPE_4G018900 transcript:ONI09926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKLKLRNQDPYVILDNGLVKLTILRPQGYLTGISYGGMDNLLDIKSNESSRGYWDINWNLPGGQDIYTLLKGAEYSVVHESNDSLEISFKNTYNPSSAQGVKLPLSVDIRYILRTGVSGFYSYAIYDRPSGCPAFDLAQTRMVYKLRREKFHYMAITDEKQRIMPMPEDLLPGRGKQLIVPESVLLVNPINPDLKGEVDDKYQYSMDNKDGGVHGWISSGPIIGFWVIFPSQEFRNGGPTKQNLTVHTGPTCLAMLHGTHYIGEDILAHFEEGETWTKVFGPFFVYLNSTPDVSKAHNLWIDAKKQRLFEETAWPYDFVSSPYYVAAKERGLVSGRLFVQDRYVSGSLIPAKYAYVGLSVATTEGSWQTESKGYQFWVETDYTGNFTIKNVIPGVYGLHGWIPGFLGDYLGKEHITISAGSQTQLGNLTYVPPRDGPTLWEIGFPDRTAIGYYVPDVNPMYVNKLFLNSPEKFRQYGLWDRYTDVHPQFDQTFIIGSSNPKNDWFFAHVDRRGADNKYLPTTWTIKFNLNSVTTGTYKLRLAIASATRSDLKAHVNDMDIEHLVFQVLNLGTDNTVCRHGIHGLYRLFSCDISSSFLVKGDNSIFLTQARGGDALCGVLYDYVRLEAPATAERSELSTLA >ONI13793 pep chromosome:Prunus_persica_NCBIv2:G4:16285842:16286961:1 gene:PRUPE_4G245500 transcript:ONI13793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSGQLRHCPTMFYALALCLLATSVLATTPYTYSSPPPPKHLETPPTHYKSPPPPKYVKHPPPPSPSPPPPYYKSPPPPSHSPPPPYVHKSPPPPTHSPPPPYAYKSPPPPSKSPPPPYVHKSPPYVHKSPPPPSYSPPPPYHYKSPPPPSPSPPPPYHYNSPPPPVKSPPPPQYHYKSPPPPKHY >ONI13114 pep chromosome:Prunus_persica_NCBIv2:G4:12655757:12658061:-1 gene:PRUPE_4G203500 transcript:ONI13114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSKNSMDPRLYKSAKSGDVCFLKQLLNDDPMLLYQLTPRENTALHIAGQFGHKNVTAEIYSRCMSLVTQPNLDGDTPLHVAARVGCFSIVNYLVRETLSMSQVDFRNANNSMTETLRIRNRGNNTVLHEAVRNGHTKVAEFLLKMDPKLACFENEAGESPLYLAAREGLLDILNQILQSSPASAHGGSDGQTALHAAVVERHFGVVESLLRFKQQLIKQADHQGKTPLYYAASLGDHKTVQRLLELDISTAYVLDKQGYSPIHVAASKGYTNVIREIVGHCPDSGELVDPYGRNALHIAIFNGQTEVVRYILETAELEGLINQPDFDGNTPFHLSTIERKTWILCYLKWDGRVNPRSKNKYGQTAIEIDSSIKEANITPPRNIMPSIWGHLRTPPSWLDNIKVFSRADQEEAIAMQTYKQMGQTLLMVATLITTVTFAAAFTMPGGYNNDVGPDQGQALLQSNNFFKWFIITDSIAMTCSIIAACLLFWGAVNSNESSYVYYFTSAAALTYFALQFTAASFTTGILAVMPHQQFVETLGTLIGAAFHVITLLFLSQLVKMFSLPEAFRFFIFHLCKLKCKIKKKP >ONI12505 pep chromosome:Prunus_persica_NCBIv2:G4:9880027:9884029:1 gene:PRUPE_4G169000 transcript:ONI12505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLQLIFALLSLAAVALANHAAQPAPQLYWNSVLPNTQMPRAISELLHPDSTNEEKSTNIMNAVGNGKPTDHKGKPENIPLALIFYNKRYDHPTDNQLHYKNVAIFFLEKDMRPGATTNFQFPRNSNTAAFLSRESAQSIPFSSNKLSEIFNHFSVKPTSVEAKTIKQTIEECEAPGVKGEEKYCATSLESMVDFSTSKLRTRNVQAISTEVLEKGATMSMHKYTTMPGLKKLAGDKVVVCHKQNYPYAVFYCHAIKPIAAYVLSLKGDDGVKVKAVAICHLDTSEWNPMHLAFQILKVKPGKIPICHFLPTDHIVWVPNHKSA >ONI10179 pep chromosome:Prunus_persica_NCBIv2:G4:1518109:1520371:-1 gene:PRUPE_4G032600 transcript:ONI10179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVQEDEKLLIYEFMPNRSLDTHLFDPVRRPELDWGRRFNIIQGVARGLLYLHHDSYVKVIHRDLKVSNILLDENMNPKISDFGLARIVQGTQNLTNTQKVVGTLGYMSPEYAMGGIFSEKSDTYSFGVLLLEIISGRKNTSFYYHDQELGLIAYAWHSWNEGRGLDLVDEVLADSYSPSEVTRCVHIGLLCVQDNAADRPTMPDVVFMLSRETDRPQPKWPIFNFHSSVSDPQPRYDNICSANEDTITLLQGR >ONI09780 pep chromosome:Prunus_persica_NCBIv2:G4:458143:458532:-1 gene:PRUPE_4G009100 transcript:ONI09780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNCLLALVALVLALVACAADGRDVKVDGWQPVGDLSDPHVVEIAKFALSEFSKQSEPVQNKLVYYNMAKGETMVESGVKYKLVIGATNETIPDPLFSLNYYEGVILEKAWKHFGQLLSFAAIPKEGN >ONI12870 pep chromosome:Prunus_persica_NCBIv2:G4:11220112:11220971:1 gene:PRUPE_4G188400 transcript:ONI12870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEIKTEAVVKVGIEALWKALVKYVRFVAPKLIPLVKNVQMLEGDGGLGTVLLSNFGSDIPKISSSKEKIVELDESLHKIGLQVIEGGHLNLGFSSYRTTFQLTAIREQRSLVSIMITYESEVEDSGIPSTINMSSFNFIRNLESYLLNDAT >ONI14098 pep chromosome:Prunus_persica_NCBIv2:G4:19028015:19029785:1 gene:PRUPE_4G261800 transcript:ONI14098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRSLIVLSLAFVFMINSALATAVTYNVASLGAKANGKTDSTKAFLSAWAKACASVKPVVIYVPAGRFLLRNVVFSGPCKNNAITFRIAGTLVAPSNYGVIGNADNWIFFQHVNGVTISGGILDGQGTALWACKASGKSCPSGATTLGFSNSNNIKVSGLISLNSQMFHIVVNGCHNVKMDGVRVSASSNSPNTDGIHVQMSSGVTILNSKIATGDDCVSIGPGTSNLWIENVACGPGHGISIGSLGKDQQEAGVQNVTVKTVTFTGTQNGLRIKSWGRPSTGFARNILFQHAVMINVQNPIVIDQNYCPEKKGCPGQVSGVRISDVTYQDIHGSSATEVAVKFDCSSKYPCSKIRLENVKLTYKNQVAVATCSHAGGTAAGMVQPTSCL >ONI09617 pep chromosome:Prunus_persica_NCBIv2:G4:91611:92215:1 gene:PRUPE_4G000600 transcript:ONI09617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMKENCFNLSILSSLPHVALFNLNLLSPLYLFNLKTKKKQTKCIKWAHKCSTCMYGSHIVNLLDNTSFCGLPCCKIYLHS >ONI10659 pep chromosome:Prunus_persica_NCBIv2:G4:2870818:2873847:1 gene:PRUPE_4G060200 transcript:ONI10659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNSTATSSSASPIWLYSYIKLRFFNRIRRFLRSKNARKRPTPSDHFDTSSSRPAKVISNNNEATMAGQVLEKQSGDDHEDDVLGLQRAVKKLHFGVCWEERELAAMEIGTLAKEDVKVRKLVGGLGVIPVLVSMAASEVVARRRAAVSALIQLANGTYTNKALMAEAGIFSKLPKDINILDEPTRHQFAELLFLLSSLANTQFPLSSLETLPFLVGIIESGTSSIETKETCLGALYNLSTMLDNAGNLVSNGVVEALLNLSSIKELSEKALATLGNLVVTLMGKKAMENSAAVPESLIEILTWEDKPKCQELSSYILMILAHQSSDQRVKMANSGIVPILLEVALLGSSLAQKRALKLLQWFKDERQAKMGPHSGPQTARFAIGSPLGSPVNPREAQQGKKMMKNLVKQSLYKNMEMITRRASASGDSSKLKSLIISTSSKSLPY >ONI12491 pep chromosome:Prunus_persica_NCBIv2:G4:9755445:9758295:1 gene:PRUPE_4G167900 transcript:ONI12491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASPAKKVLVPIANATERIEAVTIIDVLRRAGADVTVASVEKQLRVEACNGVIISDVLISDCSKSDFDLIALPGGVLGAANLKNNEVLESLVKKQAADGKLYAAICASPAMAFGTWGVLKELKATGHPLFMEQLASSAIAVESRVQVDGKAVTSRGPGTAMEFAVALVEQLYGKEKADEVSDFLVMRSNHGDEYIITELNPVEWTPNDSPKILVPIANGTEEMEAVMIIDILRRAKANVVVASVEDQLEILASCKVKLEADVLLDEAAKLSYDLIVLPGGLSSAPTFAKSEKLVNMLKKQRDSQRPYGAICASPALVLEPHGLLKGKKATAFPPVSDKLSDTSEIEKRVVIDGNLITSKGAGTSMEFALGIVEKFFGRKEAIESAKIMVFVHP >ONI13420 pep chromosome:Prunus_persica_NCBIv2:G4:13853683:13854944:1 gene:PRUPE_4G220700 transcript:ONI13420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLYGCFSPSNIKVPTQYMCTYMDKQNKSKITSKTLGQEEKISHFLHVFMCAACLRSLALPCPCVSTMSIKNFVTRVISRRKHI >ONI10022 pep chromosome:Prunus_persica_NCBIv2:G4:1122592:1125490:-1 gene:PRUPE_4G023700 transcript:ONI10022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFRSLKALIENPKRSRTFLTATASYISAPLQYPTLSYPLSHPLFRLPSQISRTFLSPLSNWIIPFHGPLFLSCPPWKLSQSATPLYLRGNGVVLRKIEASLSLNLIRRRPSFPLPFEVGSLSPAPTVLDRGVGLKEASDDFVNLPNLISISRMVSGPLLGWMIANEWYSSAMVGLAISGATDWLDGYMARRMKINSVVGSYLDPLADKVLIGCVALAMVHEGLLHPGLVGLIVFRDVGLVGGAVYQRASNLEWKWKSWSDFFNIGGTRPEKVEPLFISKLNTVFQLILVAAALLQPEFGTQDTQIYITYLSWLVASTTVTSTAAYGAQHLRRSALTARKS >ONI10023 pep chromosome:Prunus_persica_NCBIv2:G4:1122673:1125490:-1 gene:PRUPE_4G023700 transcript:ONI10023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFRSLKALIENPKRSRTFLTATASYISAPLQYPTLSYPLSHPLFRLPSQISRTFLSPLSNWIIPFHGPLFLSCPPWKLSQSATPLYLRGNGVVLRKIEASLSLNLIRRRPSFPLPFEVGSLSPAPTVLDRGVGLKEASDDFVNLPNLISISRMVSGPLLGWMIANEWYSSAMVGLAISGATDWLDGYMARRMKINSVVGSYLDPLADKVLIGCVALAMVHEGLLHPGLVGLIVFRDVGLVGGAVYQRASNLEWKWKSWSDFFNIGGTRPEKVEPLFISKLNTVFQLILVAAALLQPEFGTQDTQIYITYLSWLVASTTVTSTAAYGAQHLRRSALTARKS >ONI10382 pep chromosome:Prunus_persica_NCBIv2:G4:2137651:2140870:1 gene:PRUPE_4G044500 transcript:ONI10382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIASMRKSFKDSLKVLEADIQHANTLASDYPREYDGACLQMRMSYSPAAHLFLFLVQWTDCNLAGALGLLRILIYKVYVDGSTTIMSTKERKASIREFYAVIYPSLLQLQRGVTDSEDKKQKAVCVERYRKRDDEENRQCSDIDIEREEECGICMETNSKIVLPNCNHAMCLKCYRDWRTRSQSCPFCRDNLKRVNSGDLWVYTDCRDIVDMETVMRENLRRLFMYIDKLPLVTPDNPFDPYDSHLR >ONI11638 pep chromosome:Prunus_persica_NCBIv2:G4:6367756:6369075:-1 gene:PRUPE_4G117600 transcript:ONI11638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFHPTITFPFSYTFNTTSSGHGGIAENINTSSPSPWMDSRIWSKLPHRLLDHVIAFLPPPAFFRARCVCKRWYALLFSNTFLELYLQVSPRRHWFLFFKHKRLKSSYIYRNNNIGGSHGDNNRAGTDCEGYLFDPYELAWYRLSFALLPSGFSPASSSGGLICWVSDEAGPKTLILCNPIVGSLTQLPPTLRSRLFPSIGLSVSPSSIDVTVAGDDLISPYAVKNLTAESFHIDGGGFFSLWGTNSSLPKVCNFESGQMVHVQGKFYCMNYSPCSVLAYDVAANNWWEIKAPMRRHLRSPSLVESKGKLLLVSAVDKSNLNVPKSLRLWGLQACGTTWIEMERMPQQLYVQFAELENGNGFHCVVHGEFLIIMIPGSDKALLFDMCRKRWQWIPPCPYVHAGIDGDLHGFAYEPQLATPVTGLLDQLTTIPFQPFTG >ONI11409 pep chromosome:Prunus_persica_NCBIv2:G4:5443650:5447532:1 gene:PRUPE_4G105400 transcript:ONI11409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATWRKLKKSLSHKFSLNSSDSSNSSSRPPRPPPPEISATVGPSPSSRSSSSSSSAISKLSRSFSSRLSKKNCAICLSNVRAGQGQAIFTAECSHSFHFVCIANNVKHGNLCCPICRSKWNAVPFQAPTNAADLQQNNLGQMHTNVYHRHQSHLPLQPRVHPEPLSFSDDEPLPSTSPTRLSGPQTVAIKSYSEYSAISVAESRPTFPVLVSIRAPPLQDANGHGRTPIDLVTVLDVSGSMFGTKLALLKSAVKFVIQNLGPYDRLSIVSFSTTPKRVFPLRRMTVDGRESAILAVESLRANGGTDIVGGLKKGVQVLEERRERNPVSSIILLSDGRDNCNYGSQTTSSANQVSEFLNQLPASVRCSNIPVHAFGFGTDHDATTMHAISDSSGGTFSFIESVAMIQDAFAMCIGGLLSVVAQELRLTVRSASQGVQIVSIPSGRHMSEISDESQQGVVDVGNMYAEEEKQFLVYLSVPISTGTRNREGVKKTPLLDVSCLYKDLGSNELIQVVGERVEILRPEAWSPADEAVCLEVDRQRNRILVAEGITEAQVMAEMGNLEGAQAILAQRRSTLLTTAAAQAGDGLCNWLEAELREIRDRMASMESYTQTGRAYVLSGLSSHSWQRATTRGDMTTQILTMSEGGTSRTSVGTTVGYETPTMVTMVRMSQNLGVNPAA >ONI13178 pep chromosome:Prunus_persica_NCBIv2:G4:12946569:12952807:-1 gene:PRUPE_4G208000 transcript:ONI13178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNLSNHSESPVGDPPRRRSPSRKSPSRIERSPTRHRRSHRGSSPPREKHSGHPKSPKHARSPSPPVRSPSPRTKRLRRAQAGREAVKEPERSNGRGTDRGLQKEGVSERDVGSDRKEKRSGRDDVDGKSSRPRHGTSPSDRQRRSRHISPSPQPAGVTRDVEKVIENDSERNHGRWSDRRMQREKGSDRETDSERVERRSGKDSTDHRSSRTRHGRSTSPLDRDHKNRQRSLSPQQAANTRARDEVPNSREDEHRDDENDAVAMMKAAEEALEAKQKEKPSFELSGKLAAETNRVRGITLLFTEPPDGRKPDVRWRLYVFKGGEVLNEPLYIHRQSCYLFGRERRVADVPTDHPSCSKQHAVIQFRQVEMEQPDGTLSKKVRPYLMDLGSTNKTFLNDTAIEPQRYYELMEKDTIRFGNSSREYVLLHENSMN >ONI13829 pep chromosome:Prunus_persica_NCBIv2:G4:16583651:16585489:1 gene:PRUPE_4G248400 transcript:ONI13829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAAKIELGSQTVQELLGKGKAKQVPEKYIHKVGAPNASSAQLMDIPVIDLGLLLTPSSITAQQLEKLRSALTTWGCFQVINHGMTPEFLDEVREMTKQFFALPVEEKQKYLRQVNDIQGYGNDMVFSEQQTLDWSDRLYLSVYPEEHRKLKFWPQNPKSFSETLDQYTMKLQVVTKTVLEAMARSLNLDVNCFRDLYGEQGKMDVRFNFYPPCSRPDVVLGVKPHADGTIITLLLQDKQVEGLQFLKDDQWFRAPIVPEALLINVGDQAEILSNGIFKSPVHRVVTNPDKERISLAAFCIPESDKDIEPFESLVNESTPRLYKKVKNYVGIYFEYYQQGRRPIEAAKI >ONI13161 pep chromosome:Prunus_persica_NCBIv2:G4:12888034:12888500:1 gene:PRUPE_4G206500 transcript:ONI13161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTFISRKTIKTKYEKKKLSFDWVGETENHQLFVLSITKATIKTKVSKTQLIYTHTGQQRGIVISRFLPPLIPYQVKES >ONI11876 pep chromosome:Prunus_persica_NCBIv2:G4:7274934:7277888:-1 gene:PRUPE_4G131600 transcript:ONI11876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHHLINISHYFLLSLCLLGIGLATSTAVKAKSICIEEERKALASFKQDLTDPSGRLSSWVGHDCCNWEGISCNNRTGHVSQMDLRNPHPYVWWNDEEWDELAYNKSCLGGKINPSLLSLKHLHYLDLSWNNFEGIHIPNFFGELKTLRYLNISSAQFAGEIPPSLGNLSNLNYLDAGWYSSLSSKNLNWLSHLSSLKYLNLNGVDLHGNTNWLHIVNMLPSLLELHLSDCGLVSHSLSLQRINFTSLSVLDLSANDFNTSSFPSWIFNLTSLKRLDLNSNSFDAHFLDELGNLKSLEYLDLSSSGLKDSGVPRVLGNLCKLKTLNLEWNNFSGGGIEEFWGSLSNCPNNTLVLESLDLSSCGLEGQLPASLGMLKSLQYLYLYDNQMNGSIPQSLGQLSELIDLALYGKSWEGNITEAHFINLTNLKWLSIGPNLDDIEKPMSLVFNVSYDWVPPFKLHQIVIINCNTELAYVLLNRTGISDSIPEEWLLKLSSQLVNLDLSYNQFRGRLSSNQLIRFPKLDTISLDHNQFKGPLPLWSTNATFLNLESNLFSGPIPSNFDKLMPKLKEMYLSENHLNGTIPPSICNMQDLRLISLRSNHFSGEFPHAWSSESHIQIVDVAYNNLFGNIPTSMGVLTSLVILKLNNNNFGGKIPDSLHNCSVLKSIDLGDNKLSGSIPPWIGGSNVSMLYMLRLRSNFFTGQIPIQLCNLGNLHILDLSHNNFSGAIPKCFNHLTSLINVSYGTSEYVDEEPTMLTLKGQELVYNTTLMLVKSIDLSSNFLEGEIPQEICSLTLLGTLNLSRNQLTSNIPSIVGSMHMLETLDLSHNHLSGHIPQSLASLTFLSHLNLSYNNLVGRIPLGSQLQTLSDSSIYMDNPSLCGVPLPKCPGDDTFTATNAKHSNEDGNDNGALWFYVSMILGFIVGFWGVCGTLLLKKSWRYAYFRFFDNTKDKVTLAIALKVARLQKKFHHV >ONI12217 pep chromosome:Prunus_persica_NCBIv2:G4:8663839:8664753:1 gene:PRUPE_4G151800 transcript:ONI12217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRLDQEPLLTLPSKPWFNTFESPSNDQTSLPDLDLYLQLLSKLFAPHDGNYIKHISVCVATTFKRS >ONI14229 pep chromosome:Prunus_persica_NCBIv2:G4:21541152:21544378:1 gene:PRUPE_4G269900 transcript:ONI14229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGERDEENGSKIVVVGGRMWPESSSEATEVVAGLNREEREEALDQTFKDIIGVDLPFGGKVMFFWGDFRQVLPVVTKGTSILCSFDSIQDNTRNLYQQEFLNSISPGGMQPHELTLKKGAPIMLLRNIDPKMGLCNGKFARTRVFLPRISLKTAESAALPFEMIRKQFPMKLSFTLTINKSRQTILNVGIYLPNHIFSHGQLYVALSRGVSIGTTKVFVKKGELTGQEGVFTKNVIYTDILRLSDS >ONI11853 pep chromosome:Prunus_persica_NCBIv2:G4:7165418:7167100:1 gene:PRUPE_4G130000 transcript:ONI11853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVPRYQSGDQGRRLDPARKALKLPFEMIIEILSWLTVDALLRMKSVCKKWCALIEDHHFIVKHMDRASPLPIKCQYSRRHDQVALLHSKNIRCMSRVAGLFVEKHHSLPVCRIRNPVIGHVLDLPNAHMDVCRMKCAFDSLTGDAKLVSAYIHNDEVGYEVMSIGQDGDWRALKHPNQGLLKKNGKWTTRRQFFLTDEVHDGVYFCSEIITDGEDWCLEVQALDPWNECFITTTLPQGVFNGCKRVWVFNWNQHIAVGDIVEEELHVLVLEDLKEEQWSQNKIIVPLKFLQHQPLLDDQVLIPVKADCDELKLRYKGGKTLFYDMNNEMIKKVVYKWFDTDLLGFYKPSLVSLKGMRQDERVRHRMGID >ONI10779 pep chromosome:Prunus_persica_NCBIv2:G4:3304642:3306318:1 gene:PRUPE_4G068000 transcript:ONI10779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWGSKKKRPPPISSSSSSSSQPFLISHVFPTSWLSKFKQKGGNSEPKPSKVNRKGKQNSPSLGSPRFAAAKGGGRFYGVVDDDDDAFWRLSFGEDSAEVKKNRGVLRSVWFDSDDEFEVQPSSRGSCQTSDRIVKGRDLSQKLKGMQKSGNAKEWKLRKENRELEGKKLLKLERDADKAEETSTETVESDEVEMLAARKFWATETDARKHQYVSSLNSRNSSLKTIQEEALNLETEEPSEEKQPSDWQKLKERKIQEVKSKSEKHRKSLYISRELQRRRTKRSSKVRVCSPRTASRVEICKIKALENMTKAKMKMKRVAKEGAVQQVRTGLNSFAVVKCSFDPQQDFRDSMVEMIVEKKITQPEDLEELLACYLTLNSDEYHDLIVKVFRQVWFDLNQASFSTELQNEQCSSD >ONI14544 pep chromosome:Prunus_persica_NCBIv2:G4:25106818:25124070:1 gene:PRUPE_4G286600 transcript:ONI14544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGARKVGSGKMVEGEREKKKKKKKRQPQPQPLEEEDNEPKHKKKKKREGFYVNFSGGPVQSETQTHEEEEEENKKGKSEGKNKRRRKVNAIRVGERLVSPYFHNQGGGGVDGEKEKKKKKKKEQEGNYNVDLDEANANANHKRRRRRIKVLKCDDGQRVVSPYFQNQGGDGEKGKIFPTSPTNSGIIVNVVAQTEKVSYENKPKTKKKKEKLNCNVGLLEANVNSDHKRRRKAPICIGQRVVSPYFQNQGGDGKKGKMFATYRNVVAEIEKVSGENKTEEKEQVNFNDVFYGISIKKKRRRVTRHDKDDSSCRNALEPEPKPEEITEFLPTSPEAIHKDESTEPAGVANEDVTSVEVSGANDIGKKKKKRGNRETSTMMNVVNEKEREVEEKSEMNPQIKANKSNNGILKLEDVLAQFAYKGGASVNNRQIDKQKKMGTPLQTYYQISGVKKQEVEEEEEKKYQKESETAPHFPLNNSINSSIVVSESARNTTEEHATVPSTCRTFKEEKVSQNSAENGKVSSKRRKNENHQRMARVEVRKVSPYFQTSVVQELLVNGADDTKAKPPKRCSKTCSKSVKVSSYFQKESKEEDNVDGHLLESKKRRKRSPAIKTALSASQKKDEAYRRRTPDNTWIPPRSEYGLMQEDHFHDPWRVLVICMLLNRTTGLQARRVISDLFTLCPNAKAATEAATEDIEKVIKSLGLQKKRAAMIQRLSQEYLEESWSYVTELHGVGKYAADAYAIFCTGKWERVKPTDHMLNYYWEFLGRIVPPV >ONI13414 pep chromosome:Prunus_persica_NCBIv2:G4:13839440:13840514:-1 gene:PRUPE_4G220300 transcript:ONI13414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVEKPQILVLSLLAILLIIAPLLSASLRTPYLYIITNLLIIALGAQAGLLSAFSNPSDYDKDKPLVMASEFASSDKRVVADSDEDKRVGSKSSEKSSEKSSEKSSEKKAKVVEKSRSEKIAGTVKIDSVKKCPSMPSLFFIGGSEADQGDHEVIEEKHEMEEEEEVVGEISGQELFTKAETFIGNFYKQLKMQREDSWKKIHDFYHKAF >ONI12363 pep chromosome:Prunus_persica_NCBIv2:G4:9115588:9117416:-1 gene:PRUPE_4G159100 transcript:ONI12363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWKGLRLILFPMPLQGHLNPMLELANLLHHQGFSITIIHTQFNPLNPSTYPHFTFHSIPVALSQAEASTSDILAFISLLNVKCSEPFRECLARLLSDNQVPVACLISDLLFHFTQPVAESLKLPRILLRTSAAFSFVAYAAFPLLLEKGYLPIQDSRLEEPVIELPPLKIKDLPVLKTMDPEKYYELVSGLKKETEASHGIIFNTFEDLEGSSLATIRQEFNIPIFPIGPFHKCFPAASSSSSSSSLLSQDQSCIRWLNSQALKSVIYVSFGSIAAISEAQFLEMAWGLANSNQPFLWVIRPGLVHGSEWLEPLPSGFLEALNGRAHIVKWAPQKEVLAHPAVGVFWTHNGWNSTLESICEGVPMICMPCLSDQMANARCVSDVWKVGLQLEHGIKRGEIERTVRKIMVEKEGEEIRERIFQLMEKANVCIKQGGSSYQSLEGLVKHILSLEK >ONI09797 pep chromosome:Prunus_persica_NCBIv2:G4:476687:483666:1 gene:PRUPE_4G009700 transcript:ONI09797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITGSPTTSTVDCAYQRSDSTALAAVAAAVDQLHQRLSSKRKLDDYGGPTFSDDEDDAVLSDLVHVRMRKDEPNAVDSSSNGAANPPHSSGNTDHLNSRVPNARSTSHGESTHPESTRSRAMLQFFIRTMSGGNNLVIQAYAHDTVKSLHERIQTITGIPVFEQRLIYRGKQLQWEQSLAECSIQNDASLQLVGRLRSTDHPQAWQVLEDIVTTAFRLCRGEVVHEPSKYIKSRMSQYLAMAQKEKNDSGVSHLQVFVPSSAPLALLMLYVSTLPGNKTVAETSIKYFLNNYPTLLPKHLHNHCAPIVLEFCKFLRRLGQEDPLYLLCRSALGSLLENVGNLQESESVEVLIGGLKEISPFVSELATILSRDLLLSMEFPTCGRPMSDDVSDFKAFLLPLRTAVEQQVCFGPISASLKGKACKHPLYGEEIELLRDIQADLLLKMDECLGKMGEFLAGKGKGEGDIVHSGWSQYLSILKELSGICILYQGGEEQLKNILRLRRASLCALVVKCAKRSDDHQWLIQHKDLLDFESRRHLAMMMFPDVKEDYEELHEMLIDRSQLLAESFEYIGRAEPESLHGGLFMEFKNEEATGPGVLREWFFLVCQAIFNPQNALFVACPHDHRRFYPNPASKVDPLHLEYFTFAGRVIALALMHKVQVGIVFDRVFFQQLAGTLDLSLEDIRDADPFLYNSCKQILEMDAEFIDSDALGLTFVREVEELGARKTVELCPGGKSKIVNSKNREEYVNYLIQHRFVTSISEQVSQFAQGFTDILCSSRLQSFFFRTLELEDLDWMLHGSESAISVDDWKAHTEYNGYKETDPQILWFWQIVGEMSAEQKKVLLFFWTSVKYLPVEGFSGLASRLYIYKSSEPYSRLPSSHTCFYRLCFPTYPSMAVMQDRLNIITQEHVGSSFGTW >ONI09798 pep chromosome:Prunus_persica_NCBIv2:G4:476904:480550:1 gene:PRUPE_4G009700 transcript:ONI09798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITGSPTTSTVDCAYQRSDSTALAAVAAAVDQLHQRLSSKRKLDDYGGPTFSDDEDDAVLSDLVHVRMRKDEPNAVDSSSNGAANPPHSSGNTDHLNSRVPNARSTSHGESTHPESTRSRAMLQFFIRTMSGGNNLVIQAYAHDTVKSLHERIQTITGIPVFEQRLIYRGKQLQWEQSLAECSIQNDASLQLVGRLRSTDHPQAWQVLEDIVTTAFRLCRGEVVHEPSKYIKSRMSQYLAMAQKEKNDSGVSHLQVFVPSSAPLALLMLYVSTLPGNKTVAETSIKYFLNNYPTLLPKHLHNHCAPIVLEFCKFLRRLGQEDPLYLLCRSALGSLLENVGNLQESESVEVLIGGLKEISPFVSELATILSRDLLLSMEFPTCGRPMSDDVSDFKAFLLPLRTAVEQQVCFGPISASLKGKACKHPLYGEEIELLRDIQADLLLKMDECLGKMGEFLAGKGKGEGDIVHSGWSQYLSILKELSGICILYQGGEEQLKNILRLRRASLCALVVKCAKRSDDHQWLIQHKDLLDFESRRHLAMMMFPDVKEDYEELHEMLIDRSQLLAESFEYIGRAEPESLHGGLFMEFKNEEATGPGVLREWFFLVCQAIFNPQNALFVACPHDHRRFYPNPASKVDPLHLEYFTFAGRVIALALMHKVQVGIVFDRVFFQQLAGTLDLSLEDIRDADPFLYNSCKQILEMDAEFIDSDALGLTFVREVEELGARKTVELCPGGKSKIVNSKNREEYVNYLIQHRFVTSISEQVSQFAQGFTDILCSSRLQSFFFRTLELEDLDWMLHGSESAISVDDWKAHTEYNGYKETDPQILWFWQENNL >ONI10735 pep chromosome:Prunus_persica_NCBIv2:G4:3113254:3117514:1 gene:PRUPE_4G064700 transcript:ONI10735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKEISAPVVDGSDPTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLESGETVAIKKVLQDRRYKNRELQLMRVMDHPNVVSLKHCFFSTTSRNELFLNLVMEYVPETMYRVLKHYSNANQRMPLIYVKLYMYQIFRGLAYIHTVPGVCHRDLKPPNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRFYRAPELIFGATDYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPFPPLFNFKQDLSGASPELINRLIPEHVKRQMGLNFLHPAGT >ONI13034 pep chromosome:Prunus_persica_NCBIv2:G4:12262161:12264422:1 gene:PRUPE_4G199100 transcript:ONI13034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHFRKPIIGNLHQLGQHLQRSLQTLAQRHGPLMLLHFGSVPVLVVSSDETAREIMKTHDITFANRPKNTFFKKFCYNFKDVASAPYGEYWRQMKSICVLNLLGNTRVRSFRGVREEETKSMIDNITKHCSSSPSSSISSAVNLSEMLETLTNDVICRVALGRKYSDGGERGRTFKKLAGELTLVMWRINEIVVQEHIDEFRGLTKNEDQKDLVDVLLCLQADSPIDRVSIKAIILDVFVGGTDTSFTLLEWTMSELLRHPRIMVKLQNEVRGIVGKKTDIIREDDLVGMHNLKAVIKETLRLHPSIPLLLPRLSTQDAQINGYDINANTQVIVNAWQIGRDPKSYNKPEEFEPERFLDSALDYKGNYFHYIPFGAGRRVCPGIQFAMAVQEIALANLVHKFDWALPDGARGEDLDMTESTGASVRKVYPLKVVAIPYLG >ONI12407 pep chromosome:Prunus_persica_NCBIv2:G4:9381273:9383477:-1 gene:PRUPE_4G162800 transcript:ONI12407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQPYNLMAHSFLLFLLFSDIISTNIHACKQTERSSLISFGSTLSSPPLNWTSIDCCRWKGITCNQDGWVTHLLLPFKGIKGGISPSLGNLTHLTHLNLSHNSLYGSLETQFFLSLNRLEFLDLSYNLLSGELPFSLLSSSIRTVDLSSNHFHGSIPSFIFQRASNLTSFNVSNNTFTGYVPSSICLHSSPFVRLLDFSSNEFSGNLALGLGECSKLEVFRAGHNNLSGLLPEDIYNATKLEEIAVPLNSLRGAISEKIVNLTNLAILDLYINELSSELPFNLGKLSKLKFVNLDFNNLEGALPPSLMNCTNLIELHLGFNNLEGDISMLDFYRLSQLTKLDLRVNSFTGTLPTSLYSCRSLKAIGLTRNHLEGQIQAEILSLKSLSFLSLGYNRFTNLTGAMKILMSSESLHTLLLTGSFVGEGMPSDDDMVGFDGFQNLWMLSLAYCDLTGQIPVWLSKLKNLELLSLNFNQITGRIPSWLGTLPRLFYINLSYNRISGEFPKQLCRLPSLVYGPVASQVDQYEFELPLFKITGKRQTYPPRRLSYFPGLIDLSHNNIYGDIPTEISQLQLLRELYLNSNNFAGVIPDQISDLKDLEVLNLSMNHLSGKIPSSLVSLNFLKRFDVSYNNLQGPIPTSTQIQSFNTSSFAGNPKLCGAPLPNKCGPNKGIDEDNKNNKDSDNWLHQLPWFYIFAALGFIVGFWGVCGSLVINKTWRYTYFQFIDTMNRRLRG >ONI12961 pep chromosome:Prunus_persica_NCBIv2:G4:11819823:11822307:1 gene:PRUPE_4G194500 transcript:ONI12961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQVGSAAQPQNAKPDEIARRTANFHPSIWGDQFINYDDSQDMITHAHKQGQVDELKEVVRREVFTTSAGDLSHQLKLIDAIQRLGVAYHFETEIEEALERILHDHDSDDDGDLYHVALCFRLLRQHGHNVSCDIFNKFKDENGSFKESLIADVSGMLSFYEATHLRVHGEDILEEALAFTTTHLESATTCVSNPLAAQITQALERPLRKSLERLGARRYMPIYQDEASHNECLLKLAKLDFNLVQPLHKKELQEITRWWRALDFERKLPFARDRMVELYFWIVGVYFEPQYSVGRKIMTKVSVLLTILDDIYDAFGTFEELVIFTEAIDRWDLNCSNELPDYMKIFYQALLNLFNEIEEDMVKEGRSYRVPYAIQAMKDQARSYFNEARWLQEGRIPSMEEYMSVATVSISYTFLTTISLLGMGDIVTKESFEWLLNDPKIVRAANTIFRLMDDIVSTNFEKERGHAASSVDCYIKQYGVSEQETVDVFKKQIMDLWKDINEEFLRPTAVPMPVLKRVLNLTRVADLLYKGEDGFTRVGKVTKDSIASVCINPVPL >ONI12962 pep chromosome:Prunus_persica_NCBIv2:G4:11819867:11822150:1 gene:PRUPE_4G194500 transcript:ONI12962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQVGSAAQPQNAKPDEIARRTANFHPSIWGDQFINYDDSQDMITHAHKQGQVDELKEVVRREVFTTSAGDLSHQLKLIDAIQRLGVAYHFETEIEEALERILHDHDSDDDGDLYHVALCFRLLRQHGHNVSCDIFNKFKDENGSFKESLIADVSGMLSFYEATHLRVHGEDILEEALAFTTTHLESATTCVSNPLAAQITQALERPLRKSLERLGARRYMPIYQDEASHNECLLKLAKLDFNLVQPLHKKELQEITRWWRALDFERKLPFARDRMVELYFWIVGVYFEPQYSVGRKIMTKVSVLLTILDDIYDAFGTFEELVIFTEAIDRWDLNCSNELPDYMKIFYQALLNLFNEIEEDMVKEGRSYRVPYAIQAMKDQARSYFNEARWLQEGRIPSMEEYMSVATVSISYTFLTTISLLGMGDIVTKESFEWLLNDPKIVRAANTIFRLMDDIVSTNVRINYSNYVHAYDPERH >ONI11244 pep chromosome:Prunus_persica_NCBIv2:G4:4799227:4802047:1 gene:PRUPE_4G095400 transcript:ONI11244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPWKKMRVNRISQFVADLQSPKRGGSLVVQTGFPTSLVDLFVKNRDHLKKPSKKNKNRKKNNPSADQVSDRITANCELGSGNFGILVQPSSPKVKNLSWEEGDRGVEAGEFRVLGETHVENRIVDDEQCVGGNWRAVLLAVLKIFAVVVLALSTKKLAVGVTLSAFLLLFLEYAGKHLACFFKPCSKAKPVLQNVAQRASSYLLFLNGFHESEDLKGVIVCEKTGDVVFELVDATGDSDSAIGEIQIVESNKKGSVCADETGGDRPLIDLLGADYEKERVVDKRDVGDKTLVELLGMDYEKRTVVDKRRVGAEKAVGEITLVELLGKDYKKIKVVEGGEDGGEFIADKKSKGGKNSNIKSKLIKKFVPKKLRRKCKQNEPELEPLLSSDHMGYDKLEGCEEHEDHKDVKQEEQESENMSVFSAEEKSEIQGFDGVSDLGEGSLAGGETPLIVERKGREGNLGYLVLFLIVLAGLFGGRIVALMLTITSCFMPKLAGSLRRSVKICRCSVPISS >ONI11246 pep chromosome:Prunus_persica_NCBIv2:G4:4799340:4801660:1 gene:PRUPE_4G095400 transcript:ONI11246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPWKKMRVNRISQFVADLQSPKRGGSLVVQTGFPTSLVDLFVKNRDHLKKPSKKNKNRKKNNPSADQVSDRITANCELGSGNFGILVQPSSPKVKNLSWEEGDRGVEAGEFRVLGETHVENRIVDDEQCVGGNWRAVLLAVLKIFAVVVLALSTKKLAVGVTLSAFLLLFLEYAGKHLACFFKPCSKAKPVLQNVAQRASSYLLFLNGFHESEDLKGVIVCEKTGDVVFELVDATGDSDSAIGEIQIVESNKKGSVCADETGGDRPLIDLLGADYEKERVVDKRDVGDKTLVELLGMDYEKRTVVDKRRVGAEKAVGEITLVELLGKDYKKIKVVEGGEDGGEFIADKKSKGGKNSNIKSKLIKKFVPKKLRRKCKQNEPELEPLLSSDHMGYDKLEGCEEHEDHKDVKQEEQESENMSVFSAEEKSEIQGFDGVSDLGEGSLAGGETPLIVERKGREGNLGYLVLFLIVLAGLFGGRIVALMLTITSCFMPKLAGSLRRSVKICRCSVPISS >ONI11245 pep chromosome:Prunus_persica_NCBIv2:G4:4799340:4802488:1 gene:PRUPE_4G095400 transcript:ONI11245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPWKKMRVNRISQFVADLQSPKRGGSLVVQTGFPTSLVDLFVKNRDHLKKPSKKNKNRKKNNPSADQVSDRITANCELGSGNFGILVQPSSPKVKNLSWEEGDRGVEAGEFRVLGETHVENRIVDDEQCVGGNWRAVLLAVLKIFAVVVLALSTKKLAVGVTLSAFLLLFLEYAGKHLACFFKPCSKAKPVLQNVAQRASSYLLFLNGFHESEDLKGVIVCEKTGDVVFELVDATGDSDSAIGEIQIVESNKKGSVCADETGGDRPLIDLLGADYEKERVVDKRDVGDKTLVELLGMDYEKRTVVDKRRVGAEKAVGEITLVELLGKDYKKIKVVEGGEDGGEFIADKKSKGGKNSNIKSKLIKKFVPKKLRRKCKQNEPELEPLLSSDHMGYDKLEGCEEHEDHKDVKQEEQESENMSVFSAEEKSEIQGFDGVSDLGEGSLAGGETPLIVERKGREGNLGYLVLFLIVLAGLFGGRIVALMLTITSCFMPKLAGSLRRSVKICRCSVPISS >ONI14423 pep chromosome:Prunus_persica_NCBIv2:G4:23665695:23668389:-1 gene:PRUPE_4G280300 transcript:ONI14423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGGLSTKLLNICIASFCKVRLLEKAEAIVIDGIRLGLIPDVVTYNTLVSAYSRFVSLDAAYSVIHRMKEAGISPNVITYNSLMSGATQNCLLSRSLDLFEEMLLAGVHPNLWSYNILMHCFFKLGKPDEANKVFQDILLSNLTPHPATLNIMINGLCNNGYIDNALMLYRNLQRHGFVPQLVTYNILINWLCKTRRLRQARRILKELGESGHEPSLITYTTVMKCCFRSNKYDKGLEIMSVMMGKGYTFDGYACCTVVAALVKTGRIEEANACMEQTIRNGVELDLVAYNTLLNMYCREGKFEAAYKLLDEMENGGLLCDKYTHTIIIDGLCKAGNISGAQKHLHYMKMMGFHENLVALNCMIDGLCKAGQIDRAMELYKSMETKDSFTYTSLVHNLCKAGRFRLASKLMMKCLRHGKKIPKATQRAVFDGLYSSGFTNEARKLWWKIRVARILH >ONI14303 pep chromosome:Prunus_persica_NCBIv2:G4:22808109:22815600:1 gene:PRUPE_4G274000 transcript:ONI14303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGYNFRLMGKPISLTLAALVCTTILFWAWEKNPFADTLLLAREHFTVPSIDFLGDSANNSSKPMKKNESVQGKDSHLITNEDTKVVENFGTAPIDSIAAFSPGMQESDGNMQSSFQTEACNYAKGRWVADSRRPLYSGLKCKQWLSEMWACRLTQRTDFSFEGYRWKPMNCEMPDFERSTFLRRMQDKTIAFIGDSLGRQQFQSLMCMASGGEDSPEVQNVGKEYGLVKRRGAIRPDGWAYRFPDTNTTILYYWSASLCDLVPLNISDKASDIAMHLDRPPAFMRQFLHRFDVLVLNTGHHWNRGKINGNRWVMYVDGKPNEDKKRAEIGNAKNFTVYSVVRWVDSQLPSHPRLKAFFRTISPRHFFNGDWNTGGTCDNTTPLAGGSEVVQEGSSDSVIDGALKGTKIKILDITALSQLRDEGHISHYSIRGKGNAGINDCLHWCLPGIPDAWNELLAAQI >ONI14302 pep chromosome:Prunus_persica_NCBIv2:G4:22807635:22815689:1 gene:PRUPE_4G274000 transcript:ONI14302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGYNFRLMGKPISLTLAALVCTTILFWAWEKNPFADTLLLAREHFTVPSIDFLGDSANNSSKPMKKNESVQGKDSHLITNEDTKVVENFGTAPIDSIAAFSPGMQESDGNMQSSFQTEACNYAKGRWVADSRRPLYSGLKCKQWLSEMWACRLTQRTDFSFEGYRWKPMNCEMPDFERSTFLRRMQDKTIAFIGDSLGRQQFQSLMCMASGGEDSPEVQNVGKEYGLVKRRGAIRPDGWAYRFPDTNTTILYYWSASLCDLVPLNISDKASDIAMHLDRPPAFMRQFLHRFDVLVLNTGHHWNRGKINGNRWVMYVDGKPNEDKKRAEIGNAKNFTVYSVVRWVDSQLPSHPRLKAFFRTISPRHFFNGDWNTGGTCDNTTPLAGGSEVVQEGSSDSVIDGALKGTKIKILDITALSQLRDEGHISHYSIRGKGNAGINDCLHWCLPGIPDAWNELLAAQI >ONI10010 pep chromosome:Prunus_persica_NCBIv2:G4:1087431:1088905:1 gene:PRUPE_4G023100 transcript:ONI10010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPAFHPYQYVFPSHLLYPYHLAPENYVHWTETPESHIFSADLPGVRKEEIKVEVEDSIYLIIRTQRIDEATEPSRNFMRKFRIPGRVDLERISAGYEDGVLTVTVPRSLRRTFFIDPADVPERLEVLARAA >ONI10263 pep chromosome:Prunus_persica_NCBIv2:G4:1782316:1785857:1 gene:PRUPE_4G037800 transcript:ONI10263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVGIATSGNNKEYVGNLTPYVLATCIVAAMGGLIFGYDIGISGGVTSMDSFLKKFFPAVYRKKEEDKTTNQYCQYDSQTLTMFTSSLYLAALIASIIAATVTRKFGRKLSMLFGGLLFMAGAIINGAAKAVWMLILGRMLLGFGIGFSNQSVPLYLSEMAPYRFRGALNIGFQLSITIGILVANVLNYFFAKIDGGWGWRLSLGGAMVPALIITIGSLVLPDTPNSMIERGQHDEAKAKLQRIRGVDDVSEEFSDLVAASEASKQIEDPWRNLLKRKYRPHLSMAILIPFFQQLTGINVIMFYAPVLFNTIGFGSDASLMSAVITGSVNVLATMVSIYGVDKWGRRFLFLEGGAQMLICQAVVTACIGAKFGVDGTPGELPKWYAIVVVIFICTYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMIFTFLVAQIFLTMLCHLKFGLFLFFAFFVFVMSIFIYYFLPETKGIPIEEMGRVWRTHWYWKRFVSEEEDHPNGGYEMGKAGQTVKNV >ONI10264 pep chromosome:Prunus_persica_NCBIv2:G4:1782316:1785859:1 gene:PRUPE_4G037800 transcript:ONI10264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVGIATSGNNKEYVGNLTPYVLATCIVAAMGGLIFGYDIGISGGVTSMDSFLKKFFPAVYRKKEEDKTTNQYCQYDSQTLTMFTSSLYLAALIASIIAATVTRKFGRKLSMLFGGLLFMAGAIINGAAKAVWMLILGRMLLGFGIGFSNQSVPLYLSEMAPYRFRGALNIGFQLSITIGILVANVLNYFFAKIDGGWGWRLSLGGAMVPALIITIGSLVLPDTPNSMIERGQHDEAKAKLQRIRGVDDVSEEFSDLVAASEASKQIEDPWRNLLKRKYRPHLSMAILIPFFQQLTGINVIMFYAPVLFNTIGFGSDASLMSAVITGSVNVLATMVSIYGVDKWGRRFLFLEGGAQMLICQAVVTACIGAKFGVDGTPGELPKWYAIVVVIFICTYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMIFTFLVAQIFLTMLCHLKFGLFLFFAFFVFVMSIFIYYFLPETKGIPIEEMGRVWRTHWYWKRFVSEEEDHPNGGYEMGKAGQTVKNV >ONI13819 pep chromosome:Prunus_persica_NCBIv2:G4:16462296:16466294:1 gene:PRUPE_4G247400 transcript:ONI13819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKMTVVPSGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQQNFHEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLIGKISKDYVKLLKYGDSLYRCKCLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLEGISEEDKKLVTEMKAEAMKTVIGQGGEATNDEGVLLTMSTLTEEGVIAVKNAACERLLNQRVELKMKSKKINDCLNRFHVAMPKPRDNKERPPCIPQAVLEAKAKQAAEKEKRNTERDLENENGGAGVYSASLKKNYILANDEWKEDVMPEILDGHNIYDFVDPDILHRLEELEREEGHRQAEEGDDDFEMDGLELTPEEQKTLAEIRKKKSLLIQQHRIKKSTAESRPTVPRKFDKDRKFTTKRMGRQLSKLGLDPTKAINRARSRSVSTRGRKRERSLDRDDTDGGDAMDVDTPNKKQRMLSRSRSRSRSRPPTEVVPGEGFKDSAQKAKALQKANKSNKMRNKNARRGEADRVIPTLKPKHLFSGKRSIGKTQRR >ONI13787 pep chromosome:Prunus_persica_NCBIv2:G4:16243786:16246228:1 gene:PRUPE_4G244900 transcript:ONI13787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATVNPNGIQMARTSSSKKKRKEEEYPNPEVTQRKRLKALAFSNNLLSEVPAKPHAPFTPSNTVVKHHGKGILKKSQRKNRFLFSFPGLLAPIGGGKIGELKDLGTKNPVLYLDFSQNRYLTMQFPRGGKSVMCEDYFDNMIVFSDAWWIGTQAENPEEAQLDFPKELTEGQHTEYDFKGGAGSTSANKQSDRKNETTYVEHSPNVKVEDNVLDDGNKDLMRATPVRHSARTAGKRFKYWPKHFIALTRYCHKRSEAGGIKYTHTKYANFGNASSGDDAFETDT >ONI10131 pep chromosome:Prunus_persica_NCBIv2:G4:1357502:1362751:-1 gene:PRUPE_4G029200 transcript:ONI10131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNQNRAPRSPFTKKSSADEVPVDKRRRIETRKTDAQGSMGRPRPPLSVRQEAAPTSDIGSTEGSECASVEFTKEEVEALLNEKLKVKKFDHKGKADQLADYTKRLKLCIKWFQHVEEGHLLEEEKLRNALSSAEQKCTDTEVEMKNKVDELNAVSSKLREDIATLEKKVAKEESEKLDAITSHRREKEARDAAEKLQDSLSVELEKVREEKLVAEQRVASSEDLYNRAQEYNKSLQQYNSKLQSDLETTTESLKRVEDEKRTVVETLSNSRGHNKALQDQLTSLKASLDDALKQKESLVNELKCLRGELQQVRDDRVRHVREIQDLKDEVVKYKEYTGKSCAELDTLTRKSKAVEERCSSQRMQIDTLKHELEAANEKLKMADLSASETRTEFEENKRIVRELQDRLAEAELQILEGENLRKKLHNTILELKGNIRVFCRVRPLLPDDGNTTEAPVISYPTSTETLGRGIDLVQSGQKYPFTFDKVFHHETSQQDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPDAPEQKGLIPRSLEQIFQASQSLQAQGWKYRMQASMLEIYNENIRDLLCTSRSSGADLSRTENGVCGKQYTIKHDANGNTHVSDLTIVDVCSIKEISSLLQQAGNSRSVGKTQMNEQSSRSHFVFTLRISGMNENTEQQVQGVLNLIDLAGSERLSRSGATGERLKETQAINKSLSSLSDVIFSLAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMVVNISPDASSVGESLCSLRFAARVNACEIGIPRRQTTTTRPPSDSRLSYG >ONI11225 pep chromosome:Prunus_persica_NCBIv2:G4:4709207:4711902:-1 gene:PRUPE_4G093500 transcript:ONI11225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIMQLSLVVILGAAIFTTIAAAPALPQALPGCRDKCGDLTIPFPFGIGDGCYLRPEFNLTCDQSTTPPSANLTGHTIRISDFYLAEAELQVMTFTSRDCYDTKGLRAYRNTPILWLPPPYTISNTKNKFVAIGCDTYALFQGYRGEERFITGCMSLCDSLGSVEYQDSYCSGIGCCQTSIPSGLKNCTVQLSSYYNHTFIMDFNPCSYAFIVEQGQFKFNSTSFQQLNSISQIPMVINWEIGDETCDIAAQKREDFVCKADYSECVNRNTTINGSGYICQCLPGYQGNPYLPHGCQDFDECKALNPCSIGSCENLDGNYSCRCPKGYKNDGTNKQSCIKDDPNNLLLIISLGVSGGFLVLLVGISLIYWRMQRRKFTKLKEQYFIQNGGLLLQEQLASQVGAVETTKIFTAEELERATNNYHESRILGEGGYGIVYKGILPDSNRVVAIKKSKIGVPTQKEQFVNELIVLSQINHRNVVRLFGCCLETSVPLLVYEFIANGTLFEHIHHINGRGSSFSWELRLKIAAETAGALAYLHSSALMQIIHRDVKATNILLDDNYMAKVSDFGASRLIPLDQNQLTTLVQGTFGYLDPEYFLTNQLTEKSDVYSFGVVLMELLTSKVALSFARPEEERNLASFFVCSMDEGRLYQILDDDIANERNIETLQNVADLAKRCVRLKGEDRPSMKEVAMELEGMRIAAKHPWGKDDICAEETEYFLGSPNSDTYLLNVRADCGPSGTSSGYDSMQNQLLMPNDDGR >ONI13097 pep chromosome:Prunus_persica_NCBIv2:G4:12577445:12580349:-1 gene:PRUPE_4G202200 transcript:ONI13097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIDCGSEKIDKSFVGHGDSINEIRTQPLKSSLVVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFIASIHTNYVDCNRWLGDFLLSKSVDNEIVLWEPKMKEQSPGEGTVDILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFVWELQSSPPVLIAKLIHPQSKSPIRQTATSFDGSTILSCCEDGTIWRWDAMENS >ONI13096 pep chromosome:Prunus_persica_NCBIv2:G4:12577120:12581515:-1 gene:PRUPE_4G202200 transcript:ONI13096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKFALGSEPVAGSLAPSKKREYRVTNRLQEGKRPLYAIVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYIDEDKDESFYTASWACNIDGNPFLVAGGINGIMRVIDCGSEKIDKSFVGHGDSINEIRTQPLKSSLVVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFIASIHTNYVDCNRWLGDFLLSKSVDNEIVLWEPKMKEQSPGEGTVDILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIFVWELQSSPPVLIAKLIHPQSKSPIRQTATSFDGSTILSCCEDGTIWRWDAMENS >ONI13250 pep chromosome:Prunus_persica_NCBIv2:G4:13205876:13206781:1 gene:PRUPE_4G211800 transcript:ONI13250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLILGQLFCLCHKLLLFSWHLLCYSRITFPVSFTFIPLPVSFTFIPFTDQLYIYSIYQSGLLYSIFQSSLHLFHLPVRFTFTPISEVYISFF >ONI12072 pep chromosome:Prunus_persica_NCBIv2:G4:8190297:8194499:-1 gene:PRUPE_4G142800 transcript:ONI12072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTSSFWFFHLLWRSPVLIFFRYKYTMQALRSIFVFYFLFPFLRISTPTTLDTITPNRYIRDGESLVSAGGGFELGFFSPGTSKGRYLGIWYTVDTETVVWVANRETPLGDTSGVLTVTDRGVLVLLNSTNSIVWSSNSSTTAGNPVSQLLDSGNLVVKDGNETNPVNLLWQSFDYPCDTLLPEMKLGWDLVTGLERYISSWRSRDDPAPGEFSLRIDHRGFPQAVVLKGAKRVASAGSWNGLHFTAYSYPPQTRANPTLEYEFVLNKDEIYYEYRLSKRSTFSRYILNPSGIAQRLTWVHQTHSWELSSTFQAERCQNYALCGAYASCKMNVSPLCACLKGFVPKSPKDWNSGYWSDGCVRKIPLACSSGDGFLKYTGVKLPDTSSTWFDKSKSLKECKGLCLNNCSCTAYANLDIREGGTGCLLWFGKLIEITEFTSGGGQDLYIRMAASELDQIEKKSKFNKKKLPGILLISSTLFLLGTLIIGLILYRRKKKLRSQGVRSMDCRKDCLGEDMGLPLLNLTTVANATNEFSSSNKLGEGGFGPVYKGTLIEGKEIAVKRLSKDSRQGLREFKNEVMLIARLQHRNLVKLLGCCIQEDEKILIYEFMTNRSLDFFIFDHEGQKLLDWATCFHIIGGIARGLLYLHQDSRLRIIHRDLKASNILLDNNMNPKISDFGLAKTFGSDQSRGNTKRVVGTYGYMSPEYAVDGIFSMKSDVFSFGVILLEMLSRQKNRGFSNPDHHLNLLGHAWILWIEDKALELIDKTLRDSCTISEVLRCLHVALLCVQRVPEDRPSMSSVVLMLSSDVTLPPPKQPGFYTERSVPESPLSACRCSANTSITIIEAR >ONI10223 pep chromosome:Prunus_persica_NCBIv2:G4:1676744:1677479:1 gene:PRUPE_4G035300 transcript:ONI10223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRDVSRVVYQAGMRVMQGVKEQAPKCGGSSSSSSSSSSSSKQAWRFSSSVDSGAFKAANSDKVKQAEESLRTVMYLSCWGPN >ONI10237 pep chromosome:Prunus_persica_NCBIv2:G4:1712820:1717147:-1 gene:PRUPE_4G036200 transcript:ONI10237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPESAQPILSDRVLINGTVTPVTLFADGVLRWSERGQRSLIVEKEVLGFAAEGPKIRIRALVEDGDGICCVASRGAVVRKEFVFKPLSEDSHRLWCQKLREHIDSLGRPKRLFVFVNPFGGSKSASKIYAEHVKPLFQDANIQFTLQETQYQLHAKEVAKTLDLSKYDGIVCVSGDGILVEVVNGLLEREDWEAAIKMPLGVVPAGTGNGMAKSLLDSVGEPWNKRSLDVATIWQGKTKFFSVLMLAWGLVADVDIESEKYRWMGSARLDFYAVQRIVHLRQYNGSISFVPAPGFEIYGEPTSYNNISEPTNHHNKCGPSQEEPVNIRQHGYEGPDINLENMDWRTINGPFVSVWLHNVPWGSEDTKAAPDAKFSDGYLDVIIMRACPKLSLLSLMSGLSTGSHVNSPYVMYFKVKALILEPGPLTEDPTKGGIIDSDGEVLARGKGAYKCDQKTLMAYDKLQITVDQGLATLFSPV >ONI10238 pep chromosome:Prunus_persica_NCBIv2:G4:1713238:1716828:-1 gene:PRUPE_4G036200 transcript:ONI10238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPESAQPILSDRVLINGTVTPVTLFADGVLRWSERGQRSLIVEKEVLGFAAEGPKIRIRALVEDGDGICCVASRGAVVRKEFVFKPLSEDSHRLWCQKLREHIDSLGRPKRLFVFVNPFGGSKSASKIYAEHVKPLFQDANIQFTLQETQYQLHAKEVAKTLDLSKYDGIVCVSGDGILVEVVNGLLEREDWEAAIKMPLGVVPAGTGNGMAKSLLDSVGEPCTASNAILAIIRGNKRSLDVATIWQGKTKFFSVLMLAWGLVADVDIESEKYRWMGSARLDFYAVQRIVHLRQYNGSISFVPAPGFEIYGEPTSYNNISEPTNHHNKCGPSQEEPVNIRQHGYEGPDINLENMDWRTINGPFVSVWLHNVPWGSEDTKAAPDAKFSDGYLDVIIMRACPKLSLLSLMSGLSTGSHVNSPYVMYFKVKALILEPGPLTEDPTKGGIIDSDGEVLARGKGAYKCDQKTLMAYDKLQITVDQGLATLFSPV >ONI13304 pep chromosome:Prunus_persica_NCBIv2:G4:13397057:13400454:1 gene:PRUPE_4G214500 transcript:ONI13304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKAVASLANSLNNLDLNSRSNPNPQIPQTQSSRRQLERTKSPPSLQSLCLGVVGKHLEDIIPDLSEIAINFPPDVKMALVAIARRRKLLDDDVIVSLADHTWKILDLSASDVSDFGLAKVAEICTSLGAVDISRCDKITTSGVSELVQHCLSLETLRCGGSPRSDHTARRCLDIFKPKLNDVEGDSWEELNTEEIANGAQSLRWLVWPKIDKNSLEGFSSECPRIIVNPNPSPFGFRGTQVPREALPDIQLDDSIVKDLDPKTWAVRGFTLKAMPPSLSSHTELSVAEKFRLAFEERDNRLAPKRAKNARQHQRRAEREWVMTDTTAKAVALASRASRSLHSWN >ONI14146 pep chromosome:Prunus_persica_NCBIv2:G4:19614397:19614701:-1 gene:PRUPE_4G264900 transcript:ONI14146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDPDNLFSARLISVKFLQFLNCEGNSPTNPLFFSHSVSMLNKYPMVVGIFPDKELLLKSRKTNFLKWIQQSGSYPNMWSCCH >ONI10456 pep chromosome:Prunus_persica_NCBIv2:G4:2313281:2316317:1 gene:PRUPE_4G048400 transcript:ONI10456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEANSWIRRTKFSHRFDSSRLASLPLTIEQDRISGLKSRPIATATEAPSNQISSLGNSKTQRNHVPSRFQRNLIINKQRSLSPLPQTTLSDEFKEAKSEAKRFSTPHPKRKVMGRLFSKDSQTKVSNPMNTSPLRHLASMKVNDKSKNRKESPWAKYFDHAGGRVNAVEAADEFSVDLSKLFLGLKFAHGAHSRLYHGIYNDEAVAVKIIRAPDDDESGVLAARLEKQFSREVTLLSRLHHSNVIKFVAACRKPPVYCVVTEYLSEGSLRAYLHKLEDKSLPLEKLIAIALDIARGMEYIHSQGIIHRDLKPENVLIDQEFHLKIADFGIACEEAYCDSLADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLVLWEMVAGAIPYEDMNPIQAAFAVVNKNLRPVIPKDCPSAMRVLIEQCWSLQPDKRPEFCQVVKVLEQFESSLARDGTLTHVQNLICQDHKKGLLHRIHKLGPVHPHSTPVPKPKFS >ONI10455 pep chromosome:Prunus_persica_NCBIv2:G4:2312694:2316317:1 gene:PRUPE_4G048400 transcript:ONI10455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEANSWIRRTKFSHRFDSSRLASLPLTIEQDRISGLKSRPIATATEAPSNQISSLGNSKTQRNHVPSRFQRNLIINKQRSLSPLPQTTLSDEFKEAKSEAKRFSTPHPKRKVMGRLFSKDSQTKVSNPMNTSPLRHLASMKVNDKSKNRKESPWAKYFDHAGGRVNAVEAADEFSVDLSKLFLGLKFAHGAHSRLYHGIYNDEAVAVKIIRAPDDDESGVLAARLEKQFSREVTLLSRLHHSNVIKFVAACRKPPVYCVVTEYLSEGSLRAYLHKLEDKSLPLEKLIAIALDIARGMEYIHSQGIIHRDLKPENVLIDQEFHLKIADFGIACEEAYCDSLADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLVLWEMVAGAIPYEDMNPIQAAFAVVNKNLRPVIPKDCPSAMRVLIEQCWSLQPDKRPEFCQVVKVLEQFESSLARDGTLTHVQNLICQDHKKGLLHRIHKLGPVHPHSTPVPKPKFS >ONI09757 pep chromosome:Prunus_persica_NCBIv2:G4:398840:401158:1 gene:PRUPE_4G007600 transcript:ONI09757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESLDRSELRRMQREQERERRRIRDRQRRQSMTVEQRERHLARRRRNYQLRRLRAENAKVDCQSQQISNEHEEAAAAGGNEGNDDQEVTQTEKLSAERLQYSECLEAAACKSANFTKRLRLSHIRRLARSLNHLGGEAIGNHQIVADVITKGDANNTSCFRIGDFDSGRLPHGLRLNRVKRLARTLNIAAHETSVNCPKGSKEKCALDQNVSAEEVPVTGDDKPKFGQTIRVGGDVEGPNMDGNNQLQFPYT >ONI10921 pep chromosome:Prunus_persica_NCBIv2:G4:3706734:3709287:1 gene:PRUPE_4G075600 transcript:ONI10921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAQELQLNIIVQDSHEANHQGCTDVPEQPMLPRRRNLNWWLRISIYTLFVIAGQSAATLLGRLYYNKGGKSKCLGTLVQLAGFPILLPYYCIPASRRNPTTNITTNNIPLKSPSTLILASIYVSLGLLVALGCFLYSVGLSLLPVSTYSLICASQLVFNALFSFFLNSQKFTPYIVNSLVLLTISSTLLVFQAGDSADDPIGGSKTKYVIGFLCTVGASAGYGLVLSLTQLVFREVIRNETFAVILDMIVYQSLVATCAVLVGLFASGEWRGLRKEMEGFELGKLSYVMNLTWSAIIWQLYAIGAVGLIFEASSLFSNAISVVGLPVVPVLAVIFFHDKMDGIKAMAMVLGIWGFISYVYQLYLDDRKSKTQRNNATGEVSKAFPQENING >ONI14376 pep chromosome:Prunus_persica_NCBIv2:G4:23264668:23266356:1 gene:PRUPE_4G277900 transcript:ONI14376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCNFYIWRLNYLRTKLTYCFENYIKLSRHCLFRMLKFLRNHYIANCMQKYVHCLIDTNCSVVLQEIQAPQCRRQVRKYVVIHSCKR >ONI10260 pep chromosome:Prunus_persica_NCBIv2:G4:1770593:1770811:1 gene:PRUPE_4G037600 transcript:ONI10260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKCLNKQHHLGLKLKKERQMAELKSVIILNKHITDEHPILNISNVRLFTLTTTTPTKISSNAFIIIQCLI >ONI11083 pep chromosome:Prunus_persica_NCBIv2:G4:4246589:4248178:1 gene:PRUPE_4G086200 transcript:ONI11083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTRSLENIVSARGLINFIPIQMTLKAAIELNVFSIIAKSGPASHLTAKEIASQIPTSNPNAAGNLERILRLLAAHSLLSTTLNPCPNDETLQERAYGLTNETLCLVPDENGVSLAPFIILNSELEIVKSLYMLKHTVLEPDFLPFCKAHGITIYEYMSKKPEMSQLFNKSMAETSNLNFSEVLKVYKGFEEVKELMDVGGGIGTSMSEVVSMYPHIHGINFDLPNVVAQAPTYQGVNHVGGNMFETIPNAQSIMLKWVLHNWGDDQCKKVLRNCWEALPKSGKVIVVEFAIPEELEKTKAVLNIVTLDITMMACPGGKERTTTEFANLAKHVGFVETKFFPISYGIYVLEFLKIEEA >ONI11928 pep chromosome:Prunus_persica_NCBIv2:G4:7471740:7473003:1 gene:PRUPE_4G135300 transcript:ONI11928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHALSIFSFASVLAATCNFSEDNKLGEGGFGPHYKDKLATGREIAVKRLSKCSRQEALQFKNELRLIYELQTYKPLIHMDLKASNILLDENMNPKISDFGMARIFTHNELEENTSWIVGTRSVHVSNIMEGTFSIKSDVYSFGVLTLEIISGRKNNRLYKEDRVLNLVGYAWVLWKEGGGLEIMHTSDRPTMSDVISMLTSESMELAMPTKPAFYTERNAATAAIAR >ONI10732 pep chromosome:Prunus_persica_NCBIv2:G4:3094953:3099851:-1 gene:PRUPE_4G064400 transcript:ONI10732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTYFLLLITLFLVHYCSMATLTVAAQTNFTTDQSALLAFKAHITNDPQNILANWSTTTSICNWVGVTCGAHHLRVTALNLSYMGLTGTIPPYLGNLSFLVELSFKNNSFHGSLPLELSHLRRLKLISCAYNNFAVEEIPSWFGSFPKLQFIDLSGNQFSGSIPTAIFNLSTLQAIDLRKNQLSGSIPGEIGNLTMLKSINLDFNNFKEIPNEIGSIELQKFSMQFNALKGPVPLDVFNMSSLTYLGLSGNSLNGTIPDNICQRLPNMQFLLLSYNQFDGPLPSKSWQYCKELHVLSLSYNNFSGSIPKTFGNLTQLKMIFLGINYLTGTIPDEIGALQKLEYLSFADNNLDGPIPSPIFNMSTISTISLAGNWLSGRLPENIGLGVPNLQELYVSWNKLSGVIPNFTCNGSKLTKLDMAVNSFTGVIPTTLCALTNLVWLNLQLNNLTIDTSTPEVNFFSCLSNLRNLWRLHLPSNPLNAMLPASLGNLSTSLQYLDLSLCNMMGNIPGGIGNLSNLTVLNLGYNQLGGSIPTTLGRLRNLQGLYLNDNKLQGCIPNQLCQLENLGDLVLGRNQLSGSVPSCLDNIAAALRSLSIDSNLLNSTIPSSFWRLAYILHINLSSNSLIGTLSEDIGKLKVVIDIDLSCNHLSGNIPSSIGGLQNLMNVSLANNNLEGSIPSSCGNLLSLELFDLSENKLSGEIPKSLQALVHLKYLNLSFNRLEGEIPTGGPFQNFSAQSFVSNGALCGAPRLHLPLCKISAESRSRKASTSNLKYLIPGIISATLLVATLSMLILRKKRNLDVGTESTLLAQPFWRKLSYLEVFRATNGFNDSNLLGVGGFGSVYKGTLSDGIDVAVKVFNLQLEGAFKSFDSECEMLSNIRHRNLIKIISCCSQNDFKALVLQYMPNGSLEKWLYSQNSSLNILQRMNILADVALALEYLHHGYSVPIVHCDMKPSNILLDDDMVTHVADFGIAKFLGGGDSMTQTMTLATIGYMAPEYGMEGIVSTRGDVYSFGILVMETFTRRKPTDEMFVGEMNLKQWIANSLLLPDAKMDEVVDANLLGIGTEQEDDDHVRKRDCISSIMRLALACCAESPEERISMKEAVATLNKIKNKFLKDARDK >ONI13617 pep chromosome:Prunus_persica_NCBIv2:G4:15062082:15064472:1 gene:PRUPE_4G233500 transcript:ONI13617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLIFGTSLSLWMNLSKISIAIVVHMDEVIHKFHITGAQVLYMSIFCDIPKCLNFTNYSYKPCVLILHCQSLVTIVVLSGGKNTFWVMYICIFI >ONI14306 pep chromosome:Prunus_persica_NCBIv2:G4:22830157:22834943:1 gene:PRUPE_4G274300 transcript:ONI14306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLFVKKPKITEVDRAILSLKTQRRKLAQYQQQLEAVIEAEKQAARDLIREKKKERALLALKKKKAQEDLLKQVDTWVINVEQQLLDIELTSKQQAVFESLKAGNNAIKAIQSEINLEDVQKLMDDTDEAKAYQDEINAILGEKLSAEDEEDILAEFENLEAQITVQDLPKVPASVVSLQRDEELDLPDVPTKAPDAVTYDTEVSSKKKVMEEPLVA >ONI11425 pep chromosome:Prunus_persica_NCBIv2:G4:5501145:5506805:1 gene:PRUPE_4G106200 transcript:ONI11425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFASCSILRAQPFSTFILPANAINTHLCASWPSSKHNLSLALPFFSTFPRNSTFPGKCQHCDRFTAFSSISGSQDQNPSQKLAVLLEVDGVLMDAYRLGNRQTFNAAFRKLGLDCASWTEPVYLDLLRKSAGDEEKMLNLYFNRTGWPSSLPTSEKASFVKKVLQNKKIAMDEFLMSESLPLRPGVEEFIDDAYNEGIPVVILTAYSKSGDEIARSIAERLGQERISKLKIVGDKEVDQSLYGQLANSNEFSSGMDEQLAKEAIKAVSAEKQRIAEEVASLLKLNVEIDTTPSESLEKVIATLRAGAENAGVPVCDCVLIAGSQSGVAGAERVGMPCVVLRSSLTARAEFPSANATMDGFGGADLTITKLRNKRRS >ONI11426 pep chromosome:Prunus_persica_NCBIv2:G4:5501145:5506805:1 gene:PRUPE_4G106200 transcript:ONI11426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFASCSILRAQPFSTFILPANAINTHLCASWPSSKHNLSLALPFFSTFPRNSTFPGKCQHCDRFTAFSSISGSQDQNPSQKLAVLLEVDGVLMDAYRLGNRQTFNAAFRKLGLDCASWTEPVYLDLLRKSAGDEEKMLNLYFNRTGWPSSLPTSEKASFVKKVLQNKKIAMDEFLMSESLPLRPGVEEFIDDAYNEGIPVVILTAYSKSGDEIARSIAERLGQERISKLKIVGDKEVDQSLYGQLANSNEFSSGMDEQLAKEAIKAEGWVLKGSLLAFWVSFC >ONI11427 pep chromosome:Prunus_persica_NCBIv2:G4:5501200:5505331:1 gene:PRUPE_4G106200 transcript:ONI11427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFASCSILRAQPFSTFILPANAINTHLCASWPSSKHNLSLALPFFSTFPRNSTFPGKCQHCDRFTAFSSISGSQDQNPSQKLAVLLEVDGVLMDAYRLGNRQTFNAAFRKLGLDCASWTEPVYLDLLRKSAGDEEKMLNLYFNRTGWPSSLPTSEKASFVKKVLQNKKIAMDEFLMSESLPLRPGVEEFIDDAYNEGIPVVILTAYSKSGDEIARSIAERLGQERISKLKIVGDKEVDQSLYGQLANSNEFSSGMDEQLAKEAIKAVQKVGY >ONI09968 pep chromosome:Prunus_persica_NCBIv2:G4:992689:994792:1 gene:PRUPE_4G021100 transcript:ONI09968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRALFFFALLAFSAVSCFAENEEDPGLVMDFYKDSCPQAEDVIREQVKLLYKRHKNTAFSWLRNIFHDCAVQSCDASLLLDSTRRSLSEKEMDRSFGMRNFRYIEEIKEALERECPGVVSCSDILVLSAREGVVRLGGPFIPLKTGRRDGRRSRAEILEQYLPDHNESMSTVLEKFADMGIDTPGLVALLGAHSVGRTHCVKLVHRLYPEVDPQLNPDHVGHMLKKCPDAIPDPKAVQYVRNDRGTPMIFDNNYYRNILDNKGLMMVDHQLATDKRTKPYVKKMAKSQDYFFKEFSKAFTILSENNPLTGTKGEIRQQCNVANKIHD >ONI10180 pep chromosome:Prunus_persica_NCBIv2:G4:1523725:1526511:-1 gene:PRUPE_4G032700 transcript:ONI10180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLIAVCFISILAGIVFSLHRLRSNQKRNVKVTPKDSEMADMIETSRDALLHEYIRKHDPSELVIYDFDTILIATRNFSITNKLGEGGFGPVYRGKLQEGKEIAVKRLSSSSVQGIEEFKNEILLISKLQHKNLVRLMGCCIKDDEKLLIYEFMPNKSLDTLLFNPMRRAELDWAKRFNIIQGVARGLLYLHYDSCLKVSNILLDEKMSPKISDFGLARIFEGTQNLANTQKVVGTRGYMSPEYAMRGIFSEKSDIYSFGVFLLEIVGGRKNTSFYYHDQQLGFIDYAWHSWNEGRGLDLVDEVLADSYSSTEVMRCVHIGLLCVQDNAADRPTMPDVVFMLSRETDRPQPKRPIYTFQSSNSDPQPPFDNICSANEDTITLLQGR >ONI11429 pep chromosome:Prunus_persica_NCBIv2:G4:5510248:5517988:-1 gene:PRUPE_4G106400 transcript:ONI11429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGQFNSSGLDRFVKEVKLAECGLSYAVVAIMGPQSSGKSTLLNHLFHTKFREMDAYSGRSQTTKGVWIAKCVGIEPCTIAMDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPFEYLEPVLREDIQKIWDGVPKPQAHKSTPFSDFFSVEVVALSSYEEKEEKFKEEVAQLRQRFFHSISPGGLAGDRRGVVPATGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQKFNQLVYDEDWLALEEAVQTGPVQGFGKRLSSILGTYLSEYDMEAVYFDEGVRNSKRQLLESKALDFVYPAYTTMLGHLRSKALEDFKVRLEQSLNKGGEFASSVRTSTQSSMLEFDKGCADAAIQQADWDASRVREKLKRDIDAHASSVRSAKLSELNINYEKQLSASLSGPVEALLETGGKDTWTSIRKLLNRETEVAVSKFSAAVAGFELDKDTSTKMMQNLRDYARNVVEKKAREEAANIMIHMKDRFSTVFNYDSDSMPRVWTGKDDIRSITKDARSASLKLLSVMAAIRLEEKPDNIEKLLFSSLMDGTVTVSSSQDRRIAASTDPLASSTWEEVSSKDTLITPVQCKSLWRQFKAETEYSVTQAIAAQEAHKRSNNWLPPPWAIMAMIVLGFNEFMLLLKNPLYLMVLFVAFLISKALWVQMDIAGEFQHGTLSGILSISSRFLPTVMDLLRKLAEEAQGNPAPEAPRRPVSVASQSHRNETPPPNTISSSIPESSVSSNISSSDGDVEYSSPPLRQRRPMNVQEVEPL >ONI11430 pep chromosome:Prunus_persica_NCBIv2:G4:5510980:5517592:-1 gene:PRUPE_4G106400 transcript:ONI11430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGQFNSSGLDRFVKEVKLAECGLSYAVVAIMGPQSSGKSTLLNHLFHTKFREMDAYSGRSQTTKGVWIAKCVGIEPCTIAMDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPFEYLEPVLREDIQKIWDGVPKPQAHKSTPFSDFFSVEVVALSSYEEKEEKFKEEVAQLRQRFFHSISPGGLAGDRRGVVPATGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANQKFNQLVYDEDWLALEEAVQTGPVQGFGKRLSSILGTYLSEYDMEAVYFDEGVRNSKRQLLESKALDFVYPAYTTMLGHLRSKALEDFKVRLEQSLNKGGEFASSVRTSTQSSMLEFDKGCADAAIQQADWDASRVREKLKRDIDAHASSVRSAKLSELNINYEKQLSASLSGPVEALLETGGKDTWTSIRKLLNRETEVAVSKFSAAVAGFELDKDTSTKMMQNLRDYARNVVEKKAREEAANIMIHMKDRFSTVFNYDSDSMPRVWTGKDDIRSITKDARSASLKLLSVMAAIRLEEKPDNIEKLLFSSLMDGTVTVSSSQDRRIAASTDPLASSTWEEVSSKDTLITPVQCKSLWRQFKAETEYSVTQAIAAQEAHKRSNNWLPPPWAIMAMIVLGFNEFMLLLKNPLYLMVLFVAFLISKALWVQMDIAGEFQHGTVSI >ONI10015 pep chromosome:Prunus_persica_NCBIv2:G4:1097619:1099887:1 gene:PRUPE_4G023300 transcript:ONI10015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYKQMPIITRSYLTAAVVTNIGCSLDIISPHNLYLNPILVVKQYQFWRLVTNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLTFSVIVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLRTPSFIKALFADDPVVVARPENVRFAPPPVEELHQD >ONI10016 pep chromosome:Prunus_persica_NCBIv2:G4:1097667:1099771:1 gene:PRUPE_4G023300 transcript:ONI10016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYKQMPIITRSYLTAAVVTNIGCSLDIISPHNLYLNPILVVKQYQFWRLVTNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLTFSVIVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLRTPSFIKALFADDPVVVARPENVRFAPPPVEELHQD >ONI10299 pep chromosome:Prunus_persica_NCBIv2:G4:1851798:1857420:-1 gene:PRUPE_4G039600 transcript:ONI10299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQGKCGLKMKQLSFMGVVCTVMLFIVYRTTNYQYQAYQQTEMDAKWNPFDTVKDIFVTSKKLDSLPRGIIQARSDLELRPLWLTSGSRLKVDDYSHRNLLAIPVGIKQKDSVDAIVEKFLPENFTIILFHYDGNVDGWWDLEWSSNAIHIVAQNQTKWWFAKRFLHPDIVSIYDYVFLWDEDLGVENFHPGRYLEIVRQAGLEISQPALHPNSTDIHHRITIRARKKKFHRRVYDIRGSVKCTEASQTPPCTGFVEGMAPVFSRAAWHCTWHLIQNDLVHGWGLDMKLGYCAQGDRTTKVGVVDSEYVVHKGIQTLGDGGLSARKVSSRGKTSKKRGASGFDVRTEIRRQSTREFSIFKERWNNAVDEDMNWTDPFKSSIRRRKQRKRNTHLSS >ONI10298 pep chromosome:Prunus_persica_NCBIv2:G4:1851594:1857501:-1 gene:PRUPE_4G039600 transcript:ONI10298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPNSWIWVFKKRSAFSDGGKCGLKMKQLSFMGVVCTVMLFIVYRTTNYQYQAYQQTEMDAKWNPFDTVKDIFVTSKKLDSLPRGIIQARSDLELRPLWLTSGSRLKVDDYSHRNLLAIPVGIKQKDSVDAIVEKFLPENFTIILFHYDGNVDGWWDLEWSSNAIHIVAQNQTKWWFAKRFLHPDIVSIYDYVFLWDEDLGVENFHPGRYLEIVRQAGLEISQPALHPNSTDIHHRITIRARKKKFHRRVYDIRGSVKCTEASQTPPCTGFVEGMAPVFSRAAWHCTWHLIQNDLVHGWGLDMKLGYCAQGDRTTKVGVVDSEYVVHKGIQTLGDGGLSARKVSSRGKTSKKRGASGFDVRTEIRRQSTREFSIFKERWNNAVDEDMNWTDPFKSSIRRRKQRKRNTHLSS >ONI12721 pep chromosome:Prunus_persica_NCBIv2:G4:10698391:10698840:1 gene:PRUPE_4G180400 transcript:ONI12721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLELTTILSLIIPLLITMQVQMAFSSEIEDDEEYVLDSPLLNFRSRSRFLGSVIKQGAKCNPIKKNICNGISANKGTSLLFCCKIKCVNVLGDRNHCGQCGRKCKLGELCCHGTCTNILNNVNNCGKCDKKCLHGVKCQHATCGYA >ONI13574 pep chromosome:Prunus_persica_NCBIv2:G4:14817882:14818933:-1 gene:PRUPE_4G231200 transcript:ONI13574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSYMTCGVKIDTNSDGWHKCLTKMLKKIQGASYNVDAEGGMAYVSGKVNPRKLIRRLVKAGKEAELCWVRTGDEFTYGNGYYEDQRYYDPSSNYMGLTAGPNYYNMNGNYGHGYYSSYSHHHGHPMNNYYPQAPYSYNYY >ONI10203 pep chromosome:Prunus_persica_NCBIv2:G4:1615744:1617062:1 gene:PRUPE_4G034700 transcript:ONI10203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLDDLSDLVLIEIICRLPLASAAQCMCVSKRWFSLVSSNPYFVHCFLCVQSDNQKPILRILIFTNPRQPRTFFVTPSSSPFEYTQHNFNFLPCFQGPINTPEYEPIVVGAYDHLVLCCATMCFQCDYYICNPYIRQWDALPPAPPCHQEVRVGFICEPYYYYNYKKQQREEEDHRKEEVKEEVMHIRLNVEYRLVDGQSQLYGMLYWWSSSDGFVIGLDPYSNDSSNSAKYCFHFIDEPQDELESEYGRTFDFMGVSSRGRLRMCQYSPACVGDTDGDVSVWELKDDHQMDKEMDTDDVASACNDNSRWCLSFLNKSSCLPLGVPVVADTNTYSRHKPAASSSSLNSHVRRITTYTTSTTGTRRAIK >ONI13363 pep chromosome:Prunus_persica_NCBIv2:G4:13651237:13656034:-1 gene:PRUPE_4G217700 transcript:ONI13363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKLKSVTATLFLCFLLFPLVFSESNDGLVRVGLKKRKFDQNNRVAAQIESKEGEALRASLLKYRLRGNLADSQDTDIVSLKNYMDAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACYLHPKYKSSSSSTYNKNGKPAAIHYGTGAISGFFSEDHVTIGDLVVKDQEFIEATKEPGVTFLVAKFDGILGLGFQEISVGNAVPVWYNMVNQGLLKEPVFSFWFNRNADEEEGGEIVFGGVDPNHYKGEHTYVPVTQKGYWQFDMGDVMIDGKTTGFCAGGCSAIADSGTSLLVGPTTIITELNHAIGASGIVSQECKTVVAQYGETIIERILAKDQPQKICSQIGLCTFDGTHGVSTEIKSVVEENTHKVSGGLSDASCSACEMTVVWMQNQLKQNQTQERILEYVNQLCERLPSPMGESAVDCSGLSSMPSVSFTIGGRTFDLTPEQYVLKVGEGDAAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDYGNERIGFAEAA >ONI12808 pep chromosome:Prunus_persica_NCBIv2:G4:10930733:10935505:-1 gene:PRUPE_4G184500 transcript:ONI12808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEELMLLGFISLLLTATSSMIANICIPSKFYNSSFSPCSRSEIDEEIENNSSQERKLLMFSVLPHSFRRMLNGLNHNSCKEGYEPFVSYQGLEQLHRFIFVMAITHISYSCLTMLLAIVKIHSWRAWENEAHMDRHGSLTEITRQLTMRRQSSFVNPSNPLVRNNFFMWVTCFFRQFGRSVVRADYLTLRKGFIMNHNLSPKYDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNIKGSNLYFWIAIIPIALVLLVGMKLQHVIATLALENAGITGSYPGAKLRPRDDLFWFKKPELLLSLIHFSLFQNAFELASFFWFWWQFGYNSCFIRNHLLVYLRLIVGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARRKRRLGIYNDESTIHTDTSTVMSLEEDDHERLDNPAIDANTHNGIELQPVPSSMTSPCLAANENSSRVGTPLLRPSASVSVSSPVTLTIHTESIPRSTSMPSRR >ONI12807 pep chromosome:Prunus_persica_NCBIv2:G4:10930427:10936871:-1 gene:PRUPE_4G184500 transcript:ONI12807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTQEMRSLALTPTWSVATVLTIFVAVSLLVERSIHRLSYWLRKTNRKPLLEAVEKMKEELMLLGFISLLLTATSSMIANICIPSKFYNSSFSPCSRSEIDEEIENNSSQERKLLMFSVLPHSFRRMLNGLNHNSCKEGYEPFVSYQGLEQLHRFIFVMAITHISYSCLTMLLAIVKIHSWRAWENEAHMDRHGSLTEITRQLTMRRQSSFVNPSNPLVRNNFFMWVTCFFRQFGRSVVRADYLTLRKGFIMNHNLSPKYDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNIKGSNLYFWIAIIPIALVLLVGMKLQHVIATLALENAGITGSYPGAKLRPRDDLFWFKKPELLLSLIHFSLFQNAFELASFFWFWWQFGYNSCFIRNHLLVYLRLIVGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARRKRRLGIYNDESTIHTDTSTVMSLEEDDHERLDNPAIDANTHNGIELQPVPSSMTSPCLAANENSSRVGTPLLRPSASVSVSSPVTLTIHTESIPRSTSMPSRR >ONI12129 pep chromosome:Prunus_persica_NCBIv2:G4:8406973:8408370:1 gene:PRUPE_4G146800 transcript:ONI12129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEVVLLDFWASMFAMRVRVALAEKGVKYENREEDLWNKSSLLLQMNPVHKKIPVLIHNGKPVCESLIIVQYVDEVWRDKAPLLPSDPYQRARSRFWADFIDKKLYVASRKIWGTKEEEQEAGKKEFIEALKQLEGELGDEPYFEGESFGFLDIALIPFYSWFNAYETCGNFSTEAECPKLIEWAKRCMRRESVSKSLADPKKVYEFLTIWVGL >ONI11968 pep chromosome:Prunus_persica_NCBIv2:G4:7646093:7649363:-1 gene:PRUPE_4G137600 transcript:ONI11968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPVNKIERAHLMYREGRYSEALGFYTEALSMAKTKPQKIALHSNRAACFLKLHDFKKAADECTSVLELDFNHTGALMLRAQTLVTLKEYHSALFDVHRLIELNPSSEVYQNLQARLKTQVSLAPIPESDAELEEEEEDEDEVELNGYGKEEEKYEGQEGAVFSGAVKDQFAEVNRTTAVADVIPPKTPVNKEVPEQGRDPKVNYTKTTSPAEVAAPRAELIKVPSEKEKGWQAIPKPKGHSSLDYARWDTVEDDSSEDDDDDDDDEESQPQYRFRVRTVGVRPVK >ONI11969 pep chromosome:Prunus_persica_NCBIv2:G4:7646093:7649364:-1 gene:PRUPE_4G137600 transcript:ONI11969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPVNKIERAHLMYREGRYSEALGFYTEALSMAKTKPQKIALHSNRAACFLKLHDFKKAADECTSVLELDFNHTGALMLRAQTLVTLKEYHSALFDVHRLIELNPSSEVYQNLQARLKTQVSLAPIPESDAELEEEEEDEDEVELNGYGKEEEKYEGQEGAVFSGAVKDQFAEVNRTTAVADVIPPKTPVNKEVPEQGRDPKVNYTKTTSPAEVAAPRAELIKVPSEKEKGWQAIPKPKGHSSLDYARWDTVEDDSSEDDDDDDDDEESQPQYRFRVRTVGVRPVK >ONI14117 pep chromosome:Prunus_persica_NCBIv2:G4:19280676:19282816:-1 gene:PRUPE_4G263300 transcript:ONI14117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALKQRCRHLSPLSPLENLMERSQPSIVYRTLVRGVQRKELLVMDGVSVAGGGRSSRSAYDMSSSSSSSDSSGKILYKTDLCRSWEDSGSCRYTSKVSIREYPLTR >ONI10513 pep chromosome:Prunus_persica_NCBIv2:G4:2454315:2457433:-1 gene:PRUPE_4G051300 transcript:ONI10513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIFLVELDGRAYRCRLCDSPLALADDIISRSFSCRRGGAYLFSTVVNITLGPEEERLMLSGLHTVEDIFCCCCGQILGWKYVIAHDRNQIYKEGKFVLERWRIEVDVAEEFNLDAPPGSSDTEIP >ONI13442 pep chromosome:Prunus_persica_NCBIv2:G4:13978892:13981705:1 gene:PRUPE_4G222600 transcript:ONI13442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDGREALLNRNKLGRCVSHKQDELKSFRSYIWWMCVDQSNAWKACISWSIFILFGIVVPAISHFVFACAICDGKHKRPYDCVVQLSLSSVATVSFLCLSWFVRKFGLRRFLFFDKLYNESETVRREYTEQLNRSLKLLCIFVMPCFAAESAYKIWWYASEATQIPFLGNVYMSAAIACSLELCSWLYRTTVFFLVCVLFRLICYLQILRLQDFATVFQVESDVGSVLSEHLRIRRHLTIISHRYRGFILWSLILVTGSQFALLLITTRSGATANLDVYRSGELALCSITLVTGVLILLRSATKITHKAQAVTCLATKWHVCATLDSFDANEGDSPTTNPIAANGRVFPVASSSGDSDSDLASDDQDELENAKLDLSYSYSTISYQKRQALVTYLENNRAGITIYGFTFDRTTLHTIFMLELSLILWLLGKTVGI >ONI11838 pep chromosome:Prunus_persica_NCBIv2:G4:7077596:7084111:1 gene:PRUPE_4G128600 transcript:ONI11838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNLVQASPSQASSTVPRLNGAAERENNTNFEGTKERIKKMFTKVDLSVSSYDTAWVAMVPSPNSSKEPFFPECVNWLLANQLHDGSWGPKFHPLLIKDALLSTLACILALKRWSVGEEQINKGLHFIESNLALATDEEQQSPVGFNIIFPAMIESAMNFDMYLPLGAPALDALFHRRDFELKRGYGSNSEGWRAFLAYISEGLGSQDWELVMKFQKKNGSLFNSPSTTAAAFTQLKNAHCLKYLRALLEKCGNAVPMVYPLDNYARLSVVASLESLGIDRHFREEIRSVLDETYRCWQQGEEDIFSDAASCAMAFRLLRVHGYDISAVNFQKIVSSIPLGGYMKDIGAALELFKASEIIIHPDESVLEKQNNWMSQFPETGVIQYFNSGSCLNIGNEYFLKLAVEDFNICQSIHHKELNHLSRWVVENRLDKLKFSRQKQAYCYFSAAANLFPPELSDA >ONI12625 pep chromosome:Prunus_persica_NCBIv2:G4:10406603:10409389:1 gene:PRUPE_4G175800 transcript:ONI12625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMSFTGHFDHGSDTHLPPGFRFHPTDEELITYYLLKKVLDSSFTGRAIAEVDLNKCEPWELPDKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFQKSSGSTTNGPGSKKTRMSNGSTSSISLYPEPSSPSSVSLPPLLDSSPYQNMNTAGLTDRDSCSYDSPIPKEHVSCFSTNPNSGFNLSSSCFDLAHAQPPPQPSFGGVSAFPSLRSLQENLQLPFFFSPMSGHQPVHVGSSGGGGGSTIDLGGLSSAGSWPTPPPHQEEPRTVGPTELDCMWTYYKTECL >ONI12838 pep chromosome:Prunus_persica_NCBIv2:G4:11087505:11091386:-1 gene:PRUPE_4G186400 transcript:ONI12838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRGGYLFFLYLSSVFFIFSVEEQVQRSQFPDDFFFGASTSSYQVEGAYLEDGKGLSNWDFFTQIPGKIADDDNGEVADDHYNRYLEDIELMHSLGTNAYRFSISWARILPDGMLGSVNPRGIIFYNKLIDNLLSKGIEPFVTIHHNDLPQVLEQRDGGWLSPLLREEFVHFASICFESFGDRVKYWVTINEPNMVADFAYLRGLFPPARCSPPFGNCSTGNSDIEPLIGMHNMLIAHAMAVEIYRTFFWPKQNGFIGIVAHAFMYEPLRDEERDRDAVDRALAFNVAWTFDPVVYGDYPAEMRHCHGLELPRFSAKEKQLLRGSIDFIGVNHYSTLYVKDCIHSPCPSGGDRPIMGFLNTTGYRDGVPIGEPTGLADFFVVPRGMEKIN >ONI11257 pep chromosome:Prunus_persica_NCBIv2:G4:4835231:4836853:-1 gene:PRUPE_4G096300 transcript:ONI11257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPNFSVSSLVFFLLISASWAHTRTPPSIQDNLTKCLSKYSQTSVPFSQAFFTPNTSTFTTVLESSAQNLRYLVPSMPKPEFIFTPVHDSHVQAAVICSKKLQIHLRVRSGGHDYEGLSYVSQIETPFIVVDLAKLRSVNVDIKNNAAWIQAGATIGEVYYRVAEKSKTHGFPAGLCTSLGVGGHITGGAYGSMMRKYGLAADNAIDARIVDVKGKILDRKAMGEDLFWAIRGGGGASFGIILWWKIKLVPVPATVTVFAVAKTLETGATKLLHRWQQVAPVIDEDLFIRVFIQLANGTKTGSKTVTTVYQAQFLGGADRLVHVMQKSFPELGVTRKDCTETSWIKAVLFIAGYPSGTPTEVLLQGKSTGKNYFKAKSDFVQNPIPETGLQGLWKRLLQEASPLMILTPYGGMMSKISESAIPFPHRGVLFKIQYVSGWQEGDKTEAKHMNWIRKLYSYMAPYVTMSPRQAYVNYKDLDLGINKKSNTSFIEASSWGYRYFKDNFNRLVKIKTKVDPNNFFRHEQSIPPLPKRGHH >ONI10364 pep chromosome:Prunus_persica_NCBIv2:G4:2080501:2089301:1 gene:PRUPE_4G043500 transcript:ONI10364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSVVYKGEELLGEVEIYPEENENKNKNKNLVDELKEIRISYFSQSSERCPPVAVLHTISSHGVCFKMESKTSQSQDTPLFLLHSSCVMENKTAVMPLGGEELHLVAMRSRNGDKRYPCFWGFSVAPGLYNSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKISSEVDPQRISGMLAEIKRYQDDKFILKQYAENDQVVENGRVIKTQSEAVPALSDNHQPIIRPLIRLHEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLINSNKLLDRIVCVKSGSRKSLFNVFQESLCHPKMALVIDDRLKVWDDRDQPRVHVVPAFAPYYAPQAEANNAVPVLCVARNVACNVRGGFFREFDDSLLQKIPEVFYEDDIKDVPSPDVSNYLVSEDDSSALNGNRDPLPFDGITDVEVERRMKEATPAASMVSSVFTSIDPRLAPLQYTVPPSSTLSLPTTQPSVMSFPSIQFPQAASLVKPLGHVGSAEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDQPPSEPPFPVRPPMQASVPRAQSRPGWFPVEEEMSPRQLSRMVPKDLPLDPETVQIEKHRPHHSSFFPKVENSIPSDRILQENQRLPKEAFHRDDRLRFNHALSGYHSLSGEEIPLSRSSSSNRDVDFESGRAISNAETPAGVLQEIAMKCGAKVEFRPALVAGTELQFYVEAWFAGEKIGEGSGKTRREAHYQAAEGSLKNLANIYLSRVKPDSVSVHGDMNKFPNVNSNGFAGNLNSFGIQPFPKEESLSSSTSSEPSRPLDPRLEGSKKSMSSVSTLKELCMMEGLGVVFQPRPPPSTNSVEKDEVHVQVEIDGEVLGKGIGLTWDEAKMQAAEKALGSLTSTLYAQKRQGSPRSLQGMSSKRMKQEFPQVLQRMPSSARYPKNAPPVP >ONI09662 pep chromosome:Prunus_persica_NCBIv2:G4:178189:184025:-1 gene:PRUPE_4G002400 transcript:ONI09662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKIGTKPDTFCTEEATRTVISDVPSDLLIQINNISYLLHKLQFPLVPKCGLLQRLCSDSGDSEKVSIELHDIPGGEDAFELCAKFCYGITINLSAYNFVPAFCAAKFLRMTESLEKGNFVPKLEAFFNSCILEGWKDSITTLETTVKLPEWSENLGIIRKCIDSIVEKILTPPAKVSWSYTYTRPGYSKKQHHSVPKDWWTEDISDLDVDLFRCIITAVASTYMLPPQLIGEALHVYACRWLPDTTRPPPQTDEQFVEKNRRIVDTIVSMIPGDKRAVCVGFLLRLLIVANYLGVSPVTKTELLRRSSLQLQEATVNDLISPSHSPTDPEFYDIDLVVAVLQSFLVLWRRQSPAAASASESNGSSAQFLGTMRKVGKLIDSYLQVVARDANMPVSKLVSLAEALPDIAREDHDDIYKAINIYLKEHGDLSKADKKRLCRILDCQKLSPEVRAHAVKNERLPLRTVVQVLFFEQDRDRASNSKAAATHDHHHKHKLLPLMPSQSQSQELFSTGKQTVPTSRELDIHNGHGHGHGKLKLGAADHHDKFTSSRGDHSTRRTNVAGKKDYPLHLQTKRSDGKFPVGTERKIVSSTEIQDQVEHQLETGSGSKLDAKKMIQRGSRSDHGRDKGKDR >ONI09661 pep chromosome:Prunus_persica_NCBIv2:G4:178182:181906:-1 gene:PRUPE_4G002400 transcript:ONI09661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKIGTKPDTFCTEEATRTVISDVPSDLLIQINNISYLLHKFPLVPKCGLLQRLCSDSGDSEKVSIELHDIPGGEDAFELCAKFCYGITINLSAYNFVPAFCAAKFLRMTESLEKGNFVPKLEAFFNSCILEGWKDSITTLETTVKLPEWSENLGIIRKCIDSIVEKILTPPAKVSWSYTYTRPGYSKKQHHSVPKDWWTEDISDLDVDLFRCIITAVASTYMLPPQLIGEALHVYACRWLPDTTRPPPQTDEQFVEKNRRIVDTIVSMIPGDKRAVCVGFLLRLLIVANYLGVSPVTKTELLRRSSLQLQEATVNDLISPSHSPTDPEFYDIDLVVAVLQSFLVLWRRQSPAAASASESNGSSAQFLGTMRKVGKLIDSYLQVVARDANMPVSKLVSLAEALPDIAREDHDDIYKAINIYLKEHGDLSKADKKRLCRILDCQKLSPEVRAHAVKNERLPLRTVVQVLFFEQDRDRASNSKAAATHDHHHKHKLLPLMPSQSQSQELFSTGKQTVPTSRELDIHNGHGHGHGKLKLGAADHHDKFTSSRGDHSTRRTNVAGKKDYPLHLQTKRSDGKFPVGTERKIVSSTEIQDQVEHQLETGSGSKLDAKKMIQRGSRSDHGRDKGKDR >ONI12547 pep chromosome:Prunus_persica_NCBIv2:G4:10077796:10078041:-1 gene:PRUPE_4G170800 transcript:ONI12547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPKASLLIAFLIILSMLVVSSEARLSLVFSTLPHKTDSRLFLRKLGFHVPKNESYQRRFLLGGDIKRTSPGGPDPQHH >ONI13437 pep chromosome:Prunus_persica_NCBIv2:G4:13943539:13946160:1 gene:PRUPE_4G222100 transcript:ONI13437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMATFTFPFFLSLLLLPLSSLLTFAAENTTGTIGINYGRIANNLPPPEKVVALLKSQGINKVKLYDTDAAVLTALANSGINVVVALPNELLSSAANDPSFADKWVQANISQYHPQTQIEAIAVGNEVFADPNNTTKFLVPAINNLQSALVKHNLSSSIKLSSPIALSALQNSYPSSSGSFKPDLIEPAVKPLLDFLSKTSSYLMINAYPFFAYEANADEISLDYALFRTNPGNVDSGNGLRYNSLMEAQLDAVFAAMSALGYDDVKLVVTETGWPSNGDENEIGSGKANAAAYNGNLVKRVLTGGGTPLKPKDPLNVYLFALFNENQKPGPTSERNYGLFYPDEGKVYDIPLTLAGLNGAQSNPVNESKAQVPTTAPSSGNTWCVANVNAGEEKLQAGLDYACGEGGADCRSIQEGSTCFNPNTLEAHASYAFNSYYQKKARGTGTCDFGGAAHVVAQPPRYGTCEYPTGY >ONI13494 pep chromosome:Prunus_persica_NCBIv2:G4:14240837:14241682:1 gene:PRUPE_4G225700 transcript:ONI13494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKTFLLLGLVFAVVLVSSEVVSARELVPTTAQTQENVEKDNYGDHFHCHEHGLWEHGHGHCHGHWEHCGHGCHGKWGHGAAGSEIEN >ONI09616 pep chromosome:Prunus_persica_NCBIv2:G4:91112:99329:1 gene:PRUPE_4G000500 transcript:ONI09616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRAEYNPDQYLWERDFTLAGRTYIRQDLELTNARGHTLRCSHYLPSPFPEDASLPCVIYCHGNSGCRADANEAAVMLLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKVVVSYLRSNKQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLYVLMMELVDVYKIRLPKFTVKMAVQYMRRIIEKKAKFDIMDLNCLQVSSKTFIPALFGHAKDDKFIPNHHSDLIYKSYAGDKNIIYFDGDHNSSRPQFYYDSVSIFFYNVLHPPQISSHSCKLEKYYDLGDLKIGAGLDEGLLYEIITGVHSAGTDVASSSSAPPAISTTKCVGELLSEIAPVTTVVDSVHEEADILSSHEPSHLEDQPNDQNEECCSYTSSNRESWGRCSSLGGSDEESSADCTAADSRHQTLKVLTMPLRCMQQKSSELKKEEKKKKKVPIVPKKPKSEKFEKLEALSKRLRLCILKRVNHCRHPTS >ONI09615 pep chromosome:Prunus_persica_NCBIv2:G4:91112:99116:1 gene:PRUPE_4G000500 transcript:ONI09615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQFINFVIRPPRAEYNPDQYLWERDFTLAGRTYIRQDLELTNARGHTLRCSHYLPSPFPEDASLPCVIYCHGNSGCRADANEAAVMLLPSNITVFTLDFSGSGLSDGDYVSLGWHERDDLKVVVSYLRSNKQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLYVLMMELVDVYKIRLPKFTVKMAVQYMRRIIEKKAKFDIMDLNCLQVSSKTFIPALFGHAKDDKFIPNHHSDLIYKSYAGDKNIIYFDGDHNSSRPQFYYDSVSIFFYNVLHPPQISSHSCKLEKYYDLGDLKIGAGLDEGLLYEIITGVHSAGTDVASSSSAPPAISTTKCVGELLSEIAPVTTVVDSVHEEADILSSHEPSHLEDQPNDQNEECCSYTSSNRESWGRCSSLGGSDEESSADCTAADSRHQKTLKVLTMPLRCMQQKSSELKKEEKKKKKVPIVPKKPKSEKFEKLEALSKRLRLCILKRVNHCRHPTS >ONI10723 pep chromosome:Prunus_persica_NCBIv2:G4:3057019:3060037:1 gene:PRUPE_4G063700 transcript:ONI10723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILLRFLVLLVLITISESKCNSTDQEQVSKAFKSVAGFNLSSLHQQGYQSNCSSPPITEIKLPSRNLSGIISWKYLRNMSQLSTLDLSSNNLKGSVPGWFWSLSSLVQVNLSKNRFGGSFGPEPISGNGSFSSVQVLNLSTNRFTNSVQLSGFPKLRVLDLSHNDLGTLQPSAFANLTNLQYLDISSCKISGDMKPISVLRTLSYLDVSDNSINGTFPSDFPPLSSLKFLNISFNNFTGIVGSEKYQKFGKAAFIHAGKDLTYFNTSKTKAPSFHNSVANPPHKPLQKHKPTKQIKPVHRKPKKTLIIILSSVSTFLVLVSIGICIAYRCRRKHVAKKHKWAISKPVHLVPFKIEKSGPFSFETESGTSWVADIKEPTSAPVVMFEKPLMNLTFKDLIAATSHFGRDSQLAEGRCGPVYTAVLPGDLHVAIKVLENARNVDYDDAVNMFENLSKLKHPNLLPLSGYCIAGREKLVLYEFMANGDLHRWLHELPTGEPNVEDWTGDTWEQYGAYSPEKKGWLTRHRIAVGIARGLAYLHHAGSRPVVHGHLVTSNILLGADFEPRIADFGLRNVGSLTDIGSSGAETDVYCFGVVLMELLTGRTGTAEMVVWVRRLVREARGMDALDERLRESGELEREMVESLRVGYLCTAESPGKRPSMQQVLGLLKDIHPSPTVTMGLG >ONI10314 pep chromosome:Prunus_persica_NCBIv2:G4:1887231:1890935:-1 gene:PRUPE_4G040100 transcript:ONI10314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVKEHSFEWPPIGAPLNMQRDEHPGSPPAFNSSVNAVSFGFVATAILISMFLVMAIFERFLRPTSSDLTPSGRRRSGDLEAQLGFNGKLSHPSPKMTVYASGVSVLMPGDDIPTFIAHPAPVPCPPERICLPQHQHISLPNPNPNPNPTSNSNSNSNMSSNSS >ONI11019 pep chromosome:Prunus_persica_NCBIv2:G4:4049129:4050174:1 gene:PRUPE_4G082900 transcript:ONI11019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLPSTNLVQDSMKPYKPKEYYPEKAKGISFLAFFFSTCIYISIFYIFNLSLSTLFHNTKFWFAISNTLILIIAADYGAFSSSKDNIQDQHLYQEYMIHRQARSVSSFVSQYPEIAFKKSSCPNKQSEVDVDDMKAKNEEVADTQNKEVVQEKSVVHVSKGDDQNKGCGRENVAAKTYQRSKSEKTKTKGAVIDERKKDILKRSEDEKKYEPNTVEDNEFSVMSDEELNRRIEEFIQRFNKQIRLQSAATNSHQV >ONI12402 pep chromosome:Prunus_persica_NCBIv2:G4:9310724:9318372:1 gene:PRUPE_4G162300 transcript:ONI12402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFNQEELKEWDQCILMLDDAKVDEHGNLNDTKDSNIMYLDKDGEDHEINISSAICFLRGKAYEALENRVQARHWYKAAIKADPLCYEALECLIENHMLTYEEEASLLASLQFGPEDGWLSSFYSCLIKKYDKENVVEAKFRELEKEKCNSNTSDPSFFRTLKTNTDLLACKAEYYHQCGEYQKCFELTSVLLEKDPFHLKSTLVHLAAAMELGHSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKFAESHRYFSKATSLDGTFPPAWIGYGNAYAAKEEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTNSFKLAEQFFMQAKTTCPSDPLVYNELGVVAYHLKEYNKAVWWFEKTLAQIPSPLSEMWEPTVVNLAHAYRKLKMYNEAISYYEKALALSTRSVSTYAGLAYAYHLQDNFTAAITYYHKALWLQPDDHFCTEMLSLALADEARGPQN >ONI10168 pep chromosome:Prunus_persica_NCBIv2:G4:1476924:1480441:-1 gene:PRUPE_4G031800 transcript:ONI10168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVKGLLNALVLQLLLLQLCSSLDTISFDQSIRDGDFLVSKNETFVLGFFRPGTSSNRYVGIWYKFSEDKVLWVANRDNPLNDTSGVLSINTDGNLILAHGNSSQGLPLWSTNVSVSSSGNNNIVAQLLDSGNFVLVQQDNQNVLWQSSDHPTHALLSSMKLGLDKKSGINRFLTSWNSNNDPGTGNCSLRMDTNGSPQLILYKNLAKWWRSGQWNGIQWGGIPAEGSNNVFKINFVNNQDEIAVEWSVLDPSIYSVITIDGTGSLNQLSWQGQQHQWVTLWSAPLDACDSYGKCGQFGACNPYTNSGFNCTCYPGYEPNSPHDWDLRDGTGGCKRPQGSPSMCRNGEGFVKMENVKVPDTSTIKLNRSLSFEACGEECLRNCSCLAYASADVRNGGSGCMAWFGDLMDTKQFTEGGQDLYIRADALVLAQYTKKSGGGFSAKDRRLAIILGVSIAVTSLLIVAALCWFRRRSRKGRGGQPELLNDAIAGSRSHEDLLKKNEVDEHRGKTDLPFFDLSTIVAATDNFSSANLLGHGGFGMVFKGCLADGQEIAVKRLSRNSGQGVEEFKNEVMLIAKLQHRNLVRLLGCCIDKEERMLIYEYMPNRSLDLCIFEGHCWIGESGFKLSSGLLGASYIFIKIQD >ONI10166 pep chromosome:Prunus_persica_NCBIv2:G4:1477086:1480370:-1 gene:PRUPE_4G031800 transcript:ONI10166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVKGLLNALVLQLLLLQLCSSLDTISFDQSIRDGDFLVSKNETFVLGFFRPGTSSNRYVGIWYKFSEDKVLWVANRDNPLNDTSGVLSINTDGNLILAHGNSSQGLPLWSTNVSVSSSGNNNIVAQLLDSGNFVLVQQDNQNVLWQSSDHPTHALLSSMKLGLDKKSGINRFLTSWNSNNDPGTGNCSLRMDTNGSPQLILYKNLAKWWRSGQWNGIQWGGIPAEGSNNVFKINFVNNQDEIAVEWSVLDPSIYSVITIDGTGSLNQLSWQGQQHQWVTLWSAPLDACDSYGKCGQFGACNPYTNSGFNCTCYPGYEPNSPHDWDLRDGTGGCKRPQGSPSMCRNGEGFVKMENVKVPDTSTIKLNRSLSFEACGEECLRNCSCLAYASADVRNGGSGCMAWFGDLMDTKQFTEGGQDLYIRADALVLAQYTKKSGGGFSAKDRRLAIILGVSIAVTSLLIVAALCWFRRRSRKGRGGQPELLNDAIAGSRSHEDLLKKNEVDEHRGKTDLPFFDLSTIVAATDNFSSANLLGHGGFGMVFKGCLADGQEIAVKRLSRNSGQGVEEFKNEVMLIAKLQHRNLVRLLGCCIDKEERMLIYEYMPNRSLDLCIFDKSRRSLLDWRKRFQIIIGIARGVLYLHQDSRLKIIHRDLKASNVLLDASMNPKISDFGMARMFGDDQIEANTNRVVGTYGYMSPEYAMDGLYSTKSDVFSFGVLALEIISGRKNNFHFENSSLNLVGQMWDLWVEGKALDTVDPSLSRSYSTHEVMRCIQIGLLCVQEYATDRPTMLDVVFMLGNETSLPPPKKAAFSFKNSGRDSSTSRGASSVNDVTVTVIEAR >ONI10167 pep chromosome:Prunus_persica_NCBIv2:G4:1477368:1480370:-1 gene:PRUPE_4G031800 transcript:ONI10167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVKGLLNALVLQLLLLQLCSSLDTISFDQSIRDGDFLVSKNETFVLGFFRPGTSSNRYVGIWYKFSEDKVLWVANRDNPLNDTSGVLSINTDGNLILAHGNSSQGLPLWSTNVSVSSSGNNNIVAQLLDSGNFVLVQQDNQNVLWQSSDHPTHALLSSMKLGLDKKSGINRFLTSWNSNNDPGTGNCSLRMDTNGSPQLILYKNLAKWWRSGQWNGIQWGGIPAEGSNNVFKINFVNNQDEIAVEWSVLDPSIYSVITIDGTGSLNQLSWQGQQHQWVTLWSAPLDACDSYGKCGQFGACNPYTNSGFNCTCYPGYEPNSPHDWDLRDGTGGCKRPQGSPSMCRNGEGFVKMENVKVPDTSTIKLNRSLSFEACGEECLRNCSCLAYASADVRNGGSGCMAWFGDLMDTKQFTEGGQDLYIRADALVLAQYTKKSGGGFSAKDRRLAIILGVSIAVTSLLIVAALCWFRRRSRKGRGGQPELLNDAIAGSRSHEDLLKKNEVDEHRGKTDLPFFDLSTIVAATDNFSSANLLGHGGFGMVFKGCLADGQEIAVKRLSRNSGQGVEEFKNEVMLIAKLQHRNLVRLLGCCIDKEERMLIYEYMPNRSLDLCIFDKSRRSLLDWRKRFQIIIGIARGVLYLHQDSRLKIIHRDLKASNVLLDASMNPKISDFGMARMFGDDQIEANTNRVVGTYGYMSPEYAMDGLYSTKSDVFSFGVLALEIISGRKNNFHFENSSLNLVGQVNVGLVG >ONI11717 pep chromosome:Prunus_persica_NCBIv2:G4:6646468:6648143:1 gene:PRUPE_4G122500 transcript:ONI11717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARVLEASNSLACPHQLCNLPLLDILDLSHNNFSGTLPKCSKYMTSLVYGISNVSYYERYFGQTTLTSKGRELAYNKTLFWVKSIDLSSNNLESEISEEITSLIALSFWGVRGSLMVKKLWRYAYFRLIDDVKDKVTLAIALKVAHLQRKFCDV >ONI14254 pep chromosome:Prunus_persica_NCBIv2:G4:22089225:22094365:-1 gene:PRUPE_4G271300 transcript:ONI14254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEKPSILVSSIKPTWASLLLLFTIMLVLSYQTFSTMAGLPTWVGSIWPAAGSDTRSDHHPSTCSGFFGGVPKRKVVMSIEAFGGVGDGATSNTEAFRRAILHMQRFGESGGAQLNVPKGRWLTGSFNLTSNFTLFLEEGAVILGSQDPKQWPIIEPLPSYGRGRERLGGRHISLIHGDGLTNVVITGNNGTIDGQGKMWWELWWNRTLEHTRGHLLELMNSQNILISNLTFLNSPFWTIHPVYCSNVVIKDMTILAPLNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDHYGIKMARPSSNIIVRRVHGTTPTCSGVGIGSEMSGGISNVTIEDLHVWDSAAGVRIKTDKGRGGYIANISISNILMERVKAPIMFSRGANDHPDKGWDPKAVPQVKGIFISNVFSLNSTKAPELRGIEGASFERICFKNVTVLGLAPSAAWHCEFVSGFANGVFPAACPQLQDMDAFDWCLQR >ONI09736 pep chromosome:Prunus_persica_NCBIv2:G4:336990:337972:-1 gene:PRUPE_4G006100 transcript:ONI09736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVLLGPVVDEKDFEASTLSLFVVFVSSLLFFFISFSCSTTSINNHKSHDESLDPDAIAYAAGEDEGESPTIQEEDDDDGDGQQEELKNGTLLQAEEIPQAFSAEEKGGGSESLIKQREEEMDTPELETNHVNDYLATTSTDELNKKFEEFIRKMKEEIRIEAQRQPIAV >ONI14159 pep chromosome:Prunus_persica_NCBIv2:G4:19798490:19799243:1 gene:PRUPE_4G265700 transcript:ONI14159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIDLDPLVSMNVGSANAISKTTATAIDDVSVGKGVLIVVHPNLENHFVHINLIAKLMHNLLVPLLHLSPNPVCNLVHLVLMVLAELGHEPLPCVWVRRNNWGVEDYESMIVIRTA >ONI13718 pep chromosome:Prunus_persica_NCBIv2:G4:15851860:15852261:-1 gene:PRUPE_4G240900 transcript:ONI13718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLHVSGQGKRGYLIGKVAEEEEDAPSFDLWCVEDSVVKGWLIKTIETYLIELFLNLPTAKDIYELSCKATRITQGDGDITSYFAELKSVWLELNCHHPINTKCHYDVKIRHDEI >ONI13746 pep chromosome:Prunus_persica_NCBIv2:G4:16041401:16042777:-1 gene:PRUPE_4G242900 transcript:ONI13746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNEVESSNNFHIAMFPFFLMGHVPEFTRKLGVGIKSIYYITTAAVVHAFSIVLGKITAPALLKQLRDLHPGYQSQNVVLLPGREIRSMLVLWKPFGLGGLTFYQRFANASQKVTTCREIEGHFYDYLSAHHKRPMLLTGPVYGLDDSNKNSPPLEDMWAKWLGGFEEAGTVVFCAFRNQLILEKDQFQELVLGFELTGLTFFYIFKPPAACATIEKAFPSGFEERVKGRGVVFGGWVQQTAILSYPLVGCFIMNTMILVKELKVAIEVEREENGWFSKESLSKTSTTMMDKENELGVSLKNNLAKWRRILPEPE >ONI14331 pep chromosome:Prunus_persica_NCBIv2:G4:23076568:23077315:1 gene:PRUPE_4G276100 transcript:ONI14331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQVVSVGTWRKWGSISTSASKRAQRIIKEEIQEPLDEKPHIVKGLVGDEDDKDCCSLETVPGMADELFLEASLILEDDKKAKMFVAMDVAARKKWLCRKLPQ >ONI10197 pep chromosome:Prunus_persica_NCBIv2:G4:1601221:1603271:-1 gene:PRUPE_4G034400 transcript:ONI10197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFSFPSLLLLSSNCCSNVLSLTASVSTQTSQTTSLETQNQAKKMDEFGLQEPHSLASFQEHNLDTIPDLFASESDHMPSHNSLSCSKDSDFYYAFRLEAISLFLQAQYSCNLDPFIPYLAINYLDRFLSKRDIPEGKPWVSRLLEVSCLSLAAKMKNTPFSATDFQRGEGFIFDAQTIHKMELLILDTLDWRMRSITPFSFLSFFLSFLDLNDQTLTKALKSRASDVIFNAHNEIKIVEFKPSIIAASAALSACQELLPLQFPSFKASISSFQYVNKESLFKCLTLVQEMMVNEGYESMLETLSCTTRTAMSVVDRQLTKSDQSQNTYTSSTIIAEKRDSKRRRLNGTLCSENRFRLSHHFQKC >ONI10769 pep chromosome:Prunus_persica_NCBIv2:G4:3271942:3274338:-1 gene:PRUPE_4G067500 transcript:ONI10769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLAFLPEVQSADRKIPFREKFIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASSRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGQAVAYVLSGMYGSVGQLGVGNAILIIVQLCFAGVIVICLDELLQKGYGLGSGISLFIATNICEGIIWKAFSPTTVNSGRGAEFEGAIIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPLFYTSNMPIILQSALVSNLYFISQLLYRRYGGNFFVNLLGKWQESEYSGGQFIPVGGLAYYVTAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGLCIGALTVVADLMGAIGSGTGILLAVTIIYQYFETYEKEKVSELGLFGF >ONI09929 pep chromosome:Prunus_persica_NCBIv2:G4:878094:880303:-1 gene:PRUPE_4G019100 transcript:ONI09929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITNLSLSTIYFCFFFISTLLFTASQATSSSPILTTTKQQQNQDQDKEPFVGVNIGTDVSNLLSPSDLVSFLQVQQINHIRLYDADPDILKALAKTKIRVIISVPNNQILAIGSSNTTAASWVGRNVVAYYPETLITGIAVGDEVLTTVPSSAPLLLPAIQSLYTALVAANLHTHVKISTPHAASIILDPFPPSQAFFNQSWTQIVLPLLQFLSKTGSPLMMNLYPYYVFMQNKGVVPLDNALFKPLTPSKEMVDPNTLLHYTNVLDAMIDAAYFSMKNLNITDVVVLVTESGWPSKADSKEPFATIDNADTYNSNLIKHVFDRSGTPLHPETTSSVYIYELFNEDLRSPPVSEANWGLFYGNSSAVYLLHVSGSGSFLANDTTNQTYCIAMDGVDSKILQAALDWACGPGRSNCSEIQPGQDCYSPNNVKSHASYAFDSYYQKEGRAAGSCDFKGVATITTTDPSHGSCIFPGSKKVINKTRQVVNSTHSSSGADRLRFIALSSNRISAISGILSVFFRVIFSTLLFIPFMTPC >ONI13124 pep chromosome:Prunus_persica_NCBIv2:G4:12723952:12729628:1 gene:PRUPE_4G204300 transcript:ONI13124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNSYQSMFRYADGTDKLLMLFGTLGSIGDGLQNPLMMYILSEVINSYGSANGGLTNADVDKFALRLFYVAIGVGLAAFVEGLCWTRTAERQTSRMRMEYLKSVLRQEVSFFDTQTAGSSTTYQVISIISSDANAVQVVLCEKIPDCLTYMSTFFFCHIFAFRLSWRLTLAAMPLSIMFIAPGLVFGKILMGLVMKGIEAYGVAGGFAEQAISSVRTVYSYVGENQTLNRFSTSLQKVTKLGIKVGLVKGLLMGSMGIIYIGWGFQAWVGTYLVTQKGEDGGHVFVAGFNVLMGGLSILSALPNLTAITEALAATTRILEMIDRVPSIDTEDRKGKALSHVRGEIEFQDIYFSYPSRPDTSVLQGLNLKVPAGKSVGLVGGSGSGKSTIIALLERFYDPIEGEILLDGHKIRRLQAKWLRSQMGLVNQEPVLFATSLKENILFGKEGASMEEVINAAKAANAHDFIVKLPDGYETQAGQFGFQLSGGQKQRIAIARALLRDPKILLLDEATSALDAQSERVVQEAIDQASKGRTTIIIAHRLSTIRTANLIVVLQAGKVVESGSHNKLMQMKGEQGGEYFKMVQMQQMASQNEAADDSNSQSYEKPRRRRSVTPSPISYRSTAPSPAFNPTAMSMSMGTPYSFSVQYDPDDESDDEDFKRPTYPPPSQWRLLKMNAPEWGQALLGCLGAIGSGAVQPINAYCVGSLISVYFLQDKSEIKSQSRVLSLVFLGIGVFNFFTNLLQHYNFAIMGEKLTTRVREQLLEKLMTFEIGWYDQDENTSAAICARLATEVNMVRSLVGDRMSLLVQAVFGATFAYAVGLVLTWRLALVMIAVQPIVIGSFYARSILMKSMGEKARKAQKQGSQLASEAVINHRTITAFSSQKRILGLFSATLKGPKKESIKQSYVSGAGLFSSQFFNTAATALAYWYGGRLLVLEEITPKHLFQAFLILLFTAYIIAEAGSMTSDISKGNSAIQSVFAILDRKSEIDPDNKWGLEIKRRIKGRVEFRNVFFSYPTRPDQMILKGLSLRIDAGKTVALVGQSGSGKSTIIGLIERFYDPKKGSVCIDEQDIKNYNLRMLRSHIALVSQEPTLFAGTVRENIAYGKENAKESEIKRAAVLANAHEFISGMDNGYDTYCGERGVQLSGGQKQRIAIARAILKNPSILLLDEATSALDSVSEKAVQEALKKMMVSRTCIVIAHRLSTIQKANSIAVIKNGKVAEQGSHNELISLGRNGAYYSLIKLQTGSSPPR >ONI09911 pep chromosome:Prunus_persica_NCBIv2:G4:838937:840278:1 gene:PRUPE_4G017900 transcript:ONI09911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAQQSPRRGEKSSSILKLIGAKGEKETRKKEPQPLELKIEQELPQSAKCLMDCEAADILQGIQEQIILLSKDPTIKIPVSFDKGLQYAKRTSHYTNPQSVRKVLETLTKYGVSDGEISVIANVCPETTDEVFALVPSLKVE >ONI09910 pep chromosome:Prunus_persica_NCBIv2:G4:838724:840355:1 gene:PRUPE_4G017900 transcript:ONI09910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAQQSPRRGEKSSSILKLIGAKGEKETRKKEPQPLELKIEQELPQSAKCLMDCEAADILQGIQEQIILLSKDPTIKIPVSFDKGLQYAKRTSHYTNPQSVRKVLETLTKYGVSDGEISVIANVCPETTDEVFALVPSLKVE >ONI11612 pep chromosome:Prunus_persica_NCBIv2:G4:6282796:6286714:1 gene:PRUPE_4G116200 transcript:ONI11612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNTYLLVGCCNDETTHNYKGKTVMTEKERYESLRHCRWVDEVIPDAPWVLSQEFLDKHQIDYVAHDSLPYADASGSGKDVYDFVKSVGKFKETKRTDGISTSDIIMRIVKDYNQYVMRNLDRGYTRKELGVSYVREKRLRVNMGLKRLRERVKEHQEKVGEKIQIVTKTATMRRNEWVENADRLVAGFLERFEEGFHKMGTAIRDQIQERIRKRQFGGLLYEEDDDDEFYDDSEDERNDEDEK >ONI11611 pep chromosome:Prunus_persica_NCBIv2:G4:6282579:6285280:1 gene:PRUPE_4G116200 transcript:ONI11611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDQKQNSEERPVRVYADGIYDLFHFGHAGSLEQAKKLFPNTYLLVGCCNDETTHNYKGKTVMTEKERYESLRHCRWVDEVIPDAPWVLSQEFLDKHQIDYVAHDSLPYADASGSGKDVYDFVKSVGKFKETKRTDGISTSDIIMRIVKDYNQYVMRNLDRGYTRKELGVSYVREKRLRVNMGLKRLRERVKEHQEKVGEK >ONI11610 pep chromosome:Prunus_persica_NCBIv2:G4:6282360:6286714:1 gene:PRUPE_4G116200 transcript:ONI11610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDQKQNSEERPVRVYADGIYDLFHFGHAGSLEQAKKLFPNTYLLVGCCNDETTHNYKGKTVMTEKERYESLRHCRWVDEVIPDAPWVLSQEFLDKHQIDYVAHDSLPYADASGSGKDVYDFVKSVGKFKETKRTDGISTSDIIMRIVKDYNQYVMRNLDRGYTRKELGVSYVREKRLRVNMGLKRLRERVKEHQEKVGEKIQIVTKTATMRRNEWVENADRLVAGFLERFEEGFHKMGTAIRDQIQERIRKRQFGGLLYEEDDDDEFYDDSEDERNDEDEK >ONI13792 pep chromosome:Prunus_persica_NCBIv2:G4:16278778:16280637:1 gene:PRUPE_4G245400 transcript:ONI13792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIRCTKLVQKLNICIATSRYLTEFPFFRYVLGVRKELKGTKDASLEHSTQNQLEHNKQINRQLCNIFTKKYNFWQSELNCQKTMKSSEQPRHQPLMFYAVALCLLATSVLANNPYTYSSPPPPKQAQHYYNYPPPKHSVHPPYRYKSPPPPKYVKSPPYHYKSPPPPHYHHKSPPPYHYKSPPPPSPSPPPPYVYKSPHLRHVTSLIRL >ONI10560 pep chromosome:Prunus_persica_NCBIv2:G4:2594162:2597349:-1 gene:PRUPE_4G053700 transcript:ONI10560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDEETANTLEATPTWAVATVVFVLIVISIIIEYLLHLLHKYFHRLKRKSLIQALDKIKSELMLLGFISLLLTVGQKPIANICIPKSVGESFLPCKSSTFGHAVEETKCSDQGKVSLMSREGAYELQYLIFVLAMFHVSSCVLTFALGMAKMKRWESWEAETRTLEYQFSYDPRRFQLTYQTSFGKRHLKCWSDNRLLRWPASFVRQFFNSVSKSDYFTLRHGFIMAHFAEGSHFNFQKYIRRNLEKDFGVVMGISWWIWLFSVFVIFFNAHGFYNYLWVPFIPLVMLLLVGTKLQGIITKMCLDSHDKSHVVRGTLLVKPSNHFFWFNWPQLLLHLLHFILFQNSFQLAFFTWTWYKFGLRSCFHHETEDIVIRLGMGVIVQILCGYVTLPLYALVTQMGTSMRKAVFTENVVKGLKKWRAKARKNLALRNHPHSARLSLDASLETSLSLGTSPSFSGHDASFSTVDFDRPSDDAEYVALEIREAQKAQGETNA >ONI10561 pep chromosome:Prunus_persica_NCBIv2:G4:2594162:2597056:-1 gene:PRUPE_4G053700 transcript:ONI10561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCKGIICFCLEIFVQYFHRLKRKSLIQALDKIKSELMLLGFISLLLTVGQKPIANICIPKSVGESFLPCKSSTFGHAVEETKCSDQGKVSLMSREGAYELQYLIFVLAMFHVSSCVLTFALGMAKMKRWESWEAETRTLEYQFSYDPRRFQLTYQTSFGKRHLKCWSDNRLLRWPASFVRQFFNSVSKSDYFTLRHGFIMAHFAEGSHFNFQKYIRRNLEKDFGVVMGISWWIWLFSVFVIFFNAHGFYNYLWVPFIPLVMLLLVGTKLQGIITKMCLDSHDKSHVVRGTLLVKPSNHFFWFNWPQLLLHLLHFILFQNSFQLAFFTWTWYKFGLRSCFHHETEDIVIRLGMGVIVQILCGYVTLPLYALVTQMGTSMRKAVFTENVVKGLKKWRAKARKNLALRNHPHSARLSLDASLETSLSLGTSPSFSGHDASFSTVDFDRPSDDAEYVALEIREAQKAQGETNA >ONI13725 pep chromosome:Prunus_persica_NCBIv2:G4:15949529:15953269:1 gene:PRUPE_4G241400 transcript:ONI13725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSKLNIAIIHPDLGIGGAERLIVDAAVGLASHGHNVHVFTAHHDKNRCFEETISGTFPVTVYGAFLPRHIFNHLHALCAYLRCLFVALCMLVMWPSFDVILADQVSVVIPLLKLKKSTKVLFYCHFPDLLLAQHTTILRRIYRKPIDFIEEMTTGIADKILVNSKFTESMFAKTFKHLDARGVRPAVLYPAVNVNQFDEPTNSYKLNFLSINRFEKKKNIDLAISAFSMLRTLRGDVLQDLNLAEASLTIAGGFDKRLKENVEYLEELRSLAESEGVSSQVNFITSCSTAERNALLSQCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVKNGVTGFLCEGNSREFSLAMAKLIHDPQMAQRMGTEARKHVTESFSTKIFGQNLNQYLVDVARSKRD >ONI13723 pep chromosome:Prunus_persica_NCBIv2:G4:15949267:15953269:1 gene:PRUPE_4G241400 transcript:ONI13723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSKLNIAIIHPDLGIGGAERLIVDAAVGLASHGHNVHVFTAHHDKNRCFEETISGTFPVTVYGAFLPRHIFNHLHALCAYLRCLFVALCMLVMWPSFDVILADQVSVVIPLLKLKKSTKVLFYCHFPDLLLAQHTTILRRIYRKPIDFIEEMTTGIADKILVNSKFTESMFAKTFKHLDARGVRPAVLYPAVNVNQFDEPTNSYKLNFLSINRFEKKKNIDLAISAFSMLRTLRGDVLQDLNLAEASLTIAGGFDKRLKENVEYLEELRSLAESEGVSSQVNFITSCSTAERNALLSQCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVKNGVTGFLCEGNSREFSLAMAKLIHDPQMAQRMGTEARKHVTESFSTKIFGQNLNQYLVDVARSKRD >ONI13724 pep chromosome:Prunus_persica_NCBIv2:G4:15950045:15953269:1 gene:PRUPE_4G241400 transcript:ONI13724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGSSKLNIAIIHPDLGIGGAERLIVDAAVGLASHGHNVHVFTAHHDKNRCFEETISGTFPVTVYGAFLPRHIFNHLHALCAYLRCLFVALCMLVMWPSFDVILADQVSVVIPLLKLKKSTKVLFYCHFPDLLLAQHTTILRRIYRKPIDFIEEMTTGIADKILVNSKFTESMFAKTFKHLDARGVRPAVLYPAVNVNQFDEPTNSYKLNFLSINRFEKKKNIDLAISAFSMLRTLRGDVLQDLNLAEASLTIAGGFDKRLKENVEYLEELRSLAESEGVSSQVNFITSCSTAERNALLSQCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVKNGVTGFLCEGNSREFSLAMAKLIHDPQMAQRMGTEARKHVTESFSTKIFGQNLNQYLVDVARSKRD >ONI13878 pep chromosome:Prunus_persica_NCBIv2:G4:16853334:16854409:1 gene:PRUPE_4G251600 transcript:ONI13878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRDDPEFYLPTHFLTDDVVLHNMDDNSFHQNGVGSVARFPTEFPYEFDSSDSNSALSSAVESVVGSTETESSDEEDFLSGLTRRLAQSSLQQTRRLSTQVVWAFRRTGAVHLVSEAEVQFQLL >ONI12823 pep chromosome:Prunus_persica_NCBIv2:G4:11008306:11012436:-1 gene:PRUPE_4G185500 transcript:ONI12823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKHSEAEAATREKKEEEVAPERPKRTLSGWKEKKDDQVNQTESTTFFRNKEKVLVTCSRRISYRYRHLMLNVVDLLPHCKKDNKVESKSSNGATLNELVDLKSCSSCMFFECRKGKDLYLWMSKCPNGPSVKFLVNAVHTMEELKLTGNHLKGSRPILTFSSNFDKDAHWKLLKEMITQIFGIPKEHRKSKPYHDHVFVFSIVDDHIWFRNYQISVPHNESDKIARGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYISPNQIRALEKKKKAGHYVKKVKAKTRRKMHELDNPLEADEFSEMWKE >ONI11377 pep chromosome:Prunus_persica_NCBIv2:G4:5332736:5334966:1 gene:PRUPE_4G104000 transcript:ONI11377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSTSSSMLNIDSNSPDVDKLSYEIFSILENNFLFGYNNNGSSAAKENPTAQNLLSDTKPTNLSTPLHHMHSSKHFTGKVRVLAIDAAGATDGLLAANSLAHLESSLRRKSGNPNAAISDFFDVVSGSGSGGILAALLFTRKSKDGSTRPMFTAQEALNFLLDNRRRIFRSSTGGIFRRVFRPTRAEKEREKLFRKTFGDQLSLKDTLKSVLIPCYDMSSRAPFLFSRADAVEMDGYDFKMKDVCTATSAQPAVEVRSVDGRTKIMAVDGGIAMNNPTAAAITHVLNNKQEFPFCNGVEDLLVVSLGNGESELGNLSPSGCLKIAGEGASDLVDQAVSMAFGQSRASNYVRVQANGIISKMHGGLQASNKNKNKNKKIDMLALTEEMLAQKNVEAVLFKGKKMVESTNVEKLEMFAGELIKEEERRKTCFLPTVVLKQTCSTSPSRTSSATTLSTMSSSC >ONI10579 pep chromosome:Prunus_persica_NCBIv2:G4:2638012:2645085:-1 gene:PRUPE_4G054800 transcript:ONI10579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISANLLAANMTDQTLHIAMYPWFGMGHLTSFLHISNKLAERGHRISFFSPSKVQQKLEAFNLHSHRISFIPIDVPHVDGLPPGTETTADVPFPLHSLLVTAMDLTRPAIEQALCELRPNFVFFDFTHWLPELLHKLDIGIKSVHYCTISPATVGFLISPERKLFEKSLTEADLMEPPPSFPASSIKLQTHEARALVGATLMEYGRGVTFLERQMGSFSNCDAIAFKTCREMEGPYCDYVETQFGKPVILAGPVVPESPTTELDEKWAKWFDGFEAKTVIYCAFGSECILNKAQFQELLLGFELTGLPFFAALKPPMGVESIEAALPEGFEERVQGRGIVHGGWVQQPLILKHPSVGCFVTHCGSGSLSEALMNECRLVLLPNVGDQIINARMMSRDLKVGVEVEKGDEDGVFTTEGVCKAVKTVMDDESEVEKEDEDGVFTTEGVCKAVKTVMDDESEVAKEVWTNHAKWREFLSGKELENSCLDSFEQKLHQLPQ >ONI10593 pep chromosome:Prunus_persica_NCBIv2:G4:2692384:2696212:1 gene:PRUPE_4G056200 transcript:ONI10593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYINKLCGKGSAIYFTQSKFQNPMSFPFTTANTVHRLWILFPVLIILSYGYGLGALNSSDVTNVGAIINFNSRIGKEQKAAMEVAAEDFNNRSKTHKLILHFRDSGRDPFRAASAAEELIKEKKVEAIVGMETWQEAAQVADHAGNQSKVPVISFAAPTITPPLMQRRWPHLIRMATDASAQMKCIADLVSAYHWKRVVVIYEDDGYGGAVGTLAVLSEALRDVGSKIEHRLILPRVSSLSNPNWVELEELLKLPFIQSRVFIVLQSSLSTVTHLFRVAKKMELVGRDSAWIITESIVSLLDSLDSSDMSTMEGTLGTKTYYAKNTNSYAKFQNEFQTKFSEEDNSKPGIYALRAFDTMTIITQALRRMTSNSSTSNLQELFNTLFNNYTGLSGKMYFKEGGVLLYSPKFRIINIVDGKTDKELISWTPEVGFSPSLVNQGTNSSNGVGIIWPGNVTSAPKGWAMPTDEKKMRILVPGITSFYKFVKVDWSRQDSDEKKFDGFCIALFKMIVSNLSYSLPYEIEARNGSYDSLINLVQDKTYDAVVGDITVLSERLEKVDFTQPYLESGLSMIVPENYEESTWLFLKPFTWQMWVVTGGILIYTTLIVWFLERPSNPEFGGRFKDQIRTATWFTFSSLFFAHREKIYSNLTRVVVIEWLFVVLILSSSYTANLSSMLTIKGLNPNVTGIETLKRTNAKIGSDADSFILNYLEVVLGFKNSNIIEVGPQYNVDDFKSKRISAAFLELPYAEVFINQNCKGYTSTAPNYRFGGFSFAFQQGSPIARDFTKAILELLENGELKKLQTRWLTTPEGECSNNATSNTPESLSLKNFSGLFIITSVTSTLCLLLSLVILMRKRQQQEADQGNASPSPSDGSLWNRTVRIAGFINQSLSIPKGAPSFANVEEWSSPLRWDYTSTSNTPEHPQAFMEPAQIEFIPQESIEQRQ >ONI10594 pep chromosome:Prunus_persica_NCBIv2:G4:2692384:2696212:1 gene:PRUPE_4G056200 transcript:ONI10594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYINKLCGKGSAIYFTQSKFQNPMSFPFTTANTVHRLWILFPVLIILSYGYGLGALNSSDVTNVGAIINFNSRIGKEQKAAMEVAAEDFNNRSKTHKLILHFRDSGRDPFRAASAAEELIKEKKVEAIVGMETWQEAAQVADHAGNQSKVPVISFAAPTITPPLMQRRWPHLIRMATDASAQMKCIADLVSAYHWKRVVVIYEDDGYGGAVGTLAVLSEALRDVGSKIEHRLILPRVSSLSNPNWVELEELLKLPFIQSRVFIVLQSSLSTVTHLFRVAKKMELVGRDSAWIITESIVSLLDSLDSSDMSTMEGTLGTKTYYAKNTNSYAKFQNEFQTKFSEEDNSKPGIYALRAFDTMTIITQALRRMTSNSSTSNLQELFNTLFNNYTGLSGKMYFKEGGVLLYSPKFRIINIVDGKTDKELISWTPEVGFSPSLVNQGTNSSNGVGIIWPGNVTSAPKGWAMPTDEKKMRILVPGITSFYKFVKVDWSRQDSDEKKFDGFCIALFKMIVSNLSYSLPYEIEARNGSYDSLINLVQDKTYDAVVGDITVLSERLEKVDFTQPYLESGLSMIVPENYEESTWLFLKPFTWQMWVVTGGILIYTTLIVWFLERPSNPEFGGRFKDQIRTATWFTFSSLFFAHREKIYSNLTRVVVIEWLFVVLILSSSYTANLSSMLTIKGLNPNVTGIETLKRTNAKIGSDADSFILNYLEVVLGFKNSNIIEVGPQYNVDDFKSKRISAAFLELPYAEVFINQNCKGYTSTAPNYRFGGFSFAFQQGSPIARDFTKAILELLENGELKKLQTRWLTTPEGECSNNATSNTPESLSLKNFSGLFIITSVTSTLCLLLSLVILMRKRQQQEADQGNASPSPSDGSLWNRTVRIAGFINQSLSIPKGAPSFANVEEWSSPLRWDYTSTSNTPEHPQAFMEPAQIEFIPQESIEQRQ >ONI09799 pep chromosome:Prunus_persica_NCBIv2:G4:483996:485511:-1 gene:PRUPE_4G009800 transcript:ONI09799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEVAPAATLQENEAKTVEVIKTEEKTPEEPVAAAPAAVTESEAATTEEPKETTPVEAEAEAEAPAAPEAEAPVPAEVETKEVAEETKAAEAEAEEPAATETEKTEAEEPKEVTAAEPVAAVAEETKEETTESAETPAAPPVEEEKPEETTTDVPVEKTEE >ONI13877 pep chromosome:Prunus_persica_NCBIv2:G4:16833422:16834331:1 gene:PRUPE_4G251500 transcript:ONI13877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIYIVGALLGTFGFTYAFDKVVSEKKLFGGITPSTISKEWQEETEKKLQAWPRTAASPVVMNPISRQNFIVKSTSK >ONI13861 pep chromosome:Prunus_persica_NCBIv2:G4:16714884:16720265:-1 gene:PRUPE_4G250000 transcript:ONI13861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAGGAEKKRVRRSSGAIQNGGRDSNSDTPPRKQAIQKDLFQLFAEKVRDHKDLVSRWAVLQETRVEYFRGKDFVRFLRNHPELKDILESDRNLEAEDIADVLLRKNLLVRCDRVVKTLRPGKKKLSTWPAHLEIFPDQVFSENDAFFAWTFVKRRPLWQTLLSFFWPVVTLAICLFPVYPHRCKLLILYSCLGVLLLFLSLLVLRGAIFGALYIILGKRVWFFPNILAEEATLRELFRFWPQKDEEERPKWTTRVFYAAVGVLVILLLRHHAPDEAARARYQKRVSNIIDDVLEWSPRLALSGMMENLQPVVNATEASNATEEGVTPPDGMGAETITEQDEAEVSENLENTDENLDNSEENLDNSGEQKHDI >ONI10100 pep chromosome:Prunus_persica_NCBIv2:G4:1250856:1251891:1 gene:PRUPE_4G026800 transcript:ONI10100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNSPDRLPIQYPTPEPQRMKRQHTPRYYAHRVRESLTTRVSKLFCTIFLGLLLILGMIAFILWLSLRPHRPRFHIHAFTVPGLNQETGFENAQITFNATARNANHDIGIYYDSMDGSAYYKDQRIGSISGLLPPFYQGPKNTTIVAGSFTGATLTVNSQRWMEFINDRSRGTVVFRLEFSATIRFRIQSWDSKRHRMHANCDVDVGQDGLILPVSKDRRCPVYFT >ONI09955 pep chromosome:Prunus_persica_NCBIv2:G4:961392:964324:-1 gene:PRUPE_4G020500 transcript:ONI09955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NFDSCIPSQILVFNFLFFFRQASLALTLTRTLTLTPHSINPISFSLSTLDPPPPSSLSQSYSEPLLSRISLPLWVLIEVRTKPLKIDHNSIKACLQ >ONI09956 pep chromosome:Prunus_persica_NCBIv2:G4:961392:964324:-1 gene:PRUPE_4G020500 transcript:ONI09956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NFDSCIPSQILVFNFLFFFRQASLALTLTRTLTLTPHSINPISFSLSTLDPPPPSSLSQSYSEPLLSRISLPLWVLIEVAVRTKPLKIDHNSIKACLQ >ONI09954 pep chromosome:Prunus_persica_NCBIv2:G4:961890:964324:-1 gene:PRUPE_4G020500 transcript:ONI09954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NFDSCIPSQILVFNFLFFFRQASLALTLTRTLTLTPHSINPISFSLSTLDPPPPSSLSQSYSEPLLSRISLPLWVLIEVRTKPLKIDHNSIKACLQ >ONI13548 pep chromosome:Prunus_persica_NCBIv2:G4:14688843:14691618:1 gene:PRUPE_4G229500 transcript:ONI13548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSTVFVKSCVEFWGRKWNIQDITIVVLFLALHCLCLFAPFHFNWRAFWVAMALSLLTGLGVTLSYHRNLAHRSFTLPKWLEYSFAYCGVLSLQGSPIEWVSTHRFHHQFTDTGKDPHSPIKGFWRSHMGWIFDSSYRFGQKFAVWGGLKNVEDLKKQLFYRFLRHTNLLHSVLLGGLLYAAGGFSFLVWGMGVRTVLVLHNTFLVNSVGHMWGKKPWNTGDMSRNNWWVSLLALGEGWHNNHHAFEYSARQGLEWWQFDFTWYIIKFLQAIGLATDVKVPTEIQKQRKASKSRILATQN >ONI13864 pep chromosome:Prunus_persica_NCBIv2:G4:16736911:16741425:1 gene:PRUPE_4G250200 transcript:ONI13864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVTLGTPGQNKQKKNKKKPNPFATDFGGINGKDGEGKLWVLKEPTGRDISVQYDLGRELGRGEFGITYLCTEASSGEKFACKSISKKKLRTAVDIEDVRREVEIMRHLPPHPNIVTLKDTYEDEEAVHIVMELCEGGELFDRIVARGHYTERAAASVMKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPDEEFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVKKMLDPDPKRRLTAQEVLDHPWIQNAKKAPNVPLGETVRARLKQFSIMNKLKKRALGVIAEHLSVEEVAGIKEAFQMMDTGNKGKVTIEELRSGVQQLGQQIPDPDLQILMEAADVDGDGALNYGEFVAVSVHLKRMANDEHLHKAFAFFDQNQSGFIEIEELRNALNDDVDAGGEEVIHAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSISLKLMREGSLQLATEGR >ONI13863 pep chromosome:Prunus_persica_NCBIv2:G4:16736364:16741425:1 gene:PRUPE_4G250200 transcript:ONI13863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVTLGTPGQNKQKKNKKKPNPFATDFGGINGKDGEGKLWVLKEPTGRDISVQYDLGRELGRGEFGITYLCTEASSGEKFACKSISKKKLRTAVDIEDVRREVEIMRHLPPHPNIVTLKDTYEDEEAVHIVMELCEGGELFDRIVARGHYTERAAASVMKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPDEEFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVKKMLDPDPKRRLTAQEVLDHPWIQNAKKAPNVPLGETVRARLKQFSIMNKLKKRALGVIAEHLSVEEVAGIKEAFQMMDTGNKGKVTIEELRSGVQQLGQQIPDPDLQILMEAADVDGDGALNYGEFVAVSVHLKRMANDEHLHKAFAFFDQNQSGFIEIEELRNALNDDVDAGGEEVIHAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSISLKLMREGSLQLATEGR >ONI12068 pep chromosome:Prunus_persica_NCBIv2:G4:8166460:8175813:-1 gene:PRUPE_4G142400 transcript:ONI12068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALRNLFVCFLFFSFLRISTTTTLDTITPSRYIRDGETLVSAGGSFELGFFSPGASQGRYLGIWYTFDTEAVVWVANREIPLGNSSGVLKVTEQGVLVLLNSSNRIAWSSNSSTTAGNPVSQLLDSGNLVVKDGNETNPDKFLWQSFDYPCDTFLPEMKLGWDLVTGLDRYVSSWRSTEDPAPGEFSLRMDRRGFPQVDTMKGAKIMATAGSWNGIYFTAYPYPPQTQENQILGHEFVLDKDEIYYEYRLLNRSTFSRYVLNPSGIAQRFTWVHQTHSWELSSTFQADQCQNYALCGAYTSCNMNVSPSCECLKGFVPKSPQDWNSGYWSDGCVRKIPLACSYGDGFLKYTGVKLPDTSSSWFDESMSLKECKGLCLKNCSCTAYANLDVREGGTGCLLWFGNLTDIRQFTPGGGQDLYIRMAASELDGIEKKSKFNKEKLPGILISSAVFLVGTLIIGLILYIQKKKLRNQGVRSIDCRKDYLGEDRENMELPLFDLSTIAKATNDFSSSNKLGEGGFGPVYKGTLIGGKEIAVKRLSKDSGQGMREFKNEVILIAKLQHRNLVKLLGCCTQDDEKILIYEFMANRSLDFYIFDQGRAKLLDWPKCFHIIDGIARGLLYLHQDSRLRIIHRDLKASNILLDNDMNPKISDFGLARIFGADQSRGNTKRVVGTYGYMPPEYAVDGIFSTKSDVFSFGVILLEILSRQKNRGFWHPDHHLNLLGHAWTLWIQNIPLELIDKSLSDSCTISEVLRCLHVALLCVQQVPEDRPSMSSVVLMLSSEVALPPPKQPGFYTERTLPDDPSRVRDLSENNFSTTLLEAR >ONI13408 pep chromosome:Prunus_persica_NCBIv2:G4:13807289:13809101:1 gene:PRUPE_4G219700 transcript:ONI13408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFTRQLQPSPEMKERVTAVERIVGYDFVDKTLLEEALTHPSSCRPNSYQRLELLGDSALNHTVTKYLFRDSRKFNEEEITNRRKDTVSNPYLARIGARLGLYDYLVRHNTPDLDDQVAKFTEGVIKGKDMTGSPGYKVLADVVESVAAAVYIDLNYDLDKLMKIFKPLLDLEKSDSMMKTKESDSMLGYIASLLYTLGAKAYSVIISLLVFCLRGIILLVIVCVLTKLIDCFAK >ONI13049 pep chromosome:Prunus_persica_NCBIv2:G4:12416362:12420058:1 gene:PRUPE_4G200000 transcript:ONI13049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEAGSAAQSQCSKPDQIVRHTANFHPSIWGDQFMDYGSEDIIAYSHKQQEVEDLKQVVRREVFTTGAGDFSYQMKLIDAIQRLGVAYHFEKEIEEALEHIYAANRFHDDDDDGDLYDVSLGFRLLRQHGHYVSCRIFNKFKDRKNGGFKESLISDVPGMLSFYEATHLRVHGEDILEEALVFTTKHLESATTHVSYQLAEQIAQALERPLRKSLERVCARRFMSIYQDEASHNEALLKLAKSDFNLVQSLHKQELSEFIRWWKEVDFKRKLPFARDRIVELYFWVVGVYFEPQYLVGRNILTKWIALLTAMDDMYDAFGTFEELEIFTEAVQRWDVNCMDELPEYMQIFYRTLLNVFNEIEEEMVKEGRAYGAYYAKEAWKTNAKAYFDEAKWFHEGYIPSMEEYMRVATASAGNTTLTTISLLGMGDIVTKESFEWSLNDPKILRASNTIIRLMDDIVSSKFEKERGHVASAIDCYRKQYEVSDEQEIIDAFNKQIVDSWKDINEEFLRPTSVPMPILVLVLNLTRVVDLLYKKDDGFTHVGKVMKDSVASYFIDPAPP >ONI13048 pep chromosome:Prunus_persica_NCBIv2:G4:12416362:12420058:1 gene:PRUPE_4G200000 transcript:ONI13048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEAGSAAQSQCSKPDQIVRHTANFHPSIWGDQFMDYGSEDIIAYSHKQQEVEDLKQVVRREVFTTGAGDFSYQMKLIDAIQRLGVAYHFEKEIEEALEHIYAANRFHDDDDDGDLYDVSLGFRLLRQHGHYVSCRIFNKFKDRKNGGFKESLISDVPGMLSFYEATHLRVHGEDILEEALVFTTKHLESATTHVSYQLAEQIAQALERPLRKSLERVCARRFMSIYQDEASHNEALLKLAKSDFNLVQSLHKQELSEFIRWWKEVDFKRKLPFARDRIVELYFWVVGVYFEPQYLVGRNILTKWIALLTAMDDMYDAFGTFEELEIFTEAVQRLDEFLWDVNCMDELPEYMQIFYRTLLNVFNEIEEEMVKEGRAYGAYYAKEAWKTNAKAYFDEAKWFHEGYIPSMEEYMRVATASAGNTTLTTISLLGMGDIVTKESFEWSLNDPKILRASNTIIRLMDDIVSSKFEKERGHVASAIDCYRKQYEVSDEQEIIDAFNKQIVDSWKDINEEFLRPTSVPMPILVLVLNLTRVVDLLYKKDDGFTHVGKVMKDSVASYFIDPAPP >ONI12371 pep chromosome:Prunus_persica_NCBIv2:G4:9156226:9161867:1 gene:PRUPE_4G159900 transcript:ONI12371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHFPTNPTSTPTPNFDDPTSSSDNPNAARSPKTTPSEMWNYLWIPFLISLSKELSLAKAQSPILLPSQLGVGPSDSPSTRCTALDPKLNYRPVIGILSHPGDGASGRLSNASTASYIAASYVKFVESAGARVIPLIYNEPPDVLFQKLNLVNGVLFTGGWAKSGLYYDIVERIFQKIIEKNDAGDHFPLYATCLGFELLTMIISKNKKILESFSAENMASTLLFTENTIIEGTVFQRFPPDLLKKLSTDCIVMQNHHYGISPERLQENPNLSSFFKILTTSTDKDNKVYVSTVHAYSYPVTAFQWHPEKNAFEWGSPMIPHSEDAIQVTQHVANFLVSEARKSLNRPPVRKVLDNLIYNYSPTFCGKAGNGFDEVYIFTQPSLAHL >ONI14205 pep chromosome:Prunus_persica_NCBIv2:G4:21340463:21350784:-1 gene:PRUPE_4G268900 transcript:ONI14205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKVENKAWKAGSRTIDIELTTLSSTNHIKLSPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKVLVLNEVDKLSREAQHSLRRTMEKYNAYCRLVLCCNSSSKVTEAIRSRCLNVRINAPTEEQIMKVLEFIGKKEGLQLPYGFAARIAETSNRSLRGAILSLETCRVQQYPFTSNQAIPPMDWEEYVSEIASDVMKEQSPKRLYQVRQKLYELLLNCIPPEIILKRLLYELLKKLDAELKHEVCHWAAYYEHRMRLGQKAIFHLEGTFMFLDVG >ONI14206 pep chromosome:Prunus_persica_NCBIv2:G4:21341056:21350784:-1 gene:PRUPE_4G268900 transcript:ONI14206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKVENKAWKAGSRTIDIELTTLSSTNHIKLSPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKVLVLNEVDKLSREAQHSLRRTMEKYNAYCRLVLCCNSSSKVTEAIRSRCLNVRINAPTEEQIMKVLEFIGKKEGLQLPYGFAARIAETSNRSLRGAILSLETCRVQQYPFTSNQAIPPMDWEEYVSEIASDVMKEQSPKRLYQVRQKLYELLLNCIPPEIILKRLLYELLKKLDAELKHEVCHWAAYYGTGSYVVLG >ONI10822 pep chromosome:Prunus_persica_NCBIv2:G4:3409247:3415998:1 gene:PRUPE_4G069800 transcript:ONI10822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRILNVPMDMIFLFSQINIVLIVLMCNMGPISSTAQPQNMTLPHDEVEALIEIAAQLHKKDWNFSDPCSNVPTFSTPHTDQYNNTLFCNCSFPGNVCHVQSIFLVGQELDGVLPPALVKLPYIKQLNLGQNYLSGSIPSKWTSTKLEFLVLSVNNLSGPIPSYLGNIVTLQALALESNLFSGTIPPELGKLINMEILYLRANNLTGELPVSLTNMTKLRTLWIGSNNFTGKIPNYFLSWKELEMLEMQGSGLEGPIPPSLSALTKMSYLSISDLSGESSDFPNLSNMKDMQTLMLRSCNIRGTIPEYISNMTSLKLLDLSFNRLKGNIPNLVDIWRLATIYLTSNLLTGLPEWIKYRDSRYIIDLSYNNFSENSVPTTCRDNFNVFKSFSGQNNSILSHCLNPCSKEQYSMHINCGGKQATIGSIKYDGDEASGGGAKFFYDTGNWGFSSTGDFGLMNYDQEYIARNSSILNMTNSELYSTARISPLSLTYYARCLANGNYTVKLHFAEIVIRNNRSYYGVGRRIFDVYIQEKLVLKDFNIQKEAQGVDKEVIKLFKAVVNVMTLEIRFHWAGKGTTNAPKRGIYGSLISAISVESDFKPPDDSKKKIFIVVGAVSVLCLIFMTIGILWLRCCFGGRASTREQDLRGLDLQTGFFRFKQIKAATNNFDAANKLGEGGFGAVYKGELLDGTIIAVKQLSSKSKQGNREFVNEIGMISALKHPNLVRLYGCCIEGNQLLLVYEYMENNSLAHSLFGQEKGILKLDWHTRQKICGGIARGLAFMHEESTLKIVHRDIKSTNILLDRDLNPKISDFGLAKLNEEEKSHISTRVAGTIGYMAPEYALWGHLTDKVDVYSFGVVALELVSGKNNIKYRPNENYVCLLDWAFVLQQKGNLMELVDLKLGSEFNKEEAMRMIKVALLCTNPSPALRPTMSAVVSMLEGQAIVHELNINPSIYGDEMRFKSLRDESNLSVQQSWCETQSLIYLSDARGTTSSSIVCPGFVYNKF >ONI11561 pep chromosome:Prunus_persica_NCBIv2:G4:6015665:6018529:1 gene:PRUPE_4G113300 transcript:ONI11561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTSFSLFRNCASTTVRAFVTVKASNPNFTTFLLPLRSRRSLCRVSATNDEEAAAKVAAANANSGAPTIFDKIIAKEIPSSIVYEDDKVLAFRDINPQAPVHVLVIPKFRDGLTELRKAELRHVEILGQLLHAAKIVAEIEGILDGFRVVINNGPEACQSVYHLHLHVLGGRQMKWPAG >ONI12621 pep chromosome:Prunus_persica_NCBIv2:G4:10387284:10388165:1 gene:PRUPE_4G175400 transcript:ONI12621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNNYGKGIIPLHSPKLMFLLLLFFVFNLSATPLTFNFPSFSNVTTNISLEGVADIDSNLIRLTRNPEETGNVGRATYHEPFLLRENATRKLADFTTNFTFKIDYGHADGMLMGCQLDPYSTAQREVVASLACLSTTCRETPQAEYPFVAVEFDIFKNTVPTIRDPNGDHVGIDINFLKSNITMPWISYNSSSKNLNVAFTSFLNGTNGIQVEITSYLSYIVDLKQYLPDWVIFRFSAATGNELAVHKILSGILLQLLWLMRATNQYFLCQSPLLNLSYQEVPISDS >ONI13563 pep chromosome:Prunus_persica_NCBIv2:G4:14766763:14768905:1 gene:PRUPE_4G230500 transcript:ONI13563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSVLDSISMGSHVLVKICHERTLKIQSFWARERPTISFKLSRSRPYPHPGRLISCKSELVDFDERTSPNEVRKEIKRCYELIHRLGRGVVYLGSSRMGPDHSHYLQALELGREIANLLECTSWTGAGPGLMDAATKGALQAGKPVGGFKIGKEAGEWTASNFHPYLPSEVFFSARKHGLVDAAVRSCSSDRTAVVALPGGIGTLDEIFEILALIQLERIGSELPVPFLLMNYDSFYSKLLGFLDDCEDWGTLSKGEITSLWKVCDSNSEALAYLADFYKLPPPTDKAQHDNELQSASGTFS >ONI14327 pep chromosome:Prunus_persica_NCBIv2:G4:23051318:23058428:-1 gene:PRUPE_4G275900 transcript:ONI14327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLMGLPSHLPCSSSCRTARELLGNIMTDIIRSSSTNLQLPSSRRRVISFQGSVRSVQTSYRISVPGTSSTREEKVPSSFLRDKKLIPDSDPPSMQDVNLLYQFFDQSTKLMVLTGAGISTECGIPDYRSPNGAYSSGFRPITHQEFLRSIRARRRYWARSYAGWRRFTAAHPGPAHIALASLEKAGRINCMITQNVDRLHHRAGSNPVELHGTVYSVVCIECGFSFPRDLFQDQVKALNPKWAEAIESLDYGNPGSERSFGMKQRPDGDIEIDEKFWEEEFHIPTCQKCNGVLKPDVVFFGDNVPKDRADKTIQAAKECEAFLVLGSSVMTMSAYRLVRAAHEAGAATAIVNVGVTRADDFVPLKINARLGEILPRVLDMGSLCVPAVG >ONI14328 pep chromosome:Prunus_persica_NCBIv2:G4:23051856:23057226:-1 gene:PRUPE_4G275900 transcript:ONI14328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSMCTKLMVLTGAGISTECGIPDYRSPNGAYSSGFRPITHQEFLRSIRARRRYWARSYAGWRRFTAAHPGPAHIALASLEKAGRINCMITQNVDRLHHRAGSNPVELHGTVYSVVCIECGFSFPRDLFQDQVKALNPKWAEAIESLDYGNPGSERSFGMKQRPDGDIEIDEKFWEEEFHIPTCQKCNGVLKPDVVFFGDNVPKDRADKTIQAAKECEAFLVLGSSVMTMSAYRLVRAAHEAGAATAIVNVGVTRADDFVPLKINARLGEILPRVLDMGSLCVPAVG >ONI13981 pep chromosome:Prunus_persica_NCBIv2:G4:17946876:17950522:1 gene:PRUPE_4G256100 transcript:ONI13981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQENIYVLLIISSLSLFLHQHSCSAHSLMQCRLKAIYQLGDSISDTGNLARLAPSMTCNKPPFGRSFFKATGRCSNGMLIIDYVAQAASLPFLEPYMKKEATPRSGVNFATAGATALPSNVRVHSLSEQLVWLSTYFNGSNADRFKKLERALFIVGEIGLNDYIASLNVKSIEDIRHNMVPEIVQATMDAVKMVIGYGARAVVVPGQSPMGCLPMLLSRLRTNDTASYDEFQCLMGLNSISKSHNHLLKQAIEALKKEYPNVSFIYSDYYDAFTWLLRNAHQLGFDATDKSCCGGEDRACGAPNAPVCGNPDRRISWDGVHMTQKANKDGAESNVALHAKIQLLLLPHCARFIWIYEWKEGEEEA >ONI11768 pep chromosome:Prunus_persica_NCBIv2:G4:6782757:6787998:1 gene:PRUPE_4G124200 transcript:ONI11768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLPPMLRPISYFTPKPPWRRCFNTCSEATVTANEVLTILETVNHMESALEPVVPKLSSEIVSYVIREQTNPRLVFRFFIWATKRMRLCSRMSQSSVIDMLVRDDAFELYWRTLEQLRDCGLPIGSAAFAVLINGYAKLDMAEKAVETFGRMKDFNCKPNAFAYNAILYVMVRKELFLLALAVYNQMLKSNHSPSRNTYDILMNGFCKTRQTQDALQMFDEMTQRGSIGEAYALLRSFERDGYVLGLNGYTCLIHGLFIAGRFDEAHGWYSKMIKKGIKPDIVLCTIIIRGLSDAGRVKDALNFLNEMNERGLVPDAYCYNAVIKGFCDLGLLDEARSLHLDISKLDCFPNACTYTILICGMCKNGLVGEAQQIFNEMEKLGCVPSVVTFNALIDGLCKASKLEEAHLLFYKMEIGRNPSLFLRLSQGSNRITDSASLQTKVEQLCELGLILKAYKLLTQLADSGVTPDIITYNILINGFCKAGNINGAFKLFKNMQLKGLSPDSITYGTLIDGLQRVDREEDAFVVFDQMVKNGCMPSSAVYKSLMTWSCRRKKISLAFSLWLKYLSNLPLREEEKIKAIEEDFKEGKTEKAIRGLLEMDVNFKDFDLVPCTILLIGLCQVRRVHEALRIFSVLDEYKVIVTPPSCVHLINGLCKEGNLDLAIGVFRYTLEKGFMLMPEICNQLLKCLLRSQDKKDHALDLISRMRSFGYDLDFYLHQTTKFLLECHMN >ONI11767 pep chromosome:Prunus_persica_NCBIv2:G4:6782663:6788013:1 gene:PRUPE_4G124200 transcript:ONI11767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLPPMLRPISYFTPKPPWRRCFNTCSEATVTANEVLTILETVNHMESALEPVVPKLSSEIVSYVIREQTNPRLVFRFFIWATKRMRLCSRMSQSSVIDMLVRDDAFELYWRTLEQLRDCGLPIGSAAFAVLINGYAKLDMAEKAVETFGRMKDFNCKPNAFAYNAILYVMVRKELFLLALAVYNQMLKSNHSPSRNTYDILMNGFCKTRQTQDALQMFDEMTQRGIAPNTITYTIVVSGLCQAKRTHEAYTLVEMMKASGCPPDLITYNALLDGYCKSGSIGEAYALLRSFERDGYVLGLNGYTCLIHGLFIAGRFDEAHGWYSKMIKKGIKPDIVLCTIIIRGLSDAGRVKDALNFLNEMNERGLVPDAYCYNAVIKGFCDLGLLDEARSLHLDISKLDCFPNACTYTILICGMCKNGLVGEAQQIFNEMEKLGCVPSVVTFNALIDGLCKASKLEEAHLLFYKMEIGRNPSLFLRLSQGSNRITDSASLQTKVEQLCELGLILKAYKLLTQLADSGVTPDIITYNILINGFCKAGNINGAFKLFKNMQLKGLSPDSITYGTLIDGLQRVDREEDAFVVFDQMVKNGCMPSSAVYKSLMTWSCRRKKISLAFSLWLKYLSNLPLREEEKIKAIEEDFKEGKTEKAIRGLLEMDVNFKDFDLVPCTILLIGLCQVRRVHEALRIFSVLDEYKVIVTPPSCVHLINGLCKEGNLDLAIGVFRYTLEKGFMLMPEICNQLLKCLLRSQDKKDHALDLISRMRSFGYDLDFYLHQTTKFLLECHMN >ONI11682 pep chromosome:Prunus_persica_NCBIv2:G4:6524005:6526547:-1 gene:PRUPE_4G120500 transcript:ONI11682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVIILRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLETNKITDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDASGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLTIIEEAKKRQAAQATATA >ONI10751 pep chromosome:Prunus_persica_NCBIv2:G4:3158660:3159503:-1 gene:PRUPE_4G066000 transcript:ONI10751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADEVMELYDSYWFQLGIFEKQPNSANLLEIEEEPSKPELLRIPTLLHTRAMSDELSSKTSFNFSFCPSPDSVLHRPKLSTILSGKEATEVETPIQRHVEESPKKITRRRRKKKKKGESKSLTDLQFEELKGFMDLGFVFSEEDKEDSNLASIIPGLQRLGKDGQDEVFDESAIPRPYLSEAWKVRDQRKREKPLMNWRFPALGNEIDMKDNLRWWAHTVASTVR >ONI13749 pep chromosome:Prunus_persica_NCBIv2:G4:16058056:16058263:1 gene:PRUPE_4G243200 transcript:ONI13749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTGNNVTRSFRVAGAAALALLIDKVAVPCLTVVGLLILSRWGK >ONI11205 pep chromosome:Prunus_persica_NCBIv2:G4:4632678:4634519:1 gene:PRUPE_4G092500 transcript:ONI11205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMEIFKLLPILFIFINPVWSITSNSATESFLQCFTSHIQNLKSSSKIILIKNSSAYASVVQSSIQNLRFLNTSISKPEAIIYPFHDSHVQAAVICSKTNGILIRIRSGGHDYEGQSYVSRAPFVIIDLSNLRSIDVDIENESAWVESGATFGELYYRIAEKSKAYGFPAGSCPTMGVGGHISGGGFGTLFRKYGLAADNVLDAKIVDVNGRVLDRKSMGEELFWAIRGGGGSSFGVIVAWKLRLVPVPPSVTVFSISKTTEQGATKLFSKWQNIADKFHEDLFLHTVIGVEDKAGTNGGKTIVIEFSSLFLGPVEKLLLLMQDNFPELSVARSDCTEMSWIESVLYFASISINESEALLNRTQQSKSFFKAKSDYVNEPISEAGLEGLWQNLIEVGAYLILTPYGGKMSEISNSEIPFPHRSGNLFKIQYMVTWDNEKETEKHIGMMRKLYAYMAPYVSKSPRAAYLNYKDLDLGRNKDANTSYAQASIWGLSYFKNNFRRLAQVKTLVDPGNFFRDEQSIPVYPSRKK >ONI10435 pep chromosome:Prunus_persica_NCBIv2:G4:2265470:2267995:-1 gene:PRUPE_4G047500 transcript:ONI10435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMANRRRLQVLTRHASALFSTNTTFQSLQTTPPLPIYQPLKTLTSKFPLIFTNPDPNWSQTRHFSSSRIGDVNEDNEHSEEEDDDDDDDDYDDDDEAVGDSEDESVSGCGSKREYTAEEKEAEAAAIGYQVIGPLQKSDRVFKPYEPVFAVVQVRIGSHQFKVSNGDCIFTERLKFCEVNDKLILNKVLLLGSSSQTIIGRPLLPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRIVDVQGIEKPEVPEKPPKAPGRKPEKVAVAA >ONI10436 pep chromosome:Prunus_persica_NCBIv2:G4:2266447:2267838:-1 gene:PRUPE_4G047500 transcript:ONI10436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMANRRRLQVLTRHASALFSTNTTFQSLQTTPPLPIYQPLKTLTSKFPLIFTNPDPNWSQTRHFSSSRIGDVNEDNEHSEEEDDDDDDDDYDDDDEAVGDSEDESVSGCGSKREYTAEEKEAEAAAIGYQVIGPLQKSDRVFKPYEPVFAVVQIGSHQFKVSNGDCIFTERLKFCEVNDKLILNKVLLLGSSSQTIIGRPLLPDAAVHAVVEEHVSVTPLFLSSYYVRVSE >ONI10433 pep chromosome:Prunus_persica_NCBIv2:G4:2265470:2267995:-1 gene:PRUPE_4G047500 transcript:ONI10433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMANRRRLQVLTRHASALFSTNTTFQSLQTTPPLPIYQPLKTLTSKFPLIFTNPDPNWSQTRHFSSSRIGDVNEDNEHSEEEDDDDDDDDYDDDDEAVGDSEDESVSGCGSKREYTAEEKEAEAAAIGYQVIGPLQKSDRVFKPYEPVFAVVQIGSHQFKVSNGDCIFTERLKFCEVNDKLILNKVLLLGSSSQTIIGRPLLPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRIVDVQGIEKPEVPEKPPKAPGRKPEKVAVAA >ONI10434 pep chromosome:Prunus_persica_NCBIv2:G4:2265993:2267838:-1 gene:PRUPE_4G047500 transcript:ONI10434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMANRRRLQVLTRHASALFSTNTTFQSLQTTPPLPIYQPLKTLTSKFPLIFTNPDPNWSQTRHFSSSRIGDVNEDNEHSEEEDDDDDDDDYDDDDEAVGDSEDESVSGCGSKREYTAEEKEAEAAAIGYQVIGPLQKSDRVFKPYEPVFAVVQIGSHQFKVSNGDCIFTERLKFCEVNDKLILNKVLLLGSSSQTIIGRPLLPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRIVDVQGIEKPEVPEKPPKAPGRKPEKVAVAA >ONI10437 pep chromosome:Prunus_persica_NCBIv2:G4:2266447:2267838:-1 gene:PRUPE_4G047500 transcript:ONI10437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMANRRRLQVLTRHASALFSTNTTFQSLQTTPPLPIYQPLKTLTSKFPLIFTNPDPNWSQTRHFSSSRIGDVNEDNEHSEEEDDDDDDDDYDDDDEAVGDSEDESVSGCGSKREYTAEEKEAEAAAIGYQVIGPLQKSDRVFKPYEPVFAVVQVRIGSHQFKVSNGDCIFTERLKFCEVNDKLILNKVLLLGSSSQTIIGRPLLPDAAVHAVVEEHVSVTPLFLSSYYVRVSE >ONI13050 pep chromosome:Prunus_persica_NCBIv2:G4:12428819:12431449:1 gene:PRUPE_4G200100 transcript:ONI13050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEAGSASQSKNPKPDQIVRRTANYQPSIWGDQFINYDSEDIINYAHKQEEVDELKLVVRREVFTTSGDDFSNQMKLIDAIQRLGVAYRFEKEIEEALEHIYAANHFHDDDGDGDLYDVSLGFRLLRQHGHYVSCRIFNKFKDSKNGGFKESLIADVPGMLSFYEATHLRVHGEDILEEAIVFTTKHLESATTHVSYELAEQIAQALERPLRKSLERLCARQFMSIYQDEASHNEALLKLAKSDFNLVQSLHKQELSEIIRWWKQLDFGRKLPFARNRIVELYCWVLGVYFEPQYLFGRKFLTKIIALLCVMDDIYDAFGTFEELEIFTEAIHQRWHANCMDGLRDYMQIFFHALLNVFNEIEEEMVKEGRAYRAHYAKEAWKTNAKAYFDEAKWFHEGCIPSMEEYMRVATASAGHTTLTTMSLLGMGDIVTKESFEWLLNDPKILRASNIIGRLMDDIVSSKFEKERGHVASAIDCYRKQYEVSDEQEIIDAFNKQIVDSWKDINEEFLRPTSVPMPILVRVLNLTRVADLIYKKDDGLTHVGKVMKDSVASYFIDPAPP >ONI13051 pep chromosome:Prunus_persica_NCBIv2:G4:12426875:12431449:1 gene:PRUPE_4G200100 transcript:ONI13051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIDAIQRLGVAYRFEKEIEEALEHIYAANHFHDDDGDGDLYDVSLGFRLLRQHGHYVSCRIFNKFKDSKNGGFKESLIADVPGMLSFYEATHLRVHGEDILEEAIVFTTKHLESATTHVSYELAEQIAQALERPLRKSLERLCARQFMSIYQDEASHNEALLKLAKSDFNLVQSLHKQELSEIIRWWKQLDFGRKLPFARNRIVELYCWVLGVYFEPQYLFGRKFLTKIIALLCVMDDIYDAFGTFEELEIFTEAIHQRWHANCMDGLRDYMQIFFHALLNVFNEIEEEMVKEGRAYRAHYAKEAWKTNAKAYFDEAKWFHEGCIPSMEEYMRVATASAGHTTLTTMSLLGMGDIVTKESFEWLLNDPKILRASNIIGRLMDDIVSSKFEKERGHVASAIDCYRKQYEVSDEQEIIDAFNKQIVDSWKDINEEFLRPTSVPMPILVRVLNLTRVADLIYKKDDGLTHVGKVMKDSVASYFIDPAPP >ONI11159 pep chromosome:Prunus_persica_NCBIv2:G4:4516797:4520643:1 gene:PRUPE_4G090600 transcript:ONI11159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVRPVMLVTDESCIPWYSKLQLHHIPSLMWHVSLDSPLSDFLRTSSNVLSTETIKKDSVGDAQLNYSWAPEGVVMICFTSGTSGRPKGVAISHSAFIIQSLAKIAIVGYGEDDVYLHTAPLCHIGGLSSALAMLMIGARHVLIPKFEAKSALEAIEQHKVTTLITVPAMMADFVLKFRGIETWKGMESVKKILNGGGGLSSELTKAAIKLFPQAKLLSAYGMTETCSSLTFMTLYDPGIDGRKLQPVHQERGICVGKPAPHVELRISGDGSSHVGRILTRGPHAMLRYWQGSPPNASVSGDEVWLDTGDIGSIDDSGNVWLIGRANGRIKSGGENIYPEEVERILLQHPGVNGAVVVGIPDARLTEMVVACVQIREDWQWISTSIRHSVSKQMLQMSSEILCQFCKEMNLTGFKIPKVFILWKKPFPLTTTGKIRRDQVQGEAISQRQYIISNL >ONI11158 pep chromosome:Prunus_persica_NCBIv2:G4:4516797:4520643:1 gene:PRUPE_4G090600 transcript:ONI11158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFSEAHICECLSLLSTLRGNSVVTVTGNRRKTGKEFVESVMGLAQGLLQLGLGSGDVISIAAFNSELYLEWLLAVAFVGAIAAPLNYRWSYEEAVLAMEVVRPVMLVTDESCIPWYSKLQLHHIPSLMWHVSLDSPLSDFLRTSSNVLSTETIKKDSVGDAQLNYSWAPEGVVMICFTSGTSGRPKGVAISHSAFIIQSLAKIAIVGYGEDDVYLHTAPLCHIGGLSSALAMLMIGARHVLIPKFEAKSALEAIEQHKVTTLITVPAMMADFVLKFRGIETWKGMESVKKILNGGGGLSSELTKAAIKLFPQAKLLSAYGMTETCSSLTFMTLYDPGIDGRKLQPVHQERGICVGKPAPHVELRISGDGSSHVGRILTRGPHAMLRYWQGSPPNASVSGDEVWLDTGDIGSIDDSGNVWLIGRANGRIKSGGENIYPEEVERILLQHPGVNGAVVVGIPDARLTEMVVACVQIREDWQWISTSIRHSVSKQMLQMSSEILCQFCKEMNLTGFKIPKVFILWKKPFPLTTTGKIRRDQVQGEAISQRQYIISNL >ONI11712 pep chromosome:Prunus_persica_NCBIv2:G4:6609826:6612193:1 gene:PRUPE_4G122000 transcript:ONI11712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDVVSTGVSLLHAVSMLPSLLELHLSYCRITDIPLSMQKINITSLSTFDMSMNYIISPLPSWFSNLTSLRKLNPSQNYFTDPIPHEFASLKYLEGLDLANNALQGQIPKLIGNLCELKTLNLQGNKLDGGIQELLSGFSNCTDSVLESLDLSSNRLENELPANLGMLHKLQYPNLESNYFWGLIPDSIGKLSSLKTLNLSYNHMNGSVPESLGQLSELVHLALYGNSWEGILTESHFMNLTRLRSIDVSTYRPMSLIFNITYEWVPPSKLYTIGIPNCSVGPAFPVWLQSQTELFDVTLHSTGISDAIPEEWFLKISLQLKYLDLSYNQISGRLPLRLKCPNLYHIDLSHNEFEGPLPLLSANASILDLESNSFSGPIPLNLDQLMPTLQGLYLSDNQLKGIIHPSICNMQRLSIISLRNNQLFGDFPQAWSLWPAISIVDVGYNNLSANIPSSMGVPSELWVLKMNNNNFGGRIPSSIWKNCTYLTSIDLGGNRLTGNMSLRIGSNAPQLFSLRLRSNFLSGHVPHQLCNLPRLGILDLSHNNFSGTIPKCLKNMTSLVDGFSNASYYESYFEQTTLTSKGRELVYHKTLFLVKSIDLSSNNLEGEIPKEITSLTALSILNLNLRWLETLDLSNNRLFGQIPQSFSSLTSLSHLNLSYNNLSGRIPLGNQLQTLNDSSIYEGNKLLCGEPLSTKCPGDETLTATNAKDSNADANDKLWFYVSMVLGFIVGFWGVWGTLLVKKSWRYAYFRFFDDIKNKVLLAIELKLARMQRKS >ONI11676 pep chromosome:Prunus_persica_NCBIv2:G4:6490611:6492474:-1 gene:PRUPE_4G120000 transcript:ONI11676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIVSLLLVLVVVQLNPSSCDPEHDYHKEETHESWEGATTLTLPSLSDDLPFGEFLSSGFYRKSCPDLEGIINRKVKEWLKQDFSLAASLMRLHFHDCAIRGCDASILLYHEGSERTAAASKTLRGFELIDDIKAEVEKKCPKTVSCADILTAATRDATVQAGGPYWAVPYGRRDGKVSIAKETEMVPMGHENITALVEFFQSQGLNVLDLVVLSGAHTIGRSSCGSVQHRLYNFSGTGKPDPSLDPQYLNYLERKCRWASDYVDLDAATPHKFDIGYYTNLQKKMGLLSTDQLLYSDPRTSPLIKAFAYQPSVFYHQFGVSMAKLGNVQVLTEKNEGEIRTNCNFVNSY >ONI12929 pep chromosome:Prunus_persica_NCBIv2:G4:11572949:11576514:1 gene:PRUPE_4G192300 transcript:ONI12929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAPCNAKSTPPFASHALATADGYSASTRVKRGKKKKSAMNFFLCFRSGDVAGGGSDKKEQCGTDAFTYVGVGEKENAAFPKVVSVRSSLTSDNEGDEDKCRTAQQRNEGRGGLSQVLKAVLSKTSLVKKNQKRKLGKNFASTTTNESSITSSYNQQSPEEDVPRMISKTTPYDGVEEKEDVVLPKAMVSPLPDNDEVNEERDDGRYQTNKGRRKLSRFWKAALFGTSLAKKIQLRKKGKFLERNESTKYNQELPEEEDPRIVSKTSSSLTNNSSIFTKSSSNSSSRSSSRSLSKRIKSFRSSSTRSLKANLRYLSEKIIRPSSNSSKANPKLSPDKANKSFESNDSKGFKEGHGQKEHEVMNMERNRTRGCYKAITSLCFLLMSLLGLIFYGKMCAILCTSMWLFSVPLWTGVGDSSTNKVDKKKFILGGLLPRHTPTIHRQ >ONI11547 pep chromosome:Prunus_persica_NCBIv2:G4:5966064:5970012:1 gene:PRUPE_4G112600 transcript:ONI11547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVECAISYIFIGPRICSKSNFRWKIKSSIGGGAVEKSKRDSLYIDKRGKFRSFNNKKMSRKRCGSLRGRGWKYGSGFVDGVFPVMSPTGQKILDLVQKEVDKSSIWEILDTLPASHTIWDDIINVAVQLRLNKQWGSIILICEWILYKSSFKPDVICYNLLLDAYGQKSQHKAAESTYLELLEARCIPTEDTYALLLRAYCKSGLLDKAEAVFGEMRKYGLPPSAIVFDAYINGLIKGGNPQKALEIFQRMKRDQCQPSTDTYTTLINLYGKERKSHMALKLFHEMRSQKCKPNICTYTALVNAFAREGLCEKAEEIFEQMQEAGHEPDVYAYNALMEAYSRAGFPYGAAEIFSLMQHMGCEPDRASYNIMVDAYGRAGLHEDAEVAFEEMKRLGITPTVKSHMLLLSAYSKAGNVTKCEDIVNQMQKSGLELDTFVINSMLNLYGRLGQLKKMEEVLTAMEKGPYAADISTYNILINIYGRAGFFEKMEELFQSLPTKNLKPDVVTWTSRLGAYSRKKLYKRCLEIFEEMIDAGCYPDGGTAKVLLGACSSEDQTEQVSTVIRTMHKDIGTVLPI >ONI12107 pep chromosome:Prunus_persica_NCBIv2:G4:8311208:8313379:1 gene:PRUPE_4G144700 transcript:ONI12107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSVYKAARSGDVGLLKIVEKKASTGFFLQKTPKGNNVLHIAAEFKQIAFFINTPLDDHQCRPLFWAANNKGNTPLHVAARVGSDEIVEFLIKHAKKQPPTGGADLESGREAHKELLERTNMEKETALHVALRYGYQRVAHLLIEANPELTCLTNMVNESPLFLATSKDYMQTANMILKHCPESSFQGVNGVTALHAVVTHKSELAIKTLKLMIRKNGDAIKEADAIGWTPLHYAALKGNLEATKLLLEKPDVSASYMLDKSGMSALHVAAFAGHKEVMEELIRRHPDICDLVNHKGQTALHIAVLAGQETLVKYILKEPNLAGIIHEKDQDGNTALHLAAINQDYKIMKLLAADGRLDNTAFNRQLLKAADIFHSGKLEEQGICHVTTKLSKLLGKSIGVQCFQRGVNFRFWRSETWEREASNDTPQSQMPTLAVNITAKKEKPQVKAEEQPLQRRDTRLLIATLILGISFAAAITVPGGTRPDGRPVLQGKPAYSVYLVCNWFSFMVSALTIYNDILDRKVVRIKVAAQLIQWSVSSLIIAFVAAAMATAAKDNNWTNFQFGLVLATGSTVLSKNR >ONI12189 pep chromosome:Prunus_persica_NCBIv2:G4:8529268:8530590:1 gene:PRUPE_4G149500 transcript:ONI12189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGLFDLERHFAFYGAYHSNPFNILIHTFLVWPLFFTSVLLFHFTPSVYSFPSGFSEHGLEMNFGFVFTVMYALYYVGLDKKAGSLAALLCFVNWVGASVLGGELGFSKSWKVVLIGQLLSWAGQIIGHGVFEKRAPSENFAEGLLMGPYFVLLELLHFAFGYEPYPGFYKSVNAKIDADIAEWKVAKNQKKLS >ONI11660 pep chromosome:Prunus_persica_NCBIv2:G4:6447707:6450634:1 gene:PRUPE_4G119000 transcript:ONI11660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVQKPLHILSLASKSTITPRTFSTSTSAIDSITAPKPTNQTQTQSLTQEEHTKINLLLPRLCLLNHLDTATHLTITALLTNPPLKSLSLSILIHSFTSQPDMARPMSLLTRLRHNPPSHPYLTPITTMFIASYFKKNKPKEALKMFNWLVRPGSPCVLDERVCEVLVNGFCKNGMVLEALKVLRAMLSTNIVPGCDLKKWVYKVLLREARIKEAVELNEALGCVGDREKGDESECVKKVLALLDHMIGNWAE >ONI14194 pep chromosome:Prunus_persica_NCBIv2:G4:20238186:20248742:1 gene:PRUPE_4G268000 transcript:ONI14194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTDRMPSDLSRTGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIITGQRTPIFQRYPRLEKEYQSFSLIYNDRSLDLICKDKDEADVWFSGLKALISRSHHRKWRTESRSDGIPSEANSPRTYTRRSSPLNSPFGSNDSLQKDSADHLRLHSPYESPPKNGLDKALSDVILYAVPPKGFFPSDSASGSVHSVSSGGSDSVHGQMKAMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFMWGEGTGDGVVGGGSHRVGSSNGAKMDSLLPKALESAVVLDVQNIACGGRHAALVTKQGEIFSWGEESGGRLGHGVDVDVLHPKLIDALSNMNIDLVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKKVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSIPREVENLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSLGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCRVACGHSMTVALTTSGHVYTMGSPVYGQLGNPQADGKLPTRVEGKLSKSRVDEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGNIDDRSSPTLVEALKDKQVKSIACGANFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLKASMAPNPNKPYRVCDNCFNKLRKAAETDTSSQTSMSRRGSINQGSNELLDKDDKLDSRSRVQLARFSSMESLKHVETRSSKKNKKLEFNSSRVSPVPNGGSQWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNESLSQEVIKLRSQVESLTRKAQLQEVELERTTKQLKEAIAIAGAETGKCKAAKEVIQSLTAQLKDMAERLPVGAVRNIKSPSLASSLGSDPSNEVSCASTDRLNGQVTCQEPDSNGSNSQLLSNGSSTTGTRSSGHNKQVHPDVATRNGNRIKENESRHESEWVEQDEPGVYITLTSLPGGAKDLKRVRFSRKRFSEKQAEDWWAENRARVHEQYNVRMVDKSSVGVGSEDLAR >ONI10979 pep chromosome:Prunus_persica_NCBIv2:G4:3873002:3875551:1 gene:PRUPE_4G079900 transcript:ONI10979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSALRELQRELEAKANDLSKIQKDISKNHQVRKKYTIQLGENELVLKELDLLSEDANVFKLIGPVLVKQDLAEARANVRKRIEYISAELKRLDTTLQDLDEKQNSKKETMLKLQQRAQSLQAGKAKA >ONI11685 pep chromosome:Prunus_persica_NCBIv2:G4:6542248:6545855:-1 gene:PRUPE_4G120800 transcript:ONI11685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALQMLQVAPLAPPTSSSSSSSSSSSFWVQTLPLWFGQHRCHHSRPHLALQHRHSYKRATLLLVAKKDRKLQASSSSSSTTQQDQDDDEEEDEEENDEDESDPDPEDLEYVLELLRKNRDMIFSEVKLTVMIEDLREVERRRLLGIEDPDAPTREELGEVLEEVNEGKIPRNRLALKLLAEEMNQWPNLEVQIKPAKKKPGKSLYARVTDTGIDLQEAAKRLNIDWDTAAEIDDAELSDESEVPPVLGYGALYLVTAFPVIIGVSVVLILFYNSLQ >ONI11686 pep chromosome:Prunus_persica_NCBIv2:G4:6542248:6545854:-1 gene:PRUPE_4G120800 transcript:ONI11686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALQMLQVAPLAPPTSSSSSSSSSSSFWVQTLPLWFGQHRCHHSRPHLALQHRHSYKRATLLLVAKKDRKLQASSSSSSTTQQDQDDDEEEDEEENDEDESDPDPEDLEYVSQIKRVLELLRKNRDMIFSEVKLTVMIEDLREVERRRLLGIEDPDAPTREELGEVLEEVNEGKIPRNRLALKLLAEEMNQWPNLEVQIKPAKKKPGKSLYARVTDTGIDLQEAAKRLNIDWDTAAEIDDAELSDESEVPPVLGYGALYLVTAFPVIIGVSVVLILFYNSLQ >ONI13001 pep chromosome:Prunus_persica_NCBIv2:G4:12011175:12012515:1 gene:PRUPE_4G196800 transcript:ONI13001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTNRDRIMTPTTQLLTPCSLTAHLPTTTTQLRFLLKEGWTSLNNGLITVMKYDFLSHPHHHHHLAYQ >ONI13510 pep chromosome:Prunus_persica_NCBIv2:G4:14432508:14434875:-1 gene:PRUPE_4G226900 transcript:ONI13510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLKLLKICNANFSECPEYFSRQLRLLEWHEYPSESLPPSFRPSSLVELRLPNSRIKQLWHEMRVPMMEKLTLIDLSNCKCLTKTPDFSKVPNLMGLTLEGCEKLSELHPTIWDLQHLVSLNSKGCECLESLPHSICLESLQNFVLSGCSRLERFPEIVGNMGHLSELHLDGTGVRELPLSIKHLTGLIVLNLRECKNLLSVPSIICSLVSLKYLFLSGCSLIDQLPENIGSLELLKELDACQTAIRRLPLSILLLKNLQRLCLLGCTGLQLPHSFSGLSSSVYLNLSGCGLGEGAIPDDIGICLSSFRSLGLSENNFESIPESISQLSELRELTLFKCCNLRLLPKPLPPSLKHLDAHGCPSQTNYPKTLNIWTSDDGIYFIDCRESEGDLIDRQQDFEIRVPYTRIPDWCNPEDSIWMGVVLFVFFEILEQSSEMEETFCHFHASDGRLQNRVVIGNFENFIVGSHAVCCYNPARKFAGNLKNANQGVLRASVSTNRPSLKVKGCGIRLISHQDAAKFAQDLSQTANQHLDLNFGPHCKHMLDEVMKLESSGDVTILDYRLWEPPSTSTVHRGSSSISTTASTDSSIPPVQLLLSKLYEVTPSVSISIYACVRIMYRGQKSKFMFKAVDF >ONI12075 pep chromosome:Prunus_persica_NCBIv2:G4:8201882:8204514:1 gene:PRUPE_4G143100 transcript:ONI12075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKETQFESSSEEKSVSVHETENQSENESQRLQSEALAKALSSMLTTVIKEFDSKAQQTSTSQDHLSQSLDRLTRELDKLLEDAPLPFIMQHAAKISVVRKRVSSLNSVLKSVQRRLDNIDRMLSVGVSHQHQQQQQHDKATTEHSGLQ >ONI12076 pep chromosome:Prunus_persica_NCBIv2:G4:8201998:8204514:1 gene:PRUPE_4G143100 transcript:ONI12076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKETQFESSSEEKSVSVHETENQSENESQRLQSEALAKALSSMLTTVIKEFDSKAQQTSTSQDHLSQSLDRLTRELDKLLEDAPLPFIMQHAAKISVVRKRVSSLNSVLKSVQRRLDNIDRMLSVGVSHQHQQQQQHGTQVASLVVLFPCSGNLRVSTYLGGHAL >ONI13101 pep chromosome:Prunus_persica_NCBIv2:G4:12589932:12600561:1 gene:PRUPE_4G202300 transcript:ONI13101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSKAKSLSSLVRSAIKSKASSISLASPADDATLKHFASSLDSSPPSKPKSKKSIKSNKPLSETTAPSAVASLNLDPASASEDLTNELPGGIFSILSGGSSSNSPDVQGTEDEKSMENALDLPWFPDMSHSVLSMRRKEITRERKQKWIFKSSQVNRFGRLVNMCADRLGTHTTIQVFDKLGRESGVKEYNALIKICIERARSSADEDVGLEQIHMAFQIFKSMKEQGFLLEEETYGQFLAYLVDMGMTEEFQFFCGVIKAENPSSVARLGYYEMLLWIRIDDEEKIQELCNYIVSDDEGTMSVLQENYLLALCESDRKEEILQLLEIMDITQISSLDCVASIFTCLGRLLLESYAEKFLLSFKACDHAAENITNFISSYVVGIPNLAVHAALEMVEEMCERGLTVSIGALHSILRASDESCDFNLVRQMYSMISRYKLKPNGETFRSMITLCVKMKDYGGAYDMLSDLEKMNLTPTASMYNAIMLGFFREKNIYGGLRVFKQMKEAYVQPDSQTFSYLISNCDSEEDINKYYEEMKRSGIQVTKQIFMALVNAYATCGQFEKAKQVVLDKGIPVKCLNEIKSVLVQSLASHGQLCDAFSIYEEMKQAGCSLEPKAVISLIEHLQSDEELSRLLPLLDELDDPDYWFEGCLRTILYCVRYKHLRPALNLLKQLKDKICTDELALEVIFNQVFALIAESESTHLQLGLDLLHAIKNELGLTPSRKCLDFLLHACANAKDLRNSKLIWKEYEAAGLPYNTLSFIRMYQALLAAGDCKASKILLSKIPKDDPHVRTIIKACKTIYSEGKKYKK >ONI13102 pep chromosome:Prunus_persica_NCBIv2:G4:12589986:12599411:1 gene:PRUPE_4G202300 transcript:ONI13102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSKAKSLSSLVRSAIKSKASSISLASPADDATLKHFASSLDSSPPSKPKSKKSIKSNKPLSETTAPSAVASLNLDPASASEDLTNELPGGIFSILSGGSSSNSPDVQGTEDEKSMENALDLPWFPDMSHSVLSMRRKEITRERKQKWIFKSSQVNRFGRLVNMCADRLGTHTTIQVFDKLGRESGVKEYNALIKICIERARSSADEDVGLEQIHMAFQIFKSMKEQGFLLEEETYGQFLAYLVDMGMTEEFQFFCGVIKAENPSSVARLGYYEMLLWIRIDDEEKIQELCNYIVSDDEGTMSVLQENYLLALCESDRKEEILQLLEIMDITQISSLDCVASIFTCLGRLLLESYAEKFLLSFKACDHAAENITNFISSYVVGIPNLAVEDVISNFKNWHMKLEVTPSSAGYEKLIMYCCESLKVHAALEMVEEMCERGLTVSIGALHSILRASDESCDFNLVRQMYSMISRYKLKPNGETFRSMITLCVKMKDYGGAYDMLSDLEKMNLTPTASMYNAIMLGFFREKNIYGGLRVFKQMKEAYVQPDSQTFSYLISNCDSEEDINKYYEEMKRSGIQVTKQIFMALVNAYATCGQFEKAKQVVLDKGIPVKCLNEIKSVLVQSLASHGQLCDAFSIYEEMKQAGCSLEPKAVISLIEHLQSDEELSRLLPLLDELDDPDYWFEGCLRTILYCVRYKHLRCLP >ONI13099 pep chromosome:Prunus_persica_NCBIv2:G4:12589932:12600561:1 gene:PRUPE_4G202300 transcript:ONI13099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSKAKSLSSLVRSAIKSKASSISLASPADDATLKHFASSLDSSPPSKPKSKKSIKSNKPLSETTAPSAVASLNLDPASASEDLTNELPGGIFSILSGGSSSNSPDVQGTEDEKSMENALDLPWFPDMSHSVLSMRRKEITRERKQKWIFKSSQVNRFGRLVNMCADRLGTHTTIQVFDKLGRESGVKEYNALIKICIERARSSADEDVGLEQIHMAFQIFKSMKEQGFLLEEETYGQFLAYLVDMGMTEEFQFFCGVIKAENPSSVARLGYYEMLLWIRIDDEEKIQELCNYIVSDDEGTMSVLQENYLLALCESDRKEEILQLLEIMDITQISSLDCVASIFTCLGRLLLESYAEKFLLSFKACDHAAENITNFISSYVVGIPNLAVEDVISNFKNWHMKLEVTPSSAGYEKLIMYCCESLKVHAALEMVEEMCERGLTVSIGALHSILRASDESCDFNLVRQMYSMISRYKLKPNGETFRSMITLCVKMKDYGGAYDMLSDLEKMNLTPTASMYNAIMLGFFREKNIYGGLRVFKQMKEAYVQPDSQTFSYLISNCDSEEDINKYYEEMKRSGIQVTKQIFMALVNAYATCGQFEKAKQEHLQSDEELSRLLPLLDELDDPDYWFEGCLRTILYCVRYKHLRPALNLLKQLKDKICTDELALEVIFNQVFALIAESESTHLQLGLDLLHAIKNELGLTPSRKCLDFLLHACANAKDLRNSKLIWKEYEAAGLPYNTLSFIRMYQALLAAGDCKASKILLSKIPKDDPHVRTIIKACKTIYSEGKKYKK >ONI13098 pep chromosome:Prunus_persica_NCBIv2:G4:12589932:12600561:1 gene:PRUPE_4G202300 transcript:ONI13098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSKAKSLSSLVRSAIKSKASSISLASPADDATLKHFASSLDSSPPSKPKSKKSIKSNKPLSETTAPSAVASLNLDPASASEDLTNELPGGIFSILSGGSSSNSPDVQGTEDEKSMENALDLPWFPDMSHSVLSMRRKEITRERKQKWIFKSSQVNRFGRLVNMCADRLGTHTTIQVFDKLGRESGVKEYNALIKICIERARSSADEDVGLEQIHMAFQIFKSMKEQGFLLEEETYGQFLAYLVDMGMTEEFQFFCGVIKAENPSSVARLGYYEMLLWIRIDDEEKIQELCNYIVSDDEGTMSVLQENYLLALCESDRKEEILQLLEIMDITQISSLDCVASIFTCLGRLLLESYAEKFLLSFKACDHAAENITNFISSYVVGIPNLAVEDVISNFKNWHMKLEVTPSSAGYEKLIMYCCESLKVHAALEMVEEMCERGLTVSIGALHSILRASDESCDFNLVRQMYSMISRYKLKPNGETFRSMITLCVKMKDYGGAYDMLSDLEKMNLTPTASMYNAIMLGFFREKNIYGGLRVFKQMKEAYVQPDSQTFSYLISNCDSEEDINKYYEEMKRSGIQVTKQIFMALVNAYATCGQFEKAKQVVLDKGIPVKCLNEIKSVLVQSLASHGQLCDAFSIYEEMKQAGCSLEPKAVISLIEHLQSDEELSRLLPLLDELDDPDYWFEGCLRTILYCVRYKHLRPALNLLKQLKDKICTDELALEVIFNQVFALIAESESTHLQLGLDLLHAIKNELGLTPSRKCLDFLLHACANAKDLRNSKLIWKEYEAAGLPYNTLSFIRMYQALLAAGDCKASKILLSKIPKDDPHVRTIIKACKTIYSEGKKYKK >ONI13100 pep chromosome:Prunus_persica_NCBIv2:G4:12589932:12600561:1 gene:PRUPE_4G202300 transcript:ONI13100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSKAKSLSSLVRSAIKSKASSISLASPADDATLKHFASSLDSSPPSKPKSKKSIKSNKPLSETTAPSAVASLNLDPASASEDLTNELPGGIFSILSGGSSSNSPDVQGTEDEKSMENALDLPWFPDMSHSVLSMRRKEITRERKQKWIFKSSQVNRFGRLVNMCADRLGTHTTIQVFDKLGRESGVKEYNALIKICIERARSSADEDVGLEQIHMAFQIFKSMKEQGFLLEEETYGQFLAYLVDMGMTEEFQFFCGVIKAENPSSVARLGYYEMLLWIRIDDEEKIQELCNYIVSDDEGTMSVLQENYLLALCESDRKEEILQLLEIMDITQISSLDCVASIFTCLGRLLLESYAEKFLLSFKACDHAAENITNFISSYVVGIPNLAVEDVISNFKNWHMKLEVTPSSAGYEKLIMYCCESLKVHAALEMVEEMCERGLTVSIGALHSILRASDESCDFNLVRQMYSMISRYKLKPNGETFRSMITLCVKMKDYGGAYDMLSDLEKMNLTPTASMYNAIMLGFFREKNIYGGLRVFKQMKEAYVQPDSQTFSYLISNCDSEEDINKYYEEMKRSGIQVTKQIFMALVNAYATCGQFEKAKQVFALIAESESTHLQLGLDLLHAIKNELGLTPSRKCLDFLLHACANAKDLRNSKLIWKEYEAAGLPYNTLSFIRMYQALLAAGDCKASKILLSKIPKDDPHVRTIIKACKTIYSEGKKYKK >ONI11913 pep chromosome:Prunus_persica_NCBIv2:G4:7418712:7421548:-1 gene:PRUPE_4G134100 transcript:ONI11913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEKSEAPHKENKVTHSEEEEKKPSKDIQDKGSEAKEVENAAEIGEPKKNEAVFEDVILEEEKKKNEGETKVIVVADKKKEAEIENKNNEVEKGSISESAEVEKSSAMEKVVPHSDEQEKNLSKDVQDRGIETKDGKNAGEAGEPKKDEGVIGKMLVEEKKETDADTRDVVAEDKRKEGEAEEAVLEEKKNNDQVERGDASSESTIIEKNSSFREKSNFLYDLKEHEKRALVELRSKVENAILGNKLFKGNKNRVVGNEKEKILEKEGGENGRKGEENGVKEAVKEKETSKKVEEIEEKEKTLEKEGGEKEKTNEGKGEENFVKEIEKEKESSKKVEEIEVAKALVEEKLVVKEEKEEDSKENKPSKQEDEEKDKKAEEGQKEKNNVATNQERKVDVIDEDIAIWRVPLLPSKGDSATDVILLKFLTARDFEVNDAFEMLRNTLQWRKDNNVDSILDEDFGDDLGSIEHMDGASREGHPVCYSNFKLLGNEEVYNKMLGNEESREMFIRRRVQLMEKAIQKLDFKPGGVSSILLVHDLKDMPGPSKKELRLATKQVVGLLQDNYPEFVARNIFINVPFWYYAYSASTLLLPFLTPRTNSKFVFARPSRVTDNLLKFIAAEELPVHYGGLKRENDPEFSTEDAASEIVVNQSSSESIQLPVPEVGTTLIWEVIVLGWEVNYKEEFFPTDEGSYGIIVQKDRRIGGQQGSLRNSFTNKEPGNVVFTVENGSLIKKKRVCYRYKIKNTSSS >ONI13965 pep chromosome:Prunus_persica_NCBIv2:G4:17646137:17653339:1 gene:PRUPE_4G254900 transcript:ONI13965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFHLIFFIWILLIVKCLVALGSASWCQHQFLAQTNRQFEQKTDRFWEFKEQTNSWVEVQLPYDLVSCLNDNCTVVGSIEGTNNKEEHIENQSDDVSGKRERVKKNDGYGGLEKENNSDVVLPLRKRISLTKMSDMSIWVTGESGSIYERFWNGVQWVMAPHDLPISGAHAVSVFIVNHTILALSESGNLYQMKISESSQPIWVDFTPTLSQSTDEEGEQSSVILIKSGLVSYDGERVYFCTKKGTLLELREIEPPRWVDHGQPPGANAAAIADAAGIRTDVIYTISSAGDLYEYDRSSKPSWKKHIRREGTAYDASLIPLTGSTLHGASGDHSISLFLLTKDGKLVERRLYQRKWKWVVYGSPKDQRLTSITPVLLQDDTNGRLFSLFFTTSTGSVFEYQIPRQSGIAQENPIPEAWVSHIHPLHAKVARGISGLQIQVGRILFPLDDGRLAELHLSGLGGENSGPSHQVNFRKKAAVKYLWSILDAPESEGWNAEYCIEQRGPTNCITGVKDEPNDLGIARTMTRRRKGSQTQQHYLTPGTSGSGPTKPLEEYSFPDNWLNTNFHLRAMHGGRSFFLITDGGFTFEYLYTENVWMWLRHEHSTAIKGALGNYNGSLYVVDANGSVLLRERNSNDLAWINCTALRKGRQVVGGPPWDGIPGRTTRVTAEDALFFVSRNGRLLQFTVALRKFKWKDCRNPPNTKIASIVDQELLRENIVFVVGRNGRLYQYNKVTELWHEHYQSQHLILSRLPGTAMRSSLLSLTGSLFMLSVDGGLVEYHWNTFDGWNWVEHGSPHKVVTLVGSPGPSFEGNQLFLIGSNGNVYLRYMDEMTWRWKNCGFPFSRNANVEDRRGEEGNDKAQFCTDVDFAASSKKDYERVNDLNSDCNQEVAPIRPIPLAEDSIIFELKDGRLAEMRRIEGTHWMWSRIIGTPTSLCTASYWTALAS >ONI13966 pep chromosome:Prunus_persica_NCBIv2:G4:17646128:17653343:1 gene:PRUPE_4G254900 transcript:ONI13966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDMSIWVTGESGSIYERFWNGVQWVMAPHDLPISGAHAVSVFIVNHTILALSESGNLYQMKISESSQPIWVDFTPTLSQSTDEEGEQSSVILIKSGLVSYDGERVYFCTKKGTLLELREIEPPRWVDHGQPPGANAAAIADAAGIRTDVIYTISSAGDLYEYDRSSKPSWKKHIRREGTAYDASLIPLTGSTLHGASGDHSISLFLLTKDGKLVERRLYQRKWKWVVYGSPKDQRLTSITPVLLQDDTNGRLFSLFFTTSTGSVFEYQIPRQSGIAQENPIPEAWVSHIHPLHAKVARGISGLQIQVGRILFPLDDGRLAELHLSGLGGENSGPSHQVNFRKKAAVKYLWSILDAPESEGWNAEYCIEQRGPTNCITGVKDEPNDLGIARTMTRRRKGSQTQQHYLTPGTSGSGPTKPLEEYSFPDNWLNTNFHLRAMHGGRSFFLITDGGFTFEYLYTENVWMWLRHEHSTAIKGALGNYNGSLYVVDANGSVLLRERNSNDLAWINCTALRKGRQVVGGPPWDGIPGRTTRVTAEDALFFVSRNGRLLQFTVALRKFKWKDCRNPPNTKIASIVDQELLRENIVFVVGRNGRLYQYNKVTELWHEHYQSQHLILSRLPGTAMRSSLLSLTGSLFMLSVDGGLVEYHWNTFDGWNWVEHGSPHKVVTLVGSPGPSFEGNQLFLIGSNGNVYLRYMDEMTWRWKNCGFPFSRNANVEDRRGEEGNDKAQFCTDVDFAASSKKDYERVNDLNSDCNQEVAPIRPIPLAEDSIIFELKDGRLAEMRRIEGTHWMWSRIIGTPTSLCTASYWTALAS >ONI12573 pep chromosome:Prunus_persica_NCBIv2:G4:10223154:10227743:-1 gene:PRUPE_4G172600 transcript:ONI12573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGHRHFSTHEEMVAGTSARPRSFKREEAEAEEEVESEEESSEEEESEKRKGTQGIIEIENPNLVKPKNVKAKNVDIEKTSELSRREREEIEKQKAHERYMRLQEQGKTEQAKKDLERLALIRQQRAEAAKKREEEKAGMDQKKGEGRK >ONI12572 pep chromosome:Prunus_persica_NCBIv2:G4:10222793:10227868:-1 gene:PRUPE_4G172600 transcript:ONI12572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGHRHFSTHEEMVAGTSARPRSFKREEAEAEEEVESEEESSEEEESEKRKGTQGIIEIENPNLVKPKNVKAKNVDIEKTSELSRREREEIEKQKAHERYMRLQEQGKTEQAKKDLERLALIRQQRAEAAKKREEEKAARDQKKGEGRK >ONI09973 pep chromosome:Prunus_persica_NCBIv2:G4:1025816:1026586:1 gene:PRUPE_4G021600 transcript:ONI09973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLRRDSATVWADDDDDDDWVDISSQLQVQPCDDCNNSNNNKKKKAYNHLVEKQRLLGEIISSASTSSTSSTSSTSSTSTNGDQVKIKITKKELDELVHGGNLQGLSSVEQLLDRLLTMNGPDDDQNFYEMDHQRPWRPVLQTIPEY >ONI12139 pep chromosome:Prunus_persica_NCBIv2:G4:8439744:8442776:-1 gene:PRUPE_4G147700 transcript:ONI12139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSYFKELVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQEKYPHMLSVRNCFIRGSVVRYVQLPPEGVDVELLHDATRREARGG >ONI11875 pep chromosome:Prunus_persica_NCBIv2:G4:7259917:7262516:-1 gene:PRUPE_4G131500 transcript:ONI11875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHHLINISHYFLLSLCLLGIGLATSTAVKAKSICIEEERKALASFKQDLTDPSGRLSSWVGHDCCNWEGISCNNRTGHVSQMDLRNPHPYVWWNDEEWDELAYNKSCLGGKINPSLLSLKHLHYLDLSWNNFEGIHIPNFFGELKTLRYLNISSAQFAGEIPPSLGNLSNLNYLDAANDFNTSSFPSWIFNLTSLKRLDLNSNSFDAHFLDELGNLKSLEYLDLSSSGLKDSGVPRVLGNLCKLKTLNLEWNNFSGQLPASLGMLKSLQYLYLYDNQMNGSIPQSLGQLSELIDLALYGKTGISDSIPEEWLLKLSSQLVNLDLSYNQFRGRLSSNQLIRFPKLDTISLDHNQFKGPLPLWSTNATFLNLESNLFSGPIPSNFDKLMPKLKEMYLSENHLNGTIPPSICNMQDLRLISLRSNHFSGEFPHAWSSESHIQIVDVAYNNLFGNIPTSMGVLTSLEILKLNNNNFGGGSNVSMLYMLRLRSNLFTGHIPRQLCNLGNLHILDLSHNNFSGAIPKCFNSLTSLVRDDSNESYRIYNEQTVLTLKGEELVYNTTLMLVKSIDLSSNFLEGEMPQEIGSLTLLGTLNLSRNQLIGNIFSIVGSMHRLETLDLSHNHLSGHVPQSLASLTFLSHLNLSCPT >ONI10857 pep chromosome:Prunus_persica_NCBIv2:G4:3539490:3540986:1 gene:PRUPE_4G072400 transcript:ONI10857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKSKECKKHTLHKVTQYKTGKASIAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >ONI11509 pep chromosome:Prunus_persica_NCBIv2:G4:5862181:5863529:1 gene:PRUPE_4G110200 transcript:ONI11509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECLKHDIQQFNQHQQQRREQEQDQKKKKMKKLEFNITDAADCFRFVPAPMDQLLYETVARNVFSPGELESEFSNPMSLRRRLRKEILVPLRQALAPEDEEGANKWGYYQRLVYDGEPDVSDVLSASAARKYMVALKKAIAAAESKSESVSENMINAGAVLPHWIVRYAVDKSGGFERAAELQWKRMLEDVYKKQGRLNNCLAVCDVAASESVALGMLVSHLSQGPWEGKVVSHTQKPQLHLVRGEDLKSKFSFMHSLEERQDWEVDLGKVYDLILEVAVNENVKAEQMVRKVFVFTCFKHFSDGCWKPRGGDYEEIQRKFEEKGYAVPHLVIWNLLSVWYAPLRTGEPGVTLLGGFSDAMLKSFLENDGELSPEQVMELAISPQSNQNLAVFD >ONI11809 pep chromosome:Prunus_persica_NCBIv2:G4:6964173:6967801:1 gene:PRUPE_4G126800 transcript:ONI11809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMSLPPGFRFHPTDEELVAYYLDRKINGLTIELEIIPEVDLYKCEPWDLPDKSFLPSKDMEWYFYSPRDRKYPNGSRTNRATRAGYWKATGKDRAVNSQRRAVGMKKTLVYYRGRAPHGIRTNWVMHEYRLVDSVCGNASSSLKDSYALCRVFKKTIQMPKNNKEEKPIGIDNAENDSVWVSSNEQLLMEDNSGINETASRGIETDQDENYSNHDYPKFLSDTSSSDLTQGTPTENGMADDFQAPFASDEANSSAHLSFGVHCSSNLIDQETYIPHNESLLNNYQFPYPPLELEDFPQINLAAETNASKPEIIDDYMSYDKFKDYMNGTFEEIFSLCSSQDNSAALPMQEL >ONI14484 pep chromosome:Prunus_persica_NCBIv2:G4:24177784:24185467:1 gene:PRUPE_4G282600 transcript:ONI14484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNLKGFILAVVSSAFIGSSFIIKKKGLRRAGVNGPRASVGGYGYLLEPLWWIGMITMVVGEIANFVAYIYAPAGLVTPLGALSIIVSAVLAHFLLKEKLQKMGMLGCLLCIVGSTVIVLHSPKERSLSSVEEIWELAIQPAFLLYTASVVAVTLVLILYCSPRYGQTNILIYIGICSMIGSLTVMSVKAIGIAIKLTLEGTNQLKYFQTWIFGMVAVTCIITQLNYLNMALDTFNTAIVSPIYYAMFTSFTIFASAIMFKDYSGQSVTSIASELCGFITVLSGTAILHSTREPDPPLITDLYTPLSPKVSWYIQSNGEWKQKDEDGSTPNFITILRPDYFK >ONI14485 pep chromosome:Prunus_persica_NCBIv2:G4:24177784:24185467:1 gene:PRUPE_4G282600 transcript:ONI14485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSNLKGFILAVVSSAFIGSSFIIKKKGLRRAGVNGPRASVGGYGYLLEPLWWIGMITMVVGEIANFVAYIYAPAGLVTPLGALSIIVSAVLAHFLLKEKLQKMGMLGCLLCIVGSTVIVLHSPKERSLSSVEEIWELAIQPAFLLYTASVVAVTLVLILYCSPRYGQTNILIYIGICSMIGSLTVMSVKAIGIAIKLTLEGTNQLKYFQTWIFGMVAVTCIITQLNYLNMDYSGQSVTSIASELCGFITVLSGTAILHSTREPDPPLITDLYTPLSPKVSWYIQSNGEWKQKDEDGSTPNFITILRPDYFK >ONI14486 pep chromosome:Prunus_persica_NCBIv2:G4:24178827:24184915:1 gene:PRUPE_4G282600 transcript:ONI14486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVDHNRNFGQFTVVVGEIANFVAYIYAPAGLVTPLGALSIIVSAVLAHFLLKEKLQKMGMLGCLLCIVGSTVIVLHSPKERSLSSVEEIWELAIQPAFLLYTASVVAVTLVLILYCSPRYGQTNILIYIGICSMIGSLTVMSVKAIGIAIKLTLEGTNQLKYFQTWIFGMVAVTCIITQLNYLNMALDTFNTAIVSPIYYAMFTSFTIFASAIMFKDYSGQSVTSIASELCGFITVLSGTAILHSTREPDPPLITDLYTPLSPKVSWYIQSNGEWKQKDEDGSTPNFITILRPDYFK >ONI11551 pep chromosome:Prunus_persica_NCBIv2:G4:5989583:5994603:1 gene:PRUPE_4G112900 transcript:ONI11551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLAGDGTTTAIILTREMIKGGLLAVAFGANPISLKKGMDKTVNELVKVLKKKSLPVKGRKDIKAVASISAGNDEFIGNLIAEAIDKIGPDGVISIESSSSFETSILVEEGLKFDKGYMSSHFITNQERSVVEFDKAKVLVTDQKISTVKEIVPLLEKTTQLSIPLLIIAEDISKQVLETLVVNKMQGLLNVAVVKCPGFGEGKKALLQDIALMTGADFLSGDFGLTLESATSDQLGVARRVTITSNSTTIVADPSTKAEIQARILQIKKDLAETENAYLSRKLSERIAKLCGGVAIIKVGAHTEVELEDRKLRIEDAKNATFAAMEEGVVPGGGATYVHLSEFIPVIKNSMEDTDEQIGADLVAKALLAPAKSIATNAGDDGEVVVEKTKSCDWRVGYNAMNGSYEDLPDAGVIDPCRVSRCALQIAVSIAGVVLTTQAVLVEKTRKKKPAVPFVPGITPQ >ONI11550 pep chromosome:Prunus_persica_NCBIv2:G4:5989583:5994603:1 gene:PRUPE_4G112900 transcript:ONI11550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSFTSAQLFFHKPLFSLQSLFVKQRAPGFCRRPQALRSLVVRAGPKKISFGTESREALQAGIDKLADAVSLTLGPKGRNVVLSESETLKVINDGVTIVQSIELSDTIENVGAMLIQEVASKMNSLAGDGTTTAIILTREMIKGGLLAVAFGANPISLKKGMDKTVNELVKVLKKKSLPVKGRKDIKAVASISAGNDEFIGNLIAEAIDKIGPDGVISIESSSSFETSILVEEGLKFDKGYMSSHFITNQERSVVEFDKAKVLVTDQKISTVKEIVPLLEKTTQLSIPLLIIAEDISKQVLETLVVNKMQGLLNVAVVKCPGFGEGKKALLQDIALMTGADFLSGDFGLTLESATSDQLGVARRVTITSNSTTIVADPSTKAEIQARILQIKKDLAETENAYLSRKLSERIAKLCGGVAIIKVGAHTEVELEDRKLRIEDAKNATFAAMEEGVVPGGGATYVHLSEFIPVIKNSMEDTDEQIGADLVAKALLAPAKSIATNAGDDGEVVVEKTKSCDWRVGYNAMNGSYEDLPDAGVIDPCRVSRCALQIAVSIAGVVLTTQAVLVEKTRKKKPAVPFVPGITPQ >ONI09838 pep chromosome:Prunus_persica_NCBIv2:G4:601061:602530:1 gene:PRUPE_4G012700 transcript:ONI09838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRKNPQIENVLKMLPSSIRCTACSTVMAKDTKLNSRKEEALGEEFWEVWKDHTFRFCFSCTQCSAEIVIRSDPQYSHLTVEAGASKFYSHFIPWHPPRVEEIQQIQKRKIEEAGDAMKSLDFK >ONI14178 pep chromosome:Prunus_persica_NCBIv2:G4:20072074:20075645:-1 gene:PRUPE_4G267100 transcript:ONI14178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVINMDGQDQILSDLINSSTQQHQGEMQSNTDNVEKRCGNHGGVCAICLDEIMLQETALVKGCEHAYCATCILRWVTYSQKPTCPQCKHPFEFLNVHRSLDGSIHDYMFEESVCLLLRAKWFEPLIVEEREDVYDDPDDYYYPYEDEEDDDLDEAYFSSSSSIRIGNRRWGDNGYVRGGRQEARPVNRSNIEDSGASSSREPRKKEAAMDKTGRRAKRALKREAADKAAAAKHQQHLARLGRK >ONI13336 pep chromosome:Prunus_persica_NCBIv2:G4:13511705:13516177:-1 gene:PRUPE_4G216000 transcript:ONI13336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLSRKHFPEEKYSNAVIGFGPQETHFVIGLTSHHNEADKLEVGTTFNHFGIATQDIYNIVEKIRAKGGVITREPGPIATGGTSIFAFVKDPNGYTFELLRRPPTPEPLCQICLNVFDMDRSIEFYSKSLGMNLLLPKFNATEEQYTLAMLGYGSNFTETTIIELKYNYNVTRYTRGNGYAQVAIGTNDVYKSAAAFELVTKEFGGKIIRPPGPIPKIKTKITAFLDTDGFQTVLVDNQDYLKELKKQ >ONI13335 pep chromosome:Prunus_persica_NCBIv2:G4:13511705:13516176:-1 gene:PRUPE_4G216000 transcript:ONI13335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVNIKVDDLDYTIKFYTEFLGMKVLSRKHFPEEKYSNAVIGFGPQETHFVIGLTSHHNEADKLEVGTTFNHFGIATQDIYNIVEKIRAKGGVITREPGPIATGGTSIFAFVKDPNGYTFELLRRPPTPEPLCQICLNVFDMDRSIEFYSKSLGMNLLLPKFNATEEQYTLAMLGYGSNFTETTIIELKYNYNVTRYTRGNGYAQVAIGTNDVYKSAAAFELVTKEFGGKIIRPPGPIPKIKTKITAFLDTDGFQTVLVDNQDYLKELKKQ >ONI12192 pep chromosome:Prunus_persica_NCBIv2:G4:8558086:8561237:1 gene:PRUPE_4G149800 transcript:ONI12192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELALQQASVLVEKLIQEFNRPSEANVDLAKAQKMLTMMRAYLADHLSDQIGSQVIQNRAKEIKDIIYDTEDALAKFSAEIPHHVHSNIFSRTGHQVKHSFSVWKASRNLIRTLKEIESTFGSLQALDPPNSNPRVEETYNNLVYNQVVKEEDIVGFEEPKEKLIKQLMEGDTMEGDTSRPLQIWIVGPSGSGKTTLVKIVYESKRVQRFFDCHAFVDVPRTFDCRKLLLSMLSKFEDRMQEPVVLHHQHHYEEIDPGQKLKQLLRQTKYLVVLDNVWRGHNFGCIVNALPEGLPGSKIIITTRDSNLSSLHANSAEYIHDLSQVLSWKDVNKLFCKKAFRPNSGECPKELQAWGEKILKRCEFLPIAVSAVASSLSKKPQTPIAWEKFHDSLGSDLPIVKQVWDPSYRDLPMELQSCFLYFSLFPEDYSIKRERLIRLWVAEGFVTPKGRKTKEEVADGYLNELIGRYLVHVSSREIDGQVRTCRVLNLVREFIISNADDVLILESNSPSSSTYSGQKFRHLSAHYVPISNLSRRVRDLNRTRTLLVFGPSQQAVVSSDDYELGKVLKTLVNLRVLDFKGVRLEDFPESIVSLSLLKYISMRKTKIKSVPSSIKKLSQLETLDLKRTQVIELPKEIYELHNMRHLLVSRGCDQDDDGPTQGVGVVSSGNIGALPELQKLSLIKVGNNRTILKNLAELTGLRKLGLTDLRAEHATELCCAVEKMVHLSTLEVRSTNEDEYLDLDHIRSPPRSLQRLCLAGRLISLPQWFPQLESVVKISLKRSKLDPDANPLEALQALPNLMELNLVDYYTGEKLEFQVETFQKLKILRIQQLDQLNFMIVENGAMPVLKKLTMSKCENLRLLLGIEGLTKLEEFCVHDMHTEFRSLYGM >ONI13489 pep chromosome:Prunus_persica_NCBIv2:G4:14208759:14209995:1 gene:PRUPE_4G225300 transcript:ONI13489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKAFLLLGLVFAVLLISYEVLAIPTQSEESTVNGDARGFGGHGRGFGGHGPGHGGHGPGHGGHGPGHGGHGHGHGGHGHGHGGHGHGHGGHGHGHEGYGHGHGGYGHGHHGHHGHHGIGRPGAAETEIKN >ONI11508 pep chromosome:Prunus_persica_NCBIv2:G4:5828891:5831154:1 gene:PRUPE_4G110100 transcript:ONI11508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKISYKKLKGSQNLRQRLVLSLLSSTPVHIEDIHAEETWPGLRPHEVSFIRLLEKVCDDCSVEINDTGTKLKFKPGIVMGGRNLVHECSLSRAIGYYLEPLIVLGLFAKKPLNITLKGITNDSKDPSVDTFRSTTLPMLKHFGVPSEGLDLEILSRGSAPQGGGEVLLSVPVVQSLTAVTWTDEGMVKRIRGITFSTRVAVQFENTMVYAARGIFNPFLPDVHIFTDHRQGAQAGKSPGYGISLVAETTSGCCISTDTAVSYSRQEETAELEDEEKKELMSPEDVGVQMATVLLGEIEQGGVVDSTHQGLLFLLCALCPQDVSKVRVGKLSPYGIETLRNIKDFLGVTFVIKPDPSTGTVILKCVGSGFRNLSRRIS >ONI11533 pep chromosome:Prunus_persica_NCBIv2:G4:5916063:5918362:-1 gene:PRUPE_4G111700 transcript:ONI11533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVGWRIFKNNNPVADYQMARSALDETSLSGAFVRTASVFRNFISRDPNSQFPAEPGRYHLYISYACPWASRCLAYLKIKGLEKAISFTSVKPIWERTKESDEHMGWVFPASDTELAGAEPDPLNGAKSIRELYELASTNYTGKYTVPVLWDKRLKTIVSNESAEIIRMFNTEFNDIAENAALDLYPPHLQSQIDQTNEWIYNKINNGVYKCGFARKQEPYDEAVKQLYEALDKCEEILSKQRYLCGNTLSEADIRLFVTIIRFDEVYAVHFKCNKKLIREYPNLFNYTKEIFQVPGMSSTVNIDHIKRHYYGSHPSINPFGIIPSGPAIDFSSPHDRDRFSI >ONI12169 pep chromosome:Prunus_persica_NCBIv2:G4:8483033:8487865:1 gene:PRUPE_4G148600 transcript:ONI12169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRQRERHQNPEPFLAEENGSVASKKQTKSKQHQMEEKLISSGMSSKILKEALAQQREVEDEENRAQNPNNSLLNVTEDPRKDKAAEEEEDIDDFGGFSETQSRFGGYEENDEHDEKLLNEIDEDDENLLEAFLSKSAGPQRTLADLIVARIKEKDSDVSSDARPLPKLDTSLIELYKGVGKFLSKYTAGKVPKPFKHIPSVPRWEDVLYLTEPENWSPNAMYQATRIFASNLGKQKVERFYKLVLLPRVREDIRKHKRLHFALYQSLKKSLYKPAAFFLGILLPLCESGTCNLREAVIFGSIIEKVSIPPLHSSVALMKLAEMKYCGTTSYFIKLLLDKKYALPYRVLDAVVAHFMRFLEETRLMPVIWHQSLLTFVQRYKNELQKEDKDNLRNLLEKQKHYLVTPEIRRELDHSRNRGEREDDLMSIAYPVGVINKPFEEDLSDIPEVPMEDD >ONI12599 pep chromosome:Prunus_persica_NCBIv2:G4:10296503:10301348:-1 gene:PRUPE_4G174000 transcript:ONI12599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFHTPNHKHSFQHNKKRLTQEQVNLLERSFTSNNKLEPERKLLLAKQLGIPPRQVAIWYQNKRARWKTRSLELDYNAIQAKLETALAEKRRLEKDVERLGEELKKAHDLVLVLNQTQELPAHPVICSMFNSSSAEEGVGSSSLQQHEDQDNNSDPFFLFLFLVFNFDGVRISFSIHMHMGEYQGKLTYKKDITVWRYPSQSFIVITQRPPWPRPPLLELMAPQAGSEPPQPLSTRTTSLLSLAVGAMKEIAVDLERDNQFERVKELENAVIELLGTHEDCSHFSSTIQSVGEKYQPGPELTDFDQLFKNEVAMLKANSFSDPQNHPLMRQFREAVWNVHHSGEPMPGEEQEDIVMTSNQCNILNVTCPLSGKPITELQHPVRSVVCKHVYDKGSIMHYLRSKNTRCPVAACPKLLQADKVVCDPLLLVEIDELRAMKEQTVMTDVIEDFTELDEE >ONI14488 pep chromosome:Prunus_persica_NCBIv2:G4:24187589:24199136:-1 gene:PRUPE_4G282700 transcript:ONI14488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQTELLNEAKKLELQGLKKYPISPVFISKLDTLLNDAYAIRRPKPIDYHNRRDLIRILNAITKELYGNSGNSPVVEGFGSFVMDMFSIGSDLDLSVNFGEGHVIGVQAIMTARVPIIKFIDCGTGIECDLSVENRDGIQKSQILHLVSGIDERFQKLSFLMKAWAKAHNINSPKDRTLSSLSIIQLVAFHLQTRDPPIIPPFCTLFEDGTDPVIVVKRVKNYSEYGKGNKESLADLFITLLVKVASVENLWQKGLCASLYQGSWTSKLWDTPYISVEDFTDLSQNVARAVGREQFKEIYGCIHSSLCHLLSFSDGHIQGHQLVDLLFGSDSVSIVPRQIDTESNDESKANLPVPLNGHQTKRMRVSKGLKEKQQGEGWRGGQQTQGWKQHGNWKKGKEENKPMAGVEEHN >ONI14487 pep chromosome:Prunus_persica_NCBIv2:G4:24187589:24199137:-1 gene:PRUPE_4G282700 transcript:ONI14487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQTELLNEAKKLELQGLKKYPISPVFISKLDTLLNDAYAIRRPKPIDYHNRRDLIRILNAITKELYGEGHVIGVQAIMTARVPIIKFIDCGTGIECDLSVENRDGIQKSQILHLVSGIDERFQKLSFLMKAWAKAHNINSPKDRTLSSLSIIQLVAFHLQTRDPPIIPPFCTLFEDGTDPVIVVKRVKNYSEYGKGNKESLADLFITLLVKVASVENLWQKGLCASLYQGSWTSKLWDTPYISVEDFTDLSQNVARAVGREQFKEIYGCIHSSLCHLLSFSDGHIQGHQLVDLLFGSDSVSIVPRQIDTESNDESKANLPVPLNGHQTKRMRVSKGLKEKQQGEGWRGGQQTQGWKQHGNWKKGKEENKPMAGVEEHN >ONI14489 pep chromosome:Prunus_persica_NCBIv2:G4:24188233:24198629:-1 gene:PRUPE_4G282700 transcript:ONI14489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQTELLNEAKKLELQGLKKYPISPVFISKLDTLLNDAYAIRRPKPIDYHNRRDLIRILNAITKELYGNSGNSPVVEGFGSFVMDMFSIGSDLDLSVNFGNIVGTFPRDMKIKTLRKFAKKFYSLQREGHVIGVQAIMTARVPIIKFIDCGTGIECDLSVENRDGIQKSQILHLVSGIDERFQKLSFLMKAWAKAHNINSPKDRTLSSLSIIQLVAFHLQTRDPPIIPPFCTLFEDGTDPVIVVKRVKNYSEYGKGNKESLADLFITLLVKVASVENLWQKGLCASLYQGSWTSKLWDTPYISVEDFTDLSQNVARAVGREQFKEIYGCIHSSLCHLLSFSDGHIQGHQLVDLLFGSDSVSIVPRQIDTESNDESKANLPVPLNGHQTKRMRVSKGLKEKQQGEGWRGGQQTQGWKQHGNWKKGKEENKPMAGVEEHN >ONI13648 pep chromosome:Prunus_persica_NCBIv2:G4:15149791:15150697:1 gene:PRUPE_4G234700 transcript:ONI13648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKIVIEVQMRSRKHRAKAMKIAAVADGVNSVAFNEEKKDQMVIIGDGVDAASLALCLRKKVGHANLVKVEEVVEDT >ONI14246 pep chromosome:Prunus_persica_NCBIv2:G4:21898443:21898796:-1 gene:PRUPE_4G270600 transcript:ONI14246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLSTEDTFTQLSSLATSPHQPFSKLILFLSNTTQRTTNSIHYNSTKLFPFFPLVCNAFSMTRGMHEMHPQKISFPTSRGKAVKKLINGEKKFIGILNLSLCIITPVEIRQTLDTL >ONI13085 pep chromosome:Prunus_persica_NCBIv2:G4:12521734:12524890:1 gene:PRUPE_4G201500 transcript:ONI13085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHTRKTHTTCCHQSPHHHQAHRFPLSLIPSEPTNPRTAKPFNCPLFGSEDITNSQPENPFFCLFRQRPNMVSPENTNWLFDYGLIDDAPVLDGNFPVSSSGFTWPVQPLAGSSSVSVEIDGSLGDAEGVKESGSKKRVRTESCSGSSSKACREKLRRDRLNDKFLELGSILEPGRPPKTDKAAILVDAVRMVNQLRGEAQKLKDSNSSLQEKIKELKAEKNELRDEKQRLKLEKEKLEQQLKAMNAQPGFLPPPPAIPAAFAAQGQAHGNKLVPFIGYPGVAMWQFMPPASVDTSQDHVLRPPVA >ONI13418 pep chromosome:Prunus_persica_NCBIv2:G4:13848366:13852385:1 gene:PRUPE_4G220500 transcript:ONI13418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKNMKVPKVPGRGIATLLTVGVVGTLGIYGINNSLYNVDGGHRAIVFNRLVGIKDKVYPEGTHLIIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIALRVLTRPVPDQLPTVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAAYFNIAMDDVSITSLTFGKEFMIAIEAKQVAAQEAERAKFVVDKAEQDKKSAVIRAEGEATSAKLIGEAIANNPAFITLRKIEAAREIAQVISNSSNKVFLNSEDLLLNLQEMNL >ONI13417 pep chromosome:Prunus_persica_NCBIv2:G4:13848366:13851829:1 gene:PRUPE_4G220500 transcript:ONI13417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPGIPTPCSRKCHSAFLAFIIVGFIGINPKPFPVHLILLTTIFIFLPYFSGFPAFTNMNMKNMKVPKVPGRGIATLLTVGVVGTLGIYGINNSLYNVDGGHRAIVFNRLVGIKDKVYPEGTHLIIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIALRVLTRPVPDQLPTVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAAYFNIAMDDVSITSLTFGKEFMIAIEAKQVAAQEAERAKFVVDKAEQDKKSAVIRAEVIPPI >ONI13416 pep chromosome:Prunus_persica_NCBIv2:G4:13848366:13852385:1 gene:PRUPE_4G220500 transcript:ONI13416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPPGIPTPCSRKCHSAFLAFIIVGFIGINPKPFPVHLILLTTIFIFLPYFSGFPAFTNMNMKNMKVPKVPGRGIATLLTVGVVGTLGIYGINNSLYNVDGGHRAIVFNRLVGIKDKVYPEGTHLIIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIALRVLTRPVPDQLPTVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAAYFNIAMDDVSITSLTFGKEFMIAIEAKQVAAQEAERAKFVVDKAEQDKKSAVIRAEGEATSAKLIGEAIANNPAFITLRKIEAAREIAQVISNSSNKVFLNSEDLLLNLQEMNL >ONI11092 pep chromosome:Prunus_persica_NCBIv2:G4:4279845:4281692:-1 gene:PRUPE_4G087100 transcript:ONI11092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSVEFEHPQENNSAHMTTSPLDPEEFRRQGHMVIDFIADYYKTIEKYPVLSQVQPGYLKKRLPESAPYDPEPIETILQDVQDHLVPGLTHWLSPNHFGYFPAAISTAAFLGEMLTTGFNVVGFNWMASPAATELENIVMDWLGDMLKLPKSFLFSGNGGGVLQGTTCEAIVCTMAAARDQMLRQIGRENIGKLVVYGSDQTHSALQKASQIVGIHPKNFRAIETTTSTSFALSPEVLKSTICSDIEAGLVPLFLCATVGTTAITAVDPLGPLCEVAKEHDMWVHVDAAYAGSAFICPEFQYFIDGVEGADSFSLNAHKWFFTTLDCCCLWVKNPSALVSSLSTNPEFLRNKATDSKQVVDYKDWQIALSRRFKAIKLWLVLRSYGVGNLRNFLRSHVKMAKIFEGLVGMDKRFEIVAPRHFSLVCFRVSPSAISKANPSLSDHDNGKLKAHNYELLNGVKCVVNEVNSKLLEAINGSGLVYMSHAVVGGMYVLRCAIGASLTEEKHVAMAWKVVQEHADAILGTKIIVDQT >ONI12128 pep chromosome:Prunus_persica_NCBIv2:G4:8405860:8406200:-1 gene:PRUPE_4G146700 transcript:ONI12128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVYEIGRVVWTTKGEEQEAAKKEFLECIGLLEGELGDKPYFWGETLGFLVPFYSLFYVGRAAKFYAWAKRCMQKESVSKSLADQKAIYDLFLQRMKAKGIDQ >ONI11037 pep chromosome:Prunus_persica_NCBIv2:G4:4103631:4105018:1 gene:PRUPE_4G083800 transcript:ONI11037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTELQLIKNLPALILRRNSSSNCRPDQEIEEEHRYTSLKDIQEIEEEHRYTSLKDIILNSPQHSTTIPEESDFEFDPSNITIRNQLVKRAASAYVQSAAILASSRNQNFIASFWGRLRGNVTSGTRWYAYVRSPIEACFRPILQFLACMVWNVRTTLNIPIS >ONI10336 pep chromosome:Prunus_persica_NCBIv2:G4:1953805:1955743:-1 gene:PRUPE_4G041400 transcript:ONI10336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSPPPAIPTTSTKPLSPFTQNSSQVSLLSKPKRSFSPRVSCKAKNSDNQNDQAQTKLDRRNVLLGLGGLYGVAGLGTDPFAFAKPVNPPDLSKCGAADLPTGATPTNCCPPPATKIIDFKLPAPGKLRIRPAAHAVDQAYIEKYSKAIELMKALPDDDPRSFKQQANVHCAYCDGAYDQAGFPDLELQIHNSWLFFPFHRYYLYFYEKILGKLINDPTFALPFWNWDSPAGMQLPALFANPKSPLYDKFRAASHQPPTLIDLDYNGTDEKVSNETQINANLKIMYRQMVSNAKNPQLFFGNPYRAGDEPDPGGGSIEGTPHGPVHLWTGDNTQPNLEDMGNFYSAARDPIFFSHHSNVDRMWSIWKTLGGKRTDISHSDWLDSGFLFYNENAELVRVKVRDSLESKSLGYVYQEVDIPWLQSKPTPRRAKLAVSKIAKKLGVAHAAESSTKIVAGRAFPINLETKISTVVPRPKQKKRNKKEKEEEEEILVIEGIEFDRDVAVKFDVYVNDVDDLPSGPDKTEFAGSFVSVPHRHKHKKKINTILRLGLTDLLEDIDAEDDESVVVTLVPKYGAVKIGGVKIEFAS >ONI10193 pep chromosome:Prunus_persica_NCBIv2:G4:1578745:1580157:1 gene:PRUPE_4G034000 transcript:ONI10193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSQQCEKEAVKKGPWSPDEDHKLIAYIQRYGIWNWSQMPKPAGLARSGKSCRLRWVNYLRPDIKSGSFNKEEEEAILKLHETMGNRWSAIAATLPGRTDNEIKNYWHTHLKKRFKATEPVQEAQVFNDKKKFSETELFLLGEAPKASKLETISTSSSSGPVHGDHNKDQIAEQNICASETFGEQQSLWAESHIVQDSEAIFKDPGFTLSNPPTQSWLQEPIFPSGSSYNDASNDYWVNLSMQAEIDGI >ONI11150 pep chromosome:Prunus_persica_NCBIv2:G4:4494268:4499893:-1 gene:PRUPE_4G090200 transcript:ONI11150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVHDRSDNSESDDQQSHSSLPAIGISHPGIPTPNIQYAAPPQVGTGHAVAPAAYPYPDPYYRSIFAPYDTQPYPPQPYGGQPTVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKALKSRKMEHPDYLEAIATHSRGHMKYLFFNNCQGMEVPNTSLASLGSASL >ONI11151 pep chromosome:Prunus_persica_NCBIv2:G4:4494779:4498380:-1 gene:PRUPE_4G090200 transcript:ONI11151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVHDRSDNSESDDQQSHSSLPAIGISHPGIPTPNIQYAAPPQVGTGHAVAPAAYPYPDPYYRSIFAPYDTQPYPPQPYGGQPTVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKALKSRKPYLHESRHQHALRRARGCGGRFLNAKKNDNQQDEMSSGDKSQPNINLNSDKSELASSDGTS >ONI11132 pep chromosome:Prunus_persica_NCBIv2:G4:4389011:4393301:1 gene:PRUPE_4G088800 transcript:ONI11132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMKLGSKTDAFHRQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGIMERLISEASEGEEECVINIHDIPGGAKTFELVAKFCYGVKFELTASNVVYLRCAAEHLEMTEECGEDNLITQTEAFLNQVVLRNWKDSLKALQTCDDILPHAEELNITKRCIESLATKASTDPNLFGWPVKEHGGPMQSPGGSVLWNGISTGARPKKSSSDWWYEDVSTLSLPLYQRLISVMESRGIKQEIIAGSITFYAKKYLPGLNRRQGTSDSSTRLAPVSLGSPPSEEDQKLLLEEVDRLLPFQKRVVPTKLLFGLLRTAMILRANPSCISNFEKRIGMQLDQATLEDLLMPNFSYSMETLYNVDCVQRILDHFLAMDQITGGGVSPSSMDDGQLIGSPAGPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQALAAAVPEYARPLDDGLYRAIDIYLKSHPWLAESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIVVQVLFFEQLQLRTSIAGCFLVSENLDGSRHLRSGFTGSNEGGWATTVRENQVLKVGMDNMRMRVSELEKECSNMRQEIEKLGRVKGSSTWGNVSKKFGFKIKSQMCSAQEGSVTNQHNGNEKVEKLKERHGKHKKDSVKDE >ONI11641 pep chromosome:Prunus_persica_NCBIv2:G4:6389394:6391122:1 gene:PRUPE_4G117900 transcript:ONI11641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLPPRNQTQPYTNNNFTMTKFHVVFISTPGIGNLVPLVEFAQLLGNHDRRFHSTILIINMSQRPIVNTYIQSRAATCTNIRFLHLPAVDPPSPDQYQSSMGYISLLIQNHKTHVKSALTNLMSSESDEFNSGRVAGLFVDMFCTSMIDVANELDIPCYLFFASPATFLSFMLHLPTLDAQIPIEFGDSDTELSIPGFANSVPPLVLPTAVLNKKGDAYSWYLSHARRYTETKGIVVNTFEELEPHALSSLAMSLLPRVYPIGPVLDLNGPAQWHDPNRYESVMRWLDNQPTSSVVLLCFGSMGSLSGPQVREIAFGLERAGFQFIWALRDPPKSQLDLPSDPASVDDILPNGFLERTCKLGLIFGLVPQAKILAHPAIGGFVSHCGWNSILESLWYGVPIATWPIYAEQQMNAFEMVKELGLAIEIRLDYREGSDLVLAEEVERSIKHLMNSDDVVRARVKEMREKSRMVLLENGSSYQALGALTEKLVPKI >ONI11203 pep chromosome:Prunus_persica_NCBIv2:G4:4601024:4602601:-1 gene:PRUPE_4G092300 transcript:ONI11203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPLLAILCVFSLSISRAASDNPFLNDFLQCLPQKSEPTYPIFDAIYTTENSSFQSVLLGYIRNRRYSTPTTPKPLAIVAVKHESHVIATVICAKQHGLQIRIRSGGHDYEGLSYVSHVPFVILDMFNMRSIEINVTDESAWVQAGATTGELYYEIANKSKVHGFPAGACLSLGTGGHFSGGGYGYMMRKYGLSVDNIEDAKVVDVDGIILDRKSMGEDSFWAIRGGGGASFGVILSWKIKLVAVPAKVTVFNVKRTLEQGATDIIYKWQFVAPKLPQDIFIRAMPQVKNNTEGNKTVEVSFIGHFLGQSGKLLSLMNESFPELGLQQKDCFEMSWVESTVFWADYPVGTPLKVLLDRPKEPTMFFKVKSDYVKEPISKQGIESIWQILLGIEKVWMQWNPYGGRMSEISESETPFPHRAGNIFAIQYWLFWVEQGADTTNKYIDLSRKLYEGMTPFVSKSPREAFQNYRDLDIGANLDNQTRFETAKVYGSKYFKGNFNKLVRVKTVMDRQNFFRHEQSIPPL >ONI12362 pep chromosome:Prunus_persica_NCBIv2:G4:9113213:9113917:-1 gene:PRUPE_4G159000 transcript:ONI12362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSKPVRLKKKPKRQDSVKDRISELPDAILCHILSFIPTKYAVRTSILSTRWKRIWASVPVLDFEYKALSVLFPWTEGYKSESYALFLTFVDRVLSSRDPLDIQKFRLHCYCSDEDFSRIDGWIRTVIRHNVVELDLHVEINNDEGGQIVELPQCVFMCKTLEVLKVKSNCIAYAPPTSGCFPSLKFLHVSVDYPDNDSMEKIFSCCPVLECLTIDGLLGFNDVLNFNISVP >ONI11597 pep chromosome:Prunus_persica_NCBIv2:G4:6198280:6200525:-1 gene:PRUPE_4G115200 transcript:ONI11597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLRLRQHLPNGFCRRPLISPLAALNPGSLNGFCQNFSQPARKEEEEEEEVEIDQRRLPADYDPATFDPTEHRSPPTDRVFRLVDEISSLTLAEVAELGSIMMRKKGMKEPPIVGVMKPGAAGLGLAMKGPAAAKEEKKPEKTVFELKLESFEAASKIKLIKEVRSFTDLGLKEAKDLVEKAPSVLKKGLSKEEGEQLIEKLKALGAKVVLE >ONI13149 pep chromosome:Prunus_persica_NCBIv2:G4:12838667:12839546:-1 gene:PRUPE_4G205800 transcript:ONI13149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHHSMNSMMRKSVSSENTRATSHESPEESSWTMYLEDFLTSNNDDGDDDERSSSSHGYENSSTISDAASAVTRKLSAINGEVLGFDNRSSFEFERRKTKEDLLDDALEDTASSPVNSPKVFNLNQSDVRLTQQNNNTDISYTEKGSTSGLVVDERNELGFIGRESDCTDLKKRGLCLVPFSVVANYFG >ONI13148 pep chromosome:Prunus_persica_NCBIv2:G4:12838442:12839747:-1 gene:PRUPE_4G205800 transcript:ONI13148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHHSMNSMMRKSVSSENTRATSHESPEESSWTMYLEDFLTSNNDDGDDDERSSSSHGYENSSTISDAASAVTRKLSAINGEVLGFDNRSSFEFERRKTKEDLLDDALEDTASSPVNSPKEKGSTSGLVVDERNELGFIGRESDCTDLKKRGLCLVPFSVVANYFG >ONI12472 pep chromosome:Prunus_persica_NCBIv2:G4:9697133:9700090:-1 gene:PRUPE_4G167100 transcript:ONI12472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSTFNLSPSSKPTKPLPHYLLKPLIHEPETETRTQIQTPYPKLIVTGPSKLSGRVPISGSKNSALPILAATLCCSGTSKIHNVPNLFDTRTMASVLASLGAKVEVFNNEMLVNTDGVDSVEPNSDEIRKIRGGFFVIGPLVARFGEAVVALPGGCNIGTRPVDLYIRGLQALGAVVELRDGKVQAYAANGRGLVGGSFQLDYASVGATETLMMAACMADGMTVLSNVAREPEVVDLARFLNDSGACVEGAGSNQLVIKGKPLLHGSECVIAADRIEAGTFMLAAAITRSCISISPVIPCQMSCLIHKLSAAGCKIRFQQFLDVGVKICKALRLRQAHILGFLQIFNRK >ONI12471 pep chromosome:Prunus_persica_NCBIv2:G4:9697491:9700007:-1 gene:PRUPE_4G167100 transcript:ONI12471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSTFNLSPSSKPTKPLPHYLLKPLIHEPETETRTQIQTPYPKLIVTGPSKLSGRVPISGSKNSALPILAATLCCSGTSKIHNVPNLFDTRTMASVLASLGAKVEVFNNEMLVNTDGVDSVEPNSDEIRKIRGGFFVIGPLVARFGEAVVALPGGCNIGTRPVDLYIRGLQALGAVVELRDGKVQAYAANGRGLVGGSFQLDYASVGATETLMMAACMADGMTVLSNVAREPEVVDLARFLNDSGACVEGAGSNQLVIKGKPLLHGSECVIAADRIEAGTFMLAAAITRSCISISPVIPCQMSCLIHKLSAAGCKIRQYSHDTLEVSAVSRCGGENLQSFEVKTGPYPGFPTDLQPQIMALLTTCNGLSPVEESVFDKRMGHVSELLKLGAKIQVCASTALVFGKDNGSVLSGSSLVANDIRGGMSLVLAGLAAEGTTDISGVAHIDRGYENLDMKLHSLGADVKRLMPLAS >ONI12912 pep chromosome:Prunus_persica_NCBIv2:G4:11505162:11506010:-1 gene:PRUPE_4G191300 transcript:ONI12912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAVIVETDMLQTMQQDALVLGSKALDVFDVTEATEIGRFIKTEFDKTYGPGWQCIVGTDFSSFVTHCHGCFIYFCLGNLAILLFRGVAGPEAEAKQPCAALEAVKA >ONI13475 pep chromosome:Prunus_persica_NCBIv2:G4:14124454:14130784:1 gene:PRUPE_4G224500 transcript:ONI13475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTILLLLLPCILLHLVNFLLGRAEFPSSTLELKHEEAPQAVPSSSIPETLDFDVFLSFRGEDTRNSFTDHLYHGLNLKGIDTFMDEERLERGKPIAPKLLKAIEHSKFAVVVLSEDYASSTWCLDELAHIVQCMKGGRLKVFPVFYHIEASTVRKQTGNYGKAFAKHDENFKKEKRKVKKWRRALTRVAEVAGWDLKNRKESEVIEEIANRISNILNDELSSSNNDLIGMDSRIKKMEEYLALCRSDDVRTIGIWGFGGIGKTTLANEVFKKIRNQFDAKCFVSSVREESTKVNGLVGMQKSLFATLLHCNEDIQNADLGIQLLRTRLRNKRVLIVLDDVDKLDQIKALADESWLGPGSRVIITTREKHELDSCGLLADSIYEVDKLKIGEDSQLFCRKAFKENDAPHDYKELSKKYVEYAGGIPLALIVLGSYLRGKNVIEWSEAFDRLDEDPEEDIMNVLKISFDALKGTVKQIFLDIACFFNGENQVRVKKILESCRFYPTSGMRDLLDKALIKINERNELWMHDLLRKMGQDIVHRQFPNEPGKRSRLWINENAYKRNRSWHDKVLAENTGTTAVEGIFLILLAKEEIQLDADPFAKMCNLRLLKICNVNFSRCPEYFSKELRLLEWHEYPLESLPSSFKPCQLVELKMPNSRITQLWHESCTMMENLVQMDLSNCKFLIKTPDFRKVPNLERLILEGCEKLSEVHATIGDLQRLVVLNMGRCESLESLPHSISLKSLKTFNLSGCSKLKEFPEIVGNMEALSELFLDGTAIRTLPASIQQLRGLFWINLSRCKNLTCLPMAICSMTSLRYIYMSGWLFVPCKTATTEVPVESSVSAEHKDELAESSVSTEHREMESSVLFNELATEEI >ONI14632 pep chromosome:Prunus_persica_NCBIv2:G4:25436670:25438319:1 gene:PRUPE_4G289000 transcript:ONI14632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNLFSKPNTSSSLNTLPSHSSPTPSVLCVFWSKIPSIARRSSLIAHHSSPSLFAVSHPNATASASLSSQSKAGHRLFLSLVPYTDLYLFLSTICVSFDAFISLLISSICSHMGIDSFCDFVLMLLQRSDSFFFFFF >ONI12410 pep chromosome:Prunus_persica_NCBIv2:G4:9404695:9407435:1 gene:PRUPE_4G163100 transcript:ONI12410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQPYNLMAHAFILFLLFSCITSMNIHACKHSERSSLLSFASAVSAPPSNWTSLDCCHWKGIACNQDGWVTHLLLPSKGLKGGLSPSSLGNLTHLTHLNLSHNSLLGSLETKLFLSLNRLEILDLSYNLLSGELPFSLPSSNIRTVDLSSNQFFGAIPSSFFQQASNLTSFSVSNNTFTGYVPSSICLHSSPFLRLLDFSSNQFNGNLAPGLGKCSELQVFRAGHNNLSGLLPEDIYNATKLEEISLPLNSLHGEISNKIVNLTNLAILDLYINQLSGKLPLNLGKLSKLKFVTLDFNNLEGALPPSLMNCTNLVELRLGSNNLEGDISMLDFSRLNQLAKLDLRVNNFTGTFPVSLYSCRSLKAIRLTGNNLVGQIQAQILSLKSLSFLSLGFNQFTNLTGAMKILMSCKSLHALMLSGSFKGEEMPADDDMVDFDGFQNLRVLCLIESRLTGQLPVWLSELHNLEILLLNGNEITGPIPSWLGTLPRLFYINLSENRFSGEFPKQLCRLPRLVYEPNITSQVDNTSYEYELPFYFGPVVRNPNYYLSSKISSYPATIDLSNNNIVGDIPIEVSQLQLLHGLVLHANNLSGIIPDQISNLKNLEVLNLSMNHLSGIIPSSLASLTFLKEFNVSYNNLQGPIPTSTQLQSFNASAFEGNPKLCGAPLPNKCDRPNKCIDEDNKKNNKGMGNGLHQLPWFYISSIVLGFIVGFWGVCGSLIINKTWRYAYFRFIYNVQDRLYVMITVRINMIKRKP >ONI09970 pep chromosome:Prunus_persica_NCBIv2:G4:1001928:1006361:-1 gene:PRUPE_4G021300 transcript:ONI09970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCSSKPNAPKPGSNGYGLATGGALHHQPYQQPQPAVQPLKVSAQQTHNPPPPSRPQPLPQQPQPQPRPKPQPQQPIPVPIKPAAAPPNSILGKPFDDIKQYYTLGKELGRGQFGITYLCTENSTGHSYACKSILKRKLVSKNDRDDIKREIQIMQHLSGQPNIVEIKGSYEDRYSVHLVMELCAGGELFDRIIAQGQYSERAAAAILRDIVNVVHICHFMGVLHRDLKPENFLLSSKDERAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGVILYILLSGVPPFWAETERAIFDAILEGVIDFESQPWPSISDSAKDLVRKMLTQDPRKRITSAEVLEHPWLRVGGVASDKPIDSAVLSRMKQFRAMNKLKQLALKVIAENLSEEEIKGLKAMFTNMDTDKSGTITYEELKTGLARIGSRLSEAEVRQLMDAADVDGNGSIDYIEFISATMHRQRLERDEHLYKAFQYFDKDSSGYITRDELEAAMKEHAMGDENTIREIISEVDADNDGRINYSEFCAMMRSGTQQPGKLF >ONI12911 pep chromosome:Prunus_persica_NCBIv2:G4:11497096:11502667:1 gene:PRUPE_4G191200 transcript:ONI12911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDQEISRMQAYLTDGEPESEEEEEDEEDDQEQEDEEESGSSGNYNCLHSTCSTRRRSPGWSLGQVLDPRAKWVQEWNRVFLLVCATGLIIDPLFFYALSISSNCMCLFVDGWLAITVTVLRCMTDVLHVWNMWLQLKMAKRYSFTAMVGGAGREGGGANGLRDTSARSVALKYLRSKKGFFFDLFVILPIPQIILWVVIPTLLEKGSVTVVMTVFLMFFFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNLIAYFVAAHAAGACWYLLGIQRAEKCLKEQCREISGCSLRILSCKNPIYYGATNPLRDRARLAWADNKRAKSTCLENADHYDYGAYSWTVQLVTNDSRLEKILFPIFWGLMTLSTFGNLESSTEWLEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRKRHLPQGFRHRVRNYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGETITREGDPVQRMLFVVRGHLQSSQVLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLETTEAFSLEADDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWAAVAIQLAWRRYKHRLTLTSLSFIRPRRPLSRCSSLGEDRLRLYTALLTSPKPNDQDDFFY >ONI09768 pep chromosome:Prunus_persica_NCBIv2:G4:415233:416611:-1 gene:PRUPE_4G008100 transcript:ONI09768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLFIETLSGPRIFKCRYCKVDSASRDDVVSKEFQGRYGRAYLFRNVVNISLGPIEERQLISGLHTVNDVYCSSCQQILGWKYDKAYEPSQKYKEGMYILERERMLKEGW >ONI13501 pep chromosome:Prunus_persica_NCBIv2:G4:14374669:14376498:1 gene:PRUPE_4G226400 transcript:ONI13501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKTFLLLGFMFALVILISSEVSARELAEITKPAIPIRGKPYPFKGRPGTLCNNPYIRGCNPPPPPPCRNPYGRGCHRPSRAPAPGEYRHSQEHHEKPGLGVAETETGN >ONI12006 pep chromosome:Prunus_persica_NCBIv2:G4:7780856:7781971:-1 gene:PRUPE_4G139400 transcript:ONI12006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPIQGNTSLYSAQIGYGVPLSTGGVATEALLPTYNSVITDSIMPPKTSTTAAMKSDSGLTYNVPISLPRKRSRDSINNNISPPFSYPTAPANYKNSCGPFSFLGEDISLRIQQEQFDIDRLISQHMEKVRLEVEEKRKRQARKIMEAIEVGMAKRLRAKEEEIEKIGKLNWALEEKVKSLCLENQIWRDLAQTNEATANALRTNLEQVLAHHQVKEEHQNDAAALMDDAQSCCGSCGGDEGQNDGVVGLDGWRTVKGTTSTTEGHRGEEGASGRCGSSTSRWCRNCGKEEACVLLLPCRHLCLCTVCGSSLHTCPICKSTKNASVHVNMA >ONI12005 pep chromosome:Prunus_persica_NCBIv2:G4:7780562:7782454:-1 gene:PRUPE_4G139400 transcript:ONI12005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEARHLNLFPSQLIPNREMMNPIQGNTSLYSAQIGYGVPLSTGGVATEALLPTYNSVITDSIMPPKTSTTAAMKSDSGLTYNVPISLPRKRSRDSINNNISPPFSYPTAPANYKNSCGPFSFLGEDISLRIQQEQFDIDRLISQHMEKVRLEVEEKRKRQARKIMEAIEVGMAKRLRAKEEEIEKIGKLNWALEEKVKSLCLENQIWRDLAQTNEATANALRTNLEQVLAHHQVKEEHQNDAAALMDDAQSCCGSCGGDEGQNDGVVGLDGWRTVKGTTSTTEGHRGEEGASGRCGSSTSRWCRNCGKEEACVLLLPCRHLCLCTVCGSSLHTCPICKSTKNASVHVNMA >ONI12978 pep chromosome:Prunus_persica_NCBIv2:G4:11905734:11911060:1 gene:PRUPE_4G195300 transcript:ONI12978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLQNKSVISRIVLNQTTSTRDSFTWIEADQTWRAYSSVPRDLCDNYGLCGANGKCIIGENPVCLCLKGFKPMSQEKWNLMDWSLGCVRNKPLSCQERYKDGFVKFVGLKLPDTTHSWVKKSMNLKECRTKCLNNCSCMAYTSSDIRGGGTGCAIWFDDLMDIRQFPAAGQDLYIRMPASELEIGETNMNNGGEPEDDLELPVFDLPTVASATDNFSSDNKLGEGGFGPVYRGTLPDGQEIAVKRLSRSSGQGLNEFKNEVILIAKLQHRNLVKLLGCCVQGEEKMLIYEYMPNRSLDSFIFDSVRGELLLDWPKRFHIICGVAWGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARTLIGGDQSGGNTNRVVGRYGYMAPEYAIDGLFSVKSDVFSFGILVLEVISGRKNKGFYHPNHSHNLIGHAWRTD >ONI12977 pep chromosome:Prunus_persica_NCBIv2:G4:11905734:11911060:1 gene:PRUPE_4G195300 transcript:ONI12977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLQNKSVISRIVLNQTTSTRDSFTWIEADQTWRAYSSVPRDLCDNYGLCGANGKCIIGENPVCLCLKGFKPMSQEKWNLMDWSLGCVRNKPLSCQERYKDGFVKFVGLKLPDTTHSWVKKSMNLKECRTKCLNNCSCMAYTSSDIRGGGTGCAIWFDDLMDIRQFPAAGQDLYIRMPASELVSVAVVFSVVLLVGYYLHRNRRKLKEIGETNMNNGGEPEDDLELPVFDLPTVASATDNFSSDNKLGEGGFGPVYRGTLPDGQEIAVKRLSRSSGQGLNEFKNEVILIAKLQHRNLVKLLGCCVQGEEKMLIYEYMPNRSLDSFIFDSVRGELLLDWPKRFHIICGVAWGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARTLIGGDQSGGNTNRVVGRYGYMAPEYAIDGLFSVKSDVFSFGILVLEVISGRKNKGFYHPNHSHNLIGHAWRTD >ONI12979 pep chromosome:Prunus_persica_NCBIv2:G4:11905734:11911060:1 gene:PRUPE_4G195300 transcript:ONI12979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLQNKSVISRIVLNQTTSTRDSFTWIEADQTWRAYSSVPRDLCDNYGLCGANGKCIIGENPVCLCLKGFKPMSQEKWNLMDWSLGCVRNKPLSCQERYKDGFVKFVGLKLPDTTHSWVKKSMNLKECRTKCLNNCSCMAYTSSDIRGGGTGCAIWFDDLMDIRQFPAAGQDLYIRMPASELVSVAVVFSVVLLVGYYLHRNRRKLKEIGETNMNNGGEPEDDLELPVFDLPTVASATDNFSSDNKLGEGGFGPVYRGTLPDGQEIAVKRLSRSSGQGLNEFKNEVILIAKLQHRNLVKLLGCCVQGEEKMLIYEYMPNRSLDSFIFDSVRGELLLDWPKRFHIICGVAWGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARTLIGGDQSGGNTNRVVGRYGYMAPEYAIDGLFSVKSDVFSFGILVLEVISGRKNKGFYHPNHSHNLIGHVRISSIISSLCILGL >ONI11421 pep chromosome:Prunus_persica_NCBIv2:G4:5476971:5483106:-1 gene:PRUPE_4G105900 transcript:ONI11421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSGRTKRKIRWSKLYSFACLRPVTAEHDPSQQLLGQPGFSRVVFCNEPLQHKAKPYKYPKNYVSTTKYNVVTFLPKALFEQFRRVANLYFLLAAVLSITSLAPFTPTSLIAPLVFVVGVSMIKEAVEDWHRFLQDLNVNSRTVKAHVGDGKFIDRSWKQLSVGDVVKVNKNEYFPSDLLLLSSSYEDGICYVETMNLDGETNLKVKRCSEATLGLINDQAFGLFSATVRCEDPNPHLYTFVGNLELKNVSFPLCPATLLLRDSKLRNTDYIYGVVIFSGPDTKAVRNSTRSPSKRSRIERKMDLVIYLLFTMLLLISLVTASGFARFLKSEMVKWWYLSLEDDPFFNPSKPEVSGFLQFIRALILYGYLIPISLYVSIEVVKVLQAMLINKDIELYDEVTRKSVQTRTSNLNEELGQVGMILSDKTGTLTCNQMEFRKCSIAGISYGGDINEIDRAASKRMNVDVESYRFSTDEFETASQSGEMFEFSVGDISTEKAVQGGQRHMQNSSAENSRISYVEEEAVIKGFNFRDDRLLNKKWIYRSNLSDVTMFFRVMALCHTGIPVEEDDQTHKLKYEAESPEEVSFLIAAQEFGFQFFQRSQSVMFLREFDPSTGNEVERKYKLLNLLEFCSARKRMSVIVSNEEGQIFLLCKGADNIIFDRLAENGRTYQQATTLHLSNYAEDGFRTLAFAYRKLEVTEYEQWNSIFKVAKTTIGPEREEILEKASEMIEKDLILLGVAAVEDKLQKGVPECIDKLAQAGIKIWLLTGDKKETAINIGFACSLLRQDMKQFHLSLGRETATTNQLKAMKKDILNQLESFHKVKSEEGNEDAPLALVVDGKALEIALRSDVKDQFLPLAVNCASVICCRVSPKQKALITRLVKEHTGRTTLAIGDGANDVGMIQEADIGVGISGMEGMQAVMASDISLPQFHFLGRLLIVHGHWCYKRISKMILYFVYKNIAFGLTLFYYELYTRFAGEVLYDDWYMALFNVILTSLPVISLGVLEQDVSSEVCLQFPALYQQGQKNIYFTWKRILGWILNGVVASLVIFLSNIYTLSPKAFQKNGAVADITHLGAMTYTCIIWTVNCQIALIINHFTWIQHLFIWGSILIWYVFLLIYGALPPAYSQRGFRVLIEALGPAPLYWTVTLFVVVVSLLPYFIHIIIQRSFYPLDDHVIQEMKYFRKDVADNQMWERERSNSIKMTQIGFSARVDARIRFLKEHLHQKKQLIYRSVTSSPIFKSLTSSPA >ONI12366 pep chromosome:Prunus_persica_NCBIv2:G4:9133905:9135389:-1 gene:PRUPE_4G159400 transcript:ONI12366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRKGLKLILFPMPLQGHLNPMLELANLLHHQGFSITIIHTQFNPLNPSTYPHFTFHSIPVALSQAEASTSDILAFISLLNVKCSEPFRECLARLLSDNQEPVACLISDLLFHFTQPVAESLKLPRILLRTSAAFSFVAYAAFPLLLEKGYLPIQDSQLEEPVIELPPLKVKDLPVLKTMDPEKYDELVSGLKKETEASYGIIFNTFEDLEGSSLATIRQEFNIPIFPIGPFHKCFPAASSSSSSSSLLSQDQSCIPWLNCEAPKSVIYVSFGSIAAIREAQFLEMAWGLANSNQPFTFEKYNVAEREHMRKVDKGIREVSKGRDQKNLSGSSQC >ONI12959 pep chromosome:Prunus_persica_NCBIv2:G4:11769339:11772113:1 gene:PRUPE_4G194300 transcript:ONI12959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQVCAAAQSPNAKPEIIRRTANFPPSIWGDRFLNYDSQDIITNARNQQEVNELKEVVRREVLTTSAGDFSHQLKLIDAIQRLGVAYHFESEIEEALERRHAAFRDHDGDLYNVALGFRLLRQHGYKVSCDVFNKFKDENGSFKECLIADVPGMLSLYEAGHLGIRGEEILDEALAFTTTHLDSAAKAHVSYEHAEQITQALERPLRKDLERVCARRYMSIYQDEASHNEALLKLAKLDFNLVQSLHKKELSEITRWWKEVDFEKKLPFARNRIVELYFWVVGVYFEPQNVEARKFLTKVIALVSVMDDIYDAYATFEELEIFTGAIERWDMSCMDELPDYMQIFYRTLLTVVDEIEEEIAKDGRSYRVYYAKESLKALARAYFEEARWFNEGYTPTMDEYLPAAIVSSGYPMLSTVSLLGMGDIVTKETFEWLFNDQKIVRASATLCRFMDDIVSGQFEKERGHVACSIDCYVRQYGVSEQEALDALNKQVVDLWKDINEEFLRPTAAPMAVLMRVLNLTKVIDLLYKGDDGYTRVGKVVKDKIASHFIDPVPII >ONI13729 pep chromosome:Prunus_persica_NCBIv2:G4:15962057:15963056:1 gene:PRUPE_4G241600 transcript:ONI13729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTEYHSQNQQTLTHSYYMYNSIDNQLLKKATKFLLSVSVVTFCIPNSSLLSCLHSLNFYFSTFPYQLFTHTIDKNCMFLICNLLLVVLAKCSGLIRSPSQSHRTNDETTFKNREGGSGFESPMLETEEPAMQKEDVKVESMESAEHVAAEKGQQSESLINQVETDAEKAAEEYKDQKEQKPENEFIVSEEEEEENGTLAEEDEDGNGTEELNKKFEDFIRRMKEELRIEAQRQLIMV >ONI13747 pep chromosome:Prunus_persica_NCBIv2:G4:16049669:16050238:1 gene:PRUPE_4G243000 transcript:ONI13747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTFEFINMTFGSTSIIQNVGMLGSSSLKHFIVFLRLFYLPKDSHIINIGGEVKAVSAPSIIELKMAGVKIVRGSSTSLSFIRLVDGIIETPRLLIHNGSELLFRNIVAFEQCHGCFFYINSYLSILDDFVTISMDVELLVKKGIFTNMLRDHNEVSTLINDLDKRVVISDFYFGSVCEELDRYLKSP >ONI14009 pep chromosome:Prunus_persica_NCBIv2:G4:18264795:18266851:1 gene:PRUPE_4G257600 transcript:ONI14009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLCLYDCPKIKGRRIKLQYVLVLSTFASEFKSYKYKHSLHYTLSISEFTTMEHFRFIFLSSFLYVILLVGVFASEDVSWETYWQSALPKTPIPQAVQELLHPENWPGTEGKRTYTGISKDALNINTIQSGSAFPTAGPESYAKAATGKIPVEQDVTTFFFEKDLHLGKKMTLHFPKTTNNAKFLPRLVARAQPFSSSKLPEILNRFSLKPESAEAEIVKQTIEECEEPSIEGEDKYCATSLESLVDFSVSKLGKYAEVFSTKVDGENKQEYRIARRVEKIGERSVVCHKQKYVYAVFYCHEIRSTRAYKVSLVGADGTKAEAVAVCHSDTRKWNIKLLAFQLLKVKPGTVPICHFLPSGNLLWVPN >ONI14507 pep chromosome:Prunus_persica_NCBIv2:G4:24581011:24585228:-1 gene:PRUPE_4G284000 transcript:ONI14507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKPAGALILSCMVRSRKTVWRTHQPQIRYFLKIDLEFALKTYSAYKLGRRKGTPQHKQLSPNQFFFINTAVNHFLKLKIMAGALISLLLERLASTTYEYMEGDVKRVLNVKEDVEKFTRTLRVIQAVLEDAEQRQVTDQAVKIWLDELKDISYQMVDVLDEWNTNILKQQVEKQEREGDPNALVTRKKVRFSSFSPYFCLGKIKDHLLHDIALKIKDLNDKLTEIYEERKKYQFLSKELGIQQPQQPQRPQTASFVDISEIFGRENEKKDLITHLLSDSSAEGKGFLIIPIVGMGGMGKTTLTQLAYNDDRVKTHFELRKWVCVSDPFDEIKIAKAIIGKNAPNSNELDEVLQCMSTSIQGKRFLLVLDDVWTDDPKKWEQLKVPLIQNGAKGNRILVTTRKHEVADMMRATRNKINLGELNDECCLSIFNHMAFWDRDVHEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTKMGEWKEVLHSKIWDLEKVEQEVFQPLFLSYNDLAPTIKCCLLYCATFPKDHKFERDDLIKLWMAQDYVISKGNKEKGTTGDAVFDNLVARSFFQDFERDPDTGTIIGCKMHDIVHDFVQFLTKNECLIIDHGEEITSESKVFGDKVRHLTLRYIPEGPLPLFISSYNCKNLRTLATFDSRITTIDPNLILQLKCLRTLNLSRNSIEELPKEIGELIHLRHIDLSSNHILKKLPDTICGLYNLSTLRLVGCSKLTKLPENMGNLINLKHLYVKYCGLLESFPKVIGRLTSLQTLDVCPCGGDKDEAFQIGDLRNLNLEGSLEIQLRGDATDKSEVEKAQLWDKKLFNLTVNFAGRTNSSSSSSSVEILNALRPHPDLESLGIGYHNGTTWPNWIQSLHNLRFLTVAGGTLCEFWPLGKLECIERLELYRMEGVKKVGVEFLGLEDQTSFRIRSPQILFPKLKQLYFYGMSNWEEWEGVEEWTKEDSEITIMPCLSELIIEDCELLKALPDFIFKTPLRTLDITKCRRLAEHYEEGNGEWAKISAKIPNIRICSR >ONI14508 pep chromosome:Prunus_persica_NCBIv2:G4:24581009:24585228:-1 gene:PRUPE_4G284000 transcript:ONI14508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKPAGALILSCMVRSRKTVWRTHQPQIRYFLKIDLEFALKTYSAYKLGRRKGTPQHKQLSPNQFFFINTAVNHFLKLKIMAGALISLLLERLASTTYEYMEGDVKRVLNVKEDVEKFTRTLRVIQAVLEDAEQRQVTDQAVKIWLDELKDISYQMVDVLDEWNTNILKQQVEKQEREGDPNALVTRKKVRFSSFSPYFCLGKIKDHLLHDIALKIKDLNDKLTEIYEERKKYQFLSKELGIQQPQQPQRPQTASFVDISEIFGRENEKKDLITHLLSDSSAEGKGFLIIPIVGMGGMGKTTLTQLAYNDDRVKTHFELRKWVCVSDPFDEIKIAKAIIGKNAPNSNELDEVLQCMSTSIQGKRFLLVLDDVWTDDPKKWEQLKVPLIQNGAKGNRILVTTRKHEVADMMRATRNKINLGELNDECCLSIFNHMAFWDRDVHEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTKMGEWKEVLHSKIWDLEKVEQEVFQPLFLSYNDLAPTIKCCLLYCATFPKDHKFERDDLIKLWMAQDYVISKGNKEKGTTGDAVFDNLVARSFFQDFERDPDTGTIIGCKMHDIVHDFVQFLTKNECLIIDHGEEITSESKVFGDKVRHLTLRYIPEGPLPLFISSYNCKNLRTLATFDSRITTIDPNLILQLKCLRTLNLSRNSIEELPKEIGELIHLRHIDLSSNHILKKLPDTICGLYNLSTLRLVGCSKLTKLPENMGNLINLKHLYVKYCGLLESFPKVIGRLTSLQTLDVCPCGGDKDEAFQIGDLRNLNLEGSLEIQLRGDATDKSEVEKAQLWDKKLFNLTVNFAGRTNSSSSSSSVEILNALRPHPDLESLGIGYHNGTTWPNWIQSLHNLRFLTVAGGTLCEFWPLGKLECIERLELYRMEGVKKVGVEFLGLEDQTSFRIRSPQILFPKLKQLYFYGMSNWEEWEGVEEWTKEDSEITIMPCLSELIIEDCELLKALPDFIFKTPLRTLDITKCRRLAEHYEEGNGEWAKISAKIPNIRICSR >ONI12630 pep chromosome:Prunus_persica_NCBIv2:G4:10441976:10446395:1 gene:PRUPE_4G176300 transcript:ONI12630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIFVVELEGRCYKCKFCKTHLALVSDCVSRSFHCRRGKAYLFNNAVNTTIGALEERLMLSGLHTVADIFCCCCGQIVGWKYESAHEKSQKYKEGKFVLERGRIVDEVDFSTEFFVDSRPSMSSDADDV >ONI13263 pep chromosome:Prunus_persica_NCBIv2:G4:13246774:13247482:-1 gene:PRUPE_4G212400 transcript:ONI13263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCIRHEPSMQWGGDDWGCMANGVDDHEEEEIMKKNKKIKMEEGQGGFEDLGAVGLAKKLGGATEVKIKITKKQLEELLGRVDLKQMSVQQVLAKLISFGDRYEAHQRSWRPALQSIPEVN >ONI14561 pep chromosome:Prunus_persica_NCBIv2:G4:25181002:25181915:1 gene:PRUPE_4G287300 transcript:ONI14561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSKQKGANYSIDEDVALCRAWIIISEDPIIRNCQSIIQFHLDAQEVRSSKSLKSLWINISQMCNKFSECLTQVERLNKSGQNENDNRTKKVFLIDHCWNVVQHAHKWQPFEGFQSSPFMMIHRMNPFQKLKHPHKPQTHGEGLWDTKKAKELKRKAIVEESSQDDKEMKEIMRSYKDCMIENKELKVMFKETATIQTPAIRRWVQQKQADIIAKSSSRSLTLRMKSIVPNPQKMMISRIV >ONI10604 pep chromosome:Prunus_persica_NCBIv2:G4:2706491:2709623:1 gene:PRUPE_4G056500 transcript:ONI10604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSNLQPPSSSDAASPLLSHSIADNLVRSRRLIRRPPRPLRGAARFLRRASSRRMMLREPSVRVREAAAEQLEERQTDWAYSRPIIVLDLLWNAGLLGIAIGVLWLSREESPLVPLRTWIVGYAFQCLVHMACVGVECTRRRRVGDVAVAEESPRWENSSGSGSDDGEDYGIEQSVDYAGTSVAKHLESANTVFSFIWWILGFYWVTAGGESLIRDSPQLYWICITFLALDVVFVVICIAVASLIGIAVCFCLPCIIAILYVVTDQEGATKEEIDHLPKYKFRTISDFEKVNGEIQESFGGIMTECDTNTPTEHVLSQEDAECCICLCAYDDGTELRELPCHHHFHCTCIDKWLQINATCPLCKFNILKSVSQSGSEEV >ONI11791 pep chromosome:Prunus_persica_NCBIv2:G4:6893113:6896164:-1 gene:PRUPE_4G125700 transcript:ONI11791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSKAKVTIGVEVTPMVARINGRPVLQPTCNRVPSLDRRNSIKKISTPRAPPPPPLPTSSASSTSPRISNKASSLLTPPISPKSKSPRPPAIKRGNDPNGLNSSSEKVVTPGGTTRAKILERKKSKSFKRASVGVDGASADLHHHGDFSAGGFSSSLNIEASLSYSSSLITEAPGSIAAVRREQMALQHAQRKMRIAHYGRSKSANFERVVPVDASGNIEAKGAEEEKRCSFITANSDPIYVAYHDEEWGVPVHDDKMLFELLVLSGAQVGSDWTSILKKRQDFRNAFSDFDAEIVANFTDKQMVSIGSEYGIDISRVRGVVDNSNRILEIKKEFGSFDKYIWGFVNQKPISPQYKLGYKIPVKTSKSESISKDMVRRGFRFVGPTVVHSFMQASGLTNDHLITCHRHLQCTLLAARRPTLEEVL >ONI12359 pep chromosome:Prunus_persica_NCBIv2:G4:9104744:9107679:-1 gene:PRUPE_4G158800 transcript:ONI12359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALNERDGAYKARVFLEKFHIFHFVVASRNSQQRWSKRRRTCGYNFLSLSRRFSTTEQCFYYSLPLGLYSAISERFRDIKMGSRVKGLLLMGGKIPNKKSNPPARVEDKISKLPNEVLCHILSFLPTKYAVRTSILSTRWKNVWAFVPNLDFKYVEAKSYFFNKKKDKSTSAGFFTFVDRVICLRGSADIKKFCLHCSCGSKDFAGIDGWIRTAIQHNVVELDLCFETTDKDLAFELPQFIFMCKTLVVLKLRSNCITNAPPASGCFPSLKILHVRVEYPVNDSMEKIFSCCPVLEDLTIDGLLTIDGLLGSDDVLNFKISAPELKTLRLILPHRKWVGDHDHQYCFLINCPKVENIDLKQDILSKYLFKNAKSLVKASLNLFSHAVADLQPDFSNRANALLAGISNVKYLSLSAHVWEACCVPAFDNLNELKLVLHDCYNWDLLTELLKRSPNLEHLFLEHENDEECICSDEEYSEDGSSKEEYSEDGCSNAEKWNTPDFVPICLVSHLKTVSIRGFQGHLHEREAAKYLLENGEVLRKMTIYTGDFLPAKEKIYKEFSMFQRGSRTCQVEFIEEAGSSFDNDYVY >ONI12331 pep chromosome:Prunus_persica_NCBIv2:G4:9025405:9033923:-1 gene:PRUPE_4G157700 transcript:ONI12331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQDLPGTLPVEMARLTYLKEIDLSRNYLNGTIPPEWGSLPLVNISLLANRLTGPIPKEIGNITTLKSLDITMNNLSGVLPWQLGNLRHIERMLLTSNNFTGELPDTFGNLTTLKDFRVGDSHFTGQIPHFIQNWTNLEKILIQASGLTGPIPSNISLLTKLTDLRITDLSGPEAPFPPLENMKSMKTLMLRSCNIIGRLPPYLGDMNSLTTLDLSFNKLTGEIPISFVALAKVDYIFLTGNLLTGPVPTWTKKEVDLSYNNFTIGDTGCQSQGGLNLFASSSKGNSSKTVSCFRTPKCPKTWYSLHINCGGKEVTVFGEKNTFNSDTDSVGHSSFRQSSTNWALSSTGYFTDDGLPQDTFIQTNKSILSMPNPQLYTEARLSPISLTYFGFCLGNGNYTVNLHFAETGFTNGKTYKSLGRRIFDVYIQGRLVQKDFNIVDKAGGISTAVIMNYTAVVTSGTLEIRFYWAGKGTTGIPLRGVYGPLISAISVDPDFVPPASGGSGISVGAVVGIVAGGVFIILLIFGILWRRGLLGQQNTLEDDLKGVDLQTGKFSFRQLKDATNNFDISNKIGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNELLLVYEYMENNSLARALFGPEQSQLKLDWPTRHKICVGIARGLAYLHEESRLKVVHRDIKSTNVLLDKNLTPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSYGILVLEIVSGRNNTTYRGKEKSFYLLDWAQLLKGQGNLMDLVDPRLGSDFNKEEMMLTINVALLCCNVTSTVRPTMSSVVSMLEGRAAVQELVSDPKASNFEIEAMRKHFQSSFGRNTGESQTQTASTEGPWTGSSTSAHDLYPVNPDSTYWDNRK >ONI12330 pep chromosome:Prunus_persica_NCBIv2:G4:9025405:9035945:-1 gene:PRUPE_4G157700 transcript:ONI12330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFFPRLFLHYLLVVCSANFAFGATRLPRDEVQTLADIAKTLGKTNWDFSGDADPCNNQKPWTDTNPVEGFEYSVTCNCSFASSTVCHITSIVMKAQDLPGTLPVEMARLTYLKEIDLSRNYLNGTIPPEWGSLPLVNISLLANRLTGPIPKEIGNITTLKSLDITMNNLSGVLPWQLGNLRHIERMLLTSNNFTGELPDTFGNLTTLKDFRVGDSHFTGQIPHFIQNWTNLEKILIQASGLTGPIPSNISLLTKLTDLRITDLSGPEAPFPPLENMKSMKTLMLRSCNIIGRLPPYLGDMNSLTTLDLSFNKLTGEIPISFVALAKVDYIFLTGNLLTGPVPTWTKKEVDLSYNNFTIGDTGCQSQGGLNLFASSSKGNSSKTVSCFRTPKCPKTWYSLHINCGGKEVTVFGEKNTFNSDTDSVGHSSFRQSSTNWALSSTGYFTDDGLPQDTFIQTNKSILSMPNPQLYTEARLSPISLTYFGFCLGNGNYTVNLHFAETGFTNGKTYKSLGRRIFDVYIQGRLVQKDFNIVDKAGGISTAVIMNYTAVVTSGTLEIRFYWAGKGTTGIPLRGVYGPLISAISVDPDFVPPASGGSGISVGAVVGIVAGGVFIILLIFGILWRRGLLGQQNTLEDDLKGVDLQTGKFSFRQLKDATNNFDISNKIGEGGFGSVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNELLLVYEYMENNSLARALFGPEQSQLKLDWPTRHKICVGIARGLAYLHEESRLKVVHRDIKSTNVLLDKNLTPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSYGILVLEIVSGRNNTTYRGKEKSFYLLDWAQLLKGQGNLMDLVDPRLGSDFNKEEMMLTINVALLCCNVTSTVRPTMSSVVSMLEGRAAVQELVSDPKASNFEIEAMRKHFQSSFGRNTGESQTQTASTEGPWTGSSTSAHDLYPVNPDSTYWDNRK >ONI12569 pep chromosome:Prunus_persica_NCBIv2:G4:10211359:10216454:-1 gene:PRUPE_4G172400 transcript:ONI12569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRNMLCPSQMIDLEMNQQGEGYLCPPPSIHFGGATNIHQPNIHTMITASGTSFDAQHLPERYDNAAFYGMAQYSGVQHHHNLDLGVTTPSNYYYSYMTPSSSSGVLPVPLNHGASDPLPSSSHYGVIGVSAEEYGRNSRIMDDVRGPCKRKNPEGLPGNFQYFNASATSSSSVPPSNIRNPDGVAVMDAVTFALPQYVGTGNLPIMEAGPQSSMRNRSATTGLDSAMTHDHNHLNQGNYVGQRFQPAGTLWLDQHLTINNGDGGASAWNQAPNIPFMHGSMDSANMGMQRYHDSSSNRSSTILRHPPPLNHRHHSQHVVAPPMQGLRGHNLNFPPQGATTASYRLPTSSSRSTMNPSQNGLEIGRRQPGLVPPNGFRIYRPHQGVTPETALRHQNLPHLRVLQADEGVIVDVPNFYSSFLDQHRDMRLDIEDMSYEELLALGEQIGHVSTGLSEERIKKQLKTRTYLSSSTNFNLEEAGCSDKEADSCIICQDNYKKREKIGTLHCGHEYHVDCLKKWLLVKNVCPICKSEALTTTGKKNV >ONI12570 pep chromosome:Prunus_persica_NCBIv2:G4:10211738:10214129:-1 gene:PRUPE_4G172400 transcript:ONI12570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRNMLCPSQMIDLEMNQQGEGYLCPPPSIHFGGATNIHQPNIHTMITASGTSFDAQHLPERYDNAAFYGMAQYSGVQHHHNLDLGVTTPSNYYYSYMTPSSSSGVLPVPLNHGASDPLPSSSHYGVIGVSAEEYGRNSRIMDDVRGPCKRKNPEGLPGNFQYFNASATSSSSVPPSNIRNPDGVAVMDAVTFALPQYVGTGNLPIMEAGPQSSMRNRSATTGLDSAMTHDHNHLNQGNYVGQRFQPAGTLWLDQHLTINNGDGGASAWNQAPNIPFMHGGNVTVGSMDSANMGMQRYHDSSSNRSSTILRHPPPLNHRHHSQHVVAPPMQGLRGHNLNFPPQGATTASYRLPTSSSRSTMNPSQNGLEIGRRQPGLVPPNGFRIYRPHQGVTPETALRHQNLPHLRVLQADEGVIVDVPNFYSSFLDQHRDMRLDIEDMSYEELLALGEQIGHVSTGLSEERIKKQLKTRTYLSSSTNFNLEEAGCSDKEADSCIICQDNYKKREKIGTLHCGHEYHVDCLKKWLLVKNVCPICKSEALTTTGKKNV >ONI12921 pep chromosome:Prunus_persica_NCBIv2:G4:11547314:11549254:1 gene:PRUPE_4G192000 transcript:ONI12921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIPCCDKNGLKRGPWTPEEDKKLIDYIQKHGHGRWRTLPKNAGLKRCGKSCRLRWANYLRPDIKRGRFSFEEEEIIIQLHSVLGNKWSAIASRLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHTPRLDLLELSSAICSTLYNSSSPHNPQINVPNLLGIGPIMDPDLLNLATTFLSCQQNQIGFSQINQTQLTQPSQIQNPVQEIQSCTTSNTLNVPFLSYPGSSNVAGQGFVPTTNQLGSYDSKYESMISHIPHQNYNQPCTSNNVNQYQNFQNMSSFGSVLSSTPSSSPATTLNSSPAITYINSSTEDERDSFCSNILMYHDIPNALNANKLLYQKNIIN >ONI09647 pep chromosome:Prunus_persica_NCBIv2:G4:150240:156329:-1 gene:PRUPE_4G001700 transcript:ONI09647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTTVFSPSPGALQLNHNHNRRIFSWGRGSVGVNSSTSNTWRQSLFVSAKPVLEDGVLSVNGKEVLTKVPENVVVTPLTNSSAFVGATSETATSRHVFKLGVIRDVRLLSLFRFKLWWMIPRVGSTGSDIPVETQMLLLQAKEGPDFDALKEAAPYILFLPVLDGEFRSSLQGNSSNELEFCVESGDPAIVTSQSPKAVFVNCGNHPFDLLKESMKILEKHFGTFSLRESKQMPGMLDWFGWCTWDAFYQGVNPQGIREGLESLSQGGTPAKFLIIDDGWQDTSNEFQIEGEPFVEGSQFGGRLNSIQENNKFRTTTNKEAESETPSGLKEFVSEIKANFGLKYVYVWHALLGYWGGLLPNALGTKKYNPKLRYPVQSPGNLANMRDLAMDCMEKYGVGAIDPAKVYQFYDDLHGYLVSQNVDGVKVDVQNILETISTGLGGRVSLTRQFQQALEKSIATHFQDNSIICCMGQSTDSIYHSKKSAITRASDDYYPENPTTQTLHVAAVAFNSIFLGEVVVPDWDMFYSRHDAAEFHAAARAVGGCGVYVSDKPGQHDFEILKRLVLPDGSILRARYPGRPSRDCLFVDPVMDGKSLLKIWNLNKCNGVIGIFNCQGAGKWPCVENIVEVKASAAELSGQVSPADIEYFEEVSGKHWTGDCAVYSFTKGCLSRLPKDKSFEVTLKLLQCDVFTVSPIKVYKQEIEFAAIGLLNMYNSGGAVEAIDCFGDESSCEIHIKGRGGAGSFGAYSSLKPKACSVNSIDEEEFEFRGEDNLLTVTLPPRTSCWNIILSY >ONI13204 pep chromosome:Prunus_persica_NCBIv2:G4:13083004:13084806:1 gene:PRUPE_4G209700 transcript:ONI13204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEREEHMVSPTGGNPFRKKAYFLIPTLPSSIDVPPFELPRCFSSSLPTHFEPKAWHLNVEFYGWRSSQEKWKTWVDQMAPVHHEKWKEAGIYEAIISSTYEIRKVANLGDGFAEKWCSETNTFIFPWGEATITMEDVMVLGGFSALGASVLSPLQTKQMKEVGEKLIEGQKEIYSSGKKAVTKSLWLKKFMNSGNEFEHEAFLAFWLSRYVLVGSRNSIQNSVFSVAIHLARGTRIALAPAVLASIYKDLSLLKKAIVGLKELDFTLKLKSPFHLVQVWAWERFSELRPENPNTINSSEPRMARWDKVNGVRVENLRRVLDSARENFLWRPYALVTDNWHFPKYYPPEEKWVLIGPDLDDELLSFVRCLRASELVGLYTIEHYLPHRVAMQFGYDQDLPCSVTRANRNSDTAWDYNKEIKNVKLYLPSRLVEADVTTKYLKWWKQSVSGLEDAREAAVPQKRGIMSSKCLLSRANHPSVPPGFPPKRKRMEAGDPIDEDEQSVSQLLKFRKKHENFGIIQGSDSEKLSSQAQHFASLIAQKSYVNTMKSDENIVNLVGDECASSSSLFQKRQLELGDRFERLRTKIDELKAHRLGNKV >ONI13676 pep chromosome:Prunus_persica_NCBIv2:G4:15452203:15453721:1 gene:PRUPE_4G237100 transcript:ONI13676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFAVCIPFPAQSHIKAMLKFAKLLHHRGFHITFVNTQFNHKRFLKTLGSNSLDGLPDFQFEAIPDSLPDSNEDTTQDVIKKIKLDHFQQRNYVEVSLKPCIIIELPLKDSSNKLFEEHPCRVHHCISLMKNKAMEWKTQAEEATNPHDSSSTNLDNLANQMQSRKI >ONI11927 pep chromosome:Prunus_persica_NCBIv2:G4:7458872:7461988:1 gene:PRUPE_4G135200 transcript:ONI11927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRMMKCFIMLIIFASRWTWHNATDTLKQGDTLNSTGSLVSSSGKFSLNFTLRTIHGSDSNFLSIMRIKREANRAWIANPDLPISSSSSPLLTLDTNNTLKIIHQGGDPFVLSTAPQTNDTSTSVVATLLDSGNFVLQEVSSVNRSTIRVFWQSFDHPLDTFLPGMKLGVTIEMATFGLFYHKRYNFSIVSNQNEDYLTYTSKNDPSDPEPEWVLFPEGYLSDYGAHVDNCIIEVRYCDGYNIVGGCVKRNRPSDCIEKFGDEFEIKKGYFKIINSTSINTSRPASWIGTDDIDCKANCWQDCDCLGFDLSFLNQTKDARCQFWNVDCEFIEDLTANTSFVLQPKSSHLPPQKKIAKINGAKIQNELLDFMKSKRPTHHVNGLQNDDGNIRPHDLIVFSYASVLAATKNFSEENKLGQGGFGPVYKGKLVTGREIAVKRLSKCSAQGALEFKNELILIYELQHTNLVQLFGFCIHGEERILIYEYMPNKSLDYFLFDSSRGGLLDWKKRFSIIEGITQGLLYLHKYSRTRIIHRDLKASNILLDESMNPKISDFGMARIFTHNEEEANTNRVMGTYGYMSPEYAMKGIFSIKSDVYSFGVLMLEIISGRRNNSFYNDDRMLNIVGYTWELWKEGAGLQLMDPTLGDSCNGDQLLRCIHVGLLCVEEHPADRPTMQDVVSMLTNENMSLPVPTKPAFCTERNVVTTGIAGKGPELVSINGLSISDLDGR >ONI13562 pep chromosome:Prunus_persica_NCBIv2:G4:14752384:14753976:-1 gene:PRUPE_4G230400 transcript:ONI13562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRNPPVLLFILSVLSLSIPWTTAGTVSIDRFLQCLTKYPHPAHTIQESIYTPQNSSFQSVLVAHINNRRYSTAATPKPLAIIAAKNESHVQATVLCAKHHGLQIKIRSGGHDFEGLSYTSDVPFVILDMFNINSIDVNVADKSAWVHSGATLGEVYYAIGTKTNVYGFPAGICPTVGAGGHFSGGGYGFLMRKYGLSVDNIVDARLVTANGRILDRKSMGEDLFWAIRGGGGASFGVILSWKLKLVPVPPKVTVFNLTKTIEEGVTDLVYKWQTLAPQLPKDVFLRAQPQVKNIDTKGNKTVGVSFIGHFLGTSDKVVALLNESFPELGLQRKDCYEVSWVESTVFWAESPIGTPIEILLSKPTEPETFYKGKSDYVKEPIPKHVFDSIWKKMIEIEHIWLDWNPYGGRMSEISESATPYPHRAGNLFFALYYSSWYDEGIEATNKYVRLTRELYDMMTPYVSKNPREAFQNYRDLDIGSNQDNKTNFETATLYGRKYFKGNFDRLVRVKTMVDPHNFFKHKQSIPPL >ONI14422 pep chromosome:Prunus_persica_NCBIv2:G4:23664383:23665947:-1 gene:PRUPE_4G280200 transcript:ONI14422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCKDRSWILFTEIQMLSSEVQTTCVIIQIQWSKPKMVTGYGLRFNQNPVYGQIVSSGLNLIFYWNMNPHWKFGWHIAIS >ONI12467 pep chromosome:Prunus_persica_NCBIv2:G4:9679944:9680980:-1 gene:PRUPE_4G166700 transcript:ONI12467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIANYNNTNTCYGYGSQYQQILTEHEELRRKRKVNKSDQIQELLFKNRRKIHNEKMKLVCGSCYLPKDNPDKPLGEDAHFICHNGQTIGVADGVGGWAKIGVDAGVYARGLMNNANQTAMDMTRAAAAEVNPRNVLNQAYANNAGVQGSSTACILSLNKERGALHAVNVGDSGFMVFRDSRCLYKSPPQQRMFNCPYQLGNYVGYDRPKAALEFVMEAVPGDIIVLGTDGLLDNMFPSEIEDVLVAYRGSGRDCKELASAIANLALFNSLDKYSVSPFQMEAQKAGLEHAGGKIDDITVVVAQVVASSSFTTPASLGFGFERTDTKRKREDYIRFRN >ONI11720 pep chromosome:Prunus_persica_NCBIv2:G4:6662197:6665113:1 gene:PRUPE_4G122800 transcript:ONI11720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSLGGKINPSLLSLKHLSYLDLSRNDFQGIPIPTFFGQLKSLRYLNISRASFGGEIPAHLGNLSNLNYLDLSEESYYSLLELPSNNLKWLSNLSSLKYLSLEGVDLSNTGVSLVIAFNKFPSLLELHLPECHIKSLPFSLGNVNFTSLLFLDMSYNDLKFPLPEWFFYLTSLRKLDLSGNFLGGPVPSEFQSLKSLEALDLSFNDLSGQIPKIFGNFCNLKTLNLANNQFEGGIQELLGGLSSCPNSELESLDLSSNKLKSQLPASVGMLHNLKYLNLYNNDMSGSIPKSLGQLSELVHLDLSLNSWEGFLTEAHFTNLTRLKYFSLGKVLPRPTLPIPLIFNVPYEWVPPFKLHKINIGNCKVGPAFGAWLQSQTELVFVKLSTTGISDSIPEDWFMKLSSQVEYLDLYSNQIRGKLPLQLKFPNALLLDLSHNQFDGPIPLWSGDNVVRFKLETNSLSGTIPLNFDQKFPKLETFYLAENHLHGIIPPSICNMKHLYILSLRNNKLSGEFPQAWSLLPQVTIVDVAYNNLSGNLPSSMGDSGSLFMLKMNNNNFEGEIPFSLQTCTALRNIDLGNNRFTGEIPPWIGSTAFLVSTLRLRSNFLSGHIPQQLCNLGYLHILDLAHNRFSGTIPKCLNNLTGLRIFNNSFYNIYLEYDQQTTVMRGRELQLNTSLSYVKNIDLSSNRFEGEIPQEICSLVLLRNLNLSMNQFSGNIPSKIGNLSQLDTLDLSLNHLSGQIPQSLSSLTFLSNLNFSYNNLSGEIPLGNQLQALPDSSIYEGNPFLCGFPLSTKCSEDGNSTPKDPKDNDNEDGNEKFWFYVSTALGFIVGFWGVFGTLIVKKSWRYAYFRWFDYIKDKATLCVRRLVCQE >ONI14531 pep chromosome:Prunus_persica_NCBIv2:G4:24906868:24915765:-1 gene:PRUPE_4G285700 transcript:ONI14531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKKTRSSSVESAGWDVNRQFDQNQNLNSRLKTNHVSVTLPPPMDIHLQKKHKAEEEPPPPPPPQQQQEEEQFSEKNDNQNPLFYESDDDFYDGIPRYPTSFSHKSGSVRSKQAAVAKVSEVSSRLGRAGTLGLGKAVQVLDTLGSSMTNLNSGTGFVAAAPAKGNEIAIISFEIANTIVKGSNLMQSLSKRSIRHLKEVVLPSEGVQHLVSKDMDELLRIVAADKREELQIFSGEVVRFGNRCKDPQWHNLDRYFDKQLTPQKHLTDDANSIIQQLMTLVQCTAELYHEFHSLDRFEQDYDRKCQEEDNSLSTQRGDLRAELKSQRKVVKTLKKKSLWSKSLEEVIEKLVDIVHFLHLEIHAAFGSADGHKPVEGPMNSYQRLGPAGLSLHYANIVLQIDSLVARSSSMPPNTRDTLYQSLPPNIKSSLRSKLQSFHVKEELTIAEIKAEMEKTLHWLVPVATNTAKAHHGFGWVGEWASSGSGANRKAALQTDVIRIETFHHANKEKTEVCILKLVLWLHHLACKSKSAANNGDMRSPVKSTAGTPLPLANKQPKDLPINVQSSVLTTEDQEMLQDVSKRRRIPGISKSQDFDTVNSSLTEHNRLSKSNSYSPRRGSRKLLPFSRLSSGVPVIDFGIDKEKALDVIDRVDSHR >ONI14532 pep chromosome:Prunus_persica_NCBIv2:G4:24906868:24915824:-1 gene:PRUPE_4G285700 transcript:ONI14532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKKTRSSSVESAGWDVNRQFDQNQNLNSRLKTNHVSVTLPPPMDIHLQKKHKAEEEPPPPPPPQQQQEEEQFSEKNDNQNPLFYESDDDFYDGIPRYPTSFSHKSGSVRSKQAAVAKVSEVSSRLGRAGTLGLGKAVQVLDTLGSSMTNLNSGTGFVAAAPAKGNEIAIISFEIANTIVKGSNLMQSLSKRSIRHLKEVVLPSEGVQHLVSKDMDELLRIVAADKREELQIFSGEVVRFGNRCKDPQWHNLDRYFDKISRQLTPQKHLTDDANSIIQQLMTLVQCTAELYHEFHSLDRFEQDYDRKCQEEDNSLSTQRGDLRAELKSQRKVVKTLKKKSLWSKSLEEVIEKLVDIVHFLHLEIHAAFGSADGHKPVEGPMNSYQRLGPAGLSLHYANIVLQIDSLVARSSSMPPNTRDTLYQSLPPNIKSSLRSKLQSFHVKEELTIAEIKAEMEKTLHWLVPVATNTAKAHHGFGWVGEWASSGSGANRKAALQTDVIRIETFHHANKEKTEVCILKLVLWLHHLACKSKSAANNGDMRSPVKSTAGTPLPLANKQPKDLPINVQSSVLTTEDQEMLQDVSKRRRIPGISKSQDFDTVNSSLTEHNRLSKSNSYSPRRGSRKLLPFSRLSSGVPVIDFGIDKEKALDVIDRVDSHR >ONI14533 pep chromosome:Prunus_persica_NCBIv2:G4:24908251:24915448:-1 gene:PRUPE_4G285700 transcript:ONI14533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKKTRSSSVESAGWDVNRQFDQNQNLNSRLKTNHVSVTLPPPMDIHLQKKHKAEEEPPPPPPPQQQQEEEQFSEKNDNQNPLFYESDDDFYDGIPRYPTSFSHKSGSVRSKQAAVAKVSEVSSRLGRAGTLGLGKAVQVLDTLGSSMTNLNSGTGFVAAAPAKGNEIAIISFEIANTIVKGSNLMQSLSKRSIRHLKEVVLPSEGVQHLVSKDMDELLRIVAADKREELQIFSGEVVRFGNRCKDPQWHNLDRYFDKISRQLTPQKHLTDDANSIIQQLMTLVQCTAELYHEFHSLDRFEQDYDRKCQEEDNSLSTQRGDLRAELKSQRKVVKTLKKKSLWSKSLEEVIEKLVDIVHFLHLEIHAAFGSADGHKPVEGPMNSYQRLGPAGLSLHYANIVLQIDSLVARSSSMPPNTRDTLYQSLPPNIKSSLRSKLQSFHVKEELTIAEIKAEMEKTLHWLVPVATNTAKAHHGFGWVGEWASSG >ONI13667 pep chromosome:Prunus_persica_NCBIv2:G4:15303177:15304170:-1 gene:PRUPE_4G236200 transcript:ONI13667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHNGGFYLVNPATRESKKLAEILDVGKRWNYVSVYTLKTGSWRMIQRRYPYKFDRMQKGVLLNGALHWLLMDRVGVEHRSSVIISFNLAEENVREIPLPLASIDTRDYIVGAFRDCLCLIHSGADGGMHNEFWIMKEYGVRESWTKIRSPIPYSALRHSGFWKKSHDLLVFRDRLLLCNSNGPRFRNISISGLPEVKEVGIYLESLVYLNNNVD >ONI11848 pep chromosome:Prunus_persica_NCBIv2:G4:7141288:7143042:-1 gene:PRUPE_4G129500 transcript:ONI11848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPILALTSSLSSLSFSSHVAQNPSVVSFPKSRSVSCGTLTSTPPPIIASASVAPPEALQSADLKKYVKSRLPGGFAAQTIIGTGRRKCAIARVVLQEGTGKIIINYRDAKEYLQGNPLWLQYLKVPLGTLGYESSYDVFVKAHGGGLSGQAQAISLGIARALLKVSEDHRAPLRKEGLLTRDSRVVERKKAGLKKARKAPQFSKR >ONI14056 pep chromosome:Prunus_persica_NCBIv2:G4:18612019:18613846:-1 gene:PRUPE_4G259400 transcript:ONI14056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLKVWVTILMTPCANFLGRKWNLLDLVTAGMFLSVHCLALFAPFYFTWTAFLVAFWLAVVTGFGITLSYHRNLAHRSFRVPKLLEYLFAYCGVLALEGSPIEWVSTHRYHHQFTDTEKDAHSPLKGFWYSHMGWILDSSSRFGRYGGLKNVEDMKKQPFYVFLHHTFMLHSFVLAGILYAVGGLPFLVWGVGVRMVVVFHITLFVNSAGHTWGYQTWNTGDLSRNLWWLGLVALGEGWHNNHHAFEYSARQGLEWWQIDLTWYIIKFLEALGLATDVKVPSEAHRKRMALKTE >ONI12295 pep chromosome:Prunus_persica_NCBIv2:G4:8944006:8945130:-1 gene:PRUPE_4G156000 transcript:ONI12295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYFPEEVIHEILLRLPIKSLIKFRAVCKSWWSLIKSSTFIHTHFSRCTAQSSNQNDGHLLLLNALPEKVDAACQLGELFSLHWDNPEFGESHFFAANFATIIWNPSVRKFMFLPRPRIPFPTDYIRKSMIASYAFGYDSRTDDYKVLRIVSGLLSEAPCEVEVWSLSSGAWKTLSAAVIPADFNPAGISLRYRQVFVNGITHWQQSLKNKGNCIVQFDMVNEVFGKITGSNAITCIHMWVMKEFGVPESWTKLMSICLEGTLIAPFGFRSCELVFRMYGGMLLSVDPKTKQVKEFKTDGYRYHFMDSFVESLVLLGQPNAISY >ONI11247 pep chromosome:Prunus_persica_NCBIv2:G4:4803599:4804391:-1 gene:PRUPE_4G095500 transcript:ONI11247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQMLLLTTLLAVTCALVIAFEPSPLQDFCVADTTSSATRVNGLPCLDSKLAQAEHFFFSGLHIPGNTSNPVGGKVTPVNVVQIPGLNTLGISLARIDYAPWGVIPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLISKVLYKGDVFVFPVGLIHFQQNVGNGNAISLSSLSSQNPGVNTIANAVFGANPSIPDDVLAKSFQVDKSVISSLQAKF >ONI10152 pep chromosome:Prunus_persica_NCBIv2:G4:1427558:1429125:-1 gene:PRUPE_4G030800 transcript:ONI10152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYSSSHYETDDHGEYYLTPYADDLSAPLELDQGPTISYSSYEPSFQNFLEYDPTPYFHAFHSYSASPCCSKPISIEYNPKFYEQSYDTQFLISYSVSEFNEPDFEEYDPTPYDGGFDIAQVYGKPLSPSDETCYPLNGGSVSVTPLGGNKEQINEQAAKPINGSQPIPAIEEEQMQHQESREDQPSQESTDQGKPDHQVEEVEESKGSDHEHNLGSLSHGYEKQAHQIPSGYGLEAMDICESLFGYWPCLSRDFKRGNDTGQGFSGEGRYGNPWEGTADYLFGSSNPYGERRDEESYYGGSVYAYERHYLEQPQNRQG >ONI11839 pep chromosome:Prunus_persica_NCBIv2:G4:7089282:7091973:1 gene:PRUPE_4G128700 transcript:ONI11839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAKSGVLTTVVDDFTNVGGSEEELVNLIQLIEKWDVNVNVDCCSEHVEIIFSALKNTINELGVKTRQGRSVTSDIIETWLDLLESGLKEAEWLRNKSVPTMEEYMTNGYISIGIGPIVLPALYFVGHDLSEEVVGSSELHELYSLMSTCGRLLNDIQGFKRDSAEGKLNALSLTMIHGNGVVTEEEAINEINSIIKNDGFSAHEVMQSVNAVTEEPIILSELRVKSPTYVGATSIKEKSRSPVLSSLHINHLGERRPNESLGVRFYHKRPQCN >ONI12919 pep chromosome:Prunus_persica_NCBIv2:G4:11525971:11531164:-1 gene:PRUPE_4G191900 transcript:ONI12919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDMKSEDKLRDFYVPNYMLVSGSDMPKVKIVPSCPVMVFVNAKSGGQIGGELLLTYRSLLNENQVIDLGEKAPDKVLHTLYVTLETLKSSGDVLAAEIQKRLRIIVAGGDGTVGWLLSVVSDLKLPHPPPIAPVPLGTGNNIHFAFGWGKKNPFIDRQSVLTFLNQVKTAKEMNIDSWHIIMRMRSPTEGSCDPIAPLELPHSLHAFHRVSQTDTLNMEGYQTFRGGFWNYFSMGMDAQISYDFHAQRKLHAEKFKNQLVNQGTYLKLGCTQGWFCASLSHPSSRNIAHQTKIKIMKRQGQWEDLLIPRSIRSIVCLNLPSFSGGLDPWGKPNTKKVQYRDLTPPYVDDGLIEIVGFRDAWHGLILLAPKGHGTRLAQANRIRFEFCKGAAEHTFMRIDGEPWKQPLPADDDTVVVEISHFGQVNMLATPLNRSKSVNDPMSPVSSHDEDDDSNDEEYEDAEDTEEKRKFGASDTFKFPDGVDIARLT >ONI12920 pep chromosome:Prunus_persica_NCBIv2:G4:11525968:11531848:-1 gene:PRUPE_4G191900 transcript:ONI12920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDMKSEDKLRDFYVPNYMLVSGSDMPKVKIVPSCPVMVFVNAKSGGQIGGELLLTYRSLLNENQVIDLGEKAPDKVLHTLYVTLETLKSSGDVLAAEIQKRLRIIVAGGDGTVGWLLSVVSDLKLPHPPPIAPVPLGTGNNIHFAFGWGKKNPFIDRQSVLTFLNQVKTAKEMNIDSWHIIMRMRSPTEGSCDPIAPLELPHSLHAFHRVSQTDTLNMEGYQTFRGGFWNYFSMGMDAQISYDFHAQRKLHAEKFKNQLVNQGTYLKLGCTQGWFCASLSHPSSRNIAHQTKIKIMKRQGQWEDLLIPRSIRSIVCLNLPSFSGGLDPWGKPNTKKVQYRDLTPPYVDDGLIEIVGFRDAWHGLILLAPKGHGTRLAQANRIRFEFCKGAAEHTFMRIDGEPWKQPLPADDDTVVVEISHFGQVNMLATPLNRSKSVNDPMSPVSSHDEDDDSNDEEYEDAEDTEEKRKFGASDTFKFPDGVDIARLT >ONI12918 pep chromosome:Prunus_persica_NCBIv2:G4:11525973:11531806:-1 gene:PRUPE_4G191900 transcript:ONI12918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLNRISVRSGNRLASHIHSFLAKSWDVMVGDMKSEDKLRDFYVPNYMLVSGSDMPKVKIVPSCPVMVFVNAKSGGQIGGELLLTYRSLLNENQVIDLGEKAPDKVLHTLYVTLETLKSSGDVLAAEIQKRLRIIVAGGDGTVGWLLSVVSDLKLPHPPPIAPVPLGTGNNIHFAFGWGKKNPFIDRQSVLTFLNQVKTAKEMNIDSWHIIMRMRSPTEGSCDPIAPLELPHSLHAFHRVSQTDTLNMEGYQTFRGGFWNYFSMGMDAQISYDFHAQRKLHAEKFKNQLVNQGTYLKLGCTQGWFCASLSHPSSRNIAHQTKIKIMKRQGQWEDLLIPRSIRSIVCLNLPSFSGGLDPWGKPNTKKVQYRDLTPPYVDDGLIEIVGFRDAWHGLILLAPKGHGTRLAQANRIRFEFCKGAAEHTFMRIDGEPWKQPLPADDDTVVVEISHFGQVNMLATPLNRSKSVNDPMSPVSSHDEDDDSNDEEYEDAEDTEEKRKFGASDTFKFPDGVDIARLT >ONI10189 pep chromosome:Prunus_persica_NCBIv2:G4:1563005:1564645:1 gene:PRUPE_4G033600 transcript:ONI10189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKCELCDSVAKMYCESDQASLCWECDIKVHAANFLVAKHSRTLLCHVCQSPTPWTGSGLNLGPTVSVCEKCVHTSNQEPRNEENDEQEEDDDHDHDHDDRDDGENNPEEEDDGDDDDGDRDDDDEEENQVVPWSPPPVSSSSLSSSEECFSESLSKSTTAFSCKRMRSCNSHSNFQNEEGLNWDTRTRREPR >ONI13473 pep chromosome:Prunus_persica_NCBIv2:G4:14101316:14102178:-1 gene:PRUPE_4G224300 transcript:ONI13473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVFISDPCPNQTVNYSGYEIEDMNESLVIQLIFGFSIIQEQLLTLQE >ONI10676 pep chromosome:Prunus_persica_NCBIv2:G4:2935862:2943444:-1 gene:PRUPE_4G061600 transcript:ONI10676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRFQVRNEFSLGQPQLYKEVNREDPKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQEQVMTTASRSQKLMVRVQHIEAALPPLEKAVLAQTSHIHFAYTSGLEWHPRIRNEKNHFIYNDLPRFIMDSYEECQDPPRLHLLDKFDTGGPGSCLKRYSDPTFFKKASANPDEANVEQVRRSRKGQRSKKKRGSQRNGDVLRGASVSNRSSRMQYIPPIANGRSSSSPTASTADMALKSDLGDNSISFDSKTESEYIEYAAHPSSSLLAEEQESKESPSSKSVQNDALNSVLPDDQTGFVDNSPGSSLQDQVTSGSSGVNWDEKVEIVDPKGQQNCIDETTEMLLTEDDLDANEGGAGSFRIVEQMDVLFDDENILEPSRNQIDEIESEPDNFMDALNTIESESENDLDCQTKREVERFASVVNNKGPDGVHEITMDCSDHQTPTLESHTATSYVSSEEETPTDLSNSTSPECPAHKHMPQIATELSNSDHIVETNRTDIFDCSRFESVSGDSTSSGSGTTNAQDKTISSLCEAPQSPADVSRNNSTSFGSGTTNEQDNLISSLCEAQESPADISRNNTTSFGSGTTNEQDKIISSLCEAQESPADICRNNTTSCGSGISNAKDKIISGLCESQESLGDISRNNSTSCGSGTANAKDKIISGLCESQESLADISRTNSINFWTNGGLLGLQPSKPPDFTMSSPITQDSAYRSTETVGVSNHAYTLIADEHEAENAGCKEMSSDYQEDGISPKEISKGFSSTELYPKLGNIGDSPKSNVFSHCMEDGLKKTNTMEPGTLLPVAPCRKSTSNEANQENDENSSLVFGLGRRLLVNGFGRKVPHSHDEKSEPASYSNAGVLDQRNEHHRVEHQAFPDTSFKENFEHGFAVESPPSSPPLEHMKISFHPMNGIETSILKLKLSDGSQSHGSVKEMFQSFQLVPEPSIPLHEFGSDSDDDTFCRSSPYISDDCLSHLSESNSEQWESSENLECKNHDLYDALCGIASAERISTSLEVGGISHNATYGDGGIQSVHTDNGLEHSLSDPLLDLPSLDALEPVLQQEAKDDSVPKDLHGLKCSGDSTPGPPPLPPVEWRVSKPTLNVTDEKQDVSEGFKHVFDTQILGPLTLQQPKPAPAQQQQINEESISIKPKCKEDQHVNGQKEADQALNGKGIDEKEDFLQQIRAKSFNLRRTVPAKPTITPVSATNVKVTAILEKANAIRQAVGSDEGEDDDTWSDA >ONI10677 pep chromosome:Prunus_persica_NCBIv2:G4:2936260:2941029:-1 gene:PRUPE_4G061600 transcript:ONI10677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEECQDPPRLHLLDKFDTGGPGSCLKRYSDPTFFKKASANPDEANVEQVRRSRKGQRSKKKRGSQRNGDVLRGASVSNRSSRMQYIPPIANGRSSSSPTASTADMALKSDLGDNSISFDSKTESEYIEYAAHPSSSLLAEEQESKESPSSKSVQNDALNSVLPDDQTGFVDNSPGSSLQDQVTSGSSGVNWDEKVEIVDPKGQQNCIDETTEMLLTEDDLDANEGGAGSFRIVEQMDVLFDDENILEPSRNQIDEIESEPDNFMDALNTIESESENDLDCQTKREVERFASVVNNKGPDGVHEITMDCSDHQTPTLESHTATSYVSSEEETPTDLSNSTSPECPAHKHMPQIATELSNSDHIVETNRTDIFDCSRFESVSGDSTSSGSGTTNAQDKTISSLCEAPQSPADVSRNNSTSFGSGTTNEQDNLISSLCEAQESPADISRNNTTSFGSGTTNEQDKIISSLCEAQESPADICRNNTTSCGSGISNAKDKIISGLCESQESLGDISRNNSTSCGSGTANAKDKIISGLCESQESLADISRTNSINFWTNGGLLGLQPSKPPDFTMSSPITQDSAYRSTETVGVSNHAYTLIADEHEAENAGCKEMSSDYQEDGISPKEISKGFSSTELYPKLGNIGDSPKSNVFSHCMEDGLKKTNTMEPGTLLPVAPCRKSTSNEANQENDENSSLVFGLGRRLLVNGFGRKVPHSHDEKSEPASYSNAGVLDQRNEHHRVEHQAFPDTSFKENFEHGFAVESPPSSPPLEHMKISFHPMNGIETSILKLKLSDGSQSHGSVKEMFQSFQLVPEPSIPLHEFGSDSDDDTFCRSSPYISDDCLSHLSESNSEQWESSENLECKNHDLYDALCGIASAERISTSLEVGGISHNATYGDGGIQSVHTDNGLEHSLSDPLLDLPSLDALEPVLQQEAKDDSVPKDLHGLKCSGDSTPGPPPLPPVEWRVSKPTLNVTDEKQDVSEGFKHVFDTQILGPLTLQQPKPAPAQQQQINEESISIKPKCKEDQHVNGQKEADQALNGKGIDEKEDFLQQIRAKSFNLRRTVPAKPTITPVSATNVKVTAILEKANAIRQAVGSDEGEDDDTWSDA >ONI11498 pep chromosome:Prunus_persica_NCBIv2:G4:5730642:5735248:1 gene:PRUPE_4G109400 transcript:ONI11498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQVLFFVSLTPLLLYFYIKHVPFMFLEEDIKWIRRESRLLDAIAEDAAKVMHLRDHIGRIQQQILDPRIYSVGVPIKGLDQSEVAWLTKTISVVRKAKDLFKTFEKQRRDSHMITFVAMTTKNFMDIEGLFIETKRVKDDINETISMKKVHGINICESLERSRSIIRSLLERPIYEHDQEQRVDFKGRIASVAALTSSIVNTINRLTENPALLRKSIGEQIDSIKLQLLLLHPFLKEIEGLPFESEIEEAWVKEVEEVIQEADLAIKNFSERPANRISSWKARRKLEGDLRCIGTGFAELLKRKERYGFEFIRRDSSKFVYQSPHHNTSDDKIITSLVDRMYSYLNHKSDALGEVFYEVTSLCNQLKGMYKLVDDAGMVGSRFNSRVAWLKQMRIIVQSADKCVQAFIDDSCIIRRSNHLFKTRSGIKLCREIDRIQHTISLVVRSIKAYGIELREESSSVVGLEEDIQALVSQLTTNNEQHSVISILGIEGIGKTTLANKIFDHGAVSRHFTRRVWISLPWKSNVPWVQEVHDLVDEERYLLVLDNISTVDELNTLRAGFIPVTSSGSRILFTTRHSDLAKKFNNTPHQLRLRTKEESWKLFTQMVHFSPKEEMLAKEILGKCGGFPLAILRVGYLMSGEDVTAVEELEEMLEDIIENDPPSLQTYTIDSIKHLLPEHLSKCLSYLKLFPLDFEIPARRLIALWLAEGLVQVHGNNTITSEDVAEKYLSQLIGLGMIQIVERKLNGEVKTCRLPYSLPVRSLEATSSNHRVALRFKRNDLPITVGESLSKVASPLLSILSFDTREGNKPGEEIGNFLHKVIADGCFRPLQVLDLERVFRPEFPKAIGKLSNLKYLGLRWTYLQSIPIFIGDLLKLQTLDVKHTNVRALPSSIWKLQKLRHLYLNQSCRSKFVYQQGGNSLKNLQTLWGVFVDKDSPLKDGLDKLISLRKLGLAFQLGKIKQELIAEWIGKLKHLKSLRVRSVGETDESSYIIFMPLSGLINLSSLNLIGKLAPPIVAEFPKNLTDLTLSASLLPDDPMPELEKLSNLKLLCFYSNSYTGNKMLCSAGGFPRLVVLKLWNLEKLEVWHVEEKAMQSLRELEIRSCTNLKVPSGLRHLKSLIELKLTNMPEGFTATIAQTKAQLWDDLKHSPAITAVNWHPMLRKS >ONI13687 pep chromosome:Prunus_persica_NCBIv2:G4:15592949:15593524:-1 gene:PRUPE_4G238200 transcript:ONI13687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNPRVMNMAQNEVREVFNRKGQVDETCIREMKYLNLVIKETLRLHPPAPLLLPRECGEKCEIDGYEIPVKSKVIVNAWAIGRDPNYWNEPESFNPNRFLDNSIDYKGTNFEYIPFGAGRRMCPGVSFGLANVELPLALLLYHFDWKLPNGMKHEDLDMTEAFGATVKRKDDLHLIPIPYHPPSTEKSQV >ONI12802 pep chromosome:Prunus_persica_NCBIv2:G4:10908415:10909714:1 gene:PRUPE_4G184100 transcript:ONI12802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGLFSSPAHPSSSIKFTYPHQNQRRDIDIFQHSLLPHQINLSLQNQRRDIDILEKRSNSRKTTKLQEERNPIKTREF >ONI12691 pep chromosome:Prunus_persica_NCBIv2:G4:10621898:10622773:1 gene:PRUPE_4G179200 transcript:ONI12691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSISYKLQLTELILALLPFLSVHIRNQMEQTPKAFPVCLSCPPRLSYLHVSTCSLICAFQLAFNALFSFILNSQKFTPYVSTLSSCSPSPLSSLCFKATPTLQTQPEKVIRKETFTAVMDVIVCQVASFAILVGRLVSGEWKVWLLFAICGVGLIFEASSLFSNVVGTLGLPVVPVLPVIFFHDKMDRIKVVAMVLAIWGFVYLYQHYLDDHMTAENENAICER >ONI10662 pep chromosome:Prunus_persica_NCBIv2:G4:2888449:2890633:1 gene:PRUPE_4G060500 transcript:ONI10662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPICNSCKGKTFIRDDITNNLACSTCGRLQEYDNLVDQYGGINGPTGTFVSVGTTGSGNVYSYKETKIYEARKLIDDVSLRLGFSPSESDDVKRMVATITEGEYGQGNWFNVFVGACSYVGMRRDNKSLPISEVASVIGSDAYELGRMIMRVVNFLDLKKPNFPEFDIVNLFERTLRNSHSFADVEGDKVQRMKKQGIFLIHCAMKWFLTTGRRPLPIVAAVLVLVAELNGISIRIEELAKEIHAVVHTSKLRYRELLQALVKVAQALPWGKDITVKNVVKNAPFVIQYMERKAMSKLSKKKDSEGGVGLDLGDVVRDCLRKDIGDGTDMMSFEDDSETEMEKLKLAHQSRYFEAEDGGCALSVDNVDKLKLSHECLSMMYSKFLDEVGNVKSSGGLKEVRERKKRRGFELHACTEWWNGKSALSRRLLLKEILEKDVGLDVVPPSFVNGCVTVKKRREKINAAKLRIDKIMHPLNAHSGDSIDTCTSEDVHTTKKRKRARGIDWEDLIIETLLLHRVKEEEIEKGWYNVLLELHVFNSGIV >ONI12221 pep chromosome:Prunus_persica_NCBIv2:G4:8708122:8714368:-1 gene:PRUPE_4G152200 transcript:ONI12221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEVSIIHHVGIVLSVLWLLSHFNCYHPVAYFASLIYLYLVHEQYVMRLRKKLQFEERKQANQRRKQAVVQHLYLGRNAPMLTDMRVVRQSTDDDHLVLELGLNFLTADDMIAILAVKLRKRLGFGMWAKLHITGMHIEGKVLIGVKFLRRWPFLGRVRLCFVEPPYFQMTVKPIFTHGLDVTVLPGIAGWLEKLLSIAFEQTLVEPNMLVVDMEKFVSPKSESWFSVNEKEPLAHAKVEVIEASDMKASDMNGFSDPYVKGQLGLYRFRTKIQKKTLAPKWREEFRIPIITWESPNILAIEVRDKDRFVDDALGECFINIADLRDGQRHDMWLPLENIKTGRLHLAVTVIEDNVKGDDHPVVQEKVDVEAKRNSFASDANKSSFSSASSEKSHRVADNFEPIDVEGQKETGIWVHHPGSEVSQTWESRKGKGRLLDNEIQNDAGSYNSVISATQNNDDSGTDRNPGEKRRMKSVRKGLNRICSVFHRNSKKDLSSDSFKESVRSAHINLRAVHEKEIGVKFIVEDDLSGPLSSKHGEKSTRNIKHSTGKDSGKSQTGSSAVTEGNFLEDFYSSDDDPLPKQIVLRETAVDAEGQKKKVVLKSF >ONI12222 pep chromosome:Prunus_persica_NCBIv2:G4:8708122:8714367:-1 gene:PRUPE_4G152200 transcript:ONI12222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEVSIIHHVGIVLSVLWLLSHFNCYHPVAYFASLIYLYLVHEQYVMRLRKKLQFEERKQANQRRVLSDSETLRWLNHAIEKIWPICMEQIASQKILLPIIPWFLEKYKPWTAKQAVVQHLYLGRNAPMLTDMRVVRQSTDDDHLVLELGLNFLTADDMIAILAVKLRKRLGFGMWAKLHITGMHIEGKVLIGVKFLRRWPFLGRVRLCFVEPPYFQMTVKPIFTHGLDVTVLPGIAGWLEKLLSIAFEQTLVEPNMLVVDMEKFVSPKSESWFSVNEKEPLAHAKVEVIEASDMKASDMNGFSDPYVKGQLGLYRFRTKIQKKTLAPKWREEFRIPIITWESPNILAIEVRDKDRFVDDALGECFINIADLRDGQRHDMWLPLENIKTGRLHLAVTVIEDNVKGDDHPVVQEKVDVEAKRNSFASDANKSSFSSASSEKSHRVADNFEPIDVEGQKETGIWVHHPGSEVSQTWESRKGKGRLLDNEIQNDAGSYNSVISATQNNDDSGTDRNPGEKRRMKSVRKGLNRICSVFHRNSKKDLSSDSFKESVRSAHINLRAVHEKEIGVKFIVEDDLSGPLSSKHGEKSTRNIKHSTGKDSGKSQTGSSAVTEGNFLEDFYSSDDDPLPKQIVLRETAVDAEGQKKKVVLKSF >ONI12249 pep chromosome:Prunus_persica_NCBIv2:G4:8803185:8804066:-1 gene:PRUPE_4G153700 transcript:ONI12249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYQVPVGYRFRPSEEELLLHYLLPRVNGNNYPKGVVPDCDLYGAKEPWEIWRDFHSSPDDQEDIYVFTTLKKKTPNGSRFCRTVGTAGTGVWKGEDSGKKIRACGNDIGIRKRFRYMNPGSPHDDRWIMLEFQLDESLVRVPNKETIVLCLVRKKETLGKSKLEERQNHEVDMASRGQIQAQLVHDDQQQHIDSSTYDQLFLEDYLMNDVEGEHSTMVNPSLLAQYDQQDMIGTSTEDQLRVLEDFLMNDVEGEQDTMVNPALLAQYGQQGTSIEDQLRLFEDYLMSDIEG >ONI10646 pep chromosome:Prunus_persica_NCBIv2:G4:2840809:2842211:1 gene:PRUPE_4G059500 transcript:ONI10646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITAMSLSPCLSSRGIQQASTPSASLTFLTGSRTSKSSVSLNAATPRSSLLHCSFVPSSSLSFPSSFSGLSLGLDLSSSIGVGRRRSSGLVVKAGKAALCLTKRNRSRKSLARTHGFRRRMRTTGGRAMLKRRRAKGRKILCTKTNPNSGKRA >ONI13206 pep chromosome:Prunus_persica_NCBIv2:G4:13094733:13095917:-1 gene:PRUPE_4G209900 transcript:ONI13206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTRNRKRKQLGDGVAPFEFPFQTQKIKRVSGVFETQQNLAVEKKPTTSLPLRKGLSPKQIKTIPLASSPRKHRKSEELGFDGLCQTQKIKRQKLGFEFDCSEILGCLMNLRHLSRYFEKPVVDPVYFHDIWRPMDFGTVKSKLERGVYSSPDGFAADVRLTLSNALRYYPPGRIERAAAKHLSGVFESKWKEALEKNPKSVCPSPLPEVKGLAVLPKLKQGKSSSPSSVLQSQGLGVSDSQSVDSTKHDELATLVDNAMDQASENLSQCKAVRIHALKLRFSGTISKANKILKGIPDSPPRRKSMQRMKQRESARHAISNMKKSVQFEDPLQDLKQLEMLCGCGSEDPFLQVRLGMPLKKLGVFLREDDELQGQDEEAFLNGDREEGEICS >ONI13896 pep chromosome:Prunus_persica_NCBIv2:G4:17354582:17356681:-1 gene:PRUPE_4G253400 transcript:ONI13896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVVDLLAVQRRRFVFVDDVVYFNNDGDLASWFMREKMTVDSTTIEKPNTRRYLHDVLKQENMCSMVGFIDPATVSDNSGTIADRSRLVAARLQRTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLSGNRVVDEEVKNIVNSAIKIYNSRIARSGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLAFEKKVRRNYLHTFYVVFCIINDGHVKLPSLNRYTTSVMKNIVVCD >ONI11446 pep chromosome:Prunus_persica_NCBIv2:G4:5572287:5579112:-1 gene:PRUPE_4G106700 transcript:ONI11446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGEFNADGLDRFVKEVKLAECGVSYGVVAIVGPRSSGKSTLQDHLFNSKFREMNANSVRLVSELFRSQTTKGIWIAKCVGIEPCTIAMDLESTDGSERGQDDTAFEKQSALFALAVSDIVLINIKGYSNYALIINAPQPQLMRQEMFKRSLDEQDKPFLETFFQVMMSFGIPRKTMFMFVIHDNSKTPFQHWHPGLRKFILNVLFSKALEEIWNGVPKPQAYKSTRFSDFFSEEVVVMPSYEEKEKFKEEVAQLRQRFSHSISPGGFAGDRRGVVPASGFSFSAQQIWKVIKDNKDLDLHAHKVMVATVRCEEIANQKFNKLINDGGWLALKEAVQTGPVQGFGKRLSSIVGTYLSEYDIEAIYFDEGARNSKRQLLESKALDFVYPTYTTMLGHVRSKALEDFKVRLEESLNKREGFTSSVRTCTQSSMLEFDEGCADAAIQQANWDSSRVRKKLQRDIDAYASSVCSAKLSKLNGNYEKQLSASLTGPVEALLETSGKDTWASIRKLLNRETEFTISKFSAAVADFELDKETIAQLLQHLRDYSRNVVEKIAREEATKIMIHMKDRFFTVFNYNSDSMPRVWTEKVDIRSITKDARSASMKLLAVMAAIRLDEKPDNIEKVLFSTLMDGIVSVSSSQDRRIGASADPLASSTWEEVSLENTLITPVQCKSLWVEFKTETEYSVIEAISVQEAYKRSNNWLPPPWAIMVMVVHGFNQFMLLLK >ONI11445 pep chromosome:Prunus_persica_NCBIv2:G4:5572138:5579222:-1 gene:PRUPE_4G106700 transcript:ONI11445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDCCATQLIYGDGEFNADGLDRFVKEVKLAECGVSYGVVAIVGPRSSGKSTLQDHLFNSKFREMNANSVRSQTTKGIWIAKCVGIEPCTIAMDLESTDGSERGQDDTAFEKQSALFALAVSDIVLINIKGYSNYALIINAPQPQLMRQEMFKRSLDEQDKPFLETFFQVMMSFGIPRKTMFMFVIHDNSKTPFQHWHPGLRKFILNVLFSKALEEIWNGVPKPQAYKSTRFSDFFSEEVVVMPSYEEKEKFKEEVAQLRQRFSHSISPGGFAGDRRGVVPASGFSFSAQQIWKVIKDNKDLDLHAHKVMVATVRCEEIANQKFNKLINDGGWLALKEAVQTGPVQGFGKRLSSIVGTYLSEYDIEAIYFDEGARNSKRQLLESKALDFVYPTYTTMLGHVRSKALEDFKVRLEESLNKREGFTSSVRTCTQSSMLEFDEGCADAAIQQANWDSSRVRKKLQRDIDAYASSVCSAKLSKLNGNYEKQLSASLTGPVEALLETSGKDTWASIRKLLNRETEFTISKFSAAVADFELDKETIAQLLQHLRDYSRNVVEKIAREEATKIMIHMKDRFFTVFNYNSDSMPRVWTEKVDIRSITKDARSASMKLLAVMAAIRLDEKPDNIEKVLFSTLMDGIVSVSSSQDRRIGASADPLASSTWEEVSLENTLITPVQCKSLWVEFKTETEYSVIEAISVQEAYKRSNNWLPPPWAIMVMVVHGFNQFMLLLK >ONI13740 pep chromosome:Prunus_persica_NCBIv2:G4:16006115:16007574:1 gene:PRUPE_4G242500 transcript:ONI13740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDTVTNGTETVANGTSQSGKTSEDVTDKKGKENVGVKEMDVDKKGDKKAEVEKMDEDLEANGCKG >ONI13029 pep chromosome:Prunus_persica_NCBIv2:G4:12190427:12192344:1 gene:PRUPE_4G198600 transcript:ONI13029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQVDQLKVAVRKEVFTTSTGDFSHPLKLIDAVQRLGVAYHFEREIEEALQRVHVTYHDYDGGDLYTVALGFRLPRQHGFNVSCDKNDNFKESLTADVPGMLSFYEAAHLRKHGEDILEEALVFTTTHLELAETTDASNALALQIAQALERPLRKGLERVCARGYMSIYQDDASHSEAILKLAKLDFSIVQSLHKKELSEITRWWKEMDFEKKLPFARDRIVELYFWIVGVYFKPHYMATRKILTKVIALVSVLDDIYDAFGTYEELVIFTGAIERWDINCMDELPNYMQVFYHTLLNVYDEIEEEMAEAQRLHEDYNPSMEEYMSVATACVGNTLLSITSLVGMGDIVTKETFEWLLNDPRILRASNIIFRLMDDHSGYEFEKERACCFSIERYMKQYGVPEQEVLDIFNKQVKDLCQCRVADILYKREDGYTLFIKRVVGKVMKDSVASVFINQ >ONI11090 pep chromosome:Prunus_persica_NCBIv2:G4:4272926:4275182:-1 gene:PRUPE_4G086900 transcript:ONI11090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDLVEFRRQGHMMVDFIADYYQKIEKHPVLSQVQPGYLKERLPESAPYNPEPIETILQDVQDHIVPGLTHWQSPNHFAYFPATISTAGFLGEMLTTGFNVVGFNWIASPAATELETIVMDWLGDMLKLPTSFLFSGNGGGVLQGTACEAIVCTMAAARDQMLSQIGRENIGKLVVYGSDQTHSTLQKASQIVGIHPKNFRAIETTKSTSFALSPEVLKSTVCSDIEAGLVPLFLCATIGTTAVTAFDPLGPLCDVAKEHGMWVHVDAAYAGSACICPEFRPFIDGVEEFTFQHKWLFANLDCCCLWVKNPSALVSSLSTNPEYLRNKATDSKQVVDYKDWQIALSRRFRAIKLWLLVEMDKRFEVVVPRKFSLVCFRVSPSAISKANYPTTNYEKCVNEVNCKLLEAINGSGRVFMTHAVVGGIYVLRCAIGTTLTEEKHVSMAWKVVQEHADAVLHPPCIN >ONI10542 pep chromosome:Prunus_persica_NCBIv2:G4:2519029:2527628:-1 gene:PRUPE_4G052400 transcript:ONI10542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLSSRLFTITDQSKLASSSSTFLFPLFPSHSRSFSRSSRSSILYGRTHVAKPIRASASQLPSAASSVTVENSESESDPSCTLFVIRARNRIGLLQVITGVFKVLGLHVEKATVEFEGDFFVKRFFVTDSHGAKIADPDSLDRIKKALTDAIEDGGTVSMGPASPTTRGVMVRRPGSGLGMSLGSDSAKAERMFRLMDGFLKNDSISLQQDILRHVEYTVARSRFNFDDFEAYQALAHSVRDRLIERSHDTQLYFKRKDPKRVYFLSFEYLMGRSLSNSVINLGIRDQYADALSQLGFEFEVLAEQEGDAALGNGGLARLSACQMDSMATLDYPAWGYGLRYEYGLFRQVILDGFQHEQPDFWLNFGNPWETERVHVTYPVKFYGVVEEENLNGEKCNVWIPGEVVEAVAYDNPIPGYGTRNTITLRLWAGKPSDQHDMEAYNTGDYINAVVCRQKAENISSVLYPDDRSFQGKELRLKQQYFFVSASIQDIIRRFKEAHSNFDEFPEKVALQLNDTHPSLAIAEVMRVLVDKEHLGWNKAWDIACKIFSFTIHAVIAEGLEKIPVDLLGSLLPRHLQIIYEINFKFVEELKKRIGLDYNRLSRMSIIEEGAVKSIRMANLAIVCSHTVNGVSEVHSELLKAKLFKDFYELWPQKFQCKTNGVTQRRWIVVSNPSLCALISKWLGTEAWIRDVDLLTGLRAYAADPDLQQEWMMVKKVNKMRLAEYIEAMSGVKVSLDAMFDVQTKRIHEYKRQLLNILGIIHRYDCIKNMEKSQRSKVVPRVCIIGGKAAPGYEIAKKIIKLCHAVAEKINNDTDVGDLLKLVFIPDYNVSVAELVIPGADLSQHISTAGHEASGTGSMKFLMNGCLLLATEDGSTVEIVEEIGADNLFLFGAKIHEVPNLREEGSPKMPLQCARVIRMVRDGYFGFKDYFESLCDTVDGGKDFYLVGSDFESYLEAQAAADKAFADPSKWTQMSILSTAGSGRFSSDRTIRDYAEKTWGIEPCRFPSDG >ONI09827 pep chromosome:Prunus_persica_NCBIv2:G4:568534:571398:-1 gene:PRUPE_4G011800 transcript:ONI09827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGSSFWGPVTSTIECCEKNYAYSSYIAEFFNTLSNIPSILLALIGLINVLRQRFEKRFSILHISNMILAIGSMLYHATLQHVQQQSDETPMVWEMLLYMYILYSPDWHYRSTMPIFLFLYGAVFAAVHSVARFEIGFKVHYVILCLLCIPRMYKYYIYTQDLSAKRIAKLYVATLLIGSLCWLCDNVYCEEISSWTINPQGHALWHLFMGFNSYFANTFLMFCRAQQRGWGPKVVHFMGVLPYVKIEKPKTQ >ONI11625 pep chromosome:Prunus_persica_NCBIv2:G4:6323511:6326516:-1 gene:PRUPE_4G116800 transcript:ONI11625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSYSNLFNPLYISHFLFLLFLASSCLHTSSHFCFCLVDGVPSTGSVRSCIEEERSALLSFKQDLKDPSGRLSSWAGRDCCQWQGISCNRNGHVAKLNLRNPYDWKTEDFNIQDPHSYVWRYDERWDELAYHEESYLGGKINPSLLSLKYLNYLDLSCNDFDGIHIPKFFGELKSLRYLNISHASFSGEIPPSLGNLSKLNYLDFDISSDYVSSMHSKSLNWLSHLSSLKYLNLNGVNLSSTGVPNVLHHVNLLPSLLELHLPNCLIDSNPLSVLDLSNNSFNTSSFPSWLFNLTSLRKLDLSHNSFHGRIPRVIGNMCKLKFLSLSGNGFYGEKIEEFWGSLSNCPNNTIALESLDLSSCGLEGQLPDSLGMLTSLQHLNLENLLLWGSIPESIGNLSSLKTLDLSSNNMNGSIPESLGKLSELVKLDLSWNSWEGILTEAHFINLTRLKAISISSELEEMPMSLVLNVTYDWVPPFKLHALNIRDCRVGRGFWVLLQSQSELVYVSLRNTFISGSISEEWLSKISSQVKLLDLSYNNFSGRLPLQLKFPKLSRINLGHNQLEGPLPIWPTNASFLDLQSNLFSGPIPSNLDQLMPELTHLDVSENYLNGTIPLSICNMEDMRIISLRNNQLFGEFPQQWSSWNIFSIDVSHNNLFGNIPSLMDISSSLQHFKVNNNNFGGEIPFSLQNCTELMILNLGHNKFTGNIPLWLGSKLSGLIVLQLRSNLLSGHIPHHFCNLVSLRVLDLSHNNFSGTIPKCLKNMRALVEVEAVSVGFSIQGRTTITSKGKELEYGDDQLASWGNLIDLSSNNFEGEIPEQIGSMVELSTLNLSMNRLTGEIPSSIGKLCLLETLDLSHNLLSGHIPQNFSSLTFLSHLNLSYNNLIGKIPSGNQLQTLDDPSIYEHNPSLCGAPLSSVCPTDDTKTGQTFHIEDHSKDEKERFWFYVSMALGFIIGFWVVCGTLVLKKSWRYAYFNFFDNVKEKVALTIALKVAGWQGRL >ONI11341 pep chromosome:Prunus_persica_NCBIv2:G4:5246384:5247075:1 gene:PRUPE_4G102600 transcript:ONI11341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSNLTTFLYLLFLGPLLFSFHLCLASRLMMELKKPSTTMQLGSDPSSPFSNLMKPTLPFFQDLPNPVENMNTLLPFPGFPTIPKIPPIPKFPFIPTMPSVNPFLPQPPVPKNSESMISRNEAKASP >ONI12542 pep chromosome:Prunus_persica_NCBIv2:G4:10065949:10068972:1 gene:PRUPE_4G170500 transcript:ONI12542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSVSLVRSVQRVTRRSYSSEAVPERKVAVLGAAGGIGQPLSLLMKLNPLVSHLSLYDIAGTPGVAADVSHINTRSEVKGYAGEDQLAQALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKGLTAAIAKYCPNALINMISNPVNSTVPIAAEVLKKAGKYDDKRLFGVTTLDVVRAKTFYAGKANVNVAEVNVPVVGGHAGITILPLFSQATPTANLPHDVIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPDVVECSFVQSSVTELPFFASKVRLGRNGVEEVLGLGNLSDFEKEGLESLKPELKASIEKGIKFANQN >ONI11847 pep chromosome:Prunus_persica_NCBIv2:G4:7138236:7141180:1 gene:PRUPE_4G129400 transcript:ONI11847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRRKLFPINFCRLTKTPTFSSAFHDFNSRSLCTNELNSCGGSFRLWSSITGFNLISPRFSVPEKPNFVRSSGFSSANLVENPFSLGPGLIRKYSSGKNSDEWTEEIEYLDESGSVIYTGKGIRSVEPGLDDHVMVGDLKKPFLNASAIAKIVEVVKRWKWGPELETQLDKLQFVPNMTHITQALKVIKDGDGALTLFRWAKRQPWYLPSDECFVILFDGLNQSKDFDGIQSLFDEMVQDSSNKGVLSSSAYNRVIQFLAKADKMEVSFCCFKKIQDSGFKVDTQTYNSLITLFLNKGLPYKAFEIYESMQAANCSLDGSTYELMVPNLAKSGRLDAAFKLFQEMKERNFKPSFNVFGSLVDSMGKAGRLDMSMKVYMEMQGYGFRPSAPMYVSMIESYVKAGKLDAALRLWDEMKKAGFRPNFGLYTMIVESHAKSGKLDIAMSTFTDMERVGFLPTPSTYSCLLEMHAASGQVDSAMRLYNSMINAGLRPGLSTYTSLLMLLANKKLVDVAAKILLEMKTMGYSVDVSASDVLMVYIKDGSVDLALRWLRFMGSSGIRTNNFIIRQLFESCMKSGLYESAKPLLETYVNAAAKVDLILYTSILAHLVRCQEEENERHLMSILGATRHKAHAFMCGLFTGPEQRKQPVLSFVREFFQGIDYELEEGPARYYVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQQIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKIVVAQILSSVESPFEVSKVVLRAPGDAVMEWFKKPIVQQFLLNEIPSRSDILMHKLNTLFPSSAPELRSLAPPKMLMARKAM >ONI13008 pep chromosome:Prunus_persica_NCBIv2:G4:12064850:12067586:1 gene:PRUPE_4G197100 transcript:ONI13008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPSLYLTSKALIPTPRPSLFPPSFQPSFSSLRFNAETQPLTRSVPSKLRVRAVNDSDYSSRRSSSNEQRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPGNYPVYQPKKRRESKFESRRYERRRDGPPPERTRPKQETTPSESAS >ONI13216 pep chromosome:Prunus_persica_NCBIv2:G4:13120289:13122652:1 gene:PRUPE_4G210400 transcript:ONI13216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPGIGGLQTAAAARDQYRLLGENVAKIRTDLMKEQLTTFRTQLEDFARKHKNDIRKNPAFRSQFHNMCTKVGVDPLASNKGFWAELLGIGDFYYELGVQIVDICLATRPHNGGLINLQELCNLLRQRRRSDREAVSEDDCLRAISKLKVLGNGFEVISVGKRKLVRSVPTELNKDHNEILELAQAQGFVTVIEVERRLSWTTGRAIDAFDTLLDEGLAMIDDGHKDGIRRYWFPCVSSISTPGGADT >ONI13217 pep chromosome:Prunus_persica_NCBIv2:G4:13120289:13122652:1 gene:PRUPE_4G210400 transcript:ONI13217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPGIGGLQTAAAARDQYRLLGENVAKIRTDLMKEQLTTFRTQLEDFARKHKNDIRKNPAFRSQFHNMCTKVGVDPLASNKGFWAELLGIGDFYYELGVQIVDICLATRPHNGGLINLQELCNLLRQRRRSDREAVSEDDCLRAISKLKAQGFVTVIEVERRLSWTTGRAIDAFDTLLDEGLAMIDDGHKDGIRRYWFPCVSSISTPGGADT >ONI09642 pep chromosome:Prunus_persica_NCBIv2:G4:139369:141582:-1 gene:PRUPE_4G001400 transcript:ONI09642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDSKKRVREGDEGHNNSSPSSSSSSSSSSEREKHVKTKDGPINYGILELKNDVLEYKDSSSSTSSCCKTSSESHCALGVFDFPWLKDGIISQSEEWINFEDVFSSPVLALDDTPTFTTSSNPGHGIGIDDQFSFSAAAGRCFYQTHDQSDHQELLLDFPPAKDKLEEDARRSPRDLNQDDDGLENEGADCIWTFLLNQPLHQQPNPTN >ONI10373 pep chromosome:Prunus_persica_NCBIv2:G4:2099066:2109650:1 gene:PRUPE_4G043900 transcript:ONI10373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKESDDEPVALSLESDKPEIGSIESIEEDEEEEEEEEEEPVDKSGDPMEEDSVSPAAVFCIRLKQPRSNLQHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHIVIPERPTECAVFNVNADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQGPANLVRDASRWQREHEWQQDIAVVTKWLSGVSPYRWLSSKSSAASNAKSTFEEKFLSQQSQNSARWPNFLCVCSVFSSGSVQLHWSQWPPNQNSAAPKWFQTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGLGNGFQITPKISTSNGVPPSLNPPNWAGFSPLAAYLFSWQDYLISEAKQGRKQTDPDFSDTVPLHCSPVSNFSAYVSPEAAAQSATTTTWGSGVTAVAFDPTCGGSVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWKSSLQPVVLHQIFGNPTSSFGGQAPMQTVWMSKVDTSIQPTNDFKSHQAAASGATSDARKSTDSSLEKSKRVSFDPFDLPSDVRTLARIVYSAHGGEIAVAFLRGGVHIFSGPNFAPVDNYQINVGSAIATPAFSSTSCCSASVWHDSGKDRTVLKIIRVLPPVVSSSQVKANSSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVYLNSVIAVLDADFHSLPSTQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVAEPWQASGETLSGIDPEAMAVEPALVPNIQAYVDAVLDLASHFITRLRRYASFCRTLANHAGSAGTGSNRNMVASPTQSSASPATSQGGQTGTTTSTGNTQMQAWVQGAIAKISSTSDGVPNSTPNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQLPRFVGGAQRNTDANMQKPQPAAPGKVEEVSSVTVKSSSTMVRQDEGQVARAGQIIPGAKGAEEGPAGRSRLGAGNAGQGYTFEEVKVLFLILMDLCRRTATLAHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMYGGPWSDPDDMGPQDDTPKLCNSADPLGSNSLESCEVYYGAHGLWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSAFASPGATSRPNQTGRETWWISRWAYCCPMCGGTWVRVE >ONI10374 pep chromosome:Prunus_persica_NCBIv2:G4:2099143:2109251:1 gene:PRUPE_4G043900 transcript:ONI10374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKESDDEPVALSLESDKPEIGSIESIEEDEEEEEEEEEEPVDKSGDPMEEDSVSPAAVFCIRLKQPRSNLQHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHIVIPERPTECAVFNVNADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQGPANLVRDASRWQREHEWQQDIAVVTKWLSGVSPYRWLSSKSSAASNAKSTFEEKFLSQQSQNSARWPNFLCVCSVFSSGSVQLHWSQWPPNQNSAAPKWFQTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGLGNGFQITPKISTSNGVPPSLNPPNWAGFSPLAAYLFSWQDYLISEAKQGRKQTDPDFSDTVPLHCSPVSNFSAYVSPEAAAQSATTTTWGSGVTAVAFDPTCGGSVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWKSSLQPVVLHQIFGNPTSSFGGQAPMQTVWMSKVDTSIQPTNDFKSHQAAASGATSDARKSTDSSLEKSKRVSFDPFDLPSDVRTLARIVYSAHGGEIAVAFLRGGVHIFSGPNFAPVDNYQINVGSAIATPAFSSTSCCSASVWHDSGKDRTVLKIIRVLPPVVSSSQVKANSSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVYLNSVIAVLDADFHSLPSTQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVAEPWQASGETLSGIDPEAMAVEPALVPNIQAYVDAVLDLASHFITRLRRYASFCRTLANHAGSAGTGSNRNMVASPTQSSASPATSQGGQTGTTTSTGNTQMQAWVQGAIAKISSTSDGVPNSTPNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQLPRFVGGAQRNTDANMQKPQPAAPGKVEEVSSVTVKSSSTMVRQDEGQVARAGQIIPGAKGAEEGPAGRSRLGAGNAGQGYTFEEVKVLFLILMDLCRRTATLAHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMYGGPWSDPDDMGPQDDTPKLCNSADPLGSNSLESCEVYYGAHGLWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSAFASPGATSRPNQTGRETWWISRWAYCCPMCGGTWVRVE >ONI12456 pep chromosome:Prunus_persica_NCBIv2:G4:9631499:9632991:1 gene:PRUPE_4G166000 transcript:ONI12456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSTISLLSLFLFSLLAFVSQAQVPANETFKFVNDGEFGPYIIEYDGNYRMISVFNAPFQLGFYNTTPNAFTLALRMGLRRSESLFRWVWEANRGNPVGENATLTFGTDGNLVLAEADGRVAWQTNTANKGVVGFKLLPTGNMVLYDSKGNFVWQSFDHPTDTLLVDQSLKAGAVNKLVSRASETENKDGAYSLVMEPKGIFLYYKSKNSPKPLLYSQVITIDKGSLDHATLKCTPDTDEGYAYDITLNYEAANSSSGGNRILARPKYNSTLTFLRLGIDGNVKLYTYNDKVDWGAWEVTFTLFDRDNSIWETECQLPERCGNFGLCEDSQCVACPSSKGLLGWSKTCEPEKLTSCNPKSFRYYKVEGVDHFLSKYTRGDATKEADCGKKCTSDCKCLGYFYNQDTSRCWIAYDLKTLTKVANSTHVAYIKTPN >ONI10107 pep chromosome:Prunus_persica_NCBIv2:G4:1260355:1263256:1 gene:PRUPE_4G027200 transcript:ONI10107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFLKTILPLLLCFLISLLRDLAFADPPYWKCSGNVSNYADNSTFQKNLHSLLISLPSNASVSKLYNTSTGNDPADRVYGLYMCLNYVTNETCHDCINTAQSAIVTLCPSSKEAVVWEEMCQLRYSDANFFGKLNVADNIPLANKKNISDPEKFESVVNETLRGLAKQAAYNLSAKMYATGDVPFEDKVVYALVQCTTDLSGDDCDQCLQKAIVDVLREFYFSIGARLLSRSCYVRYEFYAFYDGATEASSSSDPNNNKGYGRGRKIWVITIVSACLAILLLGSCVCFTKRRNQKGNSENLGPNALLPDQSFKGRNPKAQEYPYISLASIHVATDKFSDSNKLGEGGFGPVYKGILSDGKEVAIKRLSSCSEQGAEEFTNEVLLILKLQHKNLVRLLGFCVDGEEKLLVYEYMPNSSLDVFLFDSKKRAQLDWSRRINIISGIARGILYLHEDSRLRIIHRDLKASNVLLDNDMNPKISDFGMARIFSGSEGQANTAIIVGTYGYMAPEYAMEGIYSVKSDVYGFGVLLLEIITGRRNAGFHRIKRIPSLVAYAWQVWNEGKGLELLDPLLLDSCVPDEFLRYLHIGLLCVQEDAQDRPTMSSVVVMLKSETVTLNQPEKPALSMGRFTDHNVAATDNNSINGLTVSDVMPR >ONI14534 pep chromosome:Prunus_persica_NCBIv2:G4:24917237:24918195:1 gene:PRUPE_4G285800 transcript:ONI14534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNSRKTYKLSPSSIKSLVNLLNTDVANPLPPDSTPPLSHLSLVAHPKPYSLSLSFSLPTHPNFSSIGPTATFDRSVLQILAPNKRVVLGEISNSTNNVVSTLNSTPKKPKSSFKKKKTTTKREEEALKTEIIMRSIDPRKSDHSPSIYCYLLS >ONI09723 pep chromosome:Prunus_persica_NCBIv2:G4:321517:322301:1 gene:PRUPE_4G005500 transcript:ONI09723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDISPQLFKYRYHFGIAIFVSLIFSLLLYAAPRLLSILGYFWPLFASTTLFLVAVIAFGGVSQLSTETHGETQGQGIIDYVAGKPDYTN >ONI12396 pep chromosome:Prunus_persica_NCBIv2:G4:9270185:9277513:1 gene:PRUPE_4G161700 transcript:ONI12396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEEIEKLRGVVRDCVTKHLYSSAIFFADKVAAFTNDPADIYMQAQALFLGRHYRRAYHLLNASQIVLRDLRFRYLAAKCLEELKEWDQCILMLDDAKVDEHGNLNDTKDSNIMYLDKDGEDHEINISSAICFLRGKAYEALENRVQARHWYKAAIKADPLCYEALECLIENHMLTYEEEASLLASLQFGPEDGWLSSFYSCLIKKYDKENVVEAKFRELEKEKCNSNTSDPSFFRTLKTNTDLLACKAEYYHQCGEYQKCFELTSVLLEKDPFHLKSTLVHLAAAMELGHSNELYLMACNLVKDYPQNKATSLDGTFPPAWIGYGNAYAAKEEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTNSFKLAEQFFMQAKTTCPSDPLVYNELGVHEVYDILSLMWTIRLYYRIFCMYNKAVWWFEKTLAQIPSPLSEMWEPTVVNLAHAYRKLKMYNEAISYYEKALALSTRSVSTYAGLAYAYHLQDNFTAAITYYHKALWLQPDDHFCTEMLSLALADEARGPQN >ONI12395 pep chromosome:Prunus_persica_NCBIv2:G4:9270185:9277513:1 gene:PRUPE_4G161700 transcript:ONI12395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEEIEKLRGVVRDCVTKHLYSSAIFFADKVAAFTNDPADIYMQAQALFLGRHYRRAYHLLNASQIVLRDLRFRYLAAKCLEELKEWDQCILMLDDAKVDEHGNLNDTKDSNIMYLDKDGEDHEINISSAICFLRGKAYEALENRVQARHWYKAAIKADPLCYEALECLIENHMLTYEEEASLLASLQFGPEDGWLSSFYSCLIKKYDKENVVEAKFRELEKEKCNSNTSDPSFFRTLKTNTDLLACKAEYYHQCGEYQKCFELTSVLLEKDPFHLKSTLVHLAAAMELGHSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKFAESHRYFSKATSLDGTFPPAWIGYGNAYAAKEEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTNSFKLAEQFFMQAKTTCPSDPLVYNELGVHEVYDILSLMWTIRLYYRIFCMYNKAVWWFEKTLAQIPSPLSEMWEPTVVNLAHAYRKLKMYNEAISYYEKALALSTRSVSTYAGLAYAYHLQDNFTAAITYYHKALWLQPDDHFCTEMLSLALADEARGPQN >ONI13402 pep chromosome:Prunus_persica_NCBIv2:G4:13789348:13791624:1 gene:PRUPE_4G219300 transcript:ONI13402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFTTPTSLDRVLEPSPDMKESVMAVEKIVGYNFKNKKLLEEALTHPSVAGSASYQRLEVLGDSALNHAVTNYFFCMADHQKFTQGQITKLRSANAGNLNLARVAVHHGLYRYLRRHNTADLDDQVREFTEAVTNGEEEPGLVYCKSEEAKVLADIVESVAAAVYIELNFDLDRLWMKFKPLLEPIFTLENLHDPTTELNEFCQKNGKRYQIKLRPRHETRDVSIADVYVDDEFVASGWSNKKKGAKRNAAIEAVNKLFQSVVVDDGSFQGSAVGNNMSFRIEEAIQGLHVLCVKKRLPKPSEYKEENVFGPPHEKKYVYSVKVVTSDGTVQSVTGDEKPRKKKAMNSASYKMIRALQQRS >ONI12496 pep chromosome:Prunus_persica_NCBIv2:G4:9775910:9779313:1 gene:PRUPE_4G168200 transcript:ONI12496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFFSRSLPKTLAMSSFLSRSLSTATSAAFLSTAQSRSSLSLFHRLRPLAGFVASAGKLSPASVGCFSTNSTTSSLRDPNPNWSNRPPKETILLDGCDFEHWLVVMDPPEGDITRDEIIDSYIKTLAAVVGSEEEARMKIYSVSTRCYYAFGALVSEETSYKIKELPKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDPKYHEEWIRNNSRANERNRRNDRPRNYDRSRNFDRRRENMQNRDFQNRGQPPMPNQAGQNPGPNMPGPANNMRTPNNMGPPPPNTGPMPPHNMGPNYSNVQQPNNWSGGQPNNNSNQMPHNYNQMPPPPPNYNQMPPNNMGGVPPNNMRGMPPNNMGGVPPPPNNMGGPPPNAGWGSPGQYQDNYTPGRDDGGIPGTNRY >ONI14168 pep chromosome:Prunus_persica_NCBIv2:G4:19894136:19895644:1 gene:PRUPE_4G266300 transcript:ONI14168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNETQQPIENQQQPNPTLPFTSKLKPYAFYLSSILILILAISFSLTKTNYLKTQQLKYTFSSQPAIFQALFGFLQPKQKTKQTQVPNPISKPPYCVLWMAPFLSGGGYSSESWSYILALHEHSKNPNFRMAIEQHGDLESLEFWGGLPKYMKNLAVELYHTQCSMKETIVICHSEPGAWNPPLFETLPCPPTAYQNFKSVIGRTMFETDRVNPEHVKRCNQMDYVWVPTEFHVSTFVQSGVDKSKVVKIVQPIDVKFFDPLEYEPLNLASIGKFVMGKTTQNSKVKKKFVFMSIFKWEYRKGWDVLLKSYLEEFSEADGVALYLLTNPYHSDRDFGNKIVEFVEKSGMQKPVTGWAPVYVIDTHIAQIDLPRVYKAADAFVLPSRGEGWGRPLVEAMAMSLPVIATNWSGPTEYLTEENSYRLPVDRMSDIMEGPFRGHRWAEPSVSKLRVLMRHVLNNVEEAKVKGEKAREDMITRFSPEIVADIVTKHVHNILQKVDQ >ONI12659 pep chromosome:Prunus_persica_NCBIv2:G4:10529040:10529213:1 gene:PRUPE_4G177600 transcript:ONI12659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLFQCPCCSCFCFMKPKKGKARVKAAATVASKEEKKVETKGEKKVESKEEKKE >ONI12457 pep chromosome:Prunus_persica_NCBIv2:G4:9634891:9635565:1 gene:PRUPE_4G166100 transcript:ONI12457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTFTMPLLSLLFFFSIFCIIAQAQVPANETFKLVNEGEFGPYVVEYFGDYRMLPDVFNSPFQLGFYNTTPNAFTLALRMGLVRSESLMRWVWEANRGNPVGENATLTFGTDGNLVLADADGRVC >ONI13672 pep chromosome:Prunus_persica_NCBIv2:G4:15420484:15422219:1 gene:PRUPE_4G236700 transcript:ONI13672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSREVATKPHAVCIPFPYQSHIKAMLKFAKLLHHRGFHITFVNTEFNHKRFLKSQGPNSLVGLPDFQFDAFPDSLPDSDEDATPDATLLCESIRKKKFLAPFHALLAKLNNDAISTSHNPPVTCIVSDGFMSAFTITAAEEIGVPIALFYTIAACSFMGFKQLRAVVEKGLFPLKDESCFKNGYLDTVIDWIPGMRDIRLRDLPTFARNTNLDDTGFNFIMEETERAHEASAVVLHTFHALETDVLEALSSMLPHVYTVGPLQLHLNQIPEHPLKMGYSPWKEETECLEWLNTKAPNSVVYVNFGSVAVVTPEQLVEFGWGLANSKLPFFWVIRPDLVVGESAILPPEFVAETKERSLIAGWCPQEQVLNHPSVGGFLMHSGWNSTVESLTAGVPMLCWPFFGDQQMDCRYTCNEWGIGMEISDDAKREEVEKLIKELMEGEKGKKMKNKVMEWKKLAEEATGPHGSSSTNLDNLVNQVLLRKS >ONI13189 pep chromosome:Prunus_persica_NCBIv2:G4:13011025:13012467:1 gene:PRUPE_4G208800 transcript:ONI13189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFSLPPKSSSKSNPKRKPSTTATTFDDGNGNPNDAASKHFVNEFDASKTLSTDPKTRVIAPIPNEWRPHKKMKNLELPITEPGGQELKFEVETLSVTDDPDAKISYGLNVRQKLDAESENRDGGDERPRLRGVEDTLLQKFKDDLERLSDHRGLEEFDEMPVEGYGEALLSGYGWYPGRGIGKNAKEDTKVVEYTRSTDRHGLGFHMNPKEKEKKQEKERKKDGDLGKEVRIVSGRAYVGLRGRIVEKLGNGKLVLKLSSRGKEQEQEVVKVNVDQVAELGSKEEEKCLKRLKEAQRKVGSDSKPRREEQRGYSTWLARHIRVRVISKDLKGGKFYLKKGEVMDVVGPKTCDISMDGSRELVQGVSQDFLETALPRRGGSVLVLSGKHKGVFGNLVEKDSDRETGVVRDADTHELLNVSLEQIAEFTGDPSDLGY >ONI13577 pep chromosome:Prunus_persica_NCBIv2:G4:14825711:14826267:1 gene:PRUPE_4G231500 transcript:ONI13577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDIEISLYWKKLTSHWWYQTPNSKFMFIIVFFGWLTSVSTKGAPIESTMVSKTRARIIFFYNYIFI >ONI10186 pep chromosome:Prunus_persica_NCBIv2:G4:1555868:1556684:1 gene:PRUPE_4G033300 transcript:ONI10186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSNGVVFEDFFPTMVERLGTEGFMKELSNGFSLLMDGEKGVITFESLKRNSALLGLQGMSDEDLRCMLREGDLDGDGCLNEMEFSTLMFRLSPELMQNSKELLEEALTL >ONI10177 pep chromosome:Prunus_persica_NCBIv2:G4:1504135:1504975:1 gene:PRUPE_4G032400 transcript:ONI10177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEITSPSSNTLNNLEASTPIKHCPPVIGIQSITVMSLIHPNPIQFKMKNLTTTR >ONI13873 pep chromosome:Prunus_persica_NCBIv2:G4:16789588:16791390:1 gene:PRUPE_4G251100 transcript:ONI13873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMENPLGWIHESVRNYELNHKESLQPAIQSREREIPSVAVKTYITPSFNPSSSSSPWVAHAGLQRQQRPSCLVMAGGHCKSPIQVENRRNQALWCRGPYRSQTRRLRDGVVLHVHELGILVADEGEELSSAEAEAVVARTD >ONI11219 pep chromosome:Prunus_persica_NCBIv2:G4:4678991:4681543:-1 gene:PRUPE_4G093000 transcript:ONI11219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQGKGMLIMQPSLVMVVLLVALAAAITAEAAAKPRPGCLTQCGNLTVPFPFGMEAGCYKNDKFFIYCSHSTNPPTAYLMKSNIPVTNISLEDGEIQVKQFAARDCYDDQGVQTNDSTDFQLWVSNYTISSTKNKFIAIGCDTYALYKGYRVDEERFITGCMSLCSSLDSAEKDSCSGIGCCQTSIPSGLKNHTLKLSSYYNHTFITGFNPCSYAFVVQEGQFNFSKETSIPLSILVLVVAIFCIYQEMKNRKFNKLKQKYFEDNGGLFLKQELASYAGSVRTAARIFTEEELKKATNNYHVSGKIGEGGYGTVYKGKLSNEQVVAIKKSKVSAPITESRQFVNEVIVLSQIHHKNVVRLLGCCLETQTPILVYEFIAHGTLYEHIHRKNNKATSPLSLPLRLKIASDTAEALAYLHYSTSTPIIHRDVKATNILLDENYTAKVSDFGASRLVPDQDENKLSTFVQGTVGYLDPEYLQSNILTEKSDVYSFGVVLVELLTSQRALCFEKPEAERNLAKVFVSLLDSDRLGQILDDEIVEGHFERVTKVADLAKRCLRLRGEERPSMKEVAAELDGLVPTMELYPSGGKPNFPRTHKDTDYLLGSPVSSSSFVDIRGEEGDAGSHSSILISADYERSMQNQIQMVTPYGDGR >ONI13904 pep chromosome:Prunus_persica_NCBIv2:G4:17441290:17444075:-1 gene:PRUPE_4G254000 transcript:ONI13904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLLSIKSSSSQPKLALCNSFLAISALILLSFYIFFFDKSHNNQPLNLLEAFQKSCPAANTSSPSPLPPTNLNHIVVGIVGSMNTWKKKKAYTEAWWKPNVTRGYLFLDRAPKPEFLPWPSSAPPFRISEDITGFKVYPKIVRPDQVRIIRTILETFREGDKDVRWYVMADDDTILFVDNLVEVLAKYDHTKYYYIGSSSECIKSNFDFSFDMAFGGGGYALSYPLVATLATKLDECIERYPYLRVSDFMLHSCLADLGVALTQEKGFHQIDLHGDISGLLSSHPQSPLLSLHHIDTIDPIFPFMSRSESINHLMRPAKVDSSRLLQQTICYHRPSNWSFSISWGYSAHIYENIIPRSILRRPLETFRPWKNSIRPPFYMFNTRLTYNDPCQAPHLFSFDSIAENVKGNQTITTYSRTAPRGLPPCSSSGNHSADFITKIQVTLPAIRLEARGIDCCDIAYAPDINTTQLIYRACMEGEIIA >ONI11272 pep chromosome:Prunus_persica_NCBIv2:G4:4960048:4961667:-1 gene:PRUPE_4G097800 transcript:ONI11272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISHIKLVPVLLFILLNSVWCTTSNSISESFLQCFSSHIPSNSSSKIIITKNNPAYYSVLQFSIQNLRFFNTPTPKPEAIITPFKHSHVQAAVICSKKEGIQIRTRSGGHDFEGLSYVSIAPFILIDLFELRSIDIDIENEIAWVESGATLGELYYAIAQKSKVHGFPAGTCPTVGVGGHISGGGYGSLFRKYGMAADNVLDAKIVDVNGRVLDRKSMGEDLFWAIRGGGGASFGVILSWKLRLVPVPPTVTTYVASKTMEEGATKLLSQCQTIADWMPEGYFLRVGIGVTNGTNGGKTIEAEFSFLFLETYEELLPWMKENFPEFNLSRSAFTEMSWIQSILYFSDYSINDTEALLNRSQQSRSFFKGKSDYVTEPLSEAGMEVLYQILFQLDASAVILTPFGGIMSEISESEIPFPHRKGNLYEIQYSVAWDDDKDSEKDVSWTRMAYAYMAAYVSKSPRGAYLNSRDLDLGINKDANTSYAEASIWGLSYFKNNFRRLAQVKTVVDPGNFFFNEQSIPVLRSGKMKCQQQLDASL >ONI12871 pep chromosome:Prunus_persica_NCBIv2:G4:11220929:11222217:-1 gene:PRUPE_4G188500 transcript:ONI12871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAFQAKAYTSLHCTNPASRCYVDCDSPISKAQCKHKITFFPSYHFVHFVYRKPNCNSPGSACYDPRFIGGDGIVFYFHGKSNQQFSLVSDRNLQINDRFTLGMLFSSHSFSVEATKVGTWDNQIDHLKFTYNGNDVVLEEAYPSTWYSPEKDVKVERISSRNSVIVSLKHVAQSMINVVPVTKEDDWIHNYQVPSEDCFAHLEFQFKFFALSPKVEGVLSKAWMAMPVVGGEDEYQTSSLLWADCVSCMFSPQKCVAEKEGSSAVVDCVTLYCTRRSSLGGYGIVCKK >ONI13017 pep chromosome:Prunus_persica_NCBIv2:G4:12094954:12098086:1 gene:PRUPE_4G197600 transcript:ONI13017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLRAAAAGPTRGLRRLFSTSFIPPMNSPAPQAREKAEPSTNLFVSGLSKRTTSEKLQEAFSQFGEVVHARVVTDRVSGYSKGFGFVRYATLEDAGKGIDGMDGKFLDGWVIFAEYARPRQPPPPPENNMSPPYSRY >ONI12207 pep chromosome:Prunus_persica_NCBIv2:G4:8630238:8632856:-1 gene:PRUPE_4G150900 transcript:ONI12207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIQDITQLIYTFKVEAFPQEIIHEILFRLPVKSLINCTSVCKPWRSMIMNQSFIHAHFSHTVDFANQKDIDLLLFHRISGSGRTIYCHNTVIHKVEVEVHSLHYDNKAFDVHSKIEFPIAPKKKLLNPL >ONI13449 pep chromosome:Prunus_persica_NCBIv2:G4:13997208:13999176:-1 gene:PRUPE_4G222900 transcript:ONI13449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKAIQRFLLTYLLLEISMVSAKVPAVIVFGDSTVDAGNNNQISTVLKSDFQPYGHDFFGGQPTGRFSNGRVPTDFISEAFMIKPTIPAYLDPTYTIKDFATGVCFASAGTGYDNATSDVLSVIPLWKELEYYKKYQKDLRSYLGNAKANEVLGEALYLISIGTNDFVENYYVIKRRSSQFSIEEYQNFLAGIAGNFITELYQLGARKISISGLPPMGCLPLERTTNILSGSDCIEEYNDVARIFNVKLQRLIEKLSKKLVRIQLVLSNPYDILLEIIQNPATFGFEDAATACCGTGLFEMSYMCNKITPFTCSDANKYVFWDSFHPTEKTNAIIADHVFRNCLAQFS >ONI10957 pep chromosome:Prunus_persica_NCBIv2:G4:3820376:3822639:-1 gene:PRUPE_4G078700 transcript:ONI10957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNGPAHIMWRWSPLRIHHHPPSQTETNSDIPINQIQTEEEESYVTANDLNPEIAEMAEEETKKRSVVVESLGWLTESSIMPKKHRAIAGVGASSIMELKAQLYKSQEDSKKSKELAGSEVEFHRAKKKITSHDPLSAKNSGVDARAHKDKLELKAVNDGSASYAALERKAALYDKLVKGELSDEEDKEKYCVDFFCKRVDQDEPQQTQHYDLPAVVSSENQDGESDASMLFSMKPLGLGRAAATMDNDVYKSFVREVHEEANVAREKASELKQRREEQAGARREKLKQAYIRKQLEKLKAASNKEQT >ONI12196 pep chromosome:Prunus_persica_NCBIv2:G4:8579868:8584302:1 gene:PRUPE_4G150100 transcript:ONI12196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTAAAITGTWVKPVTTRRHHLSSTYSSNLATNQLGFPPSSSSSSIFFSRKPIHRKSTPDTLTCSLQAPSLLQIPNHTPSYQPPSSSSSTTLFTPSTTSNPKENKTQPSSKSSKPVPQQWNFLQRAAAMALDAMESALLSKEQQHPLPKTADPKVQIAGNFAPVPEHPVEHSLPVTGKIPASIQGVYVRNGANPLHEPVAGHHFFDGDGMVHALQFKEGAASYACRFTETHRFRQERDLGRPLFPKAIGELHGHSGIARLLLFYARGMFSLVDPTHGIGVANAGLVYFNGRLLAMSEDDLPYHIKITETGDLKTVGRYDFDKQLKSTMIAHPKVDPTTGELFALSYDVVQRPYLKYFKFSPDGEKSPDVEINLDQPTMMHDFAITERYVVIPDQQVVFKLQEMITGGSPVIYDKNKMSRFGILDKNAKDASGIRWVDCPDCFCFHLWNAWEEPETDEVVVIGSCMTPADSIFNECDEKLESVLSEIRLNLKTGKSTRRRICAENVNLEAGMVNRNKLGRKTRFAYLALAEPWPKVSGFAKVDLSTGEVKKHIYGDEKYGGEPLFLPRDPNSENEDDGYILAFVHDEKEWKSELQIVNAMDLKIEATIQLPSRVPYGFHGTFISSKDLEKQA >ONI11715 pep chromosome:Prunus_persica_NCBIv2:G4:6624006:6627179:1 gene:PRUPE_4G122300 transcript:ONI11715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFTNYLFSPTYHLNIAHYLLIFLLASSYQHTSKLSFGDALPSVKPCMDEERRALLAFKQNLTDPSGRLSSWVGQACCQWKGISCNNITGHVEKIDLQNTYTYTLSVFDGEWEEMEKSSLGGEINPSLLSLKLLTHLDLSRNDFEGIPIPTFFGHLKSLRYLNLSYASFGGEIPAHLGNLSDLNYLDLSEESDYSSLELPSNSLNRLSNLSSLKYLNLEGVDLSNIGVSLVNVLNKFPSLLELHLPACQIKGNSISLGNVSVTSLLILDMSYNDLKFPFPGWFFNLSSLRKLDLSGNLLAGPVPSEFESLQSLEALDLSFNDLAGQIPKFFGNFCNLKTLNLANNQFEGGIQELLGGLSSCPNSKIESLDLSSNKLKSQLPASIGMLHNLKYLKLYLNDMSGSIPESLGQLSELVHLDLSFNPWEGFLTEAHFINLTRLEYIALGRVDPYPNQSIPLSFKVSYNWVPPFLLHTINIGNCKVGPAFGSWLQSQTDLVFVKLRATGISDSIPEDWFMKISSQVEYLDLSYNQIHGKLPLQLKFPNAVLLDLSHNQIDGPIPTWSGDNVVRFKLETNSFSGPIPLNLDQKFPKLESFYLAENHLNGTIPTSICNMKHLLILSLRNNKLSGEFPQAWSLLLNILIVDVAYNNLSGNLPSSMGASGTLFMLKMNNNNFEGEIPFSLQTCTSLRNIDLGDNRFTGEIPPWIGSTALSVSTLRLRSNFLSGHIPQQLCNLAYLHILDLAHNWFSGTIPKCLNNLTGLRIFNDSFYNIYLEYDQQTTVMRGRELQLNTSLAYVKSIDLSSNRFEGEIPQEICSLVLLRNLNLSMNQFSGNIPSKIGNLSQLDTLDLSLNHLSGQIPQSLSSLTFLSNLNLSYNNLSGEIPLGNQLQALPDSSIYEGNPFLCGFPLSTKCSKDNTSTPKDPTDNDNGDGNYKLWFYMSMALGFIVGFWGVCGTLIVKKSWRYAYFRWFDDIKEKFYGESDSS >ONI10515 pep chromosome:Prunus_persica_NCBIv2:G4:2465956:2470302:1 gene:PRUPE_4G051500 transcript:ONI10515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNAFRSDPQYAAQKAVSVIGSGYDLCNDIRLTACKSMLIELDPTRTRHLVVPGGAVVPDVSTSIKCDKGERTRFRSDVVSFNQMSEQYNKELSLSGKIPSGLFNTMFNFTGCWQKDAAPTKTLAFDGWLITLYNIELARSHITLSQNVRREVPSTWEPAALAAFIEKYGTHIVVGVRMGGKDIVHIKQSQNSTLQPTEVQNLLKQLADERFYEDVTGSNSDDLSGKMKDDHSMPRSVQRTIAAPIRPPIITQRNDGTVTICVRRGGVDVGQSHNKWLSTVSESPNVISMSFVPITSLLGGVQGNGFLSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVYGDLPLGLRRRKHVSPSLQFTFMGPKLYVNTMKVDTGNRPVTGMRLYLEGKKSDHLAMHLQHLSTVPDTLQLSDDHSYEPIDEPEERGYFEPVKWSIFSHVCTAPVQYNGACIDDSASIVTKAWFEAKAIGMRKVLFLRLGFSTVASARIRRSEWEGPSSSSRKSGFISTLISTRFSTALNPPVTPKKVDLNSAIYPGGPPLPTKAPKMATFVDTKEMLRGPEDSPGYWVVTGAKLCVEGSRISVKVKYSLLTIMSEDSMMLM >ONI13436 pep chromosome:Prunus_persica_NCBIv2:G4:13936440:13937556:-1 gene:PRUPE_4G222000 transcript:ONI13436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGRRVPVGAQRNMLGVPKRPTRILLLVKDPWENFIVFRIKRSTQLKKLLIAYCDKKSVELDNMRFVHNGRLVRPNLTPDEHEMESGDVIYAFPVLLG >ONI13529 pep chromosome:Prunus_persica_NCBIv2:G4:14544867:14552036:-1 gene:PRUPE_4G227900 transcript:ONI13529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYGGVIISDQWLQSQFTQVELRSLISKFNSVKNQNGKVMAGDLPPLMVKLKAFRDMYSEEDIRGTLSGLGSNFSDEIDFESFLKAYLNLQGQTTAKLGGSKNSSSFLKATTTTLLHTISESERASYVAHINSYLGDDPFLKQYLPLDPATNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELVEDSNDVEELLSLPPEKVLLKWMNFHLQKAGYKKPVSNFSSDVKDGEAYAYLLNVLAPEHCNPATLDAKPNERAKLVLDHAERMNCKRYLSPKDILEGSSNLNLAFVAQIFHERNGLTTDSKKISFAEMMTDDVQTSREERCFRLWINSLGIATYVNNVFEDVRNGWILLEVLDKVSPGSVNWKQASKPPIKMPFRKVENCNQVVKIGKQLKFSLVNVAGNDIVQGNKKLILAFLWQLMRFNILQLLRNLRSHSQGKEMTDADILNWANNKVKSTGRTSRMESFKDKSLSNGIFFLELLSAVEPRVVNWNLVTKGESAEEKKLNATYIVSVARKLGCSIFLLPEDIMEVNQKMLLTLTASIMFWSLQQVVDDTERSLSPVDASPATSINGEDESSSSLGGEISNLSIDDTASDTTVTSQFENEGSTVSTASTPLGDGIRAEEKGCIAVQ >ONI14420 pep chromosome:Prunus_persica_NCBIv2:G4:23636219:23640225:-1 gene:PRUPE_4G280000 transcript:ONI14420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEYSEQPVLSKTLKIQSSSSYEDCKRSEISFRGTLEEVEEEEAMPRTESEEIRLSWLRSQVIGAAAEFDSPFGKRRLTYADHTASGRSLLYIENFILNNVLPFYGNTHTCDSYVGNRTSKMVHEAAKYIKKCLGGGPEEALFFCGQGTTSAIKRLQEVMGIAVPSILRDRVINNLNTEERWLVFVGPYEHHSNLLSWRQSLAEVVEIGVNDDGLLDLEALRLQLEKYKYVNRPILGSFSACSNVTGIYSDTRAIARLIHRYGGFACFDFAASGPYVEIEMRCGEMDGYDGVFLSPHKFLGGPGSPGILIMSKALYQLRSLPPSTCGGGTVAYVNGFSDEDTLYWEDVEERENGGTPQIIQTIRAALAFWVKEYIGYQVIEKHEDFYVKRALERLLPNNNVWVLGNTSAKRQAIFSFLIYSTTNRPSTPGTKIEGGGEGPKEGLYMWRETGNSKGLSRNETWMDQNQLSLLHFKR >ONI14419 pep chromosome:Prunus_persica_NCBIv2:G4:23636746:23640097:-1 gene:PRUPE_4G280000 transcript:ONI14419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEYSEQPVLSKTLKIQSSSSYEDCKRSEISFRGTLEEVEEEEAMPRTESEEIRLSWLRSQVIGAAAEFDSPFGKRRLTYADHTASGRSLLYIENFILNNVLPFYGNTHTCDSYVGNRTSKMVHEAAKYIKKCLGGGPEEALFFCGQGTTSAIKRLQEVMGIAVPSILRDRVINNLNTEERWLVFVGPYEHHSNLLSWRQSLAEVVEIGVNDDGLLDLEALRLQLEKYKYVNRPILGSFSACSNVTGIYSDTRAIARLIHRYGGFACFDFAASGPYVEIEMRCGEMDGYDGVFLSPHKFLGGPGSPGILIMSKALYQLRSLPPSTCGGGTVAYVNGFSDEDTLYWEDVEERENGGTPQIIQTIRAALAFWVKEYIGYQVIEKHEDFYVKRALERLLPNNNVWVLGNTSAKRQAIFSFLIYSTTNRPSTPGTKIEGGGEGPKEGLYMWRETGNSKGKPLHGAFVASLLNDLFGIQSRGGCACAGPYGHTLLKIDDTLSHHYRSAIQKGYLGMKPGWTRISFPYYISNDEFEFILTALEFIAIYGQRFLPLYHFNLRSGSWTFKKKAHQDLLDNAIQGMNIGHDQSKDGNNAEKHDQFAKFSAYLETAKRIANLLPKFPPQRRLPEDIDINLLPFRV >ONI14396 pep chromosome:Prunus_persica_NCBIv2:G4:23470810:23471019:1 gene:PRUPE_4G278900 transcript:ONI14396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKYKERKINLSVLGWLDLSPNKLVGEIAWELAYLTWLEKFNVSKNRLAGFIPQGKQFGTFKNDSYSGK >ONI09674 pep chromosome:Prunus_persica_NCBIv2:G4:197115:201112:-1 gene:PRUPE_4G002700 transcript:ONI09674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKSKFLQVYSVLKSELLEDPAFEFTNDSRQWVERMMDYNVPGGKLNRGLSVIDSYQLLQEGRELTEDEIFLASALGWCIEWLQAFFLVLDDIMDGSHTRRGQPCWFRLPKVGMIAVNDGVVLRNHIPRILRKHFREKPYYVDLLDLFNEVEFQTASGQMIDLITTIQGEKDLSKYSLSIHRRIVQYKTAYYSFYLSVACALLMSGEELENHIDVKNLLIEMGIYFQVQDDYLDCYGNPETIDRNRH >ONI09672 pep chromosome:Prunus_persica_NCBIv2:G4:197115:201111:-1 gene:PRUPE_4G002700 transcript:ONI09672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKSKFLQVYSVLKSELLEDPAFEFTNDSRQWVERMMDYNVPGGKLNRGLSVIDSYQLLQEGRELTEDEIFLASALGWCIEWLQAFFLVLDDIMDGSHTRRGQPCWFRLPKVGMIAVNDGVVLRNHIPRILRKHFREKPYYVDLLDLFNEVEFQTASGQMIDLITTIQGEKDLSKYSLSIHRRIVQYKTAYYSFYLSVACALLMSGEELENHIDVKNLLIEMGIYFQVQDDYLDCYGNPETIGKIGTDIEDFKCSWLVVKALELSNEEQKKILYENYGKADPENVAKVKALYKELDIEGAFADYESKSYEKLTSGIEGHPSKAVQAVLKSFLGKIYKRKK >ONI09673 pep chromosome:Prunus_persica_NCBIv2:G4:197593:200904:-1 gene:PRUPE_4G002700 transcript:ONI09673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKSKFLQVYSVLKSELLEDPAFEFTNDSRQWVERMMDYNVPGGKLNRGLSVIDSYQLLQEGRELTEDEIFLASALGWCIEWLQAFFLVLDDIMDGSHTRRGQPCWFRLPKVGMIAVNDGVVLRNHIPRILRKHFREKPYYVDLLDLFNEVEFQTASGQMIDLITTIQGEKDLSKYSLSIHRRIVQYKTAYYSFYLSVACALLMSGEELENHIDVKNLLIEMGIYFQVQDDYLDCYGNPETIGKIGTDIEDFKCSWLVVKALELSNEEQKKILYENYGKADPENVAKVKALYKELDIEVGS >ONI10230 pep chromosome:Prunus_persica_NCBIv2:G4:1688867:1690778:1 gene:PRUPE_4G035700 transcript:ONI10230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWIASLKIVLISSGVVALAWAMKLSVPLVMEFVASHLPLVCASFRSLLRPPYIYVLINGIIITIVASSRFHNDRSPQPKPQPEESESTVSETPAVYEQRRYLEPLVHEEVHEERPAVINGSVEAEPEPEVKDVRVDEDEVVISSSKWTPPKSVMKSDEIASPEVEDVRLDEDEVVISSSTWTPPKSVMKSDEIASPVEKPLVSVRFSHRKPARSSPEGGKPLRVAKPKRHDTLENTWKAITEGRSMPLSRHMKKSETWENHGRQVQLKVDSAVDTSAVLNSETFKDWTNQQQVTASAGCVGKLRKEPSLSQDELNRRVEAFINKFNEEMRLQRQESLNQYMETVKRGSQ >ONI11007 pep chromosome:Prunus_persica_NCBIv2:G4:4008818:4009042:-1 gene:PRUPE_4G082100 transcript:ONI11007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKKSYSHQNKEDCKKKKLQAKLQPNKNKKVVRVLNIPGEIPGTISLSTIPLVIFSLPIFAATTNSSKTTKHY >ONI11959 pep chromosome:Prunus_persica_NCBIv2:G4:7593725:7595443:-1 gene:PRUPE_4G136800 transcript:ONI11959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLIRRLSRVADSSQYCLLRSADTASSRPRRSESFRVKLRRRRSSAGEPVVPEGHVPVYVGDEMERFVVHAELLNHPVFVELLNKSAQEYGYEQKGVLRIPCHVIVFERVLEALRLGQPPSRDLHDLLSSISDDLRSW >ONI10301 pep chromosome:Prunus_persica_NCBIv2:G4:1861545:1864370:-1 gene:PRUPE_4G039700 transcript:ONI10301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEVIGGSRWGTWLELLLAGAILRHGTRDWNQVAAELRARTACPYTFTPEVCKAKYNDLRKRYSGCKAWFEDLRKKRMEELRQALKKSEDSIGSLESKLETLKAEKGEDGYNNYGSTQTESPVAYQKSVGHYPSAKEAFKDEPSAGSFTCEALTSCSPECQIPVTESTEETETKREASHSSEHEKISSMEKLVGTLYVGVGLGGNLRKRRGKRKRKDCTSRDVKEASIGESRLLDSPDAVTALRCIENSNSECREEFASSGAGDCNRSSTKNETDHLWNVFNSFLEHKSASVFRHRLDSQKRRRYKKLIHRHMDFDTIRSRISNHSVMSVKELFRDLLLLANNALVFYSKNTREYESALLLRDLTTKTLRQHLKDSSGKATSANLSSNALVCNPPGKPRSARPAGNRRLSVKATHAGNAAAKTSNRSKKPSHANADSSPSVESFAVTKKTSGHPRKVGRRSVSQQNVTPVKGRKRGRTK >ONI10300 pep chromosome:Prunus_persica_NCBIv2:G4:1861268:1865128:-1 gene:PRUPE_4G039700 transcript:ONI10300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEVIGGSRWGTWLELLLAGAILRHGTRDWNQVAAELRARTACPYTFTPEVCKAKYNDLRKRYSGCKAWFEDLRKKRMEELRQALKKSEDSIGSLESKLETLKAEKGEDGYNNYGSTQTESPVAYQKSVGHYPSAKEAFKDEPSAGSFTCEALTSCSPECQIPVTESTEETETKREASHSSEHEKISSMEKLVGTLYVGVGLGGNLRKRRGKRKRKDCTSRDVKEASIGESRLLDSPDAVTALRCIENSNSECREEFASSGAGDCNRSSTKNETDHLWNVFNSFLEHKSASVFRHRLDSQRRRYKKLIHRHMDFDTIRSRISNHSVMSVKELFRDLLLLANNALVFYSKNTREYESALLLRDLTTKTLRQHLKDSSGKATSANLSSNALVCNPPGKPRSARPAGNRRLSVKATHAGNAAAKTSNRSKKPSHANADSSPSVESFAVTKKTSGHPRKVGRRSVSQQNVTPVKGRKRGRTK >ONI09652 pep chromosome:Prunus_persica_NCBIv2:G4:172207:173687:-1 gene:PRUPE_4G002200 transcript:ONI09652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEEYQERESNVETDEEEEDDHDHDDDDEGEEKWRMHYSSRHRILLVGEGDFSFSLSLATHFGSARNMVATSLDSQEEIDSKYSNAIGNVRELEERGCIVIYGVDAKQMSQHFFLSTQRFDRIVYNFPHVGFLFREDSYCQIQLNKRLVKGFLQNAKLLLRKEGGEIHITHKEGHPYNKWDLVRKAHKIGLLQTQTLPFRKDDYPGYDNKRAHGTLSDASFHLGDCTTYKFRLPPC >ONI13319 pep chromosome:Prunus_persica_NCBIv2:G4:13450041:13455047:1 gene:PRUPE_4G215100 transcript:ONI13319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKSRGAESASKSAVSRKWTILFCIGCFCAGMLFSDRMWSVPEIKGIARTARHEEETLQLVSGCDPTIKDVEHEQKDVYGEVSKTHHAIHTLDKKISNLEMELAAARAAQESILNGSPIAENLKIPETRKKRKYLMVVGINTAFSSRKRRDSVRATWMPQGDKRKKLEEEKGIVIRFVIGHSATSGGILDRAVEAEDKKHGDILRLDHVEGYLELSAKTKIFFATAVASWDADFYVKVDDDVHVNIGTLGATLARHRSNPRVYIGCMKSGPVLARKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGSWFIGLDVEQIDDRRLCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSSERIKEVHRRCGEGENALWNAVF >ONI14207 pep chromosome:Prunus_persica_NCBIv2:G4:21365104:21366595:-1 gene:PRUPE_4G269000 transcript:ONI14207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEEVKNSVPVTRKRILLEGPDGSTWFLGKRVIMQSLGTTEPQVTKIPPRTMFFDYKLIDESEVKEALNGYPASKWFANSSDYVQYRDEYIRYRHYEDLSEAVTAEAATKILELNAGLNAVLFSTSLEASIEIRRLRQEIETLKNTSGTTIASSGIGQDVLADDAEHEFRTKFKHDMGRKGKTKIVIPKEHEEDEEEEEEQEEKQEDEEEDEEENEDEAWIEEKEVRDEDEEADEDGAATKGNPDAEQHSTDQNANLRKVFVHFPQRERKPSELWIRFNFSNHWSVL >ONI10187 pep chromosome:Prunus_persica_NCBIv2:G4:1557801:1558540:1 gene:PRUPE_4G033400 transcript:ONI10187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGGGVVFEDFFPVMVERLGAEGFMKELCNGFRLLMDGAKGMITFESLKRNSALLGLQDMSDDDLKCMLREGDLDGDGSLNEMEFCTLMFRLSPALMQTSKDLMEEALAFEF >ONI10784 pep chromosome:Prunus_persica_NCBIv2:G4:3306806:3309171:-1 gene:PRUPE_4G068100 transcript:ONI10784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKVAPARCRLSFPRPMIWWMMLQPIQLCLGVPVIRALLFGTRRSLPEICCQSSSSTTTSLASSGSSIHTKIDPEQWEFANDDFIRGQPHLMKNIHRRKPVHSHSLQNLQVQGNGTSLSESERQSMKDEIERLKHEKERLGVELQRHEQERQGLELQIQFLKERLQHMERQQQTMAGFVARVLQKPGIASNPVPQLEIHGRKRRLPRIGWSYDEASNGNNQVASSQAGIRENADMEKLEQLESFLTFWEDTIFDVGETHIQVVSNVELDESTSCVESAVISSIQLNVDAQPKSPGIDMNSEPDVVVAPEPAAAVPPEPTSSKEQTSGITASAPTGVNDVFWEHFLTENPGSVEAQEVQLEKRDSDGRKNESKPADHGKLWWNMRNVNNLTEQMGHLTPVEKT >ONI10780 pep chromosome:Prunus_persica_NCBIv2:G4:3306755:3309171:-1 gene:PRUPE_4G068100 transcript:ONI10780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVQGGASSLPPFLSKTYDMVDDASTDSIVSWSASNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANDDFIRGQPHLMKNIHRRKPVHSHSLQNLQVQGNGTSLSESERQSMKDEIERLKHEKERLGVELQRHEQERQGLELQIQFLKERLQHMERQQQTMAGFVARVLQKPGIASNPVPQLEIHGRKRRLPRIGWSYDEASNGNNQVASSQAGIRENADMEKLEQLESFLTFWEDTIFDVGETHIQVVSNVELDESTSCVESAVISSIQLNVDAQPKSPGIDMNSEPDVVVAPEPAAAVPPEPTSSKEQTSGITASAPTGVNDVFWEHFLTENPGSVEAQEVQLEKRDSDGRKNESKPADHGKLWWNMRNVNNLTEQMGHLTPVEKT >ONI10783 pep chromosome:Prunus_persica_NCBIv2:G4:3306811:3309171:-1 gene:PRUPE_4G068100 transcript:ONI10783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKVAPARCRLSFPRPMIWWMMLQPIQLCLGVPVIRALLFGTRRSLPEICCQSSSSTTTSLASSGSSIHTKIDPEQWEFANDDFIRGQPHLMKNIHRRKPVHSHSLQNLQVQGNGTSLSESERQSMKDEIERLKHEKERLGVELQRHEQERQGLELQIQFLKERLQHMERQQQTMAGFVARVLQKPGIASNPVPQLEIHGRKRRLPRIGWSYDEASNGNNQVASSQAGIRENADMEKLEQLESFLTFWEDTIFDVGETHIQVVSNVELDESTSCVESAVISSIQLNVDAQPKSPGIDMNSEPDVVVAPEPAAAVPPEPTSSKEQTSGITASAPTGVNDVFWEHFLTENPGSVEAQEVQLEKRDSDGRKNESKPADHGKLWWNMRNVNNLTEQMGHLTPVEKT >ONI10781 pep chromosome:Prunus_persica_NCBIv2:G4:3306811:3309171:-1 gene:PRUPE_4G068100 transcript:ONI10781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVQGGASSLPPFLSKTYDMVDDASTDSIVSWSASNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANDDFIRGQPHLMKNIHRRKPVHSHSLQNLQVQGNGTSLSESERQSMKDEIERLKHEKERLGVELQRHEQERQGLELQIQFLKERLQHMERQQQTMAGFVARVLQKPGIASNPVPQLEIHGRKRRLPRIGWSYDEASNGNNQVASSQAGIRENADMEKLEQLESFLTFWEDTIFDVGETHIQVVSNVELDESTSCVESAVISSIQLNVDAQPKSPGIDMNSEPDVVVAPEPAAAVPPEPTSSKEQTSGITASAPTGVNDVFWEHFLTENPGSVEAQEVQLEKRDSDGRKNESKPADHGKLWWNMRNVNNLTEQMGHLTPVEKT >ONI10782 pep chromosome:Prunus_persica_NCBIv2:G4:3306807:3309171:-1 gene:PRUPE_4G068100 transcript:ONI10782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVQGGASSLPPFLSKTYDMVDDASTDSIVSWSASNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANDDFIRGQPHLMKNIHRRKPVHSHSLQNLQVQGNGTSLSESERQSMKDEIERLKHEKERLGVELQRHEQERQGLELQIQFLKERLQHMERQQQTMAGFVARVLQKPGIASNPVPQLEIHGRKRRLPRIGWSYDEASNGNNQVASSQAGIRENADMEKLEQLESFLTFWEDTIFDVGETHIQVVSNVELDESTSCVESAVISSIQLNVDAQPKSPGIDMNSEPDVVVAPEPAAAVPPEPTSSKEQTSGITASAPTGVNDVFWEHFLTENPGSVEAQEVQLEKRDSDGRKNESKPADHGKLWWNMRNVNNLTEQMGHLTPVEKT >ONI10930 pep chromosome:Prunus_persica_NCBIv2:G4:3730333:3734372:-1 gene:PRUPE_4G076500 transcript:ONI10930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQRFSLVIVLVCSALFTALSAQPSLELEVEALKAFKKSITSDPYGALADWTSDSNHHCNWSGVVCDPSTNHVISISLVDKQLKGQISPFLGNVSGLQVLDLTSNSFTGHIPVELGLCSQLSELILYENALSGPIPSELGNLRNLQQIDLGDNSLTGSIPESICNCKNLSAFGVIFNNITGKIPPNIGNLVNLQIFVAFGNRLVGSIPASIGKLGVLQALDLSQNRLSGVLPRELGNLSNLESLLLFQNSFVGNIPPELGRCKKLFNLELYVNQFTGGIPSELGNLVHLETLRLYKNRLNSTIPLSIFQLKSLTHLGVSENELTGTIPSELGSLRSLQVLTMHSNKFTGEIPSSLTNLANLTYLSMSINFLTGELPSNIGMLYNLKNLTMNRNLLEGSIPSSIVNCTQLLVISLAYNRITGKIPEGLWQLPNLTFFSVGSNKMFGEIPDDLFNCTSLATLDLSRNNFSSLLKPGIGKLSNLRILRTFSNSFAGPIPPEIGQLSQLIVLSLAENSFSGPVPPQLSKLSSLQGLSLDHNALEGAIPEKIFELKQLANLELQHNKLAGPIPVNISKLELLSYLNLQHNMFNGYIPESMAHLNRLTTLDLSHNNLSGSIPGPVVSAMRSMQIYLNFSYNFLTGTIPDELGMLEMVQSIDISNNNLTGTIPRAIEGCKNLFSLDLSGNKLSGSLPAEAFDQMDILTSLNLSRNNLDGQILEKLANLKHLSSLDLSQNHLSGKIPESFANSSTLKHLNLSFNQLEGHVPDTGIFRRINASSLVGNPDLCGNKFLKACKRSSHQLSKKTKFILLLLGSVSIILVLVFIILILNRFSNLRGSKKLENPEYEYTSALPLKRFDQKDLETATDFFSKDNILGASSLSTVYKGRLEDGQIVAIKRLNLHQFSVESDKCFNREIKTLCQLRHRNLVKNGNLESVIHEDEVNQGRWILSERINVLISMASGLDYLHSGYGSPIVHCDLKPSNILLDGDWEAHVSDFGTARMLGVHLQDGSNRSSASAFEGTIGYLAPEFAYMRKVTTKVDVFSFGIIVMEFLTKQRPTGLMEEHGLPVSLHQLVEKALANGMKNIRQVLDPMLASNISKEQEEIAEELLKLALVCSNPNPDNRPNMNEVLSTLLKLKKEN >ONI11963 pep chromosome:Prunus_persica_NCBIv2:G4:7629607:7630484:-1 gene:PRUPE_4G137100 transcript:ONI11963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADENANQSIMEPKSWHPLHQIAESPSHKLLLKQWLKEEELILNRVSLKENQIDSVRKEITMLFIFFFLFHSTALILLFSSSSRDPHGFACRRSWIPSLCSLCFSLGIIWAIRYKTDMEGHLEKLLEREKEDKNLLGKCIEELKKKGLEFDLLKEVDALRRAKSLRVEAKEVRKWSARDFVTLFFFTVSCFVLVITRAILCN >ONI12551 pep chromosome:Prunus_persica_NCBIv2:G4:10089576:10094592:-1 gene:PRUPE_4G171000 transcript:ONI12551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNCFHLLHPQPLTQLHILTLPPKFQCKTLAFFHKSPPNTYFPHFLTKIPSGYPRFLGSLCSSSPSSCSASPDEEDLEVELGRLLALLPEEMRQKVGEHPELHQLIEVVMDLGRKPLARFPSGDFVLSELPITVQDLEHATSQVGDFAIDNRAGISRTLHRISAIRNRKGAIIGLTCRVGRAICGSASLLRDLVQDGGSLLLIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHSGIGNARRMQVPVSDLQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTVQERKGPATFSCGVEIISKDELRVHRSLETTVDTILSGRFPNFEVRKIHSRGSEVTTEREIFLHSSLDEEDEYVIEDAPDMADRGFGHIDFTPVVSPNMQEDSMDERIQPRLFVYGLF >ONI12550 pep chromosome:Prunus_persica_NCBIv2:G4:10088344:10094839:-1 gene:PRUPE_4G171000 transcript:ONI12550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNCFHLLHPQPLTQLHILTLPPKFQCKTLAFFHKSPPNTYFPHFLTKIPSGYPRFLGSLCSSSPSSCSASPDEEDLEVELGRLLALLPEEMRQKVGEHPELHQLIEVVMDLGRKPLARFPSGDFVLSELPITVQDLEHATSQVGDFAIDNRAGISRTLHRISAIRNRKGAIIGLTCRVGRAICGSASLLRDLVQDGGSLLLIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHSGIGNARRMQVPVSDLQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTVQERKGPATFSCGVEIISKDELRVHRSLETTVDTILSGRFPNFEVRKIHSRGSEVTTEREIFLHSSLDEEDEYVIEDAPDMADRGFGHIDFTPVVSPNMQEDSMDERIQPRLFVYGILEASVIQGIKQLKMDEAAVQFIDDISKADALLALQSKLKKNPRIQAVAKSYGIPIYVTKSSSLVEIRKAVRALMSDHKDGLKDYGSIDNMKSSEKIDALEEARIAVEQVVIPKGEPVELLPRASHIISAQIDLIRKYQLEAERTGKEPDVRLRILPFHTTTTEENHTSKIIDADSGFDDFLGTNDRNGSLSNVDRLPLLPE >ONI12549 pep chromosome:Prunus_persica_NCBIv2:G4:10088352:10094846:-1 gene:PRUPE_4G171000 transcript:ONI12549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNCFHLLHPQPLTQLHILTLPPKFQCKTLAFFHKSPPNTYFPHFLTKIPSGYPRFLGSLCSSSPSSCSASPDEEDLEVELGRLLALLPEEMRQKVGEHPELHQLIEVVMDLGRKPLARFPSGDFVLSELPITVQDLEHATSQVGDFAIDNRAGISRTLHRISAIRNRKGAIIGLTCRVGRAICGSASLLRDLVQDGGSLLLIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHSGIGNARRMQVPVSDLQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEASRRGVQKTVQERKGPATFSCGVEIISKDELRVHRSLETTVDTILSGRFPNFEVRKIHSRGSEVTTEREIFLHSSLDEEDEYVIEDAPDMADRGFGHIDFTPVVSPNMQEDSMDERIQPRLFVYGLKMDEAAVQFIDDISKADALLALQSKLKKNPRIQAVAKSYGIPIYVTKSSSLVEIRKAVRALMSDHKDGLKDYGSIDNMKSSEKIDALEEARIAVEQVVIPKGEPVELLPRASHIISAQIDLIRKYQLEAERTGKEPDVRLRILPFHTTTTEENHTSKIIDADSGFDDFLGTNDRNGSLSNVDRLPLLPE >ONI10454 pep chromosome:Prunus_persica_NCBIv2:G4:2307662:2309837:-1 gene:PRUPE_4G048300 transcript:ONI10454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWCSHCGVLVPPMRDDLQFVSCSGCGKVLIYRDASTSEPTLVKSSGRKGRRAGSSLTSVSSDNSQSFEGPLNEGLWSKRAVGANPAMLLKIKKQAPSSRKKSKAIIIHAGDAKGQNPFSIEHESDISENDFKLRQDYENVKEEGDGRPANYKSHVLNQENVHESTYDADDDADYD >ONI10453 pep chromosome:Prunus_persica_NCBIv2:G4:2307662:2310452:-1 gene:PRUPE_4G048300 transcript:ONI10453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWCSHCGVLVPPMRDDLQFVSCSGCGKVLIYRDASTSEPTLVKSSGRKGRRAGSSLTSVSSDNSQSFEGPLNEGLWSKRAVGANPAMLLKIKKQAPSSRKKSKAIIIHAGDAKGQNPFSIEHESDISENDFKLRQDYENVKEEGDGRPANYKSHVLNQENVHESTYDADDDADYD >ONI10452 pep chromosome:Prunus_persica_NCBIv2:G4:2307494:2310452:-1 gene:PRUPE_4G048300 transcript:ONI10452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTQSFFLPVCFKPELFISQIEYSERFRKRMLWCSHCGVLVPPMRDDLQFVSCSGCGKVLIYRDASTSEPTLVKSSGRKGRRAGSSLTSVSSDNSQSFEGPLNEGLWSKRAVGANPAMLLKIKKQAPSSRKKSKAIIIHAGDAKGQNPFSIEHESDISENDFKLRQDYENVKEEGDGRPANYKSHVLNQENVHESTYDADDDADYD >ONI11447 pep chromosome:Prunus_persica_NCBIv2:G4:5579572:5580222:1 gene:PRUPE_4G106800 transcript:ONI11447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSQTSFALGVPRRGEEVTPPLSLSLFPYLPTYFSSFSIYWIWDFTCGEVICVQICGSVDLWLCRLVVCCRVTGCNLSVLCLQGGGTMVMAVVATMVVVALLVFGCLFAVFFL >ONI09931 pep chromosome:Prunus_persica_NCBIv2:G4:892690:893545:1 gene:PRUPE_4G019300 transcript:ONI09931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSFLIHHTQVLRPNVLLLDMGNLVIKEGTENSQTFFWQSFDYPTHFLLPDMKLGWKLSTDHHGFPEIFLRDKHVKNFQTGPWNGMIFSGVPEMCASKHGVISTSLRNKMRSNIQAWQLHGLRAQICEIYFSIPKRTNVTAMESVVPFGICDTNASPVCKCARGFQPKNLEAWNLGNGFDGCVRKRELKFTKDKFLRLRSVKLPKSGGAFVDGDMSLEACKEKCLENCTCTAYCDMEISNGGPSCPLAPQTT >ONI14268 pep chromosome:Prunus_persica_NCBIv2:G4:22389529:22391343:-1 gene:PRUPE_4G272200 transcript:ONI14268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPSFFISNATAIISVSIFVDRRHTSSSFSSIVESERRQPQQLQRIRKVFRFAFAIVSGETVNNWRWFLQKISDVLLGFFLGFLSFFV >ONI11271 pep chromosome:Prunus_persica_NCBIv2:G4:4927759:4929137:-1 gene:PRUPE_4G097700 transcript:ONI11271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRMNEARKKGREGRKRVKGVTDKLHEDLFLHLVVEVANEAGATDGKTIKVSFDSLFLGPVEKLLLLMQDKFPDLSLDHSNCTEMSWIQSVMYFAGFPISEYLEVLLKRTQPSRSFFKAKSDNVTQHISQAGLEGLWQRLLEVETSQLILAPYGGRMSEISYSETPFPHRNGSIFAIQYLVTWDDDKETEKHISWMRRVYAYMASHVSKSPRAAYLNYRDSFSC >ONI09776 pep chromosome:Prunus_persica_NCBIv2:G4:442550:446716:-1 gene:PRUPE_4G008700 transcript:ONI09776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDSLAQLLASAVDAAKKAGEIIRKGFYETKHVEHKSQVDLVTETDKACEDLIFNHLKNLYPTHKFIGEETTAACGVTELTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKIPTVGVVYNPIIDELFTGVRGGGAFLNGKPIKVSSQTELVKSLLATEAGTQRDKLTVDATTNRLNSLLFKVRSLRMSGSCALNLCGIACGRLDLFCELGFGGPWDVAGGAVIVTEAGGSVYDPSGKEFDITAQRVAASNPLLKDAFVGALLESE >ONI10450 pep chromosome:Prunus_persica_NCBIv2:G4:2298950:2301491:1 gene:PRUPE_4G048100 transcript:ONI10450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETNQHPKHLLLLILLPAILSLQSALAVDFVFNGFNSSDMLLLYSNATVESRILTLTNSTNFSIGRALYKSKIPAKAPNSSYVYPFSTSFIFSIAPYKNTLPGHGMVFIFTPVAGIKGTSSSQHLGLFNFTNDGIPSNHVFGVEFDVFKNEEFQDINDNHVGIDVNSLKSVEAHEAGYWPENNDGSDDKDFKKLKLNSGENYQVWIDYKDFFINVTMVRAGMQRPRTPILSVFYNLSDVFEDEMFVGFTSSTGQLVESHKVLAWSFSNSNFSLSESLITSGLPSFVLPKSPIFKSKGFIAGIVVGGLFVIGVCALFSLFLIKRHRRLAREREDMEDWELEFWPHRITYQEIEAATKSFGEGNVIGVGANGKVYKGVLAGGAEVAVKRISHENDGMREFLAEISSIGRLKQRNLVGLRGWCKREKGSFMLVYDYMENGSLDKRVFDCDESKMLSFEDRIRILRDVASGVLYLHEGWESKVLHRDIKASNVLLDKEMNGRLGDFGLARMHGHGEVLGTTRVVGTIGYLAPEIIRVGRASDQTDVFGFGILILEVMCGRRPIEDGKPPLVEWVWQLMVQGKLMDGFDERLRTKGEFNEEEVERVLHLGLLCAYPDPNARPSMRQVVKVLEGKNDQPEESETEDMDAYLLHKLQSKEMWSDFSQTFGYGSAHPTFEDIKQSISTSMSLSWSNTSMVEGR >ONI12318 pep chromosome:Prunus_persica_NCBIv2:G4:8982010:8985618:1 gene:PRUPE_4G156900 transcript:ONI12318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILSLSASSSSFMLSSSSTCSSSTKPPFSSSSKNVIKTPFVGLSAAPPQKLWLPTTTNLGFDNGSNPRRSFEVFSTKEIARVPLDQRWMFEDDEVGGPDIWNNTWYPKAADHVNTEKPWYIVDATDKILGRMASTIAIYIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMTVETFDQLQQRIPERIIEHAVRGMLPKGRLGRALFNHLKVYKGPDHPHEAQKPVELPIKDKRIQIQK >ONI12958 pep chromosome:Prunus_persica_NCBIv2:G4:11749088:11752076:1 gene:PRUPE_4G194200 transcript:ONI12958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNFTSSFALYPSPCPSLPLSPSLQCFYKPKRKQSVSSIRAGPEVSHNQIAKPQIARPTANYHPNIWGDRFINYEYSDDNITHAQKQVDELKEIVRREVFTNLKAGGDGFAHQLKLIEAIQRLGVAYHFEREIEEALERIHGTTYHDNYDGDLHSVALGFRLLRQHGYNVSSDIFNQFKDANGNFKESLTANVSGMLSLYEATHLRVHGEDILEEALVFTTAHLESKITHVRYSLAAQISQALERPLLKCLERLGARNYLSIYQDEASHNETLLKLAKLDFNLVQSLHKKELSEITRWWKEVDFERKLPFGRDRIVELYFWAMAVYFEPHYSAARIIVSKIIAVGTVMDDIYDAYGTFEELEIFTEAIRRWDVNCMDELPDYMQIYYQTLLNLFNEVEDEMVKQGNSYRIYHAKEAAKASCQAYFAEARWLHEGCIPSMEEYMHVATTTVGNHLLATMSLLGMGDVVTKEVFEWLFSNPKILRASNIMFRLMDDIAGSKFEKERGHVASSIDCYMKQYGVSEEETLDVFNKQVVDLWKDINEELLIKPTAVPRPVLMCVLNLTRVVDLLYKRGDDFTHVGKLMKDIVTSLYIDPVPL >ONI14541 pep chromosome:Prunus_persica_NCBIv2:G4:25089039:25090846:1 gene:PRUPE_4G286300 transcript:ONI14541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYLFISLSLFFTYGHSKTDISLGYQLTVAVPIEYSLGFIGRAFFMETNEITQTFKTALSVEAVNDKYSCSLEVFLGDVKVWNSGHHSKFYTSNICVLQLTQDGDLRLKGPKDRVGWRTGTSGQGVKRLQILRTGNLVLLDGLGNIKWQSFNFPTDVMLWGQRLSVASRLISFPTNSTSYYSLEIEQSRIALYLNSGKWNYSYWEFKPTKNRNIAYVQLGRKGLELFNDKQKKIAEIHPSDHQTVQFGQPLRFLALGNRTGNLKLYFYSPSIAKFDAAFQALNTTCDLPLACKPYGICTLSSACSCIQVLIAENETSRSGNSDCSQGISGGFCGNGKTKAEMLELKGVGSVLRGAPKIVNVSKEACASLCLEDCNCTSALYSTAKGGMEVEECFLYGMVIGVKQVERGNELSYMVKVRKAAHGGHGKSNLKRWVLVLVGVVDGLIILLVFGGLGYYMIKKRRKHTLPNGHNN >ONI11891 pep chromosome:Prunus_persica_NCBIv2:G4:7367783:7369149:1 gene:PRUPE_4G132900 transcript:ONI11891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFSFERKIKHYSSYQKILLVGEGDFSFAVCLARAFGLAVNMVATSLDSRESLMLKYSEAMSNVKELEAKGCIILHEVDVHSMSRHPLLISIRFDRIIYNFPHAGYLHGPFSSEHNRFQIWFHQDLIRGFLQNAREMLTAIGEIHVTHKTKFPFSEWKIVELAQKVGLYLVHEEQFSPWDYPGYENKRGAGMCDQTFPVGMCSTFKFAKLLCHSTTSGFHLGITTSGPWSGYSGINGPRMQW >ONI14116 pep chromosome:Prunus_persica_NCBIv2:G4:19259814:19261960:1 gene:PRUPE_4G263200 transcript:ONI14116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFNKDTSNNNLKQGLLHPAHILGKKREPPPYQQVFWLLFFSSNVFLRPKLGFQSYEKIVRLQREGSQ >ONI09865 pep chromosome:Prunus_persica_NCBIv2:G4:681114:682671:1 gene:PRUPE_4G014700 transcript:ONI09865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPKFKPQTFSQSSSRRRRTITDSNSPEFEFWMVRNPSCPQPNLLSADELFVDGVLLPLHLLPNQPDPPDPNPQPISEQPVPDSETVSEPAVPDPEPEPSPGPELSTAPVLTASKRWRDIFKKGEKKTVKGEENDKEKDKKKERKGGTGASSAELNINIWPFSRSRSAGNAYTRPKPPFGSPATRKVNSAPCSRSNSTGESKSRKWPPASPGRPGVHLGRSSPVWQVRRGSSVAVKSSLEPHVRNAEKGTKKEVPESRRSKNTTVVAGAGGAKGRVLNLNVPMCIGYRSHLSCRSDENSAVGVAGGGGSGSRNRGGGGGHGGDSGVGGNLFNLRSLFTKKVY >ONI10910 pep chromosome:Prunus_persica_NCBIv2:G4:3680089:3682972:1 gene:PRUPE_4G075200 transcript:ONI10910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELMAQHGVGGSGGKQQNPEQQRANEDAKREAEERRQMMLSQILSAEARERIARIALVKPEKAKGVEDVILKAAQMGQIAEKVSEERLISLLEQINNQTTKQTKVTIHRRRSVLDDDD >ONI10909 pep chromosome:Prunus_persica_NCBIv2:G4:3679775:3682972:1 gene:PRUPE_4G075200 transcript:ONI10909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPELEAIRQRRMQELMAQHGVGGSGGKQQNPEQQRANEDAKREAEERRQMMLSQILSAEARERIARIALVKPEKAKGVEDVILKAAQMGQIAEKVSEERLISLLEQINNQTTKQTKVTIHRRRSVLDDDD >ONI10911 pep chromosome:Prunus_persica_NCBIv2:G4:3680089:3682972:1 gene:PRUPE_4G075200 transcript:ONI10911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELMAQHGVGGSGGKQQNPEQQRANEDAKREAEERRQMMLSQILSAEARERIARIALVKPEKAKGVEDVILKAAQMGQIAEKVSEERLISLLEQINNQTTKQTKVTIHRRRSVLDDDD >ONI10799 pep chromosome:Prunus_persica_NCBIv2:G4:3338374:3339330:1 gene:PRUPE_4G068900 transcript:ONI10799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQKSVFSPWLLSPLCYLPLQEHQNINPTYNFSPFRPHLPKSTKNNWGKHIKRKKNWGKHEIFLVR >ONI11931 pep chromosome:Prunus_persica_NCBIv2:G4:7480587:7483686:1 gene:PRUPE_4G135500 transcript:ONI11931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSSIFFIIFVSLWTCHDAASDTLKPGDTLNSSSSLVSASGKFILRFVVQTSLNTSYLAILRNKPGANKAWIGNRNTPIPYPSSPLLTLDLNNTLKITYPGGDPIVISSAPQTSVVVATLLDSGNFVLQEVNSVNESTSGVLWQSFDYPTDTFLPGMKLGVDHRNGHNWSLLSWATSYNPAPGTLSLDWDPSGHQLRIKQAGVVYWTSGIFGDGRFEFIFPDVSKQRYNFSIVSNENEDYLTYTAVGDPSDPEPEWVLYSSGKLFEYGTQVDITKAQNCDGYNTGGGCVRRDRPSGCTAKFGDEFEQKNGYFKINNASNTSRSPNWFNASSSDCKVTCWQNCDCLGFDLPLANQSQTSTGCQFWSVDYQFFEDLNSSSSFVLSGLATPAKPPPPAQQNGHKWLWIGIAIAAAVLVMVFCILGYLLRRRICSGKNRAMIQNKLPSFMKSNRSAYDPVNELQNDHGNMGKHDLSVFTYESVLAATSNFSQENKLGEGGFGPVYKGKLVKGQEIAVKRLSKCSGQGTSEFKNELILIHELQHTNLVQLFGFCIHEEERMLIYEYLPNKSLDYFLYDSIRGVLLDWKKRFNIIEGITQGLLYLHKYSRTRVIHRDLKASNILLDENMNPKISDFGMARIFTHNALEENTSRIVGTRGYMAPETIEGIVSVKSDVYSFGVLVLEIISGRKNNSFYNDDRVLNLAWELWKENAGLELMDPTLSDSCIGNQLLRCINVGLLCVEENAADRPTMSDVISMLTNESMQLPKPTKPAYYTEGNTDTAGIGRKGPQNGSINGLSNSDFGAR >ONI11930 pep chromosome:Prunus_persica_NCBIv2:G4:7480587:7483703:1 gene:PRUPE_4G135500 transcript:ONI11930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSSIFFIIFVSLWTCHDAASDTLKPGDTLNSSSSLVSASGKFILRFVVQTSLNTSYLAILRNKPGANKAWIGNRNTPIPYPSSPLLTLDLNNTLKITYPGGDPIVISSAPQTSVVVATLLDSGNFVLQEVNSVNESTSGVLWQSFDYPTDTFLPGMKLGVDHRNGHNWSLLSWATSYNPAPGTLSLDWDPSGHQLRIKQAGVVYWTSGIFGDGRFEFIFPDVSKQRYNFSIVSNENEDYLTYTAVGDPSDPEPEWVLYSSGKLFEYGTQVDITKAQNCDGYNTGGGCVRRDRPSGCTAKFGDEFEQKNGYFKINNASNTSRSPNWFNASSSDCKVTCWQNCDCLGFDLPLANQSQTSTGCQFWSVDYQFFEDLNSSSSFVLSGLATPAKPPPPAQQNGHKWLWIGIAIAAAVLVMVFCILGYLLRRRICSGKNRAMIQNKLPSFMKSNRSAYDPVNELQNDHGNMGKHDLSVFTYESVLAATSNFSQENKLGEGGFGPVYKGKLVKGQEIAVKRLSKCSGQGTSEFKNELILIHELQHTNLVQLFGFCIHEEERMLIYEYLPNKSLDYFLYDSIRGVLLDWKKRFNIIEGITQGLLYLHKYSRTRVIHRDLKASNILLDENMNPKISDFGMARIFTHNALEENTSRIVGTRGYMAPETIEGIVSVKSDVYSFGVLVLEIISGRKNNSFYNDDRVLNLVGYAWELWKENAGLELMDPTLSDSCIGNQLLRCINVGLLCVEENAADRPTMSDVISMLTNESMQLPKPTKPAYYTEGNTDTAGIGRKGPQNGSINGLSNSDFGAR >ONI11723 pep chromosome:Prunus_persica_NCBIv2:G4:6692927:6696094:1 gene:PRUPE_4G123100 transcript:ONI11723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYRLNISHYFLLLFCLFGNIGLASTNVKSICIEEERQALVSFKQDLKDPSGRLSSWVGHDCCQWEGISCNNRTGHVAKMDLRSSYPYPRQPDEEWGSSLGGKINPSLLSLKHLYYLDLSLNNFQGIPIPKFFGELKSLRYLNISFAWFGGEIPPSLGNLSNLNYLDLSSSYYVSKNLNWLSHLSSLKYLNLNRVNLSSTTGVTNWMHHFNMLPSLLELHLSGCGIESLPLSLGRINFTSLSVLDMSQNDFNTSSFPSWLFNLTSLRKLDLQWNSFNSPLPTELASLKFLEYLDLSNSGVKGQIARVSGNLCKLKVLRLGGNDFHGEGMEEFWRALSNCPNNTILELDLSGCGLESELAAELGMLTNLQFLYLSSNKLWGSIPESIGSLLSLKYLDLSDNHMNGSIPEGLGKLSELEVLDLGENSWEGVLTEAHFINLTRLKAISIFNYDPISLIFNITYDWVPPFKLRSIDIRHCKVGPAFGVWLQSQTELQIITLSSTEISDSIPEEWLLKLSSQLTYLNLSHNQFRGRLPSIELRFPYLHSISLDHNKFEGPLPLWSTNATILDLENNLFSGPIPSNLDKLMPQLQELYLSENHLNGTIPPCICNMHDFAVLSVRSNHFSGEFLNACSPKSVISIVDVAYNNLSGNMPSSLGELSNLQILMLNNNNFGGKIPNSLQNCPILKSIDLGGNKLSGNIPSWIGGSNGSMLYMLQLRNNFFSGHIPRQLCNLGYLRILDLSHNNFSGTIPNCLNNLTSLLLNVSVTPPRFYTQQATLTLKGQQLVYNTTLLLVKSIDLSSNNLQGEIPQEISSLILLGTLNLSMNQFTGKIPTKIGNMYWLETLDFSHNHLSGQIPQTLSSLTFLSHLNLSYNNLVGRIPWGNQLQTLTDSSIYVGNPSLCGFPLSTKCPGDNTFTTTDAKHINDDGNDELWFYVSMVLGFLVGFWSVCGTLIVKKSWRYAYFRLFDDIKNKVTVAIVAL >ONI10864 pep chromosome:Prunus_persica_NCBIv2:G4:3568486:3574212:1 gene:PRUPE_4G073000 transcript:ONI10864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGGGEVDGKGKGYQDNQRVAFYKLFRFADRLDVVLMIVGSICAAGNGLSQPLMALIFGNLIDTFGATDPADIVPMVSKVSLKFVYLAIGTGIAAFIQVACWMVTGERQATRIRGLYLKTILRQDIAFFDTETNTGEIIGRMSGDTILIQDAMGEKVGKFIQLLSTFIGGFVIAFVKGWQLTLVLLSCIPAMVLAGGAMSMIVSKMSTRGQSAYAEASNIVEQTVGSIRTVASFTGEKRAIDKYNQKIKIAYNTMVQQGLATGTGLGTLMLIIFCTYGLAIWYGSKMIIKNGYNGGQVINVIFAIMTGGISLGQTPPSLNAFASGKAAAYKMLETIKRTPKIDPYDTSGIVLEDIKGEVELKDVDFRYPARPDVQIFAGFTLHVPSGTTTALVGQSGSGKSTVIGLVERFYDPEAGQVLIDGVDLKKLQLKSIREKIGLVSQEPNLFTTTIRENIAYGKENATEEEIRRATELANAARFIDKLPQGLDTMVGEHGTSLSGGQKQRIAIARAILKNPRILLLDEATSALDAESEKIVQDALVNLMSNRTTIVVAHRLSTIRNADCIAVVHRGKIVEKGTHEELTKDPEGAYSQLIRLQEGARVENDAQTSDMDEIITSLDIDRTLLSSGSRRSSMGRSLSRGSSGSRRSFTIGFGIPGPHNIQETEVGDEEDHERTKADLDNRKRVSIRRLATLNKPEVPVLLLGAIAAAGHGVLFPVFGLLLSKAIKMFYEPHNELRMDSKKWAGVYVGMGCISLVVIPVQNFFFGVAGGKLIERIRSLTFEKVVYQQISWFDDPANSSGAIGARLSSDASTLKSLVGDALALLAQNIATILAGLIIGFTANWKLALLILAVSPLLILQGTLQTKFLKGFSADAKLMYEEASQVANDAIGSIRTVASFCSEKKVMDAYQKKCDAPMKQGVRLGVVSGAGFGFSFFLMFCTNALIFYVGAVLVKHGQATFEQVFKVFFALTMSAMGVSQATGMAPDSNKAKDSAASIFQILESKPKIDSSSDKGTTLSTLVGEIELEHVSFKYPTRPDVQIFRDICLKMPSGKTVALVGESGSGKSTVIGLIERFYDPDSGHVLLDGMDIQKFKLNWLRQQIGLVGQEPVLFNESIRDNIAYGKQGDVTEEEIIVATKAANAHNFISSLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALDSVIVNRTTVVVAHRLTTIKGADIIAVVKNGVIAEKGSHEFLMKITDGAYASLVALHSSSST >ONI11933 pep chromosome:Prunus_persica_NCBIv2:G4:7488196:7501402:1 gene:PRUPE_4G135700 transcript:ONI11933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGPRSKLDHETRAKRHKALEAPNEPRRPKAHWDHVLEEMIWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKRMKEEELRLKKVALSISKDVKKFWLKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLGDSYKPVQQYPIQNQLSIQCKEMDENDINKSTEFNADPQSDTVDGDDDYDVQSDDGTEDDECTIEEDEALFTEQERQEELAALQNEVDLPLEELLKQYPMEKVSPESSPEKGEDVTEMASVGEDHGICPEKGDDSAEMTSVGQDPGTCSEKVEDDAEMTSVGEDPGMCPEKVEDGAEMTSVGKDPGMRPEKVEDGTEMTSVGEDHGGQSDIFVASKTEKISSDIFTGRRCVESNGGLSTSETHLSDIEINGAKNISEASAQLAKGHVQYDFNDEHEDGDFILAAGEEKDDETTLSEEEELARADTSDPMDEIALLQKESEVPLEELLARYKKDSNSDEVADGESEYASALSEGFVDSPSLEDVEPKQHSVCMDEDVDSGEHQLALDSPTEEQSARIDKISEGGKDSENRLEDAAAAARSAQPTGNTFSTTQVRTKFPFLLKFPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQEKVNKEVLDRLHNVLRPFILRRLKRDVEKQLPMKHEHVINCRLSRRQRNLYEDFIASSETQATLASANFFGMISIIMQLRKVCNHPDLFEGRPIVSSYDMAGIYTQLSSSVCSILSPGPFSAVDLRGLGFLFTHLDFTMTSWESDEAKALATPSSSIKERVELTNLEYIGGFKHRKKLHGTNIFEEVHKAIMEERLRQAKEHAAATAWWNNLRCNRKPIYSTSLRDLVTIRHPVFDIHSHKANPLSYMYSSKLADIVLSPVERFQKMIDLVESFLFAIPAARAPPPVCWCSKSGSAVLQNPVYKQKCTETLSPLLSPLRPAIVRRQVYFPDRRLIQFDCGKLQELAGLLRKLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISQSTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRALPVKNTQKEKNHNTTEVSLSNADLEAALKHAEDEADYMALKKVEQEEAVDNQEFTEEAIVRLEDDELVNEDDMKIDEPVEQGGWTTSSNKENGITLNGSDSNDERAVTIACREDDVDMLDDVKQMAAAAGQEISSFGNQLRPIDRYAIRFLELWDPIIDKTAVESQVRFEETEWELDRIEKYKEEMEAEIDEDEEPLVYETWDADFATEAYRQQVEALTQHQLMEELEYEAKVKEDEADENCDSMKNEMPSDPKPKPKKKLKKAKFKSLKKRSLASELKLVKGDLQVEPMSIDEDSISYEIVTYSDMESPRSIVKRKRKKAESRPFGEEKTSKKKSKKLKKSTLEICPSEFDTNLSTMEHDEVTESKPSESVVDFEHKPVSRSKMGGKISITSMPVKRVLMIKPEKLKKGNIWSRDCIPPPDFWLSQEDAILCAVVHEYGPYWSLVSDILYGMTAGGFYRGRYRHPVHCCERFRELIQRYVLSTPDNPNYEKVNNIGSGKALLRVTEDNIRMLLNVAAEQPNREFVIQKHFTALLSSVWKVTSRKDRRKNLPSSWNGLYSGGSFFSSSNQISQTSMKERTERMKLSTFGHGTKLIAAALNDASSRQEDGRVFRPNLGKDSAMDAERLDITLEFQGGKDDFMDALPSVINLSVSDSDPLPLLSQATEDHHLRNSSNDQCKDSCDVNLAENRFRTATRTCIEDTMGWAASAFPTNDIRSRSVSKPQTTGKHKLVFSDSVRPSKSKIRKSSVEHGEMRSFITEQVFQPLPMAAPMNPIPRFDLNMPVSEDVGIDDLEDNSYSYIDESLLETEDFGVLPHEYVPGLIGDLDDELLPEYIDIG >ONI11934 pep chromosome:Prunus_persica_NCBIv2:G4:7492220:7501402:1 gene:PRUPE_4G135700 transcript:ONI11934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKAALCPLLYFEEDDECTIEEDEALFTEQERQEELAALQNEVDLPLEELLKQYPMEKVSPESSPEKGEDVTEMASVGEDHGICPEKGDDSAEMTSVGQDPGTCSEKVEDDAEMTSVGEDPGMCPEKVEDGAEMTSVGKDPGMRPEKVEDGTEMTSVGEDHGGQSDIFVASKTEKISSDIFTGRRCVESNGGLSTSETHLSDIEINGAKNISEASAQLAKGHVQYDFNDEHEDGDFILAAGEEKDDETTLSEEEELARADTSDPMDEIALLQKESEVPLEELLARYKKDSNSDEVADGESEYASALSEGFVDSPSLEDVEPKQHSVCMDEDVDSGEHQLALDSPTEEQSARIDKISEGGKDSENRLEDAAAAARSAQPTGNTFSTTQVRTKFPFLLKFPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQEKVNKEVLDRLHNVLRPFILRRLKRDVEKQLPMKHEHVINCRLSRRQRNLYEDFIASSETQATLASANFFGMISIIMQLRKVCNHPDLFEGRPIVSSYDMAGIYTQLSSSVCSILSPGPFSAVDLRGLGFLFTHLDFTMTSWESDEAKALATPSSSIKERVELTNLEYIGGFKHRKKLHGTNIFEEVHKAIMEERLRQAKEHAAATAWWNNLRCNRKPIYSTSLRDLVTIRHPVFDIHSHKANPLSYMYSSKLADIVLSPVERFQKMIDLVESFLFAIPAARAPPPVCWCSKSGSAVLQNPVYKQKCTETLSPLLSPLRPAIVRRQVYFPDRRLIQFDCGKLQELAGLLRKLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISQSTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRALPVKNTQKEKNHNTTEVSLSNADLEAALKHAEDEADYMALKKVEQEEAVDNQEFTEEAIVRLEDDELVNEDDMKIDEPVEQGGWTTSSNKENGITLNGSDSNDERAVTIACREDDVDMLDDVKQMAAAAGQEISSFGNQLRPIDRYAIRFLELWDPIIDKTAVESQVRFEETEWELDRIEKYKEEMEAEIDEDEEPLVYETWDADFATEAYRQQVEALTQHQLMEELEYEAKVKEDEADENCDSMKNEMPSDPKPKPKKKLKKAKFKSLKKRSLASELKLVKGDLQVEPMSIDEDSISYEIVTYSDMESPRSIVKRKRKKAESRPFGEEKTSKKKSKKLKKSTLEICPSEFDTNLSTMEHDEVTESKPSESVVDFEHKPVSRSKMGGKISITSMPVKRVLMIKPEKLKKGNIWSRDCIPPPDFWLSQEDAILCAVVHEYGPYWSLVSDILYGMTAGGFYRGRYRHPVHCCERFRELIQRYVLSTPDNPNYEKVNNIGSGKALLRVTEDNIRMLLNVAAEQPNREFVIQKHFTALLSSVWKVTSRKDRRKNLPSSWNGLYSGGSFFSSSNQISQTSMKERTERMKLSTFGHGTKLIAAALNDASSRQEDGRVFRPNLGKDSAMDAERLDITLEFQGGKDDFMDALPSVINLSVSDSDPLPLLSQATEDHHLRNSSNDQCKDSCDVNLAENRFRTATRTCIEDTMGWAASAFPTNDIRSRSVSKPQTTGKHKLVFSDSVRPSKSKIRKSSVEHGEMRSFITEQVFQPLPMAAPMNPIPRFDLNMPVSEDVGIDDLEDNSYSYIDESLLETEDFGVLPHEYVPGLIGDLDDELLPEYIDIG >ONI11771 pep chromosome:Prunus_persica_NCBIv2:G4:6793458:6797551:-1 gene:PRUPE_4G124500 transcript:ONI11771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFNKIKVTNPVVEMDGDEMTRIFWKSIKDKLIFPFLELDIKYFDLGLPNRDATNDKVTIESAEATLKYNVAIKCATITPDETRVKEFNLKQMWRSPNGTIRNILNGTVFREPIICRNVPRLVPGWTKPICIGRHAFGDQYRATDAIIEGPGKLKMVFVPDGPNEKTEWEVYNFTGAGGIALSMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAHWRSRFEAAGICEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSILVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDGNDRLLDYTEKLEAACVSTVESGKMTKDLALLIHGPKVTRSKYLNTEEFIDAVAEELRVRLFSRAKL >ONI11772 pep chromosome:Prunus_persica_NCBIv2:G4:6793458:6797550:-1 gene:PRUPE_4G124500 transcript:ONI11772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFNKIKVTNPVVEMDGDEMTRIFWKSIKDKLIFPFLELDIKYFDLGLPNRDATNDKVTIESAEATLKYNVAIKCATITPDETRVKEFNLKQMWRSPNGTIRNILNGTVFREPIICRNVPRLVPGWTKPICIGRHAFGDQYRATDAIIEGPGKLKMVFVPDGPNEKTEWEVYNFTGAGGIALSMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAHWRSRFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSILVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDGNDRLLDYTEKLEAACVSTVESGKMTKDLALLIHGPKVTRSKYLNTEEFIDAVAEELRVRLFSRAKL >ONI11069 pep chromosome:Prunus_persica_NCBIv2:G4:4187342:4191272:-1 gene:PRUPE_4G085400 transcript:ONI11069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESWRMRMGLMPTLPRRRSAEHVSTRSVFAAADDCQTLDPDDFADVFGGPPRSVLPREFSGRTTSFYEEIFRPPEFGLTADKSGRSLPAFRIPGRSEGFYSDIFGSDDDGGQRRSRERSRPNSKAKSNSSSVLSSEELSPLRPIIGDDVALSSFASKLRWNSSTMLPTKQENSKKQGTASLHCNRMSFTENQVMGNAHDESFRNSYFGFTRKVLSPETISLEPNSYRSVKLSVDDLELNSPSSAVSSLCQEHEVKFGIRDRVFDYEKEVMRAVEREEDDEAMSSYVIEINSEHREGTCEAGGIEEAIAWAKEKFQTHSNSEKEGSLTQQENEQSVGMDQEGRPNNADEYSDHQQRDELHEMTQSPEEEEEEEEERTWVAEDENQHSEKEIQMELLDEDIRLWSAGRESNIRLLLSTLHHILWADSGWYAIPLTSLIESSQVKKAYQKARLCLHPDKLQQRGATLSQKHLAEKAFSILQDAWALFISQDVFII >ONI11070 pep chromosome:Prunus_persica_NCBIv2:G4:4188153:4190863:-1 gene:PRUPE_4G085400 transcript:ONI11070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESWRMRMGLMPTLPRRRSAEHVSTRSVFAAADDCQTLDPDDFADVFGGPPRSVLPREFSGRTTSFYEEIFRPPEFGLTADKSGRSLPAFRIPGRSEGFYSDIFGSDDDGGQRRSRERSRPNSKAKSNSSSVLSSEELSPLRPIIGDDVALSSFASKLRPLNVPCRWNSSTMLPTKQENSKKQGTASLHCNRMSFTENQVMGNAHDESFRNSYFGFTRKVLSPETISLEPNSYRSVKLSVDDLELNSPSSAVSSLCQEHEVKFGIRDRVFDYEKEVMRAVEREEDDEAMSSYVIEINSEHREGTCEAGGIEEAIAWAKEKFQTHSNSEKEGSLTQQENEQSVGMDQEGRPNNADEYSDHQQRDELHEMTQSPEEEEEEEEERTWVAEDENQHSEKEIQMELLDEDIRLWSAGRESNIRLLLSTLHHILWADSGWYAIPLTSLIESSQVKKAYQKARLCLHPDKLQQRGATLSQKHLAEKAFSILQDAWALFISQDVFII >ONI10057 pep chromosome:Prunus_persica_NCBIv2:G4:1200807:1202615:-1 gene:PRUPE_4G025400 transcript:ONI10057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIPKLPLVFLITTLLFLTAFIGLSESNSDQVAELLSLQARSKSGLIRLDDHSLSRFLTSVKTPRPYWVLIFFDAAKLHDKHELHLKELRSEFALVASSFIENNEDPSSSSHAKLFFCDIEFQDSQHSFAQFGVNSLPHIRLIGPNHSLKESQQMDQGDFSRLAESMSEFIESKTKLVVGPIQRPPMISKKQIMFATIAWLIWLPFIGKKIISGKTLLHDPRIWLSGAVFIYFFSVSGAMHNIIRKMPMFLVDRNDPSKLIFFYQGSGMQLGTEGFTVGFLYTIVGLLLAFLTRVLVTVRNVKVQRIVMIIALLVSFWAVKKVVYLDNWKTGYGVHAFWPSSWN >ONI13192 pep chromosome:Prunus_persica_NCBIv2:G4:13033547:13034019:1 gene:PRUPE_4G209100 transcript:ONI13192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHSTHLVNLSSLQIFNYIYMRICLWTQILEANNHPSLLNICTTRTKEFDASPNCAFITPGKVPLLLQ >ONI14007 pep chromosome:Prunus_persica_NCBIv2:G4:18252352:18254180:1 gene:PRUPE_4G257400 transcript:ONI14007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLRNPFTGFLMFMWFCVPCLAESPTHQLTCCLTLHDINFTAFPATENDSAANNYYKLLNFSIQNLRFVDPTVPKPIAIILPESLEQLINSIFCSRQRSLEIRVRSGGHSYEGTSSVAEDGARFVIIDMMNLNRVSVDLETETAWVEGGATLGETYHAIAKASLVHGFSAGSCPTVGVGGHIAGGGFGLMSRKYGLAADNVVDALLVDAEGRLLDREGMGDDVFWAIRGGGGGVWGVVYSWKIQLLKVPQIVTAFVVSRPGEKRHVAKLVDKWQNVSPYLEDDFYISCFVGAGLPEAKNATSPSGISATFKGFYLGPRSTAMSILNQAFPDLGITQDDCTEMSWIESVVFFSGLSKGSLVSDLRNRYLQEKGYFKAKSDYVRTPISQAGIEAAIEILEEEPKGYVILDPYGGIMHHVSSESIAFPHRKGNLFTIQYLVEWKEEDNYKKDDYIDWIRRLYNSMTQFVSWDPRAAYINYVDLDLGTMRFVDPSVTTKDAVEIARDWGEKYFLNNYNRLVKAKTLIDPSNVFRNQQGIPPMSLAGLSSVLHAEI >ONI12600 pep chromosome:Prunus_persica_NCBIv2:G4:10306859:10309491:-1 gene:PRUPE_4G174100 transcript:ONI12600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTNKTAPSQQPQQSNAKPGLRKPVFTKVELLKPETSGHTLVAKVLTSNPVLQKGRSVSQHLRQTRISECLIGDETGTILFTARNDQVDLLKPGTTVILRNARIDMFKGSMRLAVDKWGRIEVTEPANFVVKEDNNLSLVEYELVNVQEDGGAPPGS >ONI11189 pep chromosome:Prunus_persica_NCBIv2:G4:4566160:4566951:1 gene:PRUPE_4G091500 transcript:ONI11189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQILLLTTFLAMTCALVIAFEPSPLQDFCVADTTSSVTRVNGLPCLDSKLAQADHFFFSGLHIPGNTSNPVGGKVTPVNVVQIPGLNTLGISLARIDYAQWGVIPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLISKVLYKGDVFVFPVGLVHFQQNVGKGNAVSLSSLSSQNPGVNTIANAVFGANPSISDDILAKSFQVDKTVISSLQAKF >ONI13967 pep chromosome:Prunus_persica_NCBIv2:G4:17686233:17692893:1 gene:PRUPE_4G255000 transcript:ONI13967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVETVDFDFQEDDLMDEEGAADAEPSASPRAPQPKLKSAITGVGASASPAAPKKTKGRGFREDLNPDRNNRLAASDFDSLNSSDGLEPQRSVEGWIILVTGVHEEAQEDHLHNAFGEFGEIKNLHLNLDRRTGFVKGYALIEYESFEEAQAAISGMNEAALLTQILNVDWAFSNGSFLDGSRKKTTRPLRERRSRSPPRRRY >ONI09751 pep chromosome:Prunus_persica_NCBIv2:G4:371485:376542:1 gene:PRUPE_4G007100 transcript:ONI09751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKFSATLRIGDLNDFIAPSQACIVSLKGLKASSTKPSAKPEVSTSSKQLKTEPVKISLKDCLACSGCVTSAETVMLEKQSLDEFLFNIDKGKAVIVSLSPQSRASLAVYFGISPLQVFRKLTTFFKSLGVKAIFDTSCSRDLTLVESCNEFITRYKQSQSTDDKSCQSSLPMISSACPGWICYAEKQLGSYVLPYISSVKSPQQTIGVIVKNNICQKMGLRPADVYHVTVMPCYDKKLEASRDDFVFEVEQSKTNENEGLRVSEVDSVLTSGEVLELIQLKGVDFKGLEESSLDGMLTNFNEGHLYGVQGSSGGYAETIFRYAGKVLFGREVKGPVDFRTIKNSDFQEVTLEVEGKTVLKFALCYGFRNLQNIVRKIKIGKCDYHFLEIMACPSGCLNGGGQVKPQPGQSAKELIQLLQTAYMENVLIADPFGNPLVQSLYDEWLEHPGSEKAKRLFHTDYHPVVKSISSQLHNW >ONI12666 pep chromosome:Prunus_persica_NCBIv2:G4:10581065:10583143:1 gene:PRUPE_4G178100 transcript:ONI12666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPRKRSPKKPSFFKILIGDFYQRLRIPPKFIENFNGQSLRKCALRGPSRQWWDVKLEERENSLFFRDGWQGFVKDHVLEVGDLLVFSYNGKSKFKVKIYDRSACEKNVEVAERRSGSPVSLVNKGNQAQVKNEIVELDTEDCENKTIDSDRRSCKYPISEKRPVSFCVQETSTGSILFKSENPCFLVCSRKQHLLYRVTIPNKLAVAEGLRGRDAVILQDPTGRSWLVELRVECVNRLDMAIGWTECRKANQISPGDSIIFELVEQGVMQFHIFRGAVRGNRLSVITAAPNVKN >ONI12665 pep chromosome:Prunus_persica_NCBIv2:G4:10580864:10583143:1 gene:PRUPE_4G178100 transcript:ONI12665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPRKRSPKKPSFFKILIGDFYQRLRIPPKFIENFNGQSLRKCALRGPSRQWWDVKLEERENSLFFRDGWQGFVKDHVLEVGDLLVFSYNGKSKFKVKIYDRSACEKNVEVAERRSGSPVSLVNKGNQAQVKNEIVELDTEDCENKTIDSDRRSCKYPISEKRPVSFCVQETSTGSILFKSENPCFLVCSRKQHLLYRVTIPNKLAVAEGLRGRDAVILQDPTGRSWLVELRVECVNRLDMAIGWTECRKANQISPGDSIIFELVEQGVMQFHIFRGAVRGNRLSVITAAPNVKN >ONI09814 pep chromosome:Prunus_persica_NCBIv2:G4:530562:531641:1 gene:PRUPE_4G010700 transcript:ONI09814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIPNFIMVWILAVASLSYCHTIVIFFFFFLPLNLTTIFLGGPSSFFLAWLANFKLLLFAYGKGPLSTTSPICIYHFIPLACLPIKFRKVENKIKSGSSASAPKGQKSPFNYAIKALIFVTSLHVFHNKQYIHPKIILFLYSIYMYTGLELVLALVASLAQAFLGVDFEPQFDEPYLATSLQDFWGRRWNIMVSRILHPTVYDPVRSISSRVIGNRWAPLPAVMASFFVSAVMHELIFYYIGRTKPTWELTCFFLLHGVCLAVEIMLKQAFDGKWRLPAAVSGPLAMTFVVVTGLWLFLPSLLVRCEADVMAYREYVAFTEFIENVSNFVKPNSLLIKRSW >ONI12995 pep chromosome:Prunus_persica_NCBIv2:G4:11970450:11973233:-1 gene:PRUPE_4G196300 transcript:ONI12995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHDWKIAEKFPGLTIAGADPIRSSNNSTSNQDGNLLQVMKAMEAAEATIKQQVEENIRLRTQLEHKMLELHRYKLQESMPTQMPPSVDPWAQRLHGSHEQAHQPVAHVDNQIDRIANPAQIHRESETRETQLGSSEINGAMKVVRVSGNQAAAENASSGISRLSSPSTTTSLSPGRSSTEGEYDPQRVNFSGHGLMPLTERNNPSNSLWKKDLVVKVHEHEQEIMQLRKHLADYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLADAASKALSYRQDIIEENIRLAYAVQDAQQERSTFVSSLLPILAEYSLQPPVPDAQSIVGNVKVLFRHLQEKLLLTESKLKELQYQLTPWRSDSNQQPNNISIAAPPSPPSQSIGGGALLNVSRNGLEMVPQNQPTYASNGGRVPASASDTQTTADWDHHPQSGFGGGAPNNVETNDLGLGRYSPVPSRTSAGQDQDVPVNLAVTQGDTQRVNQYSGGTSNRQVTFRDPVGNTHREMDDQYAEGNQNDRETSSNWSAGNSPYTSPLNDSSSPYPHYLPPVLEEGGSSSYSEAADDDPLPAIEGLQISGEAFPGHELQACGYSINGTTSCNFEWVRHMQDGSVNYIDGAKQPNYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANEHKKITCDTEMQGQIEKILYKGQASFKIFQFAGYLDIWEPATLAIKRGAYSIKGSGGTSGSGVVVTEKFSPNTFVTIPYGSPAEFIIVSGSDGGGGGGEYLLRADNESCSRDTVVLTLRLFIHRADVRKNSRRGKKMLFF >ONI11788 pep chromosome:Prunus_persica_NCBIv2:G4:6864864:6866775:-1 gene:PRUPE_4G125400 transcript:ONI11788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSSIFFLYLSQKPTPRESKHHLSYLEPFNFMLFSSIFFLALPKHTSREQASSLISGTLQFHALFFHLLPLSLPKTHIQRKQTSSLISETLYLHALFVHLFPLSPKTHI >ONI12874 pep chromosome:Prunus_persica_NCBIv2:G4:11232893:11238310:1 gene:PRUPE_4G188800 transcript:ONI12874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWALSCATSAYPMLTLRASLPMATTRSCSPVSVPTNHHYHQKDSPFVPEVAEAVDSLYSEFRAVDNLVAHNTTRVLKAFQNARVGSHHFAGCTGYGHDEAGGREALDQAFAEIVGAESSIVRSQFFSGTHAITCALFAFLRPGDELLAVAGPPYDTLEEVIGKRDSHGMGSLIDFGVKYREVPLAENGGLDWDALMHALRPETKCALIQRSCGYSWRQSLSVDEIKRAIKIIKTQNPNCLVLVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTISPCGGYVAGKEKWVKAAAARLSAPGLGVDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGTLLVAEVMASKGYKVQPLPRVPRHDTVQAIQLGSRERLLAFCEAVQRSSPVGSFTRPIAGTTPGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGSHWTQWGLVLGEVLKAI >ONI12875 pep chromosome:Prunus_persica_NCBIv2:G4:11232893:11238310:1 gene:PRUPE_4G188800 transcript:ONI12875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWALSCATSAYPMLTLRASLPMATTRSCSPVSVPTNHHYHQKDSPFVPEVAEAVDSLYSEFRAVDNLVAHNTTRVLKAFQNARVGSHHFAGCTGYGHDEAGGREALDQAFAEIVGAESSIVRSQFFSGTHAITCALFAFLRPGDELLAVAGPPYDTLEEVIGKRDSHGMGSLIDFGVKYREVPLAENGGLDWDALMHALRPETKCALIQRSCGYSWRQSLSVDEIKRAIKIIKGADLIAGSLIKNPGGTISPCGGYVAGKEKWVKAAAARLSAPGLGVDCGSTPGDIMRAFFQGLFLSPQMVGEAIKGTLLVAEVMASKGYKVQPLPRVPRHDTVQAIQLGSRERLLAFCEAVQRSSPVGSFTRPIAGTTPGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGSHWTQWGLVLGEVLKAI >ONI13302 pep chromosome:Prunus_persica_NCBIv2:G4:13377484:13381806:-1 gene:PRUPE_4G214300 transcript:ONI13302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKGDNVITKLEKILSMKGGKGELSYANNSKSQAEHARSMLPLLKETLDRVQLSSREAPFVVVDLGCSSGSNTIYIIDVIIKHMAKRYEALGYHPPEFAAFFSDLPSNDFNTLFQFLPPMANHGGSMEETIAADSHRSYFAAGVPGSFYRRLFPSRSIDVFHSAFSLHWLSQVPESVLDMKSAAYNKGRVFIHGANKSTANAYKKQFQTDLASFLRSRAKELKKGGSMFLVCLGRTSVDPTDQSGLGLLLGTYIQGAWDDLVQEGLINSEKRDSFNIPVYASSLQDFREVVEADGSFTINKLEIFKGGSPIVVNQPDDAAEVGRALANTCRSATGVLIDAHIGEHLGNELFSRVEQRSSRHAKELLEHIQMFYIVASISLA >ONI11267 pep chromosome:Prunus_persica_NCBIv2:G4:4881637:4882329:-1 gene:PRUPE_4G097300 transcript:ONI11267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDVKLVPLLIILFNSVWSTSSNSISESLLQCLSTHLKHSNSSKEIILTRNSSAYSSILQSSIQNLRFLNNSTPKPKVIVTPFHESHVQAAVICSKKHGIQKRFQSGGHDYEGLSYVSYAPFIIIELFNLQTIHIDVKNESAWVESGATLGELYYLIAQKSNVHEFPAGSCPTMGVGGHISGGGFGTIFRKYGLAVDNVIDARIVDVNGRILSRKSKGEELLWAIRGG >ONI10638 pep chromosome:Prunus_persica_NCBIv2:G4:2817021:2819046:1 gene:PRUPE_4G059000 transcript:ONI10638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFILVASLCLTLSTVSSSLQPYEAIFNFGDSLSDTGNFLLSGALAFPVIGKLPYGETFFRRATGRCSDGRLVVDFIAEALGLPHLPPYLALSKGQDVKHGVNFAVAGATALDPQFFYQRKIGSVMWTNDSLSTQLGWFKKLKPSLCTTKQECDNYFKKALFLVGEIGGNDYNYAFFVGGNIKQLKASVPFVVEAITQATSALIEEGAVELVVPGNLPIGCSAVYLTLFRSPNEAVYDKRNRCLKAFNGFSKYHNSELKRALGALRLKYPHARIIYADYYGAAMPFYHAPQHYGFKSGTLRACCGGGGPYNFNNSARCGHIGSTACKDPSSYANWDGIHLTEAAYGHIAKGLIHGRFATPL >ONI14077 pep chromosome:Prunus_persica_NCBIv2:G4:18871935:18872696:1 gene:PRUPE_4G260800 transcript:ONI14077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTCRSYRYDVFLSFRGQDTRKTFTDHLYANLVKAGFRTFRDDDEVERGEGIKPELQKAIKYSRTSVIVFSKNYASSRWCLNELVMILERLSADHVVLPVFYDVDPSDVRNQTGSLAKAFARHQKTQLLMLKMVQPRLSPT >ONI11710 pep chromosome:Prunus_persica_NCBIv2:G4:6597102:6599718:1 gene:PRUPE_4G121800 transcript:ONI11710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDRCNANLFNPTYLLNIAHYLLLFSLASSCLHLTELRLVDGCLREEMQALLRFKHHLTDPSGRLSSWVGHDCCHWKGVSCDNRSRRVRKIDLRNTYEDRLFDDYADDYGEEWDEAAYEESCLGGKITSSLLSLKHLSHLDLSDNNLQGISLLCQLQSLRYLNISFASSDAVIHNCFFNLTNLKKLDLSGISFSGHFPSELAGLESLEHLDLSHNYLKGKIPKLIGNFCNLKFLSLEDNTLDGGIQELLSGFSNCTNNRLESLDLSINEFVTELPASLGVLTNLQHLHLEDNSFWGPIPTSVGNLSSLKTLNLRRNNMNGAIPESFGQLSELVDLGLSQNSWKGIVTETHLMNLTRLRHLDVSTDQPMSLIFSVAYEWVPPFKLYTIDITNCSVGPAFSVWLQWQTELSDVTLHNTGVSDSIPEEWFLKLSSKLQLMDLSYNQIHGRLPFQFKCPNLYQIDLSHNHFAGPLPLLSSNASILDFQSNLFSGPIPLDFGRLMPKLEILYLSENHLNGAIPASLCNMQNLSMLSLRRNQLSGDFPQAWSLWHNIRVVDVADNNLSGNIPSSMGVPNLGDNNFSGTIPKCLKNLTALTVFPYNNYTMPTYYDVQTSVVSKGSELVYYRTALEWVYSVDLSSNNLEGEIPEEITSLMSLGTLNLSRNQLRGRIPQKIGNLRWLETLDLSHNHLCGQIPQSFSSLTFLSHVNLSYNNLTGRIPWGNQLQTLNDSSIYGGNPSLCGLPLLTKCPGDDTPNRLPFPSSGAGENKDEGDEGMLWFYVSIMLGFIVGFWGVCGTLLIKKSWRYAYFRFFDNIKDKVALAIALKVSHLQNKF >ONI12450 pep chromosome:Prunus_persica_NCBIv2:G4:9611237:9612755:-1 gene:PRUPE_4G165500 transcript:ONI12450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIIPHSPFLLLLFSLLAIFFVIPIQSQVPANQTFKFINQGEFEDGNVEYHSTYRVIQTNAYTFYRYPFRLCFYNTTPDSYVFAIRAGVPRDLGLMRWVWDANRNHPVHEKATLSFGRDGNLVLGEADGTVVWQTNTANKGVTGIKLLGNGNLVLHDKNGKFIWQSFDYPTDTLLLGQSVKTNGRNKLVSRKSDADGSDGPYSLLLDHKGFAMYLNNSGKLLVYGGWRGSDYGSIVTFDVETEIGFDDVIYYELVLTVNQDVNAPPPPPPQSHRHLLQVRPIGNAHQINLNKLNYNATYSLLRLGSDGSLKAYTYYDKVSSNTWEESFSFFSSYFVRECGLPSKCGSYGYCSRGMCVGCPTPKGLLGWSKGCAPPKLGQCKSGAKVDYYKIGSVEHFMSPYLDGGDGPMKVGECRAKCDKDCKCLGFFYRENSSKCLLAPVLGTLIKEVNTSVGYIKYSK >ONI11932 pep chromosome:Prunus_persica_NCBIv2:G4:7484750:7487577:1 gene:PRUPE_4G135600 transcript:ONI11932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALMMNSFIFLIFACLWTCQDAASDTLKPGDTLNSSSSLVSASGKFILRFIVQTSSNTSYLAILRNKPGANKAWIGNRNTPIPYPSSPLLTLDLNNTLKITQTGQDSIPIFSAPQTSGPVVATLLDSGNLIVQELNSVDGSTKRVLWQCFDYPVDTFLPSMKLGVNRSNGHIWSLLAWADTYNPAPGTFTLDWDPNERQLKFSKSGVVYWTSGVLRSDGRFEFILPNESKQRYNFSIVSNESEECLKYRGVDDQSEPEPELVLYSQGKFLDYEGQVDIVLALNCDGYNTDGGCVKRGDCVARVGDDFELKNGVFKPKNLSSRSPYWFDSNISHSGTINACKATCWNNCTCLGFNFPISDNRTTGSTGCQFWSVDSEFVEENTGSSTATSSFVLSSLTSPPKSPPHKNTGQKWIWIGTSSTGAALMLLVLCHLLRRRRLALSTGESRTNIENEMLNFMKSNRPTDHVGLQNDGKMGHQDLSVFSYASVLAATSNFSDENKLGEGGFGPVYKGKLGTGQEIAVKRLWKCSGQGTAEFKNELILIYELQHTNLVQLFGFCIHEEAGYPNRRLLLDWKKRFGILEGIAQGLLYLHKYSRKKVIHRDLKASNILLDENLNPKISDFGMARIFTNNELEANTSKIVGTRGYMPPESMEGIVSVKSDVYSFGVLMLEIISGRRNNSFYNDDRALSLVGYAWELWKEGAGLELMDPTVGDSCINDQVLRCIHVVLLCVEEDAADRPTMSA >ONI12536 pep chromosome:Prunus_persica_NCBIv2:G4:10049603:10055895:1 gene:PRUPE_4G170200 transcript:ONI12536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKKLKGMNWVGNIYQKFEAICQEVDDIVNQDTIKYVETQVQTVGKSVKKLCSDVVQDLIPPLGNPVNHDTQEVAITYNSTISTKSREHLEENSSDEIEKQSPAEPNVMDPVINQPSLVSSKYFLADQPSSPTSMDSSEVSESDVSVGKIREVFSNENSLGATEMKSPSEPHVMDPVTNQLSTMSCKYQLADQPSSPTSLDTLELSEYDLSVEKIDEVLTNEKSLDATEESPTECNVMDPVTNQPSLGSSKFYLADQPYSPTSMDTLVLSEAYFSVENQESLDATENQSPTEPNVMDPVTNQPSLISCKCHIADQQSAPISMDTLEVSESDFSARKIDEVLTNGISLDATKNQSPTEPSIMDHVASQLRLVSSKYHLADQLSSPTSINTLGVSESDLSVGKIDDNLTNENSDANNEEISIKMLDLTSPVMSEHFTESPFQVFAHSNYENRHTFLPEVAPISPAHGLEFESQQKCTVCSDEILSVSGASNTTTKMVFSDVSGEDTPLGIAPFSSCNAKESLRLFEYSPENLSLEAMFSHNYVEETGCVSDVSNEIPTSASPLTVSGKIKDVDMGLSSFRGVLSLEPVEEGTSRITLTLPPTVPSGKQQVQICESVQFDALNSFSDIGLSDESSCDFSHSSMETIDLHDKVKLEESCVIVDDSVLHAISFRTRKLRSYKKRIQDAFTSKKRLTKEYEQLAIWFGDTDINSSQETLSNMTNLQTHDACDSEWELL >ONI12537 pep chromosome:Prunus_persica_NCBIv2:G4:10049359:10056518:1 gene:PRUPE_4G170200 transcript:ONI12537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKKLKGMNWVGNIYQKFEAICQEVDDIVNQDTIKYVETQVQTVGKSVKKLCSDVVQDLIPPLGNPVNHDTQEVAITYNSTISTKSREHLEENSSDEIEKQSPAEPNVMDPVINQPSLVSSKYFLADQPSSPTSMDSSEVSESDVSVGKIREVFSNENSLGATEMKSPSEPHVMDPVTNQLSTMSCKYQLADQPSSPTSLDTLELSEYDLSVEKIDEVLTNEKSLDATEESPTECNVMDPVTNQPSLGSSKFYLADQPYSPTSMDTLVLSEAYFSVENQESLDATENQSPTEPNVMDPVTNQPSLISCKCHIADQQSAPISMDTLEVSESDFSARKIDEVLTNGISLDATKNQSPTEPSIMDHVASQLRLVSSKYHLADQLSSPTSINTLGVSESDLSVGKIDDNLTNENSDANNEEISIKMLDLTSPVMSEHFTESPFQVFAHSNYENRHTFLPEVAPISPAHGLEFESQQKCTVCSDEILSVSGASNTTTKMVFSDVSGEDTPLGIAPFSSCNAKESLRLFEYSPENLSLEAMFSHNYVEETGCVSDVSNEIPTSASPLTVSGKIKDVDMGLSSFRGVLSLEPVEEGTSRITLTLPPTVPSGKQQVQICESVQFDALNSFSDIGLSDESSCDFSHSSMETIDLHDKVKLEESCVIVDDSVLHAISFRTRKLRSYKKRIQDAFTSKKRLTKEYEQLAIWFGDTDINSSQETLSNMTNLQTHDACDSEWELL >ONI10967 pep chromosome:Prunus_persica_NCBIv2:G4:3853384:3856260:-1 gene:PRUPE_4G079500 transcript:ONI10967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEENVTLGPTLKDGEYAFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMRIGRIEDVTPIPTDSTRRKGGRRGRRL >ONI10968 pep chromosome:Prunus_persica_NCBIv2:G4:3853389:3856146:-1 gene:PRUPE_4G079500 transcript:ONI10968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKVREPKEENVTLGPTLKDGEYAFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKVLVLLLFISSSVLLVVTKPKPLVQVPSLPSVPLLVLE >ONI12368 pep chromosome:Prunus_persica_NCBIv2:G4:9148821:9149569:-1 gene:PRUPE_4G159600 transcript:ONI12368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVRGFMSKATLQMPMLKTIQWKFMTVRCASGPTRRDITEGPPPQINGTPKTEAVTDEDRPVITTGPPPQINQVPQKNGVTTDEEEQNGANGSNRKRIPAQVLKNL >ONI10134 pep chromosome:Prunus_persica_NCBIv2:G4:1377927:1378641:1 gene:PRUPE_4G029500 transcript:ONI10134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKSIGSGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGSVTIANGGVMPNIHNMLLPKKTGTGKSGPSDD >ONI10587 pep chromosome:Prunus_persica_NCBIv2:G4:2669616:2670410:-1 gene:PRUPE_4G055600 transcript:ONI10587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHSDPHAAQVASEAILENVWASFIGVDGVKEEAKKTTELSKPWKELPPLDGRGGSMEILERLPSLGRWISMGTEAWEELLDSCVVPGHNMEVSSNVKLEGNGAANAEFEAKVEKRREKVEIMKHYRGVRRRPWGKYAAEIRDSTRKGARVWLGTFETAEEAALAYDKAALRIRGSKANLNFPLETVAKAMGLDCFNICSSTNVSNSRKRALREWEESVEAMTDGKPALKRKASMQNMLGDEFDVFEFQDLGSDYLDSLLSSF >ONI14308 pep chromosome:Prunus_persica_NCBIv2:G4:22882754:22887781:1 gene:PRUPE_4G274500 transcript:ONI14308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRCRVWWPKQLSLSTPSSCSNFLLGWFISSSSSSLDVVVAFACTEQALSDKKLCIQGILHDTNGRMPVLLQDKSMLCIVGQFFKVHSKEDQYHSSCCGCHTLNGSLEQCRQTFVESNYWIQMLCDPQEQVGTEISWIPKLHHIHWNGQLVFPCDIHLIFYETPAYGAHHFSLHPWNSFDQVNAPERKPKWVDELHQKQPLLDLDTVILAINSSAAADKVFERCMGPKKSTVRFSTVYMFLAFTWQLFAVSVASLSMLFYVIVQFLYRLLKYASDSWVYIISVKVFSSSRINIRIRCSQILYWPIFLQDNGTRSLSSVEYAEKAALHKHSMWSSLAVDVLLGNLFGLALLYHAESACMWVLKFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMISLTAIQIWSTIWIFLGFHFIYFIRGLAISGIIFGVTVPAALIKDLIALATLHVSTLHWLISLLYSTQIQALAALWRLFRGRKWNPLRQRLDSYDYTVKQHIVGSLLFTPLLLLLPTTSVFYIFFTIMNTTISLIYILIEITISVIHATPYIKIFLWLVMPKRFPSGIWFEIVSVWSDCIYSHKDISSPADKLQSEKGLTGEKASVVVSFLHSNFLTVGQIVMPHYNKILSGKPRTLVATAAYGVLTGRRIPSTIGTDLPIFPWMLISYKEYWRLCHDSILACYRR >ONI13713 pep chromosome:Prunus_persica_NCBIv2:G4:15821708:15822727:1 gene:PRUPE_4G240400 transcript:ONI13713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKVLQDCQLQPTRTMRIITFVISFNCMDIKTIKMRLLGSNTRQEKYTCYRHNWQVTSSKPASNTNGNSSHKFSIANTGL >ONI14250 pep chromosome:Prunus_persica_NCBIv2:G4:21954093:21956597:1 gene:PRUPE_4G270900 transcript:ONI14250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKRKDVIAAPYKETTFLEDSANSFHTAISRKASYQDRNISPKEQKSEPRPLETKKNKEMSQAFFKTPPALDNKNFKMGRTLSEDENFVDVLAKKLLGLNLSDKGKGPQVSVITEGEIDNLETIFKEEQPEISRLTNEKRFTQTAKTKNYYPRPTLVDLQFVEDGMWNSAQYDRSSIVEWNINGLTEYQIINTMKHMMMYATASKIKGNGDRRVAEAIIAGFFGQLKGYDSAMTKILNAQSVHDPATGVIKSEKVYQEDAVNSLIYTITLYFVGTIELQHERSREFLMNLKCPTLSHCRWYKDVFYSKGKKFGGHKTKKYKKYESKSEPKKPYRTFTKKKYAGETSKKTCKGKKSVIEEKYEQFEYENLMGEFQEKIEPLRREALQEEQGICFETPFVLVKGLNQFLIFGTPFINILYPCFVFEE >ONI11918 pep chromosome:Prunus_persica_NCBIv2:G4:7424389:7431904:-1 gene:PRUPE_4G134300 transcript:ONI11918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVAGARAEFTTQSVSTNEPVVSVDWLHANLKEPDLKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGISDRTTKLPHMLPSEEAFAAAVSALGIENKNDLVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKVYKGQAVGPTTFQTKFQPHLVWTIDQVRKNVEERTHEHIDARSKARFDGTALEPRKGIRSGHVPGSKCVPFPQLLDSSVTLLPADKLKNRFDEAGISLESPIVTSCGTGVTACILALGLHRLGKPEVAVYDGSWTEWGAQSDTPIDTSS >ONI11916 pep chromosome:Prunus_persica_NCBIv2:G4:7424346:7431958:-1 gene:PRUPE_4G134300 transcript:ONI11916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFTRTLLGHHRLFHSASHSLCQKPQIFSSLFNRGIEHLRADPAYTKYKASGWVPRAMASSVAGARAEFTTQSVSTNEPVVSVDWLHANLKEPDLKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGISDRTTKLPHMLPSEEAFAAAVSALGIENKNDLVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKVYKGQAVGPTTFQTKFQPHLVWTIDQVRKNVEERTHEHIDARSKARFDGTALEPRKGIRSGHVPGSKCVPFPQLLDSSVTLLPADKLKNRFDEAGISLESPIVTSCGTGVTACILALGLHRLGKPEVAVYDGSWTEWGAQSDTPIDTSS >ONI11915 pep chromosome:Prunus_persica_NCBIv2:G4:7424389:7431947:-1 gene:PRUPE_4G134300 transcript:ONI11915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFTRTLLGHHRLFHSASHSLCQKPQIFSSLFNRGIEHLRADPAYTKYKASGWVPRAMASSVAGARAEFTTQSVSTNEPVVSVDWLHANLKEPDLKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGISDRTTKLPHMLPSEEAFAAAVSALGIENKNDLVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKVYKGQAVGPTTFQTKFQPHLVWTIDQVRKNVEERTHEHIDARSKARFDGTALEPRKGIRSGHVPGSKCVPFPQLLDSSVTLLPADKLKNRFDEAGISLESPIVTSCGTGVTACILALGLHRLGKPEVAVYDGSWTEWGAQSDTPIDTSS >ONI11917 pep chromosome:Prunus_persica_NCBIv2:G4:7424389:7431668:-1 gene:PRUPE_4G134300 transcript:ONI11917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVAGARAEFTTQSVSTNEPVVSVDWLHANLKEPDLKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGISDRTTKLPHMLPSEEAFAAAVSALGIENKNDLVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKVYKGQAVGPTTFQTKFQPHLVWTIDQVRKNVEERTHEHIDARSKARFDGTALEPRKGIRSGHVPGSKCVPFPQLLDSSVTLLPADKLKNRFDEAGISLESPIVTSCGTGVTACILALGLHRLGKPEVAVYDGSWTEWGAQSDTPIDTSS >ONI13677 pep chromosome:Prunus_persica_NCBIv2:G4:15457961:15458335:1 gene:PRUPE_4G237200 transcript:ONI13677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKVATKPHAVCIPVTAQSHIKPMLKFAKLLHHRGFHITFVNTEFNHKHFLKSLGPNSLDGLPDFQFEAIPNSLPDSDEDATQDVTLLCESVRKQNLLAPFHAILAKLNNDAISTSSNPPVVE >ONI12268 pep chromosome:Prunus_persica_NCBIv2:G4:8864791:8866467:-1 gene:PRUPE_4G154800 transcript:ONI12268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLVNHGVSSSLMEKIKTEVQDFFNLPMEVKKKFWQSPGDVEGFGQAFVVSEDQKLDWADLFFMTTLPVQIRRPRLFPNLPSPFRETLDDYSLELRNLSMTILSQMETALQMEDKEVTKLYEDGMQQMRMNYYPPCPHPGEVIGLTPHSDSVGLTILLQVNEMDGLQVKKDGIWVPVKPLPDAFIVNVGDILEIQTNGVYRSIEHRATVNSVKERLSIATFYNPGFGGEIGPVPSLVTEQTPAAYTRIRVEDYFKAYLQRKLQGKSFLDELRIKY >ONI12267 pep chromosome:Prunus_persica_NCBIv2:G4:8864791:8867489:-1 gene:PRUPE_4G154800 transcript:ONI12267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKASRSFYGGSLIVPSVQELAKKPVITIPPRYIQPHHHHHQQDQVIFNSDAEIPFIDMHKLLSQDSIDSESELAKLHFACKEWGFFQLVNHGVSSSLMEKIKTEVQDFFNLPMEVKKKFWQSPGDVEGFGQAFVVSEDQKLDWADLFFMTTLPVQIRRPRLFPNLPSPFRETLDDYSLELRNLSMTILSQMETALQMEDKEVTKLYEDGMQQMRMNYYPPCPHPGEVIGLTPHSDSVGLTILLQVNEMDGLQVKKDGIWVPVKPLPDAFIVNVGDILEIQTNGVYRSIEHRATVNSVKERLSIATFYNPGFGGEIGPVPSLVTEQTPAAYTRIRVEDYFKAYLQRKLQGKSFLDELRIKY >ONI13852 pep chromosome:Prunus_persica_NCBIv2:G4:16667214:16668029:-1 gene:PRUPE_4G249400 transcript:ONI13852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQLVSPTCKLFHHSTQSESIVSTTLMAMCFNTSSHVCALSPRNKITLYYQIIRTEKNVELGNYFKYLFHQY >ONI14610 pep chromosome:Prunus_persica_NCBIv2:G4:25350428:25356446:-1 gene:PRUPE_4G288400 transcript:ONI14610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARRNGGNPKGKDVSEVNSPKVEQLTHGVAGISLESAQDDGEWEVISRKSKNRAGSSTAKQWGPQNSNSKAWAEFDVQKPSMRNSGGSGRASGNFWPSQTADSRKPAGRGNVRQQSSARVSENNYVAPQQVVPPPLDRGWNWQSRAGFTQPKGSEDGQGNYDKDGNVAAAKANDDDDNSDAVGDSDDELYSDEFDSDSSEKSHETRKSSRWFKKFFEILDSLTVDEINDPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGSKRVMLHRELAELLDEELRRKGTTVVPAGEAFGKWKGLKDEEKDHEIVWPPMVVIMNTRLEQDENEKWIGMGNQELLDYFSSYAAVRARHSYGPQGHRGMSILIFEASARGYLEAERLHKHFTEQGTDRDAWDRRRVLFHSGKSKLKFEMRSYQEMVVNQIRQMSEDNQQLNWFKNKVAKEQRHAKALEESLGIVSEKLRKTTEENRIVRQRTKMQHEENKEEMYLQEQFFKDQIKIIHESRDAREESFERLQQEERDKVKQSYVNPSNAEEKKYKSVEIDNFIKSQEKEMEEFVEERDMLIKAHEDNKAAMKRRQWEEEVELEKDFDAKYTQLMEKYSPHRS >ONI14612 pep chromosome:Prunus_persica_NCBIv2:G4:25350354:25356457:-1 gene:PRUPE_4G288400 transcript:ONI14612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARRNGGNPKGKDVSEVNSPKVEQLTHGVAGISLESAQDDGEWEVISRKSKNRAGSSTAKQWGPQNSNSKAWAEFDVQKPSMRNSGGSGRASGNFWPSQTADSRKPAGRGNVRQQSSARVSENNYVAPQQVVPPPLDRGWNWQSRAGFTQPKGSEDGQGNYDKDGNVAAAKANDDDDNSDAVGDSDDELYSDEFDSDSSEKSHETRKSSRWFKKFFEILDSLTVDEINDPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGSKRVMLHRELAELLDEELRRKGTTVVPAGEAFGKWKGLKDEEKDHEIVWPPMVVIMNTRLEQDENEKWIGMGNQELLDYFSSYAAVRARHSYGPQGHRGMSILIFEASARGYLEAERLHKHFTEQGTDRDAWDRRRVLFHSGGKRQLYGYMAVKEDLDIFNQHSQGKSKLKFEMRSYQEMVVNQIRQMSEDNQQLNWFKNKVAKEQRHAKALEESLGIVSEKLRKTTEENRIVRQRTKMQHEENKEEMYLQEQFFKDQIKIIHESRDAREESFERLQQEERDKVKQSYVNPSNAEEKKYKSVEIDNFIKSQEKEMEEFVEERDMLIKAHEDNKAAMKRRQWEEEVELEKDFDAKYTQLMEKYSPHRS >ONI14611 pep chromosome:Prunus_persica_NCBIv2:G4:25350419:25356446:-1 gene:PRUPE_4G288400 transcript:ONI14611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARRNGGNPKGKDVSEVNSPKVEQLTHGVAGISLESAQDDGEWEVISRKSKNRAGSSTAKQWGPQNSNSKAWAEFDVQKPSMRNSGGSGRASGNFWPSQTADSRKPAGRGNVRQQSSARVSENNYVAPQQVVPPPLDRGWNWQSRAGFTQPKGSEDGQGNYDKDGNVAAAKANDDDDNSDAVGDSDDELYSDEFDSDSSEKSHETRKSSRWFKKFFEILDSLTVDEINDPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGSKRVMLHRELAELLDEELRRKGTTVVPAGEAFGKWKGLKDEEKDHEIVWPPMVVIMNTRLEQDENEKWIGMGNQELLDYFSSYAAVRARHSYGPQGHRGMSILIFEASARGYLEAERLHKHFTEQGTDRDAWDRRRVLFHSGGKRQLYGYMAVKEDLDIFNQHSQGKSKLKFEMRSYQEMVVNQIRQMSEDNQQLNWFKNKVAKEQRHAKALEESLGIVSEKLRKTTEENRIVRQRTKMQHEENKEEMYLQEQFFKDQIKIIHESRDAREESFERLQQEERDKVKQSYVNPSNAEEKKYKSVEIDNFIKSQEKEMEEFVEERDMLIKAHEDNKAAMKRRQWEEEVELEKDFDAKYTQLMEKYSPHRS >ONI14202 pep chromosome:Prunus_persica_NCBIv2:G4:21208218:21210692:-1 gene:PRUPE_4G268700 transcript:ONI14202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNYELSPCRRHNKEPLKNSHSTPYRTICASQIDLTELHKPQNKWKIRLQLTKPVTWPPLVWGVVCRAAASENFHWTFEDVAKSIVCMCMSGPFLTGYTQTLNDWYDREIDAINEPYLMQFSTLHYLSLCSY >ONI10885 pep chromosome:Prunus_persica_NCBIv2:G4:3638747:3641708:-1 gene:PRUPE_4G074200 transcript:ONI10885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYEALANTTVTICTDLPFRVNSLGLWKRSERWTVNATTPLNYTMPILEFEMTLMFVLTELTHLFLKIFGLPALAAQLVAGILLGDAGLSRIVKSSSHHDMNPIFPFANQEILNIMSLFGYIFFSFLIGVKLNLGLIPKSGKKALYTGALSLSVPIVAGVGTIILLHSKWFLNGQEIVQLAFLTAMHSLTPSPVVVCLLTDLKILNSELGRLALSSALVSDLCTIAITSVAAMATAVQQNMLKGIRDIVVMVAYALFVWLVFRPFMFWIAKRTPQGKPIKDSYVVLIMLAFLGSALLSNWCELSFVTGPLMLGFAVPDGPPVGATLVNKFDFMVNRVFMPVFATTSMMRVTISLPKAHKRTVLAATIVTSVVYCSKFVASLVIPLCCKMHMRDAIALALILCSKGVVELGAYTFASDTWIIFSEVFDYMMLTVVLYVLIVPPLIRLLYDPKRKYVGYQKRNLMHWKPNSELRILACINRADNTPAIINFLDASCPTRDSPIAVYVLHLVKLVGRAAPVLISHNLQKRTLSNNSLSENVIISFSNFQRDNEGAVFAQVFTAITIPDYMYDDICTLAIDKATSLIILPFHRKWSRVNGSIESENQNTRTLNCRMLETAPCSVGILVNRGHVKYTNSSASPNEAYRVALIFLGGSDDREALTLAKRVAKDAYISLTVIRLVDASGDEDGNDKWEWVQDNEMLKEMKYNGAGYVSYVEQIVEDGIKTTRKIRSLMDENEYDMFIVGRRFNVSSPQTLGLDQWSEFPELGVIGDMLSSTDSRCKSSVLVIQQQQQR >ONI12897 pep chromosome:Prunus_persica_NCBIv2:G4:11322721:11325085:-1 gene:PRUPE_4G190200 transcript:ONI12897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNKSFIRAHLTLTVDFANQDDIDLLLLHIISCDSSSTFGHKTIVSEIKDEVHCVHYDNQAFDVYCKIEFPIAPEQELCNSHLRVVGSCSGLICLADDILHYGYNFFIWNPAIRKLVTLPRPGVTFRTHGGYDASIGFGFDAMTNDYKVVRFVALQHEDERPTVAEVYSLATGTWRSLGCVSPACGTNGAASNAFVNGVLHWPVVSDGDGCYFILTFDLGKEVFGKIPMPKIIHWNPKWGLQLSVFENRKSVALFMRDNSCKDFMMDNRRENSVLDIWVVKEYGRKESWTKLITLGPQGPQRLLPRALCFSNSGEVLLLLTKEGR >ONI13658 pep chromosome:Prunus_persica_NCBIv2:G4:15227146:15233729:-1 gene:PRUPE_4G235300 transcript:ONI13658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFDSSKHGTLSAFFSHYSSSFITYPGADFLLKPVFIRGFSGSLHLVLLFVLLVSWVWKKFKVGDGEGPKQRFGSIQSWYYKLTLLCCLGVSGLSLVFCLLNYFYWHRNDWTEEKLVTLFDLAIRTLAWGALCVYLHTQFSTSSESKFPNLLRIWWGSYFSISCYSLVIDILLYKEHVSLPVQSFVFDVVCVISGLFFIYVGFFGKKEGRNTVLEEPLLNGNGNAESNSSKGGTPVTPYSNAGFFSILTFSWMGPLIAVGNKKTLDLEDVPELYKGDSVAGSFPNFRNKLEAECGADGRVTTFHLAKALIFSAWKEVGLTGLYAMFYTLASYVGPYLIDTFVQYLYGRRKFKNEGYALVSAFMVAKLVECLCQRHWFFKAQQAAVRSRAVLVTAIYNKGLTLSCQSKQAHTSGEIINFMTVDAERVGDFTLNMHDPWMVIPQVGLALVILYINLGLAAIATLVATIVVMWANVPLGSLQEKFQEKLMESKDKRMKATSEILRNMRILKLQAWEMKFLSKINELRKTEAGWLRKFVYTSAMTTFVFWGAPTFVSVVTFVACMLLGIPLESGKILSALATFRILQEPIYSLPDTISMIAQAKVSLDRIASFLSLDDLPPDVIENLPRGSSDTAIEIVDGNFSWDLSSPSPTLKDLNFKVSQGMRVAVCGTVGSGKSSLLSCILGEVPKISGTLKMCGTKAYVSQSPWIQSGKIEENILFGQEMDRERYERVLEACSLKKDLEILSFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGLSGSKTVIYVTHQVEFLPAADLILVMKDGRITQAGKFNDILNSGTDFMELVGAHAEALSVLNSAEVEPVEKISVSKDDGEFASTSGVVQKVEDTDGQNSKTDDLPKGQLVQEEEREKGRVGLSVYWKYITTAYGGALVPFILLAQVLFQVLQIGSNYWMAWATPVSEDVKPAVETSTLLTVYVALAVGSSFCILFRSMFLATAGYKTATLLFSKMHLCIFRAPMSFFDATPSGRILNRASTDQNEVDLNMPRQIGNLANSMIQLLGIIAVMSQVAWQIFIIFIPVIAICIWLQQYYISSARELARLVGVCKAPVIQHFAETISGSTTIRGFDQESRFRDTNMKLMDGYGRPKFHTAAAMEWLCFRLDMLSSITFGFCLVFLISIPAGVIDPGVAGLAVTYGLNLNMLQAWFIWNLCRVENRIISVERLLQYTTLPSEPPLVIESNQPDRSWPLRGKVDIHDLQVRYAPHMPLVLRGITCSFPGGMKTGIVGRTGSGKSTLIQALFRIVDPASGQILIDGIDISSIGLHDLRSRLSIIPQDPTMFEGTVRINLDPLEEYTDEQIWEALDKCQLGDEVRRKDGKLDATVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFTDCTVITIAHRITSVLDSDMVLLLSHGLIDEYDSPATLLENKSSSFAQLVAEYTMRSNSSFE >ONI12500 pep chromosome:Prunus_persica_NCBIv2:G4:9793310:9794553:1 gene:PRUPE_4G168600 transcript:ONI12500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTLNPSYFLIQLRLYSFAFVLFCSFPFGLFNGIRFRPKKKKEMCFEVLMQEAITAPLALKPSSSASMWSSHDLNKRLRFGPLRHHTHAPPRGRLAISAAE >ONI13961 pep chromosome:Prunus_persica_NCBIv2:G4:17603960:17605916:-1 gene:PRUPE_4G254700 transcript:ONI13961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQQQTKDSGSKKLGMVAPQDKSSKEMKSSKKMKFASSSAETEQTSQTTISDDSKTGRGMSTMPRVVKRKLQKLRPIVEYNKRGKGVGQAHIEMQSYIGVLARSRIPLVDKKWSQIPKDIKEQIWEAVDMAFVVGQGGKTSVLASASKKWKDFKSTLTRHYILPYTNDREKLSQPPETYKFIEKAQWDAFVASRLSKDFESVHSQHAQIREKLEYNHRLSRKGYAGLEDQLEETMPGVEIDRSTLWKRARQDKHGNRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPKQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI11144 pep chromosome:Prunus_persica_NCBIv2:G4:4453454:4457526:1 gene:PRUPE_4G089600 transcript:ONI11144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKKKGGSAPKGPKGAKKSKTYSLNEDSFFSTESKKRRKIDRDEIESDSDDDYGVVGSDGEGGGEEAEEAEAIEETADEKRKRIAQEYLEKVRGLARREKEDEEDESDEEGEKEGERDSLVAKILQQQQLEDSGRVRRAIASRVQKPESTDEFRISVKHRQSVTAVALSEDDFKGFSASKDGTILHWDVDSGKSEKYLWPRNEVLKSHGVKDPQGRATKHSKHVLALAVSSDGRYLASGGLDRHIHLWDTRTREHIQAFPGHRGPVSCLTFRQGASELFSGSYDRTVKIWNVEGRAYIDTLFGHQGEVLSIDCLRKERVLSVGHDRSMQLFKVPEASRLVFRAPASSLECCCFISNDDFLSGSDDGSVELWSMLRKKPVCIVKNAHPFLAARKNVEQKDGDRIPNGHIENGDHTSQSYHCSSTYSWISSVTVCRGSDLAASGAGNGSVRLWAVESESRAMKPLHDLPLVGFVNSLAFAKSGGFLVAGVGKEPRLGRWGHISSARNGIAIHPLKLSSDDTDNF >ONI10254 pep chromosome:Prunus_persica_NCBIv2:G4:1754540:1759605:1 gene:PRUPE_4G037100 transcript:ONI10254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFAFFDRVSRAFHDYPSVPKVLVVVAVSGCGLVAYAEANPERKLASSAPVLTSAEVDNKKKKVVVLGTGWAGTSFLRNLKNPDYEVHVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRKIARKKTVGVQFSEAACLKIDAVNQKIYCRSNVENNLNGQEEFVVDYDYLIVAVGANVNTFNTPGVVENCHFLKEVEDAQKIRRTVIDCFERASLPTVSDEEKKRILHFAVVGGGPTGVEFAAALHDFVNEDLVKLYPGVKDLVKITLLEAGDHILNMFDKRITAFAEEKFQRDGINVKTGSMVVKVTEKEIFTKELKNGGEVSTMPYGMALWSTGVGTRPFIKDFMSQVGQANRRVLATDEWLRVEGCDKVYAIGDCATINQRKVMEDIAAIFRKADKDNSGTLTVEEYKAVINDIFERYPQVQLYLKSKQMKNMVDLLKETPDVSKGSAELNIEDFKTALSKVDSQMKNLPATGQVAAQQGVYLAKCFNRMEDCEKNPEGPLRFRGEGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYTSKQVSWRNRALVVTDWVRRFIWGRDSSRI >ONI11527 pep chromosome:Prunus_persica_NCBIv2:G4:5905579:5907201:-1 gene:PRUPE_4G111400 transcript:ONI11527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTCRLILYISVDSLDGVTQVEPEGGWEYPFLCYHIQVDCKNCNRELLEIGYLPLYLCAGRMEDAQYHKALACPKCMGCGGLRILNRGGEPITDEGQDMPVMEIEVVGSFHVHEKLKLFYFKWICRKDDGSGEFLEPFSVDKDGSYAFSDSGDESDDDDELFQIKGIKGCFQVTPREEEVEGLWIKVASKSSQACDSDSNSEDSDADSDD >ONI11818 pep chromosome:Prunus_persica_NCBIv2:G4:7012777:7014313:-1 gene:PRUPE_4G127600 transcript:ONI11818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQETLRNNLLDSLDQIIEQSQSSFGWKQFLQAILVSLASLFDGQQTFISVYTDAVPSWHCSTATTSSSSSCTTSSSICDLPSSDWSWDAISSLTIISDWDLQCSSSFIRGIPASSYFLGGVVGGFLLGTLADSSLGRKKLLLISSITMSLASFLTIFSPNIWVYSAVRFVSGFGRSSISTCVLVLLMEKVGKKWRPRLGIMQFFFFTLGFLSLPLIAYVNRDNSWRALYLCTSIPAILYCILLQFFVTESPRWLYMHGFVEEAIAILEKSSAPNYPSELKLLLVSTGMPSEGVEETSKTKNHPYKSMKDLFAKRWAMKRTLTVMVLGFGIGMVYYGMPLGVGNLGFNIYLSVMFNALLEIPSYPITCIILERWSRKYSVLAFCLVSGICGILCAIVGNGQKGIRIGLELASFFCSRTAWNLISMFTVELFPTCVRNSATSLLRQSYVLSAVFSSILVSVGSNNGFLSYGVFGLAIFFSGFFVACLPDTRGGTLCDTMEEQEGKENMILG >ONI12438 pep chromosome:Prunus_persica_NCBIv2:G4:9560055:9565486:-1 gene:PRUPE_4G164600 transcript:ONI12438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIPNFHATVGNCIFTHLIPYLPKTPNSVLLSLHTPKRFARIRFSTGLMSSSSSTQILAEYAKSKRSSCKKCSKAIDAKALRLGLVSRDARGFDVTKWHHLDCFNFGSESVASVEKIKGFQSLESSDQESLKKLVAATDKSRGEDSNESTYAVEGKESSQGKRVHEVDENENEEEERSLKKVKSSKCDGQAKLDISFTISDVKDKYKDATLSPKWKAFQTIIFLERDDGLHDSSKIAAFDFDGCLANTNVKRGGADAWSLMYPSIPDKLQSLYEDGYKLVIFTNESNIERWKNKRQVAVDSKIGRLNNFIKKVKVPIQVFIACGLGKSGGQAEDPFRKPKPGMWHILEQHFNSGISIDMDQSFYVGDAAGRKNDHSDADIKFAEAVGLKFYVPEEYFGV >ONI13599 pep chromosome:Prunus_persica_NCBIv2:G4:14975850:14976276:1 gene:PRUPE_4G232900 transcript:ONI13599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSKPSSRIKSALQSKRISELCKGQTCQVCRIIQSNFDTEYRLKNEIQLSTNSKEFGESTITITRRNKIKRAVIVCRTIAGSMVNMTDKETEEYDSTGNQNLDSTSEYLIVRKPCAVLPCFVIVFT >ONI14228 pep chromosome:Prunus_persica_NCBIv2:G4:21535517:21536138:-1 gene:PRUPE_4G269800 transcript:ONI14228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISASFTKFSECMANVGRLNKSGQKKLTIYKMQDGCISRGNENHSSLTIAGMLSSMPISGNRLKDTNPPPL >ONI14263 pep chromosome:Prunus_persica_NCBIv2:G4:22288888:22291315:1 gene:PRUPE_4G271700 transcript:ONI14263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACKAPIPHLLMTCSSSLAQPPNLKSLNPFSKTPLLSRRLVLFTLPLATFLLPRKGSCGDISSNSIDVNSTPSGSSSALSNFDPISASERDASDAISRRISDALELLEKGRELQALGDFNQALLCFTQVIEKYDDFAFSDYARVGRSLILYEVGNREEAIAEMEDVSISLKGYPEVHAALAAALYADKHAPLLAENQFTIATLLDPHYTDLSYVKETKHWPPSLVSSLYHFITLS >ONI12787 pep chromosome:Prunus_persica_NCBIv2:G4:10879702:10883029:1 gene:PRUPE_4G183600 transcript:ONI12787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDRNGSVQERRSKSSEKEDENERKSEKAIEHSDSQSEEDRKRRKSRRKARESSESSDEKRVRSDRRKRRSRRRYSSESDSDSDSKSESEESGSDSASESESESESDSDRRRKRKRRKEKDDDRERKRRRREKEKKRRREEERKKKKEKRKKKRKEKKEKGKKGAVTNSWGKYGIIRETDMWNKRPEFTAWLAEVKQVNLEHLPNWEEKQMFKQFMEDHNTATFPSKKYYSLDAYYRHKLEKEIKKGYKKVGQTERTVFNDEEQRRQEMMRVREKQKEEEVEALKRSMQSGLAQAMKEQAQLREEMAYQYKIGNFEAAAAIQRRLDPDAAV >ONI11075 pep chromosome:Prunus_persica_NCBIv2:G4:4210448:4214313:1 gene:PRUPE_4G085800 transcript:ONI11075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYERIHKVQTGIISPSKLRMKLMGPHHHRKKDGSNSNSSRTSPSKLDDSEFFKNSLLDPMNGDEEVASSSLEVLSTNLSSEPALDLRESDQTSCQMKESLPRENGDAVRVRLQQCTKGDSGSSSAIHPMRAMEDENLDYDSNASSSSFEFHKGEKSVHKHISRALSRTMPSKWNDAEKWIMNKQIVQANFPRKSALQNQTIRLPVTNMVRVAPESANYDYKLNGRVADTKRVDFCQPASHIGFDKFSFVPSVPQSSSGQACGNALIDASSQSKDLMEVGHRNFPCSKSTVENNTGTAAIRSVSMRDMGTEMTPIPSQEPSRTATPEGATTPLRSPTSSIPSTPRSGAPAPTPTTDGEAQPRVENNKKQLSEEELKLKTRREIVELGVQLGKMNIAAWASKDEQEKKSAAEKIDMAEVEQVEFEKRAAAWEEVEKSRHTARYKRDEIKIQAWECQQKAKLEAEMRRIEAQIEQMRSQAQSKMVKKMALARQRSEEKRAAAEAKKNQNAEKTAAQAEYIRQTGRMPSSHYICCGWLS >ONI14409 pep chromosome:Prunus_persica_NCBIv2:G4:23605886:23608135:1 gene:PRUPE_4G279600 transcript:ONI14409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSELELPPGFRFHPTDEELVNYYLCRKCAGQPLAVPIIKEIDLYKFDPWQLPDMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKHIGKPKALGIKKALVFYAGKAPKGVKTNWIMHEYRLANVDRSASKKNNNNLRLDDWVLCRIYNKKGSIEKYNVAMERSKMTKYPEILHEQKPEMTQMPPPHTDMSSMDSAPRVQQTTDYSSCSEHVLSPEVTWEKEVQSELQWSGDELENSFNTLDNQFINYMDGFSDILDSFGAAQPQYQMDQQNMFAYLQTQF >ONI12647 pep chromosome:Prunus_persica_NCBIv2:G4:10496113:10498917:1 gene:PRUPE_4G176900 transcript:ONI12647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGATDRSKEAVGMMALHEALRSVCLSTDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVSSDCLEDIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNNSASSVLPSKQSPIPIRPPPPHFNWAQGPLPSATAMQLPSPNSFQNSAARLGYNPQANKDGTHMFLLPHSAETQMGGDMMGGGGGEHENDIKWPNGLSFFNALTGRSDDAKLLFNPENLGNKGGDENHHHNPNPNSDHASNHNEFLSLDCHPDSSARKNMENKYKRSFTLPARMASSSSNSVDHHQHQSVGYRNAEAGMYSDVMETFLE >ONI12988 pep chromosome:Prunus_persica_NCBIv2:G4:11963937:11964512:1 gene:PRUPE_4G196100 transcript:ONI12988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFQHIFYVIIVLLLFNSTQTQCHSKGLRPGNSTGKVYLTKNMTQAQFSEQQFMKWVRFVGRLKHSVFRTAKNKLFPSYTLHVAKNRAAGDFTTIQDVIDSLPFINLLRVVIKVHAGVYTCVILVPK >ONI10669 pep chromosome:Prunus_persica_NCBIv2:G4:2903049:2906544:1 gene:PRUPE_4G061000 transcript:ONI10669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKERENYVYTAKLAEQAERYDEMVEAMAKVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEGKGNDQNVSRIKEYRHKVESELSSICSDIMRVIDEHLIPSCTAFESTVFFYKMKGDYYRYLAEFKTGDDRKEVADQSMKAYQAASSKAEADLPPTHPIRLGLALNFSVFYYEILNSPERYGLSPGKASF >ONI10668 pep chromosome:Prunus_persica_NCBIv2:G4:2903049:2906544:1 gene:PRUPE_4G061000 transcript:ONI10668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKERENYVYTAKLAEQAERYDEMVEAMAKVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEGKGNDQNVSRIKEYRHKVESELSSICSDIMRVIDEHLIPSCTAFESTVFFYKMKGDYYRYLAEFKTGDDRKEVADQSMKAYQAASSKAEADLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGAEEAQKVDVSAKPGGEDAE >ONI10670 pep chromosome:Prunus_persica_NCBIv2:G4:2903155:2904899:1 gene:PRUPE_4G061000 transcript:ONI10670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKERENYVYTAKLAEQAERYDEMVEAMAKVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEGKGNDQNVSRIKEYRHKVESELSSICSDIMRVIDEHLIPSCTAFESTVFFYKMKGDYYRYLAEFKTGDDRKEVADQSMKAYQAASSKAEADLPPTHPIRLGLALNFSVFYYEILNSPERYGCNYIHRDQDS >ONI13406 pep chromosome:Prunus_persica_NCBIv2:G4:13799230:13801238:1 gene:PRUPE_4G219600 transcript:ONI13406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVFTTPTSLDRVRSPEMKDSVTAVEKIVGYNFKNKKLLEEALTHPSYGSASYKRLGLATLGDSALGHAVTNHFFCMADHPQFSEGQLTLLRSANVSNHKLACVAVRHGLYRYLRHYEPAFDDKIEEFIEALINGEEDVGFVEADEPKVLADIVESVAAAIYIELNFDLDKLWMKFKPLLEPIYTLENLQGHPMTILKEFCEKSRKRLEVRLRDETGNISIADVYVDGLLKGSGSAKRKNTAQHIAAQQAIQKLSQSMGVNNKGTAAGNDNSFRIEEAMNELHVRCEKKRLPKPDYREEKKSGPPHSPKFVYSVKVVTLDGTVKSVKGDEKSRIQYAKNSAAHKMILTLQEYDNVIKTKESDCVMGNIPSLYTLGAKAYRVIRSLLVFCLKGILTLVIVSVLASLVAERYKFP >ONI13407 pep chromosome:Prunus_persica_NCBIv2:G4:13799183:13801327:1 gene:PRUPE_4G219600 transcript:ONI13407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVFTTPTSLDRVRSPEMKDSVTAVEKIVGYNFKNKKLLEEALTHPSYGSASYKRLGLATLGDSALGHAVTNHFFCMADHPQFSEGQLTLLRSANVSNHKLACVAVRHGLYRYLRHYEPAFDDKIEEFIEALINGEEDVGFVEADEPKVLADIVESVAAAIYIELNFDLDKLWMKFKPLLEPIYTLENLQGHPMTILKEFCEKSRKRLEVRLRDETGNISIADVYVDGLLKGSGSAKRKNTAQHIAAQQAIQKLSQSMGVNNKGTAAGNDNSFRIEEAMNELHVRCEKKRLPKPDYREEKKSGPPHSPKFVYSVKVVTLDGTVKSVKGDEKSRIQYAKNSAAHKMILTLQEYDNVIKTKESDCVMA >ONI13789 pep chromosome:Prunus_persica_NCBIv2:G4:16253732:16256054:1 gene:PRUPE_4G245100 transcript:ONI13789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWESTAITVDHVMVTSGVSSTILTDGSAPPEPVFMLTLGAPALVIDDIPSELQLEVGESSSFVPAEITMNPSAEDGRSLAMVPHKEASLPSFDQCDASISSAEAFTHLATSSTTTGALPDFGANALLRSYIDGDLTSLEDKDERNKLKAAIESLARSSFFLDPRLASMITYLFG >ONI12626 pep chromosome:Prunus_persica_NCBIv2:G4:10413370:10420191:1 gene:PRUPE_4G175900 transcript:ONI12626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFSVDDPTQLLQAASDFANYPGLQNDASAKDFLDRFPLPVILNALQTKADVPGLESTLIAALERVFQTKYGASLIPHYMPFIQVGLTAESHEVKSLACKTVTYLLENLNEGAISAVRLIVEHNIYPLLLDCLINGNERVATLSTDAIGKMASSPAGMDVVFPANTNEATHLGALAAQCSSLGRVRVLALIVKLFSISSYVASAIHRSNLLRLFEAEINNTNDTLATLSVLEIMYELSEVEHGREFLSTSTLLQLLSSIISNKSMESVLRSRAMMISGRLLSKGNYMFADELSVKTVVSAIDRILSSSETQDADECESALEALGEIGSSIQGAQFLLSSSPPAARHVIYAAFDRQGRGKQLAALHALGNIFGETRSENSMILTSDAEESLRRLIYETASKSSKLTPSGIFLSVLKQDSETRLAGYRMLTGLVARPWCLMEICSKQEIINIVTDATTETTKLGMEARYNCCKAIQKAFIMSSKVSSDPALAGLAEKLYDAVRKGPYLAKRLEAQPTVVTDDRF >ONI12983 pep chromosome:Prunus_persica_NCBIv2:G4:11938981:11940859:1 gene:PRUPE_4G195600 transcript:ONI12983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNEREPEEDLELPLFDLPTVASATDNFSSNNKLGEGGFGPVYRGTLLDGQEIAVKRLSRSSGQGLNEFKNEVILIAKLQHRNLVKLLGFCVQGEEKMLIYEYMPNRSLDSFIFDSVRGELLLDWPKRFHIICGVARGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARTLIGGDQSGGNTNRVVGTYGYMAPEYAIDGLFSVKSDVFSFGILVLEVISGRKNKGFYHPNHSHNLIGHAWRMWIQGRPLELIDTCLESSCTLSEVLRCVHISLLCVQHHPEDRPSMASVVIMLGSEIALAQPKQPGFFIEKESHEVGSSSGNQKSSTNEISITLLEGR >ONI13123 pep chromosome:Prunus_persica_NCBIv2:G4:12719798:12722741:1 gene:PRUPE_4G204200 transcript:ONI13123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGL >ONI11146 pep chromosome:Prunus_persica_NCBIv2:G4:4468429:4472620:1 gene:PRUPE_4G089800 transcript:ONI11146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGLYGDLPPPSSAEEEKPSNTTVWSSSTKMAPQTLRKPASVFAPPQTILKSQNKPKPSNLAQPKITASPVIATSVIQNDMVQPALVGVTSTVLEEYDPARPNDYEEYKRERKKKAMEAEMRRELDRRRQEEEEKERREREERERERERDFGDSRLNISGEEAWRRRAAMSGGAVPAMPAIPRSPSPPNNGDGFTIGKSESGGLGLGAGGQMTAAQRMMAKMGWKQGQGLGKQEQGITTPLMAKKTDRRAGVIVNASETKPEKKAKSVSLNGPPTRVLLLRNMVGPGEVDDELEDEVASECAKYGTVTRVLIFEITEPNFPVDEAVRIFVQFERSEETTKALVDLDGRYFGGRVVRASFYDEERFGNNELAPMPGEIPGFT >ONI11784 pep chromosome:Prunus_persica_NCBIv2:G4:6841089:6841672:1 gene:PRUPE_4G125100 transcript:ONI11784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPEISPKLNPHSKPKKYTQNKKNKFLTTPQVAYNSTSFLYILGVLLNNINTIHAIPSNEAQNSPTLLSWHGVAFILCELWSNSVARPSELFFTTIKFLYINKLQVNDTFFSSQPNIEPENTQL >ONI12398 pep chromosome:Prunus_persica_NCBIv2:G4:9286605:9287828:1 gene:PRUPE_4G161900 transcript:ONI12398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDWTVSVFLTEQGWNTDWLMGCLPLHVVQKIHCIFAGFNHTEADSCIWKLTSNGEFSVKTAYLSLFTEETNYTWNWDIIWKLQVPPKIKTFLWLLIHGKLLTNVQRVRRNLASNSNCPCCNGSMESLDHLFRRCSHAAKMWNSIGIPNQVAHSFSMDFKDWLFTNIKASFSCMQGIPWSSLFLVALWFCWKWRCKKVFDLNFSPPPWPHIPIIHFSREWLVANRSRNSKLPKHVLKLHWSPPCVGWFKINVDGSCMGELGAISAGGIIRNDAGVWVKGFVTKLGCGSILEAELWGVFRGLLLTWNESIRRIQMECDSLTAVSLINGETGTNHPLSSIIHCCKDLLLRDWECTIYHIYREQNSAADHMAHLGQNSSLGFHVIDLPPPIVNLLANDSSRGTTARLVPV >ONI14262 pep chromosome:Prunus_persica_NCBIv2:G4:22232749:22242455:1 gene:PRUPE_4G271600 transcript:ONI14262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGSNQAEFDYLFKLLMIGDSGVGKSSLLLSFTSDSFEDLSPTIGVDFKVKYVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLCDVWAKEIELYSTNQDCIKMLVGNKVDKESDRVVTKKEGINFARESGCLFIECSAKTRVNVQQCFEELVLKILDTPSLLAEGSKGVKKNNIFKEKPPQSDASTSSCC >ONI14261 pep chromosome:Prunus_persica_NCBIv2:G4:22232568:22238208:1 gene:PRUPE_4G271600 transcript:ONI14261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGSNQAEFDYLFKLLMIGDSGVGKSSLLLSFTSDSFEDLSPTIGVDFKVKYVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLCDVWAKEIELYSTNQDCIKMLVGNKVDKESDRVVTKKEGINFARESGCLFIECSAKTRVNVQQCFEELVLKILDTPSLLAEGSKGVKKNNIFKEKPPQSDASTSSCC >ONI10477 pep chromosome:Prunus_persica_NCBIv2:G4:2377967:2379456:1 gene:PRUPE_4G049700 transcript:ONI10477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISLARNVVFNLSRSFPQASSSISLRYSSRKVSRVCFTSASKFSEGRNAAEENRGDSRVDSRGSADKKWKNKEREYGSVDVSRKAKEPMEEGLEKSKQKAEEVKDTTKEYAQEAKEKTKGAVEMVAEKAKQGTNRAAETAESAKEKAKDYSYKSEKKTKDMAGTLADKAKEGTYKAAETAETAKKKAKEGAWGVKEKTEDVAATAEEKVKEGTSKAAKTAKDKVKGAWGAVKETGEKIKETVVGAPGEEVGVGFLDEDTRDSEDEIHVDVDGDGVAEGKVVGADVVERRRRAANPDGKKH >ONI10673 pep chromosome:Prunus_persica_NCBIv2:G4:2925970:2931647:1 gene:PRUPE_4G061300 transcript:ONI10673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARASRKLLRDFLFRRACTAPIASARHCSSAAETAPKIPHFSKKGRLLTGTFLGLVIGGGAYVSTVDEATFCGWLFAATKLVNPFFALLDPEVAHRLGVSAAARGWVPREKRPDPSNLGLEVWGRKFSNPVGLAAGFDKNAEAVDGLLGLGFGFVEIGSVTPVPQEGNPKPRIFRLREEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLDETTSTSSSSGEEVKPGGKAGPGILGVNLGKNKTSEDASSDYVQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKRVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALRLDGLVISNTTVSRPDPVSTNPVASEAGGLSGKPLFNISTSLLKDMYNLTRGKVPLIGCGGISSGEDAYKKIRAGASLVQLYTAFAYGGPALIPQIKAELSECLERDGFKSVSEAVGADCRVATAKV >ONI09917 pep chromosome:Prunus_persica_NCBIv2:G4:850135:852525:-1 gene:PRUPE_4G018300 transcript:ONI09917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIAKKGLQQYLLQLQHHPLRTKAITAGVLSAISDIVSQKLTGIQKLQLRRLLLKVLFGAVYLGPFGHFLHLILDKIFKGKKDSKTVAKKVLVEQLTSSPWNNLLFMLYYGLVVEGRPWMHVKNKIKKDYPSVQYTSWTFWPVLGWINHQYVPLHLRVVFHSLVAFGWGIFLNLQARSVALPKAK >ONI09916 pep chromosome:Prunus_persica_NCBIv2:G4:849802:852817:-1 gene:PRUPE_4G018300 transcript:ONI09916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIAKKGLQQYLLQLQHHPLRTKAITAGVLSAISDIVSQKLTGIQKLQLRRLLLKVVLVEQLTSSPWNNLLFMLYYGLVVEGRPWMHVKNKIKKDYPSVQYTSWTFWPVLGWINHQYVPLHLRVVFHSLVAFGWGIFLNLQARSVALPKAK >ONI13163 pep chromosome:Prunus_persica_NCBIv2:G4:12892453:12896147:-1 gene:PRUPE_4G206700 transcript:ONI13163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGHGNQQGRKGGWWNKLLDMEEAKNQVLFFLPMILTNVCYYTIPLISVMFAGHLGELELAGATFANSWATITGFALMVGLSGALETLCGQGLEQIWFYTEPILILLHQDPQISKSAALYMKFLIPRLFAYGFMHNILRFLQTQSVVMPLVFFSLIPVVIHICVAYALVHWTALGFKGAPLACSISIWIAMLMLAMYVIFAKKFELTWEGFSLESFHYYRAFEILVVLAGLMPNAEQTTSLIAMCVNTEAIAYMITYGLSAAASTRVSNELGAGNPDKAKKAMAVTLKLSVLLGLLVVLALAFGHNIWASLFSDSSAIIKLFASMTPFLGISIMADSVQGVLSGVARGCGFQHLAMYVNLGTFYLVGMTVACVVGFKLKLYAKGLWIGLICGLCCQAALLLLVTLRTKWTQLDVPHNGDAVLVKVGQK >ONI10344 pep chromosome:Prunus_persica_NCBIv2:G4:1992465:1994084:1 gene:PRUPE_4G042200 transcript:ONI10344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVKVSQSKKVVWPSSCEASKHMDEDGKVADTLEGADPSSLANKVAVEPEEPAPELTKENESSQEQIQVQDGLDDALKRRLQQLIESNRVMLFMKGTPEDPKCEFSKMAVNMLKIYEVEFGSFDLLTDNEVMEGIQKYSNWPLLPHIYFEGRACGFRHIGTLMKGCPSDPTAFG >ONI12339 pep chromosome:Prunus_persica_NCBIv2:G4:9060023:9068519:-1 gene:PRUPE_4G157900 transcript:ONI12339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQDLQGTLPKEMARLTYLEEIDLTRNYLSGTIPPEWGSLPLVNISLVANRLTGSIPKEIGNITTLKSLDITMNNFSGVLPWQLGNLLLIERMLLTSNNFTGELPDTFGNLTALKDFRVGDSHFSGQIPDFIKNWTNLQKLLIQASGLTGPIPSNISLLTKLTDLRITDLSGPEAPFPPLENMKSMKTLMLRSCNIIGRLPPYLGDMKSLKTLDLSFNKLTGEIPISFVALAKVDYIFLTGNLLTGPVPTWTKENFDLSYNNFTIGDTGCQSQGGLNLFASSSKGNSSKTASCFRTAKCPKTWYSLHINCGGKEVTVFGEKNTTFNSDTDSVGPSSFRQSSTNWALSSTGYFTDDDRPQDTFIQNNESILSMANPKLYMNARLSPISLTYYGFCLGNGNYTVNLHFAETGFTNGKTYKSLGRRIFDVYIQGRLVQKDFNIVDKAGGISTAVIMNYTAAVTSGTLEIRFYWAGKGTTGIPLRGVYGPLISAISVDPDFVPPPEPVISPASGGSGISVGAVVGIVAGGVFIILLIFGILWRRGLLGQQNTLEDDLKGVDLQTGKFSFRQLKDATNNFDKSNKIGEGGFGPVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNQLLLVYEYMENNSLARALFGPEESQLKLDWPTRHKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKNLTPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSFGILVLEIASGRNNTSYRSKEESFYLLDWAHLLKEQGNLMDLVDPRLSSDFNKEEVMLTINVALLCCNVTSTVRPTMSSVVSMLEGRAAVQELVSDPNASTNEIEAMRKHFESSFGRKTGESQTQTASTEGPWTGSSTSVHDLYPVNPDSTYWDNRSDRN >ONI12337 pep chromosome:Prunus_persica_NCBIv2:G4:9060335:9068294:-1 gene:PRUPE_4G157900 transcript:ONI12337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFFLPRLFLHSLLVVCFANFAFGVTRLPRHEADIAKTLGKTNWDFSGDPDPCNNQKPWTDTNPSKGFEYGVTCNCSFANSTVCHITSIVMKAQDLQGTLPKEMARLTYLEEIDLTRNYLSGTIPPEWGSLPLVNISLVANRLTGSIPKEIGNITTLKSLDITMNNFSGVLPWQLGNLLLIERMLLTSNNFTGELPDTFGNLTALKDFRVGDSHFSGQIPDFIKNWTNLQKLLIQASGLTGPIPSNISLLTKLTDLRITDLSGPEAPFPPLENMKSMKTLMLRSCNIIGRLPPYLGDMKSLKTLDLSFNKLTGEIPISFVALAKVDYIFLTGNLLTGPVPTWTKENFDLSYNNFTIGDTGCQSQGGLNLFASSSKGNSSKTASCFRTAKCPKTWYSLHINCGGKEVTVFGEKNTTFNSDTDSVGPSSFRQSSTNWALSSTGYFTDDDRPQDTFIQNNESILSMANPKLYMNARLSPISLTYYGFCLGNGNYTVNLHFAETGFTNGKTYKSLGRRIFDVYIQGRLVQKDFNIVDKAGGISTAVIMNYTAAVTSGTLEIRFYWAGKGTTGIPLRGVYGPLISAISVDPDFVPPPEPVISPASGGSGISVGAVVGIVAGGVFIILLIFGILWRRGLLGQQNTLEDDLKGVDLQTGKFSFRQLKDATNNFDKSNKIGEGGFGPVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNQLLLVYEYMENNSLARALFGPEESQLKLDWPTRHKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKNLTPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSFGILVLEIASGRNNTSYRSKEESFYLLDWAHLLKEQGNLMDLVDPRLSSDFNKEEVMLTINVALLCCNVTSTVRPTMSSVVSMLEGRAAVQELVSDPNASTNEIEAMRKHFESSFGRKTGESQTQTASTEGPWTGSSTSVHDLYPVNPDSTYWDNRSDRN >ONI12338 pep chromosome:Prunus_persica_NCBIv2:G4:9060335:9068294:-1 gene:PRUPE_4G157900 transcript:ONI12338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFFLPRLFLHSLLVVCFANFAFGVTRLPRHEVQTLADIAKTLGKTNWDFSGDPDPCNNQKPWTDTNPSKGFEYGVTCNCSFANSTVCHITSIVMKAQDLQGTLPKEMARLTYLEEIDLTRNYLSGTIPPEWGSLPLVNISLVANRLTGSIPKEIGNITTLKSLDITMNNFSGVLPWQLGNLLLIERMLLTSNNFTGELPDTFGNLTALKDFRVGDSHFSGQIPDFIKNWTNLQKLLIQASGLTGPIPSNISLLTKLTDLRITDLSGPEAPFPPLENMKSMKTLMLRSCNIIGRLPPYLGDMKSLKTLDLSFNKLTGEIPISFVALAKVDYIFLTGNLLTGPVPTWTKENFDLSYNNFTIGDTGCQSQGGLNLFASSSKGNSSKTASCFRTAKCPKTWYSLHINCGGKEVTVFGEKNTTFNSDTDSVGPSSFRQSSTNWALSSTGYFTDDDRPQDTFIQNNESILSMANPKLYMNARLSPISLTYYGFCLGNGNYTVNLHFAETGFTNGKTYKSLGRRIFDVYIQGRLVQKDFNIVDKAGGISTAVIMNYTAAVTSGTLEIRFYWAGKGTTGIPLRGVYGPLISAISVDPDFVPPPEPVISPASGGSGISVGAVVGIVAGGVFIILLIFGILWRRGLLGQQNTLEDDLKGVDLQTGKFSFRQLKDATNNFDKSNKIGEGGFGPVYKGLLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNQLLLVYEYMENNSLARALFGPEESQLKLDWPTRHKICVGIARGLAYLHEESRLKVVHRDIKATNVLLDKNLTPKISDFGLAKLDEEDNTHISTRIAGTYGYMAPEYAMRGYLTDKADVYSFGILVLEIASGRNNTSYRSKEESFYLLDWAHLLKEQGNLMDLVDPRLSSDFNKEEVMLTINVALLCCNVTSTVRPTMSSVVSMLEGRAAVQELVSDPNASTNEIEAMRKHFESSFGRKTGESQTQTASTEGPWTGSSTSVHDLYPVNPDSTYWDNRSDRN >ONI11862 pep chromosome:Prunus_persica_NCBIv2:G4:7207201:7212510:-1 gene:PRUPE_4G130500 transcript:ONI11862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVFNLFLYILASHVLGALWYFFSIQREISCWYWACKKHPYHEGCMSTFYCDDHITSTTVIAFLNESCGTDVPDNTKPLFDYGIFLDSLEIGNTRHIHFPTKLCYCFWWGLRNLSNFGTNLATSNYAWENFFAILTSITGLLLFVYLIGNVQVQLLKEMDDQVLKMMCDYLTPVTYPENKIIFRKGDPMDRMLLIIEGTLLRCSTNPDPSLTHGGETKKDSGSMATKEVVEKREVYGEELLTWASASPSGSGQFNLPTCPENVRCHTNVEGFALSAMDLTSVASKCKRRWRLRKYFKENGGEHLKEATNNYAVRNEIGSGRYGIVYRGTSVASKSKCRWRRLRKYFKENGDKAKQKYSEANGFLVLQETMGRLGKTARIFTENEVKEATENYDGSKKVGEGRYGIVYKGILKVGESKQRLAIKKSKVKVQIDQNEITSPVDDLKQITQQRFIKEMIALYQISHKNIVRFVGCCLDTAKPILVYELMRRGTLYEHIHEKEGKNPSPLPLARRLKIAAETAEALAFLHHDTGMQIIHCDVKTANILLDENWTAKLSGFGASRLGPEDLDSKSITLTEKSDVHSFGVVLAELLTGLEPQRNLAKVFVGLVEGGTLDKSLDEEIVEGHFDIVRKAADLAKRCLKPKAEERPSMKDVAAELNGLVRTMEQHPSGGGEADISPSPKDTGNLGGSSASSASVGDIITSAL >ONI11863 pep chromosome:Prunus_persica_NCBIv2:G4:7207400:7211379:-1 gene:PRUPE_4G130500 transcript:ONI11863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVFNLFLYILASHVLGALWYFFSIQREISCWYWACKKHPYHEGCMSTFYCDDHITSTTVIAFLNESCGTDVPDNTKPLFDYGIFLDSLEIGNTRHIHFPTKLCYCFWWGLRNLSNFGTNLATSNYAWENFFAILTSITGLLLFVYLIGNVQIFIQMETTKSEKLKRKNFFDQIRQKIELKGPAIEAWMAKNGIPDDMKKEIMENINKKLKEDKDADLENLFNVLPWYTKKSLKRFLCLNILSQVQLLKEMDDQVLKMMCDYLTPVTYPENKIIFRKGDPMDRMLLIIEGTLLRCSTNPDPSLTHGGETKKDSGSMATKEVVEKREVYGEELLTWASASPSGSGQFNLPTCPENVRCHTNVEGFALSAMDLTSVASKCKRRWRLRKYFKENGGEHLKEATNNYAVRNEIGSGRYGIVYRGTSVASKSKCRWRRLRKYFKENGDKAKQKYSEANGFLVLQETMGRLGKTARIFTENEVKEATENYDGSKKVGEGRYGIVYKGILKVGESKQRLAIKKSKVKVQIDQNEITSPVDDLKQITQQRFIKEMIALYQISHKNIVRFVGCCLDTAKPILVYELMRRGTLYEHIHEKEGKNPSPLPLARRLKIAAETAEALAFLHHDTGMQIIHCDVKTANILLDENWTAKLSGFGASRLGPEDLDSKSITLTEKSDVHSFGVVLAELLTGLEPQRNLAKVFVGLVEGGTLDKSLDEEIVEGHFDIVRKAADLAKRCLKPKAEERPSMKDVAAELNGLVRTMEQHPSGGGEADISPSPKDTGNLGGSSASSASVGDIITSAL >ONI11860 pep chromosome:Prunus_persica_NCBIv2:G4:7207201:7212510:-1 gene:PRUPE_4G130500 transcript:ONI11860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFSSDLEGQARRSKVTEFSTKKWERTHLSASFWNKMIVISCAIAISLDPLFLYIPFIDGEKKCLGMDKKLRNVALILRSVTDITFLVDIGYTIYEGMKKAYTDINEGDEIIPFAKNLARELEWCSLVTDLLSVFPMPQLLVVKVFSKTRGWGYLERRKVLNSFLLSQYFARIGRIILSSKQLTWTTGIRMKAVFNLFLYILASHVLGALWYFFSIQREISCWYWACKKHPYHEGCMSTFYCDDHITSTTVIAFLNESCGTDVPDNTKPLFDYGIFLDSLEIGNTRHIHFPTKLCYCFWWGLRNLSNFGTNLATSNYAWENFFAILTSITGLLLFVYLIGNVQVQLLKEMDDQVLKMMCDYLTPVTYPENKIIFRKGDPMDRMLLIIEGTLLRCSTNPDPSLTHGGETKKDSGSMATKEVVEKREVYGEELLTWASASPSGSGQFNLPTCPENVRCHTNVEGFALSAMDLTSVASKCKRRWRLRKYFKENGGEHLKEATNNYAVRNEIGSGRYGIVYRGTSVASKSKCRWRRLRKYFKENGDKAKQKYSEANGFLVLQETMGRLGKTARIFTENEVKEATENYDGSKKVGEGRYGIVYKGILKVGESKQRLAIKKSKVKVQIDQNEITSPVDDLKQITQQRFIKEMIALYQISHKNIVRFVGCCLDTAKPILVYELMRRGTLYEHIHEKEGKNPSPLPLARRLKIAAETAEALAFLHHDTGMQIIHCDVKTANILLDENWTAKLSGFGASRLGPEDLDSKSITLTEKSDVHSFGVVLAELLTGLEPQRNLAKVFVGLVEGGTLDKSLDEEIVEGHFDIVRKAADLAKRCLKPKAEERPSMKDVAAELNGLVRTMEQHPSGGGEADISPSPKDTGNLGGSSASSASVGDIITSAL >ONI11861 pep chromosome:Prunus_persica_NCBIv2:G4:7207400:7212139:-1 gene:PRUPE_4G130500 transcript:ONI11861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFSSDLEGQARRSKVTEFSTKKWERTHLSASFWNKMIVISCAIAISLDPLFLYIPFIDGEKKCLGMDKKLRNVALILRSVTDITFLVDIGYTIYEGMKKAYTDINEGDEIIPFAKNLARELEWCSLVTDLLSVFPMPQLLVVKVFSKTRGWGYLERRKVLNSFLLSQYFARIGRIILSSKQLTWTTGIRMKAVFNLFLYILASHVLGALWYFFSIQREISCWYWACKKHPYHEGCMSTFYCDDHITSTTVIAFLNESCGTDVPDNTKPLFDYGIFLDSLEIGNTRHIHFPTKLCYCFWWGLRNLSNFGTNLATSNYAWENFFAILTSITGLLLFVYLIGNVQIFIQMETTKSEKLKRKNFFDQIRQKIELKGPAIEAWMAKNGIPDDMKKEIMENINKKLKEDKDADLENLFNVLPWYTKKSLKRFLCLNILSQVQLLKEMDDQVLKMMCDYLTPVTYPENKIIFRKGDPMDRMLLIIEGTLLRCSTNPDPSLTHGGETKKDSGSMATKEVVEKREVYGEELLTWASASPSGSGQFNLPTCPENVRCHTNVEGFALSAMDLTSVASKCKRRWRLRKYFKENGGEHLKEATNNYAVRNEIGSGRYGIVYRGTSVASKSKCRWRRLRKYFKENGDKAKQKYSEANGFLVLQETMGRLGKTARIFTENEVKEATENYDGSKKVGEGRYGIVYKGILKVGESKQRLAIKKSKVKVQIDQNEITSPVDDLKQITQQRFIKEMIALYQISHKNIVRFVGCCLDTAKPILVYELMRRGTLYEHIHEKEGKNPSPLPLARRLKIAAETAEALAFLHHDTGMQIIHCDVKTANILLDENWTAKLSGFGASRLGPEDLDSKSITLTEKSDVHSFGVVLAELLTGLEPQRNLAKVFVGLVEGGTLDKSLDEEIVEGHFDIVRKAADLAKRCLKPKAEERPSMKDVAAELNGLVRTMEQHPSGGGEADISPSPKDTGNLGGSSASSASVGDIITSAL >ONI13409 pep chromosome:Prunus_persica_NCBIv2:G4:13812515:13813988:1 gene:PRUPE_4G219800 transcript:ONI13409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVRCYQRNKIIMMRRADPVKASKKLPFDIITEILTWLPIDSLVRFKRVCKNWYFLIVHDRKFIAKHMERTCLLRLRYVCKHEANKLTVIFDKSFGMAAFCAGLVLEKSLTNSQVFRIRNPATHQVLYLPNAHEGTRNMDFALNPSTHECKLVCFYGKDSEVGFEVLTIGKDEQWRPMKHPNTDLLKHRGKPALQIYRGSSFKCEGRGHFVQVTGDVKDWNLKIHSLDILSECFITNTVPRGFYVNWWDSLVFYWNDCVAVADIGEEKLTVLVLEDYKQHKWSKKTVRVTFSEDHMTLLKDPFFVINAHSDGLNFHFKDRFIHYDLKRETIEKVNIRLGINFCLVKPSLIALKGMKTGKVACSI >ONI12411 pep chromosome:Prunus_persica_NCBIv2:G4:9407144:9408190:1 gene:PRUPE_4G163200 transcript:ONI12411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILMSCKSLHTLSLAGTFEGEGMSSDDDMVDFDGFKNLRLLSLADSDFTGQIPLWLSKLKNLEILALYNNQITGPIPSWLGTLPRLFSLNLTSNRILGEIPKQLCRLPRLVYEPTASQVENCEFELPIFGGSVTANPRFEPHKLFLFFPAIDLSNNNISGDIPTEVGQLQLLRKLNLDSNKFSGVIPNQISNLTNLELLNLSRNHLSGTIPSSLGPIPTSTQLQSFNASAFEGNPKLCGAPLANKCSRPNKGIDEDNKKNNKDMDNGLHQIPWFYISSVVLGFIVGFWGVCGSLIINKTWRYVYFRFIYNVQDRLYMMITVRINMIKRKP >ONI12451 pep chromosome:Prunus_persica_NCBIv2:G4:9613836:9615393:1 gene:PRUPE_4G165600 transcript:ONI12451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPFIFLVSFFAFIAQAQVPANETFKYVNEGEFGQYIIEYDGNYRPLDTFASPFQLAFYNTTPNAYTLALRMGLRRSESLIRWVWEANRGNPVGEKATFTFGTDGNLVLANADGRVAWQTNTRNKGVVGFKLLSNGNMVLYNSKGNFVWQSFHYPTDTLLVGQSLRVGGVTKLVSRASETENKDGSYSFVMEPKRLALYYKSKNSPKPIVYFTSSKLIDVGKGSLEHVTLNCYPVSSDDSSYDLALMYEPGNSISGFNLVLATTKYNGTSSFLRLEIDGNLKVYTYYNKVRYGAWEVPFTLFDKDSIFEVGCQLPERCGSFGLCEDNQCVGCPTPKGVVAWSESCEAEKLTSCGAKSFRYYKVEGVDHFSSKYNEGYAIKESDCGKKCTLDCKCLGYFYNRDTSRCWIAYDLKTLTKVANSTHVGYIKAPNH >ONI10480 pep chromosome:Prunus_persica_NCBIv2:G4:2386633:2388451:-1 gene:PRUPE_4G050000 transcript:ONI10480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEECSSRNDCSQESRQAEEKKPVKVENAAGTGSEFDGTDSDEKKNVPLAKKSRKRKYCIWAIACLDNWNKRGWRRNGKKSLEGADLREKYPLCIPVSVEKDAGSDIPDINMKKFLIPSDMLLRKFVRFLRGYSKKPMFVFFKNTTQPPTGVLMSAIDEENKDSDGYLHVIYSGNDHLSGLFEELKLSS >ONI11824 pep chromosome:Prunus_persica_NCBIv2:G4:7039927:7045884:-1 gene:PRUPE_4G128100 transcript:ONI11824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKSFASRLSSSGLLRSQGLIGGKWSDAYDGKTIQVHNPATGEVITTVPCMGQKETNDAISSAYGAFNSWSKLTAAERSKCLRKWYDLLISHKEELGQLITLEQGKPLKEAIGEVSYGAGFIELYAEEAKRVYGDIIPPTLSDRRLFVLKQPVGVVGAVTPWNFPLAMLTRKVGPALACGCTVVIKPSELTPLTALAAAELSLQAGIPPGVVNVVMGNASAIGDALLASSQVRKITFTGSTAVGKKLMAGAAATVKKVSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNTGQTCVCANRILVQEGIYDKFRDAFAKAVQNMQVGNGFSEGVAQGPLINEAAVQKVESFIQDAISKGAKVVLGAKRHSLGMTFYEPTVLSDVKNDMLIAREEVFGPVAPLLRFKTEDEAIRIANDTTAGLASYIFTNNVQRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLEVKYVCLGNMSSN >ONI11823 pep chromosome:Prunus_persica_NCBIv2:G4:7039685:7047528:-1 gene:PRUPE_4G128100 transcript:ONI11823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFRASRMSARSFKLFTHLPSMQAPPLLSRQISMDVKSFASRLSSSGLLRSQGLIGGKWSDAYDGKTIQVHNPATGEVITTVPCMGQKETNDAISSAYGAFNSWSKLTAAERSKCLRKWYDLLISHKEELGQLITLEQGKPLKEAIGEVSYGAGFIELYAEEAKRVYGDIIPPTLSDRRLFVLKQPVGVVGAVTPWNFPLAMLTRKVGPALACGCTVVIKPSELTPLTALAAAELSLQAGIPPGVVNVVMGNASAIGDALLASSQVRKITFTGSTAVGKKLMAGAAATVKKVSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNTGQTCVCANRILVQEGIYDKFRDAFAKAVQNMQVGNGFSEGVAQGPLINEAAVQKVESFIQDAISKGAKVVLGAKRHSLGMTFYEPTVLSDVKNDMLIAREEVFGPVAPLLRFKTEDEAIRIANDTTAGLASYIFTNNVQRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLEVKYVCLGNMSSN >ONI13673 pep chromosome:Prunus_persica_NCBIv2:G4:15435104:15436808:1 gene:PRUPE_4G236800 transcript:ONI13673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSREVATKPHAVCIPFPYQSHIKAMLKFAKLLHHRGFHITFVNTEFNHKRFLKSQGPNSLVGLPDFQFDAFPDSLPDSDEDATPDATLLCESIRKKKFLAPFHALLAKLNNDAISTSHNPPVTCIVSDGFMSAFTITAAEEIGVPIALFYTIAACSFMGFKQLRAVVEKGLFPLKDESCFKNGYLDTVIDWIPGMRDIRLRDLPTFARNTNLDDTGFNFIMEETERAHEASAVVLHTFHALETDVLEALSSMLPHVYTVGPLQLHLNQIPEHPLKMGYSPWKEETECLEWLNTKAPNSVVYVNFGSVAVVTPEQLVEFGWGLANSKLPFFWVIRPDLVVGESAILPPEFVAETKERSLIAGWCPQEQVLNHPSVGGFLMHSGWNSTVESLTAGVPMLCWPFFGDQQMDCRYTCNEWGIGMEISDDAKREEVEKLIKELMEGEKGKKMKNKVMEWKKLAEEATGPHGSSSTNLDNLVNQVLLRKS >ONI13762 pep chromosome:Prunus_persica_NCBIv2:G4:16102814:16104179:-1 gene:PRUPE_4G243600 transcript:ONI13762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYPIIGNLPGFRINYHRFHDWVADMLSQTSSSTLQVKTLLNLSHDICIASHSKVEHLLISNFPNYMKGSRFYDVLHDLLIHGVFNVDGNLWTIQCKIASHEFNTKSLKHFISNTVNSEISNHLIPYLSKIYDKNRVINLQNVLRKFGFDNICNVAFGTDPACLDLENMHHTQSMSGLSFAKSFDDTVDICSSRFMSPLSLVWKMKRFFNIGSEKQFKQATEEIIYYDELKKLHYMHADLSESMRLFPPVPINSRLIVDDEVLLDGCHVRKGWFANYFAYAMEFKPERWLDGDGVFQPSYQFRFPVFHYGPSMCLGNEMAYVQMKLVVAAVMYEFEVMVVNSGATVEKMMNPPYILSLVLKMKGRLVVRLHKRQ >ONI13566 pep chromosome:Prunus_persica_NCBIv2:G4:14772432:14778043:-1 gene:PRUPE_4G230700 transcript:ONI13566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIRISFLHKFLCTTTMASQVMGGTRAAHVFSMLVLISFFVIAAEGAIEEYAPMKILMDEWDIRPPSWVGSDPCVGGWEGIECTNSRITSIALADMGLTGRLPSDIELLSELEILNLSFNKGLKGLLPASIGKLTKLVHLNLVGCSFFGRIPDTIGSLKQLSYLSLKNNSFNGLIPPSIGNLTKLFFLDLSDNKLVGSFPVSNRTAPGLDQLENARHFHFQNNQLSGKIPPQLFSSQMKLIHVIFDRNNLTGSIPSTLGLVQSLQAVRLDRNSLNGSVPSNLKNLSKIAELHLSNNQLTGPVPNLTNLNSLSYVDMSNNTFDVSDVPSWFSTLLNLTTLMMENTRLQGEVPQALFSNYNLETLVLRNNKINGLLDLETISSNHLQLIDMEKNFITDLKPTAGGSNYTLILVDNPICEGTNMANNYCIVSPSNSSNLIPPSNCASVACSLGQVSSPNCTCAYPYTGTLVFIFVSFSNLGDFGYYKTLQESLVSTFQSYNLPVESVALGYPTFGSSYHLELFIQVFPSGQDRFNQTGASAIASVISNQTLLRPRFFGPYSAVFPYGNSGGGGSKKGLIIGAAVGGSVLLLLLALVGVYALQQKRRADEQWSRSIPLSGISNSSASAPRLKGAISFSFEELQKYTNGFSEANDVGAGGYGKVYRGILPTGQMVAIKRAKRDSMQGGPEFTAEVELLSRVHHKNLVSLLGFCLEQGEQILVYEYVPNGDLLDSLSEN >ONI13565 pep chromosome:Prunus_persica_NCBIv2:G4:14771368:14778129:-1 gene:PRUPE_4G230700 transcript:ONI13565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIRISFLHKFLCTTTMASQVMGGTRAAHVFSMLVLISFFVIAAEGAIEEYAPMKILMDEWDIRPPSWVGSDPCVGGWEGIECTNSRITSIALADMGLTGRLPSDIELLSELEILNLSFNKGLKGLLPASIGKLTKLVHLNLVGCSFFGRIPDTIGSLKQLSYLSLKNNSFNGLIPPSIGNLTKLFFLDLSDNKLVGSFPVSNRTAPGLDQLENARHFHFQNNQLSGKIPPQLFSSQMKLIHVIFDRNNLTGSIPSTLGLVQSLQAVRLDRNSLNGSVPSNLKNLSKIAELHLSNNQLTGPVPNLTNLNSLSYVDMSNNTFDVSDVPSWFSTLLNLTTLMMENTRLQGEVPQALFSNYNLETLVLRNNKINGLLDLETISSNHLQLIDMEKNFITDLKPTAGGSNYTLILVDNPICEGTNMANNYCIVSPSNSSNLIPPSNCASVACSLGQVSSPNCTCAYPYTGTLVFIFVSFSNLGDFGYYKTLQESLVSTFQSYNLPVESVALGYPTFGSSYHLELFIQVFPSGQDRFNQTGASAIASVISNQTLLRPRFFGPYSAVFPYGNSGGGGSKKGLIIGAAVGGSVLLLLLALVGVYALQQKRRADEQWSRSIPLSGISNSSASAPRLKGAISFSFEELQKYTNGFSEANDVGAGGYGKVYRGILPTGQMVAIKRAKRDSMQGGPEFTAEVELLSRVHHKNLVSLLGFCLEQGEQILVYEYVPNGDLLDSLSGKSGIRLDWLRRLKIILGAARGLAYLHEHANPPIIHRDIKSNNILLDKDLTAKVADFGLSKSMADSGTDHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVVMLELITARRPIEQGKFIVRVVQMAMDKTKDLYNLREVLDPHIGLGTELKGLEIFVDLAMSCVEESQDKRPRMGEVVKVIENITQLAALNNSTSNSASYEDGSSDDLLPSVQRSRP >ONI11889 pep chromosome:Prunus_persica_NCBIv2:G4:7335922:7345470:-1 gene:PRUPE_4G132700 transcript:ONI11889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKITYKSNPLLFSSTQLTQPSARPVLFKLPTKHRPKISPKKPTFRVMGSANSNGSDGFSWVSLTQSIRRGSERFWSNFGESVKKETGFDLKDANVKVGEYVGRVEGGLKKGRTELERFKTELVPEFVSWNRWERWKDIKTWESKRIAALIFYIFLAVVSCQRIYIAIRAPLQDRQRKELTEAYMEAVVPEPSPSNVRRFKKSIWRKTTPKGLKMKKFVERPDGTLVHDSSYVGEDAWDDDPQPPQDNVEQIIDSDVKLNQEGKKELKEDLGISGEVQENRGTWRERLKKWNEILQKEKLAEQLDSANSKYVVEFDMKEVENSLRKDVVEKVTETQGTRALWIAKRWWMYRPRLPYTYFLQKLDCSEVAAVVFTEDLKRIYVTMKEGFPLEYVVDIPLDPYLFEIISSSGAEVDLLQKRQIHYFMKVLIALVPGILILWLIRESVMLLHITSKRFLYKKYNQLFDMAYAENFILPVGDVGETKSMSKEVVLGGDVWDLLDELMIYMGNPMQYYERDVKFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPSFVFVDEIDAIAGRHARLDPRRSATFEALISQLDGEKEKTGVDRFSLRQAVIFICATNRPDELDHEFVRPGRIDRRLYVGLPDAKQRVQIFGVHSAGKQLAEDVDFGKLVFRTVGFSGADIRNLVNEAAIMSVRKGHSKIFQQDIVDVLDKQLLEGMGVLLTEEEQQKCEQSVSSEKKKLLAVHEAGHIVLAHLFPQFDWHAFSQLLPGGKETAISVFFPREDMVDQGYTTFGYMMMQMVVAHGGRCAERVVFGDDITDGGRDDLEKITKIAREMVISPQNSRLGLTALTKRVGLVDRPDNPDGELIRYRWDDPHVIPANMTLEVSELFTRELTRYIEETEELAMNGLKNNRHILDLITEELLEKSRITGLEVVEKMKDLSPVMFEDFVKPFQINLEEDGPLPHNDRLRYQPLDIYPAPLHRC >ONI11424 pep chromosome:Prunus_persica_NCBIv2:G4:5497087:5498961:-1 gene:PRUPE_4G106100 transcript:ONI11424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNMMSDSNNIKEDTNLLPGYRFHPTDEELVRFYLRRKVENKPIRLELIKLIDIYKYDPWDLPKASGIVGGKEWYFFCRRGKKYRNSVRPNRVTKSGFWKATGIDKPVYSVGDFHSCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPASSSKDDTSNIKNYTQEAEVWTLCRILKRDNNYSCRKYASNWQKATSNPKQIVADSSSKPCSPESDISFGALAANKDQFERSPIFYNGHMYEGNRFSAGDQLSLKGEVDPMVNSYISFSNPKEDEMLTSDGNWDELRPMVDCVLNPSLLYSCR >ONI11423 pep chromosome:Prunus_persica_NCBIv2:G4:5496176:5498944:-1 gene:PRUPE_4G106100 transcript:ONI11423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNMMSDSNNIKEDTNLLPGYRFHPTDEELVRFYLRRKVENKPIRLELIKLIDIYKYDPWDLPKASGIVGGKEWYFFCRRGKKYRNSVRPNRVTKSGFWKATGIDKPVYSVGDFHSCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPASSSKDDTSNIKNYTQEAEVWTLCRILKRDNNYSCRKYASNWQKATSNPKQIVADSSSKPCSPESDISFGALAANKDQFERSPIFYNGHMYEGNRFSAGDQLSLKGEVDPMVNSYISFSNPKEDEMLTSDGNWDELRPMVDCVLNPSLLYSCRVGTLESK >ONI13355 pep chromosome:Prunus_persica_NCBIv2:G4:13612772:13614595:1 gene:PRUPE_4G217100 transcript:ONI13355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQASFCSSSFSSATFKKTKTTLHNPKFQKSSHLSVPRLLVDELSQPNGLLNLTATSTHIEMNPNFKNTRRSKGSEAISDSKLVQELYAIMEIVSDRVEMHKNICAQRDNWNGLLLNSLNGMTATAAIMAGLAAVSGSGGAPILALKLSSSLLYMAVTGILLVMNKIQPSQLAEEQRNASRLFKRLHEEIKTTLALKTPTSNDVKVAMEKVLALDKAYPLPLLGTMIEKFPSLVKPAVWWPEESKPQHEETSETVERNGWDEKLEEEMKEILGVVRGKDAAEYVRLSNVVLKVNKILAFCGPLLTGFAAAGAGLVGLTGFGSWGAVLAVVFGALASVVNTLEHGGQVGMVFEMYRSSAGFFKLMEETIESTLKEGEVGERENGELFEVKVALQLGRSLAELRDLASSSSLSSRFKQAKEEFASKLF >ONI13340 pep chromosome:Prunus_persica_NCBIv2:G4:13563491:13565423:1 gene:PRUPE_4G216400 transcript:ONI13340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNSLVDVGNNNYLKANFPHNGVDLPNKKATGRFGNGKNAADFLVNYYSQVYENIRLELGSNDSMVSNLKQQFERIHSFGARKFVVVETRLVGFCPAQRASNERQCNEDANSMSVHYNDSLKSMLQQLKAGLHVNYTFFKTYSVITDHSKRSFFRYSSYFPTLQVFPACYVIRLKSKGFTETEAACCGIGKLNALAACLPVSSLCSNRRDYVFWDRFHPTEATHGSLVDTFRWSFQNTHSQRM >ONI11681 pep chromosome:Prunus_persica_NCBIv2:G4:6520184:6522700:1 gene:PRUPE_4G120400 transcript:ONI11681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSKPKLQRTTSVPPSTPKMNRRPVLQPTGNQFPSLEQRKSLKKSSQEPLAPTPLPSPLPSAKTKASLSPPISPKLPSPRPPAFKRGKDPNELNSSAEKVVTPRCTTKFTSSVKKSKKSSGSVAAAPSAESILKNISSLIVEAPGSIAAARREQVATMQEQRKMRIAHYGRTKSAKNEGKVVPLDASPTTDFGRDQRRCTFITPNSDPIYVAYHDEEWGVPVHDDNLLLELLVLTGAQVGSDWTSVLRKRQALRESFSGFDADGVAKFSERKITSVSSDSGIDISLVRGAVDNAKRILQIKREVGSFDKYLWGFVNHKPISTQYKSCHKIPVKNSKSESISKDMVRRGFRLVGPTVIHSFMQAAGLTNDHLITCPRHLQCAASLASSPPVAAPAAL >ONI11892 pep chromosome:Prunus_persica_NCBIv2:G4:7370011:7370936:-1 gene:PRUPE_4G133000 transcript:ONI11892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKFLGVLRRVGWGSGFDGKAKRAFNPLINCIFAVHIPPAPGKEMALFLNKLASMILRKDEHKLERVALGREFLISLGKSVPIRVHQVDSLVTMLRQKLQIQRRYEHNSLACMIFTSFIEHNADLRKWEVFVNNDHTRTFMSIEVIAAGLAEITKQIQAVNEVYKLHNLPEFYKDPGPHNSEKQRPKVHHYQGTN >ONI11218 pep chromosome:Prunus_persica_NCBIv2:G4:4672275:4675178:-1 gene:PRUPE_4G092900 transcript:ONI11218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQYGMLMRLSIMLLAVLVPAATSVVATTRASARQGGEENETAMAMLGCKPKCGNVTIPYPFGIGESHCFFGPRFQITCNDGSTEPRLMESRMIVTNIYLEEGELQTEQLVNRVCFDRQGYPNDTEDQSNGGLSVIPPYTISGAKNMLVAVGCDTYVRFVGSRDDQNYTTGCLSQCQYNISSNAIDKNDSCSGMGCCETKIPPLLHNLSLTVSSFRQHEPVWDFNPCGYAFVVRKGNFTFSNTSFQQLRNTTRLPLVLDWKIGDESCENAKKNNKTYACKGNSTCLDKTSGYICKCLAGFQGNPYFKDSCQDINECDDLNSCTNGQCINIPGNYTCSCYSGYHNLDNITCIKVPNAKRWKISLGVSLSFSVLVVAILWKYRKSRKKREENLRRRYFKENGGELLKEKLGNKARIFTESEIREATNNYAERNELGRGRYGIVYSGVLDKQEVAIKRPKIDEQKQITPPIDEQKQITPPIDDQEQITHKNQFADEMTVLYQINHNNVVRFVGCCLTTKTPILVYEFISKGSLYKSIHVKEGEKPLLSLEKRLKIAAGTAGALAYFHHDTFMPIIHGDVKTANILLDENFTAKVSDFGASRLAPKDENQKSTLVQGTVGYVDPEYLQSNTLTEKSDVYSFGVVLAELLTSRKAEEDLADDFVASVEGGKLRQILDEKIVEGLDEEIVTKAADLAKKCLKPRGEERPSTSEVAAELKLLVLTMPQHPSGGGEANN >ONI13218 pep chromosome:Prunus_persica_NCBIv2:G4:13122670:13123305:-1 gene:PRUPE_4G210500 transcript:ONI13218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVSLAKSIIGVANSHIWLEPILAGLVGYVLADLGSGIYRWGIDNYGSALTPIVGSQIEAFQGHHKWPWTITRRQFANNLPVVARVVTFMVLPIDVVCDDPIVHGLVAVCSGSIMFCQQFHAWAHSTKSQLPPVVVALQDLGILVSRNQHAAHHPKPYNNNHCIVSGVWNGFLDKHNVFEALEMLFLKQGVRPKSWSEPTSEWTEETESS >ONI12557 pep chromosome:Prunus_persica_NCBIv2:G4:10124944:10129442:-1 gene:PRUPE_4G171400 transcript:ONI12557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYVPFLLLLLILCGHTHGRFISDTQSASQDLISDGVHHHLSHIPRSYLTLNPFSVDAESTCDQTYGFLPCTTTVLGNLFLILVYGYLMYLAATYLSNGSELLLEILGPGIIGGLFLPILGALPDAMLILVSGLSGTTETAQSQVSVGMGLLAGSTVMLLTVIWGSCILVGKCDIENSIAIDNKDTKGLSLTGSGVSTDIWTSYAARIMAISVIPFLIVQLPQLLNSTSGRHLAVLISLIVSVVLLVSYCLYQVFQPWIQRRKIAYAKHKHVISGLLQHLTNQERLLTVDGEPDEEIIKKLFKTIDQDSDGYLSFSELRALIVGIRFDEIELDKNDAVNKVMKDFDTSHDSRISVDEFWVGVSKWLKEAKRAGNANSDPGHRTMKFLSDFHSRTKEEHALLGAGDQSDEVVEGAENPKWTSLKAGLMLLVGTLIAAAFADPLVDAVDNFSDATSIPTFFISFIALPLATNSSEAVSAIIFASRKKIRTASLTFSELYGAVTMNNILCLSVFLALVYIRGLSWDFSAEVLVILIVCVVMGILGSLRTNYPLWTCSIAFLLYPFSLALVYVLDYVLGWS >ONI14120 pep chromosome:Prunus_persica_NCBIv2:G4:19357207:19360505:-1 gene:PRUPE_4G263600 transcript:ONI14120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSQRSTEDAPNDDNKGDNNNAPDNNPSAAHASSQNPSNPAAHPKPNASPTDGKAARPGPIGPVLGRPMEDVRSMYSIGKELGRGQFGVTHLCTHKATGEHFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIISKGHYTERAAAALLRTIVQIVHTCHSMGVIHRDLKPENFLLLNKDEHSPLKATDFGLSVFYKQGELFKDIVGSAYYIAPEVLKRRYGPEVDIWSVGVMLYILLSGVPPFWAESEHGIFNSILRGQLDFSSDPWPSISHQAKDLVRKMLNSDPKQRLTAFQVLNHPWIKEDGEAPDVPLDNAVLSRLKQFKAMNQFKKVALRVIAGCLSEEEIMGLKEMFKSIDTDNSGTITLEELKQGLAKQGTKLSEFEAKQLMEAADADGNGTIDYDEFITATMHLNRMDREEHLYTAFQHFDKDNSGYITTEELEQALREYGMHDGRDILEIIAEVDADNDGRINYDEFCAMMRKGNPEANPKKRRDDIFV >ONI11615 pep chromosome:Prunus_persica_NCBIv2:G4:6300113:6301426:-1 gene:PRUPE_4G116500 transcript:ONI11615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLNGWGLCCSMTHRGYYSPLDKYNVDFILCFLGFSHPLPPLLRPAKTALKSLSLGPSLKTKEQYEKRRKLLLGSPLQVHEVI >ONI14138 pep chromosome:Prunus_persica_NCBIv2:G4:19459605:19465816:1 gene:PRUPE_4G264100 transcript:ONI14138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTGGIDPHTHLDMEFMGSGTIDDFFSGQAAALAGGTTMHIDFVIPVNGSLLSGLEAYEKKSKKSCMDYGFHMAITKWDEVVSKEMEIMVNEKGINSFKFFMAYKGSFMINDELLLQAFKKCKSLGALAMVHAENGDAVYEGQRRMIELGITGPEGHALSRPPVLEGEATARAIKLAGFVNTPLYVVHVMSIDAMEEISKARKSGLKVIGEPVVSGLVLNDSWLWDPDFITAAKYVMSPPIRAAGHGKALQAALSMGTLQLVGTDHCTFNSTQKALGIDDFQKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRVTSTECARIFNIYPRKGAIRAGSDADIIILNPNSSFEISAKSHHSRSDTNVYEGWRGKGKVEVTISGGRVVWLNDELKVFPGSGKYVEMRPFSYLFNGIGKADAKYLSSLKAPVKRFRATT >ONI14137 pep chromosome:Prunus_persica_NCBIv2:G4:19456496:19465816:1 gene:PRUPE_4G264100 transcript:ONI14137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSSPQRLLHLLSLILLLFAFCSISESSQFCDAGFGYGESCGVSSSSSTKLLIKGGTVVNAHEMEVADVYVEDGIIVAVRPNIKVGDDVTVLEATGKFVMPGGIDPHTHLDMEFMGSGTIDDFFSGQAAALAGGTTMHIDFVIPVNGSLLSGLEAYEKKSKKSCMDYGFHMAITKWDEVVSKEMEIMVNEKGINSFKFFMAYKGSFMINDELLLQAFKKCKSLGALAMVHAENGDAVYEGQRRMIELGITGPEGHALSRPPVLEGEATARAIKLAGFVNTPLYVVHVMSIDAMEEISKARKSGLKVIGEPVVSGLVLNDSWLWDPDFITAAKYVMSPPIRAAGHGKALQAALSMGTLQLVGTDHCTFNSTQKALGIDDFQKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRVTSTECARIFNIYPRKGAIRAGSDADIIILNPNSSFEISAKSHHSRSDTNVYEGWRGKGKVEVTISGGRVVWLNDELKVFPGSGKYVEMRPFSYLFNGIGKADAKYLSSLKAPVKRFRATT >ONI13141 pep chromosome:Prunus_persica_NCBIv2:G4:12792157:12795653:1 gene:PRUPE_4G205300 transcript:ONI13141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLGMKIPWTIQMSSFHAMLVVFLVLSCFRVEICYGQAGGGDGDGDGAVAAPPPEQEDCDGIFLSYQFTSREKELPHLKNVSAQAWAFKSEATILNAGSTELKAWKMYIGFQHREILVQTDGALLVDGGDLPAQIGTKGATFAGNPMTDLKTMIDTAGDYTQIQSKIKFKGTQFGLGTKATPMPKSLSLVNDGFKCPNARIRGKTTMFVCCRKDPKFKAKKIEKTKFMPKQNGDLSITYDVLQTFANNYLAQVTIDNIHPLGRLDHWNITWEWMKGEFINNMRGAYTHKKDSTECLYGMAGKFYKDLDFSQVMNCEKRPVITDLPADRKDDPKVGKLPRCCRNGTILPGLMDKSQSQSIFQLQVFKLPPDDNRTALTPPQKWKINGALNPSYKCGPPIRIDPTQFPDPSGLQATSASVASWQVVCNITKPAVPRCCVSFSAYYSDSVVPCSTCACGCKTTETDKCSPREPAMLLPAEALLVPFANRTEKAKAWAKIKRYDVPKKLPCPDNCGVSLNWHIDSDYSNGWTARLTLFNWGKDPFQDWYTAVKMNKAYEDYENVYSFNGTRMEKEVNSTIMFTGLKGLNYLIGLKNGTDPKKNPMVPGKQQSVVSFKKKHFHNIDIKAGEGFPTRVLFNGEECAIPKRFPRNNAQHLNSNALMVLCIAILTFLFMTDRFH >ONI13142 pep chromosome:Prunus_persica_NCBIv2:G4:12792060:12795154:1 gene:PRUPE_4G205300 transcript:ONI13142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLGMKIPWTIQMSSFHAMLVVFLVLSCFRVEICYGQAGGGDGDGDGAVAAPPPEQEDCDGIFLSYQFTSREKELPHLKNVSAQAWAFKSEATILNAGSTELKAWKMYIGFQHREILVQTDGALLVDGGDLPAQIGTKGATFAGNPMTDLKTMIDTAGDYTQIQSKIKFKGTQFGLGTKATPMPKSLSLVNDGFKCPNARIRGKTTMFVCCRKDPKFKAKKIEKTKFMPKQNGDLSITYDVLQTFANNYLAQVTIDNIHPLGRLDHWNITWEWMKGEFINNMRGAYTHKKDSTECLYGMAGKFYKDLDFSQVMNCEKRPVITDLPADRKDDPKVGKLPRCCRNGTILPGLMDKSQSQSIFQLQVFKLPPDDNRTALTPPQKWKINGALNPSYKCGPPIRIDPTQFPDPSGLQATSASVASWQVVCNITKPAVPRCCVSFSAYYSDSVVPCSTCACGCKTTETDKCSPREPAMLLPAEALLVPFANRTEKAKAWAKIKRYDVPKKLPCPDNCGVSLNWHIDSDYSNGWTARLTLFNWGKDPFQDWYTAVKMNKAYEDYENVYSFNGTRMEKEVNSTIMFTGLKGLNYLIGLKNGTDPKKNPMVPGKQQSVVSFKKKHFHNIDIKAGEGFPTRVLFNGEECAIPKRFPRNNAQHLNSNALMVLCIAILTFLFMTDRFH >ONI11091 pep chromosome:Prunus_persica_NCBIv2:G4:4278182:4278903:-1 gene:PRUPE_4G087000 transcript:ONI11091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKFSNKKCPRELGGATDLINLYKLWPHHEFFCKRSLPLSISETHYLHNVVGDTLIRKGEGMELDQLFQSNLYMREKNAHIHPFDLDVLCEAFLIRETTPVKLPSVRHFLHLCLLSLIEHKNPMSTVVDKTEAKAKGKATTTIMIMTTSTESEASKGNVNCGSSGHDNNSSRKRQ >ONI13171 pep chromosome:Prunus_persica_NCBIv2:G4:12921964:12922501:-1 gene:PRUPE_4G207500 transcript:ONI13171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFAFMKSITLRFLVTMALYGVCFGAVYRVGDSNGWTSRGLVDYNEWASTKDFHVNDTLIFTYNNQFHNVMSGHFYFLCGTPGHCQAGQNVDINVTLPISADGSFASPSPAPYGASPPSEVVFLSSASTLRLSKLEFTTVVLCVLGFVL >ONI12764 pep chromosome:Prunus_persica_NCBIv2:G4:10784667:10787926:1 gene:PRUPE_4G181700 transcript:ONI12764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSKQMNKEPKCKPSSVITTSLKMWYKLSKLVIFFFVFTTPSAASSRFNYQTAYTDHCASFVPESDPEGNVLGPPYQYRHTGYYTGGGSGGILSPNSSIDFYTRSIIETKVQGLFKLQGRIRFPRASTYHFVGNSTSNKYGSASHRRSSIAFALDGFWSQSSGKLCMVGSAYGYLRNVHSVLKLYNFMNSTSITSMISGTLESLMRSENDPNDFEPISILIFPSMNYQYTLVSNKSENRSSSGGSDDSNPTSSLKMERFCSVLSSEVLNHEFDLKYSSGCASAKNCTPLAVSDLPRVMSLKAIECLEDERSLRVLVEFAESNSLWYRRPFNPNKTLVGEGSWNTEKNQLSVVACQFLDAAGSWNNVRVGNCSTRLSLKIPAIWTIGNTSSIVGHIWSNKTATESGYLEQITFESPQDDVGRVLIPGLKYKYTKMDKVTKLCPRKKAAHDKANVYPNPFSYEMRFDVSAKNLKGELAWGSSVPLSVGNQFYQSYWYSTVSTNESSVGFAPVSSPVTVSYSNNQSNPYNISYTIRITSLSYAKLGNVSILNDTQIFAEGIYDETEGSLCMVGCRNLGSKNQQPTNDSVDCDIVVNFQFPPTNPSKKWSLIKGSIKSTRKKSDPLHFESWDLSSASSYLVEERRSIWRMDVEITLVLVSTTLSCVFVALQLFHVKKYPDVLPSISIFMLLILTLGYMIPLMLNFEAMFANSTNRRSVFLGSGGWLEVNEVIVRVITMVAFLLQIRLLQLTWSARSATGTQKELWIMERKTLFVVLLIYVAGALAALLLHTLNWRKSLNDGSITAYPGAGHQQHSHLGTAVKSYAGLVLDGFLLPQILLNMFCKSREKALSVSFYIGTTFVRALPHAYDLYRAHNSAHHPLDESYLYASPVADFYSTAWDVIIPLGGLLFAGIIYLQQRFGGLCILPQKLRELGAYEKVPTVTEG >ONI10866 pep chromosome:Prunus_persica_NCBIv2:G4:3581953:3585652:1 gene:PRUPE_4G073200 transcript:ONI10866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGAKKRKAAKKKKEKETHNGKSSTDDNPQGNNEVTSQDEKGSDGGEVGCTAFQEHHNQQDPFKKSNEELGERGPSSVPPVVSEDKSGKGAPSGVEAVQKVGLKNDDGVRIERELKQDSASKNVIIEDAKSAKGSHNGDDRSSSSSSSDDESRVVERRQKEDSYASVSEEKPHNDLVRSIDSSPPEVIQTTEGAPAVKNTSSVTESTPVESSVFSNVVVKTGLENGAEKLLPTGTPVTLTDPVLKKIENRTSPLLNETAKASSSMVESVSRENESKVLPSLGTSRAQVSNDAESSKNPEIPEYSEKQPLVTPAPPVPRKTSWFSCCGILEVITGSSR >ONI09778 pep chromosome:Prunus_persica_NCBIv2:G4:453511:455101:-1 gene:PRUPE_4G008900 transcript:ONI09778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVLLVKKIQEIQQSNKSTVMMRPHCLLALVALVLPLVAAAATGHRDALVGGYQPIKNISDPHVKEIAEFAVSEYNKQAQGKNKLVFQSVIRGETQVVAGIKYRLVISAKNESSAVSNPTAAAAAGDNYQAVVLEKSWEHFRQLISFRKLA >ONI10869 pep chromosome:Prunus_persica_NCBIv2:G4:3586235:3586834:-1 gene:PRUPE_4G073300 transcript:ONI10869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQLKSVAGLLLLLNFCMYVIVLGIGGWAMNRAIDHGFIIGPGFDLPAHFSPIYFPMGNAATGFFVTFALIAGVVGAASAISGINHIRSWTADSLPSAAAAATVAWTLTLLAMGFACKEIELRIRNARLVR >ONI10868 pep chromosome:Prunus_persica_NCBIv2:G4:3585854:3587064:-1 gene:PRUPE_4G073300 transcript:ONI10868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAATGFFVTFALIAGVVGAASAISGINHIRSWTADSLPSAAAAATVAWTLTLLAMGFACKEIELRIRNARLRTLEAFLIILSATQLLYIAAIHSAASRR >ONI10867 pep chromosome:Prunus_persica_NCBIv2:G4:3585854:3587064:-1 gene:PRUPE_4G073300 transcript:ONI10867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQQLKSVAGLLLLLNFCMYVIVLGIGGWAMNRAIDHGFIIGPGFDLPAHFSPIYFPMGNAATGFFVTFALIAGVVGAASAISGINHIRSWTADSLPSAAAAATVAWTLTLLAMGFACKEIELRIRNARLRTLEAFLIILSATQLLYIAAIHSAASRR >ONI12663 pep chromosome:Prunus_persica_NCBIv2:G4:10575357:10576387:1 gene:PRUPE_4G177900 transcript:ONI12663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLMPNSEETTSLMAMCMNTEAIAYMIIYGLSAAASTRVSNELGAGNPDKAKKAMAVTLKLSMLLALVLVLALAVGHNIWAGFFSDSHIIAEQFASMVPLLVISIIVDSVQGVLSGVARGCGWQHLTVYVNIVTFYLIGMTISSLLGFKFKLHAKGLWIGLTCGLSCQAATVLYITQSTKWTVLDLSDIAEREKPVFV >ONI10483 pep chromosome:Prunus_persica_NCBIv2:G4:2392977:2395304:1 gene:PRUPE_4G050200 transcript:ONI10483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQNPDQRLKPTLQTENQQQQQQNQQPQKCPRCESLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRPKTNSSSSSSPSLQPQSQAQAPQQDQQTVKNLSTTSPSSNLGAIESTGNLASHHQYYPGGTGYLSSYAAIQSLNQSQPFNVGGHDQLGGGASSNMSLLQGFNVSAPFGQFYQAGNTKSSMVDQTALYPSDQEGLLIQPTRPSASSDHGWPHQSFNINRSSANASSAASESALWATTTSGNMNTTTTTSAGPSLNPNQWPDLPGSYGPPN >ONI10482 pep chromosome:Prunus_persica_NCBIv2:G4:2392952:2395306:1 gene:PRUPE_4G050200 transcript:ONI10482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQNPDQRLKPTLQTENQQQQQQNQQPQKCPRCESLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRPKTNSSSSSSPSLQPQSQAQAPQQDQQTVKNLSTTSPSSNLGAIESTGNLASHHQYYPGGTGYLSSYAAIQSLNQSQPFNVGGHDQLGGGASSNMSLLQGFNVSAPFGQFYQAGNTKSSMVDQTALYPSDQEGLLIQPTRPSASSDHGWPHQSFNINRSSANASSAASESALWATTTSGNMNTTTTTSAGPSLNPNQWPDLPGSYGPPN >ONI11250 pep chromosome:Prunus_persica_NCBIv2:G4:4811628:4815495:1 gene:PRUPE_4G095700 transcript:ONI11250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQDFKVDLNKALVFQVGHLGEAYQEWVHQPIVCKEGPRFFENEFWEFLTRTVWWAVPVIWLPVVFYSISMSVRMGHGFPEIALMVFAGIFVWTLLEYTLHRFLFHIETKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAATAVLCVPFWNLVKLISVPSVTPALFGGGLLGYVMYDCTHYYLHHGQPSSDVPRNLKKYHLNHHFRIQDKGFGITSSIWDRVFGTLPQSKAAKKDR >ONI11251 pep chromosome:Prunus_persica_NCBIv2:G4:4811599:4815556:1 gene:PRUPE_4G095700 transcript:ONI11251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQDFKVDLNKALVFQVGHLGEAYQEWVHQPIVCKEGPRFFENEFWEFLTRTVWWAVPVIWLPVVFYSISMSVRMGHGFPEIALMVFAGIFVWTLLEYTLHRFLFHIETKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAATAVLCVPFWNLVKLISVPSVTPALFGGGLLGYVMYDCTHYYLHHGQPSSDVPRNLKKYHLNHHFRIQDKGFGITSSIWDRVFGTLPQSKAAKKDR >ONI11249 pep chromosome:Prunus_persica_NCBIv2:G4:4811997:4815495:1 gene:PRUPE_4G095700 transcript:ONI11249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQDFKVDLNKALVFQVGHLGEAYQEWVHQPIVCKEGPRFFENEFWEFLTRTVWWAVPVIWLPVVFYSISMSVRMGHGFPEIALMVFAGIFVWTLLEYTLHRFLFHIETKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAATAVLCVPFWNLVKLISVPSVTPALFGGGLLGYVMYDCTHYYLHHGQPSSDVPRNLKKYHLNHHFRIQDKGFGITSSIWDRVFGTLPQSKAAKKDR >ONI13853 pep chromosome:Prunus_persica_NCBIv2:G4:16672372:16675387:-1 gene:PRUPE_4G249500 transcript:ONI13853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGALSVVGSSVVDSHTSPCLCLDALPTTAMNLKSGGELVLQRNSMAKKRLVKPGSLELSSSFIDSGHDMRLSMKALPGIIVNKSMRKRKNRGFVIVNELGGQYEDSFEDVKAQMLNYFTYKAVRTVMSQLYEMNPTQYRCFVATHKPGDGKRFIRTLGKERHELAERVMVTRLHLYGKWVKKCNHAEIYQQISDQNLELMRERLIETVIWPSDDNTEKIG >ONI13854 pep chromosome:Prunus_persica_NCBIv2:G4:16671768:16675615:-1 gene:PRUPE_4G249500 transcript:ONI13854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGALSVVGSSVVDSHTSPCLCLDALPTTAMNLKSGGELVLQRNSMAKKRLVKPGSLELSSSFIDSGHDMRLSMKALPGIIVNKSMRKRKNRGFVIVNELGGQYEDSFEDVKAQMLNYFTYKAVRTVMSQLYEMNPTQYRWFYDFVATHKPGDGKRFIRTLGKERHELAERVMVTRLHLYGKWVKKCNHAEIYQQISDQNLELMRERLIETVIWPSDDNTEKIG >ONI11501 pep chromosome:Prunus_persica_NCBIv2:G4:5736010:5743925:1 gene:PRUPE_4G109500 transcript:ONI11501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLEESVPLRDKPSYDFDDIERQGRPTKWSTAAVNGILAPKGRTLQLWNNIFVISCVVAVSLDPLFLYIPIINEENKCLGTDKKLRNAALVLRSLTDIIFVVRIVHQIRTKLEDSEEPAEGRESMGWKWRPLLCVPLIIDLLAILPIPQLAIVVVFFRTRGSRYLQKLNILNAFLLFQYVPNVYRLHISSEKLQKKERWIKGAFNFFLYILASHVLGAFWYFFSIQRETACWHQACKNTEDCIASRYCNDSTSRNITFINEFCPINPSDPSLFDFGMYLDAIQSGNTGPVNFPRKFFYSFWWGIRNLSNFGTSLETSSYVWENCFAILIAVIGLLLFLYLIGNLQTYMQWAAAKAEEQKEDDLRKRIKMKEDSIDDWMLKHGLPQDLKKEIMDIIKENNVVEKNIDAEVDVKYLFSIDLPRTTESSIKHHVGMNVLNKVPVLQNMKVEVLKTICNHLKPQILYPDNINVFRRGKAIDFMLFIIEGNISIQENASEPTESTPGKGDYFGKELLYWASPANSFDLKVPSSTQNVRCQTKVEAFALKAEALRSIVLKYRRTWNRILYNCDINYPQLEELELARSPHDKTEQTYMQIWEESLRLQKLKVLKDNDILEWVSRNGLPENIKKKIMENITEVNAVKKNIDVDVDVDFVFSILPQDVKEAIKVYVGMDALKKVPMLKNMPESSLKFICKYLEPVIYSKNDYLIRARKPLNSMLFIIEGVIIWPNTANEAVTAGSYMINQLCLVKGDLYGEELLSWASPGSRLSDLPISTQDVCCQTKVEALALKAEKMQSTVSELRERWTNYYIAPPQEKTYLQILNETYRQLKPTKLDNVEDEELRQKMKIMIKERDILEWLSRNDIDDDLKTKIIMHMKLNKIVDENINADVNVEYLFNNIPFGIAIPIKRQLCISTLKKVPMLEKMPEDVFSNICYELKPVTYTEDSYIVRAGEKLDLMLIIVEGKILPPDVNSDNGTAGSPMITKYLEKGDFCGEELLNWASPNTLFSGHAPISTHDVKCETKVEGFALTVDKLRSFVSQYTSDWISHFNNSKS >ONI11500 pep chromosome:Prunus_persica_NCBIv2:G4:5736010:5743925:1 gene:PRUPE_4G109500 transcript:ONI11500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLEESVPLRDKPSYDFDDIERQGRPTKWSTAAVNGILAPKGRTLQLWNNIFVISCVVAVSLDPLFLYIPIINEENKCLGTDKKLRNAALVLRSLTDIIFVVRIVHQIRTKLEDSEEPAEGRESMGWKWRPLLCVPLIIDLLAILPIPQLAIVVVFFRTRGSRYLQKLNILNAFLLFQYVPNVYRLHISSEKLQKKERWIKGAFNFFLYILASHVLGAFWYFFSIQRETACWHQACKNTEDCIASRYCNDSTSRNITFINEFCPINPSDPSLFDFGMYLDAIQSGNTGPVNFPRKFFYSFWWGIRNLSNFGTSLETSSYVWENCFAILIAVIGLLLFLYLIGNLQTYMQWAAAKAEEQKEDDLRKRIKMKEDSIDDWMLKHGLPQDLKKEIMDIIKENNVVEKNIDAEVDVKYLFSIDLPRTTESSIKHHVGMNVLNKVPVLQNMKVEVLKTICNHLKPQILYPDNINVFRRGKAIDFMLFIIEGNISIQENASEPTESTPGKGDYFGKELLYWASPANSFDLKVPSSTQNVRCQTKVEAFALKAEALRSIVLKYRRTWNRILYNCDINYPQLEELELARSPHDKTEQTYMQIWEESLRLQKLKVLKDNDILEWVSRNGLPENIKKKIMENITEVNAVKKNIDVDVDVDFVFSILPQDVKEAIKVYVGMDALKKVPMLKNMPESSLKFICKYLEPVIYSKNDYLIRARKPLNSMLFIIEGVIIWPNTANEAVTAGSYMINQLCLVKGDLYGEELLSWASPGSRLSDLPISTQDVCCQTKVEALALKAEKMQSTVSELRERWTNYYIAPPQEKTYLQILNETYRQLKPTKLDNVEDEELRQKMKIMIKERDILEWLSRNDIDDDLKTKIIMHMKLNKIVDENINADVNVEYLFNNIPFGIAIPIKRQLCISTLKKVPMLEKMPEDVFKNICYEVKPVTYTQDSYVVRAGEPLDLMLIITEGTVICAGTKYLEKGHFCGEELLSWASPNILFSGRAPMSIHDVKCQKKVEGFALTADKLRSFVSQYSLEWISNFNNYSNSHQLEEQTDNIDKILNEVQMGTTKLEDIEDEEVRQKIKIMIKEKEIHEWVSRNGLEEDLKTKIIMHIKLNNLVEQNIDANVDVEYLTNNLPFGVAISIKRHLCISTLKKVPMLEKMPEDVFSNICYELKPVTYTEDSYIVRAGEKLDLMLIIVEGKILPPDVNSDNGTAGSPMITKYLEKGDFCGEELLNWASPNTLFSGHAPISTHDVKCETKVEGFALTVDKLRSFVSQYTSDWISHFNNSKS >ONI11499 pep chromosome:Prunus_persica_NCBIv2:G4:5736010:5743925:1 gene:PRUPE_4G109500 transcript:ONI11499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLEESVPLRDKPSYDFDDIERQGRPTKWSTAAVNGILAPKGRTLQLWNNIFVISCVVAVSLDPLFLYIPIINEENKCLGTDKKLRNAALVLRSLTDIIFVVRIVHQIRTKLEDSEEPAEGRESMGWKWRPLLCVPLIIDLLAILPIPQLAIVVVFFRTRGSRYLQKLNILNAFLLFQYVPNVYRLHISSEKLQKKERWIKGAFNFFLYILASHVLGAFWYFFSIQRETACWHQACKNTEDCIASRYCNDSTSRNITFINEFCPINPSDPSLFDFGMYLDAIQSGNTGPVNFPRKFFYSFWWGIRNLSNFGTSLETSSYVWENCFAILIAVIGLLLFLYLIGNLQTYMQWAAAKAEEQKEDDLRKRIKMKEDSIDDWMLKHGLPQDLKKEIMDIIKENNVVEKNIDAEVDVKYLFSIDLPRTTESSIKHHVGMNVLNKVPVLQNMKVEVLKTICNHLKPQILYPDNINVFRRGKAIDFMLFIIEGNISIQENASEPTESTPGKGDYFGKELLYWASPANSFDLKVPSSTQNVRCQTKVEAFALKAEALRSIVLKYRRTWNRILYNCDINYPQLEELELARSPHDKTEQTYMQIWEESLRLQKLKVLKDNDILEWVSRNGLPENIKKKIMENITEVNAVKKNIDVDVDVDFVFSILPQDVKEAIKVYVGMDALKKVPMLKNMPESSLKFICKYLEPVIYSKNDYLIRARKPLNSMLFIIEGVIIWPNTANEAVTAGSYMINQLCLVKGDLYGEELLSWASPGSRLSDLPISTQDVCCQTKVEALALKAEKMQSTVSELRERWTNYYIAPPQEKTYLQILNETYRQLKPTKLDNVEDEELRQKMKIMIKERDILEWLSRNDIDDDLKTKIIMHMKLNKIVDENINADVNVEYLFNNIPFGIAIPIKRQLCISTLKKVPMLEKMPEDVFKNICYEVKPVTYTQDSYVVRAGEPLDLMLIITEGTVICAGTKYLEKGHFCGEELLSWASPNILFSGRAPMSIHDVKCQKKVEGFALTADKLRSFVSQYSLEWISNFNNYSNSHQLEEQTDNIDKILNEVQMGTTKLEDIEDEEVRQKIKIMIKEKEIHEWVSRNGLEEDLKTKIIMHIKLNNLVEQNIDANVDVEYLTNNLPFGVAISIKRHLCISTLKKVPMLEKMPENVFANICYELKPVTYTEDSYIVRAGEQLDLMLIIVEGKILQTDMTLDTGTTGSSMITNYLEKGDFCGEDLLSWASPNILFSGDAPVSTHDVRCETKVEGFALTADKLRSFISQYTSEWISNFNNCNNLQQLGELAFRPDNIDKILNELGATKVDDIEDEKLKKKMKTVIKEKHVQEWLSRNCPLDEDLKTKVMMHLKLNKILDQNNDAAVDVEYISNNLPFGTAISIKRHLCISTLKKVPMLEKMPEDVFSNICYELKPVTYTEDSYIVRAGEKLDLMLIIVEGKILPPDVNSDNGTAGSPMITKYLEKGDFCGEELLNWASPNTLFSGHAPISTHDVKCETKVEGFALTVDKLRSFVSQYTSDWISHFNNSKS >ONI11634 pep chromosome:Prunus_persica_NCBIv2:G4:6350829:6355285:1 gene:PRUPE_4G117400 transcript:ONI11634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKTGRESTDIEIYGMQGIPPDVLAAHYGEEDEDAPSKVAKVDIPSTQFVGGMVPGSMGIGYPPQPALGAIRPIYSPAVPMPPNAWQPRPQPWFPQLPAVSIPPPSSLGYVPQPLFPVQNVRPPPPSSTPAHLPSHITPPGLPTSMPPVPVSQPLFPVVGINNVPTQSSPFSAPMPSTSILSNSPAELKGSTDAHQGGTLINSHSYASGPNTGGPSIGPPPVIANKAPAPQPAANEVYLVWDDEAMSMEERRMSLVKYQVHDETSQVSHTTSLIYGVGLL >ONI11633 pep chromosome:Prunus_persica_NCBIv2:G4:6350829:6355875:1 gene:PRUPE_4G117400 transcript:ONI11633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKTGRESTDIEIYGMQGIPPDVLAAHYGEEDEDAPSKVAKVDIPSTQFVGGMVPGSMGIGYPPQPALGAIRPIYSPAVPMPPNAWQPRPQPWFPQLPAVSIPPPSSLGYVPQPLFPVQNVRPPPPSSTPAHLPSHITPPGLPTSMPPVPVSQPLFPVVGINNVPTQSSPFSAPMPSTSILSNSPAELKGSTDAHQGGTLINSHSYASGPNTGGPSIGPPPVIANKAPAPQPAANEVYLVWDDEAMSMEERRMSLVKYQVHDETSQMSSIDAAIDRRILESRLAGRMAF >ONI10629 pep chromosome:Prunus_persica_NCBIv2:G4:2779714:2781878:1 gene:PRUPE_4G058100 transcript:ONI10629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGKVLTSRPSMFFSPQNHTTLVPPNPVFPPSYVASPIATTLASSLQHYINSENPSHGQKIHTHILKTGFRPNINVSIKLLILHLKCGSLKYARQVFDELPAQTLSAYNYLIGAYLKRGKVEESLNLVRRLVFSGEKPDGYTFSMVLKASTCAGNAAFPWSLGVVHAQIIKSDVEPDDVLYTVLVDSYIKNGKVGYARTVFDTMLEKNVVCSTSMISGYMNQGSVEDAEDIFRRTVEKDVVVFNAMIEGYSKSTEYAWRSFEVYVDMQRLNFRPNISTFASAIGACSVLAAFEVGQQVQSQLMKTELFWDIKMGSALIDMYSKCGRIEDARRIFDHMPQKNVFSWTSMIDGYGKNGYPDEALELFSIMQREHQIEPNFVTFLSALSTCGHAGLVDKGHEIFESMNRDYLLKPRMEHYACMVDLLGRAGSLHQAWELAMGMPEKPNSDVWAALLSSSTLHGDVEMASIAAKELFKLNPDSRPGAYVAFSNTLAAAGKWDNVSELREKMKVRGISKDIACSWVGTDSG >ONI10162 pep chromosome:Prunus_persica_NCBIv2:G4:1465854:1466297:-1 gene:PRUPE_4G031500 transcript:ONI10162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLNSFLTSWKSQDDPRTGNYSCRIDPSSLPQLILYKDQALEWWVGSWTSQKWSGIPEITSFRGMFNFSFKNNRDETSFMYEISNDPIFPVSMGVVRESGTVELLVWNDREHQWLVIWYASLMERCENCGNWRNCSCIVYTRMRTR >ONI11352 pep chromosome:Prunus_persica_NCBIv2:G4:5271649:5273271:1 gene:PRUPE_4G103100 transcript:ONI11352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPIVGETLEFLFGKPEIFVSKRMRRYSPEIFKTKILGEKTAVICGPNGHKFLFSNEQKYFTAFRPHSMQKMFRSYKAPAAAAAAAAPPAVTQPARDEEAKVLRSPGFLKPEALVRYLGVMDSITQAQMKAYWEGKDEVEVYPLTKTLTLGLACRFFLGIDEPDRIARFVSNFDDVTVGMHSLILNFPGTTFYKATKAADELRRELKIVIQEKKAAMASGAPMHDILSHMIVASDPTGKHMPEAEVADKIMGLLTAGYSTVATAMTFFMKYVGERPDIYAKVLAEHKEIADSKKPGQFLEWDDINKMKYSWNVLYEVYWTVSTTNMNPEYFPNPEKFDPSRYDDLSAFPAFTFVPFGGGPRMCPGKEYARLAILTFVHNVVMRFKWEVLFPNEKITGDMMPTPEKGLPVRLTRH >ONI11850 pep chromosome:Prunus_persica_NCBIv2:G4:7147408:7149232:-1 gene:PRUPE_4G129700 transcript:ONI11850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTFQLAPSFNMLRIISILIFLFPTMVFGDCTCESEDTEHNKEAALKYKLGSIASILVAGAVGVSLPLLGKKIPTLRPENDIFFMIKAFAAGVILATAFIHILPDAFDNLTSPCLKENPWGKFPFTGFVAMLSAIGTLMVDSLATGYYQRSNIKSNQVHELETGDRVHGHAADHVHGHTHATQSHAHGSEELMSSELIRNRVISQVLELGILVHSVIIGISLGASQSPETIKPLMVALSFHQFFEGVGLGGCISQAKFKSRSAAIMAAFFSLTTPVGIAIGIGISTVYNESSPTALIVEGTFNAAAAGILIYMALVDLLAADFMNPRLQSNLGIQLGAYISLLLGTGCMSVLAKWA >ONI11017 pep chromosome:Prunus_persica_NCBIv2:G4:4037562:4039527:-1 gene:PRUPE_4G082700 transcript:ONI11017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEELLGLFEVLGALLGDSSWAQEHPQPCDETPWPGVQCEIGDENPPMFHVTKIHIGPDPACKSSATLSESLLKLPYLKTLSIFNCFLTSPVTLSPTLLGALSSLEHLALVSNPALSGELPPSLAKISNLRVLSLSQNNLLGKIPGNIGGMVSLEQLDLSYNNLSGQIPVEIGGLRTLSILDLSWNVLEGQVPGSVGQLQLIQKIDLSSNRFTGSMPPDTGKLNKLVLLDLSHNLINGPIPETLSGLEQLQYLVADNNPINTEIPQFVGKLMKLKSLSFSGCGLTGPLPNSLSSLKNLTALSLDNNSLTGTVPPDLGTLPSLNQLNLSNNQLSGDLSLPEEFIERLGKRLDVRGNNGLCTSNPLYKKKSISTNLITPLCLNASGPRDGKTLAGEHPNESDRMKPCSQYPVQKSSGSSWSYELNSCSFVVCFLYLML >ONI14295 pep chromosome:Prunus_persica_NCBIv2:G4:22669176:22691047:-1 gene:PRUPE_4G273600 transcript:ONI14295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGISRKRPAPTQQPSPANPPKQHATSQEDEFLDEDVFLDETLFETEDDLILRDIEERQSLASRLTKWARPSISHAYSSASRSIAFQQLEIDYVVGDSNRELLPNWSGSAAIIRIFGVTKEGILDRGIQIDGLGMKSFMTYESNVLFALRFMIDCNIVGGNWIEVPAGRYKTAKHSSYCQLEFDCLFSDLISHAPEGEFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGENQPFIRNVMTLKSCSPIVGVDVMSFDTEREVLLAWRDLIREVDPDVIIGYNICKFDLPYLIERAETLGIAEFPMLGRIKNSRVRVKDTTFSSRQYGTRESKEVTVEGRVQFDLFQAMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLLIPNVKQAGSEQGTYEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTTEDARKLNIPPEFVNKTPSGETFVKSNLQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCIEISSSVTSYGRQMIEHTKNLVKEKFTILKGYEHNAEVIYGDTDSVMVQFGVSTVEAAMNLGREAAEYISGTFIKPIKLEFEKVYYPYLLISKKRYAGLLWTSPDKFDKMDTKGIETVRRDNCLLVKNLVNECLHKILIDRDIPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYEKSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSISISTPSNSGIMKFAKKQLTCVGCKALISNADRTLCSHCKGREAELYCKTVAHVSELETLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKVQLDRWSF >ONI14296 pep chromosome:Prunus_persica_NCBIv2:G4:22669676:22690957:-1 gene:PRUPE_4G273600 transcript:ONI14296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGISRKRPAPTQQPSPANPPKQHATSQEDEFLDEDVFLDETLFETEDDLILRDIEERQSLASRLTKWARPSISHAYSSASRSIAFQQLEIDYVVGDSNRELLPNWSGSAAIIRIFGVTKEGHSVCCHVHGFEPYFYISCPPGMGPDDISRFHQILEGRMGEMNRNSKVPKFIRHIEMVQKRSIMYYQQQDSHPFLKIVVALPTMVASCRGILDRGIQIDGLGMKSFMTYESNVLFALRFMIDCNIVGGNWIEVPAGRYKTAKHSSYCQLEFDCLFSDLISHAPEGEFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGENQPFIRNVMTLKSCSPIVGVDVMSFDTEREVLLAWRDLIREVDPDVIIGYNICKFDLPYLIERAETLGIAEFPMLGRIKNSRVRVKDTTFSSRQYGTRESKEVTVEGRVQFDLFQAMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLLIPNVKQAGSEQGTYEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTTEDARKLNIPPEFVNKTPSGETFVKSNLQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCIEISSSVTSYGRQMIEHTKNLVKEKFTILKGYEHNAEVIYGDTDSVMVQFGVSTVEAAMNLGREAAEYISGTFIKPIKLEFEKVYYPYLLISKKRYAGLLWTSPDKFDKMDTKGIETVRRDNCLLVKNLVNECLHKILIDRDIPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYEKSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSISISTPSNSGIMKFAKKQLTCVGCKALISNADRTLCSHCKGREAELYCKTVAHVSELETLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKVQLDRWSF >ONI14297 pep chromosome:Prunus_persica_NCBIv2:G4:22676756:22691047:-1 gene:PRUPE_4G273600 transcript:ONI14297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGISRKRPAPTQQPSPANPPKQHATSQEDEFLDEDVFLDETLFETEDDLILRDIEERQSLASRLTKWARPSISHAYSSASRSIAFQQLEIDYVVGDSNRELLPNWSGSAAIIRIFGVTKEGHSVCCHVHGFEPYFYISCPPGMGPDDISRFHQILEGRMGEMNRNSKVPKFIRHIEMVQKRSIMYYQQQDSHPFLKIVVALPTMVASCRGILDRGIQIDGLGMKSFMTYESNVLFALRFMIDCNIVGGNWIEVPAGRYKTAKHSSYCQLEFDCLFSDLISHAPEGEFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGENQPFIRNVMTLKSCSPIVGVDVMSFDTEREVLLAWRDLIREVDPDVIIGYNICKFDLPYLIERAETLGIAEFPMLGRIKNSRVRVKDTTFSSRQYGTRESKEVTVEGRVQFDLFQAMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLLIPNVKQAGSEQGTYEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTTEDARKLNIPPEFVNKTPSGETFVKSNLQKGILPEILEELLAARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCIEISSSVTSYGRQMIEHTKNLVKEKFTILKGYEHNAEVIYGDTDSVMVQFGVSTVEAAMNLGREAAEYISGTFIKEVKGGR >ONI13581 pep chromosome:Prunus_persica_NCBIv2:G4:14851404:14856525:-1 gene:PRUPE_4G231800 transcript:ONI13581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNQNLKDLQWALEAIKSESLNLHNISFYLSQPTSGCYQETESSININISKDSLSYFSQFLTVLGTAKTNQLLLRNLEFHQIEWELQQLRNLAVLLESCSNVKQVVFKRNRFDKQRLSELSEILKRNRVIKEIMFSESAIGSAGAGFLASALKVNESLEELQIWEDSIGSKGAEELSKMIEVNSTLKLLTIFDSYSITATPLISAVLARNRTMEVHVWSGENGERSSKVVEFLPENSTLRIYRLDLSGACRVSCALGWNSTVKSLDMTGVRLKSRWAKEFRWVLEQNHSLKEVNLSKTCLKDKGVVYVAAGLFKNQSLESLYLDGNAFGGIGVEHLLCPLSRFSALQYQANITLKSVTFGGGRTKIGREGLAAILLMLTTNESLTRLGIYDDESLRSDDFVKLFKSLEKNAALRHLSLQGCKGVQGELVRQAIMETLQVNPWIENIDLARTPLQNSGKTDGIYQRLGQNGRPEPEMDLLKDMPLTVPKSCRAFFCGQEYAGKSTLCNSILQSFSSSKISYVDQVRSLVNPVEQAVRTVGMKIKTFKDEDTKISIWNLAGQHEFYSLHDLMFPGHGSASFFVIISSLFRKPNNREPKNPMEIEEDLQYWLRFIVSNSRRAVQQCMLPNVTVVLTHYDKINQPSQNLQVAVNSIQRLRDKFQGFVDFYPTVFTVDARSSASVSKLTHHLLKTSKTVLQRVPRIYQLCNDLTQILSDWRSENYNKPAMQWKEFNELCQVKVPSLRIRSRHDNKEKVEMRRRVVATCLHHIGEVIYFDELGFLILECEWFCGEVLGQLIRLDARNQSSTENNGFISKKDLEKILRGSLQSPIPGMGSKVFENLEASDLVRMMLKLELCYEQDPSDPNSLLLIPSILEEGRGKPQRWQLSSPEYLYAGRHLECDDSSHMFLTPGFFPRLQVHLHNRIMALKNQHGATYSLEKYLISININGIYIRVELGGQLGYYIDVLACSTKNLTETLRFIQQLIIPAIHSLCHGITLTENVIRPECVQNLTPPRYRKTQFASLQQLKQALLSVPADSMYDYQHTWDPISDSGRQILRAGFDLARDLLSDDDFREVLHRRYHDLYNLAQELQIPAENDPDGPENALSTSDQPDKVDPTFGGIAKGVEAVLQRLKIIEQEIRDLKQEIQGLRYYEHRLLSELHRKVNYLVTYNVQIEERKVPNMFYFVRTENYSRRLVTTMIPGMNALRLHMLCEFRREMHVVEDQVGCEMMQVDNRTVKSLAPYTTKFMKLLTFALKIGAHLAAGMGEMIPDLSREVAHLADSSLLYGAAGAVAAGAVGAAAIGRAEGRNRSRAAESSRDIQQDQRTAQQWVLDFLRDRRCSTGKDIAEKFGLWRVRYRDDGQIAWICRRHINLRAHEIIEVPL >ONI12568 pep chromosome:Prunus_persica_NCBIv2:G4:10208698:10210752:1 gene:PRUPE_4G172300 transcript:ONI12568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDEKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSVEDAKAFAERENTFFMETSALESMNVENAFTEVLTQIYHVVSRKALEVGDDPAALPKGQTINVGNKDDVSAVKKAGCCSA >ONI14511 pep chromosome:Prunus_persica_NCBIv2:G4:24647374:24651368:-1 gene:PRUPE_4G284300 transcript:ONI14511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSRKTVWRTHQPQIRYFLKIDLEFALKTYSAYKLGRRKGTPQHKQLSPNQFFFINTAVNHFLKLKIMAGALISLLLERLASTTYEYMEGDVKRVLNVKEDVEKFTRTLRVIQAVLEDAEQRQVTDQAVKIWLDELKDISYQMVDVLDEWNTNILKQQVEKQEREGDPNALVTRKKVRFSSFSPYFCLGKIKDHLLHDIALKIKDLNDKLTEIYEERKKYQFLSKELGIQQPQQPQRPQTASFVDISEIFGRENEKKDLITHLLSDSSAEGKGFLIIPIVGMGGMGKTTLTQLAYNDDRVKTHFELRKWVCVSDPFDEIKIAKAIIGKNAPNSNELDEVLQCMSTSIQGKRFLLVLDDVWTDDPKKWEQLKVPLIQNGAKGNRILVTTRKHEVADMMRATRNKINLGELNDECCLSIFNHMAFWDRDVHEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTKMGEWKEVLHSKIWDLEKVEQEVFQPLFLSYNDLAPTIKCCLLYCATFPKDHKFERDDLIKLWMAQDYVISKGNKEKGTTGDAVFDNLVARSFFQDFERDPDTGTIIGCKMHDIVHDFVQFLTKNECLIIDHGEEITSESKVFGDKVRHLTLRYIPEGPLPLFISSYNCKNLRTLATFDSRITTIDPNLILQLKCLRTLNLSRNSIEELPKEIGELIHLRHIDLSSNHILKKLPDTICGLYNLSTLRLVGCSKLTKLPENMGNLINLKHLYVKYCGLLESFPKVIGRLTSLQTLDVCPCGGDKDEAFQIGDLRNLNLEGSLQIRLQGDATDKSEVEKAQLWDKKLFNLTVDLDGQTDLEILNALRPHPDLESLGILWSFGTTWPNWIQSLHNLRFLTVDGGTLCEFWPLGKLECIERLALYSMSGVRKVGVEFLGLEDQTSFRIRSPQILFPKLKQLHFYDMSNWEEWEGVEEWTKEDSEITIMPCLSELRIVDCECLKALPDFIFKTPLRTLDIITCWRLEEHYEEGSGERAKISAKIPNIHISSGLLARHMYETALSAVVIS >ONI14512 pep chromosome:Prunus_persica_NCBIv2:G4:24648190:24651355:-1 gene:PRUPE_4G284300 transcript:ONI14512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSRKTVWRTHQPQIRYFLKIDLEFALKTYSAYKLGRRKGTPQHKQLSPNQFFFINTAVNHFLKLKIMAGALISLLLERLASTTYEYMEGDVKRVLNVKEDVEKFTRTLRVIQAVLEDAEQRQVTDQAVKIWLDELKDISYQMVDVLDEWNTNILKQQVEKQEREGDPNALVTRKKVRFSSFSPYFCLGKIKDHLLHDIALKIKDLNDKLTEIYEERKKYQFLSKELGIQQPQQPQRPQTASFVDISEIFGRENEKKDLITHLLSDSSAEGKGFLIIPIVGMGGMGKTTLTQLAYNDDRVKTHFELRKWVCVSDPFDEIKIAKAIIGKNAPNSNELDEVLQCMSTSIQGKRFLLVLDDVWTDDPKKWEQLKVPLIQNGAKGNRILVTTRKHEVADMMRATRNKINLGELNDECCLSIFNHMAFWDRDVHEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTKMGEWKEVLHSKIWDLEKVEQEVFQPLFLSYNDLAPTIKCCLLYCATFPKDHKFERDDLIKLWMAQDYVISKGNKEKGTTGDAVFDNLVARSFFQDFERDPDTGTIIGCKMHDIVHDFVQFLTKNECLIIDHGEEITSESKVFGDKVRHLTLRYIPEGPLPLFISSYNCKNLRTLATFDSRITTIDPNLILQLKCLRTLNLSRNSIEELPKEIGELIHLRHIDLSSNHILKKLPDTICGLYNLSTLRLVGCSKLTKLPENMGNLINLKHLYVKYCGLLESFPKVIGRLTSLQTLDVCPCGGDKDEAFQIGDLRNLNLEGSLQIRLQGDATDKSEVEKAQLWDKKLFNLTVDLDGQTDLEILNALRPHPDLESLGILWSFGTTWPNWIQSLHNLRFLTVDGGTLCEFWPLGKLECIERLALYSMSGVRKVGVEFLGLEDQTSFRIRSPQILFPKLKQLHFYDMSNWEEWEGVEEWTKEDSEITIMPCLSELRIVDCECLKALPDFIFKTPLRTLDIITCWRLEEHYEEGSGERAKISAKIPNIHISSGRLLARHMYETALSAVVIS >ONI12399 pep chromosome:Prunus_persica_NCBIv2:G4:9289204:9291742:-1 gene:PRUPE_4G162000 transcript:ONI12399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYNPMAHIFLPILLFSSIISTNIHACNQIERNSLLSFTLTLSSSPLNWTSSVNCCQWKGITCNQDGWVTHLLLPSKELKGGIFPSSSSLGNLTHLTHLNLSHNSLCGSLTEFFLSLNRLEILDLSYNLLSGELPLYPPSSNIQKLDLSSNHFHGAIPSSFFQKAWSLTSFNVSNNTLSGSIPSSICLQSSHLIKVLDFSSNEFSGNISGRLRECSELQNFRAGNNNLSGLLPKDIYNATKLEEISLPSNSLYGAISDRIANLTNLKILDLSFNQLSGVLPHHFGKLSKLKLIALDYNNLEGSLPLSLMNCTNLIEIHMAANNLEGDISTLNFSKLSQLSKLDLLRNQFIGTLPISLYSCRSLKAIRLSANQIEGQIQPEILSLKSLSLLSLGGLTNVTGAMKILMRCKSLQTLLLSYSFKGEEMPADGGMVDFDGFQNLRFLSLYYCEFTGQMPLWLSKLKNLEILILKGEFPKELCGLPRLVHEPTAAQVVDDYLELPIFTAADQNPIFYPHRLSNFAPTIDLSLNHINGRIPSEIGQMRLLHNLDLSINNFSGNIPDEISNLKNLENLSLSINHLSGQIPSSLASLSFLKSFNVSYNDLEGPIPTSTQLQTLNASDFKGNPKLCGSPLPNECLNKSINIDNKNYLVLDNEHQIPWFYIFVVLGFIVGFWGVCGSLIIKKTWRYAYFQFVDNIQDRLYVMMTVHMRRRLRG >ONI11095 pep chromosome:Prunus_persica_NCBIv2:G4:4288879:4291160:1 gene:PRUPE_4G087300 transcript:ONI11095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRRSNSYGRARDSSNTAPSSTSRDEEVAWEMRPGGMLVQKRGEKSDVPAPNLRLRIAFGALRYEISAASQSTFGELKKVLTAETGLQPGEQRLLFRGKERENGEYLDMWGVKDRSKVILIQDPASIERRADEMRRNAKIQSAHRAISDVSVEVDKLAEQVSAIEKSISNGVKVAEVQITTLIEMLMRQAIKLDNISAEGDAVASKTLQGKRVQKCVESLDVLKISNANVKPVVVTTKWETFDPPPTTPHWEFFD >ONI13715 pep chromosome:Prunus_persica_NCBIv2:G4:15835762:15837211:1 gene:PRUPE_4G240600 transcript:ONI13715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYNTLKLSLFLCLTLSALAFSSHNDKESHRSDEADSLQSKPLILVKIWCLVLVFVGTFAAGMSPYFLKWNEGFLVLGTQFAGGVFLGTALMHFLSDSNKTFQSLTEKEYPFAFMLASVGFLITMLADCVVSYVYAKQECSDLEVHGKDGHKGHESGHGHHPATVGSVGDSILLIVALCFHSFFEGIAIGVAKTKAEAWKALWTVSVHKIIAAIAMGIALLRMLPNRPFLSCMAYAFVFGISSPVGVGVGITIDSTTQGATADWLFAISIGLACGVFIYVSINHLLSKGYTAQKAVSVDKPHYKFLAVLFGIGVISVAMFWDS >ONI09843 pep chromosome:Prunus_persica_NCBIv2:G4:616089:616947:-1 gene:PRUPE_4G013100 transcript:ONI09843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQKKTHVPKFGDWDSENISYTACFENARKDGVKRNPNDPEENPEAFKAMLDFQAAQPPHNGMSSSSTSRTLTNPKADQTGGDAGHGAHRRKTSVGSRKSNMSESGSERSNSDYSLLQQQQSRHRRERSDRRKSSLASSGTGSDHSSTSHSQSKPKSTTSSHHSHSDLLSHDTHTRVASIPKFGDWDEKDPQSGEGFTYIFEQVKEEKKTASQFPNMPQQQPVNHLNSANKRGKSYSNSKSK >ONI14496 pep chromosome:Prunus_persica_NCBIv2:G4:24353511:24357010:-1 gene:PRUPE_4G283300 transcript:ONI14496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDALWDNGGVVDADVTRVCYNKTITHVTGMWKAENPLLSTLPLFFTQLGLIMFICHFLKLLLAPLHQPRIVAYTIGGIILGPSFILKTQFSRKYLFPVKSTLTIETMANLGLIYYMFLQGLEVDFKPILQARKKVWSIATAGILVPLPLGYLLHKTLTPNSHPLKATTYGPFFWGTTLATTNFSELALVLADAKLLYSDVGRTALSVSVINDLVSWVLLLITVAIVSDGKLYTLLSTFTLIIFCVYGLRPVLPWMVCNTSFFNEKYDMDNQICFIMAGVLLFGFISDAFGSHSILGAFMLGAILPKGELKTVITEKVEDFVSKVLLPLFFLIVGMRTHVDVVFRSASLLIVMSIIVLAFLAKFVVSSVAAIVNKMPVRDSLAFGLVMNAKGLLATIILNSGRDLHVLDHNTFSVMILAIVIMTAAVGPILALIYKSNGPSKQHTHRSIRSIQPNSEFRILICIYSTSNVSSVINLLEISNPTKQSPMFVFAVHLVELSGHASAMLIVHDTCSNIRNTAEITAKNQKHSSPSNQIVAAFEKLETESEEGSLSVEALTAVSSYTSMHEDICNLADDKSADLIIIPFHKQSTIDGGMDNGNCSFRGVNKNLLENASCSVAIFVDRGLTDPSNIKNDDGHGCCRCAMLFIGGPDDREALAYAWRMASNPSPNPNISLTVVRFIIGKDASVDSDLRPNNPNNDHDDHEDEDKNILEVIEENEKEKQLDDQYIESFVFNTRNQPSIRLINEVVNNVEETLKLISAMGNDYDLYIVGRGQTGSSPLTFGLSEWGDCPELGPLGDTLASSNIVARASILIVHQGGALVDELVHQHLSE >ONI10120 pep chromosome:Prunus_persica_NCBIv2:G4:1333158:1334712:1 gene:PRUPE_4G028400 transcript:ONI10120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLHDHALTIVSLSFIGLLKLVFCSNSIRYIHHYCSLEVNKTQNAPFQDNVDRLLSDLSSKSYGNRFYNSTSGDNDKKVYGLFLCRGDVSPGVCRDCIDSCTKNLKQNCTHNKESIVWYEECMLRYSNHSIFATEEEMPWRYWCSVNKVSNSDQFNQSLSTLMNGLVDKAAFGKTTPPFFATGYDKKGGDGGISIYCLMQCTPDINGSECQRCLKAAVGGYQETCEGRTWSMIFSPSCQVRYGSDPFYGEGKPIKSGGGRGKKNSYVAVAAVLCMVLSGLLVNLRA >ONI09755 pep chromosome:Prunus_persica_NCBIv2:G4:388411:389223:-1 gene:PRUPE_4G007400 transcript:ONI09755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITASTNPEYPVIDRNPPFTTVVGNFSTLDYFRFATITGVSVTVGYLSGIKPGIRGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNDNEVARYKK >ONI11338 pep chromosome:Prunus_persica_NCBIv2:G4:5229964:5230644:1 gene:PRUPE_4G102400 transcript:ONI11338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNVDKLWSLVPQEAKDKAAANKGNDVPLIDVTQFGYFKVLGKGALPQNQPVVVKAKLISKTAEKKIKEAGGAVVLTA >ONI13679 pep chromosome:Prunus_persica_NCBIv2:G4:15500905:15502506:1 gene:PRUPE_4G237400 transcript:ONI13679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWLRRISKSLIPIPINQETRLINTDSAPKDVAKAIRDSFRSSWNWDTLTTKFESVKLDGGLVDSVLLELKEPIDAKRALGFFHWAAHRKSFEHGVWSYSITIHILARARLLMDARALLESVLKKTAENGSKFSVVDSLLSSYEVTASNPFVFDLLLQAYAKLRMFETGFDVCCYLGEHGLPLSLITYNTLLHVVQKSDQTALVWKIYEHMVGKRNYPNEETIKILIDALCKEGKLKKCVDMLDRIHGKRCSPSVIVNTSLVFSILEGGRVEEGLMLLRRMLQKNMVLDTIAYSLIVYAKVKLGDVCSAWEVYEEMLKRGFRANSFVYTLFMGAHCEEGRMEEAQGMMNEMENMDLKPFDESYNLLIEGCAKAGRVEASLSYLKKMVESGFIPCRSAFNEMVGKLCETGDAEQANTMFTILLDKGFLPDSTTYGHLIDGYGRKGEIQEVVKLYYEMESRSLSPGALVFTSVIKSFCQCGKVEEAERYFGIMKDRSIAPSLCVYETLIANHFDKGNAERALHLKNEMELSASI >ONI13575 pep chromosome:Prunus_persica_NCBIv2:G4:14819613:14822106:-1 gene:PRUPE_4G231300 transcript:ONI13575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVDSPKPDTDTDTELDTNPNLNPNPNSSNSLVPASSNGPAVCLLRFAGDSAGGAFMGSVFGYGAGLVKKKGFKGSFAEAGSYAKTFAVLSGVHSLVVCILKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKQQPALAHPLSVRKKSEQLPPLVLPLQLSLPGELKAGFTSFCNSLKNHKGTSHTA >ONI12952 pep chromosome:Prunus_persica_NCBIv2:G4:11656749:11658747:1 gene:PRUPE_4G193700 transcript:ONI12952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVGYLLDSLIRMVVHSFQYKNVANDEYAIYLLESVTSCESCLSRANQQIRMPCTLNRGAIIWMFECFLKYSDTNFIGVIDTNNGIYLMSSKFGGDLTLIQLKVYQLLT >ONI13306 pep chromosome:Prunus_persica_NCBIv2:G4:13401805:13405344:-1 gene:PRUPE_4G214600 transcript:ONI13306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQDPFYVVKEEIQESIDKLQSSFHQWERISSDTGEQLHLTKELIATCGSIDWQVDELDKAISVAARDPTWYGIDEVELEKRRRWTSTARAQVGAVKKAVEAGKDSTGTSVNGMRRELMRLANSQETDRSNQYAAQHNDDFITSESDRQLLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIVDELGMEMDSTSNRLDFVQKKVATVMKKAGVKGQCMMIVFLLVLFIILFVLVFLT >ONI13305 pep chromosome:Prunus_persica_NCBIv2:G4:13401146:13406098:-1 gene:PRUPE_4G214600 transcript:ONI13305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAQDPFYVVKEEIQESIDKLQSSFHQWERISSDTGEQLHLTKELIATCGSIDWQVDELDKAISVAARDPTWYGIDEVELEKRRRWTSTARAQVGAVKKAVEAGKDSTGTSVNGMRRELMRLANSQETDRSNQYAAQHNDDFITSESDRQLLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIVDELGMEMDSTSNRLDFVQKKVATVMKKAGVKGQCMMIVFLLVLFIILFVLVFLT >ONI10108 pep chromosome:Prunus_persica_NCBIv2:G4:1266235:1271530:1 gene:PRUPE_4G027300 transcript:ONI10108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEYAMEGLFSVKSDVYSFGVILLEIISGKRNSGFYLTEHAKTLVAYAWRLWKDGKELEFVEPLLMESWPTAEVLKCMHIALLCVQEDPEERPTMSAVVVLLGSESIDLPEPKQPAIFSVGRIPIGESKTDPTSNGLTLSIVSPRFPSPAEAADLSHFCPNTTTFTPNSTFQSNLNRLLSTLSSNATHPSGFYNATASSSSPNDTVYGLFLCRGDVAADACKTCVSTATSEAVQRCPTEKQVIIWYDDCMLRYSNESFFSTAAESPGIFMWNTQNATEPTRFNQVLATGMNDVVTEAANDADKFATSQGNVSGLVSIYSLGQCTQDLSSADCNRCLTGAKAQFPNCCNGKLGARVLYPSCTVRYEVYPFYLQNSTSAPQPSPGALPPPPPPPPPKGKSKIPTIVAIVVPIAVSVLLFVVGCCFITRRARKKRSAAAEAPSGVNDISSVESLQFDFSTIVAATNNFSDDNKLGEGGFGQVYKGILSNGQQVAVKRLSRNSGQGAEEFKNEMVLVAKLQHRNLVRLYGFCLEGEEKILVYEYVSNKSLDYFLFDPEKQGQLDWSRRYKIIAGIARGIMYLHEDSRLRIIHRDLKASNILLDGEMHPKISDFGMARIFGVDQTQANTSRIVGTYGYMSPEYAMHGQFSVKSDLYSFGVLLLEIISGKKNSYFFQTDAAEDLMSHAWKLWRDGTPLELLDPSMRDSYSRSEVIRCIHIGLLCVQEDPADRPTMQSVVLMLNSYSVTLPLPEQPAFFLRSRTEGNMPKITLESDLSISKSTPSVDEASITAVYPR >ONI09938 pep chromosome:Prunus_persica_NCBIv2:G4:919621:921856:-1 gene:PRUPE_4G019800 transcript:ONI09938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNSFKLDHSLERRQAEAGRIREKYPDRIPVIVEKAARSNIPDIDKKKYLVPADLSVGQFVYVVRKRIKLEAEKAIFVFVKNTLPPTAAVMSTIYEENKDEDGFLYLTYSGENVFGSF >ONI09939 pep chromosome:Prunus_persica_NCBIv2:G4:919752:921478:-1 gene:PRUPE_4G019800 transcript:ONI09939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNSFKLDHSLERRQAEAGRIREKYPDRIPVIVEKAARSNIPDIDKKKYLVPADLSVGQFVYVVRKRIKLEAEKAIFVFVKNTLPPTAAVMSTIYEENKDEDGFLYLTYSGENVFGSF >ONI12933 pep chromosome:Prunus_persica_NCBIv2:G4:11586236:11588459:1 gene:PRUPE_4G192500 transcript:ONI12933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPMLLMASEQSTTQEPTKTLVGFYEESKPESTKSTKGTKKSGKASNSKKQPQRGLGVAQLERLRLQDRWKKMTELPQLQPQPQVVNLPDHHQYQNFQHQNPTRTLPGPLASVPVQYGASSYNGPLLIKGSGGNGLFGFVGQRFGNGSGFGYAGGNNLVVDLNPSPYAIGAPERFEVGAVYETSKELSSIPKVQPLSSDCCDICCKKQKKRLNSRNYMGLNGRSGKSEASLPINCSGFLRLNPEAGGGQVVGRSTAHSGYRNDDQGVEVRAVHRKGSSAGGGIFMEYDFFPAAGGKSSEMRGGTCPKEPEMWQTAEASVSVGSHEEPSDITASSYGYGIGADSYHNPVDLSLKLSF >ONI14130 pep chromosome:Prunus_persica_NCBIv2:G4:19432903:19442747:-1 gene:PRUPE_4G263900 transcript:ONI14130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCTRRVWAGAGAPDIRIREHPRASEIPHLPRKFRWNQRPEIVLRTTPSKHLRKVVWAVEAAGPGGAWDRSDGGDGTESSKAGLCSYAVGEYRTAEQEGARSQDRRVDVAVAAAVTVVLGVGNRVLYKLALVPLKHYPFFLAQLATFGYVIVYFSVLYLRYRAGIVTDEMLSMPKAPFLAVGLLEALGAVTGMAAGAILSGASIPVLSQTFLVWQILLSIIFLGRRYKVNQLLGCCFVAIGVIITVASGSSAGHSLKEAGIFWSLLMIVSFLFQAADTVLKEVIFLDARQRLKGSSVDLFVVNSFGSAFQVQLAF >ONI14129 pep chromosome:Prunus_persica_NCBIv2:G4:19433266:19442293:-1 gene:PRUPE_4G263900 transcript:ONI14129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCTRRVWAGAGAPDIRIREHPRASEIPHLPRKFRWNQRPEIVLRTTPSKHLRKVVWAVEAAGPGGAWDRSDGGDGTESSKAGLCSYAVGEYRTAEQEGARSQDRRVDVAVAAAVTVVLGVGNRVLYKLALVPLKHYPFFLAQLATFGYVIVYFSVLYLRYRAGIVTDEMLSMPKAPFLAVGLLEALGAVTGMAAGAILSGASIPVLSQTFLVWQILLSIIFLGRRYKVNQLLGCCFVAIGVIITVASGSSAGHSLKEAGIFWSLLMIVSFLFQAADTVLKEVIFLDARQRLKGSSVDLFVVNSFGSAFQAIFICLLLPFLSKLWGIPFVQLPNYLKEGAACFLNMGTLSSGCDGAPLLPLLFVVVNMGFNISLLHLLKISSAVVSSLASTFSVPISVYVFTLPLPYLGVAASLPTGFVSGTIILVIGLLIYAWTPSVYPTSASPSPPNV >ONI12651 pep chromosome:Prunus_persica_NCBIv2:G4:10512502:10512735:-1 gene:PRUPE_4G177300 transcript:ONI12651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYDVVYMSGQKTLGWDQIIQDWLTLFFLNPPFVYKGGKKRPPFQPENFSNLLCIQIFMFCSYSCTSYFPLLLCSL >ONI10343 pep chromosome:Prunus_persica_NCBIv2:G4:1983804:1985163:-1 gene:PRUPE_4G042100 transcript:ONI10343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPLSSNTITTFYSTAPSICHIFPNKTQISLLRNRIRGSCKATINSDQNHVKFDGRRNALVGLGGLALANLSGITRPFGAANAAETSKKVALVQSSELPIALDSVISVIVERPRKSRSQKEKEEEEEVLVIEGVEFVADKALVFDVHVNDDENSLSRPDKAEFAGSLVFLPQYKKKVKTSLHLGITDLLEQIGADDESFIKVTLVPSEILVECSQTTPQKTKG >ONI14063 pep chromosome:Prunus_persica_NCBIv2:G4:18646519:18648321:-1 gene:PRUPE_4G259800 transcript:ONI14063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLVKLVMMPCGEYFGRKWNLLDIVTAAVFLTMHCLCVMAPFHFTWPALWVAFALYAVTGVGVTLSFHRHLAHRSFRIPKWLEYLFAYFGVLSLQGSPIEWVSSHRYHHQFTDTVKDVHSPLQGFWFSHMGWILDSGSRFGKYGGLKNVEDLKRQAFYRFLHHTFLLHSFLLGTLLYVVGGLPFLTWGMGVRMVCLFHSTMLVNSAGHMWGQQVYHTDDTSRNNWWLGLLALGEGWHNNHHAFDYSARQGLEWWQIDVTWYVIRFLEAIGLATDVKTPTEAQKERKALHQKTMATQN >ONI09739 pep chromosome:Prunus_persica_NCBIv2:G4:344544:345240:-1 gene:PRUPE_4G006400 transcript:ONI09739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSTLSSQFHLPTKYLATSTSTSNPSFLIPTTSQQKHHAYPTILSSLPSEATSVLPPTVAYARKSKSLVIQHEQVSGNSSSSRSRNLIMGVVSVGVVVFLMGMDGQKAMALGPEGPLMEEFWDNVRRYGLYALTVSTGALYATFQPIYELLKNPISAILVLVILAGGIFILSQVVTAMVGVSDFTYDYGY >ONI12650 pep chromosome:Prunus_persica_NCBIv2:G4:10508967:10510314:-1 gene:PRUPE_4G177200 transcript:ONI12650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSFEGRQSWKEKVNKDVVDEVEDDSEEEESGGGLMLRFEENEKQKKAGRRGSGGGGGVSPPCCQAERCGADFVEAKRYYRRHKVCEFHSKAPVVMVSGLRQRFCQQCSRFHELTEFDEAKRSCRRRLAGHNERRRKSSGEPYGEGSSRRGVASKQFQIR >ONI14525 pep chromosome:Prunus_persica_NCBIv2:G4:24861391:24863094:1 gene:PRUPE_4G285400 transcript:ONI14525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLNTNICAATSFLVWTWLDVIFFEKPSVIGAVQGMITGLVCITPAAGWAAIVMGVMSGSVPWFTMMIMDKRWKLLSAVDDTLGVVHTHAVAGFLGGVLTGLFAEPELCALFLPVTNSRGGVYGGSGGMQILKQIVGALFIIICLVLRCIVPLRMPEEQLLIGDDAVHGEEAYALWGDGEKYDVTRHELCSDDTSHAQKYPSSGATQVV >ONI11790 pep chromosome:Prunus_persica_NCBIv2:G4:6888222:6888898:-1 gene:PRUPE_4G125600 transcript:ONI11790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFIAGDLRFCQYGVGMVDCANARGQEVTLHDVDSSGGDMTSNAEYIILVVGSYGAYFRLTKDEVDRRFPCIIVYTPDPVPEEDTISFVRKMKEELDLPVLAIAESSPRDVVALKMHPRCMRPMNSNSKDLKLAQRLLEKDAIVKERPQWVEELTLMVETRRKVEVDALSPLGRSFLSNVYFPNKIGYDNLLNYYSYKLP >ONI14636 pep chromosome:Prunus_persica_NCBIv2:G4:25482782:25483738:1 gene:PRUPE_4G289400 transcript:ONI14636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILNGLGLGSALSNIEGRYADAGTHGLRPHAMHRRLCGTFGIYMSPRLGRHASVTACVYSLRMWAIVDAKGMTDRSVGKPMVCWIAMS >ONI13339 pep chromosome:Prunus_persica_NCBIv2:G4:13560044:13562275:1 gene:PRUPE_4G216300 transcript:ONI13339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAPSNVFPITFFFFTFFSVFITLSKAQMVPAIYVFGDSLADVGNNNYLKISLLKANFPHNGVDFPNKKATGRFGNGKNSADFLAEKVGLPTSPPYLSLVSKSNKQNLSFVAGVSFASGGAGIFNGSDEKFRQSIPLSYQVNYYSQVYEDIGLELGSNGAQDHLSKSLFVIVIGSNDIFAYFDSSKLRKESTPNQYVDSMVSNLKQQLERINSLGARKFVVVGTGPVGCCPAQRISNDGDCNEDANSMSIRYNDGLKSMLQQSEAAVHIKYTFFETYGVFSDIIQNGASYGFTETEAACCGFGKLNADSFCLPISSLCSNRTDHVFWDKFHPTEATHGILVDKLFDGPSQYTFPTNVKKLIAL >ONI12620 pep chromosome:Prunus_persica_NCBIv2:G4:10382001:10385267:1 gene:PRUPE_4G175300 transcript:ONI12620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNNYGKGSSHYTHHQSLCSCCLFFLFNPSATPLIFNFPSFSNVTTNISLEDDGNPASAQINNFSTFPNGINNLFLEGNASVDGESLRLTESAADQVLDQSVGRATYSQPFLLRDNSTGKLADFTTTFTFAIDSRGNATYADGLAFFLAPNGSALNTTIGRGGSLGLPTINPEKNESTNLYPFVAVEFDIFQNAVTSVQDPAGDHVGIDVNSVKSKVTRAWNGSITEGQVNNASIRFDSGSKNLSVTFTTYQNGVWVERDLAYMVDLNEILQGWVIVGFSAATGAMTALHKINSWSFNSTSLIDENAKNNTPVAPEPTPIVEPKSGNGINIGLVVGLVIGGCVLLVGGFCLVWFIFWKKGGTGESSDNDEDPMAPRRSFRTTQAPKEYAAEVRIISRLRHRNLVQLIGWCHEKRELLLVYEFMSNGSLDSHLFKGKSLLAWEARYRIAQGLAFGLFYLHEEWEQCVLHRDIKSSNIMLDSNFNAKLGDFGLARLVDHGKQSQTTVLSGTMGYMAPECVPTGKASKETDVYSFGVVALEIACGRKPIDPKLGTSKINMAEWVWELYGQGKVIEAADPKLCGDFDEKQKECLLIVGLWCAHPDYKIRPSTQQIIQVLSLEVAPPILPSKMPVASYFSPPVSFSILSGDVTGSERGQRESLSYGYNTNSSQFTSSSASNSSPSASLLYTN >ONI13984 pep chromosome:Prunus_persica_NCBIv2:G4:17993275:17995967:-1 gene:PRUPE_4G256300 transcript:ONI13984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTLPKSFLMMMRKLTAVISPHQTLQLFLRMPFSCTIKRDISSELFAVLSSPKWQKHPSLGKLMPSISPSHVSSLFALKLDPKIAIDFFCWIARTRRFKHSLHTHSSFLLNLLIPNGLLAEAQKFRILMIKACSSTADARFMLDLLLQLNSGGGPKNPEPLQFQPDTFTYNSLILGHCRNKDVGNALRIFKLIPDNGCRRDLISYNYLIHGLCEADSIDEAFKLFSHMGDDNCFPDAGTYNMTVKGYEPDIYTYNVFIDSMCKEHKLDDARMLLSRMVEKRLVADVVTYNTLIDGYCKDGMVEAASKIFNLMESNHCCPDAQTSNALIDGFCKRNMVHQAMTQLNEMLKRKLSPNVVTYNSLIHGQCRAGHFDSAYRLLNLMKQSGLAPDQITYSVLIDTLCKKGRLEEAHALFDSLKEKRIKSDTAIFNAFIDAYRKVGKINYALALFGRMLTEDCLPSSYTFSALIDGLCKEKRKSEASLFLEKMRCTGALKEGDFDRAHTIFKEMVSAGNQPNVITYNPFIHAYCMLGNINEAERVMIKMNEEGISANSLTCTFLIGAYVCMGLIDGAFKHMFDAGCEPSRHTYSFLIKHLSDEKLVKANSNESGLDIISNVSSSNITDIWKTMGFEIALELFEKMIGHGCIPNMNTYEKLGSGQMLYGHMRDIGISPSKDIYNSLVNCCCELQVYGEAAMLVDTMIEHGYLPALESCKLLVCGLFNEQNNEKAKAVFCSVLRCGYNYDEVAWKLILDGLIKKGHVNRGSELVGIMEKMGCQLHPETHSRI >ONI13428 pep chromosome:Prunus_persica_NCBIv2:G4:13901818:13902330:-1 gene:PRUPE_4G221300 transcript:ONI13428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAELHFFFVLVLLLYLLVIIVEGLGHSNATSPWLNKVATNWPRPPRPGGCRRQPWVCRQQGNPPTRWRCCKDRCVNISSDVNNCGLCRIRCPFSWQCCRGFCVNTNISPFNCGRCGNRCPFGVLCLYGLCGYAQPTLPPWHCPPWPRPPFPFPPKRPWPPRPQPPQIME >ONI14314 pep chromosome:Prunus_persica_NCBIv2:G4:22940855:22941211:1 gene:PRUPE_4G275100 transcript:ONI14314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLQAINNPVLKEILVSSNISKAASVLVYCIVTPVLEETVYSAAHPSGENSLQLFIIGCLLGCSNCWTGNLRSPILIHSLYNAMTLMITFLS >ONI13971 pep chromosome:Prunus_persica_NCBIv2:G4:17761940:17763453:-1 gene:PRUPE_4G255400 transcript:ONI13971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCEIIANKPYVVSAFLLLGLLTLSSTTTGAESIGVCYGRVANNLPPDPEVISLYQANGITRMRIFDPNPPTLQALKGSNIELIVGVRNQDIQSLGNDVAAATAWVQNNVLNYFPDVKFRYIAVGNEIKPQDAEAKYVLAAMKNIKTAIASANLQDQIKVSTAIDMSLLGSSYPPSTGSFSAAASSYINPIIAFLASNGSPFLANVYPYFSYISDTKDISLGYALFAAPGVTVQDGAFGYTNLFAAAVDALYSALEKAGGSSVEIVVSETGWPSEGGEGATVENASTYYKNLIDSVKGGTPKRRGKPVETYLFAMFDENQKGPAEIERHFGLFSPDKQPKYQIRFNEKNKALANRCTPIKSSHVVYWSILFSSLLFFNKSQGHK >ONI11921 pep chromosome:Prunus_persica_NCBIv2:G4:7436570:7438649:-1 gene:PRUPE_4G134600 transcript:ONI11921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRVGSLVKLRNLLTASRNFLSFPKTLISTTSLLFPPATYSTICQAQEESVGEYVRDQPKSSEEILKNWGCSDTDISKMFTRRPALRNADLDQLQFKLNILSGLGISASELVKIINCRPRFLSYRINHCFDERLEYFMRLFESREVLVKAIVKNPSLLTYDFHDKIKPAIALYEGMGLSTSDLTQILLSRPTLIPRTSFNDEKMEYIRKTRLSNHSTMYKYVVAIIGISRLETIRQKVANLEKFGFSEDEIFGLLARSPLVLTLSIDKVQRNMTFIIGKMKLPAPVILEYPFFLYTNLEAVLKPRVFLAEKMQEMDLDLQIKGPVMLTALRMTEKRFLKAFVNCHPKDVADELMEFYINAKGVKRLAEDYSKKNFQQGFPF >ONI12506 pep chromosome:Prunus_persica_NCBIv2:G4:9888003:9889428:1 gene:PRUPE_4G169100 transcript:ONI12506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYCHSVSQYLSCYCIWCLFVALCGLVLWPSFDVILADQVSLVIPMLKLKKTTKKKVWLQEWQTRLLLTANSQHLCWQRHLRILTHEEFSQLLM >ONI14232 pep chromosome:Prunus_persica_NCBIv2:G4:21709137:21711694:-1 gene:PRUPE_4G270100 transcript:ONI14232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRKYCSNLFFFLLLLHSFFFSGSVDAYKNYTVGDSLGWYDNLQNPKLNYQKWVAAKNFSLGDFLIFNTDTNHSVVQTFNLTTYKLCDYDDAQENDTIQWSSADPSNTASHPVSVEVPLLKEGMSYFFSGDYDGEQCKNGQHFKINVSHGQGLPKSLQEPAAQNQSPGPASGPQSGNDEGSVPDTIVPSNFDHPHEDDTEEKNPSGSVALSIYGTQGMAVPFFILLMRFYIF >ONI12778 pep chromosome:Prunus_persica_NCBIv2:G4:10827965:10830943:1 gene:PRUPE_4G183000 transcript:ONI12778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMSVCSSSSSSSSGSWSSTKAWIVHGIVAGVAIAVAIGARVYLGPPKKFRSRVVGIIPARFDSSRFQGKPLVQILGKPMIQRTWERAKLATTLDHVVVATDDEKIRECCRSFGADVIMTSESCRNGTERCSEAIQKLEKKYDIVVNIQGDEPLIEPEIIDGIVKALQEAPDAVFSTAVTSLKLEDAFDPNRVKCVVDNSGYAIYFSRGLIPFNKQGQSTVSLYASSRNSEL >ONI12777 pep chromosome:Prunus_persica_NCBIv2:G4:10827965:10830943:1 gene:PRUPE_4G183000 transcript:ONI12777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMSVCSSSSSSSSGSWSSTKAWIVHGIVAGVAIAVAIGARVYLGPPKKFRSRVVGIIPARFDSSRFQGKPLVQILGKPMIQRTWERAKLATTLDHVVVATDDEKIRECCRSFGADVIMTSESCRNGTERCSEAIQKLEKKYDIVVNIQGDEPLIEPEIIDGIVKALQEAPDAVFSTAVTSLKLEDAFDPNRVKCVVDNSGYAIYFSRGLIPFNKSGKANPQFPYMLHLGIQSYDTGFLKIYPQLEPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDAPEDVEKIESLMLQRNLS >ONI12380 pep chromosome:Prunus_persica_NCBIv2:G4:9185333:9185840:1 gene:PRUPE_4G160400 transcript:ONI12380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELAKVYMEEFIVAIVSTAVLMGFRCSALPIAVHTQFLCSALPTAVLTGFLCSALSTAVLTGFLCFAMCPIF >ONI11086 pep chromosome:Prunus_persica_NCBIv2:G4:4261411:4263499:1 gene:PRUPE_4G086500 transcript:ONI11086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSMMYTHLPVTQAASGATRFSLIRSSHHQEAVLDHQQTKTSNKANRLAESLAHLLHLHIDTPPRTNTHSTNWNLFTEEKISTPTTSPKDSISEKWREIHGSNDWEGLLDPLHPWLRREIVKYGEFAQATYDAFDFDSFSEYCGSCRYNHNKLFDVLGLSKNGYTVSKYIYAMSHIDMPSWLERSHLADTWSKHSNWMGFVAVSDDLETRRIGRRDIVVAWRGTVAPSEWYEDFQRKLEPIGHGEAKVEHGFHGIYTAKCETTRYNKSSASEQVMKEVTRLMEFYRAQGEEVSLTITGHSLGGALALLNAYEAAETIPGLPISVVSFGAPRVGNIAFKDELNQMGVKTLRVVVKQDMVPKMPGLVLNEGLQKFDDITGTLDWVYTHVGAEMKLEVGSSPYLKHGGFNLPGFHSLETYLHLVDGFLSTETTFRSNARRDFALVNKGCDMLVDDLRIPQCWYQLPHKGLVCNAHGRWVKPKRDPEDIPSPTREAQAQAHALQVEMMESDYALSF >ONI11237 pep chromosome:Prunus_persica_NCBIv2:G4:4775415:4776557:-1 gene:PRUPE_4G094700 transcript:ONI11237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYIFFFGLVLSFSLSVVNVIASDHSPLQDFCVADTRSRVLVNGLACKDPKTVEAADFSFGGLHLEGNTSNPIGSSVTPVTVFQLPGLNTLGISLVRIDYAPSGVNPPHTHPRASEIITVLEGSLEVGFVTSSPENRHITKVLQKGDVFVFPIGLVHYQRNVGSGHAVAIVALSSQNPGVVIIASAVFGSKPCIANDILVKAFHVDKGVINYLHSIF >ONI10087 pep chromosome:Prunus_persica_NCBIv2:G4:1225390:1228243:-1 gene:PRUPE_4G026200 transcript:ONI10087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSLIVKLQILKDEATREQYDYAIAHPEEVFYNTARYYQAYYGHKTDPRAVLVGLLLILSAFQYLNQWTRYNQAVDMVKKTPAYKNRLRALELERSGGTANKKKSNKQMNKKVEEDLGNELELQIKGAEQPSMWDLLVVRFILLPYTIGKLLLWCGCWFWRYRVKQAPYSWDDASYLTQRSLNAPLDAWRNLDDSRKEDLIHKRLWEKSNLENYLAEMRKESKRRR >ONI10086 pep chromosome:Prunus_persica_NCBIv2:G4:1225216:1228309:-1 gene:PRUPE_4G026200 transcript:ONI10086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGAIRWFAVVSALMLCHLITTSTAIYCDEDDCYDLLGVTQSANSSEIKKAYYKLSLKHHPDKNPDPESKKLFVKVANAYEILKDEATREQYDYAIAHPEEVFYNTARYYQAYYGHKTDPRAVLVGLLLILSAFQYLNQWTRYNQAVDMVKKTPAYKNRLRALELERSGGTANKKKSNKQMNKKVEEDLGNELELQIKGAEQPSMWDLLVVRFILLPYTIGKLLLWCGCWFWRYRVKQAPYSWDDASYLTQRSLNAPLDAWRNLDDSRKEDLIHKRLWEKSNLENYLAEMRKESKRRR >ONI10088 pep chromosome:Prunus_persica_NCBIv2:G4:1225909:1228309:-1 gene:PRUPE_4G026200 transcript:ONI10088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGAIRWFAVVSALMLCHLITTSTAIYCDEDDCYDLLGVTQSANSSEIKKAYYKLSLKHHPDKNPDPESKKLFVKVANAYEILKDEATREQYDYAIAHPEEVFYNTARYYQAYYGHKTDPRAVLVGLLLILSAFQYLNQWTRYNQAVDMVKKTPAYKNRLRALELERSGGTANKKKSNKQMNKKVEEDLGNELELQIKGAEQPSMWDLLVVRFILLPYTIGKLLLWCGCWFWRYRVKQAPYSWDDASYLTQRSLNAPLDAWRNLEKEGH >ONI11773 pep chromosome:Prunus_persica_NCBIv2:G4:6798557:6800970:-1 gene:PRUPE_4G124600 transcript:ONI11773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNPANFSDSSEMSYSSSDETPCGPKMQSHAKTGINSSNDKVYDPNRVDRVAKSSVKSTQNSSGGPQHVDPISKGTEFGTKTVAEPTTNHHPYPSPMAPANSELLTTSTGMAIGASKSTSSITNLGQMSGSSSRSDSLESSSSAPLRPHTGGDVRWDAITMVSKGSPLNLSHFRLLKRIGYGDIGSVYLVELRGTNTFFAMKVMDKASLASRNKLLRAQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEYCSGGNLHSLRQKQPNKHFTEEAARFYASQVLLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVNPTLVKSSSVHVSNGGGGGGGGGILDDDLAVHGCMQPSHFFPRILPGKKNRKSKSDFGLSANGNLPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGHGNRATLFNVVGQPLKFPETPQVSVVARNLIRGLLVKEPQKRIAYKRGATEIKQHPFFEGVNWALVRCATPPHVPEPVDFSQYASKEANTADKKITDIRGDKKNNSHNDSSYVDFEYF >ONI11775 pep chromosome:Prunus_persica_NCBIv2:G4:6798259:6802090:-1 gene:PRUPE_4G124600 transcript:ONI11775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSSSDETPCGPKMQSHAKTGINSSNDKVYDPNRVDRVAKSSVKSTQNSSGGPQHVDPISKGTEFGTKTVAEPTTNHHPYPSPMAPANSELLTTSTGMAIGASKSTSSITNLGQMSGSSSRSDSLESSSSAPLRPHTGGDVRWDAITMVSKGSPLNLSHFRLLKRIGYGDIGSVYLVELRGTNTFFAMKVMDKASLASRNKLLRAQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEYCSGGNLHSLRQKQPNKHFTEEAARFYASQVLLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVNPTLVKSSSVHVSNGGGGGGGGGILDDDLAVHGCMQPSHFFPRILPGKKNRKSKSDFGLSANGNLPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGHGNRATLFNVVGQPLKFPETPQVSVVARNLIRGLLVKEPQKRIAYKRGATEIKQHPFFEGVNWALVRCATPPHVPEPVDFSQYASKEANTADKKITDIRGDKKNNSHNDSSYVDFEYF >ONI11774 pep chromosome:Prunus_persica_NCBIv2:G4:6798259:6801043:-1 gene:PRUPE_4G124600 transcript:ONI11774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNPANFSDSSEMSYSSSDETPCGPKMQSHAKTGINSSNDKVYDPNRVDRVAKSSVKSTQNSSGGPQHVDPISKGTEFGTKTVAEPTTNHHPYPSPMAPANSELLTTSTGMAIGASKSTSSITNLGQMSGSSSRSDSLESSSSAPLRPHTGGDVRWDAITMVSKGSPLNLSHFRLLKRIGYGDIGSVYLVELRGTNTFFAMKVMDKASLASRNKLLRAQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEYCSGGNLHSLRQKQPNKHFTEEAARFYASQVLLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVNPTLVKSSSVHVSNGGGGGGGGGILDDDLAVHGCMQPSHFFPRILPGKKNRKSKSDFGLSANGNLPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGHGNRATLFNVVGQPLKFPETPQVSVVARNLIRGLLVKEPQKRIAYKRGATEIKQHPFFEGVNWALVRCATPPHVPEPVDFSQYASKEANTADKKITDIRGDKKNNSHNDSSYVDFEYF >ONI09900 pep chromosome:Prunus_persica_NCBIv2:G4:806440:808058:1 gene:PRUPE_4G017100 transcript:ONI09900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRIQLQSNTAQAILGKSTSLRSRFLASRPPAITCDKNPKLCQVYGSAGPDCCNKKCVDRNTDTANCGKCGRKCNYAEICCEGKCVNPNSDNENCGSCNNTCKKGTSCAFGMCSYA >ONI13227 pep chromosome:Prunus_persica_NCBIv2:G4:13168980:13171921:-1 gene:PRUPE_4G211200 transcript:ONI13227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFCFTIPYGLLLIGGGLFGYFRKGSTASLAGGVGIGLLLTLAGYFSLKAFERKKNSYPALILETVCAAILTWVMGQRYMQTSKFMPAGVVAGISALMTGFYLYKIATGGNHIPAKTK >ONI12133 pep chromosome:Prunus_persica_NCBIv2:G4:8422776:8424441:1 gene:PRUPE_4G147200 transcript:ONI12133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEVVLLDFCASMFAMRARVALAEKGVKYENREEDLRNKSSLLLQMNPVHKMIPVLIHNGKPICESLIIVQYVDEVWRDKAPLLPSDPYQRARSRFWADFIDKKLYVASRKIWGTKGEEQEAGKKEFIEALKQLEGELGDRPYFEGESFGFLDIALIPFYSWFYAYETFGNFSTEAECPKLIEWAKRCMQRESVSKSLADPKKVYESLVNWV >ONI13362 pep chromosome:Prunus_persica_NCBIv2:G4:13634553:13635776:-1 gene:PRUPE_4G217600 transcript:ONI13362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLLMEYVPGGTLFDTIRRHGGRLEEPAIQLYTHQIVQGLEYLHVNGLVHCDIKSQNILVAEECVKIADLGCAKSVQIVAGDEDSATSSTIISGTPVFMAPEVARGEEQGFEADIWALGCTIIEMATGDGPWPELNDPVSALYRIGFSGDLPEIPTRLSNKGKDFLNKCLRRDPRERWTANQLLEHPFLEECLGSKTEQVREFNFTMRSPISVLDQGLWDSHEAFESPKEQSVVEGVCLNSPLERIKRLIGGTCSAFSNAPNWEFDENWSTVRSNGIEESQNSGTSNNVISVDEPVAATSPNVALTYHEELDSSLCDDDDERSFFMAFSLTEDSFVPNNLEIESDNQNFHFIHSHCCHSCFNFAGQLEPVEVKFLSFVFYFVFVFVPMAATFELIKQGSYDIPISL >ONI12943 pep chromosome:Prunus_persica_NCBIv2:G4:11632720:11634295:1 gene:PRUPE_4G193100 transcript:ONI12943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVSLQSIISSAFPDGLPEPCIAVVLRETLNAMSYLHDQGHLHRDIKAGNNLIDSNGSVNVADFGVSASVYEANSSGESSIRLNDIAGTPYWMAPDVIHSHNGYGCKADIWSFGITAPELAHGGPPLSNLPPSKSLRLKITKRFRFSDYENRHDNNYKSKKFSKAFKDLVGCCLDQDPNKRPTAERLLCN >ONI10997 pep chromosome:Prunus_persica_NCBIv2:G4:3965290:3965556:1 gene:PRUPE_4G081300 transcript:ONI10997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQVILVTMHVGFFSSSKEQLRCVACKEGTGRGHGLDKAKLFWVQFQSLPFISIKRRKKKKREMLLLPLVLLVESFCRTLVGLKLDSR >ONI13858 pep chromosome:Prunus_persica_NCBIv2:G4:16697521:16699218:1 gene:PRUPE_4G249800 transcript:ONI13858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSARTRAKTKTTTKARKTNFKKKPVHQKGKQQITPSNSPSNSSSVVCDDPPKIEGCADDVEGPDDVSTSACSTPKGQRFRIPEIVTCPPAPKKQRVISNCLFRRSPIAFFAPPDLELFFYFANGGISV >ONI09690 pep chromosome:Prunus_persica_NCBIv2:G4:238460:251235:-1 gene:PRUPE_4G003600 transcript:ONI09690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNLEQQLREVGSKLETPHSSKDALVKLLKQAASCLSELDQSPPASTLESMQPFLNAIVKPELLKHQDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLKDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNEMFSTFFAVARDDHQETVLSSMQTIMIVLLEESEDLREDLLLVVLSILGRNRSDITVAARRLAMKVIEHCAGKLESGIKQFLISSMSGDNKSVNHQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDTRLKAVSLVGDLFSLSGSTISEAFQPIFSEFLKRLTDRVVEVRMLVLQHVKSCMLSNPFRAEAPEIISALCDRLLDFEEKVRKQVVAVIYDVACHALNSIPLETIKLVAERLRDKSLLVKKYTMERLAEIYRVYCAKCSDGSILSSEFDWIPGKILRCFYDKDFRSDTIENVLCEFLFPTNFSVKDKVKHWVRVFSGFDKVEVKALEKILEQKQRLQQEMQKYLALRQMHQDGDAPEIQKKIIFCFRIMSRLFADPAKAEENFQFLDQLKDVNIWKILTNLVDPNTSFQQACTLRDDLLKILGEKHRLYDFLSTLSVKCSYLLFNKEHVKEILLEVAVHKSTADMKYKISCMNILVILARFSPLLLSGTEEELVNLLKDDDETIKEGVLNVLAKAGGTIREHLAVSSSSIDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETREKEIEEFIVEKILKCDNKSGDSKNVSWDDKSELCLLKIYGIKTLVKSYLPVKDAHVRPGSGIDGLLEILRNTLSCGEISKDIESSSVDKAHLRLASAKAVLHLSRHWNHKIPVDVFHLTLKTSEISFPQARKLFLNKVHQYIKDRLLDAKYACAFFFNIFGSKSPEFQEEKQNLADIIQMYHQTKARHLSMQSDANSLTAYPEYILPYLVHALAHHSCPNIDECKDVKAFEVIYRQLHLILSMLVHRDEDIKSESISNIEKEDISAIISIFQSIKCSEDICDSAKSKNSHAICDLGLSITKRLAPKENDLQGLPASVPLPSMLYKPYEKKEGDDSMATEGQTWLVDDNVLAHFESLKLETSETGFSEIAEDELLKDGERDGSEVPLGKIIKRIKSQNSKAKKVKKNKASSADAENAENSVDILKMVRDINLDNLEKPTKFEPSNGHENSPKKNLMDLKYQKGNKRKASDETSVSVPKRRRSSSTHSAFRSARSTLKSPLSASRDDPHNRKLVENTESDLLVSCIRKNATSSSQRKGRASDHGHNDEANEVGEASDRDEPNVLEADKDDPNSDFKFPAGSIKKRKRKSIPVLAKCKFKEGGKDVEDLIGCRIKVWWPMDKKFYEGTVKSYDTLKRKHVILYEDGDVEVLRLEKERWELIDKGRKPTKKFHSSKKSPSKELSPVQKSKGIGGSRQNKKSIKAVKGRRTPNKNLDKGVSKRNHWGSRDKEDSDVSNVEPTLTSKVDEMNSDTSEGEDVEKVDENVTDEGESDKEVKSVSKRKRLEDAEESPHHTEESDEENPDSEGRPAEDIQQDAQNGNEEEHHSEEKQADELSRGSREANEEDPSDSEGNQEKDDSAGSPIKQEKPHVEPSSPDDAGDPEISDDEPLSKWTDRVVKRGSRRAR >ONI09752 pep chromosome:Prunus_persica_NCBIv2:G4:378324:378806:-1 gene:PRUPE_4G007200 transcript:ONI09752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESHDATVIHSSIALLQERFRQLQRVKAMREEREILRMLALCDSEPKHKQLLMMMKMNTSNPIPTVHNKPAAERLFFHPDHHDLIQPHGHGSSESGISVSLWPALQSKHEDYILYRSTETPLLINLWPSVTDTPSLDTCSNKFQGSDCDSDVDTSLHL >ONI11199 pep chromosome:Prunus_persica_NCBIv2:G4:4590371:4592893:1 gene:PRUPE_4G092000 transcript:ONI11199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDSSFVEVNSLTFNIVEKLIAVTTAKIPKSIRQERIPKPNSQDDVAARTTVAAGKPLSSY >ONI13650 pep chromosome:Prunus_persica_NCBIv2:G4:15162902:15163613:1 gene:PRUPE_4G234900 transcript:ONI13650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGLDGTVSHSSIVLLQERFRQLQRAKEMREERELLRQMLSGSERINVPATHYELTGLFFQSGGSGSLTDPHYKPPPQGSMYDKPNLQNKNANFLQVSDDASQVLGNICSSSVSVVNRTNNFDDSDVDTSLHL >ONI10872 pep chromosome:Prunus_persica_NCBIv2:G4:3596277:3598630:-1 gene:PRUPE_4G073600 transcript:ONI10872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLNKAIVKKRVKQFKRPQSDRKVSVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNHFKKFVVHNAKELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >ONI11923 pep chromosome:Prunus_persica_NCBIv2:G4:7441228:7444152:-1 gene:PRUPE_4G134800 transcript:ONI11923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFCKRGQLQEALRLILSNPTQLDSYYSCYMNILQLCIDERAERPGLLVHNHAITSGFDSNLNLNTKLVIFYSKFGRIVSARNVFDRMPEKNVVSWTAMISGYAQSGCYENALVMFLNMRQAGYRANQFGYGSALRACTGLRCLEVGMQIQGCILKGRFAENLFVQSALVDFHSKCGKIEDAHYLFEEMSERDLVSWNAMIGGYAVQGFADASLQLFHSMMREGMFPDCFTLGSVLRALAGGSGLMKVSQIHGFIMQVGFGSHKTLSGSLINAYAKCGSVESAHKIYKNMMKKDIIACTALITGYAQEGNYSRDVLDLFKEMTLMHLALDGVILCSMLNICANSASLILGRQIHALTFKHQPCYDVAMGNALVDMYAKCGEIEDANHAFDEMEEKNVISWTSLISGYGRHGLGHKAIALYKKMEYEGLEPNGVTFLSLLFACSHAGLTGEGWECFNTMFSKYNILPRAEHFSCIVDLYARAGLLEEAYKLICDMNIKPNASLWGAILGACSIYGNRSLGELAAMHLFEMDPKNSVNYVVLGSIYAASGAWDNALETRNLIENRSLKKEPAQSLLQSTTTKTVLFQPT >ONI12646 pep chromosome:Prunus_persica_NCBIv2:G4:10493073:10494011:1 gene:PRUPE_4G176800 transcript:ONI12646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSDAFKGELPLCMFLLNDAILIMKEDAECNVRHQMFKKNCEKNNGGTNNNKKEKDYRDKKYWTSFGTLIRWKGNKTRGWK >ONI13564 pep chromosome:Prunus_persica_NCBIv2:G4:14769628:14771233:1 gene:PRUPE_4G230600 transcript:ONI13564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPCAVDLPVGFYFHPKDSDLLGYYLHNKVFGKPMKYEVPKINLYGTSEPWKIWKDFGGDGLEIGEDLYFFTTLKTKGTRVSRKAGNGCWHGENSAKVLDPKNEQKVLGFSRRFHYKNPKSDQNGCWIMHEYSLKDYPSMPKSKNSSVSDDDGDQLVLCRIRKNDQKLHKNERKRKFKSAAEDHGIDDAPVQSKSKIQKINIDDQDPIGLTSHEPEFVTNPIGLTQSNHQPEMIDDNQLLGDDFDEALNIAFSYLDGPTIIDNNTTPQMQSYSSMLLCAEREEMGNTPYTDHETECHTSQSFFTGSEFIEVTDDIGFGTSEETSHEYLDIDDQIAQINMSEPDYLQLDDHVFEMSPFDDDDPQ >ONI14213 pep chromosome:Prunus_persica_NCBIv2:G4:21465070:21475129:-1 gene:PRUPE_4G269300 transcript:ONI14213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFCSSCCAVIPCSWSSRRLLHSSAHPLPLNCRNGKRRTRRSKSRSHFSNTPTTPPPSPRKLLTKCLPQSQKESQLQPSESETETEFEFERLFSNLNQATLKREAGSLPSAIFLVAGTTIGAGILAIPAVTQESGFLASAITCVLCWIYMVVTGLLIAEVNVNTMCQLGSGGVSLVSMAMRTVGSVGVQILCWSYIFIHYALLVAYVARSSEIMTNFLGIPLWESATIFSLLLGGICYFGSQRFIGAVNGVLVFGIIISFAALVAVASGYLQWDVLLKANFQAVPMSIPIIVLSFVYQNVVPVLCTNLEGDLSKVRTAIVLGTSIPLVLFLVWNAVILGTITTVEMSSDKIMDPLQQLQSTNEVVGPIVEVFSLFAIATSYIGFVLGLSDFLSDLLKLPAGQSRSLPYAMTLVPPIVLSLLDPEIFFKALDFAGTYGVLVLFGILPPAMSWSDRYSSSSPAMKLPLPQLVPGGRLTLSLVVGGAACVIFSELLEKLGHP >ONI10484 pep chromosome:Prunus_persica_NCBIv2:G4:2400145:2406312:-1 gene:PRUPE_4G050300 transcript:ONI10484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNCDHEKMVLISSTTNEWPQMQQQDNIQIDDHHQKGLNNNMSSSTSSPATRIMEKPGQEQLQLQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPGSSSSSAMDGASSSSSSIPSNTANNNNSNSNPPSQPSQIDQINPMFYGLPSNPFMDSPSSASGFDLHQLNHHHAQLGLGFSSAGTFMSGDNYRNRFNPTSNSSLPSSNYSSIFSTSPSTTTTTPTMASVLASKFLNGGSFKDIQARGPNHNQYFQSLLPFEDLHIAAGNTGEAGGAAKDVKVEDQAGQNRLEWNNNSNNNNVNGNMNCQNQMTEQMGLSDPSLYWSSTTLGANWHDPSNLGSSVSSLI >ONI11395 pep chromosome:Prunus_persica_NCBIv2:G4:5404724:5411719:1 gene:PRUPE_4G104700 transcript:ONI11395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLRRMLKQPWPLSASFCSLCFLSCFWFQVSMAQNATTDPSEVTALLSIFRHWEMQALPIRGGEPCIGFAINGSEFEKPENNPAVSCDCTYDKNTTCHITKLRVHALNKRGMFPKEFEALRYLAVLKLDHNYFTGPLPAFIGNMSALTVLSVSHNSFSGPIPKELGNLKELFMLSFGSNNFSGTLPPELGNLVNLRLFYMDSCGLGGEIPSTFAKLINMKELYASDNPVSGKIPSFIGNWRNLTSLRFQGNSFEGPIPTSFSQLTSLESMRISDIYYVSSSLDFIRNLKNLTDLVLRNTLINGSIPTDFGEYQRLKILDLGFNNLTGQLPSSLFNMSSLTYLFLGNNSLSGPLPSQKSNQLQTIDLSYNYFSGSFPPWVTTISQLNLVVNNFTFDSSNITLPGLNCLQRNFPCNRNTPRYTNFSIKCGGPQMRGNDGILYEAEDSALGPATFNVNSAQNWAVSNAGFSDNLTQSFVETTLKQVSGADLTPELFETSRVSLGSLRYYGLGLHNGPYTVTLQFAETVFDSRDTQTSQSLGRRVFDIYIQGNLIRKDFDISNEAGGVNRAVARPFNVTVTENYLDIHLFWAGKGTCCIPEQGNYGPLISAVHAASDLAPTTPGKKSRTGLIVGIAVPVGVVILLLLFVVLYMRRKTSEKDDDEDLLGLGPRPNTFSYAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAVKQLSVASHQGKSQFVTEIATISTVQHRNLVKLYGCCIEGSHRILVYEYLENKSLDQALFGRNDLHLDWPTRFNILLGTARGLAYLHEESKPRIVHRDVKASNILLDAELSPKISDFGWAKLYDDKKTHMSTRVAGTIGYLAPEYAMRGRLTEKADVFGFGVVALEILSGRPNSDDNLDPEKIYLLEWAWTLHENDQSLGLVDPRLIEFDENDATRLIKAALLCTQASPMMRPSMSRVVTILSGDIEASTVMSKPSYLADWDFKDVTTSSFLVDDDTSSTESNVLLNHQTEGSTTGASSRIDPAPSPVNVTGSLLTGIIGEGM >ONI12873 pep chromosome:Prunus_persica_NCBIv2:G4:11227089:11228967:-1 gene:PRUPE_4G188700 transcript:ONI12873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDEDIKQLENIHELVRGPNYVEVICGCTSKKYGDQVGKLKISSTGQFQINCECSAPSTCEEVGVLEEIGKLTPEEFEKHSREKEGGPRKWKSNIWVTIKGKKVPLWKSGLMRYYKHASNEGKLGSSSRRRGTFHRDEFLLCSKCKKERRFRLRTKQECKAFHYASMIKKWKCSDHPYDKIKCGDEEERASRKSCRGCPRTSTCKGCTCCVCLGCLRCRYLDCNCRICVDFMQNAQP >ONI12296 pep chromosome:Prunus_persica_NCBIv2:G4:8948803:8951138:-1 gene:PRUPE_4G156100 transcript:ONI12296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDARQLQVNGKGKAKNDYNSWNMEESKMLLQLMVDAVSHGWRNPNGVLNKATVEAKILPKINEKLKCQKSYSHYQSRLKYFKKKYQKYTQLVHHCSGFEWDPIRKKFTGDEKLWEDYFKSYPSHIGLRTETCEDYEELQIVFGNEIAIERNSLELGDDIDARIDWVEDRHVGKQEFVYDDGNKAFLPNEKEPSHQDPSPGQSSSPLLFHATSPKVPSESINQKKRTRAEYEGNTTSFETTNQAGILEKLSLNIDSIATNFQRICNLLEKREKDREKRKRENQSCIWVAIKETPNLDERARYKAVALLNTKTRRDVFFSMSLEERSDWITYLLK >ONI13884 pep chromosome:Prunus_persica_NCBIv2:G4:16922482:16922787:-1 gene:PRUPE_4G252200 transcript:ONI13884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTNPLPVLRQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGRNMVFKLSSELVDAANGSGDAIRKKEETHRMAEANRAFAHFR >ONI10046 pep chromosome:Prunus_persica_NCBIv2:G4:1162530:1164156:-1 gene:PRUPE_4G024600 transcript:ONI10046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSLILNPKRQKLDGGEEVEGSSKSLSNLPDVVIQKIISLLETKDTIRTRLFAARSPLVNIVERALLLRGPADIETFDLTFPVLDDACRVNAWIDATVRRNVKKLYLYLHSLKEPFYLPHSLFTSTTLVAAELDIPFLFKAPSAVCFSSLRTLSLRSIVFSDDSTQQLFSGCPVLEELSIEDCKWMNLKFVSICAPKLLRLTITELDPQLSRGSDGCQIMIFGVSLTYFYYRGKLLNEYCFYDSASPNEAEIHLSYNFTKKLRPTAYRLYKLLRGLSSVKELVFSDSNAFEVVLSNAPELVAQMPLFNDLTTLVLEDSVYIDNKALLTMLQYCSCLETLVFVEGIGLSSDHVEDDGVLEPLPPCFLSHLKMIEVGDFSGDQNELNALEILLKNAMVLENMLLACSTEFQGGPEKKTEIAKQLSDLAKGPESCEVILV >ONI14535 pep chromosome:Prunus_persica_NCBIv2:G4:24946884:24953344:1 gene:PRUPE_4G285900 transcript:ONI14535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTSSKKAAAEAAEAAIQSIGLGYDLTVDLKLKYCKRQQSAVGVGVDSSLIAIDDDQVREIAIPGAGGLCIPNVPKSIKCDKGERMRFGSDVLSFQQMSEQFNQELTLSGKIPTGHFNTAFEFTGGWQKDAANTKTLAFDGISITLYSVALEKSQVALRDHIKQAVPSSWDPAALARFIDKYGTHVIVGVKMGGKDMIYAKQQHSSPLQPADVQKKLKDMADKMYIDGAGQSSVNSEKFYDRDKLVKERGRAIMDTIPSSSYSCVEVQDIKFMCKRKGGSLYKNLPYNEWCHTVQIEPDVISMSLVPITSLLSGIHGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFGELALGPQRKQQSSASLQFSFMGPKLYVNTTPVDVGKKPVTGLRLYLEGKRSNCLAIHLQHLSSLPKTFLLQDEVNGNISHLSSDRRYYEKVQWKSFSHVCTAPVEANDDFSVVTGAHFEVGDSGLKKVLFLRLLFSKVTGATNVRQAEWDGSPGLAQKSGLISTLISTRFSNAQKPPPRPSDVNINSAVYPGGPPVPTQTPKLLRFVDTTEMTRGPQDSPGYWVVSGARLMAEKGKISLRVKYSLLTMILPDEEEMPDNY >ONI11523 pep chromosome:Prunus_persica_NCBIv2:G4:5885992:5887815:1 gene:PRUPE_4G111000 transcript:ONI11523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDQHHHHQAPEVYPPPPPSGAVHEYNQGGPYVVAPPVAYPMKHDGPENPQQPPPYPEQQQQPAESHHRRGDGFCTRCCFAMLSCFCDLCCMPLGPEAYLSYHTR >ONI12400 pep chromosome:Prunus_persica_NCBIv2:G4:9299112:9301208:-1 gene:PRUPE_4G162100 transcript:ONI12400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLNISTSCFLKYFIRCFLLLCLNLFPSEPGISLAERDMETDLAVQHIHRVEVKSLLPETTCSPSTKDQAGVISIHSRLGSKKMNSDDATTMPVNSGDLVSSGDYIVTVGLGTPQKQLSLIFDTGSDLSWTRCRPCIRSCYKQMEPLFDPSISTSYVNISCKSNVCSQLTPVTHKIPRCSIDNSTCIYDMQYGDKSFSVGFFSKERLTLTSTEVFDEFLFGCGQINQGNFGSSAANKYGRIFSYCLPSTSSSTGYLGFGKHRRAFKPVKFTPLTTVSQDPSFYGLNLVGISVGGHKLWISPSVFLSSGTIIDTGTVITRLPAAAYSALRDVFRQALTKYPLTRALSVLDKCYDLSSYVTVKYPHIAFYFQGGLKLKLDATGIFYSSSASQVCLAFAGNDANNKFAVLGNVQQKTFEAGGRVGFARGGCL >ONI12125 pep chromosome:Prunus_persica_NCBIv2:G4:8400546:8402072:-1 gene:PRUPE_4G146400 transcript:ONI12125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVLLLDFWPSPFGMRVRVALAEKGIQYEYKEEDLSNKSALLLQSNPVHKKIPVLIHNGKPVSESLVALEYIDEVWKDKTPLLPSDPYLRAQARFWADFVDKKVYEIGKVLRSTKGEEQEAAKKEFLECIGLLEEKLGDKPYFGGETLGFVDVALIPFYSWFYAYEKLGNFSIEAEQPKFYAWAKRCMQKESVSKSLADQKAIYDFFLQRMKARGIDQ >ONI11042 pep chromosome:Prunus_persica_NCBIv2:G4:4114586:4116846:-1 gene:PRUPE_4G084100 transcript:ONI11042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEEQEQQPQQEQQEGEQVEAPHSDATPQQPSSSQANPPSPPFDPSRMIGIIKRKAVIKELAAVYHAECLAYCQELLELQRKCDEPIIDLKPPEDLKKETMRPPKRLKKTR >ONI14114 pep chromosome:Prunus_persica_NCBIv2:G4:19202227:19204113:1 gene:PRUPE_4G263000 transcript:ONI14114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPKLPTINFSIEDLKPGSASWLSTAKQVRFALEEYGCFVALYEQISEELLNNMFGQAKDLFEIPKENKVKNVGEEPYRGHMGPNPGLPLYESLCIDNVTSPQETQKFKNLMWPEGKSNFCETTDSFGQLLADLERTVEQLLFESYGIGKQYESVGSSNGHLLRFIKYTVPEDNDTTLRFPSHTDINFTTIVVQHDIAGLEVKTKEGDWINVECKPSQVQLVFMAGDGLQVWSNDRVKACHHRVKHCGNKTRYSIGLFTFNNGVFQVPDELVDESHPLLYNAFDSRAFIRKYATTPELKKEASPIKAFAGVKA >ONI09805 pep chromosome:Prunus_persica_NCBIv2:G4:510257:512050:1 gene:PRUPE_4G010200 transcript:ONI09805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVLNLIPPSQILLHSSSSHPLPTTSSRQNETTQDWTALLFKLKCRGRFSCLFSDNRKEEQARKALEGALGGKKSEFEKWDKEIKRREEVGGGGSAGGGGWFGWRGWFGWSNGDHFWREAQQASLAVLGIILMYLIIAKGELMLAVIFNPLLYALRGTRNVFAFITSKILRKRGPDGQVVFDNISKNEAYSSVSAKDSVLRKWGSA >ONI14264 pep chromosome:Prunus_persica_NCBIv2:G4:22293837:22295223:-1 gene:PRUPE_4G271800 transcript:ONI14264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPHLFRCPISLDLFKDPVTLCTGQTYDRPSIEKWLAAGNLTCPVTMQKLDDPALVPNHTLRHFINQWLQMGNQFDPDYLETIGSLASLKHTLESHEVTLKSKVQALEKIRALSQSESPYTSNLFLQLGFLPLLLELVFGGEEAIHKQKLKQQLPQECYYMKFVEDALSCTLILLPHGKLESLNMLREESKLASFQALFAHGTALIRMSLCRLIEVTSTSPETKELCYMFGKNHQLLHRISVQLLQQNSNSETADAGIKAISTLTSLEENREILVRQGVVNGLITYINSSTERRERSLAPLAMATLEKLLGLESAKEAVINYNNNNNNNNNLNGVHALVKMVFRVSDDHQGSESAVGCLIILCNDSLLAREEAIRGGVLTQLLLLLQSQCSGRTKTKARMLLKLLRRTN >ONI14418 pep chromosome:Prunus_persica_NCBIv2:G4:23631955:23636753:1 gene:PRUPE_4G279900 transcript:ONI14418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLKLVRSLVFGETINNNPLLLPPNQHDEDPHHNNDDGYDADSNAKDGHHKPTEGRSKTSKSRTPFLLFLPTKELVADTYRLATIARDMGMDLHPTPSLSHIILSYPPPPSSSSSSPSSSSPSTYSWSSSSLSSTSLPDDTVPLPFPSLSTASISHLRAFVKLSKGLFKLVFVLSNCKATEATSESNWNCSSMSLFSRLTGDRIDTMEGFSRALAGLGWTLFKTKENPSADSGHRRVCGGKSVYLFRKVDTNRVRACTAGECRVRELRLPPLDFSNAPLRILQYILLMTDDIFYLA >ONI13990 pep chromosome:Prunus_persica_NCBIv2:G4:18092497:18094392:-1 gene:PRUPE_4G256900 transcript:ONI13990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNQDNTLVVATTTAPSNSKQSQPRAKTVDSQSVLKRLQSELMALMMSGDSGISAFPEEDNIFCWKGTIIGSKDTVFEGTEYRLSLTFPNDYPFKPPKVKFETLCFHPNVDLVGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNNQAAQLWSNQDDYRKMVEKLYKSPKA >ONI14637 pep chromosome:Prunus_persica_NCBIv2:G4:25484890:25485797:1 gene:PRUPE_4G289500 transcript:ONI14637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWAKYPTQPSLSNLFAVMAEMRQDWRTLRSPLNTTSGYPGLLNIPKMMSRSIKIIPVIKSGVAWASCWVIDNTRACVVERPDWPLWLLPHLPRKVDCTPLLDDEPAADDEAPLSV >ONI10251 pep chromosome:Prunus_persica_NCBIv2:G4:1743819:1745276:1 gene:PRUPE_4G036800 transcript:ONI10251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGKKCKSCLKSKRGGLVAEEGLELGFVKYTRALGRKRIGISNNGEPSPTDSYPSTPLKKQRSGKLVSDSETSALEALPQEILIKIVCGVHHEDLKQLFHVSKPIREATLIAKQWHFAYSTPSKTPAFRTAIDFSSDDMDEIEAPNAPKQWREQHRQLPSRKKLAEISVNLFASWDEE >ONI09685 pep chromosome:Prunus_persica_NCBIv2:G4:226420:230338:-1 gene:PRUPE_4G003300 transcript:ONI09685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPSSSESIKPRDVCIVGVARTPMGGFLGSLSSVTATQLGSLAIRCALKRANLDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTINKVCSSGMKATMLAAQTIQLGLNHIVVAGGMESMSNAPKYLPAARQGSRLGHDTIVDGMLKDGLWDVYNDFGMGVCAEMCADQHSISREEQDSYAIRSFERGTSAQDARLFAWEIVPVERPGGRGKPSLTVDKDDGLKTFDAAKLRKLRPSFKKNGGTVTAGNASLISDGAAALVLVSGEKARQLGLQVIAKIKGFSDAAQAPELFTTAPALAIPKAVSNAGLEASQIDYYEINEAFSVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRHKKGRYGVGAICNGGGGASALVLELMSITRVARSSL >ONI10024 pep chromosome:Prunus_persica_NCBIv2:G4:1128122:1130416:1 gene:PRUPE_4G023800 transcript:ONI10024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLREFAEKVTFLPPEAEAPGRREKMSCFSCCKRVDEPEESVRNTRTGRTYSNASGGRRLTALIATFSIKSGSSQCRNLHKEILKTGHAKKSAQLFTYQELAAATDNFNPDSLVGEGGFGKVYKGHIDSIKQVVAVKQLDRKGLQGGREFCSEVIMLSLVQHPNLVNLIGYCAEGDQKILVYEFMANGSLENHFLDLSPNREPLDWYTRMKIAEGAARGLEYLHETANPPVIYRDFKASNILLDDKFSPKLSDFGLAKLGPTGDKDHVSTRVMGTYGYCAPEYASTGQLTTMSDVYSFGVVFLELITERRAIDDRRPVREQNLVSWAKPMLMDRINYASMADPLLGGKFPVKGLNQAVAIAAMCLNEEADCRPSMGDVVTALEHLSLPIYDELDAKGASGNNGGHVESVKRKSFKGDREL >ONI10990 pep chromosome:Prunus_persica_NCBIv2:G4:3917455:3919603:1 gene:PRUPE_4G080700 transcript:ONI10990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLSQPAALDHLSDLIKACKPTSLFTGIPVVDLSDPEAKHHIVKACQDYGLFKLVNHGVPLDFMTTLEAQALKFFNLPQSEKEKAGPADPFGYGSKRIGPNGDVGWIEYILLNTNPDIIYPKSLSIFKENPEIFRDAVLDYICAVKKMTFEVLELMVDGLGIEPRNALSNLLREDKSDCCFRLNYYPPCPELQALSGRNLIGFGEHTDPQIISVLRSNNTSGLQISLKDGTWVSVPPDQNSFFINVGDCLQVMSNGRFKSVKHRVLADTTSSRISMIFFGGPPLSEKIAPLPSLMAEGEESLYKEFTWCEYKKSAYKSRLADYRLGLFEKSVPMMSNSQF >ONI13768 pep chromosome:Prunus_persica_NCBIv2:G4:16202096:16204123:-1 gene:PRUPE_4G244100 transcript:ONI13768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRRATAAGALLLTLTLLTTLAQAHNITRILAKHPEFSTFNHYLTLTHLAAEINQHTTITVCAVDNSAMSALLAKKPSIYTIKNILSLHILLDYFGAKKLHQITNGTALAATMFQATGSAPGSAGFVNITDLKGGKVGFTPQDNDGTFPAHFVKSVEELPYNISVIHISSILPSQAAEAPAPGPAELNITSIMSAHGCKVFADTLLANPDAFKTYEDNVGGGLTVFCPMDDAFKAFLPKFKNLTRAGKAALLEYHGVPVYQSMATLKSNNGLQNTLATDGASKFDFTVQNDGQEVTLKTKIVTAKITGTLIDEQPVAIYTIDKVLQPKELFKGALTPSPAPAPEKPAHAPKSSKKKTKDAPSPDSESPADSPADDPADQTVDDNNGAVGVGRLGFGGLVLSMWVGFLLL >ONI14439 pep chromosome:Prunus_persica_NCBIv2:G4:23799418:23801330:1 gene:PRUPE_4G281100 transcript:ONI14439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPDLPKEGEKTHSLNLDPQTPATNCLSTISFLVSNKITMSGLSLRSCSFTSNLFLLLPRPRIFQLIIFINERNNPFSSRYLFQSPSIPFHPWNYKIYHVFCEPSSSLGATKICPFCASTI >ONI13547 pep chromosome:Prunus_persica_NCBIv2:G4:14683530:14687925:-1 gene:PRUPE_4G229400 transcript:ONI13547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSMIMLNRILCNGYSSLVVTKEIHCGYFSRPLFLTLFPKIILHRCQPLATTATYTRDSLQCAQPHTNSDSKLAFVLVTMWSTSPQPLLICCLYVRYENFSKYFELRVWNHGNVKIYPFFFFFNYYEDFLCLRCLVVFCN >ONI12758 pep chromosome:Prunus_persica_NCBIv2:G4:10765095:10766926:1 gene:PRUPE_4G181400 transcript:ONI12758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMPTENTSSALAFPGSQPTQGSAVTTAPSLDTKPKKKICCACPDTKKLRDECIVEHGQEACTKWIDAHLRCLRAEGFNV >ONI12757 pep chromosome:Prunus_persica_NCBIv2:G4:10765366:10766806:1 gene:PRUPE_4G181400 transcript:ONI12757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMPTENTSSALAFPGSQPTQGSAVTTAPSLDTKPKKKICCACPDTKKLRDECIVEHGQEACTKWIDAHLRCLRAEGFNV >ONI10636 pep chromosome:Prunus_persica_NCBIv2:G4:2806643:2807992:1 gene:PRUPE_4G058800 transcript:ONI10636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTVPNILKSSSTFVQIFLDVYKIERERERERDEFNRVVFSGIFDEPHPRISFHHPAVLGCFNSVISFGDSLTDTGNLYDSSPNRSLHYFQPPYGETYFHHPTGRCSDGRLIIDFIAQFLGLPFVPPFLQNLNSNQSVQNFEAGVNFAVIGATGLDASFLATMEIHSPSTNNFLRIQLEWFKQMLPSLCNTSLGNDITTEKRSHLRQIFSKEKIPFPRAKHPPSSIMQQYAYYFQHPKCPWIRVLSPSEIANF >ONI14161 pep chromosome:Prunus_persica_NCBIv2:G4:19831813:19837118:1 gene:PRUPE_4G265800 transcript:ONI14161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRMDKMSAPSSRERAQRLYDKVIELENRRRRSAQARIPSDPNAWQQIRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHFSAATASAGSNSSQAVKGPARPDRITKIRLQFKTFLSEATGFYHDLIVKIRAKYGLPLGYFSEDSENKIVMDKDGKKSTEMKKGLISCHRCLIYLGDLARYKGLYGEGDSKTREYAAASSYYLQAASLWPSSGNPHHQLAILASYSGDELVAVYRYFRSLAVDSPFSTARDNLIVAFEKNRQSYSQLPGNTNASAVKELPARLTSKGRGKAEAIPASKDNNTEVSLVKEKASSTQETYKAFCIRFVRLNGILFTRTSLETFVEVLSVVSSGLCELLSSGAEELQNFGADSVENGLFIVRLVSILIFTVHNVKKESEGQTYAEIVQRAVVLQNAFTAVFELMGHILERCVQLCDPSSSFLLPGILVFVEWLACCPDVAAGSDADEKQTKVRSKFWMVCISFLNSISSTGPVSIDDDEDETCFNNMSRYEEGETENRLALWEDFELRGFIPLLPAQTILDFSRKHSFGSDGHKEKGARVKRIVAAGKALANVIKVDQKAVYFDSKAKKFVIGFEPPVQNDFVPTSYMGMATENDNLQENQAENTMKLGVAYPKPELTMEGDEEDEVIVFKPIVAEKRPDVVNTTWAAYEGLVPGKNASPGDLKVNGTYVTAPFDNLRHQTAFSAGSQIPVSLGNGIPQHLQSIQSHASKLSMEAGFGASSQLPVSVANSIPQNLQPTQSHALKLSTEEEMSLAHGLKSMGFMGNGYVLASEPVAVSVPFQQPVNGSTSGMVYSHTKAPEAMLPFKVDAMSSSGAIADGLTVKTSSNLPTGIRKNPVSRPVRHLGPPPGFSPVPPKNVNESIYGSDSMSENLLMDDYSWLDGYQMPSSTKGNGLNSSINISSHSNPNRFINSNGLNGPVNFPFPGKLGPPMQLQGEKQKSWQDFQMLDELKLHHEMQLQQQQLVNGNQHLTPQPEQYQGQSVWTGRYFV >ONI14162 pep chromosome:Prunus_persica_NCBIv2:G4:19830502:19837607:1 gene:PRUPE_4G265800 transcript:ONI14162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRMDKMSAPSSRERAQRLYDKVIELENRRRRSAQARIPSDPNAWQQIRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHFSAATASAGSNSSQAVKGPARPDRITKIRLQFKTFLSEATGFYHDLIVKIRAKYGLPLGYFSEDSENKIVMDKDGKKSTEMKKGLISCHRCLIYLGDLARYKGLYGEGDSKTREYAAASSYYLQAASLWPSSGNPHHQLAILASYSGDELVAVYRYFRSLAVDSPFSTARDNLIVAFEKNRQSYSQLPGNTNASAVKELPARLTSKGRGKAEAIPASKDNNTEVSLVKEKASSTQETYKAFCIRFVRLNGILFTRTSLETFVEVLSVVSSGLCELLSSGAEELQNFGADSVENGLFIVRLVSILIFTVHNVKKESEGQTYAEIVQRAVVLQNAFTAVFELMGHILERCVQLCDPSSSFLLPGILVFVEWLACCPDVAAGSDADEKQTKVRSKFWMVCISFLNSISSTGPVSIDDDEDETCFNNMSRYEEGETENRLALWEDFELRGFIPLLPAQTILDFSRKHSFGSDGHKEKGARVKRIVAAGKALANVIKVDQKAVYFDSKAKKFVIGFEPPVQNDFVPTSYMGMATENDNLQENQAENTMKLGVAYPKPELTMEGDEEDEVIVFKPIVAEKRPDVVNTTWAAYEGLVPGKNASPGDLKVNGTYVTAPFDNLRHQTAFSAGSQIPVSLGNGIPQHLQSIQSHASKLSMEAGFGASSQLPVSVANSIPQNLQPTQSHALKLSTEEEMSLAHGLKSMGFMGNGYVLASEPVAVSVPFQQPVNGSTSGMVYSHTKAPEAMLPFKVDAMSSSGAIADGLTVKTSSNLPTGIRKNPVSRPVRHLGPPPGFSPVPPKNVNESIYGSDSMSENLLMDDYSWLDGYQMPSSTKGNGLNSSINISSHSNPNRFINSNGLNGPVNFPFPGKLGPPMQLQGEKQKSWQDFQMLDELKLHHEMQLQQQQLVNGNQHLTPQPEQYQGQSVWTGRYFV >ONI14160 pep chromosome:Prunus_persica_NCBIv2:G4:19830193:19837697:1 gene:PRUPE_4G265800 transcript:ONI14160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRMDKMSAPSSRERAQRLYDKVIELENRRRRSAQARIPSDPNAWQQIRENYEAIILEDHAFSEQHNIEYALWQLHYKRIEELRAHFSAATASAGSNSSQAVKGPARPDRITKIRLQFKTFLSEATGFYHDLIVKIRAKYGLPLGYFSEDSENKIVMDKDGKKSTEMKKGLISCHRCLIYLGDLARYKGLYGEGDSKTREYAAASSYYLQAASLWPSSGNPHHQLAILASYSGDELVAVYRYFRSLAVDSPFSTARDNLIVAFEKNRQSYSQLPGNTNASAVKELPARLTSKGRGKAEAIPASKDNNTEVSLVKEKASSTQETYKAFCIRFVRLNGILFTRTSLETFVEVLSVVSSGLCELLSSGAEELQNFGADSVENGLFIVRLVSILIFTVHNVKKESEGQTYAEIVQRAVVLQNAFTAVFELMGHILERCVQLCDPSSSFLLPGILVFVEWLACCPDVAAGSDADEKQTKVRSKFWMVCISFLNSISSTGPVSIDDDEDETCFNNMSRYEEGETENRLALWEDFELRGFIPLLPAQTILDFSRKHSFGSDGHKEKGARVKRIVAAGKALANVIKVDQKAVYFDSKAKKFVIGFEPPVQNDFVPTSYMGMATENDNLQENQAENTMKLGVAYPKPELTMEGDEEDEVIVFKPIVAEKRPDVVNTTWAAYEGLVPGKNASPGDLKVNGTYVTAPFDNLRHQTAFSAGSQIPVSLGNGIPQHLQSIQSHASKLSMEAGFGASSQLPVSVANSIPQNLQPTQSHALKLSTEEEMSLAHGLKSMGFMGNGYVLASEPVAVSVPFQQPVNGSTSGMVYSHTKAPEAMLPFKVDAMSSSGAIADGLTVKTSSNLPTGIRKNPVSRPVRHLGPPPGFSPVPPKNVNESIYGSDSMSENLLMDDYSWLDGYQMPSSTKGNGLNSSINISSHSNPNRFINSNGLNGPVNFPFPGKLGPPMQLQGEKQKSWQDFQMLDELKLHHEMQLQQQQLVNGNQHLTPQPEQYQGQSVWTGRYFV >ONI12365 pep chromosome:Prunus_persica_NCBIv2:G4:9120208:9122250:-1 gene:PRUPE_4G159300 transcript:ONI12365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSSLKCQKSDAQSVEMDKDRIQKDFFWPDIPRISDLSIRGNSIVIIKKGGGKVPCPPEMVPLDRDKSPLLKPGCPVGNKGEEPVEEKFEPPKSNFRVPTYPLRKSEPKADLDSTYIPFIQHSRPIGECKYCLKVGEHMTQLCPYQDRVPKNAILGSGCDVVCRVCGWFFRGSCCGQDEGRAVLKNCISVKRCLKS >ONI10947 pep chromosome:Prunus_persica_NCBIv2:G4:3794379:3795740:1 gene:PRUPE_4G077900 transcript:ONI10947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKKRWLFFKRMKKESWSLRWKYLGSAFKFRPLNLQLSFYDDVIFKIVSAFEAIVLLLSVCFFYLFCGCKF >ONI10675 pep chromosome:Prunus_persica_NCBIv2:G4:2933426:2933644:1 gene:PRUPE_4G061500 transcript:ONI10675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGIFFWLICKIRILLASFPVKGYFIFQFDFYVKIIHQEKHMHLCYTAIDRNVRTPTYMIRREIFFHKVNG >ONI12140 pep chromosome:Prunus_persica_NCBIv2:G4:8444501:8445404:-1 gene:PRUPE_4G147800 transcript:ONI12140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVLLDFWPSPFGMRVRIALAEKGIDYEPREEDLSNKSPLLLKMNPVHKQIPVLIHKGRPVCESLIIVQYIDEVWSHQAPLLPSDPYHRAHARFWADYVDKKIYSTGKLVWGTRGEIQEAAKKELIECFKLLENELGDKTYFGGESFGIVDVALIPFYSWFYALETCGNLCMVKECPRLVTWAKRCMQRESVSKSLPDQYKMYDFLLELRKKFEIHS >ONI10841 pep chromosome:Prunus_persica_NCBIv2:G4:3494732:3495999:-1 gene:PRUPE_4G071300 transcript:ONI10841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTTPSHPTLLFLTVVLLITAQPSQSFAYSQYRTLFSLSHSLMTRVANLREARGDVSGADRARLVAAKLEGGLVGGWGFMWSAAWDYMKNYAWRELPYSEVYGAVSDANELLRWLGELGRKESDSERAAWVGQNYRSVLRVSNSLLRRLLTVFYHSGTLRELVKAVQNEVEEGELLKDFLELGTNDFKGLIQILKDLGLKYGSSTMHQDL >ONI12502 pep chromosome:Prunus_persica_NCBIv2:G4:9822020:9824418:1 gene:PRUPE_4G168700 transcript:ONI12502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATIASQGKCSELQVFRANHNNLSGLLPEDIYNATKLEEIALPLNSLHGAISGKIVNLTNLAILDLSSNHFGGELPLNLGKLSKLKFVTLDFNNLEGVLPSSLMNCTNLVELRLGNNHLEGDISVLDFSRLSQLTKLDLRINNFTGTVPVSLYSCRFLKAIRLTGNNLEGQIQDEILSLKSLSFLSLGYNRFTNLTGAMKILMSCKSLHALLLSGSFVGEGMPSDDDMVDYVGFQNLRLLGLVRSNLTGQIPFWLSKLKNLEILALGFNQITGPIPSWLGTLPRLFYISLSHNQISGKFPQQLCRLPRLLHEPIASQGDNYEFEFPVYSSSISITANQTFLSQKLCFFPATIDLSTNNIVGDIPTEISQLHLLYTLSLDSNNFSGVIPDQISNLKNLEVLNLSMNHLSGIIPASLASLNFLKEFNVSYNNLEGSIPIGTQLQSFEVSAFEGNPKLCGAPLPKCSPNKGIDADNKNNKDVYKWLHQLPWFYIFAALGFIVGFWGVCGCLIIHKTWRYAYFRFINKVQDRLLYVMITVPLNKMDRRLRG >ONI12501 pep chromosome:Prunus_persica_NCBIv2:G4:9822022:9824468:1 gene:PRUPE_4G168700 transcript:ONI12501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQAYNLMAHAFLLFLLFPYIISTNIHACKQNERSSLLSFASTLSPLNWTSIDYDCCRWNGITCDQDGWVTHLLLPSKGLKGGISPSSLANLTRLIHLNLSHNSLYGSLETQFFLSLNRLDILDLSYNCLSGELPISPLSSNIRSIDLSSNHFFGAIPSSFFQQASNLTSFNVSNNSFTGYVPSSICLQHSSPFLRLLDFSSNLFNGDLAPGLGKCSELQVFRANHNNLSGLLPEDIYNATKLEEIALPLNSLHGAISGKIVNLTNLAILDLSSNHFGGELPLNLGKLSKLKFVTLDFNNLEGVLPSSLMNCTNLVELRLGNNHLEGDISVLDFSRLSQLTKLDLRINNFTGTVPVSLYSCRFLKAIRLTGNNLEGQIQDEILSLKSLSFLSLGYNRFTNLTGAMKILMSCKSLHALLLSGSFVGEGMPSDDDMVDYVGFQNLRLLGLVRSNLTGQIPFWLSKLKNLEILALGFNQITGPIPSWLGTLPRLFYISLSHNQISGKFPQQLCRLPRLLHEPIASQGDNYEFEFPVYSSSISITANQTFLSQKLCFFPATIDLSTNNIVGDIPTEISQLHLLYTLSLDSNNFSGVIPDQISNLKNLEVLNLSMNHLSGIIPASLASLNFLKEFNVSYNNLEGSIPIGTQLQSFEVSAFEGNPKLCGAPLPKCSPNKGIDADNKNNKDVYKWLHQLPWFYIFAALGFIVGFWGVCGCLIIHKTWRYAYFRFINKVQDRLLYVMITVPLNKMDRRLRG >ONI13156 pep chromosome:Prunus_persica_NCBIv2:G4:12868724:12876880:-1 gene:PRUPE_4G206200 transcript:ONI13156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRDRDWDWHLRTLSSSARDSNVANDPASDSSLLQSVKKLYELCKAENSEDLVARVYPQINKLFQRSVASLSQSRTSIGLLLLAILQFYLDFGERVLHDADPSLRTFFRSCLSREFADPVVAEATIDFLNANKKKLLTSFPSLLPQFFPLMLKLIAWNGEKLEKSFMKVFSGLMSPGSFLPLFPSLVDLPILVVALEKVEKSSGSLVGNSIASMQKSTAPEMLLALMDEAYTGSTIGDGGGDSESEDSSTIDVADPLFLDLLKDENDGIAERHWTSPGMAAALQAAINTPQSDRLKHILRMAPQFLDVYFSIALRDVNNSLVCALIPLLMSRNATIFPDKIYSYEVRKRLLEFMLAAFQRSPDFIALLKKPIMDRLGEAYDSPEKTELALQLCWAIGEHGGGGGSHKDEARELFEGLELLLYENLASSRLGLGQESVFSSDSQTSRKSSQSRLLCFVVTAIAKLATNHRELLPRARVSLGKVARSRISDVRVWRRARDYLGLMTEPAISLSVLGPSRPSHGQMQNPGTVKWSDGATKMIAHVPFYILGGQEDTLPRR >ONI13157 pep chromosome:Prunus_persica_NCBIv2:G4:12869163:12876628:-1 gene:PRUPE_4G206200 transcript:ONI13157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRDRDWDWHLRTLSSSARDSNVANDPASDSSLLQSVKKLYELCKAENSEDLVARVYPQINKLFQRSVASLSQSRTSIGLLLLAILQFYLDFGERVLHDADPSLRTFFRSCLSREFADPVVAEATIDFLNANKKKLLTSFPSLLPQFFPLMLKLIAWNGEKLEKSFMKVFSGLMSPGSFLPLFPSLVDLPILVVALEKVEKSSGSLVGNSIASMQKSTAPEMLLALMDEAYTGSTIGDGGGDSESEDSSTIDVADPLFLDLLKDENDGIAERHWTSPGMAAALQAAINTPQSDRLKHILRMAPQFLDVYFSIALRDVNNSLVCALIPLLMSRNATIFPDKIYSYEVRKRLLEFMLAAFQRSPDFIALLKKPIMDRLGEAYDSPEKTELALQLCWAIGEHGGGGGSHKDEARELFEGLELLLYENLASSRLGLGQESVFSSDSQTSRKSSQSRLLCFVVTAIAKLATNHRELLPRARVSLGKVARSRISDVRVWRRARDYLGLMTEPAISLSVLGPSRPSHGQMQNPGTVKWSDGATKMIAHVPFYILGGQEGPPFHDFLFSDTLPRR >ONI10762 pep chromosome:Prunus_persica_NCBIv2:G4:3238730:3239480:1 gene:PRUPE_4G067000 transcript:ONI10762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLSALALIFLVVLASFAAASESRKLLDMKKQDCDGQYRKRVASLFLGALPKGTVPASTPSKKGHAVVINEKLIARHLSSNDRILAQAVPSPGVGH >ONI13551 pep chromosome:Prunus_persica_NCBIv2:G4:14726254:14730409:1 gene:PRUPE_4G229800 transcript:ONI13551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRNLLLLKILLFLFALNSLSLCLYFTSHSRTLTPSQTDTQARHSLSLNRLPLTDQNRHPYRYSKPWPILPSYLPWSQTTQVPFRSCEAYFGNGFTNRVDLLKPKKDLTLNRMGWFKCWFSETLRSSVCEGGGLRMDPGKIKMSRGGEILEEVIGRGEEEELPEFQTGAFQIDGGDGISGEDQRLVTQEFLDEYVPHGEIVKHTMRELIGSVRIVPTTELQCNEWIEEPTLLVTRFEYANLFHTFTDWYSAYVASRVTGLPNRPHLVFVDGHCRASLEETWKALFSSLRYAKNFSGPVCFRHLILSPLGYETPLFKGLNEDINCHGASAPDLWQHPDDQKTARLSEFGEMIKAAFGFPVHRHRIEKPASGHNVLFVRREDYLAHPRHHGKVESRLSNEQEVFDALKSWVSNHKECKINLVNGLFAHMSMKEQVRAIQDASVIIGAHGAGLTHIVSAMPKTVILEIISSQYRRPHFALIARWKGLEYHAINLEGSFASPPVVIDKLNNIMRSLGC >ONI13552 pep chromosome:Prunus_persica_NCBIv2:G4:14726310:14730409:1 gene:PRUPE_4G229800 transcript:ONI13552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRNLLLLKILLFLFALNSLSLCLYFTSHSRTLTPSQTDTQARHSLSLNRLPLTDQNRHPYRYSKPWPILPSYLPWSQTTQVPFRSCEAYFGNGFTNRVDLLKPKKDLTLNRMGWFKCWFSETLRSSVCEGGGLRMDPGKIKMSRGGEILEEVIGRGEEEELPEFQTGAFQIDGGDGISGEDQRLVTQEFLDEYVPHGEIVKHTMRELIGSVRIVPTTELQCNEWIEEPTLLVTRFEYANLFHTFTDWYSAYVASRVTGLPNRPHLVFVDGHCRASLEETWKALFSSLRYAKNFSGPVCFRHLILSPLGYETPLFKGLNEDINCHGASAPDLWQHPDDQKTARLSEFGEMIKAAFGFPVHRHRIEKPASGHNVLFVRREDYLAHPRHHGKVESRLSNEQEVFDALKSWVSNHKECKINLVNGLFAHMSMKEQVRAIQDASVIIGAHGAGLTHIVSAMPKTVILEIISSQYRRPHFALIARWKGLEYHAINLEGSFASPPVVIDKLNNIMRSLGC >ONI13623 pep chromosome:Prunus_persica_NCBIv2:G4:15093143:15093721:-1 gene:PRUPE_4G234000 transcript:ONI13623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNWLESLPKLPVLILRSNKFYGEIGIPKTNFPFQKLCIMDLSYNRFSGLLPIKYFEHLTVMINSQEHGLKYMGGRYYQDTVVVTIKGFEIEMEKILTKLKSLKGLKFSHNELAGTIPPSFGDMCNLKWLDLSSNKLVGDIPEQLANLTSLSKFNVSKNQLMGAHTSWQAI >ONI13720 pep chromosome:Prunus_persica_NCBIv2:G4:15857353:15858306:-1 gene:PRUPE_4G241100 transcript:ONI13720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKALLPLLQLSDSPRVVSLSSGMGSLKHIPNEWAKGVLSDAEKLTEERIDDVLNEFLKDFKEDILETKGWPTSLSTYILSKAAVNAFTRMMARKYPNICINSVVKTNMNFINTGMLTIDEGAESVVRLAMDMQTKLDILQSSNEKTLEDQ >ONI11309 pep chromosome:Prunus_persica_NCBIv2:G4:5141328:5144813:1 gene:PRUPE_4G100900 transcript:ONI11309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKATSLANLLQGCIDKKAHLAGKLIHAFILRSNGLLSNTFLSNRLVELYSKCGNIGYADRVFDKMPHRDVYSWNAILGGYCKFGSLGDAQELFLKLPERNTVSWNTLISALVRHGQEETALGVYDTMILEGFMPTRFTLASVFSACGALLDVEHGRRCHGLAIKIGLEENIYVGNAILSMYAKCGLIRDAIRVFGDMAEPNEVTFTAIMGGLAQTDRVLEALEMFRMMCRKGVRIDSVSLSSILGVCAKGGEGGGEYGLDDQSDGFPCNVNGQQIHGHTIKLGFEGDLHLNNSLLDMYAKNGDLNNAEKVFANLPKVSNVSWNIMIAGYGQISETQKALEYLQRMRSCCFEPDEVTYIHMLAACVKSGDIKSGRQMFDNISCPNVSSWNAILSGYFQSGDHKEAIELFREMQFQHVQPDRTTLAVALSSCAAMGLLQAGKEIHAASRKAAFQTDVYVASGLLNMYSKCGRTETAKHIFHNMLELDIVCWNSMIAGLSLNSQDKEAFTFFKQMRHDEMRPTQFTYATVLSCCAKLSSSFQGKQVHVQMTKDGYMSDLFVGSALIDMYCKCGDVDEARKFFDMMPSKNTVTWNEMIHGYAQNGRGDEAVLLYRDMIGSSQKPDCITFVAVLTACSHSGLVDAGIEIFNSMEQEHGVVPVLDHYTCIIDALGRAGRFHEAEVLIDEMPYKDDPVIWEVLLSSCRVYANVGLAKRAADELFRLTPNNSAPYVLLGNIYSSLGRWDEARDVRDQMSDKQVIKDPGYSWIEYDKGKQTGMEDDNFMVIDDEVEVASNKKSFYAA >ONI11308 pep chromosome:Prunus_persica_NCBIv2:G4:5141328:5145322:1 gene:PRUPE_4G100900 transcript:ONI11308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKATSLANLLQGCIDKKAHLAGKLIHAFILRSNGLLSNTFLSNRLVELYSKCGNIGYADRVFDKMPHRDVYSWNAILGGYCKFGSLGDAQELFLKLPERNTVSWNTLISALVRHGQEETALGVYDTMILEGFMPTRFTLASVFSACGALLDVEHGRRCHGLAIKIGLEENIYVGNAILSMYAKCGLIRDAIRVFGDMAEPNEVTFTAIMGGLAQTDRVLEALEMFRMMCRKGVRIDSVSLSSILGVCAKGGEGGGEYGLDDQSDGFPCNVNGQQIHGHTIKLGFEGDLHLNNSLLDMYAKNGDLNNAEKVFANLPKVSNVSWNIMIAGYGQISETQKALEYLQRMRSCCFEPDEVTYIHMLAACVKSGDIKSGRQMFDNISCPNVSSWNAILSGYFQSGDHKEAIELFREMQFQHVQPDRTTLAVALSSCAAMGLLQAGKEIHAASRKAAFQTDVYVASGLLNMYSKCGRTETAKHIFHNMLELDIVCWNSMIAGLSLNSQDKEAFTFFKQMRHDEMRPTQFTYATVLSCCAKLSSSFQGKQVHVQMTKDGYMSDLFVGSALIDMYCKCGDVDEARKFFDMMPSKNTVTWNEMIHGYAQNGRGDEAVLLYRDMIGSSQKPDCITFVAVLTACSHSGLVDAGIEIFNSMEQEHGVVPVLDHYTCIIDALGRAGRFHEAEVLIDEMPYKDDPVIWEVLLSSCRVYANVGLAKRAADELFRLTPNNSAPYVLLGNIYSSLGRWDEARDVRDQMSDKQVIKDPGYSWIEYDKGKQTGMEDDNFMVIDDEVEVASNKKSFYAA >ONI10406 pep chromosome:Prunus_persica_NCBIv2:G4:2167567:2169080:1 gene:PRUPE_4G045300 transcript:ONI10406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFEKAIGKPPEELRLPSNVLEKAKTREEILETFQSSCPESTVYYLSNGNFMALSHADESIQSHPRSIVVIDDVFCIFIGILENTCDLRRHYGLPRQATEAMVVVEAYKVLRDRAPYPPDQVIKDLQGKFAFILFDARFGTLFAARDRDGSVELQWAMAGDGSLVYSHDLDIIREACGKSFAPFPPGCIFTSGSGLTSFVHPLHKVRASTHEDDDGHICGVTFQVDLFTRLPSIPRTGSAGNWADQAPVVKEGEGNWSDQATVVKEGE >ONI11280 pep chromosome:Prunus_persica_NCBIv2:G4:5007238:5009110:1 gene:PRUPE_4G098600 transcript:ONI11280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRNPNVLLFILSVLSISVSWATSATADFHGFLQCLTKRSHSAHPIQETIYTPQNASFQSVLVLHINNRRFSTPTTPKPLAIIAAKNESHVQATVVCAKRHGLQIKIRSGGHDFEGLSYTSDVPFVILDMFNLKSVDVNLAQKRAWVMSGATLGEVYYAIAAKTKVYGFPAGICPTVGAGGHFGGGGYGFMMRKYGLSVDNIVDAKIVTVKGTILDRKSMGEDLFWAIRGGGGASFGVILSWKLKLVPVPAKVTVFNVTRTIEEGATDLVYKWQTVAPKLPKELFLRAMPQVKNIDTKGKKTVAVSFLGHFLGKSDKVVALLNERFPELGLQRRDCHEVSWVESTVFWADNPIGTPINVLLNKPTEPETFYKGKSDYVKKPLPKHVFESIWKKMIEIENIWLDWNPYGGRMNEISVSATPYPHRAGNLFFALYYSSWYDEGIETTNKYLGLIRELYEMMTPYVSENPREAFQNYRDLDIGANQDSQTNFETAKLYGKKYFKGNFDRLVRVKTKVDPHNFFKHKQSIPTL >ONI10413 pep chromosome:Prunus_persica_NCBIv2:G4:2187754:2189986:-1 gene:PRUPE_4G045900 transcript:ONI10413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLFAKTLIRSAMTSRSYYTSRTKKPTLYTKISPLGNPSLNVVPELDDWVYKGHKVRVAELQRIIHDLRKRKRFSQALQISEWMKQKGICIFSPVEHAVQLDLIGKVRGLVSAEEYFNNLREEDKNLKTYGALLNCYVRQLQTDKSLAHLRKMKEMGFASSPLTYNDIMCLYTNVGEHEKVPGVLTEMKENNVPPDNFSYRICINSYGVRSDLEGMEKVLEEMESQPHIVMDWNTYAVVANFYIKEGQTHKAINALKKSEERLDNKDGLGHNHLISLYASMGNKDEVLRLWGLEKSACKRCINRDYIGLLISLVRLGELDEAEKVVKEWELSGNCYDFRVPQTVIIGYTVKGLYERAEAMLGDLMEKGKATTPKSWEIVAAGYVNKGETEKAFQCMKAALCLSAEKGWKPNLRVSTTILSWLGDKGSVEDAEAFVGLLRNVIPVNKQMYHALLKAYMRGGKEVNSVLDRMKADKVEDDDIETKKVLAMREN >ONI12842 pep chromosome:Prunus_persica_NCBIv2:G4:11116814:11118655:1 gene:PRUPE_4G186800 transcript:ONI12842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPETDPLSQLSLPPGFRFYPTDEELLVQYLCRKVAGYQFSLQIIAEIDLYKFDPWVLPSKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITTEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLIEPSRKNGSSKLDEWVLCRIYKKSSSSAAQKPMTTSVSSKEHSNGSSSSCSSQLDDVLEWLPDIDDRCFTLPRINSLKTLQQQQEDSKLGFQMGSGNFDWASLAGLNVVPELCPNNQPQQSQGQMNVNYSNNDMYVPSIPPLCHVESPPERLAKTVDEEVQSGFRTQRVDNSGFFQNSNVMTQNFCNPTDPYGYSNRLGRSGLGFGGAEK >ONI12490 pep chromosome:Prunus_persica_NCBIv2:G4:9747594:9751406:1 gene:PRUPE_4G167800 transcript:ONI12490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCHLTPPRSFLLGSSPSYYISKLKLKPTIPSLKKRFFSFSISAAMASASPAKKVLVPIANGTEPMEAVITIDVLRRAGADVTVASVEKQLRVEACHGVKIIADVLISNCSKSVFDLITLPGGMLGAANLKNSEVLESLVKKQAADGKLYAAICASPAVAFGSWGVLKGLKATCYPSFMDQLASSATTVESRVQVDGKAVTSRGPGTTMEFAVVLVEQLYGKEKADEVSGPLVMRSNHGDEYIITELNPVGWTPNDSPKILVPIANGTEEMEATMIIDVLRRAKANVVVASVEDQLEIVASRKVKLEADVLLDEAAKLSYDLIVLPGGLGGAQAFAKSEKLVNLLKKQRESQRPYGAICASPALVLEPHGLLKGKKATAFPAMCDKLSDKSEIENRVVVDGNLITSRGPGTSMEFALGIVEKFFGRKKAIELAKVMLFVHP >ONI14312 pep chromosome:Prunus_persica_NCBIv2:G4:22927440:22929227:-1 gene:PRUPE_4G274900 transcript:ONI14312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPAILGQAIDPLAQLMETAYIGRLGSVELASAGISMNIFNYISKLFNIPLLSVATSFVAEDLAKSESIAKSAKSGGFLLGTTLAVLTTLTLGTSMAARQGPVAMAAHQICIQVWLAVSLLTDAMAASGQALIATYLCKGEYKIVKEVADSVLKGLKEMVECLSGTLTKSTDAIGQDDIEGPGMKPTNLLRFE >ONI11555 pep chromosome:Prunus_persica_NCBIv2:G4:6000403:6012749:1 gene:PRUPE_4G113100 transcript:ONI11555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRQHFSSQDSSLSPTSVRSREWEGPSRWTEYLGPETTSPMSLRSSRNAGPDGQVHSSGGSHKGLNMQWVVQLTEVAEGLMAKIYRLNQILDYPDPVGHVFSEAFWKAGVFPNHPRICLLLSKKFPEHYSKLQLDRVDKVAWDALHDNAELHLQSLEPWIQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKMPRKMMLQMYNLLHSMSRNDRDCDFYHRLVQFIDSYDPPLKGLKEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPLRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDIALVVLKENLVLTLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTKAKEADLEYSVAKQVEKMISEVHEQALLSCDAIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALAFAQCEVIWYFQHVGIGSSKSKTTRIVPVDIDPSDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRYLLNTPGMVALDLDSSLKGLFQQIVQQLENIPKPQGENVSAITCDLSEFRKNWLSILMIVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHASLKKLYFYHQHLTSVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALEIQLLPEQAAYYMNYASRVSIPSAKSPKGPSGFPFPGQESHPENNSSIKMLEAAVQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNLRRRLLSALKTDNDLQRPSVLESLIRRHISIIHLAEQHISMDLTQGIREVLLSEAFSGPVSSLHLFDKPAEQHTGSATEAVCNWYIENIIKDISGAGILFAPIHKCFKSTRPVGGYFADSVTDLKELKAFVRIFGGYGVDRLDRMLKEHTAALLNCIDTSLRSNREVLEAVSGSLHSGDRTEREASIKQIVDIDTVIGFCVQAGLALAFDRLLAEASGAVLLEGAPLIHSLLTGIAKHIPEEIPEKIEIRRLKSVTNNFGVVYDHDSQWVRLILEEVGGANDGSWSFLPYLFATFMTSNIWNTTAFNVDTGGFNNNIHCLARCISAVIAGSEFVRLEREHQQRQSLSNGHAADTGDPESQSRLSAEASIKSSMQLFVKFSAGIILDSWSEANRSHLVAQLIFLDQLCEISPYLPRSSLEPHVPYAILRSIYSQYYENSPSTPLALLSGSPRHSPAASLTHSSPVVRHPRGDPTPQYDSGYFKGSSSHGQEHLYDTDSGSLRSSESRQRNVRRSGPLDYSSSRSKVKFVEGSTSGSTGPSPLPRFAVSRSGPISYK >ONI11553 pep chromosome:Prunus_persica_NCBIv2:G4:6000289:6012764:1 gene:PRUPE_4G113100 transcript:ONI11553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRQHFSSQDSSLSPTSVRSREWEGPSRWTEYLGPETTSPMSLRSSRNAGPDGQVHSSGGSHKGLNMQWVVQLTEVAEGLMAKIYRLNQILDYPDPVGHVFSEAFWKAGVFPNHPRICLLLSKKFPEHYSKLQLDRVDKVAWDALHDNAELHLQSLEPWIQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKMPRKMMLQMYNLLHSMSRNDRDCDFYHRLVQFIDSYDPPLKGLKEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPLRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDIALVVLKENLVLTLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTKAKEADLEYSVAKQVEKMISEVHEQALLSCDAIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALAFAQCEVIWYFQHVGIGSSKSKTTRIVPVDIDPSDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRYLLNTPGMVALDLDSSLKGLFQQIVQQLENIPKPQGENVSAITCDLSEFRKNWLSILMIVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHASLKKLYFYHQHLTSVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALEIQLLPEQAAYYMNYASRVSIPSAKSPKGPSGFPFPGQESHPENNSSIKMLEAAVQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNLRRRLLSALKTDNDLQRPSVLESLIRRHISIIHLAEQHISMDLTQGIREVLLSEAFSGPVSSLHLFDKPAEQHTGSATEAVCNWYIENIIKDISGAGILFAPIHKCFKSTRPVGGYFADSVTDLKELKAFVRIFGGYGVDRLDRMLKEHTAALLNCIDTSLRSNREVLEAVSGSLHSGDRTEREASIKQIVDIDTVIGFCVQAGLALAFDRLLAEASGAVLLEGAPLIHSLLTGIAKHIPEEIPEKIEIRRLKSVTNNFGVVYDHDSQWVRLILEEVGGANDGSWSFLPYLFATFMTSNIWNTTAFNVDTGGFNNNIHCLARCISAVIAGSEFVRLEREHQQRQSLSNGHAADTGDPESQSRLSAEASIKSSMQLFVKFSAGIILDSWSEANRSHLVAQLIFLDQLCEISPYLPRSSLEPHVPYAILRSIYSQYYENSPSTPLALLSGSPRHSPAASLTHSSPVVRHPRGDPTPQYDSGYFKGSSSHGQEHLYDTDSGSLRSSESRQRNVRRSGPLDYSSSRSKVKFVEGSTSGSTGPSPLPRFAVSRSGPISYK >ONI11556 pep chromosome:Prunus_persica_NCBIv2:G4:6000890:6012749:1 gene:PRUPE_4G113100 transcript:ONI11556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRQHFSSQDSSLSPTSVRSREWEGPSRWTEYLGPETTSPMSLRSSRNAGPDGQVHSSGGSHKGLNMQWVVQLTEVAEGLMAKIYRLNQILDYPDPVGHVFSEAFWKAGVFPNHPRICLLLSKKFPEHYSKLQLDRVDKVAWDALHDNAELHLQSLEPWIQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKMPRKMMLQMYNLLHSMSRNDRDCDFYHRLVQFIDSYDPPLKGLKEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPLRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDIALVVLKENLVLTLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTKAKEADLEYSVAKQVEKMISEVHEQALLSCDAIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALAFAQCEVIWYFQHVGIGSSKSKTTRIVPVDIDPSDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRYLLNTPGMVALDLDSSLKGLFQQIVQQLENIPKPQGENVSAITCDLSEFRKNWLSILMIVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHASLKKLYFYHQHLTSVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALEIQLLPEQAAYYMNYASRVSIPSAKSPKGPSGFPFPGQESHPENNSSIKMLEAAVQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNLRRRLLSALKTDNDLQRPSVLESLIRRHISIIHLAEQHISMDLTQGIREVLLSEAFSGPVSSLHLFDKPAEQHTGSATEAVCNWYIENIIKDISGAGILFAPIHKCFKSTRPVGGYFADSVTDLKELKAFVRIFGGYGVDRLDRMLKEHTAALLNCIDTSLRSNREVLEAVSGSLHSGDRTEREASIKQIVDIDTVIGFCVQAGLALAFDRLLAEASGAVLLEGAPLIHSLLTGIAKHIPEEIPEKIEIRRLKSVTNNFGVVYDHDSQWVRLILEEVGGANDGSWSFLPYLFATFMTSNIWNTTAFNVDTGGFNNNIHCLARCISAVIAGSEFVRLEREHQQRQSLSNGHAADTGDPESQSRLSAEASIKSSMQLFVKFSAGIILDSWSEANRSHLVAQLIFLDQLCEISPYLPRSSLEPHVPYAILRSIYSQYYENSPSTPLALLSGSPRHSPAASLTHSSPVVRHPRGDPTPQYDSGYFKGSSSHGQEHLYDTDSGSLRSSESRQRNVRRSGPLDYSSSRSKVKFVEGSTSGSTGPSPLPRFAVSRSGPISYK >ONI11554 pep chromosome:Prunus_persica_NCBIv2:G4:6000600:6012749:1 gene:PRUPE_4G113100 transcript:ONI11554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRQHFSSQDSSLSPTSVRSREWEGPSRWTEYLGPETTSPMSLRSSRNAGPDGQVHSSGGSHKGLNMQWVVQLTEVAEGLMAKIYRLNQILDYPDPVGHVFSEAFWKAGVFPNHPRICLLLSKKFPEHYSKLQLDRVDKVAWDALHDNAELHLQSLEPWIQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKMPRKMMLQMYNLLHSMSRNDRDCDFYHRLVQFIDSYDPPLKGLKEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPLRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDIALVVLKENLVLTLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTKAKEADLEYSVAKQVEKMISEVHEQALLSCDAIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALAFAQCEVIWYFQHVGIGSSKSKTTRIVPVDIDPSDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRYLLNTPGMVALDLDSSLKGLFQQIVQQLENIPKPQGENVSAITCDLSEFRKNWLSILMIVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHASLKKLYFYHQHLTSVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALEIQLLPEQAAYYMNYASRVSIPSAKSPKGPSGFPFPGQESHPENNSSIKMLEAAVQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNLRRRLLSALKTDNDLQRPSVLESLIRRHISIIHLAEQHISMDLTQGIREVLLSEAFSGPVSSLHLFDKPAEQHTGSATEAVCNWYIENIIKDISGAGILFAPIHKCFKSTRPVGGYFADSVTDLKELKAFVRIFGGYGVDRLDRMLKEHTAALLNCIDTSLRSNREVLEAVSGSLHSGDRTEREASIKQIVDIDTVIGFCVQAGLALAFDRLLAEASGAVLLEGAPLIHSLLTGIAKHIPEEIPEKIEIRRLKSVTNNFGVVYDHDSQWVRLILEEVGGANDGSWSFLPYLFATFMTSNIWNTTAFNVDTGGFNNNIHCLARCISAVIAGSEFVRLEREHQQRQSLSNGHAADTGDPESQSRLSAEASIKSSMQLFVKFSAGIILDSWSEANRSHLVAQLIFLDQLCEISPYLPRSSLEPHVPYAILRSIYSQYYENSPSTPLALLSGSPRHSPAASLTHSSPVVRHPRGDPTPQYDSGYFKGSSSHGQEHLYDTDSGSLRSSESRQRNVRRSGPLDYSSSRSKVKFVEGSTSGSTGPSPLPRFAVSRSGPISYK >ONI11335 pep chromosome:Prunus_persica_NCBIv2:G4:5215011:5217792:-1 gene:PRUPE_4G102100 transcript:ONI11335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPHQHFLAVRLKTTSSQYVASRARDPTFEKLMDKYKNLLKVIAVQDLILANPINPAVSLDFLSRLSQKLHLNRGAVAFLRKYPHIFHIYHDPMKSQTFCRLTDAAIQISKEEAEVINASLPLVVDRLVRLLSMSRSRMLPLRAILKVGRELGLPDDFEDSVISRNSHLFQLCDAHEPNTHNLKLFDVIPEKFTAAVENWRVEEFCKEGCNVDRAEIQFSFKHGYPPGMRLRKNFRAKVKEWQRLPYVGPYDELSEKKRSSKAGMMAVEKRAVATVHEFLNLTVEKTVEVEKISHFRKWFGFDLNIRDLFLDHPGMFYLSTKGKRHTVFLREAYERGCLIHPNPVYHARRKLLDLVVLGRHGLLTDELRSIASNKKAESLGQYDGESCISD >ONI13366 pep chromosome:Prunus_persica_NCBIv2:G4:13677276:13680167:-1 gene:PRUPE_4G217900 transcript:ONI13366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAARDHSIHNCRSIEQPLLSPETAVDHDHHGKRIVDELDFFADNKGRLMEMRDQTVEVKEEGAHDHHGVGQEKQLPDVNTGLNLLTTYTSSDKSSMDDGTSSFHNMEDKHRTNELAVLQAELGRMSVENQRLRVMISQVNNNYQALQVQIVTLMQRQQNQKADHQTPEQHKMINNGSVVVEEKQMMNGFNHIVPRQFMDMGRAEKDELSQCSLEGCRSQDCSGSPPRNDIVESMECGKSTSHVLHRDLSGRISTTNGGEDSPDQEFQGWVPKKVSKMMSPRDVDQASSETMSMIKKARVSVRARSEASMISDGCQWRKYGQKMAKGNPCPRAYYRCTMGTGCPVRKQVQRCAEDRTILVTTYEGHHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGLISSNSFLARSALPNCPPSLATLSASAPFPTVTLDLTRTPTSSEMPLGQPNQLPPSFPQNMMSVPQILGQALSSQSKFSVLDSFQGLDSATHSLADKVNAATAAITADPNFTAALVAAITSIVGNVHSNNNTNNNVTTRNNSDSNT >ONI13657 pep chromosome:Prunus_persica_NCBIv2:G4:15227370:15227666:1 gene:PRUPE_4G235400 transcript:ONI13657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLTKFSNYRAIYLFNERMFCIGVQSTYNYFIRPQNFTPILSSQYQNYLKVLNHTIFAAEYSLKYTANFNLITQFYCFGPHRLCFVIMKTATKLSSS >ONI10555 pep chromosome:Prunus_persica_NCBIv2:G4:2569108:2570895:1 gene:PRUPE_4G053200 transcript:ONI10555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSEHIAYLFVIALLSTSVVVLGVVLGLLLLCKKKPVQSQETVTPIKPSAQVYPLTNIDAATDGFNHHRIVGEGRLGTVYAAIFEKGEIAAVKRIHSRHGLHISISSAQHPNVVPIIGYSEGPGERIIVMEFVGMVCLDFYLHQNPEGASLLDWNHRLKIAAGAAKGLEYLHEGVAPNIVHGCVKASNILIDVNFCARICDYGLSFLALQEKRGLVGYVDDEYWRGSGACKESDVYGFGIVLLELLSGRLCEEGRLVNWASPLIKEMRISELLDPRLVLPDDIGALVRLAKVASACVGNSRKSRPSICQVATILSNLEMD >ONI09901 pep chromosome:Prunus_persica_NCBIv2:G4:809253:809935:1 gene:PRUPE_4G017200 transcript:ONI09901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLKFFFVLAFLVALSAVHTLSAASYEFEESFFNDVVEEDNNNVTNETFDDLPVMAAQGQEKTSLRGRSRFLASHWAMAATCDKNPKVCKATHAHCCHNKCVDLKTDKLNCGKCGVKSHHAQICCKGHLVNPMSDKTHCGGCDNHCKKGGSCAFGMCSYA >ONI10333 pep chromosome:Prunus_persica_NCBIv2:G4:1941525:1946143:1 gene:PRUPE_4G041200 transcript:ONI10333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGARLDYALFQLTPTRTRCDLVIFYGGKSEKLASGLFQPFVSHLKSVKDEISRGGYSITLRPPTPHAPWFTKSTFQRFVRFVSTPAVLERFVSIEREILQIESSVQLEEGVVSADRSTRKPTDSLKKRGELEETEDVAENENSKVRLQRILETRIALLRKHQAMAYARGLVAGFEIHNIDDLISFSDAFGASRLREACINFREVYKKKHTDGLFMEELAAMVASSPDLQFTGASGITLTNETDVTNQNVMLNLPNAGVPTGEKVQAPMPFPNQIPQYFYSSLGHTNQLPPYHGYPFPTMQSFPPHYPRNLQWPPNMEEASFRMEPNYHRVQKSSSRRRKNSSNKKRSEHSGEGKQIESSDSTSASDSDSDTLQERKHSDMENSSKNKFRKKSSRKVVIQNINYITPKRRDGNKGGVSDESFSDEEFDDENSLKQKSDKAEVLEISHESNVDKSHCILNGSSSEDLSVNTDEHFLARSEGGNSLAGRPTLGLDLERIPKKLTAGAAVDPLVVMERNERNDYTVKLEDFQNEESFGSVMERKDCEDRDILFLQRSEKSGADIRCAFSASAAESTITKSSRSEDWFVVNHSENTENSRIPILQTISDGDCIFALERKDMGVDDSFFIQTRSASNDMYESPWKTEINMDNNLSIAAKKENGTIDNAQDKHGAPKTSEPDDLSMILERDSNLESTAISWSMDYGAEISFPEANRRSSGVETTDVVDKKLASNGMKNNKSPGTKDGGKEARSNIVRKPLNNRIDFKNNKASPARRPMIQKSKLEKEEEIRKKMEELRIERQKRIAEKTAAVAPKRVPLESKTAKGSIKGDKSKPQSTKRTLHL >ONI09709 pep chromosome:Prunus_persica_NCBIv2:G4:279237:283170:1 gene:PRUPE_4G004500 transcript:ONI09709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVNGREDGGGSPSAAEEESGGGSVQEGHAHGRGVSGGGDGSSELMGQSPPHSPRATHSPLMFTPQVPVVPLQRPDEIHIPNNSWMQTSGFEDMCCEQGIPTMITWSYGGKDVAVEGSWDNWKTRMALQRSGKDFTIMKVLPSGVYQYRFIVDGQWRFSPDLPLAQDDAGNSYNLLDLQDYVPEDIGSISGFEPPQSPDSSYNNLQLGSEDFAKEPPLVPPHLQMTLLNAPSSYMEMPPPLSRPQHVVLNHLYMQRGKSGPSVVALGTTERFIAKYVTVVLYKSLQR >ONI14386 pep chromosome:Prunus_persica_NCBIv2:G4:23398099:23399993:1 gene:PRUPE_4G278400 transcript:ONI14386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFESLRCLKVRIKWIVAMGDETGGGSNEPATQPGSLILDASTAYFSEDKLAEGNGKNLIPEPIPSQTPEEKWGVKHPLQI >ONI11248 pep chromosome:Prunus_persica_NCBIv2:G4:4807183:4807970:-1 gene:PRUPE_4G095600 transcript:ONI11248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQMLLLTTLLAMTCALVIAFEPSPLQDFCVADTTSSATRVNGLPCLDSKLVQAEHFFFSGLHIPGNTSNPVGGKVTPVNVVQIPGLNTLGISLARIDYAPWGVIPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLISKVLYKGDVFVFPVGLVHFQQNVGNGNAISLSSLSSQNPGVNTIANAVFGANPSIPGDVLAKSFQVDKSVISSLQAKF >ONI09826 pep chromosome:Prunus_persica_NCBIv2:G4:562967:568378:1 gene:PRUPE_4G011700 transcript:ONI09826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDNGTGSPSAPRSPTARLRHRRRSAEALPDVGKTNGGNLLVNDRNKYRSMLIRAYSSVWMIGGFALIIYLGHLCITAMVVVIQIFMAKELFNLLRKAHEDRHLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVTSDKFLYQLVSSLIKYHMVVCYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILITVFTQSSFFVANIFEGIFWFLLPASLIVINDIAAYICGFFFGKTPLIKISPKKTWEGFIGASITTIISAFLLANIMGRFQWLTCPRKDLSTGWLDCDPGPLFKPEYYTFPEWLQPWFPWKGISILPVQWHALCLGLFSSIIAPFGGFFASGFKRAFKVKDFGDSIPGHGGITDRMDCQMVMAIFAYIYHQSFIVPQSLSVEMIIDQILMNLTVEQQQVLFERLKQVLQDRLVG >ONI09825 pep chromosome:Prunus_persica_NCBIv2:G4:563787:568378:1 gene:PRUPE_4G011700 transcript:ONI09825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDNGTGSPSAPRSPTARLRHRRRSAEALPDVGKTNGGNLLVNDRNKYRSMLIRAYSSVWMIGGFALIIYLGHLCITAMVVVIQIFMAKELFNLLRKAHEDRHLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVTSDKFLYQLVSSLIKYHMVVCYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILITVFTQSSFFVANIFEGIFWFLLPASLIVINDIAAYICGFFFGKTPLIKISPKKTWEGFIGASITTIISAFLLANIMGRFQWLTCPRKDLSTGWLDCDPGPLFKPEYYTFPEWLQPWFPWKGISILPVQWHALCLGLFSSIIAPFGGFFASGFKRAFKVKDFGDSIPGHGGITDRMDCQMVMAIFAYIYHQSFIVPQSLSVEMIIDQILMNLTVEQQQVLFERLKQVLQDRLVG >ONI14224 pep chromosome:Prunus_persica_NCBIv2:G4:21517057:21519910:1 gene:PRUPE_4G269600 transcript:ONI14224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAVVVVPHQLQREEGKQKKVAGEGKNRQVLQDISNLVVTAPAAQGKINAKLPAPSEKNKKPVIGIINGGPTAGKSGVAKKAEAAVNEKSAVSGRKSREGASRKNARAFTSILSARSKAACGLTSKPKDPKVDIDAADADNELAVVEYLDEIYQFYKLTEDENRVHEYMALQPEINAKMRSILVDWLIEVHHKFELMPETLYLTINLVDRFLSVKVVPRRELQLVGISSMLLASKYEEIWAPEVNDFVCISDNAYGKEKVLVMEKAILGKLGWCLTVPTTYVFLVRYIKASSAPSDDELMENMVYFLAELSLMHYSATILYCPSMMAASAVYVARCTLDRTPFWTETLKHHTGYSEDELMDCAKLFHTFHSAAADSKLKAVYNKFCSPQHGAVALLSPAGGNLSAK >ONI13870 pep chromosome:Prunus_persica_NCBIv2:G4:16777969:16782374:-1 gene:PRUPE_4G250800 transcript:ONI13870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQQDNAIQPFDEAWFDVANPCGKEFELPNASSPIHPRWSDKSNNLVFLQDQQLHTPDILENSYDLETIRPCAGNQVDSCPIMEMGTSIANFVPVVNPQEGTSIGNHKVHFPRSTGVLKSEFEGAFPEVNQHVYAPTSASYSWKGVDINFPLRNQESHAVNSTNSPSISSTKSLCLKNNPTHAPRFPNTSGFGPSVYIPPSKQLTHFCTSSNAFRLNSDDYIIKDHVCSGFHFTILGLISSSGSSSSLGSSGCHPVRNQEIRSSSFTDSPSLQNGLSVRSNQVAYKFGGSANGDINHGLSHLQAVSHGTGITSNVPVPVENQVETSTQAPRSNCHSSEKNQTFGPSSLKNGRCDVKYTCKICKREFPNYNSLGGHMSFHAKMKKAKRKLDG >ONI11877 pep chromosome:Prunus_persica_NCBIv2:G4:7286617:7290998:-1 gene:PRUPE_4G131700 transcript:ONI11877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLLRSTASAPLIEASRAELAASSSASFKVSSVGFGRNLNCPKVQSSIFGTSIPSGSSSLQICSARSVQPIKATATELPPTIQRSGSGGKTKVGINGFGRIGRLVLRVATSRDDIEVVAVNDPLVDAKYMAYMFKYDSTHGVFDGSISVVDDSTLEINGKTIKVVSKRDPAEIPWGDYGVEYVVESSGIFTTVEKASLHKKGGAKKVVISAPSADAPMFVVGVNERTYKPNMDIVSNASCTTNCLAPLAKVIHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKTSSYEDVKAAIRYAADGPLRGILGYTEEDVVSNDFVGDSRSSIFDAKAGLALSSSFVKLVSWYDNEWGYSNRVLDLIEHMALVAAS >ONI11878 pep chromosome:Prunus_persica_NCBIv2:G4:7286500:7291240:-1 gene:PRUPE_4G131700 transcript:ONI11878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLLRSTASAPLIEASRAELAASSSASFKVSSVGFGRNLNCPKVQSSIFGTSIPSGSSSLQICSARSVQPIKATATELPPTIQRSGSGGKTKVGINGFGRIGRLVLRVATSRDDIEVVAVNDPLVDAKYMAYMFKYDSTHGVFDGSISVVDDSTLEINGKTIKVVSKRDPAEIPWGDYGVEYVVESSGIFTTVEKASLHKKGGAKKVVISAPSADAPMFVVGVNERTYKPNMDIVSNASCTTNCLAPLAKVIHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKTSSYEDVKAAIRYAADGPLRGILGYTEEDVVSNDFVGDSRSSIFDAKAGLALSSSFVKLVSWYDNEWGYSNRVLDLIEHMALVAAS >ONI10713 pep chromosome:Prunus_persica_NCBIv2:G4:3008543:3010315:-1 gene:PRUPE_4G062700 transcript:ONI10713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQPRTSQPHHRSITVAPLPLSIWSSHSPSCAYIYIYIYISPTPTPTPTPTLQERHFGIFTNTSCVLVSSLSLSLSLSLSLSNLSRLMRTQVEERFSEFFEKWVCQLEQLQQQLLKLSEETLQNEVELQALVSKVTYLHKEYYTVKWAAAREDVLAFFCPVWSSPLENAYNWVTGWKPSMLFQLIGSLRKTRLVNMSEEQLKKIEVLRLKMRYEEEKVEREMERQQVAMADRRMVELARLASRVRNGGEVVEVEVEGLVDMALKVMLSGLERVMKAADCVRLKTLKGVLDVLSPLQCVEFLAATCMVQIRLRQWGRKKCDQNALLNH >ONI12379 pep chromosome:Prunus_persica_NCBIv2:G4:9181304:9184609:1 gene:PRUPE_4G160300 transcript:ONI12379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGGQFIPVGGLAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >ONI10161 pep chromosome:Prunus_persica_NCBIv2:G4:1461607:1465524:-1 gene:PRUPE_4G031400 transcript:ONI10161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTKWCTTMITHILLIFLVLLPSCIFSLDTITPNQPLRDGDVLVSSKKIFALGFFSPGNSQKRYVGVWYNKVPEQTIVWVANRDNPVTDTSGLLAINSHGGLVIYCKNQSSPLWSANVTVSSPNNSTAKLLDTGNLVLLENGSLSPLWQGFDHPSNTLLPFMKLGLDRRSKLNRFLTSWKSKDDPGTGTCSYGIDPSGFPQLFLYKGQAPRWRAGAWIGERWSGVPEMTNNFIFNVTFVNNQDELSVVFTITDESIFSRMVLDESGMVERSTWHNQVHQWVKFWSAPQEQCDEYGMCGANSNCDPSNADKFECTCLPGFKPALLHEWYLRDGSGGCVSTKGASLCRNGEGFVKVPRVKVPNSSAARVNLSMGQEACEAECLRNCSCMAYSNADERKGGIGCVTWHGDLVDTRTYSNLGQDLYVRVNATVLAQYAMKSNGSLGHKEKLAVSLASGLVFFLLFCIVFWLAKRKRKGKQRQDKFSFSLTTASTYLEDSPVRTDLGESRINSDLPIFELRTIAAATNNFSSNNKLGEGGFGSVYKGVLYNGKEIAVKRLAKNSGQGIEEFKNEVVLIAKLQHRNLVRILGCCVQDEEKMLIYEYLPNKSLDSFIFNEAKRAFLDWPKRLEIIYGIARGILYLHHDSRLRIIHRDLKASNVLLDSAMNPKISDFGMARIFGAEQIEANTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVCGRKNTGYYHDNPDSNLVGHVWDLWKEGRASEIIDSTLGESYPVDEVVRCIQIALLCVQEHATNRPTMSGVVSMLGNNAAAPSPRQPGFLVKRSYHTSEDPSASTEGAYSVNDVTCTEIEAR >ONI12503 pep chromosome:Prunus_persica_NCBIv2:G4:9847653:9849513:1 gene:PRUPE_4G168800 transcript:ONI12503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMELHQRSELLDFSSNLFNGNLAPGLWKCSELQDYFQKISIMLPNFKKLHYPLNSLLGAISDKIVNLTNLAILDLAFNHFGGKLTPNLGELSKLKFMTLDFNNLEGPLPQSLMNCTNLVEWRLGSNDLEGDISMLDFSRLTQLTKLDLRMNNFTGMIPVSLYACRSLKAIRLSRNHLEGQIEAEILSLKSLSFFSLAVISHIDQRRGGEFPQQLCRLPRLVYEPIASQGDQYEFELPVLGNGSFCSTLPQKLYFYLATIDLSKNNIVGDTRTDIGQLQLLYMLVLDSNNFSNIIPDQISNLKSLKVLKLSMNHLSGIMPSSLASLNFLKEFKASYNNLKGPIPTGTQLQSFNASAFEGNPDFCGAPLPNKCGPSRCIDADNKNNKDVNNY >ONI13292 pep chromosome:Prunus_persica_NCBIv2:G4:13353107:13358433:1 gene:PRUPE_4G213800 transcript:ONI13292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCLSKSKDSEPQYNGYRSGGGAGAHYQKPHEPVAHQPKASAQQARHQLPEKRGSAAAQQEPQPAWKPSVPVKSPKPVYRPDTILGKPFEDVKQHYTIGKELGRGQFGVTYLCTENSTGNKYACKSISKRKLVTKNDKEDIKREIQIMQHLSGQPNIVEFKGCYEDKQSVHVCMELCAGGELFDRIIAKGHYSERAASSICRAIVNVVNICHFMGVMHRDLKPENFLLSSKDENALLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGEIDFASSPWPSISSSAKDLVRKMLTQDPKKRITSAQVLEHPWIKEGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIHGLKAMFTNMDTDNSGTITYEELKSGLARLGSKLTEAEVKQLMEAADVDGSGAIDYIEFITATMHRHRLERDEHLFKAFQYFDKDNSGFITRDELESAMKEYGMGDDQTIREIISEVDTDNDGRINYEEFSTMMRSGTQPQAKLF >ONI13293 pep chromosome:Prunus_persica_NCBIv2:G4:13353658:13358052:1 gene:PRUPE_4G213800 transcript:ONI13293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCLSKSKDSEPQYNGYRSGGGAGAHYQKPHEPVAHQPKASAQQARHQLPEKRGSAAAQQEPQPAWKPSVPVKSPKPVYRPDTILGKPFEDVKQHYTIGKELGRGQFGVTYLCTENSTGNKYACKSISKRKLVTKNDKEDIKREIQIMQHLSGQPNIVEFKGCYEDKQSVHVCMELCAGGELFDRIIAKGHYSERAASSICRAIVNVVNICHFMGVMHRDLKPENFLLSSKDENALLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGEIDFASSPWPSISSSAKDLVRKMLTQDPKKRITSAQVLEHPWIKEGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIHGLKAMFTNMDTDNSGTITYEELKSGLARLGSKLTEAEVKQLMEAADVDGSGAIDYIEFITATMHRHRLERDEHLFKAFQYFDKDNSG >ONI13272 pep chromosome:Prunus_persica_NCBIv2:G4:13282614:13284196:-1 gene:PRUPE_4G212900 transcript:ONI13272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAGFYQVSSASKPLYALISLLLAIAAVLTCILELIHNGIKDKDASPNRPVFGTLPDIFGLGLAVIQCVCSAVQYYFLHQHASNPMKLPPLPLFFFTYLVVFKLKGN >ONI13830 pep chromosome:Prunus_persica_NCBIv2:G4:16596688:16598550:1 gene:PRUPE_4G248500 transcript:ONI13830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQELEKYLKLSNVVKQTDYQRSDFHVGTSVATTSTTPTSTTNLVKSAKGKGVLVDTHGGGTQRCYRCQGFGHFAVHCQTKGATRNLCAHINEQADNQREFKEDIYGPQQPDADCGIDFEVPHPTLAVVRCALAQPRKEAEDCKRTIHTSNIEISEVPFPRRL >ONI14171 pep chromosome:Prunus_persica_NCBIv2:G4:19922829:19923181:1 gene:PRUPE_4G266600 transcript:ONI14171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTQSFLEHILLRSRASTIIPTMIGHTIAIHNGNEHLPIYITDHMVGHKLGEFAHTLNFRGHAKNDNRSRR >ONI12858 pep chromosome:Prunus_persica_NCBIv2:G4:11161413:11161773:1 gene:PRUPE_4G187600 transcript:ONI12858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRICLSMGTAIKVVQQMHIWLSVVRVMPVMGQIWRVFRNNFSVILFPKAVKKASIPTPNGNIKSVLNFCICLKSCISHLFSDKLYSYGYNVRNA >ONI13084 pep chromosome:Prunus_persica_NCBIv2:G4:12519366:12521208:1 gene:PRUPE_4G201400 transcript:ONI13084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHDQRQLKKSRVVRVNSEELWDFFITQAANQGCPGVALKMEIKAMPTFLMMKGGGSTDKLVGANPYAIKKMLK >ONI12466 pep chromosome:Prunus_persica_NCBIv2:G4:9673864:9675152:-1 gene:PRUPE_4G166600 transcript:ONI12466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIANNNPAFGYGPINFKNNNIPSINNFCALGSGFGNVDQDFELGRKRKLNQLPELIPKRRKIRNGMKLVCGSSYFPKCNPEKPLGEDAHFISHDAQTVGVADGVSSWARKGIDAGEYARGLMNHAKQAATNMSAVGAAAVDAGKVLREAYVNNFGIQGSSTACILSLDKERGTLHAVNVGDSGFMVFRDAKCMLKSPTQQRRFNCPFQLGNHVGSDRPQVALEFVVEELAPGDIIVLGTDGLLDNMFASEIEEVLVAFNKVSGGRDIDCAEVASTIATLALYNSLDKDNISPFQMEAQKAGLEHAGGKIDDITVVVVHVVESTTSID >ONI13590 pep chromosome:Prunus_persica_NCBIv2:G4:14889472:14891358:-1 gene:PRUPE_4G232200 transcript:ONI13590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHKTDDNLRYVGGLTRVLAADASISFADADNNDFSIAKGAAASSSSLQPPLKKLFFEERNTPNSAMSF >ONI13545 pep chromosome:Prunus_persica_NCBIv2:G4:14656681:14658268:1 gene:PRUPE_4G229200 transcript:ONI13545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGKVLRKSCGEFWGRKWNIQDVFTVAVFLALYSLCAFAPFHFNWPAFWVAITLYVATGLGIRLCYHRSLLHTEFQASKMARGSPIERVSTHRYHHQFTDTGKDPHSPIKVLWYSHMGWILNSRHCFEQPFYRFLGRTKFLHPIALGGLLYVVGGFPFLVWGMVGGIVCAWRRLANNHHAFEYSARHGLEWWQIDFTWYIIRFLQAIGAATNVKVPTEIQKQRKSFK >ONI12806 pep chromosome:Prunus_persica_NCBIv2:G4:10929344:10930120:1 gene:PRUPE_4G184400 transcript:ONI12806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPKCACSIGLLVILCLISLSNAHKVIKFEAPAGVNRKMMGQDKPVGQGFGSGVGIGTGTGTGVGIGKGIGSGGNGVGIEEGYGIGTGSGYGEGTGMGIGRGSGNGGYGEGRGIGIGTGDGGYGEGRGVGIGTGSGGYGPGNGPWASGPGYGNSGGSGFGSGVGIGTGSGGYGNNCGGGCNPGCECPALHN >ONI10672 pep chromosome:Prunus_persica_NCBIv2:G4:2923603:2924482:-1 gene:PRUPE_4G061200 transcript:ONI10672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNDLPSTNLEDSMSCFDFSKNDSCLISATGGMISIFDMKTFKTMKKVMPPPPAATCLGFHPRDDSIVAIGMDNSTIVIYNLHSDEVTRKLEGHAKRVTSLAFSNTLNIYVWGATGWEKHRSKLLQIPDGKELKSLSYTYIQIHQNELHLIAINKTHLAVYEVKELASV >ONI11800 pep chromosome:Prunus_persica_NCBIv2:G4:6928146:6932824:1 gene:PRUPE_4G126200 transcript:ONI11800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVMSIFRKKQNSRGIPRTSSGGTSSNPSITARTASYGSSMFRKPSMSETSSSKPDQGTEKKQKYAYIPDNFSTLEQVTDALRKEGLESSNLIVGIDFTKSNEWTGKVSFNNRSLHAIGNEPNPYEKAISVVGTTLAPFDEDNLIPCFGFGDATTHDQEVFSFHTDHSPCHGFEEVLACYKRIVPSLRLSGPTSYGPVIEAAMDIVEKSGGQYHVLVIIADGQVTRSVDTSDNELSPQEEKTIRSIADASFYPLSIVLVGVGDGPWEDMRKFDDKLPAREFDNFQFVNFTEIMSKRSTPSEKEAAFALAALMEIPIQYKAAVELGILGRTTGKGKKIVPRPPPVPYTHRAPPTREPSGLSAAVGDDRSEMACPVCLTNNKDLAFGCGHMVSCIRRTIAFVPCSFRCH >ONI11799 pep chromosome:Prunus_persica_NCBIv2:G4:6927858:6933209:1 gene:PRUPE_4G126200 transcript:ONI11799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVMSIFRKKQNSRGIPRTSSGGTSSNPSITARTASYGSSMFRKPSMSETSSSKPDQGTEKKQKYAYIPDNFSTLEQVTDALRKEGLESSNLIVGIDFTKSNEWTGKVSFNNRSLHAIGNEPNPYEKAISVVGTTLAPFDEDNLIPCFGFGDATTHDQEVFSFHTDHSPCHGFEEVLACYKRIVPSLRLSGPTSYGPVIEAAMDIVEKSGGQYHVLVIIADGQVTRSVDTSDNELSPQEEKTIRSIADASFYPLSIVLVGVGDGPWEDMRKFDDKLPAREFDNFQFVNFTEIMSKRSTPSEKEAAFALAALMEIPIQYKAAVELGILGRTTGKGKKIVPRPPPVPYTHRAPPTREPSGLSAAVGDDRSEMACPVCLTNNKDLAFGCGHMSCRDCGPRLSNCPICRQPIRSRLRVFTG >ONI12272 pep chromosome:Prunus_persica_NCBIv2:G4:8892260:8893259:-1 gene:PRUPE_4G155200 transcript:ONI12272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMKLIGSLSCCIWLVMAIATVAQTSDDSNAPVLDTSGQALQAGVDYYIKPAITDSGGRFTLIDRNDSCPLYVGQENVSGPKGLPVTFAPFAEGETVVRETRDQKITFSASTICVQSTAWKLGEIDQVTQRRLIVAGVDQNQGIAGPARNYFRINKQAALDGVYNLQWCPTELCPTCRFLCGSVGALVENGKRLLALDGSVLPVVFERA >ONI13618 pep chromosome:Prunus_persica_NCBIv2:G4:15072620:15075301:-1 gene:PRUPE_4G233600 transcript:ONI13618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHVIGLNLGYGGLQGNIHSNSSLFSLGHLKRLDLSFNDFRGSPISSKFGGFVSMTHLDLSYSNFSGPIPSEISHLSTLVSLNLSQDPLSNMRLDTLNFNRIVQNLTNLRELVLNEVDMSSVIPDSFKNLSSSLETLELPLCNLQGKFPESIFHRPNLRLLDLGYNYNLTGYFPESNWSSPLEMLDLSHTRISVDWHHLTRNFKSLRNLSLSNCSFVGSYLAFLGNLTQIMRLDLSSNNFGVQIPWSFFLNLESLVSLNLGGNNYVGQFPEVYSNSTSNSSLYDFSKQQLVGHIPRQLITLFLDGNQLNGTIPSWLGSLPSLEYLNLRSNQLSGNIIEFQSRSLSWLDLRNNKLDGLIPRSIYELENLQYLGLSSNNLSGTVEFEKFSKLQSLRWLNLSFNHLSLSFNHLRNNTWPQLELLELSSCNISEFPYFLRAAPNLHTLSLSHNRIQANIPKWLLDLGKDSLGYLDLSHNSLNGTVGPLRWKNLYYLDLRNNSLQGELPIPSPSTSYIFISNNQFTGEIPPTICSLSSLQILDLSNNKLSGKIHQCIENFSQSLSVLNLRNNQFHGVIPDTFSEGNVLRNLDLNGNQLEGSLPQSLLTCRELEVLDLGNNKIEDTFPNWLESLPKLQVLILRSNKFSGEICFPKTKFPFQKLHIIDLSNNRFSGLLPTKYFENLMAMINSQEHGLKYMGGRYYQDTVAVAIKGNVIEMEKILTVFTTIDFSNNTFRGEIPNVIGKLKSLKGLNFSHNELTGTIPPSFGGLSNLEWLDLSSNRLVGDIPMQLTSLTYLEKFNVSENRLVGSIPQGKQFDTFENDSYSGNVGLCGIPLAKTCGPHQSPPQDGDLEHENGFNWKVLLMGYASGVVIGISVGYLVLSNRTPDWLVKVAGKK >ONI09892 pep chromosome:Prunus_persica_NCBIv2:G4:784778:790582:1 gene:PRUPE_4G016400 transcript:ONI09892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSLGFSYDWDREISTTEPEYYRWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMKQWMLKITAYADHLLEDLDDLDWPESIKEMQRNWIGRSEGAEMDFPILSSDGQERDTKITIYTTRPDTIFGATYLVVAPEHPLLSSLVSTAQRKSVEEYTDLASRKSDLERTELQKEKTGVFSGCYAKNPVSGEAIPIWVADYVLGSYGTGAIMAVPAHDTRDLEFASKFDIPIRWVVMPDDKNLSGSGKAYSGEGTVVNSSNSTVGLDINGLSSKEAASKVIEWADKTANGKKKVNYKLRDWLFARQRYWGEPIPVFFLDDNGETVPLLETELPLTLPELDDFSPTGTGEPPLSKSVSWVKTKDPLTGKPARRETSTMPQWAGSCWYYLRFMDPKNSKEVVAKTKEMYWSPVDVYVGGAEHAVLHLLYSRFWHKVLYDIGIVSTKEPFKCVINQGIILGEVQYIAYKDSDGNFISADSGTSVEYHQELIPEEKVMKSGDSFVMKDNPNVRLIARSHKMSKSRGNVVNPDDVVSEYGADSLRLYEMFMGPLRDSKTWNTSGIEGVHRFLGRTWRLIVGSPLSDGTFKDGTLVTDEDPTLEQLRSLHKCIAKVTEEIEATRFNTGISAMMEFLNVAYKWKKHPRLIIEAFVLLLSPYAPHMAEELWFRLGHSKSLAYEPFPKADPAFLKESTIVLPVQINGKTRGTIQVEETCSEENAFQLASKDEKLSKYLNGKVIKKRIFVPGKILNVILDLQNVKATVR >ONI09891 pep chromosome:Prunus_persica_NCBIv2:G4:783562:790582:1 gene:PRUPE_4G016400 transcript:ONI09891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGHAQLHLQLQALPPPPLRFGSVLFPSHPIQSKRIRNSSFSLRLHHNGSKSSVFGRKSGVIRSSVAEKSNGAAEPKPKQQVTVKRPYPFHEIELKWQRYWEDNQTFRTPDEIDTSKPKYYVLDMFPYPSGAGLHVGHPLGYTATDILARLKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKITTLKNIDRFRSQLKSLGFSYDWDREISTTEPEYYRWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMKQWMLKITAYADHLLEDLDDLDWPESIKEMQRNWIGRSEGAEMDFPILSSDGQERDTKITIYTTRPDTIFGATYLVVAPEHPLLSSLVSTAQRKSVEEYTDLASRKSDLERTELQKEKTGVFSGCYAKNPVSGEAIPIWVADYVLGSYGTGAIMAVPAHDTRDLEFASKFDIPIRWVVMPDDKNLSGSGKAYSGEGTVVNSSNSTVGLDINGLSSKEAASKVIEWADKTANGKKKVNYKLRDWLFARQRYWGEPIPVFFLDDNGETVPLLETELPLTLPELDDFSPTGTGEPPLSKSVSWVKTKDPLTGKPARRETSTMPQWAGSCWYYLRFMDPKNSKEVVAKTKEMYWSPVDVYVGGAEHAVLHLLYSRFWHKVLYDIGIVSTKEPFKCVINQGIILGEVQYIAYKDSDGNFISADSGTSVEYHQELIPEEKVMKSGDSFVMKDNPNVRLIARSHKMSKSRGNVVNPDDVVSEYGADSLRLYEMFMGPLRDSKTWNTSGIEGVHRFLGRTWRLIVGSPLSDGTFKDGTLVTDEDPTLEQLRSLHKCIAKVTEEIEATRFNTGISAMMEFLNVAYKWKKHPRLIIEAFVLLLSPYAPHMAEELWFRLGHSKSLAYEPFPKADPAFLKESTIVLPVQINGKTRGTIQVEETCSEENAFQLASKDEKLSKYLNGKVIKKRIFVPGKILNVILDLQNVKATVR >ONI13655 pep chromosome:Prunus_persica_NCBIv2:G4:15183012:15189462:-1 gene:PRUPE_4G235100 transcript:ONI13655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFDSSKHGALSAFFSHYSSSFITYPGTDFLLKPVFIRGFSGSLHLVLLFVLLVSWVWKKFKVGDGEGPKQRFGSVQSWYYKLTLLCCLGVSGLSLVFCLLNYFYWHRNDWSEEKLVTLFDLAIRTLAWGALCIYLHTQFSNSSESKFPNLLRLWWGSYFSISCYSLVIDILLYKEHVSLPVQSLVFDVVCVISGLFFIYVGFFGKKEGRNTVLEEPLLNGNGNGNAESNNSKGRTPVTPYSNAGIFSLLTLSWMGPLIALGNKKTLDLEDVPELYKGDSVVGSFPNFRNKLEAECGADGRVTTFHLVKALIFSAWKEVGWTGLYAIFYTLASYVGPYLIDTFVQYLYGRRKFKNEGYALVSAFMIAKLVECLSQRHWFFKAQQVGVRIRAVLVTAIYNKGLTLSCQSKQGHTSGEIINFMTVDAERVGDFSWFMHDPWMVILQVGLALVILYINLGLAAIATLVATIIVMLANVPLGSLQEKFQEKLMESKDKRMKATSEVLRNMRILKLQAWEMKFLSKINELRKTEAGWLRKFVYTSAMTSFVFWGAPTFVSVVTFVACMLLGIPLESGKILSALATFRILQEPIYNLPDTISMIAQTKVSLDRIASFLSLDDLLPDVIENLPRGSSDTAIEIVDGNFSWDLSSPSPTLKDLNFKVSQGMRVAVCGTVGSGKSSLLSCILGEVPKISGTLKMCGTKAYVSQSPWIQSGKIEENILFGQEMDRERYERVLDACSLKKDLEILSFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGLLGSKTVIYVTHQVEFLPAADLILVMKDGRITQAGKFNDILNSGTDFMELVGAHAEALSVLNSAEMEPVEKISVSKEDGEFASTSGVVQNVEDTDVQNSKTDDLPKGQLVQEEEREKGRVGLSVYWKYITTAYGGALVPFILLGQVLFQVLQIGSNYWMAWATPASEDVKPAVETSTLLTVYVALAVGSSFCVLFRSMFLATAGYKTASLLFSKMHLCIFRAPMSFFDATPSGRILNRASTDQEVVDLNMPGQIGALANSMIQLLGIIAVMSQVAWQVFIIFIPVIAICIWLQQYYISSARELARLVGVCKAPVIQHFAETISGSTTIRSFDQESRFRDTNMKLMDGYGRPNFHTAAAMEWLCFRLDMLSSITFGFCLVFLISIPAGVIDPGVAGLAVTYGLNLNMLQAWVIWNLCNVENRIISVERLLQYTSIPSEPPLVIESNQPDLSWPLRGKVDIHDLQVRYAPHMPLVLRGITCSFPGGMKTGIVGRTGSGKSTLIQTLFRIVDPASGQILIDGIDISSIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGDEVRRKEGKLDATVSENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFTDCTVITIAHRITSVLDSDMVLLLSHGLIDEYDSPATLLENKSSSFAQLVAEYTMRSNSSFE >ONI10801 pep chromosome:Prunus_persica_NCBIv2:G4:3342924:3347436:1 gene:PRUPE_4G069100 transcript:ONI10801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRLTNSRVLRNCNIFGEIPAYIWSMKNLTMLDVSFNKLVGELSSTIGAERLKFVFLTGNLLSGNVPQSILRDGNSVDLSYNNFTLKGPLDCQDNLNLNLNLYRSSSKENNSMGILPCLKNFKCSRYSKCMHVNCGGGDITFKDENNTKVLYEGDGAVEGGTAKYYRNDRSMWGFSSTGDFMDDYDLQNTRYSISLASSNLSELYTTARISPISLTYFFYCLENGSYTITLHFAEIKFTNDKGYSSLGRRIFDIYVQEILVWKDFNIEDEAGMAQKKLVKQVPNVNVTSNVLEIRFYWAGKGTTRTPERGDYGPLISAISVVSYLKPCTNGGNARTIYIVAGVAVGALCLTLFILAILWWKGLLRGKRGRQKGRDMQTGTFTLKQIKVATDDFDPSNKIGEGGFGPVYKGHLPDGSLVAVKQLSSNSRQGNREFLNEMGMISCVQHPNLVKLHGCCIEGDQLLLVYEYMENNSLAGALFGRENHRIKLDWPTRLNICTGIARGLAFLHEESRLKIVHRDIKATNVLLDGDLNPKISDFGLAKLDEEEKTHISTRVAGTIGYMAPEYALWGRLTYKADVYSFGVVVLEVVSGKKNSYAPSDSCELVDERLRYEVNGQEAEVMVKVGLLCTNASPSLRPTMSEVVSMLEGRTPVPDVAVPEASTHLMFKAMRDVHDRSQKQSLGGSQSQNVTTNITFCSSSTPGHDLN >ONI13219 pep chromosome:Prunus_persica_NCBIv2:G4:13123960:13124892:-1 gene:PRUPE_4G210600 transcript:ONI13219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILTQAKLHPSLQHQLHTSHSPILRTAVHCSAATTTKPNPNPNPKKLVLEPPIRRLEPPPPLLPNPTRPQLNDPSLLSTWSHRAWVASGCTTVLVSLAKSITCAANSHMWAEPILAGLVGYVLADLGSGVYHWGIDNYGGASTPIFGAQIEAFQGHHKWPWTITRREFANNLHALARVVTFIVLPIDLVCDDPIVNGFVAVCSGSIMFSQQFHAWAHGTKSRLPPLVVALQDFGVLVSRSQHSAHHREPYNNNYCIVSGVWNEFLDKHKVFEALEMILFFKQGVRPRSWSEPSSEWTEETETTSQLTAQ >ONI10147 pep chromosome:Prunus_persica_NCBIv2:G4:1413599:1416201:1 gene:PRUPE_4G030300 transcript:ONI10147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQAHSASPNCPIVDFHVHHAQKLKELKDALANVGEDELLIAVDAMQHLGLDHHFREEIEAFLQKQYHARAYDNCNHQLLEVSLRFRLLRQQGYHVTTDVFNKFKNIQDLKSGLDKDIEGLVGLYEASHLSFQGEDALDEAGKLSHQILTAWLPNNLDDHRAPLVAHSLKHPYHKSLTRFMAKNFLDYFQGTEKWASILQELAKLELNVVESIIRNEILQISKWWKELGLTKELNFVRDQPIKWYTWPMACLTDPSLSEERLELTKSISLVYIIDDIFDVHGTLDELVLFTAAVERWDLDETDELPDYMKISFKALYDITNETSDRAYKRHGWNPIESLKKSWAILCKAFLLEAQWFRCGHLPNAEDYLKNGVISTGVPAVLTHAFFILGRGITQQAIDIVDNINTPGIISSTATILRLWDDFGSAKDENQNGYDGSYIQCYVNEHEGCSDEDARAYVIQKISDEWKRLNQECFSSNPFSESFTKLALNVARMVPMMYDYNTQHRLPSLEENMKSLLFDSFLAQGFQSPGQTK >ONI09649 pep chromosome:Prunus_persica_NCBIv2:G4:163305:163783:1 gene:PRUPE_4G001900 transcript:ONI09649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCKTKKIEMKVPMNCQKCQQKAFKIIAEADGVTFLGLREERDIVVVIGQGVDACNLAKSLRKKFKTTDIITVADV >ONI14289 pep chromosome:Prunus_persica_NCBIv2:G4:22571173:22576633:1 gene:PRUPE_4G273300 transcript:ONI14289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNHQPKRQSAYIADNFNSLDQVISSLREAGLESSNLILGIDFTKSNEWTGRYSFHRKSLHAIGSTPNPYEQAISIIGRTLSPFDEDNIIPCFGFGDASTHDQCVFSFYPEGRYCHGFEEALARYREIVPLLKLSGPTSFAPIIDAAIDIVENSNGQYHVLVIIADGQVTRSPDTPPGRFSQQEQATVNSIVAASQYPLSIILVGVGDGPWDAMQKFDDNIPQRSFDNFQFVNFTKIMSENTDTSKKEAAFALAALMEIPYQYRATQSLHFVNREPVGGPRTRPLPPPREVINHDNAVKSIPQITNVQKVEPTAPVAPVEPVCPICLTNPKDMAFGCGHTTCKDCGLSLLSCPLCREPISTRLRLYT >ONI14288 pep chromosome:Prunus_persica_NCBIv2:G4:22570988:22576625:1 gene:PRUPE_4G273300 transcript:ONI14288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGESTYTDGSYDDSLRELPSHAGTSMDSNHQPKRQSAYIADNFNSLDQVISSLREAGLESSNLILGIDFTKSNEWTGRYSFHRKSLHAIGSTPNPYEQAISIIGRTLSPFDEDNIIPCFGFGDASTHDQCVFSFYPEGRYCHGFEEALARYREIVPLLKLSGPTSFAPIIDAAIDIVENSNGQYHVLVIIADGQVTRSPDTPPGRFSQQEQATVNSIVAASQYPLSIILVGVGDGPWDAMQKFDDNIPQRSFDNFQFVNFTKIMSENTDTSKKEAAFALAALMEIPYQYRATQSLHFVNREPVGGPRTRPLPPPREVINHDNAVKSIPQITNVQKVEPTAPVAPVEPTCKDCGLSLLSCPLCREPISTRLRLYT >ONI14290 pep chromosome:Prunus_persica_NCBIv2:G4:22570952:22576633:1 gene:PRUPE_4G273300 transcript:ONI14290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNHQPKRQSAYIADNFNSLDQVISSLREAGLESSNLILGIDFTKSNEWTGRYSFHRKSLHAIGSTPNPYEQAISIIGRTLSPFDEDNIIPCFGFGDASTHDQCVFSFYPEGRYCHGFEEALARYREIVPLLKLSGPTSFAPIIDAAIDIVENSNGQYHVLVIIADGQVTRSPDTPPGRFSQQEQATVNSIVAASQYPLSIILVGVGDGPWDAMQKFDDNIPQRSFDNFQFVNFTKIMSENTDTSKKEAAFALAALMEIPYQYRATQSLHFVNREPVGGPRTRPLPPPREVINHDNAVKSIPQITNVQKVEPTAPVAPVEPVCPICLTNPKDMAFGCGHTTCKDCGLSLLSCPLCREPISTRLRLYT >ONI14287 pep chromosome:Prunus_persica_NCBIv2:G4:22570950:22576633:1 gene:PRUPE_4G273300 transcript:ONI14287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGESTYTDGSYDDSLRELPSHAGTSMDSNHQPKRQSAYIADNFNSLDQVISSLREAGLESSNLILGIDFTKSNEWTGRYSFHRKSLHAIGSTPNPYEQAISIIGRTLSPFDEDNIIPCFGFGDASTHDQCVFSFYPEGRYCHGFEEALARYREIVPLLKLSGPTSFAPIIDAAIDIVENSNGQYHVLVIIADGQVTRSPDTPPGRFSQQEQATVNSIVAASQYPLSIILVGVGDGPWDAMQKFDDNIPQRSFDNFQFVNFTKIMSENTDTSKKEAAFALAALMEIPYQYRATQSLHFVNREPVGGPRTRPLPPPREVINHDNAVKSIPQITNVQKVEPTAPVAPVEPVCPICLTNPKDMAFGCGHTTCKDCGLSLLSCPLCREPISTRLRLYT >ONI14291 pep chromosome:Prunus_persica_NCBIv2:G4:22571173:22576625:1 gene:PRUPE_4G273300 transcript:ONI14291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNHQPKRQSAYIADNFNSLDQVISSLREAGLESSNLILGIDFTKSNEWTGRYSFHRKSLHAIGSTPNPYEQAISIIGRTLSPFDEDNIIPCFGFGDASTHDQCVFSFYPEGRYCHGFEEALARYREIVPLLKLSGPTSFAPIIDAAIDIVENSNGQYHVLVIIADGQVTRSPDTPPGRFSQQEQATVNSIVAASQYPLSIILVGVGDGPWDAMQKFDDNIPQRSFDNFQFVNFTKIMSENTDTSKKEAAFALAALMEIPYQYRATQSLHFVNREPVGGPRTRPLPPPREVINHDNAVKSIPQITNVQKVEPTAPVAPVEPTCKDCGLSLLSCPLCREPISTRLRLYT >ONI14292 pep chromosome:Prunus_persica_NCBIv2:G4:22570988:22576625:1 gene:PRUPE_4G273300 transcript:ONI14292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNHQPKRQSAYIADNFNSLDQVISSLREAGLESSNLILGIDFTKSNEWTGRYSFHRKSLHAIGSTPNPYEQAISIIGRTLSPFDEDNIIPCFGFGDASTHDQCVFSFYPEGRYCHGFEEALARYREIVPLLKLSGPTSFAPIIDAAIDIVENSNGQYHVLVIIADGQVTRSPDTPPGRFSQQEQATVNSIVAASQYPLSIILVGVGDGPWDAMQKFDDNIPQRSFDNFQFVNFTKIMSENTDTSKKEAAFALAALMEIPYQYRATQSLHFVNREPVGGPRTRPLPPPREVINHDNAVKSIPQITNVQKVEPTAPVAPVEPTCKDCGLSLLSCPLCREPISTRLRLYT >ONI11813 pep chromosome:Prunus_persica_NCBIv2:G4:6987171:6992153:1 gene:PRUPE_4G127200 transcript:ONI11813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTPLLPEINSAESEPPLLHKHVPSLDSTIERCIGDFGWAQFLQAFLVSFSWFFDAQQTFITVFTDAEPTWLCTQLDDPNNSCHSASNVCQLPQNSWAWDRPRHTSTISEWALQCSPSIVQGMPSSAFFMGCLIGGLALATLADTSLGRKNMLFLTCLVMSLSTFLTAFSSNIWIYSILRFITGFGRATIGTSALVLSTELVGRRWRGQVGVIGYFCFTLGFLSLPAIAYTQRAHSWRTLYFCTSIPTFLYCIMVHFLVHESPRWLFLRGRKEEAIATLKHIAPINATSTKTPLTSSFFSNLSFEQETRNVDLYSAIKVLVKSRWAFRRLSAVMAIGFGVGMVYYGMPLALGSLDFNLYLSVTFNGLSELPASLITLVCIAKMNRKTSLLVFTSLSGVFSIMSVLKGTHPIWTTLQIVFELVSFFSACSALNVLLIFTVELFPTCVRNSAVSMVRQAVVLGGVFSPMLAAAGRVNGGFLSYGVFGVVVGIFGLFVVCLQETRGRGICDTMDEEEYKQRDSYL >ONI11169 pep chromosome:Prunus_persica_NCBIv2:G4:4538497:4545695:1 gene:PRUPE_4G091100 transcript:ONI11169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSAKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNVPDERFDWLCQLYKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDTVDPVRDLEIISAELRLKDIEFMERRIEDLEKSMKRSNEKALKIEHELCQKIKAWLEDGKDVRLGEWKAAEVEILNTFQLLTAKPVVYLVNMNEKDYQRKKNKFLPKIHAWVQEHGGEPIIPFSCALERNLADLPPEEAAKYCEQNNVQSALTKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFEKGFICAEVMKFEDLKELGSEPAVKAAGKYRQEGKTYVVQDADVIFFKFNVSGGGKK >ONI12120 pep chromosome:Prunus_persica_NCBIv2:G4:8386526:8387695:1 gene:PRUPE_4G145900 transcript:ONI12120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVLLDFWPSMFGMRVRVALAEKGVKYEYREEDLLNNKSPLLLQTNPVHKKIPVLIHNGKPVCESANIVQYIDEAWKDKAPLLPSDPYQRALARFWVDYIDKNLYEAGKNIWATKGEEQEAAKNKLIEILKLLEGQLGDNSFFGGEIFGFLDVALVTFYCWFFSYETCGNFSIEAECPKLIEWAKRCMEKESVAKSLADSKKVYEFTLLLKKRFGKE >ONI12604 pep chromosome:Prunus_persica_NCBIv2:G4:10314226:10316461:-1 gene:PRUPE_4G174300 transcript:ONI12604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSPCTDQKSDTLDAGNVEDFKVHVFKSSSELLEKLHEKWSKVEKQPYPAMYSSTYGGIILDPALMVIPIDDHMVHRGHGVFDTAIILNGFIYELDVHLNRFLRSASKAKISSPFPRSTLQSILVQLAAASQIKKGTLRYWLSAGPGNFLLTPAESSTPAFYAVVIDENFSQCKEGVKVITSTIPMKSPDFATMKNVNYLPNVLSKLEAEEKGAFASIWVDEEGYIAEGPNVNVAFITHDKELVVPFFDKILSGCTVLRLLELAPKLVEQGRLKGVKTTNLTVEEAKSSAEMMFVGSTLPVLPIITWDEQPIGDGKVGELTMALSDLVWEDMVAGPTGTQRIAVPYI >ONI12603 pep chromosome:Prunus_persica_NCBIv2:G4:10313706:10316776:-1 gene:PRUPE_4G174300 transcript:ONI12603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSPCTDQKSDTLDAGNVEDFKVHVFKSSSELLEKLHEKWSKVEKQPYPAMYSSTYGGIILDPALMVIPIDDHMVHRGHGVFDTAIILNGFIYELDVHLNRFLRSASKAKISSPFPRSTLQSILVQLAAASQIKKGTLRYWLSAGPGNFLLTPAESSTPAFYAVVIDENFSQCKEGVKVITSTIPMKSPDFATMKNVNYLPNVLSKLEAEEKGAFASIWVDEEGYIAEGPNVNVAFITHDKELVVPFFDKILSGCTVLRLLELAPKLVEQGRLKGVKTTNLTVEEAKSSAEMMFVGSTLPVLPIITWDEQPIGDGKVGELTMALSDLVWEDMVAGPTGTQRIAVPYI >ONI10647 pep chromosome:Prunus_persica_NCBIv2:G4:2843790:2845326:-1 gene:PRUPE_4G059600 transcript:ONI10647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEKNLVVIAIFIVLGTLASQATSRTLSEASFAAKHDQWMAKFGRVYESNAEKERRFAIFKKNVQFVEKFNTEGNKTYKLGLNEHSDLSDEEFLRQRTGYKRATEFTSSPNMSFRYEDLSPTDVLPSIDWREKGAVTPIKDQGPCGCCWAFSVVAAVEGINQIKTGNLISLSEQQLLDCTSGNYGCGGGSLPTTFEYIQQSEGIAREENYPYEAEQGTCNANQPAVQITGYEQVPANSEEDLLKAVSMQPVSIAIDASGEEFKHYRSGVFSNTDCGTTLDHAVTVVGYGTTEDGTKYWLLKNQWGESWGENGYMKILRDAGPQEGLCGLARDAYYPTA >ONI11407 pep chromosome:Prunus_persica_NCBIv2:G4:5436444:5437078:1 gene:PRUPE_4G105200 transcript:ONI11407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVVVISLPFILFTILLGFGCYFFGRARGRKDAIASAQVYGVPTPPPGANNSLPSSPPPNPFKHDNSANV >ONI10337 pep chromosome:Prunus_persica_NCBIv2:G4:1958925:1960652:-1 gene:PRUPE_4G041500 transcript:ONI10337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPSPPLAATIIGLRSTTTTSLSSFPKQCLTGRVRCKATNGGDDHLEQGLSRLDRRNMLIGLGAGGLYGATGLENNPFAFAAPVSAPNFTQCGPADKPDGSTIDCCPPTVTTIIDFKLPDKGPLRTRLAAQDVAKDPVYLAKYKKAIALMRALPDDDPRSFAQQAKVHCSYCDGGYPQVEYSDLEIQVHFCWLFYPFHRWYLYFFEKIMGELIGDPTFALPFWNWDAPAGMYIPEIFTDTTSSLYDQYRNAAHQPPKLLDLNYGGTDDDVDDTTRIKENLTTMYQQMVSKATSHRLFYGEPYSAGDDANPGAGNIESIPHNNIHLWTGDTTQTNGEDMGAFYSAGRDPLFYAHHSNVDRMWSIYKSKLGGTDIEKKDYLDAEFLFYDEKKNLVRVKVRDSLDTTKLGYVYDNKVEIPWLTYKPTARKSSNKRKATVSSADLKTNFPATLTDTISVEVARTSTTKRTSTQKKAQDEVLVISGIKYAGNETVKFDVYVNDDAESLAGKDKSEFAGSFIHVPHKGKKDITTTLRLSITKLLEELDAETDSSVVVTLVPKVGTITVGGVSTELINTT >ONI11269 pep chromosome:Prunus_persica_NCBIv2:G4:4887406:4889025:-1 gene:PRUPE_4G097500 transcript:ONI11269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISHVKLVPVLLFILLNSVWCTTSNSISESFLQCFSSHIPSNSSSKIIITKNSPAYYSVLQFSIQNLRFFNTPTPKPEAIITPFKHSHVQAAVICSKKEGIQIRTRSGGHDYEGLSYVSIAPFILIDLFELRSIDIDIENEIAWVESGATLGELYYAIAQKSKVHGFPAGTCPTVGVGGHISGGGYGSLFRKYGMAADHVLDAKIVDVNGRILDRKSMGEDLFWAIRGGGGASFGVILSWKLGLVPVPPIVTTYVESKTMEEGATKLLSKCQTIADWMPEGYFLRVVTGVTNGTDGGKTIEAEFSFLFLETYEELLPWMKENFPEFNLSRSAFTEMSWIQSILYSASYSINDTEALLNRSQQSRSFFKGKSDYVTEPISEAGMEGLYQTMFQLDASTVILTPFGGIMSEISESEIPFPHRKGILYEIQYFVTWDDDTESEKDVSWTRLAYGYMAPYVSKSPRGAYLNSRDLDLGINKDANTSYAEASIWGLSYFKNNFRRLAQVKTVVDPGNFFRNEQSIPVLRSGKMKFQQQLDASL >ONI12208 pep chromosome:Prunus_persica_NCBIv2:G4:8635376:8638327:-1 gene:PRUPE_4G151000 transcript:ONI12208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIQDITQAMQEFKFEAFPEEIMQDILFRLPVKSLINCTSVCKAWRSMIMNKSFICAHLSLTVDFANQDDIDLLLLHIISCNRSSTYGHKTIISEIKDEVHSVHYDNQAFDVYSKIEFPIAPKQELRNSHLRVVGTCSGLICLADDILCYGYNFFIWNPAIRKLVTLPRPGVTFRTHGGYDASIGFGFDAMTNDFKVVRFVTLQHEDEGPTVAEVYSLATGTWRSLGCVSPACRTDGAASNAFVNGVLHWPVVGYTNDDSRYFILTFDLGKEVFGKIPMPKMSWNPKWGLQLSVSDNKKSIALFMRDNSCKDFMMDTRREDSVLDIWVMKEYGRKESWTKLITLGPQGPERLLPRALCFSKSGEVLLLLTKEGRQELVSLDLVSKQFKNLGISGYKYCTVYFYEESLLLLDKSDAESY >ONI13736 pep chromosome:Prunus_persica_NCBIv2:G4:15993748:15996931:1 gene:PRUPE_4G242200 transcript:ONI13736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRTTDAVVAAISVTIALLSLFLYKSKPSSKKLPSKKNPRNGLLGAIGNTPLIRINSLSEATGCEILGKCEFLNPGGSVKDRVAVKIIEEALESGDLAPGGVVTEGSVGSTAISLATVAPAYGCKCHVVIPDDVAIEKSQILEALGATVERVRPVSITHRDHFVNVAKRRASEANEFALKHRKDDANQRGVANGTTEKTNGFQYDEEKQSLFSRNCTGGYFADQFENLANFRAHYEATGPEIWEQTGGNVNAFVAGAGTGGTLAGVSKFLQERNSNIKCFLIDPPGSGLFNKVTRGVMYTREEAEGQRLKNPFDTITEGIGINRITKNFMMAKLDGAFRGTDKEAVEMSRFLMKNDGLFLGSSSAMNCVGAVRAAQSIGPGHTIVTILCDSGMRHLSKFFSADYLSQYGLTPSASGLEFLGVG >ONI10063 pep chromosome:Prunus_persica_NCBIv2:G4:1208872:1210459:1 gene:PRUPE_4G025700 transcript:ONI10063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEKCEKKLSKVIVPDKWKEGASNTTEGGGRKINENKLLSKKKRWTPYGTTKCMICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >ONI11584 pep chromosome:Prunus_persica_NCBIv2:G4:6126736:6127879:1 gene:PRUPE_4G114700 transcript:ONI11584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQIQALKYHVLFPSLFSVTKQTINQPNWNPKDIPQFTLFGSTYDCINNYKYDFHCTHLYPYHLFHSFSQHANRFNKHTARFPHNNIEE >ONI12914 pep chromosome:Prunus_persica_NCBIv2:G4:11513082:11515147:1 gene:PRUPE_4G191500 transcript:ONI12914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLFACFGSKGVVEGTPREKHAGATADISAEEQRRSGPVLVELFSSQGCTTSPVADLLVSRLGRGDFNEMDLPPVVVLAYHVDYWDYMGWKDPFGSSQWTVRQKAYVEALGLDTMFTPQIVLQGRDQCVGNDESALLSSIRAAPRYTAPTFQATFERPSPDSLQVSLTGSLRSKVDSYGANVMVALYENGLITDCPKGENQGRVLSSDFVVRRLEKLSTVKDVNAKKTISGTLNFPLWEGFNPSKCGLVLFVQNPSHQIFGSQNFQLPDN >ONI13593 pep chromosome:Prunus_persica_NCBIv2:G4:14956240:14960777:1 gene:PRUPE_4G232500 transcript:ONI13593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVETMSAAMELIETKKENLKKAFDELQAHYNVLSSFNLTWSDLDSHFTSIKDSLNQKLQLLQTLESQSSNPSSSIPQDPCSSNPPSQKPQDPSYSSYQIPKDPSSSSNLPTHIAEDPSLSSLTLAQITEDTKTLTQIKKDPPSSSISSTQVVKDPSSSNPPTRNTVELGTSLRSVSVPPRPELVAFCERMDAMGLRKYMNETLDNRNTIRAELLGAIRYAKDPAAMVLDAVDDFYSENGRDKGDKDPELFAVRRSGVLLLEVLMGVSPDVGFEVRERAKELALAWKGKVSMDGENPFEALGFLHLVAAYGLQSEFKMDELVDHFVIIARYRQAIELCQKIGLGDKIADLIQKLVSKGKHLLAVKFISEFDLTDKFPPVPLLKSYLKESKKLAKKVCKDGNNSRKSMNEATAKETGALKSVIKVIEDLKLQSDYPPAVLQKRLEQLEKEKANRKRHAEAPAVKPQQHKQQTAKQQKLKQQQYGNKHPRMTAPVGPAAVSNSINAAGLLPAYALSGTATPIPPYAGSSAGLYGFAGGQMGFLGNPGPASNHLYSSDHYVPSGYYNRQTAYGGYGVPPEYRPPSHYPQ >ONI09648 pep chromosome:Prunus_persica_NCBIv2:G4:156581:160578:-1 gene:PRUPE_4G001800 transcript:ONI09648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIGKLSCFVQLMFILSLFQVPAFAIKKSYVVYLGSHAHGPQVSEADLHRVTDSHSEFLGSFLGSTQKAKEAIIYSYKRHINGFAAILEDEEAAEIAKHPKVVSVFLNQGRQLHTTHSWDFMLLEKDGVIHPTSLWKRARFGEDTIIGNLDTGVWAESESFSDEGIGPIPAKWKGICQNDTTGFPCNRKLIGARYFNKGYASYAGAPLRSSFNSARDHEGHGSHTLSTAAGNFVAGANVFGLGNGTAKGGSPKARVAAYKVCWPPINGSECFDADIMAAFDAAIHDGVDVLSVSLGGDPSNYLDDGLSIGAFHAVKNGIVVVCSAGNSGPAAGTVSNVAPWMITVGASTLDREFQAIVQLRNGLRLKGTSLSKPLPEDRFYPLITGAQAKAANASAHDAMLCIGGTLDPQKVKGKILACLRGDTARIDKGEQAALAGAVGMILCNDKASGNEIIADPHVLPASQINYTDGIAVVSYINSTIDPQGFITPPTAQLNAKPAPFMASFSSQGPNTITPEILKPDITAPGVNIIAAYTQATSPTNESFDKRRIAFNTESGTSMSCPHVSGVVGLLKTLYPDWSPSAIRSAIMTTARTRDNTANPMKNASFIEATPFSYGAGHIRPNRAMDPGLIYDLTVNDYLDFLCAIGYNKTMMQLFSESPNYKCPKSASLLDFNYPSIVVPELSGSVTVTRRVKNVGSPGTYAVRAHKPLGVSVTVEPNILKFKNIGEEKSFKVTLKAKGLGVTKDYVFGGLIWSDGKHYVRSPIVVSSAAAAAV >ONI12701 pep chromosome:Prunus_persica_NCBIv2:G4:10635018:10638518:1 gene:PRUPE_4G179500 transcript:ONI12701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLHRVISRLSSTSLGTSTSAAKFLREKSKPTLGNNDVLSLKNGTEASQFITSRFFSFKSGGDGENNGKDTFSNNASDDLGWDSLSAWSTGLTKEHFDGEVAGRRKSGDDTSQSSVISGLQEIEDRIKELEEENRKSKRFVDGWGERLKEVSALLKQVREPGARGSYLKDSEKAEMYRLHKENPEVYTVERLAKDYRIMRQRVHAILWLKELEEEEEKKLGRPLDDSVELLLDTCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDLDEVHYEISMKEDEMLYQEFVQRMNFNKKKMKGEVFCHKHSRRRTSDGWNFTVEKLGPRGKRGGGGGWKFVSLPDGSSRPLNEMEKMYVKRETPRRRRKILP >ONI11870 pep chromosome:Prunus_persica_NCBIv2:G4:7241151:7244507:1 gene:PRUPE_4G131100 transcript:ONI11870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLKDDDTEEFKGLRIASLDDESDEEEEQNEQDIVIDEDDEEEEDEEARKSVVLGFVKMPKTARSLLRHFFPSKAGGVPAWLDPENLPSGRSCFCDICDEPLQFVLQVYAPEEKQSAFHRTLFVFMCTSMVCLRRDQHEQWKCHQDKPSRSVKVFRCQLPRDNPFYSSEPPKKDSTDPPLKAGAALCNWCGSWKGIKRCTGCRRALYCSEKHWVKHSRTGHEHDCQRLRISSQLADSSSDETTPAVLLKVASNNVWPEYKIIQESESSYETDMPDDNVCTNSLVSSNRTDDALMSIAENFEGDDDRKSWASFHERIALAPEQVLRYCRIPGAKPLWPVSSGRPSKADIPKCSYCAGPLCFEFQIMPQLLFYFNVKNDVDSLDWATIAVYTCEASCDASVAYKEEFAWVQLS >ONI13978 pep chromosome:Prunus_persica_NCBIv2:G4:17895837:17899200:1 gene:PRUPE_4G255800 transcript:ONI13978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGQECATKRLKTPQPATTPCPTAATLDQRLPLSKPLRPSFSQRTHKLHQYLAQWVYEACIPFHAIDNNSFKRFVEVVDQFGSDYRPPSQYQLREPLLKEKEDSEEAHTRSYIFEYIYKCIEEIGPQNVVQVVTNNASNNMEAANMLKRRRRGQTSIGNLPRFKRVIEKAKSFTIFIYAHHNTLALMRKYTKRRDIVRQGVIRFATSFLTLQILTEKNNELRSMVASDAWDQCKHCKTTERKAIYSTILSIAFWNWVSLCLRVFDPLVKVIHLVDRDKSPSMSFLYGELLQEKEEIKEAFKNHEANYHLILQIVDAKAHA >ONI10018 pep chromosome:Prunus_persica_NCBIv2:G4:1115906:1117084:1 gene:PRUPE_4G023500 transcript:ONI10018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSRLRHATLAHHTRHLSTAASVSTKDKTRAALSLLKAEKDPTKILEICKTACLTPESHLDRITFSADMLHDAVRTFEQCDEMGIPRNVKTLNALLLACIFAKNYKEVNRVFLEFPKVYGIEPNLDTYNYVIKAFSESGSTSSAYSVLAEMERKNVKPNANTFGHLLTGFYTEMKFEDVGKVTNLMEKHGFQPGLSTYNIRIKSLCKLRKSAEAKALLDGMLSRGMKANAVTYCHLIHGFCRESNLGEAKKLFKNMVNRGFTPDSDCYYTLVHFLCKGGEFESALQYAKESIEKNWVPNFATIKSLVEGLVSISKVSEARELVGQMKERFTVNQDKWNEIEAGLPQ >ONI12025 pep chromosome:Prunus_persica_NCBIv2:G4:7816813:7817853:-1 gene:PRUPE_4G140000 transcript:ONI12025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFSEDIIADILFRLPVKSVGRFRTQTQKLILSSHSLFSLDHLATIDDDMLPLELDFPLNTHLKTKWVLVSGSCNGLVCIMPQPEAFFIFNPSTRESMRVPDCPMPSHACTQQGVRFHRHAHGFGHAPPMSDYKFVKVAYGCMVLVFSLKNNSWKRVQDFPFKHLLDVYGTFLNGAVQWLCGPLGVGGPCVIVAFDLAEEKFSDLATPDSVTNYQRFITGILEGCLCLLHYHDDHKQHSFWKNTNILLVRNQKQLLMCNRKDGTCKKFLVNGLPSDFNADVYVESLISPNFQQN >ONI14173 pep chromosome:Prunus_persica_NCBIv2:G4:19965422:19966498:1 gene:PRUPE_4G266800 transcript:ONI14173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLGWGRLGWRPPPLFTALKEQSTLLHPCLSPYGSLPTHDSRNRAQGPVVMAHGVGARSGLARYERRGCGDESGRGWRGVEKTVAASF >ONI09724 pep chromosome:Prunus_persica_NCBIv2:G4:326291:326630:1 gene:PRUPE_4G005600 transcript:ONI09724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCCLPSAQKVKKNCKALAVHRLSSHNTNLSILTLLSIKGDWRDAVSLCLRVGWVEGEACAQ >ONI14145 pep chromosome:Prunus_persica_NCBIv2:G4:19608620:19609543:1 gene:PRUPE_4G264800 transcript:ONI14145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSSSLDLSNNKLPGFLCGIKASNLTLLDLTGNHVSGELPDCWAHLENLVYLGLCGNGFFGKIPTTLGNLYSLETLKLKNNRFVGEFPSSLMNCKHLKVFDVAELSGLIPGWLGFELRKLVFLILRSNLFYGRIPLQLCNLTHVQILDLSINNISGTIPKCLNNLTALVDKGHSILTITHHYASDFGNGIFLESYDDAATLIWKGIMSEYKSTLGLVKSFHLSSNQLTGEIPKEIIHLGGLVSLNLSRNHLTGQINPDIGKLELLQSLDLSRNQIDGRIPTSLFQIYSLGDLDLSNNNIGVSTPKL >ONI09613 pep chromosome:Prunus_persica_NCBIv2:G4:78560:85696:1 gene:PRUPE_4G000300 transcript:ONI09613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTSVRALRRLCSSSSVSSINLIKDYTNKVTATARGTSICTCTIGAAKVEDIAASSSSCSSEPLNSEAHNENGPCPCPSVAAVHVHLLRNNNKIRRRRLGDRRNNKRSTTDKDAIELALDSVVKIFTVSSSPNYFLPWQNKSQRESMGSGFVIPGKKILTNAHVVADHTFVLVRKHGSPAKYRAQVRAVGHECDLAILSVESEEFWNGVNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFIAGVEESGKYVGFCSLGLSCQPIENVQLRNHFRMHPEMTGVLVSKISPLSDAYKVLKKDDIILAFDGVPVANDGTVPFRNRERITFDHLVSMKKPNETAVVRVLRDGEEYEINITLQPLQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRLCESALREPPKRAGEQLVILSQVLMDDINAGYERLAELQVKKVNGIEVENLKHICQLVENCSKESVRFDLDDDRVIVLNHSLAKVATSKILKCHRIPSAMSNDLIDKQSNLQTQYLAQELIC >ONI11232 pep chromosome:Prunus_persica_NCBIv2:G4:4748150:4750194:-1 gene:PRUPE_4G094200 transcript:ONI11232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILLDENYTAKMSDFGASVLIPLDQTEIVTFVEGTLGYLDPAYLQSNQLTEKSDVYSFGVVLAELLTSKVAFSYDRPESERSLARMFVCAVEEERLNHILDGDIVSEGNIETVRNVARLAKMCLKLKGEERPTMREVATELEGMRIMANHPWGTNADDDLGPEDQTSLSLGSPSNTSDDDFRVCKISRISIRTSFDYRTDNRIVCVIRVR >ONI11873 pep chromosome:Prunus_persica_NCBIv2:G4:7248901:7253995:1 gene:PRUPE_4G131300 transcript:ONI11873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLGRGHREKLQQFITITGASEKVALQALKSSDWHLEGAFDVFYSQPQLQTNTDSRHLEELYNRYKDPCADMILADGISILCNDLQVDPQDIVMLVVSWHMKAATMCEFSKQEFIDGLETLGIDSLEKFREKIPFMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPFVDHWCQFLQARHNKAISRDTWSQLLEFARAVDSSLTNYDAEGAWPYLIDEFVEYLKENGVIQKS >ONI12898 pep chromosome:Prunus_persica_NCBIv2:G4:11335228:11337340:-1 gene:PRUPE_4G190300 transcript:ONI12898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRNKKKRNDAASMDITGDPVSDLPQAMDTSESGAHNPSGGASSLKIKGRQMKRSKNARKKKAIVRAISKKEQAVEKTKKHESKTLRTQSAKLLYD >ONI14198 pep chromosome:Prunus_persica_NCBIv2:G4:20320854:20323262:1 gene:PRUPE_4G268400 transcript:ONI14198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENSCLTRVATGAAIGGAIGGAVGSVYGTYEAIRFKMPGLLKIRHVGQTTLSSAALFGLFLGAGSLIHCGRN >ONI13168 pep chromosome:Prunus_persica_NCBIv2:G4:12911111:12911766:-1 gene:PRUPE_4G207200 transcript:ONI13168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRKSTLIFLVMLALCGVCFGAVYRVGDSNGWTSRGLVDYNEWASTKDFHVNDTLIFAYNNQFHNVMQVTTQDFESCNPNSPIAVYSSGSDTITLDRPGNFYFLCGAPGHCRAGQRVEIMATLPTPDDSSPSASPTEAISASSALSNGLALHFSKLGLGVTMFVLSTLLGFVF >ONI13660 pep chromosome:Prunus_persica_NCBIv2:G4:15249066:15252950:-1 gene:PRUPE_4G235600 transcript:ONI13660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWIWASIGLLALVHILQAWWKNKKKRLPPGPRGFPIFGSLHLLGEFPNKDLHRLARKYGDIMYMRLGLMPTIVISSPEAAELFLKTHDLVFASRPPHEGSKHISFGQKNLIFSEYGVYWRDTRKMCTIELLSNHKINSFKSMRREEVSLCVESIRAAANKRGVAVDLSDKVSSLSVDMSCRMVLGKKYRDEEFDERGFKSVVREGIQLASAPNLGDYIPFIAPLDLQGFTKRMKSVNKAFDNLFEKIIEEHLQPNDGERTKDFVDVMVGFMGSEESEYRIERPHIKAIMLDMLVASMDTSSTTIEWALSELMRHPKAMKKVQKELENVVGLDKMVEESDLEKLDYLNMVVKETFRLHPVAPLLVPHASIEDCTVNGYHIPKKSRVLINVWAIGRDPNAWTDAEKFIPERFEGSSVDVRGNHFQLIPFGSGRRRCPGIQLGLTVVQLVLAQLVHCFDWELPNNMLPDGLDMTEEFGLTVPRAKHLLAIPSYRLRKSA >ONI13668 pep chromosome:Prunus_persica_NCBIv2:G4:15304245:15304544:-1 gene:PRUPE_4G236300 transcript:ONI13668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPDFTSDIILENLSRLPVKLLCRFRCVSKSWRSLMADPDFVKIHLNKAIENEDIFNQRRRLIFTDLSTRLLYSLNLDEFLSNNNEFLNNNNDADCSS >ONI10949 pep chromosome:Prunus_persica_NCBIv2:G4:3795961:3798198:1 gene:PRUPE_4G078000 transcript:ONI10949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASICIQTSPSSLSGLRPTHNPLLGHSLSSRPCLPLKKRASLTTKALLSTSKESVLKDFHERRALKIISGLQNFDKENVASVITAAEQVEIGNYDSFYEKGVIFTPEQILNLTKDTKRILPSVTLSVTVPHTLSLPDQVKLAEMLEQEGVDIIQTEGGKCSSPSKSGVLGLVEKATPTLAAAYSISRAVKIPVMCSSGLSAVTAPMAIAAGAAGVGVGSAVNKLNDVIAMIAEVRSISNSFGAPADRRATSEGKVLKM >ONI10948 pep chromosome:Prunus_persica_NCBIv2:G4:3795961:3798198:1 gene:PRUPE_4G078000 transcript:ONI10948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASICIQTSPSSLSGLRPTHNPLLGHSLSSRPCLPLKKRASLTTKALLSTSKESVLKDFHERRALKIISGLQNFDKENVASVITAAEQGGATHVDIACDPELVKLAIGLTSLPVCVSSVDPAAFPAAVEAGALMVEIGNYDSFYEKGVIFTPEQILNLTKDTKRILPSVTLSVTVPHTLSLPDQVKLAEMLEQEGVDIIQTEGGKCSSPSKSGVLGLVEKATPTLAAAYSISRAVKIPVMCSSGLSAVTAPMAIAAGAAGVGVGSAVNKLNDVIAMIAEVRSISNSFGAPADRRATSEGKVLKM >ONI13385 pep chromosome:Prunus_persica_NCBIv2:G4:13754910:13755368:-1 gene:PRUPE_4G218600 transcript:ONI13385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIQHFLIFLLLLALVLTNIEANPNAEEEEHETNINDSLNSLVNETEEQSSTIHGIGRFLLSKRRRRLTCNKFPRICRAKGSPGPSCCKKKCVNVLTDRLNCGRCGKKCRYNEICCKGKCVNPSFNKGHCGGCKNRCRDGGFCAFGLCNYA >ONI14265 pep chromosome:Prunus_persica_NCBIv2:G4:22301213:22304416:-1 gene:PRUPE_4G271900 transcript:ONI14265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAAVKAAANNSSDGSIVCYAPTMITTNGIWQGDNPLDYSLPLFILQLTMVVVTTRILVIILKPFRQPRVISEILGGVLLGPSVLGKSDKFATTIFPLRSVMVLETMANVGLLYFLFLVGLEMDISVIRRMGKRAIVIAIAGMILPFLIGAAFSFLLHKREQPMNQGTFILFLGVALSVTAFPVLARILAELKLVNTELGRIALSSALVNDMCAWALLALAIALAENDTTSLASLYVVLSSAAFVLICIFIVRPAVCWIIRRTPEGESFSDFYICLILTGVMISGFITDAIGTHSVFGAFVFGLVIPNGPLGATLIEKLEDFVSGLLLPLFFAISGLRTNVGSIQGPGTWGILLLVIFLACVGKIAGTVIVCLFYQMPFDEGFTLGLLMNTKGLVELIVLNVGRDQKVLDDEAFAIMVIVAVVMTGIITPIVTTVYKPARRFIPYKRRSIQRSKPDAELRVLVCIHTPRNVPTMINLLEASHPTKRSPLCIYVLHLVELSGRASAMLIVHNTRKSGRPALNRTQAQSDHIINAFENYEQHAGCVSVQPLTAISPYSTMHEDICNLAEDKRVAFLIIPFHKQQTVDGGMEAMNPAFRTMNQNVLANAPCSVGILVDRGLNGSNRLAANQVSHHVVVLFFGGPDDREALSYAWRMSEHPGISLTVMRFVPGDGAEGAKSASASAAASATTATDAEGNNSRILSVETDLGWEKQLDEELINDFRMKNANDESILYTEKFVNNGEETVAAIRSMDNVHDLFIVGRGQGMISPLTAGLTDWSECPELGAIGDLLASSDFAATASVLVMHQYVGDQDQGPAEDVDTLQTPNSPDEQNAQFNNVDQINRRSTPPRPQDGFNP >ONI13361 pep chromosome:Prunus_persica_NCBIv2:G4:13628751:13629703:1 gene:PRUPE_4G217500 transcript:ONI13361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQILFALVLAEMALILSLLFRTPLRTLVIVGLDRSKQGRGPLVAKSVGGTVLVFFSSTLYGVFSVQKRLTEAGFVNPTDEVLMAHRLLEASLIGFSLFLVLIIDRLHYYIKELNLLRKFNEEVKNLKNDYEQRKNADAEMKKTEIKQPKLQKLKSEE >ONI11722 pep chromosome:Prunus_persica_NCBIv2:G4:6686758:6690441:1 gene:PRUPE_4G123000 transcript:ONI11722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFANSFSSNFHLNIAHYFLLFFLASLFPHTSKLCFGDALPSVTPCMDEERRALLAFKQDLIDPSGRLSSWAGQHCCQWKGISCNNKTGHVEMMNLRNTYTYTLSVFDAEWDEMEHSSLGGKINPSLLSLKHLNYLDLSRNDFHGIPIPKFFGQLKSLRYLNLSYASFGGEIPPQLGNLSNLNYLDLSEESDYSLLELPSNNLNWLSNLSSLKYLNLEGLGLNKTGESWLHAVNMLPSLLELHLPACQIESLPLSLGNISLKSLLILDMSYNELKFPFPEWLLNLANLRKLDLRGNSFSGAFPLELGRLKSLEHLELSFNALEGQIPKLAGNFCKLKILNLASNKFDGGIQDLLGGLTSCLNTNLESLDLSSNMLQRELPASLGMLHNLQYFSLYNNEMNGSIPESLGQLSQLTHLDLSLNSWGGSLTEAHFTNLTGLKYFALGKVTPYPTLPIPLIFNVSYEWVPPFMLHTINIGNCHIGPTFGAWLQSQTELAFVKLHGAGISGAIPEDWFSKISSKVYYLDLSYNQITGNLPLQLKFPNALILDLSHNQIHGPFPLWSSDNAIRLELETNSFFGPIPMNLDQRFPQLLALYLSENHFNGTIPLSICNLKNLLVLSLRSNELSGKFPQAWSLLQEIWVIDVAYNNLSGKLPNSIGVPGSLFMLKVNNNNLDGEIPLSLQNCTSLRHIDLANNRFTGTIPSWIGSNVPLVSILRLRSNFFTGHIPQQLCNLGYLHILDLAHNNFSGTIPKCLNHLSALTHGNFSAYDLYSYYDQQTSVVKVTELEYQAQTLMLVKSIDLSSNSLEGEIPEEICSLLLLHSLNLSKNQLSGNIPSNIGSLQLLEVLDLSRNRLSGWIPQSLSSSTFLSHLNLSYNNLTGRIPLGNQLQTLTDPFIYMGNPLLCGVPLSTKCSEDDHTPTEDNDHEDTNDKLWFYISMALGFIVGFWGVCGTLLVKKAWRYAYFRWFDDIKDKVMLAIALKMARTQRKF >ONI13717 pep chromosome:Prunus_persica_NCBIv2:G4:15845737:15847400:-1 gene:PRUPE_4G240800 transcript:ONI13717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAKKYAVVTGSNQGIGFGTVRKLASNGIMVVLTALDNKMGLEAIEKLKECGISDLVVFHQLDVTNTASIASLADFVKTQFGKLDILVKNAGVSGTIVDPEAMRAAAAAGIGKDGVGVNWSEIMTQTYELAEVCVKTNYYGAKKMTKALLPLLQLSDSPRVVSLSSSMGSLKHIPNEWAKGVLSDAEKLTEERIDDILNEFLKDFKEDILETKGWPTSLSAYILSKAAVNAFTRMMARKHPNICINSVDPGFVKTDMNFNTGMLTIDEGAESVVRLAVVPNGSPSGLYFHLQEVSPF >ONI14164 pep chromosome:Prunus_persica_NCBIv2:G4:19870653:19874824:1 gene:PRUPE_4G266000 transcript:ONI14164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSTTISVLFLMLIALHSPIHANPIKTIVVIVMENRSFDHMLGWMKRINPEINGVDGSEANPLSTTDPNSKRFFFKNESHYVDPDPGHSFQAIREQIFGSDNTSADPPPMNGFAQQAFSMDNTTNMSQDVMNGFNPDMVAVYKTLVSEFAVCDRWFASVPSSTQPNRLYVHSGTSAGATSNIPALLAKGYPQRTIFENVDDAGISFGIYYQNIPATLFYRNLRKLKYISKFHMYDTFKSHAKQGKLPGYTVVEQRYFDVKIAPANDDHPSHDVFQGQMFVKEVYETLRASPQWNDTLLIITYDEHGGFYDHVATPVHGVPSPDGIVGPEPFLFQFDRLGVRVPTIMVSPWIEKGTVLHGPNGSPFPTSEFEHSSIPATVRKIFNLSAPLTKRDEWAGTFEGIVQTRTEPRTDCPEQLPTPVKIRTSEPKEDAKLTEFQQEMTQLAAVLKGDNIFTSYPKKTGKEMTVKDGKEYADDAVKRFFEAGLYAKRMGVSEEQIVQMRPSLTTRPSPIVNQTP >ONI10025 pep chromosome:Prunus_persica_NCBIv2:G4:1130734:1131087:-1 gene:PRUPE_4G023900 transcript:ONI10025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPIAIGTRGTVGSLVRKEIEYFSNLELDRPRGNSSSRKPQGQIVDMTASSCTHSSKPSFWFLIMTWKRKKRRSSRRFLSSICSATKVAETNRLNGIPGFNYRILKDDMNNLSI >ONI10383 pep chromosome:Prunus_persica_NCBIv2:G4:2141784:2143956:1 gene:PRUPE_4G044600 transcript:ONI10383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGQGRGGHGEAEEEGVMATDFFWSYTDEPHASRRRQILSQYPQIKELFGPDPWAFLKITVVVLLQLWTATALHNAGWLKILAIAYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGIDMDIPSKVEAHLVTNVVNKAIWVLLQLFFYAFRPVFLKPKPPGCWEFLNLFIQIALDVSMVYFCGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFNPDQETYSYYGPLNLLTWSVGYHNEHHDFPRIPGSKLHKVKGIAPEYYEGLESYKSWSQVIYMYIMDRTVGPFSRMKRNPSKSE >ONI12953 pep chromosome:Prunus_persica_NCBIv2:G4:11660588:11662101:1 gene:PRUPE_4G193800 transcript:ONI12953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQNPQSNFQDLLPTMADKLGGDGLIGELCNGFNLLMDSNKGVITFESLKRNSALLGLQDLSDDDLRSMLEEGDFDGDGALNQMEFCVLMFRLSPELMDESRLWLEQALQQELKHCY >ONI11713 pep chromosome:Prunus_persica_NCBIv2:G4:6613905:6616874:1 gene:PRUPE_4G122100 transcript:ONI11713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFANYLFSPAYHLNITHCFLILFLASSYPLTSKLSFGVALPSVKPCMDEERHALLAFKQDLTDPSGRLSSWVGQACCQWKGISCNNITGHVEKIDLQNTYTYTLSVFDGEWEEMEKSSLGGEINPSLLSLKLLTHLDLSRNDFEGIPIPTFFGQLKSLRYLNLSYASFGGEIPAHLGNLSNLNYLDLSEESDYSSLELPSNTLNELSNLSSLKYLNLEGADLSNTEVTLVNVLSKLPSLLELHLPACQIKSLPISLGNVNFTSLLILDMSNNDLRFPFPEWFFNLSSLRKLYLSGNSFSAPVPSEFESLKSLEALDLSFNDLSGQIPKLFGNFCNLKTLNLANNQFEGEIQELLGGLSSCPNSELESLDLSSNNLKSQLPSSVGMLHNLKYLNLYNNDMSGSIPESLGQLSELVHLDLSFNPWEGFLTEAHFINLTRLEYIALGRVDPHPTLPIPLIFKVSYNWVPPFMLHTINIGNCQVRPAFGAWLQSQTELVSVKLRATGISDSIPEDWFMKISSQVEYLDLSYNQIHGKLPSQMKFPNAVLLDLSHNQFDGPIPLWSADNVVRFKLETNSFSGPIPLNLDQRFPKLESLYLAENHLNGTIPTSICNMKNLLVLSLRNNKLSGEFPQAWSLLPHIMIVDVAYNNLSGNLPSSMGASGSLFMLKMNNNNFEGEIPFSLQTCTSLRNIDLGDNRFTGKIPPWIGSTAFLVSTLRLRSNFFVGHIPQQLCNLGYLHILDLAHNSFSGTIPKCLNNLTGLRIWDYSQYEIYLDYDQQTTIMKGRALQLNTSLASVKNIDLSSNSLEGEIPQEICSLVLLWNLNLSMNRLSGSIPIEIGNLLQLQTLDLSLNHLSGRIPQGLSSLTFLSNLNLSYNNLSGEIPLGNQLRALPYPSIYEGNLLLCGFPLSTNCSKDTSTPKDPNDNGDGNDKLWFCVSMALGFIVGFWGVCGTLIMKKSWRYAYFRWFDDIKDKAALAIAAKVATLQRKL >ONI11384 pep chromosome:Prunus_persica_NCBIv2:G4:5347012:5353404:1 gene:PRUPE_4G104300 transcript:ONI11384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSRRILKQPWHLSVSVAFFSSLCFWFQVSMAEKTDPSEVRALNSIFEQWDTKPRAGLWNISGDPCSGSALNGTEFEKPENNPAITCDCTYDKNATCHISKLRVFSLNKQGVFPEEFVALRYLTFLNIDQNYFTGPLPAFIGNMSALTILSIAQNSFSGPIPKELGNLKELTMLSFGSNNFSGTLPPELGNLVKLEELYIESCGLSGEIPSTFAKLINMRILHASDIAFSGKIPAFIGNWTKLTDLRFQGNSFEGPIPTNFSQLTSLNDLRISDISNVSSSLDFIRNLKNLKVLNLGFNNLTGQLPSSLFNMSSLTYLFLGNNSLSGPLPSQRLDRLHTIDLSYNYLSGSFPAWVTPLLQLYVSFLSFTFIFMTDSSNINLPGLHCLQRNFQCNRNTPLYTNFSIKCGGRQMRGNDGILYEVEDSALGPATFYVTTDKKWAVSNVGLVYDRPDQSFVKTTDKQVSGTDVTPKLFETSRQSPGSLRYYGLGLHNGPYTVTLQFAETVFVSRTNQTWQSLGRRVFDIYIQGNLIRKDFDISKEAGGVNRAVKRPFKVNVTENYLDIHLFWAGKGTCCIPEQGDYGPLISAVHATSDFTPTTPGKKRRTGLIVGIAVPVGVVILLLLFAVIYMRRKTSEKDDDEDLLGLGPRLNTFSFAELRAATEDFNPSNKLGEGGYGPVYKGTLSDGRVVAMKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCTEGTQRILVYEYLENKSLDQALFGRNDLHLDWPTRFNILLGTARGLAYLHEESRPRIVHRDVKASNILLDAELSPKISDFGLAKLYDDEKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEIISGRPNSDNNLDPERIYLLEWAWTLHENDQSLGLVDPRLTEFDENDATRLIKAALLCTQASPMMRPSMSRVVAILSGDIEASTVMSKPSYLTDWDFKDVTTCSFLVDDDTSSTESVVVHK >ONI10766 pep chromosome:Prunus_persica_NCBIv2:G4:3253091:3256390:-1 gene:PRUPE_4G067200 transcript:ONI10766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKNDQVPIDIAIKVLGNWKETYRWIPIFGAVAAIAMAFVTGANNLPAPFSTLVGSRALTLLKAFVLACAIYVPGAAFVNSSNTVNDLFSDFLKENQPSEGFLMWSMVVVLVTAAIWLALATNMQLPVSAQQSMQGALLGTILVTEGFSYMPLWNKNENHNFNGGGLVWIFVEWTVAPFIAFVCAFFLFTVMKASLLRSENTEKRILVFLPIGYGISAGLLCLFFMFQVIPYKTAVNSWVTIVAVAAATMTGALLSSVVAVPLAMKKLNAAKKYKTMKRNTSQSIEKKCIESQDQACNTKSSDDEAQFEEALKDFMQMRVLDTVYEEEDEKSWASPDSIQEPEPVPAHDHSISEDQSNTEKSTSFKQLLQSSPNRDQTRHFHKIDKATPVENAFRFIKSSSKSVFSPAIEYDRQTLVRHALAEKYDDMEGFFSSPQLIASCIFALIQSANELSAFVSPYGAIVDIFQHREKYSGNGEDVEPIHVTWWWFRAIGGFGAAIGFLVCGRRLTQCLGGKLTYISNSRGFAAQLSTVATMILVHKINLPVSSVHAFVGSLVGVGTADDPRNVNWKLLFKFICGWALTIVFCSGVAYAIFSVSVHSPAFVVP >ONI10717 pep chromosome:Prunus_persica_NCBIv2:G4:3017616:3019702:-1 gene:PRUPE_4G063100 transcript:ONI10717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLTTKATDNGVASNPSTPTNKSKNIPAPLLGRFEIGKLLGHGTFAKVYHAKNIKTNESVAIKVIDKEKILKGGLIAHIKREISILRRVRHPNIVQLFEVMATKAKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLISAVGFCHARGVYHRDLKPENLLLDENGDLKVSDFGLSAVSDEIKQDGLFHTFCGTPAYVAPEVLGRKGYDAAKVDIWSCGVVLFVLMAGYLPFHDQNVMAMYKKIYKGEFRCPRWFSAELVRLLTRLLDINPDTRITIVEVMENRWFKKGFKHIKFYIDHDDRLCNVEDDEEDRFSDVSSLSDQSCMSESEAEFETRRKLTSLPRPASLNAFDIISFSAGFDLSGLFEERGEEARFVSGAPVSTIISKLEEIAKVVSFSVRKKDCRVSLEGSREGVKGPLTIAAEIFELTPSLVVLEVKKKAGDKAEYDQFCNTELRPGLQNLMIEESAAANTVPPSYLPSDSE >ONI13811 pep chromosome:Prunus_persica_NCBIv2:G4:16373050:16375263:-1 gene:PRUPE_4G246600 transcript:ONI13811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVENIPYHQMARGLLFFLLLSSIMSTNYACNETQRSSLLSFALTLSSPSLNWTSIDCCHWEGITCDHDGWVTDLDLPSRSGLNLNGGIFPSLENLTYLSLLNLSHNSLYGSLEPRFFLSLNCLEILDLSYNRLFGELPLFIPSSTRMVDLSNNNFHGAIPSSFFRRARNLTSFNVKNNTFSGSIPSSICLHSSTLIRLLDFSINKFSSNISGGLGKCSELQVFRAGYNRLSGPLPEDIYNATTLEEISLPSNALHGDISDEIVNLTNLTILELYVNQFSGMLPTNMGKLSKLKLILLHFNNLTGSLPPSLLNCTNLRELNLGFNHFVGKLSILDFSKLSRLTKLDIMSNQFTGVFPTSLYSCKSLKALRLSLNDLDGQIQPEILSLKSLSFLSLSASRLSNITRALNILMGCKSLKVLILSNNFIGEEMPNGDGIVGVDGFQNLGILSFRGCQLTGALPVWLSKIKKLEVLDLSSNRFTDSVPTWLGNFRSLFHINLGNNRISGELPKQLCKLPMLVSEQTAAQVDHTYLQLPFFVKPASDAEFLQYSSLSFFPPAIHLHKNSISGNIPTEISQLVLLHTLNLDDNNFSGNIPDKISNLKKLETLDLSMNHLSGEIPPSLTSLNFLSFLNVSYNNLEGPIPKGTQLQSFDVSAFEGNPKLCGAPLRNVCPLMHRNSQDMENEPQLSLVHTSVVLGFIVGLGGFCGPLMLKKTWRDAYFQFLNNVQDRLCVMKKVRA >ONI09885 pep chromosome:Prunus_persica_NCBIv2:G4:759584:760227:-1 gene:PRUPE_4G016000 transcript:ONI09885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASATMLPLSQVPRFFPHKPNRSKLACPPCGPSKPRRTSLPSRLHCQKMYVPGFGEASPEAKAANNLHSFFTYIAVRIVTAQLESYNPEAYEEMMEFLSRNSLNDGDQFCANLMRESSRHNALGMFISFH >ONI09884 pep chromosome:Prunus_persica_NCBIv2:G4:758515:760376:-1 gene:PRUPE_4G016000 transcript:ONI09884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASATMLPLSQVPRFFPHKPNRSKLACPPCGPSKPRRTSLPSRLHCQKMYVPGFGEASPEAKAANNLHSFFTYIAVRIVTAQLESYNPEAYEEMMEFLSRNSLNDGDQFCANLMRESSRHNALALRILEVRSAYCKNDFEWDNLKRLAFKMVDESNTRLMRDYVLEISHVEGEGK >ONI13457 pep chromosome:Prunus_persica_NCBIv2:G4:14022532:14025586:-1 gene:PRUPE_4G223400 transcript:ONI13457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKVQAFWNHPAGPKTIHFWAPTFKWGISIANIADFSKPPENISYPQQIAVTCTGLIWSRYSMVITPKNWNLFSVNVAMAGTGLAQLSRKITHDYFSDTDAAVQKE >ONI10138 pep chromosome:Prunus_persica_NCBIv2:G4:1390452:1393161:1 gene:PRUPE_4G029900 transcript:ONI10138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRTHLQAGEQQILECQMQSQASYDLTQHERRSANYKPNIWKYSFFESLDSKYHEDDYKRQSEKLIEDVKNMIFVETENSIAQLELVDIIAKLGLTNHFEKEIKETLDTIASVENNSPCISITDDLYTTALYFKILRQQGYKVSQDLFRGFMDEEGTLKKSHLSDVKGMLELFEASNLALEGEDILDEIKASSKVALRDSNICNLDNNLAKHVVHALELSSHRRVRWFNVKGHIDAYEKDNHVNTILLELAKLNFNMVQAKLQKDLREASKWWNNLGLTQHLNFARDRLVECFMCAVGLNFQPDYTSFRIWLTKVVNLILIIDDVYDIYGSLEELKCFTDAVDRWDVGETEALPECMKICFQVLYNTTCEIAHEIEEENGWNQVLPQLRKVWADFCKALLVEAEWYSRAYTPSLEEYLSIGCISSSVSVLLVHSFFSTTHHQGIQEIADFLHKNEDLVYNLSLIVRLSNDLGTSAAEQERGDAPSAILCYMREVNVCEDVAKKNIKDMIENAWKKINAKCLRTPQVPSLSPFINITTNIARVAHSLYQDGDAFGDQEKGTRILIQSLLVQPLLL >ONI11579 pep chromosome:Prunus_persica_NCBIv2:G4:6108817:6109623:1 gene:PRUPE_4G114400 transcript:ONI11579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHRCHPCGMLDGYLKSSRLNPPWEYHLQPSSVSFQYRGRPRKSNWGIEKTWRGELVEGRENHLN >ONI12273 pep chromosome:Prunus_persica_NCBIv2:G4:8894918:8896708:-1 gene:PRUPE_4G155300 transcript:ONI12273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRFHGLPPLKRFRLMQKQEQQEDTLLASSRLPAKKRKEAWHQPLLPDPFAATTAVSTYSLPAKKRVWAFQPDLISEDPLSTLDLNVEYKVPFGAESEEAVVEKEEEEEEEKGIIHENDCSEATENTQEEKGQEITGDEDDNEEEEDGIVCAICQSTDGDASDPIVFCDGCDLMVHATCYGNPLVKGIPEGDWFCSQCSLSSASSQSTESSSCCLCPIKGGAMKHTRDGRWAHIVCALYVPEVFFKDPEGREGIDCSKVPKRRWKERCYVCKSSRGCAIQCSELKCPLAFHVTCGLNEDLCLELREGRKQGAIVAGFCKTHSDLWKKQEQTGKYKIVARED >ONI10136 pep chromosome:Prunus_persica_NCBIv2:G4:1380339:1385702:1 gene:PRUPE_4G029700 transcript:ONI10136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRWKCFDENEDRPEKPRSYGVTEMRGPHYTLLSQNVLQDIFESVGQFVDGLKFSGGSHSLMPKSFIKEVTDVAHKHDIYVSTGDWAENLLRKGPSAFKEYVEECKSLGFDTIELNVGSLGIPEETLLRFVRLIKSGGLKAKPQFAVQINKSDIPIGDRAFGAYVVPRPRSSEFVEDVDLLIRRAERCLEAGADMIMIDADDVCKQADSMRADIIAKIIGRLGVEKTMFEASNPRTSEWFVKQYGPKVNLFVDHSQVMDLECLRGRSLDNQKKISHLTALQDLGELEILAADLTDEGSFDAPIAGCDLVFHVATPVNFASEDPENDMIKPAVQGVLNVLKACVKAKTVKRVVLTSSAAAVSINTLNGTGLVTDENDWSDVEFLSTAKPPTWGYPASKTLAEKTAWKFAEENNIDLITVIPSLMAGSSLTPDVPSSIGLAMSLITGNDFLINHALKGMQLLSGSISITHVEDVCRAHIFLAEKESASGRYICCAVNTSVPELAKFLNERYPEYKVPTEFGDFPSKAKLILSSEKLIKEGFNFKYDIEQIYDQAVDYFKAKGLLQN >ONI13121 pep chromosome:Prunus_persica_NCBIv2:G4:12708429:12713718:1 gene:PRUPE_4G204000 transcript:ONI13121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGSNQVSQGFDKLLIGRDSLNPCFECYFSGLQSNICKSSSTCEIVNIRGLVAIDSSPEQKARCNY >ONI13443 pep chromosome:Prunus_persica_NCBIv2:G4:13989032:13994572:1 gene:PRUPE_4G222700 transcript:ONI13443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSVPISSCSKEHQKIYQEWFRFADSDSDGRITGNDAIKFFSMSNLNRQDLKQVWAIADSKRQGYLGFSEFVAAMQLVSLAQGGHDISHDLLNSNVDLENLNPPVMEGLDALLAKKKQLHRTNENEVNGTVVVEQSPSALWFSSKSSKKVPLSSVTSIIDGLKRLYVQKLKPLEVTYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKSSYPGAHIGPEPTTDRFVVVMSGPDGRSVPGNTIAVQADMPFSGLTKFGTAFLSKFECSQMPHSLLEHITFVDTPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDVSDEFKRVISSLHGHDDKIRVVLNKADQIDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPVNEAATGPVGKELFEKEQEDLLADLKDIPKKSCDRRINEFVKRARAAKIHAYIISHLKKEMPAMLGKAKTQQRLIDNLEDEFGKVQREFHLPPGDFPDVDHFRDILSGYNIDKFEKLKPKMIEAVDEMLGYDIPELLKNFRNPYG >ONI12122 pep chromosome:Prunus_persica_NCBIv2:G4:8395358:8396817:1 gene:PRUPE_4G146100 transcript:ONI12122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVLLDFWPSMFGMRVRVALAEKGVKYESREEDLLYNKSPLLLQMNPFHKKIPVLIHNGKPVCESANIVQYIDEAWKDKAPLLPSDPYQRAQARFWVSYIDKNLYEAGKNIWATKGKEQEAAKKKLIEILKLLEGQLGDNTYFGGEIFGFLDVALVTFYSWFFSYETCGNFSIEAECPKLIEWAKRCMQKESVAKSVAEPKKVYEFTLLLKKMFGKE >ONI13321 pep chromosome:Prunus_persica_NCBIv2:G4:13459360:13462691:1 gene:PRUPE_4G215300 transcript:ONI13321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFG >ONI11253 pep chromosome:Prunus_persica_NCBIv2:G4:4826029:4827164:1 gene:PRUPE_4G095900 transcript:ONI11253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLGLYAIFDGHSGREVAEYLQSHLFDNILNEPDFWTNPKQAVRRAYKATNDEILEKVVGSRGGSTAVTTILINGEKLIVANVGDSRAVLCRDGVAKQITVDHNPATEKEKDLVESRGGFVLKRPGTVPRVDGQLAMTRAFGDGKLKDHITSEPDIKVKKIDDETDFIILASDGLWKVMSNQEAYDRIGELDDAQEAAEELIEEALSRESYDDVSCIVVVFH >ONI13765 pep chromosome:Prunus_persica_NCBIv2:G4:16180477:16188187:1 gene:PRUPE_4G243800 transcript:ONI13765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISVNSSDRVCENGIQPVELLPATNDVHGGVIVDMKDAMEAQHFLTLLRASISQWRREGKKGVWIKLPIELVNLVETTVKEGFRYHHAEPHYLMLVYWIPETTNTLPANASHRVGIGAIVVNDKKEMLVVQEKSGRFQGTGVWKIPTGVVDEGEDIFKAAVREVKEETGIDAEFLEVLAFRQSHKTFFEKSDLFFVCMLHPLTFDIEKQELEIEAAKWMPLEEYAAQPVTQTHALFKYILELGSLKLDRDYAGFSPLHITSVFDDKLSFLYLNRQQMQCLSSPDQSS >ONI13120 pep chromosome:Prunus_persica_NCBIv2:G4:12706137:12707983:1 gene:PRUPE_4G203900 transcript:ONI13120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGGVEVESSDNIDNGKVKILDNVLKKNENPPM >ONI12788 pep chromosome:Prunus_persica_NCBIv2:G4:10882666:10885574:-1 gene:PRUPE_4G183700 transcript:ONI12788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRQRNPRTQISSPRLTKKAKQEEEEDMIANLPDDVLSHILSLLPTKHAVATTVLSKKWTNLWTSASFFKLDLDDRLLLRPKSLTSMPNHASLFANFVNHVLGLINLPCVQKITFFCSDSYDSNLINSWLLVACTSNVVEVDIRIPYTQNPIELPQSLYASRSLRVLKLNANIRLDFPPDCVCFPSLKVLWITVYNQDGITFTQKLFHICFVLEDLFMESDILNKEREVVFTVKSNTLKRLKIECLVHSFDIEYIFVVDCPNLEHLDLYDDFLAKYKIATDLKVLDDAKICIGLPSADYGAFELYFEVKHSNRAFELLEALSNVKSLSLSGCTTGAVSYSYETVEHTDSDENEDGIALRIRLRNFMLKFPFLGRLELGFRTSESWTILTLLLHSSPNLQHLILRKELNGYSVGYKRFSYGMPKGSVPECLLCHLKEMEIWGFEGVKYDLPMVEFFLGNALVLQKMKIYVQELSSDEEIMLSEHVLEIPKVSDACQVEIKYEY >ONI14318 pep chromosome:Prunus_persica_NCBIv2:G4:22957097:22958555:-1 gene:PRUPE_4G275400 transcript:ONI14318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFFELWRAKFRPKHLAAATLRPETMYGQTNAWVLPNGRYGAFEVNETDVFILTQRAARNLAYQGFFRIPEKPSCFIELTDCTDHQFGDKAAEKVCGDLKIKSQNEKEKLAEAKRESEKHVVSRSGDECVVAQTEQWYIFYGEAEWKTLAEECLSSMNLYSDVTQHGFEHTLSWLNQWACSRSFGLGTRIPWDEKYLVESLSDSTLYMAYYTIAHFLHDGDMYGDSTTSGVIPEQMTDECLVRISSRIILTFCIYNHTTIMLKKHWPRGYRCNGHLMLNSKKMSKSTGNFLTLRLAIEEYSADATRMAADAGDGVDDANFVFDTANAAIG >ONI14471 pep chromosome:Prunus_persica_NCBIv2:G4:24052407:24055598:1 gene:PRUPE_4G282100 transcript:ONI14471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFHMNLFTQADVIVSPTIGVTAYTILDDALNTGELDYINGAALVRYSIAGNFLGLPAVTVPVGYDKSGLPIGLQLIGKPWSEATLIHIAFAMQDLYVSEYRKPEVYYDLLNKNSVF >ONI12917 pep chromosome:Prunus_persica_NCBIv2:G4:11523489:11524352:-1 gene:PRUPE_4G191800 transcript:ONI12917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAACRAWIVAASIGAVEALKDQGICRWNGVLRSLQQHAKNNMRSYSQAKKLSGSSSSAISNKMQRSQEEKVRKVMELNCWGPNTTRF >ONI10476 pep chromosome:Prunus_persica_NCBIv2:G4:2375103:2376128:1 gene:PRUPE_4G049600 transcript:ONI10476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISLANNISRPFPQPPSSISHGYSSRKVSRVCFTSAFKFSGGRNASEENRGYYSRVDSRGYADKNWRKKERDVSRKAKETVEDGLEKSKQKAEEIKDATKEYAQGVAQTVADNTKQGTNTAAETAESAKERAKEYAYETNKKTKDVAGTVVDQVKEGTNKAAETAESANEKAKEGALEVKERTEDAGQTVVDKVKEGTSKAAETVETVVENVKEGTSKAAKAVNDTINPDGKKY >ONI12947 pep chromosome:Prunus_persica_NCBIv2:G4:11645194:11646427:1 gene:PRUPE_4G193400 transcript:ONI12947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLALILSTSLLQFLVLQLSRAADTISAAQSITSTNTLVSSGQRFELGIFSAVNSEAWYLGIWYKNFPNIVVWVANRENPVADSHGSLKLSKNGSLVLLDQMNNTIWSSTSSRVAEDPVAQLLENGNMVVREKAATDSESYIWESFNFPSDTLLPEMKLGWNFRTGLNRFFTSWKNASDPSPGEYTYGMDNVLLPQLVIAKGSKKMFRTGPWNGLWFTGTPGLAATYRSVVKPIFVYDTNELYYSYESFNSSTITRLKLSESGLIQRLVVTEGSTEWAVMYTLQNDECNSYGQCGANGICRISKSPILLNWTNGCIRETPLSCQKGEGFLKVRNVKLPDQLNFLVNNSNSNNGCEAECLKNCSCVAYANTVIINGGSSCLMWFGDLVDLREFVEED >ONI14548 pep chromosome:Prunus_persica_NCBIv2:G4:25161684:25163814:-1 gene:PRUPE_4G286900 transcript:ONI14548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDCHMQMRSWVLVDQSGQVFDPSKTQKPADDDEVVKYRGRETMGKASTSSTTKDALALFSSLRSAYSATPITLKIIDLYVAFAVSTALIQVVYMAIVGSFPFNSFLSGVLSCTGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >ONI13591 pep chromosome:Prunus_persica_NCBIv2:G4:14891558:14898788:1 gene:PRUPE_4G232300 transcript:ONI13591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIALKPARTKVETHHITSHLFTSNSIPRATILAFLPRNCPIRIGVKGINRKGVLELKQSRAVLGMA >ONI12423 pep chromosome:Prunus_persica_NCBIv2:G4:9518616:9520585:-1 gene:PRUPE_4G164000 transcript:ONI12423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKLKLIFTLFFLQTLLTSPTSIRAAPANSDLFREYIGAQFKNVKFSDVPINPNVEFHFILSFAIDYDTSSSPTNGKFNVFWDSDNLSPSQVSSIKNQHSNVKVAFSLGGDSVNGVPAEFKPSSIDSWVSNAVSSLTGIIQQYNLDGIDIDYEHFSADPATFSECIGKLITTLKNNGVISFASIAPFDDDQVQSHYLALWKSYGHLIDYVNFQFYAYDQGTTVSQFINYFKTQSSNYNGGKVLASFISDGSRGLSPENGFFTACDTLKSEQQLHGIFVWSADDSKAIGFRYEEQSQNFLATPH >ONI10463 pep chromosome:Prunus_persica_NCBIv2:G4:2340631:2340999:-1 gene:PRUPE_4G049000 transcript:ONI10463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKVCLIVLMVVLAMVAQSSAKGHEASWGLSFTAHDDESLIDRANSKVGDMIGEENEMMMDSESSRRTLRGAQGRYIAYGALRRNAVPCGRRGQSYYNCQNRQRANPYQRGCTIITRCARR >ONI12667 pep chromosome:Prunus_persica_NCBIv2:G4:10583724:10585592:1 gene:PRUPE_4G178200 transcript:ONI12667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLSKPSLKKQSFFKVLLGDFYQHLRLPPVFIEKLDGQSLPKCALRSPNGKLWTVELEERENGFFFHDGWQGFVRDHCLEIGNFLVFDYDGGSKFDVTIYEPTGCEKDVEAAKRRSGNPVSSVKDEIVDIETENYNKESKSQTINAERTSRKYIMSGKRPANDSVEETSTGSILFKSENSCFIKILTKILYPVTIPKELAIAEGLVRKKTVKLHDPAGRSWIVKLRVHRSPYLRFEMTKGWAKCCRANQISQGDTIVFEFVKPSVMQIHIYRGGRVGGSGCSVVLVNPGLKT >ONI14562 pep chromosome:Prunus_persica_NCBIv2:G4:25195752:25197366:1 gene:PRUPE_4G287400 transcript:ONI14562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSQSSSSSYESYSSSSSFDEDHQSDSYDDELEDEQLAPDSQESPTLVGSDTEYRCESEPDSEADSAKKTKTKTKSNTTTNVEAKSKNKSPSSTAVANEKRPREKPEEKGGDASNKKRKKAENETNLQTGGDDAVEKDKEMMMKKKKKSRSSSSTAGNARVWSERDELVMVKGIISFLKKFSTFDGKKFYNWINKYIEADVSTGQIADKVCRLKKKYRNNEANRGPNGEDPVFSKPHEQKLFDLSKQAWGSIRSTTNNNNNNTNADINDGIVEKGADAQKKATDVVDDAQKKVTDVVEDAEKKATDDDVLQEKEVLFDDNLVMEAFDKYLTSRGLDLRWFGQDKLESLENKWRKIRVAESTFRLLQAKFDVKLHQIALHACNFFDY >ONI10149 pep chromosome:Prunus_persica_NCBIv2:G4:1422491:1423669:-1 gene:PRUPE_4G030500 transcript:ONI10149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSRILQRAFCTSTQPNPTTRQSIKAISTDLYKERNLKRLVEKFKKSSEIYRFRTKTSIYEDAVRRLAAAKRFKWIEEILEDQKKYPDFSNEGFAARLVSLYGKAGMLENAQKVFDEMPDKNCERTVLSFNALLAACINSKKFDKVDEVFRGVSQKLSIEPDVVSYNTMIKAFCEMGSLDSAVSMLGEMEKKAMKLDAITYNTILNGLYAHSRFLDAEKQWGRMVEKNVVPDVRSYNAKLLGLASEKRTEEGVELFEELKNKGIKPDVFSFNALIKGFVDEGKLEKAKKWYHEMGKSNCAPQKWTFQTLVPFVCEKGDLDFASELGEEIFKRRLLVDAALLQIVVDGLVKASRIEEANKLVKLGKSNSYRRYNLKLPTEE >ONI09828 pep chromosome:Prunus_persica_NCBIv2:G4:574220:576713:1 gene:PRUPE_4G011900 transcript:ONI09828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVPVRFQRVAAAFDEGARARLCESSGSEHSAAAESFSDLSALVNSFIERGDFRGEGDAREDINNKEQLMEEESEGYWSDSETKNTLQSLLDKSDEDDDDDVKQRIFAEVEVAVGGAIGDRSSPGFKRKLMAHLREKGFDAGLCKSKWVKSSRFPAGEYEFVDVYVKGTRYIVEPFFVGEFEIARPTSQYEALLSVFPTTFVVQVEELKKIVRLMCTAIKKSMKSVDMPMPPWRRNGYMQSKWLGSYKRTTNAVPAKELEHNESVGLKRSTGFEALTTKAYYCRDAFASKNGLRVGHLTAAFQGI >ONI11510 pep chromosome:Prunus_persica_NCBIv2:G4:5863932:5866567:1 gene:PRUPE_4G110300 transcript:ONI11510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGTQGTIVLRRNANGEPEEEEEQVADLSGQVHLLPCSIKYSGPSSVSHYFKPKPTGIESEGLKTQEANFRGRKLQGASIPIPNGYSGFVLGKKSLGKRKANASEGNSNCWEMNVKYKSITYWNHDSLPSQDDAFLRCFHWLAVAKSMHEPATAEDLVSASAALEKMK >ONI13895 pep chromosome:Prunus_persica_NCBIv2:G4:17235902:17237801:1 gene:PRUPE_4G253300 transcript:ONI13895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSNEMSSANLLQAQAHIWNCIFSFINPLSLKCAVQLGIPDIIKKHGNPMSLSELISALPIHPTKSNCVYRLMRILVHSGFFGRQKLSELDEEEGYVLTDASRLLLKDDPLSARPFLLGQLDPFMTKPWHYFSTWFQNNDPTACFTAHGTTFWDLGYLEPSLSHIFNDSMASDARLISKVVSNEYKGVFEGLESLVDVGGGIGTMAKAIADVFPHVECIVFDLPHVVADLKGSKNLKFFGGDMFEAIPHTDAILMKWILHDWSDEECIKILQRSKEAITRKEKKGKVIIIDMVMKQKGDDQSIETQLFFDMLMMVLVTGKERTEKEWAKLFSDAGFSDYKITPICGLRYLIEVYP >ONI11275 pep chromosome:Prunus_persica_NCBIv2:G4:4984495:4986402:1 gene:PRUPE_4G098100 transcript:ONI11275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHAVDKFGSFLRQFPLFPNAFLVGGWCRRLLYYSTCRSGPSHWVLLLELFSWSWESYSSFSTSLQIQIVKTSAEDKKEHKPPLQLS >ONI14110 pep chromosome:Prunus_persica_NCBIv2:G4:19109817:19114695:1 gene:PRUPE_4G262600 transcript:ONI14110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDVALRMISGCLKPKIFKENEMVIEAGQPLNSMLIITAGSMLAYKPIRVATEVSPSTSFETLDKGMFVGEQLLDWAGKTKTFDDQPVSFKTI >ONI09712 pep chromosome:Prunus_persica_NCBIv2:G4:292125:292468:1 gene:PRUPE_4G004700 transcript:ONI09712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLGGGIQASETWQAKDHIYIYIYARSIAPPTSLFHLSVNQDLSIHPISFYIYSTKFHSGLVVHCCACSVALLCIKSAGTLTMSIYVCVCVYQFQEE >ONI10858 pep chromosome:Prunus_persica_NCBIv2:G4:3542951:3546087:1 gene:PRUPE_4G072500 transcript:ONI10858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATKTMLSSNKLKIKFACKRIEADLGKKIEADPQKRSCDFGHQVSLNEVSSMAKPSFPGSKKRAAPEALESQKEKKLKMDRSVTLQCSTILKTLITHKDGWAFSKPVDPLSLNIPDYFHIISHPMDLGTIKSKLEKNMYRNTEEFAADVRLTFSNAMVYNPPANIFHQMAKNLNKIFEMRWCLLGGKLNHGSSKVEPAKSLSGQIKKITYTRQNPDRTPPFHNMSVTKRSMPSEEKVRVHVDTRQNPSRTPPFHNMSVTKRSMPSEEKVRVPFGVSDGEAELSKTVQHCTPKLLAKNSNRGTDSGSRQASGSMNANQPSSPVGRKCGSCGNLACRCGLPCDSMGRDHCLSNPNASRLDCQAKSLSTSQMSKSDPESDGAVSALDDENICPSPQLTTPVTDAASGEEWKTSLFDVQLSPKRALRAAMLKSRFADTIWKAQQEKLLDQGGRCDPMKMRQEKARLERRQHEEKARIEAEIRAAEAATRMRAEIELKQQRKRKREEARIALEKMQRTVEIDQNLKILEELERLTGFSPSTPLLNCKSRSGAFGGAHLRSPLEQLGLFIKAEYSGDEDDDESILNEDGEEGEIV >ONI13350 pep chromosome:Prunus_persica_NCBIv2:G4:13585277:13586689:-1 gene:PRUPE_4G216700 transcript:ONI13350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCIQFHPAALLPMQTQLNHRQQLLGSSCKVLLISTIEATNYKLAALRQQQHFPSLRTKAMHNDIALAVTSPAKSGDISVLLQTGGVLFLAYLFSNFVVPILITKYFGFDKVGEEEEDEDNLFKM >ONI11284 pep chromosome:Prunus_persica_NCBIv2:G4:5036698:5038272:-1 gene:PRUPE_4G099000 transcript:ONI11284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRVLLFLSVLSLLVSWTTSDPVLTGFLQCLPKQPQSAYPIFEAIYTHENTSFQSVLLGYIRNSRYSTLATPKPLAIVTALHESHVQATVICAKQHGLQIRTRSGGHDYEGLSYVSGVPFIILDMFNLRSIDIDVTNESAWVQAGATIGELYYEIANKSKVHGFPAGACPTVGIGGHFSGGGYGPMMRKYGLTVDNIEDAKLVNVNGTILDRNSMGEDLFWAIRGGGGASFGVILSWKIRLLPVPANVTVFNVKRTLEQGALNVIYKWQYVAPKLLDEIFIRAITRLKNSTEGKKTVEVSFVGLFLGQRDKLVPLMNESFPELDLQLKDCFEMSWVESTLFWADQPIGTPVNFLLNRPQGPPNFFKSKSDYVKEPIPKQGIESIWQMMLKMDKVLMQWSPYGGRMSMIPEWETPFPHRDGNLFLIQYVTYWVEEGTETLKQHLGFVRKLYEGMTLYVSKSPREAFQNYRDLDIGANRQNHTEFETAKVYGSKYFKGNFDRLIRVKVMVDPYNFFKNEQSIPPR >ONI11494 pep chromosome:Prunus_persica_NCBIv2:G4:5707211:5711051:-1 gene:PRUPE_4G109000 transcript:ONI11494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDADPLHFREQISDINHFYEAPKCFSQYNAGKEIEDFIGQLDAVHNEGKDFLLVDQSVVVGRLRGSSRTRLLGEAENKIKEIKGYVTGKDFQTIRVHGMPGSGKTEIVSAVNDSILKDYQACSMASSSPSTLPIPGNSPRDHFDKVIWVTVEHEGTESSIDNLQNKIAEQLKIDLKTAGESRADTLANELNELTFLIILDNMQNDFPLKLIGIPVPTKENGCKIIIVSRSLPVCPDIRIGTYVKIQPLPSGEAQTLFEIEAGIELSKLREDTGANAKKMIDEWECLPFTIIWLAQTLKELKNNGGSHVDHVVAWNATFDMLKESPDILDGMNEKAFNILKDNYDALKEETKKCFLYCALYPSGHLIEKKELVEYWFWEGLINGNERSGHIEDMGQAKKIFYELIRAHLVEEIDIPQDQQGLLAVKGKHRMIKMRNLARKMAIHLTNPGQFLIKAGEKLPHAQLHLQQEKYLSGVERASLMTNKIRAIMEQPNFSNLCTLLLQNNPIIHLHENFFCNMPNLIVLDLSQTNISTLPQSTSYLKKLTALLLRNCPNLKALPSLENSEKLFFLTFLILL >ONI12134 pep chromosome:Prunus_persica_NCBIv2:G4:8424559:8425958:-1 gene:PRUPE_4G147300 transcript:ONI12134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVLLDYRASPYGMRVRIFLAEKGIHSLLLQMNPFHKKIPVLIHNGKPVSESLIAVQYIDEVWKYKAPLLPSDPYLRAQLPKPSLRCEMKVYESGKKTSRTKGEEQEAAKREFLECIRLLEEKLGDEPYFGSENLGFVEVALIPTYSWFYVREKFGNFSVEAKHPKFIAWAKRCMQKESVQVSS >ONI13714 pep chromosome:Prunus_persica_NCBIv2:G4:15823023:15824869:1 gene:PRUPE_4G240500 transcript:ONI13714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAGAAISWAYKLGFPKANDDFEPSILKFRMSSFQPHITWANPGSEEDFKAQTNWRLVVNTWVTYNAATNNLNVYCTNDQNRNPFIIKGFSLDHHIDLRKVLPEWVTIGFSVATRLYTERHVIHSKEFMTNLDYNGRRNKSKENSRKKKFLIDVATYWLLPVVLRKYKNSYNFHVILSINTDLERLALPKRFAYKDLVAATYGFANDIRLGQRGSGKVYKGLIQDLGCTIAVKRIFVYRIINRNLVQFIRWCHEEGECLLAYAYMPNSSLDTYLFGPRATLQWDFRYVEQCVVHRDIKSANILLDNDFSTKLGDFGIAKLVDLQFWTQTTGVVGTFGYMAHNMQMGGGLLYLARNILNVADERMGENFDKKEMKCLLIVGLWCIHPNSKERPKAGQMMKVLQLEAPLPVLPYDMHGYDHLMPQDNNLSPSADVPLPKC >ONI14370 pep chromosome:Prunus_persica_NCBIv2:G4:23209812:23210744:1 gene:PRUPE_4G277500 transcript:ONI14370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTKKGKTVPWKNSACLSKQLKPKTTSQGSDTSFGIQILGFVLMSFVLLLFEKRETVGLEGDYSSVLIMLNYIYFFC >ONI09719 pep chromosome:Prunus_persica_NCBIv2:G4:307541:309009:1 gene:PRUPE_4G005100 transcript:ONI09719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEKETIMVLKVDLQCHKCYMKVKKVLCKIPQIQDQIYDEKQNQVVIKVVCCSPEKIRDRIRCKGGGAIKCIQIKEKPKEEKPKEEKPKEEKPKEEKPKTPSPNRGPPCVRVGGYPVNGNACCMDCYHGHPGGPCQTGYGGVALYIQYDGYYGRPVCGSYGGGRSYNTNYCVARSDCFSEENPQGCTVM >ONI10428 pep chromosome:Prunus_persica_NCBIv2:G4:2238644:2240610:1 gene:PRUPE_4G047100 transcript:ONI10428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVYRALLRAGPSLRALQQVHGHIVVSGSHRSRALLTKLITLACEAGSIFYTRRLFLSVPNPDSFLFNSLIKASSKFGFPQHTVFFYNRMLGFRIAPSSYSFTSVIKSCADISALRLGRCVHSHVLVCGYGSDSFVQAALVTLYSKSGDLDAARKLFDKMPERSVVAWNSMISGYEQNGFSREAIEVFNQMRELGVEPDSTTFVSVLAACSQLGALGLGCSVHHDVINNGLHVNVALGTSLIIMYARCGNVSKAREVFDSMNERNVIAWTAMISGYATNGYGIQAVELFCQMRAHGPVPNTVTFVAVLAACAHAGLVHEGRETYANMRQEYGLVPGVEHHVCMVDMLGRAGLLTEAYQLIRELGPEEAAPAVWTAMLGACKMHKNFDLGLIVAEHLLEVEPENPGHYVMLSNIYALAGRMDRVEMVRNMMMGRSLRKQVGYSTIDVNQKTNLFSMGDKSHPETNEVYQYLDELMSQCREAGYAPVPESVMHELEEEEREYALRYHSEKLAIAFGLLKTKDGMAIRIVKNLRMCEDCHEAIKFMSVVTKREIIVRDKLRFHHFKDGSCSCMDYW >ONI09844 pep chromosome:Prunus_persica_NCBIv2:G4:619767:621047:1 gene:PRUPE_4G013200 transcript:ONI09844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLMGCWSLIVFGLVVTVLNNACPANGITCQEALMTLMPCESYLVGSGPGTPAVPCCAGVQTLVSEATSTEIRRSLCECLKKAASGMKIDPGRLKAIPEYCKVSIPVPLDPAVDCSKVPLF >ONI12276 pep chromosome:Prunus_persica_NCBIv2:G4:8910978:8912544:1 gene:PRUPE_4G155600 transcript:ONI12276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALWNLEDKWRLSTQEAFVLLVSAAFAVIGLCMAATVLLKKAQRKQQQIVMSSSQQETAATGPKLSGSEPSCSWGSIKGALVSTVRWSRASKWEEERQQGGSWRETPRPLLDKRVAVEVGWRSHNSDSPVWQRPILMGEKCELPRFSGLILYDERGRPLCHSHKETRNQEKTAAVARTTLRDLL >ONI10159 pep chromosome:Prunus_persica_NCBIv2:G4:1453719:1457692:-1 gene:PRUPE_4G031200 transcript:ONI10159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMITPILLIFLVPLPSCIFSLDTIAPNQPLRDGDVLVSSKKIFALGFFSSGNSQKRYVGVWYNKVPEQTIVWVANRDNPVTDTSGLLVINSHGGLVIYGKNQSSPLWSANVTVSSPNNSTAKLLDTGNLVLLENGSLSPLWQGFDYPSNTMLPFMKLGLDRRSKLNRFLTSWKSKDDPGTGTCSYGIDPSGFPQLFVYKGQAPRWRGRAWIKERWSGVPEMTNNFIFNVTFVNNQDEISIVFTITDESIFSRLVLDESGIVERSTWYNQVHQWVKFWSAPEERCDEYGRCGANSNCDPYNVDKFECTCLPGFEPKLLHEWYLRDGSGGCVSTKRASLCRNGEGFVKVPRVKPPDSSAARVNLSMGLEACKAECLRNCSCMAYSSADERKGGIGCVTWHGDLVDMRTYSNLGQDLYVRVNATILAQYAMKSNGSLGHKEKLAASLASGLVFFLLFCIVFWLAKRKRKGKRRQDKLSFSLTRGSTYLEDSPVRTDLGESRINSDLPIFELRTIAAATNNFSSNNKLGKGGFGSVYKGVLYNGKEIAVKRLAKNSGQGIEEFKNEVVLIAKLQHRNLVRILGCCVQDEEKMLIYEYLPNKSLDSFIFNEAKRAFLDWPKRFEIIYGIARGILYLHHDSRLRIIHRDLKASNVLLDSAMNPKISDFGMARIFGAEQIEANTNRVVGTYGYMSPEYAMQGLFSVKSDVYSFGVLLLEIVSGRKNTRYYHDNPDSNLVGHVWHLWKEGRASEIIDSTLGESYPVDEVVRCIQIALLCVQEHATNRPTMSGVVSMLGNNAAAPSPRQPGFLVKRSYHTSGDPSASTEGAYSVNDVTCTEIEAR >ONI11152 pep chromosome:Prunus_persica_NCBIv2:G4:4502585:4506228:1 gene:PRUPE_4G090300 transcript:ONI11152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLAISQGSLTAPVGSDFSIRRSVFKAQSINFNDKSWAPLLSLDLKTKNGGLRNQRIVCMSVQQASVPKVAVSPLQLEDANEPPLNTYKPKEPYTATIRSVERIVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPQNVRLYSIASSRYGDYFDGKTTSLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKIKITGPAGKIMLLPEDNPNATHIMIATGTGVAPYRGYLRRMFMESVPKFKFGGLAWLFLGVANTDSLLYDEEFTKYLKDYPDNFRYDIALSREHKNNRGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLRGMMPGIQETLKRVADGRGENWEEKLSQLKKNKQWHVEVY >ONI12250 pep chromosome:Prunus_persica_NCBIv2:G4:8810777:8815810:1 gene:PRUPE_4G153800 transcript:ONI12250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQRFEEWMTKHGRVYKDAQEKELRYDIFKSNVEFIEAFNKNKDGRKYTLSINKFADRKNEELRAMRNGYNVRQHLSKEVITSNSMKVSPNTFFKYANVTVVPLSWDWTTSAVTPVKDQGYDCGSCWSFATVAVVEGLNSIMTGNLISLSEQEIIDCTTSFEDDGCHGGFVEKAFEYMIQRNMSLSTEDGYPYKAVDGGVCLDDFNNVASGAITITGYKQVPQNNEIALLLAVANQPVSVYIDAEAEEFKHYSGGVYTGPCGTNLTHAVTIVGYDTTEDDEKYWLIKNSWGEEWGESGYMRIQRDFDANEGLCGIAMGAFYPTV >ONI09749 pep chromosome:Prunus_persica_NCBIv2:G4:364539:366478:1 gene:PRUPE_4G006900 transcript:ONI09749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLLWIVLALMFLAQTPQRCGGQLEEEWCIADEQTPDEELQMALNWACQVGGADCSKIQENQACYLPNTLQHHASYAFNNYYQKLKQQGGTCYFNAAAFVTALDPSHNSCKFEYLP >ONI09651 pep chromosome:Prunus_persica_NCBIv2:G4:169158:172206:1 gene:PRUPE_4G002100 transcript:ONI09651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKEVVVLQKWSWAFTFTFTFSSDKMLQGRFRLRILSAALLSLLVIVGWSSAPVAESALGVNWGTVSFHKLKPSTVVDLLQDNKISKVKLFDADPDSLQALMGSGIQVMIGVPNEVLAALSSSTAASDLWVRQNVSRYMRKNGVDIRYIAVGNEPFLSSYSGQFQSYVMPALLNLQQSLAKVNLASYVKLVVPCNADAYESSLPSQGAFRPELTQIMTQLVSFLNSNGSPFVVNIYPFLSLYGSSDFPQDYAFFEGTSHPVTDGSNVYYNAFDGNFDTLVAALSKLGYGQMPIAIGEIGWPTDGAISGNLTAARVFNQGLINHVLSNKGTPLRPGVPPVDVYLFSLLDEGAKSTLPGNFERHWGIFSFDGQAKYPLNLGLGGRGLKNARKVEYLPSRWCVANPSRDLSDVANHMKLACGVADCTTLTYGGSCNGIGAKGNISYAFNSYYQLQMQNERSCDFDGLGMVTFLDPSIGDCRFLVGVTDTRSRSSSTSQVYRRWVIVWMLIAWRVWFFVM >ONI13435 pep chromosome:Prunus_persica_NCBIv2:G4:13934180:13935162:-1 gene:PRUPE_4G221900 transcript:ONI13435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGRRVPVGPQRNILGVPKQRPSYITLFVRDHLSGNDLVFRMKRSTQLRRLKIAYCDRKSVEVYRMRFAYYGVHLISSRTPDEYDLENGDVIDALPVLRGGGAP >ONI13434 pep chromosome:Prunus_persica_NCBIv2:G4:13933946:13935293:-1 gene:PRUPE_4G221900 transcript:ONI13434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGRRVPVGPQRNILGVPKQRPSYITLFSGNDLVFRMKRSTQLRRLKIAYCDRKSVEVYRMRFAYYGVHLISSRTPDEYDLENGDVIDALPVLRGGGAP >ONI09890 pep chromosome:Prunus_persica_NCBIv2:G4:775642:779737:1 gene:PRUPE_4G016300 transcript:ONI09890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLIVEQGRLGQYNDNKIRTPKRKRSNFLHHGKEEGSSNGKRISKKRSSSSNAVESCENEFSMDELEEDEEIMFLIKARARKRRSVDCEVMGRGSSKDERVKYELRNTTSKISSSSPPSSSGSSCTSKYTEDNREVYLKCHHCMKEEKKTIVSCSKCKKNSYCVRCIKQWYPHMKVKEVKDLCPFCRRNCNCNACLHSTGVIETPKRDISDRERAQHLECLISNLLPFLKQISQEQIQEIEIEANIRGLSPSEFEIPQTLCFNDERVYCNHCATSIIDLHRSCPKCSYELCLSCCREIRQGCLLDRGEVKFQYRSRGFDYIHGGDPSPDCCPLEASEDHIEPLIEWKGNDDATVTCAPKEMGGCGDCMLDLKRILPPDWISNLGVKAKHLLEIFRTEHSTFKRDCAERRRDTLLKAASREDSRDNFLFCPDSRDTLKEEGLLRFKEHWVNGEPVIVRNVLEQANGLSWEPMVMWRALSENMDIASTSQFSKVKTIDCLAGCEVEINTREFFEGYTEGRMYSNLWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYTDPRSGILNLAVKLPPGVLKPDMGPKTYIAYGLMEELGRGDSVTKLHCDMSDAVNILTHTSEVQLSDEQQSAISRLNKLHRAQDERELMDWMNSLKDGGQPGQQTQDREALENTLSPEINVELKVPEDEDEDEDELDGPTTSGSSSTEVAEETGGALWDIFRREDVPKLEAYLMKHYKEFRHTYCSLVERVIHPIHDQSFYLTLEHKKKLKEEFGVEPWTFLQKLGEAVFIPAGCPHQVRNLKSCTKVAADFVSPENVHECLRLTEEFRQLPKNHRAREDKLEIKKMILYAVDEALKDLEALVSTQV >ONI10058 pep chromosome:Prunus_persica_NCBIv2:G4:1202756:1204522:-1 gene:PRUPE_4G025500 transcript:ONI10058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHKAHQFRRAYSTASSLSWRTNIKQAQLASQISYALLQRRNWVPLLRNLSLFPKLTPALFLQILHKTQNNPQVSLEFFNWAKVNLRFEPDLKSNCQIIRVSLGSGLVRPVKPILDSLIQTHPVSELVQCITLACKGTDSQSTTLSFVLGCYSRKGLFREGLEVFRKMNVLGCVPSVVACNALLNAIQRENEIRLAWCFYGLMIRNGVLPDRFTWSLVAQILCKDGKFERILRLLDLNIYNSMMYNLLVDGCSKSGNFDAAFSHLNEMCDRKVDPDFSTYSSILDGACKLGNVEVVERVTSVMVEKKLLPNCPLSEYDSIVEKLCDLGKTHAAEMFFKKACDEKIGLQDGTYGLMLKALTNEVRTKEAISVYRLISERGIVVDGSSYHAFADVLCKEERYEEGFELLMDVISRGCSPSASELSCFISFLCRRGRWREAEYLLNVVLDKGLLPDLICCSPLVGRYCSGRQIDSAIALHNKMEKLNGSLDVTTYNVLLSGLFAARRIEEAMRVFDYMRRHNLMSSASFTIMIRGLCGVKELRKAMKIHDEMLKMRLKPDAATYKRLISGFQVTLSNLETRNEGDEAK >ONI12879 pep chromosome:Prunus_persica_NCBIv2:G4:11247548:11249911:-1 gene:PRUPE_4G189200 transcript:ONI12879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRIERASDKLKEHKSNPNRRENIGGLLGHIAKFAIDSTINFSLKALPGRKRGYQIVQEGLKDQPLSVSPDDTKKPEDLKLAMEDMNAKMENMQEDTNIVKQQHNISAEHVMGSADPKKMPDGRGIQGADLLQNNKKRIFIRSRL >ONI13761 pep chromosome:Prunus_persica_NCBIv2:G4:16087429:16090365:-1 gene:PRUPE_4G243500 transcript:ONI13761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPPSSRHAKSSSAQVNNSERSNSKVPINATPAATNSNAAAAAANAGDPAQLAPQQSVVREQDQYMPIANVIRIMRRILPPHAKISDDAKETIQECVSEYIAFITGEANERCRREQRKTVTAEDILWAMGKLGFDNYVEPLTLFLQKHRESENSDRSTLRAEFMKRDRAVDFGPAGPPIALMPPPPPPYGPGYPFGPQHGPGMFDPSMLGMFRDGSSSGSGGGAGSSSASGDQGQNSLEGFDPFAQFK >ONI12440 pep chromosome:Prunus_persica_NCBIv2:G4:9567773:9571846:1 gene:PRUPE_4G164800 transcript:ONI12440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKAEPIDIPFLLLRLAPSSSIPIRSTMSKRAHTTELGSIACEELGELGAGKEGWLVDNPSLLCALDTHSLALANRSVIVVLGWAEPDSTRLKIRPELSPISAEFVTAIEWLVFDEIRVVVAGTSSGSLLFFSLAGDLIHKQMVYPARIIRLRVRGTKRDLSHETSSEEVCVVMPGIVARFDGSDIQNKLHQWFQETQSQFWDPNPRKRSSDDFGRSYGKLPYQLWSVSKYGTCADAAITGIMPPPLMEIQSNEHYYCGITVGEDAVISAFRLSEDKNRSLVGAILSKVVPATFSTIASLSKMIWRSDQTSPRKSDEKPQPFARASPLTCLKDFPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVIVRLWKGYRDASCLFMEMLAKKDTAASGSSYYEPTKSDYCLCLAIHAPRKGIVEIWQMRTGPRLRSFQCAKGSKLLQPTYRFGSSIASTYVPLEVFLLNGDSGQISVLNRTLN >ONI12401 pep chromosome:Prunus_persica_NCBIv2:G4:9309751:9310721:1 gene:PRUPE_4G162200 transcript:ONI12401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFLEIEGATVGFYCEHSKRQSFYISYSVCIYYLNPYICSTLQNDIEWYKNNSKGIFLSLIIHIQNSLACRWSRELSSC >ONI09800 pep chromosome:Prunus_persica_NCBIv2:G4:488662:492394:-1 gene:PRUPE_4G009900 transcript:ONI09800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSNQVPDAKIIKEGQLIIRARNLRAAANFIPPKSFRARRFYFSNEENGLLIVPKGQVQNAQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMSGFGFDPSKSLGAEKDSLDIVQHDWALPKFEHRAEAVLKKLAI >ONI13126 pep chromosome:Prunus_persica_NCBIv2:G4:12732063:12732593:-1 gene:PRUPE_4G204500 transcript:ONI13126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGISGYRSLAPKTKNAIVAGGLSAFVFGVYFYTMRAVGGTDELQVAINKFEEEKGQKEAEASMPSEA >ONI13062 pep chromosome:Prunus_persica_NCBIv2:G4:12470219:12473243:-1 gene:PRUPE_4G200600 transcript:ONI13062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVWFSLKKSLHCKSERSEVHDPKSRRQLSTILTRKGGRSGCSRSIANLKDVIHGSKRHLERPPSNCSPRSIGSSEFLNPITHEVILSNSRCELKITSLGEGIGSGNINNGGGGSTFVDTLRPGTPGPGGQSTVHYFNPSFRTSSTPPRKSPFLASADNTEGSGKGSAFPGSGGIRQSNRVSFDTVAENSHGSSAITCHKCGEHFTKWEAAEAHHLSKHAVTELMEGDSSRKIVEIICRTSWLKSENNCGRIERVLKVHNMQRTLARFEEYREMVKMKASKLPKKHPRCIADGNELLRFYGTTVACSLGLNSSSSLCVSEKCCVCRIIRNGFSAKKELKEGVGVFTTSTSGRAFESIQILEEDPSIRKVLIVCRVIAGRVHKPLENIQEIAGQTGFDSLAGKMGLYSNIEELYLLNPRALLPCFVVICKP >ONI13061 pep chromosome:Prunus_persica_NCBIv2:G4:12470482:12472455:-1 gene:PRUPE_4G200600 transcript:ONI13061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVWFSLKKSLHCKSERSEVHDPKSRRQLSTILTRKGGRSGCSRSIANLKDVIHGSKRHLERPPSNCSPRSIGSSEFLNPITHEVILSNSRCELKITSLGEGIGSGNINNGGGGSTFVDTLRPGTPGPGGQSTVHYFNPSFRTSSTPPRKSPFLASADNTEGSGKGSAFPGSGGIRQSNRVSFDTVAENSHGSSAITCHKCGEHFTKWEAAEAHHLSKHAVTELMEGDSSRKIVEIICRTSWLKSENNCGRIERVLKVHNMQRTLARFEEYREMVKMKASKLPKKHPRCIADGNELLRFYGTTVACSLGLNSSSSLCVSEKCCVCRIIRNGFSAKKELKEGVGVFTTSTSGRAFESIQILEEDPSIRKVLIVCRVIAGRVHKPLENIQEIAGQTGFDSLAGKMGLYSNIEELYLLNPRALLPCFVVICKP >ONI13702 pep chromosome:Prunus_persica_NCBIv2:G4:15750907:15753330:1 gene:PRUPE_4G239700 transcript:ONI13702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGVFSVFVGASVLVFLGGVEVGFSQSGAYAKNYISWADLKVDEQKEGLNSRNEFVNGSRVIVVDKNGGGDSLTVQGAVDMVPEQNTDRVKIYILPGIYREKVLVPISKPYISFIGNQNQTSDTVITWNNKASDRDDTGSELGTYRTASVAIEADYFCATGITFENSVVAVPGGYGMQAVALRIAGDKAMFFKVKVLGTQDTLLDETGSHYFYHSHIQGSVDFIFGRSRSLYQDCVLQSTATSSGAIAAHHRDSPYEDTGFSFMNCKIIGTGSILLGRAWGAYSRAVYSYCHFDDIITPSGWSDWNHPERQKTVEFGEYHCRGRGAAERRGRVPWLKSFSFEEIRPFLDTKFIYGEQWLKL >ONI11677 pep chromosome:Prunus_persica_NCBIv2:G4:6496483:6501181:1 gene:PRUPE_4G120100 transcript:ONI11677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATNIVVPFLLVLFVHLSFGLVLSKAKLGGNTTLSVLLEVKRSFVEDPEKVLHAWSESNPNFCTWRGVSCGLDSVDGSVQVVGLNLSDSSLTGSISPSLGSLQNLLHLDLSSNGLTGPIPPALSNLSSLESLLLFSNQLSGPIPTQLGSLSSLRVMRIGDNELTGHIPASFGNLVNLVTLGLASCSLNGPIPPQIGRLGQLENLIMQLNQLEGPIPAELGNCSSLTVFTAAQNHLNGSVPEELGLLQNLQLLNLGNNSLSGEIPGQLGRLSQLGYLNLVGNQLEGPIPKSLAQLGNLQSLDLSMNKLTGGIPEEMGNMAQLVYLVLSNNNLSGVIPRTLCSNTTSLEHLMISDAAIFGEIPVELSQCQSMKQLDLSNNLLNGSIPVELHELVGLTDLLLHNNSFVGSISPYLGNLSNLQTLVLYHNNLQGPLPREIGMLGKLEILYLYDNQLSGEIPIEIGNCSSLQMIDFFGNRLSGEIPITIGRLKDLNLLHIRQNELVGELPATLGNCHQLTILDLADNRLSGGIPITFGSLQAMEQFMLYNNSLEGNLPDTLANMGNLTRVNLSRNKLNGSIAALCSSSSFLSFDVTDNAFDHEIPSQLGNSPSLERLRLGNNQFTGPIPQTLGNISELSLLDVSGNSLTGPIPAELSSCKKLAHIDLNNNFLSGAIPRWLGGLPQLGELKLSSNQFTGPPPQELFNCSKLLVLSLNDNSLNGTLPAEIGNLESLNVLNLNHNLFLGSIPPAIGKLGKLYELRLSQNGFDGDIPFELGQLQNLQSILDLSYNNLSGQIPASIGTLTKLEALDLSHNQLVGEVPSPVAGMISLGKLNLSYNNLQGKLSKQLSHWPAEAFAGNLHLCGSPLGKCGVRRQQSGPSESAVVVIAAICTLSAIALLIFGAASLLQHKQEVFRKASEVNCLYSSSSSHAQRRLLFPNGSVKPDFKWKDIMEATKNLSNEFVIGSGGSGIIYKAELSSGETVAVKKILYKDDLMANKSFTREIKTLGRIRHRHLVKLMGYCSNKGAGSNLLIYEYMENGSVWDWIHQQPATSKKKSLDWEARLKIAVGLAQGVEYLHHDCVPKIIHRDIKSSNVLLDSNMEAHLGDFGLAKAINENYESNTESNTWFAGSFGYIAPEYAYSLKATEKSDVYSLGIVLMELVSGKMPTDASFGMEMDMVRWVETHIEMQDSKRDELIDSALKPLISGEECAAFQVLEIALQCTKTSPAERPSSRQACDQLLHVFNHRMAEFEKTNIDSYTT >ONI13462 pep chromosome:Prunus_persica_NCBIv2:G4:14053850:14057893:-1 gene:PRUPE_4G223800 transcript:ONI13462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAQTSTGIDSHFSGQFVGSNDPSCPLIRLPLPPSQTHHRLLVSLTPSLAATAISSHLILLLRDTRTIWLQMPTFRKRRALKGDNVSVSSVNLEKILSMKGGKGELSYANNSKAQAKHASSMLHLLKETLDRVQLSSPEVPFVVADLGCSSGSNSISTLFPSRYIDLFHLAFSLHWLSQVPKSVLDKRSAAYNKGRVFIHGANESHCIQEAVPDRLGKLSQGLITSEKRNSFNFPGYASSLQDFKEVEEADGSFTINKLEIFNGGSPLVVNQTDNAAQVGRALANSCRSAGRVLIDARIGEHLSNELFSRVEQRSSRHAKEILEQIQFFYIVASLSLA >ONI13172 pep chromosome:Prunus_persica_NCBIv2:G4:12923231:12924610:-1 gene:PRUPE_4G207600 transcript:ONI13172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSSVLDITLFLCLITISAFHPTYSKPNGFSLKLIPRDAPESPLYPGNLTLLERIQRMIKFSEARSHYLDLISSSNSTVEIDNISLTLLRDNFFYMVQVGIGSPATLVFLLLDTGGSLIWTQCKPCQNCYIQATPIYDSTQSWSYRKLACNHPFCQGPRALYQCVNDECVYNINYGGGALTRGIASIEIFTFPFNITTSVRIADIVFGCSNDNRNIQFAKNGVISGVLGLSLNPDSLLSQLADQTQRRFSYCLVPFTEAQMAPSVVRFGDDIPAPPGGISTTPFVTRPGSPFYNLNLLDISVGSRKLGFPPGTFAYSQSGTRGFFIDSGALISQLDQNANGRNTYREVMGAFQNYYDSLHLTRIGRVPEGFQLCYSYTPQFTQFASMTYHFEGSNYVVDPRYVNFYNHEAGYFCVAMMPGNGKSILGAWHQQNMRLIYDLNTHALQFTPEICANDNP >ONI10886 pep chromosome:Prunus_persica_NCBIv2:G4:3642570:3645468:1 gene:PRUPE_4G074300 transcript:ONI10886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASLSLSSTSPQTFSLYSIRSSTKFSVSQPRPAKLSSLLLARTSSSLHYNPLRVSIGGNNDLGVLKKKKKSMGSGAVCYAAPLTVHTLQWISTISSAVLLLAKGTAVQKSFLVPLFVLQAPSAVISWFKGEYGIWTAFLALLVRLFFFIPAELELPLVALLLVIVAPYQVTNIRGRQEGAIISLVIAAYLAFQHFSRIGTLQKSFDRGLIGY >ONI10887 pep chromosome:Prunus_persica_NCBIv2:G4:3642570:3644537:1 gene:PRUPE_4G074300 transcript:ONI10887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMASLSLSSTSPQTFSLYSIRSSTKFSVSQPRPAKLSSLLLARTSSSLHYNPLRVSIGGNNDLGVLKKKKKSMGSGAVCYAAPLTVHTLQWISTISSAVLLLAKGTAVQKSFLVPLFVLQAPSAVISWFKGEYGIWTAFLALLVRLFFFIPAELELPLVALLLVIVAPYQVTNIRGRQEGAIISLVIAAYLAFQHFSRIGTLQKSFDRGSLVATLAIISITAVSGLLLF >ONI09909 pep chromosome:Prunus_persica_NCBIv2:G4:835818:837062:1 gene:PRUPE_4G017800 transcript:ONI09909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTRGPTIGHGSSATVSIAKSHGSGEVFAVKSAKLSQSHSLQMEQTILSTLSSAQIVSYKGCNTSTENGTVFYNIFLEYAPGGTLSDAIRRQGGCLNEAAIRSYTRQILLGLHHLHSNQIAHCDIKGQNVLVTNNGAEVKLADLGCAKRVNFAHGLPIGGTPVYMAPEVARGEQQGLAADVWALGCTVIEMATGRAPWPCVSDPVSAMYRIGFSGEVPEIPSSLSKQGRDFVTKCLMRDPMERWSAGELLKHEFLFEAPNYWLSPTTVLDHGLWEEEFDLDLEHIWEPTHQSGCPNSARGRIRQLSEGKAVSFSGVTNWACDDEDFWVTVRSNDIEHPSTTLHWNEYKAGDICDDEPQPTKTNAKTTSGGGLGLDASEAASFSSRHRKKSRAWTASKCNQYVFCGNLNFVNREL >ONI13739 pep chromosome:Prunus_persica_NCBIv2:G4:16002495:16004094:1 gene:PRUPE_4G242400 transcript:ONI13739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRGLCFSQENQLLQVILDEKPVGNPLCGQENLLHDGEGVREQLVQEVEDQNEIGHELLPTVETVTQTAQQSENVTNYDDQVEHPDVQSESDEQSVPSENFELSWMCSNNLGPKVEISDGCSVSDDDDDSLIEINLPASEPKEKLQSNLPDSIFRQQGLREQLADINEVNEEDNLIEIDISMGSIKCPRFEIEA >ONI11965 pep chromosome:Prunus_persica_NCBIv2:G4:7632533:7637675:-1 gene:PRUPE_4G137300 transcript:ONI11965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQMDTESASVLRGFRPNLYKTTLCLAYQSLGIVYGDLSISPIYVYKSTFSGDLHLYEDDHEILGVLSIVFWTLTLIPLCKYIIFVLGADDNGEGGTFALYSSLCRHSRMGLLNTVHPAHERISSYCSEIPTKDTRMSLLIKEFFEKHKSSRIVLLLVVFLGTGMIIGDGILTPTMSVLSAVYGIRIKAPDLHENYTVFIACIILVGLFALQHYGTHKVGFLFAPIMLIWLLCISGVGMYNIFCWNPRVIGALSPYYIYNFFRKTGRIGWSSLGGVVLCLTGTEAMFADLGHFSKLSIRIAFTGLVYPCLVLAYMGEAAYLSKNKMDISSSFYKAIPEVMFWPVLIIATLASVVGSQAIISATFSIVSQCRALRCFPRVKIKHTSNQIHGQIYIPEVNWMLMISCLAVVIGFRDTRMIGNAYGLAGVTVMFITTCLMFLIISTVWKKNVLLAVLFFVVFGSLELLYISACLGKVHHGGWLPLLFALVIVSLMSIWNYGTMKKDAFELENKVSLDRLISLGPSLGIARVPGICLLYSNVAFGLPPMFAHFVTNFPAFHHTLIFVTLKSLMVPKVPVVERFHVNRIGPPELSIFRCIVRYGYKEVRDCYNFETQLIEKVAEFLKQESTIEDMTVRGQSPNQISAAVGNEVCRDIAEQRRKEVSGGSGEQWSNTGFHSLGSCQEMKKLMEAREAGGVAYMMGNPYIVASGVSPFLKKFAINIVYGFLRRNCRRPAIALGVPHTSLLEVGMLYQV >ONI11721 pep chromosome:Prunus_persica_NCBIv2:G4:6682564:6685765:1 gene:PRUPE_4G122900 transcript:ONI11721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYHLSIAHYFLIFFLASSYPHTSKLHFGDALPSSKPCIDEERRALLAFKQDLTDLSGRLSSWVGQACCQWKGISCKKITGHVEKIDLQNTYTYTLSVFDGEWDEMENSSLGGKINPSLLSLKHLSYLDLSRNDFQGIPIPTFFGQLKSLRYLNISRASFGGEIPAHLGNLSNLNYLDLSEESYYSLLELPSNNLKWLSNLSSLKYLSLEGVDLSNTGVSLVIAFNKFPSLLELHLPECHIKSLPFSLGNVNFTSLLFLDRSYNDLKFPLPEWFFYLTSLRKLDLSGNFLGGPVPSEFQSLKSLEALDLSFNDLSGQIPKIFGNFCNLKTLNLANNQFEGGIQQLLGGLSSCPNSELESLDLSSNKLKSQLPVSIGMLHNLKYLNLYNNDMSGSIPKSLGQLSELVHLDLSFNSWEGFLTEAHFTNLTRLKYFSLGKLIPNPTLPIPLIFNVSYEWVPPFKLHKINIGNCKIGPDFGAWLQSQTQLVFVKLSSTGISDSIPEDWFMKLSSQIEYLDLSSNQIHGKLPLQLKFPNALLLDLSHNQFDGPIPLWSGDNVVRFKFETNSFSGTIPLNFDQKFPKLESFYLAENHLHGIIPPSICNMKHLYILSLRNNKLSGEFPQAWSLLPDIMIVDVAYNNLSGNLPSSMGDSGSLFMLKMNNNNFEGEIPFSLQTCTALRNIDLGNNRFTGEIPPWIGSTAFLVSTLRLRSNFLSGHIPQQLCNLGYLHILDLAHNRFSGTIPKCLNNLTGLRIFNNSFYNIYLEYDQQTTVMRGRELQLNTSLAYVKNIDLSSNRFEGEIPQEICSLVLLRNLNLSMNQFSGNIPSKIGNLSQLDTLDLSLNHLSGQIPQSLSSLTFLSNLNFSYNNLSGEIPLGNQLQALPDSSIYEGNPFLCGFPLSTKCSEDGNSTPKDPKDNDNEDGNEKFWFYVSMALGFIVGFWGVFGTLIVKKSWRYAYFRWFDDIKDKATLCVRRLVCQE >ONI09932 pep chromosome:Prunus_persica_NCBIv2:G4:902765:905598:1 gene:PRUPE_4G019400 transcript:ONI09932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAEAKSAAPSAELLEWPKKDNRRLLHAVYRVGDLDRTIKFYTEALGMKLLRKRDIPEEKYSNAFLGFGPEESHFVVELTYNYGVSSYDIGTGFGHFAIATPDVKKLVEEVRAKGGNVTREPGPVKGGNSIIAFVKDPDGYTFEIIQRPSTPEPLCQVMLRVGDLERSIKFYEKALGLKLLRTIERPEYKYNIAILGYAEEDQTTILELTYNYGVTEYTKGNAYAQIAIGTDDVYKSAEVVNLVTQELGGKITRQPGPIPGLNTKITSFLDPDGWKTVLVDNEDFLKELQ >ONI12865 pep chromosome:Prunus_persica_NCBIv2:G4:11192916:11193704:1 gene:PRUPE_4G187900 transcript:ONI12865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFCFLVDQRRKVRRSKPVAGTCSRCGRGASVADLRTSTRFCYVPFYWKSWKAIMCTFCGAILKSYR >ONI12864 pep chromosome:Prunus_persica_NCBIv2:G4:11192916:11193704:1 gene:PRUPE_4G187900 transcript:ONI12864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFCFLVDQRRKVRRSKPVAGTCSRCGRGASVADLRTSTRFEVGTVLMMS >ONI13822 pep chromosome:Prunus_persica_NCBIv2:G4:16482037:16483592:1 gene:PRUPE_4G247700 transcript:ONI13822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSILKSESRCPLREQFLQKMISRENLDRFIPNKSATDFDFADFMLTEGRKDPKPNVKPRIGRWCRLSGWRLCLLWLNLRELVWILTLVAKEVDGSCAVVVVVPITQVWFFQIV >ONI13592 pep chromosome:Prunus_persica_NCBIv2:G4:14895735:14899267:-1 gene:PRUPE_4G232400 transcript:ONI13592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGEIPGQLTDLTTLENSTLRKTNFTISWQKGKDCCAWSGVTCEKMTGHVIGLNLGSGGLQGNIHSNSSLFSLGHLKRLDLSSNDFGGSPIPSKFGGFVSMTHLDLSYSNFSGPIPSEISHLSTLSNWSSPLEMLDLSHTRISVDWHHLTRNFKSLRDLSLSNYSFVGSYLAFLANLTQIMRLDLSYNSFGGQIPWSLFLNLESLVELYLSGNNYVGQFPEVDSNSTSNSSLYDFSKQQLVGHIPRQLITLFLDGNQLNGTIPSWLGSLPSLELLYLGSNQLSGNIIEFQSRSLSWLGLRNNKLDGLIPRSIFELENLHYLDLSSNQLSGNIIEFQSRSLSGLYLSDNKLDGLIPRSIFELEKVMDLDLSSNNLSGIVEFGSKLQSLLTLNLSFNYLSLSFNHLSNNTWPQLFSLDLSSCNISEFPYFLRAAPNLDRLSLSHNRIQANIPKWLLDLGKDSLGYLDLSHNSLTGTVGPLRWKNLYYLDLRNNSLQGELPIPSPSTSYIFISNNQFTGEIPPTICSLSSLQILDLSNNKLSGKIHQCIENFSQSLSVLNLRNNQFHGVIPDTFSEGNVLRNLDLNGNQLEGSLPQSLLTCRELEVLDLGNNKIEDTFPNWLESLPKLQVLILRSNKFSGEICFPKTKFPFQKLHIIDLSNNRFSGLLPTKYFENLTAMINSQEHGLKYMGGRYYQDTVVVTIKGFKIEMKKILTYFIIIDFSNNTFRGEISSVISKLKSLKGLNFSHNELAGTIPPSFGEMNNLEWLDLSSNKLVGDIPEQLVNLTSLSKFNVSKNQLVGPIPRGKQFDTFENDSYSGNTGLCGLPLSKTCSAPHQSLASSFQQEDDLEHGNGFDWKVVLMGYASGVW >ONI13226 pep chromosome:Prunus_persica_NCBIv2:G4:13165502:13168927:1 gene:PRUPE_4G211100 transcript:ONI13226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSVYEAAESGDVGFFRRADASIDLLCQKTPAHNSIFHIAAEYKRIHFFRDVLDDPSLLFWAPNKKGNTPLHVAARVGCDEIVKLLIEHAKKLLHIEGADEERGPTNGEANKLLRMTNFQEDTALHVAARCGHVEVVNLLMAEDPGLCCLINFNKESPLFLATRHGFPLVARSILNECPISPSFRGFNGVTTLHVAVTYMDEEWKGIVETMVSKYPDIIKKADDALRWTSLHYAAFGGNLEATQLLMQRDSSVSYILDKSGMSALHVAAYAGHTDVMEEMIRCRPDICDLVNHYGQTALHAAVLGGRTNVIMHSLNVSKLAVLINEADVDGNTPLHLAAIKKNPEIIRALTGDPRVDKIATNGKFSQAYNICLSQNIGEQEIFGSSSPRVLDNLGSSIGLPYFHGQIRRDFWNVPEKDTIEGEKEHEQRRGSRLLIATVVAGITFAATFVDTGASGSDGRGIELEVFYVLNLLSLLSSFFVIYNEIVGKNLVMPTRSASEFTRLSVSAMLVANIAWLSATQRGDTELSLLESVHFIISHIIVFLCFFFLPELTEALRTKEKQRQLYNRGFSFSAWYVLAYIRKKFQKQHAL >ONI10185 pep chromosome:Prunus_persica_NCBIv2:G4:1548532:1552419:-1 gene:PRUPE_4G033200 transcript:ONI10185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAILRVCQMMGIASKNPGFKFHLFSFLFTFSSLALFCSAASSITQGRSLSDGETLISDGEKFELGFFSPENSSFRYVGIWYYNISTSEPSVIWVANRERPIFDKTGILKIGSDGNVVVLDGNNTAVWSSNASASSHSTAILNDEGNLVLSSSGDTSKEYWQSFGDPTNTFLPGMKVEVNSAIGENRFLTSWKSENDPSPGAYSMGVDPRGSPQIVIWEGSNRRWRSGHWNKQLFIGVPNMPTTYSYGFKLSDENGNGSMYFTYTPWNVSDKLRFQIRWDGYEEQLRWVGDKNQWEVIQSQPNKSNDCELYNRCGKFGVCSASHGSGSECSCMHGFQPTDWDQWIRRNWSDGCSRKTLLQCHRNRTIGTEENDEEDGFVGLRCAKLPDFADLVVPGSDENCEEICLKNCSCTAYAFVQGIGCMIWTEDLLDVQKFTKGGNTLNIRLAHSDLGGKKKLSTLVIIVISVAGALFLVIFMLLLWRFKAKLKVLPTTSSISWLRNKDPPMLDASKSNEFSTDVSGSIDLFAEGNQVNGSELPLFNFACVAAATNNFSEENKLGKGGFGTVYKGNLPGLQEVAIKRLSRRSGQGLEEFKNEISLIAKLQHRNLVRLLGCCIQGEEKMLLYEYMPNKSLDFFLFDPSKQALLDWRRRFAIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDADMIPKISDFGMARIFGGNQNEANTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSLRSSEHLSLIGFAWHLWNENRAVDLIDPSIAETCSQNQAELLRCIQVGLLCVQDSAISRPTMPSVVLMLESETANLQVPIQPIFTSIRRYVDTKFSTDGQDLASSNDVTITMVDGR >ONI13337 pep chromosome:Prunus_persica_NCBIv2:G4:13519919:13522539:1 gene:PRUPE_4G216100 transcript:ONI13337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTASSPSPSSLLRASFSSHEPKAPWTSSVSQSDQFSFTSTAGPSCQIPSLLKPISAETHRGKSVVPLAAKAAAEVDKNNVAEDYENLAKEMENASPLEIMEKALVKFGNDIAIAFSGAEDIALIEYAKLTGRPFRVFSLDTGRLNPETYQFFETVEKHYGIHIEYMFPDAVEVQGLVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRAEIPIVQVDPSFEGIDGGIGSLVKWNPVANVEGPDIWNFLQVMNVPVNPLHSQGYISIGCEPCTRPVLPGQHEREGRWWWEDAEAKECGLHKGNIKKDEALHLNGNGNEISATNGSANVPDIFNSKNLVSLSRTGIENLTRLENRSEPWLVVLYAPWCRFCQAMEGSYIELAETLAGTGVKVGKFRADGKHKAYAQQELQLGSFPTILFYPKHASNPIKYPSEKRDVATLLAFVNALR >ONI14623 pep chromosome:Prunus_persica_NCBIv2:G4:25397850:25400883:-1 gene:PRUPE_4G288700 transcript:ONI14623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSTGGWGAKRDRSEKKSKVERWALGFVDWICGGVLIQVIRKSDSRVQNSECPILQTQFRAHYISCASPGFF >ONI11273 pep chromosome:Prunus_persica_NCBIv2:G4:4973344:4974411:1 gene:PRUPE_4G097900 transcript:ONI11273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFNYLLCFFFFFCFSAFCRSELSISTSFFPLFFRKECNPNWPNNRQSLADCAIGFGQYALGGKGGEYYIVTDSSNDDAVNPRPGTLSYAVIQTEPLWIVFPGNMLIKLSQELIFNSYKTLDGRGANVHIVGGGCITLQFISNVIIHNVHIHNCYPSGGANMRSSPTHYGYRTKSDGF >ONI12065 pep chromosome:Prunus_persica_NCBIv2:G4:8157896:8159708:-1 gene:PRUPE_4G142100 transcript:ONI12065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEVEIISKEIIKPFSPTPKHLRRHQFSFLDQINPPIYSSLKSLSEVLTLFYPLAGRLRVENHFVDCNDEGIPYLEGQVKPNCQLCDFLNDPVPDDLQKFVPFEAHDHNEFSLGVQLNMFECGGFAIGLSVSHKLADGLSMLMPTKTWAAISRGEYDNLEAKIEHPEFVSATLFPPKEMTRYYSHSGITKNKVTKRFVFDSSAIEDLREKYTSLENNEKRPSRVETLSAFIWRRFVEATKADPDQNIDDKNKLHMVIQTVNLRPRIDPPLQQNSFGNICHFFMISSSGDDDYDDEDEESCHGMVRRVREEISKIDKDYLKRLQQGDEHFKFMNRLALTSIRSAGKVVTSYFSSLCRFPLYDMDFGWGRPAWVGIQPLPLNNLIVFVDTKETGGIEAYVTLADQHVMAKFETTKKDFLLLSCATSHLTLKNF >ONI12629 pep chromosome:Prunus_persica_NCBIv2:G4:10435004:10436194:1 gene:PRUPE_4G176200 transcript:ONI12629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSPKSPTKSGSEIPSPNSKEIRYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDKAAREFRGAKAKTNFPTPAELQLEAAANINSHNNNVPKAAAANNSPSQSSTVESSSPPPPPLDLALAPHFAAGGGYFTAASGFRAVPVARPVLFFDAFARAENAAALQRAREMCRFERAVVAPSSGGAHSDSSSSSVVDFDRSLPNGRLDLDLNLPPPSEVA >ONI13225 pep chromosome:Prunus_persica_NCBIv2:G4:13159666:13161661:-1 gene:PRUPE_4G211000 transcript:ONI13225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLLVTLFFLVIPIFFLIMRRRKSSKRLPPGSLGLPIIGQSLGFLRAMRNNTAEKWLEQRITKYGPVSKLSLFGKPTVFIHGQAANKYVFNNDGKTITSQQPESTRMILGDRNILELSGEDHKRVRNALMVFLKPESLKQYVGKLDEEIRKHLELNWHGKQKITVLPLMKNLTFNIICSLLFGVERGPRRDELIECFQRMIEGLWSVPLNLPFTRFNCSIKASKKVQNMLKELICEKRMQLEQKTALPLQDLITCLLSIRNADNEEELTEKEILHNIIIVMVAGYDTSSVVITFLLRLLANEPAVYAALLQEQEEIARSKSLGELLTWEDLAKMKYTWRVTMEILRTTPPVFGGMRRAMKDIEYGGFLIPQGWQIFWAIPMTHNDDSIFPEPSKFDPSRFENQKSVPPYSFVPFGGGTRICPGYEFARIEILVAIHYMVTQFTWKLCADNKFSRVPMPVPTQGLPIEITPRKQM >ONI13281 pep chromosome:Prunus_persica_NCBIv2:G4:13312816:13315995:1 gene:PRUPE_4G213400 transcript:ONI13281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPNEINSLDQVEFMYVQDNALKGHVPMTVFNMSSLTMLSLFGNSLNGGIPDNICQHLPNIQQCKELLELVLDNNNFSGSIPKNIGNLTQLMEIYIAYNNVNSVHFIVFSFGVNNFNGPIPSTIFNMSMLTAISLASNQLSGSLPADIGLRLPNLELLYIGMNKLSGAIPNFISNNGSKLTYTLCALTNLDLLSLHANNLTIDTLTPEANILFCLANLRNLTILYLEGNPLHTTLPVAFGNLSPSFQYLSLYNCKLRGNIPNDVGNLSSLITLDMGNNQLTGSIPTSNDNKLQGYIPFEICQLDNLAELLLGGNGNQLSGSLPSCLGDFSAALRILSLGPNLLTSTIPSTLWGLEYILNLDLSSNLLNGSLSEDIGKLKVPTALDLSNNQLSGSVPSNIGGLKDLVQLSLSPKTIYSKEKFQQVDLFKTSPLNHLSRTGLCGAARLHFTQPEVSYSRDHISITPGGLCINVHTTQEKESGSCNRHCLVTSTSLKKSFTPITSKRNGNLLDTGVLDQYTKIEGAFKSFDSECDVLSNMRHRNLIKIISCCSQPDFKALNKCLMEALRNVASALEYLHHGHGPNHVVHCDVKPSNILLDDDMVAHVADFGISRLLGEGDSMTQTMTLATIGYMAPEFGMEGIISTRGDVYSFSIVLMETFTRRKPTDEMFVGEMNLKQLIANSLLPDAAIVEVVDADLLGTEEDGDFVSRRDCLSSIMRLAPACCAELPEEGINMQEALITLNKIKIKFLKDCVDECSLSYSSSCFKKKYIFFFLFFICKECMSHVFGGKLKIKN >ONI10757 pep chromosome:Prunus_persica_NCBIv2:G4:3216011:3216965:1 gene:PRUPE_4G066600 transcript:ONI10757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSESEASSSSSPSPGLPNKCPPPTAPMVQLVPKSTSDRLLQKFFDAAEFDFDYEQSGLWSPPVKRTVFLSSQGRIFTEQEMLAKLSTLTDAPTSTHKACCRAFRCF >ONI10922 pep chromosome:Prunus_persica_NCBIv2:G4:3709288:3711492:1 gene:PRUPE_4G075700 transcript:ONI10922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAQELQLSIMAQEANEASSPGHTNVPNRSLLPRRRSFNWWLRVAIYAFLVIAGQSVATLLGRLYFDKGGDSKWLATVVQLCGFPVLLPYYCIPAASRNPITKNSTVQFKPQSTLILASVYGCIGLLGALDCYLYSVGLAYLPVSTYSLICASQLAFNALFSFLLNSQKFTPYIVNSLVLLTISSTLLVFQGDSGDDPSGGSKTKYAIGFICTVGASAGYGLVLSLTQLAFKRVIRKETFRVVMDMIVYESLLATCVIMVGLFASGEWKGLKKEMGMYKLGTVSYVMNLVWTAITWQLFNIGAIGLILEASSLFSNAISAMGLPVVPVLAVIFFHDKMDGIKAMAMILAIWGFISYAYQHYLDDRKSKNENKNVNGEVSKASSLEKN >ONI12078 pep chromosome:Prunus_persica_NCBIv2:G4:8216639:8219570:-1 gene:PRUPE_4G143300 transcript:ONI12078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACVNNIGMSPEKFPPATYPSYGWLSPRISFSREFPDEDASKLAGSKSSSPAKNLPAGPDPETSAGEFEFRLEDPVAMLPADELFSGGKLMPLQFSSVKATDPSLTEIRMPDTAMYHRRPEISGADPYLFSPKAPRCSSRWRELLGLKKLYQNSNANSHAKSEAQKTTSSSSSTNGPKSLKHFLHRSSRSLSSSSSCSDASLSLPLLKDSDCESVSISSRLSLSSSSSGHEHEDLPRLSLDSDKPNLNPIISIHRTNPKQARVRTVKTRETTKQRSAADQPRVGRSPMRRPVGESATVASRGVSVDSPRMNSSGKIVFQSLERSSSSPSSFNGGPRLKHRGMERSYSANVRVTPVLNVPVCSLRGSSKSGSVFGFGQLFSAPQKKEGNSAVSGHNRSQHSHYSHHSQSKNRSDRT >ONI14094 pep chromosome:Prunus_persica_NCBIv2:G4:19012343:19014051:1 gene:PRUPE_4G261500 transcript:ONI14094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGFRLSMEKRQIRDWQSLRLKRTSKVGRKPLTAHRRKKFDFGERLAIMNAKPDFRINHMGRACLHHNLILFIPHEVVIS >ONI10664 pep chromosome:Prunus_persica_NCBIv2:G4:2892550:2893540:1 gene:PRUPE_4G060700 transcript:ONI10664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGGIVKKGHEEGLALATALLQEFDLPLGLLPLADVIEVGFVRSTGYMWILQKKKVEHSFKLISKLVSYDTEIKGYIENKRIKKLKGVKAKELMLWPPVSEIIVDQPTGKINFKSLAGITKTFPVEAFAAGQ >ONI12774 pep chromosome:Prunus_persica_NCBIv2:G4:10818810:10819608:-1 gene:PRUPE_4G182700 transcript:ONI12774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAVLRFGNNFLDKYQSKHPSESPKPLLIIVVIMNMSQALKIVIPPPVFSLLTFIGTIIFVYVCIIAFSIILSLLVIALFYVVCEFVWTPLYERRNRDPEAGDERLSFQPNREEWHDQATFYQAAVLHNLRVLEILDSIVEVLEERRDQRHQRDREQLLENKLPPPIAYGSHEIEMNCKDCVICMEDFETDQLCQVFPSCNHTFHSKCMNTWLKKSLTCPICRNSVLDL >ONI12611 pep chromosome:Prunus_persica_NCBIv2:G4:10325788:10326614:1 gene:PRUPE_4G174500 transcript:ONI12611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVVEMDSVNAVNLILSNDLNFCHPMAGLVHSCKRLMSQIPKCFLHHIYREKNAVADRLAAWSHDIDLGCWFLEDNPTWLGPLLLDDSIGVTETRIISSV >ONI11962 pep chromosome:Prunus_persica_NCBIv2:G4:7625506:7627524:1 gene:PRUPE_4G137000 transcript:ONI11962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANELRTPLTQSKGSSFLKACFNGTNAFLGAGLVTLPYALSRAGWLSLVLLFLISIITFYTAILLKKCMDSDPSITSYLDIAERAFGKTARIIVLIILSTELYLVAVGLIILESDSLYKLFPKFRIKLGSLLICGRQSFVLVTALIILPTMLITEMSILSYVSATGVFCCLIIVGSIVCVGAFGGVGFHASGDLLNVGGLPTAVSLYIFCFAGHAITPSIYISMRDKNQFSKVVFFSFLLITITCMVTAVTGYLMYGDGVESQITLNLPTTEVSARVAIYTILLIPIARYALMVTPVANAIEGGLPEDYKNWRSVKLLIRMALLVSCTVVAYVFPYYETLMAIVGSLFTVSVSFILPCMCYLKLSACYRSGNYKLLGSVGIIAFGTLVGVLGTYSSIVELVQEY >ONI10996 pep chromosome:Prunus_persica_NCBIv2:G4:3959094:3961495:-1 gene:PRUPE_4G081200 transcript:ONI10996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFSRISTFRIFLLCSLCFSDFGFLQGVSSLGINYGQLGNNLLPPEKVLDLLSSLRITKARIYDTNPQVLTAFANSGVELMVTIENAMLGQLSNPQAAFQWVTSHIKPYVPATKITSIAVGNEVFTDDDTTVLLSNLVPAMVSIQGALAQLGLDQYIKLSTPCSLAVLQESYPPSAGSFKTEVSGVMSQLLQFLQTTKAPFWINAYPYFAYKGDPNRVSLDYVLFNPNQGMVDPFTKLQYDNMLYAQVDAVIYAMARLGFNGIEVKVSETGWPSNGDENEIGATLQNAAIYNRNLLRRQLQNEGTPLRPKLRLEVYVFALFNENMKPGPSSERNYGLFHPDGTMAYNVGLSSVSTNSATTPSTTSSSVSDFTSSATKAATMEYNQSWVYWMLVCVLSFQVYMRRPF >ONI10249 pep chromosome:Prunus_persica_NCBIv2:G4:1734629:1738890:1 gene:PRUPE_4G036600 transcript:ONI10249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEQRRQPHSPNPDTEITENPTEQDYAWPAIRFDVPPQRTYHFCHQFRASPNPNNFLKGVKWSPDGSCFLTSSEDNMLRVFALPDYGSDNVNACSVAADEDSYAANSVVNEGESVYDFCWYPYMSASDPVTSVFATTSRDHPIHLWDASSGQLRCTYRAYDAVDEITAAFSIAFNPTGTKIFAGYNKSVRVFDLHRPGRDFEQYSTVQGNKEGQTGIMSAMAFCPTHSGMLAIGSYSKTAAIYREDNMELLYVLHGHEGGITHVQFSKDGNYLYTGGRKDPYILCWDMRKAVDVVYKLYRSSEDTNQRILFDIDPLGRHLCTGGQDGIVHTYDLQTGQWVSGFQAALDTINGFSFHPFLPMATSSSGQRRFVGPDDGNEELHLSGEENCASVWSFSVASVVENDVNIDGDDHNSHNLHQDP >ONI10451 pep chromosome:Prunus_persica_NCBIv2:G4:2306574:2308412:1 gene:PRUPE_4G048200 transcript:ONI10451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPATAQQLLAASFSGMVFGFLEDCQEFRESYSSSSDGSQENEAFLLDEEEEEAGDQEEKDRRFWENQHQLLQTTLCRTSSLETRIRSVTKEAVNEINREGTECGCGKPMASGCRSCLMREVSGRLCNAGYNSAICKSKWRSSPDIPSGEHTFIDVVDSSNPKKGEVRVIIELNFRAEFEMARASNEYNNLVNRLPEVFVGKVERLQNLIKILCSAAKKCMKEKKMHMGPWRKQKYMQAKWLSPCKRTTSTPLLSVGNSGRLRPKPKESMLTVDLLEMLPNSYCITAVEVV >ONI10574 pep chromosome:Prunus_persica_NCBIv2:G4:2630322:2633221:-1 gene:PRUPE_4G054600 transcript:ONI10574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSSAKPPPKKRMDKLDTVFSCPFCSHGTSVECRIDMKNLIGEASCRICQESFSTTITALSEPIDVYSEWIDECERVNNLEDDGDGA >ONI11026 pep chromosome:Prunus_persica_NCBIv2:G4:4062975:4068480:1 gene:PRUPE_4G083300 transcript:ONI11026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRPLQSVLSNLSSNRVIWSGRRSFGAIPQATLPSSSQELISFEYEHSAHNYHPIPVVFSQARGSSIWDPEGNRYLDFLSAYSAVNQGHCHPKIMKALQEQAERLTLSSRAFYNDRFPRFAEYLTSMLGYDMVLPMNTGAEAVETALKLARKWGYEKKKIPKNEAIIVSCCGCFHGRTLAVISMSCDNDATRGFGPLLPGHLKTDFGDAVGLEKIFEEYGDRIAGFLFEPIQGEAGVIIPPDGYLKSVRELCSKYNILMIADEIQSGLARSGKMLACDWEEVRPDVVILGKALGGGVIPVSAVLADKDVMLCIQPGEHGSTFGGNPLASAVAVASLDVIRDERLAERSAQMGQELRNQLLQIQQQYPNYIKEVRGRVEGERNSCQANT >ONI11025 pep chromosome:Prunus_persica_NCBIv2:G4:4062803:4068728:1 gene:PRUPE_4G083300 transcript:ONI11025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRPLQSVLSNLSSNRVIWSGRRSFGAIPQATLPSSSQELISFEYEHSAHNYHPIPVVFSQARGSSIWDPEGNRYLDFLSAYSAVNQGHCHPKIMKALQEQAERLTLSSRAFYNDRFPRFAEYLTSMLGYDMVLPMNTGAEAVETALKLARKWGYEKKKIPKNEAIIVSCCGCFHGRTLAVISMSCDNDATRGFGPLLPGHLKTDFGDAVGLEKIFEEYGDRIAGFLFEPIQGEAGVIIPPDGYLKSVRELCSKYNILMIADEIQSGLARSGKMLACDWEEVRPDVVILGKALGGGVIPVSAVLADKDVMLCIQPGEHGSTFGGNPLASAVAVASLDVIRDERLAERSAQMGQELRNQLLQIQQQYPNYIKEVRGRGLFNAVELNSKNLFPVSAYDICLKLKERGILAKPTHDTIIRLTPPLSMSLEELQEGSKALSDVLELDLPKMQKEKPKNISLDASNICDRCGRDL >ONI12928 pep chromosome:Prunus_persica_NCBIv2:G4:11563411:11571968:-1 gene:PRUPE_4G192200 transcript:ONI12928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPMDLLRSNLSRVRIPEPTNRIYKQECCISFDTPRSEGGLFVDMNTFLAFGKECVGWNYNKTGNPVYLHIKQTKVLVPEDRPLKKPTLMALGVDGGFDNNEPEYEETHSIVLLPDYVTLPFPSVELPEKVRLAVDAILLAEGAERKEQLAAWTAEKKQVSAYAMNLQQIDNGIVVPSSGWKCSKCDKRENLWLNLTDGTILCGRKNWDGTGGNNHAIEHYKETCYPLAVKLGTITADLEGADVFSYPEDDSVLDPLLAQHLAFFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGQEVEPIFGPGYTGLVNLGNSCYMAATMQVVFSTHSFYSGYYKNQSLKLAFEKAPADPTVDLNMQLTKLAHGLLSGKYSIPVAEGKDDPNATATTTKSKQEGIPPRMFKAVIAASHPEFSSMRQQDALEFFLHFLDQVERAHAGKPEADPARSFKFGIEDRILCSSGKVAYNRRLDYILSLNIPLHAATNKEELEAFQKLKAEKISEGKEVSSDEIVRPRVPLEACLASFSSPEEIQDFYSTALKAKTTAVKSGGLTSFPDYLVLHMRKFVMEVGWVPKKLDVYVDVPDIIDISHMRSKGLQPGEELLPEGVPGEEEEPNKPVADESIVSQLVSMGFSHLHCQKAAINTSNTGVEEAMNWLLSHMDDPDIDAPISEGGQNVVDQSKVDMLLSFGFQEDVARTALKASGGDIEKATDWIFNNPDASVSTDMDATTSENAPTAADTGLPDGGGKYRLIGIVSHIGTSTQCGHYVAHIFKDGRWVIFNDDKVGASVNTPKDMGYLYFFERLNS >ONI12509 pep chromosome:Prunus_persica_NCBIv2:G4:9946530:9949112:1 gene:PRUPE_4G169300 transcript:ONI12509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQVYNLMAHGFLLFLLLSCIISTNIHACKQTERSSLLSFASTLSSPPLNWTCLDCCRWKGITCDQDGWVTRLHLPSKGLQGGISPFSLANLTHLTHLNLSHNSLYGSLETQFFLSLNQLEILDLSYNRLFGELPLSLPSIYIRTVDLSSNHFVGAIPSSFFQQASNLTSFNVSNNSFTGYVPSSICQQHSSPFLRLLDFSSNVFSGNLAPGLGKCSKLQVFRAGHNNLSGLFPEDIYNATELEEIALPLNSLHGAISDKIVNLTNLATLDLYYNHFGGELPLNLGKLSKLKFVTFDFNNLEGALPPSLMNCINLVELRLGSNNLEGDISMLDFSRLSQLTKLDLRANNFTGTVPRSLYSCRSLKAIGLSGNHLEGQIEAEILSLKSLSFLSLAFNQFTNLTGAMKILMNCRSLHALLLSGSFVGEGMPSDDDMVDFHGFQNLRLLALAGSNLTGQIPLWLSKLKNLEILGLGFNQITGPIPSWLGTLPRLFYISLSHNRISGEFPQQLCRLPRLLYEPIASQVDNYEFEFPVFSTSISIGANQTYPQQKLYFLPGRIEVSNNNISGYIPAEIGQLQLLRKLALDSNNFSGIIPDQISNLKNLEVLDLSTNHLSGIIPSSLASLNFLKEFNASYNNLQGPIPTGTQLQSFNASVFEGNPKLCGAPLPNKCKPSKGIDAYNKNNKDVDNGLHQLPWFHIFVALGFIVGFWGVCGSLVINKTWRYVYFQFIDNLQDRLYVMIS >ONI10552 pep chromosome:Prunus_persica_NCBIv2:G4:2549087:2549818:-1 gene:PRUPE_4G052900 transcript:ONI10552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKIQAEESRHWPDDEQKLPLLQDMPKSVTEEERTLIQKAIRQTFQSTAHLANLLPTGTVLAFQLLAPIFSNQGNCDSVSRSMTAGLVALCGASCFLLSFTDSYRDKNGNVCYGFATFRGLWIIDGSTTLSPEVDANFQLRFIDFLHAFMSILVFAAVALFDQNVVNCFYPTPSDKAQEILTALPVGIGVICSMLFVVFPTKRHGIGFPLSVN >ONI11334 pep chromosome:Prunus_persica_NCBIv2:G4:5211489:5214366:-1 gene:PRUPE_4G102000 transcript:ONI11334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQLVCSGCRSILLYPRGATNVCCALCNIITPVPPPGTEMAQLICGGCRTLLMHTRGATSVRCSCCHTVNLAPASSQVAHVNCGNCRTTLMYPYGAPSVKCAVCHYVTNVGMTNMRVPIPVHRPNGTANSGTAPSTSASQTVVVENPMSVDESGKLVSNVVVGVTTGKK >ONI13818 pep chromosome:Prunus_persica_NCBIv2:G4:16442035:16452405:-1 gene:PRUPE_4G247300 transcript:ONI13818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTTELLCYRGITTSPTLSKTLSLLSSPFQIPKSLSSPIPRAPSLSLHTCLPSLPRLLSRLSTKDFNISITDDQEEDGEEEGEEEVGELVDESDGVDDLSTVDADDLEEDARYVVQEFSSSLSSQLRIEDEKDDQEEVGRKQRRHKSTVKSIPDHLLPRVAIVGRPNVGKSALFNRLVSGNKAIVVDEPGVTRDRLYGRSFWGDHEFMVVDTGGVLTVSKSQASVMEELAISTTIGMDGIPLASREAAVARMPSMIEKQATAAVEESSVIIFLVDGKAGLTAADEEISDWLRKNYSDKYIILAVNKCESPRKGIMQASEFWSLGFSPLPISAISGTGTGELLDLVCSGLKNTEDPEDFAEQEDYVPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDIEFIGPDGQKFRLIDTAGIRRRAVVASSGSMTEALSVNRAFRAIRRSDVVALVIEALACITEQDCKIAERIEREGKGCLIVVNKWDTIPNKNQQTATYYEQDVREKLRVLGWAPIVYSTAIAGQSVDKIIIAASTVEKERSRRLSTSVVNQVVQEALAFKSPPRTRGGKRGRIYYCTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRSDAGFLGTPIRLLWSNRRKTEKEEGRAATRTQANLVPRDRKLELAT >ONI13497 pep chromosome:Prunus_persica_NCBIv2:G4:14283482:14284244:1 gene:PRUPE_4G226000 transcript:ONI13497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKTFLLLGFMFALVILISSEVSARELVETASQVASRPYFERGPVTGYRSPPPPPGEYRHDQGHHEKPGLGVAETETGN >ONI12397 pep chromosome:Prunus_persica_NCBIv2:G4:9279194:9282533:-1 gene:PRUPE_4G161800 transcript:ONI12397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRNHSTWRPIIRTQTVILVTCSTRGSNLGFQRCITSPVRVEPCPPCFKTESLKFKVTGGGEPKPTSVAISPDELGSGSVVRIRFVPTDSSLFSGWRALNTNLGGVVVAVTGASPTLNWTSVNCCHWKGITCDQDGWVTHLHLPSKGLKGGMFPPLGNLTHLTHLNLSNNSLYGSLQTEFFSSLKHLEILDLSYNLLSRELPLYLPSSSIKKLDLSSNQFQGAIPSSFFQQTRNLISFNVSNNILSGPFPSSICLKHSSRLTRNCQLTNLAILDLYYNQLSGVLPLNFGKLSKLKLLNLDFNHLEGALPPSLMNCTNLIEIHLASNNLEGDISTLNFSKLSQLSKIDIFINEFIGILPISLYSCRSLKAIRLSGNYIEGQIQAEILSLKSLSFLSLGFDRLTNVTEAMKILMSCKSLHVLFLTNSFEGEVMPGYDDMVDFDGFQNLRYLSLANCQLTGQIPVWLSKLKNLEILHLENNQITGPIPSWLGTLPRLFSLNLAGNRISGEFPKELCGLPRLLYEPFEEDTYELELPPLGHKPANPTFLPRRLSFIPAMIDLSRNNIDGDIPNETSQLHLLCLLLLHSNSFSSVIPNQISNLKNLEELTLSMNHLSGKIPWSLTALNFLKKFDVSYNNLEGPMPTSTQIQSFDASAFEGNPKLCGAPSLNKCETNNTIDADGKNKHAGYGHPHQLPWFYISSVVLGFIVGFWGVCGSLIIKKRWRYAYFGFIDNVQDRLYVMMTVSMNRIKRRLRA >ONI12963 pep chromosome:Prunus_persica_NCBIv2:G4:11828247:11831673:1 gene:PRUPE_4G194600 transcript:ONI12963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSVIINSFTTRSMPRRWFGDSTMSSKLNSSFALCRSLPLPLSPNFFYKQKRAANFHPSIWGDRFINYDYSNDNITHVHDKQVDELKEMVRREVFISLNAAGDDGFAHQLKLIDAIQRLGVAYHFEREIEEALERIHGAYHDHAINDDDDGDLYNVALGFRLLRQHGYNVSCDMFNKFKDANGNFIVVDVSGMLSLYEATHLRVHGEYILEEAFAFTTTQLIESKTTHATYSLAAQITQALERPLLKSPERLAARNYMSIYQDEASHNDAVIELAKFDFNLVQSLHKKELSEIIGWWKEVDFERKLPFARHWVVESYLCTTAVYFEPQYSAARKILSKAMVLFIVMDDIYDEFGTFEELEILTEAIRRWDINCMDELPDYMQIFFHTLLNLFNEIEEEMVKEGNSCRVHYAKEAVCRFIVSIAFISCTFNRYKCSCNSCRSCTFNRYKCSCNSCRSPMILAPAVVSPS >ONI11114 pep chromosome:Prunus_persica_NCBIv2:G4:4346368:4348059:-1 gene:PRUPE_4G088400 transcript:ONI11114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNNVYKVHAWFIKIGTHNHPLSLRRLLLWCSATLSHESLPYARSLFAHFPSPDAFAYNTIIRAHATSHSSCSHALSFFTQMRRHGVPPDNFTFPFLLKACARLQLGQDLHALILKLGFDSDIYVQNALLSFYGGCGSVEPALNVFHEMRERDLVSWSSMIACLANNGFAYEALALFQQMQLAENVMPDEVTMLSVISPVSILGEIELGEWVHQFIHRNGLELTVSLGTALIDMFSRCGSIDKSIRVFDEMPLRNVRTWTALISGLAVHGRSREALRVFYEMKESGLQPDHIAITGVLVACSHGGLVDDGWRVFKSIEDEYGLKPTLEHYGCMVDLLGRAGLLHEAYEFVEKMLVRPNPVVWRTLLGACVNHNHLALAEKVKERVQELDPYHDGDYVLLSNAYGGAGRWVEKEKVRTSMRAKRINKNPGYSLISVDHATHEFVSGANSHPQFEDIREFLESIIESIRDTGYTPHTSNVLHDIEEEEKEQCLGYHSEKLAVAFALLSVKDSRTIRIMKNLRICRDCHSFMKHVSEKFGREIIVRDRNRFHHFIKGSCSCQDYW >ONI13380 pep chromosome:Prunus_persica_NCBIv2:G4:13719441:13720708:1 gene:PRUPE_4G218200 transcript:ONI13380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWDHCTKIRKNDDTGFERIIGACNYYKAEMPANPKRNGTTGLKTHLERRCKVLISINIKKGNANQSMLAQEGGGGGGQVVLTS >ONI13381 pep chromosome:Prunus_persica_NCBIv2:G4:13719441:13720708:1 gene:PRUPE_4G218200 transcript:ONI13381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWDHCTKIRKNDDTGFERIIGACNYYKAEMPANPKRNGTTGLKTHLERRCKVLISINIKKGNANQSMLAQEGGGGGGQVVLTS >ONI14189 pep chromosome:Prunus_persica_NCBIv2:G4:20139922:20140576:-1 gene:PRUPE_4G267600 transcript:ONI14189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAVSPCFPQKTNLAVKVIFSEGTTRLLRGKHVAGEIMFEFPDQMVCHADSFFIGHPVPALAIDDELIHGQTYFVLPLDCFACRVLSASSLAALGSSSSPNKKAPINFGESPFEYIKGSNGKVLIKVVPDFITRLITRANNKEIDGPPVTSPSNSFLCSTPELQKHYEQLVGSKEQLWSPKLDTISEYKVRFSPCKFIGLEWKQKESEVM >ONI10351 pep chromosome:Prunus_persica_NCBIv2:G4:2015183:2019155:1 gene:PRUPE_4G042700 transcript:ONI10351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGGEENKNATENGVSGSEFPLGSKTKYKRMESEVVDTEEDIPLTKKNRNTRKYVFACAIFASLNSVLLGYDVGVMSGAILFIQEDLKITDVQQELLVGILSIISLLGSLAGGKTSDAIGRKWTIAFASFVFQTGALIMALAPSFEVLMIGRLFAGVGIGFGVMIAPVYIAEISPAIARGSLTSFPEIFVNLGILLGYISNYAFSGLPVHMSWRVMLGVGIIPSVFLGFSLFVIPESPRWLVMQNRIEEAKIVLTKTNESESDVEERLAEIQLAAGMANAEKYEAKAIWNEILNPNPAVRRMLITGCGIQCFQQITGIDATVYYSPTIFKNAGIKGNTQLLAATVVVGFTKTVFILVAIFLIDRVGRKPLLYVSTIGMTTCLFGLSLALALPGNGKLGIGLAILTVCGNVAFFSVGIGPICWVLSSEVFPLKLRAQASALGAVGSRVSSGVITMSFLSVSRAITVAGTFFIFSVISALSVAFVHTCVPETKGKSLEEIEMLFQDKGEWQSGEVEMGDAERLVQKA >ONI10352 pep chromosome:Prunus_persica_NCBIv2:G4:2015166:2019155:1 gene:PRUPE_4G042700 transcript:ONI10352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGGEENKNATENGVSGSEFPLGSKTKYKRMESEVVDTEEDIPLTKKNRNTRKYVFACAIFASLNSVLLGYDVGVMSGAILFIQEDLKITDVQQELLVGILSIISLLGSLAGGKTSDAIGRKWTIAFASFVFQTGALIMALAPSFEVLMIGRLFAGVGIGFGVMIAPVYIAEISPAIARGSLTSFPEIFVNLGILLGYISNYAFSGLPVHMSWRVMLGVGIIPSVFLGFSLFVIPESPRWLVMQNRIEEAKIVLTKTNESESDVEERLAEIQLAAGMANAEKYEAKAIWNEILNPNPAVRRMLITGCGIQCFQQITGIDATVYYSPTIFKNAGIKGNTQLLAATVVVGFTKTVFILVAIFLIDRVGRKPLLYVSTIGMTTCLFGLSLALALPGNGKLGIGLAILTVCGNVAFFSVGIGPICWVLSSEVFPLKLRAQASALGAVGSRVSSGVITMSFLSVSRAITVAGTFFIFSVISALSVAFVHTCVPETKGKSLEEIEMLFQDKGEWQSGEVEMGDAERLVQKA >ONI13598 pep chromosome:Prunus_persica_NCBIv2:G4:14972861:14974731:1 gene:PRUPE_4G232800 transcript:ONI13598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERFRLGNRHTREATHHSDIVNKCFALILIYMPALNATLPKEQVNLADWAIHCRKKGILDEIIDPHLKAGPPINPECMNKFSETAEKCLADHRLERPSMGDVLWNLEFALQLHENPDGEAVVAQDKANDAYAIHNSTLTIEEESTASEATVEDLNTSAVFSQIVNPRGR >ONI13685 pep chromosome:Prunus_persica_NCBIv2:G4:15539962:15543158:-1 gene:PRUPE_4G238000 transcript:ONI13685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQCPSFHVLLAFLLFMIMVLKIGKIRGKTKCSASNLPPGPWKLPVIGNLHQLAGSLPHQRLRDLAKKYGPLMHLKLGEVSTVVVSSAEFAKEVMKTHDLIFASRPHVLAPRILSYDFTSIVFAPYGEYWRKLRKICTLELLSAKRVRSYRPIREEEVRKLIKLIASRAGSPINLTQEIYSSTFTITSRAAFGKKNRDQEKFIYIVKEVAKAASGFALADVFPSVSLLHLLSGMRHKIERLHKEADRIMGNIIKEHQRDMVTTKSSEGEAEEDLVDVLLKFHEHGNEREFSLTTENIKAVIFDIFAAGSETSSTAVDWAIAEMIKNPRVMNMAQNEVREVFNRKGQVDETCIREMKYLNLVIKETLRLHPPGPLLVPRECGEKCEIDGHEIPVKSKVIVNAWAIGRDPNYWNEPESFNPNRFLDNSIDYKGTNFEYIPFGAGRRMCPGVSFGLANVELPLALLLYHFDWKLPMG >ONI14147 pep chromosome:Prunus_persica_NCBIv2:G4:19664152:19665194:1 gene:PRUPE_4G265000 transcript:ONI14147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLFSIETLRVNNNGFVGELPSQFKNCRDLTLFNLAENKLSGSIPEWLGLLDLSMNNISGTIPKCLNSLTALVRKGSSSQTIRHDYMGDSARITFYEVYDDEASLIWKGLRAEFKSNLGHLKTIDLSCNKLIGESPSEITYLLGLISLNLSRNQLTGQIPSTIGNLQELESLDLSRNQINGRIPTSLSRIASLGTQLQSFDYAYGGNPLLCGAPLPKTCSEEEKGPGQPVLVNQDSQDGLITQGYYISMGLGFVVGFWGVCGTLLLNMSCEYTYFNFLTFLNDWLHVKAAIIRQRMLNR >ONI10419 pep chromosome:Prunus_persica_NCBIv2:G4:2205105:2207682:1 gene:PRUPE_4G046300 transcript:ONI10419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKASNKKRRTEPQRAKSDHLDLDLDLSNDIKGIMSALHQIREKAHNDGLKKNEETISSVAAEVRSVIDDLKSKVEKDRQSFAKSLSKSSKECENCLKTETAKFQALYEKFCKDQANHLQALKGIISNYEEEKERLCTRYEQLRKKERSLISEHEKSCTDKIAKLEESLKKKKQDDRTFSVLRKTLGSFLESDEDIPADD >ONI10933 pep chromosome:Prunus_persica_NCBIv2:G4:3742961:3743538:-1 gene:PRUPE_4G076800 transcript:ONI10933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMETFTKRKPTDEMFVGEINLKKWIANSLFPYAAIVEVVDGDLLGTEEDHDIVSRRDCLSSIMRLGASLFCRIARRED >ONI13499 pep chromosome:Prunus_persica_NCBIv2:G4:14369672:14373454:1 gene:PRUPE_4G226200 transcript:ONI13499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKTFLLLGFMFALVILISSEVSARELVEITKPATYIGCFCFQEMQWASQLPPSMVLLLSRDGLLGPLGDLFSC >ONI10265 pep chromosome:Prunus_persica_NCBIv2:G4:1787467:1788080:-1 gene:PRUPE_4G037900 transcript:ONI10265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIINLVLMLSLLLVAASEAQFLANEILKPPVTLKCESVYGVKSGDTCFTIAQTFSLPTEFFDSINPNLNCAALFVGQWVCLNGTLS >ONI11630 pep chromosome:Prunus_persica_NCBIv2:G4:6343692:6345981:1 gene:PRUPE_4G117200 transcript:ONI11630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLTPSHTLLLLLLLFVPILVSAECTCSKETQHHDKVKVLKFKLVAISSILIASVLGVSLPMLGKKIPTLRPENDIFFMIKAFAAGVILATGFIHILPEAFESLTSPCLSQTPWGNFPFTGFIAMLSAIGTMMIDTFATSYYRRSHFTKALPVKEDEEMHGVHEGHVHVHTHATHGHAHGSGAILPEDSASSFELIRHRVISQVLELGIVVHSVIIGISLGASQSPRTIKPLVAALTFHQFFEGMGLGGCISQAKFKSRAIATMVLFFSLTTPIGIGVGMGISNIYNESSPTALIVEGVFNSASAGILIYMALVDLLAADFMNPRMQGNLRIQLGANISLLLGSGCMSLLAKWA >ONI14300 pep chromosome:Prunus_persica_NCBIv2:G4:22775479:22780287:-1 gene:PRUPE_4G273900 transcript:ONI14300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKYTRVDNRRSSASSYCSTVTIVVFVALCLVGVWMMTSSSVVPVQNVDVPQEKKSELNEQDNNKVDVKEQVSDTNEGTTRQFEDNPGDLPEDATKGDSSDGATQVEEKVEGKSEEKTEEKFVEKTEDTPEEKTEEKNEEKSEDGSKTETENGGSKTEDLDSKVENGESNQEDGEKKSDGTENDNEKKSDSSDDDKKSDETKDTENVNGQIEEKVDLTDTKESDGEKKENGQAKNQSSNEVFPSVAQSELLNETATQNGSWSTQSAESKNEKEAQLSSNQQTSYNWKLCNSTAGPDFIPCLDNLQAIKSLHSTKHYEHRERHCPEEAPTCLLPVPEGYRRSIEWPKSREKIWYYNVPHTKLAQVKGHQNWVKVTGEYLTFPGGGTQFKRGALHYIDFIQESVPDIAWGKRSRVILDVGCGVASFGGYLFDRDVLAMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSKVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYQKLAEDVQIWNSMKELTKSLCWELVSINKDTINGVGAAIYRKPTSNECYEKRSQSNPPLCGNSDDPNAAWNVPLQACMHKVPVDAKERGSEWPEQWPSRLDKTPYWLLSSQVGVYGKPAPEDFTADYEHWKRVVTKSYLNGMGINWSSVRNVMDMRAVYGGFAAALKDLKIWVMNVVSVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKKRCNLAAVVAEVDRILRPEGKLIVRDDVETINELENMVKSMQWEVRMTYSKDKEGLLCVQKSLWRPKESETLKYAIA >ONI14301 pep chromosome:Prunus_persica_NCBIv2:G4:22775415:22780771:-1 gene:PRUPE_4G273900 transcript:ONI14301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKYTRVDNRRSSASSYCSTVTIVVFVALCLVGVWMMTSSSVVPVQNVDVPQEKKSELNEQDNNKVDVKEQVSDTNEGTTRQFEDNPGDLPEDATKGDSSDGATQVEEKVEGKSEEKTEEKFVEKTEDTPEEKTEEKNEEKSEDGSKTETENGGSKTEDLDSKVENGESNQEDGEKKSDGTENDNEKKSDSSDDDKKSDETKDTENVNGQIEEKVDLTDTKESDGEKKENGQAKNQSSNEVFPSVAQSELLNETATQNGSWSTQSAESKNEKEAQLSSNQQTSYNWKLCNSTAGPDFIPCLDNLQAIKSLHSTKHYEHRERHCPEEAPTCLLPVPEGYRRSIEWPKSREKIWYYNVPHTKLAQVKGHQNWVKVTGEYLTFPGGGTQFKRGALHYIDFIQESVPDIAWGKRSRVILDVGCGVASFGGYLFDRDVLAMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSKVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYQKLAEDVQIWNSMKELTKSLCWELVSINKDTINGVGAAIYRKPTSNECYEKRSQSNPPLCGNSDDPNAAWNVPLQACMHKVPVDAKERGSEWPEQWPSRLDKTPYWLLSSQVGVYGKPAPEDFTADYEHWKRVVTKSYLNGMGINWSSVRNVMDMRAVYGGFAAALKDLKIWVMNVVSVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKKRCNLAAVVAEVDRILRPEGKLIVRDDVETINELENMVKSMQWEVRMTYSKDKEGLLCVQKSLWRPKESETLKYAIA >ONI11570 pep chromosome:Prunus_persica_NCBIv2:G4:6072158:6075033:-1 gene:PRUPE_4G113900 transcript:ONI11570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPFKADILKGKVALLTGGASGIGYEISLQLGQHGASIAVMGRRKHVIDSAVEAFQSQGIHAIGLEGDVRKRDDAARVLESTVKHFGRLDILVNAAAGNFLVPSEDLSPNGFRTVIDIDAVGTFTMCREALKYLKKGASGKDSSVGGTIINISATLHYTATWYQIHVSAAKAAVDSLTRSLALEWGTDYDIRVNGIAPGPIEDTAGFSKLLPQEILSTLKEQTLVSKVGQKWDIAMAALYLASNAGKYINGTTLVVDGGDWLSKPSHLPKEAVKKLSRAVERRSKDKPVGIPKSKL >ONI12623 pep chromosome:Prunus_persica_NCBIv2:G4:10391676:10393730:1 gene:PRUPE_4G175600 transcript:ONI12623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFLAPNGSLVNIAIGGGGTLGLPVEDLPGGMSRTQYPFVAVEFDIFQNTQPSIQDPPSDHVAIDINSLKSNITTTWNGGIDKAKLNTAWVSYNSSSKNLSVAFTSFLNGTNGTQLEIIRYLSYMVDLKQYLPDWVIVGFSTATGNDIAVHRIVSWNFTSTALVDETTMNNTQVFPPPSPSANPKSGTSNIRLPIGLGVGGCIILAGGLALVWFMFIFRKRKAVGESDENPVVNCLIDDEFEKGTGPRKFSYRDLARATNNFHEGEKLGEGGFGGVYIAFIKNLNSYVAIKRISNGSKQGLKEYASEVRIISRLRHRNLVQLIGWCHEKRELLLVYEFMPNSSLDSHLFKINSLLSWQIRYKIARGLASGLFYLHEEWEQCVLHRDIKSSNVMLDSNFNVKLGDFGLARLVDHGKQSPTTIVAGTRGYMALEYVTTGKASKESDVYSFGVVALEIACGRKPIDLNLESSEIEMVEWVWELYGEGKVIQAADPKLYGQFDENQMESLMTVGLWCAHPDYRFRPSIQQAIQVLNWEVPLPILPSKMPVATYFAPPTSLSMLSNQTTTSERGQTESGCYRYSTNSFQITPYSTPQFLSTETEAQILSGYSYSTNSSQLTASPTTQFLSIDTEAQFLSTDTEEQFLSMDTEEQFLSIDTEEQFLSIDMYIFKVFCNLLCISSLNLGT >ONI12585 pep chromosome:Prunus_persica_NCBIv2:G4:10260228:10261327:1 gene:PRUPE_4G173300 transcript:ONI12585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKKEYLDLVLVPTGLLIMFCYHLFLLYRCLRHPETTVIGNENHCRKAWVERMLQVEAKDRGLSVTVISCTITAANFLASTSLALSSLIGAWIGSSSHNIFMSSITYGDTSPAIISIKYISILACFLLALASFLHCIRNFVHANFLISMPDSDIPLAHVEKAVISGGLFWTLGLRAIYFATTLLLWIFGPIPMFVCSVIMVLILHRLDSNSTPLHQFQPAKSHNVLRKIGEENSRIERAIEQHHERSHMDGSRAQG >ONI11684 pep chromosome:Prunus_persica_NCBIv2:G4:6540027:6541948:-1 gene:PRUPE_4G120700 transcript:ONI11684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYPVSFEMSECFSLGDLEKQISVDPISLKESSHREVIVDPVVVKPVVTAPPVVTAPKANVLDQLPPLLPAKPKFLSFSLPNSATSSPRLKKKWKDESLASPRQQMENLTHLQQEIQFRRSKSCGQGRPYAPSDDFDLWLIQPNATEKVTTHYSSLPRTEPHKVAHKNGKKMDPCDDKFTCGALCLFIPGFGKAKPVRARKVEPDELGNVISRTVSLEKFECGSWASAMINEHDDDSVSHYFDLPLELIRSSINDTDSPISTSFVFDTDRKGVLKNGSSRTQGRKSHEASRHVRFSTSSSPTSPTVCVTPRLRKARNDFKAFLEAQST >ONI11616 pep chromosome:Prunus_persica_NCBIv2:G4:6310532:6313104:-1 gene:PRUPE_4G116600 transcript:ONI11616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATMPRKALTWSMLMGFASFIIIHAYAENSGGGHRFSGGGRFRDDMRKMQEFKASLIRHDSISAAPPPSVSPSPSPSYVDPPPGRNSARVYHVTSYGADPTGERDSTDALAEAIADAFRGPTEGFLIDGIANLGGAQISLEGGYYRISRPLRLPAAGVGNLMIHGGTLRASDDFPTNGYLIDLSASSSPNENEKTYESSISAQLSSSSSYNYEYITLRDLMLDSNYRGGGISVINSLRTSIDNCYITHFTTNGILVQSGHETYIRNSFLGQHITAGGDHGERNFSGTAINLMGNDNAVTDVVIFSASVGIMISGPANILSGVHCYNKATGFGGTGIYLRLPGLTQTRIVNSYLDYTGIVAEDPVQLDISNTFFLGDAYIVLKSIKGVANGVNIVNNMFSGSNKGVGIVQLDQSKGPFKDIGQIVVDRNNARGMNIKSTVGRRSVQGNGTSWTIDFNPVLLFPNFIKHVQYTLSTGGNAFPNHALRNVSNNRVVIESNVAVPASVFVTVDQGVAN >ONI10366 pep chromosome:Prunus_persica_NCBIv2:G4:2090750:2091064:1 gene:PRUPE_4G043700 transcript:ONI10366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVVPDMICKINFQIVIVRLIKIHLSFHQLKGKKRGGSLKAALTQFVPTILSLGERLRYNSLTATNQ >ONI12059 pep chromosome:Prunus_persica_NCBIv2:G4:8043643:8046478:1 gene:PRUPE_4G141600 transcript:ONI12059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTPINEDSPSVSKKAAMKQEKLSQLRRVSYNRRQWRTSADGPRERWFTVQCVVTVQPSTVSRQMVNSVFEGFSEIHTPKLIAGSSEGDAADTLYYKGQPACLTQSPQLHKQMAICAEFRVVFEMGPVYRGEDSNTHRHLCEFTGLDVEMEIDLHYSEVMDVVARLFVTIFGTLNKTCQKELEAVGRQYPFEPLKYLPKTRRLTFEEGVQMLKDAGVEVDPMGDLNTEAKRKLGQLVLDKYGTEFYILHWYPLAIRPFYTMPCHYNSAYSNSFDVFIRGEEIISGAQREHRPKLLEKIAQACGIELKTISTYIDAIRNGVTLHGGFGAGLERVVMLFLMGRKLHRHRSMPAKFAILAGYSRSAILFLQQNLKNLV >ONI12302 pep chromosome:Prunus_persica_NCBIv2:G4:8960845:8964370:1 gene:PRUPE_4G156400 transcript:ONI12302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLVLADLLAEQPSYASHRRREREREREREREMATKRLLLLLKPFDVYPVSQSDGLARITNPQELQILHYLDNRRKVHKDAINFCQNILHHKPVEWKSIVRNNLSERIRDVDLVVTVGGDGTLLQGSHFIDDSVPVLGVNSDPTQPEEVEKLSNEIDANRSTGYLCGATVNNFEQVLDSILEDRAIPSKLTRISVSVNSQPLSTYALNDMLIAHPCPAALSRFSFKIKGDDQPCAPFAHSRSSGLRVSTAAGSTAAMLSAGGFPMPILSQDLQYMVREPISPGVASSLMHGLIKSHQSMEATWFGKKGVIYIDGSHVSHTIKNGDAIEISSKAPILKVFLPHHLQNISEET >ONI12062 pep chromosome:Prunus_persica_NCBIv2:G4:8076516:8078463:1 gene:PRUPE_4G141800 transcript:ONI12062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKDNQSPQGETARILVEFLEVAITSIVLLKGIYPPGAFERRKYMNLVVHSARHPQLRDYIHSAVSGLYPFIQKGLVERVAVIFFNSDNIPVERFMFKLTVNQSYGSRVEEADLEFSLRSFFLSSFLSQNPLHGFFPRIAGGR >ONI12061 pep chromosome:Prunus_persica_NCBIv2:G4:8076572:8077944:1 gene:PRUPE_4G141800 transcript:ONI12061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKDNQSPQGFSDILTSGETARILVEFLEVAITSIVLLKGIYPPGAFERRKYMNLVVHSARHPQLRDYIHSAVSGLYPFIQKGLVERVAVIFFNSDNIPVERFMFKLTVNQSYGSRVEEADLEFSLRSFFLSSFLSQNPLHGFFPRASTSKDAELWIPTDTQQWQQPPLITPLKSMSSEPLSVQLYLEHPGLSEPKA >ONI10192 pep chromosome:Prunus_persica_NCBIv2:G4:1574788:1577489:1 gene:PRUPE_4G033900 transcript:ONI10192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLEKQETVLKKVEEEEKERFLEGNGKSVVDFDVLCSTVALQTQGKLAAKLQSFHGGEEDGDVGDLGGVFRMWEGEVLDCLDDRRIALESLCCPCYRFGKNMSRAGFGPCSLQGTLHLILAVLVILNCVAFIVTKKQCFIYLAVAFTISLGTYLGYFRTQMKKKFNIRGSDSSLDDCIYHLICPCCALCQESRTLEMNNVQDGTWHGRGDTIYIGSLGEGGKSIFELQPPPIVSIKSPDP >ONI11488 pep chromosome:Prunus_persica_NCBIv2:G4:5688889:5689858:1 gene:PRUPE_4G108600 transcript:ONI11488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIYNYSLLLIKSLVWEVRVSWIEIGVEILQPVLGGPKMCFYPNMLRCWRYVKVCCGVWSLDFSAFGHIIEDAKALLIPRLSGVIISHVPR >ONI13587 pep chromosome:Prunus_persica_NCBIv2:G4:14868155:14870231:-1 gene:PRUPE_4G232000 transcript:ONI13587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRRCFNLLLKGLICIGVFSFSIAVCMGDESSEFEALRQFIRAVDPQHVLSIGYNVPMRGNPCMHKLKGVKCNSQGTSVTEIRLENLNLRGILDVDSLCKLPNLQYVSLARNQIRGTISNSIVHCTRLTYLNLRSNFLSGRIPKKALSKMKDLRRLDISDNHFTTTSPLLKEEFQHYTYVKKSRRLQRNDALKNQEKAMESVTIMAASDSPTIGNPKPPGKSWYKRYKFMIPLVVGIGFFLLFTYFAGRKAAKLAADREIMKSLRDSPHKMPLPKIQEEEKPLENCSELVFFVEEHESFKLEDLLEATADLRNQTFRSSLYKVILKNNALYAVKRLKKLQVPFEEFGQTMRQIGNLKHPNILPLIGYNSSNEEKLLIYKFQTNGSLLNLLESYIEGTRDFPWRVRLSIARGIARGLAFIYQRSDECIPHGNLKLSNILLDDNGEPLISEYGFSRFFDPKKGCVISSNGYTAPEKMLSEKGDVYSFGVILLELLTGKTVEGTGIDLPKWVTAMVKEEWTGEVFDKEVAKAAKEWAFPLLNIALKCVSASPTNRPTVAEVYERIEEVMHDNSNSSDCTTECGTYQDNCCMLHSIIPETWDSPGSNY >ONI10430 pep chromosome:Prunus_persica_NCBIv2:G4:2249105:2251987:-1 gene:PRUPE_4G047300 transcript:ONI10430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSSSSSSAPLHKSRVRIHTISPTRSLLLFFFLFFCINFTTTASNSITQSSDSETLYSKHCNDVVPKSDTDPTRWFVTNLSIQDIGFRNGYFTGGDQLFKQNLKTSEVDDLKALSFVPSDVGKTLTESVFKVRATLHLRDYSIFHNSTRRNLRLVYFKGPRSHFRKGLLNFRLDGYYSESSKKLCMVGNGGSGNLRPLSVVLKLNYPRSSSIYDSLITGTLESLSDKHDGDYFEPLLMLGLYQSSSYEYKLAGKDSENGCLRGDDRGENLGVGKSKRGLCMLLGKLHESFELEYGSDCGSVNCNPLGGNAGYVSSFVYYGTRCADGRKMQMLLGFPNSSYYGIKFPFDPHTTFITEGAWDEKENRLCAVACRILNFTESLTYAFVGDCSTKFSLRLPTKLSLWNRSTVVGEMWSIKEVNDSGYFAKIGFHTLSGWLMKLLDFKYEYSENDDMRKTCAEKKAGRGKGKIYPDEFSVDMKFGMTVRNSKGQQASGYSSPLFVEDERVYGRRFWDKLPQTESSMQLNQSHTHSSPMNVSYKLFFISDFGFRHDVFPSKAELSAEGIYDRDYGNLCMIGCRHVPVKNKTLIKQDMLDCAIKIIVHFSPLDTKDGQNVKGTIESTRGKLDPLYFEPIEFSSNSIYTSQAAASISRIDFEISMVLISNTLACVFVGLQLLFVKKHPDVLPFVSIVMLIVLSLGYMIPLLVNFEALFVPNKHHSQQDTFLGTGGWLQVNEVVVRVLMMVSLLLQLRLLQLTWSSRQGHGNQKSLRDSERKVLYATLPLYIAGALIVWFVNLRKNAYQRSHRPFQRPHRMAYRVSTLHHLAYQQHSLREDLSSYAGLVLDSFLLPQILFNLFLNSGEKTLACAFYLGTTVIRLLPHAYDLYRAQTGTWFLDLSYIYANHKMDFYSTAWNIIIPCGGLLFAAIIFLQQRFGGRFILPKRFSLTSVYEKVPVISNEEL >ONI12839 pep chromosome:Prunus_persica_NCBIv2:G4:11092451:11093649:-1 gene:PRUPE_4G186500 transcript:ONI12839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFEWLSGYTTGFGLYYVDRKTLHRTPKLSAGWFASFLRNNSHNDREEIRAASGHNNNIIFSQLDAKVAEM >ONI11009 pep chromosome:Prunus_persica_NCBIv2:G4:4022660:4025129:1 gene:PRUPE_4G082300 transcript:ONI11009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFYLLCSILSTFLTSLTLSLLLPFKTLLRRFSSRAASSSSVSLYRGTVWHERRRPVHHSFRYDVRYALIDLDHEPQGLPNHLSAEEARRIAGTNGRTLLLTIPQSVGYEQNPLSLYYCYDSDDHLLQKCIAEVTNTPWGERVTFVFNPNSDLVAKPLHVSPFMDMVGDWSIRANAPDDDLFVSISVQHPELGNYFTATLKAKRVSSSLVFDHALFFWLMPHKVAVWIYWHAFKLWWKNLSFVQHPRYTNPAYREEALERNEKLQFHQAAGLDKDKQHLQFEGSGQGCMSSRKSEARFCTWRDAKWPWS >ONI12956 pep chromosome:Prunus_persica_NCBIv2:G4:11704910:11707409:1 gene:PRUPE_4G194100 transcript:ONI12956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQVCAAAQSPNAKPEIIRRTANFPPSIWGDRFLNYDSQDVITNARNQQEVDNLKEVVRREVFTTSAGDFSHQLKLIDAIQRLGVAYHFESEIEDALERTHATFRDHAFSDDGDLYNVALGFRLLRQHGYKVSCDVFNMFKDKNGSFKECLIADVPGMLSLYEAGHLGVRGEEILDEALAFTTTHLDSAAKAHVSYEHAEQITQALERPLQKDLERLCARRYMSIYQHEASHNEALLKLAKLDFNLVQCLHKKELSEITRWWKEVDFEKTLPFARDRIVEIYFWVMAVYFEPHYSAARNFLNKLLALGTLMDDIYDAFGTFDELEIFTEAIGRWDVNCMDELPDYMKIFYQTLMNLFNEIEEEMVKKGNSYRVYYAKEVWKATARAYFDEARWLHEGCIPSMEEYMHVATASVGNSPLSTISLLGMGDIVTKEAFEWLLNNPKILRASNIIFRLMDDVAGYKFDKERGHVASSIDCYMKQHGVSEQETLDVFNKQVVDLWKDINEELLIKPTVVPRPVLMRILNLTRVVDLLYKRGDGFTHVGKLMKDIVASLFLDPVPL >ONI12957 pep chromosome:Prunus_persica_NCBIv2:G4:11704060:11707409:1 gene:PRUPE_4G194100 transcript:ONI12957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKDKNGSFKECLIADVPGMLSLYEAGHLGVRGEEILDEALAFTTTHLDSAAKAHVSYEHAEQITQALERPLQKDLERLCARRYMSIYQHEASHNEALLKLAKLDFNLVQCLHKKELSEITRWWKEVDFEKTLPFARDRIVEIYFWVMAVYFEPHYSAARNFLNKLLALGTLMDDIYDAFGTFDELEIFTEAIGRWDVNCMDELPDYMKIFYQTLMNLFNEIEEEMVKKGNSYRVYYAKEVWKATARAYFDEARWLHEGCIPSMEEYMHVATASVGNSPLSTISLLGMGDIVTKEAFEWLLNNPKILRASNIIFRLMDDVAGYKFDKERGHVASSIDCYMKQHGVSEQETLDVFNKQVVDLWKDINEELLIKPTVVPRPVLMRILNLTRVVDLLYKRGDGFTHVGKLMKDIVASLFLDPVPL >ONI09698 pep chromosome:Prunus_persica_NCBIv2:G4:262202:264466:-1 gene:PRUPE_4G003900 transcript:ONI09698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTADRHIPNPAAFPEQIAPFPESTDHHLLFANPTALVHSPPQKLRPIRCSSGRCPAIELPDSAGNLGDGGPAHVGSGTWGDEGENESSSSPDEDGDEYLKTEGTERMKRKRKRRSRTGGRFERVEIFLESLIMKVMEKQEQMHKQLIEMIEKREKERIAREEAWKQQELDRMKRDEEIRAQETSRSLTLISFIQNFLGHEIQVPKPAPAPAPISVVPNYDHRCMDENGVADNGIQRDMMVMIKCDQTNRRWPEAEVQSLITLRAALEHKFRIAGNSKGPIWEEISLGMCDMGYNRSARKCKEKWENINKYFKRSMGTDKKRSANAKTCPYFQELELLHKSGLVSSEDGFKCTSNQNEAKIEKQDNSEKGVTE >ONI10190 pep chromosome:Prunus_persica_NCBIv2:G4:1566782:1569771:-1 gene:PRUPE_4G033700 transcript:ONI10190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSKSPLKEPGDRQSAAIVVQPSSPRYPPSGTPTSGAQRRIGIAVDLSDESAFAVKWAVQNYLRPGDAVILLHVRPTSVLYGADWGATDVSIPEDQESQQKLEDDFDNFTVTKANDLAKPLEEAKTPYKIHIVKDHDMKERLCLEVERLGLSAVVMGSRGFGASKTTSKARLGSVSDYCVHHCVCPVIVVRFSDEKDENDVAKQGKFGCPVVREEEPEFHDALEKDSDKAR >ONI12836 pep chromosome:Prunus_persica_NCBIv2:G4:11065027:11066013:1 gene:PRUPE_4G186200 transcript:ONI12836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSAAINFHRNLFLLQSQTQLAKRVTKPCDVFLNHRGIDTKRTIVSLLYDHLSLLNLRPFLDNRTMKPGDKLFDKIDSAIKGCKVGVAVFSPRYCDSYFCLHELALFMESKKKVIPIFCDIKPSQLRVVENGQCPPEKLPKFRLALEQAKNTVGLTYDSSKGNLADVVTRAANIVINSLIEIENEKHSMRRPKTPFHF >ONI10661 pep chromosome:Prunus_persica_NCBIv2:G4:2876281:2881568:-1 gene:PRUPE_4G060400 transcript:ONI10661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSNLLMRVSVLMIMLIWSMHGSGLEATDSYGGRKTTQKSRIDSNSILRGLISYDLSRMGKKQQQYNEYMRMSKKLDGDRKSPGGPNRQHNNSLQH >ONI10768 pep chromosome:Prunus_persica_NCBIv2:G4:3265218:3266597:-1 gene:PRUPE_4G067400 transcript:ONI10768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMRPKSAFNNNHNKQSKLARTFHKVINLRSATSKITSNNGICLLTSQAKVKEDREHFEKHRHLDKKEEEFKARNRAVMEALLAKLFAGITSIKAAYAELQMAQNPYNNEAIQMADQSVVDELKAISELKRSFLKKELDLSPQVTLMLAEIQEQQGLMKTYEITIKKLESEAEHKGTDIYSLQKKLEDCVSFNKSLENKLNSSGSLSLSMFENLRLSVLNPTHFVQFQQHTLRSIRSFVRLMIREMESANWDLDTAIKFIEPDSIFAEQSHSCFAFESFVSKTMLEGFNNPNFGLPSESLPLNNKTQLLFFEKFKKLISVNSKNFLAQNPSSSFCKFTRAKYLQLVHAKMECSLFGNLNQRKLLSTGGVPDSAFFAAFAEMSMRVWLLHCLAFSFGQQVSIFQVKKGSRFSEVYMESVTPNDVDTEPSVSFTVVPGFKIGKTVIQSQVYLSPVTAPAS >ONI11220 pep chromosome:Prunus_persica_NCBIv2:G4:4690366:4693131:-1 gene:PRUPE_4G093100 transcript:ONI11220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGSMLIIVQLSMVVLLGAAIFTPIAAAAQAKPGCPEKCGNLTIPYPFGIGDGCYLRPEFNITCNRASTHPTYLTRNNLSITNFYFDEAELQIAHDAADSCHDALGNPSNSNSNNVYYLMLPPLYTISETKNKLFVIGCEAFALLDLQIADPSPDKTKSTQGYSVAACDNILTSNNKVPDTCSGIACTESGLVGGLVVFEMTLIYYSFGEQNRNETKWFYEEYPCNYAFLVEDSEFTYAPNTSFQQLSNKKQLPVVINWEVGDEPCDVVEKSNNSSCKGNSKCVDRSIVHGKPGYICNCSEGYHGNPYLTDGCQDIDECETSNPCDNGTCHNLDGSYYCKCNSGYRNHDPKTCIPGTKNTALKISLVFWIYCGVKRRKFKKQQEKFFKQNGGLLLRQQLTRYNGSIETASIFSEEELKKMTDHYDEKRKIGEGGYGLVYKGILPADKREVAIKMSKVSAPITDSLEFANEVILLSQINHKNVVKLLGCCLETQTPILVYEFIPNGTLYDHLHGKDRKEQLSLESRLKIASGTAEALSYLHHSISNPIIHRDVKSMNVLLDKNYVAKVADFGASRLVLEDQNQLATLVQGTLGYLDPEYLQSHILTDKSDVYSFGVVLAELLTGKKALIKKKNEAENLANVFVSAMKEGRLAEILDADVVKEEHNFEAIVEKVANLAKKCLALRGEERPPMNEVAVELLGLVQIMGKNMAGEKADDIQRSSKDTDHLLGSPAANYYNYVLDVRDEVGDSDSIATTTARRPKPNGKALRSWSLI >ONI11221 pep chromosome:Prunus_persica_NCBIv2:G4:4690237:4693242:-1 gene:PRUPE_4G093100 transcript:ONI11221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGSMLIIVQLSMVVLLGAAIFTPIAAAAQAKPGCPEKCGNLTIPYPFGIGDGCYLRPEFNITCNRASTHPTYLTRNNLSITNFYFDEAELQIAHDAADSCHDALGNPSNSNSNNVYYLMLPPLYTISETKNKLFVIGCEAFALLDLQIADPSPDKTKSTQGYSVAACDNILTSNNKVPDTCSGIACTESGLVGGLVVFEMTLIYYSFGEQNRNETKWFYEEYPCNYAFLVEDSEFTYAPNTSFQQLSNKKQLPVVINWEVGDEPCDVVEKSNNSSCKGNSKCVDRSIVHGKPGYICNCSEGYHGNPYLTDGCQDIDECETSNPCDNGTCHNLDGSYYCKCNSGYRNHDPKTCIPGTKNTALKISLGVCLSFLVLLVLVFWIYCGVKRRKFKKQQEKFFKQNGGLLLRQQLTRYNGSIETASIFSEEELKKMTDHYDEKRKIGEGGYGLVYKGILPADKREVAIKMSKVSAPITDSLEFANEVILLSQINHKNVVKLLGCCLETQTPILVYEFIPNGTLYDHLHGKDRKEQLSLESRLKIASGTAEALSYLHHSISNPIIHRDVKSMNVLLDKNYVAKVADFGASRLVLEDQNQLATLVQGTLGYLDPEYLQSHILTDKSDVYSFGVVLAELLTGKKALIKKKNEAENLANVFVSAMKEGRLAEILDADVVKEEHNFEAIVEKVANLAKKCLALRGEERPPMNEVAVELLGLVQIMGKNMAGEKADDIQRSSKDTDHLLGSPAANYYNYVLDVRDEVGDSDSIATTTARRPKPNGKALRSWSLI >ONI10978 pep chromosome:Prunus_persica_NCBIv2:G4:3866913:3870984:-1 gene:PRUPE_4G079800 transcript:ONI10978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSTFPKWVLPIMLLLGRLATKISAEDGPVPNGDFEMTPSGGFSNEAIVDGPMGIPSWKSNGTVELVESGQKQGGMILIVPQGRHAVRLGNDAEISQQVKVEKGSIYSVTFSAARTCAQLESLNVSVPPASQTIDLQTLYNVQGWDPYAWAFAAEEDDAMLVFRNPGMEDDPTCGPIIDDVAIKKLFTPDRPKDNAVINGDFEEGPWMFANISLGVLLPTNLDEETSSLPGWIVESNRAVRYIDSYHFTVPQGKRAIELLSGKEGIISQMVETSPNKPYTLTFSLGHANDKCKQPLAVMAFAGDQAQNVHYTPNSNSTFQSANVNFTARAERTRIAFYSVYYNTRTDDMSSLCGPVVDDVRVWFSGSWRNGFGGLGRMTLGLAFWVLVWVLV >ONI14635 pep chromosome:Prunus_persica_NCBIv2:G4:25456049:25456742:1 gene:PRUPE_4G289300 transcript:ONI14635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTDSFNRCHQIQHNERKRAPLVTSPPGAMSSSTSSAPNRARPRGRSGTSWISTSSSSSKLLSRQASTRGCCSCCRETSSAAERT >ONI10924 pep chromosome:Prunus_persica_NCBIv2:G4:3714173:3715127:-1 gene:PRUPE_4G075900 transcript:ONI10924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTVAIALIIVTTTLIVARLLYVLHRTGKPLKPRSSKGSAPQQPLSTLIVLGSGGHTAEMINLLSVLQKDRFAPRFYIAAATDNMSLQKARLLEETSSSQFMQIYRSREVGQSYITSVWTTLIALAHGFWLVLRIRPQVILCNGPGTCVPLCVIAFLFKIVGIRWSSVFYVESIARVQRLSLSGLLLYKFHIADQFFVQWPQLQRKYPRAHYVGCLM >ONI12248 pep chromosome:Prunus_persica_NCBIv2:G4:8797119:8799452:-1 gene:PRUPE_4G153600 transcript:ONI12248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTLVLAFYITICCIAFVISKIVISVLIYRRWKRKKLVFEDGVSGGKMVLFRASAMQSLKSDTFLKKTLKLSNKDIIGSGGYGTVYKLTVNESIAFAVKRLNRGSADRDRGFERELEAMGDIKHRNIVTLHGYYTAPNYNLLIYDLMPNGSLDAVLQGRSMEKKLLDWPSRYKIALGTARGISYLHHDCIPHIIHRDIKSSNILLDQNMEARVSDFGLATLMEPDKTHVSTLVAGTFGYLAPEYFDTGRATAKGDVYSFGVVLLELLTGKKPTDEAFIEEGTKLVTWVKAVIQDKKEEYVIDSNLGCCPTDEINNAFSIALMCLEPEPSKRPTMVEVVKMLEEIKNNKVVKET >ONI11011 pep chromosome:Prunus_persica_NCBIv2:G4:4029201:4030403:1 gene:PRUPE_4G082500 transcript:ONI11011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNCNLELQLLHPSYETHKKQQEQEQHEEQLQKQQQMMTIFYNGAMCARDVTELQARSILFLANREMEERVKSPFTPSGSELSSPTVMSPLCSPVAGMSMKRSLQRFLQKRKHRVQATSPYHH >ONI13893 pep chromosome:Prunus_persica_NCBIv2:G4:17184375:17186074:1 gene:PRUPE_4G253100 transcript:ONI13893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKFLEDARKLPQLINTGKGKFLEDARKLPELINTWKGKFLEDARKLPMWAPPSNFYNIYIYIINQSLMPCAANARPTYQDGFMQYQRVREDPIIGWIFEPLSTFLYYLSPDVPKLVGANIEELLVKGFSEIDGINNDWNALFYCIHPGGPAILDKVEEKLGLNEEKLRATRHVLREYGNMGAPSKSMEKGKATTGEGLEWGVLIGIGPGLTVETVVLHSVRIAAC >ONI13700 pep chromosome:Prunus_persica_NCBIv2:G4:15742263:15743333:-1 gene:PRUPE_4G239500 transcript:ONI13700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLVGSSSFATVNTKYPNKTYKTLATCVDNSRTSQPTPSHHLSVSDQPRFDNPVSKLLKFYRPCLTDFVSQTATNPNPEQLPQPRRTFIEDTKGEEAKKDYIWMKMREESYMDVEREPILSSYYFASILAHNSLESALANHLANKLSNSTLPVHTLYDIFSGVLYEDQEILEAIKEDLKGVKERDPACTSYVHCFLNYKGFLACEAHRVAHNLWLRGREALALLIQNRVSEVLAVDIHPGAKIGCGILLDHGTGVVIGETVVMGNNVSILHNVTLGGTGKECGDRHPKIGDGVLIGAGTNVLGNVRIGEGAKIGAGAVVLKDVLPRTTAVGNPARLVGRNDLFRLDTVQALNHVI >ONI12204 pep chromosome:Prunus_persica_NCBIv2:G4:8619898:8620359:-1 gene:PRUPE_4G150600 transcript:ONI12204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSTKNRIQKELKDIKKDRNPLYKAVDTVKDNIYHWHATIMGPPESPYEGGFFKLDIEFPSSYPFQPPKVKFITKVYHPNINSNGSICLNVLKNEWTPALTIPKVLISIMSLLTIPNPDDPLDKDVAHVYKTDLQAYNATARAWTLWHAQNK >ONI11148 pep chromosome:Prunus_persica_NCBIv2:G4:4474327:4476622:-1 gene:PRUPE_4G090000 transcript:ONI11148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTGKEATREASEQVSNEFKTLVDAQDLDSLKQLQHLILGRLQDGNAVLSHFNEFSENCFAEVAGDFSRHTRLLRSMKSDLEYIFQKLRSMKSRILATYPDAFSDDSKQEVLDQRPDLEMPR >ONI11288 pep chromosome:Prunus_persica_NCBIv2:G4:5063258:5065063:1 gene:PRUPE_4G099400 transcript:ONI11288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKFPNSPLVLLILSILSSLSVSWATSEPVPINGFLQCLPKQFHSGHPIFEAVYTPQNTSFQSILMARLKNRRFSSPTTPKPLAIVAAKHESHVQATIVCAKQHGLQIRIRSGGHDYEGLSYVSHVPFVVLDMFHLQSVNVSVKDESAWVESGATLGKIYHKIAQKSKVHGFPAGVGPTVGAGGHFSGGGYGNLMRKYGLSIDNIVDAKLVDANGRILDRKSMGEDIFWAINGGGGASFGVILSWKLKLVRVPEKVTVFNVTRTLEQGVTDVLYKWQFVAPVLPKDLFLRARPEIENNTEGKKIAEVSFIGQFLGQTRELLPLMNESFPELRLRREDCHEVSWFESVVFWAELPLGTPRSIYLNLKNKPIPFFKAKSDYVKKPIPKKVIEYMFKAMLEIGNIWMEWNPHGGRMSEISASATPSPHRAGNLYSIQYYTSWFQDEGIEATNRYIHLTRKLHEKMTPFVSRNPREAFQNYRDLDIGANVYNQSNLLTARVYGSKYFKGNFERLVRVKTMVDPHNFFKHEQSIPPI >ONI11668 pep chromosome:Prunus_persica_NCBIv2:G4:6467070:6469171:-1 gene:PRUPE_4G119500 transcript:ONI11668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIVVSLSNHLIRPTNHAFFFKSFNSWVSAIRNAPSPQKALNIYSQMHRQSVPFDSFSMLYTLKSCTQLRNQEIIQHLHAHIMKLGFGNHVYVATSLLHAYVVVSFVDACVLFDEMPEKNTVTWNTMIAGYSRSGDVERARLVFEEMPLRDVASWSAMLTAYVNNGNQECGLSVFRDMVKDGGLKPDQVTAGSVLSGCAHLGHLGLLVGKSVHGFMVKNGWELNVEIGTVLVDMYAKCGFLKGAARVFELMQERNVMSWTALICGSAQHGYSKAALSLFEMMQKAGVKPNELTFTGILSACVHTGLVEEGRKYFKLIEESGLEPKIHHYGCMVDLYGKAGLLEEAYEVIKKMRFEPNVVVWSAFLCACKEHKQFEMAERVVEQVMKMVKPDNDGGVYSLISDLYALSGKWHDAERVRNLMVSQHVRKARGSSFVRSG >ONI13179 pep chromosome:Prunus_persica_NCBIv2:G4:12962707:12964438:1 gene:PRUPE_4G208100 transcript:ONI13179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFLVLPESHLVAIVSFLPFKEAARTSVLSKRWRHVWRLSKNIEFDERCFANVDADREVQIQVFIDFVRQWVQNYQEPTVDRLSLKFSQPQNNPRVVENCIAFALAGHVKHLALDFSDRTWAVHDLDDIAHPTQFDLPLSVYNHPLESLTLSSCNFNVSEFKNFGMLKEISLCWVEMKESTTKALLANCGCLESLSLRHCWDMDNFFCVRVGELKLKTLVVYKCRFMCSYFEFEAPNLRCLRYSGTLPKFCLLRNGGLDEVDLDFGHETHGNEAVSDLLGQLIDEVNPMRALTVCTFMLQVLPMGEEGIGSPLGITQLTLKTTMHDHEQHGIQYFLKNCPQLETLKFEIDSHARTRIINYTEYEAPCAEVKPEHMWDENTITFSCVTQTLREVEMKGFRASPNEIGFMCYLLYHGRLMEKLTIIVSSQASGRGNPQLYRMVAEKTRDLPRTVPNLQIIII >ONI13058 pep chromosome:Prunus_persica_NCBIv2:G4:12451606:12454500:1 gene:PRUPE_4G200400 transcript:ONI13058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAALQTSMTSLSLSSNSFLGQRLLPPTLYPVPANLTAKPCLIVVKLKRWERKECKPNSLPVLHKMHVKVGDTVKVISGHEKGKTGEITKIYKHNSTVIVKDINLKTKHMKSREEGEPGQIIKVEGAIHSSNVMLYSKEKDVASRVGHKILENGQRVRYLIKTGEIVDNVENWKKLKEEKRKTEVAATSA >ONI12361 pep chromosome:Prunus_persica_NCBIv2:G4:9108421:9111191:-1 gene:PRUPE_4G158900 transcript:ONI12361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSKPLRLKKKSKREEIVKDKISELPDSILCHMLSFIPTEYAVRTSILSTRWKRIWASVPNLDFEYRDDSVLLADEYDSESYAGFFTFVDRVLSCRDPLDIKKCRLHCDCRKEDFSRIDGWIRTVIRHNVVELDLNVKIGLDEDIDQPLELPQCVFMCKTLVVLKVNSNCITYTPPTSGCFPSLKFLHVSVEYPDNDSMEKIFSCCPVLECLTINGMLGLDDVLNFNISVPELRRLRLELKLDCVYNVDIKHTFFVNCPKLESLDIKQDILSNYLFENVKSLVKANVDIWYHFEHDQHACATALLAGFSNVKYLSLSARFLESCSRDHLIWSILLWNIKNTGDVMRITRSTKRTRNMSYTQSSDGENQNLCLFV >ONI12360 pep chromosome:Prunus_persica_NCBIv2:G4:9108408:9111194:-1 gene:PRUPE_4G158900 transcript:ONI12360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSKPLRLKKKSKREEIVKDKISELPDSILCHMLSFIPTEYAVRTSILSTRWKRIWASVPNLDFEYRDDSVLLADEYDSESYAGFFTFVDRVLSCRDPLDIKKCRLHCDCRKEDFSRIDGWIRTVIRHNVVELDLNVKIGLDEDIDQPLELPQCVFMCKTLVVLKVNSNCITYTPPTSGCFPSLKFLHVSVEYPDNDSMEKIFSCCPVLECLTINGMLGLDDVLNFNISVPELRRLRLELKLDCVYNVDIKHTFFVNCPKLESLDIKQDILSNYLFENVKSLVKANVDIWYHFEHDQHACATALLAGFSNVKYLSLSARFLEGGCLPAFDNLSELKLVLYDCYNWDLLTELLKRSPNLEYLVVEHKEYRGCDEDYEEHETYSKHVLYSKQRWRKPESVPVCLISHLKTITIRGFKGYPHEEKLAKYLLKEGQVLSKMTIYNDLAKEFSMSQRASKACRVEFI >ONI11289 pep chromosome:Prunus_persica_NCBIv2:G4:5071884:5073491:-1 gene:PRUPE_4G099500 transcript:ONI11289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPRLSNLLLLSTLFVVSISWQASAYSAPEKFVQCLLNHSQPSHPIGPAIYTPNNASYSSVLESYIRNLRFNTSSTRKPFLIVTALHESHVQLSVICAQVHNLQMKIRSGGHDYEGVSYVAEVPFFILDMFNLRSIKVDIKSETAWVQAGATLGEVYYRIAERSKIHGFPAGVCPTVGVGGHFSGGGYGNMMRKYGLSVDNVVDAQIVDVHGRLLDRKAMGEDLFWAITGGGGASFGVVIAYKINLVRVPEIVTVFRVPRTLEQNATEIVYRWQYVADKLDDDLFIRLTMDIVNGSGGENNKTLRASFIGMFLGDSERLLSVMNKSFPELGLKQSDCMEMSWVESTLFWTSFPNGTAPEALLSRIPQVLTHLKRKSDYVKKPIPKAGLKWIFQKMIELEAPMLTFNPYGGRMGEISASATPFPHRAGNLWKVQYATNWDTEGSEASEYYIDLTRKLHMYMTPFVSKNPREAFFNYKDLDIGINHNANSKASYLEGRVYGIKYFKDNFDRLVHVKTKVDPKNFFRNEQSIPTLPH >ONI11883 pep chromosome:Prunus_persica_NCBIv2:G4:7314327:7315982:-1 gene:PRUPE_4G132100 transcript:ONI11883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFNGWLSFPFHESLNHDLAIRKVLSTRVQQEQGGEWEEILNEIEFSSPSETTSPPCLPASEVDDFVDSFINMDHCGDDDIQSLEKQQSFDYYDQDGMETFSMVDDMYGDVLMMMEGDDMEMGGLAEDFGVGETNMVPSVEEVSHGVDQGLHLVHMLLACAEAVGCRDTKLAESLLSQIWASVSPWGDSLQRVSSCFAMGLRSRLSLLHNVNINGTFNNDTMDVSLTSREEKLEAFQLLNQTTPYIAFGFMVANEAICQAAQGKENLHIIDLGMEHTLQWPSLIRTLASRPEGPPKKLRITGLVEDHNVFELEAGMKALVEEASSLGIPTEFNMISEPVKPSLLTRENLDLREGEALFVNSIMHLHKYVKESRGSLKAILQALKKLGPTVLTLVEQDANHNGPFFLGRFLESLHFYSAIFDSLDASLPRNSPQRMKIERQHFADEIRNIVAFEGLNRIERHERADQWRRQLGRAGFQVMGLKCTSQARMMLSVYGCDGYTLASEKGCLLLGWKGRPIMLASAWHVHNASSS >ONI09953 pep chromosome:Prunus_persica_NCBIv2:G4:958101:960507:1 gene:PRUPE_4G020400 transcript:ONI09953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSCKLENHLETMRAEENISDPAPMVVKNAAAAASLSVSPDINKMKKKKKRKPTVVISPVRAFLLDTWNMRHVWKNANGTSSTEKHIDPWLLRETDPDWVPVAVEKDARSDIAEIDVKKYLVPKDALLGDFIAYVRMWIFLKKRKPIFVFFKNTVPPKGATLGAIDEENKDDDGFLHMTYSGNDTFSYSGNVVTC >ONI12945 pep chromosome:Prunus_persica_NCBIv2:G4:11640072:11643903:1 gene:PRUPE_4G193300 transcript:ONI12945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLALILSTYLLHFLVLQLSGAADTISAAQSITSTNTLVSSGQSFELGLFSPGNSEAWYLGIWYKNFPTIVVWVANRENPVADSHGSLKLSKNGSLVLLDQMNNTIWSSTSSQVAEDPVAQLLENGNLVVREKDTTDSESYIWESFNLPSDTLLPEMKVGWDFRTGVNRFLTSWKNASDPSLGEYTYGIDNLMLPQLVVAKGSKKLFRTGPWNGVQFSGTPDSGNKRIVKPIYVYDTNGFYYMYEATESSILTRVKLSETGLAQRLVLNEGSTEWAVMYTLLNDRCDNYRECGANGICRTSKSPSCECLQGFVPKSQNEWDVLNWESGCIRQTPLDCQKGEGFLKVRNVKLPDLLEFWANTKMSVQECEAECLRNCSCVAYASSDIRNGGSGCLMWFGDLIDMREFLEADVEQDIHIRMPFSELESLGGTGKKDKRVILISVISAVSVLPLLALLCWCILLKKRGRNVSTSTGSRSIKEDWELPLFDFKTIATATNNFSHTNKLGEGGFGPVYKANLTREEFIAVKRLSKDSGQGIEEFKNEVTMIANLQHWNLVKLLGCCIEREERMLIYEYMPNKSLDCFIFDQNRKVFLNWQKRLNIIMGIARGLLYLHQDSRLKIIHRDLKSSNILLDDELNPKISDFGIARIFGRNQTEAKTKRVIGTYGYMSPEYAIDGKFSEKSDVFSFGVLLLEIVSGRKNRGFHHPDHHHTLLGHAWLLWNENKGLELIDPCLGYSYVEFEVLRCIQVGLLCVQALPKDRPVMSSVVVMLSNEGVTLPQPKEPGFFTGRSSLDDTIIDKGRGSQTGSSITISTVEAR >ONI12946 pep chromosome:Prunus_persica_NCBIv2:G4:11640682:11643500:1 gene:PRUPE_4G193300 transcript:ONI12946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTIWSSTSSQVAEDPVAQLLENGNLVVREKDTTDSESYIWESFNLPSDTLLPEMKVGWDFRTGVNRFLTSWKNASDPSLGEYTYGIDNLMLPQLVVAKGSKKLFRTGPWNGVQFSGTPDSGNKRIVKPIYVYDTNGFYYMYEATESSILTRVKLSETGLAQRLVLNEGSTEWAVMYTLLNDRCDNYRECGANGICRTSKSPSCECLQGFVPKSQNEWDVLNWESGCIRQTPLDCQKGEGFLKVRNVKLPDLLEFWANTKMSVQECEAECLRNCSCVAYASSDIRNGGSGCLMWFGDLIDMREFLEADVEQDIHIRMPFSELESLGGTGKKDKRVILISVISAVSVLPLLALLCWCILLKKRGRNVSTSTGSRSIKEDWELPLFDFKTIATATNNFSHTNKLGEGGFGPVYKANLTREEFIAVKRLSKDSGQGIEEFKNEVTMIANLQHWNLVKLLGCCIEREERMLIYEYMPNKSLDCFIFDQNRKVFLNWQKRLNIIMGIARGLLYLHQDSRLKIIHRDLKSSNILLDDELNPKISDFGIARIFGRNQTEAKTKRVIGTYGYMSPEYAIDGKFSEKSDVFSFGVLLLEIVSGRKNRGFHHPDHHHTLLGHAWLLWNENKGLELIDPCLGYSYVEFEVLRCIQVGLLCVQALPKDRPVMSSVVVMLSNEGVTLPQPKEPGFFTGRSSLDDTIIDKGRGSQTGSSITISTVEAR >ONI13885 pep chromosome:Prunus_persica_NCBIv2:G4:16942554:16945040:-1 gene:PRUPE_4G252300 transcript:ONI13885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACEKSKILIIGATGYLGKYMLKASVSLGHPTYAYVRPIKPKTDSSKLLLHKEFEAMGATIFQGELDDHEKLVKAVEQVDVVISTVAVPQHLEQLKLIKAMKDAGNIKRFIPSEFGNEVDRVSGLPPFEALLDNKRKIRRAIEAAGIPYTYVSANSFGAYFVDYLLHPREKTNHQVTVYGTGEAKAVLNYEEDVATYTIKAATDPRVANRVIVYRPQGNIVSQLDLISSWEKKTGCTLTRSYVPEEEILKLSETLPSPDNIAVSILHNIFIKGDQMSFELTENDLEASELYPDYKYTSIDSFLDICLVDPPKPKLAAFE >ONI11972 pep chromosome:Prunus_persica_NCBIv2:G4:7667016:7673680:-1 gene:PRUPE_4G137900 transcript:ONI11972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLIVTSLGEIVVDLHTDKCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGSGGDSIYKFLYGDQARFFNDEIHHDLKHSKTGTVSMASAGENLNASQFYLTLRDDLDYLDGKHTVFGEIAEGFETLTRINEAYVDEKNKPYKNIRIKHTYILDDPFDDPSQLAELIPDASPEGKPKDEVEDNVRLEDDWVPLDEQLGPQELEERLRAKDAHSSAVVLESIGDIPDVEIKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVQAADIIRDFKTGDSLCYAFIEFETREACEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYRRKDQQMGKGKGCFKCGALDHIAKDCTGDSANRQQPQKYILKDDNAQRGGGNNSSYEMVFDGDTPESPRQEQRNQGRNPNDRGVEKQTINRRSSEDPRHRDQEHKDSSDRERHSDRSRYREDSSRDSKANRSGGSRSSQDYLEEQRDRERHKDRERGTDDRRDQRESRKRYADDGTHAEWRGDGDYRKRSADSDRDAYKERQRNVDNKNQRESRKRYADDYAERRGDENYRKRSADNDRLAERGSDREYRKRSVADDSLSEKRDSGEYKKRSAERRDDIEYRKRYADDDRRNKRDEPVHKRRSADVDAYKGKREDREHGR >ONI14179 pep chromosome:Prunus_persica_NCBIv2:G4:20095612:20098428:1 gene:PRUPE_4G267200 transcript:ONI14179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSSGPPSRPLPYSHLPPEMAGMVGLRDVFVVAPAAAYHHNNHHHLHHHHHDPNLLSPSSDPHHSINASTNPATALGVGVGVGVIPLLTTTPCLAPPNISNVDDDEDNNAHTPTGMSMGMGMGMGMGMGMSMSMGMMSSGQHRNNRSAGGGGGIQLWQQPQPHFFKKTPHDLAATSLGGTHLVQGGGGGVGNNVMNSGSAAASGASTMTCQDCGNQAKKDCTHRRCRTCCKSRGFDCATHVKSTWVPAARRRERQLMSGAAGGGAGSNSGSTSSAKKPRLIGASQTTTSHTSTSNTTPPRSYDTSSSHQDAGFKESLPGQVRAPAVFKCVRVTAVEDGDDEYAYQAVVKIGGHVFKGFLYDQGVEGREGFPNISELHLGGGGGTSGGGNGGRNGASSPILDSSDVYGASSGGLLGGSNFGNPIN >ONI12862 pep chromosome:Prunus_persica_NCBIv2:G4:11178447:11179349:-1 gene:PRUPE_4G187700 transcript:ONI12862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRERKMGKSSGAAPGSRDWTQIYAIYGLDQWQTILFLLFHAVLFTILSLLYLTYFDFITLFFHRLLPIGSARFAAGFTGSVTALSALCLFFASAHFLHSSLPLHYDVVQRMVNSVNDWSTVKHALDLGCGRGILLNAVATQMKKEGSSGRVVGLDRSKMTSLSSTLRTAKIEGVGEYVTCREGDPRRLPFGDGYFDVVVSAVFVHTVGKEYGHRTVEASAERMRVVGEMVRVLKPGGVGVVWDLLHVPEYVRRLQELKMEDIRVSERVTAFMVSSHIVSFRKPSQHLVGPGEVRLDWRC >ONI12861 pep chromosome:Prunus_persica_NCBIv2:G4:11178009:11180316:-1 gene:PRUPE_4G187700 transcript:ONI12861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRERKMGKSSGAAPGSRDWTQIYAIYGLDQWQTILFLLFHAVLFTILSLLYLTYFDFITLFFHRLLPIGSARFAAGFTGSVTALSALCLFFASAHFLHSSLPLHYDVVQRMVNSVNDWSTVKHALDLGCGRGILLNAVATQMKKEGSSGRVVGLDRSKMTSLSSTLRTAKIEGVGEYVTCREGDPRRLPFGDGYFDVVVSAVFVHTVGKEYGHRTVEASAERMRVVGEMVRVLKPGGVGVVWDLLHVPEYVRRLQELKMEDIRVSERVTAFMVSSHIVSFRKPSQHLVGPGEVRLDWRC >ONI10580 pep chromosome:Prunus_persica_NCBIv2:G4:2646808:2649244:1 gene:PRUPE_4G054900 transcript:ONI10580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKFKGKPTGQRQFSTPEDLLAGTSTRPRTFSKKEAEYDEVEEEIVEISEEESGDESEGQDEKKKGIQGIIEIENPNLVKPKTLKVKDIDIGKTTELSRREREEIEKQRARERHMRLQEQGKTEEARKDLERLALIREQRAEAAKKREEERAAKEQKKVAARK >ONI11578 pep chromosome:Prunus_persica_NCBIv2:G4:6101725:6107231:1 gene:PRUPE_4G114300 transcript:ONI11578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEMQMARRMSADENTDNKGSVWALDQQLDQPMDEEAKRLRNMYKEKKYSTLMLLQLAFQSLGVVYGDLGTSPLYVFYNTFPDGIGDPEDLIGALSVIIYSLTLIPLLKYVFIVCRANDNGQGGTFALYSLLCRHAKIKAIPNQDQTDEALTTYSRSTFGEQSFAARTKRWLEGHALMQSTLLILVLVGSCMVIGDGILTPAISVLSAVGGINVGHPKISNGVVVLVAVVILVLLFTMQRHGTDKVGWLFAPVVLLWFLVIGGIGMFNIWKYDRSILKAFSPVYVYRFFKRGGKDGWTSLGGIMLSITGTEALFADLSHFPVPSIQIAFTSVVFPCLLLAYCGQAAYLMKNSNNVIGAFYHSIPDSIYWPVFIVATAAAVVASQATITATFSLIKQALALGCFPRVKVVHTSRKYRHQIYIPEINWIVMILCIAVTAGFKNQNQIGNASGTAVCIVMLVTTLLMILVMILVWRCHWILVLIFTGLSLVVEGTYFSAVLLKVNQGGWVPLVIAAAFFVIMYVWHYGTVKRFEIEMHSKVSMAWILGLGPSLGLVRVPGIGLVYSELANGVPRIFSHFITNLPAIHSVVIFVCVKYLPVCTVPEEERFLVKRIGPKNFHMFRCVARYGYKDDHKKDDDFEKKLFQSLFMFVRLESLMEASSDSDVSSLLEQQTKQSEDGLFCNSSNILHSDVDLSIASVDAIVPDDSPLHSNNMTSFVPASSKVETDEIEFLNNCRDAGVVHMLGNTVVKARRESKFWKKIAIDYLYAFLRKICRENSVMFNVPHESLLNVGQVFYV >ONI14498 pep chromosome:Prunus_persica_NCBIv2:G4:24373147:24375239:-1 gene:PRUPE_4G283500 transcript:ONI14498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIIMFICHLLKLLLAPLHQPRVVACIIATTYGPFFGGITLTTTNFAELARVLANAKLLHSDVGRTALSVSVINDLMSSVLLVITVATISDGKLYTVLSTFTLIIFCVYGLRPALSWMVRNTSFFEEKYDMDSQICFIMAGVLLFGFISDAFGSHSILGAFMLGAILPKGELKTAITEKVEDFVSKILLPLFFLILGMRTHVVVVFRSTSLLIVMSIVALAFLAKFVVTFVAAIINKMSVRDSLAFGLVMNTKGVLAIIILNSGRDLHLNIRTYLSYFTTRYQHEKLSGIIFVLHYYLYY >ONI14499 pep chromosome:Prunus_persica_NCBIv2:G4:24372796:24374728:-1 gene:PRUPE_4G283500 transcript:ONI14499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLLVITVATISDGKLYTVLSTFTLIIFCVYGLRPALSWMVRNTSFFEEKYDMDSQICFIMAGVLLFGFISDAFGSHSILGAFMLGAILPKGELKTAITEKVEDFVSKILLPLFFLILGMRTHVVVVFRSTSLLIVMSIVALAFLAKFVVTFVAAIINKMSVRDSLAFGLVMNTKGVLAIIILNSGRDLHLNIRTYLSYFTTRYQHEKLSGIIFVLHYYLYY >ONI10055 pep chromosome:Prunus_persica_NCBIv2:G4:1195495:1198231:-1 gene:PRUPE_4G025200 transcript:ONI10055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQQSLLDSPKTSTSHCKPLYLILCLAAILSSTTLVGIQVMTKITSSSSYPPPTHICDHAHDQKSCLAMVTEVAGDKTKPVSDVDLLQALLEKSTPHIEKALEIAKDASSGINNPKNLAAIADCVELMDVSRDRVMHSIIALQNFTLQNSLEDAHAWLSSILTNHVTCLDGLQGPARTIMEPRLNDLISRSRASLAIMVAISNPSKPKAEIHEPLNGEFPSWVTSRDRRLLQDYNLAKEVKANIVVAKDGSGNYKTLKEAVAAAPNNSKTRYIIYVKKGTYKENVEVGKDKKNLMIVGDGMDDTVITGSLNVVDGSTTFKSATLAAVGDGFIAQDMWFQNTAGAVKHQAVALRVGADQSVINRCRIDAYQDTLYTHSNRQFYRDSYITGTVDFIFGNAAVVFQNCKLVARKPMTGQNNMVTAQGRIDPNQNTGTSIQKCDIIASSDLEPVKGTIRSYLGRPWKEYSRTVVMQSKIGDHIDPSGWSIWSGDFALKTLYYGEYANSGAGAGTSKRVKWAGYHVITSPTEAQTFTVAQLIQGGSWLKSTGVAYTEGL >ONI10984 pep chromosome:Prunus_persica_NCBIv2:G4:3891053:3891466:1 gene:PRUPE_4G080200 transcript:ONI10984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMLCTFLLLLLLHSPSSSALISSFSSSSSSSSHEIQHRPPSDASTSTAAQYRVFYIKNTTPFPLDKQDQLSKKHRKMRHKQKKRRKKTNKNFKSRPFSAMLPKGFVPPSGSSPCHNGYPNSVVFFCDLAPTTAKP >ONI10981 pep chromosome:Prunus_persica_NCBIv2:G4:3881435:3886944:1 gene:PRUPE_4G080000 transcript:ONI10981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLLVIYSSWICPFEFAFLPYKQDALFVLDNIVNGFFAIDIFLTFFVAYLDSRSYLLVDNPKQIAMRYISTWFIFDVCSTAPFQSISLLFTNHGSELGFKLLNMLRLWRLRRVSFLFARLEKDIRFNYFWIRCTKLISVTLFAVHCAGCFNYLIADRYPDLKRTWIGAVYPNFKEDSLWNRYVTAIYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTSYLIGNMTNLVVHWTSRTRIFRDTVRAATEFAARNDLPQRIQDQMLSHICLKFKTEGLKQQETLNGLPKAIRSSIAQHLFFPIVQKVYLFQGVSHDFLFQLVSEIDAEYFPPREDVILQNEAPTDLYILVSGAVDLICNIDEHEQVVGKATADDTLGEIGVLCNMPQPFTVRTTELSQILRLRSSSLMATVQANKEDEQIIMNNIFMKLKGQEGLGCEYPHTDPIEGCCSQAQCKDNSHQDPSMQEARNDLFTGPEATEKSEICKADILTRCAMDVNIAAEDGQMALHSAASQGHKEMVKILLEGGTNVNKPDTRGWTPKALAQQQGNKSINDLLRSYENRRIDEHRIEFSEPETPESTRNCKGNSKRHEGTQFFHSHLRKKPMKSYSGTSSPARDREGMRSINKRVTIHMHFQNGSASEMQLAKLIILPDSMEELLRVASEKFGGYKPTKVINAENAEIDDISVVRDGDHLFLLHHDSDNMNSDVT >ONI10980 pep chromosome:Prunus_persica_NCBIv2:G4:3881435:3886944:1 gene:PRUPE_4G080000 transcript:ONI10980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSCTKNFFKRFCIDEYQIDSFAQSSFFSSDLLPSLGARINQSTKLRKYIISPYNPRYRAWEMLLVLLVIYSSWICPFEFAFLPYKQDALFVLDNIVNGFFAIDIFLTFFVAYLDSRSYLLVDNPKQIAMRYISTWFIFDVCSTAPFQSISLLFTNHGSELGFKLLNMLRLWRLRRVSFLFARLEKDIRFNYFWIRCTKLISVTLFAVHCAGCFNYLIADRYPDLKRTWIGAVYPNFKEDSLWNRYVTAIYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTSYLIGNMTNLVVHWTSRTRIFRDTVRAATEFAARNDLPQRIQDQMLSHICLKFKTEGLKQQETLNGLPKAIRSSIAQHLFFPIVQKVYLFQGVSHDFLFQLVSEIDAEYFPPREDVILQNEAPTDLYILVSGAVDLICNIDEHEQVVGKATADDTLGEIGVLCNMPQPFTVRTTELSQILRLRSSSLMATVQANKEDEQIIMNNIFMKLKGQEGLGCEYPHTDPIEGCCSQAQCKDNSHQDPSMQEARNDLFTGPEATEKSEICKADILTRCAMDVNIAAEDGQMALHSAASQGHKEMVKILLEGGTNVNKPDTRGWTPKALAQQQGNKSINDLLRSYENRRIDEHRIEFSEPETPESTRNCKGNSKRHEGTQFFHSHLRKKPMKSYSGTSSPARDREGMRSINKRVTIHMHFQNGSASEMQLAKLIILPDSMEELLRVASEKFGGYKPTKVINAENAEIDDISVVRDGDHLFLLHHDSDNMNSDVT >ONI13693 pep chromosome:Prunus_persica_NCBIv2:G4:15675989:15678140:1 gene:PRUPE_4G238800 transcript:ONI13693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQVLAASQAQSPNATPDYVKRHSVNFSPSTWGDYFLSYASVKTDIKAEQDVQELKEKVKGMIMAPMLKNPSKKLELIDEIQRFGVSRHFENEVEEVLQQIHKNSYGGDEENDFDLFTTALRFRLLRQQGYKVSCGSQHANQSNVNSYANQKISSVQLKLSPEILSPFLNFCKCCTTRIYDYLHYKRVEEKKFLQYSRRSTTIKCNGPI >ONI09846 pep chromosome:Prunus_persica_NCBIv2:G4:624895:628887:1 gene:PRUPE_4G013400 transcript:ONI09846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLSSTVFAISTSCSSSSLKARNFKNLACSTFCSQNRIPYNPISARPSISRIRATILQEDEEKVIVEESFEFKASSPSDEVKSSSGGPSESSSSSTFEGWVIKCEQTINIFLTDTVIKILDTLYRDRDYARFFVLETIARVPYFAFMSVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNAWWFDRFLAQHIAIFYYFMTAFMYIISPRMAYHFSECVEGHAFSTYDKFIKARGEDLKKLPPPEVAVKYYTSGDLYLFDEFQTSRAPNSRRPKIENLYDVFLNIRDDEAEHCKTMKACQTHGNLWSPHSRAEEEDDAPCIIPQTDCEGIVDCITKSVTTKPEN >ONI11278 pep chromosome:Prunus_persica_NCBIv2:G4:4996981:4998534:-1 gene:PRUPE_4G098400 transcript:ONI11278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNPTQDTFFLQCLSNHSQYSSPPISAVTYFPNNSSYTSILQSYIRNLRFITPTTPKPLFIVTPTHVSHIQASIFCSKIHALQVRIRSGGHDYDGLSYVSQVPFIIVDMFSLRSISVKVEDESAWVESGATLGEVYYRVAEQSKIHGFPAGVCPTVGVGGHLSGGGYGNMMRKYGLSVDNILDAQIVDVNGRLLDRESMGEDLFWAIRGGGGASFGVIVSWKIKLVPVPEIVTVFRVERTLEQGATDIVHQWQYVADTIHEDLFIRAVVMQSNKKGHQTVKAKFVALFLGNAKKLHALMDESLPQLGLKHEDYIEMSWIESVLYWSNYPIGTSTDVLLERQPSSEKFLKKKSDYIQEPMSKSGLEELWKKMMELRKPVLTFNPYGGKMREISELETPFPHRAGNVYKIQYSVNWKEEGVEAADKNLDLIRRLYEFMTPYVSKSPRCSYLNYRDVDLGTNGNGNASYSEASVWGTKYFKGNFDRLVQVKTAVDPGNFFSYEQSIPSLTGWEGKMAE >ONI10925 pep chromosome:Prunus_persica_NCBIv2:G4:3715915:3717804:-1 gene:PRUPE_4G076000 transcript:ONI10925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTPSFFKKPSFSLCFSSSSSHSTTHLPLQSSYDLTTCISSLQACARHESLKKGKQIHAYMLTNGFLHSPLSITSLINMYSKCNQMDDAVSTFNYQSLDHNVFAYNAVIAGFIANGLARHGFEFYRRMRLTGVVPDKFTFPCVIRACSGVLEVRKIHGLVVKFGLELDVYIGSALVNTYLKLGLMDEAQEVFDELPVKDVVLWNAMVNGFAQIGRLEEALVVFRMMGEEGVVPSRFTVTGVLSIFAVMGDFDNGRAVHGFAMKMGYDSGIEVLNALIDMYGKCKCIGDALEIFEMMIEKDIYSWNSIMAVHEQCGDLDGTLRLFDRMLSAGVLPDLVTITTVLPVCSHLAALMHGREIHGYMIKNGLEKDVNEHVDDVQMTNAVMDMYAKCGSMRNAYMIFDKMRNKDVASWNIMIKGYGMHGYGSKALDMFSDMCEARIPLDEVSFVGVLSACSHAGLVREGRDFLRQMKSKYGVVPNIEHYTCVVDMLGRAGHLQEAYELVLEMPIETNPVVWRALLAACRLHGNQDLAEVAAQKVNELDPGHSGNYVLMSNIYVANGRYEEVSEVRHTMRQQNVKKTPGCSWIELKNGVHAFITGDRAHPKANFIYAELDSLTARLREHGYVPHF >ONI14491 pep chromosome:Prunus_persica_NCBIv2:G4:24241116:24241677:1 gene:PRUPE_4G282900 transcript:ONI14491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMMPLRCVFGHPLDACCGRQRQELLIQSLRKSRGVPITEKDSMIHKYGVTQENCFAHLDLSFKFYALSGEVNGVLGQTYASNYVSKIKMGVVMPVLGGDKEFASSSIFASDCAVSRLSGEFVKNNSSDSFEYMNCASGTDGRGVVL >ONI09841 pep chromosome:Prunus_persica_NCBIv2:G4:608213:610433:-1 gene:PRUPE_4G012900 transcript:ONI09841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKIGSRFEGKVAIVTASTQGIGFAIAERLGLEGASVVISSRKQKNVDEAVQTLKAGGIEVFGVVCHVSNEEQRKNLISKTVQKYGKIDVVVSNAAANPSIDTILQTKESVLDKLWEINVKASILLLKDADPHLKKGSSVVIISSIAGYHPPASMAMYGVTKTALLGLTKALAADMAPNTRVNCVAPGFVPTNFASYITETDAVRKSLEEQTLLNRLGTTGDMAAAAAFLASDDASYITGETLVVAGGMPSRL >ONI13326 pep chromosome:Prunus_persica_NCBIv2:G4:13492143:13493957:1 gene:PRUPE_4G215700 transcript:ONI13326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLLSNILSCFSEASQTQSKRYICDGDVCVLRNQKQLRGTKSTKNKRRHSLMRISFARLSMKRSQSF >ONI13112 pep chromosome:Prunus_persica_NCBIv2:G4:12644166:12645216:-1 gene:PRUPE_4G203300 transcript:ONI13112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLRFKQQLIKEADHQELDILIAYVLDQRGFSPIHVAASKGHTNVIREIVQHRPDSGELVDPYGRNALHMAIVGGHANEPDFDGNTPLHLATIERKTWILSYLKWDGRVNLRSKNKYGLTAIDIDRSIKEIASPKNIVPSIWGHLRTPTSWLDSIKILSRADQEEANAVQTYKQMGQTLLMVATLITTVTFTAAFTMPGGYNNNIGPDKGVALLESSKYLKLFIIADTIAMTFSIIAACLLFWGAVNSNKSSYVYYFTSAATLTYFALQFTAAAFTTEIATPSSNPIL >ONI10730 pep chromosome:Prunus_persica_NCBIv2:G4:3083676:3092828:-1 gene:PRUPE_4G064200 transcript:ONI10730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGQGFHPSRCNHVPHSHCQLVSVCNYYYSMISIFNCEKKKKETHFAVRRETSLFSVLMEERSHFLLSITFLLVLQYSSLYVAELTIGTDATQTNITTDQAALLALRSHITSDPHNILVNWSTTTSFCNWVGVTCGARHLRVASLNLSYMGFIGTIPPHLGNLSFLVALSFDNNSFHSTLPHELSYLRRLRFISFGYNNFTGSIPSWFGSFPKLQSFYLYGNNFSGSIPATIFNLSTLQVIDLSINQLSGSIPSAIFNLYALQEIDLSNNQLSGGIPRQLGNLTMLKKINLAHNNFNEIPKEIGNLDKLEKLHVLSNALKGPVPVAVFNMSSLTMLTLYENSLSGVLPDNICQHLPSIQVLDLGLNHFDGPLPSKLWQCKELLILSLEKNNFNGSIPKNIGNLTQLMMITLDTNNLTGSIPSSIFNMSKIEELTLGMNQLSGSLPADIGLGLPNLQLFYTEANDLSGVIPNFSNASMLTHLQLHQNSFTGFIPSTLCALTNLECLNLCMNNLTIETSTLSCLANHGNLTRLLLGTNPLNARLDDSFRNCSISSLQHIDLRGCSMKGNIPIDIGNSSSLIELNLGYNQLTGSIPTSVERLRNLQGLYLNDNKLEGYIPYQLCQLDKLVELVLGSNQLSGSIPSCLGASIRRLSLESNSLSFTIPSNFWRLVDILHVNLSSNSLIGPLSEGIGNLKVVLEVDLSNNHLSGIIPSTIEGLQDLVNLSLANNNLEGPIPSSFNHLLSLELLDLSRNSLSGVIPSSLEALLHLKYLDFSFNRLQGQIPTGGPFQNFSAQSFVSNRALCGAPRLHVPPCKNGTLEPNWRKAKYIIPGIISVILLVASVSIFVLRRKKNVEVAREANSLPQLLWRRVSHLDLLRATNGLNENNLLGSGGFGSVYKGKLSDGTYVAVKVFSLQLEGAFKSFDRECEILSNIRHRNLIKIISCCSELDFKALVLNYMPNGSLEKWLYSENYSLNMLQRMNIMIDVASALEYLHHGNSVPIVHCDMKPSNILLDDDMVAHVADFGIAKLVGVGDSTTQTMTLATIGYMAPEYGTEGIISTRGDVYSFGIVLMETFTRRKPTDEMFSGKMSLKQWIANSLLLPDAIIDEVVDANLLEKTKQEHDVETWRDCLSSIIRLALACSAESPAQRINMQESVATLNKIKNKLLKDNGGVQ >ONI12760 pep chromosome:Prunus_persica_NCBIv2:G4:10766955:10769427:-1 gene:PRUPE_4G181500 transcript:ONI12760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKCSDCNCTDSSQCTKKGSSYDLVIVETENRSMDTVIMDAPAAENDGKCKCAPSCSCVDCKCGN >ONI12759 pep chromosome:Prunus_persica_NCBIv2:G4:10767804:10769391:-1 gene:PRUPE_4G181500 transcript:ONI12759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKCSDCNCTDSSQCTSMDTVIMDAPAAENDGKCKCAPSCSCVDCKCGN >ONI10099 pep chromosome:Prunus_persica_NCBIv2:G4:1249078:1250587:1 gene:PRUPE_4G026700 transcript:ONI10099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKLFSYLFLQLTFILFVLDLANAQGGLNVGFYAKSCPKAEDIVKKVIFQTISTTPSLAAPLLRMHFHDCFVRGCEGSILLNSSTNQAEKDAIPNLSLRGFQIIDKAKSALEKACPGVVSCADVLAITARDVVSALNGAHWNVETGRRDGRVSNITEAFMNLLPPTANITILKAGFARKGLSAKDLVVLSGGHTIGTSHCTAFSNRLYNFTGKGDTDPTLDPNYIARLKLKCKPNDQKTLVEMDPGSFKTFDQTYFTLVSKRRGLFQSDAALLDDSETKAYVQSHVAAVGKSSFFKDFGVSMVNMGRIGVLTGNAGEIRKVCSKIN >ONI13491 pep chromosome:Prunus_persica_NCBIv2:G4:14230745:14231513:1 gene:PRUPE_4G225500 transcript:ONI13491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKAFLLLGLVFVVLLISSEVSATPTQESIVNGDGHGHGEYGHGHGGYGHGHGGHNHGHGGYGHGHGGYGHGHHGKGGPGAAETEIEN >ONI13492 pep chromosome:Prunus_persica_NCBIv2:G4:14230808:14231495:1 gene:PRUPE_4G225500 transcript:ONI13492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKAFLLLGLVFVVLLISSEVSATPTQESIVNGDGHGHGEYGHGHGGYGHGHGGHNHGHGGYGHGHGGYGHGHHGKGGPGAAETEIEN >ONI12817 pep chromosome:Prunus_persica_NCBIv2:G4:10965052:10966306:1 gene:PRUPE_4G184900 transcript:ONI12817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCITIGCHCLIYEYFDDKPAGLLVSFLANPRVFAVGRDMANLCRKLKADHGIEIRNAVDVNDLAAVLGKKMDVVRPEEELFWHGEYDSFWSMNCEVVKFYTVDLYLCYLIGLELIDAIDGAADLKKKKKKKKKKKNKKDKVMLFRHTTLITLTDHLMW >ONI13806 pep chromosome:Prunus_persica_NCBIv2:G4:16354680:16362269:1 gene:PRUPE_4G246200 transcript:ONI13806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAETPKRGLQSPKKSKRFNPKPSPSLTTPTPQTLTTPRRSARRALLQSDSPAPQKLFEDSTKKTLRKDATAGTLNSQQNDSPKTRRKGEIPKTPKSAGKGKKSKCKEEGNLTEVEVAFSPETPGQSETKKRKRDEESKVVTRSRASKNVNFEKNKAVSRRRVYYKKVVYDGGEFEVGDNVYVRRREDASSDDELVEVDECRVCFKSGKAVMIECDDCLGGFHLKCLKPPLKEVPEGDWICGFCDARKLGREVKLPTPPEGKKRVRMLRERLLSSDLWAAHIESIWKEVDGIYWCRVRWYIIPEETVAGRQPHNLRREIYRTNDFADIEMESILRHCFVMNPKEYAKANEGDDVYLCEYEYDIHWHSFKRLAEIDNGEVDDDGAESDEDWKLSKDSGSDTEEEMDYDEESIKNILAKPSRAHELAANSQKGRFFGLQKIGVKKIPEHVRCHKQTDLERAKAALLLASLPKSLPCRDKEMLEITAFIKDAISDDKCLGRCLYIHGVPGTGKTMSVLAVMRNLRTEVDAGSIRPYSFVEINGLKLASPENIYRVIYEALSGHRVNWKKALHLLNERFSEGKKIGKEDDKPCILLIDELDLLLTRNQSVLYNILDWPTKPHSKLVVIGIANTMDLPEKLLPRISSRMGIERLCFGPYNYQQLQEIVSSRLKGINAFKEQAIEYASRKVAAISGDARRALEICRRAAEITDYRLKKLISNSNNAFEGKSLVGMAEVEAAIQEMFQAPHIQVMKTSSRLSKIFLTAMVYELYKTGMGETTFEKLAMTVSCLCTSNGEAFPGHDMLLKIGCKLGECRIVLCESGAKHRLQKLQLNFPSDDVAFALKDSKELPWLAKYL >ONI13897 pep chromosome:Prunus_persica_NCBIv2:G4:17360739:17363727:-1 gene:PRUPE_4G253500 transcript:ONI13897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRISSFRSYKYTVTYGYGVTSILEWAVSEKRYLHDVLKQANMCSMVGFIDPATVSANSGTITERSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKKETVYFMDPLPGHRVVDEEAKNIVNSALKIYNTHIARAGRKNVIWKTLSGTPMQPSNVECGYYVMRFMRDIIMDPSLGFENKYAKGNQEASYPQEAIDEVRNEWAEFVFQIIKQGNY >ONI10571 pep chromosome:Prunus_persica_NCBIv2:G4:2621815:2623827:1 gene:PRUPE_4G054400 transcript:ONI10571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLLKLGTLLLKTLSKPVAARLKQQAGLHPRFRQIIVSMAQANHQVTTRMQRRLYSHATDVEIRPLNEEKAVQAAVDLIGELFVFSVAVAVLIFEVQRNSRSEARKEELRKQELEVLCNTTFVKMILTQCVDLHLYGNFHMQSFHRSHELYRILFSCTFAFF >ONI10570 pep chromosome:Prunus_persica_NCBIv2:G4:2621815:2625107:1 gene:PRUPE_4G054400 transcript:ONI10570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLLKLGTLLLKTLSKPVAARLKQQAGLHPRFRQIIVSMAQANHQVTTRMQRRLYSHATDVEIRPLNEEKAVQAAVDLIGELFVFSVAVAVLIFEVQRNSRSEARKEELRKQELETMKQRDEALSREVVLLRAKFEELEKLAKGRGLSGIINFKRAETEGAKTEKPA >ONI11926 pep chromosome:Prunus_persica_NCBIv2:G4:7453486:7456893:1 gene:PRUPE_4G135100 transcript:ONI11926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLKLILFLIFSSLMWTYTAARDTLKPGDSLSSTSCLCSAKGKFCLGFIPYDRLNSSQLTIWSTTSNNEQWIASRNMHVLYPTGVLALDKNNTLKIMDQGGTRLELCSAPKRTSNVVATLLDSGNFILQEVNSVDGSTTQVFWQSFDYPTDTLMPGMKLGVNHRNGHVWSLASWSSKYNLEQGPFTLEWDAKGRELKIKRRGVVYWTSGAFTSKRFKLIMMRYNVSIVSNENEDYFTYTALNQSSPSQWLLTSTGRLYDFGGVDIARADNCYGYNTDGGCQRWAEKPTCRHVGDAFEPKNGFFKLTGSNSTLLNDSDTSLSISDCKDSCWKNCECFGFNFLFDINQTGCQFFTGMNWEFIQDFDGDTTYSFYLLKKSPLNHGKHKGIWIETGIAAAALLLMVLCIVCYLLRRRKFTLSGENETKIIENELLDLMKSDRPTDANALQNDGTMRHDLSVFSYASVMAATCNFSPENKLGQGGFGPVYKGKLLTGQEIAVKRLSKCSGQGTLEFKNELILIYELQHKNLVKLFGFCIHGEERMLIYEYMPNKSLDYFLFDSTRVMQLDWKKRFTIIEGIAQGLLYLHKYSRVRVIHRDLKASNILLDENMNPKISDFGMARIFKHNELEANTNRVVGTYGYMSPEYAMEGIFSIKSDVYSFGVLMLEIISGRRNNSFYNADRLLNIVGYAWELWKEGTVLELMDPTVGDSCIKDQFLRCVHVGLLCVEENAADRPTMSNVISMLTNESMTSALPTKPAFFTGRNVVEASISGKETEIFSTNDLSNSTNVAR >ONI12776 pep chromosome:Prunus_persica_NCBIv2:G4:10822915:10827070:1 gene:PRUPE_4G182900 transcript:ONI12776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPATQGFLYETLPALSLPTPNQSPPPDDLESYSVFRNEVTLSTPQCAPVDTAAPDFFSLDVGADEAEPNWASPSRTLAAEPRTPLHQYEPTTPALEVEPKLESGWFRGHSKFKSPMLQLHKEIVDFCEFLSPTPEEQEARTSAVERVSQVIKYIWPRCKVEVFGSFKTGLYLPASDIDVVIMRSGIPTPQQGLQALSRALSQMGLAKKIQVIGKARIPIIKFVEKTSGIAFDISFDIESGPKAADFIQDAVSKWPPLRPLCLILKVFLQQRELNEVYSGGLGSYALLTMLMAMLHSHRECQASSEQNLGVLLVNFFDFYGRKLNTSDVGVSCKGAGTFFKKSVKGFITKGRPFLIAIEDPQAPENDVGKNSFNYFQIRSAFSMAYTTLTNPKVILCLGPNRSILGTIIRPDPTLVERKGGPGLVAFDSLLPGAGKPLQLEHDGQEFMCNWQLDDDDDPLPRGDDSAGGGSGRSSGRKRKASFKEKSGKKGKENGEVGRRNVENGSKKEKARRDENSSRKGKGKMKKIRRRRHSQDNAN >ONI13987 pep chromosome:Prunus_persica_NCBIv2:G4:18061668:18063121:1 gene:PRUPE_4G256600 transcript:ONI13987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLQPTEFDDIGHIVGSSTSKGDGVVGTQESIAMQHTTETNPVCNRSPKVSRFWTNWTFKNTWNDAISQLLRISVKSEEEKDHSLMTRYIRAKSKHSPRPSVVKEIRYGMVLALATGKLWKMHHWNEQRKVRVFHDLLEKGEIRVVARE >ONI11997 pep chromosome:Prunus_persica_NCBIv2:G4:7748587:7749712:1 gene:PRUPE_4G138800 transcript:ONI11997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCVVMAVVQLLVWAIWAGVTRHPSRWKLWLVVVAGGLAMLLEIYDFPPYQGFVDAHAAWHATTIPLTYVWWSFIRDDAEFLTSNQMKRMKTSNLAKKAK >ONI12831 pep chromosome:Prunus_persica_NCBIv2:G4:11036801:11039019:-1 gene:PRUPE_4G185900 transcript:ONI12831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLIAVFIVNVIAFGLAVAAEQRRSSARIVQDNEVNYNYCVYDSDIATGYGVGAFLFLLVGQVIIMAASRCFCCGKPLSPGGSRAWAVILFITCWIFFFIAEVCLLAGSVRNAYHTHYRTIFSENPPDCQTLRKGVFGAGAAFIFLTTIVSEFYYVFYSRARGSFQPYGGEAAVGLGTFK >ONI11465 pep chromosome:Prunus_persica_NCBIv2:G4:5617578:5619020:-1 gene:PRUPE_4G107600 transcript:ONI11465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPETPPRPPSPPPRPPSPPPRPPPPPPPPPPPPPPPAPPPPPPPPPLLPPNYEAILRDADSPINKSSVENLHEQLRAGITLNQKRKKYWVDKKSNNCFMVYARDLSISWAEDDRNWLWPSLQETSGVVIDAAEMINECWLEVHGQFETTKLSPGTLYEVAFVVKLKASADGWDVPVNVSLTLPDGSKQWHEVKLKEIPREEWIEISVGEFRASPEIPGDMEFSMYEYDSGKWNRGLVIKGVIIRPKN >ONI13539 pep chromosome:Prunus_persica_NCBIv2:G4:14600874:14603007:1 gene:PRUPE_4G228600 transcript:ONI13539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKFVQFVCLVFLLVSIVKVGAQQAVFDVMNHGGVTDGKTDNSKVFTDVWNQACQNNGGGVVLFPTGTYFVEPVVLYGGCKGPIGVQIEGTLLAPEELESSVDIDHWIAFQYVDNLVINGGGSLDGQGPSAWPFNDCLKNPQCKRLPTSLRLDFVTNASIDRITSINSKNVHINIFASQNITISNIKISAPGDSPNTDGIHLGSSHNIQILDSVIATGDDCISFSTGTNNVNISGVRCGPGHGISIGSLGKVDGDDVSFVDIRNCSFVGTQNGVRVKTWAPSEAGTVSHLNVENIEMDNVENPIIIDQQYCPSRSCNAEEFSKVQIKDVKFKNIWGTSSTKYVVTLKCSQTSPCQEIELTDINLNYNGLEGSGVSACSNVEVEAYGQQTPPACTEKIMM >ONI12117 pep chromosome:Prunus_persica_NCBIv2:G4:8367296:8369471:1 gene:PRUPE_4G145600 transcript:ONI12117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEETTPFPISDYADLDLSFTSFTSSSTTVTDRTFTTSCSSPRSSLARSSLTLSFNESRLSTTSSTATSTATNTPLNHRKWDPHWSAIKAATALSSDRTLHLSHLKLLRHLGTGNLGRVFLCRLRDDHRDNPDAATFALKVVDKDSLTPKKLGHVSTEAEILSMLDHPFLPTLYARIDVSHYTCLLIDYCPNGDLHNLLLLQPLNRLPLPAVRFFAAEVLVALEYLHALGVVYRDLKPENILLRGDRHVMLSDFDLCFKADVSPAVQHRRVEKTGPTRRKNTHSCFGSRREHEEEMVAEFVAEPTTAYSKSCVGTHEYLAPELISGNGHGNGVDWWAFGVFIYELLHGTTPFKGGSKESTLRNIAASSGVRFHVAEGEEEGMKEARDLIERLLVRDPRRRLGCSKGATEIKQHPFFGGINWALIRTYRPPEVNGLRRRPSKPHVSPVAAALHKRKKCWWKGLCHFVKTRCNKHNNHSNSNYYKYYVSSKMVRKSSQI >ONI14191 pep chromosome:Prunus_persica_NCBIv2:G4:20170423:20170829:1 gene:PRUPE_4G267800 transcript:ONI14191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGCFSLRGNLSDIEFVEFSHLMSCISSWFFILLVLRGDIGLFRDLGLSLVNLFSFIAKRSEASHL >ONI09726 pep chromosome:Prunus_persica_NCBIv2:G4:328851:329651:1 gene:PRUPE_4G005800 transcript:ONI09726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVVETLQETKTLGSLWENFNNNSVSVIIPPSGSKEVTFSLAMTKGFKHLHIHFACPDKTCLILSTKRLCCSVYWISCSVFQRISPQNYSFIK >ONI12416 pep chromosome:Prunus_persica_NCBIv2:G4:9478042:9480476:-1 gene:PRUPE_4G163500 transcript:ONI12416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGFLLFLLFSYIISTNIHACKQAESSSLLFFASTLSSPSLNWTSIDCCRWEGITCNKDGWVTHLLLPSKGIKGGLLPSSLANLTHITHLNLSHNSLYGSLETQFFLSLNRLEILDLSYNFLSGELPLSLQSSHIQTLDLSSNHFHGAIPSSFFQQASNLTSFNVSNNIFKGYVPSSICPHSSPFLRLLDFSYNEFSGNLPPGLGACSELQIFRAGHNNLSGLLPEDIYNATKLKEIALPLNALRGAISDKIVNITNLAILDLYFNQFSGELPFNLGKLSELKFVTLDFNNLKGALPPSLMNCTNLVELRLGSNNLEGDISMRDFSRLSQLTKLDLRYNNFTGMFPVSLYSCRSLKAIRLSGNHLEGEIQTEIISLKSLSFLSLGYNRFTNLTGAMKILMSCKSLQALMLTGSFKGEGMPSDDDMVDFDGFQSLRVLGLVDSNLTGQIPVWLSKLRNLEVLGLRLNRMTGPIPSWLGTLPRLFFISLGHNRISGEFPKQLCRLPRLLYQPIAPQVDQFEFDLPIIGGATENHIFPPYKLSFIAATIDLSNNNIIGDIPTEIGQLQLLRWLFLDSNNFSGVIPDQISNLKNLEVLDLSTNHLSGKIPLSLASLSFLKDFNVSYNNLQGPILTGTQLQSFNASAFERNPKLCGAPLPNKCGLNKGIDVANKNNKDVDTGLHQLPWFYIFTTLGFIVGFWAVCGSLIIYKTWRYEYFRFIDNLQDRLHVIITIYINTMNKRLRD >ONI13147 pep chromosome:Prunus_persica_NCBIv2:G4:12827387:12831444:1 gene:PRUPE_4G205700 transcript:ONI13147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAAIRALRKRISPSLTSRIIASTSNSRSISTLVLAEHQGGAIKPQSVSAVKAAKSLSNDNPISLLLGRLRLCPSRSRSKRRSCDPSVSEVLVADSDEFSHPLAEPWAKLIHLVQQKGGYSHVITASGSFGKNILPRAAALLDVSPITDVIEISDPHLFVRPIYAGNALCTVRYTGAGPCLLTVRSTSFPVSPILADSKSNEAPITQVDLSTFGEDSVGKSRYVKHTSQDTERPDLGNARVVVTGGRALKSAENFKMIEKLAEKLGAAVGATRAAVDAGYVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDAEAPIFQVADYGLVGDIFEVIPELLEKLPENK >ONI14378 pep chromosome:Prunus_persica_NCBIv2:G4:23276130:23278496:-1 gene:PRUPE_4G278100 transcript:ONI14378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDFLPSKEDSEVLVVLQMKWCSILKISILKQQTVKGLDAAFIGPNENQFAVLYDDKIGLVFIYFA >ONI13831 pep chromosome:Prunus_persica_NCBIv2:G4:16602327:16605042:1 gene:PRUPE_4G248600 transcript:ONI13831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAAKIELGSQTVQELLGKGKGEQVPEKYIQKVGAPNASSAQLMDIPVIDLGLLLTPSSITAQQLEKLRSALTTWGCFQVINHGMTPEFLDKVREMTKQFFALPLEEKQKYLRQINDIQGYGNDMVFSEQQTLDWSDRLYLSVYPEEHRKLKFWPQNPKSFSETLDQYTMKLQVVTKTVLEAMARSLNLDVNCFRDLCGEQGKMDVRFNFYPPCSRPDVVLGVKPHADGTIITLLLQDKQVEGLQFLKDDQWFRAPIVPEALLINVGDQAEILSNGIFKSPVHRVVTNPDKERISLAAFCIPESDKDIEPFESLVNESTPRLYKKVKNYVGIYFEYYQQGRRPIEAAKI >ONI14401 pep chromosome:Prunus_persica_NCBIv2:G4:23563880:23570911:-1 gene:PRUPE_4G279300 transcript:ONI14401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWEKRIYSKELEFVGRYSLIYYQKKIEGDACALVAIGFSAIFSIGYSHQFSPGIHLSLLFFIFSPAGTTH >ONI12779 pep chromosome:Prunus_persica_NCBIv2:G4:10831400:10832723:-1 gene:PRUPE_4G183100 transcript:ONI12779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALKLKEKKQGQAMQTLQLQDSHHSQDKPPNQGLTTITPHVERLKSPPEDQVKLAATAITLNVRLRSSDMPAHMQNHALRHTRTLLDSAAAAPPAAPKARPNPTHLARALKKEFDSVYGPAWHCVVGTSFGSFVTHSPGGFVYFSVDDSLSVLLFKTEVQLVKEKPQPAQTAKP >ONI10994 pep chromosome:Prunus_persica_NCBIv2:G4:3950260:3953198:-1 gene:PRUPE_4G081000 transcript:ONI10994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVALDLVELRHLKSIATRPHIINFISSAIRTLEKMPQGDATAVSTPALLHDKPVPFSWDQDNDNVKLSNEAATLPIPILATTCTPARHYEMLGSFGWEQNNIDVKIYIYLKGVDPENIEAGFSPSSFHIKLHDVEGKNYKFAIPELYKEIVPGRCRVITKPEKVVITLVKASRDYWLDLKFKEERPMPMPNWDEEPDPLAGFMGIMKNMYEEGDPEMKRTVAKAWTEAKFGRNP >ONI13735 pep chromosome:Prunus_persica_NCBIv2:G4:15989595:15990360:-1 gene:PRUPE_4G242100 transcript:ONI13735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPEKLLKFKFHFLFALILCLTLYAITLIAPRFLTILAYFWPLFLSTALFLFAVVVFGKTTLPVTEASGEGLLDYVAGQPEHVVESYKSAEQSQS >ONI09902 pep chromosome:Prunus_persica_NCBIv2:G4:811486:811896:1 gene:PRUPE_4G017300 transcript:ONI09902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQVFFMIAMLIMALAITLSATVPNQREAFFRPGSSTSRFLASQSSPGRGGCDQNPLACRATEGSAGPDCCSKKCVDLRTDISNCGSCGKRCISSEICCNAHCVNPMSHNQNCGKCSNHCKEGTSCDNGMCDYA >ONI13989 pep chromosome:Prunus_persica_NCBIv2:G4:18083203:18089590:1 gene:PRUPE_4G256800 transcript:ONI13989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSKSKSTSAANKSKKGKQRYLPHNKAVKKKGSYPLHPGVQGFFITCDGGRERQASNEAINVIDSFYEELVHGKGSGLKLSEEHKPSNKKIKFSYSDSSSSDDDDDHENKQAGEDKAEEEQENGVDEENKSDTRGDDGSHDNPTTEKSDHQKKDDASQDNATTEKSDHQKKDDGSHDNAITEKSDHQKKDDASQDNATTEKSDHQKKDDGSQDNAATEKSDHHKKDDGCDGNKTEEKIKDTKEDNVSKEISANEAEEPPAKKQCLGTNSSKCTIPYEVEEKSIDKLIEAELQELGDKNKRRFISLDSGCNGVVFVQMRKRDGDPSPKDIVQHMMASAAATRRHMSRFILRVLPIEVACYSSEEDISRAIKPLLAQHFPVETQNPQKFAVLYEARANTGIDRMKIINAVAKSVPGPHKVDLKNPDKTIVVEIVKTICLIGVVDKYKELAKYNLRQLTSPKP >ONI10814 pep chromosome:Prunus_persica_NCBIv2:G4:3393323:3400581:1 gene:PRUPE_4G069600 transcript:ONI10814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHFDVSKATTDLVILVAMLVLCFCISTVKVEAQSLRLASAEVEALKEIATQVGKKDWNFSIDPCSNDTNWATPKSADLPLYNNTLICNCSYPDGFCHVVSIFLKGQDLAGVVPPSAAKLTYLTRVDFTRNYLTGTIPREWASTKLEYLSITVNNLSGPIPGYLGNISTLIYMSLENNNFSGTVPPELGKLVNLNNLILSANNLTGELPLALTNLTKLTELRISSNNFTGRIPYFIQSWKQLQKLEIQASGLQGPIPSSISALSNLTELRISDINGTGSEFPPLSSMTGMGSLMLRSCNLSGRIPAYISAMTTLKILDLSFNRLEGDIPDLATLTNLQYLYLTSNLLTGSIPDWIKNRDSHYQLDVSYNNFSQSSEPASCRETLNLFKSFSARDNSLFGECLNSYPCPKDRYSLHINCGGKATTIGGINFEGDPDLGGAAKFVPVRPIWGISTTGHFWDANPTSNDYIANNASTLGMNNSELYTSARLSPLSLTYYARCFGNGNYTVRLHFSEIIIRGNRSFYSLGRRMFDVYIQEKLVLKDFDIEKEAQGVDKEVIKELKAVEVKNKTLEIRFHWSGKGTTASPKRGTYGPLISAISLESEFPPPHDKKSKVPIVVGASVGASVLCLIFLILGILWWRGSLDSKTSREKALRELDLQTGFFTFRQIKAATNNFDLKNKIGEGGFGSVYKGILLDGTIIAVKQLSSKSKQGNREFVNEIGMISGLQHPNLVRLYGCCIEANQLLLVYEYMENNSLARALFGPEEGPLKLDWPTRQKICLGIARGLAFLHEESALKVVHRDIKTTNILLDHDLSPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVALEIVAGKNNMKYRPNENFVCLVDWALVLQQKGNLMDLVDPRLGSNFSKEEAIRMVKVALLCTNPAPALRPSMSSVVSMLEGKTAVHELIMDPSIYGDEMRLTALRNQFDQIAQESSTGTQSLTRSSNATWIGSSATSTSSDLYKINPSS >ONI10648 pep chromosome:Prunus_persica_NCBIv2:G4:2848562:2849631:-1 gene:PRUPE_4G059700 transcript:ONI10648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLEWKLMISMFIVVSGTWESKAASTTLHEGSMAIKHELWMAKYARIYVDNAEKERRFKIFKENVEFIEKFNTEANHIYKSGLNEFSDLTDEEFTMFHAGYKPTRLFKNSSFHIDWRNKGAVTPVKFQGVCGSCWAFTAVADVEGITQTKTGSLISLSEQQLVDCSKNGVNQGKNETCDIEKKKNIAARFASYESVPSNSEEALQKAVSMQPVTASVEGRGMAFRHYSSGVFSGVVGFRENEYGIEYWLVKNSWGRNWGGYIEDLQKCWFSRRSL >ONI10835 pep chromosome:Prunus_persica_NCBIv2:G4:3472018:3475458:-1 gene:PRUPE_4G070800 transcript:ONI10835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVPTILFMMVVVLVDPIHGAPQVPCFFIFGDSLVDNGNNNNLLTRAKVNYQPYGIGIDFLTGPTGRFCNGRTTVDILAQLLGFENLIPPFATSNKGPDILKGLNYASGATGIRVETGSQLGGHISLDQQLLNHQVTAILYTYKKKKNTTSPDEISSAQVHRPMPTKKSMPTKFRRGKPNPANFVGIDLCRRNFVARVNNIGQCAAGQTPCQNRSEYVFWDGFHPTEALNQITGIRTYTAFDPSDAYPMGISHLVQLRL >ONI12863 pep chromosome:Prunus_persica_NCBIv2:G4:11184964:11190022:-1 gene:PRUPE_4G187800 transcript:ONI12863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKYAKELDVAVRVVHMACSLCQRLQQGIVSAGSDLVKSKEDDSPVTVADWSVQATVSWILSEFFGSQNVSIIAEEDVQTLSKADSASLLEAVVNTVNECLARASKYGLKSPPKALNTSQVLEAISRCNSVGGPKGRHWVLDPVDGTLGFVRGDQYAVALALVEDGKVVIGVLGCPNYPMKKELLSYHYQYHETMSRLSPPSDDMWERGCVMYAKRGSGEAWMQPPIPGDKKFVWPNSARLVRVSSIDDPKLATCCEPVEKANSDHSFTAGLAHSVGLRNQPLRVYSMVKYAAIARGDAELFMKFARTGYKEKIWDHAAGVVIVEEAGGVVTDAGGHPLDFSKGPYLEGLDRGIVVCSGATLHEKIIDAVYASWDSSNL >ONI12168 pep chromosome:Prunus_persica_NCBIv2:G4:8478249:8481450:1 gene:PRUPE_4G148500 transcript:ONI12168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVRSLCARSKTCSGESWHSCEGILPLNVLLRKRRIIKNSKLSPIHAGICPDNLLLPRSKCFR >ONI13741 pep chromosome:Prunus_persica_NCBIv2:G4:16007624:16013488:1 gene:PRUPE_4G242600 transcript:ONI13741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDLEADGSRDEKLKEEKEELKVEEMEEETGPNEKKETEEKTEEKREFEVEEDKVKKAEEKAEELEEEKEEEKEEEKEEEKEEEMEEEKEEEKEEDKEEARAKEAKVEKGPRKRGKGKSVEKTKEKKKEVAEKKEPEQRTPTTDRPVRERKSVERLVASIEKDAVREFQIEKGRGTPLKDIPNVAFKLSRRKLDDSLKLLHTILFGRRGKAVEVKSNISRFSGFVWRGNEDKQKTKVKEKFDKCNKEKLLEFCDLLNLPISKATTRKEDIVAKLIDFLVSPHATTTSLLAEKESSKGKKRKRATKGSSSTSGGTNSKRSAKNRRKNDDDSKLDDKSAADTEDESEEDEKEDEENVEEENENGVRENSEDETPEHSESEEKLDSSDDSEEEVEKQKPRRKSSSRKKGSSAKAQTKKATGSAKSTPPPTKSRKKSSSKRTPVDDDSDTSPKASSRKKKNEKVSKVPTPTKSASKEKPGKKVAKGKDKTKEEKLRPSDDKLRDAICQILKEVDFNTATFTDILKQLARQFDTDLSPRKSSIKLMIQEELTKLADEADEEDEEGGPEKDETESAGQEVEA >ONI09773 pep chromosome:Prunus_persica_NCBIv2:G4:426241:429137:1 gene:PRUPE_4G008400 transcript:ONI09773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGGAHPSSKTEFTECWRTTWKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIREDFREVDRKTWLQETIVSMAVAGAIIGAAFGGWMNDALGRKKSILIADVVFFVGAIVMAVAPAPWVIIIGRIIVGVGVGMASMTAPLYISEASPHRIRGALVSTNGMLITGGQFLSYLINLAFTKAPGTWRWMLGVAGLPAVVQFILMLSLPESPRWLYRENKVDEARAILAKIYPAEEVDDELKALHESVEFEKAEEGTAGNGMFGKLKGALSNTVVRRGLYAGITVQVAQQFVGINTVMYYSPTIVQFAGFASNQTAMALSLITSGLNVVGTLISMCFVDRYGRRRLMIISMFGIITCLVVLAGVFYYAAANSPKISNLESARFGNNSTCPAYGSASNPASWNCMTCLKQDCAFCSSKVKDLAPGACLAANDNIRDSCREDRRTWYSKGCPSKIGIFAVILLGLYIIMYAPGMGTVPWIVNSEIYPLRYRGIGGGIAAVSNWTANLIVSETYLTLTKALGSAGTFLLFAGFSLIGLIFIYFLVPETKGMQFEEVEKLLQKGFRPKLFAPKDTKGKAKVEE >ONI11534 pep chromosome:Prunus_persica_NCBIv2:G4:5918762:5921361:-1 gene:PRUPE_4G111800 transcript:ONI11534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRILRRTHELQQKLLSPASNPISIFYTLFSLRTLSYTHYDDPYSTTTITTATSTTSTSSSSQSQSLVRTICALVCQSYSPQTHLRSSPPKLNLDLNADSLTNEQAISVVASLAEEAGSMVALSFFYWAIGFPKFRYFMRLYIFCAMSLFGNGNLERAHEVVHCMVRNFAEIGRLKEAADMVFEMQNQGLMLSTRTLNCVLGIACDLGLVEYAENLFEEMCVRGVSPDSLSYKSMVVGYCRNRRVLEVDRWLSKMLERGFVLDNVTFTLIISLFCEKSLVSRASWCFDKMIRMGVKPNLINFTSLIHGLCQRGSIKQAFEMLEEMVRKGWKPNVYTHTGLIDGLCKKGWTERAFRLFLKLVRSDNYKPNVHTYTAMIRGYCEEDKMSRAEMLLSRMKEQGLIPNTNTYTTLVSGHCKAGNFDRAYELMDIMGKEGFAPNICTYNAVFDSLCKKGRVQEAYKLIKKGFRRGLEADRVTYTIFISEHCKRGDINGALVFFNKMLKVGLQPDMHSYTTLIAAFCRQKKMKESEKFFELSVRLGSIPTKETYTSMICGYCRDENIALAIKFFHRMGDHGCAPDSFTYGALISGLCKEEKLEEARRLYDTMMDKGLSPCEVTRLTLAYKYCKKDDSAAAMVLLERLEKKLWIRTVNTLVRKLCSEKKVGIATLFFHKLVDKDQNVDRVTLAAFKTACYESNKYALVSDLTERISKGIG >ONI13958 pep chromosome:Prunus_persica_NCBIv2:G4:17553376:17557656:-1 gene:PRUPE_4G254500 transcript:ONI13958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFGKSVLSVKMASQDQSKDSGSKKNGGKELGMVTPQEKSSKKMKSSKKMKFASSSAETEPTSTTTISDDSKSGRGMSTMPRVVKRKLQKLRPIVEYNKRGKGIGQAHSEMQSYIGVLARSRVPLVDMKWAQISKDIKEQIWEAVDIAFVVGQGGKNSVLASAAKKWKDFKFTLTRHYIIPYTNDRERLSQPPETYKFIEKAQWDAFVASRLSKDFESVHSQHAQIREELEYNHRLS >ONI12880 pep chromosome:Prunus_persica_NCBIv2:G4:11250220:11252990:-1 gene:PRUPE_4G189300 transcript:ONI12880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLAPICERIMFIWALVTRWFRYQRNLEVNMNTLKRMLEELDSQTEDIGKKLEAEPPLPIRRHEDEVYEWLENVERIKIRIQIIEQLGERKYLSCAHFVRLVDEKIGEVKELLQKGSLYSEISMKKQFKYVIIGGGVAAGYAAREFVKQGLKPGELAIISKEAVAPYERPTLSKAYLFPEGAARLPGFHVCVGSGGERLLPEWYVKKGIELILSTEIAEVDLHSKTLTSTTGRTFEFQTLIIATGSRVIRLTDFGVQGADAKNIFYLREISDADELVEAIRAKKKGKVVIVGGGYIGLEVGAAMRINQFDVTMVFPEPWCMPRLFTKEIAAFYEAYYANKGIKIIKGTVAVAFDADTNGEVKAVKLNDDRVLQADIVVVGVGAKPLTELFKGQVDEEKGGIRTDGMLETNVPDVYAVGDVTTFPLKLYNDMRRIEHVDHARKSAEQAVKAIRASEEGKSIEVYDYLPYFYSRAFDLSWKFYGDNVGDPLMFGDKNPASGKPKFGTAWIKNGKIVGVFLEGGTDEENKAIATMARVQPPAEYLDQLKKEGYFIA >ONI12393 pep chromosome:Prunus_persica_NCBIv2:G4:9260341:9262703:-1 gene:PRUPE_4G161500 transcript:ONI12393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSNPSSPVSLNLDSTADSSSSASTTPSIQMVSKSVSERLLGKFFDASQYDFDYEQSGLWSPPLRRSVFLDSPGNIIISCCEEEEMFCYNKLKNAKMAWPRRFFCLITALWCSPRQ >ONI14421 pep chromosome:Prunus_persica_NCBIv2:G4:23646952:23650298:-1 gene:PRUPE_4G280100 transcript:ONI14421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYGDFGGLAGSMVVTVLALLSSIARRASETSTLIQEETTHTPQKPTQRRNIRNRKGRINNRNPPDPLPPGFPLVLKVRKEAMNSLSGKTRNGFNSRCCKFDCCGDGFYSIVC >ONI12094 pep chromosome:Prunus_persica_NCBIv2:G4:8261528:8266035:1 gene:PRUPE_4G143900 transcript:ONI12094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSFLCRGVNSSKKPGSDVDKELSGIPDVKLYTYKEMRIATEDFSPANKIGEGGFGSVYKGQLKDGKMAAIKVLSAESKQGVKEFLTEIDVISKIEHENLVKLYGCCVEENQRILVYNYLENNSLAQTLIGGGHSNIQFSWRTRREICIGIACGLAFLHEEVRPHIIHRDIKASNILLDRDLMPKISDFGLAKLIPPNMTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYSFGVLLVEIVSGRCNTNTRLPIDEQYLLERTWQVYERRELVGLVDTSLDGDFDAEEACRFLKIALLCTQDTPKLRPSMSSVVKMLRGEKAVDDNKITKPGLISDFMDLKVRPPQNAKPGSTMTTTCTNNASSGSDSQDNSTLSLETSAAATSTFISTMSSETSAANTMSFTFGTV >ONI12095 pep chromosome:Prunus_persica_NCBIv2:G4:8261528:8266035:1 gene:PRUPE_4G143900 transcript:ONI12095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIATEDFSPANKIGEGGFGSVYKGQLKDGKMAAIKVLSAESKQGVKEFLTEIDVISKIEHENLVKLYGCCVEENQRILVYNYLENNSLAQTLIGGGHSNIQFSWRTRREICIGIACGLAFLHEEVRPHIIHRDIKASNILLDRDLMPKISDFGLAKLIPPNMTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYSFGVLLVEIVSGRCNTNTRLPIDEQYLLERTWQVYERRELVGLVDTSLDGDFDAEEACRFLKIALLCTQDTPKLRPSMSSVVKMLRGEKAVDDNKITKPGLISDFMDLKVRPPQNAKPGSTMTTTCTNNASSGSDSQDNSTLSLETSAAATSTFISTMSSETSAANTMSFTFGTV >ONI11852 pep chromosome:Prunus_persica_NCBIv2:G4:7160244:7163985:1 gene:PRUPE_4G129900 transcript:ONI11852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNTRNIRNICILAHVDHGKTTLADHLIAGAGAGVVHPKLAGRLRFMDYLDEEQRRAITMKSSSISLQYKDHSINLIDSPGHMDFCSEVSTAARLSDGALLLVDAVEGVHIQTHAVLRQAWIEKLTPCLVLNKIDRLISELKLSPMEAYTRLVRIVHEVNGIVSAYKSEKYLSDVDAILSGPSGDVGSDQNLAFLDVEDDEEDTFQPQKGNVAFVCALDGWGFCIREFAEFYASKLGASTAALQKALWGPRYYNPKTKMIVGKKGVAGLSKARPMFVQFVLEPLWQVYQAALEDGDNNKVMLEKVIKSFNLNVPPRELQNKDPKVVLQAVMSRWLPLFDAVLSMVVRCMPDPVAAQAYRITRLLPKRQVLSDGVDPNVLAEAELVRKSVEACDSRPEAPCVAFVSKMFAVPMKVLPQRGLHGEIINNVSDEGELNECFLAFARIFSGVLYSGQKVYVLSALYDPLKGESMKKHIQEAELQSLYLMMGQGLTHVASAHAGNLVAIRGLGQHILKSATLSSTRNCWPFSSMAFQVSPTLRVAIEPSYPADMGALTKGLRLLNRADPFVEVTVSARGEHVLSAAGEVHLERCIKDLKERFARIDLKVSPPLVSYKETIEGDVVDKLENLKLFLKSSDCVKKKTPNERCTIKVRVIKLPPSLTKVLEENSGLLGEIIEGNAQTNKSLDTKISRIEEDENPTEALTKRIMDAVESDIYSSGENDKDRVEKCKLKWQKLLKRIWALGPSQVGPNILLTPDLKGRDTDGSVLIRGNSHVSEKLGLVDACGSGNIAGDTSSEVTQALYEEAESLESSVVSGFQVATAAGPLCDEPMWGLAFLIEAEIEPLTAQCDEVEASHQQHEQYGIFRGQVMTTVKDACREAVLQKKPRLVEAMYFCELNTSTEHLGSMYAVLGRRRARVLKEEMQEGSPLFTVHAYLPVSESFGFADELRRWTSGAASALLVLSHWEALPDDPFFVPKTEEEIEEFGDGSSVLPNTARKLINAVRRKKGLHVEEKVVQHATKQRTLARKV >ONI10514 pep chromosome:Prunus_persica_NCBIv2:G4:2460328:2461576:-1 gene:PRUPE_4G051400 transcript:ONI10514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPREKTATAVRANGNGNVKEVHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAVEAARAYDAAAREFRGSKAKTNFPLPSENISLNMTKNNNININNNINKNSSGSNNQSPSQSSTVESSSREPPALMVDSLPLDLNLAQGVSTGGFGSGPMRFPFQHHQVPSVGAVIGVPASAAAAANHVLYFDSVFRAGMVKSHQFHQRLRFDHHNLQQHDFHAYGGGGVFACGAQSDSDSSSVVDLNNHDLPRGGLLDLNLPPPPELA >ONI11054 pep chromosome:Prunus_persica_NCBIv2:G4:4165713:4171764:1 gene:PRUPE_4G085000 transcript:ONI11054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSAAGSRTRVGKYELGRTLGEGNFAKVKFARNVETSENFAIKILDKEKVLKHKMIGQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFDKIASKGRLKEDEARNYFQQLINAVDYCHSRGVFHRDLKPENLLLDVNGILKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMALYKKIFKADFTCPPWFSSSAKKLIKRILDPNPFARITIAEVIENEWFKKGYKPPSFEQVDVSLDDVDSIFNESGESQNLVVERREVRNVAPVTMNAFELISTSQGLNLNSLFEKKMELVKRETRFTSKSPANEIISKIEEAAGPLGFGVKKNNFKLKLQGEKTGRKGHLSVATEIFEVAPSLYMVELRKSGGDTLEFHNFYKNLSTGLKDIVWKSGDESRKEAEDGASAGALPS >ONI11055 pep chromosome:Prunus_persica_NCBIv2:G4:4165713:4171764:1 gene:PRUPE_4G085000 transcript:ONI11055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSAAGSRTRVGKYELGRTLGEGNFAKVKFARNVETSENFAIKILDKEKVLKHKMIGQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFDKIASKGRLKEDEARNYFQQLINAVDYCHSRGVFHRDLKEDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMALYKKIFKADFTCPPWFSSSAKKLIKRILDPNPFARITIAEVIENEWFKKGYKPPSFEQVDVSLDDVDSIFNESGESQNLVVERREVRNVAPVTMNAFELISTSQGLNLNSLFEKKMELVKRETRFTSKSPANEIISKIEEAAGPLGFGVKKNNFKLKLQGEKTGRKGHLSVATEIFEVAPSLYMVELRKSGGDTLEFHNFYKNLSTGLKDIVWKSGDESRKEAEDGASAGALPS >ONI12649 pep chromosome:Prunus_persica_NCBIv2:G4:10505281:10508243:1 gene:PRUPE_4G177100 transcript:ONI12649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGREILHKMKEKVLGPSDPDSGKGKSKMSHHITHGFHLVKGKSHHAMEDYVVAQFKQIDDHELGLFAIFDGHLSHEIPEYLQSNLFNNILTEPDFWTETENAVRRAYCVTDTNILEKAVDLGKGGSTAVTAILIDCQKLVVANVGDSRAIICNNGVAKQLSVDHEPSTEREEIENRGGFVSNFPGDVPRVDGQLAVARAFGDKSLKKHLSSEPHVMVALIDEKTEFIVLASDGLWKVMSNQEVADSIKDIKDARAAAKHLTEEAVNRKSSDDISCVVVRFQ >ONI13860 pep chromosome:Prunus_persica_NCBIv2:G4:16710014:16714553:1 gene:PRUPE_4G249900 transcript:ONI13860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKSETENRLHADHHTIPPNSVYSEPWWRGVGYNPISPAVTGRNASNSSSLECPNGGSESNDDRSLSNDEPNEDDDDDATKESQITSPRSAGNDGQERQNMPHVGSTVPTVRDDCLAQPPQLELVGHSIACASNPYQDPYYAGMMAAYGHQPLGYPPFLGMPHARMPLPLEMAQEPVYVNAKQYQGILRRRQARAKAELERKLIKVRKPYLHESRHQHAMRRARGTGGRFAKKTNGDKSNSTGQEKGTGSGPAHSSQSGSSSGSEPFPSDSAETWNSSNSQQEGRGSQVHEAYPGHNYANGSGCFQTHGGLQASMYPSYSGKRGEEGDCTGQQRGSISSNQASQRRLAIQ >ONI13859 pep chromosome:Prunus_persica_NCBIv2:G4:16709805:16714582:1 gene:PRUPE_4G249900 transcript:ONI13859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKSETENRLHADHHTIPPNSVYSEPWWRGVGYNPISPAVTGRNASNSSSLECPNGGSESNDDRSLSNDEPNEDDDDDATKESQITSPRSAGNDGQERQNMPHVGSTVPTVRDDCLAQPPQLELVGHSIACASNPYQDPYYAGMMAAYGHQPLGYPPFLGMPHARMPLPLEMAQEPVYVNAKQYQGILRRRQARAKAELERKLIKVRKPYLHESRHQHAMRRARGTGGRFAKKTNGDKSNSTGQEKGTGSGPAHSSQSGSSSGSEPFPSDSAETWNSSNSQQEGRGSQVHEAYPGHNYANGSGCFQTHGGLQASMYPSYSGKRGEEGDCTGQQRGSISSNQASQRRLAIQ >ONI12387 pep chromosome:Prunus_persica_NCBIv2:G4:9228178:9230816:-1 gene:PRUPE_4G160900 transcript:ONI12387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSKPHQACIDEDRISGLPDEVLCHILSFLYTITAVKTCVLSHRWKNLWASVPNLKLLICSPKSDSTCSPGFVDRVLSSRGSSRIHRFHLNLYGAHKYFSHVDEWICTAITRNVVELHLLLFPNPWQRYELPSTLFMCKTLVILKLMLQFDIVAIPPNSDCFPNLKFLHVSVCFPDADSMKKLFSCCPALEDLIIDGNVEKIPGEADSVLRLNVSAPKLKILQISLMGSWMRNVSITVNAPQLETLDLMENFFATYSLKNAKSLSKAKVDVQVYRRYHADPGIDYAHRTHRLFAGIINVKSLSLAAPILTNPCLEFQGPLPKFYNLNHLEVRLKTCSSWKSLTKLLEISPNLENLVFENNIECHADHDKNDQWCQPETLPICLFSSLKTVCVRGFRWHSDEMEAVKYMLKHGEALNKVTVYARNISAEKQMEWQQEFVDFPRVSKTCQIELVQLIDRGF >ONI09937 pep chromosome:Prunus_persica_NCBIv2:G4:919117:919501:1 gene:PRUPE_4G019700 transcript:ONI09937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAPGWADQWGAGGIGALEDGDNTKATENSSNNKKADTKSGLGKAKVAAMAGAEKIKNGTSNGIKWIKNQCQKKKPSKPVN >ONI09699 pep chromosome:Prunus_persica_NCBIv2:G4:265113:267274:1 gene:PRUPE_4G004000 transcript:ONI09699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSIDPATFLLSLTSSIEHWKVQGKKGVWIKLPIERVNLIEAAVKKGFWYHHAEPNYLMLMFWIPQSAHTLPANATHRVGIGAFLINHNREYRGTGVWKLPTGVVDEGEDIFAAAVREVKEETGIDSEFVEVLAFRQRHKSFFQKSDLFFVCMLRPLSFDIQKQEHEIEAAKWMPFEEYAAQPFVQKYELLSYIHDICKAKMDGKYTGFSPVPSTSYSVQKSYLYLNTSTAPRRQSKL >ONI10420 pep chromosome:Prunus_persica_NCBIv2:G4:2209524:2212190:-1 gene:PRUPE_4G046400 transcript:ONI10420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSKKRPFSFSHNHPKPKPPVVCFLTIAKPNTKIYTAMDIVSSLECLKFDSQSEFLYKDSGRKDLFSPAMVPINCETSIIRFKADESEPEEYEDEEFLSDESEAKELIGSTSLESEADESESDELEPSKLIGHECEYCLQVGDHFTQLCPYKFHVPKNAIVSTRCVILCNRCGCHFRNTCCASCGRRDGCAVIMDCLNCGKKGEHMTYMCPNRKRNSFRSHTVVDDGVVSPLLQIHRPKPIRSKADESETDESETDESETEILFGHECEYCLKVGDHFTQICPYKYLVPKNAIVSSRCVVLCKFCGCHFRDSCCGSCGLSYGYAVIVYCLHCGTRGEHMTYMCPILEGKPSNFSCDPYTGSCSFKIREWRVKVILNINVNYNLTNN >ONI13682 pep chromosome:Prunus_persica_NCBIv2:G4:15517826:15526657:1 gene:PRUPE_4G237700 transcript:ONI13682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIKNINPMTKSHPHMLSSPTETASFCETMRASTKHQFCSSILCLTLLTFFPLATSKDTLSSAQSLQNNQTLVSSGGVFELGLFKPGATSGWYLGIWYKNIQEKTVVWVANRDKPISNSSSATLKIGDHGNLVLLDGENGTWSSNETQARNPIVQLLDSGNLVLRDGNLNRNGPKDQFLWQSFDYPTDTLLPDMKLGWNLSSNLDRYITSWKSTEDPSTGDISFKLDYRGFPEIFLRKNQNITYRSGPWNGERFSGVPEMTPSDGIQFNFVANSEEVYYSFSVRSNSESFYSRLIVNPNGELQRLTWIESRNIWNKFWYAPKDQCDDYSECGPYGICDSNASPVCKCLKGFEPKNLQTWDLRDGSDGCVRKTRLECSKDKFLALGNMKLAESGGAFVDMNMSLEACKKKCLENCSCTAYSDARISVGEGSGCVMWTGDLLDMRQYAEGGQHFYVRLAASELGTFCYKTREKMERIEIERKLIWEIGESLLLSLLCIISHTFLSSYITIIRMWAPHPIIHSIIYNTPPWRPQMIWNMSR >ONI14524 pep chromosome:Prunus_persica_NCBIv2:G4:24812132:24812845:1 gene:PRUPE_4G285300 transcript:ONI14524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPPPYNPVPVAYQGGAGAVPVWLNKGDNAWQMVAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVVICWVSWAYKMSFGDKLLPFWGKTGPALGQKFLINQAALPASTHYFSDHKTVETAEITPWYPMATMVWFQCVFAAITLILLAGSVLGRMNFKAWMMFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGIAGFTTAYWVN >ONI10632 pep chromosome:Prunus_persica_NCBIv2:G4:2787300:2789680:1 gene:PRUPE_4G058400 transcript:ONI10632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATLSMLRSAPRTAVRSYSSSFQPQRKVTILGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHVNTLAQVSGFAGEEQLGKALEGSDLVIIPAGVPRKPGMTRDDLFNINAGIVKSLSAAIAKFCPNALVNMISNPVNSTVPIAAEVFKKAGMFDEKKLFGVTTLDVVRAKTFYAGKANVEASEVDVPVVGGHAGITILPLFSQVTLKSKTLSDEEIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSSVTELPFFASKVRLGKNGVEEVLGLGPLSDHENQGLQSLIPELKASIEKGIKFAKES >ONI13430 pep chromosome:Prunus_persica_NCBIv2:G4:13909830:13910848:1 gene:PRUPE_4G221500 transcript:ONI13430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIQDITQAMQELKFEAFPPEIIQDILFRLPVKSLINCTSVCKAWRSMIMNKSFIRAHLTLTVNFANQDDIDLLLLHIISCDSSSTVDHKTIVSEIKDEVHCVHYDNQAFDVYSKIEFPIAPEQEL >ONI10954 pep chromosome:Prunus_persica_NCBIv2:G4:3811520:3814074:1 gene:PRUPE_4G078400 transcript:ONI10954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQSHSHSAMACNDSRLFRLGGQEISRNPPLPLTLRKSPRRLCTVQCSHSNHSLPYPPSLSIIKSTSKVFSAISNSLPNIMSFLTSDSTKMEGSDFTEEVTEATEIYINAIGKKKIFVAGATGSTGKRIVERLLAKGFRVKAGVRDLDKAKTILPQDNPALQIVKADVTEGSAKLADAISDDSEAVICATGCRSGWDLYAPWKVDNLGTVNLVDACRKLGVKRFILVSSLLVNGAAMGQILNPAYIFLNVFGLTLIAKLRAEDYIRESGMNYTIIRPGGLRNEPPTGNLVMEPEDTLYEGTISRDQVAEVAIAALVRPQASYKVVEIVARADAPKRSYGDLFSSITQR >ONI13089 pep chromosome:Prunus_persica_NCBIv2:G4:12539796:12543100:1 gene:PRUPE_4G201800 transcript:ONI13089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLGAFIVEVGRCLANTVLSRFATLKNFQKNILTLREELQKLICRENDITEDIDIAKIEGKHPPGQVKEWLKKVDKIKHEVEEIEQKARLLLEADPLDASIGQGCFLDSNMCQKYQLSKSAAKKCDEVKQLIKESCDLPPMEDRKLSDIRVEHIPAPSLVGQKAPEKLNQLMELLADKGITRIAVYGMGGSGKTTLVKTLNNRLESSASEFFDMVIWVPVSNDLDMKKVQSRVAERLNLALNAEESTERRAGKLHRVLKSGKRFLLILDDVWEKIDLDIVGIPQGDDQANCKIILTTRSLGVCREMMTDKEIRMELLNEEEAWNLFAQNAGNVVESEDINPLAREIARECGGLPLAIETMGKSMRDKTMIQLWQNALWQLKHSEPHYGSFDKVYLRLKLSYNSLPSKIFKWCFLSCSLYPENFLIKTRELIYCWIAEGLIGERQTLEESFNDGIAKLEYLKDSCMLEQGEGIGTVKMHSVLREVAIWISSNEKETGFFSSSLQGMLEKLQTSFRRVSFMNKSITSLPTRLLGASNLTVLFLQCNPLNKIPDGFFREVRVLKFLNLSSTQITSLPSSLLHLRELHTLLLRDCRSLENLPPLGGLYKLQVLDLCGTRIRELPKDMGKLIHLRDLNLSRTHHLEIIMEGSISGLSSLEVLDMSFSAYKWDVKRNVEGAAFDELLSLRQLSVLHIRLDTVDCVALDYAGPWFGRLKEYTIRIGTRSCDTNLPTQHDEKRVILRGVDLLKRGLEELLCSASALDLVSCGGMSSLSDIVSRKSSCGLPNLKSLTISNCGCITSLLIGEQNLRSTLTNLEHLTLSRLDNLATMVDGIVRRGCLRNLKTIKVVGCGRLKNLISFALLRLVQNIEEIKVSDCRRMKQLIAENYYETLPKLKTIELRDMETLRTVCSREMEGSALERIEVSNCPRLGKLPFTACDALTIKQIRGDLNWWNSLRWRNDADKISLQQRFQATED >ONI13046 pep chromosome:Prunus_persica_NCBIv2:G4:12378475:12380798:1 gene:PRUPE_4G199800 transcript:ONI13046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLALLAIFLILIYKWFSTSANSSPPSPPKLPIIGNLHQLRQHLQRSLQTLAQRHGPLMLLHFGSVPVLVVSSDETAREIMKTHDITFANRPKNTFFKKFCYNFKDVASAPYGEYWRQMKSICVLNLLSNTRVRSFRAVREEETKSMIDNITKHCSSSPSSVSSAVNLSEMLETLTNDVICRVALGRKYSDDGERGRTFKKLAGELTLVMSRIHIGDYIPWLAWIARLNGLDAKFDDLAKRFDEFLEIVVQEHMDEFDGLTKNEDQKDLVDVLLCLQADSPIDRVSIKAVILDVFVGGTDTSFTLLEWTMSELLRHPRIMEKLQNEVRGIVGKKTDIITEDDLVGMHYLKAVIKETLRLHPSIPLLLPRLSTQDAQINGYDIKANTQVIVNAWQIGRDPKSYNKPEEFEPERFLDSAIDYKGNYFHYIPFGAGRRVYPGIQFAMAVQEIALANLVHKFDWALPDGARGEDLDMTDVKTQT >ONI10837 pep chromosome:Prunus_persica_NCBIv2:G4:3478564:3481309:-1 gene:PRUPE_4G071000 transcript:ONI10837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGMKLLLVATALSLALSTQHFVQGKPQVREPQVPCFFIFGDSVSDNGNNNLLPTFAKVNYSPYGVDFPQGPTGRFCNGRNIVDVLAELLGFENYIPPFAYANGSEIVKGVNYASGAAGIRKESGRQLGARISMGEQLKNHRTTVLRIIDILGKRSLAKKHLNKCLYSVGMGSNDYINNYFLPQYYQTSKKYTLEEYAEVLIKQYTQQILRLRKYGARKVSLVGLGLIGCTPDAIKTYGTNGSSCVEKLNNASQQFNQKLVALVDELNTNFTDSKFIYVNSYEMGSGDPTLVGFKVLDAGCCEVDQYGQCAPNKTPCQNRTDYVFWDGFHPSEASNLISASRTYSAYNASDTYPMDISHLVQLQLEPQVTAI >ONI10101 pep chromosome:Prunus_persica_NCBIv2:G4:1252961:1253545:1 gene:PRUPE_4G026900 transcript:ONI10101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEIKNFYCWLLEFSVLLLLLALCLWLAIRPESPKYTIVDITIPKSDIDSGGQNGSIIYALEIENSNKVSSIYYEDTILRFYYGSETVGEKTIPYFHQGRGKTCQVTDSVDANQQVWKAIRNSISNATAELKVAVLTRVQYRTWGVKSKHHGLDLQSQLSIGSNGKIFGKTKKIKLRRASKKWRRRTNRRRLLY >ONI10586 pep chromosome:Prunus_persica_NCBIv2:G4:2667165:2668806:1 gene:PRUPE_4G055500 transcript:ONI10586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASTQNELPLNENDSQDMVIYQVLNEAMVINPSFLPQRHQINHQPNGLEPSKNIGKKHYRGVRRRPWGKYAAEIRDSARHGARVWLGTFSTAEEAALAYDRAAFRMRGTKALLNFPPDVVAASSSPSSSIHRVRPSFSVPCSSNSNSTTSDSSGSSSLLSIGTPRSESQSNTSNVEAQNTQGPII >ONI12781 pep chromosome:Prunus_persica_NCBIv2:G4:10857122:10860549:1 gene:PRUPE_4G183300 transcript:ONI12781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNFYEPEGHFDSDADVSIKKWKSISTLTTDSENDDGGFDCNICLDSAHEPVVTLCGHLYCWPCIYKWLQVPTTSDKSSHMKGTCPVCKANITHALLVPLYGRGTSHSDSEGKKPQLGQIVPRRPSPELNTLMTNILAGSLPRRQLDPNYLERHSQPVHDQYYPSQGGYAANSESSDLGPSHGGYAANSESSYLGAATMTTLSHPTIGMVGEFVFARMFGSSDTSIFSYPYMNSYPPSSPRMRRQEVQLDKSLNRVTIFLFCCFILCLLLF >ONI12782 pep chromosome:Prunus_persica_NCBIv2:G4:10859535:10860501:1 gene:PRUPE_4G183300 transcript:ONI12782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNFYEPEGHFDSDADVSIKKWKSISTLTTDSENDDGGFDCNICLDSAHEPVVTLCGHLYCWPCIYKWLQVPTTSDKSSHMKGTCPVCKANITHALLVPLYGRGTSHSDSEGKKPQLGQIVPRRPSPELNTLMTNILAGSLPRRQLDPNYLERHSQPVHDQYYPSQGGYAANSESSYLGAATMTTLSHPTIGMVGEFVFARMFGSSDTSIFSYPYMNSYPPSSPRMRRQEVQLDKSLNRVTIFLFCCFILCLLLF >ONI11283 pep chromosome:Prunus_persica_NCBIv2:G4:5028154:5031475:-1 gene:PRUPE_4G098900 transcript:ONI11283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKVGKARRVYKVCFFLIRHCVPNFLWVSWATAYADLNDFLQCLPKFSESSYPIIETIYTQKNTSFQYVLNAYIQNRRYSTPTIPKPMAIIAAMHESHVQATVVCAKEHALHIRIRSGGHDYEGLSYVSSVPFIILDMFNLRSIEINVTDESAWVQAGATAGELYYKIAEKSKVHGFPAGVCPTVATGGLISGGGYGPTMRKFGLSADNIEDATLVNVNGTILDKNSMGEDLFWAIRGGGGASFGVILSWKIKLVRVPAKVTVFNVTRSIEQGALDVLYRWQYVAPKLPKNTYIRATSQVSNGEGKKKAVEVSFSGHFLGQIDELLRLINESFPELGLQRKDCFEMSWVESTVFWAGYPIGTPINVLLNRTNIPTFFFKSKSDYVKEPIPKEGIESIWQMVQKIEKVYVQWNPYGGRMDEIAASATPFPHRAGNLFKIQYYMSWTEEGIETTNNYLSFSRKLYEEMTPFVSKNPREAFLNYRDIDIGANLHNQTEFGIARVYGSKFFKDNFDRLVRVKTVVDPQNFFRNEQSIPPL >ONI12126 pep chromosome:Prunus_persica_NCBIv2:G4:8402072:8403608:1 gene:PRUPE_4G146500 transcript:ONI12126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWRVVMCVSGRGPVPVGISVIPSFDKLNSHGGAGSPISFAETVFFFFFWAWFYEFETCGNFSIEAESPKLIAWAKRCMQKDSVCKTLPDHKKVYESVIGMKKMFGME >ONI10918 pep chromosome:Prunus_persica_NCBIv2:G4:3694563:3698010:1 gene:PRUPE_4G075400 transcript:ONI10918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISINHNQNLQGIEVPSYMNNTSFAECLKGPMDYNTLATAFGLASSTSDEVIFSSANEGDQKPAEHIRYSGGVGDGDGGGGETPLTPNSSVSSSSAEAGAEEDSGKSKKDRQPKGSSEDGGDSSKKVMSKAKKKGEKKQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCGVKKRVERSFEDPSTVITTYEGQHNHPLPATLRGNAAASSAFFPHAAAAFNYAPITGSAGPSSNFHQELLFQMPHHYMINNNNQGSSRSVVVNPHHQQQHQLPRADQHGLLQDLLFPSMFLKQEP >ONI10917 pep chromosome:Prunus_persica_NCBIv2:G4:3695701:3698010:1 gene:PRUPE_4G075400 transcript:ONI10917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHEHKDLYYHDLFQYEDHEHLNGGMISINHNQNLQGIEVPSYMNNTSFAECLKGPMDYNTLATAFGLASSTSDEVIFSSANEGDQKPAEHIRYSGGVGDGDGGGGETPLTPNSSVSSSSAEAGAEEDSGKSKKDRQPKGSSEDGGDSSKKVMSKAKKKGEKKQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCGVKKRVERSFEDPSTVITTYEGQHNHPLPATLRGNAAASSAFFPHAAAAFNYAPITGSAGPSSNFHQELLFQMPHHYMINNNNQGSSRSVVVNPHHQQQHQLPRADQHGLLQDLLFPSMFLKQEP >ONI09952 pep chromosome:Prunus_persica_NCBIv2:G4:951850:952260:-1 gene:PRUPE_4G020300 transcript:ONI09952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIASAITTLSAIPDEEESFFNEENNTYANDETKNQFGKSTSLRSRFLASRPTAMTCDKNPKVCQASGSAGPDCCNKKCVDRNTDTANCGRCGRKCNYAEICCEGNCVNPMSDKENCGSCNNTCTKRKECAAMHEH >ONI11235 pep chromosome:Prunus_persica_NCBIv2:G4:4766987:4770206:-1 gene:PRUPE_4G094500 transcript:ONI11235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFCLQPLHGMLLMPQFISLLILGVLVVFVLPTTAEADQALLPQALHGCSDHCGNVTIPYPFGMAKGCYRGPEFFINCTTGITPDEEPIPYLTKRSGTDNLIQIHVTKISLKGELHVLQPITRACDADLNVGLETDLPLPPPFTFSNTKNYFFTIGCGSSGNLLGFRPGLGLDGHTLSNIHRYCFTFCDDNSSGNDTDLVITDDSCSGFACSLSSLPSGVQYISIILSRSMPTNWYSSYPCRYAFLAEKSEFTFSPNTSFQQLSNTSHLPVVVNWEIGDHGPCDLEQRSKHADFACKGNSTCINWPNVIRPTGYICQCKEGYQGNPYLPDGCQDIDECKSNPCSAGSCVNTPGNYSCICPNGMKGRSCKDKSKTIVFIVMGISVILALLVGSSCSIYWGMKQRRYIKLKEKYFQDNGGLLLQQKLANHGVETTRIFCAEELEKATNNYDDGRVLGKGSYGIVYKGILPNNRTVAIKKSKIGAPTQSEQFVNELVVLSEINHRNVVRLLGCCLETEVPVLVYEFVTNGTLFEHVHGKENKRLSLTWELRLKVAAETAGALAYLHFSTFMQIIHRDVKAMNILLDENYTAKVSDFGASVLIPLDQTEIVTFVEGTLGYLDPAYLQSNQLTEKSDVYSFGVVLAELLTSKVAFSYDRPESERSLARMFVCAVEEERLNHILDGDIVSEGNIETVRNVARLAKRCLKLKGEERPTMREVATELEGMRIMANHPRGTNADDDLGPEDQTSLSLGSPSNTSDDDFRGDCGPCTTITTGTSSRSI >ONI12939 pep chromosome:Prunus_persica_NCBIv2:G4:11606234:11607418:-1 gene:PRUPE_4G192800 transcript:ONI12939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLDHHHMMSAVEFVDYFPSMIARLGEEGFIGELCNGFVLLMDVEKGLITFESLKRNCVVLGLHDMGDDELVWMLMEGDLDGDGALSQLEFCILMFRLSPGLMDGSKQLWKENFDHPPHHQVHVGGFQ >ONI14172 pep chromosome:Prunus_persica_NCBIv2:G4:19928294:19928530:-1 gene:PRUPE_4G266700 transcript:ONI14172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRESRHRRQPSQVLPPEFIAGDDLHDLAQGTILAAGTATTTTTESSKNSPPKAHGQESSTHSPAPNKKPPTPRPSGT >ONI14269 pep chromosome:Prunus_persica_NCBIv2:G4:22392377:22400305:-1 gene:PRUPE_4G272300 transcript:ONI14269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLAVSFSSSLAGNALFLARQHLCKWKNHSRFDLEAKFVRNKILNKSLRRGRRCLSEKTEASISCSTGTGEDPLIPVNKKLSDARVIYAVAPAMGHNQESHPESHFRVPSIVNALEKWELSPKFRGLEVIELENFNAASSNDIASVHTRAYVSGLEKAMDQASKQGIILIEGSGPTYATVDTFQESLVAAGAGIALIDAVVAESKISKSPPVGFALIRPPGHHAIPKGPMGFCVFGNVAVAARYAQRSHGLKRVFIIDFDVHHGNGTNDAFYDDPDIFFLSTHQDGSYPGTGKFEEVGHGDGEGTTLNLPLPGGSGDTAMRTVFDEIIVPCAQRFKPDIILVSAGYDAHVLDPLASLQFTTGTYYMLASYIQQLANDLCEGRCVFFLEGGYNLKSLSYSVADSFRAFLGEPSLASEFDNPAILHEEPSTRVRQAIERVKHIHSL >ONI14270 pep chromosome:Prunus_persica_NCBIv2:G4:22392376:22400305:-1 gene:PRUPE_4G272300 transcript:ONI14270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLAVSFSSSLAGNALFLARQHLCKWKNHSRFDLEAKFVRNKILNKSLRRGRRCLSEKTEASISCSTGTGEDPLIPVNKKLSDARVIYAVAPAMGHNQESHPESHFRVPSIVNALEKWELSPKFRGLEVIELENFNAASSNDIASVHTRAYVSGLEKAMDQASKQGIILIEGSGPTYATVDTFQESLVAAGAGIALIDAVVAESKISKSPPVGFALIRPPGHHAIPKGPMGFCVFGNVAVAARYAQRSHGLKRVFIIDFDVHHGNGTNDAFYDDPDIFFLSTHQDGSYPGTGKFEEVGHGDGEGTTLNLPLPGGSGDTAMRTVFDEIIVPCAQRFKPDIILVSAGKSAIHDGNILHACILYSTTCE >ONI14271 pep chromosome:Prunus_persica_NCBIv2:G4:22394064:22399960:-1 gene:PRUPE_4G272300 transcript:ONI14271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLAVSFSSSLAGNALFLARQHLCKWKNHSRFDLEAKFVRNKILNKSLRRGRRCLSEKTEASISCSTGTGEDPLIPVNKKLSDARVIYAVAPAMGHNQESHPESHFRVPSIVNALEKWELSPKFRGLEVIELENFNAASSNDIASVHTRAYVSGLEKAMDQASKQGIILIEGSGPTYATVDTFQESLVAAGAGIALIDAVVAESKISKSPPVGFALIRPPGHHAIPKGPMGFCVFGNVAVAARYAQRSHGLKRVFIIDFDVHHGNGTNDAFYDDPDIFFLSTHQLCNSHKMFLFQGFIHTQYGICIEYKHNLMSCCGSIL >ONI10613 pep chromosome:Prunus_persica_NCBIv2:G4:2731370:2734734:1 gene:PRUPE_4G057000 transcript:ONI10613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGYWFKALIGLKKSKDGSSKRLKGPSVNGKSNSIKLKKSSFANGKNLGLPDEEIAAIRIQTAFRAYVARKALRRLKGIVRLQILTQAYPVTKQATTTLSYLHSWSRIQDEIRARRLYMVTEGRIKQKKLENQHKLEAKLHDIEAEWCGGSETMDEILARIYQREEAAVKRERAMAYAFSHQWRANCSQSQGLGNYELGKANWGWSWKERWIAARPWESRVQSPSPKKVPSKQASKVGKNTNSPTPKTPVSVKLPSSNGKGTTRARRLSYTADEVKPVARAEGIKTEERSTQK >ONI10614 pep chromosome:Prunus_persica_NCBIv2:G4:2731370:2734734:1 gene:PRUPE_4G057000 transcript:ONI10614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGYWFKALIGLKKSKDGSSKRLKGPSVNGKSNSIKLKKSSFANGKNLGLPDEEIAAIRIQTAFRAYVARKALRRLKGIVRLQILTQAYPVTKQATTTLSYLHSWSRIQDEIRARRLYMVTEGRIKQKKLENQHKLEAKLHDIEAEWCGGSETMDEILARIYQREEAAVKRERAMAYAFSHQWRANCSQSQGLGNYELGKANWGWSWKERWIAARPWESRVQSPSPKKVPSKQASKVGKNTNSPTPKTPVSVKLPSSNGKGTTRARRLSYTADEVKPVARAEGIKTEERSTQK >ONI13689 pep chromosome:Prunus_persica_NCBIv2:G4:15619531:15628820:1 gene:PRUPE_4G238400 transcript:ONI13689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSPNSLKDPFFPECLNWLLGNQHHDEGWRAFLAYTSEGNGKFQDWETAMKYQRKNGSLFNSPSTTAAAFTHLKNPSCLTYLRTLLEKFGNAVPTIYPLDNYARLTMVSSLESLGIDRHFREEITGVLDGTYRFWLQGDEDIFSDAATCAMAFRLLHPLTQFSEDCFFNSPGGYLRDVGAALELFKASEITLHPDESVLEKLNYWTHCFPKQELSSNLIQAHRLNKHIGQEVDHALKCPYHANLGRLSIRKVIKHYNADSTRILKSSYSSLNIGNEDFLKLAVEDYNFCQSIFYTSWRIQPFCKVLVLSLSLKLLCFIVWVVENRLDKLKFARQKQPYCYFSAAISLFPPKLSDARISWAKSGFLLTVVNDFFDIGGSEEELWLECLKSILKEAGWSRNKLEPTMEEYMANAYVSLALGPIVLPALYFVGPKLSEDVLGNSELQHLFMLMSTCGRLLNDIHSCKTKELLRLVVLDKGSIVPRACKNMFWNMNKVIHLLYWKDDGYVGNEMMKDVNEVIDELIV >ONI12216 pep chromosome:Prunus_persica_NCBIv2:G4:8661939:8663835:-1 gene:PRUPE_4G151700 transcript:ONI12216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKDWISELPDALLCRILSFLPTTIWAVRTTILSKRWNNVWTCVPNLDLDCGGNFGYHHCDHDRFAMFVDRVLCSRDSSDIKKFRLRTCVTDLARVEGWICTAVRRNVVELELELDVGEKYTFVLPRSVFSCKTLKVLKLYGSLCIPYAPPASRCFPSLKCLLVSVRSPGCKSLMENVFTNCPVLEDLSISYTNLLENDAPIYKMKVSAPELKTLRMSLSEKYPGENVLIDAPKLEKLDVQTHLEGVSNYSLDARSLVNANIDFEDYYFADRASLPKHAIALLAGISNVKYLSLKTSYLRAGELPFFPNLNKLKVVVYRCKYWDLLAVLLENAPNLEDLDLEDGTMRDEKHSKLHWKPPKVVPNCLMSHLKTITLCPFRGQKIDMELAEYLLNNGHLLDKITLYASYFWHTLDVRRALPKFNRASMTCQVEYKRINCY >ONI13279 pep chromosome:Prunus_persica_NCBIv2:G4:13294202:13297865:1 gene:PRUPE_4G213200 transcript:ONI13279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPLPPPIPSSLISSSSSNSHSTSSSRSKLPPLLSPSPLPRHNYQQQAQSKKRRELLLKGGLGLLPLALVESILKEPLTVPSAEAKEAVVGSYLPPSPSDPSFVLFKASAKDTPALRAGNVQPYQFIIPATWKQMRVANILSGNYCQPKCAEPWVEVKFEDEKQGKLQVVASPLIRLTNKPNATIEDIGNPEKVIASLGPFVTGNTYDPDELLETTVEKRGDLTYYKYALETPFALTGSHNLAKATAKGNTVVLFVVSASDKQWQASQKTLKAMLDSFQV >ONI13543 pep chromosome:Prunus_persica_NCBIv2:G4:14650114:14652286:1 gene:PRUPE_4G229000 transcript:ONI13543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSTVFVKSCVEFWGRQWNIQDITIVVLFLALHCLCLFAPFHFNWRAFWVAMALSLLTGLGVTLSYHRNLAHRSFTLPKWLEYSFAYCGVLSLQGSPIEWVSTHRYHHQFTDTGKDPHSPIKGFWHSHTGWIFDSSYRFGQYGGLKNVEDLKKQLFYRFLRHTNLLHSVLLGRLLYAAGGFSFLVWGMGVRTVLVLHNTFLVNSVGHMWGKKPWNTSDMSRNNWWVALLALGEGWHNNHHAFEYSARQGLEWWQFDFTWYIIKFLQAIGLATDVKVPTEIQKQRKASKSRILATQN >ONI13009 pep chromosome:Prunus_persica_NCBIv2:G4:12073950:12077099:1 gene:PRUPE_4G197200 transcript:ONI13009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSLSKHFQQAIMSLFNTSHYFFFITVFPWTFLIFLSLPLSLSSSSIHDLLISQGLPAGLLPKEVKSYTLSDNGQLQVFLDGPCLTKFENRVFFESVVRANLSYGSLIGVEGLTQEELFLWLPVKDIIVDDPRSGLILFDIGVAHKQLSLSLFEDPPDCKPSSGVLKNHVRKEKAGFEGLR >ONI13865 pep chromosome:Prunus_persica_NCBIv2:G4:16742782:16744251:1 gene:PRUPE_4G250300 transcript:ONI13865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENDSYSNGKKSKTSIYIFLKDCSVATSFVAEEDTIGTASPKANQSDYLETGLSINGETKQLIPKRGTDQNAYNSKPVGASFEIVTTNHRKRYIPSVSPAMVIGSILGLIQAIFLISAAKPLLNFMGVSFVS >ONI12270 pep chromosome:Prunus_persica_NCBIv2:G4:8877081:8880115:-1 gene:PRUPE_4G155000 transcript:ONI12270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKASRSLYGGSLIVPSVQELAKKHIITIPPRYIQPHHHHHQQDQVIFNSDAEIPFIDMHKLLSQDSIDSESELAKLHFACKEWGFFQLVNHGVSSSLMEKIKTEVQDFFNLPMEEKKKLWQTPGDVEGFGQAFVVSEDQKLDWADLFFMTTLPVQIRRPRLFPNLPSPFRETLDDYSLELRNLSMTILSQMETALQMEDKEVTKLYEDGMQQMRMNYYPPCPHPGKVIGLTPHSDSVGLTILLQVNEMDGLQVKKDGIWVPVKPLPDAFIVNVGDILEIQTNGVYRSIEHRATVNSVKERLSIATFYNPEFGGEIGPAPSLVSEQTPAAYTRVGLEDYFKAFFERKLQGKSFLDELKIKY >ONI11792 pep chromosome:Prunus_persica_NCBIv2:G4:6900201:6901053:1 gene:PRUPE_4G125800 transcript:ONI11792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQSLLDQPNFLSPLRVFNSDIGYNNTYMDWKETSHAHIFKIDLPGLTKEDVKLEVHENRVLHVSAERKAEPEAEDPKNETWHCRERTSGSFSRNFRLPENAKVDEIKASMRDGVLVITVPREDDLKKKHKHHKKVEISGDEEKHGSKGLGRFVCCKA >ONI12669 pep chromosome:Prunus_persica_NCBIv2:G4:10589279:10591130:1 gene:PRUPE_4G178400 transcript:ONI12669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKPTKPCPKLPSFFKVLLGDDFPRQLCLPPAFMVHYNGPSHCKCALRGPTGKWWTVGLEEREDGFYFREGWRRFLTDHSLKVGYFLVFDHQGGPKFDVTIYHPMGCDMRRSIGNSDKPGKRPATAAAVEETSTGSIVFRSEHPCFIRILGKNQYRMNIPKELAVAEGLIGKEKVMLKDPNGRSWNVKLRLDNKEHHGGRLLMTQGLVACWQANNISLGDTIVFELVKRSEMEVHIFRTGAGGNMPSVVLDASSSFKH >ONI10537 pep chromosome:Prunus_persica_NCBIv2:G4:2492174:2492989:-1 gene:PRUPE_4G052000 transcript:ONI10537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKLFSFLFFLASLLFSQQAQARENQFFSKVANVNNHNNYEKEIPIAETPLKKQEQEPAFIPETQSGYGLDGLYGHGSGQLPPTPTNTVGHYTTTNTGAPYTTTTTGAPYTTTNGAQFTTNNNNLPYTAESEKEQQHTNKYPQTYNAQLIPSKNNFNNHVGPAPPSDTRFSQSSNTTTTTPTNYQNNHNNYNAEKQGLNDARLTQSSYTTPTNYQSNNYYDAEKQGTSDTRFLKKGKDYYSAAKALSSCHKLRLVLISTTLLCLIYLS >ONI13950 pep chromosome:Prunus_persica_NCBIv2:G4:17491123:17496974:1 gene:PRUPE_4G254200 transcript:ONI13950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLQGYRDEDDEEDEESKPTTTATATITTTEQPIKPSEAIDPSEPTTDEPDADPVNPQSNDLQNGNKTETEASEDLSESLKSQENDNDNENDNDDEDPPPKKQKQLSSLTIQLSSDQDQTPLPQPSSNGVALPAPTAPNSKKSKKKNNNVWVTKSTRKGKKKSKANNNNNHNAPADDTVLITPVTRFPDKTDDTPDMTICLSKVYKAEKVEVSEDRMSAGSTKGYRMVRATRGVVEGAWYFEIKVVNLGESGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKVHKALREKYGEEGYKEGDVIGFYINLPDGGSYAPKPPHLVWYKGQRYACAPDTKEDPPKVVPGSEISFFKNGVCQGVAFKDLYGGRYYPAASMYTLPHQPNCVVKFNFGPEFEFFPEDFGGRPVPQPMVEVPYHAFENRVENGVSDEKKQ >ONI12067 pep chromosome:Prunus_persica_NCBIv2:G4:8163422:8164717:-1 gene:PRUPE_4G142300 transcript:ONI12067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSDQNIALRTLFVCSLLFSFVRTSTTNTLDTISPNQYIRGDQTLVSAGGTFQLGFFSPGKLTSRYLGIWYTISNEIVVWVANRETPLDDSSGVLKVTDQGVLVLLNSSNGVVWSSNSSRTVDNPVSQLLDSGNLVVKNANETNPDNFLWQSFDYPCDTFLPEMKLGWDFVTGLERYVSSWKSTEDPARGEFSLRMTPYGLPQLVVMKGAKIKTRSGSSNGLRLTGSISRPNPLSEFEFFLNKDEVYYEYRLLNKSMLSRYALNPFGIAQWFTWIENTHSWEPFFSTQQDQCEIYAFCGSYSSCNISNAPVCTCLKGFIPKSPEQWNSQNWSDGCVRNTPLSCSYNDGFFKYTSFKLPDTSSSWFDKSKSLKECKGLCLENCSCTSYANLDIRDGGSGCLLWFGDLTDIRTFQSDSQDLYIRLASSELGGF >ONI10403 pep chromosome:Prunus_persica_NCBIv2:G4:2157786:2162342:1 gene:PRUPE_4G045000 transcript:ONI10403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASAVSHLPTPHVSKSHWSIHGPRFPHVTKISCPVDGSTKTWRERKRAPACQSVLLVSKSAAEEMEAIQWKLLPLLTLLASFLLFFYFQNPSKQYQKNCSLLPYQHYWITSKRIVTPHGIISGAVEVKEGKIVSIVKEEEKNGKTKLENVIDYGEAVVMPGLVDVHAHLDDPGRAEWEGFPSGTQAAAAGGITTLVDMPLNSDPSTVSRETLQLKIKAAESRIYVDVGFWGGLVPENAFNASSLEDLLNAGVLGLKSFMCPSGINDFPMTNASHIKEGLSVLAKYRRPLLVHAEKQLDLESDLRVEGGSNDARSYSTYLKTRPASWEEAAIRDLLTLTKDTRIGAPAEGAHLHIVHLSDSSNSLDLIKDAKSGGDSVTVETCPHYLAFSAEEIRDGDTRFKCSPPIRDAANKEKLWQALLAGHVDMLSSDHSPTLPELKLLDDGDFLRAWGGISSLQFVLPVTWSYGQKYGVTLEQLALWWSERPARLAGQELKGAIAIGNHADMVVWDPDVEFDLDDDPVYLKHSGISAYMGTKLSGKVSATFVRGNLVFKEGKHAPAACGVPILAK >ONI11342 pep chromosome:Prunus_persica_NCBIv2:G4:5247344:5247805:-1 gene:PRUPE_4G102700 transcript:ONI11342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLGALFVEARQHPAGISKQAQEQTGRATESGNYLNEGEMMEQLSKAKMEFGQMKTLSPFPNIPGVPIPQIPFGPQTPGVPIPQIPFTPQIPGFPIPQIPFAPQIPSVPIPQLPFAPPFGIPKIPSVPPLPDFPVPPINIPNIPFLSPPPA >ONI11711 pep chromosome:Prunus_persica_NCBIv2:G4:6603681:6606722:1 gene:PRUPE_4G121900 transcript:ONI11711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNNPTFHLHFAHYLLFLYLASSYYLSFGDGLPILKCTEKERRALLSFKQDLTDPSGTLSSWVGHDCCRWKGISCNNLTGHVTKVDLRKQVGFFGKSRLLFTAPIDEEDWKELAYERSSNSSLGGKINPSLLSLKYLIYLDLSENNFHGIPIPKFFGQLKSLQYLNVSFASFAGEVPSSLGNLSNLNYLDLSSNFLLSWAISSGNLNWLSHLTSLKYLNLNGVNLGSTGASWLHAVNMMPSLLALHLSSCEIENLPLSLRSINFTSLLILDISKNDIHSSFPSWFFNLTSLRKLDLRYNSVTGPIPSEFTSIKYLEYLDLSGDELEGQIPEFIGNLCRLKILNLNENEFVGGIEVLLNGFSNCSENRLESLDLSYNRLESELPASLVMLHKLQHLNLGFNNFQGSIPEFIRNLSSLKTLSFSYNLMNGSIPESLGQLSELVHLDLSWNSWKEYVAIGTKPGQPMSLIFDMADEWLPPFTLHTVNIINCQVGPAFPFWLQSKSELSSITLRRAGISDSIPEDWFLKISSQVEYLDLSYNQIFGKLPSQLKFPNLQSVDLSHNQLEGPLPLWSTNATILDLESNLFSGPIPSNFDQYFLQLQELHLSENNLGGIIPPSICNMKSLSILSLRRNQLSGDLPQTWSVCYNLTILDVANNNLSGNIPSTMGVSSHLQVLKLNNNNFGGKIPFSLQNCSDLETIDLGGNKFFGNIPLWIGSKMNMLSILRLRSNNLNGHIPQQVCNLRNLHILDLGQNNFSGTIPKCLNNITVFTSVNTLGVSPDYNQQTTVISKGSELEYNTTLFAVKSIDLSSNNFEGEIPEEISSLIALGILNLSMNQLSGNIPSRIGNLRWLETLDLSHNHLSGQIPKRFSSLTSLSHLNLSYNKLVGRIPLGNQLQTLDDPSIYEGNPSLCGVPLPKCPGDDTSTTKEAKDNIEEGNDNGVLWFYVSMVLGFVVGFWGVCGTLLLKKSWRYAYFQFFDDIKDKVSLAIALKLAHLQRKF >ONI10460 pep chromosome:Prunus_persica_NCBIv2:G4:2323626:2326869:1 gene:PRUPE_4G048700 transcript:ONI10460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGFEVGGVPFNPDGWGPPDSATTPTTTSNLPLNVPFAPFSRADKLGRIADWTRTFNNPARSKNPSDSVFDFSNDESFPASADDDASFRLVDGKPPPRPRFGPKWRFQQQRQLPHRRDEEVEAKKREAEKERARRDRQYNMNRSNVNVPRREAAVFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLLCGGLEFYDRSCDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILSTLMCAPRSIYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLIRDGNKVTFDEPNPFANEGEEVASVAYRYRRWKLEEGMYLVARCEVQSVMEVNNQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADLMKLGYVSRVHPRDHFNHVILAVVGYKPKEFASQINLNTNSMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPPDAFENDYVEEPLPEDEQVQPPTEDGQGAEPNAAANDVEDKEVEATQA >ONI10630 pep chromosome:Prunus_persica_NCBIv2:G4:2781879:2783070:1 gene:PRUPE_4G058200 transcript:ONI10630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCCGDDCECRPLGFLIGLPFAFISLLLSVVGVFIWIVGLALTCICPCCLCVTIVVELALGLIKAPFSVMKWFTSKIPC >ONI12219 pep chromosome:Prunus_persica_NCBIv2:G4:8690559:8705800:1 gene:PRUPE_4G152000 transcript:ONI12219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIVVAEPIYEDDTPEPKSPITRSITMGTGSEHNHVPEPFESERLPACLASEIQRFLRVANLIESDEPRIAYLCRFHAFEIAHNMDRNSSGRGVRQFKTSLLQRLEQDEETTITKRKEMSDIRELRRVYHAYKEYIIKHDGAFHLENSHREKLIDARRIGSVLFEVLKTVSNTTGPQALANRGGVQTKSNDLFVPYNILPLDPGDSQQAIMQLPEIKAAVAAIRNIRGIPSANDFQKHGDFIDLFDFLQYCFGFQEGNVANQREHLLLLLANIHIRKTHKQTSVLKLGDGSVDELLRKFFKNYTNWCKFLGRKSNIWLPYVKQEAQQYKLLYLGLYLLIWGEAANLRFMPECLCYIFHHMAYELHGMLTGAVSLTSWEKVMPAYGGQSESFLNNVVTPIYTVIKKEAKKSKSGTADHSTWRNYDDLNEYFWSPDCFQIGWPMRLDHDFFCIPSSKKPKAKKASASTGSVEERRKEDGEEDEVGATKEEDREPKWLGKTNFVEVRSFWQIFRSFDRMWSFFILSLQALIIMACHELESPLQLFDKVILEDVMSVFITSAFLKLIRAILDIGFTWKARQTMEFSEKLKHVMKLVVAVIWTIILPVYYANSRRKYTCYPTRYESWLQEWCFSSYMVAVAIYLTTNAVEMVLFLVPSIRKYIEISNHRICTILSWWTQPGLYIGRGMQESQLSVLKYTLFWVLVLLSKFSFSYYFEIKPLIEPTKQIMKIGVKKYEWHELFPKVQSNAGAIVAVWAPIIVVYFMDTQIWYSVFCTLFGGVYGILHHLGEIRTLGMLRSRFHSLPSAFNISLIPPSSRNGQKRKTGFFHNKFIKVSKTEKNGVAKFVLVWNQIINNFRTEDLINNRELDLMTMPMSSELFSGIVRWPVFLLANKFSTALSIAKDFVGKDEILVRKIKKDEYMYCAVKECYESLKYILEILVVGDLEKRIVSAMFTEIEESIARSTLLQDFRMIELPLLLAKCIELMELLVEGNEDHHGKVIKILQDIFELVTNDMMTSGFRILELLYSFQQIDMDFVDFNRRIEPELFGSADSKSSIHFPLPDSAALNEQIKRFHLLLTVKDTAMDIPTNLEARRRISFFATSLFMNMPSAPKLCNMLPFCVMTPHYMEDINFSMKELHSSQREVSIIFYMQKIFPDEWKNFLERMGCENLDGLKDKGKEEDLRNWASYRGQTLSRTVRGMMYYREALKLQAFLDVAEDEDILEGYDAVESRNRVLSAQLDAIADMKFTYVLSCQLFGSQKASGDPHAQDIIDLMIRYPSLRVAYVEEKEEMVENRHRPRKVYSSVLVKAVNGFDQEIYRIKLPGPPTIGEGKPENQNYGIIFTRGEALQTIDMNQDSYLEEALKMRNLLQEFLQNQGRRPPALLGLREHVFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHITRGGISKASKTINLSEDVFAGFNCTLRRGCITYHEYMQVGKGRDVSLNQISKFEAKVANGNSEQTLSRDIYHLGRQFDFFRMLSCYFTTIGFYFSSLMSIIGIYVFLYGQLYLVLSGLEKALIIEARLQNIQSLETALASQSFIQLGLLTGLPMVMEIGLEKGFLNALKDFVLMQLQLASVFFTFSFGTKIHYYGRTILHGGAKYRPTGRKVVVFHASFTENYRLYSRSHFVKGFELLLLLTVYDLFRRSYQSNMAYVLITYSIWFMSITWLFAPFLFNPSGFSWEKIVDDWKDWNKWIRQQGGIGVQQDKSWQSWWIDEQAHLRRSGMTSRVFEILLSVRFFLYQYGLVYHLDISQNSRNFLVYLLSWMVILAVFLIVKAVNLGRQQFSARYHLVFRLFKAFLFLGVLSVILALYFVCKLSWKDILVCSLAFFPTGWGLILFAQAVRPLIENTGLWEFTRVLAKTYDYGMGVALFAPIAVFSWLPILSAFQTRFLFNEAFNRHLQIQPILAGKKKNR >ONI09976 pep chromosome:Prunus_persica_NCBIv2:G4:1032317:1035005:1 gene:PRUPE_4G021800 transcript:ONI09976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMDSDQHNEALLQSGEASARGPISSALEDILTNPDLSYFQRLGQASWREIKTLFYLAAPAVGNYLLSNITAVATLIFCGRLGNLEFAGASLGNTGVAVFAYGLMLGMGSAVETLCGQAFGANKNEMLGVYLQRATILLTATAIPVMFIYIFSKQILIGLGETESIASAGALFVYGLIPQLFALAFNFPLQKFLQAQSIVWPSACISGVTVVVHLVLSWVVVYKLGWGLLGASLVLSLSWWIMVVAQLMYILVSPRCKYTWTGFSSQAFTGLWEFLKLSTASAVMLCLETWYFQILVLIAGLLKNAEIALDALSVCMAVSGWVFMISVGFNAAASIRVSNEVGAGHPKSASFAVVVVTTSSLIISLILAIIILALRRYLSYIFTEGSTVSNAVAELSPFLACTLLLNGIQPVLSGVAVGCGWQAIVAWINVGCYYIIGIPLGCVLGFKLDLGAKGIWSGMLAGTFIQTLILCWITFRTDWNKEIAKSKIRIDEWEDKKEPLLNE >ONI12723 pep chromosome:Prunus_persica_NCBIv2:G4:10706498:10712265:-1 gene:PRUPE_4G180600 transcript:ONI12723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEVVGFEAVQGPSGAMTEGGHSANENGKLDQGNEPIKFGSHGDESVKKEVNEVSVANFPKDAVDEWPAPKQTHSFYFVRYQTYDDPKIKAKVDQVSREVETRNNARSQIIDALKAKRTDRGELVEQIKALRDDNRQIRSIVDEKFKDLQPLQQALGQLRSADHASRNGGLCSSEEELNSRIQGLQYIIQHESIELKEEKKILKEIRTLESTRTQVIANAAMIAKIEKVAPKEALQDQVKLMGGDLDGVKKEQQAVRSKIKQLDDAVKAIDKEIASLQDELSIVSEKRDKARDSIFQLRKQRDEDNACFYQSRMLLTKARNLAVTKDIKALEELSHAEVEKFMALWNSNKDFRDDYEKRILPALDRRQMSRDGRIRNPDEKPLVAVEASGAPVVETASKANSKQVREDTRSAPPDTLPVQKAQKDAKNKATGPKSVEEHIDVADEDISGLEKQTKESSPKDNKVDAEKLKELKRQEEIEKNKQALERKKKKAEKDAAKAAIRAQKEAEKAEKKLKEIIYLFEREKKAKKKGSSAHETQPEEPTEAVAEAAEPEKVTENVEAPVLAKAKVQKDSNLRNRGRPRGTESLPKAILKRKKSSTNYWLWAAPAALLVVLFLALGYHYLL >ONI12903 pep chromosome:Prunus_persica_NCBIv2:G4:11395603:11397242:1 gene:PRUPE_4G190800 transcript:ONI12903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPVSLSSDQPQTPKATPDDVNRRCANFSPSMWGYHFLSYASVETNIKAKQRAQELKEKVKMIIMAPVKKPSQKLDLIHDIQRLGVSHHFENEIEELLQQIHTSSHCNPESGDQETDNELYTAALRFRLLRQQGYNISCDIFNNFKDSDGKFKESLVNDVVGLLSLYEATHLRIHGEDILDEALTFTTTHLESATHRLSPVLLKQVTHALYQPFWKGLPRLETRHYLSLYQERDSHNETLLNFAKLDFNLLQQVHQRELSEISRWWKDLDFVNKLPFARDRVVESYFWASGVHFEPQYYLARGTLCKVTALLVIIDDIYDVCGTHEELELFTEAVERFKIVVLILLVSFLKKKNLSD >ONI14157 pep chromosome:Prunus_persica_NCBIv2:G4:19783631:19787482:1 gene:PRUPE_4G265500 transcript:ONI14157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGFLGNIPWFRAQSNNDLEPALPTTSLLEQPQQKGSFGIKLLGWPLLSFVPWVSVNSKDKIRTPSTINRGLRRRAQPRGVFENGDFNNFLRFRPYVSRVPWHTGVRGFLSQLFPRYGHYCGPNWSSGKDGGSPLWDKRPIDWLDFCCYCHDIGYDSHDQADLLKADLAFLECLERPNMATKGDASIAHLYKTMCITGLRNLLIPYRTHLLKLQAGQPLIQFGWLSNVRWRGWNFQKT >ONI10752 pep chromosome:Prunus_persica_NCBIv2:G4:3175064:3176096:1 gene:PRUPE_4G066100 transcript:ONI10752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMANEADEDGEGEREKSNTCQECGASFKKPAYLKRHLQSHSLEDSTFLTTIIWIS >ONI10363 pep chromosome:Prunus_persica_NCBIv2:G4:2070054:2070403:1 gene:PRUPE_4G043400 transcript:ONI10363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLGLAWNTGEMLKMTKYNRVWCFLPYNIFSTLPRTPFHWTNHGNGFSKLIYKLRLNMNTQGKQLKCSLSKM >ONI11640 pep chromosome:Prunus_persica_NCBIv2:G4:6384546:6385865:-1 gene:PRUPE_4G117800 transcript:ONI11640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFHPTITFPFSYTFNTTSSGHGGIAENINTSSPSPWMNSRIWSKLPHRLLDRVIAFLPPPAFFRARCVCKRWYALLFSNTFLELYLQVSPRRHWFLFFKHKRLKSSYIYRNNNIGGSHGDNNRAGTDCEGYLFDPYELAWYRLCFALLPSGFSPASSSGGLICWVSDEAGPKTLILCNPIVGSLTQLPPTLRSRLFPSIGLSVSPSSIDVTVAGDDLISPYAVKNLTAESFHIDGGGFFSLWGTNSSLPKVCNFESGQMVHVQGKFYCMNYSPFSVLAYDVAANNWWEIKAPMKRHLRSPSLVESKGKLLLVSAVNKSKLNVPKSLRLWGLQACGKTWIEMERMPQQLYVQFAELENGNGFHCVVHGEFLTIMIPGSDKALLFDMCRKRWQWIPPCPYVHAGIVGDLHGFAYEPQLATPVTGLLDQLTTIPFQPFTG >ONI13688 pep chromosome:Prunus_persica_NCBIv2:G4:15605928:15607313:-1 gene:PRUPE_4G238300 transcript:ONI13688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQCPSFQVLLAFLLFMIMVLKIGKIRGKTKCSASNLPPGPWKLPVIGNLHQLAGSLPHQRLRDLAKKYGPLMHLKLGEVSTVVVSSAEFAKEVMKTHDLIFASRPHVLAIRILSYDFTDIVFAPYGEYWRQLRKICTLELLSAKRVQSYQPIREEEVRNLIKSIASRAGSPINLTQEIYSSTYTITSRAAFGKKNRDQEKFIYIVKEVAKAASGFTLADVFPSVSLLHLLSGMRPKLEKLHKEADRIMGNIIKEHQRDMVNTKSGEGEAEEDLVDVLLKFHDHGNELEFSLTTENIKAVIFVSDRIFSTCANSVKNHIKYLQEYKAIVV >ONI13338 pep chromosome:Prunus_persica_NCBIv2:G4:13526596:13534516:1 gene:PRUPE_4G216200 transcript:ONI13338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFLFLLLRLFSFFFFSTFRGFTLRVAEARVVPAMYVFGDSLVDVGNNNYLQFSFAKANFPHNGIDFPTKTPTGRFCNGKNAADLLAEKMGLPTIPPYLSMASKSNNLTLFLNGVNFASGAAKIFKDTNQQHPQSISFGEQVDYYLAVQKELVQKLGASKAQTHLSKSLFPIVIGSNDIYTYFGSSINNRTSPQQYVNSMAAALKQQVKRLYDYGGRKFVIVGVALVGCTPYERNEQTNQECNADVNQMAAKYNGVLVSMLKNLKSELKGINYSYFDGYSVMHNFIQKPAAYGFAEVKAACCGLGKLNADAPCLPFATYCSNRSDHLFWDRTHPTEAAHRIFVDRILYGPLQYTFPINVQKLVAV >ONI13188 pep chromosome:Prunus_persica_NCBIv2:G4:12999568:13000602:1 gene:PRUPE_4G208700 transcript:ONI13188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFSLPSKPSSNPNPKPSTAFNDSNADTNDDGSIQYVNEFDASQPLRGDPKTRVIPPIPNEWLMKPESENRSEVRSLSARISNGLNLRPKLESENGERDERLRSAGVDLETLALQKLKEDLERLPEHRGMEEYNDVSVEGYGSALLAGYGWCPGKGIGKHAEKDVKVVEFNRRPNDRHGLGFRMSRIDDQQ >ONI10716 pep chromosome:Prunus_persica_NCBIv2:G4:3015496:3015999:-1 gene:PRUPE_4G063000 transcript:ONI10716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICVFGFTDVLLKASFCHREGHPTIIQGMTGLGVEHHFPCFVCGPMREI >ONI13828 pep chromosome:Prunus_persica_NCBIv2:G4:16572312:16574906:1 gene:PRUPE_4G248300 transcript:ONI13828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAESSTIAKIELGSTTVQELLAKGKGKGEQVPDKYIHKVTDGCIGAPSASSAALMDIPVIDLGLPLTPSSITAQQLDKLRSALTTWGCFQVINHGMTLEFLDKVREMAKQFFALPVEEKQNYLRQVNDTEGYGSDMVFSEQQTLDWTDRLYLSVYPEDRRKFKFWPQNPKSFSETLDQYTMKLQVVTKTVLEAMARLLNLDDNCFRDLYVEHGKMDVRFNLYPPCSRPDVVLGVKPHADGTIITLLLQDKQVEGLQFLKDDQWFRSPIVPEALLINVGDQAEILSNGKFKSPIHRVVINPDKERISLAAFCIPESDKEIEPFESLVNESTPRLYKMVKDYSGVFFEYHYQQGRRPIEAAKI >ONI10296 pep chromosome:Prunus_persica_NCBIv2:G4:1845940:1850712:-1 gene:PRUPE_4G039500 transcript:ONI10296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDSNPFAEEDEVNPFSNPGSVAPAKNSRLSPLPPERAGFNYGLGDTVDIPIDGNADLKKRERELQAKETELRKREEIVKRKEDAAARAGIVLEEKNWPAFFPIIHHDIANEIPIHLQRVQYVAFTTWLGIVLCLFWNIIAVTTAWIKGEGVKIWFLAVIYFIAGAPGSYVLWYRPLYRVFRSESALKYGWFFMFYLLHIGFCIFAAVAPPLIFKGKSLTGILAAVDVVGNHALVGIFYFIGFGMFCLESLLSIWVIQQVYMYFRGSGKAAEMRREGARGVVRAAL >ONI10297 pep chromosome:Prunus_persica_NCBIv2:G4:1845940:1850682:-1 gene:PRUPE_4G039500 transcript:ONI10297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDSNPFAEEDEVNPFSNPGSVAPAKNSRLSPLPPERAGFNYGLGDTVDIPIDGNADLKKRERELQAKETELRKREEIVKRKEDAAARAGIVLEEKNWPAFFPIIHHDIANEIPIHLQRVQYVAFTTWLGIVLCLFWNIIAVTTAWIKGEGEGKNLVPCCYLLHSRGSWILCLVVPTTVPSFQVRECFEVWMVFHVLFAPHWLLHLCCCCASTNFQRKISHWHSGCS >ONI13182 pep chromosome:Prunus_persica_NCBIv2:G4:12977823:12980427:-1 gene:PRUPE_4G208400 transcript:ONI13182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLCRKIVHVNLRWNIFDRVSIIREFFKFIWDRLLVCSIGKPAIRYRRLPLGTTLSPPPEAVEGVLVVDNPATTSCKVYETDADLVSLKVSLLGDCQIGKTSFMSKYVGDEQEQRYLEMTGVTLMDKTQIVQGARISFSLWDVGGDQSSMDHVPIACKDAVAIFFMFDLTSRCTLNSVVGWYTQARKWNQTAIPILIGTKFDDFVRLPPDLQWTIVTQARAYAKAMKATLFFSSATHNINVNKIFKFILAKLFNLPWKVERNLNIGEPIIDY >ONI10191 pep chromosome:Prunus_persica_NCBIv2:G4:1571033:1573450:1 gene:PRUPE_4G033800 transcript:ONI10191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRHSKNNNDLAFFTYDEKKKLGYGTQKERLGRDSIKPFDACCLCLKHFINPMCCQKGHVFCKECIFECLLSQKKDIQRKQAAHTAQQKQENQEEEEKLLQQKARELEAFDQQNHGALPQYNDRNQSRDKTGFHGANSVKVTSYEEEALRTMKAFWLPSATPAAPAKVDAPSTSTVCPEGSEKLKLKSLFPVYYTEDSSEEKKSSSLDKTFICPSCKVTLTNTMSLVALSSCGHVFCKKCADKFMAVDKVCLVCDKGCKERHLVNLAKGGTGFAGHGDNLEAKDFKHLGSGSGLGLVRPAVKT >ONI14321 pep chromosome:Prunus_persica_NCBIv2:G4:23012977:23017177:1 gene:PRUPE_4G275600 transcript:ONI14321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLTGEGGGGGGKTVVVGVKLDSHSKELLTWALVKVAEPGDNVIAIHVLEDHLHTPSEGTSSLLSLVKTFDSVLSAYEGFCNLKQVDLKLKVCRGNSVRKLLVQEAKSYNAAATIVGTSKTHHRIGSSASVAKYCARKLSRSFSVFAVDNGKVVFKRNGPDSNADKIQDNDQNQSYVNTSLSLIKNAKVPNDAKLNQCRHLIRKPCSKCGKKTLKRNCENCAADSVFRGTSGTQLIDESEGEDGEENSLALVPIQRLDISSNSIKIQDSQCFKPGWSLLRHTFLPKRQCMEKTEKKTSVFGWALRPLSWNTSAVVYPDHKLVNPGQDQDCSSMLNGISGAIVPFGPNAVCPPLSPHHGMEPLPEEFLDLCKKYSSSCRLFCYKELLLATSNFRPENMVGKGGSSSVYRGCLSDGKELAVKLLKPSGDILNEFVHEIEILTTLNHKNIISLFGFCFDENNLLLVYNFISRGSLEENLYGNKKDGNAFGWKQRYNVAVGVAEALDYLHYGCEEPVIHRDVKSSNILLSDDFEPQLSDFGLASWASTSSDISSTDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVVLELLSGRKPIYSKDTKGQESLVMWAKPILKSGNVAQLLDPSLGSDYDQDQIERMVLAANLCIRHTPKLRPPINLVLKLLQGDEEVTRCARQQVSASEELEALDGEALPSDIQSHLNLALLDLEDDSLSTSSGEQSISLEDYLQGRWSRSSSFN >ONI12275 pep chromosome:Prunus_persica_NCBIv2:G4:8907169:8909523:-1 gene:PRUPE_4G155500 transcript:ONI12275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRGPPHAGLPPQVHEPFGRGLGPMPHPALLEEMRESQLGMGPRPLPPHPAIIEEHLAAQHQDIQGLLVGNQRLAATHVALKQELEAAQYELQRMAYHVDSLRADKDVQMRDLYEKSVRLEVDLRGVEAMRAELLQVRADIKELTAARQELSGQAQAMTQDLARITADLQQAPALRAEIEAMKQELQRARAAIEYEKKGYAENYEHGQVMEKNLISMARELEKLRAEIANTEKRARAAAAVGNPGVGYNSNYGNPEAGYAGNPYPASYGMNPVQGGAESFPQYTPMPGSWGAYDMQRAQGHR >ONI10945 pep chromosome:Prunus_persica_NCBIv2:G4:3787626:3788255:1 gene:PRUPE_4G077700 transcript:ONI10945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGKKTRGRQRIEMKRIEKEDDLLVSFSKRRSGISKKASDMVTLCGGEVAIVIFSPSSKPFSFGHPSIDVVINRFLNRNPLENNEHTHQIMEVHRNMRIADLIQLFNELLIQLEALKEQSKLLEKISKTRGNNQEFWWNASLDELDVNELKQTYASMAELHMTITNHLKERRNAFDASSSSAQQTNPNFDTNPSGLGSYAFPPSYGH >ONI09614 pep chromosome:Prunus_persica_NCBIv2:G4:86221:89901:1 gene:PRUPE_4G000400 transcript:ONI09614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVTLCTHNINFNIQHHFSASANPNWPKSSSIRRRNPNTATRPSSLVIETVEGKAVSDSERTPPYVARRLILLRHANSSWEDPSLKDHDRPLSETGQADAVKVSHKLQQLGWIPQLILSSNAVRTRETLTIMQQQVRGFLEAEVHYISSFYSIAAMDGQTAEHLQHVICNYSRDDILTVMCMGHNRGWEEAASMFTGASIELKTCNAALLETTGKSWDEAFALAGLGGWKLQGIVKPSTSL >ONI11044 pep chromosome:Prunus_persica_NCBIv2:G4:4120440:4122530:-1 gene:PRUPE_4G084300 transcript:ONI11044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSQRSRHVLHNPFLLKFLHFPATQTRPLNTLSFLLNLCNDPKKLQQIHARFVLYGLHQNPTLSSKLIDCYANLGALSLSQQVFNSISDRSSLLYNTILRKLSEFGEFERTLLVYNEMVMKYMYPEGNTYPFVLKSCSCCSDARNGAKIHGHVVKLGFDSYDLVGAALVDMYRSYGNFENEGKQWNSLTSEGSQSGKAMEKFEPDSVTVINLLRSSVDLNSLQVGKAVHCLVVVSNLCVDLSVNTALLSMYAKLGDLEYAKLVFEEMPEKDSVVWNIMISAYSRIGYPKESLELLRCMGSSGIRADLFTAIPAISSITQLRATDWGKQMHAHVIRNGSDYQVSVHNSLIDMYCGCDRLNSARKVFDVVTNKTVVSWSAMIKGYVNHDQSLDALSLFSKMKSEGISVDFITVINILPACVNLGALENVKYLHGYSVKLSLNSLSSVNTAFLVSYAKCGCIEMAWKLFDEENINDKDLVTWNSMIGAYAKHGDWYRSFELYNQMKELRLKPDQVTFLGVLTACVNAGLVQEGKECFKEMVETYNCRPSQEHYACMVDLLGRAGHVNEARELVKSMPFKPDARVWGPLLSACKLHSEPGVAEFAAEKLITMEPKNAGNYILLANIYAAAGKWDGVAKMRSFLRDRGLKKTPGCSWVEINGCPHEFRVADRSHPRSDGIYAILRNLEWEIKDARDRDGV >ONI11088 pep chromosome:Prunus_persica_NCBIv2:G4:4267511:4269043:1 gene:PRUPE_4G086700 transcript:ONI11088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNFDHPQENNSAHMSGPLDLVELRRQGHMIIDFITDYYQNIEKHPVLSQVQPGYLKQRLPESAPYNPEPIETILRDVQDHIVPGLTHWQSPNHFAYFPATISTAGFLGEMLTTCFNVVGFNWMASPAATELETIVMDWLGDMLKLPNSFLFSGTGGGVLHGSTHESVVCTMAAARDQILSRIGEENIGKLVVYGSDQTHSVIQKVSQIVGIPSKNFRAIETTISSSFTLSPETLRLTVCSDMEAGLVPFYLCATVGTTATTAVDPLGPLCDVAKDYGMWVHVDAAYAGSACICPEFRQYIDGIEGANSFSFNAQKWFFTALDCCCLWVKNPSALTKSMSTDLEVLRNKASESKRVVDFKDWQIALTRRFRAIKLWLVLRSYGVANLRNFLRSHVKMAKRFEGLVRTDERFEVVVPRIFALVCFRISPSAISKANPTPSDEKCVNEVNCKLLEAINGSGWVYMTHAVVGGMYVLRCAIGASLTKEKHVAMAWKVVQEHVDAILPLTMY >ONI11073 pep chromosome:Prunus_persica_NCBIv2:G4:4198895:4203558:1 gene:PRUPE_4G085600 transcript:ONI11073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYSPSFAPPLSSLWKTSDLDSPMAAEDHNGGRSALIFLGTGCSSAVPNAMCLIQPSNPCDVCPQALSTPPDQNPNYRCNTSLLIDYCPSDGKHSYILIDVGKTFREQVIRWFTRYKIPRIDSIILTHEHADAVLGLDDIRAVQPYSPTNDIDPTPIYLTQYAMESIAEKFSYLVKKKVEEGKELRRVAQLDWRIIEENCETSFVASGLQFIPLPVMHGEDYVCLGYLFGERCRIAYISDVSRFPASTEHVISKDGAGQLDLLILDTLYKTGSHNVHLCLPQTLEAVKRICPKQALLIGMTHQFDHHKDNKFLTEWSEREGIPVQLAHDGLRIPIDL >ONI12497 pep chromosome:Prunus_persica_NCBIv2:G4:9781965:9783038:-1 gene:PRUPE_4G168300 transcript:ONI12497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQRGNDKGKSKVSGGYKLWTLEESNELLQLMVDAANRGWRDSNGMLSKQTVEKKILPALNAKLGCERNHSQYLSRLKWFKQRYNIFSELMRHSSGFGWDPITKKFTASDEVWKDYIKSHPAHVNFQTDTFADYELLRIAIGNGTAIGRNSIALGDDTDARTLGVEESRRLGIDDLSYDDDNHAFIPNEVEAATFQDLSPKQPNSYVPTQGTNVELPLESNGQTKRNRTEYEGNTSSFETNTRADVLERVSLSIDSIATDFRGIHSLMEKKEKESGCWDAIMEIPNLDSQVRYKVVELLNTKAKKDMFWKMSPQERKDWIMYKLSE >ONI09815 pep chromosome:Prunus_persica_NCBIv2:G4:533130:534389:1 gene:PRUPE_4G010800 transcript:ONI09815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVVTTLIFAMVGVIASLMVRICCGRGASANLLHLTLVITAVVCCWMMWAIVYVAQMKPLIVPILSEGE >ONI10889 pep chromosome:Prunus_persica_NCBIv2:G4:3648701:3654467:-1 gene:PRUPE_4G074500 transcript:ONI10889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLLLSNTTTLSLSSLPPPRTPKSPIRSNFRRNSINWAEKALIGALGGALSFGLLVSSPSSSIAIEFSSSSSVQVQPFSQPPEFCSEDEGDETAELGSEPAVTSEGIVEEAWEIVNDSFLNTSRSRSFPETWQRKREDIRSSSIKTRSKAHDTIKRMLASLGDPYTRFLSPEEFSKMARYDMSGIGINLREVPDDNGDVKLKVLGLVLDGPAHSAGVRQGDEVLAVNGLDVKGKSAFEVSSMMQGPNETFVTIKVKHGNCGPIQSIEVQRQLVARTPVSYRLEQIENGTRSVGYTRIKEFNALARKDLVTAMKRLQDMGASYFILDLRDNRGGLVQAGIEIAKLFLNEGETVIYTDGKDPEYQQSIVADTAPLVTAPVIVLVNNSTASASEIVASALHDNCRGVLVGERTFGKGLIQSVFELRDGSGVVVTVGKYVTPKHKDINGNGIEPDYRNFPAWSDVTQHLSRCNMLQRG >ONI12580 pep chromosome:Prunus_persica_NCBIv2:G4:10244401:10248286:1 gene:PRUPE_4G173000 transcript:ONI12580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILRSRSFRASVSDSNYHFNVGFRRFVTSCRAVVLPRFGGPEVLELRPNVSVPDLKPHEVLVRTRAVSINPLDTRMRSGYGRSIFEPLLPLILGRDISGEVAAVGDSVRGLSIGQEVFGALHPTAVRGTYADYAILSDEELAPKPASVTHVEASVIPFAALTAWRALKSTARIAEGQRVLVVGGGGAVGLAAIQISVAQGCYVTTTCGKQSIDRVLAAGAEQAVDYTEDIELVIKGKFDAVLDTIGGPETERISINFLKRGGHYMTLQGEAASLTDRYGIAFGLPVATAVLLKKQNLYRCSHGIEYWWTYMRADSEGLFEIRKLSEAGKLNIPVEKTFPITQVIEAHEAKEKKQIHGKVVLKFD >ONI11226 pep chromosome:Prunus_persica_NCBIv2:G4:4718427:4721238:-1 gene:PRUPE_4G093600 transcript:ONI11226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHERMLVEQLSLVILMVGVYVMLGSVITTTVAQALPQALPGCPDKCGNLTIPYPFGIGVNCHMAGFPIICNTSTEPPTALWGNIIVTAFSLDEAEMQVLQYIARDCYDKQGNNTYNNDPWLSLPPPFTISDTKNKFIAVGCDTYALFKGFRGEERYITGCISFCDSLDSVDQDSCSGVGCCQTNIPSGMKNRTVELTSYNNHLDIWGFNPCSYAFIVEEGEFKFSNKTFQQLDSLENVPMIFNWAIGVEEDPCDEAQKRQDYVCKGNSTCVNPINRSGYVCKCKKGYEGNPYHPNGCQDIDECSLPNINPCTNGTCSNLPGSHTCLCPTGYTIDSVNGTSCLKNPPTSNNNSLKISVGVIAAFFVLLVVFFCLYCGRKRRQFKKQQEKFFKQNGGLFLRQQLASYNGSVDVATIFTEEELRKATNNYNEYRKIGEGGYGVVYNGNLSADHHNKVVAIKKSKVSAPITETQSLEFVNEVIVLSQIHHKNVVRLLGCCLETQTPILVYEYISHGTLHDHIHRKDNKYPRLPLDLRLKIAADTAEALSYLHHYTEPPIIHRDKYTAKVGDFGASKLVPDQDEGQLSTLVQGTLGYLDPEYLQSHILTAKSDVYSFGVVLVELITSQKALSSNKKSEVDRNLANVFVRAMKEGCLDEILDAEIAKEGEGFEKVVETVADLANRCLKLRGEESVGVTCGY >ONI10181 pep chromosome:Prunus_persica_NCBIv2:G4:1526984:1527508:1 gene:PRUPE_4G032800 transcript:ONI10181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNICVGICGANPTENNPVDPSLSLFQAVKTSLFPDLLSNPSNIFGRTPISSWTTKFPLSKRSAAEPLDGTKILVDQIQLCFPSTSSRFPLLLIVKLANVSVTERAFSLLATQTTLRGNIVLCHIPTYLLAELLGLKKPNSKMWPGETRVCPSANGCEEVML >ONI11496 pep chromosome:Prunus_persica_NCBIv2:G4:5721906:5725082:-1 gene:PRUPE_4G109200 transcript:ONI11496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNPHKLGVVVVGAHNLYPEDDEGSCSAFVELSFDGLRLRTTVKEKDLNPVWNESFYFNISESSHLDKLRLDAYVYHSVKPTYFKTKSFLGKIRIPGDSLSTYSDDVATDFLLEKRGIFSHVRGELTLKVYVTGPEPSNMSSSTPIAAAVAPLPSRDPGLAQEQLMTQGISNLATRNTEEAETRHTSHRLPNPSHHEDQPRQRPAAPPFSIKDDTCGFALKETSPNLGGGPVVAGHFINGPKTASAYDLVERMDILYVKVVNARDLPSRGVTGSLNPFVEVKAGNYKGTTKHFEKQKNPVWNQVFAFSKEKMQMRELEVLIKHKDPSEDDDIVGYVTFPLDAVPTIVPPESPLEPKWYPLEGQRVRRIKGEVMLAVWYGTQADTAFSEAWHSDAVPLNSSQIDSTEMRSKIYQAPRLWYVRVNIIEAQDLFVREDDNLPNVFVKLQMGNQVLRTKPVQDQNLNPIWNEEFLFVTTDDPFPYLFLSVEDRVGFNDTLIGRALIKLHDVQMRVDDRSIPSRWFNLEKSFVTDHPDDATNEVLLQESNSADDPFSSRVHLRICIEGGYHVFDESAYYSSDFRPTAEQLRRPSIGVLELGILGAVGIQPIKTRDDRGTADTYCVAKYGDKWVRTRTIIDNLSPKYNEQYNWEVFDPATVLTVGVFDNSCLFSTVHGGSTRHQRIGRVRIRISTLEVGRIYTHSYPLVALHPSGVKKKGELHLAIRFLCPSLLNRLYIYSQPLQPKMHYVSPISVADFDRLRFQAANLVALWLARDKPPLRREVVEYMCDVDSHLFSIRRSKANFFRVMSTLSGLVALFKWFSEICMWKNPITTVLVHVLFFMLVRFPQLIFPTIFIYLFLTGLWNFRFRPLYPPHISTGLSYAELVHPDELDEEFDTFPTSRPSDIVRMRYDRLRSVAGRIQTVVGDVAVYGERIQALLSWRDSLATALFVTFCFVAALVFYFTPIHVVAALAWLLIMMPPRFRRSWPSGPINFFRRLPAKADILL >ONI11229 pep chromosome:Prunus_persica_NCBIv2:G4:4733384:4735018:-1 gene:PRUPE_4G093900 transcript:ONI11229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKKGLNPMSFGYLVFVSLYLTTAFKTGEGIAVGRSFSMFKNYHIDGNNEMIATLITHRPFSRSAVNYNAGYKTALSNVVMAIAVMFTLLFLTPLFHYTCYYEAAIHLWKVDKFDFVVCMSVCIHVVFGSVEIGLFLAVARVLLFVARPRTFVRGNLPNSMVYRNINDEEGRIKSAGNGSLQYVILDTTDSIDTSGISMFEELVLANSGSEVMKKMNKSELIEKICQEWIYLTVAEAVAACNFLLHSTKPNPGKDQEPVARNNV >ONI12246 pep chromosome:Prunus_persica_NCBIv2:G4:8792167:8793640:-1 gene:PRUPE_4G153400 transcript:ONI12246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAAVSLLLLLLSFTSETLLMVNGQKTWCVAKPSSDQATLLANLNYACSHVDCQILRKGCPCSSPDNLINHASIAMNQYYRAKGNNQWNCDFRGSALIVMTDPSYGDCIYA >ONI09988 pep chromosome:Prunus_persica_NCBIv2:G4:1064358:1067289:-1 gene:PRUPE_4G022500 transcript:ONI09988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLANGISSFCPQAASEQPKLLPKGFHPKLDFLKLSGVKTSVPKRVFKVRADVGYDPKTIYPDVSQGKLSIEEFLRNREYDKKFGFNIDIDSFSIPKGLSRETIRLISSLKEEPDWMLEFRLNAFEKFLTMKEPKWSDNRYPVINFQDMCYYSAPKKKPALNSLDEADPELLKYFDRLGVPLNEQNRLANVAVDAVLDSVSIATTHRKALEEKGVIFCSISEAIREYPDLVKKYLGRVVPTGDNYYAALNSAVFSDGSFCYIPKDTKCPMQISTYFRINALETGQFERTLIVADDRSFVEYLEGCTAPSYDTNQLHAAVVELYCGEGAEIKYSTVQNWYAGDEQGKGGIYNFVTKRGLCAGARSKISWTQVETGSAITWKYPSVILEGDDSVGEFYSVALTNNYQQADTGTKMIHKGKNTRSRIISKGISAGNSRNCYRGLVQVQSKADNAKNSSQCDSMLIGDSAAANTYPYIQVKNPSARIEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCQDVFNELPDEFGSEVNQLIGLKLEGSVG >ONI11785 pep chromosome:Prunus_persica_NCBIv2:G4:6849135:6850934:1 gene:PRUPE_4G125200 transcript:ONI11785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKINFQANERQRRSANYKPNIWNDGFLESLNNKYISENLIEDVKNMMFVETEDLIAQLELIDSIGKLGLTNHFEKEIKEALDTVENSNPYITENLYATALHFKILRQHGYKVSQDVFGGFMDEKVALKESNLWDVKGMLELLEASNLAFEGERILDEAKASSTVALRGFEVWNLDNNLARQVVHSLELSSHRRVGWFNVKWHIHTYEKDNHANTILLLELVKLNFNMVQAALQKDLREVSTDRPVECFMLAVGLNFHPGYTSFRNLFSKVINLILIIDDVYDIYGSLEELKIFTNAVDRWDVGVTEQLSECMKTCFQVLYNTTCEFAHEIEEESGWNLALPHLSKAVLA >ONI12452 pep chromosome:Prunus_persica_NCBIv2:G4:9616964:9618184:1 gene:PRUPE_4G165700 transcript:ONI12452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRVTLPLGFRGQLENPVGENATLTFGRDGNFVFANTDGRVAWQTNTANKGFVVLPTGNMVLYNSKVTNFVSWASEKENKDGPYSLGSLEHVTIHSGPDEGSGYDLTLNYQAANPSSIGTIYLAKTKYNSTSTFLGLGIDGNVKLYTYNDKIDFGAWEVTFSFFDRDNSIWWENECQLPERCGKFGLCEGSQCTCEPEKLTSCRASSFRYYKVEGVDHYLSKYTSGISVKENDCGKKCTSDCKCLGYFYNQDTSRCWIAYDLKTLTKVANSIHAGCIKTPNH >ONI13968 pep chromosome:Prunus_persica_NCBIv2:G4:17693407:17697151:1 gene:PRUPE_4G255100 transcript:ONI13968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATKIVYSRIQNLDPENASKIMGFLLIQDHGDKEMIRLAFGPETLLHNLIINAKTQLGLLQSKPNSATPSSPSTFNPISRPNPLSLSSISNPSSPSSNLWSLSNPMSPSSTSSPSYANIVSKNSNPGSVSGSLLSPTISSSSLSSAYHSSTSELAEKYQLQSHLSFLSDPKTDDLFDGSQNAYSSSSLQKQSYSVPSMCFGAEDVNSGVGWKPCLYYSRGFCKNGSSCRFLHSGSINADGAPVDVGSPSNVNVLEQCQELLRSNAAAQQQKQAAVSHFMAGGTSFPYNKCMNFLMQQQNDTKRSATSALMMGDELHKFGRYQYERNDISTLTLGGNVNHSSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYSETVKIILAKGNPHFVCDSRVLVKPYKEKGKIPDKKQQHQQLERGDYHICTSLSGIDSREPSDLNLGSRMFYNTHEMVLRRKLEEQANLQQAIELQERRLMNLQLLGIKSNNHHHNQHQYYHGLSEGSPFPSPTLSHTPKNQSLIVSPNGNKEEVLEEFEGSLAEQLQQEMVNPAFNLIDHGSEDDNGNDFDLLECMEHILPDNLFASPKKSAADQINAFSTTTSAEVSTESTRVTTISSYNNNNNLLPTTSTSSNANATSPKSVLSKCPGFLLGMEPLECN >ONI14294 pep chromosome:Prunus_persica_NCBIv2:G4:22662365:22666352:1 gene:PRUPE_4G273500 transcript:ONI14294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQQQVMVVEEIVEEGEQHELAIEQQPANVVMNSNEEEAQGQWGKIKKVAPFIIGMLIPMLIGGNSIFTKRGLKEGMSFSVFLFYKNTLASIVLLVATLITRTPWPKMTRWLVIRIIALSVLEPVLSQIAIFSGLKFTPASFSSCLIATGPALTLVASWILQLEHIAISERRSQAKLLGMVMVITGGLVVGLYNGPTITVLQPSHISGQNVVSHRLTENWIRGPLLVSFSVLFSVLYNILMEMTTKKTDASPLFLTASICTLGALMNLIVAVAMESGSGFVWIVGLNTKLVCYLYSGIVVSGFTSFLQSMLLRERGAVFLTSFSPLSTIFVMMVGAIVLKEVIHVGSILGALIIVTGLFILQWGKLKASASEDG >ONI12111 pep chromosome:Prunus_persica_NCBIv2:G4:8345142:8348115:1 gene:PRUPE_4G145100 transcript:ONI12111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVYEAATSGDVGLFRRIEDGDELPDVLYKRTPKDNNVLHIAAEFKQIKFFNDIPLEYGSSLFWATNKKGDTPLHVAAKVGCVEVVEFLIEHARKSLHHMERGDEESGPADDESQNKLLRVKNSDNDTTLHLAVRYNHDEVAIRLMEADPQLCCFTNKANESPLFLAVRKGTPSIVHCILKAYESGVSPSFQGTNGLTALHAAVTQEQLKDKDVVEILVSKNRDLIKEVDAIGWTPLHYAAFTGNVEATQLLMESDSSASYIMDLSSKMSALHVAAYAGHTKVMEELIRYQPDTCDLVNSKGQTVLHSAILGGQGKVVEYILRTPKLTGLINEADEDGNTPLHIAVKYKKVEIISILTADHRVDKAAVNKKVSKAIDIFLGQNREEQGTIDSLVLHQLGSCVGGPFFQQKISDDFNKPETSEKDTPGTSAIEHKRRSQQSTSNQALKRLDTKLVVTTLIATVTFAAAVTPPGGFKSDGKSVLSEDTFFKVFQFFNQVTFMLAIIAIYNESNPIRISSIEIATPESLIRYSIGGLLVAFFSATMAVTPERRRMLTLRAKPFTGQPPFDVILNVIGGFGVALLSMHVIWTMHRKLRRNNRPGCQPCNL >ONI12899 pep chromosome:Prunus_persica_NCBIv2:G4:11355846:11366636:1 gene:PRUPE_4G190400 transcript:ONI12899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSASPSLIARSSLEEMLDSLRRRDEGEKPKELPPALPARPTSKARLPSARRSLPNNFKVEDAEGSPECLSSLNKRKERDSGFKAGHFGVKRMDKDQNVESPYDGAPEECQIRPEKIGKSDWDDNIGYFINKKLHVWCRLRSGLWELGTIQSTSGDEAIVSLSDGNVIKVCRVELLPANPDVLEGVDDLMQLSYLNEPSVLYNLQSRYSQDVIYSKAGPVLIAINPFKDVQIYGDDFVTAYRQKLADKPHVYAIADSAYNEMIRDDVNQSIIISGESGAGKTETAKIAMQYLAALGGGSCGIERAILQTSCILEAFGNAKTSRNDNASRFGKLIEIHFSTLGKICGAEIETFFLDKSRVARLEDGERSYHIFYQLCAGAPSMLKEKLKLKRASEYKYLNQSNCLAIDGVDDAEKFRMLMEALDIVRVSKKDQEHVFSMLAAVLWLGNISFQATENENHVEVLADEALTNAAMLMGCSSQDLILALSIHEIHGANASIDKRLTLQQAIDARDAWAKFIYASLFDWLVEQINKSLAVGKCRSGRSISILDIYGFESFQKNSFEQMCINYANERLQQHFNRHLFKLEQEEYELDGIDWTKVDFEDNQECLNLFEKRPLGLLSLLDVESNFSKANDLTLADKFKQHLNANSCFKAERGRAFSIRHHAGEVLYDTSGFLEKNRDPLPSVSIQLLSSCSCQLLQLFASKVVKQFQKPENTSCQINSLDPPKPSTGIKFKGQLFKLMHHLEGSRPHFICCIKPNSKQLPGVYEVDLVLQQLRCCGILEVVRITRSGYPTRMTHQEFAGRYGFLLLGAGIPQDPLSLSIAVLKQFNVLPEMYQIGYTKVYLRTGQIALLEDKRKQVLQGIIGIQKYFRGNQARDHFHQLKEDVLGEKAGRRAGARETLDDPQNSKKLHPENAKFKQKAGRKNSEVKDLPSDLAELQRRVLQAEATLKRKEEENAELQGQLRQFETRWSEYDAKMKSMQDVWQKQMASLQTSLAAARKSLASDNTAGQPGRLVVPSSPRCDSEEALSMGSRTPGASTPNNGGGRETNGTLHAVSNLMKEFEQRKQHFDDDAKALVEVKPGHSGVNMNPEEELRKLKHRFESWKKEYKARLRETKTKVHKLWHSEEEKRRSRKWWGKISSRAS >ONI12996 pep chromosome:Prunus_persica_NCBIv2:G4:11973842:11978191:-1 gene:PRUPE_4G196400 transcript:ONI12996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPCFMFIGIYVSVSFFTTSYAADAITQSQTLRDGKTLVSKHGSFQLGFFSPTASDTKNRFLGIWCKNSSVSSATTVTWVANQHNPINGSSGLLMINSSGNVVLLSQNSTVVWSIALSKQTPNPILQLLDSGNLVLREARDGNSRNYLWQSLRWDEKAGLYRSLLASSSSDICDNYGRCGPYGMCDISNSEVCSCLKGFQPKDPGNWKYADNSGGCERITPFVCQNGDGFEKYGGVKLPDTTHSWVNQSMSLKECRASCLNSCSCTAYAISNVKGGSSCTIWFGDLISLRKLLDEGQDLYVRMPASESDTDRPRKTKIIVIAVAAVSIVSGTFLAVYCIHRRRRKLKEKLRKDGMMGQNNEGQKEDLELPSFSLPTLITATDNFSFNMKLGEGGFGSVYKGRLVDGQEIAVKRLSQSSRQGIAEFKNEVILIAKLQHRNLVKLLGYCIQGEERLLIYEYMPNKSLDFYIFDQNQGRLLDWSQRFPIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDKEMNPKISDFGMARIFGGDQTEGVTKKVVGTYGYMAPEYAIDGQFSVKSDVFSFGVLLLETLSGKRSRGFYDRNHNLNLIGHAWRLWKEGRSLEMIDKCLSDSCTLSEVLRCIHVSLLCVQQLPEDRPTMSTVVLMLGGESALPQPKKPAFFLGKHPSSEAGSSSSKNQTSSTFGVRNETSSTNESSITVLEPR >ONI11724 pep chromosome:Prunus_persica_NCBIv2:G4:6699371:6701034:1 gene:PRUPE_4G123200 transcript:ONI11724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLGQLSKLVEPDLSSNPWEGFLTEAHFTSLTRLKHLSIRNNLIEKLVSLVFNVAYDWVPPFKLHKIEITNCKTELSYVTLNSTGMSDSIAEEWLLKISSQLTHLDLSYNQFHGMLPSIQLRFPNVFQIRLAHNQFEGPLPLWSTNATYFDLSSNLFSEPIPSNFDKLMPKLVELFLSENDLNGTLPHSICNMQNLTALSLRSNHFSGELPHAWNSGSMISIVDAAYNNLCGNIPTSMGLLSYLQILKLNNNNFDGKILDSLQNFSILLSIDVGGNKLSRRLPAWIGGSGGSMLHMLQLRNNSFTGHIPRQLCNLSYLHILDLSHNNFSGIIPNCFNNLTSLFRDVSDKYPNYYHLQTMLTLKGEELVYNTTLMLVKSIDLSSNILEGEIPQEIGSLINLSTLNLSRNQLTGKIPSEVGNLLGLESLDLSHNHLSGHIPQSLASLTFLSHLNLSYSNLVGRIPSGNQLQTLTDSSIYIDNPSLCGVPFPTKCPRDDTFIATNSNEDGNDKLWFYVSIVLGFVVGFWGVCGTLIVKKLWRYTYF >ONI14286 pep chromosome:Prunus_persica_NCBIv2:G4:22567246:22570511:-1 gene:PRUPE_4G273200 transcript:ONI14286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAGMFMVHQTVGSVLCCKCGIPMAPNAANMCVKCLRSEVDITEGLQKHVTIVHCPECNCYLQPPRTWIKAQLESKELLTFCVKRLKNLNKVRLVHAEFIWTEPHSKRIKVKLKVQKEVLNGAILEQSYVVEYVQQEHMCESCSRVQANPDQWVASVQLRQHVSHRRTFFYLEQLILRHAAAASAIKIKQMEQGIDFFFANRSHGVKFVEFVGKVAPVRSRSDKQLVSHDSKSNNYNYKHTFSVEISPICREDLICLPPKVRSSLGNLGPLVICTKVTNSIALFDPFTLRHCFLDTDQYWRYSFKSLHTSRELVEYIVLDLEIISPEVNVGGSRLALADAQVARVSDFGKNDTIFNIKTHLGHLLNPGDYALGYDLYGANSNDDELEKYKGLVIPDAILIKKSYEEKRQKKHAKARPWKLKSLGMEVDDKAKLDQEKVDSEYEQFLKDLEENPEMRLNISLYHNEEYQPSEMASMTDGEDAPSVPLEELLADLELRDNEDEDEDDSSMRE >ONI10553 pep chromosome:Prunus_persica_NCBIv2:G4:2559677:2560306:-1 gene:PRUPE_4G053000 transcript:ONI10553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALQETYEQPLLENNAASIAPKPPKTPAQKAIRKTFKGTAHLSNLLPTGTVLVFQMFSPGFTRQGQCPTPINKTMTLGLLIVCSLTCFLLCFTDSLRDERGKVRYGLATFKGLWVIDGSKVPIAPEEVAKYRLRFIDFFHALMSIFVFAALALVDQNIVNCFYPKPSEEGKQLLAAFPVGIAVVCSLLFVLFPTKRHGIGFPLSRS >ONI13383 pep chromosome:Prunus_persica_NCBIv2:G4:13728912:13730969:1 gene:PRUPE_4G218400 transcript:ONI13383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAEFQMGLAKLALGSGNLSSSQVFGAIRQAMQRAPHYPECHNLTGLVYEAQRRICRSFNKKKIKKNGGGSGGTVDSVVPWLSDTACSSLLPLWPMAHLEGTPIQRINHFLTFGAYDYFQRFVGLLQKTPCSLTRLPRSSACGSCTNASDKIGFMASRGGEAEEDDHFGLLWY >ONI10626 pep chromosome:Prunus_persica_NCBIv2:G4:2765616:2768197:1 gene:PRUPE_4G057900 transcript:ONI10626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNVLTGLKFIASGYAGRQYFHSSQHLQILIREGLSTGGQGGCLGPPIFINHMYVASFFFFFEKFYDCIHNSAKKATSHKGPTQTSHKRVITITEQSNIKIKKIRYVSTNDQTRSKKL >ONI14299 pep chromosome:Prunus_persica_NCBIv2:G4:22774061:22774753:-1 gene:PRUPE_4G273800 transcript:ONI14299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERLNGPSGGSPENPCGVKSSASSNNNNGNSTYNNNNNSNKEQDRFLPIANVGRIMKKVIPGNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGEDIIWAITTLGFEDYVHPLKLYLQKYRELEGEKLNVPKQQRLEQRQLQQHQQNHHHQQPEQQEQQTLVSAYDNSVYSSTNLLSQPSFIAAAAADHHQTAFSLPFSPTSIQKQLHPQDHIDSVGHW >ONI12810 pep chromosome:Prunus_persica_NCBIv2:G4:10948607:10954199:-1 gene:PRUPE_4G184700 transcript:ONI12810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKTERKVNISGKPKHSLDANRTDGKSKSKDARTGATVRRLKMYKTRPKRDSKGKVLRNDFQSKELPDTRIQPDRRWFGNTRVASQQQLDIFREEHSKTVASNYNVILKGKQLPLSLLNDHQKQTRVHLLERESFSDAFGPKTKRKRPKLIAADYESLAKKADGSQDVFQQKHADDNTAEGSEGDGFRDLVRHTMFDKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCYHLEKHLKEHCTHKHLVLLLNKCDLVPSWVLSRWLNVLKDDFPTIAFHASVNKSFGKGTLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQSGDSETDIVLKGVVRVTNLEDATEHIGEVLKRVKKIHLERAYKIKTWDDDNDFLCQLCKLSGKLLKGGEPDLMTAAKMVLHDWQRGKIPFFVTPPERELPSDEPCINNIENNTAVDSNQESTYKAIADIISSQQLSSIPVQKDLFTEEELKGDEA >ONI10794 pep chromosome:Prunus_persica_NCBIv2:G4:3324392:3325240:-1 gene:PRUPE_4G068500 transcript:ONI10794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKIVKKSANRRRTRGKSSAKKAAAAASSAVLSSIFTCQRRLIKLFTKLARIGTPNRHKGFKILKKSQIHEPESGSEDPIQRNLFFDTPLPPLVSAEKQTVFLDLDETLVHSQAEPPPEKYDFVIRPNIDGVAMNFYVLKRPGVDELLENLAEKYELVVFTAGLREYASLVLDRLDRNRVISHRLYRDACKEVDGKLVKDLSGLGRDLSRAVIVDDNPNSYSLQPENAVPVRPFVDDMADQELGKLMEFFDGLNCDDMRDAVKQFVAAAGSGGGAKVDEAV >ONI12820 pep chromosome:Prunus_persica_NCBIv2:G4:10978451:10982282:1 gene:PRUPE_4G185200 transcript:ONI12820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERYRPVSIEDLPSQLVIEILSSGRLSAIDLLHLELTSRTFGGSHGLYPHKFGSLVDYAAYQLCVSHSVYGKMERNAQRGLFDRCGGKWKRVLRFLQSVEQSSDMVETSAGNMQITTGRYHTLLISNSSLYSCGSSLCGVLGNGPETKQCVTFTRINFPSPAQVVQVSASHNHAAFVMQSGEVFTCGDNSSFCCGHKDTNRPIFRPRLVEALKGLPCKQVATGLNFTVFLTRQGHVYTCGANTHGQLGQGDTIDSPAPKMIELPEGIGYIVQIAAGPSYVLAVADNGVVYSFGSGSHFCLGHGEQHDEFQPRAIQTFKRKGIHVVRVSAGDEHAVALDSSGYVYTWGKGYCGALGHGDEIDKTTPELLNKLKSHLAVQVCARKRKTFVLGDNGSVYGCGWMGFGSLGFPDRGVSDKILSPRILDSLRAHHVSQISTGLYHTVVVTNRGKLFGFGDNERAQLGHDTLRGCLEPTEIFIQEMADDVDIVPECV >ONI09686 pep chromosome:Prunus_persica_NCBIv2:G4:230375:232863:-1 gene:PRUPE_4G003400 transcript:ONI09686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKLKVSVTVGGEATNPSPNNESENKAKRQTLVLGNGSEVVFVPRFVSFDQAWTWFDYLHTHIPWTRPTIRVFGRSCLQPRDTCYVASPGLPQLVYSGYQPHAYSWDDHPPLKQILEAVHKALPGSSFNSLILNRYKGGNDYVGWHSDDEKLYGSTPEIASLSLGCEREFFLKKKPSKTCQQSRDDQEPKSKRLKRNHADQHSFTLKHGSLLVMRGYTQRDWMHSVPKRTKVEATRINLTFRWVLEDPGAMS >ONI09687 pep chromosome:Prunus_persica_NCBIv2:G4:231613:232863:-1 gene:PRUPE_4G003400 transcript:ONI09687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKLKVSVTVGGEATNPSPNNESENKAKRQTLVLGNGSEVVFVPRFVSFDQAWTWFDYLHTHIPWTRPTIRVFGRSCLQPRDTCYVASPGLPQLVYSGYQPHAYSWDDHPPLKQILEAVHKALPGSSFNSLILNRYKGGNDYVGWHSDDEKLYGSTPEIASLSLGCEREFFLKKKPSKTCQRMIQSTVSSIYYGLSFVVHV >ONI09803 pep chromosome:Prunus_persica_NCBIv2:G4:499857:503844:-1 gene:PRUPE_4G010100 transcript:ONI09803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYFRHFSVIKRAVKSRERQREEERDREKKRESRLRERVKSVWFPFILLIYVCTSMVSDQEIAEGVETVLRQSGPNDVTSVNGVVQQLEAKLGLDLSHKAGFIRDQISFLLRPYAQPQPQHQPPKDHFALHTNPQHHYHHHPQFLPQQFPPHFALHPHHRAPEPHSFQQPPPPQQLRPQAQLQPPAVKHEALAQNATKVAPETPKESAPASGTKRRGGPGGLNKVCGVSPELQAVVGEPALPRTEIVKQLWAYIRKNNLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHIIPLGPNKESTQAKRLKLEAKSTTDSTEPQPQVQPQPQPGSPTVGISEALAKFLGFGGREMLLSKARSLVWEYVKANRLEDPLNSTVILCDAKLHELLGCESISAVGLHEMLDRYHLFKQL >ONI09804 pep chromosome:Prunus_persica_NCBIv2:G4:501369:503660:-1 gene:PRUPE_4G010100 transcript:ONI09804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYFRHFSVIKRAVKSRERQREEERDREKKRESRLRERVKSVWFPFILLIYVCTSMVSDQEIAEGVETVLRQSGPNDVTSVNGVVQQLEAKLGLDLSHKAGFIRDQISFLLRPYAQPQPQHQPPKDHFALHTNPQHHYHHHPQFLPQQFPPHFALHPHHRAPEPHSFQQPPPPQQLRPQAQLQPPAVKHEALAQNATKVAPETPKESAPASGTKRRGGPGGLNKVCGVSPELQAVVGEPALPRTEIVKQLWAYIRKNNLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHIIPLGPNKESTQAKRLKLEAKSTTDSTEPQPQVQPQPQPGSPTVGISEALAKFLGFGGREMLLSKARSLVWEYVKANRLEVGSCCTILYLLRLVDLR >ONI13177 pep chromosome:Prunus_persica_NCBIv2:G4:12941035:12944750:-1 gene:PRUPE_4G207900 transcript:ONI13177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTSASTTCKTHRLITPPLPKPYSSSQLSFKFHKFTPRATTPSSISCTLTRDSAPLQMEDKHENGSLPVLPRPDSFGRFGKYGGKYVPETLMHALTELEAAFHALANDEDFQKELNGILKDYVGRETPLYFAERLTEHYKRPNGEGPHVYLKREDLNHTGAHKINNAVAQALLAKKLGKKRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQSLNVFRMRLLGAEVRPVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVRDFHKVIGRETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFVEDKDVRLIGVEAAGFGLDSGKHAATLTKGEVGVLHGAMSYLLQDDDGQIVEPHSISAGLDYPGVGPEHSFLKDTQRAEYYSITDEEALEAFKRLSRLEGIIPALETSHALAYLEKLCPTLPDGAKVVLNCSGRGDKDVHTAIKHLKV >ONI12768 pep chromosome:Prunus_persica_NCBIv2:G4:10805855:10806790:1 gene:PRUPE_4G182100 transcript:ONI12768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLGDFKLPQFFNYPPYFTLQPVRDTREKQIQLWKDLILDYCKTQNVFVIAVEDQDFPLFSNPAIERSLTHEAREAFLSALVSQGRAEWLDKNHRKCLILWHRIQEWANIILEFVKENGLENSVMTVEEIRSGPESRGTELHGIDRTVLMRALKLLEQKGKLAIFKGTTADDEGVKFSA >ONI12846 pep chromosome:Prunus_persica_NCBIv2:G4:11138913:11139967:1 gene:PRUPE_4G187100 transcript:ONI12846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFFILCSFLLGAAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADSKTSNKPPGCDLGNKKNSLRLDDWVLCRIYKKNNSHRPMDLEREDSMEDMMGPLMPPSISHVGHHQNMNLHLPKSNTNYGPPFIENDQIIFDGIMSSTDGSASLSNGTSQLPLKRSIVPSLYWNDQEDDQTAGASSSKRVVQLHQLDSGTNNSVAANNNSTSIANLLSQLPQTPPLHQHAMLGSLGDGLFRTPYQLPGMNWFSESNLG >ONI12845 pep chromosome:Prunus_persica_NCBIv2:G4:11138518:11140641:1 gene:PRUPE_4G187100 transcript:ONI12845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDSSTASQQQQQQQPQPPPQPNLPPGFRFHPTDEELVVHYLKKKVTSAPLPVAIIAEIELYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADSKTSNKPPGCDLGNKKNSLRLDDWVLCRIYKKNNSHRPMDLEREDSMEDMMGPLMPPSISHVGHHQNMNLHLPKSNTNYGPPFIENDQIIFDGIMSSTDGSASLSNGTSQLPLKRSIVPSLYWNDQEDDQTAGASSSKRVVQLHQLDSGTNNSVAANNNSTSIANLLSQLPQTPPLHQHAMLGSLGDGLFRTPYQLPGMNWFSESNLG >ONI09936 pep chromosome:Prunus_persica_NCBIv2:G4:913639:916790:1 gene:PRUPE_4G019600 transcript:ONI09936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSATSTASCPPLKPDDYSHSPVHYAVVLADHTTLSRIVSALPRLADPTQIHTESDSISHERTADQISAVLDRRDVPHRETPLHLAVRLNDAVSAKILASAGADVSLQNSAGWNPLQEALCRRSSDIALILLRLHHRSAWAKWRRRLPRVIAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTSLAGFDGLKIQRADQSFLFLGDGDQNHDVPSGSLLVLNRDDRKIFDAFENAGSPMSESDIAGFCSQTSVYRPGMDVTKAELVGRTNWRRQEKTESVGEWKARVFEIHNVLFSFRSRKVANGDADVAGSEQVLPLELDEDDDGFLVAENPSFGMPDGRRHSSFVREDREFAALGRKSVDVSSMAAAAPPRRSMAAPPRRSMAAAPPRRSMAAAPPMRPMAAAPPMRPMAAAPVQTKEKEYVRSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRMRELLTTKFPPGSFPVKVAIPVVPTVRVVITFTKFVELPPTEQFFTPMSSPRQFIHEGRGQHQHLQQEEEEEEEHKYKSQKPSSLSSSTSWLRRSSSQSGSGSKQNLQPQRGAPPAAQDSSDPFAIPSGYTWTSIEDKSRKMRKSKSIRRSK >ONI09898 pep chromosome:Prunus_persica_NCBIv2:G4:801833:802291:1 gene:PRUPE_4G016900 transcript:ONI09898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMNIFVTLLAMIAIVAAANLDEDYNEDVEMQSTEATLPETPDEGEATTSLRGVSRFLYQKNVQQADYTCNKFPRVCRLRNSPGPDCCKKKCVNVKTDRYNCGFCGYRCKYTEICCRGKCVNASFDKRHCGGCNQKCKKGEYCVFGMCNYA >ONI09722 pep chromosome:Prunus_persica_NCBIv2:G4:314661:318736:-1 gene:PRUPE_4G005400 transcript:ONI09722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCHEEQLGVGRVGGEDLSLVHPDPVVLELNRMHNLLTEKSRELGIAQGEIKALRACEVLKDKAVEELINEVQKLDEKHRVTESLLEHKNLEIKKLTDDKRDALAAQYAAEATVRRVHANRKDDESPSIESVVAPLEAEIKMHKNEIAALQEDNKALQRLTKSKESALLEAERILRSALERALIVEEVQNHNVELKRQIEICQEENRILEKTNRQKVLEVEKLSQTIQELEEAILAGGAAANAIRDYKRQIEELHEEKRSLERELARVKVSANRVATVIANEWKDENDKVMPVKQWLEERRLMQADMQRLREKLAISDRTAKAEAQLKEKLKLRLKTLEEGLKHVSSFNPHLCVSPKAEKSSNFLGFLKSNGGLGKRSTSQPRASTIARSSPVQQPNSDNEAGNAAGQLKRSNSLRKKYGSGELMLKKSLWASRSKVVDIGEKENTEMKANNDTNKNDDRMVATEIEAQAGGNEDSPNKISTSCDNEDMVSGFLYDRLQKEVLNLRRHCEAKESDMNAKDQEIKMLMKKVDALSKAMEVESKKMKREAAARDKEAAAAKMDDNKKNRNINSSKRAMKAS >ONI12847 pep chromosome:Prunus_persica_NCBIv2:G4:11145857:11146604:1 gene:PRUPE_4G187200 transcript:ONI12847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSSHHYKHNIGERLQTLSVHCKTEGTNRGLRHSCMASSSSPRPRVQACTCTNRPGLNRCSRHGYAVPGAEKWRRNYANKEVLRRALRSTPNRSLSLRWWNFQPTPSRLSNMSMA >ONI14390 pep chromosome:Prunus_persica_NCBIv2:G4:23460926:23464251:1 gene:PRUPE_4G278600 transcript:ONI14390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDEAEEVSIKKKTRKSLGRILLKGDNITLMMNTGK >ONI10196 pep chromosome:Prunus_persica_NCBIv2:G4:1597907:1600746:1 gene:PRUPE_4G034300 transcript:ONI10196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRALVTTSFESPYVSLDLLSYLKSRQVTPFQIFNEVIMPDFLHQMSELKQLVEGSSSSGSSGSGSSSTANNGDDTIVEEDSYLQRMFEEIQNDLIYIKKACVKFKQWEEDQVNVSMKGLVFRALDDAFKQRTGSRDRREETSYIRDKLVKTHHLVSMLKKSLHSSPPLSSGNLKSQSLQRAKHSMIRWELNLHGRSVYLPTKLQEIEAESSTFKAIEAESSTFKEIEAVYNGLDVTLKLCFLCFSVFPENAVIKKKVLVHWWVGEGFIDTLGTSGKTAEDTANQFFNDFIEKGLIKPVYKKRRPSADSCSMEPSVRYAAIKLAKRAGFFSFDSNGNPTEDFTCSRRACLVKTEEGSSVHELPYRLEQEKVQSIINVNELNLDFRPEWFSKMKYVAVLQLGRWESSAKHLIQVEDSEFLKGLKNMRHLRYLSLRGVSRITELPASICKLSNLRILNLNGCVDLEQLPQGIGSLKNLTHLDMYECYLISHMPKGLALLSQLRVLKGFVIGEPRPGGNYCKLADLSGLENLRKLSIHVDKTSDAAKRELFSLAEFKKLQSLSISWSRLYDTPKKPLNLKRIATKKFTSRVKSTKPPPPPPPSPLMDPVSVPLKKLNLHYFPGSKMPDWLMQWDLTTLKKLYIRGGSLSNLCHGKEDNWAVEVVRLKFLEKLQMDWRKLQELFPSLTYLEIDKCPKLSFIPCDENGVWKKAD >ONI10160 pep chromosome:Prunus_persica_NCBIv2:G4:1457996:1461167:-1 gene:PRUPE_4G031300 transcript:ONI10160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKGTSTCRNGEGFVKLARVKIPDSSTRTRVRMRMRRVKSWHGDLMDTRTYLDMGQDYIHTYDRVDAITLGRKKRSLDCMIVFLLCGTGMLTGNFQDRENMRVEKAIRMLYGDYKFSLRLATGSTYLEDSTADVDETRINSDLPFFDLATISVAANNFSVANKLGKGGFGTVRI >ONI10312 pep chromosome:Prunus_persica_NCBIv2:G4:1884472:1886189:1 gene:PRUPE_4G040000 transcript:ONI10312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCMSTTTTEKSSALGPQKLQHSLVGTQGPRSDDAHDSRAPPPVDEETVKEVLSETPRPKPTPSSPPPPLMPFTKLQEHGPEDQDQEKRAQEPVFEKKIKQEDPEKVEEKIPIYNNNGEISEVSEICSLSESMSTTTITRDDDEEVHQRVNRSPMRIPKNRDPIGQRRDRVVGKSPTRRTESSPGRKYGPNGNNGAGSVRLVQSREPGPGQQPLSRRGSRAESNRRDPGESSGRRSRSPATRVTDGGGANRANVGRSPSARRSGRYPGRTAVGQVESSGSTRRVAEEPVMGEGKWPANESIDNPLVSLECFIFL >ONI13094 pep chromosome:Prunus_persica_NCBIv2:G4:12550085:12550828:1 gene:PRUPE_4G202000 transcript:ONI13094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNSQIKLLSPSSITCGNNNRLHKQFSSSIDSFCILFQVKSFTCKIFRQNLHSRKFWQLKYSTWYSTFNLHLTVCTIKVTNSRKIQLLYPPFHNGKVKLSLSTQVATSIVGYTIM >ONI10481 pep chromosome:Prunus_persica_NCBIv2:G4:2388848:2390307:1 gene:PRUPE_4G050100 transcript:ONI10481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNSMAKNLIFNPSKSFPQSPSALSPRYSSRKVSRVCFNTSATKYSEGQNAAEDHRDSRADWAYDDKKWRNEGSVDANNRGKNPAEEMIDRTKDFTHETKERTKSAAEKAKEGTNRAAKTAVSAKEKAKQYAYETKEKTKEVAGSVAEKAKEGTYKVAEMAASAKEKAKEKAKEMKEKTEDVAETVADKVKEGTSKVAETAKDKVKGEWGAAKETGKKIKETLVGSTSSDSDDEVEVVHEKVVEVLDEKGNVVDVRRRIRKPEDDDVKKC >ONI10417 pep chromosome:Prunus_persica_NCBIv2:G4:2194863:2198568:-1 gene:PRUPE_4G046100 transcript:ONI10417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQQYFAHECKSIPGTATDSENSKAGFDCNICLEFAHEPVVTFCGHLYCWPCIYKWLHVQSASLASDECPQCPVCKADISHTTMVPLYGRGQTSPETELEVKAPNRGMAIPPRPSACDTQALISNTSPTGQQLPYRNPYQNQQHSPPHPYGSFGEHSSAPLLNLGSTTMAGIHQHPAVGVFGEMVYARVFGNSESLYAYPNSYHLTGSSSPRLRRQEMQADKSLNRISIFLFCCLLLCLLVF >ONI10416 pep chromosome:Prunus_persica_NCBIv2:G4:2194863:2199836:-1 gene:PRUPE_4G046100 transcript:ONI10416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQQYFAHECKSIPGTATDSENSKAGFDCNICLEFAHEPVVTFCGHLYCWPCIYKWLHVQSASLASDECPQCPVCKADISHTTMVPLYGRGQTSPETELEVKAPNRGMAIPPRPSACDTQALISNTSPTGQQLPYRNPYQNQQHSPPHPYGSFGEHSSAPLLNLGSTTMAGIHQHPAVGVFGEMVYARVFGNSESLYAYPNSYHLTGSSSPRLRRQEMQADKSLNRISIFLFCCLLLCLLVF >ONI11038 pep chromosome:Prunus_persica_NCBIv2:G4:4105415:4106707:1 gene:PRUPE_4G083900 transcript:ONI11038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAKKSAGIPMDPIIMAAESGAGKLAENNIMGAMMSPYEMVRQLGACNAVVVFSMSGCCMCTVAKRLLFSLGVGPSIIELDEHVAGADIQAVLYELAVDGQQPIPAVFVGGKFLGGVQALMGCHINGTLVPLLKDSGALWL >ONI11279 pep chromosome:Prunus_persica_NCBIv2:G4:5002500:5004448:1 gene:PRUPE_4G098500 transcript:ONI11279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRNPPVLLFILSVLSLSIPWTTAGTVSIDGFLQCLTKYPHPAHTIQESIYTPQNSSFQSVLVAHINNRRYSTAATPKPLAIIAAKNESHVQATVLCAKHHGLQIKIRSGGHDFEGLSYTSDVPFVILDMFNINSIDVNVADKSAWVHSGATLGEVYYAIGTKTNVYGFPAGICPTVGAGGHFSGGGYGFLMRKYGLSVDNIVDARLVTANGRILDRKSMGEDLFWAIRGGGGASFGVILSWKLKLVPVPPKVTVFNLTKTIEEGVTDLVYKWQTLAPQLPKDVFLRAQPQVKNIDTKGNKTVGVSFIGHFLGTSDKVVALLNESFPELGLQRKDCYEVSWVESTVFWAESPIGTPIEILLSKPTEPETFYKGKSDYVKEPIPKHVFDSIWKKMIEIEHIWLDWNPYGGRMSEISESATPYPHRAGNLFFALYYSSWYDEGIEATNKYVSLTRELYDMMTPYVSKSPREAFQNYRDLDIGANQDNKTDFETATLYGRKYFKGNFDRLVRVKTMVDPLNFFKHKQSIPPL >ONI13181 pep chromosome:Prunus_persica_NCBIv2:G4:12969870:12971684:1 gene:PRUPE_4G208300 transcript:ONI13181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLSTLPEPLLLIIISLLPFKEAVRTCVLSTSWRHLWRSTANIEFNEHFFANVDARREIQRQVFIDFAQQWIANYQEDNIDKFSLTFSHPRNSQIFVENCLKFSLARHVKHLGLDFSEPTWDLSDPGDNPHPVSFDLPSQVYSHHVLESLTLSSYNFVVSEFKNFRFLKHVSLAWVEFRASTVKALLVNCGLLESLNLKQCWNTNSVDVCGQDLKLTSLVVDRCMCSGYQRISIEASNLKYLRFAGFVAIFDVNSTGGLEEVDLDFGLASMCDEDSGYLLYDLLCEVYPSRALTVCSYTLQAITMGPEPIGMEPRLSVTNLTLKTAMHYNEQKGIKFFLTSCPLLETLTIDIRRGTIFLHEDEAPFHALNPFDVWIENPIVYSCIIRTLREVEIKGFKGTQNEFYLLAYLVLHGRVMQKLTVVTSREINNHGNPAVYRSVAEKLYALRRASQHLQITIL >ONI13493 pep chromosome:Prunus_persica_NCBIv2:G4:14235750:14238644:1 gene:PRUPE_4G225600 transcript:ONI13493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKAFLLLGLVFAVLLISSEVSATPTQESTVNYDDNFLDDHEHGHGHGHDHRHVHGHGHDHRHGRHGHGHNGKGGPGAAETETEN >ONI12256 pep chromosome:Prunus_persica_NCBIv2:G4:8831330:8838442:-1 gene:PRUPE_4G154200 transcript:ONI12256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGDEVSAIVLDLGSHTCKAGYAGEDAPKAVFPSVVGSIDQMEVETETENNSGPAVDPKSNSKSLDSDKAKGKRKLYVGSQALGYRRDHMEVLSPIKDGVIVDWEAVEGIWDHAFRECLLVDPKEHPMLLAEPSSNTQQQRERTAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTTVAPVHDGYVLQKAVASSPIGGEFLTDCLTKSLESKGMTIKPRYSFKRKETRPGEFQVVDVDFPNTTESYKLYSQRVVASDIKECVCRAPDTPYDESAYSNIPTTSYELPDGQTIEIGADRFKIPDILFNPSLAQTIPGVENFAEIAPLRGLPQMVIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNSTERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGASYVQRKCP >ONI12614 pep chromosome:Prunus_persica_NCBIv2:G4:10342254:10344920:1 gene:PRUPE_4G174800 transcript:ONI12614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLLLLLFLLAPCATSLDFSFPTFPSGINTLSLEGDASLDGFLRLTKSAVDDQKNFSVGRATYSKPFLLRDDATGKLADFTTTFTFAIDSEGKTIYGDGLAFFLAPNGSALNTTIGKGGSLGLPTTTPVGNESTNLYPFVAVEFDIFHNLRTSIEDPAGDHVGIDVNSVKSKVTRPWNGSITEGQVNNASIRFDSASKNLNVTFTTYQNGVWVERDLAYMVDLNEILQGWVIVGFSAATGSRTALHKIISWSFNSTSLIDENAKNNTSGNGNNTSGNGINIGLVVGLVVGGCVLLVGGFCLVWFIFWKKGGTGESSDNDEDPIDEEFEKGTGPKKFSYKILAQSTNDFDEGEKLGEGGFGGVYRGFVKDLNSYVAVKRVSSGSRQGLKEYAAEVRIISRLRHRNLVQLIGWCHEKRELLLVYEFMSNGSLDSHLFKGKSLLGWEARYKIAQGLVSGLFYLHEEWEQCVLHRDIKSSNIMLDSNFNAKLGDFGLARLVDHGKQSHTTVLAGTMGYMAPECVTTGTASKETDVYSFGVVALEIACGRKPVDPKLGTSKINMVEWVWELYGQGKVIEAADPKLCRDFDEKQIECLLIVGLWCAHPDYKIRPSTQQIIQVLSLEVAPPILPSKMPVASYISPTVSFSILAGDVTSSKRGQTEPLSYGYNTNSSQFTSSSASNSSPSASLLYTI >ONI13427 pep chromosome:Prunus_persica_NCBIv2:G4:13895254:13898576:-1 gene:PRUPE_4G221200 transcript:ONI13427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQNASLYWFFCEFVVLRLEIAEMAASSSLFSTSFQGVMSFQNTNLCYKEFNCRYSNFIRKQSSHSNSKYLTHSRLFPNERSSEFGQNDKFSQKNGFLCRTLKIENLPSFSVGNKFQLTDVIESQQFDRDLLSAIFEVARDMEKIEKNSPGSQILKGYLMATLFYEPSTRTRLSFESAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAAKRAAATAGIPVINAGDGPGQHPTQALLDVYTIEREIGKLDGIKVALVGDLANGRTVRSLAYLLARYKDVKIYFVSPDVVKMKDDIKDYLTSRKIEWEESSDLMEVASKCDVVYQTRIQRERFGERIDLYEEARGKYIVDSHVLEVMQKHAVVMHPLPRLDEITVDVDGDPRAAYFRQAKNGLYIRMALLKLLLVGW >ONI13883 pep chromosome:Prunus_persica_NCBIv2:G4:16893969:16896561:1 gene:PRUPE_4G252100 transcript:ONI13883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSKIEDKSPAAILAIGTANPANCYYQQDYPNFLFRITNNDHMTDLKDRFKRICEKSKIKKRYLHITEDILKANPNICRYKAPSLDPCQDMLIPEVPKLGKEAALKAIEEWGQPISNITHLIFCTSSCVDMPGADFQLVKLLGLDPSVNRFMIYLQGCFAGGTALRLAKDVAENNPGARVLVVCCEITAMYFQAPTESHVDVLVGQALFADGASALIVGANPDPKINERPVYEIMSTRETIVPYSEHGVVVHVREMGCEYYLSPDVPKLVGANIEELLVKGFSEIDGTNNDWNSLFYCIHPGGPAILDKVEEKLGLNEEKLRATRHVLREYGNMGAPSVLFILDEMRKKSMEEGKATTGEGLEWGVLIGIGPGLTVETVVLRSVRIAAC >ONI10631 pep chromosome:Prunus_persica_NCBIv2:G4:2784795:2787299:1 gene:PRUPE_4G058300 transcript:ONI10631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFRPANSTGTLGRHLARRLVEIGVHDVFSVPGDFNLTLLDHLLAEPGLNLIGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGACSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVNNLEDAHELIDTAISTALKESKPVYISIGCNLSAIPHPTFARDPVPFFLAPKVSNQLGLEAAVEATAAFLNKAVKPVIIGGPKLRVKKAQQAFVELADASGYPIAVMPSGKGLVPEHHPHFIGTYWGAVSSSFCGEIVESADAYVFVGPIFNDYSSVGYSLLIKKEKAIIVEPNRVTIGDGPSFGWVFMADFLSALAEKLKKNSTAVENYHRIFVPPGIPLKSERDEPLRVNVLFKHIQEIITGDSAIIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQGAKDKRVIAFIGDGSFQVTAQDISTMIRCGQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTTKVRTEEDLTEAIAKATGEHKDSLCFIEVFVHKDDTSKELLEWGSRVSAANSRPPNPQ >ONI13972 pep chromosome:Prunus_persica_NCBIv2:G4:17789226:17790714:1 gene:PRUPE_4G255500 transcript:ONI13972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNACRGHVRAVSACRRVRHSSSLKCPYNIVGYKFRPSEEKLFLHYLLPRLNGEDYPKGVVPDCNLYGTKEPWEIWRDFHHSSPDDQEDIYVFTTLNKKTPNGSRFCRTVGAASTGVWKGED >ONI14399 pep chromosome:Prunus_persica_NCBIv2:G4:23483759:23485300:1 gene:PRUPE_4G279100 transcript:ONI14399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALSKLVNLNNPDLVLFVGEALVGNDVVDQLSKFDQLLDEMIDNGFPLTTEPNIMREMIALPNIVNKMLSVVTGNSSNISDTLPEVSCYY >ONI11821 pep chromosome:Prunus_persica_NCBIv2:G4:7028562:7031171:1 gene:PRUPE_4G127900 transcript:ONI11821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKARKKQIWCPQPLTPLMEGPDPEMQEEGGKKESSWEVIREWFRAQKGGLPNPGTNLSTSGYGSGGTIPAKRQDLRLLLGVLGCPLAPIPQANDPIDHHLLHIKDIPFETSIAHYIIQQYLAATGCLKQQKCNKNMYASGSVKMVCCETEVSAGRNVKTLGTRSGESGCFVLWQMLPGMWSLELVVGGNKVVAGSDGKTVWRHTPWLGTHAAKGPQRPLRRLIQGLDPKSTASLFAKAQCLGEKRIGEDDCFVLKVSADRAAVMERSEGPAEVIRHALYGYFCQKSGLLIYLEDSHLTRVEAPENETVYWETTIGSSIADYRDVDGVLIAHQGRSIATVFRCGEVSMEYTRTRMEEAWSIDDVVFNVPGLSMDYFIAPADILDSTLQSP >ONI12770 pep chromosome:Prunus_persica_NCBIv2:G4:10812314:10813151:1 gene:PRUPE_4G182300 transcript:ONI12770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLHYPILQYSPLHSKTLTPSSPLSFQSNPKPQPHLHSNSNSSSHFLAGTKLLIPKRCPNVVAMSKSPNVEEQKFSQEGLITESLPNGMFRIRLDNADTIIGYISGKIRKNFVRILPGDRVKVEVSRYDTTRGRIVYRFKKEDRLKREG >ONI13823 pep chromosome:Prunus_persica_NCBIv2:G4:16495968:16498953:1 gene:PRUPE_4G247800 transcript:ONI13823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPDPSPAAAAAKNNNNNSNNTSKLRKGLWSPEEDDKLMSYMINNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNLSSSNASPNTSDSSSEPKDHHAMLAGGFIPGQEHGMMPLYMDSSPSLMQSTVLNHMFDPLPMLDHAGLTLPGGAGGYYNATQCTTQINGVGGDCCFGDNGVFEVGGGVDIGVEGEIFVPALECVSTEDQNLKTEPIYDHRNTTNNNYYNNINSITNTNYNNNIKVENIGVGNYYDDQEELTMGEWDLEDLMKDVSSASFPFLDYQS >ONI12114 pep chromosome:Prunus_persica_NCBIv2:G4:8351527:8357256:1 gene:PRUPE_4G145300 transcript:ONI12114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLYKAARSGDLGFLRRARDSKVSNSFLDQKTPKDNNILHVAAEFKQIDFFINAPLDDQSPLFWATNKKGNTPLHVAARVGCHEVVKFLIEHAQRTLIDPADQESGPADANAHKELLRKTNSQKDTALHVAVRNEHAGVVTLLVEADPHLCSFTNSANESPLFLAVRNGYANIVLYILNESPIFPSFHGTNGVTALHMAVTRKHLTGRGIVETMVSKKPGIIREVDELGWTPLHYAALGGNLEAARVLLQLDSDASYILDKSGISALHVAAYAGCTKILEEMIGRRPDTCDLLNDKGQTILHAAVLGEQINVVNYILKNPKLAGLINEVDNDGNTPLHLAARQHNRKIITTLTHDRRVDKTAINEEFSQAVDYFLGDNFGEKESINLRDLHVLDHLGRSVGIPFFQQQITSDIKKPESPGSVAHKREKRQAHSDQVPKGRDTILLVATLIASVTFAAGLNVPGGFKSDGTAALQDSKFFVFFITLDVAAFSLAFIAIFIELIGTIIKFQLAIPTSTTLIQHSITWMVMAFFSGTLAVMSESGKVGMCIGVLTNFLFIGIYMYLEKRRKPTAKFKKIHII >ONI13500 pep chromosome:Prunus_persica_NCBIv2:G4:14371893:14373607:1 gene:PRUPE_4G226300 transcript:ONI13500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIACLMQTSPKNPKIISCELEEITKRRGAESQTSPKNPKFVTQSQDKLRKICCTIPKFYKQASSIIFLRKFLYNPTEITFSTQVENINCKPRE >ONI12064 pep chromosome:Prunus_persica_NCBIv2:G4:8153781:8156481:-1 gene:PRUPE_4G142000 transcript:ONI12064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQVEVISKEIIKPSSPTPNPLRHYKLSFLDQLTPSSYTSLVFFYEFDGETQPAINEVSKHLKKSLAKVLTLFYPLAGRVKIDDHFVDCNDEGIAYLEAQVTNCRLCDFLNNPLPDELNKFIPFAQDEHIANEIALGVQLNMFEGGFAIGLCTSHKLADFLCMLMFTKTWAAIARDEVEIQRPQFVSATLFPPKGITGYNWGAGIAAKKVTKRFVFDASTIEDLRAKYTGLQNNEKQPSPVEALSAFMWRRFVGATKDDHADENKLHSLIHSVDLRPLIDPPLSPYSFGNIYGNSLTAPFLSSGDDDDGDQESSYGMVRRVREAISKIDKDFVKRLQHGDEQLSLIGRLAPSASKGEVVTSYHSSLCQFPLYDNDFGWGRPIWVSLPPLPVKDIIVFLDTKEPGGVEAYVSLAKEVMTKFESDTFLRRRVGTGRVGSASSCLIHQPVRFNQVVTFHTRNRASVIKKPTRTKLMKLGSGSICSVCF >ONI13164 pep chromosome:Prunus_persica_NCBIv2:G4:12899208:12900077:-1 gene:PRUPE_4G206800 transcript:ONI13164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLTKRSVKKESFFKILLGDFSKHLHISPVFIKNFNGGSLRKCSLRGPSGKGRAVELEERENGLFFSKGLQGFVKDHHLEVGNFLVFRYDGES >ONI12563 pep chromosome:Prunus_persica_NCBIv2:G4:10182758:10186758:1 gene:PRUPE_4G171900 transcript:ONI12563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFSAFLSFLAFVLLLKQILIVDGISDTTKDDGFMGILGAIVDNSSRIGKEESVAMQIAVEDFFNKNNQRLDLKIRNSQGDPLQAALAARSLINTDQVQAILGPQTWEEVSLVAEIGSKSHIPIMSLADATPVWATELCTFLVQASPNKLKQMEAIAAMVQRWEWHQVTIIYEDKDFSAPAVLSHLSDALQEVGAEISHYLAILPFASSSLSEELQRLKSSQFRVFVVHLSLPVAVELFEKAKIMNMMEKDYVWIIIDPFASLVHSFNASTISSMQGIVGVKSYLPENESHFQDFRYKFRQRFSSEHPEEVNHEPSIFAAEAYDLTWTVALAISKKKQGRQQIISNILQSDVDGLSGKINFTRQTIAPAHTFQIINVIGESYRELGFWSDGRGFSETIGESDTLKSSMNALGQVFWPGGIQGTPKGWSPPTSANPLKIGVPTTATFKQYVEVEQVHLGNNISFSYKGLAIDVFKATLEELPFDLLYNFFPFNGTFDALVEQIHLKNFDAAVGSISILANRYQHAEFTAPYTESGLVMIVPVRSRTREKAWLFVKPFTNAMWILIGATSIYNGFVIWLIERNHCPELKGSISNQVGTLIWLAFSTLFSLNGNKLKSNLSRITMVVWLFMALVITQTYTANLASLLTLPQLEPTVVDVFALQNSNAMVGCAGASYTSKYLEEVLHFRHNNIKNFSRADEYAPALRRREVAALFLDLPLAKVFLAENCKSFTMTGPTYKVGGFGFAFARGSQLLPSVTQAMLKVSENGTVRDLEKNMLASQKCMDMDLEDDRLSLSLNPSYFWVLFLFTGGTSSMALAIYIFRAYNSMSMSEHNVSWKLMMAVMKHWGNQRGRFSRKVSDIAQTTPTNSPNATEY >ONI10258 pep chromosome:Prunus_persica_NCBIv2:G4:1763518:1769234:1 gene:PRUPE_4G037400 transcript:ONI10258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRSKSDSPDPDEPNRVGSDFPDEVLEQVLGMVKSRKDRSSVSLVCKDWYDAERWSRRQVFIGNCYSASPEIVTRRFRSIRSVTLKGKPRFSDFNLVPPNWGSDVQPWLEVFASEYPLLEELRLKRMTVTDESLEFLAVSFPGFKALSLLSCDGFSTDGLAAIATHCKNLTELDIQENAIDDKSGGWLSCFPETFTSLEILNFASLNSDVNFDALEKLVRRCKSFKVLKVNKNVTLEQLQRLLTHAPQLLELGTGSFMQELTACQNSQLERAFSNCNNLHTLSGLWEATALYLPALYPACTNLTFLNLSYSALQSWELAKLLAHCPRIRRLWVLDTVEDKGLEAVGSNCPLIEELRVFPSDPYGDDIIHGVTESGFVAVSYGCRRLRYVLYFCRQMTNAAVATVVKNCPDFTHFRLCIMNPGQPDHISNEPMDEGFGAVVKTCTKLQRLAVSGLLTDRTFEYIGEYAKNLETLSVAFAGKSDWGMQCVLNGCPKLKKLEIRDCPFGNAALLSGFEKYESMRSLWMSACNVTMNACRLLASEMPRLNVEVMKDDGNDDDQADKVYVYRSVAGSRRDAPPFVLTF >ONI09859 pep chromosome:Prunus_persica_NCBIv2:G4:663609:666851:1 gene:PRUPE_4G014300 transcript:ONI09859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLEIEHADMAYWRTEDVLKEEGVGESASEIEVLKLPYDLAMENDWEGMKRYYVDNPRKLNSPMTVDEDTALHIVASCCTSKSQGKQVLEFLINLLPPSYDERCKAVRVPNKLGNNVLHEVAMSGSLEAATFLVSNFNKPAGKTSNEENSTLPLLDIRNELGESPLYRAAALGHPDLVQFFAEKLEENPENLRRHFHRNDRMSILHIAVIGQQFRTALWLQRKYPFLATKREGKGLTSLQLLAQMPTAFTPQFQQSRWKMLIYYCLPARDLEVTTNPKDDVESSLGSNQDPQAISWKKPEGILKVYTTLWDFLAKEMDIIEKIWRDKRIKHALKNLIPLLVEKDYSWQNSKEAKGKTISLGSVENLCKGDNDGGDQQGKDSAEPTNSKKQSIYKYNPLLIATITGIVPIVAEILRQHPQAAEHVSHSEQNILHLAIKHRQREILELLKRKPITISRLNEMIDSDGNTILHQAADRSYYSVAISEKLIGPAMQLQAELRWMMGVKNILPPHYIMHHNNKDQTAEELFNDEHNELLKSAQEWIKDTAQSCSTVAVLVATVVFAAAYAMPGGNEPNGLPVFHDSPLFWLFTCMDVVAIACSLSSVAFFLSILSSPLEYPFFCHGLPRKLMIGFTLLFLSMATTMLAFAATILLVIRIEKKWTKSLLYCIAFFPVPLFGLLQFPMYQKVTKIFLPFFIPFLGFSKRRSICGKSKAN >ONI10770 pep chromosome:Prunus_persica_NCBIv2:G4:3275558:3278231:-1 gene:PRUPE_4G067600 transcript:ONI10770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFRLAGDMTHLASILVLLLKIYATKSCSGVSLKTQELYVLVFLSRYLDLFTNFVSVYNTVMKLVFIGSSLAIVWCMRMHKVVKRSYNKELDTFRHDFLLGGCFVLALLIHEEFTFQEVLWAFSIYLEAVSILPQLVLLQRSGNVDNLTGQYVFLLGAYRALYILNWIYRYFTEPKFGRWIACIAGLVQTALYGDFFYYYFRSWKNNAKLQLPA >ONI13183 pep chromosome:Prunus_persica_NCBIv2:G4:12986081:12992188:1 gene:PRUPE_4G208500 transcript:ONI13183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEAEALEEKLTALLGQLQAESAILERMVYKNKNQHRRGSYFQYLMKVRRDLRLLQSTKLEEILGCCFQSITGKRPKQKVHLLESLKRRKCESGKYNFMERLLGAARLLSQMVEPMLKAATEISTLLARSFFMGFSLTILALLARLRVLVQQILLDVVSVFNMVSSLSQKKQSIKINQGGLEVFREFYPTNEEFIILECVLKSDKFELLESTHKSEIASHDGDLAVGASSVLYQSVESFLGDDENIPQTADANHTIEKVPIHVTEDKIGLLSGLSNDGTDGKLVEHCEDGSNIAETPSNKLSEEGCLLPGSSSPSSSDASKLRSGPVKVAFVQVKRPLPSTTNTTEIHFKETDIHSHNEEEDPLFSLLCSGNVKNSLF >ONI13842 pep chromosome:Prunus_persica_NCBIv2:G4:16656643:16659907:1 gene:PRUPE_4G249200 transcript:ONI13842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVIQNPMSTSLFERTHELGIYPSLLRFFSPLPPLDVEAIRSIPLSLRRTANTLIWHLDKRGSFSVKSAYKLAFAEHGIRATASTSSGNGFRAGAELETTIHLLQDCSFAHGVWTSSSLGKIAFSSVQVRQGSGPKLHAVAPKWTKSQPGWVADTFEGGIRVVAHDSEGAFVAGNALHVIDIYSVIQVEAIASREGAVMAVERGFTKYVIKSYSLHIVTALRTTTTDRYVIGPIVEDTKSLLAPITGEVTTHIRCTANTVVDRLARFGRNIGADITWFEEPPDFIIDLLFENCN >ONI12515 pep chromosome:Prunus_persica_NCBIv2:G4:10034365:10036627:1 gene:PRUPE_4G169900 transcript:ONI12515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQPYNLMAHGFLLFLLFSCIIPTNIHACKQTERSSLLSFASTLSSPPLNWTSIDCCRWKGITCNQDGWVTHLLLPSKGLKGGIPSSSLGNLTHLTHLNFSHNSLYGSLDTQFFMSLNRLEFLDLSYNLLSGELPLSLPSSNIRTVDLSSNHFRGAIPPSFFQQASSLTSFNVSNNTFTGYLPSSICLKHSSPFLRLLDFSSNLFNGDLTPGLGKCSKLQVFHAGHNNIIGLLPEDIYNATKLEEIALPFNSLHGAISDKIVNLTNLAILDLSFNHFGGKLPLNLGKLSKLKFVTFNSNNLKGNLPPSLMNCTNLVELRLGNNNLEGDISMLDFSRLSQLTKLDLRINNFTGTVPVSLYSCRSLKAIGLTRNHLEGQIQAEILSLKSLSFLTLGYNRLSNLTRAMKILMGCKSLQSLSLAGSYEGEGMPSDDDMVDFDGFQNLRVLNLADSDLNGQIPVWLSKLKNLEMLTLDGNQITGSIPSWLGTLPRLFYISLRDNRISGEFPKQLCRLPRLLYEPNIPSQADQYEFELPIYAFSSITANQIFPTHKLNFYPATIDLSKNNIVGDIPTEIGQLQLLQELYLDSNNFSSVIQTKIPLSLASLNFLKEFNVSCNNLEGPIPTGTQLQSFNTSAFEGNPKLCGAPLPNKCGPNKDMDVDNKNNKGVENGLHQLLWFYIFATLGFIVGFWGVCGSLVINKTWRYVYFRFIDNLQDRLYMMITVPLNRMKRRLKG >ONI10813 pep chromosome:Prunus_persica_NCBIv2:G4:3382833:3392159:1 gene:PRUPE_4G069500 transcript:ONI10813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVNCIAPKTIMNLIMLISVLCLCTKSVEVEAQASRLPAAEVEALKEIATQVGKKDWNFSIDPCSKDTNWNTPESADSLYSNILICSCTYPDGFCHVVSISLQGQDLAGVVPPSAAKLPYLTTVRFTRNYLNGTIPREWASTKLKYLSVDVNNLSGPIPGYLGNISTLTHISLKNNYFSGTVPPELGKLVNLNNLILSANNLTGKLPLALTNLTKLTELTISSNNFTGGIPDFIQSWKQLQKLEIQASGLQGPIPSSISALSNLTELRISDINGPGSEFPPLSSMTGMEKLMLRSCNLSGRIPAYISAMTSLKILDLSFNRLEGDIPDLAALKNLEYLYLTSNLLTGSIPNWINSREYQIDVSYNNFYPSSEPACGRETLNFFKSFSARDNSTYRSFGECLSSYPCPKDRYSLHINCGGKATTIGGINFEGDPDLGGAAKFVPVRPIWGISTTGHFWDANPTSNDYIANNASTLGMNNSELYTSARLSPLSLTYYARCFGNGNYTVRLHFSEIIIRGNRSFYSLGRRMFDVYIQEKLVWKDFDIEKEAQGVDKEVIKDFKAVEVKNKTLEIRFHWSGKGTTTAPKKGTYGPLISAISLESEFTPPHDKKSKVPIVVGASVGASVLCLIFLILGILWWKGSLDSKTSREKALRELDLQTGFFTFKQIKAATNNFDPINKIGEGGFGSVYKGLLLDGTIIAVKQLSSKSKQGNREFVNEIGMISGLRHPNLVRLYGCCVESNQLLLVYEYMENNSLARALFVPEESPLKLDWSTRQKICIGIARGLAFLHEESALKIVHRDIKTTNILLDRDLNSKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYALWGYLTYKADVYSFGVVALEIVAGKNNMKYRPNENFVCLVDWALVLQQKGELLELVDPRLGSDVSEEEAIRMIKVALLCINAAPALRPTMSAVVSMLEGRTAVHELVTDPSTYGDELRLTALTNQFDHSSSQNPSETQSLIPSSSDAPRIGSSATTTSSDLYKINPSTSSC >ONI13813 pep chromosome:Prunus_persica_NCBIv2:G4:16376874:16378303:-1 gene:PRUPE_4G246800 transcript:ONI13813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCFLFHMYISCVSLRIEREGKGCLIVVNKWDTIPNKNQHTATYYEQDVREKLRVLGWAPIVYSTAIAVQSVDKYGE >ONI13296 pep chromosome:Prunus_persica_NCBIv2:G4:13364106:13367510:1 gene:PRUPE_4G214000 transcript:ONI13296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADLNRYEWAVNIQRDSYASYVGHYPILAYFALAENESIGRERYNFMQKMLLPCGPPPEREDD >ONI12384 pep chromosome:Prunus_persica_NCBIv2:G4:9212119:9213694:1 gene:PRUPE_4G160600 transcript:ONI12384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALRWESKEEEEPLASLREDPLSRDEEMTHHFQLSLRDFYFYKPHEYLKKRKAIGDMHPNRKCNHIQC >ONI12822 pep chromosome:Prunus_persica_NCBIv2:G4:11006408:11008051:1 gene:PRUPE_4G185400 transcript:ONI12822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFHKACAHPPEELNSPASHNGSKKPKLPEETLTEFLNHHPHNSFSMGFGRAAVLAYVKPDQPFYSQHQRLFCGFDDIYCLFLGSLNNLCLLNKQYGLTKNTNEAMFVIEAYRTLRDRGPYPADQVVKDLDGSFAFVVYDSKGGNVFAALGSDGGVQLYWGIAADGSVVISDELEVIKEGCAKSFAPFPKGCLFHSEGGLMSFEHPMNKMKPMPRIDSEGAMCGATFNVDKYTRVNSIPRVGSEANWTEWASH >ONI13021 pep chromosome:Prunus_persica_NCBIv2:G4:12134771:12137039:1 gene:PRUPE_4G198000 transcript:ONI13021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPVAAQVAQSAEPEIVRQTANYHPSIWGDRFLNYDEKSIQITYDHMQQQVDQLKVTVRKEVFTTSAGDFSHQLKLIDAVQRLGVAYHFEREIEEALQRVHVTYHDYDGGDLYAVALGFRLLRQHGFNVSCDIFNKFKDKNGNFKESLTADVPGMLSFYEAAHLRKHGEDILEEALVFTTTHLESAETTEARNPLALQITQALERPLRKGLERVCARGYMSIYQDDASHSEAILKLAKLDFNIVQSLHKKELSEIARWDINCMDELPDYMQVFYRTLLNVYDEIEEEMVKEGRSYRVYYAKEAVKAQARNYFAEAQWLHKDYIPSMEEYMSVATACVGNTLLSITSLVGMGDIVTKEAFEWLLNDPRILRASNIIFRLMDDLSGYEFEKEREHVASSIECYMKQYGVPEQEVLDIFNKQVKDLWKDINEEFLRPTDVPMPVLMRVLNLTRVVDLLYKGEDGYTHVGKVMKDSVASLFIEPVPL >ONI13790 pep chromosome:Prunus_persica_NCBIv2:G4:16267179:16270105:1 gene:PRUPE_4G245200 transcript:ONI13790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSLQPRHLPLVYAVAFCLIAVSVAADYRKPYIYASPPPPSQKTQPHYHNYPPFKLPSVPEHSGHYPPYPYKAPPSPYVYKSPPPPPYLYKSPPPPSPSPPPPYVYKSPPQPSPSPPPPVFRMNLAVALGNGERGEGSVGGGHWGIGEKKSGLGWSPPPPSPSPPPPYIYKSHPPPSPSPPPPYIYKSPPPPSPSPPPPYLYKSPPPPSPSPAHTYHYNSPPPPLKSPPPPQYYYETAPPPKHH >ONI11256 pep chromosome:Prunus_persica_NCBIv2:G4:4833057:4834666:-1 gene:PRUPE_4G096200 transcript:ONI11256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIGVEAKMAHLLTYAIVSLFFSVSSAVVDLVPEHNFVTCVANQSPQYSATISKLVYSPHSPSFSFIFQSSIQNFRFNSTKFHKLQYIITPYSADHVKAAIICSKVHGLQVRIRSGGHDYEGLSYNSYVPFIIIDLVNLNNVRVDSNNGTAWVQAGATVGELYYHVANQSKGHGFPAGVCPSMGAGGHISGGGQGTLMRKFGLAADNVVDAIVITADGNILDRQGMGEDFFWAIRGGGGGNFGVVVEWKINLVPVPPKVTVFNIPRTLEQNATKLIHRWQQIAHKFHEDLFIRIIIFVGKDSKGGKTIQANFNSLFLGTSDQLVPLMAKSFPELGLKPEDCKEISWIQSTIYSNGENPGQPLEVLLDRNHVQKGFFKGKSDFVTEPISETNLEAIWKVMQEGEAGVMVWDPYGGKMCEISESSIPFPHRAGVLHNIQYFSKWQEGGYLAEQRHLKTAYVNYRDLDIGRNIHGIFSEAKIWGEKYFKGNFDQLAHIKGMVDYGNFFKNEQSIAPNFYL >ONI12369 pep chromosome:Prunus_persica_NCBIv2:G4:9151272:9152571:-1 gene:PRUPE_4G159700 transcript:ONI12369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSMPGLIVMPKMNMVQSIPSCKSYQHQPMIMLHPHHDRMHARNISHSIICGAKRPGGISTGSGGQINGTKGLREQNVSDLTSSKTDQNDGKKSKEDVTATPKKTGSTN >ONI13145 pep chromosome:Prunus_persica_NCBIv2:G4:12815777:12817225:1 gene:PRUPE_4G205500 transcript:ONI13145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPILRFNHKSNVVFSVMVLLCVTLLIQKSGAAEFAISWSAPNASAPHFDQWAENNRFQVGDSIVFNYSPGQDSVLRVNQDDYANCNTDAPSAKYTDGHTAIKFDQSGPFYFISGNKDNCLKNEKVVVIVLADRTNRNATAPSPSNSTDITPSPAPAGEASPPSPDTGVVENPTPAPESPPPPSGASPVFMSLLGSIGAFAASSSLLLL >ONI14107 pep chromosome:Prunus_persica_NCBIv2:G4:19090388:19094035:-1 gene:PRUPE_4G262400 transcript:ONI14107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVELNLLLLKFLFLFSTLYALFLSSLKTFSLILASNFLGMKPLSQLNLRTVVEFALVSPVPQWHECLICLNPRGHFTKFHLA >ONI11613 pep chromosome:Prunus_persica_NCBIv2:G4:6287927:6288469:-1 gene:PRUPE_4G116300 transcript:ONI11613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTPPPIPPIPPIPSPTSIRTLCDQDPHHDQLQPPNHLNSMVILAAIFCAFVCALGLNSMLQCVFQCANRALTEPVQWVASRRLNSGLKKEEMVALPTSTYSADLNTSPSSSSSSSSGCAICLVDFSDGDKIRVLPKCNHWFHVACIDKWLLRHSSCPTCRHRLKSSGSMPSLAEIVTT >ONI12973 pep chromosome:Prunus_persica_NCBIv2:G4:11871220:11874969:1 gene:PRUPE_4G195000 transcript:ONI12973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCFLLISTNLLFLFFTISSAVDIISPSQSISDNTTLVSSDGSFELGFFSPGSSTNRYLGIWYKNMNIPGRTVVWVANRCNPINDSSGMLMINSTGNLVLFGQNKSVVWSTSSVKRVENAMVQLLDSGNLVVRDVKDGISGPYLWQSFDYPSDTLLPGMKLGWDLRTGLKRHISAWKNSEDPCPGNFTYGIEMELQAYPEAYIRNGTAKIYRASPFNGLTFCGSSEKHPARYGFNFVYNDDEVYYMYKPTIKSITSRIVLNQTTSSCIRFHWKKEDEAWTAHLSRPRDVCDHYGFCGANGNCIGENPVCQCLKGFKPKSQGKWNLADWSLGCVRNKPLSCQKTDKDGFLKFVGLKLPDTTHSWVNKSMNLKECRAKCLNNCSCMAYRSSDIRGGTGCAIWFGDLIDTTQALTSGQEIYIRMSASELEIRDRNQDNEGAPNEDLELPLFELATVISATDNFSSNNKLGEGGFGPVYKGTLAGGQEIAVKRLSRSSGQGMNEFMNEVILIAKLQHRNLVKLLGCCVQGDEKMLIYEYMPNGSLDSFIFDQTSGELLLDWPKRYHIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARTLTGGNQTGGNTNRVVGTYGYMAPEYVIDGLFSVKSDVFSFGVLVLEVISGRKNKGFYHPTSPNLIGHAWRLWNEGRHLELIDTYLGSVSTLSEMSRCIHVSLLCVQHHPEDRPSMASVVIMLGSEMALAQPKQPGFFMERESQEAGHSSENQSSSANELSITVLEAR >ONI12972 pep chromosome:Prunus_persica_NCBIv2:G4:11871220:11874969:1 gene:PRUPE_4G195000 transcript:ONI12972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCFLLISTNLLFLFFTISSAVDIISPSQSISDNTTLVSSDGSFELGFFSPGSSTNRYLGIWYKNMNIPGRTVVWVANRCNPINDSSGMLMINSTGNLVLFGQNKSVVWSTSSVKRVENAMVQLLDSGNLVVRDVKDGISGPYLWQSFDYPSDTLLPGMKLGWDLRTGLKRHISAWKNSEDPCPGNFTYGIEMELQAYPEAYIRNGTAKIYRASPFNGLTFCGSSEKHPARYGFNFVYNDDEVYYMYKPTIKSITSRIVLNQTTSSCIRFHWKKEDEAWTAHLSRPRDVCDHYGFCGANGNCIGENPVCQCLKGFKPKSQGKWNLADWSLGCVRNKPLSCQKTDKDGFLKFVGLKLPDTTHSWVNKSMNLKECRAKCLNNCSCMAYRSSDIRGGTGCAIWFGDLIDTTQALTSGQEIYIRMSASELEENDSKLKTALIVVAVIAVVFSGVLLVAYYIHRRRKKLKEIRDRNQDNEGAPNEDLELPLFELATVISATDNFSSNNKLGEGGFGPVYKGTLAGGQEIAVKRLSRSSGQGMNEFMNEVILIAKLQHRNLVKLLGCCVQGDEKMLIYEYMPNGSLDSFIFDQTSGELLLDWPKRYHIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARTLTGGNQTGGNTNRVVGTYGYMAPEYVIDGLFSVKSDVFSFGVLVLEVISGRKNKGFYHPTSPNLIGHAWRLWNEGRHLELIDTYLGSVSTLSEMSRCIHVSLLCVQHHPEDRPSMASVVIMLGSEMALAQPKQPGFFMERESQEAGHSSENQSSSANELSITVLEAR >ONI12974 pep chromosome:Prunus_persica_NCBIv2:G4:11871402:11874298:1 gene:PRUPE_4G195000 transcript:ONI12974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCFLLISTNLLFLFFTISSAVDIISPSQSISDNTTLVSSDGSFELGFFSPGSSTNRYLGIWYKNMNIPGRTVVWVANRCNPINDSSGMLMINSTGNLVLFGQNKSVVWSTSSVKRVENAMVQLLDSGNLVVRDVKDGISGPYLWQSFDYPSDTLLPGMKLGWDLRTGLKRHISAWKNSEDPCPGNFTYGIEMELQAYPEAYIRNGTAKIYRASPFNGLTFCGSSEKHPARYGFNFVYNDDEVYYMYKPTIKSITSRIVLNQTTSSCIRFHWKKEDEAWTAHLSRPRDVCDHYGFCGANGNCIGENPVCQCLKGFKPKSQGKWNLADWSLGCVRNKPLSCQKTDKDGFLKFVGLKLPDTTHSWVNKSMNLKECRAKCLNNCSCMAYRSSDIRGGTGCAIWFGDLIDTTQALTSGQEIYIRMSASELEENDSKLKTALIVVAVIAVVFSGVLLVAYYIHRRRKKLKEIRDRNQDNEGAPNEDLELPLFELATVISATDNFSSNNKLGEGGFGPVYKGTLAGGQEIAVKRLSRSSGQGMNEFMNEVILIAKLQHRNLVKLLGCCVQGDEKMLIYEYMPNGSLDSFIFDQTSGELLLDWPKRYHIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARTLTGGNQTGGNTNRVVGTYGYMAPEYVIDGLFSVKSDVFSFGVLVLEVISGRKNKGFYHPTSPNLIGHASINSKLSSCCTYAPNRW >ONI10293 pep chromosome:Prunus_persica_NCBIv2:G4:1835289:1835489:-1 gene:PRUPE_4G039200 transcript:ONI10293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGATATAGRAMMNGGDLSRRLSGRPIPKRGQVKMGIVVGLANSVASIFGPHRRRPSMHSQSRNNS >ONI10926 pep chromosome:Prunus_persica_NCBIv2:G4:3718157:3725485:1 gene:PRUPE_4G076100 transcript:ONI10926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLTTWFVSQRISISGSSSNSKRSNSNSRFSFHYSPASSCKLRHRNLSCNCVNKRQKLKKKDAVEQSSATTDFQFNSDDDSESESASVGIVPVLNPESVSDDEAHANNANDSISNALAPSDQTNPSAYNTQDLVGMIRNAEKNIHLLNRARVNALQDLDKILGEKEALQGEMNALEMKLAETDARIRVAAQEKIKVELLGDQLDKMQNELRLNGGGAERGEVVEIFENENELFNEEAPLPYRNSINALMANLNSLRLENVSLKNDVEELREELSNVKNTDERVVMLEKQRSSLESALKELESKLSVSQEDVSKLSNLKVECKGLWDKVENLQLLLDKATKQADQAIIVLQQNQEIRKKVDKLEESLEEANVYKQSSEKMQQYNELMQQKIKLMEERLQKSDEEIHSYVQLYQESVEEFQDTLNTLKEESKRRALDEPVDDMPWEFWSRLLLIIDGWLFENKISIDDAKVLREMVWKRDRRIHDSYMACKEKNVHEAVSTFLRLTSSQTSPGLHVVHIAAEMAPVAKVGGLGDVVAGLGKALQKKGHLVEIVIPKYDCMQYDFVRDLRALDVVLESYFDGRLFKSKVWVGTVEGLPVYFIEPLHPDRFFWRGQFYGERDDFKRFSFFSRAALELLLQSGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGTAPASELGSCGLDVNQLNRPDRMQDNSSHDRINAVKGAVVFSNIVTTVSPTYAQEVRTAEGGHGLHSTLNFHSKKFIGILNGIDADAWNPATDAHLKVQYNANDLQGKAENKEDIRRNLGLSSADVRRPLVGCITRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVHHIQREFEGIANHFENHDHIRLILKYDDSLSHSIFAASDMFIIPSIFEPCGLTQMIAMRYGSIPIVRKTGGLNDSVFDVDDDTIPVQFRNGYSFLSADERGVNGALERAFDLYTRKPDSWQQLVEKVMNMDFSWDSSASQYEELYSKSVARARAAARTQAI >ONI11322 pep chromosome:Prunus_persica_NCBIv2:G4:5190308:5192095:-1 gene:PRUPE_4G101600 transcript:ONI11322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVSFRHPFRYKKQNELFVAAEGIYTGQFIYCGKKANLVVGNVLPLRSIPEGAVVCNVEHHVGDRGTLARASGDYAVVISHNPDNDTSRIKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATASKADKA >ONI10163 pep chromosome:Prunus_persica_NCBIv2:G4:1467905:1471535:-1 gene:PRUPE_4G031600 transcript:ONI10163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMNFTKGLIVTALVLFVLLIRCCNSIDSITTDQAIRDGEVLVSNGEVFELGFFSPGKSTNRYVGIWYKRDKEKTVVWVANRDDPINETSGVLSIGAHDLILYARNQSNITFWSTKSNVSISSSSTPDPKYKAQLLDTGNLVLVERESQKVTWQSFDYLTHTVLPFMKLGINKRTGFNWFLTSWKSEDDPGTGSYTYKIDPNGAPQMVLYKDNVRYWRTGRWWWSDIPVVLTTSFSNSSFLNNQDEVTVTWGLLNSSISTRIVVDYSGTIQQFNWYEQDLGWNQIWSGPMDRCDYYGRCGAFGYCDSDSISVFECKCLPGFQPKLPDEWNMRNASSGCVRRLESCKNGEGFIKLVKVKVPDTSWARVDMNLSSKACEQQCLRDCSCLAYTISSNAPIIGCMTWYGKLVDTKNFADGDQDLYLRVDALELAEYTKKSKGFLAKKGRLPILVVSIAMISFFICFGCWCAKRKRKKYPWERKNDDDSRTHQDLTLFNLKSIVAATNNFSAANKLGEGGFGPVYKGLLANGQEVAVKRLSKNSGQGLEQFKNEVMLIAKLQHRNLVRLFGCCIHTKEKMLIYEYLTNKSLDFFIFDKKRSSLLDWKTRFEIIFGIARGVLYLHQDSRLKIIHRDLKASNVLLDSTMNPKISDFGMAKMFGEDQMQAKTNRVVGTYGYMSPEYAMEGRYSEKSDVFSFGVLLLEIISGKRNTSYDNQTPSPNLIGQIWDMWTEEQALGMVDPSLGESYPAHEVSRCIQIGLLCVQESASDRPTMSEVIFMLGNETTLPSPKKPAFILQSSNPNSIASKGSTPSLNDVTITMLEAR >ONI10132 pep chromosome:Prunus_persica_NCBIv2:G4:1365077:1366413:1 gene:PRUPE_4G029300 transcript:ONI10132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAIASMSGLHGSSQAVLEGSLHLSGSSRLNIASSTNRLAVTKSGFAIRAQQAPAEPETSRRAMLGLVAAGLASGSFVQAVLAEAKPIKVGPPPPPSGGLPGTLNSDEARDLDLPLKERFFIQPLTPAQAAQRAKESAKEIVAVKEYIDKKAWPYVQNDLRLRASYLRYDLKTVISAKSKTEKEPLKELTGKLFKDIDNLDHAAKIKSTPEAEKYYAATVSSLNDVIAKLG >ONI10856 pep chromosome:Prunus_persica_NCBIv2:G4:3536606:3538975:1 gene:PRUPE_4G072300 transcript:ONI10856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTGLSSVYLGFSTAAGIAGNIFAFVLFVSPLPTFKRIIRNKSTEQFSGLPYIYAFLNCLICLWYGMPVVKTGIILVATVNSFGAVFQLVYLSIFITYAERATKLRMLGLLGAVAVVFAFVVFVSLGVLEYDDRQTFVGYLSVASLISMFASPLFIIKLVIKTRSVEFMPFNLSFATFLMSLSFSAYGIFKEDPFLYIPNGIGTILGLVQLALYSYYSKISGEDSREPLIVSYV >ONI11864 pep chromosome:Prunus_persica_NCBIv2:G4:7217912:7222217:-1 gene:PRUPE_4G130600 transcript:ONI11864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIFVLILIFWIGFGHGQRTFNVLDYGATGDGKTDDSKAFLKAWGELCGAADEPNGMPTLVIPEMKAFLLQPIKFRGPCNSDGVHVQIMGKLVAPKMLDGWTECESDSDWLQFKDVGNLVVDGSGEIDGQGSSWWTTPNQYDRLSRKLMMNKQNCKAPDALHFHGCDNLKLSGLTHLNSARAHIAISGCNNVYVSHLTITAPEDSPNTDGIDISNSRNVFIQHSAIGTGDDCIAINGGCSDLNIANIACGPGHGISVGSLGANGALETVQNVYVRDSSFSGTTNGARIKTWQGGSGYAKNITFEKITLDAAKNPIIIDQFYCNNDHNCKSQASALLVEDVKYIDFEGTSANEEAIKLDCDQNSGCRNIIMDRIKITSAVPDKKIYASCNNAIGTSIGTIVPNVPCLKSGTEPTTSPPAMPLPTVPLPATPPPSPPLPVMPPPSPPVPVMPPPVPVMPPPSLPVPVMPPPSPPVPIMPPPVPVMPPPSPPVPVIPPPSPPVPVIPPPSPPELVMPPPSPPLPAAPPLVLILPVTPPPAPPLPDTPPPLPATPHPSPLLPATPPQSLPLPATSPQSPPLPATPPQSPPLPATPPQSPPLPATPPSSPPLPATPPPLQVMPPPLLNRPRPTLPLPTTPPAVPLLTPLAPLVPTPPPPTPPPIPQMSFTGEPGVPDIYFTPGASSATKLHKKHYIWCQLLIVYVLTRLLFG >ONI13869 pep chromosome:Prunus_persica_NCBIv2:G4:16766786:16767510:-1 gene:PRUPE_4G250700 transcript:ONI13869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMTMAASFLTGSASTITKPSTARRSLIVAKASSAPEGEKGSLEMKKSSSSSSSGRRDLMFAAAAAAACSIAKAAMADEPKRGSKEAKEKYAPVCVTMPTARICRN >ONI13579 pep chromosome:Prunus_persica_NCBIv2:G4:14848230:14851022:1 gene:PRUPE_4G231700 transcript:ONI13579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQSVVSPFKGSVVAVPEKQKSNFFIRTAGPSSNGTDANRKEAMVCNSENSIGVLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPENTVSTKTINGGGRNPVFNDNVQLNVRTVDSSLKCEIWMLSRVKNYLEDQLLGFALVPLSEVLVKNGKLEKEFSLSSTDLFHSPAGFVQLSLSYAGDSPDVMPLASNPIVQDSEIAELPCELDKLEFPDPNIDNEDQRMVSEYIKIPSSEFESHSSESLVTAETENQLSSEVGVHAIESFSTTAFESIQVPKLDSPPSSVSTNGASSPSVQASSESCDAPSASESPNQEQISAPGEKKVDKDGETDSSNGVPSDAFAKPTVTVTVPEQQVVQQDFVDMYMKSMQQFTESLAKMKLPLDLESPTSSGNSSTDQKMQTPKNSGSRVFYGSRAFF >ONI13580 pep chromosome:Prunus_persica_NCBIv2:G4:14848143:14851029:1 gene:PRUPE_4G231700 transcript:ONI13580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQSVVSPFKGSVVAVPEKQKSNFFIRTAGPSSNGTDANRKEAMVCNSENSIGVLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPENTVSTKTINGGGRNPVFNDNVQLNVRTVDSSLKCEIWMLSRVKNYLEDQLLGFALVPLSEVLVKNGKLEKEFSLSSTDLFHSPAGFVQLSLSYAGDSPDVMPLASNPIVQDSEIAELPCELDKLEFPDPNIDNEDQRMVSEYIKIPSSEFESHSSESLVTAETENQLSSEVGVHAIESFSTTAFESIQVPKLDSPPSSVSTNGASSPSVQASSESCDAPSASESPNQEQISAPGEKKVDKDGETDSSNGVPSDAFAKPTVTVTVPEQQVVQQDFVDMYMKSMQQFTESLAKMKLPLDLESPTSSGNSSTDQKMQTPKNSGSRVFYGSRAFF >ONI09837 pep chromosome:Prunus_persica_NCBIv2:G4:595584:598721:-1 gene:PRUPE_4G012600 transcript:ONI09837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCFHALGLRKSKSKSLPNPSSSRPQFNSDMDSMERRRFDSLDSWSMILESENVETWEVSKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTQREETRTLLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDDMRVKVADFGTSCLETQCRETKGNKGTYRWMAPEMIKEKPCTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPMPASCQPALAHLIKRCWAANPSKRPDFSDIVSALEKYDECVKEGLPLTHHSGLVSRNVIIERLKGCVSMSSSSVPVQA >ONI11608 pep chromosome:Prunus_persica_NCBIv2:G4:6273009:6274145:1 gene:PRUPE_4G116000 transcript:ONI11608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSTEWGQYYQQAFMDNGQATPTNGGFSDATIVTTSTTLVTESNGSPSSSHTGGGGQLTPTRSVSKPIRRRSRVSKKTPITLLNANANNFRALVQQFTGCATSSSPISFGNQRGPINLSFGSNNNVTSSVMAPFGNHNYSYQYQQQLQRVVQPPPQVQQHQQRRQPLLQLQENQQVHQVQPIDNNISGSHELFQYSLGGGGYNPIPNLETLDEFSMDQEDIALHDFSIDL >ONI13887 pep chromosome:Prunus_persica_NCBIv2:G4:17005093:17007536:-1 gene:PRUPE_4G252500 transcript:ONI13887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLGSEMDAFPFLSSIFLWHAQIQKSTASSPKSSSLHGSTARFWSLQPLNH >ONI11489 pep chromosome:Prunus_persica_NCBIv2:G4:5696419:5697727:1 gene:PRUPE_4G108700 transcript:ONI11489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKSKSKRKTPRQQGPPTTSPNPTPSEFSFAIARIAASQISQSVGFKATKPAAVETLAHIAIKYIEAIASASASYAAMANRTNTNVFDFTNALHDLQSVQGFKGASFLHENGFCVLGSSVLTDLAKFVSWNEETPFARPIPRAEKLDTEKRIPFPVETDSGRDLHVPRWLPAFPDVKKTVAKRRNGEELWENMVAGNGGGGVMNESESERVSESGNWNGNGGNEKGFCLELGEEKKMGRVRFRIGLGVEVEEKGVAGLGLNLRSGVCRGGKRVCWNNNSKIGYNFDDDDKR >ONI10733 pep chromosome:Prunus_persica_NCBIv2:G4:3100212:3102608:-1 gene:PRUPE_4G064500 transcript:ONI10733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGVPTGPIRGVGPLPSKLWQCTQLLFLTLEENNFSGSIPRKIGNLTQLREIYLGVNNLTGIIPNEMGDLQNLELLSIEQNNLNGLIPSSIFNISKLRALSLTLNKLSGSLPANIGLGVPNLQLLYIGATDVSGVIPNLSNASKLTRISMSYNSFTGFIPRTLCALINLQWLGLYMNNLTIDTSTLSCLANLQNLKALDLGNNPLNVRLDDSFRNFSLTSSLQYIALPNCIMRGNIPIGLGNLSSLISLDLENNQLSGSIPTSLGRLGNLQGMNLNYNKLQGYIPYQLCQLYNLVNLQLASNQLSGSIPSCLGNLAASLRYLSLGSNLLSSTIPSTFWRLAYILQVNLSSNSLIGPLSQDIGNLKVVVEVDLSNNNLSGVIPSTIGGLQDLVNLSLANNNLEGPIPSSFQDLLSLQLLNLSRNNLSGVIPKSLEALSLLKYLDLSFNRLQGEIPTGGPFQNFSAQSFVSNSAFCGAPRFHVPPCKNTTLEPNWKKAKYIIPGIISVILLVASISIFVLRRKRNVQVAGEATSLPQLLWKRISHLELLKATNGFNENNLLGSGGFGSVYKGTLSDGIDVAVKVFSLQLEGDFKSFDRECQMLSNIRHRNLIKIISCCSELDFKALVLNYMPNGSLDKWLYSQNYSLNILQRLNIMIDVAVAVEYLHYGYSIPIVHCDMKPSNILLDDDMVAH >ONI11564 pep chromosome:Prunus_persica_NCBIv2:G4:6034385:6034819:-1 gene:PRUPE_4G113600 transcript:ONI11564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVIPLEGSDDSDDSNPIETIQAIKNATKKGKMRAPEQLNEKQQDKKGRKIGGVEKLAGQIDHIVGVVESRSTATSLMMKMQLGSSIPEVMEVVSSLPECEPTSTLWMFATRLFFNQEMREIFSTMKTPNVKFAWLTYEFNNQ >ONI13487 pep chromosome:Prunus_persica_NCBIv2:G4:14163701:14166350:-1 gene:PRUPE_4G225100 transcript:ONI13487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLVTTLIFLVIGIIASLCTRICCNRGPSTNLFHLTLVITATICCWMMWAIVYLAQMKPLIVPILNEGE >ONI10178 pep chromosome:Prunus_persica_NCBIv2:G4:1509097:1513481:-1 gene:PRUPE_4G032500 transcript:ONI10178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGKEGFSALNFSIFILLLFSLLPLQCCSQVHDITPSRPLTEGQTIVSPGNIFELGFFSPNNSAANKYVGIWYQNILPRKVVWVANREKPLAVADTVASLRISSNGTLELVDGKQNSVWSNNVSVPSNSSAAALLLDDGNFVVKVNAGAADHLWESFDYPSDTLLPSMLLGFDSKSGKRNFLSAWKSESDPSTGIFFAGLTLEVPSQLVVWINGSTLNWRSGPWDKSKFIGVPEMDDQYRSGFYLDENAQLGTKYFSFSLFEKTVAAYMDISSEGVLKLVYSKHGENWNTFWEAPKSPCDNYGACGPFGACKASESPICKCLKGFVPKSLEEWSKGNRTGGCVRKTKLFCESNTNQSVASRGNDDGFWKMSSVKQPDFHEYISSLSAESCRRQCLDNCSCLAYTYVNNIGCLVWSKDIIDVEEFSSAGQDLFIRLRTESGEGKRTRIIVSLTVAICFMAVLVAIVFILHRLRAKQTGNIIVTRKFIDTIGNSSATLQEYIRQHDRSELLIYNFDNILLATNNFSITNKLGEGGFGPVYKGKLQEGKEIAVKRLSSSSGQGIEEFKNEMLLISKLQHKNLVRIMGCSVQDDEKLLIYEFMPNGSLDTLLFNSMTGGAVLDWGRRFKIILGVARGLLYLHHDSCLKVIHRDLKVSNILLDENMNPKISDFGLARIVQGTQSLANTHKVVGTIGYMSPEYAMGGMFSEKSDVYSFGVLLLEIISGKKNTSFYCSDQQLGFLAYTWHSWNAGRGLELVDEVLANSYSPSEVMRCVHIGLLCVQDNAVDRPTMPDVVSMLSTETDRPQPHRPIFTVQKSVSDPQPQYDNIYSGNEATITVIQGR >ONI12370 pep chromosome:Prunus_persica_NCBIv2:G4:9153770:9154502:-1 gene:PRUPE_4G159800 transcript:ONI12370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVNSFIMPKTMLQMPINSKPCSLHNKIVIIRCAAARRKITEGPPSQINQVLSVTSRSLGTEKVSLRSSSLTGEDGKTKEGNAIVDEVNNTTKTADDATD >ONI14447 pep chromosome:Prunus_persica_NCBIv2:G4:23907007:23907648:1 gene:PRUPE_4G281400 transcript:ONI14447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHLFHSPLHSEVLSTRYAHQYVLDIGYILDWHLYRKRLPIQHITERWNIAIRNQHWDAFGINCFYHPRTCYFVPTRA >ONI11168 pep chromosome:Prunus_persica_NCBIv2:G4:4536131:4536572:-1 gene:PRUPE_4G091000 transcript:ONI11168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSKDSKPDQKRHVPIRRKSSRRFVPLFRKSKDALRRRNRKKRSRSKGRVNRESGIHKFRFATAGPARAGFVDAITALIKAITRSIKISRGRECMRAFSKSLFACPSFHGGRGALPSAGGHPSDLTFLAGGGCC >ONI10348 pep chromosome:Prunus_persica_NCBIv2:G4:2001506:2003763:-1 gene:PRUPE_4G042500 transcript:ONI10348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSTKQVSSDGLDWSQASLLQGQTFQLPKSPSAMRRQQQQQPEPLKCPRCESTNTKFCYYNNYNKQQPRHFCRACKRHWTKGGTLRNVPVGGGRKNKRLKKSSNSTSASAAATTTTTNSAAIDQTDHQQQKPMSQVFYQALPQQNLDNTIIFSSSSSPGLSASTLPFLHQSGNLSFPFSSSISTTSSSFDTNLSSISTSLQSSNVYNYATVAAEDQFKAMEEPTITSIMPNISQPNWKVPTSSNAMDVYSNDWNWEDIETLVSTDLNLPWDGSDIKP >ONI11719 pep chromosome:Prunus_persica_NCBIv2:G4:6659694:6661535:-1 gene:PRUPE_4G122700 transcript:ONI11719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRIKTSGRKIYRIYRMVGGCQINLEIIAPDSKSPSHFTSFPSSLCTKVVEFCRCSIIIYFYKLLFEIQRLETCHFLYENIEYISYTLPTLGKSQIHQMLHHD >ONI11495 pep chromosome:Prunus_persica_NCBIv2:G4:5714789:5721619:1 gene:PRUPE_4G109100 transcript:ONI11495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGIGGSQSKDQNQIVDVRSVVESVSAEDSDAPLYQVESLCMRCGENGTTSFLLTLIPHFRKILLSAFECPHCNERNNEVQFAGELQPKGCCYQLKVPSGDPKMLNRQVVKSEFATIKIPELDFEIPPESQRGSLSTVEGILLRAADELQALQEERKKVDPQTAEAIDQFLLKLRACATADSSFTFILDDPAGNSFVENLFAPSPDPSLSIKFYERTSEQQSTLGYVVESSQTGSVREAASTEAVGTSDQVQRAPHGSIGAAAGHRAIAQSNSAEIAESLFRYTAPEEVMTFPSTCGVCTARCETRMFVTNIPYFQEVIVMASTCDACGYRNSELKPGGRVPEKGKKIILRVENVKDLSRDVIKSDTASVTIPEIELELTSGTLGGVVTTVEGLIMKISESLERVHGFSFGDSIDEHKRSKWLNFQASLKKLLCLEEPWNLILDDALANSFVAPGTDDIKDDHQLMFEEYERSWEQNEELGLNDIDTSSADAAYDSKDATTEEK >ONI10855 pep chromosome:Prunus_persica_NCBIv2:G4:3527420:3535129:-1 gene:PRUPE_4G072200 transcript:ONI10855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQGLLPLLKSIMVPIHIKDLEGSCVAVDTYSWLHKGALSCSKELCKGVPTSRHIDYCMHRVNLLRHYGVKPVLVFDGGLLPMKLEQENKRGRVRKENLARAIEHESNGNSAAAYECYQKAVDISPSIAHELIQVLKQENVCYVVAPYEADAQMTFLAVSKQVEAVITEDSDLIPFGCPRIIFKMDKFGQGVEFQYSMLHRNKDLSFAGFTKQMLLEMCILSGCDYLPSLPGMGLKRAHALIKKFTSYDKVIKHLKYSTVSVPSLYEESFKKAILTFQHQRVYDVASQDIVSLSDISDDVGDDLDFLGPSIPQHIAKGIAEGDIDPFTKMPFQEENITAGRISHPKNVNLGRIKKKLDLPVQNNLLTKYFCFASLEAKRKFRAPRMSPESPSAFDDSSLSPDENVSLDDPSCKASCSESSLNSENMGNVLPSDSVEDGFDTDVRDVLEAAQTHDMVGMRRSPENTLLQQPRHSIHKPCLTLHKERECKNAPDTVEGSKTRTENRKVIVRSSYFKDKSINENDQEDKQEKRLLEDGIAIDMDKNAVPESAHFENSYFNGKVMKRKTPPGDSVEVENVKPKQLCISACLPDDGTKTEEGKFGSNISHLGRYSDIAEKSMEKFVSVISSFRYSSSGSRASGLRAPLKDVQNTGTNRSTVAVDFSQFEYVPNTRKTSTAPRKRCFRPV >ONI09866 pep chromosome:Prunus_persica_NCBIv2:G4:684056:689780:1 gene:PRUPE_4G014800 transcript:ONI09866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILRLVVRTARNRNWRSISTPSQTLNPLPRARSRAFEHQFHSSASETQVPNPNGSGRNLMFPAVLAGLLGVGGIEVAYADAAEEPSSPAESPATESHVDLDEISKKQRQKIEDLLRSKGIRRGSYPQFTVAVKGQKVSIKFQIPPACEASKLIANMASHLGLKVEEHGGGSDILLRAWHSCVNHNVLNILFLVNYVRGVAWQLMLTRPEKKKETGSDVGELKDLNKHDGDLRILVFRSVITPSDKADIEFMKEGSLSPEELDALVAALQLAGAKLGQNSTLERRPREDITQVPSSELLIASLKSMGVRIYGINEPIVTVTLMFWLSLIICSNRPRAVLFEGPPGTGKTSSARVIANQAGVPLLYVPLEVILSKYYGERERLLGRVCSLANQLPDGAIIFLHEVDSFAISRDSDMHEATRRVLSVLLRQIDGFEQDKKVVVIFATNRKQDLHPAMISRFDSIIMFNLPDQRNRKEIAAQYAKHLTESELDELATATEGMSGRDIRDVCQQAERSWASKIIGGQISKDGGDSLLLPLHHYLDSALNRLKGLLTSAQPQWWRWSRWR >ONI10749 pep chromosome:Prunus_persica_NCBIv2:G4:3151373:3155273:-1 gene:PRUPE_4G065800 transcript:ONI10749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLKPRRLRGHKATATCCIASVATPGLVATAGEDGCVCWFDMRCKDVIDIMEVGEEPVSSLCFRPGNENMIFVSSEKQVKSFDVRLGSSCSWKPLESYDYNKEEINQIACNSKSSFLAATDDGGEIKIIDICQKRIYKTLRAGHTSICSSVQFLPWRPWEVITGGLDSKLVMWDFSKGRPNKIMNFGLSDANNGDGKEQCFNPAFVHAIEVPEIDMLDKSDKVCVVARGDGAVDVINIEAELATMRSKGSRKPQKGSQSRSKEKEIPDQNGWKRLHLDYSSGGHTAAVSCVAFSLFGDRGRFIISGGNDKLVKVWDWTKCLDAGQTISSSDLLHLNINLSKKVNWFCTTPADMENLVVCDTTKVVKVYSVA >ONI10861 pep chromosome:Prunus_persica_NCBIv2:G4:3561436:3563166:1 gene:PRUPE_4G072800 transcript:ONI10861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLILISLFLCSFMAASSAGNFYQDFDLIWGDHRAKILSGGSLLTLSLDQASGSGFQSKRQYLFGRIDMQLKLVAGNSAGTVTAYYLSSEGPNRDEIDFEFLGNLSGDPYIVHTNVYTQGKADREQQFYLWFDPTKDFHTYSFLWNPQRIIFLVDNIPIRVFDNGESYGVPFPKRQPMKLYSSLWNADQWATKGGLVKTDWSKAPFTAFYRNFKANACVWSKGSSSCANNKNNAWQTQGLDANSRRRLRWVQKYFMIYNYCSDLKRFPQGRPRECRRSRF >ONI13382 pep chromosome:Prunus_persica_NCBIv2:G4:13724337:13725270:1 gene:PRUPE_4G218300 transcript:ONI13382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIKILVIIATTMALSITLTTVTRVSHQEEEGKSPFTDPWKEQPSADDENKLIVPSKRVSRFLSEKDLVSRSLKAASQCRNDYDTCSNVLPGHRNFTCCNKKCVDLSVNKENCGACKNCCKYTQSCCRGQCVDLSFDKRHCGQCDHPCKNGTYCVYGLCDYA >ONI13824 pep chromosome:Prunus_persica_NCBIv2:G4:16503389:16504286:-1 gene:PRUPE_4G247900 transcript:ONI13824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPPNIVNKMLSVVTGNSSNMSDTLPGATSSCIPWRTTYLKYANNEVYVDLVEEMDAIVNRDGVLVKCKIYGEVQVNSHLSDVPDLTLSFANPSILDNVRFHPCVRFFPWESQQILSFVPPDGQFKLRSYKCVVLKGH >ONI13388 pep chromosome:Prunus_persica_NCBIv2:G4:13765706:13767359:-1 gene:PRUPE_4G218900 transcript:ONI13388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLVGQFVCIVFFMVVSISRVRAKQTVFDVTKNGGVADGKTDNSKAFTDAWTQACQTNGGGVILFPTGKYLVRPVILKGECKGPIGLQIEGTLLAPPGVQSSVDMDHWIKFQHVDNLDINGGGLLDGQGPSAWHHNNCLEDPECKRLPANLRFDFVTNLNIDHITSINSKNLHINLFACQDVKVTNVNISAPAESPNTDGIHIGSSSNVQILDSVIATGDDCISFSAGSSKINVSGVHCGPGHGMSIGSLGRGNNNNVSFVDIRNCSFVGTDNGVRIKTWAPSKQGTVSHVYVENIRMDRVKNPIVIDQNYCPARRCSPTESSQIQIVDVKMINIWGTSSTPNVVTLKCSETKPCQKIQLRDINLSYEGPDGPAVSACSKVDVITGGKQNPPACTKPVIQVPHNFDVLN >ONI14141 pep chromosome:Prunus_persica_NCBIv2:G4:19482846:19484497:-1 gene:PRUPE_4G264400 transcript:ONI14141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTLPNKLPTINFSIEDLKPGSASWLSTAKQVRFALEEYGSFVAQYEQISGELLNNMFGQAKDLFEVPKENKVKNVGEEPYRGHMGPNPGLPLYESLCIDNVTSPQETQKFKNLMWPEGKSNFCETTDSFGQLLANLERTVEQLLFESYGIGKQYESVGSSNGHLVRFIKYTVPEDKDATVRFPSHTDINFTTIVVQHDIPGLEVKTKEGDWINVECAPSQFVFMAGDGLQVWSNDRVKACHHRVKHCGNKTRYSIGLFTFNNGIFQVPEELVDGSHPLLYNAFDSRAFIRKYATTPELKKEPSPIKAFAGVKS >ONI13578 pep chromosome:Prunus_persica_NCBIv2:G4:14826303:14832514:-1 gene:PRUPE_4G231600 transcript:ONI13578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKNSNPASTSESRFLGNISTSSLRNLLPRSISTKPSKSIFSSRNPPSNAENTPPLDPNVQTKYAEDDAASATKPVLSDLSKSRITEVAPSDGQKQPSATLDPPVKRETDPSDGDGDGGVLPPPDPSVKVVARIRPTKDGENWGDRTVKKVSPRTLSVGDRTFSFDSVFDSKSGQEDVFQKVGVPLVKNALAGYNTSVLSYGQSGSGKTYTLWGPPSAMVEDSRPGSCQGIVPRIFEMLFREIQKEQENSEGKQLNYQFRCSFLEIYNEQIGDLLDPTLRNLEIKDDPKNGVYVENLTEEYVTSYEDVTQILIKGLSSRKVGATSMNSKSSRSHIVCTFIIESWCKETSSKCFGSSKTSRMSFIDLAGLDRNKVDDSGRQCAREDKYVKKSLSRLGLLVNTLAKAPQSGKSEDVPYKASCLTHLLQESIGGNSKLTVICAVSPDNKNDGEILRTLRFGERVKYIRNEPVINEITEDDVNGLTDQIRQLKEELIRAKSSGSFGSNNGYFQGRNVRESLNQLRVSLNRSLILPHIDNDSDEEVNVDEDDVRELRQQLLQNSSEDVRDYSISRDSVQFGGSCDTDLASEDDFHSSDEKEMDLNESQDELPLPCKDNFDLADNSVLTTSKAINPAIKSGVSISLCCQSPFLQDPTLSESPKLRNAQRKSVTFSSICSVNQNNISDNSKLKSDVLRQSLNQSEHTQSSLRSSKIFPGPTESLAASLQRGLQIIDHHQQNSAPSKSSVSFSFEHLTLKPRPEVDRANSSAQTIPETRPSIDGPSASLLCASCKRRVFKDDTNDAQDSLKTWTVAVNEAGSSNQMTEHAMEKAAKQEELEIRCMEQAAKIEQLNQLVEQYKSGKSDSSAENGKEMIPYDEFKDGNKLLRGSSVDILQPEIIKERCEIKEIQNELDLGYGGADFDLNEKEALLKEVQMLRSKLQSRTDASPNKSIEKLRSSLLSRSMQLRKSGTYGDNTEEELERERQRWTEMESDWISLTDDLRVDLESNRRRAEKVEMELRMEKQCTEELDDALHRSVLGHARMVEHYVELQDKYNDLVGKHRAIMEGIAEVKRAAAKAGAKGRGSRFSKSLAAELSVLRVERERERELLKKENKSLKIQLRDTAEAVHAAGELLVRLREAEHAASVAEENFTSVHQENDKLKKQIEKLKRKHKMEMITTKQYLAESKLPESALKPLYREDSVNSQNTVLDDDQAWRAEFGAIYQEHY >ONI09721 pep chromosome:Prunus_persica_NCBIv2:G4:314072:314530:1 gene:PRUPE_4G005300 transcript:ONI09721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLHVLCHPPREKIKVVIFKGGVLEFKASTPIEEITSGPYIGYKLVHHVRPYTPLPPNTKLEQGEVYHLVPNLASLGKPLVPSKIVHQESCKRQKIKIVVTREQLELLLKSANKFRSPKEIGVQLSGRSGLEGSPKWRPSLAIIPEVHSF >ONI13191 pep chromosome:Prunus_persica_NCBIv2:G4:13032516:13034869:-1 gene:PRUPE_4G209000 transcript:ONI13191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPCTGVAAAAAAITSKSFPSSTKFNTRFVGTRNRLGWVRPLGLGPSNGSRAKCWFKFGKNGVDAEGAGIYGSQSRDDFDRDDVEQYFNYMGMLAVEGTYDKMNALLSQNIHPVDILLLMAASEGDKPKIEELLRAGASYSIKDVDGRTALDRAASDEIKDFILGFSVQKA >ONI12867 pep chromosome:Prunus_persica_NCBIv2:G4:11211569:11213268:-1 gene:PRUPE_4G188100 transcript:ONI12867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLGNKKTLIIVLLFVSAAVLAQSKGTSDIKVCYGRKSRCFLKQIPCPKECPFASPTDKNAKICYLNCDSPICKAECKKRKPNCDGPGSACMDPRFIGGDGIVFYFHGKKNEYFSLVSDPNLQINARFIGLRPEGRTRDYTWIQALGLLFDSNSFSLEATKASAWDDEIDLLTFTYNGEELVIPESHLSVWQSPGNVIRVERTSSKNSVLITLPEVAEISVNVVPVTKEDDRIHNYQIPSDDCFAHLEVQFRFYGLSSNVEGVLGRTYQPDFKNPAKPGVAMPVVGGEQKYRTTSLVSADCIACVFAQSGKLDQTDSRVMDYGKLDCTGNSFGGNGIVCRK >ONI13531 pep chromosome:Prunus_persica_NCBIv2:G4:14566890:14568329:1 gene:PRUPE_4G228100 transcript:ONI13531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCEVRSLQWVMAIFLIALVGGARAVPICNIDSAKLNECRPAVTGNSPKPPTKKCCDVVHQANLPCLCNYKSAFPAFGINPALAMALPKKCGMNTPRECHVV >ONI14012 pep chromosome:Prunus_persica_NCBIv2:G4:18302708:18307625:1 gene:PRUPE_4G257800 transcript:ONI14012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFREEGEGEPVSPTGQYFNSSILSVAILAVLEFEVPFNDSQALSLLQDVFLPINPRFSSIMISDKADQKKQWKRVEVKLEDHVRVPIFPSNMSPESYDEYFDNYISNIAAERLPQNRPLWEIHILKYPTSHAAGTLIFKLHHALVFQTLSDFSWSILKSSFVEDDRTPIRSGEDGVEFRPITLSTLTFSIDEIKLIKNKLRVTINDVIAGIIFLGTRIYMQEVTQKSSNERCTALVLLRTRNMEGYLSTKEMIEPNNANMSWGNQFAFLHLPVPKSSETLNPLDFVWEAQKMIKKKKSSAASYLTSWLLDVLKKFRGPEGAARYIHGTLKNSSMTISNMIGPVEKMALDNQPVGGLYFMVVGPPQCLTVTALSYMGKLRVAFGAEKGFIDSQKLKASMQNAFGIILEASNPIPPAKAA >ONI14011 pep chromosome:Prunus_persica_NCBIv2:G4:18302708:18307625:1 gene:PRUPE_4G257800 transcript:ONI14011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFREEGEGEPVSPTGQYFNSSILSVAILAVLEFEVPFNDSQALSLLQDVFLPINPRFSSIMISDKADQKKQWKRVEVKLEDHVRVPIFPSNMSPESYDEYFDNYISNIAAERLPQNRPLWEIHILKYPTSHAAGTLIFKLHHALGDGYSLMGALLSCLQTAQNPSVPLTFPSLKSSSGPKTTHMTKTVSQFFSSVFQTLSDFSWSILKSSFVEDDRTPIRSGEDGVEFRPITLSTLTFSIDEIKLIKNKLRVTINDVIAGIIFLGTRIYMQEVTQKSSNERCTALVLLRTRNMEGYLSTKEMIEPNNANMSWGNQFAFLHLPVPKSSETLNPLDFVWEAQKMIKKKKSSAASYLTSWLLDVLKKFRGPEGAARYIHGTLKNSSMTISNMIGPVEKMALDNQPVGGLYFMVVGPPQCLTVTALSYMGKLRVAFGAEKGFIDSQKLKASMQNAFGIILEASNPIPPAKAA >ONI12458 pep chromosome:Prunus_persica_NCBIv2:G4:9640233:9641308:1 gene:PRUPE_4G166200 transcript:ONI12458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKGLFLYYKSKNSPKSLLYSQLITIQEGSLDHVTLNSNPETDEGYVYDLTLEYQAANSSTGGNIFLDVPKFNSTLTYLRLGIDGNIKLYTYYDKVNSWEQTFTLFDRDSVWETECQLPSRCGDFGVCEDNQCVACPLAKGLLGWNKTCEPEKVTSCKATSFHYYKVEGVDYFLSKYTRGSSTKEIDCGNKCTSDCKCLGYFYNQDTSRCWIAYDLKTLTKVANSTHVAYIKAPNH >ONI12624 pep chromosome:Prunus_persica_NCBIv2:G4:10395012:10397078:1 gene:PRUPE_4G175700 transcript:ONI12624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSLNLLLSLLFFLFSPLATPLTFNFPSFSNATTNISLEGVADIDSNLIRLTKNPGENGNVGRATYHEPFLLRENATGKLADFTTTFAFIIDSGHADGMVFFVAPNGSLLNSAVGSGSKLGLPVEDLPGDISRTQYPFVAVEFDIFKNPVPTINDPDGDHVGINVNSLKSNITMPWNGGIEEGKQNSARISYNSSSKNLSVAFTSFQNGTNGTQVEVINYLSYMVDLKQYLPDWVIIGFSAATGNEVAVHKIVSWNFTSTALVDEPKSGNANANIGLPIGLGVGGCLILVAGLGLVWLIFWKKGKAAGEHDENPFTNSFIHEELEKGIGPRKFSYRELARATKNFEEGEKLGEGGFGGVYKGFIKDLNSHVAVKRISSGSKQGLKEYASEVRIISRLRHRNLVQLIGWCHEKKELMLVYEFMPNGSLDSHLFKEKGLLTWQIRYSIAQGLASGLFYLHEEWEQCVLHRDIKSSNIMLDSNFNVKLGDFGLARLVDHGKQSQTTNVAGTRGYMALEYVTTGKASMESDIYSFGVVALEIACGRKPIDLSLENSQIEMVEWVWELYGEGTLIQAADPKLCGHFDEKQMECLMIVGLWCAHPDYKFRPSIQQVIQVLNFEVPLPILPSKMPVATYFAPPTSLSTVTTDTTSSERGQTESHNAVITPIPHNLPHLLQQNSSPSKTFQSPR >ONI11714 pep chromosome:Prunus_persica_NCBIv2:G4:6619241:6621944:1 gene:PRUPE_4G122200 transcript:ONI11714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSLILCVRFYTKGLDVIRSRTIYYMRLSIFIDLPSNNLEGEVPEEITTLIALSILNLYAYFRFFHDIKDKATLTIDLMSIGWFVKQILTEKRKRKRVVILSCSYNVFI >ONI14163 pep chromosome:Prunus_persica_NCBIv2:G4:19841297:19846212:1 gene:PRUPE_4G265900 transcript:ONI14163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKMRFGLFHPIVSLLFFVNHTFPVPSNPSPIAHGSRLRDMDVCAVLVHCFAGVSRRVCCSAAIITAYLMRTEHLSQQEALGSPRQSCEFVCPNDGFLDQLKFTRKWA >ONI12123 pep chromosome:Prunus_persica_NCBIv2:G4:8397911:8399136:1 gene:PRUPE_4G146200 transcript:ONI12123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVILLDFWASMFGMRARVALAEKGVKYEYREEDLRNKSPLLLQMNPVHKKIPVLIHNGKPVCESLIIVQYVDEVWRDKAPLLPSDPYLRARSRFWADFIDKKLYDAGRIIWATKGEEQEAGKKEFIEVLKQLEGELGNKPYFEGESFGFLDIALITFYSWFYAFETCGNFSTEAECPKLIAWAKRCMQKESVSKTLPDNKKIYEFVLGMKKMFGVE >ONI14346 pep chromosome:Prunus_persica_NCBIv2:G4:23128662:23131489:1 gene:PRUPE_4G276800 transcript:ONI14346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAMKGWAFALVVIFSVLLSWSIPRGESERAFLSFSSHEPLVKVVSLASADGGQDFFMEMTDSAATNIEYDFYRDTCPEAETIVRSTMAQIYSQHKNVSAQLLRLFFHDCFIQGCDASVLLDHSNGNKNHSVEKQAVPNKSLKGFDKIDQIKEVLENVCPAVVSCADILALATRDGVVLAGGPFYPLFTGRRDSARSYYDEAMAEIPKPDDNITQTLHLFSLRGFTDRETVSLLGGHNIGKIGCEFIQSRLHNFKGTRKPDPTVSPSFLNEMRVFCEDNGNEKSSQGSPMAANMASPMAFPTTGPMAAPMASSPMASRGMNEKPAPRGMPYFQQLSSSVSSGAGFDTHYYQSLLRGRGLLFADQQLMANERTARLVRAYASDDGSTFRMDFARAMMKMSNLNALTGSQGQVRLECTLAG >ONI10122 pep chromosome:Prunus_persica_NCBIv2:G4:1336756:1337791:1 gene:PRUPE_4G028600 transcript:ONI10122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRLLIFFILPILLVLDPLAPAIADDQNSHTLCTERADYCWSCSNANNTINNGSIYKQNLNSLLSSFPSNAQINSRFYNFSLGQAPNTGNAIAVCRGDVALKDCLTCFKDSVSILLQNCTSTNEQEAIIWAQRCTVRYSTVSILGVLEDEPVLYLKSPRNASNAELYNQVLNPLFKNLSEKATAGNSVSKFAAGHALVPVTNQTIYATVQCSPDLDKQNCSNCLEESISDIRKCCVGVEGARVLKPSCYLRFESNPFYEPGADTLINLPGEQGNNTSGNKPSNITTKVSPPSFFRLVLSLCLLSAFAFL >ONI12238 pep chromosome:Prunus_persica_NCBIv2:G4:8751101:8753065:1 gene:PRUPE_4G152600 transcript:ONI12238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWVAVLSVVWVSIVIAWAWRVLNWVWLRPKKLERSLREQGLTGNSYKLLFGDTKESSMMLDQAQSKPIKLSTSHDIAPRVMPFVHQTVNTYAQGLSAFEDGKWAKHRKIIHPAFHLEKLKGMLPTFYQSCSEMINEWERLVSKEGSCELDVWPCLQTLSADVISRAAFGSSYQEGRKIFQLLREQEKIYTTAIQSVYIPGSRFLPTKQNKRMKKIYEEISVLLKGIINKREEAMKLGEASKHDLLGLLMESNLKEIREHGNDRNTGMSLEDVIEECKLFYLAGQETTSVVLVWAMVLLSRNQNWQARAREEVLQLFQSNPPTYDTLSHLKVVTMVLLEVLRLYPAAVELLRTSRKKTQLGKFSLPAGVDVSIHIMLAHHDKEWWGEDADEFKPERFSSGVAKATKNQFAYFPFGAGPRICIGQNFAMLEAKLALSLILQHFTFELSPSYAHAPLALITLQPQYGAHIILSKR >ONI10115 pep chromosome:Prunus_persica_NCBIv2:G4:1295470:1297143:1 gene:PRUPE_4G028000 transcript:ONI10115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRSMLFFINLAIIILNLVAPTISQDDGICTLTADYCWKCSDTGTYTAGDKYQENLNSLLSSFSSNTTTNNSGFYNSSRGQDSNKINAIALCRGDLSQNSCQACLNKSTDILLQICSTHKEAIIWAEPCMVRYSHDVIFGIEQTDPLKHLPSPNYPKNSQQFEPVLTHLLGNLSDRAASMNSLKKFAAGHATVPGGEPIYALAQCTPDIDKQNCSSCLKQSVTEIQTCCRGRNGGRVLKPSCNLRYENNSFYASTPIPS >ONI12425 pep chromosome:Prunus_persica_NCBIv2:G4:9535006:9536243:-1 gene:PRUPE_4G164200 transcript:ONI12425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAYMYQTDFQTRNHLRSQNDLKVVVDAEKHQFSKLKNLEILTLGNNQIIRPIPSWLGTLPRLLCLDLLSRLVYEPNIASQVENTSYEFELPIFSGVLTNPSFQWRKLSFFGASIDLSNNNIDASSPVDSSLQQLLRRHSRPNIQTQELREFGYLRESFCGPNKGIDEDDRNNMDNGRHQLPWFYIFTALGFIVEFRGVCGSLIINKTWRSAFFQFIDNVQHKLYVMILVRINMIKRRLRG >ONI13690 pep chromosome:Prunus_persica_NCBIv2:G4:15632031:15632240:-1 gene:PRUPE_4G238500 transcript:ONI13690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQRPSFQALFAFALFVIMVLKIEKIRRKTNYSASNLPPGPWKLPFIGNLHQLIGSLQHHGLRDLAKN >ONI09756 pep chromosome:Prunus_persica_NCBIv2:G4:396663:398565:1 gene:PRUPE_4G007500 transcript:ONI09756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSQKHRSTASIHRLYHHQPMQDIDPSIFQILENSVCSDTGSQGNNVSFQTYKEEYFTLESSPATTGFVACDSPSAGSGLSNRSPFSSQGSQSCLSDQRHSPDNTSGSPISGCSVADDGNGLRYKLRELEISLLGPESDIIVDSHYCCYKSDMPRWNRNQMAEMTNLNLKDVLIHCARAIAEDDLPTANGLMEVLGQMVSVSGEPIQRLGAYMLEGLRARLERSGSSIYKTLKCEVPTGAELMSYMSVLFQICPYWRFAYMSANVVIREALENEPRIHIIDFQIAQGSQWVPLIQDLARQPGGPPRIRITGVDDSQSAHARGGGLHIVGQRLSQLANSWNVPFEFNNAAMSGCEVELENLRIQPGEAIAVNFPYVLHHMPDESVSTQNHRDRLLRLVKSLSPKVMTLVEQESNTNTSPLFSRFVEMVEYYTAMFESIDVARPRDDKQRISAEMHCVARDIVNMVACEGAERVERHELLGKWKSRLMMDGFTPYPLSPKVTEAIRSLLKEFNGNYRLQEANGALYLGWKQRALVTSSAWR >ONI12106 pep chromosome:Prunus_persica_NCBIv2:G4:8305099:8307801:1 gene:PRUPE_4G144600 transcript:ONI12106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYMDRFLHDAATKGDLSFLEKIRNGDVSIDLASQKTPKDNNVLHIAAEFKQMNFFKEVKFHHPQSPRFWDTNKNRETPLHVAARVGCDEIVEFIIAKMKPLEEVDLEDGPINEEEITPAAEETTPSGEEILQHYEESRSSAEENRPVDGEIRSSAEEIRPNRSVDGEIRPDGVKPYKKLLRMKNSEKDTALHVAVRYRHDRVVAQLMKADPELCCFTNSAAESPLFLAVRTGSPSIALYILNESPSDRPPSFQGTNGVTALHAAVTRRPLTHQGIVATMVSKNHEIIKQADDIGWTPLHYAALRGNLKATQLLIGKEKSACYILDKLGLSALHIAAYAGHTKILEELIGCEPATCHLVNHKGQTALHAAVVGEKINVIKYVLKTPKLGRLLNQADNEGNTPLHLAAICRNHGMIKILSTDPRLDKTAINKKFLTVADILREDKMEQKERTNSAEVRKYPIWSLTAGVPYFQQQITLVFTNLESPEKKDEADTPAITHGTLKRHDTKLLVATLIATVTFAASINVPGGFKTDGRPALEDNAYFRVCGVFNMYAFFFSVLAISNEYAPLRFLSTHLPTPASLIQFSIGGMVIAFVAAILALSQPKRPNESLFKYLFGTPVDLVLGLIFAIFVCALVLIPIYRSQLQIMRGIRIRELRNYVI >ONI13986 pep chromosome:Prunus_persica_NCBIv2:G4:18059379:18061586:1 gene:PRUPE_4G256500 transcript:ONI13986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNDGEAVSEEPELENRENVEEPRVGMTFNNIDDIVVYYREYGKQLGFPVRKRTSQKGDEGELKYVTISCGREGKYKSKSSNVLKPHPSIKIGCKARVRAGIILDGRWQINSINLDHNHDMSPTKARYFRCHRTISSYMKRRIELNDRAGIRLNKSYNSLVVEAGGHENISFLEKDCRNYIENVRRLRLGEGDATAIQTYFLNMQAQNSNFFYAIDLDQDGRLRNVFWADARSRAAYKEFGDVVTFDTTYLTNKYDMPFAPFVGVNHHGQSILLGCGLISSEDTDAFIWLFKSWLTCMHEHAPRGIITDQDKAMKNAIEIIFPNTRHRWCLWHIMNKFPSKLNRYKQYEAIMYALQSIVYGSLEKVEFEEGWDEIIEKYELQDNEWLAGLYNERQRWVPYFVKDSFWAGMSTTQRSESMNAFFDDHVNSKTTLKQFVEQYENALKVKVEKEKQEDFKSSSIGFDCGTHYNMEKQAQEVYTISKYKEFHEELIGKMYCDYVSHKVNGANFEYQISEDFMMEGKKKRLYFKVWLNEDDNEVQCNCRMFEFRGILCRHTIYVFLRHNIDLIPEKYIMRRWRKDVKRCHTRIEINYESYSLAPEAQRCHKMQKAFDEIKELANDSDNKCMIVMTWMDNSPIGRNIENDVSSIPNASQCILTPLAARKKGRPPFKRRKSQLEQAVRKKQDSKKKKQESNKKIKSCGNNTNGEKELNVCN >ONI11975 pep chromosome:Prunus_persica_NCBIv2:G4:7684509:7686432:1 gene:PRUPE_4G138100 transcript:ONI11975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPHQFYNDYSLPCGFYGYPTPEMAGENGCGSVFGGAMWGATCEENLVPFCNVSSAAVNFDVMSPESDISSSVMAASFPELLKISEDLSVPAAAAFSDYGNMGLHGLAGINQNFGGEISQPYMCDQFGEECCTGLNMSDIKPFGLAGQENWGIQGNQQVPTIDQDQSNMKVGRYSEEERKERIGRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARNTELSDQEIAAKKIDSNHTCEDQRSEMCCSNDAVQMMKYDEDDYWLQEAMGLMYLPYATS >ONI12690 pep chromosome:Prunus_persica_NCBIv2:G4:10620214:10621628:1 gene:PRUPE_4G179100 transcript:ONI12690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISITDYESNCDTHDLYRVDFFDDNIETLVTHTPSKVRSWIQKIKYVHRFRLQELIVGLDVEWRPSFTQGVTNPVATLQLCVGHNCLIFQLCYCDRIPKCLFDFLANPSFTFVGVGVGEDVRKLADDYGLEVANSVDLRVLEARHYGRDRPRSVSLKDLASEVLGQEFQKPKSITLSHWDKPYLSPAQVKYACVDAFVSFEIGKVLIAAEED >ONI13855 pep chromosome:Prunus_persica_NCBIv2:G4:16678308:16681595:1 gene:PRUPE_4G249600 transcript:ONI13855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRLFEDIFQILRLNPDGKKFDKVTRIEAKSETCEMFMHLDVNSEVYPMREGEKFSMALTSTINLDGTPDTGYFTQGNRKTLADEYEYVMQGKLFKISEGSKRDPKAEVNASFGGLLMMLKGEASQFKNFELDQRMFLLIRKL >ONI13856 pep chromosome:Prunus_persica_NCBIv2:G4:16678242:16681595:1 gene:PRUPE_4G249600 transcript:ONI13856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRLFEDIFQILRLNPDGKKFDKVTRIEAKSETCEMFMHLDVNSEVYPMREGEKFSMALTSTINLDGTPDTGYFTQGNRKTLADEYEYVMQGKLFKISEGSKRDPKAEVNASFGGLLMMLKGEASQFKNFELDQRMFLLIRKL >ONI11449 pep chromosome:Prunus_persica_NCBIv2:G4:5592368:5594319:1 gene:PRUPE_4G107000 transcript:ONI11449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQGGNGTSSVIMRDYRKGNWTVGETMILIEAKKMDDERRMKRSGDAAGSETRSSKPAELRWKWVEDYCWKKGCLRSQNQCNDKWDNLMRDYKKVREYERREGREEAASYWKLEKSERKDRNLPTNMVPQIYEALVDVVEKREAGHHQIRVVGGASVSGSNVPNPTIGYVVERPIISTSVHQASLPPPVLQHHISVPPIAALPLLPPAPLAAQPPPTLPYSQPMPTVDSETSHEHSDSPAKRRRRASGGGGGGGDQGTSGTVSASTSSEVGTAISRGASMIAEALQGCEEREERRHRDLLSLHERRLQIEETKTEINRQGINGLIDAINKLADSIHALASNKNQSPPK >ONI11082 pep chromosome:Prunus_persica_NCBIv2:G4:4240531:4246316:1 gene:PRUPE_4G086100 transcript:ONI11082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLKIEPSVARRFKLQHLLFALAALYLIFISVKFPQFLEIAKAMSGDDGYVGLDLAKVQDSQDGDLSKPLFSSVYKDTFHRKLEDQSQDAPVRPSKEPLEEKKSESKPIRPLQHRYGRITGEILRQRNRTNELSVLERMADEAWTLGLNAWEEVDKHDGKEIGESSIVEGKPESCPSWLSMSGEELAMGDKLMFLPCGLAAGSSVTVVGTSHYAHQEYVPQLAKLRRGDGIVMVSQFMVELQGLKSVDGEDPPKILHLNPRLKGDWSHRPVIEHNTCYRMQWGSAQRCDGLPSKNNEDMLVDGYGRCEKWMRNDMVDSKESKTKTTSWFKRFIGREQKPEVTWPFPFTEGRLFILTIRAGVDGFHISVGGRHVTSFPYRTGFTLEDATGLAIKGDVDVHSVYATSLPASHPSFSPQRVLEMSEKWKARPLPKSPVRLFIGVLSATNHFAERMAVRKTWMQSSVIKSSDVVVRFFVALNPRKEVNAVLKKEAAYFGDIVILPFMDRYELVVLKTISICEFGVQNVTAAYIMKCDDDTFVRVDTVLKEIEGISSKKSLYMGNLNLLHRPLRSGKWAVTYEEWPEEVYPPYANGPGYIISIDIAKFVISQHGSRSLRLFKMEDVSMGMWVEQFNSSMATVQYSHNWKFCQYGCMENYYTAHYQSPRQMICLWDKLARGRVQCCNFR >ONI09639 pep chromosome:Prunus_persica_NCBIv2:G4:127819:131556:-1 gene:PRUPE_4G001100 transcript:ONI09639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMPSSVCRLHLSKLSAIVRTLGHFNASSLAQPNLELLQGCLRRPNPIPALSKAFNVYSCNFEITNLGRYGKVKEARNLFDQMPERDAVSYASMINVYLKNHDLPNAEKLFQTMPTSSLVAESSMINGYVKAGHIDEARKVFDRMENRNVYSWTSLISGYFSCGQVEEGRRLFNQMPVKNVVSWTTVLLGYVRNSMIDEARHVFDLIPERNTVSCTVMIKAFVGNDQLDEALDLFHNMPQRNLYTWNIMLSGYLNANRVSEAIELFNSMPQRNAISWTTMVTGLADNNMVELARGYFDRMPNKDVAAWNAMITSYVHEGLMTEASELFYLMRERNIVTWNVMIGGYAKSGSRGEALKHVILMLRFCFRPNATTITSVLSSCEGMMELMQAHVLVISHGFDHETLVANVLVTMYSRNGDVTSARLVFEHLGAKDAVSWTAMILAYSNHGYGHYALQVFACMLRSGAKPDEITFVGVLSACNHAGLVEKGQRLFNSMNLGYDLKPNGQHYSCLVDILGRAGLVDEATRVVCEEMPACEQDAAVLGALLGAYRLHGGDVRMANRIGKELLELEPASSGAYVLLANVYAAHGKWEEFAQVRKKMKERRVKKVPGFSQIEVKGRSHIFFAGDRSHPQAAEIYGLLQEKLLPQICEMGYSKGNSSFFCSHQISES >ONI10965 pep chromosome:Prunus_persica_NCBIv2:G4:3844199:3846738:-1 gene:PRUPE_4G079300 transcript:ONI10965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDSKTRGLAGDQQSQQYYGTFQGVANYYPTVPPPPPEPVVGFPQPVPPPGSTGRPPLPPHHQHHHHHHRGYQTVTGYAVVEGRPVHERRLPCCGMGIGWLLFIIGFFLGGIPWYVGTFILLCVRVDYREKPGYVACTIASILAVIAVTLGATKGARTW >ONI13020 pep chromosome:Prunus_persica_NCBIv2:G4:12117419:12120262:-1 gene:PRUPE_4G197900 transcript:ONI13020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQVCAAAQSKPEIIRRTANFPPSIWGDRFMNYDSQDIITNARNQEEVEELKEVVRREVFTTSAGDFSHQLKLIDAIQRLGVAYHFESEIEEALERMHAAFHDHDFSDDGDLYNVALGFRLLRQHGYKISCDVFNKFKDENGSFKECLIVDVPGMLSLYEAGHLGIRGEEILDEALAFTTTHLDSAAKTHVSYEHAEQITQALERPLRKDLERVCARRYMSIYQDEASHNEALLKLAKLDFNLVQSLHKNELSEITRWWKEVDFEKKLPFARDRIVELYFWVVGVYFEPQYVEARKFLTKVIALVSVMDDIYDAYATFEELEIFTAAIERWDMSSIDELPDYMQIFYRTLLNVVDEIEEEIAKDGRSYRVYYAKESLKAVARAYFEEARWFNEGYTPTMEEYLPAAIVSTGYPMLSTVSLLGMGDIVTKEIFEWLFNDAKIVRASTTLFRFMDDIVTSKFEKERGHVACSIDCYMKQYGESEQEALDALNKRVVDLWKDINEEFLRPTAAPMAVLMRVLNLTKVVDLLYKGDDGYTRVGKVVKDKIASHFINPVPII >ONI12615 pep chromosome:Prunus_persica_NCBIv2:G4:10355921:10357966:1 gene:PRUPE_4G174900 transcript:ONI12615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNYSGYWIITHHSQNLDQILFLLFCLITPSVTPLSFYFPIFSPTDINTISLEGDANLDRQFLRLTKSCSLDQQEQSVGRATYHKPFLLRETTTGKLADFSTHFTFIIHSQKSSEYGNGLTFFLAPDGSLLNRKLGKGSNLGLPVEHLPGHMSTNQYPFVAVEFDISQNGIPTIQDPIYDHVGVDVNSLKSSKTAPWNGRVSDGRKNEAWINYNSSSKILTVAFTSFVNGTNGTQLQRTINYLDHIIDLNQHLPDRVIVGFSASTGTPVALHGINSWSFNSTSLLDESANDNINIGLAVGLGVGGCLILFAGLVLFWKKGGLRKSEEEAIVVDDEFDKGTGPTKFPYNELALATSDFKEEQKLGEGGFGGVYKGFIKYLNSYVAVKRISKGSKQGQKEYTSEVRIISRLRHRNLVQLIGWCHEKGELLLVYEFMPNGSLDSHLFKAKNMLVWEARYRIAQGLASGLLYLHEEWEQCVVHRDIKSSNILLDSNFNAKLGDFGLARLVDHGEQSQTTVLAGTMGYMAPECLTNGKASKESDVYSFGVVALEIACGRKPIDLHLERNQINMVEWVWELYGKGKLLEATDQKLCEDFDEKQMECLMIVGLWCAHPDYNMRPSIQRAIQVLNFEAALPVLPPKKPVATYSTPPPSLPLLMSSCSTTGFEICEIESSGYAYSTNSS >ONI14391 pep chromosome:Prunus_persica_NCBIv2:G4:23464975:23466067:1 gene:PRUPE_4G278700 transcript:ONI14391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNNRQKTPSSSSSFFSLFKSRRPRRGGDKLAMSALVVEDSYTSVGRVWHSVEDRGRWVAEPGIDDKAAAFIDRKHKNIASEYDVQP >ONI12783 pep chromosome:Prunus_persica_NCBIv2:G4:10861181:10863415:1 gene:PRUPE_4G183400 transcript:ONI12783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLGLSAVSSCASFWHIFLLVSMVLEGMIIVNVEASGWLSGHATFYGTNQDPATLGGACGYDNTFHAGFGVNTAAVSSTLFRGGEACGACYQVTCNYRIDPKWCLQRRAVTVTATNFCPPNNNGGWCNAPHQHFDMSTPAFLRIARQGNEGIVPVIYRRVSCQRRGGVRFTLKGQSNFNMVMISNVGGSGDVKAAWIRGSRMRRGTWLPMHRNWGANWQSSIDLRNQKLSFKLTLVDGRSLVFSNVVPSTWSFGQTFSSRNQF >ONI13805 pep chromosome:Prunus_persica_NCBIv2:G4:16347760:16349143:-1 gene:PRUPE_4G246100 transcript:ONI13805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCESAVNLPVGFKFRPRDDQLLGYYLLNKVRGTSFMYENVIPEMDLYGKIEPWDIWHEYGGHNLAKGEDLYFFTKLKSLSDKDSRAARTIGSGTWKGENSGTTVSDPENKENDLGTWKRFHYENPKSVQDGCWIMHEYSLHPSLVKPKPNSTNQFVLCRIRKNDRGKRKLRTAEEDNETDTPVQSQNKRQRPQQVTSFEELIGDCTPMSEATGVGGSVSYLPTGLTQSQPDISFAYPTTVVSSQARANNTDDVSQFHAGGDGDALMSDFLLSDTAQPFTEQALGSYAVCNQERASDVYETQQGLVLTDNNIGYWPSPFGSEEDQVNALDFSMDYDLLDHLIDCDDDNDGLRQSSTAQFMGMGMENTTTANQKPCWSSGNKSCFISGGFILLWSYQKPGPITQE >ONI10195 pep chromosome:Prunus_persica_NCBIv2:G4:1590886:1593797:1 gene:PRUPE_4G034200 transcript:ONI10195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPIPKEVLKIWDEWNLRGCILLSLALQIFLFFFAPLRQKCRSKLIVGLIWLAYLLSDWVAAVAIGVITKSQGNPCDSGKNKDLLAFWASFLLVHLGGPDTITSFALEDNEFWLRHLIQLILQVLAAAYSFYMTLLENKLWFPTVLVFVVGTIKFGERTCALYLASLDHFGEIVLPEPEPGPDYEEAVEIYSTMRSVEVPTQVELPIRPMHIGNYRNPKFTVEGVLKESLDDVQLLQEAYRFFESFKGLIVGFLLSSKVRERSRDFFLKRTHVSAFQLIEYELSFMYEVLHTKVVVARHKVGYILRLLTFCSTIGALMLFALVGKHKFDTFDIALTYALLIGAIILDTLSVLNLICSDWTVIAFNNIWSRSYIGALILKLKRGRWSGSVSKYNMISNCLDEHPEWVYTFAGYMGVRGILDKIKVCFFSTSEAVTEDVKKFLFKQLRIKSLEANNRRAAMEASSQRGDWALLQTSNYLELKWSVGECQYAESVLLWHIATELYFAKKKPNADQERREICKLLSDYMFYLLVMQHNMMAPFLGNWHIVFQDTRAEAKRFFLKHKITDHGKACEKINAVEAKFRSAAVKGKSKSVLFDACFLVKQLEEVEEDPWKLMSRVWVELLSYASIKCRPIVHAQQPSRGGELLTFTWLLMNHLGLGTQFYELENQAGTKMVAVK >ONI10423 pep chromosome:Prunus_persica_NCBIv2:G4:2221929:2229844:-1 gene:PRUPE_4G046700 transcript:ONI10423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEPEYDDQQYNDDDEDEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQPDFAETTYRIKFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVSKSIVKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPPSTMFVRMLARTSSKGGSSGQYIRATLPYIRAEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVSREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRGYVQKCVDNGKELNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWVGIHRDPEMLVKTLRKLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVDKQRLLIKKKDIHALQQRENPEDGGWHDLVAKGFIEYIDTEEEETTMISMTINDLVQARLNPEEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSIFFRSYRDEEKKMGTLVKEDFGRPDRANTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPMAQDEAQGQAASRYTRRDHSISLRHSETGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGVTPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISRALHSCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRGCKNKTDIVQVYIPYACKLLFQELMSMAIAPRMLTKDIKPAKDQKKKGA >ONI13278 pep chromosome:Prunus_persica_NCBIv2:G4:13291963:13292274:1 gene:PRUPE_4G213100 transcript:ONI13278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKQSNGNEGGNTIELEGIPITPVDHNPKKGPGAIFILEKTSLEVAKVGKTYQLLNSDDHTIIPRLVCVLILFPFH >ONI12205 pep chromosome:Prunus_persica_NCBIv2:G4:8621170:8629238:-1 gene:PRUPE_4G150700 transcript:ONI12205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIQNITQGMHELKFGEMAGSFPQEIIQEILIRLPVKSLIKCTCVCKTWKSMIINPSFIRTHVSPAVQFVNQNDIHLLLHRVFGLQMTTFFGQTVMYKVNDEVLSVHYDNQAFDHYSKIEFPIATQGKKHNSYIRVVGICDGLFCLADDMFRYCYNFFIWNPAIRKLVTIPWPNVRFDTHAGYDASIGFGFDAMTNNYKVVRVVTLLDQRGTPTLAEVYSLATGTWTSLGCVSPTCLTDGRASSVFFNGVLHWPVFCKTNGDLYYFILTFHLGKEVFRKMPMPKIIKWKFDLGMQLSVSDNRKSIALFTMDNRFEDSFLEIWVMKEYGIKESWTKLITLGPQVPERLLPRALCFRKSGEVLVLLADKSRQELVSLDLESKQFKNLGISGYQYCNVGFYKESLLLLDKSDAESY >ONI09758 pep chromosome:Prunus_persica_NCBIv2:G4:401159:404271:1 gene:PRUPE_4G007700 transcript:ONI09758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSCYAGSSIEEEVEKSLCLSLGKVRVKTDKFENEKPGFSVHNGNNTCLGSSSNASPSKTQQAQPDVYPKYESGFENSPRVPVPLYPQSIWRDHILNGGGHILGSNNLNGHANAYQPKNPLGNLTEETTKSRLSRGATSPSFCNWANQLNPCLNNWYQSSGEQYYRSNERIGMYSNGDRYPSSGISSYMGTQSSFNNDGCYGDQIFKLRSYADIARLAMTQPGSQSLINIMVASKDPFAKDMIFTGVFGSIFEVMNDSHGYYVFGKLIESCNYGQLRHIVALMTLNTESLVSISTRKFGSKSIQRLVKVLEKSPLIYTLTLALSSVLGQLMTNRTGSFVILKCLNLLDTQKNEKIYETAERLCITLAQNEKGCIYLNEFITYSKTPYRERLMNEISSKSKLLSQDPSGNFVVQHVLGLHNPVFSAKICFELRDLYIKLSSQRGGSHVVEKCLNSSETDYVVNAFLKYEKLSQIARDQFGNYVIQTALKATKRANSPLYQMLLAKLEQNRNELMSGFGRKVLSFIDSGVPLE >ONI14307 pep chromosome:Prunus_persica_NCBIv2:G4:22847181:22850399:1 gene:PRUPE_4G274400 transcript:ONI14307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMCQLAIGPFKKESKSGNFTIYHLVAYSSNHQPILLLPDTQPGDATLRRRGPPCFHFEEQWVTDEECEHIIQAGCSCQEVEKAMPYVISEYQSVFIPNRMILDNVLAAFEAIHCLKRRGKMGHRRIILKLDVAKAYDRGLRQGDPLSSYLFLIVVEGFSTLLQKVDCDSRVCGISIALSTPSINHLFFADDNLLFYDAESSQIMKLKCIFGLYEAAS >ONI11266 pep chromosome:Prunus_persica_NCBIv2:G4:4880834:4881418:-1 gene:PRUPE_4G097200 transcript:ONI11266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIQSVMYFAGFPISEYLEVLLKRTQPSRSFFKAKSDNVTQPISQAGLEGLWQRLLEVETSQLILTPYGGRMSEISDSETPFPHRNGSIFAIQYLVTWDDDKETEKHISWMRRVYAYMASHVSKSPRAVYLNYRDLDLGRNHDANTSYAQASIWGLKYFKSNFRRLVHVKTLVDPGNFLRNEQSIPVLPSGQK >ONI12889 pep chromosome:Prunus_persica_NCBIv2:G4:11289619:11292044:1 gene:PRUPE_4G189800 transcript:ONI12889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSSSNTWLLSLKVVLISTGLVSMAVALKLSAPVVSDIVASQVPSLWSSALSWLRPPYLYILINCIIISIVASSKLHPRPEDSSPEIIAVPAPVTPVKISGEVVRTDYAAYDAVVLNEYGYDANVLPKVPDSYESAGGVVVEARISEAAENEKKEKSDDREAVDGGDEAVRVSTPVRTRLQRKDSMEFWLNENEKPPVSSRFGHRKSARASPEGGKSLGVAKPKRQDTLESTWKTITEGRSMPLTRHLKKSDTWDTHVRSMDQNTPPKSKMNKSETFPDRSSATVNSPSLLSPSTGSARLRKEPSLSQDDLNRRVEAFIKKFNEEMRLQRQESLNQYQEMIRSGARTPLAH >ONI13540 pep chromosome:Prunus_persica_NCBIv2:G4:14609014:14611540:1 gene:PRUPE_4G228700 transcript:ONI13540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSTVFVKSCVELWGRKWNIQDISTVVVFLALHCLCLFAPFHFNWGAFWVAMALYFLTVLGVTLSYHRNLAHRSFTLPKWLEYSFAYCGVLSLQGSPIEWVSTHRYHHQFTDTEKDPHSPIKGFWHSHMGWIFDSSYRFGQHGGLKNVEDLKKQLFYRFLRHTYVLHSVLLGGLLYAAGGFSFLVWGMGVRMVFVFHNTFLVNSACHMWGKKPWNTGDASRNNWWVALLVLGEGWHNNHHAFEYSARQGLEWWQFDFTWCIIKFIQAIGLATDVKVPTQIQKQRKASKSRILATQN >ONI10313 pep chromosome:Prunus_persica_NCBIv2:G4:1887516:1888452:1 gene:PRUPE_4G040200 transcript:ONI10313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGKVKQAAAFSCKSQIPPSQRIRIDNVSKHYPNFEENCIYKFCLVKPFPAKKLNFHSSCCYITHQTNEKSLVAKCYDSVLQCLVS >ONI10936 pep chromosome:Prunus_persica_NCBIv2:G4:3752885:3754029:1 gene:PRUPE_4G077100 transcript:ONI10936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDQQFDPNKAAPPFANQVGNNYMHIPVASARGAVLPHEAKHIKPFHGVEFQTSDICPKNFIIFDQTDHRSQIMFNPAIAHKITGPAFNICAAYIQDNLGLNEGNNEDRETSSSLKEDSDDIDALLSLEEEELEEYDEEEVSTARTHGNYGSMSPDSCSNYGLKTKKNRQCSSLGKSSGIGSSSCNSERKRQKMKKMVRVLRGIVPGGNEMNTVDVLDEAVQYLKSLKVELQNLGVENLND >ONI10288 pep chromosome:Prunus_persica_NCBIv2:G4:1821871:1824957:1 gene:PRUPE_4G038800 transcript:ONI10288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPGLWWTWRVLGSLLLVPGILGWGKEGHFAICKIAEGFLSKDALAAVKELLPASAAGDLAEVCSWPDEIRYHLRWSSALHYVDTPDFLCNYKYCRDCHDPAGHKDRCVTGAIYNYTMQLFSAYHDSISESKYNLTEALMFLAHFIGDVHQPLHVGFTGDAGGNTIIVRWYRRKTNLHHVWDNMIIESAVKTFYSKDLAIMIKAIESNITDGWSNDISEWQICANNQTVCPNEYASESISLACKYAYRNATPGSTLTDDYFLSRLPVVEKRIAQSGVRLAATLNRVFSSEAKIAEA >ONI10582 pep chromosome:Prunus_persica_NCBIv2:G4:2650208:2650849:1 gene:PRUPE_4G055100 transcript:ONI10582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGREDKLVRFTGVKTVNFLYSASLTVLKTGFINVA >ONI11645 pep chromosome:Prunus_persica_NCBIv2:G4:6399005:6399611:1 gene:PRUPE_4G118200 transcript:ONI11645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGTVCFCQSSEIIHHLGRIRNNSFWVSCVSLRSSQIELKGELFFVYLIFEYGMVYGISVQCSPRVCLCYIFFF >ONI11977 pep chromosome:Prunus_persica_NCBIv2:G4:7704936:7707336:1 gene:PRUPE_4G138300 transcript:ONI11977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFEDGNSLFNFVVRDGNGVKGMVDLGLSKVPEQYIQPPHERIVKLNAISADELSPIDLSKLDGPDHDQVADAIVNAAEVLGFFQVVNHGMPVELLESLKNAAHDFFGQAPEKKAAYRKGVSPSPYVKYGTSFVPEKEKALEWKDYVSMVYSSDVDALTYWPNECKEVALEYLKFSMDMVKKILKVLIAKLGVTLEDSKIDALIGLRMVNMNFYPTCPDPELTVGVGRHSDMGTLTVLLQDGIGGLYVKVEEDMDAGRKGEWIEISPVPGALVINIGDTLQILSNGRYKSAEHRVRTTSTKSRVSVPIFTIPKQTERIGPLPEVVKNDGVARYREVVFEDYMSNFFGNAHEGKKSLEYAQIIISSTST >ONI11487 pep chromosome:Prunus_persica_NCBIv2:G4:5678591:5680417:-1 gene:PRUPE_4G108500 transcript:ONI11487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAQHLHHAALIPSQLIPNRDFIKTNQGNANIYSTQMDSGLILSATMPENLLPMYQSPFCEANKASMNKQAESGLTYNNISAPRKRPRDQLINDFNNFSVPQKTKMSVGASSFIDQDIAFQIQQQQSEIDRFIAQHTEKVRMELEEQRKQQSRLLVSAIQERIVKKLKEKDEEIQRMGKLNWVLQERVKSLFVENQIWRDMAQTNEAAANSLRTNLEQVLAHVSEEHQAGGGPPAAAVADDAQSSCGSNDYGRDEEAVDGGDAVVGGGGNGGCGGGDRMCKRCGVRESRVLLLPCRHLCLCTMCGSTLLNCPVCNSVMNASVHVNFS >ONI11851 pep chromosome:Prunus_persica_NCBIv2:G4:7152716:7159718:1 gene:PRUPE_4G129800 transcript:ONI11851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTVLYNQLKAAEPFFLLAGPNVIESEEHIFRMAKHIKHVATKVGLPLVFKSSFDKANRTSSKSFRGPGLTEGLKILEKVKVAYDIPIITDVHEASQCEEVGKVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSAEKVRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWIREANCPVVADVTHALQQPAGRKLDDGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPSNAPVDGPTQWPLRHLEELLVELVAIARVSKGKQHFNIDLTPYCD >ONI13979 pep chromosome:Prunus_persica_NCBIv2:G4:17929821:17930606:1 gene:PRUPE_4G255900 transcript:ONI13979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLGNYQQGIMEGHPPVGSKNKGKEIKIERVIEKQQQTRRKIKVSRRRKQYKIKMANDDEAGPSSRYNPTLGFKQLLPDHQEIDEVVIGKEVYELYSHLLGPCMDDKSSLTSSSEDYLRLKTP >ONI12108 pep chromosome:Prunus_persica_NCBIv2:G4:8325102:8327928:1 gene:PRUPE_4G144800 transcript:ONI12108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLYEAATSGEVGFLREKIRDGSASSIDLLLQKTPKDNNILHISAEFKQIDFFKNVDGDQFDQLFWATNKKDDTPLHVASRVGCHEIVKLLIQHAKKKLHHMKRGDEESGPADGEYHNKLLRVTNSDNDTALHLAVRYNHEEVVILLMEADPQLCCITNKAEESPLFLAVRKRSPSIAHCILQAYDSDISPSFQGTNGLTALHVAVTQEKLIDKGVVKMMMSKNHDIIREVDAIGWTPLHYAAFTGHVEATQLLLKCDSSTCYMLDESKMSALHVAAYAGHTKVMAELIRCRPDACDLLNSKGQTALHAAVLGGQRGVVKYILRTPKLAGLINEADKDGNTPLHMAVIYKKIEIIDILTSDPRVDRTAINKKLSKAIDIFLGQCIEQQEIINRCPVLQQLGSSVGGTFFQQKIRNDFNKVKPSKKDTPCTPAAIGLKRQEGAQASSNQALKRLDTKLVVTTLIATVTFAAALTPPGGFKTDGTPVLFENYYYKVFQLFNQVSFVLAILAIYNESNPIRFLSIEVATPARLIQYSIGGLLVAFVSVAAAVTPKGHSKGPLQIIPIGPSPVDIFVNIFQLFVIAFALIPVVTFVYQKLRERQVSNRVI >ONI14193 pep chromosome:Prunus_persica_NCBIv2:G4:20186065:20191887:-1 gene:PRUPE_4G267900 transcript:ONI14193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQQILNLRVVKRAYLDFVAHVLSVQSLQPTITTTPICILELDLDSNYNDS >ONI10121 pep chromosome:Prunus_persica_NCBIv2:G4:1334729:1335275:1 gene:PRUPE_4G028500 transcript:ONI10121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACLRGQPDNSECPDTTGLHKGSLSPQVRSGLLFEMSKEKQRKKPKANVRKTHSCLLALPSCLLPLLPCYIHPQSSNPLDRGNK >ONI13303 pep chromosome:Prunus_persica_NCBIv2:G4:13390588:13392657:1 gene:PRUPE_4G214400 transcript:ONI13303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECKLLSFAIVLVLFLVNGVNGKDPYRFFTWKITYGDIYPLRVQRGWKEGAGCQGSERLLQEKKDFPIMDNSLFAILSQHVEIRC >ONI13137 pep chromosome:Prunus_persica_NCBIv2:G4:12775916:12778673:1 gene:PRUPE_4G205000 transcript:ONI13137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELDVQIPTAFDPFAEANAEDSGAGTKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSSFLVQAGIVKKDNIKIHGF >ONI13429 pep chromosome:Prunus_persica_NCBIv2:G4:13905045:13907776:1 gene:PRUPE_4G221400 transcript:ONI13429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIQDITQAIHELKFEAMAHSFPEEIIQNILIRLSVKSLIKCTSVCKAWRSMIINQSFIHAHLNPTVDFDNQNDIDLLLLHRISGSNSLNYYQNTVINKVKDEVHSVHHDNRAFDVYSKIEFPISAKKNYGNSHLRVVGTCDGLICLADDVPSYAYNFIIWNPAIKKSVTLPRPGITYQTHGGYDASIGFGFDATTNDYKVVRVVTLLDDDDETPTLAEVYSLATGTWTSLGCVSPACLIDKAASSAFVNGVLHWPVVCQTDVDFYYIILTFDLGKEVFSQIPMPKIIQWDVNLGLQLSVSDNKKSIALFMRPNNREDFYVDYGREDSVLDIWVMKEYGREESWTKLVTLNPQGPETIFLSALCFRKSGELLLLLKEEERQELVSLDLVSEQFKLLGISGYKYCTGHFYKESLLLLDKSHAESY >ONI12878 pep chromosome:Prunus_persica_NCBIv2:G4:11244108:11247472:-1 gene:PRUPE_4G189100 transcript:ONI12878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWTAAARQAANMARISSPKSACTAQAASLVHRRGLAGGGDHHGPLKVNFWEDPMHPSKWKQEHFVIVSLAGWGTLLYGGYKFFTKDKKDKKDEKVGEPSH >ONI09895 pep chromosome:Prunus_persica_NCBIv2:G4:794819:797071:-1 gene:PRUPE_4G016600 transcript:ONI09895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLNGSFLNPLRPPPQPSPTATTHTFNAIINRLSSQGSHQEVLVTYSSMLKTNTPPDTYTFPNLLKACTSLNLFPFGLSFHQCLVVNGFSLDAYIASSLINFYAKFGHAQNARKVFDAMPERNVVPWTSIIGCYSRAGNVGIAFDMFCDMRREGIQPSPVTLLSLLSGVTELTYLQCLHGCAVLYGFESDITLLNSILNVYGKCGRVEDARDLFEYMDGRDIVSWNSLISGYSQTGNIRDVFQLLRKMRVEGILPDKQTYASAVSVAATQSDLKLGKSVHGQILRTGFELDTHVETALIVMYLKCSNIDIAIQIFERTANKDVVLWTAVISGLVQNHSADRALNVFGQMLQSRTEPSSATIASALAACAQLGSLDLGTSIHGYVLRQGMRLDIPAQNSLVSMYAKCARLGQSRAVFERIGKRDLVSWNAIVAGYAQNGHIHEALVLFSEMRATLQKPDSLTVVSLLQACASLGALHQGKWIHNFTMRSCLRPCILIDTALVDMYSKCGDLDRAHKCFVEMSNQDLVSWSTIISGYGCHGKAETALRMYSEFLHTGMKPNHVIFLSILSACSHNGLVNTGLSIYQSMTEDFGIAPSLEHRACVVDLLSRAGRVEEAYDFYKRLFQEPAVDVLGILLDACRTKGNEELGNIIAEEIFTLRPVDAGNYVQLAHSYASMNRWDGVGDAWTQMRSLGLKKLPGWSFIELHGTVTTFFTDHNTNPQYDDMVSILKMLSWEMSKSSIDSINQTVDC >ONI13502 pep chromosome:Prunus_persica_NCBIv2:G4:14393744:14395862:-1 gene:PRUPE_4G226500 transcript:ONI13502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSNFYFFPFGLVTAGILFICCVCRIIYISTRKLDKEDKVTMDATTTTVEEKGTSLK >ONI13957 pep chromosome:Prunus_persica_NCBIv2:G4:17540453:17540901:-1 gene:PRUPE_4G254400 transcript:ONI13957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDGKELEMGGCNALIVESLMPYDQEFYLSIVFERLGSTVSLSSVEVLKLTKGVGEKRN >ONI10690 pep chromosome:Prunus_persica_NCBIv2:G4:2972566:2974469:1 gene:PRUPE_4G062100 transcript:ONI10690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFYPTQKQQTPTKTQLMISSYHSHTLLPNQCGSSLVQTIDAPLPLVWSVLRQFDNPQAYKQFIKSCSMRAGNGGIGSIREVVVKTGLPAKTSMERLDELDDNMHVMHYSIVGGDHRLANYSSTTTVHGEEEGKAVVIQSYVVDVPAGSSEEDTCLFTNTIIGCNLKSLAKVTEKMAANN >ONI12558 pep chromosome:Prunus_persica_NCBIv2:G4:10134348:10136678:-1 gene:PRUPE_4G171500 transcript:ONI12558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVWWSLLAAIPAVVAGQAIRVKKRRAEEERIKSARGREKSSDEIFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLRRCQSECLKLSSSSSL >ONI14062 pep chromosome:Prunus_persica_NCBIv2:G4:18636913:18637699:1 gene:PRUPE_4G259700 transcript:ONI14062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMQVFHTSVMSSMSGMCEFERGRSVNGYYGLRTKRFTSWTDANPEE >ONI14061 pep chromosome:Prunus_persica_NCBIv2:G4:18636913:18637699:1 gene:PRUPE_4G259700 transcript:ONI14061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMQVFHTSVMSSMSGMCEFERGRSVNGYYGLRTKRFTSWTDANPGRRFDVCGKKNDRCRF >ONI12809 pep chromosome:Prunus_persica_NCBIv2:G4:10946471:10947993:-1 gene:PRUPE_4G184600 transcript:ONI12809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGCEAILEDMLSWDKLLPDDMKRKVEEKFNDLRDMCERGELEPEEAYKLLKVFEDEIFMRCVQKMETDRPPQFDETAGRDEKREVDDPPGEGPILRWQTRAVFAPGGDAWHPKNREVKVSVTVKELGLSRHQFRRLRELAGKRYNPGEDERTITSERCWRDLWILTYFPYTLRIPIIIVIDLL >ONI14543 pep chromosome:Prunus_persica_NCBIv2:G4:25093305:25093657:1 gene:PRUPE_4G286500 transcript:ONI14543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPTFGKKNKKEEAVLTQLVSDSKGLFYVFWVFFSQLATKQSLISSTLSVCLSSSLNGLIKQ >ONI11043 pep chromosome:Prunus_persica_NCBIv2:G4:4117515:4120111:1 gene:PRUPE_4G084200 transcript:ONI11043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIAGSYEKFIWGFKLKSLKPNPDSQSLALTPLFSYPSHLSSITTVATAGSAAASGGSDDTIHLYDMSSATSLGSLHDHSATVTSLSFFTPPHLSFPLNLVSAAADGSFCIYEADPFVLLKSLKPHRKAINDVSLHPSGKLALTVGKDECLSMINLVRGRRSFYCRLGKEASLVTYDGSGDKFYMAMEDKVMVHEAEDARLLCELENSKRVLCVEPGENGVLYTGGEDRCITAWDTNSGKVAYRIEDAHPARVKGIVVLTSKAGAVADDDPYLVASASSDGVIRLWDVRMAVKEKPNPLAEANTKSRLTCLAGSSLKSFKRPQIGKSDKKEEHDAATEGV >ONI10150 pep chromosome:Prunus_persica_NCBIv2:G4:1424337:1425759:1 gene:PRUPE_4G030600 transcript:ONI10150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVASALDELCITEQGKIGGRMGHRSHNNDDEYKSKNLHAERRRRQKLSERLLTLRALVPNITNMNKATIVEDAITYIHELQKTVNILKDQLFDMEASEEEAPEPKKEEIHSAEEMKKFGIQAGVNVTQIDGNKLWVKAILEKKRGGFTKLMEAMTAFGFELTDTSVTTSNGAMLVSSCVMGFYCETLEVEQTKELMLEIISSI >ONI14068 pep chromosome:Prunus_persica_NCBIv2:G4:18731721:18731963:-1 gene:PRUPE_4G260300 transcript:ONI14068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLVSFEQNGACLMFKLKTETHSLTKGKQNNTASANDVVRNQIFKIKELCLPREACAFHSASAFSGRLDFKAYAFHDIC >ONI12465 pep chromosome:Prunus_persica_NCBIv2:G4:9668973:9673575:1 gene:PRUPE_4G166500 transcript:ONI12465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTRLRRPQPSDPSASSSPSSSYSKVDKQGKSGDADGFDKGFRWFFPLVALGMLRYMSATSNIIHDCDEVFNYWEPLHYLLYKSGFQTWEYSSQFALRSYLYILFHELVGRPASWLFAEEKVRVFYAVRLFLAFLSVITDTVLVVALSRKYGKRLASYTLAMLCLTSGCFFASTSFLPSSFSMYAMSLSSGLFLLDKPAMTVTVAAVGVILGWPFSILAFLPVTFYSLARRFKQAFLAGAATSVALLVLSVLVDHYYYNKWTSSVLNLLIYNVAGGGESHLYGTEGPLFYIRNGFNNFNFCFVLALLFLAILLIARKKYAPSLLIVVSPIYIWLAFMSLQPHKEERFLYPIYPLICVAASAVIESFPDVFRSDYDSQGNSPMVMTAKILRPVVLGLILCASHARTFSVINGYSAPLEVYKLLEDHDNAGTGSVLCVGSEWHRFPSSFFVPDYVGEVRWIDDGFRGLLPFPFNSTLGGTAAAPPYLNDKNKASNDQYLRDLEQCTFLVELQLSRPFPSRGSDLSTWEVIGALPYLDRELSPAKYRSFFIPYLWQRKNIFGMYKLLRRVPK >ONI11893 pep chromosome:Prunus_persica_NCBIv2:G4:7374535:7375019:1 gene:PRUPE_4G133100 transcript:ONI11893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFGYDEKRIKHYRTCLARAFGSAANMVATSLDSREELMVKYSKALRNLRELENRGCKIFHGLDMCTPCTITLSLSRCSLIE >ONI11356 pep chromosome:Prunus_persica_NCBIv2:G4:5283761:5287794:-1 gene:PRUPE_4G103400 transcript:ONI11356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGSREQTARSPINKIQKILPHWFGLWCLTLSALKFSTSVVTMNIFKKKTSPKEALRTSKREMSVATRGIEREIASLQLEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMEPAKQVKVIREFQKQAAQMDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASRNTENVAPRSAANVAPRSAANVAPRNAENVVTSSESPDVEDLEKRLASLRRI >ONI12135 pep chromosome:Prunus_persica_NCBIv2:G4:8426660:8427952:-1 gene:PRUPE_4G147400 transcript:ONI12135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQVVLLDFWPSPFGMRLRIALAEKGVQYEYKDEDLRNKSPLLLQSNPVHKKIPVLIHNGKAVSESLIAVQYIDEVWKDKAPLLPSDPHLRAQARFWADYVDKKMYEIGRKVWTTKGEEQDAAKKEFLECISVLEGELGDKPYFGGKTFGFVDVALIPFYTWLSVYEKFGNFSVEAEHPKFIAWAKRCLEKESVSKSIPDQQKVYDFVLEMRKKLGIE >ONI11010 pep chromosome:Prunus_persica_NCBIv2:G4:4026751:4027683:1 gene:PRUPE_4G082400 transcript:ONI11010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRFSPLPNGHVWFTEKHLQLLKMHGKCDLVYSLKMCLLCIIMLFTCYKYMCGTQIYLFSNNVIIHVQYRHNIDNLSEYVYYRNNKTISTRNIERKVFRKLTLS >ONI12063 pep chromosome:Prunus_persica_NCBIv2:G4:8132827:8133489:1 gene:PRUPE_4G141900 transcript:ONI12063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYALFFLLFFSFFEKTHFHYSFGIKPFSFLFFSERNLLIKQLKGCKSVKKQLKLQNNTHKEKSKN >ONI13891 pep chromosome:Prunus_persica_NCBIv2:G4:17147233:17149400:-1 gene:PRUPE_4G252900 transcript:ONI13891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSNEMSSANLLQAQAHIWNCIFSFINPLSLKCAVQLGIPDIIKKHGNPMSLSELISALPIHPTKSNCVYRLMRILVHSGFFGRQKLSELDEEEGYVLTDASRLLLKDDPLSARPFLLGQLDPFMTKPWHYFSTWFQNNDPTACFTAHGTTFWDFGYLEPSLSHIFNDSMASDARLISKVVSNEYKGVFEGLESLVDVGGGIGTMAKAIADVFPHVECIVFDLPHVVADLKGSKNLKFFGGDMFEAIPHTDAILMKWILHDWSDEECIKILQRSKEAITRKEKKGKVIIIDMVMKQKGDDQSIETQLFFDMLMMVLVTGKERTEKEWAKLFSDAGFSDYKITPICGLRYLIEVYP >ONI10718 pep chromosome:Prunus_persica_NCBIv2:G4:3028445:3033353:1 gene:PRUPE_4G063200 transcript:ONI10718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIFDHDVNVNLLQIYSHGSNGAGGIPREIENLTMLKEIHLDSNNFNEIPNEIFSLDQAEMLYVGFNALKGHVHVAVFNMTSLTTLVFNLTSLTNSIMSGNIPIGIGNLSSLVSIYLGYNELNFVKAKYIILGIISVVLLVASVSIFLHLKRNVEVPIEATSLSQHLLWRRVSQLELVRVTNGFNENNLLGSGGFGSVYKGALSDGTYVAVKVFSLKLEGAFKSFDRECEMLSNIRHRNLIKIISCCSEIDFKALVLNYMPNGSLEKWLYSENSSLDFLERMNITIDAASALEYLHHGYSLPILHCDIKPNNILLDDDMVAHVADFGIAKLLGGGDFTTESMTLATVGYMAPEYGMEGIFSTRGMQWIANSLLPDAIIAEVMDANLLGTKQKDNDFVRKRDCLSSIMR >ONI14564 pep chromosome:Prunus_persica_NCBIv2:G4:25199586:25203152:-1 gene:PRUPE_4G287600 transcript:ONI14564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCGSHLLITDTQLQKMPKRKTDKAYVLDKSKHLARLNIAEAGKVVLKRGEGKMEKQFRMNCVGCGLFVFYRSEEDLEGASFIYVVDGALSTVAAETNPQDAPVPPCISNLDGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >ONI14565 pep chromosome:Prunus_persica_NCBIv2:G4:25199595:25203095:-1 gene:PRUPE_4G287600 transcript:ONI14565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKTDKAYVLDKSKHLARLNIAEAGKVVLKRGEGKMEKQFRMNCVGCGLFVFYRSEEDLEGASFIYVVDGALSTVAAETNPQDAPVPPCISNLDGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >ONI13791 pep chromosome:Prunus_persica_NCBIv2:G4:16271928:16272227:-1 gene:PRUPE_4G245300 transcript:ONI13791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKWHPPPTGWIKINFDGSLMNSQGSIGFVIRNCDGHVLLAGSNNVGENSINVAESIALWDGLAVAIERGWDQIVVEGDSKLVIDSILKKASPPWSIQ >ONI11802 pep chromosome:Prunus_persica_NCBIv2:G4:6943517:6944703:1 gene:PRUPE_4G126400 transcript:ONI11802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDISDIIDILDLGAVGLNFQPDYTSFSIRLTKVIYLILIIDDVYDLYASLEVLKLFTNAVDRWDVGETGQLPDCMKICFQVLYNTTCEIAHEIEEENGWNLVLPHLSKVWADFCKALLLEAEWYSSGYIPSLEEYLSNGCISSSASVLLVHTFFSTTHRDQPTEEIADFWHKNEDFVNNISLIVRLTNDLATYQAEQERGDAPSAILCYMREMNVSEDIAETKIIGMIDKAWKKINGKCLRTPQVPFLSPFINLPQILR >ONI12364 pep chromosome:Prunus_persica_NCBIv2:G4:9117742:9119654:-1 gene:PRUPE_4G159200 transcript:ONI12364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTFLSFQLPCPDIGEWKYCLKVGEHIPQ >ONI13684 pep chromosome:Prunus_persica_NCBIv2:G4:15531352:15534119:-1 gene:PRUPE_4G237900 transcript:ONI13684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKIGKIIGKTNYSASNLPPGPWKLPFIGNLHQLIGSRPHHGLRDLAKKYGPLMHLKLGEVSTVVASSAEFAKEMMKTHDLIFASMPPILAAKILSYGSTNIGFAPYGSPINLTEEIYSSTYCFTSRVAFGKKTKDHEKFIYVVKEAVKTLSGFGLADVFPSVSLLQLLSGLRPKLERLHKEADRIMENIIKEHQRDMVTTKSVEGEAEEDLVDALLKFHEHGSGLEFSLTTDNIKAVIFDMFSAGSETSATAVDWAITEMIKNPRVMKTAQNEVREVFNRKGQIDETCIREMKYLNLVIRETLRLHPPIPLLLPRECGEKCEIDGYEIPVKSKVIVNAWAIGRDPNYWNEPERFNPNWFLDSSIDYKGTNFEYIPFGAGRRICPGISYGLANV >ONI10988 pep chromosome:Prunus_persica_NCBIv2:G4:3902127:3903950:-1 gene:PRUPE_4G080500 transcript:ONI10988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFSKSFSVRTKYGAMATVVFASIYVVGAYISRARKMSKEEHQGPRLTRSMSIAVLHGGQLALQRLFEYHEARADKSAVEIAECELKTHLAEQHPDYKKLQSVIGKLEMSGKEAQAVEILKNATAKARNEGRNHEAYEYEMLLVEMLIYKGDFKEALGRECLRHAEISDARRPLFKAIVHIIEGNKNEGTKYWREFNDLKEEFHCTPCMKESMEECQLHKLSTNFNEFEKVVDILKKDIIEVQAKRNKK >ONI11005 pep chromosome:Prunus_persica_NCBIv2:G4:4003433:4005197:-1 gene:PRUPE_4G081900 transcript:ONI11005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHFISPLFIGLMRPCPQLAHVDLIGFDNDKPPNYLLHKSGTNGTTAQLGEAINGFHVIARSCSFANRR >ONI14014 pep chromosome:Prunus_persica_NCBIv2:G4:18316884:18317841:1 gene:PRUPE_4G258000 transcript:ONI14014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTRALVTGVSRSPSLVSRRGIGRELLHYELVYNYSGSKHITESLKRCGISESSTYVLATYFNSSSDESSRETINGKEIDLEELGGRLDQAQIQKHYKITSMELGISSVADAIT >ONI10342 pep chromosome:Prunus_persica_NCBIv2:G4:1983060:1983533:-1 gene:PRUPE_4G042000 transcript:ONI10342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQATGDLGEPAAAYAAETSSDNKVVELTQFPITLDSVISVVVPRPKKSRTKKEKEEEEEVLAIQGIEFLADEAVKFDVHVNDDEDSLSRPDESEFAGSFVYLPHKRKRVTTSLRLGITDLLDDVGADGDDSIKVTLVPKYVKRPVTIRHIKIEFLK >ONI13063 pep chromosome:Prunus_persica_NCBIv2:G4:12478770:12480367:1 gene:PRUPE_4G200700 transcript:ONI13063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKAIGSGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGTSSKNVGGDDDS >ONI12422 pep chromosome:Prunus_persica_NCBIv2:G4:9500725:9501657:-1 gene:PRUPE_4G163900 transcript:ONI12422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLKYTRSSFCGNLSSTYRVVWIICVVWLWQLINLSFCAIVGATNISSEFHEKLLPLVTDYEYFEGVVDFKFASFSSMVALIHIYIYIYIYSELYGIPQIII >ONI11215 pep chromosome:Prunus_persica_NCBIv2:G4:4651056:4653830:-1 gene:PRUPE_4G092700 transcript:ONI11215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQYGMLMRLSIMLLAVLVPAATSVVATTRASARQGGEEDETAMARPGCKPKCGNVTIPYPFGIGAGCYSRPEFNITCIESNNNEPTLPRLMKSRIFVANISLEEGELHILQLVNRDCYDKQGIPLPKEDQSSGGLRVYNPYTISGEKNRFFVVGCDTYAYLFGGRDDQRYTTGCVSICRKKIGGYAIDKNESCSGMGCCETKIPPGLNNLTLRVESYQKHRYVWDFNPCGFAFVVKHGYFTFNTTSFQQLRNTSRLPLVLVWQVKEESCELASQNHDTFACKGNTTCHNWSMGYICRCRKGFEGNPYLYGCQDINECASNPCKNGQCTNLQGNYSCSCDRGYRNQDQITCIEVPSETSLKISLGVSLSFSVLLVAIFWIYRKRRKSHVEKLKQKYFDANGGALLEKKMASLGKTARFFTENDVQATTNNYDKVKKVGEGRYGIVYKGILDKQVVAIKKSTVSAPIDPNQNTDPVDQKQITAAHNQFVKEMTALYQINHTHVVRLIGCCLETPTPILVYEFMCKGTLYENIHDKNGKKPSPPLTLAQRLRIAAETADALAYLHHSAVTRFIHRDVKTANILLDKNLTAKLSGFGASTLVLEDENETSILASEKEKGDDVYSFGLVLVELLTGLKAEEKEVKLFVRSVEGSTLRQTLDEEILEKCSDEVIKKAAELTIKCLNSRGEERLSMKDVLGELQKLLGTMPQHPSGGEEDDISPSPKDTGNLVGSSSAESAEAACK >ONI10966 pep chromosome:Prunus_persica_NCBIv2:G4:3850236:3852385:-1 gene:PRUPE_4G079400 transcript:ONI10966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTAGVPVSISREIFTMSNCYSWTSAPLLRRPSLITVTTTHLSLSLSLSLSLSLSLSLSLSLCVSALSTYTALQQIIILHHLQNWLRLSSQALPDPITLRFLVRDMNLETSQTVFVGPYLSPAAREKFKSDYNLFNVGLMKLPIDLPGTAFRNARLAVSRLVETLSVCAERSKARMEIANSEPTCLIDFWMHETVQELRNAAQAGSPEPAVISEVEIGAHLFDFLFAAQDASTSSLLWAVTLLDSHPEVLAKVREEVAGIWSPDSDSLITAEQLSRMKYTHAVGREVVRYRAPATMVPHIASIDFPLTETYTIPKGTIVFPSAYESCLQGFSDPERFDPDRFSDERQEDRIYKRNYLAFGAGAHQCVGQRYALNHLVLFIAMFCTLIDFKRQRTDGCDDIEFVPTICPKDDCKVFLSPRCTRLPSL >ONI12329 pep chromosome:Prunus_persica_NCBIv2:G4:9020076:9023282:-1 gene:PRUPE_4G157600 transcript:ONI12329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWVHSSFCVARILGLLLLVGFVPNGGASEEASCDLFKGRWVLDENYPLYNPSSCPFIEREFSCQKNGRPDHFYTQYRWQPHDCDLARFDGVDFLERFREKSIMFVGDSLSRNQWQSLTCMLHSAVPNANYNVTRVDDVSIFTFTDYGLKVMLDRNVYLVDVVREKIGRVLKLDSIVGGKLWKEIDMLIFNTWHWWNRRGPSQPWDYVEVGGRVSKDIDRMVAFEKALMTWAGWVDSNIDPAKTKVFFQGISPSHYNGSQWDEPKARSCVGQKEPLLGSTYPGGLPPALSVLKNALSKITKPVTLLDVTNLSLLRKDGHPSIYGLGGTTAMDCSHWCLCGVPDTWNEILYNFIL >ONI12905 pep chromosome:Prunus_persica_NCBIv2:G4:11423113:11426902:1 gene:PRUPE_4G191000 transcript:ONI12905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQVSLASAQPQTPKATPDDVNRRCANFSPSMWGYHFLSYASVETNIKAKQRAQELKEKVKMIIMAPVKKPSQKLDLIHDIQRLGVSHHFENEIDELLQQIHTSSHCNPESGDQETDNELYTAALRFRLLRQQGYNISCDIFNNFKDSDGKFKESLVNDVVGLLSLYEATHLRIHGEDILDEALTFTTTHLESATHRLSPVLLKQVTHALYQPFWKGLPRLETRHYLSLYQERDSHNETLLNFAKLDFNLLQQVHQRELSEISRWWKDLDFVNKLPFARDRVVESYFWASGVHFEPQYYFARITLCKVIALITILNDIYDVYGTHEELELFTEAVERWDISAMDHLPEYMKVCYQALLDVYVEIEENLANEGNLYSIHYAREAMKVLVRAYFRKAKWFHQKYTPTMDDYMSAALNASNFTLATTSFVGMGDIATKYSMDWVFDDPKMVKAASLIGRIMNDIKSRQFEQKRGHVASAVECYMKEYGATEEEANIELSKQVNNAWKDINETCIQNTTIPMPLLLRILNLARVVEVLYKHGDGFTHAGIFLKDSEVSLFVEPVPL >ONI11650 pep chromosome:Prunus_persica_NCBIv2:G4:6406753:6410189:1 gene:PRUPE_4G118400 transcript:ONI11650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGYQQNDFDSSFEQSLSGSFRKFTSGLLQNDLDLSPGHSSNGSFRRSNSVISTHSISGTSASSKYAPSRRVSKGLKDYARKLVDLELFTHCLEDWVLENSCEDSDNGFSAPFMIDELRKLDVALEGALFQQLLRMPCSPYVSNDPNEDEYLALEDFLHAIVSGLWHAFWHKRGQLPLFVSCPRSLGSKFYTVEKAISRGRLKELCGLALISKMGSDQQVHWDQIMEFALFKPDILSGNELKLSTPVICEALFYGFHILVSRSLSKTRTAKNSSVFLLVLDSKYGGVVKLGGDLSKLDLNSTNPYKSMVEWIKNHAEIGVSPVDRIWNKFGNANWGDLGTLQVLLATYYSIVQWNGPPRRSIASLVSEHSLRLQKRRMEFCLSENENVLVPFQQSSHQQGEIVEVEQNNNQAFKNKASRLNLKQGEVLLLEDQQQEPKTFLVQDSLPGGNHYLYSAVCVDYPTQLLTLYIGAHPSRLEPCWEDMSLWYQVQRQTKVLNIFKHQGITSKYLPEMIASGRILHSGPCKKQTPGGRCDHPLCGTPILVTSPVGEPVSYVVSQDGPLSPEEAVRCCRDCLAALRSAAMANVQHGDICPENIIRVVDEQGSRNNIFYVPISWGRAVLEDRDSPAINLQFSSSHALQHGKLCPSSDAESLVYLMLFICGETMQQQDSIESALQWRETSWAKRSIQQQLGEVSALLKAFADYVDSLCGTPYPVDYDIWLKRLSRAVDGVGDRGKMIEQVATPLRLKDVAESSGTSGGGS >ONI14197 pep chromosome:Prunus_persica_NCBIv2:G4:20317249:20320369:1 gene:PRUPE_4G268300 transcript:ONI14197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKLLSLLRTCIISKSLKQGKLIHQKMLTLGLQNDPALCKNLINFYFSCHFCDSAKLVFQSIENPSSISLWNGVMTGFTKNHMFVEALELFESLLRYPYIGPDSYTYPSVLKACGALGQVGFGKMIHNHLIKTGFVSDIVVASSLVCMYAKCNVFDCAIRLFDEMPERDVACWNTVISCYYQDGQAQKAMELFEKMRNSGFTPNSVTLTTVISSCARLFDLERGMKIHKELIKDQLVLDSFVTSALVDMYGKCGCLDMAKEVFEQIPIKNVVAWNSMIAAYSVTGDSISCIQFFRRMNREGTSPTLTTFSSILLACSRSAQLLHGKFIHAFMIRNIIEADIYIYSSLIDLYFVSGSVSSAKNVFEKMPKTNTVSWNVMISGYVKVGDYFGALAIYDDMKEAGVRPNAITVTSILSACSQLAALEKGKEIHRTVIDSEFETNEIMMGALLDMYAKCGAVDEALNVFNRLPNRDPVSWTSMITAYGSHGQALEALKLFGEMQQSNAKPDGVTLLAVLSACSHVGLVDEGCHFFNQMITNYGIKPRIEHYSCLIDLLGRAGRLNEAYEILQRTSEIREDVDLLSTLFSACRLHRNLDLGVKIARLLIEKNPDDHSTYIMLSNTYASVKKWDEVKKVRLKMKELGLRKNPGCSWIEINKKIHPFFVGDKSHPQSEMVCECLTFLASHMERDELLSN >ONI13874 pep chromosome:Prunus_persica_NCBIv2:G4:16791429:16793309:1 gene:PRUPE_4G251200 transcript:ONI13874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLDQPNTNNGSLITVSLIRKSNHRSAKEWFSSHRSVSSVTTATETEAEIETEKKKKKKKKKKNAGAETAVTVIGTGATITTVAHLRRHASGRGASRPPRTRAVVVSSSRWRHQDVICYLCKGDINHADLANTAAQLGQRL >ONI12767 pep chromosome:Prunus_persica_NCBIv2:G4:10801886:10804738:1 gene:PRUPE_4G182000 transcript:ONI12767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCKSCSAITTINTQPNLLLIFLFVLTTFSWNSVSFFAAAVDQVSYADHCASIVPESTPKPLAGAGYFFNHQIGYYTGGGSGILNPNSPYRLNSILFNTWNITETNVQGLFKLQANLQFESARTLYYLGDSTSSRPQYPGGIYNYKDSGSNQYKRRSIEFLLDGFWSASSGKVCMVGSGIGNYLNEPNSLQNLYVVLKLYNLMNSTSITSLTSGTLESLSKNDPNNFEPVSILMLPRMNYQYTLVSNKSDNSFPGTGSDDPKSSLQIQTFCSTLSRKVLDYDFDLKYSSHCISAKSCIPFVASDVPHIVSLKPIECFEDTRRLRVLVKFSDSGTVWYQRSFDPNTALVGEGAWDAKNNQLFLVACQFLDAAGSWNNTHVGDCSTRLNLRFPAIWTIGNTSGVVGQIWSKKAVTESGYFEKITFESNQNERRRILLPGQKYEYTQIEKVTKLCPILKTGANANNKPNTYPNPFSYDMRFDMSAKNSRGVVSWGSSVPLSVGSQFYHQNWYAMRNSNSVASTEGYSVDSVSAHVSYSYNHRITYNISYKISIKLISYAKLGNTSTVHEVQISAEGIYDETEGSLCMVGCRNLGSNNVQPTTDSVDCEIVVNFQFPPANSSGFIKGSIESTRKKSDPHYFEHLDLSSAASYVDEAKRSIWWIDVEISLAHISTTLACIFVALQLFHVKRHPDVLPSISIFMLLILTLADMVPLMVNDEAMLTNNTNHRKVFLGRGGGLEVNGVIVRTITMVGFLLKLRLLSLTWLAKAMNNGPQNKLWVMEKKAFIVALPVYVAGALAALLLMNWRKIGTKSDVPVISGYQEHRLLGALKSYAGLVLDGFLLPQILLNMFCKSKKNALSVWFYIGTTFVRVLPHAYDLYRAQNSAHHPLNESYIYASPVADFYSTAWDVIIPFGGLLFAGIIYLQQKFGGLCILPQKLRELGEYEKLPTVTEG >ONI12904 pep chromosome:Prunus_persica_NCBIv2:G4:11399829:11401790:1 gene:PRUPE_4G190900 transcript:ONI12904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTADRTEPRCKRPAQHPPTTAAADGQCSADSQNEVTAMVESIFRHCHFLYSRYSTEGISRGIDEQHHRKRTAHVVECCTCENPPKH >ONI12671 pep chromosome:Prunus_persica_NCBIv2:G4:10592742:10595666:-1 gene:PRUPE_4G178600 transcript:ONI12671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWQSKFLHTSMVFSVIAIVFHIGITYGLVHWRALGFLGAPLATSISPCLSVLMLACNVSCTNSFERTWEGFSFESFNYILTGLKLALPSGTMERLEEWGFDILVLLGGLMPNSAKTSSLLAMCVNTQEIGYKIRYGLIAAASTRVSNELGAGNPDRAKNSMVAASFVDSDASYAVLREDFASMTPLLAISIIVDFCVARGCGWQHLAAYVNLATCYLLGMTISGLLGFKLKLYAKGLSIGIICGLSCQASTFLLITLLKKWTQSDLSKNPEEEENPVLV >ONI09922 pep chromosome:Prunus_persica_NCBIv2:G4:860569:860904:-1 gene:PRUPE_4G018600 transcript:ONI09922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEDVSKKGGDAFKEAKTYAFFHHKFPGYGYGLGKGIGFGGDFGSGVGYGGNVGGFGSQSGSGSSSGSSSGSESGTSTGAGAGAGSGSDAAAGAGAGSAVEGQGAGGGSP >ONI14519 pep chromosome:Prunus_persica_NCBIv2:G4:24707326:24710711:-1 gene:PRUPE_4G284800 transcript:ONI14519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALIYVLLERLASTTYEYIEGGVKLVLNVKEDVKKFTRTLRVIQAVLEDAEQRQVTDQAVKIWLDELKDVSYQMVDVLDEWNTNILRQQVEKQEREGDPNALVTKKKVRFSSFTRYFRLGKVSRVILRHDIALKIKDLNDKLTEIYEERKKYQFLSKELGIQQPQQPQRPQTASFVDISEIFGRENEKKVLITNLLSDSSAEGKGFLIIPIVGMGGMGKTTLTQLAYNDDRVKTHFDLRKWVCVSDPFDEIKIAKAIIGKNAPNSNELDEVLQCMCTSIQGKRFLLVLDDVWTDDPKKWEQLKVPLIQNGAKGNRILVTTRKHEVADMMRATRNKINLGELNDECCLSIFNHMAFLDRDVHEFGDISKEIVKKCKGLPLAAKTLGSLMQNKTKMGEWKEVLHSKIWDLEKVEQEVFQPLFLSYNDLAPTIKCCLLYCATFPKDYQFERDDLIKLWMAQDYVISKGNKEKETTGYAVFDNLVARSFFQDFEKDFDTGTITSCKMHDIVHDFVQFFTKNECLIIDHGEETTSEPKVFGDKVRHLTLRYVPEGPLPLFISSYDCKNLRTLATFNSRITTIDPNLILQLKCLRTLNLSFNPIEELPKEIGELIHLRHIDLSSNPILKKLPDTICGLYNLSTLRLMYCSGLTKLPENMGNLINLKHLYVKYCGLLESFPKVIGRLTSLQTLDACSWGGDKDEAFQIGDLRNLNLEGSLEIQLGGDATDKSEVEKAQLWDKKLFNLRVNFAGRTNSSSSSSVEILNALRPHPDLESLEILEHNGTTWPNWIQSLHNLRFLTVAWGTLCEFWPLGKLECLERLAIFGIEGMKKVGVEFLRLEHQTSFRIRLFPKLKRLHFYHMSNWEEWEGVEEWTKEDSEITIMPCLSELRIGDCELLKALPDFIFKTPLRTLDITKCRRLAEHYEEGSGERAKISAKIPNIRISSG >ONI09643 pep chromosome:Prunus_persica_NCBIv2:G4:141969:145142:-1 gene:PRUPE_4G001500 transcript:ONI09643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHISKSKSKALYLYKKAAAVGIQKLSLSAAQLRTSATRASCTDSEEISEGEDWYASHIIQDKDLLRKSLHNSGTGLHVLDLVNRGSLEADRTLYNKLLNRCTQMGRLQQARIVHAHILTSHFKDDLPIHNTILNMYVKCGSLEDARNLFDQMPSKDLVTWTALISGYSQYDRPQDALVLFPQMLLRGLEPNQFTLSSLFKAAGAVSDDNNKHGRQLHAYCLKYGFDTNVYVGTSLVDMYARWGHMDESQLIFDSLETKNEVSWNALIAGHARKAQGEHALRLFWKMLREGFKPTHFTYSSVFTACASAGSMEQGKWVHAHMIKSGAKLVAFVGNTLLDMYAKSGSIEDARKVFDRLVRQDIVSWNSMLTGYAQHGLGQETVQRFEEMLRIGIQPNDITFLCVLTACSHAGLLDEGQYYFDLMKSYNIELQISHYVTIVDLLGRAGLLDRAAKFIREMPIEPTAAVWGALLGACRMHKNIDLGAYAAERVFELDPHDSGPHVLLSNIYASAGRLSDAARVRKLMKDCGVKKEPACSWVEIENAVHMFVANDDAHPQRVEILQMWETISGKIKDIGYVPDTSHVLFFVDQQEREVKLQYHSEKLALAFALLNTTPGSTIRIKKNIRVCGDCHSAIKYVSKVEGREIIVRDTNRFHHFRNGSCSCRDYW >ONI12470 pep chromosome:Prunus_persica_NCBIv2:G4:9695857:9696699:1 gene:PRUPE_4G167000 transcript:ONI12470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMICGSYYWPKEDKLKPEGDDAHFICAQEQTIGVADGVGGWAKHGVDAGQYARELTCNSIMSVQKQTIVDPRRVLNEAYADTKCEGSSTACIVTLRETGVLHFVNVGDSGLMVFRNYKLLYMSPREQRSFNCPYQLGNSRGSDNPCSATEIEIRVFPGDIVVLGTDGLWDNMYPNEIEQVVLGNMRESRVMKPQALACLLADLAWLRSLDKDSFSPYSKAAQDAGKNHPGGKMDDITVVVGHIMVTSPVCSCVEDFVDRPLEIIWDNTKPVERATSTPW >ONI09831 pep chromosome:Prunus_persica_NCBIv2:G4:584007:585140:-1 gene:PRUPE_4G012200 transcript:ONI09831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKIGLPAKPSLRGNTWVVDASHSQGCTSQFTFINRKHHCRRRGGLFCNSCTRQKMFLRGQGDSPVRICEPCEKLEEAARFEGHGHKTRAGRGSLKLTSKPEDEVLNQILGNDRKESGQEPNSNVVASI >ONI09832 pep chromosome:Prunus_persica_NCBIv2:G4:584227:585077:-1 gene:PRUPE_4G012200 transcript:ONI09832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKIGLPAKPSLRGNTWVVDASHSQGCTSQFTFINRKHHCRRRGGLFCNSCTRQKMFLRGQGDSPVRICEPCEKLEEAARFEGHGHKTRAGRGTILIPSKAV >ONI11718 pep chromosome:Prunus_persica_NCBIv2:G4:6649114:6652560:1 gene:PRUPE_4G122600 transcript:ONI11718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYHLSIAHYFLIFFLASSYPHTSKLHFGDALPSTKPCIDEERRALLAFKNDLTDPSGRLSSWVGQACCQWKGISCKKITGHVEKIDLQNTYTYTLSVFDREWDEMESSSLGGKINPSLLSLKHLSYLDLSRNDFQGIPIPTFFGQLESLRYLNISRASFGGEIPAHLGNLSNLNYLDLSEESYYSLLELPSNNLKWLSNLSSLKYLSLEGVDLSNTGVSLVIAFNNFPSLLELHLPACHIKSLPFSLGNVNFTSLLFLDMSYNDLKFPLPEWFFYLTSLRKLDLSGNFLGGPVPSEFQSLKSLEALDLSFNDLSGQIPKFFGNFCNLKTLNLANNQFEGGIQELLGGLSSCPNSELESLDLSSNKLKSQLPASIGMLHNLKYLNLYNNDMSGSIPKSLGQLSELVHLDLSFNSWEGFLTEAHFTNLTRLKYFSLGKVFPRPTLPIPLIFNVSYEWVPPFKLHKINIGNCKVGPAFGAWLQSQTELVFVKLSTTGISDSVPEDWFMKLSSQVEYLDLSSNQIRGKLPLQLKLPNALLLDLSHNQFDGPIPLWSGDNVVRFKLETNSFSGTIPLNFDQKFPKLEFLYLAENHLHGTILPSICNMKHLITLSLRNNNLSGEFPKAWSLLPDIMVVDVAYNSLSGNLPSSMGDSCSLFMLKMNNNNFEGEIPFSLKTCTSLRNIDLGNNRFTGEIPPWIGSTAFLVSTLRLRSNFLSGHIPQQLCNLGYLHILDLAHNSFSGTIPKCLNNLTGLSIFNNSFYNLYLVYDQQTTVMRGRELQLNTSLAYVKNIDLSSNRFEGEIPQEICSLVLLNSLNLSMNQFSGNIPSKIGNLSQLDTLDLSLNHLSGQIPQSLSSLTFLSNLNLSYNNLSGEIPLGNQLQALPDSSIYEGNPFLCGFPLSTKCSEDGNSTPKDPKDNDNEDGNEKFWFYVSMALGFIVGFWGVFGTLIVKKSWRYAYFRWFDDIKDKATLCVRRLVCQE >ONI10753 pep chromosome:Prunus_persica_NCBIv2:G4:3186435:3187392:1 gene:PRUPE_4G066200 transcript:ONI10753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFDQFHMYIYLGVQLCKERFLINLKSRMEIRLCSSLAGDDVIHFCNFNLRRSTEAR >ONI11396 pep chromosome:Prunus_persica_NCBIv2:G4:5413156:5413628:-1 gene:PRUPE_4G104800 transcript:ONI11396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIQVDLLEPDRIVCSFKVTPPLPPLLNGGNFMHGGATATLVDLIGSAAILTVGTPSFGVSVEINVSYLDSAYPGEEVEVEAKALRVGKAVGVASVELRKKKTGKIIAQGHHTKYLALASKL >ONI12047 pep chromosome:Prunus_persica_NCBIv2:G4:7936395:7942624:-1 gene:PRUPE_4G141000 transcript:ONI12047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPVLVLKDSLKRESGTKVHHGNIQASKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKHYHPTVICRAYNKALEDAIAVLDKIAMTIDVKDRATMLGLVKSCIGTKFTSQFGDLIADLALDATTIVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSVVLKGVMINKDVIAPGKMRRKIFNPRIILLDCPLEYKKGENQTNAELLKEEDWGVLLKLEEEYIESLCVQILKFKPDVVITEKGLSDLACHYFSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGIFEVKKIGDEFFAFIVDCKEPKACTVLLRGPSKDLLNEVERNLQDAMSVARNILKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGVITDVKEKKIWDAYNVKAQTFKTAIEAACLLLRIDDIVSGIKKKQPPGAKAPSKPQVETEGDADNEQIIPE >ONI11274 pep chromosome:Prunus_persica_NCBIv2:G4:4979129:4979383:1 gene:PRUPE_4G098000 transcript:ONI11274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYGTVTSLRNPMSLFTYQESRTLKSDHMFTNIVLERLLALISISSSRIFKS >ONI09842 pep chromosome:Prunus_persica_NCBIv2:G4:610824:615106:-1 gene:PRUPE_4G013000 transcript:ONI09842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVSLCPKEYQKVYQEWFNLVDSDGDGRITGNEATKFFALSKLSRQELKQVWATADKKRQGFLGFTEFITAMQLISLAQEHELTPDILKTEVDWENINPPLMEGLDALSAKTKSSTTNGLEVNGSIQSQPPAQWFGSKSVKKLPLIAVTSITDGLKRLYNEKLKPLELTYRFNDFVSPSLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRCNYPGAHIGPEPTTDRFVAVMSGPDERSIPGNTIAVHADMPFSGLATFGGAFLSKFECSEMPHPLLDEITFVDTPGVLSGEKQRTQRSYDFTGAISWFAAKCDVILLLFDPHKLDISDEFKRVITSLSGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNESAVGPMGKELFEKEQNNLLADLVDIPKKACDRRINEFVKRARAAKTHAYIISHLKKEMPAMMGKAKTQQRLMDNLEDEFAKVQRDFHLPAGDFPDVEHFKEVLKGYNIDKFEKLKPKMIQAVDDMLGREIPELLKNFRNPYD >ONI11336 pep chromosome:Prunus_persica_NCBIv2:G4:5220808:5228342:1 gene:PRUPE_4G102200 transcript:ONI11336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQQFQSMEQLKLAAESQQKEDHSFKGNQRSDDAHECSGSPRSRKISARWDPDEPCRPAIDEAPVFYPTIEEFEDTLGYIAKIRLVAESYGICRIVPPPSWTPPCPLKDKEMWEHAKFSTRIQQVDLLQNREAMKKKSRGRKRKRRRHSRMGTKRRSEANVASETDEKFGFHSGSDFTFEEFQRYAYTFKESYFRSKDAKEGSNSVETRSKIWKPSVEDIEGEYWRIVEQPTDEVEVYYGADLETGVFGSGFPKASSMVTEGDSDQYAMSGWNLNNFPRLPGSVLSFEASDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKVWYGVSGSRAQSLERAMRKHLPDLFEEQPDLLNELVTQLSPSVLKSEGVPVYRAVQHSGEFILTFPRAYHSGFNCGFNCAEAVNVAPVDWLEHGQNAVELYSEQCRKTSISHDKLLLGSAQEAVQALWELSVLGKKTTRNLSWQNVCGKGGVLTKAVKTRVQMEEERLDRLPICLKLQKMERDFDLNERECFSCFYDLHLSAASCKCSPDRFSCLKHAKHFCSCDISHKYVLQRHTISELNMLVEALEGRVEAMKVWASKDPVVVSIDGTDWRTTKLDQESSMSHKRVKSCNPRETSSCCPVSEEKVNINASCSSSSQVSSAVVQSGSQHGAFSLSASRITMDRQNDDETLAMNDEEKMGNECCFDLNLNYMSDERESRTMHISDDFDNKAVTIEEDASTSVSNQEKVCSSDVARDPDMMKVDNGYPACSRDIRNSCASDGNKLFGVELCLPHPSSNKQSINFSKTEIVKDSGVNISLTDQSCQLQKLSPSVEPIDFGAVVSGKLWCSKQAIYPKGYKSRVKFCSVLDPTKVCSYISEVLAAGLLGPLFKVTLEECPGEAFANVSAEKCWDMVLQRLNQEIKRRSSLGESGLPSLQPSINGLEMFGFLSQPIIEAIEALDPDHQCVEYWNYRRIVPLAFGNVSEIKQHSFESSRSLGETDMKIFGITLTRQDRDNPLVEGDHPTEEMQLVLRRLLKKADSEELRTLQRVLCSESQSSKWRVAFTSLIEEIQRNVDS >ONI10583 pep chromosome:Prunus_persica_NCBIv2:G4:2650953:2653115:-1 gene:PRUPE_4G055200 transcript:ONI10583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKGDLRHLRAVSTEDAKAFAERENTFFMETSALESMNVDNAFTEVLTQIYRVVSRKALDIGDDPAALPKGQTINVGGKDDVSAVKKVGCCSA >ONI12900 pep chromosome:Prunus_persica_NCBIv2:G4:11367294:11369253:-1 gene:PRUPE_4G190500 transcript:ONI12900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLMAATASSSTLLRPTPFLGQTRGPSFNTLRDVAPMGTGKYTMGNELWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGFRINGLDGVGEGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >ONI13438 pep chromosome:Prunus_persica_NCBIv2:G4:13949090:13950633:1 gene:PRUPE_4G222200 transcript:ONI13438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRGWTGNSNSNGQLPSMMIEEILSRLPVKSLCRFKCVSKSWSSLISDNPSFVASHLYKGIGEDKDDLLFRRRRRVIFTDAAGNGLHSMHLDYDDEFLNRNNKEDASSHHDNRKRNCFDFDEEVGGSNLLVTTATELQYVYSALSCISVSMLGCCNGLLLCTFLYDPQLYLVNPATRQSKKLPEIPTEYLIDDLYYFCDVYGFGFDSSTHQHTVVNGVVYRTSIRDDDEGGVQFNVYTLETNSWRQIHEYVFPYHIFPSRNKGTLLNGNLHWLGTRVGEDDDHHSSLLIVSLVLTQDQEEVREIPLPREIDSSRTLHSILGVFREWLCVTFEVDYGKATPTFNEFWVMKEYGVGESWTRMRVSIPYWKLSHSGFWTKSHDLMVFEEELIMYNFNDHSFRNLCVREIGKVGSVVTYWESIVSLK >ONI13535 pep chromosome:Prunus_persica_NCBIv2:G4:14584473:14585216:-1 gene:PRUPE_4G228300 transcript:ONI13535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKVVLKVMTMTDDKTKQKAIEAAADIFGIDSIAADLKDQKRKGSRVGGPTCFVMSPYNNKMTPPSADQNHFYNNKMTPQSTGQQSCVAARASSPSQFGRRTNLIIR >ONI10852 pep chromosome:Prunus_persica_NCBIv2:G4:3518923:3519693:1 gene:PRUPE_4G071900 transcript:ONI10852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREWGSKPGSGGPASAQNEAIDRKERLRRLALETVDLAKDPYFMRNHLGSYECKLCLTLHKNEGNYLAHTQGKSHQTNLARRAAREAKEAPPAPQPLQPQKRKGNVRKSVKIGRPGYRIEYPEIEFNAKPRHRFMSSYEQRVQSVDKRYQYLLFAAEPYDTIAFRVPSTEIDKTTPNKFFSNWDPDSNMFTLQIYFKTKAPETNKPQPPPLQPIVQEFNSLNDLGPVHVLPRHTLADY >ONI14167 pep chromosome:Prunus_persica_NCBIv2:G4:19888602:19890849:-1 gene:PRUPE_4G266200 transcript:ONI14167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCFKQQPQPSVNNGDDSVILFSTSNSPEESTSPASSFYHSSPPPHHSSNNPTYKLTVQNLSHTFLPSKGFITTSFCHLVQKKPKPVSILKSVSFSATSSEILAIVGPSGTGKSTLLRIVSGRVKDNDFDTKCVSINDHQITSPAQLRKICGFVAQEDNLLPLLTVKETLMFTAKFRLKGIGAKEREDRVERLMQELRLVHVADSFVGDEENRGISGGERKRVSIGVDMIHDPPILLLDEPTSGLDSSSALQVIEMLSTMAKSKQRTVVLSIHQPSYRILYHISSFLILSHGSVVHNGSIELLEETISKLGLQIPVQLNAIEFSMEIIQTLEDSYSRTDQISGTENKEPYSYSSWPEEEIVRDQQDSRKIGTYYFSSLYEIMFLCSRFWKIIYRTKQLFLARTMQALVGGFGLASVYMKVRKDEEGVAERLGLFAFSLSFLLSSTVEALPIYLQERRVLMKEASRGAYRISSYMIANTFVFLPFLFAVAILFSVPVYWLVGLNPSIAAFAFFTFVVWLIILMASSLVLFLSAVSPDFISGNSLICTVLGAFFLFSGYFIPKESIPKYWLFMYYVSLYRYPLDTLLINEYWSIQSECFSWHPQDYSHSKCLLTGNDVLKSRGLDKDTRWINVGIMFGFFVLYRVLCWIILSRRASKTTI >ONI13903 pep chromosome:Prunus_persica_NCBIv2:G4:17427614:17432448:1 gene:PRUPE_4G253900 transcript:ONI13903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLCFTPASTSSSSSSSSLSKLNPHRPSLPSASPLLHLRLTVTPPSLTHRHRQFRQVLFSTGSGDGGINGRDSSGGGGGGGDDGDTNGGSDNAGGKNLAEALLVLAESKRGLESLPKDLAAAIQAGRIPGSVVTRYFELEKSGLFKWLMQFAGFRERLLADDLFFAKVFMECGVGLFTKTAAEYQRRGENFFNELEVVFADVVMAIIADFMLVYLPAPTVSLRPPLALNAGAITKFFHGCPDNAFQIALSGTSYSLLQRIGGVVRNGAKLFAVGTASSLVGTAVTNALINARKAVDKDKAGEIENVPIVSTSVAYGVYMAVSSNLRYQVLAGVIEQRCLEPLLHKHKLMLSAICFAVRTGNTFLGSLLWVDYARMIGIQKAH >ONI13569 pep chromosome:Prunus_persica_NCBIv2:G4:14804110:14805629:1 gene:PRUPE_4G231000 transcript:ONI13569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCESVVNLPVGFKFRPRDDQLLGYYLLNKVRGTSFMYDNVIPEMDLYGKIEPWDIWHEYGGRNLAKSEDLYFFTKLKSLSDKDSRVARTIGSGTWKGENSGTPVSDPKNEENDLGIWKRFHYENPKSVQDGCWIMHEYSLHPSLVKPKPNSTDQFVLCRIRKNDKGKRKLRTAEEDNETDNPVQSQNKRQRPQQVTSFEELIGNCTPMSDAAGVGGSISYLPTELTQSQPDSSFAYATTVVSSQARANYTDDVSQFHGGGDGDALMNDFSHLDTAQPLTEQALGSYAVCNQERASDVYETQQGLGLTDYNIGYWPSPFGSEEDQVNAPDFSMDYDLLNHLINCDDDNDGPRQSSTAQFMGMGMENTSTAISEANMVIID >ONI11085 pep chromosome:Prunus_persica_NCBIv2:G4:4256141:4260663:1 gene:PRUPE_4G086400 transcript:ONI11085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPPGTVVHNRLLGFLIWQSIPSTVIFFIFKTLSSTISSTSHSTVKPPFPFAPSVFAFITFLTFHLSQLLFSFSLSLISSPHPHRLASPLQLALGLIRFLFVPGGSELSESPESRVRAKLSIGFLLFLAAVAVSGFVAMVSVCVKFGDGVGVIGAVGFRGFVMGLLYGLYYVYYRRWVFEFPIIQRPPFFSFKMGLPSAITRSLKLSCLASLFSAFLVVFLPHQLQNQATIGKVIAEQIIFYIGSFLVFLCWEISHHLHQVLHTKRFVFAPPKGSAAAETNPSEHLLSALEESSPNSLLQYLAYLDLYMVCENNVDTWRRAAFFEESGETYKRVIYVCLRPLEQLASKLGEGLESSVEKSSQISNQLLSPTDQRLDPKYSEPLNNFQLYAWCAWTAASLTTCSHREDRFGVAQLSGSNASVISTLISCLLAVESYMGKKTSLQSAQHFMGSTGFKLRTSSVGNIGMGKKRAGPLHSKAYAIADVLRNSIYHIVSTFHDQMASSAKKGLLEKDWIISDKPPFGTRELLVQKLHLFLDFRAS >ONI13170 pep chromosome:Prunus_persica_NCBIv2:G4:12917995:12918847:-1 gene:PRUPE_4G207400 transcript:ONI13170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKSTLIFLVMLALCGVCFGVVHRVGDSSGWSSRGLVDYNEWTSTKDFYVGDTLIFAYNNQFHNVMQVTNQDYESCNPTSPIAVYTSGSDNITLDGPGNFYFLCGAAGHCQAGQRVEIMATLPTPDGSFVSPSPAPYGSSPSASHSEVSPSSEQSSGPAPHSEMMSPSSALSIHFSKLGLGVTVFVLSTLLGLVF >ONI09650 pep chromosome:Prunus_persica_NCBIv2:G4:164366:168836:1 gene:PRUPE_4G002000 transcript:ONI09650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADPDYSHNQINPTGTTEPKTTRNKLIYLSFSNRVKLHKLPHLTDNYATTTTSSDDDLSSSSSGGFQRSSSSSHTNPLEDNPFYHLSHSHGFYITPSDVVLRHIVYDYQHQPSSASATSLDDDDDDDDAVAAYHRAGPRRQIFFQVSSTKAAIVTCGGLCPGLNTVVRELVLGLWDLYSVRQIFGIKAGYRGFYSKELEPLQLNPKLVHNWHKIGGTILQTSRGGFHLQNIVDAIQHRGYNQLYIVGGDGTMHGAVEIFHEIRRRKLNIAVTGIPKTVDNDVGIIDRSFGFQTAVEMAQQAISAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCIIPEIPFYLEGKGGLFEFLEKRLRENGHAVLVVAEGAGQDYMRRRMGMDRINEDDAQNQKQDISIRAAGGRDESGNPVFLDVGQWLKSELNKWWRREHPNELFTVKYIDPTYMIRAVPANATDNLYCTLVAHSAIHGVMAGYTGFVSGPVNGNYAYIPLEEVAKAKNEVDTRDHKWAWVRSVTNQPDFQTKS >ONI12566 pep chromosome:Prunus_persica_NCBIv2:G4:10193600:10196933:-1 gene:PRUPE_4G172100 transcript:ONI12566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHQQPVIKTNGPDSSSSSFSDLQYQSGFHNHFSSEALPGTLPHGQSSPLLCPYGLYAEQISGTSFTSPRKLNHRTWLYRVKPSVTHEPFKPLESSHRKLVSEFTDSNSSTTPTQLRWKPVDIPETPTDFVEGLYTVCGAGSSFLRHGFAIHMYTANKSMDNCAFCNADGDFLIVPQTGRLWITTECGKLQISPGEIAVLPQGFRFAVDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLAAPRDFLVPTAWFEDSYRPGYVIIQKFGGELFTAKQEFSPFNVVAWHGNYAPYKYDLTTFCPFNTVLFDHGDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATIARGNEAGPSRISDTLAFMFESCLIPRICPWALESPFIDRDYYQCWIGLRSHFTREGASAKDGDIQNGEKED >ONI10568 pep chromosome:Prunus_persica_NCBIv2:G4:2615445:2619074:-1 gene:PRUPE_4G054200 transcript:ONI10568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEARDLFQAQSHLYKHIFNFISSMSLKCAVQLGIPDIINSHGQPITLPDLVTALQIHPARTGHVHRLMRLMVRSGFFAIKQVRNNQEEEEEEEEEAYDLTPSSRLLLKDKVPSLSPFVLAMLDPALATPWQFLGNWFRGNELTPFESAHGMGFWEYGDQNPEFNSLFNEAMTSDSGMMNLVIKDCKPIFEGLSSLVDVGGGTGKVARILCEAFPHLKCTVLELPQVVANLTDTENLKFIGGDMFQAIPPADAILLKLTLHALSDEECLKVLKKCREAIPGNGQGKVIIIDIVIDDTKDEHEITEAKLFFDLLMMVVVTGRERSEKDWKNLFLEAGFSNYKLTPIFGLRYLHLPHTTVIGFENNDKRAWVERIMQVDKRDIGTALNVISSNISAATFLCSISLTLSSLIGAWLGSSSSNEVFTSELIYGNVSPSILTIKYITLLTCFLLAFACFVQSARHFVHANYLISTPDSNIPAWYVELAVIRGGDFWSLGLRALYFALTLLLWFFGPIPMFLSSIVMVILLHYMDKNTRPLHDHQLPGRQLVKNVGQRITEVAVNIHQHTEAVEATV >ONI09689 pep chromosome:Prunus_persica_NCBIv2:G4:233938:237296:-1 gene:PRUPE_4G003500 transcript:ONI09689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLGLLKVTVVQGKRLVIRDFKSSDPYVVVKLGNQVAKTKVINSCLNPVWNEELSFFLNEPIGVLNLEVFDKDFLKTDDKMGHAHVSLQPIVSAARLRQILRVSSGETTLRKVVPDSDNCLVRESCITCVDGEVVQSVWLRLCDVESGEIELKIKLTDAPDPDATSG >ONI09688 pep chromosome:Prunus_persica_NCBIv2:G4:233941:236964:-1 gene:PRUPE_4G003500 transcript:ONI09688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLGLLKVTVVQGKRLVIRDFKSSDPYVVVKLGNQVAKTKVINSCLNPVWNEELSFFLNEPIGVLNLEVFDKDFLKTDDKMGHAHVSLQPIVSAARLRQILRVSSGETTLRKVVPDSDNCLVRESCITCVDGEVVQSVWLRLCDVESGEIELKIKLTDAPDPDATSG >ONI09845 pep chromosome:Prunus_persica_NCBIv2:G4:620788:622962:-1 gene:PRUPE_4G013300 transcript:ONI09845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERQSFLSKDRAISGYIAHEGLELKNFDACLKWVFVDQSNVWRTGISWSIFFVLAIGVPLVSHFLLSCSDCDENHSRPYHVVSQLSLSLFSVLAFISLSCWTRKYGLRRFLFLDKLYDASEKVRHGYKQQFQNSLKLLCMIVFPCFAAECLYKIWWYVSGATELPYYGNIYLSNTILCTLELCSWLYRTTIFFLVCVLFRLICHLQILRLENFAQVFQKETEVELILMEHLKIRRNLRLMSHRFRVFILLSLIMVTASQLISLVMVTRSSAHVNIFKAGELALCSISLVISLFICLRSATKITHKAQSITGLAAKWHVCATIQSFDYTESETPMAPISSAQVFPFGADTESEDDEAGEDDDLDNTRLVPIYTQTISFQKRQALVKYLENNRAGITVFGFTVDRMWLHSIFAIQLALLLWLLNKTIG >ONI13324 pep chromosome:Prunus_persica_NCBIv2:G4:13465946:13468287:-1 gene:PRUPE_4G215500 transcript:ONI13324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTPSSSSNNNSGLLQQNEGRSPQELSGGGGGGGDVVEGSKGLKKGPWTAVEDQILMEYVRKHGEGNWNAVQRNSGLNRCGKSCRLRWANHLRPNLKKGAFSHDEERLILELHAKYGNKWARMASQLPGRTDNEIKNYWNTRVKRRQRQGLPLYPNDIKQPQQSHSHSRSLPTTTIQNPFQSTNNCTTPTFSFQIQSPIQAHHMHSLSPTPPSLSPLSSPHHPKSTTLTSLPLFDPSNPPTTSSFSSFTFHRPAPILGAPVRYKRYRDSVGFSPPVSPTPQRSASILRANSMPDIASSHLTITNSIPEIASFQFPRTLNPSLPPLPSSRTQFEYSESFLSSTGSVYSVKSELPSSQVSQTQSEVTIDTKVSSTAAAAAVSASQSHSSNGLLEDLLQEAEVLACGGGNPSKRANGLFSSFEEKHVLDNYTRWLQSTSSLEGSLLGEVLVFC >ONI13323 pep chromosome:Prunus_persica_NCBIv2:G4:13464906:13468287:-1 gene:PRUPE_4G215500 transcript:ONI13323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTPSSSSNNNSGLLQQNEGRSPQELSGGGGGGGDVVEGSKGLKKGPWTAVEDQILMEYVRKHGEGNWNAVQRNSGLNRCGKSCRLRWANHLRPNLKKGAFSHDEERLILELHAKYGNKWARMASQLPGRTDNEIKNYWNTRVKRRQRQGLPLYPNDIKQPQQSHSHSRSLPTTTIQNPFQSTNNCTTPTFSFQIQSPIQAHHMHSLSPTPPSLSPLSSPHHPKSTTLTSLPLFDPSNPPTTSSFSSFTFHRPAPILGAPVRYKRYRDSVGFSPPVSPTPQRSASILRANSMPDIASSHLTITNSIPEIASFQFPRTLNPSLPPLPSSRTQFEYSESFLSSTGSVYSVKSELPSSQVSQTQSEVTIDTKVSSTAAAAAVSASQSHSSNGLLEDLLQEAEVLACGGGNPSKRANGLFSSFEEKHVLDNYTRWLQSTSSLEGSLLEAKPIQDDHISSLPEDLSKLFSFIPSTEPISDWYSDSGELSNGHSSGLTDACLDFDMQQHMAALFPVTATAEHGKASSSWDNLPGIC >ONI13439 pep chromosome:Prunus_persica_NCBIv2:G4:13957295:13959001:1 gene:PRUPE_4G222300 transcript:ONI13439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRGAAARAAAATPAEPAGSRPNIANEVRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAAHILRGPKAKTNFPISCAYPPPLYNNPTDQAIETKLFGSGYGFQDNPVVDPQRPTSSSLSSTVESFSGPRPPPQSTTIAAESSRRKRQPRTPPVVPEDCHSDCDSSSSVVEDDGDFAASSSFRKTLLPFDLNFPPLDEEGELGGGEGQDLRCTALCL >ONI10873 pep chromosome:Prunus_persica_NCBIv2:G4:3599032:3604715:-1 gene:PRUPE_4G073700 transcript:ONI10873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRASILCSSNPIPSFPPTSHRLVAPLQSRRAESVSSPLRLPLTRLHNHRFALPPLHSTVTEEIVETAETESGFTKVGYISNVHGLQGEIRVKSSTDFPELRFSKPGKRWLRQQISGRETVQEVELVEGRGHPGQKSWILRLRGIETVDEARQLIGSTLLVREEDRPQLEEGELYTRDLVGMRVILKETGEPVGNVVNVFNSGASDLLQVMLDTTLDILDSTGKPRPTGTRLSGHLVWIPFVKEIVPNVDVQRNEMLITPPKGLLELNLRFDERSKKERRQLEWKERKKMQKRLIAAKKKLCEMEQKHVFDGLRFGEKAQRSLLADQIVGVNSKLLQQALENVEILSKRWNVTEMVSALKAKEKTSTLEISEECLVSSASKYKLGTHFHLQEKGVDLISKGKAAIALVVNDSGNLQEPQLACNPDHENSDSTEKSVSPFLQTLLCDDQRFIKMEDRASVPLVLVCSAQEIQPLRMHFSENDYFGFVPEKVWFLEEEKLPVVSKAVEAEKKHKILMKSPWEILQSPVGSGGIFSLLSSNNIPESLGEMGVEYIEVCSTNPGYAGANPLLLGFVNSWKSDVGIQIFKGRKDFDEGFDIIFSTSFMKKLTKQINKLQFYATPKQNLHVELVDKEWVDVTPISPNSYELGCTIYSSLKASSFDKLCIMEVTE >ONI09857 pep chromosome:Prunus_persica_NCBIv2:G4:655929:657810:1 gene:PRUPE_4G014100 transcript:ONI09857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTCILILVIHAAAGLPARDLEVTTNHKDDVESSLGSNQHPQSISWKKPEGILKMYTSLWDFLAKEMDIIEKIWKDKRIKHALKNLIPLLVEKDNSWQNSKEAKGKTISLGSVENLCKGDNDGGDQQGKDSAEPTNSKKQSMYKYNPLLIATITGIVPIVAEILRQHPQAAEHVSHSEQNILHLAIKHRQREILELLKRKPTTISRLNEMIDSDGNTILHQAADRSYYSVAISQNLIGPAMQLQTELRWMMGVKNIVPPHYIMHHNNKDQTAEELFNDEHNELLKSAQEWIKDTAESCSTVAVLVCTVVFAAAYTMPGGNEPNGLPVFHDSPLFWLFTCMDVVAIACSLSSVAFFLSILSSPLEYPFFCHVLPRKLMIGFTLLFLSMATTMLAFAATILLVIRIEKKWTKSMLYSIAFFPVPLFGLLQFPMYQSFKEIYHKITKIFRPFFVPFLGFCKRRSICGKSKAN >ONI09856 pep chromosome:Prunus_persica_NCBIv2:G4:654716:658075:1 gene:PRUPE_4G014100 transcript:ONI09856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLEIEHAEMAYWRTEEVLLKDEGESARKIEVLKLPYDLAMLNDWEGMKRYYVDNPQKLNSPMTVDEDTALHIVASCCRRKSQGKQVLEFLINLLPPSYDERCKAVRVPNKLGNNVLHEVAMSGNLEAATFLVINFNKPAGKTSNEENSTLPLLDIRNELGESPLYRAAALGHPDLVQFFADELEENPENLRRHFHRNDRMSILHIAVIGQQFRTALWLQSKYPFLATKREGKGLTSLQLLAQMPTAFTPQFLQSRWKMLIYYCLPARDLEVTTNHKDDVESSLGSNQHPQSISWKKPEGILKMYTSLWDFLAKEMDIIEKIWKDKRIKHALKNLIPLLVEKDNSWQNSKEAKGKTISLGSVENLCKGDNDGGDQQGKDSAEPTNSKKQSMYKYNPLLIATITGIVPIVAEILRQHPQAAEHVSHSEQNILHLAIKHRQREILELLKRKPTTISRLNEMIDSDGNTILHQAADRSYYSVAISQNLIGPAMQLQTELRWMMGVKNIVPPHYIMHHNNKDQTAEELFNDEHNELLKSAQEWIKDTAESCSTVAVLVCTVVFAAAYTMPGGNEPNGLPVFHDSPLFWLFTCMDVVAIACSLSSVAFFLSILSSPLEYPFFCHVLPRKLMIGFTLLFLSMATTMLAFAATILLVIRIEKKWTKSMLYSIAFFPVPLFGLLQFPMYQSFKEIYHKITKIFRPFFVPFLGFCKRRSICGKSKAN >ONI12789 pep chromosome:Prunus_persica_NCBIv2:G4:10886863:10888307:1 gene:PRUPE_4G183800 transcript:ONI12789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKGDFQFGSDSNSLNLLSEVSVVVKNWEEDDVEKAMAMAVRSCCCNPLHVYGFDGCLIPQKAMVKQNKGVFSSSSSSSSSLDMLAEVATQQLMESEDGNGLTTKWVFEEKAVLAEFISFCAKKERSEFKTFHFSDINIPKKKRSSMRRTQRRFLPHASHDSVQSVNCMNMKRKRLSAHSGSDHGHEDNNNEAFVQKKQKLVHVQSTADVNSLKTSDDTVQQWSIRCKSQFRFALVKISKTKAEVQQCGHGGSTYSSSQKGQRGSSISCISHDC >ONI11068 pep chromosome:Prunus_persica_NCBIv2:G4:4180805:4187840:1 gene:PRUPE_4G085300 transcript:ONI11068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSRGFSRIGRNSFNGLCRCLRFRRMDAHQLFVSSIYSQSLSESTQKILGCRDFFSWGLPPVFHGYRHQIHHQSSSIVEEPLDPFSLVSDELSLIADRLRDMVVAEVPKLASAAEYFFKIGVEGKRFRPTVLLLMSTALNVTVPEPPTQLREALSTELRARQQCIAEVTEMIHVASLLHDDVLDDADTRRGVGSLNCVMGNKLAVLAGDFLLSRACVALASLRNTEVVSLLSTVVEHLVTGETMQMTTTSDQRCSMEYYIQKTYFKTASLISNSCKAIAILAGHTAEVAMLAFEYGKNLGLAFQLIDDVLDFTGTSTSLGKGSLSDIRHGIITAPILFAMEEFPQLRAVVEQGFDNPANVELALDYLGRSHGIHRTRELATKHANLAASAIESLPESEDEDVRRSRRALLDLTHIVITRTK >ONI11574 pep chromosome:Prunus_persica_NCBIv2:G4:6091474:6093072:-1 gene:PRUPE_4G114100 transcript:ONI11574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRTAPQDDFKDTTEVEDVALTHDSNVDTEVEDVAATHDSNVEVHAEPTEPSRVGLIDPSLLTSFKTHIAATIWNNQVSRFTVTIEGRI >ONI12561 pep chromosome:Prunus_persica_NCBIv2:G4:10154642:10163873:1 gene:PRUPE_4G171700 transcript:ONI12561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDDFHATGNQRLALHIRNSQREDPLQAALAEELEKIQKDQCRVFVVHLSVPLAAQLFEKAKEMKMMEKDYVWITTDPITSLVNSFNASSISSMQGIIGVKSYFPESGNQFQDFYHTFCRRFRSEHPEEGNNEPGIFAAQAYDAARAVALASTEGSKGRKLLAKLLRSDFHGLSGRIKFTEQNLAPQHVFQIINVVGRSYRELGYWSDGTRFSKTIGEVAINYPSMKDLGPVVWPGEPWYTPKGWTVPIQATTLRIGVPNGSTFKEYVNVEKDSFRNNLSFTGLAIDLFKATLEELPYHLPYELCPFNGGYDSLVEQIHLHKFDAVVGDVAIVSQRYEHAEFTHPYTEAGLVMIVPVMSKTCNKAWLFMRPFTKPMWVLIGAINVYNGFVVWLIERNHCSELKGSVLNQIGSLIWLSFTTLFSLHGGKLHSNLSRMTMVVWLFMALIITQTYTANLASMLTVQQLEPTITDVDALRQSNAMVGYCKGSFVSAYLREVLGIHNIKQFDSVEEYAEALKSEVIAAAFIEAPLAKIFLRKYCKVFMEAGPTFKVGGFGFEKNQSHCLPYLHKMDKFTALLSLIAFVLLFKQRLRVNGITDTTKDDHFMGILGAIVDTSSRIGKEESVAMQIAVEDFFKKSNHGLVLNIRNSKGEPWQAALAARDLIDTHQVQAILGPQTWEEVSLVAEIGTQSHIPIVSLADATPKWETELWTFLVQASPNQLKQMEAIAAMIQSWEWHQVYIRRKRLCSYSKVGAEISHYVALPSLASSWSEHLERLKISQNRVFVVHLSLPLAIELFEKAKRMKMVEKDCVWIITDPFASLVLSLNASTISSMQGIVGVKSYIPKNEPHFRDFHNKFCQRFSLGYPEEDNHEPSIFAAQAYDAAWTVALAMREKKQGRQQILSNILQSDCHGLSGKIQFTDQTISPAHTFQIINVMGESYIELGFWSDGLGFSQTIGESATFSSSMNGLGQVFWPGRARDTPKGWSPPTSANPLKIGVPTRATFKQYLKVEVQQDYSGNNISFSYKGLAIDLFTASLQELPFDLPYKFVPFNGTFDALISRANSFKAVGSIAIVAKRYQHAEFTVPYTEPGMVMIVPVRTRKKAWLFIKPFTNAMWVIIGVTSIYNGFVIWLIERNNCPELKGSISKQIGTMIWLAFSTLFSLNGNKFSSNLSRITMVVWLFMALVITQTYTANLASLLTVSKLEPTVVDEVLLFHPNNIKNFSSGDQYAPAFRSKEISAIFLDRALAKVFLAENCKSFTMTGPTYKNGGFGFAFPRGSQLLPSVSQAMLKVSESGKLQDLENAMLASEKCTDIEPDDEPLSLSLSPSYFWVLFVFTGGTSSMALAIYIFRACISVSEHKAIWKLMMAVMKQWWNKKRRFSRRVSEIAESTPGNPPNASNSQSGEV >ONI12819 pep chromosome:Prunus_persica_NCBIv2:G4:10973640:10977165:1 gene:PRUPE_4G185100 transcript:ONI12819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANTVRNEDEVEEEYVLLDLDSAYSQFDIPPNAPYVLSGLNTEHPVLTIGDKLNLIGEYQETVGTCLIFKEEVASPVVHEETGPSEANLFAGKCIVDPNQPQSKQVKPVTSLHRIIKFRLAPNVDSDDASKQTPDQVQHTVHSMGNDQHENP >ONI14613 pep chromosome:Prunus_persica_NCBIv2:G4:25355205:25356244:1 gene:PRUPE_4G288500 transcript:ONI14613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFYFDALYKATCMISSQVIFHEIKYFHKLLDDHTNSTFCFPVTENTLTQNLFIHSFTRHPLFFRNICHQNTSSTKYQETQVHFIFLYLIFLETKQSAKRRLLDKHNKALKFSQKFHAQKCDGSNILERGGSKRVLERERVKLIAQDALKQIVVHIILQSTLLRQKYIFKHKSQNYTDKQSSQVHTHILLERERERERERERENPNTRMEDRYGDIYSEK >ONI13045 pep chromosome:Prunus_persica_NCBIv2:G4:12369257:12370740:1 gene:PRUPE_4G199700 transcript:ONI13045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFFAEEVDLIRSLPFSLRRPRDQLIWHYDTKGLFTVKRTATSPVVPGSPLGIKRSLGAFSSVRDLLYDYAHNYAAFDFSSILMMGWTIWEARNALLCNNKRSDPALMWTKTKSRLNEFIQHQMAKGPRAMQRSINSKWCEPKEGDWKVNLDGSWDKDNQMGGAERERATRFENVFSPLHSEALAAREGIKLAMERGLHNVCFESDFLRIVSALRKLSIYRSYIGLIVEDSKILLAQITGEGFAHTLRQADEVPHHIARFAVHIGSTIPWLKEPPDILMGLLFEDCNL >ONI10618 pep chromosome:Prunus_persica_NCBIv2:G4:2743359:2744772:1 gene:PRUPE_4G057400 transcript:ONI10618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGSVEQRLQALAQHFIAEKAVKPGPAGPASTAAGTSKRAAVLVCLFKGEDGDLHVILTKRASTLSSNPGDVALPGGKREEGDADDVDTALREAKEEIGLDPSLVNVITVLQPIVTKRGMAVVPVIGLLSDIKAFSPAPNAAEVEAMFYAPLEMFLKDENRRAEEREWMGAKYLLHYFNYEADGKEYVIWALTAGILIRTASIVYQRQPPFSEQRPKFWSDVAQNTAMP >ONI13107 pep chromosome:Prunus_persica_NCBIv2:G4:12615515:12619759:-1 gene:PRUPE_4G202800 transcript:ONI13107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLYKSAESGNVCFFKQLLNDDPMLLYQLTPRENTALHIAVQFGHKNVTVEIYSRCRVGRFSIVNYLSLGIDPKLASFENEAGESPLYLAAREGLLDILNQILQSSPSSAHGGSDGQTALHAAVVEKHFDIMEALLRFKQQLIKEADHQGKTPLYYAASLGDHRTVERLLELDISIAYVLDKQGFSPIHVAASKGHTSVIREIVRHCPDSGELVDPYGWNALHIAIFNGQANVVRYILETAESSITSPRNITPSIWGHLGTQHSWLGNIKISPRAEQEEANAVQTYMQMGQTLLMVATLITTVTFAAAFTMPGGYNNDVGPDRGQALLQSNNDFKWFIITDTVAMTCSIIAACLLFWGAVNSNKSSYVYYFTSAAALTYIALQSTAIAFETGIKAAMPDQQFLKTLGTLVGAAFHVITFLALSQLVKMFSLPEACRFFISHLCKLKCKIKNKP >ONI12388 pep chromosome:Prunus_persica_NCBIv2:G4:9231198:9233295:-1 gene:PRUPE_4G161000 transcript:ONI12388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKTCMEDRISGLPDELLCHILSFLYTKEAAKTSILSHRWKNVLTSVSSFDFCVQSPNMVSDRCAGFVDRVLALGGSSYIHRLRLECANIEDYFSRIDAWICTAISRKVVELDLCLLSYSRQSSFELPRSLFMCDTLEVLRLRVKSNLITKPPTSNCFPSLKFMHVTLYRPFYDSTLYRTFYDSTRNLFSCCPVLEDLIIDGFVHHKPAFLFNVFAPKLKRLEINLYVILDRKCNHPNGWNLEDKFWKNAESLSKAKIALRVPATGYPGDVYLWLLLHYADQLSRLLAGINKVTYLSFAAPISEGPDVIHRYRLPKFHNLNHLKLHLQTCCAWKSLTKWLDVSPKLEHLVFKKNIQWCWKHAEGYLVAHKWRPPESVPVCLLSSLKTICIRGFCGRPYEMEVAKYLLKHGEVLTKVAIFYTNDLGVAEEMRVWQELSKFPRGSKTCQVELLELKS >ONI10375 pep chromosome:Prunus_persica_NCBIv2:G4:2115548:2119806:1 gene:PRUPE_4G044000 transcript:ONI10375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQCSPALSNTCSEKKLGLKIWQNPIRFEEEKEAMSSGQGGPHSLAFRVMRLCKPSFQVDPIPLLLDPSDLVVGEDIFDDPIASAQLPRLLHHSQVSSSDSSDLSYRSRFLLHHPSDSIGLSGLLVLPQAFGAIYLGETFCSYISINNSSNFEVRDIIIKAEMQTERQRLLLLDTSKSPVESIRAGGRYDFIVEHDVKELGAHTLVCTALYYDGDGERKYLPQFFKFIVANPLSVRTKVRVVKEITFLEACIENHTKSNLFMDQVEFEPAQHWSAKILKADEHHSDKNSQTRETFKPPILIKSGGGIHNYLYQLKSHGSAQTKVEGSNILGKLQITWRTNLGEPGRLQTQQIMGTPIIRKDIELHVVEVPSAIKLERPFSLHLKLANETDKELGPFEVWLSQDDSREEKKVVINGLQTVVLPRVEAFDSTDFHLNLIATQLGVQRITGITIFNTREKQTYEPLPDLEIFVDLD >ONI14568 pep chromosome:Prunus_persica_NCBIv2:G4:25221887:25222924:-1 gene:PRUPE_4G287800 transcript:ONI14568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPNRWIPSSKTLQRPLRLADSTTCVTVSILHPPNRTSLLVRVHDVQNISYADQRAILNQVARMLRISERDEMDMREYQKVHPEAKEKGFGRVFRSPTLFEDLVKCLLLCNCTWSNTLKMARALCELQFELSNNNKASARSGQKRKRETSNTWPCKVINQMDGGILGNFPTSKELAGLDENTLVSEHKVLGYRAKLILKLARDVERGTIRLHEFEEALDDMSFNQDQVFRRLMKIKGFGSYACANALMCIGYYQHVPLDTETIRHLQEASSTKHTLYSFIYLFIYF >ONI13103 pep chromosome:Prunus_persica_NCBIv2:G4:12593006:12593816:1 gene:PRUPE_4G202400 transcript:ONI13103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLSREGNQISFFYCRASDILVLQGNPGGFFSLGNQMVEIIPAAVLFFFQKFQAKQSEPGILKTFQKQWPKFTVWM >ONI13047 pep chromosome:Prunus_persica_NCBIv2:G4:12390991:12392083:1 gene:PRUPE_4G199900 transcript:ONI13047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDSTTIEKSNTRRRFLASQSSPGRGGGGCDQHPLACRETEGSAGPDCHNKKCVDIRTDISNCGSCGKRCSSSEICCNAHCVNPMSHKYMHLIGCAAQICQ >ONI12948 pep chromosome:Prunus_persica_NCBIv2:G4:11647022:11647573:1 gene:PRUPE_4G193500 transcript:ONI12948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIANLQHWNLVKLLGCCIQGEERMLIYEYMPNKSLDCFIFGEEN >ONI11222 pep chromosome:Prunus_persica_NCBIv2:G4:4697706:4700501:-1 gene:PRUPE_4G093200 transcript:ONI11222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELVVILLLATPIATAAQALPGCQDHCGNLTIPYPFGIGPGCYLQPEFNITCNQSTQPPTANLKTSNIKITNISLEEGELQILQYVAEDCYNAQGNRTSGIVPRLQVSPPYTISHTKNKFYALGCDTLAYFTGYRGNQRYTTGCMSICDSLDMAVDEQDTCSGVGCCQVSIPSGLKNQTVMLTSPTNRTGIWDSNPCSYAFIVQADRFEFSRTSLQLMNNKSLLPAVLNWEIGNQSCDTAKKSEGFACKGNSNCTTVGSAGYICKCMPGYQGNPYHPDGCQDTDECKDSNPCPIGTCINLLGNYSCKCPEGYKNDVMDEKKCIKDNNSSKIILPLVISLGASGGFLLLLVGSLWIYWGMQRRKFIKLKEKYFKENGGLLLQQQLASQGGSMETTKLFTAEELEKATNNYHESRILGEGGYGTVYKGILPDNSVVAIKKSKVNGAPAQSDVFINEVIVLSQIKHRNVVRLLGCCLETPAPLLVYEFIVEGTLSEHIHKKIDKRSSLSWELRLNIATETAGALAYLHSSALMQIIHRDVKATNILLDEHYTAKVSDFGASRLIPLDQTQLTTLVQGTLGYLDPEYFLTNQLTEKSDVYSFGVVLMELLTSKVALSFARPEEDINLANFFVRFMEEDRLNEVLDDDIVNERNVETLKKVAEVAKRCVRLKGQDRPSMKEVAMELEGMRVTPKQPWGKSEVSSPEDTEYLLGSAMNSDAYFVDVRGDFGSRGATIGTTSGYDSMQIQMVMPYDGR >ONI12390 pep chromosome:Prunus_persica_NCBIv2:G4:9236032:9239054:-1 gene:PRUPE_4G161200 transcript:ONI12390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSKSREACHEDRISGLPDAIISHILSFLLTREAVRTSVLSHRWKNQWTSVPNLYLRDREYYPLERRLKKSDPDGFSGFVDRVLFFRGSSNIHRFRLLCLEMKDFSRIDAWIRTAIMRNVVELDLELYEYSNPPHPFVLPRSLFMCKTLVLLKLRLQSNFIALAPASDCFPSLKFLHVDVVLPDADSMEKLFSCCPALEDLVIEGEPGYDSVLNFEVSAPKLKRLRISWYIVPFHQNYDRKVFINADVPSLEEFNLQENILANYSLKNAHSLSRAKIDLVNLHSGEKFGTVRDSADHIQWLFAEIRNVKFLSLSAPVFGGPCSVYQYHLPTFNNLNHLELLLQNCCSLRSVTNFLQISPKLEHLVFENSIHCYVCHFVDKSVHEWSPPDFVPACLLSHLKTIRIRGFQGLPDEMEVVEYLLKYGAVLNTITICTLEYFCEEKEMKCPLLGLREEVKLLQTISMFPRASKTCQIVFPKLK >ONI13694 pep chromosome:Prunus_persica_NCBIv2:G4:15686265:15689651:1 gene:PRUPE_4G238900 transcript:ONI13694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAASQAQSPNATLDHVKRRSANFSSSTWGDYFLSYASVKTDIKAEQDVQELKEKVKGMIMAPMLKNPSKKLELIDEIQRFGVSRHFENEVEEVLQQIHKNSYGDDEENDFDLFTTALRFRLLRQQGYKVSCGKDGKFKETLVDDVVGLLSLYEATHLRMHGEDLLDEALTFTTTHLESVEAHRLSPLLAKQVAHALHQPFWKGCQRPEARRYLAIFEEEPHLANETLLTLAKLDFNLVQQVHQKELSEISRWWKDLDFVNKLPFARDRVVECYFWAMGTYFEPQYCFARTSLSKVIAVITAIDDIYDVHGTQEELELFTEAVERWDISAMDQLPEYMKVCYGGMLDVYTEIEEKLGKEGNLYRIHYAREAMKCHVRGYFDEVKWLHQKYTPTMDEYMAVALTTSYKMPLTTYFIGMGDIVTKESLDWVLSDPKIVNSLLILGRLMGDMKSHKFEQKRGHIASAAWKDINEEWINLTTIPMPLRLRILNFARTNELLYKHTDGFTHAGVVLKDLLVSLFVNPVAI >ONI10083 pep chromosome:Prunus_persica_NCBIv2:G4:1218049:1218906:-1 gene:PRUPE_4G026000 transcript:ONI10083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIFEPQRGRSFTIEVGYFDTVLEIKEKVQKYQGISVPHQTLVFNGQVLEDDKDVAYCEILNNSHIQLIVASESEKPTTTNNNNNVKVEDSPAPSKKIQLNVKTPTSKMHVPVEMDINDTVTKLKEKIQAMDQAVPIGRLVLHSLGNELQDHRSLRDCELSDNAEIEVSLRPSPTAAAAVASPLAMGGPGAGGVAVSRNKLKLMVLPKCGTKKIPVEMNPSDNVGELRKELQKLHHRLHFHLPQESYFFIYKQNVMEDDRSFRWHQVGQGDTIEIFNGSVTGGS >ONI13125 pep chromosome:Prunus_persica_NCBIv2:G4:12730322:12730960:-1 gene:PRUPE_4G204400 transcript:ONI13125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESGGCCRCCFSFIFTLGLTALFMWLSLRTSKPTCKVRSLYIPALNKTLNDTTNKTLYVTLRLENGNKDKGIYYDAINLNFTLPNATKPIATAVVSSFYQGHQKKATKAAVGEPKELNWTAVSHATYSNGTVHFRVDLVTAVRFKIMFWRTKRRRLMVRADVVVNDSGGYVNPKNKKDIKLSAAPDHQMIKCLLGQVGVFANLLVLILLNL >ONI12705 pep chromosome:Prunus_persica_NCBIv2:G4:10649309:10651183:1 gene:PRUPE_4G179800 transcript:ONI12705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGRFAWLVLLVCFGLCFSSTQGNRFYVGGKDGWVLNPSDNYIHWSGRNRFQVNDTLYFKYKKGTDSVLVVNKDDFFKCNTKNPIQKLDGGDSEFTLDRSGPFYFISGQNGNCDKGQKLFIVVLAVRHHNKPNPPVAPTTPPSTSPTSPSPKSPSPQYPHHPPGTSPSPASVANPPATSPSPVVASPPATSPSPVGYPPATSPSPVGYPPATSPSPVGYPPATSPSPVGYTPATSPSPSTTTSPALAPGPSSSLTPFPSPPSPPPEGPTPPSEGPTPAPSAESAGPTPPGTVSPPPGTADSTAPRPAGSGASHSVTAPSSVLVSSVVFISVALMNSFVQGGAV >ONI10092 pep chromosome:Prunus_persica_NCBIv2:G4:1239214:1239758:1 gene:PRUPE_4G026500 transcript:ONI10092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKEPSTTTQCTPGLQSTCLKKKFQNTSCVLISPIYIKNKNKGRFLLLVTMHYDVVITSKILQKEVWYLYEDKSGGRLLAFSILGTKSSSFCCVILSQISH >ONI11678 pep chromosome:Prunus_persica_NCBIv2:G4:6501820:6504588:-1 gene:PRUPE_4G120200 transcript:ONI11678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSSTMIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGVVFVNQLVKWDEQLKEDLDKMLDKAKAANERRYIDEDDD >ONI12409 pep chromosome:Prunus_persica_NCBIv2:G4:9397573:9400957:-1 gene:PRUPE_4G163000 transcript:ONI12409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGFYLFLLFSYIISTNIHACKQTEHSSLLSFASTLSSPPLNWTSRDCCHWKGINCDQDGWVTRLLLPSKGLKGGISPFSLGNLTHLTHLNLSHNSLFGSLEIQFFLSLNRLEILDLSYNCIFGDNNFFGAIPSSFFQQASNLTSFNVSNNTFTGLLDFSSNVFNDNLAPGLGKCSKLQVFRAGHNNLSGLLPEDIYNVTKLEEIALPLNSLDGAISDKIVTLANLSILDLSFNHFGGELPLHLGKLSKLKFVTLDFNNLEGSLPPSLMKCTNVVELRLASNNLEGDISMFDFSRLSSLTKLDLRINNFTGTVPLSLYSCRSSKAIELSGNHLKGQIQAKILSLKSLSFHSLGYNQFTNLTWAMKILMSCKSLHALSLAVSFEGEVMPFDDDMVDFDEFENLRVLSLANSNFTGTLPRLLSLNLASNRISSEIPKQLCRLPRLVVYEPIASQVDQYEFELPAIHAATNHILPTQKLSFYPAMIDLSNNNIVGSLPTEIDQLHLLRQLDLHANKFSGIIPDQISNLKNLEVLDLSMNHLSGNILLSLASLNFLKEFNVSYNNLEGPIPTSTQIQTFNAAFEGNLKLCGAPLPNKCKPNKGIDEDNKNNKDMVSGSTILSSLGPPLCPHGFVFGSSRATSQWVTHPGIALAPNSLNFGVPTTPKPLMWDLTSGLHQLPWFYIFVALGFIVGFWGLCSSLVVNKTWRYAYFRFVDNVQDRLYLMVSPNMFMDAKNHISKARRATSKSSKATLLPNLKGPSPNFSKLEINPVEFPTSPQCRAHLLKTETFC >ONI12976 pep chromosome:Prunus_persica_NCBIv2:G4:11890806:11891765:1 gene:PRUPE_4G195200 transcript:ONI12976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFPKISDFGLARTLVGGDQTGGTTNRVVGTYGYMAPKYAIYGLFSVKSDVFSFGILVLEVISGRKNKGFYHPNHSHNLIGHAWTLWNQGRPLELIDMRLGSSYTLSEVLRCIHVSLLCVQHHPEDRPTMASVLIMLGSEIPLAQPKQPGFFIETESLEAGVSPGNQSSTNKISITLMEAC >ONI13106 pep chromosome:Prunus_persica_NCBIv2:G4:12610263:12612804:-1 gene:PRUPE_4G202700 transcript:ONI13106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLYKSAKSGDVCFLKQLLSDDPTLLYQLTPRENTALHIAVQFGHNNVTAEIYSRCRSLLTHPNLDGDTPLHVAARVGRFSIVTYLVRETMSMSQVEFRNVSSSSMFDTLRVRNRGNDTVLHEAVRNGHNKVAEFLLKIDPKLACFENEAGESPLYLAARAGLLDILNQILQSCPSSAHGGSDGQTALHAAVVERHFGVMESLLRFKQQLIKQADHQGKTPLYYAASLGDHKTVQRLLELDISIAYVLDKHGYSPIHVAASKGYTNVIREIVGHCPDSGELVDPYGRNALHIAIFNGQTEVVRYILETAELEGLINQPDFDGNMPLHLATIERKTWILCYLKWDGRVNPRSKNKYGQTAFDIDRSIKEITSPRNIVPSIWGHLRTPPSWLDSIKILSRADQEEANAVQTYKQMGQTLLMVATLITTVTFTAAFTMPGGYNNNIGPDKGVALLESSKYLKLFIIADTIAMTFSIIAACLLFWGAVNSNKSSYVYYFTSAATLTYFALQFTAVAFTTGITAVMPHQQFVRIMGHAIGVAFHVSTFLFLSQLVKMFSILEAGRFFISHLCKLKSRITNKQ >ONI12121 pep chromosome:Prunus_persica_NCBIv2:G4:8390607:8391846:1 gene:PRUPE_4G146000 transcript:ONI12121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVVLLDFWPSMFGMRVRVALAEKGVKYEYKEEDLLNNKSPLLLQMNPVHKKLPVLIHNGKPVCESANIVQYIDEAWKDKAPLLPSDPYQRALARFWVDYIDKNLYEAGKNIWATKGEEQEEAKKKLIEILKLLEGQLGDNSYFGGEIFGFLDVALVTFYCWFFSYETCGNFSIEAECPKLIEWAKRCMQKESVAQSLADPKKVYEFTLLLKKRFGKE >ONI11104 pep chromosome:Prunus_persica_NCBIv2:G4:4307873:4309838:1 gene:PRUPE_4G087900 transcript:ONI11104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRYPASAPLLLPLFLSQRAPPTKTQTAPGRGRKWAELPDDVTASILLRLGAIEILTSAQMVCVMWRDICRDPLTWRTIDMRVDPDDQRHIGYDFEKMCSHAIDRSSGNLIDINVDHFGTDELLKYITDRGIKRLRLAHCYDITNEGLSFETSDLEISYCPFSHEPIDVVGASCPLLKSFKFNKRWYRWPHEESNDDALAIAGTMHDLRHLQLLGNKLTNDGLQAILDRCPHLESLDLRRCSNLKLGGKSGRRCAERIKKLRLPDDPIDNSELVYNSVFKCMPNRASERGGGKSP >ONI11223 pep chromosome:Prunus_persica_NCBIv2:G4:4701040:4704322:-1 gene:PRUPE_4G093300 transcript:ONI11223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLHERMLIMQVSLVMAAVAATAAQLALPNCLDKCGNVTIPYPFGVTKKCYMAPEFFITCAANGIAFLGTTNIPVTNISVDLGEIQVQQYRAYDCYDELGNNSYNTPEFWVAPPYTISGTKNKFMAVGCDTYATFRGYRANQERFITGCMSLCDSLNSVEQDSCSGIGCCQTSIPDGLKNRTVTLRSYYNHTYIRSFNPCSYAFVVQEGHFRFSKTSFQQVNHTEQLPMILNWEIGNEPCDAAQEATQNSLCKAHSKCVKPNNRSTGYICQCLPGYEGNPYLPNGCQDIDECKASNSCSIGVCVNSPGDYSCQCPKGYKNDGMNPKSCSKDNRSKTILLLIISLGVSTGLLILLGAISWLYCGLQRRKFIKLKEKYFKENGGLLLEQHLASQGDSMETTRIFTEEALEKATNNYHESRVLGEGGYGTVYKGILADNKVVAIKKSKIGASTQKEQFVNEMIVLSQINHRNVVRLLGCCLETPVPLLVYEFVTNGTLFAHIHNIRDKRSSLPWDLRMKIATETSGALAYLHSSTSMPIIHRDVKTTNILLDENYTAKVSDFGGSKLIPVDQTQLTTLVQGTLGYLDPEYFLSNQLTEKSDVYSFGVVLAELLTSRVALCFDRPEAERNLANFFVCSVEEDRLNQILDDDIVNDGNIETLKNVAILAKRCLRLQGEERPTMKEVALELEGMRIMAKHPWGKADCPEETEHLLGSGKSDAYRVDDTAADCGHPSGTSSGYDSMQIQMLTSYGDGR >ONI11666 pep chromosome:Prunus_persica_NCBIv2:G4:6462771:6464435:-1 gene:PRUPE_4G119300 transcript:ONI11666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMRCKREKRLRAIRREMVEPYYDKKDEAKLAAQEAALAAPKLPVRPPPKSASAMEVTTTDSTTAPTSNMDVDMVGGNQRMNFLKPGGGIGKKSKRVFKVGKRRRQGKGGKVKKRHI >ONI10544 pep chromosome:Prunus_persica_NCBIv2:G4:2530879:2540701:-1 gene:PRUPE_4G052600 transcript:ONI10544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASEGENPTKNHENNNSNGKFNNSSEGQSKPKRQMKTPFQLETLEKAYALETYPSEAIRAELSEKLGLTDRQLQMWFCHRRLKDKKEGGPAKKQRKSVPTLPEPPIDDLAHGSEPGSDYGSGSGSGSSPFGHAELRNVVSRSGADDVPMRRRYYESPQSILELRAIACVEAQLGEPLREDGPVLGVEFDRLPPDAFGAPIAVAEQQKRAAHALEGKYERHDAKPNKATPRALHEYPFLQDHSSIRSDAYGQAAQSHFQDSPIDGPSARASSFAVGNEPLSRVHGVHGHGHVSRVRLLSQQERQAVAFPSPGDDGCVPQRDSFTNVRVNTQFSDPPTVAPENSNVLSDGQINDSMLRMERKRKSEEARIAKEVEAHEIRIRKELEKQDILRRKNEERMRKEMERHDRERRKEEERLMRERQREEERSKREQKREIERREKFLQKEYIRAEKRRQKEELRKEREAVRRKAALEKATARRLAKESMELIEDEQLELMELAAASKGLSSIICIDLDTLQNLDAFRDSLAAFPPKSVQLKRPFAVQPWINSEENIGNFLMVWRFLITFADVLELWPFTLDEFVQAFHDYDSRLLGEIHVALLRLIIKDIEDVARTPSTGLGVNQNGAANPGGGHPQIVEGAYAWGFDIRNWQQHLNLLTWPEIFRQLALSAGFGPQLKKRSTAWSYSPDNDEGKGCQDAISNLRNGSAAENAFAIMQEKGLLAPRKSRHRLTPGTVKFAAFHVLSLEGNKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDTKLFERIAPSTYRVRAAYRKDPADAEAILSAARKKIQIFENGFLAAEDADDVERDDADEVENDEVERDEDFECDEVDDDPEVDDLATPSVAKKSPDDYNEVITFSENGKDLCNDVALNVQNEFENDVSSSPVSGSKDANCPSASSKQCVSGADISASNLDQENMEIDESKSGESWVQGLTEGEYSDLSVEERLNGLVTLIGVANEGNSIRVVLEDRLEAANALKKQMWAEAQLDKSRLKEENVGKLDFPSFVGGKSETQVIGVEDGQSPVRDVDNRNIEASPGTAENQKSIHGSQGVQNQLNGLPVERTLGAQDISMGPDNFLSQQLAYASKRSRSQLKSYIAHRAEEMYAYRSLPLGQDRRHNRYWQFVASASSNDPGSGRIFIELNNGSWRLIDTEEAFDALLTSLDTRGIRESHLRLMLQKIEASFKDNVRKTSHCPNSAGPSKNRVKNEADMDSSPDCPSGFDSPGSTVCALNSDTAETSSSFRIELDRNEAEKRAALRRYQDFQKWMWKECFSSSTFCAMKYAKKRCRSLFDVCDFCLSCYYFEDSHCAFCHQTFSAFYANFNFSEHVIQCKEKRKLEPWDSHMPCTSLPLGRRLLKALIAHIEVSIPPEALQSFWTEDRRKTWGGKLNASSSTEELLQILTLLETAVKRDFLSSNFAATEELLGTSKQSEVFVPDFLDSGSVPLLPWIPHTTAAVALRLHEMDSSITHIQLEKAEPNGDKEVKEYLKLPMRLAPLKESEQTEVGHNEYIREENNTHLKSARNSFRRGRGGREQGRGKKWQRKVNNSKSGASRQKGSGNLSQGFRPVGKRTQGQGSARGRRTVRKRRTKDRVVEGTPLGRMTDVRSSPESGGDSPRNLGEEWDDEKIDMIHMKGDEQREGYEQAEALESEDDDQAVGYEQGNWETGFDGTSSGWHEGLLEASDEDMDASEDDNNGIEEVRDEDSEGDVDMSDASDEIQNKITNDDGSDSAVSDDYSD >ONI11204 pep chromosome:Prunus_persica_NCBIv2:G4:4617967:4620313:-1 gene:PRUPE_4G092400 transcript:ONI11204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKAREEALRMEARTKQLVEAEREHFQSQLSQLIPNFDPSMLKPRISQSPKNPMSDKASCSGGDVRSLHFEDDTAKNGKHQEEKVFGQERDTFVLPEDITQFAGMEEIGATVVAVYMRYLHDLLKQANMCSMVGFIDPATVSANSGTIADRSRLVAARLQKTDGEQIFMMPYNPGRHWILLIVRAKRETVYFLDPLPGNRVVDEEGKNIVNSAIKIYNSHIARAGRKAVIWKTLSGTPKQPSSVECGYYVMRFMRDIIMDPSLAFEKKYAKGKQEVSYPQEAIDEVRNEWAEFVCLHLE >ONI10928 pep chromosome:Prunus_persica_NCBIv2:G4:3728016:3728975:1 gene:PRUPE_4G076300 transcript:ONI10928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMALSSPTFAGKAVQLAPGATELFGNGRVSMRKTGAKQVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLVHAQSILAIWATQVVLMGAVEGYRIAGGPLGEITDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWSYATNFVPGK >ONI13411 pep chromosome:Prunus_persica_NCBIv2:G4:13817112:13819488:-1 gene:PRUPE_4G220000 transcript:ONI13411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKETKTRVLGASFNHIYREANFTNEIEGKHQLFNWNGTDHSRKDDKGIGVEEPLKFLFGRLARVLIDKNALTVYIPSNQAQDEHKVQPYARKEDQTAMKAVTRVKILHGNTSIPPACNFTHKVPDVVFSSWGFTGNLFHEFNESIVPLFITSRHFQSHLQFVRTDLKCWWVSKNSWRLMFGFKQFLRESYMLKMKDASDQKNKKPALVLISRRKTRKFLNEDKMTFKSSLQSLPTQTSNLDMFSGLVNLCSVMVGAHGAGLTNALFLPARKTIMVDRRVKWDCVIWSRRLNQRIVHALLLSMAMCIFLKGYQAARAVYVDGQNLKVNLVRFREMLIEAMKPLGGSTP >ONI12901 pep chromosome:Prunus_persica_NCBIv2:G4:11370469:11371179:1 gene:PRUPE_4G190600 transcript:ONI12901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIESTVVAIYMRYLYDLLKKSNMEDYILNNMSASTQDHNQSLNKQRLQGTNLLNQRLQATWIDP >ONI09914 pep chromosome:Prunus_persica_NCBIv2:G4:844363:846232:-1 gene:PRUPE_4G018100 transcript:ONI09914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLMFIVILSEMALILAFLFRTPFRKLVILGLDQIKRGRGPIVVKTVAGTVLVALMTSVYSIIKIKNSRIDKAAIVSPTDQVLMVKHLLEATLMGCSLFLALMIDRLHHYIRELRIRRKSMEAIKKQNRGSEDGKASSLDEIKALENEIATSRTRLKQLESEIETKTKDVHTAESNVLALRKQSEGFLLEYDRLLEENQNLKNQLKSLDRKMSRSGSKKDS >ONI10758 pep chromosome:Prunus_persica_NCBIv2:G4:3218972:3221094:1 gene:PRUPE_4G066700 transcript:ONI10758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISVNGSSVTLNDFTQKNFPEEFAERKLQNDTMTNFGVDSMPLFVMDVVLPFHKFPLRIFEPRYRLMVRRIMEGNLRMGMVITDSSTGSIAEFACEVEITEFAPLPDGRFHLEEIACFVKLEEYWLNPTSKDLIETRKIWKYQ >ONI11562 pep chromosome:Prunus_persica_NCBIv2:G4:6018760:6021998:-1 gene:PRUPE_4G113400 transcript:ONI11562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQCAEPTPKAMPLGLSELRSTKTKKTTKPSSPSSTSPHAHQTLTLPQFLSMARKSSLLKQSLATIVVVLLIYAFLNTFLTPTTTAKLETALPSFSSASSISSDVFASRENQLNFPGKPVKVYLYDLPKRFTYGVIEHHSLARGGRPDEDVSKLKYPGHQHMGEWYLFKDLLKPEAERFGSPVQKVLDPEEADFFYVPFFSSLSLIVNPARPASGSDKPLYSDEENQVALIEWLEEQVYWKRNNGRDHVIMASDPNALYKVIDKVKNSVLLVCDFGRLKEDQGSLVKDVIVPYSHRINTYSGDISVEDRNTLLFFMGNRFRKEGGKIRDLLFQLLENEEDVIIKHGTQSRESRRAASHGMHTSKFCLNPAGDTPSACRLFDSIVSLCVPVIVSDSIELPFEDVIDYRKIAIFVESNAALKPEFLVSMLRGITTERILEYQKELNEVKRYFQYGVPNGTVNEIWRQVAQKLPFIKLSINRDRRLVKRDLNVRDCSCLCSNQTGIITSL >ONI12066 pep chromosome:Prunus_persica_NCBIv2:G4:8161219:8162191:-1 gene:PRUPE_4G142200 transcript:ONI12066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNFSDQERAKLLDWPMYFHIINGIARGLLYLHQDSRLRIIHRDLKASNILLDDNMNPKISDFGLAKIFGGDQSQANTNRVVGTYGYMPPEYAVDGIFSTKSDVFSFGVVLLEILSRQRNRGFWHPDHHLNLLGHAWTLWIQNTPLELIDKSLSDSCTISEVLRCLHVALLCVQQVPEDRPSMSSVVLMLSSEVALLPPKQPGFYTERTLPDDLSRARDLSENNFSTTLLKGR >ONI10743 pep chromosome:Prunus_persica_NCBIv2:G4:3134374:3136013:-1 gene:PRUPE_4G065300 transcript:ONI10743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIVHEYHSVLRFPTFFSFSKQPKLGKSIFSLKDVPSPFERKGLHLTVSCIGSEGNGGDQPPRRRSASGRTNKNDGTKKRGPAGKKSKASDQEEIISLFRRIQTSISNKESVNAKKINSNVSEDSPSSESILQALYGSRKQKGKALDKAGQEVWTRRKDTQEQQIQEDPSVAEFKLTRPPSKFVKRSPIPSQSIPRGQVLELNNGASSSAAGRIEEMKLPELKELAKTRGIKGYSKLKKSELVQLLKS >ONI12210 pep chromosome:Prunus_persica_NCBIv2:G4:8646532:8646924:1 gene:PRUPE_4G151200 transcript:ONI12210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYAITLIAFGAVVAAAAVICLCFVCIGGNKKKQMTRRSSTVMQSITPPPAQRGHGDVEGGEAVHRQSRTNGTKDGGMVILVGAGAAFATAAVTAAVTSEANGGSCGGCDSEAGACGGCGGGCGGCGAD >ONI12209 pep chromosome:Prunus_persica_NCBIv2:G4:8640469:8642998:-1 gene:PRUPE_4G151100 transcript:ONI12209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIQDITQGIHELKFEAMAHSFPEEIIQEILIRLSVKSLIKCTSVCKAWRSMIINQSFIHAHLNPTVDFANRNDIDLLLLHRISGSNSLNYHQNTFIHKVKDEVHSVHHDNQAFDVYSKIEFPIAAKKNYGNSHLRVVGTCDGLICLADDVPSYAYNFIIWNPAIKKSVTLPMPGITYETHGGYDASIGFGFDATTNDYKVVRVVTLLDEDDETPTLTEVYSLATGTWSSPACVSPICRIEMAASSAFVNGVLHWPVVCQTDVDSYYIILTFDLGKEVFGQIPMPKIIQWDFNLGLQLSVSDNKKSIALFMRPNNREDFYTDYGREDSVLDIWVMKEYGREESWTKLITLNPQGPETIFLSALCFRKSGELLLLLKEKERQELLSLDLVSKQRKLLGISGYKYCTGHFYKESLLLLDKSDAESY >ONI12960 pep chromosome:Prunus_persica_NCBIv2:G4:11801075:11803032:1 gene:PRUPE_4G194400 transcript:ONI12960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQVGSAAQPQNAKPDEIARRTANFHPSIWGDQFINYDDSQDMITHAHKQGQVDELREVVRREVFTTSAGDLSHQLKLIDAIQRLGVAYHFEREIEEALECMHTTLHDHDSDDDGDLYNVALCFRLLRQHGHNVSCDIFNKFKDENGSFKESLIADMSGMLSFYEATHLRSATTPVSSPLAAQITQALARPLRKTLERLGARRYISIYQDEASHNECLLKLAKLDFNLVQPLHKKELQDITRWCRALDLERKLPFARDRMVELYFWIVGVYFEPQYSVGRKIMTKVSVLLTILDDIYDAFGTFEELVIFTEAIDRWDLNCSNELPDYMKIFYQALLNLFNEIEEEMVKEGRSYRVPYAIQAMKDQARSYFNEARWLHEGRIPSMEEYMSVATVSISYTFLTTISLLGMGDIVTNESFEWLLNDPKIVRAANTIFRLMDDIISTNMLKYEYGLNVLG >ONI11925 pep chromosome:Prunus_persica_NCBIv2:G4:7448695:7452401:1 gene:PRUPE_4G135000 transcript:ONI11925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQGKALKVTHNDGDPGLLSSASETSYTNIVCTLLDSGNFVLQEFSFDGSVKRVLRQSFDLREDTPFLLWNFNAARDTIKLGDTLNSSSSLVSAMDKFTMRFHRYDHNSKYSYLVIQSSASHNYAWIANPNQPILHPLGILTLDQYKTLKITHENGDPVVLYSAYETSNSDNISATLLDSGNFVLQDWRNGGSTQRLLWQSFDFPVDTLLPDQSGISEFVLTIMGRLYDFDRKIDVAEADYCYGSNTDAGCQTWDQPTDRRNDGNKFEQGSGYFNASGSEHKRIIWICTATAAAAALLIVVLCIMGCLLRKRNLLLPGREQGKVDQKELLDVEQSFTCNDVNELQEDGEMENDLRIFTYASVMAATGDFSGENKGKLMTGREVAVKRLSRSSNELTVIFELRHTNLVRLLGFCIHGVERMLIYEYMPNKMVTCLLSMSWGPVFSTKSDVYSFGVLLLEIVSGRKNNSFYNASRIGHVVGHVIHVLMTNLLCVEANAADRPSMSEVISMLASESMSLPTPTRPSFFGGTQEDESDISGKESEILSINGLSPCDTVGR >ONI12619 pep chromosome:Prunus_persica_NCBIv2:G4:10368797:10374823:-1 gene:PRUPE_4G175200 transcript:ONI12619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNATADPSEVAALISIFKQWDMQALPVSGGEQCIGFAINGSDRVHALNKRGVFPKEFEALRYLAVLKLDLNYFIGPLPAFIGNMSALTELGNLKELTMLSFGSNNFSGTLPPELGNLVNLRLFYASDNPFSGKIPSFIGNWRKLTSLRSQGNSFEGPIPTSFSQLTSLESLRSSDIYYVSSSLDFITDLVLRNTLINGSIPTDFGEYQCLQILFLGNNSLSGPLPSQKSNQLQTIDLSYNYFSGSFPPWVTTILQLLPRLNCLQRNFPCNRNTPRYHVTAIGIAYLIMYTYCRNVLINLVSISCAITSEAEDSALGPATFNVTSTQKWAVSNAGFSDNLNQSFVETTLTQVFGADLTPELFETSRVSPGSLRYYGLGLQNGPYTVTLQFAETGNLRRKDFDISKEVGGVNRAVPRPFKVNVTENYLDIHLSYTNYSRKEEQDGLIVGIAVPVGVVILLLLSVLYMRRKTSEKDDDEVESCNRRFNPSNKLGEGGYGPVYKGTLSDGRVAAVKQLSVASHQGKSQFVTEIAMISAVQYRNLVKLYGCCIEGSRRILIYEYLENKSLDQALFGRNDLHLNWPTCFNILLGTARGLAYLHEESMPRIIRRDVKASSILLDAELSPKISDFGWAKLYDDEKTHMITRVAGTIGYLAPENAMRGHLTEKADVFWFRGCCFGDLSGRPNSDNNLDPEKIYLLEWVGKLSLATFVLIFWSLEPNRSLTLFRC >ONI12314 pep chromosome:Prunus_persica_NCBIv2:G4:8972284:8974288:1 gene:PRUPE_4G156600 transcript:ONI12314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCNVCEAAEANVLCCADEAALCWACDEKVHKANKLASKHQRVPLSASHMPKCDICQEAVGYFFCLEDRALLCRTCDVAIHAANSLVSAHRRFLLTGIKVGPEPTEPDSGGGGVGGGGVGVGASSSSVKLRSGSGSGSGSGSRCDTHNPMPVECKVAPAGVDVMPFAGGSSAGTVPQWHIDEFLGLSDFDQSFSYIENGSSKADCGKLGEYDSPALKSSEEEMEDYECIGEVPETSWMVPQVPSPPTASGLYWPRSSQISSDFAVFVPDICHSQMQNPLYSQHNGTVSKRRRQF >ONI14196 pep chromosome:Prunus_persica_NCBIv2:G4:20294227:20295859:1 gene:PRUPE_4G268200 transcript:ONI14196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDYGASYHRNSSSVFSLRLTCKHFKRLVFSPSLISKDSSSSPFSSFLLLSHPQCYNHFALYDSALILSLQFLSCLQSFDQVLKNDRIPCLPFCF >ONI12745 pep chromosome:Prunus_persica_NCBIv2:G4:10736843:10737943:-1 gene:PRUPE_4G181000 transcript:ONI12745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQKTQVVDFSFTGFNSSDILLYGNATIDSGVLSLTRNTTFSIGRALYNAKVHTRYPNSSNLLPFTSSFTFSISPYKDSLPGHGFVLIFVPSTGIEGASSSQHLGFLNSTNDGDPRNHAFGVEFDVFQNQEFNDVNDNHVGVDVNSLTSLVSYKAGYWLGDNTKDDSNNTNWSFKEVKLNNGANYQVWIEYWNYQLSITLAPENVKKPERPLIQIPLDLSDVFLDDMYVGLTASTGQLIEDHKILSWSFSN >ONI13111 pep chromosome:Prunus_persica_NCBIv2:G4:12642435:12642972:1 gene:PRUPE_4G203200 transcript:ONI13111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSSSLITLPLPKSLILLFSAKSLSQNPLFFFSQPNLSPSIQFGIPFQTQPHLLPSLHLRQRFSITDPRSAPLLLVT >ONI12049 pep chromosome:Prunus_persica_NCBIv2:G4:7994319:7995883:-1 gene:PRUPE_4G141200 transcript:ONI12049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDGQPKLPVLDFSKEAEALKPGTNSWVSACKDVRQALEEFGCFFVSYDKLPPEFQNGFLGTLKELFDLPTQTKMTNKSENPSSGYFGQIPSLPLHEGLGIENATNLEETQKFTKLMWPGGNDNFCESAHSFAKVAEELDQMVTRMIFESYGMEKYYDSYVGSVSYLLRLLKNRTPQENEPSLGFVAHTDKSFTTLLYQNNQAHGLEVETKSHEWIRAEFPPSSFLVMAGDALMAWSNDRILSPNHRVIMNGNEARYSLAQFAFSDGEIHVPEELADEQHPLRYKSFDHPGLLVFFRTNESYVSNSAIKAYCGV >ONI13815 pep chromosome:Prunus_persica_NCBIv2:G4:16385942:16387224:-1 gene:PRUPE_4G247000 transcript:ONI13815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVGYINGIIVFGFRIIIAASTVEKERSRRLSTSVVNQVVQEALAFKSPPRTRGGKRGRIYYCTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRSDAGFLGTPIRLLWSNRRKTEKEEGRAATRTQANLVPRDRKLELAT >ONI10961 pep chromosome:Prunus_persica_NCBIv2:G4:3830766:3834868:1 gene:PRUPE_4G078900 transcript:ONI10961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTVELYGFCSVQSPEAVTEFMEKYTGKGTVYDVKVFHKDGKARASAMVQFTHAEFADMILTVAGHHLEKSVRYGNSFLKAKRLKRDMFSKSVTFQPSMELVKLHFGCQISNEQFSVLWTLSDVLVKFGMILKHFYFFFSYDSIEYKLEISYDIVSQIELHRPHGQLAKFLLIQLCGAPRIYKKDVPHTNNIEEASATYWVREVDFTPSCRIGQSSAVCLELPLRWILPNLGSSFVYYKADEGQFVLERGNIFSCDSDLVPIVRPPLGIKLPYKVLFKINSLVQHGCVPGQALDANFYELVDPTRIRIEDIECALDKLFHLKECCYEPVSWLREQYRKYQTCKRIPETPAISLDDGLVYVDRVQITPSKVYFCGPEVNLSNRLFRKYPKDVDNFLRVSFVDEDLGMMRSADLCLRAAEQERRTRVYERILSTLRNGIVIGEKKFEFLAFSSSQLRGHSVWMFASRSELTAQDIRNWMGDFKEIRNVAKHAARLGQSFSSSKEAFSVGEDEVELIPDVEIERDGVKYCFSDGIGKISADFAARVARKFELSRTPSVFQIRYGGYKGVLAVDPTLSKNLSLRKSMFKYQSNNKTLDVLKWSKYQPYFLNREVITLLSTLGVPDDVFMEKQKQVLNQLDGVLADPFREQKTMELLFQGEVINILKEMVLCGYTPDAEPFLAMMLQAYCVSKLVELRKKTRIYVPDGRSMMGCLDETGTLEYGQVFVQCSPHGSQQLHDTSTHIFSGSSSSDNSFTVEGKVVVAKNPCLHPGDVRVLRAINVPALHHMVDCVVFPQKGERPHPHECSGGDLDGDFYWVSWDPDLIPPCPVEPMNYTPEPTTNLDHDVTMEEIEESFVNYIVHDNLGIISSAHTVFADREQDKAMSGPCKELAKLNSRAVDSPKTGVLVKLPYHLRAIEYPEFMEKLDKPTYKSKGVIGKLFQQVNDHVELVYHLYSNYAKSFTMEVAWKCYDPDMKVDGFEVYINEAINYKREYDYKLRNLMEYYGIKSEAEILSGNITQTSKYFDRRKDFKENIGHAVRSLKTEARGWFHEKQGSNIQSNVSGNSNDAYAAKASAWYHVTYHPRYFGCYNEGMAREHFLSFPWCVFDKLIQIKRDKRSI >ONI13733 pep chromosome:Prunus_persica_NCBIv2:G4:15971831:15973868:1 gene:PRUPE_4G241900 transcript:ONI13733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDDVREPMISALGDPSPQTNQKNPMKSRTYRRCKSAPLAEYVPLKTTDTGSVPVTESILQNLRPNFQKVALFLAVYLGIGTICFYLVRNQIKGEKTNGVLDAVYFCVVTTTTVGYGDLVPNSVLSKLLACAFVFTGMALVVLILSKAVDYLVAKQEIFLDKALHMHQGVCCIEVLKDVETNSLRYKCIVAFILLLVIIIAGTIFLATVEKLDLVDAFYCVCSTITTLGYGDKSFSTRAGRVFAIFWILTSTICLAHFLLYVAELNAQNRQRALVKWVLSRRMTNVDLEAADLDGDGVVGAAEFIIYMLKEMGKISQEDIRLVMEEFDDLDVDQSGTLSTSDIMLAQPPQTEK >ONI12598 pep chromosome:Prunus_persica_NCBIv2:G4:10291366:10295740:-1 gene:PRUPE_4G173900 transcript:ONI12598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFARARLTTLPMIPSLFSVTLKPFLHIPHPLPPPMRSLFIPFASLSASQTHHDPSAPSVPSYLRKSSQPWKPMCLYYTQGKCTMMEDPTHLEKFNHDCSRDLQVTNYMSHRICSQNLDYFLVLDLEGKIEILEFPVLMIDANTMDVVDFFHRFVRPSEMSEKRINEYIEGKYGKFGVDRVWHDTAIPFKDVLQQFEAWLIQHQLWGEELGGCLNRAAFVTCGNWDLKTKVPEQCKVSRMKLPSYFMEWINLKDVYLNFYKRRATGMMTMMKELHLPLLGSHHLGFDDSKNIARVVQHMLADGALIEITARRNPDSLEKVEFLFKNRIR >ONI10870 pep chromosome:Prunus_persica_NCBIv2:G4:3587275:3589680:1 gene:PRUPE_4G073400 transcript:ONI10870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVPAIFQNIGISHFPISLSDFHKNLTSFSKCLVGLMTYTKLTTPSYKSLLSDHLRNQKLNEARLVFNEIPFPSVSLYTMMITGYSRQHRLDDALKLFYEMPVKDTVCWNSMMKGCLDCGDLSKAKELFDGMPGKSVVSWTTIMNGFLQFGEVQMAERLFRKMPVRDVAVWNSMVHGYFSNGRVEDAKNLFKLMPCKNVISWTSMIAGLDQNGRTDEALLLFWEMMGSGVQPTLTTFVSVVIACANLLRLHLGVQIHGQIVKLGYCFDEFVAASLITLYANCKQMENSYKVFKESLHKNVVVWTALLTGYGLNCEHEEALKVFRDMMKLGVLPNQSSFTSALNSCCGLEDLDRGKEIHTTAIKIGFENDVFVGNSLIVLHTKCGNINDGVAIFKRIGDKNIVSWNSTIVGCAQHGCGMWALTLFNQMIRTGVNPDEITFTGLLSACSHSGMLQKARRFFKFFSQHKCVEVKLEHYACMVDVLGRCGKLEEAEELIKNMPVQANKMVWLTLLSACQMHSNLDVAERAAKSIDDLEPDCSDAFVMLSNLYASANRWSDVARIREKMKHSGTVRQPGSSWVTLKD >ONI10511 pep chromosome:Prunus_persica_NCBIv2:G4:2442711:2448376:1 gene:PRUPE_4G051100 transcript:ONI10511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVACFTAKTMLRFILRLLLLPWLLLLLSSVFSLASAKPAPFAMRISCGARQNVHTKPTNTLWFKDFAYTGGIPFNATHPSYISPPLQSLRYFPLSEGPENCYIINRVPRGRYSVRIFFGLLAQQNYDSEPLFDISVEGTQVTSLKSGWSSHDDETFAEALVFLKDSSVSICFHSTGHGDPAILSLEILQVDDKAYQLSQQSGQGIILRTVTRLSCGTGKPKFDVDYGGDHWGGDRFWNYKKTFGQSTDTARSTEASIKQASNPPNFYPAALYKNAIVGTASQLDLEYTMDVDPNRNYSIWLHFAEIDSAVTGVGQRVFDILINGDTAFQDVDVIKMSGDRYAALVLNKTVAVSGRTLTITLHPKGGDHAIINAIEIFEVIMAESKTVPEEVQALQTLKKTLGLPVRLGWNGDPCVPQQHPWSGADCQFDRTSSKWVIDGLGLDNQGLKGFLPDGISKLHHLQSLNLSGNNIHGTIPSSLGTITSLEVLDLSLNSFNGSIPESLGQLTSLQRLNLNSNSLSGRVPAALGGRLLHRASFNFTDNHGLCGIPGLPTCGPHLTAAAKIGIAFGALLLFLLLVICSICCWKRRQNILRTQQLAAREAPYAKARTHLSQNIQMSRQHNHHAQSRTAAETGPILLS >ONI13675 pep chromosome:Prunus_persica_NCBIv2:G4:15449753:15452056:1 gene:PRUPE_4G237000 transcript:ONI13675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSREVATKPHAVCIPFPYQSHIKAMLKFAKLLHHRGFHITFVNTEFNHKRFLKSQGPNSLVGLPDFQFDAFPDSLPDSDEDATPDATLLCESIRKKKFLAPFHALLAKLNNDAISTSHNPPVTCIVSDGFMSAFTITAAEEIGVPIALFYTIAACSFMGFKQLRAVVEKGLFPLKDESCFKNGYLDTVIDWIPGMRDIRLRDLPTFARNTNLDDTGFNFIMEETERAHEASAVVLHTFHALETDVLEALSSMLPHVYTVGPLQLHLNQIPEHPLKMGYSPWKEETECLEWLNTKAPNSVVYVNFGSVAVVTPEQLVEFGWGLANSKLPFFWVIRPDLVVGESAILPPEFVAETKERSLIAGWCPQEQVLNHPSVGGFLMHSGWNSTVESLTAGVPMLCWPFFGDQQMDCRYTCNEWGIGMEISDDAKREEVEKLIKELMEGEKGKKMKNKVMEWKKLAEEATGPHGSSSTNLDNLVNQVLLRKS >ONI10923 pep chromosome:Prunus_persica_NCBIv2:G4:3712259:3713281:1 gene:PRUPE_4G075800 transcript:ONI10923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYTFFVFSGQSAAILLGRLYYNKGGKNLWMATLVQFIGFPILIPYHCILSSKKIALDRNVNQPDASALAFMYLSFGVLLAADSFLYSIGLLYLPVSTFSLICASQLAFNAFFSFCLNAQKFTPCIVNSLVILTISSTLLVFHPNSVNLTGISKEKYTVGVICTVGASAGYGLMFSLTQFSFEKVLKSQTFVTVLEMIIYESLVATCATLVGLFISEEWQGLKREMEEFELGRSSYFLTLICTATAWQTFNIGAIGLIFEVSSLFSNVISASGLPLVPVLAVIFFKENMDGIKVIATVLALWGFASYLYQHYLDDLESKAEIKHVKEISMIPLLKEDNF >ONI10714 pep chromosome:Prunus_persica_NCBIv2:G4:3010803:3011678:1 gene:PRUPE_4G062800 transcript:ONI10714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDREQSKCCFKEWMELQEQDLSELLQALKLDPQNKDQLKHLAEKGICHFQDYINKRTQLARRDVSAFFAPTWCTSWENSLLWIAGCRPSLFFRLVYVLGGSKMESKLSEFLQDTREGSLGEVLTCSQLVVVNSLQSKTIREEERLTGELAALQEDIADQPIAMIAKGLSQLGEMNREVEEALDEHGQAMVRILEEADQLRLNTVKELVNILTPLQAVDFLVASKKLHLCVHKWGRNRDQKLGRENLED >ONI10932 pep chromosome:Prunus_persica_NCBIv2:G4:3739617:3740908:1 gene:PRUPE_4G076700 transcript:ONI10932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLALPGPVISLLLLFFFSGEISMLVNGQKAWCVAKPAAPQHALQSALDYACNYADCSPTKKGGSCYDPDRPVHHVSFAMNAYYQKMGRNQWNCHLNNTSLISLADPSYNPCCQFMSGGSGPPLPQEQEDTWCVPKPGTPDSALQNIINFTCGILKECSEIQEHGSCYFPNTLINHAPFAMNLSYKTDGCYNCDFNCVGLIVVTNPS >ONI11707 pep chromosome:Prunus_persica_NCBIv2:G4:6579648:6584258:-1 gene:PRUPE_4G121500 transcript:ONI11707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAMKFVFLSSLLVFLTCVHSVSSHNLSLRRQASILVSVKQSFEASNPSLNSWNVSNYMFICSWAGIHCDNMNISVVSLDISNYNLSGSLSPAITELRTLVNLSVSGNGFSGIFPPEIHKLARLQYLNISNNGFSGNLSWEFAQLKELILLDAYNNDFNGSLPLGVTQIPKLKRLDFGGNYFSGNIPPSYGNMVQLNYLSVAGNDLSGFIPSELGNLTNLKQLFLGYYNEFEGGIPPEIGKLINLFHLDLANCGLEGPIPPELGNLKQLDTLFLQTNQLSGSIPAQLGNLSSLRSLDLSNNALTGDIPAEFSALRKLTLLNLFINKFHGEIPHAIAELPKLEVLKLWHNNFTGAIPSKLGQNGKLIDLDLSSNKLTGVVPKSLCFGRRLKILILLNNFLFGALPDDLGKCDTLVRVRMGQNYLTGSIPQGFLYLPELSLVELQNNYLTGQLLEEASKVPSKLSQLNLSSNRLSGPLPTSIGNFSSLQNLLLSGNQFTGEIPSDIGRLVNVLKLDFSRNNFSGRIPLEVGNCLSLTYLDLSQNQLTGPIPVQIVQIHILNYFNVSWNHLNQSLPKELGSMKSLTSADFSHNSFSGSIPQTGQYLFFNSTSFVGNPELCDSSEKPCHYSSSSPSEDHNQNGTRSQVLGKFKLVFALGLLLCSFVFATLAIMKTRKVRKKSNSWKLTAFQKLEFGSEDILECIKENNVIGRGGAGIVYRGTMSSGEQVAVKKLLGINKGSSHDNGLSAEIQTLGKIRHRNIVRLLAFCSNKETNLLVYEYMPNGSLGEVLHGKRGGYLKWETRVNIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLNSDFEAHVADFGLAKFLQDTGTSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGEEGLDIVQWTKIQTNLLKEGVIKILDKRLDSVPLDEAMQVFFVAVLCVQEQSVERPTMREVVQMLAQAKQPNTFHMQ >ONI12589 pep chromosome:Prunus_persica_NCBIv2:G4:10264992:10266570:-1 gene:PRUPE_4G173500 transcript:ONI12589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIGDDQVEQSSSRKENNVEEANHLLEECWFFDNLLNRKQKMLRCYSDPQCNSSNFGQEMSVKSSHDQKSLLTTSKATQGNGFAGPNLVRTPSLPLHIGRRQEEEVQVKQSGSNKSSSKLTRQTSHQKMLQTPTKSPACIGRTEGVQDKESDNRRSKMNGQPVRQNLLRTPSLPPCIGREESNQESLPQRHKGLMTQTSSIPRYRPPKNTEGESNASTDGCKEMRRRSLNQLTTRKSLSDLEIEELQGFKDLGFTFDKKELSPSVVNILPGLQEKKRTEDLNPEKVRRPYLSEAWLVQSCAPPPPNLGASRSAEDMKAQIKFWARAVASNVR >ONI11307 pep chromosome:Prunus_persica_NCBIv2:G4:5139799:5140083:-1 gene:PRUPE_4G100800 transcript:ONI11307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGLHSAGREFKNAKEMWREQVGEDQSKKTEWYRQGVGYWESQDLRIPALIPCRKSLQRLEHIYTQEEKKQTSISP >ONI10999 pep chromosome:Prunus_persica_NCBIv2:G4:3975031:3975480:-1 gene:PRUPE_4G081500 transcript:ONI10999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAITSAVIAIVGVVLGWITIEIACKPCLEQGRQAIDRNLNPDYDPDDDVVSSDIRAPLNPNSTATTIHVEVEEDPKAAATSDALKAV >ONI11920 pep chromosome:Prunus_persica_NCBIv2:G4:7434086:7434735:-1 gene:PRUPE_4G134500 transcript:ONI11920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELHQAALAYYNNGSQNLQLLAANFFRSMDRNGDGRVSKSEFITFLRQNGYGWINPSFFNNLDRNRDGGLDFEEVLTFYYIIKTRNLWCDGCGVCLKGLYFTCVECFDRAANTYDLCSRCYSSRRFRHNHTCFLDNHMLLRSKRTQLRQAVKDFLLDTILLMKTIIDAGNHSSTTNFLPSSYTC >ONI11286 pep chromosome:Prunus_persica_NCBIv2:G4:5051036:5054467:1 gene:PRUPE_4G099200 transcript:ONI11286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEIRHRNAGSWATSEPVPINGFLQCLPKQFISGRPTLKPFTPLQNTSFRPTTPKPLAIVAAKHESHVQATIVCAKQHGLQIRIRSGGHDYEGLSYVSPVPFVVLDMFHFQSVDVNVKDESAWVESGATLGKIYYKIAEKTKVHGFPAGVCPTVGAGGHISGGGYGNLMRKYGLSIDNIVDAKLVDANGRILDRKSMGEDIFWALRGGGGASFGVILSWKLKLVRVPEKVTVFNVTKTLEQGVTDVLYKWQFVAPVLPKDLFLRARPEIENNTEGKKTAEASFIGHFLGQTDKLLPLMNERFPELGLRREDCHEVSWIESVVFWDEFPLGTPITILLNQTATPIPFFKAKSDYVKKPIPKKVIEYMFKAMLEIGNIWTEWNPHGGRMSEISASATPYPHRAGNLYMIQYYTSWYQDEGIEATNRYIHLTRKLYEKMAPFVSRNPREAFQNYRDLDIGANLYNQSNLLTARVYGSKYFKGNFERLVRVKTVVDPHNFFKHEQSIPPI >ONI13839 pep chromosome:Prunus_persica_NCBIv2:G4:16634161:16638296:-1 gene:PRUPE_4G248900 transcript:ONI13839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGVLEQLSPESPVPLSSQETESVNKPMANPIKVLETEHSSCSLLELAADNDVDGFKQSISEASIVNEIGLWYGRDRASRRMVLEHRTPLMVASKYGSVDVVKLILSLSEADVNCSPCGDKSTALHCAASGGSENAVDTVKVLLLAGADPNAIDANGCCPFDVIVSPLNCSNLKVVLQELLQYDGSACQLDLHVSNVCCRSSSPSLSSSPKNASLPSSIPDSTCNSDDIHVSSAPEKKEYPFDPSFPNIKNSIYSTDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCMPCLDYRKGTCKRGDLCEYAHGVFECWLHPAQYKTRLCKDGMSCLRQVCFFAHKPEEMRPVYVSTGSAMPSPHSATSSAAFMDMAAALNLLPGSPKAVQAMLPSPFSPPISPGNGISDSCMAWPQKNIPSLHLPESNLRASRLRSSLNAKDIPTEEVNLLHNFELQQQQPLHDVSSFSQSYINISSVSRPLHPETLTPSNLNELFSAQVSSPRLTDHLVNSYAFSPSNKPVVRNQLQQQQTILSPIRTNAFSPRTFEQNLLQAPFDFSSPRMMSHKNIEPLSPIGSRVSALAQHEKQKLQQLYSFSARDVGSNIHHELGSNGAVGSPVPSLTKWESANGKLDWSVQTDELGHLTKFRSGEHNVEEPDVSWVQSLVKESPYDVKEVASAPESGTLLSIDCSN >ONI12613 pep chromosome:Prunus_persica_NCBIv2:G4:10340512:10341222:-1 gene:PRUPE_4G174700 transcript:ONI12613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFTDSPVIELPVRTAVLSLQQDNGSLHVGTSVWPCSLVLVKFAERWAPPSPAAQNPYANLLDFHGKRAVELGAGCGAAGMGLYLLGLTDLVLTDIPAVMPALKHNLKRNKSVLGKTLKHAIVHWNKAADQAKSLSPPYDVVVATDVVYIEETVGPLVSTMEALVKDDGVVLLGYQLRSPEAHQLFWEMCERDYAYEEADVFVLRKKQKGGLTQDAAPDSAT >ONI12266 pep chromosome:Prunus_persica_NCBIv2:G4:8859585:8864790:1 gene:PRUPE_4G154700 transcript:ONI12266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHADHPKKDLKGMDFFTEYGDANRYKILEVIGKGSYGVVCAAIDTHTGEKVAIKKIHDIFEHISDAIRILREVKLLRLLRHPDIVEIKRIMLPPSKREFKDIYVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVIHQLDLITDLLGTPKLETVSGVRNEKARKYLTEMRKKPLVPFTQKFPKADPLALRLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREHSCQPISKLEFEFERRRVTKEDIRELIYREILEYHPQLLKDYLNGTEGTSFLYPSAIGQFRKQFAYLEENSGKSGPVIPPARKHVSLPRSTVHSSTIPPNAQPNLISYENRQAEEASSNFRVTDAISGNASKVLRPPPRVPTAKPGRVVGPVLPYENGRNIKETYDPRTFYRNAVLPPQSVSPHCFFRTHTANQDKSGLEMRRDASHAKLQPQPEQRNLAAKPAPGMAIEVNTNPYYPPPTKADHLHVIDSKLLQAQSQFGPVGAAAVAVAAHRNTGTVQYGLS >ONI10834 pep chromosome:Prunus_persica_NCBIv2:G4:3468612:3470927:-1 gene:PRUPE_4G070700 transcript:ONI10834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNDTVIWTLMIVVLVVFISQSCVHGESRVPCFFIFGDSLADNGNNNHLKTPAKANYLPYGIDFPKGPTGRFTNGRTFVDIVAELLGFENPIPCFATTRRPKDMVRGLNYASGSAGLRDETGTHMGANVNLNKQLLNHKATVKHIASVMGHKQSSNKHYLNKCLYSVGMGSNDYMNNYFQPKFYPTSTKYNPEQYATLLIKQYSRQIKTLYMYGARKVALVGLGQVGCAPYSISTGTNGSACVDKMNDAVQFFNEKLKSLVDQLNTNLTDAKFIYVNTYGMSGSSAERTTTGLKVSDVNCCPVNEIGQCVPSAAPCKNRSEHMFWDLFHPTEMANLVTARRAYNSSNQSDTYPMNIGHLAKLRL >ONI09860 pep chromosome:Prunus_persica_NCBIv2:G4:668349:669383:1 gene:PRUPE_4G014400 transcript:ONI09860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKLIGPAMQLQAELRWMLRVKNIVPPHYTMHRNNKYQTAEELFNEEHNELLKSAQEWIKDTAQSCSTVAVLVAGVQLTPFLVINLKYQKTLLSSGIFTCMDLAAISCSLSSVAFFLSILSSPLEYPFFCHGPPRKLMTGFILLFLSMATTMLAFAASIFLLIRVEKEWTKSLLYSVALFPVPLFGLLQFPMYQFVKVIFYKIIKISHRFAKRKSVCGKTKAN >ONI11524 pep chromosome:Prunus_persica_NCBIv2:G4:5891162:5895982:-1 gene:PRUPE_4G111100 transcript:ONI11524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLLEEIVQSVELWLKLIKKPQPYVDPNLDPVLLVPGIAGSILNAVDDENGTEERVWVRILGADYKFRTKLWSRFDPSTGKTVSLDPKARIVVPEGRYGLEAIDALDPDMVIGQECVYYFHDMIVEFTKWGFQEGKTLFGFGYDFRQSNRLQETLDRLAEKLEAVYNAAGGKKINIITHSMGGLLVKCFMCLHTDVFEKYVKNWIAIAAPFQGAPGYITSTFLNGMSFVDGWEQNFFISKWSMHQLLIECPSVYELMACLDFHWEHIPLLEMWRERLDGDGNSQIILESYPLAESVEIFKEALSSNTVNYNGEDLPLPFNMEILKWANETRKIISHAKVPPQVKFYNIYGINLETPHSVCYGNEETPVTDLRQLRYFQPKYVCVDGDGTVPAESAKADGLNAAARVGVPGEHRGILCEHHVFRILKCWLKADHDPFYNPLNDYVILPTAFEMEKHKDKGLEVTSLKEEWEIISQDQDHDHDDKVAADERPMVSSISLSDVGAEACATVTVHPQNEGKQHVELNALSVSVDA >ONI13384 pep chromosome:Prunus_persica_NCBIv2:G4:13749063:13749521:-1 gene:PRUPE_4G218500 transcript:ONI13384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIQHFLIFLLLLALVLTNIEANPNAEEEEHETNINDSLNSLVNETEEQSSTLHGNGRSLLRKQQLRLTCNKFPGICRAKGSPGPSCCKKKCVNVLTDRLNCGRCGKKCRYNEICCKGKCVNPSFNKGHCGGCNNRCKDGGFCAFGLCNYA >ONI14639 pep chromosome:Prunus_persica_NCBIv2:G4:25512625:25514509:1 gene:PRUPE_4G289700 transcript:ONI14639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALNWHFLGRHALNGHFLGIQRIGTSWAFNELALLGHALNGHFLGMALACVELTLLGHALNRHLLGIR >ONI14100 pep chromosome:Prunus_persica_NCBIv2:G4:19050703:19052218:1 gene:PRUPE_4G262000 transcript:ONI14100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVSNKQVIPREYVTGFPKESDLYVNSTATIKLKLSEAPEHEGSSKKLVLVKNLYLSCDPYQRLFMERIEGLSSQSTSSYTPGSPIYGYGVAKVLDSGHPDLKAGDLVWGTTYWEEYSRIPEPEGLIKIQHTDVPLSYYTGILGMPGLTAYVGFYEICSPKKGEHVFISAAAGAVGQLVGQFAKLMGCYVVGSAGSKEKHVQKEEEEGNTFEKEEEEASSKFEQKELISLKPRGLRYPMKATANDS >ONI14101 pep chromosome:Prunus_persica_NCBIv2:G4:19069552:19071931:1 gene:PRUPE_4G262100 transcript:ONI14101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIQISGLQPWRFELIYSAVVGVVEAPPKLGLLQQDLSTQNQKQEQQTQVDLLKNELGFDEAFNYKEEADLNAAFKRYFPEGIDIYFENVGGKTLDAVLLNMRVHGRIAVCGMISQYNLDQAEGVTNLMHLVDHYHIHPKFVEFMLPYIRQGKIVYVEDIVEGLESGPRALVGLFKGLNFGKQVVDVSA >ONI12251 pep chromosome:Prunus_persica_NCBIv2:G4:8819844:8820164:1 gene:PRUPE_4G153900 transcript:ONI12251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHNRTLATEDGYPYKAVDNGICMADWNASTGAITVTGYEQVPQNNERALLRAVANQPVSVYIDAEAEEFKYYSGGLYKLNSCCYYVGYNTTQDDGTKYWLVKNS >ONI14545 pep chromosome:Prunus_persica_NCBIv2:G4:25146373:25146954:1 gene:PRUPE_4G286700 transcript:ONI14545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSELGITTGCNKLPLTTTFIQTDTNAFREVVQRLTGTSSPPSDPAQQAQLKRTSAGTITSTSIPNNITNSTVRKTKTWSSTQTCKLHERRQYMKMRPKLEIVKPPTMTFHSKPPSSSSSSPSVPSPCTIFSKLSILQLEQAEENKSTNTQEEEERAIQERRFYLHPSPCGCRPPELLTLFPLTSPKSCQKE >ONI11541 pep chromosome:Prunus_persica_NCBIv2:G4:5939862:5942299:-1 gene:PRUPE_4G112200 transcript:ONI11541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEEENQSTMASEQYYMSLHPEVRFQPKDEELITHFLKRKISGDPLPVNIIIDELSFYEYSPIQLSQMYAFAKQKEMYFFTPVERKFTDSTLPRRKARNGYWIPTSKYENIEQGTEIIGVKKSLRFFAGRHRNASTKTNWTMLEYIAGGTAAVSQSPYFLYDWVLCKVYEEELSAKKAPESNNQGNAANEEVTGEQLHPHIRTSPLEDIFGAKKRRCLSKKYSGQLPLTICTSSYRRPCLPELPFEVIIEILSRLPVESVLRFKCVCRQWCSTFQEEDFIAKHWVRASPLRLPYRYRWDYNNSVSFYEENFKLIGNSCGLFLEKNYSSQVFRIRNFAMHQVLYLPHALNAYINTMCFVLNLSTGECKVAYFYIQGNLDAEVGLKVLTVGIDCQWRPLKLSNQTIWGQHEKYLLKRHILKPNQTEGAAHYVEIIRAGQDLYLEVQSFDLWTECFVTTRLPERVFGNLEKVFVFSWNHHLAVGEIVEEALNILVLEDFKDHKWSENKIVVPYKFLKDNPSLKNQIWAPVRVIYGKLELQGVKSFLTYDMEREIITRIEVGNDPDKEHFASHKPSLLTFKGMRPKRVRCD >ONI09889 pep chromosome:Prunus_persica_NCBIv2:G4:768049:773812:1 gene:PRUPE_4G016200 transcript:ONI09889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADLGVGAAINILSAFLFFVVFAILRLQPFNDRVYFPKWYFKGLRESPTHSGAFVRKFVNLDFRSYIRFLNWMPAALKMPEPELIDHAGLDSAVYLRIYLIGLKIFVPIAFLAWAVLVPVNWTNSTLDLAKLANVTSSDIDKLSISNVPDKSQRFWCHIVMAYIFTFWTCYVLLKEYETVAKMRLHFLATEQRRPDQFTVLVRNVPPDADESTSELVEHFFLVNHPDHYLTHQVVYNANKLAKLVKKKKKMQNWLVYYRNKFSRSKNSTRPLMKTGFLGLWGNKVDAIEYYETEIEKLSNDIAEEKERVANDSKSIMPAAFVSFKTRWGAAVCAQTQQSRDPTIWLTDWAAEPRDVYWPNLAIPYVSLSVKRLIMGVAFFFLTFFFMIPIAIVQSFASLDGIEKAAPFLKPIVEMKFIKSVIAGFLPGIALKLFLIFLPTILMIMAKFEGFTSKSSLERRAASRYYLFTFVNVFLGSIIAGTAFEQLDSFIHQSATEIPKTIGVAIPMKATFFITYIMVDGWAGIAAEILMLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFNTGEPRIQLYFLLGLVYATVTPALLPFIIIFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRVVSALIISQLLLFGLLSTKRAAQSTPFLIALPVLTIWFYRYCKGRFEPAFVTYPLQEAMMKDTLERAKEPNLNLKGYLQSAYVHPVFRDCDDDEDNESIDKGENESVIVPTKRHSRRNTPVPSKMTGGSSPSLPDVVEDYAQP >ONI11376 pep chromosome:Prunus_persica_NCBIv2:G4:5325179:5327025:1 gene:PRUPE_4G103900 transcript:ONI11376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSFLCKYDVSVFHGDAKEEGFGLDVRLEVKGTFTAYSPVISDTLLEIGRPMEYMVLNFLCRLTVTAKFVGQCIKARKKGLK >ONI12002 pep chromosome:Prunus_persica_NCBIv2:G4:7760232:7761409:1 gene:PRUPE_4G139100 transcript:ONI12002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIQIDIGAGETARILVEFLKVAITSIVFLKGIYPPDVHFTNWGPVERVAVIFFNSDNIPVERFMFKPLNQSYGSRMEEADLEFSLRSFFIKLPFSESLTRVLPQVCRWEITAYFRSLPQTSTSKDAELWIPTDTQQWQQPLH >ONI13064 pep chromosome:Prunus_persica_NCBIv2:G4:12479575:12479797:1 gene:PRUPE_4G200800 transcript:ONI13064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVELVFWVSHRNEKLVLVLGCVFTSILDVNAIELVCPSKLGYFLGKGMVLCVN >ONI14504 pep chromosome:Prunus_persica_NCBIv2:G4:24447340:24451470:-1 gene:PRUPE_4G283700 transcript:ONI14504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLSYGKVCFLSCCLVILLYVSSPNLVAFASDTEAKALLKWKASLFQNQALNNLTWYPPTHNINATNSSSSEGKPKTSPCTWTGVSCNAAGSVSMINLSRYGIQGTLHEFPFLSFPNLEHIDLNMNKLFDAIPPEISYLSKLYYLDLSENKLSGRIPPEIGLLKNLTFLQLAENAFWGDTPNEIGNLKSLVELYLNENKLNGSIPRSLGDLTRLTHLYLYGNQLSGSIPEEIGNLKSLVKLIFSENKLNGSIPRSLGNLSSLTYLYLYGNKLSGTIPNEIGNLKSLVDLELSSNILSGCIPQNIMNLERLNTLYLHTNQLSGLIPEEIGNLQSLVDLELSANQLNGSIPRSLGDLTNLSYLYLFENKLSGTVPIEIGNLKSLVDLELSYNTLSGPIPPNIGNLNQLNTLYLDDNQLSGSIPKEIENLKSIVELSLSVNQLNGSILASFGNLSNLENLNLRDNQLSGPIPQEIENLKKLTMLYLDTNQFSGHLPHNICQGGKLINFSASDNHFTGPIPKSLKTCRSLFRVRLERNQLTSNISEDFGVYPNVDFIDISHNNLYGEISRKWGQCPLLKTLRLAGNNLTGTIPTEIGNATQIHELDLSSNNLAGTIPKEFGRLTSLEKLMLNRNHLSGRIPSEFRSLTNLEYLDLSTNKFHESIPGILGDLFRLHYLNLSNNKLAQEVPLELGALVQLTDLDLSHNSLEGKIPSKMSNMESLVMLNVSHNNLSGFIPTSFQDMHGLLYVDISYNHLEGPLPNINAFREALPEALQGNKGLCGTVGTLPPCNKRGSKKHFKLIFSLLAVFVFLSAFFTIFIVVQRKNKHQDKDQSTMHEEISFSVLNFDGKSMYEEIIKATEDFDSTYCIGKGVHGSVYKVNLSSTNLVAVKKLHLLWDGETNLQKAFLNEVRALTEIRHRNIVKLYGFCAHKRHSFLVYEYLERGSLAAMLSKDEEAEVLGWNKRVNVVKGVAHALSYMHHDCLPPIVHRDISSKNILLDSEYEACVSDFGTAKFLNPDSTNWTAAAGTYGYMAPELAYTMEVTEKCDVYSFGVVTLEIIMGRHSGDVFSSLSSGASSSSSSASPAPEMPILDILDQRILPPTKQEAEEVVSLVKIAFASLNPSPQCRPTMKKVSQLLSSTQRLHLSKPLHMTTFGELLALDGFTT >ONI13875 pep chromosome:Prunus_persica_NCBIv2:G4:16820784:16824702:-1 gene:PRUPE_4G251300 transcript:ONI13875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALKYCSTVLLCLSLLTLFPVVTSEDTLSQTQLLQHDQTLVSASDVFELGLFKPGTTSDWYLGIWYKKIQEKTVVWVANRDTPLSNSYSATLKIGDHGNLVLVDEETKQIAWSSNETQAVNPIAQLLDSGNLVLKEANSTRNGTTDEFLWQSFDYPTNTLLPDMKLGWNLSTGLDRYITSWKRIEDPSTGDFSFKLNHQGFPEIFLWNKQNITYRSGPWNGERFSGVPEMTASNNIRFDFVAKPDEVYYSYSILGNSGPIYSRLTVSPTGDLQRFIWIESAKIWNQYWYAPKDQCDRFRECGPYGVCDSNASPVCKCLEGFGPKNLQAWNLRDGSDGCVRTTELGCLKDKFLVLENMKLPESGGAFVDMNMSLEACKKTCLENCNCTAYSDARISNGEGSGCVMWTGKLMDLRQYAEGGQSFYVRLAASELDGDHGKAKRVIMIVVITVSICCILMLSGLVIYFLRKRNLNLPIVHRESSKPKGSFERNWDSLLSEVVISSRRDFCSRERSNDELDQLPLFDFNTLAVATDNFSNRNKLGEGGFGCVYKGTLVDGQEVAVKRLSTNSGQGTEEFKNEVDLIARLQHRNLVRLLGFCIDEDEKMLTYEYMENKSLHSILFNRAKRSMLDWQKRFKIICGIARGLIYLHQDSRLRIIHRDLKASNILLDGDLAPKISDFGMARLFCNDQTEENTRRVVGTFGYMAPEYAMDGQFSTKSDVFSFGVLVLEIISGKKNKGFYSSNTELNLLGNAWKLWNEGKGLEMIDPDVGESYSHSEVLRCMQVGLLCVQERAEDRPTMASVVLMLSSGTATTMPNPKNPGFCLERTESAVEADSSSDKQEEGYSVNQVTISTLVGR >ONI11313 pep chromosome:Prunus_persica_NCBIv2:G4:5151633:5155910:-1 gene:PRUPE_4G101100 transcript:ONI11313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLFMPITRMENYQGDLTDILRAGSAATSAAGAYHSDHLLHHHHQEPEAAADSWHFPSDHPPPMKFSSASSAMEEEEEEDAKDNFGDPFSSYMRDPLLHELDISSSSSFFISPNSSSDMNINITSVDEAGGTSSTFGGAHIVLAPPPPPPHHHHLHHHQQGGVVDGDPIKRPCNIFSRMLQISPSAKVPVTACDSPLLGAATAVSSPRGISKAVSGSISGAMVGSDMIINGNSSSGKGCLLENTGVQISSPRNPGIKRRKSQAKKVVCIPAPAAANSRPTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKSSAGSKTSSNSSHQPHQKPASPTKEEQNETMNDNNHVSPVITAGSASTVKEEFEDIEKQLELDHQAGFPYRPSMPDDQSNQSHHNEDFFADLGEIEADPLNLLFSQCFTADEQQKGSKAAALDPFNLFDWSGDQNTNNNTTSFGEAAKRRL >ONI10176 pep chromosome:Prunus_persica_NCBIv2:G4:1499129:1502288:-1 gene:PRUPE_4G032300 transcript:ONI10176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALTKQESLFFTILCLNSLLTLSPIASLEDDLSFTPDILVEGNMTIVSPCEVFELGFFKPGNTSGWYLGIWYKNVQNRTVVWVANRDTPLSNSSGALLMTGDHGNIVLLDPSRNVTWSSNHTQVLRPNVLLLDTGNLVIKEGTENSQTFFWQSFDYPTHILLPDMKLGWNLSTGLNRYLTSWKSSEDPSTGDFSIKLDYHGFPEIFLWDKHVKNFRTGPWNGMIFSGVPEMCASKHGVDFNFVTKQDEVYYSFSLQNKLELEYSGLTVSPNGDVQQITWVESTKMWNIFQYPPKDQCDSYGECGPFGICDTNASLVCKCVRGFQPKNLEAWNLGNGFDGCVRKRELRCTKDKFLRLRSVKLPESGGAFVDGDMSLEACKEKCLENCSCSAYCNMEITNGGRGCVMWFGELMDMRVYADGGQDLYVRLAPSEIGGDGKETLAVIIVVAIVGLVILLAGLGFYIVWKRNLRISRNARTQPKGPYGRSQVLLLNEVVFTSKDFYSGERINDEPELALIDFSTVAVATNNFSDENLLGEGGFGYVYKGTLTEGQEIAVKRLSKNSGQGTEEFKNELKLIARLQHINLVRLLACCIDKDEQMLIYEYMENKSLDTFLFSGEKRSTLDWQKRYNIICGIARGLVYLHQDSRFRIIHRDLKASNILLDGEFTPKISDFGMARIFGKDQNESHTRRVVGTYGYMSPEYAMDGFFSTKSDVFSFGVLVLEIISGKMNKGFFNYSDNKPNLLDCAWKQWKEGKGLEIVDSSLGNSYCPSEVLRCIQVGLLCVQEHVVDRPTMSSVVFMLSSPDATMPQPKTPGFCLGRNPAGQTDSSSSKLEGSCTIDQVTIAVLDGR >ONI10640 pep chromosome:Prunus_persica_NCBIv2:G4:2825394:2829235:1 gene:PRUPE_4G059200 transcript:ONI10640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGKVRAAMGLQKSPSNAKPETPSKSPSPSVSSGKLSQKAVFSRSFGVYFPRSSAQVQPKPPDVTELLRLVEELRERESRLKTELLENKLLRESVAIVPVLENEILNKSEDIERASKQMEALEAENERLRNQVEEVKLMLEEERRESEKKVKAMEAEISELKKTGSDRSKAEINLESDELSSSQRFQGLMEVTGRSNLIKNLKKGAKCADVHANQESQKLERSDSKREEAETERPRHSRCNSEELAESTLSTIRSRIPRVPKPPPRPSTSNGENKATTEQAVTFPPPPPPPTSQAKSVPPPPPPPSRAAPPPPPPPPKGRRPAPAKVRRVPEVVEFYHSLMRRDSRRDSGSGGSDAPATANARDMIGEIENRSAYLLAIKTDVETQGDFIRFLIKEVENAAFTDIKDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFGYCDLKKLETEASSFPDDSRHPCGPTLKKMQALLEKLEHGVYNLSRIRESATQRYKVFQIPTNWMLDTEFVSQIKLASVKLAMKYMKRVSAELEIVGGGPEEEELIVQGVRFAFRVHQFAGGFDAETMRAFQVLRDKVRSCHVQCQNQQQQKIVCRSTPC >ONI10478 pep chromosome:Prunus_persica_NCBIv2:G4:2379881:2381949:1 gene:PRUPE_4G049800 transcript:ONI10478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDNNSDMTEESGVTNQDSISLSLEALQAISDLNELTMEDEGSILIMSEEIVGRDHRTGLAGEAEQEESPNVSWVLLGRAEEEVDVNSKSLNPSEIAEKEHADSQFWFIGRKKATSVLSVLKLVNSMFLNPHLDSDISDSEGVKKDLDFEFIIRKDEKQMVGLDHHNVKSVFFNVKMVGSDEILGSLSVCGKEKTDQQDLNNLVPEFAEKENIGFGSGSSSCCSEGVEAFEFIIKRKDVMRAHHLELEGIGLKKEVATRGIPFWHVNHVIRRSWSGKIWRASLNGIIEGEDMDVDDPDATESDSDDDRDFGDYIGNEREDDGDEGGVDGQNKKVPVIRLYQEEEYICGKLTINDYHNAHQLEEAVYNLVLETRAIFRQRWLTPLTTEYILRYRRKNSPQNWTDLAIFEMDWEEVAARLFVVNAIDNTEDHLNHYYLPVFRRSVDGASQKIGDLYPWDYRDAEELERASKHVLSKHGVLDTTEYSFQYYGEGNEELHMFELDWQQVLQLDLLSSVYATHNVPREGDGDDGV >ONI09824 pep chromosome:Prunus_persica_NCBIv2:G4:560209:560892:-1 gene:PRUPE_4G011600 transcript:ONI09824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANLSTFKRSSSSSAATQRPQQAGGGDGNSSPAGPSCMELLKPECLKVKAEKKKRKRPLTPERNKIQNNNNNNYNNNNNNTGGGGGASGSGTRLTTLEEWLLASPGHRGMKKPDNYNITGGELYVFRPHSRRVHPASSSSSKLPADHVPTAAAPLDSKARDSICLERFVKNDQINDKMKKKVSFRLPEEADIIIFYPSEEIMAVTDSDSDDEDYDIRTSTSQDVKS >ONI10294 pep chromosome:Prunus_persica_NCBIv2:G4:1838761:1842691:1 gene:PRUPE_4G039300 transcript:ONI10294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHSSSMAIKVSHNLGLGKWNDKHNNFIPNHVGSFFIFLRGNKTHHNAMSRGHIGCCLSSFNQTQSFPVMEDQKKLSFSSPEPVNYSQELDVAVRTVQLACSLCQRVQNSLISKTSDGVQSKDDNSPVTVADWSVQATVSCILSESFGSSNVSIVAEEDIQNLSKAKAGGVLETVVKTVNECLAEAPRYGLKGPKMALGTTEVLEAINRCNSIGGPAGRFWALDPVDGTLGFVRGDQYAIALALIEDGEVVLGVLGCPNYPMRKEWLNYHHRYHRIISKLTPSTSESWDKGCVIYARRGSGEAWMQPLLHVNKNLVWPNSARPVRVSSIDNPSLATFCEPVEKANSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGVVTDAGGCPLDFSKGIYLEGLDRGVIASAGANLHDKIIRAVDASWDSSAL >ONI12975 pep chromosome:Prunus_persica_NCBIv2:G4:11880795:11890165:1 gene:PRUPE_4G195100 transcript:ONI12975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKISDFGLARKLVGGDQTGGNTNRVVGTYGYMAPEYAIYGLFSVKSDVFSFGILVLEVISGRKNKGFYHPNHSHNLIGHAWILWNQGRPLELIDTRLGSSYTLSEVLRCIHVSLLCVQHHPEDRPTMASVLIMLGSEIPLAQPKQPGFFIETESLEAGVSPVDSISPSQSIRDGTTLVSKGGSFELGFFSPGSYENRYLGIWYKNIPVRTVVWVANRCNPINDSSGILMINRTGHLVLLGQNKSVVWWISSAKHAPSATVELLDSGNLVLRDAGTYLWQSFDYPSDTFLPGMKIGWDLRTGIKRSLSAWKNSEDPCPGDFTYGIEMERDTYPESYVRKGTAKYYRSGPWNGLRFSGSPELRPNPLYSFNFVNNDDEVYYTYNLQNKSVISRIVLNQTTSTRDRLTWIEADQSWKAYSSVPRDLCDNYGLCGANGKCIIDENPVCQCLKGFKPVSQEKWNLMDWSLGCVRNKPLSCQERYKDGFVKFVGLKLPDTTHSWVNKSMNLKECRTKCLNNCSCMAYTSSDIRGGGTGCAIWFDDLIDIRQFSDAGQDLYIRMSASEFKSGGKVKTAMIIAVSLAVVFSVVLLVGYYLRHNRRKLKEIGETNMNNEGEPEEDLELPLFDLPTVASATENFSSDNKLGEGGFGPVYRGTLPDGQKIAVKRLSRSSGQGLNEFKNEIILFAKLQHRNLVKLLGCCIKGEEKMLIYEYMPNRSLDSFIFDSVRGELLLDWPKRFHIICGVARGLLYLHQDSRLRIIHRDLKASNVLLDNEMNPKISDFGLARTLIGGDQSGGNTNRVVGTYGYMAPEYAIDGLFSVKSDVFSFGILVLEVISGMKNKGFYHPNHSHNLIGHAWRLWIQGRPLELIDTCLESSCTLSEVLRCVHISLLCVQHHPEDRPSMASVVIMLGSEIALAQPKQPGFFIEKESHEVGSSLGNQTSSTNEISITLLEGR >ONI13309 pep chromosome:Prunus_persica_NCBIv2:G4:13433190:13434941:1 gene:PRUPE_4G214800 transcript:ONI13309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSNSIMWVSAKPSFSSSFLQNPKPLLPQISCPSLHSQSSLTPTIHCGLRELRVRIDSIKSTQKITEAMKLVAAARVRRAQEAVINGRPFAETLAEVLYDINERLQGEAIDVPLTNVRPVKKVALVVITSDRGLCGGFNNLVIKKTQTRIAELKKLGLDVTVISVGKKGNFYFKRRPEISVDRFIEGGLFATTKEAQAIADDVFSLFISEEVDKVELMYSKFVSLVKSQPVIQTLLPLSPRGEVVDGNGNCVDAMEDEFFRLTTKGGKLSVERDSVKKKREGLSPVMEFEQDPVQIIDAMMPLYLNSQILRALQESTASELAARMNAMSNATDNADDLKKTLSNSYNRERQAKITGEILEIVAGAEALKDFE >ONI11282 pep chromosome:Prunus_persica_NCBIv2:G4:5025878:5027407:-1 gene:PRUPE_4G098800 transcript:ONI11282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SCTNSSSVEFNFLQCLSKHSQRSNPISEAIYTSKSASFSSVLQSYVRNLRFISPTTPKPLLIVAAKHESHVPATVICAKSLGLEIRIRSGGHDYEGVSYVSRNTKDFIVLDMFNLRSINIDMADESAWVQSGAILGEIFYAISMKSKNHAFPAGVCPSIGAGGHFTGGGYGNMMRKYGLSTDNIVDAKIVNVNGKILDRKAMGEDLFWAIRGGGGSSFGVILAWKIKFVRVPATVTVFEVKRTLALGATEILVKWQQVADKLDHDLFIRAIIKPANGTIEVSFMSLFLGNSERLLKLMSQSFPQLGLQKTDCHEMSWIQSVLYWTNYQNGTTSPEVLLNRVPKGQVFLKRKSDYVKQPISKTDLEALWKVMIEIGEVTMLWNPYGGKMSEIPETATPFPHRAGNLFKIQYSVNWKEEGFESTKRYLSLMKKLYVAMTPYVSKNPRMAFYNYRDLDIGTNSKGGYDEAKVYGTKYFKGNFERLVRIKTEVDPENFFRNEQSIPTLPSKQL >ONI13410 pep chromosome:Prunus_persica_NCBIv2:G4:13815610:13816743:1 gene:PRUPE_4G219900 transcript:ONI13410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRVDPIKVPKLPFDIISEILSWLPVQSLLRFRCVCKQWCLLLTQDHQFIAKHSGRASRLLLPRMSGPGKILYDENFKFLYHLSGGLLMEMSLKNSRVYRIRNPVTHQVLYLPDAPEGTDEIDIAFNSFTRECKVVCVHGEDGEEVGFEVLTVGKDEQWRPLKHPNQDLLKQHGKQALKQKYWPAAYKAEGLGHFTQLISDGKDLYMEIQSLEIWSERFTTNTVPRGFFADLNEVSVLTWNHCLAVRNITEENLNVLVLEDFKEHKWSQNKIIVPLTFLKDRPEWKDKIVHAIGIPKSGVLQLAINHVYRDGITKTIIVIDYDMSSQTVIHERKPPPPFPLPETCWHDPSLIALKGMKSEKAGFSPGDGFLICFF >ONI14634 pep chromosome:Prunus_persica_NCBIv2:G4:25449480:25451475:1 gene:PRUPE_4G289200 transcript:ONI14634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQEQFFKDQIKIIHESRDAKEESFERLQQEERDKVKQSYVNPSNGEEKKYRSAEIDNFIKSQEKEMEEFVEERDIDGDRDPETDMLIKAHEDNKTAMKWRHWEEEVELEKDFDAKLTQLMEKYSPHCA >ONI10639 pep chromosome:Prunus_persica_NCBIv2:G4:2819091:2821951:-1 gene:PRUPE_4G059100 transcript:ONI10639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRIFRGVYIKKATRLVLLTRMFGLKKKMDSSHSIAKKWRHLSGQNNWQGLLDPLDIDLRRYIIHYGEMAQATYDAFNTEKASKYAGSSRYARKNFFSRVGLEKGNAFKYNVTKFVYATSQIQVPEAFLIKSLSRESWCKESNWMGYVAVATDEGKAALGRRDIVIAWRGTVQSLEWVNDLQFNLVSVSKLLGEDGDPKVHEGWYSIYTSDDSRSPFNKTSARYQVIEEVRRLVEQFKDEEISITITGHSLGAAIATLNAVDIVANGVNRPKEQPNKACPVTAIVFASPRVGDSNFEKVFSGHKDVRTLRVRNALDVVPNYPIPLGYSNVGEELAIDTRKSKYLKSPGGLASWHNLEGYLHGVAGTQGSKGGFKLEVKRDIALVNKSADDLEEEYLIPASWRCEKNKCMTQLDDGSWVLRDHEDDDDADF >ONI11321 pep chromosome:Prunus_persica_NCBIv2:G4:5181078:5189748:1 gene:PRUPE_4G101500 transcript:ONI11321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMIMTNAQLGVSNFQRNDIFVANCSSKPGPLSGFSLFRRPIFCVGLYEKNVKKNRGFGIKIPNRRTVISAVSKEGSDNRSVGGEILEKEFEFKPSFDQYLKVMGTVRLRSDRDKQDSSKEQNPKHNLRSRGVSRSLVSEGNEEHVKLGESEEHSNQEKASKAAKQNEALGNRNGIMGKSKRQGVKGFKDEYDSRQSNRDEKEKKKIRGEARDGRSKYSGRLEPELNFRGKSTMARNVKDDLRVYKSTDKSFDRGKVGVKIQGGLERNHINAENATDRGFSRRSEKLTKSGRDFPKKNYDNSMEVERAAFKNFDEFGDIMDKPRVSQMEMEERIQKLAKWLNGADIDMPEWMFSKMMRSAQIRFTDHSILRVIQLLGKLGNWRRVLQVIEWLQMRERFKSHKLRYIYTTALDVLGKARRPVEALNVFHAMLQEMSSYPDLVAYHSIAVTLGQAGHMRELFDVIDTMRSPPKKKFKTGALGKWDPRLEPDIVVFHAVLNACVQRKQWEGAFWVLQQLQQQGLQPAATTYGLVMEVMLACGKYNLVHEFFKKVQKSSIPNALTFRVIVNTLWREGKVGEAVLVVQNMERRGIVGSAALYYDFARCLCSAGRCQEALMQIEKICKVANKPLVVTYTGLIQACLDAGSIKNGAYVFKQMENFCSPNLVTCNTMLKGYLDHGMFEEAKELFLKMLDNGNNISSKSDCKARVKPDSYTFNTLLDACITEKRWDDFEFVYKMMLHHGYHFNAKRHLRMILDACEAGKGELLDITWTHLTEAGRSPPPPLIKERFCTKLEKDDYAAALTCITDPNLSELQTFFSKNAWLKLFKENAEKFQKDTFVRLVHEGSILINRTDRSNPVFQNLMAACGELDRTCLVGVDFKQSETVCTTHTEPAMNSLMNSIHI >ONI12913 pep chromosome:Prunus_persica_NCBIv2:G4:11509229:11510107:1 gene:PRUPE_4G191400 transcript:ONI12913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMGTEVSVFDLVNIKEICDQVLFLSEYRAQLYDSLKNRMNTIAPNFTALVGELVGAHLIAHGGSLLNLAKQPGSTVPILGAKKALFRALKIKHATPQYGLIYHASLIGQAAPKLKGKISQSFAAKTALAIRYDALGDDQDNIMRLENRLKHEQLHRCYQTGQKAPWQAQYRSSAGNPAQAKHGTHQPEQAHRQKLTGLLPSGC >ONI13498 pep chromosome:Prunus_persica_NCBIv2:G4:14309115:14310125:1 gene:PRUPE_4G226100 transcript:ONI13498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSSSKTFLLLGFMFALVILISSEVSARELVEITKPATYIGFTPFTPGRGPVIKCGRRPYSECLPPRGAPQPPASGEYRHGQSHHEKQGPGVAETETGN >ONI13515 pep chromosome:Prunus_persica_NCBIv2:G4:14483481:14484808:-1 gene:PRUPE_4G227400 transcript:ONI13515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEINNFIKVWILTNTSLCYCYYIAAKIPKGIIRLISLLPIFYIFIILPLNLHSFHLCGPTTFFLVWLGIFKLLLFSFNLGPLSPTPPTLVQFISIACLPIKIKQNPPQKSPQNTDQNPPTPQNNKNPSHQTTPKPKKSVNPIDQTLTNVPNKSILLAIKALLLALVIRTYEYRPHLHPYIILALYCCHMYLGIELVLALSAVPARAILGFELEPQFTEPYLSTSLQDFWGRRWNLMVTNILRPVAYDPVRRISMRILGPRWSRFLAVMSTFAVSGLMHEAIYYYLTRVSPTWEVTWFFVLHGVCVAVEVEMKKAATDRWRLHPMVSRPLTIVFLGVTGNWLFFPQLLRNGVDLKAIDEYGIMIDFVKAHLPLHIIGAKDS >ONI10422 pep chromosome:Prunus_persica_NCBIv2:G4:2218621:2220965:-1 gene:PRUPE_4G046600 transcript:ONI10422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVEVDKGPVPEHQSIAAAQPPPSPSEIAQMVCGSCRRLLKYSRGARHVQCSCCQTVNFVLEADQVGQVKCGSCAVLLMYQYGASSVRCSSCQFVTEIGEHNKRPPWSVQQGQPPPPANPVH >ONI11970 pep chromosome:Prunus_persica_NCBIv2:G4:7651319:7659247:1 gene:PRUPE_4G137700 transcript:ONI11970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFLPAESSHSEMSDVGSYKDGQDRLEEGACWYFYRKEIEENSPSRRDGIDLKKETYLRKSYCTYLQDLGMRLKVPQLTIATSIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPKAVQRIKQKEVYEQQKELILLGERVVLGTLGFDLNVLHPYKPLVEAIKKFQVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGERVWWQEFDVTPRQLEEVSNQMLELYEQNRVPASNEVEGTAASGAAHRAPAKATTSNEEYATSNSNLQGGDTRPGTLKLASSRPESEQLDVGNDNGPPRNTQSLNNDNRSTDIRNAPDHNVNVAFKDNQYSAPLSSHQEQMGDAQNVSKSGSEGRYEEGQEDNGKFDTREAGELKAKQFGRSLENGQGALGQSPQDVIKKIDKNKVKAALEKRRKSQGDVTRKKDLMDEDDLIEMELEDGIELAAGSEKIKGDRSQSWVKSSNRSENEDLHQGKQQEDASNGRTDLSNVEEGEVSALADGGQGYHSLISSDRKRKSPPLNGVEKKQRCDYSPGTAHHNQFDHAEDHSEVGRVVHTEMDHKRQVQENHV >ONI13249 pep chromosome:Prunus_persica_NCBIv2:G4:13203836:13209176:1 gene:PRUPE_4G211700 transcript:ONI13249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPSGGVVPQQIPSDPQQQYQQQPPQQWMMMPPPQQGQAPPAQAGWAHPAPQQQYATTQNPGPDEIRSLWIGDLLPWMDENYILSCFGPTGEAVTAKVIRNKQTALPEGYGFIEFRSRSAAEQILQQYNGTLMPNTEQNFRLNWATLGAGERRQDDGPDFTVFVGDLAADVTDYLLQETFRAAYHSVKGAKVVTDRTTGRSKGYGFVRFGDEGEQLRAMTEMNGQYCSTRPMRIGPAATKKPASGGQQYQKASYPSTQGNPGEADPNNTTIFVGGLDPSVNDDMLRQVFGQFGELVHVKIPVGKRCGFVQFGNRSCAEQALGTLNGTQLGGQSIRLSWGRSPTSKQNQPDQAQWNGGGGGFYGYPQGYDAYTYAPPAQDPNLYYGGYHGYGNYQQPGAYQQQQQ >ONI10127 pep chromosome:Prunus_persica_NCBIv2:G4:1344506:1347601:1 gene:PRUPE_4G028800 transcript:ONI10127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMVSSRFLFFLIYAILLLIMITQAFAQPAFLYHFCKNNIGNYTTNSTYHKNLNTLLSSLPSNENGNGYGFYNSSYGQNSDDQVYAIGLCRGDVKAEDCRSCLNNSRYALTRLCPNQKEAIGWYDNCMLRYSNSSMYGVMATMPAFYMRNPKNISSSGMDGFNQELRKLLESVRSEAAAGGSLRKFAYGNATAPTFQTIFAIAQCTPEISEQACSDCLVGAFGEIPQCCPEKVGGRVVRPSCNFRFEVYRFIEPTTILQLPSPPAAPPILSPPPPSTNITTVSGGPKSNTSRTVIIILVPIVVCLVLIISIGIWLRVRKTKKKLTIPPGEDADEIRSAESLQFDFDTIRIATDDFSEANKLGQGGFGSVYKGRLFNGEDIAVKRLSTNSGQGDLEFKNEVLLVARLQHRNLVRLLGFCLEGIERLLIYEFVPNSSLDHIIFDPIKRTQLDWDSRYKIIVGITRGIIYLHEDSRLRIIHRDLKASNILIDAEMNPKISDFGMAKLFVLDQTQGNTSRIVGTYGYMAPEYAMHGHFSVKSDVYSFGVLILEIVSGQKNNCFRRGENVEDLLSYAWKSWREGTASNVIDPTLRTGSRAEIMRCIHIGLLCVQENIADRPTMASIVLMLNSYSVTLPVPSQPAFFRHSSVGSDMSSSGWTNNSGLTAGSDRSKSNSVVKAPEDEVSMITEVYPR >ONI11046 pep chromosome:Prunus_persica_NCBIv2:G4:4128767:4129319:1 gene:PRUPE_4G084500 transcript:ONI11046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRFKNMQNWGEVAPAALSRKSSRVLTKLETIIEEGSESSFEGGQKGMSLYVLPLLLTGFMYIMLYTDVA >ONI13826 pep chromosome:Prunus_persica_NCBIv2:G4:16522995:16525358:1 gene:PRUPE_4G248100 transcript:ONI13826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSTIAKPKIELGSKTVQELLLLAKGEQQVPEKYIHKVGAPDASSVQLKDIPVIDLDLLLTPSSTTQQLENFRTALTTWGCFQVINHGMTPEFLDEVREMIKQFFALPLEEKQKYLREVNDIQGYGNDKVISQQQTLDWTDRLYLSVYPQEARKLKLWPQNPQSLRGTLEQYSRKLQVVTKTVLVAMARSLNLDDNCFWEQYGEKGKMDVRFNLYPPCSRPDVVLGLKAHADGTIITLLLQDKQVEGLQFLKDDQWFRAPIVPEALLINVGDLAEILSNGIFKSPVHRVLTNPDKERISLAAFCLPELDKETEPFESLVNESTPKLYKKVKNYDRIFFDYYQQGRRPIEASKI >ONI11262 pep chromosome:Prunus_persica_NCBIv2:G4:4863692:4864585:1 gene:PRUPE_4G096800 transcript:ONI11262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTQPLKWLDLPSTSCVAKEEFISTFIVMLSSISLCVKKHFCFSLSEQWCSRHAPPNGETCCPPFYLPSLLYITKEFGPTAACMKKPCKLS >ONI10745 pep chromosome:Prunus_persica_NCBIv2:G4:3139964:3140593:1 gene:PRUPE_4G065500 transcript:ONI10745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKSRVFRLRCLNDEIIEVNEAAAVLCETIKNMVEEGCEGDEISVPNVTAEILGKVMEWCNKHAKGKETKEELKEWDAEFLNVDLYHIFIAADYPYNKELVALVSQKVADMIRGKKIDEIREVFKLKNDMPPELVKEVIDGNPSIDFM >ONI11708 pep chromosome:Prunus_persica_NCBIv2:G4:6587683:6591120:1 gene:PRUPE_4G121600 transcript:ONI11708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQSKDPFEAAFEEQEDSPPDSPAAPDAARTAPDTQEDDDPLLLPPGSKDPSSSATPLAAPPPPPPTTAVTTARTTPAATTAAPSTSARAVVKHNKDDDDDEDEENMDVELGKFSATGDPDKMAKMQAILSRFSEEQMSRYESFRRAGFQKSNMKRLLGSISGTPKISMPMTIVVSGIAKMFVGELVETARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSMSRLFR >ONI14180 pep chromosome:Prunus_persica_NCBIv2:G4:20098694:20101884:1 gene:PRUPE_4G267300 transcript:ONI14180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSYRYDLLPIILSFRSLKKLVSRCLIGLQVKYPYSFPPFCFSRGFLFLGIRDFFGLPMVLFLASYMLEPNATIPTLPISSLPGLWWLHVCKYLSFVALVICPSVP >ONI09858 pep chromosome:Prunus_persica_NCBIv2:G4:659249:662400:1 gene:PRUPE_4G014200 transcript:ONI09858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEIAYWKTEDVLKEEVESASEIEVLKGPYDLAMVNDWEGMKRYYADNQEKLNCPITVDEDTALHIVASCCSKSQGKQVLEFLINLLPQSYDERCKAVRFPNKLGNNVLHEVAMSGNLEAATFLVSNFNKPAGKISNEENSTLPLLDIRNELGESPLYRAAALGHPYLVQFFADKLEEENPENLRRHFHRNDRMSILHIAVIGQQFRTALWLQSKYPFLATKREGKGLTSLQLLAQMPTAFKPQFQQSRWKMLIYYCLPARDLEVTTNPKDDVESSLGSNQDPQAISWKKPEGILKVYTSLWDFLAKEMDIIEKIWKDKRIKHALKNLIPLLVEKDNSWQNSKEAKGKTISLGSVENLCKGDNDGGDQQGKDSAEPTNSKKQSIYKYNPLLIATITGIVPIVAEILRQHPQAAEHVSHSEQNILHLAIKHRQREILELLKRKPITISRLNEMIDSDGNTILHQAADRSYYSVAISEKLIGPAMQLQAELRWMMGVKNILPPHYIMHHNNKDQTAEELFNDEHNELLKSAQEWIKDTAQSCSTVAVLVATVVFAAAYAMPGGNEPNGLPVFHDSPLFWLFTCMDVVAIACSLSSVAFFLSILSSPLEYPFFCHGLPRKLMIGFTLLFLSMATTMLAFAATILLVIRIEKKWTKSLLYCIAFFPVPLFGLLQFPMYQKITKIFRPFFIPFLGCWKRRSICGKRKAN >ONI12954 pep chromosome:Prunus_persica_NCBIv2:G4:11694822:11695597:1 gene:PRUPE_4G193900 transcript:ONI12954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTANFHPSIWGDRFINYDYSEHKITHAQKQVDELKEIVRREVFTNLKAGGDGFAHQLKLIEAIQRLGVAYHFEREIEEALERIHGTTCHDHYDGDLHSVALGFRLLRQHGYNVSCG >ONI09985 pep chromosome:Prunus_persica_NCBIv2:G4:1057414:1058004:-1 gene:PRUPE_4G022200 transcript:ONI09985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMIRKPYAYSKMDKEDPEEVIHRRAQFLIYKVLQQAETRRRPVNLRIRIRKLKLKIGRRLKKLRKSMLLSISAARVCVYKQVFSQLKTCKTLFGRGEGTIGASLPALFT >ONI09971 pep chromosome:Prunus_persica_NCBIv2:G4:1010549:1012299:-1 gene:PRUPE_4G021400 transcript:ONI09971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRKLTQSRSERLLGSYSSYSHAHDSPELGEDDVWSTVNTVDEREDPEIENSHGDWSPRASAETNGGVSSRRSRRIAREDGNVGGLSLAFDDSGKTASSPRIVHQFRGHDSVASPRVHHMATSAPVNVPDWSKILRVDSVDSLYELDESWMGMTRRLSRHTST >ONI13150 pep chromosome:Prunus_persica_NCBIv2:G4:12846319:12850198:1 gene:PRUPE_4G205900 transcript:ONI13150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYSENFDGETSNHELQHVEKFVGVSAASGSAINKIQLYCESGVELWVDLKMDSNPQYNSDHMHMPRLTAFPQGSSPQVPLSDFGSQTFGGINNKRASDLLISMSAGTEINRLRISELASKAMEELVKLAFAREPLWKVDTASNTEILSEIEYMREFGDMNATLLEIVKMVEVRESQSLPSLDMNNSEFSIGSQYKPKELGPKPVSSEASREIGLVRMNPSSIVQLLMNLRQWSQAFSNIVSRAAIVGVLSSTGVQGNYDGTLQVMTAEFHAPTPLVPTRESYFARYCKKLGSDTWAVVDVSLEKLFQFPSRNFRRQPSGCLVQEMPDGWSNVIWVEHVEVDNSLVHNMFEPLVSSGFAFCAKRWVATLIRQCQWLETTMARRTCFSTDGGFISQQGRRTLLKLSERMVRSFFRENTSACSENKWMSLPWPTSGAENIRISMKSSTGDPGRPPGTTMVFATSIYHPFSRKKVFSFLRDEKCRSEWWDMLAFQHEFHEYAYFTIGENPGNRVSILRSMNDKTKEVEIFYLQASYTDSMESYVVYAPIDAYAMTDLINGGNPDYVAILPSGFSILPDKPMHQDETGGSLLTIAFHIALGASTEEHMSPHQHLNVGHKILETTLSLIKEGLEFMI >ONI11236 pep chromosome:Prunus_persica_NCBIv2:G4:4771228:4773801:-1 gene:PRUPE_4G094600 transcript:ONI11236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIFFQSLHGMPIMRLISLLIIVLELVLVLPISTSSTTAAAHDHQALLPQALLGCSDRCGNLTIPYPFGMAPGCYRGPEFFINCTTTHEDENPVPYLTKISGSPYDLIQLRVTNISLEEGDMHVLQPVTRSCGEDQNDTDGVLLLPPPFTFSEDKNYLFAVGCGIVAVFQGSRPGQGLDGNTTLPHINRYCVTYCDDNSDGNNTDPVINDDSCSGFACSLAQLPGGVQNLTVMFTPVSNISRARHRKWYTKYPCRYAFLVEQSKFTFSPGTSFEQLNNTSHLPVVVNWEIGGHGTCDAARKSKKDFACKGNSKCVDWPSDNIHGSTGYICKCKKGYQGNPYHPDGCQDIDECKSNPCPVGKCINTPGSHSCLCPNGTKERSCKEKSKTLLMVMGISLILVLLVGSSSYICWGMKQRKYIRLKEKYFRENGGLLLQQQLAHHGGEATRIFTAVELEKATNNYHDSRVLGRGAYGVVYKGILPDNRTVAIKKSKVAAPTQSEQFANELTVLSQINHKNVVRLLGCCLEAEVPLLVYEFITNDTLFEHLHGKQKKGSSFVWELRLKIAAETAGALAYLHSSTFMQIIHRDVKAMNILLDDNYTAKVSDFGASVLIPLDQTQIKTLVQGTFGYLDPEYLHSNQLTEKSDVYSFGVVLAELLTRKVAFSYDRPEAERSLARLFVCAMEEERLDHILDGDIVTEGNIETVRNVAHLAKKCLMLKGEERPTMKEVAIELEGIMRTMANHHPQGNNVQLRPEDQTSNFLGSP >ONI10955 pep chromosome:Prunus_persica_NCBIv2:G4:3814272:3817371:1 gene:PRUPE_4G078500 transcript:ONI10955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLPLIFRNPPLRLCTHHLLYPPLSIAKTSTNPYSLISYSPTNTRSFPILNSTQMEGDEITEEITETTQTNVVGKKKVFVAGATGNTGKRIVEQLLAKGFAVKAGVRNVEKAKASLPQDNPALQIVKADVTEGSAKLAEAISDDSDAVICATGFSYGWDLFAPWKVDNFGTVNLVEACRKLGVNRFILVSSILVNGAAMGQILNPAYIFLNVFGLTLIAKLQAEQYIRKSGINYTIIRPGGLKNDPPTGNLVMEPEDTLSDGSISRDLVAEVAIEALVNPEASYKVVEIVSRPDAPKRSYEDLFGSIKQR >ONI10938 pep chromosome:Prunus_persica_NCBIv2:G4:3758367:3760668:1 gene:PRUPE_4G077300 transcript:ONI10938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYIREFLASEAFKKAGREVSDVVPRLVKFLCCLQVTKTHLFTVTLSYGPSMLPTLGLAGNLCLAERISTRFEKLGVGDIVLVQSPEVPWKFMTKRLKAMEGQSVTYFVDPKNSDKSETIVVPKGHVWIEGDNIYESNDSRKFGPVPYGLLQGRVFWRIWPPKDFGSLAQNKGKDSVS >ONI12297 pep chromosome:Prunus_persica_NCBIv2:G4:8952082:8956733:-1 gene:PRUPE_4G156200 transcript:ONI12297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPIESAIKKPKRLTSIVWNHFERVRKADICYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNFDVSQLLAAKRRKKDNTVGLANINCDEAQRKDEYMKPALIKFDQDLKKDDIVTIASGKFDNDRSRLDLARMIILHGYPLTMVDHVGFKVFVKNLQPLFEVVPNNDVEHFCMEIYRKEKRQVYQAINSLQGRINLSVEMWSSPENVEYLCLTAHYIDEDWKLQKKVLNFVTLDPTHTEDSLSEVISKCLMDWDIHSKLFAFTLDDCSTDDDIVLRIKDRISQSRPLAGHGQLFDIRSAAHLLNSIVQDVLEALREVIQKIRGSFKHVRSSQVVQGKFNEIAQQVGINSERRLILDFPVRWNSTYIMLETALEYRGAFSLLQEHDPSYASSLTDTEWEWTSFVTGYLKLLVEITNVFSGNKSPTASIYFPEICHVHIQLIEWCKSPDDFLSCMALKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFDAYSICSTMVDQGSALPGSSLPSTSSDTRDRLKGFDKFLYETSQSQNVISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDVLGTPMSTVAPESAFSIGGRVLDQCRSSLNPDIRQALVCTQDWLQVELKDVNPFSSHSAARPLLIESS >ONI12628 pep chromosome:Prunus_persica_NCBIv2:G4:10427451:10430421:-1 gene:PRUPE_4G176100 transcript:ONI12628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKFKTLRTLSREKNIQQNFKLEFQTQPRTHHSHHPIAHKPLSYLAKVHKFQSPTNPKSETFSKISQFYFLGRNKTTCFVHSVANSDEKARFGEDQDDQTAIFIQNILKFRRDKSAEEIERALDRCGFVLTEDLVLNVLRRHRSDWKLAYVFFTWACKGGGGTGCLPGSDCFNEILDILGRMRAFDEVSQVLDEMSKREGLINEGTYGILLNRYAAAHRVEEAIFTFYKRKEFGLELDLVAFQKLLMWLCRYKHVEVAETLFNEKGKEFEQDIKTWNIILNGWCVRANVREAKRFWKDIIASKCKPDQFTYGIFINSLTKKGKLGTALKLFRAMWDQGCNPNVVTCSCMIDALCFKKRIPQALEVFQEMNVRGCLPNAATYNSLIKHLCKIRRMEKVYELLDEMEQKGGSCLPNEVTFNCLLKSLKKPEEVPGLLERMEKSRCKMTSDTYNLLLKLYMEWDCEERLRYTWEEMERNGLGPDQRSYTIMIHGFHDKGRIKDFLRYFREMTSKGMIPEPRTEILVNSMNIKWKEGWRTSRKS >ONI12240 pep chromosome:Prunus_persica_NCBIv2:G4:8760575:8764534:1 gene:PRUPE_4G152800 transcript:ONI12240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRATCVALCVVWVGIVITWAWRVLNWVWLRPKKLEKCLREQGLAGNSYRILFGDTKDLSKMLEQTQSKPIKLSTSHDIAPRVTPFFHQTLNSYGKNSFVWMGPIPRVHIMNPEDLKDTFNRHDDFHKVVKNPIMKSLPQGIVGIEGDQWAKHRKIINPAFHLEKLKGMVPIFYQSCSEMINIWKSLVSKESSCELDVWPYLENFTSDVISRAAFGSSYEEGRKIFQLLREEAKVYTVAVRSVYIPGWRFLPTKQNKKTKEIHNEIKGLLKGIINKREEAMKAGEATKDDLLGILMESNFREIQEHGNNKNAGMSIEDVIGECKLFYFAGQETTSVLLVWTMVLLSQNQDWQARAREEVLQVFGSNIPTYEELSHLKVVTMILLEVLRLYPSVVALPRTTHKKTQLGKLSLPAGVEVSLPILLVHHDKELWGEDANEFKPERFSEGVSKATKNQFTYFPFGGGPRICIGQNFAMMEAKLALSLILQHFTFELSPQYSHAPSVTITLQPQYGAHLILHKR >ONI10287 pep chromosome:Prunus_persica_NCBIv2:G4:1819409:1822263:-1 gene:PRUPE_4G038700 transcript:ONI10287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAVVITTPGGPEVLKLQEVADPELKEDEVLIKVAATALNRADTLQRKGAYPPPPGSSPYLGLECSGTIEAVGEHVSRWQVGDRVCALLSGGGYAEKVAVPAGQVFPVPPGISLKDAASFPEVACTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQIAKYQGVRVFVTAGSEEKLAVCKELGADVCINYKTEDFVARVKEETGGKGVDVILDSIGASYFRRNLDSLNFDGRLFVIGMLGGAVTEIDLRVVLSRRLTIQAAGLRYRSPENKAEIAREVENNVWPAIVAGRVKTVIYKSFPLSEAAEAHRLMESSQHIGKILLVA >ONI13472 pep chromosome:Prunus_persica_NCBIv2:G4:14099916:14100976:-1 gene:PRUPE_4G224200 transcript:ONI13472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTDAMIFFLLFFFSSWDSFGRGKQSEDDRDEGSSRRGKLLKDLLAVAVFLLFLEVFKGGSTSQSAGALQRNW >ONI10512 pep chromosome:Prunus_persica_NCBIv2:G4:2449358:2451371:1 gene:PRUPE_4G051200 transcript:ONI10512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSSREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPAPVPGGLSLDLNMPSAAHWASHSGRLVEFLHTGVLNDMSCGDATPDTTSLVSKREQPQPPVGVVGNVGGVAGGTVTEGSSTASYLGLVRRGLPIDLNEPPPLWL >ONI09987 pep chromosome:Prunus_persica_NCBIv2:G4:1063037:1063477:-1 gene:PRUPE_4G022400 transcript:ONI09987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDFFSSVSAAALIRLDWALSISAEAEPSSSGYVPGDVDDYISQSSSGKAGGAKEYKLISQGGGAKEKQNAVMTLTAHYA >ONI10836 pep chromosome:Prunus_persica_NCBIv2:G4:3476351:3478057:-1 gene:PRUPE_4G070900 transcript:ONI10836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEITLGFILIVVLVVSISQSRLVNGAPQVPCFFIFGDSLADNGNNNNLLSFANANYQPYGIDFPIGPTGRFCNGRTTVDILAQLLGFENPIPSFAATRGQDILKGLNYASGSAGIRAETGFQVGARISLDQQLQNHQVTVSRIASTLGHNQSALQYLNKCLYSVGMGSNDYINNYFLPQFYDTSRIYNHEQYATVLIHQYSRQIASLYKNGARKVALIGLGAIGCTPNAISTFGTEGSACVDNMNSAVQLFNQKLLALVNQLNTNLTDAKFIYVNSFGMASGDPAAAGFKVVNVGCCPVNKFGQCAADQTPCQNRSEYAFWDGFHPTEALNQITARRTYTEFDPSDTYPLDISHLVQLSLSSCM >ONI09848 pep chromosome:Prunus_persica_NCBIv2:G4:636108:638106:1 gene:PRUPE_4G013600 transcript:ONI09848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIANGDREVCVASPAAKAPSVGDEKEREADAFVPPLNFAMVDNGVFRSGFPHSANFSFLKSLGLRSVIYLCPEPYPEENEEFLKENGIRLFQFGMDGSQEHFVKIPEHAIREALKVVLDVRNHPLLIHCKRGKHRTGCLVGCVRRLQKWCLTSIFDEYQRFAAAKARASDQRFIELFDISSDEHLPMSFSCSKRIV >ONI10845 pep chromosome:Prunus_persica_NCBIv2:G4:3508183:3514643:1 gene:PRUPE_4G071500 transcript:ONI10845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFFIFSLLLLPLLASCAERKQVYIVYFGEHSGEKALHEIEDTHHSYLLSVKETEEEARASLLYSYKHSINGFAAVLTQDEASQLSELEEVVSVWASHPKKYSMHTTRSWKFVGIMEEEERHWTSNKMGGDFLSKARFGKDIIVGVLDSGVWPESKSFSDEGMGPIPKSWKGICQSGPGFNSSHCNRKLIGARYYLKGFEHNYGPLNASEDYQSPRDMDGHGTHTSSTVAGRLVPKASALGGFARGTASGGAPLAHLAIYKVCWAIPGQSKADGNTCFEEDMFAAIDDAIGDGVDVLSISIGTSHPVNYTSDGISLGALHATKKNIVVACSAGNSGPSPATLSNPAPWIITVGASSLDRAFVSPVVLGNGIRLEGETVTPSKLEENNMYPLVYAADVINTGVPKDMAGQCLPGSLSPEKVKGKIVLCMRGSGLRIGKGMEVKRAGGVGFILGNSAANGIEIACDAHVLPATSVLYKDANRILKYINSTKHPIATIIPARTVLHTKPAPFMASFSSRGPNVIDSNILKPDITAPGLNILAAWSEADPPTKLNGIDHRVAQYNIYSGTSMSCPHVAAAAALLKAIHPSWSSAAIRSALMTTAEIRNNLDMPLNDESGNAATPFAYGSGHFRPTKAADPGLVYDASYRDYLLYMCSIGGFKDVDPKFKCPRSPPTATNLNYPSIAISKLNRAITIKRTVTNVGEGKSIYFFTSKPPLGISVKASPSMLFFDHVGQKKSFTITVKARKEMLSKHDKDEYVFGWYTWTDGLHTVRSPIAVSLA >ONI10235 pep chromosome:Prunus_persica_NCBIv2:G4:1700077:1700988:-1 gene:PRUPE_4G036000 transcript:ONI10235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSSSFKKYTDLKQQAYLDDMEAGKETVNLDKFFEDVENVKEDMRQVDKLYKQLQDANEESKTVHNAKTMKELRTRMDLDVEQVLKKVKIIKGKLEGLERSNATHRNLPGCGPGSSADRTRTSVVSGLGKKLKDMMDDFQGLRGRMTSEYKETIERRYFTITGEKASEETIENLISSGESESFLQKAIQEQGRGQILDTISEMQERHDAVKEIEKNLIELHQIFLDMAALVEAQGHQLNDIESHVMHASSFVRRGTDNLQEAKEQQKKSRKWTCIAIILGIILVILLLIPILIQVLPHML >ONI11602 pep chromosome:Prunus_persica_NCBIv2:G4:6229615:6233398:-1 gene:PRUPE_4G115600 transcript:ONI11602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREAYAYGSTTAASNMEMSGGGGDGGVMSNMKPAWLEGLMAETFFGGCGVHENRRKNEKNIFCLHCCLSICPHCLHSHRSHPLLQVRRYVYHDVVRLGDLEKLIDCSYIQPYTINGAKVIFLNQRPQSRTCKQGSANICLTCDRILQEPFHFCSLSCKVDHLVYQGEDLSGILYRFDESDFTISQFEGLRMDGSEVIDDDGHMIPSSIIEDPLQYRASSCSNEATSDSVMSQEPEVIKKKKKKGSGFLPGIVLSLSSRRKGAPQRAPLS >ONI11811 pep chromosome:Prunus_persica_NCBIv2:G4:6977131:6981069:1 gene:PRUPE_4G127000 transcript:ONI11811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGTAIGIGRKLTNPPSSLSISKQTLIPFSTSSNSGGGRGRGRGGPFPSTPARFDFTPPPRVPGQPDSDDPKPDPPPSAPGLGHGRGKPLPTFSSFVSAIKPNSGTGRGQPSQVQSIPESRDPVAPDAGPSKPIKPIFFVRGDGSDPALPGSGRGKPMNFTRPEVQVKEENRHIQARPEPDPNQPRTRPRGPKLTREEAVKQALGILAQDGAEGDDVGGGGGSGRGRGRGMRGRGRGRGRGRGDFRMSERGDRRRGKDSDGSYASGLYLGDNADGEKLAKKLGPEIMNKLVERFEEMSSEVLPSPLDDAYVDAMHTNFMIECEPEYLMGEFNKNPDIDEKPPISLRDALEKMKPFLMAYENIESQEEWEEVVNETMERVPLLKEIVDHYSGPDRVTAKKQQEELERVAKTLPAKVPDSVKRFTDRAVLSLQSNPGWGFDRKCQFMDKLVAKVSQHYN >ONI13817 pep chromosome:Prunus_persica_NCBIv2:G4:16432038:16433249:1 gene:PRUPE_4G247200 transcript:ONI13817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTVKFWAVVNKGHFQSYKKQKFPCVNLQSHKSLQYIIDFVHPINDVFASVFFCGKISLRRIDQFEEFRICGCGCFPLLSVYNLNLSKTRHVQEEINMLLQSAHPLSHGFLFILLFSSIISTNIHACNQTECRTPTPFSPNTSSHPINWTSLSSFHLVGIPYRHQGRALNGGDAQGDGTKGGIIAKP >ONI10935 pep chromosome:Prunus_persica_NCBIv2:G4:3752447:3752754:1 gene:PRUPE_4G077000 transcript:ONI10935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGVTFKRYRAIKEVGKIIMSVGVIAYYQLMQVCQAEYFRQLLKPVT >ONI12535 pep chromosome:Prunus_persica_NCBIv2:G4:10042245:10047974:1 gene:PRUPE_4G170100 transcript:ONI12535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKKRKLDENGVVLDTDPSSIPKLSPEDARKLIERFNPDQLIDILQDAVTRHVDVLDAVRSIADLDASQRKLFIRGLGWDTTTEGLRALFSAYGELEEAIVILDKVTGKSRGYGFVTFRHVDGALLALKEPSKKIDGRMTVTQLAAAGNSSSNVSSNNAADVSLRKIYVANVPYDMPADKLLAHFSFYGEIEEGPLGFDKQTGKCKGYALFVYKTPEGAQAALVDPVKNIEGRQLTCKLAIDGKKGKPDGPGQGQGPGSGPNAHGDGMGLAQPSSIAGQYGGPGGIGSYGGFSGGHQGPPPLGHHPLGGPGLSSVGNQVNSGLGAGGGYGAGLGGPYGNYGGPGSVGYGLGGTGGLGGAGGGLGGAASGGGAPGGGVGTGSSLYGLAPSSAGLPSSRFPESGHYGLSSYQNQHHQQAGTSPMPRVPPGGMYPNVPPYY >ONI10376 pep chromosome:Prunus_persica_NCBIv2:G4:2120171:2121742:-1 gene:PRUPE_4G044100 transcript:ONI10376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFNKEVVQPPQELNSPAPSNSAKRPQEIVKDFMSCHDPNNAFSVCFGNTAMLAYVPPNNPYPIQQRLFCSLDNIYCIFLGSLNNLCSLNKQYGLSKCTNEAMFVMQAYRTLRDRGPYPADQVLKDLDGSFGFVIYDSTAGTVFAALGANEEVSLFWGIAADGSVVVSDNLGVIKGSCAKSFAPFPAGCMFHSEQGLMSFEHPTRKMKAMPRIDSEGVMCGANFKVDVQSRVPNMPRVGSEANWATWGPSSPA >ONI14366 pep chromosome:Prunus_persica_NCBIv2:G4:23163334:23173446:1 gene:PRUPE_4G277200 transcript:ONI14366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGASEVSGAPIRRVLLISAGASHSVALLSDNVFCSWGRGEDGQLGHGDAEDRLSPTHLSALDGHEIVSVTCGADHTIAYSDSRTQAYSWGWGDFGRLGHGNSSDLFTPQPIKALYGLRIRQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGTTEDSLVPQKIQAFQGISVKMVAAGAEHTAAVTEDGSLYGWGWGRYGNLGLGDRNDRLVPEKVSMVNSEKMVMVACGWRHTISVSSLGRLYTYGWSKYGQLGHGDFEDHLVPHKLEALSNNFICETAGGWRHTMALTSDGKLYGWGWNKFGQVGVGDNIDHCSPVQVKFPHDQKVVQITCGWRHTLAVTERQNVFSWGRGTNGQLGHGESIDRNVPTIIESLSVDGSTGLQIESSKVDPATGKTWVSPSERYAVVPDETVRGQTSASVKGNGNDVSVPQSDVKRVRF >ONI09830 pep chromosome:Prunus_persica_NCBIv2:G4:580439:583743:1 gene:PRUPE_4G012100 transcript:ONI09830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRSSTRYGPATVFTGPVRKWKKKWVHVAPSSNTNNASSTTHHSHQINGHNGASNGNNGSHLLLYKWTPITQSQNTAISNNNNAVTNGNGGDKVSAKDDDALAANEEPPRRKFKYIPIALLEEQQLEAAEQVEDESNSIDNEPTETEATPKNEVLDEKPDINDVPMEENEDNNQVVCQDLNESTLDLSLGLNAHESDNGSALNTEQTRNG >ONI11667 pep chromosome:Prunus_persica_NCBIv2:G4:6464639:6466625:-1 gene:PRUPE_4G119400 transcript:ONI11667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLASSLGTCNPRKLRTQNILLQRDVTTSETSRVKILSHPQRRSSSSSSEQVLQLNENPNLLKRREAIGFGFCLGLLDVLLAPQPTQAAEGATCELTVSPSGLAFCDKVVGYGPEAVQGQLIRAHYVGKLENGKVFDSSYNRGKPLTFRVGVGEVIKGWDQGILGGDGIPPMLAGGKRTLKLPPELAYGVRGAGCRGGSCIIPPDSVLLFDVEFIGKA >ONI09822 pep chromosome:Prunus_persica_NCBIv2:G4:552570:553843:-1 gene:PRUPE_4G011400 transcript:ONI09822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEYGLKVVVAIVVLATVSLGGICGVGAQLHHVVGGDRGWDPSSDLASWSSNKTFRVGDNIWLAYSAAHGYIAEVKSKEEFESCDVGNPIRMFTDGLDSISMDQEGLRYFASSNVESCKNGLKLHVQVMPQSYQNPETPNPKIAIAKPNSSLLAAAAAGPTTPSGSTRLTAASFILLSFGLLCYVMGI >ONI12818 pep chromosome:Prunus_persica_NCBIv2:G4:10971614:10972697:1 gene:PRUPE_4G185000 transcript:ONI12818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEITVERDYTIEDPKTDAYTVRVDTQRILTVVTHSESIVTKWLKDALKSSIKSAVLVGITAETENRWCWHEKDRHKEYPYDFLCLNIGAQSLVYETWETYFRGRHSLVSFLENPRVFVIGREMANISRKLKVHHGIEIRNAVDVNDLAIRGLRRDDLDLGRYDLDQLARAVLGKQMDLARPEDDFYWKYRDDEFHRWTQCDELKLFWAIDPYLCFLIGLELIDAIDGAASQKKKTKKKKKN >ONI13019 pep chromosome:Prunus_persica_NCBIv2:G4:12106768:12111636:-1 gene:PRUPE_4G197800 transcript:ONI13019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATLSSSDSNDRSPDLRSPLLSPTRKPTEERESEKLCIDDMLQKYCGQFGPWQMRHFVLTSLAWALEAFHTMVMIFADREPDWRCLGGAAGSGCDVTARTVCGLKPGSWEWTGGLGSSTVAEWGLVCEEKYKVGLAQALFFGGCMIGAGIFGHLSDSFLGRKGSLTVVCIMNTIFGCLTAFSPNYWIYVLLRLLTGFSTGGVGLCAFVLATEPVGPTKRGTAGMSTFYFFSTGIAILSGIAYIFPQWRELYIASSIPSLLFLLAVLPFVSESPRWYLVRGRITEAMKLMRAIAKSNNKHLPEGVVLALDEEANNDSPTTNEEDQTYKELLDSKDAISGSLIDVVKLPMTRMRLFLAVAINFLCSVVYYGLSLNVVNLETNLYINVLLNAVAEMPAFTITAILLDKYGRKPLAIGTLWFSGVFCFAGSFVGNAGAWKVVKMVCGILGIFGMAGTYNLLFIYTTELFPTVVRNAALGCATQAAQMGAILSPFVVVLGGGLPFAVFAVCGIAGGVFAFYLPETLNKPLYDTMAGMEDGEGACRSVN >ONI11849 pep chromosome:Prunus_persica_NCBIv2:G4:7143869:7144967:-1 gene:PRUPE_4G129600 transcript:ONI11849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIASILVAGAIGVSLPLLGKKIPTLRPENDIFFMIKAFAAGVILATGFIHILPDAFDNLTSPCLKENPWGNFPSLGHHGHGSEELRSPELIRHRVISQVLELGILVHSVIVGISLGASQSPETIKPLMVALSFHQFFQGMGLGGCISQAKFKSRSAAIMATIFSLTTPVGIAIGIGISTGYNGNCPTALIVEWIFNAAAAGILIYMALVDLLAADFMNPRMQSNMRIQSGAYISLLLGSGCMSLLAKWA >ONI12118 pep chromosome:Prunus_persica_NCBIv2:G4:8369623:8375279:-1 gene:PRUPE_4G145700 transcript:ONI12118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYFVYVEMAWQVSSLLQMLFHLALIFCLSVASINSLEEEALLLLEFKTSLSDPSNNLESWNSSYFTPCNWTGVGCTNHKVTSINLTGLNLSGTLSPSICNLPYLTEFNVSKNFFSGPFPKDLAKCHNLEILDLCTNRYHGELLTPFCKMTTLRKLYLCENYVYGEMPEEIENLTSLEELFIYSNNLTGTIPMSISKLKRLKVIRAGRNSLSGPIPTGIGECQSLEVLGLSQNQLEGSLPRELHKLQNLTDLILWQNHLSGLIPPEIGNISKLQLLALHVNSFSGMLPKELGRLSQLKRLYIYTNQLNESIPSELGNCTSALEIDLSENQLSGFIPRELGYIPNLQLIHLFENHLQGNIPRELGRLKLLQRLDLSINHLTGTIPLEFQNLTCMVDLQLFDNHLEGRIPPSLGVNSNLTILDVSENNLVGRIPPHLCKYQTLVFLSLGSNRLSGNIPYGIKTCKSLMQLMLGDNMLTGSLPMELYSLSALELFENRFSGPIPPEVCRLINLERLLLSDNYFFGYLPPEIGNLSQLVTFNVSSNMLSGSIPQELGNCTKLQRLDLSRNYFTGNLPEELGKLVKLELLKLSDNNLMGVIPGTLGGLARLTELQMGGNHFSGSIPFELGQLTALQIALNISHNDLSGAIPENLGNLQMLESLYLNDNQLVGEIPASIGELLSLLVCNLSNNNLVGTVPNTTAFGRMDSTNFAGNYGLCRSGSNNCHQSAVPSTTPKRSWFKEGSSKEKLVSIISVIIGLISLFSIVGFCWAMKRRGPTFVSLEDPTKPEVLDNYYFPKEGFKYQDLVEATSSFSDSTIIGRGACGTVYKAVMADGDVIAVKKLKAQGDGVSVDSSFRAEILTLGKIRHCNIVKLYGFCYHQDSNLLLYEYMENGSLGEHLHGNEQRCFLDWNARYKIALGAAEGLCYLHYDCKPQIIHRDIKSNNILLDEVLEAHVGDFGLAKLIELPYSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELVTGKSPVQPLEQGGDLVTWVRRAVNNAMATSEIFDKRLDLSVKRTTEEMTLFLKIALFCTSTSPVNRPTMREVIAMMIDARESVSNCSSSPTSETPLDEGPSKGCMEL >ONI14076 pep chromosome:Prunus_persica_NCBIv2:G4:18844526:18847766:-1 gene:PRUPE_4G260700 transcript:ONI14076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFSIQISSNLVDKLVHDAEKSKRKPRRTKIKVPREPQEPQTKTNQKQVSDNSETPKGTGAKGWPLQPPIFVPVTPPSQSTYAELDAIRSVLQESERVLERLQKQEENMVQEVTQRAKELHDKEFKLPFQKPMPCLSEKDACLACYKEHADNPLKCAGFVKSFEDCARRIRQQVGSAEK >ONI10295 pep chromosome:Prunus_persica_NCBIv2:G4:1844717:1845860:1 gene:PRUPE_4G039400 transcript:ONI10295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPISCLFLSTLLLSASLVISARPESSFSAELKHSNDKPTNQNNKNNNKGGGSGGSGGNGGNDGSGGMGGFFGPGFDIPGFGKGFGSGVGGGYGGGYGGPNGGYSRGGTVRTSLVCKEKGPCYKKKLTCPAKCFTSYSRSGKGYGGGGGGGGCTIDCKKKCSAYC >ONI14075 pep chromosome:Prunus_persica_NCBIv2:G4:18801687:18802871:1 gene:PRUPE_4G260600 transcript:ONI14075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPDDFSSYVSAPNSPAQCSPGNNLFFCSAPGSPRKRTISNTPYDACYSDHEYMTPPMTPYQDANSDLDDFEFETSRSFNLDVFDNVKSQQKASLDRKQQEQIRQQRQCKESLPMTMSFADELFCDGKVMPLAPPALKLPPRLHQKRSGSQSPMPSSPRSPSFVSNLPFSYRRLWNDDFDPFMVALEHVREEKRRGKEKTDDHNMVGLNDQQNKQTGLLTPIHSPSANSAGQLEPRKQVGQSQKRLALPKGLEFARQVRLIQNGYNERFSELNMARPVCRVEETPKNKIFQGSGDQRNISAPCTKETKGQKIKGLSLSASFGKVDDDKKKQRDQSSAILSRKPTFLRRLISKSGGSAHCSGEKRVSDQLAKTTFVHFRPRLLLCMGYKARYAK >ONI12045 pep chromosome:Prunus_persica_NCBIv2:G4:7905936:7907612:-1 gene:PRUPE_4G140800 transcript:ONI12045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDLIKVEIIERQTVKPSSPTPHSSRTIQLSVLDQMVLSHVYFPTLLFYSGNNNITGSGGGATSTDMAAMRMERDYCQHLIRSLAKTLTHFYPLAGRLSKGYDMIQCTDDGAEFVTARVKCSLSRIFEHPDFEMLTGLVPAVGQPDGDGDGVSTRLPLLAVQANLFECGGIAIGLNFSHKVVDGITASAFISCWAKTALDDGDDDQVPFMVPKFDAASYFPPLDFLNSSQPSSAELVGIIKQDKCITKRFVFDNSKIATLQSNLASAVAPHAPTRVLVVSALIWKCAMEASSKSSNIPPSSFLLTMDLRRWFEPPLPQNLAGNVVGILVVTATASLKGQEESNETIDLKDLVAKLSKGIAQQKETYPTKLPFDSNEAFQRAREYEKLRGNVDMYHLCSGIWCRFPFYEADFGWGEPTWVSVPSIGCKDAFYLIDKKDGKGIEALLSLSEEIMEHFESNPELLKYASVNPRVM >ONI13669 pep chromosome:Prunus_persica_NCBIv2:G4:15308132:15309660:-1 gene:PRUPE_4G236400 transcript:ONI13669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPNFPSDIIPEILSRLPVKSLCRFRCVSKSWLSLIADPDFVKRQLNKAIESKDIFNQRRRLIFTDESHRSLFSLDLDEFLNHNDAIDNYLDKEYDNLIINIDDNDDVVATELDYVFSELPNNWAFLVFHSNGLLLYQLYYRIYIWLTLQQEKRIISTSLVLDLITPLVITRSLEGGIMFSVYTLKTGSWRKIQTRYPYNIPTNVTSCGGLHWLLDRVVVEHRSLIISFDLAEENVQEIPLPLASIDAKDYLVGRGGSSKEVTSYCQRHLLKFIIDCLCVTMHNEFWIMKEYGVRVSWTKVRISIPYYILRHSGFWKKSHDLLDFRDRLVLCNCNDERFRNLSISGLPKVNEVGIYLESLVSPNN >ONI09977 pep chromosome:Prunus_persica_NCBIv2:G4:1038736:1041925:1 gene:PRUPE_4G021900 transcript:ONI09977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSDNEPSEPLLLSKSSSMHIVSRELEDTLNNTELSYFQRLRTGTWLELKILFRLAAPAVVVYLLNNVISMSTQIFCGHLGNLELAASSLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGIYMQRSTILLLATSIPLMIIYIFCKQILLGLGESASIAAAAAIFVYGLIPQIFAYACNFPIQKFLQAQSIVFPSAYISLGALVVHIVLSWVVVYKLGGGLLGAALILSFSWWIIVVAQFVYILWTPRCKRTWRGFSIRAFSGLWGFLKLSVASAVMLCLETWYYQIIVLLAGLLKNAEIALDALSICMTITGWVFMIAVGFNAAASVRIGNELGAGHPKSAAFAVVVVTSSSFIISAVCAIIVLVLRHDISYVFTEGETVSNAVSDLSPYLAISIVLNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIIGIPLGCLLGFKFDFGAQGIWTGMIGGTFIQTIILLWVTYRTDWNKEVEKAQSRLDTYEDKKDSDDEVLTN >ONI11234 pep chromosome:Prunus_persica_NCBIv2:G4:4765798:4766775:1 gene:PRUPE_4G094400 transcript:ONI11234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVRACRHVFKQIYSVLTFAAIWKIWVAMVALQALTNVTSRATDQVDTLAICFTCKILPLLSRITWIWILPNCPIKNRWKFCYALQLLKT >ONI14158 pep chromosome:Prunus_persica_NCBIv2:G4:19789348:19789854:1 gene:PRUPE_4G265600 transcript:ONI14158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVNNSITPDLNKPSKFEGLHFKRWRQKMLFYLTTKKLAFVCTSVKPYAFDNPTPEQTWALQTWTENDFLCKNYILNGLSNDLYDYYSSYDTAKELWDALQKKYDTKESVEAQPHELQKIAHEIIIEVINLDEQFQVAVIIDKLPPS >ONI11535 pep chromosome:Prunus_persica_NCBIv2:G4:5924416:5926263:1 gene:PRUPE_4G111900 transcript:ONI11535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLHCNFFFLACFVFSATLAHSWSQNREFNQAKNYEGSSDLVDLQYHMGPVLTSPINLYIIWYGKWNPTHQSTIRDFIYSLSSPVPYPSVADWWSTVRLYTDQTGSNITRSIALSGEFYDSAYSHGNYLSRLSMQSIIKYAVTSPYPRALPLNPHNGLYLVLSSPDVRVQDFCRAVCGFHYFTFPTIVGVTVPYAWVGNSGTQCPGVCAYPFAWPKYSGKPPPSTNGGNNIMRAPNGDAGADGMISVIAHELAEVSSNPLVNAWYAGDDPTAPTEIADLCMGVYGSGGGGGYVGVVSKDKWGNGFNVNGVKGRKFLVQWVWSPVKRRCFGPNAMD